From af67162840dfb3397b43a2ed1e77aabc69365b53 Mon Sep 17 00:00:00 2001 From: Rachel Colquhoun Date: Thu, 7 Dec 2023 15:53:23 +0000 Subject: [PATCH 01/24] experiment with git lfs command within setup.py --- setup.py | 30 +++++++++++++++++++++++++++--- 1 file changed, 27 insertions(+), 3 deletions(-) diff --git a/setup.py b/setup.py index 8871dbd..45e3e46 100644 --- a/setup.py +++ b/setup.py @@ -2,16 +2,39 @@ import glob import os import pkg_resources +from setuptools.command.install import install as _install from virbot import __version__ +class install(_install): + def pull_first(self): + """This script is in a git directory that can be pulled.""" + import git + import zipfile + cwd = os.getcwd() + gitdir = os.path.dirname(os.path.realpath(__file__)) + os.chdir(gitdir) + g = git.cmd.Git(gitdir) + try: + g.execute(['git', 'lfs', 'pull']) + zip_data_from = os.path.join(gitdir, "virbot", "data", "ref.zip") + zip_data_to = os.path.join(gitdir, "virbot", "data", "ref") + with zipfile.ZipFile(zip_data_from,"r") as zip_ref: + zip_ref.extractall(zip_data_to) + except git.exc.GitCommandError: + print("Warning git-lfs is not installed - please manually download and unzip the reference files!") + os.chdir(cwd) + + def run(self): + self.pull_first() + super().run() + setup(name='virbot', version=__version__, packages=find_packages(), package_data={"virbot":["data/ref/*"]}, - install_requires=[ - 'pandas>=1.0.1' - ], + install_requires=[], + setup_requires=["git-python"], description='VirBot: RNA viral contig detector for metagenomic data', url='https://github.com/GreyGuoweiChen/RNA_virus_detector.git', author='Guowei Chen', @@ -19,4 +42,5 @@ entry_points={"console_scripts": ["virbot = virbot.VirBot:main"]}, include_package_data=True, keywords=[], + cmdclass={'install': install}, zip_safe=False) From 89fa452548f699ad9e5df0beabe2f46c8f23a152 Mon Sep 17 00:00:00 2001 From: Rachel Colquhoun Date: Thu, 7 Dec 2023 16:00:43 +0000 Subject: [PATCH 02/24] add more git lfs to setup.py --- setup.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/setup.py b/setup.py index 45e3e46..a76b294 100644 --- a/setup.py +++ b/setup.py @@ -16,6 +16,8 @@ def pull_first(self): os.chdir(gitdir) g = git.cmd.Git(gitdir) try: + g.execute(['git', 'lfs', 'install']) + g.execute(['git', 'lfs', 'fetch']) g.execute(['git', 'lfs', 'pull']) zip_data_from = os.path.join(gitdir, "virbot", "data", "ref.zip") zip_data_to = os.path.join(gitdir, "virbot", "data", "ref") From 98e189303f9215aac0f6e3b4a50ad61b5653b5cf Mon Sep 17 00:00:00 2001 From: Rachel Colquhoun Date: Thu, 7 Dec 2023 16:18:58 +0000 Subject: [PATCH 03/24] compress and move seq --- seq/clusters_info/cluster_1 | 284 - seq/clusters_info/cluster_10 | 103 - seq/clusters_info/cluster_100 | 40 - seq/clusters_info/cluster_1000 | 4 - seq/clusters_info/cluster_1001 | 4 - seq/clusters_info/cluster_1002 | 4 - seq/clusters_info/cluster_1003 | 4 - seq/clusters_info/cluster_1004 | 4 - seq/clusters_info/cluster_1005 | 4 - seq/clusters_info/cluster_1006 | 4 - seq/clusters_info/cluster_1007 | 4 - seq/clusters_info/cluster_1008 | 4 - seq/clusters_info/cluster_1009 | 4 - seq/clusters_info/cluster_101 | 40 - seq/clusters_info/cluster_1010 | 4 - seq/clusters_info/cluster_1011 | 4 - seq/clusters_info/cluster_1012 | 4 - seq/clusters_info/cluster_1013 | 4 - seq/clusters_info/cluster_1014 | 4 - seq/clusters_info/cluster_1015 | 4 - seq/clusters_info/cluster_1016 | 4 - seq/clusters_info/cluster_1017 | 4 - seq/clusters_info/cluster_1018 | 4 - seq/clusters_info/cluster_1019 | 4 - seq/clusters_info/cluster_102 | 40 - seq/clusters_info/cluster_1020 | 4 - seq/clusters_info/cluster_1021 | 4 - seq/clusters_info/cluster_1022 | 4 - seq/clusters_info/cluster_1023 | 4 - seq/clusters_info/cluster_1024 | 4 - seq/clusters_info/cluster_1025 | 4 - seq/clusters_info/cluster_1026 | 4 - seq/clusters_info/cluster_1027 | 4 - seq/clusters_info/cluster_1028 | 4 - seq/clusters_info/cluster_1029 | 4 - seq/clusters_info/cluster_103 | 40 - seq/clusters_info/cluster_1030 | 4 - seq/clusters_info/cluster_1031 | 4 - seq/clusters_info/cluster_1032 | 4 - seq/clusters_info/cluster_1033 | 4 - seq/clusters_info/cluster_1034 | 4 - seq/clusters_info/cluster_1035 | 4 - seq/clusters_info/cluster_1036 | 4 - seq/clusters_info/cluster_1037 | 4 - seq/clusters_info/cluster_1038 | 4 - seq/clusters_info/cluster_1039 | 4 - seq/clusters_info/cluster_104 | 40 - seq/clusters_info/cluster_1040 | 4 - seq/clusters_info/cluster_1041 | 4 - seq/clusters_info/cluster_1042 | 4 - seq/clusters_info/cluster_1043 | 4 - seq/clusters_info/cluster_1044 | 4 - seq/clusters_info/cluster_1045 | 4 - seq/clusters_info/cluster_1046 | 4 - seq/clusters_info/cluster_1047 | 4 - seq/clusters_info/cluster_1048 | 4 - seq/clusters_info/cluster_1049 | 4 - seq/clusters_info/cluster_105 | 40 - seq/clusters_info/cluster_1050 | 4 - seq/clusters_info/cluster_1051 | 4 - seq/clusters_info/cluster_1052 | 4 - seq/clusters_info/cluster_1053 | 4 - seq/clusters_info/cluster_1054 | 4 - seq/clusters_info/cluster_1055 | 4 - seq/clusters_info/cluster_1056 | 4 - seq/clusters_info/cluster_1057 | 4 - seq/clusters_info/cluster_1058 | 4 - seq/clusters_info/cluster_1059 | 4 - seq/clusters_info/cluster_106 | 39 - seq/clusters_info/cluster_1060 | 4 - seq/clusters_info/cluster_1061 | 4 - seq/clusters_info/cluster_1062 | 4 - seq/clusters_info/cluster_1063 | 4 - seq/clusters_info/cluster_1064 | 4 - seq/clusters_info/cluster_1065 | 4 - seq/clusters_info/cluster_1066 | 4 - seq/clusters_info/cluster_1067 | 4 - seq/clusters_info/cluster_1068 | 4 - seq/clusters_info/cluster_1069 | 4 - seq/clusters_info/cluster_107 | 39 - seq/clusters_info/cluster_1070 | 4 - seq/clusters_info/cluster_1071 | 4 - seq/clusters_info/cluster_1072 | 4 - seq/clusters_info/cluster_1073 | 4 - seq/clusters_info/cluster_1074 | 4 - seq/clusters_info/cluster_1075 | 4 - seq/clusters_info/cluster_1076 | 4 - seq/clusters_info/cluster_1077 | 4 - seq/clusters_info/cluster_1078 | 4 - seq/clusters_info/cluster_1079 | 4 - seq/clusters_info/cluster_108 | 39 - seq/clusters_info/cluster_1080 | 4 - seq/clusters_info/cluster_1081 | 4 - seq/clusters_info/cluster_1082 | 3 - seq/clusters_info/cluster_1083 | 3 - seq/clusters_info/cluster_1084 | 3 - seq/clusters_info/cluster_1085 | 3 - seq/clusters_info/cluster_1086 | 3 - seq/clusters_info/cluster_1087 | 3 - seq/clusters_info/cluster_1088 | 3 - seq/clusters_info/cluster_1089 | 3 - seq/clusters_info/cluster_109 | 38 - seq/clusters_info/cluster_1090 | 3 - seq/clusters_info/cluster_1091 | 3 - seq/clusters_info/cluster_1092 | 3 - seq/clusters_info/cluster_1093 | 3 - seq/clusters_info/cluster_1094 | 3 - seq/clusters_info/cluster_1095 | 3 - seq/clusters_info/cluster_1096 | 3 - seq/clusters_info/cluster_1097 | 3 - seq/clusters_info/cluster_1098 | 3 - seq/clusters_info/cluster_1099 | 3 - seq/clusters_info/cluster_11 | 96 - seq/clusters_info/cluster_110 | 38 - seq/clusters_info/cluster_1100 | 3 - seq/clusters_info/cluster_1101 | 3 - seq/clusters_info/cluster_1102 | 3 - seq/clusters_info/cluster_1103 | 3 - seq/clusters_info/cluster_1104 | 3 - seq/clusters_info/cluster_1105 | 3 - seq/clusters_info/cluster_1106 | 3 - seq/clusters_info/cluster_1107 | 3 - seq/clusters_info/cluster_1108 | 3 - seq/clusters_info/cluster_1109 | 3 - seq/clusters_info/cluster_111 | 38 - seq/clusters_info/cluster_1110 | 3 - seq/clusters_info/cluster_1111 | 3 - seq/clusters_info/cluster_1112 | 3 - seq/clusters_info/cluster_1113 | 3 - seq/clusters_info/cluster_1114 | 3 - seq/clusters_info/cluster_1115 | 3 - seq/clusters_info/cluster_1116 | 3 - seq/clusters_info/cluster_1117 | 3 - seq/clusters_info/cluster_1118 | 3 - seq/clusters_info/cluster_1119 | 3 - seq/clusters_info/cluster_112 | 38 - seq/clusters_info/cluster_1120 | 3 - seq/clusters_info/cluster_1121 | 3 - seq/clusters_info/cluster_1122 | 3 - seq/clusters_info/cluster_1123 | 3 - seq/clusters_info/cluster_1124 | 3 - seq/clusters_info/cluster_1125 | 3 - seq/clusters_info/cluster_1126 | 3 - seq/clusters_info/cluster_1127 | 3 - seq/clusters_info/cluster_1128 | 3 - seq/clusters_info/cluster_1129 | 3 - seq/clusters_info/cluster_113 | 38 - seq/clusters_info/cluster_1130 | 3 - seq/clusters_info/cluster_1131 | 3 - seq/clusters_info/cluster_1132 | 3 - seq/clusters_info/cluster_1133 | 3 - seq/clusters_info/cluster_1134 | 3 - seq/clusters_info/cluster_1135 | 3 - seq/clusters_info/cluster_1136 | 3 - seq/clusters_info/cluster_1137 | 3 - seq/clusters_info/cluster_1138 | 3 - seq/clusters_info/cluster_1139 | 3 - seq/clusters_info/cluster_114 | 37 - seq/clusters_info/cluster_1140 | 3 - seq/clusters_info/cluster_1141 | 3 - seq/clusters_info/cluster_1142 | 3 - seq/clusters_info/cluster_1143 | 3 - seq/clusters_info/cluster_1144 | 3 - seq/clusters_info/cluster_1145 | 3 - seq/clusters_info/cluster_1146 | 3 - seq/clusters_info/cluster_1147 | 3 - seq/clusters_info/cluster_1148 | 3 - seq/clusters_info/cluster_1149 | 3 - seq/clusters_info/cluster_115 | 37 - seq/clusters_info/cluster_1150 | 3 - seq/clusters_info/cluster_1151 | 3 - seq/clusters_info/cluster_1152 | 3 - seq/clusters_info/cluster_1153 | 3 - seq/clusters_info/cluster_1154 | 3 - seq/clusters_info/cluster_1155 | 3 - seq/clusters_info/cluster_1156 | 3 - seq/clusters_info/cluster_1157 | 3 - seq/clusters_info/cluster_1158 | 3 - seq/clusters_info/cluster_1159 | 3 - seq/clusters_info/cluster_116 | 37 - seq/clusters_info/cluster_1160 | 3 - seq/clusters_info/cluster_1161 | 3 - seq/clusters_info/cluster_1162 | 3 - seq/clusters_info/cluster_1163 | 3 - seq/clusters_info/cluster_1164 | 3 - seq/clusters_info/cluster_1165 | 3 - seq/clusters_info/cluster_1166 | 3 - seq/clusters_info/cluster_1167 | 3 - seq/clusters_info/cluster_1168 | 3 - seq/clusters_info/cluster_1169 | 3 - seq/clusters_info/cluster_117 | 37 - seq/clusters_info/cluster_1170 | 3 - seq/clusters_info/cluster_1171 | 3 - seq/clusters_info/cluster_1172 | 3 - seq/clusters_info/cluster_1173 | 3 - seq/clusters_info/cluster_1174 | 3 - seq/clusters_info/cluster_1175 | 3 - seq/clusters_info/cluster_1176 | 3 - seq/clusters_info/cluster_1177 | 3 - seq/clusters_info/cluster_1178 | 3 - seq/clusters_info/cluster_1179 | 3 - seq/clusters_info/cluster_118 | 37 - seq/clusters_info/cluster_1180 | 3 - seq/clusters_info/cluster_1181 | 3 - seq/clusters_info/cluster_1182 | 3 - seq/clusters_info/cluster_1183 | 3 - seq/clusters_info/cluster_1184 | 3 - seq/clusters_info/cluster_1185 | 3 - seq/clusters_info/cluster_1186 | 3 - seq/clusters_info/cluster_1187 | 3 - seq/clusters_info/cluster_1188 | 3 - seq/clusters_info/cluster_1189 | 3 - seq/clusters_info/cluster_119 | 37 - seq/clusters_info/cluster_1190 | 3 - seq/clusters_info/cluster_1191 | 3 - seq/clusters_info/cluster_1192 | 3 - seq/clusters_info/cluster_1193 | 3 - seq/clusters_info/cluster_1194 | 3 - seq/clusters_info/cluster_1195 | 3 - seq/clusters_info/cluster_1196 | 3 - seq/clusters_info/cluster_1197 | 3 - seq/clusters_info/cluster_1198 | 3 - seq/clusters_info/cluster_1199 | 3 - seq/clusters_info/cluster_12 | 96 - seq/clusters_info/cluster_120 | 37 - seq/clusters_info/cluster_1200 | 3 - seq/clusters_info/cluster_1201 | 3 - seq/clusters_info/cluster_1202 | 3 - seq/clusters_info/cluster_1203 | 3 - seq/clusters_info/cluster_1204 | 3 - seq/clusters_info/cluster_1205 | 3 - seq/clusters_info/cluster_1206 | 3 - seq/clusters_info/cluster_1207 | 3 - seq/clusters_info/cluster_1208 | 3 - seq/clusters_info/cluster_1209 | 3 - seq/clusters_info/cluster_121 | 36 - seq/clusters_info/cluster_1210 | 3 - seq/clusters_info/cluster_1211 | 3 - seq/clusters_info/cluster_1212 | 3 - seq/clusters_info/cluster_1213 | 3 - seq/clusters_info/cluster_1214 | 3 - seq/clusters_info/cluster_1215 | 3 - seq/clusters_info/cluster_1216 | 3 - seq/clusters_info/cluster_1217 | 3 - seq/clusters_info/cluster_1218 | 3 - seq/clusters_info/cluster_1219 | 3 - seq/clusters_info/cluster_122 | 36 - seq/clusters_info/cluster_1220 | 3 - seq/clusters_info/cluster_1221 | 3 - seq/clusters_info/cluster_1222 | 3 - seq/clusters_info/cluster_1223 | 3 - seq/clusters_info/cluster_1224 | 3 - seq/clusters_info/cluster_1225 | 3 - seq/clusters_info/cluster_1226 | 3 - seq/clusters_info/cluster_1227 | 3 - seq/clusters_info/cluster_1228 | 3 - seq/clusters_info/cluster_1229 | 3 - seq/clusters_info/cluster_123 | 36 - seq/clusters_info/cluster_1230 | 3 - seq/clusters_info/cluster_1231 | 3 - seq/clusters_info/cluster_1232 | 3 - seq/clusters_info/cluster_1233 | 3 - seq/clusters_info/cluster_1234 | 3 - seq/clusters_info/cluster_1235 | 3 - seq/clusters_info/cluster_1236 | 3 - seq/clusters_info/cluster_1237 | 3 - seq/clusters_info/cluster_1238 | 3 - seq/clusters_info/cluster_1239 | 3 - seq/clusters_info/cluster_124 | 36 - seq/clusters_info/cluster_1240 | 3 - seq/clusters_info/cluster_1241 | 3 - seq/clusters_info/cluster_1242 | 3 - seq/clusters_info/cluster_1243 | 3 - seq/clusters_info/cluster_1244 | 3 - seq/clusters_info/cluster_1245 | 3 - seq/clusters_info/cluster_1246 | 3 - seq/clusters_info/cluster_1247 | 3 - seq/clusters_info/cluster_1248 | 3 - seq/clusters_info/cluster_1249 | 3 - seq/clusters_info/cluster_125 | 36 - seq/clusters_info/cluster_1250 | 3 - seq/clusters_info/cluster_1251 | 3 - seq/clusters_info/cluster_1252 | 3 - seq/clusters_info/cluster_1253 | 3 - seq/clusters_info/cluster_1254 | 3 - seq/clusters_info/cluster_1255 | 3 - seq/clusters_info/cluster_1256 | 3 - seq/clusters_info/cluster_1257 | 3 - seq/clusters_info/cluster_1258 | 3 - seq/clusters_info/cluster_1259 | 3 - seq/clusters_info/cluster_126 | 36 - seq/clusters_info/cluster_1260 | 3 - seq/clusters_info/cluster_1261 | 3 - seq/clusters_info/cluster_1262 | 3 - seq/clusters_info/cluster_1263 | 3 - seq/clusters_info/cluster_1264 | 3 - seq/clusters_info/cluster_1265 | 3 - seq/clusters_info/cluster_1266 | 3 - seq/clusters_info/cluster_1267 | 3 - seq/clusters_info/cluster_1268 | 3 - seq/clusters_info/cluster_1269 | 3 - seq/clusters_info/cluster_127 | 35 - seq/clusters_info/cluster_1270 | 3 - seq/clusters_info/cluster_1271 | 3 - seq/clusters_info/cluster_1272 | 3 - seq/clusters_info/cluster_1273 | 3 - seq/clusters_info/cluster_1274 | 3 - seq/clusters_info/cluster_1275 | 3 - seq/clusters_info/cluster_1276 | 3 - seq/clusters_info/cluster_1277 | 3 - seq/clusters_info/cluster_1278 | 3 - seq/clusters_info/cluster_1279 | 3 - seq/clusters_info/cluster_128 | 35 - seq/clusters_info/cluster_1280 | 3 - seq/clusters_info/cluster_1281 | 3 - seq/clusters_info/cluster_1282 | 3 - seq/clusters_info/cluster_1283 | 3 - seq/clusters_info/cluster_1284 | 3 - seq/clusters_info/cluster_1285 | 3 - seq/clusters_info/cluster_1286 | 3 - seq/clusters_info/cluster_1287 | 3 - seq/clusters_info/cluster_1288 | 3 - seq/clusters_info/cluster_1289 | 3 - seq/clusters_info/cluster_129 | 35 - seq/clusters_info/cluster_1290 | 3 - seq/clusters_info/cluster_1291 | 3 - seq/clusters_info/cluster_1292 | 3 - seq/clusters_info/cluster_1293 | 3 - seq/clusters_info/cluster_1294 | 3 - seq/clusters_info/cluster_1295 | 3 - seq/clusters_info/cluster_1296 | 3 - seq/clusters_info/cluster_1297 | 3 - seq/clusters_info/cluster_1298 | 3 - seq/clusters_info/cluster_1299 | 3 - seq/clusters_info/cluster_13 | 93 - seq/clusters_info/cluster_130 | 35 - seq/clusters_info/cluster_1300 | 3 - seq/clusters_info/cluster_1301 | 3 - seq/clusters_info/cluster_1302 | 3 - seq/clusters_info/cluster_1303 | 3 - seq/clusters_info/cluster_1304 | 3 - seq/clusters_info/cluster_1305 | 3 - seq/clusters_info/cluster_1306 | 3 - seq/clusters_info/cluster_1307 | 3 - seq/clusters_info/cluster_1308 | 3 - seq/clusters_info/cluster_1309 | 3 - seq/clusters_info/cluster_131 | 34 - seq/clusters_info/cluster_1310 | 3 - seq/clusters_info/cluster_1311 | 3 - seq/clusters_info/cluster_1312 | 3 - seq/clusters_info/cluster_1313 | 3 - seq/clusters_info/cluster_1314 | 3 - seq/clusters_info/cluster_1315 | 3 - seq/clusters_info/cluster_1316 | 3 - seq/clusters_info/cluster_1317 | 3 - seq/clusters_info/cluster_1318 | 3 - seq/clusters_info/cluster_1319 | 3 - seq/clusters_info/cluster_132 | 34 - seq/clusters_info/cluster_1320 | 3 - seq/clusters_info/cluster_1321 | 3 - seq/clusters_info/cluster_1322 | 3 - seq/clusters_info/cluster_1323 | 3 - seq/clusters_info/cluster_1324 | 3 - seq/clusters_info/cluster_1325 | 3 - seq/clusters_info/cluster_1326 | 3 - seq/clusters_info/cluster_1327 | 3 - seq/clusters_info/cluster_1328 | 3 - seq/clusters_info/cluster_1329 | 3 - seq/clusters_info/cluster_133 | 34 - seq/clusters_info/cluster_1330 | 3 - seq/clusters_info/cluster_1331 | 3 - seq/clusters_info/cluster_1332 | 3 - seq/clusters_info/cluster_1333 | 3 - seq/clusters_info/cluster_1334 | 3 - seq/clusters_info/cluster_1335 | 3 - seq/clusters_info/cluster_1336 | 3 - seq/clusters_info/cluster_1337 | 3 - seq/clusters_info/cluster_1338 | 3 - seq/clusters_info/cluster_1339 | 3 - seq/clusters_info/cluster_134 | 34 - seq/clusters_info/cluster_1340 | 3 - seq/clusters_info/cluster_1341 | 3 - seq/clusters_info/cluster_1342 | 3 - seq/clusters_info/cluster_1343 | 3 - seq/clusters_info/cluster_1344 | 3 - seq/clusters_info/cluster_1345 | 3 - seq/clusters_info/cluster_1346 | 3 - seq/clusters_info/cluster_1347 | 3 - seq/clusters_info/cluster_1348 | 3 - seq/clusters_info/cluster_1349 | 3 - seq/clusters_info/cluster_135 | 34 - seq/clusters_info/cluster_1350 | 3 - seq/clusters_info/cluster_1351 | 3 - seq/clusters_info/cluster_1352 | 3 - seq/clusters_info/cluster_1353 | 3 - seq/clusters_info/cluster_1354 | 3 - seq/clusters_info/cluster_1355 | 3 - seq/clusters_info/cluster_1356 | 3 - seq/clusters_info/cluster_1357 | 3 - seq/clusters_info/cluster_1358 | 3 - seq/clusters_info/cluster_1359 | 3 - seq/clusters_info/cluster_136 | 34 - seq/clusters_info/cluster_1360 | 3 - seq/clusters_info/cluster_1361 | 3 - seq/clusters_info/cluster_1362 | 3 - seq/clusters_info/cluster_1363 | 3 - seq/clusters_info/cluster_1364 | 3 - seq/clusters_info/cluster_1365 | 3 - seq/clusters_info/cluster_1366 | 3 - seq/clusters_info/cluster_1367 | 3 - seq/clusters_info/cluster_1368 | 3 - seq/clusters_info/cluster_1369 | 3 - seq/clusters_info/cluster_137 | 34 - seq/clusters_info/cluster_1370 | 3 - seq/clusters_info/cluster_1371 | 3 - seq/clusters_info/cluster_1372 | 3 - seq/clusters_info/cluster_1373 | 3 - seq/clusters_info/cluster_1374 | 3 - seq/clusters_info/cluster_1375 | 3 - seq/clusters_info/cluster_1376 | 3 - seq/clusters_info/cluster_1377 | 3 - seq/clusters_info/cluster_1378 | 3 - seq/clusters_info/cluster_1379 | 3 - seq/clusters_info/cluster_138 | 34 - seq/clusters_info/cluster_1380 | 3 - seq/clusters_info/cluster_1381 | 3 - seq/clusters_info/cluster_1382 | 3 - seq/clusters_info/cluster_1383 | 3 - seq/clusters_info/cluster_1384 | 3 - seq/clusters_info/cluster_139 | 34 - seq/clusters_info/cluster_14 | 93 - seq/clusters_info/cluster_140 | 34 - seq/clusters_info/cluster_141 | 33 - seq/clusters_info/cluster_142 | 33 - seq/clusters_info/cluster_143 | 33 - seq/clusters_info/cluster_144 | 33 - seq/clusters_info/cluster_145 | 33 - seq/clusters_info/cluster_146 | 33 - seq/clusters_info/cluster_147 | 33 - seq/clusters_info/cluster_148 | 32 - seq/clusters_info/cluster_149 | 32 - seq/clusters_info/cluster_15 | 86 - seq/clusters_info/cluster_150 | 32 - seq/clusters_info/cluster_151 | 32 - seq/clusters_info/cluster_152 | 32 - seq/clusters_info/cluster_153 | 32 - seq/clusters_info/cluster_154 | 32 - seq/clusters_info/cluster_155 | 32 - seq/clusters_info/cluster_156 | 32 - seq/clusters_info/cluster_157 | 31 - seq/clusters_info/cluster_158 | 31 - seq/clusters_info/cluster_159 | 31 - seq/clusters_info/cluster_16 | 84 - seq/clusters_info/cluster_160 | 31 - seq/clusters_info/cluster_161 | 31 - seq/clusters_info/cluster_162 | 31 - seq/clusters_info/cluster_163 | 31 - seq/clusters_info/cluster_164 | 30 - seq/clusters_info/cluster_165 | 30 - seq/clusters_info/cluster_166 | 30 - seq/clusters_info/cluster_167 | 30 - seq/clusters_info/cluster_168 | 30 - seq/clusters_info/cluster_169 | 30 - seq/clusters_info/cluster_17 | 82 - seq/clusters_info/cluster_170 | 29 - seq/clusters_info/cluster_171 | 29 - seq/clusters_info/cluster_172 | 29 - seq/clusters_info/cluster_173 | 29 - seq/clusters_info/cluster_174 | 29 - seq/clusters_info/cluster_175 | 29 - seq/clusters_info/cluster_176 | 29 - seq/clusters_info/cluster_177 | 29 - seq/clusters_info/cluster_178 | 29 - seq/clusters_info/cluster_179 | 29 - seq/clusters_info/cluster_18 | 77 - seq/clusters_info/cluster_180 | 29 - seq/clusters_info/cluster_181 | 28 - seq/clusters_info/cluster_182 | 28 - seq/clusters_info/cluster_183 | 28 - seq/clusters_info/cluster_184 | 28 - seq/clusters_info/cluster_185 | 28 - seq/clusters_info/cluster_186 | 28 - seq/clusters_info/cluster_187 | 28 - seq/clusters_info/cluster_188 | 28 - seq/clusters_info/cluster_189 | 28 - seq/clusters_info/cluster_19 | 76 - seq/clusters_info/cluster_190 | 28 - seq/clusters_info/cluster_191 | 27 - seq/clusters_info/cluster_192 | 27 - seq/clusters_info/cluster_193 | 27 - seq/clusters_info/cluster_194 | 27 - seq/clusters_info/cluster_195 | 27 - seq/clusters_info/cluster_196 | 27 - seq/clusters_info/cluster_197 | 27 - seq/clusters_info/cluster_198 | 26 - seq/clusters_info/cluster_199 | 26 - seq/clusters_info/cluster_2 | 173 - seq/clusters_info/cluster_20 | 76 - seq/clusters_info/cluster_200 | 26 - seq/clusters_info/cluster_201 | 26 - seq/clusters_info/cluster_202 | 26 - seq/clusters_info/cluster_203 | 25 - seq/clusters_info/cluster_204 | 25 - seq/clusters_info/cluster_205 | 25 - seq/clusters_info/cluster_206 | 25 - seq/clusters_info/cluster_207 | 25 - seq/clusters_info/cluster_208 | 25 - seq/clusters_info/cluster_209 | 25 - seq/clusters_info/cluster_21 | 75 - seq/clusters_info/cluster_210 | 25 - seq/clusters_info/cluster_211 | 25 - seq/clusters_info/cluster_212 | 25 - seq/clusters_info/cluster_213 | 24 - seq/clusters_info/cluster_214 | 24 - seq/clusters_info/cluster_215 | 24 - seq/clusters_info/cluster_216 | 24 - seq/clusters_info/cluster_217 | 23 - seq/clusters_info/cluster_218 | 23 - seq/clusters_info/cluster_219 | 23 - seq/clusters_info/cluster_22 | 75 - seq/clusters_info/cluster_220 | 23 - seq/clusters_info/cluster_221 | 23 - seq/clusters_info/cluster_222 | 23 - seq/clusters_info/cluster_223 | 23 - seq/clusters_info/cluster_224 | 23 - seq/clusters_info/cluster_225 | 23 - seq/clusters_info/cluster_226 | 23 - seq/clusters_info/cluster_227 | 23 - seq/clusters_info/cluster_228 | 23 - seq/clusters_info/cluster_229 | 23 - seq/clusters_info/cluster_23 | 75 - seq/clusters_info/cluster_230 | 23 - seq/clusters_info/cluster_231 | 23 - seq/clusters_info/cluster_232 | 22 - seq/clusters_info/cluster_233 | 22 - seq/clusters_info/cluster_234 | 22 - seq/clusters_info/cluster_235 | 22 - seq/clusters_info/cluster_236 | 22 - seq/clusters_info/cluster_237 | 22 - seq/clusters_info/cluster_238 | 22 - seq/clusters_info/cluster_239 | 22 - seq/clusters_info/cluster_24 | 74 - seq/clusters_info/cluster_240 | 22 - seq/clusters_info/cluster_241 | 22 - seq/clusters_info/cluster_242 | 21 - seq/clusters_info/cluster_243 | 21 - seq/clusters_info/cluster_244 | 21 - seq/clusters_info/cluster_245 | 21 - seq/clusters_info/cluster_246 | 21 - seq/clusters_info/cluster_247 | 21 - seq/clusters_info/cluster_248 | 21 - seq/clusters_info/cluster_249 | 21 - seq/clusters_info/cluster_25 | 73 - seq/clusters_info/cluster_250 | 21 - seq/clusters_info/cluster_251 | 21 - seq/clusters_info/cluster_252 | 21 - seq/clusters_info/cluster_253 | 21 - seq/clusters_info/cluster_254 | 20 - seq/clusters_info/cluster_255 | 20 - seq/clusters_info/cluster_256 | 20 - seq/clusters_info/cluster_257 | 20 - seq/clusters_info/cluster_258 | 20 - seq/clusters_info/cluster_259 | 20 - seq/clusters_info/cluster_26 | 72 - seq/clusters_info/cluster_260 | 20 - seq/clusters_info/cluster_261 | 20 - seq/clusters_info/cluster_262 | 20 - seq/clusters_info/cluster_263 | 20 - seq/clusters_info/cluster_264 | 20 - seq/clusters_info/cluster_265 | 20 - seq/clusters_info/cluster_266 | 20 - seq/clusters_info/cluster_267 | 20 - seq/clusters_info/cluster_268 | 20 - seq/clusters_info/cluster_269 | 20 - seq/clusters_info/cluster_27 | 72 - seq/clusters_info/cluster_270 | 19 - seq/clusters_info/cluster_271 | 19 - seq/clusters_info/cluster_272 | 19 - seq/clusters_info/cluster_273 | 19 - seq/clusters_info/cluster_274 | 19 - seq/clusters_info/cluster_275 | 19 - seq/clusters_info/cluster_276 | 19 - seq/clusters_info/cluster_277 | 19 - seq/clusters_info/cluster_278 | 19 - seq/clusters_info/cluster_279 | 19 - seq/clusters_info/cluster_28 | 71 - seq/clusters_info/cluster_280 | 19 - seq/clusters_info/cluster_281 | 19 - seq/clusters_info/cluster_282 | 19 - seq/clusters_info/cluster_283 | 19 - seq/clusters_info/cluster_284 | 19 - seq/clusters_info/cluster_285 | 18 - seq/clusters_info/cluster_286 | 18 - seq/clusters_info/cluster_287 | 18 - seq/clusters_info/cluster_288 | 18 - seq/clusters_info/cluster_289 | 18 - seq/clusters_info/cluster_29 | 70 - seq/clusters_info/cluster_290 | 18 - seq/clusters_info/cluster_291 | 18 - seq/clusters_info/cluster_292 | 18 - seq/clusters_info/cluster_293 | 18 - seq/clusters_info/cluster_294 | 18 - seq/clusters_info/cluster_295 | 18 - seq/clusters_info/cluster_296 | 18 - seq/clusters_info/cluster_297 | 18 - seq/clusters_info/cluster_298 | 18 - seq/clusters_info/cluster_299 | 18 - seq/clusters_info/cluster_3 | 159 - seq/clusters_info/cluster_30 | 70 - seq/clusters_info/cluster_300 | 18 - seq/clusters_info/cluster_301 | 17 - seq/clusters_info/cluster_302 | 17 - seq/clusters_info/cluster_303 | 17 - seq/clusters_info/cluster_304 | 17 - seq/clusters_info/cluster_305 | 17 - seq/clusters_info/cluster_306 | 17 - seq/clusters_info/cluster_307 | 17 - seq/clusters_info/cluster_308 | 17 - seq/clusters_info/cluster_309 | 17 - seq/clusters_info/cluster_31 | 68 - seq/clusters_info/cluster_310 | 17 - seq/clusters_info/cluster_311 | 17 - seq/clusters_info/cluster_312 | 17 - seq/clusters_info/cluster_313 | 16 - seq/clusters_info/cluster_314 | 16 - seq/clusters_info/cluster_315 | 16 - seq/clusters_info/cluster_316 | 16 - seq/clusters_info/cluster_317 | 16 - seq/clusters_info/cluster_318 | 16 - seq/clusters_info/cluster_319 | 16 - seq/clusters_info/cluster_32 | 67 - seq/clusters_info/cluster_320 | 16 - seq/clusters_info/cluster_321 | 16 - seq/clusters_info/cluster_322 | 16 - seq/clusters_info/cluster_323 | 16 - seq/clusters_info/cluster_324 | 16 - seq/clusters_info/cluster_325 | 16 - seq/clusters_info/cluster_326 | 15 - seq/clusters_info/cluster_327 | 15 - seq/clusters_info/cluster_328 | 15 - seq/clusters_info/cluster_329 | 15 - seq/clusters_info/cluster_33 | 67 - seq/clusters_info/cluster_330 | 15 - seq/clusters_info/cluster_331 | 15 - seq/clusters_info/cluster_332 | 15 - seq/clusters_info/cluster_333 | 15 - seq/clusters_info/cluster_334 | 15 - seq/clusters_info/cluster_335 | 15 - seq/clusters_info/cluster_336 | 15 - seq/clusters_info/cluster_337 | 15 - seq/clusters_info/cluster_338 | 15 - seq/clusters_info/cluster_339 | 15 - seq/clusters_info/cluster_34 | 67 - seq/clusters_info/cluster_340 | 15 - seq/clusters_info/cluster_341 | 15 - seq/clusters_info/cluster_342 | 15 - seq/clusters_info/cluster_343 | 15 - seq/clusters_info/cluster_344 | 15 - seq/clusters_info/cluster_345 | 15 - seq/clusters_info/cluster_346 | 15 - seq/clusters_info/cluster_347 | 15 - seq/clusters_info/cluster_348 | 15 - seq/clusters_info/cluster_349 | 15 - seq/clusters_info/cluster_35 | 66 - seq/clusters_info/cluster_350 | 15 - seq/clusters_info/cluster_351 | 14 - seq/clusters_info/cluster_352 | 14 - seq/clusters_info/cluster_353 | 14 - seq/clusters_info/cluster_354 | 14 - seq/clusters_info/cluster_355 | 14 - seq/clusters_info/cluster_356 | 14 - seq/clusters_info/cluster_357 | 14 - seq/clusters_info/cluster_358 | 14 - seq/clusters_info/cluster_359 | 14 - seq/clusters_info/cluster_36 | 65 - seq/clusters_info/cluster_360 | 14 - seq/clusters_info/cluster_361 | 14 - seq/clusters_info/cluster_362 | 14 - seq/clusters_info/cluster_363 | 14 - seq/clusters_info/cluster_364 | 14 - seq/clusters_info/cluster_365 | 14 - seq/clusters_info/cluster_366 | 14 - seq/clusters_info/cluster_367 | 13 - seq/clusters_info/cluster_368 | 13 - seq/clusters_info/cluster_369 | 13 - seq/clusters_info/cluster_37 | 64 - seq/clusters_info/cluster_370 | 13 - seq/clusters_info/cluster_371 | 13 - seq/clusters_info/cluster_372 | 13 - seq/clusters_info/cluster_373 | 13 - seq/clusters_info/cluster_374 | 13 - seq/clusters_info/cluster_375 | 13 - seq/clusters_info/cluster_376 | 13 - seq/clusters_info/cluster_377 | 13 - seq/clusters_info/cluster_378 | 13 - seq/clusters_info/cluster_379 | 13 - seq/clusters_info/cluster_38 | 63 - seq/clusters_info/cluster_380 | 13 - seq/clusters_info/cluster_381 | 13 - seq/clusters_info/cluster_382 | 13 - seq/clusters_info/cluster_383 | 13 - seq/clusters_info/cluster_384 | 13 - seq/clusters_info/cluster_385 | 13 - seq/clusters_info/cluster_386 | 13 - seq/clusters_info/cluster_387 | 13 - seq/clusters_info/cluster_388 | 13 - seq/clusters_info/cluster_389 | 13 - seq/clusters_info/cluster_39 | 62 - seq/clusters_info/cluster_390 | 13 - seq/clusters_info/cluster_391 | 13 - seq/clusters_info/cluster_392 | 12 - seq/clusters_info/cluster_393 | 12 - seq/clusters_info/cluster_394 | 12 - seq/clusters_info/cluster_395 | 12 - seq/clusters_info/cluster_396 | 12 - seq/clusters_info/cluster_397 | 12 - seq/clusters_info/cluster_398 | 12 - seq/clusters_info/cluster_399 | 12 - seq/clusters_info/cluster_4 | 152 - seq/clusters_info/cluster_40 | 62 - seq/clusters_info/cluster_400 | 12 - seq/clusters_info/cluster_401 | 12 - seq/clusters_info/cluster_402 | 12 - seq/clusters_info/cluster_403 | 12 - seq/clusters_info/cluster_404 | 12 - seq/clusters_info/cluster_405 | 12 - seq/clusters_info/cluster_406 | 12 - seq/clusters_info/cluster_407 | 12 - seq/clusters_info/cluster_408 | 12 - seq/clusters_info/cluster_409 | 12 - seq/clusters_info/cluster_41 | 61 - seq/clusters_info/cluster_410 | 12 - seq/clusters_info/cluster_411 | 12 - seq/clusters_info/cluster_412 | 12 - seq/clusters_info/cluster_413 | 12 - seq/clusters_info/cluster_414 | 12 - seq/clusters_info/cluster_415 | 12 - seq/clusters_info/cluster_416 | 12 - seq/clusters_info/cluster_417 | 11 - seq/clusters_info/cluster_418 | 11 - seq/clusters_info/cluster_419 | 11 - seq/clusters_info/cluster_42 | 61 - seq/clusters_info/cluster_420 | 11 - seq/clusters_info/cluster_421 | 11 - seq/clusters_info/cluster_422 | 11 - seq/clusters_info/cluster_423 | 11 - seq/clusters_info/cluster_424 | 11 - seq/clusters_info/cluster_425 | 11 - seq/clusters_info/cluster_426 | 11 - seq/clusters_info/cluster_427 | 11 - seq/clusters_info/cluster_428 | 11 - seq/clusters_info/cluster_429 | 11 - seq/clusters_info/cluster_43 | 60 - seq/clusters_info/cluster_430 | 11 - seq/clusters_info/cluster_431 | 11 - seq/clusters_info/cluster_432 | 11 - seq/clusters_info/cluster_433 | 11 - seq/clusters_info/cluster_434 | 11 - seq/clusters_info/cluster_435 | 11 - seq/clusters_info/cluster_436 | 11 - seq/clusters_info/cluster_437 | 11 - seq/clusters_info/cluster_438 | 11 - seq/clusters_info/cluster_439 | 11 - seq/clusters_info/cluster_44 | 60 - seq/clusters_info/cluster_440 | 11 - seq/clusters_info/cluster_441 | 11 - seq/clusters_info/cluster_442 | 11 - seq/clusters_info/cluster_443 | 11 - seq/clusters_info/cluster_444 | 11 - seq/clusters_info/cluster_445 | 10 - seq/clusters_info/cluster_446 | 10 - seq/clusters_info/cluster_447 | 10 - seq/clusters_info/cluster_448 | 10 - seq/clusters_info/cluster_449 | 10 - seq/clusters_info/cluster_45 | 59 - seq/clusters_info/cluster_450 | 10 - seq/clusters_info/cluster_451 | 10 - seq/clusters_info/cluster_452 | 10 - seq/clusters_info/cluster_453 | 10 - seq/clusters_info/cluster_454 | 10 - seq/clusters_info/cluster_455 | 10 - seq/clusters_info/cluster_456 | 10 - seq/clusters_info/cluster_457 | 10 - seq/clusters_info/cluster_458 | 10 - seq/clusters_info/cluster_459 | 10 - seq/clusters_info/cluster_46 | 59 - seq/clusters_info/cluster_460 | 10 - seq/clusters_info/cluster_461 | 10 - seq/clusters_info/cluster_462 | 10 - seq/clusters_info/cluster_463 | 10 - seq/clusters_info/cluster_464 | 10 - seq/clusters_info/cluster_465 | 10 - seq/clusters_info/cluster_466 | 10 - seq/clusters_info/cluster_467 | 10 - seq/clusters_info/cluster_468 | 10 - seq/clusters_info/cluster_469 | 10 - seq/clusters_info/cluster_47 | 59 - seq/clusters_info/cluster_470 | 10 - seq/clusters_info/cluster_471 | 9 - seq/clusters_info/cluster_472 | 9 - seq/clusters_info/cluster_473 | 9 - seq/clusters_info/cluster_474 | 9 - seq/clusters_info/cluster_475 | 9 - seq/clusters_info/cluster_476 | 9 - seq/clusters_info/cluster_477 | 9 - seq/clusters_info/cluster_478 | 9 - seq/clusters_info/cluster_479 | 9 - seq/clusters_info/cluster_48 | 58 - seq/clusters_info/cluster_480 | 9 - seq/clusters_info/cluster_481 | 9 - seq/clusters_info/cluster_482 | 9 - seq/clusters_info/cluster_483 | 9 - seq/clusters_info/cluster_484 | 9 - seq/clusters_info/cluster_485 | 9 - seq/clusters_info/cluster_486 | 9 - seq/clusters_info/cluster_487 | 9 - seq/clusters_info/cluster_488 | 9 - seq/clusters_info/cluster_489 | 9 - seq/clusters_info/cluster_49 | 57 - seq/clusters_info/cluster_490 | 9 - seq/clusters_info/cluster_491 | 9 - seq/clusters_info/cluster_492 | 9 - seq/clusters_info/cluster_493 | 9 - seq/clusters_info/cluster_494 | 9 - seq/clusters_info/cluster_495 | 9 - seq/clusters_info/cluster_496 | 9 - seq/clusters_info/cluster_497 | 9 - seq/clusters_info/cluster_498 | 9 - seq/clusters_info/cluster_499 | 9 - seq/clusters_info/cluster_5 | 121 - seq/clusters_info/cluster_50 | 57 - seq/clusters_info/cluster_500 | 9 - seq/clusters_info/cluster_501 | 9 - seq/clusters_info/cluster_502 | 9 - seq/clusters_info/cluster_503 | 9 - seq/clusters_info/cluster_504 | 9 - seq/clusters_info/cluster_505 | 9 - seq/clusters_info/cluster_506 | 9 - seq/clusters_info/cluster_507 | 9 - seq/clusters_info/cluster_508 | 9 - seq/clusters_info/cluster_509 | 9 - seq/clusters_info/cluster_51 | 56 - seq/clusters_info/cluster_510 | 9 - seq/clusters_info/cluster_511 | 9 - seq/clusters_info/cluster_512 | 8 - seq/clusters_info/cluster_513 | 8 - seq/clusters_info/cluster_514 | 8 - seq/clusters_info/cluster_515 | 8 - seq/clusters_info/cluster_516 | 8 - seq/clusters_info/cluster_517 | 8 - seq/clusters_info/cluster_518 | 8 - seq/clusters_info/cluster_519 | 8 - seq/clusters_info/cluster_52 | 56 - seq/clusters_info/cluster_520 | 8 - seq/clusters_info/cluster_521 | 8 - seq/clusters_info/cluster_522 | 8 - seq/clusters_info/cluster_523 | 8 - seq/clusters_info/cluster_524 | 8 - seq/clusters_info/cluster_525 | 8 - seq/clusters_info/cluster_526 | 8 - seq/clusters_info/cluster_527 | 8 - seq/clusters_info/cluster_528 | 8 - seq/clusters_info/cluster_529 | 8 - seq/clusters_info/cluster_53 | 56 - seq/clusters_info/cluster_530 | 8 - seq/clusters_info/cluster_531 | 8 - seq/clusters_info/cluster_532 | 8 - seq/clusters_info/cluster_533 | 8 - seq/clusters_info/cluster_534 | 8 - seq/clusters_info/cluster_535 | 8 - seq/clusters_info/cluster_536 | 8 - seq/clusters_info/cluster_537 | 8 - seq/clusters_info/cluster_538 | 8 - seq/clusters_info/cluster_539 | 8 - seq/clusters_info/cluster_54 | 56 - seq/clusters_info/cluster_540 | 8 - seq/clusters_info/cluster_541 | 8 - seq/clusters_info/cluster_542 | 8 - seq/clusters_info/cluster_543 | 8 - seq/clusters_info/cluster_544 | 8 - seq/clusters_info/cluster_545 | 8 - seq/clusters_info/cluster_546 | 8 - seq/clusters_info/cluster_547 | 8 - seq/clusters_info/cluster_548 | 8 - seq/clusters_info/cluster_549 | 8 - seq/clusters_info/cluster_55 | 55 - seq/clusters_info/cluster_550 | 8 - seq/clusters_info/cluster_551 | 8 - seq/clusters_info/cluster_552 | 8 - seq/clusters_info/cluster_553 | 8 - seq/clusters_info/cluster_554 | 8 - seq/clusters_info/cluster_555 | 8 - seq/clusters_info/cluster_556 | 8 - seq/clusters_info/cluster_557 | 8 - seq/clusters_info/cluster_558 | 8 - seq/clusters_info/cluster_559 | 8 - seq/clusters_info/cluster_56 | 55 - seq/clusters_info/cluster_560 | 8 - seq/clusters_info/cluster_561 | 8 - seq/clusters_info/cluster_562 | 8 - seq/clusters_info/cluster_563 | 8 - seq/clusters_info/cluster_564 | 8 - seq/clusters_info/cluster_565 | 8 - seq/clusters_info/cluster_566 | 8 - seq/clusters_info/cluster_567 | 8 - seq/clusters_info/cluster_568 | 8 - seq/clusters_info/cluster_569 | 8 - seq/clusters_info/cluster_57 | 54 - seq/clusters_info/cluster_570 | 8 - seq/clusters_info/cluster_571 | 7 - seq/clusters_info/cluster_572 | 7 - seq/clusters_info/cluster_573 | 7 - seq/clusters_info/cluster_574 | 7 - seq/clusters_info/cluster_575 | 7 - seq/clusters_info/cluster_576 | 7 - seq/clusters_info/cluster_577 | 7 - seq/clusters_info/cluster_578 | 7 - seq/clusters_info/cluster_579 | 7 - seq/clusters_info/cluster_58 | 53 - seq/clusters_info/cluster_580 | 7 - seq/clusters_info/cluster_581 | 7 - seq/clusters_info/cluster_582 | 7 - seq/clusters_info/cluster_583 | 7 - seq/clusters_info/cluster_584 | 7 - seq/clusters_info/cluster_585 | 7 - seq/clusters_info/cluster_586 | 7 - seq/clusters_info/cluster_587 | 7 - seq/clusters_info/cluster_588 | 7 - seq/clusters_info/cluster_589 | 7 - seq/clusters_info/cluster_59 | 53 - seq/clusters_info/cluster_590 | 7 - seq/clusters_info/cluster_591 | 7 - seq/clusters_info/cluster_592 | 7 - seq/clusters_info/cluster_593 | 7 - seq/clusters_info/cluster_594 | 7 - seq/clusters_info/cluster_595 | 7 - seq/clusters_info/cluster_596 | 7 - seq/clusters_info/cluster_597 | 7 - seq/clusters_info/cluster_598 | 7 - seq/clusters_info/cluster_599 | 7 - seq/clusters_info/cluster_6 | 117 - seq/clusters_info/cluster_60 | 53 - seq/clusters_info/cluster_600 | 7 - seq/clusters_info/cluster_601 | 7 - seq/clusters_info/cluster_602 | 7 - seq/clusters_info/cluster_603 | 7 - seq/clusters_info/cluster_604 | 7 - seq/clusters_info/cluster_605 | 7 - seq/clusters_info/cluster_606 | 7 - seq/clusters_info/cluster_607 | 7 - seq/clusters_info/cluster_608 | 7 - seq/clusters_info/cluster_609 | 7 - seq/clusters_info/cluster_61 | 52 - seq/clusters_info/cluster_610 | 7 - seq/clusters_info/cluster_611 | 7 - seq/clusters_info/cluster_612 | 7 - seq/clusters_info/cluster_613 | 7 - seq/clusters_info/cluster_614 | 7 - seq/clusters_info/cluster_615 | 7 - seq/clusters_info/cluster_616 | 7 - seq/clusters_info/cluster_617 | 7 - seq/clusters_info/cluster_618 | 7 - seq/clusters_info/cluster_619 | 7 - seq/clusters_info/cluster_62 | 52 - seq/clusters_info/cluster_620 | 7 - seq/clusters_info/cluster_621 | 7 - seq/clusters_info/cluster_622 | 7 - seq/clusters_info/cluster_623 | 7 - seq/clusters_info/cluster_624 | 7 - seq/clusters_info/cluster_625 | 7 - seq/clusters_info/cluster_626 | 7 - seq/clusters_info/cluster_627 | 7 - seq/clusters_info/cluster_628 | 7 - seq/clusters_info/cluster_629 | 7 - seq/clusters_info/cluster_63 | 51 - seq/clusters_info/cluster_630 | 7 - seq/clusters_info/cluster_631 | 7 - seq/clusters_info/cluster_632 | 7 - seq/clusters_info/cluster_633 | 7 - seq/clusters_info/cluster_634 | 7 - seq/clusters_info/cluster_635 | 7 - seq/clusters_info/cluster_636 | 7 - seq/clusters_info/cluster_637 | 6 - seq/clusters_info/cluster_638 | 6 - seq/clusters_info/cluster_639 | 6 - seq/clusters_info/cluster_64 | 51 - seq/clusters_info/cluster_640 | 6 - seq/clusters_info/cluster_641 | 6 - seq/clusters_info/cluster_642 | 6 - seq/clusters_info/cluster_643 | 6 - seq/clusters_info/cluster_644 | 6 - seq/clusters_info/cluster_645 | 6 - seq/clusters_info/cluster_646 | 6 - seq/clusters_info/cluster_647 | 6 - seq/clusters_info/cluster_648 | 6 - seq/clusters_info/cluster_649 | 6 - seq/clusters_info/cluster_65 | 51 - seq/clusters_info/cluster_650 | 6 - seq/clusters_info/cluster_651 | 6 - seq/clusters_info/cluster_652 | 6 - seq/clusters_info/cluster_653 | 6 - seq/clusters_info/cluster_654 | 6 - seq/clusters_info/cluster_655 | 6 - seq/clusters_info/cluster_656 | 6 - seq/clusters_info/cluster_657 | 6 - seq/clusters_info/cluster_658 | 6 - seq/clusters_info/cluster_659 | 6 - seq/clusters_info/cluster_66 | 51 - seq/clusters_info/cluster_660 | 6 - seq/clusters_info/cluster_661 | 6 - seq/clusters_info/cluster_662 | 6 - seq/clusters_info/cluster_663 | 6 - seq/clusters_info/cluster_664 | 6 - seq/clusters_info/cluster_665 | 6 - seq/clusters_info/cluster_666 | 6 - seq/clusters_info/cluster_667 | 6 - seq/clusters_info/cluster_668 | 6 - seq/clusters_info/cluster_669 | 6 - seq/clusters_info/cluster_67 | 50 - seq/clusters_info/cluster_670 | 6 - seq/clusters_info/cluster_671 | 6 - seq/clusters_info/cluster_672 | 6 - seq/clusters_info/cluster_673 | 6 - seq/clusters_info/cluster_674 | 6 - seq/clusters_info/cluster_675 | 6 - seq/clusters_info/cluster_676 | 6 - seq/clusters_info/cluster_677 | 6 - seq/clusters_info/cluster_678 | 6 - seq/clusters_info/cluster_679 | 6 - seq/clusters_info/cluster_68 | 50 - seq/clusters_info/cluster_680 | 6 - seq/clusters_info/cluster_681 | 6 - seq/clusters_info/cluster_682 | 6 - seq/clusters_info/cluster_683 | 6 - seq/clusters_info/cluster_684 | 6 - seq/clusters_info/cluster_685 | 6 - seq/clusters_info/cluster_686 | 6 - seq/clusters_info/cluster_687 | 6 - seq/clusters_info/cluster_688 | 6 - seq/clusters_info/cluster_689 | 6 - seq/clusters_info/cluster_69 | 50 - seq/clusters_info/cluster_690 | 6 - seq/clusters_info/cluster_691 | 6 - seq/clusters_info/cluster_692 | 6 - seq/clusters_info/cluster_693 | 6 - seq/clusters_info/cluster_694 | 6 - seq/clusters_info/cluster_695 | 6 - seq/clusters_info/cluster_696 | 6 - seq/clusters_info/cluster_697 | 6 - seq/clusters_info/cluster_698 | 6 - seq/clusters_info/cluster_699 | 6 - seq/clusters_info/cluster_7 | 112 - seq/clusters_info/cluster_70 | 49 - seq/clusters_info/cluster_700 | 6 - seq/clusters_info/cluster_701 | 6 - seq/clusters_info/cluster_702 | 6 - seq/clusters_info/cluster_703 | 6 - seq/clusters_info/cluster_704 | 6 - seq/clusters_info/cluster_705 | 6 - seq/clusters_info/cluster_706 | 6 - seq/clusters_info/cluster_707 | 6 - seq/clusters_info/cluster_708 | 6 - seq/clusters_info/cluster_709 | 6 - seq/clusters_info/cluster_71 | 49 - seq/clusters_info/cluster_710 | 6 - seq/clusters_info/cluster_711 | 6 - seq/clusters_info/cluster_712 | 6 - seq/clusters_info/cluster_713 | 6 - seq/clusters_info/cluster_714 | 6 - seq/clusters_info/cluster_715 | 6 - seq/clusters_info/cluster_716 | 6 - seq/clusters_info/cluster_717 | 6 - seq/clusters_info/cluster_718 | 6 - seq/clusters_info/cluster_719 | 6 - seq/clusters_info/cluster_72 | 49 - seq/clusters_info/cluster_720 | 6 - seq/clusters_info/cluster_721 | 6 - seq/clusters_info/cluster_722 | 6 - seq/clusters_info/cluster_723 | 6 - seq/clusters_info/cluster_724 | 6 - seq/clusters_info/cluster_725 | 6 - seq/clusters_info/cluster_726 | 6 - seq/clusters_info/cluster_727 | 6 - seq/clusters_info/cluster_728 | 6 - seq/clusters_info/cluster_729 | 6 - seq/clusters_info/cluster_73 | 49 - seq/clusters_info/cluster_730 | 6 - seq/clusters_info/cluster_731 | 6 - seq/clusters_info/cluster_732 | 6 - seq/clusters_info/cluster_733 | 5 - seq/clusters_info/cluster_734 | 5 - seq/clusters_info/cluster_735 | 5 - seq/clusters_info/cluster_736 | 5 - seq/clusters_info/cluster_737 | 5 - seq/clusters_info/cluster_738 | 5 - seq/clusters_info/cluster_739 | 5 - seq/clusters_info/cluster_74 | 49 - seq/clusters_info/cluster_740 | 5 - seq/clusters_info/cluster_741 | 5 - seq/clusters_info/cluster_742 | 5 - seq/clusters_info/cluster_743 | 5 - seq/clusters_info/cluster_744 | 5 - seq/clusters_info/cluster_745 | 5 - seq/clusters_info/cluster_746 | 5 - seq/clusters_info/cluster_747 | 5 - seq/clusters_info/cluster_748 | 5 - seq/clusters_info/cluster_749 | 5 - seq/clusters_info/cluster_75 | 49 - seq/clusters_info/cluster_750 | 5 - seq/clusters_info/cluster_751 | 5 - seq/clusters_info/cluster_752 | 5 - seq/clusters_info/cluster_753 | 5 - seq/clusters_info/cluster_754 | 5 - seq/clusters_info/cluster_755 | 5 - seq/clusters_info/cluster_756 | 5 - seq/clusters_info/cluster_757 | 5 - seq/clusters_info/cluster_758 | 5 - seq/clusters_info/cluster_759 | 5 - seq/clusters_info/cluster_76 | 49 - seq/clusters_info/cluster_760 | 5 - seq/clusters_info/cluster_761 | 5 - seq/clusters_info/cluster_762 | 5 - seq/clusters_info/cluster_763 | 5 - seq/clusters_info/cluster_764 | 5 - seq/clusters_info/cluster_765 | 5 - seq/clusters_info/cluster_766 | 5 - seq/clusters_info/cluster_767 | 5 - seq/clusters_info/cluster_768 | 5 - seq/clusters_info/cluster_769 | 5 - seq/clusters_info/cluster_77 | 48 - seq/clusters_info/cluster_770 | 5 - seq/clusters_info/cluster_771 | 5 - seq/clusters_info/cluster_772 | 5 - seq/clusters_info/cluster_773 | 5 - seq/clusters_info/cluster_774 | 5 - seq/clusters_info/cluster_775 | 5 - seq/clusters_info/cluster_776 | 5 - seq/clusters_info/cluster_777 | 5 - seq/clusters_info/cluster_778 | 5 - seq/clusters_info/cluster_779 | 5 - seq/clusters_info/cluster_78 | 47 - seq/clusters_info/cluster_780 | 5 - seq/clusters_info/cluster_781 | 5 - seq/clusters_info/cluster_782 | 5 - seq/clusters_info/cluster_783 | 5 - seq/clusters_info/cluster_784 | 5 - seq/clusters_info/cluster_785 | 5 - seq/clusters_info/cluster_786 | 5 - seq/clusters_info/cluster_787 | 5 - seq/clusters_info/cluster_788 | 5 - seq/clusters_info/cluster_789 | 5 - seq/clusters_info/cluster_79 | 46 - seq/clusters_info/cluster_790 | 5 - seq/clusters_info/cluster_791 | 5 - seq/clusters_info/cluster_792 | 5 - seq/clusters_info/cluster_793 | 5 - seq/clusters_info/cluster_794 | 5 - seq/clusters_info/cluster_795 | 5 - seq/clusters_info/cluster_796 | 5 - seq/clusters_info/cluster_797 | 5 - seq/clusters_info/cluster_798 | 5 - seq/clusters_info/cluster_799 | 5 - seq/clusters_info/cluster_8 | 104 - seq/clusters_info/cluster_80 | 46 - seq/clusters_info/cluster_800 | 5 - seq/clusters_info/cluster_801 | 5 - seq/clusters_info/cluster_802 | 5 - seq/clusters_info/cluster_803 | 5 - seq/clusters_info/cluster_804 | 5 - seq/clusters_info/cluster_805 | 5 - seq/clusters_info/cluster_806 | 5 - seq/clusters_info/cluster_807 | 5 - seq/clusters_info/cluster_808 | 5 - seq/clusters_info/cluster_809 | 5 - seq/clusters_info/cluster_81 | 45 - seq/clusters_info/cluster_810 | 5 - seq/clusters_info/cluster_811 | 5 - seq/clusters_info/cluster_812 | 5 - seq/clusters_info/cluster_813 | 5 - seq/clusters_info/cluster_814 | 5 - seq/clusters_info/cluster_815 | 5 - seq/clusters_info/cluster_816 | 5 - seq/clusters_info/cluster_817 | 5 - seq/clusters_info/cluster_818 | 5 - seq/clusters_info/cluster_819 | 5 - seq/clusters_info/cluster_82 | 44 - seq/clusters_info/cluster_820 | 5 - seq/clusters_info/cluster_821 | 5 - seq/clusters_info/cluster_822 | 5 - seq/clusters_info/cluster_823 | 5 - seq/clusters_info/cluster_824 | 5 - seq/clusters_info/cluster_825 | 5 - seq/clusters_info/cluster_826 | 5 - seq/clusters_info/cluster_827 | 5 - seq/clusters_info/cluster_828 | 5 - seq/clusters_info/cluster_829 | 5 - seq/clusters_info/cluster_83 | 44 - seq/clusters_info/cluster_830 | 5 - seq/clusters_info/cluster_831 | 5 - seq/clusters_info/cluster_832 | 5 - seq/clusters_info/cluster_833 | 5 - seq/clusters_info/cluster_834 | 5 - seq/clusters_info/cluster_835 | 5 - seq/clusters_info/cluster_836 | 5 - seq/clusters_info/cluster_837 | 5 - seq/clusters_info/cluster_838 | 5 - seq/clusters_info/cluster_839 | 5 - seq/clusters_info/cluster_84 | 44 - seq/clusters_info/cluster_840 | 5 - seq/clusters_info/cluster_841 | 5 - seq/clusters_info/cluster_842 | 5 - seq/clusters_info/cluster_843 | 5 - seq/clusters_info/cluster_844 | 5 - seq/clusters_info/cluster_845 | 5 - seq/clusters_info/cluster_846 | 5 - seq/clusters_info/cluster_847 | 5 - seq/clusters_info/cluster_848 | 5 - seq/clusters_info/cluster_849 | 5 - seq/clusters_info/cluster_85 | 44 - seq/clusters_info/cluster_850 | 5 - seq/clusters_info/cluster_851 | 5 - seq/clusters_info/cluster_852 | 5 - seq/clusters_info/cluster_853 | 5 - seq/clusters_info/cluster_854 | 5 - seq/clusters_info/cluster_855 | 5 - seq/clusters_info/cluster_856 | 5 - seq/clusters_info/cluster_857 | 5 - seq/clusters_info/cluster_858 | 5 - seq/clusters_info/cluster_859 | 5 - seq/clusters_info/cluster_86 | 43 - seq/clusters_info/cluster_860 | 5 - seq/clusters_info/cluster_861 | 5 - seq/clusters_info/cluster_862 | 4 - seq/clusters_info/cluster_863 | 4 - seq/clusters_info/cluster_864 | 4 - seq/clusters_info/cluster_865 | 4 - seq/clusters_info/cluster_866 | 4 - seq/clusters_info/cluster_867 | 4 - seq/clusters_info/cluster_868 | 4 - seq/clusters_info/cluster_869 | 4 - seq/clusters_info/cluster_87 | 43 - seq/clusters_info/cluster_870 | 4 - seq/clusters_info/cluster_871 | 4 - seq/clusters_info/cluster_872 | 4 - seq/clusters_info/cluster_873 | 4 - seq/clusters_info/cluster_874 | 4 - seq/clusters_info/cluster_875 | 4 - seq/clusters_info/cluster_876 | 4 - seq/clusters_info/cluster_877 | 4 - seq/clusters_info/cluster_878 | 4 - seq/clusters_info/cluster_879 | 4 - seq/clusters_info/cluster_88 | 43 - seq/clusters_info/cluster_880 | 4 - seq/clusters_info/cluster_881 | 4 - seq/clusters_info/cluster_882 | 4 - seq/clusters_info/cluster_883 | 4 - seq/clusters_info/cluster_884 | 4 - seq/clusters_info/cluster_885 | 4 - seq/clusters_info/cluster_886 | 4 - seq/clusters_info/cluster_887 | 4 - seq/clusters_info/cluster_888 | 4 - seq/clusters_info/cluster_889 | 4 - seq/clusters_info/cluster_89 | 43 - seq/clusters_info/cluster_890 | 4 - seq/clusters_info/cluster_891 | 4 - seq/clusters_info/cluster_892 | 4 - seq/clusters_info/cluster_893 | 4 - seq/clusters_info/cluster_894 | 4 - seq/clusters_info/cluster_895 | 4 - seq/clusters_info/cluster_896 | 4 - seq/clusters_info/cluster_897 | 4 - seq/clusters_info/cluster_898 | 4 - seq/clusters_info/cluster_899 | 4 - seq/clusters_info/cluster_9 | 103 - seq/clusters_info/cluster_90 | 42 - seq/clusters_info/cluster_900 | 4 - seq/clusters_info/cluster_901 | 4 - seq/clusters_info/cluster_902 | 4 - seq/clusters_info/cluster_903 | 4 - seq/clusters_info/cluster_904 | 4 - seq/clusters_info/cluster_905 | 4 - seq/clusters_info/cluster_906 | 4 - seq/clusters_info/cluster_907 | 4 - seq/clusters_info/cluster_908 | 4 - seq/clusters_info/cluster_909 | 4 - seq/clusters_info/cluster_91 | 42 - seq/clusters_info/cluster_910 | 4 - seq/clusters_info/cluster_911 | 4 - seq/clusters_info/cluster_912 | 4 - seq/clusters_info/cluster_913 | 4 - seq/clusters_info/cluster_914 | 4 - seq/clusters_info/cluster_915 | 4 - seq/clusters_info/cluster_916 | 4 - seq/clusters_info/cluster_917 | 4 - seq/clusters_info/cluster_918 | 4 - seq/clusters_info/cluster_919 | 4 - seq/clusters_info/cluster_92 | 42 - seq/clusters_info/cluster_920 | 4 - seq/clusters_info/cluster_921 | 4 - seq/clusters_info/cluster_922 | 4 - seq/clusters_info/cluster_923 | 4 - seq/clusters_info/cluster_924 | 4 - seq/clusters_info/cluster_925 | 4 - seq/clusters_info/cluster_926 | 4 - seq/clusters_info/cluster_927 | 4 - seq/clusters_info/cluster_928 | 4 - seq/clusters_info/cluster_929 | 4 - seq/clusters_info/cluster_93 | 41 - seq/clusters_info/cluster_930 | 4 - seq/clusters_info/cluster_931 | 4 - seq/clusters_info/cluster_932 | 4 - seq/clusters_info/cluster_933 | 4 - seq/clusters_info/cluster_934 | 4 - seq/clusters_info/cluster_935 | 4 - seq/clusters_info/cluster_936 | 4 - seq/clusters_info/cluster_937 | 4 - seq/clusters_info/cluster_938 | 4 - seq/clusters_info/cluster_939 | 4 - seq/clusters_info/cluster_94 | 41 - seq/clusters_info/cluster_940 | 4 - seq/clusters_info/cluster_941 | 4 - seq/clusters_info/cluster_942 | 4 - seq/clusters_info/cluster_943 | 4 - seq/clusters_info/cluster_944 | 4 - seq/clusters_info/cluster_945 | 4 - seq/clusters_info/cluster_946 | 4 - seq/clusters_info/cluster_947 | 4 - seq/clusters_info/cluster_948 | 4 - seq/clusters_info/cluster_949 | 4 - seq/clusters_info/cluster_95 | 41 - seq/clusters_info/cluster_950 | 4 - seq/clusters_info/cluster_951 | 4 - seq/clusters_info/cluster_952 | 4 - seq/clusters_info/cluster_953 | 4 - seq/clusters_info/cluster_954 | 4 - seq/clusters_info/cluster_955 | 4 - seq/clusters_info/cluster_956 | 4 - seq/clusters_info/cluster_957 | 4 - seq/clusters_info/cluster_958 | 4 - seq/clusters_info/cluster_959 | 4 - seq/clusters_info/cluster_96 | 40 - seq/clusters_info/cluster_960 | 4 - seq/clusters_info/cluster_961 | 4 - seq/clusters_info/cluster_962 | 4 - seq/clusters_info/cluster_963 | 4 - seq/clusters_info/cluster_964 | 4 - seq/clusters_info/cluster_965 | 4 - seq/clusters_info/cluster_966 | 4 - seq/clusters_info/cluster_967 | 4 - seq/clusters_info/cluster_968 | 4 - seq/clusters_info/cluster_969 | 4 - seq/clusters_info/cluster_97 | 40 - seq/clusters_info/cluster_970 | 4 - seq/clusters_info/cluster_971 | 4 - seq/clusters_info/cluster_972 | 4 - seq/clusters_info/cluster_973 | 4 - seq/clusters_info/cluster_974 | 4 - seq/clusters_info/cluster_975 | 4 - seq/clusters_info/cluster_976 | 4 - seq/clusters_info/cluster_977 | 4 - seq/clusters_info/cluster_978 | 4 - seq/clusters_info/cluster_979 | 4 - seq/clusters_info/cluster_98 | 40 - seq/clusters_info/cluster_980 | 4 - seq/clusters_info/cluster_981 | 4 - seq/clusters_info/cluster_982 | 4 - seq/clusters_info/cluster_983 | 4 - seq/clusters_info/cluster_984 | 4 - seq/clusters_info/cluster_985 | 4 - seq/clusters_info/cluster_986 | 4 - seq/clusters_info/cluster_987 | 4 - seq/clusters_info/cluster_988 | 4 - seq/clusters_info/cluster_989 | 4 - seq/clusters_info/cluster_99 | 40 - seq/clusters_info/cluster_990 | 4 - seq/clusters_info/cluster_991 | 4 - seq/clusters_info/cluster_992 | 4 - seq/clusters_info/cluster_993 | 4 - seq/clusters_info/cluster_994 | 4 - seq/clusters_info/cluster_995 | 4 - seq/clusters_info/cluster_996 | 4 - seq/clusters_info/cluster_997 | 4 - seq/clusters_info/cluster_998 | 4 - seq/clusters_info/cluster_999 | 4 - seq/clusters_seq/cluster_1 | 8540 --------- seq/clusters_seq/cluster_10 | 1191 -- seq/clusters_seq/cluster_100 | 200 - seq/clusters_seq/cluster_1000 | 47 - seq/clusters_seq/cluster_1001 | 12 - seq/clusters_seq/cluster_1002 | 36 - seq/clusters_seq/cluster_1003 | 41 - seq/clusters_seq/cluster_1004 | 52 - seq/clusters_seq/cluster_1005 | 35 - seq/clusters_seq/cluster_1006 | 50 - seq/clusters_seq/cluster_1007 | 29 - seq/clusters_seq/cluster_1008 | 32 - seq/clusters_seq/cluster_1009 | 131 - seq/clusters_seq/cluster_101 | 200 - seq/clusters_seq/cluster_1010 | 34 - seq/clusters_seq/cluster_1011 | 36 - seq/clusters_seq/cluster_1012 | 35 - seq/clusters_seq/cluster_1013 | 32 - seq/clusters_seq/cluster_1014 | 167 - seq/clusters_seq/cluster_1015 | 39 - seq/clusters_seq/cluster_1016 | 24 - seq/clusters_seq/cluster_1017 | 47 - seq/clusters_seq/cluster_1018 | 12 - seq/clusters_seq/cluster_1019 | 28 - seq/clusters_seq/cluster_102 | 1180 -- seq/clusters_seq/cluster_1020 | 149 - seq/clusters_seq/cluster_1021 | 16 - seq/clusters_seq/cluster_1022 | 29 - seq/clusters_seq/cluster_1023 | 182 - seq/clusters_seq/cluster_1024 | 20 - seq/clusters_seq/cluster_1025 | 27 - seq/clusters_seq/cluster_1026 | 24 - seq/clusters_seq/cluster_1027 | 16 - seq/clusters_seq/cluster_1028 | 16 - seq/clusters_seq/cluster_1029 | 24 - seq/clusters_seq/cluster_103 | 393 - seq/clusters_seq/cluster_1030 | 24 - seq/clusters_seq/cluster_1031 | 20 - seq/clusters_seq/cluster_1032 | 21 - seq/clusters_seq/cluster_1033 | 27 - seq/clusters_seq/cluster_1034 | 12 - seq/clusters_seq/cluster_1035 | 16 - seq/clusters_seq/cluster_1036 | 45 - seq/clusters_seq/cluster_1037 | 28 - seq/clusters_seq/cluster_1038 | 16 - seq/clusters_seq/cluster_1039 | 12 - seq/clusters_seq/cluster_104 | 398 - seq/clusters_seq/cluster_1040 | 46 - seq/clusters_seq/cluster_1041 | 20 - seq/clusters_seq/cluster_1042 | 13 - seq/clusters_seq/cluster_1043 | 15 - seq/clusters_seq/cluster_1044 | 24 - seq/clusters_seq/cluster_1045 | 16 - seq/clusters_seq/cluster_1046 | 12 - seq/clusters_seq/cluster_1047 | 82 - seq/clusters_seq/cluster_1048 | 12 - seq/clusters_seq/cluster_1049 | 16 - seq/clusters_seq/cluster_105 | 160 - seq/clusters_seq/cluster_1050 | 32 - seq/clusters_seq/cluster_1051 | 32 - seq/clusters_seq/cluster_1052 | 12 - seq/clusters_seq/cluster_1053 | 16 - seq/clusters_seq/cluster_1054 | 16 - seq/clusters_seq/cluster_1055 | 52 - seq/clusters_seq/cluster_1056 | 68 - seq/clusters_seq/cluster_1057 | 75 - seq/clusters_seq/cluster_1058 | 16 - seq/clusters_seq/cluster_1059 | 12 - seq/clusters_seq/cluster_106 | 183 - seq/clusters_seq/cluster_1060 | 12 - seq/clusters_seq/cluster_1061 | 24 - seq/clusters_seq/cluster_1062 | 71 - seq/clusters_seq/cluster_1063 | 12 - seq/clusters_seq/cluster_1064 | 13 - seq/clusters_seq/cluster_1065 | 40 - seq/clusters_seq/cluster_1066 | 16 - seq/clusters_seq/cluster_1067 | 12 - seq/clusters_seq/cluster_1068 | 16 - seq/clusters_seq/cluster_1069 | 16 - seq/clusters_seq/cluster_107 | 1266 -- seq/clusters_seq/cluster_1070 | 32 - seq/clusters_seq/cluster_1071 | 20 - seq/clusters_seq/cluster_1072 | 22 - seq/clusters_seq/cluster_1073 | 16 - seq/clusters_seq/cluster_1074 | 24 - seq/clusters_seq/cluster_1075 | 28 - seq/clusters_seq/cluster_1076 | 16 - seq/clusters_seq/cluster_1077 | 34 - seq/clusters_seq/cluster_1078 | 17 - seq/clusters_seq/cluster_1079 | 72 - seq/clusters_seq/cluster_108 | 415 - seq/clusters_seq/cluster_1080 | 155 - seq/clusters_seq/cluster_1081 | 110 - seq/clusters_seq/cluster_1082 | 35 - seq/clusters_seq/cluster_1083 | 33 - seq/clusters_seq/cluster_1084 | 27 - seq/clusters_seq/cluster_1085 | 19 - seq/clusters_seq/cluster_1086 | 20 - seq/clusters_seq/cluster_1087 | 15 - seq/clusters_seq/cluster_1088 | 12 - seq/clusters_seq/cluster_1089 | 30 - seq/clusters_seq/cluster_109 | 152 - seq/clusters_seq/cluster_1090 | 12 - seq/clusters_seq/cluster_1091 | 16 - seq/clusters_seq/cluster_1092 | 21 - seq/clusters_seq/cluster_1093 | 18 - seq/clusters_seq/cluster_1094 | 12 - seq/clusters_seq/cluster_1095 | 73 - seq/clusters_seq/cluster_1096 | 18 - seq/clusters_seq/cluster_1097 | 30 - seq/clusters_seq/cluster_1098 | 37 - seq/clusters_seq/cluster_1099 | 23 - seq/clusters_seq/cluster_11 | 3018 ---- seq/clusters_seq/cluster_110 | 560 - seq/clusters_seq/cluster_1100 | 43 - seq/clusters_seq/cluster_1101 | 45 - seq/clusters_seq/cluster_1102 | 42 - seq/clusters_seq/cluster_1103 | 23 - seq/clusters_seq/cluster_1104 | 19 - seq/clusters_seq/cluster_1105 | 18 - seq/clusters_seq/cluster_1106 | 17 - seq/clusters_seq/cluster_1107 | 36 - seq/clusters_seq/cluster_1108 | 24 - seq/clusters_seq/cluster_1109 | 21 - seq/clusters_seq/cluster_111 | 555 - seq/clusters_seq/cluster_1110 | 35 - seq/clusters_seq/cluster_1111 | 15 - seq/clusters_seq/cluster_1112 | 35 - seq/clusters_seq/cluster_1113 | 24 - seq/clusters_seq/cluster_1114 | 18 - seq/clusters_seq/cluster_1115 | 9 - seq/clusters_seq/cluster_1116 | 18 - seq/clusters_seq/cluster_1117 | 21 - seq/clusters_seq/cluster_1118 | 18 - seq/clusters_seq/cluster_1119 | 18 - seq/clusters_seq/cluster_112 | 492 - seq/clusters_seq/cluster_1120 | 15 - seq/clusters_seq/cluster_1121 | 247 - seq/clusters_seq/cluster_1122 | 18 - seq/clusters_seq/cluster_1123 | 18 - seq/clusters_seq/cluster_1124 | 12 - seq/clusters_seq/cluster_1125 | 15 - seq/clusters_seq/cluster_1126 | 12 - seq/clusters_seq/cluster_1127 | 35 - seq/clusters_seq/cluster_1128 | 12 - seq/clusters_seq/cluster_1129 | 25 - seq/clusters_seq/cluster_113 | 342 - seq/clusters_seq/cluster_1130 | 32 - seq/clusters_seq/cluster_1131 | 15 - seq/clusters_seq/cluster_1132 | 21 - seq/clusters_seq/cluster_1133 | 15 - seq/clusters_seq/cluster_1134 | 15 - seq/clusters_seq/cluster_1135 | 21 - seq/clusters_seq/cluster_1136 | 30 - seq/clusters_seq/cluster_1137 | 18 - seq/clusters_seq/cluster_1138 | 21 - seq/clusters_seq/cluster_1139 | 12 - seq/clusters_seq/cluster_114 | 1254 -- seq/clusters_seq/cluster_1140 | 15 - seq/clusters_seq/cluster_1141 | 19 - seq/clusters_seq/cluster_1142 | 12 - seq/clusters_seq/cluster_1143 | 30 - seq/clusters_seq/cluster_1144 | 18 - seq/clusters_seq/cluster_1145 | 12 - seq/clusters_seq/cluster_1146 | 30 - seq/clusters_seq/cluster_1147 | 35 - seq/clusters_seq/cluster_1148 | 9 - seq/clusters_seq/cluster_1149 | 12 - seq/clusters_seq/cluster_115 | 456 - seq/clusters_seq/cluster_1150 | 36 - seq/clusters_seq/cluster_1151 | 15 - seq/clusters_seq/cluster_1152 | 12 - seq/clusters_seq/cluster_1153 | 18 - seq/clusters_seq/cluster_1154 | 18 - seq/clusters_seq/cluster_1155 | 20 - seq/clusters_seq/cluster_1156 | 10 - seq/clusters_seq/cluster_1157 | 15 - seq/clusters_seq/cluster_1158 | 15 - seq/clusters_seq/cluster_1159 | 9 - seq/clusters_seq/cluster_116 | 181 - seq/clusters_seq/cluster_1160 | 15 - seq/clusters_seq/cluster_1161 | 12 - seq/clusters_seq/cluster_1162 | 15 - seq/clusters_seq/cluster_1163 | 12 - seq/clusters_seq/cluster_1164 | 78 - seq/clusters_seq/cluster_1165 | 15 - seq/clusters_seq/cluster_1166 | 12 - seq/clusters_seq/cluster_1167 | 9 - seq/clusters_seq/cluster_1168 | 15 - seq/clusters_seq/cluster_1169 | 37 - seq/clusters_seq/cluster_117 | 516 - seq/clusters_seq/cluster_1170 | 17 - seq/clusters_seq/cluster_1171 | 30 - seq/clusters_seq/cluster_1172 | 30 - seq/clusters_seq/cluster_1173 | 23 - seq/clusters_seq/cluster_1174 | 9 - seq/clusters_seq/cluster_1175 | 31 - seq/clusters_seq/cluster_1176 | 19 - seq/clusters_seq/cluster_1177 | 39 - seq/clusters_seq/cluster_1178 | 39 - seq/clusters_seq/cluster_1179 | 44 - seq/clusters_seq/cluster_118 | 1148 -- seq/clusters_seq/cluster_1180 | 47 - seq/clusters_seq/cluster_1181 | 55 - seq/clusters_seq/cluster_1182 | 32 - seq/clusters_seq/cluster_1183 | 87 - seq/clusters_seq/cluster_1184 | 53 - seq/clusters_seq/cluster_1185 | 64 - seq/clusters_seq/cluster_1186 | 60 - seq/clusters_seq/cluster_1187 | 48 - seq/clusters_seq/cluster_1188 | 24 - seq/clusters_seq/cluster_1189 | 46 - seq/clusters_seq/cluster_119 | 509 - seq/clusters_seq/cluster_1190 | 16 - seq/clusters_seq/cluster_1191 | 12 - seq/clusters_seq/cluster_1192 | 16 - seq/clusters_seq/cluster_1193 | 18 - seq/clusters_seq/cluster_1194 | 15 - seq/clusters_seq/cluster_1195 | 93 - seq/clusters_seq/cluster_1196 | 24 - seq/clusters_seq/cluster_1197 | 12 - seq/clusters_seq/cluster_1198 | 37 - seq/clusters_seq/cluster_1199 | 18 - seq/clusters_seq/cluster_12 | 2644 --- seq/clusters_seq/cluster_120 | 592 - seq/clusters_seq/cluster_1200 | 62 - seq/clusters_seq/cluster_1201 | 49 - seq/clusters_seq/cluster_1202 | 15 - seq/clusters_seq/cluster_1203 | 9 - seq/clusters_seq/cluster_1204 | 9 - seq/clusters_seq/cluster_1205 | 69 - seq/clusters_seq/cluster_1206 | 52 - seq/clusters_seq/cluster_1207 | 29 - seq/clusters_seq/cluster_1208 | 15 - seq/clusters_seq/cluster_1209 | 15 - seq/clusters_seq/cluster_121 | 1198 -- seq/clusters_seq/cluster_1210 | 15 - seq/clusters_seq/cluster_1211 | 104 - seq/clusters_seq/cluster_1212 | 9 - seq/clusters_seq/cluster_1213 | 15 - seq/clusters_seq/cluster_1214 | 30 - seq/clusters_seq/cluster_1215 | 33 - seq/clusters_seq/cluster_1216 | 17 - seq/clusters_seq/cluster_1217 | 15 - seq/clusters_seq/cluster_1218 | 68 - seq/clusters_seq/cluster_1219 | 13 - seq/clusters_seq/cluster_122 | 964 - seq/clusters_seq/cluster_1220 | 24 - seq/clusters_seq/cluster_1221 | 24 - seq/clusters_seq/cluster_1222 | 17 - seq/clusters_seq/cluster_1223 | 73 - seq/clusters_seq/cluster_1224 | 60 - seq/clusters_seq/cluster_1225 | 12 - seq/clusters_seq/cluster_1226 | 14 - seq/clusters_seq/cluster_1227 | 17 - seq/clusters_seq/cluster_1228 | 12 - seq/clusters_seq/cluster_1229 | 18 - seq/clusters_seq/cluster_123 | 374 - seq/clusters_seq/cluster_1230 | 15 - seq/clusters_seq/cluster_1231 | 9 - seq/clusters_seq/cluster_1232 | 12 - seq/clusters_seq/cluster_1233 | 9 - seq/clusters_seq/cluster_1234 | 118 - seq/clusters_seq/cluster_1235 | 41 - seq/clusters_seq/cluster_1236 | 18 - seq/clusters_seq/cluster_1237 | 36 - seq/clusters_seq/cluster_1238 | 39 - seq/clusters_seq/cluster_1239 | 15 - seq/clusters_seq/cluster_124 | 1627 -- seq/clusters_seq/cluster_1240 | 12 - seq/clusters_seq/cluster_1241 | 21 - seq/clusters_seq/cluster_1242 | 12 - seq/clusters_seq/cluster_1243 | 12 - seq/clusters_seq/cluster_1244 | 18 - seq/clusters_seq/cluster_1245 | 18 - seq/clusters_seq/cluster_1246 | 42 - seq/clusters_seq/cluster_1247 | 18 - seq/clusters_seq/cluster_1248 | 27 - seq/clusters_seq/cluster_1249 | 21 - seq/clusters_seq/cluster_125 | 337 - seq/clusters_seq/cluster_1250 | 15 - seq/clusters_seq/cluster_1251 | 20 - seq/clusters_seq/cluster_1252 | 9 - seq/clusters_seq/cluster_1253 | 47 - seq/clusters_seq/cluster_1254 | 21 - seq/clusters_seq/cluster_1255 | 19 - seq/clusters_seq/cluster_1256 | 59 - seq/clusters_seq/cluster_1257 | 15 - seq/clusters_seq/cluster_1258 | 21 - seq/clusters_seq/cluster_1259 | 11 - seq/clusters_seq/cluster_126 | 344 - seq/clusters_seq/cluster_1260 | 9 - seq/clusters_seq/cluster_1261 | 11 - seq/clusters_seq/cluster_1262 | 18 - seq/clusters_seq/cluster_1263 | 9 - seq/clusters_seq/cluster_1264 | 11 - seq/clusters_seq/cluster_1265 | 59 - seq/clusters_seq/cluster_1266 | 28 - seq/clusters_seq/cluster_1267 | 25 - seq/clusters_seq/cluster_1268 | 16 - seq/clusters_seq/cluster_1269 | 19 - seq/clusters_seq/cluster_127 | 280 - seq/clusters_seq/cluster_1270 | 144 - seq/clusters_seq/cluster_1271 | 18 - seq/clusters_seq/cluster_1272 | 30 - seq/clusters_seq/cluster_1273 | 155 - seq/clusters_seq/cluster_1274 | 28 - seq/clusters_seq/cluster_1275 | 94 - seq/clusters_seq/cluster_1276 | 18 - seq/clusters_seq/cluster_1277 | 24 - seq/clusters_seq/cluster_1278 | 20 - seq/clusters_seq/cluster_1279 | 9 - seq/clusters_seq/cluster_128 | 592 - seq/clusters_seq/cluster_1280 | 25 - seq/clusters_seq/cluster_1281 | 70 - seq/clusters_seq/cluster_1282 | 27 - seq/clusters_seq/cluster_1283 | 25 - seq/clusters_seq/cluster_1284 | 38 - seq/clusters_seq/cluster_1285 | 57 - seq/clusters_seq/cluster_1286 | 32 - seq/clusters_seq/cluster_1287 | 31 - seq/clusters_seq/cluster_1288 | 50 - seq/clusters_seq/cluster_1289 | 24 - seq/clusters_seq/cluster_129 | 365 - seq/clusters_seq/cluster_1290 | 50 - seq/clusters_seq/cluster_1291 | 40 - seq/clusters_seq/cluster_1292 | 24 - seq/clusters_seq/cluster_1293 | 42 - seq/clusters_seq/cluster_1294 | 18 - seq/clusters_seq/cluster_1295 | 126 - seq/clusters_seq/cluster_1296 | 74 - seq/clusters_seq/cluster_1297 | 15 - seq/clusters_seq/cluster_1298 | 50 - seq/clusters_seq/cluster_1299 | 52 - seq/clusters_seq/cluster_13 | 372 - seq/clusters_seq/cluster_130 | 350 - seq/clusters_seq/cluster_1300 | 41 - seq/clusters_seq/cluster_1301 | 73 - seq/clusters_seq/cluster_1302 | 80 - seq/clusters_seq/cluster_1303 | 41 - seq/clusters_seq/cluster_1304 | 36 - seq/clusters_seq/cluster_1305 | 65 - seq/clusters_seq/cluster_1306 | 40 - seq/clusters_seq/cluster_1307 | 18 - seq/clusters_seq/cluster_1308 | 16 - seq/clusters_seq/cluster_1309 | 19 - seq/clusters_seq/cluster_131 | 374 - seq/clusters_seq/cluster_1310 | 22 - seq/clusters_seq/cluster_1311 | 21 - seq/clusters_seq/cluster_1312 | 60 - seq/clusters_seq/cluster_1313 | 18 - seq/clusters_seq/cluster_1314 | 33 - seq/clusters_seq/cluster_1315 | 16 - seq/clusters_seq/cluster_1316 | 15 - seq/clusters_seq/cluster_1317 | 15 - seq/clusters_seq/cluster_1318 | 9 - seq/clusters_seq/cluster_1319 | 13 - seq/clusters_seq/cluster_132 | 492 - seq/clusters_seq/cluster_1320 | 42 - seq/clusters_seq/cluster_1321 | 122 - seq/clusters_seq/cluster_1322 | 16 - seq/clusters_seq/cluster_1323 | 30 - seq/clusters_seq/cluster_1324 | 24 - seq/clusters_seq/cluster_1325 | 26 - seq/clusters_seq/cluster_1326 | 19 - seq/clusters_seq/cluster_1327 | 49 - seq/clusters_seq/cluster_1328 | 12 - seq/clusters_seq/cluster_1329 | 21 - seq/clusters_seq/cluster_133 | 102 - seq/clusters_seq/cluster_1330 | 19 - seq/clusters_seq/cluster_1331 | 15 - seq/clusters_seq/cluster_1332 | 21 - seq/clusters_seq/cluster_1333 | 16 - seq/clusters_seq/cluster_1334 | 12 - seq/clusters_seq/cluster_1335 | 21 - seq/clusters_seq/cluster_1336 | 14 - seq/clusters_seq/cluster_1337 | 27 - seq/clusters_seq/cluster_1338 | 12 - seq/clusters_seq/cluster_1339 | 24 - seq/clusters_seq/cluster_134 | 956 - seq/clusters_seq/cluster_1340 | 9 - seq/clusters_seq/cluster_1341 | 21 - seq/clusters_seq/cluster_1342 | 12 - seq/clusters_seq/cluster_1343 | 12 - seq/clusters_seq/cluster_1344 | 9 - seq/clusters_seq/cluster_1345 | 18 - seq/clusters_seq/cluster_1346 | 9 - seq/clusters_seq/cluster_1347 | 30 - seq/clusters_seq/cluster_1348 | 12 - seq/clusters_seq/cluster_1349 | 12 - seq/clusters_seq/cluster_135 | 518 - seq/clusters_seq/cluster_1350 | 15 - seq/clusters_seq/cluster_1351 | 12 - seq/clusters_seq/cluster_1352 | 18 - seq/clusters_seq/cluster_1353 | 21 - seq/clusters_seq/cluster_1354 | 21 - seq/clusters_seq/cluster_1355 | 24 - seq/clusters_seq/cluster_1356 | 35 - seq/clusters_seq/cluster_1357 | 39 - seq/clusters_seq/cluster_1358 | 48 - seq/clusters_seq/cluster_1359 | 16 - seq/clusters_seq/cluster_136 | 473 - seq/clusters_seq/cluster_1360 | 15 - seq/clusters_seq/cluster_1361 | 18 - seq/clusters_seq/cluster_1362 | 10 - seq/clusters_seq/cluster_1363 | 21 - seq/clusters_seq/cluster_1364 | 9 - seq/clusters_seq/cluster_1365 | 9 - seq/clusters_seq/cluster_1366 | 15 - seq/clusters_seq/cluster_1367 | 18 - seq/clusters_seq/cluster_1368 | 12 - seq/clusters_seq/cluster_1369 | 15 - seq/clusters_seq/cluster_137 | 511 - seq/clusters_seq/cluster_1370 | 21 - seq/clusters_seq/cluster_1371 | 21 - seq/clusters_seq/cluster_1372 | 24 - seq/clusters_seq/cluster_1373 | 31 - seq/clusters_seq/cluster_1374 | 16 - seq/clusters_seq/cluster_1375 | 16 - seq/clusters_seq/cluster_1376 | 36 - seq/clusters_seq/cluster_1377 | 18 - seq/clusters_seq/cluster_1378 | 12 - seq/clusters_seq/cluster_1379 | 15 - seq/clusters_seq/cluster_138 | 1466 -- seq/clusters_seq/cluster_1380 | 45 - seq/clusters_seq/cluster_1381 | 18 - seq/clusters_seq/cluster_1382 | 15 - seq/clusters_seq/cluster_1383 | 84 - seq/clusters_seq/cluster_1384 | 30 - seq/clusters_seq/cluster_139 | 269 - seq/clusters_seq/cluster_14 | 2681 --- seq/clusters_seq/cluster_140 | 330 - seq/clusters_seq/cluster_141 | 1074 -- seq/clusters_seq/cluster_142 | 330 - seq/clusters_seq/cluster_143 | 772 - seq/clusters_seq/cluster_144 | 325 - seq/clusters_seq/cluster_145 | 497 - seq/clusters_seq/cluster_146 | 132 - seq/clusters_seq/cluster_147 | 128 - seq/clusters_seq/cluster_148 | 730 - seq/clusters_seq/cluster_149 | 323 - seq/clusters_seq/cluster_15 | 1973 --- seq/clusters_seq/cluster_150 | 437 - seq/clusters_seq/cluster_151 | 966 -- seq/clusters_seq/cluster_152 | 1398 -- seq/clusters_seq/cluster_153 | 1055 -- seq/clusters_seq/cluster_154 | 320 - seq/clusters_seq/cluster_155 | 297 - seq/clusters_seq/cluster_156 | 1468 -- seq/clusters_seq/cluster_157 | 186 - seq/clusters_seq/cluster_158 | 255 - seq/clusters_seq/cluster_159 | 296 - seq/clusters_seq/cluster_16 | 504 - seq/clusters_seq/cluster_160 | 550 - seq/clusters_seq/cluster_161 | 310 - seq/clusters_seq/cluster_162 | 191 - seq/clusters_seq/cluster_163 | 309 - seq/clusters_seq/cluster_164 | 762 - seq/clusters_seq/cluster_165 | 971 -- seq/clusters_seq/cluster_166 | 1236 -- seq/clusters_seq/cluster_167 | 385 - seq/clusters_seq/cluster_168 | 240 - seq/clusters_seq/cluster_169 | 1361 -- seq/clusters_seq/cluster_17 | 488 - seq/clusters_seq/cluster_170 | 994 -- seq/clusters_seq/cluster_171 | 160 - seq/clusters_seq/cluster_172 | 1044 -- seq/clusters_seq/cluster_173 | 609 - seq/clusters_seq/cluster_174 | 1018 -- seq/clusters_seq/cluster_175 | 1194 -- seq/clusters_seq/cluster_176 | 146 - seq/clusters_seq/cluster_177 | 203 - seq/clusters_seq/cluster_178 | 253 - seq/clusters_seq/cluster_179 | 147 - seq/clusters_seq/cluster_18 | 386 - seq/clusters_seq/cluster_180 | 480 - seq/clusters_seq/cluster_181 | 168 - seq/clusters_seq/cluster_182 | 531 - seq/clusters_seq/cluster_183 | 382 - seq/clusters_seq/cluster_184 | 220 - seq/clusters_seq/cluster_185 | 871 - seq/clusters_seq/cluster_186 | 1631 -- seq/clusters_seq/cluster_187 | 460 - seq/clusters_seq/cluster_188 | 559 - seq/clusters_seq/cluster_189 | 168 - seq/clusters_seq/cluster_19 | 1672 -- seq/clusters_seq/cluster_190 | 240 - seq/clusters_seq/cluster_191 | 237 - seq/clusters_seq/cluster_192 | 257 - seq/clusters_seq/cluster_193 | 135 - seq/clusters_seq/cluster_194 | 843 - seq/clusters_seq/cluster_195 | 135 - seq/clusters_seq/cluster_196 | 353 - seq/clusters_seq/cluster_197 | 307 - seq/clusters_seq/cluster_198 | 596 - seq/clusters_seq/cluster_199 | 107 - seq/clusters_seq/cluster_2 | 5598 ------ seq/clusters_seq/cluster_20 | 764 - seq/clusters_seq/cluster_200 | 318 - seq/clusters_seq/cluster_201 | 78 - seq/clusters_seq/cluster_202 | 234 - seq/clusters_seq/cluster_203 | 746 - seq/clusters_seq/cluster_204 | 444 - seq/clusters_seq/cluster_205 | 174 - seq/clusters_seq/cluster_206 | 814 - seq/clusters_seq/cluster_207 | 161 - seq/clusters_seq/cluster_208 | 828 - seq/clusters_seq/cluster_209 | 299 - seq/clusters_seq/cluster_21 | 453 - seq/clusters_seq/cluster_210 | 245 - seq/clusters_seq/cluster_211 | 196 - seq/clusters_seq/cluster_212 | 179 - seq/clusters_seq/cluster_213 | 168 - seq/clusters_seq/cluster_214 | 618 - seq/clusters_seq/cluster_215 | 606 - seq/clusters_seq/cluster_216 | 355 - seq/clusters_seq/cluster_217 | 1448 -- seq/clusters_seq/cluster_218 | 92 - seq/clusters_seq/cluster_219 | 160 - seq/clusters_seq/cluster_22 | 2055 --- seq/clusters_seq/cluster_220 | 213 - seq/clusters_seq/cluster_221 | 160 - seq/clusters_seq/cluster_222 | 395 - seq/clusters_seq/cluster_223 | 779 - seq/clusters_seq/cluster_224 | 251 - seq/clusters_seq/cluster_225 | 337 - seq/clusters_seq/cluster_226 | 148 - seq/clusters_seq/cluster_227 | 228 - seq/clusters_seq/cluster_228 | 231 - seq/clusters_seq/cluster_229 | 218 - seq/clusters_seq/cluster_23 | 2355 --- seq/clusters_seq/cluster_230 | 92 - seq/clusters_seq/cluster_231 | 282 - seq/clusters_seq/cluster_232 | 88 - seq/clusters_seq/cluster_233 | 219 - seq/clusters_seq/cluster_234 | 158 - seq/clusters_seq/cluster_235 | 222 - seq/clusters_seq/cluster_236 | 585 - seq/clusters_seq/cluster_237 | 312 - seq/clusters_seq/cluster_238 | 281 - seq/clusters_seq/cluster_239 | 362 - seq/clusters_seq/cluster_24 | 450 - seq/clusters_seq/cluster_240 | 130 - seq/clusters_seq/cluster_241 | 132 - seq/clusters_seq/cluster_242 | 104 - seq/clusters_seq/cluster_243 | 63 - seq/clusters_seq/cluster_244 | 142 - seq/clusters_seq/cluster_245 | 188 - seq/clusters_seq/cluster_246 | 105 - seq/clusters_seq/cluster_247 | 126 - seq/clusters_seq/cluster_248 | 147 - seq/clusters_seq/cluster_249 | 216 - seq/clusters_seq/cluster_25 | 221 - seq/clusters_seq/cluster_250 | 149 - seq/clusters_seq/cluster_251 | 381 - seq/clusters_seq/cluster_252 | 126 - seq/clusters_seq/cluster_253 | 184 - seq/clusters_seq/cluster_254 | 79 - seq/clusters_seq/cluster_255 | 79 - seq/clusters_seq/cluster_256 | 160 - seq/clusters_seq/cluster_257 | 239 - seq/clusters_seq/cluster_258 | 393 - seq/clusters_seq/cluster_259 | 176 - seq/clusters_seq/cluster_26 | 2173 --- seq/clusters_seq/cluster_260 | 271 - seq/clusters_seq/cluster_261 | 280 - seq/clusters_seq/cluster_262 | 82 - seq/clusters_seq/cluster_263 | 60 - seq/clusters_seq/cluster_264 | 100 - seq/clusters_seq/cluster_265 | 60 - seq/clusters_seq/cluster_266 | 420 - seq/clusters_seq/cluster_267 | 211 - seq/clusters_seq/cluster_268 | 846 - seq/clusters_seq/cluster_269 | 276 - seq/clusters_seq/cluster_27 | 644 - seq/clusters_seq/cluster_270 | 570 - seq/clusters_seq/cluster_271 | 191 - seq/clusters_seq/cluster_272 | 171 - seq/clusters_seq/cluster_273 | 96 - seq/clusters_seq/cluster_274 | 133 - seq/clusters_seq/cluster_275 | 140 - seq/clusters_seq/cluster_276 | 190 - seq/clusters_seq/cluster_277 | 152 - seq/clusters_seq/cluster_278 | 57 - seq/clusters_seq/cluster_279 | 813 - seq/clusters_seq/cluster_28 | 423 - seq/clusters_seq/cluster_280 | 190 - seq/clusters_seq/cluster_281 | 205 - seq/clusters_seq/cluster_282 | 321 - seq/clusters_seq/cluster_283 | 215 - seq/clusters_seq/cluster_284 | 95 - seq/clusters_seq/cluster_285 | 76 - seq/clusters_seq/cluster_286 | 72 - seq/clusters_seq/cluster_287 | 111 - seq/clusters_seq/cluster_288 | 1111 -- seq/clusters_seq/cluster_289 | 304 - seq/clusters_seq/cluster_29 | 2373 --- seq/clusters_seq/cluster_290 | 160 - seq/clusters_seq/cluster_291 | 190 - seq/clusters_seq/cluster_292 | 340 - seq/clusters_seq/cluster_293 | 126 - seq/clusters_seq/cluster_294 | 393 - seq/clusters_seq/cluster_295 | 90 - seq/clusters_seq/cluster_296 | 72 - seq/clusters_seq/cluster_297 | 180 - seq/clusters_seq/cluster_298 | 370 - seq/clusters_seq/cluster_299 | 72 - seq/clusters_seq/cluster_3 | 5220 ------ seq/clusters_seq/cluster_30 | 2929 ---- seq/clusters_seq/cluster_300 | 72 - seq/clusters_seq/cluster_301 | 307 - seq/clusters_seq/cluster_302 | 531 - seq/clusters_seq/cluster_303 | 214 - seq/clusters_seq/cluster_304 | 245 - seq/clusters_seq/cluster_305 | 102 - seq/clusters_seq/cluster_306 | 129 - seq/clusters_seq/cluster_307 | 1623 -- seq/clusters_seq/cluster_308 | 135 - seq/clusters_seq/cluster_309 | 131 - seq/clusters_seq/cluster_31 | 1624 -- seq/clusters_seq/cluster_310 | 137 - seq/clusters_seq/cluster_311 | 245 - seq/clusters_seq/cluster_312 | 68 - seq/clusters_seq/cluster_313 | 64 - seq/clusters_seq/cluster_314 | 161 - seq/clusters_seq/cluster_315 | 63 - seq/clusters_seq/cluster_316 | 128 - seq/clusters_seq/cluster_317 | 508 - seq/clusters_seq/cluster_318 | 79 - seq/clusters_seq/cluster_319 | 496 - seq/clusters_seq/cluster_32 | 1223 -- seq/clusters_seq/cluster_320 | 252 - seq/clusters_seq/cluster_321 | 583 - seq/clusters_seq/cluster_322 | 114 - seq/clusters_seq/cluster_323 | 225 - seq/clusters_seq/cluster_324 | 80 - seq/clusters_seq/cluster_325 | 69 - seq/clusters_seq/cluster_326 | 59 - seq/clusters_seq/cluster_327 | 129 - seq/clusters_seq/cluster_328 | 147 - seq/clusters_seq/cluster_329 | 135 - seq/clusters_seq/cluster_33 | 983 -- seq/clusters_seq/cluster_330 | 273 - seq/clusters_seq/cluster_331 | 45 - seq/clusters_seq/cluster_332 | 45 - seq/clusters_seq/cluster_333 | 60 - seq/clusters_seq/cluster_334 | 91 - seq/clusters_seq/cluster_335 | 127 - seq/clusters_seq/cluster_336 | 79 - seq/clusters_seq/cluster_337 | 299 - seq/clusters_seq/cluster_338 | 90 - seq/clusters_seq/cluster_339 | 226 - seq/clusters_seq/cluster_34 | 469 - seq/clusters_seq/cluster_340 | 172 - seq/clusters_seq/cluster_341 | 289 - seq/clusters_seq/cluster_342 | 188 - seq/clusters_seq/cluster_343 | 109 - seq/clusters_seq/cluster_344 | 68 - seq/clusters_seq/cluster_345 | 60 - seq/clusters_seq/cluster_346 | 75 - seq/clusters_seq/cluster_347 | 145 - seq/clusters_seq/cluster_348 | 125 - seq/clusters_seq/cluster_349 | 156 - seq/clusters_seq/cluster_35 | 698 - seq/clusters_seq/cluster_350 | 165 - seq/clusters_seq/cluster_351 | 154 - seq/clusters_seq/cluster_352 | 223 - seq/clusters_seq/cluster_353 | 84 - seq/clusters_seq/cluster_354 | 150 - seq/clusters_seq/cluster_355 | 140 - seq/clusters_seq/cluster_356 | 98 - seq/clusters_seq/cluster_357 | 56 - seq/clusters_seq/cluster_358 | 148 - seq/clusters_seq/cluster_359 | 83 - seq/clusters_seq/cluster_36 | 1205 -- seq/clusters_seq/cluster_360 | 213 - seq/clusters_seq/cluster_361 | 56 - seq/clusters_seq/cluster_362 | 84 - seq/clusters_seq/cluster_363 | 196 - seq/clusters_seq/cluster_364 | 56 - seq/clusters_seq/cluster_365 | 45 - seq/clusters_seq/cluster_366 | 75 - seq/clusters_seq/cluster_367 | 454 - seq/clusters_seq/cluster_368 | 199 - seq/clusters_seq/cluster_369 | 78 - seq/clusters_seq/cluster_37 | 1796 -- seq/clusters_seq/cluster_370 | 91 - seq/clusters_seq/cluster_371 | 52 - seq/clusters_seq/cluster_372 | 144 - seq/clusters_seq/cluster_373 | 39 - seq/clusters_seq/cluster_374 | 52 - seq/clusters_seq/cluster_375 | 65 - seq/clusters_seq/cluster_376 | 78 - seq/clusters_seq/cluster_377 | 52 - seq/clusters_seq/cluster_378 | 78 - seq/clusters_seq/cluster_379 | 129 - seq/clusters_seq/cluster_38 | 801 - seq/clusters_seq/cluster_380 | 79 - seq/clusters_seq/cluster_381 | 118 - seq/clusters_seq/cluster_382 | 78 - seq/clusters_seq/cluster_383 | 77 - seq/clusters_seq/cluster_384 | 64 - seq/clusters_seq/cluster_385 | 112 - seq/clusters_seq/cluster_386 | 136 - seq/clusters_seq/cluster_387 | 93 - seq/clusters_seq/cluster_388 | 169 - seq/clusters_seq/cluster_389 | 70 - seq/clusters_seq/cluster_39 | 766 - seq/clusters_seq/cluster_390 | 150 - seq/clusters_seq/cluster_391 | 489 - seq/clusters_seq/cluster_392 | 60 - seq/clusters_seq/cluster_393 | 96 - seq/clusters_seq/cluster_394 | 84 - seq/clusters_seq/cluster_395 | 150 - seq/clusters_seq/cluster_396 | 211 - seq/clusters_seq/cluster_397 | 222 - seq/clusters_seq/cluster_398 | 101 - seq/clusters_seq/cluster_399 | 73 - seq/clusters_seq/cluster_4 | 5328 ------ seq/clusters_seq/cluster_40 | 863 - seq/clusters_seq/cluster_400 | 60 - seq/clusters_seq/cluster_401 | 84 - seq/clusters_seq/cluster_402 | 61 - seq/clusters_seq/cluster_403 | 406 - seq/clusters_seq/cluster_404 | 393 - seq/clusters_seq/cluster_405 | 48 - seq/clusters_seq/cluster_406 | 60 - seq/clusters_seq/cluster_407 | 49 - seq/clusters_seq/cluster_408 | 166 - seq/clusters_seq/cluster_409 | 107 - seq/clusters_seq/cluster_41 | 1870 -- seq/clusters_seq/cluster_410 | 67 - seq/clusters_seq/cluster_411 | 72 - seq/clusters_seq/cluster_412 | 102 - seq/clusters_seq/cluster_413 | 207 - seq/clusters_seq/cluster_414 | 148 - seq/clusters_seq/cluster_415 | 144 - seq/clusters_seq/cluster_416 | 84 - seq/clusters_seq/cluster_417 | 88 - seq/clusters_seq/cluster_418 | 80 - seq/clusters_seq/cluster_419 | 53 - seq/clusters_seq/cluster_42 | 485 - seq/clusters_seq/cluster_420 | 110 - seq/clusters_seq/cluster_421 | 173 - seq/clusters_seq/cluster_422 | 44 - seq/clusters_seq/cluster_423 | 167 - seq/clusters_seq/cluster_424 | 140 - seq/clusters_seq/cluster_425 | 36 - seq/clusters_seq/cluster_426 | 115 - seq/clusters_seq/cluster_427 | 98 - seq/clusters_seq/cluster_428 | 66 - seq/clusters_seq/cluster_429 | 131 - seq/clusters_seq/cluster_43 | 523 - seq/clusters_seq/cluster_430 | 66 - seq/clusters_seq/cluster_431 | 69 - seq/clusters_seq/cluster_432 | 87 - seq/clusters_seq/cluster_433 | 55 - seq/clusters_seq/cluster_434 | 37 - seq/clusters_seq/cluster_435 | 313 - seq/clusters_seq/cluster_436 | 244 - seq/clusters_seq/cluster_437 | 181 - seq/clusters_seq/cluster_438 | 66 - seq/clusters_seq/cluster_439 | 75 - seq/clusters_seq/cluster_44 | 422 - seq/clusters_seq/cluster_440 | 33 - seq/clusters_seq/cluster_441 | 112 - seq/clusters_seq/cluster_442 | 86 - seq/clusters_seq/cluster_443 | 179 - seq/clusters_seq/cluster_444 | 101 - seq/clusters_seq/cluster_445 | 104 - seq/clusters_seq/cluster_446 | 72 - seq/clusters_seq/cluster_447 | 60 - seq/clusters_seq/cluster_448 | 40 - seq/clusters_seq/cluster_449 | 60 - seq/clusters_seq/cluster_45 | 291 - seq/clusters_seq/cluster_450 | 145 - seq/clusters_seq/cluster_451 | 73 - seq/clusters_seq/cluster_452 | 32 - seq/clusters_seq/cluster_453 | 61 - seq/clusters_seq/cluster_454 | 137 - seq/clusters_seq/cluster_455 | 60 - seq/clusters_seq/cluster_456 | 269 - seq/clusters_seq/cluster_457 | 50 - seq/clusters_seq/cluster_458 | 58 - seq/clusters_seq/cluster_459 | 143 - seq/clusters_seq/cluster_46 | 759 - seq/clusters_seq/cluster_460 | 192 - seq/clusters_seq/cluster_461 | 240 - seq/clusters_seq/cluster_462 | 65 - seq/clusters_seq/cluster_463 | 40 - seq/clusters_seq/cluster_464 | 151 - seq/clusters_seq/cluster_465 | 60 - seq/clusters_seq/cluster_466 | 564 - seq/clusters_seq/cluster_467 | 808 - seq/clusters_seq/cluster_468 | 98 - seq/clusters_seq/cluster_469 | 110 - seq/clusters_seq/cluster_47 | 236 - seq/clusters_seq/cluster_470 | 50 - seq/clusters_seq/cluster_471 | 107 - seq/clusters_seq/cluster_472 | 454 - seq/clusters_seq/cluster_473 | 184 - seq/clusters_seq/cluster_474 | 36 - seq/clusters_seq/cluster_475 | 37 - seq/clusters_seq/cluster_476 | 73 - seq/clusters_seq/cluster_477 | 81 - seq/clusters_seq/cluster_478 | 202 - seq/clusters_seq/cluster_479 | 263 - seq/clusters_seq/cluster_48 | 2528 --- seq/clusters_seq/cluster_480 | 282 - seq/clusters_seq/cluster_481 | 107 - seq/clusters_seq/cluster_482 | 45 - seq/clusters_seq/cluster_483 | 72 - seq/clusters_seq/cluster_484 | 54 - seq/clusters_seq/cluster_485 | 43 - seq/clusters_seq/cluster_486 | 36 - seq/clusters_seq/cluster_487 | 47 - seq/clusters_seq/cluster_488 | 140 - seq/clusters_seq/cluster_489 | 64 - seq/clusters_seq/cluster_49 | 736 - seq/clusters_seq/cluster_490 | 110 - seq/clusters_seq/cluster_491 | 75 - seq/clusters_seq/cluster_492 | 95 - seq/clusters_seq/cluster_493 | 82 - seq/clusters_seq/cluster_494 | 38 - seq/clusters_seq/cluster_495 | 105 - seq/clusters_seq/cluster_496 | 255 - seq/clusters_seq/cluster_497 | 45 - seq/clusters_seq/cluster_498 | 51 - seq/clusters_seq/cluster_499 | 62 - seq/clusters_seq/cluster_5 | 3636 ---- seq/clusters_seq/cluster_50 | 221 - seq/clusters_seq/cluster_500 | 99 - seq/clusters_seq/cluster_501 | 109 - seq/clusters_seq/cluster_502 | 134 - seq/clusters_seq/cluster_503 | 36 - seq/clusters_seq/cluster_504 | 206 - seq/clusters_seq/cluster_505 | 249 - seq/clusters_seq/cluster_506 | 145 - seq/clusters_seq/cluster_507 | 150 - seq/clusters_seq/cluster_508 | 31 - seq/clusters_seq/cluster_509 | 177 - seq/clusters_seq/cluster_51 | 3505 ---- seq/clusters_seq/cluster_510 | 81 - seq/clusters_seq/cluster_511 | 36 - seq/clusters_seq/cluster_512 | 24 - seq/clusters_seq/cluster_513 | 24 - seq/clusters_seq/cluster_514 | 32 - seq/clusters_seq/cluster_515 | 32 - seq/clusters_seq/cluster_516 | 55 - seq/clusters_seq/cluster_517 | 42 - seq/clusters_seq/cluster_518 | 95 - seq/clusters_seq/cluster_519 | 56 - seq/clusters_seq/cluster_52 | 1284 -- seq/clusters_seq/cluster_520 | 50 - seq/clusters_seq/cluster_521 | 64 - seq/clusters_seq/cluster_522 | 131 - seq/clusters_seq/cluster_523 | 109 - seq/clusters_seq/cluster_524 | 259 - seq/clusters_seq/cluster_525 | 75 - seq/clusters_seq/cluster_526 | 98 - seq/clusters_seq/cluster_527 | 80 - seq/clusters_seq/cluster_528 | 56 - seq/clusters_seq/cluster_529 | 62 - seq/clusters_seq/cluster_53 | 336 - seq/clusters_seq/cluster_530 | 37 - seq/clusters_seq/cluster_531 | 49 - seq/clusters_seq/cluster_532 | 80 - seq/clusters_seq/cluster_533 | 233 - seq/clusters_seq/cluster_534 | 80 - seq/clusters_seq/cluster_535 | 41 - seq/clusters_seq/cluster_536 | 42 - seq/clusters_seq/cluster_537 | 32 - seq/clusters_seq/cluster_538 | 369 - seq/clusters_seq/cluster_539 | 48 - seq/clusters_seq/cluster_54 | 224 - seq/clusters_seq/cluster_540 | 46 - seq/clusters_seq/cluster_541 | 198 - seq/clusters_seq/cluster_542 | 48 - seq/clusters_seq/cluster_543 | 177 - seq/clusters_seq/cluster_544 | 32 - seq/clusters_seq/cluster_545 | 257 - seq/clusters_seq/cluster_546 | 48 - seq/clusters_seq/cluster_547 | 90 - seq/clusters_seq/cluster_548 | 40 - seq/clusters_seq/cluster_549 | 28 - seq/clusters_seq/cluster_55 | 2116 --- seq/clusters_seq/cluster_550 | 27 - seq/clusters_seq/cluster_551 | 122 - seq/clusters_seq/cluster_552 | 118 - seq/clusters_seq/cluster_553 | 34 - seq/clusters_seq/cluster_554 | 86 - seq/clusters_seq/cluster_555 | 56 - seq/clusters_seq/cluster_556 | 53 - seq/clusters_seq/cluster_557 | 47 - seq/clusters_seq/cluster_558 | 97 - seq/clusters_seq/cluster_559 | 64 - seq/clusters_seq/cluster_56 | 822 - seq/clusters_seq/cluster_560 | 32 - seq/clusters_seq/cluster_561 | 82 - seq/clusters_seq/cluster_562 | 118 - seq/clusters_seq/cluster_563 | 156 - seq/clusters_seq/cluster_564 | 69 - seq/clusters_seq/cluster_565 | 269 - seq/clusters_seq/cluster_566 | 76 - seq/clusters_seq/cluster_567 | 129 - seq/clusters_seq/cluster_568 | 349 - seq/clusters_seq/cluster_569 | 64 - seq/clusters_seq/cluster_57 | 704 - seq/clusters_seq/cluster_570 | 32 - seq/clusters_seq/cluster_571 | 66 - seq/clusters_seq/cluster_572 | 28 - seq/clusters_seq/cluster_573 | 38 - seq/clusters_seq/cluster_574 | 42 - seq/clusters_seq/cluster_575 | 37 - seq/clusters_seq/cluster_576 | 56 - seq/clusters_seq/cluster_577 | 88 - seq/clusters_seq/cluster_578 | 96 - seq/clusters_seq/cluster_579 | 93 - seq/clusters_seq/cluster_58 | 1119 -- seq/clusters_seq/cluster_580 | 47 - seq/clusters_seq/cluster_581 | 48 - seq/clusters_seq/cluster_582 | 28 - seq/clusters_seq/cluster_583 | 50 - seq/clusters_seq/cluster_584 | 64 - seq/clusters_seq/cluster_585 | 236 - seq/clusters_seq/cluster_586 | 28 - seq/clusters_seq/cluster_587 | 28 - seq/clusters_seq/cluster_588 | 70 - seq/clusters_seq/cluster_589 | 72 - seq/clusters_seq/cluster_59 | 530 - seq/clusters_seq/cluster_590 | 82 - seq/clusters_seq/cluster_591 | 49 - seq/clusters_seq/cluster_592 | 46 - seq/clusters_seq/cluster_593 | 28 - seq/clusters_seq/cluster_594 | 21 - seq/clusters_seq/cluster_595 | 35 - seq/clusters_seq/cluster_596 | 42 - seq/clusters_seq/cluster_597 | 37 - seq/clusters_seq/cluster_598 | 42 - seq/clusters_seq/cluster_599 | 233 - seq/clusters_seq/cluster_6 | 4681 ----- seq/clusters_seq/cluster_60 | 780 - seq/clusters_seq/cluster_600 | 307 - seq/clusters_seq/cluster_601 | 45 - seq/clusters_seq/cluster_602 | 42 - seq/clusters_seq/cluster_603 | 28 - seq/clusters_seq/cluster_604 | 25 - seq/clusters_seq/cluster_605 | 60 - seq/clusters_seq/cluster_606 | 35 - seq/clusters_seq/cluster_607 | 35 - seq/clusters_seq/cluster_608 | 28 - seq/clusters_seq/cluster_609 | 41 - seq/clusters_seq/cluster_61 | 1741 -- seq/clusters_seq/cluster_610 | 53 - seq/clusters_seq/cluster_611 | 69 - seq/clusters_seq/cluster_612 | 77 - seq/clusters_seq/cluster_613 | 83 - seq/clusters_seq/cluster_614 | 56 - seq/clusters_seq/cluster_615 | 56 - seq/clusters_seq/cluster_616 | 71 - seq/clusters_seq/cluster_617 | 78 - seq/clusters_seq/cluster_618 | 56 - seq/clusters_seq/cluster_619 | 51 - seq/clusters_seq/cluster_62 | 960 - seq/clusters_seq/cluster_620 | 28 - seq/clusters_seq/cluster_621 | 65 - seq/clusters_seq/cluster_622 | 55 - seq/clusters_seq/cluster_623 | 97 - seq/clusters_seq/cluster_624 | 30 - seq/clusters_seq/cluster_625 | 42 - seq/clusters_seq/cluster_626 | 112 - seq/clusters_seq/cluster_627 | 55 - seq/clusters_seq/cluster_628 | 69 - seq/clusters_seq/cluster_629 | 129 - seq/clusters_seq/cluster_63 | 5138 ------ seq/clusters_seq/cluster_630 | 55 - seq/clusters_seq/cluster_631 | 31 - seq/clusters_seq/cluster_632 | 230 - seq/clusters_seq/cluster_633 | 28 - seq/clusters_seq/cluster_634 | 126 - seq/clusters_seq/cluster_635 | 117 - seq/clusters_seq/cluster_636 | 142 - seq/clusters_seq/cluster_637 | 122 - seq/clusters_seq/cluster_638 | 54 - seq/clusters_seq/cluster_639 | 54 - seq/clusters_seq/cluster_64 | 1622 -- seq/clusters_seq/cluster_640 | 24 - seq/clusters_seq/cluster_641 | 24 - seq/clusters_seq/cluster_642 | 36 - seq/clusters_seq/cluster_643 | 24 - seq/clusters_seq/cluster_644 | 18 - seq/clusters_seq/cluster_645 | 54 - seq/clusters_seq/cluster_646 | 160 - seq/clusters_seq/cluster_647 | 42 - seq/clusters_seq/cluster_648 | 55 - seq/clusters_seq/cluster_649 | 37 - seq/clusters_seq/cluster_65 | 1675 -- seq/clusters_seq/cluster_650 | 78 - seq/clusters_seq/cluster_651 | 25 - seq/clusters_seq/cluster_652 | 184 - seq/clusters_seq/cluster_653 | 66 - seq/clusters_seq/cluster_654 | 36 - seq/clusters_seq/cluster_655 | 217 - seq/clusters_seq/cluster_656 | 36 - seq/clusters_seq/cluster_657 | 24 - seq/clusters_seq/cluster_658 | 76 - seq/clusters_seq/cluster_659 | 54 - seq/clusters_seq/cluster_66 | 198 - seq/clusters_seq/cluster_660 | 18 - seq/clusters_seq/cluster_661 | 62 - seq/clusters_seq/cluster_662 | 36 - seq/clusters_seq/cluster_663 | 36 - seq/clusters_seq/cluster_664 | 24 - seq/clusters_seq/cluster_665 | 36 - seq/clusters_seq/cluster_666 | 24 - seq/clusters_seq/cluster_667 | 66 - seq/clusters_seq/cluster_668 | 24 - seq/clusters_seq/cluster_669 | 24 - seq/clusters_seq/cluster_67 | 150 - seq/clusters_seq/cluster_670 | 18 - seq/clusters_seq/cluster_671 | 207 - seq/clusters_seq/cluster_672 | 54 - seq/clusters_seq/cluster_673 | 38 - seq/clusters_seq/cluster_674 | 24 - seq/clusters_seq/cluster_675 | 36 - seq/clusters_seq/cluster_676 | 76 - seq/clusters_seq/cluster_677 | 48 - seq/clusters_seq/cluster_678 | 48 - seq/clusters_seq/cluster_679 | 42 - seq/clusters_seq/cluster_68 | 249 - seq/clusters_seq/cluster_680 | 81 - seq/clusters_seq/cluster_681 | 63 - seq/clusters_seq/cluster_682 | 60 - seq/clusters_seq/cluster_683 | 47 - seq/clusters_seq/cluster_684 | 74 - seq/clusters_seq/cluster_685 | 32 - seq/clusters_seq/cluster_686 | 36 - seq/clusters_seq/cluster_687 | 30 - seq/clusters_seq/cluster_688 | 42 - seq/clusters_seq/cluster_689 | 36 - seq/clusters_seq/cluster_69 | 258 - seq/clusters_seq/cluster_690 | 30 - seq/clusters_seq/cluster_691 | 200 - seq/clusters_seq/cluster_692 | 36 - seq/clusters_seq/cluster_693 | 54 - seq/clusters_seq/cluster_694 | 36 - seq/clusters_seq/cluster_695 | 24 - seq/clusters_seq/cluster_696 | 24 - seq/clusters_seq/cluster_697 | 24 - seq/clusters_seq/cluster_698 | 65 - seq/clusters_seq/cluster_699 | 53 - seq/clusters_seq/cluster_7 | 1584 -- seq/clusters_seq/cluster_70 | 440 - seq/clusters_seq/cluster_700 | 42 - seq/clusters_seq/cluster_701 | 31 - seq/clusters_seq/cluster_702 | 30 - seq/clusters_seq/cluster_703 | 104 - seq/clusters_seq/cluster_704 | 47 - seq/clusters_seq/cluster_705 | 63 - seq/clusters_seq/cluster_706 | 30 - seq/clusters_seq/cluster_707 | 36 - seq/clusters_seq/cluster_708 | 44 - seq/clusters_seq/cluster_709 | 78 - seq/clusters_seq/cluster_71 | 1661 -- seq/clusters_seq/cluster_710 | 48 - seq/clusters_seq/cluster_711 | 73 - seq/clusters_seq/cluster_712 | 32 - seq/clusters_seq/cluster_713 | 121 - seq/clusters_seq/cluster_714 | 114 - seq/clusters_seq/cluster_715 | 98 - seq/clusters_seq/cluster_716 | 61 - seq/clusters_seq/cluster_717 | 29 - seq/clusters_seq/cluster_718 | 591 - seq/clusters_seq/cluster_719 | 18 - seq/clusters_seq/cluster_72 | 683 - seq/clusters_seq/cluster_720 | 314 - seq/clusters_seq/cluster_721 | 205 - seq/clusters_seq/cluster_722 | 42 - seq/clusters_seq/cluster_723 | 42 - seq/clusters_seq/cluster_724 | 137 - seq/clusters_seq/cluster_725 | 66 - seq/clusters_seq/cluster_726 | 23 - seq/clusters_seq/cluster_727 | 24 - seq/clusters_seq/cluster_728 | 18 - seq/clusters_seq/cluster_729 | 18 - seq/clusters_seq/cluster_73 | 1787 -- seq/clusters_seq/cluster_730 | 136 - seq/clusters_seq/cluster_731 | 184 - seq/clusters_seq/cluster_732 | 29 - seq/clusters_seq/cluster_733 | 45 - seq/clusters_seq/cluster_734 | 25 - seq/clusters_seq/cluster_735 | 45 - seq/clusters_seq/cluster_736 | 15 - seq/clusters_seq/cluster_737 | 20 - seq/clusters_seq/cluster_738 | 15 - seq/clusters_seq/cluster_739 | 20 - seq/clusters_seq/cluster_74 | 198 - seq/clusters_seq/cluster_740 | 35 - seq/clusters_seq/cluster_741 | 20 - seq/clusters_seq/cluster_742 | 35 - seq/clusters_seq/cluster_743 | 30 - seq/clusters_seq/cluster_744 | 45 - seq/clusters_seq/cluster_745 | 50 - seq/clusters_seq/cluster_746 | 25 - seq/clusters_seq/cluster_747 | 35 - seq/clusters_seq/cluster_748 | 30 - seq/clusters_seq/cluster_749 | 149 - seq/clusters_seq/cluster_75 | 385 - seq/clusters_seq/cluster_750 | 140 - seq/clusters_seq/cluster_751 | 32 - seq/clusters_seq/cluster_752 | 40 - seq/clusters_seq/cluster_753 | 79 - seq/clusters_seq/cluster_754 | 29 - seq/clusters_seq/cluster_755 | 47 - seq/clusters_seq/cluster_756 | 45 - seq/clusters_seq/cluster_757 | 313 - seq/clusters_seq/cluster_758 | 34 - seq/clusters_seq/cluster_759 | 30 - seq/clusters_seq/cluster_76 | 196 - seq/clusters_seq/cluster_760 | 25 - seq/clusters_seq/cluster_761 | 25 - seq/clusters_seq/cluster_762 | 15 - seq/clusters_seq/cluster_763 | 30 - seq/clusters_seq/cluster_764 | 20 - seq/clusters_seq/cluster_765 | 36 - seq/clusters_seq/cluster_766 | 55 - seq/clusters_seq/cluster_767 | 25 - seq/clusters_seq/cluster_768 | 30 - seq/clusters_seq/cluster_769 | 30 - seq/clusters_seq/cluster_77 | 427 - seq/clusters_seq/cluster_770 | 30 - seq/clusters_seq/cluster_771 | 30 - seq/clusters_seq/cluster_772 | 34 - seq/clusters_seq/cluster_773 | 29 - seq/clusters_seq/cluster_774 | 25 - seq/clusters_seq/cluster_775 | 20 - seq/clusters_seq/cluster_776 | 24 - seq/clusters_seq/cluster_777 | 20 - seq/clusters_seq/cluster_778 | 20 - seq/clusters_seq/cluster_779 | 20 - seq/clusters_seq/cluster_78 | 947 - seq/clusters_seq/cluster_780 | 30 - seq/clusters_seq/cluster_781 | 26 - seq/clusters_seq/cluster_782 | 40 - seq/clusters_seq/cluster_783 | 26 - seq/clusters_seq/cluster_784 | 47 - seq/clusters_seq/cluster_785 | 30 - seq/clusters_seq/cluster_786 | 15 - seq/clusters_seq/cluster_787 | 30 - seq/clusters_seq/cluster_788 | 125 - seq/clusters_seq/cluster_789 | 20 - seq/clusters_seq/cluster_79 | 1166 -- seq/clusters_seq/cluster_790 | 40 - seq/clusters_seq/cluster_791 | 30 - seq/clusters_seq/cluster_792 | 15 - seq/clusters_seq/cluster_793 | 101 - seq/clusters_seq/cluster_794 | 56 - seq/clusters_seq/cluster_795 | 40 - seq/clusters_seq/cluster_796 | 30 - seq/clusters_seq/cluster_797 | 33 - seq/clusters_seq/cluster_798 | 90 - seq/clusters_seq/cluster_799 | 83 - seq/clusters_seq/cluster_8 | 2816 --- seq/clusters_seq/cluster_80 | 676 - seq/clusters_seq/cluster_800 | 399 - seq/clusters_seq/cluster_801 | 22 - seq/clusters_seq/cluster_802 | 30 - seq/clusters_seq/cluster_803 | 15 - seq/clusters_seq/cluster_804 | 29 - seq/clusters_seq/cluster_805 | 167 - seq/clusters_seq/cluster_806 | 70 - seq/clusters_seq/cluster_807 | 28 - seq/clusters_seq/cluster_808 | 81 - seq/clusters_seq/cluster_809 | 214 - seq/clusters_seq/cluster_81 | 270 - seq/clusters_seq/cluster_810 | 25 - seq/clusters_seq/cluster_811 | 32 - seq/clusters_seq/cluster_812 | 15 - seq/clusters_seq/cluster_813 | 15 - seq/clusters_seq/cluster_814 | 15 - seq/clusters_seq/cluster_815 | 77 - seq/clusters_seq/cluster_816 | 25 - seq/clusters_seq/cluster_817 | 30 - seq/clusters_seq/cluster_818 | 30 - seq/clusters_seq/cluster_819 | 37 - seq/clusters_seq/cluster_82 | 640 - seq/clusters_seq/cluster_820 | 44 - seq/clusters_seq/cluster_821 | 70 - seq/clusters_seq/cluster_822 | 30 - seq/clusters_seq/cluster_823 | 59 - seq/clusters_seq/cluster_824 | 27 - seq/clusters_seq/cluster_825 | 30 - seq/clusters_seq/cluster_826 | 19 - seq/clusters_seq/cluster_827 | 25 - seq/clusters_seq/cluster_828 | 35 - seq/clusters_seq/cluster_829 | 35 - seq/clusters_seq/cluster_83 | 2601 --- seq/clusters_seq/cluster_830 | 71 - seq/clusters_seq/cluster_831 | 95 - seq/clusters_seq/cluster_832 | 25 - seq/clusters_seq/cluster_833 | 63 - seq/clusters_seq/cluster_834 | 226 - seq/clusters_seq/cluster_835 | 70 - seq/clusters_seq/cluster_836 | 34 - seq/clusters_seq/cluster_837 | 53 - seq/clusters_seq/cluster_838 | 30 - seq/clusters_seq/cluster_839 | 29 - seq/clusters_seq/cluster_84 | 422 - seq/clusters_seq/cluster_840 | 163 - seq/clusters_seq/cluster_841 | 125 - seq/clusters_seq/cluster_842 | 35 - seq/clusters_seq/cluster_843 | 65 - seq/clusters_seq/cluster_844 | 79 - seq/clusters_seq/cluster_845 | 90 - seq/clusters_seq/cluster_846 | 17 - seq/clusters_seq/cluster_847 | 59 - seq/clusters_seq/cluster_848 | 81 - seq/clusters_seq/cluster_849 | 54 - seq/clusters_seq/cluster_85 | 253 - seq/clusters_seq/cluster_850 | 21 - seq/clusters_seq/cluster_851 | 56 - seq/clusters_seq/cluster_852 | 35 - seq/clusters_seq/cluster_853 | 15 - seq/clusters_seq/cluster_854 | 53 - seq/clusters_seq/cluster_855 | 20 - seq/clusters_seq/cluster_856 | 20 - seq/clusters_seq/cluster_857 | 25 - seq/clusters_seq/cluster_858 | 27 - seq/clusters_seq/cluster_859 | 49 - seq/clusters_seq/cluster_86 | 539 - seq/clusters_seq/cluster_860 | 88 - seq/clusters_seq/cluster_861 | 51 - seq/clusters_seq/cluster_862 | 44 - seq/clusters_seq/cluster_863 | 24 - seq/clusters_seq/cluster_864 | 28 - seq/clusters_seq/cluster_865 | 25 - seq/clusters_seq/cluster_866 | 24 - seq/clusters_seq/cluster_867 | 12 - seq/clusters_seq/cluster_868 | 39 - seq/clusters_seq/cluster_869 | 28 - seq/clusters_seq/cluster_87 | 314 - seq/clusters_seq/cluster_870 | 15 - seq/clusters_seq/cluster_871 | 16 - seq/clusters_seq/cluster_872 | 13 - seq/clusters_seq/cluster_873 | 62 - seq/clusters_seq/cluster_874 | 22 - seq/clusters_seq/cluster_875 | 23 - seq/clusters_seq/cluster_876 | 24 - seq/clusters_seq/cluster_877 | 40 - seq/clusters_seq/cluster_878 | 20 - seq/clusters_seq/cluster_879 | 20 - seq/clusters_seq/cluster_88 | 1188 -- seq/clusters_seq/cluster_880 | 32 - seq/clusters_seq/cluster_881 | 24 - seq/clusters_seq/cluster_882 | 74 - seq/clusters_seq/cluster_883 | 68 - seq/clusters_seq/cluster_884 | 44 - seq/clusters_seq/cluster_885 | 20 - seq/clusters_seq/cluster_886 | 82 - seq/clusters_seq/cluster_887 | 43 - seq/clusters_seq/cluster_888 | 32 - seq/clusters_seq/cluster_889 | 40 - seq/clusters_seq/cluster_89 | 627 - seq/clusters_seq/cluster_890 | 24 - seq/clusters_seq/cluster_891 | 29 - seq/clusters_seq/cluster_892 | 36 - seq/clusters_seq/cluster_893 | 56 - seq/clusters_seq/cluster_894 | 44 - seq/clusters_seq/cluster_895 | 12 - seq/clusters_seq/cluster_896 | 14 - seq/clusters_seq/cluster_897 | 172 - seq/clusters_seq/cluster_898 | 19 - seq/clusters_seq/cluster_899 | 42 - seq/clusters_seq/cluster_9 | 1893 -- seq/clusters_seq/cluster_90 | 872 - seq/clusters_seq/cluster_900 | 20 - seq/clusters_seq/cluster_901 | 32 - seq/clusters_seq/cluster_902 | 20 - seq/clusters_seq/cluster_903 | 16 - seq/clusters_seq/cluster_904 | 20 - seq/clusters_seq/cluster_905 | 20 - seq/clusters_seq/cluster_906 | 20 - seq/clusters_seq/cluster_907 | 36 - seq/clusters_seq/cluster_908 | 20 - seq/clusters_seq/cluster_909 | 24 - seq/clusters_seq/cluster_91 | 431 - seq/clusters_seq/cluster_910 | 24 - seq/clusters_seq/cluster_911 | 40 - seq/clusters_seq/cluster_912 | 40 - seq/clusters_seq/cluster_913 | 54 - seq/clusters_seq/cluster_914 | 55 - seq/clusters_seq/cluster_915 | 24 - seq/clusters_seq/cluster_916 | 28 - seq/clusters_seq/cluster_917 | 55 - seq/clusters_seq/cluster_918 | 27 - seq/clusters_seq/cluster_919 | 16 - seq/clusters_seq/cluster_92 | 1105 -- seq/clusters_seq/cluster_920 | 16 - seq/clusters_seq/cluster_921 | 12 - seq/clusters_seq/cluster_922 | 19 - seq/clusters_seq/cluster_923 | 21 - seq/clusters_seq/cluster_924 | 16 - seq/clusters_seq/cluster_925 | 15 - seq/clusters_seq/cluster_926 | 16 - seq/clusters_seq/cluster_927 | 108 - seq/clusters_seq/cluster_928 | 391 - seq/clusters_seq/cluster_929 | 316 - seq/clusters_seq/cluster_93 | 436 - seq/clusters_seq/cluster_930 | 20 - seq/clusters_seq/cluster_931 | 25 - seq/clusters_seq/cluster_932 | 23 - seq/clusters_seq/cluster_933 | 68 - seq/clusters_seq/cluster_934 | 36 - seq/clusters_seq/cluster_935 | 75 - seq/clusters_seq/cluster_936 | 20 - seq/clusters_seq/cluster_937 | 16 - seq/clusters_seq/cluster_938 | 48 - seq/clusters_seq/cluster_939 | 60 - seq/clusters_seq/cluster_94 | 246 - seq/clusters_seq/cluster_940 | 24 - seq/clusters_seq/cluster_941 | 36 - seq/clusters_seq/cluster_942 | 75 - seq/clusters_seq/cluster_943 | 84 - seq/clusters_seq/cluster_944 | 24 - seq/clusters_seq/cluster_945 | 16 - seq/clusters_seq/cluster_946 | 20 - seq/clusters_seq/cluster_947 | 21 - seq/clusters_seq/cluster_948 | 12 - seq/clusters_seq/cluster_949 | 52 - seq/clusters_seq/cluster_95 | 204 - seq/clusters_seq/cluster_950 | 21 - seq/clusters_seq/cluster_951 | 47 - seq/clusters_seq/cluster_952 | 12 - seq/clusters_seq/cluster_953 | 26 - seq/clusters_seq/cluster_954 | 20 - seq/clusters_seq/cluster_955 | 24 - seq/clusters_seq/cluster_956 | 34 - seq/clusters_seq/cluster_957 | 188 - seq/clusters_seq/cluster_958 | 49 - seq/clusters_seq/cluster_959 | 16 - seq/clusters_seq/cluster_96 | 853 - seq/clusters_seq/cluster_960 | 24 - seq/clusters_seq/cluster_961 | 16 - seq/clusters_seq/cluster_962 | 24 - seq/clusters_seq/cluster_963 | 67 - seq/clusters_seq/cluster_964 | 28 - seq/clusters_seq/cluster_965 | 15 - seq/clusters_seq/cluster_966 | 49 - seq/clusters_seq/cluster_967 | 44 - seq/clusters_seq/cluster_968 | 21 - seq/clusters_seq/cluster_969 | 12 - seq/clusters_seq/cluster_97 | 404 - seq/clusters_seq/cluster_970 | 143 - seq/clusters_seq/cluster_971 | 13 - seq/clusters_seq/cluster_972 | 49 - seq/clusters_seq/cluster_973 | 20 - seq/clusters_seq/cluster_974 | 79 - seq/clusters_seq/cluster_975 | 16 - seq/clusters_seq/cluster_976 | 12 - seq/clusters_seq/cluster_977 | 16 - seq/clusters_seq/cluster_978 | 16 - seq/clusters_seq/cluster_979 | 16 - seq/clusters_seq/cluster_98 | 1385 -- seq/clusters_seq/cluster_980 | 58 - seq/clusters_seq/cluster_981 | 24 - seq/clusters_seq/cluster_982 | 20 - seq/clusters_seq/cluster_983 | 20 - seq/clusters_seq/cluster_984 | 20 - seq/clusters_seq/cluster_985 | 28 - seq/clusters_seq/cluster_986 | 20 - seq/clusters_seq/cluster_987 | 27 - seq/clusters_seq/cluster_988 | 43 - seq/clusters_seq/cluster_989 | 16 - seq/clusters_seq/cluster_99 | 240 - seq/clusters_seq/cluster_990 | 16 - seq/clusters_seq/cluster_991 | 12 - seq/clusters_seq/cluster_992 | 24 - seq/clusters_seq/cluster_993 | 52 - seq/clusters_seq/cluster_994 | 32 - seq/clusters_seq/cluster_995 | 24 - seq/clusters_seq/cluster_996 | 14 - seq/clusters_seq/cluster_997 | 20 - seq/clusters_seq/cluster_998 | 20 - seq/clusters_seq/cluster_999 | 24 - seq/singleton_RNAvirus.faa | 28953 ------------------------------- virbot/data/seq.zip | Bin 0 -> 9947655 bytes 2770 files changed, 331323 deletions(-) delete mode 100644 seq/clusters_info/cluster_1 delete mode 100644 seq/clusters_info/cluster_10 delete mode 100644 seq/clusters_info/cluster_100 delete mode 100644 seq/clusters_info/cluster_1000 delete mode 100644 seq/clusters_info/cluster_1001 delete mode 100644 seq/clusters_info/cluster_1002 delete mode 100644 seq/clusters_info/cluster_1003 delete mode 100644 seq/clusters_info/cluster_1004 delete mode 100644 seq/clusters_info/cluster_1005 delete mode 100644 seq/clusters_info/cluster_1006 delete mode 100644 seq/clusters_info/cluster_1007 delete mode 100644 seq/clusters_info/cluster_1008 delete mode 100644 seq/clusters_info/cluster_1009 delete mode 100644 seq/clusters_info/cluster_101 delete mode 100644 seq/clusters_info/cluster_1010 delete mode 100644 seq/clusters_info/cluster_1011 delete mode 100644 seq/clusters_info/cluster_1012 delete mode 100644 seq/clusters_info/cluster_1013 delete mode 100644 seq/clusters_info/cluster_1014 delete mode 100644 seq/clusters_info/cluster_1015 delete mode 100644 seq/clusters_info/cluster_1016 delete mode 100644 seq/clusters_info/cluster_1017 delete mode 100644 seq/clusters_info/cluster_1018 delete mode 100644 seq/clusters_info/cluster_1019 delete mode 100644 seq/clusters_info/cluster_102 delete mode 100644 seq/clusters_info/cluster_1020 delete mode 100644 seq/clusters_info/cluster_1021 delete mode 100644 seq/clusters_info/cluster_1022 delete mode 100644 seq/clusters_info/cluster_1023 delete mode 100644 seq/clusters_info/cluster_1024 delete mode 100644 seq/clusters_info/cluster_1025 delete mode 100644 seq/clusters_info/cluster_1026 delete mode 100644 seq/clusters_info/cluster_1027 delete mode 100644 seq/clusters_info/cluster_1028 delete mode 100644 seq/clusters_info/cluster_1029 delete mode 100644 seq/clusters_info/cluster_103 delete mode 100644 seq/clusters_info/cluster_1030 delete mode 100644 seq/clusters_info/cluster_1031 delete mode 100644 seq/clusters_info/cluster_1032 delete mode 100644 seq/clusters_info/cluster_1033 delete mode 100644 seq/clusters_info/cluster_1034 delete mode 100644 seq/clusters_info/cluster_1035 delete mode 100644 seq/clusters_info/cluster_1036 delete mode 100644 seq/clusters_info/cluster_1037 delete mode 100644 seq/clusters_info/cluster_1038 delete mode 100644 seq/clusters_info/cluster_1039 delete mode 100644 seq/clusters_info/cluster_104 delete mode 100644 seq/clusters_info/cluster_1040 delete mode 100644 seq/clusters_info/cluster_1041 delete mode 100644 seq/clusters_info/cluster_1042 delete mode 100644 seq/clusters_info/cluster_1043 delete mode 100644 seq/clusters_info/cluster_1044 delete mode 100644 seq/clusters_info/cluster_1045 delete mode 100644 seq/clusters_info/cluster_1046 delete mode 100644 seq/clusters_info/cluster_1047 delete mode 100644 seq/clusters_info/cluster_1048 delete mode 100644 seq/clusters_info/cluster_1049 delete mode 100644 seq/clusters_info/cluster_105 delete mode 100644 seq/clusters_info/cluster_1050 delete mode 100644 seq/clusters_info/cluster_1051 delete mode 100644 seq/clusters_info/cluster_1052 delete mode 100644 seq/clusters_info/cluster_1053 delete mode 100644 seq/clusters_info/cluster_1054 delete mode 100644 seq/clusters_info/cluster_1055 delete mode 100644 seq/clusters_info/cluster_1056 delete mode 100644 seq/clusters_info/cluster_1057 delete mode 100644 seq/clusters_info/cluster_1058 delete mode 100644 seq/clusters_info/cluster_1059 delete mode 100644 seq/clusters_info/cluster_106 delete mode 100644 seq/clusters_info/cluster_1060 delete mode 100644 seq/clusters_info/cluster_1061 delete mode 100644 seq/clusters_info/cluster_1062 delete mode 100644 seq/clusters_info/cluster_1063 delete mode 100644 seq/clusters_info/cluster_1064 delete mode 100644 seq/clusters_info/cluster_1065 delete mode 100644 seq/clusters_info/cluster_1066 delete mode 100644 seq/clusters_info/cluster_1067 delete mode 100644 seq/clusters_info/cluster_1068 delete mode 100644 seq/clusters_info/cluster_1069 delete mode 100644 seq/clusters_info/cluster_107 delete mode 100644 seq/clusters_info/cluster_1070 delete mode 100644 seq/clusters_info/cluster_1071 delete mode 100644 seq/clusters_info/cluster_1072 delete mode 100644 seq/clusters_info/cluster_1073 delete mode 100644 seq/clusters_info/cluster_1074 delete mode 100644 seq/clusters_info/cluster_1075 delete mode 100644 seq/clusters_info/cluster_1076 delete mode 100644 seq/clusters_info/cluster_1077 delete mode 100644 seq/clusters_info/cluster_1078 delete mode 100644 seq/clusters_info/cluster_1079 delete mode 100644 seq/clusters_info/cluster_108 delete mode 100644 seq/clusters_info/cluster_1080 delete mode 100644 seq/clusters_info/cluster_1081 delete mode 100644 seq/clusters_info/cluster_1082 delete mode 100644 seq/clusters_info/cluster_1083 delete mode 100644 seq/clusters_info/cluster_1084 delete mode 100644 seq/clusters_info/cluster_1085 delete mode 100644 seq/clusters_info/cluster_1086 delete mode 100644 seq/clusters_info/cluster_1087 delete mode 100644 seq/clusters_info/cluster_1088 delete mode 100644 seq/clusters_info/cluster_1089 delete mode 100644 seq/clusters_info/cluster_109 delete mode 100644 seq/clusters_info/cluster_1090 delete mode 100644 seq/clusters_info/cluster_1091 delete mode 100644 seq/clusters_info/cluster_1092 delete mode 100644 seq/clusters_info/cluster_1093 delete mode 100644 seq/clusters_info/cluster_1094 delete mode 100644 seq/clusters_info/cluster_1095 delete mode 100644 seq/clusters_info/cluster_1096 delete mode 100644 seq/clusters_info/cluster_1097 delete mode 100644 seq/clusters_info/cluster_1098 delete mode 100644 seq/clusters_info/cluster_1099 delete mode 100644 seq/clusters_info/cluster_11 delete mode 100644 seq/clusters_info/cluster_110 delete mode 100644 seq/clusters_info/cluster_1100 delete mode 100644 seq/clusters_info/cluster_1101 delete mode 100644 seq/clusters_info/cluster_1102 delete mode 100644 seq/clusters_info/cluster_1103 delete mode 100644 seq/clusters_info/cluster_1104 delete mode 100644 seq/clusters_info/cluster_1105 delete mode 100644 seq/clusters_info/cluster_1106 delete mode 100644 seq/clusters_info/cluster_1107 delete mode 100644 seq/clusters_info/cluster_1108 delete mode 100644 seq/clusters_info/cluster_1109 delete mode 100644 seq/clusters_info/cluster_111 delete mode 100644 seq/clusters_info/cluster_1110 delete mode 100644 seq/clusters_info/cluster_1111 delete mode 100644 seq/clusters_info/cluster_1112 delete mode 100644 seq/clusters_info/cluster_1113 delete mode 100644 seq/clusters_info/cluster_1114 delete mode 100644 seq/clusters_info/cluster_1115 delete mode 100644 seq/clusters_info/cluster_1116 delete mode 100644 seq/clusters_info/cluster_1117 delete mode 100644 seq/clusters_info/cluster_1118 delete mode 100644 seq/clusters_info/cluster_1119 delete mode 100644 seq/clusters_info/cluster_112 delete mode 100644 seq/clusters_info/cluster_1120 delete mode 100644 seq/clusters_info/cluster_1121 delete mode 100644 seq/clusters_info/cluster_1122 delete mode 100644 seq/clusters_info/cluster_1123 delete mode 100644 seq/clusters_info/cluster_1124 delete mode 100644 seq/clusters_info/cluster_1125 delete mode 100644 seq/clusters_info/cluster_1126 delete mode 100644 seq/clusters_info/cluster_1127 delete mode 100644 seq/clusters_info/cluster_1128 delete mode 100644 seq/clusters_info/cluster_1129 delete mode 100644 seq/clusters_info/cluster_113 delete mode 100644 seq/clusters_info/cluster_1130 delete mode 100644 seq/clusters_info/cluster_1131 delete mode 100644 seq/clusters_info/cluster_1132 delete mode 100644 seq/clusters_info/cluster_1133 delete mode 100644 seq/clusters_info/cluster_1134 delete mode 100644 seq/clusters_info/cluster_1135 delete mode 100644 seq/clusters_info/cluster_1136 delete mode 100644 seq/clusters_info/cluster_1137 delete mode 100644 seq/clusters_info/cluster_1138 delete mode 100644 seq/clusters_info/cluster_1139 delete mode 100644 seq/clusters_info/cluster_114 delete mode 100644 seq/clusters_info/cluster_1140 delete mode 100644 seq/clusters_info/cluster_1141 delete mode 100644 seq/clusters_info/cluster_1142 delete mode 100644 seq/clusters_info/cluster_1143 delete mode 100644 seq/clusters_info/cluster_1144 delete mode 100644 seq/clusters_info/cluster_1145 delete mode 100644 seq/clusters_info/cluster_1146 delete mode 100644 seq/clusters_info/cluster_1147 delete mode 100644 seq/clusters_info/cluster_1148 delete mode 100644 seq/clusters_info/cluster_1149 delete mode 100644 seq/clusters_info/cluster_115 delete mode 100644 seq/clusters_info/cluster_1150 delete mode 100644 seq/clusters_info/cluster_1151 delete mode 100644 seq/clusters_info/cluster_1152 delete mode 100644 seq/clusters_info/cluster_1153 delete mode 100644 seq/clusters_info/cluster_1154 delete mode 100644 seq/clusters_info/cluster_1155 delete mode 100644 seq/clusters_info/cluster_1156 delete mode 100644 seq/clusters_info/cluster_1157 delete mode 100644 seq/clusters_info/cluster_1158 delete mode 100644 seq/clusters_info/cluster_1159 delete mode 100644 seq/clusters_info/cluster_116 delete mode 100644 seq/clusters_info/cluster_1160 delete mode 100644 seq/clusters_info/cluster_1161 delete mode 100644 seq/clusters_info/cluster_1162 delete mode 100644 seq/clusters_info/cluster_1163 delete mode 100644 seq/clusters_info/cluster_1164 delete mode 100644 seq/clusters_info/cluster_1165 delete mode 100644 seq/clusters_info/cluster_1166 delete mode 100644 seq/clusters_info/cluster_1167 delete mode 100644 seq/clusters_info/cluster_1168 delete mode 100644 seq/clusters_info/cluster_1169 delete mode 100644 seq/clusters_info/cluster_117 delete mode 100644 seq/clusters_info/cluster_1170 delete mode 100644 seq/clusters_info/cluster_1171 delete mode 100644 seq/clusters_info/cluster_1172 delete mode 100644 seq/clusters_info/cluster_1173 delete mode 100644 seq/clusters_info/cluster_1174 delete mode 100644 seq/clusters_info/cluster_1175 delete mode 100644 seq/clusters_info/cluster_1176 delete mode 100644 seq/clusters_info/cluster_1177 delete mode 100644 seq/clusters_info/cluster_1178 delete mode 100644 seq/clusters_info/cluster_1179 delete mode 100644 seq/clusters_info/cluster_118 delete mode 100644 seq/clusters_info/cluster_1180 delete mode 100644 seq/clusters_info/cluster_1181 delete mode 100644 seq/clusters_info/cluster_1182 delete mode 100644 seq/clusters_info/cluster_1183 delete mode 100644 seq/clusters_info/cluster_1184 delete mode 100644 seq/clusters_info/cluster_1185 delete mode 100644 seq/clusters_info/cluster_1186 delete mode 100644 seq/clusters_info/cluster_1187 delete mode 100644 seq/clusters_info/cluster_1188 delete mode 100644 seq/clusters_info/cluster_1189 delete mode 100644 seq/clusters_info/cluster_119 delete mode 100644 seq/clusters_info/cluster_1190 delete mode 100644 seq/clusters_info/cluster_1191 delete mode 100644 seq/clusters_info/cluster_1192 delete mode 100644 seq/clusters_info/cluster_1193 delete mode 100644 seq/clusters_info/cluster_1194 delete mode 100644 seq/clusters_info/cluster_1195 delete mode 100644 seq/clusters_info/cluster_1196 delete mode 100644 seq/clusters_info/cluster_1197 delete mode 100644 seq/clusters_info/cluster_1198 delete mode 100644 seq/clusters_info/cluster_1199 delete mode 100644 seq/clusters_info/cluster_12 delete mode 100644 seq/clusters_info/cluster_120 delete mode 100644 seq/clusters_info/cluster_1200 delete mode 100644 seq/clusters_info/cluster_1201 delete mode 100644 seq/clusters_info/cluster_1202 delete mode 100644 seq/clusters_info/cluster_1203 delete mode 100644 seq/clusters_info/cluster_1204 delete mode 100644 seq/clusters_info/cluster_1205 delete mode 100644 seq/clusters_info/cluster_1206 delete mode 100644 seq/clusters_info/cluster_1207 delete mode 100644 seq/clusters_info/cluster_1208 delete mode 100644 seq/clusters_info/cluster_1209 delete mode 100644 seq/clusters_info/cluster_121 delete mode 100644 seq/clusters_info/cluster_1210 delete mode 100644 seq/clusters_info/cluster_1211 delete mode 100644 seq/clusters_info/cluster_1212 delete mode 100644 seq/clusters_info/cluster_1213 delete mode 100644 seq/clusters_info/cluster_1214 delete mode 100644 seq/clusters_info/cluster_1215 delete mode 100644 seq/clusters_info/cluster_1216 delete mode 100644 seq/clusters_info/cluster_1217 delete mode 100644 seq/clusters_info/cluster_1218 delete mode 100644 seq/clusters_info/cluster_1219 delete mode 100644 seq/clusters_info/cluster_122 delete mode 100644 seq/clusters_info/cluster_1220 delete mode 100644 seq/clusters_info/cluster_1221 delete mode 100644 seq/clusters_info/cluster_1222 delete mode 100644 seq/clusters_info/cluster_1223 delete mode 100644 seq/clusters_info/cluster_1224 delete mode 100644 seq/clusters_info/cluster_1225 delete mode 100644 seq/clusters_info/cluster_1226 delete mode 100644 seq/clusters_info/cluster_1227 delete mode 100644 seq/clusters_info/cluster_1228 delete mode 100644 seq/clusters_info/cluster_1229 delete mode 100644 seq/clusters_info/cluster_123 delete mode 100644 seq/clusters_info/cluster_1230 delete mode 100644 seq/clusters_info/cluster_1231 delete mode 100644 seq/clusters_info/cluster_1232 delete mode 100644 seq/clusters_info/cluster_1233 delete mode 100644 seq/clusters_info/cluster_1234 delete mode 100644 seq/clusters_info/cluster_1235 delete mode 100644 seq/clusters_info/cluster_1236 delete mode 100644 seq/clusters_info/cluster_1237 delete mode 100644 seq/clusters_info/cluster_1238 delete mode 100644 seq/clusters_info/cluster_1239 delete mode 100644 seq/clusters_info/cluster_124 delete mode 100644 seq/clusters_info/cluster_1240 delete mode 100644 seq/clusters_info/cluster_1241 delete mode 100644 seq/clusters_info/cluster_1242 delete mode 100644 seq/clusters_info/cluster_1243 delete mode 100644 seq/clusters_info/cluster_1244 delete mode 100644 seq/clusters_info/cluster_1245 delete mode 100644 seq/clusters_info/cluster_1246 delete mode 100644 seq/clusters_info/cluster_1247 delete mode 100644 seq/clusters_info/cluster_1248 delete mode 100644 seq/clusters_info/cluster_1249 delete mode 100644 seq/clusters_info/cluster_125 delete mode 100644 seq/clusters_info/cluster_1250 delete mode 100644 seq/clusters_info/cluster_1251 delete mode 100644 seq/clusters_info/cluster_1252 delete mode 100644 seq/clusters_info/cluster_1253 delete mode 100644 seq/clusters_info/cluster_1254 delete mode 100644 seq/clusters_info/cluster_1255 delete mode 100644 seq/clusters_info/cluster_1256 delete mode 100644 seq/clusters_info/cluster_1257 delete mode 100644 seq/clusters_info/cluster_1258 delete mode 100644 seq/clusters_info/cluster_1259 delete mode 100644 seq/clusters_info/cluster_126 delete mode 100644 seq/clusters_info/cluster_1260 delete mode 100644 seq/clusters_info/cluster_1261 delete mode 100644 seq/clusters_info/cluster_1262 delete mode 100644 seq/clusters_info/cluster_1263 delete mode 100644 seq/clusters_info/cluster_1264 delete mode 100644 seq/clusters_info/cluster_1265 delete mode 100644 seq/clusters_info/cluster_1266 delete mode 100644 seq/clusters_info/cluster_1267 delete mode 100644 seq/clusters_info/cluster_1268 delete mode 100644 seq/clusters_info/cluster_1269 delete mode 100644 seq/clusters_info/cluster_127 delete mode 100644 seq/clusters_info/cluster_1270 delete mode 100644 seq/clusters_info/cluster_1271 delete mode 100644 seq/clusters_info/cluster_1272 delete mode 100644 seq/clusters_info/cluster_1273 delete mode 100644 seq/clusters_info/cluster_1274 delete mode 100644 seq/clusters_info/cluster_1275 delete mode 100644 seq/clusters_info/cluster_1276 delete mode 100644 seq/clusters_info/cluster_1277 delete mode 100644 seq/clusters_info/cluster_1278 delete mode 100644 seq/clusters_info/cluster_1279 delete mode 100644 seq/clusters_info/cluster_128 delete mode 100644 seq/clusters_info/cluster_1280 delete mode 100644 seq/clusters_info/cluster_1281 delete mode 100644 seq/clusters_info/cluster_1282 delete mode 100644 seq/clusters_info/cluster_1283 delete mode 100644 seq/clusters_info/cluster_1284 delete mode 100644 seq/clusters_info/cluster_1285 delete mode 100644 seq/clusters_info/cluster_1286 delete mode 100644 seq/clusters_info/cluster_1287 delete mode 100644 seq/clusters_info/cluster_1288 delete mode 100644 seq/clusters_info/cluster_1289 delete mode 100644 seq/clusters_info/cluster_129 delete mode 100644 seq/clusters_info/cluster_1290 delete mode 100644 seq/clusters_info/cluster_1291 delete mode 100644 seq/clusters_info/cluster_1292 delete mode 100644 seq/clusters_info/cluster_1293 delete mode 100644 seq/clusters_info/cluster_1294 delete mode 100644 seq/clusters_info/cluster_1295 delete mode 100644 seq/clusters_info/cluster_1296 delete mode 100644 seq/clusters_info/cluster_1297 delete mode 100644 seq/clusters_info/cluster_1298 delete mode 100644 seq/clusters_info/cluster_1299 delete mode 100644 seq/clusters_info/cluster_13 delete mode 100644 seq/clusters_info/cluster_130 delete mode 100644 seq/clusters_info/cluster_1300 delete mode 100644 seq/clusters_info/cluster_1301 delete mode 100644 seq/clusters_info/cluster_1302 delete mode 100644 seq/clusters_info/cluster_1303 delete mode 100644 seq/clusters_info/cluster_1304 delete mode 100644 seq/clusters_info/cluster_1305 delete mode 100644 seq/clusters_info/cluster_1306 delete mode 100644 seq/clusters_info/cluster_1307 delete mode 100644 seq/clusters_info/cluster_1308 delete mode 100644 seq/clusters_info/cluster_1309 delete mode 100644 seq/clusters_info/cluster_131 delete mode 100644 seq/clusters_info/cluster_1310 delete mode 100644 seq/clusters_info/cluster_1311 delete mode 100644 seq/clusters_info/cluster_1312 delete mode 100644 seq/clusters_info/cluster_1313 delete mode 100644 seq/clusters_info/cluster_1314 delete mode 100644 seq/clusters_info/cluster_1315 delete mode 100644 seq/clusters_info/cluster_1316 delete mode 100644 seq/clusters_info/cluster_1317 delete mode 100644 seq/clusters_info/cluster_1318 delete mode 100644 seq/clusters_info/cluster_1319 delete mode 100644 seq/clusters_info/cluster_132 delete mode 100644 seq/clusters_info/cluster_1320 delete mode 100644 seq/clusters_info/cluster_1321 delete mode 100644 seq/clusters_info/cluster_1322 delete mode 100644 seq/clusters_info/cluster_1323 delete mode 100644 seq/clusters_info/cluster_1324 delete mode 100644 seq/clusters_info/cluster_1325 delete mode 100644 seq/clusters_info/cluster_1326 delete mode 100644 seq/clusters_info/cluster_1327 delete mode 100644 seq/clusters_info/cluster_1328 delete mode 100644 seq/clusters_info/cluster_1329 delete mode 100644 seq/clusters_info/cluster_133 delete mode 100644 seq/clusters_info/cluster_1330 delete mode 100644 seq/clusters_info/cluster_1331 delete mode 100644 seq/clusters_info/cluster_1332 delete mode 100644 seq/clusters_info/cluster_1333 delete mode 100644 seq/clusters_info/cluster_1334 delete mode 100644 seq/clusters_info/cluster_1335 delete mode 100644 seq/clusters_info/cluster_1336 delete mode 100644 seq/clusters_info/cluster_1337 delete mode 100644 seq/clusters_info/cluster_1338 delete mode 100644 seq/clusters_info/cluster_1339 delete mode 100644 seq/clusters_info/cluster_134 delete mode 100644 seq/clusters_info/cluster_1340 delete mode 100644 seq/clusters_info/cluster_1341 delete mode 100644 seq/clusters_info/cluster_1342 delete mode 100644 seq/clusters_info/cluster_1343 delete mode 100644 seq/clusters_info/cluster_1344 delete mode 100644 seq/clusters_info/cluster_1345 delete mode 100644 seq/clusters_info/cluster_1346 delete mode 100644 seq/clusters_info/cluster_1347 delete mode 100644 seq/clusters_info/cluster_1348 delete mode 100644 seq/clusters_info/cluster_1349 delete mode 100644 seq/clusters_info/cluster_135 delete mode 100644 seq/clusters_info/cluster_1350 delete mode 100644 seq/clusters_info/cluster_1351 delete mode 100644 seq/clusters_info/cluster_1352 delete mode 100644 seq/clusters_info/cluster_1353 delete mode 100644 seq/clusters_info/cluster_1354 delete mode 100644 seq/clusters_info/cluster_1355 delete mode 100644 seq/clusters_info/cluster_1356 delete mode 100644 seq/clusters_info/cluster_1357 delete mode 100644 seq/clusters_info/cluster_1358 delete mode 100644 seq/clusters_info/cluster_1359 delete mode 100644 seq/clusters_info/cluster_136 delete mode 100644 seq/clusters_info/cluster_1360 delete mode 100644 seq/clusters_info/cluster_1361 delete mode 100644 seq/clusters_info/cluster_1362 delete mode 100644 seq/clusters_info/cluster_1363 delete mode 100644 seq/clusters_info/cluster_1364 delete mode 100644 seq/clusters_info/cluster_1365 delete mode 100644 seq/clusters_info/cluster_1366 delete mode 100644 seq/clusters_info/cluster_1367 delete mode 100644 seq/clusters_info/cluster_1368 delete mode 100644 seq/clusters_info/cluster_1369 delete mode 100644 seq/clusters_info/cluster_137 delete mode 100644 seq/clusters_info/cluster_1370 delete mode 100644 seq/clusters_info/cluster_1371 delete mode 100644 seq/clusters_info/cluster_1372 delete mode 100644 seq/clusters_info/cluster_1373 delete mode 100644 seq/clusters_info/cluster_1374 delete mode 100644 seq/clusters_info/cluster_1375 delete mode 100644 seq/clusters_info/cluster_1376 delete mode 100644 seq/clusters_info/cluster_1377 delete mode 100644 seq/clusters_info/cluster_1378 delete mode 100644 seq/clusters_info/cluster_1379 delete mode 100644 seq/clusters_info/cluster_138 delete mode 100644 seq/clusters_info/cluster_1380 delete mode 100644 seq/clusters_info/cluster_1381 delete mode 100644 seq/clusters_info/cluster_1382 delete mode 100644 seq/clusters_info/cluster_1383 delete mode 100644 seq/clusters_info/cluster_1384 delete mode 100644 seq/clusters_info/cluster_139 delete mode 100644 seq/clusters_info/cluster_14 delete mode 100644 seq/clusters_info/cluster_140 delete mode 100644 seq/clusters_info/cluster_141 delete mode 100644 seq/clusters_info/cluster_142 delete mode 100644 seq/clusters_info/cluster_143 delete mode 100644 seq/clusters_info/cluster_144 delete mode 100644 seq/clusters_info/cluster_145 delete mode 100644 seq/clusters_info/cluster_146 delete mode 100644 seq/clusters_info/cluster_147 delete mode 100644 seq/clusters_info/cluster_148 delete mode 100644 seq/clusters_info/cluster_149 delete mode 100644 seq/clusters_info/cluster_15 delete mode 100644 seq/clusters_info/cluster_150 delete mode 100644 seq/clusters_info/cluster_151 delete mode 100644 seq/clusters_info/cluster_152 delete mode 100644 seq/clusters_info/cluster_153 delete mode 100644 seq/clusters_info/cluster_154 delete mode 100644 seq/clusters_info/cluster_155 delete mode 100644 seq/clusters_info/cluster_156 delete mode 100644 seq/clusters_info/cluster_157 delete mode 100644 seq/clusters_info/cluster_158 delete mode 100644 seq/clusters_info/cluster_159 delete mode 100644 seq/clusters_info/cluster_16 delete mode 100644 seq/clusters_info/cluster_160 delete mode 100644 seq/clusters_info/cluster_161 delete mode 100644 seq/clusters_info/cluster_162 delete mode 100644 seq/clusters_info/cluster_163 delete mode 100644 seq/clusters_info/cluster_164 delete mode 100644 seq/clusters_info/cluster_165 delete mode 100644 seq/clusters_info/cluster_166 delete mode 100644 seq/clusters_info/cluster_167 delete mode 100644 seq/clusters_info/cluster_168 delete mode 100644 seq/clusters_info/cluster_169 delete mode 100644 seq/clusters_info/cluster_17 delete mode 100644 seq/clusters_info/cluster_170 delete mode 100644 seq/clusters_info/cluster_171 delete mode 100644 seq/clusters_info/cluster_172 delete mode 100644 seq/clusters_info/cluster_173 delete mode 100644 seq/clusters_info/cluster_174 delete mode 100644 seq/clusters_info/cluster_175 delete mode 100644 seq/clusters_info/cluster_176 delete mode 100644 seq/clusters_info/cluster_177 delete mode 100644 seq/clusters_info/cluster_178 delete mode 100644 seq/clusters_info/cluster_179 delete mode 100644 seq/clusters_info/cluster_18 delete mode 100644 seq/clusters_info/cluster_180 delete mode 100644 seq/clusters_info/cluster_181 delete mode 100644 seq/clusters_info/cluster_182 delete mode 100644 seq/clusters_info/cluster_183 delete mode 100644 seq/clusters_info/cluster_184 delete mode 100644 seq/clusters_info/cluster_185 delete mode 100644 seq/clusters_info/cluster_186 delete mode 100644 seq/clusters_info/cluster_187 delete mode 100644 seq/clusters_info/cluster_188 delete mode 100644 seq/clusters_info/cluster_189 delete mode 100644 seq/clusters_info/cluster_19 delete mode 100644 seq/clusters_info/cluster_190 delete mode 100644 seq/clusters_info/cluster_191 delete mode 100644 seq/clusters_info/cluster_192 delete mode 100644 seq/clusters_info/cluster_193 delete mode 100644 seq/clusters_info/cluster_194 delete mode 100644 seq/clusters_info/cluster_195 delete mode 100644 seq/clusters_info/cluster_196 delete mode 100644 seq/clusters_info/cluster_197 delete mode 100644 seq/clusters_info/cluster_198 delete mode 100644 seq/clusters_info/cluster_199 delete mode 100644 seq/clusters_info/cluster_2 delete mode 100644 seq/clusters_info/cluster_20 delete mode 100644 seq/clusters_info/cluster_200 delete mode 100644 seq/clusters_info/cluster_201 delete mode 100644 seq/clusters_info/cluster_202 delete mode 100644 seq/clusters_info/cluster_203 delete mode 100644 seq/clusters_info/cluster_204 delete mode 100644 seq/clusters_info/cluster_205 delete mode 100644 seq/clusters_info/cluster_206 delete mode 100644 seq/clusters_info/cluster_207 delete mode 100644 seq/clusters_info/cluster_208 delete mode 100644 seq/clusters_info/cluster_209 delete mode 100644 seq/clusters_info/cluster_21 delete mode 100644 seq/clusters_info/cluster_210 delete mode 100644 seq/clusters_info/cluster_211 delete mode 100644 seq/clusters_info/cluster_212 delete mode 100644 seq/clusters_info/cluster_213 delete mode 100644 seq/clusters_info/cluster_214 delete mode 100644 seq/clusters_info/cluster_215 delete mode 100644 seq/clusters_info/cluster_216 delete mode 100644 seq/clusters_info/cluster_217 delete mode 100644 seq/clusters_info/cluster_218 delete mode 100644 seq/clusters_info/cluster_219 delete mode 100644 seq/clusters_info/cluster_22 delete mode 100644 seq/clusters_info/cluster_220 delete mode 100644 seq/clusters_info/cluster_221 delete mode 100644 seq/clusters_info/cluster_222 delete mode 100644 seq/clusters_info/cluster_223 delete mode 100644 seq/clusters_info/cluster_224 delete mode 100644 seq/clusters_info/cluster_225 delete mode 100644 seq/clusters_info/cluster_226 delete mode 100644 seq/clusters_info/cluster_227 delete mode 100644 seq/clusters_info/cluster_228 delete mode 100644 seq/clusters_info/cluster_229 delete mode 100644 seq/clusters_info/cluster_23 delete mode 100644 seq/clusters_info/cluster_230 delete mode 100644 seq/clusters_info/cluster_231 delete mode 100644 seq/clusters_info/cluster_232 delete mode 100644 seq/clusters_info/cluster_233 delete mode 100644 seq/clusters_info/cluster_234 delete mode 100644 seq/clusters_info/cluster_235 delete mode 100644 seq/clusters_info/cluster_236 delete mode 100644 seq/clusters_info/cluster_237 delete mode 100644 seq/clusters_info/cluster_238 delete mode 100644 seq/clusters_info/cluster_239 delete mode 100644 seq/clusters_info/cluster_24 delete mode 100644 seq/clusters_info/cluster_240 delete mode 100644 seq/clusters_info/cluster_241 delete mode 100644 seq/clusters_info/cluster_242 delete mode 100644 seq/clusters_info/cluster_243 delete mode 100644 seq/clusters_info/cluster_244 delete mode 100644 seq/clusters_info/cluster_245 delete mode 100644 seq/clusters_info/cluster_246 delete mode 100644 seq/clusters_info/cluster_247 delete mode 100644 seq/clusters_info/cluster_248 delete mode 100644 seq/clusters_info/cluster_249 delete mode 100644 seq/clusters_info/cluster_25 delete mode 100644 seq/clusters_info/cluster_250 delete mode 100644 seq/clusters_info/cluster_251 delete mode 100644 seq/clusters_info/cluster_252 delete mode 100644 seq/clusters_info/cluster_253 delete mode 100644 seq/clusters_info/cluster_254 delete mode 100644 seq/clusters_info/cluster_255 delete mode 100644 seq/clusters_info/cluster_256 delete mode 100644 seq/clusters_info/cluster_257 delete mode 100644 seq/clusters_info/cluster_258 delete mode 100644 seq/clusters_info/cluster_259 delete mode 100644 seq/clusters_info/cluster_26 delete mode 100644 seq/clusters_info/cluster_260 delete mode 100644 seq/clusters_info/cluster_261 delete mode 100644 seq/clusters_info/cluster_262 delete mode 100644 seq/clusters_info/cluster_263 delete mode 100644 seq/clusters_info/cluster_264 delete mode 100644 seq/clusters_info/cluster_265 delete mode 100644 seq/clusters_info/cluster_266 delete mode 100644 seq/clusters_info/cluster_267 delete mode 100644 seq/clusters_info/cluster_268 delete mode 100644 seq/clusters_info/cluster_269 delete mode 100644 seq/clusters_info/cluster_27 delete mode 100644 seq/clusters_info/cluster_270 delete mode 100644 seq/clusters_info/cluster_271 delete mode 100644 seq/clusters_info/cluster_272 delete mode 100644 seq/clusters_info/cluster_273 delete mode 100644 seq/clusters_info/cluster_274 delete mode 100644 seq/clusters_info/cluster_275 delete mode 100644 seq/clusters_info/cluster_276 delete mode 100644 seq/clusters_info/cluster_277 delete mode 100644 seq/clusters_info/cluster_278 delete mode 100644 seq/clusters_info/cluster_279 delete mode 100644 seq/clusters_info/cluster_28 delete mode 100644 seq/clusters_info/cluster_280 delete mode 100644 seq/clusters_info/cluster_281 delete mode 100644 seq/clusters_info/cluster_282 delete mode 100644 seq/clusters_info/cluster_283 delete mode 100644 seq/clusters_info/cluster_284 delete mode 100644 seq/clusters_info/cluster_285 delete mode 100644 seq/clusters_info/cluster_286 delete mode 100644 seq/clusters_info/cluster_287 delete mode 100644 seq/clusters_info/cluster_288 delete mode 100644 seq/clusters_info/cluster_289 delete mode 100644 seq/clusters_info/cluster_29 delete mode 100644 seq/clusters_info/cluster_290 delete mode 100644 seq/clusters_info/cluster_291 delete mode 100644 seq/clusters_info/cluster_292 delete mode 100644 seq/clusters_info/cluster_293 delete mode 100644 seq/clusters_info/cluster_294 delete mode 100644 seq/clusters_info/cluster_295 delete mode 100644 seq/clusters_info/cluster_296 delete mode 100644 seq/clusters_info/cluster_297 delete mode 100644 seq/clusters_info/cluster_298 delete mode 100644 seq/clusters_info/cluster_299 delete mode 100644 seq/clusters_info/cluster_3 delete mode 100644 seq/clusters_info/cluster_30 delete mode 100644 seq/clusters_info/cluster_300 delete mode 100644 seq/clusters_info/cluster_301 delete mode 100644 seq/clusters_info/cluster_302 delete mode 100644 seq/clusters_info/cluster_303 delete mode 100644 seq/clusters_info/cluster_304 delete mode 100644 seq/clusters_info/cluster_305 delete mode 100644 seq/clusters_info/cluster_306 delete mode 100644 seq/clusters_info/cluster_307 delete mode 100644 seq/clusters_info/cluster_308 delete mode 100644 seq/clusters_info/cluster_309 delete mode 100644 seq/clusters_info/cluster_31 delete mode 100644 seq/clusters_info/cluster_310 delete mode 100644 seq/clusters_info/cluster_311 delete mode 100644 seq/clusters_info/cluster_312 delete mode 100644 seq/clusters_info/cluster_313 delete mode 100644 seq/clusters_info/cluster_314 delete mode 100644 seq/clusters_info/cluster_315 delete mode 100644 seq/clusters_info/cluster_316 delete mode 100644 seq/clusters_info/cluster_317 delete mode 100644 seq/clusters_info/cluster_318 delete mode 100644 seq/clusters_info/cluster_319 delete mode 100644 seq/clusters_info/cluster_32 delete mode 100644 seq/clusters_info/cluster_320 delete mode 100644 seq/clusters_info/cluster_321 delete mode 100644 seq/clusters_info/cluster_322 delete mode 100644 seq/clusters_info/cluster_323 delete mode 100644 seq/clusters_info/cluster_324 delete mode 100644 seq/clusters_info/cluster_325 delete mode 100644 seq/clusters_info/cluster_326 delete mode 100644 seq/clusters_info/cluster_327 delete mode 100644 seq/clusters_info/cluster_328 delete mode 100644 seq/clusters_info/cluster_329 delete mode 100644 seq/clusters_info/cluster_33 delete mode 100644 seq/clusters_info/cluster_330 delete mode 100644 seq/clusters_info/cluster_331 delete mode 100644 seq/clusters_info/cluster_332 delete mode 100644 seq/clusters_info/cluster_333 delete mode 100644 seq/clusters_info/cluster_334 delete mode 100644 seq/clusters_info/cluster_335 delete mode 100644 seq/clusters_info/cluster_336 delete mode 100644 seq/clusters_info/cluster_337 delete mode 100644 seq/clusters_info/cluster_338 delete mode 100644 seq/clusters_info/cluster_339 delete mode 100644 seq/clusters_info/cluster_34 delete mode 100644 seq/clusters_info/cluster_340 delete mode 100644 seq/clusters_info/cluster_341 delete mode 100644 seq/clusters_info/cluster_342 delete mode 100644 seq/clusters_info/cluster_343 delete mode 100644 seq/clusters_info/cluster_344 delete mode 100644 seq/clusters_info/cluster_345 delete mode 100644 seq/clusters_info/cluster_346 delete mode 100644 seq/clusters_info/cluster_347 delete mode 100644 seq/clusters_info/cluster_348 delete mode 100644 seq/clusters_info/cluster_349 delete mode 100644 seq/clusters_info/cluster_35 delete mode 100644 seq/clusters_info/cluster_350 delete mode 100644 seq/clusters_info/cluster_351 delete mode 100644 seq/clusters_info/cluster_352 delete mode 100644 seq/clusters_info/cluster_353 delete mode 100644 seq/clusters_info/cluster_354 delete mode 100644 seq/clusters_info/cluster_355 delete mode 100644 seq/clusters_info/cluster_356 delete mode 100644 seq/clusters_info/cluster_357 delete mode 100644 seq/clusters_info/cluster_358 delete mode 100644 seq/clusters_info/cluster_359 delete mode 100644 seq/clusters_info/cluster_36 delete mode 100644 seq/clusters_info/cluster_360 delete mode 100644 seq/clusters_info/cluster_361 delete mode 100644 seq/clusters_info/cluster_362 delete mode 100644 seq/clusters_info/cluster_363 delete mode 100644 seq/clusters_info/cluster_364 delete mode 100644 seq/clusters_info/cluster_365 delete mode 100644 seq/clusters_info/cluster_366 delete mode 100644 seq/clusters_info/cluster_367 delete mode 100644 seq/clusters_info/cluster_368 delete mode 100644 seq/clusters_info/cluster_369 delete mode 100644 seq/clusters_info/cluster_37 delete mode 100644 seq/clusters_info/cluster_370 delete mode 100644 seq/clusters_info/cluster_371 delete mode 100644 seq/clusters_info/cluster_372 delete mode 100644 seq/clusters_info/cluster_373 delete mode 100644 seq/clusters_info/cluster_374 delete mode 100644 seq/clusters_info/cluster_375 delete mode 100644 seq/clusters_info/cluster_376 delete mode 100644 seq/clusters_info/cluster_377 delete mode 100644 seq/clusters_info/cluster_378 delete mode 100644 seq/clusters_info/cluster_379 delete mode 100644 seq/clusters_info/cluster_38 delete mode 100644 seq/clusters_info/cluster_380 delete mode 100644 seq/clusters_info/cluster_381 delete mode 100644 seq/clusters_info/cluster_382 delete mode 100644 seq/clusters_info/cluster_383 delete mode 100644 seq/clusters_info/cluster_384 delete mode 100644 seq/clusters_info/cluster_385 delete mode 100644 seq/clusters_info/cluster_386 delete mode 100644 seq/clusters_info/cluster_387 delete mode 100644 seq/clusters_info/cluster_388 delete mode 100644 seq/clusters_info/cluster_389 delete mode 100644 seq/clusters_info/cluster_39 delete mode 100644 seq/clusters_info/cluster_390 delete mode 100644 seq/clusters_info/cluster_391 delete mode 100644 seq/clusters_info/cluster_392 delete mode 100644 seq/clusters_info/cluster_393 delete mode 100644 seq/clusters_info/cluster_394 delete mode 100644 seq/clusters_info/cluster_395 delete mode 100644 seq/clusters_info/cluster_396 delete mode 100644 seq/clusters_info/cluster_397 delete mode 100644 seq/clusters_info/cluster_398 delete mode 100644 seq/clusters_info/cluster_399 delete mode 100644 seq/clusters_info/cluster_4 delete mode 100644 seq/clusters_info/cluster_40 delete mode 100644 seq/clusters_info/cluster_400 delete mode 100644 seq/clusters_info/cluster_401 delete mode 100644 seq/clusters_info/cluster_402 delete mode 100644 seq/clusters_info/cluster_403 delete mode 100644 seq/clusters_info/cluster_404 delete mode 100644 seq/clusters_info/cluster_405 delete mode 100644 seq/clusters_info/cluster_406 delete mode 100644 seq/clusters_info/cluster_407 delete mode 100644 seq/clusters_info/cluster_408 delete mode 100644 seq/clusters_info/cluster_409 delete mode 100644 seq/clusters_info/cluster_41 delete mode 100644 seq/clusters_info/cluster_410 delete mode 100644 seq/clusters_info/cluster_411 delete mode 100644 seq/clusters_info/cluster_412 delete mode 100644 seq/clusters_info/cluster_413 delete mode 100644 seq/clusters_info/cluster_414 delete mode 100644 seq/clusters_info/cluster_415 delete mode 100644 seq/clusters_info/cluster_416 delete mode 100644 seq/clusters_info/cluster_417 delete mode 100644 seq/clusters_info/cluster_418 delete mode 100644 seq/clusters_info/cluster_419 delete mode 100644 seq/clusters_info/cluster_42 delete mode 100644 seq/clusters_info/cluster_420 delete mode 100644 seq/clusters_info/cluster_421 delete mode 100644 seq/clusters_info/cluster_422 delete mode 100644 seq/clusters_info/cluster_423 delete mode 100644 seq/clusters_info/cluster_424 delete mode 100644 seq/clusters_info/cluster_425 delete mode 100644 seq/clusters_info/cluster_426 delete mode 100644 seq/clusters_info/cluster_427 delete mode 100644 seq/clusters_info/cluster_428 delete mode 100644 seq/clusters_info/cluster_429 delete mode 100644 seq/clusters_info/cluster_43 delete mode 100644 seq/clusters_info/cluster_430 delete mode 100644 seq/clusters_info/cluster_431 delete mode 100644 seq/clusters_info/cluster_432 delete mode 100644 seq/clusters_info/cluster_433 delete mode 100644 seq/clusters_info/cluster_434 delete mode 100644 seq/clusters_info/cluster_435 delete mode 100644 seq/clusters_info/cluster_436 delete mode 100644 seq/clusters_info/cluster_437 delete mode 100644 seq/clusters_info/cluster_438 delete mode 100644 seq/clusters_info/cluster_439 delete mode 100644 seq/clusters_info/cluster_44 delete mode 100644 seq/clusters_info/cluster_440 delete mode 100644 seq/clusters_info/cluster_441 delete mode 100644 seq/clusters_info/cluster_442 delete mode 100644 seq/clusters_info/cluster_443 delete mode 100644 seq/clusters_info/cluster_444 delete mode 100644 seq/clusters_info/cluster_445 delete mode 100644 seq/clusters_info/cluster_446 delete mode 100644 seq/clusters_info/cluster_447 delete mode 100644 seq/clusters_info/cluster_448 delete mode 100644 seq/clusters_info/cluster_449 delete mode 100644 seq/clusters_info/cluster_45 delete mode 100644 seq/clusters_info/cluster_450 delete mode 100644 seq/clusters_info/cluster_451 delete mode 100644 seq/clusters_info/cluster_452 delete mode 100644 seq/clusters_info/cluster_453 delete mode 100644 seq/clusters_info/cluster_454 delete mode 100644 seq/clusters_info/cluster_455 delete mode 100644 seq/clusters_info/cluster_456 delete mode 100644 seq/clusters_info/cluster_457 delete mode 100644 seq/clusters_info/cluster_458 delete mode 100644 seq/clusters_info/cluster_459 delete mode 100644 seq/clusters_info/cluster_46 delete mode 100644 seq/clusters_info/cluster_460 delete mode 100644 seq/clusters_info/cluster_461 delete mode 100644 seq/clusters_info/cluster_462 delete mode 100644 seq/clusters_info/cluster_463 delete mode 100644 seq/clusters_info/cluster_464 delete mode 100644 seq/clusters_info/cluster_465 delete mode 100644 seq/clusters_info/cluster_466 delete mode 100644 seq/clusters_info/cluster_467 delete mode 100644 seq/clusters_info/cluster_468 delete mode 100644 seq/clusters_info/cluster_469 delete mode 100644 seq/clusters_info/cluster_47 delete mode 100644 seq/clusters_info/cluster_470 delete mode 100644 seq/clusters_info/cluster_471 delete mode 100644 seq/clusters_info/cluster_472 delete mode 100644 seq/clusters_info/cluster_473 delete mode 100644 seq/clusters_info/cluster_474 delete mode 100644 seq/clusters_info/cluster_475 delete mode 100644 seq/clusters_info/cluster_476 delete mode 100644 seq/clusters_info/cluster_477 delete mode 100644 seq/clusters_info/cluster_478 delete mode 100644 seq/clusters_info/cluster_479 delete mode 100644 seq/clusters_info/cluster_48 delete mode 100644 seq/clusters_info/cluster_480 delete mode 100644 seq/clusters_info/cluster_481 delete mode 100644 seq/clusters_info/cluster_482 delete mode 100644 seq/clusters_info/cluster_483 delete mode 100644 seq/clusters_info/cluster_484 delete mode 100644 seq/clusters_info/cluster_485 delete mode 100644 seq/clusters_info/cluster_486 delete mode 100644 seq/clusters_info/cluster_487 delete mode 100644 seq/clusters_info/cluster_488 delete mode 100644 seq/clusters_info/cluster_489 delete mode 100644 seq/clusters_info/cluster_49 delete mode 100644 seq/clusters_info/cluster_490 delete mode 100644 seq/clusters_info/cluster_491 delete mode 100644 seq/clusters_info/cluster_492 delete mode 100644 seq/clusters_info/cluster_493 delete mode 100644 seq/clusters_info/cluster_494 delete mode 100644 seq/clusters_info/cluster_495 delete mode 100644 seq/clusters_info/cluster_496 delete mode 100644 seq/clusters_info/cluster_497 delete mode 100644 seq/clusters_info/cluster_498 delete mode 100644 seq/clusters_info/cluster_499 delete mode 100644 seq/clusters_info/cluster_5 delete mode 100644 seq/clusters_info/cluster_50 delete mode 100644 seq/clusters_info/cluster_500 delete mode 100644 seq/clusters_info/cluster_501 delete mode 100644 seq/clusters_info/cluster_502 delete mode 100644 seq/clusters_info/cluster_503 delete mode 100644 seq/clusters_info/cluster_504 delete mode 100644 seq/clusters_info/cluster_505 delete mode 100644 seq/clusters_info/cluster_506 delete mode 100644 seq/clusters_info/cluster_507 delete mode 100644 seq/clusters_info/cluster_508 delete mode 100644 seq/clusters_info/cluster_509 delete mode 100644 seq/clusters_info/cluster_51 delete mode 100644 seq/clusters_info/cluster_510 delete mode 100644 seq/clusters_info/cluster_511 delete mode 100644 seq/clusters_info/cluster_512 delete mode 100644 seq/clusters_info/cluster_513 delete mode 100644 seq/clusters_info/cluster_514 delete mode 100644 seq/clusters_info/cluster_515 delete mode 100644 seq/clusters_info/cluster_516 delete mode 100644 seq/clusters_info/cluster_517 delete mode 100644 seq/clusters_info/cluster_518 delete mode 100644 seq/clusters_info/cluster_519 delete mode 100644 seq/clusters_info/cluster_52 delete mode 100644 seq/clusters_info/cluster_520 delete mode 100644 seq/clusters_info/cluster_521 delete mode 100644 seq/clusters_info/cluster_522 delete mode 100644 seq/clusters_info/cluster_523 delete mode 100644 seq/clusters_info/cluster_524 delete mode 100644 seq/clusters_info/cluster_525 delete mode 100644 seq/clusters_info/cluster_526 delete mode 100644 seq/clusters_info/cluster_527 delete mode 100644 seq/clusters_info/cluster_528 delete mode 100644 seq/clusters_info/cluster_529 delete mode 100644 seq/clusters_info/cluster_53 delete mode 100644 seq/clusters_info/cluster_530 delete mode 100644 seq/clusters_info/cluster_531 delete mode 100644 seq/clusters_info/cluster_532 delete mode 100644 seq/clusters_info/cluster_533 delete mode 100644 seq/clusters_info/cluster_534 delete mode 100644 seq/clusters_info/cluster_535 delete mode 100644 seq/clusters_info/cluster_536 delete mode 100644 seq/clusters_info/cluster_537 delete mode 100644 seq/clusters_info/cluster_538 delete mode 100644 seq/clusters_info/cluster_539 delete mode 100644 seq/clusters_info/cluster_54 delete mode 100644 seq/clusters_info/cluster_540 delete mode 100644 seq/clusters_info/cluster_541 delete mode 100644 seq/clusters_info/cluster_542 delete mode 100644 seq/clusters_info/cluster_543 delete mode 100644 seq/clusters_info/cluster_544 delete mode 100644 seq/clusters_info/cluster_545 delete mode 100644 seq/clusters_info/cluster_546 delete mode 100644 seq/clusters_info/cluster_547 delete mode 100644 seq/clusters_info/cluster_548 delete mode 100644 seq/clusters_info/cluster_549 delete mode 100644 seq/clusters_info/cluster_55 delete mode 100644 seq/clusters_info/cluster_550 delete mode 100644 seq/clusters_info/cluster_551 delete mode 100644 seq/clusters_info/cluster_552 delete mode 100644 seq/clusters_info/cluster_553 delete mode 100644 seq/clusters_info/cluster_554 delete mode 100644 seq/clusters_info/cluster_555 delete mode 100644 seq/clusters_info/cluster_556 delete mode 100644 seq/clusters_info/cluster_557 delete mode 100644 seq/clusters_info/cluster_558 delete mode 100644 seq/clusters_info/cluster_559 delete mode 100644 seq/clusters_info/cluster_56 delete mode 100644 seq/clusters_info/cluster_560 delete mode 100644 seq/clusters_info/cluster_561 delete mode 100644 seq/clusters_info/cluster_562 delete mode 100644 seq/clusters_info/cluster_563 delete mode 100644 seq/clusters_info/cluster_564 delete mode 100644 seq/clusters_info/cluster_565 delete mode 100644 seq/clusters_info/cluster_566 delete mode 100644 seq/clusters_info/cluster_567 delete mode 100644 seq/clusters_info/cluster_568 delete mode 100644 seq/clusters_info/cluster_569 delete mode 100644 seq/clusters_info/cluster_57 delete mode 100644 seq/clusters_info/cluster_570 delete mode 100644 seq/clusters_info/cluster_571 delete mode 100644 seq/clusters_info/cluster_572 delete mode 100644 seq/clusters_info/cluster_573 delete mode 100644 seq/clusters_info/cluster_574 delete mode 100644 seq/clusters_info/cluster_575 delete mode 100644 seq/clusters_info/cluster_576 delete mode 100644 seq/clusters_info/cluster_577 delete mode 100644 seq/clusters_info/cluster_578 delete mode 100644 seq/clusters_info/cluster_579 delete mode 100644 seq/clusters_info/cluster_58 delete mode 100644 seq/clusters_info/cluster_580 delete mode 100644 seq/clusters_info/cluster_581 delete mode 100644 seq/clusters_info/cluster_582 delete mode 100644 seq/clusters_info/cluster_583 delete mode 100644 seq/clusters_info/cluster_584 delete mode 100644 seq/clusters_info/cluster_585 delete mode 100644 seq/clusters_info/cluster_586 delete mode 100644 seq/clusters_info/cluster_587 delete mode 100644 seq/clusters_info/cluster_588 delete mode 100644 seq/clusters_info/cluster_589 delete mode 100644 seq/clusters_info/cluster_59 delete mode 100644 seq/clusters_info/cluster_590 delete mode 100644 seq/clusters_info/cluster_591 delete mode 100644 seq/clusters_info/cluster_592 delete mode 100644 seq/clusters_info/cluster_593 delete mode 100644 seq/clusters_info/cluster_594 delete mode 100644 seq/clusters_info/cluster_595 delete mode 100644 seq/clusters_info/cluster_596 delete mode 100644 seq/clusters_info/cluster_597 delete mode 100644 seq/clusters_info/cluster_598 delete mode 100644 seq/clusters_info/cluster_599 delete mode 100644 seq/clusters_info/cluster_6 delete mode 100644 seq/clusters_info/cluster_60 delete mode 100644 seq/clusters_info/cluster_600 delete mode 100644 seq/clusters_info/cluster_601 delete mode 100644 seq/clusters_info/cluster_602 delete mode 100644 seq/clusters_info/cluster_603 delete mode 100644 seq/clusters_info/cluster_604 delete mode 100644 seq/clusters_info/cluster_605 delete mode 100644 seq/clusters_info/cluster_606 delete mode 100644 seq/clusters_info/cluster_607 delete mode 100644 seq/clusters_info/cluster_608 delete mode 100644 seq/clusters_info/cluster_609 delete mode 100644 seq/clusters_info/cluster_61 delete mode 100644 seq/clusters_info/cluster_610 delete mode 100644 seq/clusters_info/cluster_611 delete mode 100644 seq/clusters_info/cluster_612 delete mode 100644 seq/clusters_info/cluster_613 delete mode 100644 seq/clusters_info/cluster_614 delete mode 100644 seq/clusters_info/cluster_615 delete mode 100644 seq/clusters_info/cluster_616 delete mode 100644 seq/clusters_info/cluster_617 delete mode 100644 seq/clusters_info/cluster_618 delete mode 100644 seq/clusters_info/cluster_619 delete mode 100644 seq/clusters_info/cluster_62 delete mode 100644 seq/clusters_info/cluster_620 delete mode 100644 seq/clusters_info/cluster_621 delete mode 100644 seq/clusters_info/cluster_622 delete mode 100644 seq/clusters_info/cluster_623 delete mode 100644 seq/clusters_info/cluster_624 delete mode 100644 seq/clusters_info/cluster_625 delete mode 100644 seq/clusters_info/cluster_626 delete mode 100644 seq/clusters_info/cluster_627 delete mode 100644 seq/clusters_info/cluster_628 delete mode 100644 seq/clusters_info/cluster_629 delete mode 100644 seq/clusters_info/cluster_63 delete mode 100644 seq/clusters_info/cluster_630 delete mode 100644 seq/clusters_info/cluster_631 delete mode 100644 seq/clusters_info/cluster_632 delete mode 100644 seq/clusters_info/cluster_633 delete mode 100644 seq/clusters_info/cluster_634 delete mode 100644 seq/clusters_info/cluster_635 delete mode 100644 seq/clusters_info/cluster_636 delete mode 100644 seq/clusters_info/cluster_637 delete mode 100644 seq/clusters_info/cluster_638 delete mode 100644 seq/clusters_info/cluster_639 delete mode 100644 seq/clusters_info/cluster_64 delete mode 100644 seq/clusters_info/cluster_640 delete mode 100644 seq/clusters_info/cluster_641 delete mode 100644 seq/clusters_info/cluster_642 delete mode 100644 seq/clusters_info/cluster_643 delete mode 100644 seq/clusters_info/cluster_644 delete mode 100644 seq/clusters_info/cluster_645 delete mode 100644 seq/clusters_info/cluster_646 delete mode 100644 seq/clusters_info/cluster_647 delete mode 100644 seq/clusters_info/cluster_648 delete mode 100644 seq/clusters_info/cluster_649 delete mode 100644 seq/clusters_info/cluster_65 delete mode 100644 seq/clusters_info/cluster_650 delete mode 100644 seq/clusters_info/cluster_651 delete mode 100644 seq/clusters_info/cluster_652 delete mode 100644 seq/clusters_info/cluster_653 delete mode 100644 seq/clusters_info/cluster_654 delete mode 100644 seq/clusters_info/cluster_655 delete mode 100644 seq/clusters_info/cluster_656 delete mode 100644 seq/clusters_info/cluster_657 delete mode 100644 seq/clusters_info/cluster_658 delete mode 100644 seq/clusters_info/cluster_659 delete mode 100644 seq/clusters_info/cluster_66 delete mode 100644 seq/clusters_info/cluster_660 delete mode 100644 seq/clusters_info/cluster_661 delete mode 100644 seq/clusters_info/cluster_662 delete mode 100644 seq/clusters_info/cluster_663 delete mode 100644 seq/clusters_info/cluster_664 delete mode 100644 seq/clusters_info/cluster_665 delete mode 100644 seq/clusters_info/cluster_666 delete mode 100644 seq/clusters_info/cluster_667 delete mode 100644 seq/clusters_info/cluster_668 delete mode 100644 seq/clusters_info/cluster_669 delete mode 100644 seq/clusters_info/cluster_67 delete mode 100644 seq/clusters_info/cluster_670 delete mode 100644 seq/clusters_info/cluster_671 delete mode 100644 seq/clusters_info/cluster_672 delete mode 100644 seq/clusters_info/cluster_673 delete mode 100644 seq/clusters_info/cluster_674 delete mode 100644 seq/clusters_info/cluster_675 delete mode 100644 seq/clusters_info/cluster_676 delete mode 100644 seq/clusters_info/cluster_677 delete mode 100644 seq/clusters_info/cluster_678 delete mode 100644 seq/clusters_info/cluster_679 delete mode 100644 seq/clusters_info/cluster_68 delete mode 100644 seq/clusters_info/cluster_680 delete mode 100644 seq/clusters_info/cluster_681 delete mode 100644 seq/clusters_info/cluster_682 delete mode 100644 seq/clusters_info/cluster_683 delete mode 100644 seq/clusters_info/cluster_684 delete mode 100644 seq/clusters_info/cluster_685 delete mode 100644 seq/clusters_info/cluster_686 delete mode 100644 seq/clusters_info/cluster_687 delete mode 100644 seq/clusters_info/cluster_688 delete mode 100644 seq/clusters_info/cluster_689 delete mode 100644 seq/clusters_info/cluster_69 delete mode 100644 seq/clusters_info/cluster_690 delete mode 100644 seq/clusters_info/cluster_691 delete mode 100644 seq/clusters_info/cluster_692 delete mode 100644 seq/clusters_info/cluster_693 delete mode 100644 seq/clusters_info/cluster_694 delete mode 100644 seq/clusters_info/cluster_695 delete mode 100644 seq/clusters_info/cluster_696 delete mode 100644 seq/clusters_info/cluster_697 delete mode 100644 seq/clusters_info/cluster_698 delete mode 100644 seq/clusters_info/cluster_699 delete mode 100644 seq/clusters_info/cluster_7 delete mode 100644 seq/clusters_info/cluster_70 delete mode 100644 seq/clusters_info/cluster_700 delete mode 100644 seq/clusters_info/cluster_701 delete mode 100644 seq/clusters_info/cluster_702 delete mode 100644 seq/clusters_info/cluster_703 delete mode 100644 seq/clusters_info/cluster_704 delete mode 100644 seq/clusters_info/cluster_705 delete mode 100644 seq/clusters_info/cluster_706 delete mode 100644 seq/clusters_info/cluster_707 delete mode 100644 seq/clusters_info/cluster_708 delete mode 100644 seq/clusters_info/cluster_709 delete mode 100644 seq/clusters_info/cluster_71 delete mode 100644 seq/clusters_info/cluster_710 delete mode 100644 seq/clusters_info/cluster_711 delete mode 100644 seq/clusters_info/cluster_712 delete mode 100644 seq/clusters_info/cluster_713 delete mode 100644 seq/clusters_info/cluster_714 delete mode 100644 seq/clusters_info/cluster_715 delete mode 100644 seq/clusters_info/cluster_716 delete mode 100644 seq/clusters_info/cluster_717 delete mode 100644 seq/clusters_info/cluster_718 delete mode 100644 seq/clusters_info/cluster_719 delete mode 100644 seq/clusters_info/cluster_72 delete mode 100644 seq/clusters_info/cluster_720 delete mode 100644 seq/clusters_info/cluster_721 delete mode 100644 seq/clusters_info/cluster_722 delete mode 100644 seq/clusters_info/cluster_723 delete mode 100644 seq/clusters_info/cluster_724 delete mode 100644 seq/clusters_info/cluster_725 delete mode 100644 seq/clusters_info/cluster_726 delete mode 100644 seq/clusters_info/cluster_727 delete mode 100644 seq/clusters_info/cluster_728 delete mode 100644 seq/clusters_info/cluster_729 delete mode 100644 seq/clusters_info/cluster_73 delete mode 100644 seq/clusters_info/cluster_730 delete mode 100644 seq/clusters_info/cluster_731 delete mode 100644 seq/clusters_info/cluster_732 delete mode 100644 seq/clusters_info/cluster_733 delete mode 100644 seq/clusters_info/cluster_734 delete mode 100644 seq/clusters_info/cluster_735 delete mode 100644 seq/clusters_info/cluster_736 delete mode 100644 seq/clusters_info/cluster_737 delete mode 100644 seq/clusters_info/cluster_738 delete mode 100644 seq/clusters_info/cluster_739 delete mode 100644 seq/clusters_info/cluster_74 delete mode 100644 seq/clusters_info/cluster_740 delete mode 100644 seq/clusters_info/cluster_741 delete mode 100644 seq/clusters_info/cluster_742 delete mode 100644 seq/clusters_info/cluster_743 delete mode 100644 seq/clusters_info/cluster_744 delete mode 100644 seq/clusters_info/cluster_745 delete mode 100644 seq/clusters_info/cluster_746 delete mode 100644 seq/clusters_info/cluster_747 delete mode 100644 seq/clusters_info/cluster_748 delete mode 100644 seq/clusters_info/cluster_749 delete mode 100644 seq/clusters_info/cluster_75 delete mode 100644 seq/clusters_info/cluster_750 delete mode 100644 seq/clusters_info/cluster_751 delete mode 100644 seq/clusters_info/cluster_752 delete mode 100644 seq/clusters_info/cluster_753 delete mode 100644 seq/clusters_info/cluster_754 delete mode 100644 seq/clusters_info/cluster_755 delete mode 100644 seq/clusters_info/cluster_756 delete mode 100644 seq/clusters_info/cluster_757 delete mode 100644 seq/clusters_info/cluster_758 delete mode 100644 seq/clusters_info/cluster_759 delete mode 100644 seq/clusters_info/cluster_76 delete mode 100644 seq/clusters_info/cluster_760 delete mode 100644 seq/clusters_info/cluster_761 delete mode 100644 seq/clusters_info/cluster_762 delete mode 100644 seq/clusters_info/cluster_763 delete mode 100644 seq/clusters_info/cluster_764 delete mode 100644 seq/clusters_info/cluster_765 delete mode 100644 seq/clusters_info/cluster_766 delete mode 100644 seq/clusters_info/cluster_767 delete mode 100644 seq/clusters_info/cluster_768 delete mode 100644 seq/clusters_info/cluster_769 delete mode 100644 seq/clusters_info/cluster_77 delete mode 100644 seq/clusters_info/cluster_770 delete mode 100644 seq/clusters_info/cluster_771 delete mode 100644 seq/clusters_info/cluster_772 delete mode 100644 seq/clusters_info/cluster_773 delete mode 100644 seq/clusters_info/cluster_774 delete mode 100644 seq/clusters_info/cluster_775 delete mode 100644 seq/clusters_info/cluster_776 delete mode 100644 seq/clusters_info/cluster_777 delete mode 100644 seq/clusters_info/cluster_778 delete mode 100644 seq/clusters_info/cluster_779 delete mode 100644 seq/clusters_info/cluster_78 delete mode 100644 seq/clusters_info/cluster_780 delete mode 100644 seq/clusters_info/cluster_781 delete mode 100644 seq/clusters_info/cluster_782 delete mode 100644 seq/clusters_info/cluster_783 delete mode 100644 seq/clusters_info/cluster_784 delete mode 100644 seq/clusters_info/cluster_785 delete mode 100644 seq/clusters_info/cluster_786 delete mode 100644 seq/clusters_info/cluster_787 delete mode 100644 seq/clusters_info/cluster_788 delete mode 100644 seq/clusters_info/cluster_789 delete mode 100644 seq/clusters_info/cluster_79 delete mode 100644 seq/clusters_info/cluster_790 delete mode 100644 seq/clusters_info/cluster_791 delete mode 100644 seq/clusters_info/cluster_792 delete mode 100644 seq/clusters_info/cluster_793 delete mode 100644 seq/clusters_info/cluster_794 delete mode 100644 seq/clusters_info/cluster_795 delete mode 100644 seq/clusters_info/cluster_796 delete mode 100644 seq/clusters_info/cluster_797 delete mode 100644 seq/clusters_info/cluster_798 delete mode 100644 seq/clusters_info/cluster_799 delete mode 100644 seq/clusters_info/cluster_8 delete mode 100644 seq/clusters_info/cluster_80 delete mode 100644 seq/clusters_info/cluster_800 delete mode 100644 seq/clusters_info/cluster_801 delete mode 100644 seq/clusters_info/cluster_802 delete mode 100644 seq/clusters_info/cluster_803 delete mode 100644 seq/clusters_info/cluster_804 delete mode 100644 seq/clusters_info/cluster_805 delete mode 100644 seq/clusters_info/cluster_806 delete mode 100644 seq/clusters_info/cluster_807 delete mode 100644 seq/clusters_info/cluster_808 delete mode 100644 seq/clusters_info/cluster_809 delete mode 100644 seq/clusters_info/cluster_81 delete mode 100644 seq/clusters_info/cluster_810 delete mode 100644 seq/clusters_info/cluster_811 delete mode 100644 seq/clusters_info/cluster_812 delete mode 100644 seq/clusters_info/cluster_813 delete mode 100644 seq/clusters_info/cluster_814 delete mode 100644 seq/clusters_info/cluster_815 delete mode 100644 seq/clusters_info/cluster_816 delete mode 100644 seq/clusters_info/cluster_817 delete mode 100644 seq/clusters_info/cluster_818 delete mode 100644 seq/clusters_info/cluster_819 delete mode 100644 seq/clusters_info/cluster_82 delete mode 100644 seq/clusters_info/cluster_820 delete mode 100644 seq/clusters_info/cluster_821 delete mode 100644 seq/clusters_info/cluster_822 delete mode 100644 seq/clusters_info/cluster_823 delete mode 100644 seq/clusters_info/cluster_824 delete mode 100644 seq/clusters_info/cluster_825 delete mode 100644 seq/clusters_info/cluster_826 delete mode 100644 seq/clusters_info/cluster_827 delete mode 100644 seq/clusters_info/cluster_828 delete mode 100644 seq/clusters_info/cluster_829 delete mode 100644 seq/clusters_info/cluster_83 delete mode 100644 seq/clusters_info/cluster_830 delete mode 100644 seq/clusters_info/cluster_831 delete mode 100644 seq/clusters_info/cluster_832 delete mode 100644 seq/clusters_info/cluster_833 delete mode 100644 seq/clusters_info/cluster_834 delete mode 100644 seq/clusters_info/cluster_835 delete mode 100644 seq/clusters_info/cluster_836 delete mode 100644 seq/clusters_info/cluster_837 delete mode 100644 seq/clusters_info/cluster_838 delete mode 100644 seq/clusters_info/cluster_839 delete mode 100644 seq/clusters_info/cluster_84 delete mode 100644 seq/clusters_info/cluster_840 delete mode 100644 seq/clusters_info/cluster_841 delete mode 100644 seq/clusters_info/cluster_842 delete mode 100644 seq/clusters_info/cluster_843 delete mode 100644 seq/clusters_info/cluster_844 delete mode 100644 seq/clusters_info/cluster_845 delete mode 100644 seq/clusters_info/cluster_846 delete mode 100644 seq/clusters_info/cluster_847 delete mode 100644 seq/clusters_info/cluster_848 delete mode 100644 seq/clusters_info/cluster_849 delete mode 100644 seq/clusters_info/cluster_85 delete mode 100644 seq/clusters_info/cluster_850 delete mode 100644 seq/clusters_info/cluster_851 delete mode 100644 seq/clusters_info/cluster_852 delete mode 100644 seq/clusters_info/cluster_853 delete mode 100644 seq/clusters_info/cluster_854 delete mode 100644 seq/clusters_info/cluster_855 delete mode 100644 seq/clusters_info/cluster_856 delete mode 100644 seq/clusters_info/cluster_857 delete mode 100644 seq/clusters_info/cluster_858 delete mode 100644 seq/clusters_info/cluster_859 delete mode 100644 seq/clusters_info/cluster_86 delete mode 100644 seq/clusters_info/cluster_860 delete mode 100644 seq/clusters_info/cluster_861 delete mode 100644 seq/clusters_info/cluster_862 delete mode 100644 seq/clusters_info/cluster_863 delete mode 100644 seq/clusters_info/cluster_864 delete mode 100644 seq/clusters_info/cluster_865 delete mode 100644 seq/clusters_info/cluster_866 delete mode 100644 seq/clusters_info/cluster_867 delete mode 100644 seq/clusters_info/cluster_868 delete mode 100644 seq/clusters_info/cluster_869 delete mode 100644 seq/clusters_info/cluster_87 delete mode 100644 seq/clusters_info/cluster_870 delete mode 100644 seq/clusters_info/cluster_871 delete mode 100644 seq/clusters_info/cluster_872 delete mode 100644 seq/clusters_info/cluster_873 delete mode 100644 seq/clusters_info/cluster_874 delete mode 100644 seq/clusters_info/cluster_875 delete mode 100644 seq/clusters_info/cluster_876 delete mode 100644 seq/clusters_info/cluster_877 delete mode 100644 seq/clusters_info/cluster_878 delete mode 100644 seq/clusters_info/cluster_879 delete mode 100644 seq/clusters_info/cluster_88 delete mode 100644 seq/clusters_info/cluster_880 delete mode 100644 seq/clusters_info/cluster_881 delete mode 100644 seq/clusters_info/cluster_882 delete mode 100644 seq/clusters_info/cluster_883 delete mode 100644 seq/clusters_info/cluster_884 delete mode 100644 seq/clusters_info/cluster_885 delete mode 100644 seq/clusters_info/cluster_886 delete mode 100644 seq/clusters_info/cluster_887 delete mode 100644 seq/clusters_info/cluster_888 delete mode 100644 seq/clusters_info/cluster_889 delete mode 100644 seq/clusters_info/cluster_89 delete mode 100644 seq/clusters_info/cluster_890 delete mode 100644 seq/clusters_info/cluster_891 delete mode 100644 seq/clusters_info/cluster_892 delete mode 100644 seq/clusters_info/cluster_893 delete mode 100644 seq/clusters_info/cluster_894 delete mode 100644 seq/clusters_info/cluster_895 delete mode 100644 seq/clusters_info/cluster_896 delete mode 100644 seq/clusters_info/cluster_897 delete mode 100644 seq/clusters_info/cluster_898 delete mode 100644 seq/clusters_info/cluster_899 delete mode 100644 seq/clusters_info/cluster_9 delete mode 100644 seq/clusters_info/cluster_90 delete mode 100644 seq/clusters_info/cluster_900 delete mode 100644 seq/clusters_info/cluster_901 delete mode 100644 seq/clusters_info/cluster_902 delete mode 100644 seq/clusters_info/cluster_903 delete mode 100644 seq/clusters_info/cluster_904 delete mode 100644 seq/clusters_info/cluster_905 delete mode 100644 seq/clusters_info/cluster_906 delete mode 100644 seq/clusters_info/cluster_907 delete mode 100644 seq/clusters_info/cluster_908 delete mode 100644 seq/clusters_info/cluster_909 delete mode 100644 seq/clusters_info/cluster_91 delete mode 100644 seq/clusters_info/cluster_910 delete mode 100644 seq/clusters_info/cluster_911 delete mode 100644 seq/clusters_info/cluster_912 delete mode 100644 seq/clusters_info/cluster_913 delete mode 100644 seq/clusters_info/cluster_914 delete mode 100644 seq/clusters_info/cluster_915 delete mode 100644 seq/clusters_info/cluster_916 delete mode 100644 seq/clusters_info/cluster_917 delete mode 100644 seq/clusters_info/cluster_918 delete mode 100644 seq/clusters_info/cluster_919 delete mode 100644 seq/clusters_info/cluster_92 delete mode 100644 seq/clusters_info/cluster_920 delete mode 100644 seq/clusters_info/cluster_921 delete mode 100644 seq/clusters_info/cluster_922 delete mode 100644 seq/clusters_info/cluster_923 delete mode 100644 seq/clusters_info/cluster_924 delete mode 100644 seq/clusters_info/cluster_925 delete mode 100644 seq/clusters_info/cluster_926 delete mode 100644 seq/clusters_info/cluster_927 delete mode 100644 seq/clusters_info/cluster_928 delete mode 100644 seq/clusters_info/cluster_929 delete mode 100644 seq/clusters_info/cluster_93 delete mode 100644 seq/clusters_info/cluster_930 delete mode 100644 seq/clusters_info/cluster_931 delete mode 100644 seq/clusters_info/cluster_932 delete mode 100644 seq/clusters_info/cluster_933 delete mode 100644 seq/clusters_info/cluster_934 delete mode 100644 seq/clusters_info/cluster_935 delete mode 100644 seq/clusters_info/cluster_936 delete mode 100644 seq/clusters_info/cluster_937 delete mode 100644 seq/clusters_info/cluster_938 delete mode 100644 seq/clusters_info/cluster_939 delete mode 100644 seq/clusters_info/cluster_94 delete mode 100644 seq/clusters_info/cluster_940 delete mode 100644 seq/clusters_info/cluster_941 delete mode 100644 seq/clusters_info/cluster_942 delete mode 100644 seq/clusters_info/cluster_943 delete mode 100644 seq/clusters_info/cluster_944 delete mode 100644 seq/clusters_info/cluster_945 delete mode 100644 seq/clusters_info/cluster_946 delete mode 100644 seq/clusters_info/cluster_947 delete mode 100644 seq/clusters_info/cluster_948 delete mode 100644 seq/clusters_info/cluster_949 delete mode 100644 seq/clusters_info/cluster_95 delete mode 100644 seq/clusters_info/cluster_950 delete mode 100644 seq/clusters_info/cluster_951 delete mode 100644 seq/clusters_info/cluster_952 delete mode 100644 seq/clusters_info/cluster_953 delete mode 100644 seq/clusters_info/cluster_954 delete mode 100644 seq/clusters_info/cluster_955 delete mode 100644 seq/clusters_info/cluster_956 delete mode 100644 seq/clusters_info/cluster_957 delete mode 100644 seq/clusters_info/cluster_958 delete mode 100644 seq/clusters_info/cluster_959 delete mode 100644 seq/clusters_info/cluster_96 delete mode 100644 seq/clusters_info/cluster_960 delete mode 100644 seq/clusters_info/cluster_961 delete mode 100644 seq/clusters_info/cluster_962 delete mode 100644 seq/clusters_info/cluster_963 delete mode 100644 seq/clusters_info/cluster_964 delete mode 100644 seq/clusters_info/cluster_965 delete mode 100644 seq/clusters_info/cluster_966 delete mode 100644 seq/clusters_info/cluster_967 delete mode 100644 seq/clusters_info/cluster_968 delete mode 100644 seq/clusters_info/cluster_969 delete mode 100644 seq/clusters_info/cluster_97 delete mode 100644 seq/clusters_info/cluster_970 delete mode 100644 seq/clusters_info/cluster_971 delete mode 100644 seq/clusters_info/cluster_972 delete mode 100644 seq/clusters_info/cluster_973 delete mode 100644 seq/clusters_info/cluster_974 delete mode 100644 seq/clusters_info/cluster_975 delete mode 100644 seq/clusters_info/cluster_976 delete mode 100644 seq/clusters_info/cluster_977 delete mode 100644 seq/clusters_info/cluster_978 delete mode 100644 seq/clusters_info/cluster_979 delete mode 100644 seq/clusters_info/cluster_98 delete mode 100644 seq/clusters_info/cluster_980 delete mode 100644 seq/clusters_info/cluster_981 delete mode 100644 seq/clusters_info/cluster_982 delete mode 100644 seq/clusters_info/cluster_983 delete mode 100644 seq/clusters_info/cluster_984 delete mode 100644 seq/clusters_info/cluster_985 delete mode 100644 seq/clusters_info/cluster_986 delete mode 100644 seq/clusters_info/cluster_987 delete mode 100644 seq/clusters_info/cluster_988 delete mode 100644 seq/clusters_info/cluster_989 delete mode 100644 seq/clusters_info/cluster_99 delete mode 100644 seq/clusters_info/cluster_990 delete mode 100644 seq/clusters_info/cluster_991 delete mode 100644 seq/clusters_info/cluster_992 delete mode 100644 seq/clusters_info/cluster_993 delete mode 100644 seq/clusters_info/cluster_994 delete mode 100644 seq/clusters_info/cluster_995 delete mode 100644 seq/clusters_info/cluster_996 delete mode 100644 seq/clusters_info/cluster_997 delete mode 100644 seq/clusters_info/cluster_998 delete mode 100644 seq/clusters_info/cluster_999 delete mode 100644 seq/clusters_seq/cluster_1 delete mode 100644 seq/clusters_seq/cluster_10 delete mode 100644 seq/clusters_seq/cluster_100 delete mode 100644 seq/clusters_seq/cluster_1000 delete mode 100644 seq/clusters_seq/cluster_1001 delete mode 100644 seq/clusters_seq/cluster_1002 delete mode 100644 seq/clusters_seq/cluster_1003 delete mode 100644 seq/clusters_seq/cluster_1004 delete mode 100644 seq/clusters_seq/cluster_1005 delete mode 100644 seq/clusters_seq/cluster_1006 delete mode 100644 seq/clusters_seq/cluster_1007 delete mode 100644 seq/clusters_seq/cluster_1008 delete mode 100644 seq/clusters_seq/cluster_1009 delete mode 100644 seq/clusters_seq/cluster_101 delete mode 100644 seq/clusters_seq/cluster_1010 delete mode 100644 seq/clusters_seq/cluster_1011 delete mode 100644 seq/clusters_seq/cluster_1012 delete mode 100644 seq/clusters_seq/cluster_1013 delete mode 100644 seq/clusters_seq/cluster_1014 delete mode 100644 seq/clusters_seq/cluster_1015 delete mode 100644 seq/clusters_seq/cluster_1016 delete mode 100644 seq/clusters_seq/cluster_1017 delete mode 100644 seq/clusters_seq/cluster_1018 delete mode 100644 seq/clusters_seq/cluster_1019 delete mode 100644 seq/clusters_seq/cluster_102 delete mode 100644 seq/clusters_seq/cluster_1020 delete mode 100644 seq/clusters_seq/cluster_1021 delete mode 100644 seq/clusters_seq/cluster_1022 delete mode 100644 seq/clusters_seq/cluster_1023 delete mode 100644 seq/clusters_seq/cluster_1024 delete mode 100644 seq/clusters_seq/cluster_1025 delete mode 100644 seq/clusters_seq/cluster_1026 delete mode 100644 seq/clusters_seq/cluster_1027 delete mode 100644 seq/clusters_seq/cluster_1028 delete mode 100644 seq/clusters_seq/cluster_1029 delete mode 100644 seq/clusters_seq/cluster_103 delete mode 100644 seq/clusters_seq/cluster_1030 delete mode 100644 seq/clusters_seq/cluster_1031 delete mode 100644 seq/clusters_seq/cluster_1032 delete mode 100644 seq/clusters_seq/cluster_1033 delete mode 100644 seq/clusters_seq/cluster_1034 delete mode 100644 seq/clusters_seq/cluster_1035 delete mode 100644 seq/clusters_seq/cluster_1036 delete mode 100644 seq/clusters_seq/cluster_1037 delete mode 100644 seq/clusters_seq/cluster_1038 delete mode 100644 seq/clusters_seq/cluster_1039 delete mode 100644 seq/clusters_seq/cluster_104 delete mode 100644 seq/clusters_seq/cluster_1040 delete mode 100644 seq/clusters_seq/cluster_1041 delete mode 100644 seq/clusters_seq/cluster_1042 delete mode 100644 seq/clusters_seq/cluster_1043 delete mode 100644 seq/clusters_seq/cluster_1044 delete mode 100644 seq/clusters_seq/cluster_1045 delete mode 100644 seq/clusters_seq/cluster_1046 delete mode 100644 seq/clusters_seq/cluster_1047 delete mode 100644 seq/clusters_seq/cluster_1048 delete mode 100644 seq/clusters_seq/cluster_1049 delete mode 100644 seq/clusters_seq/cluster_105 delete mode 100644 seq/clusters_seq/cluster_1050 delete mode 100644 seq/clusters_seq/cluster_1051 delete mode 100644 seq/clusters_seq/cluster_1052 delete mode 100644 seq/clusters_seq/cluster_1053 delete mode 100644 seq/clusters_seq/cluster_1054 delete mode 100644 seq/clusters_seq/cluster_1055 delete mode 100644 seq/clusters_seq/cluster_1056 delete mode 100644 seq/clusters_seq/cluster_1057 delete mode 100644 seq/clusters_seq/cluster_1058 delete mode 100644 seq/clusters_seq/cluster_1059 delete mode 100644 seq/clusters_seq/cluster_106 delete mode 100644 seq/clusters_seq/cluster_1060 delete mode 100644 seq/clusters_seq/cluster_1061 delete mode 100644 seq/clusters_seq/cluster_1062 delete mode 100644 seq/clusters_seq/cluster_1063 delete mode 100644 seq/clusters_seq/cluster_1064 delete mode 100644 seq/clusters_seq/cluster_1065 delete mode 100644 seq/clusters_seq/cluster_1066 delete mode 100644 seq/clusters_seq/cluster_1067 delete mode 100644 seq/clusters_seq/cluster_1068 delete mode 100644 seq/clusters_seq/cluster_1069 delete mode 100644 seq/clusters_seq/cluster_107 delete mode 100644 seq/clusters_seq/cluster_1070 delete mode 100644 seq/clusters_seq/cluster_1071 delete mode 100644 seq/clusters_seq/cluster_1072 delete mode 100644 seq/clusters_seq/cluster_1073 delete mode 100644 seq/clusters_seq/cluster_1074 delete mode 100644 seq/clusters_seq/cluster_1075 delete mode 100644 seq/clusters_seq/cluster_1076 delete mode 100644 seq/clusters_seq/cluster_1077 delete mode 100644 seq/clusters_seq/cluster_1078 delete mode 100644 seq/clusters_seq/cluster_1079 delete mode 100644 seq/clusters_seq/cluster_108 delete mode 100644 seq/clusters_seq/cluster_1080 delete mode 100644 seq/clusters_seq/cluster_1081 delete mode 100644 seq/clusters_seq/cluster_1082 delete mode 100644 seq/clusters_seq/cluster_1083 delete mode 100644 seq/clusters_seq/cluster_1084 delete mode 100644 seq/clusters_seq/cluster_1085 delete mode 100644 seq/clusters_seq/cluster_1086 delete mode 100644 seq/clusters_seq/cluster_1087 delete mode 100644 seq/clusters_seq/cluster_1088 delete mode 100644 seq/clusters_seq/cluster_1089 delete mode 100644 seq/clusters_seq/cluster_109 delete mode 100644 seq/clusters_seq/cluster_1090 delete mode 100644 seq/clusters_seq/cluster_1091 delete mode 100644 seq/clusters_seq/cluster_1092 delete mode 100644 seq/clusters_seq/cluster_1093 delete mode 100644 seq/clusters_seq/cluster_1094 delete mode 100644 seq/clusters_seq/cluster_1095 delete mode 100644 seq/clusters_seq/cluster_1096 delete mode 100644 seq/clusters_seq/cluster_1097 delete mode 100644 seq/clusters_seq/cluster_1098 delete mode 100644 seq/clusters_seq/cluster_1099 delete mode 100644 seq/clusters_seq/cluster_11 delete mode 100644 seq/clusters_seq/cluster_110 delete mode 100644 seq/clusters_seq/cluster_1100 delete mode 100644 seq/clusters_seq/cluster_1101 delete mode 100644 seq/clusters_seq/cluster_1102 delete mode 100644 seq/clusters_seq/cluster_1103 delete mode 100644 seq/clusters_seq/cluster_1104 delete mode 100644 seq/clusters_seq/cluster_1105 delete mode 100644 seq/clusters_seq/cluster_1106 delete mode 100644 seq/clusters_seq/cluster_1107 delete mode 100644 seq/clusters_seq/cluster_1108 delete mode 100644 seq/clusters_seq/cluster_1109 delete mode 100644 seq/clusters_seq/cluster_111 delete mode 100644 seq/clusters_seq/cluster_1110 delete mode 100644 seq/clusters_seq/cluster_1111 delete mode 100644 seq/clusters_seq/cluster_1112 delete mode 100644 seq/clusters_seq/cluster_1113 delete mode 100644 seq/clusters_seq/cluster_1114 delete mode 100644 seq/clusters_seq/cluster_1115 delete mode 100644 seq/clusters_seq/cluster_1116 delete mode 100644 seq/clusters_seq/cluster_1117 delete mode 100644 seq/clusters_seq/cluster_1118 delete mode 100644 seq/clusters_seq/cluster_1119 delete mode 100644 seq/clusters_seq/cluster_112 delete mode 100644 seq/clusters_seq/cluster_1120 delete mode 100644 seq/clusters_seq/cluster_1121 delete mode 100644 seq/clusters_seq/cluster_1122 delete mode 100644 seq/clusters_seq/cluster_1123 delete mode 100644 seq/clusters_seq/cluster_1124 delete mode 100644 seq/clusters_seq/cluster_1125 delete mode 100644 seq/clusters_seq/cluster_1126 delete mode 100644 seq/clusters_seq/cluster_1127 delete mode 100644 seq/clusters_seq/cluster_1128 delete mode 100644 seq/clusters_seq/cluster_1129 delete mode 100644 seq/clusters_seq/cluster_113 delete mode 100644 seq/clusters_seq/cluster_1130 delete mode 100644 seq/clusters_seq/cluster_1131 delete mode 100644 seq/clusters_seq/cluster_1132 delete mode 100644 seq/clusters_seq/cluster_1133 delete mode 100644 seq/clusters_seq/cluster_1134 delete mode 100644 seq/clusters_seq/cluster_1135 delete mode 100644 seq/clusters_seq/cluster_1136 delete mode 100644 seq/clusters_seq/cluster_1137 delete mode 100644 seq/clusters_seq/cluster_1138 delete mode 100644 seq/clusters_seq/cluster_1139 delete mode 100644 seq/clusters_seq/cluster_114 delete mode 100644 seq/clusters_seq/cluster_1140 delete mode 100644 seq/clusters_seq/cluster_1141 delete mode 100644 seq/clusters_seq/cluster_1142 delete mode 100644 seq/clusters_seq/cluster_1143 delete mode 100644 seq/clusters_seq/cluster_1144 delete mode 100644 seq/clusters_seq/cluster_1145 delete mode 100644 seq/clusters_seq/cluster_1146 delete mode 100644 seq/clusters_seq/cluster_1147 delete mode 100644 seq/clusters_seq/cluster_1148 delete mode 100644 seq/clusters_seq/cluster_1149 delete mode 100644 seq/clusters_seq/cluster_115 delete mode 100644 seq/clusters_seq/cluster_1150 delete mode 100644 seq/clusters_seq/cluster_1151 delete mode 100644 seq/clusters_seq/cluster_1152 delete mode 100644 seq/clusters_seq/cluster_1153 delete mode 100644 seq/clusters_seq/cluster_1154 delete mode 100644 seq/clusters_seq/cluster_1155 delete mode 100644 seq/clusters_seq/cluster_1156 delete mode 100644 seq/clusters_seq/cluster_1157 delete mode 100644 seq/clusters_seq/cluster_1158 delete mode 100644 seq/clusters_seq/cluster_1159 delete mode 100644 seq/clusters_seq/cluster_116 delete mode 100644 seq/clusters_seq/cluster_1160 delete mode 100644 seq/clusters_seq/cluster_1161 delete mode 100644 seq/clusters_seq/cluster_1162 delete mode 100644 seq/clusters_seq/cluster_1163 delete mode 100644 seq/clusters_seq/cluster_1164 delete mode 100644 seq/clusters_seq/cluster_1165 delete mode 100644 seq/clusters_seq/cluster_1166 delete mode 100644 seq/clusters_seq/cluster_1167 delete mode 100644 seq/clusters_seq/cluster_1168 delete mode 100644 seq/clusters_seq/cluster_1169 delete mode 100644 seq/clusters_seq/cluster_117 delete mode 100644 seq/clusters_seq/cluster_1170 delete mode 100644 seq/clusters_seq/cluster_1171 delete mode 100644 seq/clusters_seq/cluster_1172 delete mode 100644 seq/clusters_seq/cluster_1173 delete mode 100644 seq/clusters_seq/cluster_1174 delete mode 100644 seq/clusters_seq/cluster_1175 delete mode 100644 seq/clusters_seq/cluster_1176 delete mode 100644 seq/clusters_seq/cluster_1177 delete mode 100644 seq/clusters_seq/cluster_1178 delete mode 100644 seq/clusters_seq/cluster_1179 delete mode 100644 seq/clusters_seq/cluster_118 delete mode 100644 seq/clusters_seq/cluster_1180 delete mode 100644 seq/clusters_seq/cluster_1181 delete mode 100644 seq/clusters_seq/cluster_1182 delete mode 100644 seq/clusters_seq/cluster_1183 delete mode 100644 seq/clusters_seq/cluster_1184 delete mode 100644 seq/clusters_seq/cluster_1185 delete mode 100644 seq/clusters_seq/cluster_1186 delete mode 100644 seq/clusters_seq/cluster_1187 delete mode 100644 seq/clusters_seq/cluster_1188 delete mode 100644 seq/clusters_seq/cluster_1189 delete mode 100644 seq/clusters_seq/cluster_119 delete mode 100644 seq/clusters_seq/cluster_1190 delete mode 100644 seq/clusters_seq/cluster_1191 delete mode 100644 seq/clusters_seq/cluster_1192 delete mode 100644 seq/clusters_seq/cluster_1193 delete mode 100644 seq/clusters_seq/cluster_1194 delete mode 100644 seq/clusters_seq/cluster_1195 delete mode 100644 seq/clusters_seq/cluster_1196 delete mode 100644 seq/clusters_seq/cluster_1197 delete mode 100644 seq/clusters_seq/cluster_1198 delete mode 100644 seq/clusters_seq/cluster_1199 delete mode 100644 seq/clusters_seq/cluster_12 delete mode 100644 seq/clusters_seq/cluster_120 delete mode 100644 seq/clusters_seq/cluster_1200 delete mode 100644 seq/clusters_seq/cluster_1201 delete mode 100644 seq/clusters_seq/cluster_1202 delete mode 100644 seq/clusters_seq/cluster_1203 delete mode 100644 seq/clusters_seq/cluster_1204 delete mode 100644 seq/clusters_seq/cluster_1205 delete mode 100644 seq/clusters_seq/cluster_1206 delete mode 100644 seq/clusters_seq/cluster_1207 delete mode 100644 seq/clusters_seq/cluster_1208 delete mode 100644 seq/clusters_seq/cluster_1209 delete mode 100644 seq/clusters_seq/cluster_121 delete mode 100644 seq/clusters_seq/cluster_1210 delete mode 100644 seq/clusters_seq/cluster_1211 delete mode 100644 seq/clusters_seq/cluster_1212 delete mode 100644 seq/clusters_seq/cluster_1213 delete mode 100644 seq/clusters_seq/cluster_1214 delete mode 100644 seq/clusters_seq/cluster_1215 delete mode 100644 seq/clusters_seq/cluster_1216 delete mode 100644 seq/clusters_seq/cluster_1217 delete mode 100644 seq/clusters_seq/cluster_1218 delete mode 100644 seq/clusters_seq/cluster_1219 delete mode 100644 seq/clusters_seq/cluster_122 delete mode 100644 seq/clusters_seq/cluster_1220 delete mode 100644 seq/clusters_seq/cluster_1221 delete mode 100644 seq/clusters_seq/cluster_1222 delete mode 100644 seq/clusters_seq/cluster_1223 delete mode 100644 seq/clusters_seq/cluster_1224 delete mode 100644 seq/clusters_seq/cluster_1225 delete mode 100644 seq/clusters_seq/cluster_1226 delete mode 100644 seq/clusters_seq/cluster_1227 delete mode 100644 seq/clusters_seq/cluster_1228 delete mode 100644 seq/clusters_seq/cluster_1229 delete mode 100644 seq/clusters_seq/cluster_123 delete mode 100644 seq/clusters_seq/cluster_1230 delete mode 100644 seq/clusters_seq/cluster_1231 delete mode 100644 seq/clusters_seq/cluster_1232 delete mode 100644 seq/clusters_seq/cluster_1233 delete mode 100644 seq/clusters_seq/cluster_1234 delete mode 100644 seq/clusters_seq/cluster_1235 delete mode 100644 seq/clusters_seq/cluster_1236 delete mode 100644 seq/clusters_seq/cluster_1237 delete mode 100644 seq/clusters_seq/cluster_1238 delete mode 100644 seq/clusters_seq/cluster_1239 delete mode 100644 seq/clusters_seq/cluster_124 delete mode 100644 seq/clusters_seq/cluster_1240 delete mode 100644 seq/clusters_seq/cluster_1241 delete mode 100644 seq/clusters_seq/cluster_1242 delete mode 100644 seq/clusters_seq/cluster_1243 delete mode 100644 seq/clusters_seq/cluster_1244 delete mode 100644 seq/clusters_seq/cluster_1245 delete mode 100644 seq/clusters_seq/cluster_1246 delete mode 100644 seq/clusters_seq/cluster_1247 delete mode 100644 seq/clusters_seq/cluster_1248 delete mode 100644 seq/clusters_seq/cluster_1249 delete mode 100644 seq/clusters_seq/cluster_125 delete mode 100644 seq/clusters_seq/cluster_1250 delete mode 100644 seq/clusters_seq/cluster_1251 delete mode 100644 seq/clusters_seq/cluster_1252 delete mode 100644 seq/clusters_seq/cluster_1253 delete mode 100644 seq/clusters_seq/cluster_1254 delete mode 100644 seq/clusters_seq/cluster_1255 delete mode 100644 seq/clusters_seq/cluster_1256 delete mode 100644 seq/clusters_seq/cluster_1257 delete mode 100644 seq/clusters_seq/cluster_1258 delete mode 100644 seq/clusters_seq/cluster_1259 delete mode 100644 seq/clusters_seq/cluster_126 delete mode 100644 seq/clusters_seq/cluster_1260 delete mode 100644 seq/clusters_seq/cluster_1261 delete mode 100644 seq/clusters_seq/cluster_1262 delete mode 100644 seq/clusters_seq/cluster_1263 delete mode 100644 seq/clusters_seq/cluster_1264 delete mode 100644 seq/clusters_seq/cluster_1265 delete mode 100644 seq/clusters_seq/cluster_1266 delete mode 100644 seq/clusters_seq/cluster_1267 delete mode 100644 seq/clusters_seq/cluster_1268 delete mode 100644 seq/clusters_seq/cluster_1269 delete mode 100644 seq/clusters_seq/cluster_127 delete mode 100644 seq/clusters_seq/cluster_1270 delete mode 100644 seq/clusters_seq/cluster_1271 delete mode 100644 seq/clusters_seq/cluster_1272 delete mode 100644 seq/clusters_seq/cluster_1273 delete mode 100644 seq/clusters_seq/cluster_1274 delete mode 100644 seq/clusters_seq/cluster_1275 delete mode 100644 seq/clusters_seq/cluster_1276 delete mode 100644 seq/clusters_seq/cluster_1277 delete mode 100644 seq/clusters_seq/cluster_1278 delete mode 100644 seq/clusters_seq/cluster_1279 delete mode 100644 seq/clusters_seq/cluster_128 delete mode 100644 seq/clusters_seq/cluster_1280 delete mode 100644 seq/clusters_seq/cluster_1281 delete mode 100644 seq/clusters_seq/cluster_1282 delete mode 100644 seq/clusters_seq/cluster_1283 delete mode 100644 seq/clusters_seq/cluster_1284 delete mode 100644 seq/clusters_seq/cluster_1285 delete mode 100644 seq/clusters_seq/cluster_1286 delete mode 100644 seq/clusters_seq/cluster_1287 delete mode 100644 seq/clusters_seq/cluster_1288 delete mode 100644 seq/clusters_seq/cluster_1289 delete mode 100644 seq/clusters_seq/cluster_129 delete mode 100644 seq/clusters_seq/cluster_1290 delete mode 100644 seq/clusters_seq/cluster_1291 delete mode 100644 seq/clusters_seq/cluster_1292 delete mode 100644 seq/clusters_seq/cluster_1293 delete mode 100644 seq/clusters_seq/cluster_1294 delete mode 100644 seq/clusters_seq/cluster_1295 delete mode 100644 seq/clusters_seq/cluster_1296 delete mode 100644 seq/clusters_seq/cluster_1297 delete mode 100644 seq/clusters_seq/cluster_1298 delete mode 100644 seq/clusters_seq/cluster_1299 delete mode 100644 seq/clusters_seq/cluster_13 delete mode 100644 seq/clusters_seq/cluster_130 delete mode 100644 seq/clusters_seq/cluster_1300 delete mode 100644 seq/clusters_seq/cluster_1301 delete mode 100644 seq/clusters_seq/cluster_1302 delete mode 100644 seq/clusters_seq/cluster_1303 delete mode 100644 seq/clusters_seq/cluster_1304 delete mode 100644 seq/clusters_seq/cluster_1305 delete mode 100644 seq/clusters_seq/cluster_1306 delete mode 100644 seq/clusters_seq/cluster_1307 delete mode 100644 seq/clusters_seq/cluster_1308 delete mode 100644 seq/clusters_seq/cluster_1309 delete mode 100644 seq/clusters_seq/cluster_131 delete mode 100644 seq/clusters_seq/cluster_1310 delete mode 100644 seq/clusters_seq/cluster_1311 delete mode 100644 seq/clusters_seq/cluster_1312 delete mode 100644 seq/clusters_seq/cluster_1313 delete mode 100644 seq/clusters_seq/cluster_1314 delete mode 100644 seq/clusters_seq/cluster_1315 delete mode 100644 seq/clusters_seq/cluster_1316 delete mode 100644 seq/clusters_seq/cluster_1317 delete mode 100644 seq/clusters_seq/cluster_1318 delete mode 100644 seq/clusters_seq/cluster_1319 delete mode 100644 seq/clusters_seq/cluster_132 delete mode 100644 seq/clusters_seq/cluster_1320 delete mode 100644 seq/clusters_seq/cluster_1321 delete mode 100644 seq/clusters_seq/cluster_1322 delete mode 100644 seq/clusters_seq/cluster_1323 delete mode 100644 seq/clusters_seq/cluster_1324 delete mode 100644 seq/clusters_seq/cluster_1325 delete mode 100644 seq/clusters_seq/cluster_1326 delete mode 100644 seq/clusters_seq/cluster_1327 delete mode 100644 seq/clusters_seq/cluster_1328 delete mode 100644 seq/clusters_seq/cluster_1329 delete mode 100644 seq/clusters_seq/cluster_133 delete mode 100644 seq/clusters_seq/cluster_1330 delete mode 100644 seq/clusters_seq/cluster_1331 delete mode 100644 seq/clusters_seq/cluster_1332 delete mode 100644 seq/clusters_seq/cluster_1333 delete mode 100644 seq/clusters_seq/cluster_1334 delete mode 100644 seq/clusters_seq/cluster_1335 delete mode 100644 seq/clusters_seq/cluster_1336 delete mode 100644 seq/clusters_seq/cluster_1337 delete mode 100644 seq/clusters_seq/cluster_1338 delete mode 100644 seq/clusters_seq/cluster_1339 delete mode 100644 seq/clusters_seq/cluster_134 delete mode 100644 seq/clusters_seq/cluster_1340 delete mode 100644 seq/clusters_seq/cluster_1341 delete mode 100644 seq/clusters_seq/cluster_1342 delete mode 100644 seq/clusters_seq/cluster_1343 delete mode 100644 seq/clusters_seq/cluster_1344 delete mode 100644 seq/clusters_seq/cluster_1345 delete mode 100644 seq/clusters_seq/cluster_1346 delete mode 100644 seq/clusters_seq/cluster_1347 delete mode 100644 seq/clusters_seq/cluster_1348 delete mode 100644 seq/clusters_seq/cluster_1349 delete mode 100644 seq/clusters_seq/cluster_135 delete mode 100644 seq/clusters_seq/cluster_1350 delete mode 100644 seq/clusters_seq/cluster_1351 delete mode 100644 seq/clusters_seq/cluster_1352 delete mode 100644 seq/clusters_seq/cluster_1353 delete mode 100644 seq/clusters_seq/cluster_1354 delete mode 100644 seq/clusters_seq/cluster_1355 delete mode 100644 seq/clusters_seq/cluster_1356 delete mode 100644 seq/clusters_seq/cluster_1357 delete mode 100644 seq/clusters_seq/cluster_1358 delete mode 100644 seq/clusters_seq/cluster_1359 delete mode 100644 seq/clusters_seq/cluster_136 delete mode 100644 seq/clusters_seq/cluster_1360 delete mode 100644 seq/clusters_seq/cluster_1361 delete mode 100644 seq/clusters_seq/cluster_1362 delete mode 100644 seq/clusters_seq/cluster_1363 delete mode 100644 seq/clusters_seq/cluster_1364 delete mode 100644 seq/clusters_seq/cluster_1365 delete mode 100644 seq/clusters_seq/cluster_1366 delete mode 100644 seq/clusters_seq/cluster_1367 delete mode 100644 seq/clusters_seq/cluster_1368 delete mode 100644 seq/clusters_seq/cluster_1369 delete mode 100644 seq/clusters_seq/cluster_137 delete mode 100644 seq/clusters_seq/cluster_1370 delete mode 100644 seq/clusters_seq/cluster_1371 delete mode 100644 seq/clusters_seq/cluster_1372 delete mode 100644 seq/clusters_seq/cluster_1373 delete mode 100644 seq/clusters_seq/cluster_1374 delete mode 100644 seq/clusters_seq/cluster_1375 delete mode 100644 seq/clusters_seq/cluster_1376 delete mode 100644 seq/clusters_seq/cluster_1377 delete mode 100644 seq/clusters_seq/cluster_1378 delete mode 100644 seq/clusters_seq/cluster_1379 delete mode 100644 seq/clusters_seq/cluster_138 delete mode 100644 seq/clusters_seq/cluster_1380 delete mode 100644 seq/clusters_seq/cluster_1381 delete mode 100644 seq/clusters_seq/cluster_1382 delete mode 100644 seq/clusters_seq/cluster_1383 delete mode 100644 seq/clusters_seq/cluster_1384 delete mode 100644 seq/clusters_seq/cluster_139 delete mode 100644 seq/clusters_seq/cluster_14 delete mode 100644 seq/clusters_seq/cluster_140 delete mode 100644 seq/clusters_seq/cluster_141 delete mode 100644 seq/clusters_seq/cluster_142 delete mode 100644 seq/clusters_seq/cluster_143 delete mode 100644 seq/clusters_seq/cluster_144 delete mode 100644 seq/clusters_seq/cluster_145 delete mode 100644 seq/clusters_seq/cluster_146 delete mode 100644 seq/clusters_seq/cluster_147 delete mode 100644 seq/clusters_seq/cluster_148 delete mode 100644 seq/clusters_seq/cluster_149 delete mode 100644 seq/clusters_seq/cluster_15 delete mode 100644 seq/clusters_seq/cluster_150 delete mode 100644 seq/clusters_seq/cluster_151 delete mode 100644 seq/clusters_seq/cluster_152 delete mode 100644 seq/clusters_seq/cluster_153 delete mode 100644 seq/clusters_seq/cluster_154 delete mode 100644 seq/clusters_seq/cluster_155 delete mode 100644 seq/clusters_seq/cluster_156 delete mode 100644 seq/clusters_seq/cluster_157 delete mode 100644 seq/clusters_seq/cluster_158 delete mode 100644 seq/clusters_seq/cluster_159 delete mode 100644 seq/clusters_seq/cluster_16 delete mode 100644 seq/clusters_seq/cluster_160 delete mode 100644 seq/clusters_seq/cluster_161 delete mode 100644 seq/clusters_seq/cluster_162 delete mode 100644 seq/clusters_seq/cluster_163 delete mode 100644 seq/clusters_seq/cluster_164 delete mode 100644 seq/clusters_seq/cluster_165 delete mode 100644 seq/clusters_seq/cluster_166 delete mode 100644 seq/clusters_seq/cluster_167 delete mode 100644 seq/clusters_seq/cluster_168 delete mode 100644 seq/clusters_seq/cluster_169 delete mode 100644 seq/clusters_seq/cluster_17 delete mode 100644 seq/clusters_seq/cluster_170 delete mode 100644 seq/clusters_seq/cluster_171 delete mode 100644 seq/clusters_seq/cluster_172 delete mode 100644 seq/clusters_seq/cluster_173 delete mode 100644 seq/clusters_seq/cluster_174 delete mode 100644 seq/clusters_seq/cluster_175 delete mode 100644 seq/clusters_seq/cluster_176 delete mode 100644 seq/clusters_seq/cluster_177 delete mode 100644 seq/clusters_seq/cluster_178 delete mode 100644 seq/clusters_seq/cluster_179 delete mode 100644 seq/clusters_seq/cluster_18 delete mode 100644 seq/clusters_seq/cluster_180 delete mode 100644 seq/clusters_seq/cluster_181 delete mode 100644 seq/clusters_seq/cluster_182 delete mode 100644 seq/clusters_seq/cluster_183 delete mode 100644 seq/clusters_seq/cluster_184 delete mode 100644 seq/clusters_seq/cluster_185 delete mode 100644 seq/clusters_seq/cluster_186 delete mode 100644 seq/clusters_seq/cluster_187 delete mode 100644 seq/clusters_seq/cluster_188 delete mode 100644 seq/clusters_seq/cluster_189 delete mode 100644 seq/clusters_seq/cluster_19 delete mode 100644 seq/clusters_seq/cluster_190 delete mode 100644 seq/clusters_seq/cluster_191 delete mode 100644 seq/clusters_seq/cluster_192 delete mode 100644 seq/clusters_seq/cluster_193 delete mode 100644 seq/clusters_seq/cluster_194 delete mode 100644 seq/clusters_seq/cluster_195 delete mode 100644 seq/clusters_seq/cluster_196 delete mode 100644 seq/clusters_seq/cluster_197 delete mode 100644 seq/clusters_seq/cluster_198 delete mode 100644 seq/clusters_seq/cluster_199 delete mode 100644 seq/clusters_seq/cluster_2 delete mode 100644 seq/clusters_seq/cluster_20 delete mode 100644 seq/clusters_seq/cluster_200 delete mode 100644 seq/clusters_seq/cluster_201 delete mode 100644 seq/clusters_seq/cluster_202 delete mode 100644 seq/clusters_seq/cluster_203 delete mode 100644 seq/clusters_seq/cluster_204 delete mode 100644 seq/clusters_seq/cluster_205 delete mode 100644 seq/clusters_seq/cluster_206 delete mode 100644 seq/clusters_seq/cluster_207 delete mode 100644 seq/clusters_seq/cluster_208 delete mode 100644 seq/clusters_seq/cluster_209 delete mode 100644 seq/clusters_seq/cluster_21 delete mode 100644 seq/clusters_seq/cluster_210 delete mode 100644 seq/clusters_seq/cluster_211 delete mode 100644 seq/clusters_seq/cluster_212 delete mode 100644 seq/clusters_seq/cluster_213 delete mode 100644 seq/clusters_seq/cluster_214 delete mode 100644 seq/clusters_seq/cluster_215 delete mode 100644 seq/clusters_seq/cluster_216 delete mode 100644 seq/clusters_seq/cluster_217 delete mode 100644 seq/clusters_seq/cluster_218 delete mode 100644 seq/clusters_seq/cluster_219 delete mode 100644 seq/clusters_seq/cluster_22 delete mode 100644 seq/clusters_seq/cluster_220 delete mode 100644 seq/clusters_seq/cluster_221 delete mode 100644 seq/clusters_seq/cluster_222 delete mode 100644 seq/clusters_seq/cluster_223 delete mode 100644 seq/clusters_seq/cluster_224 delete mode 100644 seq/clusters_seq/cluster_225 delete mode 100644 seq/clusters_seq/cluster_226 delete mode 100644 seq/clusters_seq/cluster_227 delete mode 100644 seq/clusters_seq/cluster_228 delete mode 100644 seq/clusters_seq/cluster_229 delete mode 100644 seq/clusters_seq/cluster_23 delete mode 100644 seq/clusters_seq/cluster_230 delete mode 100644 seq/clusters_seq/cluster_231 delete mode 100644 seq/clusters_seq/cluster_232 delete mode 100644 seq/clusters_seq/cluster_233 delete mode 100644 seq/clusters_seq/cluster_234 delete mode 100644 seq/clusters_seq/cluster_235 delete mode 100644 seq/clusters_seq/cluster_236 delete mode 100644 seq/clusters_seq/cluster_237 delete mode 100644 seq/clusters_seq/cluster_238 delete mode 100644 seq/clusters_seq/cluster_239 delete mode 100644 seq/clusters_seq/cluster_24 delete mode 100644 seq/clusters_seq/cluster_240 delete mode 100644 seq/clusters_seq/cluster_241 delete mode 100644 seq/clusters_seq/cluster_242 delete mode 100644 seq/clusters_seq/cluster_243 delete mode 100644 seq/clusters_seq/cluster_244 delete mode 100644 seq/clusters_seq/cluster_245 delete mode 100644 seq/clusters_seq/cluster_246 delete mode 100644 seq/clusters_seq/cluster_247 delete mode 100644 seq/clusters_seq/cluster_248 delete mode 100644 seq/clusters_seq/cluster_249 delete mode 100644 seq/clusters_seq/cluster_25 delete mode 100644 seq/clusters_seq/cluster_250 delete mode 100644 seq/clusters_seq/cluster_251 delete mode 100644 seq/clusters_seq/cluster_252 delete mode 100644 seq/clusters_seq/cluster_253 delete mode 100644 seq/clusters_seq/cluster_254 delete mode 100644 seq/clusters_seq/cluster_255 delete mode 100644 seq/clusters_seq/cluster_256 delete mode 100644 seq/clusters_seq/cluster_257 delete mode 100644 seq/clusters_seq/cluster_258 delete mode 100644 seq/clusters_seq/cluster_259 delete mode 100644 seq/clusters_seq/cluster_26 delete mode 100644 seq/clusters_seq/cluster_260 delete mode 100644 seq/clusters_seq/cluster_261 delete mode 100644 seq/clusters_seq/cluster_262 delete mode 100644 seq/clusters_seq/cluster_263 delete mode 100644 seq/clusters_seq/cluster_264 delete mode 100644 seq/clusters_seq/cluster_265 delete mode 100644 seq/clusters_seq/cluster_266 delete mode 100644 seq/clusters_seq/cluster_267 delete mode 100644 seq/clusters_seq/cluster_268 delete mode 100644 seq/clusters_seq/cluster_269 delete mode 100644 seq/clusters_seq/cluster_27 delete mode 100644 seq/clusters_seq/cluster_270 delete mode 100644 seq/clusters_seq/cluster_271 delete mode 100644 seq/clusters_seq/cluster_272 delete mode 100644 seq/clusters_seq/cluster_273 delete mode 100644 seq/clusters_seq/cluster_274 delete mode 100644 seq/clusters_seq/cluster_275 delete mode 100644 seq/clusters_seq/cluster_276 delete mode 100644 seq/clusters_seq/cluster_277 delete mode 100644 seq/clusters_seq/cluster_278 delete mode 100644 seq/clusters_seq/cluster_279 delete mode 100644 seq/clusters_seq/cluster_28 delete mode 100644 seq/clusters_seq/cluster_280 delete mode 100644 seq/clusters_seq/cluster_281 delete mode 100644 seq/clusters_seq/cluster_282 delete mode 100644 seq/clusters_seq/cluster_283 delete mode 100644 seq/clusters_seq/cluster_284 delete mode 100644 seq/clusters_seq/cluster_285 delete mode 100644 seq/clusters_seq/cluster_286 delete mode 100644 seq/clusters_seq/cluster_287 delete mode 100644 seq/clusters_seq/cluster_288 delete mode 100644 seq/clusters_seq/cluster_289 delete mode 100644 seq/clusters_seq/cluster_29 delete mode 100644 seq/clusters_seq/cluster_290 delete mode 100644 seq/clusters_seq/cluster_291 delete mode 100644 seq/clusters_seq/cluster_292 delete mode 100644 seq/clusters_seq/cluster_293 delete mode 100644 seq/clusters_seq/cluster_294 delete mode 100644 seq/clusters_seq/cluster_295 delete mode 100644 seq/clusters_seq/cluster_296 delete mode 100644 seq/clusters_seq/cluster_297 delete mode 100644 seq/clusters_seq/cluster_298 delete mode 100644 seq/clusters_seq/cluster_299 delete mode 100644 seq/clusters_seq/cluster_3 delete mode 100644 seq/clusters_seq/cluster_30 delete mode 100644 seq/clusters_seq/cluster_300 delete mode 100644 seq/clusters_seq/cluster_301 delete mode 100644 seq/clusters_seq/cluster_302 delete mode 100644 seq/clusters_seq/cluster_303 delete mode 100644 seq/clusters_seq/cluster_304 delete mode 100644 seq/clusters_seq/cluster_305 delete mode 100644 seq/clusters_seq/cluster_306 delete mode 100644 seq/clusters_seq/cluster_307 delete mode 100644 seq/clusters_seq/cluster_308 delete mode 100644 seq/clusters_seq/cluster_309 delete mode 100644 seq/clusters_seq/cluster_31 delete mode 100644 seq/clusters_seq/cluster_310 delete mode 100644 seq/clusters_seq/cluster_311 delete mode 100644 seq/clusters_seq/cluster_312 delete mode 100644 seq/clusters_seq/cluster_313 delete mode 100644 seq/clusters_seq/cluster_314 delete mode 100644 seq/clusters_seq/cluster_315 delete mode 100644 seq/clusters_seq/cluster_316 delete mode 100644 seq/clusters_seq/cluster_317 delete mode 100644 seq/clusters_seq/cluster_318 delete mode 100644 seq/clusters_seq/cluster_319 delete mode 100644 seq/clusters_seq/cluster_32 delete mode 100644 seq/clusters_seq/cluster_320 delete mode 100644 seq/clusters_seq/cluster_321 delete mode 100644 seq/clusters_seq/cluster_322 delete mode 100644 seq/clusters_seq/cluster_323 delete mode 100644 seq/clusters_seq/cluster_324 delete mode 100644 seq/clusters_seq/cluster_325 delete mode 100644 seq/clusters_seq/cluster_326 delete mode 100644 seq/clusters_seq/cluster_327 delete mode 100644 seq/clusters_seq/cluster_328 delete mode 100644 seq/clusters_seq/cluster_329 delete mode 100644 seq/clusters_seq/cluster_33 delete mode 100644 seq/clusters_seq/cluster_330 delete mode 100644 seq/clusters_seq/cluster_331 delete mode 100644 seq/clusters_seq/cluster_332 delete mode 100644 seq/clusters_seq/cluster_333 delete mode 100644 seq/clusters_seq/cluster_334 delete mode 100644 seq/clusters_seq/cluster_335 delete mode 100644 seq/clusters_seq/cluster_336 delete mode 100644 seq/clusters_seq/cluster_337 delete mode 100644 seq/clusters_seq/cluster_338 delete mode 100644 seq/clusters_seq/cluster_339 delete mode 100644 seq/clusters_seq/cluster_34 delete mode 100644 seq/clusters_seq/cluster_340 delete mode 100644 seq/clusters_seq/cluster_341 delete mode 100644 seq/clusters_seq/cluster_342 delete mode 100644 seq/clusters_seq/cluster_343 delete mode 100644 seq/clusters_seq/cluster_344 delete mode 100644 seq/clusters_seq/cluster_345 delete mode 100644 seq/clusters_seq/cluster_346 delete mode 100644 seq/clusters_seq/cluster_347 delete mode 100644 seq/clusters_seq/cluster_348 delete mode 100644 seq/clusters_seq/cluster_349 delete mode 100644 seq/clusters_seq/cluster_35 delete mode 100644 seq/clusters_seq/cluster_350 delete mode 100644 seq/clusters_seq/cluster_351 delete mode 100644 seq/clusters_seq/cluster_352 delete mode 100644 seq/clusters_seq/cluster_353 delete mode 100644 seq/clusters_seq/cluster_354 delete mode 100644 seq/clusters_seq/cluster_355 delete mode 100644 seq/clusters_seq/cluster_356 delete mode 100644 seq/clusters_seq/cluster_357 delete mode 100644 seq/clusters_seq/cluster_358 delete mode 100644 seq/clusters_seq/cluster_359 delete mode 100644 seq/clusters_seq/cluster_36 delete mode 100644 seq/clusters_seq/cluster_360 delete mode 100644 seq/clusters_seq/cluster_361 delete mode 100644 seq/clusters_seq/cluster_362 delete mode 100644 seq/clusters_seq/cluster_363 delete mode 100644 seq/clusters_seq/cluster_364 delete mode 100644 seq/clusters_seq/cluster_365 delete mode 100644 seq/clusters_seq/cluster_366 delete mode 100644 seq/clusters_seq/cluster_367 delete mode 100644 seq/clusters_seq/cluster_368 delete mode 100644 seq/clusters_seq/cluster_369 delete mode 100644 seq/clusters_seq/cluster_37 delete mode 100644 seq/clusters_seq/cluster_370 delete mode 100644 seq/clusters_seq/cluster_371 delete mode 100644 seq/clusters_seq/cluster_372 delete mode 100644 seq/clusters_seq/cluster_373 delete mode 100644 seq/clusters_seq/cluster_374 delete mode 100644 seq/clusters_seq/cluster_375 delete mode 100644 seq/clusters_seq/cluster_376 delete mode 100644 seq/clusters_seq/cluster_377 delete mode 100644 seq/clusters_seq/cluster_378 delete mode 100644 seq/clusters_seq/cluster_379 delete mode 100644 seq/clusters_seq/cluster_38 delete mode 100644 seq/clusters_seq/cluster_380 delete mode 100644 seq/clusters_seq/cluster_381 delete mode 100644 seq/clusters_seq/cluster_382 delete mode 100644 seq/clusters_seq/cluster_383 delete mode 100644 seq/clusters_seq/cluster_384 delete mode 100644 seq/clusters_seq/cluster_385 delete mode 100644 seq/clusters_seq/cluster_386 delete mode 100644 seq/clusters_seq/cluster_387 delete mode 100644 seq/clusters_seq/cluster_388 delete mode 100644 seq/clusters_seq/cluster_389 delete mode 100644 seq/clusters_seq/cluster_39 delete mode 100644 seq/clusters_seq/cluster_390 delete mode 100644 seq/clusters_seq/cluster_391 delete mode 100644 seq/clusters_seq/cluster_392 delete mode 100644 seq/clusters_seq/cluster_393 delete mode 100644 seq/clusters_seq/cluster_394 delete mode 100644 seq/clusters_seq/cluster_395 delete mode 100644 seq/clusters_seq/cluster_396 delete mode 100644 seq/clusters_seq/cluster_397 delete mode 100644 seq/clusters_seq/cluster_398 delete mode 100644 seq/clusters_seq/cluster_399 delete mode 100644 seq/clusters_seq/cluster_4 delete mode 100644 seq/clusters_seq/cluster_40 delete mode 100644 seq/clusters_seq/cluster_400 delete mode 100644 seq/clusters_seq/cluster_401 delete mode 100644 seq/clusters_seq/cluster_402 delete mode 100644 seq/clusters_seq/cluster_403 delete mode 100644 seq/clusters_seq/cluster_404 delete mode 100644 seq/clusters_seq/cluster_405 delete mode 100644 seq/clusters_seq/cluster_406 delete mode 100644 seq/clusters_seq/cluster_407 delete mode 100644 seq/clusters_seq/cluster_408 delete mode 100644 seq/clusters_seq/cluster_409 delete mode 100644 seq/clusters_seq/cluster_41 delete mode 100644 seq/clusters_seq/cluster_410 delete mode 100644 seq/clusters_seq/cluster_411 delete mode 100644 seq/clusters_seq/cluster_412 delete mode 100644 seq/clusters_seq/cluster_413 delete mode 100644 seq/clusters_seq/cluster_414 delete mode 100644 seq/clusters_seq/cluster_415 delete mode 100644 seq/clusters_seq/cluster_416 delete mode 100644 seq/clusters_seq/cluster_417 delete mode 100644 seq/clusters_seq/cluster_418 delete mode 100644 seq/clusters_seq/cluster_419 delete mode 100644 seq/clusters_seq/cluster_42 delete mode 100644 seq/clusters_seq/cluster_420 delete mode 100644 seq/clusters_seq/cluster_421 delete mode 100644 seq/clusters_seq/cluster_422 delete mode 100644 seq/clusters_seq/cluster_423 delete mode 100644 seq/clusters_seq/cluster_424 delete mode 100644 seq/clusters_seq/cluster_425 delete mode 100644 seq/clusters_seq/cluster_426 delete mode 100644 seq/clusters_seq/cluster_427 delete mode 100644 seq/clusters_seq/cluster_428 delete mode 100644 seq/clusters_seq/cluster_429 delete mode 100644 seq/clusters_seq/cluster_43 delete mode 100644 seq/clusters_seq/cluster_430 delete mode 100644 seq/clusters_seq/cluster_431 delete mode 100644 seq/clusters_seq/cluster_432 delete mode 100644 seq/clusters_seq/cluster_433 delete mode 100644 seq/clusters_seq/cluster_434 delete mode 100644 seq/clusters_seq/cluster_435 delete mode 100644 seq/clusters_seq/cluster_436 delete mode 100644 seq/clusters_seq/cluster_437 delete mode 100644 seq/clusters_seq/cluster_438 delete mode 100644 seq/clusters_seq/cluster_439 delete mode 100644 seq/clusters_seq/cluster_44 delete mode 100644 seq/clusters_seq/cluster_440 delete mode 100644 seq/clusters_seq/cluster_441 delete mode 100644 seq/clusters_seq/cluster_442 delete mode 100644 seq/clusters_seq/cluster_443 delete mode 100644 seq/clusters_seq/cluster_444 delete mode 100644 seq/clusters_seq/cluster_445 delete mode 100644 seq/clusters_seq/cluster_446 delete mode 100644 seq/clusters_seq/cluster_447 delete mode 100644 seq/clusters_seq/cluster_448 delete mode 100644 seq/clusters_seq/cluster_449 delete mode 100644 seq/clusters_seq/cluster_45 delete mode 100644 seq/clusters_seq/cluster_450 delete mode 100644 seq/clusters_seq/cluster_451 delete mode 100644 seq/clusters_seq/cluster_452 delete mode 100644 seq/clusters_seq/cluster_453 delete mode 100644 seq/clusters_seq/cluster_454 delete mode 100644 seq/clusters_seq/cluster_455 delete mode 100644 seq/clusters_seq/cluster_456 delete mode 100644 seq/clusters_seq/cluster_457 delete mode 100644 seq/clusters_seq/cluster_458 delete mode 100644 seq/clusters_seq/cluster_459 delete mode 100644 seq/clusters_seq/cluster_46 delete mode 100644 seq/clusters_seq/cluster_460 delete mode 100644 seq/clusters_seq/cluster_461 delete mode 100644 seq/clusters_seq/cluster_462 delete mode 100644 seq/clusters_seq/cluster_463 delete mode 100644 seq/clusters_seq/cluster_464 delete mode 100644 seq/clusters_seq/cluster_465 delete mode 100644 seq/clusters_seq/cluster_466 delete mode 100644 seq/clusters_seq/cluster_467 delete mode 100644 seq/clusters_seq/cluster_468 delete mode 100644 seq/clusters_seq/cluster_469 delete mode 100644 seq/clusters_seq/cluster_47 delete mode 100644 seq/clusters_seq/cluster_470 delete mode 100644 seq/clusters_seq/cluster_471 delete mode 100644 seq/clusters_seq/cluster_472 delete mode 100644 seq/clusters_seq/cluster_473 delete mode 100644 seq/clusters_seq/cluster_474 delete mode 100644 seq/clusters_seq/cluster_475 delete mode 100644 seq/clusters_seq/cluster_476 delete mode 100644 seq/clusters_seq/cluster_477 delete mode 100644 seq/clusters_seq/cluster_478 delete mode 100644 seq/clusters_seq/cluster_479 delete mode 100644 seq/clusters_seq/cluster_48 delete mode 100644 seq/clusters_seq/cluster_480 delete mode 100644 seq/clusters_seq/cluster_481 delete mode 100644 seq/clusters_seq/cluster_482 delete mode 100644 seq/clusters_seq/cluster_483 delete mode 100644 seq/clusters_seq/cluster_484 delete mode 100644 seq/clusters_seq/cluster_485 delete mode 100644 seq/clusters_seq/cluster_486 delete mode 100644 seq/clusters_seq/cluster_487 delete mode 100644 seq/clusters_seq/cluster_488 delete mode 100644 seq/clusters_seq/cluster_489 delete mode 100644 seq/clusters_seq/cluster_49 delete mode 100644 seq/clusters_seq/cluster_490 delete mode 100644 seq/clusters_seq/cluster_491 delete mode 100644 seq/clusters_seq/cluster_492 delete mode 100644 seq/clusters_seq/cluster_493 delete mode 100644 seq/clusters_seq/cluster_494 delete mode 100644 seq/clusters_seq/cluster_495 delete mode 100644 seq/clusters_seq/cluster_496 delete mode 100644 seq/clusters_seq/cluster_497 delete mode 100644 seq/clusters_seq/cluster_498 delete mode 100644 seq/clusters_seq/cluster_499 delete mode 100644 seq/clusters_seq/cluster_5 delete mode 100644 seq/clusters_seq/cluster_50 delete mode 100644 seq/clusters_seq/cluster_500 delete mode 100644 seq/clusters_seq/cluster_501 delete mode 100644 seq/clusters_seq/cluster_502 delete mode 100644 seq/clusters_seq/cluster_503 delete mode 100644 seq/clusters_seq/cluster_504 delete mode 100644 seq/clusters_seq/cluster_505 delete mode 100644 seq/clusters_seq/cluster_506 delete mode 100644 seq/clusters_seq/cluster_507 delete mode 100644 seq/clusters_seq/cluster_508 delete mode 100644 seq/clusters_seq/cluster_509 delete mode 100644 seq/clusters_seq/cluster_51 delete mode 100644 seq/clusters_seq/cluster_510 delete mode 100644 seq/clusters_seq/cluster_511 delete mode 100644 seq/clusters_seq/cluster_512 delete mode 100644 seq/clusters_seq/cluster_513 delete mode 100644 seq/clusters_seq/cluster_514 delete mode 100644 seq/clusters_seq/cluster_515 delete mode 100644 seq/clusters_seq/cluster_516 delete mode 100644 seq/clusters_seq/cluster_517 delete mode 100644 seq/clusters_seq/cluster_518 delete mode 100644 seq/clusters_seq/cluster_519 delete mode 100644 seq/clusters_seq/cluster_52 delete mode 100644 seq/clusters_seq/cluster_520 delete mode 100644 seq/clusters_seq/cluster_521 delete mode 100644 seq/clusters_seq/cluster_522 delete mode 100644 seq/clusters_seq/cluster_523 delete mode 100644 seq/clusters_seq/cluster_524 delete mode 100644 seq/clusters_seq/cluster_525 delete mode 100644 seq/clusters_seq/cluster_526 delete mode 100644 seq/clusters_seq/cluster_527 delete mode 100644 seq/clusters_seq/cluster_528 delete mode 100644 seq/clusters_seq/cluster_529 delete mode 100644 seq/clusters_seq/cluster_53 delete mode 100644 seq/clusters_seq/cluster_530 delete mode 100644 seq/clusters_seq/cluster_531 delete mode 100644 seq/clusters_seq/cluster_532 delete mode 100644 seq/clusters_seq/cluster_533 delete mode 100644 seq/clusters_seq/cluster_534 delete mode 100644 seq/clusters_seq/cluster_535 delete mode 100644 seq/clusters_seq/cluster_536 delete mode 100644 seq/clusters_seq/cluster_537 delete mode 100644 seq/clusters_seq/cluster_538 delete mode 100644 seq/clusters_seq/cluster_539 delete mode 100644 seq/clusters_seq/cluster_54 delete mode 100644 seq/clusters_seq/cluster_540 delete mode 100644 seq/clusters_seq/cluster_541 delete mode 100644 seq/clusters_seq/cluster_542 delete mode 100644 seq/clusters_seq/cluster_543 delete mode 100644 seq/clusters_seq/cluster_544 delete mode 100644 seq/clusters_seq/cluster_545 delete mode 100644 seq/clusters_seq/cluster_546 delete mode 100644 seq/clusters_seq/cluster_547 delete mode 100644 seq/clusters_seq/cluster_548 delete mode 100644 seq/clusters_seq/cluster_549 delete mode 100644 seq/clusters_seq/cluster_55 delete mode 100644 seq/clusters_seq/cluster_550 delete mode 100644 seq/clusters_seq/cluster_551 delete mode 100644 seq/clusters_seq/cluster_552 delete mode 100644 seq/clusters_seq/cluster_553 delete mode 100644 seq/clusters_seq/cluster_554 delete mode 100644 seq/clusters_seq/cluster_555 delete mode 100644 seq/clusters_seq/cluster_556 delete mode 100644 seq/clusters_seq/cluster_557 delete mode 100644 seq/clusters_seq/cluster_558 delete mode 100644 seq/clusters_seq/cluster_559 delete mode 100644 seq/clusters_seq/cluster_56 delete mode 100644 seq/clusters_seq/cluster_560 delete mode 100644 seq/clusters_seq/cluster_561 delete mode 100644 seq/clusters_seq/cluster_562 delete mode 100644 seq/clusters_seq/cluster_563 delete mode 100644 seq/clusters_seq/cluster_564 delete mode 100644 seq/clusters_seq/cluster_565 delete mode 100644 seq/clusters_seq/cluster_566 delete mode 100644 seq/clusters_seq/cluster_567 delete mode 100644 seq/clusters_seq/cluster_568 delete mode 100644 seq/clusters_seq/cluster_569 delete mode 100644 seq/clusters_seq/cluster_57 delete mode 100644 seq/clusters_seq/cluster_570 delete mode 100644 seq/clusters_seq/cluster_571 delete mode 100644 seq/clusters_seq/cluster_572 delete mode 100644 seq/clusters_seq/cluster_573 delete mode 100644 seq/clusters_seq/cluster_574 delete mode 100644 seq/clusters_seq/cluster_575 delete mode 100644 seq/clusters_seq/cluster_576 delete mode 100644 seq/clusters_seq/cluster_577 delete mode 100644 seq/clusters_seq/cluster_578 delete mode 100644 seq/clusters_seq/cluster_579 delete mode 100644 seq/clusters_seq/cluster_58 delete mode 100644 seq/clusters_seq/cluster_580 delete mode 100644 seq/clusters_seq/cluster_581 delete mode 100644 seq/clusters_seq/cluster_582 delete mode 100644 seq/clusters_seq/cluster_583 delete mode 100644 seq/clusters_seq/cluster_584 delete mode 100644 seq/clusters_seq/cluster_585 delete mode 100644 seq/clusters_seq/cluster_586 delete mode 100644 seq/clusters_seq/cluster_587 delete mode 100644 seq/clusters_seq/cluster_588 delete mode 100644 seq/clusters_seq/cluster_589 delete mode 100644 seq/clusters_seq/cluster_59 delete mode 100644 seq/clusters_seq/cluster_590 delete mode 100644 seq/clusters_seq/cluster_591 delete mode 100644 seq/clusters_seq/cluster_592 delete mode 100644 seq/clusters_seq/cluster_593 delete mode 100644 seq/clusters_seq/cluster_594 delete mode 100644 seq/clusters_seq/cluster_595 delete mode 100644 seq/clusters_seq/cluster_596 delete mode 100644 seq/clusters_seq/cluster_597 delete mode 100644 seq/clusters_seq/cluster_598 delete mode 100644 seq/clusters_seq/cluster_599 delete mode 100644 seq/clusters_seq/cluster_6 delete mode 100644 seq/clusters_seq/cluster_60 delete mode 100644 seq/clusters_seq/cluster_600 delete mode 100644 seq/clusters_seq/cluster_601 delete mode 100644 seq/clusters_seq/cluster_602 delete mode 100644 seq/clusters_seq/cluster_603 delete mode 100644 seq/clusters_seq/cluster_604 delete mode 100644 seq/clusters_seq/cluster_605 delete mode 100644 seq/clusters_seq/cluster_606 delete mode 100644 seq/clusters_seq/cluster_607 delete mode 100644 seq/clusters_seq/cluster_608 delete mode 100644 seq/clusters_seq/cluster_609 delete mode 100644 seq/clusters_seq/cluster_61 delete mode 100644 seq/clusters_seq/cluster_610 delete mode 100644 seq/clusters_seq/cluster_611 delete mode 100644 seq/clusters_seq/cluster_612 delete mode 100644 seq/clusters_seq/cluster_613 delete mode 100644 seq/clusters_seq/cluster_614 delete mode 100644 seq/clusters_seq/cluster_615 delete mode 100644 seq/clusters_seq/cluster_616 delete mode 100644 seq/clusters_seq/cluster_617 delete mode 100644 seq/clusters_seq/cluster_618 delete mode 100644 seq/clusters_seq/cluster_619 delete mode 100644 seq/clusters_seq/cluster_62 delete mode 100644 seq/clusters_seq/cluster_620 delete mode 100644 seq/clusters_seq/cluster_621 delete mode 100644 seq/clusters_seq/cluster_622 delete mode 100644 seq/clusters_seq/cluster_623 delete mode 100644 seq/clusters_seq/cluster_624 delete mode 100644 seq/clusters_seq/cluster_625 delete mode 100644 seq/clusters_seq/cluster_626 delete mode 100644 seq/clusters_seq/cluster_627 delete mode 100644 seq/clusters_seq/cluster_628 delete mode 100644 seq/clusters_seq/cluster_629 delete mode 100644 seq/clusters_seq/cluster_63 delete mode 100644 seq/clusters_seq/cluster_630 delete mode 100644 seq/clusters_seq/cluster_631 delete mode 100644 seq/clusters_seq/cluster_632 delete mode 100644 seq/clusters_seq/cluster_633 delete mode 100644 seq/clusters_seq/cluster_634 delete mode 100644 seq/clusters_seq/cluster_635 delete mode 100644 seq/clusters_seq/cluster_636 delete mode 100644 seq/clusters_seq/cluster_637 delete mode 100644 seq/clusters_seq/cluster_638 delete mode 100644 seq/clusters_seq/cluster_639 delete mode 100644 seq/clusters_seq/cluster_64 delete mode 100644 seq/clusters_seq/cluster_640 delete mode 100644 seq/clusters_seq/cluster_641 delete mode 100644 seq/clusters_seq/cluster_642 delete mode 100644 seq/clusters_seq/cluster_643 delete mode 100644 seq/clusters_seq/cluster_644 delete mode 100644 seq/clusters_seq/cluster_645 delete mode 100644 seq/clusters_seq/cluster_646 delete mode 100644 seq/clusters_seq/cluster_647 delete mode 100644 seq/clusters_seq/cluster_648 delete mode 100644 seq/clusters_seq/cluster_649 delete mode 100644 seq/clusters_seq/cluster_65 delete mode 100644 seq/clusters_seq/cluster_650 delete mode 100644 seq/clusters_seq/cluster_651 delete mode 100644 seq/clusters_seq/cluster_652 delete mode 100644 seq/clusters_seq/cluster_653 delete mode 100644 seq/clusters_seq/cluster_654 delete mode 100644 seq/clusters_seq/cluster_655 delete mode 100644 seq/clusters_seq/cluster_656 delete mode 100644 seq/clusters_seq/cluster_657 delete mode 100644 seq/clusters_seq/cluster_658 delete mode 100644 seq/clusters_seq/cluster_659 delete mode 100644 seq/clusters_seq/cluster_66 delete mode 100644 seq/clusters_seq/cluster_660 delete mode 100644 seq/clusters_seq/cluster_661 delete mode 100644 seq/clusters_seq/cluster_662 delete mode 100644 seq/clusters_seq/cluster_663 delete mode 100644 seq/clusters_seq/cluster_664 delete mode 100644 seq/clusters_seq/cluster_665 delete mode 100644 seq/clusters_seq/cluster_666 delete mode 100644 seq/clusters_seq/cluster_667 delete mode 100644 seq/clusters_seq/cluster_668 delete mode 100644 seq/clusters_seq/cluster_669 delete mode 100644 seq/clusters_seq/cluster_67 delete mode 100644 seq/clusters_seq/cluster_670 delete mode 100644 seq/clusters_seq/cluster_671 delete mode 100644 seq/clusters_seq/cluster_672 delete mode 100644 seq/clusters_seq/cluster_673 delete mode 100644 seq/clusters_seq/cluster_674 delete mode 100644 seq/clusters_seq/cluster_675 delete mode 100644 seq/clusters_seq/cluster_676 delete mode 100644 seq/clusters_seq/cluster_677 delete mode 100644 seq/clusters_seq/cluster_678 delete mode 100644 seq/clusters_seq/cluster_679 delete mode 100644 seq/clusters_seq/cluster_68 delete mode 100644 seq/clusters_seq/cluster_680 delete mode 100644 seq/clusters_seq/cluster_681 delete mode 100644 seq/clusters_seq/cluster_682 delete mode 100644 seq/clusters_seq/cluster_683 delete mode 100644 seq/clusters_seq/cluster_684 delete mode 100644 seq/clusters_seq/cluster_685 delete mode 100644 seq/clusters_seq/cluster_686 delete mode 100644 seq/clusters_seq/cluster_687 delete mode 100644 seq/clusters_seq/cluster_688 delete mode 100644 seq/clusters_seq/cluster_689 delete mode 100644 seq/clusters_seq/cluster_69 delete mode 100644 seq/clusters_seq/cluster_690 delete mode 100644 seq/clusters_seq/cluster_691 delete mode 100644 seq/clusters_seq/cluster_692 delete mode 100644 seq/clusters_seq/cluster_693 delete mode 100644 seq/clusters_seq/cluster_694 delete mode 100644 seq/clusters_seq/cluster_695 delete mode 100644 seq/clusters_seq/cluster_696 delete mode 100644 seq/clusters_seq/cluster_697 delete mode 100644 seq/clusters_seq/cluster_698 delete mode 100644 seq/clusters_seq/cluster_699 delete mode 100644 seq/clusters_seq/cluster_7 delete mode 100644 seq/clusters_seq/cluster_70 delete mode 100644 seq/clusters_seq/cluster_700 delete mode 100644 seq/clusters_seq/cluster_701 delete mode 100644 seq/clusters_seq/cluster_702 delete mode 100644 seq/clusters_seq/cluster_703 delete mode 100644 seq/clusters_seq/cluster_704 delete mode 100644 seq/clusters_seq/cluster_705 delete mode 100644 seq/clusters_seq/cluster_706 delete mode 100644 seq/clusters_seq/cluster_707 delete mode 100644 seq/clusters_seq/cluster_708 delete mode 100644 seq/clusters_seq/cluster_709 delete mode 100644 seq/clusters_seq/cluster_71 delete mode 100644 seq/clusters_seq/cluster_710 delete mode 100644 seq/clusters_seq/cluster_711 delete mode 100644 seq/clusters_seq/cluster_712 delete mode 100644 seq/clusters_seq/cluster_713 delete mode 100644 seq/clusters_seq/cluster_714 delete mode 100644 seq/clusters_seq/cluster_715 delete mode 100644 seq/clusters_seq/cluster_716 delete mode 100644 seq/clusters_seq/cluster_717 delete mode 100644 seq/clusters_seq/cluster_718 delete mode 100644 seq/clusters_seq/cluster_719 delete mode 100644 seq/clusters_seq/cluster_72 delete mode 100644 seq/clusters_seq/cluster_720 delete mode 100644 seq/clusters_seq/cluster_721 delete mode 100644 seq/clusters_seq/cluster_722 delete mode 100644 seq/clusters_seq/cluster_723 delete mode 100644 seq/clusters_seq/cluster_724 delete mode 100644 seq/clusters_seq/cluster_725 delete mode 100644 seq/clusters_seq/cluster_726 delete mode 100644 seq/clusters_seq/cluster_727 delete mode 100644 seq/clusters_seq/cluster_728 delete mode 100644 seq/clusters_seq/cluster_729 delete mode 100644 seq/clusters_seq/cluster_73 delete mode 100644 seq/clusters_seq/cluster_730 delete mode 100644 seq/clusters_seq/cluster_731 delete mode 100644 seq/clusters_seq/cluster_732 delete mode 100644 seq/clusters_seq/cluster_733 delete mode 100644 seq/clusters_seq/cluster_734 delete mode 100644 seq/clusters_seq/cluster_735 delete mode 100644 seq/clusters_seq/cluster_736 delete mode 100644 seq/clusters_seq/cluster_737 delete mode 100644 seq/clusters_seq/cluster_738 delete mode 100644 seq/clusters_seq/cluster_739 delete mode 100644 seq/clusters_seq/cluster_74 delete mode 100644 seq/clusters_seq/cluster_740 delete mode 100644 seq/clusters_seq/cluster_741 delete mode 100644 seq/clusters_seq/cluster_742 delete mode 100644 seq/clusters_seq/cluster_743 delete mode 100644 seq/clusters_seq/cluster_744 delete mode 100644 seq/clusters_seq/cluster_745 delete mode 100644 seq/clusters_seq/cluster_746 delete mode 100644 seq/clusters_seq/cluster_747 delete mode 100644 seq/clusters_seq/cluster_748 delete mode 100644 seq/clusters_seq/cluster_749 delete mode 100644 seq/clusters_seq/cluster_75 delete mode 100644 seq/clusters_seq/cluster_750 delete mode 100644 seq/clusters_seq/cluster_751 delete mode 100644 seq/clusters_seq/cluster_752 delete mode 100644 seq/clusters_seq/cluster_753 delete mode 100644 seq/clusters_seq/cluster_754 delete mode 100644 seq/clusters_seq/cluster_755 delete mode 100644 seq/clusters_seq/cluster_756 delete mode 100644 seq/clusters_seq/cluster_757 delete mode 100644 seq/clusters_seq/cluster_758 delete mode 100644 seq/clusters_seq/cluster_759 delete mode 100644 seq/clusters_seq/cluster_76 delete mode 100644 seq/clusters_seq/cluster_760 delete mode 100644 seq/clusters_seq/cluster_761 delete mode 100644 seq/clusters_seq/cluster_762 delete mode 100644 seq/clusters_seq/cluster_763 delete mode 100644 seq/clusters_seq/cluster_764 delete mode 100644 seq/clusters_seq/cluster_765 delete mode 100644 seq/clusters_seq/cluster_766 delete mode 100644 seq/clusters_seq/cluster_767 delete mode 100644 seq/clusters_seq/cluster_768 delete mode 100644 seq/clusters_seq/cluster_769 delete mode 100644 seq/clusters_seq/cluster_77 delete mode 100644 seq/clusters_seq/cluster_770 delete mode 100644 seq/clusters_seq/cluster_771 delete mode 100644 seq/clusters_seq/cluster_772 delete mode 100644 seq/clusters_seq/cluster_773 delete mode 100644 seq/clusters_seq/cluster_774 delete mode 100644 seq/clusters_seq/cluster_775 delete mode 100644 seq/clusters_seq/cluster_776 delete mode 100644 seq/clusters_seq/cluster_777 delete mode 100644 seq/clusters_seq/cluster_778 delete mode 100644 seq/clusters_seq/cluster_779 delete mode 100644 seq/clusters_seq/cluster_78 delete mode 100644 seq/clusters_seq/cluster_780 delete mode 100644 seq/clusters_seq/cluster_781 delete mode 100644 seq/clusters_seq/cluster_782 delete mode 100644 seq/clusters_seq/cluster_783 delete mode 100644 seq/clusters_seq/cluster_784 delete mode 100644 seq/clusters_seq/cluster_785 delete mode 100644 seq/clusters_seq/cluster_786 delete mode 100644 seq/clusters_seq/cluster_787 delete mode 100644 seq/clusters_seq/cluster_788 delete mode 100644 seq/clusters_seq/cluster_789 delete mode 100644 seq/clusters_seq/cluster_79 delete mode 100644 seq/clusters_seq/cluster_790 delete mode 100644 seq/clusters_seq/cluster_791 delete mode 100644 seq/clusters_seq/cluster_792 delete mode 100644 seq/clusters_seq/cluster_793 delete mode 100644 seq/clusters_seq/cluster_794 delete mode 100644 seq/clusters_seq/cluster_795 delete mode 100644 seq/clusters_seq/cluster_796 delete mode 100644 seq/clusters_seq/cluster_797 delete mode 100644 seq/clusters_seq/cluster_798 delete mode 100644 seq/clusters_seq/cluster_799 delete mode 100644 seq/clusters_seq/cluster_8 delete mode 100644 seq/clusters_seq/cluster_80 delete mode 100644 seq/clusters_seq/cluster_800 delete mode 100644 seq/clusters_seq/cluster_801 delete mode 100644 seq/clusters_seq/cluster_802 delete mode 100644 seq/clusters_seq/cluster_803 delete mode 100644 seq/clusters_seq/cluster_804 delete mode 100644 seq/clusters_seq/cluster_805 delete mode 100644 seq/clusters_seq/cluster_806 delete mode 100644 seq/clusters_seq/cluster_807 delete mode 100644 seq/clusters_seq/cluster_808 delete mode 100644 seq/clusters_seq/cluster_809 delete mode 100644 seq/clusters_seq/cluster_81 delete mode 100644 seq/clusters_seq/cluster_810 delete mode 100644 seq/clusters_seq/cluster_811 delete mode 100644 seq/clusters_seq/cluster_812 delete mode 100644 seq/clusters_seq/cluster_813 delete mode 100644 seq/clusters_seq/cluster_814 delete mode 100644 seq/clusters_seq/cluster_815 delete mode 100644 seq/clusters_seq/cluster_816 delete mode 100644 seq/clusters_seq/cluster_817 delete mode 100644 seq/clusters_seq/cluster_818 delete mode 100644 seq/clusters_seq/cluster_819 delete mode 100644 seq/clusters_seq/cluster_82 delete mode 100644 seq/clusters_seq/cluster_820 delete mode 100644 seq/clusters_seq/cluster_821 delete mode 100644 seq/clusters_seq/cluster_822 delete mode 100644 seq/clusters_seq/cluster_823 delete mode 100644 seq/clusters_seq/cluster_824 delete mode 100644 seq/clusters_seq/cluster_825 delete mode 100644 seq/clusters_seq/cluster_826 delete mode 100644 seq/clusters_seq/cluster_827 delete mode 100644 seq/clusters_seq/cluster_828 delete mode 100644 seq/clusters_seq/cluster_829 delete mode 100644 seq/clusters_seq/cluster_83 delete mode 100644 seq/clusters_seq/cluster_830 delete mode 100644 seq/clusters_seq/cluster_831 delete mode 100644 seq/clusters_seq/cluster_832 delete mode 100644 seq/clusters_seq/cluster_833 delete mode 100644 seq/clusters_seq/cluster_834 delete mode 100644 seq/clusters_seq/cluster_835 delete mode 100644 seq/clusters_seq/cluster_836 delete mode 100644 seq/clusters_seq/cluster_837 delete mode 100644 seq/clusters_seq/cluster_838 delete mode 100644 seq/clusters_seq/cluster_839 delete mode 100644 seq/clusters_seq/cluster_84 delete mode 100644 seq/clusters_seq/cluster_840 delete mode 100644 seq/clusters_seq/cluster_841 delete mode 100644 seq/clusters_seq/cluster_842 delete mode 100644 seq/clusters_seq/cluster_843 delete mode 100644 seq/clusters_seq/cluster_844 delete mode 100644 seq/clusters_seq/cluster_845 delete mode 100644 seq/clusters_seq/cluster_846 delete mode 100644 seq/clusters_seq/cluster_847 delete mode 100644 seq/clusters_seq/cluster_848 delete mode 100644 seq/clusters_seq/cluster_849 delete mode 100644 seq/clusters_seq/cluster_85 delete mode 100644 seq/clusters_seq/cluster_850 delete mode 100644 seq/clusters_seq/cluster_851 delete mode 100644 seq/clusters_seq/cluster_852 delete mode 100644 seq/clusters_seq/cluster_853 delete mode 100644 seq/clusters_seq/cluster_854 delete mode 100644 seq/clusters_seq/cluster_855 delete mode 100644 seq/clusters_seq/cluster_856 delete mode 100644 seq/clusters_seq/cluster_857 delete mode 100644 seq/clusters_seq/cluster_858 delete mode 100644 seq/clusters_seq/cluster_859 delete mode 100644 seq/clusters_seq/cluster_86 delete mode 100644 seq/clusters_seq/cluster_860 delete mode 100644 seq/clusters_seq/cluster_861 delete mode 100644 seq/clusters_seq/cluster_862 delete mode 100644 seq/clusters_seq/cluster_863 delete mode 100644 seq/clusters_seq/cluster_864 delete mode 100644 seq/clusters_seq/cluster_865 delete mode 100644 seq/clusters_seq/cluster_866 delete mode 100644 seq/clusters_seq/cluster_867 delete mode 100644 seq/clusters_seq/cluster_868 delete mode 100644 seq/clusters_seq/cluster_869 delete mode 100644 seq/clusters_seq/cluster_87 delete mode 100644 seq/clusters_seq/cluster_870 delete mode 100644 seq/clusters_seq/cluster_871 delete mode 100644 seq/clusters_seq/cluster_872 delete mode 100644 seq/clusters_seq/cluster_873 delete mode 100644 seq/clusters_seq/cluster_874 delete mode 100644 seq/clusters_seq/cluster_875 delete mode 100644 seq/clusters_seq/cluster_876 delete mode 100644 seq/clusters_seq/cluster_877 delete mode 100644 seq/clusters_seq/cluster_878 delete mode 100644 seq/clusters_seq/cluster_879 delete mode 100644 seq/clusters_seq/cluster_88 delete mode 100644 seq/clusters_seq/cluster_880 delete mode 100644 seq/clusters_seq/cluster_881 delete mode 100644 seq/clusters_seq/cluster_882 delete mode 100644 seq/clusters_seq/cluster_883 delete mode 100644 seq/clusters_seq/cluster_884 delete mode 100644 seq/clusters_seq/cluster_885 delete mode 100644 seq/clusters_seq/cluster_886 delete mode 100644 seq/clusters_seq/cluster_887 delete mode 100644 seq/clusters_seq/cluster_888 delete mode 100644 seq/clusters_seq/cluster_889 delete mode 100644 seq/clusters_seq/cluster_89 delete mode 100644 seq/clusters_seq/cluster_890 delete mode 100644 seq/clusters_seq/cluster_891 delete mode 100644 seq/clusters_seq/cluster_892 delete mode 100644 seq/clusters_seq/cluster_893 delete mode 100644 seq/clusters_seq/cluster_894 delete mode 100644 seq/clusters_seq/cluster_895 delete mode 100644 seq/clusters_seq/cluster_896 delete mode 100644 seq/clusters_seq/cluster_897 delete mode 100644 seq/clusters_seq/cluster_898 delete mode 100644 seq/clusters_seq/cluster_899 delete mode 100644 seq/clusters_seq/cluster_9 delete mode 100644 seq/clusters_seq/cluster_90 delete mode 100644 seq/clusters_seq/cluster_900 delete mode 100644 seq/clusters_seq/cluster_901 delete mode 100644 seq/clusters_seq/cluster_902 delete mode 100644 seq/clusters_seq/cluster_903 delete mode 100644 seq/clusters_seq/cluster_904 delete mode 100644 seq/clusters_seq/cluster_905 delete mode 100644 seq/clusters_seq/cluster_906 delete mode 100644 seq/clusters_seq/cluster_907 delete mode 100644 seq/clusters_seq/cluster_908 delete mode 100644 seq/clusters_seq/cluster_909 delete mode 100644 seq/clusters_seq/cluster_91 delete mode 100644 seq/clusters_seq/cluster_910 delete mode 100644 seq/clusters_seq/cluster_911 delete mode 100644 seq/clusters_seq/cluster_912 delete mode 100644 seq/clusters_seq/cluster_913 delete mode 100644 seq/clusters_seq/cluster_914 delete mode 100644 seq/clusters_seq/cluster_915 delete mode 100644 seq/clusters_seq/cluster_916 delete mode 100644 seq/clusters_seq/cluster_917 delete mode 100644 seq/clusters_seq/cluster_918 delete mode 100644 seq/clusters_seq/cluster_919 delete mode 100644 seq/clusters_seq/cluster_92 delete mode 100644 seq/clusters_seq/cluster_920 delete mode 100644 seq/clusters_seq/cluster_921 delete mode 100644 seq/clusters_seq/cluster_922 delete mode 100644 seq/clusters_seq/cluster_923 delete mode 100644 seq/clusters_seq/cluster_924 delete mode 100644 seq/clusters_seq/cluster_925 delete mode 100644 seq/clusters_seq/cluster_926 delete mode 100644 seq/clusters_seq/cluster_927 delete mode 100644 seq/clusters_seq/cluster_928 delete mode 100644 seq/clusters_seq/cluster_929 delete mode 100644 seq/clusters_seq/cluster_93 delete mode 100644 seq/clusters_seq/cluster_930 delete mode 100644 seq/clusters_seq/cluster_931 delete mode 100644 seq/clusters_seq/cluster_932 delete mode 100644 seq/clusters_seq/cluster_933 delete mode 100644 seq/clusters_seq/cluster_934 delete mode 100644 seq/clusters_seq/cluster_935 delete mode 100644 seq/clusters_seq/cluster_936 delete mode 100644 seq/clusters_seq/cluster_937 delete mode 100644 seq/clusters_seq/cluster_938 delete mode 100644 seq/clusters_seq/cluster_939 delete mode 100644 seq/clusters_seq/cluster_94 delete mode 100644 seq/clusters_seq/cluster_940 delete mode 100644 seq/clusters_seq/cluster_941 delete mode 100644 seq/clusters_seq/cluster_942 delete mode 100644 seq/clusters_seq/cluster_943 delete mode 100644 seq/clusters_seq/cluster_944 delete mode 100644 seq/clusters_seq/cluster_945 delete mode 100644 seq/clusters_seq/cluster_946 delete mode 100644 seq/clusters_seq/cluster_947 delete mode 100644 seq/clusters_seq/cluster_948 delete mode 100644 seq/clusters_seq/cluster_949 delete mode 100644 seq/clusters_seq/cluster_95 delete mode 100644 seq/clusters_seq/cluster_950 delete mode 100644 seq/clusters_seq/cluster_951 delete mode 100644 seq/clusters_seq/cluster_952 delete mode 100644 seq/clusters_seq/cluster_953 delete mode 100644 seq/clusters_seq/cluster_954 delete mode 100644 seq/clusters_seq/cluster_955 delete mode 100644 seq/clusters_seq/cluster_956 delete mode 100644 seq/clusters_seq/cluster_957 delete mode 100644 seq/clusters_seq/cluster_958 delete mode 100644 seq/clusters_seq/cluster_959 delete mode 100644 seq/clusters_seq/cluster_96 delete mode 100644 seq/clusters_seq/cluster_960 delete mode 100644 seq/clusters_seq/cluster_961 delete mode 100644 seq/clusters_seq/cluster_962 delete mode 100644 seq/clusters_seq/cluster_963 delete mode 100644 seq/clusters_seq/cluster_964 delete mode 100644 seq/clusters_seq/cluster_965 delete mode 100644 seq/clusters_seq/cluster_966 delete mode 100644 seq/clusters_seq/cluster_967 delete mode 100644 seq/clusters_seq/cluster_968 delete mode 100644 seq/clusters_seq/cluster_969 delete mode 100644 seq/clusters_seq/cluster_97 delete mode 100644 seq/clusters_seq/cluster_970 delete mode 100644 seq/clusters_seq/cluster_971 delete mode 100644 seq/clusters_seq/cluster_972 delete mode 100644 seq/clusters_seq/cluster_973 delete mode 100644 seq/clusters_seq/cluster_974 delete mode 100644 seq/clusters_seq/cluster_975 delete mode 100644 seq/clusters_seq/cluster_976 delete mode 100644 seq/clusters_seq/cluster_977 delete mode 100644 seq/clusters_seq/cluster_978 delete mode 100644 seq/clusters_seq/cluster_979 delete mode 100644 seq/clusters_seq/cluster_98 delete mode 100644 seq/clusters_seq/cluster_980 delete mode 100644 seq/clusters_seq/cluster_981 delete mode 100644 seq/clusters_seq/cluster_982 delete mode 100644 seq/clusters_seq/cluster_983 delete mode 100644 seq/clusters_seq/cluster_984 delete mode 100644 seq/clusters_seq/cluster_985 delete mode 100644 seq/clusters_seq/cluster_986 delete mode 100644 seq/clusters_seq/cluster_987 delete mode 100644 seq/clusters_seq/cluster_988 delete mode 100644 seq/clusters_seq/cluster_989 delete mode 100644 seq/clusters_seq/cluster_99 delete mode 100644 seq/clusters_seq/cluster_990 delete mode 100644 seq/clusters_seq/cluster_991 delete mode 100644 seq/clusters_seq/cluster_992 delete mode 100644 seq/clusters_seq/cluster_993 delete mode 100644 seq/clusters_seq/cluster_994 delete mode 100644 seq/clusters_seq/cluster_995 delete mode 100644 seq/clusters_seq/cluster_996 delete mode 100644 seq/clusters_seq/cluster_997 delete mode 100644 seq/clusters_seq/cluster_998 delete mode 100644 seq/clusters_seq/cluster_999 delete mode 100644 seq/singleton_RNAvirus.faa create mode 100644 virbot/data/seq.zip diff --git a/seq/clusters_info/cluster_1 b/seq/clusters_info/cluster_1 deleted file mode 100644 index 0b78177..0000000 --- a/seq/clusters_info/cluster_1 +++ /dev/null @@ -1,284 +0,0 @@ -YP_010087867.1 polyprotein [Paris virus 1] -YP_010087797.1 polyprotein [Noni mosaic virus] -YP_010087632.1 polyprotein [Costus stripe mosaic virus] -YP_010087165.1 polyprotein [Dioscorea mosaic virus] -YP_010088118.1 CP [Platycodon mild mottle virus] -YP_010087148.1 polyprotein [Platycodon mild mottle virus] -YP_009553508.1 polyprotein [Lily yellow mosaic virus] -YP_009666833.1 polyprotein [African eggplant mosaic virus] -YP_009667146.1 coat protein [Mediterranean ruda virus] -YP_009666832.1 polyprotein [Mediterranean ruda virus] -YP_009666044.1 unnamed protein product, partial [Tulip mosaic virus] -YP_009666043.1 polyprotein, partial [Tuberose mild mottle virus] -YP_009666042.1 polyprotein precursor, partial [Malva vein clearing virus] -YP_009666041.1 polyprotein, partial [Henbane mosaic virus] -YP_009666040.1 polyprotein, partial [Butterfly flower mosaic virus] -YP_009665162.1 polyprotein, partial [Spartina mottle virus] -YP_009665158.1 polyprotein, partial [Zucchini yellow fleck virus] -YP_009667099.1 CP [Zucchini shoestring virus] -YP_009665156.1 polyprotein [Zucchini shoestring virus] -YP_009665155.1 polyprotein, partial [Watermelon leaf mottle virus] -YP_009665154.1 polyprotein, partial [Vallota mosaic virus] -YP_009665153.1 polyprotein, partial [Twisted-stalk chlorotic streak virus] -YP_009665152.1 polyprotein, partial [Tulip breaking virus] -YP_009665151.1 polyprotein precursor, partial [Tuberose mild mosaic virus] -YP_009665150.1 polyprotein, partial [Tradescantia mild mosaic virus] -YP_009665149.1 polyprotein, partial [Sunflower mosaic virus] -YP_009665148.1 polyprotein, partial [Spiranthes mosaic virus 3] -YP_009665145.1 polyprotein, partial [Ranunculus mosaic virus] -YP_009665144.1 polyprotein, partial [Ranunculus mild mosaic virus] -YP_009665143.1 polyprotein, partial [Ranunculus leaf distortion virus] -YP_009665142.1 polyprotein, partial [Pleione virus Y] -YP_009665139.1 polyprotein, partial [Ornithogalum virus 3] -YP_009665138.1 polyprotein, partial [Ornithogalum virus 2] -YP_009665137.1 polyprotein, partial [Nerine yellow stripe virus] -YP_009665135.1 polyprotein, partial [Lycoris mild mottle virus] -YP_009665134.1 polyprotein, partial [Kalanchoe mosaic virus] -YP_009667070.1 CP [Iris mild mosaic virus] -YP_009665133.1 polyprotein, partial [Iris mild mosaic virus] -YP_009665130.1 polyprotein, partial [Cypripedium virus Y] -YP_009665128.1 polyprotein, partial [Chinese artichoke mosaic virus] -YP_009665126.1 polyprotein, partial [Carrot virus Y] -YP_009665125.1 plyprotein, partial [Carnation vein mottle virus] -YP_009665123.1 polyprotein, partial [Araujia mosaic virus] -YP_009665122.1 polyprotein, partial [Angelica virus Y] -YP_009509107.1 CP [Daphne virus Y] -YP_009509098.1 P1 [Daphne virus Y] -YP_009508399.1 polyprotein [Daphne virus Y] -YP_009507673.1 polyprotein [Gloriosa stripe mosaic virus] -YP_009466014.1 CP [Hyacinth mosaic virus] -YP_009465708.1 polyprotein [Hyacinth mosaic virus] -YP_009440977.1 P1N-PISPO [Sweet potato feathery mottle virus] -YP_006393465.2 P3N-PIPO [Sweet potato feathery mottle virus] -YP_009408143.1 polyprotein [Reed chlorotic stripe virus] -YP_009407951.1 polyprotein [Sudan watermelon mosaic virus] -YP_009407950.1 polyprotein [Wild melon vein banding virus] -YP_009407717.1 P1N-PISPO [Sweet potato virus G] -YP_006493336.2 P3N-PIPO [Sweet potato virus G] -YP_009388623.1 polyprotein [Cucurbit vein banding virus] -YP_009353030.1 polyprotein [Endive necrotic mosaic virus] -YP_009336845.1 polyprotein [Hubei Poty-like virus 1] -YP_009310050.1 CP [Euphorbia ringspot virus] -YP_009305422.1 polyprotein [Euphorbia ringspot virus] -YP_009272679.1 CP [Callistephus mottle virus] -YP_009272570.1 polyprotein [Callistephus mottle virus] -YP_009259521.1 CP protein [Wild onion symptomless virus] -YP_009259366.1 polyprotein [Wild onion symptomless virus] -YP_009256204.1 polyprotein [Pecan mosaic-associated virus] -YP_009224125.1 polyprotein [Iris severe mosaic virus] -YP_009221992.1 CP [Jasmine virus T] -YP_009221980.1 polyprotein [Jasmine virus T] -YP_009162372.1 polyprotein [Lettuce Italian necrotic virus] -YP_009143308.1 polyprotein [Catharanthus mosaic virus] -YP_009126870.1 coat protein [Tamarillo leaf malformation virus] -YP_009126730.1 polyprotein [Tamarillo leaf malformation virus] -YP_009110712.1 polyprotein [Asparagus virus 1] -YP_009091824.1 polyprotein [Carrot thin leaf virus] -YP_009091808.1 polyprotein [Vanilla distortion mosaic virus] -YP_009010967.1 CP [Narcissus late season yellows virus] -YP_009010942.1 polyprotein [Narcissus late season yellows virus] -YP_008992253.1 CP segment [Zucchini tigre mosaic virus] -YP_008992091.1 polyprotein [Zucchini tigre mosaic virus] -YP_008719797.1 coat protein [Pokeweed mosaic virus] -YP_008719787.1 polyprotein [Pokeweed mosaic virus] -YP_008320591.1 coat protein [Habenaria mosaic virus] -YP_008240477.1 polyprotein [Habenaria mosaic virus] -YP_007969893.1 CP [Donkey orchid virus A] -YP_007969412.1 polyprotein [Donkey orchid virus A] -YP_007872240.1 polyprotein [Sunflower mild mosaic virus] -YP_007697620.1 polyprotein [Sweet potato latent virus] -YP_007346986.1 polyprotein [Colombian datura virus] -YP_007001292.1 CP [Yam mild mosaic virus] -YP_006990077.1 polyprotein [Yam mild mosaic virus] -YP_007001283.1 CP [Ornithogalum mosaic virus] -YP_006989380.1 polyprotein [Ornithogalum mosaic virus] -YP_006906026.1 polyprotein [Iranian johnsongrass mosaic virus] -YP_006493333.1 polyprotein [Sweet potato virus G] -YP_006438197.1 coat protein [Sweet potato virus 2] -YP_006423994.1 coat protein [Chilli ringspot virus] -YP_006423982.1 coat protein [Celery mosaic virus] -YP_006395348.1 coat protein [Pennisetum mosaic virus] -YP_006390076.1 CP [Cyrtanthus elatus virus A] -YP_006383504.1 polyprotein [Cyrtanthus elatus virus A] -YP_006382460.1 polyprotein [Sweet potato virus 2] -YP_006390066.1 CP [Hippeastrum mosaic virus] -YP_006382256.1 polyprotein [Hippeastrum mosaic virus] -YP_004875339.1 polyprotein [Chilli ringspot virus] -YP_004376199.1 polyprotein [Celery mosaic virus] -YP_004123940.1 capsid protein [Lupinus mosaic virus] -YP_004123732.1 polyprotein [Lupinus mosaic virus] -YP_004123963.1 CP [Apium virus Y] -YP_004123951.1 polyprotein [Apium virus Y] -YP_004046679.1 CP [Sweet potato virus C] -YP_004046670.1 polyprotein [Sweet potato virus C] -YP_003725728.1 CP [Panax virus Y] -YP_003725719.1 P1 [Panax virus Y] -YP_003725718.1 polyprotein [Panax virus Y] -YP_003620390.1 NIa [Freesia mosaic virus] -YP_003208060.1 coat protein [Canna yellow streak virus] -YP_003208047.1 polyprotein [Canna yellow streak virus] -YP_002539451.1 CP [Pepper veinal mottle virus] -YP_002519375.1 polyprotein [Pepper veinal mottle virus] -YP_002308564.1 coat protein [Narcissus yellow stripe virus] -YP_002308453.1 polyprotein [Narcissus yellow stripe virus] -YP_001936196.1 coat protein [Algerian watermelon mosaic virus] -YP_001931956.1 polyprotein [Algerian watermelon mosaic virus] -YP_001816855.1 CP protein [Wild tomato mosaic virus] -YP_001718531.1 CP protein [Banana bract mosaic virus] -YP_001552430.1 coat protein [Moroccan watermelon mosaic virus] -YP_001552410.1 polyprotein [Moroccan watermelon mosaic virus] -YP_001552421.1 coat protein [Tobacco vein banding mosaic virus] -YP_001552409.1 polyprotein [Tobacco vein banding mosaic virus] -YP_001427388.1 polyprotein [Wild tomato mosaic virus] -YP_001019197.1 coat protein [Narcissus degeneration virus] -YP_001019187.1 polyprotein [Narcissus degeneration virus] -YP_851006.1 polyprotein [Blackberry virus Y] -YP_611120.1 CP protein [Daphne mosaic virus] -YP_610949.1 polyprotein [Daphne mosaic virus] -YP_529499.1 coat protein [Konjac mosaic virus] -YP_529485.1 polyprotein [Konjac mosaic virus] -YP_331423.1 Coat protein [Shallot yellow stripe virus] -YP_331412.1 polyprotein [Shallot yellow stripe virus] -YP_254723.1 coat protein [Thunberg fritillary mosaic virus] -YP_254713.1 polyprotein [Thunberg fritillary mosaic virus] -YP_249455.1 polyprotein [Pennisetum mosaic virus] -NP_734222.2 coat protein [Turnip mosaic virus] -NP_062866.2 polyprotein [Turnip mosaic virus] -YP_025107.1 polyprotein [Hordeum mosaic virus] -YP_025106.1 polyprotein [Agropyron mosaic virus] -YP_022760.1 coat protein [Yam mosaic virus] -YP_022751.1 polyprotein [Yam mosaic virus] -NP_982344.1 coat protein [Chilli veinal mottle virus] -NP_982308.1 polyprotein [Chilli veinal mottle virus] -NP_945145.1 Coat protein [Lily mottle virus] -NP_945133.1 polyprotein [Lily mottle virus] -NP_871747.1 coat protein [Onion yellow dwarf virus] -NP_871737.1 coat protein [Papaya leaf distortion mosaic virus] -NP_870995.1 polyprotein [Papaya leaf distortion mosaic virus] -NP_871002.1 polyprotein [Onion yellow dwarf virus] -NP_734132.1 coat protein [Scallion mosaic virus] -NP_734102.1 coat protein [Leek yellow stripe virus] -NP_734232.1 coat protein [Japanese yam mosaic virus] -NP_734407.1 coat protein [Johnsongrass mosaic virus] -NP_734318.1 coat protein [Sweet potato feathery mottle virus] -NP_734335.1 coat protein [Tobacco vein mottling virus] -NP_734206.1 coat protein [Tobacco etch virus] -NP_734368.1 coat protein [Potato virus A] -NP_734428.1 coat protein [Pea seed-borne mosaic virus] -NP_734152.1 coat protein [Maize dwarf mosaic virus] -NP_734172.1 coat protein [Clover yellow vein virus] -NP_734163.1 P1 protein [Clover yellow vein virus] -NP_734182.1 coat protein [Bean yellow mosaic virus] -NP_734173.1 P1 protein [Bean yellow mosaic virus] -NP_659729.1 polyprotein [Potato virus A] -NP_659391.1 polyprotein [Sorghum mosaic virus] -NP_659009.1 polyprotein [Leek yellow stripe virus] -NP_620483.1 polyprotein [Cocksfoot streak virus] -NP_619668.1 polyprotein [Johnsongrass mosaic virus] -NP_619667.1 polyprotein [Lettuce mosaic virus] -NP_613273.1 polyprotein [Clover yellow vein virus] -NP_612218.1 polyprotein [Bean yellow mosaic virus] -NP_570725.1 polyprotein [Scallion mosaic virus] -NP_570724.1 polyprotein [Sugarcane mosaic virus] -NP_569138.1 polyprotein [Maize dwarf mosaic virus] -NP_062908.1 polyprotein [Tobacco etch virus] -NP_051161.1 polyprotein [Japanese yam mosaic virus] -NP_045216.1 polyprotein [Sweet potato feathery mottle virus] -NP_044727.1 polyprotein [Ryegrass mosaic virus] -NP_056758.1 polyprotein [Papaya ringspot virus] -NP_056867.1 polyprotein [Tobacco vein mottling virus] -NP_056765.1 polyprotein [Pea seed-borne mosaic virus] -NP_040807.1 polyprotein [Plum pox virus] -sp|P0CK12.2|MVP_TUMVQ RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CJ99.2|MVP_PSBMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CJ98.2|MVP_PRSVH RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P83574.1|POLG_NIGSP RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q02597.2|POLG_TUMVQ RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P19723.1|POLG_PRSVP RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P0CJ97.2|MVP_LMV0 RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CW79.2|MVP_LMVE RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK10.2|MVP_TVMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK11.2|MVP_TUMVJ RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK09.2|MVP_TEV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK05.2|MVP_PVMA RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK04.2|MVP_PPVSK RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK02.2|MVP_PPVNA RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK03.2|MVP_PPVD RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CJ95.2|MVP_BYMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P17765.2|POLG_BYMV RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P31999.3|POLG_LMV0 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P13529.2|POLG_PPVD RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q84934.1|POLG_PPVSK RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P89509.1|POLG_TUMVJ RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P17767.2|POLG_PPVRA RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P32652.1|POLG_MDMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q01681.1|POLG_PPVEA RecName: Full=Genome polyprotein; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P31998.1|POLG_GSMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P25242.1|POLG_SUMVS RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P19724.1|POLG_PRSVW RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P20234.1|POLG_OMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P22556.1|POLG_TAMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -ALS88434.1 polyprotein [Johnsongrass mosaic virus] -AFS28881.1 polyprotein [Pokeweed mosaic virus] -AKE49121.1 polyprotein [Leek yellow stripe virus] -AMY17414.1 polyprotein [Sweet potato virus 2] -AGC26501.1 polyprotein [Narcissus yellow stripe virus] -CAB58238.1 polyprotein [Potato virus A] -AMN08809.1 polyprotein [Turnip mosaic virus] -AIT13016.1 polyprotein [Japanese yam mosaic virus] -AFJ92906.1 polyprotein [Narcissus degeneration virus] -AGY36218.1 polyprotein [Zucchini tigre mosaic virus] -AHA86933.1 gp2 [Bufivirus UC1] -AAA91583.1 polyprotein, partial [Shallot potyvirus] -ANI25033.1 polyprotein [Bermuda grass southern mosaic virus] -ACN23027.1 polyprotein [Sweet potato feathery mottle virus] -AGH25928.1 coat protein [Yam mild mosaic virus] -CAK26090.1 polyprotein [Shallot yellow stripe virus] -ACA42550.1 polyprotein [Papaya ringspot virus W] -AFU07533.1 polyprotein [Papaya leaf distortion mosaic virus] -BAH60694.1 polyprotein [Bean yellow mosaic virus] -AEK27123.1 polyprotein [Plum pox virus] -ACM68708.2 polyprotein [Pepper veinal mottle virus] -APW85806.1 polyprotein [Chilli ringspot virus] -ADP00834.1 polyprotein [Turnip mosaic virus] -AEN25480.2 polyprotein [Lily mottle virus] -APZ75428.1 polyprotein [Jasmine virus T] -AGC26504.1 polyprotein, partial [Narcissus degeneration virus] -AIZ09249.2 polyprotein, partial [Papaya ringspot virus] -AKC43543.1 polyprotein [Catharanthus mosaic virus] -AEN25474.2 polyprotein, partial [Lily virus A] -CAJ43126.1 polyprotein [Plum pox virus strain El Amar] -CDO67686.1 polyprotein [Bean yellow mosaic virus] -AJD79428.1 polyprotein [Sorghum mosaic virus] -AGN92430.1 polyprotein [Chilli veinal mottle virus] -BAE96765.1 polyprotein [Onion yellow dwarf virus] -CAT99455.2 polyprotein [Maize dwarf mosaic virus] -ABI99478.1 polyprotein [Pennisetum mosaic virus] -AFU35736.1 polyprotein [Onion yellow dwarf virus] -APL98256.1 polyprotein [Cyrtanthus elatus virus A] -AIM40208.1 polyprotein [Sweet potato virus G] -AAA98577.1 polyprotein [Tobacco etch virus] -ABV21734.1 polyprotein [Cocksfoot streak virus] -AFJ92907.2 polyprotein [Narcissus yellow stripe virus] -CAA53541.1 unnamed protein product, partial [Iris severe mosaic virus] -AKQ24603.1 polyprotein [Sugarcane mosaic virus] -AKC01037.1 polyprotein [Ryegrass mosaic virus] -AGV40216.2 polyprotein [Bean yellow mosaic virus] -BAT50981.1 polyprotein [Clover yellow vein virus] -ALE99280.1 polyprotein [Habenaria mosaic virus] -ANF99508.1 polyprotein [Moroccan watermelon mosaic virus] -ANQ33994.1 polyprotein [Iranian johnsongrass mosaic virus] -AFA26424.2 polyprotein [Bean yellow mosaic virus] -AFO59880.1 polyprotein [Tobacco vein banding mosaic virus] -BAJ07195.1 polyprotein [Sweet potato feathery mottle virus] -AFQ95552.1 polyprotein [Narcissus late season yellows virus] -ANA48364.1 polyprotein [Ornithogalum mosaic virus] -ADG23201.1 polyprotein [Sugarcane mosaic virus] -AJD23401.1 polyprotein [Japanese yam mosaic virus] -AIB00272.1 polyprotein [Lettuce mosaic virus] -AGH25889.1 polyprotein [Carrot thin leaf virus] -BAF96025.1 polyprotein [Bean yellow mosaic virus] -CAA04244.1 coat protein, partial [Turnip mosaic virus] -BAH60697.1 polyprotein [Bean yellow mosaic virus] -ANF06854.1 polyprotein, partial [Japanese yam mosaic virus] -AFA26423.1 polyprotein, partial [Tuberose mild mosaic virus] diff --git a/seq/clusters_info/cluster_10 b/seq/clusters_info/cluster_10 deleted file mode 100644 index 875fd6c..0000000 --- a/seq/clusters_info/cluster_10 +++ /dev/null @@ -1,103 +0,0 @@ -YP_009553479.2 p88K polymerase [Adonis mosaic virus] -YP_010087346.1 RNA-dependent RNA polymerase [Jasmine virus H] -YP_004300263.3 putative RNA dependent RNA polymerase [Trailing lespedeza virus 1] -YP_009345600.1 p86 putative replicase protein [Clematis chlorotic mottle virus] -YP_009342302.1 hypothetical protein 2 [Wenzhou tombus-like virus 9] -YP_009342301.1 hypothetical protein 1 [Wenzhou tombus-like virus 9] -YP_009342294.1 hypothetical protein 2 [Wenzhou tombus-like virus 10] -YP_009342279.1 hypothetical protein 1 [Wenzhou tombus-like virus 1] -YP_009342277.1 hypothetical protein 1 [Wenzhou tombus-like virus 8] -YP_009342275.1 hypothetical protein 2 [Wenzhou tombus-like virus 3] -YP_009342267.1 hypothetical protein 1 [Wenzhou tombus-like virus 2] -YP_009342057.1 hypothetical protein 1 [Wenzhou tombus-like virus 4] -YP_009342296.1 hypothetical protein 2 [Wenzhou tombus-like virus 7] -YP_009342291.1 hypothetical protein 2 [Wenzhou tombus-like virus 5] -YP_009337817.1 hypothetical protein 2 [Beihai tombus-like virus 2] -YP_009337777.1 hypothetical protein 2 [Beihai tombus-like virus 5] -YP_009337772.1 hypothetical protein 2 [Hubei tombus-like virus 3] -YP_009337749.1 hypothetical protein 2 [Hubei tombus-like virus 5] -YP_009337737.1 hypothetical protein 2 [Hubei tombus-like virus 6] -YP_009337736.1 hypothetical protein 1 [Hubei tombus-like virus 6] -YP_009337707.1 hypothetical protein 2 [Hubei tombus-like virus 24] -YP_009337688.1 hypothetical protein 2 [Beihai tombus-like virus 7] -YP_009337648.1 hypothetical protein 2 [Sanxia tombus-like virus 2] -YP_009337637.1 hypothetical protein 2 [Changjiang tombus-like virus 7] -YP_009337621.1 hypothetical protein 2 [Sanxia tombus-like virus 1] -YP_009337432.1 hypothetical protein 2 [Changjiang tombus-like virus 5] -YP_009337417.1 hypothetical protein 1 [Changjiang tombus-like virus 9] -YP_009337383.1 hypothetical protein 2 [Changjiang tombus-like virus 6] -YP_009337370.1 hypothetical protein 1 [Changjiang tombus-like virus 2] -YP_009337356.1 hypothetical protein 2 [Sanxia tombus-like virus 4] -YP_009337274.1 hypothetical protein 1 [Changjiang tombus-like virus 14] -YP_009337230.1 hypothetical protein 2 [Changjiang tombus-like virus 4] -YP_009337198.1 hypothetical protein 2 [Changjiang tombus-like virus 8] -YP_009337172.1 hypothetical protein 2 [Changjiang crawfish virus 7] -YP_009337158.1 hypothetical protein 2 [Wenling tombus-like virus 1] -YP_009337155.1 hypothetical protein 1 [Changjiang tombus-like virus 12] -YP_009337122.1 hypothetical protein 1 [Changjiang tombus-like virus 1] -YP_009337093.1 hypothetical protein 2 [Changjiang tombus-like virus 16] -YP_009337075.1 hypothetical protein 2 [Changjiang tombus-like virus 10] -YP_009337041.1 hypothetical protein 1 [Hubei tombus-like virus 1] -YP_009336967.1 hypothetical protein 2 [Hubei tombus-like virus 2] -YP_009336956.1 hypothetical protein 2 [Hubei tombus-like virus 11] -YP_009336903.1 hypothetical protein 1 [Shahe tombus-like virus 1] -YP_009336861.1 hypothetical protein 2 [Shahe tombus-like virus 2] -YP_009336827.1 hypothetical protein 2 [Beihai tombus-like virus 3] -YP_009336826.1 hypothetical protein 1 [Beihai tombus-like virus 3] -YP_009336790.1 hypothetical protein 2 [Hubei tombus-like virus 8] -YP_009336751.1 hypothetical protein 2 [Beihai tombus-like virus 1] -YP_009336750.1 hypothetical protein 1 [Beihai tombus-like virus 1] -YP_009336735.1 hypothetical protein 2 [Hubei tombus-like virus 12] -YP_009336685.1 hypothetical protein 2 [Hubei tombus-like virus 31] -YP_009336591.1 hypothetical protein 2 [Hubei tombus-like virus 7] -YP_009336489.1 hypothetical protein 2 [Hubei tombus-like virus 4] -YP_009333133.1 RNA-dependent RNA polymerase [Bermuda grass latent virus] -YP_009330074.1 hypothetical protein 1 [Changjiang tombus-like virus 15] -YP_009270620.1 putative RNA dependent RNA polymerase [Gompholobium virus A] -YP_009246408.1 RNA-dependent RNA polymerase [Potato necrosis virus] -YP_009116639.1 RNA-dependent RNA-polymerase [Pelargonium ringspot virus] -YP_009116634.1 RNA-dependent RNA-polymerase [Elderberry latent virus] -YP_009032645.1 RNA-dependent RNA polymerase [Carnation mottle virus] -NP_620720.3 p88 replicase [Turnip crinkle virus] -YP_008378651.1 P87 [Calibrachoa mottle virus] -YP_008219057.1 RNA-dependent RNA polymerase [Thin paspalum asymptomatic virus] -YP_007517174.1 polymerase-associated protein [Furcraea necrotic streak virus] -YP_007501034.1 RNA-dependent RNA polymerase [Rosa rugosa leaf distortion virus] -YP_004191788.1 p101 [Honeysuckle ringspot virus] -YP_002117834.1 p106 [Cocksfoot mild mosaic virus] -YP_001429579.1 hypothetical protein SOG_gp2 [Marine RNA virus SOG] -YP_001039884.1 replicase/polymerase, partial [Nootka lupine vein clearing virus] -YP_001039883.1 N-terminus replicase [Nootka lupine vein clearing virus] -NP_862835.2 p84 product [Pea stem necrosis virus] -YP_238475.1 RNA dependent-RNA polymerase [Pelargonium line pattern virus] -YP_224015.1 RNA-dependent RNA polymerase [Olive mild mosaic virus] -YP_052925.1 87 kDa replicase protein [Pelargonium chlorotic ring pattern virus] -NP_945122.1 p99 protein [Pelargonium flower break virus] -NP_619751.1 putative RNA-dependent RNA polymerase (p84) [Oat chlorotic stunt virus] -NP_619718.1 replicase [Maize chlorotic mottle virus] -NP_619671.1 RNA-dependent RNA polymerase [Hibiscus chlorotic ringspot virus] -NP_619521.1 replicase [Cowpea mottle virus] -NP_056825.2 RNA-dependent RNA polymerase [Tobacco necrosis virus A] -NP_068342.1 112 kDa replicase protein [Panicum mosaic virus] -NP_038453.1 readthrough protein (p99) [Japanese iris necrotic ring virus] -NP_044732.1 replicase [Galinsoga mosaic virus] -NP_044382.1 SCVP86 [Saguaro cactus virus] -NP_043907.1 RNA dependent RNA polymerase [Olive latent virus 1] -NP_041884.1 replicase [Cardamine chlorotic fleck virus] -NP_041226.1 replicase [Melon necrotic spot virus] -sp|Q9J5U7.1|RDRP_CARMS RecName: Full=Probable RNA-directed RNA polymerase; AltName: Full=Protein p88; Contains: RecName: Full=Protein p28 -APG76233.1 hypothetical protein 2 [Changjiang tombus-like virus 13] -APE61607.1 89K protein [Melon necrotic spot virus] -APG76145.1 hypothetical protein, partial [Beihai tombus-like virus 6] -AHA86929.2 ORF2 [Tombunodavirus UC1] -APA23091.1 RNA-dependant RNA polymerase, partial [Cowpea tombusvirid 1] -AGC04692.1 p89 [Melon necrotic spot virus] -AGO96548.1 RNA-dependent RNA polymerase [Thin paspalum asymptomatic virus] -AFN69365.1 P111 [Maize chlorotic mottle virus] -APG76214.1 hypothetical protein 1 [Beihai tombus-like virus 4] -AHZ59467.1 RNA-dependent RNA-polymerase [Pelargonium line pattern virus] -ACN59473.1 P82 [Soybean yellow mottle mosaic virus] -ABC67516.1 p89 [Melon necrotic spot virus] -AKP55350.1 p83 protein [Soybean yellow mottle mosaic virus] -CAH25508.1 polymerase associated protein GP1 [Carnation mottle virus] -YP_459959.1 p88 [Angelonia flower break virus] diff --git a/seq/clusters_info/cluster_100 b/seq/clusters_info/cluster_100 deleted file mode 100644 index b4db3b7..0000000 --- a/seq/clusters_info/cluster_100 +++ /dev/null @@ -1,40 +0,0 @@ -YP_010087208.1 P4 [Pumpkin polerovirus] -YP_010084336.1 putative movement protein [Pepper vein yellows virus 2] -YP_010086859.1 movement protein [Faba bean polerovirus 1] -YP_009198214.1 movement protein [Phasey bean mild yellows virus] -YP_004869994.1 movement protein [Brassica yellows virus] -YP_009351869.1 P4 [African eggplant yellowing virus] -YP_009506762.1 17K protein [Sweet potato leaf speckling virus] -YP_009455745.1 P4 [Pepper vein yellows virus 5] -YP_009352891.1 ORF4 [Tobacco virus 2] -YP_009352257.1 movement protein [Cowpea polerovirus 2] -YP_009352250.1 movement protein [Cowpea polerovirus 1] -YP_009315900.1 movement protein [White clover mottle virus] -YP_009254743.1 P4 [Pepo aphid-borne yellows virus] -YP_009249571.1 P4 [Maize yellow dwarf virus-RMV2] -YP_009246455.1 P4 [Barley virus G] -YP_009238505.1 putative movement protein P4 [Ixeridium yellow mottle virus 1] -YP_009111318.1 P4 [Sauropus yellowing virus] -YP_009100307.1 P4 [Strawberry polerovirus 1] -YP_008083743.1 P4 [Maize yellow dwarf virus RMV] -YP_006666510.1 P4 [Suakwa aphid-borne yellows virus] -YP_004207920.1 putative P4 protein [Pepper vein yellows virus] -YP_003915152.1 P4 protein [Cotton leafroll dwarf virus] -YP_003029842.1 cell-to-cell movement protein [Wheat yellow dwarf virus-GPV] -YP_001949740.1 movement protein P4 [Rose spring dwarf-associated virus] -YP_001949874.1 movement protein [Melon aphid-borne yellows virus] -YP_001931934.1 putative MP protein [Tobacco vein distorting virus] -YP_667842.1 movement protein [Chickpea chlorotic stunt virus] -NP_840100.1 putative movement protein [Beet western yellows virus] -NP_840024.1 putative movement protein P4 [Cereal yellow dwarf virus RPV] -NP_620489.1 genome-linked protein [Turnip yellows virus] -NP_620105.1 hypothetical protein P5 [Cucurbit aphid-borne yellows virus] -NP_612217.1 hypothetical protein P5 [Beet mild yellowing virus] -NP_563613.1 movement protein P4 [Bean leafroll virus] -NP_150433.1 movement protein [Soybean dwarf virus] -NP_114363.1 putative protein P4 [Beet chlorosis virus] -NP_054689.1 putative movement protein P4 [Cereal yellow dwarf virus RPS] -sp|P11625.1|MVP_PLRVW RecName: Full=Movement protein; Short=MP; AltName: Full=17 kDa protein -sp|P10471.1|MVP_PLRV RecName: Full=Movement protein; Short=MP; AltName: Full=17 kDa protein; AltName: Full=MP17 -sp|P09512.1|MVP_BWYVG RecName: Full=Movement protein; Short=MP; AltName: Full=20 kDa protein -sp|P19127.1|MVP_BLRV RecName: Full=Movement protein; Short=MP; AltName: Full=16 kDa protein diff --git a/seq/clusters_info/cluster_1000 b/seq/clusters_info/cluster_1000 deleted file mode 100644 index 34b1d85..0000000 --- a/seq/clusters_info/cluster_1000 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009480672.1 ORF2 [Bat Middle East Hepe-Astrovirus] -YP_009408590.1 structural polyprotein [Bastrovirus/VietNam/Bat/16715_78] -YP_009333314.1 structural polyprotein [Bastrovirus/VietNam/Rat/16715_10] -YP_009333168.1 structural polyprotein [Bastrovirus/VietNam/Porcine/17489_85] diff --git a/seq/clusters_info/cluster_1001 b/seq/clusters_info/cluster_1001 deleted file mode 100644 index 1c58ccc..0000000 --- a/seq/clusters_info/cluster_1001 +++ /dev/null @@ -1,4 +0,0 @@ -NP_068344.2 p8-FS [Panicum mosaic virus] -YP_009333136.1 putative movement protein 2 [Bermuda grass latent virus] -YP_008219060.1 putative movement protein 2 [Thin paspalum asymptomatic virus] -sp|P89035.2|MP6_PMVK RecName: Full=Putative movement protein p6.6 diff --git a/seq/clusters_info/cluster_1002 b/seq/clusters_info/cluster_1002 deleted file mode 100644 index 7897d86..0000000 --- a/seq/clusters_info/cluster_1002 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009345135.1 putative capsid protein [Wuhan cricket virus 2] -YP_009342319.1 putative capsid protein [Wuhan Millipede virus 4] -YP_009337888.1 Capsid [Hubei tetragnatha maxillosa virus 8] -YP_009329874.1 hypothetical protein [Hubei partiti-like virus 11] diff --git a/seq/clusters_info/cluster_1003 b/seq/clusters_info/cluster_1003 deleted file mode 100644 index 35812ee..0000000 --- a/seq/clusters_info/cluster_1003 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009345112.1 hypothetical protein 1 [Wuhan insect virus 35] -YP_009337208.1 hypothetical protein 1 [Sanxia tombus-like virus 8] -YP_009336817.1 hypothetical protein 1 [Hubei diptera virus 15] -YP_009333256.1 hypothetical protein 1 [Hubei tombus-like virus 30] diff --git a/seq/clusters_info/cluster_1004 b/seq/clusters_info/cluster_1004 deleted file mode 100644 index b07d7ad..0000000 --- a/seq/clusters_info/cluster_1004 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009345042.1 hypothetical protein [Xinzhou nematode virus 1] -YP_009345039.1 hypothetical protein [Xingshan nematode virus 2] -YP_009333209.1 hypothetical protein [Shayang virga-like virus 1] -YP_009333311.1 hypothetical protein [Xingshan nematode virus 1] diff --git a/seq/clusters_info/cluster_1005 b/seq/clusters_info/cluster_1005 deleted file mode 100644 index afff2a9..0000000 --- a/seq/clusters_info/cluster_1005 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009342449.1 putative capsid protein [Wuhan house centipede virus 5] -YP_009342318.1 hypothetical protein [Wuhan arthropod virus 4] -YP_009342304.1 hypothetical protein [Wuchan romanomermis nematode virus 3] -YP_009337878.1 hypothetical protein 1 [Hubei mosquito virus 2] diff --git a/seq/clusters_info/cluster_1006 b/seq/clusters_info/cluster_1006 deleted file mode 100644 index f10c639..0000000 --- a/seq/clusters_info/cluster_1006 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337792.1 RNA-dependent RNA polymerase [Hubei narna-like virus 19] -YP_009330065.1 RNA-dependent RNA polymerase [Hubei narna-like virus 18] -APG77159.1 RNA-dependent RNA polymerase, partial [Hubei narna-like virus 20] -APG76998.1 RNA-dependent RNA polymerase, partial [Beihai narna-like virus 25] diff --git a/seq/clusters_info/cluster_1007 b/seq/clusters_info/cluster_1007 deleted file mode 100644 index df7b1dc..0000000 --- a/seq/clusters_info/cluster_1007 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009342335.1 hypothetical protein [Wuhan heteroptera virus 1] -YP_009342334.1 hypothetical protein [Wuhan heteroptera virus 1] -YP_009337717.1 hypothetical protein [Hubei virga-like virus 17] -YP_009336681.1 hypothetical protein [Hubei virga-like virus 16] diff --git a/seq/clusters_info/cluster_1008 b/seq/clusters_info/cluster_1008 deleted file mode 100644 index 61c92c9..0000000 --- a/seq/clusters_info/cluster_1008 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337640.1 hypothetical protein [Wenzhou hepe-like virus 1] -YP_009333300.1 hypothetical protein [Beihai hepe-like virus 9] -YP_009333260.1 putative structural protein [Beihai hepe-like virus 8] -YP_009333248.1 putative structural protein [Beihai hepe-like virus 10] diff --git a/seq/clusters_info/cluster_1009 b/seq/clusters_info/cluster_1009 deleted file mode 100644 index 338d596..0000000 --- a/seq/clusters_info/cluster_1009 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337252.1 replicase [Wenling hepe-like virus 3] -YP_009337201.1 RdRp [Wenling crustacean virus 1] -YP_009337358.1 replicase [Wenling hepe-like virus 2] -YP_009333236.1 replicase [Beihai mantis shrimp virus 2] diff --git a/seq/clusters_info/cluster_101 b/seq/clusters_info/cluster_101 deleted file mode 100644 index 73b26b2..0000000 --- a/seq/clusters_info/cluster_101 +++ /dev/null @@ -1,40 +0,0 @@ -YP_009551541.1 NSP4 [Bat rotavirus] -YP_002302223.1 NSP4 [Rotavirus A] -sp|B3SRY0.1|NSP4_ROTWI RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|B3SRV6.1|NSP4_ROTHP RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|B3SRQ8.1|NSP4_ROTH6 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9PYD2.1|NSP4_ROTEH RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9PYD1.1|NSP4_ROTE2 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9PYD0.1|NSP4_ROTE1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q91E93.1|NSP4_ROTHL RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q8JNB2.1|NSP4_ROTW3 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P89063.1|NSP4_ROTF6 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P89061.1|NSP4_ROTP5 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|O56850.1|NSP4_ROTH3 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|O12674.1|NSP4_ROTHV RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q82034.1|NSP4_ROTHM RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q82033.1|NSP4_ROTH4 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q82030.1|NSP4_ROTH1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q82028.1|NSP4_ROTH5 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P30031.1|NSP4_ROTH7 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P03535.1|NSP4_ROTHW RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P04513.2|NSP4_ROTBU RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|B3SRT2.1|NSP4_ROTHD RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q3ZK64.1|NSP4_ROT41 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9WAI8.1|NSP4_ROTYO RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9WAI7.1|NSP4_ROTHO RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9QNA6.1|NSP4_ROTHK RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9PYC8.1|NSP4_ROTBB RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q9PYC7.1|NSP4_ROTCU RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q8V790.1|NSP4_ROTA1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q6QT02.1|NSP4_ROT18 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q06381.1|NSP4_ROTPY RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P89059.1|NSP4_ROTKU RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|O92374.1|NSP4_ROTAM RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|O11982.1|NSP4_ROTME RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q82035.1|NSP4_ROTHT RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q7TDX0.1|NSP4_ROTMB RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q77Q91.1|NSP4_ROTRA RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|Q6YLV5.1|NSP4_ROTRF RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P30030.1|NSP4_ROTH2 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -sp|P04512.1|NSP4_ROTS1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 diff --git a/seq/clusters_info/cluster_1010 b/seq/clusters_info/cluster_1010 deleted file mode 100644 index 5615c45..0000000 --- a/seq/clusters_info/cluster_1010 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337912.1 putative capsid protein [Hubei diptera virus 13] -YP_009337909.1 hypothetical protein [Hubei diptera virus 12] -YP_009337743.1 hypothetical protein [Hubei permutotetra-like virus 5] -YP_003038596.1 structural protein precursor [Drosophila A virus] diff --git a/seq/clusters_info/cluster_1011 b/seq/clusters_info/cluster_1011 deleted file mode 100644 index aa11030..0000000 --- a/seq/clusters_info/cluster_1011 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337884.1 capsid protein [Hubei orthoptera virus 4] -YP_009337882.1 capsid protein [Hubei noda-like virus 8] -YP_009337880.1 capsid protein [Hubei noda-like virus 9] -YP_009337319.1 putative capsid protein [Hubei permutotetra-like virus 6] diff --git a/seq/clusters_info/cluster_1012 b/seq/clusters_info/cluster_1012 deleted file mode 100644 index 819c196..0000000 --- a/seq/clusters_info/cluster_1012 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337704.1 putative capsid protein [Hubei permutotetra-like virus 8] -YP_009337649.1 hypothetical protein 3 [Sanxia tombus-like virus 2] -YP_009337622.1 hypothetical protein 1 [Sanxia tombus-like virus 1] -NP_619753.1 coat protein (p48) [Oat chlorotic stunt virus] diff --git a/seq/clusters_info/cluster_1013 b/seq/clusters_info/cluster_1013 deleted file mode 100644 index a15c88c..0000000 --- a/seq/clusters_info/cluster_1013 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337398.1 hypothetical protein 3 [Sanxia tombus-like virus 9] -YP_009333379.1 capsid protein [Beihai mantis shrimp virus 6] -YP_009333369.1 capsid protein [Beihai barnacle virus 11] -YP_009329891.1 capsid protein [Beihai sphaeromadae virus 3] diff --git a/seq/clusters_info/cluster_1014 b/seq/clusters_info/cluster_1014 deleted file mode 100644 index 7b7e153..0000000 --- a/seq/clusters_info/cluster_1014 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337351.1 hypothetical protein [Hubei picorna-like virus 65] -YP_009336787.1 hypothetical protein [Wenzhou picorna-like virus 46] -YP_009333460.1 hypothetical protein [Beihai picorna-like virus 110] -APG78612.1 hypothetical protein, partial [Beihai picorna-like virus 109] diff --git a/seq/clusters_info/cluster_1015 b/seq/clusters_info/cluster_1015 deleted file mode 100644 index edf4b90..0000000 --- a/seq/clusters_info/cluster_1015 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337270.1 hypothetical protein 2 [Hubei picorna-like virus 55] -YP_009336631.1 hypothetical protein 2 [Changjiang crawfish virus 6] -YP_009336544.1 hypothetical protein 2 [Hubei picorna-like virus 56] -NP_620558.1 protein P2, partial [Acyrthosiphon pisum virus] diff --git a/seq/clusters_info/cluster_1016 b/seq/clusters_info/cluster_1016 deleted file mode 100644 index 1934b0b..0000000 --- a/seq/clusters_info/cluster_1016 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009333137.1 coat protein [Bermuda grass latent virus] -YP_008219061.1 coat protein [Thin paspalum asymptomatic virus] -YP_002117838.1 p26 [Cocksfoot mild mosaic virus] -NP_068346.1 26 kDa capsid protein [Panicum mosaic virus] diff --git a/seq/clusters_info/cluster_1017 b/seq/clusters_info/cluster_1017 deleted file mode 100644 index 8f7198e..0000000 --- a/seq/clusters_info/cluster_1017 +++ /dev/null @@ -1,4 +0,0 @@ -YP_008318041.1 putative movement protein [Tomato blistering mosaic virus] -YP_007517179.1 putative movement protein [Andean potato latent virus] -YP_406374.1 overlapping protein p62 [Dulcamara mottle virus] -NP_067736.1 overlapping protein/movement protein [Chayote mosaic virus] diff --git a/seq/clusters_info/cluster_1018 b/seq/clusters_info/cluster_1018 deleted file mode 100644 index 988d6fe..0000000 --- a/seq/clusters_info/cluster_1018 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009246411.1 P6 [Potato necrosis virus] -YP_007517177.1 movement protein [Furcraea necrotic streak virus] -YP_224019.1 p6 [Olive mild mosaic virus] -NP_056827.1 hypothetical protein TNVAgp3 [Tobacco necrosis virus A] diff --git a/seq/clusters_info/cluster_1019 b/seq/clusters_info/cluster_1019 deleted file mode 100644 index 81f6ec8..0000000 --- a/seq/clusters_info/cluster_1019 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009208146.1 A1-protein [Escherichia virus FI] -NP_695026.1 unnamed protein product [Enterobacteria phage SP] -NP_046750.1 minor coat protein [Escherichia virus Qbeta] -sp|Q8LTE1.2|A1_BPQBE RecName: Full=Minor capsid protein A1; AltName: Full=A1 read-through protein diff --git a/seq/clusters_info/cluster_102 b/seq/clusters_info/cluster_102 deleted file mode 100644 index 0c7f54c..0000000 --- a/seq/clusters_info/cluster_102 +++ /dev/null @@ -1,40 +0,0 @@ -YP_009553503.1 replicase [Actinidia seed borne latent virus] -YP_009553496.1 polymerase [Ribes americanum virus A] -YP_009553026.1 replicase polyprotein [Salvia divinorum RNA virus 1] -YP_009552711.1 RdRp protein [Lettuce chordovirus 1] -YP_009551984.1 polyprotein [Mume virus A] -YP_009666021.1 replicase domain, partial [Phlomis mottle virus] -YP_009408144.1 RNA-dependent RNA polymerase [Ocimum basilicum RNA virus 1] -YP_004732978.2 RNA dependent RNA polymerase [Grapevine Pinot gris virus] -YP_009505632.1 replicase [Caucasus prunus virus] -YP_009357235.1 replication-associated polyprotein [Watermelon virus A] -YP_009268859.1 putative 239.6 kDa polyprotein [Yacon virus A] -YP_009229912.1 polyprotein [Currant virus A] -YP_009103999.1 ORF1 [Carrot Ch virus 1] -YP_009103996.1 ORF1 [Carrot Ch virus 2] -YP_008997790.1 replication-associated polyprotein [Apricot vein clearing associated virus] -YP_006905848.1 replicase [Diuris virus B] -YP_006905850.1 replicase [Diuris virus A] -YP_004376201.1 RNA-dependent RNA polymerase [Hardenbergia virus A] -YP_004293216.1 RNA polymerase [Grapevine berry inner necrosis virus] -YP_002308565.1 putative polyprotein [Peach mosaic virus] -YP_224130.1 216 kDa protein [Apricot pseudo-chlorotic leaf spot virus] -NP_783203.1 RNA-dependent RNA polymerase [Oyster mushroom spherical virus] -NP_624333.1 replicase polyprotein [Citrus leaf blotch virus] -NP_620106.1 RNA replicase/coat protein [Cherry virus A] -NP_062428.1 replicase [Cherry mottle leaf virus] -NP_044335.1 241k polyprotein [Apple stem grooving virus] -NP_040551.1 replicase [Apple chlorotic leaf spot virus] -sp|Q6PLS1.1|POLG_ASGVK RecName: Full=Genome polyprotein; AltName: Full=241 kDa polyprotein; AltName: Full=ORF1 polyprotein; Contains: RecName: Full=Putative RNA-directed RNA polymerase/helicase; Contains: RecName: Full=Coat protein -sp|P54891.1|RDRP_ACLSA RecName: Full=RNA-directed RNA polymerase; AltName: Full=216.5 kDa protein; AltName: Full=ORF1 protein; AltName: Full=RNA replicase -APT42870.1 polyprotein [Apple stem grooving virus] -ALI88677.1 RNA-dependent RNA polymerase [Grapevine Pinot gris virus] -AFA43536.1 replicase polyprotein [Citrus leaf blotch virus] -CCK73640.1 hypothetical protein [Apple chlorotic leaf spot virus] -AOY07780.1 replicase [Cherry mottle leaf virus] -ANE06568.1 polyprotein [Cherry virus A] -AQQ73540.1 replicase [Apricot vein clearing associated virus] -ANA05793.1 replicase [Grapevine Pinot gris virus] -AEN25485.1 polyprotein, partial [Scaevola virus A] -CAY32622.1 replicase, partial [Fig latent virus 1] -CAA68080.1 216K protein [Apple chlorotic leaf spot virus] diff --git a/seq/clusters_info/cluster_1020 b/seq/clusters_info/cluster_1020 deleted file mode 100644 index 43f35fb..0000000 --- a/seq/clusters_info/cluster_1020 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009182153.1 RNA dependent RNA polymerase [Botrytis cinerea negative-stranded RNA virus 1] -AQS16635.1 RNA-dependent RNA polymerase [Camula virus] -APG79283.1 RNA-dependent RNA polymerase [Beihai sesarmid crab virus 5] -ALD89106.2 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina negative-stranded RNA virus 1] diff --git a/seq/clusters_info/cluster_1021 b/seq/clusters_info/cluster_1021 deleted file mode 100644 index 54e3fbe..0000000 --- a/seq/clusters_info/cluster_1021 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009179396.1 hypothetical protein [Wuhan flea virus] -YP_009179392.1 hypothetical protein [Shuangao insect virus 7] -YP_009179384.1 hypothetical protein [Wuhan aphid virus 2] -YP_009179380.1 hypothetical protein [Wuhan aphid virus 1] diff --git a/seq/clusters_info/cluster_1022 b/seq/clusters_info/cluster_1022 deleted file mode 100644 index f0a619c..0000000 --- a/seq/clusters_info/cluster_1022 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009177216.1 hypothetical protein [Colletotrichum higginsianum non-segmented dsRNA virus 1] -YP_009154710.1 protein of unknown function [Beauveria bassiana RNA virus 1] -YP_009154708.1 hypothetical protein [Ustilaginoidea virens unassigned RNA virus HNND-1] -YP_009052468.1 hypothetical protein [Alternaria longipes dsRNA virus 1] diff --git a/seq/clusters_info/cluster_1023 b/seq/clusters_info/cluster_1023 deleted file mode 100644 index 1cd7139..0000000 --- a/seq/clusters_info/cluster_1023 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009177204.1 polyprotein [Posavirus 3] -ANA09100.1 polyprotein [Posavirus 3] -APQ44560.1 polyprotein [Posavirus sp.] -APQ44553.1 polyprotein [Posavirus sp.] diff --git a/seq/clusters_info/cluster_1024 b/seq/clusters_info/cluster_1024 deleted file mode 100644 index f8e4c94..0000000 --- a/seq/clusters_info/cluster_1024 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009165994.1 hypothetical protein APL33_sRA22gp1 [Tomato necrotic dwarf virus] -YP_003097230.1 hypothetical protein ToChV_s2_gp1 [Tomato chocolate spot virus] -YP_001976148.1 hypothetical protein ToMarV_RNA2gp1 [Tomato marchitez virus] -YP_001040017.1 Orf1 [Tomato torrado virus] diff --git a/seq/clusters_info/cluster_1025 b/seq/clusters_info/cluster_1025 deleted file mode 100644 index 8c08d8f..0000000 --- a/seq/clusters_info/cluster_1025 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009130671.1 NSP3 [Rotavirus I] -YP_008136237.1 NSP3 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126851.1 nonstructural protein [Human rotavirus B] -sp|Q5K037.1|NSP3_ROTGA RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 diff --git a/seq/clusters_info/cluster_1026 b/seq/clusters_info/cluster_1026 deleted file mode 100644 index bd5d649..0000000 --- a/seq/clusters_info/cluster_1026 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009100302.1 P0 [Strawberry polerovirus 1] -YP_003029837.1 P0 protein [Wheat yellow dwarf virus-GPV] -NP_840020.1 P0 protein [Cereal yellow dwarf virus RPV] -NP_054684.1 P0 protein [Cereal yellow dwarf virus RPS] diff --git a/seq/clusters_info/cluster_1027 b/seq/clusters_info/cluster_1027 deleted file mode 100644 index 54c2e1c..0000000 --- a/seq/clusters_info/cluster_1027 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009094433.1 gamma protein [Malakal virus] -YP_009094402.1 gamma protein [Kimberley virus] -YP_009094137.1 gamma protein [Berrimah virus] -NP_065408.1 gamma protein [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_1028 b/seq/clusters_info/cluster_1028 deleted file mode 100644 index 40be70a..0000000 --- a/seq/clusters_info/cluster_1028 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009094430.1 alpha1 protein [Malakal virus] -YP_009094400.1 alpha1 protein [Kimberley virus] -YP_009094134.1 alpha 1 protein [Berrimah virus] -NP_065404.1 alpha 1 protein [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_1029 b/seq/clusters_info/cluster_1029 deleted file mode 100644 index 3689733..0000000 --- a/seq/clusters_info/cluster_1029 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009094426.1 polymerase-associated protein [Malakal virus] -YP_009094396.1 polymerase-associated protein [Kimberley virus] -YP_009094130.1 polymerase-associated protein P [Berrimah virus] -NP_065399.1 polymerase-associated protein P [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_103 b/seq/clusters_info/cluster_103 deleted file mode 100644 index 0c86823..0000000 --- a/seq/clusters_info/cluster_103 +++ /dev/null @@ -1,40 +0,0 @@ -YP_009666045.1 unnamed protein product, partial [Kirsten murine sarcoma virus] -YP_009513212.1 envelope protein [Koala retrovirus] -YP_009243644.1 envelope protein [Mus musculus mobilized endogenous polytropic provirus] -YP_004680224.1 putative envelope polyprotein [PreXMRV-1] -YP_001165472.1 hypothetical protein WMSV_gp4, partial [Woolly monkey sarcoma virus] -NP_598373.1 envelope protein fragment [Moloney murine sarcoma virus] -NP_598370.1 env p15E protein fragment [Abelson murine leukemia virus] -NP_047256.1 Env polyprotein [Feline leukemia virus] -NP_043519.1 hypothetical protein [Murine type C retrovirus] -NP_057935.1 gPr80 [Moloney murine leukemia virus] -NP_041218.1 precursor protein PR-env [Spleen focus-forming virus] -NP_040334.1 envelope protein [Friend murine leukemia virus] -sp|P26803.1|ENV_MLVFP RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P03390.3|ENV_MLVF5 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P21444.2|ENV_FLVC1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Flags: Precursor -sp|Q02077.1|ENV_FLVCS RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Flags: Precursor -sp|Q02076.1|ENV_FLVCA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E -sp|P03395.2|ENV_MLVFR RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70 -sp|P40932.1|ENV_MPLV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Flags: Precursor -sp|Q27ID8.1|ENV_XMRV6 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; Contains: RecName: Full=Transmembrane protein; Short=TM; Contains: RecName: Full=R-peptide; Flags: Precursor -sp|P21415.2|ENV_GALV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P21445.2|ENV_FSVSM RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P31794.1|ENV_MLVRK RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 76; Short=gp76; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P31793.1|ENV_FRSFB RecName: Full=Glycoprotein 42; Short=gp42; Flags: Precursor -sp|P31791.1|ENV_FENV1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P03389.1|ENV_RSFFV RecName: Full=Glycoprotein 55; Short=gp55; Flags: Precursor -sp|P06445.1|ENV_RMCFV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P21436.1|ENV_MLVHO RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 76; Short=gp76; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P08360.1|ENV_MLVCB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P03386.1|ENV_MLVAV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P03388.1|ENV_MCFF3 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P15073.1|ENV_MCFF RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P03391.1|ENV_FSVGA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P06752.1|ENV_FLVSA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P11261.1|ENV_FLVLB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P08359.1|ENV_FLVGL RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P21443.1|ENV_FLVC6 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P03394.1|ENV_FRSFL RecName: Full=Glycoprotein 55; Short=gp55; Flags: Precursor -sp|P03387.1|ENV_MSVFB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -sp|P04502.1|ENV_MLVKI RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor diff --git a/seq/clusters_info/cluster_1030 b/seq/clusters_info/cluster_1030 deleted file mode 100644 index 7666dab..0000000 --- a/seq/clusters_info/cluster_1030 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009094265.1 matrix protein [Grass carp virus] -YP_009094204.1 matrix protein [Tench rhabdovirus] -YP_009094123.1 matrix protein [Pike fry sprivivirus] -NP_116746.1 matrix protein [Carp sprivivirus] diff --git a/seq/clusters_info/cluster_1031 b/seq/clusters_info/cluster_1031 deleted file mode 100644 index 13d1816..0000000 --- a/seq/clusters_info/cluster_1031 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009094083.1 nonstructural protein C [Cedar virus] -YP_009091835.1 hypothetical protein [Ghana virus] -NP_112024.1 C protein [Nipah henipavirus] -NP_047109.1 nonstructural protein C [Hendra henipavirus] diff --git a/seq/clusters_info/cluster_1032 b/seq/clusters_info/cluster_1032 deleted file mode 100644 index 4bf7991..0000000 --- a/seq/clusters_info/cluster_1032 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009058935.1 CP [Rose leaf rosette-associated virus] -YP_874191.1 CP [Raspberry leaf mottle virus] -YP_762628.1 CP [Strawberry chlorotic fleck-associated virus] -NP_042867.1 25-kDa coat protein [Citrus tristeza virus] diff --git a/seq/clusters_info/cluster_1033 b/seq/clusters_info/cluster_1033 deleted file mode 100644 index b447413..0000000 --- a/seq/clusters_info/cluster_1033 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009047191.1 VP3 [Drosophila immigrans Nora virus] -YP_009047187.1 VP3 [Drosophila subobscura Nora virus] -YP_004849309.1 ORF3 [Nora virus] -sp|Q27YG8.1|YORF3_NORAV RecName: Full=Uncharacterized ORF3 protein diff --git a/seq/clusters_info/cluster_1034 b/seq/clusters_info/cluster_1034 deleted file mode 100644 index f194680..0000000 --- a/seq/clusters_info/cluster_1034 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009046482.1 TGB-3 [Cherry twisted leaf associated virus] -YP_007761585.1 triple gene block protein 3 [Cherry rusty mottle associated virus] -YP_002776350.1 TGB3 [African oil palm ringspot virus] -NP_059941.1 7 kDa triple gene block protein [Cherry necrotic rusty mottle virus] diff --git a/seq/clusters_info/cluster_1035 b/seq/clusters_info/cluster_1035 deleted file mode 100644 index a4df988..0000000 --- a/seq/clusters_info/cluster_1035 +++ /dev/null @@ -1,4 +0,0 @@ -YP_008873689.2 Px [Soybean yellow common mosaic virus] -YP_008873691.2 Px [Southern bean mosaic virus] -YP_008873690.2 Px [Sesbania mosaic virus] -YP_008873126.2 Px [Southern cowpea mosaic virus] diff --git a/seq/clusters_info/cluster_1036 b/seq/clusters_info/cluster_1036 deleted file mode 100644 index 2b60d0c..0000000 --- a/seq/clusters_info/cluster_1036 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001285471.1 putative movement protein [Okra mosaic virus] -NP_663296.1 overlapping protein/movement protein [Turnip yellow mosaic virus] -sp|P28478.1|P69_TYMVC RecName: Full=69 kDa protein; AltName: Full=p69 -sp|P20131.1|P69_TYMVA RecName: Full=69 kDa protein; AltName: Full=p69 diff --git a/seq/clusters_info/cluster_1037 b/seq/clusters_info/cluster_1037 deleted file mode 100644 index 68f9b7f..0000000 --- a/seq/clusters_info/cluster_1037 +++ /dev/null @@ -1,4 +0,0 @@ -YP_008136235.1 NSP1-2 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126849.1 nonstructural protein 1-2 [Human rotavirus B] -sp|Q86517.1|NSP1B_ROTGI RecName: Full=Non-structural protein 1, peptide 2; Short=NSP1 peptide 2; AltName: Full=NSP1-2 -sp|Q86199.1|NSP1B_ROTGA RecName: Full=Non-structural protein 1, peptide 2; Short=NSP1 peptide 2; AltName: Full=NSP1-2 diff --git a/seq/clusters_info/cluster_1038 b/seq/clusters_info/cluster_1038 deleted file mode 100644 index 4823b66..0000000 --- a/seq/clusters_info/cluster_1038 +++ /dev/null @@ -1,4 +0,0 @@ -YP_008136234.1 NSP1-1 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126848.1 nonstructural protein 1-1 [Human rotavirus B] -sp|Q86198.1|NSP1A_ROTGA RecName: Full=Non-structural protein 1, peptide 1; Short=NSP1 peptide 1; AltName: Full=NSP1-1 -sp|Q86516.1|NSP1A_ROTGI RecName: Full=Non-structural protein 1, peptide 1; Short=NSP1 peptide 1; AltName: Full=NSP1-1 diff --git a/seq/clusters_info/cluster_1039 b/seq/clusters_info/cluster_1039 deleted file mode 100644 index 8fa2024..0000000 --- a/seq/clusters_info/cluster_1039 +++ /dev/null @@ -1,4 +0,0 @@ -YP_007032440.1 6K1 [Cassava brown streak virus] -YP_004063977.1 6K1 [Ugandan cassava brown streak virus] -YP_001788995.1 6K1 [Squash vein yellowing virus] -YP_308880.1 6K1 protein [Cucumber vein yellowing virus] diff --git a/seq/clusters_info/cluster_104 b/seq/clusters_info/cluster_104 deleted file mode 100644 index fcd7c29..0000000 --- a/seq/clusters_info/cluster_104 +++ /dev/null @@ -1,40 +0,0 @@ -NP_057850.1 Pr55(Gag) [Human immunodeficiency virus 1] -sp|Q1A268.3|GAG_SIVMB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Contains: RecName: Full=p6-gag -sp|Q1A250.3|GAG_SIVEK RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|Q9QBZ6.2|GAG_HV1MP RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9QBZ2.2|GAG_HV1M2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P0C1K7.2|GAG_HV19N RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9QC00.2|GAG_HV197 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9QBY4.2|GAG_HV196 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9WC62.3|GAG_HV1S9 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9WC53.3|GAG_HV1S2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9QSR4.3|GAG_HV1VI RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9Q721.3|GAG_HV1V9 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q9IDV8.3|GAG_HV1YB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q8AII2.3|GAG_SIVTN RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|Q79665.3|GAG_HV1MV RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q77372.3|GAG_HV1AN RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q75001.3|GAG_HV1ET RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q73367.3|GAG_HV1B9 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|O93182.3|GAG_HV190 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|O91079.3|GAG_HV1YF RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|O89939.3|GAG_HV1SE RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|O89291.3|GAG_HV193 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|O12157.3|GAG_HV192 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P35962.2|GAG_HV1Y2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P05888.3|GAG_HV1MN RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P17282.1|GAG_SIVCZ RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P05889.3|GAG_HV1W2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC -sp|P24736.3|GAG_HV1U4 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P05890.3|GAG_HV1RH RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P20889.3|GAG_HV1OY RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P12493.3|GAG_HV1N5 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P04594.3|GAG_HV1MA RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P18800.3|GAG_HV1ND RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P12494.3|GAG_HV1J3 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P04592.3|GAG_HV1EL RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P20873.3|GAG_HV1JR RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P05887.3|GAG_HV1C4 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P03348.3|GAG_HV1BR RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P03349.3|GAG_HV1A2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P12495.3|GAG_HV1Z2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag diff --git a/seq/clusters_info/cluster_1040 b/seq/clusters_info/cluster_1040 deleted file mode 100644 index 1586cf3..0000000 --- a/seq/clusters_info/cluster_1040 +++ /dev/null @@ -1,4 +0,0 @@ -APG77280.1 hypothetical protein [Wenzhou levi-like virus 1] -APG77115.1 hypothetical protein [Changjiang levi-like virus 1] -APG77192.1 hypothetical protein [Sanxia levi-like virus 1] -APG77061.1 hypothetical protein [Beihai levi-like virus 1] diff --git a/seq/clusters_info/cluster_1041 b/seq/clusters_info/cluster_1041 deleted file mode 100644 index ef50402..0000000 --- a/seq/clusters_info/cluster_1041 +++ /dev/null @@ -1,4 +0,0 @@ -YP_006732331.1 insect transmission protein factor [Dahlia mosaic virus] -YP_001931964.1 aphid transmission factor [Eupatorium vein clearing virus] -NP_659394.1 hypothetical protein [Mirabilis mosaic virus] -NP_619545.1 unnamed protein product [Figwort mosaic virus] diff --git a/seq/clusters_info/cluster_1042 b/seq/clusters_info/cluster_1042 deleted file mode 100644 index 8b57e86..0000000 --- a/seq/clusters_info/cluster_1042 +++ /dev/null @@ -1,4 +0,0 @@ -YP_006424010.1 PIPO, partial [Wild potato mosaic virus] -YP_006423969.1 PIPO, partial [Brugmansia suaveolens mottle virus] -YP_006395326.1 PIPO, partial [Peru tomato mosaic virus] -YP_006395319.1 PIPO, partial [Potato virus V] diff --git a/seq/clusters_info/cluster_1043 b/seq/clusters_info/cluster_1043 deleted file mode 100644 index 179091d..0000000 --- a/seq/clusters_info/cluster_1043 +++ /dev/null @@ -1,4 +0,0 @@ -YP_006424007.1 PIPO, partial [Tomato necrotic stunt virus] -YP_006423943.1 PIPO, partial [Sunflower chlorotic mottle virus] -YP_006405421.1 PIPO, partial [Verbena virus Y] -YP_006401479.1 PIPO, partial [Pepper severe mosaic virus] diff --git a/seq/clusters_info/cluster_1044 b/seq/clusters_info/cluster_1044 deleted file mode 100644 index 89d0e1c..0000000 --- a/seq/clusters_info/cluster_1044 +++ /dev/null @@ -1,4 +0,0 @@ -YP_006395339.1 P1 protein [Pennisetum mosaic virus] -NP_734083.1 P1 protein [Sorghum mosaic virus] -NP_734133.1 P1 protein [Sugarcane mosaic virus] -NP_734143.1 P1 protein (protease) [Maize dwarf mosaic virus] diff --git a/seq/clusters_info/cluster_1045 b/seq/clusters_info/cluster_1045 deleted file mode 100644 index c4e7d61..0000000 --- a/seq/clusters_info/cluster_1045 +++ /dev/null @@ -1,4 +0,0 @@ -YP_006395338.1 PIPO, partial [Pennisetum mosaic virus] -YP_006395323.1 PIPO, partial [Sorghum mosaic virus] -YP_006393473.1 PIPO, partial [Sugarcane mosaic virus] -YP_006393471.1 PIPO, partial [Maize dwarf mosaic virus] diff --git a/seq/clusters_info/cluster_1046 b/seq/clusters_info/cluster_1046 deleted file mode 100644 index 97e4a71..0000000 --- a/seq/clusters_info/cluster_1046 +++ /dev/null @@ -1,4 +0,0 @@ -YP_005352653.1 3B [Canine picornavirus] -YP_004782567.1 3B [Bat picornavirus 2] -YP_004782553.1 3B [Bat picornavirus 1] -YP_001552440.1 3B [Rhinovirus C] diff --git a/seq/clusters_info/cluster_1047 b/seq/clusters_info/cluster_1047 deleted file mode 100644 index 35f0065..0000000 --- a/seq/clusters_info/cluster_1047 +++ /dev/null @@ -1,4 +0,0 @@ -YP_005097975.1 RNA dependent RNA polymerase [Rosellinia necatrix quadrivirus 1] -BAM93353.1 RNA dependent RNA polymerase [Rosellinia necatrix quadrivirus 1] -CAJ29959.1 RNA-dependent RNA polymerase 2 [Amasya cherry disease-associated mycovirus] -CAJ29958.1 putative RNA-dependent RNA polymerase 1 [Amasya cherry disease-associated mycovirus] diff --git a/seq/clusters_info/cluster_1048 b/seq/clusters_info/cluster_1048 deleted file mode 100644 index 01e7c59..0000000 --- a/seq/clusters_info/cluster_1048 +++ /dev/null @@ -1,4 +0,0 @@ -YP_004935376.1 unnamed protein product [Grapevine leafroll-associated virus 6] -YP_004935370.1 unnamed protein product [Grapevine leafroll-associated virus 4] -YP_004901688.1 P5 gene product [Grapevine leafroll-associated virus 5] -YP_002364304.1 small hydrophobic protein [Grapevine leafroll-associated virus 10] diff --git a/seq/clusters_info/cluster_1049 b/seq/clusters_info/cluster_1049 deleted file mode 100644 index 14efd04..0000000 --- a/seq/clusters_info/cluster_1049 +++ /dev/null @@ -1,4 +0,0 @@ -YP_003288760.1 protein B2 [Tiger puffer nervous necrosis virus] -YP_003288757.1 protein B2 [Barfin flounder nervous necrosis virus] -YP_611156.1 protein B [Redspotted grouper nervous necrosis virus] -NP_599248.1 protein B [Striped jack nervous necrosis virus] diff --git a/seq/clusters_info/cluster_105 b/seq/clusters_info/cluster_105 deleted file mode 100644 index 9920d5b..0000000 --- a/seq/clusters_info/cluster_105 +++ /dev/null @@ -1,40 +0,0 @@ -NP_057852.2 Vpr [Human immunodeficiency virus 1] -sp|Q9QSR1.1|VPR_HV1VI RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q9IDV6.1|VPR_HV1YB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q8AIH9.1|VPR_SIVTN RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q79668.1|VPR_HV1MV RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q73369.1|VPR_HV1B9 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|O12160.1|VPR_HV192 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P69725.1|VPR_HV1B1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P12520.2|VPR_HV1N5 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P17287.1|VPR_SIVCZ RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05954.1|VPR_HV1RH RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05956.1|VPR_HV1EL RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05952.1|VPR_HV1A2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q1A265.1|VPR_SIVMB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q1A247.1|VPR_SIVEK RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P0C1P4.1|VPR_HV1MP RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P0C1P5.1|VPR_HV1M2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P0C1P3.1|VPR_HV197 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P0C1P2.1|VPR_HV196 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q9WC65.1|VPR_HV1S9 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q9WC56.1|VPR_HV1S2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q9Q718.1|VPR_HV1V9 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q77375.1|VPR_HV1AN RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q75004.1|VPR_HV1ET RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|O91082.1|VPR_HV1YF RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|O89942.1|VPR_HV1SE RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|O70898.1|VPR_HV190 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|O70888.1|VPR_HV193 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|O41800.1|VPR_HV19N RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P19555.2|VPR_HV1S3 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P35967.1|VPR_HV1Y2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P22385.1|VPR_SIVGB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05951.1|VPR_HV1SC RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P20891.1|VPR_HV1OY RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05955.1|VPR_HV1MA RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05950.1|VPR_HV1MN RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P20883.1|VPR_HV1JR RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05928.1|VPR_HV1BR RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P12519.1|VPR_HV1Z2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05927.1|VPR_HV1B5 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R diff --git a/seq/clusters_info/cluster_1050 b/seq/clusters_info/cluster_1050 deleted file mode 100644 index 5908097..0000000 --- a/seq/clusters_info/cluster_1050 +++ /dev/null @@ -1,4 +0,0 @@ -YP_003199427.1 sigma-3 protein [Mammalian orthoreovirus 3] -sp|P03527.2|SIGM3_REOVD RecName: Full=Outer capsid protein sigma-3; Short=Sigma3 -sp|P30211.1|SIGM3_REOVJ RecName: Full=Outer capsid protein sigma-3; Short=Sigma3 -sp|P07939.1|SIGM3_REOVL RecName: Full=Outer capsid protein sigma-3; Short=Sigma3 diff --git a/seq/clusters_info/cluster_1051 b/seq/clusters_info/cluster_1051 deleted file mode 100644 index 0bcd116..0000000 --- a/seq/clusters_info/cluster_1051 +++ /dev/null @@ -1,4 +0,0 @@ -YP_003199426.1 sigma-NS protein [Mammalian orthoreovirus 3] -sp|P12002.2|SIGNS_REOVJ RecName: Full=Protein sigma-NS; Short=SigmaNS -sp|P03526.1|SIGNS_REOVD RecName: Full=Protein sigma-NS; Short=SigmaNS -sp|P07940.1|SIGNS_REOVL RecName: Full=Protein sigma-NS; Short=SigmaNS diff --git a/seq/clusters_info/cluster_1052 b/seq/clusters_info/cluster_1052 deleted file mode 100644 index e04508c..0000000 --- a/seq/clusters_info/cluster_1052 +++ /dev/null @@ -1,4 +0,0 @@ -YP_002956127.1 3B [Cosavirus D] -YP_002956116.1 3B [Human cosavirus B] -YP_002956105.1 3B [Cosavirus A] -YP_002956085.1 3B [Cosavirus E] diff --git a/seq/clusters_info/cluster_1053 b/seq/clusters_info/cluster_1053 deleted file mode 100644 index 18c9f33..0000000 --- a/seq/clusters_info/cluster_1053 +++ /dev/null @@ -1,4 +0,0 @@ -YP_002956126.1 3A [Cosavirus D] -YP_002956115.1 3A [Human cosavirus B] -YP_002956104.1 3A [Cosavirus A] -YP_002956084.1 3A [Cosavirus E] diff --git a/seq/clusters_info/cluster_1054 b/seq/clusters_info/cluster_1054 deleted file mode 100644 index 3d8ca21..0000000 --- a/seq/clusters_info/cluster_1054 +++ /dev/null @@ -1,4 +0,0 @@ -YP_002956124.1 2B [Cosavirus D] -YP_002956113.1 2B [Human cosavirus B] -YP_002956102.1 2B [Cosavirus A] -YP_002956082.1 2B [Cosavirus E] diff --git a/seq/clusters_info/cluster_1055 b/seq/clusters_info/cluster_1055 deleted file mode 100644 index 83c5f45..0000000 --- a/seq/clusters_info/cluster_1055 +++ /dev/null @@ -1,4 +0,0 @@ -YP_002790887.1 zinc-finger protein [Homalodisca vitripennis reovirus] -YP_001111374.1 Nonstructural protein [Rice gall dwarf virus] -NP_620531.1 zinc-finger [Rice dwarf virus] -sp|P22474.1|NSP4_RDVA RecName: Full=Non-structural protein 4; Short=Pns4 diff --git a/seq/clusters_info/cluster_1056 b/seq/clusters_info/cluster_1056 deleted file mode 100644 index 832f7c5..0000000 --- a/seq/clusters_info/cluster_1056 +++ /dev/null @@ -1,4 +0,0 @@ -YP_002790886.1 major core protein [Homalodisca vitripennis reovirus] -YP_001111368.1 core capsid protein [Rice gall dwarf virus] -NP_620543.1 major core protein [Rice dwarf virus] -sp|P22472.1|P3_RDVA RecName: Full=Outer capsid protein P3; AltName: Full=Core protein P3 diff --git a/seq/clusters_info/cluster_1057 b/seq/clusters_info/cluster_1057 deleted file mode 100644 index 3244986..0000000 --- a/seq/clusters_info/cluster_1057 +++ /dev/null @@ -1,4 +0,0 @@ -YP_002790885.1 RNA-binding protein [Homalodisca vitripennis reovirus] -YP_001111369.1 RGDV P2 [Rice gall dwarf virus] -NP_620545.1 RNA binding protein [Rice dwarf virus] -sp|O55519.1|P2_RDVO RecName: Full=Minor outer capsid protein P2 diff --git a/seq/clusters_info/cluster_1058 b/seq/clusters_info/cluster_1058 deleted file mode 100644 index 4e1e1ff..0000000 --- a/seq/clusters_info/cluster_1058 +++ /dev/null @@ -1,4 +0,0 @@ -YP_002364400.1 hypothetical protein RaCVMIC07_gp2 [Rabbit calicivirus Australia 1 MIC-07] -NP_068829.1 hypothetical protein EBHSVgp2 [European brown hare syndrome virus] -NP_062876.1 minor capsid protein [Rabbit hemorrhagic disease virus] -sp|Q89924.1|VP2_RHDVA RecName: Full=Protein VP2; AltName: Full=Minor capsid protein diff --git a/seq/clusters_info/cluster_1059 b/seq/clusters_info/cluster_1059 deleted file mode 100644 index 38db589..0000000 --- a/seq/clusters_info/cluster_1059 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001468093.1 6k2 [Wheat eqlid mosaic virus] -NP_940827.1 6K2 protein [Oat necrotic mottle virus] -NP_734258.1 6K2 protein [Brome streak mosaic virus] -NP_734270.1 6K2 protein [Wheat streak mosaic virus] diff --git a/seq/clusters_info/cluster_106 b/seq/clusters_info/cluster_106 deleted file mode 100644 index 07ac061..0000000 --- a/seq/clusters_info/cluster_106 +++ /dev/null @@ -1,39 +0,0 @@ -NP_740524.1 3C (protease) [rhinovirus B14] -YP_009020983.1 protease 3C [enterovirus F4] -YP_164830.1 3C [anativirus A1] -YP_009118289.1 3C [rabbit kobuvirus] -YP_009268639.1 3C [enterovirus A114] -YP_009508994.1 3C (P3-C) [rhinovirus B3] -YP_009508961.1 3C [Simian enterovirus SV4] -YP_009508939.1 3C protein [Coxsackievirus A2] -YP_009508983.1 3C [rhinovirus A1] -YP_009508972.1 protease 3C [Dromedary camel enterovirus 19CC] -YP_009508950.1 3C protein [enterovirus D68] -YP_009508949.1 3B protein [enterovirus D68] -YP_009483886.1 3C [Sichuan takin enterovirus] -YP_009483885.1 3B [Sichuan takin enterovirus] -YP_009249472.1 3C [Enterovirus SEV-gx] -YP_009020971.1 protease 3C [Enterovirus F] -YP_005352654.1 3C [Canine picornavirus] -YP_004934029.1 unnamed protein product [Feline picornavirus] -YP_004782568.1 3C [Bat picornavirus 2] -YP_004782554.1 3C [Bat picornavirus 1] -YP_004782540.1 3C [Bat picornavirus 3] -YP_004564618.1 3C [Pigeon picornavirus B] -YP_003359175.1 3C [Enterovirus J] -YP_003359174.1 3B (VPg) [Enterovirus J] -YP_001718585.1 3C [Enterovirus J] -YP_001718584.1 3B (VPg) [Enterovirus J] -YP_001552441.1 3C [Rhinovirus C] -NP_740476.2 Picornain 3C [Enterovirus C] -NP_937978.1 3C [Simian sapelovirus 1] -NP_740421.1 proteinase 3C [Enterovirus D] -NP_740745.1 VPg 3B [Enterovirus D] -NP_740546.1 3C [Enterovirus B] -NP_740545.1 3B(VPg) [Enterovirus B] -NP_740535.1 3C [Enterovirus A] -NP_740488.1 3C proteinase [Porcine sapelovirus 1] -NP_740400.1 protease [Rhinovirus A] -NP_740454.1 Protease(3C) [Enterovirus E] -NP_714938.1 putative 3C [Enterovirus H] -sp|P08490.1|POLG_ECHO9 RecName: Full=Genome polyprotein; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C diff --git a/seq/clusters_info/cluster_1060 b/seq/clusters_info/cluster_1060 deleted file mode 100644 index 1f9d996..0000000 --- a/seq/clusters_info/cluster_1060 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001468091.1 6k1 [Wheat eqlid mosaic virus] -NP_940825.1 6K1 protein [Oat necrotic mottle virus] -NP_734256.1 6K1 protein [Brome streak mosaic virus] -NP_734268.1 6K1 protei [Wheat streak mosaic virus] diff --git a/seq/clusters_info/cluster_1061 b/seq/clusters_info/cluster_1061 deleted file mode 100644 index 26af3fe..0000000 --- a/seq/clusters_info/cluster_1061 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001468090.1 p3 [Wheat eqlid mosaic virus] -NP_940824.1 P3 protein [Oat necrotic mottle virus] -NP_734255.1 P3 protein [Brome streak mosaic virus] -NP_734267.1 P3 protein [Wheat streak mosaic virus] diff --git a/seq/clusters_info/cluster_1062 b/seq/clusters_info/cluster_1062 deleted file mode 100644 index af23077..0000000 --- a/seq/clusters_info/cluster_1062 +++ /dev/null @@ -1,4 +0,0 @@ -YP_052941.1 capsid VP2 [African horse sickness virus] -YP_052931.1 outer capsid protein VP2 [Palyam virus] -sp|P32553.2|VP2_AHSV4 RecName: Full=Outer capsid protein VP2 -sp|Q89508.1|VP2_AHSV3 RecName: Full=Outer capsid protein VP2 diff --git a/seq/clusters_info/cluster_1063 b/seq/clusters_info/cluster_1063 deleted file mode 100644 index eab002a..0000000 --- a/seq/clusters_info/cluster_1063 +++ /dev/null @@ -1,4 +0,0 @@ -NP_758814.2 7K protein B [Beet black scorch virus] -NP_608315.1 7 kDa protein [Tobacco necrosis virus D] -NP_044743.1 hypothetical protein [Leek white stripe virus] -sp|P27212.1|P6_TNVD RecName: Full=Uncharacterized protein p6 diff --git a/seq/clusters_info/cluster_1064 b/seq/clusters_info/cluster_1064 deleted file mode 100644 index 0731f81..0000000 --- a/seq/clusters_info/cluster_1064 +++ /dev/null @@ -1,4 +0,0 @@ -NP_758813.2 7K protein A [Beet black scorch virus] -NP_608314.1 7 kDa protein [Tobacco necrosis virus D] -NP_044742.1 hypothetical protein [Leek white stripe virus] -sp|P27211.2|MP8_TNVD RecName: Full=Probable movement protein p8 diff --git a/seq/clusters_info/cluster_1065 b/seq/clusters_info/cluster_1065 deleted file mode 100644 index 9f365ff..0000000 --- a/seq/clusters_info/cluster_1065 +++ /dev/null @@ -1,4 +0,0 @@ -NP_777385.2 gp60 SU [Bovine leukemia virus] -sp|P25506.1|ENV_BLVB2 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 51; Short=gp51; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 30; Short=gp30; Flags: Precursor -sp|P25505.1|ENV_BLVAV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 51; Short=gp51; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 30; Short=gp30; Flags: Precursor -sp|P25057.1|ENV_BLVAU RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 51; Short=gp51; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 30; Short=gp30; Flags: Precursor diff --git a/seq/clusters_info/cluster_1066 b/seq/clusters_info/cluster_1066 deleted file mode 100644 index eaa4b65..0000000 --- a/seq/clusters_info/cluster_1066 +++ /dev/null @@ -1,4 +0,0 @@ -NP_919034.1 non-viral protein [Hirame novirhabdovirus] -NP_042680.1 non-virion protein [Infectious hematopoietic necrosis virus] -sp|Q08455.1|NV_IHNVO RecName: Full=Non-virion protein -sp|Q82708.1|NV_IHNVR RecName: Full=Non-virion protein diff --git a/seq/clusters_info/cluster_1067 b/seq/clusters_info/cluster_1067 deleted file mode 100644 index bd26dba..0000000 --- a/seq/clusters_info/cluster_1067 +++ /dev/null @@ -1,4 +0,0 @@ -NP_840018.1 P6 protein [Barley yellow dwarf virus PAV] -NP_813794.1 P6 protein [Barley yellow dwarf virus GAV] -NP_620069.1 hypothetical protein [Barley yellow dwarf virus MAV] -NP_037640.1 P6 protein [Barley yellow dwarf virus PAS] diff --git a/seq/clusters_info/cluster_1068 b/seq/clusters_info/cluster_1068 deleted file mode 100644 index 7e8dc15..0000000 --- a/seq/clusters_info/cluster_1068 +++ /dev/null @@ -1,4 +0,0 @@ -NP_786908.1 putative VPg [Canine vesivirus] -NP_786894.1 putative VPg [Vesicular exanthema of swine virus] -NP_783307.1 VPg [Feline calicivirus] -NP_740330.1 VPg [Rabbit hemorrhagic disease virus] diff --git a/seq/clusters_info/cluster_1069 b/seq/clusters_info/cluster_1069 deleted file mode 100644 index 6c09b6a..0000000 --- a/seq/clusters_info/cluster_1069 +++ /dev/null @@ -1,4 +0,0 @@ -NP_783198.1 hypothetical protein FCVgp3 [Feline calicivirus] -sp|P28711.1|VP2_FCVF9 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -sp|P28710.1|VP2_FCVF4 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -sp|P28709.1|VP2_FCVC6 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein diff --git a/seq/clusters_info/cluster_107 b/seq/clusters_info/cluster_107 deleted file mode 100644 index 716c74e..0000000 --- a/seq/clusters_info/cluster_107 +++ /dev/null @@ -1,39 +0,0 @@ -YP_009345900.1 polyprotein [Crohivirus B] -YP_009345896.1 polyprotein [Kunsagivirus B] -YP_008992026.1 polyprotein [Carp picornavirus 1] -YP_009351863.1 polyprotein [kunsagivirus C1] -YP_009513030.1 polyprotein [Fathead minnow picornavirus] -YP_009505617.1 polyprotein [Human parechovirus 1] -YP_009508298.1 polyprotein [Shanbavirus A] -YP_009505615.1 polyprotein [Kunsagivirus A] -YP_009505601.1 polyprotein [Turkey avisivirus] -YP_009423853.1 polyprotein [Falcon picornavirus] -YP_009361997.1 polyprotein [Ferret parechovirus] -YP_009337305.1 hypothetical protein [Wenzhou picorna-like virus 43] -YP_009215118.1 polyprotein [Avisivirus Pf-CHK1/AsV] -YP_009100112.1 polyprotein [Chicken orivirus 1] -YP_009104360.1 polyprotein [Crohivirus A] -YP_009054900.1 polyprotein [Chicken picornavirus 3] -YP_009055006.1 VP3 [Chicken picornavirus 2] -YP_009054899.1 polyprotein [Chicken picornavirus 2] -YP_009026377.1 polyprotein [Duck aalivirus 1] -YP_008531322.1 polyprotein [Eel picornavirus 1] -YP_008083730.1 polyprotein [Sebokele virus 1] -YP_007947990.1 polyprotein [Duck hepatitis A virus 1] -YP_006607894.1 polyprotein [limnipivirus A1] -YP_006543639.1 polyprotein [Pasivirus A1] -YP_001497152.1 polyprotein [Seal picornavirus type 1] -NP_647602.1 polyprotein [Ljungan virus] -NP_046804.1 polyprotein [Parechovirus A] -sp|Q9YID8.1|POLG_HPEV5 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=P1AB; AltName: Full=Virion protein 0; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B; Short=P3B; AltName: Full=VPg; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -AAO83985.1 polyprotein [Ljungan virus M1146] -AIU36196.1 polyprotein, partial [Manhattan parechovirus] -AOT85835.1 polyprotein [Human parechovirus 5] -AGF33849.1 polyprotein [Turkey avisivirus] -ALR74729.1 polyprotein [Orivirus Pf-CHK1/OrV-A2] -BAV53294.1 polyprotein [Ljungan virus] -ACE80203.1 polyprotein [Duck hepatitis A virus 3] -AAM46081.1 polyprotein [Ljungan virus 145SL] -AFV31450.1 polyprotein [pasivirus A2] -AOT85826.1 polyprotein [Human parechovirus 17] -ABI23434.3 polyprotein [Duck hepatitis A virus 1] diff --git a/seq/clusters_info/cluster_1070 b/seq/clusters_info/cluster_1070 deleted file mode 100644 index f0f664a..0000000 --- a/seq/clusters_info/cluster_1070 +++ /dev/null @@ -1,4 +0,0 @@ -NP_777498.1 structural protein E2 [Classical swine fever virus] -NP_777524.1 structural glycoprotein E2 [Pestivirus giraffe-1 H138] -NP_777537.1 structural protein E2 [Border disease virus] -NP_776263.1 structural protein E2 [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_1071 b/seq/clusters_info/cluster_1071 deleted file mode 100644 index f35050f..0000000 --- a/seq/clusters_info/cluster_1071 +++ /dev/null @@ -1,4 +0,0 @@ -NP_620672.1 16 kDa protein [Tobacco rattle virus] -sp|P33777.1|V16K_TRVPL RecName: Full=16 kDa protein -sp|P05076.1|V16K_TRVTC RecName: Full=16 kDa protein -sp|P05075.1|V16K_TRVPS RecName: Full=16 kDa protein diff --git a/seq/clusters_info/cluster_1072 b/seq/clusters_info/cluster_1072 deleted file mode 100644 index 93ba113..0000000 --- a/seq/clusters_info/cluster_1072 +++ /dev/null @@ -1,4 +0,0 @@ -NP_620671.1 29 kDa protein [Tobacco rattle virus] -NP_620035.1 29K protein [Pepper ringspot virus] -NP_049327.1 putative movement protein [Pea early-browning virus] -sp|P05074.1|V29K_TRVTC RecName: Full=29 kDa protein diff --git a/seq/clusters_info/cluster_1073 b/seq/clusters_info/cluster_1073 deleted file mode 100644 index f3becb5..0000000 --- a/seq/clusters_info/cluster_1073 +++ /dev/null @@ -1,4 +0,0 @@ -NP_054371.1 vpx protein [Simian immunodeficiency virus] -sp|P05918.1|VPX_SIVVT RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P27984.1|VPX_SIVVG RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P27976.1|VPX_SIVV1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein diff --git a/seq/clusters_info/cluster_1074 b/seq/clusters_info/cluster_1074 deleted file mode 100644 index 0595a83..0000000 --- a/seq/clusters_info/cluster_1074 +++ /dev/null @@ -1,4 +0,0 @@ -NP_041250.1 Q protein [Ovine lentivirus] -NP_040940.1 protein Q [Caprine arthritis encephalitis virus] -NP_040841.1 vif protein [Visna-maedi virus] -sp|P23430.1|VIF_VILV1 RecName: Full=Virion infectivity factor; AltName: Full=Q protein diff --git a/seq/clusters_info/cluster_1075 b/seq/clusters_info/cluster_1075 deleted file mode 100644 index 445096c..0000000 --- a/seq/clusters_info/cluster_1075 +++ /dev/null @@ -1,4 +0,0 @@ -NP_056884.1 Pr48 [Mouse mammary tumor virus] -sp|P03320.1|PR73_MMTVG RecName: Full=Protein PR73 -sp|P03319.3|PR73_MMTVC RecName: Full=Protein PR73; AltName: Full=Superantigen; Short=Sag -sp|P03321.1|PR7L_MMTVG RecName: Full=Protein PR73 5'-endogenous diff --git a/seq/clusters_info/cluster_1076 b/seq/clusters_info/cluster_1076 deleted file mode 100644 index 0c1aade..0000000 --- a/seq/clusters_info/cluster_1076 +++ /dev/null @@ -1,4 +0,0 @@ -sp|P08383.2|BM2_INBSI RecName: Full=Matrix protein 2; AltName: Full=BM2 -sp|P03493.2|BM2_INBLE RecName: Full=Matrix protein 2; AltName: Full=BM2 -sp|P0C0X4.1|BM2_INBYA RecName: Full=Matrix protein 2; AltName: Full=BM2 -sp|Q80DN6.1|BM2_INBMP RecName: Full=Matrix protein 2; AltName: Full=BM2 diff --git a/seq/clusters_info/cluster_1077 b/seq/clusters_info/cluster_1077 deleted file mode 100644 index 5879418..0000000 --- a/seq/clusters_info/cluster_1077 +++ /dev/null @@ -1,4 +0,0 @@ -sp|P22049.1|VS48_TBRVE RecName: Full=Satellite RNA 48 kDa protein -sp|P22048.1|VS48_TBRVC RecName: Full=Satellite RNA 48 kDa protein -sp|P22051.1|VS48_BRSV RecName: Full=Satellite RNA 48 kDa protein -sp|P22050.1|VS48_TBRVL RecName: Full=Satellite RNA 48 kDa protein diff --git a/seq/clusters_info/cluster_1078 b/seq/clusters_info/cluster_1078 deleted file mode 100644 index aca6b55..0000000 --- a/seq/clusters_info/cluster_1078 +++ /dev/null @@ -1,4 +0,0 @@ -sp|Q02839.2|REV_SIVG1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P27981.1|REV_SIVVG RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P27971.1|REV_SIVV1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P05876.1|REV_SIVVT RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins diff --git a/seq/clusters_info/cluster_1079 b/seq/clusters_info/cluster_1079 deleted file mode 100644 index f58c45b..0000000 --- a/seq/clusters_info/cluster_1079 +++ /dev/null @@ -1,4 +0,0 @@ -APG76211.1 hypothetical protein [Beihai noda-like virus 21] -APG76209.1 hypothetical protein 1 [Beihai noda-like virus 19] -APG76200.1 hypothetical protein 1 [Beihai noda-like virus 20] -APG76507.1 hypothetical protein 1 [Hubei noda-like virus 19] diff --git a/seq/clusters_info/cluster_108 b/seq/clusters_info/cluster_108 deleted file mode 100644 index 1a355cc..0000000 --- a/seq/clusters_info/cluster_108 +++ /dev/null @@ -1,39 +0,0 @@ -YP_009118626.1 hemagglutinin [Influenza A virus (A/California/07/2009(H1N1))] -NP_859037.1 Hemagglutinin [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -NP_040980.1 haemagglutinin [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|Q9WFX3.2|HEMA_I18A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03452.2|HEMA_I34A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03454.1|HEMA_I33A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q0A3Y1.1|HEMA_I80AD RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4GCH5.1|HEMA_I83A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q0HD60.1|HEMA_I40A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q9WCD9.1|HEMA_I30A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26562.2|HEMA_I76A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P18875.1|HEMA_I79A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03448.2|HEMA_I72A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03457.2|HEMA_I66A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A3DRP0.1|HEMA_I96A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A8C8W3.1|HEMA_I67A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4K143.1|HEMA_I54A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4U7A6.1|HEMA_I51A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4U6V2.1|HEMA_I45A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4GCK8.1|HEMA_I43A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4GCI6.1|HEMA_I36A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4GCL9.1|HEMA_I35A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A8C8J4.1|HEMA_I07A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|B4URD6.1|HEMA_I06A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03453.2|HEMA_I77AB RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q289M7.1|HEMA_I00A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q07FI5.1|HEMA_I96A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q9WCE8.1|HEMA_I85A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q9WCE3.1|HEMA_I80A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q9WCE1.1|HEMA_I81A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q9WCD8.1|HEMA_I61A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03455.1|HEMA_I76AI RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26140.1|HEMA_I88A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03456.2|HEMA_I68A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|A4GCJ7.1|HEMA_I80AA RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P28731.1|HEMA_I89A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P12590.2|HEMA_I86A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P13102.1|HEMA_I84A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P28730.1|HEMA_I88A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor diff --git a/seq/clusters_info/cluster_1080 b/seq/clusters_info/cluster_1080 deleted file mode 100644 index 4d42cc4..0000000 --- a/seq/clusters_info/cluster_1080 +++ /dev/null @@ -1,4 +0,0 @@ -AQM49948.1 replicase [Agaricus bisporus virus 6] -AQM49919.1 replicase [Agaricus bisporus virus 3] -AQM32769.1 replicase [Agaricus bisporus spherical virus] -AQM49927.1 replicase [Agaricus bisporus virus 5] diff --git a/seq/clusters_info/cluster_1081 b/seq/clusters_info/cluster_1081 deleted file mode 100644 index fb33e14..0000000 --- a/seq/clusters_info/cluster_1081 +++ /dev/null @@ -1,4 +0,0 @@ -APG79284.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 12] -AJG39248.1 RNA-dependent RNA polymerase [Shuangao Bedbug Virus 1] -AJG39241.1 RNA-dependent RNA polymerase [Jiangxia Mosquito Virus 2] -AJG39252.1 RNA-dependent RNA polymerase, partial [Shuangao Mosquito Virus] diff --git a/seq/clusters_info/cluster_1082 b/seq/clusters_info/cluster_1082 deleted file mode 100644 index 796e238..0000000 --- a/seq/clusters_info/cluster_1082 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086816.1 glycoprotein [Wheat yellow striate virus] -YP_052848.1 G [Maize fine streak nucleorhabdovirus] -NP_620500.1 glycoprotein [Rice yellow stunt nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_1083 b/seq/clusters_info/cluster_1083 deleted file mode 100644 index 76db61b..0000000 --- a/seq/clusters_info/cluster_1083 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086601.1 glycoprotein [Physostegia chlorotic mottle virus] -YP_009094357.1 glycoprotein [Eggplant mottled dwarf nucleorhabdovirus] -YP_004927970.1 glycoprotein [Potato yellow dwarf nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_1084 b/seq/clusters_info/cluster_1084 deleted file mode 100644 index 6c7300c..0000000 --- a/seq/clusters_info/cluster_1084 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086596.1 nucleocapsid protein [Physostegia chlorotic mottle virus] -YP_009094352.1 nucleocapsid protein [Eggplant mottled dwarf nucleorhabdovirus] -YP_004927965.1 nucleocapsid protein [Potato yellow dwarf nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_1085 b/seq/clusters_info/cluster_1085 deleted file mode 100644 index 437a466..0000000 --- a/seq/clusters_info/cluster_1085 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001249271.1 phosphoprotein [Mapuera orthorubulavirus] -YP_001249273.1 W protein [Mapuera orthorubulavirus] -YP_001249272.1 V protein [Mapuera orthorubulavirus] diff --git a/seq/clusters_info/cluster_1086 b/seq/clusters_info/cluster_1086 deleted file mode 100644 index 2d4924c..0000000 --- a/seq/clusters_info/cluster_1086 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009094156.1 W protein [Avian metaavulavirus 5] -YP_009094155.1 V protein [Avian metaavulavirus 5] -YP_009094154.1 phosphoprotein [Avian metaavulavirus 5] diff --git a/seq/clusters_info/cluster_1087 b/seq/clusters_info/cluster_1087 deleted file mode 100644 index 9783eb6..0000000 --- a/seq/clusters_info/cluster_1087 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009137511.1 putative minor structural protein, partial [Sapovirus Hu/Nagoya/NGY-1/2012/JPN] -YP_077280.1 hypothetical protein SHV01_gp2 [Sapovirus Hu/Dresden/pJG-Sap01/DE] -sp|Q69016.1|VP2_SVM93 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein diff --git a/seq/clusters_info/cluster_1088 b/seq/clusters_info/cluster_1088 deleted file mode 100644 index 5053f4c..0000000 --- a/seq/clusters_info/cluster_1088 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009825037.1 NSP9 [Duck coronavirus] -YP_009755918.1 nsp9 [Canada goose coronavirus] -YP_001941182.1 NSP9 [Turkey coronavirus] diff --git a/seq/clusters_info/cluster_1089 b/seq/clusters_info/cluster_1089 deleted file mode 100644 index 782a893..0000000 --- a/seq/clusters_info/cluster_1089 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009825032.1 NSP4 [Duck coronavirus] -YP_009755913.1 nsp4 [Canada goose coronavirus] -YP_001941177.1 NSP4 [Turkey coronavirus] diff --git a/seq/clusters_info/cluster_109 b/seq/clusters_info/cluster_109 deleted file mode 100644 index 6021f98..0000000 --- a/seq/clusters_info/cluster_109 +++ /dev/null @@ -1,38 +0,0 @@ -YP_010087353.1 triple gene block protein 2 [Cnidium virus X] -YP_009553178.1 triple gene block protein 2 [Chrysanthemum virus R] -YP_009664750.1 12 KDa protein, partial [Verbena latent virus] -YP_009508319.1 triple gene block protein 2 [Atractylodes mottle virus] -YP_009389421.1 TGB 2 protein [Euonymus yellow vein virus] -YP_009288958.1 triple gene block protein 2 [Ligustrum virus A] -YP_009275352.1 triple gene block protein II [Jasmine virus C] -YP_009224954.1 triple gene block protein 2 [Elderberry carlavirus E] -YP_009224942.1 triple gene block protein 2 [Elderberry carlavirus C] -YP_009116870.1 triple gene block protein 2 [Yam latent virus] -YP_009124990.1 12kDa triple gene block protein [Citrus yellow vein clearing virus] -YP_009022066.1 triple gene block 2 protein [Gaillardia latent virus] -YP_006522436.1 12K protein [Potato virus H] -YP_006297588.1 unnamed protein product [American hop latent virus] -YP_004901682.1 triple gene block 2 protein [Mirabilis jalapa mottle virus] -YP_003075959.1 triple gene block 2 protein [Kalanchoe latent virus] -YP_002985638.1 triple gene block 2 [Hydrangea chlorotic mottle virus] -YP_002308449.1 triple gene block 2 protein [Hippeastrum latent virus] -YP_002302559.1 triple gene block protein 2 [Potato latent virus] -YP_001798594.1 triple gene block protein 2 [Hop mosaic virus] -YP_001661448.1 triple gene block protein [Ligustrum necrotic ringspot virus] -YP_001552319.1 triple gene block 2 protein [Phlox virus B] -YP_001429587.1 triple block protein 2 [Potato virus P] -YP_001165303.1 triple gene block 2 protein [Phlox virus S] -YP_001086454.1 Triple gene block protein 2 [Chrysanthemum virus B] -YP_717535.1 TgBP2 [Passiflora latent virus] -YP_699985.1 Triple gene block protein 2 [Narcissus common latent virus] -YP_595729.1 triple gene block 2 [Daphne virus S] -YP_277430.1 12K protein [Potato virus S] -YP_164260.1 triple gene block protein 2 [Sweet potato chlorotic fleck virus] -NP_932790.1 triple gene block protein 2 [Lily symptomless virus] -NP_702990.1 hypothetical protein TVXgp3 [Tulip virus X] -NP_624315.1 movement protein 2 [Sugarcane striate mosaic-associated virus] -NP_612810.1 12 kDa protein [Blueberry scorch virus] -NP_116489.1 12K protein [Aconitum latent virus] -NP_066260.1 11 kDa protein [Hop latent virus] -NP_056769.1 12K protein [Potato virus M] -sp|P16651.1|TGB2_PVSP RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 diff --git a/seq/clusters_info/cluster_1090 b/seq/clusters_info/cluster_1090 deleted file mode 100644 index cfeb954..0000000 --- a/seq/clusters_info/cluster_1090 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009825013.1 hypothetical protein HO267_gp08 [Duck coronavirus] -YP_009755902.1 ORF5b [Canada goose coronavirus] -YP_001941171.1 hypothetical protein TCoV_gp08 [Turkey coronavirus] diff --git a/seq/clusters_info/cluster_1091 b/seq/clusters_info/cluster_1091 deleted file mode 100644 index 8a1bcf6..0000000 --- a/seq/clusters_info/cluster_1091 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009433743.1 NS7a protein [Sparrow coronavirus HKU17] -YP_009513026.1 NS7 protein [Porcine coronavirus HKU15] -YP_005352843.1 NS7a protein [White-eye coronavirus HKU16] diff --git a/seq/clusters_info/cluster_1092 b/seq/clusters_info/cluster_1092 deleted file mode 100644 index 28327c1..0000000 --- a/seq/clusters_info/cluster_1092 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553331.1 hypothetical protein [Trichoderma harzianum bipartite mycovirus 1] -YP_007985676.1 hypothetical protein [Cryphonectria parasitica bipartite mycovirus 1] -YP_001976145.1 hypothetical protein CThTV_RNA2gp1 [Curvularia thermal tolerance virus] diff --git a/seq/clusters_info/cluster_1093 b/seq/clusters_info/cluster_1093 deleted file mode 100644 index d3893b5..0000000 --- a/seq/clusters_info/cluster_1093 +++ /dev/null @@ -1,3 +0,0 @@ -NP_835347.1 putative coronavirus nsp3 (HD2) [Human coronavirus 229E] -YP_008439217.1 nsp6 [Bat coronavirus CDPHE15/USA/2006] -NP_839960.1 putative coronavirus nsp3 (HD2) [Porcine epidemic diarrhea virus] diff --git a/seq/clusters_info/cluster_1094 b/seq/clusters_info/cluster_1094 deleted file mode 100644 index b808ada..0000000 --- a/seq/clusters_info/cluster_1094 +++ /dev/null @@ -1,3 +0,0 @@ -NP_835344.1 leader protein p9 [Human coronavirus 229E] -YP_008439212.1 nsp1 [Bat coronavirus CDPHE15/USA/2006] -NP_839957.1 putative leader protein [Porcine epidemic diarrhea virus] diff --git a/seq/clusters_info/cluster_1095 b/seq/clusters_info/cluster_1095 deleted file mode 100644 index dd6f1c3..0000000 --- a/seq/clusters_info/cluster_1095 +++ /dev/null @@ -1,3 +0,0 @@ -NP_620728.1 cap-pol fusion protein [Ustilago maydis virus H1] -ALO50138.1 cap-pol fusion protein, partial [Wallemia sebi mycovirus 1] -AND83002.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum double-stranded RNA virus 3] diff --git a/seq/clusters_info/cluster_1096 b/seq/clusters_info/cluster_1096 deleted file mode 100644 index 184b6c7..0000000 --- a/seq/clusters_info/cluster_1096 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088082.1 P2 protein [Passion fruit green spot virus] -YP_009508071.1 p29 [Citrus leprosis virus C2] -YP_654539.1 p29 [Citrus leprosis virus C] diff --git a/seq/clusters_info/cluster_1097 b/seq/clusters_info/cluster_1097 deleted file mode 100644 index 24a4580..0000000 --- a/seq/clusters_info/cluster_1097 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088078.1 P61 precursor [Passion fruit green spot virus] -YP_009508074.1 p61 [Citrus leprosis virus C2] -YP_654541.1 p61 [Citrus leprosis virus C] diff --git a/seq/clusters_info/cluster_1098 b/seq/clusters_info/cluster_1098 deleted file mode 100644 index 3d9f271..0000000 --- a/seq/clusters_info/cluster_1098 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088066.1 putative glycoprotein precursor [Ti ringspot-associated emaravirus] -YP_009237265.1 glycoprotein precursor [Raspberry leaf blotch emaravirus] -YP_009237256.1 glycoprotein precursor [High Plains wheat mosaic emaravirus] diff --git a/seq/clusters_info/cluster_1099 b/seq/clusters_info/cluster_1099 deleted file mode 100644 index 2c0598a..0000000 --- a/seq/clusters_info/cluster_1099 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088068.1 putative movement protein [Ti ringspot-associated emaravirus] -YP_009237267.1 P4 protein [Raspberry leaf blotch emaravirus] -YP_009237258.1 movement protein [High Plains wheat mosaic emaravirus] diff --git a/seq/clusters_info/cluster_11 b/seq/clusters_info/cluster_11 deleted file mode 100644 index fff8c3a..0000000 --- a/seq/clusters_info/cluster_11 +++ /dev/null @@ -1,96 +0,0 @@ -NP_041009.1 polyprotein [rhinovirus B14] -YP_009020973.1 genome polyprotein [enterovirus F4] -YP_009259673.1 polyprotein [enterovirus A114] -YP_009508417.1 polyprotein [Picornaviridae sp. rodent/Ee/PicoV/NX2015] -YP_009505609.1 polyprotein [rhinovirus B3] -YP_009505606.1 polyprotein [Simian enterovirus SV4] -YP_009505603.1 polyprotein [Coxsackievirus A2] -YP_009505607.1 polyprotein [Dromedary camel enterovirus 19CC] -YP_009505605.1 polyprotein [enterovirus D68] -YP_009505604.1 polyprotein [Coxsackievirus B3] -YP_009480535.1 polyprotein [Sichuan takin enterovirus] -YP_009353025.1 polyprotein [Enterovirus goat/JL14] -YP_009344963.1 polyprotein [Enterovirus AN12] -YP_009246449.1 polyprotein [Enterovirus SEV-gx] -YP_009243645.1 polyprotein [Yak enterovirus] -YP_009028564.1 polyprotein [Enterovirus sp.] -YP_007985635.1 genome polyprotein [Enterovirus F] -YP_005352647.1 VP3 [Canine picornavirus] -YP_004934022.1 unnamed protein product [Feline picornavirus] -YP_004782559.1 VP3 [Bat picornavirus 2] -YP_004782545.1 VP3 [Bat picornavirus 1] -YP_003358790.1 genome polyprotein [Enterovirus J] -YP_001715611.1 genome polyprotein [Enterovirus J] -NP_937971.1 VP3 [Simian sapelovirus 1] -NP_758520.1 polyprotein [Porcine enterovirus 9] -NP_653149.1 genome polyprotein [Enterovirus H] -NP_045756.1 genome polyprotein [Enterovirus E] -NP_042242.1 genome polyprotein [Enterovirus A] -NP_041277.1 genome polyprotein [Enterovirus C] -NP_040958.1 genome polyportein [Enterovirus B] -NP_040760.1 genome polyprotein [Enterovirus D] -sp|B9VUU3.1|POLG_HE71 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q9YLG5.3|POLG_CXB2O RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q9QL88.4|POLG_CXB6S RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q9QF31.3|POLG_CX16T RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|O91734.4|POLG_EC01F RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q9YLJ1.3|POLG_EC05N RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q9WN78.3|POLG_EC30B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66575.4|POLG_EC12T RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P29813.4|POLG_EC11G RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|O41174.3|POLG_PEV9U RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66849.3|POLG_EC09H RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66577.3|POLG_EC09B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66474.3|POLG_EC06C RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q86887.3|POLG_CXB4E RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66282.3|POLG_CXB3W RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66479.3|POLG_HE71M RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66478.3|POLG_HE71B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P36290.3|POLG_CXA24 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q03053.3|POLG_CXB5P RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P13900.3|POLG_SVDVU RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P03302.3|POLG_POL3L RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P06209.3|POLG_POL32 RecName: Full=Genome polyprotein; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P23069.3|POLG_POL2W RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P06210.3|POLG_POL2L RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P08292.4|POLG_CXB4J RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P21404.4|POLG_CXA9 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P22055.3|POLG_CXA21 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|Q66790.3|POLG_EC16H RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1 -BAG82822.1 polyprotein [Enterovirus A71] -AHY21610.1 polyprotein [Enterovirus sp.] -ACK37425.1 polyprotein [rhinovirus B92] -AER92570.1 polyprotein [Rhinovirus B] -ABL61316.1 polyprotein [enterovirus D94] -AFV83532.1 polyprotein [Enterovirus B80] -AAL69636.2 polyprotein [enterovirus A124] -AER92585.1 polyprotein [Rhinovirus B] -ABM54550.1 polyprotein [Enterovirus C96] -AKB94076.1 polyprotein [Enterovirus A71] -ABO69377.1 polyprotein [rhinovirus B27] -AGP75905.1 polyprotein [enterovirus C118] -AAL69622.2 polyprotein [enterovirus A125] -ACU27228.1 polyprotein, partial [rhinovirus B86] -ACK37430.1 polyprotein [rhinovirus B99] -ANN02908.1 polyprotein [enterovirus E4] -AAL69623.2 polyprotein [Simian agent 5] -AAL69633.2 polyprotein [enterovirus A123] -ABV25904.1 polyprotein [Enterovirus A92] -AFK65740.1 polyprotein, partial [rhinovirus B102] -ALB72936.1 polyprotein [Coxsackievirus A6] -AGC60011.1 polyprotein [Coxsackievirus A16] -ACK37420.1 polyprotein [rhinovirus B86] -AGV08299.1 polyprotein [enterovirus C117] -AEG42388.1 polyprotein [rhinovirus B101] -APA29023.1 polyprotein [Picornaviridae sp. rodent/Mc/PicoV/Tibet2015] -AEG42386.1 polyprotein, partial [Rhinovirus B] -ACK37443.1 polyprotein [rhinovirus B35] -AQX83076.1 polyprotein [Enterovirus A71] -AGV98962.1 polyprotein [Coxsackievirus A16] -AFM84628.1 polyprotein [Rhinovirus B] -CAA24445.1 unnamed protein product, partial [Human poliovirus 1] -AEK80016.1 polyprotein [Enterovirus A76] -AFK66746.1 polyprotein [Ovine enterovirus] -ABO69376.1 polyprotein [rhinovirus B17] -APW79182.1 3D, partial [Coxsackievirus A6] -BAG70422.1 polyprotein [Enterovirus B97] diff --git a/seq/clusters_info/cluster_110 b/seq/clusters_info/cluster_110 deleted file mode 100644 index 02ec1c7..0000000 --- a/seq/clusters_info/cluster_110 +++ /dev/null @@ -1,38 +0,0 @@ -YP_009924300.1 polyprotein 1a [Mamastrovirus 3] -YP_009094278.2 polyprotein 1ab [Mamastrovirus 3] -YP_009664775.1 RNA-dependent RNA polymerase, partial [Bottlenose dolphin astrovirus 1] -YP_009380533.1 RNA-dependent RNA polymerase, partial [California sea lion astrovirus 2] -YP_009345903.1 RNA-dependent RNA polymerase, partial [Qinghai Himalayan marmot astrovirus 2] -YP_009345902.1 nonstructural protein 1a [Qinghai Himalayan marmot astrovirus 2] -YP_009345898.1 RNA-dependent RNA polymerase, partial [Qinghai Himalayan marmot astrovirus 1] -YP_009336808.1 RNA-dependent RNA polymerase [Changjiang astro-like virus] -YP_009275017.1 nonstructural protein [Mamastrovirus 1] -YP_009154706.1 RNA-dependent RNA polymerase [Astrovirus Er/SZAL6/HUN/2011] -YP_009130648.1 RNA dependent RNA polymerase, partial [Canine astrovirus] -YP_009130647.1 nonstructural protein [Canine astrovirus] -YP_009094053.1 RNA-dependent RNA polymerase, partial [Rabbit astrovirus TN/2208/2010] -YP_009094052.1 nonstructural protein 1a [Rabbit astrovirus TN/2208/2010] -YP_008519302.1 nsp1a [Feline astrovirus 2] -YP_008519301.1 nsp1ab [Feline astrovirus 2] -YP_006905853.1 ORF1b, partial [Astrovirus MLB3] -YP_006905852.1 ORF1a [Astrovirus MLB3] -YP_004934008.1 unnamed protein product [Astrovirus MLB2] -YP_002290967.1 ORF1b, partial [Astrovirus MLB1] -YP_002290966.1 ORF1a [Astrovirus MLB1] -sp|Q9IFX2.2|NS1AB_HASV8 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -sp|Q4TWH8.2|NS1AB_HASV5 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -sp|Q3ZN06.2|NS1AB_HASV4 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -sp|P0C6K4.1|NS1A_HASV1 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -sp|Q3ZN07.1|NS1A_HASV4 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -sp|Q4TWH9.1|NS1A_HASV5 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -sp|Q9IFX3.1|NS1A_HASV8 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -sp|Q67726.1|NS1AB_HASV1 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -AGH62581.1 nonstructural protein [Mamastrovirus 1] -APQ41665.1 ORF1b, partial [Canine astrovirus] -AEM37636.1 putative RNA-dependent RNA polymerase, partial [California sea lion astrovirus 10] -AEM37627.1 putative RNA-dependent RNA polymerase, partial [California sea lion astrovirus 8] -ACN44170.1 putative RNA-dependent polymerase, partial [Astrovirus MLB1] -AII82242.1 ORF1ab [Mamastrovirus 2] -AFI71936.1 ORF1b, partial [Dog astrovirus] -APB03098.1 putative RNA dependent RNA polymerase, partial [Astrovirus MLB2] -ADJ38390.1 ORF1b, partial [Astrovirus rat/RS118/HKG/2007] diff --git a/seq/clusters_info/cluster_1100 b/seq/clusters_info/cluster_1100 deleted file mode 100644 index eaf76a2..0000000 --- a/seq/clusters_info/cluster_1100 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088053.1 MAG: hypothetical protein KM766_s4gp1 [Neofusicoccum parvum chrysovirus 1] -YP_008914866.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -YP_003858287.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] diff --git a/seq/clusters_info/cluster_1101 b/seq/clusters_info/cluster_1101 deleted file mode 100644 index ff10160..0000000 --- a/seq/clusters_info/cluster_1101 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088051.1 MAG: hypothetical protein KM766_s3gp1 [Neofusicoccum parvum chrysovirus 1] -YP_008914865.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -YP_003858289.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] diff --git a/seq/clusters_info/cluster_1102 b/seq/clusters_info/cluster_1102 deleted file mode 100644 index a55e9ad..0000000 --- a/seq/clusters_info/cluster_1102 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088050.1 MAG: putative coat protein [Neofusicoccum parvum chrysovirus 1] -YP_008914862.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -YP_003858288.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] diff --git a/seq/clusters_info/cluster_1103 b/seq/clusters_info/cluster_1103 deleted file mode 100644 index 4a39b17..0000000 --- a/seq/clusters_info/cluster_1103 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086054.1 phosphoprotein, partial [North Creek virus] -YP_009388613.1 phosphoprotein [Culex rhabdo-like virus] -YP_009182183.1 putative phosphoprotein [Tongilchon virus 1] diff --git a/seq/clusters_info/cluster_1104 b/seq/clusters_info/cluster_1104 deleted file mode 100644 index 7f05e47..0000000 --- a/seq/clusters_info/cluster_1104 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086034.1 NSP3 [Rotavirus J] -YP_392497.1 NSP3 [Adult diarrheal rotavirus strain J19] -sp|Q0H8C1.1|NSP3_ROTB2 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 diff --git a/seq/clusters_info/cluster_1105 b/seq/clusters_info/cluster_1105 deleted file mode 100644 index 151d210..0000000 --- a/seq/clusters_info/cluster_1105 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086028.1 VP7 [Rotavirus J] -YP_392498.1 VP7 [Adult diarrheal rotavirus strain J19] -sp|Q0H8C3.1|VP7_ROTB2 RecName: Full=Outer capsid glycoprotein VP7 diff --git a/seq/clusters_info/cluster_1106 b/seq/clusters_info/cluster_1106 deleted file mode 100644 index 2c3baa7..0000000 --- a/seq/clusters_info/cluster_1106 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086024.1 NSP4 [Rotavirus J] -YP_392499.1 NSP4 [Adult diarrheal rotavirus strain J19] -sp|A9Q1L1.1|NSP4_ROTB2 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 diff --git a/seq/clusters_info/cluster_1107 b/seq/clusters_info/cluster_1107 deleted file mode 100644 index 56fbc1c..0000000 --- a/seq/clusters_info/cluster_1107 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009252405.1 P6 [Sclerotinia sclerotiorum mycoreovirus 4] -YP_001936009.1 VP6 [Mycoreovirus 1] -YP_392469.1 P6 [Mycoreovirus 3] diff --git a/seq/clusters_info/cluster_1108 b/seq/clusters_info/cluster_1108 deleted file mode 100644 index 97a2a69..0000000 --- a/seq/clusters_info/cluster_1108 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087318.1 movement protein [Apple rubbery wood virus 2] -YP_010087317.1 movement protein [Apple rubbery wood virus 2] -YP_010086184.1 movement protein [Apple rubbery wood virus 1] diff --git a/seq/clusters_info/cluster_1109 b/seq/clusters_info/cluster_1109 deleted file mode 100644 index 2a51364..0000000 --- a/seq/clusters_info/cluster_1109 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087316.1 capsid protein [Apple rubbery wood virus 2] -YP_010087314.1 capsid protein [Apple rubbery wood virus 2] -YP_010086185.1 capsid protein [Apple rubbery wood virus 1] diff --git a/seq/clusters_info/cluster_111 b/seq/clusters_info/cluster_111 deleted file mode 100644 index 637eaf0..0000000 --- a/seq/clusters_info/cluster_111 +++ /dev/null @@ -1,38 +0,0 @@ -YP_009553601.1 structural polyprotein [Blackbird arilivirus] -YP_009389288.1 structural protein precursor [Big Sioux River virus] -YP_009388500.1 structural polyprotein [Apis dicistrovirus] -YP_009380529.1 polyprotein, partial [Aphis glycines virus 3] -YP_009345055.1 hypothetical protein 2 [Wuhan millipede virus 3] -YP_009345033.1 hypothetical protein 2 [Wuhan insect virus 33] -YP_009342287.1 hypothetical protein 2 [Wuhan arthropod virus 2] -YP_009337726.1 hypothetical protein 2 [Hubei picorna-like virus 22] -YP_009337314.1 hypothetical protein 2 [Hubei picorna-like virus 14] -YP_009336883.1 hypothetical protein 2 [Shahe picorna-like virus 11] -YP_009336854.1 hypothetical protein 2 [Hubei picorna-like virus 17] -YP_009336839.1 hypothetical protein 2 [Wenzhou picorna-like virus 29] -YP_009336782.1 hypothetical protein 2 [Changjiang picorna-like virus 13] -YP_009336701.1 hypothetical protein 2 [Wenling picorna-like virus 5] -YP_009336691.1 hypothetical protein 2 [Wenling crustacean virus 2] -YP_009336628.1 hypothetical protein 2 [Wenling picorna-like virus 4] -YP_009336584.1 hypothetical protein 2 [Hubei picorna-like virus 16] -YP_009336582.1 hypothetical protein 2 [Changjiang picorna-like virus 12] -YP_009336572.1 hypothetical protein 2 [Hubei diptera virus 1] -YP_009336541.1 hypothetical protein 2 [Hubei picorna-like virus 15] -YP_009333495.1 hypothetical protein 2 [Beihai shrimp virus 1] -YP_009333500.1 hypothetical protein 2 [Beihai picorna-like virus 80] -YP_009333387.1 hypothetical protein 2 [Beihai picorna-like virus 75] -YP_009333201.1 hypothetical protein 2 [Shahe picorna-like virus 8] -YP_009329986.1 hypothetical protein 2 [Hubei picorna-like virus 24] -YP_009315871.1 capsid precursor [Mosquito dicistrovirus] -YP_009315869.1 capsid precursor [Centovirus AC] -YP_009252205.1 structural polyprotein [Anopheles C virus] -YP_009221982.1 capsid protein precursor [Goose dicistrovirus] -YP_610951.1 capsid protein precursor, partial [Homalodisca coagulata virus 1] -NP_733846.1 capsid protein precursor, partial [Aphid lethal paralysis virus] -NP_647482.1 structural polyprotein, partial [Cricket paralysis virus] -NP_620563.1 capsid protein precursor, partial [Triatoma virus] -NP_620565.1 structural polyprotein [Black queen cell virus] -NP_620561.1 capsid protein precursor, partial [Himetobi P virus] -NP_620556.1 capsid protein precursor, partial [Plautia stali intestine virus] -NP_046156.1 structural polyprotein [Rhopalosiphum padi virus] -NP_044946.1 capsid polyprotein, partial [Drosophila C virus] diff --git a/seq/clusters_info/cluster_1110 b/seq/clusters_info/cluster_1110 deleted file mode 100644 index 0758ba6..0000000 --- a/seq/clusters_info/cluster_1110 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010085014.1 attachment protein [bank vole virus 1] -YP_006347588.1 attachment protein [Nariva virus] -NP_958054.1 attachment glycoprotein [Mossman virus] diff --git a/seq/clusters_info/cluster_1111 b/seq/clusters_info/cluster_1111 deleted file mode 100644 index 07007c3..0000000 --- a/seq/clusters_info/cluster_1111 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010085011.1 C protein [bank vole virus 1] -YP_006347585.1 C protein [Nariva virus] -NP_958051.1 C protein [Mossman virus] diff --git a/seq/clusters_info/cluster_1112 b/seq/clusters_info/cluster_1112 deleted file mode 100644 index bf51ff7..0000000 --- a/seq/clusters_info/cluster_1112 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087864.1 CPm [Malus domestica virus A] -YP_004935925.1 CPd gene product [Grapevine leafroll-associated virus 7] -NP_045007.1 putative viral coat protein duplicate (CPd) [Little cherry virus 1] diff --git a/seq/clusters_info/cluster_1113 b/seq/clusters_info/cluster_1113 deleted file mode 100644 index bdbe00f..0000000 --- a/seq/clusters_info/cluster_1113 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087808.1 putative inclusion body protein [Epiphyllum virus 4] -YP_004347416.1 putative inclusion body protein [Sweet potato collusive virus] -NP_056849.1 putative transactivator factor [Cassava vein mosaic virus] diff --git a/seq/clusters_info/cluster_1114 b/seq/clusters_info/cluster_1114 deleted file mode 100644 index babd24b..0000000 --- a/seq/clusters_info/cluster_1114 +++ /dev/null @@ -1,3 +0,0 @@ -YP_007438863.1 capsid protein [Rice yellow mottle virus] -YP_002308437.1 capsid protein [Imperata yellow mottle virus] -NP_941377.1 capsid protein [Cocksfoot mottle virus] diff --git a/seq/clusters_info/cluster_1115 b/seq/clusters_info/cluster_1115 deleted file mode 100644 index e903c2d..0000000 --- a/seq/clusters_info/cluster_1115 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009124991.1 64kDa triple gene block protein [Citrus yellow vein clearing virus] -YP_009091817.1 triple gene block 3 [Yam virus X] -NP_203556.1 6.4 kDa triple gene block protein [Indian citrus ringspot virus] diff --git a/seq/clusters_info/cluster_1116 b/seq/clusters_info/cluster_1116 deleted file mode 100644 index 841401d..0000000 --- a/seq/clusters_info/cluster_1116 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087202.1 P0 [Pumpkin polerovirus] -YP_009254737.1 P0 [Pepo aphid-borne yellows virus] -YP_006666505.1 P0 [Suakwa aphid-borne yellows virus] diff --git a/seq/clusters_info/cluster_1117 b/seq/clusters_info/cluster_1117 deleted file mode 100644 index 06d57af..0000000 --- a/seq/clusters_info/cluster_1117 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087185.1 matrix protein VP40 [Mengla dianlovirus] -YP_009055224.1 matrix protein [Marburg marburgvirus] -YP_001531155.1 matrix protein [Marburg marburgvirus] diff --git a/seq/clusters_info/cluster_1118 b/seq/clusters_info/cluster_1118 deleted file mode 100644 index 9410fee..0000000 --- a/seq/clusters_info/cluster_1118 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088108.1 P3 [Passiflora edulis symptomless virus] -YP_010086862.1 P3N-PIPO, partial [Passiflora edulis symptomless virus] -YP_006908982.1 P3 [Rose yellow mosaic virus] diff --git a/seq/clusters_info/cluster_1119 b/seq/clusters_info/cluster_1119 deleted file mode 100644 index 34f4c35..0000000 --- a/seq/clusters_info/cluster_1119 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088105.1 NIa-Pro [Passiflora edulis symptomless virus] -YP_006908986.1 NIa-Pro [Rose yellow mosaic virus] -YP_851206.1 NIa-Pro [Blackberry virus Y] diff --git a/seq/clusters_info/cluster_112 b/seq/clusters_info/cluster_112 deleted file mode 100644 index 28efeb2..0000000 --- a/seq/clusters_info/cluster_112 +++ /dev/null @@ -1,38 +0,0 @@ -YP_009553031.1 coat protein [Salvia hispanica RNA virus 1] -YP_009553030.1 fusion protein [Salvia hispanica RNA virus 1] -YP_009552798.1 ORF1p [Capsicum annuum amalgavirus 1] -YP_009552797.1 ORF1+2p [Capsicum annuum amalgavirus 1] -YP_009552086.1 ORF1p [Medicago sativa amalgavirus 1] -YP_009552085.1 ORF1+2p [Medicago sativa amalgavirus 1] -YP_009551562.1 RNA-dependent RNA polymerase [Anthoxanthum odoratum amalgavirus 1] -YP_009551563.1 ORF1p [Anthoxanthum odoratum amalgavirus 1] -YP_009388305.1 putative coat protein [Spinach amalgavirus 1] -YP_009388304.1 fusion protein [Spinach amalgavirus 1] -YP_009362305.1 putative coat protein [Zostera marina amalgavirus 2] -YP_009362304.1 fusion protein [Zostera marina amalgavirus 2] -YP_009362303.1 putative coat protein [Zostera marina amalgavirus 1] -YP_009362302.1 fusion protein [Zostera marina amalgavirus 1] -YP_009553345.1 ORF1p [Festuca pratensis amalgavirus 2] -YP_009553344.1 ORF1+2p [Festuca pratensis amalgavirus 2] -YP_009553343.1 ORF1p [Cleome droserifolia amalgavirus 1] -YP_009553342.1 ORF1+2p [Cleome droserifolia amalgavirus 1] -YP_009552800.1 ORF1p [Festuca pratensis amalgavirus 1] -YP_009552799.1 ORF1+2p [Festuca pratensis amalgavirus 1] -YP_009552090.1 ORF1p [Erigeron breviscapus amalgavirus 2] -YP_009552089.1 ORF1+2p [Erigeron breviscapus amalgavirus 2] -YP_009552088.1 ORF1p [Erigeron breviscapus amalgavirus 1] -YP_009552087.1 ORF1+2p [Erigeron breviscapus amalgavirus 1] -YP_009552084.1 ORF1p [Phalaenopsis equestris amalgavirus 1] -YP_009552083.1 ORF1+2p [Phalaenopsis equestris amalgavirus 1] -YP_009551565.1 ORF1p [Camellia oleifera amalgavirus 1] -YP_009551564.1 ORF1+2p [Camellia oleifera amalgavirus 1] -YP_009447921.1 ORF1+2p [Allium cepa amalgavirus 2] -YP_009447922.1 ORF1p [Allium cepa amalgavirus 2] -YP_009447919.1 ORF1+2p [Allium cepa amalgavirus 1] -YP_009447920.1 ORF1p [Allium cepa amalgavirus 1] -YP_003934623.1 fusion protein [Blueberry latent virus] -YP_003934624.1 ORF1 [Blueberry latent virus] -YP_003868437.1 putative coat protein [Rhododendron virus A] -YP_003868436.1 putative fusion protein [Rhododendron virus A] -YP_002321509.1 fusion protein p122 [Southern tomato virus] -YP_002321510.1 putative coat protein p42 [Southern tomato virus] diff --git a/seq/clusters_info/cluster_1120 b/seq/clusters_info/cluster_1120 deleted file mode 100644 index 5a7c09e..0000000 --- a/seq/clusters_info/cluster_1120 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086798.1 hypothetical protein KM646_gp3 [Grapevine badnavirus 1] -YP_009140789.1 hypothetical protein [Grapevine Roditis leaf discoloration-associated virus] -YP_009121748.1 ORF4 [Yacon necrotic mottle virus] diff --git a/seq/clusters_info/cluster_1121 b/seq/clusters_info/cluster_1121 deleted file mode 100644 index 5701ede..0000000 --- a/seq/clusters_info/cluster_1121 +++ /dev/null @@ -1,3 +0,0 @@ -YP_008719905.1 polyprotein [Rhizoctonia cerealis alphaendornavirus 1] -YP_009310051.1 polyprotein [Ceratobasidium endornavirus D] -AOV81686.1 polyprotein, partial [Ceratobasidium endornavirus H] diff --git a/seq/clusters_info/cluster_1122 b/seq/clusters_info/cluster_1122 deleted file mode 100644 index f2503a4..0000000 --- a/seq/clusters_info/cluster_1122 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009305142.1 nucleocapsid protein [Wutai mosquito phasivirus] -YP_009505329.1 nucleocapsid protein [Badu phasivirus] -YP_009505333.1 Nucleocapsid [Phasi Charoen-like phasivirus] diff --git a/seq/clusters_info/cluster_1123 b/seq/clusters_info/cluster_1123 deleted file mode 100644 index 0c295ef..0000000 --- a/seq/clusters_info/cluster_1123 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086159.1 nonstructural protein [Silverwater virus] -YP_009293593.1 nonstructural protein [Huangpi Tick Virus 2] -YP_009551637.1 non-structural protein [Kaisodi virus] diff --git a/seq/clusters_info/cluster_1124 b/seq/clusters_info/cluster_1124 deleted file mode 100644 index 0f0704d..0000000 --- a/seq/clusters_info/cluster_1124 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010085103.1 9.3K protein [Maguari virus] -YP_009666868.1 hypothetical protein [Tensaw virus] -sp|P16607.1|Y9KD_MAGV RecName: Full=Putative uncharacterized 9.3 kDa protein diff --git a/seq/clusters_info/cluster_1125 b/seq/clusters_info/cluster_1125 deleted file mode 100644 index a3dd939..0000000 --- a/seq/clusters_info/cluster_1125 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088097.1 3C [tottorivirus A1] -YP_006846326.1 3C [Bovine hungarovirus 1] -NP_740358.1 3C protein [Teschovirus A] diff --git a/seq/clusters_info/cluster_1126 b/seq/clusters_info/cluster_1126 deleted file mode 100644 index 3cdf9a7..0000000 --- a/seq/clusters_info/cluster_1126 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010088088.1 VP4 [tottorivirus A1] -YP_006846317.1 VP4 [Bovine hungarovirus 1] -NP_740349.1 VP4 protein [Teschovirus A] diff --git a/seq/clusters_info/cluster_1127 b/seq/clusters_info/cluster_1127 deleted file mode 100644 index ab34226..0000000 --- a/seq/clusters_info/cluster_1127 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337861.1 putative glycoprotein [Wenling crustacean virus 13] -YP_009337857.1 putative glycoprotein [Wenling crustacean virus 14] -YP_009177714.1 glycoprotein [Tacheng Tick Virus 4] diff --git a/seq/clusters_info/cluster_1128 b/seq/clusters_info/cluster_1128 deleted file mode 100644 index 36b71a2..0000000 --- a/seq/clusters_info/cluster_1128 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010084019.1 putative coat protein [LeviOr01 phage] -NP_042305.1 coat protein [Pseudomonas phage PP7] -sp|P03630.1|COAT_BPPP7 RecName: Full=Coat protein diff --git a/seq/clusters_info/cluster_1129 b/seq/clusters_info/cluster_1129 deleted file mode 100644 index da4d5e0..0000000 --- a/seq/clusters_info/cluster_1129 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342303.1 hypothetical protein 3 [Wenzhou tombus-like virus 9] -YP_009336828.1 hypothetical protein 3 [Beihai tombus-like virus 3] -YP_009330016.1 hypothetical protein 3 [Beihai tombus-like virus 4] diff --git a/seq/clusters_info/cluster_113 b/seq/clusters_info/cluster_113 deleted file mode 100644 index f45da53..0000000 --- a/seq/clusters_info/cluster_113 +++ /dev/null @@ -1,38 +0,0 @@ -YP_009118627.1 neuraminidase [Influenza A virus (A/California/07/2009(H1N1))] -YP_308668.1 neuraminidase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -NP_040981.1 neuraminidase [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|B3EUQ9.1|NRAM_I61A1 RecName: Full=Neuraminidase -sp|Q809V4.2|NRAM_I01A1 RecName: Full=Neuraminidase -sp|P03470.2|NRAM_I33A0 RecName: Full=Neuraminidase -sp|Q9IGQ6.1|NRAM_I18A0 RecName: Full=Neuraminidase -sp|P11485.1|NRAM_I83A1 RecName: Full=Neuraminidase -sp|A8C8W6.1|NRAM_I67A2 RecName: Full=Neuraminidase -sp|A4GCI9.1|NRAM_I36A0 RecName: Full=Neuraminidase -sp|A4GCM2.1|NRAM_I35A3 RecName: Full=Neuraminidase -sp|B4URD9.1|NRAM_I06A0 RecName: Full=Neuraminidase -sp|Q809V0.2|NRAM_I01A0 RecName: Full=Neuraminidase -sp|Q809U7.2|NRAM_I01A3 RecName: Full=Neuraminidase -sp|Q6DPI6.2|NRAM_I02A7 RecName: Full=Neuraminidase -sp|Q6DPK0.2|NRAM_I02A3 RecName: Full=Neuraminidase -sp|Q6DPK1.2|NRAM_I02A2 RecName: Full=Neuraminidase -sp|P03469.2|NRAM_I77AB RecName: Full=Neuraminidase -sp|Q76WJ1.1|NRAM_I76A7 RecName: Full=Neuraminidase -sp|Q2LFV4.1|NRAM_I05A1 RecName: Full=Neuraminidase -sp|Q9W7Y7.2|NRAM_I97A1 RecName: Full=Neuraminidase -sp|Q6DPH9.1|NRAM_I03A1 RecName: Full=Neuraminidase -sp|Q8JSD9.1|NRAM_I47A0 RecName: Full=Neuraminidase -sp|Q710U6.1|NRAM_I59A0 RecName: Full=Neuraminidase -sp|Q6J8E4.1|NRAM_I03A0 RecName: Full=Neuraminidase -sp|P03477.2|NRAM_I68A3 RecName: Full=Neuraminidase -sp|Q64968.1|NRAM_I34A0 RecName: Full=Neuraminidase -sp|P06819.1|NRAM_I73A0 RecName: Full=Neuraminidase -sp|A3DRP3.1|NRAM_I96A2 RecName: Full=Neuraminidase -sp|A4K146.1|NRAM_I54A2 RecName: Full=Neuraminidase -sp|A4U7A9.1|NRAM_I51A0 RecName: Full=Neuraminidase -sp|A4U6V5.1|NRAM_I45A0 RecName: Full=Neuraminidase -sp|A4GCL1.1|NRAM_I43A0 RecName: Full=Neuraminidase -sp|A8C8J7.1|NRAM_I07A0 RecName: Full=Neuraminidase -sp|Q0HD57.1|NRAM_I40A0 RecName: Full=Neuraminidase -sp|Q289M4.1|NRAM_I00A1 RecName: Full=Neuraminidase -sp|Q07FI2.1|NRAM_I96A3 RecName: Full=Neuraminidase -sp|Q6XV43.1|NRAM_I79A7 RecName: Full=Neuraminidase diff --git a/seq/clusters_info/cluster_1130 b/seq/clusters_info/cluster_1130 deleted file mode 100644 index 6dce003..0000000 --- a/seq/clusters_info/cluster_1130 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087312.1 glycoprotein [Muir Springs virus] -YP_010087306.1 glycoprotein [Bahia Grande virus] -YP_009552804.1 glycoprotein [Zahedan rhabdovirus] diff --git a/seq/clusters_info/cluster_1131 b/seq/clusters_info/cluster_1131 deleted file mode 100644 index f38cbc7..0000000 --- a/seq/clusters_info/cluster_1131 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087292.1 matrix protein [Raspberry vein chlorosis virus] -YP_009177018.1 matrix protein [Alfalfa dwarf virus] -YP_009301359.1 matrix protein [Wuhan Insect virus 6] diff --git a/seq/clusters_info/cluster_1132 b/seq/clusters_info/cluster_1132 deleted file mode 100644 index 862c48e..0000000 --- a/seq/clusters_info/cluster_1132 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087290.1 phosphoprotein [Raspberry vein chlorosis virus] -YP_009177016.1 phosphoprotein [Alfalfa dwarf virus] -YP_009301357.1 phosphoprotein [Wuhan Insect virus 6] diff --git a/seq/clusters_info/cluster_1133 b/seq/clusters_info/cluster_1133 deleted file mode 100644 index 103b9eb..0000000 --- a/seq/clusters_info/cluster_1133 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010087178.1 matrix protein [Cuiaba virus] -YP_009362270.1 matrix [Chaco virus] -YP_009362107.1 matrix [Sena Madureira virus] diff --git a/seq/clusters_info/cluster_1134 b/seq/clusters_info/cluster_1134 deleted file mode 100644 index e408ad9..0000000 --- a/seq/clusters_info/cluster_1134 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086792.1 matrix protein [Cabbage cytorhabdovirus 1] -YP_002308374.1 M protein [Lettuce yellow mottle virus] -YP_425090.1 matrix protein [Lettuce necrotic yellows virus] diff --git a/seq/clusters_info/cluster_1135 b/seq/clusters_info/cluster_1135 deleted file mode 100644 index 79774d7..0000000 --- a/seq/clusters_info/cluster_1135 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086790.1 phosphoprotein [Cabbage cytorhabdovirus 1] -YP_002308372.1 P protein [Lettuce yellow mottle virus] -YP_425088.1 phospoprotein [Lettuce necrotic yellows virus] diff --git a/seq/clusters_info/cluster_1136 b/seq/clusters_info/cluster_1136 deleted file mode 100644 index 3b1baa7..0000000 --- a/seq/clusters_info/cluster_1136 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010084455.1 glycoprotein [Lepeophtheirus salmonis rhabdovirus 9] -YP_009362264.1 glycoprotein [Klamath virus] -YP_238533.1 glycoprotein [Tupaia virus] diff --git a/seq/clusters_info/cluster_1137 b/seq/clusters_info/cluster_1137 deleted file mode 100644 index 0b47f0b..0000000 --- a/seq/clusters_info/cluster_1137 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086600.1 matrix protein [Physostegia chlorotic mottle virus] -YP_009094356.1 matrix protein [Eggplant mottled dwarf nucleorhabdovirus] -YP_004927969.1 matrix protein [Potato yellow dwarf nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_1138 b/seq/clusters_info/cluster_1138 deleted file mode 100644 index 2c2caaa..0000000 --- a/seq/clusters_info/cluster_1138 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086599.1 putative movement protein [Physostegia chlorotic mottle virus] -YP_009094355.1 putative movement protein [Eggplant mottled dwarf nucleorhabdovirus] -YP_004927968.1 putative movement protein [Potato yellow dwarf nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_1139 b/seq/clusters_info/cluster_1139 deleted file mode 100644 index 799bbba..0000000 --- a/seq/clusters_info/cluster_1139 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086597.1 X protein [Physostegia chlorotic mottle virus] -YP_009094353.1 X protein [Eggplant mottled dwarf nucleorhabdovirus] -YP_004927966.1 X protein [Potato yellow dwarf nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_114 b/seq/clusters_info/cluster_114 deleted file mode 100644 index dae3133..0000000 --- a/seq/clusters_info/cluster_114 +++ /dev/null @@ -1,37 +0,0 @@ -YP_010229201.1 polyprotein P1 [Potato virus B] -YP_009551543.1 polyprotein [Potato virus U] -YP_009551522.1 polyprotein 1 [Red clover nepovirus A] -YP_009667034.1 polyprotein [Artichoke Italian latent virus] -YP_009342468.1 polyprotein [Petunia chlorotic mottle virus] -YP_009508092.1 polyprotein [Artichoke yellow ringspot virus] -YP_009507923.1 polyprotein 1 [Mulberry mosaic leaf roll associated virus] -YP_009507921.1 polyprotein [Melon mild mottle virus] -YP_009507920.1 polyprotein [Blueberry latent spherical virus] -YP_009507918.1 polyprotein P1 [Aeonium ringspot virus] -YP_009351878.1 polyprotein [Peach rosette mosaic virus] -YP_009330271.1 polyprotein [Soybean latent spherical virus] -YP_008802578.1 RNA 1 polyprotein [Potato black ringspot virus] -YP_006576510.1 polyprotein p1 [Grapevine Anatolian ringspot virus] -YP_006347591.1 polyprotein [Grapevine deformation virus] -YP_004429248.1 polyprotein segment 1 [Grapevine Bulgarian latent virus] -YP_004382746.1 polyprotein 1 [Cherry leaf roll virus] -YP_053925.1 polyprotein 1 [Arabis mosaic virus] -NP_958814.1 polyprotein [Tomato black ring virus] -NP_944487.1 polyprotein 1 [Raspberry ringspot virus] -NP_919040.1 RNA1 polyprotein [Tobacco ringspot virus] -NP_620765.1 polyprotein [Tomato ringspot virus] -NP_620619.1 polyprotien 1 [Cycas necrotic stunt virus] -NP_620112.1 polyprotein [Beet ringspot virus] -NP_619705.1 polyprotein [Grapevine chrome mosaic virus] -NP_619689.1 253K polyprotein [Grapevine fanleaf virus] -NP_612604.1 polyprotein [Blackcurrant reversion virus] -sp|P18522.1|POL1_BRSV RecName: Full=RNA1 polyprotein; AltName: Full=P1; Contains: RecName: Full=P1A protein; Short=1A; AltName: Full=Protease cofactor; Contains: RecName: Full=Putative ATP-dependent helicase; AltName: Full=1B; AltName: Full=Membrane-binding protein; AltName: Full=NTP-binding protein; Short=NTB; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=1C-VPg; Contains: RecName: Full=Picornain 3C-like protease; Short=3C-like protease; AltName: Full=1D-PRO; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=1E-POL -ABM65095.1 polyprotein, partial [Tomato white ringspot virus] -AIT39627.1 polyprotein [Tomato ringspot virus] -AQM74368.1 polyprotein [Tomato black ring virus] -AAB69867.1 RNA1 polyprotein [Peach rosette mosaic virus] -AQW44800.1 polyprotein [Arracacha virus A] -AFM91094.1 polyprotein P1 [Grapevine fanleaf virus] -CDN67480.1 polyprotein [Tomato black ring virus] -AFB82733.1 polyprotein [Cherry leaf roll virus] -ADJ39329.1 polyprotein P1-I [Arabis mosaic virus] diff --git a/seq/clusters_info/cluster_1140 b/seq/clusters_info/cluster_1140 deleted file mode 100644 index ac5e5f0..0000000 --- a/seq/clusters_info/cluster_1140 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086584.1 matrix [Sawgrass virus] -YP_010086567.1 matrix [New Minto virus] -YP_009094015.1 putative matrix [Long Island tick rhabdovirus] diff --git a/seq/clusters_info/cluster_1141 b/seq/clusters_info/cluster_1141 deleted file mode 100644 index e9a5ce2..0000000 --- a/seq/clusters_info/cluster_1141 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086583.1 phosphoprotein [Sawgrass virus] -YP_010086566.1 phosphoprotein [New Minto virus] -YP_009094014.1 putative phosphoprotein [Long Island tick rhabdovirus] diff --git a/seq/clusters_info/cluster_1142 b/seq/clusters_info/cluster_1142 deleted file mode 100644 index 3356915..0000000 --- a/seq/clusters_info/cluster_1142 +++ /dev/null @@ -1,3 +0,0 @@ -YP_010086578.1 small hydrophobic protein [Harrison Dam virus] -YP_009176983.1 small hydrophobic protein [Walkabout Creek virus] -YP_009094439.1 small hydrophobic protein [Sunguru virus] diff --git a/seq/clusters_info/cluster_1143 b/seq/clusters_info/cluster_1143 deleted file mode 100644 index 41ed330..0000000 --- a/seq/clusters_info/cluster_1143 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337070.1 putative glycoprotein [Hubei dimarhabdovirus virus 2] -YP_009315879.1 glycoprotein [Gata virus] -YP_009301742.1 glycoprotein [Wuhan Insect virus 7] diff --git a/seq/clusters_info/cluster_1144 b/seq/clusters_info/cluster_1144 deleted file mode 100644 index eb6d32e..0000000 --- a/seq/clusters_info/cluster_1144 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009045176.1 NS2 [Simian pegivirus] -NP_803212.1 putative protein p7-NS2 [Pegivirus A] -NP_803204.1 putative protein p7-NS2 [GB virus C] diff --git a/seq/clusters_info/cluster_1145 b/seq/clusters_info/cluster_1145 deleted file mode 100644 index a477f7b..0000000 --- a/seq/clusters_info/cluster_1145 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009996584.1 viral protein 7 [Johnston Atoll quaranjavirus] -YP_009987464.1 viral protein 7 [Lake Chad virus] -YP_009110684.1 viral protein 7 [Wellfleet Bay virus] diff --git a/seq/clusters_info/cluster_1146 b/seq/clusters_info/cluster_1146 deleted file mode 100644 index e193762..0000000 --- a/seq/clusters_info/cluster_1146 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553284.1 glycoprotein [Oz virus] -YP_145808.1 glycoprotein 75 [Thogoto thogotovirus] -sp|P27427.1|ENV_DHVI1 RecName: Full=Envelope glycoprotein; Flags: Precursor diff --git a/seq/clusters_info/cluster_1147 b/seq/clusters_info/cluster_1147 deleted file mode 100644 index d751198..0000000 --- a/seq/clusters_info/cluster_1147 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553281.1 polymerase subunit [Oz virus] -YP_009352873.1 polymerase subunit PA [Dhori thogotovirus] -YP_145795.1 PA polymerase subunit [Thogoto thogotovirus] diff --git a/seq/clusters_info/cluster_1148 b/seq/clusters_info/cluster_1148 deleted file mode 100644 index a262147..0000000 --- a/seq/clusters_info/cluster_1148 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009518855.1 small hydrophobic protein [Human orthopneumovirus] -NP_056861.1 small hydrophobic protein [Human orthopneumovirus] -sp|P69360.1|SH_HRSV8 RecName: Full=Small hydrophobic protein; AltName: Full=Small protein 1A diff --git a/seq/clusters_info/cluster_1149 b/seq/clusters_info/cluster_1149 deleted file mode 100644 index b569198..0000000 --- a/seq/clusters_info/cluster_1149 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009505453.1 small hydrophobic protein [Bovine respiratory syncytial virus ATCC51908] -sp|P32554.1|SH_BRSV3 RecName: Full=Small hydrophobic protein; AltName: Full=Small protein 1A -sp|P24616.1|SH_BRSVA RecName: Full=Small hydrophobic protein; AltName: Full=Small protein 1A diff --git a/seq/clusters_info/cluster_115 b/seq/clusters_info/cluster_115 deleted file mode 100644 index 572260a..0000000 --- a/seq/clusters_info/cluster_115 +++ /dev/null @@ -1,37 +0,0 @@ -NP_620659.1 putative RDRP [Rhizoctonia solani virus 717] -YP_009551641.1 putative RNA-dependent RNA polymerase [Bipolaris maydis partitivirus 2] -YP_009665970.1 putative RNA dependent RNA polymerase [Heterobasidion annosum P-type partitivirus] -YP_009508063.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 8] -YP_009508061.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 2] -YP_009508059.1 RNA-dependent RNA polymerase [Crimson clover cryptic virus 2] -YP_009449449.1 RNA dependent RNA polymerase [Rosellinia necatrix partitivirus 8] -YP_009408640.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 7] -YP_009293586.1 RNA-dependent RNA polymerase [Cannabis cryptic virus] -YP_009272951.1 RNA-dependent RNA polymerase [Fusarium poae virus 1-240374] -YP_009272947.1 RNA-dependent RNA polymerase [Fusarium poae partitivirus 2] -YP_009177606.1 RNA dependent RNA polymerase [Rosellinia necatrix partitivirus 6] -YP_007891054.1 RNA-dependent RNA polymerase [Dill cryptic virus 2] -YP_007889825.1 RNA-dependent RNA polymerase [Hop trefoil cryptic virus 2] -YP_007889823.1 RNA-dependent RNA polymerase [Red clover cryptic virus 2] -YP_007889821.1 RNA-dependent RNA polymerase [White clover cryptic virus 2] -YP_003104768.1 putative RNA-dependent RNA polymerase [Primula malacoides virus China/Mar2007] -YP_001936016.1 RNA-dependent RNA polymerase [Ceratocystis resinifera virus 1] -YP_001911122.1 putative RNA-dependent RNA polymerase [Ceratocystis polonica partitivirus] -YP_392480.1 putative RNA-dependent RNA polymerase [Rosellinia necatrix partitivirus 1-W8] -YP_227355.1 RNA-dependent RNA polymerase [Pleurotus ostreatus virus 1] -NP_624349.1 putative RNA dependent RNA polymerase [Fusarium poae virus 1] -NP_604475.1 Putative RNA dependent RNA polymerase [Atkinsonella hypoxylon virus] -AQS27950.1 putative RNA-dependent RNA polymerase [Lentinula edodes partitivirus 1] -ADO60922.1 putative RNA-dependent RNA polymerase [Grapevine associated partitivirus-1] -APG78329.1 RdRp, partial [Hubei partiti-like virus 24] -AFR78160.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum partitivirus 1] -AOR51392.1 RdRp, partial [Partitivirus-like 5] -AHU88025.1 RNA-dependent RNA polymerase [Ustilaginoidea virens partitivirus 2] -BAT24481.1 defective RNA dependent RNA polymerase [Rosellinia necatrix partitivirus 6] -ALT08065.1 putative RNA-dependent RNA polymerase [Cucurbitaria piceae virus 1] -AFX73019.1 RNA dependent RNA polymerase [Grapevine partitivirus] -APG78232.1 RdRp [Hubei partiti-like virus 23] -AFX73024.1 RNA dependent RNA polymerase [Grapevine partitivirus] -BAM36401.1 RNA-dependent RNA-polymerase [Rosellinia necatrix partitivirus 3] -BAM36402.1 RNA-dependent RNA-polymerase [Rosellinia necatrix partitivirus 4] -BAD32677.1 RNA-dependent RNA polymerase [Helicobasidium mompa partitivirus V1-1] diff --git a/seq/clusters_info/cluster_1150 b/seq/clusters_info/cluster_1150 deleted file mode 100644 index 980f8d2..0000000 --- a/seq/clusters_info/cluster_1150 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009944293.1 nsp2 [Betacoronavirus England 1] -YP_009944355.1 nsp2 [Pipistrellus bat coronavirus HKU5] -YP_009944326.1 nsp2 [Tylonycteris bat coronavirus HKU4] diff --git a/seq/clusters_info/cluster_1151 b/seq/clusters_info/cluster_1151 deleted file mode 100644 index b8cefd6..0000000 --- a/seq/clusters_info/cluster_1151 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009944292.1 nsp1 [Betacoronavirus England 1] -YP_009944354.1 nsp1 [Pipistrellus bat coronavirus HKU5] -YP_009944325.1 nsp1 [Tylonycteris bat coronavirus HKU4] diff --git a/seq/clusters_info/cluster_1152 b/seq/clusters_info/cluster_1152 deleted file mode 100644 index 8257a61..0000000 --- a/seq/clusters_info/cluster_1152 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009944266.1 envelope protein [Bat coronavirus] -YP_001039973.1 envelope protein [Rousettus bat coronavirus HKU9] -YP_009273007.1 small envelope protein [Rousettus bat coronavirus] diff --git a/seq/clusters_info/cluster_1153 b/seq/clusters_info/cluster_1153 deleted file mode 100644 index 225ec22..0000000 --- a/seq/clusters_info/cluster_1153 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009824991.1 ORF3 protein [Bat coronavirus] -YP_001039972.1 hypothetical protein NS3 [Rousettus bat coronavirus HKU9] -YP_009273006.1 NS3 protein [Rousettus bat coronavirus] diff --git a/seq/clusters_info/cluster_1154 b/seq/clusters_info/cluster_1154 deleted file mode 100644 index 5903df3..0000000 --- a/seq/clusters_info/cluster_1154 +++ /dev/null @@ -1,3 +0,0 @@ -YP_007188582.1 NS3C protein [Betacoronavirus England 1] -YP_009361860.1 ORF4b protein [Bat coronavirus] -YP_009513014.1 ORF4b [Betacoronavirus Erinaceus/VMC/DEU/2012] diff --git a/seq/clusters_info/cluster_1155 b/seq/clusters_info/cluster_1155 deleted file mode 100644 index 501bf43..0000000 --- a/seq/clusters_info/cluster_1155 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001039965.1 hypothetical protein BatCoVHKU5_gp5 [Pipistrellus bat coronavirus HKU5] -YP_001039956.1 hypothetical protein BatCoVHKU4_gp5 [Tylonycteris bat coronavirus HKU4] -sp|Q0Q4F0.1|NS3C_BC133 RecName: Full=Non-structural protein 3c; Short=ns3c; AltName: Full=Accessory protein 3c diff --git a/seq/clusters_info/cluster_1156 b/seq/clusters_info/cluster_1156 deleted file mode 100644 index 0fdd42c..0000000 --- a/seq/clusters_info/cluster_1156 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009825063.1 ORF9a protein [SARS coronavirus Tor2] -sp|P0DTD3.1|ORF9C_SARS2 RecName: Full=Putative ORF9c protein; Short=ORF9c; AltName: Full=Uncharacterized protein 14; Short=ORF14 -sp|Q3I5I5.1|Y14_BCRP3 RecName: Full=Uncharacterized protein 14 diff --git a/seq/clusters_info/cluster_1157 b/seq/clusters_info/cluster_1157 deleted file mode 100644 index 8a39920..0000000 --- a/seq/clusters_info/cluster_1157 +++ /dev/null @@ -1,3 +0,0 @@ -YP_003853308.1 3C [Oscivirus A1] -YP_003853319.1 3C [Oscivirus A2] -YP_003038643.1 3C [Salivirus NG-J1] diff --git a/seq/clusters_info/cluster_1158 b/seq/clusters_info/cluster_1158 deleted file mode 100644 index b4ab0ed..0000000 --- a/seq/clusters_info/cluster_1158 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009179371.1 2A [sicinivirus A1] -YP_009054997.1 2A [Chicken picornavirus 1] -YP_009021771.1 2A [Sicinivirus A] diff --git a/seq/clusters_info/cluster_1159 b/seq/clusters_info/cluster_1159 deleted file mode 100644 index 656761a..0000000 --- a/seq/clusters_info/cluster_1159 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009268638.1 3B [enterovirus A114] -YP_009508938.1 3B protein [Coxsackievirus A2] -NP_740534.1 3B [Enterovirus A] diff --git a/seq/clusters_info/cluster_116 b/seq/clusters_info/cluster_116 deleted file mode 100644 index 41ba214..0000000 --- a/seq/clusters_info/cluster_116 +++ /dev/null @@ -1,37 +0,0 @@ -YP_010088022.1 hypothetical protein KM760_gp1 [Ivy ringspot-associated virus] -YP_010087854.1 hypothetical protein KM754_gp1 [Camellia lemon glow virus] -YP_010087612.1 hypothetical protein KM718_gp1 [Polyscias mosaic virus] -YP_010085993.1 ORF1 [Aglaonema bacilliform virus] -YP_009553546.1 ORF1 protein [Cacao Bacilliform SriLanka Virus] -YP_009553541.1 ORF1 protein [Cacao swollen shoot Ghana K virus] -YP_009553536.1 ORF1 protein [Cacao swollen shoot Ghana J virus] -YP_009553217.1 ORF1 [Dioscorea nummularia-associated virus] -YP_009553043.1 ORF1 protein [Cacao swollen shoot Ghana R virus] -YP_009553039.1 ORF1 protein [Cacao swollen shoot CE virus] -YP_009552691.1 ORF1 protein [Cacao swollen shoot Ghana N virus] -YP_009551938.1 ORF1 protein [Cacao swollen shoot Ghana L virus] -YP_009666828.1 ORF1 protein [Cacao swollen shoot Ghana Q virus] -YP_009666824.1 ORF1 protein [Cacao swollen shoot Ghana M virus] -YP_009508441.1 ORF1 [Dioscorea bacilliform TR virus] -YP_009508409.1 ORF1 protein [Dioscorea bacilliform RT virus 2] -YP_009508406.1 ORF1 protein [Dioscorea bacilliform RT virus 1] -YP_009506265.1 ORF1 protein [Dioscorea bacilliform AL virus] -YP_009506249.1 hypothetical protein [Cacao swollen shoot CD virus] -YP_009408591.1 P1 [Jujube mosaic-associated virus] -YP_009345073.1 hypothetical protein [Cacao yellow vein banding virus] -YP_009345069.1 hypothetical protein [Cacao mild mosaic virus] -YP_009229917.1 hypothetical protein [Blackberry virus F] -YP_009140786.1 hypothetical protein [Grapevine Roditis leaf discoloration-associated virus] -YP_009130662.1 hypothetical protein [Taro bacilliform CH virus] -YP_009121745.1 ORF1 [Yacon necrotic mottle virus] -YP_009002583.1 hypothetical protein [Hibiscus bacilliform virus GD1] -YP_008567617.1 ORF1 [Piper yellow mottle virus] -YP_006273073.1 hypothetical protein [Fig badnavirus 1] -YP_004581510.1 hypothetical protein [Sweet potato pakakuy virus] -YP_003208048.1 hypothetical protein [Pelargonium vein banding virus] -YP_002916054.1 hypothetical protein [Sweet potato badnavirus B] -YP_001036291.1 ORF1 protein [Dioscorea bacilliform virus] -YP_610963.1 hypothetical protein DMV_gp1 [Dracaena mottle virus] -NP_758806.1 unknown [Taro bacilliform virus] -NP_569151.1 unknown [Citrus yellow mosaic virus] -NP_041732.1 hypothetical protein CSSVgp1 [Cacao swollen shoot virus] diff --git a/seq/clusters_info/cluster_1160 b/seq/clusters_info/cluster_1160 deleted file mode 100644 index ab5c9d8..0000000 --- a/seq/clusters_info/cluster_1160 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001686947.1 3C [bovine rhinitis B virus 1] -YP_009513263.1 3C [Equine rhinitis A virus] -YP_009508918.1 3Cpro [Bovine rhinitis A virus] diff --git a/seq/clusters_info/cluster_1161 b/seq/clusters_info/cluster_1161 deleted file mode 100644 index 607db2f..0000000 --- a/seq/clusters_info/cluster_1161 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001686943.1 2B [bovine rhinitis B virus 1] -YP_009513260.1 2B [Equine rhinitis A virus] -YP_009508914.1 2B [Bovine rhinitis A virus] diff --git a/seq/clusters_info/cluster_1162 b/seq/clusters_info/cluster_1162 deleted file mode 100644 index faddbea..0000000 --- a/seq/clusters_info/cluster_1162 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001686937.1 Leader [bovine rhinitis B virus 1] -YP_009513253.1 Lab [Equine rhinitis A virus] -YP_009508908.1 Lab [Bovine rhinitis A virus] diff --git a/seq/clusters_info/cluster_1163 b/seq/clusters_info/cluster_1163 deleted file mode 100644 index ebc7025..0000000 --- a/seq/clusters_info/cluster_1163 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009273010.1 putative p10 protein [Rousettus bat coronavirus] -YP_009507785.1 membrane fusion protein p10 [Pulau reovirus] -YP_007507326.1 P10 [Melaka orthoreovirus] diff --git a/seq/clusters_info/cluster_1164 b/seq/clusters_info/cluster_1164 deleted file mode 100644 index e9639c5..0000000 --- a/seq/clusters_info/cluster_1164 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009825021.1 structural glycoprotein [Yellow head virus] -YP_009666327.1 structural glycoprotein [Yellow head virus] -YP_001661455.1 polyprotein precursor [Gill-associated virus] diff --git a/seq/clusters_info/cluster_1165 b/seq/clusters_info/cluster_1165 deleted file mode 100644 index d1610f8..0000000 --- a/seq/clusters_info/cluster_1165 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009825020.1 nucleocapsid protein [Yellow head virus] -YP_009666326.1 nucleocapsid protein [Yellow head virus] -YP_001661454.1 p20 nucleocapsid protein [Gill-associated virus] diff --git a/seq/clusters_info/cluster_1166 b/seq/clusters_info/cluster_1166 deleted file mode 100644 index 251729c..0000000 --- a/seq/clusters_info/cluster_1166 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009824956.1 N protein [Zambian malbrouck virus 1] -YP_009505580.1 ORF9 protein [Simian hemorrhagic encephalitis virus] -YP_009249821.1 N protein [Free State vervet virus] diff --git a/seq/clusters_info/cluster_1167 b/seq/clusters_info/cluster_1167 deleted file mode 100644 index e9d1fd4..0000000 --- a/seq/clusters_info/cluster_1167 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009824953.1 ORF5a protein [Zambian malbrouck virus 1] -YP_009505577.1 ORF7a protein [Simian hemorrhagic encephalitis virus] -YP_009249818.1 ORF5a protein [Free State vervet virus] diff --git a/seq/clusters_info/cluster_1168 b/seq/clusters_info/cluster_1168 deleted file mode 100644 index 6e28f29..0000000 --- a/seq/clusters_info/cluster_1168 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009824951.1 GP2b protein [Zambian malbrouck virus 1] -YP_009505574.1 ORF4b protein [Simian hemorrhagic encephalitis virus] -YP_009249815.1 GP2b protein [Free State vervet virus] diff --git a/seq/clusters_info/cluster_1169 b/seq/clusters_info/cluster_1169 deleted file mode 100644 index 551a400..0000000 --- a/seq/clusters_info/cluster_1169 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009176997.1 G protein [Santa barbara virus] -YP_009176969.1 G [Inhangapi virus] -YP_009094164.1 G protein [Xiburema virus] diff --git a/seq/clusters_info/cluster_117 b/seq/clusters_info/cluster_117 deleted file mode 100644 index 375c1f1..0000000 --- a/seq/clusters_info/cluster_117 +++ /dev/null @@ -1,37 +0,0 @@ -YP_009380532.1 capsid protein [Mamastrovirus 2] -YP_009094279.1 capsid protein precursor [Mamastrovirus 3] -YP_009666026.1 caspsid protein [Astrovirus dogfaeces/Italy/2005] -YP_009664776.1 capsid protein [Bottlenose dolphin astrovirus 1] -YP_009480538.1 ORF2 [Sichuan takin astrovirus] -YP_009448181.1 capsid protein [Rodent astrovirus] -YP_009422197.1 structural polyprotein [Bastrovirus 7] -YP_009380534.1 capsid protein [California sea lion astrovirus 2] -YP_009345904.1 capsid protein [Qinghai Himalayan marmot astrovirus 2] -YP_009275018.1 capsid protein [Mamastrovirus 1] -YP_009162619.1 capsid protein [Dromedary astrovirus] -YP_009154707.1 capsid protein [Astrovirus Er/SZAL6/HUN/2011] -YP_009130649.1 capsid precursor [Canine astrovirus] -YP_009094054.1 capsid [Rabbit astrovirus TN/2208/2010] -YP_009041464.1 capsid protein precursor [Bovine astrovirus] -YP_009010983.1 ORF2 [Porcine astrovirus 4] -YP_009010980.1 ORF2 [Porcine astrovirus 2] -YP_009010970.1 ORF2 [Porcine astrovirus 5] -YP_009010954.1 capsid protein precursor [Bovine astrovirus B170/HK] -YP_009010951.1 capsid protein precursor [Bovine astrovirus B18/HK] -YP_009010948.1 capsid protein precursor [Bovine astrovirus B76-2/HK] -YP_009010945.1 capsid protein precursor [Bovine astrovirus B76/HK] -YP_008519303.1 capsid protein precursor [Feline astrovirus 2] -YP_006905854.1 putative capsid protein [Astrovirus MLB3] -YP_006843892.1 capsid protein [Murine astrovirus] -YP_004934010.1 unnamed protein product [Astrovirus MLB2] -YP_005271209.1 ORF2 [Astrovirus wild boar/WBAstV-1/2011/HUN] -YP_002290968.1 capsid protein [Astrovirus MLB1] -NP_059444.1 capsid precursor protein [Human astrovirus] -sp|Q9IFX1.2|CAPSD_HASV8 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -sp|Q3ZN05.1|CAPSD_HASV4 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -sp|Q4TWH7.1|CAPSD_HASV5 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -sp|Q9WFZ0.1|CAPSD_HASV3 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -sp|Q9JG99.1|CAPSD_PASV1 RecName: Full=Capsid polyprotein VP90 -sp|Q96818.2|CAPSD_HASV7 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -sp|Q67815.1|CAPSD_HASV6 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -sp|Q82446.1|CAPSD_HASV2 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 diff --git a/seq/clusters_info/cluster_1170 b/seq/clusters_info/cluster_1170 deleted file mode 100644 index 21d28cf..0000000 --- a/seq/clusters_info/cluster_1170 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009755881.1 hypothetical protein [Hainan hebius popei torovirus] -YP_009344973.1 hypothetical protein [Xinzhou toro-like virus] -YP_009142790.1 M1 [Bovine nidovirus TCH5] diff --git a/seq/clusters_info/cluster_1171 b/seq/clusters_info/cluster_1171 deleted file mode 100644 index 0d792e8..0000000 --- a/seq/clusters_info/cluster_1171 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009755850.1 putative glycoprotein [Bellinger River virus] -YP_009408176.1 TM-glycoprotein [Morelia viridis nidovirus] -YP_009052482.1 TM-glycoprotein [Ball python nidovirus 1] diff --git a/seq/clusters_info/cluster_1172 b/seq/clusters_info/cluster_1172 deleted file mode 100644 index bd3b9e4..0000000 --- a/seq/clusters_info/cluster_1172 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009508055.1 capsid protein [Heterobasidion partitivirus 15] -YP_009508054.1 capsid protein [Heterobasidion partitivirus 13] -YP_009508050.1 putative coat protein [Heterobasidion partitivirus 1] diff --git a/seq/clusters_info/cluster_1173 b/seq/clusters_info/cluster_1173 deleted file mode 100644 index 6bae705..0000000 --- a/seq/clusters_info/cluster_1173 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507716.1 VP6 [Lebombo virus] -YP_052964.1 VP6 [African horse sickness virus] -sp|Q64909.1|VP6_AHSV3 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 diff --git a/seq/clusters_info/cluster_1174 b/seq/clusters_info/cluster_1174 deleted file mode 100644 index da190f0..0000000 --- a/seq/clusters_info/cluster_1174 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009709874.1 protein p7 [Hepacivirus P] -YP_007905987.1 p7 protein [Rodent hepacivirus] -YP_009325405.1 protein p7 [Norway rat hepacivirus 2] diff --git a/seq/clusters_info/cluster_1175 b/seq/clusters_info/cluster_1175 deleted file mode 100644 index 86ff1e3..0000000 --- a/seq/clusters_info/cluster_1175 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553311.1 RNA-dependent RNA polymerase [Aspergillus fumigatus partitivirus 2] -APT70073.1 RNA-dependent RNA polymerase [Alternaria alternata partitivirus 1] -AGZ84316.1 putative RNA-dependent RNA polymerase [Botryosphaeria dothidea partitivirus 1] diff --git a/seq/clusters_info/cluster_1176 b/seq/clusters_info/cluster_1176 deleted file mode 100644 index 9d7a02c..0000000 --- a/seq/clusters_info/cluster_1176 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553283.1 matrix protein [Oz virus] -YP_009352875.1 M protein [Dhori thogotovirus] -YP_145805.1 ML [Thogoto thogotovirus] diff --git a/seq/clusters_info/cluster_1177 b/seq/clusters_info/cluster_1177 deleted file mode 100644 index 688247c..0000000 --- a/seq/clusters_info/cluster_1177 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553279.1 polymerase basic subunit 2 [Oz virus] -YP_009352880.1 polymerase subunit PB2 [Dhori thogotovirus] -YP_145810.1 PB2 polymerase subunit [Thogoto thogotovirus] diff --git a/seq/clusters_info/cluster_1178 b/seq/clusters_info/cluster_1178 deleted file mode 100644 index bcad03a..0000000 --- a/seq/clusters_info/cluster_1178 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551663.1 hypothetical protein [Stemphylium lycopersici mycovirus] -YP_007353983.1 hypothetical protein [Aspergillus foetidus dsRNA mycovirus] -YP_001976151.1 hypothetical protein AltMyV_sM2gp1 [Alternaria alternata virus 1] diff --git a/seq/clusters_info/cluster_1179 b/seq/clusters_info/cluster_1179 deleted file mode 100644 index a8c7c59..0000000 --- a/seq/clusters_info/cluster_1179 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551661.1 coat protein [Stemphylium lycopersici mycovirus] -YP_007353982.1 hypothetical protein [Aspergillus foetidus dsRNA mycovirus] -YP_001976150.1 hypothetical protein AltMyV_sM1gp1 [Alternaria alternata virus 1] diff --git a/seq/clusters_info/cluster_118 b/seq/clusters_info/cluster_118 deleted file mode 100644 index f32d910..0000000 --- a/seq/clusters_info/cluster_118 +++ /dev/null @@ -1,37 +0,0 @@ -YP_009179216.1 polyprotein [hepatovirus H2] -YP_009553710.1 putative VP2 [tremovirus B1] -YP_009552829.1 polyprotein [tremovirus B1] -YP_009505614.1 polyprotein [Bat hepatovirus BUO2BF86Colafr2010] -YP_009505613.1 polyprotein [Rodent hepatovirus KEF121Sigmas2012] -YP_009505612.1 polyprotein [Rodent hepatovirus CIV459Lopsik2004] -YP_009505611.1 polyprotein [Bat hepatovirus SMG18520Minmav2014] -YP_009220468.1 VP2 [Tupaia hepatovirus A] -YP_009215780.1 polyprotein [Tupaia hepatovirus A] -YP_009179215.1 polyprotein [Hedgehog hepatovirus Igel8Erieur2014] -YP_009179214.1 polyprotein [Shrew hepatovirus KS121232Sorara2012] -YP_009179213.1 polyprotein [Rodent hepatovirus RMU101637Micarv2010] -YP_009164959.1 VP2 [Phopivirus] -YP_009164030.1 polyprotein [Phopivirus] -YP_009133208.1 polyprotein [Falcovirus A1] -NP_653151.1 aev polyprotein [tremovirus A1] -NP_041007.1 hypothetical protein HAVgp1 [Hepatovirus A] -sp|Q9DWR1.4|POLG_HAVNO RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|Q05794.2|POLG_HAVHA RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|A3FMB2.1|POLG_HAVH2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|Q67825.1|POLG_HAVGB RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|Q8V0N6.1|POLG_HAV88 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|Q5Y944.1|POLG_HAVCF RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|A5LGW7.1|POLG_HAVJ8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|Q6R325.1|POLG_AEVVR RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B; Short=P3B; AltName: Full=VPg; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|Q6WQ42.1|POLG_AEVL2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B; Short=P3B; AltName: Full=VPg; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|P14553.2|POLG_HAVS2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|P06441.1|POLG_HAVLA RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -sp|P31788.1|POLG_HAVSC RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B -ALR74730.1 polyprotein [tremovirus A1] -ALL35264.1 polyprotein [hepatovirus D2] -APA29018.1 polyprotein [Picornaviridae sp. rodent/Rn/PicoV/SX2015_1] -APA29019.1 polyprotein [Picornaviridae sp. rodent/CK/PicoV/Tibet2014] -AMQ25905.1 polyprotein [Hepatovirus A] -ALL35269.1 polyprotein [Hedgehog hepatovirus] -APA29017.1 polyprotein [Picornaviridae sp. rodent/Ds/PicoV/IM2014] -ALL35272.1 polyprotein [hepatovirus G2] diff --git a/seq/clusters_info/cluster_1180 b/seq/clusters_info/cluster_1180 deleted file mode 100644 index bc43a07..0000000 --- a/seq/clusters_info/cluster_1180 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551656.1 hypothetical protein [Zea mays chrysovirus 1] -YP_009666329.1 putative protease [Persea americana chrysovirus] -YP_009667026.1 p98 [Anthurium mosaic-associated virus] diff --git a/seq/clusters_info/cluster_1181 b/seq/clusters_info/cluster_1181 deleted file mode 100644 index ffa101f..0000000 --- a/seq/clusters_info/cluster_1181 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551654.1 putative coat protein [Zea mays chrysovirus 1] -YP_009666330.1 putative coat protein [Persea americana chrysovirus] -YP_009667024.1 coat protein [Anthurium mosaic-associated virus] diff --git a/seq/clusters_info/cluster_1182 b/seq/clusters_info/cluster_1182 deleted file mode 100644 index cd73ee5..0000000 --- a/seq/clusters_info/cluster_1182 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551581.1 hypothetical protein [Daphnis nerii cypovirus] -YP_009330266.1 Cypovirus VP7 [Hubei lepidoptera virus 3] -YP_001883315.1 p7 [Cypovirus 5] diff --git a/seq/clusters_info/cluster_1183 b/seq/clusters_info/cluster_1183 deleted file mode 100644 index 5546e6b..0000000 --- a/seq/clusters_info/cluster_1183 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551601.1 glycoprotein [Saesbyeol virus] -YP_009507849.1 glycopolyprotein precursor [Jonchet virus] -YP_009270649.1 glycoprotein precursor [Wuhan Insect virus 2] diff --git a/seq/clusters_info/cluster_1184 b/seq/clusters_info/cluster_1184 deleted file mode 100644 index 8a471aa..0000000 --- a/seq/clusters_info/cluster_1184 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507768.1 unknown [Choristoneura occidentalis cypovirus 16] -YP_009158919.1 VP4 [Lutzomyia reovirus 1] -YP_009002588.1 VP4 [Inachis io cypovirus 2] diff --git a/seq/clusters_info/cluster_1185 b/seq/clusters_info/cluster_1185 deleted file mode 100644 index 08d3048..0000000 --- a/seq/clusters_info/cluster_1185 +++ /dev/null @@ -1,3 +0,0 @@ -NP_149146.1 putative major core protein [Cypovirus 1] -NP_149136.1 putative major core protein [Cypovirus 14] -sp|Q6TS43.1|CAPSD_CPVBM RecName: Full=Capsid protein VP1 diff --git a/seq/clusters_info/cluster_1186 b/seq/clusters_info/cluster_1186 deleted file mode 100644 index eec109d..0000000 --- a/seq/clusters_info/cluster_1186 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009664725.1 polyprotein, partial [Blueberry leaf mottle virus] -YP_004429249.1 polyprotein segment 2 [Grapevine Bulgarian latent virus] -NP_612586.1 polyprotein [Blackcurrant reversion virus] diff --git a/seq/clusters_info/cluster_1187 b/seq/clusters_info/cluster_1187 deleted file mode 100644 index c9c9ca3..0000000 --- a/seq/clusters_info/cluster_1187 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009220370.1 polyprotein [Currant latent virus] -YP_081445.1 polyprotein [Cherry rasp leaf virus] -NP_620569.1 108K polyprotein [Apple latent spherical virus] diff --git a/seq/clusters_info/cluster_1188 b/seq/clusters_info/cluster_1188 deleted file mode 100644 index 98c670f..0000000 --- a/seq/clusters_info/cluster_1188 +++ /dev/null @@ -1,3 +0,0 @@ -YP_392486.1 nonstructural protein 3 [Rotavirus C] -sp|P27586.1|NSP3_ROTPC RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34; Contains: RecName: Full=p38; Contains: RecName: Full=p8 -sp|P34717.1|NSP3_ROTBS RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34; Contains: RecName: Full=p38; Contains: RecName: Full=p8 diff --git a/seq/clusters_info/cluster_1189 b/seq/clusters_info/cluster_1189 deleted file mode 100644 index 4641d4d..0000000 --- a/seq/clusters_info/cluster_1189 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342444.1 RNA-dependent RNA polymerase [Wuhan horsefly Virus 3] -YP_009337744.1 RNA-dependent RNA polymerase [Hubei narna-like virus 17] -YP_009336688.1 RNA-dependent RNA polymerase [Sanxia water strider virus 13] diff --git a/seq/clusters_info/cluster_119 b/seq/clusters_info/cluster_119 deleted file mode 100644 index 9521fe3..0000000 --- a/seq/clusters_info/cluster_119 +++ /dev/null @@ -1,37 +0,0 @@ -YP_009553477.1 putative coat protein [Alternaria arborescens victorivirus 1] -YP_009553337.1 coat protein [Diatom colony associated dsRNA virus 7] -YP_009552794.1 coat protein [Diatom colony associated dsRNA virus 11] -YP_009552792.1 coat protein [Diatom colony associated dsRNA virus 10] -YP_009552777.1 capsid protein [Fusarium asiaticum victorivirus 1] -YP_009551683.1 coat protein [Eimeria stiedai RNA virus 1] -YP_009508252.1 putative coat protein [Epichloe festucae virus 1] -YP_009508250.1 coat protein [Beauveria bassiana victorivirus 1] -YP_009508248.1 coat protein [Aspergillus foetidus slow virus 1] -YP_009272904.1 capsid protein [Fusarium poae victorivirus 1] -YP_009259367.1 coat protein [Sclerotinia nivalis victorivirus 1] -YP_009254735.1 coat protein [Nigrospora oryzae victorivirus 1] -YP_009249474.1 coat protein [Penicillium digitatum virus 1] -YP_009212847.1 coat protein [Penicillium aurantiogriseum totivirus 1] -YP_009182166.1 coat protein [Ustilaginoidea virens RNA virus 5] -YP_009143306.1 putative coat protein [Magnaporthe oryzae virus 3] -YP_009115491.1 coat protein [Phomopsis vexans RNA virus] -YP_009115499.1 coat protein [Eimeria tenella RNA virus 1] -YP_009094184.1 coat protein [Ustilaginoidea virens RNA virus L] -YP_009072432.1 coat protein [Botryosphaeria dothidea victorivirus 1] -YP_009032632.1 coat protein [Beauveria bassiana victorivirus NZL/1980] -YP_009004155.1 coat protein [Ustilaginoidea virens RNA virus 3] -YP_008130307.1 putative capsid protein [Rosellinia necatrix victorivirus 1] -YP_007761588.1 putative coat protein [Ustilaginoidea virens RNA virus 1] -YP_004089629.1 coat protein [Tolypocladium cylindrosporum virus 1] -YP_001649205.1 coat protein [Magnaporthe oryzae virus 2] -YP_001109579.1 coat protein [Botryotinia fuckeliana totivirus 1] -NP_619669.2 coat protein [Helminthosporium victoriae virus 190S] -YP_392466.1 putative coat protein [Coniothyrium minitans RNA virus] -YP_122351.1 putative coat protein [Magnaporthe oryzae virus 1] -YP_044806.1 putative coat protein [Gremmeniella abietina RNA virus L2] -YP_024727.1 coat protein [Chalara elegans RNA Virus 1] -NP_898832.1 coat protein [Helicobasidium mompa totivirus 1-17] -NP_624332.2 putative RNA dependent RNA polymerase [Gremmeniella abietina RNA virus L1] -NP_108650.1 coat protein [Eimeria brunetti RNA virus 1] -NP_047559.1 coat protein [Sphaeropsis sapinea RNA virus 2] -NP_047557.1 coat protein [Sphaeropsis sapinea RNA virus 1] diff --git a/seq/clusters_info/cluster_1190 b/seq/clusters_info/cluster_1190 deleted file mode 100644 index e728698..0000000 --- a/seq/clusters_info/cluster_1190 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553583.1 ORF3 [Andrena haemorrhoa nege-like virus] -YP_009094128.1 hypothetical protein 3 [Goutanap virus] -YP_009001774.1 hypothetical protein [Wallerfield virus] diff --git a/seq/clusters_info/cluster_1191 b/seq/clusters_info/cluster_1191 deleted file mode 100644 index 6066982..0000000 --- a/seq/clusters_info/cluster_1191 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553044.1 ORF2 protein [Cacao swollen shoot Ghana R virus] -YP_009666829.1 ORF2 protein [Cacao swollen shoot Ghana Q virus] -YP_003208049.1 hypothetical protein [Pelargonium vein banding virus] diff --git a/seq/clusters_info/cluster_1192 b/seq/clusters_info/cluster_1192 deleted file mode 100644 index 268ad44..0000000 --- a/seq/clusters_info/cluster_1192 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009124993.1 hypothetical protein [Citrus yellow vein clearing virus] -YP_002647026.1 nucleic acid binding protein [Red clover vein mosaic virus] -NP_203558.1 putative 23 kDa nucleic acid binding protein [Indian citrus ringspot virus] diff --git a/seq/clusters_info/cluster_1193 b/seq/clusters_info/cluster_1193 deleted file mode 100644 index 0f09423..0000000 --- a/seq/clusters_info/cluster_1193 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553505.1 coat protein [Actinidia seed borne latent virus] -YP_009505634.1 coat protein [Caucasus prunus virus] -YP_008997792.1 capsid protein [Apricot vein clearing associated virus] diff --git a/seq/clusters_info/cluster_1194 b/seq/clusters_info/cluster_1194 deleted file mode 100644 index 3da737b..0000000 --- a/seq/clusters_info/cluster_1194 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553486.1 hypothetical protein [Shinobi tetravirus] -YP_009551893.1 hypothetical protein [Sarawak virus] -YP_009337624.1 hypothetical protein [Hubei permutotetra-like virus 3] diff --git a/seq/clusters_info/cluster_1195 b/seq/clusters_info/cluster_1195 deleted file mode 100644 index 960310d..0000000 --- a/seq/clusters_info/cluster_1195 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337696.1 hypothetical protein 1 [Hubei picorna-like virus 78] -YP_009337415.1 hypothetical protein 1 [Hubei picorna-like virus 79] -YP_009337381.1 hypothetical protein [Hubei picorna-like virus 80] diff --git a/seq/clusters_info/cluster_1196 b/seq/clusters_info/cluster_1196 deleted file mode 100644 index b059a4f..0000000 --- a/seq/clusters_info/cluster_1196 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553262.1 hypothetical protein 3 [Yongsan tombus-like virus 1] -YP_009342052.1 hypothetical protein 3 [Wenzhou tombus-like virus 11] -YP_009330078.1 hypothetical protein 3 [Hubei mosquito virus 4] diff --git a/seq/clusters_info/cluster_1197 b/seq/clusters_info/cluster_1197 deleted file mode 100644 index 8f404b1..0000000 --- a/seq/clusters_info/cluster_1197 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553046.1 ORFY protein [Cacao swollen shoot Ghana R virus] -YP_009666831.1 ORFY protein [Cacao swollen shoot Ghana Q virus] -YP_009227177.1 hypothetical protein SH17_gp2 [Mulberry badnavirus 1] diff --git a/seq/clusters_info/cluster_1198 b/seq/clusters_info/cluster_1198 deleted file mode 100644 index d63aefb..0000000 --- a/seq/clusters_info/cluster_1198 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009389417.1 ORF1/ORF2 fusion protein [Antonospora locustae virus 1] -YP_009389418.1 ORF1p [Antonospora locustae virus 1] -APG78262.1 RdRp [Hubei partiti-like virus 59] diff --git a/seq/clusters_info/cluster_1199 b/seq/clusters_info/cluster_1199 deleted file mode 100644 index 1499c37..0000000 --- a/seq/clusters_info/cluster_1199 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009553718.1 putative 3C; peptidase [tremovirus B1] -YP_009220469.1 3C [Tupaia hepatovirus A] -YP_009164965.1 3C [Phopivirus] diff --git a/seq/clusters_info/cluster_12 b/seq/clusters_info/cluster_12 deleted file mode 100644 index f14f9f6..0000000 --- a/seq/clusters_info/cluster_12 +++ /dev/null @@ -1,96 +0,0 @@ -YP_010088128.1 CP [Dendrobium chlorotic mosaic virus] -YP_010087230.1 polyprotein [Dendrobium chlorotic mosaic virus] -YP_010086811.1 polyprotein [East Asian Passiflora distortion virus] -YP_010086751.1 polyprotein [Gomphocarpus mosaic virus] -YP_010087868.1 polyprotein [Begonia flower breaking virus] -YP_009552772.1 polyprotein [Pleione flower breaking virus] -YP_009667131.1 CP [Paris mosaic necrosis virus] -YP_009666817.1 polyprotein [Paris mosaic necrosis virus] -YP_009665147.1 polyprotein, partial [Sarcochilus virus Y] -YP_009665146.1 polyprotein, partial [Rhopalanthe virus Y] -YP_009665140.1 coat protein, partial [Passiflora chlorosis virus] -YP_009665136.1 polyprotein, partial [Meadow saffron breaking virus] -YP_009665132.1 polyprotein, partial [Hibbertia virus Y] -YP_009665131.1 polyprotein, partial [Diuris virus Y] -YP_009665129.1 polyprotein, partial [Clitoria virus Y] -YP_009665127.1 polyprotein, partial [Ceratobium mosaic virus] -YP_009665124.1 polyprotein, partial [Calanthe mild mosaic virus] -YP_009458617.1 coat protein [Saffron latent virus] -YP_009455737.1 polyprotein [Saffron latent virus] -YP_009255234.1 polyprotein [Impatiens flower break virus] -YP_007001303.1 CP [Blue squill virus A] -YP_006990202.1 polyprotein [Blue squill virus A] -YP_006405413.1 coat protein [Basella rugose mosaic virus] -YP_006395361.1 coat protein [East Asian Passiflora virus] -YP_004940328.1 coat protein [Yambean mosaic virus] -YP_004936165.1 polyprotein [Yambean mosaic virus] -YP_004934107.1 polyprotein [Keunjorong mosaic virus] -YP_004564598.1 CP [Hardenbergia mosaic virus] -YP_004564588.1 polyprotein [Hardenbergia mosaic virus] -YP_004063680.1 coat protein [Passion fruit woodiness virus] -YP_004063671.1 polyprotein [Passion fruit woodiness virus] -YP_003587809.1 P1 [Freesia mosaic virus] -YP_003587807.1 polyprotein [Freesia mosaic virus] -YP_002308580.1 polyprotein [Zantedeschia mild mosaic virus] -YP_001974445.1 coat protein [Fritillary virus Y] -YP_001974419.1 polyprotein [Fritillary virus Y] -YP_001816835.1 CP protein [Telosma mosaic virus] -YP_001427386.1 polyprotein [Telosma mosaic virus] -YP_001427385.1 polyprotein [Basella rugose mosaic virus] -YP_001427389.1 polyprotein [Banana bract mosaic virus] -YP_851208.1 CP [Blackberry virus Y] -YP_842359.1 coat protein [Wisteria vein mosaic virus] -YP_459919.1 polyprotein [East Asian Passiflora virus] -YP_271857.1 polyprotein [Wisteria vein mosaic virus] -YP_077277.1 coat protein [Watermelon mosaic virus] -YP_077181.1 polyprotein [Watermelon mosaic virus] -NP_954628.1 coat protein [Beet mosaic virus] -NP_954611.1 polyprotein [Beet mosaic virus] -NP_734358.1 coat protein [Bean common mosaic necrosis virus] -NP_734417.1 coat protein [Peanut mottle virus] -NP_734408.1 P1 protein [Johnsongrass mosaic virus] -NP_734112.1 coat protein [Dasheen mosaic virus] -NP_734192.1 coat protein [Zucchini yellow mosaic virus] -NP_734200.1 coat protein [Soybean mosaic virus] -NP_734388.1 coat protein [Cowpea aphid-borne mosaic virus] -NP_734379.1 P1 protein [Cowpea aphid-borne mosaic virus] -NP_734122.1 coat protein [Bean common mosaic virus] -NP_660175.1 polyprotein [Bean common mosaic necrosis virus] -NP_659018.1 polyprotein [Cowpea aphid-borne mosaic virus] -NP_613274.1 polyprotein [Dasheen mosaic virus] -NP_570723.1 polyprotein [Bean common mosaic virus] -NP_477522.1 polyprotein [Zucchini yellow mosaic virus] -NP_068348.2 polyprotein [Peanut mottle virus] -NP_072165.1 polyprotein precursor [Soybean mosaic virus] -sp|P0CK08.2|MVP_SBMVN RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK07.2|MVP_SBMVG RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CJ94.2|MVP_BCMNN RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|Q90069.1|POLG_SBMVG RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P0CK15.2|MVP_ZYMVS RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK14.2|MVP_ZYMVR RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK13.2|MVP_ZYMVC RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK00.2|MVP_PEMVM RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CJ96.2|MVP_BTMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P18478.3|POLG_WMV2U RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|O36979.2|POLG_ZYMVS RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q89251.3|POLG_WMV2T RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P18479.2|POLG_ZYMVC RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q89330.1|POLG_ZYMVR RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P32576.1|POLG_PWVTB RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P32575.1|POLG_PWVSE RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P32574.1|POLG_PWVMI RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P20235.1|POLG_WMV2A RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -AGF29835.1 polyprotein [Dasheen mosaic virus] -AHY61040.1 polyprotein [Hardenbergia mosaic virus] -CAA48521.1 unnamed protein product, partial [Zucchini yellow mosaic virus] -ADZ76009.1 polyprotein [Watermelon mosaic virus] -AGV08290.1 polyprotein [Bean common mosaic virus] -AAW50598.1 polyprotein [Bean common mosaic necrosis virus] -AFK23478.1 polyprotein [Zucchini yellow mosaic virus] -AMK47900.1 polyprotein [East Asian Passiflora virus] -AHY61037.1 polyprotein [Hardenbergia mosaic virus] -BAK64660.1 polyprotein [East Asian Passiflora virus] -AIA65161.1 polyprotein [Watermelon mosaic virus] -ABH10135.1 polyprotein [Basella rugose mosaic virus] -AOR06520.1 polyprotein [Cowpea aphid-borne mosaic virus] -ABG74927.1 polyprotein [Beet mosaic virus] diff --git a/seq/clusters_info/cluster_120 b/seq/clusters_info/cluster_120 deleted file mode 100644 index 6d108f4..0000000 --- a/seq/clusters_info/cluster_120 +++ /dev/null @@ -1,37 +0,0 @@ -YP_009342454.1 RNA-dependent RNA polymerase [Wuhan house centipede virus 6] -YP_009337883.1 RNA-dependent RNA polymerase [Hubei orthoptera virus 4] -YP_009337881.1 RNA-dependent RNA polymerase [Hubei noda-like virus 8] -YP_009337879.1 RNA-dependent RNA polymerase [Hubei noda-like virus 9] -YP_009337425.1 hypothetical protein 1 [Wenzhou bivalvia virus 3] -YP_009337377.1 hypothetical protein [Sanxia water strider virus 16] -YP_009337232.1 hypothetical protein 1 [Sanxia water strider virus 17] -YP_009336769.1 hypothetical protein [Hubei diptera virus 16] -YP_009336545.1 hypothetical protein [Beihai sphaeromadae virus 2] -YP_009336491.1 hypothetical protein [Shuangao insect virus 10] -YP_009330108.1 hypothetical protein 1 [Beihai noda-like virus 5] -NP_620109.1 protein A [Pariacoto virus] -sp|Q3KSM3.1|RDRP_PRV RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=RNA replicase -APG76091.1 hypothetical protein 1 [Hubei noda-like virus 11] -ADK97710.1 unknown [Tetnovirus 2] -AIO11151.1 protein A [Mosinovirus] -APG76338.1 hypothetical protein [Hubei noda-like virus 15] -APG76295.1 hypothetical protein 1 [Beihai noda-like virus 10] -AMO03241.1 putative polymerase, partial [Craigmillar Park virus] -ADI48250.1 putative RdRp [Bat guano associated nodavirus GF-4n] -AII82234.1 hypothetical protein, partial [Fesavirus 4] -APG76402.1 hypothetical protein [Hubei noda-like virus 6] -APG76177.1 hypothetical protein 1 [Beihai noda-like virus 1] -AKA58518.1 RNA-dependent RNA polymerase, partial [Lunovirus] -APG76502.1 hypothetical protein 1 [Hubei noda-like virus 4] -APG76528.1 hypothetical protein 1 [Hubei noda-like virus 7] -APG76175.1 hypothetical protein 1 [Beihai noda-like virus 9] -APG76311.1 hypothetical protein 1 [Hubei noda-like virus 12] -APG76325.1 hypothetical protein [Hubei noda-like virus 1] -AKH40302.1 putative replicase [Craigies Hill virus] -APG76165.1 hypothetical protein 1 [Beihai noda-like virus 6] -ADF97523.1 RNA-dependent RNA polymerase [Alphanodavirus HB-2007/CHN] -APG76597.1 hypothetical protein 1 [Wenzhou noda-like virus 1] -APG76388.1 hypothetical protein [Hubei noda-like virus 13] -APG76090.1 hypothetical protein [Hubei noda-like virus 10] -APG76471.1 RNA-dependent RNA polymerase [Wuhan house centipede virus 6] -APG76216.1 hypothetical protein [Hubei noda-like virus 5] diff --git a/seq/clusters_info/cluster_1200 b/seq/clusters_info/cluster_1200 deleted file mode 100644 index f023ad9..0000000 --- a/seq/clusters_info/cluster_1200 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009552725.1 RNA-dependent RNA polymerase [Gigaspora margarita giardia-like virus 1] -NP_619551.1 capsid protein [Giardia lamblia virus] -sp|Q67653.2|RDRP_GLVWB RecName: Full=Probable RNA-directed RNA polymerase; AltName: Full=Gag-Pol protein diff --git a/seq/clusters_info/cluster_1201 b/seq/clusters_info/cluster_1201 deleted file mode 100644 index 369b302..0000000 --- a/seq/clusters_info/cluster_1201 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009552091.1 polyprotein [Picalivirus A] -AFR11837.1 polyprotein, partial [Picalivirus B] -AFR11839.1 polyprotein, partial [Picalivirus C] diff --git a/seq/clusters_info/cluster_1202 b/seq/clusters_info/cluster_1202 deleted file mode 100644 index c894ca0..0000000 --- a/seq/clusters_info/cluster_1202 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009552011.1 21 kDa protein [Rehmannia virus 1] -YP_009162629.1 p21 [Tobacco virus 1] -YP_224098.1 putative silencing supressor [Mint virus 1] diff --git a/seq/clusters_info/cluster_1203 b/seq/clusters_info/cluster_1203 deleted file mode 100644 index 509e461..0000000 --- a/seq/clusters_info/cluster_1203 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009552005.1 p7 [Rehmannia virus 1] -YP_009162623.1 p7 [Tobacco virus 1] -YP_224092.1 p7 [Mint virus 1] diff --git a/seq/clusters_info/cluster_1204 b/seq/clusters_info/cluster_1204 deleted file mode 100644 index 7af09fb..0000000 --- a/seq/clusters_info/cluster_1204 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551995.1 P7 [Arracacha virus 1] -YP_008858532.1 p6 [Carnation yellow fleck virus] -NP_041871.1 putative membrane-binding protein [Beet yellows virus] diff --git a/seq/clusters_info/cluster_1205 b/seq/clusters_info/cluster_1205 deleted file mode 100644 index 9537d71..0000000 --- a/seq/clusters_info/cluster_1205 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551962.1 coat protein [Cherry virus Trakiya] -YP_009337723.1 hypothetical protein 1 [Hubei picorna-like virus 51] -YP_009333553.1 hypothetical protein [Beihai picorna-like virus 125] diff --git a/seq/clusters_info/cluster_1206 b/seq/clusters_info/cluster_1206 deleted file mode 100644 index a530802..0000000 --- a/seq/clusters_info/cluster_1206 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336570.1 hypothetical protein 2 [Changjiang picorna-like virus 14] -YP_009333166.1 hypothetical protein 2 [Shahe arthropod virus 1] -YP_004935382.1 unnamed protein product [Halastavi arva RNA virus] diff --git a/seq/clusters_info/cluster_1207 b/seq/clusters_info/cluster_1207 deleted file mode 100644 index 7848245..0000000 --- a/seq/clusters_info/cluster_1207 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009551682.1 hypothetical protein [Sodiomyces alkalinus fusarivirus 1] -YP_009182159.1 59 kDa protein [Pleospora typhicola fusarivirus 1] -YP_009182155.1 53 kDa protein [Penicillium aurantiogriseum fusarivirus 1] diff --git a/seq/clusters_info/cluster_1208 b/seq/clusters_info/cluster_1208 deleted file mode 100644 index 4dcac1b..0000000 --- a/seq/clusters_info/cluster_1208 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009665983.1 beta-C protein [Poa semilatent virus] -NP_612627.1 22K protein [Beet soil-borne virus] -NP_604489.1 beta D protein [Barley stripe mosaic virus] diff --git a/seq/clusters_info/cluster_1209 b/seq/clusters_info/cluster_1209 deleted file mode 100644 index d5e5d92..0000000 --- a/seq/clusters_info/cluster_1209 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009665975.1 coat protein, partial [Drakaea virus A] -NP_835263.1 coat protein P23 [Indian peanut clump virus] -NP_620028.1 coat protein [Peanut clump virus] diff --git a/seq/clusters_info/cluster_121 b/seq/clusters_info/cluster_121 deleted file mode 100644 index 13221df..0000000 --- a/seq/clusters_info/cluster_121 +++ /dev/null @@ -1,36 +0,0 @@ -YP_009254000.1 L [Lonestar tick chuvirus 1] -YP_009666265.1 polymerase [Wuhan louse fly virus 6] -YP_009666256.1 polymerase [Wuchang Cockroach Virus 3] -YP_009666255.1 polymerase [Wuhan Louse Fly Virus 7] -YP_009344984.1 RNA-dependent RNA polymerase [Xinzhou nematode virus 5] -YP_009337904.1 RNA-dependent RNA polymerase [Hubei chuvirus-like virus 1] -YP_009337860.1 RNA-dependent RNA polymerase [Wenling crustacean virus 13] -YP_009337856.1 RNA-dependent RNA polymerase [Wenling crustacean virus 14] -YP_009337428.1 RNA-dependent RNA polymerase [Sanxia atyid shrimp virus 4] -YP_009337089.1 RNA-dependent RNA polymerase [Hubei chuvirus-like virus 3] -YP_009336946.1 RNA-dependent RNA polymerase [Hubei odonate virus 11] -YP_009336866.1 RNA-dependent RNA polymerase [Hubei coleoptera virus 3] -YP_009336632.1 RNA-dependent RNA polymrease [Wenling crustacean virus 15] -YP_009333178.1 RNA-dependent RNA polymerase [Beihai barnacle virus 9] -YP_009333157.1 RNA-dependent RNA polymerase [Beihai hermit crab virus 3] -YP_009333151.1 RNA-dependent RNA polymerase [Wenling chuvirus-like virus 2] -YP_009333145.1 RNA-dependent RNA polymerase [Wenling chuvirus-like virus 1] -YP_009330113.1 RNA-dependent RNA polymerase [Hubei myriapoda virus 8] -YP_009302836.1 polymerase [Wenzhou Crab Virus 3] -YP_009300663.1 polymerase [Shayang Fly Virus 1] -YP_009182177.1 putative RNA-dependent RNA polymerase [Imjin River virus 1] -YP_009177722.1 polymerase [Wuhan tick virus 2] -YP_009177719.1 polymerase [Wuhan Mosquito Virus 8] -YP_009177717.1 polymerase [Tacheng Tick Virus 5] -YP_009177716.1 polymerase [Tacheng Tick Virus 4] -YP_009177707.1 polymerase [Changping Tick Virus 3] -YP_009177704.1 polymerase [Changping Tick Virus 2] -YP_009177701.1 polymerase [Bole Tick Virus 3] -YP_009177218.1 polymerase [Suffolk virus] -AJG39080.1 polymerase [Shuangao Fly Virus 1] -AJG39060.1 polymerase [Wenzhou crab virus 2] -API61887.1 RNA-directed RNA polymerase [Chuvirus Mos8Chu0] -AJG39051.1 polymerase [Lishi spider virus 1] -APG78769.1 RNA-dependent RNA polymerase, partial [Hubei chuvirus-like virus 4] -AJG39083.1 polymerase [Shuangao Insect Virus 5] -APT68159.1 RNA-dependent RNA polymerase [Scaldis River bee virus] diff --git a/seq/clusters_info/cluster_1210 b/seq/clusters_info/cluster_1210 deleted file mode 100644 index 291d4ee..0000000 --- a/seq/clusters_info/cluster_1210 +++ /dev/null @@ -1,3 +0,0 @@ -NP_624339.1 coat Protein [Zucchini green mottle mosaic virus] -NP_619687.1 coat Protein [Kyuri green mottle mosaic virus] -NP_072164.1 unnamed protein product [Cucumber fruit mottle mosaic virus] diff --git a/seq/clusters_info/cluster_1211 b/seq/clusters_info/cluster_1211 deleted file mode 100644 index 7a4f73e..0000000 --- a/seq/clusters_info/cluster_1211 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009417302.1 polyprotien [Fathead minnow calicivirus] -YP_009026987.1 polyprotein [Atlantic salmon calicivirus] -AHX24377.1 polyprotein [Atlantic salmon calicivirus] diff --git a/seq/clusters_info/cluster_1212 b/seq/clusters_info/cluster_1212 deleted file mode 100644 index ba71074..0000000 --- a/seq/clusters_info/cluster_1212 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001531174.2 2K peptide [Dengue virus 3] -NP_776007.1 2K protein [Yellow fever virus] -NP_722467.1 2K protein [Dengue virus 1] diff --git a/seq/clusters_info/cluster_1213 b/seq/clusters_info/cluster_1213 deleted file mode 100644 index 835576d..0000000 --- a/seq/clusters_info/cluster_1213 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009666310.1 nucleocapsid protein CP17 [Extra small virus] -YP_009666313.1 capsid protein, partial [Extra small virus] -YP_009665182.1 capsid protein [Extra small virus] diff --git a/seq/clusters_info/cluster_1214 b/seq/clusters_info/cluster_1214 deleted file mode 100644 index 1fc6689..0000000 --- a/seq/clusters_info/cluster_1214 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009094091.1 W protein [Mojiang virus] -YP_009094090.1 V protein [Mojiang virus] -YP_009094089.1 P phosphoprotein [Mojiang virus] diff --git a/seq/clusters_info/cluster_1215 b/seq/clusters_info/cluster_1215 deleted file mode 100644 index 5fe5b1b..0000000 --- a/seq/clusters_info/cluster_1215 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336948.1 hypothetical protein [Hubei odonate virus 11] -YP_009182179.1 putative nucleoprotein [Imjin River virus 1] -YP_009177721.1 putative nucleoprotein [Wuhan Mosquito Virus 8] diff --git a/seq/clusters_info/cluster_1216 b/seq/clusters_info/cluster_1216 deleted file mode 100644 index 7856330..0000000 --- a/seq/clusters_info/cluster_1216 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009666028.1 21 kDa protein [Olive leaf yellowing-associated virus] -YP_009407925.1 putative thaumatin-like protein [Actinidia virus 1] -YP_009112887.1 29 kDa protein [Persimmon virus B] diff --git a/seq/clusters_info/cluster_1217 b/seq/clusters_info/cluster_1217 deleted file mode 100644 index 19bcb67..0000000 --- a/seq/clusters_info/cluster_1217 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009665980.1 beta-A protein [Poa semilatent virus] -YP_009508254.1 beta-A protein [Lychnis ringspot virus] -NP_604486.1 coat protein [Barley stripe mosaic virus] diff --git a/seq/clusters_info/cluster_1218 b/seq/clusters_info/cluster_1218 deleted file mode 100644 index d9929a0..0000000 --- a/seq/clusters_info/cluster_1218 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009665165.1 VP1, partial [Eriocheir sinensis reovirus] -YP_009246448.1 VP1 [Callinectes sapidus reovirus 1] -AEQ75466.1 VP1 [Scylla serrata reovirus SZ-2007] diff --git a/seq/clusters_info/cluster_1219 b/seq/clusters_info/cluster_1219 deleted file mode 100644 index 4e28ac0..0000000 --- a/seq/clusters_info/cluster_1219 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009664768.1 replicase, partial [Petunia vein banding virus] -YP_009664766.1 polymerase protein, partial [Passion fruit yellow mosaic virus] -sp|P36352.1|POLR_PHMV RecName: Full=RNA replicase polyprotein diff --git a/seq/clusters_info/cluster_122 b/seq/clusters_info/cluster_122 deleted file mode 100644 index acde8a5..0000000 --- a/seq/clusters_info/cluster_122 +++ /dev/null @@ -1,36 +0,0 @@ -YP_009665965.1 polyprotein, partial [Stocky prune virus] -YP_009665963.1 RNA-dependent RNA polymerase, partial [Broad bean stain virus] -YP_009664727.1 RNA-dependent RNA polymerase, partial [Dandelion yellow mosaic virus] -YP_009664726.1 RNA-dependent RNA polymerase, partial [Cocoa necrosis virus] -YP_009508875.1 polyprotein 1 [Prunus virus F] -YP_009508869.1 polyprotein [Grapevine fabavirus] -YP_009507916.1 205 kDa polyprotein [Cucurbit mild mosaic virus] -YP_009220374.1 polyprotein [Currant latent virus] -YP_009175074.1 polyprotein [Bean rugose mosaic virus] -YP_008877634.1 polyprotein 1 [Lamium mild mosaic virus] -YP_008400121.1 polyprotein [Broad bean true mosaic virus] -YP_007697622.1 polyprotein [Arracacha virus B] -YP_003193665.1 polyprotein [Turnip ringspot virus] -YP_002158824.1 unnamed protein product [Mikania micrantha mosaic virus] -YP_001911126.1 polyprotein [Radish mosaic virus] -YP_227367.1 250 kDa polyprotein [Strawberry latent ringspot virus] -YP_081444.1 polyprotein [Cherry rasp leaf virus] -NP_945134.1 polyprotein [Broad bean wilt virus 1] -NP_620657.1 polyprotein [Squash mosaic virus] -NP_620568.1 235K polyprotein [Apple latent spherical virus] -NP_620468.1 polyprotein [Red clover mottle virus] -NP_619518.1 polyprotein [Cowpea severe mosaic virus] -NP_613283.1 polyprotein [Cowpea mosaic virus] -NP_612349.1 polyprotein [Bean pod mottle virus] -NP_149012.1 polyprotein [Broad bean wilt virus 2] -sp|Q9WNW0.1|POL1_BBWV2 RecName: Full=RNA1 polyprotein; AltName: Full=Genome polyprotein B; Contains: RecName: Full=Protease cofactor; Contains: RecName: Full=Putative helicase; AltName: Full=Membrane-binding protein; AltName: Full=NTP-binding protein; Short=NTB; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Picornain 3C-like protease; Short=3C-like protease; Contains: RecName: Full=RNA-directed RNA polymerase -sp|Q02941.1|POL1_APMV RecName: Full=RNA1 polyprotein; AltName: Full=Genome polyprotein B; AltName: Full=P1; Contains: RecName: Full=Picornain 3C-like protease; Short=3C-like protease; AltName: Full=24 kDa protein; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=87 kDa protein -ADD92352.1 polyprotein 1 [Bean pod mottle virus] -AQX36234.1 polyprotein [Bean rugose mosaic virus] -BAD99001.1 polyprotein [Gentian mosaic virus] -AKN59247.1 polyprotein [Lychnis mottle virus] -ADZ54704.1 polyprotein [Turnip ringspot virus] -AJA37613.1 polyprotein 1 [Squash mosaic virus] -ANH71248.1 polyprotein 1 [Prunus virus F] -APW28741.1 polyprotein [Broad bean wilt virus 2] -ADZ55760.1 polyprotein [Radish mosaic virus] diff --git a/seq/clusters_info/cluster_1220 b/seq/clusters_info/cluster_1220 deleted file mode 100644 index a85c486..0000000 --- a/seq/clusters_info/cluster_1220 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009618386.1 putative host attachment protein [Pseudomonas phage phiYY] -NP_690832.1 host attachment protein P3a [Pseudomonas phage phi12] -NP_690813.1 P3a host attachment protein [Pseudomonas phage phi13] diff --git a/seq/clusters_info/cluster_1221 b/seq/clusters_info/cluster_1221 deleted file mode 100644 index 9f3fd65..0000000 --- a/seq/clusters_info/cluster_1221 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009618384.1 putative host attachment protein [Pseudomonas phage phiYY] -NP_690834.1 P3c protein [Pseudomonas phage phi12] -NP_690815.1 P3c host attachment protein [Pseudomonas phage phi13] diff --git a/seq/clusters_info/cluster_1222 b/seq/clusters_info/cluster_1222 deleted file mode 100644 index 7fcb9ac..0000000 --- a/seq/clusters_info/cluster_1222 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507681.1 NS3 protein [Corriparta virus] -YP_009158911.1 NS3 protein [Chobar Gorge virus] -YP_009047250.1 NS3 [Umatilla virus] diff --git a/seq/clusters_info/cluster_1223 b/seq/clusters_info/cluster_1223 deleted file mode 100644 index 99ac51c..0000000 --- a/seq/clusters_info/cluster_1223 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009143530.1 hypothetical protein [Sclerotinia sclerotiorum megabirnavirus 1] -YP_009227125.1 hypothetical protein [Rosellinia necatrix megabirnavirus 2-W8] -YP_003288764.1 hypothetical protein [Rosellinia necatrix megabirnavirus 1/W779] diff --git a/seq/clusters_info/cluster_1224 b/seq/clusters_info/cluster_1224 deleted file mode 100644 index 57cb035..0000000 --- a/seq/clusters_info/cluster_1224 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009143528.1 putative coat protein [Sclerotinia sclerotiorum megabirnavirus 1] -YP_009227123.1 putative coat protein [Rosellinia necatrix megabirnavirus 2-W8] -YP_003288762.1 hypothetical protein [Rosellinia necatrix megabirnavirus 1/W779] diff --git a/seq/clusters_info/cluster_1225 b/seq/clusters_info/cluster_1225 deleted file mode 100644 index cd8ece4..0000000 --- a/seq/clusters_info/cluster_1225 +++ /dev/null @@ -1,3 +0,0 @@ -NP_077731.1 protein B2 [Nodamura virus] -YP_004207811.1 B2-like protein [Penaeus vannamei nodavirus] -NP_919037.1 B2 protein [Macrobrachium rosenbergii nodavirus] diff --git a/seq/clusters_info/cluster_1226 b/seq/clusters_info/cluster_1226 deleted file mode 100644 index e86e41e..0000000 --- a/seq/clusters_info/cluster_1226 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259326.1 nonstructural protein NS2B [Aedes flavivirus] -NP_937776.1 NS2B protein [Kamiti River virus] -YP_009259296.1 NS2B [Cell fusing agent virus] diff --git a/seq/clusters_info/cluster_1227 b/seq/clusters_info/cluster_1227 deleted file mode 100644 index ae7e32f..0000000 --- a/seq/clusters_info/cluster_1227 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259325.1 nonstructural protein NS2A [Aedes flavivirus] -NP_937775.1 NS2A protein [Kamiti River virus] -YP_009259295.1 NS2A [Cell fusing agent virus] diff --git a/seq/clusters_info/cluster_1228 b/seq/clusters_info/cluster_1228 deleted file mode 100644 index 2a96058..0000000 --- a/seq/clusters_info/cluster_1228 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259656.1 membrane glycoprotein M [Chaoyang virus] -YP_009268587.1 membrane glycoprotein M [Lammi virus] -YP_009268571.1 membrane glycoprotein M [Ilomantsi virus] diff --git a/seq/clusters_info/cluster_1229 b/seq/clusters_info/cluster_1229 deleted file mode 100644 index 2849aa4..0000000 --- a/seq/clusters_info/cluster_1229 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259330.1 nonstructural protein NS4B [Aedes flavivirus] -NP_937779.1 NS4B protein [Kamiti River virus] -YP_009259300.1 NS4B [Cell fusing agent virus] diff --git a/seq/clusters_info/cluster_123 b/seq/clusters_info/cluster_123 deleted file mode 100644 index 04a65f1..0000000 --- a/seq/clusters_info/cluster_123 +++ /dev/null @@ -1,36 +0,0 @@ -YP_009551520.1 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 4] -YP_009665969.1 RNA-dependent RNA polymerase [Helicobasidium mompa dsRNA mycovirus] -YP_009345139.1 RNA-dependent RNA polymerase [Spinach cryptic virus 1] -YP_009508058.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 3] -YP_009508056.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 15] -YP_009508053.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 13] -YP_009508051.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 12] -YP_009508049.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 1] -YP_009508236.1 RNA-dependent RNA polymerase [Chondrostereum purpureum cryptic virus 1] -YP_009508048.1 RNA-dependent RNA polymerase [Flammulina velutipes browning virus] -YP_009508046.1 RNA-dependent RNA polymerase [Carrot cryptic virus] -YP_009329886.2 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 3] -YP_009011230.1 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 2] -YP_008719882.1 RNA-dependent RNA polymerase [Red clover cryptic virus 1] -YP_008719880.1 RNA-dependent RNA polymerase [Dill cryptic virus 1] -YP_002308574.1 putative RNA-dependent RNA polymerase [Beet cryptic virus 1] -YP_656506.1 RNA-dependent RNA polymerase [Raphanus sativus cryptic virus 1] -YP_272124.1 putative RNA dependent RNA polymerase [Vicia cryptic virus] -YP_138537.1 RNA-dependent RNA polymerase [Amasya cherry disease-associated mycovirus] -YP_086754.1 RNA dependent RNA polymerase [White clover cryptic virus 1] -ADV15448.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 1] -AJE25830.1 putative RNA-dependent RNA polymerase [Rhizoctonia fumigata partitivirus] -ADV15444.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 5] -AHL25161.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 14] -AAU88207.1 RNA-dependent RNA polymerase [Radish partitivirus JC-2004] -ADV15450.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 1] -CDF65982.1 RNA dependent RNA polymerase [uncultured alphacryptovirus] -AJE29742.1 RNA-dependent RNA polymerase [Rhizoctonia solani partitivirus SM03] -BAD32678.1 RNA-dependent RNA polymerase [Helicobasidium mompa partitivirus V1-2] -AEX87909.2 RNA-dependent RNA polymerase [Heterobasidion partitivirus 9] -ALM62245.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated partitivirus 1] -AIF33767.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 17] -AAK52739.1 putative RNA-dependent RNA polymerase, partial [Heterobasidion partitivirus P] -ADV15443.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 4] -AFQ95555.1 polyprotein [Diuris pendunculata cryptic virus] -AFX73021.1 RNA dependent RNA polymerase, partial [Grapevine cryptic virus] diff --git a/seq/clusters_info/cluster_1230 b/seq/clusters_info/cluster_1230 deleted file mode 100644 index ec353fc..0000000 --- a/seq/clusters_info/cluster_1230 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259359.1 nonstructural protein NS2A [Quang Binh virus] -YP_009259282.1 nonstructural protein NS2A [Mercadeo virus] -YP_009268606.1 nonstructural protein NS2A [Nakiwogo virus] diff --git a/seq/clusters_info/cluster_1231 b/seq/clusters_info/cluster_1231 deleted file mode 100644 index 8ebfbb4..0000000 --- a/seq/clusters_info/cluster_1231 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259356.1 membrane glycoprotein M [Quang Binh virus] -YP_009268603.1 membrane glycoprotein M [Nakiwogo virus] -YP_009259292.1 M [Cell fusing agent virus] diff --git a/seq/clusters_info/cluster_1232 b/seq/clusters_info/cluster_1232 deleted file mode 100644 index 56bb561..0000000 --- a/seq/clusters_info/cluster_1232 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259352.1 anchored capsid protein C [Quang Binh virus] -YP_009268599.1 anchored capsid protein C [Nakiwogo virus] -YP_009259290.1 capsid [Cell fusing agent virus] diff --git a/seq/clusters_info/cluster_1233 b/seq/clusters_info/cluster_1233 deleted file mode 100644 index 84511e1..0000000 --- a/seq/clusters_info/cluster_1233 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009618371.1 hypothetical protein [Pseudomonas phage phiNN] -NP_690830.1 membrane protein P10 [Pseudomonas phage phi12] -NP_620349.1 P10 protein [Pseudomonas phage phi6] diff --git a/seq/clusters_info/cluster_1234 b/seq/clusters_info/cluster_1234 deleted file mode 100644 index 5d59780..0000000 --- a/seq/clusters_info/cluster_1234 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336942.1 hypothetical protein [Hubei toti-like virus 5] -YP_009333465.1 hypothetical protein [Beihai sesarmid crab virus 7] -YP_009333409.1 hypothetical protein [Beihai razor shell virus 4] diff --git a/seq/clusters_info/cluster_1235 b/seq/clusters_info/cluster_1235 deleted file mode 100644 index b60e423..0000000 --- a/seq/clusters_info/cluster_1235 +++ /dev/null @@ -1,3 +0,0 @@ -NP_624325.1 RNA-dependent RNA-polymerase [Zygosaccharomyces bailii virus Z] -ANN12897.1 putative CP/RdRp fusion protein [Zygosaccharomyces bailii virus Z] -APG78182.1 RdRp [Beihai barnacle virus 14] diff --git a/seq/clusters_info/cluster_1236 b/seq/clusters_info/cluster_1236 deleted file mode 100644 index 618c845..0000000 --- a/seq/clusters_info/cluster_1236 +++ /dev/null @@ -1,3 +0,0 @@ -YP_004869990.1 P0 [Brassica yellows virus] -NP_620484.1 hypothetical protein [Turnip yellows virus] -sp|Q9WIB9.1|P0_BWYVG RecName: Full=Suppressor of silencing P0; AltName: Full=Protein ORF0 diff --git a/seq/clusters_info/cluster_1237 b/seq/clusters_info/cluster_1237 deleted file mode 100644 index 0fb7152..0000000 --- a/seq/clusters_info/cluster_1237 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009508064.1 capsid protein [Heterobasidion partitivirus 8] -YP_009272946.1 capsid protein [Fusarium poae virus 1-240374] -YP_227354.1 capsid protein [Pleurotus ostreatus virus 1] diff --git a/seq/clusters_info/cluster_1238 b/seq/clusters_info/cluster_1238 deleted file mode 100644 index 27eb4be..0000000 --- a/seq/clusters_info/cluster_1238 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507944.1 putative replication associated protein [Cryphonectria nitschkei chrysovirus 1] -YP_009507949.1 unknown [Verticillium dahliae chrysovirus 1] -YP_052861.1 Hv145SV-protein 4 [Helminthosporium victoriae 145S virus] diff --git a/seq/clusters_info/cluster_1239 b/seq/clusters_info/cluster_1239 deleted file mode 100644 index 55068c6..0000000 --- a/seq/clusters_info/cluster_1239 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009513271.1 small hydrophobic protein [Human metapneumovirus] -sp|Q2Y2M0.1|SH_AMPV1 RecName: Full=Small hydrophobic protein -sp|Q6WB95.1|SH_HMPVC RecName: Full=Small hydrophobic protein diff --git a/seq/clusters_info/cluster_124 b/seq/clusters_info/cluster_124 deleted file mode 100644 index f840be2..0000000 --- a/seq/clusters_info/cluster_124 +++ /dev/null @@ -1,36 +0,0 @@ -YP_001469634.1 polyprotein [Hepatitis C virus genotype 6] -sp|Q5I2N3.3|POLG_HCV6A RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q68798.3|POLG_HCVJL RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|O92532.3|POLG_HCVVP RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|O92531.3|POLG_HCVVO RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|O92530.3|POLG_HCVVN RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|O39927.3|POLG_HCVEU RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -AJF19155.1 polyprotein [Hepacivirus C] -ABC40679.1 polyprotein precursor [Hepatitis C virus subtype 6e] -ACI94949.1 polyprotein precursor [Hepatitis C virus subtype 6v] -AJF19160.1 polyprotein [Hepacivirus C] -AJF19157.1 polyprotein [Hepacivirus C] -ACC78282.1 polyprotein precursor [Hepacivirus C] -ABP88845.1 polyprotein precursor [Hepatitis C virus subtype 6p] -AJO71610.1 polyprotein [Hepacivirus C] -AJF19154.1 polyprotein [Hepacivirus C] -AJO71614.1 polyprotein [Hepacivirus C] -AII25857.1 polyprotein [Hepacivirus C] -AJO71410.1 polyprotein [Hepacivirus C] -AJO71604.1 polyprotein [Hepacivirus C] -AIO08086.1 polyprotein [Hepacivirus C] -AHH29574.1 polyprotein [Hepatitis C virus genotype 6] -ACD14090.1 polyprotein [Hepacivirus C] -ABX80375.1 polyprotein [Hepacivirus C] -ABX80370.1 polyprotein [Hepacivirus C] -AJF19150.1 polyprotein [Hepacivirus C] -AJO71609.1 polyprotein [Hepacivirus C] -ABX80373.1 polyprotein [Hepacivirus C] -AHH29575.1 polyprotein [Hepatitis C virus genotype 6] -AJF96723.1 polyprotein [Hepacivirus C] -AFU34525.1 polyprotein [Hepacivirus C] -AIO08089.1 polyprotein [Hepacivirus C] -AJO71605.1 polyprotein [Hepacivirus C] -AIO08091.1 polyprotein [Hepacivirus C] -AII25860.1 polyprotein [Hepacivirus C] -AIO08083.1 polyprotein [Hepacivirus C] diff --git a/seq/clusters_info/cluster_1240 b/seq/clusters_info/cluster_1240 deleted file mode 100644 index 84877c5..0000000 --- a/seq/clusters_info/cluster_1240 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009513270.1 matrix protein 2-2 [Human metapneumovirus] -sp|Q6WB96.1|M22_HMPVC RecName: Full=Protein M2-2 -sp|Q2Y2M1.1|M22_AMPV1 RecName: Full=Protein M2-2 diff --git a/seq/clusters_info/cluster_1241 b/seq/clusters_info/cluster_1241 deleted file mode 100644 index ec82724..0000000 --- a/seq/clusters_info/cluster_1241 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009513208.1 32 kDa protein [Beet soil-borne mosaic virus] -NP_612622.1 31k protein [Beet necrotic yellow vein virus] -sp|P19231.1|Y32K_BNYVG RecName: Full=RNA-4 uncharacterized 31.9 kDa protein diff --git a/seq/clusters_info/cluster_1242 b/seq/clusters_info/cluster_1242 deleted file mode 100644 index cea3a08..0000000 --- a/seq/clusters_info/cluster_1242 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009362232.1 hypothetical protein [Iriri virus] -YP_009362147.1 hypothetical protein [Rochambeau virus] -YP_009512982.1 hypothetical protein [Curionopolis virus] diff --git a/seq/clusters_info/cluster_1243 b/seq/clusters_info/cluster_1243 deleted file mode 100644 index c247e90..0000000 --- a/seq/clusters_info/cluster_1243 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009362237.1 hypothetical protein [Iriri virus] -YP_009362153.1 hypothetical protein [Rochambeau virus] -YP_009512987.1 hypothetical protein [Curionopolis virus] diff --git a/seq/clusters_info/cluster_1244 b/seq/clusters_info/cluster_1244 deleted file mode 100644 index 9402575..0000000 --- a/seq/clusters_info/cluster_1244 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009362231.1 matrix [Iriri virus] -YP_009362146.1 matrix [Rochambeau virus] -YP_009512981.1 matrix [Curionopolis virus] diff --git a/seq/clusters_info/cluster_1245 b/seq/clusters_info/cluster_1245 deleted file mode 100644 index 5f41dea..0000000 --- a/seq/clusters_info/cluster_1245 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009362230.1 phosphoprotein [Iriri virus] -YP_009362145.1 phosphoprotein [Rochambeau virus] -YP_009512980.1 phosphoprotein [Curionopolis virus] diff --git a/seq/clusters_info/cluster_1246 b/seq/clusters_info/cluster_1246 deleted file mode 100644 index 9efcb02..0000000 --- a/seq/clusters_info/cluster_1246 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009362035.1 glycoprotein precursor [Kibale virus] -YP_009362028.1 glycoprotein precursor [Tai virus] -YP_009507854.1 glycoprotein precursor [Herbert virus strain F23/CI/2004] diff --git a/seq/clusters_info/cluster_1247 b/seq/clusters_info/cluster_1247 deleted file mode 100644 index 530f9e4..0000000 --- a/seq/clusters_info/cluster_1247 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009362025.1 nucleocapsid [Kibale virus] -YP_009362024.1 nucleocapsid [Tai virus] -YP_009507853.1 nucleocapsid [Herbert virus strain F23/CI/2004] diff --git a/seq/clusters_info/cluster_1248 b/seq/clusters_info/cluster_1248 deleted file mode 100644 index e0ee8ea..0000000 --- a/seq/clusters_info/cluster_1248 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009259645.1 N [Diachasmimorpha longicaudata rhabdovirus] -YP_009336585.1 hypothetical protein 1 [Wenling crustacean virus 11] -YP_009336508.1 hypothetical protein 1 [Wenling crustacean virus 10] diff --git a/seq/clusters_info/cluster_1249 b/seq/clusters_info/cluster_1249 deleted file mode 100644 index b552527..0000000 --- a/seq/clusters_info/cluster_1249 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507938.1 movement protein [Lilac ring mottle virus] -YP_001285480.1 movement protein [Citrus variegation virus] -NP_613279.1 movement protein [Citrus leaf rugose virus] diff --git a/seq/clusters_info/cluster_125 b/seq/clusters_info/cluster_125 deleted file mode 100644 index 8243e68..0000000 --- a/seq/clusters_info/cluster_125 +++ /dev/null @@ -1,36 +0,0 @@ -YP_009346039.1 RdRp [Wuhan insect virus 22] -YP_009345133.1 RdRp [Wuhan cricket virus 2] -YP_009342458.1 RdRp [Wuhan fly virus 5] -YP_009342308.1 RdRp [Wuhan Millipede virus 4] -YP_009337885.1 RdRp [Hubei tetragnatha maxillosa virus 8] -YP_009337870.1 RdRp [Hubei diptera virus 17] -YP_009333370.1 RdRp [Beihai barnacle virus 12] -YP_009333350.1 RdRp [Beihai partiti-like virus 2] -YP_009329892.1 RdRp [Hubei diptera virus 18] -YP_009329875.1 RdRp [Hubei partiti-like virus 11] -APG78253.1 RdRp [Hubei partiti-like virus 20] -APG78282.1 RdRp, partial [Hubei partiti-like virus 15] -APG78247.1 RdRp, partial [Hubei partiti-like virus 21] -APG78306.1 RdRp [Hubei partiti-like virus 1] -APG78265.1 RdRp [Hubei partiti-like virus 18] -APG78256.1 RdRp [Hubei partiti-like virus 17] -APG78162.1 RdRp [Hubei partiti-like virus 3] -APG78307.1 RdRp, partial [Hubei partiti-like virus 8] -APG78257.1 RdRp [Hubei partiti-like virus 12] -AOR51389.1 RdRp, partial [Partitivirus-like 2] -APG78308.1 RdRp [Hubei partiti-like virus 9] -APG78276.1 RdRp [Hubei partiti-like virus 6] -YP_009182157.1 66 kDa protein [Penicillium aurantiogriseum partiti-like virus] -APG78278.1 RdRp [Hubei partiti-like virus 14] -APG78183.1 RdRp [Beihai partiti-like virus 1] -AOR51388.1 RdRp, partial [Partitivirus-like 1] -APG78227.1 RdRp [Hubei partiti-like virus 10] -APG78230.1 RdRp [Hubei partiti-like virus 7] -APG78275.1 RdRp [Hubei partiti-like virus 13] -APG78301.1 RdRp [Hubei partiti-like virus 16] -APG78252.1 RdRp [Hubei partiti-like virus 5] -APG78224.1 RdRp [Hubei partiti-like virus 4] -APG78217.1 RdRp [Hubei partiti-like virus 22] -APG78350.1 RdRp [Wenling partiti-like virus 1] -APG78260.1 RdRp [Hubei partiti-like virus 19] -APG78155.1 RdRp [Hubei partiti-like virus 2] diff --git a/seq/clusters_info/cluster_1250 b/seq/clusters_info/cluster_1250 deleted file mode 100644 index 62627ce..0000000 --- a/seq/clusters_info/cluster_1250 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009508531.1 U1 protein [Beatrice Hill virus] -YP_009362247.1 hypothetical protein [Sweetwater Branch virus] -YP_007641371.1 hypothetical protein [Tibrogargan virus] diff --git a/seq/clusters_info/cluster_1251 b/seq/clusters_info/cluster_1251 deleted file mode 100644 index ba7b34a..0000000 --- a/seq/clusters_info/cluster_1251 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009508524.1 phosphoprotein [Kanyawara virus] -YP_009362240.1 phosphoprotein [Mount Elgon bat virus] -YP_009305099.1 phosphoprotein [Wuhan Louse Fly Virus 5] diff --git a/seq/clusters_info/cluster_1252 b/seq/clusters_info/cluster_1252 deleted file mode 100644 index ab22664..0000000 --- a/seq/clusters_info/cluster_1252 +++ /dev/null @@ -1,3 +0,0 @@ -YP_006522428.1 hypothetical 6-kDa protein [Cucurbit chlorotic yellows virus] -YP_003002359.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816776.1 p6.3 [Bean yellow disorder virus] diff --git a/seq/clusters_info/cluster_1253 b/seq/clusters_info/cluster_1253 deleted file mode 100644 index 8eba027..0000000 --- a/seq/clusters_info/cluster_1253 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009182175.1 capsid protein [Red clover powdery mildew-associated totivirus 2] -NP_042580.1 capsid [Saccharomyces cerevisiae virus L-BC (La)] -sp|P23172.2|RDRP_SCVLB RecName: Full=Probable RNA-directed RNA polymerase; AltName: Full=Gag-Pol protein diff --git a/seq/clusters_info/cluster_1254 b/seq/clusters_info/cluster_1254 deleted file mode 100644 index c340a4c..0000000 --- a/seq/clusters_info/cluster_1254 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507787.1 sigma C [Pulau reovirus] -YP_009110701.1 sigma C capsid protein [Cangyuan orthoreovirus] -YP_007507328.1 sigma C [Melaka orthoreovirus] diff --git a/seq/clusters_info/cluster_1255 b/seq/clusters_info/cluster_1255 deleted file mode 100644 index 4aae059..0000000 --- a/seq/clusters_info/cluster_1255 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507766.1 polyhedrin [Choristoneura occidentalis cypovirus 16] -YP_009002596.1 polyhedrin [Inachis io cypovirus 2] -sp|O01999.1|PYHD_CPVCS RecName: Full=Polyhedrin; AltName: Full=C-polyhedrin diff --git a/seq/clusters_info/cluster_1256 b/seq/clusters_info/cluster_1256 deleted file mode 100644 index af1bb64..0000000 --- a/seq/clusters_info/cluster_1256 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507765.1 unknown [Choristoneura occidentalis cypovirus 16] -YP_009158922.1 VP2 [Lutzomyia reovirus 1] -YP_009002587.1 VP2 [Inachis io cypovirus 2] diff --git a/seq/clusters_info/cluster_1257 b/seq/clusters_info/cluster_1257 deleted file mode 100644 index 9c0979e..0000000 --- a/seq/clusters_info/cluster_1257 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507758.1 NS22 [Scophthalmus maximus reovirus] -YP_009351842.1 NS22 [Fall chinook aquareovirus] -YP_009259502.1 putative non-structural protein 23 [Etheostoma fonticola aquareovirus] diff --git a/seq/clusters_info/cluster_1258 b/seq/clusters_info/cluster_1258 deleted file mode 100644 index 1909ce3..0000000 --- a/seq/clusters_info/cluster_1258 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009507757.1 VP7 [Scophthalmus maximus reovirus] -YP_009259506.1 putative major outer capsid protein [Etheostoma fonticola aquareovirus] -YP_398637.1 putative outer coat protein [Chum salmon reovirus CS] diff --git a/seq/clusters_info/cluster_1259 b/seq/clusters_info/cluster_1259 deleted file mode 100644 index 5145775..0000000 --- a/seq/clusters_info/cluster_1259 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009509002.1 putative NS4A [Theiler's disease-associated virus] -YP_009227299.1 putative NS4A peptide [Human pegivirus 2] -YP_007905980.1 putative NS4A protein [Rodent pegivirus] diff --git a/seq/clusters_info/cluster_126 b/seq/clusters_info/cluster_126 deleted file mode 100644 index dbbeb08..0000000 --- a/seq/clusters_info/cluster_126 +++ /dev/null @@ -1,36 +0,0 @@ -YP_002302219.1 NSP1 [Rotavirus A] -sp|O40626.2|NSP1_ROTH6 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q6YLT2.2|NSP1_ROTRH RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|B3SRV2.1|NSP1_ROTHP RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|B3SRU4.1|NSP1_ROTHL RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|B3SRR2.1|NSP1_ROTH7 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|B3SRX6.1|NSP1_ROTWI RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|B2BRG2.1|NSP1_ROTW3 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|A2T3T1.1|NSP1_ROTTU RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|A2T3M0.1|NSP1_ROTAP RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|B3SRS0.1|NSP1_ROTAD RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q3ZK61.1|NSP1_ROT41 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q8JZ13.1|NSP1_ROTB4 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q86194.1|NSP1_ROTH3 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q76VV3.1|NSP1_ROTBU RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q65709.1|NSP1_ROTBB RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q9YKL8.1|NSP1_ROTD9 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q9WC80.1|NSP1_ROTRA RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q9QNA9.1|NSP1_ROTHK RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q65696.1|NSP1_ROTBA RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|P87724.1|NSP1_ROTHU RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|O56831.1|NSP1_ROTF6 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|O41178.1|NSP1_ROTPY RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|O40627.1|NSP1_ROTHJ RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|O39822.1|NSP1_ROTEL RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|O39821.1|NSP1_ROTEH RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q84940.1|NSP1_ROTP5 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q84939.1|NSP1_ROTPG RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q83444.1|NSP1_ROTME RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q82045.1|NSP1_ROTHT RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|Q82044.1|NSP1_ROTHM RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|P35425.1|NSP1_ROTSP RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|P35424.1|NSP1_ROTHW RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|P35423.1|NSP1_ROTHD RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|P30212.1|NSP1_ROTHI RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -sp|P15687.1|NSP1_ROTS1 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 diff --git a/seq/clusters_info/cluster_1260 b/seq/clusters_info/cluster_1260 deleted file mode 100644 index fd98bf2..0000000 --- a/seq/clusters_info/cluster_1260 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009045178.1 NS4A [Simian pegivirus] -NP_803214.1 putative NS4A protein [Pegivirus A] -NP_803206.1 putative NS4A protein [GB virus C] diff --git a/seq/clusters_info/cluster_1261 b/seq/clusters_info/cluster_1261 deleted file mode 100644 index ab031e4..0000000 --- a/seq/clusters_info/cluster_1261 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009508926.1 3A [Turkey avisivirus] -YP_009055021.1 3A [Chicken picornavirus 3] -YP_009055010.1 3A [Chicken picornavirus 2] diff --git a/seq/clusters_info/cluster_1262 b/seq/clusters_info/cluster_1262 deleted file mode 100644 index d6bc496..0000000 --- a/seq/clusters_info/cluster_1262 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009505584.1 membrane protein [Fathead minnow nidovirus] -YP_009130644.1 membrane protein [Chinook salmon bafinivirus] -YP_803216.1 hypothetical protein WBV_gp4 [White bream virus] diff --git a/seq/clusters_info/cluster_1263 b/seq/clusters_info/cluster_1263 deleted file mode 100644 index a0ee605..0000000 --- a/seq/clusters_info/cluster_1263 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009440976.1 C' protein [Vesicular stomatitis New Jersey virus] -sp|Q86609.1|C_VSNJO RecName: Full=Protein C' -sp|P0C2X5.1|C_VSNJM RecName: Full=Protein C' diff --git a/seq/clusters_info/cluster_1264 b/seq/clusters_info/cluster_1264 deleted file mode 100644 index b80a2cc..0000000 --- a/seq/clusters_info/cluster_1264 +++ /dev/null @@ -1,3 +0,0 @@ -YP_006495804.1 PIPO, partial [Apium virus Y] -YP_006423944.1 PIPO, partial [Freesia mosaic virus] -YP_006395327.1 PIPO, partial [Yam mosaic virus] diff --git a/seq/clusters_info/cluster_1265 b/seq/clusters_info/cluster_1265 deleted file mode 100644 index e29e29f..0000000 --- a/seq/clusters_info/cluster_1265 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009428583.1 structural protein P4, partial [Grapevine Cabernet Sauvignon reovirus] -YP_003934921.1 structural protein P4 [Raspberry latent virus] -NP_620515.1 P2 [Rice ragged stunt virus] diff --git a/seq/clusters_info/cluster_1266 b/seq/clusters_info/cluster_1266 deleted file mode 100644 index 032c299..0000000 --- a/seq/clusters_info/cluster_1266 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336644.1 hypothetical protein 2 [Hubei tombus-like virus 22] -YP_009345007.1 hypothetical protein 3 [Wuhan spider virus 8] -YP_009333294.1 hypothetical protein 3 [Sanxia tombus-like virus 7] diff --git a/seq/clusters_info/cluster_1267 b/seq/clusters_info/cluster_1267 deleted file mode 100644 index ce3ddb0..0000000 --- a/seq/clusters_info/cluster_1267 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009407915.1 virus coat protein [Rice virus A] -YP_009337126.1 putative capsid protein [Changjiang sobemo-like virus 2] -YP_009336813.1 hypothetical protein 3 [Beihai sobemo-like virus 25] diff --git a/seq/clusters_info/cluster_1268 b/seq/clusters_info/cluster_1268 deleted file mode 100644 index a875d65..0000000 --- a/seq/clusters_info/cluster_1268 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009389544.1 hypothetical protein CGV06_s2gp1 [Motherwort yellow mottle virus] -YP_009389542.1 hypothetical protein CGV09_s2gp1 [Lettuce necrotic leaf curl virus] -YP_009104370.1 ORF1 [Carrot torradovirus 1] diff --git a/seq/clusters_info/cluster_1269 b/seq/clusters_info/cluster_1269 deleted file mode 100644 index 959b07e..0000000 --- a/seq/clusters_info/cluster_1269 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009389243.1 fifo [Karumba virus] -YP_009389242.1 NS2A [Karumba virus] -YP_009305406.1 NS2A [Anopheles flavivirus variant 1] diff --git a/seq/clusters_info/cluster_127 b/seq/clusters_info/cluster_127 deleted file mode 100644 index be85c87..0000000 --- a/seq/clusters_info/cluster_127 +++ /dev/null @@ -1,35 +0,0 @@ -YP_009329882.1 RdRp [Wuhan insect virus 23] -YP_009329869.1 RdRp [Beihai barnacle virus 13] -APG78248.1 RdRp [Hubei partiti-like virus 44] -APG78249.1 RdRp [Hubei partiti-like virus 36] -APG78313.1 RdRp [Hubei partiti-like virus 43] -APG78238.1 RdRp [Hubei partiti-like virus 41] -APG78299.1 RdRp, partial [Hubei partiti-like virus 55] -APG78274.1 RdRp [Hubei partiti-like virus 49] -APG78203.1 RdRp [Wuhan fly virus 6] -APG78322.1 RdRp [Hubei partiti-like virus 34] -APG78250.1 RdRp [Hubei partiti-like virus 47] -APG78293.1 RdRp [Sanxia water strider virus 18] -APG78332.1 RdRp [Wuhan house centipede virus 8] -APG78331.1 RdRp [Wuhan house centipede virus 7] -APG78254.1 RdRp [Hubei odonate virus 13] -APG78244.1 RdRp [Hubei partiti-like virus 29] -APG78213.1 RdRp [Shuangao partiti-like virus 1] -APG78243.1 RdRp [Hubei partiti-like virus 46] -APG78200.1 RdRp [Wuhan insect virus 25] -APG78281.1 RdRp [Hubei partiti-like virus 42] -APG78266.1 RdRp [Hubei diptera virus 19] -APG78261.1 RdRp [Hubei partiti-like virus 38] -APG78345.1 RdRp [Wenling partiti-like virus 3] -APG78330.1 RdRp [Hubei partiti-like virus 45] -APG78342.1 RdRp [Wenling partiti-like virus 2] -APG78277.1 RdRp [Hubei partiti-like virus 31] -APG78199.1 RdRp [Wuhan insect virus 24] -APG78218.1 RdRp [Hubei partiti-like virus 48] -APG78294.1 RdRp [Sanxia partiti-like virus 1] -APG78233.1 RdRp [Hubei partiti-like virus 39] -APG78222.1 RdRp [Hubei partiti-like virus 33] -APG78231.1 RdRp [Hubei partiti-like virus 37] -APG78343.1 RdRp [Wenling partiti-like virus 4] -APG78310.1 RdRp [Hubei partiti-like virus 40] -APG78333.1 RdRp [Hubei partiti-like virus 35] diff --git a/seq/clusters_info/cluster_1270 b/seq/clusters_info/cluster_1270 deleted file mode 100644 index 3ac2ddf..0000000 --- a/seq/clusters_info/cluster_1270 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009165593.1 polyprotein [Opsiphanes invirae iflavirus 1] -YP_004935363.1 polyprotein [Spodoptera exigua iflavirus 1] -NP_620559.1 polyprotein [Infectious flacherie virus] diff --git a/seq/clusters_info/cluster_1271 b/seq/clusters_info/cluster_1271 deleted file mode 100644 index 7184c04..0000000 --- a/seq/clusters_info/cluster_1271 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009352887.1 ORF0 [Tobacco virus 2] -NP_056746.1 P0 protein [Potato leafroll virus] -sp|P11621.1|P0_PLRVW RecName: Full=Suppressor of silencing P0; AltName: Full=28 kDa protein; AltName: Full=Protein ORF0 diff --git a/seq/clusters_info/cluster_1272 b/seq/clusters_info/cluster_1272 deleted file mode 100644 index da000ad..0000000 --- a/seq/clusters_info/cluster_1272 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009351919.1 VP2-3 [Mogiana tick virus] -YP_009029997.1 putative membrane protein [Jingmen tick virus] -YP_009029996.1 putative capsid protein [Jingmen tick virus] diff --git a/seq/clusters_info/cluster_1273 b/seq/clusters_info/cluster_1273 deleted file mode 100644 index 7f2889f..0000000 --- a/seq/clusters_info/cluster_1273 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336519.1 hypothetical protein [Hubei picorna-like virus 54] -YP_009315907.1 polyprotein [Riptortus pedestris virus-1] -APG78365.1 hypothetical protein [Hubei picorna-like virus 54] diff --git a/seq/clusters_info/cluster_1274 b/seq/clusters_info/cluster_1274 deleted file mode 100644 index 977d1d8..0000000 --- a/seq/clusters_info/cluster_1274 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009345136.1 hypothetical protein [Wuhan cricket virus 2] -YP_009342309.1 hypothetical protein [Wuhan Millipede virus 4] -YP_009337886.1 hypothetical protein [Hubei tetragnatha maxillosa virus 8] diff --git a/seq/clusters_info/cluster_1275 b/seq/clusters_info/cluster_1275 deleted file mode 100644 index 070d8ec..0000000 --- a/seq/clusters_info/cluster_1275 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009345053.1 hypothetical protein [Wuhan spirurian nematodes virus 1] -YP_009345046.1 hypothetical protein 2 [Xinzhou nematode virus 2] -YP_009345045.1 hypothetical protein 1 [Xinzhou nematode virus 2] diff --git a/seq/clusters_info/cluster_1276 b/seq/clusters_info/cluster_1276 deleted file mode 100644 index 15fbe5e..0000000 --- a/seq/clusters_info/cluster_1276 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009345004.1 hypothetical protein [Wuhan insect virus 9] -YP_009337903.1 hypothetical protein [Hubei Wuhan insect virus 9] -YP_009337900.1 hypothetical protein [Hubei Wuhan insect virus 9] diff --git a/seq/clusters_info/cluster_1277 b/seq/clusters_info/cluster_1277 deleted file mode 100644 index ff80712..0000000 --- a/seq/clusters_info/cluster_1277 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009345003.1 hypothetical protein [Wuhan insect virus 9] -YP_009337902.1 hypothetical protein [Hubei Wuhan insect virus 9] -YP_009337899.1 hypothetical protein [Hubei Wuhan insect virus 9] diff --git a/seq/clusters_info/cluster_1278 b/seq/clusters_info/cluster_1278 deleted file mode 100644 index f101800..0000000 --- a/seq/clusters_info/cluster_1278 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009344993.1 coat protein [Solanum nodiflorum mottle virus] -YP_003896040.1 capsid protein [Velvet tobacco mottle virus] -NP_044744.1 capsid protein [Leek white stripe virus] diff --git a/seq/clusters_info/cluster_1279 b/seq/clusters_info/cluster_1279 deleted file mode 100644 index d26e6f7..0000000 --- a/seq/clusters_info/cluster_1279 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009344815.1 hypothetical protein [Kibale red colobus virus 1] -YP_009067074.1 ORF5a protein [Southwest baboon virus 1] -YP_009067060.1 ORF5a protein [Mikumi yellow baboon virus 1] diff --git a/seq/clusters_info/cluster_128 b/seq/clusters_info/cluster_128 deleted file mode 100644 index ddafde2..0000000 --- a/seq/clusters_info/cluster_128 +++ /dev/null @@ -1,35 +0,0 @@ -YP_009380531.1 RdRp, partial [Mamastrovirus 2] -YP_009380530.1 nonstructural protein [Mamastrovirus 2] -YP_009480536.1 ORF1ab [Sichuan takin astrovirus] -YP_009480537.1 ORF1a [Sichuan takin astrovirus] -YP_009448179.1 nonstructural protein, partial [Rodent astrovirus] -YP_009448180.1 ORF1a, partial [Rodent astrovirus] -YP_009162618.1 ORF1a [Dromedary astrovirus] -YP_009162617.1 nonstructural polyprotein [Dromedary astrovirus] -YP_009154705.1 nonstructural protein [Astrovirus Er/SZAL6/HUN/2011] -YP_009041463.1 NSP1a [Bovine astrovirus] -YP_009041462.1 NSP1ab [Bovine astrovirus] -YP_009010981.1 ORF1ab [Porcine astrovirus 4] -YP_009010982.1 ORF1a [Porcine astrovirus 4] -YP_009010978.1 ORF1ab [Porcine astrovirus 2] -YP_009010979.1 ORF1a [Porcine astrovirus 2] -YP_009010952.1 nsp1ab [Bovine astrovirus B170/HK] -YP_009010953.1 nsp1a [Bovine astrovirus B170/HK] -YP_009010949.1 nsp1ab [Bovine astrovirus B18/HK] -YP_009010950.1 nsp1a [Bovine astrovirus B18/HK] -YP_009010946.1 nsp1ab [Bovine astrovirus B76-2/HK] -YP_009010947.1 nsp1a [Bovine astrovirus B76-2/HK] -YP_009010943.1 nsp1ab [Bovine astrovirus B76/HK] -YP_009010944.1 nsp1a [Bovine astrovirus B76/HK] -YP_006843890.1 nonstructural protein [Murine astrovirus] -YP_006843891.1 RNA-dependent RNA polymerase, partial [Murine astrovirus] -YP_005271208.1 ORF1b, partial [Astrovirus wild boar/WBAstV-1/2011/HUN] -YP_005271207.1 ORF1a [Astrovirus wild boar/WBAstV-1/2011/HUN] -BAS29622.1 ORF1ab [Bovine astrovirus] -BAX00240.1 ORF1ab [Porcine astrovirus 4] -BAS29598.1 ORF1ab [Bovine astrovirus] -ADP21510.1 RNA-dependent RNA polymerase, partial [Porcine astrovirus PAstV-2/2007/HUN] -AFX61314.1 ORF1ab [Porcine astrovirus 2] -BAS29631.1 ORF1ab [Bovine astrovirus] -BAS29616.1 ORF1ab [Bovine astrovirus] -BAX00186.1 ORF1ab [Porcine astrovirus 2] diff --git a/seq/clusters_info/cluster_1280 b/seq/clusters_info/cluster_1280 deleted file mode 100644 index 31a885a..0000000 --- a/seq/clusters_info/cluster_1280 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342462.1 hypothetical protein [Wuhan house centipede virus 4] -YP_009342448.1 hypothetical protein [Wuhan heteroptera virus 2] -YP_009337365.1 hypothetical protein [Hubei permutotetra-like virus 7] diff --git a/seq/clusters_info/cluster_1281 b/seq/clusters_info/cluster_1281 deleted file mode 100644 index 468e032..0000000 --- a/seq/clusters_info/cluster_1281 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009209482.1 polyprotein [Thelephora terrestris virus 1] -YP_003541123.1 putative RNA-dependent RNA polymerase [Phlebiopsis gigantea mycovirus dsRNA 1] -BAG71788.2 putative RNA-dependent RNA polymerase [Lentinula edodes mycovirus HKB] diff --git a/seq/clusters_info/cluster_1282 b/seq/clusters_info/cluster_1282 deleted file mode 100644 index a04a7ec..0000000 --- a/seq/clusters_info/cluster_1282 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342300.1 hypothetical protein 3 [Wenzhou tombus-like virus 14] -YP_009336785.1 hypothetical protein 3 [Beihai tombus-like virus 13] -YP_009336611.1 hypothetical protein 3 [Hubei tombus-like virus 25] diff --git a/seq/clusters_info/cluster_1283 b/seq/clusters_info/cluster_1283 deleted file mode 100644 index 4341e1a..0000000 --- a/seq/clusters_info/cluster_1283 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337418.1 hypothetical protein 2 [Changjiang tombus-like virus 9] -YP_009336686.1 hypothetical protein 3 [Hubei tombus-like virus 31] -NP_995579.1 coat protein [Sclerophthora macrospora virus A] diff --git a/seq/clusters_info/cluster_1284 b/seq/clusters_info/cluster_1284 deleted file mode 100644 index efcc23b..0000000 --- a/seq/clusters_info/cluster_1284 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342288.1 hypothetical protein [Wenzhou tombus-like virus 15] -YP_009337052.1 hypothetical protein 2 [Changjiang tombus-like virus 19] -YP_009333161.1 hypothetical protein 2 [Hubei tombus-like virus 32] diff --git a/seq/clusters_info/cluster_1285 b/seq/clusters_info/cluster_1285 deleted file mode 100644 index 1cf62d8..0000000 --- a/seq/clusters_info/cluster_1285 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342257.1 hypothetical protein [Wenzhou tombus-like virus 16] -YP_009337312.1 putative capsid protein [Changjiang narna-like virus 2] -YP_009336758.1 hypothetical protein [Beihai tombus-like virus 14] diff --git a/seq/clusters_info/cluster_1286 b/seq/clusters_info/cluster_1286 deleted file mode 100644 index 00d1c41..0000000 --- a/seq/clusters_info/cluster_1286 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342253.1 hypothetical protein [Wenzhou yanvirus-like virus 2] -YP_009337317.1 hypothetical protein 2 [Hubei yanvirus-like virus 1] -YP_009337286.1 hypothetical protein [Wenzhou bivalvia virus 2] diff --git a/seq/clusters_info/cluster_1287 b/seq/clusters_info/cluster_1287 deleted file mode 100644 index 96b08a2..0000000 --- a/seq/clusters_info/cluster_1287 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342262.1 hypothetical protein [Wenzhou yanvirus-like virus 1] -YP_009337304.1 hypothetical protein [Shahe isopoda virus 3] -YP_009333173.1 hypothetical protein 3 [Hubei tombus-like virus 34] diff --git a/seq/clusters_info/cluster_1288 b/seq/clusters_info/cluster_1288 deleted file mode 100644 index e7a1d9a..0000000 --- a/seq/clusters_info/cluster_1288 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342252.1 RNA-dependent RNA polymerase [Wenzhou yanvirus-like virus 2] -YP_009337316.1 RNA-dependent RNA polymerase [Hubei yanvirus-like virus 1] -YP_009337285.1 RNA-dependent RNA polymerase [Wenzhou bivalvia virus 2] diff --git a/seq/clusters_info/cluster_1289 b/seq/clusters_info/cluster_1289 deleted file mode 100644 index 3674ebe..0000000 --- a/seq/clusters_info/cluster_1289 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009342065.1 hypothetical protein [Wuhan arthropod virus 1] -YP_009337282.1 putative structural protein [Wenling hepe-like virus 4] -YP_009333472.1 putative structural protein [Beihai sea slater virus 4] diff --git a/seq/clusters_info/cluster_129 b/seq/clusters_info/cluster_129 deleted file mode 100644 index 7fd6897..0000000 --- a/seq/clusters_info/cluster_129 +++ /dev/null @@ -1,35 +0,0 @@ -YP_009553260.1 hypothetical protein 1 [Yongsan tombus-like virus 1] -YP_009553261.1 hypothetical protein 2 [Yongsan tombus-like virus 1] -YP_009336880.1 hypothetical protein 3 [Hubei tombus-like virus 19] -YP_009345892.1 hypothetical protein [Jingmen tombus-like virus 1] -YP_009344999.1 hypothetical protein 2 [Wuhan spider virus 9] -YP_009342265.1 hypothetical protein 3 [Wenzhou tombus-like virus 12] -YP_009342051.1 hypothetical protein 2 [Wenzhou tombus-like virus 11] -YP_009342050.1 hypothetical protein 1 [Wenzhou tombus-like virus 11] -YP_009337762.1 hypothetical protein 2 [Beihai tombus-like virus 11] -YP_009337329.1 hypothetical protein 1 [Sanxia tombus-like virus 6] -YP_009337190.1 hypothetical protein 2 [Wenling tombus-like virus 2] -YP_009337188.1 hypothetical protein 2 [Sanxia tombus-like virus 5] -YP_009337096.1 hypothetical protein 2 [Hubei tombus-like virus 13] -YP_009337078.1 hypothetical protein 2 [Hubei tombus-like virus 15] -YP_009337034.1 hypothetical protein 3 [Hubei tombus-like virus 20] -YP_009336965.1 hypothetical protein 3 [Hubei tombus-like virus 14] -YP_009336894.1 hypothetical protein 1 [Beihai tombus-like virus 10] -YP_009336849.1 hypothetical protein 2 [Beihai tombus-like virus 9] -YP_009336696.1 hypothetical protein 3 [Hubei tombus-like virus 18] -YP_009336659.1 hypothetical protein 2 [Hubei tombus-like virus 17] -YP_009336653.1 hypothetical protein 2 [Hubei unio douglasiae virus 2] -YP_009336606.1 hypothetical protein 2 [Beihai tombus-like virus 16] -YP_009336526.1 hypothetical protein 3 [Beihai tombus-like virus 12] -YP_009337267.1 hypothetical protein 3 [Sanxia water strider virus 14] -YP_009337225.1 hypothetical protein 3 [Wenling tombus-like virus 3] -YP_009336978.1 hypothetical protein 2 [Hubei tombus-like virus 21] -YP_009333221.1 hypothetical protein 2 [Shahe isopoda virus 5] -YP_009333172.1 hypothetical protein 2 [Hubei tombus-like virus 34] -YP_009333374.1 hypothetical protein [Beihai horseshoe crab virus 1] -YP_009333284.1 hypothetical protein 1 [Sanxia water strider virus 15] -YP_009333253.1 hypothetical protein 2 [Hubei tombus-like virus 16] -AOC55063.1 RNA-dependent RNA polymerase [Pinela virus] -AOC55070.1 RNA-dependent RNA polymerase [Renton virus] -APG76308.1 hypothetical protein 1 [Hubei mosquito virus 4] -AMO03229.1 putative polymerase [Corseley virus] diff --git a/seq/clusters_info/cluster_1290 b/seq/clusters_info/cluster_1290 deleted file mode 100644 index 8ab6338..0000000 --- a/seq/clusters_info/cluster_1290 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009329857.1 replicase [Beihai hepe-like virus 4] -YP_009329826.1 unknown [Bivalve hepelivirus G] -APG77858.1 hypothetical protein [Beihai hepe-like virus 4] diff --git a/seq/clusters_info/cluster_1291 b/seq/clusters_info/cluster_1291 deleted file mode 100644 index 2379c17..0000000 --- a/seq/clusters_info/cluster_1291 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009175042.2 alpha-delta fusion protein [Le Blanc nodavirus] -YP_009173860.2 alpha-delta fusion protein [Orsay virus] -YP_004221744.2 protein delta [Santeuil nodavirus] diff --git a/seq/clusters_info/cluster_1292 b/seq/clusters_info/cluster_1292 deleted file mode 100644 index 893b226..0000000 --- a/seq/clusters_info/cluster_1292 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337851.1 hypothetical protein 1 [Sanxia water strider virus 10] -YP_009337765.1 putative capsid protein [Hubei permutotetra-like virus 10] -YP_009329863.1 putative capsid protein [Beihai permutotetra-like virus 2] diff --git a/seq/clusters_info/cluster_1293 b/seq/clusters_info/cluster_1293 deleted file mode 100644 index 0b29955..0000000 --- a/seq/clusters_info/cluster_1293 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337781.1 hypothetical protein 1 [Beihai sobemo-like virus 13] -YP_009337144.1 hypothetical protein 1 [Beihai sobemo-like virus 12] -YP_009330053.1 hypothetical protein 1 [Beihai sobemo-like virus 14] diff --git a/seq/clusters_info/cluster_1294 b/seq/clusters_info/cluster_1294 deleted file mode 100644 index 053823c..0000000 --- a/seq/clusters_info/cluster_1294 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009142786.1 coat protein [Rottboellia yellow mottle virus] -YP_007438855.1 capsid protein [Ryegrass mottle virus] -YP_006331063.1 capsid protein [Artemisia virus A] diff --git a/seq/clusters_info/cluster_1295 b/seq/clusters_info/cluster_1295 deleted file mode 100644 index 88d340e..0000000 --- a/seq/clusters_info/cluster_1295 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336810.1 hypothetical protein [Shahe picorna-like virus 13] -YP_009333344.1 hypothetical protein [Beihai paphia shell virus 4] -YP_009143521.1 polyprotein [Ampivirus A1] diff --git a/seq/clusters_info/cluster_1296 b/seq/clusters_info/cluster_1296 deleted file mode 100644 index 8182d96..0000000 --- a/seq/clusters_info/cluster_1296 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337663.1 RNA-dependent RNA polymerase [Hubei zhaovirus-like virus 2] -YP_009337645.1 RNA-dependent RNA polymerase [Hubei zhaovirus-like virus 1] -YP_009337419.1 polyprotein [Beihai zhaovirus-like virus 1] diff --git a/seq/clusters_info/cluster_1297 b/seq/clusters_info/cluster_1297 deleted file mode 100644 index 170881d..0000000 --- a/seq/clusters_info/cluster_1297 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337651.1 hypothetical protein [Sanxia permutotetra-like virus 1] -YP_009337337.1 hypothetical protein 1 [Hubei permutotetra-like virus 2] -YP_009337301.1 hypothetical protein 1 [Hubei permutotetra-like virus 1] diff --git a/seq/clusters_info/cluster_1298 b/seq/clusters_info/cluster_1298 deleted file mode 100644 index 68e1b7d..0000000 --- a/seq/clusters_info/cluster_1298 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009337124.1 replicase [Changjiang sobemo-like virus 2] -YP_009336811.1 hypothetical protein 1 [Beihai sobemo-like virus 25] -AHA86920.1 gp1 [Carascovirus SF1] diff --git a/seq/clusters_info/cluster_1299 b/seq/clusters_info/cluster_1299 deleted file mode 100644 index 051c7fe..0000000 --- a/seq/clusters_info/cluster_1299 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336957.1 hypothetical protein 1 [Beihai sobemo-like virus 3] -YP_009336900.1 hypothetical protein 1 [Beihai sobemo-like virus 5] -YP_009336876.1 hypothetical protein 1 [Beihai sobemo-like virus 4] diff --git a/seq/clusters_info/cluster_13 b/seq/clusters_info/cluster_13 deleted file mode 100644 index 9f89015..0000000 --- a/seq/clusters_info/cluster_13 +++ /dev/null @@ -1,93 +0,0 @@ -YP_010087746.1 triple gene block protein 2 [Euonymus yellow mottle associated virus] -YP_010087334.1 putative triple gene block protein 2 [Ambrosia asymptomatic virus 1] -YP_009553673.1 ORF3 [Potexvirus sp.] -YP_009552764.1 TGBp2 [Turtle grass virus X] -YP_009552759.1 triple gene block protein 2 [Panax ginseng flexivirus 1] -YP_009664753.1 triple gene block protein 2 [Banana virus X] -YP_009664742.1 triple gene block protein 2 [Helleborus mosaic virus] -YP_009664736.1 triple gene block protein 2 [Cucumber vein-clearing virus] -YP_009664730.1 triple gene block 2 [Lagenaria mild mosaic virus] -YP_009505628.1 triple gene block protein 2 [Melon yellowing-associated virus] -YP_009448189.1 TGB2 [Babaco mosaic virus] -YP_009389481.1 triple gene block protein 2 [Vanilla virus X] -YP_009389475.1 triple gene block protein 2 [Vanilla latent virus] -YP_009362670.1 TGB2 [Alfalfa virus S] -YP_009357232.1 TGB2 [Pepper virus A] -YP_009328894.1 TGBp2 [Arachis pintoi virus] -YP_009270632.1 TGB2 [Senna mosaic virus] -YP_009224948.1 triple gene block protein 2 [Elderberry carlavirus D] -YP_009224936.1 triple gene block protein 2 [Elderberry carlavirus B] -YP_009224930.1 triple gene block protein 2 [Elderberry carlavirus A] -YP_009215376.1 triple gene block protein 2 [Asian prunus virus 3] -YP_009204563.1 triple gene block protein 2 [Asian prunus virus 2] -YP_009186836.1 triple gene block protein 2 [Actinidia virus X] -YP_009174683.1 triple gene block 2 [Nerine latent virus] -YP_009158848.1 triple gene block protein 2 [Pea streak virus] -YP_009126733.1 TGB2 [Alfalfa latent virus] -YP_009110670.1 TGB2 [Garlic virus B] -YP_009094349.1 triple gene block protein 2 [Asian prunus virus 1] -YP_009091816.1 triple gene block 2 [Yam virus X] -YP_009046884.1 TGB2 [Pitaya virus X] -YP_009046481.1 TGB-2 [Cherry twisted leaf associated virus] -YP_008855208.1 TGB2 [Garlic virus D] -YP_006860591.1 triple gene block protein 2 [Apple green crinkle associated virus] -YP_007761584.1 triple gene block protein 2 [Cherry rusty mottle associated virus] -YP_006905863.1 TGB-2 [Rubus canadensis virus 1] -YP_006589921.1 TGB2 [Sweet potato C6 virus] -YP_004936161.1 TGB-2 gene product [Garlic common latent virus] -YP_004849316.1 tgb2 [Tamus red mosaic virus] -YP_004659202.1 TGB-2 [Blackberry virus E] -YP_004089621.1 triple gene block 2 [Apricot latent virus] -YP_004035880.1 triple gene block 2 [Cowpea mild mottle virus] -YP_003324583.1 triple gene block protein 2 [Butterbur mosaic virus] -YP_002776349.1 TGB2 [African oil palm ringspot virus] -YP_002647029.1 tgb2 [Allium virus X] -YP_002647023.1 triple gene block 2 [Red clover vein mosaic virus] -YP_002574616.1 triple gene block protein 2 [Helleborus net necrosis virus] -YP_002341561.1 triple gene block protein 2 [Schlumbergera virus X] -YP_002332931.1 12K protein [Potato virus X] -YP_002308466.1 13 kDa protein [Hosta virus X] -YP_001960942.1 triple-gene-block-protein 2 [Lettuce virus X] -YP_001718501.1 triple gene block protein 2 [Lolium latent virus] -YP_001715614.1 Triple gene block protein 2 [Asparagus virus 3] -YP_001655012.1 triple gene block protein 2 [Phaius virus X] -YP_001497155.1 triple gene block protein 2 [Peach chlorotic mottle virus] -YP_001430023.1 triple gene block protein 2 [Coleus vein necrosis virus] -YP_842440.1 triple gene block protein 2 [Narcissus symptomless virus] -YP_667846.1 ORF 3 [Malva mosaic virus] -YP_459946.1 triple gene block 2 protein 12k [Alternanthera mosaic virus] -YP_446994.1 Triple gene block protein 2 [Nerine virus X] -YP_319829.1 triple gene block protein 2 [Alstroemeria virus X] -YP_263305.1 triple gene block protein 2 [Lily virus X] -YP_224136.1 TGB 2 [Mint virus X] -YP_224086.1 triple gene block protein [Hydrangea ringspot virus] -YP_054409.1 triple gene block protein 2 [Opuntia virus X] -YP_054404.1 triple gene block protein 2 [Zygocactus virus X] -NP_958173.1 triple block protein 2 [Poplar mosaic virus] -NP_663726.1 TGB2 protein [Pepino mosaic virus] -NP_659012.1 TGB2 protein [Garlic virus E] -NP_620838.1 12K triple gene block protein [Plantago asiatica mosaic virus] -NP_620644.1 13K triple gene block protein [Strawberry mild yellow edge virus] -NP_620650.1 membrane protein [Shallot virus X] -NP_619748.1 12 kDa protein [Potato aucuba mosaic virus] -NP_619561.1 TGB2 protein [Garlic latent virus] -NP_604466.1 ORF3 [Apple stem pitting virus] -NP_570728.1 triple gene block protein 2 [Asparagus virus 3] -NP_569128.1 11kDa protein [Garlic virus A] -NP_569134.1 11kDa protein [Garlic virus C] -NP_203555.1 12 kDa triple gene block protein [Indian citrus ringspot virus] -NP_148782.1 12 kDa protein [Cactus virus X] -NP_112031.1 triple gene block protein 3 [Banana mild mosaic virus] -NP_077081.1 '12 KDa' triple gene block protein [Clover yellow mosaic virus] -NP_059940.1 12 kDa triple gene block protein [Cherry necrotic rusty mottle virus] -NP_047283.1 12.8 kDa protein [Grapevine rupestris stem pitting-associated virus] -NP_054027.1 hypothetical protein CymMVgp3 [Cymbidium mosaic virus] -NP_044573.1 12 kDa protein [Garlic virus X] -NP_044332.1 triple gene block protein 2 [Papaya mosaic virus] -NP_042697.1 triple gene block protein 2 [Cassava common mosaic virus] -NP_042585.1 hypothetical protein BaMVgp4 [Bamboo mosaic virus] -NP_040990.1 11K triple gene block protein [Foxtail mosaic virus] -NP_040780.1 14K triple gene block protein [Narcissus mosaic virus] -sp|P22593.1|TGB2_PVXCP RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 -sp|Q07633.1|TGB2_PVXHB RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 -sp|P22170.2|TGB2_FXMV RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 diff --git a/seq/clusters_info/cluster_130 b/seq/clusters_info/cluster_130 deleted file mode 100644 index 1dfa1fc..0000000 --- a/seq/clusters_info/cluster_130 +++ /dev/null @@ -1,35 +0,0 @@ -YP_308871.1 nucleoprotein [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308843.1 nucleocapsid protein [Influenza A virus (A/New York/392/2004(H3N2))] -NP_056661.1 nucleoprotein [Influenza B virus (B/Lee/1940)] -NP_040982.1 nucleoprotein [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|B4URE0.1|NCAP_I06A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|A8C8J8.2|NCAP_I07A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|A3DRP4.1|NCAP_I96A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|A4GCH9.1|NCAP_I83A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|A4K147.1|NCAP_I54A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|A4U6V6.1|NCAP_I45A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|A4GCL2.1|NCAP_I43A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|A4GCM3.1|NCAP_I35A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16982.2|NCAP_I83A8 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q08028.2|NCAP_I75A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P06827.2|NCAP_I72A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q3YPZ1.1|NCAP_I71A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P21433.2|NCAP_I60A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q09159.1|NCAP_I77A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q07552.1|NCAP_I80A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q07531.1|NCAP_I73A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16986.1|NCAP_I76AA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26090.1|NCAP_I83A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26073.1|NCAP_I68A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P18073.1|NCAP_I77AB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P18072.1|NCAP_I77AH RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26072.1|NCAP_I57A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P03467.1|NCAP_I68A6 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16314.1|NCAP_I79A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26069.1|NCAP_I40A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P18071.1|NCAP_I50A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26070.1|NCAP_I47A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|O36433.1|NCAP_INBP9 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P13885.1|NCAP_INBAD RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P13884.1|NCAP_INBAC RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P11102.1|NCAP_INBAA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_1300 b/seq/clusters_info/cluster_1300 deleted file mode 100644 index db4851d..0000000 --- a/seq/clusters_info/cluster_1300 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336704.1 hypothetical protein 1 [Beihai sobemo-like virus 16] -YP_009336639.1 hypothetical protein [Beihai sobemo-like virus 15] -YP_009330051.1 hypothetical protein 1 [Beihai sobemo-like virus 17] diff --git a/seq/clusters_info/cluster_1301 b/seq/clusters_info/cluster_1301 deleted file mode 100644 index f919ad3..0000000 --- a/seq/clusters_info/cluster_1301 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336669.1 hypothetical protein 1 [Hubei toti-like virus 21] -YP_009336641.1 hypothetical protein 1 [Hubei toti-like virus 20] -YP_009333169.1 hypothetical protein 1 [Hubei toti-like virus 19] diff --git a/seq/clusters_info/cluster_1302 b/seq/clusters_info/cluster_1302 deleted file mode 100644 index 93ed57f..0000000 --- a/seq/clusters_info/cluster_1302 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336569.1 hypothetical protein 1 [Changjiang picorna-like virus 14] -AOX47510.1 polymerase polyprotein [Kuiper virus] -APG78064.1 hypothetical protein 1 [Shahe arthropod virus 1] diff --git a/seq/clusters_info/cluster_1303 b/seq/clusters_info/cluster_1303 deleted file mode 100644 index 620cd11..0000000 --- a/seq/clusters_info/cluster_1303 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009330040.1 hypothetical protein 1 [Hubei sobemo-like virus 2] -NP_042510.2 hypothetical protein MBVgp3 [Mushroom bacilliform virus] -NP_042509.1 hypothetical protein MBVgp2 [Mushroom bacilliform virus] diff --git a/seq/clusters_info/cluster_1304 b/seq/clusters_info/cluster_1304 deleted file mode 100644 index 21f20c4..0000000 --- a/seq/clusters_info/cluster_1304 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009336551.1 putative glycoprotein 2 [Wenling nido-like virus 1] -YP_009333334.1 putative glycoprotein 2 [Beihai Nido-like virus 2] -YP_009333327.1 putative glycoprotein 2 [Beihai hermit crab virus 4] diff --git a/seq/clusters_info/cluster_1305 b/seq/clusters_info/cluster_1305 deleted file mode 100644 index e9eb490..0000000 --- a/seq/clusters_info/cluster_1305 +++ /dev/null @@ -1,3 +0,0 @@ -AIU36191.1 polyprotein, partial [Calhevirus NYC-D3] -BAP81885.1 putative nonstructural protein, partial [Calhevirus-2a] -ADK97705.1 non-structural protein [Calhevirus 1] diff --git a/seq/clusters_info/cluster_1306 b/seq/clusters_info/cluster_1306 deleted file mode 100644 index 6e57e90..0000000 --- a/seq/clusters_info/cluster_1306 +++ /dev/null @@ -1,3 +0,0 @@ -AQM49944.1 replicase [Agaricus bisporus virus 14] -AQM49945.1 replicase [Agaricus bisporus virus 15] -ALD89132.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani ourmia-like virus 1] diff --git a/seq/clusters_info/cluster_1307 b/seq/clusters_info/cluster_1307 deleted file mode 100644 index 37b40e2..0000000 --- a/seq/clusters_info/cluster_1307 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009330263.1 Cypovirus VP10 [Hubei lepidoptera virus 3] -YP_001883314.1 polyhedrin [Cypovirus 5] -sp|P36326.1|PYHD_CPVES RecName: Full=Polyhedrin; AltName: Full=C-polyhedrin diff --git a/seq/clusters_info/cluster_1308 b/seq/clusters_info/cluster_1308 deleted file mode 100644 index 5ecd724..0000000 --- a/seq/clusters_info/cluster_1308 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009310049.1 HAM1-like protein [Euphorbia ringspot virus] -YP_007032446.1 HAM1-like protein [Cassava brown streak virus] -YP_004063983.1 HAM1-like protein [Ugandan cassava brown streak virus] diff --git a/seq/clusters_info/cluster_1309 b/seq/clusters_info/cluster_1309 deleted file mode 100644 index c934d7d..0000000 --- a/seq/clusters_info/cluster_1309 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009310040.1 P1 [Euphorbia ringspot virus] -YP_063386.1 P1 protein [Hordeum mosaic virus] -YP_054392.1 P1 protein [Agropyron mosaic virus] diff --git a/seq/clusters_info/cluster_131 b/seq/clusters_info/cluster_131 deleted file mode 100644 index d88c558..0000000 --- a/seq/clusters_info/cluster_131 +++ /dev/null @@ -1,34 +0,0 @@ -YP_009094072.3 HN [Avian paramyxovirus penguin/Falkland Islands/324/2007] -YP_009553494.1 hemagglutinin-neuraminidase protein [Avian metaavulavirus 20] -YP_009513218.1 hemagglutinin-neuraminidase [Avian metaavulavirus 2] -YP_009513198.1 hemagglutinin-neuraminidase [Avian orthoavulavirus 1] -YP_009512955.1 hemagglutinin-neuraminidase protein [Avian metaavulavirus 8] -YP_009512949.1 hemagglutinin-neuraminidase [Avian metaavulavirus 6] -YP_009508521.1 HN [Antarctic penguin virus C] -YP_009508515.1 HN [Antarctic penguin virus B] -YP_009508509.1 HN [Antarctic penguin virus A] -YP_009508503.1 hemagglutinin-neuraminidase protein [Avian paramyxovirus UPO216] -YP_009508497.1 hemagglutinin-neuraminidase protein [Avian paramyxovirus 14] -YP_009380508.1 hemagglutinin-neuraminidase [Avian paramyxovirus 15] -YP_009255225.1 hemagglutinin-neuraminidase [Avian paramyxovirus goose/Shimane/67/2000] -YP_009094482.1 HN protein [Avian metaavulavirus 11] -YP_009094363.1 hemagglutinin-neuraminidase [Avian orthoavulavirus 9] -YP_009094216.1 hemagglutinin [Avian paraavulavirus 3] -YP_009094172.1 hemagglutinin-neuraminidase [Avian orthoavulavirus 12] -YP_009094159.1 hemagglutinin neuraminidase protein [Avian metaavulavirus 5] -YP_009094059.1 hemagglutinin-neuraminidase [Avian metaavulavirus 7] -YP_007008151.1 hemagglutinin-neuraminidase protein [Avian paramyxovirus 4] -NP_872277.1 hemagglutinin-neuraminidase [Goose paramyxovirus SF02] -sp|Q91UL0.1|HN_NDVB1 RecName: Full=Hemagglutinin-neuraminidase -sp|Q9Q2W5.1|HN_NDVK RecName: Full=Hemagglutinin-neuraminidase -sp|P35743.1|HN_NDVL RecName: Full=Hemagglutinin-neuraminidase -sp|P35742.1|HN_NDVJ RecName: Full=Hemagglutinin-neuraminidase -sp|P35741.1|HN_NDVH3 RecName: Full=Hemagglutinin-neuraminidase -sp|P35740.1|HN_NDVC RecName: Full=Hemagglutinin-neuraminidase -sp|P12554.2|HN_NDVA RecName: Full=Hemagglutinin-neuraminidase -sp|P12558.1|HN_NDVU RecName: Full=Hemagglutinin-neuraminidase -sp|P12553.1|HN_NDVTG RecName: Full=Hemagglutinin-neuraminidase -sp|P13850.1|HN_NDVQ RecName: Full=Hemagglutinin-neuraminidase -sp|P12557.1|HN_NDVM RecName: Full=Hemagglutinin-neuraminidase -sp|P12556.1|HN_NDVI RecName: Full=Hemagglutinin-neuraminidase -sp|P12555.1|HN_NDVD RecName: Full=Hemagglutinin-neuraminidase diff --git a/seq/clusters_info/cluster_1310 b/seq/clusters_info/cluster_1310 deleted file mode 100644 index b99e864..0000000 --- a/seq/clusters_info/cluster_1310 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009304977.1 putative X protein [Wuhan House Fly Virus 1] -YP_009304653.1 X protein [Wuhan Fly Virus 2] -YP_009300666.1 X protein [Shayang Fly Virus 2] diff --git a/seq/clusters_info/cluster_1311 b/seq/clusters_info/cluster_1311 deleted file mode 100644 index 568fe60..0000000 --- a/seq/clusters_info/cluster_1311 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009268860.1 putative 36.9 kDa movement protein [Yacon virus A] -NP_044336.1 36K protein [Apple stem grooving virus] -sp|P0C1U3.1|MP_ASGVK RecName: Full=Putative movement protein; AltName: Full=36 kDa protein; AltName: Full=ORF2 protein diff --git a/seq/clusters_info/cluster_1312 b/seq/clusters_info/cluster_1312 deleted file mode 100644 index 0dd8f2f..0000000 --- a/seq/clusters_info/cluster_1312 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009252406.1 P2 [Sclerotinia sclerotiorum mycoreovirus 4] -YP_001936005.1 VP2 [Mycoreovirus 1] -YP_392476.1 hypothetical protein [Mycoreovirus 3] diff --git a/seq/clusters_info/cluster_1313 b/seq/clusters_info/cluster_1313 deleted file mode 100644 index 3b7862a..0000000 --- a/seq/clusters_info/cluster_1313 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009249806.1 P0 [Maize yellow dwarf virus-RMV2] -YP_009246450.1 PO protein [Barley virus G] -YP_008083738.1 PO protein [Maize yellow dwarf virus RMV] diff --git a/seq/clusters_info/cluster_1314 b/seq/clusters_info/cluster_1314 deleted file mode 100644 index 9d055c7..0000000 --- a/seq/clusters_info/cluster_1314 +++ /dev/null @@ -1,3 +0,0 @@ -YP_238303.1 env [Ovine enzootic nasal tumor virus] -NP_862834.2 envelope protein [Enzootic nasal tumour virus of goats] -NP_041188.1 envelope protein [Jaagsiekte sheep retrovirus] diff --git a/seq/clusters_info/cluster_1315 b/seq/clusters_info/cluster_1315 deleted file mode 100644 index 2fd5c26..0000000 --- a/seq/clusters_info/cluster_1315 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009237280.1 P8a [Raspberry leaf blotch emaravirus] -YP_009237279.1 P7 [Raspberry leaf blotch emaravirus] -YP_009237278.1 P6 [Raspberry leaf blotch emaravirus] diff --git a/seq/clusters_info/cluster_1316 b/seq/clusters_info/cluster_1316 deleted file mode 100644 index 2621900..0000000 --- a/seq/clusters_info/cluster_1316 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009222002.1 GP4 protein [Kafue kinda chacma baboon virus] -YP_009067072.1 GP4 protein [Southwest baboon virus 1] -YP_009067058.1 GP4 protein [Mikumi yellow baboon virus 1] diff --git a/seq/clusters_info/cluster_1317 b/seq/clusters_info/cluster_1317 deleted file mode 100644 index 290f344..0000000 --- a/seq/clusters_info/cluster_1317 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009222000.1 GP2b protein [Kafue kinda chacma baboon virus] -YP_009067070.1 GP2b protein [Southwest baboon virus 1] -YP_009067056.1 GP2b protein [Mikumi yellow baboon virus 1] diff --git a/seq/clusters_info/cluster_1318 b/seq/clusters_info/cluster_1318 deleted file mode 100644 index 12bffe6..0000000 --- a/seq/clusters_info/cluster_1318 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009220473.1 3B [Tupaia hepatovirus A] -YP_009164964.1 3B [Phopivirus] -NP_740557.1 3B (VPg) mature peptide [Hepatovirus A] diff --git a/seq/clusters_info/cluster_1319 b/seq/clusters_info/cluster_1319 deleted file mode 100644 index c09c91a..0000000 --- a/seq/clusters_info/cluster_1319 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009220470.1 2A [Tupaia hepatovirus A] -NP_740553.1 2A mature peptide [Hepatovirus A] -sp|P0C5S8.1|POLG_HAVSJ RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX diff --git a/seq/clusters_info/cluster_132 b/seq/clusters_info/cluster_132 deleted file mode 100644 index ae93f85..0000000 --- a/seq/clusters_info/cluster_132 +++ /dev/null @@ -1,34 +0,0 @@ -YP_008470970.1 RNA-dependent RNA polymerase [Ageratum latent virus 1998] -YP_009551615.1 unnamed protein product [Apple necrotic mosaic virus] -YP_009551568.1 RNA polymerase [Tea plant line pattern virus] -YP_009551513.1 RNA-dependent RNA polymerase [Cape gooseberry ilarvirus 1] -YP_009508870.1 replicase [Tomato necrotic streak virus] -YP_009507940.1 polymerase [Lilac ring mottle virus] -YP_009337236.1 hypothetical protein [Wenling toga-like virus] -YP_009165997.1 replication-associated polyprotein 2a [Privet ringspot virus] -YP_009104368.1 RNA-dependent RNA polymerase [Lilac leaf chlorosis virus] -YP_008519305.1 replicase P2 [Blueberry shock virus] -YP_002455929.1 polymerase [Asparagus virus 2] -YP_002308570.1 p2 protein [Blackberry chlorotic ringspot virus] -YP_001285483.1 putative viral polymerase [Citrus variegation virus] -YP_941472.1 RdRp [Strawberry necrotic shock virus] -YP_611151.1 polymerase P2 [Prune dwarf virus] -YP_164802.1 RNA-dependent RNA polymerase [Fragaria chiloensis latent virus] -YP_054423.1 p2 protein [Humulus japonicus latent virus] -YP_053235.1 89.7 kd protein [Alfalfa mosaic virus] -YP_006447.1 p2 protein [Parietaria mottle virus] -NP_733824.1 polymerase p2 [Prunus necrotic ringspot virus] -NP_620754.1 putative polymerase p2 [Tulare apple mosaic virus] -NP_620768.1 putative viral polymerase [Tobacco streak virus] -NP_620678.1 putative polymerase [Spinach latent virus] -NP_619575.1 polymerase [Elm mottle virus] -NP_613281.1 RNA-dependent RNA polymerase [Citrus leaf rugose virus] -NP_602313.1 putative polymerase p2 [American plum line pattern virus] -ACU44509.1 p2 protein [Parietaria mottle virus] -AGN29708.1 RNA-dependent RNA polymerase [Tobacco streak virus] -AGV15825.1 P2 [Alfalfa mosaic virus] -ACJ38088.1 2a protein [Tobacco streak virus] -ANS71063.1 ORF 2a, partial [Viola white distortion associated virus] -CCC54906.1 polymerase [Apple mosaic virus] -AFV34764.1 RNA-dependent RNA polymerase, partial [Grapevine virus S] -AEP04407.1 replicase P2 [Prunus necrotic ringspot virus] diff --git a/seq/clusters_info/cluster_1320 b/seq/clusters_info/cluster_1320 deleted file mode 100644 index 4dc46ae..0000000 --- a/seq/clusters_info/cluster_1320 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009182194.1 capsid protein [Red clover powdery mildew-associated totivirus 7] -YP_009182189.1 capsid protein [Red clover powdery mildew-associated totivirus 6] -YP_009182187.1 capsid protein [Red clover powdery mildew-associated totivirus 5] diff --git a/seq/clusters_info/cluster_1321 b/seq/clusters_info/cluster_1321 deleted file mode 100644 index 368721b..0000000 --- a/seq/clusters_info/cluster_1321 +++ /dev/null @@ -1,3 +0,0 @@ -APG79336.1 RNA-dependent RNA polymerase [Wuhan ascaridia galli virus 1] -APG79255.1 RNA-dependent RNA polymerase [Jingmen bunya-like virus 1] -APG79357.1 RNA-dependent RNA polymerase [Xingshan nematode virus 3] diff --git a/seq/clusters_info/cluster_1322 b/seq/clusters_info/cluster_1322 deleted file mode 100644 index fec5da9..0000000 --- a/seq/clusters_info/cluster_1322 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009182152.1 nonstructural protein [Maize rough dwarf virus] -YP_009112558.1 P5-2 protein [Rice black streaked dwarf virus] -YP_004021928.1 hypothetical protein SRBSDVs5_gp2 [Southern rice black-streaked dwarf virus] diff --git a/seq/clusters_info/cluster_1323 b/seq/clusters_info/cluster_1323 deleted file mode 100644 index a90dad0..0000000 --- a/seq/clusters_info/cluster_1323 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009179399.1 hypothetical protein [Wuhan flea virus] -YP_009179387.1 hypothetical protein [Wuhan aphid virus 2] -YP_009179383.1 hypothetical protein [Wuhan aphid virus 1] diff --git a/seq/clusters_info/cluster_1324 b/seq/clusters_info/cluster_1324 deleted file mode 100644 index df6b6a2..0000000 --- a/seq/clusters_info/cluster_1324 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009179397.1 putative glycoprotein [Wuhan flea virus] -YP_009179393.1 putative glycoprotein [Shuangao insect virus 7] -YP_009179385.1 putative glycoprotein [Wuhan aphid virus 2] diff --git a/seq/clusters_info/cluster_1325 b/seq/clusters_info/cluster_1325 deleted file mode 100644 index 5186a26..0000000 --- a/seq/clusters_info/cluster_1325 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009094445.1 W protein [Porcine respirovirus 1] -YP_009094444.1 V protein [Porcine respirovirus 1] -YP_009094443.1 phosphoprotein [Porcine respirovirus 1] diff --git a/seq/clusters_info/cluster_1326 b/seq/clusters_info/cluster_1326 deleted file mode 100644 index ebb6531..0000000 --- a/seq/clusters_info/cluster_1326 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009177206.1 phosphoprotein [Yata virus] -YP_009177194.1 phosphoprotein [Koolpinyah virus] -YP_006202619.1 P gene product [Kotonkan virus] diff --git a/seq/clusters_info/cluster_1327 b/seq/clusters_info/cluster_1327 deleted file mode 100644 index 045f187..0000000 --- a/seq/clusters_info/cluster_1327 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009175040.1 RNA-dependent RNA polymerase [Le Blanc nodavirus] -YP_009173859.1 RNA dependent RNA polymerase [Orsay virus] -YP_004221742.1 RNA dependent RNA polymerase [Santeuil nodavirus] diff --git a/seq/clusters_info/cluster_1328 b/seq/clusters_info/cluster_1328 deleted file mode 100644 index 8742ad0..0000000 --- a/seq/clusters_info/cluster_1328 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009167369.1 helicase motif A-C [Tomato necrotic dwarf virus] -YP_003097234.1 RNA-dependent RNA polymerase [Tomato chocolate spot virus] -YP_001976154.1 helicase [Tomato marchitez virus] diff --git a/seq/clusters_info/cluster_1329 b/seq/clusters_info/cluster_1329 deleted file mode 100644 index fac04a8..0000000 --- a/seq/clusters_info/cluster_1329 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009167336.1 protease [Bellflower vein chlorosis virus] -NP_734455.1 3C-like protease [Maize chlorotic dwarf virus] -NP_734462.1 3C-like protease [Rice tungro spherical virus] diff --git a/seq/clusters_info/cluster_133 b/seq/clusters_info/cluster_133 deleted file mode 100644 index e57181d..0000000 --- a/seq/clusters_info/cluster_133 +++ /dev/null @@ -1,34 +0,0 @@ -YP_010088122.1 6K1 [Dendrobium chlorotic mosaic virus] -YP_009667136.1 6K1 [Paris mosaic necrosis virus] -YP_009458612.1 6K1 protein [Saffron latent virus] -YP_007001297.1 6K1 [Blue squill virus A] -YP_006405407.1 6K1 protein [Basella rugose mosaic virus] -YP_006395355.1 6K1 protein [East Asian Passiflora virus] -YP_006390070.1 6K1 [Cyrtanthus elatus virus A] -YP_006390060.1 6K1 [Hippeastrum mosaic virus] -YP_004940322.1 6K1 protein [Yambean mosaic virus] -YP_004564599.1 6K1 [Hardenbergia mosaic virus] -YP_004306483.1 6K1 protein [Passion fruit woodiness virus] -YP_003587812.1 6K1 [Freesia mosaic virus] -YP_003208054.1 6K1 [Canna yellow streak virus] -YP_002321502.1 6K1 [Zantedeschia mild mosaic virus] -YP_001974439.1 6K1 protein [Fritillary virus Y] -YP_001816829.1 6K1 protein [Telosma mosaic virus] -YP_001718525.1 6K1 protein [Banana bract mosaic virus] -YP_001019191.1 6K1 protein [Narcissus degeneration virus] -YP_842353.1 6K1 protein [Wisteria vein mosaic virus] -YP_331417.1 6K1 protein [Shallot yellow stripe virus] -YP_077270.1 6K1 protein [Watermelon mosaic virus] -NP_954622.1 6K1 protein [Beet mosaic virus] -NP_871741.1 6K1 protein [Onion yellow dwarf virus] -NP_734392.1 6K1 protein [Cocksfoot streak virus] -NP_734352.1 6K1 protein [Bean common mosaic necrosis virus] -NP_734322.1 6K1 protein [Ryegrass mosaic virus] -NP_734412.1 6K1 protein [Peanut mottle virus] -NP_734086.1 6K1 protein [Sorghum mosaic virus] -NP_734106.1 6K1 protein [Dasheen mosaic virus] -NP_734186.1 6K1 protein [Zucchini yellow mosaic virus] -NP_734201.1 6K1 protein [Soybean mosaic virus] -NP_734422.1 6K1 protein [Pea seed-borne mosaic virus] -NP_734382.1 6K1 protein [Cowpea aphid-borne mosaic virus] -NP_734116.1 6K1 protein [Bean common mosaic virus] diff --git a/seq/clusters_info/cluster_1330 b/seq/clusters_info/cluster_1330 deleted file mode 100644 index 416ac2a..0000000 --- a/seq/clusters_info/cluster_1330 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009167334.1 coat proteun 3 [Bellflower vein chlorosis virus] -NP_734453.1 coat protein 1 [Maize chlorotic dwarf virus] -NP_734460.1 coat protein 3 [Rice tungro spherical virus] diff --git a/seq/clusters_info/cluster_1331 b/seq/clusters_info/cluster_1331 deleted file mode 100644 index 9a80af1..0000000 --- a/seq/clusters_info/cluster_1331 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009167333.1 coat protein 2 [Bellflower vein chlorosis virus] -NP_734452.1 coat protein 3 [Maize chlorotic dwarf virus] -NP_734459.1 coat protein 2 [Rice tungro spherical virus] diff --git a/seq/clusters_info/cluster_1332 b/seq/clusters_info/cluster_1332 deleted file mode 100644 index c3e60da..0000000 --- a/seq/clusters_info/cluster_1332 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009141016.1 nonstructural protein [Bhanja virus] -YP_008719919.1 nonstructural protein [Razdan virus] -YP_008003510.1 nonstructural S protein [Lone Star virus] diff --git a/seq/clusters_info/cluster_1333 b/seq/clusters_info/cluster_1333 deleted file mode 100644 index 04f765e..0000000 --- a/seq/clusters_info/cluster_1333 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009116629.1 hypothetical protein [Rubus yellow net virus] -YP_006495797.1 hypothetical protein [Gooseberry vein banding associated virus] -YP_004732981.1 hypothetical protein [Grapevine vein clearing virus] diff --git a/seq/clusters_info/cluster_1334 b/seq/clusters_info/cluster_1334 deleted file mode 100644 index 680a99e..0000000 --- a/seq/clusters_info/cluster_1334 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009109693.1 protease [Baboon endogenous virus strain M7] -NP_955590.1 p14 PR [Moloney murine leukemia virus] -NP_955578.1 protease [Feline leukemia virus] diff --git a/seq/clusters_info/cluster_1335 b/seq/clusters_info/cluster_1335 deleted file mode 100644 index 3765f21..0000000 --- a/seq/clusters_info/cluster_1335 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009104376.1 putative movement protein [Cassava Ivorian bacilliform virus] -YP_006576520.1 movement protein [Amazon lily mild mottle virus] -NP_619772.1 putative movement protein [Pelargonium zonate spot virus] diff --git a/seq/clusters_info/cluster_1336 b/seq/clusters_info/cluster_1336 deleted file mode 100644 index 51ee94d..0000000 --- a/seq/clusters_info/cluster_1336 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009094432.1 beta protein [Malakal virus] -YP_009094136.1 beta protein [Berrimah virus] -NP_065407.1 beta protein [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_1337 b/seq/clusters_info/cluster_1337 deleted file mode 100644 index cd694c9..0000000 --- a/seq/clusters_info/cluster_1337 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009047189.1 VP1 [Drosophila immigrans Nora virus] -YP_009047185.1 VP1 [Drosophila subobscura Nora virus] -YP_004849307.1 ORF1 [Nora virus] diff --git a/seq/clusters_info/cluster_1338 b/seq/clusters_info/cluster_1338 deleted file mode 100644 index 87f2c9b..0000000 --- a/seq/clusters_info/cluster_1338 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009046480.1 ORF-2a [Cherry twisted leaf associated virus] -YP_007761583.1 hypothetical protein [Cherry rusty mottle associated virus] -NP_059939.1 unknown [Cherry necrotic rusty mottle virus] diff --git a/seq/clusters_info/cluster_1339 b/seq/clusters_info/cluster_1339 deleted file mode 100644 index 157c895..0000000 --- a/seq/clusters_info/cluster_1339 +++ /dev/null @@ -1,3 +0,0 @@ -YP_009044206.1 nucleocapsid, partial [Sierra Nevada virus] -YP_002905342.1 nucleocapsid [Nyamanini nyavirus] -YP_002905336.1 nucleocapsid [Midway nyavirus] diff --git a/seq/clusters_info/cluster_134 b/seq/clusters_info/cluster_134 deleted file mode 100644 index e367233..0000000 --- a/seq/clusters_info/cluster_134 +++ /dev/null @@ -1,34 +0,0 @@ -YP_010087858.1 1b, partial [Malus domestica virus A] -YP_010087857.1 1a [Malus domestica virus A] -YP_009666138.1 RNA-dependent RNA polymerase [Cordyline virus 2] -YP_009666137.1 polyprotein, partial [Cordyline virus 2] -YP_009664824.1 RNA-dependent RNA polymerase [Cordyline virus 4] -YP_009664823.1 polyprotein, partial [Cordyline virus 4] -YP_009664814.1 RNA-dependent RNA polymerase [Cordyline virus 3] -YP_009664813.1 polyprotein, partial [Cordyline virus 3] -YP_009507961.1 ORF1b, partial [Tetterwort vein chlorosis virus] -YP_009507960.1 ORF1a polyprotein [Tetterwort vein chlorosis virus] -YP_009507950.1 polyprotein 1a/1b [Diodia vein chlorosis virus] -YP_009506344.1 RdRp [Cordyline virus 1] -YP_009506343.1 ORF1a [Cordyline virus 1] -YP_009140432.1 RNA-dependent RNA polymerase, partial [Areca palm velarivirus 1] -YP_009140431.1 polyprotein [Areca palm velarivirus 1] -YP_006522423.1 ORF 1a/1b fusion polyprotein [Cucurbit chlorotic yellows virus] -YP_004935919.1 RdRp gene product, partial [Grapevine leafroll-associated virus 7] -YP_004935918.1 unnamed protein product [Grapevine leafroll-associated virus 7] -YP_003204952.1 ORF 1a/1b fusion protein [Tomato infectious chlorosis virus] -YP_003002352.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816770.1 ORF1b [Bean yellow disorder virus] -YP_001816769.1 ORF1a [Bean yellow disorder virus] -YP_293695.1 RNA dependent RNA polymerase, partial [Tomato chlorosis virus] -YP_293694.1 1a polyprotein [Tomato chlorosis virus] -YP_227356.1 ORF 1a/1b fusion polyprotein [Blackberry yellow vein-associated virus] -YP_025082.2 ORF 1a/1b fusion polyprotein [Strawberry pallidosis-associated virus] -YP_054415.1 polyprotein [Potato yellow vein virus] -NP_940785.1 ORF 1a/1b fusion polyprotein [Beet pseudoyellows virus] -NP_851566.2 polyprotein [Cucurbit yellow stunting disorder virus] -NP_689396.1 polyprotein [Sweet potato chlorotic stunt virus] -NP_619692.2 polyprotein [Lettuce infectious yellows virus] -NP_045001.2 polyprotein [Little cherry virus 1] -ACG69815.1 polyprotein [Little cherry virus 1] -ABQ41107.1 polyprotein [Cucurbit yellow stunting disorder virus] diff --git a/seq/clusters_info/cluster_1340 b/seq/clusters_info/cluster_1340 deleted file mode 100644 index a13e772..0000000 --- a/seq/clusters_info/cluster_1340 +++ /dev/null @@ -1,3 +0,0 @@ -YP_007697648.1 unknown [Alphamesonivirus 4] -YP_007697635.1 ORF4 [Alphamesonivirus 2] -YP_004598987.1 hypothetical protein CAVV_gp7 [Cavally virus] diff --git a/seq/clusters_info/cluster_1341 b/seq/clusters_info/cluster_1341 deleted file mode 100644 index 73e32c2..0000000 --- a/seq/clusters_info/cluster_1341 +++ /dev/null @@ -1,3 +0,0 @@ -YP_006666647.1 CP [Caladenia virus A] -YP_006423942.1 coat protein [Sugarcane streak mosaic virus] -YP_002956096.1 coat protein [Triticum mosaic virus] diff --git a/seq/clusters_info/cluster_1342 b/seq/clusters_info/cluster_1342 deleted file mode 100644 index b9fcc35..0000000 --- a/seq/clusters_info/cluster_1342 +++ /dev/null @@ -1,3 +0,0 @@ -YP_006423946.1 PIPO, partial [Bidens mottle virus] -YP_006395333.1 PIPO, partial [Chilli veinal mottle virus] -YP_006393318.1 PIPO, partial [Pepper mottle virus] diff --git a/seq/clusters_info/cluster_1343 b/seq/clusters_info/cluster_1343 deleted file mode 100644 index 94d8894..0000000 --- a/seq/clusters_info/cluster_1343 +++ /dev/null @@ -1,3 +0,0 @@ -YP_006395322.1 PIPO, partial [Oat mosaic virus] -YP_006393469.1 PIPO, partial [Barley yellow mosaic virus] -YP_006393467.1 PIPO, partial [Wheat yellow mosaic virus] diff --git a/seq/clusters_info/cluster_1344 b/seq/clusters_info/cluster_1344 deleted file mode 100644 index 2b8fb7a..0000000 --- a/seq/clusters_info/cluster_1344 +++ /dev/null @@ -1,3 +0,0 @@ -YP_063391.1 6K2 protein [Hordeum mosaic virus] -YP_054397.1 6K2 [Agropyron mosaic virus] -NP_734324.1 6K2 protein [Ryegrass mosaic virus] diff --git a/seq/clusters_info/cluster_1345 b/seq/clusters_info/cluster_1345 deleted file mode 100644 index e281687..0000000 --- a/seq/clusters_info/cluster_1345 +++ /dev/null @@ -1,3 +0,0 @@ -YP_006347731.1 proteinase [Grapevine deformation virus] -NP_734037.2 protease [Grapevine fanleaf virus] -YP_054442.1 cysteine protease [Arabis mosaic virus] diff --git a/seq/clusters_info/cluster_1346 b/seq/clusters_info/cluster_1346 deleted file mode 100644 index 3b2afb5..0000000 --- a/seq/clusters_info/cluster_1346 +++ /dev/null @@ -1,3 +0,0 @@ -YP_006347730.1 VPg [Grapevine deformation virus] -NP_734036.2 VPg [Grapevine fanleaf virus] -YP_054441.1 VPg [Arabis mosaic virus] diff --git a/seq/clusters_info/cluster_1347 b/seq/clusters_info/cluster_1347 deleted file mode 100644 index d2c0328..0000000 --- a/seq/clusters_info/cluster_1347 +++ /dev/null @@ -1,3 +0,0 @@ -YP_002790889.1 non-structural protein [Homalodisca vitripennis reovirus] -NP_620533.1 nonstructural protein [Rice dwarf virus] -sp|P29249.1|MVP_RDVA RecName: Full=Movement protein; AltName: Full=Non-structural protein 6; Short=Pns6 diff --git a/seq/clusters_info/cluster_1348 b/seq/clusters_info/cluster_1348 deleted file mode 100644 index eb21a91..0000000 --- a/seq/clusters_info/cluster_1348 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001950229.1 protein 3A [Human TMEV-like cardiovirus] -YP_001816889.1 protein 3A [Saffold virus] -NP_740432.1 protein 3A [Theilovirus] diff --git a/seq/clusters_info/cluster_1349 b/seq/clusters_info/cluster_1349 deleted file mode 100644 index 4a95cd5..0000000 --- a/seq/clusters_info/cluster_1349 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001950227.1 protein 2B [Human TMEV-like cardiovirus] -YP_001816887.1 protein 2B [Saffold virus] -NP_740430.1 protein 2B [Theilovirus] diff --git a/seq/clusters_info/cluster_135 b/seq/clusters_info/cluster_135 deleted file mode 100644 index 99acbd1..0000000 --- a/seq/clusters_info/cluster_135 +++ /dev/null @@ -1,34 +0,0 @@ -YP_010087807.1 replicase [Epiphyllum virus 4] -YP_009553669.1 polyprotein [Pinus nigra virus 1] -YP_009666503.1 reverse transcriptase [Angelica bushy stunt virus] -YP_009507248.1 ORF B [Trichoplusia ni TED virus] -YP_009254008.1 putative aspartic protease [Water chestnut soymovirus 1] -YP_009182100.1 polyprotein [Blueberry fruit drop associated virus] -YP_009165750.1 ORF5 [Atractylodes mild mottle virus] -YP_008992015.1 RNase_H [Citrus endogenous pararetrovirus] -YP_008992013.1 polyprotein [Citrus endogenous pararetrovirus] -YP_007761644.1 reverse transcriptase [Rose yellow vein virus] -YP_006907834.1 polyprotein [Horseradish latent virus] -YP_006732334.1 polyprotein [Dahlia mosaic virus] -YP_006607892.1 reverse transcriptase [Soybean Putnam virus] -YP_004347415.1 replicase [Sweet potato collusive virus] -YP_004300274.1 replicase [Sweet potato vein clearing virus] -YP_002519387.1 putative enzymatic polyprotein [Rudbeckia flower distortion virus] -YP_001931967.1 replicase [Eupatorium vein clearing virus] -YP_001931961.1 replicase [Lamium leaf distortion virus] -NP_861410.1 putative multifunctional pol protein [Cestrum yellow leaf curling virus] -NP_659397.1 hypothetical protein [Mirabilis mosaic virus] -NP_619548.1 unnamed protein product [Figwort mosaic virus] -NP_612577.1 Enzymatic polyprotein [Contains: Aspartic protease; Endonuclease; Reverse transcriptase] [Carnation etched ring virus] -NP_569141.1 polyprotein [Tobacco vein clearing virus] -NP_395469.1 putative reverse transcriptase [Blueberry red ringspot virus] -NP_127504.1 ORF I polyprotein [Petunia vein clearing virus] -NP_068729.1 putative reverse transcriptase [Soybean chlorotic mottle virus] -NP_043933.1 hypothetical protein [Strawberry vein banding virus] -NP_056848.1 aspartic protease/reverse transcriptase [Cassava vein mosaic virus] -NP_042513.1 reverse transcriptase [Peanut chlorotic streak virus] -NP_056728.1 reverse transcriptase [Cauliflower mosaic virus] -sp|Q6XKE6.1|POLG_PVCV2 RecName: Full=Genome polyprotein; Includes: RecName: Full=Aspartic protease; Short=PR; Includes: RecName: Full=Reverse transcriptase; Short=RT -sp|P03556.1|POL_CAMVD RecName: Full=Enzymatic polyprotein; Includes: RecName: Full=Aspartic protease; Includes: RecName: Full=Endonuclease; Includes: RecName: Full=Reverse transcriptase -sp|P03555.1|POL_CAMVC RecName: Full=Enzymatic polyprotein; Includes: RecName: Full=Aspartic protease; Includes: RecName: Full=Endonuclease; Includes: RecName: Full=Reverse transcriptase -sp|Q00962.1|POL_CAMVN RecName: Full=Enzymatic polyprotein; Includes: RecName: Full=Aspartic protease; Includes: RecName: Full=Endonuclease; Includes: RecName: Full=Reverse transcriptase diff --git a/seq/clusters_info/cluster_1350 b/seq/clusters_info/cluster_1350 deleted file mode 100644 index 9fc1bdb..0000000 --- a/seq/clusters_info/cluster_1350 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001950226.1 protein 2A [Human TMEV-like cardiovirus] -YP_001816886.1 protein 2A [Saffold virus] -NP_740428.1 protein 2A [Theilovirus] diff --git a/seq/clusters_info/cluster_1351 b/seq/clusters_info/cluster_1351 deleted file mode 100644 index 62b49c4..0000000 --- a/seq/clusters_info/cluster_1351 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001950221.1 leader peptide [Human TMEV-like cardiovirus] -YP_001816881.1 leader peptide [Saffold virus] -NP_740423.1 leader peptide [Theilovirus] diff --git a/seq/clusters_info/cluster_1352 b/seq/clusters_info/cluster_1352 deleted file mode 100644 index 578045a..0000000 --- a/seq/clusters_info/cluster_1352 +++ /dev/null @@ -1,3 +0,0 @@ -NP_940829.1 NIa-Pro protein [Oat necrotic mottle virus] -NP_734260.1 NIa-Pro protein [Brome streak mosaic virus] -NP_734272.1 NIa-Pro protein [Wheat streak mosaic virus] diff --git a/seq/clusters_info/cluster_1353 b/seq/clusters_info/cluster_1353 deleted file mode 100644 index 7cf4742..0000000 --- a/seq/clusters_info/cluster_1353 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001686788.1 putative coat protein [Rose cryptic virus 1] -YP_001686785.1 unknown [Raphanus sativus cryptic virus 2] -YP_001274392.1 putative coat protein [Fragaria chiloensis cryptic virus] diff --git a/seq/clusters_info/cluster_1354 b/seq/clusters_info/cluster_1354 deleted file mode 100644 index 9120c5f..0000000 --- a/seq/clusters_info/cluster_1354 +++ /dev/null @@ -1,3 +0,0 @@ -YP_001686787.1 putative coat protein [Rose cryptic virus 1] -YP_001686784.1 unknown [Raphanus sativus cryptic virus 2] -YP_001274393.1 putative coat protein [Fragaria chiloensis cryptic virus] diff --git a/seq/clusters_info/cluster_1355 b/seq/clusters_info/cluster_1355 deleted file mode 100644 index ae5f929..0000000 --- a/seq/clusters_info/cluster_1355 +++ /dev/null @@ -1,3 +0,0 @@ -NP_057859.1 MOS [Moloney murine sarcoma virus] -sp|P00537.1|MOS_MSVMM RecName: Full=Serine/threonine-protein kinase-transforming protein mos -sp|P07331.1|MOS_MSVMH RecName: Full=Serine/threonine-protein kinase-transforming protein mos diff --git a/seq/clusters_info/cluster_1356 b/seq/clusters_info/cluster_1356 deleted file mode 100644 index b087d54..0000000 --- a/seq/clusters_info/cluster_1356 +++ /dev/null @@ -1,3 +0,0 @@ -YP_460029.1 VP4 [Liao ning virus] -NP_694472.1 VP4 [Kadipiro virus] -NP_694477.1 VP4 [Banna virus strain JKT-6423] diff --git a/seq/clusters_info/cluster_1357 b/seq/clusters_info/cluster_1357 deleted file mode 100644 index b1d64f5..0000000 --- a/seq/clusters_info/cluster_1357 +++ /dev/null @@ -1,3 +0,0 @@ -YP_460028.1 capping enzyme [Liao ning virus] -NP_694471.1 VP3 [Kadipiro virus] -NP_694476.1 VP3 [Banna virus strain JKT-6423] diff --git a/seq/clusters_info/cluster_1358 b/seq/clusters_info/cluster_1358 deleted file mode 100644 index 0a2e47c..0000000 --- a/seq/clusters_info/cluster_1358 +++ /dev/null @@ -1,3 +0,0 @@ -YP_460027.1 VP2 [Liao ning virus] -NP_694470.1 VP2 [Kadipiro virus] -NP_694475.1 VP2 [Banna virus strain JKT-6423] diff --git a/seq/clusters_info/cluster_1359 b/seq/clusters_info/cluster_1359 deleted file mode 100644 index ee7e79f..0000000 --- a/seq/clusters_info/cluster_1359 +++ /dev/null @@ -1,3 +0,0 @@ -YP_392511.1 nonstructural protein [Rotavirus C] -sp|P36358.1|NSP5_ROTPC RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P34718.1|NSP5_ROTBS RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 diff --git a/seq/clusters_info/cluster_136 b/seq/clusters_info/cluster_136 deleted file mode 100644 index a82a735..0000000 --- a/seq/clusters_info/cluster_136 +++ /dev/null @@ -1,34 +0,0 @@ -YP_233102.2 2a protein [Cassia yellow blotch virus] -YP_003208108.1 2a protein [Melandrium yellow fleck virus] -YP_009551509.1 2a protein [Sambucus virus S] -YP_009104375.1 putative polymerase [Cassava Ivorian bacilliform virus] -YP_006576519.1 replication protein 2a [Amazon lily mild mottle virus] -YP_002640501.1 2a protein [Gayfeather mild mottle virus] -NP_689393.1 2a protein [Spring beauty latent virus] -NP_658999.1 2a protein [Broad bean mottle virus] -NP_620761.1 2a protein [Tomato aspermy virus] -NP_620043.1 2a protein [Olive latent virus 2] -NP_619771.1 putative 2a protein [Pelargonium zonate spot virus] -NP_613275.1 2a protein [Cowpea chlorotic mottle virus] -NP_049332.1 2a protein [Peanut stunt virus] -NP_049324.1 RNA-dependent RNA polymerase [Cucumber mosaic virus] -NP_041197.1 RNA-dependent RNA polymerase [Brome mosaic virus] -sp|Q96711.1|RDRP_CMVKO RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|Q83262.1|RDRP_CMVII RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|Q66145.1|RDRP_CMVMB RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|Q66117.1|RDRP_CMVIX RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|O40977.1|RDRP_CMVNT RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|O39436.1|RDRP_CMVAS RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|Q86783.1|RDRP_CMVK RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|Q66136.1|RDRP_CMVB RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|P28727.1|RDRP_PSVJ RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -sp|P06012.1|RDRP_CMVQ RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -AAR89466.1 2a protein [Peanut stunt virus] -CAP19050.1 2a protein [Peanut stunt virus] -AGN55941.1 2a protein [Cucumber mosaic virus] -AAA86113.1 2a protein [Broad bean mottle virus] -BAW81815.1 2a protein [Cucumber mosaic virus] -AHG25372.3 replicase [Pelargonium zonate spot virus] -APG77778.1 RNA-dependent RNA polymerase [Cucumber mosaic virus] -AEI54609.1 2a protein [Cowpea chlorotic mottle virus] -AMN92171.1 2a [Cucumber mosaic virus] diff --git a/seq/clusters_info/cluster_1360 b/seq/clusters_info/cluster_1360 deleted file mode 100644 index 6432606..0000000 --- a/seq/clusters_info/cluster_1360 +++ /dev/null @@ -1,3 +0,0 @@ -NP_940828.1 NIa-VPg protein [Oat necrotic mottle virus] -NP_734259.1 NIa-VPg protein [Brome streak mosaic virus] -NP_734271.1 NIa-VPg protein [Wheat streak mosaic virus] diff --git a/seq/clusters_info/cluster_1361 b/seq/clusters_info/cluster_1361 deleted file mode 100644 index 5ec92ea..0000000 --- a/seq/clusters_info/cluster_1361 +++ /dev/null @@ -1,3 +0,0 @@ -NP_919031.1 matrix protein 1 [Hirame novirhabdovirus] -NP_042677.1 polymerase-associated protein [Infectious hematopoietic necrosis virus] -sp|Q08454.1|PHOSP_IHNVO RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 diff --git a/seq/clusters_info/cluster_1362 b/seq/clusters_info/cluster_1362 deleted file mode 100644 index 6830ce1..0000000 --- a/seq/clusters_info/cluster_1362 +++ /dev/null @@ -1,3 +0,0 @@ -NP_862838.1 p6 product [Pea stem necrosis virus] -NP_862837.1 p7 product [Pea stem necrosis virus] -NP_041228.1 protein 14 [Melon necrotic spot virus] diff --git a/seq/clusters_info/cluster_1363 b/seq/clusters_info/cluster_1363 deleted file mode 100644 index 14c7bf8..0000000 --- a/seq/clusters_info/cluster_1363 +++ /dev/null @@ -1,3 +0,0 @@ -NP_777504.1 nonstructural protein NS4B [Classical swine fever virus] -NP_777543.1 nonstructural protein NS4B [Border disease virus] -NP_776269.1 nonstructural protein NS4B [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_1364 b/seq/clusters_info/cluster_1364 deleted file mode 100644 index cf36a90..0000000 --- a/seq/clusters_info/cluster_1364 +++ /dev/null @@ -1,3 +0,0 @@ -NP_777503.1 nonstructural protein NS4A [Classical swine fever virus] -NP_777542.1 nonstructural protein NS4A [Border disease virus] -NP_776268.1 nonstructural protein NS4A [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_1365 b/seq/clusters_info/cluster_1365 deleted file mode 100644 index 6955edd..0000000 --- a/seq/clusters_info/cluster_1365 +++ /dev/null @@ -1,3 +0,0 @@ -NP_777500.1 nonstructural protein p7 [Classical swine fever virus] -NP_777539.1 nonstructural protein p7 [Border disease virus] -NP_776265.1 nonstructural protein p7 [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_1366 b/seq/clusters_info/cluster_1366 deleted file mode 100644 index fd4a1e2..0000000 --- a/seq/clusters_info/cluster_1366 +++ /dev/null @@ -1,3 +0,0 @@ -NP_777497.1 structural protein E1 [Classical swine fever virus] -NP_777536.1 structural protein E1 [Border disease virus] -NP_776262.1 structural protein E1 [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_1367 b/seq/clusters_info/cluster_1367 deleted file mode 100644 index 85500f4..0000000 --- a/seq/clusters_info/cluster_1367 +++ /dev/null @@ -1,3 +0,0 @@ -NP_777496.1 structural protein E-rns [Classical swine fever virus] -NP_777535.1 structural protein E-rns [Border disease virus] -NP_776261.1 structural protein E-rns [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_1368 b/seq/clusters_info/cluster_1368 deleted file mode 100644 index 1fbf809..0000000 --- a/seq/clusters_info/cluster_1368 +++ /dev/null @@ -1,3 +0,0 @@ -NP_777495.1 structural protein C [Classical swine fever virus] -NP_777534.1 structural protein C [Border disease virus] -NP_776260.1 structural protein C [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_1369 b/seq/clusters_info/cluster_1369 deleted file mode 100644 index 9efed86..0000000 --- a/seq/clusters_info/cluster_1369 +++ /dev/null @@ -1,3 +0,0 @@ -NP_777494.1 N-Pro [Classical swine fever virus] -NP_777533.1 N-Pro [Border disease virus] -NP_776259.1 N-Pro [Bovine viral diarrhea virus 1] diff --git a/seq/clusters_info/cluster_137 b/seq/clusters_info/cluster_137 deleted file mode 100644 index a104d6c..0000000 --- a/seq/clusters_info/cluster_137 +++ /dev/null @@ -1,34 +0,0 @@ -YP_009551623.1 VP2 [Guangxi orbivirus] -YP_009665177.1 VP3, partial [Wongorr virus] -YP_009507724.1 VP3 [Orungo virus] -YP_009507713.1 VP3 [Lebombo virus] -YP_009507697.1 VP3 [Eubenangee virus] -YP_009507688.1 VP3 [Equine encephalosis virus] -YP_009507730.1 VP3 [Warrego virus] -YP_009507675.1 VP2 protein [Corriparta virus] -YP_009345881.1 VP3 [Orbivirus SX-2017a] -YP_009163949.1 sub-core-shell protein [Fengkai orbivirus] -YP_009158902.1 inner core protein [Chobar Gorge virus] -YP_009158883.1 inner core protein [Wad Medani virus] -YP_009158879.1 inner core protein [Chenuda virus] -YP_009047259.1 subcore shell T2 protein [Umatilla virus] -YP_008719921.1 inner layer core protein [Changuinola virus] -YP_008719913.1 VP3 [Mobuck virus] -YP_008658418.1 inner core [Wallal virus] -YP_003896059.1 VP2 [Great Island virus] -YP_003240110.1 VP3 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_002925133.1 VP2 [Stretch Lagoon orbivirus] -YP_460039.1 VP3 [Peruvian horse sickness virus] -YP_443926.1 VP2 [Yunnan orbivirus] -YP_052962.1 capsid protein 3 [African horse sickness virus] -YP_052943.1 VP2 [St Croix River virus] -YP_052934.1 inner capsid (core) protein VP3 [Palyam virus] -YP_052959.1 hypothetical protein BTVs3gp1 [Bluetongue virus] -sp|Q65750.1|VP3_BTV13 RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -sp|P56582.1|VP3_BTV1S RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -sp|Q65749.1|VP3_BTV11 RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -sp|Q65748.1|VP3_BTV2A RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -sp|P33474.1|VP3_EHDVA RecName: Full=Core protein VP3 -sp|P27281.1|VP3_EHDV1 RecName: Full=Core protein VP3 -sp|P20608.1|VP3_BTV1A RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -sp|P35934.1|VP2_BRD RecName: Full=Structural core protein VP2 diff --git a/seq/clusters_info/cluster_1370 b/seq/clusters_info/cluster_1370 deleted file mode 100644 index e6b8bd9..0000000 --- a/seq/clusters_info/cluster_1370 +++ /dev/null @@ -1,3 +0,0 @@ -NP_620676.1 movement protein [Sweet clover necrotic mosaic virus] -NP_620546.1 movement protein [Red clover necrotic mosaic virus] -NP_613257.1 CRSV movement protein [Carnation ringspot virus] diff --git a/seq/clusters_info/cluster_1371 b/seq/clusters_info/cluster_1371 deleted file mode 100644 index 5e1830e..0000000 --- a/seq/clusters_info/cluster_1371 +++ /dev/null @@ -1,3 +0,0 @@ -NP_620538.1 nonstructural protein [Rice dwarf virus] -sp|Q86780.1|NSP12_RDVO RecName: Full=Non-structural protein 12A; Short=Pns12A -sp|Q05054.1|NSP12_RDVA RecName: Full=Non-structural protein 12A; Short=Pns12A diff --git a/seq/clusters_info/cluster_1372 b/seq/clusters_info/cluster_1372 deleted file mode 100644 index 5fd8d0a..0000000 --- a/seq/clusters_info/cluster_1372 +++ /dev/null @@ -1,3 +0,0 @@ -sp|P00532.1|RAF_MSV36 RecName: Full=Serine/threonine-protein kinase-transforming protein raf -sp|P00531.1|MIL_AVIMH RecName: Full=Serine/threonine-protein kinase-transforming protein mil -sp|P27966.1|RMIL_AVEVR RecName: Full=Serine/threonine-protein kinase-transforming protein Rmil diff --git a/seq/clusters_info/cluster_1373 b/seq/clusters_info/cluster_1373 deleted file mode 100644 index de943df..0000000 --- a/seq/clusters_info/cluster_1373 +++ /dev/null @@ -1,3 +0,0 @@ -NP_613265.1 hypothetical protein CHVgp1 [Cryphonectria hypovirus 2] -NP_041090.1 hypothetical protein [Cryphonectria hypovirus 1] -sp|Q9YTU3.1|POLA_CHPVU RecName: Full=Polyprotein p69; AltName: Full=ORFA polyprotein; Contains: RecName: Full=Papain-like protease p29; Contains: RecName: Full=p40 protein diff --git a/seq/clusters_info/cluster_1374 b/seq/clusters_info/cluster_1374 deleted file mode 100644 index ccdc652..0000000 --- a/seq/clusters_info/cluster_1374 +++ /dev/null @@ -1,3 +0,0 @@ -NP_612621.1 25k protein [Beet necrotic yellow vein virus] -sp|P19229.1|Y25K_BNYVF RecName: Full=RNA-3 uncharacterized 24.7 kDa protein -sp|P19230.1|Y12K_BNYVG RecName: Full=RNA-3 uncharacterized 11.6 kDa protein diff --git a/seq/clusters_info/cluster_1375 b/seq/clusters_info/cluster_1375 deleted file mode 100644 index fe399d3..0000000 --- a/seq/clusters_info/cluster_1375 +++ /dev/null @@ -1,3 +0,0 @@ -NP_056913.1 hypothetical protein STLV2gp10 [Simian T-lymphotropic virus 2] -sp|P0CK17.1|P30II_HTL1C RecName: Full=Accessory protein p30II -sp|P0C214.1|P30II_HTL1A RecName: Full=Accessory protein p30II diff --git a/seq/clusters_info/cluster_1376 b/seq/clusters_info/cluster_1376 deleted file mode 100644 index 25de2da..0000000 --- a/seq/clusters_info/cluster_1376 +++ /dev/null @@ -1,3 +0,0 @@ -NP_056883.1 gPr73 [Mouse mammary tumor virus] -sp|Q85646.1|ENV_MMTVC RecName: Full=Envelope glycoprotein gp70; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 52; Short=gp52; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36; Flags: Precursor -sp|P03374.1|ENV_MMTVG RecName: Full=Envelope glycoprotein gp70; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 52; Short=gp52; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36; Flags: Precursor diff --git a/seq/clusters_info/cluster_1377 b/seq/clusters_info/cluster_1377 deleted file mode 100644 index c2e6560..0000000 --- a/seq/clusters_info/cluster_1377 +++ /dev/null @@ -1,3 +0,0 @@ -NP_041195.1 coat protein [Alfalfa mosaic virus] -sp|P03591.2|CAPSD_AMVLE RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P24264.2|CAPSD_AMVYS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein diff --git a/seq/clusters_info/cluster_1378 b/seq/clusters_info/cluster_1378 deleted file mode 100644 index 36e8e27..0000000 --- a/seq/clusters_info/cluster_1378 +++ /dev/null @@ -1,3 +0,0 @@ -NP_040943.1 trans-regulatory splicing-like protein [Caprine arthritis encephalitis virus] -sp|P33460.1|REV_CAEVC RecName: Full=Protein Rev; AltName: Full=Rev-C -sp|P31628.1|REV_CAEV RecName: Full=Protein Rev; AltName: Full=Rev-C diff --git a/seq/clusters_info/cluster_1379 b/seq/clusters_info/cluster_1379 deleted file mode 100644 index 2171710..0000000 --- a/seq/clusters_info/cluster_1379 +++ /dev/null @@ -1,3 +0,0 @@ -NP_040844.1 rev protein [Visna-maedi virus] -sp|P21280.1|REV_VILV RecName: Full=Protein Rev -sp|P16903.1|REV_OMVVS RecName: Full=Protein Rev diff --git a/seq/clusters_info/cluster_138 b/seq/clusters_info/cluster_138 deleted file mode 100644 index d2113e9..0000000 --- a/seq/clusters_info/cluster_138 +++ /dev/null @@ -1,34 +0,0 @@ -YP_009552767.1 polyprotein [Culex Flavi-like virus] -YP_009551951.1 polyprotein [Lumpfish flavivirus] -YP_009553010.1 polyprotein [Culex theileri flavivirus] -YP_009352228.1 polyprotein [Ochlerotatus caspius flavivirus] -YP_009268614.1 truncated polyprotein [Hanko virus] -YP_009259489.1 flavivirus polyprotein [Hanko virus] -YP_009259320.1 truncated polyprotein [Aedes flavivirus] -YP_009259274.1 truncated polyprotein [Mercadeo virus] -YP_009259258.1 truncated polyprotein [Parramatta River virus] -YP_009164031.1 flavivirus polyprotein [Mercadeo virus] -YP_009164029.1 flavivirus polyprotein [Parramatta River virus] -YP_006491221.1 truncated polyprotein [Quang Binh virus] -YP_006491219.1 truncated polyprotein [Kamiti River virus] -YP_003084122.1 anchored capsid protein C [Aedes flavivirus] -YP_003029843.1 flavivirus polyprotein [Aedes flavivirus] -YP_002884239.1 flavivirus polyprotein [Quang Binh virus] -YP_899469.2 polyprotein [Culex flavivirus] -NP_891560.1 flavivirus polyprotein [Kamiti River virus] -YP_009344962.1 polyprotein [Palm Creek virus] -YP_007877501.1 polyprotein [Mosquito flavivirus] -YP_009351861.1 polyprotein [Menghai flavivirus] -YP_009389296.1 polyprotein [Mac Peak virus] -YP_009388577.1 polyprotein [Karumba virus] -YP_009350102.1 polyprotein [Xishuangbanna aedes flavivirus] -YP_009305197.1 polyprotein [Anopheles flavivirus variant 1] -YP_009268598.1 truncated polyprotein [Nakiwogo virus] -YP_009259488.1 flavivirus polyprotein [Nakiwogo virus] -YP_009259257.1 polyprotein [Cell fusing agent virus] -YP_009256193.1 polyprotein [Culiseta flavivirus] -YP_009041466.1 polyprotein [Nienokoue virus] -sp|P33515.1|POLG_MCFA RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Capsid protein; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=NS5 -AOR51360.1 polyprotein [Anopheles flavivirus variant 2] -APX56318.1 polyprotein [Mosquito flavivirus] -BAR88121.1 polyprotein [Mosquito flavivirus] diff --git a/seq/clusters_info/cluster_1380 b/seq/clusters_info/cluster_1380 deleted file mode 100644 index e1f9e5d..0000000 --- a/seq/clusters_info/cluster_1380 +++ /dev/null @@ -1,3 +0,0 @@ -NP_056903.1 envelope polyprotein [Equine infectious anemia virus] -sp|P22430.1|ENV_EIAV5 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 90; Short=gp90; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 45; Short=gp45; Flags: Precursor -sp|P22428.1|ENV_EIAV2 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 90; Short=gp90; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 45; Short=gp45; Flags: Precursor diff --git a/seq/clusters_info/cluster_1381 b/seq/clusters_info/cluster_1381 deleted file mode 100644 index 295919d..0000000 --- a/seq/clusters_info/cluster_1381 +++ /dev/null @@ -1,3 +0,0 @@ -NP_056664.1 matrix protein M1 [Influenza B virus (B/Lee/1940)] -sp|P06816.1|M1_INBSI RecName: Full=Matrix protein 1; Short=M1 -sp|P13879.1|M1_INBAC RecName: Full=Matrix protein 1; Short=M1 diff --git a/seq/clusters_info/cluster_1382 b/seq/clusters_info/cluster_1382 deleted file mode 100644 index 35363fa..0000000 --- a/seq/clusters_info/cluster_1382 +++ /dev/null @@ -1,3 +0,0 @@ -sp|P0C747.1|HBZ_HTL1L RecName: Full=HTLV-1 basic zipper factor; Short=HBZ -sp|P0C745.1|HBZ_HTL1C RecName: Full=HTLV-1 basic zipper factor; Short=HBZ -sp|P0C746.1|HBZ_HTL1A RecName: Full=HTLV-1 basic zipper factor; Short=HBZ diff --git a/seq/clusters_info/cluster_1383 b/seq/clusters_info/cluster_1383 deleted file mode 100644 index f22f06e..0000000 --- a/seq/clusters_info/cluster_1383 +++ /dev/null @@ -1,3 +0,0 @@ -AJG39240.1 RNA-dependent RNA polymerase [Jiangxia Mosquito Virus 1] -APG79236.1 RNA-dependent RNA polymerase [Beihai barnacle virus 6] -APG79360.1 RNA-dependent RNA polymerase [Beihai blue swimmer crab virus 2] diff --git a/seq/clusters_info/cluster_1384 b/seq/clusters_info/cluster_1384 deleted file mode 100644 index 88f3d95..0000000 --- a/seq/clusters_info/cluster_1384 +++ /dev/null @@ -1,3 +0,0 @@ -APG78170.1 RdRp [Beihai picobirna-like virus 5] -APG78169.1 RdRp [Beihai picobirna-like virus 4] -APG78167.1 RdRp [Beihai picobirna-like virus 6] diff --git a/seq/clusters_info/cluster_139 b/seq/clusters_info/cluster_139 deleted file mode 100644 index 816dcd5..0000000 --- a/seq/clusters_info/cluster_139 +++ /dev/null @@ -1,34 +0,0 @@ -YP_009345118.1 RdRp [Xingshan nematode virus 5] -YP_009345116.1 hypothetical protein 2 [Wuhan pillworm virus 3] -YP_009337911.1 RdRp [Hubei diptera virus 13] -YP_009337873.1 hypothetical protein 2 [Hubei diptera virus 12] -YP_009337249.1 hypothetical protein 2 [Shuangao sobemo-like virus 1] -YP_009336914.1 hypothetical protein 2 [Sanxia sobemo-like virus 5] -YP_009336906.1 hypothetical protein 2 [Sanxia sobemo-like virus 3] -YP_009336857.1 hypothetical protein 2 [Sanxia sobemo-like virus 4] -YP_009337735.1 hypothetical protein 2 [Wenling sobemo-like virus 2] -YP_009333609.1 hypothetical protein 2 [Beihai shrimp virus 5] -YP_009330132.1 hypothetical protein 2 [Hubei sobemo-like virus 22] -YP_009330124.1 hypothetical protein 2 [Hubei sobemo-like virus 23] -YP_009330120.1 hypothetical protein 2 [Hubei sobemo-like virus 25] -YP_009330115.1 hypothetical protein 2 [Hubei sobemo-like virus 28] -YP_009330090.1 hypothetical protein 2 [Hubei sobemo-like virus 35] -YP_009330086.1 hypothetical protein 2 [Hubei sobemo-like virus 30] -YP_009330084.1 hypothetical protein 2 [Hubei sobemo-like virus 29] -YP_009330073.1 hypothetical protein 2 [Hubei sobemo-like virus 24] -YP_009330071.1 hypothetical protein 2 [Hubei sobemo-like virus 27] -YP_009330069.1 hypothetical protein 2 [Hubei sobemo-like virus 33] -YP_009330060.1 hypothetical protein 2 [Hubei sobemo-like virus 31] -YP_009330033.1 hypothetical protein 2 [Hubei sobemo-like virus 20] -YP_009330012.1 hypothetical protein 2 [Hubei sobemo-like virus 34] -YP_009329990.1 hypothetical protein 2 [Hubei sobemo-like virus 36] -YP_009329970.1 hypothetical protein [Hubei sobemo-like virus 26] -YP_009329968.1 hypothetical protein [Hubei sobemo-like virus 18] -YP_009329966.1 hypothetical protein 2 [Hubei sobemo-like virus 19] -YP_009330000.1 hypothetical protein 2 [Beihai sobemo-like virus 26] -YP_009329988.1 hypothetical protein 2 [Hubei sobemo-like virus 32] -APG75951.1 hypothetical protein 2 [Hubei tetragnatha maxillosa virus 6] -APG75719.1 hypothetical protein 2, partial [Hubei sobemo-like virus 21] -APG75899.1 hypothetical protein 2, partial [Hubei sobemo-like virus 17] -AOC55075.1 RNA-dependent RNA polymerase [Sherman virus] -APG75878.1 hypothetical protein 2 [Sanxia water strider virus 11] diff --git a/seq/clusters_info/cluster_14 b/seq/clusters_info/cluster_14 deleted file mode 100644 index 5bc00a8..0000000 --- a/seq/clusters_info/cluster_14 +++ /dev/null @@ -1,93 +0,0 @@ -YP_009553176.1 replicase [Chrysanthemum virus R] -YP_009552757.1 replicase polyprotein [Panax ginseng flexivirus 1] -YP_009664751.1 RNA-dependent RNA polymerase, partial [Banana virus X] -YP_009664740.1 replicase polyprotein, partial [Helleborus mosaic virus] -YP_009664734.1 RNA-dependent RNA polymerase, partial [Cucumber vein-clearing virus] -YP_009664733.1 RNA-dependent RNA polymerase, partial [Caper latent virus] -YP_009508317.1 replicase [Atractylodes mottle virus] -YP_009505626.1 RNA-dependent RNA polymerase [Melon yellowing-associated virus] -YP_009357230.1 RdRp [Pepper virus A] -YP_009288956.1 replicase [Ligustrum virus A] -YP_009275350.1 replicase [Jasmine virus C] -YP_009224952.1 replicase polyprotein [Elderberry carlavirus E] -YP_009224946.1 replicase polyprotein [Elderberry carlavirus D] -YP_009224940.1 replicase polyprotein [Elderberry carlavirus C] -YP_009224934.1 replicase polyprotein [Elderberry carlavirus B] -YP_009224928.1 replicase polyprotein [Elderberry carlavirus A] -YP_009215374.1 replicase [Asian prunus virus 3] -YP_009204561.1 replicase [Asian prunus virus 2] -YP_009174681.1 replicase [Nerine latent virus] -YP_009158846.1 replicase [Pea streak virus] -YP_009134730.1 replicase polyprotein [Yam latent virus] -YP_009126731.1 RNA-dependent RNA polymerase [Alfalfa latent virus] -YP_009094347.1 replicase [Asian prunus virus 1] -YP_009046478.1 replicase [Cherry twisted leaf associated virus] -YP_009022064.1 replicase [Gaillardia latent virus] -YP_006860589.1 replicase [Apple green crinkle associated virus] -YP_007761581.1 replicase [Cherry rusty mottle associated virus] -YP_006905861.1 replication-associated polyprotein [Rubus canadensis virus 1] -YP_006589919.1 replicase [Sweet potato C6 virus] -YP_006522434.1 RNA-dependent RNA polymerase [Potato virus H] -YP_006297586.1 unnamed protein product [American hop latent virus] -YP_004936159.1 unnamed protein product [Garlic common latent virus] -YP_004901680.1 RNA dependent RNA Polymerase [Mirabilis jalapa mottle virus] -YP_004089619.1 RNA-dependent RNA polymerase [Apricot latent virus] -YP_004035878.1 RNA-dependent RNA polymerase [Cowpea mild mottle virus] -YP_003324581.1 replicase [Butterbur mosaic virus] -YP_003075957.1 RNA dependent RNA polymerase [Kalanchoe latent virus] -YP_002985636.1 replicase polyprotein [Hydrangea chlorotic mottle virus] -YP_002776347.1 RNA-dependent RNA polymerase [African oil palm ringspot virus] -YP_002647021.1 RNA-dependent RNA polymerase [Red clover vein mosaic virus] -YP_002574614.1 putative replicase polyprotein [Helleborus net necrosis virus] -YP_002308447.1 RNA-dependent RNA polymerase [Hippeastrum latent virus] -YP_002302557.1 RdRp polyprotein replicase [Potato latent virus] -YP_001798592.1 replicase polyprotein [Hop mosaic virus] -YP_001661446.1 replicase [Ligustrum necrotic ringspot virus] -YP_001552317.1 replicase [Phlox virus B] -YP_001497153.1 replicase [Peach chlorotic mottle virus] -YP_001430021.1 replicase [Coleus vein necrosis virus] -YP_001429585.1 replication polyprotein [Potato virus P] -YP_001086452.2 polyprotein [Chrysanthemum virus B] -YP_001165301.1 replicase [Phlox virus S] -YP_842438.1 RNA-dependent RNA polymerase [Narcissus symptomless virus] -YP_717533.1 polyprotein [Passiflora latent virus] -YP_699983.1 RNA-dependent RNA polymerase [Narcissus common latent virus] -YP_595727.1 replicase [Daphne virus S] -YP_277428.1 RNA-directed RNA polymerase [Potato virus S] -YP_164258.1 RNA dependent RNA polymerase [Sweet potato chlorotic fleck virus] -NP_958171.1 replicase [Poplar mosaic virus] -NP_932788.1 RNA-dependent RNA polymerase [Lily symptomless virus] -NP_624313.1 RNA polymerase [Sugarcane striate mosaic-associated virus] -NP_619559.1 RNA-dependent RNA-polymerase [Garlic latent virus] -NP_612579.1 polyprotein [Blueberry scorch virus] -NP_604464.1 RNA-dependent RNA polymerase [Apple stem pitting virus] -NP_116487.1 polyprotein [Aconitum latent virus] -NP_112029.1 RNA-dependant RNA polymerase [Banana mild mosaic virus] -NP_066258.1 polyprotein [Hop latent virus] -NP_059937.1 replicase [Cherry necrotic rusty mottle virus] -NP_047281.1 replicase [Grapevine rupestris stem pitting-associated virus] -NP_047278.1 RNA-dependent RNA polymerase [Cherry green ring mottle virus] -NP_056767.1 polyprotein [Potato virus M] -sp|P22657.1|RDRP_PVSP RecName: Full=RNA replication protein; AltName: Full=152 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -sp|P27328.1|RDRP_LSV RecName: Full=RNA replication protein; AltName: Full=ORF 1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -sp|P37987.1|RDRP_CVB RecName: Full=RNA replication protein; AltName: Full=ORF 1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -AOW71822.1 RNA-dependent RNA polymerase [Potato virus S] -AAY18409.1 replicase polyprotein [Blueberry scorch virus] -AGG13270.1 Replicase [Shallot latent virus] -AEI55831.1 RNA dependent RNA polymerase [Potato virus H] -ANI85508.1 replicase [Asian prunus virus 3] -AAF97924.2 RNA dependent RNA polymerase, partial [Verbena latent virus] -CAK19323.1 RNA-directed RNA polymerase [Lily symptomless virus] -AHA59506.2 replicase [Cherry rusty mottle associated virus] -AHG23050.1 replication polyprotein [Cowpea mild mottle virus] -AFV34742.1 RNA-dependent RNA polymerase, partial [Grapevine rupestris stem pitting-associated virus] -AGU09694.1 RNA-dependent RNA polymerase [Apple stem pitting virus] -CBX24840.1 replicase [Apple stem pitting virus] -AHJ80314.1 replicase [Cherry twisted leaf associated virus] -CAH55773.1 replicase [Poplar mosaic virus (ATCC PV257)] -BAF95196.1 replicase [Chrysanthemum virus B] -ALJ56053.1 putative viral replicase [American hop latent virus] -ABA60784.2 RdRp polyprotein replicase [Potato virus P] -AGG13282.1 Replicase [Garlic common latent virus] -AQM49940.1 replicase [Agaricus bisporus virus 12] -ABV56203.1 RNA-dependent RNA polymerase [Apple stem pitting virus] diff --git a/seq/clusters_info/cluster_140 b/seq/clusters_info/cluster_140 deleted file mode 100644 index 23801f1..0000000 --- a/seq/clusters_info/cluster_140 +++ /dev/null @@ -1,34 +0,0 @@ -YP_308850.1 hemagglutinin [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308669.1 hemagglutinin [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -sp|P11135.2|HEMA_I83A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P87506.1|HEMA_I87A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03451.1|HEMA_I57A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q9IFF7.1|HEMA_I60A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q6DQ18.1|HEMA_I02A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q8QPL1.1|HEMA_I00A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q6J8F6.1|HEMA_I03A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q67333.1|HEMA_I57A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03446.2|HEMA_I76A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P13103.3|HEMA_I77AF RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q67282.1|HEMA_I78A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P04661.3|HEMA_I56A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P07976.3|HEMA_I83A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q2F4V2.1|HEMA_I05A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|O56140.2|HEMA_I97A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q6DQ20.1|HEMA_I02A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q6DPZ9.1|HEMA_I03A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q80A28.1|HEMA_I01A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q6J8E7.1|HEMA_I02A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P16060.2|HEMA_I66A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P13101.1|HEMA_I84A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P11132.1|HEMA_I83A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P09345.2|HEMA_I59A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q6DQ15.1|HEMA_I02A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q82509.1|HEMA_I61A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q67018.1|HEMA_I88A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P07977.2|HEMA_I83A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P04662.1|HEMA_I75A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P03447.1|HEMA_I75A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P04659.1|HEMA_I60A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P04660.1|HEMA_I78AE RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P03445.1|HEMA_I76AH RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor diff --git a/seq/clusters_info/cluster_141 b/seq/clusters_info/cluster_141 deleted file mode 100644 index 5e0600e..0000000 --- a/seq/clusters_info/cluster_141 +++ /dev/null @@ -1,33 +0,0 @@ -YP_164823.1 VP3 [anativirus A1] -YP_164335.1 polyprotein [anativirus A1] -YP_010084736.1 polyprotein [Rabovirus D1] -YP_010084727.1 polyprotein [Rabovirus B1] -YP_009118268.1 polyprotein [rabbit kobuvirus] -YP_009552136.1 polyprotein [Marmot sapelovirus 1] -YP_009345901.1 polyprotein [Bat sapelovirus] -YP_009337745.1 hypothetical protein [Sanxia picorna-like virus 10] -YP_005351240.1 polyprotein [Canine picornavirus] -YP_004934011.1 unnamed protein product [Feline picornavirus] -YP_004935357.1 polyprotein [Quail picornavirus QPV1/HUN/2010] -YP_004782529.1 polyprotein [Bat picornavirus 2] -YP_004782528.1 polyprotein [Bat picornavirus 1] -YP_004782204.1 polyprotein [Bat picornavirus 3] -YP_004564616.1 3A [Pigeon picornavirus B] -YP_004564600.1 polyprotein [Pigeon picornavirus B] -NP_937970.1 VP2 [Simian sapelovirus 1] -NP_758809.1 polyprotein [Simian sapelovirus 1] -NP_653145.1 polyprotein [Porcine sapelovirus 1] -BAS29593.1 polyprotein [Bovine picornavirus] -AIF74258.1 polyprotein [Bat picornavirus] -CBY02484.1 polyprotein, partial [Pigeon picornavirus A] -AET06138.1 polyprotein [Feline picornavirus] -AIF74253.1 polyprotein, partial [Bat picornavirus] -AFK85008.1 polyprotein [Ia io picornavirus 1] -ALR74732.1 polyprotein [anativirus B1] -AIF74261.1 polyprotein [Bat picornavirus] -ANM72883.1 polyprotein [Sapelovirus A] -BAS29596.1 polyprotein [Bovine picornavirus] -AIF74251.1 polyprotein [Bat picornavirus] -AIF74260.1 polyprotein [Bat picornavirus] -AIF74249.1 polyprotein [Bat picornavirus] -AGJ03164.2 polyprotein [Pigeon picornavirus B] diff --git a/seq/clusters_info/cluster_142 b/seq/clusters_info/cluster_142 deleted file mode 100644 index c400382..0000000 --- a/seq/clusters_info/cluster_142 +++ /dev/null @@ -1,33 +0,0 @@ -YP_010085009.1 nucleocapsid protein [bank vole virus 1] -YP_010085016.1 N protein [Pohorje myodes paramyxovirus 1] -YP_009666848.1 N protein [Mount Mabu Lophuromys virus 2] -YP_009666840.1 N protein [Mount Mabu Lophuromys virus 1] -YP_009512957.1 nucleocapsid protein [Feline morbillivirus] -YP_009177597.1 nucleocapsid protein [Phocine morbillivirus] -YP_009094332.1 nucleocapsid protein [Salem virus] -YP_009094088.1 nucleocapsid protein [Mojiang virus] -YP_009094144.1 nucleoprotein [Salmon aquaparamyxovirus] -YP_009094110.1 nucleocapsid protein [Tailam virus] -YP_009094081.1 nucleocapsid [Cedar virus] -YP_009091833.1 nucleoprotein [Ghana virus] -YP_006347582.1 nucleocapsid protein [Nariva virus] -YP_512244.1 nucleocapsid protein [Beilong virus] -YP_338075.1 nucleocapsid protein [J-virus] -YP_087120.2 N protein [Rinderpest virus (strain Kabete O)] -YP_133821.1 nucleocapsid protein [Peste des petits ruminants virus] -NP_958048.1 nucleocapsid protein [Mossman virus] -NP_945024.1 nucleocapsid protein [Dolphin morbillivirus] -NP_899654.1 nucleocapsid protein N [Fer-de-lance virus] -NP_112021.1 nucleocapsid protein [Nipah henipavirus] -NP_054690.1 nucleocapsid protein [Tupaia paramyxovirus] -NP_047201.1 nucleocapsid protein N [Canine morbillivirus] -NP_047106.1 nucleocapsid [Hendra henipavirus] -NP_056918.1 nucleocapsid protein [Measles morbillivirus] -sp|B8PZP3.1|NCAP_MEASW RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P04851.1|NCAP_MEASE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P37708.1|NCAP_RINDL RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|B1AAA7.1|NCAP_MEASS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P26030.1|NCAP_MEASY RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P26029.1|NCAP_MEASI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|Q08823.1|NCAP_PPRV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P41359.1|NCAP_RINDU RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N diff --git a/seq/clusters_info/cluster_143 b/seq/clusters_info/cluster_143 deleted file mode 100644 index d575325..0000000 --- a/seq/clusters_info/cluster_143 +++ /dev/null @@ -1,33 +0,0 @@ -YP_010087332.1 putative RNA-dependent RNA polymerase [Ambrosia asymptomatic virus 1] -YP_009553670.1 ORF1 [Potexvirus sp.] -YP_009552762.1 RNA-dependent RNA polymerase [Turtle grass virus X] -YP_009664728.1 RNA-dependent RNA polymerase, partial [Lagenaria mild mosaic virus] -YP_009448187.1 RdRp [Babaco mosaic virus] -YP_009270630.1 RdRp [Senna mosaic virus] -YP_009046882.1 RdRp [Pitaya virus X] -YP_004849314.1 replicase [Tamus red mosaic virus] -YP_002647027.1 replicase [Allium virus X] -YP_002341559.1 replication-associated protein [Schlumbergera virus X] -YP_002332929.1 replicase [Potato virus X] -YP_002308464.1 replicase [Hosta virus X] -YP_001718499.1 replicase [Lolium latent virus] -YP_459944.1 replicase [Alternanthera mosaic virus] -YP_224084.1 viral replicase [Hydrangea ringspot virus] -YP_054407.1 replication-associated protein [Opuntia virus X] -YP_054402.1 replication-associated protein [Zygocactus virus X] -NP_702988.1 RNA-dependent RNA polymerase [Tulip virus X] -NP_620836.1 replicase [Plantago asiatica mosaic virus] -NP_148778.1 RNA-dependent RNA polymerase [Cactus virus X] -NP_077079.1 replicase [Clover yellow mosaic virus] -NP_044330.1 replicase [Papaya mosaic virus] -NP_042695.1 RNA-dependent RNA polymerase [Cassava common mosaic virus] -NP_042582.1 replicase [Bamboo mosaic virus] -NP_040988.1 replicase [Foxtail mosaic virus] -sp|Q07630.1|RDRP_PVXHB RecName: Full=RNA replication protein; AltName: Full=165 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -sp|P22591.1|RDRP_PVXCP RecName: Full=RNA replication protein; AltName: Full=165 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -sp|P09395.1|RDRP_PVX RecName: Full=RNA replication protein; AltName: Full=165 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -ACS28233.1 replicase [Alternanthera mosaic virus] -BAJ12046.1 RNA dependent RNA polymerase [Potato virus X] -BAU45640.1 RNA-dependent RNA polymerase [Hydrangea ringspot virus] -AFI57885.1 RdRp [Zygocactus virus X] -AMN10083.1 RNA-dependent RNA polymerase [Plantago asiatica mosaic virus] diff --git a/seq/clusters_info/cluster_144 b/seq/clusters_info/cluster_144 deleted file mode 100644 index ffb8584..0000000 --- a/seq/clusters_info/cluster_144 +++ /dev/null @@ -1,33 +0,0 @@ -YP_010087186.1 envelope glycoprotein [Mengla dianlovirus] -YP_003815437.1 second secreted glycoprotein [Bundibugyo ebolavirus] -YP_003815435.1 spike glycoprotein [Bundibugyo ebolavirus] -YP_003815436.1 small secreted glycoprotein [Bundibugyo ebolavirus] -YP_009513279.1 second secreted glycoprotein [Bombali ebolavirus] -YP_009513278.1 small secreted glycoprotein [Bombali ebolavirus] -YP_009513277.1 spike glycoprotein [Bombali ebolavirus] -YP_009055225.1 glycoprotein [Marburg marburgvirus] -YP_004928139.1 GP2 [Lloviu cuevavirus] -YP_004928138.1 GP1 [Lloviu cuevavirus] -YP_003815428.1 second secreted glycoprotein [Tai Forest ebolavirus] -YP_003815427.1 small secreted glycoprotein [Tai Forest ebolavirus] -YP_003815426.1 spike glycoprotein [Tai Forest ebolavirus] -YP_001531156.1 glycoprotein [Marburg marburgvirus] -YP_138523.1 spike glycoprotein [Sudan ebolavirus] -YP_138524.1 small secreted glycoprotein [Sudan ebolavirus] -NP_690583.1 spike glycoprotein [Reston ebolavirus] -NP_690584.1 small secreted glycoprotein [Reston ebolavirus] -NP_066246.1 spike glycoprotein [Zaire ebolavirus] -NP_066247.1 small secreted glycoprotein [Zaire ebolavirus] -sp|P0C772.1|VSSGP_EBOSU RecName: Full=Super small secreted glycoprotein; Short=SsGP; Flags: Precursor -sp|P0C771.1|VSSGP_EBORR RecName: Full=Super small secreted glycoprotein; Short=SsGP; Flags: Precursor -sp|Q91DD8.1|VGP_EBORE RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -sp|Q91DD7.1|VSGP_EBORE RecName: Full=Pre-small/secreted glycoprotein; Short=pre-sGP; Contains: RecName: Full=Small/secreted glycoprotein; Short=sGP; Contains: RecName: Full=Delta-peptide; Flags: Precursor -sp|Q89853.1|VGP_EBORS RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -sp|Q66814.1|VGP_EBOSB RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -sp|P87666.1|VGP_EBOZ5 RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -sp|O11457.1|VGP_EBOG4 RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -sp|P35254.1|VGP_MABVP RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; AltName: Full=Virion spike glycoprotein; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Flags: Precursor -sp|P60173.1|VSGP_EBOSM RecName: Full=Pre-small/secreted glycoprotein; Short=pre-sGP; Contains: RecName: Full=Small/secreted glycoprotein; Short=sGP; Contains: RecName: Full=Delta-peptide; Flags: Precursor -sp|Q9YMG2.2|VSSGP_EBOZM RecName: Full=Super small secreted glycoprotein; Short=SsGP; Flags: Precursor -sp|Q1PD50.1|VGP_MABVA RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; AltName: Full=Virion spike glycoprotein; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Flags: Precursor -sp|Q6UY66.1|VGP_MABVO RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; AltName: Full=Virion spike glycoprotein; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Flags: Precursor diff --git a/seq/clusters_info/cluster_145 b/seq/clusters_info/cluster_145 deleted file mode 100644 index 1a1a528..0000000 --- a/seq/clusters_info/cluster_145 +++ /dev/null @@ -1,33 +0,0 @@ -YP_010084315.1 putative structural protein [Chaetoceros species RNA virus 02] -YP_009666861.1 structural polyprotein [Marine RNA virus BC-3] -YP_009666859.1 structural polyprotein, partial [Marine RNA virus BC-2] -YP_009388486.1 polyprotein [Fur seal picorna-like virus] -YP_009337702.1 hypothetical protein 2 [Wenzhou picorna-like virus 19] -YP_009337374.1 hypothetical protein 2 [Wenzhou picorna-like virus 1] -YP_009337207.1 hypothetical protein 2 [Wenzhou picorna-like virus 9] -YP_009337154.1 hypothetical protein 2 [Wenzhou picorna-like virus 20] -YP_009337007.1 hypothetical protein 2 [Wenzhou picorna-like virus 10] -YP_009336662.1 hypothetical protein 2 [Wenzhou picorna-like virus 52] -YP_009333599.1 hypothetical protein 2 [Beihai picorna-like virus 33] -YP_009333567.1 hypothetical protein 2 [Beihai picorna-like virus 4] -YP_009333550.1 hypothetical protein 2 [Beihai sipunculid worm virus 3] -YP_009333529.1 hypothetical protein 2 [Beihai picorna-like virus 9] -YP_009333527.1 hypothetical protein 2 [Beihai sipunculid worm virus 4] -YP_009333440.1 hypothetical protein 2 [Beihai sipunculid worm virus 2] -YP_009333436.1 hypothetical protein 3 [Beihai picorna-like virus 7] -YP_009333415.1 hypothetical protein 2 [Beihai picorna-like virus 6] -YP_009333406.1 hypothetical protein 2 [Beihai sipunculid worm virus 1] -YP_009333404.1 hypothetical protein 2 [Beihai picorna-like virus 2] -YP_009333582.1 hypothetical protein 2 [Beihai picorna-like virus 11] -YP_009333510.1 hypothetical protein 2 [Beihai picorna-like virus 1] -YP_009333429.1 hypothetical protein 2 [Beihai picorna-like virus 8] -YP_009333308.1 hypothetical protein 2 [Beihai mollusks virus 2] -YP_009330025.1 hypothetical protein 2 [Beihai picorna-like virus 35] -YP_009329855.1 hypothetical protein 2 [Beihai picorna-like virus 5] -YP_009329865.1 hypothetical protein 2 [Beihai mollusks virus 1] -YP_009230121.1 polyprotein [Marine RNA virus PAL156] -YP_009227213.1 predicted structural polyprotein [Delisea pulchra RNA virus] -YP_009111337.1 predicted structural protein [Chaetoceros tenuissimus RNA virus type-II] -YP_009047194.1 predicted structural protein [Asterionellopsis glacialis RNA virus] -YP_006732324.1 capsid proteins [Rhizosolenia setigera RNA virus 01] -YP_001429582.1 hypothetical protein JP-A_gp2 [Marine RNA virus JP-A] diff --git a/seq/clusters_info/cluster_146 b/seq/clusters_info/cluster_146 deleted file mode 100644 index 20d54cb..0000000 --- a/seq/clusters_info/cluster_146 +++ /dev/null @@ -1,33 +0,0 @@ -YP_308840.1 matrix protein 2 [Influenza A virus (A/New York/392/2004(H3N2))] -YP_308853.1 membrane protein M2 [Influenza A virus (A/Korea/426/1968(H2N2))] -NP_040979.2 matrix protein 2 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|P0DOF5.1|M2_I72A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P05780.1|M2_I33A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A3DRP1.1|M2_I96A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A4GCH6.1|M2_I83A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A4GBX8.1|M2_I77AA RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A4K144.1|M2_I54A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A4U7A7.1|M2_I51A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A4U6V3.1|M2_I45A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A4GCI7.1|M2_I36A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A4GCM0.1|M2_I35A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A8C8J5.1|M2_I07A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|B4URD7.1|M2_I06A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0HD59.1|M2_I40A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q3YPZ4.1|M2_I71A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q38SQ7.1|M2_I83A8 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q30NQ0.1|M2_I75A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q2PIM5.1|M2_I78A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q2PIK5.1|M2_I76A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q288Z5.1|M2_I77A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q07FI4.1|M2_I96A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q6XU12.1|M2_I57A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q6XTV0.1|M2_I67A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q6XT43.1|M2_I69A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q9IQ49.1|M2_I000X RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q8QV59.1|M2_I82A9 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P67867.1|M2_I57A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P10920.1|M2_I57A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P26129.1|M2_I57A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P03491.1|M2_I79A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P21430.1|M2_I60A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 diff --git a/seq/clusters_info/cluster_147 b/seq/clusters_info/cluster_147 deleted file mode 100644 index c0eb7c7..0000000 --- a/seq/clusters_info/cluster_147 +++ /dev/null @@ -1,33 +0,0 @@ -NP_057855.1 Vpu [Human immunodeficiency virus 1] -sp|P08805.2|VPU_HV1Z3 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P05948.2|VPU_HV1SC RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P12518.2|VPU_HV1RH RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P05947.2|VPU_HV1MN RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P08803.2|VPU_HV1C4 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P05949.2|VPU_HV1A2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|Q1A262.1|VPU_SIVMB RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|Q89843.1|VPU_HV1B9 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|Q9QSQ8.1|VPU_HV1VI RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|Q75007.1|VPU_HV1ET RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|O91085.1|VPU_HV1YF RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|O70901.1|VPU_HV190 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|O70891.1|VPU_HV193 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|O12163.1|VPU_HV192 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P69700.1|VPU_HV1H3 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P35966.1|VPU_HV1Y2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P17286.1|VPU_SIVCZ RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P08808.1|VPU_HV1W2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P08804.1|VPU_HV1N5 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P05924.1|VPU_HV1MA RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P18806.1|VPU_HV1ND RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P12517.1|VPU_HV1J3 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P12516.1|VPU_HV1BN RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P08806.1|VPU_HV1ZH RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P19554.1|VPU_HV1S1 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P05925.1|VPU_HV1EL RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P20882.1|VPU_HV1JR RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P05923.1|VPU_HV1BR RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P05921.1|VPU_HV1B8 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P12515.1|VPU_HV1Z2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|P08807.1|VPU_HV1Z8 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -sp|Q70625.1|VPU_HV1LW RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U diff --git a/seq/clusters_info/cluster_148 b/seq/clusters_info/cluster_148 deleted file mode 100644 index b2a24b3..0000000 --- a/seq/clusters_info/cluster_148 +++ /dev/null @@ -1,32 +0,0 @@ -YP_009665974.1 replicase, partial [Drakaea virus A] -YP_009665984.1 gamma-A protein, partial [Poa semilatent virus] -YP_009508258.1 gamma-A protein, partial [Lychnis ringspot virus] -YP_009508078.1 59-kDa readthrough protein, partial [Japanese soil-borne wheat mosaic virus] -YP_009508077.1 152-kDa protein [Japanese soil-borne wheat mosaic virus] -YP_009220366.1 putative replicase [Colombian potato soil-borne virus] -YP_009047252.1 putative RNA-dependent RNA polymerase [Gentian ovary ringspot virus] -YP_009047251.1 putative methyltransferase/helicase [Gentian ovary ringspot virus] -NP_835282.1 polymerase [Indian peanut clump virus] -NP_740760.2 209KDa protein [Broad bean necrosis virus] -NP_659020.2 replicase, partial [Sorghum chlorotic spot virus] -NP_620669.1 194 kDa protein [Tobacco rattle virus] -NP_620444.1 replicase [Potato mop-top virus] -NP_620047.1 replicase [Peanut clump virus] -NP_620033.1 195K protein [Pepper ringspot virus] -NP_612605.1 replication protein [Beet virus Q] -NP_612628.1 replicase (contains methyltransferase, helicase and RNA-dependent RNA polymerase domains) [Beet soil-borne virus] -NP_604481.1 RNA-directed RNA polymerase [Barley stripe mosaic virus] -NP_604474.1 alpha protein [Barley stripe mosaic virus] -NP_059513.1 replicase readthrough [Chinese wheat mosaic virus] -NP_059510.1 replication protein [Oat golden stripe virus] -NP_059450.1 replication protein [Soil-borne cereal mosaic virus] -NP_049335.1 209 kDa readthrough protein [Soil-borne wheat mosaic virus] -NP_049325.1 replicase [Pea early-browning virus] -sp|P05079.1|RDRP_TRVPS RecName: Full=Replicase large subunit -ALV82076.1 replication-associated protein 1 [Tobacco rattle virus] -ALT22314.1 putative replicase [Soil-borne virus 2] -prf||2211403A gamma-A gene -ALM54972.1 rep protein 2 [Potato mop-top virus] -AAF18330.1 207K protein [Soil-borne cereal mosaic virus] -ACS14040.1 204 kDa protein [Beet soil-borne virus] -BAG50441.1 p212 [Chinese wheat mosaic virus] diff --git a/seq/clusters_info/cluster_149 b/seq/clusters_info/cluster_149 deleted file mode 100644 index 0ca931d..0000000 --- a/seq/clusters_info/cluster_149 +++ /dev/null @@ -1,32 +0,0 @@ -YP_009094071.2 F [Avian paramyxovirus penguin/Falkland Islands/324/2007] -YP_009553493.1 fusion protein [Avian metaavulavirus 20] -YP_009513217.1 fusion protein [Avian metaavulavirus 2] -YP_009513197.1 fusion protein [Avian orthoavulavirus 1] -YP_009512954.1 fusion protein [Avian metaavulavirus 8] -YP_009512947.1 fusion protein [Avian metaavulavirus 6] -YP_009508520.1 F [Antarctic penguin virus C] -YP_009508514.1 F [Antarctic penguin virus B] -YP_009508508.1 F [Antarctic penguin virus A] -YP_009508502.1 fusion protein [Avian paramyxovirus UPO216] -YP_009508496.1 fusion protein [Avian paramyxovirus 14] -YP_009380507.1 fusion protein [Avian paramyxovirus 15] -YP_009255224.1 fusion protein [Avian paramyxovirus goose/Shimane/67/2000] -YP_009094481.1 F protein [Avian metaavulavirus 11] -YP_009094362.1 fusion protein [Avian orthoavulavirus 9] -YP_009094215.1 fusion protein [Avian paraavulavirus 3] -YP_009094171.1 fusion protein [Avian orthoavulavirus 12] -YP_009094158.1 fusion protein [Avian metaavulavirus 5] -YP_009094058.1 fusion protein [Avian metaavulavirus 7] -YP_007008150.1 fusion protein [Avian paramyxovirus 4] -NP_872276.1 fusion protein precursor [Goose paramyxovirus SF02] -sp|P33614.1|FUS_NDVL RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P26628.1|FUS_NDVT RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P10865.1|FUS_NDVM RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P14623.1|FUS_NDVI RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|Q9DLD4.1|FUS_NDVB1 RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P35936.1|FUS_NDVD RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P33615.1|FUS_NDVQ RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P33612.1|FUS_NDVH3 RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P12572.2|FUS_NDVA RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P12570.1|FUS_NDVU RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P12571.1|FUS_NDVTG RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor diff --git a/seq/clusters_info/cluster_15 b/seq/clusters_info/cluster_15 deleted file mode 100644 index f793c59..0000000 --- a/seq/clusters_info/cluster_15 +++ /dev/null @@ -1,86 +0,0 @@ -YP_010085070.1 glycoprotein precursor [Tapirape virus] -YP_010085066.1 polyprotein [Macaua virus] -YP_010086209.1 Glycoprotein precursor [Caimito virus] -YP_010085105.1 polyprotein [Maguari virus] -YP_010085091.1 polyprotein [Tahyna virus] -YP_010085086.1 polyprotein [Anadyr virus] -YP_010085081.1 G1/G2 membrane polyprotein [Snowshoe hare virus] -YP_010085076.1 glycoprotein precursor [Trivittatus virus] -YP_010085067.1 membrane glycoprotein polyprotein [Facey's Paddock virus] -YP_010084299.1 polyprotein [California encephalitis virus] -YP_009667050.1 polyprotein [Shuni orthobunyavirus] -YP_009667046.1 polyprotein [Tacaiuma orthobunyavirus] -YP_009667043.1 glycoprotein precursor [Patois virus] -YP_009667039.1 glycoprotein precursor [Zegla virus] -YP_009666998.1 glycoprotein [Bozo virus] -YP_009666997.1 glycoprotein [Birao virus] -YP_009666984.1 polyprotein [Potosi virus] -YP_009666977.1 polyprotein [Serra do Navio virus] -YP_009666976.1 polyprotein [San Angelo virus] -YP_009666969.1 polyprotein [Melao virus] -YP_009666965.1 polyprotein [Lumbo virus] -YP_009666961.1 polyprotein [Keystone virus] -YP_009666957.1 polyprotein [Bellavista virus] -YP_009666951.1 glycoprotein precursor [Cache Valley virus] -YP_009666946.1 glycoprotein precursor [Fort Sherman virus] -YP_009666942.1 polyprotein [Enseada virus] -YP_009666932.1 glycoprotein precursor [Rio Preto da Eva virus] -YP_009666931.1 glycoprotein precursor [Pacui virus] -YP_009666928.1 membrane glycoprotein polyprotein [Buttonwillow virus] -YP_009666924.1 membrane glycoprotein polyprotein [Utinga virus] -YP_009666921.1 membrane glycoprotein polyprotein [Mermet virus] -YP_009666918.1 membrane glycoprotein polyprotein [Ingwavuma virus] -YP_009666915.1 M polyprotein [Ilesha virus] -YP_009666912.1 M polyprotein [Schmallenberg virus] -YP_009666905.1 glycoprotein precursor [Batai virus] -YP_009666903.1 polyprotein [Jatobal virus] -YP_009666898.1 polyprotein [Cachoeira Porteira virus] -YP_009666896.1 polyprotein [Sororoca virus] -YP_009666892.1 polyprotein [Iaco virus] -YP_009666890.1 polyprotein [Anhembi virus] -YP_009666885.1 M polyprotein [Jamestown Canyon virus] -YP_009666878.1 M polyprotein [Sango virus] -YP_009666874.1 M polyprotein [Sabo virus] -YP_009666873.1 M polyprotein [Peaton virus] -YP_009666869.1 polyprotein precursor [Tensaw virus] -YP_009667022.1 polyprotein [Witwatersrand virus] -YP_009666937.1 polyprotein [Tataguine virus] -YP_009666886.1 polyprotein [Leanyer virus] -YP_009664558.1 polyprotein [Umbre virus] -YP_009512926.1 polyprotein [Wyeomyia orthobunyavirus] -YP_009512922.1 polyprotein, partial [Tete orthobunyavirus] -YP_009362080.1 glycoprotein precursor [Guaroa virus] -YP_009508270.1 polyprotein [Main Drain virus] -YP_009507886.1 polyprotein [Koongol virus] -YP_009507882.1 polyprotein [Kairi virus] -YP_009507878.1 polyprotein [Guama virus] -YP_009507876.1 polyprotein [Guajara orthobunyavirus] -YP_009507872.1 polyprotein [Gamboa virus] -YP_009507869.1 polyprotein [Catu virus] -YP_009507865.1 polyprotein [Bimiti virus] -YP_009507860.1 polyprotein [Lukuni virus] -YP_009507856.1 polyprotein [Alajuela virus] -YP_009362985.1 glycoprotein [Wolkberg virus] -YP_009362079.1 polyprotein [Madrid virus] -YP_009362078.1 polyprotein [Marituba virus] -YP_009362075.1 glycoprotein precursor [Kaeng Khoi virus] -YP_009362067.1 polyprotein [Capim virus] -YP_009362065.1 glycoprotein precursor [Nyando virus] -YP_009362064.1 glycoprotein precursor [Bwamba orthobunyavirus] -YP_009362062.1 polyprotein [Oriboca virus] -YP_009362046.1 polyprotein [Caraparu virus] -YP_009300682.1 glycoprotein precursor [Shuangao Insect Virus 1] -YP_009117085.1 polyprotein [Maprik virus] -YP_009028567.1 polyprotein [Cat Que virus] -YP_008709777.1 polyprotein [Murrumbidgee virus] -YP_008400137.1 polyprotein [Brazoran virus] -YP_006590085.1 M polyprotein [Simbu orthobunyavirus] -YP_006590081.1 M polyprotein [Shamonda orthobunyavirus] -YP_006590080.1 M polyprotein [Sathuperi orthobunyavirus] -YP_006590070.1 M polyprotein [Aino virus] -YP_001497160.1 M polyprotein [Akabane virus] -NP_982303.1 polyprotein [Oropouche virus] -NP_671969.1 G1/G2 membrane polyprotein [La Crosse virus] -NP_047212.1 M polyprotein [Bunyamwera virus] -sp|P09612.3|GP_BUNL7 RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G2; Contains: RecName: Full=Non-structural protein M; Short=NSm; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G1; Flags: Precursor -sp|P12430.1|GP_BUNGE RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G2; Contains: RecName: Full=Non-structural protein M; Short=NSm; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G1; Flags: Precursor diff --git a/seq/clusters_info/cluster_150 b/seq/clusters_info/cluster_150 deleted file mode 100644 index ab9f54a..0000000 --- a/seq/clusters_info/cluster_150 +++ /dev/null @@ -1,32 +0,0 @@ -NP_542258.1 polymerase, partial [Primate T-lymphotropic virus 3] -NP_542257.1 protease, partial [Primate T-lymphotropic virus 3] -NP_542256.1 gag polyprotein [Primate T-lymphotropic virus 3] -NP_049559.1 protease [Primate T-lymphotropic virus 1] -NP_049558.1 gag polyprotein [Primate T-lymphotropic virus 1] -NP_041003.3 pol polyprotein, partial [Human T-lymphotropic virus 2] -YP_002455786.1 polymerase [Human T-lymphotropic virus 4] -YP_002455785.1 protease [Human T-lymphotropic virus 4] -YP_002455784.1 group specific antigen [Human T-lymphotropic virus 4] -YP_002308474.1 pol protein, partial [Simian T-cell lymphotropic virus 6] -YP_002308473.1 pro protein, partial [Simian T-cell lymphotropic virus 6] -YP_002308472.1 gag protein [Simian T-cell lymphotropic virus 6] -NP_777384.2 RT-IN [Bovine leukemia virus] -NP_954568.1 p15-gag protein [2] [Human T-lymphotropic virus 2] -NP_954566.1 p19-gag protein [2] [Human T-lymphotropic virus 2] -NP_056907.1 gag-pro-pol polyprotein [Simian T-lymphotropic virus 2] -NP_057860.1 Pr gag-pro-pol [Human T-cell leukemia virus type I] -NP_057861.1 Pr gag-pro [Human T-cell leukemia virus type I] -NP_056897.1 Pr44 [Bovine leukemia virus] -sp|P03361.2|POL_BLVJ RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p12-pro; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P25059.2|POL_BLVAU RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p12-pro; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|Q09SZ9.3|PRO_HTL3P RecName: Full=Gag-Pro polyprotein; AltName: Full=Pr76Gag-Pro; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Transframe peptide; Short=TFP; AltName: Full=p8; AltName: Full=pX -sp|Q4U0X6.4|POL_HTL3P RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P10274.3|PRO_HTL1A RecName: Full=Gag-Pro polyprotein; AltName: Full=Pr76Gag-Pro; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Transframe peptide; Short=TFP; AltName: Full=p8 -sp|P03363.4|POL_HTLV2 RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P03362.3|POL_HTL1A RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p49 subunit; Short=p49 RT; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p62 subunit; Short=p62 RT; Contains: RecName: Full=Integrase; Short=IN -sp|Q0R5R4.3|GAG_HTL32 RecName: Full=Gag polyprotein; AltName: Full=Pr53Gag; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-gag; Short=NC-gag -sp|Q0R5R2.3|POL_HTL32 RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P0C211.2|POL_HTL1L RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p49 subunit; Short=p49 RT; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p62 subunit; Short=p62 RT; Contains: RecName: Full=Integrase; Short=IN -sp|P0C210.2|PRO_HTL1L RecName: Full=Gag-Pro polyprotein; AltName: Full=Pr76Gag-Pro; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Transframe peptide; Short=TFP; AltName: Full=p8 -sp|P14077.3|GAG_HTL1M RecName: Full=Gag polyprotein; AltName: Full=Pr53Gag; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-gag; Short=NC-gag -sp|P03344.3|GAG_BLVJ RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p12-gag diff --git a/seq/clusters_info/cluster_151 b/seq/clusters_info/cluster_151 deleted file mode 100644 index 081663a..0000000 --- a/seq/clusters_info/cluster_151 +++ /dev/null @@ -1,32 +0,0 @@ -YP_009118282.1 VP3 [rabbit kobuvirus] -YP_009553470.1 polyprotein [Sugar beet cyst nematode virus 1] -YP_009505608.1 polyprotein [rhinovirus A1] -YP_004782533.1 VP3 [Bat picornavirus 3] -YP_004564611.1 VP3 [Pigeon picornavirus B] -NP_042288.1 genome polyprotein [Rhinovirus A] -sp|Q82122.4|POLG_HRV16 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P04936.3|POLG_HRV2 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P12916.3|POLG_HRV1B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -ACK37375.1 polyprotein [rhinovirus A19] -ACK37422.1 polyprotein [rhinovirus A89] -ACK37431.1 polyprotein [rhinovirus A100] -ABO69374.1 polyprotein [rhinovirus A78] -APT69842.1 polyprotein [rhinovirus A1B] -AET85048.1 polyprotein, partial [Rhinovirus A] -ACK37405.1 polyprotein [rhinovirus A67] -AER92587.1 polyprotein, partial [Rhinovirus A] -ACK37426.1 polyprotein [Human rhinovirus A95] -ABF51185.1 polyprotein [rhinovirus A41] -AEP69140.1 polyprotein [Rhinovirus A] -ACT89356.1 polyprotein [Rhinovirus A] -ABF51204.1 polyprotein [rhinovirus A75] -AEG42391.1 polyprotein [Rhinovirus A] -ACK37438.1 polyprotein [rhinovirus A76] -AMK37996.1 polyprotein [rhinovirus A39] -AEG75268.1 polyprotein [rhinovirus A103] -ACK37400.1 polyprotein [rhinovirus A61] -AET85047.1 polyprotein [Rhinovirus A] -ABF51200.1 polyprotein [rhinovirus A46] -AOL02449.1 polyprotein [rhinovirus A12] -ABF51192.1 polyprotein [rhinovirus A10] -ACK37388.1 polyprotein [rhinovirus A45] diff --git a/seq/clusters_info/cluster_152 b/seq/clusters_info/cluster_152 deleted file mode 100644 index 70771f6..0000000 --- a/seq/clusters_info/cluster_152 +++ /dev/null @@ -1,32 +0,0 @@ -YP_010086236.1 RNA-dependent RNA polymerase [Groundnut chlorotic fan-spot virus] -YP_010086064.1 L protein [Alstroemeria necrotic streak virus] -YP_010086214.1 RNA-dependent RNA polymerase [Tomato yellow ring virus] -YP_010085061.1 RNA-dependent RNA polymerase [Soybean vein necrosis virus] -YP_009553292.1 RNA-dependent RNA polymerase [Alstroemeria yellow spot virus] -YP_009666322.1 L protein [Groundnut ringspot virus] -YP_009513000.1 viral polymerase [Polygonum ringspot orthotospovirus] -YP_009505544.1 L protein [Watermelon bud necrosis virus] -YP_009449454.1 RdRp [Calla lily chlorotic spot virus] -YP_009408637.1 RNA-dependent RNA polymerase [Tomato chlorotic spot virus] -YP_009346017.1 RdRp [Melon severe mosaic tospovirus] -YP_009345145.1 RdRp [Pepper chlorotic spot virus] -YP_009316178.1 RNA-dependent RNA polymerase [Zucchini lethal chlorosis virus] -YP_009259252.1 RdRp [Tospovirus kiwifruit/YXW/2014] -YP_009241381.1 RNA dependent RNA polymerase [Iris yellow spot virus] -YP_009162642.1 RNA-dependent RNA polymerase [Chrysanthemum stem necrosis virus] -YP_009126736.1 L protein [Mulberry vein banding virus] -YP_006468898.1 L protein [Bean necrotic mosaic virus] -YP_004421860.1 L protein [Groundnut ringspot and Tomato chlorotic spot virus reassortant] -YP_001740047.1 L protein [Tomato zonate spot virus] -YP_717924.1 RNA-dependent RNA polymerase [Capsicum chlorosis virus] -YP_717933.1 RNA dependent RNA polymerase [Melon yellow spot virus] -NP_620752.1 L [Watermelon silver mottle orthotospovirus] -NP_619710.1 RNA-dependent RNA polymerase [Impatiens necrotic spot virus] -NP_619688.1 L protein [Peanut bud necrosis virus] -NP_049362.1 RNA polymerase [Tomato spotted wilt orthotospovirus] -AGS78403.1 RNA-dependent RNA polymerase [Capsicum chlorosis virus] -AQX77525.1 RNA-dependent RNA polymerase [Pepper chlorotic spot virus] -BAG82842.1 RNA dependent RNA polymerase [Melon yellow spot virus] -APG79361.1 RNA-dependent RNA polymerase [Hubei orthoptera virus 2] -BAD51468.1 polymerase [Tomato spotted wilt orthotospovirus] -BAD86755.1 L protein [Tomato spotted wilt orthotospovirus] diff --git a/seq/clusters_info/cluster_153 b/seq/clusters_info/cluster_153 deleted file mode 100644 index aed840c..0000000 --- a/seq/clusters_info/cluster_153 +++ /dev/null @@ -1,32 +0,0 @@ -YP_009259650.1 RNA-dependent RNA polymerase [Diachasmimorpha longicaudata rhabdovirus] -YP_009342285.1 RNA-dependent RNA polymerase [Wuchang romanomermis nematode virus 2] -YP_009337815.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 9] -YP_009337799.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 2] -YP_009337635.1 RNA-dependent RNA polymerase [Shayang ascaridia galli virus 2] -YP_009336984.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 1] -YP_009336924.1 RNA-dependent RNA polymerase [Hubei odonate virus 10] -YP_009336889.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 3] -YP_009336589.1 RNA-dependent RNA polymerase [Wenling crustacean virus 11] -YP_009336512.1 RNA-dependent RNA polymerase [Wenling crustacean virus 10] -YP_009333192.1 RNA-dependent RNA polymerase [Beihai barnacle virus 7] -YP_009330105.1 RNA-dependent RNA polymerase [Hubei myriapoda virus 7] -YP_009305112.1 RNA-dependent RNA polymerase [Wuhan Mosquito Virus 9] -YP_009304559.1 RNA-dependent RNA polymerase [Wuhan Ant Virus] -YP_009304476.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 7] -YP_009304420.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 6] -YP_009304985.1 RNA-dependent RNA polymerase [Wuhan House Fly Virus 2] -YP_009303699.1 RNA-dependent RNA polymerase [Lishi Spider Virus 2] -YP_009300677.1 RNA-dependent RNA polymerase [Shuangao Bedbug Virus 2] -YP_009300674.1 RNA-dependent RNA polymerase [Shayang Fly Virus 3] -YP_009289352.1 RNA-dependent RNA polymerase [Sanxia Water Strider Virus 5] -YP_009288955.1 RNA-dependent RNA polymerase [Sanxia water strider virus 4] -YP_009094312.1 L [Spodoptera frugiperda rhabdovirus] -AEF56733.1 putative RNA-dependent RNA polymerase [Soybean cyst nematode associated northern cereal mosaic virus] -AJG39162.1 RNA-dependent RNA polymerase [Wuhan Fly Virus 3] -APG78676.1 RNA-dependent RNA polymerase [Shayang ascaridia galli virus 2] -AMK09264.1 RNA-dependent RNA polymerase [Drosophila sturtevanti rhabdovirus 1] -AJG39136.1 RNA-dependent RNA polymerase, partial [Shuangao Insect Virus 6] -AMK09240.1 RNA-dependent RNA polymerase [Drosophila busckii rhabdovirus] -AMK09254.1 RNA-dependent RNA polymerase, partial [Drosophila subobscura rhabdovirus] -AJG39108.1 RNA-dependent RNA polymerase, partial [Jingshan Fly Virus 2] -AOC55081.1 L protein, partial [Berant virus] diff --git a/seq/clusters_info/cluster_154 b/seq/clusters_info/cluster_154 deleted file mode 100644 index c40367e..0000000 --- a/seq/clusters_info/cluster_154 +++ /dev/null @@ -1,32 +0,0 @@ -YP_007641366.1 glycoprotein [Perch perhabdovirus] -YP_009362085.1 glycoprotein [Morreton vesiculovirus] -YP_009513006.1 glycoprotein [Jurona vesiculovirus] -YP_009505540.1 glycoprotein [Radi vesiculovirus] -YP_009505535.1 glycoprotein [Piry virus] -YP_009505530.1 glycoprotein [Carajas virus] -YP_009505478.1 glycoprotein [Lake trout rhabdovirus 903/87] -YP_009505325.1 glycoprotein [Vesicular stomatitis Indiana virus] -YP_009177650.1 glycoprotein [Cocal virus] -YP_009094475.1 glycoprotein [Dolphin rhabdovirus] -YP_009094387.1 glycoprotein [Perinet vesiculovirus] -YP_009094276.1 glycoprotein [Yug Bogdanovac vesiculovirus] -YP_009094266.1 glycoprotein [Grass carp virus] -YP_009094205.1 glycoprotein [Tench rhabdovirus] -YP_009094177.1 glycoprotein [Malpais Spring vesiculovirus] -YP_009094124.1 glycoprotein [Pike fry sprivivirus] -YP_009094100.1 glycoprotein [Vesicular stomatitis Alagoas virus] -YP_009091829.1 glycoprotein [Maraba virus] -YP_009047084.1 glycoprotein [Vesicular stomatitis New Jersey virus] -YP_008767242.1 glycoprotein G [American bat vesiculovirus TFFN-2013] -YP_008686606.1 glycoprotein [Eel virus European X] -YP_007641385.1 glycoprotein [Isfahan virus] -YP_007641380.1 glycoprotein [Chandipura virus] -YP_802941.1 G protein [Siniperca chuatsi rhabdovirus] -NP_116747.1 glycoprotein [Carp sprivivirus] -NP_041715.1 glycoprotein (G protein) precursor [Vesicular stomatitis Indiana virus] -sp|P04884.1|GLYCO_VSIVO RecName: Full=Glycoprotein; Flags: Precursor -sp|P04882.1|GLYCO_VSNJO RecName: Full=Glycoprotein; Flags: Precursor -sp|P04883.1|GLYCO_VSIVG RecName: Full=Glycoprotein; Flags: Precursor -sp|P13180.2|GLYCO_CHAV RecName: Full=Glycoprotein; Flags: Precursor -sp|Q8B0H6.1|GLYCO_VSIVS RecName: Full=Glycoprotein; Flags: Precursor -sp|Q8B0H1.1|GLYCO_VSIVC RecName: Full=Glycoprotein; Flags: Precursor diff --git a/seq/clusters_info/cluster_155 b/seq/clusters_info/cluster_155 deleted file mode 100644 index 6a3bdcb..0000000 --- a/seq/clusters_info/cluster_155 +++ /dev/null @@ -1,32 +0,0 @@ -YP_009551507.1 putative RNA-dependent RNA polymerase [Pythium nunn virus 1] -YP_009665972.1 putative RNA dependent RNA polymerase [Aspergillus ochraceous virus] -NP_659027.1 putative replicase [Gremmeniella abietina RNA virus MS1] -YP_009508238.1 RNA-dependent RNA polymerase [Ophiostoma partitivirus 1] -YP_009259752.1 RNA-dependent RNA polymerase [Pseudogymnoascus destructans partitivirus-pa] -YP_009182336.1 62 kDa protein [Penicillium aurantiogriseum partitivirus 1] -YP_009164038.1 RNA-dependent RNA polymerase [Verticillium dahliae partitivirus 1] -YP_008327312.1 RNA-dependent RNA polymerase [Ustilaginoidea virens partitivirus 2] -YP_001686789.1 RNA-dependent RNA polymerase [Botryotinia fuckeliana partitivirus 1] -YP_052856.2 RNA-dependent RNA polymerase [Penicillium stoloniferum virus S] -YP_271922.1 putative dsRNA-dependent RNA polymerase [Penicillium stoloniferum virus F] -NP_624350.1 RNA-dependent RNA polymerase [Fusarium solani virus 1] -NP_620301.1 RNA-dependent RNA polymerase [Discula destructiva virus 2] -NP_116716.1 RNA-dependent RNA polymerase [Discula destructiva virus 1] -APG78352.1 RdRp [Wenzhou partiti-like virus 1] -ALF46547.1 RNA-dependent RNA polymerase [Colletotrichum truncatum partitivirus 1] -APG78297.1 RdRp [Hubei partiti-like virus 53] -AIE47694.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea virus 1] -CUS18591.1 RNA dependent RNA polymerase [Beauveria bassiana partitivirus 1] -AAT48886.1 putative RNA-dependent RNA polymerase [Gremmeniella abietina RNA virus MS2] -AGL42312.1 RNA-dependent RNA polymerase [Colletotrichum acutatum RNA virus 1] -AGI52210.1 RNA-dependent RNA polymerase [Verticillium dahliae partitivirus 1] -APP18151.1 putative RNA-dependent RNA polymerase [Magnaporthe oryzae partitivirus 1] -AGO04402.1 RNA-dependent RNA polymerase [Ustilaginoidea virens partitivirus] -AAK12855.1 putative RNA-dependent RNA polymerase, partial [Nectria radicicola virus L1] -ABC86749.1 dsRNA-dependent RNA polymerase [Aspergillus ochraceous virus] -CUS18593.1 RNA dependent RNA polymerase [Beauveria bassiana partitivirus 2] -AFX73023.1 RNA dependent RNA polymerase [Grapevine partitivirus] -CAY25801.2 RNA-dependent RNA polymerase [Aspergillus fumigatus partitivirus 1] -APG78298.1 RdRp [Hubei partiti-like virus 54] -AGJ03719.1 RDRP [Ustilaginoidea virens partitivirus 3] -AIE47664.1 RNA-dependent RNA polymerase [Verticillium albo-atrum partitivirus-1] diff --git a/seq/clusters_info/cluster_156 b/seq/clusters_info/cluster_156 deleted file mode 100644 index 47646c6..0000000 --- a/seq/clusters_info/cluster_156 +++ /dev/null @@ -1,32 +0,0 @@ -YP_009506368.1 polyprotein [Pegivirus I] -YP_009506367.1 polyprotein [Human hepegivirus] -YP_009506366.1 polyprotein [Pegivirus G] -YP_009506365.1 polyprotein [Pegivirus F] -YP_009506364.1 polyprotein precursor [Theiler's disease-associated virus] -YP_009361867.1 polyprotein [Pegivirus K] -YP_009256194.1 polyprotein precursor [GB virus-D] -YP_009227295.1 polyprotein [Human pegivirus 2] -YP_009109602.1 polyprotein [Norway rat pegivirus] -YP_009044207.1 polyprotein precursor [Simian pegivirus] -YP_007905734.1 polyprotein [Rodent pegivirus] -YP_007697649.1 polyprotein [Equine pegivirus 1] -NP_045010.1 polyprotein precursor [Pegivirus A] -NP_043570.1 polyprotein precursor [GB virus C] -AGK41016.1 polyprotein [Bat pegivirus] -ALG04343.1 polyprotein [Human pegivirus 2] -ANB32513.1 putative polyprotein [GB virus C] -AGK41019.1 polyprotein [Bat pegivirus] -AHH32958.1 polyprotein precursor [Simian pegivirus] -AGK41009.1 polyprotein [Bat pegivirus] -AGK41003.1 polyprotein [Bat pegivirus] -pir||T08839 polyprotein - marmoset hepatitis GB virus A -AJP36585.1 polyprotein [Simian pegivirus] -BAA19580.1 polyprotein [GB virus C] -AAD31543.1 polyprotein [GB virus C variant troglodytes] -pir||T08841 polyprotein - douroucouli hepatitis GB virus A -AGK41012.1 polyprotein [Bat pegivirus] -AGK41013.1 polyprotein [Bat pegivirus] -AGK41011.1 polyprotein [Bat pegivirus] -ALG04340.1 polyprotein [Human pegivirus 2] -AGK41023.1 polyprotein [Bat pegivirus] -AAC55983.1 polyprotein [Pegivirus A] diff --git a/seq/clusters_info/cluster_157 b/seq/clusters_info/cluster_157 deleted file mode 100644 index 1050f32..0000000 --- a/seq/clusters_info/cluster_157 +++ /dev/null @@ -1,31 +0,0 @@ -YP_009824976.1 membrane protein [Wencheng Sm shrew coronavirus] -YP_009755893.1 membrane protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -YP_009336486.1 membrane protein [Lucheng Rn rat coronavirus] -YP_009256200.1 membrane protein [Ferret coronavirus] -YP_006908645.1 membrane protein [Rousettus bat coronavirus HKU10] -YP_001718615.1 membrane protein [Miniopterus bat coronavirus HKU8] -YP_001552239.1 membrane glycoprotein [Rhinolophus bat coronavirus HKU2] -YP_001351687.1 matrix protein [Scotophilus bat coronavirus 512] -YP_009194642.1 membrane protein [Camel alphacoronavirus] -YP_003770.1 membrane protein [Human coronavirus NL63] -YP_009389427.1 membrane protein [Wencheng Sm shrew coronavirus] -NP_073555.1 membrane protein [Human coronavirus 229E] -YP_009824970.1 M protein [NL63-related bat coronavirus] -YP_009755841.1 membrane protein [Shrew coronavirus] -NP_058427.2 membrane protein [Transmissible gastroenteritis virus] -YP_009380524.1 membrane protein [Coronavirus AcCoV-JC34] -YP_009328938.1 M protein [NL63-related bat coronavirus] -YP_009201733.1 membrane glycoprotein [BtNv-AlphaCoV/SC2013] -YP_009200738.1 membrane glycoprotein [BtRf-AlphaCoV/YN2012] -YP_009199793.1 membrane glycoprotein [BtRf-AlphaCoV/HuB2013] -YP_009199612.1 membrane glycoprotein [BtMr-AlphaCoV/SAX2011] -YP_009199246.1 membrane protein [Swine enteric coronavirus] -YP_009019185.1 membrane protein [Mink coronavirus strain WD1127] -YP_008439205.1 membrane protein [Bat coronavirus CDPHE15/USA/2006] -YP_004070198.1 matrix protein [Feline infectious peritonitis virus] -YP_001718608.1 membrane protein [Bat coronavirus 1A] -NP_598313.1 membrane protein [Porcine epidemic diarrhea virus] -sp|Q7T6S9.1|VME1_CVCBG RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|P33464.1|VME1_CVPR8 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|P09175.1|VME1_CVPFS RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|P36299.1|VME1_CVCAI RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein diff --git a/seq/clusters_info/cluster_158 b/seq/clusters_info/cluster_158 deleted file mode 100644 index 96a24bb..0000000 --- a/seq/clusters_info/cluster_158 +++ /dev/null @@ -1,31 +0,0 @@ -YP_009824977.1 nucleocapsid protein [Wencheng Sm shrew coronavirus] -YP_009755894.1 nucleocapsid protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -YP_009256201.1 nucleocapsid protein [Ferret coronavirus] -YP_006908646.1 nucleocapsid protein [Rousettus bat coronavirus HKU10] -YP_001718616.1 nucleocapsid protein [Miniopterus bat coronavirus HKU8] -YP_001552240.1 nucleocapsid phosphoprotein [Rhinolophus bat coronavirus HKU2] -YP_001351688.1 nucleocapsid protein [Scotophilus bat coronavirus 512] -YP_009194643.1 nucleocapsid protein [Camel alphacoronavirus] -YP_003771.1 nucleocapsid protein [Human coronavirus NL63] -YP_009389428.1 nucleocapsid protein [Wencheng Sm shrew coronavirus] -NP_073556.1 nucleocapsid protein [Human coronavirus 229E] -YP_009824971.1 N protein [NL63-related bat coronavirus] -YP_009755842.1 nucleocapsid protein [Shrew coronavirus] -NP_058428.1 nucleoprotein [Transmissible gastroenteritis virus] -YP_009380526.1 nucleocapsid protein [Coronavirus AcCoV-JC34] -YP_009328939.1 N protein [NL63-related bat coronavirus] -YP_009201734.1 nucleocapsid protein [BtNv-AlphaCoV/SC2013] -YP_009200739.1 nucleocapsid protein [BtRf-AlphaCoV/YN2012] -YP_009199794.1 nucleocapsid protein [BtRf-AlphaCoV/HuB2013] -YP_009199613.1 nucleocapsid protein [BtMr-AlphaCoV/SAX2011] -YP_009199247.1 nucleoprotein [Swine enteric coronavirus] -YP_009019186.1 nucleocapsid protein [Mink coronavirus strain WD1127] -YP_008439206.1 nucleoprotein [Bat coronavirus CDPHE15/USA/2006] -YP_004070199.1 nucleocapsid protein [Feline infectious peritonitis virus] -YP_001718609.1 nucleocapsid protein [Bat coronavirus 1A] -NP_598314.1 nucleocapsid protein [Porcine epidemic diarrhea virus] -sp|Q7T6S8.1|NCAP_CVCBG RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P36298.1|NCAP_CVCAI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P33463.1|NCAP_CVPR8 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q04700.1|NCAP_CVCAK RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P05991.1|NCAP_CVPFS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N diff --git a/seq/clusters_info/cluster_159 b/seq/clusters_info/cluster_159 deleted file mode 100644 index a8a688f..0000000 --- a/seq/clusters_info/cluster_159 +++ /dev/null @@ -1,31 +0,0 @@ -YP_009640127.1 hypothetical protein MS2g4 [Escherichia phage MS2] -YP_010084023.1 replicase [Leviviridae sp.] -YP_010084020.1 putative RNA replicase [LeviOr01 phage] -YP_007237175.1 replicase [Enterobacteria phage Hgal1] -YP_007237129.1 replicase [Enterobacteria phage C-1 INW-2012] -YP_007111575.1 replicase beta subunit [Enterobacteria phage M] -YP_717671.1 replicase [Pseudomonas phage PRR1] -NP_042307.1 replicase [Pseudomonas phage PP7] -NP_040755.1 replicase beta-subunit [Escherichia virus BZ13] -sp|P15965.2|RDRP_BPFR RecName: Full=RNA-directed RNA polymerase beta chain; AltName: Full=RNA replicase beta chain -ACT66728.1 replicase [Escherichia virus BZ13] -APG77018.1 hypothetical protein [Beihai levi-like virus 25] -ACN90651.1 replicase protein [Escherichia phage MS2] -APG77225.1 hypothetical protein [Hubei levi-like virus 5] -AFN39796.1 replicase [Escherichia phage MS2] -APG77021.1 hypothetical protein [Beihai levi-like virus 22] -APG77106.1 hypothetical protein [Changjiang levi-like virus 2] -APG77123.1 hypothetical protein [Hubei levi-like virus 6] -AMQ23523.1 replicase [Leviviridae sp.] -APG77079.1 hypothetical protein [Beihai levi-like virus 14] -APG77136.1 hypothetical protein [Beihai levi-like virus 26] -AEQ25571.1 replicase [Escherichia phage MS2] -APG77003.1 hypothetical protein [Beihai levi-like virus 23] -APG77037.1 hypothetical protein [Beihai levi-like virus 24] -APG77231.1 hypothetical protein [Hubei levi-like virus 7] -APG77179.1 hypothetical protein [Shahe levi-like virus 2] -APG77025.1 hypothetical protein [Beihai levi-like virus 27] -AMQ23536.1 replicase [Leviviridae sp.] -ACT66724.1 replicase [Escherichia virus BZ13] -APG77299.1 hypothetical protein [Wenzhou levi-like virus 3] -APG77142.1 hypothetical protein [Beihai levi-like virus 12] diff --git a/seq/clusters_info/cluster_16 b/seq/clusters_info/cluster_16 deleted file mode 100644 index 382afee..0000000 --- a/seq/clusters_info/cluster_16 +++ /dev/null @@ -1,84 +0,0 @@ -YP_010088126.1 NIa [Dendrobium chlorotic mosaic virus] -YP_010088116.1 NIa-Pro [Platycodon mild mottle virus] -YP_009667144.1 NIa protein [Mediterranean ruda virus] -YP_009667097.1 NIa [Zucchini shoestring virus] -YP_009667068.1 NIa-Pro [Iris mild mosaic virus] -YP_009351886.1 NIa [Sunflower ring blotch virus] -YP_009509105.1 NIa [Daphne virus Y] -YP_009466012.1 Nia-Pro [Hyacinth mosaic virus] -YP_009389257.1 NiaPro [Cucurbit vein banding virus] -YP_009310047.1 Pro [Euphorbia ringspot virus] -YP_009272677.1 NIa [Callistephus mottle virus] -YP_009259519.1 NIa-Pro protein [Wild onion symptomless virus] -YP_009252417.1 NIa-Pro [Tobacco mosqueado virus] -YP_009221990.1 NIa-Pro [Jasmine virus T] -YP_009126868.1 NaI-pro [Tamarillo leaf malformation virus] -YP_009010965.1 Nia-Pro [Narcissus late season yellows virus] -YP_008719795.1 NIa-Pro protein [Pokeweed mosaic virus] -YP_008320589.1 nuclear inclusion a protein/protease [Habenaria mosaic virus] -YP_007969891.1 Nia-Pro [Donkey orchid virus A] -YP_007354895.1 Nla-Pro [Brugmansia mosaic virus] -YP_007001290.1 NIa-Pro [Yam mild mosaic virus] -YP_007001281.1 Nia-Pro [Ornithogalum mosaic virus] -YP_006493341.1 NIa-Pro [Sweet potato virus G] -YP_006438195.1 NIa-Pro protein [Sweet potato virus 2] -YP_006423992.1 NIa-Pro protein [Chilli ringspot virus] -YP_006423980.1 NIa-Pro protein [Celery mosaic virus] -YP_006423954.1 NIa-Pro protein [Bidens mottle virus] -YP_006401487.1 NIa-Pro protein [Pepper severe mosaic virus] -YP_006395346.1 NIa-Pro protein [Pennisetum mosaic virus] -YP_006390074.1 Nia-Pro [Cyrtanthus elatus virus A] -YP_006390064.1 Nia-Pro [Hippeastrum mosaic virus] -YP_006280738.1 NIa protein [Tomato necrotic stunt virus] -YP_004123949.1 NIa [Lupinus mosaic virus] -YP_004123961.1 NIa-Pro [Apium virus Y] -YP_004046677.1 NIa-Pro [Sweet potato virus C] -YP_003902967.1 NIa-Pro [Brugmansia suaveolens mottle virus] -YP_003725726.1 NIa-Pro [Panax virus Y] -YP_003582552.1 nuclear inclusion A [Sunflower chlorotic mottle virus] -YP_003208058.1 NIa-Pro [Canna yellow streak virus] -YP_002539449.1 NIa [Pepper veinal mottle virus] -YP_002308562.1 NIa protease [Narcissus yellow stripe virus] -YP_001936194.1 protease [Algerian watermelon mosaic virus] -YP_001936185.1 NIa [Verbena virus Y] -YP_001816853.1 NIa-Pro protein [Wild tomato mosaic virus] -YP_001718529.1 NIa-Pro protein [Banana bract mosaic virus] -YP_001552428.1 NIa-Pro protease [Moroccan watermelon mosaic virus] -YP_001552419.1 NIa-Pro [Tobacco vein banding mosaic virus] -YP_001019195.1 nuclear inclusion A protease [Narcissus degeneration virus] -YP_611118.1 NIa protein [Daphne mosaic virus] -YP_529497.1 NIa-Pro [Konjac mosaic virus] -YP_331421.1 NIa protease [Shallot yellow stripe virus] -YP_254721.1 NIa protease [Thunberg fritillary mosaic virus] -YP_063393.1 NIa-Pro [Hordeum mosaic virus] -YP_054399.1 NIa-Pro [Agropyron mosaic virus] -YP_022761.1 NIa-Pro protein [Yam mosaic virus] -NP_982342.1 nuclear inclusion protein A [Chilli veinal mottle virus] -NP_945143.1 NIa-Pro [Lily mottle virus] -NP_871745.1 NIa-Pro protein [Onion yellow dwarf virus] -NP_871735.1 NIa-Pro [Papaya leaf distortion mosaic virus] -NP_818992.1 NIa-Pro protein [Peru tomato mosaic virus] -NP_818993.1 NIa-Pro protein [Wild potato mosaic virus] -NP_734396.1 NIa-Pro protein [Cocksfoot streak virus] -NP_734130.1 NIa-Pro protein [Scallion mosaic virus] -NP_734100.1 NIa-Pro protein [Leek yellow stripe virus] -NP_734230.1 NIa-Pro protein [Japanese yam mosaic virus] -NP_734326.1 NIa-Pro protein [Ryegrass mosaic virus] -NP_734376.1 NIa-Pro protein [Potato virus V] -NP_734090.1 NIa-Pro protein [Sorghum mosaic virus] -NP_734405.1 NIa-Pro protein [Johnsongrass mosaic virus] -NP_734316.1 NIa-Pro protein [Sweet potato feathery mottle virus] -NP_734220.1 NIa-Pro protein [Turnip mosaic virus] -NP_734334.1 NIa-Pro protein [Tobacco vein mottling virus] -NP_734212.1 NIa-Pro protein [Tobacco etch virus] -NP_734140.1 NIa-Pro protein [Sugarcane mosaic virus] -NP_734248.1 NIa-Pro protein [Potato virus Y] -NP_734366.1 NIa-Pro protein [Potato virus A] -NP_734346.1 NIa-Pro protein [Plum pox virus] -NP_734438.1 NIa-Pro protein [Pepper mottle virus] -NP_734426.1 NIa-Pro protein [Pea seed-borne mosaic virus] -NP_734240.1 NIa-Pro protein [Papaya ringspot virus] -NP_734150.1 NIa-Pro (Nuclear inclusion protein, protease) [Maize dwarf mosaic virus] -NP_734160.1 NIa-Pro protein [Lettuce mosaic virus] -NP_734170.1 NIa-Pro protein [Clover yellow vein virus] -NP_734180.1 NIa-Pro protein [Bean yellow mosaic virus] diff --git a/seq/clusters_info/cluster_160 b/seq/clusters_info/cluster_160 deleted file mode 100644 index 8992dbc..0000000 --- a/seq/clusters_info/cluster_160 +++ /dev/null @@ -1,31 +0,0 @@ -YP_009551540.1 VP1 protein [Bat rotavirus] -YP_008145313.1 VP1 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896046.1 VP1 [Rotavirus D chicken/05V0049/DEU/2005] -YP_392464.1 structural protein VP1 [Rotavirus C] -sp|P26190.1|RDRP_ROTPC RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|A7J3A6.1|RDRP_ROTHD RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|A7J3A2.1|RDRP_ROTYO RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|B1NKU1.1|RDRP_ROTWI RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|B2BMF7.1|RDRP_ROTW3 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|B3F2X5.1|RDRP_ROTTU RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|B1NKT7.1|RDRP_ROTHT RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|A7J390.1|RDRP_ROTHS RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|B1NKS9.1|RDRP_ROTHP RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|A3DSK5.1|RDRP_ROTHL RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|B1NKQ9.1|RDRP_ROTH7 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|B2BMQ6.1|RDRP_ROTH6 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|A4ZCW2.1|RDRP_ROTH3 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|A7J398.1|RDRP_ROTBN RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|Q3ZK55.1|RDRP_ROT41 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|Q9QNB3.1|RDRP_ROTHK RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|Q85036.1|RDRP_ROTPY RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|P17699.1|RDRP_ROTPG RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|P21615.1|RDRP_ROTBU RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -AGO57775.1 VP1 [Porcine rotavirus] -pdb|2R7Q|A Chain A, RNA-dependent RNA polymerase -AFX61456.1 RNA-dependent RNA polymerase [Human rotavirus A] -AGA19160.1 VP1 [Rotavirus A pheasant-tc/GER/10V0112H5/2010/G23P[37]] -ANS59539.1 VP1 [Rotavirus A] -BAU80848.1 VP1 protein [Human rotavirus C] -AKK52481.1 VP1 [Rotavirus A] -AHZ32852.1 RNA-dependent RNA polymerase VP1 [Rotavirus A] diff --git a/seq/clusters_info/cluster_161 b/seq/clusters_info/cluster_161 deleted file mode 100644 index 6bd2ddc..0000000 --- a/seq/clusters_info/cluster_161 +++ /dev/null @@ -1,31 +0,0 @@ -YP_010086773.1 glycoprotein [Taiwan bat lyssavirus] -YP_009325517.1 glycoprotein [Gannoruwa bat lyssavirus] -YP_009325415.1 glycoprotein [Lleida bat lyssavirus] -YP_009094487.1 glycoprotein [Lyssavirus Ozernoe] -YP_009094330.1 glycoprotein [Khujand lyssavirus] -YP_009094271.1 glycoprotein [West Caucasian bat lyssavirus] -YP_009094182.1 glycoprotein [Shimoni bat lyssavirus] -YP_009091812.1 glycoprotein [Bokeloh bat lyssavirus] -YP_007641405.1 glycoprotein [Duvenhage lyssavirus] -YP_007641400.1 glycoprotein [Irkut lyssavirus] -YP_007641395.1 glycoprotein [Aravan lyssavirus] -YP_007641390.1 glycoprotein [Lagos bat lyssavirus] -YP_006742183.1 glycoprotein [Ikoma lyssavirus] -YP_001285396.1 glycoprotein [European bat 2 lyssavirus] -YP_001285391.1 glycoprotein [European bat 1 lyssavirus] -YP_142353.1 transmembrane glycoprotein G [Mokola lyssavirus] -NP_478342.1 glycoprotein [Australian bat lyssavirus] -NP_056796.1 transmembrane glycoprotein G [Rabies lyssavirus] -sp|Q08089.1|GLYCO_RABVV RecName: Full=Glycoprotein; Flags: Precursor -sp|P15199.2|GLYCO_RABVA RecName: Full=Glycoprotein; Flags: Precursor -sp|A3RM22.1|GLYCO_RABVI RecName: Full=Glycoprotein; Flags: Precursor -sp|Q0GBY1.1|GLYCO_RABVR RecName: Full=Glycoprotein; Flags: Precursor -sp|Q0GBX6.1|GLYCO_RABVD RecName: Full=Glycoprotein; Flags: Precursor -sp|Q9IPJ6.1|GLYCO_RABVN RecName: Full=Glycoprotein; Flags: Precursor -sp|Q91C28.1|GLYCO_DUVV RecName: Full=Glycoprotein; Flags: Precursor -sp|Q8JTH0.1|GLYCO_ABLVH RecName: Full=Glycoprotein; Flags: Precursor -sp|Q8BDV6.1|GLYCO_LBV RecName: Full=Glycoprotein; Flags: Precursor -sp|Q66T62.1|GLYCO_RABVB RecName: Full=Glycoprotein; Flags: Precursor -sp|P32550.1|GLYCO_RABVT RecName: Full=Glycoprotein; Flags: Precursor -sp|P16288.1|GLYCO_RABVS RecName: Full=Glycoprotein; Flags: Precursor -sp|P19462.1|GLYCO_RABVH RecName: Full=Glycoprotein; Flags: Precursor diff --git a/seq/clusters_info/cluster_162 b/seq/clusters_info/cluster_162 deleted file mode 100644 index 160f07b..0000000 --- a/seq/clusters_info/cluster_162 +++ /dev/null @@ -1,31 +0,0 @@ -YP_009553015.2 movement protein [Opuntia virus 2] -YP_009362089.1 MP [Hoya chlorotic spot virus] -YP_009507842.1 movement protein [Tobacco latent virus] -YP_009254749.1 movement protein [Tropical soda apple mosaic virus] -YP_009182170.1 30 kDa movement protein [Tomato brown rugose fruit virus] -YP_008802586.1 movement protein [Yellow tailflower mild mottle virus] -YP_008492930.1 29.8 kDa movement protein [Tomato mottle mosaic virus] -YP_005476602.1 movement protein [Ribgrass mosaic virus] -YP_001974325.1 movement protein [Brugmansia mild mottle virus] -YP_001333652.1 movement protein [Bell pepper mottle virus] -YP_001041891.1 30 kDa protein [Rehmannia mosaic virus] -YP_762619.1 movement protein [Streptocarpus flower break virus] -NP_740758.1 movement protein [Youcai mosaic virus] -NP_671720.1 30kDa protein [Paprika mild mottle virus] -NP_620843.1 30K protein [Obuda pepper virus] -NP_619742.1 movement protein [Pepper mild mottle virus] -NP_597748.1 Movement protein [Tobacco mosaic virus] -NP_543051.1 unnamed protein product [Wasabi mottle virus] -NP_078448.1 unnamed protein product [Tomato mosaic virus] -NP_062915.1 28.5 kDa protein [Tobacco mild green mosaic virus] -NP_046153.1 unnamed protein product [Turnip vein-clearing virus] -NP_056811.1 34KDa movement protein [Odontoglossum ringspot virus] -sp|Q9YJQ9.1|MVP_TOMS1 RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|Q84135.1|MVP_ORSVS RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|P18338.2|MVP_TMGMV RecName: Full=Movement protein; AltName: Full=28.5 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|Q98746.1|MVP_TMVRA RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|Q9QDI8.1|MVP_RMV RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|P29800.1|MVP_TOML2 RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|P29799.1|MVP_TOMLA RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|O91275.1|MVP_TMVB RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -sp|P03582.1|MVP_TMVOM RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein; Contains: RecName: Full=27.9 kDa protein; Contains: RecName: Full=25.3 kDa protein; Contains: RecName: Full=19.5 kDa protein diff --git a/seq/clusters_info/cluster_163 b/seq/clusters_info/cluster_163 deleted file mode 100644 index eb546fa..0000000 --- a/seq/clusters_info/cluster_163 +++ /dev/null @@ -1,31 +0,0 @@ -YP_009551624.1 outer capsid protein VP5 [Guangxi orbivirus] -YP_009507723.1 VP5 [Orungo virus] -YP_009507710.1 VP5 [Lebombo virus] -YP_009507699.1 VP5 [Eubenangee virus] -YP_009507690.1 VP5 [Equine encephalosis virus] -YP_009507736.1 VP5 [Warrego virus] -YP_009507684.1 VP5 protein [Corriparta virus] -YP_009345884.1 VP6 [Orbivirus SX-2017a] -YP_009158906.1 outer capsid protein 2 [Chobar Gorge virus] -YP_009158894.1 outer capsid protein 2 [Chenuda virus] -YP_009158887.1 outer capsid protein 2 [Wad Medani virus] -YP_009047263.1 VP5 [Umatilla virus] -YP_008719928.1 outer capsid protein [Changuinola virus] -YP_008719914.1 VP5 [Mobuck virus] -YP_008658424.1 VP5 [Wallal virus] -YP_003896063.1 VP5 [Great Island virus] -YP_003240113.1 VP5 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_460042.1 VP5 [Peruvian horse sickness virus] -YP_443930.1 VP5 [Yunnan orbivirus] -YP_052963.1 capsid protein 5 [African horse sickness virus] -YP_052946.1 VP5 [St Croix River virus] -YP_052932.1 outer capsid protein VP5 [Palyam virus] -YP_052955.1 capsid protein VP5 [Bluetongue virus] -sp|P33475.1|VP5_BTV1S RecName: Full=Outer capsid protein VP5 -sp|P30209.1|VP5_BTV2A RecName: Full=Outer capsid protein VP5 -sp|P12436.1|VP5_BTV1A RecName: Full=Outer capsid protein VP5 -sp|P21230.1|VP5_BRD RecName: Full=Outer capsid protein VP5 -sp|P69363.1|VP5_BTV11 RecName: Full=Outer capsid protein VP5 -sp|Q96597.1|VP5_AHSV9 RecName: Full=Outer capsid protein VP5 -sp|O71026.1|VP5_AHSV6 RecName: Full=Outer capsid protein VP5 -sp|P25179.1|VP5_BTV13 RecName: Full=Outer capsid protein VP5 diff --git a/seq/clusters_info/cluster_164 b/seq/clusters_info/cluster_164 deleted file mode 100644 index 461299f..0000000 --- a/seq/clusters_info/cluster_164 +++ /dev/null @@ -1,30 +0,0 @@ -YP_009001465.1 non-structural polyprotein [Avian hepatitis E virus] -YP_009553650.1 nonstructural protein [Rana hepevirus] -YP_009506650.1 polyprotein [Hepatitis E virus rat/R63/DEU/2009] -YP_009337389.1 replicase [Shuangao alphatetra-like virus 1] -YP_009336814.1 hypothetical protein [Hubei hepe-like virus 2] -YP_006576507.1 nonstructural polyprotein [Orthohepevirus D] -YP_004464917.1 polyprotein [Cutthroat trout virus] -NP_056779.1 polyprotein [Orthohepevirus A] -sp|Q9YLR1.1|POLN_HEVUS RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -sp|Q03495.1|POLN_HEVME RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -sp|Q04610.1|POLN_HEVMY RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -sp|P29324.1|POLN_HEVBU RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -sp|Q9WC28.1|POLN_HEVHY RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -sp|Q9IVZ9.1|POLN_HEVCT RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -sp|Q6J8G2.1|POLN_HEVMG RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -AII01815.1 polyprotein, partial [Tick borne tetravirus-like virus] -AHC70111.2 putative nonstructural polyprotein [Orthohepevirus A] -AEW87504.1 non-structural polyprotein [Orthohepevirus A] -AEX93357.1 non-structural polyprotein [Avian hepatitis E virus] -BAV83005.1 non-structural polyprotein [Orthohepevirus A] -AIF74285.1 nonstructural polyprotein [Bat hepevirus] -AHC54587.1 polyprotein [Orthohepevirus A] -ANJ02843.1 non-structural polyprotein [Orthohepevirus A] -BAO31621.1 non-structural polyprotein [Orthohepevirus A] -ABU98319.1 ORF1 [Orthohepevirus A] -BAT70058.1 polyprotein [Ferret hepatitis E virus] -YP_009350098.1 nonstructural polyprotein [Orthohepevirus D] -BAN57590.1 polyprotein [Orthohepevirus A] -APA34844.1 non-structural polyprotein [Orthohepevirus A] -ANN23868.1 polyprotein [Orthohepevirus A] diff --git a/seq/clusters_info/cluster_165 b/seq/clusters_info/cluster_165 deleted file mode 100644 index 90ac746..0000000 --- a/seq/clusters_info/cluster_165 +++ /dev/null @@ -1,30 +0,0 @@ -YP_010086774.1 RNA-dependent RNA polymerase [Taiwan bat lyssavirus] -YP_009325518.1 RNA-dependent RNA polymerase [Gannoruwa bat lyssavirus] -YP_009325416.1 RNA-dependent RNA polymerase [Lleida bat lyssavirus] -YP_009204560.1 L protein [Fox fecal rhabdovirus] -YP_009094488.1 polymerase [Lyssavirus Ozernoe] -YP_009094331.1 polymerase [Khujand lyssavirus] -YP_009094272.1 polymerase [West Caucasian bat lyssavirus] -YP_009094183.1 polymerase protein [Shimoni bat lyssavirus] -YP_009091813.1 polymerase [Bokeloh bat lyssavirus] -YP_007641406.1 polymerase [Duvenhage lyssavirus] -YP_007641401.1 polymerase [Irkut lyssavirus] -YP_007641396.1 polymerase [Aravan lyssavirus] -YP_007641391.1 polymerase [Lagos bat lyssavirus] -YP_006742184.1 polymerase [Ikoma lyssavirus] -YP_001285397.1 L protein [European bat 2 lyssavirus] -YP_001285392.1 L protein [European bat 1 lyssavirus] -YP_142354.1 L protein [Mokola lyssavirus] -NP_478343.1 L protein [Australian bat lyssavirus] -NP_056797.1 L protein [Rabies lyssavirus] -sp|Q9IPJ5.1|L_RABVN RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q8JTG9.1|L_ABLVH RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|A3RM23.1|L_RABVI RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q0GBY0.1|L_RABVR RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q0GBX5.1|L_RABVD RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q8B6J5.1|L_RABVH RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q66T60.1|L_RABVB RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|P16289.1|L_RABVS RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -ANJ04752.1 RNA-dependent RNA polymerase [Rabies lyssavirus] -AIF74284.1 polymerase, partial [Bat rhabdovirus] -ABZ81211.1 polymerase [Mokola lyssavirus] diff --git a/seq/clusters_info/cluster_166 b/seq/clusters_info/cluster_166 deleted file mode 100644 index fea0bcf..0000000 --- a/seq/clusters_info/cluster_166 +++ /dev/null @@ -1,30 +0,0 @@ -YP_009553638.1 polyprotein [Culex Iflavi-like virus 4] -YP_009553231.1 polyprotein [Culex Iflavi-like virus 4] -YP_009552768.1 polyprotein [Culex Iflavi-like virus 1] -YP_009552017.1 polyprotein [Culex Iflavi-like virus 4] -YP_009505598.1 polypeptide [Lygus lineolaris virus 1] -YP_009337750.1 hypothetical protein [Hubei picorna-like virus 41] -YP_009337684.1 hypothetical protein [Hubei odonate virus 5] -YP_009337676.1 hypothetical protein [Hubei picorna-like virus 37] -YP_009337666.1 hypothetical protein [Hubei picorna-like virus 35] -YP_009337665.1 hypothetical protein [Sanxia water strider virus 8] -YP_009337152.1 hypothetical protein [Hubei picorna-like virus 34] -YP_009337117.1 hypothetical protein [Hubei picorna-like virus 38] -YP_009337088.1 hypothetical protein [Hubei mosquito virus 1] -YP_009336629.1 hypothetical protein [Hubei arthropod virus 1] -YP_009336612.1 hypothetical protein [Hubei picorna-like virus 39] -YP_009336566.1 hypothetical protein [Hubei picorna-like virus 36] -YP_009336565.1 hypothetical protein 2 [Hubei picorna-like virus 42] -YP_009336539.1 hypothetical protein [Hubei picorna-like virus 40] -YP_009333563.1 hypothetical protein [Beihai picorna-like virus 107] -YP_009140562.1 putative polyprotein [La Jolla virus] -YP_008719809.1 polyprotein [Halyomorpha halys virus] -NP_049374.1 polyprotein [Sacbrood virus] -ACN94443.1 RNA-dependent RNA polymerase, partial [Nasonia vitripennis virus] -ADR79389.1 polyprotein, partial [Picorna-like virus Eptesicus fuscus/P1/InV1/IT/USA/2009] -APD13905.1 polyprotein, partial [Xysticus cristatus iflavirus] -APF29088.1 polyprotein [Diabrotica virgifera virgifera virus 1] -APG78457.1 hypothetical protein [Hubei picorna-like virus 42] -AHL96303.1 polyprotein [Sacbrood virus] -YP_009315872.1 polyprotein [Bradson virus] -AEM65163.1 polyprotein, partial [Tomato matilda virus] diff --git a/seq/clusters_info/cluster_167 b/seq/clusters_info/cluster_167 deleted file mode 100644 index 1e1d47d..0000000 --- a/seq/clusters_info/cluster_167 +++ /dev/null @@ -1,30 +0,0 @@ -YP_009259667.1 P95 replicase [Pelargonium leaf curl virus] -NP_612580.2 replicase [Carnation Italian ringspot virus] -YP_009407913.1 RNA directed-RNA polymerase [Rice virus A] -YP_009345057.1 hypothetical protein 2 [Xinzhou nematode virus 7] -YP_009337657.1 hypothetical protein 2 [Changjiang tombus-like virus 11] -YP_009337434.1 hypothetical protein 1 [Sanxia tombus-like virus 3] -YP_009336773.1 hypothetical protein 1 [Hubei tombus-like virus 10] -YP_009336562.1 hypothetical protein 1 [Hubei tombus-like virus 9] -YP_459920.2 p89 protein [Maize necrotic streak virus] -YP_009037606.1 replicase [Moroccan pepper virus] -YP_009032639.1 replicase [Cucumber leaf spot virus] -YP_009032634.1 replicase [Pothos latent virus] -YP_008999611.1 RNA polymerase [Eggplant mottled crinkle virus] -YP_008828157.1 RNA dependent RNA polymerase [Yam spherical virus] -YP_002308429.1 RNA-depentent RNA polymerase [Grapevine Algerian latent virus] -YP_001285474.1 unnamed protein product [Maize white line mosaic virus] -NP_758810.3 82K protein [Beet black scorch virus] -NP_945128.1 replicase [Johnsongrass chlorotic stripe mosaic virus] -NP_945114.1 putative replicase [Pelargonium necrotic spot virus] -NP_835253.1 RNA polymerase [Cucumber Bulgarian latent virus] -NP_040953.2 replicase [Cucumber necrosis virus] -NP_613260.1 RNA-dependent RNA polymerase [Cymbidium ringspot virus] -NP_608311.1 82 kDa protein [Tobacco necrosis virus D] -NP_062897.1 p92 protein [Tomato bushy stunt virus] -NP_044740.1 replicase [Leek white stripe virus] -NP_039808.1 protein of 92 kDa [Artichoke mottled crinkle virus] -sp|P27209.3|RDRP_TNVD RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein p82; Contains: RecName: Full=Protein p23 -sp|Q9IWA0.1|RDRP_POLVP RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein p84; Contains: RecName: Full=Protein p25 -ABO18577.1 RNA-dependent RNA polymerase [Beet black scorch virus] -ABD34316.1 replicase, partial [Sesame necrotic mosaic virus] diff --git a/seq/clusters_info/cluster_168 b/seq/clusters_info/cluster_168 deleted file mode 100644 index 474af29..0000000 --- a/seq/clusters_info/cluster_168 +++ /dev/null @@ -1,30 +0,0 @@ -YP_009551537.1 VP6 protein [Bat rotavirus] -YP_008145323.1 VP6 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896051.1 VP6 [Rotavirus D chicken/05V0049/DEU/2005] -YP_002302229.1 VP6 [Rotavirus A] -YP_392512.1 major inner capsid protein [Rotavirus C] -sp|P14162.2|VP6_ROTPC RecName: Full=Intermediate capsid protein VP6 -sp|P18610.1|VP6_ROTBU RecName: Full=Intermediate capsid protein VP6 -sp|P04509.2|VP6_ROTRF RecName: Full=Intermediate capsid protein VP6 -sp|Q86219.1|VP6_ROTLP RecName: Full=Intermediate capsid protein VP6 -sp|Q6PMI4.1|VP6_ROTTU RecName: Full=Intermediate capsid protein VP6 -sp|Q00734.1|VP6_ROTBS RecName: Full=Intermediate capsid protein VP6 -sp|P03530.1|VP6_ROTHW RecName: Full=Intermediate capsid protein VP6 -sp|A7J3A8.1|VP6_ROTHD RecName: Full=Intermediate capsid protein VP6 -sp|A4ZCW7.1|VP6_ROTH3 RecName: Full=Intermediate capsid protein VP6 -sp|A7J3A5.1|VP6_ROTYO RecName: Full=Intermediate capsid protein VP6 -sp|B1NKU4.1|VP6_ROTWI RecName: Full=Intermediate capsid protein VP6 -sp|B3STR0.1|VP6_ROTCC RecName: Full=Intermediate capsid protein VP6 -sp|Q3ZK59.1|VP6_ROT41 RecName: Full=Intermediate capsid protein VP6 -sp|Q9QNB0.1|VP6_ROTHK RecName: Full=Intermediate capsid protein VP6 -sp|Q91N61.1|VP6_ROTP5 RecName: Full=Intermediate capsid protein VP6 -sp|Q91N56.1|VP6_ROTBB RecName: Full=Intermediate capsid protein VP6 -sp|Q8JXK7.1|VP6_ROTB9 RecName: Full=Intermediate capsid protein VP6 -sp|Q89601.1|VP6_ROTEO RecName: Full=Intermediate capsid protein VP6 -sp|Q86347.1|VP6_ROTEL RecName: Full=Intermediate capsid protein VP6 -sp|Q86345.1|VP6_ROTE2 RecName: Full=Intermediate capsid protein VP6 -sp|Q86341.1|VP6_ROTA1 RecName: Full=Intermediate capsid protein VP6 -sp|P89043.1|VP6_ROTP3 RecName: Full=Intermediate capsid protein VP6 -sp|P87723.1|VP6_ROTHU RecName: Full=Intermediate capsid protein VP6 -sp|Q06386.1|VP6_ROTPY RecName: Full=Intermediate capsid protein VP6 -sp|P16593.1|VP6_ROTPG RecName: Full=Intermediate capsid protein VP6 diff --git a/seq/clusters_info/cluster_169 b/seq/clusters_info/cluster_169 deleted file mode 100644 index 16b1e68..0000000 --- a/seq/clusters_info/cluster_169 +++ /dev/null @@ -1,30 +0,0 @@ -YP_009553728.1 glycop C [Kampung Karu virus] -YP_009513190.1 polyprotein [Royal Farm virus] -YP_009513189.1 polyprotein [Kyasanur Forest disease virus] -YP_009350101.1 polyprotein [Phnom Penh bat virus] -YP_009345037.1 polyprotein [Saumarez Reef virus] -YP_009345035.1 polyprotein [Kadam virus] -YP_009345034.1 polyprotein [Gadgets Gully virus] -YP_009345031.1 polyprotein [Meaban virus] -YP_009162613.1 polyprotein [Spanish goat encephalitis virus] -YP_009126871.1 polyprotein [Jutiapa virus] -YP_009001771.1 polyprotein [Kama virus] -YP_009001464.1 polyprotein [Tyuleniy virus] -NP_878909.1 polyprotein [Omsk hemorrhagic fever virus] -NP_689391.1 polyprotein [Montana myotis leukoencephalitis virus] -NP_620108.1 polyprotein [Langat virus] -NP_620099.1 polyprotein [Powassan virus] -NP_620044.1 polyprotein [Rio Bravo virus] -NP_620045.1 polyprotein [Apoi virus] -NP_619758.1 polyprotein [Modoc virus] -NP_044677.1 polyprotein [Louping ill virus] -NP_043135.1 polyprotein [Tick-borne encephalitis virus] -sp|Q91B85.1|POLG_ALKV RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q01299.1|POLG_TBEVH RecName: Full=Genome polyprotein; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|P07720.3|POLG_TBEVS RecName: Full=Genome polyprotein; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q02478.1|POLG_LIVN1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -sp|P35764.1|POLG_LIV31 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -AAL32166.1 polyprotein, partial [Powassan virus] -AJA91181.1 polyprotein [Batu Cave virus] -AKC88489.1 polyprotein [Tick-borne encephalitis virus] -ABE73208.1 polyprotein precursor [Karshi virus] diff --git a/seq/clusters_info/cluster_17 b/seq/clusters_info/cluster_17 deleted file mode 100644 index dd9c9c5..0000000 --- a/seq/clusters_info/cluster_17 +++ /dev/null @@ -1,82 +0,0 @@ -YP_009118625.1 nonstructural protein 1 [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118480.1 nonstructural protein 1 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308870.1 nonstructural protein 1 [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308845.1 nonstructural protein 1 [Influenza A virus (A/New York/392/2004(H3N2))] -YP_308673.1 nonstructural protein 1 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -NP_859034.1 nonstructural protein 1 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -NP_040984.1 nonstructural protein 1 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|P08276.2|NS1_I71A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P08272.2|NS1_I56A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q20PL8.1|NS1_I79A7 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q20NN7.1|NS1_I80A8 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q0A442.1|NS1_I49A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q0A2I1.1|NS1_I83A5 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O41665.1|NS1_I83A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O41647.1|NS1_I66A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q67256.1|NS1_I72A5 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O57306.1|NS1_I80AC RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O57276.1|NS1_I88A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O57267.1|NS1_I80AD RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P69278.1|NS1_I000W RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P08278.2|NS1_I61A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P30912.1|NS1_I72A6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P30911.1|NS1_I63A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P13142.1|NS1_I78AA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P08268.1|NS1_I76AM RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P03500.1|NS1_I34A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A3DRP6.1|NS1_I96A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A4GCK3.1|NS1_I80AA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A4GBY3.1|NS1_I77AA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A8C8W9.1|NS1_I67A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A4U6V8.1|NS1_I45A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A4GCL4.1|NS1_I43A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A4GCM5.1|NS1_I35A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|A8C8K0.1|NS1_I07A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O09687.2|NS1_I73A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P36349.2|NS1_I02A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q38SQ2.1|NS1_I83A8 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q30NP5.1|NS1_I75A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q20NB9.1|NS1_I56A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q2VNC7.1|NS1_I78A8 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q1PUD3.1|NS1_I73A5 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q1K9P7.1|NS1_I57A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q0HD54.1|NS1_I40A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q2F4N6.1|NS1_I05A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q289M1.1|NS1_I00A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q288Z0.1|NS1_I77A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q2RFA0.1|NS1_I76A6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q0PDM0.1|NS1_I000X RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q3YPY9.1|NS1_I71A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6DP70.1|NS1_I02A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6DP64.1|NS1_I02A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6DP62.1|NS1_I02A6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6DP37.1|NS1_I02A7 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6DP28.1|NS1_I03A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6XTD8.1|NS1_I67A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6XSW4.1|NS1_I69A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O89748.1|NS1_I97A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O56264.1|NS1_I97A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q9YPE7.1|NS1_I84A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q9WA92.1|NS1_I86A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q99AU3.1|NS1_I18A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q8QPI8.1|NS1_I00A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q6J880.1|NS1_I03A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q67253.1|NS1_I89A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q04262.1|NS1_I89A7 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O92564.1|NS1_I61A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O92551.1|NS1_I56A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|O92548.1|NS1_I77AG RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q82506.1|NS1_I33A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q809Y0.1|NS1_I01A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q809X8.1|NS1_I01A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q809X2.1|NS1_I01A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|Q77ZM3.1|NS1_I91A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P69279.1|NS1_I50A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P18295.1|NS1_I30A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P13140.2|NS1_I79A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P13139.2|NS1_I78A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P08270.1|NS1_I63A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P08274.1|NS1_I24A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P11618.2|NS1_I83A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P21431.1|NS1_I60A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P26148.1|NS1_I82A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A diff --git a/seq/clusters_info/cluster_170 b/seq/clusters_info/cluster_170 deleted file mode 100644 index d33344d..0000000 --- a/seq/clusters_info/cluster_170 +++ /dev/null @@ -1,29 +0,0 @@ -YP_138534.1 L polymerase [Pichinde virus] -YP_089660.1 L protein [Sabia virus] -YP_010086249.1 RNA-dependent RNA polymerase [Xapuri virus] -YP_009553324.1 RNA polymerase [Apore mammarenavirus] -YP_009345128.1 RNA-dependent RNA polymerase [Hubei myriapoda virus 5] -YP_001936028.1 L protein [Parana virus] -YP_001936026.1 L protein [Latino mammarenavirus] -YP_001936024.1 L protein [Flexal mammarenavirus] -YP_001911120.1 RNA-dependent RNA polymerase [Whitewater Arroyo mammarenavirus] -YP_001911118.1 RNA-dependent RNA polymerase [Tamiami mammarenavirus] -YP_001816785.1 L polymerase [Chapare mammarenavirus] -YP_001649218.1 L protein [Cupixi mammarenavirus] -YP_001649225.1 RNA-dependent RNA polymerase [Bear Canyon mammarenavirus] -YP_001649212.1 L protein [Allpahuayo mammarenavirus] -YP_001649216.1 L protein [Amapari virus] -YP_001649214.1 L protein [Oliveros mammarenavirus] -YP_025093.1 L protein [Pirital mammarenavirus] -NP_899221.1 L protein [Guanarito mammarenavirus] -NP_899215.1 L protein [Machupo mammarenavirus] -NP_899217.1 L protein [Argentinian mammarenavirus] -NP_694848.1 L protein [Tacaribe mammarenavirus] -sp|Q6XQI4.2|L_JUNIN RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -sp|Q6IUF8.1|L_MACHU RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -sp|B2ZDY2.1|L_WWAVU RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -AMZ00406.1 RNA-directed RNA polymerase L [Machupo mammarenavirus] -AMZ00453.1 RNA-directed RNA polymerase L [Guanarito mammarenavirus] -ABU39911.1 L polymerase [Pichinde virus] -ALE15105.1 RNA-directed RNA polymerase L [Argentinian mammarenavirus] -AAP44539.2 L protein [Bear Canyon mammarenavirus] diff --git a/seq/clusters_info/cluster_171 b/seq/clusters_info/cluster_171 deleted file mode 100644 index 4a63d30..0000000 --- a/seq/clusters_info/cluster_171 +++ /dev/null @@ -1,29 +0,0 @@ -YP_009755891.1 ORF3 protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -YP_009256198.1 non-structural protein 3c [Ferret coronavirus] -YP_006908643.1 non-structural protein NS3 [Rousettus bat coronavirus HKU10] -YP_001718613.1 ORF3 protein [Miniopterus bat coronavirus HKU8] -YP_001552237.1 hypothetical protein BCHV2_gp4 [Rhinolophus bat coronavirus HKU2] -YP_001351685.1 putative ORF3 [Scotophilus bat coronavirus 512] -YP_009194640.1 ORF4 [Camel alphacoronavirus] -YP_003768.1 protein 3 [Human coronavirus NL63] -NP_073553.1 4b protein [Human coronavirus 229E] -NP_073552.1 4a protein [Human coronavirus 229E] -YP_009824968.1 ORF3 protein [NL63-related bat coronavirus] -YP_009380522.1 ORF3 [Coronavirus AcCoV-JC34] -YP_009328936.1 ORF3 protein [NL63-related bat coronavirus] -YP_009201731.1 hypothetical protein ORF3 [BtNv-AlphaCoV/SC2013] -YP_009200736.1 hypothetical protein ORF3 [BtRf-AlphaCoV/YN2012] -YP_009199791.1 hypothetical protein ORF3 [BtRf-AlphaCoV/HuB2013] -YP_009199610.1 hypothetical protein ORF3 [BtMr-AlphaCoV/SAX2011] -YP_009199244.1 non-structural protein 3b [Swine enteric coronavirus] -YP_009199243.1 non-structural protein 3a [Swine enteric coronavirus] -YP_009019183.1 non-structural protein 3c [Mink coronavirus strain WD1127] -YP_008439203.1 non-structural protein 3 [Bat coronavirus CDPHE15/USA/2006] -YP_001718606.1 ORF3 protein [Bat coronavirus 1A] -NP_598311.1 hypothetical protein PEDVgp3 [Porcine epidemic diarrhea virus] -sp|Q7T6T1.1|NS3B_CVCBG RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Protein X2 -sp|P24414.1|NS3B_CVPRM RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Non-structural protein 3-1 -sp|P22656.1|NS3B_CVPFS RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Non-structural protein 3-1; AltName: Full=X2b protein -sp|P09047.2|NS3B_CVPPU PUTATIVE PSEUDOGENE: RecName: Full=Putative non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Non-structural protein 3-1; AltName: Full=X2b protein -sp|P0C545.1|NS3B_FIPV PUTATIVE PSEUDOGENE: RecName: Full=Putative truncated non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -sp|P36695.2|NS3B_CVCAI PUTATIVE PSEUDOGENE: RecName: Full=Putative truncated non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b diff --git a/seq/clusters_info/cluster_172 b/seq/clusters_info/cluster_172 deleted file mode 100644 index 5d95c81..0000000 --- a/seq/clusters_info/cluster_172 +++ /dev/null @@ -1,29 +0,0 @@ -YP_009553255.1 replicase [Culex pipiens-associated Tunisia virus] -YP_009552461.1 replicase protein [Nephila clavipes virus 4] -YP_009408586.1 polyprotein [Abisko virus] -YP_009345041.1 hypothetical protein [Xinzhou nematode virus 1] -YP_009345038.1 RdRp [Xingshan nematode virus 2] -YP_009342329.1 RdRp [Wuhan heteroptera virus 1] -YP_009337715.1 RdRp [Hubei virga-like virus 17] -YP_009337693.1 RdRp [Hubei virga-like virus 15] -YP_009337439.1 RdRp [Hubei virga-like virus 23] -YP_009337423.1 hypothetical protein [Hubei virga-like virus 1] -YP_009337412.1 RdRp [Hubei virga-like virus 2] -YP_009337242.1 hypothetical protein [Hubei virga-like virus 11] -YP_009336762.1 RdRp [Sanxia atyid shrimp virus 1] -YP_009336677.1 RdRp [Hubei virga-like virus 16] -YP_009336553.1 RdRp [Hubei virga-like virus 9] -YP_009336481.1 ORF1 [Nesidiocoris tenuis virus] -YP_009336476.1 ORF1 [Adelphocoris suturalis virus] -YP_009333286.1 RdRp [Hubei virga-like virus 18] -YP_009333208.1 RdRp [Shayang virga-like virus 1] -YP_009333310.1 hypothetical protein [Xingshan nematode virus 1] -YP_009333202.1 hypothetical protein [Beihai anemone virus 1] -APG77732.1 hypothetical protein, partial [Hubei virga-like virus 14] -APG77802.1 hypothetical protein [Hubei virga-like virus 10] -APG77733.1 hypothetical protein [Hubei virga-like virus 13] -AMO03223.1 polyprotein [Muthill virus] -APG77702.1 hypothetical protein [Hubei virga-like virus 19] -AMO03225.1 putative polyprotein [Marsac virus] -AMO03220.1 putative polyprotein, partial [Blackford virus] -AMO03254.1 putative polyprotein [Boutonnet virus] diff --git a/seq/clusters_info/cluster_173 b/seq/clusters_info/cluster_173 deleted file mode 100644 index 2f5897c..0000000 --- a/seq/clusters_info/cluster_173 +++ /dev/null @@ -1,29 +0,0 @@ -YP_010086800.1 RdRp [Pistachio ampelovirus A] -YP_010086799.1 methyltransferase/helicase [Pistachio ampelovirus A] -YP_010085053.1 ORF1b [Air potato virus 1] -YP_010085052.1 ORF1a polyprotein [Air potato virus 1] -YP_009666002.1 ORF1a, partial [Pineapple mealybug wilt-associated virus 3] -YP_009666003.1 RNA dependent RNA polymerase, partial [Pineapple mealybug wilt-associated virus 3] -YP_009664794.1 RNA-dependent RNA polymerase, partial [Pineapple mealybug wilt-associated virus 2] -YP_009506335.1 polyprotein [Mint vein banding-associated virus] -YP_009241367.1 RNA-dependent RNA polymerase, partial [Grapevine leafroll-associated virus 13] -YP_008411010.1 RNA-dependent RNA polymerase 1b [Blackberry vein banding-associated virus] -YP_004940642.1 POL gene product [Grapevine leafroll-associated virus 1] -YP_004935375.1 unnamed protein product [Grapevine leafroll-associated virus 6] -YP_004935369.1 unnamed protein product [Grapevine leafroll-associated virus 4] -YP_004901687.1 RdRp gene product [Grapevine leafroll-associated virus 5] -YP_004901686.1 met/hel gene product [Grapevine leafroll-associated virus 5] -YP_002364303.1 RNA dependent RNA polymerase, partial [Grapevine leafroll-associated virus 10] -YP_002364302.1 polyprotein [Grapevine leafroll-associated virus 10] -YP_001642337.1 RNA-dependent RNA polymerase, partial [Pineapple mealybug wilt-associated virus 1] -YP_001642336.1 methyltransferase/helicase protein [Pineapple mealybug wilt-associated virus 1] -YP_001552324.1 RNA-dependent RNA polymerase, partial [Plum bark necrosis stem pitting-associated virus] -YP_001552323.1 polyprotein [Plum bark necrosis stem pitting-associated virus] -NP_891562.2 polyprotein [Little cherry virus 2] -AGL80631.1 RNA-dependent RNA polymerase, partial [Plum bark necrosis stem pitting-associated virus] -AKB90851.1 RNA-dependent RNA polymerase [Grapevine leafroll-associated virus 4] -CDM63857.1 polyprotein [Plum bark necrosis stem pitting-associated virus] -AFV67453.1 RNA-dependent RNA polymerase [Grapevine leafroll-associated virus 3] -ACT67476.1 RNA-dependent RNA polymerase [Grapevine leafroll-associated virus Carn] -ABR68927.1 RNA dependant RNA polymerase, partial [Pineapple mealybug wilt-associated virus 5] -ANP22148.1 RNA-dependent RNA polymerase, partial [Grapevine leafroll-associated virus 1] diff --git a/seq/clusters_info/cluster_174 b/seq/clusters_info/cluster_174 deleted file mode 100644 index 95ec1b0..0000000 --- a/seq/clusters_info/cluster_174 +++ /dev/null @@ -1,29 +0,0 @@ -YP_010087166.1 polyprotein [Celery latent virus] -YP_010087001.1 polyprotein [Areca palm necrotic ringspot virus] -YP_010086847.1 polyprotein [Alpinia oxyphylla mosaic virus] -YP_010086840.1 polyprotein [Yam chlorotic necrosis virus] -YP_009551653.1 polyprotein [Wheat spindle streak mosaic virus] -YP_009553653.1 polyprotein [Areca palm necrotic spindle-spot virus] -YP_009665118.1 polyprotein, partial [Narcissus latent virus] -YP_009665117.1 polyprotein, partial [Maclura mosaic virus] -YP_009665116.1 polyprotein, partial [Alpinia mosaic virus] -YP_009508901.1 polyprotein [Cardamom mosaic virus] -YP_009508455.1 polyprotein [Bellflower veinal mottle virus] -YP_009507672.1 polyprotein [Yam chlorotic necrotic mosaic virus] -YP_009507671.1 polyprotein [Broad-leafed dock virus A] -YP_009344997.1 polyprotein [Wuhan poty-like virus 1] -YP_009175089.1 polyprotein [Rice necrosis mosaic virus] -YP_009129267.1 polyprotein [Artichoke latent virus] -YP_006590058.1 polyprotein [Chinese yam necrotic mosaic virus] -NP_659025.1 polyprotein [Oat mosaic virus] -NP_604491.1 RNA1 polyprotein [Barley mild mosaic virus] -NP_148999.1 polyprotein [Barley yellow mosaic virus] -NP_059449.1 polyprotein [Wheat yellow mosaic virus] -sp|P90245.1|POL1_BAMMN RecName: Full=Genome polyprotein 1; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Coat protein; Short=CP -sp|Q01206.1|POL1_BAYMJ RecName: Full=Genome polyprotein 1; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Coat protein; Short=CP -sp|Q04574.1|POL1_BAYMG RecName: Full=Genome polyprotein 1; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Coat protein; Short=CP -AJD23391.1 polyprotein [Chinese yam necrotic mosaic virus] -AAQ10758.1 polyprotein [Barley mild mosaic virus] -CAD56471.1 polyprotein 1 [Barley yellow mosaic virus] -CAC50818.1 nuclear inclusion body b, partial [Cardamom mosaic virus] -AAD45560.1 270 kDa precursor protein [Wheat yellow mosaic virus] diff --git a/seq/clusters_info/cluster_175 b/seq/clusters_info/cluster_175 deleted file mode 100644 index 282e8a9..0000000 --- a/seq/clusters_info/cluster_175 +++ /dev/null @@ -1,29 +0,0 @@ -YP_010086861.1 polyprotein [Passiflora edulis symptomless virus] -YP_009508240.1 polyprotein [Tomato mild mottle virus] -YP_009373261.1 polyprotein [Longan witches broom-associated virus] -YP_009272707.1 polyprotein [Coccinia mottle virus] -YP_009047077.1 polyprotein [Yellow oat grass mosaic virus] -YP_008766766.1 polyprotein [Tall oatgrass mosaic virus] -YP_007027011.1 polyprotein [Cassava brown streak virus] -YP_006905847.1 polyprotein [Rose yellow mosaic virus] -YP_006666511.1 polyprotein [Caladenia virus A] -YP_004063681.1 polyprotein [Ugandan cassava brown streak virus] -YP_003580191.1 polyprotein [Sugarcane streak mosaic virus] -YP_002956073.1 polyprotein [Triticum mosaic virus] -YP_001788994.1 P3 [Squash vein yellowing virus] -YP_001788991.1 polyprotein [Squash vein yellowing virus] -YP_001468087.1 polyprotein [Wheat eqlid mosaic virus] -YP_308879.1 P3 protein [Cucumber vein yellowing virus] -YP_224077.1 gp1 [Cucumber vein yellowing virus] -NP_932608.1 polyprotein [Oat necrotic mottle virus] -NP_734285.1 P3 protein [Sweet potato mild mottle virus] -NP_620656.1 polyprotein [Sweet potato mild mottle virus] -NP_612585.1 polyprotein [Brome streak mosaic virus] -NP_046741.1 polyprotein [Wheat streak mosaic virus] -sp|Q65729.1|POLG_BSTVG RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -ADX01228.2 polyprotein [Eggplant mild leaf mottle virus] -AOY33888.1 polyprotein [Squash vein yellowing virus] -SBT85169.1 polyprotein [Cassava brown streak virus] -AFM97010.1 HC-Pro protein [Sugarcane streak mosaic virus] -ALN38790.1 polyprotein [Squash vein yellowing virus] -ANI24978.1 polyprotein [Ugandan cassava brown streak virus] diff --git a/seq/clusters_info/cluster_176 b/seq/clusters_info/cluster_176 deleted file mode 100644 index 7518433..0000000 --- a/seq/clusters_info/cluster_176 +++ /dev/null @@ -1,29 +0,0 @@ -YP_010086772.1 matrix protein [Taiwan bat lyssavirus] -YP_009325516.1 matrix protein [Gannoruwa bat lyssavirus] -YP_009325414.1 matrix protein [Lleida bat lyssavirus] -YP_009094486.1 matrix protein [Lyssavirus Ozernoe] -YP_009094329.1 matrix protein [Khujand lyssavirus] -YP_009094270.1 matrix protein [West Caucasian bat lyssavirus] -YP_009094181.1 matrix protein [Shimoni bat lyssavirus] -YP_009091811.1 matrix protein [Bokeloh bat lyssavirus] -YP_007641404.1 matrix protein [Duvenhage lyssavirus] -YP_007641394.1 matrix protein [Aravan lyssavirus] -YP_007641389.1 matrix protein [Lagos bat lyssavirus] -YP_006742182.1 matrix protein [Ikoma lyssavirus] -YP_001285395.1 matrix protein [European bat 2 lyssavirus] -YP_001285390.1 matrix protein [European bat 1 lyssavirus] -YP_142352.1 M2 protein [Mokola lyssavirus] -NP_478341.1 matrix protein [Australian bat lyssavirus] -NP_056795.1 M2 protein [Rabies lyssavirus] -sp|P0DOF2.1|MATRX_RABVE RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|Q8JTH1.2|MATRX_ABLVH RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|P15200.2|MATRX_RABVA RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|A3RM21.1|MATRX_RABVI RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|Q0GBX7.1|MATRX_RABVD RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|Q0GBY2.1|MATRX_RABVR RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|Q8B6J7.1|MATRX_RABVH RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|Q6JAM6.1|MATRX_LBV RecName: Full=Matrix protein -sp|Q66T63.1|MATRX_RABVB RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|P16287.1|MATRX_RABVS RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|P25224.1|MATRX_RABVN RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -sp|P25223.1|MATRX_RABVC RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 diff --git a/seq/clusters_info/cluster_177 b/seq/clusters_info/cluster_177 deleted file mode 100644 index 78a7720..0000000 --- a/seq/clusters_info/cluster_177 +++ /dev/null @@ -1,29 +0,0 @@ -YP_010086771.1 phosphoprotein [Taiwan bat lyssavirus] -YP_009325515.1 phosphoprotein [Gannoruwa bat lyssavirus] -YP_009325413.1 phosphoprotein [Lleida bat lyssavirus] -YP_001285394.2 phosphoprotein [European bat 2 lyssavirus] -YP_009094485.1 phosphoprotein [Lyssavirus Ozernoe] -YP_009094328.1 phosphoprotein [Khujand lyssavirus] -YP_009094269.1 phosphoprotein [West Caucasian bat lyssavirus] -YP_009094180.1 phosphoprotein [Shimoni bat lyssavirus] -YP_009091810.1 phosphoprotein [Bokeloh bat lyssavirus] -YP_007641403.1 phosphoprotein [Duvenhage lyssavirus] -YP_007641398.1 phosphoprotein [Irkut lyssavirus] -YP_007641393.1 phosphoprotein [Aravan lyssavirus] -YP_007641388.1 phosphoprotein [Lagos bat lyssavirus] -YP_006742181.1 phosphoprotein [Ikoma lyssavirus] -YP_001285389.1 phosphoprotein [European bat 1 lyssavirus] -YP_142351.1 phosphoprotein M1 [Mokola lyssavirus] -NP_478340.1 phosphoprotein [Australian bat lyssavirus] -NP_056794.1 phosphoprotein M1 [Rabies lyssavirus] -sp|A3RM20.1|PHOSP_RABVI RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|Q0GBX8.1|PHOSP_RABVD RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|Q0GBY3.1|PHOSP_RABVR RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|Q9IPJ8.1|PHOSP_RABVN RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|Q8JTH2.1|PHOSP_ABLVH RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|Q8B6J8.1|PHOSP_RABVH RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|Q66T64.1|PHOSP_RABVB RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|O56773.1|PHOSP_LBV RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|P69480.1|PHOSP_RABVM RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|P16286.1|PHOSP_RABVS RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|P22363.1|PHOSP_RABVC RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 diff --git a/seq/clusters_info/cluster_178 b/seq/clusters_info/cluster_178 deleted file mode 100644 index cd7f062..0000000 --- a/seq/clusters_info/cluster_178 +++ /dev/null @@ -1,29 +0,0 @@ -YP_009551627.1 putative RNA-dependent RNA polymerase [Melon partitivirus] -YP_009665971.1 putative RNA-dependent RNA polymerase [Beet cryptic virus 3] -YP_009551448.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 2] -YP_009508068.1 RNA-dependent RNA polymerase [Beet cryptic virus 2] -YP_009362091.1 putative RNA-dependent RNA polymerase [Carnation cryptic virus 3] -YP_009466859.1 putative RNA-dependent RNA polymerase [Pepper cryptic virus 1] -YP_009351838.1 putative RNA-dependent RNA polymerase [Pepper cryptic virus 2] -YP_009329867.1 RdRp [Xinzhou partiti-like virus 1] -YP_009329866.1 RdRp [Wuhan large pig roundworm virus 1] -YP_009255398.1 RNA dependent RNA polymerase [Sinapis alba cryptic virus 1] -YP_006390091.1 RNA dependent RNA polymerase [Persimmon cryptic virus] -YP_004429258.1 RNA-dependent RNA polymerase [Fig cryptic virus] -YP_002364401.1 putative RNA-dependent RNA polymerase [Raphanus sativus cryptic virus 3] -YP_001686786.1 RNA-dependent RNA polymerase [Rose cryptic virus 1] -YP_001686783.1 putative RNA dependent RNA polymerse [Raphanus sativus cryptic virus 2] -YP_001274391.1 RNA-dependent RNA polymerase [Fragaria chiloensis cryptic virus] -APG78223.1 RdRp [Hubei partiti-like virus 58] -AFO65948.1 RNA-dependent RNA polymerase [Alphacryptovirus JF-2012] -APG78185.1 RdRp [Beihai partiti-like virus 11] -CEJ95596.2 RNA dependent RNA polymerase [Pittosporum cryptic virus-1] -APG78201.1 RdRp, partial [Changjiang partiti-like virus 1] -APT68925.1 putative RNA-dependent RNA polymerase [Citrullus lanatus cryptic virus] -BAU79511.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 14] -ABU55400.1 RNA-dependent RNA polymerase, partial [Black raspberry cryptic virus] -AAY51483.1 putative RNA-dependent RNA polymerase, partial [Pinus sylvestris partitivirus NL-2005] -YP_009026407.1 RNA dependent RNA polymerase [Arhar cryptic virus-I] -APG78242.1 RdRp [Hubei partiti-like virus 56] -APG78229.1 RdRp [Hubei partiti-like virus 57] -CBZ05552.1 RNA-dependent RNA-polymerase, partial [Fig cryptic virus] diff --git a/seq/clusters_info/cluster_179 b/seq/clusters_info/cluster_179 deleted file mode 100644 index 254c719..0000000 --- a/seq/clusters_info/cluster_179 +++ /dev/null @@ -1,29 +0,0 @@ -YP_009664771.1 virion protein [Wild cucumber mosaic virus] -YP_009664769.1 coat protein [Petunia vein banding virus] -YP_009664767.1 coat protein [Passion fruit yellow mosaic virus] -YP_009508099.1 unnamed protein product [Cacao yellow mosaic virus] -YP_009508097.1 unnamed protein product [Belladonna mottle virus] -YP_009505641.1 virion protein [Desmodium yellow mottle virus] -YP_009505640.1 virion protein [Clitoria yellow vein virus] -YP_009268924.1 coat protein [Grapevine Red Globe virus] -YP_009160325.1 coat protein [Bee Macula-like virus] -YP_008318043.1 putative coat protein [Tomato blistering mosaic virus] -YP_007517184.1 coat protein [Andean potato mild mosaic virus] -YP_007517181.1 coat protein [Andean potato latent virus] -YP_004464925.1 coat protein [Asclepias asymptomatic virus] -YP_003620402.1 coat protein [Chiltepin yellow mosaic virus] -YP_002308579.1 coat protein [Anagyris vein yellowing virus] -YP_002308446.1 coat protein [Plantago mottle virus] -YP_002308443.1 coat protein [Nemesia ring necrosis virus] -YP_002308440.1 coat protein [Scrophularia mottle virus] -YP_002048674.1 coat protein CP [Diascia yellow mottle virus] -YP_001285473.1 coat protein [Okra mosaic virus] -YP_406376.1 coat protein [Dulcamara mottle virus] -NP_663298.1 coat protein [Turnip yellow mosaic virus] -NP_067738.1 coat protein [Chayote mosaic virus] -NP_047921.1 virion protein [Erysimum latent virus] -NP_044329.1 coat protein [Kennedya yellow mosaic virus] -NP_041258.1 coat protein [Ononis yellow mosaic virus] -NP_040969.1 coat protein [Eggplant mosaic virus] -sp|P20125.1|COAT_TYMVA RecName: Full=Coat protein; AltName: Full=Virion protein -sp|P15158.1|COAT_BMDV RecName: Full=Coat protein; AltName: Full=Virion protein diff --git a/seq/clusters_info/cluster_18 b/seq/clusters_info/cluster_18 deleted file mode 100644 index bc9b5cd..0000000 --- a/seq/clusters_info/cluster_18 +++ /dev/null @@ -1,77 +0,0 @@ -YP_010088115.1 VPg [Platycodon mild mottle virus] -YP_009667143.1 VPg protein [Mediterranean ruda virus] -YP_009667096.1 VPg [Zucchini shoestring virus] -YP_009667067.1 NIa-VPg [Iris mild mosaic virus] -YP_009351887.1 VPg [Sunflower ring blotch virus] -YP_009509104.1 VPg [Daphne virus Y] -YP_009466011.1 VPg [Hyacinth mosaic virus] -YP_009389256.1 VPg [Cucurbit vein banding virus] -YP_009310046.1 VPg [Euphorbia ringspot virus] -YP_009272676.1 VPg [Callistephus mottle virus] -YP_009259518.1 NIa-VPg protein [Wild onion symptomless virus] -YP_009252416.1 NIa_VPg [Tobacco mosqueado virus] -YP_009221989.1 VPg [Jasmine virus T] -YP_009126867.1 VPg protein [Tamarillo leaf malformation virus] -YP_009010964.1 VPg [Narcissus late season yellows virus] -YP_008719794.1 NIa-VPg protein [Pokeweed mosaic virus] -YP_008320588.1 viral protein genome-linked [Habenaria mosaic virus] -YP_007969890.1 VPg [Donkey orchid virus A] -YP_007354894.1 VPg [Brugmansia mosaic virus] -YP_007001289.1 NIa-VPg [Yam mild mosaic virus] -YP_007001280.1 VPg [Ornithogalum mosaic virus] -YP_006666644.1 VPg [Caladenia virus A] -YP_006493340.1 NIa-VPg [Sweet potato virus G] -YP_006438194.1 NIa-VPg protein [Sweet potato virus 2] -YP_006423991.1 NIa-VPg protein [Chilli ringspot virus] -YP_006423979.1 NIa-VPg protein [Celery mosaic virus] -YP_006423953.1 NIa-VPg protein [Bidens mottle virus] -YP_006401486.1 NIa-VPg protein [Pepper severe mosaic virus] -YP_006395345.1 NIa-VPg protein [Pennisetum mosaic virus] -YP_006390073.1 VPg [Cyrtanthus elatus virus A] -YP_006390063.1 VPg [Hippeastrum mosaic virus] -YP_006280737.1 virus protein genome-linked (VPg) [Tomato necrotic stunt virus] -YP_004123948.1 VPg [Lupinus mosaic virus] -YP_004123960.1 VPg [Apium virus Y] -YP_003902966.1 VPg [Brugmansia suaveolens mottle virus] -YP_003725725.1 NIa-VPg [Panax virus Y] -YP_003582551.1 viral protein genome-linked [Sunflower chlorotic mottle virus] -YP_002539448.1 Vpg [Pepper veinal mottle virus] -YP_002308561.1 VPg protein [Narcissus yellow stripe virus] -YP_001936193.1 viral protein genome-linked [Algerian watermelon mosaic virus] -YP_001936184.1 Vpg [Verbena virus Y] -YP_001816852.1 VPg protein [Wild tomato mosaic virus] -YP_001552427.1 viral protein genome-linked [Moroccan watermelon mosaic virus] -YP_001552418.1 NIa-VPg [Tobacco vein banding mosaic virus] -YP_001019194.1 viral genome-linked protein, VPg [Narcissus degeneration virus] -YP_851205.1 Vpg [Blackberry virus Y] -YP_611117.1 VPg protein [Daphne mosaic virus] -YP_331420.1 VPg protein [Shallot yellow stripe virus] -YP_254720.1 VPg protein [Thunberg fritillary mosaic virus] -YP_063392.1 VPg protein [Hordeum mosaic virus] -YP_022758.1 NIa-VPg protein [Yam mosaic virus] -NP_982341.1 genome-linked protein VPg [Chilli veinal mottle virus] -NP_945142.1 VPg protein [Lily mottle virus] -NP_871744.1 VPg protein [Onion yellow dwarf virus] -NP_871734.1 VPg [Papaya leaf distortion mosaic virus] -NP_734395.1 NIa-VPg protein [Cocksfoot streak virus] -NP_734129.1 NIa-VPg protein [Scallion mosaic virus] -NP_734099.1 NIa-VPg protein [Leek yellow stripe virus] -NP_734229.1 NIa-VPg protein [Japanese yam mosaic virus] -NP_734325.1 NIa-VPg protein [Ryegrass mosaic virus] -NP_734375.1 NIa-VPg protein [Potato virus V] -NP_734089.1 NIa-VPg protein [Sorghum mosaic virus] -NP_734404.1 NIa-VPg protein [Johnsongrass mosaic virus] -NP_734315.1 NIa-VPg protein [Sweet potato feathery mottle virus] -NP_734219.1 NIa-VPg protein [Turnip mosaic virus] -NP_734333.1 NIa-VPg protein [Tobacco vein mottling virus] -NP_734204.1 NIa-VPg protein [Tobacco etch virus] -NP_734139.1 NIa-VPg protein [Sugarcane mosaic virus] -NP_734252.1 NIa-VPg protein [Potato virus Y] -NP_734365.1 NIa-VPg protein [Potato virus A] -NP_734345.1 NIa-VPg protein [Plum pox virus] -NP_734434.1 NIa-VPg protein [Pepper mottle virus] -NP_734425.1 NIa-VPg protein [Pea seed-borne mosaic virus] -NP_734239.1 NIa-VPg protein [Papaya ringspot virus] -NP_734159.1 NIa-VPg protein [Lettuce mosaic virus] -NP_734169.1 NIa-VPg protein [Clover yellow vein virus] -NP_734179.1 NIa-VPg protein [Bean yellow mosaic virus] diff --git a/seq/clusters_info/cluster_180 b/seq/clusters_info/cluster_180 deleted file mode 100644 index cb52f8b..0000000 --- a/seq/clusters_info/cluster_180 +++ /dev/null @@ -1,29 +0,0 @@ -YP_009337844.1 RNA-dependent RNA polymerase [Hubei unio douglasiae virus 1] -YP_009333611.1 RNA-dependent RNA polymerase [Beihai shrimp virus 6] -YP_009333380.1 RNA-dependent RNA polymerase [Beihai noda-like virus 11] -YP_009333372.1 RNA-dependent RNA polymerase [Beihai barnacle virus 11] -YP_009330099.1 hypothetical protein [Hubei noda-like virus 17] -YP_009329958.1 hypothetical protein [Beihai noda-like virus 18] -YP_009329890.1 RNA-dependent RNA polymerase [Beihai sphaeromadae virus 3] -YP_009047239.1 RNA-dependent RNA polymerase [Senegalese sole Iberian betanodavirus] -YP_003288759.1 protein A [Tiger puffer nervous necrosis virus] -YP_003288756.1 protein A [Barfin flounder nervous necrosis virus] -YP_611155.1 protein A [Redspotted grouper nervous necrosis virus] -NP_599247.1 protein A [Striped jack nervous necrosis virus] -sp|Q993M1.1|RDRP_GGNNV RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=RNA replicase; Short=Protein A -AGQ56677.1 RNA-dependent RNA polymerase [Dicentrarchus labrax betanodavirus] -APG76360.1 hypothetical protein [Wenzhou noda-like virus 3] -APG76146.1 hypothetical protein 1 [Beihai noda-like virus 8] -APG76454.1 hypothetical protein 1 [Hubei noda-like virus 20] -APG76124.1 hypothetical protein [Beihai noda-like virus 14] -APG76208.1 hypothetical protein, partial [Beihai noda-like virus 12] -APG76289.1 hypothetical protein [Beihai noda-like virus 16] -APG76582.1 hypothetical protein [Wenling noda-like virus 2] -APG76320.1 hypothetical protein [Hubei noda-like virus 14] -APG76585.1 hypothetical protein [Wenling noda-like virus 3] -ADK97708.1 unknown [Tetnovirus 1] -APG76171.1 hypothetical protein [Beihai noda-like virus 17] -APG76286.1 hypothetical protein [Beihai noda-like virus 13] -APG76285.1 hypothetical protein [Hubei noda-like virus 18] -APG76186.1 hypothetical protein, partial [Beihai noda-like virus 15] -APG76581.1 hypothetical protein, partial [Wenling noda-like virus 1] diff --git a/seq/clusters_info/cluster_181 b/seq/clusters_info/cluster_181 deleted file mode 100644 index 3c59d9a..0000000 --- a/seq/clusters_info/cluster_181 +++ /dev/null @@ -1,28 +0,0 @@ -YP_003858587.1 membrane protein [Bat coronavirus BM48-31/BGR/2008] -YP_009824992.1 membrane protein [Bat coronavirus] -YP_007188585.1 membrane protein [Betacoronavirus England 1] -YP_001039974.1 membrane glycoprotein [Rousettus bat coronavirus HKU9] -YP_009825055.1 membrane glycoprotein M [SARS coronavirus Tor2] -YP_009361863.1 membrane glycoprotein [Bat coronavirus] -YP_001039968.1 membrane glycoprotein [Pipistrellus bat coronavirus HKU5] -YP_001039959.1 membrane glycoprotein [Tylonycteris bat coronavirus HKU4] -YP_173241.1 membrane glycoprotein [Human coronavirus HKU1] -YP_009113029.1 membrane protein [Betacoronavirus HKU24] -YP_005454248.1 membrane protein [Rabbit coronavirus HKU14] -NP_045301.1 membrane protein [Murine hepatitis virus] -YP_009755836.1 membrane protein [Rodent coronavirus] -YP_003029851.1 membrane protein [Rat coronavirus Parker] -YP_209237.1 membrane protein [Murine hepatitis virus strain JHM] -YP_009555244.1 membrane protein [Human coronavirus OC43] -NP_150082.1 matrix protein [Bovine coronavirus] -YP_009273008.1 membrane protein [Rousettus bat coronavirus] -YP_009072443.1 membrane protein [Bat Hp-betacoronavirus/Zhejiang2013] -YP_009513017.1 membrane protein [Betacoronavirus Erinaceus/VMC/DEU/2012] -YP_009724393.1 membrane glycoprotein [Severe acute respiratory syndrome coronavirus 2] -sp|Q0ZME4.1|VME1_CVHN5 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|Q0Q472.1|VME1_BC279 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|P69705.1|VME1_CVBQ RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|Q9JEB4.1|VME1_CVM2 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|Q8JSP5.1|VME1_CVPIA RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|Q3I5J2.1|VME1_BCRP3 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|Q3LZX9.1|VME1_BCHK3 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein diff --git a/seq/clusters_info/cluster_182 b/seq/clusters_info/cluster_182 deleted file mode 100644 index 8b78f1b..0000000 --- a/seq/clusters_info/cluster_182 +++ /dev/null @@ -1,28 +0,0 @@ -YP_010086235.1 glycoprotein precursor [Groundnut chlorotic fan-spot virus] -YP_010086063.1 glycoprotein precursor [Alstroemeria necrotic streak virus] -YP_010086211.1 envelope glycoprotein [Tomato yellow ring virus] -YP_010085063.1 glycoprotein precursor [Soybean vein necrosis virus] -YP_009553294.1 envelope glycoprotein [Alstroemeria yellow spot virus] -YP_009666321.1 GP protein [Groundnut ringspot virus] -YP_009512999.1 glycoprotein precursor [Polygonum ringspot orthotospovirus] -YP_009505546.1 envelope glycoprotein [Watermelon bud necrosis virus] -YP_009505290.1 GP [Iris yellow spot virus] -YP_009449456.1 G precursor [Calla lily chlorotic spot virus] -YP_009408636.1 glycoprotein precursor [Tomato chlorotic spot virus] -YP_009346016.1 Gn/Gc [Melon severe mosaic tospovirus] -YP_009345144.1 glycoprotein [Pepper chlorotic spot virus] -YP_009315931.1 glycoprotein precursor [Zucchini lethal chlorosis virus] -YP_009259254.1 Gp [Tospovirus kiwifruit/YXW/2014] -YP_009162646.1 Gc-Gn glycoprotein precursor [Chrysanthemum stem necrosis virus] -YP_009126738.2 glycoprotein [Mulberry vein banding virus] -YP_006468902.1 Gn/Gc glycoprotein precursor [Bean necrotic mosaic virus] -YP_001740046.1 glycoprotein [Tomato zonate spot virus] -YP_717926.1 glycoprotein precursor G1/G2 [Capsicum chlorosis virus] -YP_717935.1 glycoprotein precursor [Melon yellow spot virus] -NP_620767.1 glycoprotein precursor [Watermelon silver mottle orthotospovirus] -NP_619703.1 glycoprotein precursor [Groundnut bud necrosis virus] -NP_619691.1 G2-G1 polyprotein [Impatiens necrotic spot virus] -NP_049359.1 G1 [Tomato spotted wilt orthotospovirus] -sp|Q9IKB7.1|GP_TSWVD RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|Q9IKB5.1|GP_TSWVR RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|O55647.1|GP_TSWV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor diff --git a/seq/clusters_info/cluster_183 b/seq/clusters_info/cluster_183 deleted file mode 100644 index 338175b..0000000 --- a/seq/clusters_info/cluster_183 +++ /dev/null @@ -1,28 +0,0 @@ -YP_009551534.1 VP3 protein [Bat rotavirus] -YP_008145318.1 VP3 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896049.1 VP3 [Rotavirus D chicken/05V0049/DEU/2005] -YP_002302228.1 VP3 [Rotavirus A] -YP_392516.1 guanylyltransferase [Rotavirus C] -sp|Q91HJ9.2|VP3_ROTHU RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|A7J3A4.1|VP3_ROTYO RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B1NKU3.1|VP3_ROTWI RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B2BMF9.1|VP3_ROTW3 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B3F2X7.1|VP3_ROTTU RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B1NKT9.1|VP3_ROTHT RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|A7J392.1|VP3_ROTHS RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B1NKT1.1|VP3_ROTHP RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B1NKS7.1|VP3_ROTHL RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B1NKR1.1|VP3_ROTH7 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|A4ZCW6.1|VP3_ROTH3 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|A7J3A0.1|VP3_ROTBN RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|B1NKR5.1|VP3_ROTAD RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q3ZK57.1|VP3_ROT41 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q9QNB1.1|VP3_ROTHK RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q65526.1|VP3_ROTBS RecName: Full=Protein VP3; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q6WNW5.1|VP3_ROTHD RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q6WNW3.1|VP3_ROTH6 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q6WNV8.1|VP3_ROTP5 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q6WNV7.1|VP3_ROTEL RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q6WNV6.1|VP3_ROTCC RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q6WAT6.1|VP3_ROTBU RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|P26192.1|VP3_ROTPC RecName: Full=Protein VP3; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase diff --git a/seq/clusters_info/cluster_184 b/seq/clusters_info/cluster_184 deleted file mode 100644 index 11e2e5b..0000000 --- a/seq/clusters_info/cluster_184 +++ /dev/null @@ -1,28 +0,0 @@ -YP_009553221.1 coat protein [Elderberry aureusvirus 1] -YP_009259669.1 coat protein [Pelargonium leaf curl virus] -YP_009508247.1 coat protein [Neckar River virus] -YP_009507825.1 coat protein [Sitke waterborne virus] -YP_009507824.1 coat protein [Petunia asteroid mosaic virus] -YP_009507823.1 coat protein [Limonium flower distortion virus] -YP_009507820.1 coat protein [Havel River virus] -YP_009337646.1 putative capsid protein [Hubei zhaovirus-like virus 1] -YP_009037608.1 capsid protein [Moroccan pepper virus] -YP_009032641.1 coat protein [Cucumber leaf spot virus] -YP_009032636.1 capsid protein [Pothos latent virus] -YP_008999613.1 coat protein [Eggplant mottled crinkle virus] -YP_008828158.1 coat protein [Yam spherical virus] -YP_007517178.1 coat protein [Furcraea necrotic streak virus] -YP_002308431.1 capsid protein [Grapevine Algerian latent virus] -NP_612582.2 capsid protein [Carnation Italian ringspot virus] -YP_001285476.1 unnamed protein product [Maize white line mosaic virus] -NP_945130.1 coat protein [Johnsongrass chlorotic stripe mosaic virus] -NP_945116.1 coat protein [Pelargonium necrotic spot virus] -NP_862839.1 coat protein [Pea stem necrosis virus] -NP_835255.1 coat protein [Cucumber Bulgarian latent virus] -NP_613262.1 coat protein [Cymbidium ringspot virus] -NP_062899.1 p41 capsid protein [Tomato bushy stunt virus] -NP_044736.1 capsid protein [Galinsoga mosaic virus] -NP_040955.1 coat protein [Cucumber necrosis virus] -NP_039810.1 coat protein of 41 kDa [Artichoke mottled crinkle virus] -sp|P11795.2|CAPSD_TBSVB RecName: Full=Capsid protein; AltName: Full=Coat protein; AltName: Full=p41 -sp|Q9QBU3.1|CAPSD_POLVP RecName: Full=Capsid protein; AltName: Full=p40 diff --git a/seq/clusters_info/cluster_185 b/seq/clusters_info/cluster_185 deleted file mode 100644 index 2683e88..0000000 --- a/seq/clusters_info/cluster_185 +++ /dev/null @@ -1,28 +0,0 @@ -YP_009505610.1 polyprotein [Human rhinovirus NAT001] -YP_004564610.1 VP2 [Pigeon picornavirus B] -YP_001552411.1 genome polyprotein [Rhinovirus C] -AET25077.2 polyprotein [Rhinovirus C] -APT69824.1 polyprotein [Rhinovirus C] -AHA35211.1 polyprotein [rhinovirus C50] -AHK24839.1 polyprotein [Rhinovirus C] -ABK29455.2 polyprotein [rhinovirus C7] -ANI70116.1 polyprotein [Rhinovirus C] -AJO54281.1 polyprotein [Rhinovirus C] -AFS30772.1 polyprotein [Rhinovirus C] -AEL31290.1 polyprotein [Rhinovirus C] -AER92566.1 polyprotein, partial [Rhinovirus C] -AEE69369.1 polyprotein [Rhinovirus C] -AFD64770.1 polyprotein [Rhinovirus C] -ADV57350.1 polyprotein [Rhinovirus C] -AFK79845.1 polyprotein [Rhinovirus C] -AIT99457.1 polyprotein [Rhinovirus C] -AMB19799.1 polyprotein [Rhinovirus C] -AMB19800.1 polyprotein [Rhinovirus C] -AEP69137.1 polyprotein, partial [Rhinovirus C] -ACU00185.1 polyprotein [rhinovirus C8] -AFK65743.1 polyprotein [Rhinovirus C] -AET72462.1 polyprotein, partial [Rhinovirus C] -AFM84629.1 polyprotein, partial [Rhinovirus C] -AER92564.1 polyprotein [Rhinovirus C] -AJO54282.1 polyprotein [Rhinovirus C] -AMH87228.1 polyprotein [Rhinovirus C] diff --git a/seq/clusters_info/cluster_186 b/seq/clusters_info/cluster_186 deleted file mode 100644 index 09b8cee..0000000 --- a/seq/clusters_info/cluster_186 +++ /dev/null @@ -1,28 +0,0 @@ -YP_009026415.1 polyprotein [Pronghorn antelope pestivirus] -YP_009513240.1 polyprotein [Bovine viral diarrhea virus 2] -YP_009508311.1 polyprotein [Porcine pestivirus 1] -YP_009508222.1 Hog cholera virus [Classical swine fever virus - Alfort/187] -YP_009407716.1 polyprotein [Linda virus] -YP_009268709.1 polyprotein [Atypical porcine pestivirus 1] -YP_009109567.1 polyprotein [Norway rat pestivirus] -YP_008992092.1 polyprotein [Porcine pestivirus isolate Bungowannah] -YP_006860588.1 polyprotein [Aydin-like pestivirus] -YP_002967452.1 polyprotein [Bovine viral diarrhea virus 3 Th/04_KhonKaen] -NP_620062.1 polyprotein [Border disease virus] -NP_620053.1 polyprotein [Pestivirus giraffe-1 H138] -NP_075354.1 polyprotein [Classical swine fever virus] -NP_040937.1 polyprotein [Bovine viral diarrhea virus 1] -sp|Q96662.1|POLG_BVDVC RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -sp|P19712.2|POLG_CSFVA RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Short=NS2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -sp|Q01499.1|POLG_BVDVS RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -sp|P21530.1|POLG_CSFVB RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Short=NS2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -AQY77579.1 polyprotein [Atypical porcine pestivirus] -AID49629.1 polyprotein [Bovine viral diarrhea virus 3] -AGM75776.1 polyprotein [Bovine viral diarrhea virus 1] -AAB58571.1 predicted to encode 4983 amino acids with a predicted molecular mass of 558 kDa; ILLC-BVDV ORF [Bovine viral diarrhea virus 1] -AHM88397.1 polyprotein [Border disease virus] -NP_044731.1 putative polyprotein [Bovine viral diarrhea virus 2] -BAQ08203.1 polyprotein [Bovine viral diarrhea virus 2] -BAW33238.1 polyprotein [Bovine viral diarrhea virus 1] -AQV12951.1 Polyprotein [Porcine pestivirus 1] -ADK63187.1 polyprotein [Border disease virus] diff --git a/seq/clusters_info/cluster_187 b/seq/clusters_info/cluster_187 deleted file mode 100644 index 821b20d..0000000 --- a/seq/clusters_info/cluster_187 +++ /dev/null @@ -1,28 +0,0 @@ -NP_077730.1 RNA dependent RNA polymerase protein A [Nodamura virus] -YP_009337806.1 hypothetical protein [Shuangao insect virus 11] -YP_009333376.1 RNA-dependent RNA polymerase [Beihai mantis shrimp virus 6] -YP_009329984.1 hypothetical protein [Beihai noda-like virus 29] -YP_004207810.1 RNA-dependent RNA polymerase [Penaeus vannamei nodavirus] -YP_053043.1 put. protein A (m.w. 112 kD) [Black beetle virus] -NP_919036.1 RNA-dependent RNA polymerase [Macrobrachium rosenbergii nodavirus] -NP_689444.1 protein A [Flock House virus] -NP_689439.1 protein A [Boolarra virus] -APG76515.1 hypothetical protein 1 [Hubei noda-like virus 24] -ABS29339.1 protein A [Flock House virus] -APG76125.1 hypothetical protein [Beihai noda-like virus 30] -APG76486.1 hypothetical protein [Hubei noda-like virus 21] -APG76164.1 hypothetical protein 2 [Beihai noda-like virus 25] -APG76600.1 hypothetical protein [Wenzhou noda-like virus 6] -APG76104.1 hypothetical protein [Beihai noda-like virus 28] -APG76466.1 hypothetical protein [Hubei noda-like virus 22] -APG76281.1 hypothetical protein [Hubei noda-like virus 26] -APG76106.1 hypothetical protein [Beihai noda-like virus 26] -APG76332.1 hypothetical protein [Hubei noda-like virus 23] -APG76103.1 hypothetical protein [Beihai noda-like virus 24] -APG76300.1 hypothetical protein [Shuangao noda-like virus 1] -APG76116.1 hypothetical protein, partial [Beihai noda-like virus 27] -AKP18615.1 RNA-dependent RNA polymerase [Lutzomyia nodavirus] -APG76593.1 hypothetical protein [Wenling noda-like virus 4] -APG76642.1 hypothetical protein 1 [Wenzhou noda-like virus 7] -APG76117.1 hypothetical protein [Beihai noda-like virus 23] -APG76319.1 hypothetical protein [Hubei noda-like virus 25] diff --git a/seq/clusters_info/cluster_188 b/seq/clusters_info/cluster_188 deleted file mode 100644 index 657b768..0000000 --- a/seq/clusters_info/cluster_188 +++ /dev/null @@ -1,28 +0,0 @@ -YP_009507778.1 RNA polymerase [Pulau reovirus] -YP_009507749.1 VP2 [Scophthalmus maximus reovirus] -YP_009445963.1 core RNA-dependent RNA polymerase [Piscine orthoreovirus] -YP_009351850.1 VP2 [Fall chinook aquareovirus] -YP_009259508.1 RNA-dependent RNA polymerase [Etheostoma fonticola aquareovirus] -YP_009246466.1 Lambda B [Mahlapitsi orthoreovirus] -YP_009110697.1 RNA polymerase [Cangyuan orthoreovirus] -YP_009020578.1 lambda B [Reptilian orthoreovirus] -YP_004769548.1 minor core protein [Baboon orthoreovirus] -YP_004226522.1 lambda-B protein [Avian orthoreovirus] -YP_003717773.1 RNA-dependent RNA polymerase [Broome virus] -YP_003199418.1 lambda-3 protein [Mammalian orthoreovirus 3] -YP_001837095.1 VP2 [American grass carp reovirus] -YP_398630.1 putative viral polymerase [Chum salmon reovirus CS] -NP_938061.1 RNA-dependent RNA polymerase VP2 [Aquareovirus C] -sp|P0CK32.1|RDRP_REOVL RecName: Full=RNA-directed RNA polymerase lambda-3; Short=Lambda3; AltName: Full=Lambda3(Pol) -sp|P0CK31.1|RDRP_REOVD RecName: Full=RNA-directed RNA polymerase lambda-3; Short=Lambda3; AltName: Full=Lambda3(Pol) -sp|P17377.1|RDRP_REOVJ RecName: Full=RNA-directed RNA polymerase lambda-3; Short=Lambda3; AltName: Full=Lambda3(Pol) -ANY92092.1 core RNA-dependent RNA polymerase [Largemouth bass reovirus] -AFG73673.1 VP2 [Reovirus GCRV104] -AED99918.1 lambda B [Avian orthoreovirus] -BAW99715.1 RNA-dependent RNA polymerase [Pteropine orthoreovirus] -AGG38806.1 VP2 [Grass carp reovirus] -AIY69147.1 RNA-dependent RNA polymerase, partial [Atlantic halibut reovirus] -AOE46771.1 lambda 3, partial [Mammalian orthoreovirus 3] -AKH03088.1 lambda B, partial [Avian orthoreovirus] -AIU99579.1 lambda 3, partial [Jiangxi12-B14 orthoreovirus] -AOM63686.1 lambda B [Reptilian orthoreovirus] diff --git a/seq/clusters_info/cluster_189 b/seq/clusters_info/cluster_189 deleted file mode 100644 index 3115b7a..0000000 --- a/seq/clusters_info/cluster_189 +++ /dev/null @@ -1,28 +0,0 @@ -YP_002640504.1 coat protein [Gayfeather mild mottle virus] -NP_620759.1 capsid protein [Tomato aspermy virus] -NP_049334.1 coat protein [Peanut stunt virus] -NP_040777.1 capsid protein [Cucumber mosaic virus] -sp|P18027.2|CAPSD_CMVY RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q83269.1|CAPSD_CMVKO RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q66141.1|CAPSD_CMVPE RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|O40983.1|CAPSD_CMVC7 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|O40980.1|CAPSD_CMVNT RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P22116.1|CAPSD_PSVJ RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P16489.1|CAPSD_CMVO RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q00260.1|CAPSD_CMVM RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q83253.1|CAPSD_CMVTR RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|O72620.1|CAPSD_CMVS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q83271.1|CAPSD_CMVII RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q83251.1|CAPSD_CMVM4 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q66154.1|CAPSD_CMVAS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q66143.1|CAPSD_CMVCS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q66140.1|CAPSD_CMVFT RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q66120.1|CAPSD_CMVIX RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q66114.1|CAPSD_CMVDK RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|O40981.1|CAPSD_CMVE5 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q66138.1|CAPSD_CMVN RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q06934.1|CAPSD_CMVKI RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P23627.1|CAPSD_TAV RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P24147.1|CAPSD_CMVWL RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q00261.1|CAPSD_CMVP6 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P21368.1|CAPSD_CMVC RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein diff --git a/seq/clusters_info/cluster_19 b/seq/clusters_info/cluster_19 deleted file mode 100644 index 4828ca5..0000000 --- a/seq/clusters_info/cluster_19 +++ /dev/null @@ -1,76 +0,0 @@ -YP_010086860.1 polyprotein [Mashua virus Y] -YP_009666039.1 polyprotein, partial [Amaranthus leaf mottle virus] -YP_009665141.1 polyprotein, partial [Pfaffia mosaic virus] -YP_009665121.1 nuclear inclusion protein b/coat protein, partial [Amazon lily mosaic virus] -YP_009665120.1 polyprotein, partial [Alternanthera mild mosaic virus] -YP_009665119.1 nuclear inclusion protein b/coat protein, partial [Alstroemeria mosaic virus] -YP_009351885.1 CP [Sunflower ring blotch virus] -YP_009351884.1 P1 [Sunflower ring blotch virus] -YP_009351870.1 polyprotein [Sunflower ring blotch virus] -YP_009507674.1 capsid [Sweet potato mild speckling virus] -YP_009389250.1 P1 [Cucurbit vein banding virus] -YP_009272820.1 polyprotein [Barbacena virus Y] -YP_009252419.1 CP [Tobacco mosqueado virus] -YP_009252304.1 polyprotein [Tobacco mosqueado virus] -YP_009221983.1 P1 Protein [Jasmine virus T] -YP_008877631.1 polyprotein [Bidens mosaic virus] -YP_008719788.1 P1 protein [Pokeweed mosaic virus] -YP_007354897.1 coat protein [Brugmansia mosaic virus] -YP_007354888.1 P1 protein [Brugmansia mosaic virus] -YP_007354880.1 polyprotein [Brugmansia mosaic virus] -YP_006522440.1 polyprotein [Arracacha mottle virus] -YP_006423956.1 coat protein [Bidens mottle virus] -YP_006423947.1 P1 protein [Bidens mottle virus] -YP_006401489.1 coat protein [Pepper severe mosaic virus] -YP_006401480.1 P1 protein [Pepper severe mosaic virus] -YP_006280740.1 coat protein [Tomato necrotic stunt virus] -YP_006272948.1 polyprotein [Tomato necrotic stunt virus] -YP_003902969.1 coat protein [Brugmansia suaveolens mottle virus] -YP_003902960.1 P1-Pro [Brugmansia suaveolens mottle virus] -YP_003900466.1 polyprotein [Brugmansia suaveolens mottle virus] -YP_003778216.1 polyprotein [Pepper yellow mosaic virus] -YP_003778191.1 polyprotein [Bidens mottle virus] -YP_003620392.1 CP [Freesia mosaic virus] -YP_003582554.1 coat protein [Sunflower chlorotic mottle virus] -YP_003580192.1 polyprotein [Sunflower chlorotic mottle virus] -YP_001936187.1 CP [Verbena virus Y] -YP_001931974.1 P1 [Verbena virus Y] -YP_001931955.1 polyprotein [Verbena virus Y] -YP_778468.1 polyprotein [Pepper severe mosaic virus] -YP_611111.1 P1 protein [Daphne mosaic virus] -YP_022752.1 P1 protein [Yam mosaic virus] -NP_945136.1 P1 protein [Lily mottle virus] -NP_787946.1 CP protein [Peru tomato mosaic virus] -NP_787938.1 P1 protein [Peru tomato mosaic virus] -NP_787937.1 polyprotein [Peru tomato mosaic virus] -NP_741978.1 P1 protein [Wild potato mosaic virus] -NP_741977.1 CP protein [Wild potato mosaic virus] -NP_741959.1 polyprotein [Wild potato mosaic virus] -NP_734398.1 coat protein [Cocksfoot streak virus] -NP_734288.1 coat protein, partial [Sweet potato mild mottle virus] -NP_734378.1 coat protein [Potato virus V] -NP_734369.1 P1 protein [Potato virus V] -NP_734250.1 coat protein [Potato virus Y] -NP_734436.1 coat protein [Pepper mottle virus] -NP_734162.1 coat protein [Lettuce mosaic virus] -NP_659008.1 polyprotein [Potato virus V] -NP_056759.1 polyprotein [Potato virus Y] -NP_041276.1 polyprotein [Pepper mottle virus] -sp|P0CJ93.2|MVP_PVYN RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK06.2|MVP_PVYHU RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P0CK01.2|MVP_PEMVC RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -sp|P07993.2|POLG_PEMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q02963.1|POLG_PVYHU RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P11897.1|POLG_PVYYO RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P22602.1|POLG_PVYO RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3 -sp|P21294.1|POLG_PVYCH RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P22601.1|POLG_PVYC RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3 -AGD94585.1 polyprotein [Brugmansia mosaic virus] -AFN84612.2 polyprotein [Potato virus Y] -CAI65400.1 polyprotein [Potato virus Y strain C] -ACC60229.1 polyprotein, partial [Ecuadorian rocoto virus] -ABY86425.1 polyprotein [Bidens mottle virus] -AAB50573.1 polyprotein [Potato virus Y] -ACE80691.1 polyprotein precursor [Pepper mottle virus] -AOE23680.1 polyprotein [Potato virus Y] -AGT56190.1 polyprotein [Potato virus V] diff --git a/seq/clusters_info/cluster_190 b/seq/clusters_info/cluster_190 deleted file mode 100644 index f34abe2..0000000 --- a/seq/clusters_info/cluster_190 +++ /dev/null @@ -1,28 +0,0 @@ -NP_056660.1 hemagglutinin [Influenza B virus (B/Lee/1940)] -sp|P22092.1|HEMA_INBVK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P09767.1|HEMA_INBVI RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P09766.1|HEMA_INBUS RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12443.1|HEMA_INBSJ RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03463.1|HEMA_INBSI RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03461.1|HEMA_INBMD RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P18878.1|HEMA_INBIB RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P12442.1|HEMA_INBGL RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P10448.1|HEMA_INBBO RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03462.1|HEMA_INBHK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q67374.1|HEMA_INBNY RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q67369.1|HEMA_INBBK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P18880.1|HEMA_INBYB RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P18879.1|HEMA_INBNA RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P18877.1|HEMA_INBFU RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P68760.1|HEMA_INBF8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P68757.1|HEMA_INBF5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|Q67381.1|HEMA_INBVM RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q67380.1|HEMA_INBVL RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q67377.1|HEMA_INBP3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q67375.1|HEMA_INBP9 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q67373.1|HEMA_INBHL RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q67372.1|HEMA_INBIN RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q67370.1|HEMA_INBGD RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|Q07926.1|HEMA_INBF2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|Q07925.1|HEMA_INBF1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P12441.1|HEMA_INBID RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor diff --git a/seq/clusters_info/cluster_191 b/seq/clusters_info/cluster_191 deleted file mode 100644 index cb4ea43..0000000 --- a/seq/clusters_info/cluster_191 +++ /dev/null @@ -1,27 +0,0 @@ -YP_010084457.1 nucleoprotein [Lepeophtheirus salmonis rhabdovirus 127] -YP_007641363.1 nucleocapsid [Perch perhabdovirus] -YP_009362082.1 nucleoprotein [Morreton vesiculovirus] -YP_009513003.1 nucleoprotein [Jurona vesiculovirus] -YP_009505537.1 nucleoprotein [Radi vesiculovirus] -YP_009505532.1 nucleoprotein [Piry virus] -YP_009505527.1 nucleoprotein [Carajas virus] -YP_009505486.1 nucleocapsid protein [Drosophila ananassae sigmavirus] -YP_009505475.1 nucleocapsid protein [Lake trout rhabdovirus 903/87] -YP_009505322.1 nucleocapsid protein [Vesicular stomatitis Indiana virus] -YP_009177647.1 nucleocapsid protein [Cocal virus] -YP_009094384.1 nucleocapsid protein [Perinet vesiculovirus] -YP_009094340.1 nucleocapsid protein [Scophthalmus maximus rhabdovirus] -YP_009094273.1 nucleocapsid protein [Yug Bogdanovac vesiculovirus] -YP_009094263.1 nucleocapsid protein [Grass carp virus] -YP_009094202.1 nucleocapsid protein [Tench rhabdovirus] -YP_009094174.1 nucleoprotein [Malpais Spring vesiculovirus] -YP_009094121.1 nucleoprotein [Pike fry sprivivirus] -YP_009094097.1 nucleocapsid protein [Vesicular stomatitis Alagoas virus] -YP_009091825.1 nucleocapsid [Maraba virus] -YP_009047081.1 nucleocapsid protein [Vesicular stomatitis New Jersey virus] -YP_008767239.1 nucleocapsid N [American bat vesiculovirus TFFN-2013] -YP_008686602.1 nucleoprotein [Eel virus European X] -YP_007641382.1 nucleocapsid protein [Isfahan virus] -YP_007641377.1 nucleocapsid protein [Chandipura virus] -YP_802937.1 nucleoprotein [Siniperca chuatsi rhabdovirus] -NP_116744.1 nucleocapsid protein [Carp sprivivirus] diff --git a/seq/clusters_info/cluster_192 b/seq/clusters_info/cluster_192 deleted file mode 100644 index a7e738a..0000000 --- a/seq/clusters_info/cluster_192 +++ /dev/null @@ -1,27 +0,0 @@ -YP_010229112.1 nucleoprotein [Clo Mor virus] -YP_010229108.1 nucleoprotein [Dera Ghazi Khan orthonairovirus] -YP_010229106.1 nucleocapsid protein [Qalyub orthonairovirus] -YP_010229103.1 nucleoprotein [Farallon virus] -YP_010085112.1 nucleocapsid [Estero Real virus] -YP_009304991.1 nucleocapsid protein [Wenzhou Tick Virus] -YP_009304988.1 nucleocapsid protein [Tacheng Tick Virus 1] -YP_009293589.1 nucleocapsid protein [Huangpi Tick Virus 1] -YP_009553334.1 nucleoprotein [Tunis virus] -YP_009551659.1 nucleoprotein [Great Saltee virus] -YP_009551598.1 nucleoprotein [Avalon virus] -YP_009666120.1 nucleocapsid protein, partial [Artashat orthonairovirus] -YP_009666116.1 nucleocapsid protein, partial [Burana virus] -YP_009666114.1 nucleocapsid protein, partial [Chim orthonairovirus] -YP_009666320.1 nucleopasid protein [Wuhan Millipede Virus 2] -YP_009513193.1 nucleoprotein [Thiafora orthonairovirus] -YP_009361834.1 nucleoprotein [Keterah virus] -YP_009507852.1 nucleocapsid protein [Hazara virus] -YP_009361831.1 nucleocapsid [Nairobi sheep disease virus] -YP_009246491.1 nucleoprotein [Yogue virus] -YP_009246487.1 nucleoprotein [Kasokero virus] -YP_009227120.1 nucleocapsid protein [Tofla virus] -YP_009111286.1 nucleocapside [Leopards Hill virus] -NP_950237.1 nucleoprotein [Crimean-Congo hemorrhagic fever orthonairovirus] -NP_690574.1 nucleocapsid protein [Dugbe orthonairovirus] -sp|P15190.1|NCAP_DUGBV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P27317.1|NCAP_CCHFV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_193 b/seq/clusters_info/cluster_193 deleted file mode 100644 index 3c7c813..0000000 --- a/seq/clusters_info/cluster_193 +++ /dev/null @@ -1,27 +0,0 @@ -YP_010088125.1 VPg [Dendrobium chlorotic mosaic virus] -YP_009667133.1 NIa-VPg [Paris mosaic necrosis virus] -YP_009458614.1 VPg protein [Saffron latent virus] -YP_007001300.1 VPg [Blue squill virus A] -YP_006405410.1 NIa-VPg protein [Basella rugose mosaic virus] -YP_006395358.1 NIa-VPg protein [East Asian Passiflora virus] -YP_004940325.1 NIa-VPg protein [Yambean mosaic virus] -YP_004564595.1 VPg [Hardenbergia mosaic virus] -YP_004306482.1 VPg protein [Passion fruit woodiness virus] -YP_003620389.1 VPg [Freesia mosaic virus] -YP_003208057.1 NIa-VPg [Canna yellow streak virus] -YP_002321505.1 NIa-VPg [Zantedeschia mild mosaic virus] -YP_001974442.1 VPg protein [Fritillary virus Y] -YP_001816832.1 VPg protein [Telosma mosaic virus] -YP_001718528.1 VPg protein [Banana bract mosaic virus] -YP_842356.1 VPg protein [Wisteria vein mosaic virus] -YP_529496.1 NIa-VPg protein [Konjac mosaic virus] -YP_077274.1 viral protein genome-linked [Watermelon mosaic virus] -YP_054398.1 VPg protein [Agropyron mosaic virus] -NP_954625.1 NIa-VPg protein [Beet mosaic virus] -NP_734355.1 NIa-VPg protein [Bean common mosaic necrosis virus] -NP_734418.1 NIa-VPg protein [Peanut mottle virus] -NP_734109.1 NIa-VPg protein [Dasheen mosaic virus] -NP_734189.1 NIa-VPg protein [Zucchini yellow mosaic virus] -NP_734198.1 NIa-VPg protein [Soybean mosaic virus] -NP_734385.1 NIa-VPg protein [Cowpea aphid-borne mosaic virus] -NP_734119.1 NIa-VPg protein [Bean common mosaic virus] diff --git a/seq/clusters_info/cluster_194 b/seq/clusters_info/cluster_194 deleted file mode 100644 index bfc9018..0000000 --- a/seq/clusters_info/cluster_194 +++ /dev/null @@ -1,27 +0,0 @@ -YP_009508466.1 RdRp [University of Giessen virus] -YP_009508470.1 RNA-dependent RNA polymerase [Tavallinen suomalainen mies virus] -YP_009019203.1 L protein [University of Helsinki virus] -YP_009019197.1 L polymerase [ROUT virus] -YP_006590093.1 L protein [CAS virus] -YP_006590089.1 L protein [Golden Gate virus] -AKH49015.1 L polymerase [unidentified Reptarenavirus] -AKN10715.1 RdRp [Aurora borealis virus] -AKH49177.1 L polymerase [unidentified Reptarenavirus] -AKH48937.1 L polymerase [unidentified Reptarenavirus] -APX61227.1 RNA-dependent RNA polymerase [Kaltenbach virus] -AKH48918.1 L polymerase [unidentified Reptarenavirus] -AKH49043.1 L polymerase [unidentified Reptarenavirus] -AKH49123.1 L polymerase [unidentified Reptarenavirus] -AKH49031.1 L polymerase [unidentified Reptarenavirus] -AKH49085.1 L polymerase [unidentified Reptarenavirus] -AKH49005.1 L polymerase [unidentified Reptarenavirus] -AKH48980.1 L polymerase, partial [unidentified Reptarenavirus] -AKN10710.1 RdRp [University of Helsinki virus] -AKH49181.1 L polymerase [unidentified Reptarenavirus] -AKH48991.1 L polymerase [unidentified Reptarenavirus] -AKH49097.1 L polymerase [unidentified Reptarenavirus] -AKH48993.1 L polymerase [unidentified Reptarenavirus] -AKN10696.1 RdRp [University of Giessen virus] -AKN10698.1 RdRp [Suri Vanera virus] -AKH48996.1 L polymerase [unidentified Reptarenavirus] -AKH49017.1 L polymerase [unidentified Reptarenavirus] diff --git a/seq/clusters_info/cluster_195 b/seq/clusters_info/cluster_195 deleted file mode 100644 index c8068f1..0000000 --- a/seq/clusters_info/cluster_195 +++ /dev/null @@ -1,27 +0,0 @@ -YP_009551533.1 NSP5 [Bat rotavirus] -YP_002302224.1 NSP5 [Rotavirus A] -sp|B3SRY1.1|NSP5_ROTWI RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|B2BRG5.1|NSP5_ROTW3 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|B3SRX3.1|NSP5_ROTHT RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|B3SRV7.1|NSP5_ROTHP RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|A3DSL0.1|NSP5_ROTHL RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|B3SRR7.1|NSP5_ROTH7 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|B3SRS5.1|NSP5_ROTAD RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P04516.2|NSP5_ROTHW RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q3ZK65.1|NSP5_ROT41 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q9QNA5.1|NSP5_ROTHK RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q9E8F2.1|NSP5_ROTRF RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q993T4.1|NSP5_ROTRH RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q910F1.1|NSP5_ROTAM RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q8V9C4.1|NSP5_ROTHJ RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q8V9C3.1|NSP5_ROTH3 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q80IQ5.1|NSP5_ROTKU RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P18036.2|NSP5_ROTHB RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P18037.2|NSP5_ROTH5 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|Q03054.1|NSP5_ROTPY RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P17467.1|NSP5_ROTRA RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P19715.1|NSP5_ROTP5 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P23048.1|NSP5_ROTHD RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P23047.1|NSP5_ROTH6 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P23046.1|NSP5_ROTBV RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P04515.1|NSP5_ROTBU RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 diff --git a/seq/clusters_info/cluster_196 b/seq/clusters_info/cluster_196 deleted file mode 100644 index 91fa09c..0000000 --- a/seq/clusters_info/cluster_196 +++ /dev/null @@ -1,27 +0,0 @@ -YP_009552848.1 P1-P2 polyprotein [Apple luteovirus 1] -YP_009551922.1 RNA dependent RNA polymerase P1-P2 fusion [Apple-associated luteovirus] -YP_009664865.1 RNA-dependent RNA polymerase P1-P2 fusion protein, partial [Barley yellow dwarf virus SGV] -YP_009664860.1 RNA-dependent RNA polymerase P1-P2 fusion, partial [Barley yellow dwarf virus kerIII] -NP_150431.3 replicase [Soybean dwarf virus] -NP_813789.2 RNA-dependent RNA polymerase P1-P2 fusion protein [Barley yellow dwarf virus GAV] -YP_009380510.1 RNA dependent RNA polymerase P1-P2 fusion [Peach associated luteovirus] -NP_620674.3 RDRP frameshift protein [Sweet clover necrotic mosaic virus] -YP_009342339.1 hypothetical protein 2 [Wuhan insect virus 20] -YP_009342338.1 hypothetical protein 1 [Wuhan insect virus 20] -YP_009342259.1 hypothetical protein 2 [Wenzhou tombus-like virus 6] -YP_009342258.1 hypothetical protein 1 [Wenzhou tombus-like virus 6] -YP_009316228.1 P1-P2 polyprotein [Cherry associated luteovirus] -YP_009143309.1 RNA dependent RNA polymerase P1-P2 fusion protein [Nectarine stem pitting associated virus] -NP_620523.2 88 kDa protein [Red clover necrotic mosaic virus] -YP_008083724.1 RNA-dependent RNA polymerase P1-P2 fusion [Barley yellow dwarf virus kerII] -YP_001949736.1 RNA-dependent RNA polymerase P1-P2 fusion protein [Rose spring dwarf-associated virus] -NP_840014.2 RNA-dependent RNA polymerase P1-P2 fusion protein [Barley yellow dwarf virus PAV] -NP_620064.1 RNA-dependent RNA polymerase P1-P2 fusion [Barley yellow dwarf virus MAV] -NP_619711.1 RNA dependent RNA polymerase [Carnation ringspot virus] -NP_563609.1 RNA-dependent RNA polymerase P1-P2 fusion [Bean leafroll virus] -NP_037635.1 RNA-dependent RNA polymerase P1-P2 fusion protein [Barley yellow dwarf virus PAS] -sp|P29045.1|RDRP_BYDVR RecName: Full=Putative RNA-directed RNA polymerase -AFP55349.1 RNA-dependent RNA polymerase [Soybean dwarf virus] -BAA97674.1 54 kDa protein [Rice virus X] -AGN54066.1 RNA-dependent RNA polymerase P1-P2 fusion [Barley yellow dwarf virus kerII] -ABY73571.1 RNA-dependent RNA polymerase [Barley yellow dwarf virus PAV] diff --git a/seq/clusters_info/cluster_197 b/seq/clusters_info/cluster_197 deleted file mode 100644 index 5d8606e..0000000 --- a/seq/clusters_info/cluster_197 +++ /dev/null @@ -1,27 +0,0 @@ -YP_009337910.1 hypothetical protein 1 [Hubei diptera virus 13] -YP_009337872.1 hypothetical protein 1 [Hubei diptera virus 12] -YP_009337746.1 hypothetical protein 1 [Wenling sobemo-like virus 1] -YP_009337248.1 hypothetical protein 1 [Shuangao sobemo-like virus 1] -YP_009336913.1 hypothetical protein 1 [Sanxia sobemo-like virus 5] -YP_009336905.1 hypothetical protein 1 [Sanxia sobemo-like virus 3] -YP_009336856.1 hypothetical protein 1 [Sanxia sobemo-like virus 4] -YP_009336846.1 hypothetical protein 1 [Beihai sobemo-like virus 21] -YP_009330268.1 hypothetical protein 1 [Hubei tetragnatha maxillosa virus 6] -YP_009330131.1 hypothetical protein 1 [Hubei sobemo-like virus 22] -YP_009330123.1 hypothetical protein 1 [Hubei sobemo-like virus 23] -YP_009330119.1 hypothetical protein 1 [Hubei sobemo-like virus 25] -YP_009330114.1 hypothetical protein 1 [Hubei sobemo-like virus 28] -YP_009330089.1 hypothetical protein 1 [Hubei sobemo-like virus 35] -YP_009330085.1 hypothetical protein 1 [Hubei sobemo-like virus 30] -YP_009330083.1 hypothetical protein 1 [Hubei sobemo-like virus 29] -YP_009330070.1 hypothetical protein 1 [Hubei sobemo-like virus 27] -YP_009330068.1 hypothetical protein 1 [Hubei sobemo-like virus 33] -YP_009330059.1 hypothetical protein 1 [Hubei sobemo-like virus 31] -YP_009330032.1 hypothetical protein 1 [Hubei sobemo-like virus 20] -YP_009330011.1 hypothetical protein 1 [Hubei sobemo-like virus 34] -YP_009329989.1 hypothetical protein 1 [Hubei sobemo-like virus 36] -YP_009329969.1 hypothetical protein [Hubei sobemo-like virus 26] -YP_009329967.1 hypothetical protein [Hubei sobemo-like virus 18] -YP_009329965.1 hypothetical protein 1 [Hubei sobemo-like virus 19] -YP_009329999.1 hypothetical protein 1 [Beihai sobemo-like virus 26] -YP_009329987.1 hypothetical protein 1 [Hubei sobemo-like virus 32] diff --git a/seq/clusters_info/cluster_198 b/seq/clusters_info/cluster_198 deleted file mode 100644 index 6704d4f..0000000 --- a/seq/clusters_info/cluster_198 +++ /dev/null @@ -1,26 +0,0 @@ -YP_010229110.1 glycoprotein precursor [Clo Mor virus] -YP_010229109.1 glycoprotein precursor [Qalyub orthonairovirus] -YP_010229104.1 glycoprotein precursor [Dera Ghazi Khan orthonairovirus] -YP_010229113.1 glycoprotein precursor [Farallon virus] -YP_010085110.1 glycoprotein precursor [Estero Real virus] -YP_009553333.1 glycoprotein precursor [Tunis virus] -YP_009551658.1 glycoprotein precursor [Great Saltee virus] -YP_009551599.1 glycoprotein precursor [Avalon virus] -YP_009666121.1 glycoprotein precursor, partial [Artashat orthonairovirus] -YP_009666117.1 glycoprotein precursor, partial [Burana virus] -YP_009666115.1 glycoprotein precursor, partial [Chim orthonairovirus] -YP_009513192.1 envelope glycoprotein [Thiafora orthonairovirus] -YP_009361833.1 envelope glycoprotein [Keterah virus] -YP_009507851.1 glycoprotein precursor [Hazara virus] -YP_009361837.1 glycoprotein precursor [Nairobi sheep disease virus] -YP_009304990.1 glycoprotein precursor [Wenzhou Tick Virus] -YP_009304987.1 glycoprotein precursor [Tacheng Tick Virus 1] -YP_009300678.1 glycoprotein precursor [Shayang Spider Virus 1] -YP_009293595.1 glycoprotein precursor [Sanxia Water Strider Virus 1] -YP_009293588.1 glycoprotein precursor [Huangpi Tick Virus 1] -YP_009246490.1 envelope glycoprotein [Yogue virus] -YP_009246488.1 envelope glycoprotein [Kasokero virus] -YP_009227121.1 glycoprotein precursor [Tofla virus] -YP_009111285.1 glycoprotein precursor [Leopards Hill virus] -NP_950235.1 glycoprotein precursor [Crimean-Congo hemorrhagic fever orthonairovirus] -NP_690575.1 glycoprotein precursor [Dugbe orthonairovirus] diff --git a/seq/clusters_info/cluster_199 b/seq/clusters_info/cluster_199 deleted file mode 100644 index c218fe4..0000000 --- a/seq/clusters_info/cluster_199 +++ /dev/null @@ -1,26 +0,0 @@ -YP_010088023.1 hypothetical protein KM760_gp2 [Ivy ringspot-associated virus] -YP_010087855.1 hypothetical protein KM754_gp2 [Camellia lemon glow virus] -YP_010086796.1 hypothetical protein KM646_gp1 [Grapevine badnavirus 1] -YP_010085994.1 ORF2 [Aglaonema bacilliform virus] -YP_009553547.1 ORF2 protein [Cacao Bacilliform SriLanka Virus] -YP_009553218.1 ORF2 [Dioscorea nummularia-associated virus] -YP_009508442.1 ORF2 [Dioscorea bacilliform TR virus] -YP_009508410.1 ORF2 protein [Dioscorea bacilliform RT virus 2] -YP_009508407.1 ORF2 protein [Dioscorea bacilliform RT virus 1] -YP_009506266.1 ORF2 protein [Dioscorea bacilliform AL virus] -YP_009408592.1 P2 [Jujube mosaic-associated virus] -YP_009345074.1 hypothetical protein [Cacao yellow vein banding virus] -YP_009345070.1 hypothetical protein [Cacao mild mosaic virus] -YP_009229918.1 hypothetical protein [Blackberry virus F] -YP_009140787.1 hypothetical protein [Grapevine Roditis leaf discoloration-associated virus] -YP_009130663.1 hypothetical protein [Taro bacilliform CH virus] -YP_009121746.1 ORF2 [Yacon necrotic mottle virus] -YP_009116630.1 hypothetical protein [Rubus yellow net virus] -YP_009002584.1 hypothetical protein [Hibiscus bacilliform virus GD1] -YP_008567618.1 ORF2 [Piper yellow mottle virus] -YP_006495798.1 hypothetical protein [Gooseberry vein banding associated virus] -YP_006273074.1 hypothetical protein [Fig badnavirus 1] -YP_004732982.1 hypothetical protein [Grapevine vein clearing virus] -YP_001036292.1 ORF2 protein [Dioscorea bacilliform virus] -YP_610964.1 hypothetical protein DMV_gp2 [Dracaena mottle virus] -NP_569152.1 unknown [Citrus yellow mosaic virus] diff --git a/seq/clusters_info/cluster_2 b/seq/clusters_info/cluster_2 deleted file mode 100644 index c8f66c6..0000000 --- a/seq/clusters_info/cluster_2 +++ /dev/null @@ -1,173 +0,0 @@ -YP_010229220.1 RNA-dependent RNA polymerase [Balsa almendravirus] -YP_010229226.1 RNA-dependent RNA polymerase [Rio Chico almendravirus] -YP_010229213.1 RNA-dependent RNA polymerase [Coot Bay almendravirus] -YP_010086056.1 RNA dependent RNA polymerase [North Creek virus] -YP_010087313.1 polymerase [Muir Springs virus] -YP_010087308.1 polymerase [Bahia Grande virus] -YP_010087302.1 polymerase [Garba virus] -YP_010087182.1 RNA-dependent RNA polymerase [Cuiaba virus] -YP_010086786.1 polymerase-associated protein [Ohlsdorf virus] -YP_010086769.1 polymerase [Holmes Jungle virus] -YP_010086586.1 polymerase [Sawgrass virus] -YP_010086581.1 RNA-dependent RNA polymerase [Harrison Dam virus] -YP_010086569.1 polymerase [New Minto virus] -YP_010086564.1 polymerase [Kwatta virus] -YP_010084461.1 RNA-dependent RNA polymerase [Lepeophtheirus salmonis rhabdovirus 127] -YP_010084456.1 RNA-dependent RNA polymerase [Lepeophtheirus salmonis rhabdovirus 9] -YP_007641367.1 RNA dependent RNA polymerase [Perch perhabdovirus] -YP_009388616.1 RdRp [Culex rhabdo-like virus] -YP_009182186.1 putative RNA-dependent RNA polymerase [Tongilchon virus 1] -YP_009176999.1 L protein [Santa barbara virus] -YP_009552820.1 large protein [Riverside virus 1] -YP_009552805.1 RNA polymerase [Zahedan rhabdovirus] -YP_009552125.1 L protein [Menghai rhabdovirus] -YP_009552115.1 RNA-dependent RNA polymerase [Merida virus] -YP_009551692.1 RNA-dependent RNA polymerase [Merida-like virus KE-2017a] -YP_009666839.1 polymerase [Vaprio virus] -YP_009666516.1 RNA dependent RNA polymerase [Caligus rogercresseyi rhabdovirus] -YP_009664719.1 L protein, partial [Bas-Congo tibrovirus] -YP_009664711.1 RNA-dependent RNA polymerase, partial [Muscina stabulans sigmavirus] -YP_009664710.1 RNA-dependent RNA polymerase, partial [Drosophila tristis sigmavirus] -YP_009362274.1 polymerase [Chaco virus] -YP_009362266.1 polymerase [Klamath virus] -YP_009362238.1 polymerase [Iriri virus] -YP_009362228.1 polymerase [Ord River virus] -YP_009362218.1 polymerase [Sripur virus] -YP_009362209.1 polymerase [Gray Lodge virus] -YP_009362200.1 polymerase [Keuraliba virus] -YP_009362194.1 polymerase [Nkolbisson virus] -YP_009362189.1 polymerase [Joinjakaka virus] -YP_009362180.1 polymerase [La Joya virus] -YP_009362166.1 polymerase [Itacaiunas virus] -YP_009362159.1 polymerase [Barur virus] -YP_009362154.1 polymerase [Rochambeau virus] -YP_009362143.1 polymerase [Landjia virus] -YP_009362128.1 polymerase [Manitoba virus] -YP_009362117.1 polymerase [Marco virus] -YP_009362110.1 polymerase [Sena Madureira virus] -YP_009362086.1 polymerase [Morreton vesiculovirus] -YP_009513007.1 polymerase [Jurona vesiculovirus] -YP_009512997.1 polymerase [Flanders hapavirus] -YP_009512988.1 polymerase [Curionopolis virus] -YP_009508535.1 RNA-dependent RNA polymerase [Beatrice Hill virus] -YP_009508527.1 polymerase [Kanyawara virus] -YP_009505541.1 polymerase [Radi vesiculovirus] -YP_009505536.1 RNA-dependent RNA polymerase [Piry virus] -YP_009505531.1 polymerase [Carajas virus] -YP_009505526.1 RNA polymerase, partial [Durham virus] -YP_009505519.1 L protein [Ekpoma virus 2] -YP_009505511.1 L protein, partial [Ekpoma virus 1] -YP_009505503.1 RNA-dependent RNA polymerase [Drosophila melanogaster sigmavirus HAP23] -YP_009505497.1 RNA-dependent RNA polymerase [Drosophila immigrans sigmavirus] -YP_009505491.1 RNA-dependent RNA polymerase [Drosophila ananassae sigmavirus] -YP_009505485.1 RNA-dependent RNA polymerase [Drosophila affinis sigmavirus] -YP_009505479.1 polymerase protein, partial [Lake trout rhabdovirus 903/87] -YP_009505474.1 hypothetical protein [Nishimuro ledantevirus] -YP_009505470.1 polymerase [Mossuril virus] -YP_009505326.1 L polymerase protein [Vesicular stomatitis Indiana virus] -YP_003126913.1 RNA dependant RNA polymerase [Drosophila melanogaster sigmavirus AP30] -YP_009362258.1 polymerase [Oita virus] -YP_009362251.1 polymerase [Sweetwater Branch virus] -YP_009362243.1 polymerase [Mount Elgon bat virus] -YP_009362003.1 polymerase [Fukuoka virus] -YP_009361995.1 polymerase [Kern Canyon virus] -YP_009361989.1 polymerase [Kamese virus] -YP_009361979.1 polymerase [Parry Creek virus] -YP_009361970.1 polymerase [Mosqueiro virus] -YP_009361959.1 polymerase [Hart Park virus] -YP_009361873.1 polymerase [Le Dantec virus] -YP_009345051.1 RNA-dependent RNA polymerase [Wuhan pillworm virus 2] -YP_009344989.1 RNA-dependent RNA polymerase [Xinzhou nematode virus 4] -YP_009344982.1 RNA-dependent RNA polymerase [Xinzhou dimarhabdovirus virus 1] -YP_009344978.1 RNA-dependent RNA polymerase [Xingshan nematode virus 4] -YP_009337295.1 RNA-dependent RNA polymerase [Hubei diptera virus 10] -YP_009337216.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 1] -YP_009337139.1 RNA-dependent RNA polymerase [Hubei diptera virus 9] -YP_009337071.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 2] -YP_009337012.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 3] -YP_009336837.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 2] -YP_009315878.1 L protein [Gata virus] -YP_009315873.1 L protein [Orgi virus] -YP_009305122.1 RNA-dependent RNA polymerase [Yongjia Tick Virus 2] -YP_009305117.1 RNA-dependent RNA polymerase [Wuhan Tick Virus 1] -YP_009305107.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 9] -YP_009305102.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 5] -YP_009304980.1 RNA-dependent RNA polymerase [Wuhan House Fly Virus 1] -YP_009304656.1 RNA-dependent RNA polymerase [Wuhan Fly Virus 2] -YP_009304479.1 RNA-dependent RNA polymerase [Taishun Tick Virus] -YP_009304331.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 3] -YP_009302018.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 10] -YP_009301743.1 RNA-dependent RNA polymerase [Wuhan Insect virus 7] -YP_009300669.1 RNA-dependent RNA polymerase [Shayang Fly Virus 2] -YP_009288322.1 RNA-dependent RNA polymerase [Huangpi Tick Virus 3] -YP_009287864.1 RNA-dependent RNA polymerase [Bole Tick Virus 2] -YP_009177651.1 L polymerase protein [Cocal virus] -YP_009177247.1 polymerase [Adelaide River virus] -YP_009177215.1 polymerase [Yata virus] -YP_009177203.1 polymerase [Koolpinyah virus] -YP_009177014.1 L [Kumasi rhabdovirus] -YP_009176985.1 RNA-dependent RNA polymerase [Walkabout Creek virus] -YP_009176971.1 L [Inhangapi virus] -YP_009094476.1 large protein [Dolphin rhabdovirus] -YP_009094471.1 L [Niakha virus] -YP_009094441.1 RNA polymerase [Sunguru virus] -YP_009094434.1 L protein [Malakal virus] -YP_009094424.1 putative RNA-dependent RNA polymerase [Oak-Vale virus] -YP_009094412.1 RNA-dependent RNA polymerase L [Coastal Plains virus] -YP_009094403.1 L protein [Kimberley virus] -YP_009094394.1 L protein [Puerto Almendras virus] -YP_009094388.1 polymerase [Perinet vesiculovirus] -YP_009094383.1 L protein [Arboretum almendravirus] -YP_009094372.1 RNA-dependent RNA polymerase L [Almpiwar virus] -YP_009094346.1 RNA-dependent RNA polymerase [Scophthalmus maximus rhabdovirus] -YP_009094323.1 large protein [Culex tritaeniorhynchus rhabdovirus] -YP_009094143.1 RNA polymerase [Moussa virus] -YP_009094277.1 RNA-dependent RNA polymerase [Yug Bogdanovac vesiculovirus] -YP_009094267.1 RNA polymerase [Grass carp virus] -YP_009094206.1 RNA polymerase [Tench rhabdovirus] -YP_009094178.1 RNA-dependent RNA-polymerase [Malpais Spring vesiculovirus] -YP_009094167.1 L protein [Xiburema virus] -YP_009094138.1 protein L [Berrimah virus] -YP_009094125.1 RNA-dependent RNA polymerase protein [Pike fry sprivivirus] -YP_009094101.1 L polymerase protein [Vesicular stomatitis Alagoas virus] -YP_009094027.1 L protein [Kolente virus] -YP_009094022.1 RNA-dependent RNA polymerase [Fikirini rhabdovirus] -YP_009094017.1 polymerase [Long Island tick rhabdovirus] -YP_009091830.1 RNA-depedent RNA polymerase [Maraba virus] -YP_009047085.1 L polymerase protein [Vesicular stomatitis New Jersey virus] -YP_008767243.1 polymerase L [American bat vesiculovirus TFFN-2013] -YP_008686607.1 large protein [Eel virus European X] -YP_008686601.1 RNA-dependent RNA polymerase [Drosophila obscura sigmavirus 10A] -YP_007641386.1 large polymerase protein [Isfahan virus] -YP_007641381.1 large protein [Chandipura virus] -YP_007641376.1 RNA-dependent RNA polymerase L [Tibrogargan virus] -YP_006200965.1 L protein [Obodhiang virus] -YP_006202628.1 L gene product [Kotonkan virus] -YP_003518294.1 RNA-dependent RNA polymerase [Ngaingan hapavirus] -YP_002333280.1 RNA-dependent RNA polymerase [Wongabel hapavirus] -YP_802942.1 L protein [Siniperca chuatsi rhabdovirus] -YP_238534.1 RNA polymerase [Tupaia virus] -NP_116748.1 polymerase [Carp sprivivirus] -NP_065409.1 protein L [Bovine ephemeral fever virus] -NP_041716.1 polymerase (L protein) [Vesicular stomatitis Indiana virus] -sp|P16379.2|L_VSNJO RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q8B0H5.1|L_VSIVS RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q8B0H0.1|L_VSIVC RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|P13615.1|L_VSNJH RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -AMK09277.1 RNA-dependent RNA polymerase [Pararge aegeria rhabdovirus] -ACZ81407.1 RNA polymerase [Moussa virus] -AGX86091.1 RNA-dependent RNA polymerase, partial [Beaumont virus] -AJR28310.1 polymerase [Aruac virus] -AMK09271.1 RNA-dependent RNA polymerase [Ceratitis capitata sigmavirus] -AMK09253.1 RNA-dependent RNA polymerase [Drosophila sturtevanti sigmavirus] -ABW24037.1 L protein [Carp sprivivirus] -AMK09247.1 RNA-dependent RNA polymerase, partial [Drosophila montana sigmavirus] -AJG39202.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 8] -AFJ94647.1 large protein [Eel virus European X] -APG78763.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 4] -AJR28559.1 polymerase [Connecticut virus] -AEJ07657.1 putative RNA-dependent RNA polymerase [Oak-Vale virus] -AJG39213.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 11] -ALJ30354.1 L protein [Eelpout rhabdovirus] -ALO28655.1 polymerase [Lone star tick rhabdovirus] -AJG05826.1 RNA-dependent RNA polymerase L [Bivens Arm virus] diff --git a/seq/clusters_info/cluster_20 b/seq/clusters_info/cluster_20 deleted file mode 100644 index 2410678..0000000 --- a/seq/clusters_info/cluster_20 +++ /dev/null @@ -1,76 +0,0 @@ -YP_010087206.1 P3-P5 fusion protein [Pumpkin polerovirus] -YP_010084334.1 readthrough protein [Pepper vein yellows virus 2] -YP_010086857.1 P3-P5 fusion protein [Faba bean polerovirus 1] -YP_009976131.1 P3-P5 fusion protein [Phasey bean mild yellows virus] -YP_009552852.1 P3-P5 polyprotein [Apple luteovirus 1] -YP_009551926.1 P3-P5 polyprotein [Apple-associated luteovirus] -YP_009666038.1 putative coat protein, partial [Chickpea stunt disease associated virus] -YP_009664866.1 putative coat protein P3, partial [Barley yellow dwarf virus SGV] -YP_009664862.1 aphid transmission protein P5 [Barley yellow dwarf virus kerIII] -YP_004869995.3 read-through protein [Brassica yellows virus] -YP_004869993.1 coat protein [Brassica yellows virus] -YP_009351867.1 P3-P5 [African eggplant yellowing virus] -YP_009508733.1 coat protein [Barley yellow dwarf virus GPV] -YP_009506761.1 coat protein [Sweet potato leaf speckling virus] -YP_009506760.1 coat protein [Groundnut rosette assistor virus] -YP_009465712.1 ORF5 [Pepper enamovirus] -YP_009465711.1 coat protein [Pepper enamovirus] -YP_009455743.1 putative read through protein P5 [Pepper vein yellows virus 5] -YP_009407910.1 P3-P5 readhtrough protein [Wheat leaf yellowing-associated virus] -YP_009380513.1 P3-P5 polyprotein [Peach associated luteovirus] -YP_009373265.1 aphid transmission protein [Grapevine enamovirus 1] -YP_009352255.1 read-through protein [Cowpea polerovirus 2] -YP_009352248.1 read-through protein [Cowpea polerovirus 1] -YP_009342340.1 hypothetical protein 3 [Wuhan insect virus 20] -YP_009342260.1 hypothetical protein 3 [Wenzhou tombus-like virus 6] -YP_009337733.1 hypothetical protein 5 [Hubei polero-like virus 2] -YP_009337732.1 hypothetical protein 4 [Hubei polero-like virus 2] -YP_009337099.1 hypothetical protein 3 [Changjiang polero-like virus 1] -YP_009330063.1 hypothetical protein 3 [Hubei polero-like virus 1] -YP_009316231.1 P3-P5 polyprotein [Cherry associated luteovirus] -YP_009315898.1 phid transmission P5 protein [White clover mottle virus] -YP_009254741.1 P3-P5 fusion protein [Pepo aphid-borne yellows virus] -YP_009249825.1 aphid transmission protein [Alfalfa enamovirus 1] -YP_009249572.1 P5 [Maize yellow dwarf virus-RMV2] -YP_009249570.1 P3 [Maize yellow dwarf virus-RMV2] -YP_009246453.1 P3-P5 [Barley virus G] -NP_050010.3 putative aphid transmission factor, partial [Sugarcane yellow leaf virus] -YP_009238503.1 putative read-through protein P5 [Ixeridium yellow mottle virus 1] -YP_009162335.1 P3-P5 [Luffa aphid-borne yellows virus] -YP_009143311.1 ORF3 [Nectarine stem pitting associated virus] -YP_009111316.1 P3-P5 readthrough protein [Sauropus yellowing virus] -YP_009100305.1 P3-P5 fusion protein [Strawberry polerovirus 1] -YP_008130305.1 CP readthrough protein [Citrus vein enation virus] -YP_008083741.1 P3-P5 [Maize yellow dwarf virus RMV] -YP_008083726.1 aphid transmission protein P5 [Barley yellow dwarf virus kerII] -YP_006666508.1 P3-P5 readthrough protein [Suakwa aphid-borne yellows virus] -YP_004207918.1 putative read through protein P5 [Pepper vein yellows virus] -YP_003915150.1 P5 protein [Cotton leafroll dwarf virus] -YP_003029840.1 coat protein readthrough [Wheat yellow dwarf virus-GPV] -YP_001949738.1 aphid transmission protein P5 [Rose spring dwarf-associated virus] -YP_001949872.1 read-through protein P5 [Melon aphid-borne yellows virus] -YP_001931932.1 readthrough protein [Tobacco vein distorting virus] -YP_667840.1 CP-readthrough protein [Chickpea chlorotic stunt virus] -YP_077188.1 CP readthrough protein [Carrot red leaf virus] -NP_840098.1 aphid transmission P5 protein [Beet western yellows virus] -NP_840025.2 aphid transmission P5 [Cereal yellow dwarf virus RPV] -NP_840017.2 aphid transmission protein P5 [Barley yellow dwarf virus PAV] -NP_813791.1 aphid transmission protein P5 [Barley yellow dwarf virus GAV] -NP_150434.2 hypothetical protein [Soybean dwarf virus] -NP_114364.2 putative protein P5 [Beet chlorosis virus] -NP_056751.2 CP read-through protein [Potato leafroll virus] -NP_620487.1 CP read-through protein [Turnip yellows virus] -NP_620480.1 CP read-through protein [Beet mild yellowing virus] -NP_620103.1 hypothetical protein CABYVgp4 [Cucurbit aphid-borne yellows virus] -NP_620066.1 aphid transmission protein P5 [Barley yellow dwarf virus MAV] -NP_620027.1 aphid transmission protein [Pea enation mosaic virus 1] -NP_563611.1 coat P3 and systemic movement/aphid transmission P5 fusion protein [Bean leafroll virus] -NP_054687.1 aphid transmission P5 [Cereal yellow dwarf virus RPS] -NP_037637.1 aphid transmission protein P5 [Barley yellow dwarf virus PAS] -NP_050008.1 capsid protein [Sugarcane yellow leaf virus] -sp|P11626.3|MCAPS_PLRVW RecName: Full=Minor capsid protein P3-RTD; AltName: Full=P74; AltName: Full=Readthrough protein; Short=RT protein -sp|P17521.1|CAPSD_PLRVR RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP -sp|P10470.1|CAPSD_PLRV RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP -sp|P09515.2|MCAPS_BWYVG RecName: Full=Minor capsid protein P3-RTD; AltName: Full=P74; AltName: Full=Readthrough protein; Short=RT protein -sp|Q00011.1|CAPSD_BYDVR RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP -sp|P17966.1|CAPSD_BYDVM RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP diff --git a/seq/clusters_info/cluster_200 b/seq/clusters_info/cluster_200 deleted file mode 100644 index c13d427..0000000 --- a/seq/clusters_info/cluster_200 +++ /dev/null @@ -1,26 +0,0 @@ -YP_010085023.1 G protein [Pohorje myodes paramyxovirus 1] -YP_009666854.1 G protein [Mount Mabu Lophuromys virus 2] -YP_009666846.1 G protein [Mount Mabu Lophuromys virus 1] -YP_009505440.1 hemagglutinin-neuraminidase [Simian Agent 10] -YP_009179211.1 hemagglutinin-neuraminidase [Caprine parainfluenza virus 3] -YP_009094449.1 haemagglutinin protein [Porcine respirovirus 1] -YP_009094151.1 hemagglutinin-neuraminidase protein [Salmon aquaparamyxovirus] -YP_009094119.1 attachment glycoprotein [Tailam virus] -YP_512253.1 attachment glycoprotein [Beilong virus] -YP_338084.1 attachment glycoprotein [J-virus] -NP_899660.1 hemagglutinin-neuraminidase protein HN [Fer-de-lance virus] -NP_604441.1 HN glycoprotein [Human respirovirus 1] -NP_067152.1 hemagglutinin-neuraminidase [Human respirovirus 3] -NP_037645.1 hemagglutinin-neuraminidase HN [Bovine respirovirus 3] -NP_056878.1 hemagglutinin-neuraminidase protein [Murine respirovirus] -sp|P04853.1|HN_SENDZ RecName: Full=Hemagglutinin-neuraminidase; Short=HN protein -sp|P03425.1|HN_SENDH RecName: Full=Hemagglutinin-neuraminidase; Short=HN protein -sp|P19758.1|HN_SENDF RecName: Full=Hemagglutinin-neuraminidase; Short=HN protein -sp|P08492.1|HN_PI3H4 RecName: Full=Hemagglutinin-neuraminidase -sp|P06167.1|HN_PI3B RecName: Full=Hemagglutinin-neuraminidase -sp|P16071.2|HN_PI1HW RecName: Full=Hemagglutinin-neuraminidase -sp|P12566.1|HN_PI3HX RecName: Full=Hemagglutinin-neuraminidase -sp|P12565.1|HN_PI3HW RecName: Full=Hemagglutinin-neuraminidase -sp|P12564.1|HN_PI3HV RecName: Full=Hemagglutinin-neuraminidase -sp|P12563.1|HN_PI3HU RecName: Full=Hemagglutinin-neuraminidase -sp|P12562.1|HN_PI3HT RecName: Full=Hemagglutinin-neuraminidase diff --git a/seq/clusters_info/cluster_201 b/seq/clusters_info/cluster_201 deleted file mode 100644 index a203e01..0000000 --- a/seq/clusters_info/cluster_201 +++ /dev/null @@ -1,26 +0,0 @@ -YP_010087205.1 P3a, partial [Pumpkin polerovirus] -YP_009976130.1 P3a protein, partial [Phasey bean mild yellows virus] -YP_009552851.1 P3a protein [Apple luteovirus 1] -YP_009551925.1 P3a protein [Apple-associated luteovirus] -YP_009455742.1 P3a [Pepper vein yellows virus 5] -YP_009407909.1 P3a [Wheat leaf yellowing-associated virus] -YP_009352254.1 protein 3a [Cowpea polerovirus 2] -YP_009352247.1 protein 3a [Cowpea polerovirus 1] -YP_009254740.1 P3a [Pepo aphid-borne yellows virus] -YP_009179364.2 protein 3a [Melon aphid-borne yellows virus] -YP_009179365.2 protein 3a [Potato leafroll virus] -YP_009186830.1 protein 3a [Chickpea chlorotic stunt virus] -YP_009186831.1 protein 3a [Carrot red leaf virus] -YP_009186833.1 protein 3a [Bean leafroll virus] -YP_009186829.1 protein 3a [Tobacco vein distorting virus] -YP_009186828.1 protein 3a [Cotton leafroll dwarf virus] -YP_009186827.1 protein 3a [Pepper vein yellows virus] -YP_009186823.1 protein 3a [Maize yellow dwarf virus RMV] -YP_009186825.1 protein 3a [Suakwa aphid-borne yellows virus] -YP_009179366.1 protein 3a [Wheat yellow dwarf virus-GPV] -YP_009179363.1 protein 3a [Rose spring dwarf-associated virus] -YP_009179362.1 protein 3a [Cereal yellow dwarf virus RPV] -YP_009179360.1 protein 3a [Cucurbit aphid-borne yellows virus] -YP_009179357.1 protein 3a [Cereal yellow dwarf virus RPS] -YP_009179206.1 protein 3a [Turnip yellows virus] -sp|P0DJZ8.1|ORF3A_TYYVF RecName: Full=Protein ORF3a diff --git a/seq/clusters_info/cluster_202 b/seq/clusters_info/cluster_202 deleted file mode 100644 index e34b6fd..0000000 --- a/seq/clusters_info/cluster_202 +++ /dev/null @@ -1,26 +0,0 @@ -YP_010086770.1 nucleoprotein [Taiwan bat lyssavirus] -YP_009325514.1 nucleoprotein [Gannoruwa bat lyssavirus] -YP_009325412.1 nucleoprotein [Lleida bat lyssavirus] -YP_009094484.1 nucleoprotein [Lyssavirus Ozernoe] -YP_009094327.1 nucleoprotein [Khujand lyssavirus] -YP_009094268.1 nucleoprotein [West Caucasian bat lyssavirus] -YP_009094179.1 nucleoprotein [Shimoni bat lyssavirus] -YP_009091809.1 nucleoprotein [Bokeloh bat lyssavirus] -YP_007641402.1 nucleoprotein [Duvenhage lyssavirus] -YP_007641397.1 nucleoprotein [Irkut lyssavirus] -YP_007641392.1 nucleoprotein [Aravan lyssavirus] -YP_007641387.1 nucleoprotein [Lagos bat lyssavirus] -YP_006742180.1 nucleoprotein [Ikoma lyssavirus] -YP_001285393.1 nucleoprotein [European bat 2 lyssavirus] -YP_001285388.1 nucleoprotein [European bat 1 lyssavirus] -YP_142350.1 nucleoprotein N [Mokola lyssavirus] -NP_478339.1 nucleocapsid protein [Australian bat lyssavirus] -NP_056793.1 nucleoprotein N [Rabies lyssavirus] -sp|A3RM19.1|NCAP_RABVI RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15197.2|NCAP_RABVA RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q0GBX9.1|NCAP_RABVD RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q8JTH3.1|NCAP_ABLVH RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q66T65.1|NCAP_RABVB RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|O55611.1|NCAP_RABVN RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q82994.1|NCAP_LBV RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q08314.2|NCAP_RABVF RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_203 b/seq/clusters_info/cluster_203 deleted file mode 100644 index 43a4494..0000000 --- a/seq/clusters_info/cluster_203 +++ /dev/null @@ -1,25 +0,0 @@ -YP_010087631.1 putative L protein [Strawberry cytorhabdovirus 1] -YP_010087295.1 replicase [Raspberry vein chlorosis virus] -YP_010087164.1 polymerase protein [Yerba mate chlorosis-associated virus] -YP_010087157.1 putative polymerase [Papaya cytorhabdovirus] -YP_010086794.1 RNA-dependent RNA polymerase [Cabbage cytorhabdovirus 1] -YP_010086418.1 L protein [Maize yellow striate virus] -YP_009553369.1 L protein [Rice stripe mosaic virus] -YP_009664709.1 L protein, partial [Strawberry crinkle virus] -YP_009177021.1 polymerase protein [Alfalfa dwarf virus] -YP_009362280.1 RNA-dependent RNA polymerase [Colocasia bobone disease-associated virus] -YP_009506261.1 polymerase protein [Cacao swollen shoot Togo A virus] -YP_009352236.1 L protein [Tomato yellow mottle-associated virus] -YP_009301361.1 RNA-dependent RNA polymerase [Wuhan Insect virus 6] -YP_009300875.1 RNA-dependent RNA polymerase [Wuhan Insect virus 5] -YP_009300689.1 RNA-dependent RNA polymerase [Wuhan Insect virus 4] -YP_009177231.1 L protein [Barley yellow striate mosaic cytorhabdovirus] -YP_009091823.1 L [Farmington virus] -YP_006576506.2 RNA-dependent RNA polymerase [Persimmon virus A] -YP_002308576.1 L protein [Lettuce big-vein associated varicosavirus] -YP_002308376.1 L protein [Lettuce yellow mottle virus] -YP_425092.1 RNA-dependent RNA polymerase [Lettuce necrotic yellows virus] -NP_597914.1 polymerase [Northern cereal mosaic cytorhabdovirus] -YP_009130620.1 polyprotein [Black grass varicosavirus-like virus] -AKJ77889.1 RNA-dependent RNA polymerase, partial [Iranian citrus ringspot virus] -AAP03645.2 putative RNA-dependent RNA polymerase, partial [Strawberry crinkle virus] diff --git a/seq/clusters_info/cluster_204 b/seq/clusters_info/cluster_204 deleted file mode 100644 index bbf5d32..0000000 --- a/seq/clusters_info/cluster_204 +++ /dev/null @@ -1,25 +0,0 @@ -YP_008470969.1 replicase protein [Ageratum latent virus 1998] -YP_009551612.1 unnamed protein product [Apple necrotic mosaic virus] -YP_009551567.1 methyltransferase-helicase [Tea plant line pattern virus] -YP_009551515.1 replicase protein [Cape gooseberry ilarvirus 1] -YP_009508872.1 replicase [Tomato necrotic streak virus] -YP_009165996.1 replication-associated polyprotein 1a [Privet ringspot virus] -YP_009104367.1 methyltransferase/helicase [Lilac leaf chlorosis virus] -YP_008519304.1 replicase P1 [Blueberry shock virus] -YP_002455928.1 replicase [Asparagus virus 2] -YP_002308569.1 replicase [Blackberry chlorotic ringspot virus] -YP_941474.2 replicase [Strawberry necrotic shock virus] -YP_001285482.1 putative viral replicase [Citrus variegation virus] -YP_611154.1 putative viral replicase [Prune dwarf virus] -YP_164801.1 replicase [Fragaria chiloensis latent virus] -YP_054422.1 replicase [Humulus japonicus latent virus] -YP_006446.1 p1 protein [Parietaria mottle virus] -NP_733823.1 replicase p1 [Prunus necrotic ringspot virus] -NP_620753.1 viral replicase p1 [Tulare apple mosaic virus] -NP_620772.1 putative viral replicase [Tobacco streak virus] -NP_620677.1 putative replicase [Spinach latent virus] -NP_619576.1 replicase [Elm mottle virus] -NP_613282.1 hypothetical protein CiLRVs1gp1 [Citrus leaf rugose virus] -NP_604469.1 putative methyltransferase/helicase [Apple mosaic virus] -NP_602312.1 putative viral replicase p1 [American plum line pattern virus] -NP_041192.1 125.7 kd protein [Alfalfa mosaic virus] diff --git a/seq/clusters_info/cluster_205 b/seq/clusters_info/cluster_205 deleted file mode 100644 index b1595ce..0000000 --- a/seq/clusters_info/cluster_205 +++ /dev/null @@ -1,25 +0,0 @@ -YP_010086234.1 movement protein [Groundnut chlorotic fan-spot virus] -YP_010086062.1 nonstructural protein [Alstroemeria necrotic streak virus] -YP_010086210.1 NSm [Tomato yellow ring virus] -YP_010085062.1 nonstructural protein [Soybean vein necrosis virus] -YP_009553293.1 nonstructural protein [Alstroemeria yellow spot virus] -YP_009512998.1 putative movement protein [Polygonum ringspot orthotospovirus] -YP_009505545.1 nonstructural NSm protein [Watermelon bud necrosis virus] -YP_009505289.1 NSm [Iris yellow spot virus] -YP_009449455.1 NSm [Calla lily chlorotic spot virus] -YP_009408635.1 nonstructural movement protein [Tomato chlorotic spot virus] -YP_009346015.1 NSm [Melon severe mosaic tospovirus] -YP_009345143.1 nonstructural NSm protein [Pepper chlorotic spot virus] -YP_009315930.1 movement protein NSm [Zucchini lethal chlorosis virus] -YP_009259253.1 Nsm [Tospovirus kiwifruit/YXW/2014] -YP_009162645.1 movement protein [Chrysanthemum stem necrosis virus] -YP_009126737.1 NSm [Mulberry vein banding virus] -YP_006468901.1 non-structural movement protein [Bean necrotic mosaic virus] -YP_001740045.1 NSm [Tomato zonate spot virus] -YP_717925.1 non-structural protein [Capsicum chlorosis virus] -YP_717934.1 nonstructural protein [Melon yellow spot virus] -YP_009225.1 non-structural protein fragment, partial [Tomato spotted wilt orthotospovirus] -NP_620766.1 nonstructural protein [Watermelon silver mottle orthotospovirus] -NP_619702.1 non-structural protein [Groundnut bud necrosis virus] -NP_619690.1 nonstructural protein [Impatiens necrotic spot virus] -sp|P36292.1|MVP_TSWV1 RecName: Full=Movement protein; Short=MVP; AltName: Full=Non-structural movement protein; Short=NSm diff --git a/seq/clusters_info/cluster_206 b/seq/clusters_info/cluster_206 deleted file mode 100644 index a073f2d..0000000 --- a/seq/clusters_info/cluster_206 +++ /dev/null @@ -1,25 +0,0 @@ -YP_009508474.1 L protein [Ryukyu mammarenavirus] -YP_009505806.1 RNA-dependent RNA polymerase [Loei River mammarenavirus] -YP_009508476.1 polymerase [Souris virus] -YP_009141010.1 RNA-dependent RNA polymerase [Okahandja mammarenavirus] -YP_009141008.1 RNA-dependent RNA polymerase [Mariental mammarenavirus] -YP_009116793.1 polymerase [Gairo mammarenavirus] -YP_009113209.1 L [Wenzhou mammarenavirus] -YP_009019199.1 L [Merino Walk mammarenavirus] -YP_006858709.1 L protein [Lunk virus NKS-1] -YP_004933733.1 L gene product [Luna mammarenavirus] -YP_003090217.1 polymerase [Morogoro mammarenavirus] -YP_002929493.1 large RNA-dependent RNA polymerase [Lujo mammarenavirus] -YP_516229.1 L protein [Mobala mammarenavirus] -YP_516233.1 L protein [Ippy mammarenavirus] -YP_170708.1 polymerase RDRP [Mopeia virus AN20410] -NP_694872.1 L protein [Lassa mammarenavirus] -NP_694845.1 L protein [Lymphocytic choriomeningitis mammarenavirus] -sp|P14241.1|L_LYCVW RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -AHE76148.1 RNA-dependent RNA polymerase [Cardamones virus] -AIT17661.1 polymerase [Lassa mammarenavirus] -AMR44580.2 L polymerase [Lassa mammarenavirus] -ANH09760.1 polymerase [Lassa mammarenavirus] -ABI96821.1 L protein [Lymphocytic choriomeningitis mammarenavirus] -AIT17835.1 polymerase [Lassa mammarenavirus] -ADU56621.1 polymerase [Lassa mammarenavirus] diff --git a/seq/clusters_info/cluster_207 b/seq/clusters_info/cluster_207 deleted file mode 100644 index 95b8825..0000000 --- a/seq/clusters_info/cluster_207 +++ /dev/null @@ -1,25 +0,0 @@ -YP_010087355.1 coat protein [Cnidium virus X] -YP_009664755.1 capsid protein [Banana virus X] -YP_009357238.1 coat protein [Watermelon virus A] -YP_009357229.1 coat protein [Cassava virus X] -YP_009215378.1 coat protein [Asian prunus virus 3] -YP_009204565.1 coat protein [Asian prunus virus 2] -YP_009186838.1 coat protein [Actinidia virus X] -YP_009124992.1 hypothetical protein [Citrus yellow vein clearing virus] -YP_009094351.1 coat protein [Asian prunus virus 1] -YP_004089623.1 coat protein [Apricot latent virus] -YP_001960944.1 coat protein [Lettuce virus X] -YP_001715616.1 coat protein [Asparagus virus 3] -YP_001497157.1 coat protein [Peach chlorotic mottle virus] -YP_667848.1 ORF 5 [Malva mosaic virus] -YP_319831.1 coat protein [Alstroemeria virus X] -NP_663728.1 CP [Pepino mosaic virus] -NP_620719.1 coat protein [White clover mosaic virus] -NP_619750.1 capsid protein [Potato aucuba mosaic virus] -NP_570730.1 coat protein [Asparagus virus 3] -NP_203557.1 capsid protein CP [Indian citrus ringspot virus] -NP_054029.1 coat protein [Cymbidium mosaic virus] -NP_040782.1 coat protein [Narcissus mosaic virus] -sp|P37993.1|CAPSD_PAMV RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -sp|P15406.1|CAPSD_WCMVO RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -sp|Q00467.1|CAPSD_CMVSI RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP diff --git a/seq/clusters_info/cluster_208 b/seq/clusters_info/cluster_208 deleted file mode 100644 index 7e1e2b0..0000000 --- a/seq/clusters_info/cluster_208 +++ /dev/null @@ -1,25 +0,0 @@ -YP_010087189.1 RNA-directed RNA polymerase L [Mengla dianlovirus] -YP_010085051.1 L protein [Wenling thamnaconus septentrionalis filovirus] -YP_010085045.1 L protein [Wenling frogfish filovirus] -YP_003815440.1 RNA-dependent RNA polymerase [Bundibugyo ebolavirus] -YP_009513282.1 RNA-dependent RNA polymerase [Bombali ebolavirus] -YP_009055228.1 RNA-dependent RNA polymerase [Marburg marburgvirus] -YP_004928143.1 RNA-dependent RNA polymerase [Lloviu cuevavirus] -YP_003815431.1 RNA-dependent RNA polymerase [Tai Forest ebolavirus] -YP_001531159.1 RNA-dependent RNA polymerase [Marburg marburgvirus] -YP_138527.1 RNA-dependent RNA polymerase [Sudan ebolavirus] -NP_066251.1 RNA-dependent RNA polymerase [Zaire ebolavirus] -sp|Q1PD54.1|L_MABVA RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q91DD4.1|L_EBORE RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q6UY63.1|L_MABVO RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q66802.1|L_EBOSM RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|P35262.1|L_MABVP RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -AKG65642.1 polymerase [Zaire ebolavirus] -AJZ74605.1 L, partial [Zaire ebolavirus] -AKO69552.1 RNA-dependent RNA polymerase, partial [Bat filovirus] -AKG96060.1 polymerase, partial [Zaire ebolavirus] -AKI83296.1 polymerase [Zaire ebolavirus] -AKC36028.1 polymerase [Zaire ebolavirus] -AJZ74613.1 L, partial [Zaire ebolavirus] -AKC37134.1 polymerase [Zaire ebolavirus] -AAV48581.1 polymerase [Reston ebolavirus] diff --git a/seq/clusters_info/cluster_209 b/seq/clusters_info/cluster_209 deleted file mode 100644 index 21c3808..0000000 --- a/seq/clusters_info/cluster_209 +++ /dev/null @@ -1,25 +0,0 @@ -YP_009553738.1 Cap [Guangxi orbivirus] -YP_009507720.1 VP4 [Orungo virus] -YP_009507709.1 VP4 [Lebombo virus] -YP_009507704.1 VP4 [Eubenangee virus] -YP_009507694.1 VP4 [Equine encephalosis virus] -YP_009507731.1 VP4 [Warrego virus] -YP_009507676.1 VP4 protein [Corriparta virus] -YP_009345882.1 VP4 [Orbivirus SX-2017a] -YP_009158903.1 capping enzyme [Chobar Gorge virus] -YP_009158884.1 capping enzyme [Wad Medani virus] -YP_009158880.1 capping enzyme [Chenuda virus] -YP_009047262.1 VP4 [Umatilla virus] -YP_008719927.1 capping enzyme [Changuinola virus] -YP_008719907.1 VP4 [Mobuck virus] -YP_008658423.1 Cap [Wallal virus] -YP_003896060.1 VP3 [Great Island virus] -YP_003240111.1 VP4 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_052969.2 hypothetical protein BTVs4gp1 [Bluetongue virus] -YP_460041.1 VP4 [Peruvian horse sickness virus] -YP_443928.1 VP4 [Yunnan orbivirus] -YP_052936.1 minor core protein VP4 [Palyam virus] -YP_052957.1 inner capsid protein [African horse sickness virus] -YP_052945.1 VP4 [St Croix River virus] -sp|P33427.1|VP4_BTV2A RecName: Full=Core protein VP4 -sp|P33429.1|VP4_BTV13 RecName: Full=Core protein VP4 diff --git a/seq/clusters_info/cluster_21 b/seq/clusters_info/cluster_21 deleted file mode 100644 index fceb522..0000000 --- a/seq/clusters_info/cluster_21 +++ /dev/null @@ -1,75 +0,0 @@ -YP_010085065.1 nucleocapsid protein [Macaua virus] -YP_010085101.1 nucleocapsid [Maguari virus] -YP_010085092.1 N protein [Tahyna virus] -YP_010085084.1 nucleocapsid protein [Anadyr virus] -YP_010085082.1 nucleocapsid protein [Snowshoe hare virus] -YP_010085077.1 nucleoprotein [Trivittatus virus] -YP_010085069.1 nucleocapsid protein [Facey's Paddock virus] -YP_010084297.1 nucleocapsid [California encephalitis virus] -YP_009667051.1 nucleocapsid [Shuni orthobunyavirus] -YP_009667045.1 nucleocapsid protein [Tacaiuma orthobunyavirus] -YP_009667041.1 nucleocapsid [Patois virus] -YP_009667037.1 nucleocapsid [Zegla virus] -YP_009666999.1 nucleoprotein [Bozo virus] -YP_009666994.1 nucleoprotein [Birao virus] -YP_009666986.1 nucleocapsid [Potosi virus] -YP_009666978.1 nucleocapsid [Serra do Navio virus] -YP_009666973.1 nucleocapsid [San Angelo virus] -YP_009666971.1 nucleocapsid [Melao virus] -YP_009666966.1 nucleocapsid [Lumbo virus] -YP_009666962.1 nucleocapsid [Keystone virus] -YP_009666955.1 nucleocapsid protein [Bellavista virus] -YP_009666947.1 nucleoprotein [Fort Sherman virus] -YP_009666944.1 nucleocapsid protein N [Enseada virus] -YP_009666927.1 nucleocapsid protein [Buttonwillow virus] -YP_009666925.1 nucleocapsid protein [Utinga virus] -YP_009666922.1 nucleocapsid protein [Mermet virus] -YP_009666919.1 nucleocapsid protein [Ingwavuma virus] -YP_009666913.1 nucleoprotein [Ilesha virus] -YP_009666909.1 nucleocapsid protein [Schmallenberg virus] -YP_009666907.1 nucleoprotein [Batai virus] -YP_009666901.1 nucleocapsid protein [Jatobal virus] -YP_009666899.1 nucleocapsid protein [Cachoeira Porteira virus] -YP_009666897.1 nucleocapsid protein [Sororoca virus] -YP_009666894.1 nucleocapsid protein [Iaco virus] -YP_009666891.1 nucleocapsid protein [Anhembi virus] -YP_009666882.1 nucleoprotein [Jamestown Canyon virus] -YP_009666876.1 nucleocapsid protein [Sabo virus] -YP_009666866.1 nucleocapsid protein [Tensaw virus] -YP_009667019.1 nucleocapsid protein [Witwatersrand virus] -YP_009666935.1 nucleocapsid protein [Tataguine virus] -YP_009666887.1 nucleocapsid [Leanyer virus] -YP_009666106.1 nucleocapsid protein [M'Poko virus] -YP_009664556.1 nucleocapsid protein [Umbre virus] -YP_009512927.1 nucleocapsid protein [Wyeomyia orthobunyavirus] -YP_009512924.1 nucleocapsid protein [Tete orthobunyavirus] -YP_009362058.1 nucleoprotein [Guaroa virus] -YP_009508268.1 N protein [Main Drain virus] -YP_009507887.1 nucleocapsid protein [Koongol virus] -YP_009507883.1 Structural nucleocapsid protein N [Kairi virus] -YP_009507880.1 nucleocapsid protein [Guama virus] -YP_009507875.1 nucleocapsid protein [Guajara orthobunyavirus] -YP_009507873.1 structural nucleocapsid protein [Gamboa virus] -YP_009507867.1 nucleocapsid protein [Bimiti virus] -YP_009507864.1 nucleocapsid protein [Batama virus] -YP_009507863.1 nucleocapsid protein [Anopheles B virus] -YP_009507861.1 nucleocapsid protein [Lukuni virus] -YP_009362986.1 nucleoprotein [Wolkberg virus] -YP_009362076.1 nucleoprotein [Kaeng Khoi virus] -YP_009362072.1 nucleocapsid protein [Madrid virus] -YP_009362069.1 nucleocapsid protein [Marituba virus] -YP_009362055.1 nucleocapsid protein [Capim virus] -YP_009362052.1 nucleoprotein [Nyando virus] -YP_009362050.1 nucleoprotein [Bwamba orthobunyavirus] -YP_009362047.1 nucleocapsid protein [Caraparu virus] -YP_009362044.1 nucleocapsid protein [Oriboca virus] -YP_009117084.1 nucleocapsid [Maprik virus] -YP_009028568.1 nucleoprotein [Cat Que virus] -YP_008709778.1 nucleocapsid [Murrumbidgee virus] -YP_008400136.1 nucleocapsid [Brazoran virus] -YP_006590083.1 nucleocapsid protein [Simbu orthobunyavirus] -YP_001497161.1 nucleocapsid [Akabane virus] -NP_982305.1 nucleoprotein [Oropouche virus] -NP_671970.1 nucleoprotein [La Crosse virus] -NP_047213.1 N protein [Bunyamwera virus] -sp|P16993.1|NCAP_BUNGE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_210 b/seq/clusters_info/cluster_210 deleted file mode 100644 index 8b9f4b2..0000000 --- a/seq/clusters_info/cluster_210 +++ /dev/null @@ -1,25 +0,0 @@ -YP_009666504.1 caulimovirus viroplasmin [Angelica bushy stunt virus] -YP_009165751.1 ORF6 [Atractylodes mild mottle virus] -YP_006907835.1 inclusion body matrix protein [Horseradish latent virus] -YP_006732335.1 inclusion body matrix protein [Dahlia mosaic virus] -YP_006607893.1 transcriptional transactivator [Soybean Putnam virus] -YP_001931968.1 inclusion body/transactivation factor [Eupatorium vein clearing virus] -YP_001931962.1 inclusion body/transactivation factor [Lamium leaf distortion virus] -NP_861547.1 TAV [Cestrum yellow leaf curling virus] -NP_659398.1 hypothetical protein [Mirabilis mosaic virus] -NP_619549.1 unnamed protein product [Figwort mosaic virus] -NP_612578.1 Inclusion body matrix protein [Carnation etched ring virus] -NP_395470.1 putative translational transactivator [Blueberry red ringspot virus] -NP_068730.1 putative inclusion body protein [Soybean chlorotic mottle virus] -NP_043934.1 hypothetical protein [Strawberry vein banding virus] -NP_042514.1 hypothetical protein [Peanut chlorotic streak virus] -NP_056729.1 inclusion body matrix protein [Cauliflower mosaic virus] -sp|P03557.2|IBMP_CAMVD RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|P03558.1|IBMP_CAMVC RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|P16666.1|IBMP_CAMVB RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|P22547.1|IBMP_CAMV4 RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|Q00957.2|IBMP_CAMVN RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|Q05651.1|IBMP_CAMVW RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|Q02954.1|IBMP_CAMVE RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|P18617.1|IBMP_CAMVP RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -sp|P13218.1|IBMP_CAMVJ RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein diff --git a/seq/clusters_info/cluster_211 b/seq/clusters_info/cluster_211 deleted file mode 100644 index 248c47d..0000000 --- a/seq/clusters_info/cluster_211 +++ /dev/null @@ -1,25 +0,0 @@ -YP_009345014.1 hypothetical protein 2 [Wuhan insect virus 34] -YP_009342464.1 RdRp [Wuhan house centipede virus 5] -YP_009342442.1 hypothetical protein 2 [Wuhan insect virus 17] -YP_009342317.1 hypothetical protein 2 [Wuchan romanomermis nematode virus 3] -YP_009337875.1 hypothetical protein 2 [Hubei diptera virus 14] -YP_009337869.1 RdRp [Wenzhou shrimp virus 9] -YP_009337260.1 hypothetical protein 2 [Shuangao sobemo-like virus 2] -YP_009337222.1 hypothetical protein 2 [Shuangao sobemo-like virus 3] -YP_009336757.1 hypothetical protein 2 [Beihai sobemo-like virus 27] -YP_009330130.1 hypothetical protein [Hubei sobemo-like virus 41] -YP_009330126.1 hypothetical protein 2 [Hubei sobemo-like virus 40] -YP_009330118.1 hypothetical protein 3 [Hubei sobemo-like virus 38] -YP_009330095.1 hypothetical protein 3 [Hubei sobemo-like virus 37] -YP_009330092.1 hypothetical protein 2 [Hubei sobemo-like virus 45] -YP_009330082.1 hypothetical protein 2 [Hubei sobemo-like virus 49] -YP_009330080.1 hypothetical protein 2 [Hubei sobemo-like virus 48] -YP_009330039.1 hypothetical protein 2 [Hubei sobemo-like virus 44] -YP_009330003.1 hypothetical protein 2 [Hubei sobemo-like virus 46] -YP_009329996.1 hypothetical protein 2 [Hubei sobemo-like virus 47] -YP_009329960.1 hypothetical protein [Hubei sobemo-like virus 43] -YP_009330107.1 hypothetical protein 2 [Hubei sobemo-like virus 42] -AMO03215.1 hypothetical protein 2, partial [La Tardoire virus] -APG75826.1 hypothetical protein 2 [Hubei sobemo-like virus 40] -APG75881.1 hypothetical protein 3 [Sanxia water strider virus 12] -APG75754.1 hypothetical protein 3, partial [Hubei sobemo-like virus 39] diff --git a/seq/clusters_info/cluster_212 b/seq/clusters_info/cluster_212 deleted file mode 100644 index 3c92f2d..0000000 --- a/seq/clusters_info/cluster_212 +++ /dev/null @@ -1,25 +0,0 @@ -YP_009337251.1 hypothetical protein [Beihai weivirus-like virus 9] -YP_009337227.1 hypothetical protein [Beihai weivirus-like virus 20] -YP_009337205.1 hypothetical protein [Beihai weivirus-like virus 11] -YP_009337184.1 hypothetical protein [Beihai weivirus-like virus 12] -YP_009337163.1 hypothetical protein [Beihai weivirus-like virus 4] -YP_009337103.1 hypothetical protein 2 [Beihai weivirus-like virus 13] -YP_009337073.1 hypothetical protein [Beihai weivirus-like virus 21] -YP_009337048.1 hypothetical protein [Beihai weivirus-like virus 7] -YP_009337014.1 hypothetical protein 2 [Beihai sobemo-like virus 6] -YP_009337005.1 hypothetical protein [Beihai weivirus-like virus 5] -YP_009336993.1 hypothetical protein [Beihai weivirus-like virus 16] -YP_009336986.1 putative capsid protein [Beihai sobemo-like virus 8] -YP_009336974.1 hypothetical protein [Beihai weivirus-like virus 3] -YP_009336961.1 putative capsid protein [Beihai weivirus-like virus 2] -YP_009336958.1 hypothetical protein 2 [Beihai sobemo-like virus 3] -YP_009336950.1 hypothetical protein 2 [Beihai sobemo-like virus 9] -YP_009336945.1 putative capsid protein [Beihai weivirus-like virus 17] -YP_009336926.1 hypothetical protein 2 [Beihai sobemo-like virus 7] -YP_009336901.1 hypothetical protein 2 [Beihai sobemo-like virus 5] -YP_009336877.1 hypothetical protein 2 [Beihai sobemo-like virus 4] -YP_009333317.1 hypothetical protein [Beihai narna-like virus 9] -YP_009333272.1 hypothetical protein [Beihai narna-like virus 10] -YP_009333268.1 hypothetical protein [Beihai narna-like virus 8] -YP_009330022.1 hypothetical protein 2 [Beihai sobemo-like virus 10] -YP_386496.1 major viral capsid protein [Heterocapsa circularisquama RNA virus 01] diff --git a/seq/clusters_info/cluster_213 b/seq/clusters_info/cluster_213 deleted file mode 100644 index cbd842e..0000000 --- a/seq/clusters_info/cluster_213 +++ /dev/null @@ -1,24 +0,0 @@ -YP_009551532.1 NSP2 [Bat rotavirus] -YP_008145316.1 NSP2 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896053.1 NSP2 [Rotavirus D chicken/05V0049/DEU/2005] -YP_002302221.1 NSP2 [Rotavirus A] -YP_392488.1 nonstructural protein 2 [Rotavirus C] -sp|Q3ZK62.1|NSP2_ROT41 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|Q86484.1|NSP2_ROTPC RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|B3SRR4.1|NSP2_ROTH7 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|P03538.1|NSP2_ROTBU RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|A4ZCW3.1|NSP2_ROTH3 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|Q03240.1|NSP2_ROTHD RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|P03537.1|NSP2_ROTS1 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|Q86505.1|NSP2_ROTRF RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|Q03245.1|NSP2_ROTHW RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|B3SRX8.1|NSP2_ROTWI RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|B2BRG3.1|NSP2_ROTW3 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|B3SRX0.1|NSP2_ROTHT RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|B3SRV4.1|NSP2_ROTHP RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|A3DSK8.1|NSP2_ROTHL RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|B3SRQ6.1|NSP2_ROTH6 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|B3SRS2.1|NSP2_ROTAD RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|Q9QNA8.1|NSP2_ROTHK RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|Q03244.1|NSP2_ROTA1 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|P09366.1|NSP2_ROTP5 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 diff --git a/seq/clusters_info/cluster_214 b/seq/clusters_info/cluster_214 deleted file mode 100644 index 203c9cd..0000000 --- a/seq/clusters_info/cluster_214 +++ /dev/null @@ -1,24 +0,0 @@ -YP_009552718.1 replicase [Blackberry virus A] -YP_009552539.1 replicase [Grapevine virus G] -YP_009551967.1 Replicase [Grapevine virus J] -YP_009551946.1 replicase [Grapevine virus G] -YP_009551905.1 putative replicase [Grapevine virus H] -YP_009664761.1 replicase, partial [Mint virus 2] -YP_009664756.1 putative replicase, partial [Actinidia virus A] -YP_009465945.1 replicase [Grapevine virus I] -YP_009389463.1 RNA-dependent RNA polymerase [Grapevine virus K] -YP_009373228.1 RNA-dependent RNA polymerase [Agave tequilana leaf virus] -YP_009352883.1 replicase [Arracacha virus V] -YP_009051684.1 replicase [Prunus virus T] -YP_006590065.1 replicase [Grapevine virus F] -YP_004935358.1 ORF1 gene product [Actinidia virus B] -YP_002117775.1 replicase [Grapevine virus E] -YP_002019748.1 replicase [Potato virus T] -NP_619654.1 putative replicase [Grapevine virus B] -NP_619662.1 putative replicase [Grapevine virus A] -AFV39891.1 replicase [Potato virus T] -ABH06988.2 194 kDa protein [Grapevine virus A] -AFV73358.1 195 kDa replicase [Grapevine virus A] -ABH06996.2 replication-related protein [Grapevine virus A] -AOX49252.1 replicase [Grapevine virus B] -ADH51680.1 replicase [Grapevine virus E] diff --git a/seq/clusters_info/cluster_215 b/seq/clusters_info/cluster_215 deleted file mode 100644 index a1daa58..0000000 --- a/seq/clusters_info/cluster_215 +++ /dev/null @@ -1,24 +0,0 @@ -YP_009337807.1 hypothetical protein 1 [Sanxia picorna-like virus 13] -YP_009337727.1 hypothetical protein 1 [Sanxia atyid shrimp virus 3] -YP_009337713.1 hypothetical protein 1 [Sanxia picorna-like virus 11] -YP_009337210.1 hypothetical protein 1 [Hubei picorna-like virus 21] -YP_009337055.1 hypothetical protein 1 [Hubei picorna-like virus 20] -YP_009336909.1 hypothetical protein 1 [Wenzhou picorna-like virus 33] -YP_009336760.1 hypothetical protein 1 [Changjiang crawfish virus 3] -YP_009336753.1 hypothetical protein 1 [Shahe picorna-like virus 9] -YP_009336747.1 hypothetical protein 1 [Changjiang picorna-like virus 7] -YP_009336737.1 hypothetical protein 1 [Changjiang picorna-like virus 8] -YP_009336729.1 hypothetical protein 1 [Changjiang crawfish virus 2] -YP_009336698.1 hypothetical protein 1 [Changjiang picorna-like virus 11] -YP_009336674.1 hypothetical protein 1 [Changjiang picorna-like virus 10] -YP_009336619.1 hypothetical protein 1 [Changjiang picorna-like virus 6] -YP_009336530.1 hypothetical protein 1 [Changjiang picorna-like virus 9] -YP_009336953.1 hypothetical protein 1 [Wenzhou picorna-like virus 31] -YP_009333535.1 hypothetical protein 1 [Beihai picorna-like virus 79] -YP_009333141.1 hypothetical protein 1 [Sanxia picorna-like virus 12] -YP_009329819.1 replicative protein [Bivalve RNA virus G2] -YP_009255227.1 nonstructural protein [Antarctic picorna-like virus 1] -AFR11849.1 nonstructural polyprotein, partial [Nedicistrovirus TFN-2012] -APG77492.1 hypothetical protein 1 [Hubei picorna-like virus 19] -APG76687.1 hypothetical protein 1 [Beihai picorna-like virus 78] -APG79006.1 hypothetical protein 1 [Shahe picorna-like virus 9] diff --git a/seq/clusters_info/cluster_216 b/seq/clusters_info/cluster_216 deleted file mode 100644 index 9eedec7..0000000 --- a/seq/clusters_info/cluster_216 +++ /dev/null @@ -1,24 +0,0 @@ -NP_758892.1 env protein [Simian immunodeficiency virus SIV-mnd 2] -NP_056844.1 env polyprotein [Human immunodeficiency virus 2] -NP_054372.1 envelope protein [Simian immunodeficiency virus] -sp|Q89607.1|ENV_HV2EH RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q76638.1|ENV_HV2UC RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q74126.1|ENV_HV2KR RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P15831.2|ENV_HV2D2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P32536.1|ENV_HV2S2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P19503.1|ENV_SIVSP RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|P12492.1|ENV_SIVS4 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|P22380.1|ENV_SIVGB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32 -sp|P27977.1|ENV_SIVVG RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|P27757.1|ENV_SIVV1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|P04577.1|ENV_HV2RO RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05883.1|ENV_HV2NZ RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P12449.1|ENV_HV2SB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P18040.1|ENV_HV2G1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P24105.1|ENV_HV2CA RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P17755.2|ENV_HV2D1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P11267.2|ENV_SIVML RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|P08810.2|ENV_SIVM2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|P20872.2|ENV_HV2ST RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05885.1|ENV_SIVM1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|P05886.1|ENV_SIVVT RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor diff --git a/seq/clusters_info/cluster_217 b/seq/clusters_info/cluster_217 deleted file mode 100644 index d9d9d85..0000000 --- a/seq/clusters_info/cluster_217 +++ /dev/null @@ -1,23 +0,0 @@ -YP_010086750.1 replicase [Agaricus bisporus endornavirus 1] -YP_009553622.1 polyprotein [Cluster bean endornavirus 1] -YP_009553502.1 polyprotein [Helianthus annuus alphaendornavirus] -YP_009513188.1 polyprotein [Phaseolus vulgaris alphaendornavirus 1] -YP_009506353.1 polyprotein [Phaseolus vulgaris alphaendornavirus 2] -YP_009513187.1 polyprotein [Bell pepper alphaendornavirus] -YP_009351891.1 polyprotein [Lagenaria siceraria endornavirus-Hubei] -YP_009342056.1 hypothetical protein [Wuchan romanomermis nematode virus 1] -YP_009337681.1 hypothetical protein [Hubei endorna-like virus 1] -YP_009336626.1 hypothetical protein [Shahe endorna-like virus 1] -YP_009305414.1 polyprotein [Winged bean alphaendornavirus 1] -YP_009222598.1 polyprotein [Cucumis melo alphaendornavirus] -YP_009212849.1 polyprotein [Hordeum vulgare alphaendornavirus] -YP_009165596.1 polyprotein [Hot pepper alphaendornavirus] -YP_009046830.1 putative polyprotein [Yerba mate alphaendornavirus] -YP_009010973.1 polyprotein [Lagenaria siceraria endornavirus-California] -YP_005086952.1 unnamed protein product [Persea americana alphaendornavirus 1] -YP_438200.1 polyprotein [Oryza sativa alphaendornavirus] -YP_438202.1 polyprotein [Oryza rufipogon alphaendornavirus] -YP_241110.1 hypothetical protein PEV1p1 [Phytophthora alphaendornavirus 1] -APG77570.1 hypothetical protein [Behai endorna-like virus 1] -AOV81695.1 polyprotein, partial [Endornavirus-like virus] -ALM62234.1 RNA-dependent RNA polymerase [Soybean leaf-associated endornavirus 1] diff --git a/seq/clusters_info/cluster_218 b/seq/clusters_info/cluster_218 deleted file mode 100644 index 9ab34ab..0000000 --- a/seq/clusters_info/cluster_218 +++ /dev/null @@ -1,23 +0,0 @@ -YP_138535.1 Z protein [Pichinde virus] -YP_089659.1 Z protein [Sabia virus] -YP_010086248.1 zinc finger protein [Xapuri virus] -YP_009553323.1 Z protein [Apore mammarenavirus] -YP_001936027.1 Z protein [Parana virus] -YP_001936025.1 Z protein [Latino mammarenavirus] -YP_001936023.1 Z protein [Flexal mammarenavirus] -YP_001911119.1 Z protein [Whitewater Arroyo mammarenavirus] -YP_001911117.1 Z protein [Tamiami mammarenavirus] -YP_001816784.1 Z protein [Chapare mammarenavirus] -YP_001649219.1 Z protein [Cupixi mammarenavirus] -YP_001649224.1 Z protein [Bear Canyon mammarenavirus] -YP_001649213.1 Z protein [Allpahuayo mammarenavirus] -YP_001649217.1 Z protein [Amapari virus] -YP_001649215.1 Z protein [Oliveros mammarenavirus] -YP_025092.1 Z protein [Pirital mammarenavirus] -NP_899220.1 Z protein [Guanarito mammarenavirus] -NP_899214.1 Z protein [Machupo mammarenavirus] -NP_899216.1 Z protein [Argentinian mammarenavirus] -NP_694847.1 P-11 protein [Tacaribe mammarenavirus] -sp|B2ZDY1.1|Z_WWAVU RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -sp|Q6IVU5.1|Z_JUNIN RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -sp|Q6IUF9.1|Z_MACHU RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein diff --git a/seq/clusters_info/cluster_219 b/seq/clusters_info/cluster_219 deleted file mode 100644 index e1f8e40..0000000 --- a/seq/clusters_info/cluster_219 +++ /dev/null @@ -1,23 +0,0 @@ -YP_138512.1 phosphoprotein [Parainfluenza virus 5] -YP_138513.1 V protein [Parainfluenza virus 5] -YP_010087170.1 W protein [Alston virus] -YP_010087169.1 V protein [Alston virus] -YP_010087168.1 phosphoprotein [Alston virus] -NP_054709.1 V protein [Mumps orthorubulavirus] -NP_054708.1 phoshoprotein [Mumps orthorubulavirus] -YP_009505443.1 phosphoprotein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -YP_007008148.1 phosphoprotein [Avian paramyxovirus 4] -YP_001331028.1 phosphoprotein [Porcine orthorubulavirus] -YP_001331030.1 W protein [Porcine orthorubulavirus] -YP_001331029.1 V protein [Porcine orthorubulavirus] -YP_138505.1 P protein [Simian orthorubulavirus] -YP_138506.1 V protein [Simian orthorubulavirus] -NP_599019.1 P protein [Human orthorubulavirus 2] -NP_598402.1 phospho-protein [Human orthorubulavirus 2] -sp|P60167.1|V_MUMP1 RecName: Full=Non-structural protein V; AltName: Full=Non-structural protein NS1 -sp|P60166.1|PHOSP_MUMPS RecName: Full=Phosphoprotein; Short=Protein P -sp|P33483.1|V_MUMPS RecName: Full=Non-structural protein V; AltName: Full=Non-structural protein NS1 -sp|P30927.1|V_MUMPE RecName: Full=Non-structural protein V; AltName: Full=Non-structural protein NS1 -sp|P23055.1|PHOSP_PI2H RecName: Full=Phosphoprotein; Short=Protein P -sp|P19717.1|PHOSP_MUMP1 RecName: Full=Phosphoprotein; Short=Protein P -sp|P16072.1|PHOSP_MUMPE RecName: Full=Phosphoprotein; Short=Protein P diff --git a/seq/clusters_info/cluster_22 b/seq/clusters_info/cluster_22 deleted file mode 100644 index 4db7c5a..0000000 --- a/seq/clusters_info/cluster_22 +++ /dev/null @@ -1,75 +0,0 @@ -YP_010088024.1 polyprotein [Ivy ringspot-associated virus] -YP_010087856.1 polyprotein [Camellia lemon glow virus] -YP_010087614.1 polyprotein [Polyscias mosaic virus] -YP_010086797.1 polyprotein [Grapevine badnavirus 1] -YP_010085997.1 polyprotein, partial [Schefflera ringspot virus] -YP_010085996.1 polyprotein, partial [Pineapple bacilliform ER virus] -YP_010085995.1 polyprotein [Aglaonema bacilliform virus] -YP_009553548.1 ORF3 polyprotein [Cacao Bacilliform SriLanka Virus] -YP_009553543.1 ORF3 polyprotein [Cacao swollen shoot Ghana K virus] -YP_009553538.1 ORF3 polyprotein [Cacao swollen shoot Ghana J virus] -YP_009553219.1 ORF3 [Dioscorea nummularia-associated virus] -YP_009553045.1 ORF3 polyprotein [Cacao swollen shoot Ghana R virus] -YP_009553041.1 ORF3 polyprotein [Cacao swollen shoot CE virus] -YP_009552737.1 polyprotein [Birch leaf roll-associated virus] -YP_009552693.1 ORF3 polyprotein [Cacao swollen shoot Ghana N virus] -YP_009551940.1 ORF3 polyprotein [Cacao swollen shoot Ghana L virus] -YP_009666830.1 ORF3 polyprotein [Cacao swollen shoot Ghana Q virus] -YP_009666826.1 ORF3 polyprotein [Cacao swollen shoot Ghana M virus] -YP_009666308.1 Reverse Transcriptase, partial [Cladosporium fulvum T-1 virus] -YP_009664792.1 polyprotein, partial [Spiraea yellow leafspot virus] -YP_009508443.1 ORF3 [Dioscorea bacilliform TR virus] -YP_009508411.1 ORF3 protein [Dioscorea bacilliform RT virus 2] -YP_009508408.1 ORF3 protein [Dioscorea bacilliform RT virus 1] -YP_009506270.1 polyprotein [Sugarcane bacilliform Guadeloupe A virus] -YP_009506267.1 ORF3 protein [Dioscorea bacilliform AL virus] -YP_009506264.1 polyprotein [Canna yellow mottle virus] -YP_009506251.1 polyprotein [Cacao swollen shoot CD virus] -YP_009408594.1 P3b [Jujube mosaic-associated virus] -YP_009408593.1 P3a [Jujube mosaic-associated virus] -YP_009352866.1 ORF3 [Wisteria badnavirus 1] -YP_009345075.1 polyprotein [Cacao yellow vein banding virus] -YP_009345071.1 polyprotein [Cacao mild mosaic virus] -YP_009259698.1 polyprotein [Canna yellow mottle associated virus] -YP_009229919.1 polyprotein [Blackberry virus F] -YP_009113237.2 polyprotein [Mulberry badnavirus 1] -YP_009140788.1 polyprotein [Grapevine Roditis leaf discoloration-associated virus] -YP_009130664.1 polyprotein [Taro bacilliform CH virus] -YP_009121747.1 ORF3 [Yacon necrotic mottle virus] -YP_009116631.1 polyprotein [Rubus yellow net virus] -YP_009041481.1 polyprotein [Pagoda yellow mosaic associated virus] -YP_009002585.1 polyprotein [Hibiscus bacilliform virus GD1] -YP_008567619.1 ORF3 [Piper yellow mottle virus] -YP_004732983.2 polyprotein [Grapevine vein clearing virus] -YP_006495799.1 polyprotein [Gooseberry vein banding associated virus] -YP_006273075.1 polyprotein [Fig badnavirus 1] -YP_004581513.1 RNaseH/reverse transcriptase [Sweet potato pakakuy virus] -YP_004581512.1 polyprotein [Sweet potato pakakuy virus] -YP_004442839.1 polyprotein [Banana streak IM virus] -YP_004442836.1 polyprotein [Banana streak CA virus] -YP_004442833.1 polyprotein [Banana streak UM virus] -YP_004442830.1 polyprotein [Banana streak UL virus] -YP_004442827.1 polyprotein [Banana streak UI virus] -YP_004442824.1 polyprotein [Banana streak UA virus] -YP_003987465.1 polyprotein [Pineapple bacilliform CO virus] -YP_003284237.1 ORF3 protein [Sugarcane bacilliform Guadeloupe D virus] -YP_003208050.1 putative polyprotein [Pelargonium vein banding virus] -YP_002916057.1 polyprotein [Sweet potato badnavirus B] -YP_002916056.1 polyprotein [Sweet potato badnavirus B] -YP_002321513.1 polyprotein [Bougainvillea chlorotic vein banding virus] -YP_002117531.1 ORF3 [Cycad leaf necrosis virus] -YP_001036293.1 ORF3 protein [Dioscorea bacilliform virus] -YP_610965.1 hypothetical protein DMV_gp3 [Dracaena mottle virus] -YP_605811.1 polyprotein [Banana streak virus Acuminata Yunnan] -YP_595725.1 ORF3 [Sugarcane bacilliform MO virus] -YP_233107.1 polyprotein [Banana streak GF virus] -YP_233110.1 putative polyprotein [Banana streak VN virus] -YP_224289.1 ORF III polyprotein [Banana streak MY virus] -NP_777317.1 putative polyprotein [Kalanchoe top-spotting virus] -NP_758808.1 polyprotein [Taro bacilliform virus] -NP_569153.1 unknown [Citrus yellow mosaic virus] -NP_569150.1 polyprotein, cleavage products include viral coat protein and proteins with homology to an aspartic protease, reverse transcriptase and RNase H [Banana streak OL virus] -NP_149413.1 polyprotein [Sugarcane bacilliform IM virus] -NP_056762.1 hypothetical protein [Rice tungro bacilliform virus] -NP_041734.1 polyprotein [Cacao swollen shoot virus] -NP_039820.1 polyprotein [Commelina yellow mottle virus] diff --git a/seq/clusters_info/cluster_220 b/seq/clusters_info/cluster_220 deleted file mode 100644 index 59d2fca..0000000 --- a/seq/clusters_info/cluster_220 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009094068.2 NP [Avian paramyxovirus penguin/Falkland Islands/324/2007] -YP_009553488.1 nucleoprotein [Avian metaavulavirus 20] -YP_009513213.1 nucleocapsid protein [Avian metaavulavirus 2] -YP_009513194.1 nucleocapsid protein [Avian orthoavulavirus 1] -YP_009512951.1 nucleoprotein [Avian metaavulavirus 8] -YP_009512944.1 nucleocapsid protein [Avian metaavulavirus 6] -YP_009508517.1 NP [Antarctic penguin virus C] -YP_009508511.1 NP [Antarctic penguin virus B] -YP_009508505.1 NP [Antarctic penguin virus A] -YP_009508499.1 nucleoprotein [Avian paramyxovirus UPO216] -YP_009508491.1 nucleocapsid protein [Avian paramyxovirus 14] -YP_009380504.1 nucleocapsid [Avian paramyxovirus 15] -YP_009255221.1 nucleocapsid protein [Avian paramyxovirus goose/Shimane/67/2000] -YP_009094477.1 NP protein [Avian metaavulavirus 11] -YP_009094359.1 nucleoprotein [Avian orthoavulavirus 9] -YP_009094212.1 nucleoprotein [Avian paraavulavirus 3] -YP_009094168.1 nucleoprotein [Avian orthoavulavirus 12] -YP_009094153.1 nucleoprotein [Avian metaavulavirus 5] -YP_009094055.1 nucleocapsid protein [Avian metaavulavirus 7] -YP_007008147.1 nucleocapsid protein [Avian paramyxovirus 4] -sp|Q99FY3.1|NCAP_NDVAF RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P09459.2|NCAP_NDVB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|Q77K03.1|NCAP_NDVB1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N diff --git a/seq/clusters_info/cluster_221 b/seq/clusters_info/cluster_221 deleted file mode 100644 index de2da55..0000000 --- a/seq/clusters_info/cluster_221 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009825028.1 NSP16 [Duck coronavirus] -YP_009755924.1 nsp16 [Canada goose coronavirus] -NP_835356.1 putative coronavirus nsp13 [Human coronavirus 229E] -YP_009924397.1 nsp16 [Rousettus bat coronavirus HKU9] -YP_009944306.1 nsp16 [Betacoronavirus England 1] -YP_009944353.1 nsp16 [Pipistrellus bat coronavirus HKU5] -YP_009944324.1 nsp16 [Tylonycteris bat coronavirus HKU4] -YP_460023.1 nsp16 [Human coronavirus HKU1] -NP_828873.2 nsp16 [SARS coronavirus Tor2] -YP_009924423.1 nsp16 [Rabbit coronavirus HKU14] -YP_009915686.1 nsp16 [Murine hepatitis virus] -YP_009924382.1 nsp16 [Rat coronavirus Parker] -YP_209243.1 nsp16; 2'-0-ribose methyltransferase [Murine hepatitis virus strain JHM] -YP_009555257.1 nsp13 [Human coronavirus OC43] -YP_009725311.1 2'-O-ribose methyltransferase [Severe acute respiratory syndrome coronavirus 2] -YP_008439226.1 nsp16 [Bat coronavirus CDPHE15/USA/2006] -YP_001941189.1 NSP16 [Turkey coronavirus] -NP_839969.1 putative coronavirus nsp13 [Porcine epidemic diarrhea virus] -NP_740633.1 coronavirus nsp13 [Infectious bronchitis virus] -sp|P18457.1|R1AB_CVPFS RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p41; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16 -sp|P12723.1|R1AB_IBVK RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p39; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16; AltName: Full=p35 -sp|P36697.1|R1AB_CVCAI RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16 -sp|Q04158.1|R1AB_CVPR8 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16 diff --git a/seq/clusters_info/cluster_222 b/seq/clusters_info/cluster_222 deleted file mode 100644 index d7ce3f7..0000000 --- a/seq/clusters_info/cluster_222 +++ /dev/null @@ -1,23 +0,0 @@ -YP_010088084.1 RNA-dependent RNA polymerase [Chrysothrix chrysovirus 1] -YP_010085118.1 RdRp [Shuangao chryso-like virus 1] -YP_009551655.1 putative RNA-dependent RNA polymerase [Zea mays chrysovirus 1] -YP_009667012.1 RNA-directed RNA-polymerase [Colletotrichum gloeosporioides chrysovirus 1] -YP_009667009.1 P3 [Macrophomina phaseolina chrysovirus 1] -YP_009667008.1 RNA-dependent RNA polymerase [Macrophomina phaseolina chrysovirus 1] -YP_009666328.1 putative RNA-dependent RNA polymerase [Persea americana chrysovirus] -YP_009665200.1 putative RNA polymerase, partial [Fusarium oxysporum chrysovirus 1] -YP_009667023.1 putative RNA-dependent RNA polymerase [Anthurium mosaic-associated virus] -YP_009667006.1 putative RNA-dependent RNA polymerase [Brassica campestris chrysovirus 1] -YP_009667003.1 putative RNA-dependent RNA polymerase [Raphanus sativas chrysovirus 1] -YP_009507942.1 RNA-dependent RNA polymerase [Cryphonectria nitschkei chrysovirus 1] -YP_009508104.1 RNA-dependent RNA polymerase [Aspergillus fumigatus chrysovirus] -YP_009507948.1 RNA-dependent RNA polymerase [Verticillium dahliae chrysovirus 1] -YP_009337840.1 RNA-dependent RNA polymerase [Isaria javanica chrysovirus 1] -YP_001531163.1 putative RNA-dependent RNA polymerase [Amasya cherry disease associated chrysovirus] -YP_001531160.1 hypothetical protein ACDACVs4_gp01 [Amasya cherry disease associated chrysovirus] -YP_392482.1 RNA-dependent RNA polymerase [Penicillium chrysogenum virus] -YP_052858.1 RNA-dependent RNA polymerase [Helminthosporium victoriae 145S virus] -APG76016.1 hypothetical protein, partial [Shuangao chryso-like virus 1] -APG76049.1 hypothetical protein [Wuhan insect virus 30] -ADO60926.1 putative RNA-dependent RNA polymerase, partial [Grapevine associated chrysovirus-1] -APG76028.1 hypothetical protein [Hubei chryso-like virus 2] diff --git a/seq/clusters_info/cluster_223 b/seq/clusters_info/cluster_223 deleted file mode 100644 index 76a0658..0000000 --- a/seq/clusters_info/cluster_223 +++ /dev/null @@ -1,23 +0,0 @@ -YP_010085064.1 RNA-dependent RNA polymerase [Macaua virus] -YP_010085104.1 polymerase [Maguari virus] -YP_010085087.1 RNA-dependent RNA polymerase [Anadyr virus] -YP_009667001.1 RNA-dependent RNA polymerase [Bozo virus] -YP_009666996.1 RNA-dependent RNA polymerase [Birao virus] -YP_009666985.1 polymerase [Potosi virus] -YP_009666950.1 polymerase [Cache Valley virus] -YP_009666949.1 polymerase [Fort Sherman virus] -YP_009666916.1 RNA-dependent RNA polymerase [Ilesha virus] -YP_009666906.1 polymerase [Batai virus] -YP_009666900.1 RNA-dependent RNA polymerase [Cachoeira Porteira virus] -YP_009666895.1 RNA-dependent RNA polymerase [Sororoca virus] -YP_009666893.1 RNA-dependent RNA polymerase [Iaco virus] -YP_009666889.1 RNA-dependent RNA polymerase [Anhembi virus] -YP_009666865.1 RNA dependent RNA polymerase [Tensaw virus] -YP_009512925.1 RNA-dependent RNA polymerase [Wyeomyia orthobunyavirus] -YP_009362061.1 polymerase [Guaroa virus] -YP_009507881.1 RNA-dependent RNA polymerase [Kairi virus] -YP_009329879.1 RNA-dependent RNA polymerase [Wenling crustacean virus 9] -NP_047211.1 hypothetical protein BUNVsLgp1 [Bunyamwera virus] -AIA08883.1 RNA-dependent RNA polymerase [Ebinur lake virus] -AHZ64955.1 RNA-dependent RNA polymerase [Calovo virus] -AKC42494.1 polymerase [Guaroa virus] diff --git a/seq/clusters_info/cluster_224 b/seq/clusters_info/cluster_224 deleted file mode 100644 index 7ede8a6..0000000 --- a/seq/clusters_info/cluster_224 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009553662.1 HSP70h [Blackcurrant leafroll-associated virus 1] -YP_009553643.1 Hsp70h [Blackcurrant-associated closterovirus 1] -YP_009553248.1 HSP70h [Blackcurrant leafroll-associated virus 1] -YP_009552059.1 HSP70-like protein [Blackcurrant leafroll-associated virus 1] -YP_009552006.1 67 kDa protein [Rehmannia virus 1] -YP_009551996.1 heat shock 70-like protein [Arracacha virus 1] -YP_009666030.1 putative heat shock protein 70 [Olive leaf yellowing-associated virus] -YP_009664807.1 p66 [Beet yellow stunt virus] -YP_009506334.1 HSP70, partial [Carnation necrotic fleck virus] -YP_009407923.1 heat shock protein 70-like protein [Actinidia virus 1] -YP_009162624.1 Hsp70h [Tobacco virus 1] -YP_009112885.1 heat shock protein 70 homolog [Persimmon virus B] -YP_009058932.1 HSP 70h [Rose leaf rosette-associated virus] -YP_008858533.1 HSP70 [Carnation yellow fleck virus] -YP_006628170.1 heat shock protein 70 homolog [Blueberry virus A] -YP_003075968.1 p67 [Carrot yellow leaf virus] -YP_874188.1 HSP 70h [Raspberry leaf mottle virus] -YP_762625.1 HSP 70h [Strawberry chlorotic fleck-associated virus] -YP_337914.1 heat shock protein-70-like protein [Grapevine leafroll-associated virus 2] -YP_224093.1 HSP 70h [Mint virus 1] -NP_835247.1 HSP70-like protein [Grapevine rootstock stem lesion associated virus] -NP_042864.1 65-kDa protein [Citrus tristeza virus] -NP_041872.1 heat shock 70-related protein [Beet yellows virus] diff --git a/seq/clusters_info/cluster_225 b/seq/clusters_info/cluster_225 deleted file mode 100644 index a20be9a..0000000 --- a/seq/clusters_info/cluster_225 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009344979.1 RNA-dependent RNA polymerase [Wuhan spider virus 7] -YP_009337787.1 RNA-dependent RNA polymerase [Hubei narna-like virus 3] -YP_009337331.1 RNA-dependent RNA polymerase [Changjiang narna-like virus 1] -YP_009337264.1 RNA-dependent RNA polymerase [Wenling narna-like virus 1] -YP_009337193.1 RNA-dependent RNA polymerase [Wenling narna-like virus 2] -YP_009337087.1 RNA-dependent RNA polymerase [Hubei narna-like virus 4] -YP_009336796.1 RNA-dependent RNA polymerase [Hubei narna-like virus 2] -YP_009336672.1 RNA-dependent RNA polymerase [Hubei narna-like virus 5] -YP_009336615.1 RNA-dependent RNA polymerase [Shahe narna-like virus 2] -YP_009336536.1 RNA-dependent RNA polymerase [Shahe narna-like virus 1] -YP_009336520.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 3] -YP_009336518.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 2] -YP_009333214.1 RNA-dependent RNA polymerase [narna-like virus 6] -YP_009333283.1 RNA-dependent RNA polymerase [Beihai narna-like virus 1] -YP_009333277.1 RNA-dependent RNA polymerase [Beihai narna-like virus 2] -YP_009333266.1 RNA-dependent RNA polymerase [Beihai narna-like virus 4] -YP_009333144.1 RNA-dependent RNA polymerase [Beihai narna-like virus 3] -YP_009272903.1 RNA-dependent RNA polymerase [Fusarium poae narnavirus 2] -YP_003104770.1 putative RNA dependent RNA polymerase [Cassava virus C] -YP_002019754.1 putative RNA dependent RNA polymerase [Epirus cherry virus] -YP_002019757.1 putative RNA dependent RNA polymerase [Ourmia melon virus] -APG77283.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 1] -APG77214.1 RNA-dependent RNA polymerase, partial [Hubei narna-like virus 1] diff --git a/seq/clusters_info/cluster_226 b/seq/clusters_info/cluster_226 deleted file mode 100644 index 17cdefd..0000000 --- a/seq/clusters_info/cluster_226 +++ /dev/null @@ -1,23 +0,0 @@ -YP_003208109.1 3a protein [Melandrium yellow fleck virus] -YP_233103.1 3a protein [Cassia yellow blotch virus] -YP_009551511.1 3a protein [Sambucus virus S] -YP_002640503.1 3a protein [Gayfeather mild mottle virus] -NP_689394.1 3a protein [Spring beauty latent virus] -NP_658997.1 3a protein [Broad bean mottle virus] -NP_620758.1 3a protein [Tomato aspermy virus] -NP_613276.1 movement protein [Cowpea chlorotic mottle virus] -NP_049333.1 3a protein [Peanut stunt virus] -NP_041198.1 hypothetical protein BMVs3gp1 [Brome mosaic virus] -sp|Q06938.1|MVP_CMVKI RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|Q83268.1|MVP_CMVKO RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|Q66139.1|MVP_CMVFT RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|Q66134.1|MVP_CMVS RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|P03604.2|MVP_CMVQ RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|O36639.1|MVP_CMVAS RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|P23626.1|MVP_TAV RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|Q83250.1|MVP_CMVM4 RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|Q66119.1|MVP_CMVIX RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|O40982.1|MVP_CMVC7 RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|O40979.1|MVP_CMVNT RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|P22117.1|MVP_PSVJ RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|Q00272.1|MVP_CMVM RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A diff --git a/seq/clusters_info/cluster_227 b/seq/clusters_info/cluster_227 deleted file mode 100644 index 36ec9e2..0000000 --- a/seq/clusters_info/cluster_227 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009273018.1 RNA dependent RNA polymerase [Arabidopsis halleri partitivirus 1] -YP_009551597.1 RNA-dependent RNA polymerase [Medicago sativa alphapartitivirus 1] -YP_009362092.1 putative RNA-dependent RNA polymerase [Bipolaris maydis partitivirus 1] -YP_009272944.1 putative RNA-dependent RNA polymerase [Sophora japonica powdery mildew-associated partitivirus] -YP_007419077.1 RNA-dependent RNA polymerase [Rosellinia necatrix partitivirus 2] -YP_003082248.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum partitivirus S] -ALM62247.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated partitivirus 2] -BAM36403.1 RNA-dependent RNA-polymerase [Rosellinia necatrix partitivirus 5] -AFX73022.1 RNA dependent RNA polymerase [Grapevine partitivirus] -ABJ99996.1 putative RdRp [Vicia faba partitivirus 1] -ALT00589.1 RNA dependent RNA polymerase [Raphanus sativus partitivirus 1] -AAP74192.1 RNA-dependent RNA polymerase [Oyster mushroom isometric virus II] -AND83003.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani partitivirus 1] -APG78273.1 RdRp [Hubei partiti-like virus 28] -BAQ36631.1 RNA-dependent RNA polymerase [Fusarium solani partitivirus 2] -BAH08700.1 putative RNA-dependent RNA polymerase [Flammulina velutipes isometric virus] -APG78246.1 RdRp [Hubei partiti-like virus 26] -ANQ45203.1 RNA-dependent RNA polymerase [Rose partitivirus] -ANR02695.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani partitivirus 1] -APG78241.1 RdRp, partial [Hubei partiti-like virus 27] -YP_009130618.1 RNA dependent RNA polymerase [Black grass cryptic virus 2] -APG78228.1 RdRp [Hubei partiti-like virus 25] -BAT32942.1 RNA-dependent RNA Polymerase [Rosellinia necatrix partitivirus 7] diff --git a/seq/clusters_info/cluster_228 b/seq/clusters_info/cluster_228 deleted file mode 100644 index dec716f..0000000 --- a/seq/clusters_info/cluster_228 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009725316.1 VP1 [Norovirus GIV] -YP_009700103.1 VP1 [Norovirus GI] -YP_009700100.1 VP1 [Norovirus GIV] -YP_009700097.1 VP1 [Norovirus GI] -YP_009700094.1 VP1 [Norovirus GI] -YP_009701446.1 VP1 [Norovirus GII] -YP_009555233.1 VP1 [Norovirus GII] -YP_009518842.1 VP1 [Norovirus GII] -YP_009679041.1 VP1 capsid protein [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -YP_009518836.1 VP1 [Norovirus GII.17] -YP_009237904.1 VP1 [Norovirus GIV] -YP_009237901.1 VP1 [Norovirus GIII] -YP_009679038.1 VP1 [Norovirus GII/Hu/JP/2011/GII/Yuzawa/Gira2HS] -YP_009679035.1 VP1 [Norovirus GII/Hu/JP/2007/GII.P15_GII.15/Sapporo/HK299] -YP_009538341.1 VP1 [Norovirus GI/Hu/JP/2007/GI.P3_GI.3/Shimizu/KK2866] -YP_009305195.1 VP1 [Primate norovirus] -YP_009666336.1 capsid protein [Tulane virus] -YP_009237898.1 VP1 [Norwalk-like virus] -YP_009518839.1 VP1 [Norovirus GII.2] -YP_720002.1 VP1 [Norovirus GV] -NP_056821.2 VP1 [Norovirus GI] -sp|Q04542.1|CAPSD_SOUV3 RecName: Full=Capsid protein VP1; Short=CP; Contains: RecName: Full=Soluble capsid protein -sp|P54635.1|CAPSD_LORDV RecName: Full=Capsid protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Soluble capsid protein diff --git a/seq/clusters_info/cluster_229 b/seq/clusters_info/cluster_229 deleted file mode 100644 index 50a01c9..0000000 --- a/seq/clusters_info/cluster_229 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009666502.1 coat protein [Angelica bushy stunt virus] -YP_009254007.1 putative coat protein [Water chestnut soymovirus 1] -YP_009165749.1 ORF4 [Atractylodes mild mottle virus] -YP_007761643.1 capsid protein [Rose yellow vein virus] -YP_006907833.1 capsid protein [Horseradish latent virus] -YP_006732333.1 capsid protein [Dahlia mosaic virus] -YP_006607891.1 capsid protein [Soybean Putnam virus] -YP_002519386.1 putative capsid protein [Rudbeckia flower distortion virus] -YP_001931966.1 coat protein [Eupatorium vein clearing virus] -YP_001931960.1 coat protein [Lamium leaf distortion virus] -NP_861409.1 putative capsid protein [Cestrum yellow leaf curling virus] -NP_659396.1 hypothetical protein [Mirabilis mosaic virus] -NP_619547.1 unnamed protein product [Figwort mosaic virus] -NP_612576.1 Coat protein [Carnation etched ring virus] -NP_395468.1 putative coat protein [Blueberry red ringspot virus] -NP_068728.1 putative coat protein [Soybean chlorotic mottle virus] -NP_043932.1 hypothetical protein [Strawberry vein banding virus] -NP_042512.1 hypothetical protein [Peanut chlorotic streak virus] -NP_056727.1 capsid protein [Cauliflower mosaic virus] -sp|Q02951.1|CAPSD_CAMVE RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|Q00956.1|CAPSD_CAMVN RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P03544.1|CAPSD_CAMVD RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P03543.1|CAPSD_CAMVC RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein diff --git a/seq/clusters_info/cluster_23 b/seq/clusters_info/cluster_23 deleted file mode 100644 index 25dda66..0000000 --- a/seq/clusters_info/cluster_23 +++ /dev/null @@ -1,75 +0,0 @@ -YP_010084737.1 polyprotein [Aimelvirus 1] -YP_010084735.1 polyprotein [tottorivirus A1] -YP_001686941.1 VP1 [bovine rhinitis B virus 1] -YP_001686841.1 BRV2 polyprotein [bovine rhinitis B virus 1] -YP_009664724.1 polyprotein, partial [Bat picornavirus BatPV/V1/13 Hun] -YP_009513028.1 polyprotein [Foot-and-mouth disease virus O] -YP_009513027.1 polyprotein [Equine rhinitis A virus] -YP_009505616.1 polyprotein [Mouse Mosavirus] -YP_009505602.1 polyprotein [cardiovirus C1] -YP_009505600.1 polyprotein [Bovine rhinitis A virus] -YP_009389450.1 polyprotein [Aimelvirus 2] -YP_009361830.1 polyprotein [Cosavirus F] -YP_009361319.1 polyprotein [Miniopterus schreibersii picornavirus 1] -YP_009121743.1 polyprotein [African bat icavirus PREDICT-06105] -YP_009118270.1 polyprotein [Lesavirus 2] -YP_009118269.1 polyprotein [Lesavirus 1] -YP_009116874.1 polyprotein [Bovine picornavirus] -YP_009112712.1 polyprotein [Cosavirus JMY-2014] -YP_009111338.1 polyprotein [torchivirus A1] -YP_009109563.1 polyprotein [Norway rat hunnivirus] -YP_009026384.1 polyprotein [Mosavirus A2] -YP_009026376.1 polyprotein [Human cosavirus] -YP_006846319.1 VP3 [Bovine hungarovirus 1] -YP_006792625.1 polyprotein [Bovine hungarovirus 1] -YP_006383902.1 truncated polyprotein [Encephalomyocarditis virus] -YP_002956076.1 polyprotein [Cosavirus D] -YP_002956075.1 polyprotein [Human cosavirus B] -YP_002956074.1 polyprotein [Cosavirus A] -YP_002956072.1 polyprotein [Cosavirus E] -YP_002268402.1 polyprotein [Senecavirus A] -YP_001949875.1 polyprotein [Human TMEV-like cardiovirus] -YP_001210296.2 polyprotein [Saffold virus] -NP_740363.1 VP3 [Equine rhinitis B virus 1] -NP_740362.1 VP2 [Equine rhinitis B virus 1] -NP_740409.1 protein 3AB [Encephalomyocarditis virus] -NP_653143.1 polyprotein [Teschovirus A] -NP_653077.1 polyprotein [Equine rhinitis B virus 1] -NP_056777.1 hypothetical protein EMCVgp1 [Encephalomyocarditis virus] -NP_040350.1 viral polyprotein [Theilovirus] -sp|C0MHL9.1|POLG_SAFV RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -sp|P13899.1|POLG_TMEVD RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -sp|P08544.1|POLG_TMEVB RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -sp|P0DJX8.1|ALT2B_ENMGO RecName: Full=Protein 2B* -sp|P03309.2|POLG_FMDVC RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P03308.2|POLG_FMDVA RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P12296.3|POLG_ENMGO RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=G; Contains: RecName: Full=Protein 2B; Short=I; Short=P2B; Contains: RecName: Full=Protein 2C; Short=C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=H; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=E; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -sp|P49303.1|POLG_FMDVZ RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P17594.2|POLG_EMCVD RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=G; Contains: RecName: Full=Protein 2B; Short=I; Short=P2B; Contains: RecName: Full=Protein 2C; Short=C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=H; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=E; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -sp|P03305.1|POLG_FMDVO RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lb(pro); Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P03304.1|POLG_EMCV RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=G; Contains: RecName: Full=Protein 2B; Short=I; Short=P2B; Contains: RecName: Full=Protein 2C; Short=C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=H; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=E; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -sp|P15072.2|POLG_FMDVT RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P03310.2|POLG_FMDVI RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P03307.2|POLG_FMDV5 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P03306.2|POLG_FMDV1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -sp|P32540.1|POLG_ENMG3 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Flags: Precursor -AIY68186.1 polyprotein [torchivirus A1] -ACO92353.1 polyprotein, partial [Saffold virus 5] -AQM40272.1 polyprotein [Human cosavirus] -ACF19652.1 Polyprotein [Rat theilovirus 1] -ANN02886.1 polyprotein [Bovine rhinitis A virus] -AFY10938.1 polyprotein [Equine rhinitis A virus] -AIY68183.1 polyprotein [torchivirus A1] -ADO85550.2 polyprotein [Ovine hungarovirus OHUV1/2009/HUN] -AFO66759.1 polyprotein [cardiovirus A2] -BAP82379.1 polyprotein [Human cosavirus A] -AAT01719.1 polyprotein [Foot-and-mouth disease virus A] -APY24210.1 polyprotein [Canine picornavirus] -ACG55799.1 polyprotein [Theiler's encephalomyelitis virus] -ACG55800.1 polyprotein [Theiler's encephalomyelitis virus] -ABB76801.1 polyprotein [Equine rhinitis A virus] -AAA43036.1 polyprotein, partial [Encephalomyocarditis virus] -AJE25834.1 polyprotein [bovine rhinitis B virus 3] -AJC98119.1 polyprotein, partial [Bat picornavirus] -AGB67759.1 polyprotein [teschovirus A4] -AMT85187.1 polyprotein [Hunnivirus 83GR] diff --git a/seq/clusters_info/cluster_230 b/seq/clusters_info/cluster_230 deleted file mode 100644 index 7d9c336..0000000 --- a/seq/clusters_info/cluster_230 +++ /dev/null @@ -1,23 +0,0 @@ -NP_056842.1 tat protein [Human immunodeficiency virus 2] -sp|Q89745.2|TAT_HV2EH RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P15835.2|TAT_HV2D2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q76632.1|TAT_HV2UC RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q02838.2|TAT_SIVG1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q74124.1|TAT_HV2KR RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P36340.2|TAT_SIVAM RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P19507.1|TAT_SIVSP RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P12513.1|TAT_SIVS4 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P11263.1|TAT_SIVML RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05910.1|TAT_SIVMK RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05911.1|TAT_SIVM1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P22384.1|TAT_SIVGB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05913.1|TAT_SIVVT RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P27982.1|TAT_SIVVG RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P27975.1|TAT_SIVV1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P20880.1|TAT_HV2ST RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04605.1|TAT_HV2RO RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05909.1|TAT_HV2NZ RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P12453.1|TAT_HV2SB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P18044.1|TAT_HV2G1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P24109.1|TAT_HV2CA RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P17759.1|TAT_HV2D1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein diff --git a/seq/clusters_info/cluster_231 b/seq/clusters_info/cluster_231 deleted file mode 100644 index 2bd37ba..0000000 --- a/seq/clusters_info/cluster_231 +++ /dev/null @@ -1,23 +0,0 @@ -YP_009342467.1 hypothetical protein 2 [Wuhan insect virus 21] -YP_009342466.1 hypothetical protein 1 [Wuhan insect virus 21] -YP_009342270.1 hypothetical protein 2 [Wenzhou tombus-like virus 18] -YP_009337803.1 hypothetical protein 2 [Hubei tombus-like virus 43] -YP_009337753.1 hypothetical protein 2 [Wenzhou crab virus 4] -YP_009337686.1 hypothetical protein 2 [Hubei tombus-like virus 42] -YP_009337685.1 hypothetical protein 1 [Hubei tombus-like virus 42] -YP_009337397.1 hypothetical protein 2 [Sanxia tombus-like virus 9] -YP_009337115.1 hypothetical protein 2 [Wenling tombus-like virus 4] -YP_009337016.1 hypothetical protein 2 [Hubei tombus-like virus 38] -YP_009336721.1 hypothetical protein 2 [Hubei odonate virus 12] -YP_009336720.1 hypothetical protein 1 [Hubei odonate virus 12] -YP_009336561.1 hypothetical protein 3 [Hubei tombus-like virus 40] -YP_009336516.1 hypothetical protein 2 [Hubei tombus-like virus 39] -YP_009336498.1 hypothetical protein 2 [Beihai tombus-like virus 19] -YP_009337262.1 hypothetical protein 2 [Wenling tombus-like virus 5] -YP_001911137.1 putative RNA dependent RNA polymerase [Chronic bee paralysis virus] -YP_001911136.1 hypothetical protein CBPV_s1gp1 [Chronic bee paralysis virus] -APG76481.1 hypothetical protein, partial [Hubei odonate virus 12] -ACO82545.1 RNA-dependent RNA polymerase [Chronic bee paralysis virus] -YP_009011225.1 RNA-dependent RNA polymerase-like protein [Anopheline-associated C virus] -APG76342.1 hypothetical protein 2 [Hubei odonate virus 12] -AKH40306.1 putative replicase [Dansoman virus] diff --git a/seq/clusters_info/cluster_232 b/seq/clusters_info/cluster_232 deleted file mode 100644 index b689f3a..0000000 --- a/seq/clusters_info/cluster_232 +++ /dev/null @@ -1,22 +0,0 @@ -NP_740520.1 2B (P2-B) [rhinovirus B14] -YP_009020979.1 protein 2B [enterovirus F4] -YP_009118285.1 2B [rabbit kobuvirus] -YP_009268635.1 2B [enterovirus A114] -YP_009508990.1 2B (P2-B) [rhinovirus B3] -YP_009508957.1 2B [Simian enterovirus SV4] -YP_009508935.1 2B protein [Coxsackievirus A2] -YP_009508979.1 2B [rhinovirus A1] -YP_009508968.1 protein 2B [Dromedary camel enterovirus 19CC] -YP_009508946.1 2B protein [enterovirus D68] -YP_009483882.1 2B [Sichuan takin enterovirus] -YP_009249468.1 2B [Enterovirus SEV-gx] -YP_009020967.1 protein 2B [Enterovirus F] -YP_003359171.1 2B [Enterovirus J] -YP_001718581.1 2B [Enterovirus J] -YP_001552437.1 2B [Rhinovirus C] -NP_740417.1 protein 2B [Enterovirus D] -NP_740472.1 protein 2B [Enterovirus C] -NP_740542.1 2B [Enterovirus B] -NP_740396.1 P2-B polypeptide [Rhinovirus A] -NP_740450.1 2B [Enterovirus E] -NP_714934.1 putative 2B [Enterovirus H] diff --git a/seq/clusters_info/cluster_233 b/seq/clusters_info/cluster_233 deleted file mode 100644 index e21b55b..0000000 --- a/seq/clusters_info/cluster_233 +++ /dev/null @@ -1,22 +0,0 @@ -YP_138511.1 nucleocapsid protein [Parainfluenza virus 5] -YP_010087167.1 nucleocapsid [Alston virus] -NP_054707.1 nucleocapsid protein [Mumps orthorubulavirus] -YP_009512972.1 nucleocapsid [Teviot virus] -YP_009512965.1 nucleocapsid protein [Menangle virus] -YP_009505442.1 nucleoprotein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -YP_009094492.1 nucleocapsid protein [Tuhoko virus 1] -YP_009094459.1 nucleocapsid protein [Achimota virus 2] -YP_009094451.1 nucleocapsid protein [Achimota virus 1] -YP_009094074.1 nucleocapsid protein [Tuhoko virus 3] -YP_009094061.1 nucleocapsid protein [Tuhoko virus 2] -YP_009094046.1 nucleocapsid [Sunshine Coast virus] -YP_009094028.1 nucleoprotein [Sosuga virus] -YP_008378659.1 nucleocapsid protein [Human parainfluenza virus 4a] -YP_001331027.1 nucleocapsid protein [Porcine orthorubulavirus] -YP_001249270.1 nucleocapsid protein [Mapuera orthorubulavirus] -YP_138504.1 nucleocapsid [Simian orthorubulavirus] -NP_665864.1 nucleocapsid protein [Tioman virus] -NP_598401.1 nucleocapsid protein [Human orthorubulavirus 2] -sp|Q77IS8.1|NCAP_MUMPJ RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P17241.1|NCAP_PI4HB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P21277.1|NCAP_MUMP1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N diff --git a/seq/clusters_info/cluster_234 b/seq/clusters_info/cluster_234 deleted file mode 100644 index 5add4ab..0000000 --- a/seq/clusters_info/cluster_234 +++ /dev/null @@ -1,22 +0,0 @@ -YP_009553483.1 CP [Adonis mosaic virus] -YP_010087350.1 p37 [Jasmine virus H] -YP_459964.1 coat protein [Angelonia flower break virus] -YP_009345604.1 p37 [Clematis chlorotic mottle virus] -YP_009270624.1 coat protein [Gompholobium virus A] -YP_009116643.1 coat protein [Pelargonium ringspot virus] -YP_009116638.1 coat protein [Elderberry latent virus] -YP_009032648.1 capsid protein [Carnation mottle virus] -NP_620723.2 p38 capsid protein [Turnip crinkle virus] -YP_008378655.1 coat protein [Calibrachoa mottle virus] -YP_007501039.1 coat protein [Rosa rugosa leaf distortion virus] -YP_004300267.1 putative coat protein [Trailing lespedeza virus 1] -YP_004191793.1 p37 [Honeysuckle ringspot virus] -YP_001039887.1 coat protein [Nootka lupine vein clearing virus] -YP_238481.1 coat protein [Pelargonium line pattern virus] -YP_052929.1 putative coat protein [Pelargonium chlorotic ring pattern virus] -NP_945127.1 coat protein [Pelargonium flower break virus] -NP_619676.1 coat protein [Hibiscus chlorotic ringspot virus] -NP_038458.1 capsid protein (p38) [Japanese iris necrotic ring virus] -NP_044388.1 capsid protein [Saguaro cactus virus] -NP_041887.1 coat protein [Cardamine chlorotic fleck virus] -sp|Q9Q6X7.1|CAPSD_CARMS RecName: Full=Capsid protein; AltName: Full=Coat protein; AltName: Full=p38 diff --git a/seq/clusters_info/cluster_235 b/seq/clusters_info/cluster_235 deleted file mode 100644 index 174df46..0000000 --- a/seq/clusters_info/cluster_235 +++ /dev/null @@ -1,22 +0,0 @@ -YP_010087860.1 HSP70h [Malus domestica virus A] -YP_009666140.1 heat shock protein 70-like protein [Cordyline virus 2] -YP_009664825.1 heat shock protein 70-like protein [Cordyline virus 4] -YP_009664816.1 heat shock protein 70-like protein [Cordyline virus 3] -YP_009507966.1 heat shock 70-kDa protein-like protein [Tetterwort vein chlorosis virus] -YP_009507953.1 heat shock protein 70-like protein [Diodia vein chlorosis virus] -YP_009506346.1 HSP70h [Cordyline virus 1] -YP_009140434.1 heat shock protein 70 [Areca palm velarivirus 1] -YP_006522427.1 heat shock 70-kDa protein homologue [Cucurbit chlorotic yellows virus] -YP_004935921.1 HSP70 gene product [Grapevine leafroll-associated virus 7] -YP_003204956.1 HSP70h [Tomato infectious chlorosis virus] -YP_003002358.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816775.1 p62 [Bean yellow disorder virus] -YP_293699.1 heat shock protein 70 [Tomato chlorosis virus] -YP_227360.1 p62 [Blackberry yellow vein-associated virus] -YP_054417.1 heat shock protein homologue [Potato yellow vein virus] -YP_025085.1 heat shock protein 70-like protein [Strawberry pallidosis-associated virus] -NP_940788.1 HSP70h [Beet pseudoyellows virus] -NP_851572.1 Hsp70h [Cucurbit yellow stunting disorder virus] -NP_689401.1 viral HSP70 homologue [Sweet potato chlorotic stunt virus] -NP_619695.1 heat-shock protein [Lettuce infectious yellows virus] -NP_045004.1 HSP70 homologue [Little cherry virus 1] diff --git a/seq/clusters_info/cluster_236 b/seq/clusters_info/cluster_236 deleted file mode 100644 index 2722e56..0000000 --- a/seq/clusters_info/cluster_236 +++ /dev/null @@ -1,22 +0,0 @@ -YP_010085030.1 L protein [Wuhan sharpbelly bornavirus] -YP_009512939.1 polymerase [Parrot bornavirus 5] -YP_009512933.1 viral polymerase [Parrot bornavirus 1] -YP_009508490.1 L [Southwest carpet python virus] -YP_009508484.1 L [Jungle carpet python virus] -YP_009505428.1 viral polymerase, partial [Estrildid finch bornavirus 1] -YP_009342311.1 RNA-dependent RNA polymerase [Wenzhou tapeworm virus 1] -YP_009333413.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 6] -YP_009304558.1 RNA-dependent RNA polymerase [Wenzhou Crab Virus 1] -YP_009269418.1 RNA-dependent RNA polymerase [Variegated squirrel bornavirus 1] -YP_009268916.1 RNA-dependent RNA polymerase [Aquatic bird bornavirus 2] -YP_009268910.1 RNA-dependent RNA polymerase [Canary bornavirus 1] -YP_009268904.1 RNA-dependent RNA polymerase [Parrot bornavirus 7] -YP_009268898.1 RNA-dependent RNA polymerase [Parrot bornavirus 4] -YP_009174181.1 RNA-dependent RNA polymerase [Parrot bornavirus 2] -YP_009055063.1 L polymerase [Loveridges garter snake virus 1] -YP_009041461.1 RNA-dependent RNA polymerase [Canary bornavirus 3] -YP_009268922.1 RNA-dependent RNA polymerase [Borna disease virus 2] -YP_009237647.1 RNA-dependent RNA polymerase [Aquatic bird bornavirus 1] -YP_009165497.1 RNA-dependent RNA polymerase [Canary bornavirus 2] -sp|P52639.2|L_BDVV RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -sp|Q8JMN0.1|L_BDV1 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase diff --git a/seq/clusters_info/cluster_237 b/seq/clusters_info/cluster_237 deleted file mode 100644 index 487b539..0000000 --- a/seq/clusters_info/cluster_237 +++ /dev/null @@ -1,22 +0,0 @@ -YP_009345044.1 RNA-dependent RNA polymerase [Phomopsis longicolla RNA virus 1] -YP_009337805.1 RNA-dependent RNA polymerase [Hubei narna-like virus 13] -YP_009337619.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 9] -YP_009337422.1 RNA-dependent RNA polymerase [Wenzhou shrimp virus 10] -YP_009337386.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 7] -YP_009337385.1 RNA-dependent RNA polymerase [Changjiang narna-like virus 4] -YP_009337146.1 RNA-dependent RNA polymerase [Wenling narna-like virus 5] -YP_009337133.1 RNA-dependent RNA polymerase [Wenling narna-like virus 4] -YP_009336759.1 RNA-dependent RNA polymerase [Hubei narna-like virus 12] -YP_009336532.1 RNA-dependent RNA polymerase [Shahe narna-like virus 3] -YP_009337436.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 8] -YP_009333347.1 RNA-dependent RNA polymerase [Beihai narna-like virus 17] -YP_009333315.1 RNA-dependent RNA polymerase [Beihai narna-like virus 18] -YP_009333241.1 RNA-dependent RNA polymerase [Beihai narna-like virus 13] -YP_009333164.1 RNA-dependent RNA polymerase [Beihai narna-like virus 19] -YP_009333153.1 RNA-dependent RNA polymerase [Beihai narna-like virus 14] -YP_009333152.1 RNA-dependent RNA polymerase [Beihai narna-like virus 16] -YP_009333147.1 RNA-dependent RNA polymerase [Beihai narna-like virus 15] -YP_009167342.1 Helicase [Opsiphanes invirae iflavirus 1] -APG77163.1 RNA-dependent RNA polymerase [Wenzhou shrimp virus 10] -APG76981.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 11] -APG77303.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 10] diff --git a/seq/clusters_info/cluster_238 b/seq/clusters_info/cluster_238 deleted file mode 100644 index bc2c2e8..0000000 --- a/seq/clusters_info/cluster_238 +++ /dev/null @@ -1,22 +0,0 @@ -YP_009996585.1 polymerase basic 1 protein [Johnston Atoll quaranjavirus] -YP_009987461.1 polymerase basic 1 protein [Lake Chad virus] -YP_009508043.1 polymerase PB1 [Quaranfil quaranjavirus] -YP_009110686.1 polymerase basic 1 protein [Wellfleet Bay virus] -APG77880.1 polymerase PB1 [Hubei earwig virus 1] -AJG39095.1 PB1 [Wuhan Mosquito Virus 7] -AJG39089.1 PB1 [Wuhan Louse Fly Virus 3] -AJG39096.1 PB1 [Wuhan Mothfly Virus] -AQU42764.1 PB1 [Whidbey virus] -AJG39092.1 PB1 [Wuhan Mosquito Virus 4] -AJG39088.1 PB1 [Shuangao Insect Virus 4] -APG77895.1 polymerase PB1, partial [Hubei orthomyxo-like virus 1] -AJG39094.1 PB1 [Wuhan Mosquito Virus 6] -AJG39084.1 PB1 [Jingshan Fly Virus 1] -AJG39090.1 PB1 [Wuhan Louse Fly Virus 4] -AJG39093.1 PB1 [Wuhan Mosquito Virus 5] -AJG39085.1 PB1 [Jiujie Fly Virus] -AJG39086.1 PB1 [Sanxia Water Strider Virus 3] -AJG39091.1 PB1 [Wuhan Mosquito Virus 3] -APG77865.1 polymerase PB1 [Beihai orthomyxo-like virus 1] -AFN73049.1 polymerase PB1 [Tjuloc virus] -APG77890.1 polymerase PB1 [Hubei orthomyxo-like virus 2] diff --git a/seq/clusters_info/cluster_239 b/seq/clusters_info/cluster_239 deleted file mode 100644 index 41b35ff..0000000 --- a/seq/clusters_info/cluster_239 +++ /dev/null @@ -1,22 +0,0 @@ -YP_233101.2 1a protein [Cassia yellow blotch virus] -YP_003208107.1 1a protein [Melandrium yellow fleck virus] -YP_009551510.1 1a protein [Sambucus virus S] -YP_009104374.1 putative replicase [Cassava Ivorian bacilliform virus] -YP_006576518.1 replication protein 1a [Amazon lily mild mottle virus] -YP_002640500.1 1a protein [Gayfeather mild mottle virus] -NP_689392.1 1a protein [Spring beauty latent virus] -NP_659000.1 1a protein [Broad bean mottle virus] -NP_620760.1 1a protein [Tomato aspermy virus] -NP_620042.1 1a protein [Olive latent virus 2] -NP_619770.1 replicase [Pelargonium zonate spot virus] -NP_613278.1 1a protein [Cowpea chlorotic mottle virus] -NP_049331.1 1a protein [Peanut stunt virus] -NP_049323.1 replicase [Cucumber mosaic virus] -NP_041196.1 replicase [Brome mosaic virus] -sp|Q83270.1|1A_CMVII RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -sp|Q66121.1|1A_CMVIX RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -sp|O40976.1|1A_CMVNT RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -sp|Q83264.1|1A_CMVY RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -sp|P28726.1|1A_PSVJ RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -sp|P06011.1|1A_CMVQ RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -sp|P20122.1|1A_CMVO RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase diff --git a/seq/clusters_info/cluster_24 b/seq/clusters_info/cluster_24 deleted file mode 100644 index d31295f..0000000 --- a/seq/clusters_info/cluster_24 +++ /dev/null @@ -1,74 +0,0 @@ -YP_010086219.1 nucleocapsid protein [Karimabad virus] -YP_010086177.1 nucleoprotein [Tehran virus] -YP_010086168.1 viral sRNA Nucleoprotein [Dashli virus] -YP_010086147.1 nucleocapsid [Salanga virus] -YP_010086099.1 nucleoprotein [Cacao virus] -YP_010086094.1 nucleoprotein [Itaporanga virus] -YP_010086079.1 putative nucleocapsid protein [Rio Grande virus] -YP_010086068.1 non-structural protein [Punique virus] -YP_010086203.1 nonstructural protein S [Ntepes virus] -YP_010086201.1 nucleocapsid [Tres Almendras virus] -YP_010086198.1 nucleocapsid [Mona Grita virus] -YP_010086194.1 nucleocapsid [La Gloria virus] -YP_010086137.1 nucleocapsid [Zaliv Terpeniya virus] -YP_010086232.1 nucleocapsid protein [Yongjia Tick Virus 1] -YP_010086230.1 nucleocapsid protein [Tacheng Tick Virus 2] -YP_010086228.1 nucleocapsid protein [Lihan tick virus] -YP_010086225.1 nucleoprotein [Icoaraci virus] -YP_010086179.1 N protein [Buenaventura virus] -YP_010086172.1 nucleoprotein [Medjerda Valley virus] -YP_010086160.1 nucleoprotein [Alcube virus] -YP_010086158.1 nucleoprotein [Silverwater virus] -YP_010086152.1 nucleoprotein [Rukutama virus] -YP_010086151.1 nucleocapsid protein [Gordil virus] -YP_010086141.1 nucleocapsid [Odrenisrou virus] -YP_010086132.1 nucleocapsid [Murre virus] -YP_010086131.1 nucleocapsid [Ixcanal virus] -YP_010086128.1 nucleocapsid [Durania virus] -YP_010086122.1 nucleocapsid [Maldonado virus] -YP_010086118.1 nucleocapsid [Echarate virus] -YP_010086114.1 nucleocapsid [Precarious point virus] -YP_010086110.1 nucleocapsid [Grand Arbaud virus] -YP_010086106.1 nucleocapsid [Alenquer virus] -YP_010086102.1 nucleocapsid [Chagres virus] -YP_010086089.1 nucleocapsid protein [Gabek Forest virus] -YP_010086087.1 nucleocapsid [Turuna virus] -YP_010086081.1 nucleocapsid [Nique virus] -YP_010086075.1 nucleocapsid [Itaituba virus] -YP_009380502.1 nucleocapsid [Oriximina virus] -YP_010086059.1 nucleoprotein [Salehabad virus] -YP_009304992.1 nucleocapsid protein [Wenzhou Shrimp Virus 1] -YP_009293592.1 nucleocapsid protein [Huangpi Tick Virus 2] -YP_009304999.1 nucleocapsid protein [Wuhan Fly Virus 1] -YP_009346038.1 nucleocapsid protein [Uriurana virus] -YP_009346032.1 nucleocapsid protein [Ambe virus] -YP_009346029.1 nucleocapsid protein [Urucuri virus] -YP_009346024.1 nucleocapsid protein [Tapara virus] -YP_009346021.1 nucleocapsid protein [Anhanga virus] -YP_009551636.1 nucleocapsid protein [Kaisodi virus] -YP_009666333.1 nucleoprotein [Mukawa virus] -YP_009666270.1 nucleocapsid [Pidgey virus] -YP_009666267.1 nucleocapsid [Mothra virus] -YP_009665189.1 N protein, partial [Frijoles virus VP-161A] -YP_009162640.1 nonstructural protein [Hunter Island virus] -YP_009512942.1 N protein [Punta Toro virus] -YP_009480532.1 nucleoprotein [Arrabida virus] -YP_009480529.1 viral sRNA Nucleoprotein [Toros virus] -YP_009480526.1 viral sRNA Nucleoprotein [Zerdali virus] -YP_009449452.1 nucleocapsid protein [Kabuto mountain virus] -YP_009346011.1 nucleocapsid [Munguba virus] -YP_009330280.1 putative nucleoprotein [Hubei diptera virus 4] -YP_009227130.1 sRNA nucleoprotein [Adana virus] -YP_009141015.1 nucleoprotein [Bhanja virus] -YP_009047244.1 nucleoprotein [Heartland virus] -YP_009010957.1 nucleocapsid [Arumowot virus] -YP_008719918.1 nucleoprotein [Razdan virus] -YP_008003509.1 nucleoprotein [Lone Star virus] -YP_004414705.1 nucleocapsid [Aguacate virus] -YP_004382744.1 nucleocapsid [Sandfly fever Turkey virus] -YP_004347994.1 nucleocapsid [Chandiru virus] -YP_003848707.1 nucleocapsid [Rift Valley fever virus] -YP_089668.1 viral sRNA N protein [Sandfly fever Naples virus] -NP_941980.1 hypothetical protein UUKVsSgp1 [Uukuniemi virus] -sp|P12793.2|NCAP_SFSV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P03515.1|NCAP_PTPV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_240 b/seq/clusters_info/cluster_240 deleted file mode 100644 index 76489c7..0000000 --- a/seq/clusters_info/cluster_240 +++ /dev/null @@ -1,22 +0,0 @@ -NP_056845.1 nef protein [Human immunodeficiency virus 2] -NP_054373.1 nef protein [Simian immunodeficiency virus] -sp|Q89868.3|NEF_HV2EH RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|Q76639.3|NEF_HV2UC RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|Q74127.3|NEF_HV2KR RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P15829.4|NEF_HV2D2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P27979.3|NEF_SIVVG RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P27970.3|NEF_SIVV1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P20868.3|NEF_HV2ST RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P04600.3|NEF_HV2RO RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P05860.3|NEF_HV2NZ RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P12447.3|NEF_HV2SB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P18038.3|NEF_HV2G1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P24103.3|NEF_HV2CA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P17753.3|NEF_HV2D1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P12482.4|NEF_SIVS4 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P31818.3|NEF_SIVMA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P19501.3|NEF_SIVSP RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P11262.3|NEF_SIVML RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P05861.3|NEF_SIVMK RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P05862.3|NEF_SIVM1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P05863.3|NEF_SIVVT RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein diff --git a/seq/clusters_info/cluster_241 b/seq/clusters_info/cluster_241 deleted file mode 100644 index 70e59fc..0000000 --- a/seq/clusters_info/cluster_241 +++ /dev/null @@ -1,22 +0,0 @@ -NP_758888.1 vif protein [Simian immunodeficiency virus SIV-mnd 2] -NP_056839.1 vif protein [Human immunodeficiency virus 2] -NP_054370.1 vif protein [Simian immunodeficiency virus] -sp|Q89753.1|VIF_HV2EH RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|Q76635.1|VIF_HV2UC RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P89905.1|VIF_SIVTA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|Q74121.1|VIF_HV2KR RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P12505.1|VIF_SIVS4 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P27983.1|VIF_SIVVG RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P27974.1|VIF_SIVV1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P20878.1|VIF_HV2ST RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P04595.1|VIF_HV2RO RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P12452.1|VIF_HV2SB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P18043.1|VIF_HV2G1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P24108.1|VIF_HV2CA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P17758.1|VIF_HV2D1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P19506.1|VIF_SIVSP RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P05902.1|VIF_SIVMK RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P05903.1|VIF_SIVM1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P05904.1|VIF_SIVVT RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P05901.1|VIF_HV2NZ RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P15834.1|VIF_HV2D2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein diff --git a/seq/clusters_info/cluster_242 b/seq/clusters_info/cluster_242 deleted file mode 100644 index 4c7554f..0000000 --- a/seq/clusters_info/cluster_242 +++ /dev/null @@ -1,21 +0,0 @@ -NP_740519.1 2A (P2-A) [rhinovirus B14] -YP_009020978.1 protease 2A [enterovirus F4] -YP_009268634.1 protease 2A [enterovirus A114] -YP_009508989.1 2A (P2-A) [rhinovirus B3] -YP_009508956.1 2A [Simian enterovirus SV4] -YP_009508934.1 2A protein [Coxsackievirus A2] -YP_009508978.1 2A [rhinovirus A1] -YP_009508967.1 protease 2A [Dromedary camel enterovirus 19CC] -YP_009508945.1 2A protein [enterovirus D68] -YP_009249467.1 2A [Enterovirus SEV-gx] -YP_009020966.1 protease 2A [Enterovirus F] -YP_003359170.1 2A [Enterovirus J] -YP_001718580.1 2A [Enterovirus J] -YP_001552436.1 2A [Rhinovirus C] -NP_740416.1 proteinase 2A [Enterovirus D] -NP_740477.1 Picornain 2A [Enterovirus C] -NP_740541.1 2A [Enterovirus B] -NP_740530.1 2A [Enterovirus A] -NP_740395.1 P2-A polypeptide [Rhinovirus A] -NP_740449.1 2A [Enterovirus E] -NP_714933.1 putative 2A [Enterovirus H] diff --git a/seq/clusters_info/cluster_243 b/seq/clusters_info/cluster_243 deleted file mode 100644 index 26715cf..0000000 --- a/seq/clusters_info/cluster_243 +++ /dev/null @@ -1,21 +0,0 @@ -NP_740515.1 1A (VP4) [rhinovirus B14] -YP_009020974.1 capsid protein VP4 [enterovirus F4] -YP_009268630.1 capsid protein VP4 [enterovirus A114] -YP_009508985.1 1A (VP4) [rhinovirus B3] -YP_009508952.1 1A (VP4) [Simian enterovirus SV4] -YP_009508930.1 VP4 protein [Coxsackievirus A2] -YP_009508974.1 1A [rhinovirus A1] -YP_009508963.1 capsid protein VP4 [Dromedary camel enterovirus 19CC] -YP_009508941.1 VP4 protein [enterovirus D68] -YP_009483877.1 VP4 [Sichuan takin enterovirus] -YP_009249463.1 VP4 [Enterovirus SEV-gx] -YP_009020962.1 capsid protein VP4 [Enterovirus F] -YP_003359166.1 1A (VP4) [Enterovirus J] -YP_001718576.1 1A (VP4) [Enterovirus J] -YP_001552432.1 VP4 [Rhinovirus C] -NP_740412.1 capsid protein 1A [Enterovirus D] -NP_740468.1 coat protein VP4 [Enterovirus C] -NP_740537.1 1A(VP4) [Enterovirus B] -NP_740526.1 VP4 (1A) [Enterovirus A] -NP_740445.1 VP-4 [Enterovirus E] -NP_714929.1 putative VP4 [Enterovirus H] diff --git a/seq/clusters_info/cluster_244 b/seq/clusters_info/cluster_244 deleted file mode 100644 index 62fcf01..0000000 --- a/seq/clusters_info/cluster_244 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009512973.1 phosphoprotein [Teviot virus] -YP_009512974.1 V protein [Teviot virus] -YP_009512966.1 phosphoprotein [Menangle virus] -YP_009512967.1 V protein [Menangle virus] -YP_009094494.1 V protein [Tuhoko virus 1] -YP_009094493.1 phosphoprotein [Tuhoko virus 1] -YP_009094462.1 W protein [Achimota virus 2] -YP_009094461.1 V protein [Achimota virus 2] -YP_009094460.1 phosphoprotein [Achimota virus 2] -YP_009094454.1 W protein [Achimota virus 1] -YP_009094453.1 V protein [Achimota virus 1] -YP_009094452.1 phosphoprotein [Achimota virus 1] -YP_009094076.1 V protein [Tuhoko virus 3] -YP_009094075.1 phosphoprotein [Tuhoko virus 3] -YP_009094063.1 V protein [Tuhoko virus 2] -YP_009094062.1 phosphoprotein [Tuhoko virus 2] -YP_009094029.1 phosphoprotein [Sosuga virus] -YP_009094030.1 V protein [Sosuga virus] -NP_665867.1 W protein [Tioman virus] -NP_665866.1 V protein [Tioman virus] -NP_665865.1 phosphoprotein [Tioman virus] diff --git a/seq/clusters_info/cluster_245 b/seq/clusters_info/cluster_245 deleted file mode 100644 index 5c7900e..0000000 --- a/seq/clusters_info/cluster_245 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009336487.1 nucleocapsid protein [Lucheng Rn rat coronavirus] -YP_173242.1 nucleocapsid phosphoprotein [Human coronavirus HKU1] -YP_009113031.1 nucleocapsid protein [Betacoronavirus HKU24] -YP_005454249.1 nucleocapsid protein [Rabbit coronavirus HKU14] -NP_045302.1 nucleocapsid protein [Murine hepatitis virus] -YP_009755837.1 nucleocapsid protein [Rodent coronavirus] -YP_003029852.1 nucleocapsid protein [Rat coronavirus Parker] -YP_209238.1 nucleocapsid protein [Murine hepatitis virus strain JHM] -YP_009555245.1 nucleocapsid protein [Human coronavirus OC43] -NP_150083.1 nucleocapsid protein [Bovine coronavirus] -sp|Q0ZME3.1|NCAP_CVHN5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9PY96.1|NCAP_CVM2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q8JSP4.1|NCAP_CVPIA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9WCD0.1|NCAP_CVRNJ RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9QAR8.1|NCAP_CVBLY RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9DQX6.1|NCAP_CVEN9 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q83360.1|NCAP_CVMDV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P59713.1|NCAP_CVPV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q02915.1|NCAP_CVRSD RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P18446.1|NCAP_CVM1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P18448.1|NCAP_CVMS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N diff --git a/seq/clusters_info/cluster_246 b/seq/clusters_info/cluster_246 deleted file mode 100644 index 9cba91b..0000000 --- a/seq/clusters_info/cluster_246 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009506268.1 hypothetical protein [Sugarcane bacilliform Guadeloupe A virus] -YP_009506262.1 hypothetical protein CaYMV_gp1 [Canna yellow mottle virus] -YP_009259696.1 ORF1 [Canna yellow mottle associated virus] -YP_004442837.1 hypothetical protein BaSIMV_gp1 [Banana streak IM virus] -YP_004442834.1 hypothetical protein BaSCAV_gp1 [Banana streak CA virus] -YP_004442831.1 hypothetical protein BaSUMV_gp1 [Banana streak UM virus] -YP_004442828.1 hypothetical protein BaSULV_gp1 [Banana streak UL virus] -YP_004442825.1 hypothetical protein BaSUIV_gp1 [Banana streak UI virus] -YP_004442822.1 hypothetical protein BaSUAV_gp1 [Banana streak UA virus] -YP_003987463.1 hypothetical protein PBCoV_gp1 [Pineapple bacilliform CO virus] -YP_003284235.1 ORF1 protein [Sugarcane bacilliform Guadeloupe D virus] -YP_002117529.1 ORF1 [Cycad leaf necrosis virus] -YP_605809.1 ORFI [Banana streak virus Acuminata Yunnan] -YP_595723.1 ORF1 [Sugarcane bacilliform MO virus] -YP_233105.1 ORF1 [Banana streak GF virus] -YP_233108.1 hypothetical protein BSVSAV_gp1 [Banana streak VN virus] -YP_224287.1 ORF I protein [Banana streak MY virus] -NP_777315.1 hypothetical protein [Kalanchoe top-spotting virus] -NP_569148.1 small protein of unknown function [Banana streak OL virus] -NP_149411.1 hypothetical protein SCBVgp1 [Sugarcane bacilliform IM virus] -NP_039818.1 hypothetical protein ComYMVgp1 [Commelina yellow mottle virus] diff --git a/seq/clusters_info/cluster_247 b/seq/clusters_info/cluster_247 deleted file mode 100644 index b4cca63..0000000 --- a/seq/clusters_info/cluster_247 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009667132.1 NIa-Pro [Paris mosaic necrosis virus] -YP_009458615.1 NIa protease [Saffron latent virus] -YP_007001301.1 Nia-Pro [Blue squill virus A] -YP_006405411.1 NIa-Pro [Basella rugose mosaic virus] -YP_006395359.1 NIa-Pro protein [East Asian Passiflora virus] -YP_004940326.1 NIa-Pro protein [Yambean mosaic virus] -YP_004564596.1 NIa-pro [Hardenbergia mosaic virus] -YP_004063678.1 NIa-Pro protein [Passion fruit woodiness virus] -YP_002321506.1 NIa-Pro [Zantedeschia mild mosaic virus] -YP_001974443.1 NIa protease [Fritillary virus Y] -YP_001816833.1 NIa-Pro protein [Telosma mosaic virus] -YP_842357.1 NIa-Pro protein [Wisteria vein mosaic virus] -YP_077275.1 protease [Watermelon mosaic virus] -NP_954626.1 NIa-Pro [Beet mosaic virus] -NP_734356.1 NIa-Pro protein [Bean common mosaic necrosis virus] -NP_734415.1 NIa-Pro protein [Peanut mottle virus] -NP_734110.1 NIa-Pro protein [Dasheen mosaic virus] -NP_734190.1 NIa-Pro protein [Zucchini yellow mosaic virus] -NP_734202.1 NIa-Pro protein [Soybean mosaic virus] -NP_734386.1 NIa-Pro protein [Cowpea aphid-borne mosaic virus] -NP_734120.1 NIa-Pro protein [Bean common mosaic virus] diff --git a/seq/clusters_info/cluster_248 b/seq/clusters_info/cluster_248 deleted file mode 100644 index 84d1ae4..0000000 --- a/seq/clusters_info/cluster_248 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009666499.1 movement protein [Angelica bushy stunt virus] -YP_009165746.1 ORF1 [Atractylodes mild mottle virus] -YP_006907830.1 cell-to-cell transport protein [Horseradish latent virus] -YP_006732330.1 movement protein [Dahlia mosaic virus] -YP_006607888.1 movement protein [Soybean Putnam virus] -YP_002519384.1 putative cell-to-cell movement protein [Rudbeckia flower distortion virus] -YP_001931963.1 movement protein [Eupatorium vein clearing virus] -YP_001931957.1 movement protein [Lamium leaf distortion virus] -NP_861411.1 putative movement protein [Cestrum yellow leaf curling virus] -NP_659393.1 hypothetical protein [Mirabilis mosaic virus] -NP_619544.1 unnamed protein product [Figwort mosaic virus] -NP_612573.1 Movement protein [Carnation etched ring virus] -NP_395472.1 putative cell-to-cell movement protein [Blueberry red ringspot virus] -NP_044299.1 putative transport protein [Soybean chlorotic mottle virus] -NP_043929.1 hypothetical protein [Strawberry vein banding virus] -NP_056724.1 Movement protein [Cauliflower mosaic virus] -sp|P03547.1|MVP_CAMVD RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -sp|P03546.1|MVP_CAMVC RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -sp|Q00966.1|MVP_CAMVN RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -sp|Q02968.2|MVP_CAMVE RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -sp|Q01089.1|MVP_CAMVW RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein diff --git a/seq/clusters_info/cluster_249 b/seq/clusters_info/cluster_249 deleted file mode 100644 index ee345fd..0000000 --- a/seq/clusters_info/cluster_249 +++ /dev/null @@ -1,21 +0,0 @@ -YP_010084460.1 glycoprotein [Lepeophtheirus salmonis rhabdovirus 127] -YP_009666837.1 glycoprotein [Vaprio virus] -YP_009362198.1 glycoprotein [Keuraliba virus] -YP_009362193.1 glycoprotein [Nkolbisson virus] -YP_009362158.1 glycoprotein [Barur virus] -YP_009362114.1 glycoprotein [Marco virus] -YP_009508526.1 glycoprotein [Kanyawara virus] -YP_009505525.1 glycoprotein [Durham virus] -YP_009362257.1 glycoprotein [Oita virus] -YP_009362242.1 glycoprotein [Mount Elgon bat virus] -YP_009362002.1 glycoprotein [Fukuoka virus] -YP_009361993.1 glycoprotein [Kern Canyon virus] -YP_009361871.1 glycoprotein [Le Dantec virus] -YP_009344981.1 putative glycoprotein [Xinzhou dimarhabdovirus virus 1] -YP_009305121.1 glycoprotein [Yongjia Tick Virus 2] -YP_009305101.1 glycoprotein [Wuhan Louse Fly Virus 5] -YP_009287863.1 glycoprotein [Bole Tick Virus 2] -YP_009177013.1 G [Kumasi rhabdovirus] -YP_009094026.1 G protein [Kolente virus] -YP_009094021.1 glycoprotein [Fikirini rhabdovirus] -YP_003518290.1 putative non-structural transmembrane glycoprotein [Ngaingan hapavirus] diff --git a/seq/clusters_info/cluster_25 b/seq/clusters_info/cluster_25 deleted file mode 100644 index 225e30e..0000000 --- a/seq/clusters_info/cluster_25 +++ /dev/null @@ -1,73 +0,0 @@ -YP_010088112.1 6K1 [Platycodon mild mottle virus] -YP_009667140.1 6K1 protein [Mediterranean ruda virus] -YP_009667093.1 6K1 [Zucchini shoestring virus] -YP_009667064.1 6K1 [Iris mild mosaic virus] -YP_009351889.1 6K1 [Sunflower ring blotch virus] -YP_009509101.1 6K1 [Daphne virus Y] -YP_009466008.1 6K1 [Hyacinth mosaic virus] -YP_009389253.1 6K1 [Cucurbit vein banding virus] -YP_009310043.1 6K1 [Euphorbia ringspot virus] -YP_009272673.1 6K1 [Callistephus mottle virus] -YP_009259515.1 6K1 protein [Wild onion symptomless virus] -YP_009252413.1 6K1 [Tobacco mosqueado virus] -YP_009221986.1 6K1 protein [Jasmine virus T] -YP_009126864.1 6K1 protein [Tamarillo leaf malformation virus] -YP_009010962.1 6K1 [Narcissus late season yellows virus] -YP_008992248.1 6K1 segment [Zucchini tigre mosaic virus] -YP_008719791.1 6K1 protein [Pokeweed mosaic virus] -YP_008320585.1 first 6-kDa protein [Habenaria mosaic virus] -YP_007969887.1 6K1 [Donkey orchid virus A] -YP_007354891.1 6K1 protein [Brugmansia mosaic virus] -YP_007001287.1 6K1 [Yam mild mosaic virus] -YP_007001277.1 6K1 [Ornithogalum mosaic virus] -YP_006493337.1 6K1 [Sweet potato virus G] -YP_006438191.1 6K1 protein [Sweet potato virus 2] -YP_006423988.1 6K1 protein [Chilli ringspot virus] -YP_006423976.1 6K1 protein [Celery mosaic virus] -YP_006423950.1 6K1 protein [Bidens mottle virus] -YP_006401483.1 6K1 protein [Pepper severe mosaic virus] -YP_006395342.1 6K1 protein [Pennisetum mosaic virus] -YP_006280734.1 6K1 protein [Tomato necrotic stunt virus] -YP_004123945.1 6K1 [Lupinus mosaic virus] -YP_004123957.1 6K1 [Apium virus Y] -YP_004046674.1 6K1 [Sweet potato virus C] -YP_003902963.1 6K1 protein [Brugmansia suaveolens mottle virus] -YP_003725722.1 6K1 [Panax virus Y] -YP_003582548.1 6K1 protein [Sunflower chlorotic mottle virus] -YP_002539445.1 6K1 [Pepper veinal mottle virus] -YP_002308558.1 6K1 protein [Narcissus yellow stripe virus] -YP_001936190.1 6K1 [Algerian watermelon mosaic virus] -YP_001936181.1 6K1 [Verbena virus Y] -YP_001816849.1 6K1 protein [Wild tomato mosaic virus] -YP_001552425.1 6K1 protein [Moroccan watermelon mosaic virus] -YP_001552415.1 6K1 [Tobacco vein banding mosaic virus] -YP_611114.1 6K1 protein [Daphne mosaic virus] -YP_529493.1 6K1 protein [Konjac mosaic virus] -YP_254717.1 6K1 [Thunberg fritillary mosaic virus] -YP_063389.1 6K1 protein [Hordeum mosaic virus] -YP_054395.1 6K1 [Agropyron mosaic virus] -YP_022755.1 6K1 protein [Yam mosaic virus] -NP_982338.1 6 kDa protein 1 [Chilli veinal mottle virus] -NP_945139.1 6K1 protein [Lily mottle virus] -NP_871731.1 6K1 [Papaya leaf distortion mosaic virus] -NP_787941.1 6K1 protein [Peru tomato mosaic virus] -NP_741972.1 6K1 protein [Wild potato mosaic virus] -NP_734126.1 6K1 protein [Scallion mosaic virus] -NP_734096.1 6K1 protein [Leek yellow stripe virus] -NP_734226.1 6K1 protein [Japanese yam mosaic virus] -NP_734372.1 6K1 protein [Potato virus V] -NP_734401.1 6K1 protein [Johnsongrass mosaic virus] -NP_734312.1 6K1 protein [Sweet potato feathery mottle virus] -NP_734216.1 6K1 protein [Turnip mosaic virus] -NP_734337.1 6K1 protein [Tobacco vein mottling virus] -NP_734210.1 6K1 protein [Tobacco etch virus] -NP_734136.1 6K1 protein [Sugarcane mosaic virus] -NP_734245.1 6K1 protein [Potato virus Y] -NP_734362.1 6K1 protein [Potato virus A] -NP_734342.1 6K1 protein [Plum pox virus] -NP_734437.1 6K1 protein [Pepper mottle virus] -NP_734236.1 6K1 protein [Papaya ringspot virus] -NP_734146.1 6K1 protein [Maize dwarf mosaic virus] -NP_734156.1 6K1 protein [Lettuce mosaic virus] -NP_734166.1 6K1 protein [Clover yellow vein virus] -NP_734176.1 6K1 protein [Bean yellow mosaic virus] diff --git a/seq/clusters_info/cluster_250 b/seq/clusters_info/cluster_250 deleted file mode 100644 index 2297872..0000000 --- a/seq/clusters_info/cluster_250 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009551531.1 NSP3 [Bat rotavirus] -YP_008145321.1 NSP3 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896052.1 NSP3 [Rotavirus D chicken/05V0049/DEU/2005] -YP_002302220.1 NSP3 [Rotavirus A] -sp|Q82052.1|NSP3_ROTHS RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|A4ZCW4.2|NSP3_ROTH3 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|A3DSK9.2|NSP3_ROTHL RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|B3SRR3.1|NSP3_ROTH7 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|B3SRS1.1|NSP3_ROTAD RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|B2BRG4.1|NSP3_ROTW3 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|B3SRV3.1|NSP3_ROTHP RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q3ZK63.1|NSP3_ROT41 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q8UZL8.1|NSP3_ROTRH RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q65701.1|NSP3_ROTBN RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q85014.1|NSP3_ROTP5 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q82054.1|NSP3_ROTHW RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q82053.1|NSP3_ROTHT RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q82051.1|NSP3_ROTH6 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q82050.1|NSP3_ROTHK RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|Q82049.1|NSP3_ROTWI RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -sp|P04514.1|NSP3_ROTBU RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 diff --git a/seq/clusters_info/cluster_251 b/seq/clusters_info/cluster_251 deleted file mode 100644 index fe405c9..0000000 --- a/seq/clusters_info/cluster_251 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009553485.1 polyprotein putative RdRp [Shinobi tetravirus] -YP_009551892.1 hypothetical protein [Sarawak virus] -YP_009665207.1 RNA-dependent RNA polymerase [Thosea asigna virus] -YP_009342439.1 hypothetical protein [Wuhan house centipede virus 9] -YP_009337778.1 RdRp [Hubei permutotetra-like virus 9] -YP_009337764.1 RdRp [Hubei permutotetra-like virus 10] -YP_009337742.1 RdRp [Hubei permutotetra-like virus 5] -YP_009337703.1 RdRp [Hubei permutotetra-like virus 8] -YP_009337667.1 RdRp [Sanxia water strider virus 19] -YP_009337650.1 RdRp [Sanxia permutotetra-like virus 1] -YP_009337623.1 RdRp [Hubei permutotetra-like virus 3] -YP_009337378.1 RdRp [Hubei permutotetra-like virus 4] -YP_009337364.1 RdRp [Hubei permutotetra-like virus 7] -YP_009337336.1 RdRp [Hubei permutotetra-like virus 2] -YP_009337318.1 RdRp [Hubei permutotetra-like virus 6] -YP_009337300.1 RdRp [Hubei permutotetra-like virus 1] -YP_009337276.1 RdRp [Hubei permutotetra-like virus 11] -YP_009337234.1 RdRp [Beihai sphaeromadae virus 4] -YP_009329862.1 RdRp [Beihai permutotetra-like virus 2] -YP_003038595.1 non-structural protein [Drosophila A virus] -NP_573541.1 putative replicase [Euprosterna elaeasa virus] diff --git a/seq/clusters_info/cluster_252 b/seq/clusters_info/cluster_252 deleted file mode 100644 index a8889eb..0000000 --- a/seq/clusters_info/cluster_252 +++ /dev/null @@ -1,21 +0,0 @@ -YP_009227194.1 nonstructural protein NS4B [Spondweni virus] -YP_009227204.1 nonstructural protein NS4B [Zika virus] -YP_001527886.1 nonstructural protein 4B [West Nile virus] -NP_776021.1 non-structural protein NS4b [West Nile virus] -YP_001531175.2 Nonstructural protein NS4B [Dengue virus 3] -NP_739589.2 Nonstructural protein NS4B [Dengue virus 2] -NP_740324.1 NS4B protein [Dengue virus 4] -YP_009553735.1 NS4B [Kampung Karu virus] -YP_009430307.1 nonstructural protein NS4B [Zika virus] -YP_009333114.1 nonstructural protein NS4B [Cacipacore virus] -YP_009333130.1 nonstructural protein NS4B [Kokobera virus] -YP_009329956.1 nonstructural protein NS4B [Saint Louis encephalitis virus] -YP_009259664.1 nonstructural protein NS4B [Chaoyang virus] -YP_009259535.1 nonstructural protein NS4B [Donggang virus] -YP_164817.1 NS4b [Usutu virus] -NP_775673.1 non-structural protein NS4b [Japanese encephalitis virus] -NP_776008.1 non-structural protein NS4b [Yellow fever virus] -NP_722538.1 NS4B [Murray Valley encephalitis virus] -NP_733811.1 nonstructural protein 4B [Dengue virus 1] -YP_009268595.1 nonstructural protein NS4B [Lammi virus] -YP_009268579.1 nonstructural protein NS4B [Ilomantsi virus] diff --git a/seq/clusters_info/cluster_253 b/seq/clusters_info/cluster_253 deleted file mode 100644 index a71c0a1..0000000 --- a/seq/clusters_info/cluster_253 +++ /dev/null @@ -1,21 +0,0 @@ -sp|P03481.1|NRAM_I78A0 RecName: Full=Neuraminidase -sp|Q07572.2|NRAM_I80A6 RecName: Full=Neuraminidase -sp|Q6TXB9.1|NRAM_I85A5 RecName: Full=Neuraminidase -sp|Q07583.1|NRAM_I90A1 RecName: Full=Neuraminidase -sp|Q07582.1|NRAM_I86A3 RecName: Full=Neuraminidase -sp|Q07581.1|NRAM_I69A2 RecName: Full=Neuraminidase -sp|Q07580.1|NRAM_I79A6 RecName: Full=Neuraminidase -sp|Q07579.1|NRAM_I63A2 RecName: Full=Neuraminidase -sp|Q07578.1|NRAM_I89A7 RecName: Full=Neuraminidase -sp|Q07576.1|NRAM_I72A0 RecName: Full=Neuraminidase -sp|Q07573.1|NRAM_I74A2 RecName: Full=Neuraminidase -sp|Q07570.1|NRAM_I88A1 RecName: Full=Neuraminidase -sp|Q07599.1|NRAM_I63A3 RecName: Full=Neuraminidase -sp|P08326.1|NRAM_I81A2 RecName: Full=Neuraminidase -sp|Q07585.2|NRAM_I78AC RecName: Full=Neuraminidase -sp|Q07584.1|NRAM_I65A0 RecName: Full=Neuraminidase -sp|Q07577.1|NRAM_I88A4 RecName: Full=Neuraminidase -sp|Q07574.1|NRAM_I84A0 RecName: Full=Neuraminidase -sp|P03478.2|NRAM_I72A5 RecName: Full=Neuraminidase -sp|Q0A2G2.1|NRAM_I83A4 RecName: Full=Neuraminidase -sp|Q595Z2.1|NRAM_I63A1 RecName: Full=Neuraminidase diff --git a/seq/clusters_info/cluster_254 b/seq/clusters_info/cluster_254 deleted file mode 100644 index 2506def..0000000 --- a/seq/clusters_info/cluster_254 +++ /dev/null @@ -1,20 +0,0 @@ -NP_740522.1 3A (P3-A) [rhinovirus B14] -YP_009020981.1 protein 3A [enterovirus F4] -YP_009268637.1 3A [enterovirus A114] -YP_009508992.1 3A (P3-A) [rhinovirus B3] -YP_009508959.1 3A [Simian enterovirus SV4] -YP_009508937.1 3A protein [Coxsackievirus A2] -YP_009508981.1 3A [rhinovirus A1] -YP_009508970.1 protein 3A [Dromedary camel enterovirus 19CC] -YP_009508948.1 3A protein [enterovirus D68] -YP_009483884.1 3A [Sichuan takin enterovirus] -YP_009249470.1 3A [Enterovirus SEV-gx] -YP_009020969.1 protein 3A [Enterovirus F] -YP_007353733.1 protein 3AB [Enterovirus C] -YP_003359173.1 3A [Enterovirus J] -YP_001718583.1 3A [Enterovirus J] -NP_740419.1 protein 3A [Enterovirus D] -NP_740544.1 3A [Enterovirus B] -NP_740398.1 P-3A polypeptide [Rhinovirus A] -NP_740452.1 3A [Enterovirus E] -NP_714936.1 putative 3A [Enterovirus H] diff --git a/seq/clusters_info/cluster_255 b/seq/clusters_info/cluster_255 deleted file mode 100644 index c1b688f..0000000 --- a/seq/clusters_info/cluster_255 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009508473.1 Z protein [Ryukyu mammarenavirus] -YP_009505805.1 Z protein [Loei River mammarenavirus] -YP_009508475.1 ring finger protein [Souris virus] -YP_009505807.1 Z protein [Arenaviridae sp. 13ZR68] -YP_009141009.1 Z protein [Okahandja mammarenavirus] -YP_009141007.1 Z protein [Mariental mammarenavirus] -YP_009116792.1 Z protein [Gairo mammarenavirus] -YP_009113208.1 Z protein [Wenzhou mammarenavirus] -YP_009019198.1 Z protein [Merino Walk mammarenavirus] -YP_006858708.1 Z protein [Lunk virus NKS-1] -YP_004933732.1 Z gene product [Luna mammarenavirus] -YP_003090216.1 Z protein [Morogoro mammarenavirus] -YP_002929492.1 multifunctional matrix-like protein [Lujo mammarenavirus] -YP_516228.1 Z protein [Mobala mammarenavirus] -YP_516232.1 Z protein [Ippy mammarenavirus] -YP_170707.1 Z protein [Mopeia virus AN20410] -NP_694871.1 Z protein [Lassa mammarenavirus] -sp|P19325.3|Z_LYCVT RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -sp|P19326.1|Z_LYCVP RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -sp|P18541.3|Z_LYCVA RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein diff --git a/seq/clusters_info/cluster_256 b/seq/clusters_info/cluster_256 deleted file mode 100644 index 88b11e7..0000000 --- a/seq/clusters_info/cluster_256 +++ /dev/null @@ -1,20 +0,0 @@ -YP_138514.1 membrane protein [Parainfluenza virus 5] -YP_010087171.1 matrix protein [Alston virus] -NP_054710.1 membrane protein [Mumps orthorubulavirus] -YP_009512975.1 matrix protein [Teviot virus] -YP_009512968.1 matrix protein [Menangle virus] -YP_009505444.1 matrix protein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -YP_009094495.1 matrix protein [Tuhoko virus 1] -YP_009094463.1 matrix protein [Achimota virus 2] -YP_009094455.1 matrix protein [Achimota virus 1] -YP_009094077.1 matrix protein [Tuhoko virus 3] -YP_009094064.1 matrix protein [Tuhoko virus 2] -YP_009094031.1 matrix protein [Sosuga virus] -YP_008378662.1 matrix protein [Human parainfluenza virus 4a] -YP_001331032.1 matrix protein [Porcine orthorubulavirus] -YP_001249275.1 matrix protein [Mapuera orthorubulavirus] -YP_138507.1 matrix protein [Simian orthorubulavirus] -NP_665868.1 matrix protein [Tioman virus] -NP_598403.1 matrix protein [Human orthorubulavirus 2] -sp|P27020.1|MATRX_PI4HB RecName: Full=Matrix protein -sp|P19718.1|MATRX_MUMP1 RecName: Full=Matrix protein diff --git a/seq/clusters_info/cluster_257 b/seq/clusters_info/cluster_257 deleted file mode 100644 index 2285f76..0000000 --- a/seq/clusters_info/cluster_257 +++ /dev/null @@ -1,20 +0,0 @@ -YP_010087617.1 putative RNA-dependent RNA polymerase [Patrinia mild mottle virus] -YP_009665185.1 RNA-dependent RNA polymerase, partial [Tobacco mottle virus] -YP_002302259.2 RNA-dependent RNA polymerase [Carrot mottle virus] -YP_009352229.1 RNA-dependent RNA polymerase [Ixeridium yellow mottle virus 2] -YP_009337341.1 hypothetical protein 2 [Changjiang tombus-like virus 17] -YP_009337297.1 hypothetical protein 2 [Changjiang tombus-like virus 3] -YP_009337296.1 hypothetical protein 1 [Changjiang tombus-like virus 3] -YP_009336938.1 hypothetical protein 2 [Beihai tombus-like virus 8] -NP_054007.4 RNA-dependent RNA polymerase [Carrot mottle mimic virus] -NP_620846.3 replicase [Pea enation mosaic virus 2] -YP_009162614.2 RNA-dependent RNA polymerase [Opium poppy mosaic virus] -YP_009162058.1 RNA polymerase [Groundnut rosette virus] -NP_733848.2 RNA-dependent RNA polymerase [Tobacco bushy top virus] -YP_009056849.1 RNA-dependent RNA polymerase [Ethiopian tobacco bushy top virus] -ACJ03572.1 RNA-dependent RNA polymerase [Carrot mottle mimic virus] -AEM45994.1 RNA-dependent RNA-polymerase [Pea enation mosaic virus 2] -AID45795.1 RNA-dependent RNA polymerase [Papaya meleira virus] -AMU19322.1 RNA-dependent RNA polymerase [Papaya meleira virus 2] -AJF45986.1 RdRp [Papaya umbra virus] -AGG23390.1 RNA-dependent RNA polymerase [Citrus yellow vein-associated virus] diff --git a/seq/clusters_info/cluster_258 b/seq/clusters_info/cluster_258 deleted file mode 100644 index 2f62b71..0000000 --- a/seq/clusters_info/cluster_258 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009666126.1 pol protein [Guenon simian foamy virus] -YP_009513249.1 pol [Feline foamy virus] -YP_009513242.1 pol [Rhesus macaque simian foamy virus] -YP_009508888.1 polymerase [Simian foamy virus Pongo pygmaeus pygmaeus] -YP_009508582.1 pol protein [Yellow-breasted capuchin simian foamy virus] -YP_009508577.1 pol [White-tufted-ear marmoset simian foamy virus] -YP_009508571.1 pol protein [Western lowland gorilla simian foamy virus] -YP_009508566.1 pol [Squirrel monkey simian foamy virus] -YP_009508561.1 pol [Spider monkey simian foamy virus] -YP_009508556.1 pol protein [Japanese macaque simian foamy virus] -YP_009508551.1 pol protein [Eastern chimpanzee simian foamy virus] -YP_009508546.1 pol protein [Central cimpanzee simian foamy virus] -YP_009508537.1 Pol [Puma feline foamy virus] -YP_001956722.2 Pol precursor [African green monkey simian foamy virus] -NP_054716.1 Pol [Equine foamy virus] -NP_044929.1 pol polyprotein [Bovine foamy virus] -NP_043924.1 gag-pol polyprotein [Snakehead retrovirus] -NP_056803.1 pol polyprotein, partial [Simian foamy virus] -sp|P14350.2|POL_FOAMV RecName: Full=Pro-Pol polyprotein; AltName: Full=Pr125Pol; Contains: RecName: Full=Protease/Reverse transcriptase/ribonuclease H; AltName: Full=p87Pro-RT-RNaseH; Contains: RecName: Full=Protease/Reverse transcriptase; AltName: Full=p65Pro-RT; Contains: RecName: Full=Ribonuclease H; Short=RNase H; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p42In -sp|P23074.3|POL_SFV1 RecName: Full=Pro-Pol polyprotein; AltName: Full=Pr125Pol; Contains: RecName: Full=Protease/Reverse transcriptase/ribonuclease H; AltName: Full=p87Pro-RT-RNaseH; Contains: RecName: Full=Protease/Reverse transcriptase; AltName: Full=p65Pro-RT; Contains: RecName: Full=Ribonuclease H; Short=RNase H; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p42In diff --git a/seq/clusters_info/cluster_259 b/seq/clusters_info/cluster_259 deleted file mode 100644 index 8dcd683..0000000 --- a/seq/clusters_info/cluster_259 +++ /dev/null @@ -1,20 +0,0 @@ -YP_173237.1 hemagglutinin-esterase glycoprotein [Human coronavirus HKU1] -YP_009113024.1 hemagglutinin-esterase protein [Betacoronavirus HKU24] -YP_005454244.1 hemagglutinin-esterase protein [Rabbit coronavirus HKU14] -YP_009755833.1 hemagglutinin-esterase protein [Rodent coronavirus] -YP_003029847.1 hemagglutinin-esterase [Rat coronavirus Parker] -YP_209232.1 hemagglutinin-esterase glycoprotein [Murine hepatitis virus strain JHM] -YP_009824981.1 hemagglutinin-esterase [Murine hepatitis virus] -YP_009555240.1 hemagglutinin-esterase [Human coronavirus OC43] -NP_150076.1 hemmaglutinin-esterase [Bovine coronavirus] -sp|Q0ZME8.1|HEMA_CVHN5 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|P30215.1|HEMA_CVHOC RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|Q8JSP9.1|HEMA_CVPIA RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|P31614.2|HEMA_CVMS RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|O92367.1|HEMA_CVMDV RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|P15776.1|HEMA_CVBM RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|Q9IKD2.1|HEMA_CVRSD RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|Q8BB26.1|HEMA_CVP67 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|O91262.1|HEMA_CVPV RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|P31615.2|HEMA_CVMA5 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|P33468.1|HEMA_CVBF RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor diff --git a/seq/clusters_info/cluster_26 b/seq/clusters_info/cluster_26 deleted file mode 100644 index e640c0b..0000000 --- a/seq/clusters_info/cluster_26 +++ /dev/null @@ -1,72 +0,0 @@ -NP_663315.1 polyprotein [Nebraska virus] -NP_051035.1 polyprotein [Cowden I virus] -YP_009666353.1 polyprotein [Turkey calicivirus] -YP_009361874.1 nonstructural polyprotein [Hom-1 vesivirus] -YP_009345598.1 polyprotein [Bat sapovirus] -YP_009337240.1 polyprotein [Chicken calicivirus] -YP_009272568.1 polyprotein [Bovine calicivirus strain Kirklareli] -YP_009140468.1 non-structural polyprotein [Vesivirus ferret badger/JX12/China/2012] -YP_009137510.1 non-structural proteins and a major structural protein, partial [Sapovirus Hu/Nagoya/NGY-1/2012/JPN] -YP_009109564.1 non-structural polyprotein [San Miguel sea lion virus 8] -YP_009028574.1 polyprotein [Goose calicivirus] -YP_007111844.1 ORF1 protein [Mink calicivirus] -YP_006347580.1 polyprotein [Bat sapovirus TLC58/HK] -YP_002364399.1 polyprotein [Rabbit calicivirus Australia 1 MIC-07] -YP_002004564.1 non-structural polyprotein [Steller sea lion vesivirus] -YP_873922.1 non-structural polyprotein [Rabbit vesivirus] -YP_529550.1 polyprotein [Newbury agent 1] -YP_213937.1 polyprotein [Calicivirus isolate TCG] -YP_164336.1 ORF1 protein [Sapovirus C12] -YP_077278.1 polyprotein [Sapovirus Hu/Dresden/pJG-Sap01/DE] -YP_022762.1 polyprotein precursor [Sapovirus Mc10] -NP_786909.1 Putative cysteine proteinase [Canine vesivirus] -NP_786895.1 Putative cysteine proteinase [Vesicular exanthema of swine virus] -NP_783196.1 polyprotein [Feline calicivirus] -NP_777370.1 non-structural polyprotein [Walrus calicivirus] -NP_777373.1 non-structural polyprotein [Canine vesivirus] -NP_068828.1 hypothetical protein EBHSVgp1 [European brown hare syndrome virus] -NP_066255.1 non-structural polyprotein [Vesicular exanthema of swine virus] -NP_062875.1 polyprotein [Rabbit hemorrhagic disease virus] -sp|P27408.2|POLG_FCVF4 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p5.6; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -sp|Q85433.1|CAPSD_RHDVR RecName: Full=Subgenomic capsid protein VP60 -sp|P36287.2|POLG_SMSV4 RecName: Full=Genome polyprotein; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -sp|P27411.2|POLG_RHDV3 RecName: Full=Genome polyprotein; AltName: Full=p254; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p23; Contains: RecName: Full=NTPase; AltName: Full=2C-like protein; AltName: Full=P2C; AltName: Full=p37; Contains: RecName: Full=Precursor p41; Contains: RecName: Full=Protein p29; Contains: RecName: Full=Protein p23/2; Contains: RecName: Full=Protein p18; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; AltName: Full=Thiol protease P3C; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=3Dpol; AltName: Full=p58; Contains: RecName: Full=Capsid protein VP60 -sp|Q89273.1|POLG_RHDVB RecName: Full=Genome polyprotein; AltName: Full=p254; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p23; Contains: RecName: Full=NTPase; AltName: Full=2C-like protein; AltName: Full=P2C; AltName: Full=p37; Contains: RecName: Full=Precursor p41; Contains: RecName: Full=Protein p29; Contains: RecName: Full=Protein p23/2; Contains: RecName: Full=Protein p18; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; AltName: Full=Thiol protease P3C; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=3Dpol; AltName: Full=p58; Contains: RecName: Full=Capsid protein VP60 -sp|Q86119.2|POLG_RHDVA RecName: Full=Genome polyprotein; AltName: Full=p254; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p23; Contains: RecName: Full=NTPase; AltName: Full=2C-like protein; AltName: Full=P2C; AltName: Full=p37; Contains: RecName: Full=Precursor p41; Contains: RecName: Full=Protein p29; Contains: RecName: Full=Protein p23/2; Contains: RecName: Full=Protein p18; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; AltName: Full=Thiol protease P3C; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=3Dpol; AltName: Full=p58; Contains: RecName: Full=Capsid protein VP60 -sp|P36286.3|POLG_SMSV1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -sp|P27407.3|POLG_FCVC6 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p5.6; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -sp|Q69014.1|POLG_SVM93 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p28; Contains: RecName: Full=NTPase; AltName: Full=p35; Contains: RecName: Full=Protein p32; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p14; Contains: RecName: Full=Protease-polymerase p70; Short=Pro-Pol; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=VP1; AltName: Full=p60 -sp|P27409.1|POLG_FCVF9 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p5.6; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -ABC96750.1 ORF1 [Sapovirus Hu/Ehime643/March 2000/JP] -ABC96748.1 ORF1 [Sapovirus Hu/Ehime475/2004/JP] -AGL33701.1 orf1 [European brown hare syndrome virus] -AEL19659.1 polyprotein, partial [Sapovirus dog/AN196/USA/2009] -AJD77614.1 polyprotein [Feline calicivirus] -ANK36010.1 polyprotein [Sapovirus GV/WG194D-1] -AGH15844.2 polyprotein, partial [Sapovirus swine/WGP247/2009/USA] -AEM37581.2 polyprotein [California sea lion sapovirus 1] -AEM37580.1 polyprotein, partial [California sea lion sapovirus 2] -AOZ57845.1 polyprotein [Sapovirus GII.8] -AGH15838.2 polyprotein, partial [Sapovirus swine/WG214C/2009/USA] -AAQ17057.2 polyprotein [Sapovirus Mc2] -AFH89835.1 polyprotein, partial [Chicken calicivirus] -AIA09958.1 polyprotein [Feline calicivirus] -AQQ78875.1 polyprotein, partial [Bat sapovirus] -ALV13256.1 polyprotein [Porcine sapovirus] -AJD77616.1 polyprotein [Feline calicivirus] -ANK36014.1 polyprotein, partial [Sapovirus GXII/WD1237] -AGH15834.2 polyprotein, partial [Sapovirus swine/WG194D/2009/USA] -AIF74269.1 polyprotein, partial [Bat calicivirus] -AQQ78879.1 polyprotein [Bat sapovirus] -AAY40312.2 polyprotein [Sapovirus Po/OH-JJ681/2000/US] -AEL19657.2 polyprotein [Sapovirus dog/AN210D/USA/2009] -AGV98672.2 polyprotein [Rabbit hemorrhagic disease virus] -AGH15840.2 polyprotein, partial [Sapovirus swine/WG214D/2009/USA] -ADG03646.1 VP1 [Sapovirus Hu/GI/Sapporo/MT-2010/1982] -AIF74266.1 polyprotein, partial [Bat calicivirus] -AIW53321.1 polyprotein [Chicken calicivirus] -ANK36012.1 polyprotein [Sapovirus GVII/RV0042] -AQQ78883.1 polyprotein, partial [Bat sapovirus] -BAI82419.2 ORF1 polyprotein [Sapovirus swine/TYMPo31/08/JP] -BAK61504.1 polyprotein [Sapovirus Hu/GI.2/BR-DF01/BRA/2009] -ACV95473.1 non-structural polyprotein [Calicivirus isolate Allston 2009/US] diff --git a/seq/clusters_info/cluster_260 b/seq/clusters_info/cluster_260 deleted file mode 100644 index 1ea6547..0000000 --- a/seq/clusters_info/cluster_260 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009664791.1 capsid protein precursor [Bat astrovirus Tm/Guangxi/LD38/2007] -YP_009664789.1 capsid protein precursor [Mamastrovirus 18] -YP_009664786.1 capsid protein precursor [Mamastrovirus 16] -YP_009664784.1 capsid protein precursor [Bat astrovirus Tm/Guangxi/LD77/2007] -YP_009664782.1 capsid protein precursor [Mamastrovirus 14] -YP_009664780.1 capsid protein precursor [Bat astrovirus Tm/Guangxi/LD71/2007] -YP_009664778.1 capsid protein [Mamastrovirus 11] -YP_009505809.1 capsid protein precursor [Bat astrovirus Hp/Guangxi/LC03/2007] -YP_009345899.1 capsid protein [Qinghai Himalayan marmot astrovirus 1] -YP_009052462.1 capsid protein [Feline astrovirus D1] -YP_009047248.1 capsid protein [Bovine astrovirus CH13] -YP_009047080.1 ORF2 [Human astrovirus BF34] -YP_007003832.1 ORF2 [Porcine astrovirus 3] -YP_006905857.1 putative capsid protein [Astrovirus VA4] -YP_006905860.1 putative capsid protein [Astrovirus VA3] -YP_004782206.1 ORF2 [Mouse astrovirus M-52/USA/2008] -YP_003275953.1 capsid [HMO Astrovirus A] -YP_003090288.1 capsid protein precursor [Astrovirus VA1] -NP_795336.1 capsid protein precursor [Mamastrovirus 10] -NP_059946.1 capsid protein precursor [Mamastrovirus 13] diff --git a/seq/clusters_info/cluster_261 b/seq/clusters_info/cluster_261 deleted file mode 100644 index 20f6b1d..0000000 --- a/seq/clusters_info/cluster_261 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009553340.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 12] -YP_009552778.1 RNA-dependent RNA polymerase [Fusarium asiaticum victorivirus 1] -YP_009508251.1 RNA directed RNA polymerase [Beauveria bassiana victorivirus 1] -YP_009508249.1 RNA dependent RNA polymerase [Aspergillus foetidus slow virus 1] -YP_009259368.1 RNA-dependent RNA polymerase [Sclerotinia nivalis victorivirus 1] -YP_009182167.1 RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 5] -YP_009094185.1 RNA directed RNA polymerase [Ustilaginoidea virens RNA virus L] -YP_009072433.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea victorivirus 1] -YP_009032633.1 RNA-dependent RNA polymerase [Beauveria bassiana victorivirus NZL/1980] -YP_008130308.1 putative RNA dependent RNA polymerase [Rosellinia necatrix victorivirus 1] -YP_004089630.1 RNA dependent RNA polymerase [Tolypocladium cylindrosporum virus 1] -YP_001109580.1 RNA-dependent RNA polymerase [Botryotinia fuckeliana totivirus 1] -NP_619670.2 RNA dependent RNA polymerase [Helminthosporium victoriae virus 190S] -YP_122352.1 putative RNA dependent RNA polymerase [Magnaporthe oryzae virus 1] -YP_024728.1 truncated RNA-dependent RNA polymerase [Chalara elegans RNA Virus 1] -NP_898833.1 RNA-dependent RNA polymerase [Helicobasidium mompa totivirus 1-17] -NP_047558.1 RNA dependent RNA polymerase [Sphaeropsis sapinea RNA virus 1] -AHH25153.1 RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 1] -AMQ11131.1 RNA-dependent RNA polymerase [Beauveria bassiana victorivirus 1] -ALM62239.1 RNA-dependent RNA polymerase [Soybean-associated double-stranded RNA virus 1] diff --git a/seq/clusters_info/cluster_262 b/seq/clusters_info/cluster_262 deleted file mode 100644 index dc02edd..0000000 --- a/seq/clusters_info/cluster_262 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009227192.1 nonstructural protein NS4A [Spondweni virus] -YP_009227203.1 nonstructural protein NS4A [Zika virus] -YP_001527885.1 nonstructural protein 4A [West Nile virus] -NP_776019.1 non-structural protein NS4a [West Nile virus] -YP_001531173.2 Nonstructural protein NS4A [Dengue virus 3] -NP_739588.2 Nonstructural protein NS4A [Dengue virus 2] -NP_740322.1 NS4A protein [Dengue virus 4] -YP_009553734.1 NS4A [Kampung Karu virus] -YP_009333112.1 nonstructural protein NS4A [Cacipacore virus] -YP_009333128.1 nonstructural protein NS4A [Kokobera virus] -YP_009329954.1 nonstructural protein NS4A [Saint Louis encephalitis virus] -YP_009259662.1 nonstructural protein NS4A [Chaoyang virus] -YP_009259533.1 nonstructural protein NS4A [Donggang virus] -YP_164815.1 NS4a [Usutu virus] -NP_775671.1 non-structural protein NS4a [Japanese encephalitis virus] -NP_776006.1 non-structural protein NS4a [Yellow fever virus] -NP_722536.1 NS4A [Murray Valley encephalitis virus] -NP_733810.1 nonstructural protein 4A [Dengue virus 1] -YP_009268593.1 nonstructural protein NS4A [Lammi virus] -YP_009268577.1 nonstructural protein NS4A [Ilomantsi virus] diff --git a/seq/clusters_info/cluster_263 b/seq/clusters_info/cluster_263 deleted file mode 100644 index 1f00e11..0000000 --- a/seq/clusters_info/cluster_263 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009667135.1 6K2 [Paris mosaic necrosis virus] -YP_009458613.1 6K2 protein [Saffron latent virus] -YP_007001299.1 6K2 [Blue squill virus A] -YP_006405409.1 6K2 protein [Basella rugose mosaic virus] -YP_006395357.1 6K2 protein [East Asian Passiflora virus] -YP_004940324.1 6K2 protein [Yambean mosaic virus] -YP_004564594.1 6K2 [Hardenbergia mosaic virus] -YP_004306481.1 6K2 protein [Passion fruit woodiness virus] -YP_002321504.1 6K2 [Zantedeschia mild mosaic virus] -YP_001974441.1 6K2 protein [Fritillary virus Y] -YP_001816831.1 6K2 protein [Telosma mosaic virus] -YP_842355.1 6K2 protein [Wisteria vein mosaic virus] -YP_077273.1 6K2 protein [Watermelon mosaic virus] -NP_871743.1 6K2 protein [Onion yellow dwarf virus] -NP_734354.1 6K2 protein [Bean common mosaic necrosis virus] -NP_734108.1 6K2 protein [Dasheen mosaic virus] -NP_734188.1 6K2 protein [Zucchini yellow mosaic virus] -NP_734197.1 6K2 protein [Soybean mosaic virus] -NP_734384.1 6K2 protein [Cowpea aphid-borne mosaic virus] -NP_734118.1 6K2 protein [Bean common mosaic virus] diff --git a/seq/clusters_info/cluster_264 b/seq/clusters_info/cluster_264 deleted file mode 100644 index 5422385..0000000 --- a/seq/clusters_info/cluster_264 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009259671.1 silencing suppressor [Pelargonium leaf curl virus] -YP_009507822.1 unknown [Havel River virus] -YP_009037610.1 RNA silencing suppressor p19 [Moroccan pepper virus] -YP_008999615.1 p19 protein [Eggplant mottled crinkle virus] -YP_002308433.1 19K protein [Grapevine Algerian latent virus] -YP_459924.1 p19 protein [Maize necrotic streak virus] -NP_945118.1 p19 [Pelargonium necrotic spot virus] -NP_835257.1 symptom severity modulator [Cucumber Bulgarian latent virus] -NP_613264.1 core protein p19 [Cymbidium ringspot virus] -NP_612584.1 orf5 [Carnation Italian ringspot virus] -NP_062901.1 p19 protein [Tomato bushy stunt virus] -NP_040957.1 p20 protein [Cucumber necrosis virus] -NP_039812.1 protein of 19 kDA [Artichoke mottled crinkle virus] -sp|Q8JMT1.1|P19_PLV RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -sp|P15960.1|P19_AMCV RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -sp|P69517.1|P19_TBSVK RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -sp|P50628.1|P19_TBSVT RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -sp|P50626.1|P19_TBSVB RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -sp|P50625.1|P19_TBSVA RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -sp|P50624.1|P19_TBSV8 RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator diff --git a/seq/clusters_info/cluster_265 b/seq/clusters_info/cluster_265 deleted file mode 100644 index 777fe0c..0000000 --- a/seq/clusters_info/cluster_265 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009509069.1 polyprotein cleavage product E3 [Mosso das Pedras virus] -YP_009509055.1 polyprotein cleavage product E3 [Tonate virus] -YP_009509046.1 polyprotein cleavage product E3 [Rio Negro virus] -YP_009509037.1 polyprotein cleavage product E3 [Pixuna virus] -YP_009509029.1 polyprotein cleavage product E3 [Mucambo virus] -YP_009509019.1 polyprotein cleavage product E3 [Everglades virus] -YP_009509010.1 polyprotein cleavage product E3 [Cabassou virus] -YP_009020588.1 E3 protein [Madariaga virus] -YP_003324596.1 E3 protein [Fort Morgan virus] -YP_002802306.1 E3 protein [Highlands J virus] -NP_819016.1 E3 protein [Aura virus] -NP_819005.1 E3 protein [Semliki Forest virus] -NP_818999.1 E3 protein [Barmah Forest virus] -NP_818939.1 E3 protein [Western equine encephalitis virus] -NP_741965.1 E3 envelope protein [Venezuelan equine encephalitis virus] -NP_740692.1 envelope glycoprotein E3 [Mayaro virus] -NP_740674.1 e-3 structural protein [Sindbis virus] -NP_740683.1 E3 protein [Ross River virus] -NP_740708.1 E3 protein [Onyong-nyong virus] -NP_740645.1 E3 protein [Eastern equine encephalitis virus] diff --git a/seq/clusters_info/cluster_266 b/seq/clusters_info/cluster_266 deleted file mode 100644 index 480c8f2..0000000 --- a/seq/clusters_info/cluster_266 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009507783.1 guanylytransferase [Pulau reovirus] -YP_009507755.1 VP1 [Scophthalmus maximus reovirus] -YP_009507745.1 VP1 [Green River chinook virus] -YP_009445953.1 core turret protein [Piscine orthoreovirus] -YP_009351849.1 VP1 [Fall chinook aquareovirus] -YP_009259500.1 putative guanylyl/methyl transferase [Etheostoma fonticola aquareovirus] -YP_009246465.1 Lambda C [Mahlapitsi orthoreovirus] -YP_009110696.1 guanylytransferase [Cangyuan orthoreovirus] -YP_009020573.1 lambda C [Reptilian orthoreovirus] -YP_007507317.1 guanylytransferase [Melaka orthoreovirus] -YP_004769547.1 core turret protein [Baboon orthoreovirus] -YP_004226523.1 lambda-C protein [Avian orthoreovirus] -YP_003717772.1 lambda C [Broome virus] -YP_003199419.1 lambda-2 protein [Mammalian orthoreovirus 3] -YP_001837094.1 VP1 [American grass carp reovirus] -YP_398629.1 putative guanylyl/methyl transferase [Chum salmon reovirus CS] -NP_938060.1 guanylyl transferase/methyl transferase VP1 [Aquareovirus C] -sp|Q91RA4.1|LMBD2_REOVJ RecName: Full=Outer capsid protein lambda-2; Short=Lambda2; AltName: Full=Lambda2(Cap); Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|P11079.2|LMBD2_REOVD RecName: Full=Outer capsid protein lambda-2; Short=Lambda2; AltName: Full=Lambda2(Cap); Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -sp|Q91RA6.1|LMBD2_REOVL RecName: Full=Outer capsid protein lambda-2; Short=Lambda2; AltName: Full=Lambda2(Cap); Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase diff --git a/seq/clusters_info/cluster_267 b/seq/clusters_info/cluster_267 deleted file mode 100644 index 647f0eb..0000000 --- a/seq/clusters_info/cluster_267 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009345130.1 hypothetical protein [Hubei myriapoda virus 9] -YP_009345117.1 hypothetical protein 1 [Xingshan nematode virus 5] -YP_009345115.1 hypothetical protein 1 [Wuhan pillworm virus 3] -YP_009342460.1 hypothetical protein [Wuhan heteroptera virus 2] -YP_009342306.1 hypothetical protein 1 [Wuhan arthropod virus 4] -YP_009337876.1 hypothetical protein 1 [Hubei mosquito virus 2] -YP_009337375.1 hypothetical protein 1 [Wenzhou sobemo-like virus 4] -YP_009337352.1 hypothetical protein 1 [Wenzhou sobemo-like virus 3] -YP_009337334.1 hypothetical protein 1 [Wenzhou sobemo-like virus 2] -YP_009336971.1 hypothetical protein 1 [Beihai sobemo-like virus 23] -YP_009336890.1 hypothetical protein 1 [Sanxia sobemo-like virus 2] -YP_009336867.1 hypothetical protein 1 [Sanxia sobemo-like virus 1] -YP_009337734.1 hypothetical protein 1 [Wenling sobemo-like virus 2] -YP_009337272.1 hypothetical protein 1 [Shuangao insect virus 9] -YP_009330127.1 hypothetical protein 1 [Hubei sobemo-like virus 14] -YP_009330087.1 hypothetical protein 1 [Hubei sobemo-like virus 8] -YP_009330009.1 hypothetical protein 1 [Hubei sobemo-like virus 10] -YP_009329991.1 hypothetical protein 1 [Hubei sobemo-like virus 11] -YP_009329982.1 hypothetical protein 1 [Hubei sobemo-like virus 9] -YP_009329978.1 hypothetical protein 1 [Hubei sobemo-like virus 12] diff --git a/seq/clusters_info/cluster_268 b/seq/clusters_info/cluster_268 deleted file mode 100644 index 246f3f0..0000000 --- a/seq/clusters_info/cluster_268 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009345020.1 hypothetical protein [Zhejiang mosquito virus 1] -YP_009337411.1 hypothetical protein [Hubei picorna-like virus 58] -YP_009337405.1 hypothetical protein [Hubei tetragnatha maxillosa virus 5] -YP_009337395.1 hypothetical protein [Hubei picorna-like virus 60] -YP_009337269.1 hypothetical protein 1 [Hubei picorna-like virus 55] -YP_009337100.1 hypothetical protein [Hubei picorna-like virus 59] -YP_009337062.1 hypothetical protein [Hubei picorna-like virus 61] -YP_009337038.1 hypothetical protein [Hubei picorna-like virus 63] -YP_009336733.1 hypothetical protein [Wenzhou shrimp virus 8] -YP_009336689.1 hypothetical protein [Shahe isopoda virus 1] -YP_009336630.1 hypothetical protein 1 [Changjiang crawfish virus 6] -YP_009336543.1 hypothetical protein 1 [Hubei picorna-like virus 56] -YP_009336535.1 hypothetical protein [Hubei tetragnatha maxillosa virus 4] -YP_009337160.1 hypothetical protein [Hubei picorna-like virus 62] -YP_009333320.1 hypothetical protein [Beihai blue swimmer crab virus 1] -YP_009140560.1 putative polyprotein [Kilifi Virus] -NP_620557.1 protein P1 [Acyrthosiphon pisum virus] -AKH66852.1 putative polyprotein, partial [Thika virus] -APG78030.1 hypothetical protein, partial [Hubei picorna-like virus 57] -YP_009140561.1 putative polyprotein [Thika virus] diff --git a/seq/clusters_info/cluster_269 b/seq/clusters_info/cluster_269 deleted file mode 100644 index 067c620..0000000 --- a/seq/clusters_info/cluster_269 +++ /dev/null @@ -1,20 +0,0 @@ -YP_009337808.1 hypothetical protein 2 [Sanxia picorna-like virus 13] -YP_009337728.1 hypothetical protein 2 [Sanxia atyid shrimp virus 3] -YP_009337714.1 hypothetical protein 2 [Sanxia picorna-like virus 11] -YP_009337211.1 hypothetical protein 2 [Hubei picorna-like virus 21] -YP_009337056.1 hypothetical protein 2 [Hubei picorna-like virus 20] -YP_009336910.1 hypothetical protein 2 [Wenzhou picorna-like virus 33] -YP_009336761.1 hypothetical protein 2 [Changjiang crawfish virus 3] -YP_009336754.1 hypothetical protein 2 [Shahe picorna-like virus 9] -YP_009336748.1 hypothetical protein 2 [Changjiang picorna-like virus 7] -YP_009336738.1 hypothetical protein 2 [Changjiang picorna-like virus 8] -YP_009336730.1 hypothetical protein 2 [Changjiang crawfish virus 2] -YP_009336699.1 hypothetical protein 2 [Changjiang picorna-like virus 11] -YP_009336675.1 hypothetical protein 2 [Changjiang picorna-like virus 10] -YP_009336620.1 hypothetical protein 2 [Changjiang picorna-like virus 6] -YP_009336531.1 hypothetical protein 2 [Changjiang picorna-like virus 9] -YP_009336954.1 hypothetical protein 2 [Wenzhou picorna-like virus 31] -YP_009333536.1 hypothetical protein 2 [Beihai picorna-like virus 79] -YP_009333142.1 hypothetical protein 2 [Sanxia picorna-like virus 12] -YP_009329820.1 structural protein [Bivalve RNA virus G2] -YP_009255228.1 structural protein [Antarctic picorna-like virus 1] diff --git a/seq/clusters_info/cluster_27 b/seq/clusters_info/cluster_27 deleted file mode 100644 index 013a989..0000000 --- a/seq/clusters_info/cluster_27 +++ /dev/null @@ -1,72 +0,0 @@ -YP_010087296.1 nucleoprotein [Garba virus] -YP_010086760.1 nucleoprotein [Holmes Jungle virus] -YP_010086573.1 nucleoprotein [Harrison Dam virus] -YP_010086558.1 nucleoprotein [Kwatta virus] -YP_010084452.1 nucleoprotein [Lepeophtheirus salmonis rhabdovirus 9] -YP_009176993.1 N protein [Santa barbara virus] -YP_009666511.1 nucleoprotein [Caligus rogercresseyi rhabdovirus] -YP_009664712.1 N protein, partial [Bas-Congo tibrovirus] -YP_009362267.1 nucleoprotein [Chaco virus] -YP_009362259.1 nucleoprotein [Klamath virus] -YP_009362229.1 nucleoprotein [Iriri virus] -YP_009362219.1 nucleoprotein [Ord River virus] -YP_009362201.1 nucleoprotein [Gray Lodge virus] -YP_009362181.1 nucleoprotein [Joinjakaka virus] -YP_009362167.1 nucleoprotein [La Joya virus] -YP_009362160.1 nucleoprotein [Itacaiunas virus] -YP_009362144.1 nucleoprotein [Rochambeau virus] -YP_009362133.1 nucleoprotein [Landjia virus] -YP_009362118.1 nucleoprotein [Manitoba virus] -YP_009362111.1 nucleoprotein [Marco virus] -YP_009362104.1 nucleoprotein [Sena Madureira virus] -YP_009512989.1 nucleoprotein [Flanders hapavirus] -YP_009512979.1 nucleoprotein [Curionopolis virus] -YP_009508528.1 nucleoprotein [Beatrice Hill virus] -YP_009505520.1 nucleocapsid [Durham virus] -YP_009505512.1 N protein [Ekpoma virus 2] -YP_009505504.1 N protein [Ekpoma virus 1] -YP_009505492.1 nucleocapsid protein [Drosophila immigrans sigmavirus] -YP_009505480.1 nucleocapsid protein [Drosophila affinis sigmavirus] -YP_009505461.1 nucleoprotein [Mossuril virus] -YP_009362244.1 nucleoprotein [Sweetwater Branch virus] -YP_009361971.1 nucleoprotein [Parry Creek virus] -YP_009361960.1 nucleoprotein [Mosqueiro virus] -YP_009361951.1 nucleoprotein [Hart Park virus] -YP_009345047.1 putative nucleoprotein [Wuhan pillworm virus 2] -YP_009344985.1 putative nucleoprotein [Xinzhou nematode virus 4] -YP_009344974.1 putative nucleoprotein [Xingshan nematode virus 4] -YP_009337290.1 putative nucleoprotein [Hubei diptera virus 10] -YP_009337134.1 putative nucleoprotein [Hubei diptera virus 9] -YP_009337067.1 putative nucleoprotein [Hubei dimarhabdovirus virus 2] -YP_009337008.1 putative nucleoprotein [Hubei dimarhabdovirus virus 3] -YP_009336832.1 putative nucleoprotein [Hubei lepidoptera virus 2] -YP_009315882.1 nucleoprotein [Gata virus] -YP_009315877.1 nucleoprotein [Orgi virus] -YP_009305114.1 nucleocapsid [Wuhan Tick Virus 1] -YP_009304975.1 nucleocapsid [Wuhan House Fly Virus 1] -YP_009304651.1 nucleocapsid [Wuhan Fly Virus 2] -YP_009301739.1 nucleocapsid [Wuhan Insect virus 7] -YP_009300664.1 nucleocapsid [Shayang Fly Virus 2] -YP_009287860.1 nucleocapsid [Bole Tick Virus 2] -YP_009177239.1 nucleocapsid protein [Adelaide River virus] -YP_009177205.1 nucleoprotein [Yata virus] -YP_009177193.1 nucleoprotein [Koolpinyah virus] -YP_009176978.1 nucleoprotein [Walkabout Creek virus] -YP_009176966.1 N [Inhangapi virus] -YP_009094435.1 nucleocapsid [Sunguru virus] -YP_009094425.1 nucleoprotein [Malakal virus] -YP_009094418.1 putative nucleoprotein [Oak-Vale virus] -YP_009094404.1 nucleoprotein N [Coastal Plains virus] -YP_009094395.1 nucleoprotein [Kimberley virus] -YP_009094365.1 nucleoprotein [Almpiwar virus] -YP_009094319.1 nucleoprotein [Culex tritaeniorhynchus rhabdovirus] -YP_009094161.1 N protein [Xiburema virus] -YP_009094129.1 nucleoprotein N [Berrimah virus] -YP_008686596.1 nucleocapsid protein [Drosophila obscura sigmavirus 10A] -YP_007641368.1 nucleoprotein N [Tibrogargan virus] -YP_006200957.1 nucleoprotein [Obodhiang virus] -YP_006202618.1 N gene product [Kotonkan virus] -YP_003518280.1 nucleoprotein [Ngaingan hapavirus] -YP_002333271.1 nucleoprotein N [Wongabel hapavirus] -YP_238528.1 nucleocapsid protein [Tupaia virus] -NP_065398.1 nucleoprotein N [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_270 b/seq/clusters_info/cluster_270 deleted file mode 100644 index 9da716c..0000000 --- a/seq/clusters_info/cluster_270 +++ /dev/null @@ -1,19 +0,0 @@ -YP_224083.1 L [Taro vein chlorosis virus] -YP_010085100.1 RNA-dependent RNA polymerase [Citrus chlorotic spot virus] -YP_010087201.1 RNA-dependent RNA polymerase [Morogoro maize-associated virus] -YP_010086818.1 probable RNA-dependent RNA polymerase [Wheat yellow striate virus] -YP_010086602.1 RNA-directed RNA polymerase [Physostegia chlorotic mottle virus] -YP_009976137.1 RNA-dependent RNA polymerase [Citrus leprosis virus N] -YP_009666993.1 RNA-dependent RNA polymerase [Clerodendrum chlorotic spot virus] -YP_009507905.1 RNA-dependent RNA polymerase [Coffee ringspot virus] -YP_009444713.1 RNA-dependent RNA polymerase [Maize Iranian mosaic nucleorhabdovirus] -YP_009176977.1 RNA-dependent RNA polymerase [Datura yellow vein nucleorhabdovirus] -YP_009094358.1 RNA-directed RNA polymerase [Eggplant mottled dwarf nucleorhabdovirus] -YP_004927971.1 RNA-dependent RNA polymerase [Potato yellow dwarf nucleorhabdovirus] -YP_001294929.1 polymerase [Orchid fleck dichorhavirus] -YP_052855.1 L [Maize mosaic nucleorhabdovirus] -YP_052849.1 L [Maize fine streak nucleorhabdovirus] -NP_620502.1 large protein [Rice yellow stunt nucleorhabdovirus] -NP_042286.1 polymerase [Sonchus yellow net nucleorhabdovirus] -CBY88606.2 RNA-dependent-RNA-polymerase [Eggplant mottled dwarf nucleorhabdovirus] -BAH97108.1 polymerase [Orchid fleck dichorhavirus] diff --git a/seq/clusters_info/cluster_271 b/seq/clusters_info/cluster_271 deleted file mode 100644 index 1f0f303..0000000 --- a/seq/clusters_info/cluster_271 +++ /dev/null @@ -1,19 +0,0 @@ -YP_138515.1 fusion protein [Parainfluenza virus 5] -YP_010087172.1 fusion protein [Alston virus] -NP_054711.1 fusion protein [Mumps orthorubulavirus] -YP_009512976.1 fusion glycoprotein [Teviot virus] -YP_009512969.1 fusion glycoprotein [Menangle virus] -YP_009094496.1 fusion protein [Tuhoko virus 1] -YP_009094464.1 fusion protein [Achimota virus 2] -YP_009094456.1 fusion protein [Achimota virus 1] -YP_009094078.1 fusion protein [Tuhoko virus 3] -YP_009094065.1 fusion protein [Tuhoko virus 2] -YP_009094032.1 fusion protein [Sosuga virus] -YP_008378663.1 fusion protein [Human parainfluenza virus 4a] -YP_001331033.1 fusion protein [Porcine orthorubulavirus] -YP_001249276.1 fusion protein [Mapuera orthorubulavirus] -YP_138508.1 fusion protein [Simian orthorubulavirus] -NP_665869.1 fusion protein [Tioman virus] -NP_598404.1 fusion protein [Human orthorubulavirus 2] -sp|P33481.1|FUS_MUMPS RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P09458.1|FUS_MUMPR RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor diff --git a/seq/clusters_info/cluster_272 b/seq/clusters_info/cluster_272 deleted file mode 100644 index 9bcfd2d..0000000 --- a/seq/clusters_info/cluster_272 +++ /dev/null @@ -1,19 +0,0 @@ -YP_010087176.1 nucleoprotein, partial [Cuiaba virus] -YP_009666834.1 nucleoprotein [Vaprio virus] -YP_009362195.1 nucleoprotein [Keuraliba virus] -YP_009362190.1 nucleoprotein [Nkolbisson virus] -YP_009362155.1 nucleoprotein [Barur virus] -YP_009508523.1 nucleoprotein [Kanyawara virus] -YP_009505471.1 hypothetical protein [Nishimuro ledantevirus] -YP_009362254.1 nucleoprotein [Oita virus] -YP_009362239.1 nucleoprotein [Mount Elgon bat virus] -YP_009361998.1 nucleoprotein [Fukuoka virus] -YP_009361990.1 nucleoprotein [Kern Canyon virus] -YP_009361868.1 nucleoprotein [Le Dantec virus] -YP_009305118.1 nucleocapsid [Yongjia Tick Virus 2] -YP_009305098.1 nucleocapsid [Wuhan Louse Fly Virus 5] -YP_009288318.1 nucleocapsid [Huangpi Tick Virus 3] -YP_009177008.1 N [Kumasi rhabdovirus] -YP_009094472.1 nucleoprotein [Dolphin rhabdovirus] -YP_009094023.1 N protein [Kolente virus] -YP_009094018.1 nucleocapsid [Fikirini rhabdovirus] diff --git a/seq/clusters_info/cluster_273 b/seq/clusters_info/cluster_273 deleted file mode 100644 index 4935cc4..0000000 --- a/seq/clusters_info/cluster_273 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009825036.1 NSP8 [Duck coronavirus] -YP_009755917.1 nsp8 [Canada goose coronavirus] -NP_835349.1 putative coronavirus nsp5 [Human coronavirus 229E] -YP_009924390.1 nsp8 [Rousettus bat coronavirus HKU9] -YP_009944299.1 nsp8 [Betacoronavirus England 1] -YP_009944373.1 nsp8 [SARS coronavirus Tor2] -YP_009944361.1 nsp8 [Pipistrellus bat coronavirus HKU5] -YP_009944332.1 nsp8 [Tylonycteris bat coronavirus HKU4] -YP_009944276.1 nsp8 [Human coronavirus HKU1] -YP_009944261.1 nsp8 [Rabbit coronavirus HKU14] -YP_009915695.1 nsp8 [Murine hepatitis virus] -YP_009924375.1 nsp8 [Rat coronavirus Parker] -YP_209251.1 nsp8 [Murine hepatitis virus strain JHM] -YP_009924326.1 nsp8 [Human coronavirus OC43] -YP_009742615.1 nsp8 [Severe acute respiratory syndrome coronavirus 2] -YP_008439219.1 nsp8 [Bat coronavirus CDPHE15/USA/2006] -YP_001941181.1 NSP8 [Turkey coronavirus] -NP_839962.1 putative coronavirus nsp5 [Porcine epidemic diarrhea virus] -NP_740626.1 coronavirus nsp5 [Infectious bronchitis virus] diff --git a/seq/clusters_info/cluster_274 b/seq/clusters_info/cluster_274 deleted file mode 100644 index 3526fa0..0000000 --- a/seq/clusters_info/cluster_274 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009825033.1 NSP5 [Duck coronavirus] -YP_009755914.1 nsp5 [Canada goose coronavirus] -NP_835346.1 putative coronavirus nsp2 (3CL-PRO) [Human coronavirus 229E] -YP_009924387.1 nsp5 [Rousettus bat coronavirus HKU9] -YP_009944296.1 nsp5 [Betacoronavirus England 1] -YP_009944370.1 nsp5 [SARS coronavirus Tor2] -YP_009944358.1 nsp5 [Pipistrellus bat coronavirus HKU5] -YP_009944329.1 nsp5 [Tylonycteris bat coronavirus HKU4] -YP_009944273.1 nsp5 [Human coronavirus HKU1] -YP_009944258.1 nsp5 [Rabbit coronavirus HKU14] -YP_009915692.1 nsp5 [Murine hepatitis virus] -YP_009924372.1 nsp5 [Rat coronavirus Parker] -YP_209248.1 nsp5 or 3CLpro [Murine hepatitis virus strain JHM] -YP_009924323.1 nsp5 [Human coronavirus OC43] -YP_009742612.1 3C-like proteinase [Severe acute respiratory syndrome coronavirus 2] -YP_008439216.1 3C-like proteinase nsp5 [Bat coronavirus CDPHE15/USA/2006] -YP_001941178.1 NSP5 [Turkey coronavirus] -NP_839959.1 putative coronavirus nsp2 (3CL-PRO) [Porcine epidemic diarrhea virus] -NP_740623.1 coronavirus nsp2 (3CL-Pro) [Infectious bronchitis virus] diff --git a/seq/clusters_info/cluster_275 b/seq/clusters_info/cluster_275 deleted file mode 100644 index ef9827e..0000000 --- a/seq/clusters_info/cluster_275 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009825027.1 NSP15 [Duck coronavirus] -YP_009755923.1 nsp15 [Canada goose coronavirus] -NP_835355.1 putative coronavirus nsp12 [Human coronavirus 229E] -YP_009924396.1 nsp15 [Rousettus bat coronavirus HKU9] -YP_009944305.1 nsp15 [Betacoronavirus England 1] -YP_009944352.1 nsp15 [Pipistrellus bat coronavirus HKU5] -YP_009944323.1 nsp15 [Tylonycteris bat coronavirus HKU4] -YP_460022.1 nsp15 [Human coronavirus HKU1] -NP_828872.1 nsp15 [SARS coronavirus Tor2] -YP_009924422.1 nsp15 [Rabbit coronavirus HKU14] -YP_009915685.1 nsp15 [Murine hepatitis virus] -YP_009924381.1 nsp15 [Rat coronavirus Parker] -YP_209242.1 nsp15; endoribonuclease [Murine hepatitis virus strain JHM] -YP_009555256.1 nsp12 [Human coronavirus OC43] -YP_009725310.1 endoRNAse [Severe acute respiratory syndrome coronavirus 2] -YP_008439225.1 nsp15 [Bat coronavirus CDPHE15/USA/2006] -YP_001941188.1 NSP15 [Turkey coronavirus] -NP_839968.1 putative coronavirus nsp12 [Porcine epidemic diarrhea virus] -NP_740632.1 coronavirus nsp12 [Infectious bronchitis virus] diff --git a/seq/clusters_info/cluster_276 b/seq/clusters_info/cluster_276 deleted file mode 100644 index 38514a1..0000000 --- a/seq/clusters_info/cluster_276 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009825026.1 NSP14 [Duck coronavirus] -YP_009755922.1 nsp14 [Canada goose coronavirus] -NP_835354.1 putative coronavirus nsp11 [Human coronavirus 229E] -YP_009924395.1 nsp14 [Rousettus bat coronavirus HKU9] -YP_009944304.1 nsp14 [Betacoronavirus England 1] -YP_009944351.1 nsp14 [Pipistrellus bat coronavirus HKU5] -YP_009944322.1 nsp14 [Tylonycteris bat coronavirus HKU4] -YP_460021.1 nsp14 [Human coronavirus HKU1] -NP_828871.1 nsp14 [SARS coronavirus Tor2] -YP_009924421.1 nsp14 [Rabbit coronavirus HKU14] -YP_009915687.1 nsp14 [Murine hepatitis virus] -YP_009924380.1 nsp14 [Rat coronavirus Parker] -YP_209241.1 nsp14; exoribonuclease [Murine hepatitis virus strain JHM] -YP_009555255.1 nsp11 [Human coronavirus OC43] -YP_009725309.1 3'-to-5' exonuclease [Severe acute respiratory syndrome coronavirus 2] -YP_008439224.1 nsp14 [Bat coronavirus CDPHE15/USA/2006] -YP_001941187.1 NSP14 [Turkey coronavirus] -NP_839967.1 putative coronavirus nsp11 [Porcine epidemic diarrhea virus] -NP_740631.1 coronavirus nsp11 [Infectious bronchitis virus] diff --git a/seq/clusters_info/cluster_277 b/seq/clusters_info/cluster_277 deleted file mode 100644 index f891514..0000000 --- a/seq/clusters_info/cluster_277 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009825016.1 nucleocapsid protein [Duck coronavirus] -YP_009755908.1 nucleocapsid protein [Canada goose coronavirus] -YP_009825005.1 nucleocapsid protein [Infectious bronchitis virus] -YP_001941174.1 nucleocapsid protein [Turkey coronavirus] -YP_001876448.1 nucleocapsid protein [Beluga whale coronavirus SW1] -NP_040838.1 nucleocapsid protein [Infectious bronchitis virus] -sp|Q82616.1|NCAP_IBVM RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9PZ51.1|NCAP_CVTIN RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9PZ50.1|NCAP_CVTMI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9PZ49.1|NCAP_CVTNC RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9J4B0.1|NCAP_IBVDE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q9J4A3.1|NCAP_IBVD1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q98Y32.1|NCAP_IBVH5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q98WJ7.1|NCAP_IBVH1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q96605.1|NCAP_IBVV1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q96598.1|NCAP_IBVVI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q8JMI6.1|NCAP_IBVSA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q64960.1|NCAP_IBVAR RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P12648.1|NCAP_IBVK RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N diff --git a/seq/clusters_info/cluster_278 b/seq/clusters_info/cluster_278 deleted file mode 100644 index 5679e4e..0000000 --- a/seq/clusters_info/cluster_278 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009664754.1 triple gene block protein 3 [Banana virus X] -YP_009508320.1 triple gene block protein 3 [Atractylodes mottle virus] -YP_009288959.1 triple gene block protein 3 [Ligustrum virus A] -YP_009174684.1 triple gene block 3 [Nerine latent virus] -YP_009158849.1 triple gene block protein 3 [Pea streak virus] -YP_009126734.1 TGB3 [Alfalfa latent virus] -YP_009022067.1 triple gene block 3 protein [Gaillardia latent virus] -YP_006860592.1 triple gene block protein 3 [Apple green crinkle associated virus] -YP_006522437.1 7K protein [Potato virus H] -YP_004089622.1 triple gene block 3 [Apricot latent virus] -YP_002647024.1 triple gene block 3 [Red clover vein mosaic virus] -YP_001552320.1 triple gene block 3 protein [Phlox virus B] -YP_001430024.1 triple gene block protein 3 [Coleus vein necrosis virus] -YP_001165304.1 triple gene block 3 protein [Phlox virus S] -YP_842441.1 triple gene block protein 3 [Narcissus symptomless virus] -YP_595730.1 triple gene block 3 [Daphne virus S] -NP_619562.1 TGB3 protein [Garlic latent virus] -NP_604467.1 ORF4 [Apple stem pitting virus] -sp|P28898.1|TGB3_CLV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 diff --git a/seq/clusters_info/cluster_279 b/seq/clusters_info/cluster_279 deleted file mode 100644 index 100ec50..0000000 --- a/seq/clusters_info/cluster_279 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009345025.1 hypothetical protein [Wuhan spider virus 3] -YP_009342320.1 polyprotein [Biomphalaria virus 2] -YP_009337339.1 hypothetical protein [Hubei tetragnatha maxillosa virus 3] -YP_009337045.1 hypothetical protein [Hubei picorna-like virus 45] -YP_009337018.1 hypothetical protein [Hubei picorna-like virus 48] -YP_009336567.1 hypothetical protein [Hubei picorna-like virus 49] -YP_009336534.1 hypothetical protein [Hubei picorna-like virus 47] -YP_009337438.1 hypothetical protein [Sanxia water strider virus 9] -YP_009337053.1 hypothetical protein [Hubei picorna-like virus 50] -YP_009333537.1 hypothetical protein [Beihai picorna-like virus 104] -YP_009330031.1 hypothetical protein [Hubei picorna-like virus 46] -YP_009330013.1 hypothetical protein [Beihai picorna-like virus 103] -YP_009330044.1 hypothetical protein 2 [Hubei myriapoda virus 4] -YP_009272812.1 polyprotein [Washington bat picornavirus] -YP_009315888.1 polyprotein [Burke-Gilman virus] -AOC55077.1 polyprotein, partial [Baker virus] -AOX15252.1 polyprotein, partial [Rainier virus] -AOY34452.1 polyprotein, partial [Crab spider picornavirus] -AOX15251.1 polyprotein [Burke-Gilman virus] diff --git a/seq/clusters_info/cluster_28 b/seq/clusters_info/cluster_28 deleted file mode 100644 index 48bfd36..0000000 --- a/seq/clusters_info/cluster_28 +++ /dev/null @@ -1,71 +0,0 @@ -YP_009553177.1 triple gene block protein 1 [Chrysanthemum virus R] -YP_009552758.1 triple gene block protein 1 [Panax ginseng flexivirus 1] -YP_009664749.1 25 KDa protein, partial [Verbena latent virus] -YP_009664741.1 triple gene block protein 1 [Helleborus mosaic virus] -YP_009664735.1 triple gene block protein 1 [Cucumber vein-clearing virus] -YP_009508318.1 triple gene block protein 1 [Atractylodes mottle virus] -YP_009505627.1 triple gene block protein 1 [Melon yellowing-associated virus] -YP_009357231.1 TGB1 [Pepper virus A] -YP_009288957.1 triple gene block protein 1 [Ligustrum virus A] -YP_009275351.1 triple gene block protein I [Jasmine virus C] -YP_009224953.1 triple gene block protein 1 [Elderberry carlavirus E] -YP_009224947.1 triple gene block protein 1 [Elderberry carlavirus D] -YP_009224941.1 triple gene block protein 1 [Elderberry carlavirus C] -YP_009224935.1 triple gene block protein 1 [Elderberry carlavirus B] -YP_009224929.1 triple gene block protein 1 [Elderberry carlavirus A] -YP_009215375.1 triple gene block protein 1 [Asian prunus virus 3] -YP_009204562.1 triple gene block protein 1 [Asian prunus virus 2] -YP_009174682.1 triple gene block 1 [Nerine latent virus] -YP_009158847.1 triple gene block protein 1 [Pea streak virus] -YP_009116869.1 triple gene block protein 1 [Yam latent virus] -YP_009126732.1 TGB1 [Alfalfa latent virus] -YP_009094348.1 triple gene block protein 1 [Asian prunus virus 1] -YP_009046479.1 TGB-1 [Cherry twisted leaf associated virus] -YP_009022065.1 triple gene block 1 protein [Gaillardia latent virus] -YP_006860590.1 triple gene block protein 1 [Apple green crinkle associated virus] -YP_007761582.1 triple gene block protein 1 [Cherry rusty mottle associated virus] -YP_006589920.1 TGB1 [Sweet potato C6 virus] -YP_006522435.1 26K protein [Potato virus H] -YP_006297587.1 unnamed protein product [American hop latent virus] -YP_004936160.1 TGB-1 gene product [Garlic common latent virus] -YP_004901681.1 triple gene block 1 protein [Mirabilis jalapa mottle virus] -YP_004089620.1 triple gene block 1 [Apricot latent virus] -YP_004035879.1 triple gene block 1 [Cowpea mild mottle virus] -YP_003324582.1 triple gene block protein 1 [Butterbur mosaic virus] -YP_003075958.1 triple gene block 1 protein [Kalanchoe latent virus] -YP_002985637.1 triple gene block 1 [Hydrangea chlorotic mottle virus] -YP_002776348.1 TGB1 [African oil palm ringspot virus] -YP_002647022.1 triple gene block 1 [Red clover vein mosaic virus] -YP_002574615.1 triple gene block protein 1 [Helleborus net necrosis virus] -YP_002308448.1 triple gene block 1 protein [Hippeastrum latent virus] -YP_002302558.1 triple gene block protein 1 [Potato latent virus] -YP_001798593.1 triple gene block protein 1 [Hop mosaic virus] -YP_001661447.1 triple gene block protein [Ligustrum necrotic ringspot virus] -YP_001552318.1 triple gene block 1 protein [Phlox virus B] -YP_001497154.1 triple gene block protein 1 [Peach chlorotic mottle virus] -YP_001430022.1 triple gene block protein 1 [Coleus vein necrosis virus] -YP_001429586.1 triple block protein 1 [Potato virus P] -YP_001165302.1 triple gene block 1 protein [Phlox virus S] -YP_001086453.1 Triple gene block 1 Protein [Chrysanthemum virus B] -YP_842439.1 triple gene block protein 1 [Narcissus symptomless virus] -YP_717534.1 TgBP1 [Passiflora latent virus] -YP_699984.1 Triple Gene Block protein 1 [Narcissus common latent virus] -YP_595728.1 triple gene block 1 [Daphne virus S] -YP_277429.1 25K protein [Potato virus S] -YP_164259.1 triple gene block protein 1 [Sweet potato chlorotic fleck virus] -NP_958172.1 triple block protein 1 [Poplar mosaic virus] -NP_932789.1 triple gene block protein 1 [Lily symptomless virus] -NP_624314.1 movement protein 1 [Sugarcane striate mosaic-associated virus] -NP_619560.1 TGB1 protein [Garlic latent virus] -NP_612809.1 25 kDa protein [Blueberry scorch virus] -NP_604465.1 ORF2 [Apple stem pitting virus] -NP_116488.1 25K protein [Aconitum latent virus] -NP_066259.1 25 kDa protein [Hop latent virus] -NP_059938.1 25 kDa triple gene block protein [Cherry necrotic rusty mottle virus] -NP_047282.1 24.4 kDa protein [Grapevine rupestris stem pitting-associated virus] -NP_047279.1 helicase [Cherry green ring mottle virus] -NP_056768.1 25K protein [Potato virus M] -sp|P27330.1|TGB1_LSV RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -sp|P37988.1|TGB1_CVB RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -sp|Q02109.2|TGB1_POPMV RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -sp|P16650.1|TGB1_PVSP RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 diff --git a/seq/clusters_info/cluster_280 b/seq/clusters_info/cluster_280 deleted file mode 100644 index 221fbeb..0000000 --- a/seq/clusters_info/cluster_280 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009507725.1 NS1 [Orungo virus] -YP_009507714.1 NS1 [Lebombo virus] -YP_009507698.1 NS1 [Eubenangee virus] -YP_009507733.1 NS1 [Warrego virus] -YP_009345883.1 VP5 [Orbivirus SX-2017a] -YP_008719922.1 hydrophobic tubular protein NS1 [Changuinola virus] -YP_008658419.1 tubule [Wallal virus] -YP_003240112.1 NS1 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_052938.1 non structural protein NS1 [Palyam virus] -YP_052970.1 hypothetical protein BTVs5gp1 [Bluetongue virus] -YP_052965.1 nonstructural protein NS1 [African horse sickness virus] -sp|Q85967.1|VNS1_AHSV9 RecName: Full=Non-structural protein NS1 -sp|Q03068.1|VNS1_AHSV4 RecName: Full=Non-structural protein NS1; AltName: Full=Hydrophobic tubular protein -sp|P35931.1|VNS1_BTV2A RecName: Full=Non-structural protein NS1 -sp|P35933.1|VNS1_BTV13 RecName: Full=Non-structural protein NS1 -sp|P33471.1|VNS1_BTV1A RecName: Full=Non-structural protein NS1 -sp|P32931.1|VNS1_BTV20 RecName: Full=Non-structural protein NS1 -sp|P33472.1|VNS1_BTV1S RecName: Full=Non-structural protein NS1 -sp|P27585.1|VNS1_EHDV2 RecName: Full=Non-structural protein NS1; AltName: Full=Hydrophobic tubular protein diff --git a/seq/clusters_info/cluster_281 b/seq/clusters_info/cluster_281 deleted file mode 100644 index e9c5d11..0000000 --- a/seq/clusters_info/cluster_281 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009551900.1 putative 67.3 kDa coat protein [Nephila clavipes virus 2] -YP_009345022.1 hypothetical protein 2 [Wuhan spider virus 4] -YP_009345016.1 hypothetical protein 2 [Wuhan spider virus 5] -YP_009345010.1 hypothetical protein 2 [Wuhan spider virus 6] -YP_009342255.1 hypothetical protein 2 [Wuhan arthropod virus 3] -YP_009337758.1 hypothetical protein 2 [Hubei picorna-like virus 72] -YP_009337175.1 hypothetical protein 2 [Hubei picorna-like virus 71] -YP_009337105.1 hypothetical protein 2 [Hubei picorna-like virus 69] -YP_009336988.1 hypothetical protein 2 [Hubei picorna-like virus 75] -YP_009336656.1 hypothetical protein 2 [Hubei picorna-like virus 76] -YP_009336624.1 hypothetical protein 2 [Hubei picorna-like virus 73] -YP_009337326.1 hypothetical protein 2 [Hubei picorna-like virus 77] -YP_009336577.1 hypothetical protein 2 [Hubei picorna-like virus 70] -YP_009333543.1 hypothetical protein 2 [Beihai picorna-like virus 118] -YP_009333486.1 hypothetical protein 2 [Beihai picorna-like virus 120] -YP_009333462.1 hypothetical protein 2 [Beihai sipunculid worm virus 5] -YP_009333393.1 hypothetical protein 2 [Beihai picorna-like virus 119] -YP_009330035.1 hypothetical protein 2 [Hubei myriapoda virus 2] -YP_009329973.1 hypothetical protein 2 [Hubei myriapoda virus 3] diff --git a/seq/clusters_info/cluster_282 b/seq/clusters_info/cluster_282 deleted file mode 100644 index 52b74bf..0000000 --- a/seq/clusters_info/cluster_282 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009666127.1 env protein [Guenon simian foamy virus] -YP_009513250.1 env [Feline foamy virus] -YP_009513243.1 env [Rhesus macaque simian foamy virus] -YP_009508889.1 envelope glycoprotein [Simian foamy virus Pongo pygmaeus pygmaeus] -YP_009508583.1 env protein [Yellow-breasted capuchin simian foamy virus] -YP_009508578.1 env [White-tufted-ear marmoset simian foamy virus] -YP_009508572.1 envelope protein [Western lowland gorilla simian foamy virus] -YP_009508567.1 env [Squirrel monkey simian foamy virus] -YP_009508562.1 env [Spider monkey simian foamy virus] -YP_009508557.1 env protein [Japanese macaque simian foamy virus] -YP_009508547.1 env protein [Central cimpanzee simian foamy virus] -YP_009508542.1 env protein [Brown greater galago prosimian foamy virus] -YP_009508538.1 Env [Puma feline foamy virus] -YP_001956723.2 Env [African green monkey simian foamy virus] -NP_054717.1 Env [Equine foamy virus] -NP_044930.1 envelope protein [Bovine foamy virus] -NP_056804.1 envelope protein [Simian foamy virus] -sp|P14351.2|ENV_FOAMV RecName: Full=Envelope glycoprotein gp130; AltName: Full=Env polyprotein; Contains: RecName: Full=Leader peptide; Short=LP; AltName: Full=Env leader protein; Short=Elp; AltName: Full=gp18LP; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 80; Short=gp80; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 48; Short=gp48 -sp|P23073.3|ENV_SFV1 RecName: Full=Envelope glycoprotein gp130; AltName: Full=Env polyprotein; Contains: RecName: Full=Leader peptide; Short=LP; AltName: Full=Env leader protein; Short=Elp; AltName: Full=gp18LP; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 80; Short=gp80; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 48; Short=gp48 diff --git a/seq/clusters_info/cluster_283 b/seq/clusters_info/cluster_283 deleted file mode 100644 index 91ef131..0000000 --- a/seq/clusters_info/cluster_283 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009666125.1 gag protein [Guenon simian foamy virus] -YP_009513248.1 gag [Feline foamy virus] -YP_009513241.1 gag [Rhesus macaque simian foamy virus] -YP_009508887.1 gag protein [Simian foamy virus Pongo pygmaeus pygmaeus] -YP_009508581.1 gag polyprotein [Yellow-breasted capuchin simian foamy virus] -YP_009508576.1 gag [White-tufted-ear marmoset simian foamy virus] -YP_009508570.1 gag protein [Western lowland gorilla simian foamy virus] -YP_009508565.1 gag [Squirrel monkey simian foamy virus] -YP_009508560.1 Gag [Spider monkey simian foamy virus] -YP_009508555.1 gag protein [Japanese macaque simian foamy virus] -YP_009508550.1 gag protein [Eastern chimpanzee simian foamy virus] -YP_009508545.1 gag protein [Central cimpanzee simian foamy virus] -YP_009508541.1 gag protein [Brown greater galago prosimian foamy virus] -YP_009508536.1 Gag [Puma feline foamy virus] -YP_001956721.2 Gag [African green monkey simian foamy virus] -NP_054715.1 Gag [Equine foamy virus] -NP_044928.1 gag polyprotein [Bovine foamy virus] -NP_056802.1 gag protein [Simian foamy virus] -sp|Q00071.1|GAG_SFV1 RecName: Full=Gag polyprotein; AltName: Full=Pr71Gag; Contains: RecName: Full=Gag protein; AltName: Full=p68Gag; Contains: RecName: Full=p3; AltName: Full=p3Gag diff --git a/seq/clusters_info/cluster_284 b/seq/clusters_info/cluster_284 deleted file mode 100644 index b1799c7..0000000 --- a/seq/clusters_info/cluster_284 +++ /dev/null @@ -1,19 +0,0 @@ -YP_009259670.1 movement protein [Pelargonium leaf curl virus] -YP_009507821.1 movement protein [Havel River virus] -YP_009037609.1 movement protein [Moroccan pepper virus] -YP_008999614.1 movement protein [Eggplant mottled crinkle virus] -YP_002308432.1 movement protein [Grapevine Algerian latent virus] -YP_459923.1 p21 protein [Maize necrotic streak virus] -NP_945117.1 movement protein [Pelargonium necrotic spot virus] -NP_835256.1 movement protein [Cucumber Bulgarian latent virus] -NP_613263.1 putative movement protein [Cymbidium ringspot virus] -NP_612583.1 movement protein [Carnation Italian ringspot virus] -NP_062900.1 p22 protein [Tomato bushy stunt virus] -NP_040956.1 putative movement protein [Cucumber necrosis virus] -NP_039811.1 protein of 22 kDa [Artichoke mottled crinkle virus] -sp|P15961.1|MVP_AMCV RecName: Full=Movement protein; AltName: Full=p22 -sp|P50634.1|MP22_TBSVT RecName: Full=Movement protein p22; AltName: Full=p21 -sp|P50633.1|MP22_TBSVK RecName: Full=Movement protein p22; AltName: Full=p21 -sp|P50631.1|MVP_TBSVB RecName: Full=Movement protein; AltName: Full=p22 -sp|P50630.1|MVP_TBSVA RecName: Full=Movement protein; AltName: Full=p22 -sp|P50629.1|MVP_TBSV8 RecName: Full=Movement protein; AltName: Full=p22 diff --git a/seq/clusters_info/cluster_285 b/seq/clusters_info/cluster_285 deleted file mode 100644 index 0022491..0000000 --- a/seq/clusters_info/cluster_285 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009825038.1 NSP10 [Duck coronavirus] -YP_009755919.1 nsp10/11 [Canada goose coronavirus] -NP_835351.1 putative coronavirus nsp7 [Human coronavirus 229E] -YP_009924392.1 nsp10 [Rousettus bat coronavirus HKU9] -YP_009944301.1 nsp10 [Betacoronavirus England 1] -YP_009944375.1 nsp10 [SARS coronavirus Tor2] -YP_009944363.1 nsp10 [Pipistrellus bat coronavirus HKU5] -YP_009944334.1 nsp10 [Tylonycteris bat coronavirus HKU4] -YP_009944278.1 nsp10 [Human coronavirus HKU1] -YP_009944263.1 nsp10 [Rabbit coronavirus HKU14] -YP_009915697.1 nsp10 [Murine hepatitis virus] -YP_009924377.1 nsp10 [Rat coronavirus Parker] -YP_009924328.1 nsp10 [Human coronavirus OC43] -YP_009742617.1 nsp10 [Severe acute respiratory syndrome coronavirus 2] -YP_008439210.1 nsp10 [Bat coronavirus CDPHE15/USA/2006] -YP_001941183.1 NSP10 [Turkey coronavirus] -NP_839964.1 putative coronavirus nsp7 [Porcine epidemic diarrhea virus] -NP_740628.1 coronavirus nsp7 (GLF) [Infectious bronchitis virus] diff --git a/seq/clusters_info/cluster_286 b/seq/clusters_info/cluster_286 deleted file mode 100644 index b70793f..0000000 --- a/seq/clusters_info/cluster_286 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009825035.1 NSP7 [Duck coronavirus] -YP_009755916.1 nsp7 [Canada goose coronavirus] -NP_835348.1 putative coronavirus nsp4 [Human coronavirus 229E] -YP_009924389.1 nsp7 [Rousettus bat coronavirus HKU9] -YP_009944298.1 nsp7 [Betacoronavirus England 1] -YP_009944372.1 nsp7 [SARS coronavirus Tor2] -YP_009944360.1 nsp7 [Pipistrellus bat coronavirus HKU5] -YP_009944331.1 nsp7 [Tylonycteris bat coronavirus HKU4] -YP_009944275.1 nsp7 [Human coronavirus HKU1] -YP_009944260.1 nsp7 [Rabbit coronavirus HKU14] -YP_009924374.1 nsp7 [Rat coronavirus Parker] -YP_209250.1 nsp7 [Murine hepatitis virus strain JHM] -YP_009924325.1 nsp7 [Human coronavirus OC43] -YP_009742614.1 nsp7 [Severe acute respiratory syndrome coronavirus 2] -YP_008439218.1 nsp7 [Bat coronavirus CDPHE15/USA/2006] -YP_001941180.1 NSP7 [Turkey coronavirus] -NP_839961.1 putative coronavirus nsp4 [Porcine epidemic diarrhea virus] -NP_740625.1 coronavirus nsp4 [Infectious bronchitis virus] diff --git a/seq/clusters_info/cluster_287 b/seq/clusters_info/cluster_287 deleted file mode 100644 index 478be20..0000000 --- a/seq/clusters_info/cluster_287 +++ /dev/null @@ -1,18 +0,0 @@ -YP_010086095.1 NSs [Itaporanga virus] -YP_010086200.1 nonstructural protein [Tres Almendras virus] -YP_010086197.1 nonstructural protein [Mona Grita virus] -YP_010086226.1 NSs [Icoaraci virus] -YP_010086180.1 NS protein [Buenaventura virus] -YP_010086121.1 nonstructural protein [Maldonado virus] -YP_010086119.1 nonstructural protein [Echarate virus] -YP_010086107.1 nonstructural protein [Alenquer virus] -YP_010086086.1 nonstructural protein [Turuna virus] -YP_010086082.1 nonstructural protein [Nique virus] -YP_010086076.1 nonstructural protein [Itaituba virus] -YP_009380503.1 nonstructural protein [Oriximina virus] -YP_009346023.1 nonstructural protein [Tapara virus] -YP_009512943.1 NS protein [Punta Toro virus] -YP_009346012.1 nonstructural protein [Munguba virus] -YP_004347995.1 nonstructural protein [Chandiru virus] -YP_003848706.1 non-structural protein [Rift Valley fever virus] -sp|P03516.1|NSS_PTPV RecName: Full=Non-structural protein NS-S diff --git a/seq/clusters_info/cluster_288 b/seq/clusters_info/cluster_288 deleted file mode 100644 index 5a4a326..0000000 --- a/seq/clusters_info/cluster_288 +++ /dev/null @@ -1,18 +0,0 @@ -YP_010087319.1 orf1ab polyprotein [Botrylloides leachii nidovirus] -YP_009551696.1 pp1ab polyprotein [Yichang virus] -YP_009666297.1 putative ORF1ab [Ofaie virus] -YP_009666293.1 putative ORF1ab [Kadiweu virus] -YP_009505590.1 pp1b polyprotein, partial [Dak Nong virus] -YP_009505589.1 pp1a polyprotein [Dak Nong virus] -YP_009505587.1 ORF2 [Karang Sari virus] -YP_009505586.1 ORF1 [Karang Sari virus] -YP_009448185.1 ORF2 [Dianke virus] -YP_009448184.1 ORF1 [Dianke virus] -YP_009333345.1 hypothetical protein [Beihai Nido-like virus 1] -YP_009026378.1 ORF 1ab [Casuarina virus] -YP_004598981.2 replicase polyprotein 1ab [Cavally virus] -YP_007697642.1 ORF1ab [Alphamesonivirus 4] -YP_007697636.1 ORF1ab [Alphamesonivirus 3] -YP_007697629.1 ORF1ab [Alphamesonivirus 2] -YP_004767305.1 ORF1ab gene product [Nam Dinh virus] -AGI52414.1 polyprotein 1ab, partial [Moumo virus] diff --git a/seq/clusters_info/cluster_289 b/seq/clusters_info/cluster_289 deleted file mode 100644 index 31f2a3d..0000000 --- a/seq/clusters_info/cluster_289 +++ /dev/null @@ -1,18 +0,0 @@ -YP_010086267.1 polyprotein [Lates calcarifer birnavirus] -YP_010084301.1 polyprotein, partial [Tellina virus 2] -YP_009508101.1 polyprotein precursor [Tellina virus 1] -YP_009255397.1 polyprotein [Victorian trout aquabirnavirus] -YP_009177608.1 polyprotein [Tasmanian aquabirnavirus] -YP_004956722.1 unnamed protein product [Espirito Santo virus] -YP_052862.1 polyprotein [Blotched snakehead virus] -NP_690805.1 polyprotein [Yellowtail ascites virus] -NP_690836.1 polyprotein [Drosophila X virus] -NP_690838.1 VP2-4-3 polyprotein [Infectious bursal disease virus] -NP_047196.1 polyprotein [Infectious pancreatic necrosis virus] -sp|P61825.2|POLS_IBDV RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -sp|P29802.2|POLS_IBDVE RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -sp|Q703G9.1|POLS_IPNVS RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; Contains: RecName: Full=Structural peptide 2; Short=p2; Contains: RecName: Full=Structural peptide 3; Short=p3; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -sp|P22351.1|POLS_IBDVS RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -sp|P08364.2|POLS_IBDVA RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -sp|P25219.1|POLS_IBDV5 RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -sp|P27276.1|POLS_IBDVO RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 diff --git a/seq/clusters_info/cluster_29 b/seq/clusters_info/cluster_29 deleted file mode 100644 index e57ea13..0000000 --- a/seq/clusters_info/cluster_29 +++ /dev/null @@ -1,70 +0,0 @@ -YP_009345890.1 polyprotein [megrivirus B3CP-APO] -YP_009054902.1 polyprotein [megrivirus C2] -YP_009030047.1 polyprotein [megrivirus A2] -YP_010084237.1 polyprotein [Poecivirus BCCH-449] -YP_009329856.1 polyprotein [livupivirus A1] -YP_009054903.1 polyprotein [gallivirus A1] -YP_006576515.1 polyprotein [gallivirus A1] -YP_009179370.1 VP1 [sicinivirus A1] -YP_009179351.1 polyprotein [sicinivirus A1] -YP_009553012.1 polyprotein [Goose picornavirus 1] -YP_009552756.1 polyprotein [Rhimavirus A] -YP_009552280.1 polyprotein P2/P3 [Hedgehog dicipivirus] -YP_009552279.1 polyprotein P1 [Hedgehog dicipivirus] -YP_009666862.1 polyprotein [rafivirus B1] -YP_009664723.1 polyprotein, partial [Bat picornavirus] -YP_002456506.1 polyprotein [Porcine kobuvirus swine/S-1-HUN/2007/Hungary] -YP_009513232.1 polyprotein [Chicken megrivirus] -YP_009513029.1 polyprotein, partial [Rabbit picornavirus] -YP_009508462.1 polyprotein [Penguin megrivirus] -YP_009508290.1 polyprotein [pigeon mesivirus 1] -YP_009505618.1 polyprotein [Rosavirus M-7] -YP_009448192.1 polyprotein [Passerivirus sp.] -YP_009380518.1 polyprotein [Canine kobuvirus] -YP_009362314.1 polyprotein [Harrier picornavirus 1] -YP_009290629.1 polyprotein [Rosavirus B] -YP_009290630.1 polyprotein [Rosavirus C] -YP_009214667.1 polyprotein [Gallivirus Pf-CHK1/GV] -YP_009165595.1 polyprotein [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -YP_009165594.1 polyprotein [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -YP_009138081.1 polyprotein [Porcine kobuvirus] -YP_009067077.1 polyprotein [Salivirus FHB] -YP_009054901.1 polyprotein [Chicken picornavirus 4] -YP_009054898.1 polyprotein [Chicken picornavirus 1] -YP_009028557.1 polyprotein [Rosavirus A2] -YP_009026385.1 polyprotein [Tortoise rafivirus A] -YP_009021568.1 polyprotein [Sicinivirus A] -YP_009021445.1 polyprotein [Melegrivirus A] -YP_009000902.1 polyprotein [Caprine kobuvirus] -YP_008802588.1 polyprotein [Feline sakobuvirus A] -YP_007974220.1 polyprotein [Turkey hepatitis virus 2993D] -YP_007935798.1 polyprotein P2-P3 [Canine picodicistrovirus] -YP_007935797.1 polyprotein P1 [Canine picodicistrovirus] -YP_004782207.1 polyprotein [Mouse kobuvirus M-5/USA/2010] -YP_005102465.1 unnamed protein product [Porcine kobuvirus SH-W-CHN/2010/China] -YP_003853285.1 polyprotein [Passerivirus A1] -YP_003853286.1 polyprotein [Oscivirus A1] -YP_003853287.1 polyprotein [Oscivirus A2] -YP_003065643.1 polyprotein [Salivirus A] -YP_003038594.1 polyprotein [Salivirus NG-J1] -NP_740257.1 unnamed protein product [Aichivirus B] -NP_047200.1 polyprotein [Aichi virus 1] -sp|O91464.2|POLG_AIVA8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -AEX38455.1 polyprotein [Salivirus CH] -AIK67137.1 polyprotein [Kobuvirus SZAL6-KoV/2011/HUN] -AOQ26209.1 polyprotein [Rosavirus C] -ADL38960.1 polyprotein [Oscivirus A1] -AGS15016.1 polyprotein [Pigeon mesivirus 2] -AIU36192.1 polyprotein, partial [Norway rat kobuvirus 1] -APR73491.1 polyprotein [sicinivirus A3] -AJT57879.1 polyprotein [Porcine kobuvirus JS-01-CHN/2013/China] -AIF74255.1 polyprotein, partial [aichivirus F2] -BAO02685.1 polyprotein, partial [Kobuvirus sewage Aichi] -AIF74248.1 polyprotein, partial [Bat picornavirus] -ADI48258.1 3D, partial [Bat kobuvirus TM003k] -AIU36193.1 polyprotein, partial [Norway rat kobuvirus 2] -AEA03667.1 polyprotein, partial [Picornavirus chicken/CHK1/USA/2010] -YP_009182172.1 polyprotein [Rabbit picornavirus] -AOQ26210.1 polyprotein [Rosavirus C] -AGU62946.1 polyprotein [Ferret kobuvirus] -AGC36402.1 polyprotein [Canine kobuvirus CH-1] diff --git a/seq/clusters_info/cluster_290 b/seq/clusters_info/cluster_290 deleted file mode 100644 index e9ddbcf..0000000 --- a/seq/clusters_info/cluster_290 +++ /dev/null @@ -1,18 +0,0 @@ -YP_010085010.1 phosphoprotein [bank vole virus 1] -YP_009177599.1 V protein [Phocine morbillivirus] -YP_009177598.1 phosphoprotein [Phocine morbillivirus] -YP_009094335.1 phosphoprotein [Salem virus] -YP_003873249.2 V protein [Measles morbillivirus] -YP_087121.2 P protein [Rinderpest virus (strain Kabete O)] -YP_133822.1 phosphoprotein [Peste des petits ruminants virus] -NP_945025.1 phosphoprotein [Dolphin morbillivirus] -NP_047202.1 phosphoprotein P [Canine morbillivirus] -NP_056919.1 phosphoprotein [Measles morbillivirus] -sp|Q9IC37.1|V_MEASA RecName: Full=Non-structural protein V -sp|P60168.1|V_MEASY RecName: Full=Non-structural protein V -sp|P26036.1|V_MEASI RecName: Full=Non-structural protein V -sp|P60169.1|V_RINDK RecName: Full=Non-structural protein V -sp|P35974.1|PHOSP_MEASA RecName: Full=Phosphoprotein; Short=Protein P -sp|P06940.1|PHOSP_CDVO RecName: Full=Phosphoprotein; Short=Protein P -sp|Q00793.1|PHOSP_MEASY RecName: Full=Phosphoprotein; Short=Protein P -sp|P26033.1|PHOSP_MEASI RecName: Full=Phosphoprotein; Short=Protein P diff --git a/seq/clusters_info/cluster_291 b/seq/clusters_info/cluster_291 deleted file mode 100644 index 7aeceb1..0000000 --- a/seq/clusters_info/cluster_291 +++ /dev/null @@ -1,18 +0,0 @@ -YP_007002852.1 replicase [Caulobacter phage phiCb5] -APG77046.1 hypothetical protein, partial [Beihai levi-like virus 8] -APG77043.1 hypothetical protein [Beihai levi-like virus 4] -APG77055.1 hypothetical protein [Beihai levi-like virus 9] -AMQ23516.1 replicase [Leviviridae sp.] -APG77006.1 hypothetical protein, partial [Beihai levi-like virus 7] -AHA86936.2 gp2 [Marine RNA phage MB] -APG77239.1 hypothetical protein [Hubei levi-like virus 1] -APG77028.1 hypothetical protein, partial [Beihai levi-like virus 11] -APG77277.1 hypothetical protein, partial [Wenzhou levi-like virus 2] -APG77052.1 hypothetical protein [Beihai levi-like virus 10] -APG77064.1 hypothetical protein [Beihai levi-like virus 6] -AMQ23527.1 replicase [Leviviridae sp.] -APG77049.1 hypothetical protein [Beihai levi-like virus 5] -APG77034.1 hypothetical protein [Beihai levi-like virus 2] -APG77267.1 hypothetical protein [Wenling levi-like virus 1] -APG77040.1 hypothetical protein [Beihai levi-like virus 3] -AMQ23519.1 replicase [Leviviridae sp.] diff --git a/seq/clusters_info/cluster_292 b/seq/clusters_info/cluster_292 deleted file mode 100644 index 09144f5..0000000 --- a/seq/clusters_info/cluster_292 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009551328.1 RNA-dependent RNA polymerase [Phytophthora infestans RNA virus 3] -YP_009553633.1 RNA-dependent RNA polymerase [Trichoderma asperellum dsRNA virus 1] -YP_003359178.1 RNA dependent RNA polymerase [Diplodia scrobiculata RNA virus 1] -YP_009342055.1 RNA-dependent RNA polymerase [Trichoderma atroviride mycovirus] -YP_009336676.1 hypothetical protein [Hubei virga-like virus 16] -YP_009272909.1 RNA-dependent RNA polymerase [Fusarium poae mycovirus 1] -YP_009253995.1 RNA-dependent RNA polymerase [Fusarium poae dsRNA virus 2] -YP_009253997.1 RNA-dependent RNA polymerase [Fusarium poae dsRNA virus 3] -YP_009179230.1 RNA-dependent RNA polymeras [Papaya meleira virus] -YP_009115498.1 RNA-dependent RNA polymerase [Botrytis cinerea RNA virus 1] -YP_006331065.1 putative RNA-dependent RNA polymerase [Sclerotinia sclerotiorum dsRNA mycovirus-L] -YP_003288789.1 putative RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus-3] -AEZ54148.1 RNA-dependent RNA polymerase [Fusarium virguliforme dsRNA mycovirus 1] -AMU19320.1 RNA-dependent RNA polymerase [Papaya meleira virus] -CAJ34335.2 putative RNA-dependent RNA polymerase [Phlebiopsis gigantea mycovirus dsRNA 2] -AEZ54146.1 RNA-dependent RNA polymerase [Fusarium virguliforme dsRNA mycovirus 2] -ALD89097.1 RNA-dependent RNA polymerase [Macrophomina phaseolina double-stranded RNA virus 2] -AOX49922.1 RNA-dependent RNA polymerase, partial [Sclerotium rolfsii RNA virus 1] diff --git a/seq/clusters_info/cluster_293 b/seq/clusters_info/cluster_293 deleted file mode 100644 index 4fc002b..0000000 --- a/seq/clusters_info/cluster_293 +++ /dev/null @@ -1,18 +0,0 @@ -YP_052953.2 VP6 protein [Bluetongue virus] -YP_009507726.1 VP6 [Orungo virus] -YP_009507703.1 VP6 [Eubenangee virus] -YP_009507692.1 VP6 [Equine encephalosis virus] -YP_009507734.1 VP6 [Warrego virus] -YP_009345887.1 VP9 [Orbivirus SX-2017a] -YP_009163952.1 NS4 [Fengkai orbivirus] -YP_009047249.1 helicase [Umatilla virus] -YP_008719929.1 helicase [Changuinola virus] -YP_008658421.1 helicase [Wallal virus] -YP_003240116.1 VP6 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_052937.1 minor core protein VP6 [Palyam virus] -sp|P33422.1|VP6_BTV2A RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -sp|P33423.1|VP6B_BTV10 RecName: Full=Protein VP6-B; AltName: Full=Minor inner core protein VP6-B -sp|Q03328.1|VP6_BTV1S RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -sp|P32935.1|VP6_BTV17 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -sp|P32934.1|VP6_BTV13 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -sp|P32933.1|VP6_BTV11 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 diff --git a/seq/clusters_info/cluster_294 b/seq/clusters_info/cluster_294 deleted file mode 100644 index f8ffc00..0000000 --- a/seq/clusters_info/cluster_294 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009553471.1 replicase [Neofusicoccum luteum fusarivirus 1] -YP_009551681.1 RNA-dependent RNA polymerase [Sodiomyces alkalinus fusarivirus 1] -YP_009480682.1 hypothetical protein [Botrytis cinerea fusarivirus 1-S2] -YP_009480680.1 hypothetical protein [Botrytis cinerea fusarivirus 1-S1] -YP_009480678.1 hypothetical protein [Botrytis cinerea fusarivirus 1] -YP_009325419.1 RNA-dependent RNA polymerase [Nigrospora oryzae fusarivirus 1] -YP_009272906.1 RNA-dependent RNA polymerase [Fusarium poae fusarivirus 1] -YP_009182158.1 175 kDa protein [Pleospora typhicola fusarivirus 1] -YP_009182154.1 174 kDa protein [Penicillium aurantiogriseum fusarivirus 1] -YP_009143301.1 polyprotein [Sclerotinia sclerotiorum fusarivirus 1] -YP_009052456.1 RNA-dependent RNA polymerase [Penicillium roqueforti ssRNA mycovirus 1] -YP_009047147.1 RNA dependent RNA polymerase [Rosellinia necatrix fusarivirus 1] -YP_223920.2 RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus-1] -ADO60939.1 putative RNA-dependent RNA polymerase, partial [Grapevine associated mycovirus-2] -AQM49936.1 replicase [Agaricus bisporus virus 10] -ALD89094.1 RNA-dependent RNA polymerase [Macrophomina phaseolina single-stranded RNA virus 1] -YP_009222009.1 polyprotein [Alternaria brassicicola fusarivirus 1] -AQM49938.1 replicase [Agaricus bisporus virus 11] diff --git a/seq/clusters_info/cluster_295 b/seq/clusters_info/cluster_295 deleted file mode 100644 index 42a3f00..0000000 --- a/seq/clusters_info/cluster_295 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009552721.1 coat protein [Blackberry virus A] -YP_009552542.1 coat protein [Grapevine virus G] -YP_009551970.1 Coat protein [Grapevine virus J] -YP_009551949.1 coat protein [Grapevine virus G] -YP_009551908.1 putative coat protein [Grapevine virus H] -YP_009664764.1 coat protein [Mint virus 2] -YP_009664759.1 coat protein [Actinidia virus A] -YP_009508899.1 coat protein [Heracleum latent virus] -YP_009505636.1 capsid protein [Grapevine virus D] -YP_009465948.1 coat protein [Grapevine virus I] -YP_009389466.1 coat protein [Grapevine virus K] -YP_009373231.1 coat protein [Agave tequilana leaf virus] -YP_009352885.1 coat protein [Arracacha virus V] -YP_006590068.1 coat protein [Grapevine virus F] -YP_004935361.1 ORF4 gene product [Actinidia virus B] -YP_002117778.1 coat protein [Grapevine virus E] -NP_619657.1 coat protein [Grapevine virus B] -NP_619665.1 coat protein [Grapevine virus A] diff --git a/seq/clusters_info/cluster_296 b/seq/clusters_info/cluster_296 deleted file mode 100644 index a40f2f7..0000000 --- a/seq/clusters_info/cluster_296 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009227190.1 nonstructural protein NS2B [Spondweni virus] -YP_009227201.1 nonstructural protein NS2B [Zika virus] -YP_001527883.1 nonstructural protein 2B [West Nile virus] -NP_776017.1 non-structural protein NS2b [West Nile virus] -YP_009553731.1 NS2B [Kampung Karu virus] -YP_009430303.1 nonstructural protein NS2B [Zika virus] -YP_009333110.1 nonstructural protein NS2B [Cacipacore virus] -YP_009333126.1 nonstructural protein NS2B [Kokobera virus] -YP_009329952.1 nonstructural protein NS2B [Saint Louis encephalitis virus] -YP_009259660.1 nonstructural protein NS2B [Chaoyang virus] -YP_009259537.1 NS1-NS2AN-FIFO protein [Donggang virus] -YP_009259531.1 nonstructural protein NS2B [Donggang virus] -YP_164813.1 NS2b [Usutu virus] -NP_775669.1 non-structural protein NS2b [Japanese encephalitis virus] -NP_776004.1 non-structural protein NS2b [Yellow fever virus] -NP_722534.1 NS2B [Murray Valley encephalitis virus] -YP_009268591.1 nonstructural protein NS2B [Lammi virus] -YP_009268575.1 nonstructural protein NS2B [Ilomantsi virus] diff --git a/seq/clusters_info/cluster_297 b/seq/clusters_info/cluster_297 deleted file mode 100644 index 54992b7..0000000 --- a/seq/clusters_info/cluster_297 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009512938.1 glycoprotein [Parrot bornavirus 5] -YP_009512932.1 glycoprotein [Parrot bornavirus 1] -YP_009508488.1 G [Southwest carpet python virus] -YP_009508482.1 G [Jungle carpet python virus] -YP_009505427.1 glycoprotein [Estrildid finch bornavirus 1] -YP_009269417.1 Glycoprotein [Variegated squirrel bornavirus 1] -YP_009268915.1 glycoprotein [Aquatic bird bornavirus 2] -YP_009268909.1 glycoprotein [Canary bornavirus 1] -YP_009268903.1 glycoprotein [Parrot bornavirus 7] -YP_009268897.1 glycoprotein [Parrot bornavirus 4] -YP_009174180.1 glycoprotein [Parrot bornavirus 2] -YP_009055062.1 glycoprotein [Loveridges garter snake virus 1] -YP_009041460.1 glycoprotein [Canary bornavirus 3] -NP_042023.1 glycoprotein [Borna disease virus 1] -YP_009268921.1 glycoprotein [Borna disease virus 2] -YP_009237646.1 glycoprotein [Aquatic bird bornavirus 1] -YP_009165496.1 glycoprotein [Canary bornavirus 2] -sp|Q8BB27.1|VGLG_BDV1 RecName: Full=Envelope glycoprotein p57; AltName: Full=gp84; AltName: Full=gp94; Contains: RecName: Full=Envelope glycoprotein p27; Contains: RecName: Full=Envelope glycoprotein p29; Flags: Precursor diff --git a/seq/clusters_info/cluster_298 b/seq/clusters_info/cluster_298 deleted file mode 100644 index 91b1858..0000000 --- a/seq/clusters_info/cluster_298 +++ /dev/null @@ -1,18 +0,0 @@ -YP_009507780.1 inner core shell [Pulau reovirus] -YP_009507750.1 VP3 [Scophthalmus maximus reovirus] -YP_009507744.1 VP3 [Green River chinook virus] -YP_009445962.1 core shell protein [Piscine orthoreovirus] -YP_009351851.1 VP3 [Fall chinook aquareovirus] -YP_009259509.1 putative NTPase/RNA helicase [Etheostoma fonticola aquareovirus] -YP_009246471.1 Lambda A [Mahlapitsi orthoreovirus] -YP_009110698.1 inner capsid protein [Cangyuan orthoreovirus] -YP_009020572.1 lambda A [Reptilian orthoreovirus] -YP_004769549.1 core shell protein [Baboon orthoreovirus] -YP_004226521.1 lambda-A protein [Avian orthoreovirus] -YP_003717771.1 major core protein [Broome virus] -YP_003199422.1 lambda-1 protein [Mammalian orthoreovirus 3] -YP_001837096.1 VP3 [American grass carp reovirus] -YP_398631.1 putative NTPase/RNA helicase [Chum salmon reovirus CS] -NP_938062.1 NTPase/helicase VP3 [Aquareovirus C] -sp|P15024.2|LMBD1_REOVD RecName: Full=Inner capsid protein lambda-1; Short=Lambda1; AltName: Full=ATP-dependent DNA helicase lambda-1; AltName: Full=Lambda1(Hel) -sp|Q9WAB1.1|LMBD1_REOVJ RecName: Full=Inner capsid protein lambda-1; Short=Lambda1; AltName: Full=ATP-dependent DNA helicase lambda-1; AltName: Full=Lambda1(Hel) diff --git a/seq/clusters_info/cluster_299 b/seq/clusters_info/cluster_299 deleted file mode 100644 index 3d38af4..0000000 --- a/seq/clusters_info/cluster_299 +++ /dev/null @@ -1,18 +0,0 @@ -NP_758890.1 vpr protein [Simian immunodeficiency virus SIV-mnd 2] -NP_056841.1 vpr protein [Human immunodeficiency virus 2] -sp|P0C1P6.1|VPR_HV2EH RecName: Full=Protein Vpr; AltName: Full=Viral protein R -sp|Q76637.1|VPR_HV2UC RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|Q74123.1|VPR_HV2KR RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P19509.1|VPR_SIVSP RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P18046.2|VPR_HV2G1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P24111.1|VPR_HV2CA RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P12521.1|VPR_SIVS4 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P11265.1|VPR_SIVML RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05957.1|VPR_SIVMK RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05958.1|VPR_SIVM1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P20884.1|VPR_HV2ST RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P06938.1|VPR_HV2RO RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P05930.1|VPR_HV2NZ RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P12455.1|VPR_HV2SB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P15837.1|VPR_HV2D2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -sp|P17761.1|VPR_HV2D1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R diff --git a/seq/clusters_info/cluster_3 b/seq/clusters_info/cluster_3 deleted file mode 100644 index c5658cd..0000000 --- a/seq/clusters_info/cluster_3 +++ /dev/null @@ -1,159 +0,0 @@ -YP_010086240.1 RNA-dependent RNA-polymerase [Norway phlebovirus 1] -YP_010086222.1 RNA polymerase [Karimabad virus] -YP_010086176.1 polymerase [Tehran virus] -YP_010086170.1 RNA-dependent RNA polymerase [Dashli virus] -YP_010086144.1 polymerase [Salanga virus] -YP_010086098.1 RNA-dependent RNA polymerase [Cacao virus] -YP_010086093.1 RNA-dependent RNA polymerase [Itaporanga virus] -YP_010086077.1 putative RNA polymerase [Rio Grande virus] -YP_010086070.1 polymerase [Punique virus] -YP_010087315.1 RNA-dependent RNA polymerase [Apple rubbery wood virus 2] -YP_010086265.1 large protein [Lentinula edodes negative-strand RNA virus 2] -YP_010086244.1 RNA polymerase [Viola virus] -YP_010086241.1 replicase [Entoleuca phenui-like virus 1] -YP_010086206.1 RNA-dependent RNA polymerase [Ntepes virus] -YP_010086199.1 RNA-dependent RNA polymerase [Tres Almendras virus] -YP_010086195.1 RNA-dependent RNA polymerase [Mona Grita virus] -YP_010086191.1 RNA-dependent RNA polymerase [La Gloria virus] -YP_010086183.1 RNA-dependent RNA polymerase [Apple rubbery wood virus 1] -YP_010086139.1 polymerase [Zaliv Terpeniya virus] -YP_010086238.1 L [Blacklegged tick phlebovirus 3] -YP_010086231.1 RNA-dependent RNA polymerase [Yongjia Tick Virus 1] -YP_010086229.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 2] -YP_010086227.1 RNA-dependent RNA polymerase [Lihan tick virus] -YP_010086223.1 RNA-dependent RNA polymerase [Icoaraci virus] -YP_010086215.1 RNA-dependent RNA polymerase [Massilia virus] -YP_010086182.1 L protein [Buenaventura virus] -YP_010086174.1 RNA-dependent RNA polymerase [Medjerda Valley virus] -YP_010086163.1 polymerase [Alcube virus] -YP_010086157.1 RNA-dependent RNA polymerase [Silverwater virus] -YP_010086155.1 RNA-dependent RNA-polymerase [Rukutama virus] -YP_010086148.1 RNA polymerase [Gordil virus] -YP_010086140.1 polymerase [Odrenisrou virus] -YP_010086135.1 RNA polymerase [Murre virus] -YP_009380501.1 polymerase [Ixcanal virus] -YP_010086125.1 polymerase [Durania virus] -YP_010086124.1 L protein [Maldonado virus] -YP_010086117.1 L protein [Echarate virus] -YP_010086115.1 polymerase [Precarious point virus] -YP_010086109.1 RNA polymerase [Grand Arbaud virus] -YP_010086105.1 L protein [Alenquer virus] -YP_010086101.1 polymerase [Chagres virus] -YP_010086091.1 RNA polymerase [Gabek Forest virus] -YP_010086085.1 L protein [Turuna virus] -YP_010086084.1 L protein [Nique virus] -YP_010086074.1 L protein [Itaituba virus] -YP_010086071.1 L protein [Oriximina virus] -YP_010086058.1 polymerase [Salehabad virus] -YP_009346036.1 RNA-dependent RNA polymerase [Uriurana virus] -YP_009346035.1 RNA-dependent RNA polymerase [Tapara virus] -YP_009346030.1 RNA-dependent RNA polymerase [Ambe virus] -YP_009346026.1 RNA-dependent RNA polymerase [Urucuri virus] -YP_009346019.1 RNA-dependent RNA polymerase [Anhanga virus] -YP_009551639.1 RNA-dependent RNA polymerase [Kaisodi virus] -YP_009551587.1 RdRp [Melon chlorotic spot virus] -YP_009667028.1 RNA-dependent RNA-polymerase [Laurel Lake virus] -YP_009666332.1 RNA-dependent RNA polymerase [Mukawa virus] -YP_009666272.1 RNA-dependent RNA polymerase [Pidgey virus] -YP_009666266.1 RNA-dependent RNA polymerase [Mothra virus] -YP_009666941.1 RNA-dependent RNA polymerase [Guertu virus] -YP_009666133.1 RNA polymerase [Severe fever with thrombocytopenia syndrome virus] -YP_009665190.1 RNA-dependent RNA polymerase, partial [Frijoles virus VP-161A] -YP_009664621.1 RNA-dependent RNA polymerase [Gouleako virus] -YP_009664615.1 RNA-dependent RNA polymerase [Cumuto virus] -YP_009162641.1 polymerase [Hunter Island virus] -YP_009505327.1 RNA-dependent RNA polymerase [Badu phasivirus] -YP_009512941.1 L protein [Punta Toro virus] -YP_009505332.1 RNA-dependent RNA polymerase [Phasi Charoen-like phasivirus] -YP_009449450.1 RNA-dependent RNA polymerase [Kabuto mountain virus] -YP_009449440.1 RNA-dependent RNA polymerase [Rice hoja blanca tenuivirus] -YP_009422199.1 RNA-dependent RNA polymerase [Citrus concave gum-associated virus] -YP_009346010.1 polymerase [Munguba virus] -YP_009330281.1 RNA-dependent RNA polymerase [Hubei diptera virus 4] -YP_009330277.1 RNA-dependent RNA polymerase [Hubei diptera virus 5] -YP_009330283.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 1] -YP_009329894.1 RNA-dependent RNA polymerase [Hubei diptera virus 3] -YP_009329876.1 RNA-dependent RNA polymerase [Shahe heteroptera virus 3] -YP_009305143.1 RNA-dependent RNA polymerase [Yichang Insect virus] -YP_009305136.1 RNA-dependent RNA polymerase [Wuhan horsefly Virus] -YP_009305140.1 RNA-dependent RNA polymerase [Wutai mosquito phasivirus] -YP_009305000.1 RNA-dependent RNA polymerase [Wuhan Fly Virus 1] -YP_009304989.1 RNA-dependent RNA polymerase [Wenzhou Shrimp Virus 1] -YP_009293590.1 RNA-dependent RNA polymerase [Huangpi Tick Virus 2] -YP_009272912.1 RNA-dependent RNA polymerase [Fusarium poae negative-stranded virus 2] -YP_009246447.1 polymerase [Toros virus] -YP_009246413.1 polymerase [Zerdali virus] -YP_009227127.1 RNA-dependent RNA polymerase [Adana virus] -YP_009141013.1 RNA-dependent RNA polymerase [Bhanja virus] -YP_009047242.1 polymerase [Heartland virus] -YP_009010958.1 polymerase [Arumowot virus] -YP_008719916.1 RNA-dependent RNA polymerase [Razdan virus] -YP_008003507.1 RNA-dependent RNA polymerase [Lone Star virus] -YP_004414703.1 polymerase [Aguacate virus] -YP_004382743.1 polymerase [Sandfly fever Turkey virus] -YP_004347993.1 L protein [Chandiru virus] -YP_003848704.1 polymerase [Rift Valley fever virus] -YP_089669.1 RNA-dependant RNA polymerase [Sandfly fever Naples virus] -NP_941973.1 RNA polymerase [Uukuniemi virus] -NP_620522.1 RNA Polymerase [Rice stripe tenuivirus] -NP_058528.1 RNA polymerase [Rice grassy stunt tenuivirus] -sp|P27316.1|L_RVFVZ RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -ALS19620.1 polymerase [Toscana virus] -APG79273.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 13] -APG79267.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 3] -APG79243.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 2] -APG79349.1 RNA-dependent RNA polymerase [Wenling crustacean virus 7] -ALJ83282.1 RNA-dependent RNA polymerase [Ramu stunt virus] -AJG39275.1 RNA-dependent RNA polymerase [Zhee Mosquito virus] -AFH88999.1 polymerase [Saint Floris virus] -AEF56735.1 RNA dependent RNA polymerase, partial [Soybean cyst nematode associated rice stripe virus] -AOY18806.1 RNA-dependent RNA polymerase [Bunyavirus sp.] -AJG39251.1 RNA-dependent RNA polymerase [Shuangao Insect Virus 3] -APG79237.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 1] -AJG39271.1 RNA-dependent RNA polymerase [Xinzhou Mosquito Virus] -AEL29685.1 polymerase [Phlebovirus GGP-2011a] -AEF56734.1 RNA dependent RNA polymerase, partial [Soybean cyst nematode associated Uukuniemi virus] -API68880.1 RNA-dependent RNA polymerase [Bujaru virus] -AFH89007.1 polymerase [Naples virus] -APG79218.1 RNA-dependent RNA polymerase [Hubei insect virus 1] -AIU95033.1 RNA-dependent RNA polymerase [Kismayo virus] -AJG39269.1 RNA-dependent RNA polymerase [Wuhan Spider Virus] -APG79216.1 RNA-dependent RNA polymerase [Wuhan insect virus 16] -AFM44927.1 RNA-dependent RNA polymerase, partial [Basiki virus] -AFH89003.1 polymerase [Naples virus] -AJG39236.1 RNA-dependent RNA polymerase [Dabieshan Tick Virus] -AHF71068.1 RNA polymerase, partial [Malsoor virus] -AHE13863.1 L [Sclerotinia sclerotiorum phlebo-like virus 1] -AKF42412.1 L protein [Punta Toro virus] -AKF42424.1 L protein [Capira virus] -AJG39261.1 RNA-dependent RNA polymerase [Wuhan Insect virus 1] -ALP32227.1 RNA-dependent RNA polymerase [Massilia virus] -APG79269.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 2] -APG79252.1 RNA-dependent RNA polymerase [Hubei blood fluke virus 1] -AII01801.1 L protein [Blacklegged tick phlebovirus 1] -AKN08988.1 RNA-dependent RNA polymerase [Caenorhabditis brenneri bunyavirus] -AJG39265.1 RNA-dependent RNA polymerase [Wuhan Millipede Virus 1] -APG79250.1 RNA-dependent RNA polymerase [Hubei blood fluke virus 2] -AFN73042.1 polymerase, partial [Manawa virus] -APG79335.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 4] -APG79358.1 RNA-dependent RNA polymerase [Xinzhou bunya-like virus 1] -AJG39235.1 RNA-dependent RNA polymerase [Changping Tick Virus 1] -AHK60947.1 RNA polymerase [Karimabad virus] -AJG39243.1 RNA-dependent RNA polymerase [Qingnian Mosquito Virus] -APG79256.1 RNA-dependent RNA polymerase [Jingmen ascaridia virus 1] -AIE42674.1 polymerase [American dog tick phlebovirus] -AJT39594.1 RNA-dependent RNA polymerase [Bunyaviridae environmental sample] -AOE46766.1 RNA-dependent RNA polymerase [Phasi Charoen-like phasivirus] -AGA82737.1 polymerase [Arbia virus] -AJG39264.1 RNA-dependent RNA polymerase, partial [Wuhan Louse Fly Virus 2] -AJG39234.1 RNA-dependent RNA polymerase [Bole Tick Virus 1] -APG79359.1 RNA-dependent RNA polymerase [Xinzhou bunya-like virus 2] -API61884.1 RNA-dependent RNA polymerase, partial [Salarivirus Mos8CM0] -AEL29649.1 polymerase [Leticia virus] -AEA30060.1 L protein [Mucura virus] -API68884.1 RNA-dependent RNA polymerase [Joa virus] -AED98383.1 polymerase [Salobo virus] -ACG58343.1 RNA-dependent RNA polymerase [Rice stripe tenuivirus] -APG79324.1 RNA-dependent RNA polymerase [Shayang bunya-like virus 1] -AGC60107.1 RNA-dependent RNA polymerase [Bhanja virus] -APG79293.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 1] diff --git a/seq/clusters_info/cluster_30 b/seq/clusters_info/cluster_30 deleted file mode 100644 index 17efd4d..0000000 --- a/seq/clusters_info/cluster_30 +++ /dev/null @@ -1,70 +0,0 @@ -YP_009553501.1 polyprotein [Nephila clavipes virus 1] -YP_009553259.1 polyprotein [Psammotettix alienus iflavirus 1] -YP_009552832.1 ORF2 [Nesidiocoris tenuis iflavirus 1] -YP_009552831.1 ORF1 [Nesidiocoris tenuis iflavirus 1] -YP_009552119.1 polyprotein [Varroa destructor virus 2] -YP_009552080.1 polyprotein [Yongsan iflavirus 1] -YP_001285409.1 polyprotein [Brevicoryne brassicae virus - UK] -YP_009505599.1 polyprotein [Nilaparvata lugens honeydew virus 1] -YP_009444707.1 polyprotein [Chequa iflavirus] -YP_009448183.1 polyprotein [Armigeres iflavirus] -YP_009351892.1 polyprotein [Pityohyphantes rubrofasciatus iflavirus] -YP_009345906.1 polyprotein [Bat iflavirus] -YP_009344960.1 polyprotein [Helicoverpa armigera iflavirus] -YP_009342337.1 hypothetical protein [Wuhan fly virus 4] -YP_009342321.1 hypothetical protein [Wuhan insect virus 13] -YP_009342053.1 hypothetical protein [Wuhan coneheads virus 1] -YP_009337760.1 hypothetical protein [Hubei odonate virus 4] -YP_009337722.1 hypothetical protein [Hubei picorna-like virus 30] -YP_009337692.1 hypothetical protein [Hubei picorna-like virus 33] -YP_009337653.1 hypothetical protein [Sanxia water strider virus 21] -YP_009337372.1 hypothetical protein [Hubei picorna-like virus 43] -YP_009337306.1 hypothetical protein [Hubei picorna-like virus 32] -YP_009337289.1 hypothetical protein [Hubei odonate virus 3] -YP_009337284.1 hypothetical protein [Hubei picorna-like virus 28] -YP_009337271.1 hypothetical protein [Hubei tetragnatha maxillosa virus 2] -YP_009337194.1 hypothetical protein [Hubei odonate virus 2] -YP_009337161.1 hypothetical protein [Hubei picorna-like virus 27] -YP_009337127.1 hypothetical protein [Hubei coleoptera virus 1] -YP_009337046.1 hypothetical protein [Shuangao insect virus 12] -YP_009337003.1 hypothetical protein [Hubei picorna-like virus 26] -YP_009336939.1 hypothetical protein [Shahe heteroptera virus 1] -YP_009336575.1 hypothetical protein [Hubei picorna-like virus 29] -YP_009336552.1 hypothetical protein [Hubei tick virus 1] -YP_009336542.1 hypothetical protein [Hubei tick virus 2] -YP_009336533.1 hypothetical protein [Hubei tick virus 3] -YP_009336529.1 hypothetical protein [Hubei picorna-like virus 44] -YP_009337628.1 hypothetical protein 3 [Sanxia water strider virus 7] -YP_009333408.1 hypothetical protein 2 [Beihai picorna-like virus 100] -YP_009333199.1 hypothetical protein [Shahe heteroptera virus 2] -YP_009330055.1 hypothetical protein [Hubei picorna-like virus 31] -YP_009330050.1 hypothetical protein [Hubei myriapoda virus 1] -YP_009329861.1 hypothetical protein [Wuhan spider virus 2] -YP_009328891.1 polyprotein [Euscelidius variegatus virus 1] -YP_009315906.1 polyprotein [King virus] -YP_009305421.1 polyprotein [Moku virus] -YP_009162630.1 polyprotein [Bombyx mori iflavirus] -YP_009129265.1 polyprotein [Graminella nigrifrons virus 1] -YP_009116875.1 polyprotein [Thaumetopoea pityocampa iflavirus 1] -YP_009111311.1 polyprotein [Dinocampus coccinellae paralysis virus] -YP_009110667.1 polyprotein [Laodelphax striatellus picorna-like virus 2] -YP_009047245.1 polyprotein [Lymantria dispar iflavirus 1] -YP_009026409.1 polyprotein [Heliconius erato iflavirus] -YP_009010941.1 polyprotein [Laodelphax striatella honeydew virus 1] -YP_009002581.1 polyprotein [Antheraea pernyi iflavirus] -YP_008888537.1 polyprotein [Formica exsecta virus 2] -YP_008130310.1 polyprotein [Nilaparvata lugens honeydew virus-3] -YP_008130309.1 polyprotein [Nilaparvata lugens honeydew virus-2] -YP_003622540.1 polyprotein [Slow bee paralysis virus] -NP_853560.2 polyprotein [Deformed wing virus] -AEM63700.1 polyprotein [Varroa destructor virus 1] -AMO00337.1 RNA-dependent RNA polymerase, partial [Antheraea mylitta iflavirus] -AOY34458.1 polyprotein, partial [Rolda virus] -ACN94442.1 protease/RNA-dependent RNA polymerase, partial [Nasonia vitripennis virus] -AMO03216.1 putative polyprotein, partial [Kinkell virus] -AGO86045.1 polyprotein [Varroa destructor virus 1] -ALJ52073.1 polyprotein [Diaphorina citri picorna-like virus] -AAS37668.1 RNA-dependent RNA polymerase, partial [Venturia canescens picorna-like virus] -ADI46683.1 polyprotein [Slow bee paralysis virus] -APG77501.1 hypothetical protein, partial [Bole hyalomma asiaticum virus 1] -AKJ70949.1 polyprotein [Brevicoryne brassicae virus - UK] diff --git a/seq/clusters_info/cluster_300 b/seq/clusters_info/cluster_300 deleted file mode 100644 index 367f1ac..0000000 --- a/seq/clusters_info/cluster_300 +++ /dev/null @@ -1,18 +0,0 @@ -NP_758889.1 vpx protein [Simian immunodeficiency virus SIV-mnd 2] -NP_056840.1 vpx protein [Human immunodeficiency virus 2] -sp|Q89721.1|VPX_HV2EH RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|Q76636.1|VPX_HV2UC RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|Q74122.1|VPX_HV2KR RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P18045.1|VPX_HV2G1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P24110.1|VPX_HV2CA RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P19508.1|VPX_SIVSP RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P12514.2|VPX_SIVS4 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P11266.1|VPX_SIVML RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P05916.1|VPX_SIVMK RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P05917.1|VPX_SIVM1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P20881.1|VPX_HV2ST RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P06939.1|VPX_HV2RO RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P05915.1|VPX_HV2NZ RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P12454.1|VPX_HV2SB RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P15836.1|VPX_HV2D2 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -sp|P17760.1|VPX_HV2D1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein diff --git a/seq/clusters_info/cluster_301 b/seq/clusters_info/cluster_301 deleted file mode 100644 index 51fc235..0000000 --- a/seq/clusters_info/cluster_301 +++ /dev/null @@ -1,17 +0,0 @@ -YP_010088052.1 MAG: RNA-dependent RNA polymerase [Neofusicoccum parvum chrysovirus 1] -YP_010088049.1 MAG: RNA-dependent RNA polymerase [Coniothyrium diplodiella chrysovirus 1] -YP_010088028.1 RNA-dependent RNA polymerase [Aspergillus thermomutatus chrysovirus 1] -YP_010085115.1 RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus 2] -YP_009553287.1 RNA-dependent RNA polymerase [Alternaria alternata chrysovirus 1] -YP_009551629.1 putative RNA-dependent RNA polymerase [Colletotrichum fructicola chrysovirus 1] -YP_009667018.1 ORF1 [Penicillium janczewskii chrysovirus 2] -YP_009353026.1 putative RNA-dependent RNA polymerase [Botryosphaeria dothidea chrysovirus 1] -YP_009182332.1 127 kDa protein [Penicillium janczewskii chrysovirus 1] -YP_009158913.1 RNA-dependent RNA polymerase [Fusarium oxysporum f. sp. dianthi mycovirus 1] -YP_008914864.1 RNA dependent RNA polymerase [Magnaporthe oryzae chrysovirus 1] -YP_003858286.1 RNA dependent RNA polymerase [Magnaporthe oryzae chrysovirus 1] -AJD14830.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea chrysovirus] -CBY84993.1 RNA dependent RNA polymerase [Tolypocladium cylindrosporum virus 2] -ABX79996.1 putative RNA-dependent RNA polymerase, partial (endogenous virus) [Aspergillus mycovirus 1816] -APG76052.1 hypothetical protein [Wuhan insect virus 29] -pir||T00494 RNA-directed RNA polymerase (EC 2.7.7.48) - white button mushroom virus 1 diff --git a/seq/clusters_info/cluster_302 b/seq/clusters_info/cluster_302 deleted file mode 100644 index b168c29..0000000 --- a/seq/clusters_info/cluster_302 +++ /dev/null @@ -1,17 +0,0 @@ -YP_010085109.1 RdRp [Culex phasma-like virus] -YP_010086189.1 RNA-dependent RNA polymerase [Anopheles triannulatus orthophasmavirus] -YP_009553313.1 RNA-dependent RNA polymerase [Yongsan bunyavirus 1] -YP_009666981.1 RNA-dependent RNA polymerase [Ganda bee virus] -YP_009666959.1 RNA-dependent RNA polymerase, partial [Seattle Prectang virus] -YP_009664561.1 RNA-dependent RNA polymerase, partial [Shuangao Insect Virus 2] -YP_009507889.1 RNA-dependent RNA polymerase, partial [Nome phantom orthophasmavirus] -YP_009362029.1 RNA-dependent RNA polymerase [Kigluaik phantom orthophasmavirus] -YP_009329871.1 RNA-dependent RNA polymerase [Hubei odonate virus 9] -YP_009329887.1 RNA-dependent RNA polymerase [Hubei odonate virus 8] -YP_009305135.1 RNA-dependent RNA polymerase [Wuhan Mosquito Virus 2] -YP_009305130.1 RNA-dependent RNA polymerase [Wuhan mosquito virus 1] -YP_009304995.1 RNA-dependent RNA polymerase [Wuchang Cockroach Virus 1] -APG79291.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 8] -APG79294.1 RNA-dependent RNA polymerase [Hubei diptera virus 6] -APG79296.1 RNA-dependent RNA polymerase [Hubei diptera virus 7] -APG79278.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 9] diff --git a/seq/clusters_info/cluster_303 b/seq/clusters_info/cluster_303 deleted file mode 100644 index cca2cc8..0000000 --- a/seq/clusters_info/cluster_303 +++ /dev/null @@ -1,17 +0,0 @@ -YP_010087183.1 nucleocapsid protein [Mengla dianlovirus] -YP_010085036.1 nucleoprotein [Wenling frogfish filovirus] -YP_003815432.1 nucleoprotein [Bundibugyo ebolavirus] -YP_009513274.1 nucleoprotein [Bombali ebolavirus] -YP_009055222.1 nucleoprotein [Marburg marburgvirus] -YP_004928135.1 nucleoprotein [Lloviu cuevavirus] -YP_003815423.1 nucleoprotein [Tai Forest ebolavirus] -YP_001531153.1 nucleoprotein [Marburg marburgvirus] -YP_138520.1 nucleoprotein [Sudan ebolavirus] -NP_690580.1 nucleoprotein [Reston ebolavirus] -NP_066243.1 nucleoprotein [Zaire ebolavirus] -sp|Q91DE1.1|NCAP_EBORE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N; AltName: Full=Reston NP; Short=rNP -sp|P35263.1|NCAP_MABVP RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q9QP77.1|NCAP_EBOSB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q9QCE9.1|NCAP_EBOG4 RecName: Full=Nucleoprotein; AltName: Full=Ebola NP; Short=eNP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q1PD53.1|NCAP_MABVA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein -sp|Q6UY69.1|NCAP_MABVO RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein diff --git a/seq/clusters_info/cluster_304 b/seq/clusters_info/cluster_304 deleted file mode 100644 index d67d2c8..0000000 --- a/seq/clusters_info/cluster_304 +++ /dev/null @@ -1,17 +0,0 @@ -YP_009337311.1 RNA-dependent RNA polymerase [Changjiang narna-like virus 2] -YP_009337307.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 5] -YP_009337159.1 RNA-dependent RNA polymerase [Wenling narna-like virus 3] -YP_009336851.1 RNA-dependent RNA polymerase [Hubei narna-like virus 11] -YP_009336843.1 RNA-dependent RNA polymerase [Hubei narna-like virus 7] -YP_009336802.1 RNA-dependent RNA polymerase [Hubei narna-like virus 9] -YP_009333318.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 4] -YP_009333316.1 RNA-dependent RNA polymerase [Beihai narna-like virus 9] -YP_009333278.1 RNA-dependent RNA polymerase [Beihai narna-like virus 11] -YP_009333271.1 RNA-dependent RNA polymerase [Beihai narna-like virus 10] -YP_009333267.1 RNA-dependent RNA polymerase [Beihai narna-like virus 8] -YP_009333255.1 RNA-dependent RNA polymerase [Beihai narna-like virus 12] -YP_009333251.1 RNA-dependent RNA polymerase [Beihai narna-like virus 6] -APG77081.1 RNA-dependent RNA polymerase, partial [Beihai narna-like virus 7] -APG77208.1 hypothetical protein [Hubei narna-like virus 10] -APG77202.1 RNA-dependent RNA polymerase [Hubei narna-like virus 8] -APG77281.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 6] diff --git a/seq/clusters_info/cluster_305 b/seq/clusters_info/cluster_305 deleted file mode 100644 index 9e1048d..0000000 --- a/seq/clusters_info/cluster_305 +++ /dev/null @@ -1,17 +0,0 @@ -YP_009176996.1 M protein [Santa barbara virus] -YP_009362184.1 matrix [Joinjakaka virus] -YP_009362162.1 matrix [Itacaiunas virus] -YP_009505506.1 M protein [Ekpoma virus 1] -YP_009505489.1 matrix protein [Drosophila ananassae sigmavirus] -YP_009337069.1 hypothetical protein 3 [Hubei dimarhabdovirus virus 2] -YP_009177241.1 matrix protein [Adelaide River virus] -YP_009177207.1 matrix protein [Yata virus] -YP_009177195.1 matrix protein [Koolpinyah virus] -YP_009176968.1 M [Inhangapi virus] -YP_009094427.1 matrix protein [Malakal virus] -YP_009094397.1 matrix protein [Kimberley virus] -YP_009094163.1 M protein [Xiburema virus] -YP_009094131.1 matrix protein M [Berrimah virus] -YP_006200959.1 matrix protein [Obodhiang virus] -YP_006202620.1 M gene product [Kotonkan virus] -NP_065401.1 matrix protein M [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_306 b/seq/clusters_info/cluster_306 deleted file mode 100644 index ad7d1e2..0000000 --- a/seq/clusters_info/cluster_306 +++ /dev/null @@ -1,17 +0,0 @@ -YP_009513195.1 phosphoprotein [Avian orthoavulavirus 1] -YP_009508518.1 P [Antarctic penguin virus C] -YP_009508512.1 P [Antarctic penguin virus B] -YP_009508506.1 P [Antarctic penguin virus A] -YP_009508500.1 phosphoprotein [Avian paramyxovirus UPO216] -YP_009255222.1 phosphoprotein [Avian paramyxovirus goose/Shimane/67/2000] -YP_009094360.1 phosphoprotein [Avian orthoavulavirus 9] -YP_009094213.1 phosphoprotein [Avian paraavulavirus 3] -YP_009094169.1 phosphoprotein [Avian orthoavulavirus 12] -NP_872274.1 phosphoprotein [Goose paramyxovirus SF02] -sp|P0C766.1|W_NDVB1 RecName: Full=Protein W -sp|P0C765.1|V_NDVB1 RecName: Full=Protein V -sp|Q06427.2|PHOSP_NDVU2 RecName: Full=Phosphoprotein; Short=Protein P -sp|Q06428.1|V_NDVU2 RecName: Full=Non-structural protein V -sp|P16073.1|PHOSP_NDVA RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Non-structural protein C, 38 kDa/29 kDa -sp|Q9DLD6.1|PHOSP_NDVB1 RecName: Full=Phosphoprotein; Short=Protein P -sp|P24698.1|PHOSP_NDVB RecName: Full=Phosphoprotein; Short=Protein P diff --git a/seq/clusters_info/cluster_307 b/seq/clusters_info/cluster_307 deleted file mode 100644 index a508a53..0000000 --- a/seq/clusters_info/cluster_307 +++ /dev/null @@ -1,17 +0,0 @@ -YP_009755883.1 1ab protein [Guangdong red-banded snake torovirus] -YP_009755877.1 1ab protein [Hainan hebius popei torovirus] -YP_009755843.1 polyprotein 1ab [Bellinger River virus] -YP_009666299.1 1ab, partial [Xinzhou nematode virus 6] -YP_009666260.1 replicase 1b [Shingleback nidovirus 1] -YP_009666259.1 replicase 1a [Shingleback nidovirus 1] -YP_009505581.1 replicase polyprotein 1ab [Fathead minnow nidovirus] -YP_009408168.1 ORF1ab [Morelia viridis nidovirus] -YP_009380535.1 polyprotein 1ab [Goat torovirus] -YP_009344970.1 1ab [Xinzhou toro-like virus] -YP_009142787.1 pp1a/b [Bovine nidovirus TCH5] -YP_009130641.1 replicase polyprotein 1ab [Chinook salmon bafinivirus] -YP_009052475.1 pp1ab [Ball python nidovirus 1] -YP_337905.2 polyprotein 1ab [Breda virus] -YP_008798230.1 polyprotein 1ab [Porcine torovirus] -YP_803213.1 replicase polyprotein 1ab [White bream virus] -sp|P0C6V7.1|R1AB_BEV RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Non-structural protein 1; Short=nsp1; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=M-PRO; AltName: Full=nsp3; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=Non-structural protein 5; Short=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp10; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp11; AltName: Full=p67; Contains: RecName: Full=Exoribonuclease; Short=ExoN; AltName: Full=nsp12; Contains: RecName: Full=Non-structural protein 13; Short=nsp13; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp14; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp15 diff --git a/seq/clusters_info/cluster_308 b/seq/clusters_info/cluster_308 deleted file mode 100644 index 1e4759d..0000000 --- a/seq/clusters_info/cluster_308 +++ /dev/null @@ -1,17 +0,0 @@ -APG78355.1 RdRp [Beihai partiti-like virus 5] -APG78348.1 RdRp [Wenling partiti-like virus 5] -APG78347.1 RdRp [Wenling partiti-like virus 6] -APG78212.1 RdRp [Beihai partiti-like virus 8] -APG78295.1 RdRp [Sanxia partiti-like virus 2] -APG78340.1 RdRp [Wenling partiti-like virus 11] -APG78211.1 RdRp [Beihai partiti-like virus 7] -APG78269.1 RdRp [Shahe partiti-like virus 1] -APG78346.1 RdRp, partial [Wenling partiti-like virus 9] -APG78195.1 RdRp [Beihai partiti-like virus 6] -APG78186.1 RdRp [Beihai partiti-like virus 9] -APG78341.1 RdRp [Wenling partiti-like virus 12] -APG78357.1 RdRp [Beihai partiti-like virus 10] -APG78349.1 RdRp [Wenling partiti-like virus 7] -APG78351.1 RdRp [Wenling partiti-like virus 13] -APG78339.1 RdRp [Wenling partiti-like virus 10] -APG78344.1 RdRp [Wenling partiti-like virus 8] diff --git a/seq/clusters_info/cluster_309 b/seq/clusters_info/cluster_309 deleted file mode 100644 index 635edad..0000000 --- a/seq/clusters_info/cluster_309 +++ /dev/null @@ -1,17 +0,0 @@ -YP_009507722.1 NS2 [Orungo virus] -YP_009507711.1 NS2 [Lebombo virus] -YP_009507700.1 NS2 [Eubenangee virus] -YP_009507738.1 NS2 [Warrego virus] -YP_009345886.1 VP8 [Orbivirus SX-2017a] -YP_009163943.1 matrix protein [Fengkai orbivirus] -YP_008719924.1 inclusion body NS2 [Changuinola virus] -YP_008658425.1 inclusion body protein [Wallal virus] -YP_003240115.1 NS2 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_052939.1 non structural protein NS2 [Palyam virus] -YP_052961.1 hypothetical protein AHSV_s8gp1 [African horse sickness virus] -YP_052952.1 NS2 protein [Bluetongue virus] -sp|P33473.1|VNS2_BTV17 RecName: Full=Non-structural protein NS2 -sp|P32932.1|VNS2_BTV1S RecName: Full=Non-structural protein NS2 -sp|P27280.1|VNS2_EHDV2 RecName: Full=Non-structural protein NS2 -sp|P10350.1|VNS2_BTV1X RecName: Full=Non-structural protein NS2 -sp|P23065.1|VNS2_BTV10 RecName: Full=Non-structural protein NS2 diff --git a/seq/clusters_info/cluster_31 b/seq/clusters_info/cluster_31 deleted file mode 100644 index 2833b94..0000000 --- a/seq/clusters_info/cluster_31 +++ /dev/null @@ -1,68 +0,0 @@ -YP_009666362.1 polyprotein [Marine RNA virus SF-3] -YP_009666361.1 gp2 [Marine RNA virus SF-2] -YP_009666360.1 gp1 [Marine RNA virus SF-2] -YP_009666351.1 RNA-dependent RNA polymerase [Marine RNA virus SF-1] -YP_009666352.1 structural polyprotein [Marine RNA virus SF-1] -YP_009423855.1 hypothetical protein 2 [Tioga picorna-like virus 1] -YP_009423854.1 hypothetical protein 1 [Tioga picorna-like virus 1] -YP_009345913.1 hypothetical protein [Beihai picorna-like virus 57] -YP_009345030.1 hypothetical protein 2 [Ubei picorna-like virus 3] -YP_009345029.1 hypothetical protein 1 [Ubei picorna-like virus 3] -YP_009337791.1 hypothetical protein [Sanxia picorna-like virus 8] -YP_009337756.1 hypothetical protein 2 [Sanxia picorna-like virus 9] -YP_009337755.1 hypothetical protein 1 [Sanxia picorna-like virus 9] -YP_009337718.1 hypothetical protein 1 [Wenzhou picorna-like virus 14] -YP_009337701.1 hypothetical protein 1 [Wenzhou picorna-like virus 19] -YP_009337257.1 hypothetical protein 2 [Hubei picorna-like virus 4] -YP_009337256.1 hypothetical protein 1 [Hubei picorna-like virus 4] -YP_009337239.1 hypothetical protein 2 [Hubei picorna-like virus 2] -YP_009337238.1 hypothetical protein 1 [Hubei picorna-like virus 2] -YP_009337185.1 hypothetical protein 1 [Wenzhou picorna-like virus 17] -YP_009337169.1 hypothetical protein 2 [Hubei picorna-like virus 5] -YP_009337168.1 hypothetical protein 1 [Hubei picorna-like virus 5] -YP_009337153.1 hypothetical protein 1 [Wenzhou picorna-like virus 20] -YP_009336962.1 hypothetical protein [Wenzhou picorna-like virus 16] -YP_009336941.1 hypothetical protein 2 [Wenzhou picorna-like virus 12] -YP_009336940.1 hypothetical protein 1 [Wenzhou picorna-like virus 12] -YP_009336902.1 hypothetical protein [Shahe picorna-like virus 14] -YP_009336855.1 hypothetical protein [Wenzhou picorna-like virus 11] -YP_009336829.1 hypothetical protein [Shahe picorna-like virus 4] -YP_009336805.1 hypothetical protein 2 [Wenzhou channeled applesnail virus 1] -YP_009336804.1 hypothetical protein 1 [Wenzhou channeled applesnail virus 1] -YP_009336798.1 hypothetical protein 2 [Shahe picorna-like virus 5] -YP_009336797.1 hypothetical protein 1 [Shahe picorna-like virus 5] -YP_009336795.1 hypothetical protein 2 [Wenzhou picorna-like virus 15] -YP_009336794.1 hypothetical protein 1 [Wenzhou picorna-like virus 15] -YP_009336776.1 hypothetical protein 2 [Shahe picorna-like virus 6] -YP_009336608.1 hypothetical protein [Changjiang picorna-like virus 2] -YP_009336547.1 hypothetical protein 2 [Changjiang picorna-like virus 4] -YP_009336546.1 hypothetical protein 1 [Changjiang picorna-like virus 4] -YP_009336538.1 hypothetical protein 2 [Changjiang picorna-like virus 3] -YP_009336537.1 hypothetical protein 1 [Changjiang picorna-like virus 3] -YP_009336522.1 hypothetical protein 2 [Hubei leech virus 3] -YP_009336521.1 hypothetical protein 1 [Hubei leech virus 3] -YP_009336504.1 hypothetical protein 2 [Hubei leech virus 4] -YP_009336503.1 hypothetical protein 1 [Hubei leech virus 4] -YP_009333595.1 hypothetical protein [Beihai picorna-like virus 56] -YP_009333545.1 hypothetical protein [Beihai picorna-like virus 48] -YP_009333539.1 hypothetical protein [Beihai picorna-like virus 45] -YP_009333534.1 hypothetical protein 2 [Beihai picorna-like virus 46] -YP_009333533.1 hypothetical protein 1 [Beihai picorna-like virus 46] -YP_009333521.1 hypothetical protein 2 [Beihai razor shell virus 1] -YP_009333520.1 hypothetical protein 1 [Beihai razor shell virus 1] -YP_009333505.1 hypothetical protein 2 [Beihai picorna-like virus 49] -YP_009333504.1 hypothetical protein 1 [Beihai picorna-like virus 49] -YP_009333453.1 hypothetical protein 2 [Beihai razor shell virus 2] -YP_009333452.1 hypothetical protein 1 [Beihai razor shell virus 2] -YP_009333438.1 hypothetical protein 2 [Beihai picorna-like virus 44] -YP_009333437.1 hypothetical protein 1 [Beihai picorna-like virus 44] -YP_009333384.1 hypothetical protein 1 [Beihai picorna-like virus 42] -YP_009333484.1 hypothetical protein 2 [Beihai picorna-like virus 58] -YP_009333483.1 hypothetical protein 1 [Beihai picorna-like virus 58] -YP_009333391.1 hypothetical protein 2 [Beihai picorna-like virus 47] -YP_009333390.1 hypothetical protein 1 [Beihai picorna-like virus 47] -YP_009329977.1 hypothetical protein 2 [Beihai picorna-like virus 43] -YP_009329976.1 hypothetical protein 1 [Beihai picorna-like virus 43] -YP_009329971.1 hypothetical protein [Changjiang picorna-like virus 1] -YP_001429584.1 hypothetical protein JP-B_gp2 [Marine RNA virus JP-B] -YP_001429583.1 hypothetical protein JP-B_gp1 [Marine RNA virus JP-B] diff --git a/seq/clusters_info/cluster_310 b/seq/clusters_info/cluster_310 deleted file mode 100644 index c5a7146..0000000 --- a/seq/clusters_info/cluster_310 +++ /dev/null @@ -1,17 +0,0 @@ -YP_009508242.1 p47(gag-crk) [Avian sarcoma virus CT10] -YP_004222727.1 gag polyprotein [Avian leukemia virus] -NP_955613.1 p15 PR [Rous sarcoma virus] -NP_955610.1 p12 NC [Rous sarcoma virus] -NP_955608.1 pp10 [Rous sarcoma virus] -NP_955605.1 CA [Fujinami sarcoma virus] -NP_955604.1 p10 [Fujinami sarcoma virus] -NP_955600.1 p10 [Avian myelocytomatosis virus] -NP_045935.1 p110 [Avian myelocytomatosis virus] -NP_056889.1 p140 polyprotein [Fujinami sarcoma virus] -NP_040504.1 v-myc (59/61 kDa) protein [Avian carcinoma virus] -sp|P10395.2|MYC_AVIM2 RecName: Full=Viral myc transforming protein; Short=v-Myc -sp|P06295.2|MYC_AVIMD RecName: Full=Viral myc transforming protein; Short=v-Myc -sp|P06444.1|GAG_AVIMD RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=Capsid protein p27, truncated -sp|P03373.2|GAG_AVIER RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=V-erbA oncogene -sp|P03327.2|GAG_AVISY RecName: Full=Gag-yes polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10, truncated; Contains: RecName: Full=V-yes oncogene -sp|P68272.1|MYC_FLV RecName: Full=Viral myc transforming protein; Short=v-Myc diff --git a/seq/clusters_info/cluster_311 b/seq/clusters_info/cluster_311 deleted file mode 100644 index 825c3c0..0000000 --- a/seq/clusters_info/cluster_311 +++ /dev/null @@ -1,17 +0,0 @@ -YP_009342067.1 RNA-dependent RNA polymerase [Wenzhou weivirus-like virus 1] -YP_009337250.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 9] -YP_009337204.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 11] -YP_009337183.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 12] -YP_009337162.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 4] -YP_009337141.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 15] -YP_009337128.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 1] -YP_009337101.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 13] -YP_009337047.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 7] -YP_009337004.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 5] -YP_009336992.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 16] -YP_009336973.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 3] -YP_009336960.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 2] -YP_009336935.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 8] -APG78108.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 21] -APG78099.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 10] -AOG17585.1 putative RNA-dependent RNA polymerase [Symbiodinium +ssRNA virus TR74740 c13_g1_i1] diff --git a/seq/clusters_info/cluster_312 b/seq/clusters_info/cluster_312 deleted file mode 100644 index 6578e72..0000000 --- a/seq/clusters_info/cluster_312 +++ /dev/null @@ -1,17 +0,0 @@ -YP_002302225.1 NSP6 [Rotavirus A] -sp|P0C713.1|NSP6_ROTH3 RecName: Full=Non-structural protein 6; Short=NSP6 -sp|B3SRR8.1|NSP6_ROTH7 RecName: Full=Non-structural protein 6; Short=NSP6 -sp|P0C6Z2.1|NSP6_ROTBU RecName: Full=Non-structural protein 6; Short=NSP6 -sp|B3SRT4.1|NSP6_ROTHD RecName: Full=Non-structural protein 6; Short=NSP6 -sp|Q9E8F1.1|NSP6_ROTRF RecName: Full=Non-structural protein 6; Short=NSP6 -sp|Q993T1.1|NSP6_ROTHW RecName: Full=Non-structural protein 6; Short=NSP6 -sp|Q85424.2|NSP6_ROTRA RecName: Full=Non-structural protein 6; Short=NSP6 -sp|P0C712.1|NSP6_ROTW3 RecName: Full=Non-structural protein 6; Short=NSP6 -sp|B3SRX4.1|NSP6_ROTHT RecName: Full=Non-structural protein 6; Short=NSP6 -sp|B3SRV0.1|NSP6_ROTHL RecName: Full=Non-structural protein 6; Short=NSP6 -sp|P0C711.1|NSP6_ROTHK RecName: Full=Non-structural protein 6; Short=NSP6 -sp|B3SRR0.1|NSP6_ROTH6 RecName: Full=Non-structural protein 6; Short=NSP6 -sp|P0C710.1|NSP6_ROT41 RecName: Full=Non-structural protein 6; Short=NSP6 -sp|Q993T3.1|NSP6_ROTRH RecName: Full=Non-structural protein 6; Short=NSP6 -sp|Q86217.1|NSP6_ROTHB RecName: Full=Non-structural protein 6; Short=NSP6 -sp|Q03056.1|NSP6_ROTPY RecName: Full=Non-structural protein 6; Short=NSP6 diff --git a/seq/clusters_info/cluster_313 b/seq/clusters_info/cluster_313 deleted file mode 100644 index 32f73e4..0000000 --- a/seq/clusters_info/cluster_313 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009506269.1 DNA-binding protein [Sugarcane bacilliform Guadeloupe A virus] -YP_009506263.1 hypothetical protein CaYMV_gp2 [Canna yellow mottle virus] -YP_009259697.1 ORF2 [Canna yellow mottle associated virus] -YP_004442838.1 virion associated protein [Banana streak IM virus] -YP_004442835.1 virion associated protein [Banana streak CA virus] -YP_004442823.1 virion associated protein [Banana streak UA virus] -YP_003987464.1 hypothetical protein PBCoV_gp2 [Pineapple bacilliform CO virus] -YP_003284236.1 ORF2 protein [Sugarcane bacilliform Guadeloupe D virus] -YP_002117530.1 ORF2 [Cycad leaf necrosis virus] -YP_605810.1 ORFII [Banana streak virus Acuminata Yunnan] -YP_233106.1 ORFII [Banana streak GF virus] -YP_233109.1 hypothetical protein BSVSAV_gp2 [Banana streak VN virus] -YP_224288.1 ORF II protein [Banana streak MY virus] -NP_777316.1 hypothetical protein [Kalanchoe top-spotting virus] -NP_569149.1 small protein of unknown function [Banana streak OL virus] -NP_039819.1 hypothetical protein ComYMVgp2 [Commelina yellow mottle virus] diff --git a/seq/clusters_info/cluster_314 b/seq/clusters_info/cluster_314 deleted file mode 100644 index f4de826..0000000 --- a/seq/clusters_info/cluster_314 +++ /dev/null @@ -1,16 +0,0 @@ -YP_010086802.1 Hsp70 [Pistachio ampelovirus A] -YP_010085055.1 ORF3 [Air potato virus 1] -YP_009666005.1 heat shock 70-like protein [Pineapple mealybug wilt-associated virus 3] -YP_009664796.1 heat shock protein 70 [Pineapple mealybug wilt-associated virus 2] -YP_009506338.1 HSP70h [Mint vein banding-associated virus] -YP_009241368.1 heat shock protein 70 homolog [Grapevine leafroll-associated virus 13] -YP_008411013.1 heat shock protein 70-like protein [Blackberry vein banding-associated virus] -YP_004940644.1 HSP70 gene product [Grapevine leafroll-associated virus 1] -YP_004935377.1 unnamed protein product [Grapevine leafroll-associated virus 6] -YP_004935371.1 unnamed protein product [Grapevine leafroll-associated virus 4] -YP_004901689.1 HSP70 gene product [Grapevine leafroll-associated virus 5] -YP_002364305.1 heat shock protein 70 [Grapevine leafroll-associated virus 10] -YP_001642339.1 heat shock protein 70 [Pineapple mealybug wilt-associated virus 1] -YP_001552326.1 heat shock protein 70-like protein [Plum bark necrosis stem pitting-associated virus] -NP_891567.1 p60 [Little cherry virus 2] -NP_813799.1 59 kDa protein [Grapevine leafroll-associated virus 3] diff --git a/seq/clusters_info/cluster_315 b/seq/clusters_info/cluster_315 deleted file mode 100644 index f9b7159..0000000 --- a/seq/clusters_info/cluster_315 +++ /dev/null @@ -1,16 +0,0 @@ -YP_010085093.1 NSs protein [Tahyna virus] -YP_010085083.1 non-structural protein [Snowshoe hare virus] -YP_010085078.1 nonstructural protein NSs [Trivittatus virus] -YP_010084298.1 nonstructural protein NSs [California encephalitis virus] -YP_009666979.1 nonstructural protein NSs [Serra do Navio virus] -YP_009666974.1 nonstructural protein NSs [San Angelo virus] -YP_009666972.1 nonstructural protein NSs [Melao virus] -YP_009666967.1 nonstructural protein NSs [Lumbo virus] -YP_009666963.1 nonstructural protein NSs [Keystone virus] -YP_009666883.1 NSs protein [Jamestown Canyon virus] -YP_009362077.1 nonstructural protein NSs [Kaeng Khoi virus] -YP_009362053.1 nonstructural protein NSs [Nyando virus] -YP_009362051.1 nonstructural protein NSs [Bwamba orthobunyavirus] -NP_671971.1 non-structural protein [La Crosse virus] -sp|P04874.1|NSS_BUNLC RecName: Full=Non-structural protein NS-S -sp|P09614.1|NSS_BUNL7 RecName: Full=Non-structural protein NS-S diff --git a/seq/clusters_info/cluster_316 b/seq/clusters_info/cluster_316 deleted file mode 100644 index e5249a3..0000000 --- a/seq/clusters_info/cluster_316 +++ /dev/null @@ -1,16 +0,0 @@ -YP_010085025.1 nucleoprotein, partial [Wuhan sharpbelly bornavirus] -YP_009512934.1 nucleoprotein [Parrot bornavirus 5] -YP_009512928.1 N protein [Parrot bornavirus 1] -YP_009505423.1 nucleoprotein [Estrildid finch bornavirus 1] -YP_009269413.1 nucleoprotein [Variegated squirrel bornavirus 1] -YP_009268911.1 nucleoprotein [Aquatic bird bornavirus 2] -YP_009268905.1 nucleoprotein [Canary bornavirus 1] -YP_009268899.1 nucleoprotein [Parrot bornavirus 7] -YP_009268893.1 nucleoprotein [Parrot bornavirus 4] -YP_009174176.1 nucleoprotein [Parrot bornavirus 2] -YP_009055058.1 nucleoprotein [Loveridges garter snake virus 1] -YP_009041456.1 nucleoprotein [Canary bornavirus 3] -NP_042020.1 nucleoprotein [Borna disease virus 1] -YP_009268917.1 nucleoprotein [Borna disease virus 2] -YP_009237642.1 nucleoprotein [Aquatic bird bornavirus 1] -YP_009165492.1 nucleoprotein [Canary bornavirus 2] diff --git a/seq/clusters_info/cluster_317 b/seq/clusters_info/cluster_317 deleted file mode 100644 index 9b35251..0000000 --- a/seq/clusters_info/cluster_317 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009518860.1 polymerase protein [Human orthopneumovirus] -YP_009505458.1 Polymerase protein [Bovine respiratory syncytial virus ATCC51908] -YP_009094045.1 polymerase protein [Pneumovirus dog/Bari/100-12/ITA/2012] -YP_173335.1 polymerase protein [Pneumonia virus of mice J3666] -NP_056866.1 polymerase protein [Human orthopneumovirus] -YP_009513273.1 RNA-dependent RNA polymerase [Human metapneumovirus] -YP_009513228.1 L [Avian metapneumovirus] -NP_044598.1 Polymerase (L) [Respiratory syncytial virus] -sp|Q2Y2L8.2|L_AMPV1 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|Q6WB93.1|L_HMPVC RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -CDN30042.1 RNA polymerase [Avian metapneumovirus type D] -AHX57397.1 RNA-directed RNA polymerase L [Human orthopneumovirus] -AII17600.1 RNA-dependent RNA polymerase [Human metapneumovirus] -ANN02898.1 RNA-dependent RNA polymerase major subunit [Bovine orthopneumovirus] -AMA66363.1 RNA-directed RNA polymerase L [Human orthopneumovirus] -APW78793.1 L [Human respiratory syncytial virus B] diff --git a/seq/clusters_info/cluster_318 b/seq/clusters_info/cluster_318 deleted file mode 100644 index 83322fc..0000000 --- a/seq/clusters_info/cluster_318 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009113030.1 internal protein [Betacoronavirus HKU24] -NP_068674.1 internal protein [Murine hepatitis virus] -YP_003029853.1 internal protein [Rat coronavirus Parker] -YP_009924318.1 internal protein [Human coronavirus OC43] -NP_150084.1 internal protein [Bovine coronavirus] -sp|P0C5A5.1|IORF_CVMJH RecName: Full=Protein I; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=N2 protein; AltName: Full=Protein in nucleocapsid ORF -sp|Q4VID0.1|IORF_CVHOC RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|Q9QAR7.1|IORF_CVBLY RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|Q9QAR0.1|IORF_CVBLS RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|Q8BB22.1|IORF_CVP67 RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|Q77NC1.1|IORF_CVBQ RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|P22654.1|IORF_CVBF RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|Q8JSP3.1|IORF_CVPIA RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|Q9IKC5.1|IORF_CVRSD RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|P18452.1|IORF_CVM1 RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -sp|P18455.1|IORF_CVMS RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF diff --git a/seq/clusters_info/cluster_319 b/seq/clusters_info/cluster_319 deleted file mode 100644 index 4b01cee..0000000 --- a/seq/clusters_info/cluster_319 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009362297.1 coat protein [Picorna-like virus AWando15] -YP_009362296.1 RNA-dependent RNA polymerase [Picorna-like virus AWando15] -YP_009345905.1 hypothetical protein [Beihai picorna-like virus 59] -YP_009337258.1 hypothetical protein [Wenzhou picorna-like virus 24] -YP_009336831.1 hypothetical protein [Wenzhou picorna-like virus 22] -YP_009336770.1 hypothetical protein [Wenzhou picorna-like virus 23] -YP_009333516.1 hypothetical protein 2 [Beihai picorna-like virus 63] -YP_009333515.1 hypothetical protein 1 [Beihai picorna-like virus 63] -YP_009333444.1 hypothetical protein [Beihai picorna-like virus 61] -YP_009333424.1 hypothetical protein [Beihai picorna-like virus 60] -YP_009333389.1 hypothetical protein 2 [Beihai picorna-like virus 64] -YP_009333388.1 hypothetical protein 1 [Beihai picorna-like virus 64] -YP_009330001.1 hypothetical protein [Beihai picorna-like virus 62] -YP_009329821.1 polyprotein [Bivalve RNA virus G3] -YP_398835.1 capsid protein precursor [Aurantiochytrium single-stranded RNA virus 01] -YP_392465.1 polyprotein [Aurantiochytrium single-stranded RNA virus 01] diff --git a/seq/clusters_info/cluster_32 b/seq/clusters_info/cluster_32 deleted file mode 100644 index 7235352..0000000 --- a/seq/clusters_info/cluster_32 +++ /dev/null @@ -1,67 +0,0 @@ -YP_010087321.1 structural polyprotein [Botrylloides leachii nidovirus] -YP_010087635.1 structural protein [Caaingua virus] -YP_009665989.1 structural polyprotein [Una virus] -YP_009665987.1 structural polyprotein [Trocara virus] -YP_009665183.1 E1 protein, partial [Trocara virus] -NP_690589.2 structural polyprotein [Chikungunya virus] -YP_009333616.1 structural polyprotein [Tai Forest alphavirus] -YP_009508089.1 structural polyprotein [Mosso das Pedras virus] -YP_009507805.1 structural polyprotein [Tonate virus] -YP_009507803.1 structural polyprotein [Rio Negro virus] -YP_009507801.1 structural polyprotein [Pixuna virus] -YP_009507799.1 structural polyprotein [Mucambo virus] -YP_009507797.1 structural polyprotein [Everglades virus] -YP_009507795.1 structural polyprotein [Cabassou virus] -YP_009058893.1 structural polyprotein [Middelburg virus] -YP_009020571.1 structural polyprotein [Madariaga virus] -YP_006732328.1 structural protein [Eilat virus] -YP_006491259.1 truncated polyprotein [Whataroa virus] -YP_006491257.1 truncated polyprotein [Southern elephant seal virus] -YP_006491255.1 truncated polyprotein [Ndumu virus] -YP_006491247.1 truncated polyprotein [Fort Morgan virus] -YP_006491251.1 truncated polyprotein [Getah virus] -YP_006491249.1 truncated polyprotein [Mayaro virus] -YP_006491253.1 truncated polyprotein [Ross River virus] -YP_006491241.1 truncated polyprotein [Barmah Forest virus] -YP_006491245.1 truncated polyprotein [Onyong-nyong virus] -YP_006491229.1 truncated polyprotein [Highlands J virus] -YP_006491239.1 truncated polyprotein [Salmon pancreas disease virus] -YP_006491227.1 truncated polyprotein [Western equine encephalitis virus] -YP_006491233.1 truncated polyprotein [Eastern equine encephalitis virus] -YP_006491237.1 truncated polyprotein [Sleeping disease virus] -YP_006491235.1 truncated polyprotein [Venezuelan equine encephalitis virus] -YP_006491225.1 truncated polyprotein [Sindbis virus] -YP_006390078.1 truncated structural polyprotein [Semliki Forest virus] -YP_005351235.1 unnamed protein product [Southern elephant seal virus] -YP_005351239.1 unnamed protein product [Bebaru virus] -YP_005351237.1 unnamed protein product [Whataroa virus] -YP_005351233.1 unnamed protein product [Ndumu virus] -YP_003324588.1 structural polyprotein [Fort Morgan virus] -YP_002802300.1 structural polyprotein [Highlands J virus] -YP_164439.1 C-P62-6K-E1 polyprotein [Getah virus] -NP_647497.1 structural polyprotein [Salmon pancreas disease virus] -NP_640331.1 structural polyprotein [Western equine encephalitis virus] -NP_632024.1 Polyprotein 2 [Aura virus] -NP_598185.1 Structural polyprotein [Sleeping disease virus] -NP_579970.1 structural polyprotein [Mayaro virus] -NP_463458.1 Structural polyprotein [Semliki Forest virus] -NP_062890.1 hypothetical protein [Sindbis virus] -NP_062880.1 structural polyprotein [Ross River virus] -NP_054024.1 structural polyprotein [Barmah Forest virus] -NP_041255.1 hypothetical protein [Onyong-nyong virus] -NP_040824.1 structural polyprotein precursor [Venezuelan equine encephalitis virus] -sp|Q5XXP3.1|POLS_CHIK3 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|Q5WQY5.1|POLS_CHIKN RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P0DOK1.1|POLSF_CHIKS RecName: Full=Frameshifted structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Protein TF -sp|P09592.2|POLS_EEVVT RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|Q306W5.1|POLS_EEEV1 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|Q4QXJ7.1|POLS_EEEVF RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|Q9JGK8.1|POLS_SAGV RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|O90371.1|POLS_ONNVI RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P08491.3|POLS_RRVT RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P36331.1|POLS_EEVVM RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P36329.1|POLS_EEVV3 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P27285.1|POLS_SINDO RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P17517.1|POLS_RRV2 RecName: Full=Structural polyprotein; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein -sp|P27284.1|POLS_EEEV3 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P08768.1|POLS_EEEV RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein diff --git a/seq/clusters_info/cluster_320 b/seq/clusters_info/cluster_320 deleted file mode 100644 index 5bd8952..0000000 --- a/seq/clusters_info/cluster_320 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009553183.1 coat protein [Sogatella furcifera totivirus 2] -YP_009553182.1 RNA-dependent RNA polymerase fusion protein [Sogatella furcifera totivirus 2] -YP_009417301.1 RdRp [Australian Anopheles totivirus] -YP_009345028.1 hypothetical protein 3 [Xingshan nematode virus 6] -YP_009336908.1 hypothetical protein 2 [Hubei toti-like virus 24] -YP_009336859.1 hypothetical protein 2 [Hubei toti-like virus 15] -YP_009336780.1 hypothetical protein 2 [Hubei toti-like virus 13] -YP_009336779.1 hypothetical protein 1 [Hubei toti-like virus 13] -YP_009336693.1 hypothetical protein 2 [Hubei toti-like virus 12] -YP_009336523.1 hypothetical protein [Beihai uca arcuata virus 1] -YP_009230208.1 RdRp [Camponotus nipponicus virus] -YP_009143313.1 RNA-dependent RNA polymerase [Camponotus yamaokai virus] -AOR51364.1 RdRp [Anopheles totivirus] -APG76023.1 hypothetical protein 2 [Hubei toti-like virus 14] -APG76012.1 hypothetical protein, partial [Jingmen toti-like virus 1] -APG75984.1 hypothetical protein 2 [Beihai toti-like virus 2] diff --git a/seq/clusters_info/cluster_321 b/seq/clusters_info/cluster_321 deleted file mode 100644 index 258c694..0000000 --- a/seq/clusters_info/cluster_321 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009551899.1 replicase [Nephila clavipes virus 2] -YP_009345009.1 hypothetical protein 1 [Wuhan spider virus 6] -YP_009342254.1 hypothetical protein 1 [Wuhan arthropod virus 3] -YP_009337788.1 hypothetical protein [Hubei picorna-like virus 68] -YP_009337757.1 hypothetical protein 1 [Hubei picorna-like virus 72] -YP_009337019.1 hypothetical protein 1 [Hubei picorna-like virus 74] -YP_009336987.1 hypothetical protein 1 [Hubei picorna-like virus 75] -YP_009336655.1 hypothetical protein 1 [Hubei picorna-like virus 76] -YP_009336623.1 hypothetical protein 1 [Hubei picorna-like virus 73] -YP_009337325.1 hypothetical protein 1 [Hubei picorna-like virus 77] -YP_009333576.1 hypothetical protein 1 [Beihai picorna-like virus 121] -YP_009333485.1 hypothetical protein 1 [Beihai picorna-like virus 120] -YP_009333461.1 hypothetical protein 1 [Beihai sipunculid worm virus 5] -YP_009333392.1 hypothetical protein 1 [Beihai picorna-like virus 119] -YP_009330034.1 hypothetical protein 1 [Hubei myriapoda virus 2] -YP_009329972.1 hypothetical protein 1 [Hubei myriapoda virus 3] diff --git a/seq/clusters_info/cluster_322 b/seq/clusters_info/cluster_322 deleted file mode 100644 index 13e2733..0000000 --- a/seq/clusters_info/cluster_322 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009507721.1 VP7 [Orungo virus] -YP_009507712.1 VP7 [Lebombo virus] -YP_009507702.1 VP7 [Eubenangee virus] -YP_009507691.1 VP7 [Equine encephalosis virus] -YP_009507737.1 VP7 [Warrego virus] -YP_009345885.1 VP7 [Orbivirus SX-2017a] -YP_008719923.1 inner capsid protein [Changuinola virus] -YP_008658420.1 major core [Wallal virus] -YP_003240114.1 VP7 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_052949.1 VP7 [St Croix River virus] -YP_052933.1 inner capsid (core) protein VP7 [Palyam virus] -YP_052967.1 VP7 [Bluetongue virus] -YP_052956.1 VP7 protein [African horse sickness virus] -sp|P18259.1|VP7_BTV1S RecName: Full=Core protein VP7 -sp|P26560.1|VP7_BTV1A RecName: Full=Core protein VP7 -sp|P17375.1|VP7_BTV13 RecName: Full=Core protein VP7 diff --git a/seq/clusters_info/cluster_323 b/seq/clusters_info/cluster_323 deleted file mode 100644 index 421a226..0000000 --- a/seq/clusters_info/cluster_323 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009362294.1 RdRp, partial [Goose astrovirus] -YP_009362293.1 non-structural polyprotein [Goose astrovirus] -YP_009333212.1 RNA-dependent RNA polymerase [Beihai astro-like virus] -NP_987087.2 non-structural polyprotein 1AB [Turkey astrovirus 2] -YP_002728002.1 ORF1b, partial [Duck astrovirus C-NGB] -YP_002728001.1 ORF1a [Duck astrovirus C-NGB] -NP_620617.2 non-structural polyprotein [Chicken astrovirus] -sp|Q9JH69.3|NS1AB_TASV1 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -ADG45752.1 non-structural polyprotein [Avian nephritis virus 1] -CBY02491.1 RNA-dependent RNA polymerase, partial [Wood pigeon astrovirus] -AGO67214.1 RdRp, partial [Duck astrovirus] -AID55206.1 RdRp, partial [Duck astrovirus CPH] -AFI98758.1 non-structural polyprotein ORF1b, partial [Chicken astrovirus] -AEE88304.2 RNA-dependent RNA polymerase [Chicken astrovirus] -AHX26591.1 RdRp, partial [Duck astrovirus] -ADB79812.1 ORF1b, partial [Duck astrovirus 1] diff --git a/seq/clusters_info/cluster_324 b/seq/clusters_info/cluster_324 deleted file mode 100644 index e6d17eb..0000000 --- a/seq/clusters_info/cluster_324 +++ /dev/null @@ -1,16 +0,0 @@ -YP_009512936.1 phosphoprotein [Parrot bornavirus 5] -YP_009512930.1 P protein [Parrot bornavirus 1] -YP_009505425.1 phosphoprotein [Estrildid finch bornavirus 1] -YP_009269415.1 phosphoprotein [Variegated squirrel bornavirus 1] -YP_009268913.1 phosphoprotein [Aquatic bird bornavirus 2] -YP_009268907.1 phosphoprotein [Canary bornavirus 1] -YP_009268901.1 phosphoprotein [Parrot bornavirus 7] -YP_009268895.1 phosphoprotein [Parrot bornavirus 4] -YP_009174178.1 phosphoprotein [Parrot bornavirus 2] -YP_009055060.1 phosphoprotein [Loveridges garter snake virus 1] -YP_009041458.1 phosphoprotein [Canary bornavirus 3] -NP_042021.1 phosphoprotein [Borna disease virus 1] -YP_009268919.1 phosphoprotein [Borna disease virus 2] -YP_009237644.1 phosphoprotein [Aquatic bird bornavirus 1] -YP_009165494.1 phosphoprotein [Canary bornavirus 2] -sp|P0C798.1|PHOSP_BDV1 RecName: Full=Phosphoprotein; Short=P protein; AltName: Full=p23; AltName: Full=p24 diff --git a/seq/clusters_info/cluster_325 b/seq/clusters_info/cluster_325 deleted file mode 100644 index 49a7a43..0000000 --- a/seq/clusters_info/cluster_325 +++ /dev/null @@ -1,16 +0,0 @@ -NP_056843.1 rev protein [Human immunodeficiency virus 2] -sp|Q74232.2|REV_HV2EH RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|Q76631.1|REV_HV2UC RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|Q74125.1|REV_HV2KR RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P18039.1|REV_HV2G1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P24104.1|REV_HV2CA RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P15830.2|REV_HV2D2 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P69734.1|REV_SIVML RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P19502.1|REV_SIVSP RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P12486.1|REV_SIVS4 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P05875.1|REV_SIVM1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P20870.1|REV_HV2ST RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P04615.1|REV_HV2RO RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P05873.1|REV_HV2NZ RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P12448.1|REV_HV2SB RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|P17754.1|REV_HV2D1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins diff --git a/seq/clusters_info/cluster_326 b/seq/clusters_info/cluster_326 deleted file mode 100644 index 1bc4def..0000000 --- a/seq/clusters_info/cluster_326 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009755892.1 envelope protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -YP_006908644.1 envelope protein [Rousettus bat coronavirus HKU10] -YP_001718614.1 envelope protein [Miniopterus bat coronavirus HKU8] -YP_001552238.1 small membrane protein [Rhinolophus bat coronavirus HKU2] -YP_001351686.1 envelope protein [Scotophilus bat coronavirus 512] -YP_003769.1 envelope protein [Human coronavirus NL63] -YP_009824969.1 E protein [NL63-related bat coronavirus] -YP_009328937.1 E protein [NL63-related bat coronavirus] -YP_009201732.1 small envelope protein [BtNv-AlphaCoV/SC2013] -YP_009200737.1 small envelope protein [BtRf-AlphaCoV/YN2012] -YP_009199792.1 small envelope protein [BtRf-AlphaCoV/HuB2013] -YP_009199611.1 small envelope protein [BtMr-AlphaCoV/SAX2011] -YP_008439204.1 envelope small membrane protein [Bat coronavirus CDPHE15/USA/2006] -YP_001718607.1 envelope protein [Bat coronavirus 1A] -NP_598312.1 envelope protein [Porcine epidemic diarrhea virus] diff --git a/seq/clusters_info/cluster_327 b/seq/clusters_info/cluster_327 deleted file mode 100644 index c20971b..0000000 --- a/seq/clusters_info/cluster_327 +++ /dev/null @@ -1,15 +0,0 @@ -YP_003858591.1 nucleocapsid protein [Bat coronavirus BM48-31/BGR/2008] -YP_009824993.1 nucleocapsid protein [Bat coronavirus] -YP_001039975.1 nucleocapsid phosphoprotein [Rousettus bat coronavirus HKU9] -YP_009825061.1 nucleocapsid protein [SARS coronavirus Tor2] -YP_009361864.1 nucleocapsid phosphoprotein [Bat coronavirus] -YP_001039969.1 nucleocapsid phosphoprotein [Pipistrellus bat coronavirus HKU5] -YP_009273009.1 nucleocapsid protein [Rousettus bat coronavirus] -YP_009072446.1 nucleocapsid protein [Bat Hp-betacoronavirus/Zhejiang2013] -YP_009047211.1 nucleoprotein [Middle East respiratory syndrome-related coronavirus] -YP_009513018.1 nucleocapsid protein [Betacoronavirus Erinaceus/VMC/DEU/2012] -YP_009724397.2 nucleocapsid phosphoprotein [Severe acute respiratory syndrome coronavirus 2] -sp|Q0Q468.1|NCAP_BC279 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q0Q4E6.1|NCAP_BC133 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q3I5I7.1|NCAP_BCRP3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|Q3LZX4.1|NCAP_BCHK3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N diff --git a/seq/clusters_info/cluster_328 b/seq/clusters_info/cluster_328 deleted file mode 100644 index 3bdf646..0000000 --- a/seq/clusters_info/cluster_328 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009273017.1 putative RNA-dependent RNA polymerase [Sclerotium hydrophilum virus 1] -YP_009553330.1 RNA-dependent RNA polymerase [Trichoderma harzianum bipartite mycovirus 1] -YP_007985675.1 putative RNA-dependent RNA polymerase [Cryphonectria parasitica bipartite mycovirus 1] -YP_003288790.1 putative RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus 4] -YP_001976144.1 putative replicase [Curvularia thermal tolerance virus] -YP_001976143.1 putative replicase [Curvularia thermal tolerance virus] -AIU98624.1 RNA-dependent RNA polymerase [Gremmeniella abietina RNA virus 6] -YP_009182335.1 putative RdRp [Penicillium aurantiogriseum bipartite virus 1] -AFZ85210.1 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 1] -ALO61393.1 putative RNA-dependent RNA polymerase, partial [Curvularia virus 2] -ANR02696.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mycovirus 2] -YP_009134757.1 putative RNA-dependent RNA polymerase [Rhizoctonia fumigata mycovirus] -AMK47912.2 RNA dependent RNA polymerase [Lactarius rufus RNA virus 1] -AEZ35183.2 putative RNA-dependent RNA polymerase [Heterobasidion RNA virus 6] -ALO61398.1 putative RNA-dependent RNA polymerase, partial [Curvularia thermal tolerance virus] diff --git a/seq/clusters_info/cluster_329 b/seq/clusters_info/cluster_329 deleted file mode 100644 index d0265d1..0000000 --- a/seq/clusters_info/cluster_329 +++ /dev/null @@ -1,15 +0,0 @@ -YP_010086212.1 non-structural protein [Tomato yellow ring virus] -YP_009553290.1 NSs [Alstroemeria yellow spot virus] -YP_009665191.1 nonstructural protein [Groundnut yellow spot virus] -YP_009513001.1 nonstructural S protein [Polygonum ringspot orthotospovirus] -YP_009505542.1 nonstructural NSs protein [Watermelon bud necrosis virus] -YP_009449457.1 NSs [Calla lily chlorotic spot virus] -YP_009345141.1 nonstructural protein [Pepper chlorotic spot virus] -YP_009259255.1 NSs [Tospovirus kiwifruit/YXW/2014] -YP_009241382.1 non-structural protein [Iris yellow spot virus] -YP_009126739.1 NSs [Mulberry vein banding virus] -YP_001740043.1 NSs [Tomato zonate spot virus] -YP_717922.1 non-structural protein [Capsicum chlorosis virus] -YP_717920.1 nonstructural protein [Melon yellow spot virus] -NP_620770.1 NSs [Watermelon silver mottle orthotospovirus] -NP_619700.1 non-structural protein [Groundnut bud necrosis virus] diff --git a/seq/clusters_info/cluster_33 b/seq/clusters_info/cluster_33 deleted file mode 100644 index b03927b..0000000 --- a/seq/clusters_info/cluster_33 +++ /dev/null @@ -1,67 +0,0 @@ -YP_009173866.1 polymerase [Hepatitis B virus] -YP_009553236.1 polymerase [Domestic cat hepadnavirus] -YP_009666523.1 polymerase [Capuchin monkey hepatitis B virus] -YP_009259541.1 polymerase [Bluegill hepatitis B virus] -YP_009506646.1 polymerase [Pomona bat hepatitis B virus] -YP_009175034.1 polymerase [Woolly monkey hepatitis B virus] -YP_009045999.1 polymerase [Tent-making bat hepatitis B virus] -YP_009045995.1 polymerase [Horseshoe bat hepatitis B virus] -YP_009045991.1 polymerase [Roundleaf bat hepatitis B virus] -YP_007677999.1 polymerase [Long-fingered bat hepatitis B virus] -NP_671813.1 DNA polymerase [Woodchuck hepatitis virus] -NP_040994.1 hypothetical protein [Ground squirrel hepatitis virus] -sp|P0C688.1|DPOL_HBVC1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P17396.1|DPOL_WHV5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P06275.1|DPOL_WHV2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P12899.1|DPOL_WHV3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P03160.1|DPOL_WHV1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P03158.2|DPOL_HBVA2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P12933.2|DPOL_HBVC3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9QMI1.1|DPOL_HBVD4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9QBF1.1|DPOL_HBVB7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9QAB8.1|DPOL_HBVB3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q91C36.1|DPOL_HBVA6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|O91533.1|DPOL_HBVA7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P31870.1|DPOL_HBVC4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P03157.1|DPOL_HBVC5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P17393.1|DPOL_HBVB2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P17100.1|DPOL_HBVA4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9YPV8.1|DPOL_HBVGO RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P87744.1|DPOL_HBVGB RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9J5S2.1|DPOL_HBVOR RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q64898.1|DPOL_ASHV RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|O71304.1|DPOL_WMHBV RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P12900.1|DPOL_HBVCP RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q69028.2|DPOL_HBVCJ RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P0C690.1|DPOL_HBVC9 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P0C679.1|DPOL_HBVD5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P0C676.1|DPOL_HBVB8 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q02314.2|DPOL_HBVA5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q4R1S7.1|DPOL_HBVA8 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q4R1R9.1|DPOL_HBVA9 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9QAW8.1|DPOL_HBVE3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9PX62.1|DPOL_HBVB5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q69602.1|DPOL_HBVE1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q67925.1|DPOL_HBVB6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q80IU7.1|DPOL_HBVE2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q80IU4.1|DPOL_HBVE4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|O56655.1|DPOL_HBVD7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9YZR5.1|DPOL_HBVC2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9IBI4.1|DPOL_HBVG3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q9E6S5.1|DPOL_HBVC0 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q99HS4.1|DPOL_HBVF3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q99HR5.1|DPOL_HBVF4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q913A7.1|DPOL_HBVC7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q8JN08.1|DPOL_HBVH2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q8JMZ7.1|DPOL_HBVH3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q8JMY7.1|DPOL_HBVH1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q8JMY4.1|DPOL_HBVF2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q69605.1|DPOL_HBVF6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q67878.1|DPOL_HBVD6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q81165.1|DPOL_HBVC8 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|Q05486.1|DPOL_HBVF1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P03155.1|DPOL_HBVD1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P17395.1|DPOL_HBVB4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P17394.1|DPOL_HBVB1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P24024.1|DPOL_HBVD2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P03159.1|DPOL_HBVA3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H diff --git a/seq/clusters_info/cluster_330 b/seq/clusters_info/cluster_330 deleted file mode 100644 index 8bbbad5..0000000 --- a/seq/clusters_info/cluster_330 +++ /dev/null @@ -1,15 +0,0 @@ -YP_010086029.1 VP1 [Rotavirus J] -YP_009130674.1 VP1 [Rotavirus I] -YP_008136242.1 VP1 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126843.1 RNA dependent RNA polymerase [Human rotavirus B] -YP_392490.1 VP1 [Adult diarrheal rotavirus strain J19] -sp|A9Q1K7.1|RDRP_ROTB2 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -sp|P35942.1|RDRP_ROTGI RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -AGW95854.1 VP1 [Rotavirus G pigeon/HK18] -AQX34659.1 VP1 [Rotavirus I] -ANN82202.1 RNA-dependent RNA polymerase, partial [Rotavirus B] -AKE33179.1 RNA-dependent RNA polymerase VP1 [Rotavirus B] -ANN82201.1 RNA-dependent RNA polymerase, partial [Rotavirus B] -ANN82327.1 RNA-dependent RNA polymerase [Rotavirus H] -AKA63285.1 VP1 [Rotavirus I] -ADC53109.1 VP1 [Bovine group B rotavirus] diff --git a/seq/clusters_info/cluster_331 b/seq/clusters_info/cluster_331 deleted file mode 100644 index fafaeb4..0000000 --- a/seq/clusters_info/cluster_331 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009224943.1 triple gene block protein 3 [Elderberry carlavirus C] -YP_009116871.1 triple gene block protein 3 [Yam latent virus] -YP_004901684.1 triple gene block 3 protein [Mirabilis jalapa mottle virus] -YP_003075961.1 triple gene block 3 protein [Kalanchoe latent virus] -YP_002985639.1 triple gene block 3 [Hydrangea chlorotic mottle virus] -YP_001798595.1 triple gene block protein 3 [Hop mosaic virus] -YP_001497156.1 triple gene block protein 3 [Peach chlorotic mottle virus] -YP_717536.1 TgBP3 [Passiflora latent virus] -YP_699986.1 Triple gene block protein 3 [Narcissus common latent virus] -NP_932791.1 triple gene block protein 3 [Lily symptomless virus] -NP_612811.1 7 kDa protein [Blueberry scorch virus] -NP_116490.1 7K protein [Aconitum latent virus] -NP_066261.1 7 kDa protein [Hop latent virus] -NP_056770.1 7K protein [Potato virus M] -sp|P27333.1|TGB3_LSV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 diff --git a/seq/clusters_info/cluster_332 b/seq/clusters_info/cluster_332 deleted file mode 100644 index ce2d376..0000000 --- a/seq/clusters_info/cluster_332 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009351890.1 6K2 [Sunflower ring blotch virus] -YP_009252415.1 6K2 [Tobacco mosqueado virus] -YP_007354893.1 6K2 protein [Brugmansia mosaic virus] -YP_007001293.1 6K2 [Yam mild mosaic virus] -YP_006423952.1 6K2 protein [Bidens mottle virus] -YP_006401485.1 6K2 protein [Pepper severe mosaic virus] -YP_003902965.1 6K2 protein [Brugmansia suaveolens mottle virus] -YP_003582550.1 6K2 protein [Sunflower chlorotic mottle virus] -YP_001936183.1 6K2 [Verbena virus Y] -NP_954624.1 6K2 protein [Beet mosaic virus] -NP_787943.1 6K2 protein [Peru tomato mosaic virus] -NP_741974.1 6K2 protein [Wild potato mosaic virus] -NP_734374.1 6K2 protein [Potato virus V] -NP_734247.1 6K2 protein [Potato virus Y] -NP_734433.1 6K2 protein [Pepper mottle virus] diff --git a/seq/clusters_info/cluster_333 b/seq/clusters_info/cluster_333 deleted file mode 100644 index 94ecb96..0000000 --- a/seq/clusters_info/cluster_333 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009455738.1 PIPO, partial [Saffron latent virus] -YP_006424009.1 PIPO, partial [Wisteria vein mosaic virus] -YP_006424006.1 PIPO, partial [Yambean mosaic virus] -YP_006423983.1 PIPO, partial [Hardenbergia mosaic virus] -YP_006405424.1 PIPO, partial [Zantedeschia mild mosaic virus] -YP_006405423.1 PIPO, partial [Fritillary virus Y] -YP_006405414.1 PIPO, partial [Telosma mosaic virus] -YP_006395351.1 PIPO, partial [East Asian Passiflora virus] -YP_006395337.1 PIPO, partial [Watermelon mosaic virus] -YP_006395325.1 PIPO, partial [Bean common mosaic necrosis virus] -YP_006395321.1 PIPO, partial [Cowpea aphid-borne mosaic virus] -YP_006395314.1 PIPO, partial [Dasheen mosaic virus] -YP_006393472.1 PIPO, partial [Bean common mosaic virus] -YP_006393470.1 PIPO, partial [Zucchini yellow mosaic virus] -YP_003587919.1 PIPO, partial [Soybean mosaic virus] diff --git a/seq/clusters_info/cluster_334 b/seq/clusters_info/cluster_334 deleted file mode 100644 index 3ce9298..0000000 --- a/seq/clusters_info/cluster_334 +++ /dev/null @@ -1,15 +0,0 @@ -YP_010086213.1 nucleocapsid protein [Tomato yellow ring virus] -YP_009553291.1 nucleocapsid protein [Alstroemeria yellow spot virus] -YP_009513002.1 nucleocapsid [Polygonum ringspot orthotospovirus] -YP_009505543.1 nucleocapsid protein [Watermelon bud necrosis virus] -YP_009449458.1 N [Calla lily chlorotic spot virus] -YP_009345142.1 N protein [Pepper chlorotic spot virus] -YP_009259256.1 N [Tospovirus kiwifruit/YXW/2014] -YP_009241383.1 nucleoprotein [Iris yellow spot virus] -YP_009126740.1 nucleocapsid [Mulberry vein banding virus] -YP_006468900.1 nucleocapsid protein [Bean necrotic mosaic virus] -YP_001740044.1 N protein [Tomato zonate spot virus] -YP_717923.1 nucleocapsid protein [Capsicum chlorosis virus] -YP_717921.1 nucleoprotein [Melon yellow spot virus] -NP_620771.1 N [Watermelon silver mottle orthotospovirus] -NP_619701.1 nucleocapsid protein [Groundnut bud necrosis virus] diff --git a/seq/clusters_info/cluster_335 b/seq/clusters_info/cluster_335 deleted file mode 100644 index 2b6d908..0000000 --- a/seq/clusters_info/cluster_335 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009254003.1 ORF4 [Lonestar tick chuvirus 1] -YP_009254002.1 ORF3 [Lonestar tick chuvirus 1] -YP_009666264.1 putative nucleoprotein [Wuhan louse fly virus 6] -YP_009666258.1 putative nucleoprotein [Wuchang Cockroach Virus 3] -YP_009666254.1 putative nucleoprotein [Wuhan Louse Fly Virus 7] -YP_009337906.1 hypothetical protein [Hubei chuvirus-like virus 1] -YP_009337430.1 hypothetical protein [Sanxia atyid shrimp virus 4] -YP_009337091.1 hypothetical protein [Hubei chuvirus-like virus 3] -YP_009177724.1 putative nucleoprotein [Wuhan tick virus 2] -YP_009177718.1 putative nucleoprotein [Tacheng Tick Virus 5] -YP_009177715.1 putative nucleoprotein [Tacheng Tick Virus 4] -YP_009177708.1 putative nucleoprotein [Changping Tick Virus 3] -YP_009177706.1 putative nucleoprotein [Changping Tick Virus 2] -YP_009177703.1 putative nucleoprotein [Bole Tick Virus 3] -YP_009177220.1 ORF3 [Suffolk virus] diff --git a/seq/clusters_info/cluster_336 b/seq/clusters_info/cluster_336 deleted file mode 100644 index a8c06b7..0000000 --- a/seq/clusters_info/cluster_336 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009113023.1 non-structural protein NS2a [Betacoronavirus HKU24] -YP_009915698.1 nonstructural protein 2a [Murine hepatitis virus] -YP_005454243.1 NS2a4 protein [Rabbit coronavirus HKU14] -YP_005454242.1 NS2a3 protein [Rabbit coronavirus HKU14] -YP_005454241.1 NS2a2 protein [Rabbit coronavirus HKU14] -YP_005454240.1 NS2a1 protein [Rabbit coronavirus HKU14] -YP_003029846.1 32 kD non-structural protein [Rat coronavirus Parker] -YP_209231.1 p30 accessory protein [Murine hepatitis virus strain JHM] -YP_009555239.1 ns2 [Human coronavirus OC43] -NP_150075.1 32 kDa non-structural protein [Bovine coronavirus] -sp|Q9QAS3.1|NS2A_CVBLY RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -sp|Q8V438.1|NS2A_CVBLU RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -sp|P0C2R4.1|NS2A_CVBOK RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -sp|Q80B88.1|NS2A_CVBM RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -sp|P18517.1|NS2A_CVBQ RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 diff --git a/seq/clusters_info/cluster_337 b/seq/clusters_info/cluster_337 deleted file mode 100644 index 1b13c89..0000000 --- a/seq/clusters_info/cluster_337 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009551647.1 RNA-directed RNA polymerase [Chiqui virus] -YP_009507764.1 RdRP [Choristoneura occidentalis cypovirus 16] -YP_009330258.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 3] -YP_009163945.1 p3a [Grapevine Cabernet Sauvignon reovirus] -YP_009158917.1 RNA-dependent RNA polymerase [Lutzomyia reovirus 1] -YP_009111328.1 RNA-dependent RNA polymerase [Dendrolimus punctatus cypovirus 22] -YP_009104379.1 RNA-dependent RNA polymerase [Fako virus] -YP_009002592.1 VP1 [Inachis io cypovirus 2] -YP_005255241.1 S2 gene product [Spissistilus festinus reovirus] -YP_003934919.1 RNA-dependent RNA polymerase P3a [Raspberry latent virus] -YP_001883321.1 p3 [Cypovirus 5] -YP_443936.1 VP2 [Aedes pseudoscutellaris reovirus] -NP_620541.1 RNA-dependent RNA polymerase [Rice ragged stunt virus] -NP_149147.1 RNA-dependent RNA polymerase [Cypovirus 1] -NP_149135.1 RNA dependent RNA polymerase [Cypovirus 14] diff --git a/seq/clusters_info/cluster_338 b/seq/clusters_info/cluster_338 deleted file mode 100644 index d7715de..0000000 --- a/seq/clusters_info/cluster_338 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009507706.1 NS3 [Eubenangee virus] -YP_009507693.1 NS3 [Equine encephalosis virus] -YP_009507732.1 NS3 [Warrego virus] -YP_009345888.1 VP10 [Orbivirus SX-2017a] -YP_009163944.1 nonstructural protein [Fengkai orbivirus] -YP_008719925.1 non-structural protein NS3 [Changuinola virus] -YP_008658422.1 NS3 [Wallal virus] -YP_003240117.1 NS3 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_052940.1 non structural polyprotein NS3 [Palyam virus] -YP_052960.1 hypothetical protein BTVs10gp1 [Bluetongue virus] -sp|Q04686.1|VP8_BTV17 RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|P13841.1|VP8_BTV1A RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q04684.1|VP8_BTV11 RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q04687.1|VP8_BTV2A RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|P23705.1|VP8_BTV1S RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A diff --git a/seq/clusters_info/cluster_339 b/seq/clusters_info/cluster_339 deleted file mode 100644 index 16341b5..0000000 --- a/seq/clusters_info/cluster_339 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009551539.1 VP2 protein [Bat rotavirus] -YP_008145314.1 VP2 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896047.1 VP2 [Rotavirus D chicken/05V0049/DEU/2005] -YP_002302226.1 VP2 [Rotavirus A] -YP_392489.1 structural protein 2 [Rotavirus C] -sp|P26191.1|VP2_ROTPC RecName: Full=Inner capsid protein VP2 -sp|B1NKR0.1|VP2_ROTH7 RecName: Full=Inner capsid protein VP2 -sp|P17462.1|VP2_ROTBU RecName: Full=Inner capsid protein VP2 -sp|B2BMF8.1|VP2_ROTW3 RecName: Full=Inner capsid protein VP2 -sp|B3F2X6.1|VP2_ROTTU RecName: Full=Inner capsid protein VP2 -sp|A7J391.1|VP2_ROTHS RecName: Full=Inner capsid protein VP2 -sp|B1NKT0.1|VP2_ROTHP RecName: Full=Inner capsid protein VP2 -sp|A4ZCW5.1|VP2_ROTH3 RecName: Full=Inner capsid protein VP2 -sp|B1NKR4.1|VP2_ROTAD RecName: Full=Inner capsid protein VP2 -sp|Q9QNB2.1|VP2_ROTHK RecName: Full=Inner capsid protein VP2 diff --git a/seq/clusters_info/cluster_34 b/seq/clusters_info/cluster_34 deleted file mode 100644 index 85de989..0000000 --- a/seq/clusters_info/cluster_34 +++ /dev/null @@ -1,67 +0,0 @@ -YP_009551538.1 VP7 protein [Bat rotavirus] -YP_008145315.1 VP7 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896054.1 VP7 [Rotavirus D chicken/05V0049/DEU/2005] -YP_002302222.1 VP7 [Rotavirus A] -YP_392513.1 outer capsid protein [Rotavirus C] -sp|P12476.2|VP7_ROTRH RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|B3SRX9.1|VP7_ROTWI RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|B3SRQ7.1|VP7_ROTH6 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|A8D8S8.1|VP7_ROTBC RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|A2T3M3.1|VP7_ROTAP RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P10501.2|VP7_ROTHT RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q3ZK60.1|VP7_ROT41 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q9E779.1|VP7_ROT18 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q98031.1|VP7_ROTKU RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q6L5Y4.1|VP7_ROTAM RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q96643.1|VP7_ROTBV RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q8JNB3.1|VP7_ROTW3 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q86515.1|VP7_ROTRF RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q86207.1|VP7_ROTHJ RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q85031.1|VP7_ROT46 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q83446.1|VP7_ROTME RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q83441.1|VP7_ROTMB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q80IG9.1|VP7_ROTB9 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q07272.1|VP7_ROTH7 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|O42044.1|VP7_ROTH3 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|O39733.1|VP7_ROTYO RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|O39731.1|VP7_ROTHO RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|O10695.1|VP7_ROTD9 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q9IPD4.1|VP7_ROTEO RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q04840.1|VP7_ROTHX RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q07156.1|VP7_ROTHU RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q08779.1|VP7_ROTHQ RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q03874.1|VP7_ROTE2 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P36357.1|VP7_ROTA1 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P33492.1|VP7_ROTH9 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P32549.1|VP7_ROTPM RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P32548.1|VP7_ROTPB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P32547.1|VP7_ROTP6 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P32546.1|VP7_ROTP3 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P32545.1|VP7_ROTP2 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P31632.1|VP7_ROTBJ RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P29821.1|VP7_ROTCC RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P30210.1|VP7_ROTBT RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P30217.1|VP7_ROTPC RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P17466.1|VP7_ROTPY RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P27423.1|VP7_ROTPK RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P08406.1|VP7_ROTP5 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P09365.1|VP7_ROTPG RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P03532.1|VP7_ROTHW RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P11856.1|VP7_ROTHV RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P04510.2|VP7_ROTHS RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P11854.1|VP7_ROTHP RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P11852.1|VP7_ROTHM RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P21285.1|VP7_ROTHL RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P11851.1|VP7_ROTHH RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P17071.1|VP7_ROTHB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P25187.1|VP7_ROTH4 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P25176.1|VP7_ROTEL RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P03534.1|VP7_ROTBU RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P04511.1|VP7_ROTBN RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q00254.1|VP7_ROTBK RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P17700.1|VP7_ROTBB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q00253.1|VP7_ROTBA RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P17968.1|VP7_ROTB6 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q00252.1|VP7_ROTB5 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P25175.1|VP7_ROTB4 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|Q65527.1|VP7_ROTBS RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor diff --git a/seq/clusters_info/cluster_340 b/seq/clusters_info/cluster_340 deleted file mode 100644 index ff10b51..0000000 --- a/seq/clusters_info/cluster_340 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009551566.1 RNA-dependent RNA polymerases [Sclerotinia sclerotiorum mitovirus 2] -YP_009465716.1 RNA-dependent RNA polymerase [Erysiphe necator mitovirus 2] -YP_009336767.1 RNA-dependent RNA polymerase [Hubei narna-like virus 23] -YP_009336622.1 RNA-dependent RNA polymerase [Shahe narna-like virus 7] -YP_009259482.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 3] -YP_009259481.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 2] -AHX84129.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 2] -AHF48631.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 15] -AHX84135.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 7] -AAR01970.1 RNA-dependent RNA polymerase [Cryphonectria cubensis mitovirus 1a] -AGW51760.1 RNA-dependent RNA polymerase-like protein [Mitovirus AEF-2013] -AMS38556.1 RdRp [Hymenoscyphus fraxineus mitovirus 1] -AHF48625.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 9] -AHF48632.1 RNA-dependent RNA polymerase, partial [Sclerotinia sclerotiorum mitovirus 16] -ALD89136.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 19] diff --git a/seq/clusters_info/cluster_341 b/seq/clusters_info/cluster_341 deleted file mode 100644 index 57d6ace..0000000 --- a/seq/clusters_info/cluster_341 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009507836.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 4] -YP_009507837.1 capsid protein [Trichomonas vaginalis virus 4] -YP_009162330.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 1] -NP_659390.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 3] -NP_659389.1 capsid protein [Trichomonas vaginalis virus 3] -NP_624323.2 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] -NP_624322.1 capsid protein [Trichomonas vaginalis virus 2] -NP_620730.2 RNA-dependent RNA polymerase [Trichomonas vaginalis virus] -AET81014.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] -AED99808.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] -AED99798.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 4] -AED99802.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 3] -AED99816.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 1] -ABC86751.1 dsRNA-dependent RNA polymerase, partial [Trichomonas vaginalis virus 1] -AET81016.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] diff --git a/seq/clusters_info/cluster_342 b/seq/clusters_info/cluster_342 deleted file mode 100644 index e92377d..0000000 --- a/seq/clusters_info/cluster_342 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009361875.1 capsid protein precursor [Hom-1 vesivirus] -YP_009140469.1 capsid protein [Vesivirus ferret badger/JX12/China/2012] -YP_009109565.1 capsid protein precursor [San Miguel sea lion virus 8] -YP_007111845.1 ORF2 protein [Mink calicivirus] -YP_002004565.1 capsid [Steller sea lion vesivirus] -YP_873923.1 major structural protein precursor [Rabbit vesivirus] -NP_783197.1 capsid protein precursor [Feline calicivirus] -NP_777371.1 capsid [Walrus calicivirus] -NP_777374.1 capsid protein precursor [Canine vesivirus] -NP_066256.1 capsid protein precursor [Vesicular exanthema of swine virus] -sp|P36285.1|CAPSD_SMSV4 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Flags: Precursor -sp|P27406.1|CAPSD_FCVF9 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Protein 40k; Flags: Precursor -sp|P27405.1|CAPSD_FCVF4 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Protein 40k; Flags: Precursor -sp|P27404.1|CAPSD_FCVC6 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Protein 40k; Flags: Precursor -sp|P36284.1|CAPSD_SMSV1 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Flags: Precursor diff --git a/seq/clusters_info/cluster_343 b/seq/clusters_info/cluster_343 deleted file mode 100644 index 6d383b2..0000000 --- a/seq/clusters_info/cluster_343 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009094069.2 P [Avian paramyxovirus penguin/Falkland Islands/324/2007] -YP_009553491.1 W protein [Avian metaavulavirus 20] -YP_009553490.1 V protein [Avian metaavulavirus 20] -YP_009553489.1 phosphoprotein [Avian metaavulavirus 20] -YP_009513215.1 V protein [Avian metaavulavirus 2] -YP_009513214.1 phosphoprotein [Avian metaavulavirus 2] -YP_009512952.1 phosphoprotein [Avian metaavulavirus 8] -YP_009512945.1 phosphoprotein [Avian metaavulavirus 6] -YP_009508494.1 W protein [Avian paramyxovirus 14] -YP_009508493.1 V protein [Avian paramyxovirus 14] -YP_009508492.1 phosphoprotein [Avian paramyxovirus 14] -YP_009380505.1 phosphoprotein [Avian paramyxovirus 15] -YP_009094478.1 P protein [Avian metaavulavirus 11] -YP_009094479.1 V protein [Avian metaavulavirus 11] -YP_009094056.1 phosphoprotein [Avian metaavulavirus 7] diff --git a/seq/clusters_info/cluster_344 b/seq/clusters_info/cluster_344 deleted file mode 100644 index 38d217a..0000000 --- a/seq/clusters_info/cluster_344 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009259655.1 protein pr [Chaoyang virus] -YP_009163749.1 membrane protein [Spanish goat encephalitis virus] -YP_009163748.1 premembrane protein [Spanish goat encephalitis virus] -NP_932083.1 PreM protein, partial [Omsk hemorrhagic fever virus] -NP_776070.1 PreM protein [Rio Bravo virus] -NP_775678.1 PreM protein [Apoi virus] -NP_775644.1 PreM protein, partial [Montana myotis leukoencephalitis virus] -NP_775501.1 PreM protein [Tick-borne encephalitis virus] -NP_775514.1 PreM protein [Powassan virus] -NP_740274.1 premembrane protein [Louping ill virus] -NP_740259.1 matrix protein [Modoc virus] -NP_740258.1 PreM protein [Modoc virus] -NP_740294.1 membrane-associated glycoprotein precursor [Langat virus] -YP_009268586.1 protein pr [Lammi virus] -YP_009268570.1 protein pr [Ilomantsi virus] diff --git a/seq/clusters_info/cluster_345 b/seq/clusters_info/cluster_345 deleted file mode 100644 index 9f31b16..0000000 --- a/seq/clusters_info/cluster_345 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009227182.1 anchored capsid protein C [Spondweni virus] -YP_009227206.1 anchored capsid protein C [Zika virus] -YP_001527878.1 capsid protein [West Nile virus] -NP_776010.1 core protein C [West Nile virus] -YP_001531165.2 Anchored capsid protein [Dengue virus 3] -NP_739581.2 Anchored capsid protein [Dengue virus 2] -NP_740314.1 anchored capsid (anchC) protein [Dengue virus 4] -YP_009430295.1 anchored capsid protein C [Zika virus] -YP_009333103.1 core protein C [Cacipacore virus] -YP_009333118.1 anchored capsid protein anchC [Kokobera virus] -YP_009329944.1 anchored capsid protein anchC [Saint Louis encephalitis virus] -YP_164808.1 core protein C [Usutu virus] -NP_775662.1 core protein C [Japanese encephalitis virus] -NP_722514.1 core protein C [Murray Valley encephalitis virus] -NP_722457.2 anchored capsid protein [Dengue virus 1] diff --git a/seq/clusters_info/cluster_346 b/seq/clusters_info/cluster_346 deleted file mode 100644 index 6814fb0..0000000 --- a/seq/clusters_info/cluster_346 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009512937.1 matrix protein [Parrot bornavirus 5] -YP_009512931.1 matrix protein [Parrot bornavirus 1] -YP_009505426.1 matrix protein [Estrildid finch bornavirus 1] -YP_009269416.1 matrix protein [Variegated squirrel bornavirus 1] -YP_009268914.1 matrix protein [Aquatic bird bornavirus 2] -YP_009268908.1 matrix protein [Canary bornavirus 1] -YP_009268902.1 matrix protein [Parrot bornavirus 7] -YP_009268896.1 matrix protein [Parrot bornavirus 4] -YP_009174179.1 matrix protein [Parrot bornavirus 2] -YP_009055061.1 matrix protein [Loveridges garter snake virus 1] -YP_009041459.1 matrix protein [Canary bornavirus 3] -NP_042022.1 matrix protein [Borna disease virus 1] -YP_009268920.1 matrix protein [Borna disease virus 2] -YP_009237645.1 matrix protein [Aquatic bird bornavirus 1] -YP_009165495.1 matrix protein [Canary bornavirus 2] diff --git a/seq/clusters_info/cluster_347 b/seq/clusters_info/cluster_347 deleted file mode 100644 index b1a6c8d..0000000 --- a/seq/clusters_info/cluster_347 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009505434.1 nucleocapsid protein [Simian Agent 10] -YP_009179207.1 nucleoprotein [Caprine parainfluenza virus 3] -YP_009094442.1 nucleocapsid protein [Porcine respirovirus 1] -NP_604433.1 nucleoprotein [Human respirovirus 1] -NP_067148.1 nucleocapsid protein [Human respirovirus 3] -NP_037641.1 nucleoprotein N [Bovine respirovirus 3] -NP_056871.1 nucleocapsid protein [Murine respirovirus] -sp|P36354.1|NCAP_PI1HA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P06161.1|NCAP_PI3B RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P24304.1|NCAP_PI1HC RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|Q07097.1|NCAP_SENDF RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P14155.1|NCAP_SENDH RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P26590.1|NCAP_PI1HW RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P04857.1|NCAP_SENDE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -sp|P04858.1|NCAP_SENDZ RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N diff --git a/seq/clusters_info/cluster_348 b/seq/clusters_info/cluster_348 deleted file mode 100644 index a162a18..0000000 --- a/seq/clusters_info/cluster_348 +++ /dev/null @@ -1,15 +0,0 @@ -APG78304.1 RdRp [Hubei picobirna-like virus 4] -AMD33502.1 RNA-dependent RNA polymerase [Porcine picobirnavirus] -ALL29322.1 RNA-dependent RNA polymerase [Human picobirnavirus] -BAJ53294.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -AKN50624.1 RNA dependent RNA polymerase [Picobirnavirus Equ3] -AIG71990.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus VS6600008] -ALL29323.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus] -AIY31294.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -APG78302.1 RdRp [Hubei picobirna-like virus 3] -AHX00958.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus] -AIY31295.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AKN50618.1 RNA dependent RNA polymerase [Picobirnavirus Equ1] -AQS16638.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -AGZ93689.1 RNA-dependent RNA polymerase, partial [Feline picobirnavirus] -ALL29321.1 RNA-dependent RNA polymerase [Human picobirnavirus] diff --git a/seq/clusters_info/cluster_349 b/seq/clusters_info/cluster_349 deleted file mode 100644 index 8bc1eec..0000000 --- a/seq/clusters_info/cluster_349 +++ /dev/null @@ -1,15 +0,0 @@ -YP_628286.1 protein-tyrosine kinase [Y73 sarcoma virus] -NP_057866.1 p120 Gag-Abl polyprotein [Abelson murine leukemia virus] -NP_056888.1 p60 src [Rous sarcoma virus] -sp|P00524.5|SRC_RSVSA RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -sp|P63185.3|SRC_RSVSE RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -sp|P00527.2|YES_AVISY RecName: Full=Tyrosine-protein kinase transforming protein Yes -sp|P23049.2|SEA_AVIET RecName: Full=Tyrosine-protein kinase transforming protein SEA -sp|P31693.3|SRC_RSVPA RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -sp|P14085.3|SRC_AVIST RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -sp|P14084.3|SRC_AVISS RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -sp|P00541.1|FPS_AVISP RecName: Full=Tyrosine-protein kinase transforming protein Fps -sp|P00544.1|FGR_FSVGR RecName: Full=Tyrosine-protein kinase transforming protein Fgr -sp|P00543.1|FES_FSVST RecName: Full=Tyrosine-protein kinase transforming protein Fes -sp|P00542.1|FES_FSVGA RecName: Full=Tyrosine-protein kinase transforming protein Fes -sp|P10447.1|ABL_FSVHY RecName: Full=Tyrosine-protein kinase transforming protein Abl; AltName: Full=V-abl diff --git a/seq/clusters_info/cluster_35 b/seq/clusters_info/cluster_35 deleted file mode 100644 index f425edf..0000000 --- a/seq/clusters_info/cluster_35 +++ /dev/null @@ -1,66 +0,0 @@ -YP_009118475.1 hemagglutinin [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308839.1 hemagglutinin [Influenza A virus (A/New York/392/2004(H3N2))] -sp|P19702.2|HEMA_I80A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03459.1|HEMA_I34A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q91MA7.1|HEMA_I68A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P04663.1|HEMA_I70A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P17000.2|HEMA_I71A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03443.2|HEMA_I76A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12583.3|HEMA_I80A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03438.2|HEMA_I000X RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q1PUD9.1|HEMA_I73A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q08011.1|HEMA_I89A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P19696.2|HEMA_I56A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P11134.1|HEMA_I82A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03435.1|HEMA_I75A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03442.1|HEMA_I63A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q0A448.1|HEMA_I49A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12581.1|HEMA_I49A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26139.2|HEMA_I77A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03441.3|HEMA_I79A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P19698.2|HEMA_I79A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q2RCH5.1|HEMA_I80A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q2VNF2.1|HEMA_I78A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P19697.2|HEMA_I76AK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q82559.1|HEMA_I81A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q6LEJ4.1|HEMA_I80A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q03909.1|HEMA_I89A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P36346.2|HEMA_I000F RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P11133.2|HEMA_I78A9 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26141.1|HEMA_I84A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P19701.1|HEMA_I82A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P19700.1|HEMA_I85A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12439.1|HEMA_I84A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12589.1|HEMA_I86A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26138.1|HEMA_I78A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26136.1|HEMA_I82A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P17001.2|HEMA_I86A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26103.1|HEMA_I72A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P16999.2|HEMA_I85A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26101.1|HEMA_I56A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26100.1|HEMA_I77A9 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P16997.2|HEMA_I76AJ RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P15658.2|HEMA_I63A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26098.1|HEMA_I66A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P16995.2|HEMA_I76A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26097.1|HEMA_I64A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03440.1|HEMA_I77A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P04664.1|HEMA_I69A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -sp|P26135.1|HEMA_I74A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12586.2|HEMA_I82A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P26134.1|HEMA_I76A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P19695.1|HEMA_I75A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03449.2|HEMA_I71A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|O11283.2|HEMA_I89A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|Q38SQ8.1|HEMA_I83A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P43260.1|HEMA_I76AC RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P43259.1|HEMA_I77A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03458.2|HEMA_I71A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P09344.1|HEMA_I85A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P17002.2|HEMA_I63A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P16994.2|HEMA_I72A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P03444.1|HEMA_I53A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -sp|P12588.1|HEMA_I85A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12585.1|HEMA_I82A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P12582.1|HEMA_I77A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -sp|P19694.1|HEMA_I77AG RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor diff --git a/seq/clusters_info/cluster_350 b/seq/clusters_info/cluster_350 deleted file mode 100644 index 41299ea..0000000 --- a/seq/clusters_info/cluster_350 +++ /dev/null @@ -1,15 +0,0 @@ -YP_009177603.1 hemagglutinin protein [Phocine morbillivirus] -YP_133827.2 haemagglutinin [Peste des petits ruminants virus] -YP_087125.2 H protein [Rinderpest virus (strain Kabete O)] -NP_945029.1 haemagglutinin protein [Dolphin morbillivirus] -NP_047206.1 haemagglutinin protein H [Canine morbillivirus] -NP_056923.1 hemagglutinin protein [Measles morbillivirus] -sp|P08362.1|HEMA_MEASE RecName: Full=Hemagglutinin glycoprotein -sp|Q65999.1|HEMA_CDVC RecName: Full=Hemagglutinin glycoprotein -sp|Q66001.1|HEMA_CDVA4 RecName: Full=Hemagglutinin glycoprotein -sp|P41355.1|HEMA_RINDR RecName: Full=Hemagglutinin glycoprotein -sp|P09460.1|HEMA_RINDL RecName: Full=Hemagglutinin glycoprotein -sp|P26028.1|HEMA_MEASI RecName: Full=Hemagglutinin glycoprotein -sp|Q66000.1|HEMA_CDVA6 RecName: Full=Hemagglutinin glycoprotein -sp|P06830.1|HEMA_MEASH RecName: Full=Hemagglutinin glycoprotein -sp|P28081.2|HEMA_MEASY RecName: Full=Hemagglutinin glycoprotein diff --git a/seq/clusters_info/cluster_351 b/seq/clusters_info/cluster_351 deleted file mode 100644 index 9be7ede..0000000 --- a/seq/clusters_info/cluster_351 +++ /dev/null @@ -1,14 +0,0 @@ -YP_138517.1 hemagglutinin-neuraminidase protein [Parainfluenza virus 5] -YP_010087174.1 attachment protein [Alston virus] -NP_054713.1 hemagglutinin-neuraminidase [Mumps orthorubulavirus] -YP_009505446.1 hemagglutinin-neuraminidase protein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -YP_008378664.1 hemagglutinin-neuraminidase [Human parainfluenza virus 4a] -YP_001331034.1 attachment protein [Porcine orthorubulavirus] -YP_001249277.1 attachment protein [Mapuera orthorubulavirus] -YP_138509.1 heamagglutinin-neuraminidase [Simian orthorubulavirus] -NP_598405.1 hemagglutinin-neuraminidase [Human orthorubulavirus 2] -sp|P25465.1|HN_PI2H RecName: Full=Hemagglutinin-neuraminidase -sp|P19762.1|HN_MUMP1 RecName: Full=Hemagglutinin-neuraminidase -sp|P10866.1|HN_MUMPR RecName: Full=Hemagglutinin-neuraminidase -sp|P28885.1|HN_PIV5L RecName: Full=Hemagglutinin-neuraminidase -sp|P28884.1|HN_PIV5D RecName: Full=Hemagglutinin-neuraminidase diff --git a/seq/clusters_info/cluster_352 b/seq/clusters_info/cluster_352 deleted file mode 100644 index 82e7d79..0000000 --- a/seq/clusters_info/cluster_352 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009336788.1 hypothetical protein [Hubei toti-like virus 9] -YP_009336493.1 hypothetical protein 2 [Hubei toti-like virus 10] -YP_009025166.1 RNA-dependent RNA polymerase, partial [Persimmon latent virus] -YP_003800001.1 RNA-directed RNA polymerase, partial [Spissistilus festinus virus 1] -YP_003800003.1 RNA-directed RNA polymerase, partial [Circulifer tenellus virus 1] -AJT39585.1 PArp-RdRp, partial [dsRNA virus environmental sample] -APG76017.1 hypothetical protein, partial [Hubei toti-like virus 8] -APG76025.1 hypothetical protein, partial [Hubei toti-like virus 7] -CAA63099.2 RNA-dependent RNA polymerase, partial [Cucurbit yellows-associated virus] -APG76060.1 hypothetical protein 2, partial [Wenling toti-like virus 1] -AJT39581.1 PArp-RdRp, partial [dsRNA virus environmental sample] -AJT39583.1 PArp-RdRp, partial [dsRNA virus environmental sample] -APG76021.1 hypothetical protein, partial [Hubei toti-like virus 11] -AJT39589.1 PArp-RdRp, partial [dsRNA virus environmental sample] diff --git a/seq/clusters_info/cluster_353 b/seq/clusters_info/cluster_353 deleted file mode 100644 index eb76c2a..0000000 --- a/seq/clusters_info/cluster_353 +++ /dev/null @@ -1,14 +0,0 @@ -YP_010086066.1 nucleocapsid protein [Alstroemeria necrotic streak virus] -YP_010085060.1 nucleocapsid protein [Soybean vein necrosis virus] -YP_009666323.1 N protein [Groundnut ringspot virus] -YP_009665192.1 nucleocapsid protein [Groundnut yellow spot virus] -YP_009408639.1 nucleocapsid [Tomato chlorotic spot virus] -YP_009346014.1 N [Melon severe mosaic tospovirus] -YP_009315933.1 nucleocapsid protein [Zucchini lethal chlorosis virus] -YP_009162644.1 nucleocapsid protein [Chrysanthemum stem necrosis virus] -NP_619709.1 nucleocapsid protein [Impatiens necrotic spot virus] -NP_049361.1 nucleocapsid protein [Tomato spotted wilt orthotospovirus] -sp|P28975.1|NCAP_INSV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P36293.1|NCAP_TSWV3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26001.1|NCAP_TSWVL RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P36294.1|NCAP_TSWVS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_354 b/seq/clusters_info/cluster_354 deleted file mode 100644 index f360d7b..0000000 --- a/seq/clusters_info/cluster_354 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009507971.1 minor coat protein [Tetterwort vein chlorosis virus] -YP_009507958.1 p77 [Diodia vein chlorosis virus] -YP_006522432.1 minor coat protein [Cucurbit chlorotic yellows virus] -YP_003204961.1 minor coat protein [Tomato infectious chlorosis virus] -YP_003002363.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816780.1 CPm [Bean yellow disorder virus] -YP_293704.1 minor coat protein [Tomato chlorosis virus] -YP_227365.1 p77 [Blackberry yellow vein-associated virus] -YP_054413.1 coat protein minor [Potato yellow vein virus] -YP_025090.1 minor coat protein [Strawberry pallidosis-associated virus] -NP_940793.1 CPm [Beet pseudoyellows virus] -NP_851577.1 CPm [Cucurbit yellow stunting disorder virus] -NP_689405.1 minor coat protein [Sweet potato chlorotic stunt virus] -NP_619698.1 duplicated coat protein [Lettuce infectious yellows virus] diff --git a/seq/clusters_info/cluster_355 b/seq/clusters_info/cluster_355 deleted file mode 100644 index 3fe1dca..0000000 --- a/seq/clusters_info/cluster_355 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009507968.1 59.7-kDa protein [Tetterwort vein chlorosis virus] -YP_009507955.1 p60 [Diodia vein chlorosis virus] -YP_006522429.1 hypothetical 59-kDa protein [Cucurbit chlorotic yellows virus] -YP_003204958.1 p60 [Tomato infectious chlorosis virus] -YP_003002360.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816777.1 p60 [Bean yellow disorder virus] -YP_293701.1 P59 [Tomato chlorosis virus] -YP_227362.1 p59 [Blackberry yellow vein-associated virus] -YP_054419.1 hypothetical protein PYVVs2_gp3 [Potato yellow vein virus] -YP_025087.1 p60 [Strawberry pallidosis-associated virus] -NP_940790.1 p59 [Beet pseudoyellows virus] -NP_851574.1 p59 [Cucurbit yellow stunting disorder virus] -NP_689402.1 putative movement protein [Sweet potato chlorotic stunt virus] -NP_619696.1 hypothetical protein LIYVs2gp2 [Lettuce infectious yellows virus] diff --git a/seq/clusters_info/cluster_356 b/seq/clusters_info/cluster_356 deleted file mode 100644 index 9fea097..0000000 --- a/seq/clusters_info/cluster_356 +++ /dev/null @@ -1,14 +0,0 @@ -YP_010087187.1 minor nucleoprotein VP30 [Mengla dianlovirus] -YP_010085042.1 VP30 [Wenling frogfish filovirus] -YP_003815438.1 minor nucleoprotein [Bundibugyo ebolavirus] -YP_009513280.1 minor nucleoprotein [Bombali ebolavirus] -YP_009055226.1 minor nucleoprotein [Marburg marburgvirus] -YP_004928140.1 minor nucleoprotein [Lloviu cuevavirus] -YP_003815429.1 minor nucleoprotein [Tai Forest ebolavirus] -YP_001531157.1 minor nucleoprotein [Marburg marburgvirus] -YP_138525.1 minor nucleoprotein [Sudan ebolavirus] -NP_690585.1 minor nucleoprotein [Reston ebolavirus] -NP_066249.1 minor nucleoprotein [Zaire ebolavirus] -sp|P41326.1|VP30_MABVP RecName: Full=Transcriptional activator VP30; AltName: Full=Minor nucleoprotein VP30 -sp|Q1PD56.1|VP30_MABVA RecName: Full=Transcriptional activator VP30; AltName: Full=Minor nucleoprotein VP30 -sp|Q6UY65.1|VP30_MABVO RecName: Full=Transcriptional activator VP30; AltName: Full=Minor nucleoprotein VP30 diff --git a/seq/clusters_info/cluster_357 b/seq/clusters_info/cluster_357 deleted file mode 100644 index f754ef3..0000000 --- a/seq/clusters_info/cluster_357 +++ /dev/null @@ -1,14 +0,0 @@ -YP_010085102.1 NSs [Maguari virus] -YP_010085085.1 NSs protein [Anadyr virus] -YP_009667000.1 Non-structural S [Bozo virus] -YP_009666995.1 Non-structural S [Birao virus] -YP_009666987.1 NSs [Potosi virus] -YP_009666948.1 nonstructural protein NSs [Fort Sherman virus] -YP_009666914.1 nonstructural protein [Ilesha virus] -YP_009666908.1 non-structural protein NSs [Batai virus] -YP_009666867.1 non-structural protein [Tensaw virus] -YP_009362059.1 nonstructural protein NSs [Guaroa virus] -YP_009508269.1 NSs protein [Main Drain virus] -YP_009507884.1 Non-structural protein NSs [Kairi virus] -NP_047214.1 NSs protein [Bunyamwera virus] -sp|P16992.1|NSS_BUNGE RecName: Full=Non-structural protein NS-S diff --git a/seq/clusters_info/cluster_358 b/seq/clusters_info/cluster_358 deleted file mode 100644 index 7f4804e..0000000 --- a/seq/clusters_info/cluster_358 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009518857.1 fusion glycoprotein [Human orthopneumovirus] -YP_009505455.1 fusion glycoprotein [Bovine respiratory syncytial virus ATCC51908] -YP_009094042.1 fusion protein [Pneumovirus dog/Bari/100-12/ITA/2012] -YP_173332.1 fusion glycoprotein [Pneumonia virus of mice J3666] -NP_056863.1 fusion glycoprotein [Human orthopneumovirus] -YP_009513268.1 fusion protein [Human metapneumovirus] -YP_009513223.1 F [Avian metapneumovirus] -NP_044596.1 Fusion protein (F) [Respiratory syncytial virus] -sp|Q6WB98.1|FUS_HMPVC RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P22167.1|FUS_BRSVC RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Flags: Precursor -sp|Q2Y2M3.1|FUS_AMPV1 RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P29791.1|FUS_BRSVA RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Flags: Precursor -sp|P12568.1|FUS_HRSVL RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P23728.1|FUS_BRSVR RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Flags: Precursor diff --git a/seq/clusters_info/cluster_359 b/seq/clusters_info/cluster_359 deleted file mode 100644 index c22e721..0000000 --- a/seq/clusters_info/cluster_359 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009725317.1 VP2 [Norovirus GIV] -YP_009700101.1 VP2 [Norovirus GIV] -YP_009701447.1 VP2 [Norovirus GII] -YP_009555234.1 VP2 [Norovirus GII] -YP_009518843.1 VP2 [Norovirus GII] -YP_009679042.1 VP2 minor structural protein [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -YP_009518837.1 VP2 [Norovirus GII.17] -YP_009237905.1 VP2 [Norovirus GIV] -YP_009679039.1 VP2 [Norovirus GII/Hu/JP/2011/GII/Yuzawa/Gira2HS] -YP_009679036.1 VP2 [Norovirus GII/Hu/JP/2007/GII.P15_GII.15/Sapporo/HK299] -YP_009237899.1 VP2 [Norwalk-like virus] -YP_009518840.1 VP2 [Norovirus GII.2] -YP_720003.1 VP2 [Norovirus GV] -sp|P54636.1|VP2_LORDV RecName: Full=Protein VP2; AltName: Full=Minor capsid protein diff --git a/seq/clusters_info/cluster_36 b/seq/clusters_info/cluster_36 deleted file mode 100644 index 2dabc09..0000000 --- a/seq/clusters_info/cluster_36 +++ /dev/null @@ -1,65 +0,0 @@ -YP_009667032.1 structural polyprotein [Marine RNA virus BC-4] -YP_009666857.1 structural polyprotein, partial [Marine RNA virus BC-1] -YP_009505621.1 structural polyprotein [Chaetoceros tenuissimus RNA virus 01] -YP_009345908.1 structural polyprotein [Bat dicibavirus] -YP_009337801.1 hypothetical protein 2 [Sanxia picorna-like virus 5] -YP_009337767.1 hypothetical protein 2 [Sanxia picorna-like virus 3] -YP_009337721.1 hypothetical protein 2 [Hubei picorna-like virus 1] -YP_009337719.1 hypothetical protein 2 [Wenzhou picorna-like virus 14] -YP_009337699.1 hypothetical protein 2 [Sanxia picorna-like virus 1] -YP_009337680.1 hypothetical protein 2 [Sanxia picorna-like virus 2] -YP_009337421.1 hypothetical protein 2 [Wenzhou picorna-like virus 53] -YP_009337410.1 hypothetical protein 2 [Wenzhou gastropodes virus 1] -YP_009337401.1 hypothetical protein 2 [Wenzhou picorna-like virus 4] -YP_009337363.1 hypothetical protein 2 [Wenzhou picorna-like virus 5] -YP_009337310.1 hypothetical protein 2 [Wenzhou picorna-like virus 6] -YP_009337247.1 hypothetical protein 2 [Wenzhou picorna-like virus 32] -YP_009337228.1 hypothetical protein [Wenzhou picorna-like virus 13] -YP_009337196.1 hypothetical protein 2 [Hubei picorna-like virus 6] -YP_009337186.1 hypothetical protein 2 [Wenzhou picorna-like virus 17] -YP_009337081.1 hypothetical protein 2 [Wenzhou picorna-like virus 21] -YP_009336928.1 hypothetical protein 2 [Shahe picorna-like virus 3] -YP_009336912.1 hypothetical protein 2 [Shahe picorna-like virus 1] -YP_009336772.1 hypothetical protein 2 [Changjiang crawfish virus 1] -YP_009336768.1 hypothetical protein [Shahe picorna-like virus 7] -YP_009336715.1 hypothetical protein 2 [Wenzhou picorna-like virus 7] -YP_009336708.1 hypothetical protein 2 [Shahe picorna-like virus 2] -YP_009336647.1 hypothetical protein 2 [Wenzhou picorna-like virus 2] -YP_009336636.1 hypothetical protein 2 [Wenling picorna-like virus 1] -YP_009337288.1 hypothetical protein 2 [Wenzhou picorna-like virus 51] -YP_009333383.1 hypothetical protein 2 [Beihai picorna-like virus 26] -YP_009333601.1 hypothetical protein 2 [Beihai picorna-like virus 51] -YP_009333594.1 hypothetical protein 2 [Beihai picorna-like virus 23] -YP_009333587.1 hypothetical protein 2 [Beihai picorna-like virus 20] -YP_009333584.1 hypothetical protein 2 [Beihai picorna-like virus 19] -YP_009333574.1 hypothetical protein 2 [Beihai picorna-like virus 21] -YP_009333569.1 hypothetical protein 2 [Beihai picorna-like virus 28] -YP_009333561.1 hypothetical protein 2 [Beihai picorna-like virus 24] -YP_009333557.1 hypothetical protein 2 [Beihai picorna-like virus 17] -YP_009333555.1 hypothetical protein 2 [Beihai picorna-like virus 14] -YP_009333546.1 hypothetical protein [Beihai picorna-like virus 16] -YP_009333517.1 hypothetical protein [Beihai picorna-like virus 50] -YP_009333482.1 hypothetical protein 2 [Beihai picorna-like virus 39] -YP_009333476.1 hypothetical protein 2 [Beihai picorna-like virus 18] -YP_009333459.1 hypothetical protein 2 [Beihai picorna-like virus 54] -YP_009333431.1 hypothetical protein 2 [Beihai picorna-like virus 30] -YP_009333427.1 hypothetical protein [Beihai picorna-like virus 55] -YP_009333426.1 hypothetical protein 2 [Beihai picorna-like virus 27] -YP_009333385.1 hypothetical protein 2 [Beihai picorna-like virus 42] -YP_009333445.1 hypothetical protein [Beihai picorna-like virus 52] -YP_009333398.1 hypothetical protein 2 [Beihai sesarmid crab virus 1] -YP_009333291.1 hypothetical protein [Wenzhou picorna-like virus 3] -YP_009333343.1 hypothetical protein 2 [Beihai paphia shell virus 2] -YP_009333304.1 hypothetical protein 2 [Beihai paphia shell virus 1] -YP_009333282.1 hypothetical protein 2 [Beihai octopus virus 1] -YP_009333265.1 hypothetical protein 2 [Beihai hermit crab virus 1] -YP_009330067.1 hypothetical protein 2 [Beihai picorna-like virus 15] -YP_009329998.1 hypothetical protein 2 [Beihai picorna-like virus 53] -YP_009329962.1 hypothetical protein 2 [Hubei leech virus 2] -YP_009255233.1 structural protein [Antarctic picorna-like virus 4] -YP_009255230.1 structural protein [Antarctic picorna-like virus 2] -YP_009230125.1 polyprotein [Marine RNA virus PAL473] -YP_009230119.1 polyprotein [Marine RNA virus PAL128] -YP_002647033.1 structural polyprotein [Chaetoceros socialis forma radians RNA virus 1] -NP_944776.1 polyprotein [Heterosigma akashiwo RNA virus] -APG77477.1 hypothetical protein, partial [Sanxia picorna-like virus 7] diff --git a/seq/clusters_info/cluster_360 b/seq/clusters_info/cluster_360 deleted file mode 100644 index af776fb..0000000 --- a/seq/clusters_info/cluster_360 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009552822.1 RNA-dependent RNA polymerase [Culex tritaeniorhynchus totivirus] -YP_009342432.1 hypothetical protein 2 [Wuhan insect virus 31] -YP_009337086.1 hypothetical protein 2 [Wenling toti-like virus 2] -YP_009336825.1 hypothetical protein 2 [Hubei diptera virus 22] -YP_009333270.1 hypothetical protein 2 [Wenzhou toti-like virus 1] -YP_009256209.1 RNA-dependent RNA polymerase [Golden shiner totivirus] -YP_003934934.1 RNA-dependent RNA polymerase [Armigeres subalbatus virus SaX06-AK20] -YP_003289293.1 RNA-dependent RNA polymerase [Drosophila melanogaster totivirus SW-2009a] -APG76064.1 hypothetical protein 2 [Wenling toti-like virus 3] -AIC34744.2 ORF1/ORF2 polyprotein [Penaeid shrimp infectious myonecrosis virus] -BAJ21511.1 RNA-dependent RNA polymerase [Omono River virus] -BAJ21513.1 RNA-dependent RNA polymerase [Omono River virus] -APS85760.1 RNA-dependent RNA polymerase, partial [Biomphalaria virus 5] -APG75991.1 hypothetical protein 2 [Beihai toti-like virus 1] diff --git a/seq/clusters_info/cluster_361 b/seq/clusters_info/cluster_361 deleted file mode 100644 index 16901e0..0000000 --- a/seq/clusters_info/cluster_361 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009666501.1 DNA-binding protein [Angelica bushy stunt virus] -YP_009165748.1 ORF3 [Atractylodes mild mottle virus] -YP_006907832.1 minor capsid protein [Horseradish latent virus] -YP_006732332.1 minor capsid protein [Dahlia mosaic virus] -YP_006607890.1 DNA-binding protein [Soybean Putnam virus] -YP_001931965.1 virion-associated protein [Eupatorium vein clearing virus] -YP_001931959.1 virion-associated protein [Lamium leaf distortion virus] -NP_659395.1 hypothetical protein [Mirabilis mosaic virus] -NP_619546.1 unnamed protein product [Figwort mosaic virus] -NP_612575.1 DNA-binding protein [Carnation etched ring virus] -NP_056726.1 DNA-binding protein [Cauliflower mosaic virus] -sp|P03553.1|VAP_CAMVD RecName: Full=Virion-associated protein; Short=Vap; AltName: Full=Protein 3; Short=P3 -sp|P03552.1|VAP_CAMVC RecName: Full=Virion-associated protein; Short=Vap; AltName: Full=Protein 3; Short=P3 -sp|Q00967.1|VAP_CAMVN RecName: Full=Virion-associated protein; Short=Vap; AltName: Full=Protein 3; Short=P3 diff --git a/seq/clusters_info/cluster_362 b/seq/clusters_info/cluster_362 deleted file mode 100644 index b6c05d7..0000000 --- a/seq/clusters_info/cluster_362 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009362083.1 phosphoprotein [Morreton vesiculovirus] -YP_009505528.1 phosphoprotein [Carajas virus] -YP_009505323.1 phosphoprotein [Vesicular stomatitis Indiana virus] -YP_009177648.1 phosphoprotein [Cocal virus] -YP_009094098.1 phosphoprotein [Vesicular stomatitis Alagoas virus] -YP_009091826.1 phosphoprotein [Maraba virus] -YP_009047082.1 phosphoprotein [Vesicular stomatitis New Jersey virus] -NP_041713.1 NS protein [Vesicular stomatitis Indiana virus] -sp|P04877.1|PHOSP_VSNJO RecName: Full=Phosphoprotein; Short=P protein; AltName: Full=Protein M1 -sp|P04879.1|PHOSP_VSIVG RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|Q8B0H8.1|PHOSP_VSIVS RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=NS; AltName: Full=Protein M1 -sp|Q8B0H3.1|PHOSP_VSIVC RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=NS; AltName: Full=Protein M1 -sp|P04878.1|PHOSP_VSNJM RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -sp|P04880.1|PHOSP_VSIVM RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 diff --git a/seq/clusters_info/cluster_363 b/seq/clusters_info/cluster_363 deleted file mode 100644 index 312cfa2..0000000 --- a/seq/clusters_info/cluster_363 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009259545.1 polymerase [Tibetan frog hepatitis B virus] -YP_009389524.1 DNA polymerase [Tinamou hepatitis B virus] -YP_009165599.1 polymerase [White sucker hepatitis B virus] -YP_004956864.1 unnamed protein product [Parrot hepatitis B virus] -YP_031695.1 DNA-directed DNA polymerase [Snow goose hepatitis B virus] -YP_024968.1 polymerase [Ross's goose hepatitis B virus] -YP_024974.1 polymerase protein [Sheldgoose hepatitis B virus] -NP_040998.1 polymerase [Heron hepatitis B virus] -NP_039821.1 hypothetical protein DHBVgp3 [Duck hepatitis B virus] -sp|P0C691.1|DPOL_DHBV3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P03162.2|DPOL_DHBV1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P30028.1|DPOL_HPBDC RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P17193.1|DPOL_HPBDW RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -sp|P17192.1|DPOL_HPBDB RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H diff --git a/seq/clusters_info/cluster_364 b/seq/clusters_info/cluster_364 deleted file mode 100644 index 25f4e73..0000000 --- a/seq/clusters_info/cluster_364 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009512935.1 X protein [Parrot bornavirus 5] -YP_009512929.1 X protein [Parrot bornavirus 1] -YP_009505424.1 X protein [Estrildid finch bornavirus 1] -YP_009269414.1 X protein [Variegated squirrel bornavirus 1] -YP_009268912.1 X protein [Aquatic bird bornavirus 2] -YP_009268906.1 X protein [Canary bornavirus 1] -YP_009268900.1 X protein [Parrot bornavirus 7] -YP_009268894.1 X protein [Parrot bornavirus 4] -YP_009174177.1 X protein [Parrot bornavirus 2] -YP_009041457.1 X protein [Canary bornavirus 3] -YP_009272535.1 X protein [Borna disease virus 1] -YP_009268918.1 X protein [Borna disease virus 2] -YP_009237643.1 X protein [Aquatic bird bornavirus 1] -YP_009165493.1 X protein [Canary bornavirus 2] diff --git a/seq/clusters_info/cluster_365 b/seq/clusters_info/cluster_365 deleted file mode 100644 index 633e833..0000000 --- a/seq/clusters_info/cluster_365 +++ /dev/null @@ -1,14 +0,0 @@ -YP_009509072.1 6K polyprotein cleavage product [Mosso das Pedras virus] -YP_009509057.1 6K polyprotein cleavage product [Tonate virus] -YP_009509048.1 6K polyprotein cleavage product [Rio Negro virus] -YP_009509039.1 6K polyprotein cleavage product [Pixuna virus] -YP_009509030.1 6K polyprotein cleavage product [Mucambo virus] -YP_009509021.1 6K polyprotein cleavage product [Everglades virus] -YP_009509012.1 6K polyprotein cleavage product [Cabassou virus] -YP_009020590.1 6K membrane protein [Madariaga virus] -YP_006491258.1 transframe fusion protein [Southern elephant seal virus] -YP_006491246.1 transframe fusion protein [Onyong-nyong virus] -YP_006491236.1 transframe fusion protein [Venezuelan equine encephalitis virus] -NP_818994.1 6K protein [Venezuelan equine encephalitis virus] -NP_740710.1 6K protein [Onyong-nyong virus] -NP_740647.1 6K protein [Eastern equine encephalitis virus] diff --git a/seq/clusters_info/cluster_366 b/seq/clusters_info/cluster_366 deleted file mode 100644 index ebc0ae6..0000000 --- a/seq/clusters_info/cluster_366 +++ /dev/null @@ -1,14 +0,0 @@ -YP_002302329.1 nonstructural protein 1 [Influenza C virus (C/Ann Arbor/1/50)] -YP_002302328.1 nonstructural protein 2 [Influenza C virus (C/Ann Arbor/1/50)] -sp|Q784P6.1|NS1_INCY6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P06944.2|NS1_INCCA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P33493.2|NEP_INCCA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C142.1|NEP_INCYA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q67402.2|NEP_INCMI RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C135.1|NEP_INCGL RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q9ENZ3.1|NEP_INCY6 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q9ENX6.1|NS1_INCHY RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12603.2|NEP_INCJJ RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q9ENX7.1|NEP_INCHY RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C141.1|NS1_INCYA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1C -sp|P0C134.1|NS1_INCGL RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1C diff --git a/seq/clusters_info/cluster_367 b/seq/clusters_info/cluster_367 deleted file mode 100644 index eae2f2e..0000000 --- a/seq/clusters_info/cluster_367 +++ /dev/null @@ -1,13 +0,0 @@ -YP_010088071.1 RNA-dependent RNA polymerase [Actinidia emaravirus 2] -YP_010088065.1 RNA-dependent RNA polymerase [Ti ringspot-associated emaravirus] -YP_009508083.1 RNA-dependent RNA-polymerase [Redbud yellow ringspot-associated emaravirus] -YP_009507925.1 RNA-dependent RNA polymerase [Actinidia chlorotic ringspot-associated virus] -YP_009268863.1 RNA dependent RNA polymerase [Pigeonpea sterility mosaic emaravirus 2] -YP_009237282.1 RNA dependent RNA polymerase [Pigeonpea sterility mosaic emaravirus 1] -YP_009237274.1 RNA dependent RNA polymerase [Raspberry leaf blotch emaravirus] -YP_009237269.1 RNA-dependent RNA polymerase [Fig mosaic emaravirus] -YP_009237277.1 RdRp [High Plains wheat mosaic emaravirus] -YP_004327589.1 RNA-dependent RNA-polymerase p1 [Rose rosette emaravirus] -YP_003104764.1 RNA-dependent RNA polymerase [European mountain ash ringspot-associated virus] -BAM13785.1 RNA-dependent RNA polymerase [Fig mosaic emaravirus] -AML03165.1 RdRP [Wheat mosaic virus] diff --git a/seq/clusters_info/cluster_368 b/seq/clusters_info/cluster_368 deleted file mode 100644 index 491fb58..0000000 --- a/seq/clusters_info/cluster_368 +++ /dev/null @@ -1,13 +0,0 @@ -YP_010086266.1 RNA-dependent RNA polymerase [Lates calcarifer birnavirus] -YP_009508102.1 RNA-dependant RNA polymerase [Tellina virus 1] -YP_009255399.1 VP1 protein [Victorian trout aquabirnavirus] -YP_009177609.1 VP1 protein [Tasmanian aquabirnavirus] -YP_004956721.1 unnamed protein product [Espirito Santo virus] -YP_052864.1 RNA-dependent RNA polymerase [Blotched snakehead virus] -NP_690835.1 putative RNA-dependent RNA polymerase [Yellowtail ascites virus] -NP_690806.1 putative RNA-dependent RNA polymerase VP1 [Drosophila X virus] -NP_690839.1 VP1 RNA-dependent RNA polymerase [Infectious bursal disease virus] -NP_047197.1 viral protein 1 [Infectious pancreatic necrosis virus] -sp|Q9Q6Q5.1|RDRP_IBDV RecName: Full=RNA-directed RNA polymerase; Short=RDRP; AltName: Full=Protein VP1 -sp|P22174.1|RDRP_IPNVS RecName: Full=RNA-directed RNA polymerase; Short=RDRP; AltName: Full=Protein VP1 -sp|P12918.1|RDRP_IBDVA RecName: Full=RNA-directed RNA polymerase; Short=RDRP; AltName: Full=Protein VP1 diff --git a/seq/clusters_info/cluster_369 b/seq/clusters_info/cluster_369 deleted file mode 100644 index 6b7cc45..0000000 --- a/seq/clusters_info/cluster_369 +++ /dev/null @@ -1,13 +0,0 @@ -YP_010087188.1 membrane-associated protein VP24 [Mengla dianlovirus] -YP_003815439.1 membrane-associated protein [Bundibugyo ebolavirus] -YP_009513281.1 membrane-associated protein [Bombali ebolavirus] -YP_009055227.1 membrane-associated protein [Marburg marburgvirus] -YP_004928142.1 membrane-associated protein [Lloviu cuevavirus] -YP_003815430.1 membrane-associated protein [Tai Forest ebolavirus] -YP_001531158.1 matrix protein [Marburg marburgvirus] -YP_138526.1 membrane-associated protein [Sudan ebolavirus] -NP_690586.1 membrane-associated protein [Reston ebolavirus] -NP_066250.1 membrane-associated protein [Zaire ebolavirus] -sp|P41325.1|VP24_MABVP RecName: Full=Membrane-associated protein VP24; AltName: Full=Marburg VP24; Short=mVP24 -sp|Q1PD62.1|VP24_MABVA RecName: Full=Membrane-associated protein VP24; AltName: Full=Marburg VP24; Short=mVP24 -sp|Q6V1Q3.1|VP24_EBOZ5 RecName: Full=Membrane-associated protein VP24; AltName: Full=Ebola VP24; Short=eVP24 diff --git a/seq/clusters_info/cluster_37 b/seq/clusters_info/cluster_37 deleted file mode 100644 index e2b3d6f..0000000 --- a/seq/clusters_info/cluster_37 +++ /dev/null @@ -1,64 +0,0 @@ -NP_115454.2 polyprotein [Maize rayado fino virus] -YP_009553654.1 replicase [Grapevine associated tymo-like virus] -YP_009553723.1 RNA-dependent RNA polymerase [Fusarium graminearum mycotymovirus 1] -YP_009553357.1 replication-associated polyprotein [Fusarium graminearum mycotymovirus 1] -YP_009551972.1 polyprotein [Alfalfa virus F] -YP_009551952.1 polyprotein [Bee Macula-Like virus 2] -YP_009664770.1 replicase protein, partial [Wild cucumber mosaic virus] -YP_009351862.1 polyprotein [Grapevine rupestris vein feathering virus] -YP_009505642.1 RNA-dependent RNA polymerase [Bombyx mori latent virus] -YP_009505639.1 polyprotein [Blackberry virus S] -YP_009345914.1 replicase polyprotein [Peach virus D] -YP_009337794.1 hypothetical protein [Hubei macula-like virus 2] -YP_009337784.1 hypothetical protein [Hubei macula-like virus 1] -YP_009315883.1 ORF1 polyprotein [Grapevine asteroid mosaic associated virus] -YP_009272813.1 polyprotein [Bat tymo-like virus] -YP_009268923.1 replicase polyprotein [Grapevine Red Globe virus] -YP_009222597.1 polyprotein [Nectarine marafivirus M] -YP_009160324.1 polyprotein [Bee Macula-like virus] -YP_009159826.1 polyprotein [Varroa Tymo-like virus] -YP_008318042.1 putative polyprotein [Tomato blistering mosaic virus] -YP_007517183.1 viral replication protein [Andean potato mild mosaic virus] -YP_007517180.1 viral replication protein [Andean potato latent virus] -YP_006843893.1 replicase-associated protein [Culex originated Tymoviridae-like virus] -YP_004464924.1 replicase [Asclepias asymptomatic virus] -YP_004464920.1 polyprotein [Switchgrass mosaic virus] -YP_004464930.1 unnamed protein product [Bombyx mori Macula-like virus] -YP_004300278.1 replication-associated polyprotein [Fig fleck-associated virus] -YP_003620401.1 RNA-dependent RNA polymerase [Chiltepin yellow mosaic virus] -YP_003475889.1 replicase-associated polyprotein [Olive latent virus 3] -YP_002756536.1 putative 230 kDa polyprotein [Grapevine Syrah virus 1] -YP_002308578.1 polyprotein [Anagyris vein yellowing virus] -YP_002308445.1 polyprotein [Plantago mottle virus] -YP_002308442.1 polyprotein [Nemesia ring necrosis virus] -YP_002308439.1 polyprotein [Scrophularia mottle virus] -YP_002048673.1 replicase-associated protein RP [Diascia yellow mottle virus] -YP_001285472.1 putative RNA-dependend RNA polymerase [Okra mosaic virus] -YP_406375.1 replicase [Dulcamara mottle virus] -YP_224218.1 replicase-associated polyprotein [Citrus sudden death-associated virus] -NP_663297.1 replicase/papain-like protease [Turnip yellow mosaic virus] -NP_619756.1 replicase [Physalis mottle virus] -NP_542612.1 replicase [Grapevine fleck virus] -NP_067737.1 replication protein [Chayote mosaic virus] -NP_037647.1 replicase-associated protein [Poinsettia mosaic virus] -NP_047920.1 replicase protein [Erysimum latent virus] -NP_044447.1 replicase-associated polyprotein [Oat blue dwarf virus] -NP_044328.1 replicase [Kennedya yellow mosaic virus] -NP_041257.1 replicase [Ononis yellow mosaic virus] -NP_040968.1 replicase [Eggplant mosaic virus] -sp|Q91TW9.1|POLG_MRFVC RecName: Full=Genome polyprotein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase; Includes: RecName: Full=Methyltransferase; Contains: RecName: Full=RNA replication protein; Contains: RecName: Full=Capsid protein CP1; Short=CP1; AltName: Full=Coat protein -sp|P20128.1|POLR_TYMVA RecName: Full=RNA replicase polyprotein -AMC38501.1 polyprotein [Tomato blistering mosaic virus] -AFC95826.1 RNA polymerase [Watercress white vein virus] -ACX94288.1 replication-associated polyprotein, partial [Mertensia leaf curl virus] -AEP40395.1 replicase [Tomato yellow blotch virus] -AMM45289.1 putative RNA-dependent RNA polymerase, partial [Rhizoctonia solani positive-strand RNA virus 1] -ALX72770.1 polyprotein [Nectarine marafivirus M] -AKZ17743.1 putative 230 kDa polyprotein [Grapevine Syrah virus 1] -ANV22069.1 replicase polyprotein [Grapevine Red Globe virus] -ADD13602.1 replicase-associated polyprotein [Oat blue dwarf virus] -APG77727.1 hypothetical protein, partial [Hubei macula-like virus 3] -AKQ48574.1 polyprotein [Bee Macula-like virus] -ABA54133.1 polyprotein [Citrus sudden death-associated virus] -AMH40125.1 polyprotein [Turnip yellow mosaic virus] -AIE44664.1 replication protein [Eggplant mosaic virus] diff --git a/seq/clusters_info/cluster_370 b/seq/clusters_info/cluster_370 deleted file mode 100644 index e0be913..0000000 --- a/seq/clusters_info/cluster_370 +++ /dev/null @@ -1,13 +0,0 @@ -YP_010087184.1 polymerase cofactor VP35 [Mengla dianlovirus] -YP_003815433.1 polymerase complex protein [Bundibugyo ebolavirus] -YP_009513275.1 polymerase complex protein [Bombali ebolavirus] -YP_009055223.1 polymerase complex protein [Marburg marburgvirus] -YP_004928136.1 polymerase complex protein [Lloviu cuevavirus] -YP_003815424.1 polymerase complex protein [Tai Forest ebolavirus] -YP_001531154.1 polymerase complex protein [Marburg marburgvirus] -YP_138521.1 polymerase complex protein [Sudan ebolavirus] -NP_690581.1 polymerase complex protein [Reston ebolavirus] -NP_066244.1 polymerase complex protein [Zaire ebolavirus] -sp|Q91DE0.1|VP35_EBORE RecName: Full=Polymerase cofactor VP35 -sp|Q03039.1|VP35_MABVP RecName: Full=Polymerase cofactor VP35; AltName: Full=Marburg VP35; Short=mVP35 -sp|Q1PD52.1|VP35_MABVA RecName: Full=Polymerase cofactor VP35; AltName: Full=Marburg VP35; Short=mVP35 diff --git a/seq/clusters_info/cluster_371 b/seq/clusters_info/cluster_371 deleted file mode 100644 index de03265..0000000 --- a/seq/clusters_info/cluster_371 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009667052.1 nonstructural protein [Shuni orthobunyavirus] -YP_009666910.1 nonstructural protein [Schmallenberg virus] -YP_009666902.1 nonstructural protein [Jatobal virus] -YP_009666881.1 non-structural protein [Sango virus] -YP_009666877.1 non-structural protein [Sabo virus] -YP_009666871.1 non-structural protein [Peaton virus] -YP_006590084.1 non-structural protein [Simbu orthobunyavirus] -YP_006590078.1 non-structural protein [Shamonda orthobunyavirus] -YP_006590075.1 non-structural protein [Sathuperi orthobunyavirus] -YP_006590072.1 non-structural protein [Aino virus] -YP_001497162.1 nonstructual protein [Akabane virus] -NP_982306.1 non-structural protein [Oropouche virus] -sp|P12413.1|NSS_AINOV RecName: Full=Non-structural protein NS-S diff --git a/seq/clusters_info/cluster_372 b/seq/clusters_info/cluster_372 deleted file mode 100644 index fe64b44..0000000 --- a/seq/clusters_info/cluster_372 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009666515.1 glycoprotein [Caligus rogercresseyi rhabdovirus] -YP_009362207.1 glycoprotein [Gray Lodge virus] -YP_009362185.1 glycoprotein [Joinjakaka virus] -YP_009362140.1 glycoprotein [Landjia virus] -YP_009362126.1 glycoprotein [Manitoba virus] -YP_009512995.1 glycoprotein [Flanders hapavirus] -YP_009505468.1 glycoprotein [Mossuril virus] -YP_009361987.1 glycoprotein [Kamese virus] -YP_009361968.1 glycoprotein [Mosqueiro virus] -YP_009361957.1 glycoprotein [Hart Park virus] -YP_009345050.1 putative glycoprotein 1 [Wuhan pillworm virus 2] -YP_009315874.1 glycoprotein [Orgi virus] -YP_003518289.1 virion transmembrane glycoprotein [Ngaingan hapavirus] diff --git a/seq/clusters_info/cluster_373 b/seq/clusters_info/cluster_373 deleted file mode 100644 index a4c6f9d..0000000 --- a/seq/clusters_info/cluster_373 +++ /dev/null @@ -1,13 +0,0 @@ -NP_054712.1 small hydrophobic protein [Mumps orthorubulavirus] -sp|P69465.1|SH_MUMPS RecName: Full=Small hydrophobic protein -sp|P69188.1|SH_MUMP6 RecName: Full=Small hydrophobic protein -sp|P20716.1|SH_MUMPU RecName: Full=Small hydrophobic protein -sp|P22113.1|SH_MUMPT RecName: Full=Small hydrophobic protein -sp|P28087.1|SH_MUMPR RecName: Full=Small hydrophobic protein -sp|P28083.1|SH_MUMPL RecName: Full=Small hydrophobic protein -sp|P28086.1|SH_MUMPK RecName: Full=Small hydrophobic protein -sp|P22110.1|SH_MUMPJ RecName: Full=Small hydrophobic protein -sp|P22109.1|SH_MUMPE RecName: Full=Small hydrophobic protein -sp|P28082.1|SH_MUMPB RecName: Full=Small hydrophobic protein -sp|P22111.1|SH_MUMPA RecName: Full=Small hydrophobic protein -sp|P28085.1|SH_MUMP4 RecName: Full=Small hydrophobic protein diff --git a/seq/clusters_info/cluster_374 b/seq/clusters_info/cluster_374 deleted file mode 100644 index 2931b1c..0000000 --- a/seq/clusters_info/cluster_374 +++ /dev/null @@ -1,13 +0,0 @@ -YP_173240.1 envelope protein [Human coronavirus HKU1] -YP_009113028.1 envelope protein [Betacoronavirus HKU24] -YP_009944252.1 envelope protein [Murine hepatitis virus] -YP_005454247.1 envelope protein [Rabbit coronavirus HKU14] -YP_009755835.1 envelope protein [Rodent coronavirus] -YP_003029850.1 envelope protein [Rat coronavirus Parker] -YP_209236.1 envelope protein [Murine hepatitis virus strain JHM] -YP_009555243.1 envelope protein [Human coronavirus OC43] -NP_150081.1 small membrane protein [Bovine coronavirus] -sp|Q0ZME5.1|VEMP_CVHN5 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P0C2Q9.1|VEMP_CVPIA RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P29076.1|VEMP_CVMS RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P15779.1|VEMP_CVBM RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein diff --git a/seq/clusters_info/cluster_375 b/seq/clusters_info/cluster_375 deleted file mode 100644 index 3abbc8f..0000000 --- a/seq/clusters_info/cluster_375 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009824955.1 M protein [Zambian malbrouck virus 1] -YP_009505579.1 ORF8 protein [Simian hemorrhagic encephalitis virus] -YP_009505566.1 ORF8 [Kibale red-tailed guenon virus 1] -YP_009388598.1 M protein [Olivier's shrew virus 1] -YP_009362016.1 non-glycosylated envelope protein [Kibale red colobus virus 2] -YP_009344817.1 non-glycosylated envelope protein [Kibale red colobus virus 1] -YP_009249820.1 M protein [Free State vervet virus] -YP_009222005.1 M protein [Kafue kinda chacma baboon virus] -YP_009140488.1 M protein [Pebjah virus] -YP_009121783.1 M protein [DeBrazza's monkey arterivirus] -YP_009067075.1 M protein [Southwest baboon virus 1] -YP_009067061.1 M protein [Mikumi yellow baboon virus 1] -NP_203551.1 non-glycosylated major envelope protein [Simian hemorrhagic fever virus] diff --git a/seq/clusters_info/cluster_376 b/seq/clusters_info/cluster_376 deleted file mode 100644 index 1f00507..0000000 --- a/seq/clusters_info/cluster_376 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009824954.1 GP5 protein [Zambian malbrouck virus 1] -YP_009505578.1 ORF7 protein [Simian hemorrhagic encephalitis virus] -YP_009505565.1 ORF7 [Kibale red-tailed guenon virus 1] -YP_009118966.1 GP5 glycosylated envelope protein [African pouched rat arterivirus] -YP_009362015.1 large glycoprotein [Kibale red colobus virus 2] -YP_009344816.1 large glycoprotein [Kibale red colobus virus 1] -YP_009249819.1 GP5 protein [Free State vervet virus] -YP_009222003.1 GP5 protein [Kafue kinda chacma baboon virus] -YP_009140487.1 GP5 protein [Pebjah virus] -YP_009121781.1 GP5 protein [DeBrazza's monkey arterivirus] -NP_203550.2 major glycoprotein [Simian hemorrhagic fever virus] -YP_009067073.1 GP5 protein [Southwest baboon virus 1] -YP_009067059.1 GP5 protein [Mikumi yellow baboon virus 1] diff --git a/seq/clusters_info/cluster_377 b/seq/clusters_info/cluster_377 deleted file mode 100644 index 6f0d448..0000000 --- a/seq/clusters_info/cluster_377 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009701463.1 VPg [Norovirus GI] -YP_009701457.1 VPg [Norovirus GIV] -YP_009701442.1 VPg [Norovirus GI] -YP_009701451.1 VPg [Norovirus GII] -YP_009555237.1 VPg [Norovirus GII] -YP_009518847.1 VPg [Norovirus GII] -YP_009684409.1 VPg [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -YP_009528583.1 VPg [Norovirus GII.17] -YP_009238496.1 VPg [Norovirus GIV] -YP_009237935.1 VPg [Norovirus GIII] -YP_009238489.1 VPg [Norwalk-like virus] -YP_724459.1 NS5 [Norovirus GV] -NP_786948.1 VPg [Norovirus GI] diff --git a/seq/clusters_info/cluster_378 b/seq/clusters_info/cluster_378 deleted file mode 100644 index e62ed83..0000000 --- a/seq/clusters_info/cluster_378 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009507728.1 NS3 [Orungo virus] -YP_009507715.1 NS3 [Lebombo virus] -YP_009158899.1 NS3 protein [Chenuda virus] -YP_009158892.1 NS3 protein [Wad Medani virus] -YP_052954.1 NS3 protein [African horse sickness virus] -sp|Q64919.1|VNS3_AHSV7 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q64904.1|VNS3_AHSV4 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|P33885.1|VNS3_AHSV9 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q64917.1|VNS3_AHSV5 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q64914.1|VNS3_AHSV2 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q64912.1|VNS3_AHSV6 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q64905.1|VNS3_AHSV8 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -sp|Q64903.1|VNS3_AHSV1 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A diff --git a/seq/clusters_info/cluster_379 b/seq/clusters_info/cluster_379 deleted file mode 100644 index 4f2c5f9..0000000 --- a/seq/clusters_info/cluster_379 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009552007.1 60 kDa protein [Rehmannia virus 1] -YP_009551997.1 heat shock 90-like protein [Arracacha virus 1] -YP_009664808.1 p61 [Beet yellow stunt virus] -YP_009407924.1 putative heat shock protein 90 [Actinidia virus 1] -YP_009162625.1 CPh [Tobacco virus 1] -YP_008858534.1 p64 [Carnation yellow fleck virus] -YP_003075969.1 p54 [Carrot yellow leaf virus] -YP_874189.1 CPh [Raspberry leaf mottle virus] -YP_762626.1 CPh [Strawberry chlorotic fleck-associated virus] -YP_337915.1 63 kDa protein [Grapevine leafroll-associated virus 2] -YP_224094.1 CPh [Mint virus 1] -NP_835248.1 HSP90-like protein [Grapevine rootstock stem lesion associated virus] -NP_041873.1 putative heat shock 90-related protein [Beet yellows virus] diff --git a/seq/clusters_info/cluster_38 b/seq/clusters_info/cluster_38 deleted file mode 100644 index a580a98..0000000 --- a/seq/clusters_info/cluster_38 +++ /dev/null @@ -1,63 +0,0 @@ -YP_009449557.1 polymerase PB2 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -YP_009118631.1 polymerase PB2 [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118470.1 polymerase PB2 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308849.1 polymerase PB2 [Influenza A virus (A/New York/392/2004(H3N2))] -YP_308855.1 polymerase PB2 [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308664.1 polymerase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -YP_089652.1 polymerase 2 [Influenza C virus (C/Ann Arbor/1/50)] -NP_859039.1 polymerase Pb2 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -NP_056658.1 polymerase PB2 [Influenza B virus (B/Lee/1940)] -NP_040987.1 polymerase PB2 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|Q20PL4.1|PB2_I79A7 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q20NZ9.1|PB2_I68A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q20NV1.1|PB2_I80AD RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0A449.1|PB2_I66A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0A2H7.1|PB2_I83A5 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0A2F5.1|PB2_I83A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0A2D7.1|PB2_I66A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P13876.1|PB2_INBAD RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26116.1|PB2_I84A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P12445.1|PB2_I34A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P0DOG3.1|PB2S1_I33A0 RecName: Full=PB2-S1 -sp|A3DRQ0.1|PB2_I96A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|A4GBY7.1|PB2_I77AA RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|A8C8X3.1|PB2_I67A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|B3EUR6.1|PB2_I61A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|A4K153.1|PB2_I54A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|A4U6W2.1|PB2_I45A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|A4GCL8.1|PB2_I43A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|A4GCM9.1|PB2_I35A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|A8C8K4.1|PB2_I07A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q6DNM0.2|PB2_I02A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q6J859.2|PB2_I02A5 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q6DNK1.2|PB2_I03A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q67296.2|PB2_I72A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0HD50.1|PB2_I40A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q3HM41.1|PB2_I18A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q2VC93.1|PB2_I80A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q2LG86.1|PB2_I05A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0A2Q4.1|PB2_I85A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0A2K8.1|PB2_I02A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q0A2G6.1|PB2_I59A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q6DNM2.1|PB2_I02A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q9EA38.1|PB2_I77A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q6J868.1|PB2_I03A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q8QPG7.1|PB2_I00A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q809Q3.1|PB2_I01A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|Q82573.1|PB2_I47A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|O91740.1|PB2_I93A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P31344.1|PB2_I78A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26114.1|PB2_I77AC RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26113.1|PB2_I30A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P03427.1|PB2_I33A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26111.1|PB2_I57A5 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26110.1|PB2_I82A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P18884.1|PB2_I79A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26107.1|PB2_I56A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26106.1|PB2_I73A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26105.1|PB2_I86A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P26104.1|PB2_I77AG RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -sp|P0DOG5.1|PB2S1_I96A0 RecName: Full=PB2-S1 -sp|P0DOG4.1|PB2S1_I49A1 RecName: Full=PB2-S1 -sp|P0DOG6.1|PB2S1_I34A1 RecName: Full=PB2-S1 -sp|P0DOG7.1|PB2S1_I18A0 RecName: Full=PB2-S1 diff --git a/seq/clusters_info/cluster_380 b/seq/clusters_info/cluster_380 deleted file mode 100644 index 3727804..0000000 --- a/seq/clusters_info/cluster_380 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009508094.1 coat protein [Garlic mite-borne filamentous virus] -YP_009389478.1 coat protein [Vanilla latent virus] -YP_009362673.1 CP [Alfalfa virus S] -YP_009328896.1 CP [Arachis pintoi virus] -YP_009110672.1 coat protein [Garlic virus B] -YP_008855210.1 CP [Garlic virus D] -YP_008828154.1 coat protein [Donkey orchid symptomless virus] -YP_004659204.1 coat protein [Blackberry virus E] -NP_659014.1 coat protein [Garlic virus E] -NP_620652.1 coat protein [Shallot virus X] -NP_569130.1 viral coat protein [Garlic virus A] -NP_569136.1 viral coat protein [Garlic virus C] -NP_044575.1 coat protein [Garlic virus X] diff --git a/seq/clusters_info/cluster_381 b/seq/clusters_info/cluster_381 deleted file mode 100644 index 9c93fad..0000000 --- a/seq/clusters_info/cluster_381 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009665976.1 TGBp1 [Drakaea virus A] -YP_009665981.1 beta-B-protein [Poa semilatent virus] -YP_009508255.1 beta-B protein [Lychnis ringspot virus] -YP_009220371.1 triple gene block protein 1 [Colombian potato soil-borne virus] -YP_009047254.1 putative triple gene block 1 [Gentian ovary ringspot virus] -YP_004928123.1 p39 [Hibiscus green spot virus 2] -NP_835265.1 P49 protein [Indian peanut clump virus] -NP_740764.1 unnamed protein product, partial [Broad bean necrosis virus] -NP_620438.1 triple-gene-block protein 1 [Potato mop-top virus] -NP_620030.1 first triple gene block protein [Peanut clump virus] -NP_612611.1 first triple gene block gene [Beet virus Q] -NP_612625.1 48K protein [Beet soil-borne virus] -NP_604487.1 beta B protein [Barley stripe mosaic virus] diff --git a/seq/clusters_info/cluster_382 b/seq/clusters_info/cluster_382 deleted file mode 100644 index 7a452e7..0000000 --- a/seq/clusters_info/cluster_382 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009665203.1 coat protein [Abutilon yellows virus] -YP_009507970.1 capsid protein [Tetterwort vein chlorosis virus] -YP_009507957.1 CP [Diodia vein chlorosis virus] -YP_006522431.1 coat protein [Cucurbit chlorotic yellows virus] -YP_003002362.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816779.1 CP [Bean yellow disorder virus] -YP_293703.1 coat protein [Tomato chlorosis virus] -YP_227364.1 p28 [Blackberry yellow vein-associated virus] -YP_054421.1 coat protein [Potato yellow vein virus] -YP_025089.1 coat protein [Strawberry pallidosis-associated virus] -NP_940792.1 CP [Beet pseudoyellows virus] -NP_851576.1 CP [Cucurbit yellow stunting disorder virus] -NP_689404.1 major coat protein [Sweet potato chlorotic stunt virus] diff --git a/seq/clusters_info/cluster_383 b/seq/clusters_info/cluster_383 deleted file mode 100644 index 0f867ba..0000000 --- a/seq/clusters_info/cluster_383 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009227189.1 nonstructural protein NS2A [Spondweni virus] -YP_009227200.1 nonstructural protein NS2A [Zika virus] -YP_001527882.1 nonstructural protein 2A [West Nile virus] -NP_776016.1 non-structural protein NS2a [West Nile virus] -YP_009553730.1 NS2A [Kampung Karu virus] -YP_009430302.1 nonstructural protein NS2A [Zika virus] -YP_009333109.1 nonstructural protein NS2A [Cacipacore virus] -YP_009333125.1 nonstructural protein NS2A [Kokobera virus] -YP_009329951.1 nonstructural protein NS2A [Saint Louis encephalitis virus] -YP_009259530.1 nonstructural protein NS2A [Donggang virus] -YP_164812.1 NS2a [Usutu virus] -NP_776003.1 non-structural protein NS2a [Yellow fever virus] -NP_722533.1 NS2A [Murray Valley encephalitis virus] diff --git a/seq/clusters_info/cluster_384 b/seq/clusters_info/cluster_384 deleted file mode 100644 index 2cac33f..0000000 --- a/seq/clusters_info/cluster_384 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009666500.1 aphid transmission factor [Angelica bushy stunt virus] -YP_009165747.1 ORF2 [Atractylodes mild mottle virus] -YP_006907831.1 insect transmission protein factor [Horseradish latent virus] -YP_006607889.1 aphid transmission factor [Soybean Putnam virus] -YP_001931958.1 aphid transmission factor [Lamium leaf distortion virus] -NP_612574.1 Aphid transmission protein [Carnation etched ring virus] -NP_056725.1 Aphid transmission protein [Cauliflower mosaic virus] -sp|Q00965.1|VAT_CAMVN RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -sp|P19818.1|VAT_CAMVP RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -sp|P03550.1|VAT_CAMVD RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -sp|P03549.1|VAT_CAMVC RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -sp|Q02966.1|VAT_CAMVE RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -sp|Q01087.1|VAT_CAMVW RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 diff --git a/seq/clusters_info/cluster_385 b/seq/clusters_info/cluster_385 deleted file mode 100644 index c2da3a1..0000000 --- a/seq/clusters_info/cluster_385 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009666128.1 bet protein [Guenon simian foamy virus] -YP_009513245.1 bet [Rhesus macaque simian foamy virus] -YP_009508891.1 Bel-2 protein [Simian foamy virus Pongo pygmaeus pygmaeus] -YP_009508573.1 bet protein [Western lowland gorilla simian foamy virus] -YP_009508558.1 bet protein [Japanese macaque simian foamy virus] -YP_009508554.1 bel2 protein [Eastern chimpanzee simian foamy virus] -YP_009508548.1 bet protein [Central cimpanzee simian foamy virus] -YP_001956725.2 Bet [African green monkey simian foamy virus] -NP_056806.1 bet protein, partial [Simian foamy virus] -sp|P89873.1|BET_FOAMV RecName: Full=Protein Bet -sp|P29170.2|BEL2_SFV1 RecName: Full=Protein Bel-2 -sp|Q87043.2|BET_SFVCP RecName: Full=Protein Bet -sp|P27403.2|BEL2_SFV3L RecName: Full=Protein Bel-2 diff --git a/seq/clusters_info/cluster_386 b/seq/clusters_info/cluster_386 deleted file mode 100644 index 3d8f208..0000000 --- a/seq/clusters_info/cluster_386 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009665178.1 gp70, partial [Endogenous langur type D retrovirus PO-1-Lu] -YP_009305202.1 envelope protein [Simian retrovirus 8] -YP_009109691.1 polyprotein [Baboon endogenous virus strain M7] -YP_003864103.1 envelope protein [Simian retrovirus 4] -YP_001497149.1 envelope glycoprotein [RD114 retrovirus] -YP_223872.1 envelope glycoprotein [Reticuloendotheliosis virus] -NP_954562.2 gp70 SU [Mason-Pfizer monkey virus] -NP_041262.1 envelope glycoprotein [Squirrel monkey retrovirus] -sp|P51515.1|ENV_SRV2 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 20; Short=gp20; Contains: RecName: Full=R-peptide; Flags: Precursor -sp|P04027.1|ENV_SRV1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 20; Short=gp20; Contains: RecName: Full=R-peptide; Flags: Precursor -sp|P03399.1|ENV_AVIRE RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 73; Short=gp73; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 22; Short=gp22; Flags: Precursor -sp|P31796.1|ENV_AVISN RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 73; Short=gp73; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 22; Short=gp22; Flags: Precursor -sp|P51520.1|ENV_SRV2R RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 20; Short=gp20; Contains: RecName: Full=R-peptide; Flags: Precursor diff --git a/seq/clusters_info/cluster_387 b/seq/clusters_info/cluster_387 deleted file mode 100644 index f85cfee..0000000 --- a/seq/clusters_info/cluster_387 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009259542.1 surface [Bluegill hepatitis B virus] -YP_009389525.1 large S protein [Tinamou hepatitis B virus] -YP_004956865.1 unnamed protein product [Parrot hepatitis B virus] -YP_031696.1 preS antigen [Snow goose hepatitis B virus] -YP_024969.1 surface protein [Ross's goose hepatitis B virus] -YP_024975.1 preS protein [Sheldgoose hepatitis B virus] -NP_040999.1 large surface antigen [Heron hepatitis B virus] -NP_039823.1 hypothetical protein DHBVgp5 [Duck hepatitis B virus] -sp|P17195.3|HBSAG_HPBDW RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -sp|P17194.3|HBSAG_HPBDB RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -sp|P03145.4|HBSAG_DHBV1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -sp|P30029.2|HBSAG_HPBDC RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -sp|P0C684.1|HBSAG_DHBV3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen diff --git a/seq/clusters_info/cluster_388 b/seq/clusters_info/cluster_388 deleted file mode 100644 index 79becb1..0000000 --- a/seq/clusters_info/cluster_388 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009507779.1 minor inner capsid [Pulau reovirus] -YP_009445954.1 core NTPase [Piscine orthoreovirus] -YP_009246467.1 mu A [Mahlapitsi orthoreovirus] -YP_009110699.1 minor inner capsid [Cangyuan orthoreovirus] -YP_009020574.1 muA [Reptilian orthoreovirus] -YP_007507319.1 minor inner capsid [Melaka orthoreovirus] -YP_004769550.1 minor core protein [Baboon orthoreovirus] -YP_004226524.1 mu-A protein [Avian orthoreovirus] -YP_003717774.1 core protein [Broome virus] -YP_003199420.1 mu-2 protein [Mammalian orthoreovirus 3] -sp|Q91PK4.1|MU2_REOVJ RecName: Full=Microtubule-associated protein mu-2; Short=Mu2 -sp|Q00335.1|MU2_REOVL RecName: Full=Microtubule-associated protein mu-2; Short=Mu2 -sp|P12418.1|MU2_REOVD RecName: Full=Microtubule-associated protein mu-2; Short=Mu2 diff --git a/seq/clusters_info/cluster_389 b/seq/clusters_info/cluster_389 deleted file mode 100644 index 4786e50..0000000 --- a/seq/clusters_info/cluster_389 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009505437.1 C protein [Simian Agent 10] -YP_009094446.1 C protein [Porcine respirovirus 1] -NP_604434.1 C' protein [Human respirovirus 1] -NP_599251.1 C protein [Human respirovirus 3] -NP_056872.1 C' protein, partial [Murine respirovirus] -sp|P32535.1|C_PI1HE RecName: Full=Protein C -sp|P32534.1|C_PI1HD RecName: Full=Protein C -sp|P06165.1|C_PI3H4 RecName: Full=Protein C; AltName: Full=VP18 protein -sp|P06164.1|C_PI3B RecName: Full=Protein C -sp|P28055.1|C_PI1HC RecName: Full=Protein C -sp|P14254.3|C_SENDF RecName: Full=Protein C' -sp|P14253.3|C_SEND6 RecName: Full=Protein C' -sp|Q9DUE0.3|C_SENDA RecName: Full=Protein C' diff --git a/seq/clusters_info/cluster_39 b/seq/clusters_info/cluster_39 deleted file mode 100644 index 523d03c..0000000 --- a/seq/clusters_info/cluster_39 +++ /dev/null @@ -1,62 +0,0 @@ -YP_009449556.1 polymerase PB1 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -YP_009118471.1 polymerase PB1 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308847.1 polymerase PB1 [Influenza A virus (A/New York/392/2004(H3N2))] -YP_308851.1 PB1 polymerase subunit [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308665.1 polymerase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -YP_089653.1 polymerase 1 [Influenza C virus (C/Ann Arbor/1/50)] -NP_859040.1 polymerase Pb1 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -NP_056657.1 polymerase PB1 [Influenza B virus (B/Lee/1940)] -NP_040985.1 polymerase PB1 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|Q0A461.1|RDRP_I68A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q0A440.1|RDRP_I49A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q0A2H9.1|RDRP_I83A5 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|O36430.1|RDRP_INBP9 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P13872.1|RDRP_INBAD RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A3DRP8.1|RDRP_I96A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A4GCI3.1|RDRP_I83A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A4GCK5.1|RDRP_I80AA RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A8C8X1.1|RDRP_I67A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A4K151.1|RDRP_I54A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A4U6W0.1|RDRP_I45A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A4GCJ4.1|RDRP_I36A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|A8C8K2.1|RDRP_I07A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|B4URE4.1|RDRP_I06A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q809L9.2|RDRP_I01A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q6DNQ5.2|RDRP_I03A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q6DNS3.2|RDRP_I02A4 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q3HM40.1|RDRP_I18A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q2VNE5.1|RDRP_I78A7 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q2VC92.1|RDRP_I80A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q20P14.1|RDRP_I56A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q0A2G8.1|RDRP_I59A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q6XT06.1|RDRP_I69A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|Q82571.1|RDRP_I47A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P16510.1|RDRP_I81A4 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P03430.1|RDRP_I33A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P26121.1|RDRP_I57A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P16505.1|RDRP_I86A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P26118.1|RDRP_I73A6 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P16502.1|RDRP_I56A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -sp|P21426.1|RDRP_I60A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -AEC14316.1 polymerase PB1, partial [Influenza A virus (A/swine/Ohio/FAH1-1/2007(H1N1))] -AKJ82635.1 polymerase PB1 [Influenza A virus (A/swine/Italy/55925/2011(H3N2))] -AAR12300.1 PB1 polymerase subunit, partial [Influenza A virus (A/swine/Hong Kong/1311/01(H3N2))] -ADE75156.1 polymerase PB1 [Influenza A virus (A/mallard/Sweden/41/2002(H10N6))] -AGQ47773.1 polymerase PB1 [Influenza A virus (A/Fort Monmouth/1-JY2/1947(H1N1))] -AEI29961.1 polymerase PB1, partial [Influenza A virus (A/environment/Korea/CSM3/2002(H3N6))] -ADI79236.1 polymerase PB1, partial [Influenza A virus (A/chicken/Uchal/8286/2006(H9N2))] -AJI76055.1 polymerase PB1 [Influenza A virus (A/swine/Guangdong/2/2013(H1N1))] -ACY46471.1 polymerase PB1, partial [Influenza A virus (A/Singapore/ON368/2009(H1N1))] -AHY84573.1 polymerase PB1 [Influenza A virus (A/Uganda/MUWRP-111/2009(H1N1))] -AOG30371.1 polymerase PB1 [Influenza A virus (A/swine/Nebraska/A01476438/2014(H1N2))] -AHA38355.1 polymerase PB1 [Influenza A virus (A/chicken/Shandong/241/2001(H9N2))] -AEA03904.1 polymerase PB1 [Influenza A virus (A/Uganda/MUWRP-021/2008(H3N2))] -AHB73432.1 polymerase PB1 [Influenza A virus (A/chicken/Egypt/D7100/2013(H9N2))] -AAL30476.1 PB1 polymerase subunit, partial [Influenza A virus (A/Swine/Hong Kong/2429/98(H3N2))] -AFK09819.1 polymerase PB1, partial [Influenza B virus (B/Malaysia/1814277/2007)] -AEK49762.1 polymerase PB1 [Influenza A virus (A/gadwall/California/8504/2008(H6N1))] -ABK00130.1 polymerase basic protein 1 [Influenza A virus (A/swine/Anhui/ca/2004(H5N1))] -AJJ94969.1 polymerase PB1, partial [Influenza A virus (A/silkie chicken/Dongguan/968/2014(mixed))] -ADL64055.1 polymerase PB1, partial [Influenza A virus (A/chicken/Orissa/India/2317/2004(H9N2))] -pdb|4WSB|B Chain B, RNA-directed RNA polymerase catalytic subunit -pdb|4WRT|B Chain B, RNA-directed RNA polymerase catalytic subunit diff --git a/seq/clusters_info/cluster_390 b/seq/clusters_info/cluster_390 deleted file mode 100644 index 2650f75..0000000 --- a/seq/clusters_info/cluster_390 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009408181.1 RNA-dependant RNA polymerase [Lake Sinai virus 2] -YP_009408178.1 RNA-dependant RNA polymerase [Lake Sinai virus 1] -YP_009388502.1 RNA-dependent RNA polymerase [Lake Sinai Virus TO] -YP_009388495.1 RNA-dependent RNA polymerase [Lake Sinai Virus NE] -YP_009388491.1 RNA-dependent RNA polymerase [Lake Sinai Virus SA2] -YP_009388488.1 RNA-dependent RNA polymerase [Lake Sinai Virus SA1] -YP_009337677.1 hypothetical protein 1 [Beihai tombus-like virus 15] -YP_009333194.1 hypothetical protein 2 [Lake Sinai virus] -AEH26193.1 RNA-dependant RNA polymerase [Lake Sinai virus 1] -AEH26189.1 RNA-dependant RNA polymerase [Lake Sinai virus 2] -AJR19138.1 RNA-dependent RNA polymerase [Lake Sinai virus] -AJR19144.1 RNA-dependent RNA polymerase [Lake Sinai virus] -AJR19147.1 RNA-dependent RNA polymerase [Lake Sinai virus] diff --git a/seq/clusters_info/cluster_391 b/seq/clusters_info/cluster_391 deleted file mode 100644 index 2831f44..0000000 --- a/seq/clusters_info/cluster_391 +++ /dev/null @@ -1,13 +0,0 @@ -YP_009345893.1 hypothetical protein [Wuhan insect virus 12] -YP_009342325.1 hypothetical protein [Wuhan house centipede virus 3] -YP_009342048.1 hypothetical protein 2 [Wuhan coneheads virus 2] -YP_009342047.1 hypothetical protein 1 [Wuhan coneheads virus 2] -YP_009337388.1 hypothetical protein 2 [Hubei picorna-like virus 64] -YP_009337387.1 hypothetical protein 1 [Hubei picorna-like virus 64] -YP_009336507.1 hypothetical protein 2 [Hubei orthoptera virus 3] -YP_009336506.1 hypothetical protein 1 [Hubei orthoptera virus 3] -YP_009268643.1 polyprotein [Nylanderia fulva virus 1] -YP_002790880.2 putative structural polyprotein [Solenopsis invicta virus 3] -YP_415507.1 polyprotein [Kelp fly virus] -AOC55061.1 polyprotein, partial [Moyer virus] -APF29089.1 polyprotein [Diabrotica virgifera virgifera virus 2] diff --git a/seq/clusters_info/cluster_392 b/seq/clusters_info/cluster_392 deleted file mode 100644 index e8afa2d..0000000 --- a/seq/clusters_info/cluster_392 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009055045.1 3C [megrivirus C2] -YP_009055056.1 3C [gallivirus A1] -YP_009179376.1 3C [sicinivirus A1] -YP_009167367.1 3C [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -YP_009167356.1 3C [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -YP_009055002.1 3C [Chicken picornavirus 1] -YP_009021776.1 3C [Sicinivirus A] -YP_009001379.1 3C [Caprine kobuvirus] -YP_008802671.1 3C [Feline sakobuvirus A] -YP_003853297.1 3C [Passerivirus A1] -NP_859027.1 3C [Aichivirus B] -NP_740443.1 3C [Aichi virus 1] diff --git a/seq/clusters_info/cluster_393 b/seq/clusters_info/cluster_393 deleted file mode 100644 index 0b2175f..0000000 --- a/seq/clusters_info/cluster_393 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009513196.1 matrix protein [Avian orthoavulavirus 1] -YP_009508519.1 M [Antarctic penguin virus C] -YP_009508513.1 M [Antarctic penguin virus B] -YP_009508507.1 M [Antarctic penguin virus A] -YP_009508501.1 matrix protein [Avian paramyxovirus UPO216] -YP_009255223.1 matrix protein [Avian paramyxovirus goose/Shimane/67/2000] -YP_009094361.1 matrix protein [Avian orthoavulavirus 9] -YP_009094170.1 matrix protein [Avian orthoavulavirus 12] -YP_007008149.1 matrix protein [Avian paramyxovirus 4] -sp|Q9DLD5.1|MATRX_NDVB1 RecName: Full=Matrix protein -sp|P06157.1|MATRX_NDVB RecName: Full=Matrix protein -sp|P11206.1|MATRX_NDVA RecName: Full=Matrix protein diff --git a/seq/clusters_info/cluster_394 b/seq/clusters_info/cluster_394 deleted file mode 100644 index 19e37e9..0000000 --- a/seq/clusters_info/cluster_394 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009924388.1 nsp6 [Rousettus bat coronavirus HKU9] -YP_009944297.1 nsp6 [Betacoronavirus England 1] -YP_009944371.1 nsp6 [SARS coronavirus Tor2] -YP_009944359.1 nsp6 [Pipistrellus bat coronavirus HKU5] -YP_009944330.1 nsp6 [Tylonycteris bat coronavirus HKU4] -YP_009944274.1 nsp6 (hydrophobic domain) [Human coronavirus HKU1] -YP_009944259.1 nsp6 [Rabbit coronavirus HKU14] -YP_009915693.1 nsp6 [Murine hepatitis virus] -YP_009924373.1 nsp6 [Rat coronavirus Parker] -YP_209249.1 nsp6 [Murine hepatitis virus strain JHM] -YP_009924324.1 nsp6 [Human coronavirus OC43] -YP_009742613.1 nsp6 [Severe acute respiratory syndrome coronavirus 2] diff --git a/seq/clusters_info/cluster_395 b/seq/clusters_info/cluster_395 deleted file mode 100644 index c3f82fd..0000000 --- a/seq/clusters_info/cluster_395 +++ /dev/null @@ -1,12 +0,0 @@ -YP_010085106.1 glycoprotein [Culex phasma-like virus] -YP_010086190.1 glycoprotein precursor [Anopheles triannulatus orthophasmavirus] -YP_009666982.1 glycoprotein precursor [Ganda bee virus] -YP_009666958.1 glycoprotein precursor, partial [Seattle Prectang virus] -YP_009664562.1 glycoprotein precursor [Shuangao Insect Virus 2] -YP_009507891.1 glycoprotein precursor [Nome phantom orthophasmavirus] -YP_009362036.1 glycoprotein precursor [Kigluaik phantom orthophasmavirus] -YP_009329872.1 putative glycoprotein [Hubei odonate virus 9] -YP_009329889.1 putative glycoprotein [Hubei odonate virus 8] -YP_009305132.1 glycoprotein precursor [Wuhan Mosquito Virus 2] -YP_009305131.1 glycoprotein precursor [Wuhan mosquito virus 1] -YP_009304996.1 glycoprotein precursor [Wuchang Cockroach Virus 1] diff --git a/seq/clusters_info/cluster_396 b/seq/clusters_info/cluster_396 deleted file mode 100644 index d353ca5..0000000 --- a/seq/clusters_info/cluster_396 +++ /dev/null @@ -1,12 +0,0 @@ -YP_010086136.1 glycoprotein [Zaliv Terpeniya virus] -YP_010086156.1 glycoprotein precursor [Silverwater virus] -YP_010086154.1 glycoprotein precursor [Rukutama virus] -YP_010086134.1 polyprotein [Murre virus] -YP_010086116.1 glycoprotein [Precarious point virus] -YP_010086112.1 polyprotein [Grand Arbaud virus] -YP_009551638.1 glycoprotein precursor [Kaisodi virus] -YP_009666271.1 glycoprotein [Pidgey virus] -YP_009449451.1 envelope glycoproteins [Kabuto mountain virus] -YP_009304997.1 glycoprotein precursor [Wuhan Fly Virus 1] -YP_009293591.1 glycoprotein precursor [Huangpi Tick Virus 2] -NP_941979.1 membrane glycoprotein polyprotein [Uukuniemi virus] diff --git a/seq/clusters_info/cluster_397 b/seq/clusters_info/cluster_397 deleted file mode 100644 index 9ec94f0..0000000 --- a/seq/clusters_info/cluster_397 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009666938.1 glycoprotein [Guertu virus] -YP_009666134.1 membrane glycoprotein polyprotein [Severe fever with thrombocytopenia syndrome virus] -YP_009162638.1 polyprotein [Hunter Island virus] -YP_009330279.1 putative glycoprotein [Hubei diptera virus 4] -YP_009330278.1 putative glycoprotein [Hubei diptera virus 5] -YP_009330257.1 putative glycoprotein [Hubei lepidoptera virus 1] -YP_009304994.1 glycoprotein precursor [Wenzhou Shrimp Virus 1] -YP_009141014.1 glycoprotein precursor [Bhanja virus] -YP_009047241.1 glycoprotein polyprotein [Heartland virus] -YP_008719917.1 envelope glycoprotein precursor [Razdan virus] -YP_008003508.1 glycoprotein precursor [Lone Star virus] -YP_006504094.1 membrane glycoprotein polyprotein [SFTS virus HB29] diff --git a/seq/clusters_info/cluster_398 b/seq/clusters_info/cluster_398 deleted file mode 100644 index 953658f..0000000 --- a/seq/clusters_info/cluster_398 +++ /dev/null @@ -1,12 +0,0 @@ -YP_010085017.1 P protein [Pohorje myodes paramyxovirus 1] -YP_009666849.1 P protein [Mount Mabu Lophuromys virus 2] -YP_009666841.1 P protein [Mount Mabu Lophuromys virus 1] -YP_009094113.1 V protein [Tailam virus] -YP_009094112.1 W protein [Tailam virus] -YP_009094111.1 phosphoprotein [Tailam virus] -YP_512246.1 W protein [Beilong virus] -YP_512247.1 V protein [Beilong virus] -YP_512245.1 phosphoprotein [Beilong virus] -YP_338077.1 W protein [J-virus] -YP_338078.1 V protein [J-virus] -YP_338076.1 phosphoprotein [J-virus] diff --git a/seq/clusters_info/cluster_399 b/seq/clusters_info/cluster_399 deleted file mode 100644 index 10e08dd..0000000 --- a/seq/clusters_info/cluster_399 +++ /dev/null @@ -1,12 +0,0 @@ -YP_010087763.1 ORF3 [Physalis rugose mosaic virus] -YP_009140474.1 CP [Cymbidium chlorotic mosaic virus] -YP_008869288.1 coat protein [Turnip rosette virus] -YP_007438859.1 capsid protein [Southern bean mosaic virus] -YP_007438851.1 coat protein [Lucerne transient streak virus] -YP_006589927.1 capsid protein [Papaya lethal yellowing virus] -YP_004869652.1 capsid protein [Soybean yellow common mosaic virus] -YP_002158815.1 capsid protein [Sowbane mosaic virus] -NP_715629.1 capsid protein [Subterranean clover mottle virus] -NP_066394.1 coat protein [Sesbania mosaic virus] -NP_042303.1 capsid protein [Southern cowpea mosaic virus] -sp|O72158.1|CAPSD_SBMVA RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein diff --git a/seq/clusters_info/cluster_4 b/seq/clusters_info/cluster_4 deleted file mode 100644 index e4d5ff6..0000000 --- a/seq/clusters_info/cluster_4 +++ /dev/null @@ -1,152 +0,0 @@ -YP_009222007.1 polyprotein [Banzi virus] -YP_009246337.1 truncated flavivirus polyprotein WARF4 [West Nile virus] -YP_006485883.2 truncated flavivirus polyprotein NS1 prime [West Nile virus] -YP_009227186.1 membrane glycoprotein precursor M [Spondweni virus] -YP_009222008.1 polyprotein [Spondweni virus] -YP_009227197.1 membrane glycoprotein precursor M [Zika virus] -YP_009164953.1 protein pr [West Nile virus] -YP_009164951.1 protein pr [West Nile virus] -YP_009164950.1 truncated flavivirus polyprotein NS1 prime [West Nile virus] -YP_002790881.1 polyprotein [Zika virus] -YP_001527877.1 polyprotein [West Nile virus] -NP_776013.1 matrix protein M [West Nile virus] -YP_001621843.1 polyprotein [Dengue virus 3] -NP_739582.2 Membrane glycoprotein precursor [Dengue virus 2] -NP_056776.2 polyprotein [Dengue virus 2] -YP_001531166.1 Membrane glicoprotein precursor [Dengue virus 3] -NP_740315.1 membrane precursor (prM) protein [Dengue virus 4] -NP_073286.1 polyprotein [Dengue virus 4] -YP_009664840.1 NS5 protein, partial [San Perlita virus] -YP_009664839.1 NS5 protein, partial [Sal Vieja virus] -YP_009664836.1 NS5 protein, partial [Dakar bat virus] -YP_009664835.1 NS5 protein, partial [Cowbone Ridge virus] -YP_009664834.1 NS5 protein, partial [Carey Island virus] -YP_009664833.1 NS5 protein, partial [Bukalasa bat virus] -YP_002922020.1 polyprotein [Wesselsbron virus] -YP_002790883.1 polyprotein [Bagaza virus] -YP_002790882.1 polyprotein [Kedougou virus] -YP_009553736.1 FtsJ [Kampung Karu virus] -YP_009553727.1 glycoprot [Kampung Karu virus] -YP_009553376.1 polyprotein [Kampung Karu virus] -YP_009553341.1 polyprotein [Rocio virus] -YP_009552278.1 polyprotein [Nanay virus] -YP_009430301.1 nonstructural protein NS1 [Zika virus] -YP_009430297.1 membrane glycoprotein precursor M [Zika virus] -YP_009351820.1 polyprotein [T'Ho virus] -YP_009350103.1 polyprotein [Yaounde virus] -YP_009333106.1 membrane glycoprotein M [Cacipacore virus] -YP_009333105.1 protein pr [Cacipacore virus] -YP_009333101.1 truncated polyprotein [Cacipacore virus] -YP_009333120.1 membrane glycoprotein precursor prM [Kokobera virus] -YP_009333132.1 protein pr [Japanese encephalitis virus] -YP_009329946.1 membrane glycoprotein precursor prM [Saint Louis encephalitis virus] -YP_009328360.1 flavivirus polyprotein [New Mapoon virus] -YP_009259651.1 truncated polyprotein [Chaoyang virus] -YP_009259525.1 membrane glycoprotein precursor M [Donggang virus] -YP_009126874.1 flavivirus polyprotein [Cacipacore virus] -YP_006846328.2 flavivirus polyprotein [Ntaya virus] -YP_005454257.1 flavivirus polyprotein [Chaoyang virus] -YP_006485884.1 truncated polyprotein [Usutu virus] -YP_006355435.1 truncated JEV polyprotein [Japanese encephalitis virus] -YP_005352889.1 flavivirus polyprotein [Donggang virus] -YP_001040006.1 flavivirus polyprotein [Ilheus virus] -YP_001040007.1 flavivirus polyprotein [Kokobera virus] -YP_001008348.1 polyprotein [Saint Louis encephalitis virus] -YP_164810.1 matrix protein M [Usutu virus] -YP_164264.1 flavivirus polyprotein [Usutu virus] -NP_775665.1 matrix protein M [Japanese encephalitis virus] -NP_059434.1 flavivirus polyprotein [Japanese encephalitis virus] -YP_009433741.1 polyprotein [Potiskum virus] -YP_009345019.1 polyprotein [Nounane virus] -YP_009344969.1 polyprotein [Jugra virus] -YP_009344968.1 polyprotein [Uganda S virus] -YP_009344961.1 polyprotein [Bouboui virus] -YP_009333117.1 protein pr [Murray Valley encephalitis virus] -YP_009256192.1 polyprotein [Edge Hill virus] -YP_009169331.1 polyprotein [Paraiso Escondido virus] -YP_009126875.1 polyprotein [Sokoluk virus] -YP_006485886.1 truncated polyprotein [Murray Valley encephalitis virus] -YP_950477.1 polyprotein [Entebbe bat virus] -YP_950478.1 polyprotein [Sepik virus] -NP_776000.1 PreM protein [Yellow fever virus] -NP_775999.1 anchored core protein C [Yellow fever virus] -NP_722530.1 membrane protein M [Murray Valley encephalitis virus] -NP_658908.1 polyprotein [Tamana bat virus] -NP_051124.1 polyprotein [Murray Valley encephalitis virus] -NP_041726.1 polyprotein precursor [Yellow fever virus] -NP_733807.2 membrane glycoprotein precursor [Dengue virus 1] -NP_059433.1 polyprotein [Dengue virus 1] -YP_009345036.1 polyprotein [Bamaga virus] -YP_009268582.1 truncated polyprotein [Lammi virus] -YP_009268566.1 truncated polyprotein [Ilomantsi virus] -YP_009056848.1 flavivirus polyprotein [Lammi virus] -YP_009056847.1 flavivirus polyprotein [Ilomantsi virus] -YP_009026410.1 polyprotein [Nhumirim virus] -YP_004734464.1 flavivirus polyprotein [Tembusu virus] -YP_001040004.1 flavivirus polyprotein [Aroa virus] -NP_872627.1 polyprotein [Yokose virus] -sp|G3FEX6.1|POLG_JAEVM RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|P33478.2|POLG_DEN1S RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q2YHF0.1|POLG_DEN4T RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q2YHF2.1|POLG_DEN4H RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|P27909.2|POLG_DEN1B RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q1X880.1|POLG_YEFVU RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q1X881.1|POLG_YEFVN RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q074N0.1|POLG_YEFVE RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q89277.2|POLG_YEFVF RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q9YRV3.1|POLG_YEFVT RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q9WDA6.1|POLG_DEN2Q RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q99D35.1|POLG_DEN3C RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q98803.1|POLG_YEFVI RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q6J3P1.1|POLG_YEFVC RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q5UB51.1|POLG_DEN3I RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|Q58HT7.1|POLG_DEN4P RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|P27914.1|POLG_DEN2T RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3 -sp|P14340.2|POLG_DEN2N RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|P14335.1|POLG_KUNJM RecName: Full=Genome polyprotein; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease/Helicase NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=NS5 -sp|P14403.1|POLG_JAEVN RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3 -sp|P07564.2|POLG_DEN2J RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -sp|P14339.1|POLG_DEN23 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -sp|P0DOK8.1|POLS_JAEVM RecName: Full=Structural polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1'; Short=NS1' -sp|P29165.1|POLG_YEFV8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A -sp|P0DOH7.1|POLS_JAEV1 RecName: Full=Structural polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1'; Short=NS1' -sp|P18356.2|POLG_DEN2U RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -sp|P30026.1|POLG_DEN2D RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -sp|P27912.1|POLG_DEN1A RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -sp|P27913.1|POLG_DEN1C RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -sp|P29984.1|POLG_DEN2H RecName: Full=Genome polyprotein; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -sp|P14338.1|POLG_DEN22 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -AIJ19432.1 polyprotein [Stratford virus] -AIG95628.1 NS5 protein, partial [Flavivirus Oc599-AL/ITA/2012] -AFZ40121.1 polyprotein [Dengue virus 2] -AHB17738.1 polyprotein [Saint Louis encephalitis virus] -AAN77511.1 NS5 protein, partial [Iguape virus] -AJY53440.1 nonstructural protein 5, partial [Mosquito flavivirus] -AHW48492.1 polyprotein [West Nile virus] -ACD13417.1 polyprotein [Dengue virus 3] -AFZ40120.1 polyprotein [Dengue virus 2] -ABU41789.1 polyprotein [West Nile virus] -AEK75355.1 polyprotein [Japanese encephalitis virus] -ADM88863.1 polyprotein [West Nile virus] -ABV55251.1 polyprotein [Dengue virus 2] -AGS41451.1 polyprotein, partial [Barkedji virus] -AJY53441.1 nonstructural protein 5, partial [Mosquito flavivirus] -AIU94741.1 polyprotein [Israel turkey meningoencephalomyelitis virus] -AIU94742.1 polyprotein [Naranjal virus] -AAN77512.1 NS5 protein, partial [Ilheus virus] -ACD93606.1 NS5 protein, partial [Calbertado virus] -AFZ40123.1 polyprotein [Dengue virus 2] -AFY22653.1 polyprotein [Murray Valley encephalitis virus] -AAV34154.1 polyprotein [Iguape virus] -AGJ84083.1 polyprotein [Ilheus virus] -AJY53439.1 nonstructural protein 5, partial [Mosquito flavivirus] -ABW76844.2 polyprotein [Koutango virus] -ANY58849.1 polyprotein [Dengue virus 2] -ALL27019.1 polyprotein [Zika virus] -AHL43502.1 polyprotein [Zika virus] -ANA85187.1 polyprotein, partial [Zika virus] -AIJ19434.1 polyprotein [Torres virus] -AQV12375.1 polyprotein, partial [Dengue virus] -AHG23125.1 polyprotein, partial [Dengue virus 2] -APD78607.1 polyprotein [Saint Louis encephalitis virus] -ACO82049.1 polyprotein [Chimeric Tick-borne encephalitis virus/Dengue virus 4] diff --git a/seq/clusters_info/cluster_40 b/seq/clusters_info/cluster_40 deleted file mode 100644 index 1161754..0000000 --- a/seq/clusters_info/cluster_40 +++ /dev/null @@ -1,62 +0,0 @@ -YP_009551535.1 VP4 protein [Bat rotavirus] -YP_008145319.1 VP4 [Rotavirus F chicken/03V0568/DEU/2003] -YP_003896048.1 VP4 [Rotavirus D chicken/05V0049/DEU/2005] -YP_002302230.1 outer capsid spike protein VP4 [Rotavirus A] -YP_392514.1 outer capsid spike protein [Rotavirus C] -sp|A2T3M1.1|VP4_ROTAP RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|B3SRR9.1|VP4_ROTAD RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P12473.2|VP4_ROTRH RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P0C6Y8.1|VP4_ROTP3 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|B3SRX5.1|VP4_ROTWI RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|B3SRR1.1|VP4_ROTH7 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11196.3|VP4_ROTHD RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11198.3|VP4_ROTH1 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11193.3|VP4_ROTHW RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11200.3|VP4_ROTHT RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P13842.2|VP4_ROTHK RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q02945.2|VP4_ROTEH RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P36308.2|VP4_ROTBK RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q3ZK58.1|VP4_ROT41 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q9WAK5.1|VP4_ROTYO RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q9WAK4.1|VP4_ROTHO RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q9E780.1|VP4_ROT18 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q998M5.1|VP4_ROTEO RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q98637.1|VP4_ROTCU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q98636.1|VP4_ROTEL RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q98635.1|VP4_ROTE1 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q98167.1|VP4_ROTRA RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q96642.1|VP4_ROTBV RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q8JNB4.1|VP4_ROTW3 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q8JNB1.1|VP4_ROT46 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q83445.1|VP4_ROTME RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q83440.1|VP4_ROTMB RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q6PLR4.1|VP4_ROTTU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q96802.1|VP4_ROTRF RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q06895.1|VP4_ROTHY RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q06894.1|VP4_ROTD9 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P35746.2|VP4_ROTBB RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P25173.2|VP4_ROTB4 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q09113.1|VP4_ROTHU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q08010.1|VP4_ROTB9 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P39033.1|VP4_ROTH3 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P39034.1|VP4_ROTF1 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q08778.1|VP4_ROTHQ RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P36307.1|VP4_ROTBA RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P36306.1|VP4_ROTB6 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P36305.1|VP4_ROTB5 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q01641.1|VP4_ROTHJ RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P30214.1|VP4_ROTH5 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P25174.1|VP4_ROTPY RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P23045.1|VP4_ROTPG RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P26193.1|VP4_ROTPC RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11114.1|VP4_ROTP5 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11194.2|VP4_ROTHV RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11195.2|VP4_ROTHP RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11199.2|VP4_ROTHN RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P11197.2|VP4_ROTHM RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P21284.1|VP4_ROTHL RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P26451.1|VP4_ROTH6 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P12474.1|VP4_ROTBU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P08713.1|VP4_ROTBC RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P17465.1|VP4_ROTBN RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q65525.1|VP4_ROTBS RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* diff --git a/seq/clusters_info/cluster_400 b/seq/clusters_info/cluster_400 deleted file mode 100644 index bd2a9fe..0000000 --- a/seq/clusters_info/cluster_400 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009518858.1 M2-1 protein [Human orthopneumovirus] -YP_009505456.1 Matrix protein M2-1 [Bovine respiratory syncytial virus ATCC51908] -YP_009094043.1 matrix protein M2-1 [Pneumovirus dog/Bari/100-12/ITA/2012] -YP_173333.1 Matrix protein M2-1 [Pneumonia virus of mice J3666] -NP_056864.1 M2-1 protein [Human orthopneumovirus] -YP_009513269.1 matrix protein 2-1 [Human metapneumovirus] -YP_009513224.1 M2-1 [Avian metapneumovirus] -NP_044597.1 matrix (M2/22K) [Respiratory syncytial virus] -sp|Q6WB97.1|M21_HMPVC RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein -sp|Q84132.1|M21_ORSVW RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein -sp|P29792.1|M21_BRSVA RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein; AltName: Full=Transcription antitermination factor M2-1 -sp|Q2Y2M2.1|M21_AMPV1 RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein diff --git a/seq/clusters_info/cluster_401 b/seq/clusters_info/cluster_401 deleted file mode 100644 index 58a1d55..0000000 --- a/seq/clusters_info/cluster_401 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009518856.1 attachment glycoprotein [Human orthopneumovirus] -NP_056862.1 attachment glycoprotein [Human orthopneumovirus] -NP_044595.1 Attachment glycoprotein (G) [Respiratory syncytial virus] -sp|P20895.2|GLYC_HRSVL RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P23041.1|GLYC_HRSV8 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P27026.1|GLYC_HRSV7 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P27025.1|GLYC_HRSV6 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P27024.1|GLYC_HRSV5 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P27023.1|GLYC_HRSV4 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P27022.1|GLYC_HRSV3 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P27021.1|GLYC_HRSV2 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P20896.1|GLYC_HRSV1 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG diff --git a/seq/clusters_info/cluster_402 b/seq/clusters_info/cluster_402 deleted file mode 100644 index fa10d13..0000000 --- a/seq/clusters_info/cluster_402 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009055052.1 2B [gallivirus A1] -YP_009179372.1 2B [sicinivirus A1] -YP_009167363.1 2B [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -YP_009167352.1 2B [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -YP_009054998.1 2B [Chicken picornavirus 1] -YP_009021772.1 2B [Sicinivirus A] -YP_009001375.1 2B [Caprine kobuvirus] -YP_008802667.1 2B [Feline sakobuvirus A] -YP_003853293.1 2B [Passerivirus A1] -YP_003038639.1 2B [Salivirus NG-J1] -NP_859023.1 2B [Aichivirus B] -NP_740439.1 2B [Aichi virus 1] diff --git a/seq/clusters_info/cluster_403 b/seq/clusters_info/cluster_403 deleted file mode 100644 index 81808ea..0000000 --- a/seq/clusters_info/cluster_403 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009104369.1 polyprotein [Carrot torradovirus 1] -YP_009505619.1 polyprotein [Carrot necrotic dieback virus] -YP_009389546.1 polyprotein [Squash chlorotic leaf spot virus] -YP_009389541.1 polyprotein [Motherwort yellow mottle virus] -YP_009389536.1 polyprotein [Lettuce necrotic leaf curl virus] -YP_009165993.1 polyprotein [Tomato necrotic dwarf virus] -YP_003097229.1 polyprotein [Tomato chocolate spot virus] -YP_001976147.1 polyprotein [Tomato marchitez virus] -YP_001039627.1 polyprotein [Tomato torrado virus] -NP_619734.1 polyprotein [Parsnip yellow fleck virus] -ACU01024.1 polyprotein [Tomato chocolate virus] -AFR11853.1 polyprotein, partial [Cadicistrovirus] diff --git a/seq/clusters_info/cluster_404 b/seq/clusters_info/cluster_404 deleted file mode 100644 index 70df5c9..0000000 --- a/seq/clusters_info/cluster_404 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009337399.1 hypothetical protein [Wenzhou picorna-like virus 47] -YP_009336709.1 hypothetical protein 1 [Wenling crustacean virus 5] -YP_009336650.1 hypothetical protein 1 [Wenling crustacean virus 6] -YP_009336637.1 non structural polyprotein [Biomphalaria virus 1] -YP_009333591.1 hypothetical protein 1 [Beihai picorna-like virus 116] -YP_009333588.1 hypothetical protein 1 [Beihai picorna-like virus 114] -YP_009333564.1 hypothetical protein 1 [Beihai picorna-like virus 115] -YP_009333522.1 hypothetical protein 1 [Beihai shrimp virus 2] -YP_009333571.1 hypothetical protein 1 [Beihai sea slater virus 2] -APS85749.1 non structural polyprotein [Biomphalaria virus 1] -APG79015.1 hypothetical protein, partial [Changjiang picorna-like virus 17] -YP_009230126.1 polyprotein [Marine RNA virus PAL_E4] diff --git a/seq/clusters_info/cluster_405 b/seq/clusters_info/cluster_405 deleted file mode 100644 index 5f7e1fd..0000000 --- a/seq/clusters_info/cluster_405 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009824950.1 E protein [Zambian malbrouck virus 1] -YP_009505573.1 ORF4a protein [Simian hemorrhagic encephalitis virus] -YP_009505561.1 ORF4a [Kibale red-tailed guenon virus 1] -YP_009362010.1 E protein [Kibale red colobus virus 2] -YP_009344811.1 E protein [Kibale red colobus virus 1] -YP_009249814.1 E protein [Free State vervet virus] -YP_009221999.1 E protein [Kafue kinda chacma baboon virus] -YP_009140482.1 E protein [Pebjah virus] -YP_009121778.1 E protein [DeBrazza's monkey arterivirus] -YP_009037600.1 nonglycosylated minor structural protein [Simian hemorrhagic fever virus] -YP_009067069.1 E protein [Southwest baboon virus 1] -YP_009067055.1 E protein [Mikumi yellow baboon virus 1] diff --git a/seq/clusters_info/cluster_406 b/seq/clusters_info/cluster_406 deleted file mode 100644 index 070934d..0000000 --- a/seq/clusters_info/cluster_406 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009824949.1 ORF4' protein [Zambian malbrouck virus 1] -YP_009505572.1 ORF3 protein [Simian hemorrhagic encephalitis virus] -YP_009505560.1 ORF3 [Kibale red-tailed guenon virus 1] -YP_009362009.1 minor glycoprotein [Kibale red colobus virus 2] -YP_009344810.1 minor glycoprotein [Kibale red colobus virus 1] -YP_009249813.1 ORF4' protein [Free State vervet virus] -YP_009221998.1 ORF4' protein [Kafue kinda chacma baboon virus] -YP_009140481.1 ORF4' protein [Pebjah virus] -YP_009121776.1 ORF4' protein [DeBrazza's monkey arterivirus] -YP_009067068.1 ORF4' protein [Southwest baboon virus 1] -YP_009067054.1 ORF4' protein [Mikumi yellow baboon virus 1] -NP_203546.1 minor glycoprotein [Simian hemorrhagic fever virus] diff --git a/seq/clusters_info/cluster_407 b/seq/clusters_info/cluster_407 deleted file mode 100644 index e2e6feb..0000000 --- a/seq/clusters_info/cluster_407 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009665977.1 TGBp2 [Drakaea virus A] -YP_009665982.1 beta-D protein [Poa semilatent virus] -YP_009508256.1 beta-D protein [Lychnis ringspot virus] -YP_009220372.1 triple gene block protein 2 [Colombian potato soil-borne virus] -YP_009047255.1 putative triple gene block 2 [Gentian ovary ringspot virus] -NP_835266.1 P14 protein (TGBp2) [Indian peanut clump virus] -NP_740765.1 unnamed protein product [Broad bean necrosis virus] -NP_620439.1 triple-gene-block protein 2 (TGBp2) [Potato mop-top virus] -NP_620031.1 second triple gene block protein [Peanut clump virus] -NP_612612.1 Second triple gene block gene [Beet virus Q] -NP_612626.1 13K protein [Beet soil-borne virus] -sp|P04869.2|TGB2_BSMV RecName: Full=Movement protein TGB2; AltName: Full=14 kDa protein; AltName: Full=Beta-D protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2; Contains: RecName: Full=TGB2' protein diff --git a/seq/clusters_info/cluster_408 b/seq/clusters_info/cluster_408 deleted file mode 100644 index c834010..0000000 --- a/seq/clusters_info/cluster_408 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009552275.1 RNA-dependent RNA polymerase [Pythium polare RNA virus 1] -YP_009337111.1 hypothetical protein 5 [Wenzhou crab virus 5] -YP_009336932.1 hypothetical protein 2 [Hubei toti-like virus 18] -YP_009336917.1 hypothetical protein 3 [Hubei toti-like virus 17] -YP_009336893.1 hypothetical protein 2 [Sanxia water strider virus 20] -YP_009336670.1 hypothetical protein 2 [Hubei toti-like virus 21] -YP_009336642.1 hypothetical protein 2 [Hubei toti-like virus 20] -YP_009336732.1 hypothetical protein 2 [Shuangao toti-like virus] -YP_009333170.1 hypothetical protein 2 [Hubei toti-like virus 19] -YP_009072448.1 RNA-dependent RNA polymerase [Leptopilina boulardi Toti-like virus] -APG76000.1 hypothetical protein, partial [Hubei toti-like virus 22] -BAJ23141.1 RNA-dependent RNA polymerase [Rhizophagus sp. RF1 medium virus] diff --git a/seq/clusters_info/cluster_409 b/seq/clusters_info/cluster_409 deleted file mode 100644 index ce2aaf3..0000000 --- a/seq/clusters_info/cluster_409 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009552019.1 hypothetical protein [Culex-associated Tombus-like virus] -YP_009345113.1 hypothetical protein 2 [Wuhan insect virus 35] -YP_009337209.1 hypothetical protein 2 [Sanxia tombus-like virus 8] -YP_009337113.1 RNA-dependent RNA polymerase [Hubei tombus-like virus 28] -YP_009336934.1 hypothetical protein 2 [Hubei tombus-like virus 29] -YP_009336818.1 hypothetical protein 2 [Hubei diptera virus 15] -YP_009336740.1 hypothetical protein 2 [Hubei tombus-like virus 26] -YP_009337165.1 hypothetical protein 2 [Hubei tombus-like virus 27] -YP_009333257.1 hypothetical protein 2 [Hubei tombus-like virus 30] -ANG56339.1 putative RNA-dependent RNA polymerase [Diaphorina citri associated C virus] -AMO03231.1 hypothetical protein 1 [Tartou virus] -APG76298.1 hypothetical protein 2, partial [Shangao tombus-like virus 1] diff --git a/seq/clusters_info/cluster_41 b/seq/clusters_info/cluster_41 deleted file mode 100644 index 1a68ee1..0000000 --- a/seq/clusters_info/cluster_41 +++ /dev/null @@ -1,61 +0,0 @@ -YP_009362034.1 RNA-dependent RNA polymerase [Fugong virus] -YP_010088064.1 RNA-dependent RNA polymerase [Perch actinovirus] -YP_010088058.1 RdRp [Tatenale orthohantavirus] -YP_010088054.1 RNA-dependent RNA polymerase [Robina orthohantavirus] -YP_010086165.1 RNA-dependent RNA polymerase, partial [Brno virus] -YP_010085035.1 L protein [Wenling hagfish virus] -YP_010085034.1 L protein [Wenling red spikefish hantavirus] -YP_010085033.1 L protein [Wenling yellow goosefish hantavirus] -YP_010085032.1 L protein, partial [Wenling minipizza batfish hantavirus] -YP_010085031.1 L protein [Hainan oriental leaf-toed gecko hantavirus] -YP_010084718.1 RNA-dependent RNA polymerase [Tigray virus] -YP_009666009.1 RNA-dependent RNA polymerase, partial [Necocli virus] -YP_009665160.1 RNA-dependent RNA polymerase, partial [Oxbow virus] -YP_009664868.1 L protein, partial [Longquan virus] -YP_009664720.1 RNA-dependent RNA polymerase, partial [Asikkala orthohantavirus] -YP_009507252.1 RNA-dependent RNA polymerase [Laibin virus] -YP_009505596.1 RNA-dependent RNA polymerase [Bayou orthohantavirus] -YP_009362290.1 RNA-dependent RNA polymerase [Imjin virus] -YP_009362288.1 RNA-dependent RNA polymerase [Kenkeme virus] -YP_009362097.1 RNA-dependent RNA polymerase [Khabarovsk orthohantavirus] -YP_009362095.1 RNA polymerase [Sangassou orthohantavirus] -YP_009362093.1 RNA-dependent RNA polymerase [Cano Delgadito orthohantavirus] -YP_009508266.1 RNA-dependent RNA polymerase [Prospect Hill orthohantavirus] -YP_009507331.1 RNA-dependent RNA polymerase [Eothenomys miletus hantavirus LX309] -YP_009362282.1 RNA-dependent RNA polymerase [Maporal virus] -YP_009362284.1 RNA-dependent RNA polymerase [Anjozorobe virus] -YP_009362057.1 polymerase [Cao Bang orthohantavirus] -YP_009362032.1 RNA-dependent RNA polymerase [Nova virus] -YP_009361853.1 RNA-dependent RNA polymerase [Bowe virus] -YP_009361849.1 RNA-dependent RNA polymerase [Montano orthohantavirus] -YP_009361848.1 RNA-dependent RNA polymerase [Bruges virus] -YP_009361845.1 RNA-dependent RNA polymerase [Jeju virus] -YP_009361847.1 RNA-dependent RNA polymerase [Quezon virus] -YP_001911124.1 RNA-dependent RNA polymerase [Thottopalayam virus] -YP_138530.1 putative L protein [Hantavirus Z10] -NP_942558.1 RNA-dependent RNA polymerase [Seoul orthohantavirus] -NP_942555.1 polymerase protein [Dobrava-Belgrade orthohantavirus] -NP_942124.1 RNA polymerase (L protein) [Tula orthohantavirus] -NP_941976.1 viral RNA polymerase (L protein) [Sin Nombre orthohantavirus] -NP_941982.1 putative polymerase [Hantaan orthohantavirus] -NP_604473.1 RNA polymerase [Andes orthohantavirus] -sp|P27176.1|L_PUUMG RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -sp|P0C760.1|L_PUUMS RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -AFQ36588.1 RNA-dependent RNA polymerase [Kilimanjaro virus] -AGP03148.2 RNA-dependent RNA polymerase [Xuan son virus] -ANS56990.1 RNA-dependent RNA polymerase [Nova virus] -AEA11486.1 RNA-dependent RNA polymerase [Rockport virus] -AEA42011.1 RNA-dependent RNA polymerase, partial [Azagny virus] -AFQ36585.1 RNA-dependent RNA polymerase [Uluguru virus] -AKI28848.1 RNA-dependent RNA polymerase, partial [Altai virus] -AHA83412.1 RNA-dependent RNA polymerase [Xinyi virus] -ABV21394.1 RNA-dependent RNA polymerase [Imjin virus] -ADZ76455.1 RNA-dependent RNA polymerase [Black Creek Canal orthohantavirus] -ACI32065.1 RNA-dependent RNA polymerase, partial [Asama virus] -ANW82746.1 RNA-dependent RNA polymerase, partial [Makokou virus] -AID51349.1 RNA-dependent RNA polymerase [Camp Ripley virus] -ABP87977.1 RNA-dependent RNA polymerase [Choclo virus] -ABN51178.1 L protein [Puumala orthohantavirus] -BAK08527.1 RNA-dependent RNA polymerase [Huitzilac virus] -AIL25331.1 RNA-dependent RNA polymerase [Yuanjiang virus] -AIF28825.1 RNA-dependent RNA polymerase [Thottopalayam virus] diff --git a/seq/clusters_info/cluster_410 b/seq/clusters_info/cluster_410 deleted file mode 100644 index b6bfde6..0000000 --- a/seq/clusters_info/cluster_410 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009666836.1 matrix [Vaprio virus] -YP_009362197.1 matrix [Keuraliba virus] -YP_009362192.1 matrix [Nkolbisson virus] -YP_009362157.1 matrix [Barur virus] -YP_009508525.1 matrix [Kanyawara virus] -YP_009505473.1 hypothetical protein [Nishimuro ledantevirus] -YP_009362241.1 matrix [Mount Elgon bat virus] -YP_009362000.1 matrix [Fukuoka virus] -YP_009361992.1 matrix [Kern Canyon virus] -YP_009361870.1 matrix [Le Dantec virus] -YP_009305120.1 matrix protein [Yongjia Tick Virus 2] -YP_009305100.1 matrix protein [Wuhan Louse Fly Virus 5] diff --git a/seq/clusters_info/cluster_411 b/seq/clusters_info/cluster_411 deleted file mode 100644 index e3a518c..0000000 --- a/seq/clusters_info/cluster_411 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009389474.1 triple gene block protein 1 [Vanilla latent virus] -YP_009362669.1 TGB1 [Alfalfa virus S] -YP_009328893.1 TGBp1 [Arachis pintoi virus] -YP_009110669.1 TGB1 [Garlic virus B] -YP_008855207.1 TGB1 [Garlic virus D] -YP_004659201.1 TGB-1 [Blackberry virus E] -YP_001718500.1 triple gene block protein 1 [Lolium latent virus] -NP_659011.1 TGB1 protein [Garlic virus E] -NP_620649.1 helicase [Shallot virus X] -NP_569127.1 27kDa protein [Garlic virus A] -NP_569133.1 27kDa protein [Garlic virus C] -NP_044572.1 helicase [Garlic virus X] diff --git a/seq/clusters_info/cluster_412 b/seq/clusters_info/cluster_412 deleted file mode 100644 index f299c4d..0000000 --- a/seq/clusters_info/cluster_412 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009665973.1 capsid protein [Aspergillus ochraceous virus] -NP_659028.1 putative coat protein [Gremmeniella abietina RNA virus MS1] -YP_009508237.1 capsid protein [Ophiostoma partitivirus 1] -YP_009259751.1 capsid protein [Pseudogymnoascus destructans partitivirus-pa] -YP_009182331.1 47 kDa protein [Penicillium aurantiogriseum partitivirus 1] -YP_009164039.1 coat protein [Verticillium dahliae partitivirus 1] -YP_001686790.1 coat protein [Botryotinia fuckeliana partitivirus 1] -YP_001686791.1 hypothetical protein BFPV1_s3gp1 [Botryotinia fuckeliana partitivirus 1] -YP_052857.1 capsid protein [Penicillium stoloniferum virus S] -NP_624351.1 capsid protein [Fusarium solani virus 1] -NP_620302.1 capsid protein [Discula destructiva virus 2] -NP_116742.1 viral capsid protein [Discula destructiva virus 1] diff --git a/seq/clusters_info/cluster_413 b/seq/clusters_info/cluster_413 deleted file mode 100644 index 6ef73ef..0000000 --- a/seq/clusters_info/cluster_413 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009665962.1 polyprotein [Broad bean stain virus] -YP_009507888.1 polyprotein [Andean potato mottle virus] -YP_009175091.1 polyprotein [Bean rugose mosaic virus] -YP_008400127.1 polyprotein [Broad bean true mosaic virus] -YP_003193666.1 polyprotein [Turnip ringspot virus] -YP_001911127.1 RNA2-polyprotein [Radish mosaic virus] -NP_620658.1 polyprotein [Squash mosaic virus] -NP_620464.1 hypothetical protein [Red clover mottle virus] -NP_619517.1 polyprotein [Cowpea severe mosaic virus] -NP_613285.1 unnamed protein product [Cowpea mosaic virus] -NP_612348.1 coat protein [Bean pod mottle virus] -sp|P36341.3|POL2_SQMVM RecName: Full=RNA2 polyprotein; AltName: Full=Genome polyprotein M; AltName: Full=M RNA polyprotein; AltName: Full=Middle component RNA polyprotein; AltName: Full=P2; Contains: RecName: Full=VP58; Contains: RecName: Full=Movement protein; Short=MP; Contains: RecName: Full=Large capsid protein; Short=LCP; AltName: Full=42k coat protein; AltName: Full=Coat protein VP42; AltName: Full=L subunit; AltName: Full=Large coat protein; Contains: RecName: Full=Small capsid protein; Short=SCP; AltName: Full=22k coat protein; AltName: Full=Coat protein VP22; AltName: Full=S subunit diff --git a/seq/clusters_info/cluster_414 b/seq/clusters_info/cluster_414 deleted file mode 100644 index e0b38fa..0000000 --- a/seq/clusters_info/cluster_414 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009507782.1 major outer capsid [Pulau reovirus] -YP_009445955.1 outer shell protein [Piscine orthoreovirus] -YP_009246472.1 mu B [Mahlapitsi orthoreovirus] -YP_009110703.1 major outer capsid [Cangyuan orthoreovirus] -YP_009020579.1 muB [Bush viper reovirus] -YP_004769551.1 outer shell protein [Baboon orthoreovirus] -YP_004226525.1 mu-B protein [Avian orthoreovirus] -YP_003717775.1 major outer capsid protein [Broome virus] -YP_003199421.1 mu-1 protein [Mammalian orthoreovirus 3] -sp|P11078.4|MU1_REOVD RecName: Full=Outer capsid protein mu-1; Short=Mu1; Contains: RecName: Full=Outer capsid protein mu-1N; Contains: RecName: Full=Outer capsid protein mu-1C -sp|P11077.4|MU1_REOVL RecName: Full=Outer capsid protein mu-1; Short=Mu1; Contains: RecName: Full=Outer capsid protein mu-1N; Contains: RecName: Full=Outer capsid protein mu-1C -sp|P12397.3|MU1_REOVJ RecName: Full=Outer capsid protein mu-1; Short=Mu1; Contains: RecName: Full=Outer capsid protein mu-1N; Contains: RecName: Full=Outer capsid protein mu-1C diff --git a/seq/clusters_info/cluster_415 b/seq/clusters_info/cluster_415 deleted file mode 100644 index a9fc86c..0000000 --- a/seq/clusters_info/cluster_415 +++ /dev/null @@ -1,12 +0,0 @@ -YP_009449559.1 hemagglutinin-esterase precursor [Influenza D virus (D/swine/Oklahoma/1334/2011)] -YP_089655.1 hemagglutinin-esterase-fusion [Influenza C virus (C/Ann Arbor/1/50)] -sp|P07975.1|HEMA_INCJH RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P03465.1|HEMA_INCCA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P68761.1|HEMA_INCYA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|Q67387.1|HEMA_INCNB RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P07974.1|HEMA_INCTA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P07966.1|HEMA_INCP3 RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P17005.1|HEMA_INCNA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P07970.1|HEMA_INCMI RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P07971.1|HEMA_INCGL RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -sp|P07973.1|HEMA_INCEN RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor diff --git a/seq/clusters_info/cluster_416 b/seq/clusters_info/cluster_416 deleted file mode 100644 index 74db85a..0000000 --- a/seq/clusters_info/cluster_416 +++ /dev/null @@ -1,12 +0,0 @@ -NP_056666.1 nonstructural protein NS-1 [Influenza B virus (B/Lee/1940)] -sp|P12599.2|NS1_INBRU RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12598.2|NS1_INBPA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P69256.1|NS1_INBBA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P69254.1|NS1_INBAD RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12602.1|NS1_INBVJ RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12600.1|NS1_INBSI RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12597.1|NS1_INBMD RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12596.1|NS1_INBID RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12595.1|NS1_INBHT RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12593.1|NS1_INBGL RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -sp|P12592.1|NS1_INBGA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A diff --git a/seq/clusters_info/cluster_417 b/seq/clusters_info/cluster_417 deleted file mode 100644 index 0d420b0..0000000 --- a/seq/clusters_info/cluster_417 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009094070.1 M [Avian paramyxovirus penguin/Falkland Islands/324/2007] -YP_009553492.1 matrix protein [Avian metaavulavirus 20] -YP_009513216.1 matrix protein [Avian metaavulavirus 2] -YP_009512953.1 matrix protein [Avian metaavulavirus 8] -YP_009512946.1 matrix protein [Avian metaavulavirus 6] -YP_009508495.1 matrix protein [Avian paramyxovirus 14] -YP_009380506.1 matrix protein [Avian paramyxovirus 15] -YP_009094480.1 M protein [Avian metaavulavirus 11] -YP_009094214.1 matrix protein [Avian paraavulavirus 3] -YP_009094157.1 matrix protein [Avian metaavulavirus 5] -YP_009094057.1 matrix protein [Avian metaavulavirus 7] diff --git a/seq/clusters_info/cluster_418 b/seq/clusters_info/cluster_418 deleted file mode 100644 index 47593a4..0000000 --- a/seq/clusters_info/cluster_418 +++ /dev/null @@ -1,11 +0,0 @@ -NP_542260.1 tax protein [Primate T-lymphotropic virus 3] -NP_049561.1 tax protein [Primate T-lymphotropic virus 1] -YP_002455788.1 tax protein [Human T-lymphotropic virus 4] -YP_002308476.1 tax protein [Simian T-cell lymphotropic virus 6] -NP_056910.1 tax protein [Simian T-lymphotropic virus 2] -NP_041005.1 tax protein [Human T-lymphotropic virus 2] -NP_057863.1 p27 [Human T-cell leukemia virus type I] -sp|P0C222.1|TAX_HTL1L RecName: Full=Protein Tax-1; AltName: Full=Protein X-LOR; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-1 -sp|P0C213.1|TAX_HTL1F RecName: Full=Protein Tax-1; AltName: Full=Protein X-LOR; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-1 -sp|P03409.2|TAX_HTL1A RecName: Full=Protein Tax-1; AltName: Full=Protein X-LOR; Short=Protein PX; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-1 -sp|Q0R5R1.1|TAX_HTL32 RecName: Full=Protein Tax-3; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-3 diff --git a/seq/clusters_info/cluster_419 b/seq/clusters_info/cluster_419 deleted file mode 100644 index 9073e93..0000000 --- a/seq/clusters_info/cluster_419 +++ /dev/null @@ -1,11 +0,0 @@ -NP_542259.1 rex protein [Primate T-lymphotropic virus 3] -YP_002455787.1 rex protein [Human T-lymphotropic virus 4] -YP_002308475.1 rex protein [Simian T-cell lymphotropic virus 6] -NP_056909.1 hypothetical protein STLV2gp06 [Simian T-lymphotropic virus 2] -NP_056908.1 rex protein [Simian T-lymphotropic virus 2] -NP_041004.1 rex 26 kD protein [Human T-lymphotropic virus 2] -sp|P0C208.1|REX_HTL1L RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -sp|P0C206.1|REX_HTL1C RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -sp|P0C205.1|REX_HTL1A RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -sp|P0C207.1|REX_HTL1F RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -sp|Q0R5R0.2|REX_HTL32 RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-3 diff --git a/seq/clusters_info/cluster_42 b/seq/clusters_info/cluster_42 deleted file mode 100644 index 0128b7a..0000000 --- a/seq/clusters_info/cluster_42 +++ /dev/null @@ -1,61 +0,0 @@ -YP_009173869.1 large envelope protein [Hepatitis B virus] -YP_009553237.1 surface protein [Domestic cat hepadnavirus] -YP_009666524.1 large surface antigen [Capuchin monkey hepatitis B virus] -YP_009506647.1 surface antigen [Pomona bat hepatitis B virus] -YP_009175035.1 preS1 surface protein [Woolly monkey hepatitis B virus] -YP_009165600.1 surface protein [White sucker hepatitis B virus] -YP_009046000.1 surface protein [Tent-making bat hepatitis B virus] -YP_009045996.1 surface protein [Horseshoe bat hepatitis B virus] -YP_009045992.1 surface protein [Roundleaf bat hepatitis B virus] -YP_007678000.1 surface antigen [Long-fingered bat hepatitis B virus] -NP_671814.1 surface protein [Woodchuck hepatitis virus] -sp|P31868.2|HBSAG_HBVC1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q9WKC4.1|HBSAG_HBVGO RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q77NU1.1|HBSAG_HBVOR RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P87745.1|HBSAG_HBVGB RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P12911.3|HBSAG_HBVCP RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q76R62.2|HBSAG_HBVCJ RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P31869.2|HBSAG_HBVC2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P31873.2|HBSAG_HBVA1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q81162.2|HBSAG_HBVC8 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q69606.2|HBSAG_HBVF6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q998M2.2|HBSAG_HBVD5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q998L9.2|HBSAG_HBVC9 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q67867.2|HBSAG_HBVC4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q4R1S6.1|HBSAG_HBVA8 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q4R1R8.1|HBSAG_HBVA9 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q9QMI0.1|HBSAG_HBVD4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q9QBF0.1|HBSAG_HBVB7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q9PWW3.1|HBSAG_HBVB5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q9IBI3.1|HBSAG_HBVG3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q9E6S4.1|HBSAG_HBVC0 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q99HR4.1|HBSAG_HBVF4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q913A6.1|HBSAG_HBVC7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q8JMY6.1|HBSAG_HBVH1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q80IU6.1|HBSAG_HBVE2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q67926.1|HBSAG_HBVB6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q67875.1|HBSAG_HBVD6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|O92921.1|HBSAG_HBVD7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|O91534.1|HBSAG_HBVA7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q02317.3|HBSAG_HBVA5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P03139.3|HBSAG_HBVD1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P03142.4|HBSAG_HBVA2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P17399.3|HBSAG_HBVB4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P17398.3|HBSAG_HBVB1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P17397.3|HBSAG_HBVB2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P24025.3|HBSAG_HBVD2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P17101.3|HBSAG_HBVA4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P12934.3|HBSAG_HBVC3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P03141.3|HBSAG_HBVA3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q8JXB9.2|HBSAG_HBVB8 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q69603.2|HBSAG_HBVE1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q9QAB7.1|HBSAG_HBVB3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q99HS3.1|HBSAG_HBVF3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q91C35.1|HBSAG_HBVA6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|Q05496.3|HBSAG_HBVF1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P03140.3|HBSAG_HBVC5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P06432.1|HBSAG_WHV2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P12910.1|HBSAG_WHV3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P03143.1|HBSAG_WHV1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P03144.1|HBSAG_GSHV RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -sp|P11293.2|HBSAG_WHV6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen diff --git a/seq/clusters_info/cluster_420 b/seq/clusters_info/cluster_420 deleted file mode 100644 index 49c2849..0000000 --- a/seq/clusters_info/cluster_420 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009924386.1 nsp4 [Rousettus bat coronavirus HKU9] -YP_009944295.1 nsp4 [Betacoronavirus England 1] -YP_009944369.1 nsp4 [SARS coronavirus Tor2] -YP_009944357.1 nsp4 [Pipistrellus bat coronavirus HKU5] -YP_009944328.1 nsp4 [Tylonycteris bat coronavirus HKU4] -YP_009944272.1 nsp4 (TM2) [Human coronavirus HKU1] -YP_009944257.1 nsp4 [Rabbit coronavirus HKU14] -YP_009924371.1 nsp4 [Rat coronavirus Parker] -YP_209247.1 nsp4 or p44 [Murine hepatitis virus strain JHM] -YP_009924322.1 nsp4 [Human coronavirus OC43] -YP_009742611.1 nsp4 [Severe acute respiratory syndrome coronavirus 2] diff --git a/seq/clusters_info/cluster_421 b/seq/clusters_info/cluster_421 deleted file mode 100644 index 89fea91..0000000 --- a/seq/clusters_info/cluster_421 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009755897.1 spike protein [Canada goose coronavirus] -YP_009824998.1 spike glycoprotein [Infectious bronchitis virus] -NP_040831.1 spike protein [Infectious bronchitis virus] -sp|P30208.1|SPIKE_IBVU3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Flags: Precursor -sp|P30207.1|SPIKE_IBVU2 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Flags: Precursor -sp|P12651.1|SPIKE_IBVM RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P12650.1|SPIKE_IBVK RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P17662.2|SPIKE_IBVD3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Flags: Precursor -sp|P12722.1|SPIKE_IBVD2 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P05135.1|SPIKE_IBV6 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P30206.1|SPIKE_IBVU1 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Flags: Precursor diff --git a/seq/clusters_info/cluster_422 b/seq/clusters_info/cluster_422 deleted file mode 100644 index 563b0b2..0000000 --- a/seq/clusters_info/cluster_422 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009336485.1 envelope protein [Lucheng Rn rat coronavirus] -YP_009256199.1 envelope protein [Ferret coronavirus] -NP_058426.1 envelope protein [Transmissible gastroenteritis virus] -YP_009380523.1 envelope protein [Coronavirus AcCoV-JC34] -YP_009199245.1 envelope protein [Swine enteric coronavirus] -YP_009019184.1 envelope protein [Mink coronavirus strain WD1127] -YP_004070197.1 E protein [Feline infectious peritonitis virus] -sp|P36696.1|VEMP_CVCAI RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|Q7T6T0.1|VEMP_CVCBG RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P69611.1|VEMP_CVPR8 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P22655.1|VEMP_CVPFS RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein diff --git a/seq/clusters_info/cluster_423 b/seq/clusters_info/cluster_423 deleted file mode 100644 index b7059fa..0000000 --- a/seq/clusters_info/cluster_423 +++ /dev/null @@ -1,11 +0,0 @@ -YP_010088085.1 capsid protein [Chrysothrix chrysovirus 1] -YP_009667014.1 coat protein [Colletotrichum gloeosporioides chrysovirus 1] -YP_009667010.1 capsid protein [Macrophomina phaseolina chrysovirus 1] -YP_009665201.1 coat protein, partial [Fusarium oxysporum chrysovirus 1] -YP_009507943.1 capsid protein [Cryphonectria nitschkei chrysovirus 1] -YP_009508105.1 capsid protein [Aspergillus fumigatus chrysovirus] -YP_009507946.1 capsid protein [Verticillium dahliae chrysovirus 1] -YP_009337889.1 capsid protein [Isaria javanica chrysovirus 1] -YP_001531162.1 putative coat protein [Amasya cherry disease associated chrysovirus] -YP_392483.1 major capsid protein [Penicillium chrysogenum virus] -YP_052859.1 putative capsid protein [Helminthosporium victoriae 145S virus] diff --git a/seq/clusters_info/cluster_424 b/seq/clusters_info/cluster_424 deleted file mode 100644 index 2bdef43..0000000 --- a/seq/clusters_info/cluster_424 +++ /dev/null @@ -1,11 +0,0 @@ -YP_010086053.1 RNA-dependent RNA polymerase [Penicillium brevicompactum tetramycovirus 1] -YP_010086046.1 ORF1a [Magnaporthe oryzae polymycovirus 1] -YP_010086037.1 RNA-dependent RNA-polymerase [Fusarium redolens polymycovirus 1] -YP_009352879.1 RNA dependent RNA polymerase [Beauveria bassiana polymycovirus 1] -YP_009052470.1 putative RNA-dependent RNA polymerase [Cladosporium cladosporioides virus 1] -YP_009551548.1 RNA-dependent RNA polymerase [Penicillium digitatum polymycoviruses 1] -YP_009551547.1 RNA-dependent RNA polymerase [Aspergillus fumigatus polymycovirus 1] -YP_009342446.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea virus 1] -ALZ41794.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea virus 1] -AJP08049.1 RNA-dependent RNA-polymerase, partial [Alternaria tenuissima virus] -CDP74618.1 RNA dependent RNA polymerase [Aspergillus fumigatus tetramycovirus 1] diff --git a/seq/clusters_info/cluster_425 b/seq/clusters_info/cluster_425 deleted file mode 100644 index e172d0a..0000000 --- a/seq/clusters_info/cluster_425 +++ /dev/null @@ -1,11 +0,0 @@ -YP_010087747.1 triple gene block protein 3 [Euonymus yellow mottle associated virus] -YP_009553179.1 triple gene block protein 3 [Chrysanthemum virus R] -YP_009275353.1 triple gene block protein III [Jasmine virus C] -YP_009224955.1 triple gene block protein 3 [Elderberry carlavirus E] -YP_006589922.1 TGB3 [Sweet potato C6 virus] -YP_006297589.1 unnamed protein product [American hop latent virus] -YP_003324584.1 triple gene block protein 3 [Butterbur mosaic virus] -YP_002308450.1 triple gene block 3 protein [Hippeastrum latent virus] -YP_002302560.1 triple gene block protein 3 [Potato latent virus] -YP_277432.1 7K protein [Potato virus S] -sp|P16652.1|TGB3_PVSP RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 diff --git a/seq/clusters_info/cluster_426 b/seq/clusters_info/cluster_426 deleted file mode 100644 index 1fd04c3..0000000 --- a/seq/clusters_info/cluster_426 +++ /dev/null @@ -1,11 +0,0 @@ -YP_010087628.1 putative G protein [Strawberry cytorhabdovirus 1] -YP_010087293.1 glycoprotein [Raspberry vein chlorosis virus] -YP_010086793.1 glycoprotein [Cabbage cytorhabdovirus 1] -YP_009177019.1 glycoprotein [Alfalfa dwarf virus] -YP_009352238.1 G protein [Tomato yellow mottle-associated virus] -YP_009301360.1 glycoprotein [Wuhan Insect virus 6] -YP_009300874.1 glycoprotein [Wuhan Insect virus 5] -YP_009300688.1 glycoprotein [Wuhan Insect virus 4] -YP_006576505.1 glycoprotein [Persimmon virus A] -YP_002308375.1 G protein [Lettuce yellow mottle virus] -YP_425091.1 glycoprotein [Lettuce necrotic yellows virus] diff --git a/seq/clusters_info/cluster_427 b/seq/clusters_info/cluster_427 deleted file mode 100644 index 79600ed..0000000 --- a/seq/clusters_info/cluster_427 +++ /dev/null @@ -1,11 +0,0 @@ -YP_010087623.1 putative N protein [Strawberry cytorhabdovirus 1] -YP_010087288.1 nucleocapsid [Raspberry vein chlorosis virus] -YP_010086789.1 nucleocapsid protein [Cabbage cytorhabdovirus 1] -YP_009177015.2 nucleocapsid [Alfalfa dwarf virus] -YP_009352242.1 N protein [Tomato yellow mottle-associated virus] -YP_009301356.1 nucleocapsid [Wuhan Insect virus 6] -YP_009300870.1 nucleocapsid [Wuhan Insect virus 5] -YP_009300684.1 nucleocapsid [Wuhan Insect virus 4] -YP_006576501.2 nucleocapsid protein [Persimmon virus A] -YP_002308371.1 N protein [Lettuce yellow mottle virus] -YP_425087.1 nucleocapsid protein [Lettuce necrotic yellows virus] diff --git a/seq/clusters_info/cluster_428 b/seq/clusters_info/cluster_428 deleted file mode 100644 index e901306..0000000 --- a/seq/clusters_info/cluster_428 +++ /dev/null @@ -1,11 +0,0 @@ -YP_010087620.1 putative cell-to-cell movement protein [Patrinia mild mottle virus] -YP_009665187.1 cell-to-cell movement protein [Tobacco mottle virus] -YP_009352232.1 putative movement protein [Ixeridium yellow mottle virus 2] -YP_009337299.1 hypothetical protein 4 [Changjiang tombus-like virus 3] -YP_009162616.1 putative cell-to-cell movement protein [Opium poppy mosaic virus] -YP_009056851.1 cell-to-cell movement protein [Ethiopian tobacco bushy top virus] -YP_002302261.1 cell-to-cell movement protein [Carrot mottle virus] -NP_733850.1 movement protein [Tobacco bushy top virus] -NP_620848.1 putative movement protein [Pea enation mosaic virus 2] -NP_619661.1 orf4 [Groundnut rosette virus] -NP_054009.1 movement protein [Carrot mottle mimic virus] diff --git a/seq/clusters_info/cluster_429 b/seq/clusters_info/cluster_429 deleted file mode 100644 index 2ebd9b6..0000000 --- a/seq/clusters_info/cluster_429 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009666281.1 glycoprotein [Drosophila unispina virus 1] -YP_009666257.1 glycoprotein [Wuchang Cockroach Virus 3] -YP_009337905.1 putative glycoprotein [Hubei chuvirus-like virus 1] -YP_009337429.1 putative glycoprotein [Sanxia atyid shrimp virus 4] -YP_009337181.1 putative glycoprotein [Hubei diptera virus 11] -YP_009337120.1 putative glycoprotein [Hubei rhabdo-like virus 7] -YP_009337090.1 putative glycoprotein [Hubei chuvirus-like virus 3] -YP_009336947.1 putative glycoprotein [Hubei odonate virus 11] -YP_009182178.1 putative glycoprotein [Imjin River virus 1] -YP_009177720.1 glycoprotein [Wuhan Mosquito Virus 8] -YP_009111331.1 hypothetical protein [Dendrolimus punctatus cypovirus 22] diff --git a/seq/clusters_info/cluster_43 b/seq/clusters_info/cluster_43 deleted file mode 100644 index a755f43..0000000 --- a/seq/clusters_info/cluster_43 +++ /dev/null @@ -1,60 +0,0 @@ -YP_009553306.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -YP_009551574.1 RNA-dependent RNA polymerase [Chicken picobirnavirus] -YP_009361996.1 RNA-dependent RNA polymerase [Picobirnavirus green monkey/KNA/2015] -YP_009351841.1 putative RNA-dependent RNA polymerase [Otarine picobirnavirus] -YP_009389484.1 RNA-dependent RNA polymerase [Picobirnavirus dog/KNA/2015] -YP_009241386.1 RNA-dependent RNA polymerase [Porcine picobirnavirus] -YP_239361.1 RNA-dependent RNA polymerase [Human picobirnavirus] -AEM05822.1 RNA-dependent RNA polymerase, partial [Picobirnavirus mouse/M-58/USA/2008] -AKN50617.1 RNA dependent RNA polymerase [Picobirnavirus Equ4] -AAG53583.1 RNA-dependent RNA polymerase [Human picobirnavirus] -AIY31288.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AOW41971.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -AEM05823.1 RNA-dependent RNA polymerase, partial [Microtus picobirnavirus V-111/USA/2008] -AKI82136.1 RNA-dependent RNA polymerase, partial [Picobirnavirus fur seal/AAUST30/BR/2012] -BAJ53292.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -ANS53885.1 RNA-dependent RNA polymerase [Picobirnavirus wolf/PRT/416/2015] -AIY31292.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -ANS53886.1 RNA-dependent RNA polymerase [Picobirnavirus wolf/PRT/1109/2015] -AIY31286.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AKG92637.1 RNA-dependent RNA polymerase [Human picobirnavirus] -AGK45545.1 RNA-dependent RNA polymerase, partial [Fox picobirnavirus] -AIB06803.1 RNA-dependent RNA polymerase, partial [Genet fecal picobirnavirus] -AHX00960.1 RNA-dependent RNA polymerase [Human picobirnavirus] -AMP18961.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -AIW53314.1 RNA-dependent RNA polymerase, partial [Picobirnavirus HK-2014] -AMP18958.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -ACT64131.1 RNA-dependent RNA polymerase [Picobirnavirus bovine/RUBV-P/IND/2005] -AOW41973.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -AHZ46150.1 RNA-dependent RNA polymerase [Picobirnavirus GI/PBV/turkey/USA/MN-1/2011] -ALB35036.1 RNA-dependent RNA polymerase [Fox fecal picobirnavirus] -AIY31285.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AIY31287.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AIB06801.1 RNA-dependent RNA polymerase, partial [Fox fecal picobirnavirus] -BAJ53290.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -AIY31284.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AMP18960.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -AIY31289.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -BAJ53293.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -BAJ53287.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -AKN50621.1 RNA dependent RNA polymerase [Picobirnavirus Equ2] -AIW53311.1 RNA-dependent RNA polymerase, partial [Picobirnavirus HK-2014] -AMP18965.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -AIB06802.1 RNA-dependent RNA polymerase, partial [Fox fecal picobirnavirus] -APG78214.1 RdRp, partial [Jingmen picobirna-like virus 1] -AMP18950.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -AIY31290.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AMP18968.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -AIY31293.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -ALL29318.1 RNA-dependent RNA polymerase [Human picobirnavirus] -ADG56983.1 RNA-dependent RNA polymerase [Human picobirnavirus] -ACY01866.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus] -AIY31291.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -AGH88802.1 RNA-dependent RNA polymerase, partial [Picobirnavirus GI/PBVBovine/India/PTN/2011 P120] -AIW53312.1 RNA-dependent RNA polymerase, partial [Picobirnavirus HK-2014] -BAJ53291.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -AMP18954.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -BAJ53289.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -AMP18969.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -BAJ53288.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -AMP18959.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] diff --git a/seq/clusters_info/cluster_430 b/seq/clusters_info/cluster_430 deleted file mode 100644 index f44e5f7..0000000 --- a/seq/clusters_info/cluster_430 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009518854.1 matrix protein [Human orthopneumovirus] -YP_009505452.1 matrix protein [Bovine respiratory syncytial virus ATCC51908] -YP_009094039.1 matrix protein [Pneumovirus dog/Bari/100-12/ITA/2012] -YP_173329.1 matrix protein [Pneumonia virus of mice J3666] -NP_056860.1 matrix protein [Human orthopneumovirus] -YP_009513267.1 matrix protein [Human metapneumovirus] -YP_009513222.1 M [Avian metapneumovirus] -sp|Q6WB99.1|MATRX_HMPVC RecName: Full=Matrix protein; AltName: Full=M protein -sp|Q2Y2M4.1|MTRX_AMPV1 RecName: Full=Matrix protein -sp|Q84131.1|MATRX_ORSVW RecName: Full=Matrix protein; AltName: Full=M protein -sp|P24615.1|MATRX_BRSVA RecName: Full=Matrix protein; AltName: Full=M protein diff --git a/seq/clusters_info/cluster_431 b/seq/clusters_info/cluster_431 deleted file mode 100644 index b8db714..0000000 --- a/seq/clusters_info/cluster_431 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009518853.1 phosphoprotein [Human orthopneumovirus] -YP_009505451.1 phosphoprotein [Bovine respiratory syncytial virus ATCC51908] -YP_009094038.1 phosphoprotein [Pneumovirus dog/Bari/100-12/ITA/2012] -YP_173327.1 phosphoprotein [Pneumonia virus of mice J3666] -NP_056859.1 phosphoprotein [Human orthopneumovirus] -NP_044592.1 Phosphoprotein (P) [Respiratory syncytial virus] -sp|P33454.1|PHOSP_BRSVA RecName: Full=Phosphoprotein; Short=Protein P -sp|P12579.1|PHOSP_HRSVL RecName: Full=Phosphoprotein; Short=Protein P -sp|P24567.1|PHOSP_HRSV1 RecName: Full=Phosphoprotein; Short=Protein P -sp|Q5MKM7.1|PHOSP_MPV15 RecName: Full=Phosphoprotein; Short=Protein P -sp|Q83956.1|PHOSP_ORSVW RecName: Full=Phosphoprotein; Short=Protein P diff --git a/seq/clusters_info/cluster_432 b/seq/clusters_info/cluster_432 deleted file mode 100644 index 1c8b3bc..0000000 --- a/seq/clusters_info/cluster_432 +++ /dev/null @@ -1,11 +0,0 @@ -NP_599249.1 coat protein [Striped jack nervous necrosis virus] -YP_009345111.1 Capsid [Wuhan pillworm virus 3] -YP_009337863.1 putative capsid protein [Wenzhou shrimp virus 9] -YP_009337668.1 hypothetical protein [Sanxia water strider virus 19] -YP_009337277.1 putative capsid protein [Hubei permutotetra-like virus 11] -YP_009336979.1 hypothetical protein 3 [Hubei tombus-like virus 21] -YP_009333381.1 capsid protein [Beihai noda-like virus 11] -YP_009047240.1 coat protein [Senegalese sole Iberian betanodavirus] -YP_003288761.1 coat protein [Tiger puffer nervous necrosis virus] -YP_003288758.1 coat protein [Barfin flounder nervous necrosis virus] -YP_611157.1 coat protein [Redspotted grouper nervous necrosis virus] diff --git a/seq/clusters_info/cluster_433 b/seq/clusters_info/cluster_433 deleted file mode 100644 index 77657a0..0000000 --- a/seq/clusters_info/cluster_433 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009824963.1 membrane protein [Rodent arterivirus] -YP_009551709.1 membrane protein [Rodent arterivirus] -YP_009667153.1 envelope protein [Lelystad virus] -YP_009337030.1 M [Rat arterivirus 1] -YP_009214665.1 membrane protein [Rat arterivirus 1] -YP_009505554.1 M matrix protein [Porcine reproductive and respiratory syndrome virus 2] -YP_009118968.1 envelope protein M [African pouched rat arterivirus] -NP_047412.1 membrane protein M [Porcine reproductive and respiratory syndrome virus] -NP_042578.1 non-glycosylated envelope protein M [Lactate dehydrogenase-elevating virus] -sp|A0MD35.1|M_PRRSS RecName: Full=Membrane protein; Short=Protein M -sp|P0C782.1|VPX_LDVC RecName: Full=Protein X; AltName: Full=Envelope protein; AltName: Full=VpX diff --git a/seq/clusters_info/cluster_434 b/seq/clusters_info/cluster_434 deleted file mode 100644 index 937031e..0000000 --- a/seq/clusters_info/cluster_434 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009824959.1 ORF2b [Rodent arterivirus] -YP_009551704.1 ORF2b [Rodent arterivirus] -YP_009337024.1 E [Rat arterivirus 1] -YP_009214661.1 ORF2b [Rat arterivirus 1] -YP_009505550.1 E envelope protein [Porcine reproductive and respiratory syndrome virus 2] -YP_009118962.1 E protein [African pouched rat arterivirus] -YP_009388592.1 E protein [Olivier's shrew virus 1] -YP_006589918.1 E protein [Porcine reproductive and respiratory syndrome virus] -YP_006589917.1 E protein [Lactate dehydrogenase-elevating virus] -sp|A0MD31.1|E_PRRSS RecName: Full=Envelope small membrane protein; Short=Protein E; AltName: Full=Glycoprotein 2b; Short=Protein GP2b; AltName: Full=Gs -sp|P0C6Y6.1|E_PRRSL RecName: Full=Envelope small membrane protein; Short=Protein E; AltName: Full=Glycoprotein 2b; Short=Protein GP2b; AltName: Full=Gs diff --git a/seq/clusters_info/cluster_435 b/seq/clusters_info/cluster_435 deleted file mode 100644 index 658ed2d..0000000 --- a/seq/clusters_info/cluster_435 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009553314.1 replicase [Wheat stripe mosaic virus] -YP_009513207.1 polyprotein [Beet soil-borne mosaic virus] -YP_009507937.1 replication-associated protein [Rice stripe necrosis virus] -YP_008219063.1 249 kDa protein [Burdock mottle virus] -NP_612615.1 237k protein [Beet necrotic yellow vein virus] -AEJ33768.1 multifunctional replicase, partial [Chara australis virus] -NP_612601.1 polyprotein [Beet soil-borne mosaic virus] -BAS04359.1 polyprotein [Lentinula edodes ssRNA mycovirus] -APG77690.1 hypothetical protein [Hubei Beny-like virus 1] -AMQ23297.1 RNA-dependent RNA polymerase [Mangifera indica latent virus] -AQM49942.1 replicase [Agaricus bisporus virus 13] diff --git a/seq/clusters_info/cluster_436 b/seq/clusters_info/cluster_436 deleted file mode 100644 index 5d83e00..0000000 --- a/seq/clusters_info/cluster_436 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009480671.1 ORF1 [Bat Middle East Hepe-Astrovirus] -YP_009422196.1 non-structural polyprotein [Bastrovirus 7] -YP_009337130.1 replicase [Hubei hepe-like virus 3] -YP_009333313.1 non-structural polyprotein [Bastrovirus/VietNam/Rat/16715_10] -YP_009333167.1 non-structural polyprotein [Bastrovirus/VietNam/Porcine/17489_85] -AMD81602.1 non-structural polyprotein [Bastrovirus 6] -AMD81608.1 non-structural polyprotein [Bastrovirus 2] -YP_009333158.1 non-structural polyprotein [Bastrovirus/VietNam/Rat/16715_58] -AMD81605.1 non-structural polyprotein [Bastrovirus 3] -APQ43035.1 non-structural polyprotein, partial [Bastrovirus/VietNam/Bat/16715_30] -ACE88957.1 polyprotein [Sclerotinia sclerotiorum RNA virus L] diff --git a/seq/clusters_info/cluster_437 b/seq/clusters_info/cluster_437 deleted file mode 100644 index 39bc49a..0000000 --- a/seq/clusters_info/cluster_437 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009507701.1 VP2 [Eubenangee virus] -YP_008719920.1 outer capsid protein [Changuinola virus] -YP_008658417.1 outer capsid [Wallal virus] -YP_052958.1 VP2 [Bluetongue virus] -sp|Q06998.1|VP2_BTV3V RecName: Full=Outer capsid protein VP2 -sp|Q06997.1|VP2_BTV1V RecName: Full=Outer capsid protein VP2 -sp|P32508.1|VP2_BTV1S RecName: Full=Outer capsid protein VP2 -sp|P12434.2|VP2_BTV1A RecName: Full=Outer capsid protein VP2 -sp|P12395.1|VP2_BTV13 RecName: Full=Outer capsid protein VP2 -sp|P05309.1|VP2_BTV17 RecName: Full=Outer capsid protein VP2 -sp|P05308.1|VP2_BTV11 RecName: Full=Outer capsid protein VP2 diff --git a/seq/clusters_info/cluster_438 b/seq/clusters_info/cluster_438 deleted file mode 100644 index 4768232..0000000 --- a/seq/clusters_info/cluster_438 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009362084.1 matrix [Morreton vesiculovirus] -YP_009505529.1 matrix [Carajas virus] -YP_009505324.1 matrix protein [Vesicular stomatitis Indiana virus] -YP_009177649.1 matrix protein [Cocal virus] -YP_009094099.1 matrix protein [Vesicular stomatitis Alagoas virus] -YP_009091828.1 matix [Maraba virus] -YP_009047083.1 matrix protein [Vesicular stomatitis New Jersey virus] -NP_041714.1 matrix (M) protein [Vesicular stomatitis Indiana virus] -sp|Q8B0H2.1|MATRX_VSIVC RecName: Full=Matrix protein; Short=M protein -sp|P08325.1|MATRX_VSNJO RecName: Full=Matrix protein -sp|P04876.1|MATRX_VSIVG RecName: Full=Matrix protein; Short=M protein diff --git a/seq/clusters_info/cluster_439 b/seq/clusters_info/cluster_439 deleted file mode 100644 index 7746c19..0000000 --- a/seq/clusters_info/cluster_439 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009259544.1 core [Tibetan frog hepatitis B virus] -YP_009389522.1 precore/core protein [Tinamou hepatitis B virus] -YP_009165598.1 preC/core protein [White sucker hepatitis B virus] -YP_004956862.1 unnamed protein product [Parrot hepatitis B virus] -YP_031693.1 preC antigen [Snow goose hepatitis B virus] -YP_024967.1 precore/core protein [Ross's goose hepatitis B virus] -YP_024972.1 precore protein [Sheldgoose hepatitis B virus] -NP_040997.1 core antigen [Heron hepatitis B virus] -NP_039827.1 secretory protein [Duck hepatitis B virus] -sp|P0C6J9.1|HBEAG_DHBV3 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; Flags: Precursor -sp|P03154.1|HBEAG_DHBV1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; Flags: Precursor diff --git a/seq/clusters_info/cluster_44 b/seq/clusters_info/cluster_44 deleted file mode 100644 index e7f82f4..0000000 --- a/seq/clusters_info/cluster_44 +++ /dev/null @@ -1,60 +0,0 @@ -YP_009553180.1 coat protein [Chrysanthemum virus R] -YP_009664747.1 coat protein [Phlox virus M] -YP_009664744.1 capsid protein [Helleborus mosaic virus] -YP_009664738.1 coat protein [Cucumber vein-clearing virus] -YP_009508321.1 coat protein [Atractylodes mottle virus] -YP_009508095.1 coat protein [Carnation latent virus] -YP_009505630.1 coat protein [Melon yellowing-associated virus] -YP_009505624.1 coat protein [Helenium virus S] -YP_009505622.1 coat protein [Cole latent virus] -YP_009357233.1 coat protein [Pepper virus A] -YP_009288960.1 coat protein [Ligustrum virus A] -YP_009275354.1 coat protein [Jasmine virus C] -YP_009224956.1 coat protein [Elderberry carlavirus E] -YP_009224950.1 coat protein [Elderberry carlavirus D] -YP_009224944.1 coat protein [Elderberry carlavirus C] -YP_009224938.1 coat protein [Elderberry carlavirus B] -YP_009224932.1 coat protein [Elderberry carlavirus A] -YP_009174685.1 coat protein [Nerine latent virus] -YP_009158850.1 coat protein [Pea streak virus] -YP_009116872.1 coat protein [Yam latent virus] -YP_009126735.1 coat protein [Alfalfa latent virus] -YP_009022068.1 coat protein [Gaillardia latent virus] -YP_006589923.1 coat protein [Sweet potato C6 virus] -YP_006522438.1 capsid protein [Potato virus H] -YP_006297590.1 unnamed protein product [American hop latent virus] -YP_004936163.1 CP gene product [Garlic common latent virus] -YP_004901683.1 coat protein [Mirabilis jalapa mottle virus] -YP_004035882.1 coat protein [Cowpea mild mottle virus] -YP_003324585.1 coat protein [Butterbur mosaic virus] -YP_003075960.1 5' extended coat protein [Kalanchoe latent virus] -YP_002985640.1 coat protein [Hydrangea chlorotic mottle virus] -YP_002647025.1 coat protein [Red clover vein mosaic virus] -YP_002574618.1 capsid protein [Helleborus net necrosis virus] -YP_002308451.1 coat protein [Hippeastrum latent virus] -YP_002302561.1 coat protein [Potato latent virus] -YP_001798596.1 coat protein [Hop mosaic virus] -YP_001718503.1 coat protein [Lolium latent virus] -YP_001661450.1 coat protein [Ligustrum necrotic ringspot virus] -YP_001552321.1 coat protein [Phlox virus B] -YP_001430025.1 coat protein [Coleus vein necrosis virus] -YP_001429589.1 coat protein [Potato virus P] -YP_001165305.1 coat protein [Phlox virus S] -YP_001086456.1 Coat protein [Chrysanthemum virus B] -YP_842442.1 coat protein [Narcissus symptomless virus] -YP_717537.1 CP [Passiflora latent virus] -YP_699987.1 Coat protein [Narcissus common latent virus] -YP_595731.1 coat protein [Daphne virus S] -YP_277431.1 5'-extended coat protein [Potato virus S] -YP_164262.1 coat protein [Sweet potato chlorotic fleck virus] -NP_958175.1 viral coat protein [Poplar mosaic virus] -NP_932792.1 coat protein [Lily symptomless virus] -NP_619563.1 coat protein [Garlic latent virus] -NP_612812.1 Coat protein [Blueberry scorch virus] -NP_116491.1 coat protein [Aconitum latent virus] -NP_066262.1 coat protein [Hop latent virus] -NP_056771.1 coat protein [Potato virus M] -sp|Q02106.2|CAPSD_POPMV RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -sp|P37991.1|CAPSD_CVB RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -sp|Q01669.1|CAPSD_PVMG RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -sp|P16653.1|CAPSD_PVSP RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP diff --git a/seq/clusters_info/cluster_440 b/seq/clusters_info/cluster_440 deleted file mode 100644 index 37bdbd1..0000000 --- a/seq/clusters_info/cluster_440 +++ /dev/null @@ -1,11 +0,0 @@ -YP_006491260.1 transframe fusion protein [Whataroa virus] -YP_006491248.1 transframe fusion protein [Fort Morgan virus] -YP_006491230.1 transframe fusion protein [Highlands J virus] -YP_006491228.1 transframe fusion protein [Western equine encephalitis virus] -YP_006491232.1 transframe fusion polyprotein [Aura virus] -YP_006491226.1 transframe fusion protein [Sindbis virus] -YP_003324598.1 6K protein [Fort Morgan virus] -YP_002802308.1 6K protein [Highlands J virus] -NP_819018.1 6K protein [Aura virus] -NP_818941.1 6K protein [Western equine encephalitis virus] -NP_740676.1 6k structural protein [Sindbis virus] diff --git a/seq/clusters_info/cluster_441 b/seq/clusters_info/cluster_441 deleted file mode 100644 index 7755100..0000000 --- a/seq/clusters_info/cluster_441 +++ /dev/null @@ -1,11 +0,0 @@ -NP_604435.1 phosphoprotein [Human respirovirus 1] -NP_056873.1 P protein [Murine respirovirus] -sp|Q9DUE1.1|V_SENDA RecName: Full=Protein V -sp|P69287.1|V_SENDO RecName: Full=Protein V -sp|P69284.1|V_SENDF RecName: Full=Protein V -sp|Q9DUE2.1|PHOSP_SENDA RecName: Full=Phosphoprotein; Short=Protein P -sp|P04860.1|PHOSP_SENDZ RecName: Full=Phosphoprotein; Short=Protein P -sp|P14251.1|PHOSP_SEND6 RecName: Full=Phosphoprotein; Short=Protein P -sp|P32531.2|PHOSP_PI1HD RecName: Full=Phosphoprotein; Short=Protein P -sp|P32530.2|PHOSP_PI1HB RecName: Full=Phosphoprotein; Short=Protein P -sp|P32532.1|PHOSP_PI1HE RecName: Full=Phosphoprotein; Short=Protein P diff --git a/seq/clusters_info/cluster_442 b/seq/clusters_info/cluster_442 deleted file mode 100644 index 3bd4b9d..0000000 --- a/seq/clusters_info/cluster_442 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009389477.1 serine-rich 40 kDa protein [Vanilla latent virus] -YP_009362672.1 hypothetical protein [Alfalfa virus S] -YP_009328895.1 40 kDa protein [Arachis pintoi virus] -YP_009110671.1 40kDa protein [Garlic virus B] -YP_008855209.1 40 kDa protein [Garlic virus D] -YP_004659203.1 serine-rich p40 protein [Blackberry virus E] -NP_659013.1 serine-rich protein [Garlic virus E] -NP_620651.1 hypothetical protein [Shallot virus X] -NP_569129.1 40kDa protein [Garlic virus A] -NP_569135.1 40kDa protein [Garlic virus C] -NP_044574.1 32 kDa protein [Garlic virus X] diff --git a/seq/clusters_info/cluster_443 b/seq/clusters_info/cluster_443 deleted file mode 100644 index 07c56c2..0000000 --- a/seq/clusters_info/cluster_443 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009337050.1 hypothetical protein 2 [Wenzhou shrimp virus 6] -YP_009336614.1 hypothetical protein 2 [Wenling picorna-like virus 3] -YP_009333597.1 hypothetical protein 2 [Beihai picorna-like virus 91] -YP_009333525.1 hypothetical protein 2 [Beihai picorna-like virus 90] -YP_009333512.1 hypothetical protein 2 [Beihai picorna-like virus 93] -YP_009333163.1 hypothetical protein 2 [Wenzhou shrimp virus 7] -YP_009333274.1 hypothetical protein 2 [Beihai mantis shrimp virus 4] -YP_009333198.1 hypothetical protein 2 [Beihai mantis shrimp virus 5] -YP_006666504.1 capsid protein precursor [Macrobrachium rosenbergii Taihu virus] -YP_004063986.1 structural polyprotein [Mud crab virus] -NP_149058.1 capsid protein precursor [Taura syndrome virus] diff --git a/seq/clusters_info/cluster_444 b/seq/clusters_info/cluster_444 deleted file mode 100644 index ef44a64..0000000 --- a/seq/clusters_info/cluster_444 +++ /dev/null @@ -1,11 +0,0 @@ -YP_009333352.1 RdRp [Beihai picobirna-like virus 7] -YP_009333349.1 RdRp [Beihai picobirna-like virus 8] -APG78271.1 RdRp [Shahe picobirna-like virus 1] -APG78198.1 RdRp [Beihai picobirna-like virus 11] -APG78188.1 RdRp, partial [Beihai picobirna-like virus 9] -APG78268.1 RdRp [Shahe picobirna-like virus 2] -APG78191.1 RdRp [Beihai picobirna-like virus 10] -APG78193.1 RdRp, partial [Beihai picobirna-like virus 13] -APG78190.1 RdRp [Beihai picobirna-like virus 12] -APG78305.1 RdRp [Hubei picobirna-like virus 2] -BAU79482.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 1] diff --git a/seq/clusters_info/cluster_445 b/seq/clusters_info/cluster_445 deleted file mode 100644 index e24e141..0000000 --- a/seq/clusters_info/cluster_445 +++ /dev/null @@ -1,10 +0,0 @@ -NP_049560.1 reverse transcriptase/envelope protein [Primate T-lymphotropic virus 1] -YP_002455789.1 envelope glycoprotein [Human T-lymphotropic virus 4] -YP_002308477.1 envelope glycoprotein [Simian T-cell lymphotropic virus 6] -NP_955620.2 gp46 SU [Human T-cell leukemia virus type I] -NP_056912.1 hypothetical protein STLV2gp09 [Simian T-lymphotropic virus 2] -NP_041006.1 env propeptide, (putative; first expressed exon); putative [Human T-lymphotropic virus 2] -sp|Q09SZ7.1|ENV_HTL3P RecName: Full=Envelope glycoprotein gp63; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor -sp|Q0R5Q9.1|ENV_HTL32 RecName: Full=Envelope glycoprotein gp63; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor -sp|P23064.1|ENV_HTL1M RecName: Full=Envelope glycoprotein gp62; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor -sp|P03381.1|ENV_HTL1A RecName: Full=Envelope glycoprotein gp62; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor diff --git a/seq/clusters_info/cluster_446 b/seq/clusters_info/cluster_446 deleted file mode 100644 index 11ad554..0000000 --- a/seq/clusters_info/cluster_446 +++ /dev/null @@ -1,10 +0,0 @@ -YP_005352850.1 nucleocapsid protein [Sparrow coronavirus HKU17] -YP_005352885.1 nucleocapsid protein [Common moorhen coronavirus HKU21] -YP_005352875.1 nucleocapsid protein [Wigeon coronavirus HKU20] -YP_005352858.1 nucleocapsid protein [Magpie-robin coronavirus HKU18] -YP_009513025.1 nucleocapsid protein [Porcine coronavirus HKU15] -YP_005352842.1 nucleocapsid protein [White-eye coronavirus HKU16] -YP_002308483.1 nucleocapsid phosphoprotein [Bulbul coronavirus HKU11-934] -YP_005352867.1 N gene product [Night heron coronavirus HKU19] -YP_002308510.1 nucleocapsid phosphoprotein [Munia coronavirus HKU13-3514] -YP_002308501.1 nucleocapsid phosphoprotein [Thrush coronavirus HKU12-600] diff --git a/seq/clusters_info/cluster_447 b/seq/clusters_info/cluster_447 deleted file mode 100644 index f994f20..0000000 --- a/seq/clusters_info/cluster_447 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009825012.1 membrane protein [Duck coronavirus] -YP_009755901.1 membrane protein [Canada goose coronavirus] -YP_009825002.1 membrane glycoprotein [Infectious bronchitis virus] -YP_001941170.1 membrane protein [Turkey coronavirus] -YP_001876439.1 matrix glycoprotein [Beluga whale coronavirus SW1] -NP_040835.1 membrane protein [Infectious bronchitis virus] -sp|P69606.1|VME1_IBVM RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|Q910E2.1|VME1_IBVG RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|P12649.1|VME1_IBVK RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -sp|P05136.1|VME1_IBV6 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein diff --git a/seq/clusters_info/cluster_448 b/seq/clusters_info/cluster_448 deleted file mode 100644 index 879db2d..0000000 --- a/seq/clusters_info/cluster_448 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009825011.1 3c protein [Duck coronavirus] -YP_009755900.1 envelope protein [Canada goose coronavirus] -YP_009825001.1 3c protein [Infectious bronchitis virus] -YP_001941169.1 envelope protein [Turkey coronavirus] -YP_001876438.1 small membrane protein [Beluga whale coronavirus SW1] -sp|P30248.1|VEMP_IBVU5 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P30247.1|VEMP_IBVU4 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P30246.1|VEMP_IBVP3 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P05139.2|VEMP_IBVM RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -sp|P19744.1|VEMP_IBVK RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein diff --git a/seq/clusters_info/cluster_449 b/seq/clusters_info/cluster_449 deleted file mode 100644 index 7a08f6b..0000000 --- a/seq/clusters_info/cluster_449 +++ /dev/null @@ -1,10 +0,0 @@ -YP_005352848.1 membrane protein [Sparrow coronavirus HKU17] -YP_005352883.1 membrane protein [Common moorhen coronavirus HKU21] -YP_005352873.1 membrane protein [Wigeon coronavirus HKU20] -YP_005352856.1 membrane protein [Magpie-robin coronavirus HKU18] -YP_009513023.1 membrane protein [Porcine coronavirus HKU15] -YP_005352840.1 membrane protein [White-eye coronavirus HKU16] -YP_002308481.1 membrane protein [Bulbul coronavirus HKU11-934] -YP_005352865.1 M gene product [Night heron coronavirus HKU19] -YP_002308508.1 membrane protein [Munia coronavirus HKU13-3514] -YP_002308499.1 membrane protein [Thrush coronavirus HKU12-600] diff --git a/seq/clusters_info/cluster_45 b/seq/clusters_info/cluster_45 deleted file mode 100644 index 931aea7..0000000 --- a/seq/clusters_info/cluster_45 +++ /dev/null @@ -1,59 +0,0 @@ -YP_009173867.1 X protein [Hepatitis B virus] -YP_009666527.1 X protein [Capuchin monkey hepatitis B virus] -YP_009506648.1 X protein [Pomona bat hepatitis B virus] -YP_009175037.1 X protein [Woolly monkey hepatitis B virus] -YP_009046001.1 X protein [Tent-making bat hepatitis B virus] -YP_009045997.1 X protein [Horseshoe bat hepatitis B virus] -YP_009045993.1 X protein [Roundleaf bat hepatitis B virus] -YP_007678001.1 X protein [Long-fingered bat hepatitis B virus] -NP_671815.1 X protein [Woodchuck hepatitis virus] -NP_040996.1 hypothetical protein [Ground squirrel hepatitis virus] -sp|P0C686.1|X_HBVC1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9YJT2.1|X_HBVGO RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P87743.1|X_HBVGB RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9J5S3.1|X_HBVOR RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q64902.1|X_ASHV RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P17401.2|X_WHV5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P11294.1|X_WHV6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P12937.1|X_WHV2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P12914.1|X_WHV3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P03167.1|X_WHV1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P12912.1|X_HBVCP RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P0C689.1|X_HBVC5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P0C687.1|X_HBVC9 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P0C685.1|X_HBVB3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P0C681.1|X_HBVD5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P0C678.1|X_HBVB8 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q4R1S9.1|X_HBVA8 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q4R1S1.1|X_HBVA9 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q69604.1|X_HBVE1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9PXA2.1|X_HBVB5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q67923.1|X_HBVB6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q80IU8.1|X_HBVE2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q80IU5.1|X_HBVE4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|O93195.1|X_HBVD7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9YZR6.1|X_HBVC2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9QMI3.1|X_HBVD4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9PX75.1|X_HBVB7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9IBI5.1|X_HBVG3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q9E6S8.1|X_HBVC0 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q99HR6.1|X_HBVF4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q91C38.1|X_HBVA6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q913A9.1|X_HBVC7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q8JN06.1|X_HBVH2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q8JMZ5.1|X_HBVH3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q8JMY5.1|X_HBVH1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q8JMY3.1|X_HBVF2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q69607.1|X_HBVF6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q69027.1|X_HBVCJ RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q67877.1|X_HBVD6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|O91531.1|X_HBVA7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q81163.1|X_HBVC8 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P69714.1|X_HBVA2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|Q05499.1|X_HBVF1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P20977.1|X_HBVB4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P20976.1|X_HBVB1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P20975.1|X_HBVB2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P24026.1|X_HBVD2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P17102.1|X_HBVA4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -sp|P12936.1|X_HBVC3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX diff --git a/seq/clusters_info/cluster_450 b/seq/clusters_info/cluster_450 deleted file mode 100644 index 8d7389d..0000000 --- a/seq/clusters_info/cluster_450 +++ /dev/null @@ -1,10 +0,0 @@ -YP_010088086.1 protease [Chrysothrix chrysovirus 1] -YP_009667013.1 putative protease [Colletotrichum gloeosporioides chrysovirus 1] -YP_009667011.1 P4 [Macrophomina phaseolina chrysovirus 1] -YP_009507945.1 putative cysteine protease [Cryphonectria nitschkei chrysovirus 1] -YP_009508106.1 hypothetical protein [Aspergillus fumigatus chrysovirus] -YP_009507947.1 ovarian tumor protease [Verticillium dahliae chrysovirus 1] -YP_009337841.1 putative protease [Isaria javanica chrysovirus 1] -YP_001531161.1 putative protease [Amasya cherry disease associated chrysovirus] -YP_392485.1 hypothetical protein [Penicillium chrysogenum virus] -YP_052860.1 Hv145SV-protein 3 [Helminthosporium victoriae 145S virus] diff --git a/seq/clusters_info/cluster_451 b/seq/clusters_info/cluster_451 deleted file mode 100644 index 4c5d360..0000000 --- a/seq/clusters_info/cluster_451 +++ /dev/null @@ -1,10 +0,0 @@ -YP_010086187.1 nucleocapsid protein [Anopheles triannulatus orthophasmavirus] -YP_009305133.1 nucleocapsid protein [Wuhan mosquito virus 1] -YP_009304998.1 nucleocapsid protein [Wuchang Cockroach Virus 1] -YP_009666983.1 nucleoprotein [Ganda bee virus] -YP_009666960.1 nucleocapsid, partial [Seattle Prectang virus] -YP_009664563.1 nucleopasid protein, partial [Shuangao Insect Virus 2] -YP_009664560.1 nucleopasid protein [Shuangao Insect Virus 2] -YP_009507890.1 nucleoprotein [Nome phantom orthophasmavirus] -YP_009329873.1 putative nucleoprotein [Hubei odonate virus 9] -YP_009329888.1 putative nucleoprotein [Hubei odonate virus 8] diff --git a/seq/clusters_info/cluster_452 b/seq/clusters_info/cluster_452 deleted file mode 100644 index 43ea0ee..0000000 --- a/seq/clusters_info/cluster_452 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009553481.1 MP1 [Adonis mosaic virus] -YP_459962.1 p7 [Angelonia flower break virus] -YP_009032647.1 movement protein 1 [Carnation mottle virus] -YP_004191791.1 p7 [Honeysuckle ringspot virus] -NP_945125.1 p7 protein [Pelargonium flower break virus] -NP_620722.1 p8 movement protein [Turnip crinkle virus] -NP_619674.1 putative movement protein P8 [Hibiscus chlorotic ringspot virus] -NP_044386.1 SCVP6 [Saguaro cactus virus] -NP_041885.1 p8 protein [Cardamine chlorotic fleck virus] -sp|P0C777.1|MP1_CARMS RecName: Full=Double gene block protein 1; Short=DGBp1; AltName: Full=Movement protein P7 diff --git a/seq/clusters_info/cluster_453 b/seq/clusters_info/cluster_453 deleted file mode 100644 index 34e3075..0000000 --- a/seq/clusters_info/cluster_453 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009337738.1 hypothetical protein 3 [Hubei tombus-like virus 6] -YP_009246412.1 coat protein [Potato necrosis virus] -YP_002308463.1 coat protein [Poinsettia latent virus] -YP_459922.1 capsid protein [Maize necrotic streak virus] -YP_224020.1 coat protein [Olive mild mosaic virus] -NP_758815.2 coat protein [Beet black scorch virus] -NP_608316.1 29 kDa coat protein [Tobacco necrosis virus D] -NP_056828.1 coat protein [Tobacco necrosis virus A] -NP_043911.1 capsid protein [Olive latent virus 1] -sp|P27210.1|CAPSD_TNVD RecName: Full=Capsid protein; AltName: Full=p30 diff --git a/seq/clusters_info/cluster_454 b/seq/clusters_info/cluster_454 deleted file mode 100644 index 92bbf5b..0000000 --- a/seq/clusters_info/cluster_454 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009342440.1 RNA-dependent RNA polymerase [Wuhan insect virus 18] -YP_009337166.1 RNA-dependent RNA polymerase [Wenling narna-like virus 7] -YP_009333245.1 RNA-dependent RNA polymerase [Beihai narna-like virus 24] -YP_009272902.1 RNA-dependent RNA polymerase [Fusarium poae narnavirus 1] -NP_660177.1 RNA-dependent RNA polymerase [Saccharomyces 23S RNA narnavirus] -NP_660178.1 RNA-dependent RNA polymerase [Saccharomyces 20S RNA narnavirus] -APG77107.1 RNA-dependent RNA polymerase, partial [Changjiang narna-like virus 5] -APG77263.1 RNA-dependent RNA polymerase, partial [Wenling narna-like virus 8] -APG77120.1 hypothetical protein, partial [Hubei narna-like virus 16] -APG77168.1 RNA-dependent RNA polymerase, partial [Shahe narna-like virus 4] diff --git a/seq/clusters_info/cluster_455 b/seq/clusters_info/cluster_455 deleted file mode 100644 index c010fb6..0000000 --- a/seq/clusters_info/cluster_455 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009055049.1 VP3 [gallivirus A1] -YP_009553700.1 VP3 protein [Rhimavirus A] -YP_009167360.1 VP3 [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -YP_009167349.1 VP3 [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -YP_009001372.1 VP3 [Caprine kobuvirus] -YP_008802664.1 VP3 [Feline sakobuvirus A] -YP_003038636.1 VP3 [Salivirus NG-J1] -NP_859020.1 VP3 [Aichivirus B] -NP_740436.1 VP3 [Aichi virus 1] -NP_734445.1 26 kDa coat protein [Parsnip yellow fleck virus] diff --git a/seq/clusters_info/cluster_456 b/seq/clusters_info/cluster_456 deleted file mode 100644 index 58f4a1c..0000000 --- a/seq/clusters_info/cluster_456 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009316187.1 polyprotein [Dioscorea mosaic associated virus] -YP_004936170.1 unnamed protein product [Chocolate lily virus A] -YP_654555.1 polyprotein [Black raspberry necrosis virus] -NP_620567.1 polyprotein [Satsuma dwarf virus] -NP_620566.1 polyprotein [Satsuma dwarf virus] -NP_599086.1 polyprotein [Strawberry mottle virus] -CCE57809.1 polyprotein [Black raspberry necrosis virus] -ABZ90974.1 replication-associated polyprotein, partial [Black raspberry necrosis virus] -BAA74537.1 polyprotein, partial [Navel orange infectious mottling virus] -AMR36340.1 polyprotein [Strawberry mottle virus] diff --git a/seq/clusters_info/cluster_457 b/seq/clusters_info/cluster_457 deleted file mode 100644 index 7e37588..0000000 --- a/seq/clusters_info/cluster_457 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009824961.1 GP4 protein [Rodent arterivirus] -YP_009551707.1 GP4 protein [Rodent arterivirus] -YP_009667151.1 ORF4 [Lelystad virus] -YP_009337027.1 GP4 [Rat arterivirus 1] -YP_009214663.1 GP4 protein [Rat arterivirus 1] -YP_009505552.1 GP4 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -YP_009118965.1 GP4 glycoprotein [African pouched rat arterivirus] -NP_047410.1 GP4 [Porcine reproductive and respiratory syndrome virus] -NP_042576.1 glycoprotein GP4 [Lactate dehydrogenase-elevating virus] -sp|A0MD33.1|GP4_PRRSS RecName: Full=Glycoprotein 4; Short=Protein GP4; Flags: Precursor diff --git a/seq/clusters_info/cluster_458 b/seq/clusters_info/cluster_458 deleted file mode 100644 index f33d81d..0000000 --- a/seq/clusters_info/cluster_458 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009824960.1 GP3 protein [Rodent arterivirus] -YP_009551706.1 GP3 protein [Rodent arterivirus] -YP_009667150.1 ORF3 [Lelystad virus] -YP_009337026.1 GP3 [Rat arterivirus 1] -YP_009214662.1 GP3 protein [Rat arterivirus 1] -YP_009505551.1 GP3 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -YP_009118964.1 GP3 envelope protein [African pouched rat arterivirus] -NP_047409.1 GP3 envelope protein [Porcine reproductive and respiratory syndrome virus] -NP_042575.1 glycoprotein GP3 [Lactate dehydrogenase-elevating virus] -sp|A0MD32.1|GP3_PRRSS RecName: Full=Glycoprotein 3; Short=Protein GP3 diff --git a/seq/clusters_info/cluster_459 b/seq/clusters_info/cluster_459 deleted file mode 100644 index 9100a15..0000000 --- a/seq/clusters_info/cluster_459 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009551652.1 polyprotein [Wheat spindle streak mosaic virus] -YP_009175090.1 polyprotein [Rice necrosis mosaic virus] -NP_659026.1 polyprotein [Oat mosaic virus] -NP_604490.1 RNA2 polyprotein [Barley mild mosaic virus] -NP_149000.1 polyprotein [Barley yellow mosaic virus] -NP_059448.1 polyprotein [Wheat yellow mosaic virus] -sp|Q01207.1|POL2_BAYMJ RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein -sp|Q01365.1|POL2_BAYMG RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein -sp|Q65329.1|POL2_BAMMA RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein -sp|P89684.1|POL2_BAMMN RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein diff --git a/seq/clusters_info/cluster_46 b/seq/clusters_info/cluster_46 deleted file mode 100644 index aa2131b..0000000 --- a/seq/clusters_info/cluster_46 +++ /dev/null @@ -1,59 +0,0 @@ -YP_009118630.1 polymerase PA [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118473.1 polymerase PA [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308846.1 polymerase PA [Influenza A virus (A/New York/392/2004(H3N2))] -YP_308852.1 polymerase PA [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308666.1 polymerase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -NP_859041.1 polymerase PA [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -NP_056659.1 polymerase PA [Influenza B virus (B/Lee/1940)] -NP_040986.1 polymerase PA [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|Q20PL7.1|PA_I79A7 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q20NV4.1|PA_I80AD RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A452.1|PA_I66A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A441.1|PA_I49A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A430.1|PA_I56A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A3Q2.1|PA_I78AC RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A2I0.1|PA_I83A5 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A2F8.1|PA_I83A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|O36432.1|PA_INBP9 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13874.1|PA_INBAD RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13167.1|PA_I77AF RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P12444.1|PA_I34A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|A3DRP7.1|PA_I96A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|A4GBY4.1|PA_I77AA RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|A8C8X0.1|PA_I67A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|A4U6V9.1|PA_I45A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|A4GCL5.1|PA_I43A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|A4GCJ3.1|PA_I36A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|A8C8K1.1|PA_I07A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q809J7.2|PA_I01A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q6DNX6.2|PA_I02A6 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q6DNV6.2|PA_I03A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0HD53.1|PA_I40A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q3YPY8.1|PA_I71A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q3HM39.1|PA_I18A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q2VC91.1|PA_I80A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q2F4H0.1|PA_I05A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q20P15.1|PA_I56A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A2K9.1|PA_I02A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q0A2G9.1|PA_I59A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q6DNY0.1|PA_I02A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q6DNX8.1|PA_I02A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|O89752.1|PA_I97A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q9EA39.1|PA_I77A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q91MA9.1|PA_I68A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P67922.1|PA_I57A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q82570.1|PA_I47A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|O91742.1|PA_I93A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P31342.1|PA_I78A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13177.1|PA_I77AD RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13175.1|PA_I30A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13174.1|PA_I82A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13173.1|PA_I78A9 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P15659.1|PA_I33A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13176.1|PA_I57A5 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13172.1|PA_I85A7 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13171.1|PA_I79A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13169.1|PA_I86A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13168.1|PA_I73A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|P13166.1|PA_I80A6 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -sp|Q809K1.1|PA_I01A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 diff --git a/seq/clusters_info/cluster_460 b/seq/clusters_info/cluster_460 deleted file mode 100644 index 3f3d438..0000000 --- a/seq/clusters_info/cluster_460 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009508093.1 polyprotein [Olive latent ringspot virus] -YP_009507924.1 polyprotein 2 [Mulberry mosaic leaf roll associated virus] -YP_006347590.1 p2 protein [Grapevine deformation virus] -YP_004382747.1 polyprotein 2 [Cherry leaf roll virus] -YP_053924.1 polyprotein P2 [Arabis mosaic virus] -NP_620762.1 polyprotein [Tomato ringspot virus] -NP_619706.1 unnamed protein product [Grapevine fanleaf virus] -sp|Q91HK5.1|POL2_GFLVN RecName: Full=RNA2 polyprotein; AltName: Full=P2; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Movement protein; AltName: Full=2B-MP; Contains: RecName: Full=Coat protein; AltName: Full=2C-CP -sp|Q65030.1|POL2_ARMVS RecName: Full=RNA2 polyprotein; AltName: Full=P2; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Movement protein; AltName: Full=2B-MP; Contains: RecName: Full=Coat protein; AltName: Full=2C-CP -sp|P24819.1|POL2_ARMV RecName: Full=RNA2 polyprotein; AltName: Full=P2; Contains: RecName: Full=Movement protein; AltName: Full=2B-MP; Contains: RecName: Full=Coat protein; AltName: Full=2C-CP diff --git a/seq/clusters_info/cluster_461 b/seq/clusters_info/cluster_461 deleted file mode 100644 index 4ae6a3e..0000000 --- a/seq/clusters_info/cluster_461 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009552821.1 capsid protein [Culex tritaeniorhynchus totivirus] -YP_009342431.1 hypothetical protein 1 [Wuhan insect virus 31] -YP_009337085.1 hypothetical protein 1 [Wenling toti-like virus 2] -YP_009336824.1 hypothetical protein 1 [Hubei diptera virus 22] -YP_009333269.1 hypothetical protein 1 [Wenzhou toti-like virus 1] -YP_009256208.1 polyprotein [Golden shiner totivirus] -YP_005454250.1 capsid protein [Tianjin totivirus] -YP_003934933.1 coat protein [Armigeres subalbatus virus SaX06-AK20] -YP_003289292.1 structural protein [Drosophila melanogaster totivirus SW-2009a] -YP_529548.1 structural protein [Penaeid shrimp infectious myonecrosis virus] diff --git a/seq/clusters_info/cluster_462 b/seq/clusters_info/cluster_462 deleted file mode 100644 index 029d828..0000000 --- a/seq/clusters_info/cluster_462 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009551969.1 Movement protein [Grapevine virus J] -YP_009551907.1 putative movement protein [Grapevine virus H] -YP_009664763.1 movement protein [Mint virus 2] -YP_009664758.1 movement protein [Actinidia virus A] -YP_009508898.1 putative movement protein [Heracleum latent virus] -YP_009389465.1 movement protein [Grapevine virus K] -YP_006590067.1 movement protein [Grapevine virus F] -YP_004935360.1 ORF3 gene product [Actinidia virus B] -NP_619656.1 putative movement protein [Grapevine virus B] -NP_619664.1 movement protein [Grapevine virus A] diff --git a/seq/clusters_info/cluster_463 b/seq/clusters_info/cluster_463 deleted file mode 100644 index 00be060..0000000 --- a/seq/clusters_info/cluster_463 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009551971.1 RNA binding protein [Grapevine virus J] -YP_009551909.1 putative nucleic acid binding protein [Grapevine virus H] -YP_009664765.1 RNA binding protein [Mint virus 2] -YP_009664760.1 RNA-binding protein [Actinidia virus A] -YP_009508900.1 RNA binding protein (putative) [Heracleum latent virus] -YP_009505637.1 putative RNA binding protein [Grapevine virus D] -YP_009389467.1 nucleic acid binding protein [Grapevine virus K] -YP_006590069.1 RNA-binding protein [Grapevine virus F] -YP_004935362.1 ORF5 gene product [Actinidia virus B] -NP_619666.2 putative RNA binding protein [Grapevine virus A] diff --git a/seq/clusters_info/cluster_464 b/seq/clusters_info/cluster_464 deleted file mode 100644 index fc18c72..0000000 --- a/seq/clusters_info/cluster_464 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009551700.1 putative spike protein [Yichang virus] -YP_009505591.1 putative spike glycoprotein [Dak Nong virus] -YP_009505588.1 ORF3 [Karang Sari virus] -YP_009448186.1 ORF3 [Dianke virus] -YP_009026380.1 ORF 2a [Casuarina virus] -YP_007697644.1 ORF2a [Alphamesonivirus 4] -YP_007697638.1 ORF2a [Alphamesonivirus 3] -YP_007697631.1 ORF2a [Alphamesonivirus 2] -YP_004767307.1 ORF2a gene product [Nam Dinh virus] -YP_004598983.1 putative spike protein [Cavally virus] diff --git a/seq/clusters_info/cluster_465 b/seq/clusters_info/cluster_465 deleted file mode 100644 index 16bfe56..0000000 --- a/seq/clusters_info/cluster_465 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009186835.1 triple gene block protein 1 [Actinidia virus X] -YP_001960941.1 triple-gene-block protein 1 [Lettuce virus X] -YP_001715613.1 Triple gene block protein 1 [Asparagus virus 3] -YP_667845.1 ORF 2 [Malva mosaic virus] -YP_319828.1 triple gene block protein 1 [Alstroemeria virus X] -NP_663725.1 TGB1 protein [Pepino mosaic virus] -NP_619747.1 26 kDa protein [Potato aucuba mosaic virus] -NP_570727.1 triple gene block protein 1 [Asparagus virus 3] -NP_054026.1 hypothetical protein CymMVgp2 [Cymbidium mosaic virus] -NP_040779.1 26K triple gene block protein [Narcissus mosaic virus] diff --git a/seq/clusters_info/cluster_466 b/seq/clusters_info/cluster_466 deleted file mode 100644 index ec525e2..0000000 --- a/seq/clusters_info/cluster_466 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009448196.1 polyprotein [Rosellinia necatrix hypovirus 1] -YP_009342443.1 polyprotein [Wuhan insect virus 14] -YP_009330037.1 polyprotein [Fusarium langsethiae hypovirus 1] -YP_009130646.1 polyprotein [Fusarium graminearum hypovirus 2] -YP_009011065.1 hypothetical protein FgHV1gp2 [Fusarium graminearum hypovirus 1] -NP_613266.1 polyprotein [Cryphonectria hypovirus 2] -NP_041091.1 hypothetical protein [Cryphonectria hypovirus 1] -sp|Q9YTU2.1|POLB_CHPVU RecName: Full=ORFB polyprotein; Contains: RecName: Full=Papain-like protease p48; Contains: RecName: Full=Putative RNA-directed RNA polymerase/helicase -AQM49946.1 replicase [Agaricus bisporus virus 2] -ALD89099.1 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina hypovirus 1] diff --git a/seq/clusters_info/cluster_467 b/seq/clusters_info/cluster_467 deleted file mode 100644 index 16395ed..0000000 --- a/seq/clusters_info/cluster_467 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009388303.1 polyprotein [Apis flavivirus] -YP_009254745.1 polyprotein [Wuhan centipede virus] -YP_009179222.1 polyprotein [Xinzhou spider virus 2] -YP_009028573.1 polyprotein [Soybean cyst nematode virus 5] -YP_007438864.1 hypothetical polyprotein [Gentian Kobu-sho-associated virus] -BAM78287.1 hypothetical polyprotein [Gentian Kobu-sho-associated virus] -AKM16625.2 RNA-dependent RNA polymerase, partial [Eriocheir sinensis Cholera-like Virus] -YP_009259672.1 polyprotein [Diaphorina citri flavi-like virus] -AMO03218.1 putative polyprotein, partial [Hermitage virus] -AMO03219.1 putative polyprotein, partial [Takaungu virus] diff --git a/seq/clusters_info/cluster_468 b/seq/clusters_info/cluster_468 deleted file mode 100644 index 1d7aef9..0000000 --- a/seq/clusters_info/cluster_468 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009337874.1 hypothetical protein 1 [Hubei diptera virus 14] -YP_009337842.1 hypothetical protein 1 [Sanxia water strider virus 10] -YP_009337259.1 hypothetical protein 1 [Shuangao sobemo-like virus 2] -YP_009337221.1 hypothetical protein 1 [Shuangao sobemo-like virus 3] -YP_009330125.1 hypothetical protein 1 [Hubei sobemo-like virus 40] -YP_009330045.1 hypothetical protein 1 [Hubei sobemo-like virus 16] -YP_009330006.1 hypothetical protein 1 [Hubei sobemo-like virus 5] -YP_009330002.1 hypothetical protein 1 [Hubei sobemo-like virus 46] -YP_009329995.1 hypothetical protein 1 [Hubei sobemo-like virus 47] -YP_009329959.1 hypothetical protein [Hubei sobemo-like virus 43] diff --git a/seq/clusters_info/cluster_469 b/seq/clusters_info/cluster_469 deleted file mode 100644 index 26c4c3b..0000000 --- a/seq/clusters_info/cluster_469 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009344965.1 hypothetical protein 2 [Jingmen tombus-like virus 2] -YP_009342273.1 hypothetical protein 2 [Wenzhou tombus-like virus 17] -YP_009337712.1 hypothetical protein 2 [Hubei tombus-like virus 36] -YP_009337674.1 hypothetical protein 2 [Changjiang tombus-like virus 22] -YP_009337040.1 hypothetical protein 2 [Changjiang tombus-like virus 21] -YP_009336793.1 hypothetical protein 2 [Beihai tombus-like virus 17] -YP_009336592.1 hypothetical protein 3 [Hubei tombus-like virus 7] -APG76480.1 hypothetical protein 2, partial [Hubei tombus-like virus 35] -AOG30801.1 gp2 [Towan virus] -AHA86931.1 gp2 [Brandmavirus UC1] diff --git a/seq/clusters_info/cluster_47 b/seq/clusters_info/cluster_47 deleted file mode 100644 index eb3034d..0000000 --- a/seq/clusters_info/cluster_47 +++ /dev/null @@ -1,59 +0,0 @@ -YP_009118624.1 nuclear export protein [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118479.1 nuclear export protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_581750.1 nuclear export protein [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -YP_308869.1 nonstructural protein 2 [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308844.1 nonstructural protein 2 [Influenza A virus (A/New York/392/2004(H3N2))] -YP_308672.1 nonstructural protein 2 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -NP_040983.1 nonstructural protein 2 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|Q20NN8.1|NEP_I80A8 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P13144.2|NEP_I78A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q20P38.1|NEP_I56A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q89733.1|NEP_I33A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P03508.1|NEP_I34A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|O57266.2|NEP_I80AD RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|O57269.2|NEP_I83A6 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q3SBF1.1|NEP_I66A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q20PL9.1|NEP_I79A7 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q0A443.1|NEP_I49A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|O41648.1|NEP_I66A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P69269.1|NEP_I72A6 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P69265.1|NEP_I80AC RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q04266.1|NEP_I000W RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P08279.1|NEP_I61A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|A3DRP5.1|NEP_I96A2 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|A4GCK2.1|NEP_I80AA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|A4GBY2.1|NEP_I77AA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|A8C8W8.1|NEP_I67A2 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|B3EUR1.1|NEP_I61A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|A4GCJ1.1|NEP_I36A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|A4GCM4.1|NEP_I35A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|A8C8J9.1|NEP_I07A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C5U4.1|NEP_I05A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C5U3.1|NEP_I03A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C5U2.1|NEP_I02A5 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C5U1.1|NEP_I01A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C5T9.1|NEP_I01A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C5T7.1|NEP_I00A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P0C2M0.1|NEP_I82A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q3YPZ0.1|NEP_I71A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q20NC0.1|NEP_I56A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q0A2Q9.1|NEP_I85A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|O56263.2|NEP_I97A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P69267.1|NEP_I57A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q6DP36.1|NEP_I02A7 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q6DP29.1|NEP_I03A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q6XTK1.1|NEP_I57A5 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q6XTD9.1|NEP_I67A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q6XSW5.1|NEP_I69A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|O89747.1|NEP_I97A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q04265.1|NEP_I89A7 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|O89285.1|NEP_I77A9 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q77ZM4.1|NEP_I91A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q02600.1|NEP_I68A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P13148.2|NEP_I79A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P13145.2|NEP_I76A5 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P03505.1|NEP_I50A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P03506.1|NEP_I47A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P08271.1|NEP_I63A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P08275.1|NEP_I24A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -sp|P11619.1|NEP_I83A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 diff --git a/seq/clusters_info/cluster_470 b/seq/clusters_info/cluster_470 deleted file mode 100644 index b843a47..0000000 --- a/seq/clusters_info/cluster_470 +++ /dev/null @@ -1,10 +0,0 @@ -YP_009177600.1 C protein [Phocine morbillivirus] -YP_133824.1 C [Peste des petits ruminants virus] -YP_087122.1 C protein [Rinderpest virus (strain Kabete O)] -NP_945026.1 C protein [Dolphin morbillivirus] -NP_047203.1 C protein [Canine morbillivirus] -NP_056920.1 C protein [Measles morbillivirus] -sp|Q03339.1|C_RINDR RecName: Full=Protein C -sp|P35977.1|C_MEASA RecName: Full=Protein C -sp|Q00794.1|C_MEASY RecName: Full=Protein C -sp|P26035.1|C_MEASI RecName: Full=Protein C diff --git a/seq/clusters_info/cluster_471 b/seq/clusters_info/cluster_471 deleted file mode 100644 index 302ce7e..0000000 --- a/seq/clusters_info/cluster_471 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009001467.1 capsid protein [Avian hepatitis E virus] -YP_009506654.1 capsid protein [Hepatitis E virus rat/R63/DEU/2009] -YP_006576508.1 capsid protein [Orthohepevirus D] -NP_056788.1 capsid protein [Orthohepevirus A] -sp|Q04611.1|CAPSD_HEVMY RecName: Full=Secreted protein ORF2; AltName: Full=Protein ORF2; Short=pORF2 -sp|Q9YLQ9.1|CAPSD_HEVUS RecName: Full=Capsid protein; AltName: Full=Protein ORF2; Short=pORF2; Flags: Precursor -sp|Q9IVZ8.1|CAPSD_HEVCT RecName: Full=Capsid protein; AltName: Full=Protein ORF2; Short=pORF2; Flags: Precursor -sp|Q68985.1|CAPSD_HEVHY RecName: Full=Secreted protein ORF2; AltName: Full=Protein ORF2; Short=pORF2 -sp|Q03500.1|CAPSD_HEVME RecName: Full=Capsid protein; AltName: Full=Protein ORF2; Short=pORF2; Flags: Precursor diff --git a/seq/clusters_info/cluster_472 b/seq/clusters_info/cluster_472 deleted file mode 100644 index e6defda..0000000 --- a/seq/clusters_info/cluster_472 +++ /dev/null @@ -1,9 +0,0 @@ -YP_529670.1 putative polyprotein [Gremmeniella abietina endornavirus 1] -YP_009552723.1 polyprotein [Sclerotinia minor endornavirus 1] -YP_009315910.1 polyprotein [Botrytis cinerea betaendornavirus 1] -YP_009276355.1 polyprotein [Rosellinia necatrix endornavirus 1] -YP_009115493.1 polyprotein [Alternaria brassicicola betaendornavirus 1] -YP_009022070.1 polyprotein [Sclerotinia sclerotiorum betaendornavirus 1] -YP_008169851.1 putative polyprotein [Sclerotinia sclerotiorum endornavirus 1] -YP_004123950.1 polyprotein [Tuber aestivum betaendornavirus] -AOV81683.1 polyprotein, partial [Ceratobasidium endornavirus F] diff --git a/seq/clusters_info/cluster_473 b/seq/clusters_info/cluster_473 deleted file mode 100644 index 04c8d2e..0000000 --- a/seq/clusters_info/cluster_473 +++ /dev/null @@ -1,9 +0,0 @@ -YP_010229199.1 polyprotein P2 [Potato virus B] -YP_009551523.1 polyprotein 2 [Red clover nepovirus A] -YP_009667035.1 polyprotein [Artichoke Italian latent virus] -YP_006576511.1 p2 protein [Grapevine Anatolian ringspot virus] -NP_620620.2 polyprotien 2 [Cycas necrotic stunt virus] -NP_758518.1 polyprotein [Tomato black ring virus] -NP_733975.1 capsid protein [Cycas necrotic stunt virus] -NP_620113.1 polyprotein [Beet ringspot virus] -NP_619704.1 polyprotein [Grapevine chrome mosaic virus] diff --git a/seq/clusters_info/cluster_474 b/seq/clusters_info/cluster_474 deleted file mode 100644 index 3c2a20e..0000000 --- a/seq/clusters_info/cluster_474 +++ /dev/null @@ -1,9 +0,0 @@ -NP_835350.1 putative coronavirus nsp6 [Human coronavirus 229E] -YP_009924391.1 nsp9 [Rousettus bat coronavirus HKU9] -YP_009944300.1 nsp9 [Betacoronavirus England 1] -YP_009944374.1 nsp9 [SARS coronavirus Tor2] -YP_009944362.1 nsp9 [Pipistrellus bat coronavirus HKU5] -YP_009944333.1 nsp9 [Tylonycteris bat coronavirus HKU4] -YP_009742616.1 nsp9 [Severe acute respiratory syndrome coronavirus 2] -YP_008439220.1 nsp9 [Bat coronavirus CDPHE15/USA/2006] -NP_839963.1 putative coronavirus nsp6 [Porcine epidemic diarrhea virus] diff --git a/seq/clusters_info/cluster_475 b/seq/clusters_info/cluster_475 deleted file mode 100644 index ee07d56..0000000 --- a/seq/clusters_info/cluster_475 +++ /dev/null @@ -1,9 +0,0 @@ -YP_164828.1 3A [anativirus A1] -YP_009118287.1 3A [rabbit kobuvirus] -YP_005352652.1 3A [Canine picornavirus] -YP_004934027.1 unnamed protein product [Feline picornavirus] -YP_004782566.1 3A [Bat picornavirus 2] -YP_004782552.1 3A [Bat picornavirus 1] -YP_004782538.1 3A [Bat picornavirus 3] -YP_001552439.1 3A [Rhinovirus C] -NP_937976.1 3A [Simian sapelovirus 1] diff --git a/seq/clusters_info/cluster_476 b/seq/clusters_info/cluster_476 deleted file mode 100644 index 528ac9a..0000000 --- a/seq/clusters_info/cluster_476 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009640124.1 hypothetical protein MS2g1 [Escherichia phage MS2] -YP_010084021.1 maturation, partial [Leviviridae sp.] -YP_007237172.1 putative maturation/attachment protein [Enterobacteria phage Hgal1] -YP_007237126.1 putative maturation/attachment protein [Enterobacteria phage C-1 INW-2012] -YP_007111573.1 maturation protein [Enterobacteria phage M] -YP_007002850.1 maturation protein [Caulobacter phage phiCb5] -YP_717668.1 maturation protein [Pseudomonas phage PRR1] -NP_040753.1 maturation protein [Escherichia virus BZ13] -sp|P15966.2|MATA_BPFR RecName: Full=Maturation protein A; Short=MP; AltName: Full=Assembly protein; Short=A protein diff --git a/seq/clusters_info/cluster_477 b/seq/clusters_info/cluster_477 deleted file mode 100644 index 1c358e8..0000000 --- a/seq/clusters_info/cluster_477 +++ /dev/null @@ -1,9 +0,0 @@ -YP_010086065.1 nonstructural protein [Alstroemeria necrotic streak virus] -YP_009408638.1 non-structural RNA silencing suppressor [Tomato chlorotic spot virus] -YP_009346013.1 NSs [Melon severe mosaic tospovirus] -YP_009315932.1 NSs non-structural protein [Zucchini lethal chlorosis virus] -YP_009162643.1 nonstructural protein [Chrysanthemum stem necrosis virus] -YP_004421856.1 nonstructural silencing protein [Groundnut ringspot and Tomato chlorotic spot virus reassortant] -NP_619708.1 non-structural protein [Impatiens necrotic spot virus] -NP_049360.1 non-structural protein [Tomato spotted wilt orthotospovirus] -sp|P26003.1|NSS_TSWVL RecName: Full=Non-structural protein NS-S diff --git a/seq/clusters_info/cluster_478 b/seq/clusters_info/cluster_478 deleted file mode 100644 index 3593583..0000000 --- a/seq/clusters_info/cluster_478 +++ /dev/null @@ -1,9 +0,0 @@ -YP_010086016.1 RNA-dependent RNA polymerase [Tarumizu tick virus] -YP_010086008.1 RNA-dependent RNA polymerase [Kundal virus] -YP_009252403.1 RdRp [Sclerotinia sclerotiorum mycoreovirus 4] -YP_009072449.1 RNA-dependent RNA polymerase [Cimodo virus] -YP_001936004.1 VP1 [Mycoreovirus 1] -YP_392478.1 putative RNA-dependent polymerase [Mycoreovirus 3] -YP_392501.1 RNA-dependent RNA polymerase [Operophtera brumata reovirus] -NP_690891.1 putative RNA-dependent RNA polymerase VP1 [Colorado tick fever virus] -NP_620280.1 RNA-dependent RNA polymerase VP1 [Eyach virus] diff --git a/seq/clusters_info/cluster_479 b/seq/clusters_info/cluster_479 deleted file mode 100644 index b697d6b..0000000 --- a/seq/clusters_info/cluster_479 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009666292.1 RNA-dependent RNA polymerase, partial [Beihai rhabdo-like virus 3] -YP_009666283.1 RNA-dependent RNA polymerase, partial [Orinoco virus] -YP_009336618.1 RNA-dependent RNA polymerase [Wenling crustacean virus 12] -YP_009333422.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 5] -YP_009333418.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 4] -YP_009052467.1 putative RNA dependent RNA polymerase [Soybean cyst nematode socyvirus] -YP_009044201.1 RNA-dependent RNA polymerase [Sierra Nevada virus] -YP_002905337.1 RNA-dependent RNA polymerase [Nyamanini nyavirus] -YP_002905331.1 RNA-dependent RNA polymerase [Midway nyavirus] diff --git a/seq/clusters_info/cluster_48 b/seq/clusters_info/cluster_48 deleted file mode 100644 index 05b9a51..0000000 --- a/seq/clusters_info/cluster_48 +++ /dev/null @@ -1,58 +0,0 @@ -YP_009552779.1 putative polyprotein [Yongsan picorna-like virus 4] -YP_009389286.1 polyprotein [Pansavirus 1] -YP_009389285.1 polyprotein [Pansavirus 2] -YP_009342445.1 hypothetical protein [Wuhan insect virus 10] -YP_009337700.1 hypothetical protein [Hubei picorna-like virus 10] -YP_009337675.1 hypothetical protein [Hubei odonate virus 1] -YP_009337437.1 hypothetical protein [Wenzhou gastropodes virus 2] -YP_009337350.1 hypothetical protein [Hubei picorna-like virus 13] -YP_009337054.1 hypothetical protein [Jingmen picorna-like virus] -YP_009336918.1 hypothetical protein [Wenzhou picorna-like virus 25] -YP_009336719.1 hypothetical protein [Changjiang picorna-like virus 5] -YP_009336621.1 hypothetical protein [Hubei tetragnatha maxillosa virus 1] -YP_009336580.1 hypothetical protein [Hubei picorna-like virus 11] -YP_009336568.1 hypothetical protein [Hubei picorna-like virus 12] -YP_009337369.1 hypothetical protein [Hubei picorna-like virus 9] -YP_009333578.1 hypothetical protein [Beihai picorna-like virus 67] -YP_009333540.1 hypothetical protein 1 [Beihai picorna-like virus 105] -YP_009333493.1 hypothetical protein [Beihai picorna-like virus 69] -YP_009333492.1 hypothetical protein [Beihai picorna-like virus 68] -YP_009333590.1 hypothetical protein [Beihai picorna-like virus 65] -YP_009333570.1 hypothetical protein [Beihai picorna-like virus 66] -YP_009333306.1 polyprotein, partial [Husavirus sp.] -YP_009270629.1 polyprotein [Picornavirales Bu-1] -YP_009270628.1 polyprotein [Picornavirales Tottori-HG1] -YP_009269301.1 polyprotein [Picornavirales Bu-3] -YP_009111312.1 polyprotein [Fisavirus 1] -YP_009010972.1 replicase polyprotein [Posavirus 2] -YP_009010971.1 replicase polyprotein [Posavirus 1] -APQ44558.1 polyprotein, partial [Posavirus sp.] -APQ44499.1 polyprotein [Basavirus sp.] -AOX15244.1 polyprotein, partial [Paroligolophus agrestis posalike virus 1] -APQ44489.1 polyprotein [Basavirus sp.] -BAV31550.1 polyprotein, partial [Picornavirales Tottori-HG2] -APS85759.1 polyprotein, partial [Biomphalaria virus 4] -APQ44502.1 polyprotein [Basavirus sp.] -APQ44537.1 polyprotein, partial [Posavirus sp.] -APQ44496.1 polyprotein [Basavirus sp.] -ALE31140.1 polyprotein, partial [Husavirus ACS200] -BAV31552.1 polyprotein, partial [Picornavirales Tottori-HG4] -APQ44500.1 polyprotein [Basavirus sp.] -APQ44514.1 polyprotein [Husavirus sp.] -APQ44492.1 polyprotein [Basavirus sp.] -AQP31137.1 polyprotein, partial [Bat fisalivirus] -APQ44547.1 polyprotein, partial [Posavirus sp.] -APQ44531.1 polyprotein, partial [Posavirus sp.] -APQ44506.1 polyprotein [Rasavirus sp.] -APQ44507.1 polyprotein, partial [Rasavirus sp.] -AMO03227.1 putative polyprotein [Pow Burn virus] -YP_009333305.1 polyprotein [Rasavirus sp.] -APQ44559.1 polyprotein [Posavirus sp.] -APQ44495.1 polyprotein [Basavirus sp.] -APQ44517.1 polyprotein, partial [Posavirus sp.] -ANA09089.1 polyprotein [Posavirus strain 9676] -YP_009333148.1 polyprotein, partial [Posavirus sp.] -ANA09085.1 polyprotein [Posavirus 1] -APG78038.1 hypothetical protein [Hubei picorna-like virus 8] -AQP31136.1 polyprotein, partial [Bat posalivirus] -APQ44556.1 polyprotein [Posavirus sp.] diff --git a/seq/clusters_info/cluster_480 b/seq/clusters_info/cluster_480 deleted file mode 100644 index 428e040..0000000 --- a/seq/clusters_info/cluster_480 +++ /dev/null @@ -1,9 +0,0 @@ -YP_010084246.1 RdRp [Bolahun virus variant 2] -YP_010084240.1 RNA-dependent RNA polymerase, partial [Shuangao Fly Virus 2] -YP_009388622.1 RdRp [Culex mononega-like virus 2] -YP_009666282.1 RNA-dependent RNA polymerase [Drosophila unispina virus 1] -YP_009337182.1 RNA-dependent RNA polymerase [Hubei diptera virus 11] -YP_009337121.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 7] -YP_009336728.1 RNA-dependent RNA polymerase [Hubei orthoptera virus 5] -YP_009302387.1 RNA-dependent RNA polymerase [Xincheng Mosquito Virus] -AOR51378.1 RdRp [Gambie virus] diff --git a/seq/clusters_info/cluster_481 b/seq/clusters_info/cluster_481 deleted file mode 100644 index fe3cea4..0000000 --- a/seq/clusters_info/cluster_481 +++ /dev/null @@ -1,9 +0,0 @@ -YP_010084244.1 putative glycoprotein [Bolahun virus variant 2] -YP_009388620.1 glycoprotein [Culex mononega-like virus 2] -YP_009254001.1 G [Lonestar tick chuvirus 1] -YP_009336633.1 putative glycoprotein [Wenling crustacean virus 15] -YP_009302386.1 glycoprotein [Xincheng Mosquito Virus] -YP_009177723.1 glycoprotein [Wuhan tick virus 2] -YP_009177705.1 glycoprotein [Changping Tick Virus 2] -YP_009177702.1 glycoprotein [Bole Tick Virus 3] -YP_009177219.1 putative glycoprotein [Suffolk virus] diff --git a/seq/clusters_info/cluster_482 b/seq/clusters_info/cluster_482 deleted file mode 100644 index aa6c75c..0000000 --- a/seq/clusters_info/cluster_482 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009709871.1 core protein C [Hepacivirus P] -YP_007905984.1 core protein [Rodent hepacivirus] -YP_009684354.1 core protein C [Guereza hepacivirus] -YP_009325402.1 core protein C [Norway rat hepacivirus 2] -YP_009679024.1 core protein C [Hepacivirus I] -YP_009678994.1 core protein C [Hepacivirus F] -YP_009678984.1 core protein C [Hepacivirus B] -YP_009679014.1 core protein C [Hepacivirus L] -YP_009325392.1 core protein C [Norway rat hepacivirus 1] diff --git a/seq/clusters_info/cluster_483 b/seq/clusters_info/cluster_483 deleted file mode 100644 index 8a8d6df..0000000 --- a/seq/clusters_info/cluster_483 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009518852.1 nucleoprotein [Human orthopneumovirus] -YP_009505450.1 nucleocapsid protein [Bovine respiratory syncytial virus ATCC51908] -YP_009094037.1 nucleoprotein [Pneumovirus dog/Bari/100-12/ITA/2012] -YP_173326.1 nucleoprotein [Pneumonia virus of mice J3666] -NP_056858.1 nucleoprotein [Human orthopneumovirus] -YP_009513265.1 nucleoprotein [Human metapneumovirus] -YP_009513220.1 N [Avian metapneumovirus] -sp|Q83957.1|NCAP_ORSVW RecName: Full=Nucleoprotein; Short=Protein N; AltName: Full=Nucleocapsid protein -sp|Q2Y2M6.1|NCAP_AMPV1 RecName: Full=Nucleoprotein; Short=Protein N; AltName: Full=Nucleocapsid protein diff --git a/seq/clusters_info/cluster_484 b/seq/clusters_info/cluster_484 deleted file mode 100644 index d36d88f..0000000 --- a/seq/clusters_info/cluster_484 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009505454.1 attachment glycoprotein [Bovine respiratory syncytial virus ATCC51908] -sp|P69351.1|GLYC_BRSV9 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P62648.1|GLYC_BRSVA RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|Q86695.1|GLYC_ORSVW RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G -sp|O10687.1|GLYC_BRSVW RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|Q65706.1|GLYC_BRSV7 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|O10686.1|GLYC_BRSVS RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|O10684.1|GLYC_BRSV4 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -sp|P22261.1|GLYC_BRSVC RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG diff --git a/seq/clusters_info/cluster_485 b/seq/clusters_info/cluster_485 deleted file mode 100644 index 6f32c37..0000000 --- a/seq/clusters_info/cluster_485 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009824962.1 GP5 protein [Rodent arterivirus] -YP_009551708.1 GP5 protein [Rodent arterivirus] -YP_009667152.1 ORF5 [Lelystad virus] -YP_009337029.1 GP5 [Rat arterivirus 1] -YP_009214664.1 GP5 protein [Rat arterivirus 1] -YP_009505553.1 GP5 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -NP_042577.1 major structural glycoprotein GP5 [Lactate dehydrogenase-elevating virus] -sp|A0MD34.1|GP5_PRRSS RecName: Full=Glycoprotein 5; Short=Protein GP5; AltName: Full=G(L); Flags: Precursor -sp|P24124.1|YVPX_LDV RecName: Full=Uncharacterized protein in VpX 5'region diff --git a/seq/clusters_info/cluster_486 b/seq/clusters_info/cluster_486 deleted file mode 100644 index 6e98419..0000000 --- a/seq/clusters_info/cluster_486 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009505567.1 ORF9 [Kibale red-tailed guenon virus 1] -YP_009362017.1 capsid protein [Kibale red colobus virus 2] -YP_009344818.1 capsid protein [Kibale red colobus virus 1] -YP_009222006.1 N protein [Kafue kinda chacma baboon virus] -YP_009140489.1 N protein [Pebjah virus] -YP_009121784.1 N protein [DeBrazza's monkey arterivirus] -YP_009067076.1 N protein [Southwest baboon virus 1] -YP_009067062.1 N protein [Mikumi yellow baboon virus 1] -NP_203552.1 major structural protein [Simian hemorrhagic fever virus] diff --git a/seq/clusters_info/cluster_487 b/seq/clusters_info/cluster_487 deleted file mode 100644 index f9e3a8d..0000000 --- a/seq/clusters_info/cluster_487 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009270627.1 hypothetical protein 3 [Brejeira virus] -YP_009552741.1 hypothetical protein [Ying Kou virus] -YP_009362300.1 ORF3 [Castlerea virus] -YP_009351837.1 hypothetical protein 3 [Loreto virus] -YP_009351832.1 hypothetical protein 3 [Piura virus] -YP_009344996.1 hypothetical protein [Wuhan insect virus 8] -YP_009342437.1 hypothetical protein [Wuhan house centipede virus 1] -YP_009333218.1 hypothetical protein [Beihai barnacle virus 2] -YP_009256207.1 hypothetical protein 3 [Negev virus] diff --git a/seq/clusters_info/cluster_488 b/seq/clusters_info/cluster_488 deleted file mode 100644 index 36796ec..0000000 --- a/seq/clusters_info/cluster_488 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009755884.1 spike protein [Guangdong red-banded snake torovirus] -YP_009755878.1 spike protein [Hainan hebius popei torovirus] -YP_009755845.1 spike glycoprotein [Bellinger River virus] -YP_009666300.1 S protein [Xinzhou nematode virus 6] -YP_009666261.1 spike protein [Shingleback nidovirus 1] -YP_009408171.1 spike glycoprotein [Morelia viridis nidovirus] -YP_009344971.1 putative glycoprotein [Xinzhou toro-like virus] -YP_009142789.1 S [Bovine nidovirus TCH5] -YP_009052477.1 spike glycoprotein [Ball python nidovirus 1] diff --git a/seq/clusters_info/cluster_489 b/seq/clusters_info/cluster_489 deleted file mode 100644 index dafd6b4..0000000 --- a/seq/clusters_info/cluster_489 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009551626.1 NTPase [Guangxi orbivirus] -YP_009507678.1 VP6 protein [Corriparta virus] -YP_009158909.1 helicase [Chobar Gorge virus] -YP_009158897.1 helicase [Chenuda virus] -YP_009158890.1 helicase [Wad Medani virus] -YP_008719908.1 VP6 [Mobuck virus] -YP_003896066.1 VP6(Hel) [Great Island virus] -YP_460043.1 VP6 [Peruvian horse sickness virus] -YP_443933.1 VP6 [Yunnan orbivirus] diff --git a/seq/clusters_info/cluster_49 b/seq/clusters_info/cluster_49 deleted file mode 100644 index 9abf2f9..0000000 --- a/seq/clusters_info/cluster_49 +++ /dev/null @@ -1,57 +0,0 @@ -YP_009165597.2 ORF [Binucleate Rhizoctonia mitovirus K1] -YP_009553587.1 RNA-dependent RNA polymerase [Gigaspora margarita mitovirus 2] -YP_009553263.1 RNA-dependent RNA polymerase [Rhizophagus diaphanum mitovirus 2] -YP_009553175.1 RNA-dependent RNA polymerase [Gigaspora margarita mitovirus 1] -YP_009552787.1 RNA-directed RNA polymerase [Rhizophagus sp. RF1 mitovirus] -YP_009551966.1 RNA-dependent RNA polymerase [Rhizoctonia mitovirus 1] -YP_009551903.1 RNA dependent RNA polymerase [Chenopodium quinoa mitovirus 1] -YP_009408146.1 RNA-dependent RNA polymerase [Ocimum basilicum RNA virus 2] -YP_002284334.2 RNA-dependent RNA polymerase [Botrytis cinerea mitovirus 1] -YP_009337200.1 RNA-dependent RNA polymerase [Wenling narna-like virus 9] -YP_009336749.1 RNA-dependent RNA polymerase [Hubei narna-like virus 22] -YP_009336548.1 RNA-dependent RNA polymerase [Shahe narna-like virus 5] -YP_009333146.1 RNA-dependent RNA polymerase [Beihai narna-like virus 26] -YP_009272901.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 4] -YP_009272900.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 3] -YP_009249807.1 putative RNA-dependent RNA polymerase [Rhizoctonia oryzae-sativae mitovirus 1] -YP_009182164.1 RNA dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 3] -YP_009182162.1 RNA dependent RNA polymerase [Grapevine associated narnavirus-1] -YP_009182161.1 RNA dependent RNA polymerase [Botrytis cinerea mitovirus 3] -YP_005352912.1 RNA dependent RNA polymerase [Clitocybe odora virus] -YP_004564622.1 RNA-dependent RNA polymerase [Tuber aestivum mitovirus] -NP_660176.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 3a] -NP_660174.1 putative RNA-dependent RNA polymerase [Cryphonectria parasitica mitovirus 1-NB631] -ANJ77670.1 RNA-dependent RNA polymerase [Sclerotinia nivalis mitovirus 2] -ALM62241.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 1] -ALD89122.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 3] -CAJ32467.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 1b] -ALD89128.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 9] -AIF33766.2 RNA-dependent RNA polymerase [Heterobasidion mitovirus 1] -AHF48628.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 12] -AHX84134.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 6] -AHF48623.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 7] -AGT55876.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 1c] -AIS39016.1 RNA-dependent RNA polymerase, partial [Leucostoma persoonii mitovirus 1] -APG77166.1 RNA-dependent RNA polymerase, partial [Shahe narna-like virus 6] -AGT55877.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 7] -ALD89121.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 2] -ALD89116.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 11] -ALD89117.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 12] -ALD89101.1 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina mitovirus 2] -ALD89120.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 15] -AHF48627.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 11] -AIS37555.1 RNA-dependent RNA polymerase, partial [Cytospora ribis mitovirus 1] -ALM62240.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 5] -CEZ26304.1 RNA dependent RNA polymerase [Grapevine associated narnavirus-1] -AAO21337.1 RdRp-like protein [Sclerotinia homoeocarpa mitovirus] -ALD89119.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 14] -AAR01973.1 RNA-dependent RNA polymerase [Cryphonectria cubensis mitovirus 2a] -AHY03257.1 RNA-dependent RNA polymerase [Buergenerula spartinae mitovirus 1] -ALD89127.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 8] -AIT71973.1 RNA-dependent RNA polymerase [Rhizoctonia cerealis mitovirus] -ALD89118.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 13] -CAJ32466.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 1a] -AHE13866.1 Pol, partial [Sclerotinia sclerotiorum mitovirus 7] -BAN85985.1 putative RNA-dependent RNA polymerase [Rhizophagus sp. HR1 mitovirus-like ssRNA] -AMM45292.1 putative replicase [Macrophomina phaseolina mitovirus 3] -ALD89126.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 7] diff --git a/seq/clusters_info/cluster_490 b/seq/clusters_info/cluster_490 deleted file mode 100644 index da7c280..0000000 --- a/seq/clusters_info/cluster_490 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009553280.1 polymerase basic subunit 1 [Oz virus] -YP_009352882.1 polymerase subunit PB1 [Dhori thogotovirus] -YP_145794.1 PB1 protein [Thogoto thogotovirus] -AMN92168.2 polymerase subunit [Bourbon virus] -AED98371.1 PB1, partial [Jos virus] -APG77896.1 polymerase PB1 [Hubei orthomyxo-like virus 4] -AHB34055.1 polymerase basic subunit 1 [Upolu virus] -APG77906.1 polymerase PB1 [Hubei orthoptera virus 6] -APP91612.1 PB1 protein [Sinu virus] diff --git a/seq/clusters_info/cluster_491 b/seq/clusters_info/cluster_491 deleted file mode 100644 index 46e1d49..0000000 --- a/seq/clusters_info/cluster_491 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009551628.1 putative coat protein [Melon partitivirus] -YP_009508069.1 viral protein 2 [Beet cryptic virus 2] -YP_009508067.1 viral protein 1 [Beet cryptic virus 2] -YP_009362098.1 putative coat protein [Carnation cryptic virus 3] -YP_009466860.1 putative coat protein [Pepper cryptic virus 1] -YP_009351848.1 putative coat protein [Pepper cryptic virus 2] -YP_009255400.1 capsid protein [Sinapis alba cryptic virus 1] -YP_006390090.1 coat protein [Persimmon cryptic virus] -YP_002364402.1 hypothetical protein RSCV3_s2gp1 [Raphanus sativus cryptic virus 3] diff --git a/seq/clusters_info/cluster_492 b/seq/clusters_info/cluster_492 deleted file mode 100644 index 126d936..0000000 --- a/seq/clusters_info/cluster_492 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009551625.1 Tup [Guangxi orbivirus] -YP_009507677.1 NS1 protein [Corriparta virus] -YP_009158886.1 tubule protein [Wad Medani virus] -YP_009158882.1 tubule protein [Chenuda virus] -YP_009047261.1 NS1 [Umatilla virus] -YP_008719915.1 Nsp1 [Mobuck virus] -YP_003896061.1 NS1 [Great Island virus] -YP_460045.1 NS1 [Peruvian horse sickness virus] -YP_443929.1 NS1 [Yunnan orbivirus] diff --git a/seq/clusters_info/cluster_493 b/seq/clusters_info/cluster_493 deleted file mode 100644 index 8b9dd42..0000000 --- a/seq/clusters_info/cluster_493 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009345140.1 coat protein [Spinach cryptic virus 1] -YP_009508235.1 coat protein [Chondrostereum purpureum cryptic virus 1] -YP_009508045.1 coat protein [Carrot cryptic virus] -YP_008719883.1 coat protein [Red clover cryptic virus 1] -YP_008719881.1 coat protein [Dill cryptic virus 1] -YP_002308575.1 coat protein [Beet cryptic virus 1] -YP_656505.1 coat protein [Raphanus sativus cryptic virus 1] -YP_272125.1 coat protein [Vicia cryptic virus] -YP_086755.1 capsid protein [White clover cryptic virus 1] diff --git a/seq/clusters_info/cluster_494 b/seq/clusters_info/cluster_494 deleted file mode 100644 index 2a6897e..0000000 --- a/seq/clusters_info/cluster_494 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009553545.1 ORFY protein [Cacao swollen shoot Ghana K virus] -YP_009553540.1 ORFY protein [Cacao swollen shoot Ghana J virus] -YP_009553042.1 ORFY protein [Cacao swollen shoot CE virus] -YP_009552694.1 ORFY protein [Cacao swollen shoot Ghana N virus] -YP_009551942.1 ORFY protein [Cacao swollen shoot Ghana L virus] -YP_009666827.1 ORFY protein [Cacao swollen shoot Ghana M virus] -YP_009506252.1 hypothetical protein [Cacao swollen shoot CD virus] -YP_009002586.1 hypothetical protein [Hibiscus bacilliform virus GD1] -NP_041736.1 hypothetical protein CSSVgp5 [Cacao swollen shoot virus] diff --git a/seq/clusters_info/cluster_495 b/seq/clusters_info/cluster_495 deleted file mode 100644 index bdb5baf..0000000 --- a/seq/clusters_info/cluster_495 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009177242.1 virion transmembrane glycoprotein [Adelaide River virus] -YP_009177208.1 glycoprotein [Yata virus] -YP_009177196.1 glycoprotein [Koolpinyah virus] -YP_009094428.1 virion transmembrane glycoprotein [Malakal virus] -YP_009094398.1 virion transmembrane glycoprotein [Kimberley virus] -YP_009094132.1 virion transmembrane glycoprotein G [Berrimah virus] -YP_006200960.1 virion transmembrane glycoprotein [Obodhiang virus] -YP_006202621.1 G gene product [Kotonkan virus] -NP_065402.1 virion transmembrane glycoprotein G [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_496 b/seq/clusters_info/cluster_496 deleted file mode 100644 index f14eb19..0000000 --- a/seq/clusters_info/cluster_496 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009552771.1 polyprotein [Sclerotinia sclerotiorum deltaflexivirus 2] -YP_009508374.1 RNA-dependent RNA polymerase [Soybean leaf-associated mycoflexivirus 1] -YP_009508363.1 polyprotein [Sclerotinia sclerotiorum deltaflexivirus 1] -YP_009268715.1 polyprotein [Rhizoctonia solani flexivirus 1] -YP_009268710.1 replication-associated polyprotein [Fusarium graminearum deltaflexivirus 1] -AHL25284.1 RNA-dependent RNA polymerase, partial [Rhizoctonia virus RS002] -ANR02703.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani flexivirus 2] -AQM49933.1 replicase [Agaricus bisporus virus 9] -AQM49922.1 replicase [Agaricus bisporus virus 7] diff --git a/seq/clusters_info/cluster_497 b/seq/clusters_info/cluster_497 deleted file mode 100644 index 0dbab90..0000000 --- a/seq/clusters_info/cluster_497 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009551701.1 putative membrane protein [Yichang virus] -YP_009666296.1 putative membrane protein [Kadiweu virus] -YP_009505593.1 putative structural protein [Dak Nong virus] -YP_009026382.1 ORF 3a [Casuarina virus] -YP_007697646.1 ORF3a [Alphamesonivirus 4] -YP_007697640.1 ORF3a [Alphamesonivirus 3] -YP_007697633.1 ORF3a [Alphamesonivirus 2] -YP_004767309.1 ORF3 gene product [Nam Dinh virus] -YP_004598985.1 hypothetical protein CAVV_gp5 [Cavally virus] diff --git a/seq/clusters_info/cluster_498 b/seq/clusters_info/cluster_498 deleted file mode 100644 index 2a649ac..0000000 --- a/seq/clusters_info/cluster_498 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009551699.1 putative nucleotide protein [Yichang virus] -YP_009666295.1 putative nucleocapsid [Kadiweu virus] -YP_009505592.1 putative nucleocapsid protein [Dak Nong virus] -YP_009026381.1 ORF 2b [Casuarina virus] -YP_007697645.1 ORF2b [Alphamesonivirus 4] -YP_007697639.1 ORF2b [Alphamesonivirus 3] -YP_007697632.1 ORF2b [Alphamesonivirus 2] -YP_004767308.1 ORF2b gene product [Nam Dinh virus] -YP_004598984.1 putative nucleocapsid protein [Cavally virus] diff --git a/seq/clusters_info/cluster_499 b/seq/clusters_info/cluster_499 deleted file mode 100644 index cad1d00..0000000 --- a/seq/clusters_info/cluster_499 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009666129.1 tas protein [Guenon simian foamy virus] -YP_009513244.1 tas [Rhesus macaque simian foamy virus] -YP_009508890.1 Tas protein [Simian foamy virus Pongo pygmaeus pygmaeus] -YP_009508574.1 tas protein [Western lowland gorilla simian foamy virus] -YP_009508553.1 tas protein [Eastern chimpanzee simian foamy virus] -YP_009508549.1 tas protein [Central cimpanzee simian foamy virus] -YP_001956724.2 Tas [African green monkey simian foamy virus] -NP_056805.1 taf protein [Simian foamy virus] -sp|P29169.2|BEL1_SFV1 RecName: Full=Protein Bel-1; AltName: Full=Transactivator of spumavirus; Short=Tas; AltName: Full=Transcriptional transactivator diff --git a/seq/clusters_info/cluster_5 b/seq/clusters_info/cluster_5 deleted file mode 100644 index 91e3b5b..0000000 --- a/seq/clusters_info/cluster_5 +++ /dev/null @@ -1,121 +0,0 @@ -YP_009553600.1 nonstructural polyprotein [Blackbird arilivirus] -YP_009389287.1 non-structural polyprotein [Big Sioux River virus] -YP_009388499.1 nonstructural polyprotein [Apis dicistrovirus] -YP_009380528.1 P1 [Aphis glycines virus 3] -YP_009345054.1 hypothetical protein 1 [Wuhan millipede virus 3] -YP_009342327.1 hypothetical protein 1 [Wuhan insect virus 11] -YP_009342286.1 hypothetical protein 1 [Wuhan arthropod virus 2] -YP_009337725.1 hypothetical protein 1 [Hubei picorna-like virus 22] -YP_009337682.1 hypothetical protein 1 [Wenzhou picorna-like virus 26] -YP_009337654.1 hypothetical protein 1 [Hubei picorna-like virus 25] -YP_009337332.1 hypothetical protein 1 [Hubei picorna-like virus 18] -YP_009337313.1 hypothetical protein 1 [Hubei picorna-like virus 14] -YP_009337080.1 hypothetical protein 1 [Wenzhou picorna-like virus 21] -YP_009337064.1 hypothetical protein 1 [Hubei picorna-like virus 67] -YP_009337058.1 hypothetical protein 2 [Wenzhou picorna-like virus 37] -YP_009337057.1 hypothetical protein 1 [Wenzhou picorna-like virus 37] -YP_009337049.1 hypothetical protein 1 [Wenzhou shrimp virus 6] -YP_009337036.1 hypothetical protein 1 [Wenzhou shrimp virus 4] -YP_009336994.1 hypothetical protein 1 [Wenzhou picorna-like virus 28] -YP_009336976.1 hypothetical protein 2 [Wenzhou picorna-like virus 35] -YP_009336882.1 hypothetical protein 1 [Shahe picorna-like virus 11] -YP_009336853.1 hypothetical protein 1 [Hubei picorna-like virus 17] -YP_009336844.1 hypothetical protein [Wenzhou picorna-like virus 39] -YP_009336838.1 hypothetical protein 1 [Wenzhou picorna-like virus 29] -YP_009336821.1 hypothetical protein [Wenzhou picorna-like virus 49] -YP_009336819.1 hypothetical protein [Shahe picorna-like virus 10] -YP_009336801.1 hypothetical protein [Wenzhou channeled applesnail virus 3] -YP_009336781.1 hypothetical protein 1 [Changjiang picorna-like virus 13] -YP_009336777.1 hypothetical protein 1 [Wenzhou channeled applesnail virus 2] -YP_009336743.1 hypothetical protein 1 [Wenling crustacean virus 3] -YP_009336706.1 hypothetical protein [Wenzhou picorna-like virus 27] -YP_009336700.1 hypothetical protein 1 [Wenling picorna-like virus 5] -YP_009336690.1 hypothetical protein 1 [Wenling crustacean virus 2] -YP_009336682.1 hypothetical protein 1 [Wenzhou shrimp virus 5] -YP_009336667.1 hypothetical protein 1 [Wenling picorna-like virus 7] -YP_009336664.1 hypothetical protein [Changjiang picorna-like virus 15] -YP_009336627.1 hypothetical protein 1 [Wenling picorna-like virus 4] -YP_009336613.1 hypothetical protein 1 [Wenling picorna-like virus 3] -YP_009336583.1 hypothetical protein 1 [Hubei picorna-like virus 16] -YP_009336581.1 hypothetical protein 1 [Changjiang picorna-like virus 12] -YP_009336571.1 hypothetical protein 1 [Hubei diptera virus 1] -YP_009336557.1 hypothetical protein 1 [Hubei orthoptera virus 1] -YP_009336540.1 hypothetical protein 1 [Hubei picorna-like virus 15] -YP_009336513.1 hypothetical protein 1 [Changjiang crawfish virus 5] -YP_006666503.2 non-structural polyprotein [Macrobrachium rosenbergii Taihu virus] -YP_009333607.1 hypothetical protein [Beihai picorna-like virus 87] -YP_009333603.1 hypothetical protein 1 [Beihai picorna-like virus 82] -YP_009333596.1 hypothetical protein 1 [Beihai picorna-like virus 91] -YP_009333558.1 hypothetical protein 1 [Beihai picorna-like virus 74] -YP_009333551.1 hypothetical protein 1 [Beihai picorna-like virus 85] -YP_009333547.1 hypothetical protein 1 [Beihai picorna-like virus 72] -YP_009333530.1 hypothetical protein 1 [Beihai picorna-like virus 83] -YP_009333524.1 hypothetical protein 1 [Beihai picorna-like virus 90] -YP_009333518.1 hypothetical protein 1 [Beihai picorna-like virus 124] -YP_009333513.1 hypothetical protein 1 [Beihai picorna-like virus 101] -YP_009333511.1 hypothetical protein 1 [Beihai picorna-like virus 93] -YP_009333502.1 hypothetical protein 1 [Beihai picorna-like virus 81] -YP_009333496.1 hypothetical protein 1 [Beihai picorna-like virus 84] -YP_009333494.1 hypothetical protein 1 [Beihai shrimp virus 1] -YP_009333474.1 hypothetical protein [Beihai picorna-like virus 111] -YP_009333456.1 hypothetical protein 1 [Beihai picorna-like virus 71] -YP_009333432.1 hypothetical protein 1 [Beihai picorna-like virus 77] -YP_009333407.1 hypothetical protein 1 [Beihai picorna-like virus 100] -YP_009333394.1 hypothetical protein 1 [Beihai picorna-like virus 117] -YP_009333386.1 hypothetical protein 1 [Beihai picorna-like virus 75] -YP_009333200.1 hypothetical protein 1 [Shahe picorna-like virus 8] -YP_009333180.1 hypothetical protein 1 [Wenling picorna-like virus 2] -YP_009333162.1 hypothetical protein 1 [Wenzhou shrimp virus 7] -YP_009333273.1 hypothetical protein 1 [Beihai mantis shrimp virus 4] -YP_009333215.1 hypothetical protein [Beihai mantis shrimp virus 3] -YP_009333197.1 hypothetical protein 1 [Beihai mantis shrimp virus 5] -YP_009333143.1 hypothetical protein [Beihai echinoderm virus 1] -YP_009330121.1 hypothetical protein 1 [Beihai picorna-like virus 70] -YP_009330023.1 hypothetical protein [Beihai picorna-like virus 73] -YP_009330008.1 hypothetical protein [Changjiang crawfish virus 4] -YP_009329985.1 hypothetical protein 1 [Hubei picorna-like virus 24] -YP_009329822.1 replicative protein [Bivalve RNA virus G5] -YP_009329817.1 replicative protein [Bivalve RNA virus G1] -YP_009315870.1 polymerase polyprotein [Mosquito dicistrovirus] -YP_009315868.1 nonstructural protein [Centovirus AC] -YP_009252204.1 non-structural polyprotein [Anopheles C virus] -YP_009221981.1 nonstructural polyprotein [Goose dicistrovirus] -YP_008888535.1 non-structural polyprotein [Formica exsecta virus 1] -YP_004935381.1 unnamed protein product [Halastavi arva RNA virus] -YP_004063985.1 non-structural polyprotein [Mud crab virus] -YP_001040002.1 polymerase polyprotein [Israeli acute paralysis virus] -YP_610950.1 nonstructural protein precursor [Homalodisca coagulata virus 1] -YP_164440.1 nonstructural polyprotein [Solenopsis invicta virus 1] -NP_851403.1 non-structural polyprotein [Kashmir bee virus] -NP_733845.1 nonstructural polyprotein [Aphid lethal paralysis virus] -NP_647481.1 nonstructural polyprotein [Cricket paralysis virus] -NP_620562.1 nonstructural protein precursor, partial [Triatoma virus] -NP_620564.1 nonstructural polyprotein [Black queen cell virus] -NP_620560.1 nonstructural protein precursor [Himetobi P virus] -NP_620555.1 nonstructural polyprotein [Plautia stali intestine virus] -NP_149057.1 non-structural polyprotein [Taura syndrome virus] -NP_066241.1 replicase polyprotein [Acute bee paralysis virus] -NP_046155.1 nonstructural polyprotein [Rhopalosiphum padi virus] -NP_044945.1 replicase polyprotein [Drosophila C virus] -AQP31138.1 non-structural polyprotein, partial [Bat cripavirus] -APG78902.1 hypothetical protein, partial [Beihai picorna-like virus 97] -AHA86926.2 gp2 [Laverivirus UC1] -AQY03950.1 nonstructural polyprotein [Israeli acute paralysis virus] -APG76709.1 hypothetical protein, partial [Beihai picorna-like virus 88] -APG77443.1 hypothetical protein 1 [Hubei picorna-like virus 23] -YP_009345912.1 non-structural polyprotein [Bat badicivirus 2] -AHA86924.1 gp1 [Micalovirus SF1] -APG77985.1 hypothetical protein 1 [Hubei picorna-like virus 15] -AMO03208.1 putative nonstructural polyprotein [Empeyrat virus] -AII82236.1 RNA-dependent RNA polymerase, partial [Fesavirus 3] -AAT81157.2 non-structural polyprotein [Taura syndrome virus] -APG78512.1 hypothetical protein 1 [Wenzhou picorna-like virus 34] -APG78421.1 hypothetical protein 1 [Aphid lethal paralysis virus] -APG78061.1 hypothetical protein 1 [Beihai picorna-like virus 70] -AIM55450.1 NS, partial [Ancient Northwest Territories cripavirus] -APG76683.1 hypothetical protein 1 [Beihai picorna-like virus 80] -APG78016.1 hypothetical protein 1 [Beihai picorna-like virus 74] -AGN73377.1 nonstructural protein, partial [Bat dicistrovirus] -AIS73137.1 non-structural polyprotein [Arivirus 1] -APG77930.1 hypothetical protein, partial [Beihai picorna-like virus 76] -AOC55056.1 nonstructural protein [Griffin dicistrovirus] diff --git a/seq/clusters_info/cluster_50 b/seq/clusters_info/cluster_50 deleted file mode 100644 index 526ee80..0000000 --- a/seq/clusters_info/cluster_50 +++ /dev/null @@ -1,57 +0,0 @@ -YP_009118472.1 PB1-F2 protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_473348.1 PB1-F2 protein [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -YP_418248.1 PB1-F2 protein [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -YP_308877.1 PB1-F2 protein [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308848.1 PB1-F2 protein [Influenza A virus (A/New York/392/2004(H3N2))] -sp|Q20PL5.1|PB1F2_I79A7 RecName: Full=Protein PB1-F2 -sp|Q20NN4.1|PB1F2_I80A8 RecName: Full=Protein PB1-F2 -sp|Q0A460.1|PB1F2_I68A3 RecName: Full=Protein PB1-F2 -sp|Q0A450.1|PB1F2_I66A1 RecName: Full=Protein PB1-F2 -sp|Q0A439.1|PB1F2_I49A1 RecName: Full=Protein PB1-F2 -sp|Q0A428.1|PB1F2_I56A2 RecName: Full=Protein PB1-F2 -sp|Q0A407.1|PB1F2_I77AF RecName: Full=Protein PB1-F2 -sp|Q0A3Q0.1|PB1F2_I78AC RecName: Full=Protein PB1-F2 -sp|Q0A2H8.1|PB1F2_I83A5 RecName: Full=Protein PB1-F2 -sp|Q0A2F6.1|PB1F2_I83A4 RecName: Full=Protein PB1-F2 -sp|Q0A2D8.1|PB1F2_I66A0 RecName: Full=Protein PB1-F2 -sp|P0C793.1|PB1F2_I80A2 RecName: Full=Protein PB1-F2 -sp|A3DRP9.1|PB1F2_I96A2 RecName: Full=Protein PB1-F2 -sp|A4GCI4.1|PB1F2_I83A1 RecName: Full=Protein PB1-F2 -sp|A4GCK6.1|PB1F2_I80AA RecName: Full=Protein PB1-F2 -sp|A4K152.1|PB1F2_I54A2 RecName: Full=Protein PB1-F2 -sp|A4U6W1.1|PB1F2_I45A0 RecName: Full=Protein PB1-F2 -sp|A4GCL7.1|PB1F2_I43A0 RecName: Full=Protein PB1-F2 -sp|A4GCJ5.1|PB1F2_I36A0 RecName: Full=Protein PB1-F2 -sp|A4GCM8.1|PB1F2_I35A3 RecName: Full=Protein PB1-F2 -sp|A8C8K3.1|PB1F2_I07A0 RecName: Full=Protein PB1-F2 -sp|B4URE5.1|PB1F2_I06A0 RecName: Full=Protein PB1-F2 -sp|P0C574.1|PB1F2_I18A0 RecName: Full=Protein PB1-F2 -sp|P0C5V9.1|PB1F2_I05A1 RecName: Full=Protein PB1-F2 -sp|P0C5V8.1|PB1F2_I03A1 RecName: Full=Protein PB1-F2 -sp|P0C5V7.1|PB1F2_I03A0 RecName: Full=Protein PB1-F2 -sp|P0C5V6.1|PB1F2_I02A7 RecName: Full=Protein PB1-F2 -sp|P0C5V5.1|PB1F2_I02A6 RecName: Full=Protein PB1-F2 -sp|P0C5V3.1|PB1F2_I02A4 RecName: Full=Protein PB1-F2 -sp|P0C5V2.1|PB1F2_I02A3 RecName: Full=Protein PB1-F2 -sp|P0C5U9.1|PB1F2_I01A3 RecName: Full=Protein PB1-F2 -sp|P0C5U8.1|PB1F2_I01A2 RecName: Full=Protein PB1-F2 -sp|P0C5U6.1|PB1F2_I01A0 RecName: Full=Protein PB1-F2 -sp|P0C2M2.1|PB1F2_I60A0 RecName: Full=Protein PB1-F2 -sp|Q463W6.1|PB1F2_I72A3 RecName: Full=Protein PB1-F2 -sp|Q3YPY6.1|PB1F2_I71A1 RecName: Full=Protein PB1-F2 -sp|Q38SP9.1|PB1F2_I83A8 RecName: Full=Protein PB1-F2 -sp|Q30NP2.1|PB1F2_I75A0 RecName: Full=Protein PB1-F2 -sp|Q2VNE4.1|PB1F2_I78A7 RecName: Full=Protein PB1-F2 -sp|Q2RF97.1|PB1F2_I76A6 RecName: Full=Protein PB1-F2 -sp|Q2RCG7.1|PB1F2_I80A4 RecName: Full=Protein PB1-F2 -sp|Q289L8.1|PB1F2_I00A1 RecName: Full=Protein PB1-F2 -sp|Q288Y7.1|PB1F2_I77A4 RecName: Full=Protein PB1-F2 -sp|Q20P13.1|PB1F2_I56A1 RecName: Full=Protein PB1-F2 -sp|Q20MH0.1|PB1F2_I33A0 RecName: Full=Protein PB1-F2 -sp|Q1PUD0.1|PB1F2_I73A5 RecName: Full=Protein PB1-F2 -sp|Q0HD51.1|PB1F2_I40A0 RecName: Full=Protein PB1-F2 -sp|Q0A2Q5.1|PB1F2_I85A3 RecName: Full=Protein PB1-F2 -sp|Q0A2G7.1|PB1F2_I59A0 RecName: Full=Protein PB1-F2 -sp|Q08II4.1|PB1F2_I80A6 RecName: Full=Protein PB1-F2 -sp|P0C0U0.1|PB1F2_I97A1 RecName: Full=Protein PB1-F2 -sp|Q20NV2.1|PB1F2_I80AD RecName: Full=Protein PB1-F2 diff --git a/seq/clusters_info/cluster_500 b/seq/clusters_info/cluster_500 deleted file mode 100644 index cc1440f..0000000 --- a/seq/clusters_info/cluster_500 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009512977.1 attachment protein [Teviot virus] -YP_009512970.1 attachment protein [Menangle virus] -YP_009094497.1 hemagglutinin-neuraminidase [Tuhoko virus 1] -YP_009094465.1 attachment protein [Achimota virus 2] -YP_009094457.1 attachment protein [Achimota virus 1] -YP_009094079.1 hemagglutinin-neuraminidase [Tuhoko virus 3] -YP_009094066.1 hemagglutinin-neuraminidase [Tuhoko virus 2] -YP_009094033.1 hemagglutinin-neuraminidase [Sosuga virus] -NP_665870.1 attachment protein [Tioman virus] diff --git a/seq/clusters_info/cluster_501 b/seq/clusters_info/cluster_501 deleted file mode 100644 index 34554e9..0000000 --- a/seq/clusters_info/cluster_501 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009508060.1 coat protein [Crimson clover cryptic virus 2] -YP_009293580.1 coat protein [Cannabis cryptic virus] -YP_009177605.1 capsid protein [Rosellinia necatrix partitivirus 6] -YP_007891055.1 coat protein [Dill cryptic virus 2] -YP_007889826.1 coat protein [Hop trefoil cryptic virus 2] -YP_007889824.1 coat protein [Red clover cryptic virus 2] -YP_007889822.1 coat protein [White clover cryptic virus 2] -YP_003104769.1 putative capsid protein [Primula malacoides virus China/Mar2007] -YP_392481.1 putative coat protein [Rosellinia necatrix partitivirus 1-W8] diff --git a/seq/clusters_info/cluster_502 b/seq/clusters_info/cluster_502 deleted file mode 100644 index 7c766d1..0000000 --- a/seq/clusters_info/cluster_502 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009507793.1 envelope precursor [Puma lentivirus 14] -NP_040976.1 envelope polyprotein [Feline immunodeficiency virus] -sp|Q04993.1|ENV_FIVU2 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -sp|Q03804.1|ENV_FIVU1 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -sp|P16090.2|ENV_FIVPE RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -sp|Q05312.1|ENV_FIVWO RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -sp|Q04995.1|ENV_FIVU8 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -sp|Q02282.1|ENV_FIVT2 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -sp|P19030.1|ENV_FIVSD RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 diff --git a/seq/clusters_info/cluster_503 b/seq/clusters_info/cluster_503 deleted file mode 100644 index 3893bf5..0000000 --- a/seq/clusters_info/cluster_503 +++ /dev/null @@ -1,9 +0,0 @@ -NP_058429.1 non-structural protein 7 [Transmissible gastroenteritis virus] -YP_009199248.1 non-structural protein 7 [Swine enteric coronavirus] -YP_009019187.1 non-structural protein 7a [Mink coronavirus strain WD1127] -YP_004070200.1 non-structural protein 7a [Feline infectious peritonitis virus] -sp|Q7T6S7.1|NS7_CVCBG RecName: Full=Non-structural protein 7a; Short=ns7a; AltName: Full=11 kDa protein; AltName: Full=Accessory protein 7a; AltName: Full=X3 protein; Flags: Precursor -sp|P36301.1|NS7_CVCAI RecName: Full=Non-structural protein 7a; Short=ns7a; AltName: Full=11 kDa protein; AltName: Full=Accessory protein 7a; AltName: Full=X3 protein; Flags: Precursor -sp|Q04703.1|NS7_CVCAK RecName: Full=Non-structural protein 7a; Short=ns7a; AltName: Full=11 kDa protein; AltName: Full=Accessory protein 7a; AltName: Full=X3 protein; Flags: Precursor -sp|P69613.1|NS7_CVPRM RecName: Full=Non-structural protein 7; Short=ns7; AltName: Full=9 kDa hydrophobic protein; Short=HP; AltName: Full=Accessory protein 7; AltName: Full=X3 protein; Flags: Precursor -sp|P05992.1|NS7_CVPFS RecName: Full=Non-structural protein 7; Short=ns7; AltName: Full=9 kDa hydrophobic protein; Short=HP; AltName: Full=Accessory protein 7; AltName: Full=X3 protein; Flags: Precursor diff --git a/seq/clusters_info/cluster_504 b/seq/clusters_info/cluster_504 deleted file mode 100644 index a02a95a..0000000 --- a/seq/clusters_info/cluster_504 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009480336.1 RNA dependent RNA polymerase [Maize rough dwarf virus] -YP_009333354.1 RNA-dependent RNA polymerase [Wuhan heteroptera virus 3] -YP_009329904.1 RNA-dependent RNA polymerase [Hubei insect virus 2] -YP_004021936.1 P1 [Southern rice black-streaked dwarf virus] -YP_956848.1 RNA-dependent RNA polymerase [Mal de Rio Cuarto virus] -YP_249762.1 RNA-dependent RNA polymerase [Fiji disease virus] -NP_620452.1 hypothetical protein (P1) [Rice black streaked dwarf virus] -NP_619776.1 RNA Polymerase [Nilaparvata lugens reovirus] -APG79192.1 RNA-dependent RNA polymerase [Hubei reo-like virus 4] diff --git a/seq/clusters_info/cluster_505 b/seq/clusters_info/cluster_505 deleted file mode 100644 index c82ecd3..0000000 --- a/seq/clusters_info/cluster_505 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009345119.1 RNA-dependent RNA polymerase [Xinzhou nematode virus 3] -YP_009342465.1 RNA-dependent RNA polymerase [Wuhan insect virus 15] -YP_009337866.1 RNA-dependent RNA polymerase [Wenzhou qinvirus-like virus 1] -YP_009337864.1 RNA-dependent RNA polymerase [Wenzhou qinvirus-like virus 2] -YP_009337849.1 RNA-dependent RNA polymerase [Sanxia Qinvirus-like virus 1] -YP_009337847.1 RNA-dependent RNA polymerase [Hubei qinvirus-like virus 1] -YP_009337852.1 RNA-dependent RNA polymerase [Shahe qinvirus-like virus 1] -YP_009330273.1 RNA-dependent RNA polymerase [Beihai sesarmid crab virus 4] -APG78066.1 RNA-dependent RNA polymerase, partial [Hubei qinvirus-like virus 2] diff --git a/seq/clusters_info/cluster_506 b/seq/clusters_info/cluster_506 deleted file mode 100644 index 800c6fb..0000000 --- a/seq/clusters_info/cluster_506 +++ /dev/null @@ -1,9 +0,0 @@ -YP_009336975.1 hypothetical protein 1 [Wenzhou picorna-like virus 35] -YP_009336744.1 hypothetical protein 2 [Wenling crustacean virus 3] -YP_009333604.1 hypothetical protein 2 [Beihai picorna-like virus 82] -YP_009333552.1 hypothetical protein 2 [Beihai picorna-like virus 85] -YP_009333503.1 hypothetical protein 2 [Beihai picorna-like virus 81] -YP_009333497.1 hypothetical protein 2 [Beihai picorna-like virus 84] -YP_009333181.1 hypothetical protein 2 [Wenling picorna-like virus 2] -YP_009329823.1 structural protein [Bivalve RNA virus G5] -YP_009329818.1 structural protein [Bivalve RNA virus G1] diff --git a/seq/clusters_info/cluster_507 b/seq/clusters_info/cluster_507 deleted file mode 100644 index a80fd14..0000000 --- a/seq/clusters_info/cluster_507 +++ /dev/null @@ -1,9 +0,0 @@ -NP_995577.1 putative RNA dependent RNA polymerase [Sclerophthora macrospora virus A] -APG76202.1 hypothetical protein 1 [Beihai noda-like virus 2] -APG76470.1 hypothetical protein [Hubei noda-like virus 3] -ADK55577.1 RNA-dependent RNA polymerase [Plasmopara halstedii virus A] -AHA86934.1 gp1 [Betegovirus SF] -APG76128.1 hypothetical protein [Beihai noda-like virus 4] -APG76485.1 hypothetical protein [Hubei noda-like virus 2] -APG76452.1 hypothetical protein [Sanxia noda-like virus 1] -APG76184.1 hypothetical protein 1 [Beihai noda-like virus 3] diff --git a/seq/clusters_info/cluster_508 b/seq/clusters_info/cluster_508 deleted file mode 100644 index c035702..0000000 --- a/seq/clusters_info/cluster_508 +++ /dev/null @@ -1,9 +0,0 @@ -YP_006491252.1 transframe fusion protein [Getah virus] -YP_006491250.1 transframe fusion protein [Mayaro virus] -YP_006491254.1 transframe fusion protein [Ross River virus] -YP_006491242.1 transframe fusion protein [Barmah Forest virus] -YP_006390079.1 TransFrame protein [Semliki Forest virus] -NP_819007.1 6K protein [Semliki Forest virus] -NP_819001.1 6K protein [Barmah Forest virus] -NP_740695.1 6k protein [Mayaro virus] -NP_740685.1 6K protein [Ross River virus] diff --git a/seq/clusters_info/cluster_509 b/seq/clusters_info/cluster_509 deleted file mode 100644 index b1aff46..0000000 --- a/seq/clusters_info/cluster_509 +++ /dev/null @@ -1,9 +0,0 @@ -YP_460026.1 RNA-dependent RNA polymerase [Liao ning virus] -NP_694468.1 putative RNA-dependent RNA polymerase VP1 [Kadipiro virus] -NP_694469.1 putative RNA-dependent RNA polymerase VP1 [Banna virus strain JKT-6423] -sp|Q698V5.1|RDRP_ESRV9 RecName: Full=RNA-directed RNA polymerase -ALR84811.1 VP1 [Mangshi virus] -AGK29950.1 VP1 [Banna-like virus strain Balaton/2010/HUN] -APG79130.1 RNA-dependent RNA polymerase [Kadipiro virus] -APG79088.1 RNA-dependent RNA polymerase [Hubei blood fluke virus 3] -APG79118.1 RNA-dependent RNA polymerase [Banna virus] diff --git a/seq/clusters_info/cluster_51 b/seq/clusters_info/cluster_51 deleted file mode 100644 index ad6de20..0000000 --- a/seq/clusters_info/cluster_51 +++ /dev/null @@ -1,56 +0,0 @@ -YP_009825025.1 NSP13 [Duck coronavirus] -YP_009824973.1 ORF1ab polyprotein [Wencheng Sm shrew coronavirus] -YP_009755921.1 nsp13 [Canada goose coronavirus] -YP_009755889.1 ORF1ab polyprotein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -YP_009336483.1 ORF1ab polyprotein [Lucheng Rn rat coronavirus] -YP_009256195.1 ORF1ab polyprotein [Ferret coronavirus] -YP_006908641.2 ORF1ab polyprotein [Rousettus bat coronavirus HKU10] -YP_001718610.1 ORF1ab polyprotein [Miniopterus bat coronavirus HKU8] -YP_001552234.1 ORF1ab polyprotein [Rhinolophus bat coronavirus HKU2] -YP_001351683.1 ORF1 polyprotein [Scotophilus bat coronavirus 512] -YP_009194637.1 polyprotein ORF1ab [Camel alphacoronavirus] -YP_003766.2 ORF1ab polyprotein [Human coronavirus NL63] -NP_835353.1 putative coronavirus nsp10 (MB, NTPase/HEL) [Human coronavirus 229E] -NP_073549.1 replicase polyprotein 1ab [Human coronavirus 229E] -YP_009924394.1 nsp13 [Rousettus bat coronavirus HKU9] -YP_009944303.1 nsp13 [Betacoronavirus England 1] -YP_009944350.1 nsp13 [Pipistrellus bat coronavirus HKU5] -YP_009944321.1 nsp13 [Tylonycteris bat coronavirus HKU4] -YP_459942.1 nsp13 [Human coronavirus HKU1] -NP_828870.1 nsp13 [SARS coronavirus Tor2] -YP_009924420.1 nsp13 [Rabbit coronavirus HKU14] -YP_009915684.1 nsp13 [Murine hepatitis virus] -YP_209240.1 nsp13; zinc-binding domain and helicase [Murine hepatitis virus strain JHM] -YP_009555254.1 nsp10 [Human coronavirus OC43] -YP_009824965.1 ORF1ab protein [NL63-related bat coronavirus] -YP_009755838.1 ORF1ab polyprotein [Shrew coronavirus] -NP_058422.1 replicase [Transmissible gastroenteritis virus] -YP_009380520.1 orf1b polyprotein, partial [Coronavirus AcCoV-JC34] -YP_009380519.1 orf1a polyprotein [Coronavirus AcCoV-JC34] -YP_009328933.1 ORF1ab protein [NL63-related bat coronavirus] -YP_009201729.1 ORF1ab polyprotein [BtNv-AlphaCoV/SC2013] -YP_009200734.1 ORF1ab polyprotein [BtRf-AlphaCoV/YN2012] -YP_009199789.1 ORF1ab polyprotein [BtRf-AlphaCoV/HuB2013] -YP_009199608.1 ORF1ab polyprotein [BtMr-AlphaCoV/SAX2011] -YP_009199241.1 replicase polyprotein 1b, partial [Swine enteric coronavirus] -YP_009199240.1 replicase polyprotein 1a [Swine enteric coronavirus] -YP_009019180.1 orf1ab polyprotein [Mink coronavirus strain WD1127] -NP_598309.2 Pol1 [Porcine epidemic diarrhea virus] -YP_004070193.2 polyprotein ab [Feline infectious peritonitis virus] -YP_008439223.1 nsp13 [Bat coronavirus CDPHE15/USA/2006] -YP_008439200.1 replicase polyprotein 1ab [Bat coronavirus CDPHE15/USA/2006] -YP_001941186.1 NSP13 [Turkey coronavirus] -YP_001718603.1 ORF1ab polyprotein [Bat coronavirus 1A] -NP_839966.1 putative coronavirus nsp10 (MB, NTPase/HEL) [Porcine epidemic diarrhea virus] -NP_740630.1 coronavirus nsp10 [Infectious bronchitis virus] -sp|Q9WQ76.1|R1AB_CVTMI RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12 -sp|Q9WQ77.1|R1AB_CVRSD RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12 -AIA62270.1 ORF1ab polyprotein [Alphacoronavirus BtMs-AlphaCoV/GS2013] -APD51489.1 ORF1ab protein [NL63-related bat coronavirus] -AID16677.1 orf1ab polyprotein, partial [Wencheng Sm shrew coronavirus] -ALK43115.1 orf1ab [229E-related bat coronavirus] -AFU92121.1 orf1ab polyprotein [Hipposideros bat coronavirus HKU10] -AID16674.1 orf1ab polyprotein, partial [Anlong Ms bat coronavirus] -ACA52156.1 ORF1ab polyprotein [Bat coronavirus 1B] -ADX59465.1 polyprotein, partial [Chaerephon bat coronavirus/Kenya/KY41/2006] -AID56804.1 polyprotein [Porcine epidemic diarrhea virus] diff --git a/seq/clusters_info/cluster_510 b/seq/clusters_info/cluster_510 deleted file mode 100644 index 289d766..0000000 --- a/seq/clusters_info/cluster_510 +++ /dev/null @@ -1,9 +0,0 @@ -NP_056663.1 neuraminidase [Influenza B virus (B/Lee/1940)] -sp|P16203.1|NRAM_INBSI RecName: Full=Neuraminidase -sp|P16199.1|NRAM_INBMF RecName: Full=Neuraminidase -sp|P27907.1|NRAM_INBBE RecName: Full=Neuraminidase -sp|P67923.1|NRAM_INBLN RecName: Full=Neuraminidase -sp|P16195.1|NRAM_INBMD RecName: Full=Neuraminidase -sp|P16191.1|NRAM_INBHK RecName: Full=Neuraminidase -sp|Q90021.1|NRAM_INBYB RecName: Full=Neuraminidase -sp|P16205.1|NRAM_INBUS RecName: Full=Neuraminidase diff --git a/seq/clusters_info/cluster_511 b/seq/clusters_info/cluster_511 deleted file mode 100644 index 16080e1..0000000 --- a/seq/clusters_info/cluster_511 +++ /dev/null @@ -1,9 +0,0 @@ -NP_056662.1 NB protein [Influenza B virus (B/Lee/1940)] -sp|P16204.1|VNB_INBSI RecName: Full=Glycoprotein NB -sp|P16200.1|VNB_INBMF RecName: Full=Glycoprotein NB -sp|P67909.1|VNB_INBME RecName: Full=Glycoprotein NB -sp|P16208.1|VNB_INBVI RecName: Full=Glycoprotein NB -sp|P16202.1|VNB_INBOR RecName: Full=Glycoprotein NB -sp|P16196.1|VNB_INBMD RecName: Full=Glycoprotein NB -sp|P16192.1|VNB_INBHK RecName: Full=Glycoprotein NB -sp|P27908.1|VNB_INBBE RecName: Full=Glycoprotein NB diff --git a/seq/clusters_info/cluster_512 b/seq/clusters_info/cluster_512 deleted file mode 100644 index 345f11c..0000000 --- a/seq/clusters_info/cluster_512 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009825010.1 3b protein [Duck coronavirus] -YP_009825000.1 3b protein [Infectious bronchitis virus] -YP_001941168.1 3b protein [Turkey coronavirus] -NP_040833.1 3b protein [Infectious bronchitis virus] -sp|P05138.1|NS3B_IBVM RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -sp|P30244.1|NS3B_IBVU5 RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -sp|P30243.1|NS3B_IBVU4 RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -sp|P30242.1|NS3B_IBVP3 RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b diff --git a/seq/clusters_info/cluster_513 b/seq/clusters_info/cluster_513 deleted file mode 100644 index 2c06cda..0000000 --- a/seq/clusters_info/cluster_513 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009825009.1 3a protein [Duck coronavirus] -YP_009824999.1 3a protein [Infectious bronchitis virus] -YP_001941167.1 3a protein [Turkey coronavirus] -NP_040832.1 3a protein [Infectious bronchitis virus] -sp|P30240.1|NS3A_IBVU5 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -sp|P30239.1|NS3A_IBVU4 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -sp|P30238.1|NS3A_IBVP3 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -sp|P05137.2|NS3A_IBVM RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor diff --git a/seq/clusters_info/cluster_514 b/seq/clusters_info/cluster_514 deleted file mode 100644 index f29a0a0..0000000 --- a/seq/clusters_info/cluster_514 +++ /dev/null @@ -1,8 +0,0 @@ -YP_005352849.1 NS6 protein [Sparrow coronavirus HKU17] -YP_005352874.1 NS6 protein [Wigeon coronavirus HKU20] -YP_005352857.1 NS6 protein [Magpie-robin coronavirus HKU18] -YP_009513024.1 NS6 protein [Porcine coronavirus HKU15] -YP_005352841.1 NS6 protein [White-eye coronavirus HKU16] -YP_002308482.1 nonstructural protein [Bulbul coronavirus HKU11-934] -YP_002308509.1 nonstructural protein [Munia coronavirus HKU13-3514] -YP_002308500.1 nonstructural protein [Thrush coronavirus HKU12-600] diff --git a/seq/clusters_info/cluster_515 b/seq/clusters_info/cluster_515 deleted file mode 100644 index d975b40..0000000 --- a/seq/clusters_info/cluster_515 +++ /dev/null @@ -1,8 +0,0 @@ -YP_005352847.1 envelope protein [Sparrow coronavirus HKU17] -YP_005352882.1 envelope protein [Common moorhen coronavirus HKU21] -YP_005352855.1 envelope protein [Magpie-robin coronavirus HKU18] -YP_009513022.1 envelope protein [Porcine coronavirus HKU15] -YP_005352839.1 envelope protein [White-eye coronavirus HKU16] -YP_002308480.1 small membrane protein [Bulbul coronavirus HKU11-934] -YP_002308507.1 small membrane protein [Munia coronavirus HKU13-3514] -YP_002308498.1 small membrane protein [Thrush coronavirus HKU12-600] diff --git a/seq/clusters_info/cluster_516 b/seq/clusters_info/cluster_516 deleted file mode 100644 index d931971..0000000 --- a/seq/clusters_info/cluster_516 +++ /dev/null @@ -1,8 +0,0 @@ -YP_008470972.1 movement protein [Ageratum latent virus 1998] -YP_009551516.1 movement protein [Cape gooseberry ilarvirus 1] -YP_009165999.1 movement protein [Privet ringspot virus] -YP_002308572.1 movement protein [Blackberry chlorotic ringspot virus] -YP_941470.1 movement protein [Strawberry necrotic shock virus] -YP_054424.1 3a protein [Humulus japonicus latent virus] -YP_006463.1 3a protein [Parietaria mottle virus] -NP_620773.1 movement protein [Tobacco streak virus] diff --git a/seq/clusters_info/cluster_517 b/seq/clusters_info/cluster_517 deleted file mode 100644 index 91b336d..0000000 --- a/seq/clusters_info/cluster_517 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009508871.1 putative silencing suppressor [Tomato necrotic streak virus] -YP_009507941.1 2b protein [Lilac ring mottle virus] -YP_002455930.1 2b protein [Asparagus virus 2] -YP_001285484.1 putative 2b protein [Citrus variegation virus] -NP_620755.1 putative 2b protein [Tulare apple mosaic virus] -NP_620679.1 putative 2b protein [Spinach latent virus] -NP_620071.1 putative 2b protein [Elm mottle virus] -NP_619712.1 putative 2b protein [Citrus leaf rugose virus] diff --git a/seq/clusters_info/cluster_518 b/seq/clusters_info/cluster_518 deleted file mode 100644 index fb32289..0000000 --- a/seq/clusters_info/cluster_518 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010088072.1 glycoprotein [Actinidia emaravirus 2] -YP_009508087.1 glycoprotein precursor [Redbud yellow ringspot-associated emaravirus] -YP_009507926.1 glycoprotein precursor [Actinidia chlorotic ringspot-associated virus] -YP_009268865.1 glycoprotein precursor [Pigeonpea sterility mosaic emaravirus 2] -YP_009237272.1 putative glycoprotein precursor [Fig mosaic emaravirus] -YP_009237263.1 putative glycoprotein precursor, partial [Pigeonpea sterility mosaic emaravirus 1] -YP_004327590.1 putative glycoprotein p2 [Rose rosette emaravirus] -YP_003104765.1 putative glycoprotein precursor [European mountain ash ringspot-associated virus] diff --git a/seq/clusters_info/cluster_519 b/seq/clusters_info/cluster_519 deleted file mode 100644 index 95a7357..0000000 --- a/seq/clusters_info/cluster_519 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010088070.1 nucleocapsid protein [Actinidia emaravirus 2] -YP_009508085.1 putative nucleocapsid protein [Redbud yellow ringspot-associated emaravirus] -YP_009507928.1 nucleocapsid protein [Actinidia chlorotic ringspot-associated virus] -YP_009268864.1 RNA3, partial [Pigeonpea sterility mosaic emaravirus 2] -YP_009237281.1 putative nucleocapsid protein [Pigeonpea sterility mosaic emaravirus 1] -YP_009237270.1 putative nucleocapsid protein [Fig mosaic emaravirus] -YP_004327591.1 putative nucleocapsid p3 [Rose rosette emaravirus] -YP_003104767.1 putative nucleocapsid protein [European mountain ash ringspot-associated virus] diff --git a/seq/clusters_info/cluster_52 b/seq/clusters_info/cluster_52 deleted file mode 100644 index e83823f..0000000 --- a/seq/clusters_info/cluster_52 +++ /dev/null @@ -1,56 +0,0 @@ -YP_010087744.1 RNA-dependent RNA polymerase [Euonymus yellow mottle associated virus] -YP_010087351.1 replicase protein [Cnidium virus X] -YP_009389479.1 RNA-dependent RNA polymerase [Vanilla virus X] -YP_009389473.1 RNA-dependent RNA polymerase [Vanilla latent virus] -YP_009389419.1 RdRp protein [Euonymus yellow vein virus] -YP_009362668.1 RdRP [Alfalfa virus S] -YP_009357226.1 RNA-dependent RNA polymerase [Cassava virus X] -YP_009328892.1 RdRp [Arachis pintoi virus] -YP_009186834.1 RNA-dependent RNA polymerase [Actinidia virus X] -YP_009124988.1 putative RNA-dependent RNA polymerase [Citrus yellow vein clearing virus] -YP_009110668.1 replicase [Garlic virus B] -YP_009091814.1 RNA dependent RNA polymerase [Yam virus X] -YP_009041465.1 polyprotein [Sclerotinia sclerotiorum debilitation-associated RNA virus 2] -YP_008855206.1 replicase [Garlic virus D] -YP_008828152.1 Replicase [Donkey orchid symptomless virus] -YP_004659200.1 replication-associated polyprotein [Blackberry virus E] -YP_001960940.1 RNA-dependent RNA polymerase [Lettuce virus X] -YP_001715612.1 RNA dependent RNA polymerase [Asparagus virus 3] -YP_001655010.1 replicase protein [Phaius virus X] -YP_667844.1 ORF 1 [Malva mosaic virus] -YP_446992.1 replicase protein [Nerine virus X] -YP_325662.1 polyprotein [Sclerotinia sclerotiorum debilitation-associated RNA virus] -YP_319827.1 replicase protein [Alstroemeria virus X] -YP_263303.1 replication protein [Lily virus X] -YP_224134.1 replicase [Mint virus X] -NP_932306.1 158kDa replicase [Botrytis virus X] -NP_663724.1 RNA dependent RNA polymerase [Pepino mosaic virus] -NP_659010.1 hypothetical protein GvEgp1 [Garlic virus E] -NP_620715.1 RNA replication protein [White clover mosaic virus] -NP_620642.1 replicase [Strawberry mild yellow edge virus] -NP_620648.1 replicase [Shallot virus X] -NP_570726.1 RNA polymerase [Asparagus virus 3] -NP_569126.1 replicase [Garlic virus A] -NP_569132.1 replicase [Garlic virus C] -NP_203553.1 putative RNA-dependent RNA polymerase RdRp [Indian citrus ringspot virus] -NP_068549.1 replicase [Botrytis virus F] -NP_054025.1 RNA dependent RNA polymerase [Cymbidium mosaic virus] -NP_044571.1 replicase [Garlic virus X] -NP_040778.1 replicase [Narcissus mosaic virus] -sp|P15402.1|RDRP_WCMVO RecName: Full=RNA replication protein; AltName: Full=147 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -APG31855.1 RdRp [Arachis pintoi virus] -AGO03686.1 RNA dependent RNA polymerase, partial [Actinidia virus X] -ALK24278.1 replicase [Garlic virus D] -ABY27302.1 RNA-dependent RNA polymerase [Cymbidium mosaic virus] -ALI93575.1 replicase [Strawberry mild yellow edge virus] -AJD23381.1 putative RNA-dependent RNA polymerase [Yam virus X] -ADI70508.1 replicase, partial [White ash mosaic virus] -AKH39765.1 Replicase [Donkey orchid symptomless virus] -AHB87051.1 putative RNA replicase, partial [Escobaria virus] -ADD65542.1 replicase, partial [Cassia mild mosaic virus] -AHA91819.1 replicase [Cassava Colombian symptomless virus] -AGC67029.1 replicase [Shallot virus X] -prf||2012194A 187K protein -AAP51012.1 186K protein [Narcissus mosaic virus strain New Zealand] -APG77761.1 hypothetical protein [Hubei alphaflexi-like virus] -AEM23890.1 replicase protein [Nerine virus X] diff --git a/seq/clusters_info/cluster_520 b/seq/clusters_info/cluster_520 deleted file mode 100644 index 89773b2..0000000 --- a/seq/clusters_info/cluster_520 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010086220.1 nonstructural protein [Karimabad virus] -YP_010086204.1 nucleocapsid [Ntepes virus] -YP_010086130.1 nonstructural protein [Ixcanal virus] -YP_010086127.1 nonstructural protein [Durania virus] -YP_010086090.1 nonstructural protein [Gabek Forest virus] -YP_009346031.1 nonstructural protein [Ambe virus] -YP_009666334.1 nonstructural protein [Mukawa virus] -YP_004414704.1 nonstructural protein [Aguacate virus] diff --git a/seq/clusters_info/cluster_521 b/seq/clusters_info/cluster_521 deleted file mode 100644 index f8f41f5..0000000 --- a/seq/clusters_info/cluster_521 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010086032.1 VP6 [Rotavirus J] -YP_009130678.1 VP6 [Rotavirus I] -YP_008136240.1 VP6 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126846.1 inner capsid protein [Human rotavirus B] -YP_392495.1 VP6 [Adult diarrheal rotavirus strain J19] -sp|Q01754.1|VP6_ROTGI RecName: Full=Intermediate capsid protein VP6 -sp|Q0H8C4.1|VP6_ROTB2 RecName: Full=Intermediate capsid protein VP6 -sp|P26015.1|VP6_ROTGA RecName: Full=Intermediate capsid protein VP6 diff --git a/seq/clusters_info/cluster_522 b/seq/clusters_info/cluster_522 deleted file mode 100644 index 3a86b46..0000000 --- a/seq/clusters_info/cluster_522 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010086026.1 VP2 [Rotavirus J] -YP_009130675.1 VP2 [Rotavirus I] -YP_008136231.1 VP2 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126847.1 inner capsid protein [Human rotavirus B] -YP_392491.1 VP2 [Adult diarrheal rotavirus strain J19] -sp|A9Q1K8.1|VP2_ROTB2 RecName: Full=Inner capsid protein VP2 -sp|Q86108.1|VP2_ROTGI RecName: Full=Inner capsid protein VP2 -sp|Q86195.1|VP2_ROTGA RecName: Full=Inner capsid protein VP2 diff --git a/seq/clusters_info/cluster_523 b/seq/clusters_info/cluster_523 deleted file mode 100644 index 4ac4724..0000000 --- a/seq/clusters_info/cluster_523 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010086025.1 VP4 [Rotavirus J] -YP_009130677.1 VP4 [Rotavirus I] -YP_008136232.1 VP4 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126845.1 outer capsid protein [Human rotavirus B] -YP_392492.1 VP4 [Adult diarrheal rotavirus strain J19] -sp|A9Q1L0.1|VP4_ROTB2 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|P15155.1|VP4_ROTGI RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -sp|Q04916.1|VP4_ROTGA RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* diff --git a/seq/clusters_info/cluster_524 b/seq/clusters_info/cluster_524 deleted file mode 100644 index bd0d6c6..0000000 --- a/seq/clusters_info/cluster_524 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010085075.1 RNA-dependent RNA polymerase [Sanxia Water Strider Virus 2] -YP_009551602.1 RNA-dependent RNA polymerase [Saesbyeol virus] -YP_009664550.1 RNA-dependent RNA polymerase [Ferak virus] -YP_009507846.1 RNA-dependent RNA polymerase [Jonchet virus] -YP_009270651.1 RNA-dependent RNA polymerase [Wuhan Insect virus 2] -APG79271.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 10] -AJG39247.1 RNA-dependent RNA polymerase [Shayang Spider Virus 2] -ANW72256.1 putative glycoprotein, partial [Terena virus] diff --git a/seq/clusters_info/cluster_525 b/seq/clusters_info/cluster_525 deleted file mode 100644 index cefbf33..0000000 --- a/seq/clusters_info/cluster_525 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009342271.1 hypothetical protein 3 [Wenzhou tombus-like virus 18] -YP_009337754.1 hypothetical protein 3 [Wenzhou crab virus 4] -YP_009337116.1 hypothetical protein 3 [Wenling tombus-like virus 4] -YP_009336951.1 hypothetical protein 1 [Beihai tombus-like virus 18] -YP_009336809.1 putative capsid protein [Changjiang astro-like virus] -YP_009337263.1 hypothetical protein 3 [Wenling tombus-like virus 5] -YP_004207809.1 capsid protein [Penaeus vannamei nodavirus] -NP_919038.1 capsid protein [Macrobrachium rosenbergii nodavirus] diff --git a/seq/clusters_info/cluster_526 b/seq/clusters_info/cluster_526 deleted file mode 100644 index 1afd4ff..0000000 --- a/seq/clusters_info/cluster_526 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010086050.1 hypothetical protein KM557_s4gp1 [Penicillium brevicompactum tetramycovirus 1] -YP_010086047.1 hypothetical protein KM556_s2gp1 [Magnaporthe oryzae polymycovirus 1] -YP_010086042.1 hypothetical protein KM555_s2gp1 [Fusarium redolens polymycovirus 1] -YP_009352876.1 hypothetical protein B7L81_sORF2gp1 [Beauveria bassiana polymycovirus 1] -YP_009052471.1 hypothetical protein JT07_s2gp1 [Cladosporium cladosporioides virus 1] -YP_009551551.1 hypothetical protein [Penicillium digitatum polymycoviruses 1] -YP_009551545.1 protease [Aspergillus fumigatus polymycovirus 1] -YP_009342447.1 hypothetical protein [Botryosphaeria dothidea virus 1] diff --git a/seq/clusters_info/cluster_527 b/seq/clusters_info/cluster_527 deleted file mode 100644 index 560c1ed..0000000 --- a/seq/clusters_info/cluster_527 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010087862.1 HSP90h [Malus domestica virus A] -YP_009666142.1 60.6 kDa protein [Cordyline virus 2] -YP_009664828.1 61.2 kDa protein [Cordyline virus 4] -YP_009664818.1 60.2 kDa protein [Cordyline virus 3] -YP_009506348.1 p61 [Cordyline virus 1] -YP_009140436.1 p60 protein [Areca palm velarivirus 1] -YP_004935923.1 p64 gene product [Grapevine leafroll-associated virus 7] -NP_045005.1 hypothetical protein [Little cherry virus 1] diff --git a/seq/clusters_info/cluster_528 b/seq/clusters_info/cluster_528 deleted file mode 100644 index a6c674b..0000000 --- a/seq/clusters_info/cluster_528 +++ /dev/null @@ -1,8 +0,0 @@ -YP_003815434.1 matrix protein [Bundibugyo ebolavirus] -YP_009513276.1 matrix protein [Bombali ebolavirus] -YP_004928137.1 matrix protein [Lloviu cuevavirus] -YP_003815425.1 matrix protein [Tai Forest ebolavirus] -YP_138522.1 matrix protein [Sudan ebolavirus] -NP_690582.1 matrix protein [Reston ebolavirus] -NP_066245.1 matrix protein [Zaire ebolavirus] -sp|Q91DD9.1|VP40_EBORE RecName: Full=Matrix protein VP40; AltName: Full=Ebola VP40; Short=eVP40; AltName: Full=Membrane-associated protein VP40 diff --git a/seq/clusters_info/cluster_529 b/seq/clusters_info/cluster_529 deleted file mode 100644 index f796c6b..0000000 --- a/seq/clusters_info/cluster_529 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010086804.1 coat protein [Pistachio ampelovirus A] -YP_009664798.1 coat protein [Pineapple mealybug wilt-associated virus 2] -YP_009241370.1 putative coat protein [Grapevine leafroll-associated virus 13] -YP_008411015.1 major coat protein [Blackberry vein banding-associated virus] -YP_004940648.1 CPd2 gene product [Grapevine leafroll-associated virus 1] -YP_004940647.1 CPd1 gene product [Grapevine leafroll-associated virus 1] -YP_004940646.1 CP gene product [Grapevine leafroll-associated virus 1] -NP_813801.1 35 kDa coat protein [Grapevine leafroll-associated virus 3] diff --git a/seq/clusters_info/cluster_53 b/seq/clusters_info/cluster_53 deleted file mode 100644 index bdb6e05..0000000 --- a/seq/clusters_info/cluster_53 +++ /dev/null @@ -1,56 +0,0 @@ -YP_009121769.1 PA-X protein [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118474.1 PA-X protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_006575868.1 PA-X protein [Influenza A virus (A/New York/392/2004(H3N2))] -YP_006495803.1 PA-X protein [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_006495800.1 PA-X protein [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -YP_006495785.1 PA-X protein [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|P0DJV7.1|PAX_I80A8 RecName: Full=Protein PA-X -sp|P0DJV2.1|PAX_I61A1 RecName: Full=Protein PA-X -sp|P0DJW8.1|PAX_I33A0 RecName: Full=Protein PA-X -sp|P0DJR4.1|PAX_I80AD RecName: Full=Protein PA-X -sp|P0CK78.1|PAX_I83A1 RecName: Full=Protein PA-X -sp|P0CK81.1|PAX_I56A2 RecName: Full=Protein PA-X -sp|P0CK82.1|PAX_I49A1 RecName: Full=Protein PA-X -sp|P0DJW2.1|PAX_I45A0 RecName: Full=Protein PA-X -sp|P0DJW3.1|PAX_I43A0 RecName: Full=Protein PA-X -sp|P0CK88.1|PAX_I47A0 RecName: Full=Protein PA-X -sp|P0DJR6.1|PAX_I40A0 RecName: Full=Protein PA-X -sp|P0DJV9.1|PAX_I66A1 RecName: Full=Protein PA-X -sp|P0DJR8.1|PAX_I97A1 RecName: Full=Protein PA-X -sp|P0CK70.1|PAX_I97A0 RecName: Full=Protein PA-X -sp|P0CK79.1|PAX_I96A3 RecName: Full=Protein PA-X -sp|P0DJS2.1|PAX_I93A0 RecName: Full=Protein PA-X -sp|P0CK87.1|PAX_I86A3 RecName: Full=Protein PA-X -sp|P0DJU1.1|PAX_I85A7 RecName: Full=Protein PA-X -sp|P0CK77.1|PAX_I85A3 RecName: Full=Protein PA-X -sp|P0DJU3.1|PAX_I80A2 RecName: Full=Protein PA-X -sp|P0DJT8.1|PAX_I79A1 RecName: Full=Protein PA-X -sp|P0CK67.1|PAX_I77AA RecName: Full=Protein PA-X -sp|P0CK86.1|PAX_I73A4 RecName: Full=Protein PA-X -sp|P0DJV3.1|PAX_I67A2 RecName: Full=Protein PA-X -sp|P0CK65.1|PAX_I60A0 RecName: Full=Protein PA-X -sp|P0CK76.1|PAX_I59A0 RecName: Full=Protein PA-X -sp|P0DJS4.1|PAX_I57A2 RecName: Full=Protein PA-X -sp|P0CK80.1|PAX_I56A1 RecName: Full=Protein PA-X -sp|P0DJW5.1|PAX_I35A3 RecName: Full=Protein PA-X -sp|P0CK68.1|PAX_I18A0 RecName: Full=Protein PA-X -sp|P0DJW6.1|PAX_I07A0 RecName: Full=Protein PA-X -sp|P0CK90.1|PAX_I05A1 RecName: Full=Protein PA-X -sp|P0CK74.1|PAX_I02A6 RecName: Full=Protein PA-X -sp|P0CK92.1|PAX_I02A1 RecName: Full=Protein PA-X -sp|P0CK72.1|PAX_I01A3 RecName: Full=Protein PA-X -sp|P0DJU4.1|PAX_I01A0 RecName: Full=Protein PA-X -sp|P0DJU9.1|PAX_I78A9 RecName: Full=Protein PA-X -sp|P0CK84.1|PAX_I00A0 RecName: Full=Protein PA-X -sp|P0CK75.1|PAX_I83A5 RecName: Full=Protein PA-X -sp|P0DJV5.1|PAX_I83A4 RecName: Full=Protein PA-X -sp|P0DJV8.1|PAX_I68A3 RecName: Full=Protein PA-X -sp|P0CK89.1|PAX_I34A0 RecName: Full=Protein PA-X -sp|P0DJV6.1|PAX_I78AC RecName: Full=Protein PA-X -sp|P0DJV1.1|PAX_I77AD RecName: Full=Protein PA-X -sp|P0DJU7.1|PAX_I77A4 RecName: Full=Protein PA-X -sp|P0DJW0.1|PAX_I72A2 RecName: Full=Protein PA-X -sp|P0DJR5.1|PAX_I36A0 RecName: Full=Protein PA-X -sp|P0DJV0.1|PAX_I30A0 RecName: Full=Protein PA-X -sp|P0DJU5.1|PAX_I02A4 RecName: Full=Protein PA-X -sp|P0CK69.1|PAX_I02A0 RecName: Full=Protein PA-X diff --git a/seq/clusters_info/cluster_530 b/seq/clusters_info/cluster_530 deleted file mode 100644 index 66a9098..0000000 --- a/seq/clusters_info/cluster_530 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009553542.1 ORF2 protein [Cacao swollen shoot Ghana K virus] -YP_009553537.1 ORF2 protein [Cacao swollen shoot Ghana J virus] -YP_009553040.1 ORF2 protein [Cacao swollen shoot CE virus] -YP_009552692.1 ORF2 protein [Cacao swollen shoot Ghana N virus] -YP_009551939.1 ORF2 protein [Cacao swollen shoot Ghana L virus] -YP_009666825.1 ORF2 protein [Cacao swollen shoot Ghana M virus] -YP_009506250.1 hypothetical protein [Cacao swollen shoot CD virus] -NP_041733.1 hypothetical protein CSSVgp2 [Cacao swollen shoot virus] diff --git a/seq/clusters_info/cluster_531 b/seq/clusters_info/cluster_531 deleted file mode 100644 index 1552fa9..0000000 --- a/seq/clusters_info/cluster_531 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010085057.1 ORF5 [Air potato virus 1] -YP_009666007.1 28.8 kDa putative coat protein [Pineapple mealybug wilt-associated virus 3] -YP_004935379.1 unnamed protein product [Grapevine leafroll-associated virus 6] -YP_004935373.1 unnamed protein product [Grapevine leafroll-associated virus 4] -YP_004901691.1 CP gene product [Grapevine leafroll-associated virus 5] -YP_002364307.1 putative coat protein [Grapevine leafroll-associated virus 10] -YP_001642341.1 putative coat protein [Pineapple mealybug wilt-associated virus 1] -YP_001552328.1 major capsid protein [Plum bark necrosis stem pitting-associated virus] diff --git a/seq/clusters_info/cluster_532 b/seq/clusters_info/cluster_532 deleted file mode 100644 index cafbc98..0000000 --- a/seq/clusters_info/cluster_532 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010085056.1 ORF4 [Air potato virus 1] -YP_009666006.1 61.0 kDa protein [Pineapple mealybug wilt-associated virus 3] -YP_004935378.1 unnamed protein product [Grapevine leafroll-associated virus 6] -YP_004935372.1 unnamed protein product [Grapevine leafroll-associated virus 4] -YP_004901690.1 p60 gene product [Grapevine leafroll-associated virus 5] -YP_002364306.1 60kDa protein [Grapevine leafroll-associated virus 10] -YP_001642340.1 p46 [Pineapple mealybug wilt-associated virus 1] -YP_001552327.1 P61 [Plum bark necrosis stem pitting-associated virus] diff --git a/seq/clusters_info/cluster_533 b/seq/clusters_info/cluster_533 deleted file mode 100644 index 4bc6453..0000000 --- a/seq/clusters_info/cluster_533 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009666274.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum negative-stranded RNA virus 4] -YP_009336595.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 4] -YP_009129259.1 gp5 [Sclerotinia sclerotiorum negative-stranded RNA virus 3] -YP_009094317.1 large polymerase [Sclerotinia sclerotiorum negative-stranded RNA virus 1] -ALM62220.1 RNA-dependent RNA polymerase [Soybean leaf-associated negative-stranded RNA virus 1] -ALM62228.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated negative-stranded RNA virus 3] -ALM62227.1 RNA-dependent RNA polymerase [Soybean leaf-associated negative-stranded RNA virus 2] -ALM62229.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated negative-stranded RNA virus 4] diff --git a/seq/clusters_info/cluster_534 b/seq/clusters_info/cluster_534 deleted file mode 100644 index babcd45..0000000 --- a/seq/clusters_info/cluster_534 +++ /dev/null @@ -1,8 +0,0 @@ -YP_010087180.1 glycoprotein [Cuiaba virus] -YP_009362272.1 glycoprotein [Chaco virus] -YP_009362216.1 glycoprotein [Sripur virus] -YP_009362108.1 glycoprotein [Sena Madureira virus] -YP_009337011.1 putative glycoprotein [Hubei dimarhabdovirus virus 3] -YP_009094470.1 G [Niakha virus] -YP_009094370.1 virion transmembrane glycoprotein G [Almpiwar virus] -YP_009094345.1 glycoprotein [Scophthalmus maximus rhabdovirus] diff --git a/seq/clusters_info/cluster_535 b/seq/clusters_info/cluster_535 deleted file mode 100644 index 2e7f70e..0000000 --- a/seq/clusters_info/cluster_535 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009709875.1 nonstructural protein NS2 [Hepacivirus P] -YP_007905988.1 NS2 protein [Rodent hepacivirus] -YP_009684358.1 nonstructural protein NS2 [Guereza hepacivirus] -YP_009325406.1 nonstructural protein NS2 [Norway rat hepacivirus 2] -YP_009678998.1 nonstructural protein NS2 [Hepacivirus F] -YP_009678988.1 nonstructural protein NS2 [Hepacivirus B] -YP_009679018.1 nonstructural protein NS2 [Hepacivirus L] -YP_009325396.1 nonstructural protein NS2 [Norway rat hepacivirus 1] diff --git a/seq/clusters_info/cluster_536 b/seq/clusters_info/cluster_536 deleted file mode 100644 index 0d9f462..0000000 --- a/seq/clusters_info/cluster_536 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009709872.1 envelope protein E1 [Hepacivirus P] -YP_007905985.1 E1 protein [Rodent hepacivirus] -YP_009325403.1 envelope protein E1 [Norway rat hepacivirus 2] -YP_009679025.1 envelope protein E1 [Hepacivirus I] -YP_009678995.1 envelope protein E1 [Hepacivirus F] -YP_009678985.1 envelope protein E1 [Hepacivirus B] -YP_009679015.1 envelope protein E1 [Hepacivirus L] -YP_009325393.1 envelope protein E1 [Norway rat hepacivirus 1] diff --git a/seq/clusters_info/cluster_537 b/seq/clusters_info/cluster_537 deleted file mode 100644 index d8d01a6..0000000 --- a/seq/clusters_info/cluster_537 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009518851.1 nonstructural protein 2 [Human orthopneumovirus] -YP_009505449.1 nonstructural protein 2 [Bovine respiratory syncytial virus ATCC51908] -NP_056857.1 nonstructural protein 2 [Human orthopneumovirus] -NP_044590.1 non-structural protein 2 (1B) [Respiratory syncytial virus] -sp|Q86305.1|NS2_HRSVL RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B -sp|Q65707.1|NS2_ORSVW RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B -sp|Q65695.1|NS2_BRSVA RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B -sp|P24569.1|NS2_HRSV1 RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B diff --git a/seq/clusters_info/cluster_538 b/seq/clusters_info/cluster_538 deleted file mode 100644 index 6662fd9..0000000 --- a/seq/clusters_info/cluster_538 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009552078.1 replicase polyprotein [Brassica napus RNA virus 1] -YP_009165498.1 polyprotein [Bellflower vein chlorosis virus] -NP_619716.1 polyprotein [Maize chlorotic dwarf virus] -NP_042507.1 polyprotein [Rice tungro spherical virus] -sp|Q91PP5.1|POLG_RTSVT RecName: Full=Genome polyprotein; Contains: RecName: Full=Putative leader protein; Contains: RecName: Full=Capsid protein 1; Short=CP-1; AltName: Full=22.5 kDa protein; AltName: Full=Coat protein 1; Contains: RecName: Full=Capsid protein 2; Short=CP-2; AltName: Full=26 kDa protein; AltName: Full=Coat protein 2; Contains: RecName: Full=Capsid protein 3; Short=CP-3; AltName: Full=31 kDa protein; AltName: Full=Coat protein 3; Contains: RecName: Full=Putative helicase; AltName: Full=Putative NTP-binding protein; Contains: RecName: Full=Probable picornain 3C-like protease; Short=3C-like protease; Contains: RecName: Full=Probable RNA-directed RNA polymerase -AAV86083.1 polyprotein [Maize chlorotic dwarf virus] -AIZ76646.1 polyprotein, partial [Blackcurrant waikavirus A] -AAR14150.1 polyprotein [Maize chlorotic dwarf virus] diff --git a/seq/clusters_info/cluster_539 b/seq/clusters_info/cluster_539 deleted file mode 100644 index 019f8fe..0000000 --- a/seq/clusters_info/cluster_539 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009505558.1 ORF2a [Kibale red-tailed guenon virus 1] -YP_009362007.1 minor glycoprotein [Kibale red colobus virus 2] -YP_009344808.1 minor glycoprotein [Kibale red colobus virus 1] -YP_009221996.1 ORF2a' protein [Kafue kinda chacma baboon virus] -YP_009140478.1 ORF2a' protein [Pebjah virus] -YP_009121774.1 ORF2a' protein [DeBrazza's monkey arterivirus] -YP_009067065.1 ORF2a' protein [Southwest baboon virus 1] -YP_009067052.1 ORF2a' protein [Mikumi yellow baboon virus 1] diff --git a/seq/clusters_info/cluster_54 b/seq/clusters_info/cluster_54 deleted file mode 100644 index fc4d472..0000000 --- a/seq/clusters_info/cluster_54 +++ /dev/null @@ -1,56 +0,0 @@ -YP_009118622.1 matrix protein 2 [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118477.1 matrix protein 2 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308670.1 matrix protein 2 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -NP_859035.1 matrix protein 2 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -sp|Q67210.1|M2_I80A8 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q77ZJ9.1|M2_I77A9 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P05778.1|M2_I000F RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q20NW0.1|M2_I80AD RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C5T6.1|M2_I05A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C5T4.1|M2_I02A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C5T3.1|M2_I01A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C5T2.1|M2_I01A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C5T1.1|M2_I01A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C5T0.1|M2_I01A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C575.1|M2_I00A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q2VC90.1|M2_I80A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A2H5.1|M2_I59A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q6DPU1.1|M2_I02A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q6DPT9.1|M2_I02A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q6DPT5.1|M2_I02A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q6DPQ1.1|M2_I03A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q77XR9.1|M2_I97A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q8BAC4.1|M2_I18A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67207.1|M2_I61A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67206.1|M2_I37A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67205.1|M2_I77AC RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67201.1|M2_I85A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67186.1|M2_I88A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67172.1|M2_I86A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67170.1|M2_I56A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67168.1|M2_I86A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67166.1|M2_I56A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67162.1|M2_I85A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67160.1|M2_I77AG RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q04261.1|M2_I89A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q77ZL5.1|M2_I79A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q76V06.1|M2_I82A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P36348.1|M2_I02A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P05779.1|M2_I30A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q20P03.1|M2_I68A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A458.1|M2_I66A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A447.1|M2_I49A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A436.1|M2_I56A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A415.1|M2_I77AF RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A2I6.1|M2_I83A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A2G4.1|M2_I83A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A2E4.1|M2_I66A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q0A2D6.1|M2_I83A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67176.1|M2_I78AF RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67146.1|M2_I72A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P03492.1|M2_I34A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|P0C5T5.1|M2_I03A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q89687.1|M2_I54A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67211.1|M2_I88A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|Q67203.1|M2_I81A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -sp|A8C8W4.1|M2_I67A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 diff --git a/seq/clusters_info/cluster_540 b/seq/clusters_info/cluster_540 deleted file mode 100644 index 0e2e7e5..0000000 --- a/seq/clusters_info/cluster_540 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009700104.1 VP2 [Norovirus GI] -YP_009700098.1 VP2 [Norovirus GI] -YP_009700095.1 VP2 [Norovirus GI] -YP_009237902.1 VP2 [Norovirus GIII] -YP_009538342.1 VP2 [Norovirus GI/Hu/JP/2007/GI.P3_GI.3/Shimizu/KK2866] -YP_009305196.1 VP2 [Primate norovirus] -NP_056822.1 VP2 [Norovirus GI] -sp|Q04550.1|VP2_SOUV3 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein diff --git a/seq/clusters_info/cluster_541 b/seq/clusters_info/cluster_541 deleted file mode 100644 index e51df6b..0000000 --- a/seq/clusters_info/cluster_541 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009553211.1 polyprotein [Sogatella furcifera hepe-like virus] -YP_009552769.1 nonstructural polyprotein [Culex Bastrovirus-like virus] -YP_009336840.1 replicase [Hubei hepe-like virus 1] -YP_009333175.1 structural polyprotein [Bastrovirus-like_virus/VietNam/Bat/17819_21] -YP_009333174.1 non-structural polyprotein [Bastrovirus-like_virus/VietNam/Bat/17819_21] -YP_025094.1 putative RNA-dependent RNA polymerase [Dendrolimus punctatus virus] -NP_049235.1 RNA-dependent RNA polymerase [Helicoverpa armigera stunt virus] -NP_048059.1 RNA-dependent RNA polymerase [Nudaurelia capensis beta virus] diff --git a/seq/clusters_info/cluster_542 b/seq/clusters_info/cluster_542 deleted file mode 100644 index fb61574..0000000 --- a/seq/clusters_info/cluster_542 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009553664.1 CP [Blackcurrant leafroll-associated virus 1] -YP_009553645.1 CPm [Blackcurrant-associated closterovirus 1] -YP_009553250.1 CP [Blackcurrant leafroll-associated virus 1] -YP_009552061.1 major coat protein [Blackcurrant leafroll-associated virus 1] -YP_009058934.1 CPm [Rose leaf rosette-associated virus] -YP_874190.1 CPm [Raspberry leaf mottle virus] -YP_762627.1 CPm [Strawberry chlorotic fleck-associated virus] -NP_042866.1 27-kDa protein [Citrus tristeza virus] diff --git a/seq/clusters_info/cluster_543 b/seq/clusters_info/cluster_543 deleted file mode 100644 index e01283e..0000000 --- a/seq/clusters_info/cluster_543 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009553632.1 hypothetical protein [Trichoderma asperellum dsRNA virus 1] -YP_009342054.1 putative structural/gag protein [Trichoderma atroviride mycovirus] -YP_009272908.1 hypothetical protein [Fusarium poae mycovirus 1] -YP_009253994.1 hypothetical protein [Fusarium poae dsRNA virus 2] -YP_009253996.1 hypothetical protein [Fusarium poae dsRNA virus 3] -YP_009115497.1 hypothetical protein [Botrytis cinerea RNA virus 1] -YP_006331064.1 hypothetical protein [Sclerotinia sclerotiorum dsRNA mycovirus-L] -YP_003288788.1 hypothetical protein [Fusarium graminearum dsRNA mycovirus-3] diff --git a/seq/clusters_info/cluster_544 b/seq/clusters_info/cluster_544 deleted file mode 100644 index ec8c810..0000000 --- a/seq/clusters_info/cluster_544 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009552722.1 nucleic acid-binding protein [Blackberry virus A] -YP_009552543.1 nucleic acid binding protein [Grapevine virus G] -YP_009551950.1 RNA-binding protein [Grapevine virus G] -YP_009465949.1 nucleic acid binding protein [Grapevine virus I] -YP_009373232.1 putative nucleic acid-binding protein [Agave tequilana leaf virus] -YP_009352886.1 putative nucleic-acid binding protein [Arracacha virus V] -YP_002117779.1 putative nucleic acid binding protein [Grapevine virus E] -NP_619658.1 putative RNA binding protein [Grapevine virus B] diff --git a/seq/clusters_info/cluster_545 b/seq/clusters_info/cluster_545 deleted file mode 100644 index 787d4a0..0000000 --- a/seq/clusters_info/cluster_545 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009337367.1 hypothetical protein 2 [Hubei picorna-like virus 66] -YP_009337739.1 hypothetical protein 1 [Hubei odonate virus 7] -YP_009337218.1 hypothetical protein 2 [Hubei odonate virus 6] -YP_009047190.1 VP2 [Drosophila immigrans Nora virus] -YP_009047186.1 VP2 [Drosophila subobscura Nora virus] -YP_004849308.1 replication polyprotein [Nora virus] -AHX00963.1 ORF2 protein [Spodoptera exigua virus AKJ-2014] -AKH67623.1 replication polyprotein [Nora virus] diff --git a/seq/clusters_info/cluster_546 b/seq/clusters_info/cluster_546 deleted file mode 100644 index 83cd843..0000000 --- a/seq/clusters_info/cluster_546 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009130655.1 movement protein [Plumeria mosaic virus] -YP_003915155.1 28 kDa movement protein [Frangipani mosaic virus] -YP_908762.1 28 kDa movement protein [Cucumber mottle virus] -NP_624338.1 28 kDa movement protein [Zucchini green mottle mosaic virus] -NP_619686.1 28 kDa movement protein [Kyuri green mottle mosaic virus] -NP_072163.1 unnamed protein product [Cucumber fruit mottle mosaic virus] -NP_044579.1 movement protein [Cucumber green mottle mosaic virus] -sp|P19522.1|MVP_CGMVW RecName: Full=Movement protein; AltName: Full=28.8 kDa protein; AltName: Full=Cell-to-cell transport protein diff --git a/seq/clusters_info/cluster_547 b/seq/clusters_info/cluster_547 deleted file mode 100644 index 9bc1609..0000000 --- a/seq/clusters_info/cluster_547 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009094082.1 phosphoprotein P [Cedar virus] -YP_009091834.1 phosphoprotein [Ghana virus] -NP_047107.2 phosphoprotein P [Hendra henipavirus] -NP_112023.1 V protein [Nipah henipavirus] -NP_112022.1 P phosphoprotein [Nipah henipavirus] -NP_047108.1 nonstructural protein V [Hendra henipavirus] -sp|P0C1C6.1|W_HENDH RecName: Full=Protein W -sp|P0C1C7.1|W_NIPAV RecName: Full=Protein W diff --git a/seq/clusters_info/cluster_548 b/seq/clusters_info/cluster_548 deleted file mode 100644 index 33d7046..0000000 --- a/seq/clusters_info/cluster_548 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009664867.1 putative movement protein P4 [Barley yellow dwarf virus SGV] -YP_009664864.1 movement protein P4 [Barley yellow dwarf virus kerIII] -YP_008083728.1 movement protein P4 [Barley yellow dwarf virus kerII] -NP_840016.1 putative movement protein P4 [Barley yellow dwarf virus PAV] -NP_813793.1 movement protein P4 [Barley yellow dwarf virus GAV] -NP_620068.1 movement protein [Barley yellow dwarf virus MAV] -NP_037639.1 movement protein P4 [Barley yellow dwarf virus PAS] -sp|P29047.1|MVP_BYDVR RecName: Full=Movement protein; Short=MP; AltName: Full=17 kDa protein diff --git a/seq/clusters_info/cluster_549 b/seq/clusters_info/cluster_549 deleted file mode 100644 index b8cfe5a..0000000 --- a/seq/clusters_info/cluster_549 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009664743.1 triple gene block protein 3 [Helleborus mosaic virus] -YP_009224937.1 triple gene block protein 3 [Elderberry carlavirus B] -YP_009224931.1 triple gene block protein 3 [Elderberry carlavirus A] -YP_004936162.1 TGB-3 gene product [Garlic common latent virus] -YP_002574617.1 triple gene block protein 3 [Helleborus net necrosis virus] -YP_001661449.1 triple gene block protein [Ligustrum necrotic ringspot virus] -NP_958174.1 triple block protein 3 [Poplar mosaic virus] -sp|Q02122.1|TGB3_POPMV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 diff --git a/seq/clusters_info/cluster_55 b/seq/clusters_info/cluster_55 deleted file mode 100644 index d46109a..0000000 --- a/seq/clusters_info/cluster_55 +++ /dev/null @@ -1,55 +0,0 @@ -YP_009824957.1 ORF1ab polyprotein, partial [Rodent arterivirus] -YP_009824946.1 ORF1b [Zambian malbrouck virus 1] -YP_009824945.1 1a replicase protein [Zambian malbrouck virus 1] -YP_009755872.1 1ab protein [Chinese broad-headed pond turtle arterivirus] -YP_009755862.1 1ab protein [Guangdong greater green snake arterivirus] -YP_009755856.1 1ab protein [Hainan oligodon formosanus arterivirus] -YP_009551703.1 ORF1ab polyprotein [Rodent arterivirus] -YP_009337022.1 ORF1ab polyprotein [Rat arterivirus 1] -YP_009214659.1 ORF1ab polyprotein [Rat arterivirus 1] -YP_009505569.1 ORF1b polyprotein, partial [Simian hemorrhagic encephalitis virus] -YP_009505568.1 ORF1a polyprotein [Simian hemorrhagic encephalitis virus] -YP_009505557.1 ORF1b [Kibale red-tailed guenon virus 1] -YP_009505556.1 ORF1a [Kibale red-tailed guenon virus 1] -YP_009118960.1 ORF1ab [African pouched rat arterivirus] -YP_009130631.2 replicase polyprotein 1ab [Wobbly possum disease virus] -YP_009388590.1 ORF1ab [Olivier's shrew virus 1] -YP_009362006.1 polyprotein, partial [Kibale red colobus virus 2] -YP_009362004.1 polyprotein [Kibale red colobus virus 2] -YP_009344807.1 polyprotein, partial [Kibale red colobus virus 1] -YP_009344805.1 polyprotein [Kibale red colobus virus 1] -YP_009249810.1 1ab replicase protein [Free State vervet virus] -YP_009249808.1 1a replicase protein [Free State vervet virus] -YP_009221993.1 putative 1b protein [Kafue kinda chacma baboon virus] -YP_009221995.1 putative nsp2F protein [Kafue kinda chacma baboon virus] -YP_009172490.1 ORF1aTF polyprotein [Simian hemorrhagic fever virus] -YP_009109556.3 viral nonstructural polyprotein [Simian hemorrhagic fever virus] -YP_009140475.1 putative 1b protein [Pebjah virus] -YP_009140477.1 putative nsp2F protein [Pebjah virus] -YP_009121772.1 ORF1ab [DeBrazza's monkey arterivirus] -YP_009067063.1 putative 1b protein [Southwest baboon virus 1] -YP_009067050.1 putative 1b protein [Mikumi yellow baboon virus 1] -YP_001008394.2 polyprotein 1ab [Lactate dehydrogenase-elevating virus] -YP_006950436.1 1aTF polyprotein [Porcine reproductive and respiratory syndrome virus] -YP_006950434.1 1aTF polyprotein [Lactate dehydrogenase-elevating virus] -NP_740604.1 nsp11 [Porcine reproductive and respiratory syndrome virus] -NP_740601.1 nsp7 [Porcine reproductive and respiratory syndrome virus] -NP_740597.1 nsp3 (hydrophobic protein) [Porcine reproductive and respiratory syndrome virus] -NP_705592.1 nsp11 [Equine arteritis virus] -NP_127506.1 replicase ORF1ab polyprotein [Equine arteritis virus] -sp|P0DJY0.1|1ATF_PRRSS RecName: Full=Truncated polyprotein 1aTF; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2TF -sp|A0MD28.2|RPOA_PRRSS RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=Serine protease nsp4; Short=3CLSP; AltName: Full=3C-like serine proteinase; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase nsp10; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -sp|Q04561.3|RPOA_PRRSL RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=Serine protease nsp4; Short=3CLSP; AltName: Full=3C-like serine proteinase; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase nsp10; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -sp|Q8B912.3|RPOA_PRRSB RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -sp|Q9YN02.3|RPOA_PRRS1 RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -sp|Q9WJB2.2|RPOA_PRRSR RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=Serine protease nsp4; Short=3CLSP; AltName: Full=3C-like serine proteinase; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase nsp10; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -sp|Q06502.2|RPOA_LDVC RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -sp|P0DJZ9.1|RPOTF_PRRSL RecName: Full=Replicase polyprotein 1TF; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2TF -AGA19078.1 ORF1b [Simian hemorrhagic fever virus] -ALS54322.1 1ab replicase protein [Free State vervet virus] -ALI16786.1 ORF1ab polyprotein, partial [Rat arterivirus 4] -ADI58994.1 replicase polyprotein 1ab [Equine arteritis virus] -AHH54035.1 polyprotein [Kibale red colobus virus 2] -APP93322.1 polyprotein, partial [Kibale red colobus virus 1] -AIP91298.1 putative 1b protein [Mikumi yellow baboon virus 1] -AGW31683.1 ORF1ab polyprotein [Porcine reproductive and respiratory syndrome virus] diff --git a/seq/clusters_info/cluster_550 b/seq/clusters_info/cluster_550 deleted file mode 100644 index 3986016..0000000 --- a/seq/clusters_info/cluster_550 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009664737.1 triple gene block protein 3 [Cucumber vein-clearing virus] -YP_004035881.1 triple gene block 3 [Cowpea mild mottle virus] -YP_002332932.1 8K protein [Potato virus X] -YP_001429588.1 triple block protein 3 [Potato virus P] -YP_319830.1 triple gene block protein 3 [Alstroemeria virus X] -sp|P68833.1|TGB3_PVXXC RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -sp|P07698.2|TGB3_PVX RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -sp|Q07631.1|TGB3_PVXHB RecName: Full=Movement protein TGBp3; AltName: Full=9.7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 diff --git a/seq/clusters_info/cluster_551 b/seq/clusters_info/cluster_551 deleted file mode 100644 index c9fb5e5..0000000 --- a/seq/clusters_info/cluster_551 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009143529.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum megabirnavirus 1] -YP_009227124.1 RNA-dependent RNA polymerase [Rosellinia necatrix megabirnavirus 2-W8] -YP_003288763.1 RNA-dependent RNA polymerase [Rosellinia necatrix megabirnavirus 1/W779] -ANR02705.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani megabirnavirus 1] -AJE29745.1 putative RNA-dependent RNA polymerase [Rhizoctonia fumigata mycovirus] -ANR02704.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mycovirus 3] -ALO50147.1 122 kDa protein [Pleosporales megabirnavirus 1] -YP_009158860.1 putative RNA-dependent RNA polymerase [Rhizoctonia solani RNA virus HN008] diff --git a/seq/clusters_info/cluster_552 b/seq/clusters_info/cluster_552 deleted file mode 100644 index 3ac058a..0000000 --- a/seq/clusters_info/cluster_552 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009179405.1 NS5-like protein [Wuhan cricket virus] -YP_009179403.1 NS5-like protein [Wuhan flea virus] -YP_009179401.1 NS5-like protein [Shuangao insect virus 7] -YP_009179388.1 NS5-like protein [Wuhan aphid virus 1] -YP_009179378.1 NS5-like protein [Wuhan aphid virus 2] -APG76081.1 NS5-like protein [Changjiang Jingmen-like virus] -AKH40309.1 putative polymerase, partial [Charvil virus] -AKL90443.1 NSP1 [Guaico Culex virus] diff --git a/seq/clusters_info/cluster_553 b/seq/clusters_info/cluster_553 deleted file mode 100644 index cd5cf83..0000000 --- a/seq/clusters_info/cluster_553 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009305096.1 protein F [Hepatitis C virus genotype 7] -YP_009272658.1 protein F [Hepatitis C virus genotype 6] -YP_009272646.1 protein F [Hepatitis C virus genotype 5] -YP_009272634.1 protein F [Hepatitis C virus genotype 4] -YP_009272631.1 protein F [Hepatitis C virus genotype 3] -YP_009272619.1 protein F [Hepatitis C virus genotype 2] -sp|P0C045.2|F_HCV77 RecName: Full=F protein; AltName: Full=Alternate reading frame protein/F-protein; Short=ARFP/F; AltName: Full=Frameshifted protein; AltName: Full=p16; AltName: Full=p17 -sp|P0C044.2|F_HCV1 RecName: Full=F protein; AltName: Full=Alternate reading frame protein/F-protein; Short=ARFP/F; AltName: Full=Frameshifted protein; AltName: Full=p16; AltName: Full=p17 diff --git a/seq/clusters_info/cluster_554 b/seq/clusters_info/cluster_554 deleted file mode 100644 index 8beee3b..0000000 --- a/seq/clusters_info/cluster_554 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009508081.1 64-kDa readthrough protein, partial [Japanese soil-borne wheat mosaic virus] -YP_009508080.1 capsid protein [Japanese soil-borne wheat mosaic virus] -NP_659546.1 hypothetical protein [Sorghum chlorotic spot virus] -NP_659023.2 CP readthrough protein, partial [Sorghum chlorotic spot virus] -NP_059485.1 coat protein readthrough [Oat golden stripe virus] -NP_059482.1 coat protein readthrough [Chinese wheat mosaic virus] -NP_059146.1 coat protein readthrough [Soil-borne cereal mosaic virus] -NP_049338.1 84 kDa readthrough protein [Soil-borne wheat mosaic virus] diff --git a/seq/clusters_info/cluster_555 b/seq/clusters_info/cluster_555 deleted file mode 100644 index 7d2882f..0000000 --- a/seq/clusters_info/cluster_555 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009507901.1 NS4 [Maize stripe tenuivirus] -YP_009508263.1 putative [Echinochloa hoja blanca tenuivirus] -YP_009507914.1 unknown [Urochloa hoja blanca tenuivirus] -YP_009507895.1 pc4 protein [Iranian wheat stripe tenuivirus] -YP_009449444.1 movement protein NS4 [Rice hoja blanca tenuivirus] -NP_620519.1 32K protein [Rice stripe tenuivirus] -NP_058538.1 36.4K protein [Rice grassy stunt tenuivirus] -sp|P34961.1|MVP_RSVM RecName: Full=Movement protein; AltName: Full=Non-structural protein 4; Short=NS4; AltName: Full=Protein pc4 diff --git a/seq/clusters_info/cluster_556 b/seq/clusters_info/cluster_556 deleted file mode 100644 index a745964..0000000 --- a/seq/clusters_info/cluster_556 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009513004.1 phosphoprotein [Jurona vesiculovirus] -YP_009505533.1 phosphoprotein [Piry virus] -YP_009094385.1 phosphoprotein [Perinet vesiculovirus] -YP_009094175.1 phosphoprotein [Malpais Spring vesiculovirus] -YP_008767240.1 phosphoprotein P [American bat vesiculovirus TFFN-2013] -YP_007641383.1 phosphoprotein [Isfahan virus] -YP_007641378.1 phosphoprotein [Chandipura virus] -sp|P16380.1|PHOSP_CHAV RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 diff --git a/seq/clusters_info/cluster_557 b/seq/clusters_info/cluster_557 deleted file mode 100644 index a3d9f01..0000000 --- a/seq/clusters_info/cluster_557 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009508874.1 coat protein [Tomato necrotic streak virus] -YP_009507939.1 coat protein [Lilac ring mottle virus] -YP_002455927.1 putative coat protein [Asparagus virus 2] -YP_001285481.1 coat protein [Citrus variegation virus] -NP_620757.1 coat protein [Tulare apple mosaic virus] -NP_620681.1 putative coat protein [Spinach latent virus] -NP_619578.1 coat protein [Elm mottle virus] -NP_613280.1 coat protein [Citrus leaf rugose virus] diff --git a/seq/clusters_info/cluster_558 b/seq/clusters_info/cluster_558 deleted file mode 100644 index 3810e7e..0000000 --- a/seq/clusters_info/cluster_558 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009507784.1 nonstructural protein [Pulau reovirus] -YP_009246468.1 mu NS [Mahlapitsi orthoreovirus] -YP_009110700.1 nonstructural protein [Cangyuan orthoreovirus] -YP_009020575.1 muNS [Reptilian orthoreovirus] -YP_007507321.1 nonstructural protein [Melaka orthoreovirus] -YP_004769552.1 major nonstructural protein [Baboon orthoreovirus] -YP_004226526.1 mu-NS protein [Avian orthoreovirus] -YP_003717776.1 mu-class non-structural protein [Broome virus] diff --git a/seq/clusters_info/cluster_559 b/seq/clusters_info/cluster_559 deleted file mode 100644 index a1b71c8..0000000 --- a/seq/clusters_info/cluster_559 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009507776.1 major outer capsid protein sigma 2 [Pulau reovirus] -YP_009246473.1 Sigma B [Mahlapitsi orthoreovirus] -YP_009110705.1 major outer capsid [Cangyuan orthoreovirus] -YP_009020576.1 sigma B [Bush viper reovirus] -YP_007507324.1 sigma 2 [Melaka orthoreovirus] -YP_004769554.1 major outer capsid protein sigma 2 [Baboon orthoreovirus] -YP_004226529.1 sigma-B protein [Avian orthoreovirus] -YP_003717778.1 major outer capsid protein [Broome virus] diff --git a/seq/clusters_info/cluster_56 b/seq/clusters_info/cluster_56 deleted file mode 100644 index 97059c1..0000000 --- a/seq/clusters_info/cluster_56 +++ /dev/null @@ -1,55 +0,0 @@ -YP_009665181.1 polymerase, partial [Chick syncytial virus] -YP_009665180.1 pol polyprotein, partial [Walleye epidermal hyperplasia virus 2] -YP_009665179.1 pol polyprotein, partial [Walleye epidermal hyperplasia virus 1] -YP_009509092.1 gag p12 protein (70 aa) [Feline sarcoma virus (STRAIN HARDY-ZUCKERMAN 4)] -YP_009508243.1 unnamed protein product [Feline sarcoma virus (STRAIN HARDY-ZUCKERMAN 4)] -NP_041237.1 gag-fos fusion protein [Finkel-Biskis-Jinkins murine sarcoma virus] -YP_001165469.3 hypothetical protein WMSV_gp1, partial [Woolly monkey sarcoma virus] -YP_009243643.1 truncated gag-pol polyprotein [Mus musculus mobilized endogenous polytropic provirus] -NP_045937.2 Pr gag-pro-pol [Walleye dermal sarcoma virus] -YP_009109699.1 p10 protein [Baboon endogenous virus strain M7] -YP_009109696.1 p12 protein [Baboon endogenous virus strain M7] -YP_009109689.1 gag-pol precursor polyprotein [Baboon endogenous virus strain M7] -YP_004680223.1 truncated gag-pro-pol [PreXMRV-1] -YP_001497148.1 gag-pol precursor polyprotein [RD114 retrovirus] -YP_001165470.1 hypothetical protein WMSV_gp2, partial [Woolly monkey sarcoma virus] -YP_443922.1 polyprotein [Atlantic salmon swim bladder sarcoma virus] -YP_223871.1 protease/polymerase, partial [Reticuloendotheliosis virus] -YP_223870.1 gag protein [Reticuloendotheliosis virus] -NP_955594.1 CA [Abelson murine leukemia virus] -NP_955586.1 p10 NC [Moloney murine leukemia virus] -NP_955575.1 virion core structural peptide p10 [Feline leukemia virus] -NP_598371.2 pol polyprotein fragment [Moloney murine sarcoma virus] -NP_579907.1 gag polyprotein fragment [Spleen focus-forming virus] -NP_047255.1 Gag-Pro-Pol precursor polyprotein gPr80 [Feline leukemia virus] -NP_043518.1 hypothetical protein [Murine type C retrovirus] -NP_057858.1 Pr65 [Moloney murine sarcoma virus] -NP_041217.1 precursor protein PR-gag [Spleen focus-forming virus] -sp|P03355.5|POL_MLVMS RecName: Full=Gag-Pol polyprotein; Short=Pr180gag-pol; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Short=PR; AltName: Full=p14; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=p80; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p46 -sp|P03356.3|POL_MLVAV RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P03359.2|POL_WMSV RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=p80; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p46 -sp|P11227.2|POL_MLVRD RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P26808.2|POL_MLVFP RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P26809.2|POL_MLVFF RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P26810.2|POL_MLVF5 RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P08361.2|POL_MLVCB RecName: Full=Gag-Pol polyprotein; Short=Pr180gag-pol; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|Q9TTC1.2|POL_KORV RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr125Pol; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease/Reverse transcriptase/ribonuclease H; AltName: Full=p87; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p42 -sp|Q7SVK7.2|POL_MLVBM RecName: Full=Gag-pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|A1Z651.1|POL_XMRV6 RecName: Full=Gag-Pol polyprotein; Short=Pr180gag-pol; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-pol; Contains: RecName: Full=Protease p14; Short=PR; Contains: RecName: Full=Reverse transcriptase/ribonuclease H p80; Short=RT; Contains: RecName: Full=Integrase p46; Short=IN -sp|P31792.1|POL_FENV1 RecName: Full=Pol polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P21414.2|POL_GALV RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P0DOH0.1|GGAG_MLVF5 RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOH2.1|GGAG_FSVMD RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOH5.1|GGAG_MLVFF RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOG9.1|GGAG_FSVST RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOH3.1|GGAG_FLV RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOH6.1|GGAG_MLVFP RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOG8.1|GGAG_MLVAV RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOH1.1|GGAG_FSVGA RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|P0DOH4.1|GGAG_MLVCB RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -sp|Q8UN02.2|GGAG_MLVMN RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80; Contains: RecName: Full=Nextended-MA-p12; Contains: RecName: Full=CA-NC -sp|P32594.3|GAG_MSVMT RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA -sp|P21435.3|GAG_MLVHO RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-gag -sp|P23090.3|GAG_MLVDU RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-gag -sp|P29168.3|GAG_MLVDE RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-gag -sp|P03342.1|GAG_AVISN RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA diff --git a/seq/clusters_info/cluster_560 b/seq/clusters_info/cluster_560 deleted file mode 100644 index fe54dc7..0000000 --- a/seq/clusters_info/cluster_560 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009508924.1 2B [Turkey avisivirus] -YP_009104393.1 2B protein [Crohivirus A] -YP_009055019.1 2B [Chicken picornavirus 3] -YP_009055008.1 2B [Chicken picornavirus 2] -YP_008119839.1 2B protein [Sebokele virus 1] -YP_007969878.1 protein 2B [Duck hepatitis A virus 1] -NP_740732.1 2b protein [Parechovirus A] -NP_705879.1 2B [Ljungan virus] diff --git a/seq/clusters_info/cluster_561 b/seq/clusters_info/cluster_561 deleted file mode 100644 index fcf6d99..0000000 --- a/seq/clusters_info/cluster_561 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009505436.1 D protein [Simian Agent 10] -YP_009505435.1 phosphoprotein [Simian Agent 10] -YP_009179208.1 phosphoprotein [Caprine parainfluenza virus 3] -NP_599250.1 D protein [Human respirovirus 3] -NP_067149.1 phosphoprotein [Human respirovirus 3] -NP_037642.1 phosphoprotein P [Bovine respirovirus 3] -sp|P06162.1|PHOSP_PI3H4 RecName: Full=Phosphoprotein; Short=Protein P -sp|P06163.1|PHOSP_PI3B RecName: Full=Phosphoprotein; Short=Protein P diff --git a/seq/clusters_info/cluster_562 b/seq/clusters_info/cluster_562 deleted file mode 100644 index 3930de5..0000000 --- a/seq/clusters_info/cluster_562 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009408180.1 ORF1 [Lake Sinai virus 2] -YP_009408177.1 ORF1 [Lake Sinai virus 1] -YP_009388501.1 ORF1 [Lake Sinai Virus TO] -YP_009388494.1 ORF1 [Lake Sinai Virus NE] -YP_009388490.1 ORF1 [Lake Sinai Virus SA2] -YP_009388487.1 ORF1 [Lake Sinai Virus SA1] -YP_009336559.1 hypothetical protein 1 [Hubei tombus-like virus 40] -YP_009333193.1 hypothetical protein 1 [Lake Sinai virus] diff --git a/seq/clusters_info/cluster_563 b/seq/clusters_info/cluster_563 deleted file mode 100644 index b14346e..0000000 --- a/seq/clusters_info/cluster_563 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009389545.1 polyprotein [Motherwort yellow mottle virus] -YP_009389543.1 polyprotein [Lettuce necrotic leaf curl virus] -YP_009389538.1 polyprotein [Squash chlorotic leaf spot virus] -YP_009165995.1 polyprotein [Tomato necrotic dwarf virus] -YP_009104371.1 polyprotein [Carrot torradovirus 1] -YP_003097231.1 polyprotein [Tomato chocolate spot virus] -YP_001976149.1 polyprotein [Tomato marchitez virus] -YP_001040018.1 polyprotein [Tomato torrado virus] diff --git a/seq/clusters_info/cluster_564 b/seq/clusters_info/cluster_564 deleted file mode 100644 index bff2b81..0000000 --- a/seq/clusters_info/cluster_564 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009345120.1 hypothetical protein [Xinzhou nematode virus 3] -YP_009342457.1 hypothetical protein [Wuhan insect virus 15] -YP_009337867.1 hypothetical protein [Wenzhou qinvirus-like virus 1] -YP_009337865.1 hypothetical protein [Wenzhou qinvirus-like virus 2] -YP_009337850.1 hypothetical protein [Sanxia Qinvirus-like virus 1] -YP_009337848.1 hypothetical protein [Hubei qinvirus-like virus 1] -YP_009337853.1 hypothetical protein [Shahe qinvirus-like virus 1] -YP_009330275.1 hypothetical protein [Beihai sesarmid crab virus 4] diff --git a/seq/clusters_info/cluster_565 b/seq/clusters_info/cluster_565 deleted file mode 100644 index ff89972..0000000 --- a/seq/clusters_info/cluster_565 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009345021.1 hypothetical protein 1 [Wuhan spider virus 4] -YP_009345015.1 hypothetical protein 1 [Wuhan spider virus 5] -YP_009337174.1 hypothetical protein 1 [Hubei picorna-like virus 71] -YP_009337104.1 hypothetical protein 1 [Hubei picorna-like virus 69] -YP_009336576.1 hypothetical protein 1 [Hubei picorna-like virus 70] -YP_009333542.1 hypothetical protein 1 [Beihai picorna-like virus 118] -YP_009272817.1 RNA-dependent RNA polymerase [Duwamo virus] -YP_009272708.1 polyprotein [Wabat virus] diff --git a/seq/clusters_info/cluster_566 b/seq/clusters_info/cluster_566 deleted file mode 100644 index f18de86..0000000 --- a/seq/clusters_info/cluster_566 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009345013.1 hypothetical protein 1 [Wuhan insect virus 34] -YP_009342463.1 hypothetical protein 1 [Wuhan house centipede virus 5] -YP_009342441.1 hypothetical protein 1 [Wuhan insect virus 17] -YP_009337868.1 hypothetical protein 1 [Wenzhou shrimp virus 9] -YP_009336756.1 hypothetical protein 1 [Beihai sobemo-like virus 27] -YP_009336745.1 hypothetical protein 1 [Beihai sobemo-like virus 22] -YP_009330081.1 hypothetical protein 1 [Hubei sobemo-like virus 49] -YP_009330079.1 hypothetical protein 1 [Hubei sobemo-like virus 48] diff --git a/seq/clusters_info/cluster_567 b/seq/clusters_info/cluster_567 deleted file mode 100644 index 153a9f1..0000000 --- a/seq/clusters_info/cluster_567 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009342328.1 hypothetical protein 2 [Wuhan insect virus 11] -YP_009337655.1 hypothetical protein 2 [Hubei picorna-like virus 25] -YP_009336558.1 hypothetical protein 2 [Hubei orthoptera virus 1] -YP_008888536.1 structural protein [Formica exsecta virus 1] -YP_001040003.2 ORF2 [Israeli acute paralysis virus] -NP_851404.2 structural polyprotein, partial [Kashmir bee virus] -YP_164441.1 orf2 [Solenopsis invicta virus 1] -NP_066242.1 capsid protein [Acute bee paralysis virus] diff --git a/seq/clusters_info/cluster_568 b/seq/clusters_info/cluster_568 deleted file mode 100644 index 686522c..0000000 --- a/seq/clusters_info/cluster_568 +++ /dev/null @@ -1,8 +0,0 @@ -YP_009336786.1 hypothetical protein [Changping earthworm virus 1] -YP_009336718.1 hypothetical protein [Wenling picorna-like virus 8] -YP_009336671.1 hypothetical protein [Wenzhou picorna-like virus 48] -YP_009333585.1 hypothetical protein [Beihai picorna-like virus 122] -YP_009333538.1 hypothetical protein [Beihai picorna-like virus 123] -YP_009333341.1 hypothetical protein [Beihai paphia shell virus 3] -YP_009333309.1 hypothetical protein [Beihai octopus virus 2] -YP_009315867.1 polyprotein [Corey virus] diff --git a/seq/clusters_info/cluster_569 b/seq/clusters_info/cluster_569 deleted file mode 100644 index 6badbfc..0000000 --- a/seq/clusters_info/cluster_569 +++ /dev/null @@ -1,8 +0,0 @@ -NP_919030.1 nucleocapsid protein [Hirame novirhabdovirus] -NP_050580.1 nucleocapsid protein [Snakehead virus] -NP_049545.1 nucleoprotein [Viral hemorrhagic septicemia virus Fil3] -NP_042676.1 nucleocapsid protein [Infectious hematopoietic necrosis virus] -sp|P24378.1|NCAP_VHSV0 RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P19691.2|NCAP_IHNVR RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q08449.1|NCAP_IHNVO RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P27371.1|NCAP_VHSVM RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_57 b/seq/clusters_info/cluster_57 deleted file mode 100644 index d6227c7..0000000 --- a/seq/clusters_info/cluster_57 +++ /dev/null @@ -1,54 +0,0 @@ -YP_010087762.1 ORF2b [Physalis rugose mosaic virus] -YP_010087761.1 ORF2a [Physalis rugose mosaic virus] -YP_009344992.1 polyprotein P2a [Solanum nodiflorum mottle virus] -YP_009344991.1 polyprotein P2a2b [Solanum nodiflorum mottle virus] -YP_009337751.1 hypothetical protein [Wenzhou sobemo-like virus 1] -YP_009337083.1 hypothetical protein 2 [Changjiang sobemo-like virus 1] -YP_009337082.1 hypothetical protein 1 [Changjiang sobemo-like virus 1] -YP_009333507.1 RdRp [Beihai sobemo-like virus 1] -YP_009333506.1 hypothetical protein 1 [Beihai sobemo-like virus 1] -YP_009330041.1 hypothetical protein 2 [Hubei sobemo-like virus 2] -YP_009329980.1 hypothetical protein [Beihai sobemo-like virus 24] -YP_009142785.1 polyprotein P2a [Rottboellia yellow mottle virus] -YP_009142784.1 polyprotein P2a2b [Rottboellia yellow mottle virus] -YP_009140473.1 polyprotein P2a [Cymbidium chlorotic mosaic virus] -YP_009140472.1 polyprotein P2a-P2b [Cymbidium chlorotic mosaic virus] -YP_008869286.1 polyprotein P2ab [Turnip rosette virus] -YP_008869287.1 polyprotein P2a [Turnip rosette virus] -NP_066393.4 polyprotein P2ab [Sesbania mosaic virus] -NP_066392.4 polyprotein P2a [Sesbania mosaic virus] -YP_007438858.2 polyprotein P2ab [Southern bean mosaic virus] -YP_007438857.2 polyprotein P2a [Southern bean mosaic virus] -NP_042302.3 polyprotein P2ab [Southern cowpea mosaic virus] -YP_006589925.2 polyprotein P2ab [Papaya lethal yellowing virus] -YP_006331061.2 polyprotein 2ab [Artemisia virus A] -YP_004869651.2 polyprotein P2ab [Soybean yellow common mosaic virus] -YP_003896039.2 polyprotein P2ab [Velvet tobacco mottle virus] -YP_007506946.1 polyprotein P2ab [Imperata yellow mottle virus] -YP_002158813.2 polyprotein P2ab [Sowbane mosaic virus] -NP_715627.2 polyprotein P2ab [Subterranean clover mottle virus] -NP_941957.2 polyprotein P2ab [Cocksfoot mottle virus] -YP_007500964.1 polyprotein P2ab [Rice yellow mottle virus] -NP_042301.2 polyprotein P2a [Southern cowpea mosaic virus] -YP_007438854.1 polyprotein P2a [Ryegrass mottle virus] -YP_007438853.1 polyprotein P2ab [Ryegrass mottle virus] -YP_007438849.1 polyprotein P2ab [Lucerne transient streak virus] -YP_007438850.1 polyprotein P2a [Lucerne transient streak virus] -YP_007438861.1 polyprotein P2a [Rice yellow mottle virus] -YP_006589926.1 polyprotein P2a [Papaya lethal yellowing virus] -YP_006331062.1 polyprotein P2a [Artemisia virus A] -YP_004869650.1 polyprotein P2a [Soybean yellow common mosaic virus] -YP_003896038.1 polyprotein P2a [Velvet tobacco mottle virus] -YP_002308435.1 polyprotein P2a [Imperata yellow mottle virus] -YP_002158814.1 polyprotein P2a [Sowbane mosaic virus] -NP_941376.1 polyprotein P2a [Cocksfoot mottle virus] -NP_736593.1 RNA-dependent RNA polymerase [Sesbania mosaic virus] -NP_715628.1 polyprotein P2a [Subterranean clover mottle virus] -sp|Q89504.2|P2A_CFMVN RecName: Full=Polyprotein P2A; Contains: RecName: Full=N-terminal protein; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg; Contains: RecName: Full=Putative protein p10; Contains: RecName: Full=Putative protein p8 -sp|O73564.2|P2A_SBMVA RecName: Full=Polyprotein P2A; Contains: RecName: Full=N-terminal protein; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg; Contains: RecName: Full=Putative protein p10; Contains: RecName: Full=Putative protein p8 -sp|O72157.2|RDRP_SBMVA RecName: Full=Replicase polyprotein P2AB; Contains: RecName: Full=N-terminal protein; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=RdRp -AAA64287.1 unknown [Rice yellow mottle virus] -AAA66950.1 p96 protein [Southern bean mosaic virus] -AAA46565.1 ORF [Southern cowpea mosaic virus] -ALD89112.1 RNA-dependent RNA polymerase [Rhizoctonia solani barnavirus 1] -AGP50166.1 polyprotein P2ab [Turnip rosette virus] diff --git a/seq/clusters_info/cluster_570 b/seq/clusters_info/cluster_570 deleted file mode 100644 index 33192f2..0000000 --- a/seq/clusters_info/cluster_570 +++ /dev/null @@ -1,8 +0,0 @@ -NP_041252.1 tat protein [Ovine lentivirus] -NP_040941.1 protein S [Caprine arthritis encephalitis virus] -NP_040842.1 tat protein [Visna-maedi virus] -sp|P21124.1|VPRL_CAEVC RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -sp|P23429.1|VPRL_VILV2 RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -sp|P23428.1|VPRL_VILV1 RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -sp|P03408.1|VPRL_VILV RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -sp|P21125.1|VPRL_CAEVG RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat diff --git a/seq/clusters_info/cluster_571 b/seq/clusters_info/cluster_571 deleted file mode 100644 index 83b38d9..0000000 --- a/seq/clusters_info/cluster_571 +++ /dev/null @@ -1,7 +0,0 @@ -YP_224078.1 N [Taro vein chlorosis virus] -YP_010087196.1 nucleocapsid protein [Morogoro maize-associated virus] -YP_010086812.1 nucleocapsid protein [Wheat yellow striate virus] -YP_009444708.1 nucleocapsid protein [Maize Iranian mosaic nucleorhabdovirus] -YP_052850.1 N [Maize mosaic nucleorhabdovirus] -YP_052843.1 N [Maize fine streak nucleorhabdovirus] -NP_620496.1 nucleocapsid protein [Rice yellow stunt nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_572 b/seq/clusters_info/cluster_572 deleted file mode 100644 index 3812ece..0000000 --- a/seq/clusters_info/cluster_572 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009825015.1 5b protein [Duck coronavirus] -YP_009755907.1 ORF8b [Canada goose coronavirus] -YP_009825004.1 5b protein [Infectious bronchitis virus] -YP_001941173.1 5b protein [Turkey coronavirus] -NP_040837.1 5b protein [Infectious bronchitis virus] -sp|Q80RZ3.1|NS5B_IBVM RecName: Full=Host translation inhibitor 5b; Short=ns5b; AltName: Full=Accessory protein 5b -sp|P19745.1|NS5B_IBVK RecName: Full=Host translation inhibitor 5b; Short=ns5b; AltName: Full=Accessory protein 5b diff --git a/seq/clusters_info/cluster_573 b/seq/clusters_info/cluster_573 deleted file mode 100644 index d3d8072..0000000 --- a/seq/clusters_info/cluster_573 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009256203.1 nsp 7b protein [Ferret coronavirus] -YP_009019189.1 hypothetical protein orf7b [Mink coronavirus strain WD1127] -YP_004070201.1 non-structural protein 7b [Feline infectious peritonitis virus] -sp|Q7T6S6.1|NS7B_CVCBG RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor -sp|P36302.1|NS7B_CVCAI RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor -sp|P33467.1|NS7B_CVFE3 RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor -sp|Q04704.1|NS7B_CVCAK RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor diff --git a/seq/clusters_info/cluster_574 b/seq/clusters_info/cluster_574 deleted file mode 100644 index 9b7d068..0000000 --- a/seq/clusters_info/cluster_574 +++ /dev/null @@ -1,7 +0,0 @@ -YP_008470973.1 coat protein [Ageratum latent virus 1998] -YP_009551517.1 coat protein [Cape gooseberry ilarvirus 1] -YP_009166000.1 coat protein [Privet ringspot virus] -YP_002308573.1 coat protein [Blackberry chlorotic ringspot virus] -YP_941471.1 coat protein [Strawberry necrotic shock virus] -YP_006464.1 coat protein [Parietaria mottle virus] -NP_620774.1 coat protein [Tobacco streak virus] diff --git a/seq/clusters_info/cluster_575 b/seq/clusters_info/cluster_575 deleted file mode 100644 index dce8bac..0000000 --- a/seq/clusters_info/cluster_575 +++ /dev/null @@ -1,7 +0,0 @@ -YP_008470971.1 2b protein [Ageratum latent virus 1998] -YP_009551514.1 ORF2b [Cape gooseberry ilarvirus 1] -YP_009165998.1 putative protein 2b [Privet ringspot virus] -YP_002308571.1 p2b protein [Blackberry chlorotic ringspot virus] -YP_941473.1 2b protein [Strawberry necrotic shock virus] -YP_006448.1 2b protein [Parietaria mottle virus] -NP_620769.1 putative 2b protein [Tobacco streak virus] diff --git a/seq/clusters_info/cluster_576 b/seq/clusters_info/cluster_576 deleted file mode 100644 index 85126d3..0000000 --- a/seq/clusters_info/cluster_576 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010088073.1 movement protein [Actinidia emaravirus 2] -YP_009508084.1 p4 [Redbud yellow ringspot-associated emaravirus] -YP_009507927.1 membrane-located protein [Actinidia chlorotic ringspot-associated virus] -YP_009268866.1 RNA4 [Pigeonpea sterility mosaic emaravirus 2] -YP_009237271.1 P4 protein [Fig mosaic emaravirus] -YP_009237264.1 putative movement protein [Pigeonpea sterility mosaic emaravirus 1] -YP_004327592.1 p4 [Rose rosette emaravirus] diff --git a/seq/clusters_info/cluster_577 b/seq/clusters_info/cluster_577 deleted file mode 100644 index 3d07d01..0000000 --- a/seq/clusters_info/cluster_577 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010088048.1 MAG: hypothetical protein KM765_s4gp1 [Coniothyrium diplodiella chrysovirus 1] -YP_010088027.1 hypothetical protein KM761_s4gp1 [Aspergillus thermomutatus chrysovirus 1] -YP_009553285.1 hypothetical protein [Alternaria alternata chrysovirus 1] -YP_009551632.1 hypothetical protein [Colletotrichum fructicola chrysovirus 1] -YP_009667017.1 ORF4, partial [Penicillium janczewskii chrysovirus 2] -YP_009353028.1 hypothetical protein [Botryosphaeria dothidea chrysovirus 1] -YP_009182334.1 70 kDa protein [Penicillium janczewskii chrysovirus 1] diff --git a/seq/clusters_info/cluster_578 b/seq/clusters_info/cluster_578 deleted file mode 100644 index ead9519..0000000 --- a/seq/clusters_info/cluster_578 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010088046.1 MAG: putative coat protein [Coniothyrium diplodiella chrysovirus 1] -YP_010088025.1 capsid protein [Aspergillus thermomutatus chrysovirus 1] -YP_009553288.1 hypothetical protein [Alternaria alternata chrysovirus 1] -YP_009551635.1 putative coat protein [Colletotrichum fructicola chrysovirus 1] -YP_009667015.1 ORF2 [Penicillium janczewskii chrysovirus 2] -YP_009353029.1 putative coat protein [Botryosphaeria dothidea chrysovirus 1] -YP_009182337.1 84 kDa protein [Penicillium janczewskii chrysovirus 1] diff --git a/seq/clusters_info/cluster_579 b/seq/clusters_info/cluster_579 deleted file mode 100644 index 8b4be0e..0000000 --- a/seq/clusters_info/cluster_579 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010088047.1 MAG: hypothetical protein KM765_s3gp1 [Coniothyrium diplodiella chrysovirus 1] -YP_010088026.1 hypothetical protein KM761_s3gp1 [Aspergillus thermomutatus chrysovirus 1] -YP_009553289.1 hypothetical protein [Alternaria alternata chrysovirus 1] -YP_009551631.1 hypothetical protein [Colletotrichum fructicola chrysovirus 1] -YP_009667016.1 ORF3 [Penicillium janczewskii chrysovirus 2] -YP_009353027.1 hypothetical protein [Botryosphaeria dothidea chrysovirus 1] -YP_009182333.1 80 kDa protein [Penicillium janczewskii chrysovirus 1] diff --git a/seq/clusters_info/cluster_58 b/seq/clusters_info/cluster_58 deleted file mode 100644 index 494e8e2..0000000 --- a/seq/clusters_info/cluster_58 +++ /dev/null @@ -1,53 +0,0 @@ -YP_010086221.1 membrane glycoprotein [Karimabad virus] -YP_010086175.1 polyprotein [Tehran virus] -YP_010086169.1 glycoprotein precursor complex [Dashli virus] -YP_010086145.1 polyprotein [Salanga virus] -YP_010086097.1 Glycoprotein precursor [Cacao virus] -YP_010086096.1 Glycoprotein precursor [Itaporanga virus] -YP_010086080.1 polyprotein G1G2 [Rio Grande virus] -YP_010086069.1 polyprotein [Punique virus] -YP_010086245.1 polyprotein [Viola virus] -YP_010086205.1 glycoprotein precursor [Ntepes virus] -YP_010086202.1 glycoprotein precursor [Tres Almendras virus] -YP_010086196.1 glycoprotein precursor [Mona Grita virus] -YP_010086192.1 glycoprotein precursor [La Gloria virus] -YP_010086224.1 Glycoprotein precursor [Icoaraci virus] -YP_010086218.1 glycoprotein precursor complex [Massilia virus] -YP_010086181.1 M protein [Buenaventura virus] -YP_010086173.1 glycoprotein precursor complex [Medjerda Valley virus] -YP_010086162.1 polyprotein [Alcube virus] -YP_010086149.1 membrane glycoprotein [Gordil virus] -YP_010086143.1 glycoprotein [Odrenisrou virus] -YP_010086129.1 glycoprotein [Ixcanal virus] -YP_010086126.1 glycoprotein [Durania virus] -YP_010086123.1 polyprotein [Maldonado virus] -YP_010086120.1 polyprotein [Echarate virus] -YP_010086108.1 polyprotein [Alenquer virus] -YP_010086104.1 glycoprotein [Chagres virus] -YP_010086092.1 membrane glycoprotein [Gabek Forest virus] -YP_010086088.1 polyprotein [Turuna virus] -YP_010086083.1 polyprotein [Nique virus] -YP_010086073.1 polyprotein [Itaituba virus] -YP_010086072.1 polyprotein [Oriximina virus] -YP_010086061.1 polyprotein [Salehabad virus] -YP_009346033.1 polyprotein [Anhanga virus] -YP_009346027.1 polyprotein [Urucuri virus] -YP_009346025.1 polyprotein [Uriurana virus] -YP_009346022.1 polyprotein [Tapara virus] -YP_009346018.1 polyprotein [Ambe virus] -YP_009666331.1 glycoprotein precursor [Mukawa virus] -YP_009665188.1 polyprotein, partial [Frijoles virus VP-161A] -YP_009512940.1 M protein [Punta Toro virus] -YP_009480530.1 glycoprotein precursor [Arrabida virus] -YP_009480527.1 glycoprotein precursor complex [Toros virus] -YP_009480524.1 glycoprotein precursor complex [Zerdali virus] -YP_009346034.1 glycoprotein [Munguba virus] -YP_009227128.1 glycoprotein precursor complex [Adana virus] -YP_009010955.1 glycoprotein [Arumowot virus] -YP_004414702.1 glycoprotein [Aguacate virus] -YP_004382742.1 polyprotein [Sandfly fever Turkey virus] -YP_004347992.1 polyprotein [Chandiru virus] -YP_003848705.1 glycoprotein [Rift Valley fever virus] -YP_089671.1 Glycoproteins G1 and G2 precursor [Sandfly fever Naples virus] -sp|P03518.2|GP_RVFV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=NSm-Gn protein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|P03517.1|GP_PTPV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Non-structural protein NSm; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor diff --git a/seq/clusters_info/cluster_580 b/seq/clusters_info/cluster_580 deleted file mode 100644 index e7de1bf..0000000 --- a/seq/clusters_info/cluster_580 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010086178.1 non-structural protein [Tehran virus] -YP_010086067.1 nucleoprotein [Punique virus] -YP_010086216.1 nonstructural protein [Massilia virus] -YP_010086150.1 nonstructural protein [Gordil virus] -YP_009480531.1 nonstrutural protein [Arrabida virus] -YP_009480525.1 viral sRNA Nonstructural protein [Zerdali virus] -YP_089667.1 viral sRNA NS protein [Sandfly fever Naples virus] diff --git a/seq/clusters_info/cluster_581 b/seq/clusters_info/cluster_581 deleted file mode 100644 index d9031eb..0000000 --- a/seq/clusters_info/cluster_581 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010086027.1 NSP2 [Rotavirus J] -YP_009130670.1 NSP2 [Rotavirus I] -YP_008136236.1 NSP2 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126852.1 nonstructural protein [Human rotavirus B] -YP_392496.1 NSP2 [Adult diarrheal rotavirus strain J19] -sp|Q86197.1|NSP2_ROTGA RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -sp|Q0H8C2.1|NSP2_ROTB2 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 diff --git a/seq/clusters_info/cluster_582 b/seq/clusters_info/cluster_582 deleted file mode 100644 index 4b97a07..0000000 --- a/seq/clusters_info/cluster_582 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009553482.1 MP2 [Adonis mosaic virus] -YP_459963.1 p10 [Angelonia flower break virus] -YP_009352893.1 movement protein 2 [Carnation mottle virus] -YP_008378654.1 P9 [Calibrachoa mottle virus] -YP_001039886.1 MP2 [Nootka lupine vein clearing virus] -NP_044387.1 SCVP9 [Saguaro cactus virus] -sp|P0C778.1|MP2_CARMS RecName: Full=Double gene block protein 2; Short=DGBp2; AltName: Full=Movement protein P9 diff --git a/seq/clusters_info/cluster_583 b/seq/clusters_info/cluster_583 deleted file mode 100644 index 53080cc..0000000 --- a/seq/clusters_info/cluster_583 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010087863.1 CP [Malus domestica virus A] -YP_009666143.1 coat protein [Cordyline virus 2] -YP_009664829.1 coat protein [Cordyline virus 4] -YP_009664819.1 coat protein [Cordyline virus 3] -YP_009506349.1 CP [Cordyline virus 1] -YP_004935924.1 CP gene product [Grapevine leafroll-associated virus 7] -NP_045006.1 putative viral coat protein [Little cherry virus 1] diff --git a/seq/clusters_info/cluster_584 b/seq/clusters_info/cluster_584 deleted file mode 100644 index d237683..0000000 --- a/seq/clusters_info/cluster_584 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010087158.1 nucleocapsid [Yerba mate chlorosis-associated virus] -YP_010087150.1 nucleocapsid protein [Papaya cytorhabdovirus] -YP_010086409.1 N protein [Maize yellow striate virus] -YP_009553363.1 nucleocapsid protein [Rice stripe mosaic virus] -YP_009362275.1 nucleocapsid protein [Colocasia bobone disease-associated virus] -YP_009506253.1 nucleocapsid protein [Cacao swollen shoot Togo A virus] -YP_009177222.1 N protein [Barley yellow striate mosaic cytorhabdovirus] diff --git a/seq/clusters_info/cluster_585 b/seq/clusters_info/cluster_585 deleted file mode 100644 index e2a5fd0..0000000 --- a/seq/clusters_info/cluster_585 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010084250.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 5] -YP_010084249.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 6] -YP_010084247.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 8] -YP_009505433.1 putative RNA-dependent RNA polymerase [Pteromalus puparum negative-strand RNA virus 1] -YP_009333449.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 2] -YP_009333443.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 1] -YP_009333185.1 RNA-dependent RNA polymerase [Beihai barnacle virus 8] diff --git a/seq/clusters_info/cluster_586 b/seq/clusters_info/cluster_586 deleted file mode 100644 index 4ba35d9..0000000 --- a/seq/clusters_info/cluster_586 +++ /dev/null @@ -1,7 +0,0 @@ -YP_010087349.1 p9K [Jasmine virus H] -YP_009345603.1 MP-2 [Clematis chlorotic mottle virus] -YP_009116642.1 putative movement protein 2 [Pelargonium ringspot virus] -YP_009116637.1 putative movement protein 2 [Elderberry latent virus] -YP_009026986.1 p9.7 [Pelargonium line pattern virus] -YP_052927.2 putative movement protein 2 [Pelargonium chlorotic ring pattern virus] -YP_007501037.1 p12 [Rosa rugosa leaf distortion virus] diff --git a/seq/clusters_info/cluster_587 b/seq/clusters_info/cluster_587 deleted file mode 100644 index 8afecfa..0000000 --- a/seq/clusters_info/cluster_587 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009110673.1 NABP [Garlic virus B] -YP_008855211.1 NTBP [Garlic virus D] -NP_659017.1 nucleic acid binding protein [Garlic virus E] -NP_620653.1 nucleic acid binding protein [Shallot virus X] -NP_569131.1 15kDa protein (NABP) [Garlic virus A] -NP_569137.1 15kDa protein [Garlic virus C] -NP_044576.1 nucleic acid binding protein [Garlic virus X] diff --git a/seq/clusters_info/cluster_588 b/seq/clusters_info/cluster_588 deleted file mode 100644 index 82c5620..0000000 --- a/seq/clusters_info/cluster_588 +++ /dev/null @@ -1,7 +0,0 @@ -NP_919033.1 glycoprotein [Hirame novirhabdovirus] -NP_050583.1 transmembrane envelope protein [Snakehead virus] -NP_049548.1 glycoprotein [Viral hemorrhagic septicemia virus Fil3] -NP_042679.1 glycoprotein [Infectious hematopoietic necrosis virus] -sp|P07923.2|GLYCO_IHNVR RecName: Full=Glycoprotein; AltName: Full=Spike glycoprotein; Flags: Precursor -sp|Q82706.1|GLYCO_IHNVO RecName: Full=Glycoprotein; AltName: Full=Spike glycoprotein; Flags: Precursor -sp|P27662.1|GLYCO_VHSV0 RecName: Full=Spike glycoprotein; Flags: Precursor diff --git a/seq/clusters_info/cluster_589 b/seq/clusters_info/cluster_589 deleted file mode 100644 index 6611963..0000000 --- a/seq/clusters_info/cluster_589 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009505490.1 glycoprotein [Drosophila ananassae sigmavirus] -YP_009505484.1 glycoprotein [Drosophila affinis sigmavirus] -YP_009337294.1 putative glycoprotein [Hubei diptera virus 10] -YP_009337138.1 putative glycoprotein [Hubei diptera virus 9] -YP_009304979.1 glycoprotein [Wuhan House Fly Virus 1] -YP_009304655.1 glycoprotein [Wuhan Fly Virus 2] -YP_009300668.1 glycoprotein [Shayang Fly Virus 2] diff --git a/seq/clusters_info/cluster_59 b/seq/clusters_info/cluster_59 deleted file mode 100644 index 1848956..0000000 --- a/seq/clusters_info/cluster_59 +++ /dev/null @@ -1,53 +0,0 @@ -YP_009118629.1 nucleocapsid protein [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118476.1 nucleocapsid protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_581749.1 nucleoprotein [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -YP_308667.1 nucleocapsid protein [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -sp|P15678.1|NCAP_I85A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26061.1|NCAP_I27A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15670.2|NCAP_I80AD RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|O90385.1|NCAP_I80A6 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q809S5.2|NCAP_I01A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q6DPC7.2|NCAP_I03A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q0A2H2.1|NCAP_I59A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|O92784.2|NCAP_I97A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q6DPE7.1|NCAP_I02A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q67356.1|NCAP_I67A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q5UEW0.1|NCAP_I18A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q8QPJ5.1|NCAP_I00A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q809S7.1|NCAP_I01A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q6TXC0.1|NCAP_I85A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P68043.1|NCAP_I88A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15677.2|NCAP_I30A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26087.1|NCAP_I81A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26076.1|NCAP_I35A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26081.1|NCAP_I54A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26092.1|NCAP_I89A6 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26086.1|NCAP_I81A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26079.1|NCAP_I46A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16987.1|NCAP_I82A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16985.1|NCAP_I81A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26089.1|NCAP_I35A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26077.1|NCAP_I37A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15682.1|NCAP_I33A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26060.1|NCAP_I81A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26066.1|NCAP_I80A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26053.1|NCAP_I80A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15676.1|NCAP_I85A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26074.1|NCAP_I76A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16983.1|NCAP_I84A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P06826.1|NCAP_I78A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16980.2|NCAP_I56A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P16979.1|NCAP_I63A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15673.1|NCAP_I73A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26068.1|NCAP_I89A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15660.1|NCAP_I77AG RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26056.2|NCAP_I66A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P12604.4|NCAP_I34A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15661.2|NCAP_I83A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15671.2|NCAP_I79A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q3SBF2.1|NCAP_I66A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P21931.1|NCAP_I72A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15668.1|NCAP_I84A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P15665.1|NCAP_I77AF RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26059.1|NCAP_I77AE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P26062.1|NCAP_I56A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_590 b/seq/clusters_info/cluster_590 deleted file mode 100644 index d6970c3..0000000 --- a/seq/clusters_info/cluster_590 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009664717.1 G protein [Bas-Congo tibrovirus] -YP_009508533.1 virion transmembrane glycoprotein G [Beatrice Hill virus] -YP_009505517.1 G protein [Ekpoma virus 2] -YP_009505509.1 G protein [Ekpoma virus 1] -YP_009362249.1 glycoprotein [Sweetwater Branch virus] -YP_009094410.1 virion transmembrane glycoprotein G [Coastal Plains virus] -YP_007641373.1 virion transmembrane glycoprotein G [Tibrogargan virus] diff --git a/seq/clusters_info/cluster_591 b/seq/clusters_info/cluster_591 deleted file mode 100644 index ead3f08..0000000 --- a/seq/clusters_info/cluster_591 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507904.1 nucleocapsid protein [Maize stripe tenuivirus] -YP_009508261.1 viral nucleoprotein [Echinochloa hoja blanca tenuivirus] -YP_009507912.1 nucleoprotein [Urochloa hoja blanca tenuivirus] -YP_009507897.1 N-protein [Iranian wheat stripe tenuivirus] -YP_009449448.1 nucleoprotein [Rice hoja blanca tenuivirus] -NP_620548.1 coat protein [Rice stripe tenuivirus] -sp|P84254.1|NCAP_WYHV RecName: Full=Nucleoprotein; AltName: Full=Coat protein; Short=CP; AltName: Full=Nucleocapsid protein; Short=Protein N; AltName: Full=Protein pc3 diff --git a/seq/clusters_info/cluster_592 b/seq/clusters_info/cluster_592 deleted file mode 100644 index 5306766..0000000 --- a/seq/clusters_info/cluster_592 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009944269.1 Leader protein [Human coronavirus HKU1] -YP_009944254.1 nsp1 [Rabbit coronavirus HKU14] -YP_009915688.1 nsp1 [Murine hepatitis virus] -YP_009924368.1 nsp1 [Rat coronavirus Parker] -YP_209244.2 nsp1 or p28 [Murine hepatitis virus strain JHM] -YP_009924319.1 nsp1 [Human coronavirus OC43] -sp|P26627.1|R1AB_CVMJD RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Non-structural protein 1-non-structural protein 3 fusion; Short=nsp1-nsp3 fusion diff --git a/seq/clusters_info/cluster_593 b/seq/clusters_info/cluster_593 deleted file mode 100644 index 9423a6e..0000000 --- a/seq/clusters_info/cluster_593 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009055051.1 2A [gallivirus A1] -YP_009167362.1 2A [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -YP_009167351.1 2A [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -YP_009001374.1 2A [Caprine kobuvirus] -YP_003853292.1 2A [Passerivirus A1] -NP_859022.1 2A [Aichivirus B] -NP_740438.1 2A [Aichi virus 1] diff --git a/seq/clusters_info/cluster_594 b/seq/clusters_info/cluster_594 deleted file mode 100644 index dc1f8b5..0000000 --- a/seq/clusters_info/cluster_594 +++ /dev/null @@ -1,7 +0,0 @@ -NP_150079.1 4.8 kDa non-structural protein [Bovine coronavirus] -sp|Q8V6W4.2|NS48_CVBQ RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -sp|P0C2R9.1|NS48_CVBON RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -sp|P0C2R8.1|NS48_CVBOK RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -sp|P0C2R2.1|NS48_CVBLU RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -sp|Q9QAS0.1|NS48_CVBLY RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -sp|P22052.1|NS48_CVBM RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein diff --git a/seq/clusters_info/cluster_595 b/seq/clusters_info/cluster_595 deleted file mode 100644 index 781ebb4..0000000 --- a/seq/clusters_info/cluster_595 +++ /dev/null @@ -1,7 +0,0 @@ -YP_003208110.1 coat protein [Melandrium yellow fleck virus] -YP_233104.1 coat protein [Cassia yellow blotch virus] -YP_009551512.1 3b protein [Sambucus virus S] -NP_689395.1 coat protein [Spring beauty latent virus] -NP_658998.1 coat protein [Broad bean mottle virus] -NP_613277.1 coat protein [Cowpea chlorotic mottle virus] -NP_041199.1 hypothetical protein BMVs3gp2 [Brome mosaic virus] diff --git a/seq/clusters_info/cluster_596 b/seq/clusters_info/cluster_596 deleted file mode 100644 index 153fcb5..0000000 --- a/seq/clusters_info/cluster_596 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009824958.1 GP2 protein [Rodent arterivirus] -YP_009667149.1 ORF2 [Lelystad virus] -YP_009337025.1 GP2 [Rat arterivirus 1] -YP_009214660.1 GP2 protein [Rat arterivirus 1] -YP_009505549.1 GP2 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -NP_042574.1 glycoprotein GP2 [Lactate dehydrogenase-elevating virus] -sp|A0MD30.1|GP2A_PRRSS RecName: Full=Glycoprotein 2a; Short=Protein GP2a; AltName: Full=GP2; Flags: Precursor diff --git a/seq/clusters_info/cluster_597 b/seq/clusters_info/cluster_597 deleted file mode 100644 index ce8d409..0000000 --- a/seq/clusters_info/cluster_597 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009362008.1 minor glycoprotein [Kibale red colobus virus 2] -YP_009344809.1 minor glycoprotein [Kibale red colobus virus 1] -YP_009221997.1 ORF3' [Kafue kinda chacma baboon virus] -YP_009121775.1 ORF3' protein [DeBrazza's monkey arterivirus] -YP_009067067.1 ORF3' protein [Southwest baboon virus 1] -YP_009067053.1 ORF3' protein [Mikumi yellow baboon virus 1] -NP_203545.1 minor glycoprotein [Simian hemorrhagic fever virus] diff --git a/seq/clusters_info/cluster_598 b/seq/clusters_info/cluster_598 deleted file mode 100644 index aadd764..0000000 --- a/seq/clusters_info/cluster_598 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009709873.1 envelope protein E2 [Hepacivirus P] -YP_009684356.1 envelope protein E2 [Guereza hepacivirus] -YP_009325404.1 envelope protein E2 [Norway rat hepacivirus 2] -YP_009679026.1 envelope protein E2 [Hepacivirus I] -YP_009678986.1 envelope protein E2 [Hepacivirus B] -YP_009679016.1 envelope protein E2 [Hepacivirus L] -YP_009325394.1 envelope protein E2 [Norway rat hepacivirus 1] diff --git a/seq/clusters_info/cluster_599 b/seq/clusters_info/cluster_599 deleted file mode 100644 index 8b1eb51..0000000 --- a/seq/clusters_info/cluster_599 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009333580.1 hypothetical protein [Beihai picorna-like virus 99] -YP_009333602.1 hypothetical protein [Beihai sesarmid crab virus 2] -YP_009333235.1 hypothetical protein [Beihai barnacle virus 4] -APG77935.1 hypothetical protein, partial [Beihai picorna-like virus 98] -AII82258.1 polyprotein [Fesavirus 1] -APG78569.1 hypothetical protein, partial [Wenzhou picorna-like virus 38] -AQP31140.1 non-structural polyprotein, partial [Bat felisavirus] diff --git a/seq/clusters_info/cluster_6 b/seq/clusters_info/cluster_6 deleted file mode 100644 index 29af950..0000000 --- a/seq/clusters_info/cluster_6 +++ /dev/null @@ -1,117 +0,0 @@ -YP_009709862.1 E1 protein [Hepatitis C virus (isolate H77)] -YP_009709861.1 core protein [Hepatitis C virus (isolate H77)] -YP_009506357.1 polyprotein [Hepatitis C virus (isolate H77)] -YP_009553586.1 polyprotein [Hepacivirus P] -YP_009325330.1 polyprotein [Bat hepacivirus] -YP_007905733.1 polyprotein [Rodent hepacivirus] -YP_009325369.1 polyprotein [Guereza hepacivirus] -YP_009109558.1 polyprotein [Norway rat hepacivirus 2] -YP_009506363.1 polyprotein [Hepacivirus N] -YP_009506361.1 polyprotein [Hepacivirus K] -YP_009506359.1 polyprotein [Hepacivirus I] -YP_009506358.1 polyprotein [Hepacivirus F] -NP_042692.1 polypeptide [Hepacivirus B] -YP_009322132.1 polyprotein [Hepacivirus L] -YP_009130616.1 polyprotein [Bovine hepacivirus] -YP_009109557.1 flavivirus polyprotein [Norway rat hepacivirus 1] -YP_009664165.1 envelope protein E2 [Hepacivirus M] -YP_009506356.1 polyprotein [Non-primate hepacivirus NZP1] -YP_009058898.1 polyprotein [Equine hepacivirus JPN3/JAPAN/2013] -YP_009272536.1 polyprotein [Hepatitis C virus genotype 7] -YP_009506360.1 polyprotein [Hepacivirus J] -YP_001469631.1 polyprotein [Hepatitis C virus genotype 3] -YP_001469630.1 polyprotein [Hepatitis C virus genotype 2] -YP_001469633.1 polyprotein [Hepatitis C virus genotype 5] -YP_001469632.1 polyprotein [Hepatitis C virus genotype 4] -YP_009179227.1 flavivirus polyprotein [Wenling shark virus] -sp|O92972.2|POLG_HCVJ4 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q01404.4|POLG_HCVH4 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|Q03463.1|POLG_HCVJ1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q9QAX1.3|POLG_HCVVA RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q9DHD6.3|POLG_HCVJP RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q81754.3|POLG_HCVH9 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q81487.3|POLG_HCVTR RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q99IB8.3|POLG_HCVJF RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q913V3.1|POLG_HCVR6 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q913D4.3|POLG_HCVIN RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q68801.3|POLG_HCVJK RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q68749.3|POLG_HCVBB RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|O91936.3|POLG_HCVSA RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q81495.3|POLG_HCVK3 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q5EG65.3|POLG_HCVGL RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2 -sp|Q9WMX2.3|POLG_HCVCO RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q01403.3|POLG_HCVHK RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|P29846.3|POLG_HCVTW RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|Q00269.3|POLG_HCVJT RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|P26662.3|POLG_HCVJA RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|P26661.3|POLG_HCVJ8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|P27961.3|POLG_HCVJ7 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|P26660.3|POLG_HCVJ6 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|P27960.3|POLG_HCVJ5 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|P27959.3|POLG_HCVJ2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|P27958.3|POLG_HCV77 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|P26663.3|POLG_HCVBK RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protp26663ein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|P26664.3|POLG_HCV1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -sp|P27956.1|POLG_HCVH8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|P27955.1|POLG_HCVH7 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|P27954.1|POLG_HCVE1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35 -sp|P27953.1|POLG_HCVE0 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -sp|P27957.1|POLG_HCVTH RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -BAK61664.1 polyprotein [Hepatitis C virus subtype 2b] -AIW39810.1 polyprotein [Hepacivirus C] -AFD18585.1 polyprotein precursor [Hepacivirus C] -AGR53444.1 polyprotein [Hepacivirus C] -ACL68402.1 polyprotein [Hepacivirus C] -AHK22794.1 polyprotein [Hepacivirus C] -ADE10208.1 polyprotein [Hepatitis C virus subtype 3a] -AII25855.1 polyprotein [Hepacivirus C] -AFJ20707.1 polyprotein, partial [Hepacivirus AK-2012] -ACJ37238.1 polyprotein [hepatitis C virus genotype 1a] -ACS29435.1 polyprotein [Hepacivirus C] -AGR53445.1 polyprotein [Hepacivirus C] -AQW44491.1 polyprotein [Hepacivirus C] -AID60264.1 polyprotein [Hepacivirus C] -AGV23522.1 polyprotein [Hepacivirus C] -BAK61626.1 polyprotein [Hepatitis C virus subtype 2b] -AGW83698.1 polyprotein [HCV/GBV-B chimeric virus] -ACH97857.1 RNA-dependent RNA polymerase, partial [Hepacivirus C] -AGV23520.1 polyprotein [Hepacivirus C] -AKH10571.1 polyprotein [Bovine hepacivirus] -AFD18573.1 polyprotein precursor [Hepacivirus C] -AID60273.1 polyprotein [Hepacivirus C] -AFN53805.1 polyprotein [Hepatitis C virus subtype 4n] -AJF96714.1 polyprotein [Hepacivirus C] -AFD18577.1 polyprotein precursor [Hepacivirus C] -BAK61607.1 polyprotein [Hepatitis C virus subtype 2b] -ACJ37237.1 polyprotein [hepatitis C virus genotype 1a] -AFN53811.1 polyprotein [Hepatitis C virus subtype 4r] -AEV46286.1 polyprotein [Hepatitis C virus genotype 3] -AAD44718.2 polyprotein [Hepatitis C virus subtype 1b] -ACB45490.1 polyprotein [Hepacivirus C] -ABF60957.1 polyprotein [Hepatitis C virus subtype 4a] -ACL68400.1 polyprotein [Hepacivirus C] -AQW44760.1 polyprotein [Hepacivirus C] -ANF99567.1 polyprotein [Hepacivirus C] -AID60274.1 polyprotein [Hepacivirus C] -ACE63642.1 polyprotein [Hepatitis C virus subtype 1b] -ABK91835.1 polyprotein, partial [Hepacivirus C] -AQW44516.1 polyprotein [Hepacivirus C] -ACS29436.1 polyprotein [Hepacivirus C] -AEI00315.1 polyprotein, partial [Hepacivirus C] -AFD18574.1 polyprotein precursor [Hepacivirus C] -AGV23521.1 polyprotein [Hepacivirus C] -AGQ22075.1 polyprotein [Guereza hepacivirus] -BAK61611.1 polyprotein [Hepatitis C virus subtype 2b] -AGV23524.1 polyprotein [Hepacivirus C] -ACB45492.1 polyprotein [Hepacivirus C] -AID60260.1 polyprotein [Hepacivirus C] -ABD75828.1 polyprotein [Hepatitis C virus subtype 4d] -ABR27424.1 polyprotein [Hepacivirus C] -AFD18580.1 polyprotein precursor [Hepacivirus C] -AQW44226.1 polyprotein [Hepacivirus C] -AQW44232.1 polyprotein [Hepacivirus C] -AMB38795.1 polyprotein, partial [Hepacivirus C] -AFD18576.1 polyprotein precursor [Hepacivirus C] -AID60265.1 polyprotein [Hepacivirus C] -ACH97717.1 RNA-dependent RNA polymerase, partial [Hepacivirus C] -AII25854.1 polyprotein [Hepacivirus C] diff --git a/seq/clusters_info/cluster_60 b/seq/clusters_info/cluster_60 deleted file mode 100644 index bd55cdb..0000000 --- a/seq/clusters_info/cluster_60 +++ /dev/null @@ -1,53 +0,0 @@ -NP_057856.1 Envelope surface glycoprotein gp160, precursor [Human immunodeficiency virus 1] -sp|Q9QBZ4.2|ENV_HV1MP RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9QBZ0.2|ENV_HV1M2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9QBZ8.2|ENV_HV197 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9QBY2.2|ENV_HV196 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9WC69.1|ENV_HV1S9 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9WC60.1|ENV_HV1S2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9QSQ7.1|ENV_HV1VI RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9Q714.1|ENV_HV1V9 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q9IDV2.1|ENV_HV1YB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q8AIH5.1|ENV_SIVTN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -sp|Q79670.1|ENV_HV1MV RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q77377.1|ENV_HV1AN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q75008.1|ENV_HV1ET RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q73372.2|ENV_HV1B9 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|O91086.1|ENV_HV1YF RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|O89292.1|ENV_HV193 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|O70902.1|ENV_HV190 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|O41803.1|ENV_HV19N RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|O12164.1|ENV_HV192 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q70626.1|ENV_HV1LW RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P04624.3|ENV_HV1H3 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P35961.1|ENV_HV1Y2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P31872.1|ENV_HV1W1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P31819.1|ENV_HV1KB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P17281.1|ENV_SIVCZ RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P04580.1|ENV_HV1Z6 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05880.1|ENV_HV1W2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P19551.1|ENV_HV1MF RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05878.1|ENV_HV1SC RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P04579.1|ENV_HV1RH RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P20888.1|ENV_HV1OY RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P04583.1|ENV_HV1MA RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05877.1|ENV_HV1MN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P18799.1|ENV_HV1ND RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P12489.1|ENV_HV1J3 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P12488.1|ENV_HV1BN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05881.1|ENV_HV1ZH RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P19550.1|ENV_HV1S1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P19549.1|ENV_HV1S3 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P04581.1|ENV_HV1EL RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P20871.1|ENV_HV1JR RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05879.1|ENV_HV1C4 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P03377.1|ENV_HV1BR RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P03378.1|ENV_HV1A2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P04582.1|ENV_HV1B8 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P12487.1|ENV_HV1Z2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P05882.1|ENV_HV1Z8 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P03375.1|ENV_HV1B1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|Q1A261.1|ENV_SIVMB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -sp|P12490.1|ENV_HV1N5 RecName: Full=Truncated surface protein; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Flags: Precursor -sp|P12491.1|ENV_HV1Z3 RecName: Full=Truncated surface protein; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Flags: Precursor -sp|Q1A243.1|ENV_SIVEK RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor diff --git a/seq/clusters_info/cluster_600 b/seq/clusters_info/cluster_600 deleted file mode 100644 index 0a91855..0000000 --- a/seq/clusters_info/cluster_600 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009480677.1 polyprotein [Botrytis cinerea hypovirus 1] -YP_009051683.1 polyprotein [Phomopsis longicolla hypovirus] -YP_005476604.1 unnamed protein product [Valsa ceratosperma hypovirus 1] -YP_004782527.1 unnamed protein product [Sclerotinia sclerotiorum hypovirus 1] -YP_138519.1 polyprotein (endogenous virus) [Cryphonectria hypovirus 4] -NP_051710.1 polyprotein [Cryphonectria hypovirus 3] -AAF13603.1 polyprotein [Cryphonectria hypovirus 3] diff --git a/seq/clusters_info/cluster_601 b/seq/clusters_info/cluster_601 deleted file mode 100644 index 02208e7..0000000 --- a/seq/clusters_info/cluster_601 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009552720.1 movement protein [Blackberry virus A] -YP_009552541.1 movement protein [Grapevine virus G] -YP_009551948.1 movement protein [Grapevine virus G] -YP_009465947.1 movement protein [Grapevine virus I] -YP_009373230.1 movement protein [Agave tequilana leaf virus] -YP_009352884.1 movement protein [Arracacha virus V] -YP_002117777.1 movement protein [Grapevine virus E] diff --git a/seq/clusters_info/cluster_602 b/seq/clusters_info/cluster_602 deleted file mode 100644 index 610d3a3..0000000 --- a/seq/clusters_info/cluster_602 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009551953.1 coat protein [Bee Macula-Like virus 2] -YP_009505643.1 coat protein [Bombyx mori latent virus] -YP_009337795.1 hypothetical protein [Hubei macula-like virus 2] -YP_009337785.1 hypothetical protein [Hubei macula-like virus 1] -YP_009159827.1 coat protein [Varroa Tymo-like virus] -YP_004464931.1 unnamed protein product [Bombyx mori Macula-like virus] -NP_542613.1 coat protein [Grapevine fleck virus] diff --git a/seq/clusters_info/cluster_603 b/seq/clusters_info/cluster_603 deleted file mode 100644 index b3ce4c3..0000000 --- a/seq/clusters_info/cluster_603 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009163755.1 nonstructural protein NS4a [Spanish goat encephalitis virus] -NP_740300.2 nonstructural protein NS4a [Langat virus] -NP_001006603.1 nonstructural protein 2K [Langat virus] -NP_932090.1 non-structural protein NS4a, partial [Omsk hemorrhagic fever virus] -NP_775508.1 non-structural protein NS4a [Tick-borne encephalitis virus] -NP_775521.1 non-structural protein NS4a [Powassan virus] -NP_740727.1 nonstructural protein NS4a [Louping ill virus] diff --git a/seq/clusters_info/cluster_604 b/seq/clusters_info/cluster_604 deleted file mode 100644 index c946e4e..0000000 --- a/seq/clusters_info/cluster_604 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009268626.1 protein 2K [Hanko virus] -YP_009259329.1 protein 2K [Aedes flavivirus] -YP_009259328.1 nonstructural protein NS4A [Aedes flavivirus] -YP_009259270.1 protein 2K [Parramatta River virus] -NP_937778.1 NS4A protein [Kamiti River virus] -YP_009259299.1 2K protein [Cell fusing agent virus] -YP_009259298.1 NS4A [Cell fusing agent virus] diff --git a/seq/clusters_info/cluster_605 b/seq/clusters_info/cluster_605 deleted file mode 100644 index 391347d..0000000 --- a/seq/clusters_info/cluster_605 +++ /dev/null @@ -1,7 +0,0 @@ -YP_004732979.2 movement protein [Grapevine Pinot gris virus] -YP_004293217.1 movement protein [Grapevine berry inner necrosis virus] -YP_002308566.1 putative virus movement protein [Peach mosaic virus] -YP_224131.1 51 kDa protein [Apricot pseudo-chlorotic leaf spot virus] -NP_062429.1 putative movement protein [Cherry mottle leaf virus] -NP_040552.1 movement protein [Apple chlorotic leaf spot virus] -sp|P54892.1|MP_ACLSA RecName: Full=Putative movement protein; AltName: Full=50.4 kDa protein; AltName: Full=ORF2 protein diff --git a/seq/clusters_info/cluster_606 b/seq/clusters_info/cluster_606 deleted file mode 100644 index de73618..0000000 --- a/seq/clusters_info/cluster_606 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009104397.1 3C protein [Crohivirus A] -YP_008549609.1 3C [Eel picornavirus 1] -YP_008119843.1 3C protein [Sebokele virus 1] -YP_006546268.1 3C [Pasivirus A1] -YP_001497183.1 3C [Seal picornavirus type 1] -NP_740736.1 proteinase 3C (picornain 3C) [Parechovirus A] -NP_705883.1 Picornain 3C [Ljungan virus] diff --git a/seq/clusters_info/cluster_607 b/seq/clusters_info/cluster_607 deleted file mode 100644 index cf55c83..0000000 --- a/seq/clusters_info/cluster_607 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507900.1 NCP [Maize stripe tenuivirus] -YP_009508262.1 inclusion body protein [Echinochloa hoja blanca tenuivirus] -YP_009507913.1 non-capsid protein [Urochloa hoja blanca tenuivirus] -YP_009507894.1 NS4 protein [Iranian wheat stripe tenuivirus] -YP_009449443.1 non-capsid protein [Rice hoja blanca tenuivirus] -NP_620518.1 20K protein [Rice stripe tenuivirus] -sp|Q01209.1|NCP_RSVM RecName: Full=Major non-capsid protein; Short=NCP; AltName: Full=20.5 kDa protein; AltName: Full=Protein p4; AltName: Full=Stripe disease-specific protein; Short=Protein S diff --git a/seq/clusters_info/cluster_608 b/seq/clusters_info/cluster_608 deleted file mode 100644 index ed7737d..0000000 --- a/seq/clusters_info/cluster_608 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009361876.1 VP2 [Hom-1 vesivirus] -YP_002004566.1 hypothetical protein SSLVV_gp3 [Steller sea lion vesivirus] -YP_873924.1 putative minor structural protein [Rabbit vesivirus] -NP_777372.1 putative nucleic-acid binding protein [Walrus calicivirus] -NP_066257.1 small basic protein [Vesicular exanthema of swine virus] -sp|P36289.1|VP2_SMSV4 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -sp|P36288.1|VP2_SMSV1 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein diff --git a/seq/clusters_info/cluster_609 b/seq/clusters_info/cluster_609 deleted file mode 100644 index d2aa616..0000000 --- a/seq/clusters_info/cluster_609 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009513005.1 matrix [Jurona vesiculovirus] -YP_009505534.1 matrix [Piry virus] -YP_009094386.1 matrix protein [Perinet vesiculovirus] -YP_009094176.1 matrix [Malpais Spring vesiculovirus] -YP_008767241.1 matrix protein M [American bat vesiculovirus TFFN-2013] -YP_007641384.1 matrix protein [Isfahan virus] -YP_007641379.1 matrix protein [Chandipura virus] diff --git a/seq/clusters_info/cluster_61 b/seq/clusters_info/cluster_61 deleted file mode 100644 index 99b9eae..0000000 --- a/seq/clusters_info/cluster_61 +++ /dev/null @@ -1,52 +0,0 @@ -YP_138518.1 L protein [Parainfluenza virus 5] -YP_010087175.1 polymerase [Alston virus] -NP_054714.1 large protein [Mumps orthorubulavirus] -YP_009094073.2 L [Avian paramyxovirus penguin/Falkland Islands/324/2007] -YP_009553495.1 large polymerase protein [Avian metaavulavirus 20] -YP_009513219.1 large polymerase protein [Avian metaavulavirus 2] -YP_009513199.1 large polymerase protein [Avian orthoavulavirus 1] -YP_009512978.1 RNA polymerase [Teviot virus] -YP_009512971.1 large polymerase protein [Menangle virus] -YP_009512956.1 large polymerase protein [Avian metaavulavirus 8] -YP_009512950.1 large polymerase protein [Avian metaavulavirus 6] -YP_009508522.1 L [Antarctic penguin virus C] -YP_009508516.1 L [Antarctic penguin virus B] -YP_009508510.1 L [Antarctic penguin virus A] -YP_009508504.1 large polymerase protein [Avian paramyxovirus UPO216] -YP_009508498.1 large polymerase protein [Avian paramyxovirus 14] -YP_009505447.1 large protein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -YP_009380509.1 polymerase protein [Avian paramyxovirus 15] -YP_009255226.1 large polymerase protein [Avian paramyxovirus goose/Shimane/67/2000] -YP_009094498.1 RNA polymerase [Tuhoko virus 1] -YP_009094483.1 L protein [Avian metaavulavirus 11] -YP_009094466.1 polymerase [Achimota virus 2] -YP_009094458.1 polymerase [Achimota virus 1] -YP_009094364.1 large polymerase [Avian orthoavulavirus 9] -YP_009094217.1 large polymerase [Avian paraavulavirus 3] -YP_009094173.1 large polymerase protein [Avian orthoavulavirus 12] -YP_009094160.1 large polymerase protein [Avian metaavulavirus 5] -YP_009094080.1 RNA polymerase [Tuhoko virus 3] -YP_009094067.1 RNA polymerase [Tuhoko virus 2] -YP_009094060.1 large polymerase protein [Avian metaavulavirus 7] -YP_009094051.1 Large [Sunshine Coast virus] -YP_009094034.1 RNA polymerase [Sosuga virus] -YP_008378665.1 large protein [Human parainfluenza virus 4a] -YP_007008152.1 large polymerase protein [Avian paramyxovirus 4] -YP_001331035.1 RNA polymerase [Porcine orthorubulavirus] -YP_001249278.1 RNA polymerase [Mapuera orthorubulavirus] -YP_138510.1 Large protein [Simian orthorubulavirus] -NP_665871.1 RNA polymerase [Tioman virus] -sp|Q9DLD3.1|L_NDVB1 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|P11205.1|L_NDVB RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -YP_009177238.1 large polymerase protein [Avian avulavirus 2] -AFX97643.1 large polymerase protein [Avian metaavulavirus 8] -ADK25236.1 large polymerase protein [Avian metaavulavirus 2] -AEP44007.1 large polymerase [Avian paramyxovirus 4] -AIG60049.1 Large protein [Human orthorubulavirus 2] -AHJ40479.2 large [Human parainfluenza virus 4a] -ADK25248.1 large polymerase protein [Avian metaavulavirus 2] -AQX45758.1 L protein, partial [Bat paramyxovirus] -AHZ18317.1 RNA-dependent RNA polymerase, partial [Avian orthoavulavirus 1] -AIF74193.1 polymerase, partial [Bat paramyxovirus] -BAM64955.1 large polymerase protein [Avian metaavulavirus 6] -AIF74183.1 polymerase, partial [Bat paramyxovirus] diff --git a/seq/clusters_info/cluster_610 b/seq/clusters_info/cluster_610 deleted file mode 100644 index d4c5c3a..0000000 --- a/seq/clusters_info/cluster_610 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009508280.1 structural protein P9 [Wound tumor virus] -YP_002790892.1 non-structural protein [Homalodisca vitripennis reovirus] -YP_001111367.1 36K protein [Rice gall dwarf virus] -NP_620535.1 nonstructural protein [Rice dwarf virus] -sp|Q85450.1|P9_RDVA RecName: Full=Minor outer capsid protein P9 -sp|Q85446.1|P9_RDVO RecName: Full=Minor outer capsid protein P9 -sp|P17381.1|P9_RDV RecName: Full=Minor outer capsid protein P9 diff --git a/seq/clusters_info/cluster_611 b/seq/clusters_info/cluster_611 deleted file mode 100644 index 5399efd..0000000 --- a/seq/clusters_info/cluster_611 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009508272.1 structural protein P6 [Wound tumor virus] -YP_002790890.1 minor core protein [Homalodisca vitripennis reovirus] -YP_001111375.1 RNA binding protein [Rice gall dwarf virus] -NP_620530.1 minor core protein [Rice dwarf virus] -sp|P22473.1|P7_RDVO RecName: Full=Protein P7; AltName: Full=55 kDa core protein -sp|Q85448.1|P7_RDVA RecName: Full=Protein P7; AltName: Full=55 kDa core protein -sp|P31610.1|P7_WTVNJ RecName: Full=Protein P7; AltName: Full=60 kDa core protein diff --git a/seq/clusters_info/cluster_612 b/seq/clusters_info/cluster_612 deleted file mode 100644 index 7718ab5..0000000 --- a/seq/clusters_info/cluster_612 +++ /dev/null @@ -1,7 +0,0 @@ -NP_042289.1 P68 protein [UR2 sarcoma virus] -sp|P00534.2|ERBB_ALV RecName: Full=Tyrosine-protein kinase transforming protein erbB -sp|P33497.1|RYK_AVIR3 RecName: Full=Tyrosine-protein kinase transforming protein RYK -sp|P00529.1|ROS_AVISU RecName: Full=Tyrosine-protein kinase transforming protein ros -sp|P00545.2|KFMS_FSVMD RecName: Full=Tyrosine-protein kinase transforming protein fms -sp|P00535.1|ERBB_AVIER RecName: Full=Tyrosine-protein kinase transforming protein erbB -sp|P11273.1|ERBB_AVIEU RecName: Full=Tyrosine-protein kinase transforming protein erbB diff --git a/seq/clusters_info/cluster_613 b/seq/clusters_info/cluster_613 deleted file mode 100644 index 8af3903..0000000 --- a/seq/clusters_info/cluster_613 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507752.1 VP5 [Scophthalmus maximus reovirus] -YP_009507740.1 VP5 [Green River chinook virus] -YP_009351853.1 VP5 [Fall chinook aquareovirus] -YP_009259501.1 putative outer capsid protein [Etheostoma fonticola aquareovirus] -YP_001837099.1 VP4 [American grass carp reovirus] -YP_398639.1 putative outer capsid VP4 [Chum salmon reovirus CS] -NP_938065.1 putative outer capsid VP4 [Aquareovirus C] diff --git a/seq/clusters_info/cluster_614 b/seq/clusters_info/cluster_614 deleted file mode 100644 index 155c29d..0000000 --- a/seq/clusters_info/cluster_614 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507781.1 nonstructural protein sigma NS [Pulau reovirus] -YP_009246470.1 Sigma NS [Mahlapitsi orthoreovirus] -YP_009110702.1 nonstructural protein [Cangyuan orthoreovirus] -YP_009020577.1 sigma NS [Bush viper reovirus] -YP_004769557.1 nonstructural protein sigma NS [Baboon orthoreovirus] -YP_004226530.1 sigma-NS protein [Avian orthoreovirus] -YP_003717779.1 sigma NS [Broome virus] diff --git a/seq/clusters_info/cluster_615 b/seq/clusters_info/cluster_615 deleted file mode 100644 index ceacbe2..0000000 --- a/seq/clusters_info/cluster_615 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507777.1 major inner capsid protein sigma 1 [Pulau reovirus] -YP_009246469.1 Sigma A [Mahlapitsi orthoreovirus] -YP_009110704.1 major inner capsid protein [Cangyuan orthoreovirus] -YP_009020580.1 sigmaA [Reptilian orthoreovirus] -YP_007507322.1 sigma 1 [Melaka orthoreovirus] -YP_004226528.1 sigma-A protein [Avian orthoreovirus] -YP_003717777.1 core protein [Broome virus] diff --git a/seq/clusters_info/cluster_616 b/seq/clusters_info/cluster_616 deleted file mode 100644 index 32848d0..0000000 --- a/seq/clusters_info/cluster_616 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507774.1 P8 [Oat sterile dwarf virus] -YP_009480345.1 major outer structural protein [Maize rough dwarf virus] -YP_004021935.1 P10 protein [Southern rice black-streaked dwarf virus] -YP_956849.1 major outer capsid protein [Mal de Rio Cuarto virus] -YP_249765.1 hypothetical protein [Fiji disease virus] -NP_620459.1 hypothetical protein RBSDVs10gp1 [Rice black streaked dwarf virus] -sp|P19898.1|VP10_RBSDV RecName: Full=Protein S10 diff --git a/seq/clusters_info/cluster_617 b/seq/clusters_info/cluster_617 deleted file mode 100644 index 775e8f5..0000000 --- a/seq/clusters_info/cluster_617 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507769.1 P9 [Oat sterile dwarf virus] -YP_009480342.1 minor core structural protein [Maize rough dwarf virus] -YP_009002589.1 VP5 [Inachis io cypovirus 2] -YP_004021932.1 P8 [Southern rice black-streaked dwarf virus] -YP_956843.1 NTP binding protein P-S8 [Mal de Rio Cuarto virus] -YP_249764.1 hypothetical protein [Fiji disease virus] -NP_620458.1 hypothetical protein RBSDVs8gp1 [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_618 b/seq/clusters_info/cluster_618 deleted file mode 100644 index c406627..0000000 --- a/seq/clusters_info/cluster_618 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507754.1 VP6 [Scophthalmus maximus reovirus] -YP_009507741.1 VP6 [Green River chinook virus] -YP_009351844.1 VP6 [Fall chinook aquareovirus] -YP_009259504.1 putative core protein [Etheostoma fonticola aquareovirus] -YP_001837102.1 VP6 [American grass carp reovirus] -YP_398635.1 core protein VP6 [Chum salmon reovirus CS] -NP_938068.1 core protein VP6 [Aquareovirus C] diff --git a/seq/clusters_info/cluster_619 b/seq/clusters_info/cluster_619 deleted file mode 100644 index 907ab74..0000000 --- a/seq/clusters_info/cluster_619 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009507753.1 NS38 [Scophthalmus maximus reovirus] -YP_009507742.1 NS2 [Green River chinook virus] -YP_009351845.1 NS2 [Fall chinook aquareovirus] -YP_009259505.1 putative non-structural protein 38 [Etheostoma fonticola aquareovirus] -YP_001837103.1 NS38 [American grass carp reovirus] -YP_398636.1 putative non-structural protein NS2 [Chum salmon reovirus CS] -NP_938069.1 non-structural protein NS2 [Aquareovirus C] diff --git a/seq/clusters_info/cluster_62 b/seq/clusters_info/cluster_62 deleted file mode 100644 index 09fda53..0000000 --- a/seq/clusters_info/cluster_62 +++ /dev/null @@ -1,52 +0,0 @@ -YP_009362033.1 glycoprotein [Fugong virus] -YP_010088059.1 glycoprotein precursor, partial [Tatenale orthohantavirus] -YP_010088056.1 glycoprotein [Robina orthohantavirus] -YP_010086166.1 glycoprotein precursor, partial [Brno virus] -YP_009666010.1 glycoprotein [Necocli virus] -YP_009665161.1 glycoprotein [Oxbow virus] -YP_009664870.1 glycoprotein [Longquan virus] -YP_009664721.1 glycoprotein precursor [Asikkala orthohantavirus] -YP_009507250.1 glycoprotein [Laibin virus] -YP_009505460.1 envelope glycoprotein [Asama virus] -YP_009505597.1 glycoprotein precursor [Bayou orthohantavirus] -YP_009362291.1 glycoprotein [Kenkeme virus] -YP_009362285.1 glycoprotein [Imjin virus] -YP_009362100.1 glycoprotein precursor [Cano Delgadito orthohantavirus] -YP_009362096.1 glycoprotein [Khabarovsk orthohantavirus] -YP_009362094.1 glycoprotein precursor [Sangassou orthohantavirus] -YP_009508267.1 G1 and G2 proteins [Prospect Hill orthohantavirus] -YP_009507845.1 glycoprotein [Yakeshi virus] -YP_009507826.1 glycoprotein [Rockport virus] -YP_009507330.1 glycoprotein [Eothenomys miletus hantavirus LX309] -YP_009506658.1 glycoprotein precursor [Laguna Negra orthohantavirus] -YP_009506412.1 M polyprotein [Hantavirus Fusong-Mf-682] -YP_009506355.1 envelope glycoprotein precursor [El Moro Canyon orthohantavirus] -YP_009506271.1 glycoprotein [Dabieshan virus] -YP_009506070.1 envelope glycoprotein precursor [Choclo virus] -YP_009362281.1 envelope glycoprotein precursor [Maporal virus] -YP_009362037.1 glycoprotein [Nova virus] -YP_009362289.1 glycoprotein precursor [Anjozorobe virus] -YP_009362042.1 glycoprotein [Cao Bang orthohantavirus] -YP_009361852.1 glycoprotein [Bowe virus] -YP_009361850.1 glycoprotein precursor [Jeju virus] -YP_009361843.1 glycoprotein precursor [Montano orthohantavirus] -YP_009361841.1 glycoprotein precursor [Bruges virus] -YP_009361839.1 glycoprotein [Quezon virus] -YP_001911125.1 envelope glycoprotein precursor [Thottopalayam virus] -YP_138532.1 G1/G2 glycoprotein [Hantavirus Z10] -NP_942586.1 Glycoproteins G1 and G2 precursor [Tula orthohantavirus] -NP_942557.1 glycoprotein precusor [Seoul orthohantavirus] -NP_942554.1 glycoprotein precursor (G1-G2) [Dobrava-Belgrade orthohantavirus] -NP_941974.1 glycoprotein precursor [Sin Nombre orthohantavirus] -NP_941983.1 glycoprotein polyprotein precursor [Puumala orthohantavirus] -NP_941978.1 hypothetical protein HTNVsMgp1 [Hantaan orthohantavirus] -NP_604472.1 G1 and G2 surface glycoprotein precursor [Andes orthohantavirus] -sp|P41264.1|GP_PUUMB RecName: Full=Envelope glycoprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein G2 -sp|P41266.1|GP_PUUMP RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|Q83887.1|GP_NYV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|P17880.1|GP_SEOUS RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|P28729.1|GP_SEOUR RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|P16853.1|GP_HANTL RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|P16493.1|GP_HANTH RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -sp|Q09120.1|GP_PUUMU RecName: Full=Envelope glycoprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein G2 -sp|P28728.1|GP_HANTB RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor diff --git a/seq/clusters_info/cluster_620 b/seq/clusters_info/cluster_620 deleted file mode 100644 index 14b0e22..0000000 --- a/seq/clusters_info/cluster_620 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009505594.1 putative structural protein [Dak Nong virus] -YP_009026383.1 ORF 3b [Casuarina virus] -YP_007697647.1 ORF3b [Alphamesonivirus 4] -YP_007697641.1 ORF3b [Alphamesonivirus 3] -YP_007697634.1 ORF3b [Alphamesonivirus 2] -YP_004767310.1 ORF4 gene product [Nam Dinh virus] -YP_004598986.1 hypothetical protein CAVV_gp6 [Cavally virus] diff --git a/seq/clusters_info/cluster_621 b/seq/clusters_info/cluster_621 deleted file mode 100644 index b1dfac9..0000000 --- a/seq/clusters_info/cluster_621 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009342299.1 hypothetical protein 2 [Wenzhou tombus-like virus 14] -YP_009337060.1 hypothetical protein 2 [Changjiang tombus-like virus 18] -YP_009336823.1 hypothetical protein 2 [Hubei tombus-like virus 23] -YP_009336784.1 hypothetical protein 2 [Beihai tombus-like virus 13] -YP_009336610.1 hypothetical protein 2 [Hubei tombus-like virus 25] -APG76161.1 hypothetical protein 2 [Beihai tombus-like virus 13] -APG76620.1 hypothetical protein 2 [Wenzhou tombus-like virus 13] diff --git a/seq/clusters_info/cluster_622 b/seq/clusters_info/cluster_622 deleted file mode 100644 index 5cb59e0..0000000 --- a/seq/clusters_info/cluster_622 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009380539.1 hemagglutinin esterase [Goat torovirus] -YP_008798234.1 hemagglutinin esterase [Porcine torovirus] -YP_337909.1 hemagglutinin esterase [Breda virus] -sp|P31964.1|HEMA_BEV RecName: Full=Truncated non-functional hemagglutinin-esterase homolog -sp|Q9Q9G3.1|HEMA_HUTV RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|P0C0W0.1|HEMA_BRV2 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -sp|Q70KP1.1|HEMA_PTV10 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor diff --git a/seq/clusters_info/cluster_623 b/seq/clusters_info/cluster_623 deleted file mode 100644 index ebca5a2..0000000 --- a/seq/clusters_info/cluster_623 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009342269.1 hypothetical protein 1 [Wenzhou tombus-like virus 18] -YP_009337802.1 hypothetical protein 1 [Hubei tombus-like virus 43] -YP_009337752.1 hypothetical protein 1 [Wenzhou crab virus 4] -YP_009337396.1 hypothetical protein 1 [Sanxia tombus-like virus 9] -YP_009337114.1 hypothetical protein 1 [Wenling tombus-like virus 4] -YP_009336497.1 hypothetical protein 1 [Beihai tombus-like virus 19] -YP_009337261.1 hypothetical protein 1 [Wenling tombus-like virus 5] diff --git a/seq/clusters_info/cluster_624 b/seq/clusters_info/cluster_624 deleted file mode 100644 index 40d9eb7..0000000 --- a/seq/clusters_info/cluster_624 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009407917.1 silencing suppressor protein [Rice virus A] -YP_009032643.1 silencing suppressor P17 [Cucumber leaf spot virus] -YP_009032638.1 RNA silencing suppressor p14 [Pothos latent virus] -YP_008828160.1 silencing suppressor [Yam spherical virus] -YP_001285478.1 unnamed protein product [Maize white line mosaic virus] -NP_945132.1 hypothetical protein JCSMV_gp5 [Johnsongrass chlorotic stripe mosaic virus] -sp|Q9QBU1.1|P14_POLVP RecName: Full=RNA silencing suppressor p14 diff --git a/seq/clusters_info/cluster_625 b/seq/clusters_info/cluster_625 deleted file mode 100644 index 240a99d..0000000 --- a/seq/clusters_info/cluster_625 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009407916.1 movement protein [Rice virus A] -YP_009032642.1 movement protein [Cucumber leaf spot virus] -YP_009032637.1 movement protein [Pothos latent virus] -YP_008828159.1 movement protein [Yam spherical virus] -YP_001285477.1 unnamed protein product [Maize white line mosaic virus] -NP_945131.1 putative movement protein [Johnsongrass chlorotic stripe mosaic virus] -sp|Q9QBU2.1|MVP_POLVP RecName: Full=Movement protein; AltName: Full=p27 diff --git a/seq/clusters_info/cluster_626 b/seq/clusters_info/cluster_626 deleted file mode 100644 index 30e0c53..0000000 --- a/seq/clusters_info/cluster_626 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009337683.1 hypothetical protein 2 [Wenzhou picorna-like virus 26] -YP_009336995.1 hypothetical protein 2 [Wenzhou picorna-like virus 28] -YP_009336778.1 hypothetical protein 2 [Wenzhou channeled applesnail virus 2] -YP_009333559.1 hypothetical protein 2 [Beihai picorna-like virus 74] -YP_009333548.1 hypothetical protein 2 [Beihai picorna-like virus 72] -YP_009333457.1 hypothetical protein 2 [Beihai picorna-like virus 71] -YP_009330122.1 hypothetical protein 2 [Beihai picorna-like virus 70] diff --git a/seq/clusters_info/cluster_627 b/seq/clusters_info/cluster_627 deleted file mode 100644 index 09f7500..0000000 --- a/seq/clusters_info/cluster_627 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009337846.1 capsid protein [Hubei unio douglasiae virus 1] -YP_009333222.1 hypothetical protein 3 [Shahe isopoda virus 5] -NP_689442.1 hypothetical protein FHVgp1 [Flock House virus] -NP_689443.1 hypothetical protein BoVs2gp1 [Boolarra virus] -NP_620111.1 capsid protein precursor alpha [Pariacoto virus] -NP_077732.1 capsid protein precursor alpha [Nodamura virus] -NP_049329.1 coat protein precursor [Black beetle virus] diff --git a/seq/clusters_info/cluster_628 b/seq/clusters_info/cluster_628 deleted file mode 100644 index 9d8fdda..0000000 --- a/seq/clusters_info/cluster_628 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009253998.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum umbra-like virus 1] -NP_037581.1 RNA dependent RNA polymerase [Diaporthe ambigua RNA virus 1] -ALM62232.1 RNA-dependent RNA polymerase [Soybean leaf-associated ssRNA virus 1] -ALM62236.1 RNA-dependent polymerase [Soybean leaf-associated ssRNA virus 2] -ALD89104.2 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina single-stranded RNA virus 3] -YP_009115495.1 RNA-dependent RNA polymerase [Magnaporthe oryzae RNA virus] -ALM62246.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated ssRNA virus 3] diff --git a/seq/clusters_info/cluster_629 b/seq/clusters_info/cluster_629 deleted file mode 100644 index d57f90f..0000000 --- a/seq/clusters_info/cluster_629 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009337013.1 hypothetical protein 1 [Beihai sobemo-like virus 6] -YP_009336985.1 RdRp [Beihai sobemo-like virus 8] -YP_009336949.1 hypothetical protein 1 [Beihai sobemo-like virus 9] -YP_009336925.1 hypothetical protein 1 [Beihai sobemo-like virus 7] -YP_009333489.1 hypothetical protein 1 [Beihai sobemo-like virus 11] -YP_009330021.1 hypothetical protein 1 [Beihai sobemo-like virus 10] -APG75910.1 hypothetical protein 1, partial [Hubei sobemo-like virus 4] diff --git a/seq/clusters_info/cluster_63 b/seq/clusters_info/cluster_63 deleted file mode 100644 index b52b3db..0000000 --- a/seq/clusters_info/cluster_63 +++ /dev/null @@ -1,51 +0,0 @@ -YP_009825006.1 ORF1ab polyprotein [Duck coronavirus] -YP_009755895.1 ORF1ab polyprotein [Canada goose coronavirus] -YP_005352845.1 ORF1ab polyprotein [Sparrow coronavirus HKU17] -YP_005352880.1 ORF1ab polyprotein [Common moorhen coronavirus HKU21] -YP_005352870.1 ORF1ab polyprotein [Wigeon coronavirus HKU20] -YP_005352853.1 replicase polyprotein [Magpie-robin coronavirus HKU18] -YP_003858583.1 ORF1ab polyprotein [Bat coronavirus BM48-31/BGR/2008] -YP_009513020.1 ORF1ab polyprotein [Porcine coronavirus HKU15] -YP_005352837.1 ORF1ab polyprotein [White-eye coronavirus HKU16] -YP_009824989.2 ORF1ab polyprotein [Bat coronavirus] -YP_007188577.3 ORF1ab polyprotein [Betacoronavirus England 1] -YP_001039970.1 orf1ab polyprotein [Rousettus bat coronavirus HKU9] -YP_009361856.2 ORF1ab polyprotein [Bat coronavirus] -NP_828849.7 ORF1ab polyprotein [SARS coronavirus Tor2] -YP_001039961.1 ORF1ab polyprotein [Pipistrellus bat coronavirus HKU5] -YP_001039952.1 ORF1ab polyprotein [Tylonycteris bat coronavirus HKU4] -YP_173236.1 ORF1ab polyprotein [Human coronavirus HKU1] -YP_009113022.1 ORF1ab polyprotein [Betacoronavirus HKU24] -NP_045299.2 ORF1ab polyprotein [Murine hepatitis virus] -YP_005454239.1 ORF1ab polyprotein [Rabbit coronavirus HKU14] -YP_009755832.1 ORF1ab polyprotein [Rodent coronavirus] -YP_003029844.1 ORF1ab polyprotein [Rat coronavirus Parker] -YP_209229.2 ORF1ab polyprotein [Murine hepatitis virus strain JHM] -NP_150073.3 orf1ab polyprotein [Bovine coronavirus] -YP_009555238.1 ORF1ab polyprotein [Human coronavirus OC43] -YP_009273004.1 ORF1ab polyprotein [Rousettus bat coronavirus] -YP_009072438.1 ORF1ab polyprotein [Bat Hp-betacoronavirus/Zhejiang2013] -YP_009513008.1 ORF1ab polyprotein [Betacoronavirus Erinaceus/VMC/DEU/2012] -YP_009724389.1 ORF1ab polyprotein [Severe acute respiratory syndrome coronavirus 2] -YP_009824996.1 ORF1ab polyprotein [Infectious bronchitis virus] -YP_009553214.1 orf1ab [Aplysia californica nido-like virus] -YP_002308478.1 orf1ab polyprotein [Bulbul coronavirus HKU11-934] -YP_001941164.2 ORF1ab polyprotein [Turkey coronavirus] -YP_005352862.1 orf1ab gene product [Night heron coronavirus HKU19] -YP_002308505.1 orf1ab polyprotein [Munia coronavirus HKU13-3514] -YP_002308496.1 orf1ab polyprotein [Thrush coronavirus HKU12-600] -YP_001876435.1 ORF 1ab polyprotein [Beluga whale coronavirus SW1] -NP_066134.1 ORF1ab polyprotein [Infectious bronchitis virus] -sp|P0C6Y3.1|R1AB_IBVM RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p87; Contains: RecName: Full=Papain-like protease; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p195; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p41; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=Main protease; Short=Mpro; AltName: Full=Non-structural protein 5; Short=nsp5; AltName: Full=p33; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; AltName: Full=p34; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p9; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p24; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p16; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p68; Contains: RecName: Full=Proofreading exoribonuclease; Short=ExoN; AltName: Full=Guanine-N7 methyltransferase; AltName: Full=Non-structural protein 14; Short=nsp14; AltName: Full=p58; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=Non-structural protein 15; Short=nsp15; AltName: Full=p39; Contains: RecName: Full=2'-O-methyl transferase; AltName: Full=Non-structural protein 16; Short=nsp16; AltName: Full=p35 -sp|P0C6X8.1|R1AB_CVM2 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -sp|P0C6X4.1|R1AB_CVHN5 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -sp|P0C6X3.1|R1AB_CVHN2 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -sp|P0C6X0.1|R1AB_CVBQ RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -sp|P0C6W2.1|R1AB_BCHK3 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -sp|P0C6V9.1|R1AB_BC279 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -sp|P0C6W1.1|R1AB_BC133 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -sp|P0C6W6.1|R1AB_BCRP3 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -AAT70770.1 replicase polyprotein 1ab [Avian infectious bronchitis virus partridge/GD/S14/2003] -YP_008719930.1 orf1ab [Betacoronavirus Erinaceus/VMC/DEU/2012] -AHB63494.1 replicase polyprotein [Bottlenose dolphin coronavirus HKU22] -ABD75543.1 orf1ab polyprotein [Human coronavirus HKU1] diff --git a/seq/clusters_info/cluster_630 b/seq/clusters_info/cluster_630 deleted file mode 100644 index 324e821..0000000 --- a/seq/clusters_info/cluster_630 +++ /dev/null @@ -1,7 +0,0 @@ -APG78284.1 RdRp [Hubei partiti-like virus 50] -APG78219.1 RdRp [Hubei coleoptera virus 5] -APG78221.1 RdRp [Hubei coleoptera virus 6] -APG78279.1 RdRp [Wuhan spider virus 10] -APG78321.1 RdRp [Hubei partiti-like virus 51] -APG78280.1 RdRp [Hubei partiti-like virus 52] -APG78220.1 RdRp [Hubei coleoptera virus 4] diff --git a/seq/clusters_info/cluster_631 b/seq/clusters_info/cluster_631 deleted file mode 100644 index b6f6a54..0000000 --- a/seq/clusters_info/cluster_631 +++ /dev/null @@ -1,7 +0,0 @@ -YP_009255396.1 VP5 protein [Victorian trout aquabirnavirus] -YP_009177607.1 VP5 protein [Tasmanian aquabirnavirus] -YP_899471.1 Protein VP5 [Yellowtail ascites virus] -NP_047195.1 hypothetical protein IPNVsAgp1 [Infectious pancreatic necrosis virus] -sp|Q6U2P6.1|VP5_IPNVS RecName: Full=Protein VP5 -sp|P22496.1|VP5_IPNVN RecName: Full=Protein VP5 -sp|P22931.1|VP5_IPNVJ RecName: Full=Protein VP5 diff --git a/seq/clusters_info/cluster_632 b/seq/clusters_info/cluster_632 deleted file mode 100644 index bf56717..0000000 --- a/seq/clusters_info/cluster_632 +++ /dev/null @@ -1,7 +0,0 @@ -NP_062883.2 non-structural polyprotein [Rubella virus] -sp|Q86500.2|POLN_RUBVM RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -sp|O40955.1|POLN_RUBVR RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -sp|Q99IE7.1|POLN_RUBVO RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -sp|Q6X2U4.1|POLN_RUBVB RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -sp|Q6X2U2.1|POLN_RUBVC RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -AAF26709.1 nonstructural capsid protein, partial [Rubella virus] diff --git a/seq/clusters_info/cluster_633 b/seq/clusters_info/cluster_633 deleted file mode 100644 index fefc4fd..0000000 --- a/seq/clusters_info/cluster_633 +++ /dev/null @@ -1,7 +0,0 @@ -YP_003864075.1 hypothetical protein HEVgp9 [Orthohepevirus A] -sp|Q04612.2|ORF3_HEVMY RecName: Full=Protein ORF3; Short=pORF3 -sp|Q03499.2|ORF3_HEVME RecName: Full=Protein ORF3; Short=pORF3 -sp|Q6J8G1.2|ORF3_HEVMG RecName: Full=Protein ORF3; Short=pORF3 -sp|O90299.2|ORF3_HEVHY RecName: Full=Protein ORF3; Short=pORF3 -sp|Q9IVZ7.1|ORF3_HEVCT RecName: Full=Protein ORF3; Short=pORF3 -sp|Q9YLR0.2|ORF3_HEVUS RecName: Full=Protein ORF3; Short=pORF3 diff --git a/seq/clusters_info/cluster_634 b/seq/clusters_info/cluster_634 deleted file mode 100644 index 9fd1fac..0000000 --- a/seq/clusters_info/cluster_634 +++ /dev/null @@ -1,7 +0,0 @@ -NP_062884.1 structural polyprotein [Rubella virus] -sp|P08564.3|POLS_RUBVV RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P19725.2|POLS_RUBVR RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|P08563.2|POLS_RUBVM RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|Q9J6K8.1|POLS_RUBVD RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|Q8VA10.1|POLS_RUBVN RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -sp|Q6X2U3.1|POLS_RUBVB RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein diff --git a/seq/clusters_info/cluster_635 b/seq/clusters_info/cluster_635 deleted file mode 100644 index 17bf60f..0000000 --- a/seq/clusters_info/cluster_635 +++ /dev/null @@ -1,7 +0,0 @@ -NP_041253.1 env protein precursor [Ovine lentivirus] -NP_040843.1 envelope polyprotein [Visna-maedi virus] -sp|P31627.2|ENV_CAEVG RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 38; Short=gp38; Flags: Precursor -sp|P31626.1|ENV_CAEVC RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 38; Short=gp38; Flags: Precursor -sp|P23423.1|ENV_VILV2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 46; Short=gp46; Flags: Precursor -sp|P23422.1|ENV_VILV1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 46; Short=gp46; Flags: Precursor -sp|P03379.1|ENV_VILV RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 46; Short=gp46; Flags: Precursor diff --git a/seq/clusters_info/cluster_636 b/seq/clusters_info/cluster_636 deleted file mode 100644 index 32cab97..0000000 --- a/seq/clusters_info/cluster_636 +++ /dev/null @@ -1,7 +0,0 @@ -APG79087.1 RdRp [Hubei reo-like virus 14] -AQU42768.1 VP1 [Morris orbivirus] -APG79103.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 5] -APG79114.1 RdRp [Hubei reo-like virus 12] -APG79155.1 RNA-dependent RNA polymerase [Hubei tetragnatha maxillosa virus 9] -APG79144.1 RNA-dependent RNA polymerase [Hubei odonate virus 15] -APG79108.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 4] diff --git a/seq/clusters_info/cluster_637 b/seq/clusters_info/cluster_637 deleted file mode 100644 index cddeb90..0000000 --- a/seq/clusters_info/cluster_637 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009551542.1 polyprotein [Potato virus U] -YP_009666020.1 capsid protein, partial [Apricot latent ringspot virus] -YP_009507922.1 polyprotein [Melon mild mottle virus] -YP_009507919.1 polyprotein [Blueberry latent spherical virus] -YP_009351879.1 polyprotein [Peach rosette mosaic virus] -YP_009330272.1 polyprotein [Soybean latent spherical virus] diff --git a/seq/clusters_info/cluster_638 b/seq/clusters_info/cluster_638 deleted file mode 100644 index d635648..0000000 --- a/seq/clusters_info/cluster_638 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010229214.1 nucleoprotein [Balsa almendravirus] -YP_010229221.1 nucleoprotein [Rio Chico almendravirus] -YP_010229208.1 nucleoprotein [Coot Bay almendravirus] -YP_009552120.1 N protein [Menghai rhabdovirus] -YP_009094389.1 N protein [Puerto Almendras virus] -YP_009094378.1 N protein [Arboretum almendravirus] diff --git a/seq/clusters_info/cluster_639 b/seq/clusters_info/cluster_639 deleted file mode 100644 index 3c1e0e8..0000000 --- a/seq/clusters_info/cluster_639 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010086057.1 nucleoprotein, partial [North Creek virus] -YP_010086782.1 nucleoprotein [Ohlsdorf virus] -YP_009388612.1 nucleoprotein [Culex rhabdo-like virus] -YP_009182182.1 putative nucleoprotein [Tongilchon virus 1] -YP_009552816.1 nucleoprotein [Riverside virus 1] -YP_009304477.1 nucleocapsid [Taishun Tick Virus] diff --git a/seq/clusters_info/cluster_64 b/seq/clusters_info/cluster_64 deleted file mode 100644 index 2a0c666..0000000 --- a/seq/clusters_info/cluster_64 +++ /dev/null @@ -1,51 +0,0 @@ -YP_010088081.1 RNA-dependent RNA polymerase [Passion fruit green spot virus] -YP_009270625.1 hypothetical protein 1 [Brejeira virus] -YP_009551530.1 helicase-RNA polymerase [Tea plant necrotic ring blotch virus] -YP_009551524.1 methyltransferase-helicase [Tea plant necrotic ring blotch virus] -YP_009553581.1 ORF1 [Andrena haemorrhoa nege-like virus] -YP_009552739.1 putative RNA-dependent RNA polymerase [Ying Kou virus] -YP_009552459.1 RNA-dependent RNA polymerase [Nephila clavipes virus 3] -YP_009362298.1 ORF1 [Castlerea virus] -YP_009508070.1 replicase [Citrus leprosis virus C2] -YP_009351835.1 hypothetical protein 1 [Loreto virus] -YP_009351830.1 hypothetical protein 1 [Piura virus] -YP_009345002.1 RdRp [Wuhan insect virus 9] -YP_009344994.1 hypothetical protein [Wuhan insect virus 8] -YP_009342435.1 RdRp [Wuhan house centipede virus 1] -YP_009337898.1 hypothetical protein [Hubei Wuhan insect virus 9] -YP_009337819.1 hypothetical protein [Hubei virga-like virus 12] -YP_009337818.1 hypothetical protein [Hubei virga-like virus 12] -YP_009337768.1 hypothetical protein [Hubei virga-like virus 7] -YP_009337659.1 hypothetical protein [Hubei virga-like virus 21] -YP_009337147.1 replicase [Changjiang hepe-like virus 1] -YP_009336482.1 ORF2 [Nesidiocoris tenuis virus] -YP_009333216.1 RdRp [Beihai barnacle virus 2] -YP_009256205.1 hypothetical protein 1 [Negev virus] -YP_009001772.1 hypothetical protein [Wallerfield virus] -YP_004928118.1 polyprotein [Hibiscus green spot virus 2] -YP_004901701.1 polymerase [Blueberry necrotic ring blotch virus] -YP_004901700.1 methyltransferase helicase [Blueberry necrotic ring blotch virus] -YP_654538.1 replicase [Citrus leprosis virus C] -YP_009351834.1 hypothetical protein 1 [Fort Crockett virus] -APG77656.1 hypothetical protein [Hubei virga-like virus 22] -AMO03256.1 putative polyprotein [Bofa virus] -AHX42605.1 ORF1 [Tanay virus] -AFI24681.1 hypothetical protein 1 [Negev virus] -AGM16551.1 replication associated protein [Citrus leprosis virus cytoplasmic type 2] -AFI24669.1 hypothetical protein 1, partial [Dezidougou virus] -YP_009315901.1 RNA-dependent RNA polymerase [Lodeiro virus] -YP_009351824.1 hypothetical protein 1 [Biratnagar virus] -AGI44298.1 RNA dependent RNA polymerase [Blueberry necrotic ring blotch virus] -AQM55433.1 hypothetical protein 1 [Piura virus] -APG77603.1 hypothetical protein, partial [Hubei negev-like virus 2] -AIX97862.1 hypothetical protein 1 [Goutanap virus] -APG77744.1 RdRp [Hubei virga-like viurs 8] -AQM55308.1 hypothetical protein 1 [Cordoba virus] -AQM55272.1 hypothetical protein 1 [Big Cypress virus] -AQM55436.1 hypothetical protein 1 [San Bernardo virus] -APG77679.1 hypothetical protein [Hubei negev-like virus 1] -AFI24675.1 hypothetical protein 1 [Santana virus] -APG77770.1 hypothetical protein [Hubei virga-like virus 4] -AQM55317.1 hypothetical protein 1 [Ngewotan negevirus] -YP_009182191.1 putative RNA-dependent RNA polymerase [Daeseongdong virus 1] -API61901.1 replicase large subunit, partial [Biggievirus Mos11] diff --git a/seq/clusters_info/cluster_640 b/seq/clusters_info/cluster_640 deleted file mode 100644 index 34e48b1..0000000 --- a/seq/clusters_info/cluster_640 +++ /dev/null @@ -1,6 +0,0 @@ -YP_003858589.1 ORF7a protein [Bat coronavirus BM48-31/BGR/2008] -YP_009825057.1 ORF7a protein [SARS coronavirus Tor2] -YP_009724395.1 ORF7a protein [Severe acute respiratory syndrome coronavirus 2] -sp|Q0Q470.1|NS7A_BC279 RecName: Full=Protein 7a; AltName: Full=Accessory protein 7a; Flags: Precursor -sp|Q3LZX7.1|NS7A_BCHK3 RecName: Full=Protein 7a; AltName: Full=Accessory protein 7a; Flags: Precursor -sp|Q3I5J0.1|NS7A_BCRP3 RecName: Full=Protein 7a; AltName: Full=Accessory protein 7a; Flags: Precursor diff --git a/seq/clusters_info/cluster_641 b/seq/clusters_info/cluster_641 deleted file mode 100644 index 9da3afd..0000000 --- a/seq/clusters_info/cluster_641 +++ /dev/null @@ -1,6 +0,0 @@ -YP_007188584.1 envelope protein [Betacoronavirus England 1] -YP_009361862.1 small envelope protein [Bat coronavirus] -YP_001039967.1 small membrane protein [Pipistrellus bat coronavirus HKU5] -YP_001039958.1 small membrane protein [Tylonycteris bat coronavirus HKU4] -YP_009513016.1 E [Betacoronavirus Erinaceus/VMC/DEU/2012] -sp|Q0Q4E8.1|VEMP_BC133 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein diff --git a/seq/clusters_info/cluster_642 b/seq/clusters_info/cluster_642 deleted file mode 100644 index 98776cf..0000000 --- a/seq/clusters_info/cluster_642 +++ /dev/null @@ -1,6 +0,0 @@ -YP_003858585.1 ORF3 protein [Bat coronavirus BM48-31/BGR/2008] -YP_009825052.1 ORF3a protein [SARS coronavirus Tor2] -YP_009724391.1 ORF3a protein [Severe acute respiratory syndrome coronavirus 2] -sp|Q3LZX0.1|AP3A_BCHK3 RecName: Full=Protein 3; AltName: Full=Accessory protein 3 -sp|Q0Q474.1|AP3A_BC279 RecName: Full=Protein 3; AltName: Full=Accessory protein 3 -sp|Q3I5J4.1|AP3A_BCRP3 RecName: Full=Protein 3; AltName: Full=Accessory protein 3 diff --git a/seq/clusters_info/cluster_643 b/seq/clusters_info/cluster_643 deleted file mode 100644 index 8c9ac8d..0000000 --- a/seq/clusters_info/cluster_643 +++ /dev/null @@ -1,6 +0,0 @@ -YP_164826.1 2B [anativirus A1] -YP_005352650.1 2B [Canine picornavirus] -YP_004934025.1 unnamed protein product [Feline picornavirus] -YP_004782563.1 2B [Bat picornavirus 2] -YP_004782549.1 2B [Bat picornavirus 1] -YP_004782536.1 2B [Bat picornavirus 3] diff --git a/seq/clusters_info/cluster_644 b/seq/clusters_info/cluster_644 deleted file mode 100644 index 73d5058..0000000 --- a/seq/clusters_info/cluster_644 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009118280.1 VP4 [rabbit kobuvirus] -YP_005352645.1 VP4 [Canine picornavirus] -YP_004934020.1 unnamed protein product [Feline picornavirus] -YP_004782557.1 VP4 [Bat picornavirus 2] -YP_004782543.1 VP4 [Bat picornavirus 1] -YP_004782531.1 VP4 [Bat picornavirus 3] diff --git a/seq/clusters_info/cluster_645 b/seq/clusters_info/cluster_645 deleted file mode 100644 index edc65d3..0000000 --- a/seq/clusters_info/cluster_645 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010084024.1 maturation, partial [Leviviridae sp.] -YP_009208145.1 A-protein [Escherichia virus FI] -NP_085471.2 maturation protein [Acinetobacter phage AP205] -NP_695025.1 unnamed protein product [Enterobacteria phage SP] -NP_046749.1 maturation protein [Escherichia virus Qbeta] -sp|Q8LTE2.1|MATA2_BPQBE RecName: Full=Maturation protein A2; Short=MP; AltName: Full=A2 protein diff --git a/seq/clusters_info/cluster_646 b/seq/clusters_info/cluster_646 deleted file mode 100644 index 7fc7d89..0000000 --- a/seq/clusters_info/cluster_646 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009551519.1 putative RNA-dependent RNA polymerase [Bipolaris maydis botybirnavirus 1] -YP_009182198.1 polyprotein [Red clover powdery mildew-associated totivirus 9] -YP_009141011.1 cap-pol fusion protein [Sclerotinia sclerotiorum botybirnavirus 1] -YP_006390636.1 cap-pol fusion protein [Botrytis porri botybirnavirus 1] -ALM62244.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated botybirnavirus 1] -AMT92139.1 cap-pol fusion protein [Sclerotinia sclerotiorum botybirnavirus 2] diff --git a/seq/clusters_info/cluster_647 b/seq/clusters_info/cluster_647 deleted file mode 100644 index c2d85d7..0000000 --- a/seq/clusters_info/cluster_647 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010088079.1 movement protein [Passion fruit green spot virus] -YP_009551525.1 movement protein [Tea plant necrotic ring blotch virus] -YP_009508075.1 p32 movement protein [Citrus leprosis virus C2] -YP_004901706.1 movement protein [Blueberry necrotic ring blotch virus] -YP_003126905.1 unnamed protein product [Japanese holly fern mottle virus] -YP_654542.1 putative movement protein [Citrus leprosis virus C] diff --git a/seq/clusters_info/cluster_648 b/seq/clusters_info/cluster_648 deleted file mode 100644 index ac2c782..0000000 --- a/seq/clusters_info/cluster_648 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010088074.1 P5 [Actinidia emaravirus 2] -YP_009380549.1 p7 [Rose rosette emaravirus] -YP_009380546.1 p5 [Rose rosette emaravirus] -YP_009268861.1 p5 protein [Pigeonpea sterility mosaic emaravirus 2] -YP_009237276.1 p5 [Pigeonpea sterility mosaic emaravirus 1] -YP_009237273.1 p5 protein [Fig mosaic emaravirus] diff --git a/seq/clusters_info/cluster_649 b/seq/clusters_info/cluster_649 deleted file mode 100644 index 4f65465..0000000 --- a/seq/clusters_info/cluster_649 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010086171.1 nonstructural protein [Medjerda Valley virus] -YP_010086161.1 nonstructural protein [Alcube virus] -YP_010086142.1 nonstructural protein [Odrenisrou virus] -YP_010086060.1 nonstructural protein, partial [Salehabad virus] -YP_009227129.1 nonstructural protein [Adana virus] -YP_009010956.1 nonstructural protein [Arumowot virus] diff --git a/seq/clusters_info/cluster_65 b/seq/clusters_info/cluster_65 deleted file mode 100644 index 47a4e5a..0000000 --- a/seq/clusters_info/cluster_65 +++ /dev/null @@ -1,51 +0,0 @@ -YP_010085015.1 RNA-dependent RNA polymerase [bank vole virus 1] -YP_010085024.1 L protein [Pohorje myodes paramyxovirus 1] -YP_009666855.1 L protein [Mount Mabu Lophuromys virus 2] -YP_009666847.1 L protein [Mount Mabu Lophuromys virus 1] -YP_009512964.1 RNA polymerase [Feline morbillivirus] -YP_009179212.1 large polymerase subunit [Caprine parainfluenza virus 3] -YP_009177604.1 large protein [Phocine morbillivirus] -YP_009094450.1 RNA polymerase [Porcine respirovirus 1] -YP_009094339.1 large protein [Salem virus] -YP_009094096.1 polymerase [Mojiang virus] -YP_009094152.1 RNA polymerase [Salmon aquaparamyxovirus] -YP_009094120.1 RNA polymerase [Tailam virus] -YP_009094087.1 polymerase [Cedar virus] -YP_009091839.1 large protein [Ghana virus] -NP_047113.3 polymerase [Hendra henipavirus] -YP_006347589.1 L protein [Nariva virus] -NP_067153.2 large protein [Human respirovirus 3] -YP_512254.1 large protein [Beilong virus] -YP_338085.1 large protein [J-virus] -YP_087126.2 L protein [Rinderpest virus (strain Kabete O)] -YP_133828.1 large protein [Peste des petits ruminants virus] -NP_958055.1 large protein [Mossman virus] -NP_945030.1 large protein [Dolphin morbillivirus] -NP_899661.1 large putative polymerase L [Fer-de-lance virus] -NP_604442.1 L polymerase protein [Human respirovirus 1] -NP_112028.1 polymerase [Nipah henipavirus] -NP_054697.1 RNA polymerase [Tupaia paramyxovirus] -NP_037646.1 large polymerase subunit L [Bovine respirovirus 3] -NP_047207.1 large polymerase protein L [Canine morbillivirus] -NP_056879.1 RNA polymerase protein [Murine respirovirus] -NP_056924.1 large polymerase protein [Measles morbillivirus] -sp|P35975.1|L_MEASA RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|P12577.1|L_PI3H4 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -sp|P06829.2|L_SENDE RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -AIF74185.1 polymerase, partial [Bat paramyxovirus] -ALW95387.1 RNA polymerase [Porcine parainfluenza virus] -AEX65767.1 L [Rinderpest morbillivirus] -AIF74192.1 polymerase, partial [Bat paramyxovirus] -ADQ43756.1 large polymerase subunit L [Bovine respirovirus 3] -AGU69461.1 large protein, partial [Miniopterus schreibersii paramyxovirus] -AOO35462.1 large polymerase protein [Canine morbillivirus] -AIJ01297.1 putative large polymerase L [Anaconda paramyxovirus] -AGU69459.1 large protein, partial [Miniopterus schreibersii paramyxovirus] -AIF74184.1 polymerase, partial [Bat paramyxovirus] -ABS18757.1 large protein [Murine respirovirus] -AIF74195.1 polymerase, partial [Bat paramyxovirus] -AGU69458.1 large protein, partial [Miniopterus schreibersii paramyxovirus] -BAO58314.1 RNA polymerase [Feline morbillivirus] -AIG60042.1 RNA polymerase [Human respirovirus 3] -ABB71671.1 large protein [Measles morbillivirus] -AGG09147.1 RNA-dependent RNA polymerase [Peste des petits ruminants virus] diff --git a/seq/clusters_info/cluster_650 b/seq/clusters_info/cluster_650 deleted file mode 100644 index 9b33350..0000000 --- a/seq/clusters_info/cluster_650 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010086030.1 VP3 [Rotavirus J] -YP_009130676.1 VP3 [Rotavirus I] -YP_008136241.1 VP3 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126855.1 VP3 [Human rotavirus B] -YP_392493.1 VP3 [Adult diarrheal rotavirus strain J19] -sp|A9Q1K9.1|VP3_ROTB2 RecName: Full=Protein VP3; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase diff --git a/seq/clusters_info/cluster_651 b/seq/clusters_info/cluster_651 deleted file mode 100644 index c755bda..0000000 --- a/seq/clusters_info/cluster_651 +++ /dev/null @@ -1,6 +0,0 @@ -YP_004442832.1 virion associated protein [Banana streak UM virus] -YP_004442829.1 virion associated protein [Banana streak UL virus] -YP_004442826.1 virion associated protein [Banana streak UI virus] -YP_595724.1 ORF2 [Sugarcane bacilliform MO virus] -NP_758807.1 unknown [Taro bacilliform virus] -NP_149412.1 hypothetical protein SCBVgp2 [Sugarcane bacilliform IM virus] diff --git a/seq/clusters_info/cluster_652 b/seq/clusters_info/cluster_652 deleted file mode 100644 index d2fdaea..0000000 --- a/seq/clusters_info/cluster_652 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010086258.1 RNA-dependent RNA polymerase [Veterinary Pathology Zurich virus 1] -YP_010086255.1 RNA-dependent RNA polymerase [Old schoolhouse virus 1] -YP_010086250.1 RNA-dependent RNA polymerase [Dante Muikkunen virus 1] -YP_009551605.1 L protein [Wenling frogfish arenavirus 2] -YP_009551555.1 L protein [Wenling frogfish arenavirus 1] -YP_009666122.1 RdRp [Haartman Institute snake virus] diff --git a/seq/clusters_info/cluster_653 b/seq/clusters_info/cluster_653 deleted file mode 100644 index 89de2d5..0000000 --- a/seq/clusters_info/cluster_653 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010086257.1 nucleoprotein [Veterinary Pathology Zurich virus 1] -YP_010086254.1 nucleoprotein [Old schoolhouse virus 1] -YP_010086252.1 nucleoprotein [Dante Muikkunen virus 1] -YP_009551604.1 nucleoprotein [Wenling frogfish arenavirus 2] -YP_009551554.1 nucleoprotein [Wenling frogfish arenavirus 1] -YP_009666124.1 NP [Haartman Institute snake virus] diff --git a/seq/clusters_info/cluster_654 b/seq/clusters_info/cluster_654 deleted file mode 100644 index 56455da..0000000 --- a/seq/clusters_info/cluster_654 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010086138.1 nonstructural protein [Zaliv Terpeniya virus] -YP_010086153.1 nonstructural protein [Rukutama virus] -YP_010086133.1 non-structural protein [Murre virus] -YP_010086113.1 nonstructural protein [Precarious point virus] -YP_010086111.1 non-structural protein [Grand Arbaud virus] -NP_941981.1 nonstructural protein [Uukuniemi virus] diff --git a/seq/clusters_info/cluster_655 b/seq/clusters_info/cluster_655 deleted file mode 100644 index c326a76..0000000 --- a/seq/clusters_info/cluster_655 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009664793.1 polyprotein, partial [Pineapple mealybug wilt-associated virus 2] -YP_009241366.1 polyprotein 1a [Grapevine leafroll-associated virus 13] -YP_008411009.1 polyprotein 1a [Blackberry vein banding-associated virus] -YP_004940641.1 MET/HEL gene product [Grapevine leafroll-associated virus 1] -NP_813795.3 polyprotein [Grapevine leafroll-associated virus 3] -AOS89854.1 polyprotein [Grapevine leafroll-associated virus 3] diff --git a/seq/clusters_info/cluster_656 b/seq/clusters_info/cluster_656 deleted file mode 100644 index 5f8332e..0000000 --- a/seq/clusters_info/cluster_656 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009509086.1 NIa-Pr protein [Tomato mild mottle virus] -YP_007032444.1 NIa-Pro [Cassava brown streak virus] -YP_004063981.1 NIa-Pro [Ugandan cassava brown streak virus] -YP_001788999.1 NIa [Squash vein yellowing virus] -YP_308884.1 NIa-Pro protein [Cucumber vein yellowing virus] -NP_734291.1 NIa-Pro protein, partial [Sweet potato mild mottle virus] diff --git a/seq/clusters_info/cluster_657 b/seq/clusters_info/cluster_657 deleted file mode 100644 index 86f5ae5..0000000 --- a/seq/clusters_info/cluster_657 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010086752.1 PIPO protein [Gomphocarpus mosaic virus] -YP_006423972.1 PIPO, partial [Celery mosaic virus] -YP_006423945.1 PIPO, partial [Panax virus Y] -YP_006405403.1 PIPO, partial [Basella rugose mosaic virus] -YP_006395317.1 PIPO, partial [Cocksfoot streak virus] -YP_006395315.1 PIPO, partial [Lettuce mosaic virus] diff --git a/seq/clusters_info/cluster_658 b/seq/clusters_info/cluster_658 deleted file mode 100644 index 59cb055..0000000 --- a/seq/clusters_info/cluster_658 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010084711.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum ourmia-like virus 1] -YP_010084710.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani ourmia-like virus 1] -YP_009667033.1 RNA dependent RNA polymerase [Magnaporthe oryzae ourmia-like virus] -YP_009666498.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated ourmiavirus 2] -YP_009666497.1 RNA-dependent RNA polymerase [Soybean leaf-associated ourmiavirus 1] -YP_009333280.1 RNA-dependent RNA polymerase [Beihai narna-like virus 20] diff --git a/seq/clusters_info/cluster_659 b/seq/clusters_info/cluster_659 deleted file mode 100644 index 7830bb8..0000000 --- a/seq/clusters_info/cluster_659 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010084236.1 coat protein [Freesia sneak ophiovirus] -YP_009665967.1 coat protein, partial [Tulip mild mottle mosaic virus] -YP_009449564.1 50 kDa Nucleocapsid protein [Blueberry mosaic associated virus] -YP_089664.1 49 kDa protein [Citrus psorosis virus] -YP_053239.1 48 kDa protein [Lettuce ring necrosis virus] -sp|Q8BCV5.1|NCAP_MILVL RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_66 b/seq/clusters_info/cluster_66 deleted file mode 100644 index 3ab73ac..0000000 --- a/seq/clusters_info/cluster_66 +++ /dev/null @@ -1,51 +0,0 @@ -NP_042686.1 alternative tat protein [Jembrana disease virus] -NP_758891.1 tat protein [Simian immunodeficiency virus SIV-mnd 2] -NP_057853.1 Tat [Human immunodeficiency virus 1] -NP_040565.1 protein S; putative [Bovine immunodeficiency virus] -sp|P0C1K2.1|TAT_HV1MV RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q9QSR0.1|TAT_HV1VI RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q9IDV5.1|TAT_HV1YB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q8AIH8.1|TAT_SIVTN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|O12161.1|TAT_HV192 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P69697.1|TAT_HV1B1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P17285.1|TAT_SIVCZ RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05908.1|TAT_HV1RH RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P12507.1|TAT_HV1BN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04610.1|TAT_HV1BR RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04326.1|TAT_HV112 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q1A264.1|TAT_SIVMB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q73370.1|TAT_HV1B9 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P35965.1|TAT_HV1Y2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05905.1|TAT_HV1MN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P12508.1|TAT_HV1J3 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P12512.1|TAT_HV1ZH RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P20879.1|TAT_HV1JR RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04612.1|TAT_HV1B5 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P12510.1|TAT_HV1Z3 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q1A246.1|TAT_SIVEK RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P0C1J9.1|TAT_HV1MP RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P0C1K0.1|TAT_HV1M2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P0C1K1.1|TAT_HV1AN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P0C1K3.1|TAT_HV197 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P0C1K4.1|TAT_HV196 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q9WC66.1|TAT_HV1S9 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q9WC57.1|TAT_HV1S2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q9Q717.1|TAT_HV1V9 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|O91083.1|TAT_HV1YF RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|O89943.1|TAT_HV1SE RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|O70899.1|TAT_HV190 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|O70889.1|TAT_HV193 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|O41801.1|TAT_HV19N RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04609.1|TAT_HV1Z6 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P24738.1|TAT_HV1U4 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05906.1|TAT_HV1SC RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P20893.1|TAT_HV1OY RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04613.1|TAT_HV1MA RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P18804.1|TAT_HV1ND RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P19553.1|TAT_HV1S1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P19552.1|TAT_HV1S3 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04611.1|TAT_HV1EL RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P05907.1|TAT_HV1C4 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P04614.1|TAT_HV1A2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|P12506.1|TAT_HV1Z2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -sp|Q75005.1|TAT_HV1ET RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein diff --git a/seq/clusters_info/cluster_660 b/seq/clusters_info/cluster_660 deleted file mode 100644 index 5a27fd9..0000000 --- a/seq/clusters_info/cluster_660 +++ /dev/null @@ -1,6 +0,0 @@ -YP_010087348.1 p7K [Jasmine virus H] -YP_009345602.1 MP-1 [Clematis chlorotic mottle virus] -YP_009116641.1 putative movement protein 1 [Pelargonium ringspot virus] -YP_009116636.1 putative movement protein 1 [Elderberry latent virus] -YP_238479.1 movement protein [Pelargonium line pattern virus] -YP_052928.1 putative movement protein 1 [Pelargonium chlorotic ring pattern virus] diff --git a/seq/clusters_info/cluster_661 b/seq/clusters_info/cluster_661 deleted file mode 100644 index 3949d27..0000000 --- a/seq/clusters_info/cluster_661 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009505502.1 glycoprotein [Drosophila melanogaster sigmavirus HAP23] -YP_003126912.1 glycoprotein [Drosophila melanogaster sigmavirus AP30] -YP_009337215.1 putative glycoprotein [Hubei dimarhabdovirus virus 1] -YP_009305106.1 glycoprotein [Wuhan Louse Fly Virus 9] -YP_009302017.1 glycoprotein [Wuhan Louse Fly Virus 10] -sp|P12647.1|GLYCO_SIGMA RecName: Full=Spike glycoprotein; Flags: Precursor diff --git a/seq/clusters_info/cluster_662 b/seq/clusters_info/cluster_662 deleted file mode 100644 index c48f1df..0000000 --- a/seq/clusters_info/cluster_662 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009709868.1 NS4B protein [Hepatitis C virus (isolate H77)] -YP_009664190.1 nonstructural protein NS4B [Bat hepacivirus] -YP_009664180.1 nonstructural protein NS4B [Hepacivirus K] -YP_009664200.1 nonstructural protein NS4B [Non-primate hepacivirus NZP1] -YP_009325389.1 nonstructural protein NS4B [Equine hepacivirus JPN3/JAPAN/2013] -YP_009227298.1 putative NS4B peptide [Human pegivirus 2] diff --git a/seq/clusters_info/cluster_663 b/seq/clusters_info/cluster_663 deleted file mode 100644 index 132e50f..0000000 --- a/seq/clusters_info/cluster_663 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009709865.1 NS2 protein [Hepatitis C virus (isolate H77)] -YP_009664187.1 nonstructural protein NS2 [Bat hepacivirus] -YP_009664177.1 nonstructural protein NS2 [Hepacivirus K] -YP_009664167.1 nonstructural protein NS2 [Hepacivirus M] -YP_009664197.1 nonstructural protein NS2 [Non-primate hepacivirus NZP1] -YP_009325386.1 nonstructural protein NS2 [Equine hepacivirus JPN3/JAPAN/2013] diff --git a/seq/clusters_info/cluster_664 b/seq/clusters_info/cluster_664 deleted file mode 100644 index 7d54097..0000000 --- a/seq/clusters_info/cluster_664 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009518850.1 nonstructural protein 1 [Human orthopneumovirus] -YP_009505448.1 nonstructural protein 1 [Bovine respiratory syncytial virus ATCC51908] -NP_056856.1 nonstructural protein 1 [Human orthopneumovirus] -sp|Q65703.1|NS1_ORSVW RecName: Full=Non-structural protein 1; AltName: Full=Non-structural protein 1C -sp|Q86306.1|NS1_HRSVL RecName: Full=Non-structural protein 1; AltName: Full=Non-structural protein 1C -sp|P24568.1|NS1_HRSV1 RecName: Full=Non-structural protein 1; AltName: Full=Non-structural protein 1C diff --git a/seq/clusters_info/cluster_665 b/seq/clusters_info/cluster_665 deleted file mode 100644 index 4fd6ae4..0000000 --- a/seq/clusters_info/cluster_665 +++ /dev/null @@ -1,6 +0,0 @@ -YP_007188583.1 NS3D protein [Betacoronavirus England 1] -YP_009361861.1 ORF5 protein [Bat coronavirus] -YP_001039966.1 hypothetical protein BatCoVHKU5_gp6 [Pipistrellus bat coronavirus HKU5] -YP_001039957.1 hypothetical protein BatCoVHKU4_gp6 [Tylonycteris bat coronavirus HKU4] -YP_009513015.1 ORF5 [Betacoronavirus Erinaceus/VMC/DEU/2012] -sp|Q0Q4E9.1|NS3D_BC133 RecName: Full=Non-structural protein 3d; Short=ns3d; AltName: Full=Accessory protein 3d diff --git a/seq/clusters_info/cluster_666 b/seq/clusters_info/cluster_666 deleted file mode 100644 index 94e5cac..0000000 --- a/seq/clusters_info/cluster_666 +++ /dev/null @@ -1,6 +0,0 @@ -YP_007188580.1 NS3A protein [Betacoronavirus England 1] -YP_009361858.1 ORF3 protein [Bat coronavirus] -YP_001039963.1 hypothetical protein BatCoVHKU5_gp3 [Pipistrellus bat coronavirus HKU5] -YP_001039954.1 hypothetical protein BatCoVHKU4_gp3 [Tylonycteris bat coronavirus HKU4] -YP_009047205.1 NS3 protein [Middle East respiratory syndrome-related coronavirus] -sp|Q0Q4F1.1|NS3A_BC133 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor diff --git a/seq/clusters_info/cluster_667 b/seq/clusters_info/cluster_667 deleted file mode 100644 index 5b4a00f..0000000 --- a/seq/clusters_info/cluster_667 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009944270.1 nsp2 [Human coronavirus HKU1] -YP_009944255.1 nsp2 [Rabbit coronavirus HKU14] -YP_009915689.1 nsp2 [Murine hepatitis virus] -YP_009924369.1 nsp2 [Rat coronavirus Parker] -YP_209245.1 nsp2 or p65 [Murine hepatitis virus strain JHM] -YP_009924320.1 nsp2 [Human coronavirus OC43] diff --git a/seq/clusters_info/cluster_668 b/seq/clusters_info/cluster_668 deleted file mode 100644 index 8ad9c70..0000000 --- a/seq/clusters_info/cluster_668 +++ /dev/null @@ -1,6 +0,0 @@ -YP_173239.1 non-structural protein [Human coronavirus HKU1] -YP_009113027.1 non-structural protein NS5 [Betacoronavirus HKU24] -YP_209235.1 accessory protein 5a [Murine hepatitis virus strain JHM] -YP_009824984.1 ORF5a protein [Murine hepatitis virus] -sp|Q0ZME6.1|NS12_CVHN5 RecName: Full=Non-structural protein 4; Short=ns4; AltName: Full=Accessory protein 4; AltName: Full=Non-structural protein of 12.5 kDa; Short=ns12.5; AltName: Full=Orf4 protein -sp|Q9IKC9.1|NS12_CVRSD RecName: Full=Non-structural protein of 12.7 kDa; Short=ns12.7; AltName: Full=12.7 kDa accessory protein diff --git a/seq/clusters_info/cluster_669 b/seq/clusters_info/cluster_669 deleted file mode 100644 index 6c288a3..0000000 --- a/seq/clusters_info/cluster_669 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009113026.1 non-structural protein NS4 [Betacoronavirus HKU24] -YP_003029849.1 15 kD non-structural protein [Rat coronavirus Parker] -YP_209234.1 accessory protein 4 [Murine hepatitis virus strain JHM] -sp|P0C5A8.1|NS4_CVMA5 RecName: Full=Non-structural protein 4; Short=ns4; AltName: Full=Accessory protein 4 -sp|Q9IKD0.1|NS4_CVRSD RecName: Full=Non-structural protein 4; AltName: Full=15 kDa non-structural protein; AltName: Full=Accessory protein 4; AltName: Full=ns4 -sp|P29075.1|NS4_CVMS RecName: Full=Non-structural protein 4; Short=ns4; AltName: Full=Accessory protein 4 diff --git a/seq/clusters_info/cluster_67 b/seq/clusters_info/cluster_67 deleted file mode 100644 index 89a23da..0000000 --- a/seq/clusters_info/cluster_67 +++ /dev/null @@ -1,50 +0,0 @@ -YP_010088114.1 6K2 [Platycodon mild mottle virus] -YP_009667119.1 6K2 protein [Tuberose mild mottle virus] -YP_009667066.1 6K2 [Iris mild mosaic virus] -YP_009509103.1 6K2 [Daphne virus Y] -YP_009466010.1 6K2 [Hyacinth mosaic virus] -YP_009389255.1 6K2 [Cucurbit vein banding virus] -YP_009310045.1 6K2 [Euphorbia ringspot virus] -YP_009272675.1 6K2 [Callistephus mottle virus] -YP_009259517.1 6K2 protein [Wild onion symptomless virus] -YP_009221988.1 6K2 protein [Jasmine virus T] -YP_009126866.1 6K2 protein [Tamarillo leaf malformation virus] -YP_009010963.1 6K2 [Narcissus late season yellows virus] -YP_008719793.1 6K2 protein [Pokeweed mosaic virus] -YP_008320587.1 second 6-kDa protein [Habenaria mosaic virus] -YP_007969889.1 6K2 [Donkey orchid virus A] -YP_007001279.1 6K2 [Ornithogalum mosaic virus] -YP_006493339.1 6K2 [Sweet potato virus G] -YP_006438193.1 6K2 protein [Sweet potato virus 2] -YP_006423990.1 6K2 protein [Chilli ringspot virus] -YP_006423978.1 6K2 protein [Celery mosaic virus] -YP_006390062.1 6K2 [Hippeastrum mosaic virus] -YP_006280736.1 6K2 protein [Tomato necrotic stunt virus] -YP_004123947.1 6K2 [Lupinus mosaic virus] -YP_004123959.1 6K2 [Apium virus Y] -YP_004046676.1 6K2 [Sweet potato virus C] -YP_003725724.1 6K2 [Panax virus Y] -YP_003717812.1 6K2 [Freesia mosaic virus] -YP_002539447.1 6K2 [Pepper veinal mottle virus] -YP_002308560.1 6K2 protein [Narcissus yellow stripe virus] -YP_001816851.1 6K2 protein [Wild tomato mosaic virus] -YP_001552417.1 6K2 [Tobacco vein banding mosaic virus] -YP_611116.1 6K2 protein [Daphne mosaic virus] -YP_529495.1 6K2 protein [Konjac mosaic virus] -YP_331419.1 6K2 protein [Shallot yellow stripe virus] -YP_022757.1 6K2 protein [Yam mosaic virus] -NP_945141.1 6K2 protein [Lily mottle virus] -NP_871733.1 6K2 [Papaya leaf distortion mosaic virus] -NP_734394.1 6K2 protein [Cocksfoot streak virus] -NP_734128.1 6K2 protein [Scallion mosaic virus] -NP_734098.1 6K2 protein [Leek yellow stripe virus] -NP_734228.1 6K2 protein [Japanese yam mosaic virus] -NP_734414.1 6K2 protein [Peanut mottle virus] -NP_734314.1 6K2 protein [Sweet potato feathery mottle virus] -NP_734218.1 6K2 protein [Turnip mosaic virus] -NP_734332.1 6K2 protein [Tobacco vein mottling virus] -NP_734203.1 6K2 protein [Tobacco etch virus] -NP_734364.1 6K2 protein [Potato virus A] -NP_734344.1 6K2 protein [Plum pox virus] -NP_734424.1 6K2 protein [Pea seed-borne mosaic virus] -NP_734158.1 6K2 protein [Lettuce mosaic virus] diff --git a/seq/clusters_info/cluster_670 b/seq/clusters_info/cluster_670 deleted file mode 100644 index ea0d191..0000000 --- a/seq/clusters_info/cluster_670 +++ /dev/null @@ -1,6 +0,0 @@ -NP_150078.1 4.9 kDa non-structural protein [Bovine coronavirus] -sp|P0C2R7.1|NS49_CVBON RecName: Full=Truncated non-structural protein of 4.9 kDa; Short=Truncated ns4.9; AltName: Full=Truncated 4.9 kDa accessory protein -sp|Q9QAS1.1|NS49_CVBLY RecName: Full=Non-structural protein of 4.9 kDa; Short=ns4.9; AltName: Full=4.9 kDa accessory protein -sp|Q9QAR4.1|NS49_CVBLS RecName: Full=Truncated non-structural protein of 4.9 kDa; Short=Truncated ns4.9; AltName: Full=Truncated 4.9 kDa accessory protein -sp|Q9QAQ7.1|NS49_CVBOK RecName: Full=Non-structural protein of 4.9 kDa; Short=ns4.9; AltName: Full=4.9 kDa accessory protein -sp|Q8V435.1|NS49_CVBLU RecName: Full=Truncated non-structural protein of 4.9 kDa; Short=Truncated ns4.9; AltName: Full=Truncated 4.9 kDa accessory protein diff --git a/seq/clusters_info/cluster_671 b/seq/clusters_info/cluster_671 deleted file mode 100644 index 8d06432..0000000 --- a/seq/clusters_info/cluster_671 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009407905.1 RNA-dependent RNA polymerase [Lasius neglectus virus 1] -YP_009407943.1 RNA-dependent RNA polymerase, partial [Lasius niger virus 1] -YP_009407937.1 RNA-dependent RNA polymerase [Solenopsis invicta virus 4] -YP_009407949.1 RNA-dependent RNA polymerase [Myrmica scabrinodis virus 1] -YP_009513239.1 RNA-dependent RNA polymerase [Solenopsis invicta virus 2] -YP_009337002.1 hypothetical protein 4 [Shuangao insect virus 8] diff --git a/seq/clusters_info/cluster_672 b/seq/clusters_info/cluster_672 deleted file mode 100644 index 77ebf2a..0000000 --- a/seq/clusters_info/cluster_672 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009407904.1 putative capsid protein [Lasius neglectus virus 1] -YP_009407942.1 putative capsid protein [Lasius niger virus 1] -YP_009407936.1 putative capsid protein [Solenopsis invicta virus 4] -YP_009407948.1 putative capsid protein [Myrmica scabrinodis virus 1] -YP_009513238.1 putative capsid protein [Solenopsis invicta virus 2] -YP_009337001.1 hypothetical protein 3 [Shuangao insect virus 8] diff --git a/seq/clusters_info/cluster_673 b/seq/clusters_info/cluster_673 deleted file mode 100644 index 6b4514c..0000000 --- a/seq/clusters_info/cluster_673 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009407900.1 putative capsid protein [Lasius neglectus virus 1] -YP_009407938.1 putative capsid protein [Lasius niger virus 1] -YP_009407932.1 putative capsid protein [Solenopsis invicta virus 4] -YP_009407944.1 putative capsid protein [Myrmica scabrinodis virus 1] -YP_009513234.1 putative capsid protein [Solenopsis invicta virus 2] -YP_009336999.1 hypothetical protein 1 [Shuangao insect virus 8] diff --git a/seq/clusters_info/cluster_674 b/seq/clusters_info/cluster_674 deleted file mode 100644 index 7cdbe61..0000000 --- a/seq/clusters_info/cluster_674 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009824964.1 nucleocapsid protein [Rodent arterivirus] -YP_009551710.1 nucleocapsid protein [Rodent arterivirus] -YP_009667154.1 nucleocapsid protein [Lelystad virus] -YP_009337031.1 N [Rat arterivirus 1] -YP_009214666.1 nucleocapsid protein [Rat arterivirus 1] -YP_009505555.1 N nucleocapsid protein [Porcine reproductive and respiratory syndrome virus 2] diff --git a/seq/clusters_info/cluster_675 b/seq/clusters_info/cluster_675 deleted file mode 100644 index 75d1f5c..0000000 --- a/seq/clusters_info/cluster_675 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009755886.1 hypothetical protein [Guangdong red-banded snake torovirus] -YP_009755847.1 membrane protein [Bellinger River virus] -YP_009666303.1 M protein [Xinzhou nematode virus 6] -YP_009666263.1 membrane protein [Shingleback nidovirus 1] -YP_009408173.1 putative membrane protein [Morelia viridis nidovirus] -YP_009052479.1 putative membrane protein [Ball python nidovirus 1] diff --git a/seq/clusters_info/cluster_676 b/seq/clusters_info/cluster_676 deleted file mode 100644 index ef58247..0000000 --- a/seq/clusters_info/cluster_676 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009711348.1 P1a-P1b [Beet western yellows ST9 associated virus] -YP_009121770.1 RNA-dependent RNA polymerase [Cucurbit aphid borne yellows virus associated RNA] -NP_624318.1 putative RNA dependent RNA polymerase [Carrot red leaf luteovirus associated RNA] -AJM87503.1 RNA-dependent RNA polymerase [Carrot red leaf luteovirus associated RNA] -ABV01917.1 putative RNA-dependent RNA polymerase [Tobacco bushy top disease-associated RNA] -AJM87494.1 RNA-dependent RNA polymerase [Carrot red leaf luteovirus associated RNA] diff --git a/seq/clusters_info/cluster_677 b/seq/clusters_info/cluster_677 deleted file mode 100644 index d81e4f2..0000000 --- a/seq/clusters_info/cluster_677 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009551621.1 VP7 [Guangxi orbivirus] -YP_009507686.1 VP7 protein [Corriparta virus] -YP_009047265.1 VP7 [Umatilla virus] -YP_008719909.1 VP7 [Mobuck virus] -YP_460044.1 VP7 [Peruvian horse sickness virus] -YP_443932.1 VP7 [Yunnan orbivirus] diff --git a/seq/clusters_info/cluster_678 b/seq/clusters_info/cluster_678 deleted file mode 100644 index 0a70fd7..0000000 --- a/seq/clusters_info/cluster_678 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507685.1 NS2 protein [Corriparta virus] -YP_009158908.1 viral inclusion body protein [Chobar Gorge virus] -YP_009158895.1 viral inclusion body protein [Chenuda virus] -YP_009158888.1 viral inclusion body protein [Wad Medani virus] -YP_009047264.1 NS2 [Umatilla virus] -YP_003896065.1 NS2 [Great Island virus] diff --git a/seq/clusters_info/cluster_679 b/seq/clusters_info/cluster_679 deleted file mode 100644 index 80ac7fe..0000000 --- a/seq/clusters_info/cluster_679 +++ /dev/null @@ -1,6 +0,0 @@ -YP_611152.1 movement protein [Prune dwarf virus] -YP_164804.1 movement protein [Fragaria chiloensis latent virus] -NP_041194.1 32.4 kd protein [Alfalfa mosaic virus] -sp|P24265.1|MVP_AMVYS RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|P03595.1|MVP_AMVLE RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -sp|P03596.1|MVP_AMVST RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A diff --git a/seq/clusters_info/cluster_68 b/seq/clusters_info/cluster_68 deleted file mode 100644 index 35a7337..0000000 --- a/seq/clusters_info/cluster_68 +++ /dev/null @@ -1,50 +0,0 @@ -YP_009553016.2 coat protein [Opuntia virus 2] -YP_009665961.1 coat protein [Sunn-hemp mosaic virus] -YP_009362090.1 CP [Hoya chlorotic spot virus] -YP_009507843.1 coat protein [Tobacco latent virus] -YP_009254750.1 coat protein [Tropical soda apple mosaic virus] -YP_009182171.1 17.5 kDa coat protein [Tomato brown rugose fruit virus] -YP_009130656.1 coat protein [Plumeria mosaic virus] -YP_009094326.1 18 kDa coat protein [Hibiscus latent Fort Pierce virus] -YP_720000.2 coat protein [Hibiscus latent Singapore virus] -YP_008802587.1 coat protein [Yellow tailflower mild mottle virus] -YP_008492931.1 17.7 kDa coat protein [Tomato mottle mosaic virus] -YP_005476603.1 coat protein [Ribgrass mosaic virus] -YP_004956730.1 unnamed protein product [Clitoria yellow mottle virus] -YP_004936169.1 CP gene product [Rattail cactus necrosis-associated virus] -YP_004465361.1 unnamed protein product [Passion fruit mosaic virus] -YP_003915156.1 18 kDa coat protein [Frangipani mosaic virus] -YP_002455907.1 18 kDa [Cactus mild mottle virus] -YP_001974326.1 coat protein [Brugmansia mild mottle virus] -YP_001333653.1 coat protein [Bell pepper mottle virus] -YP_001041892.1 17.5 kDa protein [Rehmannia mosaic virus] -YP_950424.1 18 kDa coat protein [Maracuja mosaic virus] -YP_908763.1 18 kDa coat protein [Cucumber mottle virus] -YP_762620.1 coat protein [Streptocarpus flower break virus] -NP_740759.1 coat protein [Youcai mosaic virus] -NP_671721.1 17kDa protein [Paprika mild mottle virus] -NP_620844.1 coat protein [Obuda pepper virus] -NP_619743.1 coat protein [Pepper mild mottle virus] -NP_597750.1 Coat protein [Tobacco mosaic virus] -NP_543052.1 unnamed protein product [Wasabi mottle virus] -NP_078449.1 unnamed protein product [Tomato mosaic virus] -NP_062916.1 coat protein [Tobacco mild green mosaic virus] -NP_046154.1 unnamed protein product [Turnip vein-clearing virus] -NP_044580.1 coat protein [Cucumber green mottle mosaic virus] -NP_056812.1 18KDa coat protein [Odontoglossum ringspot virus] -sp|P03575.2|CAPSD_TMVDA RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|P03574.2|CAPSD_TMV06 RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|P03573.2|CAPSD_TMVER RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|P03571.2|CAPSD_TMVOM RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q9WDG5.3|CAPSD_PMMV2 RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q9WDG4.3|CAPSD_PMMV1 RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q9WDG3.3|CAPSD_PMMV0 RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q84843.3|CAPSD_PMMVI RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q84842.3|CAPSD_PMMV RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q9Q1T6.3|CAPSD_TOMK1 RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|P89677.3|CAPSD_TOMK2 RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q9WDG7.3|CAPSD_RMVCA RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q98747.3|CAPSD_TMVRA RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|Q9QEE3.3|CAPSD_RMV RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|P03578.3|CAPSD_ORSVJ RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|P03580.3|CAPSD_RMVHR RecName: Full=Capsid protein; AltName: Full=Coat protein diff --git a/seq/clusters_info/cluster_680 b/seq/clusters_info/cluster_680 deleted file mode 100644 index 13ed95e..0000000 --- a/seq/clusters_info/cluster_680 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009553680.1 fusion protein [Ustilaginoidea virens nonsegmented virus 2] -YP_009553682.1 RNA-dependent RNA polymerase [Ustilaginoidea virens nonsegmented virus 2] -AIE77248.1 putative RNA-dependent RNA polymerase [Ustilaginoidea virens nonsegmented virus 1] -AOO52900.1 fusion protein [Purpureocillium lilacinum nonsegmented virus 1] -ALR87111.1 RNA-dependent RNA polymerase [Nigrospora oryzae unassigned RNA virus 1] -BAA25883.1 RNA-dependent RNA polymerase, partial [Bryopsis mitochondria-associated dsRNA] diff --git a/seq/clusters_info/cluster_681 b/seq/clusters_info/cluster_681 deleted file mode 100644 index 2aa5b89..0000000 --- a/seq/clusters_info/cluster_681 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009177217.1 RNA-dependent RNA polymerase [Colletotrichum higginsianum non-segmented dsRNA virus 1] -YP_009154711.1 RNA-dependent RNA polymerase [Beauveria bassiana RNA virus 1] -YP_009154709.1 RNA-dependent RNA polymerase [Ustilaginoidea virens unassigned RNA virus HNND-1] -YP_009094186.1 RNA directed RNA polymerase [Ustilaginoidea virens RNA virus M] -YP_009052469.1 RNA-dependent RNA polymerase [Alternaria longipes dsRNA virus 1] -ALO50135.1 67 kDa protein [Penicillium janczewskii Beauveria bassiana-like virus 1] diff --git a/seq/clusters_info/cluster_682 b/seq/clusters_info/cluster_682 deleted file mode 100644 index d8331c7..0000000 --- a/seq/clusters_info/cluster_682 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009553663.1 HSP90 [Blackcurrant leafroll-associated virus 1] -YP_009553644.1 61 kDa protein [Blackcurrant-associated closterovirus 1] -YP_009553249.1 HSP90 [Blackcurrant leafroll-associated virus 1] -YP_009552060.1 HSP90 [Blackcurrant leafroll-associated virus 1] -YP_009058933.1 putative protein p58 [Rose leaf rosette-associated virus] -NP_042865.1 61-kDa protein [Citrus tristeza virus] diff --git a/seq/clusters_info/cluster_683 b/seq/clusters_info/cluster_683 deleted file mode 100644 index 3f3c1b0..0000000 --- a/seq/clusters_info/cluster_683 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009553504.1 movement protein [Actinidia seed borne latent virus] -YP_009553027.1 movement protein [Salvia divinorum RNA virus 1] -YP_009505633.1 movement protein [Caucasus prunus virus] -YP_009357236.1 movement protein [Watermelon virus A] -YP_008997791.1 movement protein [Apricot vein clearing associated virus] -NP_624334.1 movement protein [Citrus leaf blotch virus] diff --git a/seq/clusters_info/cluster_684 b/seq/clusters_info/cluster_684 deleted file mode 100644 index fe1100a..0000000 --- a/seq/clusters_info/cluster_684 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009553335.1 coat protein [Diatom colony associated dsRNA virus 3] -YP_009552790.1 coat protein [Diatom colony associated dsRNA virus 6] -YP_009552788.1 coat protein [Diatom colony associated dsRNA virus 5] -YP_009551610.1 coat protein [Diatom colony associated dsRNA virus 9 genome type A] -YP_009551608.1 coat protein [Diatom colony associated dsRNA virus 4 genome type B] -YP_009551556.1 coat protein [Diatom colony associated dsRNA virus 4 genome type A] diff --git a/seq/clusters_info/cluster_685 b/seq/clusters_info/cluster_685 deleted file mode 100644 index dae61e6..0000000 --- a/seq/clusters_info/cluster_685 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009551999.1 coat protein [Arracacha virus 1] -YP_009664810.1 coat protein [Beet yellow stunt virus] -YP_008858536.1 CP [Carnation yellow fleck virus] -YP_337917.1 major capsid protein [Grapevine leafroll-associated virus 2] -NP_835250.1 coat protein [Grapevine rootstock stem lesion associated virus] -NP_041875.1 coat protein [Beet yellows virus] diff --git a/seq/clusters_info/cluster_686 b/seq/clusters_info/cluster_686 deleted file mode 100644 index f424c6b..0000000 --- a/seq/clusters_info/cluster_686 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009551998.1 coat-like protein [Arracacha virus 1] -YP_009664809.1 p25 [Beet yellow stunt virus] -YP_008858535.1 CPm [Carnation yellow fleck virus] -YP_337916.1 minor capsid protein [Grapevine leafroll-associated virus 2] -NP_835249.1 coat protein duplicate [Grapevine rootstock stem lesion associated virus] -NP_041874.1 coat protein homolog [Beet yellows virus] diff --git a/seq/clusters_info/cluster_687 b/seq/clusters_info/cluster_687 deleted file mode 100644 index ccb6377..0000000 --- a/seq/clusters_info/cluster_687 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009665978.1 TGBp3 [Drakaea virus A] -YP_009508257.1 beta-C protein [Lychnis ringspot virus] -YP_009220373.1 triple gene block protein 3 [Colombian potato soil-borne virus] -NP_740766.1 unnamed protein product [Broad bean necrosis virus] -NP_620440.1 triple-gene-block protein 3 [Potato mop-top virus] -NP_612613.1 Third triple gene block protein [Beet virus Q] diff --git a/seq/clusters_info/cluster_688 b/seq/clusters_info/cluster_688 deleted file mode 100644 index ea1c8d9..0000000 --- a/seq/clusters_info/cluster_688 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009665960.1 30 kDa protein [Sunn-hemp mosaic virus] -YP_009094325.1 31 kDa movement protein [Hibiscus latent Fort Pierce virus] -YP_719999.2 movement protein [Hibiscus latent Singapore virus] -YP_004956729.1 unnamed protein product [Clitoria yellow mottle virus] -YP_004936168.1 MP gene product [Rattail cactus necrosis-associated virus] -YP_002455906.1 33 kDa [Cactus mild mottle virus] diff --git a/seq/clusters_info/cluster_689 b/seq/clusters_info/cluster_689 deleted file mode 100644 index 469e8ee..0000000 --- a/seq/clusters_info/cluster_689 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009163756.1 nonstructural protein NS4b [Spanish goat encephalitis virus] -NP_932092.1 non-structural protein NS4b, partial [Omsk hemorrhagic fever virus] -NP_775510.1 non-structural protein NS4b [Tick-borne encephalitis virus] -NP_775523.1 non-structural protein NS4b [Powassan virus] -NP_740728.1 nonstructural protein NS4b [Louping ill virus] -NP_740301.1 nonstructural protein NS4b [Langat virus] diff --git a/seq/clusters_info/cluster_69 b/seq/clusters_info/cluster_69 deleted file mode 100644 index 642a3b3..0000000 --- a/seq/clusters_info/cluster_69 +++ /dev/null @@ -1,50 +0,0 @@ -NP_057857.2 Nef [Human immunodeficiency virus 1] -NP_758893.1 nef protein [Simian immunodeficiency virus SIV-mnd 2] -sp|P03404.4|NEF_HV1B1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q1A260.3|NEF_SIVMB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q1A242.3|NEF_SIVEK RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9QBZ3.3|NEF_HV1MP RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9QBY9.3|NEF_HV1M2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9QBZ7.2|NEF_HV197 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9QBY1.3|NEF_HV196 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q89842.3|NEF_HV1B9 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9WC70.3|NEF_HV1S9 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9WC61.3|NEF_HV1S2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9QSQ6.3|NEF_HV1VI RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9Q713.3|NEF_HV1V9 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9IDV1.3|NEF_HV1YB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q8AIH4.3|NEF_SIVTN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|Q79671.3|NEF_HV1MV RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q77378.3|NEF_HV1AN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q75009.3|NEF_HV1ET RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|O91087.3|NEF_HV1YF RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|O89945.3|NEF_HV1SE RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|O89293.3|NEF_HV193 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|O70903.3|NEF_HV190 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|O41804.3|NEF_HV19N RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|O12165.3|NEF_HV192 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P05857.4|NEF_HV1SC RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P05856.4|NEF_HV1MN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P05854.4|NEF_HV1H3 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q9QPN3.3|NEF_HV1LA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|Q70627.3|NEF_HV1LW RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P35959.3|NEF_HV1Y2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P17664.3|NEF_SIVCZ RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P04602.3|NEF_HV1Z6 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P24741.3|NEF_HV1U4 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P05858.3|NEF_HV1RH RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P20886.3|NEF_HV1OY RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P04603.3|NEF_HV1MA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P18801.3|NEF_HV1ND RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P12480.3|NEF_HV1J3 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -sp|P12479.3|NEF_HV1BN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P05859.3|NEF_HV1ZH RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P19546.3|NEF_HV1S1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P19545.3|NEF_HV1S3 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P04604.3|NEF_HV1EL RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P20867.3|NEF_HV1JR RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P03406.3|NEF_HV1BR RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P03407.3|NEF_HV1A2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P05855.3|NEF_HV1B8 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P12478.3|NEF_HV1Z2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -sp|P22378.3|NEF_SIVGB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein diff --git a/seq/clusters_info/cluster_690 b/seq/clusters_info/cluster_690 deleted file mode 100644 index e3c5807..0000000 --- a/seq/clusters_info/cluster_690 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009666008.1 24.1 kDa protein [Pineapple mealybug wilt-associated virus 3] -YP_004935380.1 unnamed protein product [Grapevine leafroll-associated virus 6] -YP_004935374.1 unnamed protein product [Grapevine leafroll-associated virus 4] -YP_004901692.1 CPm gene product [Grapevine leafroll-associated virus 5] -YP_002364308.1 hypothetical protein [Grapevine leafroll-associated virus 10] -YP_001642342.1 hypothetical protein [Pineapple mealybug wilt-associated virus 1] diff --git a/seq/clusters_info/cluster_691 b/seq/clusters_info/cluster_691 deleted file mode 100644 index 7c853b5..0000000 --- a/seq/clusters_info/cluster_691 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009665966.1 putative RNA polymerase, partial [Ranunculus white mottle virus] -YP_009449565.1 RNA-dependent RNA polymerase [Blueberry mosaic associated virus] -YP_089661.1 280 kDa protein [Citrus psorosis virus] -YP_053236.1 261 kDa protein [Lettuce ring necrosis virus] -sp|Q8BCV9.1|L_MILVL RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase; Includes: RecName: Full=mRNA guanylyltransferase -BAV13384.1 RNA-dependent RNA polymerase [Blueberry mosaic associated virus] diff --git a/seq/clusters_info/cluster_692 b/seq/clusters_info/cluster_692 deleted file mode 100644 index 63119b0..0000000 --- a/seq/clusters_info/cluster_692 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009665186.1 long-distance movement protein [Tobacco mottle virus] -YP_009162615.1 putative phloem RNA movement protein [Opium poppy mosaic virus] -YP_009056850.1 long-distance movement protein [Ethiopian tobacco bushy top virus] -NP_733849.1 hypothetical protein TBTVgp3 [Tobacco bushy top virus] -NP_620847.1 long-distance movement protein [Pea enation mosaic virus 2] -NP_619660.1 orf3 [Groundnut rosette virus] diff --git a/seq/clusters_info/cluster_693 b/seq/clusters_info/cluster_693 deleted file mode 100644 index e405761..0000000 --- a/seq/clusters_info/cluster_693 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507790.1 gag [Puma lentivirus 14] -NP_040972.1 gag protein [Feline immunodeficiency virus] -NP_056901.1 gag protein [Equine infectious anemia virus] -sp|Q05313.1|GAG_FIVWO RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=p1; Contains: RecName: Full=Nucleocapsid protein p13; Short=NC -sp|P31821.1|GAG_FIVT2 RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=p1; Contains: RecName: Full=Nucleocapsid protein p13; Short=NC -sp|P19027.1|GAG_FIVSD RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=p1; Contains: RecName: Full=Nucleocapsid protein p13; Short=NC diff --git a/seq/clusters_info/cluster_694 b/seq/clusters_info/cluster_694 deleted file mode 100644 index 5fd4c8e..0000000 --- a/seq/clusters_info/cluster_694 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009163752.1 nonstructural protein NS2a [Spanish goat encephalitis virus] -NP_932087.1 non-structural protein NS2a, partial [Omsk hemorrhagic fever virus] -NP_775505.1 non-structural protein NS2a [Tick-borne encephalitis virus] -NP_775518.1 non-structural protein NS2a [Powassan virus] -NP_740724.1 nonstructural protein NS2a [Louping ill virus] -NP_740297.1 nonstructural protein NS2a [Langat virus] diff --git a/seq/clusters_info/cluster_695 b/seq/clusters_info/cluster_695 deleted file mode 100644 index 85ece2e..0000000 --- a/seq/clusters_info/cluster_695 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009163753.1 nonstructural protein NS2b [Spanish goat encephalitis virus] -NP_932088.1 non-structural protein NS2b, partial [Omsk hemorrhagic fever virus] -NP_775506.1 non-structural protein NS2b [Tick-borne encephalitis virus] -NP_775519.1 non-structural protein NS2b [Powassan virus] -NP_740725.1 nonstructural protein NS2b [Louping ill virus] -NP_740298.1 nonstructural protein NS2b [Langat virus] diff --git a/seq/clusters_info/cluster_696 b/seq/clusters_info/cluster_696 deleted file mode 100644 index a53e613..0000000 --- a/seq/clusters_info/cluster_696 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009163747.1 capsid protein [Spanish goat encephalitis virus] -NP_932082.1 anchored core protein C, partial [Omsk hemorrhagic fever virus] -NP_775500.1 anchored core protein C [Tick-borne encephalitis virus] -NP_775513.1 anchored core protein C [Powassan virus] -NP_740722.1 putative enchored capsid (core) protein [Louping ill virus] -NP_740293.1 capsid protein [Langat virus] diff --git a/seq/clusters_info/cluster_697 b/seq/clusters_info/cluster_697 deleted file mode 100644 index a7182c8..0000000 --- a/seq/clusters_info/cluster_697 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009508465.1 Z protein [University of Giessen virus] -YP_009508469.1 Z-protein [Tavallinen suomalainen mies virus] -YP_009019202.1 Z protein [University of Helsinki virus] -YP_009019196.1 Z protein [ROUT virus] -YP_006590092.1 Z protein [CAS virus] -YP_006590088.1 Z protein [Golden Gate virus] diff --git a/seq/clusters_info/cluster_698 b/seq/clusters_info/cluster_698 deleted file mode 100644 index cb0900c..0000000 --- a/seq/clusters_info/cluster_698 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009508464.1 NP [University of Giessen virus] -YP_009508468.1 nucleoprotein [Tavallinen suomalainen mies virus] -YP_009019205.1 nucleoprotein [University of Helsinki virus] -YP_009019195.1 nucleocapsid protein [ROUT virus] -YP_006590091.1 nucleoprotein [Golden Gate virus] -YP_006590087.1 nucleoprotein [CAS virus] diff --git a/seq/clusters_info/cluster_699 b/seq/clusters_info/cluster_699 deleted file mode 100644 index 3b78478..0000000 --- a/seq/clusters_info/cluster_699 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009508463.1 GPC [University of Giessen virus] -YP_009508467.1 glycoprotein precursor [Tavallinen suomalainen mies virus] -YP_009019204.1 glycoprotein [University of Helsinki virus] -YP_009019194.1 glycoprotein [ROUT virus] -YP_006590090.1 glycoprotein [Golden Gate virus] -YP_006590086.1 glycoprotein [CAS virus] diff --git a/seq/clusters_info/cluster_7 b/seq/clusters_info/cluster_7 deleted file mode 100644 index cf0d68c..0000000 --- a/seq/clusters_info/cluster_7 +++ /dev/null @@ -1,112 +0,0 @@ -YP_010087203.1 P1-P2 fusion protein [Pumpkin polerovirus] -YP_010087204.1 P1 [Pumpkin polerovirus] -YP_010084332.1 P1 [Pepper vein yellows virus 2] -YP_010086856.1 P1 protein [Faba bean polerovirus 1] -YP_010086855.1 RNA-dependent RNA polymerase [Faba bean polerovirus 1] -YP_009198211.2 RNA-dependent RNA polymerase [Phasey bean mild yellows virus] -YP_009198212.1 P1 protein [Phasey bean mild yellows virus] -YP_004869991.1 P1-2 fusion protein [Brassica yellows virus] -YP_004869992.1 P1 [Brassica yellows virus] -YP_009351865.1 P1-P2 [African eggplant yellowing virus] -YP_009351866.1 P1 [African eggplant yellowing virus] -NP_620026.3 RNA-dependent RNA polymerase [Pea enation mosaic virus 1] -YP_009373263.2 RNA-dependent RNA polymerase [Grapevine enamovirus 1] -YP_009249823.2 RNA-dependent RNA polymerase [Alfalfa enamovirus 1] -YP_009465710.1 replicase polyprotein [Pepper enamovirus] -YP_009455740.1 P2 [Pepper vein yellows virus 5] -YP_009455741.1 P1 [Pepper vein yellows virus 5] -YP_009407908.1 P1 protein [Wheat leaf yellowing-associated virus] -YP_009407907.1 P1-P2 fusion protein [Wheat leaf yellowing-associated virus] -YP_009373264.1 P1 protein [Grapevine enamovirus 1] -YP_009352889.1 ORF2 [Tobacco virus 2] -YP_009352888.1 ORF1 [Tobacco virus 2] -YP_009352253.1 P1 protein [Cowpea polerovirus 2] -YP_009352252.1 P1-P2 fusion protein [Cowpea polerovirus 2] -YP_009352246.1 P1 protein [Cowpea polerovirus 1] -YP_009352245.1 P1-P2 fusion protein [Cowpea polerovirus 1] -YP_009337731.1 hypothetical protein 3 [Hubei polero-like virus 2] -YP_009337730.1 hypothetical protein 2 [Hubei polero-like virus 2] -YP_009337098.1 hypothetical protein 2 [Changjiang polero-like virus 1] -YP_009337097.1 hypothetical protein 1 [Changjiang polero-like virus 1] -YP_009336800.1 hypothetical protein 2 [Beihai sobemo-like virus 2] -YP_009330062.1 hypothetical protein 2 [Hubei polero-like virus 1] -YP_009330061.1 hypothetical protein 1 [Hubei polero-like virus 1] -YP_009330048.1 hypothetical protein 2 [Hubei sobemo-like virus 3] -YP_009330027.1 hypothetical protein 2 [Hubei sobemo-like virus 1] -YP_009330026.1 hypothetical protein 1 [Hubei sobemo-like virus 1] -YP_009315897.1 RNA-dependent RNA polymerase P1 protein [White clover mottle virus] -YP_009315896.1 RNA-dependent RNA polymerase [White clover mottle virus] -YP_009254738.1 P1-P2 fusion protein [Pepo aphid-borne yellows virus] -YP_009254739.1 P1 [Pepo aphid-borne yellows virus] -YP_009249824.1 hypothetical protein [Alfalfa enamovirus 1] -YP_009249568.1 P1 [Maize yellow dwarf virus-RMV2] -YP_009246452.1 P1 [Barley virus G] -YP_009246451.1 P1-P2 fusion protein [Barley virus G] -NP_050007.4 RNA-dependent RNA polymerase, partial [Sugarcane yellow leaf virus] -YP_009238502.1 putative protein P1 [Ixeridium yellow mottle virus 1] -YP_009238501.1 putative fusion protein P1-P2 [Ixeridium yellow mottle virus 1] -YP_009162334.1 P1 [Luffa aphid-borne yellows virus] -YP_009162333.1 P1-P2 [Luffa aphid-borne yellows virus] -YP_009111314.1 P1-P2 fusion protein [Sauropus yellowing virus] -YP_009111315.1 P1 [Sauropus yellowing virus] -YP_009100303.1 P1-P2 fusion protein [Strawberry polerovirus 1] -YP_009100304.1 P1 [Strawberry polerovirus 1] -YP_004207916.3 putative P1-P2 fusion protein [Pepper vein yellows virus] -YP_008130303.1 100 kDa protein [Citrus vein enation virus] -YP_008130302.1 replicase polyprotein [Citrus vein enation virus] -YP_008083739.1 P1-P2 fusion [Maize yellow dwarf virus RMV] -YP_008083740.1 P1 [Maize yellow dwarf virus RMV] -YP_003029839.2 RNA-dependent RNA polymerase [Wheat yellow dwarf virus-GPV] -YP_001931931.2 putative protein P2 [Tobacco vein distorting virus] -NP_620485.2 RNA-dependent RNA polymerase fusion protein [Turnip yellows virus] -YP_001949870.2 P1-P2 fusion protein [Melon aphid-borne yellows virus] -YP_667838.2 RNA-dependent RNA polymerase [Chickpea chlorotic stunt virus] -NP_620101.2 replicase fusion protein [Cucurbit aphid-borne yellows virus] -NP_840022.3 RNA-dependent RNA polymerase P1-P2 fusion protein [Cereal yellow dwarf virus RPV] -NP_054685.2 RNA-dependent RNA polymerase P1-P2 fusion protein [Cereal yellow dwarf virus RPS] -NP_840097.3 RNA-dependent RNA polymerase P1-P2 fusion protein [Beet western yellows virus] -YP_007417781.1 putative protein P1 [Beet chlorosis virus] -NP_620479.2 Fusion protein [Beet mild yellowing virus] -NP_114361.2 putative fusion protein P1-P2 [Beet chlorosis virus] -NP_056748.3 RNA-dependent RNA polymerase [Potato leafroll virus] -YP_006666506.1 P1-P2 fusion protein [Suakwa aphid-borne yellows virus] -YP_006666507.1 P1 [Suakwa aphid-borne yellows virus] -YP_004207917.1 putative P1 protein [Pepper vein yellows virus] -YP_003915149.1 P1 protein [Cotton leafroll dwarf virus] -YP_003915148.1 RNA-dependent RNA polymerase P1-P2 protein [Cotton leafroll dwarf virus] -YP_003029838.1 replicase [Wheat yellow dwarf virus-GPV] -YP_002308461.1 hypothetical protein PnCV_gp2 [Poinsettia latent virus] -YP_001949871.1 P1 [Melon aphid-borne yellows virus] -YP_001931930.1 putative protein P1 [Tobacco vein distorting virus] -YP_667839.1 P1 protein [Chickpea chlorotic stunt virus] -YP_077186.1 RNA-dependent RNA polymerase [Carrot red leaf virus] -YP_077187.1 p1 protein [Carrot red leaf virus] -NP_840096.1 RNA-dependent RNA polymerase P1 protein [Beet western yellows virus] -NP_840021.1 RNA-dependent RNA polymerase P1 [Cereal yellow dwarf virus RPV] -NP_620486.1 hypothetical protein [Turnip yellows virus] -NP_620102.1 hypothetical protein P2 [Cucurbit aphid-borne yellows virus] -NP_619736.1 hypothetical protein [Pea enation mosaic virus 1] -NP_612215.1 hypothetical protein P2 [Beet mild yellowing virus] -NP_054686.1 RNA-dependent RNA polymerase P1 [Cereal yellow dwarf virus RPS] -NP_050006.1 multifunctional protein [Sugarcane yellow leaf virus] -NP_056747.1 P1 protein [Potato leafroll virus] -sp|P11623.2|RDRP_PLRVW RecName: Full=Protein P1-P2; Contains: RecName: Full=Serine protease; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=69.6 kDa protein; Flags: Precursor -sp|P11622.1|P1_PLRVW RecName: Full=Protein P1; AltName: Full=69.7 kDa protein; AltName: Full=Genome-linked protein precursor; AltName: Full=Protein ORF1; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg/P1-C25; Flags: Precursor -sp|Q5NDM9.2|RDRP_PNLV RecName: Full=Protein P2-P3; Contains: RecName: Full=Serine protease; Contains: RecName: Full=RNA-directed RNA polymerase; Flags: Precursor -APG31666.1 RNA-dependent RNA polymerase fusion protein [Turnip yellows virus] -AQU42692.1 P1-P2 [African eggplant yellowing virus] -AEI55839.1 RNA dependent RNA polymerase [Chickpea chlorotic stunt virus] -ALR87185.2 RNA-dependent RNA polymerase [Phasey bean mild yellows virus] -BAP16754.1 P1 protein-P2 fusion protein [Beet western yellows virus] -AKS48297.1 P1-P2 [Luffa aphid-borne yellows virus] -ADB82793.1 RNA-directed RNA polymerase [Sugarcane yellow leaf virus] -CRL92746.1 RdRp, partial [Lettuce mild yellows virus] -ABP68690.1 RNA-dependent RNA polymerase [Cereal yellow dwarf virus RPV] -AAK49964.1 putative fusion protein P1-P2 [Beet chlorosis virus] -AKS03434.1 putative P1-P2 fusion protein [Pepper vein yellows virus] -CRL92755.1 RdRp, partial [Spinach yellows virus] -CRL92742.1 RdRp, partial [Lettuce yellows virus] -ADE48860.1 P1-P2 fusion protein [Cucurbit aphid-borne yellows virus] -AHA91815.1 ORF2 [Cassava Polero-like virus] -ANI26445.1 P1-P2 fusion protein [Maize yellow mosaic virus] -AAZ57426.1 P1-P2 [Beet mild yellowing virus] diff --git a/seq/clusters_info/cluster_70 b/seq/clusters_info/cluster_70 deleted file mode 100644 index eb57608..0000000 --- a/seq/clusters_info/cluster_70 +++ /dev/null @@ -1,49 +0,0 @@ -YP_009362041.1 nucleocapsid protein [Fugong virus] -YP_010088057.1 nucleocapsid [Tatenale orthohantavirus] -YP_010088055.1 nucleocapsid [Robina orthohantavirus] -YP_010086164.1 nucleocapsid protein, partial [Brno virus] -YP_009666522.1 nucleocapsid [Seewis virus] -YP_009666011.1 nucleocapsid protein [Necocli virus] -YP_009665159.1 nucleocapsid [Oxbow virus] -YP_009664869.1 nucleocapsid [Longquan virus] -YP_009664722.1 nucleocapsid protein [Asikkala orthohantavirus] -YP_009507251.1 nucleocapsid [Laibin virus] -YP_009505459.1 nucleocapsid protein [Asama virus] -YP_009362292.1 nucleocapsid protein [Maporal virus] -YP_009505595.1 nucleocapsid protein [Bayou orthohantavirus] -YP_009362287.1 nucleocapsid [Kenkeme virus] -YP_009362286.1 nucleoprotein [Imjin virus] -YP_009362103.1 nucleocapsid protein [Cano Delgadito orthohantavirus] -YP_009362102.1 nucleocapsid [Khabarovsk orthohantavirus] -YP_009362101.1 N protein [Sangassou orthohantavirus] -YP_009507844.1 nucleocapsid [Yakeshi virus] -YP_009507828.1 nucleocapsid [Rockport virus] -YP_009507332.1 nucleocapsid protein [Eothenomys miletus hantavirus LX309] -YP_009506656.1 nucleocapsid protein [Laguna Negra orthohantavirus] -YP_009506411.1 nucleocapsid protein [Hantavirus Fusong-Mf-682] -YP_009506354.1 nucleocapsid protein [El Moro Canyon orthohantavirus] -YP_009506272.1 nucleoprotein [Dabieshan virus] -YP_009506069.1 nucleocapsid protein [Choclo virus] -YP_009362283.1 nucleocapsid protein [Anjozorobe virus] -YP_009362056.1 nucleocapsid protein [Cao Bang orthohantavirus] -YP_009362031.1 nucleocapsid [Nova virus] -YP_009361851.1 nucleocapsid [Bowe virus] -YP_009361844.1 nucleocapsid protein [Jeju virus] -YP_009361842.1 nucleocapsid protein [Montano orthohantavirus] -YP_009361840.1 nucleocapsid protein [Bruges virus] -YP_009361846.1 nucleocapsid [Quezon virus] -YP_004928153.1 nucleocapsid protein [Tula orthohantavirus] -YP_001911121.1 nucleocapsid protein [Thottopalayam virus] -YP_138528.1 nucleocapsid protein [Hantavirus Z10] -NP_942556.1 nucleocapsid protein [Seoul orthohantavirus] -NP_942553.1 nucleocapsid protein [Dobrava-Belgrade orthohantavirus] -NP_941975.1 nucleocapsid protein [Sin Nombre orthohantavirus] -NP_941984.1 nucleocapsid protein [Puumala orthohantavirus] -NP_941977.1 hypothetical protein [Hantaan orthohantavirus] -NP_604471.1 nucleocapsid protein [Andes orthohantavirus] -sp|P41268.1|NCAP_PUUME RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P17881.1|NCAP_SEOUS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|Q07513.1|NCAP_PUUMU RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P41270.1|NCAP_PUUMP RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -sp|P41267.1|NCAP_PUUMB RecName: Full=Nucleocapsid protein; Short=Nucleoprotein -sp|P22047.1|NCAP_PHV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_700 b/seq/clusters_info/cluster_700 deleted file mode 100644 index 7738d87..0000000 --- a/seq/clusters_info/cluster_700 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009508079.1 37-kDa movement protein [Japanese soil-borne wheat mosaic virus] -NP_659021.1 37-kDa movement protein [Sorghum chlorotic spot virus] -NP_059515.1 movement protein [Chinese wheat mosaic virus] -NP_059512.1 putative movement protein [Oat golden stripe virus] -NP_059452.1 putative movement protein [Soil-borne cereal mosaic virus] -NP_049337.1 37 kDa protein [Soil-borne wheat mosaic virus] diff --git a/seq/clusters_info/cluster_701 b/seq/clusters_info/cluster_701 deleted file mode 100644 index 1391e0f..0000000 --- a/seq/clusters_info/cluster_701 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507903.1 nonstructural protein 1 [Maize stripe tenuivirus] -YP_009508260.1 putative [Echinochloa hoja blanca tenuivirus] -YP_009507911.1 cytoplasmic protein [Urochloa hoja blanca tenuivirus] -YP_009507896.1 NS3 protein [Iranian wheat stripe tenuivirus] -YP_009449447.1 NS3 protein [Rice hoja blanca tenuivirus] -NP_620547.1 nonstructural protein [Rice stripe tenuivirus] diff --git a/seq/clusters_info/cluster_702 b/seq/clusters_info/cluster_702 deleted file mode 100644 index fe1e328..0000000 --- a/seq/clusters_info/cluster_702 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009362138.1 hypothetical protein [Landjia virus] -YP_009362124.1 hypothetical protein [Manitoba virus] -YP_009512993.1 hypothetical protein [Flanders hapavirus] -YP_009505466.1 hypothetical protein [Mossuril virus] -YP_009361985.1 hypothetical protein [Kamese virus] -YP_009361955.1 hypothetical protein [Hart Park virus] diff --git a/seq/clusters_info/cluster_703 b/seq/clusters_info/cluster_703 deleted file mode 100644 index 991f64c..0000000 --- a/seq/clusters_info/cluster_703 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507915.1 114 kDa polyprotein [Cucurbit mild mosaic virus] -YP_008877635.1 polyprotein 2 [Lamium mild mosaic virus] -YP_002158823.1 unnamed protein product [Mikania micrantha mosaic virus] -NP_945135.1 polyprotein [Broad bean wilt virus 1] -NP_149013.1 polyprotein [Broad bean wilt virus 2] -sp|Q9Q2Q3.1|POL2_BBWV2 RecName: Full=RNA2 polyprotein; AltName: Full=119kDa protein; AltName: Full=Genome polyprotein M; Contains: RecName: Full=VP53; AltName: Full=53 kDa protein; Contains: RecName: Full=Movement protein; Short=MP; AltName: Full=37 kDa protein; AltName: Full=VP37; Contains: RecName: Full=Large capsid protein; Short=LCP; AltName: Full=44 kDa protein; Contains: RecName: Full=Small capsid protein; Short=SCP; AltName: Full=22 kDa protein diff --git a/seq/clusters_info/cluster_704 b/seq/clusters_info/cluster_704 deleted file mode 100644 index 6b8caa7..0000000 --- a/seq/clusters_info/cluster_704 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009508278.1 polypeptide Pns11 [Wound tumor virus] -YP_002790893.1 non-structural protein [Homalodisca vitripennis reovirus] -YP_001111370.1 hypothetical protein RGDV_sS11gp1 [Rice gall dwarf virus] -NP_620536.1 nonstructural protein [Rice dwarf virus] -sp|Q85434.1|VSR_RDVF RecName: Full=Suppressor of RNA-mediated gene silencing; AltName: Full=Non-structural protein 10; Short=Pns10 -sp|Q85447.1|VSR_RDVA RecName: Full=Suppressor of RNA-mediated gene silencing; AltName: Full=Non-structural protein 10; Short=Pns10 diff --git a/seq/clusters_info/cluster_705 b/seq/clusters_info/cluster_705 deleted file mode 100644 index 00a894f..0000000 --- a/seq/clusters_info/cluster_705 +++ /dev/null @@ -1,6 +0,0 @@ -YP_004222726.1 envelope protein [Avian leukemia virus] -YP_031678.1 Gag-env fusion protein [Avian endogenous retrovirus EAV-HP] -NP_597837.2 putative env protein gp37, partial [UR2 sarcoma virus] -NP_040548.1 envelope protein [Avian leukosis virus - RSA] -sp|P33498.1|ENV_AVIR3 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 85; Short=gp85; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 37; Short=gp37; Flags: Precursor -sp|P03396.1|ENV_RSVP RecName: Full=Envelope glycoprotein gp95; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 85; Short=gp85; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 37; Short=gp37; Flags: Precursor diff --git a/seq/clusters_info/cluster_706 b/seq/clusters_info/cluster_706 deleted file mode 100644 index beae58c..0000000 --- a/seq/clusters_info/cluster_706 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009509085.1 Vpg [Tomato mild mottle virus] -YP_007032443.1 VPg [Cassava brown streak virus] -YP_004063980.1 NIa-Vpg [Ugandan cassava brown streak virus] -YP_001788998.1 VPg [Squash vein yellowing virus] -YP_308883.1 NIa-VPg protein [Cucumber vein yellowing virus] -NP_734290.1 NIa-VPg protein [Sweet potato mild mottle virus] diff --git a/seq/clusters_info/cluster_707 b/seq/clusters_info/cluster_707 deleted file mode 100644 index 243c71c..0000000 --- a/seq/clusters_info/cluster_707 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507972.1 26.9-kDa protein [Tetterwort vein chlorosis virus] -YP_006522433.1 hypothetical 26-kDa protein [Cucurbit chlorotic yellows virus] -YP_003002364.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816781.1 p27 [Bean yellow disorder virus] -YP_054414.1 hypothetical protein PYVVs3_gp3 [Potato yellow vein virus] -NP_851578.1 p26 [Cucurbit yellow stunting disorder virus] diff --git a/seq/clusters_info/cluster_708 b/seq/clusters_info/cluster_708 deleted file mode 100644 index d9af335..0000000 --- a/seq/clusters_info/cluster_708 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009342289.1 hypothetical protein 2 [Wenzhou tombus-like virus 15] -YP_009337342.1 hypothetical protein 3 [Changjiang tombus-like virus 17] -YP_009337308.1 putative capsid protein [Wenzhou narna-like virus 5] -YP_009337199.1 hypothetical protein 3 [Changjiang tombus-like virus 8] -YP_009336791.1 hypothetical protein 3 [Hubei tombus-like virus 8] -YP_009336752.1 hypothetical protein 3 [Beihai tombus-like virus 1] diff --git a/seq/clusters_info/cluster_709 b/seq/clusters_info/cluster_709 deleted file mode 100644 index 3118146..0000000 --- a/seq/clusters_info/cluster_709 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507751.1 VP4 [Scophthalmus maximus reovirus] -YP_009507739.1 VP4 [Green River chinook virus] -YP_009351852.1 VP4 [Fall chinook aquareovirus] -YP_009259511.1 putative NTPase [Etheostoma fonticola aquareovirus] -YP_001837098.1 VP5 [American grass carp reovirus] -NP_938064.1 putative core protein NTPase/VP5 [Aquareovirus C] diff --git a/seq/clusters_info/cluster_71 b/seq/clusters_info/cluster_71 deleted file mode 100644 index 863c69e..0000000 --- a/seq/clusters_info/cluster_71 +++ /dev/null @@ -1,49 +0,0 @@ -YP_010085068.1 RNA polymerase [Facey's Paddock virus] -YP_009667053.1 RNA-dependent RNA polymerase [Shuni orthobunyavirus] -YP_009667042.1 RNA-dependent RNA polymerase [Patois virus] -YP_009666954.1 RNA-dependent RNA polymerase [Bellavista virus] -YP_009666945.1 RNA-dependent RNA polymerase [Enseada virus] -YP_009666926.1 RNA polymerase [Buttonwillow virus] -YP_009666923.1 RNA polymerase [Utinga virus] -YP_009666920.1 RNA polymerase [Mermet virus] -YP_009666917.1 RNA polymerase [Ingwavuma virus] -YP_009666911.1 RNA-dependent RNA polymerase [Schmallenberg virus] -YP_009666904.1 RNA polymerase [Jatobal virus] -YP_009666879.1 RNA-dependent RNA polymerase [Sango virus] -YP_009666875.1 RNA-dependent RNA polymerase [Sabo virus] -YP_009666872.1 RNA-dependent RNA polymerase [Peaton virus] -YP_009666888.1 RNA polymerase [Leanyer virus] -YP_009664555.1 RNA-dependent RNA polymerase, partial [Thimiri orthobunyavirus] -YP_009512923.1 RNA-dependent RNA polymerase [Tete orthobunyavirus] -YP_009362027.1 RNA-dependent RNA polymerase [Kibale virus] -YP_009362026.1 RNA-dependent RNA polymerase [Tai virus] -YP_009507879.1 RNA-dependent RNA polymerase [Guama virus] -YP_009507877.1 RNA-dependent RNA polymerase [Guajara orthobunyavirus] -YP_009507870.1 RNA-dependent RNA polymerase [Catu virus] -YP_009507866.1 RNA-dependent RNA polymerase [Bimiti virus] -YP_009507855.1 RNA-dependent RNA polymerase [Herbert virus strain F23/CI/2004] -YP_009362071.1 RNA-dependent RNA polymerase [Madrid virus] -YP_009362068.1 RNA-dependent RNA polymerase [Marituba virus] -YP_009362063.1 RNA-dependent RNA polymerase [Caraparu virus] -YP_009362054.1 RNA-dependent RNA polymerase [Capim virus] -YP_009362043.1 RNA-dependent RNA polymerase [Oriboca virus] -YP_009300681.1 RNA-dependent RNA polymerase [Shuangao Insect Virus 1] -YP_009028569.1 polymerase [Cat Que virus] -YP_008400138.1 polymerase [Brazoran virus] -YP_006590082.1 RNA-dependent RNA polymerase [Simbu orthobunyavirus] -YP_006590079.1 RNA-dependent RNA polymerase [Aino virus] -YP_006590076.1 RNA-dependent RNA polymerase [Shamonda orthobunyavirus] -YP_006590073.1 RNA-dependent RNA polymerase [Sathuperi orthobunyavirus] -YP_001497159.1 RNA-dependent RNA polymerase [Akabane virus] -NP_982304.1 RNA polymerase [Oropouche virus] -AGT15672.1 RNA-dependent RNA polymerase, partial [Sedlec virus] -AJT39489.1 polymerase [Oropouche virus] -AGW82153.1 RNA-dependent RNA polymerase [Orthobunyavirus FSL2923] -AHL27169.1 RNA-dependent RNA polymerase [Khurdun virus] -AFH96035.1 RNA-dependent RNA polymerase [Akabane virus] -AEE01391.1 RNA polymerase [Oyo virus] -APG79230.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 5] -AKO90169.1 RNA-dependent RNA polymerase [Matruh virus] -AGX32061.1 RNA-dependent RNA polymerase [Herbert herbevirus] -APM83098.1 RNA-dependent RNA polymerase [Mirim virus] -AKB96244.1 RNA-dependent RNA polymerase [Gumbo Limbo virus] diff --git a/seq/clusters_info/cluster_710 b/seq/clusters_info/cluster_710 deleted file mode 100644 index cf7b5ea..0000000 --- a/seq/clusters_info/cluster_710 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507772.1 Pns7-1 [Oat sterile dwarf virus] -YP_009480340.1 nonstructural protein [Maize rough dwarf virus] -YP_004021930.1 P7-1 [Southern rice black-streaked dwarf virus] -YP_956851.1 ORF1 [Mal de Rio Cuarto virus] -YP_249766.1 hypothetical protein FDV_s7gp1 [Fiji disease virus] -NP_620454.1 hypothetical protein RBSDVs7gp1 [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_711 b/seq/clusters_info/cluster_711 deleted file mode 100644 index 1b04356..0000000 --- a/seq/clusters_info/cluster_711 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009507756.1 NS88 [Scophthalmus maximus reovirus] -YP_009351854.1 NS1 [Fall chinook aquareovirus] -YP_009259510.2 putative non-structural protein [Etheostoma fonticola aquareovirus] -YP_001837097.1 NS73 [American grass carp reovirus] -YP_398632.1 putative non-structural protein [Chum salmon reovirus CS] -NP_938063.1 non-structural protein NS1 [Aquareovirus C] diff --git a/seq/clusters_info/cluster_712 b/seq/clusters_info/cluster_712 deleted file mode 100644 index 8d423c1..0000000 --- a/seq/clusters_info/cluster_712 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009505638.1 coat protein [Bermuda grass etched-line virus] -YP_009315885.1 minor coat protein [Grapevine asteroid mosaic associated virus] -YP_003475891.1 coat protein [Olive latent virus 3] -YP_002775441.1 capsid protein [Grapevine Syrah virus 1] -YP_224294.1 capsid protein [Citrus sudden death-associated virus] -NP_044448.1 21 kDa capsid protein [Oat blue dwarf virus] diff --git a/seq/clusters_info/cluster_713 b/seq/clusters_info/cluster_713 deleted file mode 100644 index 4e6f28d..0000000 --- a/seq/clusters_info/cluster_713 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009480346.1 structural protein [Maize rough dwarf virus] -YP_009329897.1 Major core [Hubei insect virus 2] -YP_004021937.1 P2 [Southern rice black-streaked dwarf virus] -YP_956847.1 possible major core protein [Mal de Rio Cuarto virus] -YP_249756.1 hypothetical protein [Fiji disease virus] -NP_620460.1 P2 protein [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_714 b/seq/clusters_info/cluster_714 deleted file mode 100644 index b5ae45d..0000000 --- a/seq/clusters_info/cluster_714 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009480337.1 structural protein [Maize rough dwarf virus] -YP_009329899.1 Inner core protein [Hubei insect virus 2] -YP_004021939.1 P4 [Southern rice black-streaked dwarf virus] -YP_956844.1 P-S4 protein [Mal de Rio Cuarto virus] -YP_249757.1 hypothetical protein [Fiji disease virus] -NP_620451.1 P3 [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_715 b/seq/clusters_info/cluster_715 deleted file mode 100644 index dad070c..0000000 --- a/seq/clusters_info/cluster_715 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009337368.1 hypothetical protein 3 [Hubei picorna-like virus 66] -YP_009337741.1 hypothetical protein 3 [Hubei odonate virus 7] -YP_009337220.1 hypothetical protein 4 [Hubei odonate virus 6] -YP_009047192.1 VP4 [Drosophila immigrans Nora virus] -YP_009047188.1 VP4 [Drosophila subobscura Nora virus] -YP_004849310.1 capsid protein [Nora virus] diff --git a/seq/clusters_info/cluster_716 b/seq/clusters_info/cluster_716 deleted file mode 100644 index c5d96f8..0000000 --- a/seq/clusters_info/cluster_716 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009408182.1 capsid protein [Lake Sinai virus 2] -YP_009408179.1 capsid protein [Lake Sinai virus 1] -YP_009388503.1 ORF3 [Lake Sinai Virus TO] -YP_009388496.1 ORF3 [Lake Sinai Virus NE] -YP_009388492.1 ORF3 [Lake Sinai Virus SA2] -YP_009333195.1 hypothetical protein 3 [Lake Sinai virus] diff --git a/seq/clusters_info/cluster_717 b/seq/clusters_info/cluster_717 deleted file mode 100644 index 0873f68..0000000 --- a/seq/clusters_info/cluster_717 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009388504.1 ORF4 [Lake Sinai Virus TO] -YP_009388497.1 ORF4 [Lake Sinai Virus NE] -YP_009388493.1 ORF4 [Lake Sinai Virus SA2] -YP_009388489.1 ORF4 [Lake Sinai Virus SA1] -YP_009336680.1 hypothetical protein [Hubei virga-like virus 16] -YP_009333196.1 hypothetical protein 4 [Lake Sinai virus] diff --git a/seq/clusters_info/cluster_718 b/seq/clusters_info/cluster_718 deleted file mode 100644 index 8af7f5f..0000000 --- a/seq/clusters_info/cluster_718 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009179225.1 polyprotein [Shayang fly virus 4] -YP_009179224.1 polyprotein [Gamboa mosquito virus] -YP_009179223.1 polyprotein [Shuangao lacewing virus 2] -YP_009179220.1 polyprotein [Xingshan cricket virus] -YP_009179217.1 polyprotein [Tacheng tick virus 8] -YP_009175071.1 polyprotein [Macrosiphum euphorbiae virus 1] diff --git a/seq/clusters_info/cluster_719 b/seq/clusters_info/cluster_719 deleted file mode 100644 index 3d4a84d..0000000 --- a/seq/clusters_info/cluster_719 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009361818.1 VP4 [Miniopterus schreibersii picornavirus 1] -YP_009121755.1 VP4 [African bat icavirus PREDICT-06105] -YP_002956119.1 VP4 [Cosavirus D] -YP_002956108.1 VP4 [Human cosavirus B] -YP_002956097.1 VP4 [Cosavirus A] -YP_002956077.1 VP4 [Cosavirus E] diff --git a/seq/clusters_info/cluster_72 b/seq/clusters_info/cluster_72 deleted file mode 100644 index 07c13bd..0000000 --- a/seq/clusters_info/cluster_72 +++ /dev/null @@ -1,49 +0,0 @@ -YP_009551937.1 putative RNA-dependent RNA polymerase [Panax notoginseng virus B] -YP_009551936.1 putative coat protein [Panax notoginseng virus B] -YP_009507835.1 RNA-dependent RNA polymerase [Xanthophyllomyces dendrorhous virus L1B] -YP_009507833.1 RNA polymerase [Tuber aestivum virus 1] -YP_009507832.1 capsid protein [Tuber aestivum virus 1] -YP_009507829.1 polyprotein [Scheffersomyces segobiensis virus L] -YP_009507830.1 capsid [Scheffersomyces segobiensis virus L] -YP_009259485.2 coat protein [Maize-associated totivirus 2] -YP_009259484.2 CP-RdRp fusion protein [Maize-associated totivirus 2] -YP_009448195.1 coat protein [Maize-associated totivirus 3] -YP_009448193.1 gag-pol-like fusion protein [Maize-associated totivirus 3] -YP_009342434.1 hypothetical protein 2 [Wuhan insect virus 27] -YP_009342428.1 hypothetical protein 2 [Wuhan insect virus 26] -YP_009336496.1 hypothetical protein 2 [Hubei toti-like virus 2] -YP_009336495.1 hypothetical protein 1 [Hubei toti-like virus 2] -YP_009333276.1 hypothetical protein 2 [Beihai blue swimmer crab virus 3] -YP_009328932.1 major coat protein [Saccharomyces kudriavzevii virus L-A1] -YP_009328931.1 gag-pol fusion protein [Saccharomyces kudriavzevii virus L-A1] -YP_009225665.1 putative RNA-dependent RNA polymerase [Panax notoginseng virus A] -YP_009225664.1 putative coat protein [Panax notoginseng virus A] -YP_009182195.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 7] -YP_009182190.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 6] -YP_009182188.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 5] -YP_009182181.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 3] -YP_009182176.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 2] -YP_009182174.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 1] -YP_009182173.1 capsid protein [Red clover powdery mildew-associated totivirus 1] -YP_009170670.1 ORF1-ORF2 fusion protein [Maize-associated totivirus 1] -YP_009170671.1 capsid protein [Maize-associated totivirus 1] -YP_007697651.1 RNA-dependent RNA polymerase [Xanthophyllomyces dendrorhous virus L1A] -YP_001497151.1 RNA-dependent RNA polymerase [Black raspberry virus F] -YP_001497150.1 coat protein [Black raspberry virus F] -NP_620493.1 ssRNA-binding protein [Saccharomyces cerevisiae virus L-A] -NP_620494.1 major coat protein [Saccharomyces cerevisiae virus L-A] -BAT62492.1 RNA dependent RNA polymerase, partial [Red clover powdery mildew-associated totivirus 8] -ACA61232.1 RNA-dependent RNA polymerase, partial [Ribes virus F] -AMB17468.1 RNA-dependent RNA polymerase [Delisea pulchra totivirus IndA] -AMB17466.1 RNA-dependent RNA polymerase [Delisea pulchra totivirus IndA] -BAT62478.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 1] -ALO81040.1 polymerase, partial [Phakopsora pachyrhizi mycovirus] -BAT62484.1 RNA dependent RNA polymerase, partial [Red clover powdery mildew-associated totivirus 4] -APG76044.1 hypothetical protein, partial [Hubei toti-like virus 4] -APG76078.1 hypothetical protein, partial [Hubei toti-like virus 3] -AMB17467.1 RNA-dependent RNA polymerase [Delisea pulchra totivirus IndA] -AMB17469.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -AMB17475.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -AMB17474.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -AMB17470.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -AMB17471.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] diff --git a/seq/clusters_info/cluster_720 b/seq/clusters_info/cluster_720 deleted file mode 100644 index 03b41b8..0000000 --- a/seq/clusters_info/cluster_720 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009342326.1 hypothetical protein [Wuhan house centipede virus 2] -YP_009337178.1 hypothetical protein [Hubei coleoptera virus 2] -YP_009336820.1 hypothetical protein [Hubei picorna-like virus 53] -YP_009337118.1 hypothetical protein [Hubei picorna-like virus 52] -YP_009329963.1 hypothetical protein 1 [Hubei earwig virus 3] -APF29090.1 nonstructural polyprotein [Diabrotica virgifera virgifera virus 3] diff --git a/seq/clusters_info/cluster_721 b/seq/clusters_info/cluster_721 deleted file mode 100644 index 033927a..0000000 --- a/seq/clusters_info/cluster_721 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009337345.1 replicase [Beihai tiger crab virus 1] -YP_009337320.1 replicase [Wenling hepe-like virus 1] -YP_009336596.1 replicase [Wenzhou shrimp virus 3] -YP_009333229.1 hypothetical protein [Beihai mantis shrimp virus 1] -YP_009329849.1 hypothetical protein [Shahe hepe-like virus 2] -YP_009329843.1 replicase [Shahe hepe-like virus 1] diff --git a/seq/clusters_info/cluster_722 b/seq/clusters_info/cluster_722 deleted file mode 100644 index 46d4294..0000000 --- a/seq/clusters_info/cluster_722 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009337275.1 hypothetical protein 2 [Changjiang tombus-like virus 14] -YP_009337094.1 hypothetical protein 3 [Changjiang tombus-like virus 16] -YP_009337076.1 hypothetical protein 3 [Changjiang tombus-like virus 10] -YP_009336703.1 hypothetical protein [Sanxia narna-like virus 1] -YP_009336563.1 hypothetical protein 2 [Hubei tombus-like virus 9] -YP_009330075.1 hypothetical protein 2 [Changjiang tombus-like virus 15] diff --git a/seq/clusters_info/cluster_723 b/seq/clusters_info/cluster_723 deleted file mode 100644 index 693c27e..0000000 --- a/seq/clusters_info/cluster_723 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009337347.1 putative structural protein [Beihai tiger crab virus 1] -YP_009337322.1 putative structural protein [Wenling hepe-like virus 1] -YP_009336598.1 putative structural protein [Wenzhou shrimp virus 3] -YP_009333231.1 hypothetical protein [Beihai mantis shrimp virus 1] -YP_009329851.1 hypothetical protein [Shahe hepe-like virus 2] -YP_009329845.1 putative structural protein [Shahe hepe-like virus 1] diff --git a/seq/clusters_info/cluster_724 b/seq/clusters_info/cluster_724 deleted file mode 100644 index b1cd286..0000000 --- a/seq/clusters_info/cluster_724 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009336929.1 hypothetical protein 1 [Wenzhou picorna-like virus 42] -YP_009336898.1 hypothetical protein 1 [Wenzhou picorna-like virus 41] -YP_009336862.1 hypothetical protein 1 [Wenzhou picorna-like virus 40] -YP_009336741.1 hypothetical protein 1 [Shahe picorna-like virus 12] -YP_009336648.1 hypothetical protein 1 [Shahe heteroptera virus 4] -YP_009333491.1 hypothetical protein 1 [Beihai picorna-like virus 102] diff --git a/seq/clusters_info/cluster_725 b/seq/clusters_info/cluster_725 deleted file mode 100644 index 2cd8a2a..0000000 --- a/seq/clusters_info/cluster_725 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009315884.1 putative movement protein, partial [Grapevine asteroid mosaic associated virus] -YP_007517182.1 putative movement protein [Andean potato mild mosaic virus] -YP_002308441.1 putative movement protein [Nemesia ring necrosis virus] -YP_002048672.1 movement protein MP [Diascia yellow mottle virus] -NP_619755.1 overlapping protein/movement protein [Physalis mottle virus] -NP_040967.1 movement protein [Eggplant mosaic virus] diff --git a/seq/clusters_info/cluster_726 b/seq/clusters_info/cluster_726 deleted file mode 100644 index 67eacc9..0000000 --- a/seq/clusters_info/cluster_726 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009246410.1 P8 [Potato necrosis virus] -YP_007517176.1 movement protein [Furcraea necrotic streak virus] -YP_224018.1 p8 [Olive mild mosaic virus] -NP_044734.1 movement protein [Galinsoga mosaic virus] -NP_056826.1 hypothetical protein TNVAgp2 [Tobacco necrosis virus A] -NP_043909.1 hypothetical protein OLV1gp3 [Olive latent virus 1] diff --git a/seq/clusters_info/cluster_727 b/seq/clusters_info/cluster_727 deleted file mode 100644 index eaeebe9..0000000 --- a/seq/clusters_info/cluster_727 +++ /dev/null @@ -1,6 +0,0 @@ -YP_009186837.1 triple gene block protein 3 [Actinidia virus X] -YP_001960943.1 triple-gene-block-protein 3 [Lettuce virus X] -YP_001715615.1 Triple gene block protein 3 [Asparagus virus 3] -YP_667847.1 ORF 4 [Malva mosaic virus] -NP_570729.1 triple gene block protein 3 [Asparagus virus 3] -NP_040781.1 11K triple gene block protein [Narcissus mosaic virus] diff --git a/seq/clusters_info/cluster_728 b/seq/clusters_info/cluster_728 deleted file mode 100644 index 2369d8e..0000000 --- a/seq/clusters_info/cluster_728 +++ /dev/null @@ -1,6 +0,0 @@ -YP_008400141.1 VPg protein [Broad bean true mosaic virus] -NP_734055.1 vpg [Cowpea mosaic virus] -NP_734010.1 VPg [Squash mosaic virus] -NP_734028.1 VPg [Red clover mottle virus] -NP_734060.1 vpg [Cowpea severe mosaic virus] -NP_734068.1 VPg [Bean pod mottle virus] diff --git a/seq/clusters_info/cluster_729 b/seq/clusters_info/cluster_729 deleted file mode 100644 index a3d77f5..0000000 --- a/seq/clusters_info/cluster_729 +++ /dev/null @@ -1,6 +0,0 @@ -YP_003587923.1 protein C', partial [Vesicular stomatitis Indiana virus] -sp|P0C2X6.1|C_VSIVG RecName: Full=Protein C' -sp|P0C2X4.1|C_VSIVS RecName: Full=Protein C' -sp|P0C2X3.1|C_VSIVC RecName: Full=Protein C' -sp|P0C2X1.1|C_VSIVN RecName: Full=Protein C' -sp|Q86132.2|C_VSIVM RecName: Full=Protein C' diff --git a/seq/clusters_info/cluster_73 b/seq/clusters_info/cluster_73 deleted file mode 100644 index 85ff353..0000000 --- a/seq/clusters_info/cluster_73 +++ /dev/null @@ -1,49 +0,0 @@ -YP_010087634.1 nonstructural polyprotein [Caaingua virus] -YP_009665988.1 non structural polyprotein, partial [Una virus] -YP_009665986.1 non structural polyprotein, partial [Trocara virus] -NP_690588.1 nonstructural polyprotein [Chikungunya virus] -YP_009333615.1 non-structural polyprotein [Tai Forest alphavirus] -YP_009508088.1 nonstructural polyprotein [Mosso das Pedras virus] -YP_009507804.1 nonstructural polyprotein [Tonate virus] -YP_009507802.1 nonstructural polyprotein [Rio Negro virus] -YP_009507800.1 nonstructural polyprotein [Pixuna virus] -YP_009507798.1 nonstructural polyprotein [Mucambo virus] -YP_009507796.1 nonstructural polyprotein [Everglades virus] -YP_009507794.1 nonstructural polyprotein [Cabassou virus] -YP_009058892.1 non-structural polyprotein [Middelburg virus] -YP_009020570.1 non-structural polyprotein precursor P1234 [Madariaga virus] -YP_008901141.1 non-structural polyprotein precursor nsP1234 [Eilat virus] -NP_632023.2 non-structural polyprotein precursor nsP1234 [Aura virus] -YP_008901140.1 non-structural polyprotein precursor nsP1234 [Bebaru virus] -NP_597797.2 non-structural polyprotein precursor nsP1234 [Barmah Forest virus] -YP_008888546.1 non-structural polyprotein precursor nsP1234 [Whataroa virus] -YP_008888545.1 non-structural polyprotein precursor nsP1234 [Southern elephant seal virus] -YP_008888544.1 non-structural polyprotein precursor nsP1234 [Ndumu virus] -YP_003324587.1 nonstructural polyprotein [Fort Morgan virus] -YP_002802299.1 nonstructural polyprotein [Highlands J virus] -YP_913810.1 p123 protein [Eastern equine encephalitis virus] -YP_164438.1 nsP1234 polyprotein [Getah virus] -NP_740666.1 nonstructural protein nsP2 [Semliki Forest virus] -NP_647496.1 non-structural polyprotein [Salmon pancreas disease virus] -NP_640330.1 nonstructural polyprotein [Western equine encephalitis virus] -NP_598184.1 Nonstructural polyprotein [Sleeping disease virus] -NP_579968.1 nonstructural polyprotein nsP1-nsP2-nsP3-nsP4 [Mayaro virus] -NP_062888.1 hypothetical protein [Sindbis virus] -NP_062879.1 nonstructural polyprotein [Ross River virus] -NP_041254.1 hypothetical protein Ovgp1 [Onyong-nyong virus] -NP_040822.1 non-structural polyprotein precursor P1234 [Venezuelan equine encephalitis virus] -sp|P27282.3|POLN_EEVVT RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|Q9WJC7.3|POLN_EEVVM RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|Q8V294.3|POLN_EEVVC RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|P36327.3|POLN_EEVV3 RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|Q4QXJ8.3|POLN_EEEVF RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|Q306W6.3|POLN_EEEV1 RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|P13888.2|POLN_RRVT RecName: Full=Polyprotein nsP1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|P08411.2|POLN_SFV RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|Q5XXP4.1|POLN_CHIK3 RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|O90370.1|POLN_ONNVI RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -sp|O90368.1|POLN_ONNVS RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -AFL65799.1 non-structural polyprotein [Sindbis virus] -ALG64705.1 nonstructural polyprotein [Mayaro virus] -ACV66991.1 non-structural polyprotein [Ross River virus] -AND80849.1 non-structural polyprotein [Chikungunya virus] diff --git a/seq/clusters_info/cluster_730 b/seq/clusters_info/cluster_730 deleted file mode 100644 index 866f518..0000000 --- a/seq/clusters_info/cluster_730 +++ /dev/null @@ -1,6 +0,0 @@ -YP_002790884.1 RNA-directed RNA polymerase [Homalodisca vitripennis reovirus] -YP_001111373.1 putative RNA dependent RNA polymerase [Rice gall dwarf virus] -NP_620544.1 RNA-dependent RNA polymerase [Rice dwarf virus] -sp|Q02119.1|RDRP_RDVA RecName: Full=RNA-directed RNA polymerase P1; AltName: Full=Replicase -ABF67520.1 RNA-dependent RNA polymerase [Rice gall dwarf virus] -APG79149.1 RNA-dependent RNA polymerase [Hubei reo-like virus 10] diff --git a/seq/clusters_info/cluster_731 b/seq/clusters_info/cluster_731 deleted file mode 100644 index c488031..0000000 --- a/seq/clusters_info/cluster_731 +++ /dev/null @@ -1,6 +0,0 @@ -NP_919035.1 RNA polymerase [Hirame novirhabdovirus] -NP_050585.1 polymerase protein [Snakehead virus] -NP_049550.1 large protein [Viral hemorrhagic septicemia virus Fil3] -NP_042681.1 RNA polymerase [Infectious hematopoietic necrosis virus] -ACA34525.1 L protein [Viral hemorrhagic septicemia virus] -CAA08837.1 polymerase [Viral hemorrhagic septicemia virus 07-71] diff --git a/seq/clusters_info/cluster_732 b/seq/clusters_info/cluster_732 deleted file mode 100644 index c1031bb..0000000 --- a/seq/clusters_info/cluster_732 +++ /dev/null @@ -1,6 +0,0 @@ -NP_919032.1 matrix protein 2 [Hirame novirhabdovirus] -NP_050582.1 matrix protein [Snakehead virus] -NP_049547.1 matrix protein [Viral hemorrhagic septicemia virus Fil3] -NP_042678.1 matrix protein [Infectious hematopoietic necrosis virus] -sp|Q08453.1|MATRX_IHNVO RecName: Full=Matrix protein; AltName: Full=Protein M2 -sp|P27663.1|MATRX_VHSV0 RecName: Full=Matrix protein; AltName: Full=M2 phosphoprotein diff --git a/seq/clusters_info/cluster_733 b/seq/clusters_info/cluster_733 deleted file mode 100644 index c262aff..0000000 --- a/seq/clusters_info/cluster_733 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010085095.1 nucleocapsid [Citrus chlorotic spot virus] -YP_009976132.1 nucleocapsid [Citrus leprosis virus N] -YP_009666988.1 nucleocapsid [Clerodendrum chlorotic spot virus] -YP_009507906.1 nucleocapsid [Coffee ringspot virus] -YP_001294924.1 nucleocapsid protein [Orchid fleck dichorhavirus] diff --git a/seq/clusters_info/cluster_734 b/seq/clusters_info/cluster_734 deleted file mode 100644 index 01574db..0000000 --- a/seq/clusters_info/cluster_734 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010229206.1 Pro [Potato virus B] -YP_002000613.1 cysteine protease [Grapevine chrome mosaic virus] -NP_734016.2 cysteine protease [Cycas necrotic stunt virus] -NP_734034.2 cysteine protease [Beet ringspot virus] -NP_958840.1 Pro [Tomato black ring virus] diff --git a/seq/clusters_info/cluster_735 b/seq/clusters_info/cluster_735 deleted file mode 100644 index 55b6fbf..0000000 --- a/seq/clusters_info/cluster_735 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009505498.1 nucleocapsid protein [Drosophila melanogaster sigmavirus HAP23] -YP_003126908.1 nucleocapsid protein [Drosophila melanogaster sigmavirus AP30] -YP_009337212.1 putative nucleoprotein [Hubei dimarhabdovirus virus 1] -YP_009305103.1 nucleocapsid [Wuhan Louse Fly Virus 9] -YP_009302014.1 nucleocapsid [Wuhan Louse Fly Virus 10] diff --git a/seq/clusters_info/cluster_736 b/seq/clusters_info/cluster_736 deleted file mode 100644 index 92c7d7f..0000000 --- a/seq/clusters_info/cluster_736 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009825014.1 5a protein [Duck coronavirus] -YP_009825003.1 5a protein [Infectious bronchitis virus] -YP_001941172.1 5a protein [Turkey coronavirus] -NP_040836.1 5a protein [Infectious bronchitis virus] -sp|Q5I5X4.1|NS5A_IBVM RecName: Full=Non-structural protein 5a; Short=ns5a; AltName: Full=Accessory protein 5a diff --git a/seq/clusters_info/cluster_737 b/seq/clusters_info/cluster_737 deleted file mode 100644 index 2999257..0000000 --- a/seq/clusters_info/cluster_737 +++ /dev/null @@ -1,5 +0,0 @@ -YP_005352888.1 NS7c protein [Common moorhen coronavirus HKU21] -YP_005352860.1 NS7b protein [Magpie-robin coronavirus HKU18] -YP_002308484.1 nonstructural protein [Bulbul coronavirus HKU11-934] -YP_002308511.1 nonstructural protein [Munia coronavirus HKU13-3514] -YP_002308502.1 nonstructural protein [Thrush coronavirus HKU12-600] diff --git a/seq/clusters_info/cluster_738 b/seq/clusters_info/cluster_738 deleted file mode 100644 index d08d524..0000000 --- a/seq/clusters_info/cluster_738 +++ /dev/null @@ -1,5 +0,0 @@ -YP_003858588.1 ORF6 protein [Bat coronavirus BM48-31/BGR/2008] -YP_009825056.1 ORF6 protein [SARS coronavirus Tor2] -YP_009724394.1 ORF6 protein [Severe acute respiratory syndrome coronavirus 2] -sp|Q3LZX8.1|NS6_BCHK3 RecName: Full=ORF6 protein; Short=ORF6; AltName: Full=Accessory protein 6; AltName: Full=Non-structural protein 6; Short=ns6 -sp|Q0Q471.1|NS6_BC279 RecName: Full=ORF6 protein; Short=ORF6; AltName: Full=Accessory protein 6; AltName: Full=Non-structural protein 6; Short=ns6 diff --git a/seq/clusters_info/cluster_739 b/seq/clusters_info/cluster_739 deleted file mode 100644 index e7d7097..0000000 --- a/seq/clusters_info/cluster_739 +++ /dev/null @@ -1,5 +0,0 @@ -YP_003858586.1 envelope protein [Bat coronavirus BM48-31/BGR/2008] -YP_009825054.1 small envelope protein [SARS coronavirus Tor2] -YP_009072442.1 envelope protein [Bat Hp-betacoronavirus/Zhejiang2013] -YP_009724392.1 envelope protein [Severe acute respiratory syndrome coronavirus 2] -sp|Q0Q473.1|VEMP_BC279 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein diff --git a/seq/clusters_info/cluster_74 b/seq/clusters_info/cluster_74 deleted file mode 100644 index f0741fb..0000000 --- a/seq/clusters_info/cluster_74 +++ /dev/null @@ -1,49 +0,0 @@ -YP_009553181.1 cysteine-rich protein [Chrysanthemum virus R] -YP_009664748.1 nucleic acid binding protein [Phlox virus M] -YP_009664745.1 putative nucleic acid binding protein [Helleborus mosaic virus] -YP_009664739.1 nucleic acid binding protein [Cucumber vein-clearing virus] -YP_009508322.1 nucleic acid binding protein [Atractylodes mottle virus] -YP_009508096.1 11.6 kD protein [Carnation latent virus] -YP_009505625.1 12.6K protein [Helenium virus S] -YP_009505623.1 11 kDa protein [Cole latent virus] -YP_009357234.1 putative nucleic acid binding protein [Pepper virus A] -YP_009288961.1 nucleic acid binding protein [Ligustrum virus A] -YP_009275355.1 putative nucleic acid binding protein [Jasmine virus C] -YP_009224957.1 nucleic acid binding protein [Elderberry carlavirus E] -YP_009224951.1 nucleic acid binding protein [Elderberry carlavirus D] -YP_009224945.1 nucleic acid binding protein [Elderberry carlavirus C] -YP_009224939.1 nucleic acid binding protein [Elderberry carlavirus B] -YP_009224933.1 nucleic acid binding protein [Elderberry carlavirus A] -YP_009116873.1 nucleic acid binding protein [Yam latent virus] -YP_009022069.1 nucleic acid binding protein [Gaillardia latent virus] -YP_006522439.1 11K protein [Potato virus H] -YP_006297591.1 unnamed protein product [American hop latent virus] -YP_004901685.1 nucleic acid binding protein [Mirabilis jalapa mottle virus] -YP_004035883.1 nucleic acid binding protein [Cowpea mild mottle virus] -YP_003324586.1 putative nucleic acid binding protein [Butterbur mosaic virus] -YP_003075963.1 nucleic acid binding protein [Kalanchoe latent virus] -YP_002985641.1 putative nucleic acid-binding protein [Hydrangea chlorotic mottle virus] -YP_002574619.1 putative nucleic acid binding protein [Helleborus net necrosis virus] -YP_002308452.1 nucleic acid binding protein [Hippeastrum latent virus] -YP_002302562.1 11 kDa protein [Potato latent virus] -YP_001798597.1 nucleic acid binding protein [Hop mosaic virus] -YP_001661451.1 putative nucleotide binding protein [Ligustrum necrotic ringspot virus] -YP_001429590.1 nucleic acid binding protein [Potato virus P] -YP_001165306.1 nucleic acid binding protein [Phlox virus S] -YP_001086457.1 Nucleic acid binding protein [Chrysanthemum virus B] -YP_717538.1 NABP [Passiflora latent virus] -YP_699988.1 Nucleic acid-binding protein [Narcissus common latent virus] -YP_595732.1 hypothetical protein DVS_gp6 [Daphne virus S] -YP_277434.1 11K protein [Potato virus S] -NP_958176.1 putative nucleic acid binding protein [Poplar mosaic virus] -NP_932793.1 16kDa protein [Lily symptomless virus] -NP_619564.1 nucleic acid binding protein [Garlic latent virus] -NP_612813.1 16 kDa protein (putative nucleic acid-binding protein) [Blueberry scorch virus] -NP_116492.1 11K protein [Aconitum latent virus] -NP_066263.1 12 kDa protein [Hop latent virus] -NP_056772.1 11K protein [Potato virus M] -sp|Q01687.1|VSR_PVMG RecName: Full=RNA silencing suppressor; AltName: Full=12 kDa protein; AltName: Full=Cysteine-rich protein; Short=CRP; AltName: Full=Nucleic acid-binding regulatory protein; AltName: Full=PR12 -sp|P16654.1|VSR_PVSP RecName: Full=RNA silencing suppressor; AltName: Full=10.7 kDa protein; AltName: Full=Putative nucleic acid-binding protein -sp|P27336.1|VSR_LSV RecName: Full=RNA silencing suppressor; AltName: Full=16 kDa protein; AltName: Full=ORF6 protein; AltName: Full=Putative nucleic acid-binding protein -sp|P22625.1|VSR_CLV RecName: Full=RNA silencing suppressor; AltName: Full=11.6 kDa protein; AltName: Full=Putative nucleic acid-binding protein -sp|Q02123.2|VSR_POPMV RecName: Full=RNA silencing suppressor; AltName: Full=14 kDa protein; AltName: Full=Putative nucleic acid-binding protein diff --git a/seq/clusters_info/cluster_740 b/seq/clusters_info/cluster_740 deleted file mode 100644 index ec16d95..0000000 --- a/seq/clusters_info/cluster_740 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009508873.1 movement protein [Tomato necrotic streak virus] -YP_002455926.1 putative movement protein [Asparagus virus 2] -NP_620756.1 putative movement protein 3a [Tulare apple mosaic virus] -NP_620680.1 putative movement protein [Spinach latent virus] -NP_619577.1 movement protein [Elm mottle virus] diff --git a/seq/clusters_info/cluster_741 b/seq/clusters_info/cluster_741 deleted file mode 100644 index c59b478..0000000 --- a/seq/clusters_info/cluster_741 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009640125.1 coat protein [Escherichia phage MS2] -NP_040754.1 coat protein [Escherichia virus BZ13] -sp|P69171.2|CAPSD_BPZR RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P34700.2|CAPSD_BPJP3 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -sp|P03614.4|CAPSD_BPFR RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein diff --git a/seq/clusters_info/cluster_742 b/seq/clusters_info/cluster_742 deleted file mode 100644 index b792dcf..0000000 --- a/seq/clusters_info/cluster_742 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010088067.1 putative nucleocapsid protein [Ti ringspot-associated emaravirus] -YP_009237266.1 nucleocapsid [Raspberry leaf blotch emaravirus] -YP_009237257.1 nucleocapsid [High Plains wheat mosaic emaravirus] -sp|P83550.2|CAPSD_HPVKS RecName: Full=Capsid protein; AltName: Full=Coat protein -sp|P85309.2|CASPD_HPVKA RecName: Full=Capsid protein; AltName: Full=Coat protein diff --git a/seq/clusters_info/cluster_743 b/seq/clusters_info/cluster_743 deleted file mode 100644 index 839aff6..0000000 --- a/seq/clusters_info/cluster_743 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086100.1 NSs [Cacao virus] -YP_010086193.1 nonstructural protein [La Gloria virus] -YP_010086103.1 nonstructural protein [Chagres virus] -YP_009346037.1 nonstructural protein [Uriurana virus] -YP_009346028.1 nonstructural protein [Urucuri virus] diff --git a/seq/clusters_info/cluster_744 b/seq/clusters_info/cluster_744 deleted file mode 100644 index a94e59e..0000000 --- a/seq/clusters_info/cluster_744 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086582.1 nucleoprotein [Sawgrass virus] -YP_010086565.1 nucleoprotein [New Minto virus] -YP_009552801.1 nucleoprotein [Zahedan rhabdovirus] -YP_009094139.1 nucleoprotein [Moussa virus] -YP_009094013.1 nucleocapsid [Long Island tick rhabdovirus] diff --git a/seq/clusters_info/cluster_745 b/seq/clusters_info/cluster_745 deleted file mode 100644 index 12457a4..0000000 --- a/seq/clusters_info/cluster_745 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086055.1 glycoprotein [North Creek virus] -YP_010086785.1 glycoprotein [Ohlsdorf virus] -YP_009388615.1 glycoprotein [Culex rhabdo-like virus] -YP_009182185.1 putative glycoprotein [Tongilchon virus 1] -YP_009552819.1 glycoprotein [Riverside virus 1] diff --git a/seq/clusters_info/cluster_746 b/seq/clusters_info/cluster_746 deleted file mode 100644 index d21ab87..0000000 --- a/seq/clusters_info/cluster_746 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010085098.1 matrix protein [Citrus chlorotic spot virus] -YP_009976135.1 matrix protein [Citrus leprosis virus N] -YP_009666991.1 matrix protein [Clerodendrum chlorotic spot virus] -YP_009507909.1 ORF4 [Coffee ringspot virus] -YP_001294927.1 ORF4 protein [Orchid fleck dichorhavirus] diff --git a/seq/clusters_info/cluster_747 b/seq/clusters_info/cluster_747 deleted file mode 100644 index 152f756..0000000 --- a/seq/clusters_info/cluster_747 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010085097.1 movement protein [Citrus chlorotic spot virus] -YP_009976134.1 movement protein [Citrus leprosis virus N] -YP_009666990.1 movement protein [Clerodendrum chlorotic spot virus] -YP_009507908.1 ORF3 [Coffee ringspot virus] -YP_001294926.1 ORF3 protein [Orchid fleck dichorhavirus] diff --git a/seq/clusters_info/cluster_748 b/seq/clusters_info/cluster_748 deleted file mode 100644 index 696622d..0000000 --- a/seq/clusters_info/cluster_748 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010085096.1 phosphoprotein [Citrus chlorotic spot virus] -YP_009976133.1 phosphoprotein [Citrus leprosis virus N] -YP_009666989.1 phosphoprotein [Clerodendrum chlorotic spot virus] -YP_009507907.1 ORF2 [Coffee ringspot virus] -YP_001294925.1 ORF2 protein [Orchid fleck dichorhavirus] diff --git a/seq/clusters_info/cluster_749 b/seq/clusters_info/cluster_749 deleted file mode 100644 index 573f1b8..0000000 --- a/seq/clusters_info/cluster_749 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010085090.1 putative RNA dependent RNA polymerase [Leptomonas moramango leishbunyavirus] -AJG39239.1 RNA-dependent RNA polymerase [Huangshi Humpbacked Fly Virus] -ANJ59513.1 putative RNA dependent RNA polymerase [Leptomonas moramango leishbunyavirus] -APG79301.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 5] -APG79326.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 6] diff --git a/seq/clusters_info/cluster_75 b/seq/clusters_info/cluster_75 deleted file mode 100644 index c423c1d..0000000 --- a/seq/clusters_info/cluster_75 +++ /dev/null @@ -1,49 +0,0 @@ -YP_009345131.1 hypothetical protein [Hubei myriapoda virus 9] -YP_009342461.1 hypothetical protein [Wuhan heteroptera virus 2] -YP_009342451.1 hypothetical protein 2 [Wuhan house centipede virus 4] -YP_009342307.1 hypothetical protein 2 [Wuhan arthropod virus 4] -YP_009337877.1 hypothetical protein 2 [Hubei mosquito virus 2] -YP_009337843.1 hypothetical protein 2 [Sanxia water strider virus 10] -YP_009337782.1 hypothetical protein 2 [Beihai sobemo-like virus 13] -YP_009337747.1 hypothetical protein 2 [Wenling sobemo-like virus 1] -YP_009337376.1 hypothetical protein 2 [Wenzhou sobemo-like virus 4] -YP_009337354.1 hypothetical protein 3 [Wenzhou sobemo-like virus 3] -YP_009337335.1 hypothetical protein 2 [Wenzhou sobemo-like virus 2] -YP_009337145.1 hypothetical protein 2 [Beihai sobemo-like virus 12] -YP_009336997.1 hypothetical protein 2 [Beihai sobemo-like virus 18] -YP_009336972.1 hypothetical protein 2 [Beihai sobemo-like virus 23] -YP_009336891.1 hypothetical protein 2 [Sanxia sobemo-like virus 2] -YP_009336869.1 hypothetical protein 3 [Sanxia sobemo-like virus 1] -YP_009336847.1 hypothetical protein 2 [Beihai sobemo-like virus 21] -YP_009336746.1 hypothetical protein 2 [Beihai sobemo-like virus 22] -YP_009336717.1 hypothetical protein 2 [Beihai sobemo-like virus 20] -YP_009336705.1 hypothetical protein 2 [Beihai sobemo-like virus 16] -YP_009336666.1 hypothetical protein [Beihai sobemo-like virus 19] -YP_009336640.1 hypothetical protein [Beihai sobemo-like virus 15] -YP_009336602.1 hypothetical protein 2 [Shahe sobemo-like virus 1] -YP_009337273.1 hypothetical protein 2 [Shuangao insect virus 9] -YP_009333478.1 hypothetical protein 2 [Beihai razor shell virus 3] -YP_009333455.1 hypothetical protein 2 [Beihai shrimp virus 4] -YP_009330128.1 hypothetical protein 2 [Hubei sobemo-like virus 14] -YP_009330098.1 hypothetical protein 3 [Hubei sobemo-like virus 13] -YP_009330088.1 hypothetical protein 2 [Hubei sobemo-like virus 8] -YP_009330054.1 hypothetical protein 2 [Beihai sobemo-like virus 14] -YP_009330052.1 hypothetical protein 2 [Beihai sobemo-like virus 17] -YP_009330046.1 hypothetical protein 2 [Hubei sobemo-like virus 16] -YP_009330010.1 hypothetical protein 2 [Hubei sobemo-like virus 10] -YP_009330007.1 hypothetical protein 2 [Hubei sobemo-like virus 5] -YP_009330005.1 hypothetical protein 2 [Hubei sobemo-like virus 7] -YP_009329994.1 hypothetical protein 2 [Hubei sobemo-like virus 6] -YP_009329992.1 hypothetical protein 2 [Hubei sobemo-like virus 11] -YP_009329983.1 hypothetical protein 2 [Hubei sobemo-like virus 9] -YP_009329981.1 hypothetical protein [Beihai sobemo-like virus 24] -YP_009329979.1 hypothetical protein 2 [Hubei sobemo-like virus 12] -YP_009330030.1 hypothetical protein 2 [Hubei sobemo-like virus 15] -NP_821130.1 polyprotein [Sclerophthora macrospora virus B] -APB88808.1 ORF3 [Varroa destructor virus 3] -APG75628.1 RdRp [Hubei mosquito virus 2] -AOX15243.1 RNA-dependent RNA polymerase, partial [Baird Spence virus] -AMO03211.1 hypothetical protein 2, partial [Prestney Burn virus] -AII01812.1 hypothetical protein, partial [Ixodes scapularis associated virus 2] -AII01797.1 hypothetical protein, partial [Ixodes scapularis associated virus 1] -AKH40291.1 orf2, partial [Motts Mill virus] diff --git a/seq/clusters_info/cluster_750 b/seq/clusters_info/cluster_750 deleted file mode 100644 index 3045b87..0000000 --- a/seq/clusters_info/cluster_750 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086262.1 replicase-associated polyprotein [Maize-associated pteridovirus] -YP_009361854.1 nonstructural polyprotein [Black currant leaf chlorosis associated virus] -YP_009305430.1 Replication-associated polyprotein [Privet leaf blotch-associated virus] -YP_003126903.1 unnamed protein product [Japanese holly fern mottle virus] -NP_620465.1 non-structural polyprotein [Raspberry bushy dwarf virus] diff --git a/seq/clusters_info/cluster_751 b/seq/clusters_info/cluster_751 deleted file mode 100644 index 3b06284..0000000 --- a/seq/clusters_info/cluster_751 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086048.1 hypothetical protein KM556_s4gp1 [Magnaporthe oryzae polymycovirus 1] -YP_010086040.1 putative capsid protein [Fusarium redolens polymycovirus 1] -YP_009052473.1 hypothetical protein JT07_s4gp1 [Cladosporium cladosporioides virus 1] -YP_009551544.1 PAS-rp [Aspergillus fumigatus polymycovirus 1] -YP_009342471.1 hypothetical protein [Botryosphaeria dothidea virus 1] diff --git a/seq/clusters_info/cluster_752 b/seq/clusters_info/cluster_752 deleted file mode 100644 index 6ee5077..0000000 --- a/seq/clusters_info/cluster_752 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009094333.1 V protein [Salem virus] -YP_006347584.1 V protein [Nariva virus] -YP_006347583.1 phosphoprotein [Nariva virus] -NP_958050.1 V protein [Mossman virus] -NP_958049.1 phosphoprotein [Mossman virus] diff --git a/seq/clusters_info/cluster_753 b/seq/clusters_info/cluster_753 deleted file mode 100644 index a951ec3..0000000 --- a/seq/clusters_info/cluster_753 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010087806.1 coat protein [Epiphyllum virus 4] -YP_004347414.1 coat protein/movement protein [Sweet potato collusive virus] -YP_004300273.1 movement protein [Sweet potato vein clearing virus] -NP_569140.1 putative cell-to-cell movement protein [Tobacco vein clearing virus] -NP_056846.1 coat protein/movement protein [Cassava vein mosaic virus] diff --git a/seq/clusters_info/cluster_754 b/seq/clusters_info/cluster_754 deleted file mode 100644 index 7bba998..0000000 --- a/seq/clusters_info/cluster_754 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010087626.1 putative P3 protein [Strawberry cytorhabdovirus 1] -YP_010087291.1 movement protein [Raspberry vein chlorosis virus] -YP_009177017.1 putative movement protein [Alfalfa dwarf virus] -YP_009352240.1 P3 protein [Tomato yellow mottle-associated virus] -YP_009301358.1 4b protein [Wuhan Insect virus 6] diff --git a/seq/clusters_info/cluster_755 b/seq/clusters_info/cluster_755 deleted file mode 100644 index e3e92c4..0000000 --- a/seq/clusters_info/cluster_755 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086805.1 minor coat protein [Pistachio ampelovirus A] -YP_009664799.1 diverged coat protein [Pineapple mealybug wilt-associated virus 2] -YP_009241371.1 putative minor coat protein [Grapevine leafroll-associated virus 13] -YP_008411016.1 minor coat protein [Blackberry vein banding-associated virus] -NP_813802.1 53 kDa protein [Grapevine leafroll-associated virus 3] diff --git a/seq/clusters_info/cluster_756 b/seq/clusters_info/cluster_756 deleted file mode 100644 index dc0b1ef..0000000 --- a/seq/clusters_info/cluster_756 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086803.1 Hsp90 [Pistachio ampelovirus A] -YP_009664797.1 p46 [Pineapple mealybug wilt-associated virus 2] -YP_008411014.1 heat shock protein 90-like protein [Blackberry vein banding-associated virus] -YP_004940645.1 unnamed protein product [Grapevine leafroll-associated virus 1] -NP_813800.1 55 kDa protein [Grapevine leafroll-associated virus 3] diff --git a/seq/clusters_info/cluster_757 b/seq/clusters_info/cluster_757 deleted file mode 100644 index d9c34f9..0000000 --- a/seq/clusters_info/cluster_757 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009552081.1 hypothetical polyprotein [Diatom colony associated dsRNA virus 15] -YP_009551959.1 polyprotein [Phaseolus vulgaris endornavirus 3] -YP_009225663.1 polyprotein [Erysiphe cichoracearum alphaendornavirus] -YP_007003829.1 polyprotein [Grapevine endophyte alphaendornavirus] -ADN43901.1 polyprotein, partial [Chalara endornavirus CeEV1] diff --git a/seq/clusters_info/cluster_758 b/seq/clusters_info/cluster_758 deleted file mode 100644 index 2b7cf6f..0000000 --- a/seq/clusters_info/cluster_758 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009666939.1 nonstructural protein [Guertu virus] -YP_009666135.1 nonstructural protein [Severe fever with thrombocytopenia syndrome virus] -YP_009162639.1 nucleoprotein [Hunter Island virus] -YP_009047243.1 nonstructural S [Heartland virus] -YP_006504093.1 nonstructural protein [SFTS virus HB29] diff --git a/seq/clusters_info/cluster_759 b/seq/clusters_info/cluster_759 deleted file mode 100644 index 056e63a..0000000 --- a/seq/clusters_info/cluster_759 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010085022.1 TM protein [Pohorje myodes paramyxovirus 1] -YP_009666853.1 putative TM protein [Mount Mabu Lophuromys virus 2] -YP_009094118.1 transmembrane protein [Tailam virus] -YP_512252.1 transmembrane protein [Beilong virus] -YP_338083.1 transmembrane protein [J-virus] diff --git a/seq/clusters_info/cluster_76 b/seq/clusters_info/cluster_76 deleted file mode 100644 index 3d0c70a..0000000 --- a/seq/clusters_info/cluster_76 +++ /dev/null @@ -1,49 +0,0 @@ -NP_057854.1 Rev [Human immunodeficiency virus 1] -sp|Q73371.2|REV_HV1B9 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q1A263.1|REV_SIVMB RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q9IDV4.2|REV_HV1YB RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P0C1L3.1|REV_HV1MV RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P0C1L2.1|REV_HV1MP RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q9QSQ9.1|REV_HV1VI RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q8AIH7.1|REV_SIVTN RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -sp|Q75006.1|REV_HV1ET RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|O70890.1|REV_HV193 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P69718.1|REV_HV1H3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P18803.2|REV_HV1ND RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P35960.1|REV_HV1Y2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P17280.1|REV_SIVCZ RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05866.1|REV_HV1W2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05870.1|REV_HV1RH RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P20887.1|REV_HV1OY RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05871.1|REV_HV1MN RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P19548.1|REV_HV1S1 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P19547.1|REV_HV1S3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P04621.1|REV_HV1EL RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P20869.1|REV_HV1JR RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05865.1|REV_HV1C4 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P04623.1|REV_HV1A2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05864.1|REV_HV1B8 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P12483.1|REV_HV1Z2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05869.1|REV_HV1Z8 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05867.1|REV_HV1Z3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P12484.1|REV_HV1J3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P12485.1|REV_HV1BN RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05868.1|REV_HV1ZH RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q1A245.1|REV_SIVEK RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|O91084.2|REV_HV1YF RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P0C1L7.1|REV_HV1SE RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P0C1L1.1|REV_HV1M2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P0C1L4.1|REV_HV1AN RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P0C1L5.1|REV_HV197 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P0C1L6.1|REV_HV196 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q9WC67.1|REV_HV1S9 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q9WC58.1|REV_HV1S2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q9Q716.1|REV_HV1V9 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|O70900.1|REV_HV190 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|O41802.1|REV_HV19N RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|O12162.1|REV_HV192 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|Q70624.1|REV_HV1LW RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P24739.1|REV_HV1U4 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P05872.1|REV_HV1SC RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P04622.1|REV_HV1MA RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -sp|P04620.1|REV_HV1BR RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins diff --git a/seq/clusters_info/cluster_760 b/seq/clusters_info/cluster_760 deleted file mode 100644 index 313356c..0000000 --- a/seq/clusters_info/cluster_760 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010085018.1 C protein [Pohorje myodes paramyxovirus 1] -YP_009666850.1 C protein [Mount Mabu Lophuromys virus 2] -YP_009094114.1 C protein [Tailam virus] -YP_512248.1 C protein [Beilong virus] -YP_338079.1 C protein [J-virus] diff --git a/seq/clusters_info/cluster_761 b/seq/clusters_info/cluster_761 deleted file mode 100644 index 5013b6d..0000000 --- a/seq/clusters_info/cluster_761 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009111405.1 3C [torchivirus A1] -YP_002956128.1 3C [Cosavirus D] -YP_002956117.1 3C [Human cosavirus B] -YP_002956106.1 3C [Cosavirus A] -YP_002956086.1 3C [Cosavirus E] diff --git a/seq/clusters_info/cluster_762 b/seq/clusters_info/cluster_762 deleted file mode 100644 index 34e0fab..0000000 --- a/seq/clusters_info/cluster_762 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010088092.1 2A [tottorivirus A1] -YP_009513259.1 2A [Equine rhinitis A virus] -YP_006846321.1 2A [Bovine hungarovirus 1] -NP_740353.1 2A protein [Teschovirus A] -NP_740365.1 2A [Equine rhinitis B virus 1] diff --git a/seq/clusters_info/cluster_763 b/seq/clusters_info/cluster_763 deleted file mode 100644 index b377a93..0000000 --- a/seq/clusters_info/cluster_763 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010084331.1 P0 [Pepper vein yellows virus 2] -YP_009351864.1 P0 [African eggplant yellowing virus] -YP_009455739.1 P0 [Pepper vein yellows virus 5] -YP_004207915.1 putative P0 protein [Pepper vein yellows virus] -YP_001931929.1 putative P0 protein [Tobacco vein distorting virus] diff --git a/seq/clusters_info/cluster_764 b/seq/clusters_info/cluster_764 deleted file mode 100644 index 8381aa0..0000000 --- a/seq/clusters_info/cluster_764 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010087181.1 Gx [Cuiaba virus] -YP_009362273.1 hypothetical protein [Chaco virus] -YP_009362217.1 hypothetical protein [Sripur virus] -YP_009362109.1 hypothetical protein [Sena Madureira virus] -YP_009094371.1 putative protein U2 [Almpiwar virus] diff --git a/seq/clusters_info/cluster_765 b/seq/clusters_info/cluster_765 deleted file mode 100644 index a9b8a56..0000000 --- a/seq/clusters_info/cluster_765 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086791.1 4b protein [Cabbage cytorhabdovirus 1] -YP_009300686.1 4b protein [Wuhan Insect virus 4] -YP_002308373.1 protein 3 [Lettuce yellow mottle virus] -YP_002019749.1 movement protein [Potato virus T] -YP_425089.1 4b protein [Lettuce necrotic yellows virus] diff --git a/seq/clusters_info/cluster_766 b/seq/clusters_info/cluster_766 deleted file mode 100644 index bd50a6d..0000000 --- a/seq/clusters_info/cluster_766 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086767.1 glycoprotein [Holmes Jungle virus] -YP_009362226.1 glycoprotein [Ord River virus] -YP_009362175.1 glycoprotein [La Joya virus] -YP_009361977.1 glycoprotein [Parry Creek virus] -YP_002333278.1 virion transmembrane glycoprotein [Wongabel hapavirus] diff --git a/seq/clusters_info/cluster_767 b/seq/clusters_info/cluster_767 deleted file mode 100644 index 27a82e4..0000000 --- a/seq/clusters_info/cluster_767 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086766.1 matrix protein [Holmes Jungle virus] -YP_009362225.1 matrix [Ord River virus] -YP_009362172.1 matrix [La Joya virus] -YP_009361976.1 matrix [Parry Creek virus] -YP_002333277.1 matrix protein [Wongabel hapavirus] diff --git a/seq/clusters_info/cluster_768 b/seq/clusters_info/cluster_768 deleted file mode 100644 index 60db56d..0000000 --- a/seq/clusters_info/cluster_768 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009512994.1 matrix [Flanders hapavirus] -YP_009505467.1 matrix [Mossuril virus] -YP_009361986.1 matrix [Kamese virus] -YP_009361967.1 matrix [Mosqueiro virus] -YP_009361956.1 matrix [Hart Park virus] diff --git a/seq/clusters_info/cluster_769 b/seq/clusters_info/cluster_769 deleted file mode 100644 index 369394b..0000000 --- a/seq/clusters_info/cluster_769 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009508530.1 matrix protein [Beatrice Hill virus] -YP_009362246.1 matrix [Sweetwater Branch virus] -YP_009301741.1 matrix protein [Wuhan Insect virus 7] -YP_009094407.1 matrix protein M [Coastal Plains virus] -YP_007641370.1 matrix protein M [Tibrogargan virus] diff --git a/seq/clusters_info/cluster_77 b/seq/clusters_info/cluster_77 deleted file mode 100644 index ad1b22d..0000000 --- a/seq/clusters_info/cluster_77 +++ /dev/null @@ -1,48 +0,0 @@ -YP_009118481.1 neuraminidase [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308872.1 neuraminidase [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308842.1 neuraminidase [Influenza A virus (A/New York/392/2004(H3N2))] -NP_859038.1 neuraminidase [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -sp|P03476.2|NRAM_I71A2 RecName: Full=Neuraminidase -sp|Q20NP0.1|NRAM_I80A8 RecName: Full=Neuraminidase -sp|Q6XV52.1|NRAM_I61A0 RecName: Full=Neuraminidase -sp|P88838.1|NRAM_I56A3 RecName: Full=Neuraminidase -sp|P05803.1|NRAM_I84A1 RecName: Full=Neuraminidase -sp|P03479.1|NRAM_I76A1 RecName: Full=Neuraminidase -sp|Q20NV8.1|NRAM_I80AD RecName: Full=Neuraminidase -sp|P0DOF9.1|NRAM_I72A8 RecName: Full=Neuraminidase -sp|Q9EA42.2|NRAM_I77A4 RecName: Full=Neuraminidase -sp|Q1K9Q1.2|NRAM_I57A0 RecName: Full=Neuraminidase -sp|P03471.2|NRAM_I71A1 RecName: Full=Neuraminidase -sp|Q38SQ5.1|NRAM_I83A8 RecName: Full=Neuraminidase -sp|Q30NP8.1|NRAM_I75A0 RecName: Full=Neuraminidase -sp|Q2RCH3.1|NRAM_I80A4 RecName: Full=Neuraminidase -sp|Q91MA2.1|NRAM_I68A4 RecName: Full=Neuraminidase -sp|Q75VQ0.1|NRAM_I77AH RecName: Full=Neuraminidase -sp|Q6XTN2.1|NRAM_I69A0 RecName: Full=Neuraminidase -sp|Q67344.1|NRAM_I82A7 RecName: Full=Neuraminidase -sp|Q67212.2|NRAM_I57A1 RecName: Full=Neuraminidase -sp|O91745.1|NRAM_I96A1 RecName: Full=Neuraminidase -sp|O91744.1|NRAM_I93A0 RecName: Full=Neuraminidase -sp|P06820.2|NRAM_I67A0 RecName: Full=Neuraminidase -sp|Q09106.1|NRAM_I78AB RecName: Full=Neuraminidase -sp|Q09105.1|NRAM_I76AB RecName: Full=Neuraminidase -sp|Q09104.1|NRAM_I76A9 RecName: Full=Neuraminidase -sp|P03482.1|NRAM_I75A3 RecName: Full=Neuraminidase -sp|P03484.1|NRAM_I57A4 RecName: Full=Neuraminidase -sp|P09573.2|NRAM_I83A6 RecName: Full=Neuraminidase -sp|Q0A456.1|NRAM_I66A1 RecName: Full=Neuraminidase -sp|Q6XV27.1|NRAM_I56A2 RecName: Full=Neuraminidase -sp|P31510.1|NRAM_I85A8 RecName: Full=Neuraminidase -sp|P03472.1|NRAM_I75A5 RecName: Full=Neuraminidase -sp|P09574.1|NRAM_I83A7 RecName: Full=Neuraminidase -sp|Q6XV28.1|NRAM_I77AG RecName: Full=Neuraminidase -sp|A3KF10.1|NRAM_I56A1 RecName: Full=Neuraminidase -sp|Q2VND0.1|NRAM_I78A8 RecName: Full=Neuraminidase -sp|Q2VC95.1|NRAM_I80A2 RecName: Full=Neuraminidase -sp|Q0A2R1.1|NRAM_I85A3 RecName: Full=Neuraminidase -sp|P18881.1|NRAM_I000F RecName: Full=Neuraminidase -sp|Q6XV24.1|NRAM_I84A4 RecName: Full=Neuraminidase -sp|Q6XV23.1|NRAM_I77AF RecName: Full=Neuraminidase -sp|P03480.2|NRAM_I49A1 RecName: Full=Neuraminidase -sp|Q6XV26.1|NRAM_I76AK RecName: Full=Neuraminidase -sp|P08327.1|NRAM_I74A1 RecName: Full=Neuraminidase diff --git a/seq/clusters_info/cluster_770 b/seq/clusters_info/cluster_770 deleted file mode 100644 index 45cf0ad..0000000 --- a/seq/clusters_info/cluster_770 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009337293.1 putative matrix protein [Hubei diptera virus 10] -YP_009337137.1 putative matrix protein [Hubei diptera virus 9] -YP_009304978.1 matrix protein [Wuhan House Fly Virus 1] -YP_009304654.1 matrix protein [Wuhan Fly Virus 2] -YP_009300667.1 matrix protein [Shayang Fly Virus 2] diff --git a/seq/clusters_info/cluster_771 b/seq/clusters_info/cluster_771 deleted file mode 100644 index a70c405..0000000 --- a/seq/clusters_info/cluster_771 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086761.1 phosphoprotein [Holmes Jungle virus] -YP_009362221.1 phosphoprotein [Ord River virus] -YP_009362168.1 phosphoprotein [La Joya virus] -YP_009361972.1 phosphoprotein [Parry Creek virus] -YP_002333273.1 polymerase-associated protein [Wongabel hapavirus] diff --git a/seq/clusters_info/cluster_772 b/seq/clusters_info/cluster_772 deleted file mode 100644 index 33b3067..0000000 --- a/seq/clusters_info/cluster_772 +++ /dev/null @@ -1,5 +0,0 @@ -YP_010086410.1 P protein [Maize yellow striate virus] -YP_009362276.1 phosphoprotein [Colocasia bobone disease-associated virus] -YP_009506254.1 phosphoprotein [Cacao swollen shoot Togo A virus] -YP_009177223.1 P protein [Barley yellow striate mosaic cytorhabdovirus] -NP_057955.1 phosphoprotein [Northern cereal mosaic cytorhabdovirus] diff --git a/seq/clusters_info/cluster_773 b/seq/clusters_info/cluster_773 deleted file mode 100644 index 932246d..0000000 --- a/seq/clusters_info/cluster_773 +++ /dev/null @@ -1,5 +0,0 @@ -YP_007641365.1 matrix protein [Perch perhabdovirus] -YP_009505477.1 matrix protein [Lake trout rhabdovirus 903/87] -YP_009315875.1 matrix protein [Orgi virus] -YP_009094474.1 matrix protein [Dolphin rhabdovirus] -YP_008686605.1 matrix protein [Eel virus European X] diff --git a/seq/clusters_info/cluster_774 b/seq/clusters_info/cluster_774 deleted file mode 100644 index 825fd36..0000000 --- a/seq/clusters_info/cluster_774 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009664183.1 core protein C [Bat hepacivirus] -YP_009664173.1 core protein C [Hepacivirus K] -YP_009664163.1 core protein C [Hepacivirus M] -YP_009664193.1 core protein C [Non-primate hepacivirus NZP1] -YP_009325382.1 core protein C [Equine hepacivirus JPN3/JAPAN/2013] diff --git a/seq/clusters_info/cluster_775 b/seq/clusters_info/cluster_775 deleted file mode 100644 index f29e0a8..0000000 --- a/seq/clusters_info/cluster_775 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009518859.1 M2-2 protein [Human orthopneumovirus] -NP_056865.3 M2-2 protein [Human orthopneumovirus] -YP_009505457.1 Matrix protein M2-2 [Bovine respiratory syncytial virus ATCC51908] -sp|P88812.1|M22_HRSVA RecName: Full=Protein M2-2 -sp|Q77KZ6.2|M22_BRSVA RecName: Full=Protein M2-2 diff --git a/seq/clusters_info/cluster_776 b/seq/clusters_info/cluster_776 deleted file mode 100644 index 1fc315b..0000000 --- a/seq/clusters_info/cluster_776 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009944280.1 ORF8b [Betacoronavirus England 1] -YP_009944338.1 ORF8b [Pipistrellus bat coronavirus HKU5] -YP_009944336.1 ORF8b [Tylonycteris bat coronavirus HKU4] -YP_009944307.1 Orf8b [Bat coronavirus] -YP_009513019.1 ORF8b [Betacoronavirus Erinaceus/VMC/DEU/2012] diff --git a/seq/clusters_info/cluster_777 b/seq/clusters_info/cluster_777 deleted file mode 100644 index e953d64..0000000 --- a/seq/clusters_info/cluster_777 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009825062.1 ORF9b protein [SARS coronavirus Tor2] -sp|P0DTD2.1|ORF9B_SARS2 RecName: Full=ORF9b protein; Short=ORF9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b; AltName: Full=Protein 9b -sp|P0C5A6.1|ORF9B_BC279 RecName: Full=Protein 9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b -sp|Q3LZX3.1|ORF9B_BCHK3 RecName: Full=Protein 9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b -sp|Q3I5I6.1|ORF9B_BCRP3 RecName: Full=Protein 9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b diff --git a/seq/clusters_info/cluster_778 b/seq/clusters_info/cluster_778 deleted file mode 100644 index 7423fbc..0000000 --- a/seq/clusters_info/cluster_778 +++ /dev/null @@ -1,5 +0,0 @@ -YP_005454246.1 NS5a protein [Rabbit coronavirus HKU14] -YP_009555242.1 ns12.9 [Human coronavirus OC43] -NP_150080.1 12.7 kDa non-structural protein [Bovine coronavirus] -sp|Q774I1.1|NS12_CVP67 RecName: Full=Non-structural protein of 12.7 kDa; Short=ns12.7; AltName: Full=12.7 kDa accessory protein -sp|Q9QAQ5.1|NS12_CVBOK RecName: Full=Non-structural protein of 12.7 kDa; Short=ns12.7; AltName: Full=12.7 kDa accessory protein diff --git a/seq/clusters_info/cluster_779 b/seq/clusters_info/cluster_779 deleted file mode 100644 index f7dd790..0000000 --- a/seq/clusters_info/cluster_779 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009167365.1 3A [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -YP_009167354.1 3A [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -YP_009001377.1 3A [Caprine kobuvirus] -NP_740441.2 3A [Aichi virus 1] -NP_859025.1 3A [Aichivirus B] diff --git a/seq/clusters_info/cluster_78 b/seq/clusters_info/cluster_78 deleted file mode 100644 index 7bc3ca9..0000000 --- a/seq/clusters_info/cluster_78 +++ /dev/null @@ -1,47 +0,0 @@ -YP_009551622.1 RNA-dependent RNA polymerase [Guangxi orbivirus] -YP_009507718.1 VP1 [Orungo virus] -YP_009507707.1 VP1 [Lebombo virus] -YP_009507705.1 VP1 [Eubenangee virus] -YP_009507687.1 VP1 [Equine encephalosis virus] -YP_009507729.1 VP1 [Warrego virus] -YP_009507680.1 VP1 protein [Corriparta virus] -YP_009345879.1 VP1 [Orbivirus SX-2017a] -YP_009163940.1 polymerase [Fengkai orbivirus] -YP_009158901.1 RNA-dependent RNA polymerase [Chobar Gorge virus] -YP_009158878.1 RNA-dependent RNA polymerase [Chenuda virus] -YP_009158877.1 RNA-dependent RNA polymerase [Wad Medani virus] -YP_009047258.1 RNA-dependent RNA polymerase [Umatilla virus] -YP_008719926.1 RNA polymarase [Changuinola virus] -YP_008719912.1 VP1 [Mobuck virus] -YP_008658416.1 RNA-dependent RNA polymerase [Wallal virus] -YP_003896058.1 RNA-dependent RNA polymerase [Great Island virus] -YP_003240108.1 VP1 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -YP_002925132.1 VP1 [Stretch Lagoon orbivirus] -YP_460038.1 VP1 [Peruvian horse sickness virus] -YP_443925.1 VP1 [Yunnan orbivirus] -YP_052935.1 RNA-dependent RNA polymerase VP1 [Palyam virus] -YP_052942.1 putative RNA-dependent RNA polymerase VP1 [St Croix River virus] -YP_052968.1 hypothetical protein BTVs1gp1 [Bluetongue virus] -YP_052966.1 RNA-dependent RNA polymerase [African horse sickness virus] -AIV43189.1 VP1, partial [Changuinola virus] -AGE32260.1 VP1 [Sathuvachari virus] -AIV43186.1 VP1, partial [Changuinola virus] -ADM88606.1 RNA-dependent RNA polymerase [Tribec virus] -AFX73365.1 VP1 [Changuinola virus] -BAD89093.1 minor structural protein VP1 [Ibaraki virus] -AGZ62525.1 VP1, partial [Heramatsu virus] -AKC89306.1 VP1 protein, partial [Chenuda virus] -ANH10670.1 VP1 [Parry's Lagoon virus] -ADU57375.1 VP1 protein [Equine encephalosis virus] -AGZ92012.1 RNA polymerase VP1 [Changuinola virus] -APC23688.2 VP1 protein [Bluetongue virus] -AGZ92017.1 RNA polymerase VP1 [Changuinola virus] -ALL54833.1 VP1 [African horse sickness virus 1] -AFH41519.1 VP1 [Pata virus] -AGG68141.1 VP1 [Kemerovo virus] -ALW83178.1 VP1 [Palyam virus] -AFH41499.1 VP1 [Tilligerry virus] -CEK41871.1 RNA-dependent RNA polymerase; VP1 protein [Bluetongue virus] -ANK78265.1 VP1 [Bluetongue virus 16] -AIU36219.1 VP1, partial [Mulberry orbivirus] -AER60533.1 VP1 [Bluetongue virus] diff --git a/seq/clusters_info/cluster_780 b/seq/clusters_info/cluster_780 deleted file mode 100644 index f821dc5..0000000 --- a/seq/clusters_info/cluster_780 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009407903.1 putative capsid protein [Lasius neglectus virus 1] -YP_009407941.1 putative capsid protein [Lasius niger virus 1] -YP_009407935.1 putative capsid protein [Solenopsis invicta virus 4] -YP_009407947.1 putative capsid protein [Myrmica scabrinodis virus 1] -YP_009513237.1 putative capsid protein [Solenopsis invicta virus 2] diff --git a/seq/clusters_info/cluster_781 b/seq/clusters_info/cluster_781 deleted file mode 100644 index b7de2bd..0000000 --- a/seq/clusters_info/cluster_781 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009824952.1 GP3 protein [Zambian malbrouck virus 1] -YP_009505576.1 ORF6 protein [Simian hemorrhagic encephalitis virus] -YP_009505575.1 ORF5 protein [Simian hemorrhagic encephalitis virus] -YP_009249817.1 GP4 protein [Free State vervet virus] -YP_009249816.1 GP3 protein [Free State vervet virus] diff --git a/seq/clusters_info/cluster_782 b/seq/clusters_info/cluster_782 deleted file mode 100644 index 7c52a41..0000000 --- a/seq/clusters_info/cluster_782 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009270626.1 hypothetical protein 2 [Brejeira virus] -YP_009552740.1 hypothetical protein [Ying Kou virus] -YP_009362299.1 ORF2 [Castlerea virus] -YP_009351831.1 hypothetical protein 2 [Piura virus] -YP_009256206.1 hypothetical protein 2 [Negev virus] diff --git a/seq/clusters_info/cluster_783 b/seq/clusters_info/cluster_783 deleted file mode 100644 index d63910a..0000000 --- a/seq/clusters_info/cluster_783 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009362256.1 matrix [Oita virus] -YP_009177012.1 M [Kumasi rhabdovirus] -YP_009094344.1 matrix protein [Scophthalmus maximus rhabdovirus] -YP_009094025.1 M protein [Kolente virus] -YP_009094020.1 matrix protein [Fikirini rhabdovirus] diff --git a/seq/clusters_info/cluster_784 b/seq/clusters_info/cluster_784 deleted file mode 100644 index bd797de..0000000 --- a/seq/clusters_info/cluster_784 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009273019.1 capsid protein [Arabidopsis halleri partitivirus 1] -YP_009551596.1 coat protein [Medicago sativa alphapartitivirus 1] -YP_009362099.1 putative coat protein [Bipolaris maydis partitivirus 1] -YP_007419078.1 capsid protein [Rosellinia necatrix partitivirus 2] -YP_003082249.1 putative coat protein [Sclerotinia sclerotiorum partitivirus S] diff --git a/seq/clusters_info/cluster_785 b/seq/clusters_info/cluster_785 deleted file mode 100644 index 7dfd1b4..0000000 --- a/seq/clusters_info/cluster_785 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009709878.1 nonstructural protein NS4B [Hepacivirus P] -YP_007905991.1 NS4B protein [Rodent hepacivirus] -YP_009325409.1 nonstructural protein NS4B [Norway rat hepacivirus 2] -YP_009679001.1 nonstructural protein NS4B [Hepacivirus F] -YP_009325399.1 nonstructural protein NS4B [Norway rat hepacivirus 1] diff --git a/seq/clusters_info/cluster_786 b/seq/clusters_info/cluster_786 deleted file mode 100644 index 8851f91..0000000 --- a/seq/clusters_info/cluster_786 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009709877.1 nonstructural protein NS4A [Hepacivirus P] -YP_007905990.1 NS4A protein [Rodent hepacivirus] -YP_009325408.1 nonstructural protein NS4A [Norway rat hepacivirus 2] -YP_009679000.1 nonstructural protein NS4A [Hepacivirus F] -YP_009325398.1 nonstructural protein NS4A [Norway rat hepacivirus 1] diff --git a/seq/clusters_info/cluster_787 b/seq/clusters_info/cluster_787 deleted file mode 100644 index f01b7ce..0000000 --- a/seq/clusters_info/cluster_787 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009665194.1 unnamed protein product [Berne virus] -YP_009380538.1 membrane glycoprotein [Goat torovirus] -YP_008798233.1 membrane glycoprotein [Porcine torovirus] -YP_337908.1 membrane glycoprotein [Breda virus] -sp|O90305.1|VME1_BRV1 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein diff --git a/seq/clusters_info/cluster_788 b/seq/clusters_info/cluster_788 deleted file mode 100644 index 918f201..0000000 --- a/seq/clusters_info/cluster_788 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009665193.1 precursor polypeptide (AA -19 to 1562) [Berne virus] -YP_009380537.1 spike glycoprotein [Goat torovirus] -YP_008798232.1 spike glycoprotein [Porcine torovirus] -YP_337907.1 spike glycoprotein [Breda virus] -sp|O90304.1|SPIKE_BRV1 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor diff --git a/seq/clusters_info/cluster_789 b/seq/clusters_info/cluster_789 deleted file mode 100644 index a355ace..0000000 --- a/seq/clusters_info/cluster_789 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009553318.1 TGB2 [Wheat stripe mosaic virus] -YP_009513204.1 13 kDa protein [Beet soil-borne mosaic virus] -YP_009507934.1 12K protein [Rice stripe necrosis virus] -YP_008219067.1 second triple-gene-block protein [Burdock mottle virus] -NP_612618.1 13k transport protein [Beet necrotic yellow vein virus] diff --git a/seq/clusters_info/cluster_79 b/seq/clusters_info/cluster_79 deleted file mode 100644 index 129d49f..0000000 --- a/seq/clusters_info/cluster_79 +++ /dev/null @@ -1,46 +0,0 @@ -YP_009553013.2 187 kDa hypothetical polymerase [Opuntia virus 2] -YP_009362087.1 protein 183 [Hoya chlorotic spot virus] -YP_009333242.1 RdRp [Beihai charybdis crab virus 1] -YP_009254747.1 183 kDa replicase [Tropical soda apple mosaic virus] -YP_009182168.1 183 kDa replicase [Tomato brown rugose fruit virus] -YP_009130653.1 replicase large protein [Plumeria mosaic virus] -YP_009109559.1 RNA-dependent RNA polymerase [Macrophomina phaseolina tobamo-like virus] -YP_009094908.1 187 kDa polymerase [Hibiscus latent Fort Pierce virus] -YP_719997.2 RNA-dependent RNA polymerase [Hibiscus latent Singapore virus] -YP_008802584.1 183k replicase [Yellow tailflower mild mottle virus] -YP_008492928.1 RNA-dependent RNA polymerase [Tomato mottle mosaic virus] -YP_005476600.1 replicase readthrough component [Ribgrass mosaic virus] -NP_062913.2 183 kDa protein [Tobacco mild green mosaic virus] -YP_004956727.1 unnamed protein product [Clitoria yellow mottle virus] -YP_004936166.1 unnamed protein product [Rattail cactus necrosis-associated virus] -YP_004465358.1 unnamed protein product [Passion fruit mosaic virus] -YP_001974323.2 replicase [Brugmansia mild mottle virus] -YP_003915153.1 187 kDa polymerase [Frangipani mosaic virus] -YP_002455905.1 replicase [Cactus mild mottle virus] -YP_001333649.1 181 kDa replicase [Bell pepper mottle virus] -YP_001041889.1 183 kDa protein [Rehmannia mosaic virus] -YP_950422.1 55 kDa replicase [Maracuja mosaic virus] -YP_950421.1 126 kDa replicase [Maracuja mosaic virus] -YP_908760.1 188 kDa replicase [Cucumber mottle virus] -YP_762617.1 putative replicase [Streptocarpus flower break virus] -NP_740757.2 replicase [Youcai mosaic virus] -NP_671718.1 183kDa protein [Paprika mild mottle virus] -NP_624336.1 189 kDa replicase [Zucchini green mottle mosaic virus] -NP_620841.1 180K protein [Obuda pepper virus] -NP_619684.1 189 kDa replicase [Kyuri green mottle mosaic virus] -NP_597746.1 Replicase [Tobacco mosaic virus] -NP_543049.1 unnamed protein product [Wasabi mottle virus] -NP_078446.1 unnamed protein product [Tomato mosaic virus] -NP_072162.1 unnamed protein product [Cucumber fruit mottle mosaic virus] -NP_046151.1 unnamed protein product [Turnip vein-clearing virus] -NP_044577.1 186K protein [Cucumber green mottle mosaic virus] -NP_056808.1 181 KDa readthrough protein [Odontoglossum ringspot virus] -sp|Q98745.1|RDRP_TMVRA RecName: Full=Replicase large subunit; AltName: Full=183 kDa protein; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Replicase small subunit; AltName: Full=126 kDa protein; AltName: Full=Methyltransferase/RNA helicase; Short=MT/HEL -sp|P89202.2|RDRP_SHMV RecName: Full=Replicase large subunit; AltName: Full=183 kDa protein; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Replicase small subunit; AltName: Full=126 kDa protein; AltName: Full=Methyltransferase/RNA helicase; Short=MT/HEL -sp|Q84133.2|RDRP_ORSVS RecName: Full=Replicase large subunit; AltName: Full=183 kDa protein; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Replicase small subunit; AltName: Full=126 kDa protein; AltName: Full=Methyltransferase/RNA helicase; Short=MT/HEL -AJA04604.1 183 kDa protein [Tobacco mild green mosaic virus] -BAD93195.1 RNA replicase [Kyuri green mottle mosaic virus] -APG77540.1 replicase, partial [Pepper mild mottle virus] -APD13852.1 replicase large protein [Plumeria mosaic virus] -AEV40683.1 replicase large component [Cucumber fruit mottle mosaic virus] -AAZ81884.1 RdRp readthrough protein [Odontoglossum ringspot virus] diff --git a/seq/clusters_info/cluster_790 b/seq/clusters_info/cluster_790 deleted file mode 100644 index f1e251e..0000000 --- a/seq/clusters_info/cluster_790 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009553317.1 TGB1 [Wheat stripe mosaic virus] -YP_009513203.1 42 kDa protein [Beet soil-borne mosaic virus] -YP_009507933.1 38K protein [Rice stripe necrosis virus] -YP_008219066.1 first triple-gene-block protein [Burdock mottle virus] -NP_612617.1 42k transport protein [Beet necrotic yellow vein virus] diff --git a/seq/clusters_info/cluster_791 b/seq/clusters_info/cluster_791 deleted file mode 100644 index fa5cb24..0000000 --- a/seq/clusters_info/cluster_791 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009553691.1 NIa-Pro protease [Wheat spindle streak mosaic virus] -NP_734280.1 NIa-Pro protein [Oat mosaic virus] -NP_697038.1 NIa-Pro protein [Wheat yellow mosaic virus] -NP_734298.1 NIa-Pro protein [Barley mild mosaic virus] -NP_734306.1 NIa-Pro protease [Barley yellow mosaic virus] diff --git a/seq/clusters_info/cluster_792 b/seq/clusters_info/cluster_792 deleted file mode 100644 index d3fde1d..0000000 --- a/seq/clusters_info/cluster_792 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009553687.1 7K protein [Wheat spindle streak mosaic virus] -NP_734276.1 6K1 protein [Oat mosaic virus] -NP_697036.1 6K1 protein [Wheat yellow mosaic virus] -NP_734294.1 6k1 protein [Barley mild mosaic virus] -NP_734302.1 6K1 protein [Barley yellow mosaic virus] diff --git a/seq/clusters_info/cluster_793 b/seq/clusters_info/cluster_793 deleted file mode 100644 index 4e5da1f..0000000 --- a/seq/clusters_info/cluster_793 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009551646.1 structural protein [Chiqui virus] -YP_009428582.1 structural protein P1, partial [Grapevine Cabernet Sauvignon reovirus] -YP_005255246.1 P1 gene product [Spissistilus festinus reovirus] -YP_003934917.1 structural protein P1 [Raspberry latent virus] -NP_620514.1 P1 [Rice ragged stunt virus] diff --git a/seq/clusters_info/cluster_794 b/seq/clusters_info/cluster_794 deleted file mode 100644 index 9d0f123..0000000 --- a/seq/clusters_info/cluster_794 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009551645.1 nonstructural protein [Chiqui virus] -YP_009428586.1 nonstructural protein NSP8, partial [Grapevine Cabernet Sauvignon reovirus] -YP_005255243.1 P6 gene product [Spissistilus festinus reovirus] -YP_003934925.1 non-structural protein NSP8 [Raspberry latent virus] -NP_620540.1 Pns7 [Rice ragged stunt virus] diff --git a/seq/clusters_info/cluster_795 b/seq/clusters_info/cluster_795 deleted file mode 100644 index 08849ae..0000000 --- a/seq/clusters_info/cluster_795 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009158907.1 major core protein [Chobar Gorge virus] -YP_009158896.1 major core protein [Chenuda virus] -YP_009158889.1 major core protein [Wad Medani virus] -YP_003896064.1 VP7 [Great Island virus] -sp|P35935.1|VP7_BRD RecName: Full=Core protein VP7 diff --git a/seq/clusters_info/cluster_796 b/seq/clusters_info/cluster_796 deleted file mode 100644 index ec377ad..0000000 --- a/seq/clusters_info/cluster_796 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009551614.1 unnamed protein product [Apple necrotic mosaic virus] -YP_009104373.1 coat protein [Lilac leaf chlorosis virus] -YP_008519307.1 coat protein [Blueberry shock virus] -NP_733826.1 coat protein [Prunus necrotic ringspot virus] -NP_604485.1 coat protein [Apple mosaic virus] diff --git a/seq/clusters_info/cluster_797 b/seq/clusters_info/cluster_797 deleted file mode 100644 index 6b01059..0000000 --- a/seq/clusters_info/cluster_797 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009551613.1 unnamed protein product [Apple necrotic mosaic virus] -YP_009104372.1 movement protein [Lilac leaf chlorosis virus] -YP_008519306.1 movement protein [Blueberry shock virus] -NP_733825.1 movement protein [Prunus necrotic ringspot virus] -NP_604484.1 putative cell-to-cell movement protein [Apple mosaic virus] diff --git a/seq/clusters_info/cluster_798 b/seq/clusters_info/cluster_798 deleted file mode 100644 index 574c102..0000000 --- a/seq/clusters_info/cluster_798 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009551582.1 hypothetical protein [Daphnis nerii cypovirus] -YP_009330265.1 Cypovirus VP4 [Hubei lepidoptera virus 3] -YP_001883320.1 p4 [Cypovirus 5] -NP_149149.1 hypothetical protein LdCPV1s4gp1 [Cypovirus 1] -NP_149138.1 hypothetical protein LdcV14s4gp1 [Cypovirus 14] diff --git a/seq/clusters_info/cluster_799 b/seq/clusters_info/cluster_799 deleted file mode 100644 index 465e1a8..0000000 --- a/seq/clusters_info/cluster_799 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009342469.1 polyprotein [Petunia chlorotic mottle virus] -YP_009507917.1 polyprotein P2 [Aeonium ringspot virus] -YP_008802579.1 RNA 2 polyprotein [Potato black ringspot virus] -NP_919039.1 polyprotein [Tobacco ringspot virus] -sp|Q88894.1|CAPSD_TRSV RecName: Full=Capsid protein; AltName: Full=Coat protein; Flags: Precursor diff --git a/seq/clusters_info/cluster_8 b/seq/clusters_info/cluster_8 deleted file mode 100644 index 39046d3..0000000 --- a/seq/clusters_info/cluster_8 +++ /dev/null @@ -1,104 +0,0 @@ -YP_010084314.1 putative replication related protein [Chaetoceros species RNA virus 02] -YP_009667031.1 non-structural polyprotein [Marine RNA virus BC-4] -YP_009666860.1 non-structural polyprotein [Marine RNA virus BC-3] -YP_009666858.1 non-structural polyprotein, partial [Marine RNA virus BC-2] -YP_009666856.1 non-structural polyprotein [Marine RNA virus BC-1] -YP_009505620.1 replicase polyprotein [Chaetoceros tenuissimus RNA virus 01] -YP_009388485.1 polyprotein [Fur seal picorna-like virus] -YP_009345907.1 non-structural polyprotein [Bat dicibavirus] -YP_009337800.1 hypothetical protein [Sanxia picorna-like virus 5] -YP_009337766.1 hypothetical protein 1 [Sanxia picorna-like virus 3] -YP_009337720.1 hypothetical protein 1 [Hubei picorna-like virus 1] -YP_009337698.1 hypothetical protein 1 [Sanxia picorna-like virus 1] -YP_009337691.1 hypothetical protein [Sanxia picorna-like virus 4] -YP_009337679.1 hypothetical protein 1 [Sanxia picorna-like virus 2] -YP_009337420.1 hypothetical protein 1 [Wenzhou picorna-like virus 53] -YP_009337409.1 hypothetical protein 1 [Wenzhou gastropodes virus 1] -YP_009337400.1 hypothetical protein 1 [Wenzhou picorna-like virus 4] -YP_009337373.1 hypothetical protein 1 [Wenzhou picorna-like virus 1] -YP_009337362.1 hypothetical protein 1 [Wenzhou picorna-like virus 5] -YP_009337309.1 hypothetical protein 1 [Wenzhou picorna-like virus 6] -YP_009337246.1 hypothetical protein 1 [Wenzhou picorna-like virus 32] -YP_009337206.1 hypothetical protein 1 [Wenzhou picorna-like virus 9] -YP_009337195.1 hypothetical protein 1 [Hubei picorna-like virus 6] -YP_009337006.1 hypothetical protein 1 [Wenzhou picorna-like virus 10] -YP_009336927.1 hypothetical protein 1 [Shahe picorna-like virus 3] -YP_009336911.1 hypothetical protein 1 [Shahe picorna-like virus 1] -YP_009336775.1 hypothetical protein 1 [Shahe picorna-like virus 6] -YP_009336771.1 hypothetical protein 1 [Changjiang crawfish virus 1] -YP_009336714.1 hypothetical protein 1 [Wenzhou picorna-like virus 7] -YP_009336707.1 hypothetical protein 1 [Shahe picorna-like virus 2] -YP_009336661.1 hypothetical protein 1 [Wenzhou picorna-like virus 52] -YP_009336646.1 hypothetical protein 1 [Wenzhou picorna-like virus 2] -YP_009336635.1 hypothetical protein 1 [Wenling picorna-like virus 1] -YP_009336722.1 hypothetical protein [Wenzhou picorna-like virus 18] -YP_009333382.1 hypothetical protein 1 [Beihai picorna-like virus 26] -YP_009333606.1 hypothetical protein 2 [Beihai picorna-like virus 41] -YP_009333605.1 hypothetical protein 1 [Beihai picorna-like virus 41] -YP_009333600.1 hypothetical protein 1 [Beihai picorna-like virus 51] -YP_009333598.1 hypothetical protein 1 [Beihai picorna-like virus 33] -YP_009333593.1 hypothetical protein 1 [Beihai picorna-like virus 23] -YP_009333586.1 hypothetical protein 1 [Beihai picorna-like virus 20] -YP_009333583.1 hypothetical protein 1 [Beihai picorna-like virus 19] -YP_009333573.1 hypothetical protein 1 [Beihai picorna-like virus 21] -YP_009333568.1 hypothetical protein 1 [Beihai picorna-like virus 28] -YP_009333566.1 hypothetical protein 1 [Beihai picorna-like virus 4] -YP_009333560.1 hypothetical protein 1 [Beihai picorna-like virus 24] -YP_009333556.1 hypothetical protein 1 [Beihai picorna-like virus 17] -YP_009333554.1 hypothetical protein 1 [Beihai picorna-like virus 14] -YP_009333549.1 hypothetical protein 1 [Beihai sipunculid worm virus 3] -YP_009333528.1 hypothetical protein 1 [Beihai picorna-like virus 9] -YP_009333526.1 hypothetical protein 1 [Beihai sipunculid worm virus 4] -YP_009333481.1 hypothetical protein 1 [Beihai picorna-like virus 39] -YP_009333475.1 hypothetical protein 1 [Beihai picorna-like virus 18] -YP_009333458.1 hypothetical protein 1 [Beihai picorna-like virus 54] -YP_009333439.1 hypothetical protein 1 [Beihai sipunculid worm virus 2] -YP_009333434.1 hypothetical protein 1 [Beihai picorna-like virus 7] -YP_009333430.1 hypothetical protein 1 [Beihai picorna-like virus 30] -YP_009333425.1 hypothetical protein 1 [Beihai picorna-like virus 27] -YP_009333423.1 hypothetical protein [Beihai picorna-like virus 32] -YP_009333414.1 hypothetical protein 1 [Beihai picorna-like virus 6] -YP_009333405.1 hypothetical protein 1 [Beihai sipunculid worm virus 1] -YP_009333403.1 hypothetical protein 1 [Beihai picorna-like virus 2] -YP_009333399.1 hypothetical protein [Beihai picorna-like virus 40] -YP_009333581.1 hypothetical protein 1 [Beihai picorna-like virus 11] -YP_009333509.1 hypothetical protein 1 [Beihai picorna-like virus 1] -YP_009333428.1 hypothetical protein 1 [Beihai picorna-like virus 8] -YP_009333397.1 hypothetical protein 1 [Beihai sesarmid crab virus 1] -YP_009333342.1 hypothetical protein 1 [Beihai paphia shell virus 2] -YP_009333307.1 hypothetical protein 1 [Beihai mollusks virus 2] -YP_009333303.1 hypothetical protein 1 [Beihai paphia shell virus 1] -YP_009333281.1 hypothetical protein 1 [Beihai octopus virus 1] -YP_009333264.1 hypothetical protein 1 [Beihai hermit crab virus 1] -YP_009330066.1 hypothetical protein 1 [Beihai picorna-like virus 15] -YP_009330024.1 hypothetical protein 1 [Beihai picorna-like virus 35] -YP_009329997.1 hypothetical protein 1 [Beihai picorna-like virus 53] -YP_009329961.1 hypothetical protein 1 [Hubei leech virus 2] -YP_009329854.1 hypothetical protein 1 [Beihai picorna-like virus 5] -YP_009329864.1 hypothetical protein 1 [Beihai mollusks virus 1] -YP_009255232.1 nonstructural protein [Antarctic picorna-like virus 4] -YP_009255231.1 nonstructural protein [Antarctic picorna-like virus 3] -YP_009255229.1 nonstructural protein [Antarctic picorna-like virus 2] -YP_009230120.1 polyprotein [Marine RNA virus PAL156] -YP_009230124.1 polyprotein [Marine RNA virus PAL473] -YP_009230118.1 polyprotein [Marine RNA virus PAL128] -YP_009227212.1 predicted replication-associated polyprotein [Delisea pulchra RNA virus] -YP_009111336.1 predicted replication-associated protein [Chaetoceros tenuissimus RNA virus type-II] -YP_009047193.1 predicted replication-associated protein [Asterionellopsis glacialis RNA virus] -YP_006732323.1 polyprotein [Rhizosolenia setigera RNA virus 01] -YP_002647032.1 non-structural polyprotein [Chaetoceros socialis forma radians RNA virus 1] -YP_001429581.1 hypothetical protein JP-A_gp1 [Marine RNA virus JP-A] -APG77928.1 hypothetical protein 1 [Beihai picorna-like virus 34] -APG76803.1 hypothetical protein, partial [Beihai picorna-like virus 36] -YP_009230122.1 polyprotein [Marine RNA virus PAL438] -APG76840.1 hypothetical protein 1 [Beihai mollusks virus 1] -APG78914.1 hypothetical protein 1 [Beihai picorna-like virus 38] -APG77923.1 hypothetical protein 1 [Beihai picorna-like virus 29] -APG76681.1 hypothetical protein 1 [Wenzhou picorna-like virus 8] -APG77933.1 hypothetical protein 1 [Beihai picorna-like virus 22] -APG76901.1 hypothetical protein 1 [Beihai picorna-like virus 37] -APG77473.1 hypothetical protein, partial [Sanxia picorna-like virus 6] -APG78919.1 hypothetical protein 1 [Beihai picorna-like virus 31] -APG78917.1 hypothetical protein, partial [Beihai picorna-like virus 13] -APG76780.1 hypothetical protein 1 [Beihai picorna-like virus 25] -APG78567.1 hypothetical protein 1 [Wenzhou picorna-like virus 50] diff --git a/seq/clusters_info/cluster_80 b/seq/clusters_info/cluster_80 deleted file mode 100644 index b1d9459..0000000 --- a/seq/clusters_info/cluster_80 +++ /dev/null @@ -1,46 +0,0 @@ -YP_009664790.1 non-structural polyprotein 1AB, partial [Bat astrovirus Tm/Guangxi/LD38/2007] -YP_009664787.1 polyprotein 1AB, partial [Mamastrovirus 18] -YP_009664788.1 polyprotein 1a, partial [Mamastrovirus 18] -YP_009664785.1 polyprotein 1AB, partial [Mamastrovirus 16] -YP_009664783.1 non-structural polyprotein 1AB, partial [Bat astrovirus Tm/Guangxi/LD77/2007] -YP_009664781.1 polyprotein 1AB, partial [Mamastrovirus 14] -YP_009664779.1 non-structural polyprotein 1AB, partial [Bat astrovirus Tm/Guangxi/LD71/2007] -YP_009664777.1 RNA-dependent RNA polymerase, partial [Mamastrovirus 11] -YP_009345897.1 nonstructural protein 1a [Qinghai Himalayan marmot astrovirus 1] -YP_009336806.1 putative protease [Changjiang astro-like virus] -YP_009052461.1 ORF1b [Feline astrovirus D1] -YP_009052460.1 ORF1a [Feline astrovirus D1] -YP_009047247.1 ORF1A nonstructural polyprotein [Bovine astrovirus CH13] -YP_009047246.1 ORF1AB nonstructural polyprotein [Bovine astrovirus CH13] -YP_009047078.1 ORF1ab [Human astrovirus BF34] -YP_009047079.1 ORF1a [Human astrovirus BF34] -YP_009010968.1 ORF1ab [Porcine astrovirus 5] -YP_009010969.1 ORF1a [Porcine astrovirus 5] -YP_007003830.1 ORF1ab [Porcine astrovirus 3] -YP_007003831.1 ORF1a [Porcine astrovirus 3] -YP_006905856.1 ORF1b, partial [Astrovirus VA4] -YP_006905855.1 ORF1a [Astrovirus VA4] -YP_006905859.1 ORF1b, partial [Astrovirus VA3] -YP_006905858.1 ORF1a [Astrovirus VA3] -YP_004782205.1 ORF1a [Mouse astrovirus M-52/USA/2008] -YP_003275951.1 non-structural protein [HMO Astrovirus A] -YP_003090287.1 non-structural protein 1a [Astrovirus VA1] -YP_003090286.1 non-structural protein 1ab [Astrovirus VA1] -NP_059945.2 orf1ab polyprotein (orf1ab) [Mamastrovirus 13] -NP_795334.1 putative serine protase [Mamastrovirus 10] -NP_059944.1 nonstructural protein, putative serine protase [Mamastrovirus 13] -sp|Q80KJ7.2|NS1AB_MASV1 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -ACF75854.1 polyprotein 1AB, partial [Bat astrovirus 1] -ACF75857.1 polyprotein 1AB, partial [Bat astrovirus 1] -ACF75859.1 polyprotein 1AB, partial [Bat astrovirus 1] -BAX00198.1 ORF1ab [Porcine astrovirus 3] -ACF75855.1 polyprotein 1AB, partial [Bat astrovirus 1] -SIP85308.1 nonstructural protein 1ab [Mamastrovirus 13] -ACF75862.1 polyprotein 1AB, partial [Bat astrovirus 1] -ACF75860.1 polyprotein 1AB, partial [Bat astrovirus 1] -AIW65521.1 non-structural protein 1ab [Human astrovirus UK1] -AER30002.1 ORF1ab [Porcine astrovirus 5] -ACN88713.1 non-structural polyprotein 1AB, partial [Bat astrovirus Ha/Guangxi/LS11/2007] -ACF75858.1 polyprotein 1AB, partial [Bat astrovirus 1] -ADR65075.1 polyprotein [Mamastrovirus 10] -AGK45543.1 RNA-dependent RNA polymerase [Fox astrovirus] diff --git a/seq/clusters_info/cluster_800 b/seq/clusters_info/cluster_800 deleted file mode 100644 index ad4131e..0000000 --- a/seq/clusters_info/cluster_800 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009552276.1 polyprotein [Rhizoctonia solani endornavirus 1] -YP_009310111.1 polyprotein [Ceratobasidium endornavirus C] -YP_009310114.1 polyprotein [Ceratobasidium endornavirus B] -YP_009310116.1 polyprotein [Ceratobasidium endornavirus G] -YP_003280846.1 polyprotein [Helicobasidium mompa alphaendornavirus 1] diff --git a/seq/clusters_info/cluster_801 b/seq/clusters_info/cluster_801 deleted file mode 100644 index ab7e271..0000000 --- a/seq/clusters_info/cluster_801 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009553029.1 putative nucleic acid binding protein [Salvia divinorum RNA virus 1] -YP_009666024.1 hypothetical protein, partial [Phlomis mottle virus] -YP_004936164.1 unnamed protein product [Garlic common latent virus] -YP_001552322.1 nucleic acid binding protein [Phlox virus B] -YP_001430026.1 nucleic acid binding protein [Coleus vein necrosis virus] diff --git a/seq/clusters_info/cluster_802 b/seq/clusters_info/cluster_802 deleted file mode 100644 index 06b523d..0000000 --- a/seq/clusters_info/cluster_802 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009046483.1 coat protein [Cherry twisted leaf associated virus] -YP_007761586.1 coat protein [Cherry rusty mottle associated virus] -YP_002776351.1 coat protein [African oil palm ringspot virus] -NP_059942.1 capsid protein [Cherry necrotic rusty mottle virus] -NP_047280.1 coat protein [Cherry green ring mottle virus] diff --git a/seq/clusters_info/cluster_803 b/seq/clusters_info/cluster_803 deleted file mode 100644 index acb7719..0000000 --- a/seq/clusters_info/cluster_803 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009186832.1 protein 3a [Barley yellow dwarf virus GAV] -YP_009186824.1 protein 3a [Barley yellow dwarf virus kerII] -YP_009179361.1 protein 3a [Barley yellow dwarf virus PAV] -YP_009179359.1 protein 3a [Barley yellow dwarf virus MAV] -YP_009179355.1 protein 3a [Barley yellow dwarf virus PAS] diff --git a/seq/clusters_info/cluster_804 b/seq/clusters_info/cluster_804 deleted file mode 100644 index d59ed21..0000000 --- a/seq/clusters_info/cluster_804 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009361827.1 3C [Miniopterus schreibersii picornavirus 1] -YP_009121764.1 3C [African bat icavirus PREDICT-06105] -YP_001950231.1 protein 3C [Human TMEV-like cardiovirus] -YP_001816891.1 protein 3C [Saffold virus] -NP_740429.1 protein 3C [Theilovirus] diff --git a/seq/clusters_info/cluster_805 b/seq/clusters_info/cluster_805 deleted file mode 100644 index 93dbbfa..0000000 --- a/seq/clusters_info/cluster_805 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009342060.1 hypothetical protein [Wuhan arthropod virus 1] -YP_009337278.1 RdRp [Wenling hepe-like virus 4] -YP_009333466.1 RdRp [Beihai sea slater virus 4] -APG77551.1 RdRp [Beihai hepe-like virus 2] -APG77587.1 hypothetical protein, partial [Beihai hepe-like virus 1] diff --git a/seq/clusters_info/cluster_806 b/seq/clusters_info/cluster_806 deleted file mode 100644 index 4859a5e..0000000 --- a/seq/clusters_info/cluster_806 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009336872.1 hypothetical protein 3 [Hubei toti-like virus 16] -YP_009336713.1 hypothetical protein 2 [Beihai toti-like virus 4] -YP_009333402.1 hypothetical protein 3 [Beihai sea slater virus 3] -APG75979.1 hypothetical protein, partial [Wenzhou toti-like virus 2] -APG75982.1 hypothetical protein 2 [Beihai toti-like virus 5] diff --git a/seq/clusters_info/cluster_807 b/seq/clusters_info/cluster_807 deleted file mode 100644 index 9f185b7..0000000 --- a/seq/clusters_info/cluster_807 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009552713.1 coat protein [Lettuce chordovirus 1] -YP_009666023.1 coat protein [Phlomis mottle virus] -YP_004732980.2 coat protein [Grapevine Pinot gris virus] -YP_009051686.1 coat protein [Prunus virus T] -YP_004293218.1 coat protein [Grapevine berry inner necrosis virus] diff --git a/seq/clusters_info/cluster_808 b/seq/clusters_info/cluster_808 deleted file mode 100644 index 4eb4dd4..0000000 --- a/seq/clusters_info/cluster_808 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009552002.1 RNA-dependent RNA polymerase [Blechomonas maslovi narnavirus 1] -YP_009337775.1 RNA-dependent RNA polymerase [Hubei narna-like virus 21] -YP_009333331.1 RNA-dependent RNA polymerase [Zhejiang mosquito virus 3] -AJT39597.1 RNA-dependent RNA polymerase [Narnaviridae environmental sample] -APG77272.1 RNA-dependent RNA polymerase, partial [Wenling narna-like virus 6] diff --git a/seq/clusters_info/cluster_809 b/seq/clusters_info/cluster_809 deleted file mode 100644 index 6bf4fb5..0000000 --- a/seq/clusters_info/cluster_809 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009336998.1 hypothetical protein [Beihai sphaeromadae virus 1] -YP_009336970.1 hypothetical protein [Wenzhou picorna-like virus 36] -YP_009336755.1 hypothetical protein [Wenling crustacean virus 4] -YP_009333498.1 hypothetical protein [Beihai picorna-like virus 96] -APG76738.1 hypothetical protein, partial [Beihai picorna-like virus 95] diff --git a/seq/clusters_info/cluster_81 b/seq/clusters_info/cluster_81 deleted file mode 100644 index b913333..0000000 --- a/seq/clusters_info/cluster_81 +++ /dev/null @@ -1,45 +0,0 @@ -YP_010087745.1 triple gene block protein 1 [Euonymus yellow mottle associated virus] -YP_010087352.1 triple gene block protein 1 [Cnidium virus X] -YP_010087333.1 putative triple gene block protein 1 [Ambrosia asymptomatic virus 1] -YP_009553672.1 ORF2 [Potexvirus sp.] -YP_009552763.1 TGBp1 [Turtle grass virus X] -YP_009664752.1 triple gene block protein 1 [Banana virus X] -YP_009664729.1 triple gene block 1 [Lagenaria mild mosaic virus] -YP_009448188.1 TGB1 [Babaco mosaic virus] -YP_009389480.1 triple gene block protein 1 [Vanilla virus X] -YP_009389420.1 TGB 1 protein [Euonymus yellow vein virus] -YP_009357227.1 triple gene block protein 1 [Cassava virus X] -YP_009270631.1 TGB1 [Senna mosaic virus] -YP_009124989.1 25KDa triple gene block protein [Citrus yellow vein clearing virus] -YP_009091815.1 triple gene block 1 [Yam virus X] -YP_009046883.1 TGB1 [Pitaya virus X] -YP_006905862.1 TGB-1 [Rubus canadensis virus 1] -YP_004849315.1 tgb1 [Tamus red mosaic virus] -YP_002647028.1 tgb1 [Allium virus X] -YP_002341560.1 triple gene block protein 1 [Schlumbergera virus X] -YP_002332930.1 25K protein [Potato virus X] -YP_002308465.1 26 kDa protein [Hosta virus X] -YP_001655011.1 triple gene block protein 1 [Phaius virus X] -YP_459945.1 triple gene block 1 protein 26k [Alternanthera mosaic virus] -YP_446993.1 triple geneblock protein 1 [Nerine virus X] -YP_263304.1 triple gene block protein 1 [Lily virus X] -YP_224135.1 TGB 1 [Mint virus X] -YP_224085.1 triple gene block protein [Hydrangea ringspot virus] -YP_054408.1 triple gene block protein 1 [Opuntia virus X] -YP_054403.1 triple gene block protein 1 [Zygocactus virus X] -NP_702989.1 hypothetical protein TVXgp2 [Tulip virus X] -NP_620837.1 25K triple gene block protein [Plantago asiatica mosaic virus] -NP_620716.1 triple gene block protein 1 [White clover mosaic virus] -NP_620643.1 25K triple gene block protein [Strawberry mild yellow edge virus] -NP_203554.1 25 kDa triple gene block protein [Indian citrus ringspot virus] -NP_148781.1 25 kDa protein [Cactus virus X] -NP_112030.1 triple gene block protein 2 [Banana mild mosaic virus] -NP_077080.1 '25 KDa' movement protein [Clover yellow mosaic virus] -NP_044331.1 triple gene block protein 1 [Papaya mosaic virus] -NP_042696.1 triple gene block protein 1 [Cassava common mosaic virus] -NP_042584.1 NTP-binding helicase [Bamboo mosaic virus] -NP_040989.1 26K triple gene block protein [Foxtail mosaic virus] -sp|P15403.1|TGB1_WCMVO RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -sp|P22592.1|TGB1_PVXCP RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -sp|P09396.1|TGB1_PVX RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -sp|Q07632.1|TGB1_PVXHB RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 diff --git a/seq/clusters_info/cluster_810 b/seq/clusters_info/cluster_810 deleted file mode 100644 index e9523cb..0000000 --- a/seq/clusters_info/cluster_810 +++ /dev/null @@ -1,5 +0,0 @@ -YP_002308567.1 putative coat protein [Peach mosaic virus] -YP_224132.1 22 kDa protein [Apricot pseudo-chlorotic leaf spot virus] -NP_062430.1 coat protein [Cherry mottle leaf virus] -NP_040553.1 coat protein [Apple chlorotic leaf spot virus] -sp|P54890.1|CAPSD_ACLSA RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP diff --git a/seq/clusters_info/cluster_811 b/seq/clusters_info/cluster_811 deleted file mode 100644 index 4a976d0..0000000 --- a/seq/clusters_info/cluster_811 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009509003.1 putative NS4B [Theiler's disease-associated virus] -YP_009045179.1 NS4B [Simian pegivirus] -YP_007905981.1 putative NS4B protein [Rodent pegivirus] -NP_803215.1 putative NS4B protein [Pegivirus A] -NP_803207.1 putative NS4B protein [GB virus C] diff --git a/seq/clusters_info/cluster_812 b/seq/clusters_info/cluster_812 deleted file mode 100644 index 7629245..0000000 --- a/seq/clusters_info/cluster_812 +++ /dev/null @@ -1,5 +0,0 @@ -NP_776020.1 2K protein [West Nile virus] -YP_009329955.1 protein 2K [Saint Louis encephalitis virus] -YP_164816.1 2K protein [Usutu virus] -NP_775672.1 2K protein [Japanese encephalitis virus] -NP_722537.1 2K protein [Murray Valley encephalitis virus] diff --git a/seq/clusters_info/cluster_813 b/seq/clusters_info/cluster_813 deleted file mode 100644 index 296756d..0000000 --- a/seq/clusters_info/cluster_813 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009667095.1 6K2 [Zucchini shoestring virus] -YP_008992250.1 6K2 segment [Zucchini tigre mosaic virus] -YP_001936192.1 6K2 [Algerian watermelon mosaic virus] -YP_001552426.1 6K2 protein [Moroccan watermelon mosaic virus] -NP_734238.1 6K2 protein [Papaya ringspot virus] diff --git a/seq/clusters_info/cluster_814 b/seq/clusters_info/cluster_814 deleted file mode 100644 index 69dfc27..0000000 --- a/seq/clusters_info/cluster_814 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009224949.1 triple gene block protein 3 [Elderberry carlavirus D] -YP_009215377.1 triple gene block protein 3 [Asian prunus virus 3] -YP_009204564.1 triple gene block protein 3 [Asian prunus virus 2] -YP_009094350.1 triple gene block protein 3 [Asian prunus virus 1] -YP_006905864.1 TGB-3 [Rubus canadensis virus 1] diff --git a/seq/clusters_info/cluster_815 b/seq/clusters_info/cluster_815 deleted file mode 100644 index 6b013dd..0000000 --- a/seq/clusters_info/cluster_815 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009551451.1 RNA dependent RNA polymerase [Yado-kari virus 1] -YP_009272910.1 RNA-dependent RNA polymerase [Fusarium poae mycovirus 2] -CCD33025.1 RNA dependent RNA polymerase [Aspergillus foetidus slow virus 2] -YP_009182156.1 115 kDa protein [Penicillium aurantiogriseum foetidus-like virus] -ANR02697.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mycovirus 1] diff --git a/seq/clusters_info/cluster_816 b/seq/clusters_info/cluster_816 deleted file mode 100644 index ea61be0..0000000 --- a/seq/clusters_info/cluster_816 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009508082.1 19-kDa cys-rich protein [Japanese soil-borne wheat mosaic virus] -NP_059487.1 hypothetical protein Ogsvs2gp3 [Oat golden stripe virus] -NP_059484.1 19 kDa cysteine-rich protein [Chinese wheat mosaic virus] -NP_059148.1 hypothetical protein [Soil-borne cereal mosaic virus] -NP_049340.1 19 kDa cysteine-rich protein [Soil-borne wheat mosaic virus] diff --git a/seq/clusters_info/cluster_817 b/seq/clusters_info/cluster_817 deleted file mode 100644 index caee3f5..0000000 --- a/seq/clusters_info/cluster_817 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009362191.1 phosphoprotein [Nkolbisson virus] -YP_009362156.1 phosphoprotein [Barur virus] -YP_009505472.1 hypothetical protein [Nishimuro ledantevirus] -YP_009361999.1 phosphoprotein [Fukuoka virus] -YP_009305119.1 putative phosphoprotein [Yongjia Tick Virus 2] diff --git a/seq/clusters_info/cluster_818 b/seq/clusters_info/cluster_818 deleted file mode 100644 index bfc2412..0000000 --- a/seq/clusters_info/cluster_818 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009512990.1 phosphoprotein [Flanders hapavirus] -YP_009505462.1 phosphoprotein [Mossuril virus] -YP_009361981.1 phosphoprotein [Kamese virus] -YP_009361961.1 phosphoprotein [Mosqueiro virus] -YP_009361952.1 phosphoprotein [Hart Park virus] diff --git a/seq/clusters_info/cluster_819 b/seq/clusters_info/cluster_819 deleted file mode 100644 index c958990..0000000 --- a/seq/clusters_info/cluster_819 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009508585.1 Bel2 protein [Yellow-breasted capuchin simian foamy virus] -YP_009508580.1 bel2 [White-tufted-ear marmoset simian foamy virus] -YP_009508569.1 bel2 [Squirrel monkey simian foamy virus] -YP_009508564.1 bel2 [Spider monkey simian foamy virus] -NP_044932.1 Borf-2 [Bovine foamy virus] diff --git a/seq/clusters_info/cluster_82 b/seq/clusters_info/cluster_82 deleted file mode 100644 index 02d7f8a..0000000 --- a/seq/clusters_info/cluster_82 +++ /dev/null @@ -1,44 +0,0 @@ -YP_009305199.1 gag protein [Simian retrovirus 8] -YP_009305201.1 pol protein, partial [Simian retrovirus 8] -YP_009305200.1 protease, partial [Simian retrovirus 8] -YP_009243641.1 putative viral DNA polymerase [Bovine retrovirus CH15] -YP_009243640.1 putative protease [Bovine retrovirus CH15] -YP_009243639.1 putative group-specific antigen [Bovine retrovirus CH15] -YP_009140129.1 protease [Desmodus rotundus endogenous retrovirus] -YP_009140128.1 gag [Desmodus rotundus endogenous retrovirus] -YP_004222728.1 pol polyprotein, partial [Avian leukemia virus] -YP_003864102.1 pol protein, partial [Simian retrovirus 4] -YP_003864101.1 protease, partial [Simian retrovirus 4] -YP_003864100.1 gag protein [Simian retrovirus 4] -YP_241114.1 gap-pro-pol fusion, partial [Ovine enzootic nasal tumor virus] -YP_241113.1 gap-pro fusion, partial [Ovine enzootic nasal tumor virus] -YP_238302.1 gag [Ovine enzootic nasal tumor virus] -NP_954565.2 RT-IN [Mason-Pfizer monkey virus] -NP_955566.1 pp21 XX [Mouse mammary tumor virus] -NP_955565.1 p10 MA [Mouse mammary tumor virus] -NP_954561.1 p12 PR [Mason-Pfizer monkey virus] -NP_862833.2 gag-pro-pol fusion, partial [Enzootic nasal tumour virus of goats] -NP_862832.2 gag-pro fusion, partial [Enzootic nasal tumour virus of goats] -NP_862831.2 gag protein [Enzootic nasal tumour virus of goats] -NP_056893.1 Pr78 [Mason-Pfizer monkey virus] -NP_041259.1 gag protein [Squirrel monkey retrovirus] -NP_056880.1 Pr160 [Mouse mammary tumor virus] -NP_041184.1 gag protein [Jaagsiekte sheep retrovirus] -NP_056886.1 Pr180 polyprotein precursor [Rous sarcoma virus] -sp|P03364.3|POL_SMRVH RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=Core protein p16; Contains: RecName: Full=Capsid protein p35; AltName: Full=Capsid protein p34; Contains: RecName: Full=Probable nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P51517.2|POL_SRV2 RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P04025.2|POL_SRV1 RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P31623.2|POL_JSRV RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P11283.2|POL_MMTVC RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp21; Contains: RecName: Full=Protein p3; Contains: RecName: Full=Protein p8; Contains: RecName: Full=Protein n; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|O92956.2|POL_RSVSB RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=Capsid protein p27, alternate cleaved 1; Contains: RecName: Full=Capsid protein p27, alternate cleaved 2; Contains: RecName: Full=p3; Contains: RecName: Full=Nucleocapsid protein p12; Contains: RecName: Full=Protease p15; Contains: RecName: Full=Reverse transcriptase beta-subunit; Short=RT-beta; Contains: RecName: Full=Reverse transcriptase alpha-subunit; Short=RT-alpha; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=pp32; Contains: RecName: Full=p4 -sp|Q04095.2|POL_RSVSA RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=p3; Contains: RecName: Full=Capsid protein p27, alternate cleaved 1; Contains: RecName: Full=Capsid protein p27, alternate cleaved 2; Contains: RecName: Full=Nucleocapsid protein p12; Contains: RecName: Full=Protease p15; Contains: RecName: Full=Reverse transcriptase beta-subunit; Short=RT-beta; Contains: RecName: Full=Reverse transcriptase alpha-subunit; Short=RT-alpha; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=pp32; Contains: RecName: Full=p4 -sp|P11365.2|GAG_IPMA RecName: Full=Intracisternal A-particle Gag-related polyprotein; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=Capsid protein; Contains: RecName: Full=Nucleocapsid protein; Contains: RecName: Full=Protease; Flags: Precursor -sp|P51516.3|GAG_SRV2 RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=p4 -sp|P12894.1|POL_IPMAI RecName: Full=Intracisternal A-particle Pol-related polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P11368.1|POL_IPMA RecName: Full=Intracisternal A-particle Pol-related polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P04022.3|GAG_SRV1 RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=p4 -sp|P03343.3|GAG_MMTVG RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp21; Contains: RecName: Full=Protein p3; Contains: RecName: Full=Protein p8; Contains: RecName: Full=Protein n; Contains: RecName: Full=Capsid protein p27 -sp|P31790.1|GAG_IPMAE RecName: Full=Intracisternal A-particle Gag-related polyprotein -sp|P04026.1|POL_IPHA RecName: Full=Intracisternal A-particle Pol-related polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -sp|P04023.1|GAG_IPHA RecName: Full=Intracisternal A-particle Gag-related polyprotein; Contains: RecName: Full=Matrix protein; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=Capsid protein; Contains: RecName: Full=Nucleocapsid protein; Contains: RecName: Full=Protease -sp|Q7SQ98.1|POL_ALV RecName: Full=Pol polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=pp32 diff --git a/seq/clusters_info/cluster_820 b/seq/clusters_info/cluster_820 deleted file mode 100644 index 247bbd9..0000000 --- a/seq/clusters_info/cluster_820 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009508277.1 structural protein P8 [Wound tumor virus] -YP_002790891.1 outer capsid protein [Homalodisca vitripennis reovirus] -YP_001111366.1 capsid protein [Rice gall dwarf virus] -NP_620534.1 coat protein [Rice dwarf virus] -sp|Q85451.1|P8_RDVS RecName: Full=Outer capsid protein P8; AltName: Full=Structural protein P8; Contains: RecName: Full=Outer capsid protein P8'; Contains: RecName: Full=Small peptide 1; Short=Sp1 diff --git a/seq/clusters_info/cluster_821 b/seq/clusters_info/cluster_821 deleted file mode 100644 index 5aaf369..0000000 --- a/seq/clusters_info/cluster_821 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009508276.1 polypeptide P5 [Wound tumor virus] -YP_002790888.1 minor core protein [Homalodisca vitripennis reovirus] -YP_001111372.1 P5 protein [Rice gall dwarf virus] -NP_620532.1 viral structural protein [Rice dwarf virus] -sp|P14583.1|MCE_RDVA RecName: Full=Putative mRNA-capping enzyme P5; AltName: Full=Structural protein 5; AltName: Full=mRNA guanylyltransferase diff --git a/seq/clusters_info/cluster_822 b/seq/clusters_info/cluster_822 deleted file mode 100644 index 2278b74..0000000 --- a/seq/clusters_info/cluster_822 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009507959.1 p28 [Diodia vein chlorosis virus] -YP_227366.1 p27 [Blackberry yellow vein-associated virus] -YP_025091.1 p28 [Strawberry pallidosis-associated virus] -NP_940794.1 p26 [Beet pseudoyellows virus] -NP_689406.1 hypothetical protein SPCSVs2gp07 [Sweet potato chlorotic stunt virus] diff --git a/seq/clusters_info/cluster_823 b/seq/clusters_info/cluster_823 deleted file mode 100644 index 6cf474a..0000000 --- a/seq/clusters_info/cluster_823 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009507834.1 capsid protein [Xanthophyllomyces dendrorhous virus L1B] -YP_009342433.1 hypothetical protein 1 [Wuhan insect virus 27] -YP_009342427.1 hypothetical protein 1 [Wuhan insect virus 26] -YP_009182180.1 capsid protein [Red clover powdery mildew-associated totivirus 3] -YP_007697650.1 capsid protein [Xanthophyllomyces dendrorhous virus L1A] diff --git a/seq/clusters_info/cluster_824 b/seq/clusters_info/cluster_824 deleted file mode 100644 index 189494b..0000000 --- a/seq/clusters_info/cluster_824 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009507788.1 protein p30 [Harvey murine sarcoma virus] -sp|P01117.1|RASK_MSVKI RecName: Full=GTPase KRas; AltName: Full=Ki-Ras; AltName: Full=Transforming protein p21/K-Ras; Flags: Precursor -sp|P01114.1|RASH_RRASV RecName: Full=Transforming protein p29; Contains: RecName: Full=Transforming protein p21; Flags: Precursor -sp|P23175.1|RASH_MSVNS RecName: Full=GTPase HRas; AltName: Full=Transforming protein p21/H-Ras; Flags: Precursor -sp|P01113.1|RASH_MSVMO RecName: Full=GTPase HRas; AltName: Full=Transforming protein p21/H-Ras; Flags: Precursor diff --git a/seq/clusters_info/cluster_825 b/seq/clusters_info/cluster_825 deleted file mode 100644 index 471741b..0000000 --- a/seq/clusters_info/cluster_825 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009507760.1 NS25 [Scophthalmus maximus reovirus] -YP_009507743.1 NS3 [Green River chinook virus] -YP_009351847.1 NS3 [Fall chinook aquareovirus] -YP_009259507.1 putative non-structural protein 25 [Etheostoma fonticola aquareovirus] -YP_398638.1 putative non-structural protein ns3 [Chum salmon reovirus CS] diff --git a/seq/clusters_info/cluster_826 b/seq/clusters_info/cluster_826 deleted file mode 100644 index 6ec83ac..0000000 --- a/seq/clusters_info/cluster_826 +++ /dev/null @@ -1,5 +0,0 @@ -NP_058425.1 non-structural protein 3a [Transmissible gastroenteritis virus] -YP_004070195.1 putative 3a protein [Feline infectious peritonitis virus] -sp|Q7T6T2.1|NS3A_CVCBG RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a -sp|Q65982.1|NS3A_CVCAI RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a -sp|P18451.2|NS3A_CVPFS RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; AltName: Full=X2a protein diff --git a/seq/clusters_info/cluster_827 b/seq/clusters_info/cluster_827 deleted file mode 100644 index a48fa61..0000000 --- a/seq/clusters_info/cluster_827 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009480344.1 nonstructural protein [Maize rough dwarf virus] -YP_004021934.1 hypothetical protein SRBSDVs9_gp2 [Southern rice black-streaked dwarf virus] -YP_956854.1 ORF2 [Mal de Rio Cuarto virus] -YP_249759.1 hypothetical protein FDV_s9gp2 [Fiji disease virus] -NP_620457.1 hypothetical protein RBSDVs9gp2 [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_828 b/seq/clusters_info/cluster_828 deleted file mode 100644 index b136c4b..0000000 --- a/seq/clusters_info/cluster_828 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009480343.1 nonstructural protein [Maize rough dwarf virus] -YP_004021933.1 hypothetical protein SRBSDVs9_gp1 [Southern rice black-streaked dwarf virus] -YP_956853.1 ORF1 [Mal de Rio Cuarto virus] -YP_249758.1 structural protein [Fiji disease virus] -NP_620456.1 hypothetical protein RBSDVs9gp1 [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_829 b/seq/clusters_info/cluster_829 deleted file mode 100644 index e1bcbd9..0000000 --- a/seq/clusters_info/cluster_829 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009480341.1 nonstructural protein [Maize rough dwarf virus] -YP_004021931.1 P7-2 [Southern rice black-streaked dwarf virus] -YP_956852.1 ORF2 [Mal de Rio Cuarto virus] -YP_249767.1 hypothetical protein FDV_s7gp2 [Fiji disease virus] -NP_620455.1 hypothetical protein RBSDVs7gp2 [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_83 b/seq/clusters_info/cluster_83 deleted file mode 100644 index e61c8b7..0000000 --- a/seq/clusters_info/cluster_83 +++ /dev/null @@ -1,44 +0,0 @@ -YP_010229111.1 RNA-dependent RNA-polymerase [Clo Mor virus] -YP_010229107.1 RNA-dependent RNA polymerase [Dera Ghazi Khan orthonairovirus] -YP_010229105.1 RNA-dependent RNA polymerase [Qalyub orthonairovirus] -YP_010229102.1 RNA-dependent RNA polymerase [Farallon virus] -YP_010085111.1 RNA-dependent RNA polymerase [Estero Real virus] -YP_009553332.1 RNA-dependent RNA polymerase [Tunis virus] -YP_009551657.1 RNA-dependent RNA polymerase [Great Saltee virus] -YP_009551600.1 RNA-dependent RNA polymerase [Avalon virus] -YP_009666119.1 RNA-dependent RNA-polymerase, partial [Artashat orthonairovirus] -YP_009666118.1 RNA-dependent RNA-polymerase, partial [Burana virus] -YP_009666113.1 RNA-dependent RNA-polymerase, partial [Chim orthonairovirus] -YP_009666319.1 RNA-dependent RNA polymerase [Wuhan Millipede Virus 2] -YP_009513191.1 RNA-dependent RNA polymerase [Thiafora orthonairovirus] -YP_009361838.1 RNA-dependent RNA polymerase [Keterah virus] -YP_009507850.1 L protein [Hazara virus] -YP_009449567.1 RNA-dependent RNA polymerase [Kasokero virus] -YP_009361832.1 RNA-dependent RNA polymerase [Nairobi sheep disease virus] -YP_009304993.1 RNA-dependent RNA polymerase [Wenzhou Tick Virus] -YP_009304986.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 1] -YP_009300680.1 RNA-dependent RNA polymerase [Shayang Spider Virus 1] -YP_009293594.1 RNA-dependent RNA polymerase [Sanxia Water Strider Virus 1] -YP_009293587.1 RNA-dependent RNA polymerase [Huangpi Tick Virus 1] -YP_009246486.1 RNA-dependent RNA polymerase [Yogue virus] -YP_009111284.1 RNA-dependent RNA polymerase [Leopards Hill virus] -YP_325663.1 putative polyprotein [Crimean-Congo hemorrhagic fever orthonairovirus] -NP_690576.1 L protein [Dugbe orthonairovirus] -AMR73395.1 RNA-dependent RNA polymerase [Taggert virus] -AKC89322.1 RNA-dependent RNA-polymerase, partial [Tamdy virus] -AKC89352.1 RNA-dependent RNA-polymerase, partial [Artashat orthonairovirus] -AMT75437.1 RNA-dependent RNA polymerase [Zirqa virus] -AJG39272.1 RNA-dependent RNA polymerase [Xinzhou Spider Virus] -BAU51655.1 RNA-dependent RNA polymerase [Tofla virus] -AKC89346.1 RNA-dependent RNA-polymerase, partial [Caspiy virus] -ABY82502.1 RNA-dependent RNA polymerase [Kupe virus] -ARB16032.1 RNA-dependent RNA-polymerase [Grotenhout virus] -AMT75371.1 RNA-dependent RNA polymerase [Abu Hammad virus] -YP_009246463.1 RNA-dependent RNA polymerase [Erve virus] -ARB51463.1 RNA-dependent RNA polymerase [Crimean-Congo hemorrhagic fever orthonairovirus] -AII01810.1 L protein [South Bay virus] -AKC89340.1 RNA-dependent RNA-polymerase, partial [Geran virus] -AMT75374.1 RNA-dependent RNA polymerase [Abu Mina virus] -ALD83626.1 RNA-dependent RNA polymerase [Gossas virus] -AMT75422.1 RNA-dependent RNA polymerase [Saphire II virus] -AMT75410.1 RNA-dependent RNA polymerase [Punta Salinas virus] diff --git a/seq/clusters_info/cluster_830 b/seq/clusters_info/cluster_830 deleted file mode 100644 index 79872af..0000000 --- a/seq/clusters_info/cluster_830 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009480339.1 nonstructural protein [Maize rough dwarf virus] -YP_004021929.1 P6 [Southern rice black-streaked dwarf virus] -YP_956846.1 hypothetical protein MRCV_sS6gp1 [Mal de Rio Cuarto virus] -YP_249760.1 myosin-like protein [Fiji disease virus] -NP_620463.1 P6 protein [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_831 b/seq/clusters_info/cluster_831 deleted file mode 100644 index 41083b9..0000000 --- a/seq/clusters_info/cluster_831 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009480338.1 structural protein [Maize rough dwarf virus] -YP_004021938.1 P3 [Southern rice black-streaked dwarf virus] -YP_956845.1 hypothetical protein MRCV_sS2gp1 [Mal de Rio Cuarto virus] -YP_249761.1 'B' spike structural protein [Fiji disease virus] -NP_620461.1 P4 protein [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_832 b/seq/clusters_info/cluster_832 deleted file mode 100644 index 4a203a6..0000000 --- a/seq/clusters_info/cluster_832 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009380540.1 nucleocapsid phosphoprotein [Goat torovirus] -YP_008798235.1 nucleocapsid phosphoprotein [Porcine torovirus] -YP_337910.1 nucleocapsid phosphoprotein [Breda virus] -sp|O90306.1|NCAP_BRV1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -sp|P23051.1|NCAP_BEV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N diff --git a/seq/clusters_info/cluster_833 b/seq/clusters_info/cluster_833 deleted file mode 100644 index 6a58b03..0000000 --- a/seq/clusters_info/cluster_833 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009362295.1 capsid protein [Goose astrovirus] -YP_002728003.1 capsid protein [Duck astrovirus C-NGB] -NP_987088.1 capsid protein [Turkey astrovirus 2] -NP_620618.1 structural polyprotein [Chicken astrovirus] -NP_059949.1 capsid protein precursor [Turkey astrovirus] diff --git a/seq/clusters_info/cluster_834 b/seq/clusters_info/cluster_834 deleted file mode 100644 index 7fe5e45..0000000 --- a/seq/clusters_info/cluster_834 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009361829.1 polyprotein [Diamondback moth iflavirus] -YP_009010984.1 polyprotein [Spodoptera exigua iflavirus 2] -NP_919029.1 polyprotein [Ectropis obliqua picorna-like virus] -NP_277061.1 polyprotein [Perina nuda virus] -AHX00961.1 polyprotein [Spodoptera exigua iflavirus 2] diff --git a/seq/clusters_info/cluster_835 b/seq/clusters_info/cluster_835 deleted file mode 100644 index 637ffed..0000000 --- a/seq/clusters_info/cluster_835 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009179404.1 NS3-like protein [Wuhan flea virus] -YP_009179402.1 NS3-like protein [Shuangao insect virus 7] -YP_009179400.1 NS3-like protein [Wuhan cricket virus] -YP_009179389.1 NS3-like protein [Wuhan aphid virus 1] -YP_009179379.1 NS3-like protein [Wuhan aphid virus 2] diff --git a/seq/clusters_info/cluster_836 b/seq/clusters_info/cluster_836 deleted file mode 100644 index 6a78fb9..0000000 --- a/seq/clusters_info/cluster_836 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009345043.1 hypothetical protein [Xinzhou nematode virus 1] -YP_009345040.1 hypothetical protein [Xingshan nematode virus 2] -YP_009333288.1 hypothetical protein [Hubei virga-like virus 18] -YP_009333210.1 hypothetical protein [Shayang virga-like virus 1] -YP_009333312.1 hypothetical protein [Xingshan nematode virus 1] diff --git a/seq/clusters_info/cluster_837 b/seq/clusters_info/cluster_837 deleted file mode 100644 index 2f2eed6..0000000 --- a/seq/clusters_info/cluster_837 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009342450.1 hypothetical protein 1 [Wuhan house centipede virus 4] -YP_009330096.1 hypothetical protein 1 [Hubei sobemo-like virus 13] -YP_009330004.1 hypothetical protein 1 [Hubei sobemo-like virus 7] -YP_009329993.1 hypothetical protein 1 [Hubei sobemo-like virus 6] -YP_009330029.1 hypothetical protein 1 [Hubei sobemo-like virus 15] diff --git a/seq/clusters_info/cluster_838 b/seq/clusters_info/cluster_838 deleted file mode 100644 index ccb8ca8..0000000 --- a/seq/clusters_info/cluster_838 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009345000.1 hypothetical protein 3 [Wuhan spider virus 9] -YP_009337330.1 hypothetical protein 2 [Sanxia tombus-like virus 6] -YP_009336660.1 hypothetical protein 3 [Hubei tombus-like virus 17] -YP_009333285.1 hypothetical protein 2 [Sanxia water strider virus 15] -YP_009333254.1 hypothetical protein 3 [Hubei tombus-like virus 16] diff --git a/seq/clusters_info/cluster_839 b/seq/clusters_info/cluster_839 deleted file mode 100644 index 13a3f05..0000000 --- a/seq/clusters_info/cluster_839 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009342280.1 hypothetical protein 2 [Wenzhou tombus-like virus 1] -YP_009342276.1 hypothetical protein 3 [Wenzhou tombus-like virus 3] -YP_009342268.1 hypothetical protein 2 [Wenzhou tombus-like virus 2] -YP_009337371.1 hypothetical protein 2 [Changjiang tombus-like virus 2] -YP_009336904.1 hypothetical protein 2 [Shahe tombus-like virus 1] diff --git a/seq/clusters_info/cluster_84 b/seq/clusters_info/cluster_84 deleted file mode 100644 index 0b880ff..0000000 --- a/seq/clusters_info/cluster_84 +++ /dev/null @@ -1,44 +0,0 @@ -YP_010086246.1 glycoprotein precursor complex [Xapuri virus] -YP_009553321.1 glycoprotein precursor [Apore mammarenavirus] -YP_009508471.1 glycoprotein [Ryukyu mammarenavirus] -YP_009508478.1 glycoprotein [Souris virus] -YP_009505808.1 glycoprotein precursor [Arenaviridae sp. 13ZR68] -YP_009505803.1 glycoprotein precursor [Loei River mammarenavirus] -YP_009141005.1 glycoprotein precursor [Okahandja mammarenavirus] -YP_009141003.1 glycoprotein precursor [Mariental mammarenavirus] -YP_009116790.1 glycoprotein precursor [Gairo mammarenavirus] -YP_009113206.1 glycoprotein [Wenzhou mammarenavirus] -YP_009019200.1 GP [Merino Walk mammarenavirus] -YP_006858706.1 Glycoprotein precursor [Lunk virus NKS-1] -YP_004933730.1 GP gene product [Luna mammarenavirus] -YP_003090214.1 glycoprotein precursor [Morogoro mammarenavirus] -YP_002929490.1 glycoprotein precursor [Lujo mammarenavirus] -YP_001936017.1 glycoprotein precursor [Parana virus] -YP_001936021.1 glycoprotein precursor [Latino mammarenavirus] -YP_001936019.1 glycoprotein precursor [Flexal mammarenavirus] -YP_001911115.1 glycoprotein precursor [Tamiami mammarenavirus] -YP_001911113.1 glycoprotein G1+G2 precursor [Whitewater Arroyo mammarenavirus] -YP_001816782.1 glycoprotein precursor [Chapare mammarenavirus] -YP_001649221.1 glycoprotein precursor [Allpahuayo mammarenavirus] -YP_001649208.1 glycoprotein precursor [Amapari virus] -YP_001649222.1 glycoprotein precursor [Cupixi mammarenavirus] -YP_001649226.1 glycoprotein precursor [Bear Canyon mammarenavirus] -YP_001649210.1 glycoprotein precursor [Oliveros mammarenavirus] -YP_516230.1 glycoprotein precursor [Ippy mammarenavirus] -YP_516226.1 glycoprotein precursor [Mobala mammarenavirus] -YP_170709.1 glycoprotein precursor [Mopeia virus AN20410] -YP_170705.1 glycoprotein precursor [Mopeia Lassa virus reassortant 29] -YP_138543.1 GPC precursor protein [Pichinde virus] -YP_089665.1 glycoprotein precursor [Sabia virus] -YP_025080.1 glycoprotein precursor [Pirital mammarenavirus] -NP_899210.1 glycoprotein precursor [Guanarito mammarenavirus] -NP_899212.1 glycoprotein precursor [Machupo mammarenavirus] -NP_899218.1 glycoprotein precursor [Argentinian mammarenavirus] -NP_694849.1 glycoprotein [Tacaribe mammarenavirus] -NP_694851.1 envelope glycoprotein [Lymphocytic choriomeningitis mammarenavirus] -sp|P31840.1|GLYC_TACVT RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -sp|P31842.1|GLYC_TACV7 RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -sp|Q6IUF7.1|GLYC_MACHU RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -sp|P26313.2|GLYC_JUNIN RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -sp|P07399.1|GLYC_LYCVW RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -sp|P17332.1|GLYC_LASSG RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 diff --git a/seq/clusters_info/cluster_840 b/seq/clusters_info/cluster_840 deleted file mode 100644 index d59132f..0000000 --- a/seq/clusters_info/cluster_840 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009337639.1 hypothetical protein [Wenzhou hepe-like virus 1] -YP_009333298.1 hypothetical protein [Beihai hepe-like virus 9] -YP_009333258.1 replicase [Beihai hepe-like virus 8] -YP_009333246.1 replicase [Beihai hepe-like virus 10] -APG77543.1 hypothetical protein [Beihai hepe-like virus 7] diff --git a/seq/clusters_info/cluster_841 b/seq/clusters_info/cluster_841 deleted file mode 100644 index f8d1ed8..0000000 --- a/seq/clusters_info/cluster_841 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009337671.1 hypothetical protein [Wenling zhaovirus-like virus 1] -YP_009337670.1 RNA-dependent RNA polymerase [Wenling zhaovirus-like virus 1] -YP_009337445.1 polyprotein [Beihai zhaovirus-like virus 4] -YP_009337427.1 polyprotein [Beihai zhaovirus-like virus 3] -YP_009337406.1 RNA-dependent RNA polymerase [Beihai zhaovirus-like virus 5] diff --git a/seq/clusters_info/cluster_842 b/seq/clusters_info/cluster_842 deleted file mode 100644 index 1c48c0e..0000000 --- a/seq/clusters_info/cluster_842 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009337638.1 hypothetical protein 3 [Changjiang tombus-like virus 7] -YP_009337384.1 hypothetical protein 2 [Changjiang tombus-like virus 6] -YP_009337231.1 hypothetical protein 3 [Changjiang tombus-like virus 4] -YP_009336724.1 hypothetical protein 2 [Hubei unio douglasiae virus 3] -YP_009330028.1 hypothetical protein 3 [Hubei sobemo-like virus 1] diff --git a/seq/clusters_info/cluster_843 b/seq/clusters_info/cluster_843 deleted file mode 100644 index 4d9223c..0000000 --- a/seq/clusters_info/cluster_843 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009337226.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 20] -YP_009337072.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 21] -YP_009337063.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 18] -YP_009336943.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 17] -APG78103.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 19] diff --git a/seq/clusters_info/cluster_844 b/seq/clusters_info/cluster_844 deleted file mode 100644 index 71a79da..0000000 --- a/seq/clusters_info/cluster_844 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009336930.1 hypothetical protein 2 [Wenzhou picorna-like virus 42] -YP_009336899.1 hypothetical protein 2 [Wenzhou picorna-like virus 41] -YP_009336863.1 hypothetical protein 2 [Wenzhou picorna-like virus 40] -YP_009336742.1 hypothetical protein 2 [Shahe picorna-like virus 12] -YP_009336649.1 hypothetical protein 2 [Shahe heteroptera virus 4] diff --git a/seq/clusters_info/cluster_845 b/seq/clusters_info/cluster_845 deleted file mode 100644 index 200987f..0000000 --- a/seq/clusters_info/cluster_845 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009336710.1 hypothetical protein 2 [Wenling crustacean virus 5] -YP_009336651.1 hypothetical protein 2 [Wenling crustacean virus 6] -YP_009333592.1 hypothetical protein 2 [Beihai picorna-like virus 116] -YP_009333523.1 hypothetical protein 2 [Beihai shrimp virus 2] -YP_009333572.1 hypothetical protein 2 [Beihai sea slater virus 2] diff --git a/seq/clusters_info/cluster_846 b/seq/clusters_info/cluster_846 deleted file mode 100644 index a8b3e68..0000000 --- a/seq/clusters_info/cluster_846 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009272571.1 PIPO, partial [Callistephus mottle virus] -YP_006405425.1 PIPO, partial [Narcissus yellow stripe virus] -YP_006393474.1 PIPO, partial [Scallion mosaic virus] -YP_006393317.1 PIPO, partial [Plum pox virus] -YP_006393316.1 PIPO, partial [Japanese yam mosaic virus] diff --git a/seq/clusters_info/cluster_847 b/seq/clusters_info/cluster_847 deleted file mode 100644 index 01f019d..0000000 --- a/seq/clusters_info/cluster_847 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009220368.1 putative coat protein [Colombian potato soil-borne virus] -NP_620445.1 CP read-through protein [Potato mop-top virus] -NP_612610.1 18K protein [Beet virus Q] -NP_612607.1 coat protein [Beet virus Q] -NP_612623.1 104K protein [Beet soil-borne virus] diff --git a/seq/clusters_info/cluster_848 b/seq/clusters_info/cluster_848 deleted file mode 100644 index af5c3ec..0000000 --- a/seq/clusters_info/cluster_848 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009182151.1 minor structural protein [Maize rough dwarf virus] -YP_004021927.1 P5 [Southern rice black-streaked dwarf virus] -YP_956850.1 pS5 [Mal de Rio Cuarto virus] -YP_249763.1 putative 115.3 kDa protein [Fiji disease virus] -NP_620462.1 P5-1 protein [Rice black streaked dwarf virus] diff --git a/seq/clusters_info/cluster_849 b/seq/clusters_info/cluster_849 deleted file mode 100644 index 434d8dd..0000000 --- a/seq/clusters_info/cluster_849 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009177209.1 non-structural glycoprotein [Yata virus] -YP_009094429.1 non-structural transmembrane protein [Malakal virus] -YP_009094399.1 non-structural transmembrane glycoprotein [Kimberley virus] -YP_009094133.1 non-structural transmembrane glycoprotein GNS [Berrimah virus] -NP_065403.1 non-structural transmembrane glycoprotein GNS [Bovine ephemeral fever virus] diff --git a/seq/clusters_info/cluster_85 b/seq/clusters_info/cluster_85 deleted file mode 100644 index d1ff7f6..0000000 --- a/seq/clusters_info/cluster_85 +++ /dev/null @@ -1,44 +0,0 @@ -YP_009173857.1 pre-capsid protein [Hepatitis B virus] -YP_009553239.1 core protein [Domestic cat hepadnavirus] -YP_009666528.1 core protein [Capuchin monkey hepatitis B virus] -YP_009259539.1 core [Bluegill hepatitis B virus] -YP_009506649.1 core antigen [Pomona bat hepatitis B virus] -YP_009046002.1 core protein [Tent-making bat hepatitis B virus] -YP_009045998.1 core protein [Horseshoe bat hepatitis B virus] -YP_009045994.1 core protein [Roundleaf bat hepatitis B virus] -YP_007678002.1 core antigen [Long-fingered bat hepatitis B virus] -NP_040993.1 hypothetical protein [Ground squirrel hepatitis virus] -sp|P0C6J6.1|HBEAG_WHV5 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P0C6H3.1|HBEAG_HBVC1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q67863.1|XCORE_HBVC4 RecName: Full=Putative X-Core fused protein -sp|Q9QMI2.1|CAPSD_HBVD4 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|Q9QBF2.1|CAPSD_HBVB7 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|P03147.1|CAPSD_HBVD1 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|P17099.1|HBEAG_HBVA4 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P0C6J0.1|HBEAG_WMHBV RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q9WJE9.1|HBEAG_HBVGO RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P89951.1|HBEAG_HBVGB RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q64896.1|HBEAG_ASHV RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P0C767.1|HBEAG_HBVCJ RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P24023.2|CAPSD_HBVD2 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|P0C6I5.1|HBEAG_HBVF6 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P0C6I1.1|HBEAG_HBVD5 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P0C699.1|HBEAG_HBVB1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P0C692.1|HBEAG_HBVA2 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P0C682.1|CAPSD_HBVG3 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|P0C6H8.1|CAPSD_HBVC9 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|P0C677.1|CAPSD_HBVB8 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|P0C625.1|HBEAG_HBVA3 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q4R1S8.1|HBEAG_HBVA8 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q4R1S0.1|HBEAG_HBVA9 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q67924.1|CAPSD_HBVB6 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|Q81105.2|HBEAG_HBVA5 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|O92920.1|HBEAG_HBVD7 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q9WMB7.1|HBEAG_HBVC2 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q9QAB9.1|CAPSD_HBVB3 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|Q91C37.1|HBEAG_HBVA6 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q913A8.1|HBEAG_HBVC7 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q8JMZ4.1|HBEAG_HBVH3 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|Q81164.1|CAPSD_HBVC8 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -sp|Q05495.1|HBEAG_HBVF1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -sp|P29178.1|CAPSD_HBVG1 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 diff --git a/seq/clusters_info/cluster_850 b/seq/clusters_info/cluster_850 deleted file mode 100644 index 3afc857..0000000 --- a/seq/clusters_info/cluster_850 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009104392.1 2A2 protein [Crohivirus A] -YP_008549604.1 2A2 [Eel picornavirus 1] -YP_008119838.1 2A protein [Sebokele virus 1] -NP_740731.1 2A protein [Parechovirus A] -NP_705878.1 2A2 [Ljungan virus] diff --git a/seq/clusters_info/cluster_851 b/seq/clusters_info/cluster_851 deleted file mode 100644 index 392601f..0000000 --- a/seq/clusters_info/cluster_851 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009094095.1 attachment glycoprotein [Mojiang virus] -YP_009094086.1 attachment glycoprotein [Cedar virus] -YP_009091838.1 glycoprotein [Ghana virus] -NP_047112.2 glycoprotein [Hendra henipavirus] -NP_112027.1 attachment glycoprotein [Nipah henipavirus] diff --git a/seq/clusters_info/cluster_852 b/seq/clusters_info/cluster_852 deleted file mode 100644 index dfeee3a..0000000 --- a/seq/clusters_info/cluster_852 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009094264.1 phosphoprotein [Grass carp virus] -YP_009094203.1 phosphoprotein [Tench rhabdovirus] -YP_009094122.1 phosphoprotein [Pike fry sprivivirus] -NP_116745.1 phosphoprotein [Carp sprivivirus] -sp|Q91DS2.1|PHOSP_SVCV RecName: Full=Phosphoprotein; Short=P protein; Short=Protein P; AltName: Full=Protein M1 diff --git a/seq/clusters_info/cluster_853 b/seq/clusters_info/cluster_853 deleted file mode 100644 index c5b3bba..0000000 --- a/seq/clusters_info/cluster_853 +++ /dev/null @@ -1,5 +0,0 @@ -YP_009046885.1 TGB3 [Pitaya virus X] -YP_002341562.1 triple gene block protein 3 [Schlumbergera virus X] -YP_054410.1 triple gene block protein 3 [Opuntia virus X] -YP_054405.1 triple gene block protein 3 [Zygocactus virus X] -NP_148783.1 7 kDa protein [Cactus virus X] diff --git a/seq/clusters_info/cluster_854 b/seq/clusters_info/cluster_854 deleted file mode 100644 index ad0f38d..0000000 --- a/seq/clusters_info/cluster_854 +++ /dev/null @@ -1,5 +0,0 @@ -YP_002308577.1 putative movement protein [Anagyris vein yellowing virus] -YP_002308444.1 putative movement protein [Plantago mottle virus] -YP_002308438.1 putative movement protein [Scrophularia mottle virus] -NP_047919.1 overlapping protein [Erysimum latent virus] -NP_041256.1 overlapping protein/movement protein [Ononis yellow mosaic virus] diff --git a/seq/clusters_info/cluster_855 b/seq/clusters_info/cluster_855 deleted file mode 100644 index a0bcc0f..0000000 --- a/seq/clusters_info/cluster_855 +++ /dev/null @@ -1,5 +0,0 @@ -YP_007237173.1 capsid protein [Enterobacteria phage Hgal1] -YP_007237127.1 capsid protein [Enterobacteria phage C-1 INW-2012] -YP_007111574.1 coat protein [Enterobacteria phage M] -YP_717669.1 coat protein [Pseudomonas phage PRR1] -sp|P03616.1|COAT_BPPRR RecName: Full=Coat protein diff --git a/seq/clusters_info/cluster_856 b/seq/clusters_info/cluster_856 deleted file mode 100644 index e587e9e..0000000 --- a/seq/clusters_info/cluster_856 +++ /dev/null @@ -1,5 +0,0 @@ -YP_002640502.1 2b protein [Gayfeather mild mottle virus] -YP_133829.1 2b protein [Peanut stunt virus] -NP_620826.1 2b protein [Tomato aspermy virus] -NP_619631.1 2b protein [Cucumber mosaic virus] -sp|Q66125.1|2B_CMVQ RecName: Full=Suppressor of silencing 2b; AltName: Full=Protein 2b diff --git a/seq/clusters_info/cluster_857 b/seq/clusters_info/cluster_857 deleted file mode 100644 index 6bc3c98..0000000 --- a/seq/clusters_info/cluster_857 +++ /dev/null @@ -1,5 +0,0 @@ -NP_690837.1 VP5 protein [Infectious bursal disease virus] -sp|P0C751.1|VP5_IBDVB RecName: Full=Protein VP5 -sp|P22440.1|VP5_IBDVS RecName: Full=Protein VP5 -sp|P15481.1|VP5_IBDVC RecName: Full=Protein VP5 -sp|P25221.1|VP5_IBDV5 RecName: Full=Protein VP5 diff --git a/seq/clusters_info/cluster_858 b/seq/clusters_info/cluster_858 deleted file mode 100644 index eb5eab0..0000000 --- a/seq/clusters_info/cluster_858 +++ /dev/null @@ -1,5 +0,0 @@ -NP_620682.1 22.3K [Tobacco rattle virus] -NP_620037.1 capsid protein [Pepper ringspot virus] -NP_040351.1 coat protein [Pea early-browning virus] -sp|P69471.1|COAT_TRVPL RecName: Full=Coat protein; AltName: Full=Capsid protein -sp|P05072.1|COAT_TRVTC RecName: Full=Coat protein; AltName: Full=Capsid protein diff --git a/seq/clusters_info/cluster_859 b/seq/clusters_info/cluster_859 deleted file mode 100644 index 57d1074..0000000 --- a/seq/clusters_info/cluster_859 +++ /dev/null @@ -1,5 +0,0 @@ -APG77130.1 hypothetical protein [Beihai levi-like virus 30] -APG77295.1 hypothetical protein, partial [Wenzhou levi-like virus 4] -APG77015.1 hypothetical protein [Beihai levi-like virus 32] -APG77095.1 hypothetical protein [Beihai levi-like virus 29] -APG77071.1 hypothetical protein [Beihai levi-like virus 28] diff --git a/seq/clusters_info/cluster_86 b/seq/clusters_info/cluster_86 deleted file mode 100644 index b094d34..0000000 --- a/seq/clusters_info/cluster_86 +++ /dev/null @@ -1,43 +0,0 @@ -YP_009553599.1 RNA-dependent RNA polymerase [Leptosphaeria biglobosa mitovirus 1] -YP_009552796.1 RNA dependent RNA polymerase [Diatom colony associated ssRNA virus 2] -YP_009465717.1 RNA-dependent RNA polymerase [Erysiphe necator mitovirus 3] -YP_009465715.1 RNA-dependent RNA polymerase [Erysiphe necator mitovirus 1] -YP_009388498.1 RNA-dependent RNA polymerase [Neofusicoccum luteum mitovirus 1] -YP_009336494.1 RNA-dependent RNA polymerase [Hubei narna-like virus 25] -YP_009329842.1 RNA-dependent RNA polymerase [Hubei narna-like virus 24] -YP_009272899.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 2] -YP_009272898.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 1] -YP_009270635.1 polyprotein [Alternaria arborescens mitovirus 1] -YP_009259487.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 5] -YP_009259483.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 4] -YP_009259369.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 1] -YP_009182163.1 RNA dependent RNA polymerase [Botrytis cinerea mitovirus 4] -YP_009182160.1 RNA dependent RNA polymerase [Botrytis cinerea mitovirus 2] -YP_009126873.1 RNA-dependent RNA polymerase [Fusarium coeruleum mitovirus 1] -YP_009126872.1 RNA-dependent RNA polymerase [Fusarium globosum mitovirus 1] -YP_009121785.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 1 HC025] -YP_009009144.1 Pol [Sclerotinia sclerotiorum mitovirus 6] -YP_002822229.1 RNA-dependent RNA polymerase [Thielaviopsis basicola mitovirus] -YP_077184.1 putative RNA-dependent RNA polymerase [Gremmeniella abietina mitochondrial RNA virus S2] -NP_660181.1 RNA-dependent RNA polymerase, putative [Ophiostoma mitovirus 6] -NP_660180.1 RNA-dependent RNA polymerase, putative [Ophiostoma mitovirus 5] -NP_660179.1 RNA-dependent RNA polymerase, putative [Ophiostoma mitovirus 4] -ALD89135.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 18] -ALM62243.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 3] -ALM62242.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 2] -ALM62249.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated mitovirus 4] -ALD89125.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 6] -AEY76153.1 RNA-dependent RNA polymerase [Gremmeniella abietina non-host-specific mitochondrial RNA virus S1] -AHF48622.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 6] -AEX91878.1 RNA-dependent RNA polymerases [Sclerotinia sclerotiorum mitovirus 1] -ALD89102.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 10] -BAD72871.1 RNA-dependent RNA polymerase [Helicobasidium mompa mitovirus 1-18] -AHI43533.1 RNA-dependent RNA polymerase [Fusarium circinatum mitovirus 1] -ANJ77669.1 RNA-dependent RNA polymerase [Sclerotinia nivalis mitovirus 1] -AHI43535.1 RNA-dependent RNA polymerase, partial [Fusarium circinatum mitovirus 2-2] -AQM32767.1 replicase [Agaricus bisporus mitovirus 1] -AGC24233.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 4] -AEP83726.1 RNA-dependent RNA polymerase [Tuber excavatum mitovirus] -AHI43534.1 RNA-dependent RNA polymerase [Fusarium circinatum mitovirus 2-1] -AHX84132.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 5] -AKN79252.1 RNA-dependent RNA polymerases [Alternaria brassicicola mitovirus] diff --git a/seq/clusters_info/cluster_860 b/seq/clusters_info/cluster_860 deleted file mode 100644 index 3fcb83e..0000000 --- a/seq/clusters_info/cluster_860 +++ /dev/null @@ -1,5 +0,0 @@ -AQY59905.1 RdRp, partial [Statovirus D1] -AQY59903.1 RdRp, partial [Statovirus C1] -AQY59907.1 RdRp, partial [Statovirus E1] -AQY59901.1 RdRp, partial [Statovirus B1] -AQY59899.1 RdRp [Statovirus A4] diff --git a/seq/clusters_info/cluster_861 b/seq/clusters_info/cluster_861 deleted file mode 100644 index bcbd4e2..0000000 --- a/seq/clusters_info/cluster_861 +++ /dev/null @@ -1,5 +0,0 @@ -APG78210.1 RdRp [Hubei earwig virus 2] -APG78314.1 RdRp [Wuhan pillworm virus 4] -APG78168.1 RdRp [Beihai picobirna-like virus 1] -APG78171.1 RdRp [Beihai picobirna-like virus 2] -APG78176.1 RdRp [Beihai picobirna-like virus 3] diff --git a/seq/clusters_info/cluster_862 b/seq/clusters_info/cluster_862 deleted file mode 100644 index 0f8946c..0000000 --- a/seq/clusters_info/cluster_862 +++ /dev/null @@ -1,4 +0,0 @@ -YP_224082.1 G [Taro vein chlorosis virus] -YP_010087200.1 glycoprotein [Morogoro maize-associated virus] -YP_009444712.1 glycoprotein [Maize Iranian mosaic nucleorhabdovirus] -YP_052854.1 G [Maize mosaic nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_863 b/seq/clusters_info/cluster_863 deleted file mode 100644 index 61c5d3e..0000000 --- a/seq/clusters_info/cluster_863 +++ /dev/null @@ -1,4 +0,0 @@ -YP_224081.1 M [Taro vein chlorosis virus] -YP_010087199.1 matrix protein [Morogoro maize-associated virus] -YP_009444711.1 matrix protein [Maize Iranian mosaic nucleorhabdovirus] -YP_052853.1 M [Maize mosaic nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_864 b/seq/clusters_info/cluster_864 deleted file mode 100644 index e39ea5c..0000000 --- a/seq/clusters_info/cluster_864 +++ /dev/null @@ -1,4 +0,0 @@ -YP_224080.1 gene 3 protein [Taro vein chlorosis virus] -YP_010087198.1 putative movement protein [Morogoro maize-associated virus] -YP_009444710.1 putative movement protein [Maize Iranian mosaic nucleorhabdovirus] -YP_052852.1 3 [Maize mosaic nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_865 b/seq/clusters_info/cluster_865 deleted file mode 100644 index f83f4bf..0000000 --- a/seq/clusters_info/cluster_865 +++ /dev/null @@ -1,4 +0,0 @@ -YP_224079.1 P [Taro vein chlorosis virus] -YP_010087197.1 phosphoprotein [Morogoro maize-associated virus] -YP_009444709.1 polymerase cofactor [Maize Iranian mosaic nucleorhabdovirus] -YP_052851.1 P [Maize mosaic nucleorhabdovirus] diff --git a/seq/clusters_info/cluster_866 b/seq/clusters_info/cluster_866 deleted file mode 100644 index bb9e689..0000000 --- a/seq/clusters_info/cluster_866 +++ /dev/null @@ -1,4 +0,0 @@ -NP_663316.1 VP2 minor capsid protein [Nebraska virus] -YP_009272569.1 minor capsid protein [Bovine calicivirus strain Kirklareli] -YP_529551.1 ORF2 [Newbury agent 1] -YP_213938.1 VP2 minor capsid protein [Calicivirus isolate TCG] diff --git a/seq/clusters_info/cluster_867 b/seq/clusters_info/cluster_867 deleted file mode 100644 index 2dbc011..0000000 --- a/seq/clusters_info/cluster_867 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010229205.1 VPg [Potato virus B] -YP_002000612.1 VPg protein [Grapevine chrome mosaic virus] -NP_734033.2 VPg [Beet ringspot virus] -NP_958838.1 VPg [Tomato black ring virus] diff --git a/seq/clusters_info/cluster_868 b/seq/clusters_info/cluster_868 deleted file mode 100644 index 9235d83..0000000 --- a/seq/clusters_info/cluster_868 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010229224.1 glycoprotein [Rio Chico almendravirus] -YP_009552114.1 glycoprotein [Merida virus] -YP_009551691.1 glycoprotein [Merida-like virus KE-2017a] -YP_009094322.1 glycoprotein [Culex tritaeniorhynchus rhabdovirus] diff --git a/seq/clusters_info/cluster_869 b/seq/clusters_info/cluster_869 deleted file mode 100644 index 5c13172..0000000 --- a/seq/clusters_info/cluster_869 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009825034.1 NSP6 [Duck coronavirus] -YP_009755915.1 nsp6 [Canada goose coronavirus] -YP_001941179.1 NSP6 [Turkey coronavirus] -NP_740624.1 coronavirus nsp3 (HD2) [Infectious bronchitis virus] diff --git a/seq/clusters_info/cluster_87 b/seq/clusters_info/cluster_87 deleted file mode 100644 index 09ffeb4..0000000 --- a/seq/clusters_info/cluster_87 +++ /dev/null @@ -1,43 +0,0 @@ -YP_010085012.1 matrix protein [bank vole virus 1] -YP_010085019.1 M protein [Pohorje myodes paramyxovirus 1] -YP_009666851.1 M protein [Mount Mabu Lophuromys virus 2] -YP_009666843.1 M protein [Mount Mabu Lophuromys virus 1] -YP_009512961.1 matrix protein [Feline morbillivirus] -YP_009505438.1 matrix protein [Simian Agent 10] -YP_009179209.1 matrix protein [Caprine parainfluenza virus 3] -YP_009177601.1 matrix protein [Phocine morbillivirus] -YP_009094447.1 matrix protein [Porcine respirovirus 1] -YP_009094336.1 matrix protein [Salem virus] -YP_009094093.1 matrix protein [Mojiang virus] -YP_009094149.1 matrix protein [Salmon aquaparamyxovirus] -YP_009094115.1 matrix protein [Tailam virus] -YP_009094084.1 matrix [Cedar virus] -YP_009091836.1 matrix protein [Ghana virus] -YP_006347586.1 matrix protein [Nariva virus] -YP_512249.1 matrix protein [Beilong virus] -YP_338080.1 matrix protein [J-virus] -YP_133825.1 matrix protein [Peste des petits ruminants virus] -YP_087123.1 M protein [Rinderpest virus (strain Kabete O)] -NP_958052.1 matrix protein [Mossman virus] -NP_945027.1 matrix protein [Dolphin morbillivirus] -NP_899658.1 matrix protein M [Fer-de-lance virus] -NP_047110.2 matrix [Hendra henipavirus] -NP_604439.1 matrix protein [Human respirovirus 1] -NP_112025.1 matrix protein [Nipah henipavirus] -NP_067150.1 matrix potein [Human respirovirus 3] -NP_054694.1 matrix protein [Tupaia paramyxovirus] -NP_037643.1 matrix M [Bovine respirovirus 3] -NP_047204.1 matrix protein M [Canine morbillivirus] -NP_056876.1 matrix protein [Murine respirovirus] -NP_056921.1 matrix protein [Measles morbillivirus] -sp|P16628.1|MATRX_MEASB RecName: Full=Matrix protein -sp|P07873.1|MATRX_PI3H4 RecName: Full=Matrix protein -sp|P06166.1|MATRX_PI3B RecName: Full=Matrix protein -sp|Q88428.1|MATRX_SENDE RecName: Full=Matrix protein; Short=M protein -sp|P06446.1|MATRX_SENDZ RecName: Full=Matrix protein; Short=M protein -sp|P03426.1|MATRX_SENDH RecName: Full=Matrix protein; Short=M protein -sp|P36356.1|MATRX_MEASY RecName: Full=Matrix protein -sp|P26034.1|MATRX_MEASI RecName: Full=Matrix protein -sp|P18611.1|MATRX_MEASH RecName: Full=Matrix protein -sp|P06943.1|MATRX_CDVO RecName: Full=Matrix protein -sp|P22046.1|MATRX_RINDK RecName: Full=Matrix protein diff --git a/seq/clusters_info/cluster_870 b/seq/clusters_info/cluster_870 deleted file mode 100644 index aed9abb..0000000 --- a/seq/clusters_info/cluster_870 +++ /dev/null @@ -1,4 +0,0 @@ -YP_005352852.1 NS7c protein [Sparrow coronavirus HKU17] -YP_002308486.1 nonstructural protein [Bulbul coronavirus HKU11-934] -YP_002308513.1 nonstructural protein [Munia coronavirus HKU13-3514] -YP_002308504.1 nonstructural protein [Thrush coronavirus HKU12-600] diff --git a/seq/clusters_info/cluster_871 b/seq/clusters_info/cluster_871 deleted file mode 100644 index b8675d6..0000000 --- a/seq/clusters_info/cluster_871 +++ /dev/null @@ -1,4 +0,0 @@ -YP_005352861.1 NS7c protein [Magpie-robin coronavirus HKU18] -YP_002308485.1 nonstructural protein [Bulbul coronavirus HKU11-934] -YP_002308512.1 nonstructural protein [Munia coronavirus HKU13-3514] -YP_002308503.1 nonstructural protein [Thrush coronavirus HKU12-600] diff --git a/seq/clusters_info/cluster_872 b/seq/clusters_info/cluster_872 deleted file mode 100644 index 6d1c376..0000000 --- a/seq/clusters_info/cluster_872 +++ /dev/null @@ -1,4 +0,0 @@ -YP_164821.1 VP4 [anativirus A1] -YP_004564609.1 VP4 [Pigeon picornavirus B] -NP_937969.1 VP4 [Simian sapelovirus 1] -NP_740479.1 capsid protein 1A [Porcine sapelovirus 1] diff --git a/seq/clusters_info/cluster_873 b/seq/clusters_info/cluster_873 deleted file mode 100644 index df0024f..0000000 --- a/seq/clusters_info/cluster_873 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010088083.1 hypothetical protein KM773_s3gp1 [Chrysothrix chrysovirus 1] -YP_009508103.1 hypothetical protein [Aspergillus fumigatus chrysovirus] -YP_009337890.1 hypothetical protein [Isaria javanica chrysovirus 1] -YP_392484.1 hypothetical protein [Penicillium chrysogenum virus] diff --git a/seq/clusters_info/cluster_874 b/seq/clusters_info/cluster_874 deleted file mode 100644 index 811e846..0000000 --- a/seq/clusters_info/cluster_874 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010088080.1 P24 protein [Passion fruit green spot virus] -YP_009508076.1 p24 [Citrus leprosis virus C2] -YP_004928121.1 p23 [Hibiscus green spot virus 2] -YP_654543.1 p24 [Citrus leprosis virus C] diff --git a/seq/clusters_info/cluster_875 b/seq/clusters_info/cluster_875 deleted file mode 100644 index 4935584..0000000 --- a/seq/clusters_info/cluster_875 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010088075.1 P6 [Actinidia emaravirus 2] -YP_009380548.1 p6b [Rose rosette emaravirus] -YP_009268862.1 p6 protein [Pigeonpea sterility mosaic emaravirus 2] -YP_009237275.1 p6 protein [Fig mosaic emaravirus] diff --git a/seq/clusters_info/cluster_876 b/seq/clusters_info/cluster_876 deleted file mode 100644 index 328d7a2..0000000 --- a/seq/clusters_info/cluster_876 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086167.1 viral sRNA Nonstructural protein [Dashli virus] -YP_009480528.1 viral sRNA Nonstructural protein [Toros virus] -YP_004382745.1 nonstructural protein [Sandfly fever Turkey virus] -sp|P12792.1|NSS_SFSV RecName: Full=Non-structural protein NS-S diff --git a/seq/clusters_info/cluster_877 b/seq/clusters_info/cluster_877 deleted file mode 100644 index 2707b24..0000000 --- a/seq/clusters_info/cluster_877 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086585.1 glycoprotein [Sawgrass virus] -YP_010086568.1 glycoprotein [New Minto virus] -YP_009094142.1 glycoprotein [Moussa virus] -YP_009094016.1 glycoprotein [Long Island tick rhabdovirus] diff --git a/seq/clusters_info/cluster_878 b/seq/clusters_info/cluster_878 deleted file mode 100644 index a7369fb..0000000 --- a/seq/clusters_info/cluster_878 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086035.1 NSP5 [Rotavirus J] -YP_009130673.1 NSP5 [Rotavirus I] -YP_392500.1 NSP5 [Adult diarrheal rotavirus strain J19] -sp|A9Q1L2.1|NSP5_ROTB2 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 diff --git a/seq/clusters_info/cluster_879 b/seq/clusters_info/cluster_879 deleted file mode 100644 index 29d2d28..0000000 --- a/seq/clusters_info/cluster_879 +++ /dev/null @@ -1,4 +0,0 @@ -YP_008136239.1 NSP5 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126853.1 nonstructural protein [Human rotavirus B] -sp|P18571.1|NSP5_ROTGA RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -sp|P30890.1|NSP5_ROTGI RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 diff --git a/seq/clusters_info/cluster_88 b/seq/clusters_info/cluster_88 deleted file mode 100644 index d15da4b..0000000 --- a/seq/clusters_info/cluster_88 +++ /dev/null @@ -1,43 +0,0 @@ -YP_009553660.1 1a/1b [Blackcurrant leafroll-associated virus 1] -YP_009553641.1 polyprotein 1a/1b [Blackcurrant-associated closterovirus 1] -YP_009553246.1 1a/1b [Blackcurrant leafroll-associated virus 1] -YP_009552057.1 1B protein/RdRp [Blackcurrant leafroll-associated virus 1] -YP_009552056.1 ORF1A [Blackcurrant leafroll-associated virus 1] -YP_009552003.1 330 kDa protein [Rehmannia virus 1] -YP_009551994.1 RNA-dependent RNA polymerase [Arracacha virus 1] -YP_009551993.1 polyprotein 1a [Arracacha virus 1] -YP_009666027.1 RNA dependent RNA polymerase, partial [Olive leaf yellowing-associated virus] -YP_009664804.1 RNA replicase, partial [Beet yellow stunt virus] -YP_009664803.1 helicase, partial [Beet yellow stunt virus] -YP_009506336.1 polymerase, partial [Mint vein banding-associated virus] -YP_009506332.1 RdRp, partial [Carnation necrotic fleck virus] -YP_009506331.1 polyprotein 1a [Carnation necrotic fleck virus] -YP_009407919.1 putative 58 kDa protein, partial [Actinidia virus 1] -YP_009407918.1 polyprotein [Actinidia virus 1] -YP_009162622.1 1b [Tobacco virus 1] -YP_009162621.1 1a [Tobacco virus 1] -YP_009112883.1 RNA-dependent RNA polymerase, partial [Persimmon virus B] -YP_009112894.1 polyprotein 1a [Persimmon virus B] -YP_009058929.1 RdRp, partial [Rose leaf rosette-associated virus] -YP_009058928.1 putative polyprotein 1a [Rose leaf rosette-associated virus] -YP_008858531.1 RdRp, partial [Carnation yellow fleck virus] -YP_008858530.1 polyprotein 1a [Carnation yellow fleck virus] -YP_006628167.1 ORF 1a/1b fusion polyprotein [Blueberry virus A] -YP_003075965.1 1b [Carrot yellow leaf virus] -YP_003075964.1 polyprotein 1a [Carrot yellow leaf virus] -YP_874185.1 polymerase, partial [Raspberry leaf mottle virus] -YP_874184.1 polyprotein 1a [Raspberry leaf mottle virus] -YP_762622.1 RdRp, partial [Strawberry chlorotic fleck-associated virus] -YP_762621.1 polyprotein 1a [Strawberry chlorotic fleck-associated virus] -YP_337912.1 RNA-dependent RNA polymerase, partial [Grapevine leafroll-associated virus 2] -YP_337911.1 polyprotein [Grapevine leafroll-associated virus 2] -YP_224091.1 polymerase, partial [Mint virus 1] -YP_224090.1 polyprotein 1a [Mint virus 1] -NP_835244.2 polyprotein [Grapevine rootstock stem lesion associated virus] -NP_042860.2 401-kDa viral polyprotein [Citrus tristeza virus] -NP_041870.2 fusion protein of papin-like protease, methyltransferase, RNA helicase and RNA-dependent RNA polymerase [Beet yellows virus] -AGU69238.1 RNA dependent RNA polymerase [Blueberry virus A] -AHA85410.1 ORF2 [Carrot closterovirus] -BAQ08234.1 RNA-dependent RNA polymerase, partial [Persimmon virus B] -ABW97532.1 RNA-dependent RNA polymerase, partial [Citrus tristeza virus] -BAQ08229.1 RNA-dependent RNA polymerase, partial [Persimmon virus B] diff --git a/seq/clusters_info/cluster_880 b/seq/clusters_info/cluster_880 deleted file mode 100644 index dffec5e..0000000 --- a/seq/clusters_info/cluster_880 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086031.1 NSP1 [Rotavirus J] -YP_009130669.1 NSP1-2 [Rotavirus I] -YP_392494.1 NSP1 [Adult diarrheal rotavirus strain J19] -sp|Q0H8C5.1|NSP1N_ROTB2 RecName: Full=Non-structural protein 1; Short=NSP1 diff --git a/seq/clusters_info/cluster_881 b/seq/clusters_info/cluster_881 deleted file mode 100644 index f987327..0000000 --- a/seq/clusters_info/cluster_881 +++ /dev/null @@ -1,4 +0,0 @@ -YP_008136233.1 VP7 [Rotavirus G chicken/03V0567/DEU/2003] -YP_008126844.1 outer capsid protein [Human rotavirus B] -sp|P30889.1|VP7_ROTGI RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -sp|P18570.1|VP7_ROTGA RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor diff --git a/seq/clusters_info/cluster_882 b/seq/clusters_info/cluster_882 deleted file mode 100644 index dd9e3f5..0000000 --- a/seq/clusters_info/cluster_882 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086022.1 VP3 [Tarumizu tick virus] -YP_010086007.1 VP3 [Kundal virus] -NP_690893.1 VP3 [Colorado tick fever virus] -NP_620282.1 VP3 [Eyach virus] diff --git a/seq/clusters_info/cluster_883 b/seq/clusters_info/cluster_883 deleted file mode 100644 index 51bef43..0000000 --- a/seq/clusters_info/cluster_883 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086020.1 VP4 [Tarumizu tick virus] -YP_010085999.1 VP4 [Kundal virus] -NP_690894.1 VP4 [Colorado tick fever virus] -NP_620283.1 VP4 [Eyach virus] diff --git a/seq/clusters_info/cluster_884 b/seq/clusters_info/cluster_884 deleted file mode 100644 index 98712f7..0000000 --- a/seq/clusters_info/cluster_884 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086019.1 VP8 [Tarumizu tick virus] -YP_010086005.1 VP8 [Kundal virus] -NP_690899.1 VP10 [Colorado tick fever virus] -NP_620290.1 VP10 [Eyach virus] diff --git a/seq/clusters_info/cluster_885 b/seq/clusters_info/cluster_885 deleted file mode 100644 index df46620..0000000 --- a/seq/clusters_info/cluster_885 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086017.1 VP12 [Tarumizu tick virus] -YP_010086004.1 VP12 [Kundal virus] -NP_690900.1 VP12 [Colorado tick fever virus] -NP_620292.1 VP12 [Eyach virus] diff --git a/seq/clusters_info/cluster_886 b/seq/clusters_info/cluster_886 deleted file mode 100644 index 9fc9d8f..0000000 --- a/seq/clusters_info/cluster_886 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086015.1 VP2 [Tarumizu tick virus] -YP_010086009.1 VP2 [Kundal virus] -NP_690892.1 VP2 [Colorado tick fever virus] -NP_620281.1 VP2 [Eyach virus] diff --git a/seq/clusters_info/cluster_887 b/seq/clusters_info/cluster_887 deleted file mode 100644 index 5a087f4..0000000 --- a/seq/clusters_info/cluster_887 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086012.1 VP9' [Tarumizu tick virus] -YP_010086000.1 VP9' [Kundal virus] -NP_690889.1 VP9' [Colorado tick fever virus] -NP_620288.1 VP9' [Eyach virus] diff --git a/seq/clusters_info/cluster_888 b/seq/clusters_info/cluster_888 deleted file mode 100644 index c962a22..0000000 --- a/seq/clusters_info/cluster_888 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010085107.1 nucleoprotein [Culex phasma-like virus] -YP_009305134.1 nucleocapsid protein [Wuhan Mosquito Virus 2] -YP_009553312.1 nucleocapsid protein [Yongsan bunyavirus 1] -YP_009362030.1 nucleoprotein [Kigluaik phantom orthophasmavirus] diff --git a/seq/clusters_info/cluster_889 b/seq/clusters_info/cluster_889 deleted file mode 100644 index 43b3159..0000000 --- a/seq/clusters_info/cluster_889 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010085099.1 glycoprotein [Citrus chlorotic spot virus] -YP_009976136.1 glycoprotein [Citrus leprosis virus N] -YP_009666992.1 glycoprotein [Clerodendrum chlorotic spot virus] -YP_009507910.1 ORF5 [Coffee ringspot virus] diff --git a/seq/clusters_info/cluster_89 b/seq/clusters_info/cluster_89 deleted file mode 100644 index 079f98e..0000000 --- a/seq/clusters_info/cluster_89 +++ /dev/null @@ -1,43 +0,0 @@ -YP_009553478.1 putative RNA-dependent RNA polymerase [Alternaria arborescens victorivirus 1] -YP_009553338.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 7] -YP_009553336.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 3] -YP_009552795.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 11] -YP_009552793.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 10] -YP_009552791.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 6] -YP_009552789.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 5] -YP_009551684.1 RNA-dependent RNA polymerase [Eimeria stiedai RNA virus 1] -YP_009551611.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 9 genome type A] -YP_009551609.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 4 genome type B] -YP_009551561.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 13] -YP_009551559.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 8] -YP_009551557.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 4 genome type A] -YP_009508253.1 putative RNA dependent RNA polymerase [Epichloe festucae virus 1] -YP_009342430.1 hypothetical protein 2 [Wuhan insect virus 28] -YP_009336604.1 hypothetical protein 2 [Beihai victori-like virus 1] -YP_009272905.1 RNA-dependent RNA polymerase [Fusarium poae victorivirus 1] -YP_009254736.1 RNA-dependent RNA polymerase [Nigrospora oryzae victorivirus 1] -YP_009249475.1 RNA-dependent RNA polymerase [Penicillium digitatum virus 1] -YP_009212848.1 RNA dependent RNA polymerase [Penicillium aurantiogriseum totivirus 1] -YP_009143307.1 putative RNA-dependent RNA polymerase [Magnaporthe oryzae virus 3] -YP_009115492.1 RNA-directed RNA polymerase [Phomopsis vexans RNA virus] -YP_009115500.1 RNA-dependent RNA polymerase [Eimeria tenella RNA virus 1] -YP_009030005.1 RNA-dependent RNA polymerase, partial [Leishmania aethiopica RNA virus] -YP_009004156.1 RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 3] -YP_007761589.1 putative RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 1] -YP_001649206.1 RNA-dependent RNA polymerase [Magnaporthe oryzae virus 2] -YP_392467.1 putative RNA-dependent RNA polymerase [Coniothyrium minitans RNA virus] -YP_044807.1 putative RNA-dependent RNA polymerase [Gremmeniella abietina RNA virus L2] -NP_619653.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 1 - 4] -NP_108651.1 RNA-dependent RNA polymerase [Eimeria brunetti RNA virus 1] -NP_047560.1 RNA dependent RNA polymerase [Sphaeropsis sapinea RNA virus 2] -NP_043465.1 RNA-dependent RNA polymerase [Leishmania RNA virus 2 - 1] -NP_041191.1 RNA dependent RNA polymerase [Leishmania RNA virus 1 - 1] -APU54692.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 1] -AHK06414.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 2] -BAU79526.1 RNA dependent RNA polymerase [Diatom colony associated virus-Like RNA Segment 6] -AHK06416.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 2] -BAU79524.1 RNA dependent RNA polymerase [Diatom colony associated virus-Like RNA Segment 4] -APG76077.1 hypothetical protein, partial [Hubei toti-like virus 1] -ABX79995.1 putative RNA-dependent RNA polymerase, partial (endogenous virus) [Aspergillus mycovirus 178] -ALD89108.1 RNA-dependent RNA polymerase [Phomopsis longicolla totivirus 1] -BAU79502.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 9 genome type B] diff --git a/seq/clusters_info/cluster_890 b/seq/clusters_info/cluster_890 deleted file mode 100644 index dc56ed3..0000000 --- a/seq/clusters_info/cluster_890 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010085072.1 nucleocapsid protein N [Tapirape virus] -YP_010086207.1 nucleoprotein [Caimito virus] -YP_009666933.1 nucleocapsid protein N [Rio Preto da Eva virus] -YP_009666930.1 nucleocapsid protein N [Pacui virus] diff --git a/seq/clusters_info/cluster_891 b/seq/clusters_info/cluster_891 deleted file mode 100644 index f494eea..0000000 --- a/seq/clusters_info/cluster_891 +++ /dev/null @@ -1,4 +0,0 @@ -NP_620675.1 capsid protein [Sweet clover necrotic mosaic virus] -NP_620526.1 37 kDa capsid protein [Red clover necrotic mosaic virus] -NP_613255.1 coat protein [Carnation ringspot virus] -NP_041231.1 capsid protein [Melon necrotic spot virus] diff --git a/seq/clusters_info/cluster_892 b/seq/clusters_info/cluster_892 deleted file mode 100644 index d1c3ed1..0000000 --- a/seq/clusters_info/cluster_892 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086256.1 glycoprotein precursor [Veterinary Pathology Zurich virus 1] -YP_010086253.1 glycoprotein precursor [Old schoolhouse virus 1] -YP_010086251.1 glycoprotein precursor [Dante Muikkunen virus 1] -YP_009666123.1 GPC [Haartman Institute snake virus] diff --git a/seq/clusters_info/cluster_893 b/seq/clusters_info/cluster_893 deleted file mode 100644 index a5a7e04..0000000 --- a/seq/clusters_info/cluster_893 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009507899.1 pvc2 [Maize stripe tenuivirus] -YP_009507893.1 pc2 protein [Iranian wheat stripe tenuivirus] -YP_009449442.1 membrane glycoprotein [Rice hoja blanca tenuivirus] -NP_620521.1 putative membrane glycoprotein [Rice stripe tenuivirus] diff --git a/seq/clusters_info/cluster_894 b/seq/clusters_info/cluster_894 deleted file mode 100644 index db4d5f9..0000000 --- a/seq/clusters_info/cluster_894 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086049.1 hypothetical protein KM556_s3gp1 [Magnaporthe oryzae polymycovirus 1] -YP_009551549.1 methyltransferase [Penicillium digitatum polymycoviruses 1] -YP_009551546.1 methyl transferase [Aspergillus fumigatus polymycovirus 1] -YP_009342470.1 hypothetical protein [Botryosphaeria dothidea virus 1] diff --git a/seq/clusters_info/cluster_895 b/seq/clusters_info/cluster_895 deleted file mode 100644 index 88d600e..0000000 --- a/seq/clusters_info/cluster_895 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009667142.1 6K2 protein [Mediterranean ruda virus] -YP_254719.1 6K2 protein [Thunberg fritillary mosaic virus] -NP_734168.1 6K2 protein [Clover yellow vein virus] -NP_734178.1 6K2 protein [Bean yellow mosaic virus] diff --git a/seq/clusters_info/cluster_896 b/seq/clusters_info/cluster_896 deleted file mode 100644 index a18b78e..0000000 --- a/seq/clusters_info/cluster_896 +++ /dev/null @@ -1,4 +0,0 @@ -YP_006405418.1 PIPO, partial [Tobacco vein banding mosaic virus] -YP_006405415.1 PIPO, partial [Wild tomato mosaic virus] -YP_006395313.1 PIPO, partial [Clover yellow vein virus] -YP_006393476.1 PIPO, partial [Bean yellow mosaic virus] diff --git a/seq/clusters_info/cluster_897 b/seq/clusters_info/cluster_897 deleted file mode 100644 index eff32c7..0000000 --- a/seq/clusters_info/cluster_897 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086587.1 polyprotein [Rhizoctonia solani endornavirus 2] -YP_009310113.1 polyprotein [Ceratobasidium endornavirus A] -ANR02699.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani endornavirus 3] -AOV81688.1 polyprotein, partial [Endornavirus-like virus] diff --git a/seq/clusters_info/cluster_898 b/seq/clusters_info/cluster_898 deleted file mode 100644 index 823c9e4..0000000 --- a/seq/clusters_info/cluster_898 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010087337.1 putative nucleic acid binding protein [Ambrosia asymptomatic virus 1] -YP_009553506.1 nucleic acid binding protein [Actinidia seed borne latent virus] -YP_009174686.1 nucleotide binding protein [Nerine latent virus] -YP_842443.1 hypothetical protein NSV_gp6 [Narcissus symptomless virus] diff --git a/seq/clusters_info/cluster_899 b/seq/clusters_info/cluster_899 deleted file mode 100644 index a7217bf..0000000 --- a/seq/clusters_info/cluster_899 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010087301.1 glycoprotein [Garba virus] -YP_010086579.1 glycoprotein [Harrison Dam virus] -YP_009176984.1 glycoprotein [Walkabout Creek virus] -YP_009094440.1 glycoprotein [Sunguru virus] diff --git a/seq/clusters_info/cluster_9 b/seq/clusters_info/cluster_9 deleted file mode 100644 index d51d39b..0000000 --- a/seq/clusters_info/cluster_9 +++ /dev/null @@ -1,103 +0,0 @@ -NP_042683.1 gag-pol precursor [Jembrana disease virus] -NP_042684.1 gag precursor [Jembrana disease virus] -YP_009507791.1 pol polyprotein [Puma lentivirus 14] -YP_009268869.1 pol protein, partial [Ovine lentivirus] -YP_001856241.1 retropepsin [Human immunodeficiency virus 1] -NP_787043.1 Gag-Pol Transframe peptide [Human immunodeficiency virus 1] -NP_758887.1 pol protein, partial [Simian immunodeficiency virus SIV-mnd 2] -NP_758886.1 gag protein [Simian immunodeficiency virus SIV-mnd 2] -NP_687035.1 Gag-Pol [Simian immunodeficiency virus] -NP_663784.1 gag-pol fusion polyprotein [Human immunodeficiency virus 2] -NP_056837.1 gag polyprotein [Human immunodeficiency virus 2] -NP_054369.1 gag protein [Simian immunodeficiency virus] -NP_041249.1 gag protein [Ovine lentivirus] -NP_040938.1 gag protein [Caprine arthritis encephalitis virus] -NP_040840.1 pol polyprotein [Visna-maedi virus] -NP_040839.1 gag protein [Visna-maedi virus] -NP_040563.1 reverse transcriptase, partial [Bovine immunodeficiency virus] -NP_040562.1 gag polyprotein [Bovine immunodeficiency virus] -sp|P35956.2|POL_VILVK RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p16; Contains: RecName: Full=Capsid protein p25; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN -sp|P12497.4|POL_HV1N5 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9QBZ9.2|POL_HV197 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P0C6F2.1|POL_HV1LW RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|O12158.2|POL_HV192 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q1A267.4|POL_SIVMB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q8AII1.4|POL_SIVTN RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P05895.2|POL_SIVVT RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P27980.2|POL_SIVVG RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P27973.2|POL_SIVV1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P19505.2|POL_SIVSP RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P12502.2|POL_SIVS4 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P05897.2|POL_SIVMK RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P05896.2|POL_SIVM1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P22382.2|POL_SIVGB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P17283.2|POL_SIVCZ RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P19560.2|POL_BIV29 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr170Gag-Pol; Contains: RecName: Full=Matrix protein p16; Short=MA; Contains: RecName: Full=p2L; Contains: RecName: Full=Capsid protein p26; Short=CA; Contains: RecName: Full=p3; Contains: RecName: Full=Transframe peptide; AltName: Full=p11; Contains: RecName: Full=Protease; AltName: Full=P119; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; AltName: Full=P72; Contains: RecName: Full=Integrase; Short=IN -sp|Q73368.3|POL_HV1B9 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q1A249.3|POL_SIVEK RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q76634.3|POL_HV2UC RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q89928.3|POL_HV2EH RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9QSR3.3|POL_HV1VI RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9Q720.3|POL_HV1V9 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|O89940.3|POL_HV1SE RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9WC63.3|POL_HV1S9 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9WC54.3|POL_HV1S2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q79666.3|POL_HV1MV RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9QBZ5.3|POL_HV1MP RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9QBZ1.3|POL_HV1M2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q75002.3|POL_HV1ET RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q77373.3|POL_HV1AN RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|O41798.3|POL_HV19N RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9QBY3.3|POL_HV196 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q9IDV9.3|POL_HV1YB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|O93215.4|POL_HV190 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|O91080.3|POL_HV1YF RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|O89290.3|POL_HV193 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P20876.3|POL_HV2ST RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P12451.3|POL_HV2SB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P04584.3|POL_HV2RO RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P05962.3|POL_HV2NZ RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q74120.3|POL_HV2KR RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P18042.4|POL_HV2G1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P15833.3|POL_HV2D2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P17757.3|POL_HV2D1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P24107.3|POL_HV2CA RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P12499.3|POL_HV1Z2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P35963.3|POL_HV1Y2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P24740.3|POL_HV1U4 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P05959.3|POL_HV1RH RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P20892.3|POL_HV1OY RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P18802.3|POL_HV1ND RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P05961.3|POL_HV1MN RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P04588.3|POL_HV1MA RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P20875.3|POL_HV1JR RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P12498.4|POL_HV1J3 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin -sp|P04589.3|POL_HV1EL RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P05960.3|POL_HV1C4 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin -sp|P03367.3|POL_HV1BR RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|P03369.3|POL_HV1A2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -sp|Q76633.3|GAG_HV2UC RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q74230.3|GAG_HV2EH RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|Q74119.3|GAG_HV2KR RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P33459.1|POL_CAEVC RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Integrase; Short=IN -sp|P31634.1|GAG_SIVMS RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P19028.1|POL_FIVSD RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN -sp|P16088.1|POL_FIVPE RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN -sp|P19504.1|GAG_SIVSP RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P12496.1|GAG_SIVS4 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P05893.1|GAG_SIVMK RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P05894.1|GAG_SIVM1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P22381.1|GAG_SIVGB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P05892.1|GAG_SIVVT RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P27978.1|GAG_SIVVG RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P27972.1|GAG_SIVV1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -sp|P20874.3|GAG_HV2ST RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P04590.3|GAG_HV2RO RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P05891.3|GAG_HV2NZ RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P12450.3|GAG_HV2SB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P18041.3|GAG_HV2G1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P15832.3|GAG_HV2D2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P24106.3|GAG_HV2CA RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P17756.4|GAG_HV2D1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -sp|P32542.1|POL_EIAVC RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Integrase; Short=IN -sp|P31822.1|POL_FIVT2 RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN diff --git a/seq/clusters_info/cluster_90 b/seq/clusters_info/cluster_90 deleted file mode 100644 index f35bafd..0000000 --- a/seq/clusters_info/cluster_90 +++ /dev/null @@ -1,42 +0,0 @@ -YP_009824974.1 spike glycoprotein [Wencheng Sm shrew coronavirus] -YP_009336484.1 spike glycoprotein [Lucheng Rn rat coronavirus] -YP_003858584.1 spike protein [Bat coronavirus BM48-31/BGR/2008] -YP_001552236.1 spike glycoprotein [Rhinolophus bat coronavirus HKU2] -YP_009389425.1 spike glycoprotein [Wencheng Sm shrew coronavirus] -YP_009824990.1 spike protein [Bat coronavirus] -YP_007188579.1 spike protein [Betacoronavirus England 1] -YP_001039971.1 spike glycoprotein [Rousettus bat coronavirus HKU9] -YP_009825051.1 spike glycoprotein [SARS coronavirus Tor2] -YP_009361857.1 spike protein [Bat coronavirus] -YP_001039962.1 spike glycoprotein [Pipistrellus bat coronavirus HKU5] -YP_001039953.1 spike glycoprotein [Tylonycteris bat coronavirus HKU4] -YP_173238.1 spike glycoprotein [Human coronavirus HKU1] -YP_009113025.1 spike glycoprotein [Betacoronavirus HKU24] -YP_005454245.1 spike protein [Rabbit coronavirus HKU14] -NP_045300.1 spike protein [Murine hepatitis virus] -YP_009755834.1 spike glycoprotein [Rodent coronavirus] -YP_003029848.1 spike protein [Rat coronavirus Parker] -YP_209233.1 spike glycoprotein [Murine hepatitis virus strain JHM] -YP_009555241.1 spike surface glycoprotein [Human coronavirus OC43] -NP_150077.1 spike structural protein [Bovine coronavirus] -YP_009273005.1 spike protein [Rousettus bat coronavirus] -YP_009072440.1 spike protein [Bat Hp-betacoronavirus/Zhejiang2013] -YP_009072439.1 putative surface protein [Bat Hp-betacoronavirus/Zhejiang2013] -YP_009513010.1 spike protein [Betacoronavirus Erinaceus/VMC/DEU/2012] -YP_009724390.1 surface glycoprotein [Severe acute respiratory syndrome coronavirus 2] -YP_009755839.1 spike glycoprotein [Shrew coronavirus] -YP_009380521.1 spike protein [Coronavirus AcCoV-JC34] -YP_009200735.1 spike glycoprotein [BtRf-AlphaCoV/YN2012] -sp|Q0ZME7.1|SPIKE_CVHN5 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q0Q4F2.1|SPIKE_BC133 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q0Q475.1|SPIKE_BC279 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q3LZX1.1|SPIKE_BCHK3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q8JSP8.1|SPIKE_CVPIA RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q9QAR5.1|SPIKE_CVBLS RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q8BB25.1|SPIKE_CVP67 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q9IKD1.1|SPIKE_CVRSD RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P25193.2|SPIKE_CVBQ RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P11225.1|SPIKE_CVMJH RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P25192.1|SPIKE_CVBLY RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|P25190.1|SPIKE_CVBF RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -sp|Q3I5J5.1|SPIKE_BCRP3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor diff --git a/seq/clusters_info/cluster_900 b/seq/clusters_info/cluster_900 deleted file mode 100644 index 5fc4d13..0000000 --- a/seq/clusters_info/cluster_900 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010087152.1 putative movement protein [Papaya cytorhabdovirus] -YP_010086411.1 hypothetical protein KM621_gp03 [Maize yellow striate virus] -YP_009506255.1 3 protein [Cacao swollen shoot Togo A virus] -YP_009177224.1 3 protein [Barley yellow striate mosaic cytorhabdovirus] diff --git a/seq/clusters_info/cluster_901 b/seq/clusters_info/cluster_901 deleted file mode 100644 index cba0e2d..0000000 --- a/seq/clusters_info/cluster_901 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009408145.1 movement protein [Ocimum basilicum RNA virus 1] -YP_006905849.1 p30-like movement protein [Diuris virus B] -YP_006905851.1 p30-like movement protein [Diuris virus A] -YP_004376202.1 movement protein [Hardenbergia virus A] diff --git a/seq/clusters_info/cluster_902 b/seq/clusters_info/cluster_902 deleted file mode 100644 index ebd2a73..0000000 --- a/seq/clusters_info/cluster_902 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086784.1 matrix protein [Ohlsdorf virus] -YP_009388614.1 matrix protein [Culex rhabdo-like virus] -YP_009182184.1 hypothetical protein AT158_gp3 [Tongilchon virus 1] -YP_009552818.1 matrix protein [Riverside virus 1] diff --git a/seq/clusters_info/cluster_903 b/seq/clusters_info/cluster_903 deleted file mode 100644 index d284783..0000000 --- a/seq/clusters_info/cluster_903 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086768.1 putative U5 protein [Holmes Jungle virus] -YP_009362227.1 hypothetical protein [Ord River virus] -YP_009361978.1 hypothetical protein [Parry Creek virus] -YP_002333279.1 hypothetical protein WoV_gp09 [Wongabel hapavirus] diff --git a/seq/clusters_info/cluster_904 b/seq/clusters_info/cluster_904 deleted file mode 100644 index b1fff78..0000000 --- a/seq/clusters_info/cluster_904 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086765.1 hypothetical protein KM638_gp5 [Holmes Jungle virus] -YP_009362224.1 hypothetical protein [Ord River virus] -YP_009361975.1 hypothetical protein [Parry Creek virus] -YP_002333276.1 hypothetical protein WoV_gp06 [Wongabel hapavirus] diff --git a/seq/clusters_info/cluster_905 b/seq/clusters_info/cluster_905 deleted file mode 100644 index 5c481de..0000000 --- a/seq/clusters_info/cluster_905 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086764.1 hypothetical protein KM638_gp4 [Holmes Jungle virus] -YP_009362223.1 hypothetical protein [Ord River virus] -YP_009361974.1 hypothetical protein [Parry Creek virus] -YP_002333275.1 hypothetical protein WoV_gp05 [Wongabel hapavirus] diff --git a/seq/clusters_info/cluster_906 b/seq/clusters_info/cluster_906 deleted file mode 100644 index de6c07c..0000000 --- a/seq/clusters_info/cluster_906 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086763.1 hypothetical protein KM638_gp3 [Holmes Jungle virus] -YP_009362222.1 hypothetical protein [Ord River virus] -YP_009361973.1 hypothetical protein [Parry Creek virus] -YP_002333274.1 hypothetical protein WoV_gp04 [Wongabel hapavirus] diff --git a/seq/clusters_info/cluster_907 b/seq/clusters_info/cluster_907 deleted file mode 100644 index fd007fd..0000000 --- a/seq/clusters_info/cluster_907 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086416.1 G protein [Maize yellow striate virus] -YP_009506260.1 glycoprotein [Cacao swollen shoot Togo A virus] -YP_009177229.1 G protein [Barley yellow striate mosaic cytorhabdovirus] -NP_057961.1 glycoprotein [Northern cereal mosaic cytorhabdovirus] diff --git a/seq/clusters_info/cluster_908 b/seq/clusters_info/cluster_908 deleted file mode 100644 index 2e2565b..0000000 --- a/seq/clusters_info/cluster_908 +++ /dev/null @@ -1,4 +0,0 @@ -YP_010086415.1 M protein [Maize yellow striate virus] -YP_009506259.1 matrix protein [Cacao swollen shoot Togo A virus] -YP_009177228.1 M protein [Barley yellow striate mosaic cytorhabdovirus] -NP_057960.1 matrix protein [Northern cereal mosaic cytorhabdovirus] diff --git a/seq/clusters_info/cluster_909 b/seq/clusters_info/cluster_909 deleted file mode 100644 index b3e1e1a..0000000 --- a/seq/clusters_info/cluster_909 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009684361.1 nonstructural protein NS4B [Guereza hepacivirus] -YP_009679031.1 nonstructural protein NS4B [Hepacivirus I] -YP_009678991.1 nonstructural protein NS4B [Hepacivirus B] -YP_009679021.1 nonstructural protein NS4B [Hepacivirus L] diff --git a/seq/clusters_info/cluster_91 b/seq/clusters_info/cluster_91 deleted file mode 100644 index c45647e..0000000 --- a/seq/clusters_info/cluster_91 +++ /dev/null @@ -1,42 +0,0 @@ -YP_010085013.1 fusion protein [bank vole virus 1] -YP_010085020.1 F protein [Pohorje myodes paramyxovirus 1] -YP_009666852.1 F protein [Mount Mabu Lophuromys virus 2] -YP_009666844.1 F protein [Mount Mabu Lophuromys virus 1] -YP_009512962.1 fusion protein [Feline morbillivirus] -YP_009505439.1 fusion protein [Simian Agent 10] -YP_009179210.1 fusion protein [Caprine parainfluenza virus 3] -YP_009177602.1 fusion protein [Phocine morbillivirus] -YP_009094448.1 fusion protein [Porcine respirovirus 1] -YP_009094337.1 fusion protein [Salem virus] -YP_009094094.1 fusion protein [Mojiang virus] -YP_009094150.1 fusion protein [Salmon aquaparamyxovirus] -YP_009094116.1 fusion protein [Tailam virus] -YP_009094085.1 fusion glycoprotein [Cedar virus] -YP_009091837.1 fusion protein [Ghana virus] -YP_006347587.1 fusion protein [Nariva virus] -YP_512250.1 fusion protein [Beilong virus] -YP_338081.1 fusion protein [J-virus] -YP_087124.2 F protein [Rinderpest virus (strain Kabete O)] -YP_133826.1 fusion protein [Peste des petits ruminants virus] -NP_958053.1 fusion protein [Mossman virus] -NP_945028.1 fusion protein [Dolphin morbillivirus] -NP_899659.1 fusion protein F [Fer-de-lance virus] -NP_047111.2 fusion [Hendra henipavirus] -NP_604440.1 F glycoprotein [Human respirovirus 1] -NP_112026.1 fusion protein [Nipah henipavirus] -NP_067151.1 fusion protein [Human respirovirus 3] -NP_054695.1 fusion protein [Tupaia paramyxovirus] -NP_037644.1 fusion F [Bovine respirovirus 3] -NP_047205.1 fusion protein F [Canine morbillivirus] -NP_056877.1 fusion protein [Murine respirovirus] -NP_056922.1 fusion protein [Measles morbillivirus] -sp|P06828.2|FUS_PI3H4 RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P12575.1|FUS_SENDF RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P12605.1|FUS_PI1HC RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P04855.3|FUS_SENDZ RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P41360.1|FUS_RINDB RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P04856.1|FUS_SENDH RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P09990.2|FUS_PI3B RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P26032.1|FUS_MEASY RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P26031.1|FUS_MEASI RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -sp|P10864.1|FUS_RINDL RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor diff --git a/seq/clusters_info/cluster_910 b/seq/clusters_info/cluster_910 deleted file mode 100644 index 1a9d111..0000000 --- a/seq/clusters_info/cluster_910 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009996583.1 matrix protein [Johnston Atoll quaranjavirus] -YP_009987465.1 matrix protein [Lake Chad virus] -YP_009508044.1 unknown [Quaranfil quaranjavirus] -YP_009110689.1 matrix protein [Wellfleet Bay virus] diff --git a/seq/clusters_info/cluster_911 b/seq/clusters_info/cluster_911 deleted file mode 100644 index 4aa1715..0000000 --- a/seq/clusters_info/cluster_911 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009996582.1 hemagglutinin [Johnston Atoll quaranjavirus] -YP_009987463.1 hemagglutinin [Lake Chad virus] -YP_009508042.1 hemagglutinin [Quaranfil quaranjavirus] -YP_009110688.1 hemagglutinin protein [Wellfleet Bay virus] diff --git a/seq/clusters_info/cluster_912 b/seq/clusters_info/cluster_912 deleted file mode 100644 index 84fcb0e..0000000 --- a/seq/clusters_info/cluster_912 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009996581.1 nucleoprotein [Johnston Atoll quaranjavirus] -YP_009987462.1 nucleoprotein [Lake Chad virus] -YP_009508041.1 hypothetical protein [Quaranfil quaranjavirus] -YP_009110683.1 nucleoprotein [Wellfleet Bay virus] diff --git a/seq/clusters_info/cluster_913 b/seq/clusters_info/cluster_913 deleted file mode 100644 index cab694c..0000000 --- a/seq/clusters_info/cluster_913 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009996580.1 polymerase acidic protein [Johnston Atoll quaranjavirus] -YP_009987460.1 polymerase acidic protein [Lake Chad virus] -YP_009508040.1 polymerase PA [Quaranfil quaranjavirus] -YP_009110687.1 polymerase acidic protein [Wellfleet Bay virus] diff --git a/seq/clusters_info/cluster_914 b/seq/clusters_info/cluster_914 deleted file mode 100644 index 11cbf5f..0000000 --- a/seq/clusters_info/cluster_914 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009996579.1 polymerase basic 2 protein [Johnston Atoll quaranjavirus] -YP_009987459.1 polymerase basic 2 protein [Lake Chad virus] -YP_009508039.1 polymerase PB2 [Quaranfil quaranjavirus] -YP_009110685.1 polymerase basic 2 protein [Wellfleet Bay virus] diff --git a/seq/clusters_info/cluster_915 b/seq/clusters_info/cluster_915 deleted file mode 100644 index b490a71..0000000 --- a/seq/clusters_info/cluster_915 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009305145.1 nucleocapsid protein [Yichang Insect virus] -YP_009664620.1 nucleocapsid [Gouleako virus] -YP_009664617.1 nucleocapsid [Cumuto virus] -YP_009329877.1 putative nucleoprotein [Shahe heteroptera virus 3] diff --git a/seq/clusters_info/cluster_916 b/seq/clusters_info/cluster_916 deleted file mode 100644 index c7f8af8..0000000 --- a/seq/clusters_info/cluster_916 +++ /dev/null @@ -1,4 +0,0 @@ -YP_008378661.1 non-structural protein V [Human parainfluenza virus 4a] -YP_008378660.1 phospho protein [Human parainfluenza virus 4a] -sp|P21740.1|V_PI4HB RecName: Full=Non-structural protein V -sp|P21738.1|PHOSP_PI4HB RecName: Full=Phosphoprotein; Short=Protein P diff --git a/seq/clusters_info/cluster_917 b/seq/clusters_info/cluster_917 deleted file mode 100644 index 38efe61..0000000 --- a/seq/clusters_info/cluster_917 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009362235.1 glycoprotein [Iriri virus] -YP_009362164.1 glycoprotein [Itacaiunas virus] -YP_009362150.1 glycoprotein [Rochambeau virus] -YP_009512985.1 glycoprotein [Curionopolis virus] diff --git a/seq/clusters_info/cluster_918 b/seq/clusters_info/cluster_918 deleted file mode 100644 index cdb267b..0000000 --- a/seq/clusters_info/cluster_918 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009513266.1 phosphoprotein [Human metapneumovirus] -YP_009513221.1 P [Avian metapneumovirus] -sp|Q8B9Q8.1|PHOSP_HMPVC RecName: Full=Phosphoprotein; Short=Protein P -sp|Q2Y2M5.1|PHOSP_AMPV1 RecName: Full=Phosphoprotein diff --git a/seq/clusters_info/cluster_919 b/seq/clusters_info/cluster_919 deleted file mode 100644 index 01f7e04..0000000 --- a/seq/clusters_info/cluster_919 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009944277.1 nsp9 [Human coronavirus HKU1] -YP_009944262.1 nsp9 [Rabbit coronavirus HKU14] -YP_009915696.1 nsp9 [Murine hepatitis virus] -YP_009924327.1 nsp9 [Human coronavirus OC43] diff --git a/seq/clusters_info/cluster_92 b/seq/clusters_info/cluster_92 deleted file mode 100644 index 5ce7afe..0000000 --- a/seq/clusters_info/cluster_92 +++ /dev/null @@ -1,42 +0,0 @@ -YP_009725315.1 nonstructural polyprotein [Norovirus GIV] -YP_009700102.2 nonstructural polyprotein [Norovirus GI] -YP_009700099.1 nonstructural polyprotein [Norovirus GIV] -YP_009700096.1 nonstructural polyprotein [Norovirus GI] -YP_009700093.1 nonstructural polyprotein [Norovirus GI] -YP_009701445.1 nonstructural polyprotein [Norovirus GII] -YP_009552830.1 polyprotein [Marmot norovirus] -YP_009555232.1 nonstructural polyprotein [Norovirus GII] -YP_009518841.1 nonstructural polyprotein [Norovirus GII] -YP_009679040.1 ORF1 polyprotein [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -YP_009518835.1 nonstructural polyprotein [Norovirus GII.17] -YP_009237903.1 nonstructural polyprotein [Norovirus GIV] -YP_009237900.1 nonstructural polyprotein [Norovirus GIII] -YP_009679037.1 polyprotein [Norovirus GII/Hu/JP/2011/GII/Yuzawa/Gira2HS] -YP_009679034.1 polyprotein [Norovirus GII/Hu/JP/2007/GII.P15_GII.15/Sapporo/HK299] -YP_009538340.1 nonstructural polyprotein [Norovirus GI/Hu/JP/2007/GI.P3_GI.3/Shimizu/KK2866] -YP_009305194.1 nonstructural polyprotein [Primate norovirus] -YP_009666335.1 nonstructural polyprotein [Tulane virus] -YP_009237897.1 nonstructural polyprotein [Norwalk-like virus] -YP_002905325.1 polyprotein [Calicivirus pig/AB90/CAN] -YP_720001.1 nonstructural polyprotein [Norovirus GV] -NP_056820.1 nonstructural polyprotein [Norovirus GI] -sp|Q04544.2|POLG_SOUV3 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p48; Contains: RecName: Full=NTPase; AltName: Full=p41; Contains: RecName: Full=Protein p22; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPG; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -sp|P54634.1|POLG_LORDV RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p37; Contains: RecName: Full=NTPase; AltName: Full=p40; Contains: RecName: Full=Protein p20; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPG; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -AMD33537.1 polyprotein, partial [Norovirus GI] -AFV48066.1 nonstructural polyprotein [WUHARV Calicivirus 1] -ABC96755.1 ORF1 [Norovirus Hu/OsakaNI/2004/JP] -AAD16174.5 RNA dependent RNA polymerase [Norovirus Bo/Newbury2/1976/UK] -BAC11830.1 polyprotein [Norwalk-like virus] -AIF74264.1 polyprotein, partial [Bat calicivirus] -AET79283.1 polyprotein, partial [Murine norovirus] -AID69173.1 polyprotein, partial [Bat norovirus] -ANC98486.1 nonstructural polyprotein [Norovirus GII.17] -AFM93994.1 polyprotein, partial [Recovirus Bangladesh/289/2007] -AFI08230.1 nonstructural polyprotein [Norovirus Hu/GI/10360/2010/VNM] -ABU55615.1 polyprotein [Murine norovirus GV/CR11/2005/USA] -AFV48050.1 polyprotein [Norovirus Rn/GV/HKU_KT/HKG/2012] -BAF38402.1 polyprotein [Norwalk-like virus] -AGT39196.1 ORF1 [Norovirus Hu/GII.12/CGMH40/2010/TW] -AAX32879.2 RNA-dependent RNA polymerase [Norovirus swine/GII/OH-QW125/03/US] -ADG27878.1 polyprotein [Calicivirus pig/NC-WGP93C/USA/2009] -CAA09480.1 viral non-structural polyprotein [Bovine calicivirus strain Jena] diff --git a/seq/clusters_info/cluster_920 b/seq/clusters_info/cluster_920 deleted file mode 100644 index c283353..0000000 --- a/seq/clusters_info/cluster_920 +++ /dev/null @@ -1,4 +0,0 @@ -YP_007188581.1 NS3B protein [Betacoronavirus England 1] -YP_009361859.1 ORF4a protein [Bat coronavirus] -YP_001039964.1 hypothetical protein BatCoVHKU5_gp4 [Pipistrellus bat coronavirus HKU5] -YP_001039955.1 hypothetical protein BatCoVHKU4_gp4 [Tylonycteris bat coronavirus HKU4] diff --git a/seq/clusters_info/cluster_921 b/seq/clusters_info/cluster_921 deleted file mode 100644 index b5c1976..0000000 --- a/seq/clusters_info/cluster_921 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009825058.1 ORF7b protein [SARS coronavirus Tor2] -YP_009725318.1 ORF7b [Severe acute respiratory syndrome coronavirus 2] -sp|P0C5A9.1|NS7B_BC279 RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b -sp|Q3LZX6.1|NS7B_BCHK3 RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b diff --git a/seq/clusters_info/cluster_922 b/seq/clusters_info/cluster_922 deleted file mode 100644 index 9856b0b..0000000 --- a/seq/clusters_info/cluster_922 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009179374.1 3A [sicinivirus A1] -YP_009055000.1 3A [Chicken picornavirus 1] -YP_009021774.1 3A [Sicinivirus A] -YP_003038641.1 3A [Salivirus NG-J1] diff --git a/seq/clusters_info/cluster_923 b/seq/clusters_info/cluster_923 deleted file mode 100644 index 265844d..0000000 --- a/seq/clusters_info/cluster_923 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009179369.1 VP3 [sicinivirus A1] -YP_009054996.1 VP3 [Chicken picornavirus 1] -YP_009021769.1 VP3 [Sicinivirus A] -YP_003853290.1 VP3 [Passerivirus A1] diff --git a/seq/clusters_info/cluster_924 b/seq/clusters_info/cluster_924 deleted file mode 100644 index c5eef79..0000000 --- a/seq/clusters_info/cluster_924 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001686938.1 VP4 [bovine rhinitis B virus 1] -YP_009513255.1 VP4 [Equine rhinitis A virus] -YP_009508909.1 VP4 [Bovine rhinitis A virus] -NP_740361.1 VP4 [Equine rhinitis B virus 1] diff --git a/seq/clusters_info/cluster_925 b/seq/clusters_info/cluster_925 deleted file mode 100644 index 0539326..0000000 --- a/seq/clusters_info/cluster_925 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001950222.1 capsid protein VP4 [Human TMEV-like cardiovirus] -YP_001816882.1 capsid protein VP4 [Saffold virus] -NP_740424.1 capsid protein VP4 [Theilovirus] -NP_740402.1 protein 1A [Encephalomyocarditis virus] diff --git a/seq/clusters_info/cluster_926 b/seq/clusters_info/cluster_926 deleted file mode 100644 index da9133f..0000000 --- a/seq/clusters_info/cluster_926 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009724396.1 ORF8 protein [Severe acute respiratory syndrome coronavirus 2] -sp|Q3LZX5.1|NS8_BCHK3 RecName: Full=Non-structural protein 8; Short=ns8; AltName: Full=Accessory protein 8; Flags: Precursor -sp|Q0Q469.1|NS8_BC279 RecName: Full=Non-structural protein 8; Short=ns8; AltName: Full=Accessory protein 8; Flags: Precursor -sp|Q3I5I8.1|NS8_BCRP3 RecName: Full=Non-structural protein 8; Short=ns8; AltName: Full=Accessory protein 8; Flags: Precursor diff --git a/seq/clusters_info/cluster_927 b/seq/clusters_info/cluster_927 deleted file mode 100644 index 16f133d..0000000 --- a/seq/clusters_info/cluster_927 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551963.1 replicase [Cherry virus Trakiya] -YP_009337724.1 hypothetical protein 2 [Hubei picorna-like virus 51] -YP_009345910.1 non-structural polyprotein [Bat badicivirus 1] -AIP90483.1 nonstructural polyprotein [Aphis glycines virus 1] diff --git a/seq/clusters_info/cluster_928 b/seq/clusters_info/cluster_928 deleted file mode 100644 index 2698f6b..0000000 --- a/seq/clusters_info/cluster_928 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009825018.1 replicase polyprotein 1ab [Yellow head virus] -YP_009666324.1 replicase polyprotein 1ab [Yellow head virus] -YP_001661452.1 ORF1ab replicase polyprotein pp1ab [Gill-associated virus] -ACS44770.1 replicase polyprotein 1ab [Yellow head virus] diff --git a/seq/clusters_info/cluster_929 b/seq/clusters_info/cluster_929 deleted file mode 100644 index 3daaa15..0000000 --- a/seq/clusters_info/cluster_929 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009336549.1 hypothetical protein [Wenling nido-like virus 1] -YP_009333332.1 1ab [Beihai Nido-like virus 2] -YP_009333323.1 1ab [Beihai hermit crab virus 4] -APG77328.1 RNA-dependent RNA polymerase, partial [Wenzhou Nido-like virus 1] diff --git a/seq/clusters_info/cluster_93 b/seq/clusters_info/cluster_93 deleted file mode 100644 index 030c0c0..0000000 --- a/seq/clusters_info/cluster_93 +++ /dev/null @@ -1,41 +0,0 @@ -YP_010086247.1 nucleocapsid protein [Xapuri virus] -YP_009553322.1 nucleocapsid protein [Apore mammarenavirus] -YP_009508472.1 nucleoprotein [Ryukyu mammarenavirus] -YP_009508477.1 nucleoprotein [Souris virus] -YP_009505804.1 nucleocapsid protein [Loei River mammarenavirus] -YP_009141006.1 nucleocapsid protein [Okahandja mammarenavirus] -YP_009141004.1 nucleocapsid protein [Mariental mammarenavirus] -YP_009116791.1 nucleocapsid protein [Gairo mammarenavirus] -YP_009113207.1 nucleoprotein [Wenzhou mammarenavirus] -YP_009019201.1 NP [Merino Walk mammarenavirus] -YP_006858707.1 nucleoprotein [Lunk virus NKS-1] -YP_004933731.1 NP gene product [Luna mammarenavirus] -YP_003090215.1 nucleoprotein [Morogoro mammarenavirus] -YP_002929491.1 nucleocapsid protein [Lujo mammarenavirus] -YP_001936018.1 nucleocapsid protein [Parana virus] -YP_001936022.1 nucleocapsid protein [Latino mammarenavirus] -YP_001936020.1 nucleocapsid protein [Flexal mammarenavirus] -YP_001911116.1 nucleocapsid protein [Tamiami mammarenavirus] -YP_001911114.1 nucleocapsid protein [Whitewater Arroyo mammarenavirus] -YP_001816783.1 nucleocapsid protein [Chapare mammarenavirus] -YP_001649220.1 nucleocapsid protein [Allpahuayo mammarenavirus] -YP_001649209.1 nucleocapsid protein [Amapari virus] -YP_001649223.1 nucleocapsid protein [Cupixi mammarenavirus] -YP_001649227.1 nucleocapsid protein [Bear Canyon mammarenavirus] -YP_001649211.1 nucleocapsid protein [Oliveros mammarenavirus] -YP_516231.1 nucleocapsid protein [Ippy mammarenavirus] -YP_516227.1 nucleocapsid protein [Mobala mammarenavirus] -YP_170710.1 nucleocapsid protein [Mopeia virus AN20410] -YP_170706.1 nucleocapsid protein [Mopeia Lassa virus reassortant 29] -YP_138544.1 N protein [Pichinde virus] -YP_089666.1 nucleocapsid protein [Sabia virus] -YP_025081.1 nucleocapsid protein [Pirital mammarenavirus] -NP_899211.1 nucleocapsid protein [Guanarito mammarenavirus] -NP_899213.1 nucleocapsid protein [Machupo mammarenavirus] -NP_899219.1 nucleocapsid protein [Argentinian mammarenavirus] -NP_694850.1 nucleoprotein [Tacaribe mammarenavirus] -NP_694852.1 nucleoprotein [Lymphocytic choriomeningitis mammarenavirus] -sp|Q8BD31.1|NCAP_TAMVU RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N -sp|P07400.1|NCAP_LYCVW RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N -sp|P04935.1|NCAP_LASSG RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N -sp|P14239.1|NCAP_JUNIN RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N diff --git a/seq/clusters_info/cluster_930 b/seq/clusters_info/cluster_930 deleted file mode 100644 index 3740b9d..0000000 --- a/seq/clusters_info/cluster_930 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009824948.1 ORF3' protein [Zambian malbrouck virus 1] -YP_009505571.1 ORF2b protein [Simian hemorrhagic encephalitis virus] -YP_009505559.1 ORF2b [Kibale red-tailed guenon virus 1] -YP_009249812.1 ORF3' protein [Free State vervet virus] diff --git a/seq/clusters_info/cluster_931 b/seq/clusters_info/cluster_931 deleted file mode 100644 index 63a8f68..0000000 --- a/seq/clusters_info/cluster_931 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009824947.1 ORF2a' protein [Zambian malbrouck virus 1] -YP_009505570.1 ORF2a protein [Simian hemorrhagic encephalitis virus] -YP_009249811.1 ORF2a' protein [Free State vervet virus] -NP_203544.1 minor glycoprotein [Simian hemorrhagic fever virus] diff --git a/seq/clusters_info/cluster_932 b/seq/clusters_info/cluster_932 deleted file mode 100644 index db9054a..0000000 --- a/seq/clusters_info/cluster_932 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009362206.1 matrix [Gray Lodge virus] -YP_009362139.1 matrix [Landjia virus] -YP_009362125.1 matrix [Manitoba virus] -YP_003518287.1 matrix protein [Ngaingan hapavirus] diff --git a/seq/clusters_info/cluster_933 b/seq/clusters_info/cluster_933 deleted file mode 100644 index f2f8227..0000000 --- a/seq/clusters_info/cluster_933 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553325.1 putative RNA dependent RNA polymerase [Leptomonas seymouri Narna-like virus 1] -YP_009333179.1 RNA-dependent RNA polymerase [Beihai barnacle virus 10] -YP_009256557.1 putative RNA dependent RNA polymerase [Phytomonas serpens narnavirus 1] -YP_009241365.1 RNA-dependent RNA polymerase [Phytophthora infestans RNA virus 4] diff --git a/seq/clusters_info/cluster_934 b/seq/clusters_info/cluster_934 deleted file mode 100644 index c8bd7c5..0000000 --- a/seq/clusters_info/cluster_934 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553282.1 nucleoprotein [Oz virus] -YP_009352881.1 nucleoprotein [Dhori thogotovirus] -YP_145809.1 nucleoprotein [Thogoto thogotovirus] -sp|P11468.1|NCAP_DHVI1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N diff --git a/seq/clusters_info/cluster_935 b/seq/clusters_info/cluster_935 deleted file mode 100644 index dc42fc2..0000000 --- a/seq/clusters_info/cluster_935 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551660.1 RNA-dependent RNA polymerase [Stemphylium lycopersici mycovirus] -YP_007353985.1 RNA dependent RNA polymerase [Aspergillus foetidus dsRNA mycovirus] -YP_001976142.1 RNA-dependent RNA polymerase [Alternaria alternata virus 1] -YP_009272952.1 RNA-dependent RNA polymerase [Fusarium poae alternavirus 1] diff --git a/seq/clusters_info/cluster_936 b/seq/clusters_info/cluster_936 deleted file mode 100644 index 1cf7814..0000000 --- a/seq/clusters_info/cluster_936 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553690.1 NIa-VPg protein [Wheat spindle streak mosaic virus] -NP_734279.1 NIa-VPg protein [Oat mosaic virus] -NP_697042.1 NIa-VPg protein [Wheat yellow mosaic virus] -NP_734305.1 NIa-VPg protein [Barley yellow mosaic virus] diff --git a/seq/clusters_info/cluster_937 b/seq/clusters_info/cluster_937 deleted file mode 100644 index 019bd98..0000000 --- a/seq/clusters_info/cluster_937 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553689.1 14K protein [Wheat spindle streak mosaic virus] -NP_734278.1 6K2 protein [Oat mosaic virus] -NP_697041.1 6K2 protein [Wheat yellow mosaic virus] -NP_734304.1 6K2 protein [Barley yellow mosaic virus] diff --git a/seq/clusters_info/cluster_938 b/seq/clusters_info/cluster_938 deleted file mode 100644 index 987a732..0000000 --- a/seq/clusters_info/cluster_938 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551640.1 putative coat protein [Bipolaris maydis partitivirus 2] -YP_001936015.1 coat protein [Ceratocystis resinifera virus 1] -YP_001911123.1 putative capsid protein [Ceratocystis polonica partitivirus] -NP_604476.1 putative capsid protein [Atkinsonella hypoxylon virus] diff --git a/seq/clusters_info/cluster_939 b/seq/clusters_info/cluster_939 deleted file mode 100644 index 97e0bd1..0000000 --- a/seq/clusters_info/cluster_939 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551620.1 outer capsid protein [Guangxi orbivirus] -YP_008719906.1 VP2 [Mobuck virus] -YP_460040.1 VP2 [Peruvian horse sickness virus] -YP_443927.1 VP3 [Yunnan orbivirus] diff --git a/seq/clusters_info/cluster_94 b/seq/clusters_info/cluster_94 deleted file mode 100644 index d90be4b..0000000 --- a/seq/clusters_info/cluster_94 +++ /dev/null @@ -1,41 +0,0 @@ -YP_009118623.1 matrix protein 1 [Influenza A virus (A/California/07/2009(H1N1))] -YP_009118478.1 matrix protein 1 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -YP_308841.1 matrix protein 1 [Influenza A virus (A/New York/392/2004(H3N2))] -YP_308854.1 membrane protein M1 [Influenza A virus (A/Korea/426/1968(H2N2))] -YP_308671.1 matrix protein 1 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -NP_859036.1 matrix protein 1 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -NP_040978.1 matrix protein 1 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -sp|Q76V05.1|M1_I80A8 RecName: Full=Matrix protein 1; Short=M1 -sp|P05777.2|M1_I33A0 RecName: Full=Matrix protein 1; Short=M1 -sp|Q0A414.1|M1_I77AF RecName: Full=Matrix protein 1; Short=M1 -sp|Q0A2I5.1|M1_I83A5 RecName: Full=Matrix protein 1; Short=M1 -sp|Q0A2E3.1|M1_I66A0 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67147.1|M1_I72A5 RecName: Full=Matrix protein 1; Short=M1 -sp|P03488.1|M1_I34A0 RecName: Full=Matrix protein 1; Short=M1 -sp|P0DOF7.1|M1_I72A8 RecName: Full=Matrix protein 1; Short=M1 -sp|A3DRP2.1|M1_I96A2 RecName: Full=Matrix protein 1; Short=M1 -sp|A4K145.1|M1_I54A2 RecName: Full=Matrix protein 1; Short=M1 -sp|A4GCM1.1|M1_I35A3 RecName: Full=Matrix protein 1; Short=M1 -sp|P36347.2|M1_I02A0 RecName: Full=Matrix protein 1; Short=M1 -sp|Q2LG20.1|M1_I05A1 RecName: Full=Matrix protein 1; Short=M1 -sp|Q6DPU0.1|M1_I02A2 RecName: Full=Matrix protein 1; Short=M1 -sp|Q6XTU9.1|M1_I67A0 RecName: Full=Matrix protein 1; Short=M1 -sp|Q89862.1|M1_I77AC RecName: Full=Matrix protein 1; Short=M1 -sp|Q6J8C3.1|M1_I02A5 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67202.1|M1_I85A4 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67200.1|M1_I54A1 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67181.1|M1_I88A3 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67171.1|M1_I56A3 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67169.1|M1_I86A2 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67167.1|M1_I56A1 RecName: Full=Matrix protein 1; Short=M1 -sp|Q67161.1|M1_I77AG RecName: Full=Matrix protein 1; Short=M1 -sp|Q67157.1|M1_I68A0 RecName: Full=Matrix protein 1; Short=M1 -sp|Q04260.1|M1_I89A7 RecName: Full=Matrix protein 1; Short=M1 -sp|Q80A06.1|M1_I01A1 RecName: Full=Matrix protein 1; Short=M1 -sp|Q80A02.1|M1_I01A0 RecName: Full=Matrix protein 1; Short=M1 -sp|P35937.1|M1_I77AB RecName: Full=Matrix protein 1; Short=M1 -sp|P05776.1|M1_I30A0 RecName: Full=Matrix protein 1; Short=M1 -sp|P08381.1|M1_I78A3 RecName: Full=Matrix protein 1; Short=M1 -sp|P26127.1|M1_I57A1 RecName: Full=Matrix protein 1; Short=M1 -sp|P05775.1|M1_I000F RecName: Full=Matrix protein 1; Short=M1 -sp|P21429.1|M1_I60A0 RecName: Full=Matrix protein 1; Short=M1 diff --git a/seq/clusters_info/cluster_940 b/seq/clusters_info/cluster_940 deleted file mode 100644 index 91c848d..0000000 --- a/seq/clusters_info/cluster_940 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551619.1 glycoprotein [Guangxi orbivirus] -YP_008719911.1 Nsp3 [Mobuck virus] -YP_460047.1 NS3 [Peruvian horse sickness virus] -YP_443934.1 NS3 [Yunnan orbivirus] diff --git a/seq/clusters_info/cluster_941 b/seq/clusters_info/cluster_941 deleted file mode 100644 index 2744b37..0000000 --- a/seq/clusters_info/cluster_941 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551618.1 single-stranded RNA-binding protein [Guangxi orbivirus] -YP_008719910.1 Nsp2 [Mobuck virus] -YP_460046.1 NS2 [Peruvian horse sickness virus] -YP_443931.1 NS2 [Yunnan orbivirus] diff --git a/seq/clusters_info/cluster_942 b/seq/clusters_info/cluster_942 deleted file mode 100644 index 54fc5f7..0000000 --- a/seq/clusters_info/cluster_942 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551579.1 hypothetical protein [Daphnis nerii cypovirus] -YP_009330259.1 Cypovirus VP2 [Hubei lepidoptera virus 3] -NP_149148.1 hypothetical protein LdCPV1s3gp1 [Cypovirus 1] -NP_149137.1 hypothetical protein LdcV14s3gp1 [Cypovirus 14] diff --git a/seq/clusters_info/cluster_943 b/seq/clusters_info/cluster_943 deleted file mode 100644 index 6a2cdd0..0000000 --- a/seq/clusters_info/cluster_943 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551575.1 hypothetical protein [Daphnis nerii cypovirus] -YP_009330264.1 Cypovirus VP1 [Hubei lepidoptera virus 3] -YP_009158918.1 VP1 [Lutzomyia reovirus 1] -YP_001883323.1 p1 [Cypovirus 5] diff --git a/seq/clusters_info/cluster_944 b/seq/clusters_info/cluster_944 deleted file mode 100644 index 2874d44..0000000 --- a/seq/clusters_info/cluster_944 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553668.1 p26 [Blackcurrant leafroll-associated virus 1] -YP_009553649.1 26 kDa protein [Blackcurrant-associated closterovirus 1] -YP_009553254.1 p26 [Blackcurrant leafroll-associated virus 1] -YP_009552065.1 P26 [Blackcurrant leafroll-associated virus 1] diff --git a/seq/clusters_info/cluster_945 b/seq/clusters_info/cluster_945 deleted file mode 100644 index bb8b53f..0000000 --- a/seq/clusters_info/cluster_945 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553667.1 p13 [Blackcurrant leafroll-associated virus 1] -YP_009553648.1 11 kDa protein [Blackcurrant-associated closterovirus 1] -YP_009553253.1 p13 [Blackcurrant leafroll-associated virus 1] -YP_009552064.1 P14 [Blackcurrant leafroll-associated virus 1] diff --git a/seq/clusters_info/cluster_946 b/seq/clusters_info/cluster_946 deleted file mode 100644 index 2e2680c..0000000 --- a/seq/clusters_info/cluster_946 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553666.1 p17 [Blackcurrant leafroll-associated virus 1] -YP_009553647.1 17 kDa protein [Blackcurrant-associated closterovirus 1] -YP_009553252.1 p17 [Blackcurrant leafroll-associated virus 1] -YP_009552063.1 P17 [Blackcurrant leafroll-associated virus 1] diff --git a/seq/clusters_info/cluster_947 b/seq/clusters_info/cluster_947 deleted file mode 100644 index ca504f5..0000000 --- a/seq/clusters_info/cluster_947 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553665.1 CPm [Blackcurrant leafroll-associated virus 1] -YP_009553646.1 CP [Blackcurrant-associated closterovirus 1] -YP_009553251.1 CPm [Blackcurrant leafroll-associated virus 1] -YP_009552062.1 minor coat protein [Blackcurrant leafroll-associated virus 1] diff --git a/seq/clusters_info/cluster_948 b/seq/clusters_info/cluster_948 deleted file mode 100644 index 5906588..0000000 --- a/seq/clusters_info/cluster_948 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553661.1 p6 [Blackcurrant leafroll-associated virus 1] -YP_009553642.1 p6 [Blackcurrant-associated closterovirus 1] -YP_009553247.1 p6 [Blackcurrant leafroll-associated virus 1] -YP_009552058.1 P6 [Blackcurrant leafroll-associated virus 1] diff --git a/seq/clusters_info/cluster_949 b/seq/clusters_info/cluster_949 deleted file mode 100644 index 5d7c741..0000000 --- a/seq/clusters_info/cluster_949 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009030003.1 ORF3 [Leishmania aethiopica RNA virus] -NP_619652.1 major capsid protein [Leishmania RNA virus 1 - 4] -NP_043464.1 major capsid protein [Leishmania RNA virus 2 - 1] -NP_041190.1 putative coat protein [Leishmania RNA virus 1 - 1] diff --git a/seq/clusters_info/cluster_95 b/seq/clusters_info/cluster_95 deleted file mode 100644 index 84f2986..0000000 --- a/seq/clusters_info/cluster_95 +++ /dev/null @@ -1,41 +0,0 @@ -NP_057851.1 Vif [Human immunodeficiency virus 1] -sp|Q9QSR2.1|VIF_HV1VI RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q9IDV7.1|VIF_HV1YB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q8AII0.1|VIF_SIVTN RecName: Full=Virion infectivity factor; Short=Vif -sp|Q79667.1|VIF_HV1MV RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P22383.1|VIF_SIVGB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P17284.1|VIF_SIVCZ RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -sp|P05900.1|VIF_HV1RH RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q1A266.1|VIF_SIVMB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q1A248.1|VIF_SIVEK RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P0C1L8.1|VIF_HV1MP RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P0C1L9.1|VIF_HV1M2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P0C1K6.1|VIF_HV197 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P0C1K5.1|VIF_HV196 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q9WC64.1|VIF_HV1S9 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q9WC55.1|VIF_HV1S2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q9Q719.1|VIF_HV1V9 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q89428.1|VIF_HV1B9 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q77374.1|VIF_HV1AN RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|Q75003.1|VIF_HV1ET RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|O91081.1|VIF_HV1YF RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|O89941.1|VIF_HV1SE RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|O70897.1|VIF_HV190 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|O70887.1|VIF_HV193 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|O41799.1|VIF_HV19N RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|O12159.1|VIF_HV192 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P35964.1|VIF_HV1Y2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P31820.1|VIF_HV1NA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P04596.1|VIF_HV1Z6 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P24737.1|VIF_HV1U4 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P05899.1|VIF_HV1SC RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P20890.1|VIF_HV1OY RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P12504.1|VIF_HV1N5 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P04599.1|VIF_HV1MA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P05898.1|VIF_HV1MN RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P18805.1|VIF_HV1ND RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P04597.1|VIF_HV1EL RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P20877.1|VIF_HV1JR RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P03402.1|VIF_HV1A2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P12503.1|VIF_HV1Z2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -sp|P04598.1|VIF_HV1B5 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 diff --git a/seq/clusters_info/cluster_950 b/seq/clusters_info/cluster_950 deleted file mode 100644 index 7e1682f..0000000 --- a/seq/clusters_info/cluster_950 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553258.1 capsid [Culex pipiens-associated Tunisia virus] -YP_009337245.1 hypothetical protein [Hubei virga-like virus 11] -YP_009336555.1 putative capsid protein [Hubei virga-like virus 9] -YP_009336480.1 ORF5 [Adelphocoris suturalis virus] diff --git a/seq/clusters_info/cluster_951 b/seq/clusters_info/cluster_951 deleted file mode 100644 index 507d2cf..0000000 --- a/seq/clusters_info/cluster_951 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009553233.1 hypothetical protein [Culex Tetra-like virus] -YP_009665206.1 capsid protein precursor [Thosea asigna virus] -NP_573542.1 capsid protein precursor [Euprosterna elaeasa virus] -NP_048060.1 capsid protein [Nudaurelia capensis beta virus] diff --git a/seq/clusters_info/cluster_952 b/seq/clusters_info/cluster_952 deleted file mode 100644 index d9cf73c..0000000 --- a/seq/clusters_info/cluster_952 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009552765.1 TGBp3 [Turtle grass virus X] -NP_042586.1 hypothetical protein BaMVgp5 [Bamboo mosaic virus] -NP_040991.1 6K triple gene block protein [Foxtail mosaic virus] -sp|P22171.2|TGB3_FXMV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 diff --git a/seq/clusters_info/cluster_953 b/seq/clusters_info/cluster_953 deleted file mode 100644 index 9423c24..0000000 --- a/seq/clusters_info/cluster_953 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009552712.1 movement protein [Lettuce chordovirus 1] -YP_009104000.1 ORF2 [Carrot Ch virus 1] -YP_009103997.1 ORF2 [Carrot Ch virus 2] -YP_008992017.1 viral movement protein [Citrus endogenous pararetrovirus] diff --git a/seq/clusters_info/cluster_954 b/seq/clusters_info/cluster_954 deleted file mode 100644 index f7c9e81..0000000 --- a/seq/clusters_info/cluster_954 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009552009.1 22 kDa protein [Rehmannia virus 1] -YP_009162627.1 CP [Tobacco virus 1] -YP_003075971.1 p26 [Carrot yellow leaf virus] -YP_224096.1 coat protein [Mint virus 1] diff --git a/seq/clusters_info/cluster_955 b/seq/clusters_info/cluster_955 deleted file mode 100644 index 80c8401..0000000 --- a/seq/clusters_info/cluster_955 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009552008.1 24 kDa protein [Rehmannia virus 1] -YP_009162626.1 CPm [Tobacco virus 1] -YP_003075970.1 p24 [Carrot yellow leaf virus] -YP_224095.1 CPm [Mint virus 1] diff --git a/seq/clusters_info/cluster_956 b/seq/clusters_info/cluster_956 deleted file mode 100644 index 95df12a..0000000 --- a/seq/clusters_info/cluster_956 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551985.1 putative movement protein [Mume virus A] -YP_009666022.1 movement protein [Phlomis mottle virus] -YP_009229913.1 movement protein [Currant virus A] -NP_620107.1 putative movement protein [Cherry virus A] diff --git a/seq/clusters_info/cluster_957 b/seq/clusters_info/cluster_957 deleted file mode 100644 index a3dd1f6..0000000 --- a/seq/clusters_info/cluster_957 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009337672.1 hypothetical protein [Wenzhou picorna-like virus 44] -YP_009337170.1 hypothetical protein [Wenzhou picorna-like virus 45] -YP_009336663.1 hypothetical protein [Wenling picorna-like virus 6] -YP_009333579.1 hypothetical protein [Beihai picorna-like virus 106] diff --git a/seq/clusters_info/cluster_958 b/seq/clusters_info/cluster_958 deleted file mode 100644 index b58d9f4..0000000 --- a/seq/clusters_info/cluster_958 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009505710.1 capsid protein [Nudaurelia capensis omega virus] -YP_003620399.1 p81 [Providence virus] -YP_025096.1 capsid protein p71 [Dendrolimus punctatus virus] -NP_049237.1 coat protein [Helicoverpa armigera stunt virus] diff --git a/seq/clusters_info/cluster_959 b/seq/clusters_info/cluster_959 deleted file mode 100644 index ace042e..0000000 --- a/seq/clusters_info/cluster_959 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001531171.3 Nonstructural protein NS2B [Dengue virus 3] -NP_739586.2 Nonstructural protein NS2B [Dengue virus 2] -NP_740320.1 NS2B protein [Dengue virus 4] -NP_733809.1 nonstructural protein 2B [Dengue virus 1] diff --git a/seq/clusters_info/cluster_96 b/seq/clusters_info/cluster_96 deleted file mode 100644 index bec87c0..0000000 --- a/seq/clusters_info/cluster_96 +++ /dev/null @@ -1,40 +0,0 @@ -YP_009825008.1 spike protein [Duck coronavirus] -YP_009755890.1 spike protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -YP_009256197.1 spike protein [Ferret coronavirus] -YP_006908642.1 spike glycoprotein [Rousettus bat coronavirus HKU10] -YP_005352846.1 spike glycoprotein [Sparrow coronavirus HKU17] -YP_005352881.1 spike glycoprotein [Common moorhen coronavirus HKU21] -YP_005352871.1 spike glycoprotein [Wigeon coronavirus HKU20] -YP_005352854.1 spike glycoprotein [Magpie-robin coronavirus HKU18] -YP_001718612.1 spike protein [Miniopterus bat coronavirus HKU8] -YP_001351684.1 spike protein [Scotophilus bat coronavirus 512] -YP_009194639.1 spike protein [Camel alphacoronavirus] -YP_003767.1 spike protein [Human coronavirus NL63] -YP_009513021.1 spike glycoprotein [Porcine coronavirus HKU15] -YP_005352838.1 spike glycoprotein [White-eye coronavirus HKU16] -NP_073551.1 surface glycoprotein [Human coronavirus 229E] -YP_009824967.1 S protein [NL63-related bat coronavirus] -YP_002308479.1 spike glycoprotein [Bulbul coronavirus HKU11-934] -NP_058424.1 spike protein [Transmissible gastroenteritis virus] -YP_009328935.1 S protein [NL63-related bat coronavirus] -YP_009201730.1 spike glycoprotein [BtNv-AlphaCoV/SC2013] -YP_009199790.1 spike glycoprotein [BtRf-AlphaCoV/HuB2013] -YP_009199609.1 spike glycoprotein [BtMr-AlphaCoV/SAX2011] -YP_009199242.1 spike protein [Swine enteric coronavirus] -YP_009019182.1 spike protein [Mink coronavirus strain WD1127] -YP_008439202.1 spike glycoprotein [Bat coronavirus CDPHE15/USA/2006] -YP_005352863.1 S gene product [Night heron coronavirus HKU19] -YP_004070194.1 spike protein [Feline infectious peritonitis virus] -YP_002308506.1 spike glycoprotein [Munia coronavirus HKU13-3514] -YP_002308497.1 spike glycoprotein [Thrush coronavirus HKU12-600] -YP_001941166.1 spike protein [Turkey coronavirus] -YP_001876437.1 spike protein [Beluga whale coronavirus SW1] -YP_001718605.1 spike protein [Bat coronavirus 1A] -NP_598310.1 spike protein [Porcine epidemic diarrhea virus] -sp|Q65984.1|SPIKE_CVCAK RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -sp|Q7T6T3.1|SPIKE_CVCBG RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -sp|P33470.2|SPIKE_CVPMI RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -sp|P36300.1|SPIKE_CVCAI RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -sp|P18450.2|SPIKE_CVPFS RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -sp|P24413.1|SPIKE_CVPRM RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -sp|P27655.1|SPIKE_CVPR8 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor diff --git a/seq/clusters_info/cluster_960 b/seq/clusters_info/cluster_960 deleted file mode 100644 index 53d1364..0000000 --- a/seq/clusters_info/cluster_960 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001531170.2 Nonstructural protein NS2A [Dengue virus 3] -NP_739585.2 Nonstructural protein NS2A [Dengue virus 2] -NP_740319.1 NS2A protein [Dengue virus 4] -NP_733808.1 nonstructural protein 2A [Dengue virus 1] diff --git a/seq/clusters_info/cluster_961 b/seq/clusters_info/cluster_961 deleted file mode 100644 index 1d59230..0000000 --- a/seq/clusters_info/cluster_961 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009259652.1 anchored capsid protein C [Chaoyang virus] -YP_009259523.1 anchored capsid protein C [Donggang virus] -YP_009268583.1 anchored capsid protein C [Lammi virus] -YP_009268567.1 anchored capsid protein C [Ilomantsi virus] diff --git a/seq/clusters_info/cluster_962 b/seq/clusters_info/cluster_962 deleted file mode 100644 index 3217f9b..0000000 --- a/seq/clusters_info/cluster_962 +++ /dev/null @@ -1,4 +0,0 @@ -NP_776079.1 non-structural protein NS4b [Rio Bravo virus] -NP_775687.1 non-structural protein NS4b [Apoi virus] -NP_775652.1 non-structural protein NS4b, partial [Montana myotis leukoencephalitis virus] -NP_740266.1 non-structural protein 4B [Modoc virus] diff --git a/seq/clusters_info/cluster_963 b/seq/clusters_info/cluster_963 deleted file mode 100644 index 82b4709..0000000 --- a/seq/clusters_info/cluster_963 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009664619.1 glycoprotein precursor [Gouleako virus] -YP_009664616.1 glycoprotein G [Cumuto virus] -YP_009329878.1 putative glycoprotein [Shahe heteroptera virus 3] -YP_009305144.1 glycoprotein precursor [Yichang Insect virus] diff --git a/seq/clusters_info/cluster_964 b/seq/clusters_info/cluster_964 deleted file mode 100644 index 71c149a..0000000 --- a/seq/clusters_info/cluster_964 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009666835.1 phosphoprotein [Vaprio virus] -YP_009362196.1 phosphoprotein [Keuraliba virus] -YP_009361991.1 phosphoprotein [Kern Canyon virus] -YP_009361869.1 phosphoprotein [Le Dantec virus] diff --git a/seq/clusters_info/cluster_965 b/seq/clusters_info/cluster_965 deleted file mode 100644 index 06a59ae..0000000 --- a/seq/clusters_info/cluster_965 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009140470.1 minor protein [Vesivirus ferret badger/JX12/China/2012] -YP_009109566.1 small basic protein [San Miguel sea lion virus 8] -YP_007111846.1 ORF3 protein [Mink calicivirus] -NP_777375.1 orf3 protein [Canine vesivirus] diff --git a/seq/clusters_info/cluster_966 b/seq/clusters_info/cluster_966 deleted file mode 100644 index fc0f87d..0000000 --- a/seq/clusters_info/cluster_966 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009666291.1 putative glycoprotein [Beihai rhabdo-like virus 3] -YP_009044202.1 putative glycoprotein [Sierra Nevada virus] -YP_002905338.1 putative glycoprotein [Nyamanini nyavirus] -YP_002905332.1 putative glycoprotein [Midway nyavirus] diff --git a/seq/clusters_info/cluster_967 b/seq/clusters_info/cluster_967 deleted file mode 100644 index 1005c40..0000000 --- a/seq/clusters_info/cluster_967 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009666144.1 minor coat protein [Cordyline virus 2] -YP_009664830.1 minor coat protein [Cordyline virus 4] -YP_009664820.1 minor coat protein [Cordyline virus 3] -YP_009506350.1 CPm [Cordyline virus 1] diff --git a/seq/clusters_info/cluster_968 b/seq/clusters_info/cluster_968 deleted file mode 100644 index 25cdb2b..0000000 --- a/seq/clusters_info/cluster_968 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009666105.1 coat, partial [Satellite tobacco necrosis virus C] -YP_009507838.1 coat protein [Satellite tobacco necrosis virus 2] -NP_619744.1 coat protein [Satellite maize white line mosaic virus] -NP_062917.1 coat protein [Satellite tobacco mosaic virus] diff --git a/seq/clusters_info/cluster_969 b/seq/clusters_info/cluster_969 deleted file mode 100644 index cb327fb..0000000 --- a/seq/clusters_info/cluster_969 +++ /dev/null @@ -1,4 +0,0 @@ -YP_006395344.1 6K2 protein [Pennisetum mosaic virus] -NP_734088.1 6K2 protein [Sorghum mosaic virus] -NP_734138.1 6K2 protein [Sugarcane mosaic virus] -NP_734148.1 6K2 protein [Maize dwarf mosaic virus] diff --git a/seq/clusters_info/cluster_97 b/seq/clusters_info/cluster_97 deleted file mode 100644 index 17f4fab..0000000 --- a/seq/clusters_info/cluster_97 +++ /dev/null @@ -1,40 +0,0 @@ -YP_009208148.1 replicase [Escherichia virus FI] -NP_695028.1 unnamed protein product [Enterobacteria phage SP] -NP_085473.1 replicase [Acinetobacter phage AP205] -NP_046752.1 RNA replicase, beta subunit [Escherichia virus Qbeta] -APG77271.1 hypothetical protein [Wenling levi-like virus 4] -APG77217.1 hypothetical protein [Hubei levi-like virus 13] -APG77133.1 hypothetical protein [Beihai levi-like virus 15] -APG77286.1 hypothetical protein [Wenzhou levi-like virus 7] -APG77255.1 hypothetical protein [Wenling levi-like virus 3] -APG77031.1 hypothetical protein [Beihai levi-like virus 17] -APG77171.1 hypothetical protein [Shahe levi-like virus 1] -APG77220.1 hypothetical protein [Hubei levi-like virus 12] -APG77067.1 hypothetical protein [Beihai levi-like virus 18] -APG77195.1 hypothetical protein [Hubei levi-like virus 14] -APG76985.1 hypothetical protein [Beihai levi-like virus 19] -APG77058.1 hypothetical protein [Beihai levi-like virus 13] -APG77076.1 hypothetical protein, partial [Beihai levi-like virus 35] -pdb|4R71|B Chain B, RNA-directed RNA polymerase beta chain -ACT66752.1 replicase [Escherichia virus FI] -APG77228.1 hypothetical protein [Hubei levi-like virus 2] -APG77245.1 hypothetical protein, partial [Hubei levi-like virus 3] -APG77119.1 hypothetical protein [Changjiang levi-like virus 3] -APG77234.1 hypothetical protein [Hubei levi-like virus 8] -AMQ23539.1 replicase, partial [Leviviridae sp.] -APG77242.1 hypothetical protein [Hubei levi-like virus 10] -APG77009.1 hypothetical protein [Beihai levi-like virus 16] -APG77085.1 hypothetical protein [Beihai levi-like virus 21] -APG77174.1 hypothetical protein [Shahe levi-like virus 3] -AAC06252.1 replicase [Enterobacteria phage M11] -APG77252.1 hypothetical protein [Wenling levi-like virus 2] -APG77292.1 hypothetical protein [Wenzhou levi-like virus 6] -APG77237.1 hypothetical protein [Hubei levi-like virus 11] -APG77248.1 hypothetical protein [Hubei levi-like virus 4] -APG76989.1 hypothetical protein [Beihai levi-like virus 34] -APG77201.1 hypothetical protein [Hubei levi-like virus 9] -APG76993.1 hypothetical protein [Beihai levi-like virus 20] -ACY07245.1 replicase [Enterobacteria phage SP] -AMQ23551.1 replicase, partial [Leviviridae sp.] -AOG30806.1 replicase [ssRNA phage DC] -APG77127.1 hypothetical protein [Beihai levi-like virus 33] diff --git a/seq/clusters_info/cluster_970 b/seq/clusters_info/cluster_970 deleted file mode 100644 index b711476..0000000 --- a/seq/clusters_info/cluster_970 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009272911.1 RNA-dependent RNA polymerase [Fusarium poae negative-stranded virus 1] -ALD89129.2 RNA-dependent RNA polymerase, partial [Rhizoctonia solani negative-stranded virus 1] -ALD89111.1 RNA-dependent RNA polymerase [Rhizoctonia solani negative-stranded virus 3] -ALD89130.1 RNA-dependent RNA polymerase [Rhizoctonia solani negative-stranded virus 2] diff --git a/seq/clusters_info/cluster_971 b/seq/clusters_info/cluster_971 deleted file mode 100644 index a846436..0000000 --- a/seq/clusters_info/cluster_971 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009665157.1 PIPO, partial [Zucchini shoestring virus] -YP_006460569.1 PIPO, partial [Algerian watermelon mosaic virus] -YP_006393463.1 PIPO, partial [Papaya ringspot virus] -YP_003587806.1 PIPO, partial [Turnip mosaic virus] diff --git a/seq/clusters_info/cluster_972 b/seq/clusters_info/cluster_972 deleted file mode 100644 index 3ebb779..0000000 --- a/seq/clusters_info/cluster_972 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009618381.1 RNA-dependent RNA polymerase [Pseudomonas phage phiYY] -YP_009618368.1 hypothetical protein [Pseudomonas phage phiNN] -NP_690817.1 RNA-dependent RNA polymerase [Pseudomonas phage phi13] -NP_620346.1 hypothetical protein phi-6L_2 [Pseudomonas phage phi6] diff --git a/seq/clusters_info/cluster_973 b/seq/clusters_info/cluster_973 deleted file mode 100644 index 929cb88..0000000 --- a/seq/clusters_info/cluster_973 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009618380.1 putative procapsid protein [Pseudomonas phage phiYY] -YP_009618367.1 hypothetical protein [Pseudomonas phage phiNN] -NP_690816.1 P7 procapsid protein [Pseudomonas phage phi13] -NP_620345.1 hypothetical protein phi-6L_1 [Pseudomonas phage phi6] diff --git a/seq/clusters_info/cluster_974 b/seq/clusters_info/cluster_974 deleted file mode 100644 index e978277..0000000 --- a/seq/clusters_info/cluster_974 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009505328.1 glycoprotein precursor [Badu phasivirus] -YP_009505331.1 glycoprotein [Phasi Charoen-like phasivirus] -YP_009329895.1 putative glycoprotein [Hubei diptera virus 3] -YP_009305141.1 glycoprotein precursor [Wutai mosquito phasivirus] diff --git a/seq/clusters_info/cluster_975 b/seq/clusters_info/cluster_975 deleted file mode 100644 index 77d00f5..0000000 --- a/seq/clusters_info/cluster_975 +++ /dev/null @@ -1,4 +0,0 @@ -NP_740265.2 non-structural protein 4A [Modoc virus] -NP_776077.1 non-structural protein NS4a [Rio Bravo virus] -NP_775685.1 non-structural protein NS4a [Apoi virus] -NP_775650.1 non-structural protein NS4a, partial [Montana myotis leukoencephalitis virus] diff --git a/seq/clusters_info/cluster_976 b/seq/clusters_info/cluster_976 deleted file mode 100644 index fcf8fdb..0000000 --- a/seq/clusters_info/cluster_976 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009268619.1 membrane glycoprotein M [Hanko virus] -YP_009259263.1 membrane glycoprotein M [Parramatta River virus] -YP_003084124.1 membrane glycoprotein precursor M [Aedes flavivirus] -NP_937772.1 membrane protein [Kamiti River virus] diff --git a/seq/clusters_info/cluster_977 b/seq/clusters_info/cluster_977 deleted file mode 100644 index 7ed5651..0000000 --- a/seq/clusters_info/cluster_977 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009268618.1 protein pr [Hanko virus] -YP_009259322.1 protein pr [Aedes flavivirus] -YP_009259262.1 protein pr [Parramatta River virus] -YP_009268602.1 protein pr [Nakiwogo virus] diff --git a/seq/clusters_info/cluster_978 b/seq/clusters_info/cluster_978 deleted file mode 100644 index 7e5182c..0000000 --- a/seq/clusters_info/cluster_978 +++ /dev/null @@ -1,4 +0,0 @@ -NP_776075.1 non-structural protein NS2b [Rio Bravo virus] -NP_775683.1 non-structural protein NS2b [Apoi virus] -NP_775648.1 non-structural protein NS2b, partial [Montana myotis leukoencephalitis virus] -NP_740263.1 non-structural protein 2B [Modoc virus] diff --git a/seq/clusters_info/cluster_979 b/seq/clusters_info/cluster_979 deleted file mode 100644 index 5a9018b..0000000 --- a/seq/clusters_info/cluster_979 +++ /dev/null @@ -1,4 +0,0 @@ -NP_776069.1 anchored core protein C [Rio Bravo virus] -NP_775675.1 anchored capsid protein [Modoc virus] -NP_775677.1 anchored core protein C [Apoi virus] -NP_775643.1 anchored core protein C, partial [Montana myotis leukoencephalitis virus] diff --git a/seq/clusters_info/cluster_98 b/seq/clusters_info/cluster_98 deleted file mode 100644 index 102cd4c..0000000 --- a/seq/clusters_info/cluster_98 +++ /dev/null @@ -1,40 +0,0 @@ -YP_010085071.1 RNA-dependent RNA polymerase [Tapirape virus] -YP_010086208.1 RNA-dependent RNA polymerase [Caimito virus] -YP_010085094.1 RNA dependent RNA polymerase [Tahyna virus] -YP_010085080.1 L polyprotein [Snowshoe hare virus] -YP_010085079.1 polymerase [Trivittatus virus] -YP_010084300.1 polymerase [California encephalitis virus] -YP_009667044.1 RNA-dependent RNA polymerase [Tacaiuma orthobunyavirus] -YP_009666980.1 polymerase [Serra do Navio virus] -YP_009666975.1 polymerase [San Angelo virus] -YP_009666970.1 polymerase [Melao virus] -YP_009666968.1 polymerase [Lumbo virus] -YP_009666964.1 polymerase [Keystone virus] -YP_009666934.1 RNA-dependent RNA polymerase [Rio Preto da Eva virus] -YP_009666929.1 RNA-dependent RNA polymerase [Pacui virus] -YP_009666884.1 L protein [Jamestown Canyon virus] -YP_009667021.1 RNA-dependent RNA polymerase [Witwatersrand virus] -YP_009666936.1 RNA-dependent RNA polymerase [Tataguine virus] -YP_009664559.1 RNA-dependent RNA polymerase, partial [Umbre virus] -YP_009507885.1 RNA-dependent RNA polymerase [Koongol virus] -YP_009507871.1 RNA-dependent RNA polymerase [Gamboa virus] -YP_009507862.1 RNA-dependent RNA polymerase [Lukuni virus] -YP_009362987.1 RNA-dependent RNA polymerase [Wolkberg virus] -YP_009362074.1 polymerase [Kaeng Khoi virus] -YP_009362066.1 polymerase [Nyando virus] -YP_009362049.1 polymerase [Bwamba orthobunyavirus] -YP_009117083.1 RNA-dependent RNA polymerase [Maprik virus] -YP_008709776.1 RNA-dependent RNA polymerase [Murrumbidgee virus] -AKO90170.1 RNA-dependent RNA polymerase [Mapputta virus] -AJG39233.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 1] -AOZ21156.1 polyprotein [Gan Gan virus] -AMR73398.1 RNA-dependent RNA polymerase [Yacaaba virus] -AMR73391.1 RNA-dependent RNA polymerase [Kowanyama virus] -AIN37021.1 polymerase [Nyando virus] -pdb|5AMR|A Chain A, RNA POLYMERASE L -AIN37024.1 polymerase [Mojui dos Campos virus] -APF29571.1 RNA-dependent RNA polymerase [Gan Gan virus] -APG79334.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 11] -AIN37017.1 polymerase [Pongola virus - SAAr1] -AIN37022.1 polymerase [Nyando virus] -AOS59868.1 RNA-dependent RNA polymerase [Inkoo virus] diff --git a/seq/clusters_info/cluster_980 b/seq/clusters_info/cluster_980 deleted file mode 100644 index 23a730a..0000000 --- a/seq/clusters_info/cluster_980 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009551504.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 17 genome type A] -YP_009551502.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 17 genome type B] -YP_009333150.1 hypothetical protein 2 [Beihai barnacle virus 15] -APG75978.1 hypothetical protein 2, partial [Hubei toti-like virus 6] diff --git a/seq/clusters_info/cluster_981 b/seq/clusters_info/cluster_981 deleted file mode 100644 index 4cd3098..0000000 --- a/seq/clusters_info/cluster_981 +++ /dev/null @@ -1,4 +0,0 @@ -YP_001949869.1 P0 [Melon aphid-borne yellows virus] -NP_840095.1 P0 protein [Beet western yellows virus] -NP_620100.1 hypothetical protein P1 [Cucurbit aphid-borne yellows virus] -NP_612214.1 hypothetical protein P1 [Beet mild yellowing virus] diff --git a/seq/clusters_info/cluster_982 b/seq/clusters_info/cluster_982 deleted file mode 100644 index 9385402..0000000 --- a/seq/clusters_info/cluster_982 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009507898.1 pv2 [Maize stripe tenuivirus] -YP_009507892.1 pv2 protein [Iranian wheat stripe tenuivirus] -YP_009449441.1 NS2 protein [Rice hoja blanca tenuivirus] -NP_620520.1 22.7K protein [Rice stripe tenuivirus] diff --git a/seq/clusters_info/cluster_983 b/seq/clusters_info/cluster_983 deleted file mode 100644 index ead5cb1..0000000 --- a/seq/clusters_info/cluster_983 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009512991.1 hypothetical protein [Flanders hapavirus] -YP_009505463.1 hypothetical protein [Mossuril virus] -YP_009361982.1 hypothetical protein [Kamese virus] -YP_009361953.1 hypothetical protein [Hart Park virus] diff --git a/seq/clusters_info/cluster_984 b/seq/clusters_info/cluster_984 deleted file mode 100644 index 67ff06c..0000000 --- a/seq/clusters_info/cluster_984 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009512992.1 hypothetical protein [Flanders hapavirus] -YP_009505464.1 hypothetical protein [Mossuril virus] -YP_009361965.1 hypothetical protein [Mosqueiro virus] -YP_009361954.1 hypothetical protein [Hart Park virus] diff --git a/seq/clusters_info/cluster_985 b/seq/clusters_info/cluster_985 deleted file mode 100644 index 5332945..0000000 --- a/seq/clusters_info/cluster_985 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009508584.1 tas protein [Yellow-breasted capuchin simian foamy virus] -YP_009508579.1 tas [White-tufted-ear marmoset simian foamy virus] -YP_009508568.1 tas [Squirrel monkey simian foamy virus] -YP_009508563.1 Tas [Spider monkey simian foamy virus] diff --git a/seq/clusters_info/cluster_986 b/seq/clusters_info/cluster_986 deleted file mode 100644 index 211a9ef..0000000 --- a/seq/clusters_info/cluster_986 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009508532.1 U2 protein [Beatrice Hill virus] -YP_009362248.1 hypothetical protein [Sweetwater Branch virus] -YP_009094409.1 hypothetical protein [Coastal Plains virus] -YP_007641372.1 hypothetical protein [Tibrogargan virus] diff --git a/seq/clusters_info/cluster_987 b/seq/clusters_info/cluster_987 deleted file mode 100644 index 421a130..0000000 --- a/seq/clusters_info/cluster_987 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009508529.1 phosphoprotein [Beatrice Hill virus] -YP_009362245.1 phosphoprotein [Sweetwater Branch virus] -YP_009094405.1 phosphoprotein P [Coastal Plains virus] -YP_007641369.1 phosphoprotein P [Tibrogargan virus] diff --git a/seq/clusters_info/cluster_988 b/seq/clusters_info/cluster_988 deleted file mode 100644 index f9ec359..0000000 --- a/seq/clusters_info/cluster_988 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009508239.1 hypothetical protein [Rabbit picobirnavirus] -YP_009351840.1 capsid protein [Otarine picobirnavirus] -YP_009241385.1 capsid protein [Porcine picobirnavirus] -YP_239360.1 hypothetical protein HPV_s1gp2 [Human picobirnavirus] diff --git a/seq/clusters_info/cluster_989 b/seq/clusters_info/cluster_989 deleted file mode 100644 index f44de50..0000000 --- a/seq/clusters_info/cluster_989 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009507969.1 9.7-kDa protein [Tetterwort vein chlorosis virus] -YP_006522430.1 hypothetical 9-kDa protein [Cucurbit chlorotic yellows virus] -YP_003002361.1 unnamed protein product [Lettuce chlorosis virus] -YP_001816778.1 p9 [Bean yellow disorder virus] diff --git a/seq/clusters_info/cluster_99 b/seq/clusters_info/cluster_99 deleted file mode 100644 index 6d1ebd7..0000000 --- a/seq/clusters_info/cluster_99 +++ /dev/null @@ -1,40 +0,0 @@ -YP_010087748.1 coat protein [Euonymus yellow mottle associated virus] -YP_010087336.1 putative coat protein [Ambrosia asymptomatic virus 1] -YP_009552761.1 coat protein [Panax ginseng flexivirus 1] -YP_009664732.1 coat protein [Lagenaria mild mosaic virus] -YP_009448191.1 CP [Babaco mosaic virus] -YP_009389483.1 coat protein [Vanilla virus X] -YP_009389423.1 coat protein [Euonymus yellow vein virus] -YP_009270634.1 CP [Senna mosaic virus] -YP_009091818.1 coat protein [Yam virus X] -YP_009046886.1 CP [Pitaya virus X] -YP_006860593.1 coat protein [Apple green crinkle associated virus] -YP_006905865.1 coat protein [Rubus canadensis virus 1] -YP_004849318.1 coat protein [Tamus red mosaic virus] -YP_002647031.1 coat protein [Allium virus X] -YP_002341563.1 coat protein [Schlumbergera virus X] -YP_002332933.1 coat protein [Potato virus X] -YP_002308468.1 coat protein [Hosta virus X] -YP_001655014.1 coat protein [Phaius virus X] -YP_459948.1 coat protein [Alternanthera mosaic virus] -YP_446996.1 coat protein [Nerine virus X] -YP_263307.1 coat protein [Lily virus X] -YP_224138.1 coat protein [Mint virus X] -YP_224088.1 coat protein [Hydrangea ringspot virus] -YP_054411.1 coat protein [Opuntia virus X] -YP_054406.1 coat protein [Zygocactus virus X] -NP_932309.1 coat protein [Botrytis virus X] -NP_702992.1 coat protein [Tulip virus X] -NP_624317.1 coat protein [Sugarcane striate mosaic-associated virus] -NP_620840.1 capsid protein [Plantago asiatica mosaic virus] -NP_620646.1 coat protein [Strawberry mild yellow edge virus] -NP_604468.1 coat protein [Apple stem pitting virus] -NP_148784.1 coat protein [Cactus virus X] -NP_112033.1 coat protein [Banana mild mosaic virus] -NP_077083.1 coat protein [Clover yellow mosaic virus] -NP_047285.1 28 kDa coat protein [Grapevine rupestris stem pitting-associated virus] -NP_044334.1 capsid protein [Papaya mosaic virus] -NP_042699.1 coat protein [Cassava common mosaic virus] -sp|P62406.1|CAPSD_PVXCP RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -sp|P07699.2|CAPSD_PVX RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -sp|Q07626.1|CAPSD_PVXHB RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP diff --git a/seq/clusters_info/cluster_990 b/seq/clusters_info/cluster_990 deleted file mode 100644 index 9b4504c..0000000 --- a/seq/clusters_info/cluster_990 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009507956.1 p10 [Diodia vein chlorosis virus] -YP_227363.1 p9 [Blackberry yellow vein-associated virus] -YP_025088.1 p10 [Strawberry pallidosis-associated virus] -NP_689403.1 hypothetical protein SPCSVs2gp04 [Sweet potato chlorotic stunt virus] diff --git a/seq/clusters_info/cluster_991 b/seq/clusters_info/cluster_991 deleted file mode 100644 index b470ee7..0000000 --- a/seq/clusters_info/cluster_991 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009507954.1 p6 [Diodia vein chlorosis virus] -YP_003204957.1 p6 [Tomato infectious chlorosis virus] -YP_227361.1 p6 [Blackberry yellow vein-associated virus] -YP_025086.1 p6 [Strawberry pallidosis-associated virus] diff --git a/seq/clusters_info/cluster_992 b/seq/clusters_info/cluster_992 deleted file mode 100644 index 23c2294..0000000 --- a/seq/clusters_info/cluster_992 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009507792.1 presumed viral infectivity factor [Puma lentivirus 14] -NP_040974.1 ORF 1 [Feline immunodeficiency virus] -sp|P31823.1|VIF_FIVT2 RecName: Full=Virion infectivity factor -sp|P19029.1|VIF_FIVSD RecName: Full=Virion infectivity factor diff --git a/seq/clusters_info/cluster_993 b/seq/clusters_info/cluster_993 deleted file mode 100644 index d196c8c..0000000 --- a/seq/clusters_info/cluster_993 +++ /dev/null @@ -1,4 +0,0 @@ -YP_003199423.1 mu-NS protein [Mammalian orthoreovirus 3] -sp|Q9PY83.1|MUNS_REOVL RecName: Full=Protein mu-NS; Short=MuNS -sp|Q9PY82.1|MUNS_REOVJ RecName: Full=Protein mu-NS; Short=MuNS -sp|P12419.2|MUNS_REOVD RecName: Full=Protein mu-NS; Short=MuNS diff --git a/seq/clusters_info/cluster_994 b/seq/clusters_info/cluster_994 deleted file mode 100644 index 54181c0..0000000 --- a/seq/clusters_info/cluster_994 +++ /dev/null @@ -1,4 +0,0 @@ -YP_004769553.1 major inner capsid protein sigma 1 [Baboon orthoreovirus] -YP_003199425.1 sigma-2 protein [Mammalian orthoreovirus 3] -sp|P32922.1|SIGM2_REOVJ RecName: Full=Inner capsid protein sigma-2; Short=Sigma2 -sp|P03525.2|SIGM2_REOVD RecName: Full=Inner capsid protein sigma-2; Short=Sigma2 diff --git a/seq/clusters_info/cluster_995 b/seq/clusters_info/cluster_995 deleted file mode 100644 index 1bedc9e..0000000 --- a/seq/clusters_info/cluster_995 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009507759.1 NS32 [Scophthalmus maximus reovirus] -YP_009507746.1 NS4 [Green River chinook virus] -YP_009351843.1 NS4 [Fall chinook aquareovirus] -YP_009259503.1 putative non-structural protein 32 [Etheostoma fonticola aquareovirus] diff --git a/seq/clusters_info/cluster_996 b/seq/clusters_info/cluster_996 deleted file mode 100644 index 14a8da3..0000000 --- a/seq/clusters_info/cluster_996 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009506657.1 putative nonstructural protein [Laguna Negra orthohantavirus] -YP_004928154.1 nonstructral protein [Tula orthohantavirus] -YP_004928151.1 putative nonstructural protein [Andes orthohantavirus] -YP_004928152.1 putative nonstructural protein [Sin Nombre orthohantavirus] diff --git a/seq/clusters_info/cluster_997 b/seq/clusters_info/cluster_997 deleted file mode 100644 index 8eb6a34..0000000 --- a/seq/clusters_info/cluster_997 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009508928.1 3C [Turkey avisivirus] -YP_009055023.1 3C [Chicken picornavirus 3] -YP_009055012.1 3C [Chicken picornavirus 2] -YP_007969882.1 picornain 3C [Duck hepatitis A virus 1] diff --git a/seq/clusters_info/cluster_998 b/seq/clusters_info/cluster_998 deleted file mode 100644 index 916e5c8..0000000 --- a/seq/clusters_info/cluster_998 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009505564.1 ORF6 [Kibale red-tailed guenon virus 1] -YP_009140485.1 GP4 protein [Pebjah virus] -YP_009121780.1 GP4 protein [DeBrazza's monkey arterivirus] -NP_203549.1 minor glycoprotein [Simian hemorrhagic fever virus] diff --git a/seq/clusters_info/cluster_999 b/seq/clusters_info/cluster_999 deleted file mode 100644 index 0accf17..0000000 --- a/seq/clusters_info/cluster_999 +++ /dev/null @@ -1,4 +0,0 @@ -YP_009505501.1 matrix protein [Drosophila melanogaster sigmavirus HAP23] -YP_003126911.1 matrix protein [Drosophila melanogaster sigmavirus AP30] -YP_009305105.1 matrix protein [Wuhan Louse Fly Virus 9] -YP_009302016.1 matrix protein [Wuhan Louse Fly Virus 10] diff --git a/seq/clusters_seq/cluster_1 b/seq/clusters_seq/cluster_1 deleted file mode 100644 index 7993197..0000000 --- a/seq/clusters_seq/cluster_1 +++ /dev/null @@ -1,8540 +0,0 @@ ->YP_010087867.1 polyprotein [Paris virus 1] -MTTSFMVGDFKLNVGVKTTIKPVAITKYEDKEMVRLVRQLCHEVEECTVKIGCGVGCAALSAYTKSSLAR -AIKVDDLARGGTCHICGMMAVVGPARQHIQTIKNIFPKWKDVEVKTIERIPVTVQGFETVVAPCVTSELI -EKKDVKTCAPVCTSHVQSVIPNIPERELGTTTTSTASVSNVALDKNKTFVLAKHIASVSSLPKPRGSNGM -SYAANVARTRMEHERKHRENAFTELEKQCDLRRAKMGPQKVIKVRGVYTTRRMTTKEVHIKTEKEAWHEN -RRNDLTIPPQILTKIVSRVTNEVAVVEEVQDIKLPVVASLKRDRKMVKKNVVECDIGSIMHALFKISDRS -KLEICVVEKRAEHVISRVHRFGMALLRCQLPHMNGEKHHQEIKNCNNLMHLVNTWNRGIQVNKRIDGQLI -KPGDSGLIIPSWCMSGKEQSHDYMIIRGRLDGELIDARVHLEIEQLLRIHEYSKDVAHNFWDGLNRKFLQ -NKPFDFDHQCESNFKVTKCGELAGTLIQTIFPCGRITCQNCVRNFTHLTRLEYGQLIKPRIDHALAEMNV -NYKEFAQIPIILENLGRAVCLTNNNTDAFGFTNAIVFDRKDAPYTHVREINDILIKCGEATTEDFERAST -HLLEVARYLKNRTDIITESKTARFRNKASAKSHFNADLLCDNQLDKNGNFVWGQRGYHAKRFLSNYFVKI -DPRRGYADYVRRKNPNGERALAIDKLIVSTNLEVFRQQMTGNCVHKFDVTEECTSKRNGAYVYQCCCVTT -EDGQPIESAFQAPTKHHLVLGSAGDAKFVDLPQDTDQQMYIAKDGYCYINIFFAMLINVREDQAKAFTKM -VRDMLIPKLGEWPTVMDVATACRLLTVFFPDTTNAELPRIYVDHTSKMMHVIDSYGSFDTGYHILKANTI -NQFMLFSANDVHSELKHYRVGGKFIASELVHTNFKTLIKAIYKESLMKDILESEPYMVLLAVTSPGVLIA -LFNSGTLELATRMWIHKDHNIAQIMTMLSILAAKVSVSKVLVEQKRIIEENAGHLLRATDHTFLPCKSID -SAIVALTMMDEEFKTDKAVRDNGFYAFKLSSADILEKSYMETLEDSWRELTWRERFVLTMRSRQLRQKYL -GFVELENKNGIVGRYSTSVSATCGLLKRKFVKKLKGLKKSVERKMYNMIGRATCAIVGQCKYLFPDLLHF -VNVLTVFGLLLAVYRNVFMHIIEYKQLKEKEKTVVDERNARLAGAIYKELGLETKALPTVEEFRDRLGQI -SPELLTWFDGEYNLGDYIFQAKTDGERNLERVVAVSALLLMVFDAERSDVVYKVLNKLKNLIGVVEAEPM -KFQSLDDVNDILEEKNMTIDFELQSDNESMTLMGGATFSQWWDNQLLHNNVIPHYRTEGHFIEFTRENAV -SVANEIAHGPHLDYLVRGAVGSGKSTGLPFHLSNKGGVLLIEPTRPLAENVYKQLKKEPFYINPTLRMRG -VTHVGSSPITIMTSGFALHYFANNVSRLNELKHIVFDECHVHDANAMAFRCLLAEYSFGGKILKVSATPP -GREVEFSTQKPVELLIEDDLTIQQFVTNLGTKANSDITAKGDNILVYVASYNEVDLLSKLLIEKNYKVTK -VDGRTMKVGRTEIETCGTKDKKHFVVATNIIENGVTLDIDVVVDFGTKVVPEMDPDARLIRYRKVNVSYG -ERIQRLGRVGRFKNGVALRIGSTERGLQAVPSMTATEAAFYSFTYGLPVITEGVSTSILSECTVLQARTM -LHFELPIFYTAPLVRFDGTMHASVHKLLVPYKLRDSDITLNKLAIPTASQKTWKTAKEYAMHGCRLNIED -DITIPFFSRDIPEKLMVELHKTVLAHRHDSGFGRITSASAAKIAYTLQTDPCSLQRTIAILDKLIESEQR -KKAYFDSVTSTSVSTSNFSLTSIINAIKTRHMTNHTAENIGVLQAAKGQLLEFKNITLDWECVNKIGNSG -ALECMYFQSEHEMSKHLQLKGHWNRSIITTDAIVVGTVLIGGAWMLCTHFKDTMANTFQFEAKNKRQRQK -LKFREARDNRMAREVYGEDADLEHFFGSKYTAKGRSKGNTHGMGHKLRRFINMYGFDVTDYSFARYVDPV -TGATLDENVMTDLSLVQDHFGKIRRQMMRDGDIEKERIVWNPAIEAYFVKDAAKEVLKIDLTPHNPLRLC -DNIANIAGYPEREHELRQTGKPTFVSADQLPKRNEIGSEMEFESASTFHGLRDYNPIASTICSLQNISDG -VVTTLFGIGYGSVIIANQHLFRNNNGTLSIKSHHGEFNVKNTTQLPLYPIEGRDIILIKLPKDFPPFPRK -LKFRCVDKGEKVCLVGSNFQTKSISSTVSEASFTAAAPSEAFTKHWISTKDGQCGLPIVSVKDGNVLGLH -SLTSTVSTTNMFTNVPGDFEEKILAKIDALDWTKKWRLNVDKANWGAINIRGDQPDGLFKISKEISDFDS -TEWSFQAGFQQRWLFSQLEGNLKAVGTIPNNLVTKHVVRGKCALFANYLELHEGAKEYFTPLMGEYQKSR -LNRDAYVKDLKKYASIIEVGQVHCDAFENAYEQVIRIFETVGFKECAYITDPEEIFGALNKKAATGALYR -GKKGEYLSGFSEGEKEQLLEESCKRLFLGKMGVWNGSLKAELRPKEKCIQNKTRSFTAAPIDTLLGGKTC -VDDFNNQFYSLHFKLPSSVGMTKFYKGWDTLLRQLPDGWVYCDADGSQFDSSLSPYLINAVLNLRLNFME -DWDIGRVMLQNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNTIMVMLAVCYSLEVCGISESHESF -IKYFINGDDLLIAIRPDMECLLDKFQENFLQLGLKYTFTSRTKDKTELWFMSHQGVEREGMYIPKLERER -IVSILEWDRAELPEHRLEAICASMIEAWGHDELLQEIRKFYYWVLHQEPYNELSAQGKAPYISEVAMKAL -YTGEQAKEDEIAQYLLAMKPMDDEGLQEYVFQADVDPEIDAGQEQGARQKQSTPEATTSGQRLSEPDVNV -GSVGTLAVPRLKNIANKLNLPMVRGKSVVNLDHLLVYTPKQTDMYNTRATQAQFDSWYDGVKMDYGIDDN -QMQIVLNGLMVWCIENGTSPNLNGMWVMMDGETQVEFPIKPLLDHAKPTLRQIMAHFSELAEAYIVKRNM -DKPYMPRYALKRNLTDMSLAQYAFDFMEITSRTPVRAREAQAQMKAAALKNARTKLFGLDGSVGTTEEDT -ERHTTTDVNRNMHSMLGVRM - ->YP_010087797.1 polyprotein [Noni mosaic virus] -MAAIYFGQFVSPVLHGNINVLHAINCRSTKVVAKQPLIVREESVLHKLSVRMINDYDSNVHAAFKSLEAN -LASHKPRYELSRVVTTKSGFTKLVKPTKAKIERVLKARFNRVRERNLFLGQPDYVITTITPTLVDTPLGG -SEPEKQVKPLHKTPKVKKTRRPDVVNLSEKKFEALLLELSIIAKERQIPLEMVSTRRRAHKAYFSTYKGA -TLPKVELKHEKGVRVPHDVDLDYIHVKLLKSMLRISQLAKGCIQLEPGSSGFVFCRGWLTRNHVESEDNT -VIVMGLLDDTILDARKRTCIDDRARITYYSNPALMFWKGFDSYFQKFKPVDIQHTCESAFDVEKCGAVAA -IICQTIMPCGKITCKHCAADNMRISKREQRDRQRKIGIANEMVIRQQFGEFVQASSFLEQLRESIDSVND -NFEAFSTTRKLLSGRLQNHFRQLDRLNELVIKGCDASSEELFEASNLILELARYMVNRTENIKKGDLQHF -RNKVSAKAQINPALLCDNQLDKNGNFVWGERGYHAKRFFSNYFEKINPARGYSDYQIRLVPNGSRKLAIG -NLIVSTNLATLREQMQGEPVAPMPLSRSCTSKLNDNYVYTCCCVTHDDGQPLLSDVKMPTKNHLVIGNSG -DPKYVDLPTDISDQLYIAKQGYCYVNIFLAMLVNVNEGDAKNFTKMARDIVINKLGQWPSMMDVATSCYL -LTVFYPETKSAELPRILVDHHSKSMHVIDSYGSISTGYHVLKANSVAQLIHFASDALDSEMKHYVVGGEK -LMNEASAMSVLIKSIYRPKLMEKVLSEEPYLITLSLLSPGLIMALHNSGALEKATHLWIQHDSSITLLFS -MLSTLAHRVSIAESCLMQMAILEQEAASIYECIVSGFRPQASYITAINVLNKIMARGHADESIYLAGFRP -FRMRSVELIEKNYLAELEDSWRELTWLEKFSSIKESHKWRPAITNVCVPKKRADLGGRYDVSIQLLLGKV -KESGQKHMLKCRENITSCFRTVRRRMVTSLTACAYYCVPDILKLINVLLVLSLLCQIAYTINSFSMDYKK -TKQMACEAEDEKNLDVVLTLYDNLSKKLKVEPTFEEFKAYLEAIRPDLIKYLPDEYQTEHQASRGNNAKY -EQLIAFVALILMVFDADKSDCVYRILNKLKSLTGTLENSVKHESLDEIKDEFSERNEIIDIDLSGEVNGI -GAIDCTFEQWWTKQLELNRVVPHYRIGGEFVEFTRATAELTVSRIVHSSAMEFLVRGAVGSGKSTGLPNA -LSAHGKVLVLEATRPLAENVCKQLRGNPFFKSPTLRMRGHCSFGSSPIVVMTTGYALHLFAHNVQQLSEY -DFILLDECHVLDSAATAFYCMLKEFKFKGKLLKVSATPPGRECDFSTQYPVTVNIEEQLSFKNFVSAQGT -GSNADVTSKGDNILVYVASYNEVDHLSLLLTEAKFMVTKVDGRSMKVGAVEIKTRGTSENKHFVVATNII -ENGVTLDIDVVVDFGLKVVPELDPDNRMITYKKVAVNYGERIQRLGRVGRTKPGHALRVGYTEKGLEWIP -PIIATEAAFLCFAYGLPVVANGVTTSLITNCTVRQARTMMSFELPLWYTIHMVRFDGSVHPEINRVLKPF -KLRDSEIPLNTLAIPSSGVNNWMKVSDLVRLGQKLTINEKVKIPFISKDIPETLHEKVWQVVLDFKSDAG -FGRLTSHNACAVSYALRTDPHALPRTVKILDALIAEEMRKQAHFRSISSYSFAGSGFSLASITNAIKARY -SVDHTGDNIRKLQLAKAQICEFSSTHTNIEQSELLEPFGALNLVQHQSKGGVAQVLGLKGIWKKELITTD -VLVALGVLGGGCWLLYEMFKDSFREGVQHQAFNKRQRQKLKFRNTNDQRLGREVYGEDADLEQVFGAAYT -KKGKSKGKTHGMGKKTRRFINMYGFDPTEYNLVRFVDPITGHTIDEQPVHDINLVQEQLSEVRRFLVAED -EIDPNQLVNSTIHAYFIKNKSKNALKVDLTPHNPLKVCDRSATIAGFPEREFELRQTGLAQPVKLNEVPG -RNELEIVDHESKSLLMGLKDHNPIAASVCKISNASNGENITLFGVGFGPYIITNQHIMRQNNGELKIQSR -HGNFTIKNSCNVPMKPFKGRDILLIKMPKDFPPFPQKLKFRSPKSNEKAIMVGSNFQEKYFSSTVSEASP -IFPVADSHFWKHWISTKDGYCGLPLVSTGDGFILGLHSLANCNNTANYMATFPDDFESEILNQDTDNWVK -HWRYNPDEVLWGTLHLHNAQPKEPFKASKLIMDLFEDSVCEQSRRNRDTWMFDALEGNLKAVARCDSQLV -TKHVVKGKDTLFEEYLSLHIDAEEFFRPLMGKYQKSRLNKQAYQKDLLKYARTIEVGTVDIGVFETALQY -VIDMMIRKGFQECNYVTDSDEIFQALNMKSAVGALYHGKKRDYFEGYTEQDKENIIRESCFRVFSGKMGV -WNGSLKAELRPLEKTLLNKTRSFTAAPIDTLLAGKVCVDDFNNQFYSLNLHCPWTVGMTKFYRGWDTLMR -KLPDGWIYCDADGSQFDSSLTPFLINAVILIREKFMEEWDVGHIMLKNLYTEILYTPIATPDGTIVKKFK -GNNSGQPSTVVDNTLMVIVTMVYALMKQSVTEVILENIIVFYVNGDDLLIAIHPEFEYLLDKMQGCFLDL -GLNYDFSSRTRNKEELWYMSHRALEVNGLYIPKLEPERIVSILEWDRADLPEHRMEAICAAMIEAWGYDE -LLHHIRRYYSWLLEQMPFKLIAQKGHVPYIAESALHHLYTNAEVENGELLEYYKAFLEDMILEEEVIEKV -VHQADTESIDAGQKPADNKQKTIVAQTGAPPAELTTSERKDKDINAGTSGTFSIPRLKQMASRMSVPKIG -SRPILNLQHLVTYTPEQVNLSNTRATQSQLNAWYEAVKAEYGVNDDEMSIILNGLVVWCIENGTSPNLSG -MWVMMDGDVQVEYPLKPVLENAKPTFRQIMAHFSNIAEAYIEKRNSEKPYMPRYGLQRNLTDMSLARYAF -DFFEMTSKTPNRAREAHIQMKAAAVRSSSNRLFGLDGNVTNEAEDTERHTADDVNRNMHSLLGVRQM - ->YP_010087632.1 polyprotein [Costus stripe mosaic virus] -MAIFVGTIPILCNNRAQFIFERARLASQVALQERQSNLDKCFAKLDEITAAKALSPSNIKYVNKYFKNQK -PKKPTKSVKRCVVSKKIIPLEREHIVDKIVSNISVEDKAHTELISKLPLARSKKQKTAKRMQRAISVSDG -TLESLTNFVMNCAKRAKGAVEVCCINKRCNILRVKKTRFGTRLFVETNHERGKIVPVDCYIDNLTLHLLK -IAERKAMVEKAHKLTNPIPGDSGLVVQVQENDGTSLHILRGHQEGELVWADKYISMSDAKQMTHCSNNSI -KDKFWDGLNKGFKRFAQESHIHKCERNCDVETVGELCGLALQRLTKMNLTTCKDCVKESLELSDDQLQEW -INDSKWDEAIRAIDDNRARIVNRSLALINAQPRYVANVCVTAEAIRMSTNLNEDPEKHMKKIVEYIAKGN -TCKQQDVDNAMKEAIEVIRWFQNRTENIRSGETSTFRNKVSAKSHLNLALMNDKMLNEDGLYDWKSKAYH -CKRFYAEFFQQIEPGTDYSKLQVRKHIRGDRILAIKNLIISTNVERMQKAMEAYCIERLSITKSCITTSY -GNYLYPCCCVTLDDKTPAFSQFFLPIKNHLVIGNTGDAKYVNVPTKDEKLMIVKDGYCYLYIFLSMMLHL -PESDAKDFTKMVRDQIIPVLGTWPTMKTLAVMCIYICALYPMLSQAELPVILVDHKYKTMHIVDSYGSAS -VHYHMLKMNTVSQLMLFARSDLGGELKDYNVGGDPENFFDALSSLPATPAARPVASSSNLIPEQSETSLF -KRLVRASFCKDKFENLLLTEPILLCMSLVSVKTLIRLHTNGHFEHAIMLLIERDENMASIAAILELLGKK -VSCAQALTHQIEFMHTAAFQIEHKIMNIHRRGSSYKFVNEMIQIMVARKSTDESLNNNGWNSSCDYMLRK -KEEFYANLEDQLWQELSLLEKCLYKLWCTQSSMHRIKHVSPTTLKITKETYAQSAGRHMDMMSSTVKSFA -LACRRKVSNMKHFVEAKFCNIFLYSIKKLVPDLVSLINMMVVLTILFEIFGFVNRVLVENQQYKLLKASE -ESITFEKRLNLAYNACEFELGKKPNHAEFLSYLESNDKEAFNWYTHTEVQQQYSNDTTTKKIEQIIAFST -LLLMLFDQERSDALFRTLMKCKGVFSGLGQMVQQQSLDDIKDELLDKKMTVDFELKHEQGDDPVYKEDTF -EKFWDRQVTFGRVVPHYRTGGTFIEFTREKCVECVNNIHNNTTSREFLVRGAVGSGKSTGLPYYLSKKGS -VLLVEPTRPLTENVTAQISSSPFHARPTMRMRNKSIFGSSAISIMTTGFAFQLLANNHQLISTYDYIIFD -ECHVVDEHGMALYSLLNSVEYGGKILKVSATPPGQESDFQTQHEVTVNIESNLSFDDFVKQQSTGSNACV -VSRGCNILIYVASYNEVDALSNLLIGKGYKVTKVDGRTMKAGDTKIQTCGSKNQPHFIVATNIIENGVTL -DIDVVVDFGKKIVPELDCDNRMIVYKKQPISYGERIQRMGRVGRITKGHVLRVGHTEKGLADIPQLSATG -AALLCFAHNLPVMTGNVVVSSLANCTLSQVRTAINFEIPYLVTLELVDHYGRMHPEVHSVLKQYKLRDSE -IRLAKEAIPFGVVTKWIEAYKYEMMTGRSLAMERHVKIPFLVNGVPDKVYEQLHNVVQMCKKDREPIRVT -LSSVQQTAYTLRTDIKALPRTLGFIEELITEERRKAMTFQAMSESPINASSFSMCSIFERLKKRYLQDFS -HENIAILERVKAQIIEHARLAPTNFDEQYLQDYCGLTLVNQQSREDVARALNLRGKYKSKEILQDVVVCV -STLAAGATMLYTYFKGSYSIRVTQEGKANRKLRFKNARFKEHLKSAFGDDSELAANFGDAYRKKEPKKGK -TRGMGTKTRKFTTFYNFDPEDYEILRILDPITGVTYDHNPYEVCMDEVSEQLFTDRMSKLANDEIDVVST -NKSDGIKAYFISQRLGKALQVDLTRHEPLAISDRTHNIMGYPEMAGTYRQTGQPRVIEVKSVPEKNEYSP -VEFEGKTMIRGPRDYNPIGEIICSLTVDHASGDSTVFGLGYGPYIITNAHLFKKMGSLRVVTQHGVFNVK -SIDSIKIRQAAGRDLIVIRMPKDYPPLPRRLSFRQPGKAEKVVMLGAQYKTDRVITTVSGESAVYPSPGS -HFWKHWISTKAGSCGLPVVSLKDLCVLGIHNLGGCGTQENFFTSFPDNFVETMLKEENEIWDSNWQYNHE -SVTWGTLYLPDFKPEFPFQPKKSLATLVQEVMCQAREDNDDHQTWLTQNLCHNLRVVGKLPGNLITKHVV -KGKCPYFQMFLSEHEEMEKFFRPLMGHYGKSCLNKLAFVKDFTKYTSEIEVGNVDTQVFERSLVNVEEIL -RKAGIESTDYITDAQTIVDSLNMKAATGALYGQKKSDYFEGYTIKDFEEVVIASCERLYKGKFGIWNGSL -KAELRPIEKVHANKTRVFTAAPLDTLLGGKVCVDDFNNQFYDAHLKGPWTVGISKFYKGWDSLLKALPND -WIYCDADGSQFDSSLTPYLINACLRLRLQFLENWSVGKRMIRNYYTEIIYTPIATPDGSIIKKHKGNNSG -QPSTVVDNTLMVIMTMQYTLLKLGVTFGEQDSMIKYFANGDDLLIAVRPDCERLLDSMSSHFLDLGLKYD -FSSRTRIKEELSYMSHRGIERDGTFIPKLDPERIVAILEWSRAETNEDRLNAIVASMIEAWGYDELLVNI -RRFYMWLTSQYPFTELAARGKVPYISELALRKLYLDIDANSDELEQYLYERDEGDYPCTFTCVEFQSSDR -AQTAIVTAPDKDVDAGTSGNFKVPRPKQRMLTMRLPNIKGKPVININHLATYKPRQEDISNTRATQEQFQ -EWYNKIKQDYEKSDSEMEILLNGFMVWCIENSTSMDLQGVWTMMDGDEQVSYPIAPMIQHAKPTLRQIMH -HFSDAAQVYIEMRNMQERYMPRYGRIRNLRDFNLASVAFDFFEVTSTTSSTAKEAYYQMKAAALANTTTR -MFGLDGGVGTSETNTERHVATDVNQTFHNIHGTRMA - ->YP_010087165.1 polyprotein [Dioscorea mosaic virus] -MAAVQSIINNAPTVTFMQPITERYGWDHPIQFGSLVFPVKNDTSTLANQERTTKAQVLKMSNKDFIKKQN -EEYKKSQESLDAYVNDITALRPKCEYGRIKRIKGIYRYVEYSAEYKQHLQKKIKKAGQELQNFMNAPDSI -IEKIDEKPYEKLKNTVKTTKERMIPINPKQNKRKRKHFKATVHANVESIMKEMFQTVSENVLIEVIWNKG -RKVLGRKFKTPEQQYFKIETKHEHGIIEEVDCPITESQVKTLSYITSIFTKGKSIHEKKIKHGWSGCVIP -KYALIGDHFSTSNSFIIVRGRSQTLLIDSAARTPIELLPTVEHYSAGERFWAGFDKSFRDKRRSPRLHEG -TNTLDVSEVGSVAAIVCQSMFPCCRITCTTCLEKANSAFTNNHLHELGETLSKGHQEIIQQHPTFSSVAL -TLLAMKERLCYTHPNRDACGKIQSLIGDRSEMPFSHVLKINECLIKGSCMTAEDTRVACEHLLEIARWMK -NRTDTIKKGSLAAFRNKISSKTHINLSLMCDNQLDEDGNFVWGSRGYHAKRFFTNYFNVIEPGEGYERYV -ERRNPHGSRKLAINNLILSTNLQQLRKQLEGEPIEIEPITTSCISKRNDAFIYPCCCVTHEGGEPVLSEV -ESPARNHLVIGNTGNAKYLDLPTEISEKLYIAKEGYCYINIFLAMLVSLDKKDAKYFTKWVRDVIANQLQ -TYPTLTDVALACHQVSILFPQTRSAELPRILIDHKNKMMHVVDSFGSITTGYHILKANTVSQLILFASDS -LESEMKSYQVGGCYEHKVKSQAVKLLIKGIYRPNVLKSILEEDPYILMLAIISPSVLVEMYRSSDICNVL -RYLNDKDIDVLIILTMLQSLAMQVSRAKSFMEKMVLIDSSAPSLLETLQKTKTNTLGRKIVLQYLSNRAE -LNIVDKSLIDNEFKSTGYKEMLAMEKIYKQELEHSYLELNMSASFSITLQRYKWLRECNAQYPIVAQHPC -GGPWTQFKNFFKSRSDACVKKCERSIRKAQSFCVWKAISVLSNSLNMYNYLIPQFAKFTQVIAVIGVFLP -IYSTLNEIIKNNKKNKQMIAQMESEKQAKQIEQIYDLLTTKLGQKPTQEEFLDVLRETNESLHKEYTQQI -FQTVEHQASNRTEQQRLEQIVAFVALVMMMFDANRSDCVYRILNKLKTLVSGAEGLVIHQNLDDIVHDFE -VKETVDFELQTGNVLNPKSKSTVFSDWWDNQLQMNNVIPHYRTEGHFIEFTRATAVSVCDTIVRGEHNDI -LLRGAVGSGKSTNIPHCLSRHGHVLLIEPTRPLVENVFTQLRGSPFFDKPTMLMRHSSSFGSSPITIMTS -GYALHYLANNRDKISQYTFIIFDECHVSDANAMAFRCLLADVQFGGKLIKVSATPPGREVELKTQFPVQI -KIEDRLSFEQFVAAQGTKSNADVISNSNNILVYVASYNQVDEMSQLLSDKGYKVTKVDGRTMKSGVADIQ -LNGTEKRKHFVVATNIIENGVTLDIDAVVDFGTKVTPSLNVENRLIAHTCGSISYGERIQRIGRVGRHKP -GTALRIGHTTKQIEPIPTMVATEAAFLCFMYGLPVMTAQVSTTLLGNATVHKARTMKQFELPTYFMVDLV -HTDGSMHPAIYDILKKYVLKAGTITLNKHALPHACLSNWFCVRDYCWIADLSEMEPVSKIPFYTHEVPGI -IYEKLWKAIEKHQSEVSFPKLTLNNATKIAYKLRTDPLSVQHTIRVIDELIASEQQKKAHFDAIATFNAT -QSTFSISSTFAMIRSKYAQDYSTENIHTLQLAKAQLLDFSTNFLNEDGYTINSERTMAYDVMEYTMLDVV -NHQNADDISRTLQLKGRWNYTLMAKDAIITCGVAVGAAWLLYENFVTRMKDTVEHQGKKRRLQKLKFREA -RDRKVGTYVDDMDTGAIEQLFGTAYGKKNKGKGTTHGMGKKTRRFVNMYGFDPTEYSFVRFLDPITGETK -DESTLADILLVQEHFDNLRHQYINDELIEGQQIYSNPGIKAYFVKNSTSPALEVDLTQHKATKVCDRFET -IAGFPEREGELRQTGKSREIPYEQVPAVKESVSHEMKAINPGLRDYNIISRSVCQIINESDGHRTTVHAV -GFGPMLITNRHIFKHNNGALTVRSHHGEFICKNSTALRLHPIQDRDIVIIQMPKDFPPFPMKLKFRPPRA -SDQVVLVGNNFQEKHISSVVSSASAISFIKDTGFCKHWITTKDGHCGLPLVAQTDMHIVGLHSLASIYNE -NNYMTAIVSGIQKVLFDAQAFTWVDKWLYNPREISWGPLQLQSSMPAEPFKVSKVLNTIYDTAVVAQCQD -NWVYNAIGCNLKAVGRSQSQLVTKHIVKGECQHFQRYLGEHEEAKKYFNDLLSHYGKSKLNKAAYIKDIM -KYATQIEVGLVNSDIFEQSVKNVIQLFKDVGFKQCEYITDAQVIFQSLNMDAAMGAMYRGKKKEYLTDVS -EVDMDAYVKSSCLRLFTGKMGIWNGSIKAELRPIEKIKENKTRTFTAAPLDTLLGGKVCVDDFNNQFYSL -HTIAPWSVGISKFNKGWDKLLKGLPEGWIYCDADGSRFDSSLTPYLINAVLQLRLSFMEEWDIGEQMLRN -LYTEIIYTPILTADGTIVKKYKGNNSGQPSTVVDNTIMVLITMQYSLLTMGITYDQQHTCCRYFANGDDL -MIAVAPGHEYILDKLSGLFSELGLSYDFSNRHTDRSKLWFMSHQGIFRDHMYIPKLEKERIVSILEWNRT -DEPAHRLEAICAAMIEAWGYDDLLHEIRKFYSWLLQQPEFADLAKEGKAPYISEIALRRLYTDEKHTEVE -LFQYLEKCLQQTDVTPMQAVCHQSGTNVDAGSLPSQNSSKTPSGPPDKDVNVGTSGTYSAPRLKVVVSSK -LRLPKVKGKEIVNLDHLLQYSPSQEDISNAIATHEQFANWHAGVQDAYGLDDEAMKIICNGLMVWCIENG -TSPNINGVWTMMDGEDQVTYPLKPVQEYETPTFRQIMGHFSDLSESYIEKQNAIRPYMPRYGRIRNLTDY -SLARYAFDFYVVTSKTPARAREVHFQMKAGALRGVTTKLFGIDGKIRNSEEDTEHPTSGDVSRNMPHFLG -ERGV - ->YP_010088118.1 CP [Platycodon mild mottle virus] -VDETLDAGEEERKRKEKAKIEQEQARDAQDRQLANKGDQTVAGGSGVRDRDIDASTVGTFTVPKLKSMSS -KLALPRVQSNPIVNLDHLLIYKPDQSRISNTRATDQQFRSWYQGVRAAYELTDEEMRIVMNGLMVWCIEN -GTSPNINGMWVMMDGDEQVEYPIKPLIDHAKPTFRQIMAHFSPLAEAYIEKQNTERAYMPRYGLQRNLTD -MSLARYAFDFYEMNSRTPVRAREAHLQMKAAAIRNAHNRLFGLDGNVGTTEEDTERHTANDVRRNVHHLM -GAQL - ->YP_010087148.1 polyprotein [Platycodon mild mottle virus] -MAAVTMLFGSIPTTFGMSKASPKPACGTTRSSSLPANLVAHQVVAHITVPLYDFNAKKCFEDLEEACAKR -VSLMPEGRVVSTKKAYVWKEYSAQQLRNKKRRQAAVERAFAKGSSNTKEMHVDSAPPCLNPGTTESFKSP -YWRRSVKTQKKVVKRRIVKLDDNQLSNLIKTVMKACKQADKPIYVFERNKRPNVFTHPLVMGRKHCRIHL -QHFENKLKRTDLHLSNFARQYVLPHACIQFHELRRLKFRPGDCGLIVDAASMPLLTSEYDDKFFILRGKF -LEQYIDARETLESWELELLEQYSAGAKFWAGYDRKFGQYRPKNINHTCESNFNVEECGEIAALLTQAIYP -CGRITCLQCAKDYAGVDDVDLNDWIQQRRSPDCVRELTQKTSFPHLERVVNLTTLHQPSELTNTKIFEEI -HSLIGSISEIPYKQLNDLNSILLRMGVDHKKDYVLAVNALHDVVKYIKNRTDSINRAELVHFRNKRSAKT -HFNSDLMCDNQLDKNGNFIWGLRGYHAKRFFVNYFEKVVPKEGYDKFVTRRSPNGSRQLAISKLIVSTNF -DTFRHQMQGEPVEPMPVTEECIGRRRGNFLYPCCCVTYDDGTPALSEFVLPTKHHLVLGNTGDAKYVEMP -TDEQQTMYIAKEGYCYINIFFAMLINVSEDNALKFTKMVRDTMVPRLKQWPSMMDVATACYMLTIFFPDT -ASAELPRILVDHKSKTMHVLDSYGSVTTGYHILKANTVQQLCNFADGQLESEMKHYCVGGLKADDSIHLN -MKWLIKGIYNPDFMKQVIEDEPFVLLLSMLSPSVLMALFNSGSLELAANYWLHKRQTIGQIMTMLSLLAG -KVSGARALTKRLEIISDSADGFLTMIDRTFEPYHSVYNAQIILMQMVEVRTSDEAIKINGFGALRSTAQI -WEEKSYQQDLLEAWAELSLFTKLSLIIRSRRLQREYSGLFPLGDSSDLIAKVSTSLSASLVCLGRYTKAK -VVNTKKRVVSRLYEFTGRSMCSLFRIYRNFMPDFFVMVSTLSLIMCCISIIRKANLYIHEHRALKEFRAD -KECGEAWMQIFYSYSRLKEKLGMEPTEEEFIEYLGRIDPRLAKIYEPARDAIDLKFQAKSQEQAQLERII -AVIALILMVFDAERSDCVYKILNKLRTLVGISSSDTMKFQSLDDAKDLLEEKKLTVDFEITHDDATNPIM -AERTFAQWWSHQLTRNVTIPHYRTEGSFVEFTRANSAEVAASIAHGESRDILLRGAVGSGKSTALPFHLS -SKGFVLLIEPTRPLAENVFKQLRQAPFNCNPSLRMRGNVCYGSSPIAVMTSGYALHFYAHNPSKLKEIDF -VIIDECHVQDANAMAFRCLLHEFAFGGRIIKASATPPGREVTFTTQHPVEIKSEASMSLEQFASSQGSGA -NVDATVYGNNILVYVASYNEVDQLSALLIKAGFKVTKVDGRTMKSGGTEIVTSGTDKKKHFVVATNIIEN -GVTLDIEVVVDFGIKVVAEIDCEARAVRYNKKSISYGERIQRCGRVGRHKRGCAIKIGDTERGIHRISSM -IATEAAFYCFTYGLPVMTDGVSTAILENCTVQQAKTMLHFELPIFFTSQLVRFDGSMHPEIHKALIPYKL -RDSEVTLNKMAIPSQVVCYWKSAREMAMHGCRLQLDDAVMIPFYSKDVPYTLYERIHTIVREHKGDAGFG -RISGYSAARIAYTLQTDSASIQRTVHILDKLIEGEMMKRDHFSNASSVTLCSKNWSLASLVSSIKARHMS -DHTVENISILQAVKAQLLEFKNVQQDHMKIADMENSGALECMFFQDEKSMSKHLGLKGHWNKSLITKDIM -ILIAASAGCAWMLYEYFVAKVSEPMEFQGRNKRERQKLKFRAARDNKHAYEVHGEDADMQHYFGDAYSKK -GKGKGTTIGMGAKTRKFTNMYSFDPTEYSFARYVDPLTGHTLDEQVTTDIQMVQEHFGNIRRQFIIEDLI -DPARRGEGIEAYFVKNLGKQVLKIDLTPHNPFRVCDKHETIAGFPERENELRQTGAPVLLPASALPTANE -FVEGEFEGKTTFSGMRDYNPIAQAVCHLENDSNGVSRKLYGLGYGSVIITNQHLFKENNGVLRIKTHHGE -YVCPNTTSLNMFPVEGCDVLVIQMPKDFVPFPRRLRFRQTKPGERVCMVGSNFQTKSISSVVSESSATTR -VNSSNFYKHWISTKDGQCGNPIVSPKDGCIVGIHSLASLVSDMNMYTGFTDTFEVDVISKLDSLDWTKRW -KLNLDKANWGPLNMQSDTPKDDCFKLSKSVFDLAEDLFKFQGHDDWLCAKLDGNLKAMAMTQNALVTKHV -VKGKCQLFSTYLACHPEAEVFFKPLMGAYDKSRLNKEAYIKDFTKYASPITVGLLDVDKFDRAYSSVVKV -FEEAGFTQCEYITDDETIFQALNMKAAVGALYSGKKNDYFDKLTLSERETIIQASCERLYNAQMGVWNGS -LKAELRPIEKVIVNKTRSFTAAPLDTLLGGKVCVDDFNNKFYAHHFRIPSSVGMTKFYGGWDRLLKLMPA -GWVYCDADGSQFDSSLSPFLINSVLNLRLHFMEDWDLGEQMLRNLYTEIVYTPIATPDGTIVKKFKGNNS -GQPSTVVDNTIMVMMAVHYSLIKNGIEDIQSVCRYVVNGDDLMIAVDPNHEYLLDSMAQDFHQLGLNYNF -GSRSRDVKELWFMSHRGIERDGLLIPKLEMERIVSILEWDRADLPEHRLEAICASMIEAWGYDELLMWIR -RFYSWVLEQAPYHDLSEVGKAPYISEVALKALYTGVDAHSSELAVYTGIFSQLGLQDLAEPMKFQVDETL -DAGEEERKRKEKAKIEQEQARDAQDRQLANKGDQTVAGGSGVRDRDIDASTVGTFTVPKLKSMSSKLALP -RVQSNPIVNLDHLLIYKPDQSRISNTRATDQQFRSWYQGVRAAYELTDEEMRIVMNGLMVWCIENGTSPN -INGMWVMMDGDEQVEYPIKPLIDHAKPTFRQIMAHFSPLAEAYIEKQNTERAYMPRYGLQRNLTDMSLAR -YAFDFYEMNSRTPVRAREAHLQMKAAAIRNAHNRLFGLDGNVGTTEEDTERHTANDVRRNVHHLMGAQL - ->YP_009553508.1 polyprotein [Lily yellow mosaic virus] -MGKTTQHNTTKHKQTHKLSLSLCNLQQSTRSIGTCNFSTTNSMAAMIVFGSVTTDVLAKTGFSVGGKKEK -FHVAPQVIPTPPMVTKNPFAVTAEANRQFGERMVRTYEERVQRCFQGLEAACAKRVAVSGPIKVVTRPGG -AWVARALTEKELKNKQRVLAINARLAELEPKLDVDHIVEHITVRDDQPKRRVNIVERAYPPLKYKRDEKK -RSALPNAQHMTTAELDQFILTLRNVCSAYQLPVDLIQSRKRVNRLEFHTELNGMVRPFTFVTLKHMNGVR -ACVDVVETPILRTLAPLLAVELKPDEVRTLSRGSSGLIFKVKGYTQEVDGRECDYFIMRGRIGDDLLDAR -QRLSKRDLRVIEDYSAADLFWRGFTQRFQRDKPTITTHECQSNLKVVDCGEIAGLLTQTLAPCGRITCIS -CMNELQGLTRQEYGDLIAPRVQQAIEFINQQHTAFIHVETLLTSLLRGLRMDNPNTDGGVEIYKLIGDRT -EEPFSSILAINSTLMNCGSASNQDFGAATHHLLKLAQYMKNRTSIIASNDLKHFRNKRSAKTYFNADLMR -DNQLDANGNFLWGKRAYHARRFFENYFDMVDPSGGYEKYIDRINKNGARRLAIGKLIVSTNFEAMRAQLV -GEPVSKHPITEECISRKGDTYYYPGCCITNEDGTPYESELELPTKHHLVVGNTGEPKYIGLPQNPALQMY -ISKNGYCYINIFLAMLINVSEQDAKAFTKMVRDVMMPKLGEWPTLEDVATACSQLAIFYPDTRSAELPRI -LVDHTHKVLHVLDSYGSVSAGYHILKANTVNQFIKFALNDLRSPLKRYIVGGTPNTTQMQVELKWLLKGI -YSPRVMKRIIEAEPYMLMLALVSPSILMAMFNSGSLEKAIEMWIRKDQHIANIMIMLSILSMRVSVAKSL -QLQHAIIQAKARELLEATDKTFIVSHSIYTVQQLLQQLVESRATDMVLEEQGFTAYKESTLELVEKSYKD -ELAGHWKELTLCGKFAYIKQSFKLRRQYSQYSDPTSVQDSIGKCPEFVSSLLGKTRQKVRERFRAGKACV -RNTITRCIGYTVCGALSSIRFAFPDFMFIVNTTAVISALLSAIVSICIIIQKYRNMKIKCAEAQDDAQAQ -KVTIHYDALRYRLRRLPTKEQFMDYLRTTDDSAYSWFSDTTEDEFKFQHKGKSLVNLERIIALFTLALMI -VDPDRSDGVYKLLTKLKSVITTATQEPMRFQSLDDIQDIGLEKNLTIDFDISSEDTQIYKQSDITFDSWW -NHQIEQNRVISHYRTEGHFMEFTRDRAASVANEIAHGAHNDILLRGAVGSGKSTGLPFHLCTKGKVLLLE -PTRPLAENVHKQLRGAPFHVNPTLRMRGTSLFGSTPIVIMTTGFALHYYANNAHELKDVQFVIFDECHVL -DANAIAFRSLLHEYTYTGKIIKASATPPGREVEFATQKPVKLKIEENLSMQQFVTNLGTGANSDVTNDGD -NILVYVASYMEVDTLSKALLEKGYRVTKVDGRTMKSGATEIITSGTSTKKHFVVATNIIENGVTLDIDVV -VDFGMKVMPEVDTDNRTVWYKKVSISYGERIQRLGRVGRLKEGTALRIGATERGLQNIPQSVATEAAFLC -FTYGLPVMTSGVSTAILSECTILQAKTMLQFELPIYFMVHYVWFDGSMHPAVYNLLKSYKLRDSDTLLGK -TAIPSRGVRQWFTAREYALRGIRMALDDHVKVPFFTREVPDKLLGSLHEVVEKHKRDAQFGTISGASVTK -IAYTLQTDVHSISRTVCILDKLIEREMEKQAHFSNLASTSCSSPTFSLVSIVNAIRSRHTADYTKENLVT -LHRVRNQLLEFRNIRHDVNLSKVIQDYDALECLEFQSLETMSAHLQLRGHWNKSLITHDIVVLGAVLLGG -SWMIYTELRDRMAEPFSFQGNNKRQRQKLRFRDARDVKRGREVYGDDDTLQEYFGSAFTKKGRGRGTTRG -MGKPSRKFTNIYGFDPSEYSFARYVDPITGYTLDEPAITNLSEVQDHFGQIRKEYLDSGEIEKEFMTKGI -EAYFVRDGAKQILKIDLTPHNPLLVCTKHTSIAKFPEREFELRQTGQPIIIDRTELPEANEVAEAHEFES -KSTFSGPRDYNPIASVVCHLKNTSDGVDSEMHGIGYGSVIITNQHLFRRNNGELRVRTHHGEFTVKNTTT -LKMHPVPERDILLVQMPKDFPPFPRRLRFRCTDRGERVVMVGSNFQEKNISSTVSETSITAQSTTPSFMK -HWITTKDGHCGLPIVATKDGFVLGIHSLSSLVSTTNMYTGFPDKFETEYLAKLDTLDWTSKWKLNVNTAN -WGAVTIRTHQPAPLFRTVKNIDVLTDEEWGFQEKSKWLYSQLHGNLKAMAHTKNALVTKHIVKGKCPLFQ -LYLETHPDARAYFAPLMGAYQKSRLNKEAYIKDLFKYASTIVVGVVDTTVFEESCNEVLNMLRTKGMTKC -EYITDTETIITSLNMKAAVGALYSGKKKEYFATLSEIEQDELLRECCRRLYTGQLGVWNGSLKAELRTSE -KVALNKTRTFTAAPLDTLLAGKVCVDDFNNKFYSLNMLIPSSVGMTKFYGGWDRLLSGLPDGWIYCDADG -SQFDSSLSPYLINAVLRLRSDIMEEWDIGAQMLNNLYTEIIYTPIATPDGTIIKKYKGNNSGQPSTVVDN -AIMVIFAMYYSIRVQNVDTPIDDVCKFYANGDDLLIAIRPDMSWLLDTFGPSFAQLGLNYTFDSRTMDKG -ELWFMSHRGVQYEGMYIPKLEEERIVSILEWDRANEPEHRLEAICASMIEAWGYDTLLKHIREFYCWILD -QAPYSELSSQGKAPFISEVALKALYTGNTAQQSELERYLGALKLMGESAEDEEFVFQASSQPTIDAGVVN -QPQSRVATTSGTTMARPVPDLDVNAGTIGVFTVPKIKTLSKLHLPRVRGQNVVNLDHLLVYDPEQVDISN -ARATQGQFDTWYDGIKSEYELNDDQMKIVLNGLMVWCIENGTSPNINGMWTMMDGDTQVEYPIRPIIENA -KPTLRQIMAHFSSLAEAYIEKRNMNKPYMPRYGLQRNLTDMSLARYAFDFYEMTSKTPVRAREAHIQMKA -AALRNARNTLFGLDGNVGTAEEDTERHTAQDVNRNMHNLLGVRM - ->YP_009666833.1 polyprotein [African eggplant mosaic virus] -MAAKVIYPCFAGVSGYSTSGCLRQATQIHFGSLRPKDIDYNAQSNHILEKYNEAQESFEHSCERFVRSHE -ADRIVRRRGVLQFAPKTKAQCKRERAKQRAENEKQQFLQGQDSVVSAITFAPNSRKIIEEPQTIRFPPVV -NRKKKSATPSNFVKLRETDFTRFVSNLMDCCEMNGMPIQLIGKRTSRTSMLMKRPTANNRCMQVQTLHHC -GKFQEIDFKIPHTCESMFTMLVQSAWKGQAIKERQLSAGDSGLCIPEGKLLGRVLTSGSDLFVVRGRYGS -LLIDSLYRLPMLIALKMTHYSAGEQFWKGFDREFRSHRTTPVMHSGMNTLNVEDCGAVAAIVCQTLMPCC -RITCTTCAAANSDDESAPSVGKSILLMRKGIDELERRYVQFPNAIKLLKNSIESIDNENPNREASGKIQF -LIGERTEQPFSHIMAVNEVLMKGGRATSAELAHATDELLQLARFHKNRVESLKKGSLHLFRNKVTSKAHI -NPSLMCDNQLDTNGNFVWGKRGYHAKRFFSNYFELIQPADGYDKYIVRKFPNGTRKLAIGNLILSTSLDA -LRKQLEGESVEQLPLTAQCVSKRHESFIYPCCCVTYDDGTPLLSEVKAPTKNHLVLGNSGDSKYLDLPTE -ISENLYIAKEGYCYMNIFLAMLVEVDEKDAKDFTKWVRDIVVQQLGQWPTMTDVALACYQLSVLFPSTRS -AEMPRILVDHKTKTMHVIDSYGSLTVGYHILKANTTSQIILFASDTLESEMKMYRVGGTELPTTIGFKTR -LMQSVYRPSVFKELMLEEPMTLTLALTSPTLLREFYKNGSLYKAMELVSGAEMPVRVAVTKITELARKVT -KAELLHAQAQVISENAAELYTTIDAIPYKTAATDMFLDFLLTMQLDTECDAELHSIGFRTLKHNSLRIIE -KIYEEDLKAQWRELSWLERLCATMQSLKSRRRYLRELQEPLSTTFKKALVSTTTYAQDKVAKIPVPFTIV -SSKVINVSRVVKKIVWNKIYRYTVYTFRDAFQFLHTMAIISVLLAIYANFLEIMNKRKQREIMLRDENTN -LIEDRLCELFRDQSLALGVAPTEEEFVAYIKEKDSIAYKYWIAQEHSVEHQASKRPSEAKLEQIVAFVAL -MMMVFDTDRSDCVYKVLNKLKNIMGVVDNDAVAHQSLDTILEDFDEKNEMIEFEITAPDAKSLAYKSSTF -QVWWDNQLTLNNVISHYRTEGHFVEFTRERAAQVASDIANSEKRDFLVRGAVGSGKSTGLPHNLCKKGKV -LLLEPTRPLAENVHAQLASAPFHLNPTLMMRNKSVFGSTPITVMTSGYALHYLANNTHRLKEFSFIIFDE -CHVLDASAMAFRSLLVDRDFEGKILKVSATPPGRETEFSTQHPVKLKTEEHLSFQQFVDAQGTGVNADVT -SEADNILVYVASYNEVDQLAKLLTEKQFKVTKVDGRTMKSGATEIKTVGTKARKHFIVATNIIENGVTID -IEAVVDFGIKVSAMVDIDCRMIRYTKGAINYGERIQRLGRVGRTKPGCALRIGHTNKGIEAIPTMVANEA -AFLCFIYGLPVMTSQVSTSLISHCTVQQARTMALFELPFYFMQDFVAADGSMHPAIHALLKKFKLRESEV -LLNKLAIPHAATSTWMSVREYNQCARQLELDPDTKLSFYVKDVPEDLYEKLWKCVQANRGDAGFGRIRTH -NAAKIAHKLRTDDMAIQRTVFFIDQLIASEMQKKEHFDSLVNASTSSLSFTLHSVSNMIRSRYAKDHSVQ -NLSVLHAARAQLVEFNNLHSEEIMGGVPSIHAMRDSVIECGAMETVLHQSKEEIGKHLKLKGVWNKNLLT -RDVLIGAGVACGGAWMIYQIVMDYLTEKVEHQASTKRQKQKLKFRDARDAKLGRVVVDDYSGSVEHFFGS -SYSKKGKGKGSSHGMGKKNRRFVNMYGFDPTEYSYVRFVDPVTGETLDQSVMADVLMVQEHFDGLRHDYL -MDDKIDAARLYSNPGIEAYFIKDKVSPVLKVDLTAHEPLKVCDNASTIAGFPERANILRQTKPADKITFE -EFEVQQTGNVTHEARSLNKGLRDYNQISKLVCKLENDSDSQAISIHGVGFGSVIITNRHLLKRNNGVLHV -KSAHGDFKVVNTTQLKVYPVENYDILLVRLPKDFPPFPVKIKFREPKITDSICLVGTNFQDKFISSLVSS -YSTTSPVSNTKFWRHWIDTKDGHCGLPLVAQDDGAIVGFHSLTNLNTEQNYFASVPGSFLSILKDVETLE -WRKGWVYNPDEIGWGALKLKSDQPSGMFKIDKLIDDIQSAFVREQGEEKWLYPQLKGNLKAVGRSESQLV -TKHVVKGVCPLFQLYLTTNKEAKDFFKPLMGHYGKSRLNRIAYAKDIMKYATEIEVGKVATDDFELAVGD -VIADLHAVDFQKCEYVTDEEQIFQSLNMKAAVGALYKGKKREYFETYSQADKERILYESCFRLFTGKLGV -WNGSIKAELRPMEKVLANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSKHTQAPWSVGISKFSGGWDKLLR -KLPEGWVYCSADGSRFDSSLTPYLINAVVKIRLAFMENWDVGEQMLKNLYAEIIYTPILTADGTIVKKFK -GNNSGQPSTVVDNTLMVLITMRYALRRLGMDYKEQTERCVFFANGDDLIVSVQEKDEWILDSLQNPFEEL -GLTYDFNERTRDRSELWFMSHQGKLIEGKYIPKLEQERIVSILEWDRANEPEHRLEAICASMIEAWGHTE -LLHHIRLFYKWVVEQAPFSQLIEAGKAPYISEVALRRLYIDEGEKEDIDIYLKALINSEKERQAMMMDEI -VKHQSGETVDAGKNAQRDSKPSKAVTESQSGQNTTTRDKDVNVGTSGTFTIPRLKGISSKLTLPKVKNKS -VVNLEHLLEYAPDQIQLSNARALQSQFASWYDGVKNDYDVDDSQMEIILNGLMVWCIENGTSPNINGMWV -MLDGDEQVEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIEKRNSEKPYMPRYGLQRNLTDMSLARYAFDFY -EMTSKTPVRAREAHIQMKAAALRNANNRMFGLDGKVGTQEEDTERHTAEDVNRNMHNLLGVRGV - ->YP_009667146.1 coat protein [Mediterranean ruda virus] -SKLEEKDETLNAGESSQKKQSQKPSKEIATSGASGSESSLVVKDKDVNVGTVGNFTVPRLQKIAAKMSVP -KVRGQSVVNLGHLIAYNPSQDDISNTISTQDQFEFWYDGVKAAYELNDEQMQIVLNGLMVWCIENGVSDS -LQGYWTMMDGDEQVTYPLKPVLDNAKPTFRQIMAHFSELGEAYIEKRNAVEKYMPRYGLVRNLTDYSLAR -YAFDFYRVTSKTPVRAREAIAQMKAAAIRGKSRSLFGLDGNVGTDEENTERHTAEDVNRNMHNMLGVRI - ->YP_009666832.1 polyprotein [Mediterranean ruda virus] -MEQASVSTHPEHKVVLTRQSTNNTTCETDLKCFTPQDLHAIGQGMVSAYDESVKKALQHVRGKVFTEKME -AHQARINKEITMRFRRQRKHLKSTRKISKKVEAVDDWDNQMADFPIVGEATSNLSRTTAESVSFKSPYWR -KSEKIQKKTKQPKRIQGERAFNHLVTQLMAICKKKRLMVEVSDEKSKTNFGKHIQHRDAWGFHVILDHMN -GKKSNKDIQLNDLMRRVCQRTARDFYDFEVLCMKPGHSGLVLKQDTLPQLHNQIDDIFLVRGSIVKNGLA -VLVDARETLTEYELNHILEFSTGEKFWEGFNRTFLDNKGSIQVDHQCTSDLNVASCGSVMALMTLALFPC -NRITCKTCVENYCHMSRAERADRMLGFIDRAIMALRISYPEFKHSVEILEDFKASLDSRNPKIETCEEIS -KATALLHDAPFTHVRAINEILLRYGSVSNEEIGNASDKLLEIVRYLRNRTDSFKRNDLSKFRNKISSKTH -INLDLMCDNQLDKNANFIWGQRAYHARRFLSNYFSIINPSDGYERFSYRTVPNGKRELATGRLIVPTNFE -AFRDQMKGKQIEHKQIGPDCVSRLNDAFCYPCCCTTDELGNALHSDFRMPTKHHLVIGNFEAAKYIELPS -EDTGSMYIAKDGYCHINIFFAMLVNVSEERSKDFTKMVRDQIMPKLGQWPTLMDVATACWFLSVWFPDTL -SAELPRILVDHELGVMHVMDSYGSITSGYHILKANIVSQLIKFASDDLQSEMKFYKVGGKSKPGDMIATE -FKTLIKCIYKPNLMKSIIEDEPFLVVLAMQSPSVIMALFNSGSLERATWYWMNKDMDVANVMALLSILAS -KVSAARAVAVQIEILEQSAASMLELTEKLQKPTHSLHSVNTYLTRVVEGLSTDESMEELGYYSYRKANQV -IMEKISASELDQCWQELSLLEKYVLIKRSWSVQRLFSNIHVRQGKTGLRGRLNASLQSSSKRFKTRMHNQ -ICRARDGAKRKCARMARQTLVASFNLCRMAFPDITNFINVLLVFSLLLNILNHLSKHVGDYRLLKKKAIE -QQRDKDCERIIALHVEATRDGSVTVEEFKCVLDRTDPRLVDVFSEEFEDRYEFQAKSNEMIQLEKVIATV -ALIMMVFDQERSDCVYKILNKLKGVINTMNQDNFKFQALDAPVDLLEDKKGFIDFEVEDDNNIQLRGSQT -TFSKWWDNQLRNNNVISHYRTEGYFMEFTRNTASTVAAQIAHESHHDILVRGAVGSGKSTGLPFYLSSRG -KVLVLEPTKPLAENVYKQLKCAPFHSNPVLMMRGTTYYGSSPITIMTSGYALHYFANNSSRLSEYEFVIM -DECHVHDANAIAFSCLLHEHDYKGKFIKASATPPGRETEFTTQFPVDLKIEEQLSFTQFVERQGTGTNAD -MTVDCDNILVYVASYNEVDQLSKLLLDKGYIVTKVDGRTMRTNKSDIETKGSQSKKHFIVATNIIENGVT -LDIEGVVDFGTKVVPQLDVDNRMLRYHKCAVSYGERIQRLGRVGRHKPGKALRIGVTEKGISKTPTAIAT -EAAFYSFSYGLPVMMEGVTPNLLSECTVAQAKSMLIFELPIMYMVNLVRFDGSMHPVIHNLLKSYKLRDS -DIVLNKMAIPNRVMSYWLTCREYKHVGVQMDLEDHVKIPFHAKDIPDKLHADIYKACIDFKADAGFSRIA -TVNACKIAYTLQTDPASLQRTVKIIDKLIEAEVKKSEYFAHASDISCSSNSFSLSSIMQSIRARHTSNYT -VENISVLRTVRAQLMEFKNLNSDYSKIADLSSYGALDCLQFEDERTMSEHLALKGHWNKTLLAHDALIAV -GVLGGGAWMAWTYFKQSCDGKFLFQAKNKRQRQKLKFRSERDKKYRTLHGDEETLAENFGTSYTEKGKGK -GTAKGMGAKSRRFINMYGFEPTDYSFARYVDPLTGITTDESPLTDIGLVADHFMKIREDLIMADELDRQH -DHANKVVEAYFVKDLGKKVLKIDLTPHVPLRYSDKCNTIMGFPEREGTLRQTGAPQLIDPSDLPAKNEGE -GMTFESSLKLHGMRDYNPIASNICRLTNESDSSTSKIYGIGYGSVIITNQHLFRQNNGELIIQSRHGIFK -CPNTTQLKLLPIDKHDLLLIRLPKDFPVFPRKIRFRNPMSADKIVMVGTNFQEKSSSSVVSESSSISKVG -SDGFYKHWISTIAGHCGNPLVSTKDGFVIGIHSLTAVSGDVNVFTSIPDHFEEKYLNKLDTYEWTKNWRL -NVDKVNWDGIDLVKDTPQEPFKTNKVMSLLQDLTAEFQSSEKRKWMYESVKNNIQAVAQAPSALVTKHVV -KGKCKLFEVFLATNEQAAKFFRPLMGEYQKSRLNKEAYIKDLMKYSNPIIVGQVDTSSFERAYQKVVDML -GQNGMDLCNYITDETEIYRALNMKAAVGALYTGKKADYFKDMSMDEFAQLVRESSYRLYQGKMGLWNGSL -KAELRPMEKVIENKTRSFTAAPLDTLLGGKVCVDDFNNKFYSLHLDIPSTVGITKFYGGWDKLLNSLPNG -WIYCDADGSQFDSSLTPYLINAVLRMRLEFMEEWDVGATMLENLYTEIVYTPILTPDGTIVKKFKGNNSG -QPSTVVDNTLMVILAVYYALEKTNCTEEIDDYFRFFANGDDLLIAVRPDKEHILDDFSVRFAELGLKYTF -YVRTQKKEDLWFMSHCGMLYEGMYIPKLEQERIVSILEWDRASEPAHRLEALCAAMIEAWGYPELLRWIR -VFYLWVLGQAPYSELSAQGKAPYVSEVAFKTLYTGRKINKKELEVYSSLYLDRFDEFDSEQKLSCAFQSK -LEEKDETLNAGESSQKKQSQKPSKEIATSGASGSESSLVVKDKDVNVGTVGNFTVPRLQKIAAKMSVPKV -RGQSVVNLGHLIAYNPSQDDISNTISTQDQFEFWYDGVKAAYELNDEQMQIVLNGLMVWCIENGVSDSLQ -GYWTMMDGDEQVTYPLKPVLDNAKPTFRQIMAHFSELGEAYIEKRNAVEKYMPRYGLVRNLTDYSLARYA -FDFYRVTSKTPVRAREAIAQMKAAAIRGKSRSLFGLDGNVGTDEENTERHTAEDVNRNMHNMLGVRI - ->YP_009666044.1 unnamed protein product, partial [Tulip mosaic virus] -ALSNTFEQLGLNYNFDSRTTKKEDLWFMSHKGLERDGIYIPKLEPERIVSILEWDRSIEPVHRLEAICAS -MIEAWGYTELLHEIRRFYYWVLNQAPYTELSKEGKAPYLSEVALTALYMGKESESIEIEKYIHQIDNWCD -HDDIESVQFQADETINAGRRDVASTSGSKSVATPAAESSQKDKDVDAGTTATFEIPRLKAISSKLVLPKF -RGKKIVNLEHLLNYNPEQVDLSNTRSTHKQFDAWFEGVKADYELDDAQMGVVCNGLMVWCIENGTSPNIN -GMWVMMDGESQVEYPIRPIIEHAKPTLRQIMAHFSSLAEAYIEKRNYERPYMPRYGLQRNLTDMSLARYA -FDFYEMTSKTSNRAREAHIQMKAAALRNSNSKLFGLDGNVGTQGEDTERHTTDDVNRNMHTLMGARGI - ->YP_009666043.1 polyprotein, partial [Tuberose mild mottle virus] -LFKNYRLRESDVCLKKTAIPTGFHRRWIKAGDYRRMGVSIDVEDEVGVPFFVRGIPDRLHAKLWEVANEF -AQDSCLGRLTMDHATKIAYTLQTDIHSLPRTIQIIEKLLEEEMVKHTYYQNLNGEFCSSGSISLSGILST -IKRHYTKDHSAENIKKLKSVHAQLLEFQNLNVDASVPELLQSFGALKLVHHESCNSVSEKLGLKGRWNKE -LMTRDLVVSASVGIGAAIMLYYWFKGEVESPVHHQGFGKRQRQKLRFRRASDARMGYELDADPRTLEQYF -GDAYTKKEKKSGRARTTHGKTRRFVNFYGFDPTEYALVRYVDTLTGITYDDSPMSGVEHMQEYLLKIRNQ -LRDEDEISAQSMMSNQRIEAYFIKHGAKDMIKVDLTPHNPLLICNKTNNIAKFPEREFEMRQSSKAEVLD -KANLPPPNSKDLHVEHESLSLHKGLRDYNPIARSLCYLTNKSDGGSISFYGVGFGPLIITNRHLFQRNNG -ELLVRTHHGEFTARNTTQLQVHPVEDHELVLILMPKDFPPFSSKLIFRHPLKGERASMVGTLFQERSLSS -TVSEASVIFPHEGSKFWSHHISTKAGYCGLPLVSLTDGAILGLHSISSNDFTVNYFASVPHDFKEKWLQT -QENLAWAKHWLYNPNEISWGSLRLTERPPSGLFKTDKLISDLESIPVHEQGSTQEHWVAEALHGNLKAVA -FCPNKLVSKHIVKGKCALFDLYLRENESARNFFQPLMGSHGKSVLSKTAFLKDLLKYANPIYVGEVQTDR -FDEAVNAMIQLLHKIGFGECSYITEAQAIIQSLNLNAATGTLYSGKKKDFFKDFTDEAYEEIVRESCKRL -FLGDMGLWNGSLKAELRPMEKVLANKTRTFTAAPIETLLGGKVCVDDFNNQFYDLHIVGPWTVGMTKFYK -GWDRLLKELPDGWIYCDADGSQFDSSLSPYLINAVTLIRLHFMEHWDVGEIMLKNLYTEIVYTPIAAPDG -TVVKKFKGNNSGQPSTVVDNSLMVCVTMLYALNCAGVDLTRYQEFLKFFVNGDDLMIAVQPNMEHLLDGL -QASFKELGLNYEFTSRTKNKSELWFMSHQGLFRDGVYIPKLEVERIVSILEWDRSSEPEHRLEAICASMI -EAWGHDELLYQIRLFYAWVLEMEPYKSLAACGKAPYISELALKKLYLDENFQQRELELYLQYRTERYLAE -PMDEEVEVHHQSDEPEQPIPPTGKGKQSSEIPHSTPESLNAGKSNPNNSTFSGSDRGRDKDVNAGTVGLK -RVPRLTKMQTKMQVPEVDGMITLDLDHLLTYLPKQVHLHNTRATNEQYKEWYTQVKTAYGVSDDEMRIIL -NGFTVWCIENGTSPNINGRWTMMDGTEQVEFDLKPMVEFAKPTLRQIMAHHSEVAETYIVMRNTIEPYMP -RYGLQRNLTDRSLAQYAFDFYEVTSRTPARAREAHFQMKAAALRGKQSRLFGLDGNVGSVEEITERHTTD -DVNRDMHTMLGVRNA - ->YP_009666042.1 polyprotein precursor, partial [Malva vein clearing virus] -GNNSGQPSTVVDNTLMVILAMKYALLSEGFKEDKHDSMCRYFVNGDDLLIAIEPKYEHILDTFTDHFKNL -GLKYDFSTRTRNKSDLWFMSHMGMNRDGVFIPKLEKERIVSILEWNRSKEPVHRLEAICASMIEAWGYDD -LVHEIRKFYSWVLEQAPYNALAAEGKAPYIAETALRKLYMDIDPTESELEKYVQALNEIEADESLFVYHQ -MDEKLNAGVSTPPKSDRRPGKETMPSDQQAQEEARAKDTPPNADKLNIGGEMIKSQGPGKVANRPDKDIN -VGTTRVVSAPRLTGMVAKMRVPKFKGKNAMHMGHLMTYNPVQTDLSNARSTQRQFEQWFEGVRNEYGVTE -EQMTIIANGLMVWCIENGTSPNINGVWTMMDGEEQVEYPLRPVMDHAAPTFRQIMAHFSDVAEAYIEKRN -YHGNYMPRWGRQRNIRDRSLARYCFDFYEVTTSTPIRAVEAHNQMKAAALRGETNRLFGLDGKVTTQEEN -TERHTAEDANPNLHTLLGMRGVQ - ->YP_009666041.1 polyprotein, partial [Henbane mosaic virus] -STVVDNTLMVLLAVQYSLLRSGYALDQQEKMCVYFANGDDLVIAVHPQHTQFLDTCQEYFKELGLSYDFS -SRTSKKTDLWFMSHQCMPRDGILIPKLEQERVVSILEWDRADKPEHRLEAICASMIEAWGHTELLHQIRV -FYAWVLEQAPYNELAREGKAPYISESALRNLYTSVGPEPSELEVYLRSLMLAPADDEWPEVYHQADNKIV -GAGAPLKQTEKNSGKAPAANPQEPVPDRDVNAGTSGTFSVPRHKGLSSKMSLPKVSGNAIVNLEHLLQYK -PDQTRISNTRATDSQFKAWYNHVMNEYEVDESGMSILMNGLMVWCIENGTSPNINGVWIMMDGEEQVEYP -IKPLIDHAKPSFRQIMAHFSNLAEAYIEKQNFERPYMPRYGLQRNLADMSLARYAFDFYEMTSKTPARAR -EAHIQMKAAALRSTTNRLFGLDGRVGTTEEDTERHTADDVNRNMHTLLGVRQM - ->YP_009666040.1 polyprotein, partial [Butterfly flower mosaic virus] -GNNSGQPSTVVDNTLMVILAVIYTYKTHKIPRECIVYFVNGDDLLLAIHPDYAHLLHNFQGTFGELGLKY -TFDDIKTAREDLWFMSHKAIKHGGTYIPKLEEERIVSILEWDRSKDPTHRLEALCAAMIESWGYTELTHH -IREFYSWVLEQAPYRQLAQEGKAPYLAETALKRLYTGEEPKPHDLEPYLAAITENLDFSREALYFQGTQV -DAGTGANPTEQSVGGKTAQEGKQKDKDVDAGTSGTFAIPRIKAITPKLRFPKTNGKVVVNLAHLLEYKPQ -QQDLSNARATHEQFGRWHSAVKEAYGLEDAQLEIVLNGFMVWCIENGTSPNVNGVWVMMDGEEQISYPLK -PIVENAQPTLRQVMAHFSDLAEAYVEMRNREAPYMPRYGLQRNLTDMSLARYAFDFYELNSKTPTRARGA -HMQMKAAAIRNSSTRLFGLDGNVGTAEEDTERHTAHDVNRNMHTLLGVRQ - ->YP_009665162.1 polyprotein, partial [Spartina mottle virus] -DNTLMVIISMEYAKIKLGINDNEIIYFCNGDDLAIAIEPTRADELGKFSDIFSTLGLCYDFSNIVSDRTQ -ISFMSHRGIQHDDIYIPKLEPERIVAILQWNRTSEFEHELDALNAALIESYGYPQLEHYIRVYYNWLLEQ -HPYNTLATIGRAPYISKLALRNLYDGKGVTSDETQLYKEAMKHIPTFDTLHLQAGETAVKPTLTGLTTAP -DVETDSAKTARLAANKAIKDRFKTDSDAWDAAHPSDKVERPSTSAVTSEETAAGTESVVPKMILVMATRW -RGYKPPKLELEKRRIRIPKVGGKPAINAKALNSLTATSYDLSSKKSTQRQFENWYNGAKKDYGLDDDAFQ -LLVTAFVVWCIHNGTSDKTTGNFKAKFGDEEEDYPVGPFVHHANPTLRQTMMHYSDVAEQYIVDQNRKHK -FMPRWGMQRNILDYNYAQYAFDFYEVTDRTPPIARNLVMNTKAAAVRNGGNKILGLDGNVTLKPQELDHH -TVADREVGRITN - ->YP_009665158.1 polyprotein, partial [Zucchini yellow fleck virus] -GNNSGQPSTVVDNTLMVLITMYYSLRKAGYGENQHEVCRFFINGDDLVIAVHPDHEPMLDTFQASFAELG -LKYDFSSRHTDRQEIWFMSHQGILKDNLYIPKLEQERIVAILEWDKAKLPEHRLEAIMAAIIESWGHEDL -TEQIRKFYQWVLEQAPYNELAKLGKAPYISEVGLRNLYTNERASPSELEEYLTKYFEEERGDSPELLVYH -QSNSLSSKSVYHQSSEGKIDAAATQANKKGKDVESAATAKDDKNKEVEKKKEPDRDVDVGSSGALSVPRI -KAFNDKMMVPKCRGKAVLNLEHLIKYNPQQVTLSNTRSTQEQFDRWYEGVSPAYGMNDQQMSIIMNGLMV -WCIENGTSPDISGSWVMMDGDVQVEYPIQPLIENAAPTFRQIMAHFSNLAEAYIAKRNMTERYMPRYGLK -RNLTDMSLARFAFDFYEINSKTPERAREAHMQMKAAALRSANRRLFGIDGSVSNRDENTERHTVDDVDSN -THSLHGMRK - ->YP_009667099.1 CP [Zucchini shoestring virus] -ADDPKDAGETGNQSKEKKEKEKEKNPSRKGDDERGAAEKKATVAKKDKDVDVGTSGTHTVPRIKTFNDKM -LLPKVRGKIVLNLEHLLEYSPSQIDLSNTRATQNQFDRWYEGIKNDYSMNDAEMPILLNGLMVWCIENGT -SPNINGSWVMMDGNEQVEYPLKPVVEHASPTLRQIMAHFSNAAEAYIAKRNAVERYMPRYGLKRNLTDIS -LARYAFDFYEITSKTPERAREAHMQMKAAAIRGANKRLFGIDGSVSEGGENTERHTVEDVTRDMHSLLGM -RN - ->YP_009665156.1 polyprotein [Zucchini shoestring virus] -MASVYGYRAATNFERSLEKKYGHGAVEQFRQQFPLNQNRRSWTAFSVCDGICFAYLYAHATELSAREFLS -LPNGRRREVLVKARKALCGNFSYDPEMDAFQCECGEQSDSSVSACPECNLRWTYSEGNLMHNLYTLASQL -ECEIQDLPNYSIFDLEDGLRVEEEKSALDIVDASAVCQPPSEPVVEIIAVTHEPETKEEVEWKPVRDEVC -EAPSKIEITSLPQDARVEVGVALMLQIGDIFFNTETKDYSVVQQDKVMKDGVVVIKPEKITVPTGECNKG -TGASVARGLSSAPSVMVVTEFTTSEKRECLARKRVREVIEQLPNVRKELLHQQKKQDEIFAQLEHSLNLP -EARKNKFLLRDKKGRLAWRKPNKRQMKTIKKSKHKKNFNGTDSIVRSMEVQDHVIEKAENITPGIKCATP -RKLRTPTVFKKLTGEATVSRLIREVGIICKTQNKNVELCVPRKKVRRISFREGKAYVRLWHMEGVRTQRD -LDTSPQMEDFFENWCKLTIKKFSIPNSKIQVGSSGLIVKKSHILNDCSRSPGEYLIVRGRHLHKLYDARI -KISKHAIHHIIHYSNVPERFWNGYNASFLKHRKTADHVCSSDLDVQTCGEVAALVTQILFPSNRITCNKC -METNSARTISEVGEDVAQQMSRLRSVLISYGGSFSHVVNLLDQLNRILNSHNTNLDDFALINSTIGERSE -APWIHLRRVNEALIKGSLITSEESGEATKKLLEVVRWHNKRTESIVAGSVSSFRNKASGKAHFNPALMCD -NQLDKNGNFLWGDRQYHAKRFFTGFYEKVDNRDGYSKHVIRINPNGQRKLAIGNLIISTNFEKLRQQMQG -EYVEQGSTTKECISLRNGNYVHVCSCVTLDDGQPVRSEIKMPTRSHLVLGNTGDPKYVDLPTMESDSLYI -AKDGYCYMNIFLAMLVNVPEIEAKDFTKRVRDVVADKLGTWPSLRDVATAAYYLTIFHPDTSSAELPRIL -VDHKTKTMHVVDSFGSITTGYHVLKANTVNQLIQFAREPLDSEMKHYLVGGDFTSNFHITKLIKSIYKPE -QLSLLLNDEPYIMTLALCSPTLTLTLFNSGSLERALKYWVKRDQDVAEMITLVESIARKVTVAKSLSDQF -KAISVNSRPIKAQLERNIKPWVTYDRAVELMTIMENSEMTNECLQKQGFITIEPQLKAAVEKTYVASLDE -QWNELSLSEKWRARLSSFRSLKCTTRYLVPEKSSAFNAIYDFSPKLFVKDVKEVVVRPWNAIKGKACSVT -SKIRIGIQNSTLATVRYIFGDIIRFINVLIVLSLLTQIGRSAQSMLLEHTQLKEERAKAKQDKEIHQLEE -LYYSLAADLKDSPTSEEFVEHVREKKPELVQGAKVLVGHTVVHQAKTKNEQHLEKILAFITLVMMMVDPD -KSDCVYKILNKFKGVVGTIEQDVYHQSLDDISDLFEDKQLTIDFEVDVNAESGTDMFDVTFSKWWDNQLA -RNNTIGHYRIGGEFLEFTRSNASIVANNVAHGEHLEYLIRGAVGSGKSTNLPHLLSQKGHVLLIEPTRPL -CENVSKQLRGSPFHQNPTIRMRGLTSFGSSPITIMTSGFALHYFAHNVDQLSDFSFIIFDECHVIDAQAM -GFYCLLKEHKQQNKILKVSATPPGRETEFSTQFPVKLHTEDHLSFQQFVASLGTSSNSDVVAHADNILVY -VASYNEVDQLSKLLTDKGYLVTKVDGRTMKVGRTEIPTKGTPSKKHFIIATNIIENGVTLDIEGVVDFGT -KVVPELDVDGRLIRYSKKPISYGERIQRLGRVGRHKPGFALRIGYTEKGIVEIPEIAATEAAFLSFAYGL -PVMTHSVNTGMLSKCTARQAKTMLHFELSIFYMIGLVAPDGTMHPKILELLRPYKLRDSEIQLNSQAIPH -GVDRIWHSVREYNSMGCNFDIDDEIRIPFVIKDVPEKLSEQIWQAVKTYKRDITFGKISSAQAGKIAYTL -QTDIHSIPRTLATIDQLIASENAKHAHFKAITSKSSTSMSFSLLSIINSIQSRYMVDHSVENIRKLQQAR -AQIIQFQGTQGSDLNELIQSFGAMRTVFHQGENGVKHVCDTLGLKGIWKKSLMCKDILISGFVLAGGLMM -IWQSFKEKWGAVTVFHQGFSARQRQKLKFRDARIAKLGREVYGDDGTIEHYFGEAYTKKGKSKGKTHGCG -TKTRKFVATYGFKPEDYSYVRYVDPITGETIDENVNVDMNLVQEHFGNIREDYLAKDLVDRQKIMSDPSI -RAYYVRNGSKTALQVDLTPHNPLKFCDRHVAVAGFPEREHELRQTGPAVEVPLNTVPGKNENVVLHEGKS -LCNSMRDYNNVSSVICALQNTSGGGTSLYGVGFNSFIITNRHLFRENNGSLEVQSCHGKFHVRNTTTLKV -APVGKTDLIIIRMPKDFPPFPSKLRFRAPNAGDKVCLVGANFQEKYLSSRVSESSHISDSFGGSFGRHWI -STNDGDCGLPLVSVKDGFILGLHSLSSAKNIANYFAIIPADFEEAYIRKLESLSWSSHWRYNTNEICWGP -LKIHDSKPEFPFQVSKELNPLQVYEQSDTRWLYNQLHGNLKAVGHTKGNLVTKHVVKGQCVLFQRYLDLH -ENAKAFFTPLMGHYMKSTLNKEAYIKDLFKYASDIVVGDVDCNIFENALEQVIELLNDYECPECEYITCA -ETIIGSLNMDAAVGALYAGKKKAYFEKLDEFDRERLVQASCQRLYEGKMGIWNGSLKAEIRPAEKVLANK -TRTFTAAPIDTLLGAKVCVDDFNNWFYSKNMVCPWTVGMTKFYKGWDEFMGKFPDGWVYCDADGSQFDSS -LSPYLINAVLQIRLWAMEEWDIGEQMLRNLYGEITYTPIATPDGTIVKKFKGNNSGQPSTVVDNTLMVLL -TMHYALNKAGYTTSEAQENCIYYINGDDLCIAVHPEHESMLDSFQTSFSELGLKYDFSNRHKRKEDLWFM -SHKAMKVDGIYIPKLEMERIVAILEFDKSKLPEHRLEAITAAIIESWGYTELTDHIRRFYQWVLNQEPYD -ELARTGKAPFVSEIALRNLYTSQRGNMEELERYITAHFRNEDGETPELTVYHQADDPKDAGETGNQSKEK -KEKEKEKNPSRKGDDERGAAEKKATVAKKDKDVDVGTSGTHTVPRIKTFNDKMLLPKVRGKIVLNLEHLL -EYSPSQIDLSNTRATQNQFDRWYEGIKNDYSMNDAEMPILLNGLMVWCIENGTSPNINGSWVMMDGNEQV -EYPLKPVVEHASPTLRQIMAHFSNAAEAYIAKRNAVERYMPRYGLKRNLTDISLARYAFDFYEITSKTPE -RAREAHMQMKAAAIRGANKRLFGIDGSVSEGGENTERHTVEDVTRDMHSLLGMRN - ->YP_009665155.1 polyprotein, partial [Watermelon leaf mottle virus] -LNMNAAVGSLFVGKKRAYFETCTAQDREDLVQASCKRLYYGEKGVWNGSLKAELRPIEKVELKKTRTFTA -APIDTLLGGKVCVDDFNQKFYNHHIKGPWTVGMTKFYKGWDELLNCLPDNWIYCDADGSQFDSSITPYIM -NAVLKVREHFMEDWDLGKEMLRNLYTEIVYTPISTPDGTIIKKHKGNNSGQPSTVVDNSLIVCIAVKYAL -LKEGLKPEEFDNVIRFFVNGDDLLIAVKPSHEHILNNFSEYFAELGLNYEFDNRHTDKSRLWFMSHCGIR -DEDIWIPKLEPERIVSILEWDRSKLPENRLEAVCAAMVEAWGYNDLLHQIRLFYQWLLEQEPFNDLAANG -YAPYISEVALRALYTGSQPTNTSMLPYLHQLVDDFEHDADEDYEVHFQSGEKEKEKIDTEEAKRTIKKLK -EVVDAGNEQSITTSSKVKQDQISTEKDKDVSTSTRGTFAIPKLRLMNQVMKLPKIGNRNLLNLEHLLTYK -PEQVDISNTRATKQQFETWCERVRDAYGLKDDEMSIVMNGFMVWCIENGTSPNVNGVWTMMDGTEQNEYP -LKPMVENAKPTLRQIMAHFSDAAEAYIEMRNTKEPYMPRYGQQRNLTDMSLARCAFDFYEMTSRTPARVR -EAHIQMKAAALRNTRTRMFGLDGNVGTQEEDTERHTTEDVNRNMHNLLGLKM - ->YP_009665154.1 polyprotein, partial [Vallota mosaic virus] -PSTVVDNTLMVILTMQYALAKQDIGFQEQEDIIRYFANGDDLLIAVNGDKGIALLNTLQESFSEMGLNYD -FNDRTHNKSELSFMSHQALEYDGMYIPKIKKERIVSILEWDRSVEPEHRMEAICAAMVEAWGYPELLHEI -RKFYAFMLDQEPFSELNAQGRAHYISEQALKTLYMDGKVTLLDIEPYLQEIAHLSLVDLDEMVYHQADKT -IDAGTSTSQSDRAPQVDRDINAGTFVIPRIKALGGKMALPKVRGKSVMNLQHLLTYSPEQTDISNTRATH -KQFATWYDRVMESYGVTDAQMEIILNGLMVWCIENGTSPNLSGMWTMMDKDEQVEYPLKPILENAQPTFR -QIMAHFSNAAEAYIEKRNSERRYMPRFGSQRNLTDYSLARYAFDFYEITSHTPVRAREAHIQMKAAALRN -TKTRMFGLDGKVGTEEEDTERHVTTDVNRNMHSLLGVNM - ->YP_009665153.1 polyprotein, partial [Twisted-stalk chlorotic streak virus] -STVVDNTLMVLLAMYYVFSETGLTHDTCKFFANGDDLIIAVEPSMASKLDVFQGLFASLGLNYDFSNRAT -DKKDLWFMSHCAMEVEGILIPKLEPERIVSILEWDRSHEPAHRLEAICASMIEAWGYNQLLHEIRRFYAW -VLEQTPYKQLAEEGRAPYLAETALKKLYLNVTATAEELEKYSFSLAEDYEVSGLLDEVEFQDDTLDAGRE -QSRSKKEPAPPPEPTQGAETSEADRTDTSTRRRDRDVDAGTIGTFTVPRLQNKAMKLKLPMVAGKSAVNL -EHLLTYKPAQVDISKTRATKAQFANWFKAIQEECEVDESGMQIILNGFMVWCIENGTSPNSNGTWTIMDG -EEQIEYPLKPFIENAHPTLRQVMAHFSDLAEAYIEMRNRTEVYMPRYGRQRNLRDMSLARCAFDFYEITS -KTPTRFVEAHFQMKAAALRGSDSRLFGLDGNVGTKEEDTERHTTEDVNRSMHTLLGVRQ - ->YP_009665152.1 polyprotein, partial [Tulip breaking virus] -IIENGVTLDIDVVVDFGTKVVPELDIDTRLIRYAKNSVSFGERIQRLGRVGRNKDGVALRIGHTEKGLVA -VPAMTATEAAFLCFAHGLPVMTNGVSTNLLANCTVPQARVMMQFELNPFYTVNFVRFDGSMHPMIHKLLV -PYKLRDSEIILNKMAIPNQSVSTWATAKEYAFQGMKMSIPDDVRIPFHSREIPDRLHEAIWGVVMKHKGD -AGFGRVSSASACKIAYTLQTDLSAIQRTVQILEKLIENELKKQAYFKNITSASCSSSFSLVSIANAIRSR -HIADHTTENISILQAAKNQLMEFRNISVDLDFPTRIEPFGALECVQFQSVEGVSKQLGLKGHWNSSVVTQ -DLIVCGAVIAGGFWMLCAHFQGKMSELVSFQAKNKRQRQKLRFREARDNKFAREVYGEDSDMAHYFGTAY -TAKGKTKGTTRGMGAKTRRFINMYNFDPTEYSFARYIDPLTGYTLDEQAIVDLNMVQDHFGTIRAQLIDN -DLLDRQTIKNKPGIEAYFVKDLAKQILKVDLTPHNPTRVCDKSVTIAGFPDRENELRQTNAPVMLPASAL -PKENPYEDESVDFEHKSTFHGVRDYNPIAVNICCLENISDGYSSKIFGIGFGSVIITNQHLFSRNNGTLT -IQSHHGIYHIKNTTQLNLFPIKERDIVLIQLPKDFPPFSQKLKFRQPMSNEKVCLVGTNFQEKSTTSTVS -ESSIISQKNGSHFYRHWISTKDGQCGLPAVAAKDGAILGIHSLTSLANDSNFFIAFPENFHEDYLSKITD -LDWIKHWKLNVNTISWGSLSLEQGKPDNLFRLSKDITALDVEPVQMQSKENQWLYSQLQGNLKAVAKTTN -QLVTKHVVKGKCMLFETYLQVDKEAERYFRPLMGAYQKSRLNREAYVKDLFKYALPITVGAVDCDKFEMA -LDSVVSMMERAGFDTCNFVTDESEIFSALNMKAAVGALYSGKKRDYFQNFTDEEKEQIVRDSCYRLFQGK -MGVWNGSLKAELRPVEKVAQNKTRSFTAAPLDTLLGGKVCVDDFNNKFYSFNLKCPWSVGMTKFYKGWNT -LLTSLPDGWIYCDADGSQFDSSLSPYLINAVLNLRLHFMEDCPFGETMLKNLYTEIIYTTIATPDGTIVK -KFKGNNSGQPSTVVDNTL - ->YP_009665151.1 polyprotein precursor, partial [Tuberose mild mosaic virus] -CVWMTFNYQFYDLHIAGPWTVGMTKFNRGWDALLSELPEGWLYCDADGSQFDSSLSPYLINSAIQIRHHF -MEDWAIGETMLRNLYTEIVYTPIATPDGTVVKKFKGNNSGQPSTVVDNSLMVCVTMFTRWTRLVSTHKKY -MDVLRFFVTETIGSLHYGQICLTSLTRFNNHSVNWVLIIILTHRTFEKSELWFMSHQGINKDGIYFPKLE -MERVVSILVWDRSSEPEHRLEAICAAMIEAWGHEQLLYQIRLFYAWVLEMEPYKSLATIGKAPYISEIAL -RKLYLDVKHSEPELEVYLQYMLEQYNLSQDTQIDLDVHHQSGNPPPTDPQQEPNPENLDAGKDNKGKNSQ -TSNRGKDKDVNAGTVGSKRVPRITKMMSTMQVPNVDGIATLSPEHLLSYLPKQVNLHNTRATAQQYKTWY -ENVKSDYGVSDEEMRIIMNGFTVWCIENGTSPNINGVWTMMDGDEQVTFQLKPMVEHAKPTLRQIMAHHS -DVAEAYIVMRNTIEPYMPRYGLQRNITDRGLAQYAFDFYEVTSRTPVRAREAHFQMKAAALRGKQSKLFG -LDGNVGDTDEDTERHTTDDVNRDMHTLLGVRNLKGPIIN - ->YP_009665150.1 polyprotein, partial [Tradescantia mild mosaic virus] -VNGDDLVIACAPSFEWILDGLQQHFSDLGMKYDFSSRCKDRSELQFMSHTGLLRDGVYLPKLEKERIVAI -LEWDRSTEPGHRLDAIVASMIEAWGYDDLLHEIRRFYYWLLQREPFASLAAIGRAPYLAETALRNLYLDE -CATDAEIEAYAVEELIETTRKNQRVYFQSADKSRDAGTGQASTTGKELSRDVDAGSTGLFTLTPHKKMSG -KIRMPKVRGKAIINAEHLLQYEXRQEDISNTRATQEQFETWYDALKIEFERDDREMALILDGLMVWCIEN -GTSPNINTDWTMMEGDVQLSFPIAPFIENAKPTFRQIMHHFSDSAETYIAMRNKKEKYMPRYGRMRNLRN -FGLARYAFDFYEITSRTPNIAREACHQMKLAALSGSRTKLLGIDGKFTAAEDSTEHHTNQDATVGVHNLG -GARMNLI - ->YP_009665149.1 polyprotein, partial [Sunflower mosaic virus] -GWKFNADTVCWGGRQLHTSKPAEPFVTCKTVSDLGEEPIYAQHSATKWVFETVCGNLRPVAQSQGQLVTK -HVVRGKCPMFELYLKTHPEKSEYFQQYMGAYKPSRLNREAFLKDIMKYSTTIEVGNVNCELLEQASRMLI -QKLEQLGFQTVNYITDETEIFSALNMKAAMGALYKGKTKDSFENITQEDMERYLFESCKRLFMGKLGLWN -GSLKAELRPLEKVNANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSMNIKAPWTVGMTKFYGGWNELMTSL -PDGWVYCDADGSQFDSSLTPYLINEILKLRLHFMEKWDVGEQMLRNLYTEIVYTPILTPDGTIIKKSKGN -NSGQPSTVVDNTLMVILAMFYTCLMHDISQEQIRYFVNGDDLLIAIHPSQVEKLEKFKASFAELGLKYEF -DSITERKEDLWFMSHRAMWVDDMYIPKLEEERIVSILEWDRPKEPTHRLEAICAAMIEAWGYTELTNEIR -EFYAWILEQAPYRQLAQEGKAPYLAETALKRLYTGVDPIPEELEKYLQALRDNVVPFDEDLYFQGDTLDA -GAQKKKDSQQQPPVQTERTKDKELVGKDKDVNAGSSGTFSVPRLKAIAPKLRFPKVKGQIIVNLDHLLEY -KPQQQSISNTRSTDEQFAKWYESVGEAYGIEGTQLEVVMNGLMVWCIENGTSPNINGTWVMMDGEEQVTY -PLKPVVENAQPTFRQVMTHFSDLAEAYIEMRNRSEPYMPRYGLQRNLTDMSLARYAFDFYELNSKTPNRA -REAHMQMKAAAVRNSSNKLFGLDGNVGTAEEDTERHTAHDVNRNMHTLLGVRQA - ->YP_009665148.1 polyprotein, partial [Spiranthes mosaic virus 3] -GQPSTVVDNTLMVILAMYYTLMSCEVPISECIFFVNGDDLLIAINPLYADRLSQFSGYFRQLGLKYDFSN -TTSDKTQLWFMSHRALHHDGMLIPKLEPERIVSILEWDRSSEPTHRLEAICAAIIESWGYTELTHEIRKF -YAWVLEQAPYKQLAQAGCAPYLAETALRHLYTGHRASEEELLRYVTCFGSEFAEHEDESITLQSGTPGES -QINAGDQKDKKDKKISDSATPQEKQAIESRKDRDVNVGTSGTFSVPRVQMTAKKMNLPKSKQKKIVNLDF -LLAYKPEQSDISNKRASHAQFTAWVTAVQEAYDVSDEQLQILMDGLMVWCIENGTSHKLTGNWTMMDGAD -QVEYPLEPVIANAKPTLRQIMAHFSDLAEAYIKMRNSDRSYMPRYGLQRNLTDMSLSQYAFDFYEVTSRT -PIRAREALTQMKAAAVGNTTNRMFGLDGNLTNTEEDTERHTAQDVNRHMHTLLGVRQ - ->YP_009665145.1 polyprotein, partial [Ranunculus mosaic virus] -NGDDLLLAVHPQFEYVLNEMQSLFLQLGLKYTFESRTRVKSELWFMSHQGHKVGDLYIPKLEVERIVSIL -EWDRAIEPEHRLEAICASMIEAWGYTELLNEIRKFYLWVLDQTPYSDLAREGKAPYISEIALKRLYTGID -GTARDLHPYLALINESMELECDEPVTFQGKDDIVDAGKKQDQEKDKQQPKFDNPPQLPPQDKTAKPDQPS -SSNVNKPDQTVKDQDVNAGTKGILSVPRLKKLGNELQLPKYQNKSAINLDFLITYEPQQEDLSNKRSTDD -QFAQWFEGVRDEYGVTDEQMRILLGGLMVWCIENGTSPSINGNWIMMDGNEQVSYPIKPLLDHAKPTFRQ -IMTRFSPLAVAYITKVNQKRAHMPRYGSQRNLTDMSLACFAFDFYEINSRTPARAREAHMQMKAAAIRNG -SNRMFGLDGNVGTQVQDTERHTTNDVNRHVHNLDGASTW - ->YP_009665144.1 polyprotein, partial [Ranunculus mild mosaic virus] -VNGDDLIIAMKPGYEHIYDGMADKFSELGLNYTFTSRTTNRKDLWFMSHRGMERNGILIPKLEEERIVSI -LEWDRSDKPEHRLEAICASMIEAWGYDWLVHEIRKFYSWVLSQAPYNTLATEGKAPYIAETALEHLYTRR -TVDLGAIEKLLTEWMEREEEDSEVVFHQANDQNLNAGQQVPGKQKEPQPPGDQTPIQKEPTTSSGKDKDV -NVGTAGTFVVPRLNAMASKLSLPSVAGKSVMNLNHLLTYNPQQVDLSNTWSTKAQFATWYEGVKADYDVT -DEQMAIILNGLMVWCLENGTSPNISGMWVMMEGEEQIEFPIKPLIDHAKPAFRQIMAHFSNVAEAYIEKR -NYERPYMPRYGLQRNLTDMSLARYAFDFYEMTAKTPIRAREAHIQMKAAALRNANTRMFGLDGNVSTQAE -DTERHTTDDVNRNMHTMLGVRNI - ->YP_009665143.1 polyprotein, partial [Ranunculus leaf distortion virus] -VNGDDLLIAIRPDFEWLLDNMQEIFGELGLNYDFSSRTRKREELWFMSHRGMEKDGLLIPKLEPERIVSI -LEWDRALQPEHRLEAICASMIEAWGYDDLLQWIRRFYLWVLEQAPYNELARACKAPYIAETALKKLYTNQ -TPTSDELYRYHQNFVEMDDNEEFCFQSGEKGPENATLNAGNQEQRKGKEKQNPSDSNQSTKEPDVNVGSA -GTFVVPKVKAMTGKLRLPKIGSKQLVNLDHLLTYTPTQVDLSNTRATTEQLEAWYNGVMNDYEVDDAQMQ -IILNGFMVWCIENGTSPNLTGDWVMMDGESQVVYPIAPMQAHAKPTLRQIMAHFSDLAEAYIEGRNRKEK -YIPRYGIQRNLRDRTLARYAFDFYEITSKTTERAREAVLQMKAAALRNVSSKMFGLDGSVSTKEEDTERH -TAGDVNRNMHTLLGVSM - ->YP_009665142.1 polyprotein, partial [Pleione virus Y] -GNNSGQPSTVVDNSLMVIIAVIYSLLMNKVDVAHYEDFFRFFVNGDDLLIAIEPTRVEMLSNFSKHFLTL -GLNYDFNNIVTKREDVSYMSHVALERNGIYIPKLDRARIVSILQWDKSTETQHRAEAICAALIEAWGYDD -LVYEIRCFYHWLITTNTEFIELKARGLTPYLSELATEYLYTCKPVLEDDIFRYTSFRDEEFEDSEVVFHQ -SDVQEIDAGSETTPSSGKSDKGKEIVQKDRDIDAGTKGPPMERYRNKMAWKMRLPQVRGKTVIDVEALLK -YKPDQADLSNKFASHEQFDKWHSAVQEAFEVNDEEMRKIMNGLMVWCLENGTSPNLNQPLRIMIDGQQVE -YPIRPIIENAQPSFRQIMAHFSDSAVAYIEMRNATEPYMPRYGLERNLKARELARVAFDFFQVTSRTSAR -DREAHFQMKAAALVNTKSQMFGLDGNVGTQEEDTERHVAADVNRNMHTLLGMRQL - ->YP_009665139.1 polyprotein, partial [Ornithogalum virus 3] -AWGYDDLLKEIREFYAWLIGMEPFKHIASEGGAPYVAETALRYLYLEADADANELERYIRSYEQRLSEIK -AEEMVMFQSGTEDAGSEEEKRKRAEEKAKREANQTSSSKHGEDVNASTKGTYIIPRLRRMTKMRTPKFGG -KELLNLDHLLSYKPDELELSNTRATQAQFNRWCAKVMEAYQVKEDEFRIIANGLMVWCIESGTSPNINGN -WIMMDGQEQVEYPLKPVVEFAKPTLRQIMAHFSDAAEAYIEMRNAEEPYMPRYGLIRNLTDMSLARYAFD -FYEVHARTPVRAREAHMQMKAAALRGSTSRLFGLDGNVGDANEDTERHTADDVNRNMHNLMGLKL - ->YP_009665138.1 polyprotein, partial [Ornithogalum virus 2] -NSGQPSTVVDNTLMVLLAMFYALHKSGISYQTYKQYCQFFINGDDLLIAVNGTLGESALDTFERSFSELG -LNYKFDNRTREKTELWFMSHRGLVIDEMYIPKLEPERVVSILEWDRSVEPEHRLEAICAAMIESWGYPEL -THQIRLFYRWVLTQAPYAALASVGKAPYISELALRNLYTGTQVKDSELEVYIEAMKEESLQEEDYNVYHQ -TSASSDLDAGRDTPMITTKKKDKDLDTGTSGTFSVPRLRQLPSKMSLPKVRGKTIVNLPHLITYTPDQIH -LSNTRSTMKQFEFWYEGVKKEYEVDDTQMEIIMNGLMVWCIENGTSPNLNGMWVMMEGDEQIEFPLKPVI -EFAQPTFRQIMAHFSNVAEAYIEKRNSEQKYMPRYGLQRNLTDYSLARYAFDFYEMTSKTPVRAREAHMQ -MKAAAIRGTTNRLFGLDGNVSQKEEDTERHTTEDVNRKMHSLLGVSTM - ->YP_009665137.1 polyprotein, partial [Nerine yellow stripe virus] -GNNSGQPSTVVDNTLMVVIAMQYSLLLNGIELEQQDSVCKYFANGDDLLIAIEPTYATRLFDSFECNFKQ -LGLNYDFSNRVLNKEELIFMSHKGVLVDGLYIPKLERERIVSILEWDKSSEPEQRLEAICASMIEAWGYK -DLLYEIRKFYAWVLEQAPYSELARNGKAPYLAETALRHLYTNSEIRDDELLNYIEAFQSDISFDEEMVDV -IFQSQETFNAGTELARSQQAQMPVTPSQDVNVGTSGQTALIQSKMFSKKLRVVKAKGKTTLNLEHLLHYK -PIQEDLFNTKSSQQQFDNWHMKVQEAYDVDDKQMEILMNGLIVWCIENGTSSELKGTWRMDFGDQQVEYD -IQPLIQHSQPTFRQIMRHFSKIAEAYIAMRNQEERYMPRYALQRGLTDISLARYGFDFFDTTAATPQRAR -EAVMQMKAAAVRGKETRLFSLDGNISVNTENTERHTVEDVTQDMHSLLGVRGIK - ->YP_009665135.1 polyprotein, partial [Lycoris mild mottle virus] -GSVVWMIFNNQFYSLHFVLPSSVGMTKFINGWDTLLAQLPDGWIYCDADGSQFDSSLSPYLINAVLNLRL -HFMENWDIGHQMLQNLITEIVYTPIATPSGTIVKKYNGNNSGQPSTVVDNTIMVIFTMYYAINMSGESGE -HAEFIKYFINGDDLLIAVRPDKEYLLDQFQERLVSWVSSNTFDSRTRAKHDLWFMSHQGIQRDDMVIPKL -EKERIVSILEWDRANLPEHRLEALCAAMIEAWGYDDLIREIRKFYYWVLHQEPYSELSAQGKAPYISEVA -LKALYTGERAKESELEKYLQTMQLLNAHYDEEFTFQADVERTVDAGAGQTSSRSSQIETSTQRSSLAQKE -PDVNVGSIGTFSVPKLKTIASKVRLPMVRGKRVINLDHLLVYTPVQSDLYNTRATQAQFDAWYDGVKEAY -DLDDDQMQIVMNGLMVWCVENGTSPNLNGTWVMMSGDKQIEYPNNLLLDHAQPTLRQIMAHFSELAEAYI -IKRNMVKSYVPRYALKRNLTDTSLAQYAFDFLEITSRTPARAREAQAQMKAAALKNVRTKMFGLDGSVDI -PEEDTERHTTTDVNRNMHSLLGERL - ->YP_009665134.1 polyprotein, partial [Kalanchoe mosaic virus] -WEFVFEEFDDEDDTQYNVYHQSGTAELDAGRDGNQKKQDSKNKESMVVSRSADVDKPESSRGGQLNTDRD -VNAGTTGTFSVPRLKGLASKMNLPKYKGISALNLDHLLGYNPNQVDISNTRATHAQFNTWYEGVKEDYEL -LDDKMQIILNGLMVWCIENGTSPNINGMWVMMDGDEQVEFPIKPLIDHAKPTFRQIMAHFSNVAEAYIEK -RNQDRPYMPRYGLQRNLTDMSLARYAFDFYEMTSKTPVRAREAHIQMKAAALRSAKNNLFGLDGNVGTTE -ENTERHTTEDVNQKMHSLLGVRGM - ->YP_009667070.1 CP [Iris mild mosaic virus] -SGGTDTVDAADPFKRNQNPMRSSESQMSGQPATILPQTQLDKDVNVGTSGTFRIPRLKSLSSKLSLPKVR -GSTAVNLPHLLQYNPNQEQLSNTRATDEQFSAWYEGVKGDYDVTDDEMHIIMNGLMVWCIENGTSPNLNG -MWVMMDGDTQVTYPIKPLLDYAQPTLRQIMHHFSNLAEAYIEKQNYERPYMPRYGRIRNLTDMSPLRNAS -TKLFGLDGRVSTQIEDTERHTAEDVNQHMHNLLGVRGVM - ->YP_009665133.1 polyprotein, partial [Iris mild mosaic virus] -RKQANTSKLSFTDIFHNLWISLFKTTLQLSSIFGFSTPNNAMAIQFGLITEADLNAHLNRKKTDNEILDE -AMLKRFGITHIDVFPTEPYKRTPFHAKRIRKQRKLEQEATFNSEHIVSTIDSSYMKEIKLDTSGCNTSVL -TTKARATSVKQASMKPKRTLKLTPEKVTNLIHDVCKIVFKRGIVVTFIGSGKQALKTQRHCTPRFSCMKL -ETQHSTGKIRNVDVHIPHTLRGLISSTACKLWKGRKIHERDIKRGDSGSIIPRTELLGYAYSQFADIFIV -RGRDQTLLLDSQSYVATKYMNTITHYSTSEQYWKGYDQAFRNARVNQIIHETPEKFNVSDCGAVDAILHQ -YIAKEGYCYMNIFLAMLVNVDEQDAKDFTKWVRDITAEQLGQWPQISDLALACHQLTILFPSTRSAELPR -ILVDHKTKTMHVIDSYGSLTTNYHVLKANTVSQLIAIASDTLESELKHYRVGGTPTSHEAIQNASMRMLI -QGVYRPKVLRQILEQDPYVLVLAILSPAVLKEMFTSGSLYEATLALVPEDLSARALVNLLTSLATRVARI -TDLDEQMRLIEQNLGQFMEVLSVGDRCSLSRHFAQQMITARLAAIEVDLTLDDSGYRTLRWKATSVLEKI -YKEDLQVSWDALGSYGKLRIITQRVRWRSRITRDLTVENAITFGGALRRCREELHQVTQMPQQVVKTGYT -YIGTKFHNIYSLILMKFMAGFTYYFHDLFRFVQIVSICCMFIAILETLQRMRSAYLNNAMKAEQLEYQAK -QDQIEHLYRLLCMKLGEKPTYAEFHKFVLEINPDLKPYLYDTEEKEVEHQATKRESEVRLEQIVAFTALV -LMVFDNERSDCVYRVMNKFKNIVSVADQDVNHQSVDDTVDNFDENETISFELDSNDPVRQTLVSTTFAKW -WDNQLSLNRTMPHYRTEGYFMEFTRNTCASVVNNIVHNDHKDILLRGAVGSGKSTGLPAGLSTRGRVLVI -EPTKPLCQNVLCQLRADPFHLSPSLMMRDTSVFGSTPIMIMTSGFALHYFANNPVKLKDYQFMIFDECHV -LDANAMAYRCLLEEHQFEGKIIKVSATPPGREVEFTTQYPVDVRVEELLSFRDFISSLGTGANADITNVA -DNILVYVSSYNEVDSLSKMLLDKGYMVTKVDGRTMKNGATDIVTRGSKGKKHFVVATNIIENGVTLDIEA -VVDFGTKVVPELCVDNRRINYTKCNISYGERIQRLGRVGRCKPGVALRVGITNKNMSTIPPIIATEAAFL -CFTYGLPVMTAQVSLSLLANCTVQQARVMKLFELPTFFMQDLVHHDGTMHPAIHNLLRRYKLRESEIVLN -KRAIPYACIHDWADIRHYNAIGANLSLHPDVKIPFFCKDLPELLLEKLWNEILQNRSDACFKTLTTHNAA -RVAYKLKTDVHSLQRTINIIDMLIVEEMRKKSYFDSLVTNTCTSASFSLQSISNLLSSRYKKNHTLENIS -VLTAAKAQLLDFRAACAENIVNLGASTTKIRDKIIHNGALETVLHESRDDVIKTLGLQGKWNGTLLTRDI -LVCLGAVGGGIWLLYQYLKDFMSEAVNHQAKSKRQRQKLKFRDAHDKKLGRVVEDDDSGAVEHYFGEAYA -KKGKKGGQTRGMGKKTRRFVNMYGFDESEYTYIRFVDPITGEMKDESIMTDITLVQEYFGELRREYITDD -KIYSESIRSRPGIIAYYVKDQTSPILRVDLTPHIPLKVCDNNNTIAGFPEHEGVLRQTGRPTKLSYDELP -KMEVDHEAKSLNRGLRDYNPISKAVCLLENRSDGHSIHIHGIGFGSYIITNRHLFKRNNGNLVIKSTHGE -FIVPNTVTMKTSPVPDCDIVIVQLPKDFPPFPTKLKFRAPEKNDQVCMVGTNFQEKFLSSTISSPSYIQQ -VKNTQFFKHWIDTKDGQCGLPLVSTRDGNIVGLHSLTNMKLEYNCFAAITTELTSMLGSQSHIEWKRGWL -YNPNDISWGIMQLKESTPNGSFKPTKSIHELAADLMREQSGTNDHWFENQLHCNLKAVGYSTSQLVTKHV -VKGKCALFARYLDVTPEAMQYFGPLMGAYQKSRLNRISYAKDALKYATPITVGNVDTDSFEIATEDTIQI -LRDVGFQKCNYITDPMEIVDNLNMKAATGALYTGKKKDYFKDYTSVDFERILEESALRLYSGKKGIWNGA -IKAELRPIEKVLADKTRTFTAAPLDTLLAGKICVDDFNLQFYDLHTKGPWSVGISKFACGWDTLLRKLPD -GWIYCDADGSRFDSSLTPYIINAVPKIRLAFMEEWDVGEQMIRNLYTEIVYTPILTADGTIVKKFKGNNS -GQPSTVVDNTLMVILAMQYALRRLGLDATEQKANCVYFANGDDLVVAVSPSYTYILNNLQKYFEELGLNY -DFGNRCTKREDLWFMSHKGMLRDGLYIPKLEKERIVSILEWDRATEPAHRLEAICASMVEAWGYDDLIHE -IRKFYAWVLEQAPYNVLASEGKAPYISDYALRRLYTEEQMPQENLDQYLRALVDIAKERDDDPQFVEHQS -GGTDTVDAADPFKRNQNPMRSSESQMSGQPATILPQTQLDKDVNVGTSGTFRIPRLKSLSSKLSLPKVRG -STAVNLPHLLQYNPNQEQLSNTRATDEQFSAWYEGVKGDYDVTDDEMHIIMNGLMVWCIENGTSPNLNGM -WVMMDGDTQVTYPIKPLLDYAQPTLRQIMHHFSNLAEAYIEKQNYERPYMPRYGRIRNLTDMSPLRNAST -KLFGLDGRVSTQIEDTERHTAEDVNQHMHNLLGVRGVM - ->YP_009665130.1 polyprotein, partial [Cypripedium virus Y] -GNNSGQPSTVVDNTLMVILAMHYTLLKCGIPSERHDDMCKYYANGDDLIIAIHPDYKDLLDNFSDHFSTL -GLKYNFDSRHTDKADLWFMSHKSILVDGMYIPKLEPERIVSIIQWDRATFPEHRLEAICAAMIESWGYTQ -LTHEIRKFYKWVLEQAPYNELAREGRAPYVAETALRNLYTSRAPAPSELEIYYAAINEHAAQEQTDVVFH -QSGSDKMDAGLARTDKASDGSGNKQAITRQPDRDVNVGTSGGFNVPRTKAISSKLVLPKSKGKVVLNMNH -LLAYVPSQVDISNTRSTHQQFTTWYEGIKNDYDITDEGMSILMNGLMVWCIENGTSPNINGVWVMMDGEE -QVEYPIKPLIDHARPTLRQIMAHFSNVAEAYIEKRNNEKAYMPRYGLQRGLNDMSLARYAFDFYEMTSNA -PARAREAHMQMKAAALVNATTRLFGLDGNVNGKEEDTERHTVEDVNRHQHYLHGSRNF - ->YP_009665128.1 polyprotein, partial [Chinese artichoke mosaic virus] -STVVDNTLMVILAMKYSLKRLGIPYKDQDKYCKFVVNGDDLIIALHPTKEKVLDGMSQEFADLGLNYDFS -SRTRDVKDLWFMSHCGIDKGGLYIPKLEPERVVSILEWDRAGEPEHRLEAICASMIEAWGYDDLLHEIRK -FYKWVLEQAPYNMLASEGKAPYIAEVALQHLYTGTDVTTNELLDYYRQLLLDHEDEDSEVYHQADETIDA -GKEEEKKPKSSGSKQPEVVESGSTKQNKAGSSQGPETQQGGAERDRDIDAGTNGTIVIPRVQAITKKMRL -PKVKGKVVLNIQHLIDYSPDQDDLFNTRSSQEQFSYWYDNVKASYDLDDASMQVIMNGLMVWCLNNSTSP -NLKGNWVMMDGDEQVEYPLQPILEYAQPTFRMIMRHFSDAAEAYIVKENTKKPYMPRYGLIRNLRDYSCA -RYAFDFYEMNSKTPVRAKEAHLQMKAAALRGAANEMFGLDGKVGETEERTERHTAADVNKNMHSLLGVRH -G - ->YP_009665126.1 polyprotein, partial [Carrot virus Y] -GNNSGQLSTVVDNTLMVVLAMTYSLMCLGYPEEEHDDVCKFLVNGDDLLVAFHPDHEHIAGKLSDLFKQM -GLKYTFDTRTEDKKELWFMSHKGLMLNGMFIPKLEEERIVSILEWDRSSEPAHRLEAICAAMVESWGYDW -LTEEIRKFYSWVLDKEPYSEIAKQGKAPYISEMALRKLYTSKDVSEGEILRFLNSFEERYVEEDEDIRVF -HQGDRIIDAGEDKEKKDKANPKVGASGSGDKKDDDKGQMVPKNDRDINAGTTGTIAVPKLKAISNKMKVP -QYKKKNSMNLEFLLTYLPEQTEISNKRATHSQYESWYEGVKTDYDVSDSEMEVLLSGLMVWCLENGTSPD -LNGMWTMMDGDEQREYPIKPLIEHAKPTFRQIMHHFSDVAVAYIEMRNTKSPYMPGYGLKRNLRDRSLAC -YAFDFYEMTSRSPERAKEAHLQMKAAALRNSKTRLLGLDGSVSGKEEDTERHTVEDVNRNMHTLLGMQGI - ->YP_009665125.1 plyprotein, partial [Carnation vein mottle virus] -VCVDDFNNHFYDMNIKLPWTVGMTKFYGGWDELYNKLPDGWLYCDADGSQFDSSLSPYLINAVLELRMRF -MEEWEIGQQMLRNLYTEIVYTPIATDGTIVKKFKGNNSGQPSTVVDNSLMVVIAMYYSLQQLGYDLDRMN -EFCVFFVNGDDLIIAVKPDHERILDHLQNHFSDLGLKYTFADRHMNKSELWFMSHKGIKVNDMYIPKLEQ -ERIVSILEWDRAKEPWHRLEALCAAMIESWGYPELTHEIRKFYAWVLEQAPYNALASEGYAPYISEVALK -KLYTNKTVEQVDLKMYVDALHAAFNEEATEVHFQGNDEVDAGRVEEERRRKQEEKEKKKREDENASQQVS -QPSGSAPAERDVNVGTKGKFVVPRFKSITPKMKLPKVGGKVVLNLDHLLEYQPEQVDLFNTRSTQAQFKA -WYDGVKNDYDVDDEKMGILLNGLMVWCIENGTSPNISGTWVMMDGEEQIEYPIKPLLDHASPTLRQIMAH -FSNAAEAYIEMRNSKQYYMPRYGLQRNLTDRSLARYAFDFYEVTPKMPNRAREAHMQMKAAALQNVKNKM -FGLDGNVSTSEEDTERHVASDASKEMHNLLGVRM - ->YP_009665123.1 polyprotein, partial [Araujia mosaic virus] -GNNSGQPSTVVDNTIMVLLAMFYAMASNGIDLREYEKHFIFFANGDDLIIAANPTNAYMLDTFQFYFGQL -GLKYTFDSRTNDKKELWFMSHQAMERDGILIPKLEQERIVSILEWDRSTEPVHRMEAIVASMVESWGYTQ -LTQEIRKFYAWVLEQMPYNELATQGKAPYIAETALRRLYTQQEAEETELERYRFENVEEVHEIVEYQADT -KQTQTSTLDAGKDKEAKDKGKGVVSKDVNTGTTGTYQVPRLKSMASKLSLPKVKGRNVNSLDHLLDYKPD -QVDISNTRATKLQFETWYEAIKTEYEVDDKGMEVILNGLMVWCIENGTSPNINGVWVMMDGEDQVEYPLK -PIVENAKPTLRQIMAHFSNLAEAYIEMRNREKPYMPRYGLQRNLTDMSLARYAFDFYEMNSKTPVRAREA -HIQMKAAALRNASTRMFGLDGNVGTKEEDTERHTADDVNRNMHTLLGVRGM - ->YP_009665122.1 polyprotein, partial [Angelica virus Y] -STVVDNTLMVVLAMTYALEKLEFQATDHKNICQYFVNGDDLLLAVNPEYESILDSFQELFSQLGLKYTFG -NRTRDKGDLWFMSHKGVKRGEIYIPKLEEERIVSILEWDRSTKPEHRLEAICAAMVESWGYEWLTKEIRQ -FYSWVLEQAPYNEIAKEGKAPYISEMALRQLYTSEQVTEQEIVSFLMRFEELELDDEEDFRVYHQGDKDK -MDAGLPLVKSKEKKEEESNDSTISANQNPESSNSLVPTNRDRDIDAGTRGTYSVPKLKAINNKMRVPKFK -NKNSMNLEFLLTYSPEQCDITNTRATQAQYESWYEGIKQDYGVSDAEMEIILSGLMVWCLENGSSPNLNG -MWTMMDGSEQREFPVKPLIDHAKPTFRQIMHHFSDVAVAYIEMRNMTKPYMPGYGLKRNLRDRSLACYAF -DFYEMTSRSPERAREAHLQMKAAALKNSRTKLFGLDGSVSTNEEDTERHTVADVNRNMHSLLGMQGM - ->YP_009509107.1 CP [Daphne virus Y] -SEQTIDAAAGKPKEDKKKKGGESSGGDSQVVKTPDRDIDSGSSGTFKVARVKSISSSLMLPMFRGKKALN -LEHLLTHSPNQIDISNTRASKTQFDNWYEAVRTEYEVSEEEMGILMNGFMVWCIENGTSPNLNGNWYMMD -GDEQIEYPLRPIIENAKPTLRQIMRHFSNVAEAYIEKRNAEKVYMPRYGSQRNLTDMSLARYAFDFYEMT -SKTPIRAREAHIQMKAAALKNASTRMFGLDGKVGTATENTERHTADDVNANTHSLSGARIY - ->YP_009509098.1 P1 [Daphne virus Y] -MASVQTIRFGTFDVPIFGAVETQKPVPVVSASMVEKLEIEKFITEMDKRPAGILESMNVQLRAFDLQYAQ -AYKKHLTDLRSGKLSDKLLEFRERSKKLRRRGFRALEGNETDSIVKSIDVAINEAQHEEVAVPTECKKRA -YSRKAPKNKRVRAIKLTDQQVCSLVRGLAKIQRGKSHTLEVIDGKRKQSLSFSGGRVFAKVKHEKGIRAS -CDIQALLAWGNMIAENIFGATVRCEQTAKDGLKRGSSGMIVDRSSLKEACIAPPGCYLIVRGRLDGVLVD -ARVALSRGERHRCVHY - ->YP_009508399.1 polyprotein [Daphne virus Y] -MASVQTIRFGTFDVPIFGAVETQKPVPVVSASMVEKLEIEKFITEMDKRPAGILESMNVQLRAFDLQYAQ -AYKKHLTDLRSGKLSDKLLEFRERSKKLRRRGFRALEGNETDSIVKSIDVAINEAQHEEVAVPTECKKRA -YSRKAPKNKRVRAIKLTDQQVCSLVRGLAKIQRGKSHTLEVIDGKRKQSLSFSGGRVFAKVKHEKGIRAS -CDIQALLAWGNMIAENIFGATVRCEQTAKDGLKRGSSGMIVDRSSLKEACIAPPGCYLIVRGRLDGVLVD -ARVALSRGERHRCVHYSNNADKFWKSFEAEFIGIRETPADGHSCAQDYTVEDCGKVVAILAQVIAPCWKI -TCAKCANADIASTSENIVELMKNRGEKAIAALKREAHGFGHVIRFLETYVGALDRKNNSLEAAAEIQRAI -GDFRTEPFISLVSLNESLQRSDKLRSSDYSKCTQDLLQVVRWVKNRSDSIKRNDLSHFRNKISGKALVNP -NLMCDNQLDKNGNFVWGTRGYHARRFFNNFFDRVDPTDGYKRHIIRTFPNGQRKLAIGNLIVSTNFSLLR -QQMVGEPVDKMALTKECVSRREGSFVYSNCCVTDDSGQPLLSEIKMPTKHHLVIGNAGDSKYVDLPKEEN -GMFIAKEGYCYMNIFLAMLVNVNEADAKDFTKMVRDVLSERLGRWPSLLDVATACYLLTIFHPDTKNAEL -PRILVDHTSKTMHVIDSYGSINTGYHILKANTVSQLIGFASDNLESDMKHYAVGGTVAPARVTLGKLIKC -VYKEKELKEMLEQDPFVAFHALVSPSILIAMHLNPALEKAITFWLNRSEGVGLTLVRLQSLTQRISRVEC -IMEQDRIMQLHAQDMLDIIHKCEYASHYKGRAEACLRSLAARLNADEKLMQSGFLLYDKDDLAVIEKNYQ -NQLEEAYNELNLLERLQCKWRYAKFSSSSTKQVEGSRKRAIKEIGRDCYTRCLDFVKIGIKEARDAGART -TLKVVRAGMRTCLRVISSSISYMVPDIIKLVNILMVVQVLTAIVNQLRVYVEDNQRRKREMASFQWEQDF -NEIMSTHERMHLRDGKYPTQDECRKEIEKVNRRLLPFFDALYYDGCNYQAKNVENQKFEKIIAFIALVMM -IFDTQRSDCVYKALTKIRSLTTICGETVKYQSLDDIDDGAEAKKQTIDFELNSEVVQQSTCGDMTFQDWW -DKQLATGRTIPHYRCEGVFVEFTRESCVAVANKIAHATEKEWIVRGSVGSGKSTGFPKALSMRGRVLILE -PTRPLAENVCRQLRGEPFFASPTLRMRGLSSFGSDPITIMTSGYAFAFFANNPLKLKDYDFVQLDECHVV -DASCMSFYSLLKEYSFGGKVIMTSATPQGRECEFKTQYPVKVHVEDFLSFNSFVGALGSKSASDVLAHGC -NILIYVASYNEVDTLSKLITDKGYKVSKIDGRTMKTGAVAIETTGVEGKPHFLVATNIIENGVTLDVDVV -VDFGMKVIADYDVDNRSVFYTKTAVSYGERLQRLGRVGRRKPGFALRIGHTAKGLQDVPISVATQAAFNC -FAFGLPVMTSSVSTALMGHCTVRQAQAMNCFELPSVLTACLVGVDGSVHPEIHNLLKPYKLNESEMLLRE -GAFTFERTSAWMSAKEYNRVGIKNDMADGIKVPFFVRDVPERFYEEVWRIASQLRSSSSRTTMSSMSVAK -VAYTLKTDVHSIQSTLACVEGLLQEEYVKQAQFQALSTTSMGGNSFSLLSVVNAIKSRYAKDHTGENIRK -LTLIREQLQEFHNVCANGITEDIIAAYPKLESVLYQGKDNVAKFAELEGRWNGSLMTKDILIVGSILVGG -CWMIYESFTERMNEKVSYQGKNKMRRLKFRDARDKKMGREVYGDDGTIEHYFGSAYTKKGKQKGYTRAMG -HKERRFSTFYGFDTDEVSFIRYLDPITGVTVDESPLTDIGLVQEHFEDIRQRLLEEGELEKQAIMHKPGI -QGYCVTDGAKTVLKVDLTPHNPLLVQARVGTIAGFPDKEYVLRQTGPAVEIDRSALPKPSDGVVKYEGKT -TLTGLRDYNNISACVCLVENHSDGIETKMHALGFGSYLVLNGHFFRKNNGYMIIKSHHGEFRVKNMKQLK -IFGVDRKDMALLQLPKDFPPFPRKLRFRAPEKGESIVLVGNNFQDKYISSMVSESCKTFPRDAGGFWKHW -ISTKEGSCGQPLVSVRDGFIVGIHSLCSEVSEVNYHTSVADDFEARILAKTDSLEWEKNWFYNPNSVCWG -GISIPDNKPDDIFRADKVAETLMSEIVSEQGSIRKRKWMYDSLLGNLKAVGDLETNFVTKHVVKGKCPLF -ELYLELHDEAKSYFRPLMGAYGKSALNKEAYVKDIMKYSSVIDIGEVDDEACQDAVMAVIHELCNSEFIE -TKFVTDSDAIFGSLNMKAAVGALYRGKKSEYFEGTTADERDALLKASCKRVYEGRMGIWNGNLKAELRPV -EKIKENKTRTFTAAPIETLLGAKVCVDDFNNQFYDHHTTCPWTVGISKFYGGWDKLMRSLPDGWVYCDAD -GSRFDSSLTPYLLNHVLAIRKTMAEDWDIGQRMLENLYTEIIYTPIATPDGTIVKKFRGNNSGQPSTVVD -NTLMVFISVLYSLKKSGMDIEDTWPYIRFSINGDDLIIAVRPDVEAKILDPMGAHFQTLGLDYDFSNRVR -AKEDLWFMSHKAILREGKFIPKLEKERIVSILEWTRSKEPAHRLEAICAAMIEAWGYDDLVSEVRKFYSW -VLEQEPYSQLAKEGKAPYISELALRKLYLDADATPTEFMEYLNVLCEEEVTDDSDVEEIVHYQSSEQTID -AAAGKPKEDKKKKGGESSGGDSQVVKTPDRDIDSGSSGTFKVARVKSISSSLMLPMFRGKKALNLEHLLT -HSPNQIDISNTRASKTQFDNWYEAVRTEYEVSEEEMGILMNGFMVWCIENGTSPNLNGNWYMMDGDEQIE -YPLRPIIENAKPTLRQIMRHFSNVAEAYIEKRNAEKVYMPRYGSQRNLTDMSLARYAFDFYEMTSKTPIR -AREAHIQMKAAALKNASTRMFGLDGKVGTATENTERHTADDVNANTHSLSGARIY - ->YP_009507673.1 polyprotein [Gloriosa stripe mosaic virus] -MAGMITFGSFAPVPIAGCTHPEMLKVAKPRVAKQVLTTRLGTNLSANRSAGRALIKAYDEQQARFEEQLA -HMGVRSVQQKTPKVCKTFDGFDSVVTHIVIPSTTRVENDKSLQVKHPAVVYRKRKTPPRQNYVTLDERGL -SDLFTELSQYILLDRQVMQILQAGKRKPITISRCNVGPYTTLHVTTKHELGKMAPIDLSLDEDSESILQS -FANTLPTTLDIREEDFRPGTSGAIIRRDCLDNVAHKVPDSDYFIVRGRFAGSLLDARETFNISHRFYLRQ -YSVADQFWKGFDTEFRQQRGRRTIHEGMNTLSVEATGSVAAIACQALYPCCRITCTECGRIYDRMSETQL -SDHVQAGLRAGIETLRNRFPEFHHVQDILERYSTSFNNSGNNSEAHGRIKFSIGDINEPPFTYIHQISNT -IFKGGRASKVEFETAMNLLLETTRWLRNRHDRIAKDPLSAFRNKVSGKALLNPTLMCDNQRDVNGNFIWG -KRGYHAKRFFSNYFSVIEPQHGYDAYVTRTFPNGTRKLAIGSLILSTNLDELKAQLEGESIHKEPLSIKC -TSEKNNSYVYPCCCVTNDVGVPIYSGLKTPTRNHIVVGNTGEAKYLDLPVEISEKLYIAKEGYCYINIFL -AMLVNVDEKDAKTFTKWVRDVIATQLKTWPTMHDVALACYQLSILFPSVRSAELPRILVDHHTTTMHVID -SYGSYTTGYHILKANTVEQLIEFASDALKSEMKHYRVGGDMTNNLMLHSSLRTLIKSIYRPELMHSILMD -EPYLITLSILSPSVVIEMCKSGCLFEAFKHIKTKEMPLRMIINILHGLAARISRAESYVEQVAIIDGELA -QFQHVLTNADRCNISRCLAYRYIQTRMSLAVVNQRLEANGYYEGQMVSNQFVEKIYQDELAMCWDELPLS -SKLSATIVRYKWRAYIENFSKTIDKGTLSTPCKLYMKPLYMAKEKFKQAAQRGRNRMSTYREMFKQRYFM -QSFSMLRYIAPELSTLVRTITIFSTLIGMLNAAKMVVVQIQQHKGRMAQQKEEEQFAALEKLYHIYCGKI -GDQPTYEEFYEFVKGTNSSLLCILESFSSEFVGHQDFKSENKRIEQILAFVVLIMMLIDAERSDCVYKIL -NKFKGVIRSIEPVGHQSLDDIAPDFEFNETIEFSLDTEGLPEPLHKLTTFSGWWNAQLIANRTTSHYRTE -GHFLEFTRATCASVANQIITSEHTNFLIRGAVGSGKSTGLPNMLARDGRVLLLESTRPLAENVFTQLQCS -PFHLNPTLMMRDVSSFGASPITVMTSGFALHYFANNYNKLQDFKYIIIDECHVQDATAIAFNNLLVARSF -EGKLLKVSATPPGRETEFTTEHPVALRTRDSLTFKQFVDELGTGSNVDVTKVANNILVYVASYNEVDALA -KLLVEAKFLVTKVDGRTMKNGSTNIETKGTEALKHFIVATNIIENGVTLDIEAVVDFGQKVQPYLDMDLR -RVMYSKVGISYGERIQRLGRVGRTKSGTALRIGHSEKNLTPIPEMIATEAAFYCFIYGLPVTTAQVNTTM -LSECTVPQARTMHLFELPLYFMMNLVHYDGTMHPAVYAQVKKYRLRESEVILNKRAIPHASVSSWISVKE -YSQCGVVLALEPNVKLPFFVKDIPDKLYSSLWEVVVKHKSDAGFKPLKSSNAAKIAYVLKTDPLSITRTC -AHIDELIRIEMQKKATFDSLANYSVSHSFFSLESITRAIRSRYASNYSVENITILQSAKAQLIEFSQTYS -TGNGDFGMHIKALEHQISSYGAFDAVRHQSVDGVASALELKGQWNTTLITNDILIGLATVTGEARMLYEY -VREGLIQPVQHQGFNRRQRQKLKFRSHRDSKIGRIVDDVGDGSVEYHFGEAYAKKAKGKGKKIGMGVKTR -RFMNMYGFDPVDYSFIRFVDPITGAQLDQGVLADIGLVQEHFDEIRTKHVEEDIVSIERINYSPGIQAYF -VKDKTTPVLKVDLTQHAPLKICDTGNIAGFPERENELRQTGQGILIRYDEIPKPVEENVGHEAYANIKGL -RDYNPIAKSVCQLTNKSDGVDTRMYGIGFGPYIITNRHLFVRNNGTLILQTTHGEFTCKNTTQLNILPVE -DRDIIIVRMPKDFPPFPMTLKFRSPRRDDQICLVGTNFQEKYMSSMVTSQSHIAAVSGTQFWRHWIETKH -GHCGLPAICSSDMHIIGLHSLSSNTDASNFITTFPPNFNEILRTCDNGCWSQKWRYNPNEISWGSLELQK -NVPQSPFSISKIITDLFHEPVECQAEVARREHDDWLYGSIKGNIQAVGKSTSHLVTKHVVKGRAELFQLY -LSLNATAESFFKPRMGFYQKSRLNRQAYIKDIMKYSTTIPIGDVQTDIFETAVSLVVDTLKEFGMDTCTY -ITDEDEIFDSLNMKAAMGALYTGKKREALADISQQAKEDYIAASCHRLYKGQMGVWNGSIKAELRPLEKT -LANKTRTFTAAPLDTLLSGKVCVDDFNNQFYAMNTKAPWSVGISKFHLGWNNMLKQLPKGWVHCDADGSR -FDSTLTPYLLNAVLQIRLRFMEDWALGAQMLSNLYTEIIYTPILLADGTIVKKFKGNNSGQPSTVVDNTL -MVLISVRYALLRAGIDPEKHKDICKYFANGDDLLISLHPDFEWILDTMSESFAELGLSYDFTSRHRDVCD -LWFMSHKGILLDGAYIPKLEEQRIVSILEWTRASEPAHRLEAICAAMIEAWGYPELLHQIRLFYYWVLQQ -EPYHTLATEGKAPYISECALRKLYTDQDISEEKNLTYLESILASYIDDSHVSVYHQSGSSVDAGVLGQSK -GTAGQSGSGSQAQMRDKDVNVGTHGTFAVPRLRHLTSKLSVPKLKGESVVNLEHLLHYQPNQDRISNTRA -TDSQFQLWYDGVKSDYDVNDEEMKIILNGLMVWCIENGTSPNINGFWVMLENDEQIEFPIKPLIDHARPT -FRQIMSRFSDLAEAYIEKRNFERAYMPRYGLQRNLTDMSLARYAFDFYEMTSKAPARAREAHIQMKAAAL -RNTKNRMFGLDGKVGTQEEDTERHTSDDVTGGIHSLHGVRGL - ->YP_009466014.1 CP [Hyacinth mosaic virus] -SGETVDAGRGTQGRASSIPSTGAQKDGKQTKDKDQDVDVGTTGLFTIPRFKSMSGKMRAPQSAGKVVMNL -DHLLRYEPEQTHLYNTRSTQKQFDEWYKRVTEEYEVDENGMSLLLNGLMVWCIENGTSPNINGVWVMMDG -SEQVEYPIRPLIEHAKPTFRQIMAHFSNMAEAYIEMRNSKQPYMPRYGLQRNLRDFSLARYAFDFYEITS -RTPDRAREAHLQMKAAALKNVENKMFGLDGNVSTKGEDTERHTTDDVNAHMHNMLGVRM - ->YP_009465708.1 polyprotein [Hyacinth mosaic virus] -MSGFVLQKRKLQGSFSSSVGEAFIVRGSITAFDIAQATKAQLERSKVVTVAIPPPFVSKKIVLENVKAQQ -IQIERTLASFDAAYAVKRTEKPRVVRKLSQGRFAYRYLKGEEAIKYRARQACAQAKVVEQEREFHKFLET -EHTVSQIHSYITMNVRETTGEDITGVKWPAPVKRAERRKIKRVRTPRVCLENLVGAITNIVKRKQACPIE -IIGTKRNTVRVVQHEGRKYVQVHLAHMTGLKPQRDVIIPTWNMQLLLHIAKRVTWNYVHSHRNVRPGMSG -FVLQKRKLQGSFSSSVGEAFIVRGSYKGTIYDARRKMSKELVLGMRHYTSVGDVFWRGFNKTFLEHRVIP -EHQCTSNLSVEDCGSVSALLCQIIYPCGRITCLKCVQEFCDLSEDEVCDKILSNLRGTKGEALRSFSSFQ -HVGYIMKMLPRMNRADNPNYEAFADITRMMNDRKEAPVSHINKLNELLIKGGSATSNDYKAAHEHLREIA -RYHKNRTENIKKGCLSSFRNKVSSKTMINPVLLCDNQRDKNGNFLWGERGYHAKRFFNNFFEVVKPEDGY -DKYMVREFPNGTRKLAIGNLIVPTNFEALRRHMVGEQVEPFDLGQHCISKMNSNFVYPCCCVTNEDGTPQ -LTDTRAPTKHHLVVGNTGDAKYIDLPETDNQQMYIAKAGYCYINIFLAMLVNVDEGKAKDFTKMVRDKIV -PQLGKWPMAIDVATACYMLTIFCPGTQSAELPKIFVDHKTKTMHVIDSYGSQTTGYHILKANTVSQFIQF -ASDAMESEMKHYAVGGCVDDLAQVSVNKLVRAVYRPRMMEQLLLEEPYALLCALVSPTILMAMYNSGSFE -KAIHYLGTKNMNLSSLINLYASLARKVSGSKCVISQYQILESNASSALSLIEQVPDASDGRARAYMLLET -MATQMTADLELVNQGYATFRNETKRYLEKTYARALQDSWDALSLREKFLSTRDCRKWFVHIGEQVVPQES -PDLTTASVVCWNTPFGIVKRMGSAAFSYTCEKISTMTLRARTSTTRLALGTARFFAPDLMNCLTFSLILT -TLLSIIGGVRAILQSFHSLQQMKANIKAGENQKRINKLYREFVKGKEDLPHTEEFISYVAEICPDLNEPL -LELIYGKNFVRLQAKKECEVELERVIAFMALVMMVFDNERSDYVHRALSKVKSLMSSIDSDVKHQALDDI -KDEFSERNLHVDFELDGDVVPGNMSIDHTFKMWWANQIERNNVIPHYRTGGHFMEFTRKTAAHVANTIAH -GPHKEILLRGAVGSGKSTGLPYHLYGKGGVLLIEPTRPLAENVSRQLHGEPFNVSPTLRMRGMSTFGSTP -ITIMTSGFALHYCANNPLTLQEYAFIIIDECHVNDSNAMAFVGLLHMHNFEGKLIKVSATPPGREVEFTT -EFAVTVKPEESLSFQQFVQAQGTGANADVIQHGNNILIYVASYNEVDKLSKQLIDKGYDVTKVDGRTMKL -GNVSIKTHGTSMKKHFIVATNIIENGVTLDVDVVVDFGTKVTPNLDIDNRCIRYSITSISYGERIQRLGR -VGRFQKGTALRIGWTEKGLLGIPEIVATEAAFLSFVYGLPIMTQNVTTSILANCTVRQARTMLQFELSPF -YMVDLVRFDGSMHPAIHNVLKKYKLRESEIILNKLAIPSSKVCMWLSAREYGMVGTSMELEPDVKLPFKM -RDIPDLVHKNIWECVLKYKADSGFGRISSASACKIAYTLQTDLTAIPRTLNILDALIVQETEKQAYFKAV -TTSSSVRGGFSLDSIVQKIRSRYAHDYSTENLGVLHAAKAQIQEFNNLRDDATFGEVNRHLLNHEIEKHG -ALRCVQHQGVNEVACELALKGRWNKSLVTQDLFLLVPLFVGGVWMTYTYFKNMYEKKVYHQGFNKRQRQK -LKFQKARDEKLGKEVYGDDGVMTHYFGNAYEKKAKLKGVHTKGMGTARRKFVNMYGFDPTEYSFVRYLDP -LTGNTIDESPITDIKLVQDHFSEVRILAIQNGDLDPLYLGTKPGIEAYYIKNLATAALKVDLTPHNPRLM -CKNSNSIAKFPEREFELRQTGTPVSVDASRIPAENEFNDEVAHEAKSLFRGLRDYNAVASVVCRLENNSD -GVKTSIFGIGYGGLIITNQHLLQRNNGELRVISRHGDFLIRNTTTMSVFPCKGYDLMIIRMPKDFPPFPQ -RIRFRLPEQNEKICMVGSNFQDKSISSMISESSITVPEIGSKFWKHWIDTKDGQCGLPLVSTRDGFIIGI -HSLASNYSTVNYFANLPGDFENSVLKTPENLAWVQGWKYNENEVCWGGLKLTRSMPCGLFKTSKLVTDLA -DTSVRVQANTDNWVECELKGNLKAVARCPGNLVTKHTYKGKCALFNLYLSTHPEELEYFKPKLGAYQKSR -LNKAAYLNDIMKYSTTIEVGVVDTTLFEQTLDAFEEKLRNIGFEDCVYVLDQQAIFDSLNMKSAVGALYG -GKKRDYFKDFQDSDKSEILKQSCERLYTGQFGVWNGSLKAELRPKEKVELNKTRTFTAAPLDTLLGGKAC -VDDFNNQFYNFHLKGPWSVGMTKFFKGWDRMLKLLPDGWVYCDADGSQFDSSLSPYLLNAILELRLRFIE -EWDIGEKMLSNLYTEIIYTPIATPDGTIIKKFKGNNSGQPSTVVDNTLMVILVLMHSLRKEGIPFDEQDN -VCRYFVNGDDLLLAVEPAHEHILDRLAQDFLEFGLKYDFTSRSRDKGDVWFMSQRGHLIDDMYIPKLEEE -RIVSILEWDRSVEPQHRLEAICASMIEAWGHTRLLHEIRKFYAWVLEQAPYSEIAKMGGAPYISETALRR -LYTLEEVADDELLRYLDALYDVDINENDMEVRHQSGETVDAGRGTQGRASSIPSTGAQKDGKQTKDKDQD -VDVGTTGLFTIPRFKSMSGKMRAPQSAGKVVMNLDHLLRYEPEQTHLYNTRSTQKQFDEWYKRVTEEYEV -DENGMSLLLNGLMVWCIENGTSPNINGVWVMMDGSEQVEYPIRPLIEHAKPTFRQIMAHFSNMAEAYIEM -RNSKQPYMPRYGLQRNLRDFSLARYAFDFYEITSRTPDRAREAHLQMKAAALKNVENKMFGLDGNVSTKG -EDTERHTTDDVNAHMHNMLGVRM - ->YP_009440977.1 P1N-PISPO [Sweet potato feathery mottle virus] -MATVMASAKPAGKRKLTWKECCNKWGRAAMEQQQQQQSKKTVHLGRDHLAAYLLAFVPETEWHKYYYGSR -GGPSPALLILKGAIEHGEVYKWESELTFCAECDDVLDGHNCDSCGHRHIKRDDNIADNMNAIARALGGYD -AYYASNWAVYETAKYELDQVAPTAGMLYKQAKEAEKLLGKRPTRREIQEVEDLWAEYEEAAAREAAEASE -ASNGHATSEVANKNAYLSDGEDDEAFPPLVVTVEKVVPATTIIESTPEVGKTIEVQTPLEPVPEVLAATT -FVEATIDGKDAPTGSIQFGTIVCELEPTKASEAEIAKEPTTGFFFGTIPAIVPLPTIPLLKLESTIVEPI -ATPTVVVTSSEIVKVPIATPTEVEKASKAPLPKHLYPWTAKTQTPGKVHHKMVRKWVQKTQQAAAEKEKL -VWKKTGRTIGHKERDQKRSQSKMEVGTLQTSQEDQEGQPKTAPTEAHGEGTAIIDGYATSSSDGHLHCWG -SIGESGNDSNSEWEDFLHAFHEEEENFKISQINTRENSRAHAGSSENCVQKKDEHRIGGQEVHKRAVQEI -SRSKLFVPSFKTYGRLKRVSGFKNSHNNSKPRTSSCQGWGMEKNCKDNNVVQRFKWPGVESRQTVGPKRS -CTTRNARGAWGFTRSAIRRTNEAW - ->YP_006393465.2 P3N-PIPO [Sweet potato feathery mottle virus] -GDVQERCIRTIIKGVYKPDVMYTILSEDPYALLLSVMSPRILLALLNSGSLDRSMEAWITEDQEVAVIIG -TLQELAKKVSTSRVLEKQLKVIESQAHTLLFDPAFVRSRTPSFALSQKIIRGLAEGRESNRVLYEQGHSI -ASYAASHELMEKIWDRLFKGGIRRTALAWKMCTNHAIIKTCMWFTKYSNMAKNRRFKRQSNRLMYYLTHE -ECHIQEYL - ->YP_009408143.1 polyprotein [Reed chlorotic stripe virus] -MALPTIYIGSIPVALDSCYLEEPRVPFCDEWHNIHFHETYLSAEDYQLSAAMEITDYDYKVSTAFARFEA -TEPIPLKANTPLIQTTHNEAYDLEHIIEPYAQAYHKLLKKKTVRERVTADVDSLMRFVRNNMRVDQTVEV -IDKRVAAFKREGRSKIWRAQVIHLHGHKTNKDLAEGETINRYIDACATKELPVVVTYGDSGKIYKSGTSN -IVVRGVHDGKVYDAREYIPTNMVLQLEHFSERVLSDEEESTHNNTDSEDLLQMNIASGWLAPVHICDKDH -PQLLDDRFRDEFYHGLFQCIDLRCLQCIMASGRRDGHAIRTQLAESNVFSLIHSSDNWRHISRVLTALIS -EEHMVFDPPKLEGVHNLIKGEMDGQFVQLRSIVSSLCQASGGDNLALRRIHEPLLELARHLLKNKRERTE -DHTLFRNTYVPRPLQYSQIIGDNLIAHGDQEFWGTNSVAMMSVYQTYYRTCGATEITSIDTYRKNPNGSR -KIATKLLTVKRSPSDFRGQLTADRIPQGGIANHCIARTHNTYKHAISCVTDSKGSPIESDIILPAAGFTF -TDGEDLLRMPRTGQGLCVAKNGYCYLNIFMNMFTQVKSLQAQGLAKRINAIAELLGPWPTMLDVATSCMQ -LALFYPTVAEAGLPRILVDHTSRTLHVADMFGSLTTGYHVLKAELVRHLMLFADPTINSELKNYRVGGRQ -DLEPLKALIKASMNRQEFVKLLDDDPYMLLYATLSPRVLWQLHVSGSYETAMRHFISKGSELHVMVGILD -ALAHKSTQAKALMQQYYSMRMNIDRALEFIAGQGPQTGTSTLAQAQTAISILRQDISSNEQLLMEGFTAH -LAGRTVQEKMLEEACDQCFKELPLLQKSLIRYRSLRSYLCQSPTMFEKLGNGLSGTLSTYTQASLVTAKR -IGAKICSIPTRVSEKVREQLCLIAQRGIIASVKTAIPNVSSYLGLAAVVTTVFSMLATLHHLFVGYSKYR -RTQALEQQSDRLELIALKYNAYCIEKDLQAVDDFEDLYRYIAKSNEGLAKYTRELFEGRITLQAKTTLQV -QLEKAIAIAVVIMMYFDSVKSDVLYNTLSKLKGVFSTLGQETITLQSDERTILDQLMKGEEESKTVQFSL -VQHEATEPSGCDETFQQFWSSQVNSMRTTPHFWSNNKFLEFTRETADQMVQELASSNDREFTVRGPVGSG -KSTALPFKLTGYGRVLVLEPTRPLARNVWEQLNQPIWGNLHATLKMRHDVQLGDPRISVMTTGFAFQHLA -HNLSEIKTYQYIMFDECHVPDAYANALVSLLRQAACKAKLLFVSATPVGREAPFKPPHPVNVISAEAAGI -AQFAKEQGSGSKIDATAHGCNILVYVASYNDVDTLSTELTNKQFQVTKVDGRTMKKGVAGIDMHGTPNKP -HFCVATNIIENGVTLDVDVVVDFGQKITPSLDSDNRIITCQRVAVTTGERIQRGGRVGRNKPGTVIKLGK -VQTSAGTVPEIIATEAAFLAFAHNLPLMTVNVDSTFLANCTRRQAQTMTQFDLPIYLTKQLVHYDGTVHP -KIMQVLQPYTLRNCAIKTSNAALPWNASATWLTVAQYNRLGAHTDLEDDVKIPFYVNDVPPKVYRGIWNA -VLTSKPVSSTVLLRSIPCNTTVVTLQADPASIGRSLLLINELIASEQIKQHEIGAVLENPGFFTGTLSAL -ASRVRNRYIHSDCKNNIQKLQEIKAQLEECKTFTADQLTEEMVKQYSGLGVINLQSKEEIIKHLNLEYTY -DGRRVTQDILLGLGILGGTALMLWEYIKYTVKDRVYLEASDRIQGQDFISEIKARSARLRVESEASLDLE -GYGKRARQKQRYQQQRERKETHGTTRDFEDGLASYGKRKAKGKQIEGTIKNRFVNMYGFAPEEYQLARFL -DPVTGYTVDRPVQGLDFDEVRDAFEETRTALHEDSALTPGNYLVPQTVRAYLVNTNLNKAIQFDITQHDS -DLQCQNGRRAGFTTHDGELRQTSRHQEIEINQVPPPNAFNGIQLECKSVARGPIDITPFANHIVHIETKG -ITRDGNTTTMNVRGVAYGEFVVAPSHAFKEGMELIKFKTSRGDYISKIAGVLQMTHLEGRDMLIVKIPSD -MPIFSKKLKFRGPTNGENIALIDSTYTTKEHRPLLSAVSATYKKGNSLWAHSISTQKGHCGCPLVAMKDL -MVVGIHTAAHDVLNINYYTTFPLDFEHILESKETKWVTRWCFNPDLIDWGGMKLSQGQEEKGISPDRLFK -ALELQSKEETETWFTKQINPNLKQVGTLKNNLITKHIITGPNHIFHTYLQCDERANKFFTPLLGNYLPSR -LNKDAFIKDISKYATPIPVGNLDIVQFQVAIDNTIRTLSEAGITECSYVTDSQAIFRSLNLDAATGVQYG -GKKSAFFEGWSEEKFELYHKMSMKHLFEGKMGIWNGSLKAELRPLEKVSANKTRVYTAAPVDTLLAAKTC -VDDFNNQFYAHHLEGPWTVGITKFRLGWDKFLNKLPEGWLYCHADGSQFDSSLTPYLLNAVCLIRLHFME -DWEEGAIMLQNLYTQIVYTPIATPDGSVIKKFRGNNSGQPSTVVDNTMMVMLAMEYAKSFTSHEGEWVRY -FANGDDLVIALRPGTEDFLENLAEHFGNLGLKYTFANRTKEREDIDFMSHAGIKRNGVYIPKLDQERIAA -ILEWERSPQPENKLSALVAALVEAYGYDELENEIRRFYAWLIEQHPYQEIARNGKAPYLSHAALKNLYEN -TALTDEEIREYMQAFIAAPPMEDVITLQADITDGDAEGSKGKGTEGDAENAAQDIVDGSHGGETYNKPKV -DDSKSLIRWPKIKGKDALNKNHLAKYKYDSHQLTNKKSTQEQFENWYTSAQEALQLSDTDFQLVMNGFMV -WCIHNGTSAKQSGSFKVIFDDGEQTYPIQAFLAQATPTLRANMMHFSDVAQAYIRKQNETRKFMPRWGIL -RGLHDISYAEYAFDFYEITNETPDIARELHTHMKAAALRNSNNKTLGLDGNVTSNAFRAIDHEVVTDNHP -TIQN - ->YP_009407951.1 polyprotein [Sudan watermelon mosaic virus] -MASLVSYVPRSLKSRRMVQEKGIAHFEQHMQDLTARSNVAYWTEFNIEYGAKLLHMIMEADVDFCVSFLQ -LSKAEQAGVLCRTRKAMLSGLKHDYTANQWICPECDETEDTFFKKCSCGEKYYFSEKRLMKSIDDVSHYF -DLDYSEIKKVTIEKLSEMVEAHNSMKNSTNQAASEAEVCEASMEPHNACVGTIMIGTFEVPLVSVPEPVI -HSGGHGMLKSEGFQVAPPIADNVENAASLAMDVCEQVVEFKATSNFDEGLWKKKMMERKLNLHNKHVRET -RAYMRAVQEKERAIFEDLVKRLNLQAAHEGKYLLKDKKGRTYWKKPSKRQLAKINKSKRKTREFAGSDSV -VSSIVQLEQSSHTEEITTNGIKCATSVKQKRPQTFDRVCGSAKIDWLITQVAKICKENNSVLEIITKKRR -IRVIKFTSKGAFVNLRHCEGKISRKDCATDLSIEDLFEKVARTTVKRRFIRGEEIAPGMSGLVVFKRPQL -GQHSYSPGAYLIVRGRHEGKLYDARINVGYVMRHKMQHYSDIPLRFWDGYNSMFLRCRKPSDHTCTSDLD -VRTCGEIAALVTLLLFPSSRITCKTCSQKIQNRTIHEIGEQLHDELERLQATLNQFDGSFGHVSNLLFQM -NKVFNARNSNFDDFTEINVKIGDKKEAPFKHIVHVNETLIKGSMAGRKEFEEATHELLEVARWHAKRTES -IAAGSIASFRNKISGKSHINFALLCDNQLDKNGNFLWGDRQYHAKRFFTKFYKKVDNSKGYQQYELRVNP -NGTRKIAIRNLIVSTNFERLREQMKGELVKSFPLTKECISLRHGNYVHVCSCVTLDDGRPVESELKTPTR -NHLVLGNTGDPKSLDLPTLESESMYIAKEGYCYMNIFLAMLVNVPEGEAKAFTKAVRDVVAEKLGKWPSM -RDVATAAYYLTVFHPDTATAELPRILVDHEAKVMHVMDSYGSVSTGYHILKANTVTQLIQFARDPLDSEL -KHYLVGGNPEDAPIIPDIFKLIKSVYRPNQLCAMLFEEPYIAVIALHSPSVLIAMFNSGSLEVAIRYWMQ -RDQDVAEMFAMIETLAQKVTFARTIGEQYKEISLNSRALKSQLEARIKPWVTYDKAIELLNVFENTMLTN -ESLDTLGYRTVELKLKQTVEKIYVLSLQQAWDELSLSEKLRARCFSFGFLKASTKYLIPTGLTASGAVFD -LSPVHFIGDVKEVAMKPIRFVSSICSRTLNSITQRIRRATLKAVNYCFADMIKFINVLLVITLLMQVVKY -SHSILIDHRYLQQQNQEFKKQKTFETLEKLYAQLAYELKEEPTTGEFISYVEQKEPKLVDEAKCLVGNQV -VHQAKTQNEKRMEQILAFVTLIMMFIDAEKSDCVYRLLNKFKGVIGTIEQDVYHQSLDDVNDLYEDKQAT -IDFDLDINEQKEGSLIDVTFSTWWDSQLARNNTVGHYRIGGEFLEFDRSNASSVVSTISHNEHKEFLIRG -AVGSGKSTNLPFLLAQKGNVLLVEPTRPLCENVCKQLRGEPFHVNPTIRMRGLTSFGSTPITIMTSGFAL -HFFAHNVDQLQEFDFIIFDECHVVDAQAMGFYCLMKEHQIRGKVLKVSATPPGRETEFTTQFPVKLLTEE -SISFQQLVANFGTGAKSDVTAIANNILVYVASYNEVDQLSKLLLEKGYLVTKVDGRTMKVGRTEIETKGT -TKHKHFIVATNIIENGVTLDIDAVIDFGMKVVPSLDADNRRICYNKQAISYGERIQRLGRVGRHKAGVAL -RIGHTEKGIIEIPELVATEAAFLSFTYGLPVMTHNVGISLLAKCTVRQAKTMLHFELNPLFTFNLVAPDG -SVHPKIMELLRVYKLRDSEIRLCSSSIPHGVESIWLSVKDYETIGHQLEIDRNVRLPFLIKEIPAKLYEN -IWQAVELYKRDITFGRINSAMAGKISYTLQTDLHAIPRTIAMIDTLIASENAKHAHFKAITSRSCTSTSF -SLLGIINAIQSRYMVDHSLENVRKLQQAKSQLQQFQGHTNDANVEGMIQSFGAMRAVYHQSQDGRAHIIK -ELRLRGLWDKSLMCKDALISAFVFCGGAFMIWQHYKDQMLSKQVHHQGFSARQRQKLKFRDARIAKLGRE -LYGDDGTVEHYFGDAYTKKGKSKGKTHGMGTKTRKFVSTYGFKPEDYSYVRFLDPLTGETIDEHVTVDMN -LIQEHFGKVRESYLDKDLLDKQKVVADPSIKAYYVRNSAKNALEVDLTPHNPLKMCDRHITIAGFPEREF -ELRQTGIPKEIPFAKVPQKNEDTVTHEGRSLYFGMKNYNGISSVVCHITNTSGSGCDLYGVGYNSYIVTN -RHLFRQNNGSLIIRSHHGQFVVKNTTTLKVAPVGKTDIVIIRMPKDFPPFHSRLRFRRAQKSDRVCLVGA -EFQEKSISSKVSEASQIIDDFGGSFGRHWISTHDGDCGLPLVSTQDGFIVGLHSLSSTANIANYFAMFPD -KFEEDYIDKLDTLNWSGHWKYNPQEICWGDLTIHLSKPEDPFKIVKDVHSLQVYEQSKERWLFNQLHGNL -KAVCEMPGNLVTKHVVKGPCMLFQQYLNLHEEAERFFRPLMGHYMKSRLNREAYAKDILKYAGDIIVGEV -DCDIFETSLTQVIELLNDNDCPECEYITCSETIINSLNMDAAVGALYTGKKRKYFEGTTPQDRERLVQSS -CQRLYEGKLGIWNGSLKAEIRPAEKVLANKTRSFTAAPIDTLLGAKVCVDDFNNWFYSKNITCPWTVGMT -KFYKGWDEFLRKFPDGWVYCDADGSQFDSSLSPYLINAVLQIRLWAMEQWDIGEEMLRNLYSEITYTPIA -TPDGTVVKKFKGNNSGQPSTVVDNTLMVLLTMHYALNRAGYTTPEDQRNCVFYINGDDLCIAVHPDHEKM -LDSFQKSFSELGLKYDFSSRHRNKSDLWFMSHRGILIDGLYIPKLEQERIVAILEWDKAKLPEHRLEAIS -AAIIESWGYPELTQQIRKFYQWVLEQAPYSDLARQGKAPYVSEVGLRNLYTSQRGEPQELERYLTHYFQN -ESSDCPELMVYHQSGEGEKDAGSVDQDQKKSKIKGMEKEKEREKEVKEADKDVDTGSSGVFRVPKIKNFN -DKMILPRIKGKIALNLEHLLQYNPSQLDVSNTRATVSQFEQWYEGVKNDYGLGDDEMGIVLNGFMVWCIE -NGTSPNVNGVWTMMDGNEQVEYPLKPMIEHATPTLRQIMAHYSNAAEAYIAKRNATERYMPRYGQKRNLK -DISLARYAFDFYEMTSKTPERAREAHMQMKAAAIRNTNRRMFGIDGSIGGGEENTERHTVDDVERDMHSL -LGMRK - ->YP_009407950.1 polyprotein [Wild melon vein banding virus] -MASLVSYVPRSLKHRKMANEKGMAHFEQYMQDLTARGNVAYHTEFNIEYGAKLLHMIMTAEVDFCASFLQ -LSKAEQAGILCRTRKAMLSGLKHDYTANQWICPECDETEDVFFKKCSCGEKYYFSEKKLIKSINDISYYF -DLDYSEIKKVTIENLAEMVEAHNSTNDTSYKIAAEGEKCEVVVEPVTEIETIMIGSFEIPLLPVVETEIC -RGKPSDLKSEGFQVIQPATETVTEAVGLAKAVCEQIVEFKATSSFDEGLWRKKMLEQRQRVHDKHVYETR -IRMKAEQEKDKEIFRNLEKKLNLTERRKNCVLKKRKRCITWVQKKAATAQPLIAEKIITKIAHDYQERQT -SEDEVTGVKCATSRSTKRHIKFKPVIGNHMQDYLMKQIGKIAMRGSLNVEIVANKERKLFVRNKRLHVYL -HHHRGIRKKRDLISTKPLEVFFERFVKHAVRNYWTKIERLTHGDSGLIFRTRHGDDVGRHPGNYCIVRGR -YHSRVIDARSKITRTMMHKITHYTDIPEKFWSGYNKAFLRHRKASDHNCSSDLDVQTCGEVAALITLILF -PCSRITCRKCMTRVKDRTIGEVGEELHEELNRLRMVLTSYNGSFGHVSTLMDQISRVLNAKNSNTEAFQE -IAAKVDGKNEAPWTHISHVNEVLLKGSLATGENFSDASNSLREIARWHMKRTESIKAGSVESFRNKRSGK -AHFNPALLCDNQLDGNGNFLWGERQYHAKRFFANYFEKVDHAKGYDFYSLRKNPNGVRKIAIGNLVFSTN -LERFRQQMVGEYESQGPITRECISLRHGNYVHVCSCVTLDDGKPYESELKMPTKNHIVLGNTGDPKYVDM -PVLETDSMYIAKQGYCYMNIFLAMLINIPESEAKEFTKRVRDLVGAKLGEWPTMLDVATCANQLVVFHPD -AADAELPRILVDHKNKCMHVLDSFGSITTGYHVLKANTVNQLINFARLPLDSELQHYVVGGQPSIANKRD -IIALIECAYKPQKLIPLLEEEPYIIIMALESPSVLLTLFNSGALEYMINHWMKRDQDVATMISTVSGLAR -KISRAEFIQDQIREIRNNARDIQLILDRQSKPWLSYTRAHDYLTVCDSMRDTDTSLDLQGYRSKEFFLCA -DVERIYARMLAEQWSALTLSEKLRARCFSWASLKPTTEYLIPGGNSGLSVIYNFSPRYCVLEAREVLLKP -IRGAMQVVTNLKNKAVALVRKTTVRTINLVFGDMLRLVNVIIVISFLVQIMRDVQKIIIEQQLYKQQQEE -RNREKDFEQLEALYYKLSGKLGGQPTIEEYLEFVGSKRPDLVEKAHLLTNTLVEHQAKTAEERRLEQIIA -FFTLLMMMVDAERSDCLYRILNKFKGVVGTIEQDVYHQSIDDISDIFDDKQETIDFEINTEYHGEHGLVD -LTFEKWWDSQLSRNNVVGHYRIGGEFVEFSRSNAALVANIIAHGEHKEYMVRGAVGSGKSTNLPHLLSSK -GSILLIEPTRPLCENVGKQLRGAPFHKNPTVRMRGLTTFGSAPITIMTSGFALHYFAHNVEQLKEFDFIM -FDECHVVDASAMGFYCLLQEHNTPAKILKVSATPPGHEVEFTTQFPVKLLTEDSISFQQLVASFGTKSVS -DVTQYADNILVYVASYNEVDQLSKLLLEKKYQVTKIDGRTMKVGKTEIETFGTPDKKHFIVATNIIENGV -TLNIDAVIDFGMKVVPELDTDNRLIRYSKRPISYGERIQRLGRVGRHKAGVALRIGHTEKGIQEIPELVA -TEAALLSFTYGLPVMTHNVGIGLLSRCTVRQAKTMMFFEVNPLFTVNLVSSEGAMHPKIHELLKRYKLRD -SEIQLSATAIPHGVESIWISAREYNNMGCNLDVKEGTRIPFVCKDLPEKLYEEIWEAVQLYKRDITFGRI -TSAAAGKIAYTLQTDIYSIPRTIATIDTLIESENAKHAHFKAMTSRSTTSSSFSLLSIINSIQSRYMVDH -SQENIRKLQQARSQLQQFQAVSNSKDINALIKSFECMRTVYHQSVDGRKHVIKELALKGMWNKSLLCKDA -LICGFTLAGGLTMLWHYFQDRRKSLAVYHQGFSARQRQKLRFRDARLLKVGREVLGEDAVIADHFGDAYI -KKGKKKGRTHGMGAKTRKFVSSYGFRPEDYSYVRFLDPVTGEVLEEAIETDLDLVQEHFSTLRQEWLDGD -KIDRQQIMSQPGIKAYYIKSGTKSALEVDLTPHNPLLLCEKSVTIAGFPEREYSLRQTGPAKSVPYSQVP -KPIETVQHEGKSLCSSMRNYSGITTSICHIKNTSGNGCSLYGLGYNSYIITNRHLFKENNGTLVVQSHHG -NFTVRNTTTLKMVPVEKTDIVIIQMPKDFPPFHSKLRFRKATETDKVVMVGLDFQDNHIASKISETSHIT -VKYGGFGRHWISTKDGDCGLPLVSPLDGCIVGIHSLSSAQNLANYFAVFPQNFESDYLQKLEALNWSKHW -KYNCDEICWGSLRISNSKPEAEFKAVKSINELAVYPQSGTQKWLFEKLHGNLKGVAETTGNLVTKHVVRG -PCVLFEQYLNTHEEAEKFFRPLMGHYMKSVLNKEAYAKDLLKYASEIVVGEVDHKVFKNSIRQVCELLCD -HDGTDLEYVTDSETIITSLSMDAAVGALYSGKKRAYFEGSTVDERENLVRMSCKRLYEGKLGVWNGSLKA -EIRPAEKVLAGKTRTFTAAPIDTLLGAKVCVDGFNNWFYSKHIICPWTVGMTKFYRGWDEFLRKFPDGWI -YCDADGSQFDNSLSPYLINAVLSIRMWAMEEWDIGEQMLRNLYSEITYTPIACPDGTIVKKFKGNNSGQP -STVVDNTLMVLLTMYYSLQKSGYSAEEQEKVCVFYINGDDLCIAVHPEHTVILDNMQGHFRELGLNYDFS -SRHTRREDLWFMSHKGVLVDGIYIPKLEQERIVAILEWDKAKLPEHRLEAIAAAIIESWGYPELTMHIRA -FYHWVLEQAPYNDLARDGKAPYISEAGLRNLYMSERGSQEELFKYLDKFFKDETTEHPELLVYHQADIKD -KVADAGANTSKPDKKENNKGKEKDKESEDKGKGESDRDVDLGSSGSFTVPRMKTFNDKMMLPRVKGKTVL -NLAHLLEYNPQQLDLANTRSTTSQFEKWYEGVKSDYGLTDDEMPIVLNGLMVWCIENGTSPDISGVWVMM -DGDQQVEYPIKPLLEHATPTFRQIMAHFSNAAEAYIAKRNATERYMPRYGQKRNLTDLSLARYAFDFYEM -TSKTPQRAREAHLQMKAAALRNANRRLFGIDGSVSSGEENTERHTVEDVDRDMHTLLGMRK - ->YP_009407717.1 P1N-PISPO [Sweet potato virus G] -MACLANGNVAGKKKKMTWKECCNKWGRAAMEQQQGSRGPYTIEVGKDQLAANIFAFIPNTEWHKYYVARI -GLSSEALKLKYAITFGEVYEFDSSFNRCPECDCAIDEHRCDECSIRFKKSDDNIMKNMNETARALGGWDN -YYAATWKQFEAAKDDMLEVAPTAGMLEKRAREAEKLLGKKAKRHEVAEVQQLWEKYEEAKEIEAEEETFF -EHEASLLMQEAPKTYKDNFPQLGKGSEATLVVEKEVPVKEASTGFFFGEIPALVELPKIPILEILPAAPV -LNLNGELNEQTQPQGKDESIDEVEKDQVKPVAMIQSGFKKYELVGNSFQRVKKLPKTLYPWGEKSQTPGK -VQHTMITKWVRKTMNQQAEREEKNLECMGENERNSFCKEGRTESEMEVGTIQTREKDEERQPEAAGKSKE -EQAANSIGRTTPANCLDHIYCRRSSSEPDGGEEATEWADFLNAFFEEEENYVKDQVDRTTATTADSSCTK -NCEEETAMRGNYWQESDKKQIHQAQRINIFVPSCKTHGGYPKTHRPVYPRAKPPCYQASSSACSMEENSQ -NRGDRKRNERFCVESAENHRSTWSRPKRTFRGSWGFQRNTI - ->YP_006493336.2 P3N-PIPO [Sweet potato virus G] -GMIGVNSFEEGSLKAIIKGIYKPQILRNILEEDPYVLLLSILSPRILLAMFNSGSLDHALEKWITRDQEV -ATILGILLELSKKVTVARTLNEQMNVIEAHASYMIDNMWVNGKRTVANALSHQIIRNLAAKRDANRSLIE -QGHRVTAFATSQELLEKNLGRTLRGAVGRINLVGKILCNSTLVTLWKVYSDAFAPGKSRRFERQSKRLLY -FIAHKE - ->YP_009388623.1 polyprotein [Cucurbit vein banding virus] -MACIMIGDMPVNLPAPSVGVQREISSVEMLTKPSFCGWEFAPEPVVRQHHKHLCETSAKLIDEYKQRTNS -VFAELERDIAERNKGTVFKLVKRGQWTRVLRLSKEKSRCALRRMWKKESNEFFDSLDVDTIRIAEEAIKH -EDYMTQAQWVRQNAVRHKSRKVPKIFKTAKTSVNNLFRSVAKIAQENCIPIEIIGKKKRTFKTKPFMRKN -CFFLHLPHMDHKNLKREIRLEPQEEPFFTILARLNTQGYLKASDVTYGHSGLIWKREKVIGERSYLNNTF -VLRGGIKQQIFDAQRKYGYSMLKVMRQYSEPGKDFWTGFDRAFIKHRDIPVDGHKCRSVFKVERCGEVAA -ILGTLLMPSHKITCDECAQSYSNMSFSDIVSNIQRKLPGARNFLSSQYPEFVHLQKFLNVLETTHREGPR -NFDTLKAVSRITCENKTVPFMNLETICQKLMLGVHMKPEDLEEAASALLEVTRWAKNRTDVIQGNTLAKF -RNKASAKAVMNMNLLCDNQRDKNGNFVWGLRGYHARRLFNNFFKTITSGNEYKEYVNRRSPHTTRKLAIG -NLILPLSFEDLRSHMLGEPIERQPLTEACIMRKGSNYEYSVCCVTNDDGTPVESGVIMPVKNHLVVGNTG -DSKIIDMPQFGSFRMYMAKDGFCYLNIFLAMLLNVNEEDAKRFTKIIRDDMIPRLGTWPKLKDIAAVCYF -LAVMFPDVRSAELPRILVDHKAKTMHVVDSFGSHSTMYHVLKAGTVTQLLSFNSDLVTGEMDEYLVGGFN -DPNPRIQSHEITHDVMHKASIKKIIRCVYRPKEMFALIDNHPYILFHGLISPAILRAMHTSGSLEKAVTM -WSGNDTSIATAFIKLRSLAKKVSGARNLIDQIQIIEGSAAILYSELCNKSNPSSIHLATLQLLHMIADRS -AADEKLREQGFGTHHNEVIAEVEKKYAEMLSNSYRELNFSGKLRYATERAKSFKSAIKFVGQKEVADMTK -SCKRFSDSVVSASVTKSQNLTNKLATWRSNINSKFRGFFVNRTLSCISSFVPSCFKLINILAVLTLLSAL -SANLATFAHERKRLANQVKELNHDQIFDQIESVYSDMVREADGELPKVGDFILRLGKRYPSSVPYMIRQL -NSEVVEQGKGPTEQNLEKVIAFMVLLAMFVDANRSDAIASVLNKVKNITTTLSTPVHHQSEFYTKSGSLL -TLENVYHQSLDEIADIVDEKNLTIDFDLSTNGKESFGVTDITFRGWWDKQLVENRTIPHYRTGGHFIEFT -RANAVQVAVTIAHDSVNSEFLVRGAVGSGKSTALPYHLSMKGQTLLIEPTRPLAENVAMQLRGAPFNTSP -TLRMRGMSVFGHTPITVMTSGYALHHFANNILTLNDFKFIIFDECHVLDASAMAFFCLLKEYSYSGKVLK -VSATPPGREVDFQTQHPVTVHIEESLSFDQFVAAQGSGSNADVLNKGVNVLVYVASYSEVDSLSRSLINK -GYLVTKVDGRTMKSGGTAIETKGSNGKPHFVVATNIIENGVTLDIDVVVDFGLKVVPNLDADNRLVSYAK -VPISFGERIQRIGRVGRTKPGHALRIGYTEKGISEIPPMLATEAAFLCFAYSLPVMAHNVVSSILANCTV -RQARTMMAFELSPFFTHELVDHTGSLHPEINRILGPYKLRDSELELHSSSIPYGSTQRWLTVREYSRMGC -RISCNEDVKIPFYMNGIPDKLYEQIHECVTTFSHQGFPGKLSMSSAAKVSYTLKTDIHSLPRTIAILDRL -IQEEQTKHAHFQAMNANICGPHNFSLLGIANSIRKRFLVDHSIKNLEILQRSKAQILEFSKLNIDPSSVE -ALTGFSSLDLVYHQSKESVSSHLKLKGKWNASKITNDLFIMLFVLIGGCWMLYERFKTAMTEPVYHQGNT -KRSRQKLKFRDAYTDKLGREVYGDDGTMEQLFGSAYTKKSKSKGTTKGMGHKTRKFVNMYGFDPTEYNTV -RFVDPITGETLDESPITDLNIVQEHFGDIRDKLLEQDVISRQAVLSNPGIHAYYIKNAAKHALKVDLEPH -NPRLVCARVATISGFPEREFELRQTGMPQQIDISRVPAVQESVEHEGKAILQGVRDYNSVSNAICRLIND -SDGQTQTLYGFGYGPYLIVNRHLFKRNNGTLTIHSKHGEFTVQNTTVLRMHPVKDRDVLIVQLPKDFPPF -PMRLSFRHPIIGEKVCMIGANFQQNSIRQTISEPSKTFPKENCNFWCHWIDTQVGQCGLPLVAISDGSIV -GLHSLGKVAEGSNYFSSLPDDFKANYLAKPETLEWVKQWRYNPDSIAWGSLTLNRMNKDKTFTPLKDVKD -LIMEDVIEQGQDSNSRWVFERINGNLKGYARLDNQLVTKHIVKGRCQYFSEYLAEHAEAEAFFRPLMGAY -GPTHLNREAYLKDLMKYSSSIVVGKVDTDLFEIAVQNVITIMEEIGFTQCEYITDAPTVVSSLNLNAAMG -ARFKGKKRLFFEDMSDEQCEFYVQHSCKRLFTGQMGIWNGSLKAELRPKEKLEQNKTRTFTAAPIDTLLG -GKVCVDDFNNKFYALHIKGPWTVGMTKFYKQWDRLLNELPDGWVYSDADGSQFDSSLTPYLINAVLNIRL -HFMEEWDLGAQMLSNLYTEIIYTPIATPDGTIVKKHKGNNSGQPSTVVDNSLMVCLAMQYSLLKNGIILD -AQRDIIRYFVNGDDLLVAVNPDFEYLYDKFSDNFQELGLNYTFSATTHKSDLWFMSHVGIKRDGIWIPKL -EPERIVSILEWDRSTQIEHRLEAICASMIEAWGYDDLLKQIRLFYRWLLNHENIQECYLTGHIPYVAESA -LRRLYMDEEVNQNVYDSMIRMILDDFDYEDERDAIEHVYHQADSRPSTPKIQKVDAGSSEQESEEKKKKK -QGEERLAREAEDQKKNNEEKKKDVEQEIITTVPSTSKQGDDSTSGVNRIWATAPTTGTGVRDRDVGQSTT -GTFSLPKMKKIHTGMRLPKSKGKSVLNLDHLLQYLPDPVDLSNTRATQSQYDEWYSRVSLAYGMDEQQMG -IIMNGFMVWCIENGTSPNADGMWTMMDGDQQEEYPLKPMIENAKPTLRQIMAHFSDAAEAYIEMRNAREP -YMPRYGLKRNLTDMSLARCAFDFYEITRYTPKRVIEAHMQMKAAALSNVKTRMFGLDGSVGNSEENTERH -TAHDVNQNLHTLMGVRGI - ->YP_009353030.1 polyprotein [Endive necrotic mosaic virus] -MASIMFGTINYTQFKAQIQTAQQRPETNQGKDMENKHKESSCVCGMKLRRGKIEIPPGVEKLDFSDKLKN -RDACLFSKSGKPYAYTGGVHDSKGWHPICDEILKSIGVPNGTFNQCLVQRYQPGGKIGLHADNEKCYAQG -HQVLTVNATGEGRFTVACRLGSMTAHMKQGDWLLMPHGCQETHKHKVVADAERISFTFRKEIDGSTPKCA -HVAPHNKQPNEVDNMSAVQEMAQRRADKYINCSLTQTAAGRIRFEHTKPVVAGCKTMKQAIRDGWVPERI -ITKIEISNEKITSSIEPIIPRVPHATSKSLKKCQKQRQIRKSETAIANLVKLVGAIAKTNNKRIQVIGKR -TNNLEFRTRRGIKELFTLTKHESGKFARRDVKADDAYEWLVGLILSSSKTKRKLLGSEITHGDSGLTIPT -SRLVGTGQTDRVNLCVRGALNGQLLDARETHRDSNIMQMTHYSDVAVKFWKGFNKQFLEQRGSAPKHNCE -SNFDVERCGSVAAIICQAIFPSHRITCNACAREFEQLTEKERYELLHPRVNRTLDLLNREYREFTHVTQT -LKDVVANAQGKTGDYDIFAETQALISHRKEAPFSHVNRINETLTRGSGISANDIVYIQRELLEIARYLNN -RTENIKQGSLASFRNKVSAKAHINPALMCDNQLDKNGNFVWGERGKHAKRFLTNFYTKIDPTRGYDNFLV -RKNPNGSRKLAIGNLILSTNFESLRDQMEGEPIEEQNLTNACVSKLEGTFEYPCCCVTREDGTPLKSGLI -MPTKNHLVVGNTGDPKYVDLPTEIETGLYVAAEGYCYVNIFMAMLVNVDEVDAKDFTKMTRDTIIPRLDK -WPSMMDVATACYFLATFYPGVLNAELPRILIDHKTKTMHELDSYGSRETGFHILKANTVKQLLRFAMRAL -DSEMKNYLVGGTVAQSEYASMKLLIRGVYRPHILINTLESEPHMLTLSLLSPSVLIAMHNSGSFEQAVQM -FVKKDMNVATLFVTLSTLAQRCSVARCIVEQQKIIEGRIDDLIELVNASRMKTPISQLAMTMLIRIANRR -DTDAQLVANGYELLANKSMEILEKNYKQELEASWRALPLCGKFSAIKRSLKGFVYTAKSEIRIETGDSTG -NSHISRRQLLGKVSKKIAKCAKSYNTRINSLVHACGRQIRSRVFSAVNYMVPDIIRFINILVVFSLFVSI -ITTLHGYILKHREMQMAIEAGMDEKNERLVYGIYKQMCDRLGHAPTKDEFLSEVGAFDSNLGEWANKWVR -SQFIVKLQARSQGQTELERVVAFVALVMMLFDCERSDCVYKVLNKLKGCIGTIEGGVKHQSLDDIQTEAE -EKLRTTDFVLDMDRQPPSTITDTTFQEWWEDSLARNSVIPHYRSEGVFKEFTRATATQVANEIAHSEHTD -FLIRGAVGSGKSTGLPFQLQMKGHVLLLEPTRPLAINVANQLRETPFFLKPTMRIRGASHFGSSPVTVMT -SGFALQYLGHNPSQLRDFKFIIFDECHVHDSAAMAFRALLAEHQFQGKIIKTSATPPGREVEFTTQHPVD -IITEDNLSYEQFARGQGSGVNYDVTHRGDNILVYVPSYNAVDSLARDLLAKNFMVTKVDGRTMKMGNLSI -QTHGTPSKKHFIVATNIIENGVTLDIDVVVDFGLKVVAYLDVEDRTIRYKQVCISYGERIQRLGRVGRFK -KGTALRIGSTEKGLQEIPQMVATEAALFCFCFSLPVMAANVSLSLLANCTLRQVRTMMKFEVTPYYMAQV -TSHDGSMHPSVHATLKKYILKDSEILLNKMALPYTASRTWITAGQYERLGCKNDMPESVRIPFWCKEVPA -KTHEDVWDCILKNKSDVTIKPISSHSACKVAYTLKTDPASIMRTIATIDQLIVEEQTQLAYFAAATESTI -TSSRISLHTIISAMRTRYASNHTLENIAILQKAKAQILEFKNLNSYEDMQEENEAKLINKIMNCGATGLV -QHQGINEISKALGLKGKWNASLITRDVIVLGGVALGGAYMIYTWFKQKFNEPVTHQGKGKRHLQKLRFRE -ARDKKVGYFVHADDDTIGAYFGEAYTKRGKVKGSTQTKGMGMKNRKFVNFYGYDPADYSLVRFVDPLTGH -IIEDSPHVDVSLIQEEFTAERLKELEKDEDFMEQMTNHNTIQAYFIKNMSTDALRVDLTPHKPLAAGNKS -TSIAGSPEREGELRQTGPPVIVPISTVPEIENGDVVGHEARSLQKGLRDYNPIASMICKLTNIGGDTSLY -GIGYGPYIITNRHLFRKNNGELRIESRHGEFLVKNTCTLKMHPVEGRDIVIIQLPKDFPPFPSKLRFRQP -MNGESICMVGSNFQTKSITSTVSESSTTYQLDGSNFWKHWISTSDGQCGLPLVALSDGHILGIHSLASCV -NTVNYFASIPLNFEAEKLNTKEALKWTQHWVYNPKEVCWGGLGLKQNQPVEPFKVSKLISDLFDDAVKEQ -ACTAQWVMNELYGNLKAVAACPSQLVTKHTVQGKCRLFEIYLNTHNEERDYFKPLMGAYDKSKLNRAAFL -KDVLKYAKPTIVGEVNHKIFQQACKSLLITLREVGMVDCKYITDHMEIIDSLNMKSAVGAQYSGKKRQYF -EDYTDSDYEELVRASCKRLYMGQFGLWNGSPKAELRPIEKTLANKTRVFTAAPLDTLLGGKVCVDDFNNQ -FYSHNLEAPWSVGMTKFHKGWDKLLKSLPDGWVYCDADGSQFDSSLTPYLINAVLDTRLEFMEDWDVGRQ -MIRNLYTEIIYTPILAPDGSIIKKCKGNNSGQPSTVVDNTLMVILAMKYALIQAKIPSEEHDLYCKYFAN -GDDLLIAIHPNKEHILDTFAESFAQLGLNYTFDTRTQNREDLWFMSHKGVLIDDTYIPKLEKERIVSILE -WDRSHEPVHRLEAICASMIEAWGYPELLYEIRKFYAWVLEQAPYSELAKQGKAPYIAEIALRNLYLSTES -TPDEIGAYIRACWENYNDDHELAVTHQGDKLDAGQSSVPQPKKDKNKEQGNDLVSQPQQDKDVNVGTSGT -FPVPRVKSITNKMRLPKSKGQVVLNLEHLLEYKPDQLDLSNTRSTHVQFDGWYNGVKEAYDVTDDQMKII -LNGLVVWCIENGTSPNINGSWVMMDGEEQVTYALKPILENAKPTFRQIMAHFSNVAEAYIEMRNLQKPYM -PRYGRQRNLNDMNLARFAFDFYEVTSNTPPRAREAHMQMKAAALSGVQSKLFGLDGGVSTTSEDTERHTA -NDVSKNMHSLLGMTQH - ->YP_009336845.1 polyprotein [Hubei Poty-like virus 1] -MAGAWTYVTYKWQPNLDNPRDVKRVMEMFVAKHQHDDEKKRREHNIRLLKRTYVDNVEPLKPKIQEPERQ -EWVEECDMTPTKHFVFPRPTKVKVPVNSKPVTGSVSKLVRNILDISRGNALKVELIGRRCHNKTRLSIKR -YKNKDFLHCETRHEANVFKRRDTNLNTHWLPIITSIAKRCSSASVKERRGFKQGCSGLTFVQNNELFIVR -GRLNSELVNSLHEVPNILDIEHYSDPQANDFWRGYTDAYVANRNISTTHTEHTPTINLEECGKRMALLEI -LFHSTFKITCKTCNADDLELSDDEFGAKLYKNLQRIEEQQREYLAKDQKLSRMIQFIKDRCNPKFEHLPL -LWQVAETIGHYTDNQSKQILDISEALIKVNTLTPEDAMKASVSLLEVSRWYKNRKESLKTDTLDSFRNKI -SPKSTINAALMCDNQLDKNANFLWGNREYHAKRFFINYFETVDSTDAYEKHVTRFNPNGQRKLSIGKLVI -PLDFQKIRDSFVGLSIDKKPLSSACVSKIDGGYVYPCCCVTTEFGKPAYSEIIPPTKGHITIGNSIDPKI -VDLPSTSPPSMYIAKSGYCYINIFLAAMINVNEDSAKDYTKFLRDELVERLGKWPKLKDVATACYALSVM -FPEIKNAELPPILVDHENQSMHVIDSYGSLSVGFHILKASTIGQLIKFQYESMDSEMREYIVGGTLTHQT -FNNLLMTLVKNMFKPERIRQIIEEEPFLLMMAIASPTVLIALYNNCYIEQAMSYWIVKNQGLAAIFAQLE -ALAQKTSQAKLLVQQMQILEKASNQLRLAVMGLSHVDPAKRLLWSHLEAMTTRSEMNKELIAEGYALYDE -RLYALMEKSYVDQLNHAWADLSYYGKFLATWRVYRVRKYYKPSLTLGKSVDLGAAYNISATHLISNLAQK -SRDRVSYISTKLRQGFYNKMEKVRLSAVKTIYWFVPDVFRLIHIFIILSLLTTIANSVIVTMNDYKKLKK -QQREDEYEAEINEVRAIHANLMKENNDELTCEQFITYIRKTHPRLIEATLELTHTGVIHEGKSNLETNLE -QAMAVGTLLTMVFDPQKSDAVYKVLNKIRTVISTFEQNATFPRIDFTKVLTTPVTHQSVDIDDPLTISTD -KNLTIDFSTDQDLPADTFSNDVTFENWWANQLNNNRTVPHYRLGGKFVEFTRENASIVSIELAHSNTEKE -FLLRGAVGSGKSTGLPYHLSMRGKVLLIEPTRPLAENVCRQLQGPPFNVSPTLQMRGLSSFGCTPITIMT -SGYALHMYANNPDKISQYDFIIFDECHIMEAPAMAFYCLLKEYEYQGKIIKVSATPPGRECEFSTQHPVD -IHVCESLTQQQFVMELGTGSNADATKYGNNILVYVASYNDVDSLSQALTELHYSVIKVDGRTMKQNTTGI -ITNGTSHKKCFVVATNIIENGVTLDIDVVVDFGLKVTAELDVDNRAIIYKRVSISYGERIQRLGRVGRNK -PGTVIRIGKTMKGLQEIPAMISTEAAFMCFAYGLKVITHNVSTTHLAKCTVKQARTMMQFELSPFVMSEL -VKFDGSMHPQIHEALIKYKLRDSVIMLRPNAIPKVNFNNWLTARDYNRMGYSLELEDYVKIPYYIRGIPD -KLYGKIHDVIMQYSSSSCYGRLSSACAGKVAYTLRTDPCSLPRTIAIINALITEEYAKRDHYQNMVSNPS -SSHAFSLNGIVSMIASRYMKDHTKENIEKLIKVRDQLLEFQGTGMQFQDPSELMDIGALNTVIHQGLDAT -AECLGLKGRWNASLIQRDLIIAAGVLTGGIFMLWCLFTKWSSTDVLHQGKNKRSRQKLRFKQARDNKYAY -DVTGSEDAIGQNFGTAYTKKGKQKGTKVGMGVKQHKFHMMYGFDPQEYNLIRFVDPLTGATLDEQIHADI -RLVQEHFGEIRGEAILNDTLDRQRIYGSPGLQAFFIQNGSTNALRVDLTQHEPLRVVANNNIAGFPEYEG -TLRQTGTAISIPINQVPVANEIGVTHEAKSMMMGLGDYTPISQQLCLVENDSNGVKRNVFSIGYGAYLIA -PAHLFKHNNGEITIKSSRGLYKIRNSVEIKLHPIARRDMVIIQLPKDFPPFPMKLKFSMPSKDARVCLVG -VNYQQNYSACIVSESSVTAPKGNGDFWKHWISTTDGQCGLPLVDVKSKHIVGIHSLASTNGNTNFFVAMP -ENFDEYIQGLVQKNEWEKGWHYNPNLISWCGLNLVDSAPTGLFKTSKLVEDLASSVEEQCGTTETWLTEH -VQDNLQVVAKCPGQLVTKHVVKGPCPHFQLYLSTHEDAKMYFSPLLGKYDKSRLNRAAFIKDISKYAKPI -FIGEIDYNIFERAVERVVKILRDAGMQQCVYVTDEEEIFKSLNLNAAVGALYTGKKKDYFTNFSDEQKAE -ITMRSCERIYNGNLGIWNGSLKAEIRPIEKTTLNKTRTFTAAPLETLLGGKVCVDDFNNQFYSHHLQGPW -TVGITKFYGGWNRLLEKLPDGWIYCDADGSQFDSSLTPYLINAVVKIRLQFMEEWDLGERMLQNLYTEIV -YTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVIMAVYYAAEKLGIKGVLEDTLVFFANGDDLLIAIKPE -CESLLDEFESLFSELGLKYDFSHRTKRKGDLWFMSHKGVKIDGMWIPKLEEERIVSILEWDRAVQPEHRL -EAICASMIEAWGYPILLKHIRQFYLWVLEQAPYKQLSAEGKAPYISEVALKHLYTEEKVTPAELERYNIA -LIDCFEPESDEVLVCRFQANQERLNAGEDKTGKDKKTEENPSKDSKGQSSRQIVPDRDVNAGTAGTFSVP -RLKKISGKLNIPKIGGKMVLNLDHLLDYNPPQDDISNTIATQAQFEAWYNGVKNAYEVEDSQMGIILNGL -MVWCIENGTSGDLQGEWTMMDGEEQVAYPLKPILDNAKPTFRQIMAHFSQVAEAYIEKRNATERYMPRYG -LQRNLTDYGLARYAFDFYRLTSGTPVRAREAHMQMKAAAVRGKSNRLFGLDGNVGTDEENTERHTAGDVN -RNMHTMLGVRI - ->YP_009310050.1 CP [Euphorbia ringspot virus] -SGDVKNAGVAPPSKDKGPATSEVARRDDREVNAGTPGLFQIPRLKKLNSQLYIPKVKGRAAINLDHLITY -APREEDISNVYATQAQFEAWYEGAMSSYGVTREQFDVMVNGFIVWCIDNGTSPNLNGEWVMMDGEEQVTF -NLQPMIEHAKPTLRQVMAHYSNVAETYIVMRNAEKPYMPRYGLQRNLTDMSLARYAFDFYRITSKTPPRA -REAVFQMKAAALRNVKNNLFGLDGNVGSKEENTERHTADDVNRNMHSLLGMQAM - ->YP_009305422.1 polyprotein [Euphorbia ringspot virus] -MACKIANSTQSLRFGDFTVPYFWTKDNAFHTKPAIIETTVLKPATNVITSNTCDKQVIVTEPPFKQASEQ -NPWVYDPMSKVKVTYDKFNKSMIVEKQDGSFHTETRTEEQMNAYLQLLSENAQAEEEFQKADPSKIFKIS -IGGGKMATESVAESTIKKPLHTTPSMKNKIFFKPIYLENCQILQICHALGNIARKTGAEIEVIDKTAVKG -VFKHTIKGKFLQLGVKHLRGEYTPFDIAVSHEQIKIINHVAKVVTSRAMLTADDIKPGTSGFVLHKHNLR -VPAESVHGDIFIVQGMMDDVLVDARVYRNHRTRKLIQYYSNPGEKFWKGFSSTFVKLRPRNIEHQCSSDF -DVEECGTVAAIVTQALFPCGKITCKTCALKYTEMDENELFQYLQQFLLDKTEIISKNHPTFSHMIMFLKA -LTSAFSGFDANLDAIMKIQQLVGDKTEAPFTHIKRINEIMGKGLTSNVAEKQEIPNHLLEIARYLRNRTD -SIARGDLKHFRNKISGKAHINRYLMCDNQLDRNGNFQWGDREHHAKRFFNNYFEEIDPSLGYEKYVYRKN -PNGGRKLAINNLIVSMNLKTLRKSLEGISTDKLPVTEACVSRRDGNFIYPCCCVTNEDGTPQYSEVYMPT -QRHLKIGNTGDTKLVDLPANEREKLFITKDGYCYVNIFLAMLVNVPEVNAKNFTKMVRDVVISELGQWPS -MMDIASFCHMLTLFFPDTASAELPRILVDHKTQTMHVLDSYGSIETGYHILKANTVTQLINFAHESMKSE -MKHYIVGGRAGSQEEHNKESTMNLLIKCVYKPRLMHDLLMEEPYLIILSVCSPSLLIAMHRSGSLGRAIE -QFIHTDTKLATALTIMEQLSHRVSASKAIEKQLEIISSKSEELLSVILSSRQLTLSATIAVQTLSNMSEE -RKVNQQLISNGYSVVNRLLADFDERSIQKKLACSWAELSALEKLSLTKDSLKVWHSSRKFSEENMHRDIE -KTCRSSCIEFLDRCKNKVYNKYSKTKERITNHMHIRVGRFVCYLINSTSKVLPDLLRLVNTLLAMNLILN -CYKIINGIIIQNREAKLAQAQLIAMKEGKETVCLYEMYYDQFKVMPTLDEFIDFVEKIDHDKAQRISDSL -RQKVEVNHQARRPSQANVEKVIAFVSLFLMLFDSERSDCVYKSLLKVRTLFNIAENETKHQSLDDISNVL -EDKDLTIDFDLETHEPSKHITQAVTFEEWLSNQLSRGNIQSHYRTGGTFLEFTRQTAASVANEIAHDVHT -EFLVRGAVGSGKSTGLPFHLSKKGRVLMLEPTRPLAENVSRQLNSEPFLMDVTLQMRGYNRFGSTPITIM -TSGFALHSIANNPQALRNYDFIMFDECHVHDASAIAFRCLLKEYEFPGKILKVSATPPGKEVEFETQHPV -KLKVEDNLSIQQFVEAQGRAINADVTQDGNNILVYVASYNEVDQCAKLLMSKNYKVTKVDGRTMKTGNVE -ITTEGTDEQKHFIVATNIIENGVTIDIDVVVDFGMKVVPILDVESRMIRYNKQSISYGERIQRLGRVGRN -KKGTALRIGRTERGLTEIPQIVSTEAALLCFAYGLPVMAQNVSTSILGNITCQQARAMQQFELSPYFMYN -FVRFDGTMHNEIHEILKCFKLRTSEMMLNKFAIPNQSVHTWLTVEEMRKCGVQISLDDSTRIPFFVKDIP -ERVYEKLWNAVQKYKGDAGFGKLTSAQTGKIAYTLQTDVHAIPTTLSIIEQLIQQEQTKQNHFESLKYNS -VRMHSFSLASLSNAIRAKYLVDHTAENLTKLQNARTQLLEFKNLQTDASTRGLIEKFPALSCVYHQSKDS -VAKNLELKGRWNRSLITKDLLVIGGIICGGAWMLYEHLVAKMQCEVQHQGYSKRQRLKLKFRDARDRKQG -REVFGDDGTMEHYFGEAYTAKGKKSGRTRGSGIKNRKFINMYGFNPDDFSAVRFVDPLTGCTLDESVYTS -IRDVQDHFGQIREEAINEDLLDRQALGTGIQAYYTKNFQGKALKVDLTPHNPYVVSRNTNTIAGFPEKEG -VLRQTGDAVEIDIEAIPKPKPGLDMQVEHESKSLLYGLRDYNPIAGAICHLTNHSDGFHRELYGILYGGL -IITNQHLFEHNNGTLHIKSRHGEFVCKNTTQLEMLPIAKYDMLIIKTPKDFPVSPMKLRFRPPKQGERIC -MVGTNFQQKSCSSVVSETSAIYPRENSTFWSHWISTKDGQCGFPMVSTADGWIVGIHSLTSVRDEKNYFV -AIPENFEKEFLNTEANQQWVKQWKYNPDLLSWGSLWIRKSQPGCIFNPTKLIMDLQDHEVAMQAYDSRSK -WMYNALQGNLKAVAQCPNQLVTKHTVKGKCMLFNTYLETHPEERKLFEPYLSKYDKSRLNKEAFIKDITK -YSTEIRIGEIDCDVFEKALECLIKKLESWGFEKCDYVTSGVTIVDSLNMKSAVGALYTGKKRDYFEAFSN -EDKEEIVKESCLRLYTGKMGVWNGSLKAELRPIEKVKENKTRVFTAAPLDTLLGGKVCVDDFNNQFYDLN -LKCPWSVGMTKFYGGWNQLMNGLPDGWVYCDADGSRFDSSLSPYLINAVLRIRLHFMEEWDVGEQMLQNL -YTEITYTPIATPDGTIIKKFKGNNSGQPSTVVDNTLMVILSVFYTLLKCGIEIENYENDFRFFANGDDLI -IAINPEIEQILDKFKDHFEQLGLHYDFTSRTTDKSELWFMSHKAIKYNDMWIPKLEEARIVSILEWDRSS -KPEHRLEAICAAMIEAWGYTWLVHEIRKFYQWTLEQMPYTQLAKEGKAPYIAETALKKLYTGIDTTKEEI -EVYLRALEDNDDSIEINFVTGNKNKFAEVAAITNGTGIVLVQTPLNLTEVQGTRQEIIMCKAKLAFQKLQ -TPVLVEDTSLELIGCNRMPGPYVKFFSNETIIDMVTCSEKTAAQAICTFALYDGKTMEIVEGISNGDIVY -EERGHNGFGWDCIFQDKQTGKTYAEMSPLEKNQVSHRAAALKRLQEVLRRKGETQTEVRHQSGDVKNAGV -APPSKDKGPATSEVARRDDREVNAGTPGLFQIPRLKKLNSQLYIPKVKGRAAINLDHLITYAPREEDISN -VYATQAQFEAWYEGAMSSYGVTREQFDVMVNGFIVWCIDNGTSPNLNGEWVMMDGEEQVTFNLQPMIEHA -KPTLRQVMAHYSNVAETYIVMRNAEKPYMPRYGLQRNLTDMSLARYAFDFYRITSKTPPRAREAVFQMKA -AALRNVKNNLFGLDGNVGSKEENTERHTADDVNRNMHSLLGMQAM - ->YP_009272679.1 CP [Callistephus mottle virus] -NDNIDAGKDTRKPDKSKVGETPPTPGGTQKSESSSQMVPKNQDKDINLGTSGTFVVPRIKSITAKMRVPK -YKGQVALNVEHLLTYTPDESNLSNQIAKQIDFEQWCDKVMEELDITHDQFKIIANGWMAWCIDNGTSPNM -SGEWIMMDGTEQITYPMKPFIEHAKPTLRQIMAHYSDVAETYIAMRNTKEVYIPRYARKRNMNDPSLAQY -AFDFYVITSKTSNRAREMHAQMKAAALRNVNNKMFGLDGTVGRGDEDTERHTADDVNRNMHTLMGVRQM - ->YP_009272570.1 polyprotein [Callistephus mottle virus] -MASFASVLQYGQLGQYNRMNGTAYTKYHLSRAVAAHKMGWVYDVEMDDYQCPRCGEIYNSKEEIRNYHEC -EESTFTLEDFVPKEMKRMVPIPDLSNFIPVSGRSVKSTSDHENDSPTKLNIVNSGTIVDVEKVWNAQNEQ -IAKLEQLSAEERWALPPFHGSDVAFALDMQAIKEEHCAQTDYQLYHSFQPIPITKITIAGGVAPSEYATQ -PKGKGIIFTTPRQRKIIVRKKQVLSAKAIDNLIMAVTKIVSKDMKYLEIVDGSTKVKCGIVKYKGQKAVK -VNTAHENNKLKSRDFTSSPTKNTILYNLATYINKKQKWDLHAIRKGASGLVLPTKSVIGLHKGAQCGTLI -VRGRYNCRIFDARSKLTHVERDNMNHYSAGELFWKGFEKEFVANRVITANHSCSRDLDVEQCGAVAAILS -QAIFPCYKINCQACASHALDMTQSETANETSSRVNKALQTIEQKYTSFQHAKTVIDVLRQRIEEPNTNTQ -AITQVQRIIGDQTHAPFNHILRLNELLAKGTIMTQNDFTEASNCILELARFQKNRTDNIKAGSLASFRNK -ISAKSHINMALMCDNQLDINGNFKWGKRGYHAKRFFNVYFEQIEPTDGYDKYIVRKGPNGSRKLAIGKLI -LPTKLEDLRNHQIGESIKSEPLTTECVSKRGAVFDYPCCCVTYEDGSPMLSEVMMPTKNHLVVGNTGDSK -YVDMPSELGENLYVAKQGYCYLNIFLAMSINLDEADAKMFTKTTRDLFISQLGEWPTLLDVSLACYGLTA -LYPQTKSAELPKILVDHKCKTMHVIDSYGSLTTGYHILKANTVAQLIKFGQGPLESAMMHYRVGGLTVDP -NVERASVKTLIRGIYRPKVMTQVLQEEPFMLIMSLVSPGVLLALYNSGSLEKALDQWINQDVRIAMIPSL -LLGLASKVSVARTINAQMAIINENAGQIYDNLVGRTYPYASHMQALELLQMIQARKETDRALTGIGFTSL -QEAAAPMMEKIYLEDLEASWQELSWREKLSAILESRRWQKRISRPLIPVEESNLKGRYDVSPRSLFRHNV -QLAKDKGRLILNKSRATLHRYIGKSVCYILSCTTNFLSSFARILDIVILISFLLQILHVLGSMLQEHKRM -KAQVAKIEYQNMLAKMDMYYYSLRNKLGEPPTEEELREYTQQIEPEVIEYLVKVGYFSEVPVEHQAKRES -EAKLEQVVAFTALLLMLVDSERSDGVYKILSKLKGIMSSVDGPVYHQSLDDIKDTLSNKNQTIDIELDSD -ERVPTMQISQTFEKWWSNQLARNRTIPHYRTEGYFMEFTRATAVEVANKIHGGTEKDILLRGAVGSGKST -GLPFHLSKRGSVLLLEPTKPLAENVYKQLRGDPFYRNPTLRFRGMNAFGSGSITIMTAGFALHYLANNVS -SLKDYDFIIFDECHTIDASGMAFRSLLAEHEYSGKIIKVSATPPGREVEFTTQHPVKLIIEESLSFQQFV -QNLGSKANSDVTQYGDNILVYVASYNEVDTLSKLLLDKHYKVTKVDGRTMKSGSMEIVTSGTSSKKHFVV -ATNIIENGVTLDIEVVVDFGLKVVPQLDIDNRMIRYIKKPISYGERIQRLGRVGRHKPGTALRIGTTEKS -LTEIPMIAATEAALMCFAYGLPVMTDNVSPSLVNKCTVQQVRTMLQFELTPFFMVNLVRYDGTMHKAIHD -LVKGYKLRDSEVILNKLANPFKQAHMWLTVKDYNKVGCNLTAPDETRIPFHCNDVPDKLYEQVWHAVQEY -KADSCIGRVVCVNASKVAYTLQTDIHSLPRTIKILDHLIEEEGIKRAHFNAITENTFSRHNATLSGLINS -VRSKYAEDHTARNLEILHAARAQLLEFSNIGDDPFTLSTIEKHPYTSCVYHQSTQAMAQHLQLQGKWNGS -LITKDILIMLATLLGGSWLLYTWFRESWNQPVYHQGQNKRQRQKLKFRKARDQKDHYAFHGDDDVIAEHF -GTAYTSYGKKKGTMHGTGKKIRKFVNMYGFDPSDFSVVRFVDPLTGLVLDESPYVDIMIVQEEIEKERRR -LFDEGELDAQHMRHNKTIQAYYMKNAASGALKVDLTPHDPLVLGMRTNTIAGYPDREAELRQTGEPTLVK -PEDVPKASTQEVSHEAKSMLRGARDYNPIAQSICKLINTSEGKTATTYGIGYGSYIITNQHLFAHNNGEL -LIKSHKGEFTLKNTTVLKMLPCEGRDILILQMPKDMPPFPQKLNFRVPVANERVCLVGTNFQTKSTTSTV -SESCVTTHIANSHFWKHWISTKDGDCGSPLVSTRDGAILGIHSLADCNNSQNYFVAFPEDFKTRYLDTQA -HHEWVKHWRYKPDRICWGVLDIKESKADGLFKVSKLLSDLEMNSVYPQSARNTWLYDKLHGNLKAVAHCP -SQLVTKHVVKGKCPLFETYLQNDVVAEAFFRPLMGEYGKSLLNKEAYIKDLFKYATPIIIGDVDTQVFEN -VVELMVGRLRAWGMKTCQYVTDEMTIIQSLNMNSAVGALYTGKKRDYFDALSDSEKCQIVQDSCERLFNG -KKGVWNGSLKAELRPQEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYAMNLTAPWSVGMTKFYGGWD -KLLRQLPDGWLYCDADGSQFDSSLSPYLINAVLQLRLSFMEKWDIGEQMLRNLYTEIVYTPISTPDGTLV -KKFKGNNSGQPSTVVDNTLMVILAMHYSLLKLEIPITKQDEMCKYFVNGDDLLIAIDPTYEWVLDSLQGL -FAQLGLKYEFNSRTKERTELWFMSHRGLLIDDLYIPKLEPERIVSILEWDRSTQPEHRIEAICAAMVESW -GYTQLTHEIRRFYQWVLEQQPFAELCKQGKAPYIAESALRKLYTDVDVTDSEIARYLESLQPGLFEDESA -CVYHQNDNIDAGKDTRKPDKSKVGETPPTPGGTQKSESSSQMVPKNQDKDINLGTSGTFVVPRIKSITAK -MRVPKYKGQVALNVEHLLTYTPDESNLSNQIAKQIDFEQWCDKVMEELDITHDQFKIIANGWMAWCIDNG -TSPNMSGEWIMMDGTEQITYPMKPFIEHAKPTLRQIMAHYSDVAETYIAMRNTKEVYIPRYARKRNMNDP -SLAQYAFDFYVITSKTSNRAREMHAQMKAAALRNVNNKMFGLDGTVGRGDEDTERHTADDVNRNMHTLMG -VRQM - ->YP_009259521.1 CP protein [Wild onion symptomless virus] -ADKQALDAGQDEARVSSRGKKQMDTTSSSSQPPSEIAQPNRDKDVDVGTKGTISIPRLKKLNGKMKMPLY -KGKPALHLEHLIDYTPDQVDISNTRATQQQFNTWYEGVRDEYELDDSKMTVVLNGLMVWCIENGTSPNIN -GMWVMMDGEEQVEFPLKPIIDNAKPTLRQIMAHFSNVAEAYIEKRNHERPYMPRYGLQRNLTDMSLARFA -FDFYEMTSKTPVRAREAHIQMKAAALRGAKRNLFGLDGSVGSTEENTERHTTDDVSRDMHSLLGVRGM - ->YP_009259366.1 polyprotein [Wild onion symptomless virus] -MQRRVEGEVEFNCYRVGEKHHLSWSEYIETRDKTLERILMKCEIGPWGPPLSSVSIGGGRTPSAMERSEE -RKEHKILNKTRSRRVRKKLSTCKLNNTQMNTLVKDIMQIACDRNMEIILIRKKSVRMSFRTDHNKVYARV -HVKHLENKLCRRDIVNDAQVNKWLNVALRVTHGKEQHHVSEVQHGWSGTLLAKDKLIGRKPKTPDNLFIV -RGASKGRLIDARMRVSTQMMLNMNHYSTAGEKFWNGFNKTFLANRENDREHTCKTNLDVEECGSVAALMC -LAMFPCGKITCTQCVDSNVNNEGQASIENLSRKVEKYRQLILQNHSTFPHAVNILERFGNSLVDVSANYN -TFAEIQSITGGRSTALFPHINKLNAILVKGPMASKREHIESMAYLLEIARYMKNRTENIEKGSLKSFRNK -ISQKAHVNPTLMCDNQRDRNGNFIWGERGYHAKRFFSNYFNEVNPSEGYAKFEIRSSPNCTRKLAIGRLL -VPTNFEALRDLMRGEEVPPQPVTKECVSLMRGDFVHSCCCVTNESGEPLLSSLKMPTKHHLVIGNSGDPK -YVDLPTDESKKMYIAKDGYCYINIFLAMLVNVNESEAKTFTKMVRDVIMDKLGKWPSLLDVATACCLLKF -FFPDVSGAELPRMLVDHQHKTIHVIDSYGSMTTGYHVLKTNTVEQLIKFTEHALESDLKHYLVGGDPLSN -EEFEEALCDPEWNLKVLIRGIYKPHEMRENLMWNRYLPLYAMLSPGVLLALYNSGSLESLSKYFLQKDND -LVVLLIILKSLATKVSRAKSVMAQIQILEQGAPGMIEAIQGIKQRHIIPYTTAMKMLMVLASRSEANAEL -DKSGYNHLRSASIEVMEKNYLQILEDQWNELSFRAKSCAILRSSKFLISTRERLHPQGDSDLGGRYSESM -AFYFGRAKSMLKRSQEVVRNKVSKITRQVSTRCATTTLSLINYMVPDIVKFINVLCVVSLLLTILREGQH -LVTSHRTVHMANLQLENKLVNQQIEMWYNLYKSEKKETPTVEEFKEFLKVKQPHLVRHLEPETEVVHQAR -RKSEQDLEKIVAFVALILMMFDSERSDCVAKVLNKLKNIMSSADPTVYHQSLDDIQDDLSEKNLTVDFEI -TQDETTCEGLNTEHTFQSWWDHQITYGLTVPHYRTEGHFMTFTRETALQVANDISHGSYTDILLMGAVGS -GKSTGLPSHLSKHGSVLLLEPTRPLAENVHRHLAREPFYLNTTLRMRGLTSFGSTPITVMTTGFALHYLA -HNRSRINEFDFVIFDECHVHDANAMAMRCLLHGNAYTGKVIKVSATPPGREATFSTQCPVKIITEDALSL -QSFSQIQGTGANCDATQHGDNILVYVASYNEVDTLSTLLLDKNYKVTKVDGRTMKLGKVEVVTCGTPSKK -HFIVATNIIENGVTLDIEVVVDFGTKVVPSLDSDNRMMNYRKIAVSFGERIQRLGRVGRHKAGCAIRIGH -TEKGLSEVPSSIATEAAFMCFTYGLPVIANNVTTSLLAHATVKQARTMAQFELTPFYMVNLVRFDGSVHP -AIHNALKKFKLRDSELILSKLAIPNKGIRTWLTSAEYARLGSNVDDRQDIRIPFMCKEIPEKMHEEIWGI -ITKFKGDAGFGKLSSASACKIAYTLQTDITAIQRTVRIINTLIAEERRKQEYFRTVTANTVSSSNFSLQS -IANAIRSHFAVDHTVENIGILEAAKAQLCEFKNLSVDNSYMQLNNTVAQNYIQDFGALESVYHQTTHSVS -KFFNLKGRWNASLITRDILILAGILSGGIWMILQHFSTSFKDVVHHEAKGKRQNQKLKFRQARDSKTGRE -VYGDDGTVEHFFGAAYRAKGKKQGRTRGMGSKQRRFANMYGFDPDDFSAVRYVDSLTGATIDENPVTDIH -LVQEHFTAVRHVYLENELLEAQTIRSNPGIQAYFMNNKTGRALKVDLTPHNPLLVCNKFATIAGFPEREF -ELRQTGAPIEVALADVPKESTGDIVDHESASMFKGLRDYNPISNNICKLTNTSDGHINSLYGVGYGPMIL -TNRHLFERNNGELLIQTRSGEFIIRNTTQLQLFPVPDRDLILIQLPRDCPKFPQKMVFRSPTNNERVCIV -GSNFQAKSVTSLVSESSTIIHVKDSNFWKHWISTKDGQCGSPIVSTKDGAILGLHSLSNFTNSINYFASF -PADFTKTYLETSENHEWVKHWKYNTESISWGALNIQAAQPKGMFNTAKLVMDLDETSVYSQMERGRWMYE -QLHGNLKAVASVPSQLVTKHTVKGKCQMFDLYLRLHPDAEKFFTPLLGHYQRSRLNKDAYAKDLLKYATV -IELGTVDHTLFERGMRAVISELQKYGFEQCNFITDGESIFNSLNMKSAVGALYKGKKRDYFEGYTSDMKE -QILFESCERLFKSEMGVWNGSLKAELRPRVKVEANKTRTFTAAPLDTLLAGKACVDDFNNQFYDHNLVAP -WSVGMTKFYRGWDTLLSALPDGWIYCDADGSQFDSSLSPYIINAVLQIRLEFMEDWPVGKQMLQNLYTEI -VYTPISTPDGTIVKKFKGNNSGQPSTVVDNSLMVLLAMRYALLKEGVDTDKQNTVCKFFINGDDLLISVA -PDYECILDSMANHFKELGLNYTFDSRTRNKGDLWFMSHKGMQREGIWIPKLERERIVSILEWDRSKEPSH -RLEAICASMIESWGYDDLTHEIRKFYAWLLEQAPFSGLASEGKAPYIAESALRKLYLDKDVEQKDLEFYV -EQIMDGYDGDESVSVYHQADKQALDAGQDEARVSSRGKKQMDTTSSSSQPPSEIAQPNRDKDVDVGTKGT -ISIPRLKKLNGKMKMPLYKGKPALHLEHLIDYTPDQVDISNTRATQQQFNTWYEGVRDEYELDDSKMTVV -LNGLMVWCIENGTSPNINGMWVMMDGEEQVEFPLKPIIDNAKPTLRQIMAHFSNVAEAYIEKRNHERPYM -PRYGLQRNLTDMSLARFAFDFYEMTSKTPVRAREAHIQMKAAALRGAKRNLFGLDGSVGSTEENTERHTT -DDVSRDMHSLLGVRGM - ->YP_009256204.1 polyprotein [Pecan mosaic-associated virus] -MIAPPYEVTTISIGGGLKPSQIGKSHKPQWHGVNRTSPEKVRGARTKPPVALNATQFEQLCKRLRRILED -KQAQIEIIDKGVTRGKYVKKNKQTYLQVHLKHMEGHIVRTDLIISKDNQSWINFFAHTLNWKNEVCTWDV -QPGWSGFVLHQPTLLGPHSITWDGLLIVRGDSNGKLIDARRPIPLEMRAQMVHYNDIPTLYWRGFSRVFQ -LNRPELTDHTCESVFSVEKCGEVAALLSQVTNPSRRITCPKCAEIGAQRTKSELKEIFESKAQHVMSELS -VKYPEFIHARHFVELYQTMITATNTNYEAFAEIKQLIGDREDAPFSHVIKINDLLIKGGELSSSELSAAS -DHLREVARYLKNRTENIRVGSLKSFRNKISSKAHVNTSLMCDNQLDLNGNFLWGQRGLHAKRFFNNFFDE -IDPADGYQSFVLRRNPNGSRKLAIGNLIISTRLERIREQIQGEPVEEQKLTQECTSKLRGMFVYPCSCVT -HEDGSPLLSEAIMPTKHHLVLGNTGDPKYLDLPARDDMKMYIAKEGYCYINIFMAMLVNVSEPVAKGFTK -QARDEMIPKLGKWPTLQDLATACHYLTVFYPETTNAELPRILVDHQTRTMHVIDSYGSLSTGFHVLKANT -IAQLVKFANNQMESEMKLYKVGGKSTDLDNEIDNAPHIEYNENTKLKQLLKGVYRPQVMADLLKHEPYLL -VLSMLSPGVLMALYNSGSLEWAVHNMLHVNNSLAFTLKTLHVLAEKVAVSTTLHAQIQTLQSHAGAVLET -LDPAAEGDQSLHLARLVLERLCERDNTDHALIFHGFASKQAAWAEIMEKSYLAQLEASWRELSWRGKLSA -IRFSHKWRPRTTKVLIPKRSADLGGRYDISLGSLPVKSLNRVQQAYSAGCKRFNAAKHRFSMWSVGKALT -AINKMVPDIVKLVNLCILFQVLLGIIFTLRCMLDNYREVKSKVALNEAIEMNHRVDLIYRLLHAELSRPP -TKKEFRTRVEEISPHLLGSALQYYHGTDEEDTDVKTYKDVITLQSKGRGQNKLEQIIAMAALVMMMFDSE -RSDAVYRSLQKLKFLTTTADDCMTFQSLDDISDVLTEKKQTIDFTLEGAESNTSQVGNTTFGQWWENQLM -SGNTLPHYRSEGHFMRFTRETAADVAHRIASEDYMDILLSGAVGSGKSTGLPSLLSKRGGVLMIESTRPL -AENVCKQLRCEPFYLSPTLRMRGLSVFGSSPITVMTSGYALHYLANNTAELKQFKFIIFDECHVIDANAM -AFRCLLHECNVDAKIIKVSATPPGREEEFQTQYPVDIVIEESLSLKQFADLQGTGARCDMLSKGDNILVY -LASYSDIDTLASMLHDKDHKVTKVDGRTMKSGSTEIKTCGTPTKKHFVLATNIIENGVTLDIDVVVDFGL -KIVADLDVDNRRFNYMRAGVSYGERIQRLGRVGRFKKGTALRIGVTNKGVAAIPQAVATEAAFHCFTYGL -PVMAHNVSTSLLSKCTIRQARTMQLFELSPFFTATLVRYDGSMHASIHDILKKYKLRESKVILNKLAIPS -NVVSHWLTTDEYGRIGNNIIVPANTRIPFYLKDIPDQVYQNIWESVVKYKGDAGFGTVSCANVCKIAYTL -RTDSLAIPRTIAIIDALMAEERQKAAHFADITSNSCSITGILHRQHCSAYKSKRMENHTVENISKLQAAK -DSILNFENTELEYESDNETYNGKELNRKIYNTGALDAIRFQSKEEVSAYFGLKGRWNKPLIARDIIVTLG -VFLGGSWMIYEWFKEQWSDTITLQGKMSKRQKQKLKFRQARDHKTHFEVDADDDSLRHYYGEAYTKKGKK -SGKTHGMGKKNKPFVNMYGFDPTEYSFIRYVDPVTGATKDEGPLTDLSLVQDYFGDLRKQLLDEGELEKQ -SLTRGIEAYVVKNLNTQALKDRSHSTQAIVSEQCVKQCDGFSEREFELRQTGQPLIVHPSIVPDKNENHD -EIQFEAKTKMGGLRDYNPIASVVCQLTNETDGQFSTMYGIGYGSMVITNSHLLKRGNGVLTVKSRHGEFV -CRDVDALRIVQCEMRDVILIRMPRDFPPFPSKIKFRTPESNERICMVGSLFQDKCITSMVSDTSPIVPCP -DNHFWKHWISTKNGNCGLPMVSTRDGTIVGIHCGSSDYHDHNFMTSLPDDFTENHLKNPESLNWQRQWKF -NTNNIVWGSLELSDGTPKDQFKISKIITDLFSDSMKFQGLEESWFMAQLSGNLKAVARSSSQLVTKHVVK -GKCMLFSLYLSTHPEENAYFQQYMGAYGKSRLNREAFSKDLLKYSKPITVGQVDADAFEMATETMFEMLR -DLGFQECEYVTDEEAIFEALNMKAAVGALYSGKKKDYFANYTTEDKANILKASCERLFLGQMGVWNGSLK -AELRPMEKVEANKTRTFTAAPVDTLLGGKVCVDDFNNQFYSLNLKAPWTVGMTKFYGGWNTLLESFTNEW -VYCDADGSQFDSSLSPYLINAVLRLRLEFMEEWDIGECMLRNLYTEIVYTPILTPDSTIVKKSKGNNSGQ -PSTVVDNTLMVILAIVYSLIKLGHPYRTHKDIIKYFVNGDDLLIAVRPDMEGVLDEFQSLFASLGLNYDF -SSRTRNKEELWFMSHKGLKLDGMYIPKLEEERIVSILEWDRSAEPIHRLEALCAAMIESWGYERLTFEIR -KFYQWVLEQSPYSELAKEGKAPYIAETALRKLYTSQDPTPSELAKYFEEFDLTRYMHDEFDSITFQANET -DETKDAGASAQSASKKKDKGPMDNPPTKEKDVQPPLTSAPRNDGVGSSDPHTTQDVNPVADRDVNVGTTG -TFQLPVVKAITGKIRLPRMKKQVALNLDHLLTYMPDPVDISNTRATMRQFDEWCDGIMNDYDIKPEELKL -VLNGLMVWCIENGTSPNINGMWTMMKGDEQLEYPIRPLIDHAKPTFRQIMAHFSDAAEAYIVMVNTKRPY -MPRYGLQRGLNDRNLARYAFDFYEVNSRTPNRAREAHHQMKAAALRGSSNRMFGLDGKANTEGENTERHT -TDDVKQDMHSLLGVIN - ->YP_009224125.1 polyprotein [Iris severe mosaic virus] -MAFVCKNSECRYGCFRGEGFSKRYIKLSFPRGTCDLCGSFFVSKEEALENAANLQKLAAKLCAGPAAYGG -RAPAVARQEVVEQAETEGLVIKPQPKEQIPTEKMESTEQEALPSTEYAPVGQDPVSTPEVVEVVHIAKLK -APVSATPVHESSAKVFLGADRSVKPARKVWVPKVQRLECKAVQEIAKADPFTNPQFFFGSLTQFVVDNQE -KQQITKPIAAKRMNLFKNCKKVTVHSAKKVVVAQSVDFPAEQIILTKINANQDNEVITKIVKHDCDQVCD -ERSKPIWKQPHKRVKPNIIHCKNERIIENLMAFTQNIMKKRDINVCVIGRRPAYFNAHVRSNRKEYFAMT -NHQIGKFKRTDLPNEKIINDFVRLAAEKNHAFTPIDEKDITFGDSGLIIHHDRLNGRFEKHGSGALVVRG -RESQLVDARSELTPHRIQGIEHYSKALEQRGALFWDSLNANSTKYKKKDVDHNCENTYDIEESGLYLTLL -IQMLQPCGKIGCEDCHKKLSQMSADELSKAVVNEQLMQAAQTKLKGYRRESLNNLAQIIHQKCSSIAVDF -DIVRSITLATNGKTQSPFTHIQRVADELIRAENGNEGLSHLLEITRWIINKLKQSKELPLQFKNKISSKA -HANFALLCDNQLNQNGVFQWGERGVHAKRFFSRYHDIITSSAEYEKYKVRKHVRGERCLAIKNLLVSSDF -NQLRKSLKGEQIAFTTIGEQCFTRLNGEYLYNCCCVTLDNGQPLLSNLKLPTQNHLVIGNTGDSKLIELP -EIPEGGMYIAKEGYCYINIFLAMLVNIQEENAKDFTKRVRDSIIEKLGKWPTMKDVATACYYIAAYFPSV -RTAELPRIFIDHENKTMHVIDAFGSMNTGYHILKAGTVEQLFQFASDDNTGELQNYNVGGDYWYPQNFNC -SLKEMEEIEAEYERERRSFHSQASAIEDDINIAEEEEEAFSECENFEVENREIEEISDSSTSEGNATPSG -QYRQQFRDTWEEQRRQLLSAEAENETTERQIESEELHEMYNNPNERPQTEIEVFRILMKAVFNKEKFEKL -IKKEPYILLIALISPSAMRLLYKDGLLTQAINMMMGLDEEMASLITYIHQLSRNVTMAEAFDTKMHIISM -ASQNILDKIDAVPQGSQIYKTIHAMLHVSMVRHQADYTLAQNGFFLNMDGIYEKKEDFCVQIINECWSEL -TLYQKLSYSWQRRNSEKLGIDSRVDERFTTSRETWNGYISTRLKASANGTKTYAKTCLARVQNKYQTAKQ -RFLTSTIVTAYNCLPEVIKVVNVLIITKMLIEIYKSVGGMLKHMREMKEQAKAFEEEQIWRRLMIQHKVL -SKELGEDPTEEEFINHLKRVDPMLADYMEKYYAIDVSFQAGTPSTRKLESAIAATALVMVIFDSNRCDVL -IKILNKLKTIFAALNFGVRFEALDDILQSDTDNNLTVDFEITTNERSDTPRLQITFNEFWQNQMSCGRTV -PHYRSNGTFIEFSRDKLHTIAHTIDNIEDTDLIIRGAVGSGKSTGIPSSLMLRGAVLLIEPTRPLTENVA -KQLMGAPFHLSPTVMMRGKVTFGSSPITVMTTGYALHYLANNINRINNFKYIMFDGCHVMDANAMALYCL -LKDNGMFKGKILKVSATPPGRESEFTTQFPVTLNVETALSFDEFVDVQGTSSNACVTSKGDNILVYVASY -NDVDTLSRKLTSKGFKVTKVDGRTMRLGATEIVTEGTQDRKHFIVATNIIENGVTLNIDVVVDFGMKVTA -QLDADNRMMNFTRVPITFGERIQRMGRVGRIKNGHCLKIGHTEKGLPEIPQSIATEAAFYSFVYDLPVMT -GNVVTSSLGNCTRMQAKTMSQFELPIFYTLELVKENGAMHPEIYKHLKKYVLRDTQISLEKTALPFSGIS -RWLTINQYNRLGAHVTTEIEGMRIPFYTNNVPDKLNESIWDTCLKYKSDVTIRGITTSQAHKIALVLQTD -VASIPRTVGIIEKLIEHENVKHNAYNMFNSCANGATLSSLENIISCIRSRYLIDHYKENIEILNRARSQI -LEFKNLNVDVDKIDVIQAYPYLSTVEFQGEDEVAQNLNLKGKFDKLKIATDVLLGIGTLVGGSLMLWQIC -SEQFNKPVEFQGWKRKERQIRFKKAKGMQEMKMVWGDDETMQSNFGEAYTSKEKRKGKTHGMGRKENRFY -YIYGFDPSDYDTIRFLDPLTGATVDIDTYVLDVVSAGEQLREIRNKMIASEEIDPQMDMANKRIKAYVIK -TGAKIALELDLTPHNPFLVQRQHDTIAGYPDKEGQLRQTGMARQVDISCVPKPHKPVIEDVVFESKAVKL -GPRSYTPQAEMIVMINAFREGGMYTIHGIGYGPYILTNSHFFQHEHTSMVVHTLHGKFTVEDMKTLSILK -LPERDLAIIRMPKDFPPFSRRLQFRYPVENENILLLKANFNERIITPAVSEVCGTNQYQNTHFWKHTIST -KLGYCGLPLVATKDGEVVGIHSLGGPSTGENYYVSMPSKLIENFIDRAELHEWTHTWMYNPETISWGNLR -LQDSTPGGMFKVTKAIGELLNLVTMQGLSVPEYTWLTKHIGGNLKLVGTCPGQLITKHVVKGKDPLFQTF -LSLDSESKAFFEPLCGHYGKSNLNKAACVKDFTKYSSDIIVGNVKTDVFEEAFENSYEILVNSGIIECDY -VNDTQAIFDSLNMKAAVGTLYGGKKKDYFADFSEEDKAQIIMESCERLYYGKLGIWNCSLKAELRCREKI -EANKTRTFTAAPLETLLGGKVCVDDFNNQFYECNLKGPWTVGISKFYGGWNKMLNKLPDNWIYCDADGSR -FDSSLTPYLINAVLRMRLRFMEPWDIGERMLRNLYTEITYTPIATPDGSVIKKFKGNNSGQPSTVVDNTM -MVMFTMQYALLRTGIQFQNQDEKIVYLANGDDLLIAVHPDCVHILDEFEKIFKELGLNYDFSSRTKNKEE -VWFMSHRGKLINGMYIPKLEMERIVAILEWDRSTMPENRLEAICAAMIEAWGYDELIMHIRKFYKWVLDQ -YPYADLVKQGKAPYLAETALLKLYTNVDANEQQIENYVKAFGDLHDTNPVINVRFEGDSEDAARLTRSNR -DKDVTAGTSGTFTVPRMKAMSTKMKLPKFGGNAVMNLEHLLIYKPEQEKLFNTIATQQQFEYWYENLKAA -YDKNDEEMKIILNGLMVWCIENGTSPDLSGNWVMMDGDEQVEFPLIPVLKYAQPTFRQIMAHFSDAAEAY -IVMRNAKEPYMPRYGIRRNLTDRNLARTAFDFHISKSDTSTRAREASIQMKAAAVKGKPRRLFGLDANVG -ANEENTERHTSDDVNETMHNLNGVRI - ->YP_009221992.1 CP [Jasmine virus T] -SGEEKVVDAGQDGEEKPKDDKGKGLEREIIIPKGEENSLKHRDKDVDAGTMGTYQVPRLKTITAKMNLPR -YQRQLAINLDHLLQYSPKQVDLANTRATKRQFETWYDGVKSDYDVSDEGMQIILNGLLVWCIENGTSPNI -NGVWVMMDGDEQVEYPIKPLIEHAKPTFRQIMAHFSNVAEAYIEKRNMTEPYMPRYGLQRNLTDMSLARY -AFDFYEMTSKTPVRAREAHIQMKAAALRNASTRLFGLDGNVGTKEEDTERHTADDVNRNMHNLMGVRGL - ->YP_009221980.1 polyprotein [Jasmine virus T] -MGTHAGSGANIGALPREFASSGNNKGTTTLNHYLVRAAEEQQAKHRSILDNAMKKVTPRPIDLRTHYMRF -DKRGRAQAVKIPDWKLKRAEKRREAKEREERIFDQLTPYPVTQISIAGGELPRYTPYTIKWPLNKTPSRK -EVKALPRAVRLGQSELNALSSAVAKICAKMQKSVTLIGMGRAKPVHTRYIRRQGANFLQVNLEHHSGRRK -RVDVKISTSHAQIMTALVHASSWRKQDAWKYVCPGYSGVVLSVENAKEPTGRCGNDFFIIRGKHEGKFYD -ARSRITRSVMLSMIHYSDAAQKFWKGFDETFRKHRPVMHHQCESNFNVEECGQVAALICQCIYPCGRITC -EKCATNYTKIQKEDLKCQISDQARTTMNIIGAKHPAFKHMLSFLQAYMNPTVDLEESFSGFADIIKTVGD -RKEAPFTHVARLSNLIMLGSTASAEERQERVNCLLEIARYLNNRTENIQLGALSHFRNKISAKAHLNMAL -MCDNQLDTNANFVWGLRDTHAKRFVQCYEPIDPYRGYEKYRIRRNPNGERLLATTNLIMSTNFATLRASM -EGIQVPRHKLTTACTSKLRGNFVYPCCCVTLEDGQPLESTIRMPTKHHLVIGNSGDPKFIDLPNPLKQGL -YIAKEGYCYINIFLAMYVNINEQDAKDFTKRLRDIVIAQLGMWPSMMDVATACYMMTVLYPEAGNAELPR -ILVDHTCKTMHVIDSYGSLSTGYHVLKANTVNQLIQFASNSMESEMKHYSVGGLVDTTKTDVAGIKLLIR -AIYRPAILREILESEPHLIVMSILSPGVLMAMYNSGTFEEATRRWITKDQSLTNVASMLSLLACKVRMAS -SLTMQSQIIDAHASNLLEGMITGTRPNLSYALAIQTLLKFKERTETDQSLIDVGFASFRERSLPITEKIF -LQELEDSWRDLSWWEKLSQIRRSQKARRLISKPLIPEKSADLGGRYDTSLIYSVGKIKQRVVTFTTQKCS -QVKECISRITRLSYAKPLSCINYLVPDILRFVNVAIVVSATLSVLNVLQGTISNYKQAQYYRRELEAQER -YKRLKQYYYALSKKIGMQPTTDEFIEYVRRIDPKMEEHATELVGAAMVNVSWQAKNAEQIKFERIVAFIS -LVLMAFDSERSDCVYKILMKLKNLIGTCEQDVHFQSLDEIQTHLEEKNLTVDFEMDGVETAESLVTESTF -QQWWNNQLEQSRVVTQYRTEGHFMEFTRANAAAVASEIAHNQFNDILLRGAVGSGKSTSLPYHLSKKGQV -LIIEPTRPLAENVCKQLRGEPFNVNPTLRMRGLTTFGSTPINVMTSGFALHLFANNPSQLEQYRFVIFDE -CHVIDSMAMAFRCLLAEFNYSGKIIKVSATPPGREVEFSTQHKVDLIIEESLGFQQFVSGQGQGVNYDVI -TKGDNILVYVASYNEVDMLSKLLLEKGHKVTKVDGRTMKLGSVEIETSGSSARKHFVVATNIIENGVTLD -VDVVVDFGLKVVPELDIDSRMIRYKKVSVSLGERIQRLGRVGRNKPGVALRIGYTEKGLPSIPTIAATEA -AFTCFAYGLPVMTHNVSTSLLSTCTVRQARTMLQFEMSPFYMANLVRHDGSMHPEIYKLVKCYRLRESEV -ILNKFAIPNKCVPNWLTSTQLAQMGVRIETDKEIRVPFVVKDIPDKLHRDIWDAVVKFKKDAGFGRISSH -SAAKIAYTLQTDIHSLPRTIKIIDSLITDELTKQAHFKAMTNLSCSSSNFSLSSITNAIRSKYATDHSTQ -NIEKLHMVRAQLMEFCNLNIDPSASEQLRSFESLECVHFQSKSGMAKHLSLQGMWKKNLITRDVLVLLGV -VGGSAWMLYELFRARTNEAVHFQGLNKRQREKLKFRRARDAKVGREVYGDDGTIEHYFGDAYTKKGKSTG -TTKGLGRKNRRFVNMYGYDPADYSFVRFVDPLTGHTIETSPHTDVDIIQKEIGDIRMKRVIDDDLSLDKI -RSNPGITAYFVKDMSQHALKVDLTPHNPLASCRNVATIAGFPEREGELRQTGHPKQVPISEVPEVTEEDG -VEFESKALFKGVRDYNPIASVVCQLINESDGASETTYGIGFGPLIIANQHLFKRTNGQLTIKSQHGEFLV -RNTNSLKFMPLIGRDIVLIKTPKDFPPFPQRIRFRAPKDSEKVVMIGTNFQTKSTSSLVSEASVTLPYDR -THFWKHWISTKLGHCGLPLVSTNDGYIVGIHSLANNDLSKNYFSCFPEKFEEEFLRTPENIDWVGKWKFN -KDSVCWGDMRLRDSAPIDLFKVSKLVSDLESDFVHTQSKTHYWLRERLHGNLRAVASMENQLVTKHVVKG -KCSLFEIYLQTHDEERKFFKPLMGAYGKSKLNREAYIKDLLKYASPITVGEVDCDIFEQALQSVITHMEK -KGFDQCEYITDADTILNSLNKNAAVGAQYKGKKKDYLDSFTTAQQEELVFESCKRLYLGKMGVWNGSLKA -ELRPMEKVNANKTRSFTAAPIDTLLGGKVCVDDFNNKFYEHHIKCPWTVGMTKFYCGWDELLESLPNEWI -YCDADGSQFDSSLSPYLINAVLQLRLHFMEEWDIGEQMLRNLYTEIVYTPIATPDGTIVKKFKGNNSGQP -STVVDNSLMVFIAMVYSLLSSELNGFSWEMVCKFFINGDDLLLAVHPYFEHLLDNMQETFGQLGLKYDFS -NRTRDKGELWFMSHQGIKYEGKYIPKLEPERIVSILEWDRSKEPEHRLEAICAAMIESWGYTRLTHEIRK -FYSWVLEQSPYNSLAETGKAPYVAETALRNLYLEVEATETELLRYLEGFNHGHEETMFEDVHFQSGEEKV -VDAGQDGEEKPKDDKGKGLEREIIIPKGEENSLKHRDKDVDAGTMGTYQVPRLKTITAKMNLPRYQRQLA -INLDHLLQYSPKQVDLANTRATKRQFETWYDGVKSDYDVSDEGMQIILNGLLVWCIENGTSPNINGVWVM -MDGDEQVEYPIKPLIEHAKPTFRQIMAHFSNVAEAYIEKRNMTEPYMPRYGLQRNLTDMSLARYAFDFYE -MTSKTPVRAREAHIQMKAAALRNASTRLFGLDGNVGTKEEDTERHTADDVNRNMHNLMGVRGL - ->YP_009162372.1 polyprotein [Lettuce Italian necrotic virus] -MATLLNLRHSWQLPKYNEAFGTTYTKYHLARALCTENNGFEYDWDDDVYVCYKCKHAFNNKEEIHEHDCT -DDEITLDDFMPVGYMTQPIISNTTDEHAEVSTEEEVVEDVYMEQPPEMKIITIMFGTIPTDVAVIPETTV -NPPQLNVSNTVLDTLTKELTEKLKARDELVIRQRSDGSLVYKEKFWRQKKKNKDKALETPQDTINEVYTP -AIVTKISIAGGLRPSAMAEAYPEKRVHALSKRQKKLNTKKKLHLSSDQLKNFETALYKIMCKKECSFEIV -GEKEKVLTGKYTRFNAKPVIKVDTLHETGIKRKKDLMISTAQTAQLKLMMQVTAMKSRFDSATLNRGTSG -FVFVRDQLKGNCGRSFHDIFIVRGRINGMLVDARSKLTHSLVCVLHHYSSVAQQFWEGFTGTFVHNKPKD -LTHECTSDFSVKECGTVSALITQTIFQFGKITCKKCALEFADLTRQEMTDRLNKEVDGTIRCIDREFSQF -VHVKEFLRVLKASYNSINRNINEFTEIKRLIGDRKDAPFAHINKMNELLIKGNEMSSDDWDLTMKSLLEL -ARYQNNRTENIVSGSLKSFRNKISNKAHVNLSLMCDNQLDMNGSFKWGKRQYHAKRFFQDYYDTIDPSEG -YDKYIIRRNPNGSRKLAIGKLILSTNFNTLREQRRGEPIKTQELTQACVSKSKGNFEYPCCCVTLENGLP -LESDMKMATKHHLVIGNSGDPKIVDLPKEADNQMYIAKEGYCYINIYMAMLIDVSEEAAKEFTKKARDLA -IQELGQWPTLTDVAASCYLLASFFPDTAKSELPRMLVDHETQTIHVIDSYGSLTTGYHVLKANTVSQLIK -FADNDLQSEMKHYRVGGLVNGERSIVTSVKLLIKSLYRPRLMREILLEEPYILVLAATSPAVLIAMFNSG -SLEYAIQFLLNTNQDAVTILTILSTLAKQVSVARTLKAQFDVLNQHASILLRALDTANGNTNHSYAFARM -TLERLVAMNEMDSGLDELGFTAFRSASMEVIEKSYAQAIQESWDGLPLCSKLRYMRVLFKQRYSGTNCLD -PQTISAFGDKLKPLPLLSLDRLTRSAQSVASYVQTTAKVRSKIIVRSVTRKLFRTINYLIPDIFAFINTL -VVFSLLFTILNNVQSMVQSYNVHRMNVQRAMECEKFDRVQELYLVLKHKIGQTPSREEFIEYVLSIDPSL -KRELMLLIGDDEEVQHQSKKEIKILEKCIAFTTLILMMFDSERSDGVYKILSKFKGVMGTIDSQVHHQSL -DDIKEEFIEDKETITFILNEEESRVSQVTDATFEQWWHNQLASNRVIPHYRTEGAFIEFTRATAATVVNR -IAHESPKDYIVMGAVGSGKSTGLPHLLGQRGKVLMLEPTRPLAENVCKQLRGDPFYSSPTLQMRDHSSFG -SSPVTIMTSGFAFMYFANNPSLLQNYNFIIFDEFHVTDARAMAFWSLLKEHTYQGKTLKVSATPPGRSST -LSTQHPVNLIIEETLTFNQFVSAQGTGANADIIQHADNILVYVASYNEVDSLSKMLVDKGHLVTKVDGRT -MKMGGTAIETKGTPYKKHFVVATNIIENGVTLDIEAVVDFGTKVRADLDVENRTISYGKVSISMAERIQR -LGRVGRHKPGVALRIGSTEKDIEAIPQMAATEAAFLCFTYGLPVMTSNVSVSLLSKCTVRQAKVMQCFEI -TPYFTVNLVRHDGSMHQAIHDLLKRYKLRDSRIVMNKMAIPNGVVPQWLYAKHYNAIGKRLDLDDSVRIP -FYTKDLPERLYADIDLTVRKYKGDAGFGRIRSSNVASIAYTLRTDSQAIPMTLKIIDKLIESEMQKRAHY -ESAFGYSCSEANLSIGAMMAMIKSRYVRDHSTENLSKLQEVKARLQEFMNLEVDDSADIQDILQARYVGD -RGELECVMHQSIEAMSKHLELKGRWNGTLVVRDVIMMGGVLIGGGWMIYEYMKEKWNEPVVHQGANKRSK -QRLRFREARDKKIGYIVDDSDGVAEHYFGSAYATKEKKKPTVHGMGKKTRRFVNMYGFDPEDYSQIRFVD -PLTGATLDEGINADISVVQEHFGKIRQAMVLDDQLDAQAVSHHNSIEAYYFRNISAQALKVDLTPHEPLA -VGNNSTSIAGYPERRGELRQTGKPMHIVKDDIPKSNEIDLDTLVSHEAKSLMRGLQDYNPIANAICKITN -DSDGIERTLYGVGYGPYIIANQHLFKYNNGTLTIRSKHGVFTIKNTTQIDILPVDKHDILLIKLPKDFPP -FPQKLRFRPPTSTDRVCLVGTTFQEKSSTSKISDTSLTARCDDSTFAKHWISTNDGDCGLPLVSIVDGCI -VGLHSLRNFSNTCNFYAVIPESFETVFLKTAATREWVRKWRYNPDGILWGGLDIKESAPSVEFKPVKAVT -NLLHDIVFNQSKDKWLMSAIKDNLQAVAECPNQLVTKHVVKGKCMLFDVFLREHPDQAEYFKPLMGFYDK -SKLNKEAYTKDLMKYATPIPVGDVDCAAFEEAWDAVVAMMRRKGFVDTVCVLDTDSLFSSLNMKAAVGAL -YQGKKKDYFANFSQEDKDNIIMESCKRLYLGKKGIWNGSAKAELRPIEKVLANKTRTFTAAPIDTLLGGK -ACVDDFNNQFYSLNIHCPWSVGMTKFYRGWDELLRKLPDGWIYCDADGSQFDSSLTPYMINAVLNIRLEF -MEECPLVEKMLSNLYTEIIYTPISTPDGTVVKKFKGNNSGQPSTVVDNTLMVILAVTYSLIKLGYKPEEH -ENICIYFVNGDDLLLAVHPEHQHVFDEFREIFASVGLNYTFDSRTTNKENLWFMSHRGILIDECYIPKLE -PERIVSILEWDRSSLPEHRLEAICAAMVESWGYTELTHRIRQFYSWVLEQAPYNSLAAEGKAPYIAETAL -KRLYTCVEPKTEELNRYIDQLMNFDDGYEDLCVFHQAKDEVNTGLEQPKKTQETPQSMQPSTSSNKDMDV -NVGTTGTFQVPRLKKMTKNMNIPKVKGKTILNLDHLLQYNPDQTDLSNTRATKNQLAAWYEGVKREYDVD -DSAMNIILNGLVVWCIENGTSSELRGVWTMMDGEEQIEYPIKPLMDHAQPSFRQIMAHFSDIAEAYIEKQ -NSERAWMPRYGLLRNITDFSLARYCFDFYQKTSKTPVRAREAVTQMKAAALRNASTRLFGLDGNVGTKEE -NTERHTAEDVNSTMHHLLGMRGV - ->YP_009143308.1 polyprotein [Catharanthus mosaic virus] -MATMFFGQFEIPVPKTFTPETCGKIAQLAPTQSIDFKHKIYIKQGKMYVQQYTENVNRAFDKIQARHTSD -AIHVIKKKGLMCYRPKTQNELEKEEKERIALEKFEAYFNKGHIIDTIDINRDEEGPQEWQPLEPMKKIFT -CKSMKRKTKKPTVKGDTIFINKLVRATANLVRSRPNLKIEFIGKKSMKAQTGMTAGRRCLQFECLHMKGI -KRRLDISIDKSVYSDLEIFAEKFHKSPLNVDHLTRGSSGTLLLTKHMRGNFISQLPIFIVRGRHSGRLIS -ALAKQSYWTTLAMTHYSIADEFWRGFDASFLAHRGDKPNHECQRDIPVQEAGELAALLNLSIQQTWKITC -RKCFADVLSNVEIMKAQYFINNINRLLPIVRSKGHEYQHIVRVFESIIDMMPQQNTALHEQQEVMKIVGH -RTEAPWSHLMTVNSTLIKGGSAKTEEFNRAQQALLEITRWFNRRTEMIQAGSLQSFRNKMTGKTQINLAL -MCDNQLDANGNFLWGKRGYHAKRLFANYFEPIDGSNSYAKHSVRKSKNTTRKLAISNLIVPLDLERARLH -LSGELITPVHQTSEACVTRKGRDFHYTTCCVTTDSGDALLSPILNPTKRHLVVGNTGDSKIVDLPEVESK -SLYIAKEGYCYLNIFLAMLVNVNENDAKDFTKKVRDVIVPMLKEWPTMEDLASACYLLSVFFPETRSAEL -PRILVDHSGKTFHVMDSFGSLSTGYHILKASTVSQLISFAANDITGEMKYYNVGGFHYNPEMHIVHTLIK -SMYNPVLMKEVLTEEPYLLLGALMSPAVLIEMERNKHFDTCIDIWVTKDMSVSATMSILKKLTGKVSRTQ -SLLEQLTLMQMEADKLLHTMCDGFKLSHSYMPVYLMLNWMKQNVEANRELVNDGFVVKFDGVDELIEKNY -RQMLQKAWQEQKFCGKFRYFMLRGRSQISSLFSGDAKTVGDTTQALRNSSSAVVSQTVSLMGNATNKCKQ -IVNNGKQTISNFLTNSIVNIFSRFVSVYIKYANTIMVLLVLTQFTKVAKELLVVHKRGKMYDVLLEEQER -EREIDDLYVQFVREHKTIPTHSEFTQLVSVEKPHLLYLITHSKQGKTVEHQSKGENTNNLEKYMAVAALV -ALVFDSARGDAVYRILTKIKTLTSVASQDVYHQSTDDYMNLLLEKEHTIDITLDTNGMHTTKTFDTTFEQ -WWNAQLREQRVVPHYRFGGKFIEFTRETVQVAVNQINTDVDMHEYLIRGNVGSGKSTGLPHALSKKGHIL -VLEPTRPLAENVCKQLRKEPFFSNPTLRMKGLSAIGSSPITIMTTGYALHFFAHNRMQLAEFKYIMFDEC -HVLDASGMAFFCLLSETQYTGKILKVSATPPGRECDFKTQHPVSVNIEDTLSFEQFVNNQGTSCNSDVIS -KGDNILVYVASYSEVDRLSSLLTHKNYKVTKIDGRTMKLGSVDIRTVGTKDKKHFLVATNIVENGVTLDV -DVVVDFGIKVEPILDMDNRMICYRKVNISFGERVQRLGRVGRFKKGHALRIGSTEKHASEIPSMLATEAA -FLSFAYGLPVMTHSVIVSALDTCTVKQARTMLHFELSPFFMREMVAVDGTMHPEIHRLLVPYKLRDSEIM -LITRSSRSSAELRWLSAKDYRRLGAMNECEDDVKIPFFVKGCSERFYTSIWETCCRFKKDAGFGSLSSAN -VSKIAYTLQTDILSIERTVKIIDKLIESETIKHATFKHNTSDILCNGSFTLMGIANAIKGKYMVDHSLHN -INTLHAARAQLLEFKSLNVDPNMPELLNTFGVLDLVQHQSEEEVSKALQLKGRWNKSLLSKDIIVMLCVL -GGGAFMIYDSFKTEANRPDVQHEGKSKRTKQKLKFRNARDAKMGREVFGDDGALEYNFGSAYEAKKKRGT -KGTVKGMGAKRHKFYHVYGFDPTDYSTVRFVDPLTGATLDENPYADMSLVQEHFDLIRHQKLMEDEIEAQ -HLYSQPGIVAYYLNNASKAALKVDLTPHNPLLVTKRTNTISGFPERVGELRQTGEAQVVSFEQVPKDTSV -LHEGASMVPGVKDYNPISNAVCKLINESDGHKRTLYGVGYGHFIITNRHLFEHNDGKVIVKSKHGEFLIP -NSTSLMLLPVPDRDILVIKLPKDFPPFPQRIHFSAPEEGMTVTMVGALFQERSQTPLISPTCATFRKDGS -HFWKHWISTKDGQCGTPFVEVKTNAIVGLHSLGSCNSKTNYFVGFPHNFVDEFITKENTEAWRKCWRYNP -DQINWGSMNVKRNIPTGLFKVSKLPYDLNLEEVVEQGSTTNWLVPHLTSNLEPVMQFDGQLVTKHNVKGE -CPLFQTYLSAHPEAKSFFQPLMHEYGPSILSKESFIKDFTKYAGPIVVGTVNHAAFEQGFQQLIHKMEQI -GFTKCNYILDTDTIMSSLNMKAAVGALYQGKKKEYIEQLTSEEKDQLIQGRSSAEFTGRMGIWNGSLKAE -LRPTEKIRACKTRTFTAAPIDTLLGGKVCVDDFNEKFYAFNIRAPWTVGMTKFYRGWDQLVGSLPKGWIY -CDADGSRFDSSLSPFLLNAVLRLRLHFMEEWDIGEEMLSNLYTEIIYTPIAVPAGLIIKKFKGNNSGQPS -TVVDNSLMVCMMMEYSKAKYQPLLMTEDNVRYYCNGDDLMIAVRPDSVDFLNSLQSSFKELGLDYDFSHR -TENIEELWFMSHKAQLHEDLYIPKLEQERIVSILQWDRSTEPAHRLEAICASMIEAWGYAELLHEIRKFY -AWVLEQQPYAALASEGKAPYIAETALRHMYCGETIKIDDIEVYLKAIVKEHNRIFEEDLDDDVYHQSGET -KTVDAGGDQQLVKPKEKDVDAGSSGKVTLPKLKKMSGKMRMPTIKGKVILNIDHIIQYEPNQIDISNTRA -TKAQFENWYERVKYEYDVDDQQMSILMNGLMVWCIENGTSPNINGMWTMMSDDTQEEYPLKPIIENAKPT -FRQIMAHFSGAAEAYIEMRNSKEPYMPRYGLQRNLTDMSLARYAFDFYEVTSKTPNRAREAHMQMKAAAL -RNTSSRLFGLDGNVSAEKEDTERHTTDDVNRNMHNMLGVRTL - ->YP_009126870.1 coat protein [Tamarillo leaf malformation virus] -ADKLDAGASNQTGKNVEKKDNQDKTLAPRQNVDQVQNIDRDVDAGSSGTFSVPRLKAIPTKMNLPKVKGK -QVINLKHLLQYKPEQYDLSNTRATHQQFSHWFDAVKSAYEVTDDQMQVLLNGLMVWCIENGTSGNLQGVW -TMMDGAEQVEYSLKPIIENAQPTFRQIMAHFSDVAEAYIEMRNRDRAYMPRYGLQRNLTDLSLARYAFDF -YELTSRTPVRAREAHMQMKAAAVRNDTNRLFGLDGNVGTTAEDTERHTAQDVNRGMHNLLGVRQG - ->YP_009126730.1 polyprotein [Tamarillo leaf malformation virus] -MALEIFQSFFDDNMLFRSEKPGFDFMACPMLIGALPINVTLPKVPVVVEVPKVEEPNKYEPVVVTEGYEN -EYNPFDLFIPVTAQMERQVDLHHGGKLRKLALLKKRVVKRKPMKWVYKWQGGDHEVTHITQSIAGGPMPS -EEPEVSWGAKATSKKTVKTKRQRLFVNASVKSLEGFLFNEMKRRPSLSVTYTEKGKTLKVYTKECNNSRM -AFTATAHMERNCRLCVDLSVNTTQGYFLSRVASKFNSYRMVNADSLTHGSSGLIMLKSVLMGDVSSHRDH -LFIVRGRIGTTLYSAQEILSVSQAQLIRQFTDEQISKEFFLSFCKTYKQNRPEIEHTCSRGVPVSKCGEV -AGLLTQLLFPSGKITCLQCFAESSYNVPCTKKEFSSRVEKCCDVITQEYPEFSHVVGILQNLITRVKHNP -NIDTCAEIKLLIGERTKAPFSHVLQLNELLMKGLSMTNEDHSKAAGQLLEVARFLKNRTENMILGSLGNF -RNKVSAKAHINPTLMCDNQLDRNGNFVWGERGYHAKRFFVNFFDEIKPEEGYQKYVTRKCARGERELAIG -NLVMSTNFETLRQQLSGKPTKHFPITEKCISKRDDNFLYSCCCVTLDDGKPLTSDVKTPTKRHLVVGNSG -DAKFIDLPEVLNAGMYIAKEGYCYMNIFLAMLVNVNEAEAKDFTKFVRDTIVPKLGKWPKMIDVATACYM -LRVLYPDVHNAELPRILVDHENETMHVLDSYGSLSTGYHVLKANTITQLCEFASYSLDSPMKEYRVGGSL -NDQVVESTVRTLIRSIYKPKLFAEIMVDEPYLLALCVVSPSVIMAMYNTGNYEKALAYWLQKDMALSNMI -TILMILAQKLSVADVVTEQNVLISQHSGSMLEAIFRGTQPCISYTLALNYLMVKGAQSEMDDVLRATGFN -TISEASQVFAEKNYVDLLNAEWDALSWYAKFCVLKSSSKHLKFGRAQLKLKKSVDLGAVYRFSPGQLLMK -PPPIHKHVSGAINGVRNTFSKMLRMLSVKWWNCVNYFIPNMYKFVTVLSVILMLTAITRETHQFTVQMRS -WKMHEVQAKADAFEDEIDKLISIYDFKHGRLPTVDELRKLINVNNKELLAYYDEYYGDEKITLQKGKKEF -AYMERIIAIITLLIMAFDAERSDGVYQILNKFKGVIGSAERETIRLESSLDDICNIQEDKLMTIDFNLDT -DDPVMEELEGVSFRKWWDNQLNRGNTMPHYRTEGQFIEFTRSTASQVASEISHSQVKDWLVRGAVGSGKS -TGLPYHLSKKGKVLILEPTRPLANNVFKQLQAQPFMISPTLRIRGKSSFGSSPITIMTTGFALHFYAHNV -LQLKEYDSVIFDECHVSDAAGIAFRNLLHEYSFEGKVLKVSATPPGREVEFTTQHPVTIKVEEALSFQEF -VVAQGSCSNADVVQLGDNILVYVASYNEVDSLSKLLVTKGFMVTKVDGRTMKESGLEIVTKGTINKKHFV -VATNIIENGVTLDIDVVVDFGMKVKPILDLDNRAIQYTKCEISYGERIQRLGRVGRHKSGHALRIGHTVK -GLVDIPEMIATEAAFLCFMYNLPVTTQSVSTSVLEKCTLQQAKTMAQFELPFFYTMNMVRHDGSMHPAIH -NILKKYKLRDCNTVLNKLAIPNKNLNSWLTTDQYRRVGYTVPLEKIKIAFLSKDVPDRVHEDIWEAIEKH -KSDAGIGRLTSHQVSKVAYTLQTDVHSISRTITTINQLIANERRKQSHFEAITSKTCEFSSYSLNSIFTA -IRSRYSTNHTQQNIEILTKAKDQLLEFCNLSHDKHVEEVMANFGYLETITFQSRNEVAKFLKLEGHWNKS -LATRDFLVMISVAIGGASMLYSWFKKETNESISLEGKKNQRHKLKMAKAQTERHHYVVDGDEASLTHYFG -SAYANKGKVKGTTRGMGHKNRRFVNMYGFDPSDFQFVRFVDPLTGVTLDESPQADISLVQNSFGEHRAKC -VEDDDLSPQMIAARPGIKAYYVNNLAKKALEVDLTPHISLKMSDTANKIMGFPQRENELRQTGPARAIDI -KLVPNKNEAIELEGVSLANGIRDYNMISNVLCKLTNNSDGERMSTSGIGFGPYIITNKHLFRSNNGELEV -ITQHGQFLVKNVTALQLHLIPDHDMLLIKMPKDFPPFPQRLKFRMPMREERVCLVSTNFQTKSLSSMVSE -SSVVVPMPNSTFWRHWISTKDGQCGLPLVATKDGFIVGIHCGSNVMTSNHFTHMPEKFQDILMSGATLDW -VKGWKFNINAISWGNLKIKDSQPEDPFVTSKIIQDLVDETIVVQAQTQKWVHDTIEGNLRPVARSESQLV -TKSVVKGKCQLFNLYLSTNEEAEKFFRPLMGEYMPSRLSKEAYLKDFMKYSSPIVVGDVNIKRFNKAVEQ -LIWMLTDKGFEENSYITDEFDIFNALNMKAAVGALYKGRKRDYFASSTPEDLANYLKESCKRLYTGQLGV -WNGSLKSELRPIEKVNLNKTRSFTAAPVDTLLAGKVCVDDFNNQFYSLNLKAPWTVGMTKFFRGWDTLMR -SLPEGWVYCYADGSQFDSSLSPYLLNSILQVRLHFMEDWDIGAEMLKNLYTEIVYTPILTPDGTIVKKNK -GNNSGQPSTVVDNTLMVIIAMYYAMNSREIPMESVVFFVNGDDLLIAIEPKYQDELKYFQGLFLELGLKY -GFDDITLRREDVEFMSHKAILRDGCYIPKLDKERIVSILEWDRSSEPTHRLEAICASMVESWGYDELTMN -IRKFYLWVLSQAPYKQLAENGKAPYLAETALRKLYLNDEPTQDELLRYTDCIRGTFDDENIEEEIYLQAD -KLDAGASNQTGKNVEKKDNQDKTLAPRQNVDQVQNIDRDVDAGSSGTFSVPRLKAIPTKMNLPKVKGKQV -INLKHLLQYKPEQYDLSNTRATHQQFSHWFDAVKSAYEVTDDQMQVLLNGLMVWCIENGTSGNLQGVWTM -MDGAEQVEYSLKPIIENAQPTFRQIMAHFSDVAEAYIEMRNRDRAYMPRYGLQRNLTDLSLARYAFDFYE -LTSRTPVRAREAHMQMKAAAVRNDTNRLFGLDGNVGTTAEDTERHTAQDVNRGMHNLLGVRQG - ->YP_009110712.1 polyprotein [Asparagus virus 1] -MDCIQIGSMIISIPTAQASTLACTAVKPTSTKPTQADLKMVGFHPKEPTRVASVTPVLVKKALFKKAEVE -KSALDKWAEAYENANHRKDDKIVTTRRGVTRFVRKNRKDLRRERDELAATAREKLAQEIRHLKIRRNENQ -LKNSHVSIISKISIGGAPRSASEEEITPVQKWGLNKTASSKTCKKQTHLTVSNGEFKKLLRSLAQIMREK -ASTIEIVEKTTLRARSKEFKGRQRMFVDVLHQTGIYRSFDVKMGSWTESVFGYMFKYFEKGPIIHHTKIQ -PGCSGLVVRRSSLKDCISGNHTEFFIVRGRIRNILCDARAFIPYESLFDVHHYSSLGEKFWKGFTNVFLE -LKPTQISHDCTSDLDVVRCGEVSALVCQTVFPCGKITCNKCVESYTLLDEESKKAQIQQSLERIEKDLTH -KYTDFPHVHEILKVFGNSLLIPNKNYKAFADIKHMIGDRTEAPFSHVNKLNEIIIKGSMSTTADYFDASN -TLLEVARYLKNRTENIQKGSLAAFRNRASAKAHINTILLCDNQLDKNGNFVWGKRGYHAKRFFKNYFELV -DPSNGYAKHIVRNGPNGERQLAIGHLVMSTNFQTLRLQLEGNQVEKFPVTKACISKRNENFIYPCCCVTT -ETGEPLESPLYTPTKGHLVIGNTGDAKYIDLPEQENERLYISQEGYCYVNVFLAMLVNVNEDDAKNFTKI -VRDDVVRKLGKWPTLIDLATACYYLTMFFPETRNAELPRILVDHKHNTMHVIDAYGSKNTGYHILKAGTI -AQLILFASESLDSEIKEYRVGGLIEDTAVVQTGIKTLIRSIYRPKMFKELLLTEPYLITLSVLSPGVLLA -MYNNGSFEQATQKFITHNDSLATISTTLALLATKVSLAQTLNEQCNIIDAHAQLLLQAIGEGQSEISHTK -RLAKEALQVMIAQNENDSSLLDGGYFVLRESSKGFTEKKYLRLLEESWEDLNLLEKYYAVRASYSWRRVI -QRPLNPTKGADLKGRYDISINALLKKASEAMNVIVRKNLAKGELVYKNVTRKGLELSYSACRYLIPDFMR -AVSVLTVLSLFVSLIAALNNMLVAHKHLKMKNFEFEQQMTDRTLYKLYKSLKRNMKGNPSLEEFRDFVGE -RNPELVKKIDPDEFDLEGVQHQHKSKSQDTLQKIVAFVALIMMTFDADRSDCVYKILNKLKGIIGTIEYE -VQHQAIDDIKLGLEEENTKIDFEVSTDPQLDKTFSEPTFSDWWSNQLTNNNVIPHYRTEGFFMEFTRQSA -IEVSNQIAHGPHMDILLRGAVGSGKSTGLPYHLSKRGQVLLLEPTRPLAENVCTQLRGDPFHTNPTLRMR -GMTSFGSAPITVMTSGYALHYLANNPHLLRDYNYIIFDECHVSDSSAMAFRCLLHEYSFNGKIIKVSATP -QGREVEFKTQFPVTLITEEHLSFSQFCEAQRTNAKCDVATSGENILVYVASYNEVDQLSRMLVERKFKVT -KVDGRTMKVGKVAIETHGSVGAPHFIVATNIIENGVTLDVDTVVDFGQKISPTLDVDNRCIHYSKQCVTY -GERIQRLGRVGRHKQGKALRIGFTEKGQVAIPDIVATEAAFLCFTYGLPVMTHNVSVSLLSKCTVKQART -MHLFELPAFYTVNLVRYDGSMHKAIHDLLKPYRLRDSEIILNKMAIPTHGLTTWMKAIDYNKIGKKLELE -AETRIPFYARDIPEMLHRRVYEAMLRFKGDAGFGRISTASACKIAYTLQTDLQSIPRTVKLIDMLIEKEQ -QKHAHYQLAISTSCSSFSYSLSTLSDIVRRTYQKDHSLENISILQAAKAQILSFNTISEDFSYAEQSTSY -LCESVLEHTATECIYHQSEGELAKHLKLKGYWNKSLITRDIIIMLGVIGGGCWMAYSYFTEKFSEEVSHE -GWNRRQKQKLKFRNARDEKLGREVYGDDGTLEHFFGEAYTKKGKTKGKTRGAGTKTRRFINMYGYDPADY -SFVRFVDPLTGRTLDDSPYTDIGLIQDHFADVRDEMIANGELDRQRLHLGNANRIEAYFINNATKKALQV -DMTPHNPLLFCKSGTAIAGFPEREGEFRQTGAATPIDIEKVPKQCEASVVTHEGKALFGGLRDYNGIASV -ICQLSNESDGHVETMFGIGYGPFIVTNQHLFKRNNGKLTIRSRSGKFIIEDTTVIDMFPCKSFDILIMRL -PKDFPPFPQRLNFRQPQSGEQICMVGSNFQEKSITSVISATSETVPFPNSHFWKHWITTKNGYCGLPLVA -VKDGNIVGLHSLANFAQTQNYFANFPENFQDSILNSTESLNWVQRWKYNSREICWGKLKMHESVPDGLFK -IHKLISDLSNADVFQQSQQRKWMYEALKDNLQAIAQTPNQLVTKHSVKGKCRLFDLYLRQNEEANTYFQP -LMGAYQKSVLSREAYIKDIMKYSTPIIIGKVDCDAFETVVDFFIIQLREFGIETCNYITCHEDIFNSLNM -KAAVGALYTGKKRDYFKEFTEGDKEEILKQSCFRLFSGKFGVWNGSLKSELRAREKVEEGKTRTFTAAPL -DSLLGAKSCVDDFNNQFYDLNLKAPWSVGMTKFYCGWDNLLSGFPEGWIYCDADGSRFDSSLSPYLINSV -LQIRLSFMEEWEIGAQMLKNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNTLMVIVSMKYSLFKL -GIKLENQDSICKYYVNGDDLIIAIRPDHEYILDGLQEIFYTLGLNYEFNSRTKNKADLWFMSHQGVMREG -KFIPKLEKERIVSILEWDRSDEPVHRLEAICAAMIEAWGYDELVHEIRKFYLWVLDQAPYNALALEGKAP -YIAETALRKLYLNEEPSELELGAYQKAFEEEDEESEAIFEVSHQANDTVDAGASGSGSQQGQTKEKQPRE -SRPALDKDVDVGTVGTFSVPRMKVVSDKMKLPKVDGKVVLSAEHLLQYKPPQLELSNTRATHSQFKSWYE -EVKGAYGMDDANMQIILNGLMVWCIENGTSPNINGNWVMMDGEAQVEYPLKPIIENAKPTFRQIMAHFSD -VAEAYIEMRNTTEKYMPRYGRQRNLNDYQLARYAFDFYEITSRTPNRAREAHFQMKAAALHNTKTRTFGL -DGKVGTQEEDTERHTAGDVNANMHSLLGMRSM - ->YP_009091824.1 polyprotein [Carrot thin leaf virus] -MASIQFGTLPAVSLAITQDGARINAPKELKPTRTTYVSVGRTLIAKNEAMVSKAFEQLNAKLKTTMERHD -RSRVVKAGPRWRLREFTEQQIKEFHARQLKRLRSEANFQQTEHMVSKFSIAGGAMPSETQAGSCCLQRGK -PRALSQRRVSTMHKKIFASESAVQNFVNQIAKISIEKLMTVEIIGKRSRPLKLQTVKFNDGRYVKFPVKH -LQGHKLNVDIHLSAEQESLVYPFIRASAYKKDVSMHQITYGCSGLAIGAQQVTKGTMNEYTIVRGSFRNK -LVDARTYVDNHTKLSMTYYSSIGEEFFNAFSDKFVELREEQNHTCESNFDVKEVGEVCAIVWQTLMPCGK -ITCRRCAQDFRMGQDSHENFKLARRLERAQHEIKTNHKEFPHALELLSTCKFPLRGLPSNDGEFQEVKRL -LDEKKTAPFSHLTLINEKLLEMSLYSPHEAQAASKALLEVTRWHVKRKEGIEGGSLTAFRNKVSAKSYFN -MSLTCDNQRDQNGNFKWGERAYHAKRFFSNYFETIDPAQGYSQYVVRLNPNGSRKLAIGNLIVTTNLSNF -RNQMLGESIEELPLTNSCISKVKGSFKHNCCCVTSDEGIPLYSEMKAPTKNHLVLGNAGDSKYVDLPTDA -SLSLYIAKEGYCYVNIFLAMLVNVNEQDAKNFTKQVRDMAISKLGKWPTLMDLATVCYQMTLFYPDTSDA -ELPKILVDHKHNMMHVVDSYGSLTTGYHMLKANTVSQLVQFANDQLESEMKFYQVGGKFEGIRVGGDAMK -LLIKSIYRPGLMKEIIEEEPYLIVLSVLSPGVLLALANSGSLEVGIRRWIRDDAPVARMFAAIYTLAGKM -TLARTLEEQLVFIRQASPILFEEVVHGCKLSASYQVALETVTLIHERNSTDNSLFEIGFKTLEDKSAAML -EKSYLRELEESWGELSLYGKYLAVKQAYKYRNVSSEFFNPAKQADLKGRYHISLRSCLIRTRDGFKSGVT -RGVNYVGQGFFRMLTASLTFSLRRISKHLPEVFALMNVMLVLSLFVEILNKLQIFVLNHRQLKLESLERK -GDDEWLELMSVHKLMKAQNGEDPTIEEFEEYIHNYHPRLVGVMKEALGDDVQHQGKKRIEEIQMERIIAF -VSLVMMMFDAERSDCVYKILNKLKGLTNTIATDAVQHQSLDDYQTIDLEKNLTVDFELDTNDHIGTSPRE -HTFEQWWENQISRGNTIPHYRTEGKFMTFTRASAASVVNEIAHGVHKDVLLQGAVGSGKSTGFPFHLSKK -GKVLLLEPTRPLAENVCKQLASEPFYTNATLRMRGSSVFGSAPIHIMTTGFALHYLMNNQQLLNEYDYII -IDECHVLDANAMAFRCALVEYGFHGTIIKVSATPPGRETEFQTQHPVKLLIEGNMSHESFVNSLGTGANS -DVTSRGDNILVYVASYNEVDSLSKKLIDKGHSVTKVDGRTMKMGSVEIATVGTSKKKHFIVATNIIENGV -TLDVDVVVDFGTKVVPILDVDNRCVRYNKTCVSFGERIQRLGRVGRNKPGTALRIGATEKGLVEIPAKIA -TEAAFLCFSYGLPVMTNNVSTSLLANCTVRQARIMQQFELDPFYMINLVRYDGSMHPAIHDILKKFKLRD -SETPLNTFAIPYSDVPRWLTVRDYRKVGVNVNLDEGIRIPFYVKDVPEKVTQSIWEAVTNFKNDAQIKPL -SSASAAKIAYTLKRDIHSIPRTLQILDGLIQSEMERREHYLALTANSCSGTNFSIMNVVNSIRSRFVTDH -SADNIEKLSRARDQIREYKNLHTDVSDLETLKAYGSLECVQHQSKDAISKHLRLKGIWNKSLMVRDTLVC -AGVFVGGAWMLASWYFSKSGEIVEHQGYNKRQRQKLSFRNARDAKVGREVYADDDTMEHYFGEAYTKKGK -NSGKTRGMGSKKRQFTTFYGCAPDDFSLIRYVDTLTGHTIDADPLEPAHRIQNQFFDQRMKLIAEDMLEP -QHLDTDKAQHIEAYLQRKNTTNVLRADLRAHVPTLVCKNGNIAGYPERENEMRQTGALRQGVMPDKNEFQ -EFKHESKALFKGLRDYNPIASIICKLTNDSSEMRQTLYGIGYGGFIITVQHLFRQNNGTLFVQTRQGEFT -VKNTTQLKMFPCLGRDVLVIQMPKEFPPFPRKLQFRSPIKNERVVMIGSNFQQKSTSSTISDTSPIIPRE -NCHFWKHMISTKDGDCGLPMVSVNDGCIVGVHSMTSVTSTANYFTDFPESLKTEVLDSPEAVDWIKNWNY -NVNTVCYGPMHIIESKPTGMFKPTKLVSDLMREMVAVQGAQTNWLYDQIEGNLKAIAHVPNQLVTKHVVK -GKCTLFETYLATHPEEEKTFQPYMGAYAKSALNKAAYTKDVMKYSTTITVGTVSTDNFEKAVKSLIANME -EWGFDKCSYVTDEEAIFNNLNMKAAVGALYSGKKKDYFADYSVQDKETIVAESCKRLYMGKMGVWNGALK -AELRPIEKVQANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSMHTKCPWSVGMTKFYGGWDSLLKSFPDGW -VYCDADGSQFDSSLTPYIINAVLQVRLHFMEDWDLGEQMLKNLYTEIVYTPIATPDGTVIKKFKGNNSGQ -PSTVVDNTLMVIIALYYSLHELGYERSQFDQVCKFFVNGDDLIIAVNPSEVQMLDELQSLFRQLGLNYDF -SSRSRDIKELWFMSHQGMEREGKLIPKLEIERIVSILEWDRSTEPEHRLEAICASMVEAWGYDWLIHEIR -KFYSWVLEQFPYNELATQGKAPYIAETALRKLYLDVDATAEELEAYGDIFQWEDEDEETVYHQEDKDADK -VMNAGIGDQPKDKGKNTETDANKQLSASKDKDVNVGTSGTFAVPKLKGMASKMRLPKVRGKTVLNLEHLI -QYNPEQTDLSNTRSTHNQFNNWYDGVKKDYELNDDQMEIILNGLMVWCIENGCSPNINGMWVMMDGEEQI -EYPIKPLIDHAKPTFRQIMAHFSDAAEAYIEKRNAEKAYMPRYGLQRNLNDPSLARYAFDFYEMTAKTPN -RAREAHLQMKAAALRNASNKLFGIDGKVSSQEEDTERHTTDDVNRNMHSMLGVRTM - ->YP_009091808.1 polyprotein [Vanilla distortion mosaic virus] -MATTIQFGTFAPMVINYNQACKDGACSIRAEQMAKGIAPSAPPAKPAFDLTEEVFKMRPQLKERTEMGIV -RIRKGVLTYKPKGLKYKYVREGTQNTRPRDPCHMEKETIPWPANIMEVSSISIGGGPAPSTTTSPVNSGR -KPTATSRKQTRKVATPRTTLTPSNIDKLIQTISNIARRKGTIIEIVERRTTKCAYSHTRKGKLLRVETLH -HKKKIRMVDVHIDSWARKLIQLMHKTRGAARKWNTRELTAGDSGLVIPQSNLIGRAMRKMDNLFIVRGTL -KGQPIDARLKVHKNNIKNVVHYSNVAGKFWQGFDKSFQREREPVTSHTCESNFDVTRCGEVAAIICQALF -PCGKITCNKCARDFESLTDSERLEKVGSRIRAAQDKIHEEYHEFTHTASTLRSVIRGSHAEVGEYELLTS -VQKLIMHRKNAPFTHVLRASELSVKGQAMSKDEITELQAALLEIARYLNNRTENIETGSLHSFRNKISAK -AHINPALMCDNQLDKNGNFVWGERGRHAKRFLVNFFDKIEPTRGYSEFVVRRNPNGSRRLAISNLIVSTN -FETFREQIDGEQITNVGLTNACVSKINNNYEYTCCCVTHEDGRPVQSDFKMPTKNHLIVGNTGDPKYVDL -PTDISANLYIAKEGYCYVNIFMAMLVNVNEADAKDFTKMTRDTVIARLGKWPSMTDVATACYFLATFFPD -VLNAELPRILVDHETKTMHVLDSFGSKDTGFHILKANTVKQILKFASNALDSEMKHYLVGGRLDIAQGDA -VRLLIRGIYRPPILKEILTEEPHLIILGLISPSVVIEMHRSGALEEAVKTYVSIDTRTAAIFEFINQMAQ -QCTRAQALREQHAIIERNAKELIELLNSSEGRHISTQMAMAFLICIDHRRDCDKNLVELGFTSIRSRSIE -LLEKNYKQELEASWRELSWLEKFYAIRQSYARPVFTVESSKQSTRVDFTPPSMELSKRYFIHCREALHRA -AHTACAKVKGTLDRQRKRIRDKCFSVVNYLLADLIRLVNILAVISIMLTIFQVLYEYTLMHRREKARLAE -LEFNANTKQLNKLYKLWMLEHEEPPTEEEFKEYITKHNPVVAKWLQDSEPDTMVVHQVKQHSCQQLEKVV -AFVALVMMMFDAERSDCVYRTLNKFKGIMGSIDSGVFHQSIDDMRREAEDKLKTIDFVIDTDAVAPSPLT -DTTFQTWWNGNIERNNTIPHYRCEGKFLEFTRATAASIAHEIAHSDISDYLIRGAVGSGKSTGLPFNLQQ -RGHVLLIEPTRPLVENVFKQLQGEPFYLRPTMRMRGNSVFGSSPVTIMTSGFALHYLAHNITRIEEYKFI -IFDECHVNDSSAMAFRSLLHEFKFSGKVLKVSATPPGRETEFTTQHPVKIITEESLSYEQFARNQGTDVN -SDVVKHGHNILVYVPSYNAVDTLSELLTKRGFSVTKVDGRTMKSGNVQIRTHGTEAKKHFVVATNIIENG -VTLDIDVVVDFGTKIVATLDSGDRAIRYQQVSISYGERIQRLGRVGRFKEGTALRIGHTEKGLQEIPVMI -ATEAALYCFLYGLPVMTHNVDTSIIGNCTLRQVRTMSKFELPLHYTCNLVRYDGTMHPAIHSTLKRFILN -DAEILLNKQALPYIASRNWLTAGMYDRLGFRNDLPPATQVPFWCKDVPEQMHERIWEAITTYKSDVAIQP -MTSHSACKIAYTLRTDYTAIERTIAAIDQLIADEQTKKAYFTAATEHSLQSSKFSLQTIITAVRTRYATD -HTGENIRILQNAKAQILDFKNLNTQADLSGMDDEQLTSKVKAYKHLEHVHHQGRDLVKSLGLKGRWNRAL -AAHDLLITVGVAAGCGWMLYEWFMSTLKKPVFHQAKGKRQAQKLKFRESRDKKVGYVVEADDKTIEHFFG -EAYTKKGKTKGSSKTRGMGTKNRKFTNMYGYDPADYSFVRFVDPLTGYVIEDSPYTDINLIQEEFTRERL -RMLGEDEIEMQHMQSQNTIQAYFIKNLATDALKVDLTPHRPLAVGNNSNSIAGFPERENELRQTGHPIIV -PATQIPKMRVDTVSHESKSLFRGLRDYNPIASMICHLTNTTTETSLHGVGYGSLIITNKHLFRENNGELV -IRSRHGEFIIRNTCTIKMKPVPQCDLVVLQMPKDFPPFPQRLIFRHPIEGEGICMVGSNFQNKSITSTIS -ESSKTYPLPQSKFWKHWISTTNGQCGLPLVSTRDGNIVGIHSLASFNSSVNYYAVIHADFQKTYLDDLDA -IAWQQHWKYNPNEICWGGLKLQSSQPDSTFRISKLINDLDEHVAVHEQAGIHRWVGDVVQGNLQLVASST -SQLVTKHSVEGKCPLFEVYLQTHEREREFFTPLLGAYGKSRLNREAFIKDIMKYSKPTVVGDVDHELFQQ -ALEGTLIMLKRVGMDTCNYITDTEEIISSLNMKSAVGAQYTGKKRDYFSGMTDEQKDELLMKSCLRLFKG -EKGLWNGSLKAELRPMEKVNMNKTRVFTAAPLDTLLGGKVCVDDFNNKFYSLNLSAPWSVGMTKFHKGWD -TLLNALPDGWLYCDADGSQFDSSLTPYLINAVLELRMNFMEGWDIGEVMLRNLYTEIIYTPILTPDGSVI -KKCKGNNSGQPSTVVDNTLMVILAMRYALLAEGIPNGKQDDICRYFVNGDDLIIAVAPEHEGLYDGMQAR -FAQLGLNYDFSSRTRNKTELWFMSHQGVLRDGIFIPKLERERVVSILEWSRSAIPEHRLEAICAAMVEAW -GYDDLVHEIRKFYAWVLEQAPYNELAQQGKAPYISEVALKSLYTSEEATESEIQRYLSACLDFYLNSEED -HTVFHQGDKIDAGSSLRKDKEAVPATGGGDAGEHNRQIPGNQQVSKPDRDVNVGTSGTFPVPRIKTMTSK -MRMPRVRGKTALNLDHLLLYVPDQLDLSNTRATQKQFDYWYDNVSREYEVDDSKMQILLNGLMVWCIENG -TSPNIAGEWVMMDGTEQVTFPIKPLLEYAQPTFRQIMAHFSNVAEAYIEMRNMKEPYMPRYGRMRNLNDM -SLARYAFDFYEITSKTPSRAREAHMQMKAAALHGSVSNMFGLDGNVATTSENTERHTASDVSNNMHSLLG -MRM - ->YP_009010967.1 CP [Narcissus late season yellows virus] -SGKQTLDAGVEEERNNPKSKEKRTFDDPSTKSPTTSKDKDVNVGVSGSFPVPRLKMITRKLTLPLHNKRI -ALNLDHLITYTPRQVDLSNTRATQRQFDTWYEGVMTDYDVDEGKMSIILNGLMVWCIENGTSPNINGVWV -MMDGEEQVEFPLKPIIEHAKPTLRQIMAHFSNGAEAYIEMRNYQQPYMPRYGLQRNLTDMSLARFAFDFY -EMTSKTPIRAREAHLQMKAAALRGTQNHLFGLDGNVGAKEENTERHTTDDVNRNMHSLLGVRGV - ->YP_009010942.1 polyprotein [Narcissus late season yellows virus] -MAAITINTTASTKITMATHLAQLHSASTFTFNGCTFAITTNPPRKPDPTPTIKTRRAGMQARMEWEELQW -EVARFGDKQRRKEHGETHKESTDAELTWKELRQAQLAGKALDKSLRECHCGPWGPQVNGIALTAIGKVER -QPLQVVKKTPLNQTRSRKVSKEKPNFVKLSNTQLNAFCKQLLKISCRFHKAVEVADRSVAARLKFEYFNG -GTYARAHVHHMDGRMLSVDMSSTPFVDKILDSAMRVTSGNRTHKSDEITHGWSGLILNRDKLNGIRTHMT -RKSLIVRGKDGRHLIDARTRVSTMRMRRIRHFTSPGEKFWKGFNTAFLTNRVYDREHVCETNLDVEECGT -VAAIMCLAMYPCGRITCLKCVDDNINNEGQATQHKISEKVGKAIDIVREKHPSFTHALMIMERYMNSLAD -VSSNYDAFAEIQGITGGRNISAFAHLNKLNAILVKGPMATQVEFLEGMTHLLEVARYMKNRTENIEKGTL -KSFRNKISQKAHINPTLMCDNQLDKNGNFIWGERGYHAKRFFGNYFDVINPIEGYSQYEVRPNPNGSRKL -AIGRLLVPTNFEALREQMRGECVKPQPLTKECVSMLRGDYAHACCCVTTDGGEPLLSNLRMPTKHHLVIG -NSGDSKYVDLPASEGNKMYIAKNGYCYINIFLAMLVNVNESDAKAFTKMVRDVLIDKLGTWPSLLDVATA -CCLLKFFFPDVSNAELPRMFVDHESKTIHVIDSYGSQTTGYHILKTNTIEQLVKFTEFGLESDLKHYLVG -GDPLAEETTEEILSDPNWNLKLLVRGIYKPTVMRENLIWNRYLPLYAMLSPGILLALYNSGSLESLITYF -LRKDNDLVVLLVVLESLAQKVSKSSSVLAQLRILEQGAPHVIEAVQNIKQKHVLPYNTVMKMLMVLSARS -ETNLELDAAGYNQIRVTSIEVMEKNYLQILSDQWKELNWSSKLLVIFRSSKFSMRTRKCLVPESTADLKG -RYSESITSYFGQTRQKLQEIKQRVSQKVQEGTHAARLYTSRRACSIINYMVPDIVKFMNVLLVVSLLLSI -ARECQRLLLAHKEMKTQVAQIKEDKDAQQINLLYKMYMMEHKETPTKEGFLAYIEKQQPELLAYFHSDEE -VEHQVKRRSEQELEKVVAFIALILMMFDSERSDCVAKILQKLKNLISSAEPDVYHQSLDDIQDDLSERNL -TIDFELAQDESQTQAPMREKTFQDWWDQQIMRGTTIPHYRTEGHLVTFTRSTAAQVANDIAHDNKTDILL -MGAVGSGKSTGLPFYLSKKGNVLLLEPTRPLAENVHKQLAREPFYTNTTLRMRGLSVFGAAPITIMTTGF -ALHYFAHNRGRLNEFDYIILDECHVHDANAMALRCLIHDNQYNGKLIKASATPPGREITFNPQYPVNIIP -EENLSLQGFAQSQGSKSNCDVTQHGDNILVYVASYGEVDILSALLLEKSYKVTKVDGRTMKTGKVEIVTN -GTPHKKHFIVATNIIENGVTLDVEVVVDFGTKVVPFLDCDNRMMCYNKVPINYGERVQRVGRVGRHKPGC -ALRIGHTEKGLSEVPSSIATEAAFKCFTYGLPVITNNVSTSLLAHATVPQARTMAQFELTPFYMVHFIRF -DGSMHPALHSLFRKFKLRDSEIFLNKLAIPNKGLRNWMTGAEYARLGCQVDDRHDIRIPFVCKGIPDKLH -TEVWETITKFKADAGFGRLTSAGACKIAYTLQTDVTAIQRTVRIIDTLIAEERVKQEYFKTVTSNVVSSS -NFSLQSIANAIRSRFASDHTRENIGVLEAAKAQLCEFRNLNMDHSFTRINDTISQNFLRDFGALETVQHQ -STHEVSKCLGIKGRWNTSLITRDVLVLAGVFGGGIWMLLSHYATAANEVVHHEAKGKRQQQKLKFRQARD -NKNGREVYGDDGTIEHYFGAAYRAKGKVAGKVRGMGAKQRRFVNMYGFDPEDFSAVRFVDPLTGATLDEN -PHTDIHLVQEHFTVLREEKIGLDELDVQKVRMAPGIEAYYMNNRTGKALKVDLTPHNPLRSCDKKATIAG -FPERELELRQTGAPKPIRIEDVPKEQEEDGVNHESESLFKGLRDYNPIASSVCKLTNNSDGHTDSLYGVG -FGPLILTNRHLFERNNGSLLVQTRSGDFLIKNTTQLHLFPIPDRDLILVRLPKDVPRFPQRLVFRSPIDN -ERICMVGSNFQAKSVSSLVSESSTTLHVKGSNFWKHWISTKDGQCGTPIVSTRDGAILGLHSLSNFANSI -NYFTSFPEEFAKQYLETHENHEWAKHWKYNTDSISWGSLNIKAAQPKGLFKTSKLVMDLDDTAVYSQMSR -GGWMRDQIHGNLKAIASVPNQLVTKHTVKGKCQMFDLYLRLHEDAREFFTPMLGFYQKSRLNREAYAKDL -LKYASVIEVGVVKSEIFEKVVDVVIKELRQLGFQTCNYVTDENSIFEALNMKSAVGALYQGKKRDYFLNF -TPQMKEDILYESCERLFKGEMGVWNGSLKSELRPIEKVEANKTRTFTAAPIDTLLAGKVCVDDFNNQFYD -YHLQGPWSVGMTKFYGGWDELLSKLPDGWVYCDADGSQFDSSLSPYLINAVLHIRQEFMEVWDIGERMLS -NLYTEIVYTPISTPDGTLVKKFKGNNSGQPSTVVDNTLMVILAMRYSLLKAGIPTEEHNGMCRFLVNGDD -LLLALSPEFENVLDNLASSFSELGLKYTFDQRTRDKGDLWFMSHKGIKREGIWIPKLEPERVVSILEWDR -SKEPEHRLEAICAAMIESWGYDELTREIRKFYNWLLSQAPYSGLAQEGKAPYIAETALRKLYLNKDVDQS -DIMRYMEAILEDFDDQTPLEVYHQSGKQTLDAGVEEERNNPKSKEKRTFDDPSTKSPTTSKDKDVNVGVS -GSFPVPRLKMITRKLTLPLHNKRIALNLDHLITYTPRQVDLSNTRATQRQFDTWYEGVMTDYDVDEGKMS -IILNGLMVWCIENGTSPNINGVWVMMDGEEQVEFPLKPIIEHAKPTLRQIMAHFSNGAEAYIEMRNYQQP -YMPRYGLQRNLTDMSLARFAFDFYEMTSKTPIRAREAHLQMKAAALRGTQNHLFGLDGNVGAKEENTERH -TTDDVNRNMHSLLGVRGV - ->YP_008992253.1 CP segment [Zucchini tigre mosaic virus] -SKDGAVDAGVNAKLKEKEEKEKEKEKEKEKEKEKEKTKDEPEASNQNSESKTDGERDRDVNAGTVGSFTV -PRIKTFTDKMVLPKFRGKTVLNLNHLIQYNPQQIDISNTRATQSQFDKWYEGVRTDYGLNDNEMQVMLNG -LMVWCIENGTSPDVSGVWVMMDGDTQVDYPVKPLIEHATPTFRQIMAHFSNAAEAYIAKRNATERYMPRY -GLKRNLTDISLARYAFDFYEINSKTPDRAREAHMQMKAAALRNANRRLFGIDGSVSNREENTERHTVEDV -DRDMHSPLGMRK - ->YP_008992091.1 polyprotein [Zucchini tigre mosaic virus] -MSSLYYLSARSQADIRLAHKRGLGFMEHKLERKGDRGNTKYWGEFHVCYGAKLLYLMSNATPSTCKQFLE -LSNTEKAQVMEKCSKALISGLTYDFNSEVWICPECGECEDKFFKKCECGTKFFFSERTLMKSLSTLKYKS -DLTYEQIDALDISYMMSMLADEEAEGESPMGESEYETSSNPILTVCGEKIFEEVKGPVFTPNVEEAWTIK -IGLIDVPLVHIRETPVTVGQENSLLKSTGFTLSTPSEPITESLEDKVEEAVQLALEVGNVIAEKKEFKLK -PYKSSNLAWNRVLISHKKARHEMREMMQQRLEKERNIFSDLERRLNLRHRRKNQRVVRDKRGTYRWKNKK -QTRKQNEVFPVTDSIVNTIDHVQHEPALWFNADTRGLKCATSKRLKTPQKFVRLKGAGVVSHVTRALCKI -AKTQSLTIELIAGRKKRVIRQRGGRSYVDLKHMIGLKRRVDLEDSKEMHMLFEGVCDSLVKKFTVKAESL -SKGSSGLIMKPSFGSLVGRFKGNYFIVRGRCDERLLDARSKLTWNTVMNMEHYSDVAEKFWLGFNRAFLR -HRKPSDHTCSSDMDVTMCGEVAALATLVLFPCHKITCNACMGKVKGRVIDEVGEDLNYELERLRETLNSY -SGSFGHVSTLLDQLNKVLNARNTNDGAFKEIARKIDEKKESPWTHMSAINNVLIKGSLATGFEFERASDH -LREIVRWHLKRTESIKAGSVESFRNKRSGKAHFNPALTCDNQLDRNGNFLWGERQYHAKRFFVNYFEKVD -HGKGYEHYSIRKNPNGVRKIAIGNLIFSTNLERFRQQMVENHIEQGPITRECISLRNNNYVHVCSCVTLD -DGTPATSELKTPTKNHLVLGNSGDAKYVDLPTLEVDSMFIAKEGYCYMNIFLAMLVNIPEGEAKDFTKRV -RDLVGAKLGQWPTMLDVATCANQLVIFHPDAASAELPRILVDHKHKVMHVMDSFGSVDSGYHILKANTVN -QLIQFAREPLDSELKHYLVGGDLFDPTMDCLHQLIRRIYKPNDLLELLKDEPHLIVIALMSPSVLLTLFN -SGAVEHALSYWIKRDQDVVETIVLVEQLSKKVTLARTILEQFNEIRQNARDVKEIMERGSRPWISYDRAL -TMLEMFANSHLTDEGLIKQGFSTLDPKLRVAVEKTYAALLQEEWNALSLRQRLYLRYYAYKARPSFSRYL -KPTALADLNIVYDFSPRHCAREVGKALWYPVQICKKVVTRVACKSGEFARRSVVRGCQYVFKDLLQFVNV -ILVLSLLLQIFKTIQGYAHEHRQLQKFNEEREREQEFRELESLYAKLVLKTGEQPTVEEFVEYVKIKQPS -LVEKAVLLTSKVVTFQAKTNNEKKLEQIIAFITLIMMMVDTDKSDCLYRILNKFKGIMASDATNAYHQSL -DDITDLFEDKQLTIDFDLSTDDQINRGPIDVTFEKWWDNQLSSNHVTSHYRIGGHFLEFSRSNAATVASE -IAHSSEREFLIRGAVGSGKSTNLPFLLSGHGNVLLVEPTRPLCENVCKQLRGTPFHCNPTIRMRGLSSFG -SSKITIMTSGFALHYFAHNVDQLREFDFIIFDECHVIDCQAMGLYCLMSGHNVETKLLKVSATPPGREVE -FSTQYPVKILMEESISFQQLVANFGTGANSDVTVNADNILVYVSSYNEVDQLSKLLNDRGYLVTKVDGRT -MKVGKTEIETKGTKNKKHFIVATNIIENGVTLDIDAVIDFGMKVVAEMDSDNRVIRYSKRSISYGERIQR -LGRVGRHKDGIALRIGHTEKGIQEIPEMVATEAAFLSFAYGLPVMTHNVGLSILKKCTVRQARTMLQYEL -SPFFTQCLVNFDGTVHPKINEILRPYKLRDSEIKLSESAIPHGVRSIWLSVHDYESVGIRLNLDQNIRIP -FYVRDVPERVYEQIWQAVEIYKRDHTFGRISSTSAGKIAYTLRTDVHAIPRTLLTIDKLIESENAKHAHF -KAMTSCTGMASSFSLLGIINSIQSRYLVDHSVENIRKLHMAKSQIQQLSANMNDCNVLEVVQSLGAVRSV -YHQNVDGVAHIKKELGLRGIWDKTLMVRDALVCGFTLGGGAMLVYQYLKDQFASRHVYHQGFSARQRQKL -RFRAAAHAKLGKEVFGTDGAIEHYFGEAYTKRGNKEGKMHGMGIKVRKFVATYGFKPEDYSYVRYLDPLT -GETLDESPNTDVSLVQEHFDEVRTKFLDADKLDRQRIISNGGIKAFYVRNATKTALEVDLTPHNPLKVCD -NKLTIAGFPDREFELRQTGPARPIDVTNVPASSKAVLHEGKSLCNGMRNYNGIASVVCHLQNTSGSGRSL -YGIGYNSYIITNRHLFRENNGRLIVKTQHGQFVIQNTCTLKVAPIGKTDIAVIRMPKDFPPFHSRAKFRH -MNSTDKVCMVGVDYQENHIASKVCETSGISSGEGDFGSHWISTNDGDCGCPLVCVSDGYIVGLHSLSSSD -NSQNYFAKIPEDFEEQILRRLDKLEWSNHWRYNPNSLSWGALKVWESRPEAIFNAKKEVQHLNTFEQSGK -KWLFNQLHGNLKGVAEAPSNLVTKHVVKGPCMLFQQYLNCNDDAKQFFSPLMGHYMKSVLNKEAYAKDLL -KYSSDIIVGEVDHNIFEESVQQVIELLNDHECPELEYVTDGDIVIQSMNMDAAVGALYAGKKRKYFENST -ADERRALVKASCHRLYEGRMGIWNGSLKAEVRPAEKVLASKTRTFTAAPIDTLLGVKVCVDDFNNWFYSK -NMVCPWTVGMTKFYKGWDEFLRKFPDGWVYCDADGSQFDSSLTPYLLNAVLEVRLWAMEPWDIGEQMLRN -LYGEITYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVLITMYYALRKAGYDREKQEQVCVFYINGDDL -CIAVHPEHESLLDTLSSSFAELGLKYDFSSRYRDKQELWFMSHRGILIEDMYIPKLEPERIVAILEWDKS -KLPEHRLEAIMAAIIESWGYEELTDQIRKFYQWVLEQAPYNELAKQGKAPYVSELGLRRLYTSVRGSCAE -LEVYLSKYFEDESGDTPELVVYHESVNPTKQTMASLQNKNVFYQSKDGAVDAGVNAKLKEKEEKEKEKEK -EKEKEKEKEKTKDEPEASNQNSESKTDGERDRDVNAGTVGSFTVPRIKTFTDKMVLPKFRGKTVLNLNHL -IQYNPQQIDISNTRATQSQFDKWYEGVRTDYGLNDNEMQVMLNGLMVWCIENGTSPDVSGVWVMMDGDTQ -VDYPVKPLIEHATPTFRQIMAHFSNAAEAYIAKRNATERYMPRYGLKRNLTDISLARYAFDFYEINSKTP -DRAREAHMQMKAAALRNANRRLFGIDGSVSNREENTERHTVEDVDRDMHSPLGMRK - ->YP_008719797.1 coat protein [Pokeweed mosaic virus] -SDVNAGVGRPSKQHDQPSSSQQIQQQQAAPPTPQHGDSDVNVGTRGTFSVPRLKGSISKMSLPKLKGKPI -VNLDHLLGYDPEQTSISNAKATQSQLSVWYDTVKASYDVDDEAMKILLNGLMVWCIENGTSPNINGSWVM -MDGDEQIEYPLKPIVENAKPTLRQIMMHFSDLAEAYIEKRNLKEKYMPRYGLIRNLTDMTLARYAFDFYE -VTSRTPARAREAHIQMKAAALRNASTKMFGLDGNVGTKEENTERHTAEDVSREMHNLMGVRMV - ->YP_008719787.1 polyprotein [Pokeweed mosaic virus] -MATILFGSFPVDMAPYLNGVSMSPPPTRYLRAAEIPQPEEEEKIDVLEEFSRRVNAERCNRTFMKQKHVM -KGRYSQWVNFTKRELREQARKKRDEEVRKIFLSQPDSVLTRISIARGPTPSEVESQTPPRRPLNRSKRVK -KPQTMKQVSMDTECTEALIRCVRKIARKNELTVEIVGKRAYKISPAKFRGCNIPRVHVHHMDGKKRSIDL -KIHPRDTEFLNICMRQFVTKQSVTTDQLRNGDSGLMFLRNNVMGTISRSRDWFIVRGSHEGKLYDATIRV -TDAVRRTMNHYSVAETFWRGFNSWFLKHKATTDHQCESNLPVEDCGAVAALLCQVVNPCGRITCEKCLQQ -IEDMTTKTFFSLVAERSRTTIEILRTKFPRFKHSIKLMERLMSIEEDNTAFESFREVRLLVGERNDGVFR -HINKLNDLCALGKQADADQMKAIGANLLEIARFMKNRTEHTKAGSLQHFRNKISGKAHVNPTLMCDNQLG -KNGNFLWGKREYHAKRFFANYFDVIIPSEGYDKFIERIGPNSKRKLAIGNLIVSTNFEKLRVQLEGERIE -PESLTSACTSKLNGNFRYPCCCVTLDDGRPVYSDLKMPTKNHLVLGNTGDSKYLDLPVDTENKMYIAKQG -YCYINIFLAMLVNVDEEQAKDFTKMVRDTIIPALGTWPSMMDVATSCAFLVAFYPDTANAELPRILVDHK -NQMMHVIDSFGSLTTGYHVLKANTITQLTQFASNSLESEMKHYKVGGLSNCQDISHDAALQLLIHGIFRP -KFLKEILEEEPYLLVMGIVSPNVLLAMYNNGVFEQALQMFLRCDQSLTTMVAILNTLAVKVSGASLVVEQ -HRIIQSHAGLIMDQIFRGTRPNFSYMTAINYLLVVDARNETDAGLEAIGYRTFFEETQEICEKNYIARLE -ASWAGLSWLEKSYAIWHSRKYLQFGRRLRKFKNTTLSSPVCSMSITQCAGQITQSVKQTGCKIITGGRNG -MTKLYSKTMLFCFSRLRKLLPDFLKLLNILLCLSALVAIAQGTASMLNEHKRLKMEIAEKKFKNNEEHLV -RFKNYYDFSHPDGTEEDFLETLKDSSAELYGHYKDVYVAPKPGVVFQDAKSDSRKMEQGIAMIALILMVF -DTDRSDCVYRTLNKFKGVMSSLYAKPVSFQSIDDIKPTLEEKNMTVDIVLSGDDAVSTNTQEITFEKWWS -LQLHRNAVRPHYRTEGKFIEFTRSQAAAIALQIAHADENDFLIRGNVGSGKSTGLPFHLSKKGSVLLIEP -TRPLTENVTKQLRHDPFYAKPTIRMRGLSAFGSDPITIMTTGFALHFYANNMDQLKNLDFIIFDECHVTD -ASAMAFRNLLYEVDYKGKVIKASATPPGREGEFKTQYPVDLRVEESLSFDEFVTAQGKGTNADVVQRGDN -ILVYVASYNEVDQLSKLLIDRKFHVTKIDGRTMKIGSTEIKTCGTAEKKHFLVATNIIENGVTLDIDVVV -DFGVKVQPTLDCDNRMVSYRKVSISYGERIQRLGRVGRHKAGVALRIGHTEKGIMEIPPIVATEAAFLCF -TYGLPVTTQNVSVSLLSQCTVKQARTMVQFELPIFYTQHLVRFDGTMHPAIHNILKRFKLRDSETILNKL -SLPYKQTAVWLSGKAYRNLIGTTLPETVKIPFFVKDVPDKMHEEVWDAIQQHKQDAGIGRLTMAQATKVA -YTLQTDIHAIPRTLRIIDLLLEAEQTKKNHFESVASQSLSSTNFSLSSIMTSLRSHYTRNHTAENIEILQ -KARAQLLEFANLGHDPSATELVKNFYYLEAVEFQSQAGVAQALKLKGIWKKSLITRDLLIIFCVFIGGLY -MILLYFYETFHSEVKFEGAKHKNQRLRFRNARDRKLGYEVHADDDTIEHFFGAAYTEKGKKKGKTHGMGK -KNRRFTHMYGYDPTDYTFVRFVDPLTGKTLDDSPYTDINLIQQAFGEERIRMIGEDELDPQQIMNSPGLK -AYYGNQTTRKALEVDLTPHNPLLVGRNTNSISGFPEREGELRQTGQARPINFDQIPREDEVSFESLSMLR -GVRDYNPIASVICQLTNESEGETTTLHGIGYGPYIITNQHLFKRNNGNLKIISQHGTFRVHNTCNLPLLP -IKGQDVLIMRLPKDFPPFPQRIKFRTPEKGERVCIVMSNFQTKSISSMVSETSHIYPVPNSSFWKHWIST -KNGHCGSPIVATRDGAILGIHSIANTDNTGNYFTCFGEKFSEKFDELVANGDWTKGWKFNANTIAWGSLY -LKDSVPEETFKITKLIQDLVGGSEVCLQATTQSKKWMYDALEDNLRPMATMDGKLVTKHTVKGKCSLFAT -YLQVDQQAQQYFTPLMGSYKPSRLNKEAYIKDLMKYSSVIDIGLVDCEAFERAERKLYQRLVEWGFETCD -YINDEESILASLNMKAAVGALYQGKKRDYFQGMDNQSVANLIKASCKRLFLGKLGIWNGSLKAELRPEEK -VSQNKTRTFTAAPLDTLLGGKVCVDDFNNQFYSLNLKCPWSVGMTKFYGGWNSLMTQLPDGWLYCDADGS -QFDSSLSPYLINAVLNLRLSFMEDWELGEQMLKNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNT -LMVILAMYYSFEIHDLSEHDCVFFVNGDDLLIAIQPEKEKFLDGLSETFRTLGLKYDFSSRTKRREDLWF -MSHCAIKREGIYIPKLEPERIVSILEWDRSSEPTHRLEAICAAMIESWGYDQLTHEIRRFYKWVLEQAPY -AQLAQEGKAPYLAESALRNLYLDKTPTESELQQYISCFYEDELTDEQIEVYFQSDVNAGVGRPSKQHDQP -SSSQQIQQQQAAPPTPQHGDSDVNVGTRGTFSVPRLKGSISKMSLPKLKGKPIVNLDHLLGYDPEQTSIS -NAKATQSQLSVWYDTVKASYDVDDEAMKILLNGLMVWCIENGTSPNINGSWVMMDGDEQIEYPLKPIVEN -AKPTLRQIMMHFSDLAEAYIEKRNLKEKYMPRYGLIRNLTDMTLARYAFDFYEVTSRTPARAREAHIQMK -AAALRNASTKMFGLDGNVGTKEENTERHTAEDVSREMHNLMGVRMV - ->YP_008320591.1 coat protein [Habenaria mosaic virus] -ASEQRVDATNSFGKQAQAKGSETDGSSSRDGQQKNDSVRREGSTPIAPIPDRDINAGTTGTFTVPKLKGM -STKLTIPKVKGKVVVNLQHLLQYTPDQEKLSNTFATDEQFAIWYNGVKSDYEVSDDEMQIILNGLMVWCI -ENGTSPNLSGVWVMMDGDEQITYPIKPLLDHAQPTFRQIMHHFSNLAEAYIEKRNYTSPYMPRYGRNRNL -TDMSLARYAFDFYAITSRTPERAKEAHMQMKAAALRNTSSRMFGLDGKVGTQVEDTERHTAEDVNRNMHN -LLGVRGV - ->YP_008240477.1 polyprotein [Habenaria mosaic virus] -MAIQFGTITSDMLAACKVKQHLTHDEILDRAMIEKYGVAKVSVLPYIKSLNEQIPKPRIRKVWRVESTGF -GETQIVESIVDTDNNNVIKMDDTPSVKGRVVATSFKTRSAKIARPIVQSLGEKNSLLHKVCAVAFKRGIP -VTFIGKRTERVRAARHVTSNFSCMAIATHHHAGKTRNVDVPHMGSLRDTIVSVAHATWKGGKIHERNIKI -GDSGCIIPREMIEGTVHCEKDDVFIVRGRYGNLLLDAQSYLPMSHCNKVIPYSTAEQYWKGFDMAFRANR -GNQLIHEPGEKLDVEQCGAVAAILHQSLLPCCKITCTTCSKILEESSAEETRQRIGQTARKGAQLIRRNF -RGFEHVYQLLMNHANMLDCVNGNREACGKVQYIIGERTEAPFSHVLRINETLIKGNQATASELSAASAHL -LEIARYLKNRTENIQKGSLRSFRNKVSAKAHLNPQLLCDNQLDADGNFIWGDRAYHAKRFFSNFFEEINP -EHGYDKYIVRKFPNGSRKLAIGQLILSTNLDRLREQLIGEPIKPEPLTDACVSRIHETFIYPCSCVTYDD -GTPVLSEMKAPTSNHLVLGNAGDSKYLDLPTGKGDRMYITKEGYCYMNIFLAMLVNVEKDKAKDFTKWVR -DTIVTQLGQWPTITDVAIACFQLSIMFPRVRDAELPRILVDHHTKTLHVLDSYGSLTTGFHILKMNTVDQ -LIKIANETLESEIKHYRVGGTNYNGTDFHTRSLKQVIRGVYRPNELRSILSHDPYILTMALLSPAILTSL -FTTGSLYQATLSLIPEDTSARHLVCLLTSLAGRVSRLEDLHDQVNIIEENLGAFLEILSVGDRCSYARAF -MQRTIEARLESISADEELDASGFRTLRWKSVRVLEKIYTEDLEASWRELQFVEKCYIMLQRLRWRRRIIV -ELSQESAISFKKVFEHCSTGLHLAARPIVKIAKCCTDKFSAIVRSTHTRLLSGFIYGFRCVFRDLFTFVQ -VLAICNIFLTILDSLLRLRSAYIANARQVQYMRERQNRDKLEKLYNILKCKLGVEPTFEEYKEFVAGVNP -ELAKQLESSEELEVEHQANKRESETRLEQIVAFIALVLMVFDNERSDCVYRVMNKLKNVMSVAEQDVNHQ -SMDDEMEAFDDNATISFELECEDPVRAYPSSSTLEQWWDNQLALNRTIPHYRTEGYFMEFTRANCAQVIN -EIVHNEHKDILLRGAVGSGKSTGLPAGLSTRGKVLLLESTKPLSRNVFNQLRQDPFHLSPSLMMRDSTTF -GSTPITIMTSGYAFHYFANNARKLHDYQFIMIDECHVLDANAMAFRSLLEEHEYQGKIIKVSATPPGREV -EFTTQHKVEIRIEDSLSFQQFVAALGTGGNADVTSKADNILVYVASYNDVDKLSKMLQEKSYLVTKVDGR -TMKNGVSDVVTKGTHTKKHFIVATNIIENGVTLDIEAVVDFGTKVVPTLDIDSRRITYCQTAISYGERIQ -RLGRVGRFKPGVALRIGHTQKGICAIPSIIATEAAFLCFIYGLPVMTSQVSTSLLRKCTVQQARVMKLFE -LPTYFMLDLVRHDGTMHPDVHRLLAKYKLRESEIVLNRMAIPHARTYHWMDVRTYNACGTNIALDPDVKI -PFFCKDLPEQLLANLWNIIQKNKGDAGFRTLKSHDAAKIAYKLHTDEHSVQRTVAIIDALIVEEQTKKAY -FDSLVVNTCSNASFSLQSISNRIRARYKQNNTTENISVLAAAKAQLLDFQHSCYEDSIIINPSSKRVVDK -IMDNGALETVLHQSRDGIIKTLNLQGKWKGTLITRDLLVCAGLACGGVWLLYQYIRNFMNEPVEHQAKNK -RQKQKLKFRDARDRKVGRIVDAENGSEAVEFLFGDAYTKKGKKGGKTRGMGTKTRRFVNMYGFDDSEYKY -VRFVDPVTGEILDENVMTDISLVQDHFGELRSEYINEDKISPQALYSNPGIKAYFVKDKTSPVLEVDLTL -HEPLKLCDNSSTIAGFPEKEGILRQTGPAKQIKYEDMPEHDVAHEAKSLNRGLRDYTPISKSICLLQNTS -DGRSTTIHGVGYGSLIVSNAHLLMRNNGTLTIKSMHGEFTIQNTTAIRIAPIPNCDLIILRLPKDFPPFS -TKLKFRVPEPNEQVCMVGTNFQEKWMSSTVSSTSYIQHIPDTQFVKHWIDTKDGHCGLPLVSAKDGAILG -LHSLTNTKQEYNCFASVTSVLTEILGAPEHAEWRKGWMYNPNDISWGFMRLKESTPSGLFKPVKSINDLE -LDIVCEQAHIQDRWFGDQLHCNLKAIGYSESQLVTKHVIKGKCPLFERYLCETPSASNYFRPLMGAYQKS -RLNRIAYAKDALKYATIIECGLVEPNAFEQAIANVIQTLKKVGFSECAYVTDPEEIFSNLNMKAAVGALY -AGKKKDYFLEYTQEQREEILQQSAERLYRGLKGVWNGAIKAELRTREKVEADKTRTFTAAPIDTLLAGKI -CVDDFNLQFYSLHTKAPWSVGISKFSRGWDALLRKLPDGWTYCDADGSRFDSSLTPYIINAIPIIRLAFM -EKWDLGETMMRNLYTEIVYTPILTADGTIVKKFKGNNSGQPSTVVDNTLMVLLAMQYSLERLGVEFSTQE -QTCIYFANGDDLIVAVAPGHEHILDALQGYFSELGLNYDFSSRHTDRTKLWFMSHKGIIRDDLYIPKLEP -ERIVSILEWTRANEPAHRLEAICAAMVEAWGYDNLLHEIRLFYSWILKQQPYATLAQEGKAPYISECALR -RLYMDKLIEPHEHATYLEKLVASVQIFDDSANCVLHQASEQRVDATNSFGKQAQAKGSETDGSSSRDGQQ -KNDSVRREGSTPIAPIPDRDINAGTTGTFTVPKLKGMSTKLTIPKVKGKVVVNLQHLLQYTPDQEKLSNT -FATDEQFAIWYNGVKSDYEVSDDEMQIILNGLMVWCIENGTSPNLSGVWVMMDGDEQITYPIKPLLDHAQ -PTFRQIMHHFSNLAEAYIEKRNYTSPYMPRYGRNRNLTDMSLARYAFDFYAITSRTPERAKEAHMQMKAA -ALRNTSSRMFGLDGKVGTQVEDTERHTAEDVNRNMHNLLGVRGV - ->YP_007969893.1 CP [Donkey orchid virus A] -SGTGSFDAGEDPASQREGETSTALVKDKGKDVDVGSMGSMSVPRLKSVTGKIRFPKIKGKDVLNTEFLLQ -YVPEQTRLSNKRATDQQFEQWHRAVMEAYEVTENEMKIILDGLMVWCIENGTSMD - ->YP_007969412.1 polyprotein [Donkey orchid virus A] -MASLSYSTACLPTESQLAELRQIKKGATWFSAKPVEVYVPAWVKKAEQNLRSVRAKLAHEAARQESAFEK -FEAAFAARNLVKSDTAKASKPKPTTAALTSKGFKIPYKSVVRKEWRVSKKNTLGNCGENVITGVLVTEPV -KMSLVDIKSGVKRATSVSQRKSKLFKRPSLKSSCIDSLIRALVKIQMKKCKSVEVIGNRALKVNFRKTRF -GIRSFVQTHHERGNFKKRDYPTTVNERQSIKDLLRGMKQRCISTAELDKGDSGMVITSGELVGNHSNYID -DLFIVRGSCGTRVYNALLTQNLDVVHSMKHYSNVDQIFWRGFNRSFLKYKRPVTDHNCASTFSVEKCGSI -AAIVCQMLLPCGRITCQECAENYRKLSQTERIQRLKEHVQEYFDIIRKDFAEFIHVESFLESLLYLIDEG -KKTGFYDQYKETMFKLCAPSDHICDEIQRVEGADLAALIVQTVAPCTTLGCTKCSKRLQDLSTAELDEFL -SICRQKNLLLREKILFGHDGIKKALDWCSKSTAQVGDTTANLEVVRICQNHEAKQMQDIKIINETLLRGQ -RATLAESENAAKALLSVTQWFKNHYSAIQANDLKSFRNKISQKLLINPSLICDNQLDVNGNFVWGQRGYQ -SLQIFRDYYDTVVSGSGYLQYENRTFPNGSRKLAIRNLIVPMDIERLREHFVGESIEKVPLTEECVSRMN -RGFVHACCCVTDDRGEPFYSQVKPPTKRHMVIGGAGDDKYVDMPDLGDIHMYIVKDGYCYLNVFLAMLIN -VNESEAREFVKMVKNVVIPKLGKWPKLTDLAVMCYAISAAFPETKTAELPRILVDHKNKMMHVIDSYGSL -TVGYHILKAATVTHLISFAADQLDSAMKEYRVGGMLEGVDEASIIKLLAKAIFKPKVLRQLLEDEPMLII -CSIISPSVMRSMYRHGIYDKIIRIWLSKNNDLATVAAVLQSLAQRVDKVSVLQEQMRIIASEGKTMSSLT -EGVKCTCHSRGLALLILRSIVANHETDEVLIRNGFLSHTTLIEEKREEWYREILQTEFRGLSVFRKSQFA -YRTIISKLRNINCTKPTSEEISIKQFQRSGKWLQMKKDLLINSTVDYKTKLSTKLKQRIRRKIANVSVSL -LWQSLPDFCQMLNVVIMCNMVIQVFWFFNRIVSDRKARLQMEANKRYIEQRTAVNELYDKFVKQRSVLPT -EEEFLAHVDEITPHLVEAANDEISDFVSFQAKSKDMFKLEQCVAFVALVLMLFDNERSDAVFKILNKLKT -TFTTICGGVTHQSLDDVLTDTERNLTISFDLESHTSEESQLFDTRFDGWWENQLVNNRTIPNHRLSGLLV -DFTRENAAQVATKISKGLYNTKEFIIRGAVGSGKSTGLPAYLCQSGSILILEPTRPLAENVYQQLGRAPF -NIDATLRMRGRSVFGSRPVTVMTTGFALHLVANNICMFDKFDYIMFDECHVMDANAMAFYCLLKDCKYDG -VVLKVSATPPGRECEFKTQHEVSIAKEDRLTFDQFVLAQGTGANADVTNRGNTILVYVASYKEVDTLSSK -LLCKGYLVTKVDGRTMKVGSTNIDSKGTDVQKHFIVATNIIENGVTLDIDVVVDFGQKIVGELDTDGRCL -NYVRRPVSYGERIQRLGRVGRFKPGHVLRIGHTETGIQEVSALVATEAAFYCFVYGLPVMTHNVTTGFLG -RCTTEQARTMLQFEIDINYMYELVRHDGMMHPAIHDYLKKYKLRDSETRLSELAMPTWATSKWKKASEYV -KLGCHVSCNGDTKIPFLVNGISDKMHEDIWDLVKLYQKSNCFKPIKSACAAKIAYTLQTDWTSIGRSIGV -IDQLIADEMTKRAHFESMRASTVGPGSFTLQSIVNLIKTRNMVDHSADNIRKLQSARNHLLEFESRHIDA -RDPNELEAIMECPAVATVLHESAPEVSEFLELKGVWNYSLITKDLLICGGVLIGGMYMAYSCFTQAVGEV -VHHQGFGKRQRQKLKFRRDRDNRDDYFIHGDEATIRKTFGEAYTVKGKKSGHTRGMGTKKHKFTNMYGFD -PTEYSQIRFLDPLTGVTIDSGVNAQIDLIQDDFGTIRMQHVEDDLLERQAITGSPGIKAFFLKHGSDKAL -EVDLTPHRALMVGVNSNNIAGYPEREGELRQTSPHSVIDASSVPKENPYNLEQVKEESKSLCKGLRDYTP -ISNSICVLVNESDGHTEQLYGIGYGGMIITNGHLFKRNNGRLTMKTNHGEFLINSTPTLQIHQVHERDLI -VFKIPKDMPPFPRRLRFRQPCSKDLVCLVGADYQTKCIRPTVSGTSSTAQKDSSHFWKHWISTKRGQCGL -PLVSLNDGQIVGIHSLTSTDDVVNYFVACPSNFHEAYLDHIEALNWEKNWRYNTDLVNWGSLTLLKSQPT -NLFKTCKLVSDISESVQEQGFSDEHTRLTKHLNGNLKQVGNCPGNMVTKHVVKGRCQLFELYLKENPLAM -NYFKDKLGFYQKSRLNKEAYVKDIMKYSSIIEVGLVDSATFERATNAVISLLLSLGFADRHYVTDGKSIR -QSLNMNAAVGALYSGKKKDYFEEFTDDMFEQIVEDSCFRLFSGKLGVWNGSLKAELRSMEKVQANKTRSF -TAAPLDTLLGGKVCVDDFNNTFYETCLKGPWTVGISKFYGGWNEFLNELPEGWIYCDADGSQFDSSLTPY -LINAVLEIRTQCMERWTIGERMLENLYTEIVYTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVMLAMEY -SKLKDSNKLNEEWIKYFANGDDLIIAIHPKKEEFLDSLAAHFTSLGLKYDFSSRTRNKTDLWFMSHRGIK -YDQVFIPKLEIERVVSILEWDRSTEPEHRLEALCAAMIEAWGYPELLYQIRLFYKWVLEQAPFSEIAKIG -KAPYIAETALKHLYLGGEVQASELERYTALLTDYFDDSEIETNVVEHQSGTGSFDAGEDPASQREGETST -ALVKDKGKDVDVGSMGSMSVPRLKSVTGKIRFPKIKGKDVLNTEFLLQYVPEQTRLSNKRATDQQFEQWH -RAVMEAYEVTENEMKIILDGLMVWCIENGTSMD - ->YP_007872240.1 polyprotein [Sunflower mild mosaic virus] -MIWSRQNAAANRGLIYDWEDDVYQCPTCEHTFSTVEQYQEEHSCERAAYENKLIEQEYDEMMEWAKNRKH -ETAAPPVPITSIQFGSLNFAEAMAAAKTIAKVEFAQVTESHEGRTETGVANSSAVHKENKQQDNSQRLSV -GLKVPEHTKLAGSSFRVFNKIEQCSWMDEQPSLVTTITTTIAGGVRPSTLEETRMWPPLIYRKKARKSPP -NKLCLSTQSVDHLVKALFKVTKHKEMEFQVIGKKKSHNRVFKFKRFEHRMHTFVHLKHHDGKMHRVDCKL -GAYATHILQLMACATSKTHSIKVDNLQPGSSGLVLNHKILRGKVSRQRDGMFIVRGRCDGELLEARSKFS -HSVVHRMTHYSDKDISQEFFLALSKTFQQFKENNIGHTCQRDVTVAECGAVAGIVIQALFPSGKITCEKC -FLLFDKQLDEATDSRESKRIANALDHLRRDHPRFTHVIKRLSQFEESRQPFNPNINAFTEIQRLIGGRTQ -APFTHVNRVNEILLRGTKLSASDIGAAADNLVEVARFLKNRTENIKVGELQTFRNKISAKAFLNATLLCD -NQLDKNGNFMWGERGYHAKRFFSNFFEEIDPDQGYDKYVVRRSPNCTRKLAIGNLIMSTNFEILRSKLTG -ECIGPEGISKMCISTRNDNYMYPCCCVTLDDGKPLLSDLKLPTKRHLVVGNTGDSKFIDLPSDLGEKMYI -AKDGYCYLNIFLAMLVNVNEGDAKSFTKFVRDILVEKLGTWPSMMDVATACRMLIVLYPNVYNAEIPRIL -VDHKTKTMHVLDSYGSLSTGYHILKAGTATQLAEFANGDLESEMKHYAVGGEPSSQNENSMLQRLIKSIF -KPRLMQAIIEEEPYMLILSIVSPRVILALNNSGAYERAIQTWITRDQSLTSMAATLATLAKKVSLAESVQ -LQMILISQYSEELLNTILDGVHVNQSYIVAIHYLTVKAAQYQMDESLHASGFRTTSAATYSLLEKNWESH -LEASWRELTLWEKFVFTKSLLRFSRNSNTDLKPKIEVESNATYSTSIRGLLTRRKQRVVGMVSSIHAGFK -RRCQEIKGRMATTMINGIRHMIPDFLHLINIMCVFSILVSIYVSIQTVIQQRREDKMKLIEYHKKLINER -IERRMDLYYVTEGEGKTHKDFVEYLKKVDPELHEYIHMERKAEEVKLQQSKTDMVRLEKIIAYATLIMMA -FDTERSDGLYKILNKFKGIISSTNKESIHLQSLDDTIPGLEDKNLTIDIEIDTDEALNTPLTNTTFRTWW -DNQLARNNTKPHYRTEGVFKEFTRSTAAQVANEVMHSTDCDFLIRGAVGSGKSTGLPYHLSKKAAVLVLE -PTRPLANNVHKQLQSDPFFLKPTLRMRGKSTFGSTPITIMTTGFALHYFAHNIQDLHNYGVVMFDECHVS -DASAIAMRNLLHEYSFDGKVLKVSATPPGREVEFTTQFPVHLKVEDNLSFAEFVRAQGTGSNSDVIQHGD -NILVYVASYNEVDTLSKMLTDNKHKVTKIDGRTMKDNNTEIQTYGNSKVKHFIVATNIIENGVTLDIDCV -VDFGVKVMPVLDIDNRAVSYVKTNVSYGERIQRLGRVGRHKQGFALRIGTTTKDLPQIPEMIATEAAFFC -FMYNLPVTTQSVSLSNLEKCTVRQAKTMSQFELSIFYTIQFVRGDGTMHPAIHTILSKYKLHDSETILNK -LAIPNASVSQWLTAEEYARRGYRNSLENMRIAFMIKDIPERVHMDIWEAVVRHKHDAGVGRLTSMQTAKI -AYTLQTDIHSIGRSLNIIEQLIANEMKKKAHCEAALSNSCDYRTFSLDSIMGALRARYSSKHTAANIEIL -ERAKNQILEFANLTSDKSVEELLKDYGYMEVIGLQSKREVSRCLKLEGHWNYTMLAKDLLLSGAVAVGGV -CMLWSCFRSSMSEEIALQGRKNQKHKLKMRQERDSRVHYEVSADPDSIEHYFGSAYNNKGKKKGTVRGMG -HKNRRFVNMYGYDPTDYSFVRFVDPLTGATMDESVYADIGLVQEAIGKARQESIADGELEAQLIVHKPGI -RAYYVNDATKKALLVDLTPHRSMRASDKTTTIMGFPERENELRQTGPARVIDIGDVPKLEEEIKLEGVSL -SRGVRDYNAISSMVCRVTNDSGSSSTTMYGIGYGCYIITNKHLFRENNGRLLITSHHGEYICKNSASLKL -SLVPGRDMLLIRLPKDCPPFPSKLKFREPTSEEKAVLVVTNFQEKHLSSMVSESSCVVQREDSPIWRHWI -STKDGHCGAPIVSIRDGYIIGSHCGENPMTSNFFTSIPKDFQNLLNGKEANEWVSGWKYNIDAVCWGGLS -VVNDAPSEPFITAKVVSALDTEGIKVQAVESKWMLNALNGNLRPVASCPSQLVTKHVVKGKCPLFDLYLS -THPQAKEYFTPLMGAYKPSRLNREAYIKDIMKYSTPIAIGDVDIAIFNEAFATLVQMLKSKGFEKNVYVT -DEVDIFASLNMKSAVGALYKGKKRDFFLDASAEQMETYLRESCKRLYMGRKGVWNGSLKAELRPLEKVEQ -NKTRTFTAAPIDTLLAAKVCVDDFNNQFYSLNLNAPWTVGMTKFYMGWNTLMEKLPEGWVYCDADGSQFD -SSLSPFLINAILKLRLEFMEQWDVGEQMLANLYTEIVYTPISTPDGTVIKKHKGNNSGQPSTVVDNTLMV -ILAMYYTMEKALVPLDKCVFFVNGDDLLIAIHPDYEAKLDEFQEAFVALGLKYEFDSRTKQKEDLWFMSH -KAIKVDGIYIPKLEEERIVSILEWDRSAEPTHRLEAICASMIEAWGYPQLVDEIREFYAWTLEQAPYKQL -AENGKAPYIAETALRRLYTCVEATNDELLEYLREMIDQTDGMKYEEIHLQGDKEVNAGNQSENNQKDKKQ -ANAVLQTSPPTPQPKGNASSSIVDTSGNNEIERDVNAGTNGTFNIPRLKRLTKKMQIPKSKGRIVVNLEH -LLDYKPEQYDLSNTRATHQQFDRWYAAVKSEYEATDEQMSIILNGLMVWCVENGTSPNINGVWVMMDGDE -QVEYPLKPIIENAQPTFRQIMAHFSNLAEAYIELRNQSSPYMPRYGLQRNLTDMSLARFAFDFYELTSKT -PVKAREAHMQMKAAAVRNTSTKLFGLDGNVGLAEEDTERHTVHDVNRNMHSLLGMRQ - ->YP_007697620.1 polyprotein [Sweet potato latent virus] -MAAVIFGSFTEAPVLLDSATVAPKMTRKRFIEERIMVREKKVYGKCGVCGDEMPTKSMLRKHIASKCDYL -ALSSAFEYEDVEKTITKVVEEEVPLYDFSWLKHRMPQEGKCELQKAEVIEQVTFGTIAPVEEVQHEQGRG -NSKFVVEQPSHATYREPPVCPVTKSNVSANANSIAQSLHKTAQQMIESYDNELEKAFSNITPIAQKDANR -KATMKVVRKRNGVYTFSPYTTGDYRKMRRKEKATAENAAFAKQAPYIITKLSMAGGMAPSAMDSEVNVKK -PLSKTPSMKKRQCIKRVVLQQQKLEDLIKTVGKIASRGNLKFEVITGRKATTGTFRKFHGNNHMFLDLMH -MQGVRRRVDIRISDMDQRIIRTMAHAVNFERSFNTGQFSYGSSGLVFNPRKAIGTIGRAKNDIFIVRGRH -EGKLYDARQRVTESVMLRMQHYMDVAQKFWKGFDKMFLPNRPEERSHVCVADLDVNRCGEMAALTCQVIQ -PCVEITCTQCFTHLNELSDRAYKELLKSRVLKIVPQIRAHYPEFSFMADFLERFGRVASLANENYEAFSE -NRRLIGDRNEQPFSSLNKLNNYLVKGGSLTSEEFSEASDCLRELVRYHNNRTENIKKGSLEQFRNKVSQR -SHFNASLMCDNQLDKNGNFIWGERGYHAKRFFANYFDIVEPKDGYTKYIQRKNPNGSRELAINNLIVSTN -FERMRELMEGIPVKKHPLSKQCVSMRNGNFVYVCSCVTLNDGQPQESDLKLPTRNHLVIGNAGDPKYVDL -PTEASARLYIAKEGFCYINIFLAMLVNVRESEAKDFTKRVRDIVVQKLGKWPTMIDVATACCLLAVFHPE -VQDAELPRILVDHTTKTMHVIDSYGSKNTGYHILKANTVSQLMQFASDSLDSEMKYYVVGGHAHTSSFER -SSIKMIIKGVYKPAIMREILETDPYMLLMAILSPTVLRALHTSGSLERALEYWINSDQDVVTVIMIVKTL -AQRVSVARTLTTQMQVVQSYATELTGYIHQTRRASIAAVVAFRTLQHLKNKQNADGVLVQQGFQNMVERE -TLETLEKKYAQDLKQQWCALSLLQKCYYMLQCMKQCRRTHESGISMRTTERVGVYIAYPSQFLGNAIAIA -KLSRDQILNILTESRLKVKMFIFDRALAMMRYGVPDVIRMANILIIITILYEVATRLRRLLQDHLKYRAA -YMQDADDEDFARLRDIYIESIHSDGTLPTVGEFLKIVNERAPELNKCAAENIAFARDNVVHQAKSPTEAK -YERIIAMIALFMMAFDAERSDCVYKILNKLRTLTNIAQQDVHHQSLDDIKDEFNEKRMTIDFELDSDTQS -VSPLTDTTFAKWWSNQLAMNNVIPHYRTEGYFMEFTRANASAVAHEIATSQHKDILIRGAVGSGKSTGLP -FNLMRKGAVLLVEPTRPLAENVCKQLRGDPFNLSPTLKMRGLSVFGSSPITIMTSGYALHYLANNVHRIA -DYQFIIFDECHVNDASAMAFRCLLHEHAFAGKILKVSATPPGREVEFTTQHPVKVLVEESISFSDFAAAQ -GTGQNADVVARGDNILVYVASYNDVDNLSKLLLERGHRVTKVDGRTMKVGSVEIATYGTSKQKHFIVATN -IIENGVTLDIDVVVDFGRKVSPSLDTDCRQILAGQVPITYGERIQRLGRVGRVKPGCALRIGWTEKGLVD -IPALVATEAALLCFTYGLPVMTHNVSTALLSGCTVRQARTMQHFELSPYFTVDLVRHDGTMHPMIHNLLK -KYKLRDSEISLSKMALPVGQSTRWMTVREYNRCARALSLDEDIKIPFLMNGIPDSLYSELWNIVQKYRGD -VGFGRITSNNAGKIAYTLKTDFTALPRTVKIIEELIEGEMAKQEYFRSVSANACSSSNISLASALNAIKA -RYAKDHTAENIAVLQAAKAQIIELKNIDLDGSFMSMSDRAVESYVKEYSASSCVQHQNKEAIVKHLNLKG -IWNKSLITQDLVVMGGVFVGGLYLMHESFKDGVNTKVSHQGYNKRQRQKLKFRDTRDQKLGREVYGDDGT -IEHHFGTAYTKKGKTKGTKRGMGAKNRRFTNMYGYDPSDYSFVRFVDPLTGHTLDENIYADIELVQEHFG -EVRQAYIAENMLEPQKIMSMPGIQAYYMSNAADKALKVDMTPHNPLKICEISNTIAGFPEQESILRQTGK -PEIIKSVEVPKVNEYKPDTVIHESKSLFRGLRDYNPIASVICQITNESDGHISSTYGVGYGCMIIANQHL -FKRNNGTLLIKSRAGEFRIQNSTTLKMKPCVGRDVILLQMPKDFPPFPRRLKFRQPEKGERICMVGSNFQ -EKSISSVISESSVIHPVDGTHFWKHWIDTKDGQCGLPIVSTRDGSILGIHSLAGSSNTTNFFTDFPEGFV -VEFLENAHNLDWTKAWKYNPVGVLWGSLKLTDSQPEPIFKAQKLISDLNSMQVYAQSYDGKWLFSALNGN -LKAVGESPSQLVTKHVVKGKCPMFELYLQNDEEAAKFFKPLMGAYQKSKLNKEAYKKDLFKYAEVTDVGL -VDCDIFEKALNSVIQLLERHQFGTCVYITDENEIIDSLNMKAAVGALYSGKKKEYFQELGQKEREDILRA -SCLRLYLGKLGVWNGSLKAELRPLEKVQANKTRTFTAAPLDTLLAGKVCVDAFNNRFYDLHIQCPWSVGM -TKFYGGWNELLTALPDGWRYCDADGSQFDSSLSPYLINAVLQLRLHFMEEWDIGEQMLRNLYTEIVYTPI -LTPDGTIIKKHKGNNSGQPSTVVDNTLMVILAMYYSLHAEGYSMNEAESVCKFFVNGDDLLIAVHPEHET -VLDKLQSHFKQLGLKYTFDDRHDDKSSLWFMSHQGIKRDNMYIPKLEKERIVSILEWDRAREPVHRMEAI -CAAMVEAWGYDDLVHEIRKFYKWLLDQAPYSDLAKNGGAPYIAEVALRKLYTSIAPDQSELERYLAAIME -EPEFDEEDANVHHQADETILDTGKVETKKKQGTEGTLPQTNTPTQPGPSDKGKEVISAKGGEITRTSEHD -RDINTGTLGTFQVPRLRAIPTRIRLPLIKSGAALNLDHLLVYKPSQLDITNAKATRSQFNQWYEGVKNAY -EVDDQQMSILMNGLVVWCIENGTSPNINGDWVMMDGDTQVSYPIKPLIDFAAPTFRQIMKHFSDVAEAYI -QMRNAEQPYMPRYGLQRNLTDMSLARYAFDFYEVTSRTPIRAKEAYFQMKAAALTNTHHRLFGLDGNVST -TEENTERHTATDVDRNIHTLLGMRGIH - ->YP_007346986.1 polyprotein [Colombian datura virus] -MTTIMTTHAQGSVMMGNLPSSYFNGNVLRGVTGASFLIGSIEIQSPVELIPPKVVAQQPIKPYVPPTRSF -TEDDAYNPFALYVPVTAQMERQVQLHHFGSHKRAKKLVVRKKRTKKVWQMKFDKDFIVRKIEVSIAGGPS -PSMMPEIKRCATATSKRSKLNRSKPAFVHASVDKLIDELLVELQTRKFTMELIGSRKRTCKLNLKRFHSQ -DRIFVQTKHEAGRVASHVDVNLDNWCEQVVLKCTNKFNKMLRVNGGLTYGSSGLCILSRNMCAPSFRPQR -QVFIVRGENHNLLCDARDYLCDDLRYTTKHFSDEQISKEFFLSFCKTYKAGRPEIDHSCERGISVSLCGE -VAGLVTQLLFPSGKITCTKCFAESTLKPSVTEDEFALNVDVCCKQISSSYPQFTHVLSFLKELKKPKPQV -NPNIDTFAEIKLLVGGRNKAPFTHVLKMNDLLLKGSLMSAEEYGVMTSHLLEVARYLNNRTENMMLGSLA -SFRNKISAKAHINLTLMCDNQLDRNGNFVWGARGYHAKRFFTNFFDEVKPDEGYDQHVVRKSPNTSRKLA -IGNLIMSTNFESLRNQLVGEKITACPLTENCISKRDDNFLYSCCCVTLDDGKPLLSDLKTPTKKHLVVGN -SGDAKFIDLPKEISEGMYIVKEGYCYLNIFLAMLVNVNENDAKDFTKFVRDTLVPKLGKWPKMIDVATAC -YMLKVLYPDVHNAELPRILVDHEHKTMHVLDSYGSLTTGYHVLKANTVTQLCEFASYSLNSSMKEYLVGG -KIPLEQHCDTTLRLLIKSIYRPKLFRELLIDEPYLLALCICSPTIIVAMYNNGSFEQALSLWLGKDMELC -NMVAVLTTLAQKVSVAELVTEQNVAISQYAEQMLDSVFHGTKPSHSYGLALHYLTLKAGQHEVDASLRAT -GYDTISEAAQALMEKSYADLLRMEWDALTSLEKWYSIRSSLKWLKFGRKQLNLRKSTDFGAVYTFSLKQL -LAEKFQFLKVVSKTTNGAKECVYKLARFTNKKWWSAVSYCIPDVYKFITVLSAILMLTAVMREVHAYIVQ -MRNWKAIEAQNKADACEDEIGRIIDAFEFSNGRLPTVSEIRSKVNSSDTQLIKYIDHYYQFEETTLQKGR -QEFAYMERTIAVITLLVMAFDAERSDCVYKILSKFKGLVVSVDKETTRLESSLDDICDIAENKQMTVDFE -LNTDDHVIEDLKGISFRAWWDNQINRSNTRPHYRTEGKFIEFTRSTASSVASEISHSETKDWLVRGAVGS -GKSTGLPYNLCKRGKVLMLEPTRPLANNVFQQLKAQPFMASPTLRIRGKSSFGSSPITIMTTGFALHYYA -HNLAQLQDFDYVIFDECHVNDASGMAFRNLLFEHEFNGRVLKVSATPPGREVEFSTQYPVEIKIEDNLSF -QEFVSAQGTQSNADVVRHGNNILVYVASYNEVDSLSKLLVAKNFMVTKADGRTMKESGLEIQTKGTDSKK -HFVVATNIIENGVTLDIDVVVDFGMKVTPVLDFDNRAICYHKVEISYGERIQRLGRVGRHKPGVALRIGH -TVKGLIDIPEMIATEAAFLCFMYNLPVTTQNVSTSILEKCTLPQAKTMAQFELPYFYTYNLVRHDGSMHP -AIYDILKKYKLRDSNTFLNKLAIPHKSIGVWIPSDTYRRTGFHTELENMRIAFLTKEVPDKVHREVWEAV -CKYKCDAGIGRLTSHQVSKVAYTLQTDVHSIARTITTINQLMANERMKQSHFEAITSKTTEFSNYSLNSV -FTAIRSRYARNHTQQNLEILNRAKDQLLEFSNLAHDRNVDDVLANFGYLEAITFQSSKGVSKFLGLEGQW -NKSLITRDALIIGAVAVGGACMLYKWFQSNSKEEITLQGRKNQAHKLRMAKSQAEKMNYVVEGDDAALTH -YFGSAYASKGKKKGTVRGMGHKNRKFVNMYGFDPTDFQYVRFVDPLTGRTADESPYTDIAIVQENFGKIR -AEYIGDGKLSPQHIVSKPGIKAYYVNDISKKALEVDLTPHLSLKMSDFGNKIMGFPDRESELRQTGAAKH -ISPDKVPEANEEIELEGASLASGVRDYNMISAALCKLTNDSDGVRISTSGIGFGPFIITNKHLFRSNNGQ -MEIRTQHGLFFIRNLKTLQVHLIENHDMLIIKMPKDFPPFAMKLKFRLPVKEEKACIVSTNFQTKSMSSQ -VSEPSTIVPMPKSTFWRHWITTKDGQCGLPLVALKDGKILGIHCGSNVLTSNHFTYIPENFQNLIMGALE -MDWVKGWKFNLNTISWGNLKIQESQPEQPFVTEKIVSDLFDEGIVIQANMQNWVYNEICDNLVPIATCPS -QLVTKHVVKGKCNLFSLYLATHEEEEKYFRPLMGAYLPSKLNREAYLKDIMKYSSATEVGSVNTDIFDRA -VNSLINMLEDAGFEENEYVTDEMQIFSALNMKAAVGALYKGKKRDYFANCSPADLENFLRASCERLFLGK -LGLWNGSLKAELRPIEKVEQNKTRTFTAAPVDTLLAGKVCVDDFNNQFYSLNLQIPSTVGMTKFFRGWDK -LMRGLPDGWTYCYADGSRFDSSLSPYLLNAIIQVRLYFMEEWDIGEVMLKNLYTEIVYTPISTPDGTVIK -KHKGNNSGQPSTVVDNTLMVNIAMFYAMHSRDIPKESVRFYVNGDDLLIAIEPQYEDELKHFQALFLELG -LIYTFDDITKDRSDVEFMSHKAVLREGIYIPKLEPERIVSILEWDRSGEPSHRLEAICASIIESWGYDEL -TKRIRMFYLWILEQAPYKQLAEEGRAPYLAETALRKLYLDAEPTELELLKYAGCIRGDYDDSLASEDIYL -QADTVDAGSNTQAGKNQSKEKDTSVVTTGEKKDLVAKQDRDVNAGSSGTFSVPRIKAIPTKMNLPKIKNK -QVISLDHLLNYKPEQHDISNTRATHQQFEQWHNRVRDAYEVTDEQMQIILNGLMVWCIENGTSPNIHGVW -TMMDGEEQVEYALKPIIENAQPTFRQIMAHFSDLAEAYIEMRNRDRAYMPRYGLQRNLTDMSLSRYAFDF -YEVTPRTPTRAREAHMQMKAAAIRNNTTKLFGLDGNVSTAEEDTERHTAQDVNRGMHTLLGVRQG - ->YP_007001292.1 CP [Yam mild mosaic virus] -ASKEQILDAGQFSGKQPQSQGQTNSSEGSGRDVNVGTKGTFSIPRIKTPMSKLTLPKLKGKVLVNLEHLV -EYEPDQTDISNKRASQEQLGQWVEAVKTSYDVDDEQLKIILNGLMVWCIENGTSPNINGFWYMIEDGEQI -KFPLKPIVENAKPTLRQIMAHFSDLAEAYIEKRNAKKAYVPGYGLKRNLNDYSLARYAFDFYEITSKTPV -RAREAHMQMKAAALRNTRTRLFGLDGSVGNNDENTERHTSDDVNRDMHSLLGVRNI - ->YP_006990077.1 polyprotein [Yam mild mosaic virus] -MAAVAMSTTLPKVIFPIEVESIGKYGGVNFIFGSFTTEETSAKITKPTAHVARVMKYEKDRAFSKAQLEA -YEEVQNKFETECDTLGFKPHVSEMSRLVKGKNGTKYLKEYSARFKKECAIREKNLREEIDWFKNHEPFLV -DKIKFESDDREAHIEAGETKKVFFTRSKRVRHGIKRTHLSQEQVQSLISSVIKITPMNCVIELKHKGAPS -VLTKQYFKGRRILKVQTQHEKGEHKVFDYVENTRAIASLQTFPDTFWRGKPLHERQITRGCSGFIISRAF -LNGYYYCTGTHMIVRGRHKNLLCDSASYLPASYLNEITHYSVAETFWKGFDEGFRTNRHIPQIHEGKNTL -PVVEVGKVAGIVCQSLYPCRRITCTECAAKHLQASEVEARNELTQTLSIGARKIREEHPDFEHVANSLKK -IEHLISLRNDNREASGKIQFLIGERTEAPFTHILSINECLLRGTKNTSTDFSRATDHLLELARWMRNRTE -NIRKGSIENFRNKISGKAHINPSLMCDNQLDSNGNFKWGRRGYHAKRFFSNYFDLIEPEHGYEKFKERKH -PHGIRKLAIGNLILSTSFDVLRTQLEGESIERLPVTIQCVSKRHESFVYPCCCVTYDDGTPVYSTVKTPT -RNHLVIGTTGDSKYLDLPTEISEKLYIAKEGYCYINIFLAMLVEVDEDEAKDYTKWVRDVVATQLGQWPT -MSDIALACYQLSVLFPSTRCAELPRILVDHATKTMHVIDSYGSLTTGYHILKAQTVSQLIDFAHDTLESE -MKHYRVGGIMNATTVNAETIKLLIKAVYRPKILKEIIENEPYILTLCIVSPAIMREMYRNGAFKLALLSQ -VKCDMNIKLLSSLLESLSLKVTRAQQYIEQMAIINKDVHVIRDVLSNGSMLNHSRSQALRYVETIIAMQQ -MNQNLLRDGYYTTQSIQNSLTEKIYVEELKASWRELTLSEKLLSTCRSLQVCERFGRKCREERQETLRNV -SKSVTQYLCGGLTKMKTGVKVSTEKCTYKVVSMSLRTVGGAFNILNYVTPEFLRTARVVAILSLFLSVFS -KLQRIVNEQLHQRALLRDVQLATNWKKIETHYETLTKKLQNTPTIDEFAQYLKESNAELYKEFVEVYEQL -PVEHQAKRESEQRLEQIIAFIALVMMVFDNERSDCVYKVLNKLKNLMNTAEPVAHQSLDDIIPIFEKEQL -IDFELDTHDSISYAYKSSTFSKWWDNQLQMNHVIPHYRNEGHFMGFTRSGAAGTASEIACSDHKDILLRG -AVGSGKSTSLPFLLSKHGHVLLVEPTRPLVENVYTQLRGAPFHASPTMMMRHATSFGSPPVTIMTSGFVI -HYLANNRSKISSYGYVIFDECHVEDANAMALRCLLDSVAFDGKVIKVSATPPGREVEFTTQYPVELRTED -KLSFEQFVQNLGSCSNSDITTKGDNILVYVASYNDVDTLARMLVDKHYLVTKIDGRTMKNGLTGIQTHGT -AKRKHFIVATNIIENGVTLDIECVVDFGVKVVPELEIDQRRVIYKKVPVSYGERIQRLGRVGRHKAGTAL -RIGQTIREVIPLNTIVATEAAFLSFVYGLPVMTAQVSTAILGHCTVQQARTMKQFELPTHFMVDLVCYDG -TMHPLIHNVLKQYKLRESEITLNKRAIPHSVVTSWHKVRDYDNDAQLVNMAPNDKIPFLCKDIPGAAYEK -IWKVVVEHKSDAGFKNLTSVNAAKIAYKLKTDPQSIPRTIRVIDELIKMEMEKKAHLDTVSSFTCSSSNM -SLHSIGLLIQSRYVHDHTAANISTLQAAKAQLKSFPVSTFFEGVQNDFTDRVFVDAIEHNGALETVLHQS -QDDILRTLDIRGKWKGSVLARDILITAGVAAGATWMLYEYFTTKLESVTHQGKNKRQNQKLRFREAADRK -IGHVVFDDDSGTIEHYFGTAYAKKGKSKGKTVGMGKKTRRFVNMYGFDPAEYQLIRFIDPLTGEILDESP -HVDIMLVKDHFDTIRMEKIADDEIEPQKVYKNSGIQAYLIKDKVSPVLKIDLTEHLPLAVCNNFETIAGF -PERERELRQTGQAVKVSYTDVPQKSTVIHEGDSLVKGLFDHNNISKAVCKITNASEGFSTTLYGIGFGAL -IIANRHLFKRTGGELFVRTTHGEFTCPDVGKLKIHPIENRDMVIIQMPKDFPPFATKLEFRAPRASDKVK -IVGTNFQEKYISSLVSGVSAIYPVANSDFWKHWIKTDFGHCGLPVVSEIDGFIVGIHSLASTQQNHNYFT -GMIEHMNDLLTTAEQLEYTKLWKYNPREISWGTLDLQNSTPSEPFVLSKLLMDLEQTPVVEQSLQTWMYS -SLEANLKAVGRSQAQLVTKHVVKGECVLFQQYLATHPEAQTFFKPFMGHYGKSRLNKEAYIKDIKKYAQP -ITIGMVDTSVFECAVMNVKTMLSNLDFGQFEYITDSEVIFKSLNMKAAVGAMYSGKKKEYFEGKTASELD -EFLKESCKRLYTGKKGVWNGSIKAELRPIEKVHANKTRTFTAAPIDTLLGAKTCVDDFNNFFYMQHTKGP -WSVGMTKFSQGWDKMLRKIPDGWIICDADGSRFDSSLTPYLINAVAHIRQYFNEDWDIGDQMLRNLYTEI -VYTPILTADGTIVKKYRGNNSGQPSTVVDNTLMVLLAVQYAMLKNGINDVEQKECVYFANGDDLVIAIPP -EREHVLNTMAEFFAELGLSYDFGNRHKRKEDIWFMSHKAITREGIFIPKLEEERIVAILEWSRTENYEHR -LEAICAAMIEAWGYDELLKQIRLFYSWVLEQEPYKTLASEGRAPYISEYALRRLYLGNDDNDAELYNRYL -RALIDNYTHDDSDVVIHQASKEQILDAGQFSGKQPQSQGQTNSSEGSGRDVNVGTKGTFSIPRIKTPMSK -LTLPKLKGKVLVNLEHLVEYEPDQTDISNKRASQEQLGQWVEAVKTSYDVDDEQLKIILNGLMVWCIENG -TSPNINGFWYMIEDGEQIKFPLKPIVENAKPTLRQIMAHFSDLAEAYIEKRNAKKAYVPGYGLKRNLNDY -SLARYAFDFYEITSKTPVRAREAHMQMKAAALRNTRTRLFGLDGSVGNNDENTERHTSDDVNRDMHSLLG -VRNI - ->YP_007001283.1 CP [Ornithogalum mosaic virus] -AESMDAGGSGRQQDSVARQQDKDVNVGTFSVARVKQISDKMMLPKVRGKAVLNLQHLIQYSPEQTEISNT -RATRTQFDHWYDKVRESYGVTDEQMSVILNGLMVWCIENGTSPNLQGNWTMMDGEEQVEYPLQPVLENAQ -PTFRQIMAHFSNAAEAYIEKRNSEQRYMPRYGSQRNLNDYSLARYAFDFYEMTSRTPNRARETHIQMKAA -ALRNTKTKLFGLDGKVGTEEEDTERHTASDVRRDMHSLLGVSM - ->YP_006989380.1 polyprotein [Ornithogalum mosaic virus] -MAVTFSCNLNSDISIFRNISFGTFPAEPTPIRMGQLLVKQAESQIEAAFAGIKTFPVVLLERGKPAIKST -RRNLAKEDFTIEPQIVDRMIAPAAKQDAAPLAKGVKRATSVKQRKIRMKRPVIKNENQIQLIIDQVIHIM -KKKCGAIEIAGSSGSVRFKRTEYGTLPLVKVRHMERKIRAVDLGTNAGAKKILQRISDIAQPRYNIETCF -LKRGDSGLLIKKSRLVGSFLSDNAHDFIVVRGRSKHGIVDAVSKVSHEVLTSTVHYSLSAQYFDAFSTEF -LRHQVVTHEGPCERDIPLPTIGKLHAQLYQLFFTSGKITCLKCMNEIAQRSGENFIEPLLGDVTDLEKEI -RETSLPHVQALMRTIAIEREKRQIDISVHADILRITNGREGEIFKMISRLNDALSKSGFGDQSALKSAHS -ELLQIARWCKNRSDINQSGSLQNFRNKISGKAHVNTALMCDNQLDTNGNFLWGKRGYHAKRFFANYFEKI -NPKDGYAQHRVRKNPNGERELAIGNLLMSTNFEVLRQQLKGNAIESVGLTEACISRLNNNFMYSCCCATL -DDGKPVLSDLKIPTKNHLVIGNTGDAKYVDLPTNDDEHLYISKDGYCYVNIFLAMLVNISEKDAKEFTKT -MVRDLVVPKLGKWPKLIDVATACHLLSVFFPDTRNAELPRILVDHTNKTMHVVDSYGSLTTGYHVLKATT -VNQLIGFASDELQSEMKDYFVGGSRQGVTAWDLTKVLIKSIYRPTRMREVIEQEPCLLALAILSPHLLMT -LFNSGSFDQAAEYWIGKDESIIRLVAILEMLTKKVSMARLLVEQHQILEQHAQNVFNEVFTGFRTQMSYN -IVTQTLLVLKSRCESNEQLGNLGFFIHDKRTLEIFEKNYCNELEASWNELSWSEKFYASWQWSKAQRKLK -ESFVPLDTADSSGVCRKSLQQFAECAKNKCKEVRTGCCRHVKEMCKSVRRKCIRSVLSLVNASIPDLIKV -ANLLAIITLLMGIINQAQAWLHVHQKYKMQKKREKQDQMDQAIYHAYLSLKQNDQLPTVEQFEDVVRRLG -DEIWEHYETFWKGFDVVKFQVKNQQEQNLEKIIAFVTLLSMLYSPERSDSLFKILNKVKGVLGTIEGGVY -HQLDDIQDIISEKNLTIDFSLDNEKQIDHTTFDVTFGDWWQQQLNTNRVVSHYRTGGYFMEFTRATCLEV -CNKIHTTSQHEFLIRGGVGSGKSTGLPFNLSSKGQVLIIEPTRPLAENVCKQLRNEPFLVNPTLRMRGLV -TCGSDAIDVMTSGYALHYLANARNLLNSYMYIIFDECHVLDSSAMAFYSLLKEMQFSGKILKVSATPPGR -ETEFTTMKTVSLATEESISFEAFVRQQGTGSNVDVVSKGDNILVYVASYNDVDNLSKLLTDKGYSVTKVD -GRTMKVGSVEIRTRGSPLNKHFVVATNIIENGVTLDIDVVVDFGVKVCADIDIDNRMMVFKKMAVSYGER -IQRLGRVGRVKEGHALRIGHTEKGITEIPTAVATDAAFLCFVYGLPVMTHNVTTSLLSNCTRKQATTMMQ -FELSPYFTVGLVRFNGTMHPIVHDILKQYKLRDSEIHLSTLAVPYKHTGSWITVSQYRSMGAKLELPEET -RLPFYLKDVPDKVYEKLWKCVIEHRGDAGFGRLTTHCAAKVAYTLSTDPIAIPRTVTIINALIAQEQEKQ -AYFKTLQMQNCSVGSFSLASIANALRSRYAVDHTSDNIELLQRAKAQLLDFAASSNTAQDSEVLSNYGVL -HVVQHQDTKSISRALGLRGKWNGELLTRDIFVNGCVLSGGIWMIWQFFKGKFGEEVSHQGMGKRQRQKLK -FRNTALGKLGREVHGDDGTIEHHFGEAYTSKGKAKGKHGTRGMGTKTRHFMNIYGFDPSEYTIVRYLDPL -TGATQDENPLMAIDLVQERFAEIRSQLICEDKLEKQAIISNPGIQAFYMKNKSDAALKVDLTPHNPLLLT -RTGTIAGFPENEFVLRQTGKAQNIRASDVPESNCLDDVEHEGKNLNRGLRDYNVISSVVCRLTNESDGHS -ASLYGLGYGGYIITNRHLFKNNNGILKVQSQHGDFVVKNTTQLKMTPVGKTDILIIRMPKDFPVLPRRLR -FRAPSSDDKVCLIASNFQERYVSSLVSETSSVYPVGNGEFWQHWISTKDGHCGLPLTSTKDGFIIGIHSL -STITNSKNFFASIPSNFEELYLDKLEQQAWTSNWKYNPNEVSWNGLKLQENKPDNIFKAMKEVSSLFSDP -VYEQGQESGWLFRELKDNLKAVAILPNQLVTKHVVKGPCQCFMKYLNETPEAETFFRPLMGHYSKSILSK -EAFVRDIMKYSKPIVLGEVNFLKFEEGYNNVVRMFRDLGFETCQYVTDGIEIYKSLNLKAAVGALYTGKK -QQYFEGMSEEAIQKLVEASCFRLWSGKFGLWNGSLKAELRPIEKVRAGKTRTFTAAPLDTLLGAKVCVDD -FNAQFYAKHLQAPWTVGICKYYRGWDEFMAKLPTGWLYCDADGSQFDSSLTPFLINSVLRLRLEFMEEWD -IGEQMLSNLYTEIIYTPIATPDGTVVKKFRGNNSGQPSTVVDNTLMVVLAMNYSLAKLDIPFEAMDSRIR -YFANGDDLIIAVNPIGGEHILDSLQDSFSELGLNYDFNDRTYSKEQLSFMSHQALWDRDMYIPKIKAERV -VSILEWDRSILPEHRIEAVCAAMIEAWGFPNLLQEIRKFYAYMVTQEPYSALHAQGQTRYISEQALITLY -KDRKVILNDIEPYLQKLAEISLESDEEEVWHQAESMDAGGSGRQQDSVARQQDKDVNVGTFSVARVKQIS -DKMMLPKVRGKAVLNLQHLIQYSPEQTEISNTRATRTQFDHWYDKVRESYGVTDEQMSVILNGLMVWCIE -NGTSPNLQGNWTMMDGEEQVEYPLQPVLENAQPTFRQIMAHFSNAAEAYIEKRNSEQRYMPRYGSQRNLN -DYSLARYAFDFYEMTSRTPNRARETHIQMKAAALRNTKTKLFGLDGKVGTEEEDTERHTASDVRRDMHSL -LGVSM - ->YP_006906026.1 polyprotein [Iranian johnsongrass mosaic virus] -MEGAWKKVVRSRRPDIDSPHGMRKAMERFAAKHQVYDEQRALAHNSKILKKTYLPPVVVEQPPAKKVLKR -EWVEKCDNNPTWDLKYPRFNGTVCTRKEAIATTSVAKLIQKLLRIGTETGLNIQIIGKKRQRKTNVSTVT -KKTRRFLHCETRHESGEYKRIDSNLDSFWLDKMQELTMKYDKMDESLFANLHKGDSGLTFMKDGKLFIVR -GKHNNEIINSLESTLSVREITHYNDPQATEFWRGYTDAYVANRSESSTHTQHIPTIGIEECGKRMALLEI -LFHSTFKITCKHCNNDDLELADEEFGEKLYKNIQRLENQQSEYLAKDQKLKRMLEFVKKRCNPKFEHLPL -TWQIAETVGHFNDNQSKQIMDINEALIKVNTITTEEATKASLALLELSRWYKNRKEASKMDDLSTFRNKI -SPKSTINAALMCDNQLDPNGNFLWGRREYHAKRFFTNYFEVVDPTDSYEKHVTRFNPNGQRKLSIGKLVI -PLNFQKVRDEFTGISVSRQPISKACVSRIDNAYIYPCCCVTTEFGQPAYSEIIPPTKGHITIGNSVDPKI -VDLPQTDPPSMYIAKNGYCYLNIFLAAMINVNEDSAKDYTKFLRDEIIERLGTWPKLKDVATACYMLSVM -YPEIKSAEIPQILVDHEHKTMHVVDSYGSLSTGFHILKANTVGQLIRMMYDSMESEMREYVVGGTLTHKS -FNSLLGMLVKNMFKPDVIRQVIIEEPFLLMMAVSSPTVLIALYNNCYIEQAMAYWIVKNQSIAAIFAQLE -ALAKKTSQAELLVQQMTILEKASSQLRYAVMGVSHIDPAKRLLWSHLEAMNTRSEMNKELIEEGYALYDE -RLYAMMEKIYIDQLNHAWAELSYTGKFLAILRVYKVKKYYKPSLVLKRSVDLGATYNISATHLISNLVQK -SRTQVSSTLTKLHQGVCDKIEKVRLRTVRTVYWLIPDIFRLIHVVLVLSILTTVANTIINIVQDYKKLQK -QVREEEYEREVEEVRSIHAKLMKIHDDSLTREEFLEYIREHHPRLIEATLDLTGVGVIHENKSRLETNLE -QAMAFGTLLTMIFDPNKSDAVFKVLNKMKTVINTFEQNETFPRIDFTSIFTTPVIHQSADVDTPLITSTE -KNLTIDFDTSHDLPADTFTHDVTFDQWWSNQLENNRTVPHYRLGGKFVEFTRERAAIVSVEIAHDQIDKE -FLLRGAVGSGKSTGLPYHLSLRGKVLMLEPTRPLAENVCRQLQGAPFNVSPTLQMRGLSSFGSTPISIMT -SGFALHLYANNPDKLNGYDFIIFDECHIPDASAMAFYCLIKEYDFSGKILKVSATPPGRELEFSTQHPVE -IYKCENLTHSQFAMELGTGSLADATKYGNNILVYVASYNEVDSLARALSEKHYNVIKVDGRTMKHNVNGI -QTNGTDTRKCFVVATNIIENGVTLDVDVVVDFGLKVTADLDVDNRAVIYKKTNISFGERIQRLGRVGRTK -PGTVIRAGITMKGVQEIPSMIATEAAFLCFAYGLKVITHNVSTTHLAKCTVKQARTMMQFELSPFLMVEL -VKYDGSLHPQIHEKLKKFKLKDSTIMLRPNALPYTNVQSWLSAREYNRTGCNLELEDFVKIPYYVRDVPD -KLYADIYEVIVKYQSTNCMGRLSSACAGKVAYTLRIDPHVLPRTIGIINALIAEEYAKRDHYHAISANPS -SSHAFSLSGIISCISTRYMKDYTKENIEKLVRVRDQLLEFQGTGANFKQPEDLMEFGALNTVIHQSTEQL -SQCLGLQGRWNLPLIQRDLLIAAGVFSGGALMLWCVFTKWAKEKVIHQGKNKRSRQKLKFVKARDAKYGY -AVTGSDECIGENFGTAYTKKGKQKGTKVGLGVKQHKFYHMYGVDPQDYNMIRFVDPLTGTTLDEQTVTDM -KLVQEHFDAIREEAINNDLIEKQHVYSNPGLRAFFIQNGSTNALKVDLTPHEPLRVVKGNNIAGFPEQEG -NLRQTGAPVLVPLSQVPEANEELVLHEAKSMMMGLSDFNPISRHICVIENDSNGVRINVYAIGFGSYLIT -PAHLFKHNNGEITIRSSRGVYKIRNSVEVKLHPITGRDMVVMQLPKDFPPYPKKINFSQPCVEDRVCMVG -VNFQQEYSSCVISESSVTAPKGNSSFWKHWISTSNGQCGLPLVNVRTKDIVGIHSLASTNNTTNFFVAIP -ANFSEYLNELSETNKWEKGWHYNPNLISWSGLNLVSAAPSGLFKTSKLVEDLSDDVSEQCKVQETWLTRN -IQNNLQVVAKCPGQLVTKHVVKGQCPHFSLYLSTHDDARNFFKPLMGAYDKSRLNKAAFTKDLLKYSKPT -YIGEVNYELFEKTVKRVEMILRQAGIQQCVFVTDEAEIFKSLNMNAAVGALYTGKKKEYFESFTELDKEE -IVKQSCERLYNGQLGVWNGSLKAEIRSIEKTLENKTRTFTAAPLETLLGGKVCVDDFNNQFYEHHLVGPW -TVGITKFYGGWNRLLEALPDNWIYCDADGSQFDSSLTPYLINAVLQIRLNFMEAWPIGEQMLKNLYTEIV -FTPIATPDGSIIKKFKGNNSGQPSTVVDNTIMVILAFNYTLLACGVEADMIDSMCKMFANGDDLLIAIHP -DFEHLLDEFQKHFGSLGLNFDFSSRTRDKSELWYMSTRGIKIDGMYIPKLEKERIVAILEWDRSKLPEHR -LEAICAAMIEAWGYPDLLHEIRKFYAWLLEMQPFANLAKEGKAPYIAETALRNLYTGNRAKEDEIERYLQ -QFIKDLPGYVEDYNEDVIHQSGTVDVGQQKSQTDAQDKETGGSANKDKQNKENEGGKATQDGKDNTGAST -NNSQKNDGNTSQGGKKDKDVDTGTTGTVTVPKLKAMTKKMRLPKANGKAILNLDFLLTYAPQQQDISNTR -ATQEEFNRWYNAIKKEYEVEDSQMGVLMDGLMVWCIENGTSPNINGVWTMMDGETQVTYPLKPVVENASP -TLRQIMHHFSDAAEAYIEYRNSKERYMPRYGLQRNLTDFNLARYAFDFYEVTSKTTARAKEAHMQMKAAA -VRGSSSRMFGLDGNVGETQENTERHTAGDVSRNMHSLLGVQQGH - ->YP_006493333.1 polyprotein [Sweet potato virus G] -MACLANGNVAGKKKKMTWKECCNKWGRAAMEQQQGSRGPYTIEVGKDQLAANIFAFIPNTEWHKYYVARI -GLSSEALKLKYAITFGEVYEFDSSFNRCPECDCAIDEHRCDECSIRFKKSDDNIMKNMNETARALGGWDN -YYAATWKQFEAAKDDMLEVAPTAGMLEKRAREAEKLLGKKAKRHEVAEVQQLWEKYEEAKEIEAEEETFF -EHEASLLMQEAPKTYKDNFPQLGKGSEATLVVEKEVPVKEASTGFFFGEIPALVELPKIPILEILPAAPV -LNLNGELNEQTQPQGKDESIDEVEKDQVKPVAMIQSGFKKYELVGNSFQRVKKLPKTLYPWGEKSQTPGK -VQHTMITKWVRKTMNQQAEREEKIWSAWERTKETVFAKREELKAKWRWGLYRLVKKTRKDNQKQRAKARK -SKQQIQLEELPPQIVSTISIAGGVAPSQMEEKKQPSGQIFSTPSLKKKKIMSKTKLTEQQQQQLTQAVLK -IAKKKQLCVEIIGKKVTKSKFTKHKESIYLCLRVKHMEGIRKPIDLFIHGQNLPVIKQAAQLAAWKKIHR -TEEIEKGMSGFVLNPQKIIGAHGHAPKELFVVRGAFRGILYDARMKIGRSILPYMEQYSMTGARFWSGFD -EGFRSLIPSDRDHVCTSDIKVEDAGRMAAIIHHIVLPMNRTTCGTCASNVADMSLAEWVAHVRNFLVKYR -SQLMANGSQYEHFNWLLETLPKALIDENPNTKAFSEIQQTIGDRLDSPFLHVNEINKVLVKGGRASSGEY -TQALENLLEIARYLRNRTENIKKGSLVSFRNKISQKAHVNLSLMCDNQLDKNGNLIWGERGYHSKRFFAN -YFEVINPEEGYGKYIIRSNPNGSRKLAIGKLIVSTNFSVFREQMKGEPIPKLKLDKHCTSLRDGNFVYPC -CCVTLDDGTPVESEFKLPTKNHLVIGNSGDPKYVDMPAEINRKMYIAKEGYCYVNIFLAMLVNVNENEAK -DFTKQVRDVLMEKLGKWPSMYDVATACAFISVFYPETRNAELPRILIDHSTKTMHVIDSFGSLTTGYHVL -KANTVSQLIQFASSSLDSEMKHYLVGGMIGVNSFEEGSLKAIIKGIYKPQILRNILEEDPYVLLLSILSP -RILLAMFNSGSLDHALEKWITRDQEVATILGILLELSKKVTVARTLNEQMNVIEAHASYMIDNMWVNGKR -TVANALSHQIIRNLAAKRDANRSLIEQGHRVTAFATSQELLEKIWEGHLEEQWGGLTWLEKFYATARSLR -YGRFIRMHLPRVNLEDLSDRAKDSFTSLHTKSSNIGNICVNTCKNRFVSVYTSFISKCITCSFNAVRFLM -PDILKFVNMLLVVNLLLQITKTMKSMAHKNNKMKADLSGFLLDQEIDKINVIYSSLCKKTGEIPTEKEFL -DHVEYINPTLLGTAKWLLYVADDEVQHQAKGLKEANYERIIAFIALILMVVDAERSDCVYKALNKLKGLM -STICGGPVYHQSLDDITNEFEEKKLTIDFELQSDESHINQECDATFDTWWKNQLARNNTIPHYRTEGHFM -EFTRANAVSVANNIATGPHKDILIRGAVGSGKSTGLPFYLSRKGRVLLVEPTRPLAENVHRQLACEPFMI -QATLRMRGLSVFGSAPITVMTSGYAFQYYAHNPEQLKDFDFIIFDECHVNDAQAMAFRCLLVEHEYPGKL -LKVSATPPGREVEFSTQFPVKIKTEERLAFQAFVNAQGTGSNSDVTSFADNILVYVASYNEVDELSKLLI -EKGHKVTKVDGRTMKVGNVEIVTSGTSARKHFIVATNIIENGVTLDIEAVVDFGTKVTAYLDVDSRRIQT -CKGPINYGERIQRLGRVGRNKAGIALRIGFTEKGLCEIPQTVATEAAFLSFAFGLPVMTNNVSTSLLSNC -TVRQARTALQFELSPFYMVNVVRYDGSMHQAIHNILKQYKLRDSEIVLNKLAIPNRGVTGWLTVTDYVRI -GQRLDLDPDTRIPFLHNTMPERIHKEVWEAIQRFKHEAGFGRLSCISACKVAFTLQTDMYAIPRTIKIID -SLIEGEMRKKEHFRTITGRTSSSHNFTLNSIATMWRARYAQDYTSENIAVLTAAKSQLLEFNNLSTDVAF -NEMNETMLASYVRDNGALNCVQHQSEDAMKKHLKLKGIWSKSLITQDILVLAGVFIGGMWMILQNTKDSF -DEIVQHQAKSKRQRQKLQFREARDRKTGYEVTADDGTIEHLFGSAYTKKGKQKGKVCGMGAKSRKFVNMY -GFDPTEYSFVRFVDPLTGKTIDDSPYTDILLVQEQFARARREAVANDYLSNEKISRDPGIEAYYINEITN -AALKVDLTPHNPLKACDRVNTIAGFPEREGELRQTGLPTKMTLADVPKESSFDTVVEHESKSLFRGLRDY -NPIASVVCQLINTSDGRTSDAFGIGFGCLIITNRHLFKRNNGELTIKSRHGEFHIKNTTQLNMAPCEERD -ILIIKMPKDVPPFPQKLRFRQPKENERICLVGSNFQDKSITSTVSETSVTCRVNNSHFWKHWIDTKDGHC -GLPLVSTTDGNIIGIHSLSNMTNTQNFFAAFPENFEEKYIKSVDNLEWIKKWSYNPDEVSWGNLELQKSQ -PTTPFKITKLISDISAVPVYTQSRTDLWVHDRLYGNLKAVGRCPAQLVTKHVVKGKCMLFELYLQNFPEE -SKYFRHLMGAYGKSRLNKEAYNKDLFKYASPITVGEVDTDIFETTETAVINMMERRGFTECNFVTDTEEI -IKSLNMKAAVGALYSGKKKDYFEGMSDEAKDDILYHSCLRLFTGKLGLWNGSLKAELRTREKIDANKTRT -FTAAPLDTLLGGKVCVDDFNNMFYNLHLQCPWTVGITKFYKGWDTLLRKLPEGWVYCDADGSQFDSSLSP -YLINSVLNIRLHFMEKWEIGQTMLKNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAMT -YSLEKVGIKGEEQDEVCVYFANGDDLLIAIEPTHEWVLDTLQVSFKELGLNYDFSTRCKERSDLWFMSHQ -GIERDGTFIPKLEPERIVSILEWDRSHEPVHRLEAICAAMVEAWGYDELLARIRRFYAWILDQAPYSELA -HQGKAPYIAESALKTLYTNIAPTNMELSEYARVLSQMYEDSLTNFEDNNVHHQSAEEIYDAGKTGNTGRG -RGRGTVPPPPPPPGAPRTGDLPPAVQTGPLPPGAASKPPIIEEITQPESPRAKALREARGKAPATIPDSR -GVDTSQTPSFTPGRDQTMTPTLQRTSTGVKDRDVNAGTVGTFIVPRLQITHSKKRAPMANGRIVVNLDHL -TIYDPEQTSLSNTRATQEQFNAWYEGVREDYGVNDEQMGILLNGLMVWCIENGTSPNINGMWVMMDGDEQ -VTYPIKPLLDHAVPTFRQIMTHFSDIAEAYIEKRNRIKAYMPRYGLQRNLTDMSLARYAFDFYELHSNTP -VRAREAHMQMKAAALKNAQNRLFGLDGNVSTQEEDTERHTTTDVTRNIHNLLGMRGVQ - ->YP_006438197.1 coat protein [Sweet potato virus 2] -SGTEETKDAGTPTPAKSVKTRTEQTQPLKAPEGSTNPTDPPPPTVEEIIEEETPAQKALREARGKQPATQ -PSYTYGRDTGPRSPRQVTTTSRVRDRDVDAGTVGTFIVPRLQITSSKKRLPIVDGRPVINLDHLADYDTE -QTNLANTTSTQQQFKAWYEGVNGDYGVTDAEMSILLNGLTVWCIENGTSPKINGMWVMMDGEEQVTYPIK -PLLDHAVPTFRQIMTHFSDIAEAYIEKRNRIKAYMPRYGLQRNLTDMSLARYAFDFYELHSNTPVRAREA -HMQMKAAALKNAQNRLFGLDGNISTQEEDTERHTTTDVTRNIHNLLGMRGVQ - ->YP_006423994.1 coat protein [Chilli ringspot virus] -ADTQAVDAGKSTQSSEKKPANPASVSKVVAETPRDRDVNVGTAGTFSVPRLKGISSKLNLPMINKKAVIN -LDHLLKYTPDQVTLSNTRALNSQFASWYEGVKTDYDLNDSQMEIVLNGLMVWCIVYGTSPNLNGMWVMMD -GDEQVEYPIKPLLDHARPTFRQIMAHFSNLAEAYIEKRNAEKPYMPRYGLQRNLTDMTLARYAFDFYEIN -SKTPARAREAHIQMRAAAVMHAKNNMFGLDGNVSTKEENTERHTATDVNRDMHHLMGVRGV - ->YP_006423982.1 coat protein [Celery mosaic virus] -GDQIIDAGGSNKEKSKMNKNEGSSGKDDEKKNDDQSLIRGADRDINAGTQGTIAVPKLKAISNKMRVPKY -KNKNSMNLEFLLTYVPDQVDISNRRATHSQYEAWFEGVKKDYGVSDSEMEVLLSGLMVWCLENGTSPDLN -GMWTMMDGDEQREYPVKPLLEHAKPTFRQIMHHFSDVAVAYIEMRNTKGPYMPGYGLKRNLRDRSLACYA -FDFYEMTSRSPERAREAHLQMKAAALRNTKTRLLGLDGSVSGKEEDTERHTVDDVNRNMHSLLGMQGM - ->YP_006395348.1 coat protein [Pennisetum mosaic virus] -SGRGDAGTPPQTEEQKKAAEEQRQKAAADAEAKRKAAADEEARRAREAEAERKRQADAAAKGKQDKDVNV -GTHGTVAVPKLKAMSSKMRLPLAKGKNILHVEFLLNYKPAQQDISNTRATRAEFDRWYDAVKNEYEVDDT -QMTVIMSGLMVWCIENGCSPNINGVWTMMDGEEQRTFPLKPVIENASPTFRQIMHHFSDAAEAYIEFRNA -TERYMPRYGLQRNLTDYSLARYAFDFYEITSRTTARAKEAHMQMKAAAVRGSNTRMFGLDGNVGESQENT -ERHTAGDVSRNMHSLLGVQQHH - ->YP_006390076.1 CP [Cyrtanthus elatus virus A] -SDETTDAARQQLVKNKDKQVVDQQRDVEGGSHGTFVVPRFKGISSKLKYPKYEGDTAVNLEHLLVYNPDQ -LDISNTRSTQQQFNTWFRRVMEAYGSDIEGMKLITNGLMVWCIENGTSMNLKGNWVMMDGETQVEYPISP -LLEFAQPTFRQIMMHFSDLAEAYIEKRNVTERYMPRYGLQRNLRDYSAARVAFDFFTIKSSTSAKLREAC -IQMKAAAVKGGNNQLFGLDAKVGSEEEDTERHTADDVRRGMHSLQGARF - ->YP_006383504.1 polyprotein [Cyrtanthus elatus virus A] -MKFEEVVAAKPLLPVVMGNEVEAHITNASTQVSALVAGRKPAIKKEWRPVKKVISMEETLINKEVEEVIY -PRVKFNMKEIKHEEEYDFHFIMGPQLEGDDKGPSDEMLAQQRMDFANSRRAEDEHLSTEKLKYNQAVHEF -DPKEQISYGRLKGLRVKNKETLVHNTKVRKPIRSTRAIESLIAFVAKRASRQGFSMDIIERKKRVNKLTF -KRIGDSKHLKVMTRHETGRFYCTDLYAGEFFDHALHCMRSVIKHERPTHDDIGPGWSGVVLKSRNLQLSG -LYVKDPYFVIRGRFGPDVVDARQPMEWSQVKQIEHYSDDFKNRFWKSFEETFIMLRKSKVHECVRDVPVE -KFGQAVALFTQMLVPIFKTTCSQCIKLATNRSVEQIHFDASRKVIADYYEKVKEDVDFSHFITAFELLSG -IEKDSQLGLENFSKTNEIVMLAQTSQIKQMKDLNEIMVKRHRISDEEFDKASTIVLELARWFKNRTDSRK -AGALETFRNKISAKTHLNMALLCDNQLNVNGVFQWGERGYHAKRLFANFFDEIDESTSYEKYAIRKHIRG -ERHLAIKNLMVTTNLEKMRESMRGIEENRHQLTQNCVVKIEGNFVYSCCCVTLDDGKPFESRVRMPTKHH -LVLGNNLDEKVVKLPERVTNNMFIAKDGYCYIIIFLAILINIQEADAKEYTQMVRDKVIKTLGKWPTARD -VATMLVYMRAFYPEIETAELPKILIDHTHKTMHVMDAFGSMSTNYHVLKANTVAQFIQFAYNEIEGEMQN -YNVGGDYTVSYDDSDGEFTVEELNSEEHTDVVGGDASTKLEFATHSEKLSNFKVLIGAMFSASKFRSLLM -ANPYYILFSLLSPTVVIRMYRDGLFDQAINIAMADNMEVGEMMIRLKCLAQTVSMSESLIIQSFAIGSNC -ESLLEYLPSVRMADRVTNIARMHLEVLIKAIEVDMKLSDSGFVVTTANFMKKKEDLYVQIYDEALCASGL -RNRLRLSIYRLKQSKPLHSQIARAKRNVSLDAWKQFTHERLADTRCIAKKVQYHTVGRVTRCYTSICKQI -VVYMFNKLYTFLSEIFKVVNLLILVSLLLQIYYLCVRICRERYALKNQVKQMEYANNSKLVLQVYDEFYE -LNKGCPTKQEFLDHIINTRPELVEFVRMQMIDVEFQHKRKSENDLERIVAVIAMIMMVFDSSRSDAVFKI -LNKLKAVFGTFNERVQFQSLDDEVNIDKGLTVDFDIVELNTPVAVTFDVTFEDYWRTQLNSNRLCEHYRT -TGAFIEYTRSRTEEVVREIAENPVSNNFLIRGPVGSGKSTGFPVALSAKGKVLILEPTRPLTENVTRQLS -GAPFFQSVSMCMRGVHEYGSGNITVMTTGYALHYFANNRDQLNKFSFVLLDECHVMDASAMAFYCLCKDM -KYVGKILKTSATPPGRETDIDKSQKKIKLLKESSLSFEDFVAAQGTRSNACVISHGANILVYVASYNEVD -QLSALLIARGYRVTKIDGRTMKTGGADIEMKGSRDLPHFAVATNIIENGVTLDIDVVVDFGMKVVANLDA -DLRMVNYSKVGVSFGERVQRLGRVGRIRDGVALRIGHTERGIQEVPEAIATEAALLCFAYGLPIMPHNVV -VSALAKCTSQQARVIHSFELPPLFLLELVKEDGSMHPEIYRHLSKYILRDTEIKLLKTSLPNANTSRWFK -VKDYRKFGARVALDDECKIPFYVNNVPDKLYEDIWNACVQFKEDVKPARITTACAQKIAYTLQTDSSSIA -RTIGIIDHLLAEERIKQAHFRSAFSDTVSTSSFTIAGITKYLRSRYMHDHSDENIETLQRVRAQIMEFRN -LSVPFSDVEAIRDYGHMSTVLFQSKEDIVKQLQLKEKFDMRTAVHDGLICSAVFIGGLCMIANAFYEGIN -ETVEFQGKRERQKLKFQRERSEKMNRGVFDDCEDNHDNFAKEYLKKKKSKGRSGGSDTKARRFVHMYGFD -PQEYSIVKFLDPLTGIVYDQNDFKSTWELSDKIVKDRFDDDDLERELLRYRPEIHAYYFKHGSHKAIKID -MTPHNATKVGKVSGRAVGFPSRQGEFRQTGEHVEVDVSNIDERVTSQLVDFENKSAVKGLRNYSPISKVI -CELKISSDGNSNTQYGIGFGCYIIANQHLFKRNNGTLQIKSAHGDFTVVNTTQLQVMPIENRDIIIIRMP -KDFPPFPMKLNFREPTDKERVCLVGAEYTGKTIYTSVSESSFTYPEKDTHFWKYWVSTRHGQCGLPVVSV -NDGSIVGVHSLCFLDKEENLYSSFPNNFDEIIINMVDENWQKNWKFNIDNIAWGSMSILGSKPEGLFRTI -KEFTIGKSPVELQSKSDEDFTWLTKHIDGNLKQIGECPGNLITKHIVKGKCPIFSIYLQEHPDAMAYFTP -LMGFYGKSALNKAAYVQDLCKYSSTIVVNRVEPEVFELAVEDVVNLLERGGIGRCDYITDEATIIQDLNM -NAAVGALYKGKKKDYFLDFTEQDFKDIVMASCERLYDGKFGIWNGSLKAELRSMEKVLSNKTRSFTAAPI -ETLLGGKVCVDDFNNAFYKAHLKIPSTVGITKFYKGWDRLLRSLPEGWLYCDADGSRFDSSLTPYLINSV -ITIRERFMEDWKVGRRMLRNLYTEIVYTPIATPDGSLLKKFKGNNSGQPSTVVDNTLMVMMAVQYALRRC -QIEIETQDEVIKYFCNGDDLIIAIRPDQASVLDTFQESFEHLGLTYDFSSRTTDISQLSFMSHVGKLVEQ -TYIPKLDVERIVAILEWDRSSEPLSRLESLVAAMIEAWGYDDLLHEIRKFYAWVLEQAPYSQLAADGKAP -YLAETALRRLYLDVHATEEELEKYYNAYADITLDDTPQRVAFQSDETTDAARQQLVKNKDKQVVDQQRDV -EGGSHGTFVVPRFKGISSKLKYPKYEGDTAVNLEHLLVYNPDQLDISNTRSTQQQFNTWFRRVMEAYGSD -IEGMKLITNGLMVWCIENGTSMNLKGNWVMMDGETQVEYPISPLLEFAQPTFRQIMMHFSDLAEAYIEKR -NVTERYMPRYGLQRNLRDYSAARVAFDFFTIKSSTSAKLREACIQMKAAAVKGGNNQLFGLDAKVGSEEE -DTERHTADDVRRGMHSLQGARF - ->YP_006382460.1 polyprotein [Sweet potato virus 2] -MACVTNGTAFARKKKMTWKECCNKWGRAAMEQQQGKGSAYTTEVSRDQLAANIFAFIPTTEWHSYYVARV -GLSANALKLKYAISFGEKYEHGAIFNRCPECDCAIDEHYCDECEIRFKKADDNIIKNMNETAKALGGWEN -YYAATWKQFEAAKYDMEQIAPTAGMLERRAKEAEKLLGKKAKRHEIAEVQQLWEEFEAAKELEAEEETFF -EHEASLSSIPEPTTYEEAFPQLKVTSDVSINTSQASEVVEDNLGFFFGEIPAKIALPTIPILEILPAAPI -LNLNGELNDIAEVKQPEVIDEVCVTTQPKETDIIQSGLKKYELVNGKFQKVKKLPKTLYPWGDRETTPGK -TQHAMVTKWVRKKMSEEADKEEKIWSAWEHTKKQQLEKRLDLKVKWRYGMFRLVKKTRKDNQRQRQKQRA -MLEQKKLEMMPQQIVSTISIGGGLAPSHMKEATQKSGMIFCTPSMKKRKLFKPLVISNGNLDNLTQAVLK -IACKKEMNVEFIGKRVIKGDYTRKENVRHLRLQLKHMKGLRHSIDLRIPSGLQALIVKAARVAAWKKIYN -TQNVVKGMSGFVLNPQRLQGKTGHAPQGIFVVRGAFKGVLYDARMKIGRSILPYMEQFSQTGDRFWNGYD -QMYRVLRGNDQDHTCKSDLDVNEAGKLAAVMQHLCLPMNRITCLTCANKILEMSSSEWVEHIRMFVNSKL -EFIRKECPNYKHVHWMIETMTKNLVHENKNLKAFNEIQQLIGDRTDAPFSTVNEINKILVKGGKIKAEEF -LQASEHLLEVARYLKNRTENIKKGSLVSFRNKISQKAHLNLSLMCDNQLDKNGNLIWGDRGYHSKRFLSN -YFEVVEPEQGYEKHIIRQNPNGARKLAIGKLIVSTNFSVFREQMKGEPIPKLKLDNHCTSLREGNFVYPC -CCVTMDDGTPIESEFKLPTKNHLVIGNSGDPKYVDMPPEVDKKMYIAKEGYCYVNIFLAMLVNINESDAK -DFTKQVRDILMEKLGKWPSMYDVATACAWISIFYPETRNAELPRILVDHNTKTMHVIDSFGSLTTGYHVL -KANTVSQLIQFSSNSLDSEMKHYLVGGIVGVSKDEERCLRSIIRSVFKPELMHQILEEDPYVLLLSILSP -RVLLALFNSGSLDRSLEKWLTKDQEVSTILGILIELSRKVTVARTLDEQLNVIEGHASYLIDNLWIEGRK -TVAHALSYKIARGLSEKREANKVLYEQGHRITAFISSHEMMEKIWDQLLLEAWNELSWQEKCCSMMRSLK -YAKPLQGGFPQVNIGGLRDKVGESLTTLHTKSAVIGKECKKSVIDNVASLYKSIIRNTINVTLSTVRLLM -PDILKFVNILLVINLLLQIAKTAKSMNQKTKQMKIDLGEFMLDQEIEKINVIYNSMCSKEGKLPTKEEFL -EKVEYLNPQLLGTAKWLVYAYDSDVFHQAKSAKESSYERIIAFIALVLMVIDAERSDCVYKSLNKLKGLM -GTIGDGVYHQSLDDISNEFEEKKLTIDFELQSDESHINSESDSTFGDWWKKQLETNNVIPHYRTEGHFME -FTRANAVSVANTIAMSPHKDLLIRGAVGSGKSTGLPFYLSRKGRVLLVEPTRPLAENVHRQLAGEPFMIQ -STLRMRGLSVFGSAPISIMTSGFAFNYYAHNPDQLREYEFVIFDECHVNDAHAMAFRCLLHEHAFNGKVL -KVSATPPGREVEFSTQYPVKIKTEERLSFQAFVDAQGTGSNSDVISSADNILVYVASYNDVDELSKLLID -RGHKVTNVDGRTMKVGNVEIITSGTSNKKHFIVATNIIENGVTLNIEAVVDFGTKVTVYLDVDSRMIRPC -KGPITYGERIQRLGRVGRNKVGIALRIGFTERGLCEIPQTVATEAAFLSFAYGLPVMTNNVSTSLLSTCT -VRQARTVLQFELTPFYTVNLVRYDGSMHQAIHNLLKKYKLRDSEIVLNKLAIPNRGITGWLSVCDYIRIG -QRMDLDDSIRIPFLNNAMPVRLHQEIWDVIQKYKHEAGFGRLSCISACKIAFTLQTDMYAIPRTIKILDA -LIESEMRKKEHFRTVTGRTTSSHHFTLNSIATMWRARYAQDYTSENIAILTAAKSQLLEFANLSTDVSFN -EMSESMLSSYIRDSGAVSCVQHQSAEAMAKHLKLKGIWSKSIMTQDLLDQAGVFIGGIWMTMQGAKDTFD -ETVRHQGKDKRQRQKLKFREARDKKMGFEVTADDGTIEHFFGEAYTKKGKQKGKTTGMGSKNRRFINMYG -FHPTEYSLVRYVDPLTGKIIDDSIYTDVLLVQEQFTKARREAINDDLLSNEKVAQNPGIVAYFIKEGANA -ALKVDLTPHNPLKACDRINTIAGFPERESELRQTGQPIQISKNQVPHNPETSDSSVVTHESKSLFRGLRD -YNPIASVICHLVNTSDGRTTDVFGLGFGGLIITNRHLFKRNNGELLIKSRHGEFTIKNTTQLHMMPCSER -DILVIKMPKDIPPFPQKIRFRVPKENERICLVGSNFQEKSITSTVSETSVTCRVDRSHFWKHWVDTKDGH -CGLPIVSTTDGAILGLHSLSTMTNSQNFFAAFPESFEEDYLRSPESLEWVRKWSYNPDEVCWGSLELQWS -QPGEPFKPTKLMSDLNAIPVYAQAKHDTWVRDRLNGNLKAVGVCPSQLVTKHVVKGKYMLFELFLQTFPD -EKSFFKPLMGAYGKSKLNKEAYTKDLFKYATPISAGEVDTEVFEQAETLVIEMLREKGFTECNYVTDTDE -IIEALNMKAAVGALYSGKKKEYFQDLNADDRDDLLFHSCKRLYMGRKGLWNGSLKAELRPMEKINANKTR -TFTAAPLDTLLGGKVCVDDFNNMFYNHHLKCPWTVGITKFYKGWDTLLNKLPEGWLYCDADGSQFDSSLS -PYLINAVLNIRLAFMEDWEIGAQMLKNLYTEIVYTPILTPDGTIVKKVKGNNSGQPSTVVDNTLMVVLAM -TYSLCKLNIKPENHDQVCIYFANGDDLLLAIDPTYEWILDSLGKLFRELGLNYDFSSRTNDKEELWFMSH -RGMKRDGIYIPKLEPERIVSILEWDRASEPVHRLEAICAAMVEAWGYDDLLQHIRKFYAWILDQAPYSEL -ARVGKAPYIAETALKALYTCVEPSAEDLSEYVRVLNLMYDDAVESNDCEPVYHQSGTEETKDAGTPTPAK -SVKTRTEQTQPLKAPEGSTNPTDPPPPTVEEIIEEETPAQKALREARGKQPATQPSYTYGRDTGPRSPRQ -VTTTSRVRDRDVDAGTVGTFIVPRLQITSSKKRLPIVDGRPVINLDHLADYDTEQTNLANTTSTQQQFKA -WYEGVNGDYGVTDAEMSILLNGLTVWCIENGTSPKINGMWVMMDGEEQVTYPIKPLLDHAVPTFRQIMTH -FSDIAEAYIEKRNRIKAYMPRYGLQRNLTDMSLARYAFDFYELHSNTPVRAREAHMQMKAAALKNAQNRL -FGLDGNISTQEEDTERHTTTDVTRNIHNLLGMRGVQ - ->YP_006390066.1 CP [Hippeastrum mosaic virus] -QVDAGNEGAIEKAKKAEKDVDAGSSGITTIIQVKSFSKSMKMPQINGKKMLDLSHLLQYKPAAEDLYNTR -ASQAQLEHWYNGIMKAYEINADEMAKVLNGLIVWCIENGTSPNLRDTWRMEFSGTFVEYPLQPIIEFAQP -SLRQIMRHFSNIAESYIVMRNQTERYMPRYAIQRGLTDYSLARFAFDFYDVTAQTSVRAREAVAQMKAAA -LRGASSKLFTLDGKVGTATEDTERHTVEDVNRNMHSMLGVRGVI - ->YP_006382256.1 polyprotein [Hippeastrum mosaic virus] -MASMMMIGSINIDLGNWKVDTGMSKVSSVAARPNLNSANLSKTLLNDRDGVESTTIKTIEKPKISDLFVD -CVPVVVPKSVKDDWLLSDSLFAQMRKYIKFTAEGRRILYKGWESFAHNMERFAYTYTRKMKEAAIGRLNK -TFDAPDEVINSIRIEEEDVKAVDTTVKPREQVQRKVRAKPKKNYVTWSVEKLINSVRTVSESTGVEIQVI -GKRANRPLCLRGVRRYGTKCFKVTTRHECGIYKPVDCYFDEVQRPVFEQLMRPYERRRTIQSKMLTYGDS -GLVLSKKEALGGICHEDEVFFIVRGRLLGNLVDAREPLNFESQIAVDHYNDVAKEFWDGYSSTFVEHKNQ -IDDHVCERDVSVYECGKVAAFLTLIYGQCGKITCGKCAKRVAEMSLGEYSDAVLKEMERLSPFMSKSLAS -FEHVQQFVDVTKNIASHSKMNYDAFTENIRLIGDRSDAPYSHLLNLNNGIVKLLHSSDGDATRLAEELRQ -LVRWHKNRIEHVKTGSLATFRNKILAKNQLNLSLLCDNQRDQNGNFVWGLRGAAVNRFFKNYFTEVVVGG -KYEQYQVRHNPRGSRQLAIGHLVMPTDLAVLRGQLKGERIDQGPVGENCISRLHGNFLNPCCCVTHDNGV -AYESAYMAPTKHHLVVGSPIDPKYIDLPQGKKPGMYIPKEGYCYILIFIAMLLNVEEEKAKQFTKIVRDV -LVPKLGTWPKMIDVATACHFLSILHPDTRTAEIPRILVDHQKQILHVIDSYGSATSGFHYLKAGTVAQLI -LFANDDLQSEIKDYLVGGEKDVTISVQNRRSGCKPQKSLTVLEKMPENHFVKEVTFEVGESSTSQQQRNK -GCGSNEERSYPCLNQPLASATNTIWEHMKFLIRAIHRPQKMRQILEDEPFLLLLGILSPAIIKALFESGT -FSDGLSIWINRDSSVAHMLAILDDLAVKTTRSDMFWEQLRILEEGYKPLREKLSERRYPSASYGMAFTAI -SLAHDRIVADKELNQAGFYASGAKIASYLEKNYTDELAISWSELSLCGKLRVMKHTCMRKLRSPDYLQGD -KQRIKEWKEASGSCLERCSKTINASVAVAIYHTKRFCKNVLWKSNQALVHIPLKILQGGLPQLFKHVSML -AVLSLLIAIIHNVTSMVNEHKRMKREAQSIAYNKREKQLGRIFELFIAKHGTELSESQWEDFEKSVPDEL -KTHYREEWCGERSVTYQSKSKQEHIFEQIIGFLLLATLMFSPARSDVVFKVLNKVKSILTSTAPDCRFQS -LDTIDDILESKQQVVDVELSTNAAFVETPFDSTFEKWWDNQMQVGNTIPHYRTGGFFMEFKRNWAPKFCS -DVINSDEKEFLISGAVGSGKSTGLPFNLSQKGRILVLEPTRPLAENVCAQLRKHPFFCSPSLFMRNVHTV -GHSPIDVMTSGFALHSLANKREKIFDYQFIMFDECHVLDSSAMAFYCLLQEVKFPGKILKVSATPPGHEC -EFETQHPVEVIREASLTFTQFAQNLGTGAYSDVLKKGVNILVYVASYNDVDSLSKLLIEKQFMVTKIDGR -TLKSGTNEIATRGTKEKPHFLVATNIIENGVTLDIDVVVDFGTKVVAQLDSDSRRITYSKTNISYGERIQ -RLGRVGRNKPGTALRLGHTEMGLSEIPVNIATEAAFLSFAYNLPVMTHNVSTSLLGKCTKRQAITALQFE -LPIYYTSSLIASNGSMHPEIHEVLKPYKLRYSRVEMHELSFQMGHTAQWLTVRDYSKLGVDVQCEPEMKL -AFYARDVPERLHEKIWRIVCDLKTNSTRCSIRSHNASKIAYTLRTDPTAVQTSIAIIDQLLEEEQLKQSY -MQSLSLMSSSASFISWSSMINAVRSRYAVDHTGENIEKLQRVKARLTEFNNITRTGNYHEAVTEFMEAEA -VHYQNKNDMSKFVGLKGKWDSSLLTRDLIVCSVVLVGGIWLTYEHLLRGHQEDVTYQGKGNAARAKQLKM -RANRDKRTYRIDAPEEALEQFFGEAYTKHGKKSGKSKTHGMGKKAHKFYHVYGFDPSDYLLVRYVDPLTG -ATYDEHPLASVSSVQEKFSEIRSQKIANDELELQKIYSQPGIQAYFMKGAGKTALKIDLTPHQALRVSDI -STSIMGFPEREGELRQTGKPSEVPIGSVPEGIVEEVSYESKAMCRGLRDYNPISSCVCKLTNSSDGHDSE -LFGIGYGPFIITNRHLFKHNNGTLRVNSRHGEFKVMNTTQLLMSPVGNTDILLIRMPKDYPPMPSKLIFR -APQADDKVCMVSTNFQTKFMSSAVSETSVIRKVSSGEFWQHWITTLDGQCGSPFVSTRDGAIVGIHSLAS -MAHAHNYFAPFPANFSVKYLENSEALEWTKHWKFNADKICWGTLNVHEDVPDGLFKISKLISDLKDDLVY -AQDKRQGWICSKAEGNLLPVAACEGSLVTKHVIKGPCMLFSTYLATNPDKEAFFRPLMGHYGKSRLNKAA -FVQDFFKYADPIELGNVEMEILSQAKQSVIRLLLSAGVGSCNYVTDTDSIFESLNMKAAVGALYKGKKRE -YFEQFSNEDRDKIIQDSCFRLFSGKMGVWNGSLKAELRPTEKISLNKTRTFTAAPLDSLLGGKVCVDDFN -NQFYEGHMKGPWTVGMTKFYKQWDVLFRMLPDGWVYCDADGSRFDSSLTPVLLNCVLNIRSEFLEDWDIG -EQMLRNFYTEIVYTPIAVPDGSIIKKCKGNNSGQPSTVVDNTLMVMLAMQYSLAKLGVEFEGQEDVIKYF -ANGDDLLIAVRPDFCNHILDHLGSLFNQLGLIYDFSHRTREKSELFYLSHCGVLKDGIYIPKLEKERIVS -ILEWDKSSEPIQRLEAICAAIIEAWGYDDLIHEIRTFYSWVLEQAPYNELAREGKAPYLAELALRHLYTD -EKIDASELLDYVRRFQNLFDDELPQDNCIFQSQVDAGNEGAIEKAKKAEKDVDAGSSGITTIIQVKSFSK -SMKMPQINGKKMLDLSHLLQYKPAAEDLYNTRASQAQLEHWYNGIMKAYEINADEMAKVLNGLIVWCIEN -GTSPNLRDTWRMEFSGTFVEYPLQPIIEFAQPSLRQIMRHFSNIAESYIVMRNQTERYMPRYAIQRGLTD -YSLARFAFDFYDVTAQTSVRAREAVAQMKAAALRGASSKLFTLDGKVGTATEDTERHTVEDVNRNMHSML -GVRGVI - ->YP_004875339.1 polyprotein [Chilli ringspot virus] -MAPNIQFGTITSDVLVNGDSKPRITEINELRTVAEPKPLAPIACSQFTANCLSEYNDSQDKFHKMCLAKL -NEAHKRVASVTKDSHGTLKYKRMTHAKYARKVRRNERLDKERQAFLNADPYVITSLKIAFGDREAGVDCA -PKGRIHTTPSTKRKKALKKVLKLKRMSVMDLANSICKPFIDSGKPIEIIGRRNKHIAHCRTVWKGDRRVL -KVKTHHEESVMRNVDVNLTHDTNKLIEVLAEAAYTGRALRDSEIVRGFSGFVIPKSRIPDLKTADNYKFL -VVRGRWRKTLVDARVHISPEILEGINHYSIANKFWDGFEQAFIKHRSNPVIHERDDSTLDVKQCGSVSGV -VCQALMPCCRITCGKCADNYAQMSSTEIRNHITSTLSNGIKILKQQHPDFPHMIAMLESYKTMLNSVNTN -REACGKIHFLIGDRTEQPFIHVLRVNEVLIKGNRATSGELEGASNELLEVARYLKNRTDNIKQGSLTTFR -NKVSAKAHLNPSLMCDNQLDVNGNFVWGKRGYHAKRFFSNYFDVIVPSDGYDKYAIRRFPNGTRKLAIQN -LILPRDLGQLHRQIEGESIELQPLSTKCISKRHERNIYPCCCVTLEDGTPEYSEFKAPTKNHIVLGNSGD -SKYLDMPADISENLYIAKEGYCYINIFLAMLVNVDEKDAKDYTKWVRDVVSEQLGQWPTMLDIALACYQL -SVLFPSVKSAELPRILVDHKTQTMHVIDSFGSATTGYHILKANTVTQLEKFASDTLDSEMKYYRVGGLID -GSETDVAALQTVIKTVCRPKLLKELLMDHPYLIVFSIMSPSVLLAMFRSGSLHRALLSVRGQEHTLKVLV -SLLTLLATKLSRSDTIFKRFDIIQNHVHKFRDVVLDGDVHSMSRKLAERYLEVQVSIIESQNETEALGFR -TTRFKGFHLVEKIYQEDLEAQWRELPLFQKLYFTAWQLRHRRALEVMLGEKDTKEHCKLLHSLKRNASHC -LRKTLVPFKAGYSKCTQVPGFVHKRMRCKLLHSLSYFFSDALRFIQVLAVISILMSILQQLYDSMVKYRS -YKILAIRQEDSKKDEALERLHSHLYYKLGVLPTYDEFCKFVQEQSPELLDHMGGYHGNEVVEHQAKRESE -VRLEQIVAFMALVMMIFDNDRSDCVYRVLNKFKNIVSTAEQDVVHQSLDDIQTNFEERNETIDFELTTED -TPATPFKSATFESWWDKQLEMGHTVPHYRTEGHFMEFSRDTVVSVVQNIVLSEKRDFLIRGAVGSGKSTG -LPAQLAKKGKVLIVEPTRPLSQNVFNQLRSQPFHLSPTLMMRNSSHFGSTPISIMTSGFALHYLANSGTA -LSTFDYVILDECHVLDSNAMALYSLLLERDFNGKILKVSATPPGREVEFSTQFPVELRVEESLSFQQFVD -NQGTSVNSDVTQVANNILVYVASYNEVDQLSKMLAARNFKVTKVDGRTMKNSTTNISTSGTTKKKHFIVA -TNIIENGVTLDIEAVVDFGTKVVPSLDVDCRSIRYCKGPINYGERIQRLGRVGRVKPGVALRIGYTQKGI -EAIPNVIATEAAFLCFVYGLPVMTSQVSTSLLGKCTVQQARTAKLFELPPYFMINFIDSNGSMHPQIHEL -LKKYKLRESELQLNRMAIPYAQTSKWISVRDYNRSGHVLQMDPDCKIAFLIKEIPDETYEKLWKTTNDCR -GDAGFKKLATYNAAKISYKLRTDVHSIQRTIRIIEQLITAEREKQAHFESLTSFSLSSQCYSLQSICNAY -KSRYAKNHTTENISVLEAAKSQLVEFFNVHSADILVGDLSDRRVQDEVKDFGALEAVLHESKEGVSKALK -LKSRWNKSLLTRDVLVCAGVAVGGVWLLYQYLVDQFKAPVSHQARNKRSNQKLKFRNARDAKVGRVIIDD -DSGAVEHFFGAAYTKKGKKSGKQVGLGKKTRRFVNMYGFDPTEYAYIRFVDPITGEMLDENPMADIMLVK -DHFDDLRHEFLMDDKIDMQALYSNPGLEAYFVKDKTSPILRVDLTGHIPLKVCDRASTIAGFPEKEGILR -QTGPAQKLPFEKLPTSKESVDHEAKSLTRGLRDYNGVSKSVCLLVNDSDGCTTTIHGVGFGPLIITNRHL -FKRNNGVLTIRSMHGEFKVVNSAAIKVYPVGNCDIVLLKMPKDFPPFPMKLKFRVPQSNDLVCLIGSNFQ -EKFASSTVSGSSNISHVANSNFWRHWIDTKDGQCGLPLVAQNDGHLLGIHSLTSTHSDQNFFTAFPENFK -ECLDQTDSISWAKGWLYNPNEIGWGSLKLKESSPKGLFKIEKLIEDLNTEVVSEQSKTNWVFEQLSGNLK -AVCKSESQLVTKHTVKGECQLFQLYLNTHEEANNYFQPLMGHYQKSKLNKVAYLKDLLKYATVIEIGKVN -SDTFELAVSDTIADLINAGFEECEYVTDEQAIFGALNMKAAVGALYKGKKRDYFEAFTEQEKEQIIQDSC -ERLYTGKLGVWNGSIKAELRPKEKVAQNKTRTFTAAPLDTLLAGKVCVDDFNNTFYALHLKGPWSVGMSK -FSRGWNHLLSQLPDGWVYCDADGSRFDSSLTPYLINAVLRIRLHFMENWDIGIQMLKNLYAEIIYTPILT -ADGTIVKKFKGNNSGQPSTVVDNTLMVIITMHYAARRAGLNYQEFCDNVKFVANGDDLIIAVKPCRESLL -DTLQDTFSELGLTYDFTNRTRDKKDLCFMSHNGVMRDGIYIPKLEKERIVSILEWDRASEPQNRLEAICA -SMIEAWGYDELLYQIRLFYAWVLEQAPYRELAKQGKAPYISEGALKKLYTGEDSDFQIYLRSLIQSQWKD -EDTIVYHQADTQAVDAGKSTQSSEKKPANPASVSKVVAETPRDRDVNVGTAGTFSVPRLKGISSKLNLPM -INKKAVINLDHLLKYTPDQVTLSNTRALNSQFASWYEGVKTDYDLNDSQMEIVLNGLMVWCIVYGTSPNL -NGMWVMMDGDEQVEYPIKPLLDHARPTFRQIMAHFSNLAEAYIEKRNAEKPYMPRYGLQRNLTDMTLARY -AFDFYEINSKTPARAREAHIQMRAAAVMHAKNNMFGLDGNVSTKEENTERHTATDVNRDMHHLMGVRGV - ->YP_004376199.1 polyprotein [Celery mosaic virus] -MAAIVNIFSDLALRKFNEKSKTNFTMYHVTRARAANRRSLHYDCEDDVYLCVKCSESFDSVQQFKDEHEC -ELSIERAEQEYVERQASLRKMVAKVETKPENQDVVPVATKQVAKMWVPKQVPDVGTEMAKIKFGTIDEEV -EFIAPKRIASASVMSVWDKLKDELSSKFKSRADLVPVKTRSGLWKYGEPNGISKDWLEKKQPTTFQFPEA -QCDVTHISIAGGPKPSSEVVKDQPKKAQATSKRMKTRRVAPKLVKMTERQFTMFLAQVSAIMKKKNMRFE -IATRRRVKAVKCGIKSNTFLKTVHVTTKHEGGIRKSIDLHMNSTQQNIIKAIVGNINKGRILNDTNFQRG -DSGMVLLQQQLHGKFGRSINELFVVRGRHNGVLLNSLSRVTESVTFKTVHYSASERFFESFSKTFVANKP -KSLNHVCESNFSIENCGIVAALVTQTLFQFGKITCKQCAIEYTNLSDIEMKGWIKQELDDTIQNVEDKFP -DFSHVVRFLKDLRRFLGMVNENISAFSDTQQLIGSYESEPFIQLKKLNEIIIKGSMMTSTDLSHATDLVN -KLARFQKNRTDNIKSGNLSHFRNKISGKTTMNFSLMCDNQLDKNGNFLWGQRGYHAKRFFSNYFEVIDPS -GGYDKYQIRNHPHGSRRLAIKNLIVSTDLELLREQLKGEYVKQPDVSAQCVSRLRDDFVYPCCCVTTESG -SAIESQFLKPTKNHLVIGNTGDSKFVDLPAEVSEKMYIAKEGYCYVNIFLAMLVNVNEDSAKDFTKMVRD -MVIENKLGTWPSLMDVATACHLLTVFHPETSNAELPRILVDHKTKTMHVVDSYGSKTTGYHILKANTVSQ -LIKFADLSLASEMKFYAVGGTSDGTQVAQDASMSLLIKAIYRPKLMASILQKEPYLLVLSIISPRVLLAL -FNSGSLEEATQKWIKRDQDVAQVAAMLSALAGKVSLARTINEQLAIINRHGPAMLENTFRGVKPHFSYAQ -ALKTLTMVEARNGADEILIAHGYQVLPMNLYETMEKIYQKELDDSWCALGWLEKFHAMRYSHRWRKYSLN -QSSPTKLEDLGNKYNFSLKSLHGKTRKCVQSRVASVYKKWHTIKLTIQQRVFSRSLRLFVSMLPNVFTFI -NSLIIVNLLLSIMMCARKMLDDHHRNKARIAEGEFEKKMTTLEDIYNEIFERQGEQPTHKEYLEYVGKVN -PELLEFAQQETSEPEDLVKHEAKRVTEARLEQAMAFVALVLMAIDSDRSDCVYKVLNKLKSLMTIADADV -YHQSIDEIKSEMEEKKLTIDFTLDDTFVPTIRAQEPTFADWWANQISNTNVLTHYRTEGKFYEFTRQNAA -DTAHKITHDTVNDVLIRGAVGSGKSTGLPYELSQRGSVLLIEPTRPLAENVHKQLQGPPFMQSPTLRMRG -LSSFGSSRITIMTSGFALHYFANNTEQISNYDFVIFDECHVLDSSAMAYRCLLHDARFTGKVLKVSATPP -GRECDFTTQFPVEIRIEETLSFQSFVQGQGTGCNYDVVKDGNNILIYVASYNEVDNLSKLLLDRSYLVTK -VDGRTMKLGNVEIVTNGTDKKKHFIVATNIIENGVTLDIDVVVDFGTKVTPFLDVDNRMVQYSKGSISYG -ERIQRLGRVGRNRKGVALRIGQTQKGLIEIPPIIATEAAFLCFAYGLPVMTHNVSTSLLNQCTVKQARVM -LNFEISPFYTVNLVRYDGCMHPELHGILKRFKLRDSEVVLNSLALPTRSTDTWLTVSNYNKLGAHLSMCN -EVRIPFLIKDIPEKVHEQVWDAMCTFRKDNCFQRISSASACRIAYTLQTDVHAIPRTIAIIDKLIEQERT -KEAHHRSMKANSATSGNLNITSIVNSIRAKYSQNYAQENVEKLQRAKNQLLEYANLGIDANFPGLAQNFS -ALECVTHQNANEVSKQLQLKGRWNKSVVTKDFIVLGGIFAGGSYLIYTWFTETFDKQVYHQGYNKRARQK -LKFRNARDARMAREVFGDDEVMRENFGEAYTKKGKQSGRTKGMGSKTRKFVNMYSYDADDFSFVRYVDPL -TGFTLDESPMTDMRLVGEKIVEGRMHKLNEDELDMATIAAHPGIHAFYHKGGAKEAIMIDLEPHNPFELC -NTGNIAGYPERKGELRQTGKPRAVVAASIPAPNEFDGTATHEGLSMFKGLRDYNGIASCICKLTNESEGH -IESLYGIGFGGVIITNQHLFERNNGTLKIQTHHGEFVIPNTTTLSMFPCGNRDIVIIRMPKDLPPFPQKL -KFRAPKSNERICMIGTNFQEQSTRSTISESSTTFQKEGSTFWKHWISTKDGYCGLPLVATEDGKIVGIHS -LSNVSNTQNYFTDFPPDFQKGPLANLHDLNWIKHWKYNADNVGYGSLMLHKSQPDGLFKPIKLVQDLKSE -GVYNQSINHNWLFDRLNGNLKAIGKSNAQLVTKHVVKGKCMLFESYLNTHPEANNFFRPFMGAYGKSKLN -KEAYVKDLFKYTSPIVVGVLNTSIFEQAVESVIKRMEKSGFDKCEYVTDAQAIFKALNMKAAVGALYQGK -KKEYFQEYTEEMQDEIVKQSCERLYEGKMGIWNGSLKAELRPIEKIQENKTRSFTAAPIDTLLAGKVCVD -DFNNQFYASHFKCPWSVGMTKFYGGWNKLLSLLPDGWVYYDADGSQFDSSLSPYLINAVLQIRLHFMEDF -DIGEKMLSNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAMTYSLTLLGYEESVHDDVC -RFLINGDDLLVAFHPDHEHIASKLEDIFREMGLKYTFTTRTENKEELWFMSHKGVRVGEMYIPKLEEERI -VSILEWDRSSEPAHRLEAICAAMVESWGYQQLTQEIRRFYSWVLDMEPYNEIARQGKAPYISEMALKKLY -TSKDVTEDEMFQFMKRFQEREMAEEEDVQVFHQGDQIIDAGGSNKEKSKMNKNEGSSGKDDEKKNDDQSL -IRGADRDINAGTQGTIAVPKLKAISNKMRVPKYKNKNSMNLEFLLTYVPDQVDISNRRATHSQYEAWFEG -VKKDYGVSDSEMEVLLSGLMVWCLENGTSPDLNGMWTMMDGDEQREYPVKPLLEHAKPTFRQIMHHFSDV -AVAYIEMRNTKGPYMPGYGLKRNLRDRSLACYAFDFYEMTSRSPERAREAHLQMKAAALRNTKTRLLGLD -GSVSGKEEDTERHTVDDVNRNMHSLLGMQGM - ->YP_004123940.1 capsid protein [Lupinus mosaic virus] -SGTPKNDDKKLDAGKNENKGKEVESTESSNKGAVIKDRDVNAGTAGTHTVPRLKSITNKMRLPKTKKGVV -LNIEHLLTYTPSQEDISNTRSTQSQFNSWYENVKHDYDVQDDAMQIILNGFMVWCIENGTSPNISGVWTM -MDGEEQVEYPLKPMVEHAKPTLRQIMAHFSNAAEPYIEMRNTERSYMPRYGRQRNLNDRSLARFAFDFYE -ITSNTPEKAREAHFQMKAAALRDARNKMFGLDGKTGIQGEDTERHTAADVSADMHSLLGMRGT - ->YP_004123732.1 polyprotein [Lupinus mosaic virus] -MATLVHSAVARPIGRDGKYTRKIRDEDGEYRCTLCGLGCDSMTMAKPVNHNCDGMTEDEYNADCFDEIYN -NSKHSPHAVDEDEEVMEHIETPAVKEASRPTRIQTMIRFGSIDVTLFVPEKLSIVKGPAHIPYNSQTHSE -MKSKAVKSVGGHLRFGTIGESYANAKAEIQHKFTQPTNIGVVSAQHMAQYPKRLTDDEVFARKARLERAV -LRKQMENEEKQRQRAFNDLAQKCDSRKQKLNDGLIVRTQKGFERKPLRSKQRIEMEEKVILAITQNSSLI -PAGNAQSCGRNHSVSFRTSNYRRSHKQVKSHKEIHKRLTTGKHYEHIVSKLGDILKAKPGMNFEIIGKRS -VSGRILQEEENEYVKINTIHECGPRKQIDVCTNRGIDAILRIWSNKIQKKRVIPTCEMRPGFSGVVVPVA -NVKGWVGRTKNDILIVRGSNYNQIIDSRVRVTKFVKDKMIHYSDPGTVFWRGFNRTFLQNKPTDINHQCT -SDFNVEQCGSVAAILCQTIMPCGKITCQQCAQEYVESSEDELRGRLKQLIRRNNELIQHNYGMFPHVSTF -LKSYERMLDSVNRNYTDTSEIQRIIGDRHDKPFANINKLNQIIAKGGLATSQEFSDASTLLLEVARYLRN -RTENIKKGSLVSFRNKISGKAHINPTLMCDNQLDGNGNFVWGQRGYHAKRFFSNYFETIDPTAGYDKWVT -RKGKNTQRKLAIGNLMLSTNIETLRQQMKGEYQAQNGLKEHCVSKRDENFIYPCCCVTLDDGTPVESVVK -PPTKNHLVIGNSGDSKFVDLPTDISTQLYIAKEGYCYVNIFLAMLVNINEEDAKEFTKMVRDVVISQLGT -WPMLTDIAAMCFLVSIFFPDVQSAELPRILVDHTHQAMHVIDSYGSLTTGYHILKANTVNQLIQFAQEDI -KSEMKFYHVGGRTDNLDPSALGNGNNRTNIMSQLIRSIYRPNEMHRMLTEHPLYIVYAMLSPGVLIALFN -SGSLDKMADMYVRSDMDLSAVASSLTLLAHKVSIAKTLEFQLDLMQRQAEVLHNLISQSNNHDVSRVVIL -ELLQVMMERNETDSTLLSAGFYMLKNTSSVTLEKSYLKELKEQWDALGYVGKLRHACYLLRHTRKSQPEL -HPQGEIDLKGIYSVSPRSCLAMTKAHIFNQAHKMKQCAKSLINRARSGTLRAMCSCINSLVPDFVKLANV -LLVMCLMLQLYNTVRMMIKEMHHMKQAKAILESNERFSRLKKIYGRLEMESDPPKPPKFNDFLEEVKRIA -PELYDDALTLGGYDAVQHQSKVSAGEAKLEKTIAVAALIMMIFDSERSDYLYKTLNKLKSLVRTVDDDVY -HQSLDDIESLDDDKKLTIDFEIDGKQAHGCTYKEMSFSKWWGIQMDNNRAVPHYRTEGFFMEFTRSTATQ -VANEIAMGAHKDILLRGAVGSGKSTGLPSQLALKGRVLMIEPTRPLAENVCKQLRGDPFHLNATLRMRGM -STFGSSPITIMTSGYALHYLAHNRQTISDFDFIIFDECHVNDSSAMAFRCLLYDQQYEGKIIKVSATPPG -REVEFTTQYPVKILIEESLTFAQFVLNQDTKVNSDIVQYGNNILVYVSSYSEVDNLSKLLIEKKYKVTKV -DGRTMKLGNVNIETTGTDSQKHFIVATNIIENGVTLDVDVVVDFGLKVSPQLDPDNRMISYRKEAISYGE -RIQRLGRVGRHKSGVALRIGHTERGLVQIPSAIATEAAFLCFTYGLPIMPQGVTLSLLEHCTVAQARTMQ -TFELTPFYTCDLVRFDGTMHHAIHTVLKQFKLRDSEITMNRLAIPNACTASWLRAKEYNKMGARFTDDQL -EMKVPFLCNGIPEETHYHIWTAIKKHASDGGFGRISIENATKIAYTLQTDVHSLPRTICISDELIKQERT -KQAHFKSVLSSTSTFSHFSLSSIERAIKSHWKTDHTTNNIAVLQAARASILEFNNLSSDKGFQDMKTDLL -EAKIKNYGALDCVFHQSGSDMSKALKLKGVWNKGAITRDILITLGIIAGGTVMLWQHFRSKWCEDVYHQG -FNKRQRQELKFRKARDDRTKFEVTGDDAEMEKYFGSAYTEKGKVKGTKRGTGMKNRKFVNMYGFDPNDFS -VVRFVDPLTGATIDDTTYADVVAVQERFTEIRNQQLVDDLISSEAIRYNPKVYAYYIKNKTSDALKVELT -PHNPFRVSDKTNTIAGFPEKAGILRQTGTPEKISMSEVPISNEVADDDVTHESNALYRGPRDYNPIASVI -CELAYTSALGTRVTYGVGYGPYLITNQHLFGDNNGELQVRSRHGTFNIKNTTQIKMKPLKKTDILLIRMP -KDFPPFPQKLQFRSPITAERICMVGSLFQEKSITSTVSESSSTYPKDDSTFWSHWITTKVGHCGLPLVST -KDGYILGLHSLGNFTQTKNFYAAFPSDFVENFLATAENSEWVKNWQYNPDNVCWGSLQLHASGPQEPFKT -AKLYEDLNHDDVYSQSGEVAWFRKQLKGNLQAVGKSQSQLVTKHVVKGKCPLFEIYLKENPAADEYFKPL -MGAYGKSRLNKEAYTKDLMKYSKIIEVGTVDVGVFEQAVCSVKAMLKEIGFKECKFVTDEDDIFNSLNMK -SAVGALYAGKKKDYFADYTQTDKELILKESCKRLFLGKLGIWNGALKAELRPIEKVLANKTRTFTAAPID -TLLSGKVCVDDFNNQFYDLHTKGPWSVGITKFYGGWNELLSQLPNGWVYCDADGSQFDSSLTPYLINAVL -DIRLSLMEEFPLGHHMLRNLYTEIIYTPILAADGTVVKKFRGNNSGQPSTVVDNSLMVILAMQYSLIKLG -YTPSEHRNVCVYYANGDDLLLAVDKKHEKILDGLQECFSTLGLNYDFTSRHTDKEKLWFMSHKGLHIDEM -YIPKLEKERIVSILEWDRATEPQHRLEAICAAMVESWGYPELTHEIRKFYAWVLEQAPYTNLASIGKAPY -ISEVALRRLYTNIEASEQEIETFIHMVEQLEEDEPIDNEVYHQSGTPKNDDKKLDAGKNENKGKEVESTE -SSNKGAVIKDRDVNAGTAGTHTVPRLKSITNKMRLPKTKKGVVLNIEHLLTYTPSQEDISNTRSTQSQFN -SWYENVKHDYDVQDDAMQIILNGFMVWCIENGTSPNISGVWTMMDGEEQVEYPLKPMVEHAKPTLRQIMA -HFSNAAEPYIEMRNTERSYMPRYGRQRNLNDRSLARFAFDFYEITSNTPEKAREAHFQMKAAALRDARNK -MFGLDGKTGIQGEDTERHTAADVSADMHSLLGMRGT - ->YP_004123963.1 CP [Apium virus Y] -GDNVLDAGNGKQDKDKKSIVPSGSGTEKGQIQPAPDKDINTGTSGIYTVPKLKAISNKMRVPKYKNKNSM -NLDFLLTYLPDQIDISNRRATHSQYDAWFEGVKKDYDVSDAEMEILLSGLMVWCLENGTSPDLSGTWTMM -DGEEQKEYPIKPLIEHAKPTFRQIMHHFSDVAVAYIEMRNTKGPYMPGYGLKRNLRDRSLACYAFDFYEM -TSKSPERAKEAHLQMKAASLKNSRTKVFGLDGSVSSKEENTERHTVEDVNRDMHTLLGMKGI - ->YP_004123951.1 polyprotein [Apium virus Y] -MEALLMINNSLTLRRYNECNGTQFNMNHVRRALAAKKRNLRYDAEDDVYHCLDCDETFDTVEQFRMEHDC -EGSVERAEAEFAEIQAYIRNMVKKSKEVNEDKPKQVMPKIQFGTVGILETSDNSPVKADEEKPKQVLSTI -KFGTIGVVDTSVNPLVEIPTTKGNVWGNLEKSLESKFARRTDKVIVKRRDGCWVYGNTVRKTPPTKKVEA -KPHFEFKLAQYDVTTISIGVGLKPSAMESTDQHKVKCATSVRTKKRVKTPTCKLNSSQLDMLFRQLSVIM -KKRNLQFEVVGSGRTKRATCGFKKVGNCKTVYVKTLHESGIRRRIDLKLNEFQRMCLKKIYKSSIRMVPL -NASRIKKGDSGALILQEHMVGKFGRAIDEMFIVRGRYDGEVLNSLSKQTFTNAFKMIHYSTSDKFFKPFS -EAFVANIPQKLDHICESNFNIEDCGTVAALITQTIFRFGKITCKHCAANYANLSDAEMKEWIREELDDTL -ENVEKKFSDFKHVIRFLKDLRRLLYLVNTNVTTFSDTQQLIGSYENEPFTSLKILNETLIKGNLMTPEEL -NHATTLVNKLARFQKNRTDNIRSGDLTHFRNKMSGKTTMNFSLMCDNQLDKNGNFLWGQRGYHAKRFFSN -YFEIINPVEGYDKYQMRKHPYGERTLAIKNLIVSTNLEVLREQLKGEFRQQPGLTDQCVSRVNGDFAYVC -SCVTTESGKPIESQFLKPTKNHLVIGNTGDSKFVDLPSEVSEKMYIAKEGYCYVNIFLAMLVNVNEESAK -DFTKMVRDMVIENRLGKWPTLMDVATACHLLTVFHPETSNAELPRILVDHNTKTMHVIDSYGSKTTGYHV -LKANTVSQLIKFADLSLKSEMKFYAVGGTAVHDIATQGVSFSMLIKALYRPKMMELILRDEPYMLVLSVL -SPSILMALFNSGSLEHATHMWIRKDQNIAQIATMLSALAGKVTLARTINEQLSIINRHGSSMLENVFRGT -RPNVSYIQAINVLTMIESRGSANEVLEMHGFQIFPVDLYETMEKIYQKELDTSWSDLSLCGKLRAMRYSR -QWRKYSLKTSNLQETRDTKGKYSISLESLRGGTQKFVSTKSAAICQRWNLITSTLKQKVFSKSLSLFVGL -LPKIFNVVNTLIVMNLLLSIITQSRRMIYEHRESKQKLANSDFDKRVDILEEIYDTYIAVNKVQPTCEEF -VEYVQKVNPDIVEFAKQVLLNEEESVKHEAKRVSEARLEQAMAFVALILMAIDSERSDCVHKVLNKLKSL -MSIADADVYHQSIDEIKSEIDEKKLTIDFALDDTFTPSIREHDATFADWWTNQINNTNVLTHYRTEGKFY -EFTRQNASEVAYKISSDTSSDFLLRGAVGSGKSTGLPYELSQRGNVLLIEPTRPLAENVHRQLQGPPFMT -NSTLRMRGLSSFGSARVTIMTSGFALHYFANNTDQIADYDFVLFDECHVLDSCAMAYRCLLHDNRFKGKI -IKVSATPPGRECEFKTQFPVEIRVEETLSFQSFAQGQGTGCNYDVTGDGYNNILVYVASYNEVDSLSKLL -LDKGYLVTKIDGRTMKLGNVEIVTNGSEKKKHFLVATNIIENGVTLDIDVVVDFGTKVTPLLDVDTRMVT -YNKGSISYGERIQRLGRVGRNKRGLALRISQTQKGLVEVPPIIATEAAFLCFAYGLPVMTHNVSTSLLNQ -CTVKQARVMLNFELSPFYMVNLVRYDGCMHPGIHNVLKKFKLRDSEITLNSVALPTRSLDTWMSVKSYNK -CGARLSMDDSVKIPFLLKDIPEKTHEQIWSVMIEHKKDNCFQRISSASACKIAYTLQTDIHAIPRTIAII -DNLIEQERTKESHYSSMKANSATIGSVNIVGIVNSIRSRFSQNYAQENIEKLQRAKSQLLEYANLDIDTS -FPELVRNFQSLECVTHQSTHGISKVLQLQGRWNKSVITKDLIVVGGILLGGSYMIYTWFRESFAMEVYHQ -GYNKRARQKLKFRNTRDARMAREVFGDDEVIADYFGESYTKKGKQSGRTKGMGSKNRKFVNMYSYDADDF -SFVRYVDPLTGYTFDESPMTDMRLVAEKVMEGRQYELSNGDLDWQLVTAKPGIKAFYQKGGAKEAVMIDL -EPHNPLEVCNTGTIAGYPERADEFRQTGKPTVVKVSEIPQANELREETTHEGLSMYKGLRDYNSIASCIC -KLTNESDGFSESLYGIGFGCVIITNQHLFERNNGKLKIQTHHGEFTVPNTTMLQMSPCGNRDIVIIKLPK -DLPPFPQKLKFRAPKTNERICMVGTNFQEQSTRSTVSETSVTYPKEGSTFWKHWISTKDGYCGLPLVATE -DGKIVGIHSLSNVSNTQNYFTDFPENFGKDTLESLNDLTWTKHWRYNSNNIGYGSLMLHKSQPDGIFKPI -KLIQDLSDESVYSQSLNNTWLFDKLNGNLKAIGKSNAQLVTKHVVKGKCLLFESYLNTHPEACEKFRPLM -GAYNKSKLNKDAYVKDLFKYTSPIEVGVLDVDTFEKSLEIVIHNMESAGFEQCEYVTDAQAIFRALNMKA -AVGALYQGKKRDYFKEYTDEMKDEIVEQSCRRLYEGKMGVWNGSLKAELRPIEKVQENKTRSFTAAPIDT -LLAGKVCVDDFNNQFYAMHFKCPWSVGMTKFYGGWDKLLSILPDGWTYYDADGSQFDSSLSPYLINAVLQ -IRLHFMEAFDIGEQMLSNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAMTYSLSKLGY -VGEDQANVCRYLVNGDDLLIALHPEHEHIAEKLSELFRQLGLKYTFESKTKDKSELWFMSHRGIQIDGLY -IPKLEEERIVSILEWDRSSEPEHRLEAICAAMVESWGYEWLTHEIRKFYNWVLDQEPYNEIARQGKAPYI -AEMALKKLYTSKDVSDSELMHFVSEFLKMENLYDEDLCVYHQGDNVLDAGNGKQDKDKKSIVPSGSGTEK -GQIQPAPDKDINTGTSGIYTVPKLKAISNKMRVPKYKNKNSMNLDFLLTYLPDQIDISNRRATHSQYDAW -FEGVKKDYDVSDAEMEILLSGLMVWCLENGTSPDLSGTWTMMDGEEQKEYPIKPLIEHAKPTFRQIMHHF -SDVAVAYIEMRNTKGPYMPGYGLKRNLRDRSLACYAFDFYEMTSKSPERAKEAHLQMKAASLKNSRTKVF -GLDGSVSSKEENTERHTVEDVNRDMHTLLGMKGI - ->YP_004046679.1 CP [Sweet potato virus C] -SGDPPEFKDAGANPPAPKLKGAFTAPEITEVTEQEDSKQAALREARQKQPAVTPESYGRDTGEKSMRSVS -TQRVKDKDVNVGTTGTFVVPRVKLHTGKMRQPRVNGISVVNLQHLATYEPEQHNIGNTRSTQEQFRAWYE -GVKGDYGVDDAGMAILLNGLMVWCIENGTSPNINGVWTMMDGDEQVTYPIKPLLDHAVPTFRQIMTHFSD -VAEAYIEMRNRTKAYMPRYGLQRNLTDMSLARYAFDFYELQSTTPARAKEAHMQMKAAALKNAHNRLFGL -DGNVSTQEEDMERHTATDVTRNIHNLLGMRGVH - ->YP_004046670.1 polyprotein [Sweet potato virus C] -MASATVSAKPAGKRKLTWKECCNKWGKAAMEQQQAVYPRRRIGLSRNQLAANIFAFVPETEWHKYYFGNR -GGPSPQLEVLSNAIQYGEVFKYKFDFTFCPECDEFLEGHKCEECGTTFRKTDDNLADNMNVVARKLGGYD -AYYASTWSEFEQAKLELEEVAPTAGQLRRQARAAEKLLDKRSTRREKEYVDSLWEAVEEAEAKETGEASD -ASKNDADFSEISPSAKADEMFPPLSGDKNTEVITATSDVVVSECSSIQFGQVNGFAPEESKDERVVEPLV -EEAENVTTPTVMPVETGFFFGTIPAIIPLPVVVPQQESMGVLQPAFMQVGIMSVNDIALADTHLETKETT -VGRTEPAKEGINVGAQKSTPLPTHLYPWAPRSKNSSATHHRMICKWVKKTQEAEEMKQRAVWKKLDEQLA -VRNEAKKDFEVKWRWGLYRLVKKTRKDNQRQRQKKRMEKEQQLLLAMPPQILTGISIAGGPVASLQETPT -VSGKIPSTPSMKRKRILKSPTLSSDKIQELMKATLKIACKQGLQVEIINKKIVRGVYKRHGGTNHLFLHL -KHMEGWRKPVDLHIHQEDIELVTMAARVGAWNKRFCTSQLCKGTSGLVLNPDKLTGPRGHAPKGLFVVRG -ALAGVVYDARMRLGRSTLPYIEQFSSTMERFLRGFDEKFKQLRQVDSDHVCESTYDAEQAGAVAAIIHHM -VCPMNIATCKHCSNKIEDLSKDEWCDYVRDFANKNKLPLQLEFKDFKHLPLIMDFIAESLVQTNKDVRAF -NEIQTLIGNRIDAPFTTVCEVNKVLVKGGRAKGTEFTKASEALLELARYLKNRTENIKKGSLQSFRNKIS -QKAAMNLALMCDNQLDKNGNLIWGERGYHSKRFFSNYFDEINPEEGYSRYIVRNNPNGTRKLAIGKLIVS -TNFSVFREQMKGEPIHKQKLDNHCTSTLDGNFIYPCCCVTYDDGQPMESEFKLPTKNHLVIGNSGDPKYV -DMPPEISKKMYIAKEGYCYINIFLAMLVNVNEAEAKDFTKQVRDVLMEKLGKWPTMFDVATACAFMSVFY -PETRNAELPRILVDHTTKTMHVIDSFGSLSTGYHILKANTVSQLIKFANSSLDSEMKHYLVGGLTALPAN -EERCVRTVIKGVYRPKILYEILSEDPYMLMLSVISPCIILALFNSGSLDRAMDTWITKDQEVAVIIGTLS -ELARKVSTSRVLEQQLQIIESQSHILLFDDSTARRRTPSFALSQRVIRSLSERRETNRVLHEQGHTVSAY -ATSHELMEKIWDTLLKQEYAELALLEKCSLIMRSSRYMRCIQGTYLRQSIDDFKGRVDASYTALHTKSVA -LSNACKEGFVSRVAGAHARCVRTIVQTSLSAIRFAVPDILKFVNLLLVVNLILQIAHVARNMVQKHQQAQ -IDLNAYLFDQEIDKINVIYDSFCKKIGGEPTVDEFLAHIEYINPTLLGTAKWLCYTADVEVEHQGKSRKE -IQYERIIAFISLLLMVIDSERSDCVYKILSKLRGLMGCIDGGVYHQSLDDITNILEEKNLTVDFELQGDE -QLSNAMTDATFDEWWRKQLETNRTITHYRTEGTFIEFTRSNAVSVANNIATLPANDILVRGAVGSGKSTG -LPFYLSRKGRVLLLEPTRPLAENVHRQLGGDPFMVQTTLRMRGLTVFGSHPINIMTTGFAFHYYANNPEQ -LREYEFIIFDECHVSDAQAMAFRCLLKEHEFSGKIIKASATPPGREVEFTTQHPVQIKVEESLSFKAFVE -AQGTGSNADVVAVADNILVYVASYNEVDELSRLLVEANHKVTKVDGRTMKVGNVEIKTTGTSNKKHFIVA -TNIIENGVTLDIEAVVDFGTKVTAYLDVDLRMIRTSKGPISYGERIQRLGRVGRNKAGTALRIGYTEKGL -VDIPQTVATEAAFLCFAYGLPVMTPNVSTSLLGSCTVRQARTMLQFELTPFYMVNMVRFDGSMHHAIHQH -LKRYKLRDAETTLNKLAIPNRGITGWMSAADYRKVGQRLDIEDQIRIPFYHQSMPEKLHSDIWEAIQRYK -HEAGFGRVSCINSCKIAYTLQTDAYAIPRTIRILDALIADEMRKKEHYKTITGRTVSSSSFTLNSIATLW -RNRYAQDYTSENIAVLSSARSQLLEFENLSMDASYNEMSKGILETYVRESGATTCVLHQTKSDLAKHLQL -KGIWNKSVITQDLLVLAGVFVGGVWMVFSGAKESFEQTVAHQGKEKRQRQKLKFRQARDRKLGFEVHAND -GTIEHYFGSAYTAKGKQKGKVTGMGAKNRKFINMYGFDPTEYSFVRFVDPLTGLVFDDSPYTDIQLIQEK -IGDARHKAILEDKLSRERAAYNPGINAYYINEITNAALKVDLTPHNPLLACERHSTIAGHPDHEGELRQT -GVAVKVDIKDVPENPEEASLVGHESKSLFRGLRDYNPVASVICHLVNEADGRTSDSYGIGYGGLIITNRH -LFKRNNGTLTIRSRHGEFVIKNTTQLGMKPCADRDILIIKMPKDIPPFPQRLQFRIPKENERICLVGSNF -QDKSITSTVSETSVTCHVPNSHFWKHWIDTKDGHCGLPLVSTVDGAILGVHSLSNLTNTQNFFAAVPANF -EVDYLKTPEATEWIKKWSYNPSEICWGTLELKTGQPVAPFKVTKLITDLDSMQVYAQARSDRWVLDRLYG -NLKAVGQCPAQLVTKHVVKGKCMLFDLYLQQDCDVRSFFKPLMGAYGKSRLNKEAYNKDLFKYATPINAG -DVCHKTFEQAELAVVDMLKANGFHKCNYITDPEEIVKALNMKAAVGAMYSGKKKDYFDGMDDKDIENLLL -HSCKRLYMGQKGLWNGSLKAELRPMAKVELNKTRTFTAAPLDTLLGGKTCVDDFNNMFYNNHLKCPWTVG -ITKFYQGWDRLLTSLPEDWIYCDADGSQFDSSLSPYLINAVLNIRREFMEEWDIGDQMLRNLYTEIVYTP -ILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAVYYTLLKLGVSESEISERCVFFANGDDLLIAMRPDTA -HLLENFSECFAELGLNYDFSSRTTKKEELWFMSHCGIKRDGIYIPKLEPERIVSILEWDRSHEPIHRLEA -ICAAMVEAWGYDELLHQIRKFYAWVLGQAPYSELARTGKAPYIAETALKSLYTGVQPSADDLSEYTRVLN -EMYDDSLLQDNELSVYHQSGDPPEFKDAGANPPAPKLKGAFTAPEITEVTEQEDSKQAALREARQKQPAV -TPESYGRDTGEKSMRSVSTQRVKDKDVNVGTTGTFVVPRVKLHTGKMRQPRVNGISVVNLQHLATYEPEQ -HNIGNTRSTQEQFRAWYEGVKGDYGVDDAGMAILLNGLMVWCIENGTSPNINGVWTMMDGDEQVTYPIKP -LLDHAVPTFRQIMTHFSDVAEAYIEMRNRTKAYMPRYGLQRNLTDMSLARYAFDFYELQSTTPARAKEAH -MQMKAAALKNAHNRLFGLDGNVSTQEEDMERHTATDVTRNIHNLLGMRGVH - ->YP_003725728.1 CP [Panax virus Y] -GDKTTTMDAGDSSGDSSKKNDKNKDKQQQLTPTSDQNKSISNPNKDKDVDAGSSGTYRVPKLKAITAKMR -VPKYNNKNSMNLEFLLNYSPDQIDITNTRSTQAQYQAWYEGVKNDYDVSDQDMEVLLSGLMVWCLENGTS -PNLNGMWTMMDGEEQREYPIKPLIDHAKPTFRQIMHHFSDVAVAYIEMRNTKKPYMPGYGLKRNLRDRSL -ACYAFDFYEMTSSSPERAREAHLQMKAAALKNSRTRLFGLDGSVSSKEEDTERHTVEDVNRNMHSLLGMQ -GM - ->YP_003725719.1 P1 [Panax virus Y] -MAAVVISNVSMNMQIPYIKFGSFGDEPKKVHTTLHQRCVQVTNQLLEEYDRKMEKFNEAVLMKADKRLEH -QKKSVITQQRNGTLCFRKKEKNTRLVYRVRNKATVEKPFVFPPHQFNVNKILVNWGGVHLVQDVEIPKHK -VATSIKRKCAKPRTKCALNEIQMGMLMKQVSAIIRQNNLAVNVIGQKKKRRALNGNVLQRKEGKYLKVIT -KHELGVRARKDVLCDEFQQECIRTISSSILKKRVFHENVADKGYSGIVLPQQSVQGKIGRCINGLFIIRG -RHEGILLNSLSKLTESVTLRIIHY - ->YP_003725718.1 polyprotein [Panax virus Y] -MAAVVISNVSMNMQIPYIKFGSFGDEPKKVHTTLHQRCVQVTNQLLEEYDRKMEKFNEAVLMKADKRLEH -QKKSVITQQRNGTLCFRKKEKNTRLVYRVRNKATVEKPFVFPPHQFNVNKILVNWGGVHLVQDVEIPKHK -VATSIKRKCAKPRTKCALNEIQMGMLMKQVSAIIRQNNLAVNVIGQKKKRRALNGNVLQRKEGKYLKVIT -KHELGVRARKDVLCDEFQQECIRTISSSILKKRVFHENVADKGYSGIVLPQQSVQGKIGRCINGLFIIRG -RHEGILLNSLSKLTESVTLRIIHYSSTGDKFFNSFATTFVNNVPKNLDHACHTDVPVEDCGTVAALLTQT -IFRCGKITCLRCADNYTACSAEEIRDKVNQEIGGTINTIETKFPKFGNVVRFLKDYDHMINMVNPNIKKF -NETHQLIGSMTIEPFKYINRLNAIIIKGNRMTAEELGEASDCILSLARFQKNRTDNIQSGSLLHFRNKVS -GKTTMNFSLMCDNQLDKNGNFIWGQRGYHAKRFFSNYFETIEPKQGYDKYQIRNGPNGTRTLAIKNLIVS -TNLEVLRNQMKGEYVKQPDLSDQCVSRASGDFIYPCCCVTTDAGKPLESHTLKPTKNHLVIGNTGDSKFV -DLPAEEQEGLYIAKDGYCYVNIFLAMLVNVNEVGAKDFTKMVRDLVIENELGKWPTLMDVATACHLLTVF -HPETSNAELPRILVDHNLKTMHVIDSYGSKTTGYHILKANTVSQLIRFADLSLNSEMKFYKVGGLKDFET -TRDDLSLTLLIKSIYRPKLMKEILTEEPYLLILSVLSPSVLLALFNSGSLEKATHMWLKRDQNIAHIITM -LSSLAGKVTLARTINEQLVTINKHAPALMDGVFRGTRPNASYMQAINVLTRIEARNCTDAQLDAYGFQVL -PSKLAETTEKIYISELEASWAGLSLCGKLSAMREAYRWRKFISRPLTPSKSADLGGRYDISLTSLLGRSR -NFVSSGMKRSTERLKSHAITITRRVYSKSLDLLLNMIPSVLYLINSLLILNALVQMISTCQHMIKEHRSN -KLQILDNEFNRKCDLLEVEYNKLVSKYDEIPTWEEFLEHLRFYSKELHDFAKEYQGELEEPVCHQAKRNS -EVRLEQAMAFVALILMIFDSEKSDCVYKVLSKLKNLMSIADTSVFHQSVDEIKSEIDEKKFTIDFTLDDT -FTPSIRNSEPTFEDWWHNQIESTNVVSHYRTEGHFYEFTRQNAADVASKISKGSEKDILLRGAVGSGKST -GLPYELSQRGSVLLLEPTRPLAENVLKQLQKDPFYLNPTLRMRGMSVFGSSRVTIMTSGFALHFFANNME -QLRDYNYIIFDECHVLDSAAMAFRCLLHDTKYGGMVIKVSATPPGRECEFSTQHPVDLRIEESLSFQSFV -QGQGTGCNYDVIKDGNNILVYVASYNEVDTLSKLLLERKFKVTKVDGRTMKLGNVEIVTSGTEKQKHFIV -ATNIIENGVTIDIDVVVDFGTKVMPTLDVDNRMVNYNKVSISLGERIQRLGRVGRTKRGTALRIGHTEKG -LMDIPPLIATEAAFFCFAYGLPVMTHNVTTSLLKSCTVRQARVMMNFEMSIFYTINLVRYDGCMHPKIHE -TLKKFKLRDSEVILNTMAIPTRSHETWTTVREYNKMGARLNIEDAVRIPFLMNDIPEKTHEEIWNALCTY -KKDNAFNKITSASACKIGYTLQTDIFAIPRTLSIIDKLIEGEHMKRAHFKSLMANNCSIGNATFMSLFNA -VRSKYAQDYTQENLEKLQRAKCQLLEFANLGSETNFPDLIRNFSALDCVTHQSSGGISNSLGLKGLWNKS -AITKDVVVIGGLLVGGAWMTYTWFKESYYQPVFHQGYNKRQRQKLQFRNTRDARMTREVFGDDNVIGDYF -GEAYTKKGKKSGKTHGMGKKNHRFVNMYSYDADDFSFVRYVDPLTGYTLDEHPLTDMRLVMEQLFKARAQ -AINDDELDTQTIRLKPGIEAYFQKGATKEAIKIDLMPHNPLQVCNKGTIAGFPEREFELRQTGKPIILPA -SAIPKAKPFEEDTVHEGESLFKGVRDYNPIASTICLLTNESDGHSERLFGIGYGCMIITNQHLFERNNGT -LRIQSHHGEYIVPNTTALQMYPCSGRDVVLIRLPKDFPTFPQRLKFREPRNNERVCMVSSNFQNKSTSST -VSETSTIHPQEGSHFWKHWISTKDGYCGLPLVSTSDGFIVGLHSLSNMSRTQNYFTDFPENFENTYLKTS -ENHNWVRHWKYNADNVGYGSLKLHESTPDGLFRPVKMVRELGDEAVFNQSIKGGWLYDKLHGNLKAIGRS -DSQLVTKHVVNGKCVLFETYLQTHPEAANKFKPLMGYYQKSNLNKEAYIKDLFKYTSPIVVGILDTNLFE -KALDCVISRMEQAGFEECDYVTDSAAIFSSLNMKAAVGALYQGKKQDYFSNYTSEDKDTILEQSCRRLYE -GKLGIWNGSLKAELRPIEKVQENKTRSFTAAPLDTLLAGKVCVDDFNNQFYSMHFKCPWSVGMTKFYTGW -NTLLRKLPNDWVYCDADGSQFDSSLSPYLINAILQLRLHFMEPFDIGEVMLSNLYTEIIYTPILTPDGTI -VKKFKGNNSGQPSTVVDNTLMVVIAMTYALLKMGFAAELHDEVCQYFVNGDDLLLAVHPEHESLLDSLSD -IFQQLGLKYTFESRTRNKEELWFTSHRGVLKGDLYIPKLEEERIVSILEWDRSIVPEHRLEAICAAMIES -WGYEWLTNEIRHFYSWVLEQAPYNEIAKIGKAPYISEMALRRLYTSEQVDEREILEYFNRFLDLELDDED -DLRVIHQGDKTTTMDAGDSSGDSSKKNDKNKDKQQQLTPTSDQNKSISNPNKDKDVDAGSSGTYRVPKLK -AITAKMRVPKYNNKNSMNLEFLLNYSPDQIDITNTRSTQAQYQAWYEGVKNDYDVSDQDMEVLLSGLMVW -CLENGTSPNLNGMWTMMDGEEQREYPIKPLIDHAKPTFRQIMHHFSDVAVAYIEMRNTKKPYMPGYGLKR -NLRDRSLACYAFDFYEMTSSSPERAREAHLQMKAAALKNSRTRLFGLDGSVSSKEEDTERHTVEDVNRNM -HSLLGMQGM - ->YP_003620390.1 NIa [Freesia mosaic virus] -HGRGRWVFDDLNLNLKAVACANSQLVTKHVIKGQCQFFQEYLAAHPDAATYFGPLLGHYRPSRLNKEAFK -KDLFKYMDEIIIGEIDGEAFERGYEDVCALLHDLKFGECQFITDPSVILESLNMKAAVGSLYTGKKNEYF -EAMTADEKEEMVLKSCERLYNGCMGVWNGSLKAELRPLEKVEANKTRTFTAAPIDTLLGGKVCVDDFNNR -FYELNLAGPWTVGMTKFYGGWDKLMKALPEGWLYCH - ->YP_003208060.1 coat protein [Canna yellow streak virus] -ATEDAGVNADDDKAKKIDAKDGNRSAGEAKDRGSTSIERVDNPSGQEVTPAEKDVDTGTVGKFTIPKLKK -VSPKMRLPKVGDKTLLNVEHLITYEPDQRDISNTRATHSQFKQWYEQVKKEYDVSDDQMQIILNGFMVWA -IENGTSPNINGYWVMMDDQEQVEYPLKPIVEHAKPTLRQCMMHFSDAAEAYIEMRNLKQPYMPRYGLLRN -LNDKSLARFAFDFYEVTSRTPNRAREAHAQMKAASIRGGTNNLFGLDGNVGEDSENTERHVATDVNKNTH -SYRGAQI - ->YP_003208047.1 polyprotein [Canna yellow streak virus] -MAGEWTFVVDRRERRLTDEQKILRAQRKFYAKHRVYDAKRAREHNARLLKMSLPELEIATNAKTKIDVTR -DRNDEVMLHTVVKPTKAKAQALSVKRVYSKFTEVDVSKFAKILIDQCAAHKIVVEFIGKHKKKRTTLRPF -YFGTKVLLKHNTRHEAGQMVRNDSPLRGADYEFLHQIVNQYDIVTASELGNFAHGSSGVLFEHPDSAELF -SIRGRCSGQLVNALIPTHGHLSLIDHYASEEVNARDFWRGYTDLYCRQRREAHDHKDHIAQIPVETCGRL -AAIMEHVLRQSYKITCGLCSHTFDEESDVSVCERIHDAIKRIEEQNRLLLASDPALRTSLQALETVTTFT -TIHHTACLEVMKTLDPTLPTPANRLVDIAKKLMQLKVHDGEAMKEIGDNLLEVTRWYAKRSKLSEKDEIA -TFKNKVPPRGVVGNVLTCDNQLDKNGNFLWGQRAYHAKRFLSNYYEIVDPTDGYDKHVIRKSPYTQRHLA -IGKLVVTMDLAKMRERMRGLPIKPLNITQSCISKLNGNIVYPCSCVTQESGKALWSEFTMPTKEHISLGN -AMDPQLVDMPRTDPPQMYIVKDGYCYLNIFLAMLIYVNEEDAKSFTKFIRDRIQPMLREWPTLQDVATAC -YLTSTFYPETMQAEIPKILIDHESKTAHVLDTFGSLTTNYHVLKANTVAQLVRFAYNDLESEMKGYVVGG -AFKQNSIVHLLEALIKGIFNVKVMEEIIREEPFLLFLSIASPCVIMNMYNNANFERAMRYYSTRNMHLAS -LFAMMEALSQKVSAAEILSEQLTILETAAGEIHARMAGSFQNDPLALYVRHHLLVMMNRADMNAQLREEG -HTLFDTKTYEMIEKKYANDIQAAWHALGFVGKLSALRQLCAIKKARAKCLKPMAKADLGGIYNISPMLLV -SECHEKLRTPIKYISQKLQNGYISTKHALRGGIIRTAYRCLPDIFTMVRVSLAMSVTVSMLYTICATGTE -YKKYKREALRAKIENEEEIVRGLHTQFMKDTEWQGTEEEFIAYIDRKHPDFLTRTMVMLRDEVEHQKKGS -TELQLEQAIAFATLLTMLFDADRSDAVFRILQKIRSCTQIIGTTVEHQGTQVDEPLDMEKLKNLTVDFEV -KQEIAPRIANHDTTFEKWWSTQITQGRTTTHYRIKASSLNLVGKMLQRYVTKLHIRAQHGILLVKGNVGS -GKSTGIPNYLCSRGKVLMLEPTRPLTENVFQQLHNEPWCLDPSMQMRGKSVFGSTPISVMTTGFALHLFA -NNVTRLEEFKFIIFDECHVLDANAMAFYCLLEEYNYSGKILSVSATPPGKETEFETEKPVDLRIFEDVSF -DSFVNEQGSGSKLDATAVCDSILVYVASYNEVDSLSNRLLERGFSVTKVDGRTMSNVKSNKNPLATAIHD -GSIKISGNNSVNGKKHFIVATNIIENGVTLNVDGVVDFGTKVVADLDVDSRAITYNKVSISYGERVQRLG -RVGRFKKGYAFRIGTTQKGIVDVPSMTATEAAFLCFAYGLPVITHNVSTTHLANVTSLQARTMLQFELPI -FVMSELVKYDGHMHPTIHKILTPYKLRDSSITLRETALPQNAGDVWIDVQNYKKMGFRLDLADDIKIPYF -VHGVSNDVYKQIWEAVKIFRSTCCMRRMTSSCAGKIAYTLQIDNHAIPRTLAIIDGLIRDERTKHNHFLS -MSSNSTSSYNFTLNGIVDMLRSRYMRDHSLENIQKLEMVKNQVIEFDNAKISYEDVDKIKNFGSLQTVIH -ETREGVAKEIGLQDCWSKELMCKDGLIAFGVMIGGILIGWKCFNYYFQMDVEHQAKSKRSKQKLRFRDAR -DRKLGREVYGDDATMEKYFGEAYTKKAKKGNKTHGMGAKTRRFCHVYGVDPTEYDMIRFVDPLTGITLDD -TTQPDMELVQEHFQAVRNQLILDDQLDKQHLYTAKTIHAYFIKHGTRSALRLDLTQHVPTLLCQKSNAIA -GFPEREGELRQTGTPITIDKDAVPKPNEADVEHEGVATLQGIANYNPISNNICILRNESDGRTDEMHGIG -HGPYVIAPSHFFTRNNGSLSIRSVRGLYKIENTCNLRIMPVENRDIVIIRLPKDHPPFTHSIQFEEPCKD -DKVVMLGMNFQNGRNIQEFSDSSIIVQQNESFWKHWIATKAGYCGLPLVSTRTKAVVGIHSLRATDDSVN -YFTSVNRHLKDALQLNIDTLKWIKGWKYNTKLLSWDGMHLKTSKPSAAFNTIKEVRVVNENMHNVSEDTW -LTRQLKENLLVVGKLPGNLVTKHVVKGKCQLFQLYLNCNEEANAFFKPLLGHYGKSKLNREAFIKDFTKY -DKPILVGSVQTNEFEIALKDVIRMLHSLGMKDCNYVTIAHEIFDSMNMKAAVGALYAGKKREFFKDWSDG -DKENLLENSCSRLFTGKIGVWNGSLKAELRPTEKLIANKTRTFTAAPLETLLGGKVCVDDFNNQFYSNHL -KGPWTVGISKFYRGWDKLLRALPDGWIYCDADGSQFDSSLTPFLLNAVLRIRLACMEEWEIGEQMLRNLY -TEIVYTPIATPDGSIIKKHKGNNSGQPSTVVDNTLMLILAFTYTMRVNNISAVEQNNIIRMYGNGDDLLI -AVHPDFQHILDTMGKHFADLGLNFDFSSRTTNKEDLWFMSHKGVLINEVYIPKLEKERIVAILEWDRSTE -PEHRLSAICAAIIESWGYDDLTQHIRKFYQWVLDQEPYKGLALQGKAPYLSESALKRLYLDTAVQDSELF -EYYRAIYADLEQQQDDVLEVEHQATEDAGVNADDDKAKKIDAKDGNRSAGEAKDRGSTSIERVDNPSGQE -VTPAEKDVDTGTVGKFTIPKLKKVSPKMRLPKVGDKTLLNVEHLITYEPDQRDISNTRATHSQFKQWYEQ -VKKEYDVSDDQMQIILNGFMVWAIENGTSPNINGYWVMMDDQEQVEYPLKPIVEHAKPTLRQCMMHFSDA -AEAYIEMRNLKQPYMPRYGLLRNLNDKSLARFAFDFYEVTSRTPNRAREAHAQMKAASIRGGTNNLFGLD -GNVGEDSENTERHVATDVNKNTHSYRGAQI - ->YP_002539451.1 CP [Pepper veinal mottle virus] -AGETIDAGKSSGKEVTASKQPTTSQPTEAKTVLDRDVNAGTQGTFTIPRLKGMSSKLTLPKVSSQSVVNL -EHLLNYKPDQVHLSNTRALQSQFASWYNGVKNDYDVDDEQMKIILNGLMVWCIENGTSPNINGMWVMVDG -EEQIEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIEKRNSEKSYMPRYGLQRNLTDMSLARYAFDFYEMTS -KTPVRAREAHIQMKAAALRNANNRMFGLDGKVGTQEEDTERHTAEDVNRNMHNLLGVRGV - ->YP_002519375.1 polyprotein [Pepper veinal mottle virus] -MAIRVSFPCFYNTHNSTLSECKSVTMSICFGSLKPKQIDWNEASNNLLKEYDDKMDAFEKDCEKFAREMS -GQRAVLKGGVYKYAPKTRAQLRKERKHNRILEERAEFLNGKDSIITGMVFPVEARKAQTEEAPFKYPPIV -QRKPRKTPHMNYVTLNDTQFDQFTRELINACEATSQPMEIIGKRKVRVQARVTRLFSNTRCLQVVTKHHK -GKLCEIDVPNMRGIENSLGHLAQAAWKGGFVNEERLGYGTSGCCIPHGLLKGRTFDGGFDFFIVRGRYGP -LLMDSTRYTPKQVLADIQHYSAGDKFWSGFDQAFRAHRTTPVIHSGMNTLDVTQCGEVAAILCQALMPCC -RITCTVCATTNADITQLELRERIAHSIKGSISELSEKYPAFPHAVRFLKDYLISLNNLNPNREASGKVHF -LIGDRKEQPFSHILAVNETLIKGGRATSDEFAHASDELLQLARFHKNRVESLKKGSLHLFRNKAASKAHI -NPSLMCDNQLDANGNFVWGKRGYHAKRFFANYFDRIEPTSGYDKYVIRKFPNGSRKLAIGNLILSTNLDE -LRKQLQGESIQTYPLTQQCVSKRNETFIYPCCCVTYDDGSPMLSEYKAPTKNHLVLGNSGDFKYLDLPTE -ISENLYIAKEGYCYINIFLSMLVEVDENEAKDYTKWVRDIVVQQLGQWPTMTDVALACYQLSVLFPSTRS -AELPRILVDHKTKTMHVIDSFGSLTTGYHILKANTVSQLLMFASDTLESEMRMYRVGGSDPRTLTDYRKR -LFQSVYKPAVFKQLMLEEPVILTLAIASPTLLSEFYHNVSLHRAMGLVGQAEMPVRIAVTHIVELAKKVT -RAESLYEQSAIIESNAQELYAILDSVPYKTEALEHILAYLLTVVLDADSDATLEDIGFRTLKYKSLSVLE -KICRDDLDAQWRDLSLSAKSRITWQSLRSRKSCTGGLRDTACSIFKKVFESSTAYVQGKAQKIPAPFMYM -LSKASKSASYIRSSVLNRMYSYIAYSFHDAFQFIHTLAILSVLLTIYTNILNIRNLNKKRALLLVKEADR -LKSERIERCFEEISKKLNGAPTEQQFVQFIQEKDPQALQYYSEQNDGVKHQASKRPAEAKLEQIVAFVAL -MMMVFDTDRSDCVYKVLNKLKNVMGVVDNDAVNHQSIDTILDDFESKNEMIEFELTAPDAKSLAYKSSTF -QKWWDNQISTNNVISHYRTEGHFIQFTRETSAQVASDISSSEIRDFLIRGPVGSGKSTGLPSLLCKKGRV -LLLEPTRPLAENVHAQLSSAPFHLNPTLMMRNKSVFGSTPISVMTSGYALHYLANNAHRLKEFSFIIFDE -CHVLDASAMAFRSLLADRAFEGKILKVSATPPGRETEFTTQFPVKLRTEDHLSFQQFVDAQGTGSNADVI -SDADNILVYVASYNEVDQLSKMLVERHHKVTKVDGRTMKSGAVEIKTVGTKQRKHFIVATNIIENGVTLD -IEAVVDFGQKVGADVRSDERMICYTKGAINYGERVQRLGRVGRTKPGCALRIGHTNKGIEAIPTVIANEA -AFLCFIYGLPVMTAQVSTSLLSSCTVQQARTLALFELPFYFLQDFVAADGSMHPAVHALVKKFKLRESEV -ILNKLAIPHAAVNKWMSVREYNQCSKQMDLDPDIKLSFLVKEVPESLYEKLWHCVQSHRGDAGFKKIATH -NAAKIAHKLRADNASIQRTVCSSINDAAEMQKKEHFDSLVNANTTSLSFSLQSISNMIRSRYAKDYSVQN -LSILHEARAQLVEFNNLYSEDIISGAASIWTMRDSIVECGAMETVMYQSTEEIGKFLGLKGFWNKKLLTR -DVLIGAGVACGGAWMLYQFVMDSFGDDVSHQAQGRNKRQKQKLKFRDARDAKLGRVVIDDDSGAIEHFFG -SAYTKKGKSKGKTHGMGKKNRRFVNMYGFDPTEYSFVRFVDPITGEMLDESVMADIMLVQEHFDDLRHEY -ISEDKIGVQALYKNPGIQAYFVKDKVSPVLKVDLTQHEPLKVCDNSATIAGYPEHKGMLRQTGQATLVTH -AELPSSEKVEHEAKSLNKGLRDHNQISKVVCKLENESDSYVTSIHGVGFGSVIITNRHLMKRNNGQLRVK -TAHGDFKIANTKEMRIHPVDKHDLILVRLPKDFPPFPTKIKFREPKLTDSICLIGSNFQERFLSSLVSAS -SETSPVENSKFWRHWIDTKDGHCGLPLVSTNDGAIVGFHSLTSMNTDQNYFASVPSDLAQMIKDFETLEW -RKCWVYNPNEIGWGSLKLQQDKPGGMFKVDKLIEDLQSTFVQEQGEEKWLYAQLYGNLKAVGKSESQLET -KHVGKGQCPLFQLYLSTHKEAKEYFKPLMGAYAKSRLNRIAYAKDILSMQRKLKWAKLDVACLELAVEDV -ICDLHAVNFTTCEYITDEEQIFQSLNMKSAVGAMYRGKKRDYFETYTTEDKERILYESCLRLYTGKLGIW -NGSIKAELRPMEKVQANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSKHTIAPWSVGISKFSGGWDKLLRK -LPDGWIYCSADGSRFDSSLTPYLINAVAQIRLAFMEKWDIGEQMIKNLYAEIIYTPILTADGTIVKKFKG -NNSGQPSTVVDNTLMVLIAMRYSLRRLGMNYKDQIKRCVFFANGDDLIVAVRHEDEWVLDSLQAPFQELG -LSYNFDDRTTNRSELWFMSHQGKLIEDMYIPKLEEERIVSILEWDRAEQPEHRLEAICAAMIEAWGHSEL -LHNIRLFYKWIIEQAPYSMLVANGKAPYISEVALRRLYTNGENDDDVSEYLKALSRSQKEIERLEGDDFV -LHQAGETIDAGKSSGKEVTASKQPTTSQPTEAKTVLDRDVNAGTQGTFTIPRLKGMSSKLTLPKVSSQSV -VNLEHLLNYKPDQVHLSNTRALQSQFASWYNGVKNDYDVDDEQMKIILNGLMVWCIENGTSPNINGMWVM -VDGEEQIEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIEKRNSEKSYMPRYGLQRNLTDMSLARYAFDFYE -MTSKTPVRAREAHIQMKAAALRNANNRMFGLDGKVGTQEEDTERHTAEDVNRNMHNLLGVRGV - ->YP_002308564.1 coat protein [Narcissus yellow stripe virus] -SGKQALDAGLEEDRNLHKDKGKQSVASEVRKDNTQIRDKDVNVGVQGSFPVPRLKTLTGKMNLPLHKRRV -VLNLEHLLSYSPNQVDLSNTRATQNQFASWYEGVKSEYDVDDDKMAIILNGFMVWCIENGTSPNINGVWV -MMDGEEQVEFPLKPIIDHAKPTLRQIMAHFSNVAEAYIERRNYDRPYMPRYGLQRNLTDMSLARFAFDFY -EMTSKTPVRAREAHIQMKAAALRGAKNHLFGLDGNVGSADENTERHTTDDVNRNMHNLLGVRGV - ->YP_002308453.1 polyprotein [Narcissus yellow stripe virus] -MAAIFANTITNTGATMAAHLKALNNFEGIGQFSNMKTTKQMMKLGAASPIIKTKRATIQARMEWEELQRE -IAFRNFEAKRFPKQTHTQPKAQMVAESAKEVTWKELRAQKLAKETLEASLKACTIGPWGPPACVVDNLPT -MPSKRDTTHRQKVVKQLNQTKSMKVNRTKPERVKLNSTQFKSFQRKLFTAARDNNHIVDIIVKRKTSVRF -VKYQSRTYAQVDVHHLSGKLRKTDMDNNTFVNDCLDVMAKITAGNQPHTTEEITFGWSGMVLRTDKLLGK -RTKSSLKSFVVRGKDGSRLVDARTRTSYLRRMRMKHYSTAGEKFWKGFDTTFREIRTPDREHTCESDLDV -EECGVVAAILCQAMYPCGRITCTKCVGDHTYSEGQATQGKIIEKVDKARELLKAEHPQFAHAVTLLDRYS -NSLADVASNYDTFAEIQAITGGKHLTTFTHLNKLNAILVKGPMATRAEFTDAMVHLLEVARYLKNRTENI -EKGTLKSFRNKISQKAHINPTLMCDNQLDKNGNFVWGERGYHAKRFFGNYFDIIDPGEGYSRFEVRKNPN -GERKLAIGRLLVPTNFEALREQMRGEGIQAQPLTQECVSMLRGDYAHACCCVTNDNGEPLLSNLRMPTKH -HLVIGNSGDSKYVDLPATEGNRMYIAKDGYCYINIFLAMLVNVNEADAKAFTKMVRDVLIGKLGKWPTLL -DVATACCLLRFFFPDVGNAELPRMLVDHATKTIHVIDSYGSLTTGFHILKTNTIEQLIKFTEHGLESKLK -YYLVGGDPLAEETTEQILSDPNWNLQLLIQGIYKPRVMQENLIWNRYLPLYAMLSPGILLAFYNSGSLET -MTKYFLQKDNDLVVLLVILESLATKVSKSRSVLAQLHILEKGASDVIEAVQNIKQRHTIPYTTVMKMLMV -LATRAKANLELNIAGYNQIRLASIEVMEKNYLQVLEEQWRGLSWSAEFCVILRSSRFTLRTRKSLHPTDT -TDLGGRYSESIASYFGQLTQAAKCGKDKILNKGKHYVSTARMYSTRKACSLINYMVPDIVKFINVLFVVS -LLLSIARECQHLLNIYSESKERMSQTVAERDMAQIELMYKMYVMENKEKPTRDEFIEYLEKVDPQLATYL -KSEEEVTHQTKRKSEQELERVVAFVALVLMMFDCERSDCVTKVLTKLKNLMSSVEPNVYHQSLDEISDEL -SEKNLTIDFEIAQDESPTQGLSIERTFQEWWDHQLTRGITIPHYRTEGRLITFTRDTALHVANEIAQNNH -TDYLLMGAVGSGKSTGLPYNLSKKGNVLLLEPTRPLAENVHRQLAKEPFYTNTTLRMRGLTAFGSAPITI -MTTGFALHYFAHNRSRLNEYDFVILDECHVHDANAMAMCCLIHDSLYTGKLIKASATPPGREVTFNPQHP -VNIIPEETLSFQNFVQAQGSGSNCDMTRHGDNILVYVASYSEVDILSALLIEKGFKVTKVDGRTMKVGRV -EIVTTGTPAKKHFIVATNIIENGVTLDVEVVVDFGTKVVPYLDSDNRMMNYNKVAVNFGERIQRVGRVGR -YKPGTALRIGHTEEGLSEVPSSIATEAAFKCFTYGLPVITNNVTTSLLAHATVPQARTMAQFEVTPFYMV -HLVRFDGSMHPAIHSLLRKFKLRDSEIFLNKLAIPNKGLRNWMTGSEYAKLGCTVDDRQDIRIPFVCKGI -PEKLHTDVWETIMKHKADAGFGRLTSAGACKIAYTLQTDVTAIQRTVRIIDSLIAEERVKQEYFKTVTSN -TVSSSNFSLQSIANAIRSRFATDHTRENIGVLEAAKAQLCEFRNLSVDHSFERLNDTISQNFIRDFGALE -TVQHQSKHDISKCLGIKGRWNSSLITRDVLVLAGVLGGGIWMLLSHYLKSANELVHHEAKGTRQKQKLKF -RQARDNKNGREVYGDDGTIEHYFGAAYREKGKVAGKVRGMGSKQRRFVNMYGFDPEDFSAVRFVDPLTGA -TLDDNPMTDIHLIQEHFTAIRENLISEDKLDVQHIRNQPGIEAYYTNNRTGKALKIDLTPHNPLRSCDKK -ATIAGFPEREFELRQTGAPQHIQLSDVPKEQTDDGVNHESVSLFKGLRDYNPISSNVCKLTNKSDGHEDT -LYGIGYGPLILTNRHLFERNNGELLIQTRNGEFLIRNTTQINLFPIPDRDLILLRLPKDVPRFPQKLTFR -SPVNNERICMVGSNFQAKSISSLISESSTTMQVKGSNFWKHWISTKDGQCGTPIVSTRDGAILGLHSLSN -FANSINYFSSFPNEFTERYLDTHENHEWAKHWKYNTSSISWGSLNIKAAQPKGMFNTTKLVMNLDDTAVY -SQMARGGWMYNQLHGNLKAVATVPSQLVTKHTVKGKCQMSDLYLRLHDEERAFFEPLMGFHQKSRLNKEA -YAKDLLKYASVIEVGLVDCDVFEQALAAVIDDLKTLGFQECNYTTDENCIFDALNMKSAVGALYQGKKKD -YFADYTQEMKDQILFESCERLYKGEMGVWNGSLKAELRPLEKVEANKTRTFTAAPIDTLLAGKVCVDDFN -NQFYDHHLNGPWSVGMTKFYGGWDTLLNKLPDGWVHCDADGSQFDSSLSPYLINAVLRIRQKFMEPWQVG -EQMLLNLYTEIVYTPISTPDGTLVKKFKGNNSGQPSTVVDNTLMVVLAMRYSLLKAGLPVNEHKDMCKFI -VNGDDLLLSLAPEYEHILDTLTDRFSELGLKYTFCTKTRNKQDLWFMSHKGIRREGIWIPKLEQERIVSI -LEWDRSKEPEHRLEAICAAMIESWGYDALTHEIRKFYSWLLSQAPYSGLAQEGKAPYIAESALRKLYLDK -DVEQSDITKYIEAIFEDYVEGEFSEVFHQSGKQALDAGLEEDRNLHKDKGKQSVASEVRKDNTQIRDKDV -NVGVQGSFPVPRLKTLTGKMNLPLHKRRVVLNLEHLLSYSPNQVDLSNTRATQNQFASWYEGVKSEYDVD -DDKMAIILNGFMVWCIENGTSPNINGVWVMMDGEEQVEFPLKPIIDHAKPTLRQIMAHFSNVAEAYIERR -NYDRPYMPRYGLQRNLTDMSLARFAFDFYEMTSKTPVRAREAHIQMKAAALRGAKNHLFGLDGNVGSADE -NTERHTTDDVNRNMHNLLGVRGV - ->YP_001936196.1 coat protein [Algerian watermelon mosaic virus] -ADTPQDAGEQKKKKEKEEKEQKERKEAAETAAKASSSTERGSAKEKEKDVDVGTSGTFTIPKIKTFNDRM -MLPKSKGKLALNLEHLLVYNPTQVQLSNTRSTQRQFDKWYEGIMTEYGLSDGEMPILLNGLMVWCIENGT -SPNVNGVWVMMDGDEQIEYPIKPLLDHASPTFRQIMAHFSNAAEAYIAKRNSMERYMPRYGLKRNLTDIS -LARYAFDFYEITSKTPERAREAHLQMKAAAIRGGNRRLFGIDGNVGGGDENTERHTVDDVERDMHSLLGM -RK - ->YP_001931956.1 polyprotein [Algerian watermelon mosaic virus] -MATIMFGDFLCKSFTSQPLAKKVVKKRKVPFTRTVETLVPIGCGARCGGVQSYTKTSLRRAISDGDLDRS -GGCYYCGLKGLVGGREPLYITEHVQEFREEEYEDVEHVPGTRSFTYELVEKQARDAVGVCLDIAEKLLVK -EKAVQQVREVVLVTDSQKQEVQQWFNKEGRILDDLSQNLSDKLKFRENRVITRNKNGLWTYRTASRRNRK -RAQREESKLFMNTPVACVTSINCVSSETNEIEDIKPGRKCATSRKLVRKKPYSKIIGAAIVNNLIAAVSK -IMKSDGKELQIVHKRGARIIKFTDKRAYVRVKHLEGKRCQTDLATDEQTERIFGVICKSTVKGFWKNNAS -IQAGDSGLVIHKNNGLTNYSRTRGDYLIVRGRHEGKIYDARTKITYTMMHKIIHYSSVPERFWKGYNASY -LANRGKSDHECTSDLDVQTCGEIAALITLILFPSHKITCNKCMERNKDRTINEVGEDVHKELSRLRSTLT -GFGGSFAHVTNLLDQLERVLNGKNSNIKHFDEITTLIGDRRESPYIHMTKLNEFLIKGSLVSQLESEAAT -DALLEIVRWHAKRTESIVAGSVQSFRNKASGKAHFNPALMCDNQLDRNGNFLWGDRQYHAKRFFTGFYEK -VSNKNQYREYINRVNPNGVRKLAIGNLIISTNFEKLRNQMEGEHVEQGPITRECISLRRGNYVHVCSCVT -LDDGSPALSDIKMPTRSHLVLGNTGDPKYVDLPTIESESLYIAKEGYCYMNIFLAMLVNVPEGEAKDFTK -RVRDVVADKLGTWPTMRDVATAAYYLTIFHPDTASAELPRILVDHTSKTMHVIDSFGSINTGYHILKANT -VNQLIQFARDPLDSELKHYLVGGTTTHYLGKLIKSVYRPNELMELLLNEPYIVALSLSSPSLLLSLFNSG -SLELALKHWIKRDQDVAEMIVLVESIAKRVTVARSLSDQFKAITINSRPLKLQLERDIKPWTSYDRAVEL -MTIMENSELANETLDKHGFASLEPKLKAAVEKTYVVSLQEQWHELSLLAKLRARLFSFAAMKNTARYLIP -SGLSDLGITYDFSPRCFVSDVSDVVAKPIRVVANKIKDYSTTIKRATRRFAVGSVNFVFGDMLKLINVML -VVSLLMQILRSTQAMLIEHNNVRKEAQQEKREREIRNLELMHQRMTEELGELPTEEEFITYVEKKKPEMK -EIAQELVGCTVAHQAKTVNEKNMERILAFLTLIMMMVDADKSDCVYKLLNKFKSVVGTIEQDVYHQSLDD -INDLFEDKQLTIDFEIDVQEGNSSTTFDHTFSRWWDNQLSRNNTVGHYRIGGEFIEFSRSNASIVANQIA -HGTAKEYLIRGAVGSGKSTGLPHLLSQKGNVLLLEPTRPLCENVCKQLRGDPFNQNPTIRMRGMTSFGSS -PVTIMTSGFALHYFAHNVDQLQEFDFIIIDECHVIDAQAMGFYCLAHEHKIRGKILKVSATPPGRETEFT -TQFPVKLVTEDHISFQQLVNNFGSGANSDVTSEADNILIYVASYNEVDQLGNMLNEKGYTVTKVDGRTMK -IGKTEIQTHGTKDKKHFIVATNIIENGVTLDIDAVIDFGLKVVPELDSDNRMIRYTKKSISYGERIQRLG -RVGRHKRGIALRIGHTEKGITEIPEMAATEAAFLSFAYGLPVMTHNVSIGLLSRCTTRQAKTMMHFELNP -LYMVNLVAPDGSMHPKIMELLRPYKLRDSEIKLDSQSIPHGVDRIWLSVREYNSMGCNFNIEDDQRIAFM -VKDIPEKLHKNIWNAICTYKRDFQFGRISSAHAGKIAYTPQTDVYSIPRTIDTIDQLIASENAKHAHFKA -ITSRSNTSIGFSLLSVINSIQSRYLVDHTVENIRRLQQARAQILQFQGLQNGDPSHLIESFGALRAVYHQ -GEHGKKHITEQLGLKGIWNKSLMCKDALVSGLVFLGGLVILWQNYKEKMLSKVYHQGFSARQRQKLKFRD -ARLAKIGREVYGDDGTIEHYFGSAYTKKGKKKGYTHGCGTKTRKFVATYGFKPEDYSYVRFLDPITGETL -DENPAIDISLVQEHFGQLREKYLELDQIERERIVHDPSIRAYYVRNAAKTALLVDLTPHNPLKVCDRHST -ISGFPEREFDLRQTGPARQISIKEVPEPKVSDVVHEGKSLFQSMRNYNGISSAICRLKNTTGNGTSLFGI -GYDSYIITNRHLFKENNGALEVQSNHGKFVVRNSTTLKIAPVGKTDIVIIRMPKDFPPFPSKLRFRSPEA -ADKVCLVGVDFQEKYIASKVSESSHISDNFGGSFGRHWISTKDGDCGLPLVSISDGFILGLHSLSSAGNV -ANYFALIPANFEDNYIQKLSELEWSSHWKYNVNEICWGPLNIHASKPEFPFTTVKSVHPLEVYEQGSESW -LFDRLHGNLKGVCKTQGNLVTKHVVKGPCSLFQQYLNCNEDAKEFFTPLMGHYMKSNLNKEAYIKDFSKY -ASDIIVGEVDCEVFERSLEQVIELLNDHECPELEYITCSETIINSLNMDAAVGALYSGKKKAYFEEMDEF -DRERIVKASCQRLYEGKMGIWNGSLKAEIRPAEKVLANKTRSFTAAPIDTLLGAKVCVDDFNNWFYSKNM -ECPWTVGMTKFYKGWDEFLRKFPDNWVYCDADGSQFDSSLSPYLINAVLQIRLWAMEEWDIGEQMLRNLY -GEITYTPIATPDGTIVKKFKGNNSGQPSTVVDNTLMVLLTMHYALNKAGYCTIEDQENCVFFINGDDLCI -AVHPEHERMLDTFQKSFSELGLKYDLSNRHRNKEDLWFMSHRGILQDGIYIPKLEMERIVAILEFDKSKL -PEHRLEAITAAIIESWGYPDLTQHIRKFYQWVLEQAPYNELARIGRAPYVSEVGLKNLYTSQRGSPVELE -AYVTAHFQNEAGDTPELVVYHQADTPQDAGEQKKKKEKEEKEQKERKEAAETAAKASSSTERGSAKEKEK -DVDVGTSGTFTIPKIKTFNDRMMLPKSKGKLALNLEHLLVYNPTQVQLSNTRSTQRQFDKWYEGIMTEYG -LSDGEMPILLNGLMVWCIENGTSPNVNGVWVMMDGDEQIEYPIKPLLDHASPTFRQIMAHFSNAAEAYIA -KRNSMERYMPRYGLKRNLTDISLARYAFDFYEITSKTPERAREAHLQMKAAAIRGGNRRLFGIDGNVGGG -DENTERHTVDDVERDMHSLLGMRK - ->YP_001816855.1 CP protein [Wild tomato mosaic virus] -SGETVDAGKNTGLVKDPTPNKDKQVMQSQPPTKDKDVNVGTTGTFSIPRLKGISSKLTLPKTSAGMVVNL -EHLLEYKPDQIHLSNARALNSQFQSWYDGVKNDYDVDDEQMKIIMNGLMVWCIENGTSPNINGMWVMIDG -EEQVEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIERRNSEKPYMPRYGLQRNLTDMSLARYAFDFYEMTS -KTPSRAREAHIQMKAAALRNANNRMFGLDGKVGTQEEDTERHTAEDVNRNMHNLLGVRGV - ->YP_001718531.1 CP protein [Banana bract mosaic virus] -SGTESTNDDDPSRTIDAGGSARGTQSSTTTTTAPSTFGQPTTTSAPSSSSTPPRASTQIAPIRDRDVDAG -STNFIIPRIKPMTGKMRLPRYRGKTAINVEFLLQYKPDQFDLSNAIATREQYDAWCDAVKREYAIEDEEQ -FTTLLGGLMVWCIENGTSPNLNGTWSMMDKGEQLVYQLKPIIENAQPTFRQIMAHFSDAAEAYITMRNVT -ERYMPRWGALRGLNDISLARYAFDFYVVTSKTTNRAREAHTQMKAAAIRGSNTRLFGLDGNLGPGEENTE -RHTVEDVKRDMHSLLGMKHE - ->YP_001552430.1 coat protein [Moroccan watermelon mosaic virus] -ADDARDAGQGANEKKEKKEKEKEKEKEKGVKTSDETGGSSSQERGKKDKDKDVDVGTTGTFRVPKVKTFN -DKMILPRVRGKIALNLEHLLQYNPNQIDLSNTRATQNQFDRWYDGVKNDYGLDDEEMAIVLNGFMVWCIE -NGTSPNVNGVWTMMDNGEQVEYLLKPMIEHASPTLRQIMAHYSNAAEAYIAKRNATERYMPRYGQKRNLR -DISLARYAFDFYEMTSKTPERAREAHMQMKAAAIRGANTRLFGIDGNVGGGEENTERHTVDDVERDMHSL -LGMRK - ->YP_001552410.1 polyprotein [Moroccan watermelon mosaic virus] -MAAIMFGSITVDTSNVFGNGHLRVYGGNTTRTCRVESCAQSTQSTTIGDSCAITHTSTLGTPVPKELMAK -SEFAIQQERLSLARRRCLRFNNDLPTVRAKLAQEKERELAIFVQLEKRLNLTEARQDKFLLKDKKGRVYW -KVPSKRQLRKIQKSKRRIRTFQAPDNVISNIKVDFDDAGEICCEAAHVKTATSRSTKRAVSYKVLKGESI -INHLIREISKICKAENKVLEICTERKRRVIHFKDHQAFVRLRHMEGIVKQRDCETNPELEALFERICETA -VGRWNTPSSKIVPGSSGLVVFKGRHLGKYSSSPGNYFIVRGRHEDKLYDARIRIGYIARHKMVHYSGVPE -RFWDGFNTAFIKNRKVTDHNCTSDLDVRTCGEVAALVTLLLFPSHRITCKTCSSKIQDRTIHEIGEQLHT -ELARLHSSLNEFGGSFGHVSNFLFQLDKTLNARNENFEGFSKINEMIGARKEAPYTHLVHINEVLIKGSM -ASAREFNEATQSLLEVVRWHSKRTESIQAGSVTSFRNKASGKAHLNLALMCDNQLDKNGNFLWGERQYHA -KRYFANFYEKVDNSKGYEQYVTRPNPNGSRKLAIKNLIISTNFDKLREQMRGEMVHDRPLSKDCISLRRG -NYVHVCSCVTLDDGKPVESELTTPTRNHLVIGNTGDSKYLDLPTPESESLYIAKNGYCYMNIFLAMLVNI -PEGEAKSFTKLVRDVVADKLGMWPTMRDVATAAYYLTVFHPDAANAELPRILVDHEAKVMHVIDSFGSLS -TGYHILKANTVSQLIQFARDPLDSEMKNYMVGGNPDEAPVMPDIFKIIKCVYKQNDMCKLLFDEPYIAVV -ALHSPAVLIAMFNSGSLEVAIKYWIKRDQEVSEMFAMIETLAQKVSVARSIGDQFKEISINSRAIRQQLE -AKIKPWVTYDKALELLNVFENTMLTNESLETLGYRTIEPKLKVAVEKIYTLSLQQAWEELSLREKLRARL -FSFACLKSTTQYLIPAGLCASTAVSNLSPRLFINDVKEVLLRPVYIAKNTVGKACSSVGASIRSSTLRAV -NYCFSDLVKLVNVLLVITLLMQVVRHSNSILIEHKQLKQQDMERKTEKEFKELEDLYMQLAFELKDEPTS -SEFLKFVEEKRPKPIEPAKILIGHHVVHQAKTVNEKRMEQILAFVTLIMMFIDAEKSDCVYRVLNKFKGV -VGTIEQDVYHQSLDDIQDLYEDKQQTIDFDLDINEGKEGSLMDVTSSNWWDSQLARNNTVGHYRIGGEFI -EFTRSNASTVAATISHSEHKEFLIRGAVGSGKSTNLPHLLAQKGNVLLIEPTRPLCENVCKQLRGEPFHK -NPTIRMRGLTSFGSTPITVMTSGFALHFYAHNVEQLSEYDFIIFDECHVIDAQAMGFYCLMKEHNIKGKV -LKVSATPPGRETEFSTQHPVKLVTEESISFQQLVANFGTGANSDVTKCANNILVYVASYNEVDQLGKLLL -DKGYLVTKVDGRTMKVGRTEIETKGTSSKKHFIVATNIIENGVTLDIDAVVDFGMKVVPDLDADNRLIRY -SKQPISYGERIQRLGRVGRHKAGIALRIGHTEKGIAEIPELVATEAAFLSFAYGLPVMTHNVGISLLSRC -TVRQARTMLHFELNPLFTVNLVAPDGTMHPKIMELLKGFKLRDSEIRLCSSSIPHGVESVWFTAKEYESL -GCRLTIDGNTRIPFVIKDVPELLYENIWKAVELYRRNIVFGRINSAMAGKIAYTLQTDLHALPRTIATIE -TLIESENAKHAHFKAITSKSCTSTNFSLLSVINSIQSRYMIDHSLDNIKKLQQAKSQLQQFQCRENDVNL -KEMIQSFGAMRAVYHQETNGRVHVVKELELKGIWNKSLLCQDALVSAFVFCGGAFMLWQHYKEQFKLKHV -YHQGFSARQRQKLKFKDARIAKLGREVYGDDGTIEHFFGEAYTKKGKGKGKMHGMGVKTRKFVSTYGFKP -EDYSYVRYLDPLTGETVDENVNTDVSLVQEHFGELRNKYIENDMMGKQKIASAPGIKAYYVRNAAKTALE -VDLTPHNPLKFCDRHIAIAGFPERENDLRQTGMAKEIPISKVPAKNEDTVTHEGKSLYQGMKNYNGISSV -VCHLTNTSGAGSSLYGIGYNSYILTNRHLFRQNNGPLIVQSSHGRFVVKNTLTLKVAPVGKTDIVIIRMP -KDFPPFHSRLRFREPHKADRVCLVGAEFQEKYIASKVSEASQIVDDFGGTFGRHWISTNDGDCGLPLVSV -QDGFIIGLHSLSSTANIANYFAMIPENFEDTCIKKLDALKWDSHWRYNPNEISWGSLIIHESKPEEPFRI -VKEIHGLQVYEQNQTHWLYDQLHGNLKAVCRTTGNLVTKHVVKGPCMLFQQYLNTHEEANAFFKPLIGHY -MKSKLNREAYAKDLLKYAGDIIVGEVDCDIFENSLDQVIQLLNDNDCPECEYVTCSETIINSLDMDAAVG -ALYSGKKRKYFEGTGYDERERLVRSSCQRLFEGKLGIWNGSLKAEIRPAEKVLANKTRTFTAAPLDTLLG -AKVCVDDFNNWFYSKNIECPWTVGMTKFYKGWDEFLRKFPDGWVYCDADGSQFDSSLSPYLINAVLQIRL -WAMEEWDIGTEMLKNLYTEITYTPIATPDGTIIKKFKGNNSGQPSTVVDNTLMVLLAMHYALNKAGYNTT -ELQENCVFYINGDDLCIAVHPEHEPMLDTFQKSFNELGLNYDFSSRHRNKEDLWFMSHKGVLIDGLYIPK -LEQERIVAILEWDKAKLPEHRLEAISAAIIESWGYPELTNQIRKFYQWVLEQAPYSDLALKGKAPYVSET -GLRNLYTSQRGSPQELERYITHYFRSESGDCPELMVYHQADDARDAGQGANEKKEKKEKEKEKEKEKGVK -TSDETGGSSSQERGKKDKDKDVDVGTTGTFRVPKVKTFNDKMILPRVRGKIALNLEHLLQYNPNQIDLSN -TRATQNQFDRWYDGVKNDYGLDDEEMAIVLNGFMVWCIENGTSPNVNGVWTMMDNGEQVEYLLKPMIEHA -SPTLRQIMAHYSNAAEAYIAKRNATERYMPRYGQKRNLRDISLARYAFDFYEMTSKTPERAREAHMQMKA -AAIRGANTRLFGIDGNVGGGEENTERHTVDDVERDMHSLLGMRK - ->YP_001552421.1 coat protein [Tobacco vein banding mosaic virus] -NDEQTVDAGKNAQSNQKQRNAQAGNTSSGVVKDKDKDVNVGTSGTFSIPRLRGLSTKLNLPRIKGKEVVN -LQHLLEYTPDQVSLSNTRALNSQFASWYTGVKSDYDLDDAQMEIVLNGLMVWCIENGTSPNLNGMWVMMD -GDEQVEYPIKPLLEHAKPTFRQIMAHFSNLAEAYIEKRNAEKPYMPRYGLQRNLTDMTLARYAFDFYEIN -SRTPVRAREAHIQMKAAAVANSKNNLFGLDGNVSTKEENTERHTATDVNRNMHHLLGVSGV - ->YP_001552409.1 polyprotein [Tobacco vein banding mosaic virus] -MATTVTTGLVNKIAITGCKIERVKIVTPIPFIQFGTIERRMLNFNDYSDKIIEEYEQTMSAFDEQCYDIV -RKAHMRVTGTRMKANGTLIYKKMGVMKAEKLERRQQRRLDAEKAFQSGDPYIITEINNAWHCNIKACEPE -KRGLIFCTRSQKVRKNYKNVVHLRHTTTLDLAMNICSSFVKEGKPIEIIGKGKRRAIKCRSIHADNRRVL -KVNTAHERRVKRSIDFKMDPSVSLVLEFLAIHTWKGRIISDQQVKKGMSGFVIPLASFTADIPEASNSVF -IVRGRDGDDLVDAREIVPRHEIDSIEHYSAAEQFWKGFDETFRSFRTTTKMHERKNNVLDVVQCGEVAGI -ICQSLMPCCRITCIQCANEYATKSVDEVRTHIEDTLNKGISGIQQKYPNFPHTVALLETYKTMLNSVNTN -REACGKVHFLIGDRTEQPFIHVLRVNEILIKGNRATNSELNMASNELLEIARYLRNRTDNIKKGSLATFR -NKVSAKAHINPSLMCDNQLDANGNFVWGKRGYHAKRFFANYFDVIQPADGYDKYIMRKFPNGSRKLAIQN -LILPRNLDTLMKQLEGESIELQPLTQSCISKRHERNIYPCCCVTLEDGTPEYSEFKAPTKNHIVLGNSGD -SKYLDMPADISENLYIAKEGYCYINIFLAMLVNVDEKDAKDYTKWVRDVVTEQLKEWPTMIQVALACYQL -SVLFPSVKSAELPRILVDHKTQTMHVIDSYGSATTGYHILKANTVSQLEKFASDTLESEMKHYRVGGLIE -DNVGHHAAVKLLIQSVYKPKVLRTLLMEEPYLIILSIISPSVLIALYKSGSLYRAVQLLHNKEPTLRMVL -SLLTMLATKVSRADDMYSQYQLIQDHAERFREVLNGGDKHSISRRLAEQFIEVQIAVAESNYDTESLGFR -TTKLKGLALIEKIYQQDLEELWQGLPLLEKCSCILWQLRHRRKLQVELGENGTQDLSKALVTFKHYAQDN -FDRILSPIHKRSHYVTGAPMRILRGVRCKVVGTFSYLFSDLLRFVQVLAILSVLLAIFNNIYAFTCQYRE -FKMMEASREEEKKLESLDKLYAHLSYKLGESPTFEEFRQFVSACNPQLVEFLEVSYAPVVEHQAVKRASE -VRLEQIVAFIALVMMIFDNDRSDCVYRVLNKFKNIVGVADQEVIHQSLDDIKTNFDESNETIDFELVTGE -TAPTPYKSTVFSDWWSNQLNMGRTIPHYRTEGHFMEFTRDAVATVVSNIIQSEKRDFLIRGAVGSGKSTG -LPAQLAKKGKVLIVEPTRPLSENVFTQLRSQPFHLSPTLLMRNSSHFGSTPISIMTSGYALHYLANSGTA -LSTFDFIIMDECHVLDANAMALYSLLHDREYTGKILKVSATPPGREVEFKTQFPVKLKIEESLSFQQFVE -AQGTGTNSDVTDEADNILVYVSSYNEVDQLSKMLGLKGYKVTKVDGRTMKNGSTSIKTSGTSTKKHFIVA -TNIIENGVTLDIEAVVDFGMKVSPSLDVDGRRIMYSKVCINYGERIQRLGRVGRVKPGVALRIGSTQKGI -EAIPNIIATEAAFSCFVYGLPVMTSQVSTSLLSRCTVQQAKTAMLFELPSYFMINFIDANGSMHPHVHEV -LKKFKLRESEIQLNKMAIPYAVVNQWLTVTEYNRSGSSLQIAPECRIPFLVKEIPDTLYEKLWQVVIDNK -GDAGFRRLTTHNAARVAYKLRTDEHSLQKTIGILNQLIIAEREKQAHFESLAGFSLGSQCYSLQSICNAY -RSRYAKNHTTENISVLEAARAQLIEFSNVYGESIYVGEMTELQIRDEVVEFGALETVVHQSKDEIATALK -LKSRWNKSLITRDLLVCFGVAAGGAWMIYQYLMNKCNEVVEHQGRNKKGAQRLKFRNARDAKIGRVVFDD -DSGAVEHLFGAAYTKKGKKTGRAVGLGKKTRRFVNMYGFDPTEYAFVRFVDPVTGEMIDENPVTDIKLVE -EHFDDIRFQHIQNEKVPMQALYSNPGLTAYFVKDKTSPVLKVDLTHHVPLKLCDNSSTIAGFPEMENILR -QTGAAKPIDFSELPILVEGVDHEAKSLNKGLRDYNSVAKCICLLENDSDGSSISIHGIGFGPLIITNRHL -FKRNNGTLIVKSLHGEFKVVNSASIRVFPVENCDILILRMPKDFPPFPSKLKFRAPKTSDVVCLVGSNFQ -EKYTSSMVSSSSNISHVANSSFWRHWIDTQSGHCGLPLVSLSDGYLIGIHSLMSVHSEHNMFTGFPETFS -ECIAKTDNIVWARGWKYNPSEISWGNLKLKTSAPAGLFKTSKLIEDLHREMVEEQSHEKWVYDALHGNLK -AVAASESQLVTKHIVKGECQLFQLYLNNHSEAAAFFRPLMGFYQKSKLNKVAYLKDFLKYATVIEVGVVD -PETFELAVSDTVQDLKRVGFPECDYVTDENTIFQALNMKSAVGALYKGKKRDYFSTFTPEQMEQIVQDSC -ERLYSGKLGVWNGSIKAELRPKEKVLQNKTRTFTAAPLDTLLAGKVCVDDFNNFFYSFNLEGPWSVGMSK -FTRGWNTLLGKLPNGWLYCDADGSRFDSSLTPYLINAVLQIRLTFMESWDIGEQMLKNLYAEIIYTPILA -ADGTIVKKFKGNNSGQPSTVVDNTLMVIITMHYALRRAGISYENFSEHCAFVANGDDLIIAVAPGSEHIL -DTLQGSFHELGLNYDFSSRTHNKEELCFMSHYGVLREGCLIPKLEQERIVSILEWDRATEPQHRLEAICA -AMVEAWGYDELLYNIRLFYAWVLEQAPYNELARQGMAPYISENALRRLYLDEEGDISLYLQSLVQNQWKD -EQEEVVHQNDEQTVDAGKNAQSNQKQRNAQAGNTSSGVVKDKDKDVNVGTSGTFSIPRLRGLSTKLNLPR -IKGKEVVNLQHLLEYTPDQVSLSNTRALNSQFASWYTGVKSDYDLDDAQMEIVLNGLMVWCIENGTSPNL -NGMWVMMDGDEQVEYPIKPLLEHAKPTFRQIMAHFSNLAEAYIEKRNAEKPYMPRYGLQRNLTDMTLARY -AFDFYEINSRTPVRAREAHIQMKAAAVANSKNNLFGLDGNVSTKEENTERHTATDVNRNMHHLLGVSGV - ->YP_001427388.1 polyprotein [Wild tomato mosaic virus] -MATTVTFPWSANPEIKQGICRSRGFTLQFGSFEPVAIDWVQEGANILQKYHEAMCDFDEACIDMIRNRSN -DRVVKRHGVLKYAPKTPTMLRKERRRARLERERKDFLNAPDQYVTEICFPPEAPKRMETPSIRFPPVVVR -KKRVAPQRQSVAISHAGFDNLLRELTVVCREMNKPLEFVGSARGLVRANIVKPSPFESRLVCVTKHHEGI -IQSIDVHVPNTVRAIFTRIAQLAWKGPIIHEWDCKIGDSGVCIPKGKLKSPSRTINENLFIVRGAYRNEL -QDAQQALPLYKYMRMVHFSAGEKFWEGFNKGFLTHRTTPTVHAGSNTIDVVECGFVAAIMCQSLMPCCRI -TCTVCAKQYVDSDSSEIATRIEHSLADGINEIESKYPSFKHAVKFLKDYRQSLHKSNPNHEASGKVQFLI -GERRDQPFMHILNINEVLMRGGRASSEEFARASDDLLELARHHKNRVESLKKGSLHLFRNKVASKAHLNP -SLMCDNQLDVNGNFVWGKRGYHAKRFFSNFFELIQPSDGYDKYIVRRNPNGSRKLAIGNLILSTNLESLR -KQLEGEPIETLPLTEQCIGKRHESFIHPTCCVTYDDGTPLLSEFKAPTKNHLVLGNSGDSKYLDLPTEIS -ENLYIAQEGYCYVNIFLAMLVEVDEKDAKDFTKWVRDIIVRQLNKWPTMTDVALACYQLSVLFPSTRSAE -LPRILVDHKTKTMHVIDSFGSLTTGYHILKANTVSQLILFASDTLESEMKLYCVGGSPTDFSTETEGYKR -RLFRSVYRPKEFKNLMLEEPIIITLALMSPTLIHEMYWSGGLHRAMQIVNKSDMNIKMVVSTILDMSKKV -VKADDLFNQAAIINAYTDSLLEIIKNAPHQSLAKDIVLEFLLVHQSTNEIDGDLSALGFRTLKFRSLHLM -EKIYKADLEAQWCELSWLERSYVIYYTFKSRIQCMRDLSQDKSQILKQTFKCSTAFVQDRMKVIPNSVQS -VCSKSVCIAKSIRHRVYKRLYRCAVNTFSDAFQFLQTMAIISILLSVFANLIDIKNKYRNSVRISDKEKM -DELDKSIFKHYTDLKIKNGVKPSEDEFSEYLKERDPDAFVHWFGKDLKVQHQASKRPSEAKLEQIVAFIA -LLMMVFDGDRGDCVYKVLNKLRNVMGSVDNEAVNHQSLDTIVENFEETNEMIEFEITAPDAKSLSYKSST -FQTWWDNQITMNNVISHYRTEGRFIEFSRDRAAQVANEISTSDIRDYLIRGAVGSGKSTGLPHHLCKKGK -VLLLEPTRPLAENVHSQLSQQPFHHNPTLMMRNKSVFGSTPITIMTSGYALHYLANNSHRLQEFAFIMFD -ECHVLDASAMAFRSLLADRAYEGKILKVSATPPGRETEFKTQYPVTLKTEETLSFQQFVDAQGTGTNADV -TSDADNILVYVSSYNEVDQLSKMLAERHHKVTKVDGRTMKSGATEIKTYGTKTKKHFIVATNIIENGVTI -DIDAVVDFGLKINADIDIDCRMIRYAKGSINYGERIQRLGRVGRTKPGVALRIGHTNKGIEGVPTMIANE -AAFLCFMYGLPVMTAQVSTSILSQCTVQQARTMALFELPIYFMMDFVASDGTMHPAIHALLKKYKLRESE -ILLTKLAIPHAAVHKWMSVREYNQCTRQLEMDPDIKLSFHVKDVPEELYEKLWQCVLNHKGDAGFKRISS -HNAARIAHKLRTDDMAIQRTICYIDQLIASEMQKKEHFDSLVNAGTSSLSFTLQSVSNMIRSRYAKDYSV -QNLSILHAARAQLVEFSNLHNEYINDGVPELHLMRDNVMDCGALETVMHQSKQDISKFLKLKGVWNGALL -TRDVLIAAGVACGGAWMMYQYLMDSFSDNVDHQAQNKSKRQRQKLKFRDARDSKVGRVVVDDDSGAVEHF -FGSAYSKKNKSKGKMHGMGKKNRRFVNMYGFDPTEYSFIRFVDPLTGEMLDESVMADIMLVQEHFNDLRH -EYLSDDKIQAQALYSAPGLTAYFVKDKVPPVLKVDLTAHVPLKVCDNSSTIAGYPEHENVLRQTGQGKLI -DPNELPKSESVEHEAHSLHRGLRDYNNISKIVCKIENNSDAVSTAIHGVGFGSVIISNRHLFKRNNGELK -VKSTHGDFKVVNTKELKIHPIDKYDIVLIRLPKDFPPFPTKAKFRKPTLTDSICLIGTNFQEKFLSSLVS -SFSSTGPVENSNFWRHWIDTKDGHCGLPLVAQEDGAIVGFHSLTSTSSDKNYFAAVPENMHEILKSVESL -EWRKGWLYNPNEIGWGSLKLTSDTPNGMFKVSKLVEDLHSTFVQEQSGEAWLYPQLTGNLKAVGRCESQL -VTKHVVKGPCQLFQLYLQTDSEAKDFFKPLMGFYGKSRLNRTAYAKDILKYSTEIEVGKVDTDLFERAIR -DVIADLHAVQFNECEYVTDEEAIFQSLNMKSAVGALYKGKKREYFETYSSEDKRRILEESCLRLYTGRLG -VWNGSIKAELRPMEKVQANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSKHTEALWSVGISKFSGGWDKLL -RKLPDGWVYCSADGSRFDSSLTPYLINAVLHIRLHFMEKWSIGEQMLRNLYAEIIYTPILTADGTVVKKF -KGNNSGQPSTVVDNTLMVLLAMRYSLQRLGLNYKEQCKECVFFANGDDLIVAVKPHNTWILDNLSDIFSE -LGLSYDFSERTKDRSELWFMSHQGKLIDDMYIPKLEEQRIVSILEWDRAEQPEHRLEAICAAMIEAWGHP -ELLHQIRRFYKWILEQAPYSTLAEVGKAPYISETALRNLYMNQTNGASIDAYIRSLIEAGRLNEHSSDDL -DVRHQSGETVDAGKNTGLVKDPTPNKDKQVMQSQPPTKDKDVNVGTTGTFSIPRLKGISSKLTLPKTSAG -MVVNLEHLLEYKPDQIHLSNARALNSQFQSWYDGVKNDYDVDDEQMKIIMNGLMVWCIENGTSPNINGMW -VMIDGEEQVEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIERRNSEKPYMPRYGLQRNLTDMSLARYAFDF -YEMTSKTPSRAREAHIQMKAAALRNANNRMFGLDGKVGTQEEDTERHTAEDVNRNMHNLLGVRGV - ->YP_001019197.1 coat protein [Narcissus degeneration virus] -SGEELDAARQIPSKNKQQLVPTNSDKDVESSSTGKFIIPKFKGMSSKMKFPKFKNSVAFNPDHLLIYAPD -YLDLSNTRSTQQQFDNWYERVMQAYDSDEEGMKLILNGLIVWCIENGTSMNPQGTWVMMDGNTQIEYPIS -PLLENAQPTFRQIMMHTSDLAEAYIEMRNRTERYMPRYGLQRNLRDYSAARIAFDFYAVKSSTSTKLREA -HIQMKAAAVKGMSNHLFGLDAKIGSDEEDTERHTSTDVRRNMHSMQGARFM - ->YP_001019187.1 polyprotein [Narcissus degeneration virus] -MGRYHHKAGFTAEQQHAQWVRAKVSAAARRDLTWDEEEEMFICNKCGNQYRSLHDFEENHSCDSNEEGEY -GTFTLEDFIQHRIPTTKLEKEYARKPIKELCGITREKPTRVVTTTWVAVERVAEASRDIEAAIETYQNKP -KVSYCGIAAGLNKPVGSDITFGGNDYGTDTISLRDQKKVIATENTTETIQVVENVKMEMSDHLRKSVDQI -MAIQAFDPKDQRSYDRIKGTNKMRNDLSVKVKGGTGRHTGASTVTSLIAFTAKLAKTQGFTMSVIGSKRS -KNHLMFKKRDGRKYLKVLTKHENNKYHRTDITTCEFFDYALSCMKTSITHGKVNQQNIKEGWSGFILDRN -KVRCLSGLYKHRPYLIVSGRNDAKRLLDARDEVDLEKRECIKYYSDEFRNRFWKSFESTFIKLRKSKTHD -CIRDVPVEKYGQAVALFTQMLTPLFKTTCKACINETASRDKDEIYTDASRQSVGEMYDEICMDPDFAHYR -NVFEMITGIERVSESSMLSFSRTNEIVMLAQTSQVRQIKELNEIIIKRHKITSVEFDKASEIILELARWF -KNRQDTIRTGSLQTFRNKISAKTHINMALFCDNQLNVNGVFQWGDRGYHAKRIFSNFFNEISESTDYDTY -SIRKHVRGKRHLAIKNLMVSTDLEKMRASMQGFEEERFPLGDHCVVKIDGNFVYSCCCVTLDDGKPLESR -IRMPTKHHLVLGNNLDEKVVKLPERATTNMYIAKDGYCYLIIFLAALINVQESDAKDYTQMVRDKVVKTL -GQWPSAKDVATMLAFVRAFYPEIETAELPKILIDHKHKTMHVMDAFGSMSTQYHILKANTVNQFIQFAYN -DMSGELRDYAVGGDVYSVSYPSDDEFVDAEVHTVEAVDMQDLTDISDELHMDEFAEQIVCFKELMGAIFN -KEKFRDLLIKNPCYIIFSLMSPTVLARMFRIGSFDFAIDTVMEGNPNLLDMIIELKCLAQNMRVYDTVLL -QAHAIAMSCPNLLAHVLSRKHSPRVTQVAHALLSTMAYAGESNKRLYENGFSTNIDISSCIKKEELLVRI -WDESFRELCLLEVWRVHCALSGYCVRAVVGKSQRVARCLRSGSVEFLKGRSGSARSLIGKTTQAGGELIT -SSYKSVINKAMVCVYNRMYSIFADIFKVLNLLVLLSILLQVYHICVQICRERVKCMDELQHRKNADNCVT -LTMLHSDLTRKKGELPTEEEFLTHVEEKEPNLRYYAEYLLADVKFQYKKKNENDLEKIVATIALIMMIFD -TDRSDAVFKILNKVKTVFSTFGERVQFQSLENGDSEADKGLVVDFDITENYKPEPATFDVTFEDYWRMQM -NTNRLCEHYRTTGTFIEFSRDKTEEVVSEITSCVKSNDFLVRGPVGSGKSTGLPAALSVKGKVLILEPTR -PLTENVARHLSGAPFFQSVTLCMRGVNIFGSGNITVMTTGYALHYFANNRARLKEYSFIMLDECHVLDAS -AMAFYCLCREMEYKGKIIKASATPPGRETEISSSQKQIKLYIESKLSFDDFVNAQGTSSNACMISKSSNI -LVYVASYNEVDQLSTLLSNKGFKVTKVDGRTMKFGNVEIVTQGVKGSPHFIVATNIIENGITIDIDGVVD -FGQKVIAELDSDLRMMHYNKVNISFGERVQRLGRVGRLKAGVALRIGHTERGLQEVPKSVATEAALLCFA -YGLPIMPQNVVVSALSKCTSQQARTMHCFELPPLFTMELVKEDGSMHPEIRNKMAKFKLRDCEIKLCKSS -LPNASVGRWFDAKEYKKFGARVQLHDDVKIPFLINGIPDKLYDEIWAVCCCFKDDLKPVRLTTACAQKIA -YTLQIDSTSIARTIGIIDHLISEEKIKQAHFRAAFSDTVSSSSFTIAGITKYLRSRYMHDYSDENLEKLQ -RTRAQILEFRNLSIPSDDIDLIRNYDGLRTVQFQNKEEIIKALDLKGTYKISKALHDGLICTAVFIGGLV -MLGRTFYFCMTDTVQFQGKRERQKLQFQKARTDKIDREVFRDENQDSNFGKLYLKKNESKGKAGKSGTKA -RKFIHMYGFDPQEYSIVKYLDPLTGNVYDETEFKSVWELTDKVFEDRMADDDLERELLRYRPNIKAYYFK -HGSHKAMQVDLTPHDPFKIGRISGRQIGFPAHAGEFRQTGEAKEMDLTDIDTKVTRLVVDFENKSSCRGL -RNYSPIARVVCGLKLSSDGEVNTQYGIGFGSYMIANQHLFKRNNGTLEIKSAHGTFTIANSTQIQVIPIA -ERDLIIMKLPKDCPPFPTKLNFRMPDDKERVCLVGAEYTGKTVYTSVSESSYTYPEKGTHFWKYWVSTRN -GQCGLPVVSTKDGAIVGIHSLCHMDKEENFYTSFPSDFDATLVELCDREWNRNWKFNIDNIAWGSMKIIR -DKPGSMFRTLKEVSNVETLVGMQSHDEIEYSWLTKHLDGNLKHLGYVPGNLVTKHIVKGRCPLFSLYLKE -NEEAREYFAPLMGHYDKSALNKAAYIRDVCKYSSSIPIGEVDHSTFELAVGNVIEVLKDGGITECDYITD -ELTIIQDLNMNAAVEALYQGKKKDYLKDFETSDFERIVFESCERLYQGKMGVWNGSLKAEIRPVEKVIQN -KTRSFTAAPIETLLGGKVCVDDFNNAFYKAHLKIPSTVGITKFYKGWDTLLRKLPEGWIYCDADGSQFDS -SLTPYLLNAVITIREEFMEEWDVGKQMLRNLYTEIVYTPIAAPDGSLIKKFKGNNGGQPSTVVDNTLMVM -LAMQYSLLKCGIEFDRQNEFIIYFCNGDDLIIAVEPSKAYILDSFEVYFKQLGLSYDFGNRVTEIEGLSF -MSHAGKKVEDVYVPKLDKERIVAILEWDRSVEPVSRLEAIVAAMVESWGYDDLISEIRKFYTWVLEQAPY -KQLAEEGKAPYLADTALRRLYLDVQATDEELEKYHTYYMSLNEPDIIQRVSFQSGEELDAARQIPSKNKQ -QLVPTNSDKDVESSSTGKFIIPKFKGMSSKMKFPKFKNSVAFNPDHLLIYAPDYLDLSNTRSTQQQFDNW -YERVMQAYDSDEEGMKLILNGLIVWCIENGTSMNPQGTWVMMDGNTQIEYPISPLLENAQPTFRQIMMHT -SDLAEAYIEMRNRTERYMPRYGLQRNLRDYSAARIAFDFYAVKSSTSTKLREAHIQMKAAAVKGMSNHLF -GLDAKIGSDEEDTERHTSTDVRRNMHSMQGARFM - ->YP_851006.1 polyprotein [Blackberry virus Y] -MPTRYRGADRYGNLGYDKVLQSKADAAKRRGLLFDHGSETYECPRCGEIWRNLDDYMAEGGKMHPKKCLP -EECDSDEEQISSCNAALIHEKWGDLDSDTSSKLSEFYKEPSILTYTTRTHCVVEKMRSMTAPQCIEDIVG -VRLHGRTAWFFSKDPTLQYGHHPIYYDTHPWNDELDKYLGGAKYNTALVQVYDGTRDLPYHKDDEPCYDI -TNNPIRTVNVTGTGDLCISKDKRRLYETIPMTSGTVITFPATMQENFYHAVRNPSAGRISITFRNQIRTV -ERQVAHSANKRWVPIVEARVTTNESRRGDNKQFQEAQSKLQTKTTINFGEFAAEVDGYYPTLSQDHKPAL -PKIIPELGLPTVDFIYVGNMRVPIDFKKNNVPAIVDTARHVAKIIDSQALTSEPIKVFTEQREVVGNVVT -CTGTGFSVADAKEAKALLNGLMYNRASNLFICPSCSDAAVLPEALLTLEHKRSCELASMKKISLARNMQV -HVKQEAVARLISQQNSISVPIATLSSCVRGSADTTQVSLHIDEEDSIVDAIHLPNDFITCDHEHAFETDS -ASDNDVETMKKSEKRRKRRKRNPPPVRQVITRAPVSNIICDVILTCLETQIPVEFIGKSCITFKPVRVGP -VHTVGIQLKHQLHKTGFEVDDLPDRETTSDIILAATRALRRLRHAHSNAQQVHNSDITFGTSGAILPWSW -LAHDVIVEGPVQDSLVVRGRNVVSGHVTNALNLQQDCLADDYLQYSEELQPLHDDLSELKPLNVINNELI -RQNMHITTLYSNMSKLQNDALATKAEMKLPLFGVAQLVVNQLKYNTTTHEWGERGDYVRKFVGKFFADFP -TTQVPKQYMTRTTNGHIRITAYKALSLTSDPEIMMSRRMTQPMLTTAKQADCVFQSTTGATCTSASCTTN -SSGVVLSNKCADPAPNTLRVRTMWDDIIIELPLQGGRVHVPLEGLCFSTIFLHMYLLVPDESVKLFHRTV -TERAMPSLGQWPTLRHLATWVLNLVAMFPVLSTTPMPEILVHHESQSVHIPDCLGTATSGYHRLNIVTPY -DFIIFATEIGRNGCQEYRVGGFAHDIKYTVSLMQDKRKLLHELMLTPTWAFYALSSPTLLKILYRSGALK -RTYEHAVMANHNAVDLVHELNFLPERVSRAQTLQDEITAWEANVGRVLQQVDGYLTRNHDPPLQRWYADA -SARLQHLKIDVDLLKNGFRSSQREHVEKKEQLLCDSFERLYNEQNSSLESLKTRCGMGSARALIKPSGKC -ESPEPAKQLSCKDLICSTKDKYALMLYTQADALKRKIVAGSQSAFTTVCAGVAYRATKVMLRTPFNLLNA -LNTYSLLIAAVNVMVLVQNYRRDQRKRAQYVNNLETQSMIRHYFAHLEQYIVNYVPRDEQFEVIKAKFDE -EFPEYNVMFKEVYKERIQFQSADEGKNMCKIFASAILVMMVFDAHRADLMYKSFSQVRALFNTLYDSGNP -FNIIFQAERTIAPTMDVIIQEPKPAIPSTSSCTFETWFRNCVNANNVIPVIPECDLLDFTRDTASSVVAT -LTSSVKREFVIRGFVGSGKSTYLPHLLTKHGKVLLCEPVRVLASNVFEALSGSPFYQSPTLLMRGTTKFG -SGKITVATSGYAANYYNANRHRLNEFAYIIFDESHQHTAHNFLLRSILDVIGYEGTVLHVSATPIGKEIP -FRTMHPVEVVNMSTLSFEDFAIGQRKQVRCDVFNKGANILVYVASYNDVDRMSTLLLERGLRVKKIDART -VANVNNITCDGSDGEPLYLVATNIVENGVTLNVDVVVDFGLCVKPVINALQRRVDYVKTPITWGQRIQRN -GRVGRYKNGFCLNVGDVYKTPPIISEDVALESALMCFAANVPPIFDNVDPALFGQVTRPQVQTAQMFELP -IYITTPMISDAGALQSDIYQVIKKFVLREGSIQLTQDATYLSNMSNWKTIADYFPDISDTHAMRHEKVPF -FVKDFGENSYIALAEAIRKARNKSLGARGKLYGDVDATALLLQTDPGSLDRSIMIVETELVAQRSKLEDL -NHHVHESTGMFQRYVSHLNHCLRGRYQTDQIQKNIEVLSNMRSTLVGYRQVVDKVEPEEIPHFVQQNPNI -TMIIDFQSDRTKADGFVKHGINGIYNYTKIASDTFSLLLIACVVIYYVVQYFFREMKSHITFEASGSRRN -RLHLRDNKLIKGGYTWAGPSDDMEREFGPEYALKRDKFSEKKARKHMRERIQPRTNMGVKLAPFQVFYGF -DVADYDVLQLFDPITGVKIDMDPRATAKEITEEVEDTPFNKEVWSDTHMPEKIQATFVKKGGVNREDVLK -QVRVDMTTHNPTMVTGSGGIMGYPEHKGDFRQTGPPKFSIVPEGRSTIKSGNNIAPFISAMGTIKNVYMN -GDFDTLACTQIGNKLVVNAHIFMEPVKKQELILQHGVYELPNNGTINIKHVPGIDMVIQTLPMDVPLARQ -IKAYRGPIPGELIRLLKIERNTKTNSTSLSDPGTARVGPGTIWYHNITTKHGDCGSLVLSEKDNKIVGIH -TGQQDGTNLNLFAPITKDAIVAIETVLPGELNDWVFTPDMLDVGSNNAIRKQASDPFPVVKKLLEGITFQ -NNRTTTTDSVSNTAILPARKYWVASDLPVNIKYQCDMPTFFNTRHTYEGESQPFMAYLRECGDAETFFRP -LLSHYIPSNLNGDAFKKDFFKYGKPVPVGLVHGPSFKIASDRVIKRFERVGYERHSIPFEFDAEAIRDDL -NKHAAMGAQYVGKKEQHLDGISEEQFCDEFVASCCRLANNCDGVWKGSLKAELRSKEKVQENKTRVFTSA -PYDVLLGGKACVMHFNKKFYANNTKGPWTVGINKLGLGWHRLLKSLPEGFVYGTGDGSQFDSSLTPLLIN -EVCRIRMYFMQDDELGQAMLRGLYRQIIWTLISMPDGSVVRKAKGNPSGQPSTVDDNTIMVMLAVEYVFA -YLGITQEEMDTIFKYYANGDDLIFAIHPDRESILNEFTHLFAHLGLNYIFEDRTRNRAELEYMSLTGIER -EGFYIPKLSRERISSIVQWRRKGDTRAMFDALNAAILESWGYDDLTYWLRKYYEWLIINRYDIDLPEGEK -LPYHTETAVETLYTCDDNTTVYDGRYDFEVPTDASGGVFIIDFQSSSGTDTPPVIPPATSEPALQPVLTR -QTSRPPTPPNTILTGQQQQQLMPKSSQPYQLEPLLAPTGVQQPTFGTFGMPQAQQTTTEPVVAAARVRGK -QKEGDTSLSQVRDHRRLSPERIVRHDDDLAPPNESTSGESSHYDELTLPDVPRDKRKGLGARLKGKPIIT -QTQIYNYRPAFGSIHNNKATDIELEAWKKQIADYFQVDDVSTLILGFMAYVIENGTSPEIFTNQKFVMAT -SSGEQREYPLAPFRSRSVELRKIMRRFSEEAIDYIQIQREHNPQYVPRQAVVRNVKRAIYFPYCFDFIDE -TILTPDALEIVHQMKAAALESASSKVLGLDGGSARAIDTERHTTEDATARTHNLRGAAMMA - ->YP_611120.1 CP protein [Daphne mosaic virus] -ADDTIDAAEKKPKQQKSEEKKPEVADVVIPREPDRDVNAGSSGTIKIPRVQQLTSKLDLPKFHGKPALNL -QHLLTYKPSQVNISNTRASLAQFNTWFEAVRDAYDVKDEDMTVLMNGLMVWCIENGTSPNINGNWYMMDG -DEQLEYPLKPVVENAKPTLRQIMAHMSNIAEAYIEMRNSERPYMPRYGLQRNLTDMSLARYAFDFYEITS -KTPGRAREAHIQMKAAALRNASTHLFGLDGNVGNAAENTERHTTDDVDVNTHSFTGARMR - ->YP_610949.1 polyprotein [Daphne mosaic virus] -MTTTGSIMIGSMHIPIGMQKAEKSGKTLADIVYSEGQNIAQNLQAHIRVENTNRVQQCSALEDLINAYEE -QYEDHVERIDKKLKRKRAWITRRMEKRIARKQPAKPYEGPANDSIVDSIDVTEEYRVASGGDEATTSVQT -LKSQLKRSRCKKITERKLQLSETQITNLIRAISKIVKRRGGTISVIDKSGANDIELARGKVMAKVYHLRG -RKPRIDLKTLKHGGEKILEDIFEATVPLTMVKDETVKAGCSGFVFRYDKLHAIKSRAKGKFFIVRGRHEG -KLYDARLKVTNSIRNTMHHYSSNANKFWDGFEKEFIGIRSKPDDGHECSQDYSVEDCGRVAGILAQVIAP -CWKITCTKCAHADMMSTSPNLDETMRSRAMQAKELIAKDLAQFDHVVSFLDRYILALSRRNTSVDETIEI -QRLIGDIKTDPCASLNFMNETLQRSDRLSSEDFSKCTKSLLQVVRWVKNRTDSIKQNDLSRFRNKISGKA -LINPSLMCDNQRDKNGNFVWGTRGYHAKRFFNNFFERVDSTNGYKKHIVRTFPNGQRELAIGNLIVSTNF -SLLRQQMVGKSVEKVALTKECVSRREGSFIYSNCCVTDDSGNPLLSEIKMPTKNHLVVGNSGDPKYVDLP -SDESGMFIAKEGYCYMNIFLAMLINVSENDAKDFTKMVRDVIAERLGKWPSMLDVATACFLLTVFHPDTK -NAELPRILVDHKTKTMHVIDSYGSMHTGYHILKANTVNQLINFASDNIESDMIHYRVGGMPTKDAHAVKK -LTRCVMHAEALKHMLLEEPHIAFFGLVSPSILIALHSSPSLENVINHWLSRNEGVGISLLKLRSLTEKVS -RARFLKDQHSLILTSASAMLKSLQDSDYVSYEKERAHTFIFDNCGEIRIRPAIDQRWFVLRSDEELIAIE -KNYQKELKDSYNALNLSERLRVGWRSVRYSSLFEKFTMMGAVSDLKETAELSCTYGLRKVRTAGGAVVTL -CRKASANACSAALKTFANVVCSTISYMIPDIVKFMNVLVAINLLLAVFNKLRSIADQHRTMKARQAATDE -YVQVCEIGEIYERRRSTTGHFPTFDEVREEISKCNHKLLPTFERVYGSTTEYESKSAESQQYEKSLAFVA -LLLMVFDSERSDCVYKVLCKLRALTNICGERVQYQSLDDFENVADDKKLTIDFELSSEMQSQSTSGDMMF -TKWWDIQVQTGRTVPHYRCEGEFSEFTRETCAEIANKIAHSAQKEWIIRGNVGSGKSTGFPKALSNRGRI -LVLEPTRPLAENVCRQLRGEPFFASPTLRMRGLSSFGSDPITIMTSGYAFAFFANNPLKLKEFDFVQMDE -CHVVDANCMAFYSLLREYEFSGKILRTSATPQGRECDFHTQYPVAMHKEEHLSFESFVTGLGTGVAYDAL -AHGCNILIYVASYNEVDTLGKMLTDKKYQVTKVDGRTMKTGQISIETKGTEAHPHFLVATNIIENGVTLN -VDVVVDFGTKVVADYDIDNRSMHYTKKPISYGERLQRMGRVGRRKAGFALRIGSTQKGIQEVPVSTATQA -AFNCFAYGLPVMTANVSTSLMANCTVRQAQTMNCFELPSVLTYSLVASDGCMHPDIHAILKPYKLSESEI -LLREGAFNFESTSSWMTVRQYNRIGMRLNLPDDTKIPFLTRDVPEGVYERIWQVVLQVKAKNGCVRMNSY -SVAKVAYTLKTDVNSIDTNLQCVESLLQDEYAKEAQMQSLSTIVKQGSSISMLSVINMIKHRYAKNHTKA -NIEKLTAVRDQLREFRNISANGITDEIIAAYPKLESVMYQGKDALANFSGVQGRWNGWLITKDLLVVGGV -KAGGFWLLYSSFIHRMSERVEYQGKNKTKRLRFRDARDRKMGREAYGDDGTIEHYFGSAYTKKGKEKGYT -RGMGSKGSKARKFNTFYGFDIDEISLIRYLDPLTGVTLDEQPYTDIAIVQEHFGNIRQKMVLSDELDPQK -IISEPGIQGFAIRDGAKKVLKLDLTPHNPLKVCDRFSTIAGFPERESELRQTGKAVEIDRSEIPKPSKAQ -VEYEGKTAMKGLRDYNGIASCVCVVNNFSEGGSSEMHAIGYGSYLITNGHFFRRTNGHMTITSHHGEFKV -HNIRQLKIFGIPKKDMALIRLPKDFPPFPMKLRFREPIAGENVVLVGNNFQEKYISSMVSESCHTYPRDN -GGFWKHYISTKQGSCGQPLVSVEDGFIVGIHALHSNESSVNYYTNIIDGFEKNILSKLVELKWEMNWAYN -PNNVCWGSLNIPEQAPVALFRPEKIARSIHGELVIEQGKRDRDAWLYNSLEHNLKAVGQLDTNFVTKHVV -KGRCPLFALYLDAHPEAKVFFKPLMGFYGKSALNREAYIKDIMKYSSVIDVGRVDTDAFETAASNVFHYI -NNSGFVETTFVTDTDAIFGSLNMKAAVGALYKGKKSEYFEGSTLHDRDVLLQESCKRLYFGQMGVWNGNL -KAELRPVEKIRENKTRTFTAAPIETLLGAKVCVDDFNNQFYDHHTECPWTVGISKFYGGWDKLMRKLPEG -WIYCDADGSRFDSSLTPYLINSVLQIRLMFAEDWDIGREMLKNLYTEIMYTPIATPDGTIYKKFRGNNSG -QPSTVVDNTLMVFISLLYSLEKSGIDTENFEEFIVFFINGDDLIIAVRPDMEKQILDPMSDNFLSLGLNY -DFSNRVKDKSELWFMSHQAVLSEGKYIPKLEPARIVSILEWTRSKEPEHRLEAICAAMIEAWGHDDLLME -IRKFYAWILEQEPYSHLAKEGKAPFISELALRQLYLNKGTKSSEFLEYLDALCEMDECETSEDESESVCY -QADDTIDAAEKKPKQQKSEEKKPEVADVVIPREPDRDVNAGSSGTIKIPRVQQLTSKLDLPKFHGKPALN -LQHLLTYKPSQVNISNTRASLAQFNTWFEAVRDAYDVKDEDMTVLMNGLMVWCIENGTSPNINGNWYMMD -GDEQLEYPLKPVVENAKPTLRQIMAHMSNIAEAYIEMRNSERPYMPRYGLQRNLTDMSLARYAFDFYEIT -SKTPGRAREAHIQMKAAALRNASTHLFGLDGNVGNAAENTERHTTDDVDVNTHSFTGARMR - ->YP_529499.1 coat protein [Konjac mosaic virus] -SGKEEKDGDKKLDAGKQPPAKDKEKESDPTNTEKDGNKQVQTHKDKDIDMGTSGTIVVPRYKIFKSRLRF -PMVRGRKIMNMSHLAQYNPEQTDLANTRATQNQFARWFDGVKGDYGLNDAEMDVMLNGLVVWCIENGTSP -NINGLWTMMDGEEQIEYPIKPLIDHASPTFRQIMAHFSDIAEAYIEKRNFDGKYMPRYGILRNLNDFSLA -RYAFDFYEMTSKTPNRAREAHLQMKASALRSANTRMFGLDGKVTTKEEDTERHTAEDVTRNLHTLMGVRA -I - ->YP_529485.1 polyprotein [Konjac mosaic virus] -MASIMIGSFACPLMASAKVATGIACEESRANVGIRGAAMTVAHTVMAPIVQVTRPNVTQVNNYGRRLIKQ -AEDKVESAFKNFFDKPEMKESLFKKSHAKLVRGRKQGWRLSTPSLEVAQQRQAKIDELMQEEADFLARKY -DPQDVIGGHVLVRDQTKRGEQVSFKGPFWHRTYKTKHTRTNTLSSPRMDETSLLGLVRGVFKIAKAKNLA -IEIIGKKVIKARYEQIGRSRYLRFATKHHEGRRSQRDMPVDHSTSSIQNEAVAVSAFKQPLARGITYGDS -GLAIDANQLGKVGRTFSGYTIIRGECEGKIFDARSKVTKSIALRMKQFAGVGERFFNKFSEHFVTLRPSV -SHECKSAVPVEDCGVVAAVLCQTFFPCGKITCAECIREYGTTIAHEDIVQDQRLEAAEVFIRAKYPAFTH -VLQFLHNYRTLLNSVNHSVEEFLEINKLIGEAKAAPLSHLKTIGETLLKGGRSSQEDLSDATKALLEVAR -WFKNRKEGIESGSVAAFRNRISAKTQINPTLMCDNQLDEDGNFVWGERSYHAKRFFNNYFEEIDVTKGYN -AYVVRENPNGVRKTAINNLIVTTNLQRLRQHMLGEPVAAHPLSEACISRTGGKYRYPCCCVTADDGTPLT -SDIYNPTKNHLVIGNTGDPKFLDLPAEKGAKLFVAKPGYCYLNIFLAMLVNVDKKDAKHFTKMARDIAIE -KLQQWPSMMDLATCCYLLSLFHPGIKNAELPRILVDHTTKTMHVIDSYGSLSTGYHILKANTVEQLIQFA -NPELESEMKHYLVGGTANQLLMPQNAFSLVIKGIYRPEVMLQILEEEPYLLMMTLLSPGVMIALANSGSL -ERGMQMWIRRDKGFARMFVIIYTLAAKVTTARTLERQLNIIQETAPALFNEIFDGFRTMMSYRMALDLIE -VTRNKADSNRTLLEHGYSIFVKSTYEMLEKNYLAELEASWAESRALWHSRTAFRFSRESLSPVATADMGG -KYYLSFQSCVKQTRKRLSSILKQSVTNVRQRMRSTLMSTLSMGFGLINRHMAEIFVTINVLFVVKLFMDI -VVQANKLILERQQAGQKIAMLQEEKQLLEIEKLYSDYIKEHKCEPTREEFLKCILDSVGIDFNAEDVEHQ -HQRSANEAAFESIIAITSLVLMVFDQERSDCVYRILQKLRSLVGISGEIVRHQGSLDEEDTIQFEKWQTI -DFELAGNELMAPSIQEMSFQQWWEHQLQNNRTIPHYRTEGHFMEFTRARASIVANEIAHSDHKDILLRGA -VGSGKSTGLPYHLSQKGRVLLLNPTRPLAENVWRQLKADPFYMNPTLRMRGTSMFGSSPVHIMTSGYALH -YLANNQNIICEYDFILFDECHVLDASAMAFRSLLAEYDFRGKIIKVSATPPGREVEFTTQFPVDIKIEEN -LTFDQFVQGQGTKANCDVLQHGNNILVYVASYSEVDMLSKKLSEKNYSVTKVDGRTMKVGSVNIQTHGTT -EKPHFVVATNIIENGVTLDVEVVVDFGLKVVAQLDCDNRCMVYTKKSVSFGERIQRLGRVGRQKPGMALR -IGTTEKGLMEIPSVIATEAAFMCFTYGLPVMTSGVTTSLLGNCTVRQARTMQNFELSPFYTVNLVRYDGT -MHPSIHKILLAYKLRDSEIQLHQLALPYSSLTSWLSVAEYNRIGRQISIDDQVRIPFLAKEIPEAIHEKI -WRAVRDNSKDVEIRPMSSASATKVAYTLQRDVTALPRTIRIIEGLIEQEMVKREHFRALVSTNCSHANFS -IMGIVNAIKSRYVADHTAENIEKLQRAKNQLIEFKNVANDANTNHLLKTFGSVECVLHQSSNAVSEVLNL -KGKWNKSLMTCDLIVTAAVAIGGGYMIVKWFRDRMTEKVVHQARNKRQIQKLKFRDARDAKMGREVYAND -DTMEHYFGEAYKKKGKKTGKTKGMGHKKRQFTTFYGVNPDDFSLIRYVDPITGYTVDADPLESVHTVQAE -FDAIRNDMIASGDTYPQRFYSDHSNRIRAYLQRKNASHALAVDLTAHMPTLVCPSGTIAGFPEREGEVRQ -SGKFVQDVMPAKNEYEYIAHEGNSLFKGLRDYNPIASSICKLTNDSLHTKTTLYGLGYGPFIITMQHLFA -ENNGVLRVQSRHGEFIVPNTTTLKMFPCGKRDVLIIQMPKDFPPYPRKLVFRTPMTGEKVCMVGSNFQTK -SISSVVSETSPIFPRENCSFWKHLISTKDGDCGLPLVSITDGAILGLHSLTNTDGTANYFTDFPPDFKQL -VLDSQEAIQWTKAWSYNANTVCYGPMNIVNKPPSGMFKPVKLVSDLGVEAVYAQSKTWVQDELKGNLKAI -AYTPNQLVTKHVVKGKCVLFETYLSTHPESKEKFDKYMGAYAKSRLNKGAFLKDLLKYSTDINVGIVDTT -CFEAAHDYLVMQLEEWGFKGCEYVTDEDAIFESLNMKAAVGALYQGKKKDYFADYNAEDKAQIIFESCER -LYNGDMGIWNGSLKAELRPIEKVEQNKTRTFTAAPLDTLLAGKVCVDDFNNYFYSKHTVCPWSVGMTKFY -GGWNVLLESLPENWIYCDADGSQFDSSLSPYLINSILNVRLKMMEPWDIGEQMLRNLYTEITYTPIATPD -GTIVKKFKGNNSGQPSTVVDNTLMVLTAMYYSLLRSGVSLKEHKEVIHFFINGDDLIIAVKPEVQHLLDV -MATHFSELGLNYDFTSRSTQKKDLWFMSHKGVEREGIYIPKLEEERIVSILEWDISTEPSHRLEAICAAM -IEAWGYDWLVYEIRLFYSWVLEQYPYNQLAEQGRAPYVAETALRKLYLDRDANEEELLKYATEQNIEWPQ -EEQVYHQSGKEEKDGDKKLDAGKQPPAKDKEKESDPTNTEKDGNKQVQTHKDKDIDMGTSGTIVVPRYKI -FKSRLRFPMVRGRKIMNMSHLAQYNPEQTDLANTRATQNQFARWFDGVKGDYGLNDAEMDVMLNGLVVWC -IENGTSPNINGLWTMMDGEEQIEYPIKPLIDHASPTFRQIMAHFSDIAEAYIEKRNFDGKYMPRYGILRN -LNDFSLARYAFDFYEMTSKTPNRAREAHLQMKASALRSANTRMFGLDGKVTTKEEDTERHTAEDVTRNLH -TLMGVRAI - ->YP_331423.1 Coat protein [Shallot yellow stripe virus] -ASESEDAANVSTDKQVGKNKDKDRDVDVGTSGEFSVPKVKMLSDKMRLPRVGKKVILNGKHLLSYKPDQV -DLYNTRATHAQFKTWYEAVKLEYELTDEQMKIVMNGLMVWCIENGTSQNLTGVWTMMDGDNQMEYPLSPI -IDNAKPTFRQIMAHFSDAAEAYIEYRNATEKYMPRYGLQRNLREYSLARYAFDFYEMNSKTPIRAKEAHM -QMKAAAVRGVANRMFGLDGNISTDDENTERHTAADVNKDHHTLFGLRM - ->YP_331412.1 polyprotein [Shallot yellow stripe virus] -MKNSQATYVLAGFSTNSQKQYAKATGKRRISRRERLVCHCDGDEEGHFHCTHCGRECASLTMLKQKNHTC -YDSFEDAHISVKVSDTQIKDLSTMGRDRNVGSNAQKDTRKAISPAKKVVFKQFDDVEPIATGWDINNDLQ -KEQITEDATHTVVGNLPKATPKQDNVDGETDGIIKQIVFGRFGDNDNKTPEHIVTANHKFGHNLDGTQHI -TFGTLPSVEVLTTPNISYKTSDQCKETEVIGNSVTSVEGAVELNNTLPFESCKKVARRPIALKPLTILCT -EILSGQHVSLCEVEDNIKVELEVNNKLNEQVVKTTRKQPQIRYQVQAKDMQSDGIINNIIKVAYERKLKI -EVIERNKHTFTPYKVQDHYFYKIGTEHEKGRLSRKDVKCTQMVMKVMKQLLDMTPSVEIDSTQIQKGSSG -LIIRPNQIINCKKMNARSDVMVIRGRKNGSLVDSLLTLKHDDLKDVDHYSDSEIALKLFGGFNKTFIAIR -DKPQHVCERDIELELCGEMCGTITQMLAPMFKITCSQCATLLASRSKEQQYMDMSRQKVLEQYERLIATG -KFPHITRAIENLNPISEVSERSLSLYTQIDSLSMNGQTSQSRQINEIAKVIVKGPLVCNDETEAALKQLL -ELTNWYRKRLEAQMSGGLESFRNKISSKTHINLALMCDNQLDVNGMFQWGERGYHAKGLFANYFTKVTDG -SQYEALAIRKHIRGNRELAIKNLIVSKDIAKMQQSFVGNPIVAYPLGKACISKLNNNYVYPCCCVTMDDG -KPLCSELRFPTKNHLIIGNSGDDKNVQLPPSSDGHMYIVKDGFCYVLIFLAMLINVSEGDAKGFTKKVRD -DIIPQLKQWPTMRDLAVMCRYLCAFYPAVITAEIPKILVDHEHKTFHVMDSFGSKTTGYHILKANTVQQL -CRFGDVDLESEMNMYNVGGRQAPIHVTCTYGDQKFEMRSNIELSEDFEGCDSYNYELWDFKHDIARGTQI -SEAGCCSETIISDHSECWYNINDELSNGSLHTDEISSDETDSENPESDDNPIEDSVKARSRPASLHTIDS -ESDDLSGSAYESDDGSVQHSESSASPSEKVVADLNARLARAKMNIDRSPESKDITFFRSLVKAVFSKRHF -EKILREDPYILLFSMLTPTVITRMLHDDLYVKASQLLIMHDDDLARIASTLQIMAERVSRHKVFVVQMRI -ISEAARDILGLSEGFHNTDSSQTARHLLEMLNEQDLADFDLLAQGYVASTQKLYEMKKKCFDTIYHDYLR -GLSLCQRLGCEWRSLKYNYRMRNLDQSEERLTCITIAKDCLVQCSSATQSYVRQHAANLYLGATKIVRSV -ARRGCYVAVRAISSLYRDILVYINIFAVISILLAMWNTVVGIRTNYKRLQLEELKIRHSKQNNQVEKLYA -QYIKEHKDKPTKEEFQEYISDHSDSLLEFFIRNYIGVEYQAKSQSEVTLEKIVAYVALFAMLFNSEKSDG -VFKILSKLKTIFSTTDVHYQALDSPDDVEEFLNTTVDFEVIVPNEPDINSFDMTFEEYWKKQLATNRFCA -NYKTNGVLYEFTRKTAEDVASKIQQETHTEFLVSGAVGSGKSTGLPFYLSQKGKVLIVEPTRPLTENLFN -SLSAEPFNQSVSMCMRGNTVYGSGNITIMTTGYALHYLANNREQIKSYDYVLVDECHVMDANAIAFYALL -KDTNFKGKIIKASATIPGHENRFEFKTQFETSINFEGQMTFERFVKEQGSCSNACVVSRGNNILVYVASY -SEVDTLSKLLLEKGYKVTKVDGRTMKVGGTQIETTGTPDKKHFIVATNIIENGVTLDIEVVVDFGRKVVA -VLDDESRMMRYTKQEISHGERIQRLGRVGRNKPGHILRIGSTQKGIVETPICVATEAAYLCFVYGLPIMP -NNVSVSSISKCTTRQARTMAAFELSPFYMKDLVRFDGSMHPEIHKILKKYILRDTEIKITEMACPTGVTR -TWNSVGEYNKMGGHILCDENIRLPFFVNGIPEKVHEEIWDVVKRHQGKFKLAPLKTASVNKIAYTLSTDK -DSLVRTVGMIEEMIKEERYKHAQFQAIKNTPVGVGNFNLNYFSNLLKTRYMIDHSEQNIEILQRTRSQLL -EVHALYNSEMSTTVLRSYPLVSAVEYQTKEQLSKGLCLQGKYEMSKISKDVIVCGLTLAGGLFMIYKSFC -DGVESKVHYQAKSRRRLRFRDAADRKQRCSLEGDDSTIEQYFGSAYVKKGKQKGTVRGMGIKNHRFYNMY -GFDPTEYSIVRFVDPLTGNTYDDAATATTYNGVSALLQMRRDMVNDDAMEMQALHLNKAKILEAYYIKAG -ADKALRADLERDRVLKVTLTPHDPLMVCHNFETIAGYPDREGEFRRTGPVETVSKDEVPKAQSYEPVYEV -AYEAKSLCSGPRNYTAIAGIICRLKLDSDGHTREIYGIGYGPYVITNQHLFTRNNGTLKIKSQHGNFLIK -NTAQLQLYPIDKMDLVIIKLPKDHPPFSQKANFRTPKEHEKVSMVCVEFLPSSNTPSVSEPSFTFPERNS -HFWKHWISTKEGHCGLPFVSLQDGNIVGIHSLSDNGNAVNYFTGFPENFKEEYLDRAGDVEWVKGWIHNT -DNIAWGSLNLTKGAPESCFKATKLISDIINGVAFQAQDYTWLTKRIDGNLKCVGTCPGNLITKHVVKGKC -PLFQLYLNTDERVKAFFEPLLGFYGKSCLNKEAYIKDFTKYSSDIVVGEVDTDVFEEAINNVENILLKGG -MTRCNFITDPDDIMNSLNMKAAVGALYGGKKEAYFKDMSDEDVEHLIFMSCKRLYLGKMGIWNGSLKAEI -RPIEKVQANKTRSFTAAPIETLLGGKVCVDDFNNNFYRRNLAIPSTVGITKFYKGWDDLMCSLPDGWIYC -DADGSRFDSSLTPYLMNAVLNIRLRFMEEWDIGQEMLKNLYTEIIYTPIATPDGSIIKKFKGNNSGQPST -VVDNTLMVMLSVQYTLLKNNITFMEQESIIRYFCNGDDLLIAIHPDHSHILDSFTKHFADLGLEYDFSSR -TTNREELYFMSHRGLLRDGFYIPKLDKERIVSILEWDRAKEPEHRLEAICAAMVEAWGYDELLHEIRLFY -KWVLEQAPYNLIAQTGKAPYIAETALKKLYMDEQATESESEEYIRLYQILDDRIPTPSYVSYQASESEDA -ANVSTDKQVGKNKDKDRDVDVGTSGEFSVPKVKMLSDKMRLPRVGKKVILNGKHLLSYKPDQVDLYNTRA -THAQFKTWYEAVKLEYELTDEQMKIVMNGLMVWCIENGTSQNLTGVWTMMDGDNQMEYPLSPIIDNAKPT -FRQIMAHFSDAAEAYIEYRNATEKYMPRYGLQRNLREYSLARYAFDFYEMNSKTPIRAKEAHMQMKAAAV -RGVANRMFGLDGNISTDDENTERHTAADVNKDHHTLFGLRM - ->YP_254723.1 coat protein [Thunberg fritillary mosaic virus] -ADRTEQIDAGRDQVRKRTQETNQGEGSQQAIVREPDVNVGSAGALTVPRLREINSKINLPMVRGKRIVNL -DHLLLYTPQQTDIYNTRATQTQFAAWYDGVKQDYELEDDQMQIVLNGLMVWCIENGTSPNLNGMWVMMDE -DKQVEFPIKPLLDHAKPTFRQIMAHFSELAEAYIIKRNITKPYMPRYALKRNLTDMSLAQYAFDFLEITS -RTPARAREAQAQMKAAALKNARTRMFGLDGSVGTTEEDTERHTTTDVNRSMHSMLGIRM - ->YP_254713.1 polyprotein [Thunberg fritillary mosaic virus] -MAVAMIMNTLVNNLSAPPIIPSKYTLSDMEKRQYASVCIFGDFGALKPITTNAANNNAAKAIVTEMTGRE -SQGPMVLAKHMAKPSNGGSVVHVRRGPNAWVLQARAQIRSSEQQMDTAFGRLATACAERIEKMGPQKPVK -VKGRWTTRAFSQKELKAEKERVLWLKNRRNDLTIEPNVIEHIEVPDDGPHVSLGTLMRDTEVLKKTKKVL -APVRGHCALECDIANLLHETLKIFGSRGKPVEVIEKGKRTRISNVRRGKRWYIRCFLPHMRGEKLAQELN -RTSNLESLVRVYNRKFNRDVEVDMRSIKPGDSGIVYNGELLRGQRVETEHFIVRGKLNGRLIDARSYMEV -EDLLSIKEFSKDVATQFWDGLNRKFLQARNFDDDHKCESNLSVAKCGEVAGLLIQAIYPCGRITCKKCIA -RATGLTRLEYGSFIGTRVKKVKEEIRADYKDFTHIPDILTHLERATTTSNDNLDDFSAINTIVFDKKESP -FSHIREINDVLMKSGEATNADFEMATHHLLEVTRYLKNRTDIIAESKTARFRNKASAKTHFNADLMCDNR -LDKNGNFLWGQRGYHAKRFLLNYFERIDPDKGYAMYVDRRHPNGIRKLSIDKLIVSTNLETFRQQMAGRK -VHSYSITDECVSKRGGSYVYQNCCVTHDDGTPVESGFLSPTKHHLVIGNTGEAKFVDLPQDEILQMYIAK -DGYCYINIFFAMLINVREDQAKSFTKMVRDMIIPKLGVWPTVMDVATTCKLLTVFFPDTANAELPRIYVD -HAHKMMHVIDSYGSYDTGYHLLKSNTVSQFMNFSSIDMESELKYYRVGGKFVASHLVMTNFNTLIKAIYR -ADLMRDILTHEPYLVMLAVLSPGVLLALFNSGSLEVATRMWIRQDQNIAQIMTMLSILAAKVSVSKVLLE -QKRIIEMNAEHLLRATDHTFFPCKSIDTAICALTVMDEEHKSDQALRDNGFYAHLLNSSEILEKSYMEDL -EASWLELSLWARLRLIFQSRKLHKKYLGFVELENRTGTIGRYSTSLSACLTMMKHNFTRCVKKIKSKTKT -RMYNIVGRVTCAMVGNCKYLFPDLVHFMNVLTVLGFLLTIYRSIFVHIAEYKSLKAKEQASVDERNAKIA -GAIYKELGMETKILPTIEEFRERLGSLSPELLTWFDGTYKLEDYIFQAKTDGERNLERVVAVSALLLMIF -DAERSDVVYKILNKLKTLIGVVETEPMKFQSLDDARDILEEKNLTVDFELQGDNECLPIQGGTTFEQWWN -HQLLHNNVIPHYRTEGHFIEFTRESAVAVANEIAHGPHTDYLVRGAVGSGKSTGLLFHLSAKGGVLLLEP -TKPLAENVYKQLRKEPFFLNPTLRMRGTTYIGSAPVTIMTSGFALHYYANNIKRLEEIKHIIFDECHVHD -SNAMAFRCLLSEYAFCGKILKVSATPPGREIEFTTQKPVELLIEENLSIQQFVSNLGSKSNSDVTAKGDS -ILVYVASYNEVDQLSKLLLEKNFKVTKVDGRTMKSGRTEIETHGTSERKHFIVATNIIENGVTLDIDVVV -DFGTKVVPELDGDARLIRYRKVSVSYGERIQRLGRVGRFKPGVALRIGSTERGLQAVPGVVATEAAFHSF -TYGLPVMTEGVSTSILSNCTVPQARTMMNFELPIFFTAPLVRFDGTMHAAVHKLLIPYKLRDSDITLNRL -AIPTASQKGWNTAREYAMHGCRLNVEDEITIPFYARDIPEKLISELHTVVVNNKSDSGFGRVSSASAARI -AYTLQTDPCSIQRTIAIIDKLIEAEQRKKAYFDSVTGISVSTSNFSLSSIINAIKTRHMTNHTAENIGVL -QAAKGQLMEFRNITLDWETVDKFGQNGALECLHFQSEVEMSKHLRLKGHWNKSLITTDTIVAGTVFIGGV -WMLYTHFKDTIKQTYSFQAKNKRQRQKLKFRQARDNKHAIEVYGDDANLEHYFGSAYTAKGKSKGNTCGM -GTKIRRFVNMYGFDVTDYSFARYVDPLTGATIDENPLTDLGMVQEHFGKVRTQFISDDQLDPNQVRLNTK -IEAYFVKNAAKEVLKVDLTPHNPLQLGDIVPSISGFPERELELRQTGKPVLIPYSQLPERNEKETESLVF -ESASNFHGLRDYNPIAMTVCSLQNTSDGVVATLFGIGYGSVIIANQHLFRCNNGTLCVKSHHGEFKVANT -TELQLFPVNGRDIILIKLPKDFPPFPRKLKFRCVEKGERVCLVGSNFQTRSISSTVSETSVTAPSPSEAF -TKHWITTKDGQCGLPIVSTKDGKIIGLHSLSSTVSSTNMFTNIPSEFEEKVLMCIDSLEWTKKWRLNVDK -ANWGAVNIKDDLASGLFKLSKDISSIHDSEWNFQAGFKQNWLYEQLDGNLKAIGRTPNALVTKHVVRGKC -NLFAAYLALDKQANDYFTPLLGSYQKSRLNREAYIKDVKKYASPIIVGSVDCDAFEEAFKDVIKVFTDVG -FKECAYITDHVETFDALNKKAATGALYKGKKGEYLAGYSESEKEEMLKESCKRLFLGKMGVWNGSLKAEL -RPIEKCIANKTRSFTAAPIDTLLGGKTCVDDFNNQFYSLHFALPSSVGMTKFYGGWNDLLCRLPDNYVYC -DADGSQFDSSLSPYLINAVLNLRLHFMEDWGVGHTMLENLYTEIVYTPIATPDGTIIKKFKGNNSGQPST -VVDNTIMVMLAVNYALRVNHITESAHDFIRYYINGDDLLIAIRPDMEHLLDKFQESFQQLGLNYTFNSRT -RNKTELWFMSHQGILRDGIFIPKLERERVVSILEWDRAELPEHRLEAICAAMIEAWGHDELIHEVRRFYH -WVLQQEPYNELAAQGKAPYISEVALKALYTGTAATESELVRYYNTMERLNVVMDDEFCFQADRTEQIDAG -RDQVRKRTQETNQGEGSQQAIVREPDVNVGSAGALTVPRLREINSKINLPMVRGKRIVNLDHLLLYTPQQ -TDIYNTRATQTQFAAWYDGVKQDYELEDDQMQIVLNGLMVWCIENGTSPNLNGMWVMMDEDKQVEFPIKP -LLDHAKPTFRQIMAHFSELAEAYIIKRNITKPYMPRYALKRNLTDMSLAQYAFDFLEITSRTPARAREAQ -AQMKAAALKNARTRMFGLDGSVGTTEEDTERHTTTDVNRSMHSMLGIRM - ->YP_249455.1 polyprotein [Pennisetum mosaic virus] -MAGMDGSWAMVASRKRPNLDNPYVVRKVMERYAAKHQEYDAEKALAKNLRILKRTHPGTNFTLPTTQVPV -EQPREEPKLKRVQIWVKVADHNVKVDTPITKRVVAKEKPKPLIVKYDVASLTSQVIKMKQNTNCEVAIIG -RKQSHITRLNAITRNKRLHLHCKTLHEEGKFKRRDVRISAEWLPTLATICRKFDTMPHHEFAKLRKGDSG -LTCFYHNELFIVRGRCDNELVNSLTIENNVLDINHYADPQANAFWKGYTDAYVKNRGISTTHTEHIPTVN -LEECGKRAALMEILFHSTFKITCKICNTDDLELADDEFGEKLYKLTQKVEESEKEYLEKDQKLKRMMTFI -KERSKPKFEHLPITWQVAETIGHYPDNQAKQIMTINESLIKVSTLSSEHVTKASHALLELSRWFKNRKES -SKEDTLKTFRNKVSPKSTINPALMCDNQLDANGNFLWGKREYHAKRFFTNYFDQVDPADEYEKHVIRYNP -HGSRKLAIGKLIIPLNFPKIRESFVGIPIEKQPLSKACLSKIDNIYSYPCCCVTTEFGEPYYSEIIPPTK -GHITIGNSVDPKIVDLPSTDPPSMYIAKDGYCYLNIFLAAMINVNEDSAKDYTKFIRDELIERLGKWPKM -KDVATACYILSVMYPEVKNAELPQILVDHENKTMHVVDSYGSLSTGFHTLKANTVNQLIRMQYDSMDSEM -RDYIVGGGITKKTFSENLSLLAKNMFKPEVMRKHMEEEPFLIIMAVASPTVLIAMYNNNYIEEAMTMWIT -RNQGIASIFATLEALAKETSKAELLIQQMNILEKASSQLRFAVSGISHVSPMKKLLWSQLEAMTTRAEMN -QELREEGYALYDNRLYSLVEKIYIDQLNHAWAELSFCGKLREIWRVYKHKKYYKPSLTLKESVGLDGVYN -ISVTHLVSNSVQKVRTRVNSITTKLRRSACDKWEAARLRAIRTAYWLVPDIFRLIHILLVLSVLTSIANT -ILVILQDYKRLQKQVRMEEYEKELNEVRQIHAKLDSIHSGGMTKEEFIEYIRDNHERLLEAAIDLTGTGV -IHEHKSKLETNLEQAMAVGTLLTMMFDPNRSDAVYKVLNKMKTVINTYEQNETFPRFDFTKMFNRNVVHQ -SIDVDDPLTLDIDKKMTVDFSTEQDLPASTFTNDVTFEGWWSNQLENNRTVPHYRLGGEFLEFTRANAAQ -VSLDIVHRRDDKEFLLRGAVGSGKSTGLPYHLSLRGKVLLLEPTRPLAENVCRQLQGPPFNVSPSLQMRG -LSSFGSTPISIMTTGFALHMLANNPDRLEHYDFVIFDECHIMEAPAMALYCLLKEYEYKGKIIKVSATPP -GRECEFSTQHPVDLQVCEHLTQNQFVMELGTGSKADATKYGDNILVYVASYGDVDSLSRMLAEKHYSVIK -VDGRTMKQNVSGINPNGTSTKKCFVVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAVMYRKTNISYG -ERIQRLGRVGRHKPGTAIRIGATTKGVQEIPTMIATEAAFLCFTYGLKVVTHNVSTSHLSKCTVKQARTM -MQFELSPFIMAELVKYDGSMHPEIHEKLKKYKLRESTIMLRSNAIPYTNVHHWMSVKDYNKLGYDLDLGE -YIKLPFYVRGVPDKLYSDIYDTIVKYQSNSCYGRLSSACAGKVAYTLKTDPYSLPRTIAIINTLLAEEHK -KRDHYLAMSSNPSSSHAFSLSGVVSMLSSRYMRDHTKGNIEKLIRVRDQLLEFQGTSQQFKTPEDLIEYG -SLNTVIHQGVDEISECLQLQGRWNAPLIQRDLMIAAGVFAGGGLMLWFMFLRWARQDVTHQGRSKRSKQK -LRFREARDNKHAHEVYGDDEVIARDFGTAYTQKGKGKGTKVGLGKKQHAFHMMYGFDPQDYNLIRFVDPL -TGTTLDEQIYVDVKLVQEHFAEIREEAINNDQLERQHVYSNPGLQAFFIQHGATNALKVDLTPHNPLRVT -TNNNIAGFPECEGILRQTGQAVKVHVSAVPQPNEEGVTHEAKSMMAGLIDFTPISSQICSIVNDSDGCKR -NTYAIGFGSYLITPAHLFKYNNGELTIRSSRGVYKIRNSVDVKLHPMQRRDMVIMQLLKDFPPFPRKLKF -SQPDRAMRVCLVGVNFQQNYSSCTVSESSVIAPKGNSDFWEHWITTSDGHCGLPLVDVKDKLIVGIHSLT -STNGNTNFFVAIPDRFGEYLNEIVATNKWEKAWKYNPNLISWCGLNLVESAPQGLFKTAKLIEDLLDDVQ -EQGRTEYTWLTQDICDNLQVVAKCPGQLVTKHVVKGPCPHFALYLSTHDDARQYFEPLMGKYDKSRLNKA -AFTKDLMKYAKPTYVGDVQYDIFEKAVDRVKSLLFEVGIKQCTYITDEEEIFNSLNMNAAVGALHTGKKK -QYFENFTDEEKEEIVMRSCERLYKGELGVWNGSLKAEVRPIEKTMANKTRTFTAAPLETLLGGKVCVDDF -NNQFYAHHLIGPWTVGITKFYGGWNQLLGKLPDGWIYCGADGSQFDSSLTPYLINAVLDIRLSFMEEWDI -GERMLENLYTEIVFTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVILAFNYTMLACGIESDIIDDVCKM -FANGDDLLLAVNPRYEYILDSFSNHFSNLGLNFDFSSRTRDKSELWFMSTRGIRYNDIYIPKLEKERIVA -ILEWDRSKQPQHRLEAICASMFEAWGYPDLLHEIRKFYAWLLEMQPFANLAKEGQAPYIAESALQNLYTG -NGVNENEIEKYFQQFKTDLHGYVEDYNEDVYHQSGRGDAGTPPQTEEQKKAAEEQRQKAAADAEAKRKAA -ADEEARRAREAEAERKRQADAAAKGKQDKDVNVGTHGTVAVPKLKAMSSKMRLPLAKGKNILHVEFLLNY -KPAQQDISNTRATRAEFDRWYDAVKNEYEVDDTQMTVIMSGLMVWCIENGCSPNINGVWTMMDGEEQRTF -PLKPVIENASPTFRQIMHHFSDAAEAYIEFRNATERYMPRYGLQRNLTDYSLARYAFDFYEITSRTTARA -KEAHMQMKAAAVRGSNTRMFGLDGNVGESQENTERHTAGDVSRNMHSLLGVQQHH - ->NP_734222.2 coat protein [Turnip mosaic virus] -AGETLDAGLTDEQKQAEKEKKEREKAEKERERQKQLALKKGKDVAQEEGKRDKEVNAGTSGTFSVPRLKS -LTSKMRVPRYEKRVALNLDHLILYTPEQTDLSNTRSTRKQFDTWFEGVMADYELTEDKMQIILNGLMVWC -IENGTSPNINGMWVMMDGDDQVEFPIKPLIDHAKPTFRQIMAHFSDVAEAYIEKRNQDRPYMPRYGLQRN -LTDMSLARYAFDFYEMTSRTPIRAREAHIQMKAAALRGANNNLFGLDGNVGTTVENTERHTTEDVNRNMH -NLLGVQGL - ->NP_062866.2 polyprotein [Turnip mosaic virus] -MAAVTFASAITNAITSKPALTGMVQFGSFPPMPLRSTTVTTVATSVAQPKLYTVQFGSLDPVVVKSGAGS -LAKATRQQPNVEIDVSLSEAAALEVAKPRSNAVLRMHEEANKERALFLDWEASLKRSSYGIAEDEKVVMT -THGVSKIVPRSSRAMKLKRARERRRAQQPIILKWEPKLSGISIGGGLSASVIEAEEVRTKWPLHKTPSMK -KRTVHRICKMNDQGVDMLTRSLVKIFKTKSANIEYIGKKSIKVDFIRKERTKFARIQVAHLLGKRAQRDL -LTGMEENHFIDILSKYSGNKTTINPGVVCAGWSGIVVGNGILTQKRSRSPSEAFVIRGEHEGKLYDARIK -VTRTMSHKIVHFSAAGANFWKGFDRCFLAYRSDNREHTCYSGLDVTECGEVAALMCLAMFPCGKITCPDC -VTDSELSQGQASGPSMKHRLTQLRDVIKSSYPRFKHAVQILDRYEQSLSSANENYQDFAEIQSISDGVEK -AAFPHVNKLNAILIKGATVTGEEFSQATKHLLEIARYLKNRTENIEKGSLKSFRNKISQKAHINPTLMCD -NQLDRNGNFIWGERGYHAKRFFSNYFEIIDPKKGYTQYETRAVPNGSRKLAIGKLIVPTNFEVLREQMKG -EPVEPYPVTVECVSKLQGDFVHACCCVTTESGDPVLSEIKMPTKHHLVIGNSGDPKYIDLPEIEENKMYI -AKEGYCYINIFLAMLVNVKESQAKEFTKVVRDKLVGELGKWPTLLDVATACYFLKVFYPDVANAELPRML -VDHKTKIIHVVDSYGSLSTGYHVLKTNTVEQLIKFTRCNLESSLKHYRVGGTEWEDTHGSSNIDNPQWCI -KRLIKGVYKPKQLKEDMLANPFLPLYALLSPGVILAFYNSGSLEYLMNHYIRVDSNVAVLLVVLKSLAKK -VSTSQSVLAQLQIIERSLPELIEAKANVNGPDDAATRACNRFMGMLLHMAEPNWELADGGYTILRDHSIS -ILEKSYLQILDEAWNELSWSERCAIRYYSSKQAIFTQKDLPMKSEADLGGRYSVSVMSSYERSKQCMKSV -HSSIGNRLRSSMSWTSSKVSNSVCRTINYLVPDVFKFMNVLVCISLLIKMTAEANHIVTTQRRLKLDVEE -TERRKIEWELAFHHAILTQSAGQHPTIDEFRAYIADKAPHLSEHIEPEEKAVVHQAKRQSEQELERIIAF -VALVLMMFDAERSDCVTKILNKLKGLVATVEPTVYHQTLNDIEDDLSERNLFVDFELSSDGDMLQQLPAE -KTFASWWSHQLSRGFTIPHYRTEGKFMTFTRATATEVAGKIAHESDKDILLMGAVGSGKSTGLPYHLSRK -GNVLLLEPTRPLAENVHKQLSQAPFHQNTTLRMRGLTAFGSAPISVMTSGFALNYFANNRMRIEEFDFVI -FDECHVHDANAMAMRCLLHECDYSGKIIKVSATPPGREVEFSTQYPVSISTEDTLSFQDFVNAQGSGSNC -DVISKGDNILVYVASYNEVDALSKLLIERDFKVTKVDGRTMKVGNIEITTSGTPSKKHFIVATNIIENGV -TLDIDVVADFGTKVLPYLDTDSRMLSTTKTSINYGERIQRLGRVGRHKPGHALRIGHTEKGLSEVPSCIA -TEAALKCFTYGLPVITNNVSTSILGNVTVKQARTMSVFEITPFYTSQVVRYDGSMHPQVHALLKRFKLRD -SEIVLNKLAIPHRGVNAWLTASEYARLGANVEDRRDVRIPFMCRDIPEKLHLDMWDVIVKFKGDAGFGRL -SSASASKVAYTLQTDVNSIQRTVTIIDTLIAEERRKQEYFKTVTSNCVSSSNFSLQSITNAIKSRMMKDH -TCENISVLEGAKSQLLEFRNLNADHSFATKTDGISRHFMSEYGALEAVHHQNTSDMSKFLKLKGKWNKTL -ITRDVLVLCGVLGGGLWMVIQHLRSKMSEPVTHEAKGKRQRQKLKFRNARDNKMGREVYGDDDTIEHFFG -DAYTKKGKSKGRTRGIGHKNRKFINMYGFDPEDFSAVRFVDPLTGATLDDNPLTDITLVQEHFGNIRMDL -LGEDELDSNEIRVNKTIQAYYMNNKTGKALKVDLTPHIPLKVCDLHATIAGFPERENELRQTGKAQPINI -DEVPRANNELVPVDHESNSMFRGLRDYNPISNNICHLTNVSDGASNSLYGVGFGPLILTNRHLFERNNGE -LVIKSRHGEFVIKNTTQLHLLPIPDRDLLLIRLPKDVPPFPQKLGFRQPEKGERICMVGSNFQTKSITSI -VSETSTIMPVENSQFWKHWISTKDGQCGSPMVSTKDGKILGLHSLANFQNSINYFAAFPDDFAEKYLHTI -EAHEWVKHWKYNTSAISWGSLNIQASQPSGLFKVSKLISDLDSTAVYAQTQQNRWMFEQLNGNLKAIAHC -PSQLVTKHTVKGKCQMFDLYLKLHDEAREYFQPMLGQYQKSKLNREAYAKDLLKYATPIEAGNIDCDLFE -KTVEIVVSDLRGYGFETCNYVTDENDIFEALNMKSAVGALYKGKKKDYFAEFTPEMKEEILKQSCERLFL -GKMGVWNGSLKAELRPLEKVEANKTRTFTAAPLDTLLGGKVCVDDFNNQFYDHNLRAPWSVGMTKFYCGW -DRLLESLPDGWVYCDADGSQFDSSLSPYLINAVLNIRLGFMEEWDIGEVMLRNLYTEIVYTPISTPDGTL -VKKFKGNNSGQPSTVVDNTLMVILAVNYSLKKSGIPSELRDSIIRFFVNGDDLLLSVHPEYEYILDTMAD -NFRELGLKYTFDSRTREKGDLWFMSHQGHKREGIWIPKLEPERIVSILEWDRSKEPCHRLEAICAAMIES -WGYDKLTHEIRKFYAWMIEQAPFSSLAQEGKAPYIAETALRKLYLDKEPAQEDLTHYLQAIFEDYEDGAE -ACVYHQAGETLDAGLTDEQKQAEKEKKEREKAEKERERQKQLALKKGKDVAQEEGKRDKEVNAGTSGTFS -VPRLKSLTSKMRVPRYEKRVALNLDHLILYTPEQTDLSNTRSTRKQFDTWFEGVMADYELTEDKMQIILN -GLMVWCIENGTSPNINGMWVMMDGDDQVEFPIKPLIDHAKPTFRQIMAHFSDVAEAYIEKRNQDRPYMPR -YGLQRNLTDMSLARYAFDFYEMTSRTPIRAREAHIQMKAAALRGANNNLFGLDGNVGTTVENTERHTTED -VNRNMHNLLGVQGL - ->YP_025107.1 polyprotein [Hordeum mosaic virus] -MAQNTFLQFGSLNTGLVMTGNNWIVKPYDQETEKRAIAREWHAYYLNAGRELTTPTKAFEAFDAKMREKE -SVELPVVQHDADETTPECTLTDDKGWFVQKVSRANKHKKPKHTGDASNLIRAVLNVSKKTKIPIEIIGKR -RTTLRFKNTHGKKLLHAVTQHEMKRIRRTDLQCDAFANATLNLVKQTNHVTPIASIREIKPGHSGAILKD -AYNDIFIVRGISNNMLVDARTHVIGNLNAIEHFSDDTREQASLSPHAPKFDLPEQEHMCSLQWDEQSVTQ -FQQMLSQAFMPQANFQCATCAKTAALRTRKDMIEQARNSTFMKALDQERGNVRWNAIANNFDTLLGSTGT -EVGIQTTQDIAKICYGYNMEPYRNIMQITQVLTDPLLQDEDEIQGARQALLQLARFLKNRELSVMAKPAE -TFRNTYPPNPKVNYILARNNSAIVNIDWGTRSDTIRPFLCKHYFWSDTDKPDHFRERKHIRGERLVAKPL -LHIPKTLKDVREATIGKKVQTFAISDTCITKRHNQIIYTCSCVTHADGSPHLTDVIYPTNNCFFIGFPDN -VDLISNPFNNTDGKALCASAGYCYIHIFLAMYMHITDTNAPRFVRLIENLVTTMGEWPTMQTVATACCMI -GLYFPDTQCAALPPILVDHEHKTMHVVDASGSLSHGYHILKASTVKQLYPFMSEDTESEMKEYNVGGQFA -DVSSLMPVLVKATFNKAKFDDLLEHNPFLILLAALSPSVIVQMSNSGCMKYALRRFVNSNQTLAQIVAAL -DSLTTKVSRLELINQQLECLYSSYPQLLEFMDQLYDSTPEEKLIKMFILAHVKNTMEMQRADIQLVHDGF -CTLNTEMRKKKEQYYRGVIDSYFSECPYRQQLWLRLRSLKPCSYIKGCFKSVKRNVSKETCYTCISAPFG -WSMTGLRASKNCVVGVSSKGMNLTTRLVRNLAIRSISLMTPELGKIIGALSAVSLLLAIANTIHRIISRK -RMEVKKLVMEREDLLLDFISREMDAYCYRQDLLYLDDKHYSHFVDYVRRINSAAGELAHNLFIREEVKHQ -AKDNNHIWTEKCIATFVLLMMMFDVEKSDKLYSTLNKLKGIFSTIGQGSVYHQSLDDSLDVEELKKGTID -FVREEAMEPQGPVLSATFEQFWDTQLSQNRTIPHYRTVGQLIEMTRDTAIDIVNEISRAPVGTEFIVRGG -VGTGKSTYLPSLISSHGRILILEPTRPLTENVAEQLRGGPHFKSPTVMMRGLSVFGSSPITVMTSGFALH -FYANNRTLLREFAYIMIDECHVMDASAMAFYSLCKDVRVQAKILKVSATPPGRECDARPIFPVTMTVCEQ -LTFDNFVSAQGTGSANDATKHGNDILVYVASYNEVDKLSSMLTNKGYSVTKVDGRTMKLNTGPINMIGHS -GKKHFIVATNIIENGVTLAADYLVDFGTKVVAELDVDGRRIAYSKSPISFGERIQRVGRVGRIKPGGCLR -IGETKRGIPEIPESVATLAAFSCFLYDLPVMTGQVTLNILSKCTREQARAMAAFEISPFAMAPLVAYDGS -MHPAIHSILKKYKLRDSEIALKRSSLPLRSSSNWFTVREYETFAGTILIDDKATRIPFLINDVPHKVFEQ -VWKAIQDYRADVTTIKLSAIESQKIAYTLQTDCTSIQRTISTIDMLIREEQKKKQMFAAYTSNSSGFLMT -SLSSIAHKLKSRWARDFCDQNLKTLIETRNQLCEFENISVEKYNEEFIRSYPSIALVEHQSKEAIAQKLQ -LKAKYDNRLVTTDILLALGTLAGGSVLLYKYSTSALDEQVSFEGDSKRARQKLQFKQGHNKKMYNEVFAD -DETIKENFGEAYTKKGRKGANFTKGAGTKNNVFTNFYGVDPTQYEIVRYVDPLTGCTIDHDAKTPINARQ -LEQYFTDEREALHEESMLAPGASFVPNDIQAYFINTQTRRALRVDMEPHNPLRVGHRTNNIAGYPDREGE -FRQAGTARPVNITQVPSRRESQVGHESKSHLAGVRDYSFVSKAICSLEYYYDNMVRCLYGLCYDNYIIAN -AHLIPKPNGWLKIKTKRGVFTVNSMSKLRIKEIVGSDLIVITCPKDMPPAPSRLQFRNPRRGEKVVMVSV -TSSDASGNTMVSESSITSHKPNTNFWIHWISTKNGHCGLPIVSVEDQCILGLHSLGSVHVKDNYYAAFGD -DFGTENLSKTSTGDWASRWSYNPDNVNWGTMDLTRSKPTGSFKPTKEVSDLETDVEHQHAQYTWLTKYIG -NNLTAVAKCSGNLITKHVIKGRSPMFSLYLTVDDDAAQFFQPLLSHYSPSKLNKEAFVKDLTKYDKLIKL -GDVDPHHFEEATKSVLKLLKELGFGECAFITDQQQIFASMNMKAAAGALYGGKKQTYFEGWTDEEKNQIL -KESYERLYNGKLGVWNGSLKAELRPNEKVEANKTRVFTAAPLDTLLAAKGCVDDFNNQFYDAHLKGPWTV -GITKFKGRWNDFLRLLPDGWVYCDADGSQFDSSLTPYLINAVLNLRLQFMEDWAIGKECLANLYTEIVYT -PIATPDGSVVKKYRGNNSGQPSTVVDNTLMVVLAMQYAISKYGLGLESTDTYIRYFANGDDLLIAIHPDH -EALLDTLKEHFGELGLNYDFTSRTRDRSELWFMSHQGKLIDDLYIPMLERDRVVAILEWDRSHEPEFQMD -AINAAIIEAWGDDELIHHIRKFYSWLLEQEPYKSLADCGRAPYLAETALRKLYTDQDAPQELLDLYANSM -LELPTFDEPTLVFHEADDKTQPKANDQQNPQTSDSGNKSGATDNNSGKDKSGDDKSKQLAKAQTGEKKRQ -ENEKRVMQSGGDDADVSLKDDNKTFEVPTVDVINKKLRMPKYKGKALVNTDHLMKYSPDQRDLSNKRATQ -RQLDNWVENIVKDYNVDEGKIDIVLNGFMVWALDNGTSPNISGTWLMMDGEKQKEYPLEPIVKHAQPTLR -QIMMHFSDAATAYIVLRNTKGRYMPGYGLKRNLNDMNLAPYAFDFYEITSETPNRAREVHMQMKAAAIRG -KVNRTFGLDGTVASGSEDTERHTVDDVKHGTHSFYGAGMN - ->YP_025106.1 polyprotein [Agropyron mosaic virus] -MAQVSFLQFGETGTGITFDQHTWHVLPYDKEAHERSVAKKWHAYYLQAGEELSRQSHALQKFDSVFYKRR -ALIGIEEPVDITDWMADDDDGHEIVSEFKVIPKQRRNKLPRHTGIAENLTRAILNIARKTHVEIEIVGKK -RNQMRFKNTHGHELLHVKTQHEFKKLVRTDLKTDEFLTEILRIARHTEHVKPITKVGEIKPGHSGAVLAT -EADQLFIVRGIAETMLVDAREHFKGDLKRIQHFSEDKNEKVTISPFRHAYNLPQNPHMCALQWDETSVVQ -FQQMLSQAFVPQTHFQCGMCAANAAERSRSEIITDARNSHMMGALEQERGSGRWNALANIFDTLLGSSET -EAGIQITQEIAKICFGNNTEPFRSIMQITQVLTDPFTCDGEKVQQAREATLQLARYLKNRELNVGTSSKD -VFKNPYPPVSKVNYVLARGNGKITNVEWGERMQLIRSFMCKHFFWTDIDKASTFSRRKHIRGERLVAAPA -LQIPRTMRETRSLMEGEKVQEFPITDACKTWRNGQLVYTCCCVTHTDGAPYLTQVHFPTTNAFCIGTQGN -IELIANPFANTDGKILAAKAGLCYIQIFLAMYVHINEAQAPRFIRLIAKMVELLGEWPTMRSVATACCMI -GLYFPETQSAELPEVLVDHEHKTMHVVDSSGSLSHGYHVLKAGTVKQIYSFMSDEIKSELAEYNVGGQHA -DIAKLIPTLIRATFNKQEFIWLMSDNPYLILLAVLSPAVLRQVSDSGNLRRALCRFIHIDQPLAQILSTL -DSLAAKVSRLELIDQQLECLYTSYPQMLDFVASLVESSPEEKLIKSFLLSHIRNTIEMQRSDIQLVHDGF -CTLNMEMRRKKELYYLEVVHTYFNECAYHQQLYLKLRTLRPCSYIKRCFRNLKQNFTKETCSSCITTPLG -WGTTGLWYAKSCVTGTISKGRKTAVSLVRKAAIKGITFVTPEIGKMLGMLSAISILLTIANSAHRMICRK -RLEARRLALEKEDLLLDIIAKEMVDYCTVHKLLYTKELDYTQFVDWLEKKHAEAGKLAHRLFLEEVEHQA -KDTNHIWTEKCIATFVLVMMMFDTDRSDKLYSTLNKLKGVFSTIGQDAVYHQSLDDIMDTDAAKNATIDF -IREEEMEPKKPILSQTFEQFWDTQITQNRTIPHYRTVGTLLEMTRETALDTVNTITKAAIGSEFIVRGGV -GTGKSTYLPSLISERGRILILEPTRPLTENVAEQLRGEPHYKSPTVMMRGLSVFGSSPITVMTSGFALHF -YANNRTMLKEFSYIMIDECHVMDADAMTFYSLCKDIGITAKILKVSATPPGRECDAKPIFPVKMTICEQL -TFEGFVNAQGTGSANDATVLGHDILVYVASYNEVDRLSSLLTNKGFAVTKVDGRTMKLHNGPIPMIGHSG -KKHFIVATNIIENGVTLAVDYLVDFGTKVVAELDMDGRRIAYSKCPISFGERIQRIGRVGRIKPGGALRI -GETKKGIPEIPESIATTAAFNCFLYDLPVMTGQVSLNVLSRCTREQARTMAAFEISPFAMAPLTAYDGSM -HPAVHSVLKRYKLRESEIKLKRSSLPLRSSSSWFTVREYETFAGTILIDNKDVKIPFLINNIPHKVFEEL -WTAIMNNKADVTTMSISTIQSQKIAYTLQTDSTSLQRTITTIDMLIAEEQRKKQMFAAYTANSSGGFMVS -LSAIAQCLKSRWARDFCDHNLHTLIETRNQLCEFENLAVERYTEDIIRNYPCVTLVEHQSRDEMIQKLQL -KAKYDNRLIATDLALTAGTLIGGGVMLYKYVMNAVDEQVTFEGDSKRARQKLQFKQGRANKEYNEVYADE -DTIRENFGEAYTKKGRKGANFTKGTGKKTHEFTHFYGIDPTQYELVRYIDPLTGYTIDVNAQQAVNARSL -EQAFIDERENLHEESLLAPGATFIPSDLQAYFINTQTRRALRVDLEPHNPMRVGHRTNNIAGFPDREGEF -RQSRPARPVNISQIPDKKDSCVAHESKSHLCGVRDYTFVSKVICSLEYYFDDMVRCLYGICYDTFIIANA -HLIPKPNGWLKIKTKHGIFTVQNMQKLKIKEIKGTDLIVITCPKDMQPAPCRLKFRAPRKGEKVVMISTT -SNDSSGVPMVSEASVTTHKPNTNFWIHWISTKRGHCGLPIVSLDDQCILGLHSLGSVHVKDNYYAIFGDN -FVSENLLNTSPGDWMSRWSYNPDNIDWGTMDLKMSKPGGSFKTTKDITDIDTDVEHQHNQYTWLTKYIGG -NLAAVAKCPGNLITKHVVKGRSPTFSLYLSVDEEANAFFKPLLSHYSPSRLNKEAFVKDVTKYDKLISVG -DVDIECFVQSVQSVFNLLSRLGFQECAYITDAEEIFASLNMKAAVGALYGGKKETYFSDFSNEDKQQILK -ESYERLYEGKFGVWNGSLKAELRPNAKVEANKTRVFTAAPLDTLLAAKGCVDDFNNQFYSKHLEGPWTVG -ITKFKGRWNDFLRLLPDGWIYCDADGSQFDSSLTPYLINAILNVRLQFMQEWNIGKRCLENLYTEIVYTA -IATPDGSVIKKFRGNNSGQPSTVVDNTLMVVLAMQYAIAKHGLSPESTDSFIRYFANGDDLVIAIAPEKV -DLLDTLAQSFGELGLNYDFSSRVEKREDLWFMSHQGKLINDMYIPMLERERVVAILEWDRSHEPEFQMDA -INAAIIESWGDDELIYQVRKYYNWLLEQEPYKSLADAGKAPYLAETALTKLYTDVDASQEILDLYQNCVI -ELPQFDEPTLVYHEADDQQNSQDNPSSEDNKTNPQTGDGQRTPAADQQQNRTNTENTENQQNAANQQRNR -TTENAQKAANDDKSKQLAKAQSKEVVRQNNEKRAMNSGGDDADVTIKDETKTFVIPKVEVLNKKLRMPKF -KGKAMVNVDHLLVYKPDQRDLSNKRATQRQVDNWVEKVAKDYGVEESSMDIIINGFMVWALDNGTSPNIT -GTWIMMDKEEQREYPIEPLVRHAQPTLRQIMMHLSDTATGYIVLRNTKERYMPGYGLKRNLNDMSLAPYA -FDFYEITSETPNRVREAHLQMKAAAIRGKVNRTFGLDGTVSSGSEDTERHTVDDVKHGTHSFYGAGMN - ->YP_022760.1 coat protein [Yam mosaic virus] -ADTQPDDEGKTIDAGKSTGSRNKEKGVTDTSSEHNNAGKKTPISEDRSETNKAQSSGDGILATRDRDTDA -GTVGSVLPRLARKNFSNKMVLPMVRGKSILNLDHLVKYEPAQVFLSNTRATQAQFDVWYMAIKSFYELED -EEMGMIMNGLMVWCIENGTSPNLSGMWTMIDGGEQVEYPLKPIIENAKPTFRQIMMHFSDAAEAYIELRN -SKEPYMPRYGIQRNLRDYSLARYAFDFLEITSKTPVRAREAHHQMKAAAIGNKVNKMFGFDGKVGLPEED -TERHAAGDVTKDMHSLLGMRGM - ->YP_022751.1 polyprotein [Yam mosaic virus] -MAFVMFGQFESALPLLHSGGASLTVAPNCVAPIKRDILKETRFQMMKEEAQRERAWAKLEEETRERYESF -QRGTLKRLPYGFMTLKKPSIVKLHKERIERENQEREERIFDSLSPFPITHINMCEQPVRVLENVNNNITW -SLCRSFKQKKPKRVPSMPKLSVVHFEGFLTAIGKICKKKDMSLEIIDGRKTVKAKTHLGSCYVRTKHMDG -ARKKIDLTLNERQEEMLFSLAKGLSRAPIPLSHLTYGDSGRIILNPICDTAYSRYKRGLIVRGEHEGKIY -DARVKVTRSVASTMRQFSSTPLKYWEGFNEGFLEHKPKQINHTCASVLDVKACGKVAAIMYQALFQSGQI -TCSECIRAYMETTQTEMNARLEPVLDEKIQILSVSHPQFEHVSSMLKCYRNAIGLVNGNLQAFGEVQRII -GSYTEAPFTHLLELNNILIKGEELKRTDLERASTLVLELARYHRNRTENIKKGSLVSFRNKVSAKTHINT -ALMCDNQLDANGNFMWGQRGYHAKRFFSTCFKKVDPRNGYAAHRLRRSPNTVRELAIGNLIVSTNLETIR -RQMRGISIVSPALTESCVSTLNGNFVYPCCCVTTDDGQPIKSELYTPTKHHLAIGNTGDTKLVDLPEDVK -DELYIVEDGYCYVNIFLAMLVDVDEKDAKDFTKKVRDLVVKKLGKWPSLIDVATACAMMTIFAPSTRTSE -IPRILVDHVSKTMHVLDSFGSLNTGYHILKANTVQQLIKFANDDLKSEMKDYQVGGLVHHSEHDSRSVKL -LIKSVYKPKLMEQVLMEEPYLLVFAMVSPCVLMAMFNSGSLERATHFWLRQQDDLAFISSALSTLAQKVS -TAHSLIDQLDIINEMASPMLEQIFVGSKPNTSYMLAVNFLMRIKSRLDTDESLQLAGFRSLMYTSCHHVE -KNYVALLELEWKELSWSEKCYLTWQSQKHAWRYSITLVPAKTADFGGRYSASVSCALGRMVKKTQNVLKT -TTNACIESVQSRTRKVICKSLNAIRYVFPEVMTFLNTLVVCGLLISIFSVIDEMVLKHKQAARAAEANEA -RLNSERIKQLHMLYMNGVGEKHVNGFIEFVAKVNPELVDDVKHACDDEPNEVEHQSKRSEECKFEAIIAF -IALVLMIFDAERSDCVYRSLTKLKSLVATTEVSHQSLDDIRTNLTEEKLTVDFELNSSDIHGSPVQEHTF -QTWWDRQIVSGRTIPHYRTEGFQMEFTRATAASVVCEIVQSKHRDIMLRGAVGSGKSTGLPSLLMKHGSV -LLLEPTRPLAENVAEQLRGDPFYVNATLRMRGFNRFGSAPIMIMTSGFALHYFANNPKELANYSYVLIDE -CHVLDSSTIAFNCLLKMYDFQGKLIKMSATPPGRECEFVPQHKVDIRVEESLSFQSFVSSQGTGSNADVI -QNGNNILVYVASYNEVDTLAQMLTNAKHKVTKVDGRTMKTGKIQIVTTGTEQQKHFVVATNIIENGVTLD -VDVVVDFGVKVVPEIDSDSRMIRYKKVSISYGERIQRLGRVGRNKRGVALRIGHTEKGLTEIPAVVATEA -ALACFAYGLPVSTQGVTTQILSECTVRQVITMFQFEISPFFMANLVRFDGSMHGKIHSILKPFKLRDSEI -VLNKRAIPTCASQNWLTVAQYRLCGVKLNVDESVKIPFYVKDVPEKIMCEVWDAVVKYKNDAGFGRISSA -SACKVAYTLQTDAHAIPRTVKIIEALMIAERTKKEHFDSQVGNVCSSSMFSLSSITHALRARYNTNHAVE -NLSILQTAKDSLLEFKNLNLQGSAPDVLEPYGVLEAVHHQSENEMSKYLGLKGRWNKQLLTKDILVMIAV -FGGGVWMAYEYLVKSMETVKHQGKNSKRKNQKLKFRDARDKKVGRIVEGDDETIEHYFGEAYTKKGKQSG -RTKGMGHKTRKFINMYGYDPKEYEFIRIVDPLTGATLDENAYFDMSLVQEHFGEIRSKYIGEDLLDPQAI -QSNPGLKAYFVNNTTKKALQIDLTPHIPLLTCRSGTTIAGFPEREGELRQTGPFNVITHNSVPASNEINV -DQIEQATHEGKSMLAGLRDYNPIATAICMICNDSEGYQTKLFGIGFGSFLIVNQHLFKRNNGSLLTKTHH -GEFLVKNSATIKISPCEGRDIALLRLPKDCPPFSQKLNFRAPEEGEKVCMVGSNFQEKSITSTVSEASIT -RSLPNSHFWQYWITTKEGYCGLPVVALKDGAIVGVHSLTNNNTAVNYFTDLPSNFKDSYLKAPEALTWVQ -HWRYNPVNIAWGNLKLVSDTPDEPFKVAKLVTDLKTELVKAQGLENQTWLLDSINGNLKAVGKCSNQLVT -KHVVKGKCVLFDQYLATHPEEDKFFRPLMGAYQKSRLNREAYIKDIMKYSKPIVIGDVDITAFERALSAT -IVYMSKKGFHECEYVTDSQAILSALNMKAAVGALYSGKKKDYFENMDPELVYNHVKSSCLRLYKGKMGVW -NGSLKPELRTEEKVNMNKTRTFTAAPLDTLLGGKVCVDDFNNQFYSRCIDFPWTVGMTKFYGGWNELMRK -LPEGWVYVDADGSQFDSSLSPYLINAVLNIRLHFMEEWDIGEQMLRNLYTEIVYTPIATPDGTIVKKFKG -NNSGQPSTVVDNTLMVMLAMYYSLEKNGLELERINEFCVFFANGDDLIIAIRPDMEHHLDTFETYFAQLG -LNYEFNERTRNREDLWFMSHQAKMVSGMYIPKLEQERIVSILEWDRATKPEHRLEAICAAMVESWGYFEL -THEIRKFYQWVLEQAPFNTLAEQGKAPYIAESALRALYTNQAPTSNELSKYVQHIMEDFCNEDEICYVSH -QADTQPDDEGKTIDAGKSTGSRNKEKGVTDTSSEHNNAGKKTPISEDRSETNKAQSSGDGILATRDRDTD -AGTVGSVLPRLARKNFSNKMVLPMVRGKSILNLDHLVKYEPAQVFLSNTRATQAQFDVWYMAIKSFYELE -DEEMGMIMNGLMVWCIENGTSPNLSGMWTMIDGGEQVEYPLKPIIENAKPTFRQIMMHFSDAAEAYIELR -NSKEPYMPRYGIQRNLRDYSLARYAFDFLEITSKTPVRAREAHHQMKAAAIGNKVNKMFGFDGKVGLPEE -DTERHAAGDVTKDMHSLLGMRGM - ->NP_982344.1 coat protein [Chilli veinal mottle virus] -AGESVDAGSVKGEDSSSKSADKQATEKKNKVSGQAQPQSRQSEMEVPQVRDRDVNVGTSGTFTIPRLKGI -FSKLTIPKVKTKAVVNLEHLLDYAPDQIHLSNTRALQSQFASWYEGVKNDYDVTDEQMQIILNGLMVWCI -ENGTSPNINGYWVMMDGDEQVEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIEKRNSEKPYMPRYGLQRNL -TDMSLARYAFDLYEMTSKTPVRAREAHIQMKAAALRGVSNRMFGLDGRVGTQEEDTERHTAEDVNRNMHN -LLGVRGL - ->NP_982308.1 polyprotein [Chilli veinal mottle virus] -MAAQVIFSYLKSGSGVTTDACRAQAMQFTFGSFTPGKVNWNTLSSAMIEEYETRQESFELACEKFRKERT -DMRIVRRKETFQYSPKTKYQLKGARRKERKEEQERKFLASSDTIVARIVFPPEAMKVEAPVEPIRFPPVV -VRKVRKQPRPNITRVSTGSFSLFIKELVNTCDDRQIPLEMIANKRERVHVRIRRFTPSHRCAQVKTLHHR -GIYQNVDFECPIALKSLFGLIAQHAWKGQDVDELKISIGDSGLCIPHGKLIGRVLSGDSDFFTVRGRYGS -LLIDSQAYLPKKIISRINHYSAGELFWKGFDREFKTHRTTPVIHAGMNTMNVEECGSVAAIVCQALMPCC -RITCTVCAKANVDQGTSGLQERLIHSLETGIKELEDRYKSFPHAAQLLKGHLSSYKKPNPNHEATSKVQF -LIGDRKEQPFSYILEVNEVLIRGGRATSEEFSRASDCLLELARFHKNRVESLKKGSLHLFRNKVTSKSHI -NPSLMCDNQLDANGNFIWGKRGYHAKRFFSNYFELIQPTDGYDKYVIRKFPNGTRKLATIGNLILSTNLD -QLRKQLEGESIEAMPLTQQCVSKRYESFIHPCCCVTYDDGSPVLSEFKAPTKNHLVLGNTGDSKYLDLPA -EISENLYIAKEGYCYVNIFLAMLVDVDEKDAKDFTKWVRDIIVQQLGQWPTMTDVALACYQLSVLFPSTR -SAELPRILVDHKTMTMHVIDSFGSLTTGYHVLKANTTSQLILFASDTLESEMKMYRVGGEETQSIPGFKT -RLMRSVYRPSVFEQLMIDEPLTLTLALASPTLLNEFYTNGSLYEAMEFVGHTQMPVRVAVTKILELAQKV -NRAESIYEQASLISNHLSELHGIIDQMPCRSASICCVLEFLLTSQLDTECDGELNAIGFRTLKHKSLHIM -EKIYKEDLRALWCELSWSEKLRFKLHVLRSRKQYIRDSREIISGTLKEALHCSTRFVQEKIVLIPTPLRY -VSGKIGCGIAKVRKNVWSIIYGRAVHNFRDALRFIHTLAILSILLTVYTNIVDIKNKHKKMNLLHIEEQE -MKIQSRINKHYNDLTLLHNSPPSEVEFIQYVREHDPSALAYWSTQEKSVDHQASKRPSEAKLEQIVAFVA -LMMMVFDTDRSDCVYKVLNKLRNVMGVVDNDAVNHQSLDTILENFDERDEMIEFEITAPDAKSIAYKSST -FQVWWDNQLALNNVISHYRTEGHFVEFTRDTSAQVASDIANSDMKDFLVRGAVGSGKSTGLPSALCKRGR -VLLLEPTRPLAENVHAQLSASPFHLNPTLMMRNKSVFGSTPITVMTSGYALHYLANDAQRLKEFSFILFD -ECHVLDASAMAFKSLLVDREFEGKILKVSATPPGRETEFSTQYPVQLKTEEHLSFQQFVDAQGTGVNADV -TSIADNILVYVSSYNEVDQLSKLLTDKKFKVTKVDGRTMKSGATEIKTVGSKHRKHFIVATNIIENGVTI -DIEAVVDFGLKVSAVVDADLRMVRILKVHSIMEKNSALGRVGRTKPGCALRIGHTNKGVEAIPTMIANEA -AFLCLIYGLPVMTAQVSTSLISNCTVQQARTMALFELPFYFTQDYVSADGSLHPAIHALLRIQLRESEIL -LNNFQYHTQQSASGCQCASTTNAPKQLDMDPDVKLSFYVKEVPEELYEKLWHCVQENKGDAGFKKLRTHN -AAKIAHKLRTDDMAIQRTILLIDQLIANEMQKKEHFDSLVNANTSSLSFTLQSVSNLIRSRHARIFGANP -SVLHAARAQLVEFNNLHSDEIHEVCHQYMPLRDHIIDCGAMETVMHQSTDGISKCLKLKGTWNGSLITRD -VLIAAGVACGGAWMIYQYFIDNERVDHQAQKKNKRQKQKLKFRDAHDQKVGRIIVDDDSGAVEHFFGSAY -TKKGKSKGKTHGMGKKNRRFVNMYGFDPTEYSFIRFVDPVTGEMLDESVMADVMLVQEHFNDLRFEYLGD -DKIESARLYSNPGIQAYFVKDKVSPVLKVDLTQHVPLKVCDNSSTIAGFPEREGEFRQTGQATKVNYDEL -PSREGVEHEARSLNRGLRDHNQVSKLICKLENDSDDCVTSIHGVGFGSIIITNRHLLKRNNGTLRVKTAH -GDFKVANTKEMKVFPVEKHDILLIRLPKDFPPFPVKSKFREPKVNDSICLVGTNFQEKFLSSLISADSTT -SPVSGSKFWRHWIDTKDGHCGLPLVARDDGAIVGFHSLTSINTEQNYFAAVPEAFMELIAQVETLEWRKS -WVYNPNEIGWGSLKLKSDQPTGMFKIEKLIEDIQSAFVREQASDKWLYAQFQGFLKAVPKSESQLVPKHI -VKGPCPLFHLYLSTHREARQFFQPFMGAYGKSRLNRIAYAKDILKYSTEIEVGKVDTCALELPVDDVIAD -LHAVKFDTCNYVTDEEEIFQSLNMKAAVGALYKGKKREYFETYTQQDKERILFESCLRLYKGNLGVWNGS -IKAELRPIEKVRANKTRTFTAAPLDTLLAGKVCVDDFNNQFYSKHTLAPWSVGISKFSGGWDRLLRKLPD -GWIYCSADGSRFDSSLTPYLINAVASIRLKFMEPWGIGEQMLMRNLYAEIIYTPILTADGTIVKKSKGNN -SGQPSTVVDNTLMVLVAMKYSLRRLGIIIRIKNDRGVFFANGDDLIVSVPPSDEWILDSLQDRSSELGLS -YDFNERTTDRSELWFMSHQGILIENQYIPKLEPERIVSILEWDRAEQPEHRLEAICASMIEAWGHKELLY -EIRLFYKWVIEQAPYSQIVSEGKAPYISETALRCLNMSEHGENDINPYLRALIEGAKREELDDDGGEVAH -QAGESVDAGSVKGEDSSSKSADKQATEKKNKVSGQAQPQSRQSEMEVPQVRDRDVNVGTSGTFTIPRLKG -IFSKLTIPKVKTKAVVNLEHLLDYAPDQIHLSNTRALQSQFASWYEGVKNDYDVTDEQMQIILNGLMVWC -IENGTSPNINGYWVMMDGDEQVEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIEKRNSEKPYMPRYGLQRN -LTDMSLARYAFDLYEMTSKTPVRAREAHIQMKAAALRGVSNRMFGLDGRVGTQEEDTERHTAEDVNRNMH -NLLGVRGL - ->NP_945145.1 Coat protein [Lily mottle virus] -ANETLNAGASSSTQASRSTRPEASIDVAPQQSSEARVRDRDVDAGTVGTYQIPRLKALATKINVPKVKGR -MIVNTGHLVNYNPDQTDISNTRSTQKQFEAWYNAVKDEYGLNDESMALAMNGLMVWCIENGTSPNVNGVW -LMMDGDQQVEFPLRPILEHAKPTLRQIMAHFSNLAEAYIEKQNLEKPYMPRYGLQRNLTDFNLARFAFDF -YEVTSRTPARAKEAHFQMKTAALRGKQSKLFGLDGKVNTQDEDTERHTADDVNKNMHSLLGISM - ->NP_945133.1 polyprotein [Lily mottle virus] -MASFTVGSILVNTASVLKESFAQKVLTTPPVLVAQPVQRSFGAARMCARKAIADDEEKVAKAFSALEARC -AARVSRMGTIRVKVTRSGTSRAQPLPKREQARLRKAERKARLDREEFLKGSPTVIDHISIAGGAQPSQDM -VEPMSTRKAPWHSVSKKARKVIPHSPTLNETGLASLMRAIAKIGAKNSMKVEIVDERRVQARYIKRDAGS -YLQVRVAHHEGLRRRRDLKLSAFADQCLTQLASTTHGTKYHHVTQIKAGDSGIVLLARRLSGWHSGSCSQ -IFVVRGNHEGKLYEARRKVTLTMTHRMIHYSGPGDKFWAGFNSQFLRIKPKEIPHTCTSNFNVFECGQVA -ALMCQALYPCGRITCQQCASEYLELTKVELGEKLSDGLYRTSSQIRENHQEFAHVAHILNVIADLLSLKN -NNMEAFTEVHKLIGEQTQSPFTHLNRLNSILIKGSDMSSNELYECSDCIRELARFQKNRTDNIKKLDVSV -FRNKASSKSYFNLDLMCDNQLDKNGNFEWGQRGYHAKRFLSNYFEVVNTQNAYREHTLRKHPNGTRELAI -GKFIVSTNFEVFRKSMEGKRIPQMPVTEACLSQRGERFICQCCCVTNEDGTPLESTFKMPTKNTLVLGNT -GDEKFVDMPTDTDEKMYIAREGYCYINIFFAMLINVRESQAKAFTKMVRDRLVPELGTWPTMIDVATACH -TLTVFFPDTLSAELPRILVDHNTKTMHVIDSYGSVSTGYHQLKANTVSQLVLFASDTLKSEMKHYQVGGK -KFTVEYEAVKLLIKAIYRPKLMWTLMEDEPYLICLAMCSPGVLIALFNSGSLETAINYWVARHKDTAQIF -ALLTVLATKVSVAHTLTEQLALLSDHATDVLRIMDRTFATQHSVSLVHTFLMQLEEKRHTDVSLVGSGFS -NLCATTHELMEKNYLAELDASWRDLSLLEKCSQMRWSFQSPQQFSNELHPSASTDLNGRYNTSVRALSTR -YMNNLRKSVCTKYHNMKIRTHQFIGRQVCNSIMGPSGFFPDLARCVHILAIFSIMLNIIRTINIYTSEYI -RLKNCELSQIEEKRWNKLLHTFDMMEKIDGQKPTLIEFTKHLKQWDPELYSEFHSILQDEEEDTEEVQFQ -AKPDGERNLERIMAIIALTMMVFDADRSDCVYKVLNKLKGLLNTVHQEPVKFQSIDDIQDLLEEREMVID -FEISADDHNINKLAGATFEQWWSNQMECNNVLPHYRTEGFFMEFTRANAAHVANEIAHGPHKDLLIRGAV -GSGKSTGLPFYLSKRGRVLMLEPTRPLASNVHKQLAGDPFLVSATLKMRGETTFGSAPITVMTSGYAFHY -YANNPNQLRDFEFIIFDECHVNDSSAMAFRSLLAEYTYDGRIIKVSATPPGREVEFTTQHPVEIIPIERL -TFQQFVAVQGTGAVGDVTTKGDNILVYVASYSEVDNLSKGLVEKGHKVTKVDGRTMKVGGVEIITSGSQA -KKHFVVATNIIENGVTLDIDVVVDFGLKVVAEVDIDSRLTRYIKKSISYGELIQRLGRVGRNKPGVAVRV -GTTEKGLQAIPVTTATEAAFLCFAYGLPVMTNNVSTNILTNCTVLQARTMMLFEINPFYMCHFVRFDGTM -HPEVHRILTPYKLRDSEIILNKVAIPNKCLMQWPTAKEYAYQGFRMNIPDTVRLPFHSMDIPERLHERMW -QIVETHKGDAGFGRITTASACKIAYTLRTDVASIQRTIHILDKLIENELKKQEYFRNITSASCSSSSFSL -TTITNAIRARHIKDHTVENVSVLQAAKAQILEFKNVTFDLDHVNRMTEYGALECVQFQTLESMAQHLQLK -GHWNKSLLTHDVVICGAVLLGCVLMVGSYFKERCSGVVKRYNENVKFQAKNKRQRQRLRFREARDNKHAY -EVHGDDADIQTYFGSAYTKKGKTKGVTRGMGIKTRKFVNMYNFDPTEYSFARYVDPLTGYTLDEQSLTDI -ALVQDHFGRIRRKLMEDGELEKESLAKNARLEVYFVKNLASQILKIDMTPHNPLRVCDHIETVAGFPERD -MELRQSGKSVMVTAAELPKENPYPEGEIVEFENKSTFHGLRDYNPIATCVCRLEHNSDGHTSSLYGIGYG -SYIITNQHLFIRNNGTINIESHHGTYHIRNSTQLQLYPIEGRDIVIIQLPKDFPPFARRLKFRHPTTTDK -VCLVGTNFQEKTTTSTVSEASLITRKDDSHFFRHWISAKDGQCGLPAVSTKDGCVLGLHSLTSLVNDSNF -FIAFPDDFEKNYLERANELNWVKHWKLNVDKICWGALSLEKDKPSNMFKLSKDIQRLDMEPVGLQSREDK -WLFDRLNGNLKAMARTRNQLVTKHVVKGKCLLFETYLNVTPKAKEYFTPMMGAYQKSRLNKEAYIKDLFK -YSSPIVVGDVDCAAFEAACDSVTQLFEGAGFGRCNYVTDEQEIFSALNMNAAVGAMYSGKKRDYFKDFTD -LDKESILRDSCLRLYQGKMGVWNGSLKAELRAKEKVDLNKTRTFTAAPVDTLLGGKTCVDDFNNRFYSLN -IACPWSVGMTKFYKGWDEMLRKLPEGWVYCDADGSQFDSSLSPYLINAVLNLRLHFMEEWDVGAQMLQNL -YTEIIYTPIATPDGTIIKKFKGNNSGQPSTVVDNTIMVILAIHYSYKYLKITKPLDEFCKYFVNGDDLLL -AVAPEFEYLLDHFANTFQQLGLNYDFSSRTKNREELWFMSHRGIMTDGLYIPKLEPERIVSILEWDRAVE -PVHRLEAICASMIEAWGYPQLLHEIRKFYYWVLEQAPYSELAQLGKAPYLSEAALRALYTGEATSQDELE -RYLAAVNLEGGCGGAEIVAFQANETLNAGASSSTQASRSTRPEASIDVAPQQSSEARVRDRDVDAGTVGT -YQIPRLKALATKINVPKVKGRMIVNTGHLVNYNPDQTDISNTRSTQKQFEAWYNAVKDEYGLNDESMALA -MNGLMVWCIENGTSPNVNGVWLMMDGDQQVEFPLRPILEHAKPTLRQIMAHFSNLAEAYIEKQNLEKPYM -PRYGLQRNLTDFNLARFAFDFYEVTSRTPARAKEAHFQMKTAALRGKQSKLFGLDGKVNTQDEDTERHTA -DDVNKNMHSLLGISM - ->NP_871747.1 coat protein [Onion yellow dwarf virus] -AGEGEDAAAQSSTSQQVTKQKDKDVDTGTTGKFTIPRIKALSDKMRFPRVGKTVVLNAEHLLAYKPEQIE -LYNTRSTKQQFENWYNAVKKDYDVNDEQMKILLNGLMVWCIENGTSPNLSGNWTMMDGDEQVEYPLAPIV -DNAKPTFRQIMAHFSDAAEAYIEYRNATEKYMPRYGLQRNLTELSLARYAFDFYEMTSKTPKRAKEAHMQ -MKAAAIRGATNRLFGLDGNVNTTEEDTERHTAADINKNQHTLLGIKM - ->NP_871737.1 coat protein [Papaya leaf distortion mosaic virus] -SALDAGKPTAENKKDDEERKNKEEKQENKNKNKEVEKKHEKTSNSASGAIVSNNEKDKDVDVGSSGSFII -PRIKSISNKLTMPKVKGKGILNLEFLLQYTPDQVDISNTRASISQFNTWYNAVKESYGVSDEEMGIILNG -LMVWCIENGTSPNINGMWFMMQGEEQIEYPLQPIVENAKPTLRQIMAHFSNVAEAYIEKRNYEKPYMPRY -GIQRNLTDMSLARYAFDFYEMTSRTPARAREAHIQMKAAALRDANNKMFGLDGKVGNATENTERHTADDV -NHNTHAFTGVRYY - ->NP_870995.1 polyprotein [Papaya leaf distortion mosaic virus] -MSIVIGDFSIPLICRTEQIECVRLVPGTRVEEVKTIKKVLKTHYQEITLGCTDRCAGLSAYTKTSLKRAI -KEKDLTASGSCFHCGLRAQIGEGRKRVELAPISVMEDVETVEQVLVPCMVEEKYYKEVSNFQKATLIDKP -KLTIAPVLMAQPAQVPRPAVFNEIRKVHEEMKSQTSENKVLEEETQCASDAALHHLDDVHACRARAQVGI -ERILARHARHRIEARQQVEEEQSEALAAFESFFNQTHREDRYEGKVLTIRNGITGWFEPNRNDIKNAARR -RKRANKKIPFVARENDVARIETHEPNVKEETKDVEEATDTYTFKKQRNDKKRVLKENVSLSMARINELVR -CVTKLCRKDSKELEFIGKRGSLRVQCTKNCGSRVILRHLRGELRRKDCYWDRIIENFFEIAAAKLQNKNL -NNNESVRRGHSGHIIQYDKFRGLSGRHFGSYIIVRGSMDGRIIDARSKITHSVMINMTHYSDAGLSFWKG -FDRQFIDIRDRPKNAHECKATINVEECGEMAAIVNQLLFPMWKITCTQCGELLEMLSQEEELESFRRKRS -QLASKLSSLHIKFPYVDHFLNRYENSLNRMNTNFDAHKQIAQIIGSRKEIPFSNLEHLNELLIKSDKLVS -EDFYEMSQCLLELTRWHKNRSDSFKKGEIHHFRNKMSGKAQFNFALMCDNQLDKNGNFVWGERGYHAKRF -FLNFFEKVDSTDGYKKHIMRVNPNGTRQTAIGKLILSTDPSTLRQQMKGSPITRVPVGKYCTSKRDGCYV -YPACCVTMEDGTPLFSDIKMPTKNHLVIGNSGDPKYVDVPSSSSDMIVAKEGYCYLNIFLAMLLNVNESE -SKSFTKKVRDIIVPRLGQWPSLIDVATECYFLSAFHPETKNAELPRILVDHTSKCMHVIDSYGSLDTQFH -VLKANTVSQLIKFADNDLDSELKHYLVGGDLHSKQAPQCSIKLLCKCIYRPKLMRQCIEEEPFLLILACI -SPGVLLALYNSQHLELALKYWMSKQQSVAALFAMIHGLAAKVTVAQTLNEQRLILERGARNLISVMETIH -MTSHSYQPALLQLQVMANRRDMNSTLDLAGFSILQSEDSMYWMEKSYLMELEDSWNDLKWLEKLQEMWRL -SKYSISGISQLSMKGATDLGGRYSVSAKQFITSVMKPVKKSCVKARDTCKEVIINTTSWTFRATFSLCRW -CLPDCLKFINMLIVISLILSIWHSANSISFDYAQMKREKQVNIEKVLMNNLVALHKEQIKINPDLTKEEF -KEYIARSRPELIALVNKELQEEVDHQAKRKGEQNLEKIIAFVALVMMIFDSEKSDCVYKTLNKLRNLVAT -CDEPVAHQSLDDIQDILTDKETTIDFDLDCEGSKVTEFKEMNFAAWWEKQLQCDRVVPHYRTTGKFIEFT -RESCVSVSNTISHAPEKEWIVRGGVGSGKSTGLPFALSSKGAVLMLEPTRPLAENVSRQLRQHPFYANPT -LRMRGMSSFGSSNICIMTSGFAFNYFANNPLKLSDFEFVIIDECHVLDSNAMAFVCLLKEHNYDGKLLKV -SATPQGRECEFHTQHPVSIHIEEQLSFQAFCEAQGTGSARDVINKGDNILVYVASYNEVDQLSKMLGDKG -YLVTKVDGRTMKIGSTDIVTKGSSQKKHFIVATNIIENGVTLDVDVVVDFGLKVTAEIDYDNRCVNYTKT -SISYGERIQRLGRVGRHKKGHAMRIGTTIKGLIEIPSLVATQAAFQCFTYGLPVMTQGVSVNSLSNCTVR -QARVMSRFELPPYFMASLVYHDGSMHPEIHKHLIPYKLDESEIQLSAMAFNFTVTSIWLDCKFYDSIGIH -LDLPREAKIPFHCREFPDMKYRHLWEDILKIKSINCFGRMSVVSATKVAYTLKTDIHSIGKTLGYIDALL -QEEYRKQHHFKAMTSNACSGNTFSMLSIANAIRNHYAKDYTAGNIQKLQAAKNQILEFVNLNLDPSAKCG -FQEFGALELVTHQSRQEISKFLNLRGKWNKSLITRDILVLLGVTIGGFWMIWDKFKSNIEEVHHEGKRKT -QKLKFRDARDKKMGREVYGDDGTIEHYFGSAYVKRGAVKGQKRGMGEKSRRFVSMYGVNLEDFAFIRYID -PITGATRDESPLTDVELVQAHFGEIRDKMLDEGLIDRQHILNKPGLTAYLVKDGVKSIMKVDLQPHNPLL -ICKNKATIAGFPEKEFVLRQTDKAYEVSREELPERNEDVSFEGASSVKGLRDYNGVASAICQLTNNSNGR -STTTYGVGFGSYIIVNRHLFKENNGNLLIKSTHGNFNIRNSKQIKVVGVEDRDIAILQMPKDFPPFAQRL -RFRNPIVGESICLVGNTFQEKYNASIVSETSKTFPRVEGSFWKHWINTTEGHCGLPLVSVTDGFIVGIHS -LMSHKYDHNYFSNFDDAFEGDYINKLKELKWEQNWTYNVNTVSWGNMKLQDSAPCKEFKTTKLISDLCTE -PVCAQSSNQVRWLYNQLEGNLKAVATIPNNFVTKHIVKGRCKLFELYLQTRSEANEFFKPLMGFYGKSGL -NKEAYIKDLFKYSSEIPIGEVDTERFEDAVGQVIEIMMQWNFRECKYITDCDQIFESLNMKAAVGALYSG -KKKAYFENSTFDDRNHLLQLSCLRLFKGDLGIWNGSLKAELRPIEKVEANKTRTFTAAPIETLLGGKVCV -DDFNNQFYDLNMKCPWTVGMTKFYCGWNDLLGKLPDGWIYRDADGSRFDSSLTPYLLNAVLGIREFFMED -WDIGVQMLRNLHTEIIYTPIATPDGTVVKKFRGNNSGQPSTVVDNTLMVCICVQYSLIMNSVKFENQDDV -CRYFVNGDDLLLAINPKFIHILDSFKVHFANLGLDYDFSHRTKDKGELWFMSHKGVKLNDMYIPKLEPER -VVSILEWDRSVKPEHRLEAICASMIEAWGYPRLIHEIRKFYAWVLEQAPYNHLASEGKAPYISETALKRL -YTCEEGSADEIMSYLEMCASDLNEDEYFDDEDVSHQSALDAGKPTAENKKDDEERKNKEEKQENKNKNKE -VEKKHEKTSNSASGAIVSNNEKDKDVDVGSSGSFIIPRIKSISNKLTMPKVKGKGILNLEFLLQYTPDQV -DISNTRASISQFNTWYNAVKESYGVSDEEMGIILNGLMVWCIENGTSPNINGMWFMMQGEEQIEYPLQPI -VENAKPTLRQIMAHFSNVAEAYIEKRNYEKPYMPRYGIQRNLTDMSLARYAFDFYEMTSRTPARAREAHI -QMKAAALRDANNKMFGLDGKVGNATENTERHTADDVNHNTHAFTGVRYY - ->NP_871002.1 polyprotein [Onion yellow dwarf virus] -MMNARGINGKASKLTLAQRKRACYCSEDDAVHYHCTKCNFAFESLRMVRPVNHDCDGPMSDDDYDSPRPT -ITNKTFRDFFSDDVFRHLANDNAEPHSEITNDVAIAEAPKPHSNVVEVTEMKLGELKGTRGGEEQVENTQ -NLVQPKSVNSSKSEHDGAHEAQQYVKFGSFEPIKMETVQKNVHNPRQFIKFGSFDPIEVKHNDLEFNNTT -TNADAKTSAIDIVIPRKNVKSATLTNPTRLRKVTIPPTQNSLPVVRKAVSPNKQRKIWVKKETQKPPALV -TSVVEPVQMIPVAEPIYKKPLYKRKNMHRVKLQPENVTITAESLCDELLDIVHERKIALTIVGKNKHEFR -SVELNGKMYYKVVTLHESGIINRLDMNNSAETISLLRFFQARNPDDLIDEFDIRKGHSGLIINPDNIIGR -RPLTYKDDVMVVRGRLYGRVVDSLLKIHKSKVRDIEHYSSSNEIASEIFKGFEKTFISIRDPVQHVCTKD -ISLQECGEMCGVLTQMMFPIWKITCGQCASMIEDRNQGQILNDASRAKIVDMYERLTSTGKFRHVQTVIN -SLKTFEEATQEAVNLFGEIDALSCNKATSQLAQINTIAHSLIKGQVMSSTEQELALSNLKSLTLWYKKRL -EAQQVGDLSTFRNKISAKTHINLALMCDNQLDVNGIFQWGERGYHAKRFFEKYFIRIDSGAQYEQFSVRK -HIRGSRELAIRNLIVSTDIDKMVQSMRGSPAADIELGEYCVSRFDKNFVYPCCCVTHDSGRVMKSEFKIP -TKNHLVIGNSGDDKSIELPARDDGHMYIVKDGYCYILIFLAMMVNIREGDAKAFTKRVRDFVIAKLGEWP -SMRDLAVLCRYISAFYPEVSTAEIPKILVDHEHKTFHVMDSYGSKTTNYHILKANTVQQLCGFGDSDILG -EMRNYNVGGRNRLVSVRVETPHGYEYITATLQDTEGHGSWNAINGEIWDPNGSDIETDPLPMMHAQISGT -GFTSAEEEYTDSSSVVSPPPPTEHLSGDCALAATTPGSGLKRTLSIHTIQTDSESQYGVNDSVDSDVNYE -CDSLADSGDDGDYYNDDMSSDDETFSDAQEQSFRKDFDDLSQFEHSMRRVSLRLGNQKDTCIDYFKALIK -ASFKRLDFKLMMIRDPYMILFALMTPTVMKRFLEDGSFTIAANIFLQQSDDLVYIATTLETLAQKLSAHK -AYLSQFQEMSSVAQEILSRHSIFQSTRSSQQARDMLEILSSTAAMDVELHTRGYVVNTMNMQETKKKCYD -AIYMELWQELSLPEKCAYEWEKLKCVRRSLKISSLKDLIVQRDSAKNCLKQCSKFIVSGVKAQASGFCSL -ADRIKIKSVAILSDFVTACFSKVMRNITKYIQLTLLIALLLDVWKNLSNIIVEHKRLKLIEAEKLSKVKF -RKIRALYDSLVAKLGHEPTREELLEYVTSIDSSLKDELESHEEQVVYQAKSKSETTLEQIVALCALMAMF -FNTEKSDAVFKILSKIKNVFSSTDFPVQYQALDTPIDVNEFLGLTVNFELAHGKELDLNSFDISFENYWK -KSLLNGHVCHHYRSHGVFLEFTRATAESVCNVIKQSDQHEFLISGFVGSGKSTYMPSLLSNKGRILIVEP -TRPLTENVYNGLSGDPFFQSVTMCMRGANHYGSGNISVMTTGYALHSLANNRGNIEQYDYIMIDECHVLD -ANAMALYCLLKDVGYKGKILKTSATIPGRESGFKLSTQHDVTLNIEENLTFDAFVQAQGTGSNACVTTRG -DNILVYVSSYNEVDTLARKLSEHGHKVTKVDGRTMKLGGTRIETSGSATKKHFIVATNIIENGVTLDIDV -VVDFGLKVGAVLDVDTRAIRYVKQPISHGERIQRLGRVGRIKKGHALRIGSTEKGVPDIPACIATEAAFL -CFIYGLPLITQNVVVSALGKCTSRQARTMAAFELSPFYMKDLIKYDGSMHKQIHSALKSFILRDTEIQLK -DSAIPHASTKDWLTVREYNQIGSNIHCEDNVKIPFMINGIPEIVHERIWKACLDNAHSVRLNPLNSASAQ -RISYTLSSDSSSILRTIGIIEELIKEEKQKSMQFQNLRNTPVGPNSFNLTYLTNMLKSKYMVDHSEENLE -TLYKARSQLIEFNTNYNPDMSVDTIRDYPYTAMVNYQSSNDIAQALQLKGKYDMRKISTDIIVSSVILFG -GAWMAYDTFKHLMSSKVRYQAKNKRQIQKLRFRDSRDKKLNYAVANDDSTIEHYFGSAYTKKGKTKGTVR -GMGRKMNRFYTMYGVDPTEYSIIRYVDPITGNTCDDSATEYSPKGIEELNAMRVEMVEDDAIDLQQFHRA -DAQTYVAYYIKHGSDKALKVDLTPHNPLMVCHHTASIAGFPDKEGLLRRTGPAVEVNISEVSKPHAYEYK -DSVSFEAKSACCGPRNYNAISSVICHLELKSDGNERKTFGIGYGPYIIANQHLFTRNNGTLKIKSQHGEF -IIKNTCQLQLKPIDGIDVVLIKLPKDHPPFSSKLKFREPEEREKVCLVSVEFNPSITSALMSETSFTYNE -ANTRFWKHWITTKEGHCGLPIVSTKDGCVLGIHSLSDQKNSVNYFTTFPSNFQETYLSPTNVIEWAKGWK -HNTDNIAWGSLKIQEDAPETLFKTTKLISDLINSVTFQSSEHTWLTKHLNNNLKVVGCCPGNLITKHIVK -GRCPMFQLYLTTNDDAKQFFQPLLGHYGKSMLNKQAYVKDFTKYSSIIETGSVDTDVFEASINDVVDILR -KGGMDQCNYVTDTMEIINSLNMKAAVGALYGGKKKDYFADYQESDYDKILEASCKRLYLGKMGVWNGSLK -AEIRSIEKIALNKTRSFTAAPIETLLGGKVCVDDFNNKFYSCNLSIPSTVGITKFYRGWNNMLTALPDNW -IYCDADGSRFDSSLTPYLLNAVLSVRLEFMEPWDIGEKMMSNLYTEIIYTAIATPDGSVIKKFKGNNSGQ -PSTVVDNTVMVMLSVQYALRKCGIMLDKQTEVIKYFCNGDDLLIAIHPDFESILDKFQQYFHELGLDYDF -SSRSKSKEDVYFMSHRGLLGDGIYIPKLDKERVVSILEWDRADKPEHRLEAICASMIEAWGYPDLLHEIR -KFYQWLLEQAPYNVIAQNGKAPYIAETALKKLFTNIDASEVELEKYYEVYMDLENEEEIPKEVRYQAGEG -EDAAAQSSTSQQVTKQKDKDVDTGTTGKFTIPRIKALSDKMRFPRVGKTVVLNAEHLLAYKPEQIELYNT -RSTKQQFENWYNAVKKDYDVNDEQMKILLNGLMVWCIENGTSPNLSGNWTMMDGDEQVEYPLAPIVDNAK -PTFRQIMAHFSDAAEAYIEYRNATEKYMPRYGLQRNLTELSLARYAFDFYEMTSKTPKRAKEAHMQMKAA -AIRGATNRLFGLDGNVNTTEEDTERHTAADINKNQHTLLGIKM - ->NP_734132.1 coat protein [Scallion mosaic virus] -AGPEELDAGLEEERQRKTRDLRGKFINSGDDPQETSQQVSRDKDINAGTQGTFSVPRLKSKVSKMSLPKY -KGKPALNLEQLLLYNPNQVDISNTRATQAQFNTWYEGVKEEYDLDDVKMQTILNGLMVWCIENGTSPNIN -GMWVMMDKDEQIEFPIKPLIDHAKPTFRQIMAHFSNVAEAYIEMRNQTVPYMPRYGLQRNLTDMSLARYA -FDFYEMTSKTPIRAREAHIQMKAAALRNSKNNLFGLDGNVGLTEENTERHTTEDVSRNMHSLLGVRGM - ->NP_734102.1 coat protein [Leek yellow stripe virus] -AGEEFDAGAQANKNQKSGADKAIEQRNPSTSQASTHGKNDSSSSELSMGKDKDVNVGTTGTFSVPRIKQI -SQKGIAIPMDGERSILNLDHLLHYKPSQLCISNTRATRTQFMAWKARLQDEYGVTASEMSIILNGLMVWC -IENGTSPNINGVWTMMDGEEQVEFPLRPVVEHAQPTLRQIMAHFSALAEAYIEMRNSEQAYMPRYGLQRN -LTDMSLARYAFDFYEVTSRTPVRAREAHAQMKAAALRNSRPRLFGLDGNVTTMDEDTERHTAHDVNARMH -HLDGAHMQ - ->NP_734232.1 coat protein [Japanese yam mosaic virus] -SGDDTLDAGTSAPSKARKQESASSTQGIAPTMEGFEPADDPTGKSKQQTVINLEKDVNVGTVGTFAVPRL -KGLATKMSMPRVRGKAAMNLDHLLVYNPEQVDLANTRATRKQFDTWYDGVKRDYELDDSSMQIILNGLMV -WCIENGTSPNINGMWVMMDGEEQIEYPIKPLIDHAKPTFRQIMAHFSYVAEAYIEKRNQEKAYMPRYGLQ -RNLTDMSLARYAFDFYEVTSKTPARAREAHIQMKAAALRGVQNKLFGLDGNVSTMEENTERHTAEDVNRN -MHSLLGVRGV - ->NP_734407.1 coat protein [Johnsongrass mosaic virus] -SGNEDAGKQKSATPAANQTASGDGKPVQTTATADNKPSSDNTSNAQGTSQTKGGGESGGTNATATKKDKD -VDVGSTGTFVIPKLKKVSPKMRLPMVSNKAILNLDHLIQYKPDQRDISNARATHTQFQFWYNRVKKEYDV -DDEQMRILMNGLMVWCIENGTSPDINGYWTMVDGNNQSEFPLKPIVENAKPTLRQCMMHFSDAAEAYIEM -RNLDEPYMPRYGLLRNLNDKSLARYAFDFYEINSRTPNRAREAHAQMKAAAIRGSTNHMFGLDGNVGESS -ENTERHTAADVSRNVHSYRGAKI - ->NP_734318.1 coat protein [Sweet potato feathery mottle virus] -SSERTEFKDAGANPPAPKPQNIPPPPTITEVTDPEDPKQAALRAARAKQPATIPESYGRDTSKEKESIVG -ASSKGARDKDVNVGTVGTFVVPRVKMNANKKRQPMVNGRAIINFQHLSTYEPEQFEVANTRSTQEQFQAW -YEGVKGDYGVDDTGMGILLNGLMVWCIENGTSPNINGVWTMMDGDEQVTYPIKPLLDHAVPTFRQIMTHF -SDVAEAYIEMRNRTKAYMPRYGLQRNLTDMSLARYAFDFYELHSTTPARAKEAHLQMKAAALKNAKNRLF -GLDGNVSTQEEDTERHTTTDVTRNIHNLLGMRGVQ - ->NP_734335.1 coat protein [Tobacco vein mottling virus] -SDTVDAGKDKARDQKLADKPTLAIDRTKDKDVNTGTSGTFSIPRLKKAAMNMKLPKVGGSSVVNLDHLLT -YKPAQEFVVNTRATHSQFKAWHTNVMAELELNEEQMKIVLNGFMIWCIENGTSPNISGVWTMMDGDEQVE -YPIEPMVKHANPSLRQIMKHFSNLAEAYIRMRNSEQVYIPRYGLQRGLVDRNLAPFAFDFFEVNGATPVR -AREAHAQMKAAALRNSQQRMFCLDGSVSGQEENTERHTVDDVNAQMHHLLGVKGV - ->NP_734206.1 coat protein [Tobacco etch virus] -SGTVDAGADAGKKKDQKDDKVAEQASKDRDVNAGTSGTFSVPRINAMATKLQYPRMRGEVVVNLNHLLGY -KPQQIDLSNARATHEQFAAWHQAVMTAYGVNEEQMKILLNGFMVWCIENGTSPNLNGTWVMMDGEDQVSY -PLKPMVENAQPTLRQIMTHFSDLAEAYIEMRNRERPYMPRYGLQRNITDMSLSRYAFDFYELTSKTPVRA -REAHMQMKAAAVRNSGTRLFGLDGNVGTAEEDTERHTAHDVNRNMHTLLGVRQ - ->NP_734368.1 coat protein [Potato virus A] -AETLDASEALAQKSEGRKKERESNSSKAVAVKDKDVDLGTAGTHSVPRLKSMTSKLTLPMLKGKSVVNLD -HLLSYKPKQVDLSNARATHEQFQNWYDGVMASYELEESSMEIILNGFMVWCIENGTSPDINGVWTMMDNE -EQVSYPLKPMLDHAKPSLRQIMRHFSALAEAYIEMRSREKPYMPRYGLQRNLRDQSLARYAFDFYEITAT -TPIRAKEAHLQMKAAALKNSNTNMFGLDGNVTTSEEDTERHTATDVNRNMHHLLGVKGV - ->NP_734428.1 coat protein [Pea seed-borne mosaic virus] -AGDETKDDERRRKEEEDRKKREESIDASQFGSNRDNKKNKNKESDTPNKLIVKSDRDVDAGSSGTITVPR -LEKISAKIRMPKHKGGVAISLQHLVDYNPAQVDISNTRATQSQFDNWWRAVSQEYGVGDNEMQVLASGLM -VWCIENGTSPNINGMWTMMDGEEQVEYPLKPVMDNARPTFRQIMAHFSDVAEAYIEKRNSTEVYIPRYAL -QRNLRDPSLARYGFDFYEITAKTPVRAREAHFQMKAAAIRGKSNSLFGLDGNVGTQEENTERHTAEDVNQ -NMHNLLGMRAM - ->NP_734152.1 coat protein [Maize dwarf mosaic virus] -AGENVDAGQKTEAQKEAERKAAEENKAKEAEAKQKETKEKTTEKAGDGESTGKDKDVDAGTSGSVSVPKL -KAMSKKMRLPQAKGKNILHLDFLLKYKPQQQDLSNTRATRAEFDRWYEAVQKEYELDDTQMTVVMSGLMV -WCIENGCSPNINGVWTMMDGDEQRTFPLKQVIGNASPTFRQIMHHFSDAAEAYIEYRNSTERYMARYGLQ -RNLTDFSLARYAFDFYEISSRTPARAKEAHMQMKAAAVRGSNTRMFGLDGNVGETQENTERHTAGDVSRN -IHSLLGVQQGH - ->NP_734172.1 coat protein [Clover yellow vein virus] -SDKEKLNVGEQQKSKDKESRQRDQEGENSNRQIIPDRDINAGTTGTFSVPKLKKISGKLSLPKIKGKGLL -NLDHLLVYVPNQDDISNNIATQEQLEAWHEGVKNAYEVDDQQMEIICNGLMVWCIENGTSGDLQGEWTMM -DGEKQVTFPLKPILDFAKPTLRQIMAHFSQAAESYIEFRNSTEKYMPRYGLQRNLTDYGLARYAFDFYRL -TSKTPARAREAHMQMKAAAIRGKSNHMFGLDGNVGTDEENTERHTANDVNRNMHHIAGARF - ->NP_734163.1 P1 protein [Clover yellow vein virus] -MAQIMIGSIMVPLNKESSVSESNINISSIIPKTKTSTHIEAGLKNMSFNLQPTTDPFKAITLACKERVAK -FGDMVFKTRRGITTMVPKPARLVEEEKRAAEALKRFMEVEWTLEEIDPDAEYQVPDLRQRGSQVSFKSPY -HKRTPKTAEKLKVIKPVQGSKKIQHVTNALLKIVKNNNLILEVADRNKKANHATFSKYGSTYGMHIIVNH -MVRKRRSVDVRLNGLMASIAKQEAIGFEKLNVSTLREGHSGLVLQTKTVPNCHFNNDDITIVRGVIKSHG -VPCLVDARQNLNHQQLSRIREF - ->NP_734182.1 coat protein [Bean yellow mosaic virus] -SDQEIFNAGETKKDKARKNEENPDKNSEGQSSRQIVPDRDVNAGTVGTFSVPRLKKIAGKLNIPRIGGKI -VLNLDHLLEYNPPQDDISNVIATQAQFEAWYNGVKQAYEVEDSQMGIILNGLMVWCIENGTSGDLQGEWT -MMDGEEQVTYPLKPILDNAKPTFRQIMSHFSEVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFY -KLTSKTPVRAREAHMQMKAAAVRGKSTRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI - ->NP_734173.1 P1 protein [Bean yellow mosaic virus] -MTTINIGTIPVVINQNANTQMGEGTKNIFPFVKDFIDPFADLEMRCAERVKRMGELCFSKKGRYITMIPK -PDYIKTREKEQREEELNFQNSEHVLSSIGTTCTPEHYSSRNNGMQVSFKTQHYKRTIRKPRIQAKKRDLK -GQHTIHYVAKELLSIVKKRDMVLEVADKRKHANFATFRRYGKTYGMHIALNHMVRKRRRVDVTLNKLMTE -IAMHCAIPFECLDTLTLRKGHSGLVLQTETVPNVHKIKSKITIVRGVVNEGNIPVLVDARKKLSGKDMST -IREF - ->NP_659729.1 polyprotein [Potato virus A] -MATQVIMVGEFKILEVNCKPHAPVAAIHVPTQTPKTNDIKWADLEFTLAKSLQRQAHGVVKVDKHGTARI -KRASKHHMSCLEQQMADEVAEKEAFMAAPTQLVTSIIFAGTTPPSMMETETIVKKIHTVGKRAKVMRKRS -YITPPTDKSLRNHGVTPYSVQQLCRTLGNLSKRTGISLEVVGKTSKATKLRFTKTSFGHMARVQLKHHDG -RMHRRDLVVDTSTTTIMQTLFLKTARTNANLDVLTHGSSGLVFWNYLVTGQRMRTRDNFIIVRGRCNGIL -VDARAKLSESTMLSTHHYSTGDVFWRGFNRTFLENKPINLDHVCSSDFSVEECGSIAALICQSLLPCGKI -TCRACAAKNLNMDEDTFKEFQTQRAREISAVIISEHPNFACVSQFIDRYFSHQRVLNPNVNAYREILKIV -GGFTQSPYTHIQELNEILVLGGRATPEQLGSASAHLLEITRFVRNRTDNIKKGSLALFRNKISAKAHVNT -ALMCDNQLDRNGNLIWGERGYHAKRFFSNYFDIITPGGGYKQYIERRVPNGIRKLAIGNLIVTTNLEALR -EQLEGESIEKKAVTKACVSMSDNNYKYPCCCVTLDDGTPLYSTFIMPTKNHLVIGNSGDPKFLDLPADIS -TQMYIAKSGYCYINIFLAMLVNVDESDAKDFTKKVRDIIVPDLGEWPTLIDVATSCSLLSAFYPATSAAE -LPRILVDHDLKTMHVIDSYGSLNTGYHVLKANTIRQLIQFASNSLDSEMKHYRVGGTSNSQINGYATIKM -LAKAVYRPKLMKEIIHEQPYMLVMSLMSPGILIALANSGALEMGIHHWIREGDSLVKMAHMLRTVAQNVS -VARATWVQQEIISDSAQQMLETILNGTIPNVSYFQAIQYLTMLAASKEVDAEVRVTGYYTFKLQTSELLE -KTYLSLLEDSWQELSYFGRFQAIRHSRRYCTAGTIVVKPERHVDLGGIYATSYQFALAKQMEYSKKAVCQ -AVNGLQARFNNITSQIYCKILNWPKRLFPDLVKFINTMLAITVALQLYIAFATILRHHQQCKQDSLELEY -CKKERQLITLYDFFIAKQPYATEEEFMAHVDEQNPDLSNFAREYCAEVVLFQAKASEQVNFERIIAFISL -VLMMFDRERSDCVYRSLTKLKSLMSTVENTVQFQSLDDIGPTLEEKNMTIDFDLDTDTIVGKSIIGHTFK -EWWDVQLNTNRIVPHYRTEGHFMEFTRANAPTIAHQIAHDLHTDIMLRGAVGSGKSTGLPYHLSKKGTVL -LLEPTRPLAENVTKQLKSDPFHVSPTLRMRGMAVFGSTPIHVMTTGFALHYLANNLKMLSTYDFIIIDEF -HVHDSNAIALRNLLHEHNYQGKLIKVSATPPGREVEFSTQYPVEIRVEDQVSFQDFVKAQGNGSNLDLTS -KCDNLLVYVASYNEVDQLSKLLLERHFLVTKVDGRSMKLGQVEIITKGSANKKHFIVATNIIENGVTLDI -DAVIDFGMKVVPFLDSDNRMISYNKVSISYGERIQRLGRVGRNKAGVALRIGHTEKGISDVPVVIATQAA -FLCFVYGLPISTQSVTTQVLSNVTLKQARTMVQFELPIFYMAHLVRYDGTMHPAIHNELKKYKLRDSEIQ -LSKLAIPSKCVPIWMTGKAYRLLTHNSQIPDDVRVPFLTKEIPDKLHENVWAIVEKFKCDAGIGRMTSAQ -ASKVAYTLETDIHSVQRTILIIDQLLEREMQKQSHFEMVTNQSCSSGMLSLQTMMNAIQSRYAKNHTAGN -IEILQRAKAQLLEFSNLSGDISTESALREFGYLEAVQFQSGTQVSNFLGLEGHWKKSLITKDLLIVGGVC -VGAAWMIGEYFFKKSKGVVAFQGYNKRQRQKLKFARARDEKMGHYVEAPDSTLEHYFGSAYTKKGKTKGK -THGMGKKNHRFVNMYGFDPSDYTFIRYVDPLTGYTLDESPYTDIRLIQSQFSDIREQQLLNDELERNMVH -YKPGVQGYLVKDKTSQILKIDLTPHIPLKVCDATNNIAGHPDREGELRQTGKGQLLDYAELPQKKESVEF -ESTSMFRGVRDYNPISSVICQLENESEGRTTQLFGLGFGPFIITNQHLFVRNNGSLTVRSQMGVFKVNST -VALQMRPVEGRDVLIIKMPKDFPPFPQRLKFRQPTHSEKVCLILTNFQQKSSSSMVSETSHIIPKENTYF -WKHWISTKEGHCGSPIVSTTDGAILGIHSLSNMTNTSNYFACFPKGFTETYLATESVHEWVKGWKFNANN -VCWGSFHLQDSKPTKEFKTVKLVTDLLGEAVYTQGCDSKWLFNAAHTNIQAVAQLESNLVTKHTVKGKCK -LFETYLNVDKAAHDFFSKYMGFYKPSKLNREAYTQDLMKYSKVIQVGEVDCGVFESALTGLLHNLGRWGF -TTACYTTDEDSIYTALNMKAAVGALYRGKKRDYFDAMSPSEREHLLFLSCKRLYFGQLGVWNGSLKAELR -PKEKVDLNKTRTFTAAPIETLLGGKVCVDDFNNMFYSLHLKAPWSVGMTKFYGTWNQLMCKLPDDWVYCD -ADGSQFDSSISPYMINAVLRIRLHFMEDWDIGSQMLQNLYTEIGTHQSQHQMAQLLKKFKGNNSGQPSTV -VDNTLLVVLALHYALLKSGIPLEEQDSVCAYGVNGDDLLIAIRPDMEHKLDGFQALFSELGLNYEFNSRS -KDKKDLWFMSHKAIQCGEILIPKLEEERIVSILEWDRSHEPIHRLEAICASMVESWGYPELTHEIRRFYA -WVLEQSPYNALATTGLAPYIAESALKTLYTNVHPTSTELEKYSIQFDEQMDEEDDMVYFQAETLDASEAL -AQKSEGRKKERESNSSKAVAVKDKDVDLGTAGTHSVPRLKSMTSKLTLPMLKGKSVVNLDHLLSYKPKQV -DLSNARATHEQFQNWYDGVMASYELEESSMEIILNGFMVWCIENGTSPDINGVWTMMDNEEQVSYPLKPM -LDHAKPSLRQIMRHFSALAEAYIEMRSREKPYMPRYGLQRNLRDQSLARYAFDFYEITATTPIRAKEAHL -QMKAAALKNSNTNMFGLDGNVTTSEEDTERHTATDVNRNMHHLLGVKGV - ->NP_659391.1 polyprotein [Sorghum mosaic virus] -MAGAWKTVSHKWKPDLDSPRDVRKVMEHFAAKHQTYDAKRAEAHNAKRLRRTFILETSDEIPKRAPIKKQ -VYVEKEDHNPTQHLKYEGFDVIKNKRVEKPVTTSVTKLIKDLLKLNQETNINIELVGKKHNSTTRLSLVK -KDKKVYLHCKTRHKMGNYKRKVTNIQAHWESYLTAVSKCYGSLSHKDEQRIRKGDSGITYIRNGALFIIR -GKHQGKIINSIEEVNFINEIDHFSDPQANDFWRGYTDAYVANRAISTTHTEHTPTINLEKCGKRMALLEI -LLHSTLKITCTHCNTDDLELSDDEFGDKLFKTLVRIEEKQAEYLAEDQKLKRMITLLKERCNPKFEHLPL -LWQVAETKGHYTDNQSKQIMGVAEALIRVNTLKVEEAVKASASLLEISRWYKNRKESSKEDTLGSFRNKI -SPKSTIKMALMCDNQLDSNGDFLWGKREYHAKRFFTNYFEAVESKDTYDKHVTRFNPNGQRKLFIRKLVI -PLDFQKIRDSFVGIQVQKQALSKACLRKIENNYICPCCCVTTEFGQPVYSEIIPPTKGHITIGNPTDPKI -VDLPNSDPPMMYIAKDGYCYLNIFLAAMINVNEDSAKDYTKFLRDELIERLGKWPKLKDVATACYALSVM -FPEIKNAELPQILVDHEHKTMHVIDSYGSLSVGFHILKANTIGQLIKMQYESMESEMREYVVGGTLTHNT -FSSLMKLLIKNMFKPQEMKKIIEEEPFLIMMAVTSPTVLIAMYNNCYLEQAMSYWIVKNQGVAAIFAQLE -ALAKETSQAELIVQQMSILEKASTQLRFAVSGISHVDPAKRLLWSHLEAMTTRSEMNRELIEEGYALYDE -RLYSLMEKSYVDLLNQAWADLPLHSKLYSIWRVYEVKKYYKPCLVLKRGVDLGAMYNISATHQISSLVQK -SRDQVSSISTKLHHSLCNKIQSMQRRAISTIYWFVPDIFRLIHIFIILGLLSTIANAVILTMQDYKKLQK -QVREEEYEREVSEVRSIHAKLMKIHEDELTSEQFIAYIRENHPRLEEATLDLTGTGVIHEAKSNFETNLE -QAMAVGTLLTMVLDPIKSDAVFKVLNKIKTCINTYEQSATFPTVNFSSLLGTQVTHQSVELDDPLTLNTD -KQLTIDFDTTQDLPADTFSNDVTFEQWWSNQLENNRTVPHYRIGGEFVEFTRQNAATVSIGIAHNRIEKE -FLLRGAVGSGKSTGLPYHLSQRGKVLLLEPTRPLAENVCRQLQGPPFNVSPTLQMRGLSSFGSTPITIMT -SGFALHMYANNPDKISNYDYIIFDECHIMEAPAMAFYWLLKEYNFDGKIIKVSATPPGRECEFSTQYPVD -IHVCENLTQNQFVLELGTGSKADATKYGNNILVYVASYSDVDSLARALTEHHYSVIKVDGRTMKQNTTGI -HTNGTDARKCFVVATNIIENGVTLDVDVVVDFGLKVSAELDVDNRAIMYRKVSISYGERIQRLGRVGRTK -PGTIIRSGVTMKGLQEIPAMIATEAAFLCFAYGLKVITHNVSTTHLAKCTVKQARTMMHFELSPFIMSEL -VKFDGSMHPQIHEKLKKYKLRDSVIMLRTNAVPYSNVHNWLTVKDYNKIGCNLELGEYIKIPYFVRGVSE -KLYSEIYDIVLKYGSTNCYGRLSSACAGKVAYTLRTDPYSLPRTIAIINRLICEEHAKREHYNTMISNPS -SSHAFSLSGIVNMLASRYMKDHSKENIEKLTRVKDQLMEFQCSGSDFKNPEDLMEFGALTTVIHQGLDAT -ANCLQLEGRWNQSLIQRDLMISAGVFVGGALMIWCLFRKWSEMKVCHQGKNKRSRQKLRFKQARDNKTAY -DISGSQEAIGENFGTAYTKKGKNKGTKVGLGVKQHKFHMMYGFDPQDYNLIRFVDPLTGATLDEQIHADI -RIIQEHFADIREEAINNDQLERQHVYANPGLRAFFIQHGSSNALRVDMTPHEPLRVVTNNNIAGFPEYEG -TLRQTGRPIVVSINQVPEPNEVEVEHESKSMMVGLSDYTPISNQLCIIENHSNDVRQCMYAIGYGSYLIT -PAHLFKYNNGEITIRSTRGLYKMRNSIQVKIHPIECRDMTIIQLPKDFPPFPQRLKFEMPNRDHRVCLVG -VNFQQNFSSCVVSESSVVAPKGNCTFWKHWISTTDGQCGLPLVDVINKSIVGIHSLAATNSKTNFFVTIP -DNFEAYLHNLVTMNKWEMGWHYNPNLIAWNGLNLVDSAPSGKFKTAKLVKDIMCEVTEQGLMHETWLTND -IKDNLQVVAKCPGQLVTKHVVKGQCPHFSLYLSTHEDANTFFKPLLGKYDKSRLNKAAFIKDLTKYAKPT -YIGEVDPKTFQKAVDRVKEILWKVGMQTCNYITDEEEIFKSLNMNAAVGALYTGKKKDYFMDFSDTDKEE -ILKQSCERLYEGQLGVWNGSLKAEIRPIEKTEANKTRSFTAAPLETLLGGKVCVDDFNNQFYSHHLEGPW -TVGITKFYGGWDRLLNKLPEGWVYCDADGSQFDSSLTPYLINAVLDIRLHFMEDWNIGERMLKNLYTEIV -YTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVIIAFNYTMLSCGIEADMIDEICRMYANGDDLLLAIRP -DYEHLLDDFSKHFSDLGLNFDFTSRTRDREELWFMSTRGIKIDGMYIPKLEQERIVAILEWDRSLLPQYR -LEAICAAMVEAWGYPQLLHEIRKFYAWILEMQPFATLAKEGLAPYIAETALRNLYTGAGIKEGELDIYYT -QFIKDLPEYVEDELIDVFHQAGGGTVDAGANTADATAQAQREAAAKAQQDADAKKRADDEAAEKQRQDAA -AKKKADDDARAKADADAKKRADDEAAQRTQNQKDKDVDAGTSGTVTVPKLKAMSKKMRLPQAKGRNILHL -DFLLGYKPQQQDISNTRATRAEFDRWYAAVQKEYELDDTQMTVVMSGLMVWCIENGCSPNINGVWTMMDG -EEQRTFPLKPIIENASPTFRQIMHHFSDAAEAYIEYRNSTERYMPRYGLQRNLTDFNLARYAFDFYEITS -RTTARAKEAHMQMKAAAVRGSNTRMFGLDGNVGESQENTERHTAGDVSRNMHTLLGVQQHH - ->NP_659009.1 polyprotein [Leek yellow stripe virus] -MTKATFFVRNVNYGNARASALSEEDKIAQRREILGNILDRLQTNNQAPSYVFGATGYGKVQNSKALCAKR -MGARYNWDDDVYECTTCSGAFQTKLDFKEHDCDEENEDIDMTPENFSLKAEEFPNLQADIISEPKNEDAV -VFFGSFETPVPLVSATKLNEAPIIHECQQELINVSNDKLEQGEVSVSKSIEETHVAEHKKPDPSLVSLQS -RQRTKHASKKMSGNSFPELIQHLTRIVHDRQLDVHFVGKRRLDGRCVRNNGKSYLQLQTKHTLGLKRKVD -CHIPKGLEHIIHAIAKGSGYKARTHISDIKKGWSGFVLQPKNIIGRIGKHMHDFFVVRGSSRGKLVDACA -RINVNETKLEYFSAGKIIWKSFEDEFVKQRPDIKHKCTSDIEVEKCGVLAATLVQLFHPCGKITCMECIA -DATNKSSVEYITDLLTRLPLAREKVEELNAFPQVLNLLTLLEANFAKPSYNSEVYDLVLQSIGHIKTQPF -DKLNDMNSLLVNHHGSISSPAIQRLQDDLLEVVRYTKKRTSSIDRGELQHYRNKIASKTHFNLDLMCDNQ -LDKNGNFIWGERGYHAKRFFLNYFDVIDPTANYSNHIVRRNPNGSRKLATGRLIVSTNFEAYRENLRGDK -VHTHQITEECVSREGRNFVYSCSCVTHEDGSALESRVILPTKNHLVLGNSGDPKYVDLPIDTELTLYIVK -EGYCYINIFLAMLVNVREYTGKPYTKMVRDVLVEKLGKWPTMMDVATACSFLTVFYPDTITAELPRILVD -HGSRSLHVIDSYGSLDTGFHILKANTVNQILHFASMDLNSELKHYRVGGKTTDVHYVDVSPKLDMTTQTL -YMLITSIYKPTRFKQIIEQEPFTLVLSVLSPAVMRAMLNNESFERSISYWIHRDRSITQIMALLQTLAKR -IPVSNSLQDQMQFLENNVEILHRVLEKTNHTMHSRVLAGDVVRALYNKSLTDKSLLEEGFMNTMDFSREI -YEKNYQDHLQEQWQEQPLSQKLSSIIATAKYYLRSVGQTKLVAPDLGGKAHAYTKRSLGVIAKTGNAVRE -SAQRCCSTWYYSIFRHVFFYSLHSIRRLCPDLLTYCSVVGVFYYMVSLFIKIKSYLDVHKSLKELKAASE -FNKKVKHLDYLYGQLCVKLNGPPSESEFLEYINRKQPSLDQIAKLETSLGLPRMEFQAKGDFQHLEKMIA -LLVLLTMLFDANRSDAVYKILNKFKGVMSSIDKEPMLHQSLDDIQDIIEEKNLTVDFNLTDADPTINRIP -GATFSQWWKNQIERNRMLPTYRSGGHFLEFTRANASSVASTIAHEEHREILIRGAVGSGKSTSLPFHLHT -KGSILLLEPTRPLAENVYKQLKGAPFFTNPTFKMRGLTQFGSSPITVMTSGFAFHYYANNVNQLKDFDFV -MFDECHCFDAQAMAFFCLLKEHEYGGKILKVSATPPGREVEFTTQFPVDVRIEGHLSHQQFVNNLGTGAN -SDILSCGDNILIYVASYNEVDQLSKLLMDRKFKVSKVDGRTMKSGKIEIITEGTSTNKHFVVATNIIENG -VTLDVDVVVDFATKVVPELDEDAHMIRYNKKSISYGERIQRMGCVGRHKRGTVLKIGETEKASWRVPPCI -ATEAAFYCFAHGLPVISDGVSTSVLENCTVPQARTMMQFELSIFFMFHFVKHDGSMHPMIHDRLKQYKLR -DSEIVLNKTAIPHRGLTAWPTVEEMKRHGCSISQPDDVRLPFYIKDVPDKLYGDLYEVVKKYNGDACFGK -IRGMSACKIAYTLQTDPASIQRTIKILDKLYESELQKKAYFANVTSSSCSSFNYALTTITNAIRARHMQD -YTTENLSVIQNAKSQLLEFNNIRTPKMTEDALVDYGALECMMYQSENEMSDFLGLKGRWNKSVIIKDIVL -ASVTAVGGVLMMYEYVKGKLEEPMDYQGKNYRQRQKLRFREAHDSKHAYEIHGDDAQLQTYFGNAYTMKG -KKSGNTVGAGRKMHRFYNVYGFEPTDYSFARYVDPLTGATLDESTVTDLSLVQDHFGTIRNQMRQSGDLE -PDQISRNTTVECYYVNDLAKKVLKIDLTPHNPLRVSGRSNNVMGFPERTLDLRQTGAPVAVSYNQLPPSK -RDVGSFEFEGKSLLSGLRDYNPVAACVCKITNESDGVITHIFGIGYGPFIITNQHLFRRNNGLLRIYTHA -GEYLINNACTLKMHPIPERDIIIIRLPKDFTPFPQRLRFRPTRVGEHVCLVSSNFQTKSISSVVSETSAT -AGTANKNFFKHWITTKHGQCGNPLVSVTDGCVVGIHSMASTVSSMNMYVGFPENFVDDYLSNDLLEWTKG -WKLSADRSCWDGITLVDSKAEGLFKLTKEMFTLDDGQWEFQSGNKDWMYNKLEGNLKAVGRTSGNLVTKH -SVKGKCMLFQTYLSVEPGEAEYFTPLMGAYLKSALNKEAYIKDLSKYSGEISVGNVDCDVFERAFDKVIT -LMESKGFHECAYITNEHEILASLNMKAAVGALYSGKKREYFADFSNDDKYEIVKESCKRLFLGKMGVWNG -SLKAELRPIEKVMANKTRSFTAAPLDTLLGGKVCVDDFNNQFYSRHFDLPWTVGMSKFRKGWDTLLRKLP -ENWVYCDADGSQFDSSLSPYLINAVLRLRLHFMEDWDVGETMLKNLYTEIVYTPIATPDGTIVKKFKGNN -SGQPSTVVDNSLMVVFSMYYAMEMSNIVDIHNKCVFFVNGDDLIIAVEPGSEIFLDSLQNLFHQLGLNYS -FDSRTRNKEELCFMSHMGLLQDGIYIPKLDKERIVSILEWDRAQQPEHRLEAICAAMIEAWGYPDLLDRI -RKFYCWILDQAPYSELSTIGKAPFISEAALRNLYTDCKATEFELAKYLELYDSDAPPDETFEYQAGEEFD -AGAQANKNQKSGADKAIEQRNPSTSQASTHGKNDSSSSELSMGKDKDVNVGTTGTFSVPRIKQISQKGIA -IPMDGERSILNLDHLLHYKPSQLCISNTRATRTQFMAWKARLQDEYGVTASEMSIILNGLMVWCIENGTS -PNINGVWTMMDGEEQVEFPLRPVVEHAQPTLRQIMAHFSALAEAYIEMRNSEQAYMPRYGLQRNLTDMSL -ARYAFDFYEVTSRTPVRAREAHAQMKAAALRNSRPRLFGLDGNVTTMDEDTERHTAHDVNARMHHLDGAH -MQ - ->NP_620483.1 polyprotein [Cocksfoot streak virus] -MATSTMMVFGDFVTVVRQRGAVVKSKCLGIRCPGSDYMATLPNPTAGQMVRSLNIASKAMLDDYSKTTAA -AFATLRNVEAKAKARHEESRICSVRKGVFGYKPYTKNFLRKRSLQQKQREDEEKWFQSQPDSIVGRIISY -EQPQLIEEDTPRVVWPRALKKPRIASTPKKLLWNECGDELMKAVQPTSYRTLEVIGNKRRQTHRISLKRV -NWKSVFKVETRHETGLKVGLDPPTSAFQNHILNRLLRITPRLSIREIENLTKGSSGYLIDDPDDYAARVP -FVVRGRYNSILLPSTQILPRIVMNGMVEYSQGEEFWAGFNEAFVAKRKNPTHAGECRARDTVRQCGEAAG -ILWQGMFPCFKITCGACQDQVGVTADDIVKGELIDNLFEAATNLDRLRIQPNISGTLNAVATRLQPTQDH -VQVCQQIIQAIGDDNDGPARHLRLATEKFITLQVSDAEKCIDISKNLLLVANFIKNRRDGLVRGDVKEFK -NKISNKAHVNPVLMCDNQLDANGNFVWGERSHHAKRFFDNFYMQVNVADDYGAHVLRRHPKGSRRLAIDR -LTLQLDLKALQEHVIGYPIERAPITSACISKKYGAFVFTCSCITLDDKTPLYSDIIMPTKQHLVIGNSGD -SKLIDFPETYRDKLWIARDGYCYVNIFLAMLVNINEVDAKNFAKTIRDRVIPQLKEWPTMRDLAASLHML -TVLFPDTRQAELPRILVDHRNKMMHVVDSYGSSDIGFHILKAGTVSQLLPFMFDSTEGEMKNYQVGGTLE -NLSVMKAVQVLIKSPWRPKLMNLVLTQEPFLIALAVVSPSVLVAMHTSGTFELAIERWLEDNQDIAVLFS -TLNSLAEKVSRSRFLVDQMHMIEESCEELRRLAWDIKFTSHSKPLLMSQLEVMCNRRIADGELTGLGFKV -YNQDTYDRIEKKYINDIRDSWFALSLCAKFRYRWYAWRLHRYFTNKSLLSDMGDFSVSAYASVQDSGVRF -REGITRRLANTYDASTRACIDGTRFIMRRAVGAVAYCIPDILHMINTLIVVSLILAIAHQSVIFIADYKR -LKQEQAFVQFQKETDEVAAVHALLTNKLGTPPSEEEFIDHITDTYPALKSTAMLMTYPMVKHQAKTHAEA -KLEQIMARMALAAMMFDAQRSDAVFKVLSKIKTVLTSAGQSVHHQMSTIDDSSDVTAEKLLTIDFETEHE -APPTKATFDVTFSDWFDRQLREGRTIPHYRQGGTFLEFTRATSVQAANTISHDPDIREFMIRGPVGSGKS -SSLPYELSKKGHVLIIEPTRPLVENVCAQLRQDPFFQDPTKRMRDNCTFGSSNITVMTPGYALNLLGHNV -DKLQNYKFIIFDECHVIDASTIAFYCLLHEYTYPGKIVKVSATPPGRESEFHTQHKVDIIKQESLTFDEF -ATAQGRGTKVDMTKHGDNILVYVASYNDVDALGKLLIERGYTVSKIDGRTMKSGNVKVETRGTSIRKHFI -VATNIIENGVTLNVDAVVDFGLKVTAELDSDMRTIIYKKTSISFGERIQRMGRVGRVKPGSGLRIGYTEK -GVADIPTMVATEAAFLCFAFGLPVVTQNASVDLLGKCTVRQARSMQLFELPPFFMVDLVRYDGVVHPEIH -TILKQFKLKESELVLNTNAMPFAVVKKWYTAEEYQRLGNRNTLEPQVKIPFFVRNIPEKVYEDIWKVVSN -EKDSCCFPKISSASACKVAYTLKRDPLTIARTLGMIEHLITQERIKHEHYNTLSTNASSSHGFTLSGITD -QLRQKYMRDHSTDNIRILEEAKNKLCEFENLDIDLSNPEMLRNFGVLNIVQHQTSSKLSTALGLKGRWDG -SLITRDIIVLGCVLIGGLLMVCEFFKWKKNEPVHHQGRSKQQSLKFRRAREQKHGYEVYGNDGAVEREFG -PAYTKKEKKKGKTHRLGKKTRPFVNMYNFDPTEYTIVRYVDNLTGETLDESIQTDITIVMEHFADIREQM -VDNRHLDPADDRENLNATAYFMHPHKESALKVDLTPHNPLLVGRRRVSIAGYPERRGELRQTEDHTFIPV -TEVPAPNSYVDHETKSIVTGLRCYDPIAKNICKIVFTSDGTISDGYGIGYGSILIVNQHLFKRNNGSIKL -HSCRGTYTIPNSTVLKISPVKDRDIALVRLPQDFVPFPQKLQFSKPDTSMRICLVGAQFQTNHVTTEVSD -ASQTFPQPNSGFWKHWISTQEGHCGLPLVDVNSRVIVGIHSLGHDVVAVNYMTSIPENFKEDYLEKLDEL -EWTKKWRYNTEAISWGGLKLSSEQPSGFFKPTKAVEPLPQFVSMQSREKRKWVADALCDNLQIVASCPSQ -LVTKHVVKDRCQNFLLYLSLHPEANTYFQPLLGKYGKSRLNKEAFVKDFTKYASPIVVGVVDCAIFEAAV -EDVKFFLHNEGFQDLEYVNDEMAIFQSLNMKAAVGALYSGKKREYFEDYTPDDKAEIIKQSCERLYNGQL -GLWNASLKAEIRPMEKVSLNKTRTFTAAPLETLLAGKVCVDDFNNWFYTKHTECPWTVGISKFYGGWNEM -LTRLPDNWIYCDADGTRFDSSLSPYLINAVLEIRLSCMENWDIGEKMLENLYTEIVYTPILTPDGSVVKK -FKGNNSGQPSTVVDNSLMVLIAMTYTLRKLGVTKEKQDSVIKFFINGDDLIIAVEPESESILDDFSKHFM -DLGLDFDFSNRVTKKQELWFMSHQGIERNGILIPKLEAERVVAILEWDRSVEPVHRLEAICAAMIEAWGN -DELLLNIRLFYQWLLGMEPFKHLASIGKAPYIAETALEHLYTGKKVATEELEKYFHAHADYMDELIQLDS -PYVSHQAGQTMNAGATPPAPPAPPTPRPQSQQPPNPAAQPVDNEPPAPQGQRDRDLTTGSSGTFTMPPPK -IFHSKMRLPMVKGKIVVNADHLKQYKPNRVDLSNARRSQAQFEAWFAKVQEAYDVTDDQMSLLMDGLLVW -CIENGTSPNLTGNWYFMNNDVQDEYPLKPVIENARPTFRQVMMHFSNLAEAYIEMRNATETYIPRYALKR -NLRDTECARYAFDFYEKTSLSPEKAIEVQLQMKAAAIRGKSTRMFGLDGNINSGEENTERHTTDDVTRDM -HSLMGVRNM - ->NP_619668.1 polyprotein [Johnsongrass mosaic virus] -MAGEWNTVVGKRNQRKTEEQRIFDAQKRFYETHQVYDAQRALLHNSRILKRNFELTNAIEKPKNDPKPIK -KVFKQVWVAKVKEILNEPKPIDIKPVQARDEVVKVDIGKFARVLLDQCKAYKVPVEIVGRKRKVVSRIQP -YLKHGKTFLKLETNHEQGRITRRDLSMPDPVKKLVLKIADKYEEPTDEDLETFSKGSSGITFKSETGMLF -VIRGRVNGVLVNALDQYEEDVKQICHYSFDAEARAFWKGFTENHTAQRREAHDHTNHEPVMSVEECGRRA -AMLENAFHQGFKITCKHCFQTFDEHSDEEVCERIHNALQRIEEQNRIFISTDQALKTSLRVLKDVTDVTR -VHHADCLEVVKTLEPTLPTPANRIVEIAKSLMKVRVSDELQMVSVGQNLLEIAKWFSKRHKAGPSDDLST -YKNKVAARGVVGNALMCDNQLDKNGDFLWGQRAYHAKRFLSNFYDIVDPSNEYDKHIIRRTPRSQRHLAI -GKLIVTMDLEKMRSRLVGLPCEPRNIAQHCVSRLNGNIIYPCSCVTQESGRPLVSELIMPTKEHISLGNL -ADPHLVDLPQSDPPQMYIVKDGYCYLNIFLAMLIYVKEDAAKDFTKFIRDRIQPMLKEWPTLKDVATACY -LTTIFYPETLQAEIPKILVDHDTKTMHVVDTFGSLTTGYHILKASTVAQLIRFSYNDLVSEMKEYIVGGD -LTHKTIVKLIECLIKGIFNKAYLQQIIVDEPFLLLLAIESPCVLINMYNNGNFETAMKYYSTRNMPLTNL -FAAMESFAKRVSKADIFAEQIHIMEQAAYDIHARMAGTYVNDPLASYVKHHLIVMMNRADMNAQLKAEGH -TIFDNRTYEIIEKNYANDIQASWQELSWSEKLRLVSQLYFTKKPRRQCIKPKEGTDLGGIYNISPTLLVS -GFHEKLHAKASSTSQKLQSMYNSVKHKFRGGILKTAYRCLPDTIKLMHVAIIMSCVSSILLSVCAAGTEY -KKYKREALREQIEREEQMVEALHAQFMLDNRFSGTEREFIARIEKNFPHLTPRALAMVKTEVEHERKGYA -ELKLEQVIAFATLLTMLYDADRSDAVYKILQKIKACTNICTQEVKHEGSQIDDVQELENLKRLTVDFNIE -QEIPPSIAVHDTTFEKWWTTQITQSRTTTHYRNKGEFIEFSRSNAIVVCNRIAHGSDSKHFLVRGNVGSG -KSTAIPRYLSDKGKVLVLEPTRPLTENVCQQLQNEPWCLDPTMQMRGKSIFGSTPITIMTTGFALHLFAN -NVERLSEFKFIIFDECHVVDSNAMAFSCLLEEYKYNGKIISVSATPPGGSEFQTEKEVDLRVFEDVSFDT -FVMEQGTGSKLDAVSVCDSILVYVASYNEVDQMSRLLNEKGYTVTKVDGRTMNGGNKTGSGLNKHIHVSL -NETLQAQIKQHGKNFIVATNIIENGVTLNVDGVVDFGTKVVADLDVDNRSIIYQKIPISYGERVQRLGRV -GRFKKGYAFRIGTTQKGIVDIPAMTATEAAFLCFAYGLPVITHNVSTTHLSHVTSAQARTMLQFELPIFM -MSELVKYDGHMHPAIHEILKQFKLRDSSISLRDTALPQNASDLWLSVGAYKKLGYRIDLPDDCKIPYYVN -GVSAKMYEQIWNAVKDFRQTCCMRRMTSSCAGEIAYTLQTDVNAIPRTLAIIDGLIKEEQIKHSHFQSIS -ANSTSSYNFSLNGIMDMLRSRYMKDHSVDNIAKLEMVKNQIIEFSNASINYRDVDFIKHFGALQTVIHEN -KENVCKELDLKGIWNEKLMCRDGIIAAGVAIGGALIGWECFKYYFMTEVEHEGKSKRTKQKLKFRDARDK -KVGREVYGDDATIEYYFGEAYTKKPKKGNKTHGMGFKTRRFTHIYGIDPTEYDMIRFVDPLTGTTLDEST -QADMALVKEHFANVRESYVENDILERHSLYSNPGVHAYFIKNNASNALKVDLTLHNPLALCRTNAIAGFP -EYEGELRQTGPSVVIPKEEVPAQNEPEVEHEGTSAIHGVANYNPISDNICLLKNDSDGKNIELYGIGFGP -YVIAPGHLFESNNGSLHIRSTRGLYKIPNTQALKISAIEGRDIILIRLPKDHPPFTRSIKFSEPDKYDKV -IMLRMNFQQNKSIVEFSESSIIAQQSASFWKHWISTKAGYCGLPLVNTRTKEDVGIHSLKATNNSVNYFT -PVNADLIGKLALDIETIQWTKGWKHNMHLLAWDGLHLRNSKPSQAFNTAKEIEVINERISNESADTWLSR -QFNENLNVVGELPGNLVTKHVVKGKCQLFQLFMSVDEQAKKFFEPLCGHYGKSLLNRNAFIKDFTKYDKP -IIVGTVKPNEFEMATKDVINMLHNLGMKDCNYVTIADEIYGSMNMKASVGALYNGKKREYFANFTDEQKE -KLMEESCKRLYCGKLGVWNGSLKAEIRPMEKILANKTRTFTAAPLETLLGGKVCVDDFNNQFYQNHLKGP -WTVGISKFYKGWDSLMRRLPENWVYCDADGSQFDSSLTPYLINAVLQIRLACMEKWDIGEKMLSNLYTEI -VYTPIATPDGKVVKKFKGNNSGQPSTVVDNTLMLILAFTYALRVNNIENFEQDDIIKMFGNGDDLLIAVR -PDFEYLLDTFKGHFADLGLNFDFSNRTRNREDLWFMSHRGMLKDGVYIPKVEPERVVAILEWDRSIEPEH -RLSAICAAIIESWGYEELTYQIRRFYQWVLEQEPYKELALQGKAPYLSETALRKLYLDESCDQSELLRYY -EEMYKNAMMSEDVVDVEHQSGNEDAGKQKSATPAANQTASGDGKPVQTTATADNKPSSDNTSNAQGTSQT -KGGGESGGTNATATKKDKDVDVGSTGTFVIPKLKKVSPKMRLPMVSNKAILNLDHLIQYKPDQRDISNAR -ATHTQFQFWYNRVKKEYDVDDEQMRILMNGLMVWCIENGTSPDINGYWTMVDGNNQSEFPLKPIVENAKP -TLRQCMMHFSDAAEAYIEMRNLDEPYMPRYGLLRNLNDKSLARYAFDFYEINSRTPNRAREAHAQMKAAA -IRGSTNHMFGLDGNVGESSENTERHTAADVSRNVHSYRGAKI - ->NP_619667.1 polyprotein [Lettuce mosaic virus] -MATLDNCTQVHHMFAYNREHGTNYTRNHFRRYLAAQRIGFYYDWDDDVYECPTCEAIYHSLDEIKNWHEC -DPPAFDLNDFITDARLKSAPVPDLGPVIVETPKVEEKQELNFFAATPAPEVLQWKCRGLQFGSFTELETS -EPVVSAPKPNCEEPARTIAKPEEPVEQETCGDGKRLLQAQMEVDKAEQDLAFAYLSASLKPRLEGRTTAT -IARRRDGCLVYKTKPSWSQRKGTKKILKVDTLACKNPYIPAVVDKISIAGGSSASVMHEQQKPKILHTTP -SRKVATHYKRTVMNQQTLTALINQVGTIILNAEKEFEVVGCRKQKVTGKGTRHNGVRLVKLKTAHEEGHR -RKVDIRIPNGLRSIVTRISARGGWHKTWTDSELSPGSSGYVLNSSKIIGEFGLRRHSIFVVRGRVYGKII -DSQSKVTHTLTHRMVQYSDVARNFWNGYSTCFMHNTPKDILHTCTSDFDVKDCGTVAALLTQTLFQFGKI -TCGKCAIEYKNLTRDELATRVNKEIDGTIISIQTQHPRFVHVLNFLRLIKQVLNAKNGNFGAFQETERII -GDRMDAPFSHVNKLNAIVIKGNQATSDEMAQASNHVLEIARYFKNRTENIQKGSLKSFRNKISGKAHLNP -SLMCDNQLDKNGGFEWGQRSYHAKRFFDGYFETIDPSDGYSKYTIRRNPNGHRKLAIGNLIVSTNFESHR -RSMVGEPIEDPGLTNQCVSKEGGAFIYPCCCVTDEYGKPTLSEIKMPTKHHLVLGNAGDPKYVDLPKEAE -GKMFVAKDGYCYINIFLAMLVDVPEDQAKDFTKMAREIAVKQLGEWPSMMDVATACNILATFHPDTRRSE -LPRILVDHATKTFHVIDSYGSITTGYHILKANTVTQLVKFAHESLESEMQHYRVGGEPDKAPRKPAGNVP -TLGISDLKNLGVESENEEHSIRPNLQRLIKAIYRPRMMRSLLTEEPYLLILSIVSPGVLMALYNSGSLER -TMHEFLQTDQRLSATAQILKHLAKKVSLAKTLTIQNAILEGGAGSLNEILDAPAGRSLSYRLAKQTVEVM -MARSDMDKELVDVGFSVLRDQKNELIEKSYLMDLEDSWRALPLCGKLSAMRVSRRWRDTSTPEAIPTGAA -DLKGRYSISVGSVSKSAILHLKGICSGAVKRVKDKWVGVQVQGVKWLAKSVHYMIPELTNILNVGTLLLT -LISLGVRFRSLTGQFKEMKYKETLAREEELRKRIRTYNSTYYEIHGKHADAKQITKFITHHDPKLLEVVE -FYEGPEEEEVEHQAKREDQANLERIIAFTALVMMMFDSERSDCVYRSLSKLKSLVSTCDDDVRHQSVDEI -IDLFDEKKETIDFEIEGKELYSSRVVDSTFSKWWDNQLVRGNTMAHYRTEGHFMTFTRETAASVAAEIAH -NEYRDILLQGGVGSGKSTGLPFHLHKKGGVLLIEPTRPLAQNVYKQLGSNPFHLSPNLRMRGACKFGSSQ -VTVATSGYALHFIANNAQSLKMFDFIIFDECHVLDASAMAFRCLLQEFEYQGKIIKVSATPPGRKLDFKP -MHMVDITTENELSIQQFVQGQGTGVNCDATKKGDNILVYVSSYNEVDMLSKMLNDKGYKVTKVDGRTMKL -GSVEVETVGTPQRKHFVVATNIIENGVTLDVDVVVDFGQKVVPILDSEHRMIRYTKKSITYGERIQRVGR -VGRNKAGSAIRIGSTEMGTEEIPASIATEAAFLCFTYGLPVMTSNVSTSVLGNCTVRQARTMQKFELSPF -FMVDLVHHDGTVHPAINSLLRQFKLKESDTKLSTLAIPNAVTTFWKSAREYNSLGARTTIDDAAKIPFMI -KDVPEHLQEKLWETIQQYKGDAGFGRCTSANACKIAYTLSVSPFMIPATINKIDALMAEERQKLEYFQTV -TANTCTISNFSISSLGDMIRSRYSTNHSRENLQKLQTVRDTIINFECQAGTSDGGTFDMETAQKLAEEYG -CIDVIYHQSKGALSKRLGLKGRWNQSLICKDLLIFCGVAIGGTWMMFQSFKDGMADVIRHQGKGKRQRQK -LRYRQARDNKMGIEVYGDDATMEHYFGAAYTEKGKKSGKTKGMGTKNRRFVNMYGYNPEDYSFIRFLDPL -TGKTMDEQVFTDISLVQDAFGKERLKLLSEGEIESEHMRNGIRAYLVKNLTTAALEIDMTPHNSCQLGTK -TNNIAGFVDREYELRQTGEARVVAPALIPKDNPITDEDIPVKHESKTLFRGLRDYNPIASAICLLTNESD -GMKETMYGIGFGNTIITNQHLFRRNNGVLRVQSRHGEYVLPNTTQLKVLPCEGRDIMVIILTPDFPPFPQ -KLKFRPPIKGEKICLVGSLFQDKSITSTVSETSVTTPVDNSFLWKHWITTKDGHCGLPLVSSNDGYIVGI -HSATSSRQTQNYHAAMPEDFHQTHLIDPVSKSWVKHWKYNPDNMVWGGINLINSTPREPFKINKLVTDLF -GDAVQFQSKQDEWFASQLKGNLKAVGKSTSQLVTKHTVKGKCMMFELYLQTHEEEKEFFKPLMGAYQKSR -LNREAFTKDIMKYSTPITVGIVDCDTFLKAEKGVIKRLEKLGFSGCEYVTDEEAIFQALNMKAAVGALYS -GKKRDYFESYGPEEKENILRESCKRLYTGKFGVWNGSLKSELRPMEKVMANKTRVFTAAPLDTLLAGKVC -VDDFNNYFYSKNIEAPWTVGMTKFYGGWNELLTKLPDGWVYCDADGSQFDSSLSPFLINSVLRIRLKFME -DWDLGEQMLKNLYTEIVYTAILTPDSTIVKKFKGNNSGQPSTVVDNTLMVVLAMTYTLHKLGFEDEEQDS -MCKYFVNGDDLIIAIKPEYESLLDQFQHCFKSLGLNYDFNSRTRKREELWFMSHCGIKKDGIFIPKLEPE -RIVSILEWDRSDQPVHRLEAICAAMIESWGYDKLTHEIRKFYKWCLDEAPYADLAKAGKAPYIAECALKR -LYTSKEASEAELEKYMEAIRSLVNDEDDDDMDEVYHQVDTKLDAGQGSKNDDKQKSSADSKDNVITEKGS -GSGQVRKDDDINAGLHGKHTIPRTKAITQKMKLPMIRGKVALNLDHLLEYEPNQRDISNTRATQKQYESW -YDGVKNDYDVDDNGMQLILNGLMVWCIENGTSPNINGTWVMMDSEEQVEYALKPIIEHAKPTFRQIMAHF -SDAAEAYIEMRNKKKPYMPRYGRLRGLNDMGLARYAFDFYETTSATPNRAREAHNQMKAAALVGTQNRLF -GMDGGGSTQEENTERHTAADVNQNMHTLLGVRGLH - ->NP_613273.1 polyprotein [Clover yellow vein virus] -MAQIMIGSIMVPLNKESSVSESNINISSIIPKTKTSTHIEAGLKNMSFNLQPTTDPFKAITLACKERVAK -FGDMVFKTRRGITTMVPKPARLVEEEKRAAEALKRFMEVEWTLEEIDPDAEYQVPDLRQRGSQVSFKSPY -HKRTPKTAEKLKVIKPVQGSKKIQHVTNALLKIVKNNNLILEVADRNKKANHATFSKYGSTYGMHIIVNH -MVRKRRSVDVRLNGLMASIAKQEAIGFEKLNVSTLREGHSGLVLQTKTVPNCHFNNDDITIVRGVIKSHG -VPCLVDARQNLNHQQLSRIREFSAGDLFWKGYNQTFIDNRPKDIGHTCTSDLNVIQCGSVMALMTLALFP -CGRITCKECVEDFHNQNNRERYARTERFLSQAMTLLAQTYPEFKHSRDILQLFRERLSMENSNAEACVET -NKAITSLTETPFNHIRKINEVLLRYGSLTNDEVGVASASLLEITRYIRNRTDSIQRNDLSKFRNKISSKT -HINLDLMCDNQLDKNANFMWGQRAYHAKRFLSNYFSVIDPSEGYDKFINRKLPNGTRELATGRLIVPTNF -ESFRDQMKGTMVDNRPIGKECVSRVKGAFCYPCCCTTDDIGNAILSEFKMPTKYHLVLGGQEAAKYIELP -SDSSGMMYIAKDGYCHINIFFAMLVNVSEDKSKDFTKMVRDQIMPKLGQWPTMLDVATACWYLTVWFPDT -LSAELPRILVDHKLSTMHVLDSYGSISTGYHVLKANIVSQLIKFASDDLESDLKFYRVGGKSLTGQVIQF -DTKMLISSIYRPRQMEKIINEEPFVLVLAMQSPSVLLALFNSASLEKAVEVWLHKDMRVSHVMTMLALLA -AKVSAAKMVNLQMEIIEASAGHFLAAMDTIHKPMHSINTANIFLMNLEEGRSTDRTIDELGFHSLKKSSQ -VLMEKIWAEDLEQQWLGLRLSQKFYLIKQSWKQRAKYSKILAQRDELGASDKFSASLRLSVTSIKNQAIS -CKKRMVITSKKCLFSVQKMVAIQALRVFKRCMSNMVDVLNVLATITLLMGILSQVRSHIKTVTSYKRVSK -EAKVQDDLYRINDYYELLKARDRYTVDEFRSKLESLNPELLETFDEYYKEPKWFVMESKSNDMVALEKVV -AFTALVMMIFDGERSDCVYKILNKLKGIISTTTQDGYKFQSLDDIKPMLEDKKGVIDFEIDEGDSKIICS -NQTTFVQWWDNQLQNGNVITHYRTEGHFMEFTRDTAQEVAHTIAHSDFKDILVRGAVGSGKSTGLPSYLS -EKGKVLMLESTRPLAENVFKQLKSDPFYKNPTLRMRGTTSYGSTPITIMTSGFALHFYANNPQLLKEFQF -IIIDECHVLDANAIAFVSLLKEFSFQGKLVKASATPPGRETEFTTQHKVSLVTQDQLSFDQFVAQQGTGS -NCDMIDVCDNILVYVASYNEVDQLSKMLLEKGFLVTKVDGRTMKGGKTEIETRGTKAKKHFVVATNIIEN -GVTLDIEGVVDFGLKVVPELDADSRVMRYSKHRVSFGERIQRIGRVGRHKAGKALKIGTTERNLQKIPEL -VATEAAFYCFAYGLPVMSEGVSSNMLSKCTVPQAKTMMSFELPIMYTVNLVRFDGSMHPSIHELLKPYKL -RDSNVVLNKMAIPHGNVKNWISVRELKYVGVRIDIQEDIRVPFHARDIPDKLHERIFEACLKHKGDAGFG -RLSVVSACKVAYTLQTDPTSLQRTIKILDELIAREQRKREYFQSASNTACSGSSYSLTSIINAIKARNTC -DFTQENLSVLHSARQQLMEFKNINCDFSRPSTLDEFGALDCLQFESASEISRHLKLKNHWNGSLLAKDML -IALGVLGGGCWMLYTYYNQEVSKEFKFQGKSKRTRQKLKFRAARDMKDRYEVHADEGTLVENFGTRYSKK -GKTKGTVVGLGAKTRRFTNMYGFDPTEYSFARYLDPITGATLDETPIHNVNLVAEHFGDIRLDMVDKELL -DKQHLYLKRPIECYFVKDAGQKVMRIDLTPHNPLLASDVSTTIMGYPEREGELRQTGKARLVDPSELPAR -NEDIDAEFESLNRISGLRDYNPISQNVCLLTNESEGHREKMFGIGYGSVIITNQHLFRRNNGELSIQSKH -GYFRCRNTTSLKMLPLEGHDILLIQLPRDFPVFPQKIRFREPRVDDKIVLVSTNFQEKSSSSTVSESSNI -SRVQSANFYKHWISTVAGHCGNPMVSTKDGFIVGIHSLASLTGDVNIFTSFPPQFENKYLQKLSEHTWCS -GWKLNLGKISWGGINIVEDAPEEPFITSKMASLLSDLNCSFQASAQTKWLLKEVKDNVQAVAQAPSALVT -KHVVKGKCALFEVYLANNQEAEKFFRPMMGFYQKSRLNKEAYTKDLMKYSKVIDVGLVDTEKFEKGLTKV -ECMLRQKGFTNCNYVNDEADIYAALNMKAAMGALYSGKKKDHFEGMPMEEFAKFIRASCERLFSGKMGVW -NGSLKAELRPQEKVLANKTRSFTAAPVDTLLAGKVCVDDFNNKFYSLHLEIPSTVGITKFYGGWDSLLNK -LPDGWVYCDADGSQFDSSLTPYLINSVLRLRLNFMEEWDIGEEMLKNLYTEIIYTPILTPDGTIIKKFKG -NNSGQPSTVVDNTLMVIMAMYYAAEKLGVEGDLSESIVFYANGDDLLIAVHPSHEWYLDQLSTLFKELGL -NYDFSSRTKNKGDLWFMSHCGIKKEGLWIPKLEPERVVSILEWDRAAEPEHRLEAICASMIEAWGYDDLL -NHIRRFYLWVLDQAPYKQLSAEGKAPYISEVALKSLYTGKPATSCELEVYNKIHQEQHDEFDDSQMKFVF -QSDKEKLNVGEQQKSKDKESRQRDQEGENSNRQIIPDRDINAGTTGTFSVPKLKKISGKLSLPKIKGKGL -LNLDHLLVYVPNQDDISNNIATQEQLEAWHEGVKNAYEVDDQQMEIICNGLMVWCIENGTSGDLQGEWTM -MDGEKQVTFPLKPILDFAKPTLRQIMAHFSQAAESYIEFRNSTEKYMPRYGLQRNLTDYGLARYAFDFYR -LTSKTPARAREAHMQMKAAAIRGKSNHMFGLDGNVGTDEENTERHTANDVNRNMHHIAGARF - ->NP_612218.1 polyprotein [Bean yellow mosaic virus] -MTTINIGTIPVVINQNANTQMGEGTKNIFPFVKDFIDPFADLEMRCAERVKRMGELCFSKKGRYITMIPK -PDYIKTREKEQREEELNFQNSEHVLSSIGTTCTPEHYSSRNNGMQVSFKTQHYKRTIRKPRIQAKKRDLK -GQHTIHYVAKELLSIVKKRDMVLEVADKRKHANFATFRRYGKTYGMHIALNHMVRKRRRVDVTLNKLMTE -IAMHCAIPFECLDTLTLRKGHSGLVLQTETVPNVHKIKSKITIVRGVVNEGNIPVLVDARKKLSGKDMST -IREFSAGDLFWKGYNQTFIDNRPTDLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVENFLNQNN -KERFNNASVFINQVIQLLEKGFSEFKHSKEILLMFKERLQMENPATDQCMEIAKATAALPEAPFSHIKEI -NNVLLKYGSLSNEEVGGASKHLLEVVRYIRNRTDSIQRNDLSKFRNKISSKTHVNLDLMCDNQLDKNANF -VWGQRAYHAKRFLSNYFNEINPSEGYDKFIFRKLPNGARELAIGRLIMPTNFEAFREQMKGNMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGNAVLSDFKMPTKYHLVLGGNDLAKYIELPSDSTGNMYIAKDGYCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACWQLTVWFPDTLSAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKYYRVGGDWNFGNRVRTDTKFLLKSIYRPDLLERI -IEHEPFVLVLAMQSPAVLLALFNSASLEKAVQYWMHREMQVSHIMTLLAVLASNVSASRLLTTQFEIIEA -SAPQILAEMDKVHQPMHSIHSANVFLMNLNESRETDKTIDELGFYSFKKSSRILMEKTLMADLEEQWQGL -GLLERLSLIKRSWRVRAKYSSFAIQREEPGIRDKFTTSLKLSGAQIKQQLLAQKDQAVHFVERRIERTKK -FVANQAIGLVKMCLPRLADIVNVLTVIMLLNALLAFMLDHIKRFNEARRIAQEKKEKQHLRELNTLYSKH -WDNEKPTYLEFKSDVIEKLPHTLATFEKYYSEDDKYTFQAKPNDMIALEKIVAVTALILMIFDAERSDCV -YKVLNKLKGILSTTTQDAYRFQSLDTSKTLLEEKEMTIDFEINEGEVKAFSGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEIAHNDAQDILVRGAVGSGKSTGLPFYLSNKGKVLMIESTRPLAENV -FKQLKSEPFYVSPTLRMRGTTSYGASPITIMTSGYALHYYANNPAMMKEYKFVIIDECHVHDASAIAFVS -LLKEYSFDGKLIKVSATPPGREVEFTTQYPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLDRGHIVTKVDGRTMKNGKTEIESKGSRSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLMRYTKQSVSYGERIQRLGRVGRHKAGKALRIGVTEKGLMKPPSVITTEAAFYCFAYGLPVMA -EGVTPSLLSKCTVQQARSMMSFELPIMYTVNLVRFDGTMHPSVHNLLKPYKLRDSNVVLNKMAIPHGNVR -NWPTVRDFKHMGVRIDIPEDTRVPFHARGIPDKLHKEIFEVCCKYKGDAGFSKLNVVNACKIAYTLQTDP -SSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLSNIINAIRARSTSDYTQENLSVLHSARAQLL -EFKNINSDFSNLSTLSEFGALECLQFESLQGVSKHLQLKGHWNKPVLIQDFLIAAGVLGGGCWMLYQYFK -QETSKAFVFQGKNRRTKQKLRFRDARDMKGRMEVYADEGTIVENFGSKYTKKGKVRGTTTGMGTKTRRFT -NMYGFDPTEYSFARYLDPITGETLDEQPITNLNLVSEHFQEMRRKYRENDIMESQHFAASPSIEAYFVKD -AGQKVLKVDLTPHKPLLYSDKFGNIMGYPEREGELRQTGTAEFIDPKELPEPKESTDFDFESLSKIGGLR -DYNPIAANVCLLENESAEYCDEIYGIGYGNVIITNQHLFRHNNGELTIKSKHGTFKCKNTCALKLLPIEG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFFKHWISTVAG -QCGNPMVSTKDGFIVGIHSLTAISGDLNVFTSIPPNFEDEVLKQMSKKNWCCGWKLNTSQIGWDGIKIVD -DQPKDPFPVSKMVGLLNDLQLSFQSAKNTKWLLERAHGNIKAVAQASSALVTKHVVKGKCRLFEVYLTTD -EEAEKFFRPLMGAYQKSRLNKEAYVKDLMKYATPIEVGLVDTRCFERCFEKVQNMLELKGFSKCNYVTYG -PDILSALNMKAAMGALYSGKKKDHFSEISEEKFDNILQASCERLYSGRMGVWNGSLKAELRPQEKVLANK -TRSFTAAPIDTLLAGKVCVDDFNNKFYSLHLKIPSTVGITKFYGGWDRLLNSLPDGWVYCDADGSQFDSS -LTPYLLNAVLEMRLRLMEEWDLGEQMLKNLYTEIVYTPILTPDGTVVKKFKGNNSGQPSTVVDNTLMVIM -AVYYAAEKLGIKGNLEDTLVFFANGDDLLIAIKPECESYLDKFEGLFSELGLKYDFSSRTKNKGDLWFMS -HRGIQIDGMWIPKLEEERIVSILEWDRAIQPEHRLEAICAAMIEAWGYPTLLNHIRKFYLWVLGQAPYSQ -LSAEGKAPYISEVALKHLYTEERVTPAELERYSIALIDCFESESDEVLVCRFQSDQEIFNAGETKKDKAR -KNEENPDKNSEGQSSRQIVPDRDVNAGTVGTFSVPRLKKIAGKLNIPRIGGKIVLNLDHLLEYNPPQDDI -SNVIATQAQFEAWYNGVKQAYEVEDSQMGIILNGLMVWCIENGTSGDLQGEWTMMDGEEQVTYPLKPILD -NAKPTFRQIMSHFSEVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYKLTSKTPVRAREAHMQM -KAAAVRGKSTRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI - ->NP_570725.1 polyprotein [Scallion mosaic virus] -MAVATLHAPIIQTNKNYKKLAVIQFGENKPMLITRINTEQIKISKASDVDQVIAGHYRHHRAKCKVDQNK -IGVDQLVGLVCDIMKVKDNGEIHLIDKKVQKFDFKRKHGTIYARAQVKHLQGRRQRRDFESNPALDIWVD -ILMRRTVGRRTHKTNSIEAGWSGFLLNASKLIGRQSTHRGNTFVVRGKCADTLFDARVRMTYDAMLNIRQ -FSDAGAQFWRGFNETFLSCRADDRDHTCATSLDVLECGQVSALLCLAMFPCGKITCQQCIQDNLNHEGQA -SDKNVREKVGKIKTIIQTNYPAFRHAIQMLERYEQSFQINPNYEGFAEVQGISEGRNNSVFPHVNRLNAI -IIKGSQASSLEFSEATKNLLEIARYLRNRTENIEKGSLKHFRNKISQKSHINTALLCDNQLDKNGNFVWG -ERGYHAKRFFSNYFETINPTDGYGKYAKRSNPNGSRMLAISRLIVPTNFETLRDQMQGEAVEPQPLTKAC -VSMMNGDYVHACCCVTNDAGEPILSDVQMPTKHHLVIGNSGDSKYIDMPNTEGQKMYIAKNGYCYMNIFL -AMLVNVNEIEAKAFTKMVRDIVVERLGQWPSLLDVATACYLLKVFFPDVNNAELPRMLVDHTTKTIHVLD -SYGSLSTGYHILKANTVEQLIKFTRHSLDSEIKHYAVGGDPLEVEHTEEEVSDPQFHLKLLIKGVYNPRV -LERALLWNRFLPLYAMLSPGILIAFYNSGSLEYLMDRFLQKDNDFVVILVVLNSLAKKVSCARSVASQIS -IIERGAPNIIEAMQGIKQRHALPYDTAMKMLVTLASRSESNAELDEAGYSLLRMISLEVMEKNYLQILQD -GWCELTPCGKLSAMWRSSRFSMRTRRELVVEDTTDLGGRYSESITSYLASARKGAAQATLKARNKLLNVV -GVIRARAVRMTCSTINYFVPDIVKFINVLVVIGLLLTIAKETQSMIANFAHMSKSKQKLQDEHEMWQLNF -HFNLLEKQDGRAPTFEEFDKHLKSVAPHLRHLIQEGENVEHQSKRHEQQELERIIAFVALVLMMFDAERS -DCVTKILNKVRNLVTTTESTVYHQALNEIEDDLSEKNFTIDFELDQDEQPTQSQMQETTFAMWWAHQLAR -GHTIPHYRTEGHFMVFTRETALQVALDIANGSHKDILLMGGVGSGKSTGLPFNLSTKGSVLLVKPTRPLA -ENVHRHLAKEPFYMNTTLRMRGLTTFGSSPVTIMTSGFALNYFAHNRERLKEYDYTLFDEFHVDDSNAMA -FRCLLHDNAYHGKVVKMSATPPGREPEFAPQHPVKVEIEESLSIQEFVRAQGTGANADVVRHGDNILVYV -ASYNEVDMLGGLLSERGYKVTKVDGRTMKVGRVEITTCGTPNKKHFIVATNIIENGVTLDIEVVVDFGTK -VVPSLDSDNRMMVYKKIPINYGERIQRLGRVGRHKQGFALRIGCTEKGLSEIPSSIATEAAFKCFTYGLS -VIPNNVTTSILANVTVRQARTMSLFELNSFYMANLVRYDGSMHQSLHNILKRFKLRDPEIILNKLAIPNK -GLQTWLTASEYSRLGSNVPDRHDMRIPFMCKEVPEKLHEEVWEAIQKYKCDAGFGRLSSSSACKVAYTLQ -TDVTSIQRTVRIIDTLIAEERRKQEYFRTVTANTVSSSNFSIQSIANAIRSRFAKDNTMENIGVLENAKA -QLCEFRNLSLDPSYMDLNNTVGTNFVREFGALETVHHQTLSGVSKSLNLKGRWDKSLITRDIFVLLGVIC -GGCWMLYNHLKASFEEIVIHEAKGKRQRQKLKFRQARDTKTGREVYGDDGELEHYFGAAYTKKGRTSGRT -RGMGVKQRRFVNMYNFDPEDFSAVRYVDPLTGVTLDENPLTDMHLVQEYFTNVRNEYLGQDALDPQQIRR -SPGLEAYFTNNRTGKALKIDLTPHNPLLVCNKKVTIAGFPEREFELRQTGEPLPVTIGEVPKATESEFAV -EHESTSLYKGLRDYNPIASNICHLTNNSDGHSDSLFGIGYGPLVITNRHLFERNNGELLIKTRHGDFTIK -NTTQLNLLPIPDRDILLIRLPKDIPPFTQRLVFRVPRQNERICLVGSNFQAKSVSSLVSETSTITKINDS -HFWKHWISTKDGQCGTPIVGTQDGAVLGLHSLANFSNSVNYFAGFPEDFQTKYLETLENHEWVKHWKYNT -AHISWGALNIKASQPQHPFKTSKLIMDLDDTAVYAQMERGRWLYDQLHGNLKAVAACPSQLVTKHSVRGK -CQMFDLYLRLHDEARAYFEPFLGKYQKSRLNREAYAKDLLKYATLIEAGNVDISLFERTVNKLKRDLYAL -GFEKCNYVTNEEDIFKALNMKSAVGALYTGKKKDYFADYTNEMKAEILQASCERLYRGKMGVWNGSLKAE -LRPLEKTEANKTRTFTAAPLDTLLAGKVCVDDFNNQFYDFNLIAPWSVGMTKFYGGWDQLLTALPDGWVY -CDADGSQFDSSLSPYLINAVLDVRLELMEEWDIGEQMLRNLYTEIVYTPISTPDGTIIKKFKGNNSGQPS -TVVDNTLMVILAVNYSLLKSGIPEALQGEIIKFFVNGDDLLIGIRPDYEYALDSMQENFLNLGLKYTFDS -RSNNKADLWFMSHKGIRIDNMWIPKLEEERIISILEWDRSREPQHRLEAICAAMIESWGYKELTHEIRKF -YAWILEQAPYNNLAAEGKAPYIAETALRRLYTNTEANQADIERYLEAILQDYDDEAAVEVYHQAGPEELD -AGLEEERQRKTRDLRGKFINSGDDPQETSQQVSRDKDINAGTQGTFSVPRLKSKVSKMSLPKYKGKPALN -LEQLLLYNPNQVDISNTRATQAQFNTWYEGVKEEYDLDDVKMQTILNGLMVWCIENGTSPNINGMWVMMD -KDEQIEFPIKPLIDHAKPTFRQIMAHFSNVAEAYIEMRNQTVPYMPRYGLQRNLTDMSLARYAFDFYEMT -SKTPIRAREAHIQMKAAALRNSKNNLFGLDGNVGLTEENTERHTTEDVSRNMHSLLGVRGM - ->NP_570724.1 polyprotein [Sugarcane mosaic virus] -MAGSWTHVTYKWQPDVNNARDVKRVMEMFAAKHQHYTEEQRLAHNSKLLRKACVTSAEFIKPAQKPKCHQ -TWVEKCDHNPTEHFVYQRSTPEKKVLSTKPKTTSVTKLIRDVLEISKGSGIKIELIGKRVKRKTQLSIRQ -HNGKDFLHCKTRHENGLFKRKDIDINVKWLPTIEAIAKCYSTVNAEELQSLNRGSSGLTFMQNDELFIVR -GRMHGEIVNSLHENKHVMEIEHYADPQANSFWKGYTDAYVGNRNISTTHTEHTPTINLEECGKRMALLEI -LFHSTFKITCKTCNIDDLELSDDEFGAKLYNNLQRIEERQREYLAKDQKLLRMIHFVKDRCNPKFSHLPL -LWQVAETVGHYTDNQSKQIIDISEALIKVNTLTPDDAVKASVALLEVARWYKNRKESLKTDTLDSFRNKI -SPKSTINAALMCDNQLDKNANFVWGNREYHAKRFFANYFEAIDPTDAYEKHVTRFNPNGQRKLSIGKLVI -PLDIQKIRDSFVGLSINKQPLSKACVSKIDGGYVYPCCCVTTEFGKPAYSEIIPPTKGHITIGNSVDPKI -VDSPNTTPPSMYIAKDGYCYINIFLAAMINVNEESAKDYTKFLRDELVKRLGKWPKLKDVATACYALSVM -FPEIKNAELPPILVDHESKSMHVIDSYGSLSVGFHILKASTVGQLIKFQYESLESEMREYIVGGTLTQQT -FNTLLKTLTKNMFKPNKIKQIIEEEPFLLMMAIAPPTVLISLYNNCYIEQAMTYWIVKNQGVAAIFAQLE -ALAKKTSQAELLVLQMQILEKASNQLRLAVTGLNHVDPAKRLLWSHLEAMTTRSEMNKELIAEGYALYDE -RLYTLMEKNYVDQLNQSWAELSYCGKFSAIWRVFRVKKYYKPSLTVRKSVDLGAVYNISATHLISDLVQR -SRDRVSSTLTKLRNGFYDKMEKARVSAVRTVYWFIPDIFRLVHIFIILSLLTTIANTIVTTMNDYKKLKK -QQREDEYEAEINEVRKIHANLMKERNDNLTCDQFIEYIRQTHPRLIEATLDLTHTGVIHEGKSNLETNLE -QAMAVGTLLTMILDPQKSDAVYKVLNKMRTVINTIEQNVPFPTVNFTSILTPPVTQQSVDVDEPLTLSTD -KNLTIDFDTNQDLPADTFSNDVTFEDWWANQINNNRTVPHYRLGGKFVEFTRENAAMVSIELAHSNIEKE -FLLRGAVGSGKCTGLPYHLSMRGKVLLIEPTRPLAENVCRQLQGPPFNVSPTLQMRGLSTFGCTPITIMT -SGFALHMYANNPDKISEYDFIIFDECHIMEAPAMAFYCLLKEYEYRGKIIKVSATPPGRECEFSTQHPVD -IHVCESLTQQQFVMELGTGSTADATKYGNNILVYVASYNDVDSLSHALTELKYSVIKVDGRTMKQNTTGI -VTNGTSSKKCFVVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAIMYKRVSISYGERIQRLGRVGRNK -PGTVIRIGKTMKGLQEIPAMIATEAAFMCFAYGLKVITHNVSTTHLAKCTVKQARTMMQFEFSPFVMADL -VKFDGSMHPQIHEALTKYKLRDSVIMLRPNAIPKVNLHNWLTARDYNRIGCSLDLEDHVKIPYYIRGVPD -KLYGKLYEIILQYSPTSCYGRLSSACAGKVAYTLRTDPCSLPRTIAIINALITEEYAKRDHYRNMIANPS -SSHAFSLNGLVSMIASRYMKDHTKENIDKLVRVRDQLLEFQGTGMQFQDPSELMDIGALNTVIHQGMDAT -AACIGLQGRWNASLIQRDLMISAGVFTGGILMMWYLFTKWSKTEVSHQGKNKRSRQKLRFKEARDNKYAY -DVVGSEEVLGENFGTAYTKKGKGKGTKVGLGVKQHKFHMMYGFDPQEYNLIRFVDPLTGATLDEQIHADI -QLVQEHFSVIRDEAVANDTIERQHIYANPGLQAFFIQNGSANALRVDLTPHSPLRAVTNNNIAGFPEYEG -TLRQTGTALQIPVNQVPAANEAGVAHESKSMMAGLGDYTPISQQLCLVQNDSDGIKRNVYSIGYGSYLIA -PAHLFKYNNGEITIKSSRGLYKIRNSVEIKLHPIAHRDMVIIQLPKDFPPFPMRLKFSKPSRESRVCLVG -VNFQQNYSTCIVSESSVTAPKGNGDFWKHWISTIDGQCGLPLVDVKSKHIVGIHSLASTSGNTNFFVAMP -EDFNDYINNLVQTNKWEKGWHYNPNLISWCGLNLVDSAPKGLFKTSKLVEDLDMSVEEQCEVTKTWLTEC -IQDNLQVVAKCPGQLVTKHVVKGPCPHFQLYLSTHDEAKAYFAPLLGKYDKSRLNRAAFIKDISKYAKPI -YIGEINYDVFEKAIQRVIKILRDVEMQQCTYVTDEDEIFQSLNLNAAVGALYTGKKKDYFKDFSNEDKSE -IIMRSCERLYNGHLGVWNGSLKAEIRPIEKTMLNKTRTFTAAPLETLLGGKVCVDDFNNQFYSHHLEGPW -TVGITKFYGGWNRLLEKLPDGWIYCDADGSQFDSSLTPYLINAVLHIRLQFMEEWNLGEQMLRNLYTEIV -YTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVILAFNYAMLSSGVKEEEIDNCCRMFANGDDLLLAVHP -DFEHILDGFQNHFGNLGLNFEFTSRTRDKSELWFMSTRGIKCEGVYIPKLEKERIVAILEWDRSNLPEHR -LEAICAAMVEAWGYPDLVQEIRKFYAWLLEMQPFANLAKEGLAPYIAETALRNLYLGTGIKEEEIEKYFK -QFAKDLPGYIEDYNEDVFHQSGTVDAGAQGGGSGSGTTPPATGSGTGTRTPSTGTPAQGNTPPASGGSSG -NNGGGQSGSNGTGGQAGSSGAGGQRDKDVDAGSTGKISVPKLKAMSKKMRLPKAKGKDVLHLDFLLTYKP -QQQDISNTRATKEEFDRWYDAIKKEYEIDDTQMTVVMSGLMVWCIENGCSPNINGNWTMMDGDEQRVFPL -KPVIENASPTFRQIMHHFSDAAEAYIEYRNSTERYMPRYGLQRNLTDYSLARYAFDFYEMTSRTPARAKE -AHMQMKAAAVRGSNTRLFGLDGNVGETQENTERHTAGDVSRNMHSLLGVQQHH - ->NP_569138.1 polyprotein [Maize dwarf mosaic virus] -MAGTWTHVTHKWQPNLDNPRDVRRIMELFAAKGQVYDEKRALEHNSKLLRRAQVVDVEPMITVQPKKCAQ -IWKEVVDHNPTHHFVYARFSEVKKQQPTKPVATSVNKLVRKTLEIRENFPVNVEFIGKKRKNTTRVSLRK -VFNKTFLHCGTRHENNQFKRVDTNITRDWIPVLSSVAKCYATLSSNMMHNIHKGHSGLTFIQNGELFIVR -GRLRGELCNSLDCTKEVQEIEHYADPQAADFWKGYTNAYVENRNISTTHTEHTPTMNLEKCGKRMALLEV -LFHSTFKITCKHCNTDDLELSDDEFGEKLYKNIQRIEEQQSEYLAEDQKLKRVLSFIKARCTPKFDHLPM -NWQVADIIGHYSDNQAKQILDVNEALIKVNTLTPSDALKASAALLELSRWYKNRKESTKEDNLSTFRNKI -SPKSTINLALMCDNQLDSNGNFVWGKREYHAKRFFSNYFEAVDPADSYEKHVTRFNPNGQRKLSIGKLVI -PLDFQRIRDSFAGIPVTKQPLSNACLSRIDKTYVYPCCCVTTEFGQPAYSEIIPPTKGHLTIGNSVDPKI -VDLPNTDPPTMYISKDGYCYINIFLAAMINVNEDSAKDYTKFIRDELIERLGKWPKLKNVATACYALSVM -FPEIKNAELPQILVDHENKTMHVVDSYGSLSVGYHILKANTVGQLIKMQYESMESEMREYAVGGTITHKS -FSTLISHLIKNMFKPREMRKIIEEEPFLIMLSVVSPTVLISLYNNCHIENAMAYWITKNQGVAAMFAQLE -ALAKETSKAELLIQQMTILEKASNQLKLAVMGLNHVDPAKRLLWSHLEVMSSRAATNKDLLDQGYALYSD -RLYAIIEKTYVDQLNQAWTELSLFGKFSETWRVYKDKKYYKPSLILQRSVDLGAVYNISVTHQISSLVQK -SRSRVSSTLTKLHQGSCDKLYSLRTKAINTIYWFVPDIFRLIHIFIVLSLLSTVANTIIVTMQDYKKLQK -QVREEEYEKEISEVRAIHAKLLKIHDNELTCEQFLQYINENHPRLIEAAVELSGVGVIHEGKSNLEINLE -QAMAIGTLITMIFDPTKSDAVYKVLNKMRTILSTVEQDAPFPRIDFTNIFRSQVTHQSLDLDDPLTINTD -KKLTVDFDTTQDLPADTFSNDVTFDQWWSNQLENNRTVPHYRLGGEFIEFTREKAASVSISIAHSQIEKE -YLLGGAVGSGKSTGLPYHLSQRGKVLLLEPTRPLAENVCRQLQGAPFNVSPTLQMRGLSSFGSTPITIMT -SGFALHMYANNPDKLSNYDFVIFDECHIMEAPAMAFYCLLKEYAFDGKIIKVSATPPGRECEFSTQHPVD -IHVCENLTQNQFVLELGTGSKADATKYGNNILVYVASYNDVDSLARALIERHYSVIKVDGRTMKQNTSGI -HPNGHDGKKCFIVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAVMYRKVSISYGERIQRLGRVGRTK -PGTVIRIGTTMKGLQEIPAMIATEAAFLCFAYGLKVITHNVSTTHLSKCTVKQARTMMPFELSPFIMSEL -VKFDGSMHPQIHEILKKYKLRESVIMLRPNAIPHTNVHNWLTVKDYNKIGCDLELDDYVKVPYFIRGIPE -KVYSDIYKIVLEYGSTSCYGRLSSACAGKVAYTLRTDPFALPRTIAIVNQLIAEEHAKRDHYNSITSNPS -SSHAFSLTGICNMLASRYMKDHSRENIEKLTRVKDQLIEFRGTGGEFKNPEDLLEFGGLVTVIHQGLDST -ARGLQLKGRWNGDLIQRDLMISAGVFTGGLLMLWFLFRKWSSTDVKHEAKTKRSRQKLKFRQARDSKYAY -DVTGSKDAIEENFGSAYVKKDKKKGTKVGLGVKQHKFYMLYNFDPQDYNLIRFVDPLTGATLDEQIHADI -NMVREHFTAIREAAINNDQLEYQHIYSNPGIKAYFIRNGSQNALKVDMTPHEPLRVVTGNNIAGFPEYEG -TLRQTGRAQVIPSEQVPAPNEVEVEHEAKSMLTGLVDYTPIANQIGIIENHSDDVRLCMYAIGYGSYLIT -PAHLFKASNGELTFRSSRGVYKMRNSVEVKLHHVKGRDLVIIQLPKDFPPFPQKLKFQAPNRENKVCLVG -VNFQQNHSSCVVSESSTIAPKGNNTFWSHWISTTGGQCGLPLVDMKTRSIVGVHSLASVNANVNFFVAMP -EDFNTYLSELVSKNEWEKGWQYNPNLISWSGLNLVSSAPKGAFKTAKLVEDLSFDVTEQGIQHETWLTKH -IQQNLQVVAKCPGQLVTKHVVKGPCPHFALYLSTHEEAEKFFRPLMGKYDKSRLNKAAFVKDLTKYAKPT -YIGEVNTALFERAVEHVIQLLRNVGIPTCEYITDEDEIFKSLNMNAAVGALYTGKKREYFSEYTQEDRAE -IIKQSCERVYEGKLGIWNGSLKAEIRPIEKTEANKTRTFTAAPLETLLAGKVCVDDFNNQFYAHHLNGPW -TVGITKFYGGWNRLLEKLPDGWIYCDADGSQLDSSLTPYLINAVLNIRLQFMEPWNIGEQMLKNLYTEIV -FTPIATPDGSVIKKFKGNNSGQPSTVVGNTLMVIIAFNYTLLSCGVDLEKADDVCRMYANGDDLLLAVNP -THVDILNEFGKHFAALGLNFDFESRTRDKSELWFMSTRGIKYEEMYIPKLEKERIVAILEWDRSLIPQYR -LEAVCAAMVEAWGYKDLLHEIRKFYAWLLEMQPFANLAKEGSAPYIAETALRNLYTGAKVSEDELNVYAR -QFFDDLSDYLADEVIDVKHQAGENVDAGQKTEAQKEAERKAAEENKAKEAEAKQKETKEKTTEKAGDGES -TGKDKDVDAGTSGSVSVPKLKAMSKKMRLPQAKGKNILHLDFLLKYKPQQQDLSNTRATRAEFDRWYEAV -QKEYELDDTQMTVVMSGLMVWCIENGCSPNINGVWTMMDGDEQRTFPLKQVIGNASPTFRQIMHHFSDAA -EAYIEYRNSTERYMARYGLQRNLTDFSLARYAFDFYEISSRTPARAKEAHMQMKAAAVRGSNTRMFGLDG -NVGETQENTERHTAGDVSRNIHSLLGVQQGH - ->NP_062908.1 polyprotein [Tobacco etch virus] -MALIFGTVNANILKEVFGGARMACVTSAHMAGANGSILKKAEETSRAIMHKPVIFGEDYITEADLPYTPL -HLEVDAEMERMYYLGRRALTHGKRRKVSVNNKRNRRRKVAKTYVGRDSIVEKIVVPHTERKVDTTAAVED -ICNEATTQLVHNSMPKRKKQKNFLPATSLSNVYAQTWSIVRKRHMQVEIISKKSVRARVKRFEGSVQLFA -SVRHMYGERKRVDLRIDNWQQETLLDLAKRFKNERVDQSKLTFGSSGLVLRQGSYGPAHWYRHGMFIVRG -RSDGMLVDARAKVTFAVCHSMTHYSDKSISEAFFIPYSKKFLELRPDGISHECTRGVSVERCGEVAAILT -QALSPCGKITCKRCMVETPDIVEGESGESVTNQGKLLAMLKEQYPDFPMAEKLLTRFLQQKSLVNTNLTA -CVSVKQLIGDRKQAPFTHVLAVSEILFKGNKLTGADLEEASTHMLEIARFLNNRTENMRIGHLGSFRNKI -SSKAHVNNALMCDNQLDQNGNFIWGLRGAHAKRFLKGFFTEIDPNEGYDKYVIRKHIRGSRKLAIGNLIM -STDFQTLRQQIQGETIERKEIGNHCISMRNGNYVYPCCCVTLEDGKAQYSDLKHPTKRHLVIGNSGDSKY -LDLPVLNEEKMYIANEGYCYMNIFFALLVNVKEEDAKDFTKFIRDTIVPKLGAWPTMQDVATACYLLSIL -YPDVLRAELPRILVDHDNKTMHVLDSYGSRTTGYHMLKMNTTSQLIEFVHSGLESEMKTYNVGGMNRDVV -TQGAIEMLIKSIYKPHLMKQLLEEEPYIIVLAIVSPSILIAMYNSGTFEQALQMWLPNTMRLANLAAILS -ALAQKLTLADLFVQQRNLINEYAQVILDNLIDGVRVNHSLSLAMEIVTIKLATQEMDMALREGGYAVTSE -KVHEMLEKNYVKALKDAWDELTWLEKFSAIRHSRKLLKFGRKPLIMKNTVDCGGHIDLSVKSLFKFHLEL -LKGTISRAVNGGARKVRVAKNAMTKGVFLKIYSMLPDVYKFITVSSVLSLLLTFLFQIDCMIRAHREAKV -AAQLQKESEWDNIINRTFQYSKLENPIGYRSTAEERLQSEHPEAFEYYKFCIGKEDLVEQAKQPEIAYFE -KIIAFITLVLMAFDAERSDGVFKILNKFKGILSSTEREIIYTQSLDDYVTTFDDNMTINLELNMDELHKT -SLPGVTFKQWWNNQISRGNVKPHYRTEGHFMEFTRDTAASVASEISHSPARDFLVRGAVGSGKSTGLPYH -LSKRGRVLMLEPTRPLTDNMHKQLRSEPFNCFPTLRMRGKSTFGSSPITVMTSGFALHHFARNIAEVKTY -DFVIIDECHVNDASAIAFRNLLFEHEFEGKVLKVSATPPGREVEFTTQFPVKLKIEEALSFQEFVSLQGT -GANADVISCGDNILVYVASYNDVDSLGKLLVQKGYKVSKIDGRTMKSGGTEIITEGTSVKKHFIVATNII -ENGVTIDIDVVVDFGTKVVPVLDVDNRAVQYNKTVVSYGERIQKLGRVGRHKEGVALRIGQTNKTLVEIP -EMVATEAAFLCFMYNLPVTTQSVSTTLLENATLLQARTMAQFELSYFYTINFVRFDGSMHPVIHDKLKRF -KLHTCETFLNKLAIPNKGLSSWLTSGEYKRLGYIAEDAGIRIPFVCKEIPDSLHEEIWHIVVAHKGDSGI -GRLTSVQAAKVVYTLQTDVHSIARTLACINRRIADEQMKQSHFEAATGRAFSFTNYSIQSIFDTLKANYA -TKHTKENIAVLQQAKDQLLEFSNLAKDQDVTGIIQDFNHLETIYLQSDSEVAKHLKLKSHWNKSQITRDI -IIALSVLIGGGWMLATYFKDKFNEPVYFQGKKNQKHKLKMREARGARGQYEVAAEPEALEHYFGSAYNNK -GKRKGTTRGMGAKSRKFINMYGFDPTDFSYIRFVDPLTGHTIDESTNAPIDLVQHEFGKVRTRMLIDDEI -EPQSLSTHTTIHAYLVNSGTKKVLKVDLTPHSSLRASEKSTAIMGFPERENELRQTGMAVPVAYDQLPPK -NEDLTFEGESLFKGPRDYNPISSTICHLTNESDGHTTSLYGIGFGPFIITNKHLFRRNNGTLLVQSLHGV -FKVKNTTTLQQHLIDGRDMIIIRMPKDFPPFPQKLKFREPQREERICLVTTNFQTKSMSSMVSDTSCTFP -SSDGIFWKHWIQTKDGQCGSPLVSTRDGFIVGIHSASNFTNTNNYFTSVPKNFMELLTNQEAQQWVSGWR -LNADSVLWGGHKVFMSKPEEPFQPVKEATQLMNELVYSQGEKRKWVVEALSGNLRPVAECPSQLVTKHVV -KGKCPLFELYLQLNPEKEAYFKPMMGAYKPSRLNREAFLKDILKYASEIEIGNVDCDLLELAISMLVTKL -KALGFPTVNYITDPEEIFSALNMKAAMGALYKGKKKEALSELTLDEQEAMLKASCLRLYTGKLGIWNGSL -KAELRPIEKVENNKTRTFTAAPIDTLLAGKVCVDDFNNQFYDLNIKAPWTVGMTKFYQGWNELMEALPSG -WVYCDADGSQFDSSLTPFLINAVLKVRLAFMEEWDIGEQMLRNLYTEIVYTPILTPDGTIIKKHKGNNSG -QPSTVVDNTLMVIIAMLYTCEKCGINKEEIVYYVNGDDLLIAIHPDKAERLSRFKESFGELGLKYEFDCT -TRDKTQLWFMSHRALERDGMYIPKLEEERIVSILEWDRSKEPSHRLEAICASMIEAWGYDKLVEEIRNFY -AWVLEQAPYSQLAEEGKAPYLAETALKFLYTSQHGTNSEIEEYLKVLYDYDIPTTENLYFQSGTVDAGAD -AGKKKDQKDDKVAEQASKDRDVNAGTSGTFSVPRINAMATKLQYPRMRGEVVVNLNHLLGYKPQQIDLSN -ARATHEQFAAWHQAVMTAYGVNEEQMKILLNGFMVWCIENGTSPNLNGTWVMMDGEDQVSYPLKPMVENA -QPTLRQIMTHFSDLAEAYIEMRNRERPYMPRYGLQRNITDMSLSRYAFDFYELTSKTPVRAREAHMQMKA -AAVRNSGTRLFGLDGNVGTAEEDTERHTAHDVNRNMHTLLGVRQ - ->NP_051161.1 polyprotein [Japanese yam mosaic virus] -MATCVGKLAETSLNNTIAFQLQFGTLPPVFIGIPATSCKTIATDKNDEHVIQSKSTEAQKKMNVNNKAFI -HKMHAEYNKQCAVLDKWEEEYNTTRSTNPSSYKVVLTKQQKSAVHRYNMEKARKKLKEQEDILNKCIIGP -WGPSMNAFSIASGPLPSAMEAELKWPLHQTRSQRIKGTPKQPVTLGRGEFAKFVKNLTTLMTQKSLLLEL -CGKHVHRVCVRREHKKVYLKINTKHEEGFNKARDVVMDNFTQRLLELMITRTSGNNRHSVQNIKPGHSGF -VLNRETLCGTQSRAYGRVFIVRGNHEGKLYDARIKLSQTIRRKIVRFADPGVKFWNGFNTAFQRYRKQDR -EHTCETDLDVEECGEVAALLCLALFPCGKITCNKCVEENLLSEGQATHENILKKQQEIRHIVLQRHPQFK -HALQILERQSKALQSVNSNYKDFTEIHSLSEGKTLPAFSQANRINDVLIKGGSATAEELSEATRNLLEIV -RYLKNRTESSEKGTLKTFRNKTSQKAHLNPALMCDNQLDENGNFVWGERGYHAKRFFNKYFEIVDPSKGY -AKFEARINPRGQRKTAITRLIVPTNFEVLREQMQGESIGEHPLTVECTSVLNGDFLFPCCCVTNEAGEPI -LSELQMPTKNHLVVGNSGDSKYVDMPPQEGQSMYIAKAGFCYMNIFLAMLVNVRKEEAKAFTKMVRDVLI -NQLGTWPTLLDVASACYLLKVFFPDVSSAELPRIMVDHKTKTMHVVDSYGSLNTGYHILKANTVEQLIKF -TRAGLKSDMKHYLVGGPILNNEDIDPTEYRTPSWHLRRLIKGIYNPQVLLDDIRIDRYLPLYALLSPGVL -IAMYNSASLEILTREYLRKDDEFVSIVLILESLARKVSVSTSLMSQLMLIEGEAQYIIEAVQGIKQRYPI -PYTVVMEMLIILASRSESDAALDAAGFKKFQRESIQLMEKNYLRILEDEWRELSLRQRFSATLRSSKFAM -RTHGGLRNASIEDLGGRYSESMNYYFGELKNGVMKIYGKITNQAKVITQSTHTSIKRKVYSCFNYLIPDV -SKFINVMVCLTMILTLMQELHTMVERTRNCKRIARRFENQEKEHKIKFMHQAFQNEHKVDPTFEEFLEYL -GKHTPELLTYFQEDEVVVHQAKRRGELELERVVAFIALVMMVFDSERSDCVVKILNKLKNIISSTDADVY -HQGLSEIEDDINEKNLTIDFELSQDGVRTPPNVMEHTFSTWWTHQVSNGRTIPHYRTEGHFMTFTRANAH -HVATEIATNEHKDIMLMGAVGSGKSTGLPFHLSKRGKVLLVEPTRPLAENVYRQLSHEPFYINATLLMRG -LTTCGSSPVTIMTSGFALNQLAHNRHRIAEYDFVIFDECHVHDANAMALRCLLHDAEFPGKVIKVSATPP -GREVEFTTQHPVKLLTEETLGLKEFVDAQGTGVNCDVIRHGDNILVYVASCNEVDIISKALIDKGHKVTK -VDGRTMKVGKVEIITSGTPQRKHFVVATNIIENGVTLDIEVVVDFGTKVVPFLDVDNRMMQYQKVAINYG -ERIQRLGRVGRHKAGTALRIGHTERGLSEVPSCIATEAAFRCFTFGLPVITNNVTTSLLSNATVRQARTM -AHFELSPFYTYHFVRYDGTMHPEIHKVLKRFKLRDSEIVLNKTAIPNRGVNTWMTSSAYQRLGANVGDSN -EIRIPFLCKEVPETLHETIWDIITTHKSDAGFGRLSSASACKVAYTLKTDVMSIQRTIHIIDALIVEERQ -KQEYFRTITTNSISSSNFSLQSIANAIRARFSSDHTVENISVLENAKAQLCEFKNLNIDAAFQDFDSQVG -RSYISNFGALDAVYHQSEKAMSEHFKLKGRWNKPLITRDIMIMIGVLLGGLMMVYKQFKSQMTEEVHHEA -KGKRQRQRLRFRDARDSKLGREVYGDDGTMEHYFGEAYTKKGKTSGRKHGMGQKQRKFVNMYSFDPEDFS -AVRFVDVLTGATLDETPITDLHLVQEHFTKIRSEMIESGELESQHLYSGKGVNAYYMNNRTGKALQVDLT -PHNPLLVCANKPTIAGFPEREYELRQTGQPKAISLKDVPKANDLSEMVQHESASLHRGLRDYNPISNNIC -KLINRSEGERDTMYGLGFGPVIITNRHLFEHNGGELDIKTRHGDFLITNMTKLQLYPVPNRDLILIRLPK -DIPPFPQKLQFRQPERNEKICMVGSNFQAKSVTNTVSETSIILPMDDCHFWKHWITTKDGQCGLPLVSTR -DGNIVGIHSLGSFNNTINYFASFPENFVNQYLLTPENHQWIQHWKYNTDNISWGALKISNEAPTGLFKTT -KLIGDLESLFVRQQMKREKWVYTQLDGNLKAIASCPNQLVSKHVVKGKCPMFDMYLKLDEAGRKFFTPLL -GQYQKSRLNKEAYIKDIMKYSTVIEAGNVQPQTFEDAVRLLIQDLSELGFETCQYITDEDVIFNALNMKS -AVGALYGGKKKDYFKDFTQEMKETILKQSCARLYTGKMGLWNGSLKAELRPLEKVQANKTRTFTAAPLDT -LLGGKACVDDFNNQFYELNIKGPWSVGMTKFYGGWNELLTKLPDGWIHCDADGSQFDSSLSPYLINAVLN -IRLHFMETWDIGEQMLRNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNTLMVLLALKYSLLKDGV -EAEKHKQVIKYFVNGDDLLISIDPAYEGLLDTMQGNFKELGLKYDFNSRTRDKGELWFMSHQGKRVEDIW -IPKLEQERIVSILEWDRSKEPGNRMEAICAAMIESWGHQELTHQIRRFYAWLIGQAPYSGLAEIGKAPYI -AESALRKLYLDKDADQSAIEVYLRAIFEDYTTEPEDLFVYHQSGDDTLDAGTSAPSKARKQESASSTQGI -APTMEGFEPADDPTGKSKQQTVINLEKDVNVGTVGTFAVPRLKGLATKMSMPRVRGKAAMNLDHLLVYNP -EQVDLANTRATRKQFDTWYDGVKRDYELDDSSMQIILNGLMVWCIENGTSPNINGMWVMMDGEEQIEYPI -KPLIDHAKPTFRQIMAHFSYVAEAYIEKRNQEKAYMPRYGLQRNLTDMSLARYAFDFYEVTSKTPARARE -AHIQMKAAALRGVQNKLFGLDGNVSTMEENTERHTAEDVNRNMHSLLGVRGV - ->NP_045216.1 polyprotein [Sweet potato feathery mottle virus] -MATVMASAKPAGKRKLTWKECCNKWGRAAMEQQQQQQSKKTVHLGRDHLAAYLLAFVPETEWHKYYYGSR -GGPSPALLILKGAIEHGEVYKWESELTFCAECDDVLDGHNCDSCGHRHIKRDDNIADNMNAIARALGGYD -AYYASNWAVYETAKYELDQVAPTAGMLYKQAKEAEKLLGKRPTRREIQEVEDLWAEYEEAAAREAAEASE -ASNGHATSEVANKNAYLSDGEDDEAFPPLVVTVEKVVPATTIIESTPEVGKTIEVQTPLEPVPEVLAATT -FVEATIDGKDAPTGSIQFGTIVCELEPTKASEAEIAKEPTTGFFFGTIPAIVPLPTIPLLKLESTIVEPI -ATPTVVVTSSEIVKVPIATPTEVEKASKAPLPKHLYPWTAKTQTPGKVHHKMVRKWVQKTQQAAAEKEKL -VWKKLDEQLATRNEIRKDLKVKWRWGLYRLVKKTRKDNQRQRRQRRMEKEQQLLMAMPPQALTGISIAGG -PSASLEMTPTPNGKISCTPSMKKKKTLKSPRLTQEKIHELTQAVLKIACRKRMNIELVDKKSTKGQYKKF -QGANYLFLHLKHMEGLRESVDLRIHTTTQNLVLQAAKVGAWKRTVKTTMLSKGSSGLVLNPDKLLGPRGH -APHGMLVVRGALRGVLYDARMKLGRSVLPYIIQYSSTMERFLSGFDNKFKQIRQTDLNHVCESSYDAEQA -GSVAAISHHMLYPMGRTTCKSCINNVEDMSRDEWCEYVRSFISRNKILCQSEYKNFVHLPQIMDFLSDSL -VNTNKNLKAFNEIQNLIGDRTDAPFTSVCEVNKVLVKGGRAKPDELIKASENLLEVARYLKNRTENIKKG -SLQSFRNKISQKSSVNLALMCDNQLDKNGNLIWGERGYHSKRFFANYFDVIDPSQGYEKYVIRENPNGSR -KLAIGKLIVSTNFSVFREQMKGEPIQKQKLDNHCTSLRDGNFVYPCCCVTLDDGQPLESEFKLPTKNHLV -IGNSGDPKYVDMPPEISKKMYIAKDGYCYVNIFLAMLVNVNEAEAKDFTKQVRDVLMEKLGKWPTMFDVA -TACAFMSVFYPETRNAELPRILVDHSTKTMHVVDSFGSLSTGYHVLKANTVSQLIQFSSSSLESEMKHYI -VGGVTALPDVQERCIRTIIKGVYKPDVMYTILSEDPYALLLSVMSPRILLALLNSGSLDRSMEAWITEDQ -EVAVIIGTLQELAKKVSTSRVLEKQLKVIESQAHTLLFDPAFVRSRTPSFALSQKIIRGLAEGRESNRVL -YEQGHSIASYAASHELMEKIWDRLLKEEYEGLPWHGKCAQIMRSSKRACGLLSIPTWPKIGALSDRATDL -CTTLHTKSVTFKNTCRNGVVQRIADAHIKCVRTIMRTSLAAIKFAIPDVLKFVNMLLVINLLLQIAKVAR -DMSTKHRQAQIDLNAYLFDQEIDKVNVIYDAYCLKIGGEPTMDEFLRHVEYINPTLSGTAKWLCYTADME -VEHQGKSRKEMQYERIIAFVSLLLMIVDSEKSDCVYKILQKLKGLMGTINNDVYHQSLDDITNVLEEKNL -TVDFELQSGEHPTNPCTDSTFDEWWRRQIETNNTITHYRTEGVFIEFTRSNAVSVVNNIATIDAKDILIR -GAVGSGKSTGLPFYLSRKGRVLLLEPTRPLAENVHRQLGGEPFMVQATLRMRGLTVFGSHPINIMTTGFA -FHYYANNPEQIGEYDFIMFDECHVHDAQAMAFRCLLKEHEFKGKILKTSATPPGREVEFTTQYPVQIKVE -ERLSFKAFVEAQGTGSNADVVTIADNILVYVASYNEVDELSRMLVEANYKVTKVDGRTMKVGNVEIQTCG -SPQKKHFIVATNIIENGVTLDIEAVVDFGTKVTAYLDVDLRALHMSKGPISYGERIQRLGRVGRNKAGVA -LRIGFTEKGLTEIPQTTATEAAFLCFAYGLPVMTPNVSTSLLSTCTVKQARTMLQFELTPFYMVNMVRYD -GSMHPAIHSILKKYKLRDAETDLNKMAIPNRGVTGWLSVGEYVKSGKRMDIDDSVRIPFLNPSMPERLHV -DIWDAITKYKHEAGFGRISCINSCKVAYTLQTDLYAIPRTIKIIDALIADEMRKKEHYKTITGRTVSSSS -FTLNSIATLWRNRYAQDYTSENIAVLSSVRSQLLEFENLSVDSSFNSMGEAALRAYVRETGATSCVLHQT -KDSLSKHLRLKGVWNKSVITQDLFILAGVFAGGLWMIMAGLKESFDQTVQHQGREKRQMQKLKFRKARDN -KLGFEVHADDGTIEHFFGSAYTKKGKQKGKVTGMGSKNRKFINMYGFDPTEYSFVRFVDPLTGAVIDDSP -YTDILLVQERIGEARLNAIKEDELSREKVAQNPGIHAYYINEITNAALKVDLTPHNPLLACERHSTIAGY -PEYEGVLRQTGHPIKMTLNDVPKSPEETSLVGHESKSLFRGLRDYNPIASVICHLVNEADGRTSDCFGIG -YGGLIVTNRHLFKRNNGTLTIRSRHGEFVIKNTTQLGMKPCADRDILIIRMPKDIPPFPQRLKFRVPKEN -ERICLVGSNFQDKSITSTISETSVTCHVPNSHFWKHWIDTKDGHCGLPLVSTTDGALLGVHSLSNLTNTQ -NFFASFPENFEVDYLKTPEAMDWIKKWSYNPDEICWGTLELKTGQPIAPFKVSKLITDLEGIQVYAQTRS -DRWVQDRLYGNLKAVGQCPAQLVTKHVVKGKCMLFDLYLQQDQSEKEYFKPLMGAYGKSRLNKEAYNKDL -FKYATQIQAGDVQVDMFELAERSVVSMLTAKGFEKCNYITDPEEILKALNMKAAVGAMYSGKKKDYFEGM -SDHDVEDHLFHSCKRLFMGYKGLWNGSLKAELRPMEKVELNKTRTFTAAPLDTLLGGKVCVDDFNNMFYN -HHLKCPWTVGITKFYQGWDRLLTSLPEGWIYCDADGSQFDSSLSPYLINSVLNIRREFMEDWDVGDQMLR -NLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAVHYTLLKLGIQESEFDECCVFFANGDD -LLLAMRPDTAHLLDKFSECFSELGLNYDFSSRTSNKEELWFMSHRGLKRDGIFIPKLEPERIVSILEWDR -SHEPIHRLEAICAAMVESWGYDELLHHIRKFYAWVLDQAPYNELARSGKAPYIAETALKALYTGVQPSAS -ELSAYAKVLNEMYDDSVLQENELEVYHQSSERTEFKDAGANPPAPKPQNIPPPPTITEVTDPEDPKQAAL -RAARAKQPATIPESYGRDTSKEKESIVGASSKGARDKDVNVGTVGTFVVPRVKMNANKKRQPMVNGRAII -NFQHLSTYEPEQFEVANTRSTQEQFQAWYEGVKGDYGVDDTGMGILLNGLMVWCIENGTSPNINGVWTMM -DGDEQVTYPIKPLLDHAVPTFRQIMTHFSDVAEAYIEMRNRTKAYMPRYGLQRNLTDMSLARYAFDFYEL -HSTTPARAKEAHLQMKAAALKNAKNRLFGLDGNVSTQEEDTERHTTTDVTRNIHNLLGMRGVQ - ->NP_044727.1 polyprotein [Ryegrass mosaic virus] -MMNFGSLNVGLKQVDGTWVPRVFEEKEMARLLAEKQHARVMRATQEMMKAPNPFAEFDEMHQRGNPFAGR -VRKCETREPKSAQKPIVTVDTVPVAIYTDVIWPENGKVHALSRRRAPRKHARRSKILACDLLTQVLNISR -RAGKSVEVIGKRRCCLKPRRRDGKSCFGVITKHHKGVLSSRDMVKDLFVDSIIEHIAYTGHTPLIDAADI -KPGDSGLIYREKKDGYVTRVVRGRHDGDIIDARDYVRAGIHTIKHYSDDGKSLVKYAPYCQPSHHTFGHM -CRVTWSDTEILQFREMLSQAIMPQRDPRCDICAEVAGQRTKDEILQHARTSQMMQMLEFGKEDERWKAPR -RVMETLLEESNWPSMDYSTSSEITTICCGNNDEPFRRIYSIMKVLAEPNLADVSAWQEANSSLLQLARYM -KNREMSVQAGNSATFTNPFPPTVHTFGPTNNGADILQGPWDNWGDKQPIALAFFEKHFNKWQINEFSIDR -RVRKHIRGTRKLALMDLNQSRSIKDLEDHVQEEEIPYERKTESCITMYKDQYLYSCSCVTARDGKPYLSM -RYLQATGLIPIARGADVQHMPNSDSWQGFYYVAPEGYCYINIFLPMLALAPYYKVGKLSELIGKLIKVLG -KWPKLKDVALACLYITEYHTYAQNALLPPILVHHSTRTMHVVDTLGSLSVGYHVLKAGTVKHLVNLASRL -ATGEMLDYNVGGSLGGIHAYDLLIRSTFDHVLLERALETDPYYILYSALSPTVLKQMYTSKSYANALRVF -VRSNQSLFQVVCTLENLARRMTRAQSIEQQIMQLQSLYPQLLDMLADNIPDSPLSWLSHHVTTDSMQRAI -ELNNCDIELARGGYASINTSWRKKKEQYYADLIKEYYNALSPQAKIFVSRAYIGYLHATTPLFANARKIS -SEIASNICTQAYSRTIGRGISIVSSGGRKGKTWLTARGDSFYKTMISRAIKLYTPEVSAVIGVATVVGIL -LSTMTTLHTYLVKNKQTAQKTNEKFEELMYDKVALYIPKYDAEHSHLQGKDLDFEHFARWLMARDKKLSS -FVQSHLVDTVTHQAKDDTNVWIEKCIATIVLMMMAIDSNKSDKLYQILCKLRTVFSTMGQTVVTHQSIDE -ILDIDESKRTTIDFERVEVMQPTQPILKTTFEGFWDMQIQMGRTVAHYRTTGRLVELTRENIAEVVATIS -SDTANAEFIVRGGVGTGKSTSLPTALCERGRVLMLEPTRPLTENVAQQLRGEPHFKSPSVHMRGLNTFGS -SRITIMTSGYALHYYANNRQLLRDFEFIMFDECHVMDSSAMAFYSLCNDAKVAAKLLKVSATPAGRECEF -KPMFPVRVSEAAQLSFESFVTAQGSKSTYDIIQYGNNILVYVASYNEVDKLAAMLLEKRFRVTKVDGRTM -KLNTHGIELHGTAQVKHFIVATNIIENGVTLEIDCLVDFGTKVVAQLDTEGRRIMYMKVPISYGERIQRL -GRVGRTKPGARLKVGHTMRGIVEIPEVIATEAAFQCFMYDLPVMTGQVSVSLLSKCTREQARTMAAFELS -PFTMSNLVAFDGTMHPAIHDLLKKFKLRDSTVVLRRTALPLRASASWYTVREYETIIGDLHIENKDVRIP -FVANDLSNSLLEGLWDAIQCNRSDVSTTKLTTVSANKIAYTLKTDTSSIQRTISIIDDLLAEERKKQEMF -THHLSTTSGGYTFGLNAIAMCIKSRYAKGYCIENIATLTNVRNQLTEFSGMSEDQYTSEIIQNYPDLTLV -HHQSKQEIIRNLKLKAKYDQTLIASDLLLGTAVLIGGGAMLYKTFMTETNTRVHLEGDGKRQREKLQYRA -ARDSKQDYEVYADEREIQENYGEAYTKHGRKGPAHEKGTGSKTREFTNFYGFDPAEYDTVRLVDPITGKT -CDKAVRDLLRMRDVADTFAEIRESMDEDMILQPGVNFAPALIEAYFMNSRTNAARRVDLVPHNPMQVGRL -SNNIAGFPTHDGELRQSRPSRPIQKDQVPAANEYSVQHESKSIAKGLRDYHPVSSNLCALEYYCGDMRTS -IYGVCYGPYILTTAHLIKEKGGWLKIRTKHGLFKLEAMDRVQIRELCGSDIIVIKGPKDMPPAPMRLKFR -APKSGERAVLVGFVDDNLDRQLVSDSSAVYRRENTGFWKHWITTKYGNCGLPMVSVDTMDIIGLHSLGAQ -NSNENYFAALTDDFSKQFFEPETDVPWQRKWSYNADKVNYGTMDLTSNQPSGAFKTTKLLEDLLEAVSHQ -SQEYTWLTKYCGANLLVIGKCPGNLITKHVIKGKSPTFDLFLSVDAQASDFFKPLMGDYAPSRLNREAFV -KDITKYDTEIPIGNLSITDFENAVEDTYYILKDSGIEQCNYITDAIPIFDSMNMKAATGALYGGKKKDYF -ENYTDDMKQNILKESYIRLREGKMGIWNGSLKAELRSKEKVEANKTRVFTAAPLDTLLAGKGCVDDFNNQ -FYAAHLKGPWTVGITKFFGRWNDFLSELPPGWDYFDADGSRFDSSLTPFLLNAVLNIRKKFMINWAFGQR -CLGNLYTEIIYTPIATPDGSVVKKMRGNNSGQPSTVVDNTIMVIIAMQYAISKAEFPAGRLRDQIRYFAN -GDDLVVAVEPSLSDKISSFSASFAELGLSYDFSNKVNDRSELQFMSHTGKLIDGMYIPMLERERICAILE -WSRSDEPQFQLDAISAAMIEAWGDDELLYQIRRYYSWLLEQEPYKSIAELGHAPYLAEAALKALYTGKDP -DAELIAIYERAMLNTPPTEDRPTKVVHEANVTAASSAATQTSTTSPTVTSTSGASTSTSSGTTSAPLAST -TPPVSATTTPSTGTTAPTTPTVRAANLPDIAGHRKAKANGESQLNVRGENDDEDVPAASEFALPRLPTLG -AKIRVPKFKGAIVLNKDHLIKYTPDQRDLSNTRATQEQFEKWYSGVRNEVEKTDEEMALLLNGSMVWCME -NGTSPDLSGSWTMMEGEEQIAYPLEPFCRHAQPTLRSIMAHFSDAATAYVVLRNQKSRYMPRYGLKRGLN -DYSLAPYAFDFYEITSTSPLRARERHAQMKAAAIRGKASRMFGLDGNVSAQSENTERHTVEDVNTRVHSL -SGANML - ->NP_056758.1 polyprotein [Papaya ringspot virus] -MSSLYTLRAAAQYDRRLESKKGSGWVEHKLERKGERGNTHYCSEFDISKGAKILQLVQIGNTEVGRTFLE -GNRFVRANIFEIIRKTMVGRLGYDFESELWVCRNCDKTSEKYFKKCDCGETYYYSERNLMRTMNDLMYQF -DMTPSEINSVDLEYLANAVDYAEQLVKRSQVPEPVELAMMEPIVASGEGILMVSEPEVMPVTTKVEEAWT -IQIGEIPVPLVVIKETPVISGVEGTLNSTGFSLEADITKLVEKEILQEEVKEAVHLALEVGNEIAEKKPE -LKLIPYWSASLELHKRIRKHKEHAKIAAIQVQKEREKDQKVFSALELRLNLKSRRRNQAVVCDKRGTLKW -ETQRGHKKSKLMQQASDFVVTQIHCDFGCKTQYSEPHIPGIKQSTSKKICKPRKHSRIVGNSKINYIMKN -LCDTIIERGIPVELVTKRCKRRILQKEGRSYVQLRHMNGIRARQDVSSSPDMELLFTQFCKFLVGHKPLK -SKNLTFGSSGLIFKPKFADNVGRYFGDYFVVRGRLGGKLFDGRSKLARSVYAKMDQYNDVAEKFWLGFNR -AFLRHRKPTDHTCTSDMDVTMCGEVAALATIILFPCHKITCNTCMSKVKGRVIDEVGEDLNCELERLRET -LSAYGGSFGHVSTLLDQLNRVLNARNMNDGAFKEIAKKIDEKKESPWTHMTTINNTLYKGSLATGYEFER -ASNSLREIVRWHLKRTESIKAGSVESFRNKRSGKAHFNPALTCDNQLDKNGNFLWGERQYHAKRFFANYF -EKIDHSKGYEYYSQRQNPNGIRKIAIGNLVFSTNLERFRQQMVEHHIDQGPITRECIALRNNNYVHVCSC -VTLDDGTPATSELKTPTKNHIVLGNSGDPKYVDLPTLESDSMYIAKKGYCYMNIFLAMLINIPENEAKDF -TKRVRDLVGSKLGEWPTMLDVATCANQLVVFHPDAANAELPQILVDHRQKTMHVIDSFGSVDSGYHILKA -NTVNQLIQFARDPLDSEMKHYIVGGEFDPTTNCLHQLIRVIYKPHELRSLLRNEPYLIVIALMSPSVLLT -LFNSGAVEHALNYWIKRDQDVVEVIVLVEQLCRKVTLARTILEQFNEIRQNARDLHELMDRNNKPWISYD -RSLELLSVYANSQLTDEGLLKQGFSTLDPRLREAVEKTYATLLQEEWRALSLFQKLHLRYFAFKSQPSFS -EYLKPKGRADLKIVYDFSPKYCVHEVGKAFLLPVKAGAKIASRIINGCGAFIRKSAAKGCAYIFKDLFQF -VHVVLVLSILLQIFRSAQGIATEHLQLKQAKAEVERQKDFDRLEALYAELCVKSGEQPTTEEFLDFVMER -EPRLKDQAYNLIYIPVIHQAKSDNEKKLEQVIAFITLILMMIDVDKSDCVYRILNKFKGVINSSNTNVYH -QSLDDIRDFYEDKQLTIDFDITGENQINRGPIDVTFEKWWDNQLSNNNTIGHYRIGGTFVEFSRVNAATV -ASEIAHSPEREFLVRGAVGSGKSTNLPFLLSKHGSVLLIEPTRPLCENVCKQLRGEPFHCNPTIRMRGLT -AFGSTNITIMTSGFALHYYAHNIQQLRLFDFIIFDECHVIDSQAMAFYCLMEGNAIEKKILKVSATPPGR -EVEFSTQFPTKIVTEQSISFKQLVDNFGTGANSDVTAFADNILVYVASYNEVDQLSKLLSDKGYLVTKID -GRTMKVGKTEISTSGTKFKKHFIVATNIIENGVTLDIEAVIDFGMKVVPEMDSDNRMIRYSKQAISFGER -IQRLGRVGRHKEGIALRIGHTEKGIQEIPEMAATEAAFLSFTYGLPVMTHNVGLSLLKNCTVRQARTMQQ -YELSPFFTQNLVNFDGTVHPKIDVLLRPYKLRDCEVRLSEAAIPHGVQSIWLSARDYEAVGGRLCLEGDV -RIPFLIKDVPERLYKELWDIVQTYKRDFTFGRINSVSAGKIAYTLRTDVYSIPRTLITIDKLIESENMKH -AHFKAMTSCTGLNSSFSLLGVINTIQSRYLVDHSVENIRKLQLAKAQIQQLEAHMQENNVENLIQSLGAV -RAVYHQSVDGFKHIKRELGLKGVWDGSLMIKDAIVCGFTMAGGAMLLYQHFRDKFTNVHVFHQGFSARQR -QKLRFKSAANAKLGREVYGDDGTIEHYFGEAYTKKGNKKGKMHGMGVKTRKFVATYGFKPEDYSYVRYLD -PLTGETLDESPQTDISMVQDHFSDIRRKYMDSDSFDRQALIANNTIKAYYVRNSAKAALEVDLTPHNPLK -VCDNKLTIAGFPDREAELRQTGPPRTIQVDQVPPPSKSVHHEGKSLCQGMRNYNGIASVVCHLKNTSGKG -KSLFGIGYNSFIITNRHLFKENNGELIVKSQHGKFIVKNTTTLQIAPVGKTDLLIIRMPKDFPPFHSRAR -FRAMKAGDKVCMIGVDYQENHIASKVSETSIISEGTGDFGCHWISTNDGDCGNPLVSVSDGFIVGLHSLS -TSTGDQNFFAKIPAQFEEKVLRKIDDLTWSKHWSYNINELSWGALKVWESRPEAIFNAQKEVNQLNVFEQ -SGGRWLFDKLHGNLKGVSSAPSNLVTKHVVKGICPLFRNYLECDEEAKAFFSPLMGHYMKSVLSKEAYIK -DLLKYSSDIVVGEVNHDVFEDSVAQVIELLNDHECPELEYITDSEVIIQALNMDAAVGALYTGKKRKYFE -GSTVEHRQALVRKSCERLYEGRMGVWNGSLKAELRPAEKVLAKKTRSFTAAPLDTLLGAKVCVDDFNNWF -YSKNMECPWTVGMTKFYKGWDEFLKKFPDGWVYCDADGSQFDSSLTPYLLNAVLSIRLWAMEDWDIGEQM -LKNLYGEITYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVLITMYYALRKAGYDTKTQEDMCVFYING -DDLCIAIHPDHEHVLDSFSSSFAELGLKYDFAQRHRNKQNLWFMSHRGILIDDIYIPKLEPERIVAILEW -DKSKLPEHRLEAITAAMIESWGYGDLTHQIRRFYQWVLEQAPFNELAKQGRAPYVSEVGLRRLYTSERGS -MDELEAYIDKYFERERGDSPELLVYHESRGTDDYQLVCSNNTHVFHQSKNEAVDAGLNEKLKEKEKQKEK -EKEKQKEKEKDGASDGNDVSTSTKTGERDRDVNVGTSGTFTVPRIKSFTDKMVLPRIKGKTVLNLNHLLQ -YNPQQIDISNTRATHSQFEKWYEGVRNDYGLNDNEMQVMLNGLMVWCIENGTSPDISGVWVMMDGETQVD -YPIKPLIEHATPSFRQIMAHFSNAAEAYIAKRNATERYMPRYGIKRNLTDISLARYAFDFYEVNSKTPDR -AREAHMQMKAAALRNTSRRMFGMDGSVSNKEENTERHTVEDVNRDMHSLLGMRN - ->NP_056867.1 polyprotein [Tobacco vein mottling virus] -MAATMIFGSFTHDLLGKAMSTIHSAVTAEKDIFSSIKERLERKRHGKICRMKNGSIYIKAASSTKVEKIN -AAAKKLADDKAAFLKAQPTIVDKIIVNEKIQVVEAEEVHKREDVQTVFFKKTKKRAPKLRATCSSSGLDN -LYNAVANIAKASSLRVEVIHKKRVCGEFKQTRFGRALFIDVAHAKGHRRRIDCRMHRREQRTMHMFMRKT -TKTEVRSKHLRKGDSGIVLLTQKIKGHLSGVRDEFFIVRGTCDDSLLEARARFSQSITLRATHFSTGDIF -WKGFNASFQEQKAIGLDHTCTSDLPVEACGHVAALMCQSLFPCGKITCKRCIANLSNLDFDTFSELQGDR -AMRILDVMRARFPSFTHTIRFLHDLFTQRRVTNPNTAAFREILRLIGDRNEAPFAHVNRLNEILLLGSKA -NPDSLAKASDSLLELARYLNNRTENIRNGSLKHFRNKISSKAHSNLALSCDNQLDQNGNFLWGLAGIAAK -RFLNNYFETIDPEQGYDKYVIRKNPNGERKLAIGNFIISTNLEKLRDQLEGESIARVGITEECVSRKDGN -YRYPCCCVTLEDGSPMYSELKMPTKNHLVIGNSGDPKYLDLPGEISNLMYIAKEGYCYINIFLAMLVNVD -EANAKDFTKRVRDESVQKLGKWPSLIDVATECALLSTYYPAAASAELPRLLVDHAQKTIHVVDSYGSLNT -GYHILKANTVSQLEKFASNTLESPMAQYKVGGLVYSENNDASAVKALTQAIFRPDVLSELIEKEPYLMVF -ALVSPGILMAMSNSGALEFGISKWISSDHSLVRMASILKTLASKVSVADTLALQKHIMRQNANFLCGELI -NGFQKKKSYTHATRFLLMISEENEMDDPVLNAGYRVLEASSHEIMEKTYLALLETSWSDLSLYGKFKSIW -FTRKHFGRYKAELFPKEQTDLQGRYSNSLRFHYQSTLKRLRNKGSLCRERFLESISSARRRTTCAVFSLL -HKAFPDVLKFINTLVIVSLSMQIYYMLVAIIHEHRAAKIKSAQLEERVLEDKTMLLYDDFKAKLPEGSFE -EFLEYTRQRDKEVYEYLMMETTEIVEFQAKNTGQASLERIIAFVSLTLMLFDNERSDCVYKILTKFKGIL -GSVENNVRFQSLDTIVPTQEEKNMVIDFELDSDTAHTPQMQEQTFSDWWSNQIANNRVVPHYRTEGYFMQ -FTRNTASAVSHQIAHNEHKDIILMGAVGSGKSTGLPTNLCKFGGVLLLEPTRPLAENVTKQMRGSPFFAS -PTLRMRNLSTFGSSPITVMTTGFALHFFANNVKEFDRYQFIIFDEFHVLDSNAIAFRNLCHEYSYNGKII -KVSATPPGRECDLTTQYPVELLIEEQLSLRDFVDAQGTDAHADVVKKGDNILVYVASYNEVDQLSKMLNE -RGFLVTKVDGRTMKLGGVEIITKGSSIKKHFIVATNIIENGVTLDVDVVVDFGLKVVPNLDSDNRLVSYC -KIPISLGERIQRFGRVGRNKPGVALRIGETIKGLVEIPSMIATEAAFLCFVYGLPVTTQNVSTSILSQVS -VRQARVMCQFELPIFYTAHLVRYDGAMHPAIHNALKRFKLRDSEINLNTLAIPTSSSKTWYTGKCYKQLV -GRLDIPDEIKIPFYTKEVPEKVPEQIWDVMVKFSSDAGFGRMTSAAACKVAYTLQTDIHSIQRTVQIIDR -LLENEMKKRNHFNLVVNQSCSSHFMSLSSIMASLRAHYAKNHTGQNIEILQKAKAQLLEFSNLAIDPSTT -EALRDFGYLEAVRFQSESEMARGLKLSGHWKWSLISRDLIVVSGVGIGLGCMLWQFFKEKMHEPVKFQGK -SRRRLQFRKARDDKMGYIMHGEGDTIEHFFGAAYTKKGKSKGKTHGAGTKAHKFVNMYGVSPDEYSYVRY -LDPVTGATLDESPMTDLNIVQEHFGEIRREAILADAMSPQQRNKGIQAYFVRNSTMPILKVDLTPHIPLK -VCESNNIAGFPEREGELRRTGPTETLPFDALPPEKQEVAFESKALLKGVRDFNPISACVWLLENSSDGHS -ERLFGIGFGPYIIANQHLFRRNNGELTIKTMHGEFKVKNSTQLQMKPVEGRDIIVIKMAKDFPPFPQKLK -FRQPTIKDRVCMVSTNFQQKSVSSLVSESSHIVHKEDTSFWQHWITTKDGQCGSPLVSIIDGNILGIHSL -THTTNGSNYFVEFPEKFVATYLDAADGWCKNWKFNADKISWGSFTLVEDAPEDDFMAKKTVAAIMDDLVR -TQGEKRKWMLEAAHTNIQPVAHLQSQLVTKHIVKGRCKMFALYLQENADARDFFKSFMGAYGPSHLNKEA -YIKDIMKYSKQIVVGSVDCDTFESSLKVLSRKMKEWGFENLEYVTDEQTIKNALNMDAAVGALYSGKKKQ -YFEDLSDDAVANLVQKSCLRLFKNKLGVWNGSLKAELRPFEKLIENKTRTFTAAPIETLLGGKVCVDDFN -NHFYSKHIQCPWSVGMTKFYGGWNELLGKLPDGWVYCDADGSQFDSSLSPYLINAVLRLRLSSMEEWDVG -QKMLQNLYTEIVYTPISTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAMYYALSKLGVDINSQEDVCKFF -ANGDDLIIAISPELEHVLDGFQQHFSDLGLNYDFSSRTRDKKELWFMSHRALSKDGILIPKLEPERIVSI -LEWDRSAEPHHRLEAICASMIEAWGYTDLLQNIRRFYKWTIEQEPYRSLAEQGLAPYLSEVALRRLYTSQ -IATDNELTDYYKEILANNEFLRETVRFQSDTVDAGKDKARDQKLADKPTLAIDRTKDKDVNTGTSGTFSI -PRLKKAAMNMKLPKVGGSSVVNLDHLLTYKPAQEFVVNTRATHSQFKAWHTNVMAELELNEEQMKIVLNG -FMIWCIENGTSPNISGVWTMMDGDEQVEYPIEPMVKHANPSLRQIMKHFSNLAEAYIRMRNSEQVYIPRY -GLQRGLVDRNLAPFAFDFFEVNGATPVRAREAHAQMKAAALRNSQQRMFCLDGSVSGQEENTERHTVDDV -NAQMHHLLGVKGV - ->NP_056765.1 polyprotein [Pea seed-borne mosaic virus] -MSTLVCQAVAAPVWSNGARTRRIRDADGEYRCTQCDMGFDSMTMARPVNHCCDGIMIDEYNLYDDDPIMH -LVDSKTPIKRGSQETEGDGMAAEAIKVTGAEPVNCFMVGTIKCKINENSIVAKGVMAAIPRQLTQDEVFM -RKARLQAAVAKSTIEREEKERQFAFSKLEEKLRARREKLKDGIVIKTRKGLEWREATPNQQRGKLQSTSF -DASGGKTLTPHTIYCKTKSSKFSNGGVKCATSKKMRTVRKPQSLKMKTESIDVLIEQVMTIAGKHAKQVT -LIDKQKTNRVWIRRVNGVRLLQVETKHHKGIISQKDASLNNLTKRVARHFARKTAYIHPSDSITHGHSGV -VFLRANISGSKSYSIDDLFVVRGKRNGKLMESRNKVAWRKMFQIDHFSIVGIKIWNAFDAEYVKLRDESV -SDHDCVGGITPEECGILAAQILRVFYPCWRITCTKCISNWLSKPTSEQIEHIYERGNLAIQDLNKRIPSA -HHVTQMVELLRQRIKNTTFDMGNNTKVHELIGHRQDGVFRHLNRLNNSILAANGSSTIEWESMNESLLEL -ARWHNKRTESIASGGISSFRNKISAKAQINFALMCDNQLDTNGNFVWGERGYHAKRFFSEFFTKIDPKDG -YSHHTVRATPTGVRHLAIGNLIIPGDLQKLREKLEGVSITAVGISEKCVSRRNGDFVYPCSCVTSENGKP -VLSDVILPTRNHLVIGNTGDPKLVDLPKTETGRMWIAKEGYCYINIFFAMLVNVSEKDAKDFTKFVRDEI -MPQLGKWPTMMDVATACYKLAIIYPDVRDAQLPRILVDHSEQIFHVIDSYGSMTTGYHILKAGTVSQLIS -FAHGALLGEMKMYRVGGTQKMEINMCCCQRKNLLIKQLIRAIYRPKLLTEIIETEPFVLMLAIVSPSILK -AMFRSGTFNQAIKFYMHRSKPTAQTLAFLEALSERVSRSRVLSEQFNIIDGALKELKSLANMSMRTQHTY -PIVQNQLDIMIERVSADAELLRDGFVVSKGRVQALIEKNYQDDLRNSFTDLPYVQQLQQTMSFSRVKHGF -GELCESKDLSFSKEAWMGHLSSFSAGGKQIIRLARTKSQQMLASGGRRVTLAARNITMRMVTATFSEIMK -FVNMLLVLSMIFKLWKQANTLLEEREKDKWEKFDRSQNELRRQLRYTLWRFEAQEGRQVTREEFFDYLKY -NEGIENRHELINELIANQPLFSIQAKKHGEIRFEQTVALMALLAMMFGSDRSDAVFSTLSKVRTIFTTMA -QEVRCQSIDDIHDVFDEKKATIDFELATDQPAQVQMDKTFCEWWQNQMEQNRTVPHYRTGGKFIEFTRSN -AASVANEIAHTPDFSEYLIRGAVGSGKSTGLPCYLSAKGRVLLLEPTRPLTENVCAQLRGSPFHKSPSMS -MRNGHTFGSTPIHVMTTGYALHFFCNNVERIREYDFVIFDECHVIDSSAMSFYCALKEYSYQGKILKVSA -TPPGREVEFKTQFPVTIATEDSLSFDQFVQAQGSGANCDILKKGHNILVYVSSYNEVDRLSKLLVDRGFK -VTKVDGRTMKLGGVEINTSGTAEKPHFIVATNIIENGVTLDIDVVVDFGVKVVAELDADARTMRYNKQAI -SYGERIQRLGRVGRLKDGHALRIGHTEKGITEIPVAIAVESAFQCFAYGLPVMTSNVSTSIIGNCTVKQA -RTMMNFELSPFFTVELVKYNGTMHPEIHKILVPYKLRDSSMQLCKEAIPNSGVSRWHTAHEYISHGIVLE -TLKSDVRIPFYLKGVPEKVYEKIWNAVCVFKSDSGFGRMSTASACNVAYTLKTDPLSITRTIAHIDALLI -EEQEKKSQFDLMSSHVTNSSSISLAGLVNRLRSKWMVDHSGENIVKLQNARSQLLEFRGMDINLDDVESF -RKFGCAETVRCQSKSEVSKTLQLKGKWNKPLITSDFFVVCMVSIGCVVLMYQIFMAKWNEPVKLEGKSKA -KTLRFRQARDNNAKYEVFADEDTKRHYFGEAYTKKGKKSGKARGMGVKTKKFVNVYGFDPCEYSLVRFVD -PLTGLTYDRHPMEHMMDVQETIGDDRREAMWNDELDKQLFVTRPTIEAYYIKDKTTPALKIDLNPHNPMR -VCDKAETIAGFPEREFELRQSGSATLVPYSEVPVQNEKQEFDEEHVRTEAASLHFGLRDYNPIAQAVCRI -TNTGVDYDRSIFGIGFGQFLITNAHCFKLNEGETRIVSRHGQFTIEKTHSLPIHQVKDKDMVIVRLPKDF -PPFPQRLQFRAPQEREKICLVGSNFQEKSIQSVITESCMTFKHNGGKYWKHWITTKEGHCGLPAVALKDG -HIVGIHNLGGENTNINYFTPFDADILDKYLLNAEALQWTKGWKYNKNKVCWGGLELLDDNEPEESGLFRM -VKLLKSLEEDGVRTQSRDDAWLEKEIKGSLKVVARCPGQLVTKHVVKGPCAMFQLYLELHEDAKSFFTPR -MGSYGKSRLSKGAFIKDIMKYSSNTVVGNVDCDVFENAIDNVEKILWKAGMMQCEYVTDAEAIFQSLNMN -AAVGAMYQGKKKDYFEDFTAADRELIVKQSCERLFLGKKGVWNGSLKAELRPIEKVHENKTRTFTAAPLD -TLLGGKVCVDDFNNFFYSCHLRGPWTVGITKFYAGWNEFLSKLPDGWLYCDADGSRFDSSLTPYLINAVL -ELRLRFMEEWDAGEQMLKNLYTEIIYTPIATPDGSVIKKTKGNNSGQPSTVVDNTLMVILAMQYSLQLLG -VDFETQDEVVRYFANGDDLLIAVRPDCEFVLKGLEIHFSNLGLNYNFSARHHDKKDVWFMSTRGILRDGI -LIPKLEEERIVAILEWDRSREFSHRLDAICAAMIEAWGYDELLQHIRKFYYWLLEQEPYRSIAQEGKAPY -IAETALRHLYTNAMATQSELEKYTEAINQHYNDEGGDGSIKVRLQAGDETKDDERRRKEEEDRKKREESI -DASQFGSNRDNKKNKNKESDTPNKLIVKSDRDVDAGSSGTITVPRLEKISAKIRMPKHKGGVAISLQHLV -DYNPAQVDISNTRATQSQFDNWWRAVSQEYGVGDNEMQVLASGLMVWCIENGTSPNINGMWTMMDGEEQV -EYPLKPVMDNARPTFRQIMAHFSDVAEAYIEKRNSTEVYIPRYALQRNLRDPSLARYGFDFYEITAKTPV -RAREAHFQMKAAAIRGKSNSLFGLDGNVGTQEENTERHTAEDVNQNMHNLLGMRAM - ->NP_040807.1 polyprotein [Plum pox virus] -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNAHLLCRRAAESLINTYESATASAWKGLEEKL -QPMFAKREFSKTVTKRKGLRCFKESSEKFIEKKLRKQYQEERERLQFLNGPDAIVNQISVDKCEASVRVP -SPHIIEKPSFVTPSMKKKVVFKKVRMSEASLQLFMRRVAANAKANGQKVEIIGRKRVVGNYTTKSRLTYF -RTHVRHLDGSKPRYDLVLDEATKKILQLFANTSGFHHVHKKGEVTPGMSGFVVNPMNLSDPMQVYDTDLF -IVRGKHNSILVDSRCKVSKKQSNEIIHYSDPGKQFSDGFTNSFMQCKLRETDHQCTSDLDVKECGYVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSTVIEQHEKTVMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGERKEAPFSHLNKINELIIKGGMMSAQDYIEASDHLRELARYQKNRTENIRSGSIKAFR -NKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDVIDVSEGYRRHIVRENPRGIRKLAIGN -LVMSTNLAALRKQLLGEECIHFEVSKECTSKRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNSGD -SKYVDLPTAKGGAMFIAKAGYCYINIFLAMLININEDEAKSFTKTVRDTLVPKLGTWPSMMDLATACHFL -AVLYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHVLKANTINQLISFASDTLDSNMKTYLVGGLEV -DKCDEFKNVKLLIRSIYKPQIMEQVLKEEPYLLLMSVLSPGVLMALFNSGSLEKATQYWITRSHSLAAIT -SMLSALAAKVSLASTLNAQMSVIDEHAAVLYDSVFVGTQPYASYMMAVKTLERMKARTESDHTLNDLGFS -VLRQATPHLVEKSYLQELEQAWKELSWSEKFSAILESQRWRKHIPKPFIPKDGADLGGRYDISVRSLLGN -QYKRLRDVVRWKRDDVVCYTYQSMGKLFCKAIGISPSFLPSTLKMLDMLIVFSLLLSIGATCNSMVNEHK -HLKQLAADREDKKRFKRLQVLYTRLSEKVGCTPTADEFLEYVGDENPDLLKHAEDLIGDGQVVVHQSKRD -SQANLERVVAFVALVMMLFDSERSDGVYKILNKLKGIMGSVDRAVHHQSLDDIEDILDEKKLTVDFVLQS -NEVAPTVPFDSTFEKWWMNQLETGNVIPHYRTEGHFLEFTRENAAHIANEVMHGSHQDILIRGAVGSGKS -TGLPFHLSKKGHVLLIEPTRPLAENVCKQLRGQPFNVNPTLRMRGMSTFGSTPITVMTSGYALHFLANNP -TYLDNYKCIIFDECHVHDASAMAFRCLLSEYSYPGKILKVSATPPGHEVEFKTQKEVKVIVEESLSFQQF -VSNLGTGCNSDILKHGVNVLVYVASYNEVDTLSKLLTDRSFKVSKVDGRTMKVGNVEIPTSGTQAKPHFV -VATNIIENGVTLDIDVVVDFGLKVVPVLDIDNRLVRYTKKSISYGERIQRLGRVGRNKPGAALRIGFTEK -GLTQIPPIIATEAAFLCFTYGLPVMTNGVSTSLLAMCTVKQARTMQQFELSPFYTVALVRFDGTMHQEIF -RLLKSYRLRDSEVILNKLAIPNSNVCGWMSVRDYKRQGCNLDLDENIRVPFYVKDIPETLHERIWQAVET -HKSDAGFGRICSSSACKIAYTLQTDIHSIPRTIKIIDALLEQERTKQAHFRAMTSQSCSSSNFSLSSITS -AIRSKYAKDHTEENIGVLQMAKSQLLEFKNLNIDPSYPELIRNFGALECVHHQTKEGVSKALQLKGHWNK -RLITRDATLMLGVLGGGAWMIFSYLRDSFKEEVIHQGFNRRQRQKLKFRQARDNRMAREVYGDDSTMEAY -FGSAYSKKGKSKGKTRGMGTKTRKFVNMYGYDPTDYNFVRFVDPLTGHTLDESPLMDINLVQEHFSQIRN -DYIGDDKITMQHIMSNPGIVAYYIKDATQKALKVDLTPHNPLRVCDKTATIAGFPEREFELRQTGHPVFV -EPNAIPKINEEGDEEVDHESKSLFRGLRDYNPIASSICQLNNSSGARQSEMFGLGFGGLIVTNQHLFKRN -DGELTIRSHHGEFVVKDTKTLKLLPCKGRDIVIIRLPKDFPPFPRRLQFRTPTTEDRVCLIGSNFQTKSI -SSTMSETSATYPVDNSHFWKHWISTKDGHCGLPIVSTRDGSILGLHSLANSTNTQNFYAAFPDNFETTYL -SNQDNDNWIKQWRYNPDEVCWGSLQLKRDIPQSPFTICKLLTDLDGEFVYTQSKTTHWLRDRLEGNLKAV -GACPGQLVTKHVVKGKCTLFETYLLTHPEEHEFFRPLMGAYQKSALNKDAYVKDLMKYSKPIVVGAVDCD -QFERAVDVVISMLISKGFEECNYVTDPDDIFSALNMKAAVGALYSGKKRDYFENVSDQDKESFVRASCKR -LFMGKKGVWNGSLKAELRPKEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSLNLHCPWSVGMTKFR -GGWDKLLKALPEGWIYCDADGSQFDSSLSPYLINAVLNIRLAFMEEWDIGEQMLSNLYTEIVYTPIATPD -GTIVKKFKGNNSGQPSTVVDNTLMVILAMTYSLLKLGHHPDTHDCICRYFVNGDDLVLAVHPAYESIYDE -LQEHFSQLGLNYTFTTKTENKEELWFMSHKGVLYDDMYIPKLEPERIVSILEWDRSNEPIHRLEAICASM -VEAWGYKELLREIRKFYSWVLEQAPYNALSKDGKAPYIAETALKKLYTDTEASETEIERYLEAFYDNIND -DGESNVVVHQADEREDEEEVDALQPPPVIQPAPRTTAPMLNPIFTPATTQPATKPVSQVSGPQLQTFGTY -SHEDASPSNSNALVNTNRDRDVDAGSTGTFTVPRLKAMTSKLSLPKVKGKAIMNLNHLAHYSPAQVDLSN -TRAPQSCFQTWYEGVKRDYDVTDDEMSIILNGLMVWCIENGTSPNINGMWVMMDGETQVEYPIKPLLDHA -KPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGIQRNLTDYSLARYAFDFYEMTSTTPVRAREAHIQMKA -AALRNVQNRLFGLDGNVGTQEEDTERHTAGDVNRNMHNLLGMRGV - ->sp|P0CK12.2|MVP_TUMVQ RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MAAVTFASAITNAITNKTTSTGMVQFGSFPPMPLRSTTVTTVATPVGQPKLYTVRFGSLDPVIVKGGAGS -LAKATRQQPSVEIDVSLSEAAALEVAKPKSSAVLRMHEEANKERALFLDWEASLKRRSYGIAENEKVVMT -TRGVSKIVPRSSRAMKQKRARERRRAQQPIILKWEPKLSGFSIGGGFSASAIEAEEVRTKWPLHKTPSMK -KRMVHKTCKMSDQGVDMLIRSLVKIFKAKSANIEYIGKKPIKVDFIRKERTKFARIQVAHLLGKRAQRDL -LAGMEENHFIDILSEYSGNGTTINPGVVCAGWSGIVVRNETLTQKRSRSPSKAFVIRGEHEDKLYDARIK -ITKTMSLKIVHFSARGANFWKGFDRCFLAYRSDNREHTCYSGLDVTECGEVAALMCLAMFPCGKITCPDC -VIDSELSQGQASGPSMKHRLTQLRDVIKSSYPRFKHAVQILDRYEQSLSSANENYQDFAEIQSISDGVEK -AAFPHVNKLNAILIKGATATGEEFSQATKHLLEIARYLKNRTENIEKGSLKSFRNKVSQKAHINPTLMCD -NQLDKNGNFIWGERGYHAKRFFSNYFEIIDPKKGYTQYETRVVPNGSRKLAIGKLIVPTNFEVLREQMRG -EPVEPYPVTVECVSKSQGDFVHACCCVTTESGDPVLSEIKMPTKHHLVIGNSGDPKYIDLPEIEENKMYI -AKEGYCYINIFLAMLVNVKESQAKEFTKVVRDKLVSELGKWPTLLDVATACYFLKVFYPDVANAELPRML -VDHKTKIIHVVDSYGSLSTGYHVLKTNTVEQLIKFTRCNLESSLKHYRVGGTEWENAHGADNIDNPQWCI -KRLVKGVYRPKQLKEDMLANPFLPLYALLSPGVILAFYNSGSLEHLMNHYISADSNVAVLLVVLKSLAKK -VSTSQSVLAQLQIIERSLPELIEAKANINGPDDAATRACNRFMGMLLHMAEPNYELANGGYTFLRDHSIS -ILEKKLSADLGRGMERVKLVGALCYKILPVKASNLYTERFANAKRSRFRRQIQRVGHILLRVEQTASKRS -ER - ->sp|P0CJ99.2|MVP_PSBMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MSTLVCQAVAAPVWSNGARTRRIRDADGEYRCTQCDMGFDSMTMARPVNHCCDGIMIDEYNLYDDDPIMH -LVDSKTPIKRGSQETEGDGMAAEAIKVTGAEPVNCFMVGTIKCKINENSIVAKGVMAAIPRQLTQDEVFM -RKARLQAAVAKSTIEREEKERQFAFSKLEEKLRARREKLKDGIVIKTRKGLEWREATPNQQRGKLQSTSF -DASGGKTLTPHTIYCKTKSSKFSNGGVKCATSKKMRTVRKPQSLKMKTESIDVLIEQVMTIAGKHAKQVT -LIDKQKTNRVWIRRVNGVRLLQVETKHHKGIISQKDASLNNLTKRVARHFARKTAYIHPSDSITHGHSGV -VFLRANISGSKSYSIDDLFVVRGKRNGKLMESRNKVAWRKMFQIDHFSIVGIKIWNAFDAEYVKLRDESV -SDHDCVGGITPEECGILAAQILRVFYPCWRITCTKCISNWLSKPTSEQIEHIYERGNLAIQDLNKRIPSA -HHVTQMVELLRQRIKNTTFDMGNNTKVHELIGHRQDGVFRHLNRLNNSILAANGSSTIEWESMNESLLEL -ARWHNKRTESIASGGISSFRNKISAKAQINFALMCDNQLDTNGNFVWGERGYHAKRFFSEFFTKIDPKDG -YSHHTVRATPTGVRHLAIGNLIIPGDLQKLREKLEGVSITAVGISEKCVSRRNGDFVYPCSCVTSENGKP -VLSDVILPTRNHLVIGNTGDPKLVDLPKTETGRMWIAKEGYCYINIFFAMLVNVSEKDAKDFTKFVRDEI -MPQLGKWPTMMDVATACYKLAIIYPDVRDAQLPRILVDHSEQIFHVIDSYGSMTTGYHILKAGTVSQLIS -FAHGALLGEMKMYRVGGTQKMEINMCCCQRKNLLIKQLIRAIYRPKLLTEIIETEPFVLMLAIVSPSILK -AMFRSGTFNQAIKFYMHRSKPTAQTLAFLEALSERVSRSRVLSEQFNIIDGALKELKSLANMSMRTQHTY -PIVQNQLDIMIERVSADAELLRDGFVVSKGRVQALIEKKLSRRPEKFLHRLAICTTIATNYVIFKSEAWF -WRIVRKQRLELFQGSMDGAFELIFGRRQTDHPLGAHKVAADVSKWW - ->sp|P0CJ98.2|MVP_PRSVH RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MSSLYTLRAAAQYDRRLESKKGSGWVEHKLERKGERGNTHYCSEFDISKGAKILQLVQIGNTEVGRTFLE -GNRFVRANIFEIIRKTMVGRLGYDFESELWVCRNCDKTSEKYFKKCDCGETYYYSERNLMRTMNDLMYQF -DMTPSEINSVDLEYLANAVDYAEQLVKRSQVPEPVELAMMEPIVASGEGILMVSEPEVMPVTTKVEEAWT -IQIGEIPVPLVVIKETPVISGVEGTLNSTGFSLEADITKLVEKEILQEEVKEAVHLALEVGNEIAEKKPE -LKLIPYWSASLELHKRIRKHKEHAKIAAIQVQKEREKDQKVFSALELRLNLKSRRRNQAVVCDKRGTLKW -ETQRGHKKSKLMQQASDFVVTQIHCDFGCKTQYSEPHIPGIKQSTSKKICKPRKHSRIVGNSKINYIMKN -LCDTIIERGIPVELVTKRCKRRILQKEGRSYVQLRHMNGIRARQDVSSSPDMELLFTQFCKFLVGHKPLK -SKNLTFGSSGLIFKPKFADNVGRYFGDYFVVRGRLGGKLFDGRSKLARSVYAKMDQYNDVAEKFWLGFNR -AFLRHRKPTDHTCTSDMDVTMCGEVAALATIILFPCHKITCNTCMSKVKGRVIDEVGEDLNCELERLRET -LSAYGGSFGHVSTLLDQLNRVLNARNMNDGAFKEIAKKIDEKKESPWTHMTTINNTLYKGSLATGYEFER -ASNSLREIVRWHLKRTESIKAGSVESFRNKRSGKAHFNPALTCDNQLDKNGNFLWGERQYHAKRFFANYF -EKIDHSKGYEYYSQRQNPNGIRKIAIGNLVFSTNLERFRQQMVEHHIDQGPITRECIALRNNNYVHVCSC -VTLDDGTPATSELKTPTKNHIVLGNSGDPKYVDLPTLESDSMYIAKKGYCYMNIFLAMLINIPENEAKDF -TKRVRDLVGSKLGEWPTMLDVATCANQLVVFHPDAANAELPQILVDHRQKTMHVIDSFGSVDSGYHILKA -NTVNQLIQFARDPLDSEMKHYIVGGEFDPTTNCLHQLIRVIYKPHELRSLLRNEPYLIVIALMSPSVLLT -LFNSGAVEHALNYWIKRDQDVVEVIVLVEQLCRKVTLARTILEQFNEIRQNARDLHELMDRNNKPWISYD -RSLELLSVYANSQLTDEGLLKQGFSTLDPRLREAVEKNLRHSFAGRMACVKFVSKVALKVLCVQITTVFF -RVFKAKRARRFKNCIRLLTEILCTRGRKSVPTASQGWG - ->sp|P83574.1|POLG_NIGSP RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -SGNEDAGNVKPAAGTKENKSDKEKDKPESGNAANAKATSNTKEDGGESGTKPTTANKDKDVDVGSTGTFV -IPKLKKVSPKMRLPMVSNKAILNLDHLIQYKPDQRDISNARATHTQFQFWYNRIKKEYDVDDEQMRILMN -GLMVWCIENGTSPDINGYWTMVDGNNQSEFPLKPIVENAKPTLRQCMMHFSDAAEAYIEMRNLDEPYMPR -YGLLRNLNDKSLARYAFDFYEINSRTPNRAREAHAQMKAAAIRGSTNHMFGLDGNVGESSENTERHTAAD -VSRNVHTYRGAKI - ->sp|Q02597.2|POLG_TUMVQ RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAAVTFASAITNAITNKTTSTGMVQFGSFPPMPLRSTTVTTVATPVGQPKLYTVRFGSLDPVIVKGGAGS -LAKATRQQPSVEIDVSLSEAAALEVAKPKSSAVLRMHEEANKERALFLDWEASLKRRSYGIAENEKVVMT -TRGVSKIVPRSSRAMKQKRARERRRAQQPIILKWEPKLSGFSIGGGFSASAIEAEEVRTKWPLHKTPSMK -KRMVHKTCKMSDQGVDMLIRSLVKIFKAKSANIEYIGKKPIKVDFIRKERTKFARIQVAHLLGKRAQRDL -LAGMEENHFIDILSEYSGNGTTINPGVVCAGWSGIVVRNETLTQKRSRSPSKAFVIRGEHEDKLYDARIK -ITKTMSLKIVHFSARGANFWKGFDRCFLAYRSDNREHTCYSGLDVTECGEVAALMCLAMFPCGKITCPDC -VIDSELSQGQASGPSMKHRLTQLRDVIKSSYPRFKHAVQILDRYEQSLSSANENYQDFAEIQSISDGVEK -AAFPHVNKLNAILIKGATATGEEFSQATKHLLEIARYLKNRTENIEKGSLKSFRNKVSQKAHINPTLMCD -NQLDKNGNFIWGERGYHAKRFFSNYFEIIDPKKGYTQYETRVVPNGSRKLAIGKLIVPTNFEVLREQMRG -EPVEPYPVTVECVSKSQGDFVHACCCVTTESGDPVLSEIKMPTKHHLVIGNSGDPKYIDLPEIEENKMYI -AKEGYCYINIFLAMLVNVKESQAKEFTKVVRDKLVSELGKWPTLLDVATACYFLKVFYPDVANAELPRML -VDHKTKIIHVVDSYGSLSTGYHVLKTNTVEQLIKFTRCNLESSLKHYRVGGTEWENAHGADNIDNPQWCI -KRLVKGVYRPKQLKEDMLANPFLPLYALLSPGVILAFYNSGSLEHLMNHYISADSNVAVLLVVLKSLAKK -VSTSQSVLAQLQIIERSLPELIEAKANINGPDDAATRACNRFMGMLLHMAEPNYELANGGYTFLRDHSIS -ILEKSYLQILDEAWNELSWSERCVIRYYPSKQAIFTQKDLPMQSEADLGGRYSESVISSYEWSKQQAKGV -KDSVVNKLRSSMSWTSSKVSNSVCRTINYLVPDVFKFMNVLVCISLLIKMTAEANHIITTQRRLKLDIEE -TERKKIEWELAFHHNILTHSASQHPTLDEFTAYIAEKAPHLSEHIEPEEKEVVHQAKRQSEQELERVIAF -VALVLMMFDAERSDCVTKILNKLKGLVATVEPTVYHQTLNEIEDDLNERNLFVDFELSSDSEMLQQLPAE -KTFASWWSHQLSRGFTIPHYRTEGKFMTFTRATATEVAGKIAHESDKDILLMGAVGSGKSTGLPYHLSRK -GNVLLLEPTRPLAENVHKQLSQAPFHQNTTLRMRGLTAFGSAPISVMTSGFALNYFANNRSRIEEFDFVI -FDECHVHDANAMAMRCLIHECDYSGKIIKVSATPPGREVEFSTQYPVSISTEDTLSFQDFVNAQGSGSNC -DVISKGDNILVYVASYNEVDTLSKLLIERDFKVTKVDGRTMKVGNIEITTSGTPSRKHFIVATNIIENGV -TLDIDVVADFGTKVLPYLDTDNRMLSTTKTSINYGERIQRLGRVGRHKPGHALRIGHTERGLSEVPSCIA -TEAALKCFTYGLPVITNNVSTSILGNVTVKQARTMSVFEITPFYTSQVVRYDGSMHPQVHALLKRFKLRD -SEIVLTKLAIPNRGVNAGSQPVSMHDSVQMLKIGVTLRIPFMCRDIPEKLHLDMWDVVVKFKGDAGFGRL -SSSASKVAYTLQTDVNSIQRTVTIIDTLIAEERRKQEYFKTVTSNCVSSSNFSLQSITNAIKSRMMKDHP -CENISVLEGAKSQLLEFRNLNSDHSFVTKTDGISRSFMRDYGALEAVNHQSTNEMSKFLQLKGKWNKTLI -TRDVLVICGVLGGGVWMVVQHFRSKVSEPVTHEAKGKKQRQKLKFRNARDNKMGREVYGDDDTIEHFFGD -AYTKKGKSKGRTRGIGHKNRKFINMYGFDPEDFSAVRFVDPLTGATLDDNPFTDITLVQKHFGDIRMDLL -GEDELDSNEIRMNKTIQAYYMNNKTGKALKVDLTPHIPLKVCDLHATIAGFPERENELRQTGKAQPINID -EVPRANNELVPVDHESNSMFRGLRDYNPISNNICHLTNVSDGASNSLYGVGFGPLILTNRHLFERNNGEL -IIKSRHGEFVIKNTTQLHLLPIPDRDLLLIRLPKDVPPFPQKLGFRQPEKGERICMVGSNFQTKSITSIV -SETSTIMPVENSQFWKHWISTKDGQCGSPMVSTKDGKILGLHSLANFQNSINYFAAFPDDFTEKYLHTIE -AHEWVKHWKYNTSAISWGSLNIQASQPVSLFKVSKLISDLDSTAVYAQTQQNRWMFEQLTGNLKAIAHCP -SQLVTKHTVKGKCQMFDLYLKLHDEAREYFQPMLGQYQKSKLNREAYAKDLLKYATPIEAGNIDCDLFEK -TVEIVISDLRGYGFETCNYVTDENDIFEALNMKSAVGALYKGKKKDYFAEFTPEVKEEILKQSCERLFLG -KMGVWNGSLKAELRPLEKVEANKTRTFTAAPLDTLLGGKVCVDDFNNQFYDHNLRAPWDVGMTKFYCGWD -RLLESLPDGWVYCDADGSQFDSSLSPYLINAVLNIRLGFMEEWDVGEVMLRNLYTEIVYTPISTPDGTLV -KKFKGNNSGQPSTVVDNTLMVILAVNYSLKKGGIPSELRDSIIRFFVNGDDLLLSVHPEYEYILDTMADN -FRELGLKYTFDSRTREKGDLWFMSHQGHRREGIWIPKLEPERIVSILEWDRSKEPCHRLEAICAAMIESW -GYDKLTHEIRKFYAWMIEQAPFSSLAQEGKAPYIAETALRKLYLDKEPAQEDLTQYLQAIFEDYEDGVEA -CVYHQAGETLDADLTEEQKQAEKEKKEREKAEKERERQKQLAFKKGKDVAQEEGKRDKEVNAGTSGTFSV -PRLKSLTSKMRVPRYEKRVALNLDHLILYTPEQTDLSNTRSTRKQFDTWFEGVMADYELTEDKMQIILNG -LRVWCIENGTSPNINGMWVMMDGDDQVEFPIKPLIDHAKPTFRQIMAHFSDVAEAYIEKRNQDRPYMPRY -GLQRNLTDMSLARYAFDFYEMTSRTPIRAREAHIQMKAAALRGANNNLFGLDGNVGTTVENTERHTTEDV -NRNMHNLLGVQGL - ->sp|P19723.1|POLG_PRSVP RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -LVRKRCERLYEGRMGVWNGSLKAELRPAEIVLAKKTRSFTAAPLDTLLGAKVCVDDFNNWFYSKNMECPW -TVGMTKFYKGWDEFLRKFPDGWVYCDADGSQFDSSLTPYLLNAVLSIRLWAMEDWDIGEQMLKNLYGEIT -YTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVLITMYYALRKAGYDTKTQEDMCVFYINGDDLCIAIHP -DHEHVLDSFSSSFAELGLKYDFAQRHRNKQNLWFMSHRGILIDDIYIPKLEPERIVAILEWDKSKLPEHR -LEAITAAMIESWGHGDLTHQIRRFYQWVLEQAPFNELAKQGRAPYVSEVGLRRLYTSERGSMDELEAYID -KYFERERGDSPELLVYHESRSTDDYQLVCSNNTHVFHQSKNEAVDAGLNEKLKEKENQKEKEKEKQKEKE -KDGASDGNDVSTSTKTGERDRDVNVGTSGTFTVPRIKSFTDKMVLPRIKGKTVLNLNHLLQYNPQQIDIS -NTRATHSQFEKWYEGVRNDYGLNDNEMQVMLNGLMVWCIENGTSPDISGVWVMMDGETQVDYPIKPLIEH -ATPSFRQIMAHFSNAAEAYIAKRNATERYMPRYGIKRNLTDISLARYAFDFYEVNSKTPDRAREAHMQMK -AAALRNTSRKMFGMDGSVSNKEENTERHTVEDVNRDMHSLLGMRN - ->sp|P0CJ97.2|MVP_LMV0 RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATLDNCTQVHHMFAYNREHGTNYTRNHFRRYLAAQRIGFYYDWDDDVYECPTCEAIYHSLDDIKNWHEC -DPPAFDLNDFITDARLKSAPVPDLGPVIIEIPKAEEKQELNFFAATPAPEVSQWKCRGLQFGSFTELETS -EPVASAPEPKCEEPARTIAKPEESVEQETRGDGKRLLQAQMEVDKAEQDLAFACLNASLKPRLEGRTTAT -IARRRDGCLVYKTKPSWSQRRRAKKTLKVDTLACENPYIPAIVDKISIAGGSSASVMHEQQKPKTLHTTP -SRKVATHYKRTVMNQQTLMAFINQVGTILLNAEKEFEVVGCRKQKVTGKGTRHNGVRLVKLKTAHEEGHR -RRVDIRIPNGLRPIVMRISARGGWHRTWTDSELSPGSSGYVLNSSKIIGKFGLRRHSIFVVRGRVDGEVI -DSQSKVTHSITHRMVQYSDVARNFWNGYSTCFMHNTPKDILHTCTSDFDVKECGTVAALLTQTLFQFGKI -TCEKCAIEYKNLTRDELATRVNKEIDGTIISIQTQHPRFVHVLNFLRLIKQVLNAKNGNFGAFQETERII -GDRMDAPFSHVNKLNAIVIKGNQATSDEMAQASNHVLEIARYLKNRTENIQKGSLKSFRNKISGKAHLNP -SLMCDNQLDKNGGFEWGQRSYHAKRFFDGYFETIDPSDGYSKYTIRRNPNGHRKLAIGNLIVSTNFESHR -RSMIGESIEDPGLTNQCVSKEGDTFIYPCCCVTDEYGKPTLSEIKMPTKHHLVLGNAGDPKYVDLPKEAE -GKMFVTKDGYCYINIFLAMLVDVPEDQAKDFTKMAREIAVKQLGEWPSMMDVATACNILATFHPDTRRSE -LPRILVDHATKTFHVIDSYGSITTGFHILKANTVTQLVKFAHESLESEMQHYRVGGEPDKAPRKPAGSVP -TLGISDLRDLGVELENEEHSIRPNLQRLIKAIYRPRMMRSLLTEEPYLLILSIVSPGVLMALYNSGSLER -TMHEFLQTDQRLSATAQILKHLAKKVSLAKTLTIQNAILEGGAGSLNEILDAPAGRSLSYRLAKQTVEVM -MARSDMDKELVDVGFSVLRDQKNELIEKKLSHGFGGFVARTTIVWKIISNASLAAMAGYFYSRSNPNRRR -RFERQIQYLGWICFQKRDLAPKGNLLRRSKES - ->sp|P0CW79.2|MVP_LMVE RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATLDNCTQVHHMFAYNREHGTNYTRNHFRRYLAAQRIGFYYDWDDDVYECPTCEAIYHSLDEIKNWHEC -DPPAFDLNDFITDARLKSAPVPDLGPVIVETPKVEEKQELNFFAATPAPEVLQWKCRGLQFGSFTELETS -EPVVSAPKPNCEEPARTIAKPEEPVEQETCGDGKRLLQAQMEVDKAEQDLAFAYLSASLKPRLEGRTTAT -IARRRDGCLVYKTKPSWSQRKGTKKILKVDTLACKNPYIPAVVDKISIAGGSSASVMHEQQKPKILHTTP -SRKVATHYKRTVMNQQTLTALINQVGTIILNAEKEFEVVGCRKQKVTGKGTRHNGVRLVKLKTAHEEGHR -RKVDIRIPNGLRSIVTRISARGGWHKTWTDSELSPGSSGYVLNSSKIIGEFGLRRHSIFVVRGRVYGKII -DSQSKVTHTLTHRMVQYSDVARNFWNGYSTCFMHNTPKDILHTCTSDFDVKDCGTVAALLTQTLFQFGKI -TCGKCAIEYKNLTRDELATRVNKEIDGTIISIQTQHPRFVHVLNFLRLIKQVLNAKNGNFGAFQETERII -GDRMDAPFSHVNKLNAIVIKGNQATSDEMAQASNHVLEIARYFKNRTENIQKGSLKSFRNKISGKAHLNP -SLMCDNQLDKNGGFEWGQRSYHAKRFFDGYFETIDPSDGYSKYTIRRNPNGHRKLAIGNLIVSTNFESHR -RSMVGEPIEDPGLTNQCVSKEGGAFIYPCCCVTDEYGKPTLSEIKMPTKHHLVLGNAGDPKYVDLPKEAE -GKMFVAKDGYCYINIFLAMLVDVPEDQAKDFTKMAREIAVKQLGEWPSMMDVATACNILATFHPDTRRSE -LPRILVDHATKTFHVIDSYGSITTGYHILKANTVTQLVKFAHESLESEMQHYRVGGEPDKAPRKPAGNVP -TLGISDLKNLGVESENEEHSIRPNLQRLIKAIYRPRMMRSLLTEEPYLLILSIVSPGVLMALYNSGSLER -TMHEFLQTDQRLSATAQILKHLAKKVSLAKTLTIQNAILEGGAGSLNEILDAPAGRSLSYRLAKQTVEVM -MARSDMDKELVDVGFSVLRDQKNELIEKKLSHGFGGLVARIAIVWKIISNASLAAMAGHLYSRSNPNRCR -RFERQIQYLGWVCFQKRDLAPKGNLLRRSKES - ->sp|P0CK10.2|MVP_TVMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MAATMIFGSFTHDLLGKAMSTIHSAVTAEKDIFSSIKERLERKRHGKICRMKNGSIYIKAASSTKVEKIN -AAAKKLADDKAAFLKAQPTIVDKIIVNEKIQVVEAEEVHKREDVQTVFFKKTKKRAPKLRATCSSSGLDN -LYNAVANIAKASSLRVEVIHKKRVCGEFKQTRFGRALFIDVAHAKGHRRRIDCRMHRREQRTMHMFMRKT -TKTEVRSKHLRKGDSGIVLLTQKIKGHLSGVRDEFFIVRGTCDDSLLEARARFSQSITLRATHFSTGDIF -WKGFNASFQEQKAIGLDHTCTSDLPVEACGHVAALMCQSLFPCGKITCKRCIANLSNLDFDTFSELQGDR -AMRILDVMRARFPSFTHTIRFLHDLFTQRRVTNPNTAAFREILRLIGDRNEAPFAHVNRLNEILLLGSKA -NPDSLAKASDSLLELARYLNNRTENIRNGSLKHFRNKISSKAHSNLALSCDNQLDQNGNFLWGLAGIAAK -RFLNNYFETIDPEQGYDKYVIRKNPNGERKLAIGNFIISTNLEKLRDQLEGESIARVGITEECVSRKDGN -YRYPCCCVTLEDGSPMYSELKMPTKNHLVIGNSGDPKYLDLPGEISNLMYIAKEGYCYINIFLAMLVNVD -EANAKDFTKRVRDESVQKLGKWPSLIDVATECALLSTYYPAAASAELPRLLVDHAQKTIHVVDSYGSLNT -GYHILKANTVSQLEKFASNTLESPMAQYKVGGLVYSENNDASAVKALTQAIFRPDVLSELIEKEPYLMVF -ALVSPGILMAMSNSGALEFGISKWISSDHSLVRMASILKTLASKVSVADTLALQKHIMRQNANFLCGELI -NGFQKKKSYTHATRFLLMISEENEMDDPVLNAGYRVLEASSHEIMEKNLSRTVRDILVRLKLVWKIQVNL -VYAKALWKIQSRIVPKRADRLARTLQQLVAVSLPEYAQALEKQGESVSRKIFGKHFKCKTQDNMCSF - ->sp|P0CK11.2|MVP_TUMVJ RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MAAVTFATAITNTTASKPALTGMIQFGNFPPVPLRSTTVTTVATSVAQPKLHTVQFGSLDPVVVKSGAGS -FAKATRQQPNVEIDVSLSEAAALEVAKPRPNAVLRMHEEANKERALFLDWEASLKRSSYGIAENEKVVMT -TRGVSKIVPRSSGAMKQKRARERRRAQQPIILKWEPKLSGISIGGGLSASAIEVEEARTKWPLHKTPSMK -RKTVHRRCKMNDQGIDMLMRSLIKIFKAKSANIEFIGRKSIKVDFVKKEQTKFARVQVVHLLGKRAQRDL -STGMEENHFIDILSGYSGNKTTINPGVVCAGWSGIVVRDGILTQKRSRSPSEAFVIRGEHEGKLYDARIK -ITRTMSHKIVHFSAAGANFWKGFDRCFLAYRSDNREHTCYSGLDVTECGEVAALMCLAMFPCGKITCPDC -VTDSELSQGQASGPSMKHRLVQLRDVIKSSYPRFKHAVQILDRYEQSLRSANENYQDFAEIQSISDGVEK -AAFPHVNKLNAILIKGATATGEEFSQATKHLLEIARYLKNRTENIEKGSLKSFRNKISQKAHINPTLMCD -NQLDRNGNFIWGERGYHAKRFFSNYFEIIDPKKGYTQYETRVVPNGSRKLAIGKLIVPTNFEVLREQMKG -EPVEPYPVTVECVSKLQGDFVHACCCVTTESGDPVLSEIKMPTKHHLVIGNSGDPKYIDLPEIEENKMYI -AKEGYCYINIFLAMLVNVKESQAKEFTKVVRDKLVGELGKWPTLLDVATACYFLKVFYPDVANAELPRML -VDHKTKIIHVVDSYGSLSTGYHVLKTNTVEQLIKFTRCNLESSLKHYRVGGTEWEDTHGAKNIDDPQWCI -KRLIKGVYRPKQLKEDMLANPFLPLYALLSPGVILAFYNSGSLEYLMNHYIRVDSNVAVLLVVLKSLAKK -VSTSQSVLAQLQIIDRSLPELVEARANINRPDDEAARACNRFMGMLLHMSEPNWELADGGYTILRDHSIS -ILEKKLSTNLGRSMERVKLVGALCYKILLVKASNFYTERFANEKRSRFRRQIQRVSRVILRME - ->sp|P0CK09.2|MVP_TEV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MALIFGTVNANILKEVFGGARMACVTSAHMAGANGSILKKAEETSRAIMHKPVIFGEDYITEADLPYTPL -HLEVDAEMERMYYLGRRALTHGKRRKVSVNNKRNRRRKVAKTYVGRDSIVEKIVVPHTERKVDTTAAVED -ICNEATTQLVHNSMPKRKKQKNFLPATSLSNVYAQTWSIVRKRHMQVEIISKKSVRARVKRFEGSVQLFA -SVRHMYGERKRVDLRIDNWQQETLLDLAKRFKNERVDQSKLTFGSSGLVLRQGSYGPAHWYRHGMFIVRG -RSDGMLVDARAKVTFAVCHSMTHYSDKSISEAFFIPYSKKFLELRPDGISHECTRGVSVERCGEVAAILT -QALSPCGKITCKRCMVETPDIVEGESGESVTNQGKLLAMLKEQYPDFPMAEKLLTRFLQQKSLVNTNLTA -CVSVKQLIGDRKQAPFTHVLAVSEILFKGNKLTGADLEEASTHMLEIARFLNNRTENMRIGHLGSFRNKI -SSKAHVNNALMCDNQLDQNGNFIWGLRGAHAKRFLKGFFTEIDPNEGYDKYVIRKHIRGSRKLAIGNLIM -STDFQTLRQQIQGETIERKEIGNHCISMRNGNYVYPCCCVTLEDGKAQYSDLKHPTKRHLVIGNSGDSKY -LDLPVLNEEKMYIANEGYCYMNIFFALLVNVKEEDAKDFTKFIRDTIVPKLGAWPTMQDVATACYLLSIL -YPDVLRAELPRILVDHDNKTMHVLDSYGSRTTGYHMLKMNTTSQLIEFVHSGLESEMKTYNVGGMNRDVV -TQGAIEMLIKSIYKPHLMKQLLEEEPYIIVLAIVSPSILIAMYNSGTFEQALQMWLPNTMRLANLAAILS -ALAQKLTLADLFVQQRNLINEYAQVILDNLIDGVRVNHSLSLAMEIVTIKLATQEMDMALREGGYAVTSE -KVHEMLEKKLCKGFEGCMGRINLVGKILRNQAFKKALEIWAKAFNHEKHRRLRRTYRLVCEIAFQVPLGT -PEGNHLKSRKWWRKKGKSSEECHDKRGFSQNLQHAS - ->sp|P0CK05.2|MVP_PVMA RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATQVIMVGEFKILEVNCKPHAPVAAIHVPTQTPKTNDIKWADLEFTLAKSLQRQAHGVVKVDKHGTARI -KRASKHHMSCLEQQMADEVAEKEAFMAAPTQLVTSIIFAGTTPPSMMETETIVKKIHTVGKRAKVMRKRS -YITPPTDKSLRNHGVTPYSVQQLCRTLGNLSKRTGISLEVVGKTSKATKLRFTKTSFGHMARVQLKHHDG -RMHRRDLVVDTSTTTIMQTLFLKTARTNANLDVLTHGSSGLVFWNYLVTGQRMRTRDNFIIVRGRCNGIL -VDARAKLSESTMLSTHHYSTGDVFWRGFNRTFLENKPINLDHVCSSDFSVEECGSIAALICQSLLPCGKI -TCRACAAKNLNMDEDTFKEFQTQRAREISAVIISEHPNFACVSQFIDRYFSHQRVLNPNVNAYREILKIV -GGFTQSPYTHIQELNEILVLGGRATPEQLGSASAHLLEITRFVRNRTDNIKKGSLALFRNKISAKAHVNT -ALMCDNQLDRNGNLIWGERGYHAKRFFSNYFDIITPGGGYKQYIERRVPNGIRKLAIGNLIVTTNLEALR -EQLEGESIEKKAVTKACVSMSDNNYKYPCCCVTLDDGTPLYSTFIMPTKNHLVIGNSGDPKFLDLPADIS -TQMYIAKSGYCYINIFLAMLVNVDESDAKDFTKKVRDIIVPDLGEWPTLIDVATSCSLLSAFYPATSAAE -LPRILVDHDLKTMHVIDSYGSLNTGYHVLKANTIRQLIQFASNSLDSEMKHYRVGGTSNSQINGYATIKM -LAKAVYRPKLMKEIIHEQPFMLVMSLMSPGILIALANSGALEMGIHHWIREGDSLVKMAHMLRTVAQNVS -VARATWVQQEIISDSAQQMLETILNGTIPNVSYFQAIQYLTMLAASKEVDAEVRVTGYYTFKLQTSELLE -KNLLEPVGGFMARVKLFWKISSNKTFAKVLHCGYNCCQARKARRLRRNLRYILSVCTGQTDGILQESSLS -GCEWIASPIQQHH - ->sp|P0CK04.2|MVP_PPVSK RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNVHLLCRRAAKSLINTYESATASAWKGLEEKL -QPMFAKREFSKTVTKRKGLRCFKESSEKFIEKKLKKQYKEERERFQFLNGPDAIVNQISVDKCEASVWVP -FPHIIEKPSFTTPSMKKKVVFTKVRMSEASLQLFMRRVAANAKANGQKVEIIGRKRVVGHYTTKSRLTYF -RTHVRHLDGSKPRYDLVLDEATKKILQLFANTSGFHHVHKKGEITPGMSGFVVNPMNLSDPMHVYDTDLF -IVRGKHNSILVDSRCKVSKEQSNEIVHYSDPGKQFWDGFTNSFMQCKLRETDHQCTSDLDVKECGYVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSTVIEQHEKTVMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGERKEAPFSHLNKINELIIKGGMMSAQDYIEASDHLRELARYQKNRTENIRSGSIKAFR -NKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDVIDVSEGYRRHIVRENPRGIRKLAIGN -LVMSTNLAALRKQLLGEECIHFEVSKECTSKRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNSGD -SKYVDLPTAKGGAMFIAKAGYCYINIFLAMLININEDEAKSFTKTVRDTIVPKLGTWPSMMDLATACHFL -AVLYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHVLKANTINQLISFASDTLDSSMKTYLVGGLEV -DKCDEFKNVKLLIRSIYKPQIMEQVLKEEPYLLLMSVLSPGVLMALFNSGSLEKATQYWIARSHSLAAIT -AMLSALAAKVSLASTLNAQMSVIDEHAAVLCDSVFVGTKPYASYMMAVKTLERMKARTESDHTLNDLGFS -VLRQATPHLVEKKLSPGVGAGLERIKLVGKVLCNLGIAAVAKTYTKTFHPERRSRFRRQVRHLRSVITWQ -PIQTPERRSSAEKRRRCLLHTPVDGKAILQSYRNFHKFSSKHSEDV - ->sp|P0CK02.2|MVP_PPVNA RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNAHLLCRRAAESLINTYESATASAWKGLEEKL -QPMFAKREFSKTVTKRKGLRCFKESSEKFIEKKLRKQYQEERERLQFLNGPDAIVNQISVDKCEASVRVP -SPHIIEKPSFVTPSMKKKVVFKKVRMSEASLQLFMRRVAANAKANGQKVEIIGRKRVVGNYTTKSRLTYF -RTHVRHLDGSKPRYDLVLDEATKKILQLFANTSGFHHVHKKGEVTPGMSGFVVNPMNLSDPMQVYDTDLF -IVRGKHNSILVDSRCKVSKKQSNEIIHYSDPGKQFSDGFTNSFMQCKLRETDHQCTSDLDVKECGYVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSTVIEQHEKTVMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGERKEAPFSHLNKINELIIKGGMMSAQDYIEASDHLRELARYQKNRTENIRSGSIKAFR -NKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDVIDVSEGYRRHIVRENPRGIRKLAIGN -LVMSTNLAALRKQLLGEECIHFEVSKECTSKRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNSGD -SKYVDLPTAKGGAMFIAKAGYCYINIFLAMLININEDEAKSFTKTVRDTLVPKLGTWPSMMDLATACHFL -AVLYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHVLKANTINQLISFASDTLDSNMKTYLVGGLEV -DKCDEFKNVKLLIRSIYKPQIMEQVLKEEPYLLLMSVLSPGVLMALFNSGSLEKATQYWITRSHSLAAIT -SMLSALAAKVSLASTLNAQMSVIDEHAAVLYDSVFVGTQPYASYMMAVKTLERMKARTESDHTLNDLGFS -VLRQATPHLVEKKLSPGIGASLERVKLVGKILCNLGIAAMAKTYTKTFHPKRRRRFRRQVRHLRSVITWQ -PVQTPERRSPMEKRRCGLLYIPVDGEAILQSHRNLTKFSS - ->sp|P0CK03.2|MVP_PPVD RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNVHLLCRRAAKSLINTYESATASAWKGLEEKL -QPMFAKREFSKTVTKRKGLRCFKESSEKFIEKKLRKQYQEERERFQFVNGPDAIVNQISVDKCEASVWVP -FPHIIEKPSFATPSMKKKVVFTKVRMSEASLQLFMRRVAANAKANGQKVEIIGRKRVVGNYTTKSRLTYF -RTHVRHLDGSKPRYDLVLDEATKKILQLFANTSRFHHVHKKGEVTPGMSGFVVNPINLSDPMQVYDTDLF -IVRGKHNSILVDSRCKVSKKQSNEIIHYSDPGKQFSDGFTNSFMQCKLRETDHQSTSDLDVKECGDVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSTVIEQHEKTAMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGEDRKEAPFSHLQQINELIIKGGMMSAQDYIEASDHLRELARYQKNRTENIRSGSIKAF -RNKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDVIDVSEGYRRHIVRENPRGIRKLAIG -NLVISTNLAALRKQLLGEECIHFEVSKECTSRRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNTG -DSKYVDLPTAKGGAMFIAKAGYCYINIFLAMLININEDEAKSFTKTVRDTLVPKLGTWPSMMDLATACHF -LAVLYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHVLKANTINQLISFASDTLDSNMKTYLVGGSE -VDKCDEFKNVKLLIRSIYKPQIMEQVLKEEPYLLLMSVLSPGVLMALFNSGSLEKATQYWITRSHTLAAI -TSMLSALAAKVSLASTLNAQMSVIDEHAAVLCDSVFDGTKPYASYMMAVKTLERMKARTESDHTLNDLGF -SVLRQATPHLVEKKLSPGIGASLERVKLVGKILCNLGIAAVAKTYTKTFHPKRRRRFRRQVRHLRSVITW -QPVQTPERRSPTEKRRCGLLYTPVDGEAILQSHRNFHKFSSKHS - ->sp|P0CJ95.2|MVP_BYMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MTTINIGTIPVVINQNADTQMGEGTKNIFPIVKDFVDPFADLEMRCAERVKRMGELCFSKKGRYITMIPK -PDYIKAREKEQREEELNFQNSEHVLNSLDTTCTPEHHSSRNNGMQVSFKTQHYKRTFRKPRIQAKKRDLK -GQHTIHYVAKELLSIVKKRDMVLEVVDKRKHANFATFRRYGKTYGMHITLNHMVRKRRRVDVTLNKLMTE -IAMHCAIPFECLNTLTLRKGHSGLVLQTETVPNVHKIKSKITIVRGVVNEGNIPVLIDARKKLSGRDMST -IREFSAGDLFWKGYNQTFIDNRPTDLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVENFLNQNN -KERFNNASVFINQVIQLLEKGFSEFKHSKEILLMFKERLQMENPATDQCMEIAKATAALPEAPFSHIKEI -NNVLLKYGSLSNEEVGGASKHLLEVVRYIRNRTDSIQRNDLSKFRNKISSKTHINLDLMCDNQLDKNANF -VWGQRAYHAKRFLSNYFNEINPSEGYDKFIFRKLPNGARELAIGRLIMPTNFEAFREQMKGKMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGTAVISDFKMPTKYHLVLGGNDLAKYIKLPTDTTGNMYIAKDGFCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACWQLTVWFPDTLSAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKYYRVGGDCNFGSRVRIDTKFLLKSIYRPDLLERI -IEHEPFVLVLAMQSPAVLLALFNSASLEKAVQYWMHREMQVSHIMTLLAVLASNVSASKLLTTQFEIIEA -SAPQILAEMDKVHLPMHSIHSANVFLMNMSESRETDKTIDELGFYSFKKSSRILMEKNLNGGFGGAMARI -RIVGTVVFNKAVVASASKIFKLCNPTRRARYKRQVHNLTQVIRGSDKTTVTCSEGSGCPFCRKED - ->sp|P17765.2|POLG_BYMV RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MTTINIGTIPVVINQNADTQMGEGTKNIFPIVKDFVDPFADLEMRCAERVKRMGELCFSKKGRYITMIPK -PDYIKAREKEQREEELNFQNSEHVLNSLDTTCTPEHHSSRNNGMQVSFKTQHYKRTFRKPRIQAKKRDLK -GQHTIHYVAKELLSIVKKRDMVLEVVDKRKHANFATFRRYGKTYGMHITLNHMVRKRRRVDVTLNKLMTE -IAMHCAIPFECLNTLTLRKGHSGLVLQTETVPNVHKIKSKITIVRGVVNEGNIPVLIDARKKLSGRDMST -IREFSAGDLFWKGYNQTFIDNRPTDLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVENFLNQNN -KERFNNASVFINQVIQLLEKGFSEFKHSKEILLMFKERLQMENPATDQCMEIAKATAALPEAPFSHIKEI -NNVLLKYGSLSNEEVGGASKHLLEVVRYIRNRTDSIQRNDLSKFRNKISSKTHINLDLMCDNQLDKNANF -VWGQRAYHAKRFLSNYFNEINPSEGYDKFIFRKLPNGARELAIGRLIMPTNFEAFREQMKGKMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGTAVISDFKMPTKYHLVLGGNDLAKYIKLPTDTTGNMYIAKDGFCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACWQLTVWFPDTLSAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKYYRVGGDCNFGSRVRIDTKFLLKSIYRPDLLERI -IEHEPFVLVLAMQSPAVLLALFNSASLEKAVQYWMHREMQVSHIMTLLAVLASNVSASKLLTTQFEIIEA -SAPQILAEMDKVHLPMHSIHSANVFLMNMSESRETDKTIDELGFYSFKKSSRILMEKTLMADLEEQWQGL -GLLERLSLIKRSWRVRAKYSSFAIQREEPGIRDKFTTSLKLSGAQIKQQLLAQKDQAVHFVERRIEGTKK -FVANQSISLIKMCLPRLADIVNILTVIALLNAILAFMLDHIKRFNEARRIAQEKKEKQHLKELNTLYNKY -WDNEKPTYLEFKSDVIEKLPHTLATFEKYYFEDDKYTFQAKPNDMVALEKIIAVTALVLMIFDAERSDCV -YKVLNKLKGILSTTTQDAYRFQSLDTSKTLLEEKEMTIDFEINEGEVKAFSGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEIAHNDAHDILVRGAVGSGKSTGLPFYLSNKGKVLMIESTRPLAENV -FKQLKSEPFYASPTLRMRGTTSYGASPITIMTSGYALHYYANNPAMMKEYKFVIIDECHVHDANAIAFVS -LLKEYSFDGKLIKVSATPPGREVEFTTQYPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLDRGHIVTKVDGRTMKNGKTEIESKGSRSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLMRYTKQNVSYGERIQRLGRVGRHKAGKALRIGVTEKGLVKPPSVITTEAAFYCFAYGLPVMA -EGVTPSLLSKCTVQQARSMMSFELPIMYTVNLVRFDGTMHPSVHNLLKPYKLRDSNVVLNKMAIPHGNVR -NWPTVRDFKCMGVRIDAPEDTRVPFHARDIPDKLHKEIFEVCCKYKGDAGFSKLNVVNACKIAYTLQTDP -SSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLSNIINAIRARSTSDYTQENLSVLHSARAQLL -EFKNINSDFSNLSTLSEFGALECLQFESLQEISKHLQLKGHWNKPVLIQDFLIAAGVLGGGCWMLYQYFK -QETSKAFVFQGKNRRTKQKLRFRDARDMKGRMEVYADEGTIVENFGSKYTKKGKVRGTTTGMGTKTRRFT -NMYGFDPTEYSFARYLDPITGETLDEQPITNLNLVSEHFQEMRRKYRENEIMESQQFAANPRIEAYFVKD -AGQKVLKVDLTPHKPLLYSDKFGNIMGYPEREGELRQTGAAEFIDPKELPEPKESTDFDFESLSKIGGLR -DYNPIAANVCLLENESAEYCDEIYGIGYGNVIITNQHLFRHNNGELTIKSKHGTFKCKNTCALKLLPIEG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFFKHWISTVAG -QCGNPMVSTKDGFIVGIHSLTAVSGDLNVFTSIPPNFEDEVLKQMSKKSWCCGWKLNMSQIGWDGIKIVD -DQPKDPFPVSKMVGLLNDLQLSFQSAKNTKWLLERAHGNIKAVAQASSALVTKHVVKGKCRLFEVYLTTD -EEAEKFFRPLMGAYQKSRLNKEAYVKDLMKYATPIEVGLVDTRCFERSFEKVQNMLELKGFSKCNYVTYG -PDILSALNMKAAMGALYSGKKKDHFSEISEEKFDNILQASCERLYSGRMGVWNGSLKAELRPQEKVLANK -TRSFTAAPIDTLLAGKVCVDDFNNKFYSLHLKIPSTVGITKFYGGWDRLLDSLPDGWVYCDADGSQFDSS -LTPYLLNAVLEMRLRLMEEWDLGEQMLKNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVIM -AVYYAAEKLGIKGNLEDTLVFFANGDDLLIAIKPECESYLDKFEGLFSELGLKYDFSSRTKNKGDLWFMS -HRGIQIDGMWIPKLEEERIVSILEWDRAIQPEHRLEAICAAMIEAWGYPTLLNHIRKFYLWVLGQAPYSQ -LSAEGKAPYISEVALKHLYTEEKVTPTELERYNIALIDCFESESDEVLTCRFQSDQEQLNAGEEKKDKRK -KNEGDPNKDSEGQSVRQIVPDRDVNAGTVGTFSVPRLKKIAGKLNIPKIGGKIVLNLDHLLEYNPPQDDI -SNVIATQAQFEAWYNGVKQAYEVEDSQMGIILNGLMVWCIENGTSGDLQGEWTMMDGEEQVTYPLKPILD -NAKPTFRQIMSHFSEVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYKLTSRTPVRAREAHMQM -KAAAVRGKSTRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI - ->sp|P31999.3|POLG_LMV0 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MATLDNCTQVHHMFAYNREHGTNYTRNHFRRYLAAQRIGFYYDWDDDVYECPTCEAIYHSLDDIKNWHEC -DPPAFDLNDFITDARLKSAPVPDLGPVIIEIPKAEEKQELNFFAATPAPEVSQWKCRGLQFGSFTELETS -EPVASAPEPKCEEPARTIAKPEESVEQETRGDGKRLLQAQMEVDKAEQDLAFACLNASLKPRLEGRTTAT -IARRRDGCLVYKTKPSWSQRRRAKKTLKVDTLACENPYIPAIVDKISIAGGSSASVMHEQQKPKTLHTTP -SRKVATHYKRTVMNQQTLMAFINQVGTILLNAEKEFEVVGCRKQKVTGKGTRHNGVRLVKLKTAHEEGHR -RRVDIRIPNGLRPIVMRISARGGWHRTWTDSELSPGSSGYVLNSSKIIGKFGLRRHSIFVVRGRVDGEVI -DSQSKVTHSITHRMVQYSDVARNFWNGYSTCFMHNTPKDILHTCTSDFDVKECGTVAALLTQTLFQFGKI -TCEKCAIEYKNLTRDELATRVNKEIDGTIISIQTQHPRFVHVLNFLRLIKQVLNAKNGNFGAFQETERII -GDRMDAPFSHVNKLNAIVIKGNQATSDEMAQASNHVLEIARYLKNRTENIQKGSLKSFRNKISGKAHLNP -SLMCDNQLDKNGGFEWGQRSYHAKRFFDGYFETIDPSDGYSKYTIRRNPNGHRKLAIGNLIVSTNFESHR -RSMIGESIEDPGLTNQCVSKEGDTFIYPCCCVTDEYGKPTLSEIKMPTKHHLVLGNAGDPKYVDLPKEAE -GKMFVTKDGYCYINIFLAMLVDVPEDQAKDFTKMAREIAVKQLGEWPSMMDVATACNILATFHPDTRRSE -LPRILVDHATKTFHVIDSYGSITTGFHILKANTVTQLVKFAHESLESEMQHYRVGGEPDKAPRKPAGSVP -TLGISDLRDLGVELENEEHSIRPNLQRLIKAIYRPRMMRSLLTEEPYLLILSIVSPGVLMALYNSGSLER -TMHEFLQTDQRLSATAQILKHLAKKVSLAKTLTIQNAILEGGAGSLNEILDAPAGRSLSYRLAKQTVEVM -MARSDMDKELVDVGFSVLRDQKNELIEKSYLMDLEDSWHALPLCGKLSAMRASRRWRDTSTPEVIPTGAA -DLKGRYSISVGSVSKSAILHLKGICSGAVKRVRDKWVGVQVQGVKWLAKSVHYMIPELTNILNVGTLLLT -LISLGVAFRNLTGQFKEMKHKETLAKEEELRKRIRTYNSTYYEIHGKHADAKQITKFITHHDPKLLEVVE -FYEGPEEEEVEHQAKREDQANLERIIAFTALVMMMFDSERSDCVYRSLSKLKSLVSTCEDDVRHQSVDEI -IDLFDEKKETIDFEIEGKELYSSRVVDSTFSKWWDNQLVRGNTMAHYRTEGHFMTFTRETAASVAAEIAH -NEYRDILLQGGVGSGKSTGLPFHLHRKGGVLLIEPTRPLAQNVYKQLGSSPFHLSPNLRMRGSCKFGSSQ -VTVATSGYALHFIANNAQSLKAYDFIIFDECHVLDASAMAFRCLLQEFEYQGKIIKVSATPPGRKLDFKP -MHMVDIATENELSIQQFVQGQGTGVNCDATKKGDNILVYVSSYNEVDMLSKMLNDKGYKVTKVDGRTMKL -GSVEVETVGTPQRKHFVVATNIIENGVTLDVDVVVDFGQKVVPILDSEHRMIRYTKKSITYGERIQRVGR -VGRNKAGSAIRIGSTEMGTEEIPASIATEAAFLCFTYGLPVMTSNVSTSVLGNCTVRQARTMQKFELSPF -FMVDLVHHDGTIHPAINSLLKQFKLKESDIKLSTLAIPNAVTTFWKSAREYNSLGARTTIDDAAKIPFMI -KDVPEHLQEKLWETIQQYKGDAGFGRCTSANACKIAYTLSVSPFMIPATINKIDALMAEERQKMEYFQTV -TANTCTISNFSISSIGDMIRSRYSTNHSRENLQKLQAVRDTIINFECQAGTGDGGSFDMETAQKLAEEYG -CIDVIYHQSKEALSKRLGLKGRWNQSLICKDLLVFCGVAIGGTWMMFQSFKDGMADAVRHQGKGKRQRQK -LRYRQARDNKVGIEVYGDDATMEHYFGAAYTEKGKKSGKTKGMGTKNRRFVNMYGYNPEDFSFIRFLDPL -TGKTMDEQVFSDISLVQDAFSKERLKLLSEGEIESEHMRNGIRAYLVKNLTTAALEIDMTPHNSCQLGAK -TNNIAGYVDREYELRQTGEARVVAPALIPKDNPITDEDIPVKHESKTLFRGLRDYNPIAAAICLLTNESD -GMKETMYGIGFGNTIITNQHLFRRNNGVLRVQSRHGEYVLPNTTQLKVLPCEGRDIMVIILTPDFPPFPQ -KLKFRPPIKGEKICLVGSLFQDKSITSTVSETSVTTPVDNSFLWKHWITTKDGHCGLPLVSSNDGYIVGI -HSATSSRQTQNYHAAMPEDFHQTHLIDPASKSWVKHWKYNPDNMVWGGINLINSTPREPFKINKLVTDLF -GDAVQFQSKQDEWFASQLKGNLKAVGKSTSQLVTKHTVKGKCMMFELYLQTHEEEKEFFKPLMGAYQKSR -LNREAFTKDIMKYSTPITVGIVDCDTFLKAEEGVIKRLERLGFSGCEYVTDEEAIFQALNMKAAVGALYS -GKKRDYFEGYGPEEKENILRESCKRLYTGKFGVWNGSLKSELRPMEKVMANKTRVFTAAPLDTLLAGKVC -VDDFNNYFYSKNIEAPWTVGMTKFYGGWNELLTKLPDGWVYCDADGSQFDSSLSPFLINSVLRIRLKFME -DWDLGEQMLKNLYTEIVYTAILTPDSTIVKKFKGNNSGQPSTVVDNTLMVVLAMTYTLHKLGFEDEEQDS -MCKYFVNGDDLIIAIKPEHESLLDQFQHCFKSLGLNYDFNSRTRKKEELWFMSHCGIKKDGIFIPKLEPE -RIVSILEWDRSDQPVHRLEAICAAMIESWGYDKLTHEIRKFYKWCLEQAPYADLAKAGKAPYIAECALKR -LYTSKEASEAELEKYMEAIRSLVNDEDDDDMDEVYHQVDAKLDAGQGSKTDDKQKNSADPKDNIITEKGS -GSGQMKKDDDINAGLHGKHTIPRTKAITQKMKLPMIRGKVALNLDHLLEYEPNQRDISNTRATQKQYESW -YDGVKNDYDVDDSGMQLILNGLMVWCIENGTSPNINGTWVMMDGEEQVEYALKPIIEHAKPTFRQIMAHF -SDAAEAYIEMRNKKKPYMPRYGRLRGLNDMGLARYAFDFYETTSATPNRAREAHNQMKAAALVGTQNRLF -GMDGGGSTQEENTERHTAADVNQNMHTLLGVRGLH - ->sp|P13529.2|POLG_PPVD RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNVHLLCRRAAKSLINTYESATASAWKGLEEKL -QPMFAKREFSKTVTKRKGLRCFKESSEKFIEKKLRKQYQEERERFQFVNGPDAIVNQISVDKCEASVWVP -FPHIIEKPSFATPSMKKKVVFTKVRMSEASLQLFMRRVAANAKANGQKVEIIGRKRVVGNYTTKSRLTYF -RTHVRHLDGSKPRYDLVLDEATKKILQLFANTSRFHHVHKKGEVTPGMSGFVVNPINLSDPMQVYDTDLF -IVRGKHNSILVDSRCKVSKKQSNEIIHYSDPGKQFSDGFTNSFMQCKLRETDHQSTSDLDVKECGDVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSTVIEQHEKTAMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGEDRKEAPFSHLQQINELIIKGGMMSAQDYIEASDHLRELARYQKNRTENIRSGSIKAF -RNKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDVIDVSEGYRRHIVRENPRGIRKLAIG -NLVISTNLAALRKQLLGEECIHFEVSKECTSRRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNTG -DSKYVDLPTAKGGAMFIAKAGYCYINIFLAMLININEDEAKSFTKTVRDTLVPKLGTWPSMMDLATACHF -LAVLYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHVLKANTINQLISFASDTLDSNMKTYLVGGSE -VDKCDEFKNVKLLIRSIYKPQIMEQVLKEEPYLLLMSVLSPGVLMALFNSGSLEKATQYWITRSHTLAAI -TSMLSALAAKVSLASTLNAQMSVIDEHAAVLCDSVFDGTKPYASYMMAVKTLERMKARTESDHTLNDLGF -SVLRQATPHLVEKSYLQELEQAWKELSWSEKFSAILESQRWRKHIPKPFIPKDGADLGGRYDISVRSLLG -NQYKRLRDVVRRKRDDVVCYTHQSMGKLFCKAIGISTSFLPSTLKMLDMLIVFGLLLSIGATCNSMINEH -KHLKQLAADREDKKRFKRLQVLHTRLSEKVGCTPTADEFLEYVGGENPDLLKHAEDLIGDGQVVVHQSKR -DSQANLERVVAFVALVMMLFDSERSDGVYKILNKLKGIMGSVDQAVHHQSLDDIEDILDEKKLTVDFVLQ -SNEVAPTVPFDSTFEKWWTNQLETGNVIPHYRTEGHFLEFTRENAAHIANEVMHGSHQDILIRGAVGSGK -STGLPFHLSKKGHVLLIEPTRPLAENVCKQLRGQPFNVNPTLRMRGMSTFGSTPITVMTSGYALHFLANN -PTYLDNYKCIIFDECHVHDASAMAFRCLLSEYSYPGKILKVSATPPGHEVDFKTQKEVKVIVEESLSFQQ -FVSNLGTGCNSDILKHGVNVLVYVASYNEVDTLSKLLTDRSFKVSKVDGRTMKIGNVEIPTSGTQAKPHF -VVATNIIENGVTLDIDVVVDFGLKVVPVLDIDNRLVRYTKKSISYGERIQRLGRVGRNKPGAALRIGFTE -KGLTQIPPIIATEAAFLCFTYGLPVMTNGVSTSLLAMCTVKQARTMQQFELSPFYTVALVRFDGTMHQEI -FRLLKSYRLRDSEVILNKLAIPNSNVCGWMSVRDYKRQGCNLDLDENIRVPFYVKDIPETLHERIWQVVE -THKSDAGFGRICSSSACKIAYTLQTDIHSIPRTIKIIDALLEQERTKQAHFRAMTSQSCSSSNFSLSSIT -SAIRSKYAKDHTEENIGVLQMAKSQLLEFKNLNIDPSYPELVRNFGALECVHHQTKEGVSKALQLKGHWN -KRLITRDATLMLGVLGGGAWMIFSYLRDSFKEGVVHQGFNRRQRQKLKFRQARDNRMAREVYGDDSTMED -YFGSAYSKKGKSKGKTRGMGTKTRKFVNMYGYDPTDYNFVRFVDPLTGHTLDEDPLMDINLVQEHFSQIR -NDYIGDDKITMQHIMSNPGIVAYYIKDATQKALKVDLTPHNPLRVCDKTATIAGFPEREFELRQTGHPIF -VEPNAIPKINEEGDEEVDHESKSLFRGLRDYNPIASSICQLNNSSGARQSEMFGLGFGGLIVTNQHLFKR -NDGELTIRSHHGEFVVKDTKTLKLLPCKGRDIVIIRLPKDFPPFPKRLQFRTPTTEDRVCLIGSNFQTKS -ISSTMSETSATYPVDNSHFWKHWISTKDGHCGLPIVSTRDGSILGLHSLANSTNTQNFYAAFPDNFETTY -LSNQDNDNWIKQWRYNPDEVCWGSLQLKRDIPQSPFTICKLLTDLDGEFVYTQSKTTHWLRDRLEGNLKA -VGACPGQLVTKHVVKGKCTLFETYLLTHPEEHEFFRPLMGAYQKSALNKDAYVKDLMKYSKPIVVGAVDC -DQFERAVDVVISMLISKGFEECNYVTDPDDIFSALNMKAAVGALYSGKKRDYFKNVSDQDKESFVRASCK -RLFMGKKGVWNGSLKAELRPKEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSLNLHCPWSVGMTKF -RGGWDKLLRALPEGWIYCDADGSQFDSSLSPYLINAVLNIRLAFMEEWDIGEQMLSNLYTEIVYTPIATP -DGTIVKKFKGNNSGQPSTVVDNTLMVILAMTYSLLKLGYHPDTHDCICRYFVNGDDLVLAVHPAYESIYD -ELQEHFSQLGLNYTFATKTENKEELWFMSHKGVLYDDMYIPKLEPERIVSILEWDRSNEPIHRLEAICAS -MVEAWGYKELLREIRKFYSWVLEQAPYNALSKDGKAPYIAETALKKLYTDTEASETEIERYLEAFYDDIN -DDGESNVVVHQADEREDEEEVDAGKPIVVTAPAATSPILQPPPVIQPAPRTTAPMLNPIFTPATTQPATK -PVSQVPGPQLQTFGTYGNEDASPSNSNALVNTNRDRDVDAGSIGTFTVPRLKAMTSKLSLPKVKGKAIMN -LNHLAHYSPAQVDLSNTRAPQSCFQTWYEGVKRDYDVTDDEMSIILNGLMVWCIENGTSPNINGMWVMMD -GETQVEYPIKPLLDHAKPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGIQRNLTDYSLARYAFDFYEMT -STTPVRAREAHIQMKAAALRNVQNRLFGLDGNVGTQEEDTERHTAGDVNRNMHNLLGVRGV - ->sp|Q84934.1|POLG_PPVSK RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNVHLLCRRAAKSLINTYESATASAWKGLEEKL -QPMFAKREFSKTVTKRKGLRCFKESSEKFIEKKLKKQYKEERERFQFLNGPDAIVNQISVDKCEASVWVP -FPHIIEKPSFTTPSMKKKVVFTKVRMSEASLQLFMRRVAANAKANGQKVEIIGRKRVVGHYTTKSRLTYF -RTHVRHLDGSKPRYDLVLDEATKKILQLFANTSGFHHVHKKGEITPGMSGFVVNPMNLSDPMHVYDTDLF -IVRGKHNSILVDSRCKVSKEQSNEIVHYSDPGKQFWDGFTNSFMQCKLRETDHQCTSDLDVKECGYVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSTVIEQHEKTVMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGERKEAPFSHLNKINELIIKGGMMSAQDYIEASDHLRELARYQKNRTENIRSGSIKAFR -NKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDVIDVSEGYRRHIVRENPRGIRKLAIGN -LVMSTNLAALRKQLLGEECIHFEVSKECTSKRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNSGD -SKYVDLPTAKGGAMFIAKAGYCYINIFLAMLININEDEAKSFTKTVRDTIVPKLGTWPSMMDLATACHFL -AVLYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHVLKANTINQLISFASDTLDSSMKTYLVGGLEV -DKCDEFKNVKLLIRSIYKPQIMEQVLKEEPYLLLMSVLSPGVLMALFNSGSLEKATQYWIARSHSLAAIT -AMLSALAAKVSLASTLNAQMSVIDEHAAVLCDSVFVGTKPYASYMMAVKTLERMKARTESDHTLNDLGFS -VLRQATPHLVEKSYLQELEQAWRELSWSERFSAILESQRWRKHIPKPFIPKDAADLGGRYDISVRSLLGS -QYKRLKDVVRRKRDDVVCYTHQSMGKLFCKAIGISTSFLPSTLKMFDMLIIFGLLLSIGATCNSMINEHK -HLKQVAADREDKKRFKRLQVLYTRLLEKIGCTPTADEFLEYVQGENPDLSKYAEDLIGDGQVVVHQSKRD -SQANLERVAAFVALVMMLFDSERSDGVYKILNKLKGVMGSIDQTVHHQNLDDIEDMLDEKKLTVDFVLQS -NEVAPTVPFDSTFEKWWTNQLETGNVIPHYRTEGHFLEFTRENAAHIANEVMHGSHQDILIRGAVGSGKS -TGLPFHLSKKGHVLLIEPTRPLAENVCKQLRGQPFNVNPTLRMRGMSTFGSTPITVMTSGYALHFLANNP -TYLDNYKCIIFDECHVHDASAMAFRCLLSEYSYPGKILKVSATPPGYEVDFKTQKEVKVIVEEALSFQQF -VSNLGTGCNSDILKHGVNVLVYVASYNEVDTLSKLLTDRSFKVSKVDGRTMKVGNVEIPTSGTQAKPHFV -VATNIIENGVTLDIDVVVDFGLKVVPILDIDNRLVRYTKKSISYGERIQRLGRVGRNKPGMALRIGFTEK -GLTQIPPIIATEAAFLCFTYGLPVMTNGVSTSLLAMCTVKQARTMQQFELSPFYTVALVRFDGTMHQEIF -RLLKSYRLRDSEVILNKLAIPNSNVCGWMSVRDYKRQGCNLDLDENIRVPFYVKDIPETLHDKVWQAVET -HKSDAGFGRICSSSACKIAYTLQTDIHSIPRTVKIIDALLEQERTKQAHFRAMTSQSCSSSNFSLSSITS -AIRSKYAKDHTEENIGVLQMAKSQLLEFKNLNIDPSYPELVRNFGALECVHHQTKEGVSKTLQLKGHWNK -RLITRDATLMLGVLGGGAWMIFTYLKDSFQEEVVHQGFNRRQRQKLKFRQARDNRMAREVYGDDSTMEDY -FGSAYSKKGKSKGKTRGMGTKTRKFVNMYGYDPTDYNFVRFVDPLTGHTLDENPLMDINLVQEHFSQIRN -DYIGDDKITMQHIMSNPGIVAYYIKDATQKALKVDLTPHNPLRVCDKTATIAGFPEREFELRQTGHPTFV -EPNAIPKINEVGQEEVDHESKSLFRGLRDYNPIASSICQLNNSSGTRHSEMFGLGFGGLIVTNQHLFKRN -DGELTIRSHHGEFVVKDTKTLKLLPCKGRDILIIRLPKDFPPFPKRLQFRTPTTEDRVCLIGSNFQTKSI -SSTMSETSATYSVDNSHFWKHWISTKDGHCGLPIVSTRDGSILGLHSLANSTNTQNFYAAFPDNFETTYL -SNQDNDNWIKQWRYNPDEVCWGSLELKRDIPQMPFTVCKLLTDLDREFVYNQSKTTHWLRDKLEGNLKAV -GACPGQLVTKHVVKGKCTLFETYLLTHPEEHEFFRPLMGAYQKSALNKDEYVKDLMKYSKPIVVGAVDCE -QFERALDVVISMLISKGFEECNYVTDPDDIFSALNMKAAVGALYSGKKRDYFKNASEQDKEDFIKASCKR -LFMGKKGVWNGSLKAELRPKEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSLNLHCPWSVGMTKFR -GGWDKLLRALPDGWIYCDADGSQFDSSLSPYLINAVLNIRLAFMEEWDIGEQMLSNLYTEIVYTPIATPD -GTIVKKFKGNNSGQPSTVVDNTLMVILAMTYSLLKLGYHPDTHECICRYFVNGDDLVLAVHPAYESMYDE -LQEHFSQLGLNYTFTTKTENKEELWFMSHRGVLFEDMYIPKLEPERIVSILEWDRSNEPIHRLEAICASM -VEAWGYKELLREIRKFYSWVLEQAPYNALSKDGKAPYIAETALRKLYTDSEASETEIERYLEAFYNDVDD -SLDSNIVIHQADEEEDDEEVDAGRPTVVTAPAATVATTQPAPVIQPAPQTTAPMFNPIFTPATTQPAVRP -VPPISGAKPRSFGVYGNEDASPSTSNTLVNTGRDRDVDAGSIGTFAVPRLKTMTSKLSLPKVKGKAIMNL -NHLAHYSPAQVDLSNTRAPQSCFQTWYEGVKRDYDVTDEEMSIILNGLMVWCIENGTSPNINGMWVMMDG -ETQVEYPIKPLLDHAKPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGIQRNLTDYSLARYAFDFYEMTS -TTPVRAREAHIQMKAAALRNVQNRLFGLDGNVGTQEEDTERHTAGDVNRNMHNLLGVRGV - ->sp|P89509.1|POLG_TUMVJ RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAAVTFATAITNTTASKPALTGMIQFGNFPPVPLRSTTVTTVATSVAQPKLHTVQFGSLDPVVVKSGAGS -FAKATRQQPNVEIDVSLSEAAALEVAKPRPNAVLRMHEEANKERALFLDWEASLKRSSYGIAENEKVVMT -TRGVSKIVPRSSGAMKQKRARERRRAQQPIILKWEPKLSGISIGGGLSASAIEVEEARTKWPLHKTPSMK -RKTVHRRCKMNDQGIDMLMRSLIKIFKAKSANIEFIGRKSIKVDFVKKEQTKFARVQVVHLLGKRAQRDL -STGMEENHFIDILSGYSGNKTTINPGVVCAGWSGIVVRDGILTQKRSRSPSEAFVIRGEHEGKLYDARIK -ITRTMSHKIVHFSAAGANFWKGFDRCFLAYRSDNREHTCYSGLDVTECGEVAALMCLAMFPCGKITCPDC -VTDSELSQGQASGPSMKHRLVQLRDVIKSSYPRFKHAVQILDRYEQSLRSANENYQDFAEIQSISDGVEK -AAFPHVNKLNAILIKGATATGEEFSQATKHLLEIARYLKNRTENIEKGSLKSFRNKISQKAHINPTLMCD -NQLDRNGNFIWGERGYHAKRFFSNYFEIIDPKKGYTQYETRVVPNGSRKLAIGKLIVPTNFEVLREQMKG -EPVEPYPVTVECVSKLQGDFVHACCCVTTESGDPVLSEIKMPTKHHLVIGNSGDPKYIDLPEIEENKMYI -AKEGYCYINIFLAMLVNVKESQAKEFTKVVRDKLVGELGKWPTLLDVATACYFLKVFYPDVANAELPRML -VDHKTKIIHVVDSYGSLSTGYHVLKTNTVEQLIKFTRCNLESSLKHYRVGGTEWEDTHGAKNIDDPQWCI -KRLIKGVYRPKQLKEDMLANPFLPLYALLSPGVILAFYNSGSLEYLMNHYIRVDSNVAVLLVVLKSLAKK -VSTSQSVLAQLQIIDRSLPELVEARANINRPDDEAARACNRFMGMLLHMSEPNWELADGGYTILRDHSIS -ILEKSYLQTLDEAWNELSWSERCAIRYYSSKQAIFTQKDLPMRSEVDLGGRYSASVASSYEWSKQRVKSA -YSRIGSRLRSGVSWTSSKVSNSVCRTINYLIPDVFRFINVLVCISLLVTIAAEANRIVTTQRRLKLDVEE -TERRKIEWELAFHHAILTQSAGQHPTIDEFSTYIADKAPHLSEHIEPEEKVVVHQVKRQSEQELERIIAF -VALVLMMFDAERSDCVTKILNKLKGLVATVEPTVYHQTLNDIEDDLSERNLFVDFELSSDGDMLQQLPTE -KTFASWWNHQLSRGFTIPHYRTEGKFMTFTRATATEVAGKIAHESDKDILLMGAVGSGKSTGLPYHLSRK -GNVLLLEPTRPLAENVHRQLSQAPFHQNTTLRMRGLTSFGSAPISVMTSGFALNYFANNRMRIEEFDFVI -FDECHVHDANAMAMRCLLHECDYSGKIIKVSATPPGREVEFSTQYPVSISTEDTLSFQDFVNAQGSGSNC -DVISKGDNILVYVASYNEVDALSKLLTERDFKVTKVDGRTMKVGNIEITTSGTPSKKHFIVATNIIENGV -TLDIDVVADFGTKVLPYLDTDSRMLSTTKTSINYGERIQRLGRVGRHKPGHALRIGHTEKGLSEVPSCIA -TEAALKCFTYGLPVITNNVSTSILGNVTVKQARTMSVFEITPFYTSQVVRYDGSMHPQVHALLKRFKLRD -SEIVLNKLAIPHRGVNAWLTASEYARLGANVEDRRDVRIPFMCRDIPEKLHLDMWDVIVKFKGDAGFGRL -SSASASKVAYTLQTDVNSIQRTVTIIDTLIAEERRKQEYFKTVTSNCVSSSNFSLQSITNAIKSRMMKDH -TCENISVLEGAKSQLLEFRNLNADHSFATKTDGISQHFMSEYGALEAVHHQNTSDLSKFLKLKGKWNKTL -ITRDVLVLCGVLGGGLWMVIQHLRSKISEPVTHEAKGKRQRQKLKFRSIRDNKMGREVYGDDDTIEHFFG -DAYTKKGKSKGRTRGLGHKNRKFINMYGFDPEDFSAVRFVDPLTGATLDDNPFTDIALVQEHFGNIRMDL -LGEDELDPNEVRMNKTIQAYYMNNKTGKALKVDLTPHIPLKVCDLHATIAGFPERENELRQTGKAQPINI -DEVPRANNELVPVDHESNSMFRGLRDYNPISNNICHLTNVSDGASNSLYGVGFGPLILTNRHLFERNNGE -LIIKSRHGEFVIKNTTQLHLLPIPDRDLLLIRLPKDVPPFPQKLGFRQPEKGERICMVGSNFQTKSITSI -VSETSTIMPVENSQFWKHWISTKDGQCGSPMVSTKDGKILGLHSLANFQNSINYFAAFPDDFAEKYLHTI -EAHEWVKHWKYNTSAISWGALNIQASQPSGLFKVSKLISDLDSTAVYAQTQQNRWMFEQLNGNLKAIAHC -PSQLVTKHTVKGKCQMFDLYLKLHDEAREYFQPMLGQYQKSKLNREAYAKDLLKYATPIEAGNIDCDLFE -KTVEIVISDLRGYGFETCNYVTDENDIFEALNMKSAVGALYKGKKKDYFAEFTPEMKEEILKQSCERLFL -GKMGVWNGSLKAELRPLEKVEANKTRTFTAAPLDTLLGGKVCVDDFNNQFYDHNLRAPWSVGMTKFYCGW -DRLLESLPDGWVYCDADGSQFDSSLSPYLINAILNIRLGFMEEWDVGEVMLRNLYTEIVYTPISTPDGTL -VKKFKGNNSGQPSTVVDNTLMVILAVNYSLKKSGIPSELRDSIIRFFVNGDDLLLSVHPEYEYILDTMAD -NFRELGLKYTFDSRTREKGDLWFMSHQGHKREGIWIPKLEPERIVSILEWDRSKEPCHRLEAICAAMIES -WGYDKLTHEIRKFYAWMIEQAPFSSLAQEGKAPYIAETALRKLYLDKEPAQEDLTHYLQAIFEDYEDGTE -ACVYHQAGETLDAGLTDEQKQAEKEKKEREKAEKERERQRQLALKKGKNAAQEEGERDNEVNAGTSGTFS -VPRLKSLTSKMRVPRYEQRVALNLDHLILYTPEQTDLSNTRSTRKQFDTWFEGVMADYELTEDKMQIILN -GLMVWCIENGTSPNINGMWVMMDGDDQVEFPIKPLIDHAKPTFRQIMAHFSDVAEAYIEKRNQDRPYMPR -YGLQRNLTDMSLARYAFDFYEMTSRTPIRAREAHIQMKAAALRGANNNLFGLDGNVGTTVENTERHTTED -VNRNMHNGLGVKGL - ->sp|P17767.2|POLG_PPVRA RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNVHLLCRRAAKSLINTYESATASAWKGLEEKL -QPMFAKREFSKTVTKRKGLRCFKESSEKFIEKKLRKQYQEERERFQFLNGPDAIVNQISVDKCEASVRVP -FPHIIEKPSFATPSMKKKVVFTKVRMSEASLQLFMRRVAANAKANGQKVEIIGRKRVVGNYTTKSRLTYF -RTHVRHLDGSKPRYDLVLDEATKKILQLFANTSGFHHVHKKGEVTPGMSGFVVNPMNLSDPMQVYDTDLF -IVRGKHNSILVDSRCKVSKEQSNEIIHYSDPGKQFWDGFTNSFMQCKLRETDHQCTSDLDVKECGYVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSTVIEQHEKTVMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGERKEAPFSHLNKINELIIKGGMMSAQDYIEASDHLRELARYQKNRTENIRSGSIKAFR -NKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDVIDVSEGYRRHIVRENPRGIRKLAIGN -LVMSTNLAALRKQLLGEECIHFEVSKECTSKRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNSGD -SKYVDLPTAKGGAMFIAKAGYCYINIFLAMLININEDEAKSFTKTVRDTLVPKLGTWPSMMDLATACHFL -AILYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHVLKANTINQLISFASDTLDSNMKTYLVGGLEV -DKCDEFKNVKLLIRSIYKPQIMEQVLKEEPYLLLMSVLSPGVLMALFNSGSLEKATQYWITRSHSLAAIT -SMLSSLAAKVSLASTLNAQMSVIDEHAAVLCDSVFVGTKPYASYMMAVKTLERMKARTESDHTLNDLGFS -VIRQATPHLVEKSYLQELEQAWKELSWSEKFSAILESQRWRKHIPKPFIPKDGADLGGRYDISVRSLLGN -QYKRLRDVVRRKRDDVVCYTHQSMGKLFCKAIGISTSFLPSTLKMFDMLIVFSLLLSIGATCNSMINEHK -HLKQLAADREDKKRFKRLQVLHTRLSEKVGCTPTADEFLEYVGGENPDLLKHAEDLIGDGQVVVHQSKRD -SQANLERVVAFVALVMMLFDSERSDGVYKILNKLKGIMGSVDQAVQHQSLDDIEDILDEKKLTVDFVLQS -NEVAPTVPFDSTFEKWWTNQLETGNVIPHYRTEGHFLEFTRENAAHIANEVMHGSHQDILIRGAVGSGKS -TGLPFHLSKKGHVLLIEPTRPLAENVCKQLRGQPFNVNPTLRMRGMSTFGSTPITVMTSGYALHFLANNP -TYLDNYKCIIFDECHVHDASAMAFRCLLSEYSYPGKILKVSATPPGHEVDFKTQKEVKVIVEESLSFQQF -VSNLGTGCNSDILKHGVNVLVYVASYNEVDTLSKLLTDRSFKVSKVDGRTMKIGNVEIPTSGTQAKPHFV -VATNIIENGVTLDIDVVVDFGLKVVPVLDIDNRLVRYTKKSISYGERIQRLGRVGRNKPGAALRIGFTEK -GLTQIPPIIATEAAFLCFTYGLPVMTNGVSTSLLAMCTVKQARTMQQFELSPFYTVALVRFDGTMHQEIF -RLLKSYRLRDSEVILNKLAIPNSNVCGWMSVRDYKRQGCNLDLDENIRVPFYVKDIPETLHERIWQVVET -HKSDAGFGRICSSSACKIAYTLQTDIHSIPRTIKIIDALLEQERTKQAHFRAMTSQSCSSSNFSLSSITS -AIRSKYAKDHTEENIGVLQTAKSQLLEFKNLNIDPSYPELVRNFGALECVHHQTKEGVSKALQLKGHWNK -RLITRDATLMLGVLGGGAWMIFSYLRDSFKEEVVHQGFNRRQRQKLKFRQARDNRMAREVYGDDSTMADY -FGSAYSKKGKSKGKTRGMGTKTRKFVNMYGYDPTDYNFVRFVDPLTGHTLDENPLMDINLVQEHFSQIRN -DYIGDDKITMQHIMSNPGIVAYYIKDATQKALKVDLTPHNPLRVCDKTATIAGFPEREFELRQTGHPVFV -EPNAIPKINEEGDEEVDHESKSLFRGLRDYNPIASSICQLNNSSGARQSVMFGLGFGGLIVTNQHLFKRN -DGELTIRSHHGEFVVKDTKTLKLLPCKGRDIVIIRLPKDFPPFPKRLQFRTPTTEDRVCLIGSNFQTKSI -SSTMSETSATYPVDNSHFWKHWISTKDGHCGLPIVSTRDGSILGLHSLANSTNTQNFYAAFPDNFETTYL -SNQDNDNWIKQWRYNPDEVCWGSLQLKRDIPQSPFTICKLLTDLDGEFVYTQSKTTHWLRDRLEGNLKAV -GACPGQLVTKHVVKGKCTLFETYLLTHPEEHEFFRPLMGAYQKSALNKDAYVKDLMKYSKPIVVGAVDCD -QFERAVDVVISMLISKGFEECNYVTDPDDIFSALNMKAAVGALYSGKKRDYFKNVSDQDKESFVRASCKR -LFMGKKGVWNGSLKAELRPKEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSLNLHCPWSVGMTKFR -GGWDKLLRALPEGWIYCDADGSQFDSSLSPYLINAVLNIRLAFMEEWDIGEQMLSNLYTEIVYTPIATPD -GTIVKKFKGNNSGQPSTVVDNTLMVILAMTYSLLKLGYHPDTHDCICRYFVNGDDLVLAVHPAYESIYDE -LQEHFSQLGLNYTFATKTENKEELWFMSHKGVLYDDMYIPKLEPERIVSILEWDRSNEPIHRLEAICASM -VEAWGYKELLREIRKFYSWVLEQAPYNALSKDGKAPYIAETALKKLYTDTEASETEIERYLEAFYDDFND -DGESNVVVHQADEREDEEEVDAGKPSVVTAPAATSPILQPPPVIQPAPRTTASMLNPIFTPATTQPATKP -VSQVSQPQLQTFGTYGNEDASPSNSNALVNTNRDRDVDAGSVGTFTVPRLKAMTSKLSLPKVKGKAIMNL -NHLAHYSPAQVDLSNTRAPQSCFQTWYEGVKRDYDVTDDEMSIILNGLMVWCIENGTSPNINGMWVMMDG -ETQVEYPIKPLLDHAKPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGIQRNLTDYSLARYAFDFYEMTS -TTPVRAREAHIQMKAAALRNVQNRLFGLDGNVGTQEEDTERHTAGDVNRNMHNLLGVRGV - ->sp|P32652.1|POLG_MDMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -KEGLAPYIAETALRNLYLGTGIKEEEIEKYLKQFIKDLPGYIEDYNEDVFHQSGTVDAGAQGGSGSQGTT -PPATGSGAKPATSGAGSGSGTGAGTGVTGGQARTGSGTGTGSGATGGQSGSGSGTEQVNTGSAGTNATGG -QRDRDVDAGSTGKISVPKLKAMSKKMRLPKAKAKDVLHLDFLLTYKPQQQDISNTRATKEEFDRWYDAYK -KEYEIDDTQMTVVMSGLMVWCIENGCSPNINGNWTMMDKDEQRVFPLKPVIENASPTFRQIMHHFSDAAE -AYIEYRNSTERYMPRYGLQRNISDYSLARYAFDFYEMTSRTPARAKEAHMQMKAAAVRGSNTRLFGLDGN -VGETQENTERHTAGDVSRNMHSLLGVQQHH - ->sp|Q01681.1|POLG_PPVEA RecName: Full=Genome polyprotein; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -LNKLAIPNANVCGWMSVRDYKRQGCNLDLDDNIRVPFYVKDLPETLHEKIWQTVEAHKADAGFGRICSSS -ACKIAYTLQTDIHSIPRTVKILDALLEQERTKQAHFRSMTSQSCSSSNFSLSSITSAIRSKYAKDHTEEN -IGVLQMAKAQLLEFKNLNIDPSYPELVRNFGALECVHHQTKEGVSKALRLKGHWNKQLVTRDATLMLGVL -GGGAWMIFSYLRDSFKEEVVHQGFNRRQRQKLKFRQARDNRMAREVYGDDSTMEDYFGSAYSKKGKSKGR -TRGMGTKTRKFVNMYGYDPTDYNFVRFVDPLTGHTLDENPLMDINLVQEHFSQVRNDYLGDDKITMQHIM -SNPGIVAYYIKDATQKALKVDLTPHNPLRVCDKTATIAGFPEREFELRQTGQPVLVEPNAIPQINEEGDE -EVGHESKSLFRGLRDYNPIASSICHLTNASGTRQSEIYGLGFGGLIVTNQHLFKRNDGELTIRSHHGEFV -VKDTKTLKLLPCKGRDIIIIRLPKDFPPFPRRLQFRTPTAEDRVCLIGSNFQTKSVSSTMSETSATYPVD -NSHFWKHWISTKDGHCGLPIVSTRDGSILGLHSLANSTNTQNFYAAFPDNFETTYLANQDNDNWIKQWRY -NPDEVCWGSLQLKRDVPQSPFTICKLLTDLDGEFVYNQAKTTHWLRDKLEGNLKAVGACPGQLVTKHVVK -GKCTLFETYLLTHPEEREFFQPLMGAYQKSALNKDAYVKDLMKYSKSIVVGAVDCEQFERAVDVVISMLI -SKGFSECSYVTDPEEIFSALNMKAAVGALYSGKKRDYFKDTSELEKEEFVRASCKRLFMGKKGVWNGSLK -AELRPKEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSLNLHCPWSVGMTKFRGGWDKLLRALPDGW -IYCDADGSQFDSSLSPYLINAVLNIRLAFMEEWDIGEQMLSNLYTEIVYTPIATPDGTIVKKFKGNNSGQ -PSTVVDNTLMVILAMTYSLLKLGYHPDTHECICRYFVNGDDLVLAVHPAYESIYDELQHHFSQLGLNYTF -TTKTENKEDLWFMSHKGIMCEGMYIPKLEPERIVSILEWDRSSEPIHRLEAICASMVEAWGYKELLREIR -KFYSWVLEQAPYNALSKDGKAPYIAETALKKLYTDTEASETEIERYLEAFYSNLTDEDESNVVVHQADEK -EDDEEEVDAGRPLVTTTQQPIVTTTTQQTPITSTTLQATQAMFNPIFTPATTEPTTRTVPHTTTTTPPSF -GVIGNEDTAPNASNAVVRTGRDRDVDAGSIGTFTVPRLKAMTSKLSLPKVKGKAIMNLNHLAFYSPAQVD -LSNTRAPQSCFQTWYEGVRRDYDVTDDEMSIILNGLMVWCIENGTSPNINGMWVMMDGETQVEYPIKPLL -DHAKPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGIQRNLTDYSLARYAFDFYEMTSTTPVRAREAHIQ -MKAAALRNAQNRLFGLDGNVGTQEEDTERHTAGDVNRNMHNLLGMRGV - ->sp|P31998.1|POLG_GSMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -TSPNINGFWVMLENDEQIEFPIKPLIDHARPTFRQIMSRFSDLAEAYIEKRNFERAYMPRYGLQRNLTDM -SLRRYAFDFYEMTSKAPARA - ->sp|P25242.1|POLG_SUMVS RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -KEGLAPYIAETALRNLYLGSGIKEEEIEKYFKQFAKDLPGYLEDYNDEVFHQAGTVDAGAQGGGGNAGTQ -PPATGAAAQGGAQPPATGAAAQPPTTQGSQLPQGGATGGGGAQTGAGGTGSVTGGQRDKDVDAGTTGKIT -VPKLKAMSKKMRLPKAKGQDVLHLDFLLTYKPQQQDISNTRATREEFDRWYEAIKKEYELDDTQMTVVMS -GLMVWCIENGCSPNISGSWTMMDGDEQTVFPLKPVIENASPTFRQIMHHFSDAAEAYIEYRNSTERYMPR -YGLQRNLTDYSLARYAFDFYEMNSRTPARAKEAHMQMKAAAVRGSNTRLFGLDGNVGETQENTERHTAGD -VSRNMHSLLGVQQHH - ->sp|P19724.1|POLG_PRSVW RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -LVRKSCERLYEGRMGVWNGSLKAELRPAEKVLAKKTRSFTAAPLDTLLGAKVCVDDFNNWFYSKNMECPW -TVGMTKFYKGWDEFLRKFPDGWVYCDADGSQFDSSLTPYLLNAVLSIRLWAMEDWDIGEQMLKNLYGEIT -YTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVLITMYYALRKAGYDTKTQEDMCVFYINGDDLCIAIHP -DHEHVLDSFSRSFAELGLKYDFTQRHRNKQNLWFMSHRGILIDDIYIPKLEPERIVAILEWDKSKLPEHR -LEAITAAMIESWGYGDLTHQIRRFYQWVLEQAPFNELAKQGRAPYVSEVGLRRLYTSERGSMDELEAYID -KYFERERGDSPELLVYHESRSTDDYQLVCSNNTHVFHQSKNEAVDTGLNEKFKEKEKQKEKEKEKQKEKE -KDDASDGNDVSTSTKTGERDRDVNVGTSGTFTVPRIKSFTDKMILPRIKGKSVLNLNHLLQYNPQQIDIS -NTRATQSQFEKWYEGVRNDYGLNDNEMQVMLNGLMVWCIENGTSPDISGVWVMMDGETQVDYPIKPLIEH -ATPSFRQIMAHFSNAAEAYIAKRNATERYMPRYGIKRNLTDISLARYAFDFYEVNSKTPDRAREAHMQMK -AAALRNTSRRMFGMDGSVSNKEENTERHTVEDVNRDMHSLLGMRN - ->sp|P20234.1|POLG_OMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -EYTIVRYVDPLTGATQDENPLMAIDLVQEYFAKIRSQLVSEEKLETQNIIANPGIQAYYMKNRGDAALKV -DLTPHNPLLVTKTGTIAGFPENEFILRQTGKAVNVKMSEVPVENELEEVEHEGKNLNRGLRDYNVVSNVV -CRLTNESDGHSASLFGLGYGGYIITNRHLFKNNNGTLKVQSQHGDFIVKNTTQLKMVPVGKTDILIIRMP -KDFPVLPQKLRFRAPANEDKVCLIASNFQERYVSSLVSETSSVYPVGNGEFWQHWISTKDGHCGLPLTST -KDGFIVGIHSLSTITNSKNFFASIPANFEEQYLAKLDQQDWTANWKYNPNEVSWNGLRLQENKPGRIFQA -VKEVSALFSDAVYEQGQEVGWLFRELKDNLKAVAVLPNQLVTKHVVKGPCQCFIQYLNESPEASAFFKPL -MGQYGKSILSKEAFVKDIMKYSKPIVLGEVDFIKFEEGYNNVLRMFHDIGFEKCEYVTDSMEVYKNLNLK -AAVGAMYTGKKQQYFEGMSEDEIHQLVIASCFRLWSGKFGVWNGSLKAELRPLEKVQACKTRTFTAAPLD -TLLGAKVCVDDFNAQFYDKHLTAPWTVGICKYYKGWDTFMNKLPEGWLYCDADGSQFDSSLTPFLINSVL -RLRLEFMEDWDIGARMLSNLYTEIIYTPIATPDGTVVKKFRGNNSGQPSTVVDNTLMVVLAMNYALAKLS -IPYEEMDSRIRYFANGDDLLVAVEPTKGGEILDSLQASFSELGLIYDFNDRTFDKTQLSFMSHQALWDGD -MFIPKIKQERVVSILEWDRSTQPEHRIEAVCAAMIEAWGYPELLQEIRKFYAFMVTQEPYSAIHAQGKTR -YISERALVTLYKDEKVVLSDIGPYIQKLAEMSLGCVDEVVMHQADSMDAGGSSRPPAPLVRQQDQDVNVG -TFSVARVKALSDKMMLPKVRGKTVLNLQHLVQYNPEQTEISNTRATRTQFNNWYDRVRDSYGVTDDQMAV -ILNGLMVWCIENGTSPNLNGNWTMMDGDEQIEYPLQPVLENAQPTFRQIMAHFSNAAEAYIEKRNSEQRY -MPRYGSQRNLNDYSLARYAFDFYEMTSRTANRAREAHIQMKAAALRNTKTKLFGLDGKVGTEEEDTERHV -ASDVNRNMHSLLGVNM - ->sp|P22556.1|POLG_TAMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -VDEEDDIVYFQAGTLDAGEATAQKAEGKKKEGEVSSGKAVVVKDKDVDLGTAGTHSVPRLKSMTSKLTLP -MLKGKSRCNLDHLLSYKQTVDLSNARATHEQFQNWYDGVMASYELEESSMEIILNGFMVWCIENGTSPDI -NGVWTMMDDEEQISYPLKPMLDHAKPSLRQIMRHFSALAEAYIEMRSREKPYMPRYGLQRNLRDQSLARY -AFDFYEITATTPVRAKEAHLQMKAAALKNSNTNMFGLDGNVTTSEEDTERHTATDVNRNMHHLLGVKGV - ->ALS88434.1 polyprotein [Johnsongrass mosaic virus] -MAGEWNTVVGKRNQRKTEEQRIFDAQKRFYESHQVYDAQRALAHNSRLLKRNFDLTNINESPKREVQPIK -KVFKQVWVAKVKEVLCEPKPTEVVAIKSRQETVEMDIGKFAKVLLDQCKAYKVPVELIGRKRKVATKIHP -FVVKGKTYLKLETNHEVGSIKRRDVNMPESVERLVLKIADQYDKPSDIDLDTFTHGSSGITFKSETGLLF -IIRGRANGILVNALDQYEDDLKQIKHYSFEDEAKAFWKGFTTNYIAQRREAHDHTNHEPVMSVEECGKRA -AILENAIHQGFKITCKYCFQTFSELNDDEVCERLHDALSRVEEQNRMFISTDQALRTSIRVLRDVTDVTR -IHHNDCLEVIKILEPTLPTPANRISEIAKSLLKVRASDENQMVIIGQNLLEIARWFSKRHKAGPTDDLST -YKNKVAARGVVGNALMCDNQLDKNGDFLWGQRAYHAKRFLSNFYDIVDPTDDYDKHVIRRSPRSQRHLAI -GKLIVTMDLEQMRSRLVGLPCKPGGIEQHCIGRLNGNIIYPCSCVTQESGKPLWSELIMPTKEHISLGNL -ADPHLVDLPQSDPPQMYIVKDGYCYLNIFLAMLIYVKEDAAKDFTKFIRDRIQPRLKEWPSLKDVATACY -LTTIFYPETLQAEIPKILVDHETKTMHVVDTFGSLSTGYHILKASTVAQLIRFSYNDLSSEMKEYIVGGD -LVHGTTVRLIECLIKGIFNKAYLQQIIIEEPFLLLLAIESPCVLINMYNNGNFETAMKYYSTRNMPLTNL -FAAMESFAKRVSKADIFAEQLNIMEQAAYDIHARMAGTYVNDPLARYVKHHLIVMMNRADMNAQLKLEGH -TIFDSRSYEIIEKKYASDIQTSWQELSWLEKSRLVSQLYFTKKSQHQCIKPKESTDLGGIYNISPMLLVS -GFHEKLHTKANSISQKLQSTYNSVKHKFRGGILKTAYRCLPDTLKLMHVAIVMSCVSSILLSVCAAGVEY -KKYKREALREQIEREEQMVEALHAQFMLDNRFSGTEREFIMRVEKNFPHLTPRALAMVKTEVEHERKGYA -ELKLEQVIAFATLLTMLYDAERSDAVYKILQKIKACTNICTQEVKHEGSQIDEVQELENLKRLTVDFNIE -QEIPPSIAVHDTTFEKWWTTQITQSRTTTHYRNKGEFLEFSRSNAIAVCNRIAHGSDSKHFLVRGNVGSG -KSTAIPRYLSDKGKVLILEPTRPLTENVCQQLQNEPWCLDPTMQMRGKSIFGSTPITIMTTGFALHLFAN -NVERLSEYKFIIFDECHVVDSNAMAFSCLLEEYKYNGKIISVSATPPGRESEFQTEKPVDLRVFEDVSFD -TFVMEQGTGSNLDAVSVCDSILVYVASYNEVDQMSRLLNEKGYTVTKVDGRTMNGGNKSGGSLNKHIHIS -LNEKLQAQIKEHGKHFIVATNIIENGVTLNVDGVVDFGTKVVADLDVDNRSIIYQKIPISYGERVQRLGR -VGRFKKGYAFRIGTTQKGIVDIPAMTATEAAFLCFAYGLPVITHNVSTTHLSHVTSAQARTMLQFELPIF -MMSELVKYDGHMHPAIHEILKQFKLRDSSISLRDTALPQNASDLWLSAGTYKKLGYKIDLPDDCKIPYYV -NGVSARMYEQIWNAVKDFRQTCCMRRMTSSCAGKIAYTLQTDVNAIPRTLAIIDGLIKEEQIKHSHFQSM -SANSTSSYNFSLNGIMDMLRSRYMKDHSVDNIAKLEMVKNQIIEFSNASINYRDVDFIKHFGALQTVIHE -NKEDVCKELDLKGIWNEKLMCRDGIIAAGVAIGGVLIGWECFKYYFMTEVEHEGKSKRTKQKLRFRDARD -RKVGREVYGDDATIEHFFGEAYTKKPKKGNKTHGMGFKTRRFTHIYGVDPTEYDMIRFVDPLTGTTLDES -TQADMSLVKEHFANVREAYVENDILERQHLYSNPGVHAYFIKNNASNALKVDLTLHNPLALCRTNAIAGF -PEREGELRQTGPSISIPRDEVPEQNEPEVEHEGTSAIHGVANYNPISDNICLLKNDSDGRNIELYGIGYG -AYVIAPSHLFESNNGSLHIRSTRGLYKIPNTQALKISAIEGRDIILIRLPKDHPPFTRSIKFSEPDKYDK -VIMLRMNFQQNKSIVEFSESSIIAQQSASFWKHWISTKAGYCGLPLVNTRTKEVVGIHSLKATNNSVNYF -TSVNADLIGKLALDVETIQWTKGWKYNEHLLAWDGLHLRNSKPSQAFNTAKEIEVVNERVSNESTDTWLS -RQFNENLSVVGELPGNLVTKHVVKGKCQLFQLFMSVDEQAGKYFEPLCGHYSKSLLNRSAFIKDFTKYDK -PIVVGTVKPNEFEMATKDVINMLHNLGMKDCNYVTIADEIYGAMNMKASVGALYNGKKREYFANFTDEQK -EKLMEESCKRLYCGELGVWNGSLKAEIRPMEKILANKTRTFTAAPLETLIGGKVCVDDFNNQFYQNHLKG -PWTVGISKFYKGWDSLMRRLPENWVYCDADGSQFDSSLTPYLINAVLQIRLACMEKWDIGEKMLSNLYTE -IVYTPIATPDGRIVKKFKGNNSGQPSTVVDNTLMLILAFTYALRVNNIENFEQDDIIKMFGNGDDLLIAV -RPDFEYLLDTFTGHFADLGLNFDFSNRTRNREDLWFMSHRGMLKDGVYIPKLEPERVVAILEWDRSVEPE -HRLSAICAAIIESWGYEELTYQIRRFYQWVLEQEPYKELALQGKAPYLSETALRKLYLDESCDQSELLHY -YEEMYKNATMSDDVVDVEHQSGNEDAGKPKTTPKTTDPPGNNGSGTPAPVTNPPANNNPPSNNNDGKPKT -QETPRNSGNGGSGGTVVTTPKQDRDVDAGSRGTFTIPKLKKVSPKMRLPMVSNKSILNIDHLIQYKPDQR -DISNARATHQQFQFWYNRIKKEYDVDDEQMKILMDGFMVWAIENGTSPDINGYWTMMDGETQSEFPLKPV -VENAKPTLRQCMMHFSDAAEAYIEMRNLDEPYMPRYGLLRNLNDKSLARYAFDFYEINSRTPNRAREAHA -QMKAAAIRGATNNLFGLDGNVGESSENTERHTAADVARNVHSYRGAKI ->AFS28881.1 polyprotein [Pokeweed mosaic virus] -MATILFGSFPVDVAPYMNGVPMSPPPTRFFEATAVPQPKEEEKIDVWEEFDRRVNAERCNRTFMHQKHVM -KGRYSQWVDFTKRELREQAREKRNEEARRVFLSQPDSVLTRISIASGPAPSELEAQVPPKRPLNRSKRMR -QLRTPRLVALDKECTEALIRCVRKITRTSGIPVEIVGKRTYKISPAKFRSCNVPRVHVRHMDGRKRSIDL -KIHPKDATFLDTCMKQFVTNQNITTIQLQNGDSGLMFLRTNVKGIVGRSRSWFIVRGSHEGKLYDATIRV -TDRVRQTMNHYSVAETFRRGFNNWFLKHKATTDHQCESNLPVEDCGAVAALLCQVVNPCGRITCEKCLQQ -LEDMTTKTFFSLVAERSRTTIEILRAKFPRFKHSIKLMERLMSIEEDNTAFESFREIRLLIGERNDGVFR -HINKLNDLCALGKQADADQMKAIGVNLLEIARFMKNRTEHTKAGSLQHFRNKISGKAHVNPTLMCDNQLG -KNGNFLWGKREYHAKRFFANYFDVIIPSEGYDKFIERIGPNSKRKLAIGNLIVSTNFEKLRMQLEGERIE -PESLTSACTSKLDRNFRYPCCCVTLDDGRPVYSDIKMPTKNHLVLGNTGDSKYLDLPADTENKMYIAKQG -YCYINIFLAMLVNVDEEQAKDFTKMVRDTIIPALGMWPSMMDVATSCAFLAAFYPDTANAELPRILVDHK -NQMMHVIDSFGSLTTGYHVLKANTVTQLTQFASNSLESEMKHYKVGGLSNCQDISHDAAIQLLIHGIFRP -KFLKEILEEEPYLLVMGIVSPNVLLAMYNNGVFEQALQMFLRRDQSLTTMVAILNTLAVKVSGASLIVEQ -HKIIESHAGLLMDQIFRGTRPNFSYMTAIQYLLVVDARNETDAGLEAIGYRTFFEATRETCEKNYIARLE -ASWAELSWLEKSYAIWHSRKYLQFGRGLRKFKNTTLSSPVCSVSITQCAGQVTQSVKQTGCRIIAGGRDG -MTKLYSKTMLFCFNRLRKLLPDFLKLLNILLCLSALVAIAQGTASMLNEHKRLKMEIAEKKFKNNEEHLV -RFKNYYDFSHPDGTEEDFLETLKDSSAELYGHYKDVYVTPKPGVVFQDAKSDSRKMEQGIALIALILMVF -DTDRSDCVYKTLNKFKGVMSSLYVKPVSFQSIDDIKPTLEEKNMTVDIVLSGDDAVSTNTQEITFEKWWS -LQLQRNAVRPHYRTEGKFMEFTRSQAAAIALQIAHADENDFLIRGNVGSGKSTGLPFHLSKKGSVLLIEP -TRPLTENVTKQLRHDPFYAKPTIRMRGLSAFGSDPITVMTTGFALHFYANNMDQLKSLDFIIFDECHVTD -ASAMAFRNLLYEVDYKGKVIKASATPPGREGEFKTQYPVDLRVEESLSFEEFVATQGKGTNADVVQRGDN -ILVYVASYNEVDQLSRLLIERKFHVTKIDGRTMKIGSTEIKTCGTAEKKHFLVATNIIENGVTLDIDVVV -DFGVKVQPTLDCDNRMISYRKVSISYGERIQRLGRVGRHKPGVALRIGHTEKGIMEIPPIIATEAAFLCF -TYGLPVTTQNVSVSLLSQCTVKQARTMVQFELPIFYTQHLVRFDGTMHPAIHSILKRFKLRDSETILNKL -SLPYKQTAVWLSGKAYRNLIGTTIPENVKIPFFVKDVPDKMHEEIWEAIQQHKQDAGIGRLTMAQATKVA -YTLQTDIHAIPRTLRIIDMLLEAEQTKKNHFESVASQSLSATNFSLSSIMTSLRSHYTRNHTAENIEILQ -KARAQLLEFANLGHDPSATELVKNFYYLEAVEFQSQAGVAQALKLKGIWKKSLITRDLLIIFCVFIGGLY -MIMLYFYETFHSEVKFEGAKHKNQRLRFRNARDRKLGYEVHADDDTIEHFFGAAYTEKDKKKSKVHGMGK -KNRRFTHMYGYDPTDYTFVRFVDPLTGKTLDDSPYTDISLIQQAFGEERIRMIGEDELDPQQIINSPGLK -AYYGNQTTRKALEVDLTPHIPLLVGRNTNSISGFPEREGELRQTGQARPINFDQIPKEDVVAFESLSMLR -GVRDYNPIASVICQLTNESEGETTTLHGIGYGPYIITNQHLFKRNNGNLKIVSQHGTFRVHNTCNLPLLP -IKGQDVLIMRLPKDFPPFPQRIKFRAPEKGERVCIVMSNFQTKSISSMVSETSHIYPVPNSSFWKHWIST -KNGHCGSPIVATRDGAILGIHSIANTDNTGNYFTCFGVEFSEKFDELVANGDWTKGWKFNANTIAWGSLY -LKDSVPDETFRITKLIQDLVGSNEVCLQATTQSKKWMYDALEDNLRPMATMDGKLVTKHTVKGKCSLFAT -YLQVDQQAQRFFTPLMGSYKPSRLNKEAYIKDLMKYSSVIDIGLVDCEAFERAERKLHQRLVEWGFETCD -YINDEESILASLNMKAAVGALYQGKKRDYFQGMDSQSIANLIKASCKRLFLGKLGIWNGSLKAELRPDEK -VLQSKTRTFTAAPLDTLLGGKVCVDDFNNQFYSLNLKCPWSVGMTKFYGGWNLLMTQLPDGWLYCDADGS -QFDSSLSPYLINAVLNLRLSFMEDWGLGEQMLKNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNT -LMVILAMYYSFEIHGLSEHDCVFFVNGDDLLLAIKPEKEKFLDGLSDTFRTLGLKYDFSSRTKKREDLWF -MSHRAIKREGIYIPKLEPERIVSILEWDRSSEPTHRLEAICAAMIESWGYDQLTHEIRRFYKWVLEQAPY -AQLAQEGKAPYLAESALRNLYLDKTPTESELQQYISCFYEDESTDERIEVHFQSDVNAGVERPNRQRDQP -SSSQQIQQQQAAPPTPQHRDSDVNVGTRGTFSVPRLKGSISKMSLPKLKGKPIVNLDHLLGYDPEQTSIS -NAKATQSQLSVWYDTIKASYDVDDEAMKILLNGLMVWCIENGTSPNINGSWVMMGGDEQVEYPLKPIVEN -AKPTLRQIMMHFSDLAEAYIEKRNLKEKYMPRYGLIRNLTDMTLARYAFDFYEVTSKTPARAREAHMQMK -AAALRNASTKMFGLDGNVGTKEENTERHTAEDVSREMHNLMGVRMV ->AKE49121.1 polyprotein [Leek yellow stripe virus] -MAKILSSIQYVEYGHNRATTLNDKDVIARRREILSGILNRTQTNGQTPAYVFGATGYGKVQNSKSLCARR -MGARYNRDDDVYECTTCNGAFQTKETFKEHDCDEENEDIDMPLPNFTLNVEEFPTLLNNPVQAPKSGVDG -IHFGSFNTPASPGGNLQPDVVDTPTLESESKDNVIYFGSFETPVSVRSDSKLNILDSSAHESRTEQDVIY -FGSFETPAPLVSDPELIITSNMNMCQLERESTTNPELDQVLIHTPITNAETQTTQHTTPDPSFVKPQSRQ -RTTHVTSGVSGQHFSELIRNLAQIVHDRQLDVHFVGKRRLDGRCVRSDGKSYLQLQTKHALGLKNRVDCH -IPKGLEHIVHELAKGSGYKSRTRVRDIKKGWSGFVLQPRNIIGLSGKSKHDFFVVRGSLKGKLIDACTCI -NPNASGLEHYSAGQIIWKNFEEEFIKQRPDIKHKCMSDIEASKCGILAATLIQLFHPCGKITCDECIANT -TSKSPMEYTTDLLTRLPSAQKRIEELDAFPQVLDLLSLIERKFEEPTYNSEIYAQILHSIGHIKTQPFDK -LNNMNALLANHYGSLPNPIIQKLQEDLLEVVRYTKKRTDSINRGDLQHYRNKIASKAHFNLDLMCDNQLD -KNGNFMWGERGYHAKRFFSNYFDLIDPTANYASHAIRHNPNGSRKLATGRLIVSTNFETYRENLKGDKVH -AHQITEECVSRDGKNFVYSCSCVTHEDGSAMESRVILPTKNHLVIGNSGDPKYIDLPTESELTLYIAKEG -YCYINIFLAMLVNVRESQAKTFTKMVRDILVDKLGKWPTMMDVATACSLLTVFYPDTITAELPRILVDHN -CKSMHVIDSYGSLDTGFHILKANTVNQILHFASTDLKSDLKHYRVGGETNDILYVDVAPKLDMTTSTLYM -LITSIYKPKRFRQIVEHEPYTLVLSVLSPAIMLAMLNNESFEKSISYWIHRDRDITQIMALLKTLAQRIP -ISNSLQDQMQFLESNVETLHRLLEKTSHAMHSRALASKVISSVYNKSLTDKSLLEEGFINATDLSREVYE -KNYQEHLQVQWREQPLSQRLSSIIATTKSYLRNVGPTKFSAPDLKGKAHVYTTQSLGVIAKTGSVVKENA -QRCCSTWYYSIIRHVFFYSLRSVKRLCPDLLTYCSIVGVFYYMVSIFVKVKNYLDVHRSLKEFKVISDYN -KTVKHLDYLYGKLCVKLNGLPSESEFLAYIDRKQPSLNQIAALETSLCAPNMEFQAKGDFQHLEKMIALL -VLLTMLFDANRSDAVYKILNKFKGVMSSIDKEPMLHQSLDDIQDIIEEKNLTVDFSITDADPTINRISGA -TFSQWWKNQIERNRMLPHYRSGGHFLEFTRANAGSLASIIAHEEHKEILIRGAVGSGKSTSLPFHLHTKG -SILLLEPTRPLAENVYKQLKGAPFFTNPTFKMRGLTQFGSSPITVMTSGFAFQFYANNVNQLKDFDFIMF -DECHCFDAQAMAFFCLLKEHEFEGKILKVSATPPGREVEFTTQFPVDVRIEGHLSHQQFVSNLGTGANSD -VLPCGDNILIYVASYNEVDQLSKLLMDQKFKVSKVDGRTMKSGKIEIVTEGTPTKKHFIVATNIIENGVT -LDVDVVVDFATKVVPELDEEAHMIRYNKKSISYGERIQRMGRVGRHKRGTVLKIGETEKASWRVPPCIAT -EAAFYCFAYGLPVISDGVSTSVLENCTVPQARTMMQFELSIFFMFHFVKHDGSMHPAIHDRLKQYKLRDS -EIILNKTAIPHRGLTTWPTVEEMKRHGCSINQPDEVRLPFFIKDVPDKLYGDLHEVLKKHSGDACFGRIR -GISASKIAYTLQTDPASIQRTIKILDKLYESELQKKAYFANVTSSSCSTFNYALTTITNAIRARHMQDYT -TENLSVIQNAKSQLLEFNNIRTPKMTEDALVDYGALECMMYQSENEMSRHLGLKGRWNKSIIIKDVVLAG -VTVIGSILMMYEYVKGKLEEPMDYQGKNYRQRQKLRFREAHDSKHAYEIHGDDAQLQTYFGNAYTKKGKK -SGNTTGAGKKMHRFYNVYGFEPTDYSFARYVDPLTGATLDESTVTDLSLVQDHFGTIRNQMRQSGDLEPE -RISRNTNIECYYVNDLAKKVLKIDLTPHNPLRVSGKSNNVMGFPERSLDLRQTGAPVTVSYNQLPPSNRD -AGAFEFEGKSLLSGLRDYNPIAACVCKITNESDGVITHIFGIGYGPYVITNQHLFRRNNGILRIYTHVGE -YLINNACTLKMHPIPERDIVIIRLPKDFTPFPQRLKFRPTRVGEHVCLVSSNFQTKSISSVISETSATAG -TANKSFFKHWITTKHGQCGNPLVSVTDGCIVGIHSMTSTVSSMNMYAGFPDNFVSDYLSNDLLEWTKGWK -LSADRSCWDGITMVDNKAEGLFKLTKEIFTLDDGKWEFQSGHKDWMYNKLEGNLKAVGRTSGNLVTKHSV -KGKCMLFQTYLSVEPEEAAYFTPLMGAYAKSALNKEAYIKDLSKYSGEISVGNVDCDVFERAFEKVVTLM -ESKGFHECAYITNEHEILAALNMKAAVGALYSGKKREYFADFSDQDKYDIVKESCERLFLGKMGVWNGSL -KAELRPIEKVMANKTRSFTAAPLDTLLGGKVCVDDFNNQFYSRHFDLPWTVGMSKFRKGWDTLLRKLPDN -WVYCDADGSQFDSSLSPYLINAVLKLRLHFMESWDVGETMLKNLYTEIVYTPIATPDGTIVKKFKGNNSG -QPSTVVDNSLMVVFSMYYAMEMSDIKNIHDKCVFFVNGDDLIIAVEPGSEAFLDSLQNLFQQLGLNYNFN -SRTRDKEKLCFMSHMGVLQDGIYIPKLDKERIVSILEWDRAQQPEHRLEAICAAMIEAWGYPDLLQRIRK -FYCWILEQAPYNELSTLGKAPFISEAALRNLYTDCEATEAELARYLELYDSDTPTEEVFEYQAGDELDAG -TQASKNQRNNADKSIEQRSPLVSQTNLNEAKGIGSSSGQNVNRDRDVNVGTTGTFSVPRIKQIPQKGIVI -PMDGGKSILNLDHLLQYKPSQLCISNTRATKAQFMTWKARLQEEYGVTESEMSIILNGLMVWCIENGTSP -NINGVWPMMDGEEQVEFPLRPVVEHAQPTLRQIMAHFSALAEAYIEMRNSEQAYMPRYGLQRNLTDMGLA -RYAFDFYEITSRTPVRAREAHAQMKAAALRNSRPKLFGLDGNVTTTDEDTERHTAHDVNARMHHLDGAHM -Q ->AMY17414.1 polyprotein [Sweet potato virus 2] -MACVTNGTAFARKKKMTWKECCNKWGRAAMEQQQGKRSAYTTEVSRDQLAANIFAFIPTTEWHSYYVARV -GLSANALKLKYAISFGEKYEHGASFNRCPECDCAIDEHYCDECEIRFKKADDNIIKNMNETAKALGGWDN -YYAATWKQFEAAKYDMEQIAPTAGMLEKRAKEAEKLLGKKAKKHEIAEVQQLWEEFEAAKELEAEEETFF -EHEASLSSIPEPTTYEEAFPQLKVTSDVSTNTSQASEVVEDNLGFFFGEIPAKIALPIIPILEILPAAPI -LNLNGELNDIAEVKQPEVIDEVCVTTQPKETDIIQSGLEKYELVNDKFQRVKKLPRTLYPWGDREITPGK -TQHAMVTKWVRKKMSEEADKEKKIWSAWEHIKKQQLEKRLDLKVKWRYGMFRLAKKTRKDNQRQRQKQRA -MLEQKKLEMMPQQIVSTISIGGGLAPSHMEEATQKSGMIFCTPSTKKRKLFKPLVISNGNLDNLTQAVLK -IACKKEMNVEFIGKRVIKGDYTRKENVRHLRLQLKHMKGLRHSIDLRIPSDLQALIVKAARVAAWKKIYN -TQNVVKGMSGFVLNPQRLQGQTGHAPQGIFVVRGAFKGVLYDARMKIGRSILPYMEQFSQTGDRFWNGYD -QMYRDLRGNEQDHICKSDLDVNEAGKLIAVMQHLCLPMNRITCLTCANKILEMSSSEWVEHIRMFVNSKL -EFIRKECPNYKHVHWMIETMTKNLVHENKNLKAFNEIQQLIGDRTDAPFSTVNEINKILVKGGKIKAEEF -LQASEHLLEVARYLKNRTENIKKGSLVSFRNKISQKAHLNLSLTCDNQLDKNGNLIWGDRGYHSKRFLSN -YFEVVDPEQGYEKHIIRQNPNGARKLAIGKLIVSTNFSVFREQMKGEPIPKLKLDNHCTSLREGNFVYPC -CCVTMDDGTPIESEFKLPTKNHLVIGNSGDPKYVDMPPEVDKKMYIAKEGYCYVNIFLAMLVNINESDAK -DFTKQVRDILMEKLGKWPSMYDVATACAWISIFYPETRNAELPRILVDHNTKTMHVIDSFGSLTTGYHVL -KANTVSQLIQFSSNSLDSEMKHYLVGGIVGVSKDEERCLRSIIKSVFKPELMHQILEEDPYVLLLSILSP -RVLLALFNSGSLDRSLEKWLTKDQEVSTILGILIELSRKVTVARTLDEQLNVIEGHASYLIDNLWIEGRK -TVAHALSYKIARGLSEKREANKVLYEQGHRITAFISSHEMMEKIWDQLLLEAWNELSWQEKCCSMMRSLK -YAKPLQRGFPQVNIGGLKDKVGESLTTLHTKSAVIGKECKKSVIDNVASLYKSMVRNTINVTLSTVRLLM -PDILKFVNILLVINLLLQIAKTAKSMNQKTKQMKVDLGEFMLDQEIEKINVIYNSMCSKEGKLPTKEEFL -EKVEYLNPQLLGTAKWLVYAYDSDVFHQAKSAKESNYERIIAFIALVLMVLDAERSDCVYRSLNKLKGLM -GTISDGVYHQSLDDISNEFEEKKLTIDFELQSDESHINSESDSTFGDWWKKQLETNNVIPHYRTEGHFME -FTRANAVSVANTIAMSPHKDLLIRGAVGSGKSTGLPFYLSRKGRVLLVEPTRPLAENVHRQLAGEPFMIQ -STLRMRGLSVFGSAPISIMTSGFAFNYYAHNPDQLREYEFVIFDECHVNDAHAMAFRCLLHEHAFNGKVL -KVSATPPGREVEFSTQYPVKIKTEERLSFQAFVDAQGTGSNSDVISSADNILVYVASYNEVDELSKMLID -RGHKVTKVDGRTMKVGNVEIITSGTSNKKHFIVATNIIENGVTLDIEAVVDFGTKVTVYLDVDSRMIRPC -KGPITYGERIQRLGRVGRNKAGIALRIGFTERGLCEIPQTVATEAAFLSFAYGLPVMTNNVSTSLLSTCT -VRQARTVLQFELTPFYTVNLVRYDGSMHQAIHNLLKKYKLRDSEIVLNKLAIPNRGITGWLSVCDYIRIG -QRMDLDDSIRIPFLNNAMPVRLHQEIWDVIQKYKHEAGFGRLSCISACKIAFTLQTDMYAIPRTIKILDA -LIESEMRKKEHFKTVTGRTTSSHHFTLNSIATMWRARYAQDYTSENIAILTAAKSQLLEFANLSTDVSFN -EMSESMLSSYIRDSGAVSCVQHQSAEAMAKHLKLKGIWCKSLMTQDLLVLAGVFIGGIWMIMQGAKDAFD -ETVRHQGKDKRQRQKLKFREARDKKMGFEVTADDGTIEHFFGEAYTKKGKQKGKTTGMGSKNRRFINMYG -FDPTEYSLVRYVDPLTGKIIDDSIYTDVLLVQEQFTKARREAINDDLLSNEKVAQNPGIVAYFIKEGANA -VLKVDLTPHNPLKACDRINTIAGFPERESELRQTGQPIQISKNQVPHNPEASDSSVVTHESKSLFRGLRD -YNPIASVICHLVNTSDGRTTDVFGLGFGGLIITNRHLFKRNNGELLIKSRHGEFTIKNTTQLHMMPCSER -DILVIKMPKDIPPFPQKLRFRVPKENERICLVGSNFQEKSITSTVSETSVTCRVDRSHFWKHWVDTKDGH -CGLPIVSTTDGAILGLHSLSTMTNSQNFFAAFPESFEEDYLRSPESLEWVRKWSYNPDEVCWGSLELQWS -QPGEPFKPTKLMSDLNAIPVYAQAKHDTWVRDRLNGNLKAVGVCPSQLVTKHVVKGKCMLFELFLQTFPD -ERSFFKPLMGAYGKSKLNKEAYTKDLFKYATPISAGEVDTEVFEQAETLVIEMLKEKGFTECNYVTDTDE -IIEALNMKAAVGALYSGKKKEYFQDLNADDRDDLLFHSCKRLYMGRKGLWNGSLKAELRPMEKINANKTR -TFTAAPLDTLLGGKVCVDDFNNMFYNHHLKCPWTVGITKFYKGWDTLLNKLPEGWLYCDADGSQFDSSLS -PYLINAVLNIRLAFMEDWEIGAQMLKNLYTEIVYTPILTPDGTIVKKVKGNNSGQPSTVVDNTLMVVLAM -TYSLCKLNIKPENHDQVCIYFANGDDLLLAIDPTYEWILDSLEKLFRELGLNYDFSSRTNDKEELWFMSH -RGMKRDGIYIPKLEPERIVSILEWDRASEPVHRLEAICAAMVEAWGYDDLLHHIRKFYAWILDQAPYSEL -ARVGKAPYIAETALKALYTCVEPSAEDLSEYVRVLNLMYDDSVESNDCEPVYHQSGAEETKDAGTPTPAK -SVKTRTGQTQPLKAPEGSTNPTDPPPPTVEEIIEEETPAQKALREARGKQPATQPSYTYGRDTGPRSPRQ -VTTTSRVRDRDVNAGTVGTFVVPRLKITSSKKRLPIVDGRPVINLDHLAVYDPEQTNLANTRSTQEQFKA -WYEGVKGDYGVSDAEMSILLNGLMVWCIENGTSPNINGMWVMMDGEEQVTYPIKPLLDHAVPTFRQIMTH -FSDIAEAYIEKRNRIKAYMPRYGLQRNLTDMSLARYAFDFYELHSNTPVRAREAHMQMKAAALKNAQNRL -FGLDGNISTQEEDTERHTTTDVTRNIHNLLGMRGVQ ->AGC26501.1 polyprotein [Narcissus yellow stripe virus] -MATTMITTNTTTTATMATHLKLTCFNKPRKLGRNVVAAHDKMARQDTEGLVIKTRRAGMQARMEWEELQR -DIAFRKWEAQRFPELARAGQNTGEAKNTPHCKELTWKEVKKLKLEDAQLDESLSKCAIGPWGPPETPLMA -KGLALMNKKPHNTVLAPKTLNQTKSHKIRRSRPNFVKLSATQLRSLQTELVRTARNQAKEVEVIDRQPIK -ISFYHRKELVYARVGVRHLEGKLRKRDMFSTPFIDSVLDTIMRETAGNRPHDCAMITHGWSGTILNGERL -KGVKSKSHASTFVVRGLMGKRLIDARSQISVSRLKRLRHYASAGEKFWKGFDRTFCTIRTPDRDHTCETN -LNVEECGIVAAILCQALYPCGRITCNKCVADNMHSEGQASQAAIIEKIERAKGLILEHHPQFTHVLQILD -RYSNSLADVSSNYNAFAEIQSITGGRHLTAFNHLNKLNAILVKGPMATRNEFLDGMNHLLEVARYVKNRT -ENIEKGSLKSFRNKISQKAHINPTLMCDNQLDKNGNFIWGERGYHAKRFFGNYFDIIDPTEGYARFEARP -NPSGIRKLAIGRLLVPTNFEALREQMRGESVKPQPLTKECVSMLRGDYAHACCCVTNENGEPLLSNLRMP -TKHHLVIGNSGDSKYVDLPATEGNKMYIAKNGYCYINIFLAMLVNVNESDAKSFTKMVRDVLIDKLGKWP -SLLDVATACCLLKFFYPDVSSAELPRMFVDHETKTIHVIDSYGSLTTGYHILKTNTVEQLVKFTEHGLES -DLKHYLVGGDPLAEETTEDVLCDPNWNLKLLIQGIYKPKVMQENLIWNRYLPLYAMLSPGVLLALYNSGS -LEGLTKYFLQKDNDLVVLLVILESLAVKVSNSKSVISQLRILEQGAPNVIEAVQNIKQRHTLPYATVMKM -LMVLAARSEANFELDAAGFNQLRLTSIEVMEKNYLQILDTQWNELKWSEKFFVILRSSRFSLCTRKHLTP -ESMTDLGGRYSESITSYFGHIKRTITNKRNQLCGSIHQYAQAASTYTTRRAYSLINYLIPDIVKFINVLF -VVSLLLSIARECQHLLSTHKGLKEQVEQTKIDKDSQQIDLWFKLYMLEHKVTPTKEEFVAYLGKQQPELV -KYFTQEKEVEHQARSQSERELERVIAFVALILMMFDSERSDCVAKILNKLKNLVSTIEPGVYHQSLDDIS -DELNEKNLTVDFELEQDESPTQGLFKERTFQEWWDHQVLRGITIPHYRTEGHIVTFTRDTALKVANDIAH -DNHNDVLLIGGVGSGKSTGLPYHLSKKGNVLLLEPTRPLAENVHRQLGGEPFYTNTTLRMRGLSAFGSAP -ITIMTTGFALHYFAHNRARLNEFDFIILDECHVHDANAMALCCLIHDNLYNGKLIKASATPPGREVTFTP -QHPVNIIPEETLSFQSFVSAQGSKANCDVTQHGDNILVYVASYSEVDILSSLLLEKNYKVTKVDGRTMKV -GKVEIVTTGTPGKKHFIVATNIIENGVTLDVEVVVDFGTKVVPYLDCDNRMMCYNKVAVNYGERIQRVGR -VGRHKPGTALRIGYTEKGLSEIPSSIATEAAFKCFTYGLPVITNNVSTSLLAHATVPQARTMAQFEITPF -YMVHMIRFDGSMHPALHSLFRRFKLRDSEIFLNKLAIPNKGLKNWMTGSEYVRLGSTVDDRQDMRIPFMC -NGIPEKLHVEVWDTIMKHKADAGFGRLSSAGACKIAYTLQTDVTAIQRTVRIIDTLIAEERVKQEYFKTV -TTNTVSSSNFSLQSIANAIRSRFATDHTRENIGVLEAAKSQLCEFRNLSVDHSFERLNDTIAQNFIRDFG -ALETVQHQSGHEVSKCLGLKGRWNSSLITRDVLVLAGVFGGGIWMLMSHYLSAANEAVHHEAKGKRQKQK -LKFRQARDNKNGREVYGDDGTIEHFFGAAYREKGKVAGKVRGIGAKQRCFVNMYGFDPEDFSAVRFVDPI -TGATLDESPIVDIHLIQEHFTNIREEMINDDQIDVQRIRNNPGIEAYYMNKRTDKALKVDLTPHNPLRSC -DKVATIAGFPEREFELRQTGAPKHIKMDEVPKEQVDGVNHESVSLFKGLRDYNPIASSVCKLTNKSDGHE -DTLYGIGYGPLILTNRHLFERNNGELMIQTRSGDFVVRNTTQLHLFPIPDRDLILIRLPKDVPRFPQKLV -FRAPVNNEKICLVGSNFQAKSVSSLVSETSTTMQVKGSNFWKHWVSTKDGQCGTPIVSTKDGAILGLHSL -SNFANSINYFSGFPEEFTKHYLDTYENHEWAKHWKYNTANISWGSLNIKAAQPKGLFNTVKLVMDLDDTA -VYAQMARGGWMYNQLCGNLKAIASVPSQLVTKHTVKGKCQMFDLYLRLHDEERRYFEPMMGFYQKSRLNR -EAYAKDLLKYASVIEVGVVDCDTFELALTAVIEDLRNLGFQTCNYITDENCIFDALNMKSAVGALYQGKK -RDYFANYTQEMKDQILFESCERLYKGEMGVWNGSLKAELRPTEKVEANKTRTFTAAPIDTLLAGKVCVDD -FNNQFYDYHLKGPWSVGMTKFYGGWDTLMNKLPDGWIHCDADGSQFDSSLSPYLINAILRIRHEFMEPWH -IGEQMLSNLYTEIVYTPISTPDGTLVKKFKGNNSGQPSTVVDNTLMVVLAMRYSLLKAGVPACEHSNICK -FIVNGDDLLVSFAPSHEQIIDTLSNRFLELGLKYTFCSKTQNKEDLWFMSHRGVKREGIWIPKLEPERIV -SIIEWDRSKEPEHRLEAVCAAMIESWGYDELTHEIRKSYSWLLAQAPYSGLAQEGKAPYIAESALRKLYL -DKDVGADEISKYTDAILEDYTEGEFLDVFHQSGKQSIDAGLEEERSSQKSSNKQSTSGDVSRVNPTTRDK -DVNVGVQGSYPVPRLKALTAKMNLPVANKRVVLNLDHLISYTPNQVDLSNTRSTQRQFESWYEGVKMEYG -IDDDKMAIVLNGLMVWCIENGTSPNINGVWVMMDGEEQVEFPLKPIIDHAKPTLRQIMAHFSNVAEAYIE -RRNYDRPYMPRYGLQRNLTDISLARFAFDFYEMTSKTPTRAREAHIQMKAAALRGAKNQLFGLDGNVGTT -DENTERHTTDDVNRNMHNLLGVRGV ->CAB58238.1 polyprotein [Potato virus A] -MATQAIMVGEFKILEVNCKPHAPVAAIHVPTQTPKTNDIQWADLEFTLANSLQRQAHGVVKVDKHGTVRI -KRASKHHMSCLEQQMADEVAEKEAFMAAPTQMVTSIIFAGTTPPSMIETETIVKKIHTVGKHAKVMRKRS -YITPPTDKSLHHHGVTPYSVQQLCRTLGNLSKRTGISLEVVGKTSKATKLRFTKTSFGYMARVQLKHHDG -RMHRRDLVVDTSTTTIMQTLFLKTARTNANLDVLTHGSSGLVFWNYLVTGQRMRTRDNFIIVRGRCNGVL -VDARAKLSESTMLSTHHYSTGDVFWRGFNRTFLENKPINLDHVCSSDFSVEECGSIAALICQSLLPCGKI -TCRVCAAKNLNMDEDTFKELQTQRAREISAVILSKYPNFACVSQFIDRYFSHQRVLNPNVNAYREILKIV -GGFTQSPYTHIQELNEILVLGGRATPEQLGSASAHLLEITRFVRNRTDNIKKGSLALFRNKISAKAHVNT -ALMCDNQLDRNGNLIWGERGYHAKRFFSNYFDIITPGVGYKQYIERRVPNGIRKLAIGNLIVTTNLEALR -EQLEGESIEKKAVTKACVSMSDNNYKYPCCCVTLDDGTPLYSTFIMPTKNHLVIGNSGDPKFLDLPTDIS -TQMYIAKSGYCYINIFLAMLVNVDESDAKDFTKKVRDIIVPDLGEWPTLIDVATSCSLLSAFYPATSAAE -LPRILVDHDLKTMHVIDSYGSLNTGYHVLKANTVRQLIQFASNSLDSEMKHYRVGGTPNSQINGYATIKM -LAKAVYRPKLMREIIHEQPYMLVMSLMSPGILIALANSGALEMGIHHWIREGDSLVKMAHMLRTVAQNVS -VARATWVQQEIISDSAQQMLETILNGTIPNVSYFQAIQYLTMLAASKEVDAEVRVTGYYTFKLQTSELLE -KTYLSLLEDSWQELSYFGRFQAIRHSRRYCTAGTIVVKPERHVDLGGIYATSYQFALAKQMEYSKKAVCQ -AVNGLQARFNNITNRIYCKILNWPKRLFPDLVKFINTMLAITVALQLYIAFATILRHHQQCKQDSLELEY -CKKERQLITLYDFFIAKQPYATEEEFMAHVDEQNPDLSNFAREYCAEVVLFQAKASEQVNFERIIAFISL -VLMMFDCERSDCVYRSLTKLKSLMSTVENTVQFQSLDDIGPTLEEKNMTIDFDLDTDTIVGKSIVGHTFK -EWWDVQLNTNRIVPHYRTEGHFMEFTRANAPTIAHQIAHDLHTDIMLRGAVGSGKSTGLPYHLSKKGTVL -LLEPTRPLAENVTKQLKSDPFHVSPTLRMRGMAVFGSTPIHVMTTGFALHYLANNLKMLSTYDFIIIDEF -HVHDSNAIALRNLLHEHNYQGKLIKVSATPPGREVEFSTHYPVEIRVEDQVSFQDFVKAQGNGSNLDLTS -KCDNLLVYVASYNEVDQLSKLLLERHFLVTKVDGRSMKLGQVEIITKGSANKKHFIVATNIIENGVTLDI -DAVIDFGMKVVPFLDSDNRMISYNKVSISYGERIQRLGRVGRNKAGVALRIGHTEKGISDVPVVIATQAA -FLCFVYGLPISTQSVTTQVLSNVTLKQARTMVQFELPIFYMAHLVRYDGTMHPAIHNELKKYKLRDSEIQ -LSKLAIPSKCVPIWMTGKAYRLLTHNSQIPDHVRVPFLTKEIPDKLHENVWAIVEKFKCDAGIGRMTSAQ -ASKVAYTLETDIHSIQRTILIIDQLLEREMQKQSHFEMVTNQSCSSGMLSLQTMMNAIQSRYAKNHTAGN -IEILQRAKAQLLEFSNLSGDISTESALREFGYLEAVQFQSGTQVSNFLGLEGHWKKSLITKDLLIVGGVC -VGAAWMIGEYFFKKSKEVVAFQGYNKRQRQKLKFARARDEKMGHYVEAPDSTLEHYFGSAYIKKGKTKGK -THGMGKKNHRFVNMYGFDPSDYTFIRYVDPLTGYTLDESPYTDIRLIQSQFSDIREQQLLNDELERNMVY -HRPGVQGYLVKDKTSQILKIDLTPHIPLKVCDATNNIAGHPDREGELRQTGKGQLLDYAELPQKKELVEF -ESTSMFRGVRDYNPISSVICQLENESEGRTTQLFGLGFGPFIITNQHLFVRNNGSLTVRSQMGVFKVNST -VTLQMRPVEGRDVLIIKMPKDFPPFPQRLKFRQPTHSEKVCLILTNFQQKSSSSMVSETSHIIPKENTYF -WKHWISTKEGHCGSPIVSTTDGAILGIHSLSNMTNTSNYFACFPKGFTETYLATESAHEWVKGWKFNASN -VCWGSFHLQDSKPTKEFKTVKLVTDLLGEAVYTQGCDSKWLFNAAHTNIQAVAQLESNLVTKHTVKGKCK -LFETYLNVNKAAHDFFSKYMGFYKPSKLNREAYTQDLMKYSKVIQVGEVDCGVFESALTGLLHNLGRWGF -TTACYTTDEDSIYAALNMKAAVGALYRGKKRDYFDAMSPSEREHLLFLSCKRLYFGQLGVWNGSLKAELR -PKEKVDLNKTRTFTAAPIETLLGGKVCVDDFNNMFYSLHLKAPWSVGMTKFYGTWNQLMCKLPDDWVYCD -ADGSQFDSSISPYMINAVLRIRLHFMEDWDIGSQMLQNLYTEIGTHQSQHQMAQLLKKFKGNNSGQPSTV -VDNTLLVVLAMHYALLKSGVPLEEQDSVCVYCVNGDDLLIAIRPDMEHKLDGFQALFSELGLNYEFNSRS -KDKKDLWFMSHKAIQCGEILIPKLEEERIVSILEWDRSHEPIHRLEAICASMVESWGYPELTHEIRRFYA -WVLEQSPYNALATTGLAPCIAESALKTLYTNVHPTSTELEKYSIQFDEQMEEEDDMVYFQAGTLDAGETP -AQKSEGKKKEGEGNSGKAVAVKDRDVDLGTAGTHSVPRLKSMTSKLTLPMLKGKSVVNLDHLLSYKPKQV -DLSNARATHEQFQNWYDGVKASYELEESSMEIILNGFMVWCIENGTSPDINGVWTMMDDEEQVSYPLKPM -LDHAKPSLRQIMRHFSALAEAYIEMRSREKPYMPRYGLQRNLRDQSLARYAFDFYEITATTPVRAKEAHL -QMKAAALKNSNTNMFGLDGNVTTSEEDTERHTATDVNRNMHHLLGVKGV ->AMN08809.1 polyprotein [Turnip mosaic virus] -MAAVTFASAITNAIINKPTSTGMVQFGSFPPMPLRSTTITTVATPVAQPKLYTVQFGSLDPVVVKGGAGS -LAKATRQQPNVEIDVSLSEAAALEVAKPKSSAVLRMHEEANKERALFLDWETGLKRRSHGIAENEKVVMT -TRGVSKIVPRSSRAMKQKRARERRRAQQPITLKWEPKLSEFSIGGGSSASAIEAEEVRTKWPLHKTPSLK -KRMVHKTCKMSDQGVDMLIRSLVKIFKAKSANIEYIRKKSIKADFIRKERTKFARVQVAHLLGKRAQRDL -LAGVEENHFIDILSEYSGNKTVINPGVVCAGWSGVIVRNEVLTQKRSRSPSKAFVIRGEHEGKLYDARIK -ITKTMSLKIVHFSAAGANFWKGFDRCFLAYRSDNREHTCYSGLDVTECGEVAALMCLAMFPCGKITCPDC -VTDSELSQGQASGPSMKHRLTQLRDVIKSSYPRFKHAVQILDRYEQSLSSANENYQDFAEIQSISDGVEK -AAFPHVNKLNAILIKGATATGEEFSQATKHLLEIARYLKNRTENIEKGSLKSFRNKVSQKAHINPTLMCD -NQLDKNGNFIWGERGYHAKRFFSNYFEIIDPKKGYTQYETRIVPNGSRKLAIGKLIVPTNFEVLREQMRG -EPVEPYPVTVECVSKSQGDFVHACCCVTTESGDPVLSEIKMPTKHHLVIGNSGDPKYIDLPEIEENKMYI -AKEGYCYINIFLAMLVNVKESQAKEFTKVVRDKLVSELGKWPSLLDVATACYFLKVFYPDVANAELPRML -VDHKTKIIHVVDSYGSLSTGYHVLKTNTVEQLIKFTRCNLESSLKHYRVGGTEWENAHGADNIDNPQWCI -KRLVKGVYRPKQLKEDMLANPFLPLYALLSPGVILAFYNSGSLEYLMNHYIGADSNVAVLLVVLKSLAQK -VSTSQSVLAQLQIIERSLPELVEAKANINGPDGAASRACNRFMGMLLHMAEPNYELANGGYTTLRDHSIS -ILEKSYLQILDEAWNELSWSERCVIRYYSSKQAIFTQKDLPMQSEADLGGRYSASVISSYEWSKQQAKGV -KSSIANKLRSSMSWTSSKVSNSVCRTINYLVPDMFRFMNVLVCISLLIKMTAEANQIITTQRRLKLDIEE -TERRKIEWELAFHHSMLTQSAGQHPTLDEFTTYIAXQAPHLSEHIEPEDKAVVHQAKRQSEQELERVIAF -VALVLMMFDAERSDCVTKILNKLKGLVATVEPTVYHQTLNEIEDDLSERNLFVDFELSSDGEMLQQLPAE -KTFASWWSHQLSRGFTIPHYRTEGKFMTFTRATATEVAGKIAHESDKDILLMGAVGSGKSTGLPYHLSRK -GNVLLLEPTRPLAENVHKQLSQAPFHQNTTLRMRGLTAFGSAPISVMTSGFALNYFANNRSRIEEFDFVI -FDECHVHDANAMAMRCLLHECDYSGKIIKVSATPPGREVEFSTQYPVTISTEDTLSFQDFVNAQGSGSNC -DVVSKGDNILVYVASYNEVDTLSKLLIERDFKVTKVDGRTMKVGNIEITTSGTPSKKHFIVATNIIENGV -TLDIDVVADFGTKVLPYLDTDNRMLSTTKTSINYGERIQRLGRVGRHKPGHALRIGHTEKGLSEVPSCIA -TEAALKCFTYGLPVITNNVSTSILGNVTVKQARTMSVFEVTPFYTSQVVRYDGSMHPQVHALLKRFKLRD -SEIVLNKLAIPNRGVNAWLTASEYARLGANVEDRRDVRIPFMCRDIPEKLHLDMWDVIVKFKGDAGFGRL -SSASASKVAYTLQTDVNSIQRTVTIIDTLIAEERRKQEYFKTITSNCVSSSNFSLQSITNAIKSRMMTDH -TCENISVLEGAKSQLLEFRNLNSDHSFITKTDGISRSFMRDYGALEAVHHQSTNEMSKFLQLKGKWNKTL -ITRDVLVICGVLGGGIWMVVQHLRSKISEPVTHEAKGKRQRQKLKFRNARDNKMGREVYGDDDTIEHFFG -DAYTKKGKSKGRTRGIGHKNRKFINMYGFDPEDFSAVRFVDPLTGATLDDNPFTDITLVQKHFGDIRMDL -LGXDELDPNEIRVNKTIQAYYMNNKTGKALKVDLTPHIPLKVCDLHATIAGFPEREDELRQTGKAQPISI -DEVPRANNELIPVDHESNSMFRGLRDYNPISNNICHLTNVSDGASNSLYGVGFGPLILTNRHLFERNNGE -LVIKSRHGEFVIKNTTQLHLLPIPDRDLLLIRLPKDVPPFPQKLXFRQPEKGERICMVGSNFQTKSITSI -VSETSTIMPVENSQFWKHWISTKDGQCGSPMVSTKDGKILGLHSLANFQNSINYFAAFPDDFAEKYLHTI -EAHEWVKHWKYNTSAISWGSLNIQASQPSGLFKVSKLISDLDSTAVYAQTQQNRWMFEQLTGNLKAVAHC -PSQLVTKHTVKGKCQMFDLYLKLHDEAREYFQPMLGQYQKSKLNREAYAKDLLKYATPIEAGNIDCDLFE -KTVEIVISDLRGYGFETCNYVTDENDIFEALNMKSAVGALYKGKKKDYFAEFTPEMKEEILKQSCERLFL -GKMGVWNGSLKAELRPLEKVEANKTRTFTAAPLDTLLGGKVCVDDFNNQFYDHNLRAPWSVGMTKFYCGW -NRLLESLPDGWVYCDADGSQFDSSLSPYLINAVLNIRLGFMEEWDVGEVMLRNLYTEIVYTPISTPDGTL -VKKFKGNNSGQPSTVVDNTLMVILAVNYSLKKSGIPSELRDSIIRFFVNGDDLLLSVHPEYEYILDTMAD -NFRELGLKYTFDSRTREKGDLWFMSHQGHRREGIWIPKLEPERIVSILEWDRSKEPCHRLEAICAAMIES -WGYDKLTHEIRKFYAWMIEQAPFSSLAQEGKAPYIAETALRKLYLDKEPAQEDLTQYLQAIFEDYEDGAE -VCVYHQAGETLDAGLTEEQKQAEKEKKEREKAEKERERQKQLALKKGKDVAQEEGKRDREVNAGTSGTFS -VPRLKSLTSKMRVPRYEKRVALNLDHLILYTPEQTDLSNTRSTRKQFDTWFEGVMADYELTEDKMQIILN -GLMVWCIENGTSPNINGMWVMMDGDDQVEFPIKPLIDHAKPTFRQIMAHFSDVAEAYIEKRNQDRPYMPR -YGLQRNLTDMSLARYAFDFYEMTSLRTPIRAREAHIQMKAAALRGANNNLFGLDGNVGTTVENTERHTTE -DVNRNMHNLLGVKGL ->AIT13016.1 polyprotein [Japanese yam mosaic virus] -MATIVHNAIRNPLDLTELSVQHQFQFGSFPKIIVTTLKGTDKVLISTPSPIQAAQRKQMHDNIVASRNKQ -YVENMKQEYAKQCSMFKKWEEEYTAKRQANPNSYKITWTKQQRNNMRRHKAKLMREERLKQEKVLAECVV -GPWGPPVFPSSIANGPKPSEMEEDIKWPLHCTRSKRTRGVKCKPLNLSLQSYKKLFKDISNIIICGKKNF -EITDKHTNKANIKKKGKRIYIKVATEHEEGRNFKRDVKMGSFACGILGMMLKRTSGNCHHKVESIQPGYS -GFVINKERIIGPHSRSLGDIFIVRGNHEGKLYEARIKLSYSIRMGMTHYSSPGVRFWNGFNTAFLKNRGQ -NRSHTCETDLDVEECGEVAALLCLALCPCGKITCNKCVEENLLSEGQATHENLLRKQQEIKQIISEKHPQ -FRHALQILERQNQALQSVNSNYKNFTEIHSISEGRSLSAFSHASRINDVLMKGGRANADELSDATRSLLE -IVRYLKNKTESPDKGTLKTFRNKISQKAHLNPALMCDNQLDDNGNFVWGERGYHAKRFFNKYFEIINPSK -GYGNFELRINPRGQRKTAINRLIVPTNFEMLREQMQGESIGDHPLTVECTSKLNGDFVYSCCCVTNDSGE -PILSELQMPTKNHLVVGNSGDSKYVDMPPQEGQLMYIAKSGYCYMNIFLAMLVNVRKEDAKAFTKMVRDV -LIDKLGSWPSLLDVASACYLLKVFFPDVSNAELPRILVDHKSKTMHVIDSYGSLNTGYHILKANTVEQLT -KFMRAGLESNMKHYLVGGPIFNNDDIDPLEYRTPSWHLKRLIKGIYNPKILLEDIRTDRYLPLYALLSPG -VLIAMYNSSSLEILTKEYLRKDDEFVAIVLILESLARKVSVSTSLMSQLMLIEGEAQYIIEAVQGIKQRY -PIPYTVVMEMLVILASRSESDAALDAAGFKKFQRESAQLMEKNYLRILEDEWRELSLCQKLSAISRSSRL -SMRTRGGLQNKSIEDLGGRYSESMNFYFGEVKNGVIKVCKKIGSKVKTTTQNAQASFKRKVLSCFNYFIP -DIFKFINVLVCLTMILTLAQEMHAIVERTRNCKRIARKFEFQEKENKIKFMHQAYHNEHKEDPTFEEFIE -YLGRYTPELVTYFQEDAMVSHQAKRRGEVELERVVAFIALVMMVFDTERSDCVVKILNKLKNIVSSTDAD -VFHQGLSEIEDDLNEKKLTIDFELSQDGVRAPPTVVEHTFSTWWAHQISNGRTIPHYRTEGHFMTFTRAS -AHQVATEIATSEHKDILLMGAVGSGKSTGLPFHLSKRGRVLLVEPTRPLAENVYRQLSNEPFFVNATLLM -RGLTTCGSSPVTIMTSGFALNQLAHNRQRIAEYDFVIFDECHVHDANAMALSCLLYDSEFPGKIIKVSAT -PPGREVEFKTQHPVKLLTEESLGLKEFVAAQGTGVNSDVIRYGDNILVYVASYNEVDNISKALIDKGFKV -TKVDGRTMKVGKVEIVTSGTSQRKHFIVATNIIENGVTLDIDVVVDFGTKVVPYLDVDNRMMLYQKIAIN -YGERIQRLGRVGRHKAGTALRIGHTERGLSEIPSCIATEAAFRCFTFGLPVITNNVTTSLLANATVRQAR -TMAHFELSPFYTYHFVRFDGTMHPEIHKLFKRFKLRDSEIVLNKTAIPHRGINTWFTSSAYQRLGANVGD -SNDIRIPFLCKDIPETLHELVWDVIVKHRGDAGFGKLSSASACKIAYTLKTDVMSIQRTIHIIDALIVEE -RKKQEYFKTITTNTISSSNFSLQSIANAIRARFTSDHTIENISVLENAKAQLCEFKNLNIDAAYQDFDSH -VGQNFISNFGALEAVYHQSEKEMSEHFKLKGRWNKSLITRDIMVMVGVLVGGVIMVYKQFTSQMKEDVFH -EAKGKRQRQRLRFRDARDNKLGREVFGDDGTMEHYFGEAYTKKGKTSGRTHGMGRKQRKFVNMYSFDPED -FSAVRFVDVLTGATLDEAPITDLHLVQEHFTNIRSAMIESGELESQHLYSGKGVNAYYMNKRTGKALQVD -LTPHNPLLICSNKATIAGFPEREYELRQTGQPKEIAMNDIPKVNEMNDIVQHESSSLHRGLRDYNPISNS -ICQLVNRSDGGKDTIYGLGFGPMIVTNRHLFERNGGELDIKTRHGDFLVKNTKQLQLFPIPDRDLILIKL -PKDMPPFPQKLQFRQPERNEKICMVGSNFQAKSVTNTVSETSIVLPMENCHFWKHWISTKDGQCGLPLVS -SKDGNILGIHSLGSFNNTINYFASFPADFVSRYLLNPENHEWVQHWKYNTDNISWGALKIHKDAPTGLFK -TTKLIGDLESLFVHPQMKRERWMFEKLEGNLKAIATCPSQLVTKHVVKGKCPLFDMYMKLDEEAKNFFTP -LLGQYQKSCLNKEAYIKDVMKYSTVIEVGNVQTSTFEKAVQLVIHDLKELGFETCQYITNEECIFGALNM -KSAVGALYGGKKKEYFQDFTQEMKEQILKHSCQRLYMGKMGLWNGSLKAELRPLEKVQANKTRTFTAAPL -DTLLGGKTCVDDFNNQFYDLNIVGPWSVGMTKFYGGWNELLEKLPEGWTHCDADGSQFDSSLSPYLINAV -LNIRLHFMEKWDIGEQMLRNLYTEIVYTPIATPDSTIVKKFKGNNSGQPSTVVDNTLMVLLALKYSLLKD -GIKAEKQKDVVKYFVNGDDLLLSVDPNFEHVLDTMQDNFRELGLKYTFDSRTKKKEELWFMSHKGMRVEG -MWIPKLEPERIVSILEWDRSKEPCHRMEAICAAMIESWGYTDLTHQIRRFYAWLLGQAPYSGLAEIGKAP -YIAESALRKLYLDKEADQSAIEKYLRAIFEDYNDEVEDLCVYHQSGEETLDAGTSNPSKIKKQEGTSSER -TAPMDPFEGFDRAEDPTGSTQRIVNNFDKDVNVGTVGTFAVPRLKGLTTKMNMPKVRGKAAMNLEHLLVY -NPEQTDLSNTRATRKQFDTWYDGVKRDYELDDNSMQIILNGLMVWCIENGTSPNINGMWVMMDGEEQIEY -PIKPLIDHAKPTFRQIMAHFSNVAEAYIEKRNQEKAYMPRYGLQRNLTDMSLARYAFDFYEITSKTPARA -REAHIQMKAAALRGAQNKLFGLDGNVSTMEENTERHTAEDVNRNMHSLLGVRGV ->AFJ92906.1 polyprotein [Narcissus degeneration virus] -MGRYHHKAGFTAEQQHAQWVRAKVSAAARRDLTWDEEEEMFICNKCGNQYRSLHDFEENHSCDSNEEGEY -GTFTLEDFIQHRIPTTKLEKEYARKPIKELCGITRDKPPRVATTIWVAVERVAEASKDIEAAIETYQNKT -KVSYCGIAAGLNKSVGSDIVFGGNDYGADTISLRNQKKFTVTEDTTGITQVVEDVKMEMSDHLKKSVDQI -IAIQAFDPKDQRSYDRIKGTNKTRNDLSVKIKGGARRHTGASTVTSLIAFTAKLAKRQGFTMSVIGSKRS -KNHLMFKKRDGRKYLKVLTKHENNKYHRTDITTCEFFDYALSCMKTSITHGKVNQQNIKEGWSGFVLDRN -KVRCLSGLYKHRPYLIVSGRNDAKRLLDARDEVNLKKLECIKYYSDEFRNKFWKSFEATFIKLRKSKTHD -CVRDVPVEKYGQAVALFTQMLTPLFKTTCKACINEAANRDKDEIYTDASRQSVGEMYDEVCTDPDFAHYR -NVFEMITGIERISESSMLSFSRTNEIVMLAQTSQIRQIKELNEIMIKRHKITSAEFDKASEIILELARWF -KNRQDTIRTGSLQTFRNKISAKTHINMALFCDNQLNVNGVFQWGDRGYHAKRIFSNFFNEISESTDYDTY -SIRKHVRGKRHLAIKNLMVTTDLEKMRASMQGFEEERFPLGDHCVVKIDGNFVYSCCCVTLDDGKPLESR -IRMPTKHHLVLGNNLDEKVVKLPERATTNMYIAKDGYCYLIIFLAALINVQESDAKDYTQMVRDKVVKTL -GQWPSAKDVATMLVFVRAFYPEIETAELPKILIDHKHKTMHVMDAFGSMSTQYHILKANTVNQFIQFAYN -DMSGELRDYAVGGDVYNVSYPSDDEFVEAEVHTVEEFDVQDLSDISDELHMDEFAEQIVCFKELMGAIFN -KEKFRDLLIKNPYYIIFSLMSPTVLARMFRIGSFDFAIDTVMEGNPTLLDMMIKLKCLAQNMRVHETVLL -QAHAIAMSCPDLLAHVLSRKHSPRVTQVAHALLSTMAYAGESNKRLYENGFSTNIDISSCIKKEELLIKI -WDESFRELSLSEVWRVHCALSGYCVRAVVGKGQRVAKCLRSGSVEFLKGHLGSARNLIGKTTQAGGELIT -SSYKSVINKAMVCVYNRMYSIFADIFKVLNLLVLLGILLQVYHICVQICRERVKYLDELQHRKNADNCVT -LTMLHSDLTRKKGELPTEEEFLVHVEEKEPNLRYYAEYLLADVKFQYKKKNENDLEKIVATIALIMMIFD -TDRSDAVFKILNKVKTVFSTFGERVQFQSLENGDNEADKGLVVDFDITENYKPEPATFDVTFEDYWRMQM -NTNRLCEHYRTTGTFIEFSRDKTEEVVSEITSCVKSNDFLVRGPVGSGKSTGLPAALSVKGKVLILEPTR -PLTENVARHLSGAPFFQSVTLCMRGVNIFGSGNITVMTTGYALHYFANNRARLKEYSFIMLDECHVLDAS -AMAFYCLCREMEYKGKIIKASATPPGRETEISSSQKQIKLYIESKLSFDDFVNAQGTSSNACMISKSSNI -LVYVASYNEVDQLSTLLSNKGFKVTKVDGRTMKFGNVEIVTQGVKGSPHFIVATNIIENGVTIDIDGVVD -FGQKVVAELDSDLRMMHYNKVNISFGERVQRLGRVGRLKAGVALRIGHTERGLQEVPKSVATEAALLCFA -YGLPIMPQNVVVSALAKCTSQQARTMHCFELPPLFTMELVKEDGSMHPEIHNKMAKFKLRDCEIKLCKSS -LPNASVGRWFDAKEYKKFGARVQLHDDVKIPFLINGIPDKLYDEIWAVCCCFKDDLKPVRLTTACAQKIA -YTLQIDSTSIARTIGIIDHLISEEKIKQAHFRAAFSDTVSSSSFTIAGITKYLRSRYMHDYSDENLDKLQ -RTRAQILEFRNLSIPSDDIDLIRNYDGLRTVQFQSEEEIIKALDLKGTYKISKALHDGLICTAVFIGGIV -MLGKTFHFCMTDTVQFQGKRERQKLQFQKARTDKIDREVFRDENQDSNFGKLYLKKSKSKGKAGKSGTKA -RKFIHMYGFDPQEYSIVKYLDPLTGNVYDETEFKSVWELTDKVFEDRMADDDLERELLRYRPNIQAYYFK -HGSHKAMQVDLTPHDPFKIGRISGRQIGFPAHAGEFRQTGEAKEMDLTDIDNKVTRLVVDFENKSSCRGL -RNYSPIARIVCELKLSSDGEVNTQYGIGFGSYMIANQHLFKRNNGTLEIKSAHGTFTIANSTQIQVIPIA -ERDLIIMKLPKDCPPFPTKLNFRMPDDKERVCLVGAEYTGKTVYTSVSESSYTYPEKGTHFWKYWVSTRN -GQCGLPVVSTKDGAIVGIHSLCHMDKEENFYTSFPSDFDTTLVELCDREWNRNWKFNIDNIAWGSMKIIR -DKPGSMFRTLKEVSNVETLVGMQSHDEIEYSWLTKHLDGNLKHLGYVPGNLVTKHIVKGRCPLFSLYLKE -NEEAREYFTPLMGHYDKSALNKAAYIRDVCKYSSSIPIGEVDHSTFELAVGNVIKVLKDGGITECDYITD -ELTIIQDLNMNAAVGALYQGKKKDYFKDFETSDFERIVFESCERLYQGKMGVWNGSLKAEIRPVEKVIQN -KTRSFTAAPIETLLGGKVCVDDFNNAFYKAHLKIPSTVGITKFYKGWDSLLRKLPEGWIYCDADGSQFDS -SLTPYLLNAVITIREEFMEEWDVGKQMLRNLYTEIVYTPIAAPDGSLIKKFKGNNSGQPSTVVDNTLMVM -LAMQYSLLKCGIEFERQSEFIIYFCNGDDLIIAVEPSKAYILDSFEVYFKQLGLSYDFGNRVTEIEDLSF -MSHAGKKVEDVYVPKLDKERIVAILEWDRSVEPVSRLEAIVAAMVESWGYDDLISEIRKFYTWVLEQAPY -KQLAEEGKAPYLADTALRRLYLDVQATDEELEKYHTYYMSLNEPDIXQRVSFQSGEELDAARQIPSKNKQ -QLVPTNSDKDVESSSAGKFIIPKFKGMSSKMKFPKFKNSVAFNPDHLLIYAPDQLDLSNTRSTQQQFDNW -YERVMQAYDSDEEGMKLILNGLIVWCIENGTSMNLQGTWVMMDGNTQIEYPISPLLENAQPTFRQIMMHT -SDLAEAYIEMRNRTERYMPRYGLQRNLRDYSAARIAFDFYAVKSSTSTKLREAHIQMKAAAVKGMSNHLF -GLDAKIGSDEEDTERHTSTDVRRNMHSMQGARFM ->AGY36218.1 polyprotein [Zucchini tigre mosaic virus] -MSSLYYLSARSQADMRLAHKKGLGFMEHKLERKGDRGNTKYWMEFRVCYGAKLLYFMANATPRTCRQFLE -LSNTEKAQVFEKCHKALVSGINYNFDDEIWICPECENCENKFFKKCECGMKFYFSERTLMRSLSTLKHKS -DLTYEEIDALDISYMVNMLADEEAEGEEPFGESEQVKPANLTPAIVKEKEAEEVKGPVFTPNVEKAWTIK -IGSIDVPLVHIKETPILTEKKKEIPLESTGFVISNSSELPIEFLDEKVEEAVQLALEVGNAIAEKEEFKL -KPYRSSNLAWNRALINHKKARQEVREMMRQCSDKDRDIFLDLERKLNLRQRRKNQRIICDKRGSYRWKNK -KQMRKQIEKLPTTDSIVSTITYTQHEPVMWFSDNARSNKCATSKKLKITRKLTKLEGPGVVAHVTRALCK -IAKSQSMTIELIAGRKQRIIRQREGKSYVELKHMTGLKRRTDLKDSEEMHKLFEGVCRSLVKKFTVKEEY -LRKGSSGMIIKPSFGSSVGRFKGTYFIVRGRCGGRLLDARSKLTWNTVMNTEHYSDVAERFWLGFNRAFL -RHRKPSDHVCSSDMDVTMCGEVAALATLVLFPCHKITCNACMGKVKGRVIDEVGEDLNCELERLRETLNS -YSGSFGHVSTLLDQLNKVLNARNTNDEAFKEIARKIDEKKESPWTHMSAINNVLIKGSLATGFEFERASD -NLREVVRWHLKRTASIKAGSVESFRNKRSGKAHFNPALMCDNQLDRNGNFLWGERQYHAKRFFVNYFEKV -DHSKGYEHYSIRKNPNGVRKIAIGNLIFSTNLERFRQQMVENHIEQGPITRECISLRNNNYVHVCSCVTL -DDGTPATSELKTPTKNHLVLGNSGDAKYVDLPTLEVDSMFIAKEGYCYMNIFLAMLVNIPEGEAKDFTKR -VRDLVGAKLGQWPTMLDVATCANQLVIFHPDAASAELPRILVDHRHKVMHVMDSFGSVDSGYHILKANTV -NQLIQFAREPLDSELKHYLVGGDLFDPTMDCLHQLIRRIYKPDDLLELLKDEPHLIVISLMSPSVLLTLF -NSGAVEHALSYWIKRDQDVVETIVLVEQLSKKVTLARTILEQFNEIRQNARDIKEIMERGSRPWISYDRA -LTMLEMFANSHLTDEGLIKQGFSTLDPKLRVAVEKTYAALLQEEWNALSLRQRLYLRYYAYKARPSFSRY -LKPTALADLNIVYDFSPRHCVREVGKALWHPVRMCKKVVTHVVHKSGEVARRNIVRGCQYVFKDLLQFVN -VVLVLSLLLQIFKTIQGYAHEHRQLQKFNEEREREQEFRELESLYAKLVLKTGEQPTVEEFVGYVKVKQP -SLVEKAVLLTSKVVTYQAKTDNEKKLEQIIAFITLVMMMVDTDKSDCLYRILSKFKGIMASDATNVYHQS -LDDITDLFEDKQLTVDFDLSTDEQINRGPIDVTFEKWWDNQLSSNHVTNHYRIGGHFLEFSRSNAATVAS -EIAHSSEREFLIRGAVGSGKSTNLPFLLSGHGNVLLVEPTRPLCENVCKQLRGTPFHCNPTIRMRGLSSF -GSSKITIMTSGFALHYFAHNVDQLREFDFIIFDECHVIDCQAMGLYCLMTGHNVETKLLKVSATPPGREV -EFSTQYPVKIITEESISFQQLVSNFGTGANSDVTVVADNILVYVSSYNEVDQLSKLLNDRGYLVTKVDGR -TMKVGRTEIETKGTKNKKHFIVATNIIENGVTLDIDAVIDFGMKVVAEMDSDSRVIRYSKRNISYGERIQ -RLGRVGRHKDGIALRIGHTEKGIQEIPEMVATEAAFLSFAYGLPVMTHNVGLSILKKCTVRQARTMLQYE -LSPFFTQCLINFDGTVHPKINEILRPYKLRDSEIKLSESAIPHGVRSIWLSVRDYESVGIRLNLDQNTRI -PFYVRDVPEKVYEQIWQAVEVYKRDHTFGRISSASAGKIAYTLRTDIHAIPRTLLTIDKLIESENAKHAH -FKAMTSCTGMASSFSLLGIINNIQSRYLVDHSIENIRKLHMAKSQIQQLSANMNDGNVLEMVQSLGAVRS -VYHQNVDGVAHIKKELGLHGIWDKTLMVRDALICGFTLGGGAMLIYQFLKDQFASRHVYHQGFSARQRQK -LRFRAAAHAKLGKEVYGDDGTIEHYFGEAYTKRGNKKGKMHGMGSKVRKFVATYGFKPEDYSYVRYLDPL -TGETLDESPNTDVSLVQEHFDEVRTKFLDADKLERQTIISNGGIKAFYVRNAAKTALEVDLTPHNPLKVC -DNKLTIAGFPEREFELRQTGPAKQIDVMNVPSSSKAVLHEGKSLCNGMRNYNGIASVVCHLQNTSGAGRS -LYGIGYNSYIITNRHLFRENNGKLIVKTQHGQFVVQNTCTLKVAPIGKTDIAVIRMPKDFPPFHSRAKFR -HMSSTDKVCMVGVDYQENHVASKVSETSNISAGEGDFGSHWISTNDGDCGCPLVCVSDGYIIGLHSLSSS -DNSQNYFAKIPENFEEQILRRLDKLEWSNHWRYNPNSLSWGALKVWESRPEAIFNAKKEIQHLNTFEQSG -KKWLFNQLHGNLKGVAEAPSNLVTKHVVKGPCMLFQQYLNCNEEAKQFFTPLMGHYMKSVLNKEAYAKDL -LKYSSDIIVGEVDHNIFEESVQQVIELLNDHECPELEYVTDGDVVIQSMNMDAAVGALYAGKKRNYFENS -TADERRALVKASCCRLYEGRMGIWNGSLKAEVRPAEKVLASKTRTFTAAPIDTLLGAKVCVDDFNNWFYS -KNMVCPWTVGMTKFYKGWDEFLRKFPDGWIYCDADGSQFDSSLTPYLLNAVLEVRLWAMEPWDIGEQMLQ -NLYGEITYTPILTPDGTLVKKFKGNNSGQPSTVVDNTLMVLITMYYALRKAGYDRERQEQVCVFYINGDD -LCIAVHPEHEGLLDTLSSSFAELGLKYDFSSRHRDKQELWFMSHRGILIENLYIPKLEPERIVAILEWDK -SKLPEHRLEAIMAAIIESWGYEELTDQTRKFYQWVLEQAPYNELAKQGKAPYVSELGLRRLYTSTRGSCA -ELEVYLSKYFEDESGDTPELVVYHESVGPVKQTITSLQGKNVFYQSKDGAVDAGVNAKLKEKEEKEKEKE -KEKEKEEERKKEKLKDVPETSNQETESKTERERDRDVNAGTIGSFTVPRIKTFTDKMILPKFRGKTVLNL -NHLIQHNPQQIDISNTRATQSQSDKWYEGVRNDYGLNDNEMQVMLNGLMVWCIENGTSPDVSGVWVMMDG -DTQVDYPIKPLIEHATPTFRQIMAHFSNAAEAYIAKRNATERYMPRYGLKRNLTDISLARYAFDFYEINS -KTPDRAREAHMQMKAAALRNANRRLFGIDGSVSNREENTERHTVEDVDRDMHSLLGMRK ->AHA86933.1 gp2 [Bufivirus UC1] -MFLSEMHEEYLPEEYFPVVMQIPRFPRYKNKRSVDPHVKSYLDEMNIPESPEWGLPVPNEEAAYKSLNKY -AKNIKPMSEDQVHDMNRAWEWTEKHFGVYMSNSSVRTAEEVIPQLDMNTSSGAPFNVRFPTKKELFSEVP -EMTSWLNEDWERLATDPEYTFLFTSSLKEEVRPAEKIVANKIRTFLAGAVDGTVHGNRLFADMNEKMNAS -YLKSASGVGMSPYGGNWDRLYRKLNVFDNGYALDESEYDSSLRSYMMWACARLRWKMLRAEDQTLANLQR -IKVYYRNLINSLVVTAEGVLVFKLTGNPSGSVNTINDNTLILYALLAYAWIRTCGPNPNYSEYENNTAKI -LVGDDNTWTVSDWAHEFFNGKSVIAEWNLIGVTTTTDSLEPRPACELDFLSAHTIFYQGQAVPVYDRTKF -MTSLLYAPTSHHTPAVTLTRTAALLTVGWTDSQFRKFARELIEWLLYKYDTICAEDPDWIQAKCGILSDA -RLSKLFLGRTVMYTQSVNYSEVQERSKPLNKTAMAQVLVVKKSQPKRGGGAKATRKQRAKGPRVQQVVLP -KTAVFGNRPRRRNGNRQGRRNGGGRDYTGQGGSRGKRGGLSRTHILEEDEYIGEVTVANQPNFNVEVYPV -NIGQAKTFPWGSIIAKNYEKYQFDYLEFYYKKEVSQFATNGQVGKVIMSFDSDASDGAPTTKQAMEDQEP -HCDCMPSENMRLRIPPKMMKGNMVDAHYIRPAGVPGSADIKTYDVGNFQIATQGILNNVAIGELHVRYKC -RLSIPILGSTALSAPTNNSVASFVSSASEAITSGVNYTTQLANTQTNGVGAVNTNGSIVLPVGNYLVDAN -FQEIVTTNMTAMNVYLRKNGIIIQTNTFVIGAAAACTANTLAADPIFYQSNGTDTIHWNWGSHWLSQNCR -NLIRLHVTVDPPGLNRGCVQRKLCQFCIVF ->AAA91583.1 polyprotein, partial [Shallot potyvirus] -FYNIYGFDPTDYSFARYVDPLTGATLDETTVTDINLIQDHFGAIRTKMRDEDLIDSAHLARHTNIECYYV -KYLAKKVLKIDMSAHNPFRVSDKSNNIAGFPERTLNCVTQHHRRLYHMPYTDLPPAKGTNTIFEFEGKSL -LSGLRDYNPIAACVCKLTNESDGVVTYIYGIGYRPFIITNQHLFCRNNGSLRINTHAGEFLVSNTCSLKM -FPIPERDIIIIRLPKDFAPFPQRLKFRPTKIGEHVCLVSSNFQTRSTSSIISETSATAGTMNTNFFKHWI -STKQGQCGNPLVSVTDGCIVGIHSMASTVSSMNMYAGFPEKFVDMYLDNDSLEWTKGWKLNVDRSCWSGI -NLVNNKAEGLFKLTKDMFTLDDNKWEFQSGNKNWMFDKLSGNLKAVGRTSGNLVTKHSVKGKCMLFQTYL -AVEPEEASYFTPLMGAYQKSALNKDAYIKDLCKYSGPIQVGSVNCEIFELAFSKVVKLLEKNGFHKCAYI -TNEHEILAALNMKAAVGALYSGKKRDYFKDFTDQDKYEIVKASCERLYLGKMGVWNGSLKAELRTAEKVA -ANKTRSFTAAPLDTLLGGKVCVDDFNNQFYSRHFDLPWTVGMSKFRKGWDTLLRKLPDNWIYCDADGSQF -DSSLSPYLINAVLNLRLHFMEEWDVGETMLRNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNSLM -VVFAMYYAMEMSEIEGIHSKCVFFVNGDDLLIAIDLGLRHSWIYYESYLINLGLNYTFDSRTRNKERLCF -MSHVGILQNDIYIPKLEKERIVSILEWDRAQQPEHRLEAICAAMIEAWGYPDLLDRIRKFYCWILEQAPY -S ->ANI25033.1 polyprotein [Bermuda grass southern mosaic virus] -MAGTWTHVTYKWQPNLDNPRDVKRCMDLFAAKRQVYDEKRALAHNSKLLRKAQVSVVEPAITTRPKKCAQ -MWVEKENHNPTNHFVYTRFSEVKKQQPTKPVAASVNKLVRKTLEIREKFPVNVEFIGKKRRKTTRVALKK -VFNKTFLHCSTRHEDNQFKRIDTNITRDWIPVLSSVAKCYATLSSNMMHNIHKGHSGLTFIQNEELFIIR -GKFKGELCNSLDYATNVQEIEHYADPQAADFWKGYTDAYVANRNISTTHTEHTPTINLEKCGKRMALLEV -LFHSTFKITCKRCNIDDLELADDKFGEKLYKNIQRIEEQQNEYLAEDQKLKRMLSFIKARCTPKFDHLPL -NWQVANIIGHYSDNQTKQILDVNEALIKVNTLTPSDALKASAALLELSRWYKNRKESAKEDNLSTFRNKI -SPKSTINTALMCDNQLDSNGNFLWGKREYHAKRFFSNYFEAVDPTDSYEKHVTRFNPNGQRKLSIGKLVI -PLDFQRIRDSFAGIPVTKQPLTNACLSRIDKTYVYPCCCVTTEFGQPAYSEIIPPTKGHLTIGNSVDPKI -VDLPNTDPPTMYISKDGYCYINIFLAAMINVNEDSAKDYTKFIRDELIERLGKWPKLKNVATACYALSVM -FPEIKNAELPQILVDHENKSMHVIDSYGSLSVGYHILKANTVGQLIKMQYESMESEMREYAVGGTLTHKS -FSTLVSHLIKSMFKPREMRKIIEEEPFLIMLSVVSPTVLIALYNNCHIEHAMAYWITKNQGIAAMFAQLE -ALAKESSKAELLIQQMAILEKASSQLKLAVMGLNHVDPAKRLLWSHLEVMSSRSATNKELLDEGYALYND -RLYAIIEKTYVDQLNQAWTELSLYGKFSETWRVYKDKKYYKPSLVLQRNVDLGAVYNISVTHQISSLVQK -SRDRVSSILTKLHQSSCDKLHSLRLKAVNTIYWFIPDIFRLIHIFVVLSLLSTVANTIIVTMQDYKKLQK -QVREEEYEKEINEVRIIHAKLLKIHENDLTCEQFLQYIHENHPRLIEAAVELSGVGVIHEGKSNLEINLE -QAMAIGTLITMMFDPTKSDAVYKVLNKMRTILSTIEQDVPFPRIDFTNIFQAQVTHQSLELDDPLTIKTD -KKLTIDFDTTQDLPADTFSNDVTFDQWWSNQLENNRTVPHYRLGGEFMEFTREKAASVSISIAHSQIEKE -YLLRGAVGSGKSTGLPYHLSQRGKVLLLEPTRPLAENVCRQLQGAPFNVSPTLQMRGLSSFGSTPITIMT -SGFALHMYANNPDKLSNYDFIIFDECHLMEAPAMAFYCLLKEYAFEGKIINDSATPPGRECEFSTQHPVD -IHVCENLTQNQFVLELGTGSKADATKYGNNILVYVASYNDVDSLARALTERYYSVIKVDGRTMKQNTNGI -HPNGHSGKKCFVVATNIIENGVTLDVDVVVDFGLQVTAELDVDNKTVMYRKRSISYGEKIQRLGKVERTK -PGTVIRIGTTMKGLQEIPAMIATEAAFLCFAYGLKVITHNVSTTHLSKCTVKQARTMMQFELSPFIMSEL -VKFDGSMHPQIHEVLKKYRLRESVIMLRPNAIPHTNVHNWLTVKDYNKIGCDLELDDYVKVPYFIRGIPE -KVYSDIYKIVLEYGSTSCYGRLSSACAGKVAYTLRTDPFALPRTIAIVNQLIAEEHAKRDHYNSITSNPS -SSHAFSLTGICNMLASRYMKDHSRENIEKLTRVKDQLIEFRGTGGEFKNPEDLLEFGGLITVIHQGLHST -AQCLQLKGRWNGDLIQRDLMISAGVFTGGLLMLWFLFRRWSSTDVKHEAKTKRSRQKLKFRQARDNKYAY -DVTGSKDAIEENFGSAYVKKDKKKGTKVGLGVKQHKFHMLYNFDPQDYNLIRFVDPLTGATLDEQIHADV -KMVQEHFAEIREAAINKEQTDIQHIYSNPGIKAYFIQNGSQNALKVDMTPHQPLRVVTGNNIAGFPEYED -TLRQTGRAQVIPFEQVPAQNEMEVEHEAKSMLMGLVDYTPIANQVCIIENYSDDIRTCMYAIGYGSYLIT -PAHLFKTNNGELTFRSTRGVYKMRNSVDVKLHHVQGHDLVVIQLPKDFPPFPQKLKFQEPDRENKVCLVG -VNFQQNHSSCVVSESSTIAPKGNTTFWCHWISTTDGQCGLPLVDIKTRSIVGVHSLASINAKVNFFVSMP -DNFNTYLEELVSKNEWEKGWQYNPNLLSWNGLNLVSAAPKGAFKTAKLVEDLAFDVTEQGIQHETWLTKS -IQKIYQVVAKCPGQLVTKHVVKGPCPNFALYLSTHDDANKFFKPLMGKYDKSRLNKAAFTKDLMKYAKPT -YIGEVDTALFEKAVERVIQILHKLGIQTCEYVTDEDQIFKSLNMNAAVGALYTGKKREYFADYTQKDKEE -IIKQSCETVYKGELGIWNGSLKAELRPIEKTEANKTRTFTAAPLETLLAGKVCVDDFNNQFYSQHLNGPW -TVGITKFYGGWNRLLEKLPDGWIYCDADGSQFDSSLTPYLINAVLNIRLHFMEPWNIGEQMLRNLYTEIV -FTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVIIAFNYALLSCGVNLDEADEICRMFANGDDLLLAVNP -THANILDEFAKHFATLGLNFDFESRTKDKSELWFMSTRGIKYEEMYIPKLEKERIVAILEWDRSLLPQYR -LEAICAAMVEAWGYKDLLHEIRKFYAWLLEMQPFATLAKEGLAPYIAESALKNLYTGAKVSEDELNVYAR -QFFTDLSDYLADEVIDVKHQAGENVDAGQETEAQKEAKKKAAEEKKARETEAKRKQEAETKQKADEEARE -RQRVREAADKKKAEDDAKKKAAEKPSGSGSTEKDKDVDAGTLGSMTVPKLKAMSKKMRLPQAKGKNILHL -DFLLQYKPQQQDLSNTRATKAEFDRWYEAVQKEYELDDTQMTVVMSGLMVWCIENGCSPNINGVWTMMDG -DEQRTFPLKPVIENASPTFRQIMHHFSDAAEAYIEYRNSTEKYMPRYGLQRNLTDFSLARYAFDFYEMSS -RTPARAKEAHMQMKAAAVRGSNTRMFGLDGNVGETQENTERHTAGDVSRNMHSLLGVQQGH ->ACN23027.1 polyprotein [Sweet potato feathery mottle virus] -MATVMASVKLAGKRKLTWKECCNKWGKAAMEQQQQQQSKKTVHLGRDHLAAYLLAFVPETEWHKYYYGNR -GGPSPALLVLKSAIEYGEVYKWESELTFCAECDDVLDGHKCDSCGHRYIRCGDNIADSMNDIARALGGYD -AYYASNWAVYETAKYELDQVAPTAGMLYKQAKEAEKLLGKRPTRREIQEVEDLWAEYEEAAAKEAAEASA -ASNEYATSEVANKNTYLSDAEDDETFPPLVVAIEKVASATNIIEPAPEESKTTEIQEPPVLMPEAPVETT -LMEATNNVEDAPPLMEEAKGASGKVITNEATPEKNDETELAFFGDTVARTRKQSTRNTTSPKINPVTFGS -VFTGNIQFGTITCALEPTKAPEAEIIKESNTGFFFGTIPAIIPLPTIPVMKLESAIVEPIATSVVEVTNN -EISEVLIAAPTEMKKAPKAPLPKHLYPWTSKTQTPGEVHHKMVRKWVQKTQQAAAEKEKLVWKKLDEQLA -ARNEARKDLKVKWRWGLYRLVRKTRKDNQRQRRQKRMEKEQQSLMAMPPQILTSISIAGGPAASLEMTPT -LNGKIFCTPSMKKKRALKSPTLTQEKIHELMQAVLKIACKKEMNVELVGKKPTRGQYKKFQGAKHLFLHL -KHMKGLRETVDLRIHPTTQDIVLQSAKVGAWEKLIKTVTLSKGSSGLVVNPYKLLGPRGHAPQGMFVVRG -ALKGVLYDARMKLGRSVLPYITQFSSTMERFLSGFDSKFKQMRQIDVDHVCELSYDAEQAGAVAAITHHM -LYPMGRTTCKSCINNIEDMSREEWCEYVKSFINKNKILCQFDYKDFVHLPQIMDFLSESLVNTNKNVKAF -NEIQSLIGDRNDAPFTNVCEINKVLVKGGRAKPDELVRASENLLELARYLKNRTENIKKGSLQSFRNKIS -QKSSVNLALMCDNQLDKNGNLIWGERGYHSKRFFANYFDIIDPSQGYEKYVRRENPNGSRKLAIGKLIVS -TNFSVFREQMKGEPIQKQKLDNHCTSLRDGNFVYPCCCVTLDDGQPIESEFKLPTKNHLVIGNSGDPKYV -DMPPEISKKMYIAKDGYCYVNIFLAMLVNVNEAEAKDFTKQVRDVLMEKLGKWPTMFDVATACAFMSVFY -PETRNAELPRILVDHTTKTMHVVDSFGSLSTGYHILKANTVSQLIQFASSSLESEMKHYIVGGVTALPDI -QERCIRTIIKGVYKPDVMYTILSEDPYALLLSVMSPRILLALLNSGSLDRSMEAWITEDQEVAVIIGTLQ -ELAKKVSTSRVLEKQLKVIESQAHTLLFDPALVRSRTPGFTLSQKIIRGLAERRESNRVLYEQGHSVTSY -AASHELMEKIWDRLLKEEYEGLPWHGKCAQIMRSSKHACGLLSIPTWPRIGALSDRATDLCTTLHTKSVT -FRNTCRNGVVQRIADAHVKCVRTIMRTSLAAIKFAIPDVLKFVNLLLVINLLLQIAKVAKDMSMKHRQAQ -IDLNAYLFDQEIDKVNVIYDAYCLKIGGEPTMDEFLKHVEYINPTLSGTAKWLCYTADMEVEHQGKSRKE -MQYERIIAFVSLLLMIVDSEKSDCVYKILQKLKGLMGTINSDVYHQSLDDITNVFEEKNLTVDFELQSGE -HPTNPCTDSTFDEWWRRQIETNNTITHYRTEGVFIEFTRSNAVSVVNNIATIDAKDILIRGAVGSGKSTG -LPFYLSRKGRVLLLEPTRPLAENVHKQLGGEPFMVQTTLRMRGLTVFGSHPINIMTTGFAFHYYANNPEQ -LGEYDFIMFDECHVHDAQAMAFRCLLKEHEFKGKILKTSATPPGREVEFTTQYPVQIKVEERLSFKAFVE -AQGTGSNADVVTVADNILVYVASYNEVDELSRMLVEANHKVTKVDGRTMKVGNVEIQTCGSPQKKHFIVA -TNIIENGVTLDIEAVVDFGTKVTAYLDVDLRALHMSKGPISYGERIQRLGRVGRNKAGVALRIGFTEKGL -TEIPQTTATEAAFLCFAYGLPVMTPNVSTSLLSTCTVKQARTMLQFELTPFYMVNMVRYDGSMHPAIHNI -LKKYKLRDAETDLNKMAIPNRGVTGWLSVGEYAKSGKKMDIDDSVRIPFLNPSMPEKLHVDIWDAITKYK -HEAGFGRISCINSCKVAYTLQTDLYAIPRTIKIIDALIADEMRKKEHYKTITGRTVSSSSFTLNSIATLW -RNRYAQDYTSENIAVLSSVRSQLLEFENLSMDSSFNNMGQAALQAYVRETGATSCVLHQTKDALSKHLRL -KGVWNKSVITQDLFILAGVFAGGLWMIMAGLKESFDQTVLHQGREKRQMQKLKFRKARDNKLGFEVHADD -GTIEHFFGSAYTKKGKQKGKVTGMGSKNRKFINMYGFDPTEYSFVRFVDPLTGAVIDDSPYTDILLVQEK -IGEARLNAIKEDELSREKVVQNPGIHAYYINEITNAALKVDLTPHNPLLACERHSTIAGHPEYEGVLRQT -GPPIKMTLGDVPVNPEEASLVGHESKSLFRGLRDYNPIASVICHLVNEADGRTSDCFGIGYGGLIVTNRH -LFKRNNGTLTIRSRHGEFVIKNTTQLGMKPCADRDILVIRMPKDIPPFPQRLKFRVPKENERICLVGSNF -QDKSITSTISETSVTCHVPNSHFWKHWIDTKDGHCGLPLVSTTDGALLGVHSLSNLTNTQNFFASFPENF -EVDYLKTPEAMDWIKKWSYNPDEICWGTLELKTGQPVAPFKVSKLITDLDGIQVYAQTRSDRWVQDRLYG -NLKAVGQCPAQLVTKHVVKGKCMLFDLYLQQDQSEREYFKPLMGAYGKSRLNKEAYNKDLFKYATQIQAG -DVQVDTFELAERSVISMLTSKGFEKCNYITDPEEILKALNMKAAVGAMYSGKKKDYFEGMSDHDVEDHLF -HSCKRLFMGNKGLWNGSLKAELRPMEKVELNKTRTFTAAPLDTLLGGKVCVDDFNNMFYNHHLKCPWTVG -ITKFYQGWDRLLTSLPEGWVYCDADGSQFDSSLSPYLINSVLNIRREFMEDWDVGDQMLRNLYTEIVYTP -ILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAVHYTLLKLGIQESDFDKCCVFFANGDDLLLAMRPDTA -HLLDKFGDCFSELGLNYDFSSRTNKKEDLWFMSHCGVKRDGIFIPKLEPERIVSILEWDRSHEPIHRLEA -ICAAMVESWGYDELLHHIRKFYAWVLDQAPYNELARNGKAPYIAETALKALYTGVQPNASELSAYTRVLK -EMYDDSMLQENDLEVYHQSNESTEFKDAGADPLAPKPKNIPPPPTITEITDPEDPKQAALKAARAKQPAV -IPESYGRDTSKERESIVGTSSKGVRDKDVNVGTVGTFVVPRVKMNANKKRQPMVNGRAIINFQHLSTYEP -EQFEVANTRSTQEQFQAWYEGVKGDYGVDDTGMGILLNGLMVWCIENGTSPNINGVWTMMDGDEQVTYPI -KPLLDHAVPTFRQIMTHFSDVAEAYIEMRNRTKAYMPRYGLQRNLTDMSLARYAFDFYELHSTTPARAKE -AHLQMKAAALKNAKNRLFGLDGNVSTQEEDTERHTTTDVTRNIHNLLGMRGVQ ->AGH25928.1 coat protein [Yam mild mosaic virus] -MAATAVSATLPKVTFPPEIKNSGKYGGVSFRFGSFVTEEIPAKVAKPTVHIARMMKYEKDRAFSKTQLES -YEIAHQKFEKECDALGFKPHVSDMSRLVKGRNGTKYLKEYSARFKKECKVREKSLREEIEWFENHEPFIV -HEVNIISEDERINTEVEGPKKIFYTRSKRVRQETKRIHLSQEQVHALISSVIKITPTKCVIELKHKGSPS -VLTKQYFKGRRILKVQTQHEKGEHKVFDYIENTQAIAPNLIYLQPLFWSGKPLHERQVTRGCSGFIINRV -FLNGHYFCMGTHMVVRGRHRNLLCDFVSYLPASYLKEVTHYSVAETFWKGFDEGLRTNRHTPQIHEGKNT -FPVVEVGKVAGIVCQSLYPCCRITCTECAGKHLQASEVEARNELTQTLRIGAAKIQAEHPDFEHVANSLR -KIEHLLSLRNDNREASGKIQFLIGERTEAPFTHILSINECLLRGTKNTSADFSRATDHLLELARWMRNRT -DNIRKGSIENFRNKISGKAHINPSLMCDNQLDSNGNFKWGRRGYHAKRFFANYFDLIEPEHGYEKFKERK -HPHGIRKLAIGNLILSTNFDVLRTQLEGESIERLPVTTQCVSKRHESFVYPCCCVTYDDGTPVYSTVKTP -TRNHLVIGTTGDSKYLDLPTEISEKLYIAKEGYCYINIFLAMLVEVDEDEAKDYTKWVRDVIATQLGQWP -TMSDIALACYQLSVLFPSTRCAELPRILVDHATKTMHVIDSYGSLTTGYHILKAQTVSQLIDFAHDTLES -EMKHYRVGGIMNATTVNAETIKLLIKAVYRPKILKEIIENEPYMLTLCIVSPVIMREMYRNGAFKIALLS -QVKCGMNIKLLSSLLENLAMKVTRAQQYNEQMAIINKDVHVIRDVLNNGSMVNHSRNQALKYVETIIATQ -QMDQSLQRDGYYTTQSLQNSLAEKIYAEELKASWRELTLSEKFLSTCRSLQVCERFGRRCGEGKQETLRN -VSKSVTQYLCGGLTKMKTGVRISTEKCTYKVVSMSLKTVGGAFNILNYITPEFLRTARVVAILSLFLSVF -SKLQRIVNDQLHQRALLRDVQLATNWKKIETHYETLTKTLQNTPTIAEFAQYLKESNAELYKEFVDVYKQ -IPVEHQAKRESEQRLEQIIAFIALVMMIFDNERSDCVYKVLNKLKNLMSTAEPVVHQSLDDIIPIFEKEQ -LIDFELDTHDSTSYVYKSSTFSKWWDNQLQMNHVIPHYCNEGHFMEFTRNGAAGTASEIACSDHKDILLR -GAVGSGKSTSLPFLLSKHGHVLLVEPTRPLVENVYTQLRGAPFHASPTMMMRHATSFGSSPITIMTSGFA -IHYLANNRSKISSYSYIIFDECHVEDANAMALRCLLDSVAFEGKIIKVSATPPGREVEFTTQYPVELRTE -DKLSFEQFVQNLGSGSNSDVTTKGDNILVYVASYNDIDTLARMLVDKHYLVTKVDGRTMKSGLTGIQTHG -TPKRKHFVVATNIIENGVTLDIECVVDFGVKVVPELDIDQRRIFYKKVPVSYGERIQRLGRVGRHKAGTA -LRIGQTIKEVVPLNMIVATEAAFLSFVYGLPVMTAQVSTAILSHCTVQQARTMKQFELPTHFMVDLVCYD -GTMHPLIHNVLKQYKLRESEITLNKRAIPHSVVTSWQQVRNYDNDVQLVNMAPSDKIPFLCKDIPGTIYE -KLWKIVVEHKSDAGFKNLTSVNAAKIAYKLKTDPQSIPRTIRVIDELIKMEMEKKAHLDSVSSFTCSSSN -MSLHSIGLLIQSRYVHDHTAANISTLQAAKAQLKSFPVSTFFEGVQNNFTDRVFVDAIENNGALETVLHQ -SKDDILRTLDLKGKWKGSVLARDILITAGVAAGATWLLYEYFTEKLESVTHQGKNKRQKQKLRFREAADR -KIGHVVFDDDSGTIEHYFGDAYAKKGKSKGKTIGMGKKTRRFVNMYGFDPAEYQLIRFIDPLTGEILDES -PHVDIMLVKDHFDTIRMEKIADDELEPQRVYKNSGIQAYLIKDKVSPVLKVDLTEHLPLAVCNKFETIAG -FPEREGELRQTGQAVKVSYTDVPQKSTVTHEGDSLVKGLFDHNNISKAVCKITNASEGFSTTLYGIGFGA -LIIANRHLFKRTGGELLVRTTHGEFTCPDVGKLKIHPIENRDMVIIQMPKDFPPFATKLKFRAPRASDKV -KIVGTNFQEKYISSLVSGVSAIYPVSNSDFWKHWIKTDFGHCGLPIVSEVDGFIVGIHSLASTQQNHNYF -TGMIEHMNDLLMTAEQLEYTKLWTYNPREISWGTLDLQSSTPSEPFKLSKLLMDLEQVPVIEQSLQTWMY -SSLEANLKAVGRSQAQLVTKHVVKGECVLFQQYLATHSEAQAFFKPFMGYYGKSRLNKEAYIKDIKKYAQ -PIVIGTVDTSIFECAVANVKTMLSNLDFGQFEYITDSEVIFKSLNMKAAVGAMYSGKKKDYFEGKTASEL -DDFLKESCKRLYVGKKGIWNGSIKAELRPMEKVHANKTRTFTAAPIDTLLGAKTCVDDFNNFFYMQHTKG -PWSVGMTKFSQGWDKMLKKIPEGWIICDADGSRFDSSLTPYLINAVAYIRQFFNEDWDVGKQMLRNLYTE -IVYTPILTADGTIVKKFRGNNSGQPSTVVDNTLMVLLAVQYAMLKNGINDVEQKECVYFANGDDLVIAMP -PEREHILNTMAESFAELGLSYDFGNRHKHKEDIWFMSHKAIKREGIFIPKLEEERIVAILEWSRTENYEH -RLEAICAAMIEAWGYDELLRQIRLFYSWVLEQEPYRTLASEGRAPYISEYALRRLYLGDDDSDAELYNRY -LRALIDNYTHDDSDVVVHQAGKEQTFDAGQFTSKQAQPQGSTSSFEGPGKDVNVGTKGTFSIPRIKAPMS -KLTLPKLKGKILVNLEHLVEYEPEQVDISNKRASQEQLGQWVEAVKTSYDVDDEQLKIILNGFMVWCIEN -GTSPNINGNWYMIEDGEQVEFPLKPIVENAKPTLRQIMAHFSDLAEAYIEKRNAKKAYMPGYGLKRNLND -YSLARYAFDFFEITSKTPVRAREAHMQMKAAALRNTRIRLFGLDGSVGTNDENTERHTSDDVNRDMHSLL -GVRNI ->CAK26090.1 polyprotein [Shallot yellow stripe virus] -MKNSQATYILAGFSTNSQKQYAKATGKRRISRRERLVCHCDGDEEGHFHCTHCGRECASLTMLKQKNHTC -YDSFEDAHISVKVSDTQIKDLSTMGRYRNVGSNAQKDTRKAISPTKKVVFKQFDDVEPIATGWDINNDLQ -KEQMTEDATHTVVGNLPKATPKQNNVDGETDDIIKQIVFGQFGNNDTKTTEHIVTANHKFGHNLDGTQHI -TFGTLPSVEVLTTPNISYKTSDQCKETEVIGNSVTSVEGAVELNNTLPFESCKKVARRPIALKPLTILCT -EILSGQHVSPCEVEDNIKVELEVNNNLNKQVMKTTRKQPQIRYQVQAKDMQSDEIINNIIKVAYERKLKI -EVTERNKHTFTPYKVQDHYFYKIGTEHEKGRLSRKDVKCTQMVMKVMKQLLDMTPSVEIDSTQIQKGSSG -LIIRPNQIINCKKMNARSDVMVIRGRKNGSLVDSLLTLKHDDLKDVDHYSDSEIALKLFGGFNKTFIAIR -DKPQHVCERDIELELCGEMCGTITQMLAPMFKITCSQCATLLASRSKEQQYMDMSRQKVFEQYERLIATG -KFPHITRAIENLNPISEVSERSLSLYTQIDSLSMNGQTSQSRQINEIAKVIGKGPLVCTDETEAALKQLL -ELTNWYRKRLEAQMSGGLESFRNKISSKTHINLALMCDNQLDVNGMFQWGERGYHAKRLFANYFTKVTDG -SQYEALAIRKHIRGNRELAIKNLIVSKDIAKMQQSFVGNPIVAYPLGKACISKLNNNYVYPCCCVTMDDG -KPLCSELRFPTKNHLIIGNSGDDKNVQLPPSSDGHMYIVKDGFCYVLIFLAMLINVSEGDAKGFTKKVRD -DIIPQLKQWPTMRDLAVMCRYLCAFYPAVITAEIPKILVDHEHKTFHVMDSFGSKTTGYHILKANAVQQL -CRFGDVDLESEMNMYNVGGRQAPIHVTRTYGDQKFEMRSNIELSEDFEGCDSYNYELWDFKHDIARGTQV -SEAGCCSETIISDHSECWYNINDELSNGSLHTDEISSDETDSENPESDDNPIEDSVKARSRPASLHTIDS -ESDDLSGSAYESDEGSIQHSESSASPSEKVVADLNARLARAKMNIDRSPESKDITFFRSLVKAVFSKRHF -EKILREDPYILLFSMLTPTVITRMLHDDLYVKASQLLIMHDDDLARIASTLQIMAERVSRHKVFVVQMRI -ISEAARDILGLSEGFHNTDSSQTARHLLEMLNEQDLADFDLLAQGYVASTQKLYEMKKKCFDTIYHDYLR -GLSLCQRLGCEWRSLKYNYRMRNLDQSEERLTCTTIAKDCLVQCSSATQSYVRQHAANLYLGATNIVRSV -ARRGCYVAVRAISSLYRDILVYINIFAVISILLAMWNTVVGIRTNYKRLQLEELKIQHSKQNNQVEKLYA -QYIKEHKDKPTKEEFQEYISDHSDPLLEFFIRNYIGVEYQAKSQSEVTLEKIVAYVALFAMLFNSEKSDG -VFKILSKLKTIFSTTDVHYQALDSPDDVEEFLNTTVDFEVIVPNEPDINSFDMTFEEYWKKQLATNRFCA -NYKTNGVLYEFTRKTAEDVASKIQQETHTEFLVSGAVGSGKSTGLPFYLSQKGKVLIVEPTRPLTENLFN -SLSAEPFNQSVSMCMRGNTVYGSGNITIMTTGYALHYLANNREQIKSYDYVLVDECHVMDANAIAFYALL -KDTNFKGKIIKASATIPGHENRFEFKTQFETSINFEGQMTFERFVKEQGSCSNACVVSRGNNILVYVASY -SEVDTLSKLLLEKGYKVTKVDGRTMKVGGTQIETTGTAEKKHFIVATNIIENGVTLDIEVVVDFGRKVVA -VLDDESRMMRYTKQEVSHGERIQRLGRVGRNKPGHILRIGSTQKGIVETPICVATEAAYLCFVYGLPIMP -NNVSVSSISKCTTRQARTMAAFELSPFYMKDLVRFDGSMHPEIHKILKKYILRDTEIKITEMACPTGVTR -TWNSVGEYNKMGGHILCDENIRLPFFVNGIPEKVHEEIWNVVKQHQSKFKLTPLKTASVNKIAYTLSTDK -DSLVRTVGMIEEMIKEERYKHAQFQAIKNTPVGVGNFNLNYFSNLLKTRYMIDHSEQNIEILQRTRSQLL -EVHAMYNSEMSTTVLRSYPLVSAVEYQTKEQLSKGLGLQGKYEMSKISKDVIVCGLTLAGGLFMIYKSFC -DGVESKVHYQAKSRRRLRFRDAADRKQRYALEGDDGTIEQYFGSAYTKKGRQKGTVRGMGIKNHRFYNMY -GFDPTEYSIVRFVDPLTGNTYDDAATATTYNGVSALLQMRRDMVNDDAIEMQALHLNRAKVLEAYYIKAG -ADKAVKADLERDRVLKVTLTPHDPLMVCHNFETIAGYPDKEGEFRRTGPIETVTKDEVPKAQSYEPVYEV -AYEAKSLCSGPRNYTAIAGIICRLKLDSDGHTREIYGIGYGPYVITNQHLFTRNNGTLKIKSQHGNFLIK -NTAQLQLYPIDKMDLVIIKLPKDHPPFSQKANFRTPKEHEKVSMICVEFLPSSNTPSVSEPSFTFPERNS -HFWKHWISTKEGHCGLPFVSLQDGNIVGIHSLSDNGNAVNYFTGFPENFKEEYLDRAGDVEWVKGWIHNT -DNIAWGSLNLAKGAPESCFKATKLISDIINGVAFQAQDYTWLTKRLDGNLKCVGACPGNLITKHVVKGKC -PMFQLYLNTDERVKAFFEPLLGFYGKSCLNKEAYIKDFTKYSSDIVVGEIDTDVFEEAINNVENILLRGG -MTKCNFVTDPDDIMNSLNMKAAVGALYGGKKGAYFKDMSDKDVEHLIFMSCKRLYLGKMGIWNGSLKAEI -RPIEKVQANKTRSFTAAPIETLLGGKVCVDDFNNNFYRRNLAIPSTVGITKFYKGWNDLMCLLPDGWVYC -DADGSRFDSSLTPYLMNAVLNIRLRFMEEWDIGQEMLKNLYTEIIYTPIATPDGSIIKKFKGNNSGQPST -VVDNTLMVMLSVQYTLLKNDIKFMEQDSIIRYFCNGDDLLIAIHPDHIRILDSFAKHFADLGLEYDFSSR -TTNREELYFMSHRGLMRDGVYIPKLDKERIVSILEWDRAKEPEHRLEAICAAMVEAWGYDELLHEIRLFY -KWVLEQAPYNLIAQTGKAPYIAETALKRLYMDEQATESELEQYIRLYQILDDRIPTPSYVSYQVSETEDA -ANVNTDKQVGKNKDKDKDVDVGTSGEFSVPKVKMLSDKMRLPRVGKKVILNGKHLLSYKPDQVDLYNTRA -THAQFKTWYDAVKLEYELTDEQMKIVMNGLMVWCIENGTSQNLTGVWTMMDGENQMEYPLSPIIDNAKPT -FRQIMAHFSDAAEAYIEYRNATEKYMPRYGLQRNLREYSLARYAFDFYEMNSKTPIRAKEAHMQMKAAAV -RGVTNRMFGLDGNISTDDENTERHTAADVNKDHHTLLGLRM ->ACA42550.1 polyprotein [Papaya ringspot virus W] -MSSLYQLQPIALKDRLLGHKKGRGWIEHKLEMKGDRGNTRHVGEFIVSEGAKILQLVQIGNATIGRTFLE -GDRRVRADIFEIVKKTMVGHLGYDFESELWFCHSCDNTSDKYFKKCDCGEKYYYSERNLIKTMHDLMYQF -DMTPSEIDAVGYDYLADAVDYAERSAKEPQVVDPVEHEVLKPVEIDEEPLAVPEPEVTLVTTKLENAWTI -QIGDISVPLVVIKETPVIKGITGSLSSTGFYLEAETDRPVESEISREEVKEAVHLALEVGNEIAEQKPEL -KLTPYWSASLELHKRVRKHKEHAKIAATQVLKEKEKDRKIFSALESKLNLKARRKNQTVVCDRRGTLKWK -TRQGHKKSKLMQQVSDSVVTQIHCDLDYKPQYSEFHFSGIKRATSKKVCKPRKQMRIVGNNKINYVMKNL -SGIITERSIPVELITKRCKRRILQKKGKSYVQLRHMEGIRAQRDASSSLEMEQLFTQFCKFLVGHKSLKS -SNLTYGSSGLIFKPKFADNVGRYFGDYFVVRGRLGGKRFDGRSKLARSIYAKMEQYNDVAEKFWLGFNRA -FLRHRKPTDHTCTSDMDVTMCGEVAALATIIIFRCHKITCNTCMSRVKGRVIDEVGEDLNCELERLRETL -SSYGGSFGHVSTLLDQLNRVLNARNLNDGAFKEIAKKIDEKKESPWTHMTAINNTLIKGSLATGYEFERA -SDSLREIVRWHLKRTESIKAGSVESFRNKRSGKAHFNPALTCDNQLDRNGNFLWGERQYHAKRFFANYFE -KIDHSKGYEYYSQRQNPNGIRKIAIGNLIFSTNLERFRQQMVEHHIDQGPITRECIALRNNNYVHVCSCV -TLDDGTPATSELKTPTKNHIVLGNSGDPKYVDLPTLESDSMYIAQKGYCYMNIFLAMLINIPENEAKDFT -KRVRDLVGSKLGEWPTMLDVATCANQLIIFHPDAANAELPRILVDHKQKTMHVIDSFGSVDSGYHILKAN -TVNQLIQFAREPLDSEIEALHCGGEFDPTTSCLHQLIRVIYKPHELRNLLRKEPYLIVIALMSPSVLLTL -FNSGAIEHALNYWIKREQDVVEVIVLVEQLCRKVTLARTILDQFNEIRQNARDIHELMDRNNKPWISYDR -SLELLSVYANSQLTDEGLLKQGFSTLDPRLREAVEKTYAALLQEEWRALSLFQKLHLRYFAFKSQQSFSE -YLKPKGRADLKIVYDFSPKYCVHEVGKAFGKALLQPVKAGAEIASRAISGCGTFIGKSAARGCAYIFKDL -FQFVHVVLVLSILLQIFRSAQGIATEHIQLKQAKAEVEKQRDFDRLEALYAELCVKSGEQPTAEEFIDFV -MEREPRLKDQAYSLIHIPVIHQAKSDNEKKLEQVIAFITLILMMIDVDKSDCVYRILNKFKGVINSCNTN -VYHQSLDDIRDFYEDKQLTIDFDITGETQINRGPIDVTFEKWWDNQLSNNNTIGHYRIGGMFVEFSRSNA -ATVASEIAHSPEREFLVRGAVGSGKSTNLPFLLSKHGSVLLIEPTRPLCENVCKQLRGEPFHCNPTIRMR -GLTAFGSTNITIMTSGFALHYYAHNIQQLRLFDFIIFDECHVIDSQAMAFYCLMEGNAIEKKILKVSATP -PGREVEFSTQFPTKIVVEQSISFKQLVDNFGTGANSDVTAFADNILVYVASYNEVDQLSKLLSDKGYLVT -KIDGRTMKVGKTEISTSGTKSKKHFIVATNIIENGVTLDIEAVIDFGMKVVPEMDSDNRMIRYSKQSISF -GERIQRLGRVGRHKEGIALRIGHTEKGIQEIPEMAATEAAFLSFTYGLPVMTHNVGLSLLKNCTVRQART -MQQYELSPFFTQNLVNFDGTVHPKVDVLLRPYKLRDCEIRLSEAAIPHGVQSIWMSAREYEAVGGRLCLE -SDVRIPFLIKDVPERLYKELWDVVQTYKRDFTFGRISSVSAGKIAYTLRTDVYSIPRTLITIDKLIESEN -MKHAHFKAMTSCTGLNSSFSLLGVINTIQSRYLVDHSVENIRKLQLAKAQIQQLEAHVQENNVENLIQSL -GAVRAVYHQSVDGIKHIKRELGLKGVWDGSLMIKDAIVCGFTMAGGAMLLYQHFRDKLTNVHVFHQGFSA -RQRQKLRFKSAANAKLGREVYGDDGTIEHYFGEAYTKKGNKKGRMHGMGVKTRKFVATYGFKPEDYSYVR -YLDPLTGETLDESPQTDISMVQEHFGDIRNQYMDSDSFDKQTLIANNTIKAYYVRNSAKTALEVDLTPHN -PLKVCDTKLTIAGFPDREAELRQTGPPRTIQIDQVPPPSKSVHHEGKSLCQGMRNYNGIASVVCHLKNTS -GDGRSLFGVGYNSFIITNRHLFKENNGELIVKSQHGKFVVRNTTTLQIAPVGKTDLLIIRMPKDFPPFHS -RARFRAMKAGDKVCMIGVDYQENHIASKVSETSIISEGTGEFGCHWISTNDGDCGNPLVSVSDGFIVGLH -SLSTSTGDQNFFAKIPALFEEKILRKIDELTWSKHWSYNINELSWGALKVWESRPEAIFNAQKEINQLNV -FEQSGSRWLFDRLHGNLKGVGSAPSNLVTKHVVKGICPLFRNYLESDEEAKAFFSPLMGHYMKSVLSKEA -YIKDLMKYSSDIIVGEVDHDVFEDSVAQVVELLNDHECPELEYITDSEVITQALNMDAAVGALYTGKKRK -YFEGSTVEHRQALVRKSCERLYEGRMGVWNGSLKAELRPAEKVLAKKTRSFTAAPLDTLLGAKVCVDDFN -NWFYSKNMECPWTVGMTKFYKGWDEFLRRFPDGWVYCDADGSQFDSSLTPYLLNAVLSIRLWAMEDWDIG -EQMLKNLYGEITYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVLITMYYALRKAGYDTRAQEDMCVFY -INGDDLCIAIHPDHEHVLDSFSSSFAELGLKYDFTQRHRSKQDLWFMSHRGILIDDIYIPKLEPERIVAI -LEWDKSKLPEHRLEAITAAMIESWGYGELTHQIRRFYQWVLEQAPFNELAKQGRAPYVSEVGLRRLYTSE -RGTMDELEAYIDKYFERERGDSPELLVYHESKSNDGYQLVCGGDVHVYHQSKPEAVDAGLNDKLKEKEKS -KEKEKEKQKEKEKDDASDGNDVSTSTKTGERDRDVNAGTSGTFTVPRIKSFTDKMVLPRIKGKTVLNLNH -LLQYNPQQIDISNTRATQSQFEKWYEGVRNDYGLNDNEMQVMLNGLMVWCIENGTSPDISGVWVMMDGET -QVDYPIKPLIEHATPSFRQIMAHFSNAAEAYIAKRNATERYMPRYGIKRNLTDISLARYAFDFYEVNSKT -PDRAREAHMQMKAAALQNTSRRLFGMDGSVSNKEENTERHTVEDVNRDMHSLLGMRN ->AFU07533.1 polyprotein [Papaya leaf distortion mosaic virus] -MSIVIGNFSVPLTCRTEQVECVRLVPGTRVEEVKTIKKVLKTHYQEVTLGCTDRCAGLSAYTKTSLKRAI -KEKDLTASGSCFHCGLRAQIGEGRKRVELVPFSVMEDVETVEQVLVPCMVEEKYYKEVRNFQKATLIDRP -KITVAPALMAQPAQVPRPVVFNGIRKNHEEMKPKTSEDKVLEEETQCAGDVTLRQLDEVYARRERAQVGI -ERILARHARHKIEAVQHVEEEQSEALAAFESFFNQTHREDKYEGKILTIRNGITGWFEPNKRDIKNAARR -RKRANKKALSAALENDVTRTKTHEPNVKEETKDVEEATDTYTFEKQHNERKRVLKENVPLGIVRINELVR -CVTKICRKDSKELEFIGKRGSLRVQCTKNCSPRVILRHLHGKLRRKDCYWDRVIENFFEIAAEKLQNKNL -NNNESVRRGHSGHIIQYDKFKGLSGRHFGSYIIVRGDMDGRIIDARSKITHNVMINMTHYSDASSSFWKG -FDRQFIDTREKPRNAHECKATINVEECGEMAAIINQLLFPMWKITCTQCGELLEMLSQEEELKSFRRKRS -KLASKLSSLHIKFPYVDHFLNRYENSLDRMNTNFDAHKQIAQIIGGRKEIPFSNLERLNELLIKSDKLVS -EDFHEMSQCLLELTRWHKNRSDSFKKGEIHHFRNKMSGKAQFNFALMCDNQLDKNGNFVWGERGYHAKRF -FSNFFEKVDSTDGYKKHITRVNPNGTRQTAIGKLILSTDPSTLRQQMKGNPITRVPVGKYCTSKRDDCYV -YPACCVTLEDGTPLFSDIKMPTKNHLVIGNSGDPKYVDVPSSSSDMIVAKEGYCYLNIFLAMLLNVNESE -SKSFTKKVRDIIVPRLGQWPSLIDVATECYFLSAFHPETKNAELPRILVDHTSKCMHVIDSYGSLDTQFH -VLKANTVSQLIKFADDDLDSEMKHYLVGGDLHSKQVPQCSIKLLCRCIYRPKLMRQCIEEEPFLLILACI -SPGVLLALYNSQHLELALKYWMSKQQSVAALFAMIHGLAAKVTVARTLNEQRLILEHGARNLISVMEAIH -MTSHSYQPALLQLQVMANRRDMNSTLDLAGFSILQSEDGMYWMEKSYLMELEDSWNDLKWLEKLQEMWRL -SKYSISGISQLSMKGATDLGGRYSVSAKQFITSVTKPVKRSCVKARDTCKEVIINTTSWTFRATFSLCKW -CLPDCLKFINMLIVISLILSIWHSANSISFDYAQMKREKQANIEKILMNNLVALHKEQIKINPDLTKEEF -KEYIARNRPELIALVDKELQEGVGHQAKRKSEQNLEKIIAFVALVMMIFDSEKSDCVYKTLNKLRNLVAT -CDEPVAHQSLDDIQDILTDKETTIDFDLDCEGSKVTEFKEMNFAAWWEKQLQYDRVVPHYRTTGKFIEFT -RESCASVSNTISHAPEKEWIVRGGVGSGKSTGLPFALSSKGAVLMLEPTRPLAENVSRQLRQHPFYANPT -MRMRGMSTFGSSNICIMTSGFAFNYFANNPLKLSDFEFVIIDECHVLDSNAMAFVCLLKEHNYDGKLLKV -SATPQGRECEFHTQHPVSIHIEEQLSFQAFCEAQGTGSARDVINKGDNILVYVASYNEVDQLSKMLGDKG -YLVTKVDGRTMKIGSTDIVTKGSSQKKHFIVATNIIENGVTLDVDVVVDFGLKVTAEIDYDNRCVNYTKT -SISYGERIQRLGRVGRHKKGHAMRIGTTIKGLIEIPSLVATQAAFQCFTYGLPVMTQGVSVNSLSNCTVR -QARVMSRFELPPYFMASLVYHDGTMHPEIHKHLIPYKLDESEIQLSAMAFNFTTTSVWLDCKFYDSIGIH -LDLPREAKIPFHCREFPDLKYRHLWEDILKIKNTNCFGRMSVVSATKVAYTLKTDIHSVGKTLGYIDALL -QEEYRKQHHFKAMTSNACSGNTFSMLSIANAIRNHYAKDYTAGNIQKLQAAKNQILEFVNLNLDPSAKYG -FQEFGALELVTHQSRQEISKFLNLKGKWNKSLITRDILVLLGVTIGGFWMIWDKFKSNIEDVHHEGKRKD -QKLKFRDARDKKMGREVYGDDGTIEHYFGSAYVKRGAVKGQKRGMGEKSRRFVSMYGVNLEDFAFIRYID -PITGATRDESPLTDVELVQAHFGEIRDKMLNEGLIDKQHIINKPGLTAYLVKDGVKSIMKVDLQPHNPLL -ICKNKATIAGFPEKEFVLRQTDKAYEVSREELPERNEDVSFEGASSVKGLRDYNGVASAICQLTNNSNGR -STTTYGVGYGSYIIVNRHLFKENNGNLLIKSTHGNFNIRNSKQIKVVGVEDRDIAILQMPKDFPPFAQRL -RFRNPIVGESVCLVGNTFQEKYNASIVSETSKTFPRVEGSFWKHWINTTEGHCGLPLVSVTDGFIVGIHS -LMSHKYDHNYFSNFDDAFEGNYIDKLKELKWEQNWTYNVNTVSWGNMKLQDSAPCKEFKTTKLISDLCTE -PVCAQSSNQVRWLYNQLEGNLKAVATIPNNFVTKHIVKGRCKLFELYLQTHSEANEFFKPLMGFYGKSGL -NKEAYIKDLFKYSSEIPIGEVDTERFEDAVGQVIEIMMQWNFRECKYITDCDQIFESLNMKAAVGALYSG -KKKAYFENSTFDDRNHLLQLSCLRLFKGDLGIWNGSLKAELRPIEKVEANKTRTFTAAPIETLLGGKVCV -DDFNNQFYDLNMKCPWTVGMTKFYCGWNDLLGKLPDGWIYCDADGSRFDSSLTPYLLNAVLGIREFFMED -WDIGVQMLRNLYTEIIYTPIATPDGTVVKKFRGNNSGQPSTVVDNTLMVCICVQYSLIMNSVEFKNQDDV -CRYFVNGDDLLLAINPKFVHILDSFRVHFANLGLDYDFSHRTKDKGELWFMSHKGIKLNDMYIPKLEPER -VVSILEWDRSVKPEHRLEAICASMIEAWGYPKLTHEIRKFYAWVLEQAPYNHLASEGKAPYISETALKRL -YTCEEGSADEIISYLEMCANDLNEDEYFNDEDVSHQSALDAGKSTAENKKDDEEKKSKEEKQENKNKNKE -VEKKHEKTSSSASGAIVSNSEKDKDVDVGSSGSFIIPRIKSISNKLTMPKVKGKGILNLEFLLQYTPDQV -DISNTRASASQFNTWYNAVKESYGVSDEEMGIILNGLMVWCIENGTSPNINGMWFMMQGEEQIEYPLQPI -VENAKPTLRQIMAHFSNVAEAYIEKRNYEKPYMPRYGIQRNLTDMSLARYAFDFYEMTSRTPARAREAHI -QMKAAALRDASNKMFGLDGKVGNATENTERHTTDDVNHNTHAFTGARYY ->BAH60694.1 polyprotein [Bean yellow mosaic virus] -MTTINIGTIPVTIKQNADIQMGGSTKKISPFVNVAVNPFSDLEARCAERLKRMGELCFSRRGRYITMVPK -PDHVKAIEKQRFEEELNFQNNEHIVNSIGADYTPKQSLARGKGVQVSFKTQYYKRSIKKPCTQMRKKELK -GQHKIHYVTKELLDIVKKRDLVLEVADKRKRANFATFKKYGKTYGMHITLNHMVRKRRRIDVTLNKLMTK -IATHCAISFECLEALTLREGHSGLVLKTETVPNIHNNKSRITVVRGLVSEGGIPTLVDARKKLTYGVLDA -IREFSAGDLFWKGYNRTFIDNRPANLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCRKCIDNFLNQNN -KERYESSSVFINQAVQLLDRGFPEFKHSKEILLMFKERLRMENHFSEQCMEVAKAITSLPEAPFSHIKEV -NSVLLKYGSLSNDEVGDASKHLLEVTRYLKNRTDSIQRNDLSKFRNKISSKTHINLDLMCDNQLDKNANF -VWGQRAYHAKRFLSNYFNEINPSEGYDKFILRKLPNGTRELAIGRLIMPTNFEAFREQMKGKMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGTAVISDFKMPTKYHLVLGGNDLAKYIELPTDTTGNMYIAKDGFCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACWQLTVWFPDTLSAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKYYRVGGDWNFGNRVRTDTKFLLKSIYRPDLLERI -IEHEPFVLVLAMQSPAVLLALFNSASLEKAVQYWMHREMQVSHIMTLLAVLASNVSASRLLTTQFEIIEA -SAPQILAEMDKVHQPMHSIHSANVFLMNLNESRETDKTIDELGFYSFKKSSRILMEKTLMADLEEQWQGL -GLLERLSLIKRSWRVRAKYSSFAIQREEPGIRDKFTTSLKLSGAQIKQQLLAQKDQAVHFVERRIERTKK -FVANQAIGLVKMCLPRLADIVNVLTVIMLLNALLAFMLDHIKRFNEARRIVQEKKEKQHLRELNTLYSKY -WDNEKPTYLEFKSDVIEKLPHTLATFEKYYSEDDKYTFQAKPNDMIALEKIVAVTALILMIFDAERSDCV -YKVLNKLKGILSTTTQDAYRFQSLDTSKTLLEEKEMTIDFEINEGEVKAFSGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEITHNDARDILVRGAVGSGKSTGLPFYLSNKGKVLMIESTRPLAENV -FKQLKSEPFYVSPTLRMRGTTSYGASPITIMTSGYALHYYANNPAMMKEYKFVIIDECHVHDANAIAFVS -LLKEYSFDGKLIKVSATPPGREVEFTTQYPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLDRGHIVTKVDGRTMKNGKTEIESKGSRSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLMRYTKQNVSYGERIQRLGRVGRHKAGKALRIGVTEKGLMKPPSIITTEAAFYCFAYGLPVMA -EGVTPSLLSKCTVQQARSMMSFELPIMYTVNLVRFDGTMHPSVHNLLKPYKLRDSNVVLNKMAIPHGNVR -NWPTVRDLKHMGVRVDAPEDTRVPFHARDIPDKLHKEIFEVCCKYKGDAGFSKLNVVNACKIAYTLQTDP -SSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLSNIINAIRARSTSDYTQENLSVLHSARAQLL -EFKNINSDFSNLSTLSEFGALECLQFESLQGVSKHLQLKGHWNKPVLIQDFLIAAGVLGGGCWMLYQYFK -QETSKAFVFQGKNKRTKQKLRFRDARDMKGRMEVYADEGTIVENFGSKYTKKGKVRGTTTGMGTKTRRFT -NMYGFDPTEYSFARYLDPITGETLDEQPITNLNLVSEHFQEMRRKYRENDIMESQHFAANPRIEAYFVKD -AGQKVLKVDLTPHKPLLYSDKFGNIMGYPEREGELRQTGTAEFIDPKELPEPKESTDFDFESLSKIGGLR -DYNPIAANVCLLENESAEYCDEIYGIGYGNVIITNQHLFRHNNGELTIKSKHGTFKCKNTCALKLLPIEG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFFKHWISTVAG -QCGNPMVSTKDGFIVGIHSLTAISGDLNVFTSIPPNFEDEVLKQMSKKNWCCGWKLNTSQIGWDGIKIVD -DQPKDPFPVSKMVGLLNDLQLSFQSAKNTKWLLERAHGNIKAVAQASSALVTKHVVKGKCRLFEVYLTTD -EEAEKFFRPLMGAYQKSRLNKEAYVKDLMKYATPIEVGLVDTRCFERCFEKVQNMLELKGFSKCNYVTYG -PDILSALNMKAAMGALYSGKKKESLAAEISEEKFDNILQASCERLYSGRMGVWNGSLKAELRPQEKVLAN -KTRSFTAAPIDTLLAGKVCVDDFNNKFYSLHLKIPSTVGITKFYGGWDRLLDSLPDGWVYCDADGSQFDS -SLTPYLLNAVLEMRLRLMEEWDLGEQMLKNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVI -MAVYYAAEKLGIKGNLEDTLVFFANGDDLLIAIKPECESYLDKFEGLFSELGLKYDFSSRTKNKGDLWFM -SHRGIQIDGMWIPKLEEERIVSILEWDRAIQPEHRLEAICAAMIEAWGYPTLLNHIRKFYLWILGQAPYS -QLSAEGKAPYISEVALKHLYTEEKVTPAELERYNIALIDCFESESDEVLTCRFQSDQEQLNAGEEKKDKR -KKNEENPDKNSEGQSSRQIVPDRDVNAGTVGTFSVPRLKKIAGKLNIPKIGGKIVLNLDHLLEYNPPQDD -ISNVIATQAQFEAWYNGVKQSYEVEDSQMGIILNGLMVWCIENGTSGDLQGEWTMMDGEEQVTYPLKPIL -DNAKPTFRQIMSHFSEVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYKLTSKTPVRAREAHMQ -MKAAAVRGKSTRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI ->AEK27123.1 polyprotein [Plum pox virus] -MSTIVFGSFTCHLDAAIHQDNADRVAKAWARPENRQISNVHLLCRRTAKSLINTYESATASAWKGLEEKL -QPMFAKREFSKTITKRKGLRCFKASSEQVIERKLKQQYKEERERFQWINGPENVVXHIEPVTEGAPTWVP -FPDVPKRPLSKTPSMKRHIIFDKVRMSETTLQLFMRKVANNAKANGQKVEIIGTKHVVGNYIRKSQLTYF -RTHVRHLDGLKPRYDITLDEATKKIVQIFANTSGFKHVHGKGEITPGMSGFVINPRNISDPMQIHDTDLF -IVRGKHNSILVDARCPVSKEHSNELVHYSDPGKKFWVGFTNSFAQCKLRETDHQCTSDLDVQECGYVAAL -VCQAIIPCGKITCLQCAEKYAYMSQQEIRDRFSTVIEQHEKTVMDSYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGERKEAPFSHINKINELIIKGGMMTVQDYSEASDRLRELARYQKNRTENIQSGSIKAFR -NKISAKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFNVIDTSEGYRRHIVRENPRGTRKLAIGN -LVMSTNLAALRKQLLGEECTRFEVSKECTSKRGANFVYQCCCVTHEDGTPLESEIISPTKNHLVIGNSGD -SKYVDLPKTEGGGMYIAKAGYCYINIFLAMLVNVNEGEAKSFTKTVRDTLVPKLGTWPSMMDLATACHFL -AVLYPETRNAELPRILVDHESKIFHVVDSYGSLSTGMHILKANTVNQLISFASDTLDSSMKMYLVGGLEV -DRCDEFKNVKLLIRSIYKPELMQQVLTEEPYLLIMSVLSPGVLMALFNSGSLEKATQYWITRSHSLAAIV -SMLSALAAKVSLAQTLNAQMNVIDEHASVLYDSVFNGTKPYASYIMAIKTLERMKARTESDHTLHDLGFS -VLRNATPHLVEKSYLQELEQAWKELSWSERFSAMLESQRWRRHIPRPFVPAASADLGGRYDISLRSLLGS -QYKRLKGGIRRRKDSVVCYAHQSMGKLFCKAVGLSTNFLPKAFKMIDMLIVISLLLTIGATCKSMINEHQ -QLKQMAVDRDNNKRFKRLQLLYARVTDKLGYMPTAEEFLEYVQGENPELVKYAEDLIGEGQVVVHQSKRE -SQANLERVVAFVALVMMLFDSERSDGVYKILTKLKGIMGSIDQTVHHQSLDDIEDILDEKKLTVDFVLQS -NEVAPTVPFDSTFEKWWTNQLETGNVIPHYRTEGHFMEFTRENAAHVANEVMHGPHMDILIRGAVGSGKS -TGLPFHLSKKGHVLLLEPTRPLAENVCKQLRGQPFNVNPTLRMRGLSTFGSTPITVMTSGYALHFLANNP -VYLDNYKCIIFDECHVHDASAMAVRCLLSEYSYPGKILKVSATPPGHEVDFKTQKEVKVIVEESLSFQQF -VSNLGSGCNSDILKYGANILVYVASYNEVDTLGKLLTDRNFKVSKVDGRTMKVGSVEIPTSGTQAKPHFV -VATNIIENGVTLDIDVVVDFGLKVVPVLDVDNRLVRYKKTSISYGERIQRLGRVGRNKPGAALRIGFTEK -GLTQIPPMIATEAAFLCFTYGLPVMTNGVSTSLLAMCTVKQARTMQQFELSPFYTVAMVRFDGTMHQEIF -RLLKSYRLRDSEVILNKLAIPNGNVGGWMSVRDYKRQGCNLDLDDNVRIPFYVKDLPELLHEQIWQAVEN -YKSDAGFGRICSSSACKIAYTLQTDIHSIPRTVKIIDVLLEQERTKQAHFRAMTSQSCSSSNFSLSSITS -AIRSKYAKDHTEENIGVLQMAKAQLLEFQNLNIDPSYPELVRNFGALECVHHQTKEGVSNTLKLKGHWNK -QLVTRDATLMLGVLGGGAWMIYSYLKDSFKEEVAHQGFNRRQRQKLKFRQARDNRMAREVYGDDSTMEEY -FGSAYSKKGKSKGRTRGMGTKTRKFVNMYGYDPTDYNFVRFVDPLTGHTLDENPLMDIGLVQEHFSIIRN -EQIGDDKITPQHIMANPGLVAYYVKDATQKALKIDLTPHNPLRVCDKTATIAGFPEREFELRQTGQPTFV -EPSAVPKANEVESEEVDHESKSLFRGLRDYNPIASSICQLTNSSGARNSEMFGLGFGGVIITNQHLFKRN -DGELTIRSHHGEFVVRDTKTLKLLPCKGRDIVIIRLPKDFPPFPKRLQFRTPTTEDRVCLIGSNFQTRSI -SSTMSETSATYPVDNSHFWKHWISTKDGHCGLPIVSTRDGNILGLHSLANSTNTQNFYAAFPENFEVDYY -LSNNDAANWIKQWRYNPDEVCWGSLQLKRDVPQSPFKICKLLTDLEGDTVYTQSKTTHWLRDKLEGNLKA -VGACPGQLVTKHVVKGKCTLFETYLLTHPDERAFFRPLMGAYQKSALNKDAYVKDLMKYSKPIVVGTVDC -EQFERAVNAVISMLISKGFEECCYVTDPDDIFAALNMKAAVGALYSGKKRDYFENVSEDEKYEFVRASCK -RLFMGQKGVWNGSLKAELRPKEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSLNLHCPWSVGMTKF -RGGWDKLLRSLPDGWIYCDADGSQFDSSLSPYLINAVLNIRLAFMEKWDIGEQMLSNLYTEIVYTPIATP -DGTIVKKFKGNNSGQPSTVVDNTLMVILAMTYSLLKLGYHPDTHDCICKYFVNGDDLVIAVHPSHEHIYD -ELQELFSQLGLNYTFTAKTENKEELWFMSHKGVLHDGMYIPKLEPERIVSILEWDRSHEPIHRLEAICAS -MVEAWGYGDLLREIRKFYSWVLEQAPYNALSKDGKAPYIAETALKKLYTDEEASETEIEKYLEAFYNNAG -DELDSNIVVHQTKEGGDDDVTLVDAGKSTITTAASTPAVTSSQFPPPPFPNLQSTAPMFDPIFTPATTQP -NVRPIASVVTSPFSYGVIGNQNVTPSSSNALVNTRKDRDVDAGTIGTFSVPRLKSMTSKLSLPKVRGKAI -MNLNHLAHYNPAQTDLSNTRAPQSCFQTWYEGVKRDYDVSDDEMSIILNGLMVWCIENGTSPNINGMWVM -MDGETQVEYPIKPLLDHAKPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGIQRNLTDYSLARYAFDFYE -MTSTTPVRAREAHIQMKAAALRNVQNRLFGLDGNVGTQEEDTERHTAGDVNRNMHNLLGVRGV ->ACM68708.2 polyprotein [Pepper veinal mottle virus] -MAIRVSFPCFYNTHNSTLSECKSVTMSICFGSLKPKQIDWNEASNNLLEEYDDKMDAFDKDCEKFAREMS -GQRAVLKRGVYKYAPKTRAQLRKERKHNRILEERAEFLNGKDSIITGMVFPVEARKAQTEEVPFKYPPIV -QRKPRKTPHMNYVTLNDTQFDQFTRELINACEATSQPMEIIGKRKVRVQARVTRLFSNTRCLQVVTKHHK -GKLCEIDVPNMRGIENSFGHLAQAAWKGGFVNEERLGYGTSGCCIPHGLLKGRTFDGGFDFFIVRGRYGP -LLMDSTRYTPKQMLADIQHYSAGDKFWSGFDQAFRAHRTTPVIHSGMNTLDVTQCGEVAAILCQALMPCC -RITCTVCATTNADITQLELRERIAHSIKGSISELSEKYPAFPHAVRFLKDYLISLNNFNPNREASGKVHF -LIGDRKEQPFSHILAVNETLIKGGRATSDEFAHASDELLQLARFHKNRVESLKKGSLHLFRNKAASKAHI -NPSLMCDNQLDANGNFVWGKRGYHAKRFFANYFDRIEPTSGYDKYVIRKFPNGSRKLAIGNLILSTNLDE -LRKQLEGESIQTYPLTQQCVSKRNETFIYPCCCVTYDDGSPMLSEYKAPTKNHLVLGNSGDSKYLDLPTE -ISENLYIAKEGYCYINIFLSMLVEVDENEAKDYTKWVRDIVVQQLGQWPTMTDVALACYQLSVLFPSTRS -AELPRILVDHKTKTMHVIDSFGSLTTGYHILKANTVSQLLMFASDTLESEMRMYRVGGSDPRTLTDYRKR -LFQSVYKPAVFKQLMLEEPVILTLAITSPTLLSEFYHNGSLHRAMGLVGQAEMPVRIAVTHIVELAKKVT -RAESLYEQSAIIESNAQELYAILDSVPYKTEALEHILAYLLTVVLDADSDATLEDIGFRTLKFKSLSVLE -KICRDDLDAQWRDLSLSAKSRITWQSLRSRKSCTGGLRDTACSIFKKVFESSTAYVQGKAQKIPVPFMCM -LSKASKSASYIRSSVLNRMYSYIAYSFRDAFQFIHTLAILSILLTIYTNILNIRNQNLKRALLLMKEADR -LKSERIERCFEEISKKLNGAPTEQQFVQFIQEKDPQALQYYSEQNNDVKHQASKRPAEAKLEQIVAFVAL -MMMVFDTDRSDCVYKVLNKLKNVMGVVDNDAVNHQSIDTILDDFESKNEMIEFELTAPDAKSLAYKSSTF -QKWWDNQISTNNVISHYRTEGHFIQFTRETSAQVASDISSSEIRDFLIRGPVGSGKSTGLPSLLCKKGRV -LLLEPTRPLAENVHAQLSSAPFHLNPTLMMRNKSVFGSTPISVMTSGYALHYLANNAHRLKEFSFIIFDE -CHVLDASAMAFRSLLADRAFEGKILKVSATPPGRETEFTTQFPVKLRTEDHLSFQQFVDAQGTGSNADVI -SDADNILVYVASYNEVDQLSKMLVERHHKVTKVDGRTMKSGAVEIKTVGTKQRKHFIVATNIIENGVTLD -IEAVVDFGQKVGADVRSDERMICYTKGAINYGERVQRLGRVGRTKPGCALRIGHTNKGIEAIPTVIANEA -AFLCFIYGLPVMTAQVSTSLLSSCTVQQARTLALFELPFYFLQDFVAADGSMHPAVHALVKKFKLRESEV -ILNKLAIPHAAVNKWMSVREYNQCSKQMDLDPDIKLSFLVKEVPESLYEKLWHCVQSHRGDAGFKKIATH -NAAKIAHKLRADNASIQRTVLLIDQLIAAEMQKKEHFDSLVNANTTSLSFSLQSISNMIRSRYAKDYSVQ -NLSILHEARAQLVEFNNLYSEDIISGAASIWTMRDSIVECGAMETVMYQSTEEIGKFLGLKGFWNKKLLT -RDVLIGAGVACGGAWMLYQFVMDSFGEDVSHQAQGRNKRQKQKLKFRDARDAKLGRVVIDDDSGAVEHFF -GSAYTKKGKSKGKTHGMGKKNRRFVNMYGFDPTEYSFIRFVDPITGEMLDESVMADIMLVQEHFDDLRHE -YISGDKIEIQALYKNPGIQAYFVKDKVSPVLKVDLTQHEPLKMCDNSATIAGFPEHKGVLRQTGQATLVT -HAELPSSEKVEHEAKSLNKGLRDHNQISKVVCKLENESDSYVTSIHGVGFGSVIITNRHLMKRNNGQLRV -RTAHGDFKIANTKEMRIHPVDKHDLILVRLPKDFPPFPTKIKFREPKLTDSICLIGSNFQERFLSSLVSA -SSETSPVENSKFWRHWIDTKDGHCGLPLVSTNDGAIVGFHSLTSMNTDQNYFASVPSDLAQMIKDFETLE -WRKCWVYNPNEIGWGSLKLQQDKPGGMFKVDKLIEDLQSTFVQEQGEEKWLYAQLYGNLKAVGKSESQLV -TKHVVKGQCPLFQLYLSTHKEAKEYFKPLMGAYAKSKLNRIAYAKDILKYATEIEVGKVGCDMFELAVED -VICDLHAVNFTTCEYITDEEQIFQSLNMKSAVGAMYRGKKRDYFETYTTEDKERILYESCLRLYTGKLGI -WNGSIKAELRPMEKVQANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSKHTIAPWSVGISKFSGGWDKLLR -KLPDGWIYCSADGSRFDSSLTPYLINAVAQIRLAFMEKWDIGEQMIKNLYAEIIYTPILTADGTIVKKFK -GNNSGQPSTVVDNTLMVLIAMRYSLRRLGMNYKDQIKRCVFFANGDDLIVAVRHEDEWVLDSLQAPFQEL -GLSYNFDDRTTNRSELWFMSHQGKLIEDMYIPKLEEERIVSILEWDRAEQPEHRLEAICAAMIEAWGHSE -LLHNIRLFYKWIIEQAPYSMLVANGKAPYISEAALRRLYTNGENDDDVSEYLKALSRSQKEIERLEGDDF -VLHQAGETVDAGKSSGKEVTASKQPTTSQPTEAKTVLDRDVNAGTQGTFTIPRLKGMSSKLTLPKVSSQS -VVNLEHLLNYKPDQVHLSNTRALQSQFTSWYNGVKNDYDVDDEQMKIILNGLMVWCIENGTSPNINGMWV -MVDGEEQIEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIEKRNSEKSYMPRYGLQRNLTDMSLARYAFDFY -EMTSKTPVRAREAHIQMKAAALRNANNRMFGLDGKVDTQEEDTERHTAEDVNRNMHNLLGVRGV ->APW85806.1 polyprotein [Chilli ringspot virus] -MTVAPNAIAPNIQFGTMTSDMLINGNSKPKTTEVTRQETVAELKPLAPITYSQFTVNCLTEYNENQSRFN -EMCLEKLNEAHRRVASITRDSQGTLRYKRMTHAKHARKVRRSERFDRERQSFLNADPYVITSLRIAFGDR -EAKTNYTPKGHIHTTPSAKRKIALKKVVKLKRMSVMELANSICKPFIDSGKPIEIIGRRNKHTIHCRTVK -KSDRRILKVKTHHEESVMRNVDVNITHDTNKFIEAFAEAAYTGRTLRDSEIVRGFSGFVIPKSRISDLKT -VDDYKFLVVRGRWRKTLVDARIHISSEILESINHYSIANKFWDGFEQAFIKHRSNPVIHERDESTLDVKQ -CGSVSGIVCQALMPCCRITCGKCADNYAQMSSTEIRSHITSTLSNGIKVLKQQHPDFSHMIAMLESYKMM -LNAVNTNREACGKIHFLIGDRTEQPFIHVLRVNEVLIKGNRATSAELEGASNELLEVARYLKNRTDNIKQ -GSLATFRNKVSAKAHLNPSLMCDNQLDVNGNFVWGKRGYHAKRFFSNYFDVIIPSDGYDKYAIRKFPNGT -RKLAIQNLILPRDLGQLHKQIEGESIELQPLSTKCISKRHERNIYPCCCVTLEDGTPEYSEFKAPTKNHI -VLGNSGDSKYLDMPADISENLYIAKEGYCYINIFLAMLVNVDEKDAKDYTKWVRDVVSEQLGQWPTMLNV -ALACYQLSVLFPSIKSAELPRILVDHKTQTMHVIDSFGSATTGYHVLKANTVTQLEKFASDTLDSEMKHY -RIGGIIDGSETDVASLQTLIKAVYKPKLLREILMDHPYLIVFSIMSPSVLLAMFRSGSLHRALLTLQGRE -HTLRVLVNLLTVLATKLSRSDAISEQFDIIQNHVHKFRDVILDGDVHSMSRKLAERYLEVQVSIIESQSE -TEALGFRTTRFKGSHIIEKIYQEDLEAQWRELPLFQKLYFTAWQLKHRRALRVMLGEKDTKEHYKLLHSL -KRSASHCLRKAVVPFRAGYSKCAQIPVFVHKRMKSRLLHSLSYFFSDALRFIQVLAVISILMSILQQLYD -GMVKYRSYKMLAIQQEDSKKDEALERLHSHLYYKLGTLPTYDEFCTFVQEQSPELLDHLGGHHSSEVVEH -QAKRESEVRLEQIVAFMALIMMIFDNDRSDCVYRVLNKFKNIVSTAEQDVVHQSLDDIQTNFEEKNETID -FELTTGDTPATSFKSATFESWWDKQLEMGHTVPHYRTEGHFMEFSRDTVVSVVQNIILSEKRDFLIRGAV -GSGKSTGLPAQLAKKGKVLIVEPTRPLSQNVFNQLRSQPFHLSPTLMMRNSSHFGSTPISIMTSGFALHY -LANSGTALSTFDYVILDECHVLDSNAMALYSLLLERDFNGKILKVSATPPGREVEFSTQFPVELRVEESL -SFQQFVDSQGTGVNSDVTQVANNILVYVASYNEVDQLSKMLAAKNFKVTKVDGRTMKNSTTSISTSGTTK -KKHFIVATNIIENGVTLDIEAVVDFGTKVVPSLDIDCRSIRYCKGPINYGERIQRLGRVGRVKPGVALRI -GYTQKGIEAIPNVIATEAAFLCFVYGLPVMTSQVSTSLLSRCTVQQARTAKLFELPPYFMINFIDSNGSM -HPQIHELLKKYKLRESELQLNRMAIPYAQTSSWISVRDYNRSGHALQMDPDCKIAFLIKEIPDETYEKLW -KTTNDCRGDAGFKKLATYNAAKISYKLRTDVHSIQRTIRIIEQLITAEREKQAHFESLTSFSLSSQCYSL -QSICNAYKSRYAKNHTTENISVLEAARSQLVEFFNVHSADILVGDLSEKQIQDEVKDFGALETVLHESKE -GVSKALKLKSKWNKSLLTRDVLVCAGVAAGGVWLLYQYLIDQFKAPVSHQAKNKRSNQKLKFRNARDAKV -GRVIVDDDSGAVEHFFGAAYTKKGKKFGRQVGLGKKTRRFVNMYGFDPTEYAYIRFVDPITGEMLDENPM -ADIMLVKDHFDDLRHEFVVNDKIDMQALYSNPGLEAYFVKDKASPILKVDLTGHVPLKVCDRASTIAGFP -EKEGILRQTGPAQKLTFGELPKPKESVDHEAKSLTRGLRDYNGISKAVCLLVNDSDGCTTTIHGVGFGPL -IITNRHLFKRNNGILTIRSMHGEFKVVNSASIKVYPVGNCDIVLLKMPKDFPPFPMKLKFRVPQSNDLVC -LIGSNFQEKFASSTVSGSSNISHVASSNFWRHWIDTKDGQCGLPLVAQNDGHLLGIHSLTSTHSDQNFFT -AFPENFKECLDQTDSISWARGWLYNPNDIGWGSLKLKESSPKGLFKIEKLIEDLSTEVVSEQSKTNWVFE -QLTGNLKAVCKSESQLVTKHTVKGECQLFQLYLNTHEEAKVYFQPLMGHYQKSKLNKVAYLKDLLKYATA -IEVGKVDSDTFEAAVNDAIADLINAGFEECEYVTDEQAIFGALNMKAAVGALYKGKKRDYFEAFTEQEKE -QIVQDSCERLYTGKLGVWNGSIKAELRPKEKVAQNKTRTFTAAPLDTLLAGKVCVDDFNNTFYALHLKGP -WSVGMSKFSRGWDHLLSQLPDGWVYCDADGSRFDSSLTPYLINAVLKIRLHFMESWNIGDQMLKNLYAEI -IYTPILTADGTIVKKFKGNNSGQPSTVVDNTLMVIITMHYAARRAGLNYQEFCNHVKFVANGDDLIIAVK -PCKESLLDTLQDSFSELGLTYDFASRTRNKEDLCFMSHNGVMRDGIYIPKLEKERIVSILEWDRASEPQN -RLEAICASMIEAWGYDELLYQIRLFYAWVLEQAPYRELAKQGKAPYISEGALKKLYTGEDSDFQVYLRSL -IQNQWKDEDTTVYHQADTQAVDAGKSTQSAEKRPANPTSASKAIAETPRDRDVNVGTAGTFSVPRLKGIS -SKLNLPMINKKAVINLDHLLKYTPDQVALSNTRALNSQFASWYEGVKTDYDLNDSQMEIVLNGLMVWCIE -NGTSPNLNGMWVMMDGDEQVEYPIKPLLDHARPTFRQIMAHFSNLAEAYIEKRNAERPYMPRYGLQRNLT -DMTLARYAFDFYEINSKTPARAREAHIQMKAAAVMHAKNNMFGLDGNVSTKEENTERHTATDVNRNMHHL -MGVRGV ->ADP00834.1 polyprotein [Turnip mosaic virus] -MAAVTFVSAITNTTTNKPALTGMVKFGSFSPVPLRSTTVTTVATPVAQPKLYTVQFGSLDPIIVKGVAGS -SAKETRRQLNVKEDVNLRETAALEVAKPQSSIVVRMHEEANRERAIFLDLENSLKRRPYGIAENEKIIMT -ARGVSKIVTRSVRAMKHKRARERRRSQQPIVLKRENKLSEFSIGGGPSANIIEKEEARAKWPLHKTPSMK -KRMVHRTCKMNTQSIEMLTRSLIKIFKAKSANIEFTEKKTIKVDFVKKERLKFARIQSTLTWKEREARLV -SGASENQFIDILSNYSGSTKAIQPGVVCAGWSGIVVRSGTLTHKPSRSPSHAFVIRGEHEGKLYDARVKI -TRTMSHKIVHFIAAGANFWKGFDRCFLAYRSSDREHTCYTRLDVTECGGGGGLIYLASSLGKITCLIVSR -IVNVASQASAFNININSPMREIIKSSYPRFKHAVQILGRYEQSLHGENTNYQDLQKFKADDGMEKAAFPH -ANKLNAILIKGATATGEEYAQATKHLLEITRYMKNRTENIEKGSLKSFRNKISQKAHINPTLMCDNQLDK -NGNFIWGERGYHAKRFFSNYFEIIDPKKGYTQYETRIVPNGSRKLAIGKLIVPTNFEVLREQMRGEPVEP -HPITVECVSKSQGDFVYACCCVTTESGEPVSSEIKMPTKHHLVIGNSGDPKYVDLPEIEENKMYIAKEGY -CYINIFLAMLVNVKESQAKEFTKVVRDKLVGELGKWPTLLDVATACYSKSLLPGRCNAELPRMLVDHKTK -IIHVVDSYGSLSTGYHILKTNTVEQLIKFTRHNLESSLKHYRVGGTKWEDAHGGTSNIDNPQWCIKRLIR -GVYRPKQLKEDMLTNPFLPLYALLSPGVILAFYNSGSLEYLMNHYIRADSSVAVLLVVLKSLARKVSASQ -SVLAQLQIIERSLPELVEARANITESDGAAAHACNKFLGMLIHMSEPNDELANGGYTILRDHSITILEKS -YLQILDEAWSELSWSERCAVKYYSSKQAIFSQKDLPVQSGVDLGGRYSESVISSYEWSKQRMKILYSSMC -NKTRNSVSWVGGKVSSSVCKTINYLVPDVFRFINVLVCISLLITIASEANRIVTAQRRLKLDVAETERKK -IEWELAFHHSILTHSANQHPTLDEFIAYISANAPHLSEHIEFEEKSVVHQAKRQSEQELERIIAFIALVL -MMFDAERSDCVTKILNKLKGLVSTVEPTVYHQALNDIEDDLSERNLFVDFELNNDGEMIQQLPAEKTFAS -WWNHQLSRGFTIPHYRTEGKFMTFTRATATEVAGRIAHESDRDILLMGAVGSGKSTGLPYHLSRKGNVLL -LEPTRPLAENVHKQLSQAPFHQNTTLRMRGLTAFGSAPISVMTSGFALNYFANNRARIEEFDFVIFDECH -VHDANAMAMRCLLHECDYSGKIIKVSATPPGREVEFSTQYPVTISTEDVLSFQDFVNAQGSGSNCDVISK -GDSILVYVASYNEVDTLSKLLAERDFKVTKVDGRTMKVGNIEITTSGTPSKKHFIVATNIIENGVTLDID -VVADFGTKVLPYLDTDNRMLSTTKTSINYGERIQRLGRVGRHKPGHALRIGHTEKGLSEVPSCIATEAAL -KCFTYGLPVITNNVSTSILGNVTVKQARTMAVFEITPFYTSQVVRYDGSMHPQVHALLRRFKLRDSEITL -NKLAIPNRGVNAWLTASEYARLGANVEDRRDVKIPFMCRDIPEKLHLEMWDVIVKFKGDAALDVFQVPAQ -VKLHIPCRQMLTPFNEQSPLSIHNREEREAEYFRTVTSNWFLFFSFLNAEHPKCNKTSHDERSYVEYLSA -EGAKSQLLEFKNLNADHSFVTKNDGISRSFMSEYGALEAVHHQSTNDMSKFLKLKGKWNKTLITRDVLVI -CGVLGGGIWMIIQRLQSPKTEPVVHEAKGKRQRQKLKFRNARDNKMGREVYGDDDTIEHFFGDAYTKKGK -SKGRTRGLGHKNRKFINMYGFDPEDFSAVRFVDPLTGATLDENPFTDIALVQQHFGDIRNNLIAEDELEP -QALQVHKTIQAYYTNNKTGKALKVDLTPHMPLKVCDIHATIAGFPEREFELRQTGKATPISMDEVPKANT -ELVSVDHESSSMFRGLRDYNPISNNICHLTNVSDGASNSLYPKGFGPLILTNRHLFERNNGELLIKSRHG -EFVIKNTTQLHLLPIPDRDLLLIRLPKDIPPFPQKLGFRQPEKGERICMVGSNFQTKSITSVISETSTIM -PVDNSQFWKHWISTKDGQCGSPMVSTKDGKILGLHSLANFQNSINYFAAFPNDFAEKYLHTIEAHEWVKH -WKYNTSGISWGSLNIQAAQPAGLFKVSKLISDLDSTAVYAQTQQNRWMYEQLTGNLKAIAHCPSQLVTKH -TVKGKCQMFDLYLKLHDEAREYFQPMLGQYQKSRLNREAYAKDLLKYATPIEAGNIDCGLFEETVEVVIS -DLWGYGFETCNYVTDEVDIFEALNMKSAVGALYKGKKRDYFAEFTPEMKEEILKQSCKRLFLGKMGVWNG -SLKAELRPLEKWRQTRHERSQPHHWTLCSGKVCVDDSTTNSTTIILKLLGALGDKILLWLGPLIGITTRW -LDLLRRRWITVRSSLSPYLINAVLNIRLEFMEEWDVGEVMLRNLYTEIVYTPISTPDGTLVKKFKGNNSG -QPSTVVDNTLMVILAVNYSLKKSGVPGELRDSIIRFFVNGDDLLLSVHPTYEYVLDTMADNFRELGLKYT -FDSRTKEKGDLWFMSHQGHKREGIWIPKLEPERIVSILEWDRSKEPCHRLEAICAAMIESWGYDKLTHEI -RKFYAWVIEQAPFNSLAQEGKAPYIAETALRKLYLDKEPSQEDLTQYLEAIFEDYEDDTEVCVYHQARET -LDAGLTDEQKQAEKERKDREKRRRAGETKAISTQKGKDQHKKKESVTRKSMLELWNFQCTRLKSLASKMR -VPKYEKKLALNLDHLILYTPEQTDLSNTRSTQKQFNTWFEGVMADYELTEHKMQIILNGLMVWCIENGTS -PNINGMWVMMDGDDQVEFPIKPLIDHAKPTFRQIMAHFSDVAEAYIEKRNQDRPYMPRYGLQRNLTDMSL -ARYAFDFYEMTSRTPIRAREAHIQMKAAALRGANNNLFGLDGNVGTTVENTERHTTEDVNRNMHNLLGVK -GL ->AEN25480.2 polyprotein [Lily mottle virus] -MASFMVGSILVDTTATLKESFAQKLLSTPQVLIAQPVRRSFDAARMCARKAMVDYDEKVAKAFSDLEARS -AARVERMGTIRVKVTQSGTLRAQPLPKREQARLKRDERKKRLEHEEFLKGSPTVVAHISIAGGALPSQNT -GEETPVKRPQWHSNNKRVRKPIPRSPTLNAEGLASLMRAMGKIGARNSTKIEIVDKKKVSARFVTRDAGS -YLQVRVAHHDGLRRKRDLKLSAFADQCLQHLSSKTHGSTYHHATQLKAGDSGLVLSARRLFGWHSGSCSQ -IFIVRGNHEGKLYEARRKVTLMMAHKMIHYSGPGDKFWAGFNSQFLRIKPKSLQHTCTSNFSVFECGQVS -ALMCQALYPCGRITCQQCASEYLELTKVELGERLSEGLYRTSAQIREDHQEFAHVAHILTVIADLLSLKN -NNMEAFTEVHKLIGEQTQSPFTHINRLNSILIKGSDMSSNELHECSDCVRELARFQKNRTDNIKRLDISV -FRNKASSKSYFNLDLMCDNQLDKNGNFEWGQRGYHAKRFLSNYFEVVNTQNAYREHTLRKHPRGTRELAI -GKFIVSTNFEVFRKSMEGKRIPHMPVTEACLSQRGERFIYQCCCITHEDGTPLESTFKMPTKNTLVLGNT -GDEKFVDMPTDADEKMYIAREGYCYINIFFAMLINVRESQAKAFTKMVRDRLVPELGTWPTMIDVATACH -TLTVFFPDTLSAELPRILVDHNTKTMHVIDSYGSVSTGYHQLKANTVSQLVLFASDTLKSEMKHYQVGGQ -KFTVEYEAVKLLIKAIYRPKLMRTLLEDEPYLICLAMCSPGVLIALFNSGSLELAINYWIARHKDTAQIF -ALLTVLATKVSVARTLTEQLALLSDHATDVLKIMDRTFATQHSVSLVHTFLMQLEEKRHTDVSLVGSGFA -NLCASTHELMEKNYLAELDASWHALSLWEKCSQTRWSFQPPKQFSHDLHPSASTDLNGRYNTSVQALFTR -NLRNLKKRVCTKYHNMKIKTHQFIGRQVCNSITGSSRFFPDLARWVHILAIFGVMLNIVRTINLYTSEYI -RLKNNELSQIEERRWNKLLHTFDMLEKTEGQKPTLIEFTKHLKQWDPELYAEFHSILQDDVEDSEEVQFQ -AKPDGERNLERIMAIIALTMMVFDADRSDCVYKVLNKLKGLLNTVHQEPVKFQSIDDIQDILEEREMVID -FEISADDHNINKLAGATFEQWWSNQMECNNVLPHYRTEGFFMEFTRANAAHVANEIAHGPHKDLLVRGAV -GSGKSTGLPFYLSKRGRVLMLEPTRPLASNVHKQLAGDPFLVSATLKMRGETTFGSAPITVMTSGYAFHY -YANNPNQLRDFEFVIFDECHVNDSSAMAFRSLLAEYNYDGRIIKVSATPPGREVEFATQHPVEIIPIERL -TFQQFVAVQGTGAVGDVTTKGDNILVYVASYSEVDNLSKGLVEKGHKVTKVDGRTMKVGGVEIITSGSQA -KKHFVVATNIIENGVTLDIDVVVDFGLKVSAEVDIDSRLTRYVKKSISYGERIQRLGRVGRNKSGVAVRI -GATEKGLQAIPVTTATEAAFLCFAYGLPVMTNNVSTNILTNCTVLQARTMMLFEINPFYMCHFVRFDGTM -HPEVHKILTPYKLRDSEIILNKVAIPNKGLMQWPTAKEYAYQGFKMNIPDTVRLPFHALDIPERLHERMW -QIIEAHKGDAGFGRITTASACKIAYTLRTDVASIQRTVHILDKLIENELKKQEYFRNITSASCSSSNFSL -TTITNAIRARHIKDHTVENVSVLQAAKAQILEFKNVTFDLDHVNRMTEYGALECVQFQTLESMAQHLQLK -GHWNKSLLTHDVVICGAVLFGCVWMVGSYFKERCSGVVKRYNESVKFQAKNKRQRQRLRFREARDNKHAY -EVHGDDADIQTYFGAAYTKKGKSTGVTRGMGIKTRKFVNMYNFDPTEYSFARYVDPLTGYTLDEQSITDI -TLVQDHFGRIRRRLMEDGEIEKEAIASNARLEVYFVKNLASKILKIDMTPHNPLRVCGIKETVAGFPERD -MELRQAGQPVMVTAAELPKENPYPEGEIVEFENRSTFHGLRDYNPIATCVCRLEHNSDGHTSSLYGIGYG -SYIITNQHLFIRNNGTINIESHHGTYHIRNSTQLQLYPVEGRDIVIIQLPKDFPPFARRLKFRHPVTTDK -VCLVGTNFQEKTTTSTVSEASLITRKDDSHFFRHWISTKDGQCGLPAVSTKDGCVLGLHSLTSLVNDSNF -FIAFPDDFEKNYLERANELNWVKHWKLNVDKICWGALSLERDKPSNMFKLSKDIQRLDTEPVGLQSREDK -WLFDRLNGNLKAMAQTRNQLVTKHVVKGKCLLFETYLNVNPEAKEYFTPMMGAYQKSRLNKEAYIKDLFK -YSSPIVVGDVDCAAFEAACASVTHLFEEAGFGKCNYVTDEQEIFSALNLNAAVGAMYSGKKRDYFKDYTD -SDKEAILRDSCLRLYQGKMGVWNGSLKAELRAKEKVDLNKTRTFTAAPVDTLLGGKVCVDDFNNRFYSLN -IACPWSVGMTKFYRGWDEMLRKLPEGWVYCDADGSQFDSSLSPYLINAVLNLRLHFMEEWDVGTQMLQNL -YTEIIYTPIATPDGTIIKKFKGNNSGQPSTVVDNTIMVILAIHYSYKNLKITKPLDEFCKYFVNGDDLLL -AVAPEFEYVLDHLANTFQQLGLNYDFSSRTKNREELWFMSHRGIMTDGLYIPKLEPERIVSILEWDRAVE -PVHRLEAICASMIEAWGYPQLLHEIRKFYYWVLEQAPYSELAQLGKAPYLSEVALRALYTGGEASQDELE -RYLAALDLNVEQCNTEIVAFQANETLNAGASSSTQTSRSTRPEAAAIDVAPQQSSEARVRDRDVDAGTVG -TYQIPRLKALATKINVPKVKGRIIVNTGHLVNYNPDQADISNTRSTQKQFETWYDAVKGEYGLNDESMAL -AMNGLMVWCIENGTSPNINGVWLMMDGDQQVEFPLRPILEHAKPTLRQIMAHFSNLAEAYIEKQNLEKPY -MPRYGLQRNLTDFNLARFAFDFYEVTSRTPARAKEAHFQMKTAALRGKQSKLFGLDGKVNTQDEDTERHT -ADDVNKNMHSLLGVSM ->APZ75428.1 polyprotein [Jasmine virus T] -MASIMIGSIAVHVPTSARAGIGASMKALPREFASSGNNKGVTVLDHYLIRTAEEQQAKHRSILDNAMKEI -SPRPVDLQTHYMRFDKRGRAQVVKIPDWKLKRAEKRREEKEREERIFDQLTPYPVTHISIAGGELPRYTP -YTMKWPLNKTPSMKRVKVLPRAVRLGQSELDALLSAVAKICAKMQKSVTVIGKGRARPVHTRYIRRQGAN -FLQVELEHHNGRKKSVDVRIPTAHGHVVTALVHASSWNKKDAWKHVCPGYSGVVLNVKNAKEPTGRCGND -FFIIRGKHEGKFYDARSKVTKSVMLGMVHYSDAAQKFWKGFDETFRKHRPIIHHQCESNFNVEECGQVAA -LICQCIYPCGRITCEKCAMSYTKIQKEDLKCQISDQAKATMDIIGTKHPAFKHMLSFLQAYVNPTVDLEE -SFSGFADIIKTVGDRKEAPFTHVARLSNLLMLGSAASTEERQERVNCLLEIARYLNNRTENMQLGALSHF -RNKISAKAHLNMALMCDNQLEKNANFVWGLRGTHAKRFVQCYEPIDPYGGYEKYRIRRNPNGDRLLATTN -LIMSTNFATLRASMEGVPVPKHELTTACTSKLRGNFVYPCCCVTLDDGQPLESTIRIPTKHHLVIGNSGD -PKFIDLPDPLKQGLYIAKEGYCYINIFLAMYVNINEQDAKDFTKRLRDIVIAQLGMWPSMMDVATACYMM -TVLYPEAGNAELPRILVDHTCKTMHVIDSYGSLSTGYHVLKANTVNQLIQFASNAMESEMKHYSVGGIVD -TTKTDVAGIKLLIRAIYRPAMLREILESEPHLIVMSILSPGVLMAMYNSGTFEEATRRWITKDQSLTNVA -SMLSLLACKIRMASSLTMQSQIIDAHAGNLLESMITGTRPNLSYALAIQTLLKFKERTETDKSLIDVGFA -SFRERSLPITEKIFLQELEDSWRDLSWWEKLLQIRRSQKAHRLISKPLIPEKNADLGGRYDTSLTYSVGR -IKQSVAIFIAQRCSRVKEYVSRVTRLSYAKSLSCINYLVPDILRFVNVAIIVSATLSVLNVIQGTISNYK -QAQYYKQEIEAQERYRKLKQYYYALSKKIGVQPTTDEFIEYVRHVDPKMEEYATELVGSAMVNVSWQAKN -AEQVKLERIVAFISLVLMAFDSERSDCVYKILMKLKNLIGTCEQDVHFQSLDEIQTHLEEKNLTVDFEMD -GVETAESLVADLTFQQWWNNQLEQSRVVTQYRTEGHFMEFTRATAVAVANEIAHNQFNDILLRGAVGSGK -STSLPYHLSKKGQVLIVEPTRPLAENVCKQLRGEPFNVNPTLRMRGLTTFGSTPINVMTSGFALHLFANN -PSQLEQYRFVIFDECHVIDSMAMAFRCLLAEFNYSGKIIKVSATPPGREVEFSTQHKVDLLIEESLGFQQ -FVNGQGQGVNYDVTTKGDNILVYVASYNEVDMLSKLLLEKGHKVTKVDGRTMKLGNVEIETFGSSTKKHF -VVATNIIENGVTLDVDVVVDFGLKVVPELDIDNRMIRYKKVCVSLGERIQRLGRVGRNKPGVALRIGYTE -KGLSSIPAIAATEAAFTCFAYGLPVMTHNVSTSLLSTCTVRQARTMLQFELSPFYMANLVRHDGSMHPEI -YKLVKYYRLRESEVILNKFAIPNKCVPNWLTSTQLAQMGVRIETDEEIRVPFVVKDIPDKLHRDIWDVVV -KFKKDAGFGRISSHSAAKIAYTLQTDIHSLPRTVKIIDGLITDELTKQAHFKAMTNLSCSSSNFSLSSIT -NAIRSKYATDHSAQNIEKLHMVRAQLMEFCNLNIDPSVSEQLRSFESLECVHFQSKNDMAKHLSLQGMWK -KNLITRDVLILLGVVSGSAWMLYELFRARTNEAVHFQGLNKRQREKLKFRRARDAKVGREVYGDDGTIEH -YFGDAYTKKGKSTGTTKGLGRKNRRFVNMYGYDPADYSFVRFVDPLTGHTIETSPHTDVDIIQKEIGDIR -MKRVIDDELSLDKIRSNPGITAYFVKDMSQHALKVDLTPHNPLASCRNVATIAGFPEREGELRQTGHPKQ -VPVSEVPEVTEEDGVEFESKAMFKGVRDYNPIASVVCQLINESDGASETTYGIGFGPLIIANQHLFKRTN -GQLTIKSQHGEFLVRNTNALKFMPLTGRDIVLIKTPKDFPPFPQRIRFRAPKESEKVVMIGTNFQTKSTS -SLVSEASVTLPYDRTHFWKHWISTKLGHCGLPLVSTNDGHIVGIHSLANNDLSKNYFSCFPENFEEEFLR -TPENIDWVGKWKFNKDSVCWGDMRLRDSAPIDLFKVSKLVSDLESDFVHTQSKTHHWLRERLHGNLRAVA -SMENQLVTKHVVRGKCSLFEIYLQTHDEERKFFKPLMGAYGKSKLNREAYIKDLLKYASPITVGEVDCDI -FEQALQSVITHMEQKGFNQCEYITDASTILNSLNKNAAVGAQYKGKKKDYLDSFTTEQQEELVFESCKRL -YLGKMGVWNGSLKAELRPMEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNKFYEHHIKCPWTVGMTKFYC -GWDELLESLPDEWIYCDADGSQFDSSLSPYLINAVLQLRLHFMEDWDVGEQMLRNLYTEIVYTPIATPDG -TIVKKFKGNNSGQPSTVVDNSLMVFLAMIYSLLSSDLNGLPWDSVCKFFINGDDLLLAVHPYFEHLLDTM -QENFAQLGLKYDFSNRTRDKGELWFMSHRGLRFDNKYIPKLEPERVVSILEWDRSKEPEHRLEAICAAMI -ESWGYTRLTHEIRKFYSWVLEQSPYNSLAETGKAPYVAETGKAPYVAETALRNLYLNIEATESELQRYIE -SFNLGLDSEDFDEVHFQSGEEKVVDAGQDGDGKQGDDKGKGLEREIIIPKGEENSLKNRDKDVNAGTMGT -YQVPRLKTITAKMNLPRYQQQLAINLDHLLQYSPKQVDLANTRATKRQFETWYDGVKSDYDVSDEGMQII -LNGLLVWCIENGTSPNINGVWVMMDGDEQVEYPIKPLIEHAKPTFRQIMAHFSNVAEAYIEKRNMTEPYM -PRYGLQRNLTDMSLARYAFDFYEMTSKTPVRAREAHIQMKAAALRNASTRLFGLDGNVGTKEEDTERHTA -DDVNRNMHNLMGVRGL ->AGC26504.1 polyprotein, partial [Narcissus degeneration virus] -NKLAIPNKGLRNWMTGTEYVRLGCNVDDRPDIRIPFVCKGIPEKLHTEVWEAIIKYKSDAGFGRLTSAGA -CKIAYTLQTDVTAIQRTVRIIDTLIAEERVKQEYFKTVTTNTVSSSNFSLQSIANAIRSRFASDHTRENI -GVLEAAKAQLCEFRNLSMDHSLTHLNDTISQNFIRDFGALEAVQHQSTHEVSKCLGIKGRWNTSLITRDV -LVLAGIAGGGIWMILQHFMTHAQDVVHHEAKGKRQRQKLKFRQARDNKTGREVYGDDDTIEHYFGAAYKE -KGKVAGKVRGMGAKQRKFVNMYGFDPEDFSAVRFVDPLTGATIDESPHTDISLVQEHFTAIREELINQDQ -LDVQRVRTAPGIEAYYMNNRTNKALKVDLTPHNPLKACDRVATIAGFPEREFELRQTGAPQAIQMSVVPK -EQKDENVNHESASLFKGLRDYNPIANNICKLTNNSDGHTDSLYGVGYGPMILTNRHLFERNNGDLLIQTR -NGEFRIRNTTQLHLFPIPDRDLILLRLPKDVPKFPQKLIFRQPIGNERICMVGSNFQAKSISSLVSESST -TMQVTGSNFWKHWISTKDGQCGTPIVSTKDGAILGLHSLSNFANSINYFSSFPDGFTKQYLDTPENNEWT -KHWRYNTDSISWGSLNIKAAQPKGMFNTVKLVMDLDETAVYTQMARGKWVLEKLHGNLQAVATVPSQLVT -KHTVKGKCQMFDLYLREHDTERAFFEPLLGSYQKSRPNREAYVKDLLKYASVIEVGLVDCDVFERTIVGV -IAELRSIGMQTCNYITDEDSIFNALNMKSAVGALYSGKKREYFANYTQEMKEQILFESCERLYKGEMGVW -NGSLKAELRPREKVEANKTRTFTAAPIDTLLAGKACVDDFNNQFYDHHLIGPWSVGMTKFYGGWNELLNK -LPDGWVYCDADGSQFDSSLSPYLINAVLEIRASFMEPWHVGERMLSNLYTEIVYTPISTPDGTLVKKFKG -NNSGQPSTVVDNTLMVVIAVRYSLLKAGIPLDEQSNVCKFIVNGDDLLLGLEPAHTHVLDTLHDSFAELG -LKYTFDSRTSNKEDLWFMSHQGIRREGVWIPKLERERIVSILEWDRSKEPEHRLEAICAAMIESWGYDQL -THEIRKFYAWLISQAPYSGLAQEGKAPYIAEAALRKLYLNKDVEKEDLTRYLHAIFEDYDSGEISEVYHQ -SGKQSIDAGLEEERSLSKNKGNQASVSDSGKALVPTLDKDVNVGVQGKFPVPRLRLMAKKMVLPMSGGKP -VVNLEHLVSYTPDQVNLSNTRSTNNQFTSWYEGVRADYGVDDAKMSIILNGLMVWCIENGTSPNINGVWV -MMDGEEQVEFPIKPIVDHAKPTLRQIMSHFSNVAEAYIEKRNHEKPYMPRYGLQRNLTDMSLARFAFDFY -EMTSRTPVRAREAHIQMKAAALRGAKNNLFGLDGNVGTTEENTERHTTEDVNRNLHNLLGVRGM ->AIZ09249.2 polyprotein, partial [Papaya ringspot virus] -MDKLHGNLKGVSSASSNLVTKHVVKGICPLFRSYLECNEEAKTFFSPLMGHYMKSVLSKEAYVKDLLKYS -SDIIVGEVDHDVFEESVAQVVELLNDHECPELEYITDSEVIIQALNMDAAVGALYKGTKRKYFEGSTVEH -RQALVRKSCERLYGGQMGVWNGSLKAELRPAEKVLAKKTRSFTAAPLETFLGAKVCVVDYKGWSCRKYTE -CHETVDLRKFYKGWDEFLRKFPDGWVYCDADGSQFDSSLTPYLLNAVLSIRLWAMEDWDIGAQMLKNLYG -EITYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVLITMYYALRKAGYDAKTQEDMCVFYINGDDLCIA -IHPDHEHVLDSFSSSFAELGLKYDFTQRHRNKQDLWFMSHRGILIDDIYIPKLEPERIVAILEWDKSKLP -EHRLEAITAAMIESWGYKELTHQIRRFYQWVLEQAPFNDLAKQGRAPYVSEVGLRRLYTSKRGTMDELEA -YIDKYFERERGDSPELLVYYESRSTDDHQLTCGSNTHVFHQSKSEAVDAGLNEKLKEKEKQKEKEKDKQK -DKDNDGASDGNDVSTSTKTGERDRDVNAGTSGTFTVPRIKSFTDKMILPRIQGKTVLNLNHLLQYNPKQI -DISNTRATQSQFEKWYEGVRSDYGLNDNEMQVMLNGLMVWCIENGTSPDISGVWVMMDGETQVDYPIKPL -IEHATPSFRQIMAHFSNAAEAYIAKRNATERYMPRYGIKRNLADISLARYAFDFYEVNSKTPDRAREAHM -QMKAAALRNTNRKMFGMDGSVSNKEENTERHTVEDVNRDMHSLLGMRK ->AKC43543.1 polyprotein [Catharanthus mosaic virus] -MATMFFGQFEIPVPKTFTPETCGKIAQLAPTQSISFRHKIYIEQGKMYVQQYTENVNRAFDKIQARHTSD -AIHVIKKKGLMCYRPKTQNELEKEEKERIALEKFEAYFNKGRIIDTIDINHDEEGPQEWQPLEPMKKIFT -CKSMKRKTKKPTVKGDTIFINKLVRATANLVRSKPNLKIEFIGKKSMKAQIGMTAGRRCLQFECLHMKGI -KRRLDISIDKSVYSDLEIFAEKFHKSPLNVDHLTRGSSGTLLLTKHMKGNFISQLPIFIVRGRYSGRLIS -ALAKQSYWTTLAMTHYSIADEFWRGFDVSFLAHRGDKPNHECQRDIPVQEAGELAALLNLSIQQTWKITC -RKCFADVLSNVETMKAQYFINNINRLLPIVRSKGHEYQHIVRVFESIIDMVPQQNTALHEQQEVMKIVGH -RTEAPWSHLMTVNSILIKGGSAKTEEFNRAQQALLEITRWFNRRTEMIQAGSLQSFRNKMTGKTQINLAL -MCDNQLDANGNFLWGKRGYHAKRLFANYFEPIDGSNSYAKHSVRKSKNTTRKLAISNLIVPLDLERARLH -LSGELITPVHQTSEACVTRKGRDFHYTTCCVTTDSGDALLSPILNPTKRHLVVGNTGDSKIVDLPEVESE -SLYMAKEGYCYLNIFLAMLVNVNENDAKDFTKKVRDVIIPMLKEWPTMEDLASACYLLSVFFPETRSAEL -PRILVDHSGKTFHVMDSFGSLSTGYHILKASTVSQLISFAANDITGEMKYYNVGGFHYNPEMHIVHTLIK -SMYNPVLMKEVLTEEPYLLLGALMSPAVLIEMERNKHFDTCIDIWVTKDMSVSATMSILKKLTGKVSRTQ -SLLEQLTLMQMEADKLLHTMCDGFKLSHSYMPVYLMLNWMKQNVEANRELVNDGFVVKFDGVDELIEKNY -RQMLQKAWQEQKFCGKFRYFMLRGRSQISSLFSGDAKTVGDTTQALRNSSSAVVSQTVSLMGSATSKCKQ -IVNNGKQNISNFLTNSIVNIFSRFISVYIKYANTIMVLLVLTQFTKVAKELLVVHKRGKMYDVLLEEQER -EREIDDLYVQFVREHKTIPTHSEFTQLVSVEKPHLLYLITHSKQGKTVEHQSKGENTNNLEKYMAVAALV -ALVFDSARGDAVYRILTKIKTLTSVASQDVYHQSTDDYMNLLLEKEHTIDITLDTNGMHTTKTFDTTFEQ -WWNAQLREQRVVPHYRFGGKFIEFTRETVQVAVNQINTDVDMHEYLIRGNVGSGKSTGLPHTLSKKGHIL -VLEPTRPLAENVCKQLRKEPFFSNPTLRMKGLSAIGSSPITIMTTGYALHFFAHNRMQLAEFKYIMFDEC -HVLDASGMAFFCLLSETQYTGKILKVSATPPGRECDFKTQHPVSVNIEDTLSFEQFVNNQGTSCNSDVIS -KGDNILVYVASYNEVDRLSSLLTHKNYKVTKIDGRTMKLGSVDIQTVGTKDKKHFLVATNIVENGVTLDV -DVVVDFGIKVEPILDMDNRMICYRKVNISFGERVQRLGRVGRFKKGHALRIGSTEKHASEIPSMLATEAA -FLSFAYGLPVMTHSVIVSALDTCTVKQARTMLHFELSPFFMREMVAVDGTMHPEIHRLLVPYKLRDSEIM -LITRSIPCSAVSRWLSAKDYRRLGAMNECEDDVKIPFFVKGCSERFYTNIWETCCKFKKDAGFGSLSSAN -VSKIAYTLQTDILSIERTVKIIDKLIESETIKHATFKHNTSDILCNGSFTLMGIANAIKGKYMVDHSLCN -INTLHAARAQLLEFKSLNVDPNMPELLNTFGVLDLVQHQSEEEVSKALQLKGRWNKSLLSKDIIVMLCVL -GGGAFMIYDSFKTEADRPDVQHEGKSKRTRQKLKFRNARDAKMGREVFGDDGALEYNFGSAYEAKKKKGT -KGTVKGMGAKRHKFYHVYGFDPTDYSTVRFVDPLTGATLDENPYADMSLVQEHFDLIRHQKLMEDEIEAQ -HLYSQPGIIAYYLNNASKAALKVDLTPHNPLLVTKRTNTISGFPERVGELRQTGEAQVVSFEQVPKDTSV -LHEGASMVPGVKDYNPISNAVCKLINESDGHKRTLYGVGYGHFIITNRHLFEHNNGKVIVKSKHGEFLIP -NSTSLMLLPVPDRDILVIKLPKDFPPFPQRIHFSAPEEGMTVTMVGALFQERSQTPLISPTCATFRKDES -HFWKHWISTKDGQCGTPFVEVKTNAIVGLHSLGSCNSKTNYFVGFPHNFVDEFITKENTEAWRKCWRYNP -DQINWGSMNVKRNIPTGLFKVSKLPYDLNLEEVIEQGSTTNWLVPHLTSNLEPVMRLDGQLVTKHNVKGE -CPLFQIYLSTHPEAKSFFQPLMHEYGPSILSKESFIKDFTKYAGPIVVGTVHHEAFEQGFQQLIHKMEQI -GFTKCNYILDTETIMSSLNMKAAVGALYQGKKREYIEQLTSEEKDQLIQGSCFRLFTGRMGIWNGSLKAE -LRPTEKIRACKTRTFTAAPIDTLLGGKVCVDDFNEKFYSFNIRAPWTVGMTKFYRGWDQLVGNLPKGWIY -CDADGSRFDSSLSPFLLNAVLRLRLHFMEEWDIGEEMLSNLYTEIIYTPIAVPAGLIIKKFKGNNSGQPS -TVVDNSLMVCMMMEYSKAKYQPLLMTEDNVRYYCNGDDLMIAVRPDSVDFLNSLQSSFKELGLDYDFSHR -TENIEELWFMSHKAQLHEDLYIPKLEQERIVSILQWDRSTEPAHRLEAICASMIEAWGYAELLHEIRKFY -AWVLEQQPYAALASEGKAPYIAETALRHLYCGETIKMGDIEVYLKAIVKEHNRIFEEDLDDDVYHQSGET -KTVDAGGDQQLGKPKEKEKDVDAGSSGKVTLPKLKKMSGKMRMPTIKGKVILNIDHIIQYEPNQIDISNT -RATKAQFENWYERVKYEYDVDDQQMSILMNGLMVWCIENGTSPNINGMWTMMSDDTQEEYPLKPIIENAK -PTFRQIMAHFSDAAEAYVEMRNSKEPYMPRYGLQRNLTDMSLARYAFDFYEVTSRTPNRAREAHMQMKAA -ALRNTSSRLFGLDGNVSAEKEDTERHTTDDVNRNMHNMLGVRTL ->AEN25474.2 polyprotein, partial [Lily virus A] -LDLMCDNQLDKNGNFVWGLRGYHAKRFLANYFEIVDTNHAYREHLVRKNPNGQRELATGKFIVSTNFEVF -RKNMEGHPVESMPVTQSCISQRGERFIYACCCITNEDGTPMESTFKMPTKNTLALGNTGDEKFVDLPPGT -EERMYIAKEGYCYINIFFAMLINVREQQAKAFTKMVRDRLVPELGTWPTMLDVATACHTLTVFFPDTLSA -ELPRILVDHTTKTMHVIDSYGSVSTGYHQLKANTVSQLILFASDTLKSEMKHYVVGGRPERLDVEFNALK -LLMKGIYRPKLMRTLLEGRPYLMCMAMCSPGILMALHNSGSLELAAKHWIVRKREVSQIFTMLTMLAMKV -SLAKVLADQLTLISESAGDFLKLMDCTFETHHSVNLVQTFLAHLEEKKNADMVLLGSGFANMCATTHELL -EKNYLAELDASWGALSWSEKLSQMWHLRKSRASTTIVLTPVSGADLKGRYDVSVKALSTRTFKSARKSVC -NYIHSVKNRTYQFIGKQVCNSLTRTTRLFPELAKYVNILMAISVILKTSTMINAYSSEFVRLKHNELVCA -EDARWNKLLHIYDMLERTQGAKPTYDEFIEYLSKWEPALLEEFREVLDVSPRAAETVEFQAKPSGERNLE -RIMAFVTLIMMVVDAERSDCVYKVLNKLKSLIGTIHQEGVKFQSIDDIKDTLSEKNMTIDFELSGDDPTI -DKMHGSTFEQWWSNQLERNNVIPHYRTEGFFMEFTRQNAASVATEIAHNAHHDILVRGAVGSGKSTGLPF -YLGKKGRVLMLEPTKPLAANVHKQLAGEPFCMKSITVMTSGYAFHYFANNPHQLKDHEFVLFDECHVNDS -SAMAFRCLLAEHEYKGKVIKVSATPPGREVQFPVEIVPVERLTFQQFVSIQGTGAVGDATSRGDNILVYV -SSYSEVDLLSKGLIEKGHKVTKVDGRTMKVGSSEIETVGTAAKKHFIVATNIIENGVTLDVDVVVDFGLK -VVAELDVDLRLTRYTKQSISFGERIQRLGRVGRHKPGVAVRIGHTEKGLQSIPVSVATEAAFLSFAYGLP -VMTHNVSTNILTNCTVLQARTMMLFELSPFYTVHFVRYDGSIHPAVHQLLAPYKLRDSEVVLNKVAIPNR -GVNQWATAKEYAFQGFRMGIDDDVRLPFHANDIPERLHECMWRAVQKHKGDAGFGRISTTSACKIAYTLQ -TDVASIQRTICILDRLIENELRKQEYYKNITSSSCTSSSFSLLTITNAIRARYMKDHTIENISVLQAAKA -QILEFRNVEYNLENIERMTEYGALECVNFQSLEDMSRHLRLKGHWNKSVLTHDVMICGAVLVGGFWMLGS -HYASKCSEVVRFQAKNKRQRQRLRFREARDNKHAYEIHGEDADLQHYFGAAYTAKGKKKGNTVGMGAKTR -KFINMYNFDPTEYSFARYVDPLTGFTLDENSITNVDLVQDHFGKIRRKLMEDGELEKEAVASKARLEVYF -VKNLASQILRIDMTPHNPLRVCDRRETVAGFPEREWELRQSGAPVMMAPNLLPASNPYEEEVDFENRSTF -HGLRDYNPIATCVCRLENNSDGYTSSIHGLGYGSYIITNQHLFNRNNGTLTIESHHGVFHIPNTTQLKLF -PIPGRDIILVQLPKDFPPFAKRLKFREPTATEKVCLVGTLFQDKTTTSTVSEASIITRKDGSHFYRHWIS -TKDGQCGLPAVSTKDGCVLGIHSLTSLVNDSNFFISFPEDFEKEYLNKASELEWVKHWKLNVDKICWGSL -SLESNKPSNLFKLSKDIQSLDTEIVGLQSREDKWLFDKLQGNLKAVARTRNQLVTKHVVKGKCMLFETYL -NTNPQARDYFQPMMGYYQKSRLNKEAYIKDLFKYASPIVVGEVNCEHFEEAYEDVVSLFERANFGTCNYI -TDETEIFAALNMKAAVGALYSGKKREYFANYTDKDKENIVRDSCQRLFLAQMGVWNGSLKAELRAKEKVD -LNKTRTFTAAPVDTLLGGKVCVDDFNNRFYSLNIQCPWSVGMTKFYKGWDEMLRKLPDGWVYCDADGSQF -DSSLSPYLINSVLNLRLHFMESWDLGEQMLKNLYTEIIYTPIATPDGTIIKKFKGNNSGQPSTVVDNTIM -VIMAIHYSYKNLKITTPLDDFCKYFVNGDDLLLAVRPDFEYILDHLSTTFKQLGLNYDFTSRTHDRSELW -FMSHQGILQDGLYIPKLEPERIVSILEWDRALEPVHRLEAICASMIEAWGNQPLLHEIRKFYSWVLEQAP -YSALAVEGKAPYLSEMALRALYTGRDTTQGELEKYLSQLELLEELEGPEEVIFQANETLNAGATSTSRTQ -PATQAGAGSSQLVTRDRDVDAGTVGTFQVPRIQKLAARLAVPKISGRILINLDHLINYNPEQVDISNTRA -SQHQFASWYEGVKLDYGLEDAGMAIILNGFMVWCIENGTSPNINGMWVIMDGADQVEYPLRPMIEHAKPT -LRQIMAHFSPLAEAYIEKQNLERPYMPRYGLQRNLTDFGLARYAFDFYEMNSKTPQRAREAHIQMKAAAL -RGARTKLFGLDGKVTTQDEDTERHTTDDVNAKMHSLLGVNM ->CAJ43126.1 polyprotein [Plum pox virus strain El Amar] -MSTIVFGSFTCHLDAAIHQDNADRLAKAWTRPENRQVSNVHLLCRRAAKSLINTYESATASAWKGLEEKL -QPMFAKREFSKTITKRKGLRCFKASSEQIIERKLKQQYKEERERFQFLNGPDTVIERITQCDDAPNVWVP -FPHILEKPTFTTPSMKKRVVFTKVRMSEASLQLFMRKVAANAKANGQKVEIVGRKRLVGNFSTKSRLTYF -RTHVRHLNGTKPRYDIVLDEATKKIVQLFADTSGFRHMHKRGEITPGMSGFVLNPQNISDPMQVFDTDLF -IVRGKHNSILVDSRCPVSKEQSNEIVHYSDPGKQFWEGFTNSFTQCKLRETDHQCTSDLDVKECGYVAAL -VCQAIIPCGKITCLQCAQKYSYMSQQEIRDRFSIVIEQHEETVMDNYPQFSHVLAFLKRYRELMRVENQN -YEAFKDITHMIGERKEAPFSHLNKLNELIIKGGVTTTQDYIEASNHLRELARYQKNRTENIQSGSIKAFR -NKISSKAHVNMQLMCDNQLDTNGNFVWGQREYHAKRFFRNYFDIIDVSEGYRRHIVRENPRGMRKLAIGN -LVMSTNLAALRKQLLGEECTHFKVSKECTSKRGENFVYQCCCVTHEDGTPLESEIISPTKNHLVVGNSGD -SKYVDLPTAKGGEMFIAKAGYCYINIFLAMLINISEAEAKSFTKTVRDTLVPKLGTWPSMMDLATACHFL -AVLYPETRNAELPRILVDHEAKIFHVVDSFGSLSTGMHILKANTVNQLISFASDTLDSSMKSYLVGGLEV -DRCDEYKNVRLLIRSIYKPALMEKVLIEEPYLLIMSILSPGVLMALFNSGSLEKATQYWITRSHSLAAIT -SMLSALAAKVSLAKTLNAQMNVIDEHAAVLCDSVFDGTKPYASYMMAVKTLERMKVRTESDHTLHDLGFS -ILRQATPHLVEKSYLQELELAWKELSWSEKFSAMLESQRWRKHIPKPFIPTDAADLGGRYDISARSLLGS -QYKRLKGEICRRKDSVVRYTHQSMGKLFCKAIGLSTNFLPNTFKMIDMLIIFSLLLTIGATCKAMVNEHQ -QLKQAAAIREDNKKFKRLQVLYTRLVEKLNDTPTADEFLEYVEGENPDLSKYAADLIGEGQVVVHQSKRE -SQANLERVVAFVALVMMLFDSERSDGVYKILTKLKGIIGSIDQPVHHQSLDDIEDILDEKKLTVDFVLQS -NEVAPTVPFDSTFEKWWTNQLENGNVIPHYRTEGHFLEFTRENAAHIANEVMHGPHMDILIRGAVGSGKS -TGLPFHLSKKGHVLLIEPTRPLAENVCKQLRGQPFNVSPTLRMRGLSTFGSTPITVMTSGYALHFLANNP -TYLDNYKCIIFDECHVHDASAMAFRSLLSEYSYPGKILKVSATPPGHEVDFKTQKEVKIIVEESLSFQQF -VSNLGSGCNSDILKHGVNILVYVASYNEVDTLGKLLTDRSFKVSKVDGRTMKVGSVEIPTSGTQAKPHFV -VATNIIENGVTLDIDVVVDFGLKVVPVLDVDNRLVRYTKKSISYGERIQRLGRVGRNKPGAALRIGFTEK -GLTQIPPMIATEAAFLCFTYGLPVMTNGVSTSLLAMCTVKQARTMQQFELSPFYTVALVRFDGTMHQEIF -RLLKSYRLRDSEVILNKLAIPNANVCGWMSARDYKRQGCNLDLDDNIRVPFYVKDLPDTLHEKIWQTVEA -HKADAGFGRICSSSACKIAYTLQTDIYSIPRIVKILDALLEQERTKQAHFRSMTSQSCSSSNFSLSSITS -AIRSKYAKDHTEENIGVLQMAKAQLLEFKNLNIDPSYPELVRNFGALECVHHQTKEGVSKALQLKGHWNK -QLITRDATLMLGVLGGGAWMIFCYLRDSFKEGVVHQGFNRRQRQKLKFRQARDNRMAREVYGDDSTMEDY -FGSAYSKKGKSKGRTRGMGTKTRKFVNMYGYDPTDYNFVRFVDPLTGHTLDENPLMDINLVQEHFSQVRN -DYLGDDKITMQHIMSNPGIVAYYIKDATQKALKVDLTPHNPLRVCDKTATIAGFPEREFELRQTGQPVLV -EPNAIPQINEEGDAEVGHESKSLFRGLRDYNPIASSICHLTNASGTRQSEIYGLGFGGLIVTNQHLFKRN -DGELTIRSHHGEFVVKDTKTLKLLPCKGRDIIIIRLPKDFPPFPRRLQFRTPTAEDRVCLIGSNFQTKSV -SSTMSETSATYPVDNSHFWKHWISTKDGHCGLPIVSTRDGSILGLHSLANSTNTQNFYAAFPDNFETTYL -ANQDNDNWIKQWRYNPDEVCWGSLQLKRDVPQSPFTICKLLTDLDGEFVYNQAKTTHWLRDKLEGNLKAV -GACPGQLVTKHVVKGKCTLFETYLLTHPEEREFFQPLMGAYQKSALNKDAYVKDLMKYSKSIVVGAVDCE -QFERAVDVVISMLISKGFSECSYVTDPEEIFSALNMKAAVGALYSGKKRDYFKDTSELEKEEFVRASCKR -LFMGKKGVWNGSLKAELRPKEKVEANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSLNLHCPWSVGMTKFR -GGWDKLLRALPDGWIYCDADGSQFDSSLSPYLINAVLNIRLAFMEEWDIGEQMLSNLYTEIVYTPIATPD -GTIVKKFKGNNSGQPSTVVDNTLMVILAMTYSLLKLGYHPDTHECICRYFVNGDDLVLAVHPAYESIYDE -LQHHFSQLGLNYTFTTKTENKEDLWFMSHKGIMCEGMYIPKLEPERIVSILEWDRSNEPIHRLEAICASM -VEAWGYKELLREIRKFYSWVLEQAPYNALSKDGKAPYIAETALKKLYTDTEASETEIERYLEAFYSDLTD -EDESNVVVHQADEKEDDEEEVDAGRPLVTTTQQPIVTTTTQQTPITSATLQATQAMFNPIFTSATTEPAT -RTVPHTTTTTPPSFGVTGNEDTAPNASNALVQTGRDRDVDAGSIGTFTVPRLKAMTSKLSLPKVKGKAIM -NLNHLAFYSPAQVDLSNTRAPQSCFQTWYEGVRRDYDVTDDEMSIILNGLMVWCIENGTSPNINGMWVMM -DGETQVEYPIKPLLDHAKPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGIQRNLTDYSLARYAFDFYEM -TSTTPVRAREAHIQMKAAALRNAQNRLFGLDGNVGTQEEDTERHTAGDVNRNMHNLLGMRGV ->CDO67686.1 polyprotein [Bean yellow mosaic virus] -MTTINIGTIPITIKQNADALMGEGTKKIFPSVNVSTNPFADLEMKCAKRLERMGELCFSRKGRYITMVPK -PEHIKIREKQQREEELNFQSSEHVLSSLSTGSTLKQYSTRSDGMQVSFKTQHYRRTIKKPRTQVKKTELK -GRHKIQHVTRELLKIIKKKDLVLEVADRKNRANFATFKKYGKEYGMHIVLNHMVRKRRRVDVTLNKLMTK -IATHCAIPFECLETLNLREGHSGLVLQTETVPNIHYNKSQITIVRGTVNAGQVPVLIDARTELPRKAMSI -IREFSAGDLFWKGYNQTFIDNRPTNLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVENFLNQNN -KERFDNASVFIDQAIQLLEKGFPDFKHSKEILLMFKERLQMENPAMDQCMEVAKATAALPEAPFSHIKEI -NNVLLKYGSLNNEEVGRASGHLLEVARYIRNRTDSIQRNDLSKFRNKISSKTHINLDLMCDNQLDKNANF -VWGQRAYHAKRFLSNYFNEINPSEGYDKFVFRKLPNGARELAIGRLIMPTNFEAFREQMKGKMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGVAVLSDFKMPTKYHLVLGGNDLAKYIELPSDSTGNMYIAKDGYCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACWQLTVWFPDTLSAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKFYRVGGDQNFGNRIRIDTKFLLKSIYKPDLLERI -IEHEPFLLVLAMQSPAVLLALFNSASLEKAVQYWLHKDMQVSHIMTLLAVLASNVSTSKLLTTQFEIIEA -SAPQILSEMDKVYKPMHSINSANTFLMNMSESRETDKTIDELGFYSFKKSSRILMEKTLMADLEEQWQGL -GLLERLSLIKRSWRVRAKYSSFAIQREEPGIRDKFTTSLRLSGAQLKQQLLAQKDQAVHFVERRIEGTKK -FVANQSISLVKMCLPRLADIVNVLSVITLLNAILAFMLDHIKRFNEARRIAQEKKEKQHLRELNTLYNKY -WDNERPTYLEFKSDVIEKLPHTLATFEKYYFEDDKYTFQAKPNDMVALEKIVAVTALILMIFDAERSDCV -YKVLNKLKGILSTTTQDAYRFQSLDTSKTLLEEKKMTIDFEINEGEVKAFSGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEIAHNDAQDILVRGAVGSGKSTGLPFYLSNKGKVLMIESTRPLAENV -FKQLKSEPFYASPTLRMRGTTSYGASPITIMTSGYALHYYANNPAMMKEYKFVIIDECHVHDANAIAFVS -LLKEYSFDGKLIKVSATPPGREVEFTTQYPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLDRGHIVTKVDGRTMKNGKTEIESKGSRSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLMRYTKQNVSYGERIQRLGRVGRHKAGKALRIGVTERGLVKPPSVITTEAAFYCFAYGLPVMA -EGVTPSLLSKCTVQQARSMMSFELPIMYTVNLVRFDGTMHPSVHNLLKPYKLRDSNVVLNKMAIPHGNVR -NWPTVRDFKYVGVRIDAPEDTRVPFHARDIPDKLHKEIFEVCCKYKGDAGFSKLNVVNACKIAYTLQTDP -TSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLSNIINAIRARSTSDYTQENLSVLHSARAQLL -EFKNINSDFSNLSTLSEFGALECLQFESLQGVSKHLQLKGHWNKPVLFQDFLIAAGVLGGGCWMLYQYFK -QETGKEFVFQGKNKRTKQKLRFRDARDMKNRVEVYADEGTIIENFGSKYTKKGKVKGTTVGMGTKTRKFT -NMYGFDPTEYSFARYLDPITGETLDEQPITNLNLISEHFQEMRRKYIENDVMEAQHFTSNPRIEAYFVKD -AGQKVLKVDLTPHKPLLYSDKYGNIMGYPEREGELRQTGTAEFVDPKDLPESKETADFDFESLSKIGGLR -DYNPIASNVCLLENESAEHCDEIFGIGYGNVIITNQHLFRHNNGELTIKSKHGTFKCKNTCALKLLPIDG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFFKHWISTVAG -QCGNPMVSTKDGFIVGIHSLTAISGDLNVFTSIPQNFEEEILKQMNKKNWCCGWKLNTAQIGWDGIKIVD -DQPKDPFPVSKMAGLLNDLQLSFQSAKNAKWLLERTHGNIKAVAQAPSALVTKHVVKGKCSLFEVYLTTD -EEAEKFFRPLMGAYQKSRLNKEAYVKDLMKYATPIEIGLVDTRCFERGFEKVQNMLELKGFSKCNYVTYG -PDILSALNMKAAMGALYSGKKKDHFSEISDDKFDDILQASCERLYNGRMGVWNGSLKAELRPQEKVLAXX -XXXXXXXXXXXXXXXXXXXDDFNNKFYSLHLKIPSTVGITKFYGGWDKLLESLPNGWVYCDADGSQFDSS -LTPYLLNAVLEMRLRFMEDWDLGEQMLKNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVIM -AVYYAAEKLGIKGSLEDTLVFFANGDDLLIAIKPECESYLDRFEGLFSELGLKYDFSSRTKEKGDLWFMS -HRGIQIDGLWIPKLEEERIVSILEWDRAIQPEHRLEAICAAMIEAWGYPTLLSHIRKFYLWVLGQAPYSQ -LSAEGKAPYISEVALKHLYTEEKVTPAELERYNIALVDCFESETDEVLVCRFQSGQEKLNAGENKKDKER -KNEENPDKNSEGQSSRQIVPDRDVNAGTVGTFSVPRLKKIAGKLNIPKIGGKIVLNLDHLLEYNPPQDGI -SNVIATQAQFEAWYNGVKQAYEVEDSQMGIILNGLMVWCIENGTSGDLQGEWTMMDGEEQVTYPLKPILD -NAKPTFRQIMSHFSEVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYKLTSRTPVRAREAHMQM -KAAAVRGKSTRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI ->AJD79428.1 polyprotein [Sorghum mosaic virus] -MAGAWNTVTYKWRPNLDNARDVRRVMEHFAAKHQVYDAKRAAEHNSRILRRTFVQETTKILKEEVSYKPQ -VWVEKQDNNPTIHLHYVRFTNKERKVLPEITSGSVAKLTRQILELGKTTKLEVELIGKKRCRSTKLAIKR -RRNKEYLHCETRHEEGKFKRVDVNLERHWFPLVKKIVKCYGHISPKMFRNLSRGDSGLTFIQNGELFIIR -GKRNGMLLNSIDNETRINEITHFSDAQANDFWRGYTDAYVENRSISTTHTEHVPTINLEKCGKRMALLEI -LFHSTFKITCKHCNNDDLELSDDEFGERLYKNLVRIEEKQKEYLAEDQKLKRMISFLKDRCNPKFEHLPL -LWQVAETIGHYTDNQAKQILEVNEALIKVNTLSVEDAVKASTSLLEISRWYKNRKESSKEDTLSTFRNKI -SPKSTINTALTCDNQLDTNGNFLWGKREYHAKRFFTNYFEAVDPKDTYEKHVTRFNPNGQRKLSIGKLVI -PLDFQKIRESFIGVQVQKQAISRACLSKIENNYVYPCCCVTTEFGQPVYSEIIPPTKGHITIGNSTDPKI -VDLPNSDPPMMYIAKDGYCYLNIFLAAMIDVNEDSAKDYTKFLRDELIERLGKWPKLKDVATACYALSVM -FPEIKNAELPQILVDHEHKTMHVIDSYGSLSVGFHILKANTIGQLIKMQYESMDSEMREYVVGGTLTHNT -FSSLMKLLIKNMFKPHEMKKIIEEEPFLVMMAVTSPTVLVAMYNNCYLEQAMSYWIVKNQGVAAIFAQLE -ALAKETSQAELIVQQMSILEKASTQLRFAVSGISHVDPAKRLLWSHLEAMTTRSEMNRELREEGYALYDE -RLYALMEKSYVDLLNQAWADLPLHSKFSSIWRVYRVKKYYKPCLILKRSVDLGAMYNISATHQISNLVQK -SRERANSISTKLHHSLCNKIQNLRRRAINTIYWFVPDFVRLVHIFIVLGLLSTVANAVILTMQDYKKLQK -QVREEEYEREVNEVRSIHAKLMKIHDDDLTSEQFITYIRENHPRLEEATLDLTGTGVIHEAKSNFETNLE -QAMAVGTLLTMVLDPVKSDAVFKVLNKIKTCINTYEQNATFPTVNFSSLLGTQVTHQSIELDDPLTLNTD -KQLTIDFDTTQDLPADTFSNDVTFEQWWFNQLENNRTVPHYRIGGEFVEFTRQNAATVSIGIAHNRIEKE -FLLRGAVGSGKSTGLPYHLSQRGKVLLLEPTRPLAENVCRQLQGPPFNVSPTLQMRGLSSFGSTPITIMT -SGFALHMYANNPDKISNYDYIIFDECHIMEAPAMAFYCLLKEYNFDGKIIKVSATPPGRECEFSTQYPVE -IHVCENLTQNQFVLELGSGSKADATKYGNNILVYVASYNDVDSLARALTEKHYHVIKVDGRTMKQNTTGI -HTNGTDAKKCFVVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAIMYRKVNISYGERIQRLGRVGRTK -PGTVIRSGVTMKGLQEIPAMIATEAAFLCFAYGLKVITHNVSTTHLAKCTVKQARTMMHFELSPFIMSEL -VKFDGSMHPQIHEKLKKYKLRDSVIMLRTNAVPYSNVHNWLTVKDYNKIGCDLELGEYVKIPYFVRGVSE -KLYSEIYDIVLKYGSTNCYGRLSSACAGKVAYTLRTDPYSLPRTIAIINRLICEEHAKREHYNSMISNPS -SSHAFSLSGIVNMLASRYMKDHSKENIEKLTRVKDQLMEFQCSGSDFKNPEDLMEFGALTTVIHQGLDAT -ANCLQLEGRWNQPLIQRDLMISAGVFVGGALMIWCLFRNWSKTNVCHQGKNKRSRQKLRFKQARDNKTAY -DISGSQETIGENFGTAYTKKGKNKGTKVGLGVKQHKFHMMYGFDPQDYNLIRFVDPLTGATLDEQIHADI -KLVQEHFAEIREEAINDDRLERQHLYSNPGLRAFFIQHGSSNALRVDMTPHEPLRVVTNNNIAGFPEYEG -TLRQTGRPIVVSINQVPEPNEIGVEHEAKSMMVGLSDYTPISNQLCIIENFSNDVRQCMYAIGYGSYLIT -PAHLFKYNNGEITIRSTRGLYKMRNSVQVKIHPIEGRDMTIIQLPKDFPPFPQKLKFEKPDRDHRVCLVG -VNFQQNFSSCVVSESSVIAPKGNCTFWKHWISTTDGQCGLPLVDVISKSIVGIHSLAATNSKTNFFVTIP -EKFEEYLNNLVVLNKWEMGWHYNPNLIAWNGLNLVNSAPSGKFKTAKLVEDLMCEVTEQGMTHETWLTND -IRDNLQVVAKCPGQLVTKHVVKGQCPHFSLYLSTHDEANSFFKPLLGKYDKSRLNKAAFIKDLTKYAKPT -YIGEVNPQIFQKAVDKVKETLWAVGMQTCNYITDEEEIFKSLNMNAAVGALYTGKKKDYFMDFSDADKEE -ILKQSCERLYEGKLGIWNGSLKAEIRPIEKTEANKTRTFTAAPLETLLGGKVCVDDFNNQFYSHHLEGPW -TVGITKFYGGWNKLLNKLPEGWVYCDADGSQFDSSLTPYLINAVLDIRMHFMEDWSIGERMLRNLYTEIV -YTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVIIAFNYTMLSCGIEADMIDEVCKMYANGDDLLLAIRP -DYEHLLDNFSKHFSDLGLNFDFTSRTRDRTELWFMSTRGIKIDNMYIPKLEQERIVAILEWDRSLLPQYR -LEAICAAMVEAWGYPQLLHEIRKFYAWILEMQPFATLAKEGLAPYIAETALRNLYTGEGIKEGELDVYYT -QFLKDLPEYIEDELIDVRHQAGSGAVDAGAAATDATAQAQRDTAAKAQRDADAKKKADDEAAEKQRQDAA -AKKKADDDAKAKADADAKAKADADAKKKADNEAASKALNQKDKDVDVGTSGTVAVPKLKAMSKKMKLPQA -KGKNILHLDFLLGYKPQQQDISNTRATRDEFDRWYDALQKEYGLDDTQMTVVASGLMVWVIENGCSPNIN -GVWTMMDGDEQRKFPLKPVIEYASPTFRQIMHHFSDAAEAYIEYRNSTERYMPRYGLQRNLTDYNLARYA -FDFYEITSRTPARAREAHMQMKAAAVRGSNTRMFGLDGNVGESQENTERHTAGDVSRNMHSLLGVQQHH ->AGN92430.1 polyprotein [Chilli veinal mottle virus] -MAVQVTFSCFQNNSNFNDEACRARKLNISFGTFSPNKIDWNLKADELISDYEAKQASFELACDTICKDRS -NMRIVRRKGVLQFAPRTKAQLKMDRKRTRREEEERKFLSADDTIISKIVFPPEAVRVEAPVEPVRFPPKV -VRKVRKSLQPTVVRLGMESFSLFVKSVIDICDGKQMPLELIASKRGKIRMNIQRPTSSHRCAQVETMHHQ -SKFRNTDFKCPVGTENLFGLIAQHAWKGQTISEGKFSYGSSGLFIPHGKLYGRVLAGDFDYFIIRGRYGS -LLLDACTYLPWNIIPKVNHYSAGELFWKGFDREFKVHRTTPIIHAGMNTMNDEECGAVAAIMCQSLVPCC -RITCTVCANANIDQGSSGMQERVVHSLEKGIEELESKYSSFPHAIQLLKSHLASLKKPNPNHEATGKVQF -LIGDRKEQPFSYILEINETLIRGGRATSEEFSRASDCLLELARFHKNRVESLKKGSLHLFRNKVTSKSHI -NPSLMCDNQLDANGNFVWGKRGYHAKRFFANYFELIQPTDGYDKYIIRKFPNGTRKLAIGNLILSTNLDV -LRKQLEGESIEILPLTQQCVSKRHESFIHPCCCVTYDDGSPVLSEFKAPTKNHLVLGNTGDSKYLDLPTE -ISESLYIAKEGYCYVNIFLAMLVDVDEKDAKDFTKWVRDIIVQQLGQWPTMTDVALACYQLSVLFPSTRS -AELPRILVDHKTKTMHVIDSFGSLTTGYHILKANTTSQLILFASDTLESEMKMYRVGGEGIESMLGFKTR -LMRSVYRPSVFKRLMMDEPLTLTLALASPTLLNEFYTNGSLYRAMELVSHSQMPVRVAVTKILELAKKVN -KAESIYEQASLISNHVSEMHEIIDQMPCRSESICHVLDFLLTAQLDMECDGELSSIGFKTLKHKSLHIME -KIYKEDLRAQWCELSWLERLHFRFQMLRSRRQYILDSQEIISETLREASHYSTKFVQEKIALVPAPLRYA -SGKVGCGLAKIRRVVWNKIYGCAVYSFRDAFRFIHTLAILSILLTVYTNIVDIKNKHKKMNLLHIEEQEM -KIQNKINKYYNDLTLLHNKAPSEVEFIQYVKERDPSALTYWCTQEEPVGHQASKRPSEAKLEQIVAFIAL -MMMVFDTDRSDCVYKVLNKLRNVMGVVDNEAVNHQSLDTILENFDEKNEMIEFEITAPDAKSLAYKSSTF -QTWWDNQLTLNNVISHYRTEGHFVEFTRETSAQVASDIANSDMRDFLIRGAVGSGKSTGLPSALCKRGRV -LLLEPTRPLAENVHAQLSASPFHLNPTLMMRNKSVFGSTPITIMTSGYALHYLANNAQRLKEFSFILFDE -CHVLDASAMAFRCLLADREFEGKILKVSATPPGRETEFSTQYPVELKTEEHLSFKQFVDAQGTGANADVI -DIADNILVYVSSYNEVDQLSKLLVDRKFKVTKVDGRTMKSGATEIKTVGSKHRKHFIVATNIIENGVTLD -IEAVVDFGLKVSAAVDTDTRMIRYLKSAINYGERIQRLGRVGRTKPGVALRIGYTNKGIEAIPTMIANEA -AFLCFIYGLPVMTAQVSTSLISNCTVQQARTMALFELPFYFIQDYVAADGSMHPAVHSLLKKFKLRESEI -LLNRLSVPHSAVSRWMSVREYNQCTKQLDMDPDIKLSFYVKDVPEELYEKLWHCVQENKGDAGFKKLRTH -NAAKIAHKLRTDDMAIQRTVLLIDRLIANEMQKKEHFDSLVNANTSSLSFTLQSVSNLIRSRYAKDYSVQ -NLSVLHAARAQLVEFYNLHNEEIHEGVPKLYAMRDHIIDCGALETVMHQSIDDISKCLQLKGTWNGNLIT -RDVLIAAGVACGGAWMIYQYFIDSEQVNHQAQKKNKRQNQKLKFRDAHDKKVGRIIVDDDSGAVEHFFGS -AYTKKGKSKGKTHGMGKKSRRFVNMYGFDPTEYSFIRFVDPVTGEMLDESVMTDIMLVQEHFNDLRFEYL -RDDKIETAKLYSNPGIQAYFVKDKVSPVLKVDLTGHVPLKVCDNSSTIAGFPDREGEFRQTGQATKIDYD -KLPTGEGVEHEARSLNRGLRDHNQVSKLICKLENDSDDCVTSIHGLGFGSIIITNRHLLKRNNGILRVKT -AHGDFKVANTKEMKVFPVEKHDILLIRLPKDFPPFPVKIKFREPKVSDSICLVGTNFQEKFLSSLISADS -TTSPVSGSKFWRHWIDTKDGHCGLPLVARDDGAIVGFHSLTSINTEQNYFAAVPEAFMELIAEVETLEWR -KSWVYNPNEIGWGSLKLKSDQPTGMFKINKLIEDIQSALVCEQASEKWLYAQLQGNLKAVAKSESQLVTK -HIVKGPCPLFHLYLSTHPEAKQFFQPLMGAYGKSRLNRIAYAKDILKYSTEIEVGKVDTCAFELAVDDVV -ADLHAVKFETCNYVTDEEEIFQSLNMKAAVGALYKGKKREYFETYTQQDKERILYESCLRLYTGNLGVWN -GSIKAELRPIEKVRANKTRTFTAAPLDTLLAGKVCVDDFNNQFYSKHTLAPWSVGISKFSGGWDKLLRKL -PDGWVYCSADGSRFDSSLTPYLINAVTSIRLKFMEPWELGEQMLKNLYAEIIYTPILTADGTIVKKFKGN -NSGQPSTVVDNTLMVLMAMRYSLRRLGINYKDQNERCVFFANGDDLIVSVPPSDEWILDSLQEPFSELGL -SYDFNERTKERSELWFMSHRGILIDEQYIPKLEPERIVSILEWDRAEQPEHRLEAICASMIEAWGYKELL -REIRLFYKWVIEQAPYSQLVAEGKAPYISETALKCLYTSKHGEDDIGIYLKALIEGSKQEELDFSDSEVI -HQAGESVDAGRVKGESTSGKQTDQQALERKNKTEGQTQAQSRPSEMEVPQVRDRDVNVGTTGTFAVPRLK -GISSKLTIPKVKTKAVVNLEHLLDYAPEQIHISNTRALQSQFASWYEGVKSDYDVTDDQMQIILNGLMVW -CIENGTSPNINGYWVMMDGDEQVEYPIKPLIDHAKPSFRQIMAHFSNLAEAYIEKRNSEKPYMPRYGLQR -NLTDMSLARYAFDFYEMTSKTPVRAREAHIQMKAAALRGASNRMFGLDGRVGTQEEDTERHTAEDVNRNM -HNLLGVRGL ->BAE96765.1 polyprotein [Onion yellow dwarf virus] -MMNARGINGNAIKLTLAQRKRACYCSEDDAVHYHCTKCNFAFESLRMVRPVNHDCDGPMSDDEYDFPRPT -ATNKTLLDYFSDDAFRHITNGDAKPRDGITDDINIVEDPKPHCNVVEVTEMKLGELKGKEENKMKAEDTK -NLEQPKSVDPVKSKHDVVHKDQQHIKFGSFEPVKLEPVQRTIHNQCQFVKFGSFEPIKVEQNVPMLNNPI -VNANIKKPIVEVVETKKNARFVKPINSTRVYRAIAQPKCTPSPTVERVASPNKQKKVWVKKETQKPPASV -VDMEKSVQMTAVVKPIYKKPLYKRKNMRHAKALPESVTITLDSLCDELLSIVRERKLSLTIVGKNKHEFS -SVELNGKMYYKVVTNHENGIINRLDMNNNEEAISLIKFFQARNPDDLIDEFDIRKGHSGLIINPDNIIGR -RPITYRDDVMVVRGRLYGRIVDSLLRVHKRKVCDIEHYSSSNEIASEIFKGFERTFISIRDPVQHICTKN -ISLQECGEMCGILTQMVFPMWKITCGQCASLIEDRNQEQILNDASRAKVVEMYEQMTSTGKFKHVQTVIN -SLKTFEEATQEAVSIFGEIDALSFNKATSQLAQINAVAHSLIKGQVMSSTEQELALLNLKALTLWYKKRL -ESQNVGDLSIFRNKISAKTHINLALMCDNQLDVNGIFQWGERGYHAKRFFEKYFMRIDNGAQYEQFSVRK -HIRGVRELAIRNLIVSTDIDKMVQSMKGSPATDIEIGEHCVSRLDKNFVYPCCCVTHDSGKVMKSEFKIP -TKNHLVIGNSGDDKSIELPAREDGHMYIVKDGYCYILIFLAMMVNIREGDAKAFTKRVRDFVITKLGEWP -SMRDLAVLCRYISAFYPEVSTAEIPKILVDHKHKTFHVMDSFGSKTTNYHILKANTVQQLCRFGDSDLIG -EMKDYNVGGRNRLVSVRVDTPYGYKYITATLQDTEGHGSWNAIDGEIWDPNGSDPEADPLPMMHIQTGET -GFTSAEDDYTESSSVASQQPMLEHPSDDHASLNTTSGSRLKRTLSIHTIQTDNESHHSYADGTNSDVEYA -RDMPTESDEDEECYYDDMSSDEESFSDAQEHSFRRDFEELSQFEQNVRRVSLGLGEQKDTCIDYFKALIK -ASFKRLDFKLMMIRDPYMILFALMTPTVMKRFLEDGSFTIAANIFLQQSDDLVYIATTLETLAQRISAHK -VYLSQFQEMSCVAQDILSKHSVFQSTRSSSQARGMLEVLSSTSAMDIELHTRGYVVNTMNMQETKKKCYD -AIYMELWQELSLSEKCAYEWEKLKCVRRSLKISSLKDLNVQRDSVKNCLRQCSKCIVSGVKAQASGFCSL -IDRGKVRFVAVLSDFVTACFARVIKNITKYIQLTLLIALLLDVWKNLSSIIMEHKRLKLIEAEKLSKIKF -RKIRALYDSLVAKLGQEPTREELLEYVSSIDSTLKDDLEAHEDQVFYQAKSRSETTLEQIVALCALMAMF -FNTEKSDAVFKILSKVKSVFSSTDFPVQYQALDTPIDVNEFLGLTVNFDLTHGKELDLNSFDVSFENYWK -KSLLNGHVCHHYRSHGLFLEFTRSTAESVCNTITQSDQREFLISGFVGSGKSTYMPSLLSSKGRVLIVEP -TRPLTENVYNGLSGDPFFQSVTMCMRGANHYGSGNISVMTTGYALHSLANNRGNIEQYDYIMIDECHVLD -ANAMALYCLLKDVSYKGKILKTSATIPGRESGFKLSTQHDVTLNIEENLTFDAFVQAQGTGSNACVITKG -DNILVYVSSYNEVDTLARKLAEHGHKVTKVDGRTMKLGGTRIETSGNATKKHFIVATNIIENGVTLDIDV -VVDFGLKVGAVLDVDTRAIRYVKQPISHGERIQRLGRVGRIKKGHALRIGSTEKGIPDIPACIATEAAFL -CFIYGLPLIAQSVVVSALGKCTSRQARTMAAFELSPFYMKDLVKYDGSMHKQIHSALKSYILRDTEIQLK -DSAIPHASTKDWLTVREYNQIGSNIHCEDNVKIPFMVNGIPESVHEKVWKACLDNAHSVRLNPLNSACAQ -RISYTLSSDSSSILRTIGIIEELIKEEKQKSMQFQNLRNTPVGPNSFNPTYLTNMLKSKYLVDHSEENLE -TLYKARSQLIEFNTNYNPDMSVDTIRDYPYTAMVNYQSSNDIAQALQLKGKYDMRKISTDIIVSSVILFG -GAWMAYDTFKHLMSSKVTYQAKNKCQIQKLRFRDSRDKKLNYAVANDDSTIEHYFGSAYTKKGKTKGTVH -GMGRKMNKFYTMYGVDPTEYSIIRYVDPITGNTCDDSATEYSPKGIEELNAMRVEMVEDDAIDLQQFHRA -DAQTYVAYYIKHGSDKALKIDLTPHNPLMVCHHTASIAGFPEKEGFLRRTGPAVEVNISEVPKPHSYEYK -DSVSFEAKSTCCGPRNYNAISSVICHLELKSDGNERRTFGIGYGPYIIANQHLFTRNNGTLKIKSQHGEF -IIKNTCQLQLKPIDGIDVVLIKLPKDHPPFSSKLKFREPEEREKVCLVSVEFNPSITSALMSETSFTYNE -AHTRFWKHWITTKEGHCGLPIVSTKDGYVLGIHSLSDQKNSVNYFTTFPNNFQETYLAPTNVIDWVKGWK -HNTDNIAWGSLKIQEDAPEALFKTTKLISDLINSVTFQSSEHTWLTKHLNNNLKVVGCCPGALITKHIVK -GRCPMFQLYLTTSDDAKQFFQPLLGHYGKSMLNKQAYVKDFTKYSSVIETGSVDTDVFEAAISDVIDILK -KGGMDQCNYVTDTMEIINSLNMKAAVGALYGGKKKDYFADYQESDYDRILEASCKRLYLGKMGVWNGSLK -AEIRSVEKIALNKTRSFTAAPIETLLGGKVCVDDFNNKFYSCNLSIPSTVGITKFYRGWHNMLTALPDNW -IYCDADGSRFDSSLTPYLLNAVLSVRLEFMEPWDVGEQMLSNLYTEIIYTAIATPDGSVIKKFKGNNSGQ -PSTVVDNTIMVMLSVQYALRKCGIAIDKQTEVIKYFCNGDDLLIAIHPDYENILDKFQQYFHELGLDYDF -SNRSKSKEDVYFMSHRGLLRDGIYIPKLDKERVVSILEWDRADKPEHRLEAICASMIEAWGYPDLLHEIR -KFYQWLLEQAPYNVIAQSGKAPYIAETALKKLFTNIDASEVELERYYEVYMDLENEEATPKEVRYQAGEG -EDAAAQSSTSQQVAKQKDKDVDTGTTGKFAIPRIKALSDKMRFPRVGKTVVLNAEHLLAYRPEQIELYNT -RSTKQQFENWYNAIKKEYDVNDEQMRILLNGLMVWCIENGTSPNLSGNWTMMDGDDQVEYPLAPIVDNAK -PTFRQIMAHFSDAAEAYIEYRNATEKYMPRYGLQRNLTELSLARYAFDFYEMTSKTPKRAKEAHMQMKAA -AVRGATNRMFGLDGNVNTTEEDTERHTAADVNKNQHTLLGIKM ->CAT99455.2 polyprotein [Maize dwarf mosaic virus] -MAGTWTHVTYKWQPNLDNPRDVRKSMELFAAKRQVYDEKRALEHNSRLLRRAQVVDVKPIITAQPKKCAQ -MWKEVADHNPTNDFVYARFSEIKEQQPTKPVAISVNELVRKTLEIRKKFPVNVEFVGKKRKNTTRVSLKK -VFNKTFLHCGTRHEENQFKRIDTNITRDWIPVLSSVAKCYATLSSNMMHNIHKGHSGLTFIQNDELFIIR -GRLRGELCNSLDYTTNIQEIEHYADPQAADFWRGYTNAYVANRNISTTHTEHTPTVNLEMCGKRMALLEV -LFHSTFKITCKHCNTDDLELADDEFGDKLYKNIQRIEDQQSEYLAEDQKLKRMLTFIKARCTPKFDHLPL -NWQVADIVGHYSDNQTKQILDVNEALIRINTLTPSDALKASAALLELSRWYKNRKESAKEDNLSTFRNKV -SPKSTINLALMCDNQLDSNGNFVWGKREYHAKRFFSNYFEAVDPTDSYEKHVTRFNPNGQRKLSIGKLVI -PLDFQRIRDSFAGIPIMKQPLSNACLSRIDKTYVYPCRCVTTEFGQPAYSEIIPPTKGHLTIGNSVDPKI -VDLPNTDPPTMYISKDGYCYINIFLAAMINVNEDSAKDYTKFIRDELIERLGKWPKLKNVATACYALSVM -FPEIKNAELPQILVDHENKTMHVVDSYGSLSVGYHILKANTVGQLIKMQYESMESEMREYAVGGTITHKS -FSTLISHLIKNMFKPREMKKIIEEEPFQIMLSVVSPTVLIALYNNCHIENAMAHWITKNQGVAAMLAQLE -ALAKETSKAELLIQQMTILEKASSQLKLAVMGLNHVDPAKRLLWSHLEVMSSRAETNKDLLDQGYALYSD -RLYTIVEKTYVDQLNQAWTELSLCGKFSETWRVYKDKKYYKPSLVLRKSVDLGAVYNISVTHRISSLVQK -SRSQVSSTLTKLHQSSCDKLHTLRTKAINTIYWFIPDIFRLIHIFIVLSLLSTVANTIIVTMQDYKKLQK -QVREEEYEKEISEVRAIHAKLLKIHENDLTCEQFLQYIHENHPRLIEAAIELSGVGVIHEGKSNLEINLE -QAMAIGTLITMIFDPTKSDAVYKVLNKMRTILSTVEQDAPFPRIDFTNIFRTQVTHQSLDLDDPLTINTD -KKLTVDFDTTQDLPADTFSNDVTFDQWWSNQLENNRTVPHYRLGGEFIEFTREKAASVSISIAHSQIEKE -YLLRGAVGSGKSTGLPYHLSQRGKVLLLEPTRPLAENVCRQLQGAPFNVSPTLQMRGLSSFGSTPITIMT -SGFALHMYANNPDKLSNYDFVIFDECHIMEAPAMAFYCLLKEYAFNGKIIKVSATPPGRECEFSTQHPVD -IHVCENLTQNQFVLELGTGSKADATKYGNNILVYVASYNDVDSLARALIERHYSVIKVDGRTMKQNTNGI -HPNGHDGKKCFIVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAVMYRKVSISYGERIQRLGRVGRTK -PGTVIRIGTTMKGLQEIPAMIATEAAFLCFAYGLKVITHNVSTTHLSKCTVKQARTMMQFELSPFIMSEL -VKFDGSMHPQIHEVLKKYKLRESVIMLRPNAIPHTNVHNWLTVKDYNKIGCDLELDDYVKVPYFIRGIPE -KVYSDIYKIVLEYGSTSCYGRLSSACAGKVAYTLRTDPFALPRTIAIVNQLIAEEHAKRDHYNSITSNPS -SSHAFSLTGICNMLASRYMKDHSRENIEKLTRVKDQLIEFRGTGGEFKNPEDLLEFGGLVTVIHQGLDST -AQCLQLKGRWNGDLIQRDLMISAGVFTGGLLMLWFLFRKWSTTDVKHEAKTKRSRQKLKFRQARDNKYAY -DVTGSKDAIEENFGSAYVKKDKKKGTKVGLGVKQHKFYMLYNFDPQDYNLIRFVDPLTGATLDEQLNADI -KMVQEHFAEIREAAINNDQLEYQHIYSNPGIKAYFIRNGSQNALKVDMTPHEPLRVVTGNNIAGFPEQEG -TLRQTGKAQVIPLGQVPAPNEVEVEHEAKSMLTGLVDYTPIANQICIIENHSDDVRLCMYAIGYGSYLIT -PAHLFKANNGELTFRSTRGVYKMRNSVEVKLHHVKGRDLVIIQLPKDFPPFPQKLKFQAPNRENKVCLVG -VNFQQNHSSCVISESSTIAPKGNNTFWSHWISTTDGQCGLPLVDIKTRSIVGVHSLASVNSNVNFFVSMP -EDFNAYLCELVSKNEWEKGWQYNPNLISWNGLNLVSSAPKGAFKTAKLVEDLSFDVTEQGIQHETWLTKN -IQQNLQVVAKCPGQLVTKHVVKGPCPHFALYLSTHEDAEKFFRPLMGKYDKSRLNKAAFVKDLTKYAKPT -YIGEVNTALFERAVEHVIRILRDVGIQTCEYITDEDEIFKSLNMNAAVGALYTGKKREYFSEYTQEDKAE -IIKQSCERVYEGKLGIWNGSLKAEIRPIEKTEANKTRTFTAAPLETLLAGKVCVDDFNGQFYSQHLNGPW -TVGITKFYGGWNKLLEKLPDGWIYCDADGSQFDSSLTPYLINAVLNIRLRFMEPWNIGEQMLRNLYTEIV -FTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVIIAFNYTLLSCGIDLEKADEVCRMYANGDDLLLAVNP -THVAILNEFGKHFAALGLNFDFESRTKDKSELWFMSTRGIKYEEMYIPKLEKERIVAILEWDRSLLPQYR -LEAICAAMVEAWGYKDLLHEIRKFYAWLLEVQPFSNLAKEGSAPYIAESALRNLYTGAKVSEDELNVYAR -QFFDDLPNYLADEVIDVKHQAGENVDVGQKTEAQKEAEKKAAEEKKAKEAEAKQKEDKEKTTEKTGDGAS -TKETTGKTGDGTSTGKDKDVDAGTSGSVSVPKLKAMSKKMRLPQAKGKNILHLDFLLKYKPQQQDLSNTR -ATRAEFDRWYEAVQKEYELDETQMTVVMSGLMVWCIENGCSPNINGVWTMMDGDEQRTFPLKPVIENASP -TFRQIMHHFSDAAEAYIEYRNSTEKYMPRYGLQRNLTDFSLARYAFDFYEISSRTPVRAKEAHMQMKAAA -VRGSNTRMFGLDGNVGEAHENTERHTAGDVSRNMHSLLGVQQGH ->ABI99478.1 polyprotein [Pennisetum mosaic virus] -MAGMDGSWATVASRKRPNLDNPYVVRKVMERYAAKHQEYDAEKALAKNLRILKRTHPGTNFTLPTTQVPV -EQPREEPKLKRVQIWVKVADHNVKVDTPITKRVVAKEKPKPLIVKYDVASLTSQVIKMKQNTNCEVVIIG -RKQNHITRLNAVTRNKRLHLHCRTLHEEGKFKRRDVQIPAQWLPTLTAICRKFDTMPRHEFAKLRKGDSG -LTCFYNNELFIIRGRCENKLVNSLTTESNVLDIDHYADPQANAFWKGYTDAYVKNRGISTTHTEHIPTVN -LEECGKRAALMEILFHSTSKITCKICNTDDLELADDEFGEKLYKLIQKMEESEKEYLEKDQKLKRMMTFI -KERSKPKFEHLPITWQVAETIGHYSDNQAKQIMTINESLIKVSTLSSEQVTKASHALLELSRWFKTRKES -SKEDTLKAFRNKVSPKSTINPALMCDNQLDANGNFLWGKREYHAKRFFTSYFDQVDPADEYEKHVIRYNP -NGSRKLAIGKLIIPLNFPKIRESFVGIPIEKQPLSKACLSKIDNIYSYPCCCVTTEFGEPYYSEIIPPTK -GHITIGNSVDPKIVDLPNTDPPSMYIAKDGYCYLNIFLAAMINVNEDSAKDYTKFIRDELIERLGKWPKM -KDVATACYILSVMYPEVKNAELPQILVDHENKTMHVVDSYGSLSTGFHTLKANTVNQLIRMQYDSMDSEM -RDYIVGGGITKKTFSENLSLLAKNMFKPEVMRKLMEEEPLLIIMAVASPTVLIAMYNNNYIEEAMTMWIT -RNQGIASIFATLEALAKETSKAELLIQQMNILEKASSQLRFAVSGISHVSPMKKLLWSQLEAMTTRAEMN -QELREEGYALYDNRLYSLVEKIYIDQLNHAWAELSFYGKLREIWRVYKHKKYYKPSLTLKESVGLDGVYN -ISVTHLVSNSAQKVRTRVNSITTRLHRSACDKWEAARPKAIRTAYWLVPDIFRLIHILLVLSVLTSIANT -ILVILQDYKRLQKQVRMEEYEKELNEVRQIHAKLDSIHSGGMTKEEFIEYIRDNHERLLKAAIDLTGTGV -IHEHKSKLETNLEQAMAVGTLLTMMFDPNRSDAVYKVLNKMKTVINTYEQNETFPRFDFTKMFNKNVVHQ -SIDVDDPLTLDTDKKMTVDFSTEQDLPASTFTNDVTFEDWWSNQLENNRTVPHYRLGGEFLEFTRANAAQ -VSLDIVHRRDDKEFLLRGAVGSGKSTGLPYHLSLRGKVLLLEPTRPLAENVCRQLQGPPFNVSPSLQMRG -LSSFGSTPISIMTTGFALHMLANNPDRLEHYDFVIFDECHIMEAPAMALYCLLKEYEYKGKIIKVSATPP -GRGCEFSTQYPVDLQVCEHLTQNQFVMELGTGSKADATKYGDNILVYVASYGDVDSLSRMLAEKHYSVIK -VDGRTMKQNVSGINPNGTSSKKCFVVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAVMYRKTNISYG -ERIQRLGRVGRHKPGTAIRIGATTKGVQEIPTMIATEAAFLCFTYGLKVITHNVSTSHLSKCTVEQARTM -MQFELSPFIMAELVKYDGSMHPEIHEKLKKYKLRESTITLRSNAIPYTNVHHWMSVKDYNKLGYDLDLGE -YIKLPFYVRGVPDKLCSDIYDTIVKYQSNSCYGRLSSACAGKVAYTLKTDPYSLPRTIAIINTLLAEEHK -KRDHYLAMSSNPSSSHAFSLSGVVSMLSSRYMRDHTKGNIEKLIRVRDQLLEFQGTSQQFKTPEDLIEYG -SLNTVIHQGVDEISECLQLQGRWNAPLIQRDLMIAAGVFAGGGLMLWFMFLRWARQDVTHQGRSKRSKQK -LRFREARDNKRAHEVYGDDEVIARDFGTAYTQKGKGKGTKVGLGKKQHAFHMMYGFDPQDYNLIRFVDPL -TGTTLDEQIYVDVKLVQEHFAEIREEAINNDQLERQHVYSNPGLQAFFIQHGATNALKVDLTPHNPLRVT -TNNNIAGFPECEGILRQTGQAVKIHASEVPQPNEEGVTHEAKSMMAGLIDFTPISSQICSIVNDSDGCKR -NTYAIGFGSYLITPAHLFKYNNGELTIRSSRGVYKIRNSVDVKLHPMQRIDMVIMQLPKDFPPFPRKLKF -SQPDRAMRVCLVGVNFQQNYSSCTVSESSVIAPKGNSDFWKHWITTSDGHCGLPLVDVKDKLIVGIHSLT -STNGNTNFFVAIPDRFEEYLNDIVATNKWEKAWKHNPNLISWCGLNLVEAAPRGLFKTAKLVEDLLDDVM -EQGKTECTWLTQDICDNLQVVAKCPGQLVTKHIVKGPCPHFALYLSTHDEAKRYFEPLMGKYDKSRLNKA -AFTKDLMKYAKPTYVGDVQYDLFERAVERVKKMLHEIGIKQCTYVTDEQEIFGSLNMNAAVGALYTGKKK -QYFENFTDKEKEEIVMRSCERLYKGELGVWNGSLKAEVRPIEKTQANKTRTFTAAPLETLLGGKVCVDDF -NNQFYAHHLIGPWTVGITKFYGGWNQLLEKLPNGWIYCDADGSQFDSPLTPYLINAVLDIRLDFMEKWDI -GERMLKNLYTEIVFTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVILAFNYTMLACGIDEDIIDDVCKM -FANGDDLLLAVNPSYEYILDSFSNHFSNLGLNFDFSSRTRDKSELWFMSTRGIKYNEIYIPKLEKERIVA -ILEWDRSKQPQHRLEAICASMIEAWGYPDLLHEIRKFYAWLLEMQPFANLAKEGQAPYIAESALQNLYTG -NGVNENEIEKYFQQFKTDLQGYVEDYNEDVYHQSGRVDAGTPPQTEEQKKAAEEQRQKAAADAEAKRKAA -ADEEARKAREAEAERKRQADAAAKGKQDKDVNVGTHGTVAVPKLKAMSSKMRLPLAKGKNILHVEFLLNY -KPAQQDISNTRATRAEFDRWYDAVKNEYEVDDTQMTVIMSGLMVWCIENGCSPNINGVWTMMDGEEQRTF -PLKPIIENASPTFRQIMHHFSDAAEAYIEFRNATERYMPRYGLQRNLTDYSLARYAFDFYEITSRTTARA -KEAHMQMKAAAVRGSNTRMFGLDGNVGESQENTERHTAGGVSRNMHSLLGVQQHH ->AFU35736.1 polyprotein [Onion yellow dwarf virus] -MMKQYDRSGLNTKLTPKQRRRACYCDGDNLAHYHCTQCDNICASLTMARPVNHNCDGEPFITYDDDPVPY -TPTIPSKDFLSGFDINHPVNENSTHSDEPSNNVKVDETHESKFDVVEVTRMETYGLTGKEKTRASIKCGP -RYKNRATETTLTKDVKAEVCGKQFVQFGSFEPVELKTHALNETPSKETHPTFQQDAERTNNGSVELPELT -NDRQDEQDINSKNSQTRAPRAKPKIEMTRNNSKKMWVRKNKSEPNTSCSADKVPPDEVIETESKPNKVWY -KRRDICKNKPLDDDKIIVTASELCNRLIHMAYEWNLPLVIIGKKKHEFRTTELNGKRYYKIVTKHEKGVL -DCLDVNNNRETLSLIRLFLSKNPDDLVDEFDICKGHSGIIINPYNIIGREPLTYKTDVMVVRGRLHGRIV -DSLLPINARDIPDIEHYASDTTTASEIFKGFERTFMAIRDPVQHVCTRDINLQECGEMCGILVQMMFPMW -KVTCNQCANLIAERKPEQVLIDASRIKVAEMYEQMVSTGRFKHVQTVINSLKTFEDTTQESVKLFGEIDS -ASLNKSTSQLSQINAIARILVKGQAMTSNDQEVALQNLKDLMLWYRHKLEAQQAGDLSTFRNKVSAKTHI -NLALMCDNQLDVNGMFQWGERGYHAKRFFENYFTRIDNGDQYETFTTRKHIRGSRQLAIQNLIVTTDIDR -MTQSMQGTPVTDIPLGEHCVSRCDKNFVYPCCCVTHDSGRVMCSEFKFPTKNHLVIGNSGDDKSVELPAR -EDGHMYIVKDGYCYILIFLAMMINVRESDAKAFTKRVRDFVITKLGKWPSMKELAVLCRYISAFYPEVLT -AEIPKILIDHEHKTFHVMDSFGSKSTNYHILKANTVQQLCRFGDINLNSEMKDYNVGGRKRLVNLRVDSP -YGYKYMTANIEDTEGYGSWRVVNGEIWDVNCSDSGVNYASGANAHEYRGGFTSDEDKLTENSDFSSPIAI -PEESNDESDQHYVKPVRRTKTASLHTLSSDDEPHSVASHDSELDEDQFSEVSTDLNADDELYYSDDSSCD -GDYTDVYNESTDLDVKESRSFDAALGRAALGLGERKDTCISYFKLLIRASFTRLNFKLMMIRDPYMLLFA -LMTPTVMKRFLEDGSFTVAAQIFLQQSDDLVYIATTLETLAEKLSAHKTYLAQFQEISSTARDILSRHTT -FHQTKSSQQARSMLELLESTSMMNDELHSRGYVVNTMAMQETKKKCYDAIYLELWHELSLSEKCSYEWEK -LKCMRRTLNIAKLKDISAQGSNVKNCSRQCLTYISTATRAQVSGFCSITTQVKDKLISSISDFITACFSR -VMRNIVKYIQVTIFIAMLLEVWKTLSQIIIEHKRLKMIEAERISKLKFKKIRALYDCLVAKLGHEPTKDE -LIEYITAIDPTLKDELEMQDDVVEYQAKSKSETTLEQIVALCALVAMFFNTEKSDAVFKILSKVKNVFAS -TDIPVHYQALDEPVDVNEFLGLTVNFDLAHGQNLDINRFDTSFEDYWKKSLQNGHVCNHYRSHGLFLEFT -RTTAESVCEVIKQSDQCEFLISGCVGSGKSTYLPSLLSTKGRILIVEPTRPLTENVYNGLSGDPFFQSVT -MCMRGAHQYGSGNISVMTTGYALHSLANNRDNISKYDYIMIDECHVLDANAMALYCLLKDVNYKGKILKT -SATIPGRESGFKFLTQHDVTLNVEENMTFDAFVQAQGTGSNACVTTRGHNILVYVSSYNEVDTLSRKLVE -NGHKVTKVDGRTMKLGGTRIETNGSANKKHFIVATNIIENGVTLDIDVVVDFGMKVGTVLDIDTRSIRYV -KQPISHGERIQRLGRVGRIKKGHALRIGTTEKGVPNIPTCIATEAAFLCFIYGLPLITNNVVVSALGKCT -SRQARTMASFELSPFYMKDLVKYDGSMHKQIHSALKPFILRDTEIQLKDSAIPLAATKDWLSAREYNQVG -GHINCDDNVRIPFMINGIPEKVHEQVWKACVDNAHIVRLNPLSSANAQKVSYTLSSDSSSILRTIGIIEE -LIKEEKQKSMQFQTLRNTPVGPNSFNLTYLTNMLKSKYMVDHSEENLETLYKARSQLIEFNTNYNPDMSA -DKIRDYPYTSMVNYQSTNDVAQALHLKGKYNMKNISTDIIVSAIVLFGGAWMAYDMFKHSMSSKVQYQAK -NRRQIQKLRFRKSRDQKLNYAVAGDDTTIGNYFGTAYTKKGKTRGTVHGMGKKMNRFYTMYGVDPTEYSI -IRYVDPITGNTCDTSATEYTPTGIEVLNDMRMEMVNDDVIDPQKFHKSSESGYVAYYIKHGADKALKIDL -TPHNPLMVCHHTAAIAGFPDKEGMLRRTGPAQEIDIKDVPTPKSYSYNDHVTFEAKSACCGPRNYNAISS -VICNLELQSDGHVRKTFGIGYGPYIIANQHLFTHNNGTLKIKSQHGEFVIKNTCQLQLRPIDGIDVVIIR -LPKDHPPFSSKLRFREPEEREKVCLVSVEFNPSITAALVSETSFTYHETGTNFWKHWITTKEGHCGLPIV -STKDGCVLGIHSLTNQEHAVNYFTTFPNNFHETFLSANNVLDWVKGWKHNTDNIAWGSLEIRDAAPEPIF -KTTKLISDLINSVSFQSSEHTWLTKHLNNNLKVVGSCPGVLITKHIIKGRCPMFQLYLTTNEAAKFYFQP -LLGHYGKSMLNKQAYVKDFTKYASIIETGVVDTDLFEASIIDVVTILTRGGMSKCNYITETMDIINSLNM -KSAVGALYGGKKKEYFSDYQEADFDKLLEASCKRLYLGKMGIWNGSLKAEIRSVEKIALNKTRSFTAAPI -ETLLGGKVCVDDFNNKFYSCNLSIPSTVGITKFYRGWHNMLSALPENWVYCDADGSRFDSSLTPYLLNAV -LAIRLEFMEDWDIGRQMLSNLYTEIIYTAIATPDGSVVKKFKGNNSGQPSTVVDNTLMVMLSVQYALRKC -GIALNDQDEVIKYFCNGDDLLIAVHPDHEGILDHFKQYFQELGLDYDFSNRSRSKEDVYFMSHRGLLRDG -VYIPKLDMERIVSILEWDRADKPEHRMEAICAAMIEAWGYPELLHEIRKFYQWLLEQAPYNQIAQSGKAP -YIAETALRKLFMNVDASEEELLRYHEMYETLDNEIEVCKVVRYQAGPGEDAAAQSSTTKQVAKQKDKDVD -AGTTGKFSVPRIKALSDKMRFPKVGKNVVLNAEHLLTYKPEQIELYNTRATLRQFENWYMAIKKEYDVDD -EQMKIILNGLMVWCIENGTSPNLTGNWTMMDGEEQVEYPLAPVLDNAKPTFRQIMAHFSDAAEAYIEYRN -ATEKYMPRYGLQRNLTELSLARYAFDFYEMTSKTPTRAKEAHMQMKAAAVRGAANRLFGLDGNINTTEED -TERHTAADVNKHQHTLLGIKM ->APL98256.1 polyprotein [Cyrtanthus elatus virus A] -MKFGEVVAAKPLLPVVMGNEVEAHITNASTQVSALVAGRKPAIKKEWRPVKKVISMEETLINKEVEEVIY -PRVNFKMREPKHEEEYDFHFIMGPQLEGDDKGPSDEMLAQQRMDFANSRRAEDEHLSTEKLKYNQAVHEF -DPKEQISYGRLKGLRVKNKETLVRNGKQRKPIRSTRAIESLIAFVAKRASRQGFSMDIIERKKRVNKLTF -KRIGDSKHLKVMTRHETGRFYCTDLYAGEFFDHALHCMRSVIKRERPFHQDIGPGWSGVVLKSRNLQLSG -LYVKDPYFVIRGRFGPDVVDARQPMEWSQVNQKERYSDDFKNRFWKSFEETFIMLRKSKVHECVRDVPVE -KFGQAVAPFTQMPVPHFKATCSQCIKLATNRSVEQIHFDASRKVIADYYEKVKEDVDFSHFITAFELLSG -IEKDSQLGLENFSKTNEIVMLAQTSQIKQMKDLNEIMVKRHRISDEEFDKASTIVLELARWKKNGTDSRP -AGALETFRNKISAKTHLNMALLCDNQLNVNGVFQWGERGYHAKRLFANFFDEIDESTSYEKYAIRKHIRG -ERHLAIKNLMVTTNLEKMRESMRGIEENRHQLTQNCVVKIEGNFVYSCCCVTLDDGKPFESRVRMPTKHH -PVGGKNGDEKVVKLPERVTNNMFIAKDGYCYIIIFLAILINIQEADAKEYTQMVRDKVIKTLGKWPTARD -VATMLVYMRAFYPEIETAELPPILIDGSHKTMHAMDGFGSMSTNYHVLKANTVAQFIQFAYNEIEGEMQN -YNVGGDYTVSYDDSDGEFTVEELNSEEHTDGAGGPAATTLEFATHSEKLSNFKVLIGAMFSASKFRSLLM -ANPYYILFSLLSPTVVIRMYRDGLFDQAINIAMADNMEVGEMMIRLKCLAQTVSMSESLIIQSFAIGSNC -ESLLEYGPSVRMADRVTNIARMHLEVLIKAIEVDMKLSDSGFVVTTANFMKKKEDLYVQIYDEALCASGL -RNRLGFSIYRSNQSKPLHSQIARAKRNVSLDAWKQFTHERLADTRCIAKKVQYHTVGRVTRCYTSICKQI -VVYMFNKLYTFLSEIFKVVNLLILVSLLLQIYYLCVRICRERYALKNQVKQMEYANNSKLVLQVYDEFPE -LKKACPTTQEGLDRIINTRPELVEFVRMPMNDAEFQHPRPSEPNLERIVAVIAMIMMVFDSSRSDAVFKK -PNKLKGVFGTFNERVQFQSLDDEVNIDKGLTVDFDIVELNTPVGLTCDETFEKYWGTQLNSNRLCEHYRT -TGAFIEYTRSRTEEVVREIAENPVSNNFLIRGPVGSGKSTGFPVALSAKGKVLIREPTRDLTETVTRQLS -GAPFFQSVSMCMRGVHEYGSGNITVMTTGYALHYFANNRDQLNKFSFVLLDECHVMDASAMAFYCLCKDM -KYVGKILKTSATPPGRETDIDKSQKKIKLLKESSLSFEDFVAAQGTRSRAYVISHRANILVYVASFNAVD -QLSALLIARGYRVTKIDGRTMKTGGADIEMKGSRDLPHFAVATNIIENGVTLDIDVVVDFGMKVVANLDA -DLRMVNYSKVGVSFGERVQRLGRVGRIRDGVALRIGHTERGIQEVPEAIATEAALLCFAYGLPIMPHNVV -VSALAKCTSQQARVIHSFELPPLFLLELVKEDGSMHPEIYTHPSKYILRDTEIKLLKTSLPNANTSRWFK -VKDYRKFGARVALDDECKIPFYVNNVPDKLYEDIWNACVQFKEDVKPARITTACAQKIAYTLQTDSSSIA -RTIGIIDHLLAEERIKQAHFRAAKSDTVSTSSNTTAGNTKYLRSRYMHDHSDENIETLQRVRAQIMEFRN -LSVPFSDVEAIRDYAHMSTALFQSKEAIPKQLQLTAKKDMRGAVHDGLICSAAFIANLCMIPKAFYEGIN -ETVEFKGKGETQKHKFQRERSEKMNRGVFDDCEDNHDNFAKEYLKKKKSKGRSGGSDTKARRFVHMYGFG -PREFSIVKCLARPTGIVYDQNDFKSTWELSDKIVKDRFDDDDLERELLRYRPEIHAYYFKHGSHKAIKID -MTPHNATKVGKGSAREVGAPSRQAEGRQTGEHVEVDVSNIDERVTSQLVDLKNKSAVKGLRNYSPISKVI -CELKISSDGNSNTQYGIGFGCYIIANQHLFKRNNGTLQIKSAHGDITVGNTTQLQVMPIENRDIIIIRMP -KDFPPFPMKLNFREPTDKERVCLVGAEYTGKTIYTSVSESSFTYPEKDTHFWKYWVSTRHGQCGLPVVSV -NDGSIVGVHSLCFLDKEENLYSSFPNNFDENIINMVAENWQKNWKFNIDNIAWGSMSILGSKPEGLFRTI -KEFTIGKSPVELQSKSDEDFTWLTKHIDGNLKQIGECPGNLITKHIVKGKCPIFSIYLQEHPDAMAYFTP -LMGFYGKSALNKAAYVQDLCKYSSTIVVNRVEPEVFELAVEDVVNLLERGGIGRCDYITDEATIIQDLNM -NAAVGALYKGKKKDYFLDFTEQDFKDIVMASCERLYDGKFGIWNGSLKAELRSMEKVLSNKTRSFTAAPI -ETLLGGKVCVDDFNNAFYKAHLKIPSTVGITKFYKGWDRLLRSLPEGWLYCDADGSRFDSSLTPYLINSV -ITIRERFMEDWKVGRRMLRNLYTEIVYTPIATPDGSLLKKFKGNNSGQPSTVVDNTLMVMMAVQYSLRRC -GVDVEKQEEVIKYFCNGDDLILAIRPDKVSMLDTFQESFDHLGLNYDFSSRMTNLTELSFMSHTGKLVEQ -TYIPKLDVERVVAILEWDRSNEPLSRLESLVAAMIEAWGYDELLFEIRKFYSWVLEQAPYKQLAQDGKAP -YLAETALRRLYLDTHATEEELEKYYKAYISTVADDTPQRVAFQSDETTDAARQQLVKNKDKQVVETQRDV -EGGSHGTFIVPRFKGISSKLKYPKFEGDTAVNLEHLLVYNPDQLDISNTRSTQQQFNTWFRRVMDAYGSD -LEGMKLITNGLMVWCIENGTSMNLKGNWVMMDGETQVEYPIAPSLEFAQPTFRQIMMHFSDLAEAYIEKR -NVTERYMPRYGLQRNLRDYSAARIAFDFFTIKSSTSVKLREACIQMKAAAVKGGNNQLFGLDAKVGSEEE -DTERHTTDDVGRGMHTLQGARF ->AIM40208.1 polyprotein [Sweet potato virus G] -MASLVSSNTGAKKKKMSWKECCNKWGRAAMEQQQGKRGAYTIEVGKDQLAANIFAFIPTTEWHKYYVARV -GLSAEALKLKYAIAFGEVYEHGATFNRCPECDCAIDEHMCDECDIRFKKSDDNIVRNMNETARALGGWDN -YYATNWSQFEAAKYDMEDIAPTAGMLERRAKDAEKALGKRAKRSEIAEVQQLWEEVEAAKEAEAEEETFF -EHEASLVQESAPKTYEDNFPQLNATSKPVAEVEEEIPIKETHSGFFFGEIPAAIKLPKIPILEILPAAPI -LNLNGELNEPLEQQEATKPAEEVVEPEDQPAAVIQSGFKKNELVGERFQRMKKLPRTLYPWGGKQQTPGK -TQHTMITKWVRKTMDKQAEQEEKIWNAWEKEKEKALEKRKDLKVKWRWGLYRLVKKTKKELQKHRSRNKK -MEEQLKLEKMPPHIVSSISIAGGIAPSVMNNQQEENGRIFSTPSMKKKKIFPIISMNTTQQQQLSQAILK -IAKRKQMSLEIIGKKATKAKYVRKQGTTYLCLHLRHMEGLRKSVDLVIHPQNMEFVRQAAQIAAWNKLHH -TNTVVKGMSGFVLNPLKLKGKVGHSPHGLLVVRGALKGVLYDARMKLGRSVLPYIEQYSSTGSKFWNGFD -EGFRSLIPSDRDHVCSSDIKVEDAGMIAATLHHIMLPMNRTTCAICASNVSDLSLKEWVEHIKSFLIKNH -AKLHQSGGAYEHFRWFLSALPKALIDENPNTKAFDEIHQLIGDRTDAPFSLVNDINKILVKGGRAKSEEF -TYAQESLLEIARYLKNRTENIKKGSLVSFRNKISQKAHVNLPLMCDNQLDKNGNLIWGERGYHSKRFFAN -YFEIVDPEAGYDKYILRVNPNGARKLAIGKLIVSTNFSVFRDQMKGEPIPKLKLDQHCTSLRNGNFVYPC -CCVTLDDGTPIESEFKLPTKNHLVIGNSGDPKYVDMPAEINKKMYIAKEGYCYVNIFLAMLVNVHETKAK -DFTKQVRDVLMEKLGKWPSMFDVATACAFISVFYPETRNAELPRILVDHNTKTMHVIDSFGSLTTGYHVL -KANTVSQLIQFASTNLESEMKHYLVGGMIGVNSFEEGSLKAIIKGIYRPKVMRNILEEDPYVLLLSILSP -RILLAMFNSGSLDHALEKWITKDQEVATILGILLELSKKVSVARTLNEQMNVIEAHASYMIDNMWVNGKR -TVANALSHQVIRNLAAKRETNRQLIDQGHRVTAFATSQGLLEKIWEKHLEEQWKELTWQEKFCATARSLR -YGRCIQIRLPNISPGDLNDRVRDSFTSLHTKGSNIGNACVSSCKDKFSVVYTSFVSKCITCSLNAVRFLM -PDILKFVNMPLVVNLLLQITKTMRSMAHKNNKMKSDLSSFLLDQEIDKINVIYSSMCKRNGEIPTEKEFL -DHVEYINPTLLGTARWLLYVADDEVQHQAKGVKEANYERIIAFIALILMVVDAERSDCVYKALNKLKGLM -STICGGSVYHQSLDDISNEFEEKKLTIDFELQNDESHINRESDATFEEWWKNQISRNNTIPHYRTEGHFM -EFTRANAVSVANNIAVGPHKDILIRGAVGSGKSTGLPFYLSRKGKVLLMEPTRPLAENVHRQLSCEPFMI -QATLRMRGLSVFGSAPITVMTSGYAFQYYAHNPEQLKEFDFIIFDECHVNDAQAMAFRCLLVEHEYPGRL -LKVSATPPGREVEFSTQFPVKIKTEERLTFQAFVNAQGTGSNPDVTSFADNILVYVASYNEVDELSKLLL -EKGHKVTKVDGRTMKVGNVEIITSGTQSRKHFIVATNIIENGVTLDIEAVVDFGTKVTAYLDVDSRRIQT -CKGPINYGERIQRLGRVGRNKAGIALRIGFTEKGLCEIPQTVATEAAFLSFAFGLPVMTNNVSTSLLSNC -TVRQARTALQFELTPFYMVNMVRYDGSMHQAIHNILKQYKLRDSEIILNKLAIPNRGVTGWLTVTDYVRV -GQRLDLDPDTRIPFLHNTMPERIHKEVWEAIQKFKHEAGFGRLSCVSACKVAFTLQTDMYAIPRTIKILN -SLIESEMRKKEHFRTVTGRTSSSHNFTLNSIATMWRARYAQDYTSENIAILTAAKSQLLEFNNLSTDVAF -NEMNEAMLASYVRENGALNCVQHQSEDAMKQHLKLKGIWSKSLITQDILVLAGVFIGGMWMILQNAKDSF -EEVVQHQAKNKRQRQKLQFREARDRKTGFEVTADDGTIEHLFGSAYVKKGKQKGKMCGMGAKSRKFVNMY -GFDPTEYSFVRFVDPLTGKTIDDSPYTDILLVQEQFARARRDAVANDLLSNEKISRDPGIEAYYINEITN -AALKVDLTPHNPLKACDRVNTIAGFPEREGELRQTGLPTKMTVSDVPKETPFNALVEHESRSLFRGLRDY -NPIASVVCQLINTSDGRTSDAFGIGFGGLIITNRHLFKRNNGELTIKSRHGEFHIKNTTQLNMAPCEERD -ILIIKMPKDVPPFPQKLRFRQPKENERICLVGSNFQDKSITSTVSETSVTCRVNNSHFWKHWIDTKDGHC -GLPLVSTTDGNIIGIHSLSNMTNTQNFFAAFPENFEEKYIKSADNLEWIKKWSYNPDEVSWGNLELQKSQ -PAAPFKITKLISDISSIPVYTQSRTDLWVYDRLYGNLKAVGRCPAQLVTKHVVKGKCMLFELYLQNFPEE -SAYFRQLMGAYGKSRLNKDAYNKDLFKYASTITVGEVDTDIFETAEKAVINMMERRGFTECNFVTDTEEI -IKSLNMKAAVGALYSGKKKDYFESMSDEAKDNLLFHSCLRLFTGKLGLWNGSLKAELRAREKIDANKTRT -FTAAPLDTLLGGKVCVDDFNNMFYNLHLQCPWTVGITKFYKGWDALLRKLPEGWVYCDADGSQFDSSLSP -YLINSVLNIRLHFMEKWEIGQTMLKNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAMT -YSLEKVGIKGADQEKVCVYFANGDDLLIAIEPTHDWVLDTLQVSFKELGLNYDFSTRCKNRSGLWFMSHQ -GIERDGIYIPKLEPERIVSILEWDRSHEPVHRLEAICAAMVEAWGYDELLARIRRFYAWILDQAPYSELA -HQGKAPYIAESALKTLYTNITPTNTELSEYVQVLAQMYEDSLDSHEDNSVHHQSTEETYDAGNTGNTGRG -RGRGTVPPPPPPPESPRTTGLPPAVQTGPLPPGAASKPPIIEEIPQSESPRSKALREAREKAPATVPDSR -GVDTSQIPSFTPGREQTMTPAPQRTSTGVRDRDVNAGTVGTFTVPRLQIAHSKKRAPMANGRIVVNLDHL -TVYDPEQTSLSNTRATQEQFNAWYEGVKEDYGVNDEQMGILLNGLMVWCIENGTSPNINGMWVMMDGDEQ -VTYPIKPLLDHAVPTFRQIMTHFSDIAEAYIEKRNRIKAYMPRYGLQRNLTDMSLARYAFDFYELHSNTP -VRAREAHMQMKAAALKNAQNRLFGLDGNVSTQEEDTERHTATDVTRNIHNLLGMRGVQ ->AAA98577.1 polyprotein [Tobacco etch virus] -MALIFGTINTNVLKEVFGGARMPCVASAHMARVDGSILKKREEAPRAIMHKPVVFGEAYVTEADLPYTPL -HLETNAEMERMYYLGRRGLTNSKKRKVSMNNKRNRRRRVAKMYVGRDSIVEKIVVPHIEEKVDTTTVVKD -TCNEVSTRFVHSNKPKRKQQKNFLPATSLSSVYAQTWSIVRKRHMQVEIISKKSVRAKVKRFEGSVQLFA -SVRHICGERKRVDLRIDNWQQETLLDLAKRFNNERVDQSKLTFGSSGLVLRQGSSGPAHWYRHGMFIVRG -RSDGMLVDARAKVTFAVCHSMTHYSDKAISEAFFIPYSKKFLELRPDGISHECTRGVSVERCGEVAAILT -QALSPCGKITCKRCMVETPDIIEGESGESVTNQGKLLAMLKEQYPDFPMAEKLLTRFLQQKSLVNTNLTA -CVSVKQLIGDRKQAPFTHVLAVSEILFKGSKLTGADLEEASTHMLEIARFLNNRTENMRIGHLGSFRNKI -SSKAHVNNALMCDNQLDQNGNFVWGLRGAHAKRFLKGFFTEIDPNEGYDKYVVRKHIRGSRKLAIGNLIM -STDFQTLRQQIQGETIERKEIGNHCISMRNGNYVYPCCCVTLEDGKAQYSDLKHPTKRHLVIGNSGDSKY -LDFPVLNEEKMYIANEGYCYMNIFFALLVNVKEEDAKDFTKFIRDTIVPKLGAWPTMQDVATACYLLSIL -YPDVLSAELPRILVDHDNKTMHVLDSYGSRTTGYHMLKMNTTSQLIEFVHSGLESEMKTYNVGGMNRDVV -TQSAIEMLIKSIYKPHLMKQLLEEEPYIIVLAIVSPSILIAMYNSGTFEQALQMWLPNTMRLANLAAILS -ALAQKLTLADLFVQQRNLINEYAQVILDNLIDGVRVNHSLSLAMEIVTIKLATQEMDMALKEGGYAVTSE -KVHEMLEKNYARALKDAWGELTWLEKFSAIRHSRKLLKFGRKPLVMKSTVDCSGHTDLSVKSLFKFHLEL -LKGTTSKAVSGGARKVRAAKNAMTKGVLLKIYSMLPDVYKFITVSSVLSLLLTFLIQIDCMIKAHREAKI -AAQLQKESEWDNIIKRTFQYSKLENPIGYRSTAEERLQSEHPEAFEYYKFCIGKEDLIEQAKQPEIAYFA -KIIAFITLVLMAFDTERSDGVFKILNKFKGILSSTEREVIYTQSLDDYVTTFDDNMTINLELNMDELHKT -SLPGVTFKQWWNNQISRGNVKPHYRTEGHFMEFTRDTAASVASEISHSPTRDFLVRGAVGSGKSTGLPYH -LSKRGRVLMLEPTRPLTDNVHKQLRSEPFNCFPTLRMRGKSTFGSSPITVMTSGFALHHFARNIAEVKTY -DFVIIDECHVNDASAIAFRNLLFEHEFEGKVLKVSATPPGREVEFTTQFPVKLKIEEALSFQEFVSLQGT -GANADVISCGDNILVYVASYNDVDNLGKLLVQKGYKVSKIDGRTMKSGGTEIVTEGTSVNKHFIVATNII -ENGVTIDIDVVVDFGTKVVPVLDVDNRAVQYNKTVVSYGERIQRLGRVGRHKEGTALRIGQTNKTLVEIP -EMVATEAAFLCFMYNLPVTTQSVSTTLLENATLLQARTMAQFELSYFYTINFVRFDGSMHPVIHDKLKRF -KLHTCETFLNKLAIPNKGLSSWLTSGEYKRLGYVAEDAGIRIPFVCKEIPDSLHEEIWHIVVAHKGDSGI -GRLTSVQAAKVVYTLQTDVHAIARTLACINRLIAHEQMKQSHFEAATGRAFSFTNYSIQSIFDTLKANYA -TKHTKENIAVLQQAKDQLLEFSNLAKDQDVTSIIQDFNHLETIYLQSDGEVAKHLKLKSHWNKSQITRDI -IIALSVLVGGGWMLATYFKDKFNEPVYFQGKKNQRHKLKMREARGARGQYEVAAEPEALEHYFGSAYNNK -GKRKGTTRGMGAKSRKFINMYGFDPTDFSYIRFVDPLTGHTIDESTNAPIDLVQHEFGKVRTRMLIDDEI -EPQSLSSHNTIHAYLVNSGTKKVLKVDLTPHSSLRASEKSTAIMGFPERENELRQTGMAVPVAYDQLPPK -NEELTFEGESLFKGPRDYNPISSAICHLTNESDGHTTSLYGIGFGPFIITNKHLFRRNNGTLLVQSLHGV -FKVKNTTTLQQHLIDGRDMMLIRMPKDFPPFPQKLKFREPQREERICLVTTNFQTKSMSSMVSDTSCTFP -SSDGIFWKHWIQTKDGHCGSPLVSTRDGFIVGIHSASNFTNTNNYFTSVPKDFMDLLTNQEAQQWVSGWR -LNADSVLWGGHKVFMSKPEEPFQPVKEATQLMSELVYSQGEKRKWVVEALSGNLRPVAECPSQLVTKHVV -KGKCPLFELYLQLNPEKEAYFKPMMGAYKPSRLNREAFLKDILKYASEIEIGNVDCDLLELATSKLITKL -KVLGFPTVNYITDPEEIFSALNMKAAMGALYKGKKKEALSELKPDEQEAMLKASSLRLYMGKLGIWNGSL -KADVRPVEKVENNKTRTFTAAPIDTLLAGKVCVDDFNNHFYDLNIKAPWTVGMTKFYQGWNELMEALPNG -WVYCDADGSQFDSSLTPFLINAVLKVRLAFMEEWDIGEQMLRNLYTEIVYTPILTPDGTVIKKHKGNNSG -QPSTVVDNTLMVIIAMLYTCEKCGIDKDEIVYYVNGDDLLIAIHPDKAERLNEFKESFRELGLKYEFDCT -TRDKTQLWFMSHRALERDGMYIPKLEEERIVSILEWDRSKEPSHRLEAICASMIEAWGYDKLVEEIRNFY -AWVLEQAPYSQLAEEGKAPYLAETALKFLYTSQPGTNSEIEEYLKVLYDYDIPTTETLYFQSGTMDAGAD -ASKKKDQKNDKVVEQASKDRDVNAGTSGTFSVPRINAMATKLQYPRIRREVVVNLDHLLGYKPQQIDLSN -ARATHEQFAAWHQAVMTAYGVNEEQMKILLNGFMVWCIENGTSPNLNGTWVMMDGEEQVSYPLKPMVENA -QPTLRQIMTHFSDLAEAYIEMRNRERPYMPRYGLQRNITDMSLSRYAFDFYELTSKTPVRAREAHMQMKA -AAVRNSGTRLFGLDGNVGTAEEDTERHTAHDVNRNMHTLLGVRQ ->ABV21734.1 polyprotein [Cocksfoot streak virus] -MATSTMMVFGDFVTVVRQRGAVVKSKCLGIRCPGSDYMATLPNPTAGQKARSLNIASKVMLNEYDRATAA -AFATLSGVEAKAKIRHEESRICSVKKGVFGYKPYTKEFLRKRSLLRKQKDDEERWFQAQPDSVVGKLVFD -AQPQLIEDTTPKTVWPRATKKPRNTFTPRKLLWHECGDELMKAVQPTPYRQLEVIGNKRRHTHRISLRRI -GWKSILKVQTRHETGLKVGLDLPTNEFQDQILCRMLRTMPRLSVREVGSLTKGHSGCVIDDPENYHDRQP -FVVRGRYNGILLPSTETLPRIVFSGMTEYSQGDEFWAGFNETFVAKRKDPAHTGECRARDTVRQCGEAAG -ILWQGMFPCFKITCGACQDQIGITADDIVKGELIDNLFDASANLERLRIQPSMSSTLKAVATKLKPAQDH -VQICQQIIQAIGDDNDGPARHLRLATEKFITLQASDAEKCSDISKNLLLVANFIKNRRDGLVRGDVKEFK -NKISNKAHVNPVLMCDNQLDANGNFVWGERSHHAKRFFDNFYTQVNVADDYDAHVLRKHPRGTRRLAIDR -LILQLDLKALQEHVVGFPIEREPVSSSCISKKYGAFVFTCSCITLDDKTPLYSDIIMPTKQHLVIGNSGD -SKLIDFPETYRDKLWIARDGYCYVNIFLAMLVNINEVDAKNFIKTIRDRVIPQLKEWPTMRDLAASLHML -TVLFPDTRQAELPRILVDHKNKMMHVVDSYGSSDIGFHILKAGTVSQLLPFMFDSTEGEMKNYQVGGTLE -NLSVMKAVQVLIKSLWRPKLMNLVLTQEPFLIALAVVSPSVLIAMHTSGTFELAIERWLEDNQDIAVLFS -TLNSLAEKVSRSRFLVDQMHMIEESCEELRRLAWDIKFTSHSKPLLMSQLEVMCNRRIADGELTGLGFKV -YNQDTYDRIEKKYINDIRDSWFALSLCAKFRYRWYAWRLHRYFTNKSLLSDMEDFSASAYASVRDSGVRF -REGITQRLASTYDVSTRACIDSTRFIMRRAVGAVAYCIPDILHMINTLIVVSLILAIAHQSVIFMADYRR -LKQEQAFVQFQKETDEVAAVHALLTNKLGTSPSEEEFIDHIEDTYPALKSTAMLMTYPMVKHQAKTHAEA -KLEQIMACMALAAMMFDAQRSDAVFKVLSKIKTVLTSAGQTVHHQMSTIDDSSDVTAEKLLTIDFETEHE -APPTKATFDVTFSDWFDRQLKEGRTIPHYRQGGTFLEFTRATSVQVANTISHDPDVREFMIRGPVGSGKS -TSLPYELSKKGHVLIIEPTRPLVENVCAQLRQDPFFQDPTKRMRDNCTFGSSNITVMTPGYALNLLGHNI -DKLQNYKFIIFDECHVIDASTIAFYCLLHEYTYPGKIVKVSATPPGRESEFHTQHKVDIIKQESLTFDEF -ATAQGRGTKVDMTKHGDNILVYVASYNDVDALGKLLIERGYTVSKIDGRTMKSGNVKVETRGTSIRKHFI -VATNIIENGVTLNVDAVVDFGLKVTAELDSDMRTIIYKKTSISFGERIQRMGRVGRVKPGSGLRIGYTEK -GVADIPTMVATEAAFLCFASGLPVVTQNASVDLLGKCTVRQARTMQLFELPPFFMVDLVRYDGVVHPEIH -TILKQFKLKESELVLNTNAMPFAAVKKWYSAEDYQRLGNRNTLEPQVKIPFFVRNIPEKVYEDIWKVVSN -EKDSCCFPRISSASACKVAYTLKRDPLTIARTLGMIEHLITQERVKHEHYNTLSTNASSSHGFTLSGITD -QLRQKYMRDHSTDNIRILEEAKNKLCEFENLDMDLTNPEMLRNFGVLNIVQHQTASKLSTALGLKGRWDG -SLITRDIIVLGCVLIGGLLMVCEFFKWKKNEPVHHQGRSKQQSLKFRKAREQKHGYEVYGNDGAVEREFG -PAYTKREKKKGKTHGLGKKTRPFVNMYNFDPTEYTIVRYVDNLTGETLDESIQTDITIVMEHFADIREQM -VDNRHLDPADDRENLNATAYFMHPHKESALKVDLTPHNPLLVGRRRISIAGYPERRGELRQTEDHTFVPM -TEVPAPSSYVDHETKSIVTGLRCYDPIAKNICKIVFTSDGTISDGYGIGYGSILIVNQHLFKRNNGSIKL -HSCRGTYTIPNSTVLKISPVKDRDIALVRLPQDFVPFPQKLQFSKPDTSMRICLVGAQFQTNHVTTEVSD -ASQTFPQPNSGFWKHWISTQEGHCGLPLVDVNSRVIVGIHSLGHDVVAVNYMTSIPENFKEDYLEKLDEL -EWTKKWRYNTEAISWGGLKLSSEQPSGFFKPTKAVEPLPQFVSMQSREKRKWVAEALSDNLQVVASCPSQ -LVTKHVVKDRCQNFLLYLSLHPEANVYFQPLLGKYGKSRLNKEAFVKDFTKYASPIIVGVVDCAVFEEAV -GDVKLFLHNEGFHNLEYVNDEAAIFQSLNMKAAVGALYSGKKREYFEEYTLDDKAEIIKQSCERLYNGQL -GLWNASLKAEIRPMEKVSLNKTRTFTAAPLETLLAGKVCVDDFNNWFYTKHTECPWTVGISKFYGGWNEM -LTQLPDNWIYCDADGTRFDSSLSPYLINAVLEIRLSCMEDWDIGERMLENLYTEIVYTPILTPDGSVVKK -FKGNNSGQPSTVVDNSLMVLIAMTYTLRKLGVTRNEQDSIIKFFINGDDLIIAIKPEKEPLLNNFSKHFM -DLGLDFDFSNRVTEKSELWFMSHQGIERNGILIPKLEAERVVAILEWDRSVEPVHRLEAICAAMIEAWGN -DELLLNIRLFYQWLLEMEPFKHLASIGKAPYIAESALEHLYTGRKIEVNELKKYFHAHADYMNELIQLDS -PYVSHQAGQTMNAGATPPTPPAPPTPRPQAQQPPNPTVQTADDEPPAPQRQRDRDLTTGSSGTFTMPPPK -IFHSKMRLPMVKGKIIVNADHLKQYKPNQVDLSNARASQAQFEAWFTKVQEAYDVTDDQMSLLMDGLLVW -CIENGTSPNLTGNWYFMNNDVQDEYPLKPVIENARPTFRQIMMHFSNLAEAYIEMRNATEVYIPRYALKR -NLRDRSCARYAFDFYEKTSLSPEKAIEAQLQMKAAAIRGKSTRMFGLDGNINSGEENTERHTTDDVTRDM -HSLMGVRNT ->AFJ92907.2 polyprotein [Narcissus yellow stripe virus] -MAPATTVTFNVNGITMATHLKLTCFNKPLKLGKNILAVQRKMLDTPDAASPHIKTRRAGFQARMEWEEMQ -QEIAFRKWEAQRFPKEAITPNGSESTPHPGQEVTWKDLRKRALESDKLEENLRNCKIGPWGPPIVAVDNS -RGFTLMDSHTALEKPQKKRLNQTRSQKVNRSRPNFVKLSATQLKSFERQLIKITRNFRRKLEVIDKAKVV -LTFPYFRGATYARAEVQHLNGKIRRVDMRSTPFIDGVIDMTVGLTAGNRPHKNKDITHGWSGLILNKRRL -DHIEQRASSKAFIVRGRDKTRLVDARTRVSIGRMLRMRHYSSAGEKFWNGFNKTFCSVRVADREHTCETN -LDVEECGTVAAILCQAMYPCGKITCAKCVEDNINTEGQATQEKLINKVEKAMDLVREQHPKFSHALTILE -RYSNSLSDVSSNYEAFAEIQGITGGRHLTAFTHLNKLNAILVKGPMATRNEFLDGMTHLLEVARYMKNRT -ENIEKGSLKSFRNKISQKAHVNPTLMCDNQLDKNGNFIWGERGYHAKRFFGNYFDIINPSEGYSKFENRP -NPNGSRKLAIGRLLVPTNFEALREQMRGESVSPQPLSKECVSMLRGDYAHACCCVTNDSGEPLLSNLRMP -TKHHLVIGNSGDSKYVDLPATEGNKMYIAKNGYCYINIFLAMLVNVNESDAKSFTKMVRDVLIDKLGMWP -SLLDVATACCLLKFFYPDVSGAELPRMFVDHETKTIHVIDSYGSLTTGYHILKTNTIEQLVKFTEHGLES -DLKHYLVGGDPLAEEATEEVLSDPNWNLKTLVQGIYKPQVMKENLIWNRYLPLYAMLSPGVLLALYNSGT -LETLMKYFLRKDNDLVVLLVILESLALKVSNSRSVLSQLKILEGGAPNVIEAVQNIKQKHALPYNTVMKM -LMVLSARAETNVELDLAGYNQIRATSIEVMEKNYLQILDDQWRELSWSEKFYVVLRSSKFSLCTRKHLTP -ESTADLKGRYSESITSYFGQAKQTVNKKKNQVRDKLTSYMKQVSSYSTRKFCSIMNFLVPDILKFINVLF -VISLLLSIARECQHMLTTHKNLKIKVAQNKIDTDMQQVDMWYRMYMLEHKEQPTKAEFLAYLEKQQPALL -ELFTQDEEVEHQTKRRSEQELERVIAFVALVLMMFDCERSDCVTKILNKLKNLVSTAEPDVYHQSLDDIE -DDLSEKNLTVDFELTQDDSSTQSLSKERTFQEWWDHQILRGITIPHYRTEGYFITFTRSTALQVANSIAH -DERNDILLVGAVGSGKSTGLPYHLSKKGNVLLLEPTRPLAENVHKQLAQEPFYMNTTLRMRGLTAFGSAP -ITIMTTGFALHYFAHNRSRLNEYDFIILDECHVHDANAMALRCLIHDNLYNGKLIKASATPPGREITFEP -QHPVNIIPEETLSLQGFAQAQGSKANCDVTQHGDNILVYVASYSEVDILSSLLMDKSFKVTKVDGRTMKI -GRVEIVTSGTPTKKHFIVATNIIENGVTLDVDVVVDFGTKVVPFLDSDNRMMCYNKVAINFGERIQRVGR -VGRYKPGTALRIGHTEKGLSEIPSSIATEAAFKCFTYGLPVITNNVTTSLLAHATVPQARTMAQFEITPF -YMVHFVRFDGSMHPVLHSLFRKFKLRDSEIYLNKLAIPNKGLRNWMTGSEYVRLGCNVDDRADIRIPFVC -KGIPEKLHTEVWEVITKHKADAGFGRLSSAGACKIAYTLQTDVTAIQRTVRIIDTLIAEERVKQEYFRTV -TTNTISSSNFSLQSIANAIRSRFASDHTLENIGILEAAKAQLCEFRNLSVDHSFSRLNDTISQNFIRDFG -ALETVQHQSTHEVSKCLGMKGRWNASLITRDVLVLAGVLGGGIWMLLSHFHSSANEVVHHEAKGKRQRQK -LKFRQARDNKNGREVYGDDGTLEHFFGAAYREKGKVAGKVRGMGAKQRRFVNMYGFDPEDFSAVRFVDPL -TGATIDENPLVDIHLIQEHFTSVREALVDQDQLDVQLIRIQPGIQAYYTNNRTGKALKIDLTPHNPLRSC -DKKATIAGFPEREFELRQTGAPQSIRIDEVPKEQENPEVNHESASLFKGLRDYNPISSNVCKLTNSSDGH -TDSLYGLGYGPLILTNRHLFERNNGELLIQTRNGDFSIRNTTQLHLFPIPDRDLILIRLPKDVPRFPQKL -TFRSPVNNERICMVGSNFQAKSVSSLISESSTTLQVKGSNFWKHWISTKDGQCGTPIVSTKDGAILGLHS -LSNFANSVNYFSSFPEEFTKQFLDTHENHEWAKHWKYNTDSISWGSLNIKAAQPKGMFNAMKLVMDLDDT -AVYSQMARGGWMYNQLHGNLKAIASVPSQLVTKHTVKGKCQMFDLYLRLHDDERNFFQPLMGFYQKSRLN -KEAYAKDLLKYASVIEVGVVDSNIFEQAVEAVTSDLRTLGMQTCNYITDENCIFDALNMKSAVGALYQGK -KKDYFAEYTPQMKDQILFESCERLFKGEMGVWNGSLKAELRPIEKVEANKTRTFTAAPIDTLLAGKVCVD -DFNNQFYDYHLKGPWSVGMTKFYGGWDTLLNKLPDGWVYCDADGSQFDSSLSPYIINAVLSIRHSFMEPW -HIGERMLSNLYTEIVYTPISTPDGTLVKKFKGNNSGQPSTVVDNTLMVIIAMRYSLLKAGIPISEHTNMC -RFLVNGDDLLLSLAPEYEHILDTFTSSFSELGLKYTFCSKTKNKADLWFMSHKGVLREGIWIPKLEQERV -VSILEWDRSKEPEHRLEAVCAAMIESWGYDQLTHEIRKFYSWLLSQAPYSGLAQEGKAPYIAETALRKLY -LDKDAEDSDIARYVEAILEDYTEGEVFDVYHQSGKQSLDAGLEEDKSQNSKEKPSIIGEPSKVGTLSNRD -KDINVGVQGSFPVPRLKFLTGKMSVPVYNKRPILNLAHLISYTPNQVDLANTRATQSQFATWYDGVKEDY -DVDDAKMAIILNGLMVWCIENGTSPNINGVWVMMDGDEQVEFPLKPIIDHAKPTLRQIMAHFSNVAEAYI -EKRNHDRPYMPRYGLQRNLTDISLARFAFDFYEMTSRTPIRAREAHIQMKAAALRGAKNHLFGLDGNVGT -AEENTERHTTDDVNRNMHNLLGVRGV ->CAA53541.1 unnamed protein product, partial [Iris severe mosaic virus] -ESKAFFEPLCGFYGKSNLNKAACVKDFTKYASEIVVGKVDVDVFDRAFQNTYTILSRAGIKECNYVNDTT -EIFNSLNMKAAVGALYGGQKKDYFAHYTDEDKENIIKESCERLFLGKLGIWNCSLKAELRSKEKIEQNKT -RTFTAAPLETLLGGKVCVDDFNNQFYECNLRGPWTVGISKFYGGWNRMLQQLPDGWIYCDADGSRFDSSL -TPFLINAVLRMRLKFMESWDIGEIMLRNLYTERTYTPIATPDGSVVKKFKGNNSGQPSTVVDNTMMVMFT -MQYALLRTGVSFEDQSDSIVYLANGDDLLIAVRPDLENILDDFQSIFDELGLNYDFTSRTRSKEEVWFMS -HRGKLINNMYIPKLEPERIAAILEWDRSTLPENRLEAICAAMIEAWGYNDLIYHIRKFYKWVLEQHPYSE -LVAQGKAPYLAETALTKLYTDVDANEQQIEEYVKAFDNMHDFNPIIDVHFEGDSEDAARLARNATRDRDV -TAGTSGTFTVPRMKPLSNKMKLPKVNGTSIMNLDHLLVYKPEQEKLFNTIATQQQFEHWFERLKESYDKN -DEEMKIILNGLMVWCIENGTSPNLTGNWVMMDGEEQVEFPLAPVLQHAQPTFRQIMAHFSDAAEAYIVMR -NAKEPYMPRYGIRRNLTDKSLARTAFDFHISKSDTSTRSREASIQMKAAAVKGKSSKLFGLDANVGANEE -NTERHTSDDVNADMHNLNGVRFH ->AKQ24603.1 polyprotein [Sugarcane mosaic virus] -MAGSWTHVTYKWQPDVNNTRDVKRVMEMFVAKHQRYTEEQRLAHNSKLLRKACVTSAEFTESAQKPKCHQ -TWVEKCDHNPTEHFVYQRFTPEKKVPATKPETTSVTKLIRDILEISKGSGIKIELIDKRVKRKTQLSIRR -HNGKDFLHCKTRHENGLFKRKDVDISVKWLSTIEAIAKCYSTVNAEELQSLSRGSSGLTFMQNDELFIVR -GRMHGEIVNSLHENKHVMEIEHYADPQANSFWKGYTDAYVENRNISTTHTEHTPTINLEECGKRMALLEI -LFHSTFKITCKTCNIDDLELSDDEFGAKLYSNLQRIEEKQREYLAKDQKLLRMIHFVKDRCNPKFSHLPL -LWQVAETVGHYTDNQSKQIIDISEALIKVNTLTPDDAVKASVALLEVARWYKNRKESLKTDTLDSFRNKI -SPKSTINAALMCDNQLDKNANFVWGNREYHAKRFFANYFEAVDPTDAYEKHVTRFNPNGQRKLSIGKLVI -PLDFQKIRDSFVGLSINKQSLSKACVSKIDGGYVYPCCCVTTEFGKPAYSEIIPPTKGHITIGNSVDPKI -VDLPNTTPPSMYIAKDGYCYINIFLAAMINVNEESAKDYTKFLRDELVERLGKWPKLKDVATACYALSVM -FPEIKNAELPPILVDHESKSMHVIDSYGSLSVGFHILKASTVGQLIKFQYESLESEMREYIVGGTLTQQT -FSTLLKTLTKNMFKPDKIKQIIEEEPFLLMMAIASPTVLISLYNNCYIEQAMTYWIVKNQGIAALFAQLE -ALAKKTSQAELLVLQMQILEKASNQLRLAVTGLNHVDPAKRLLWSHLEAMTTRSEMNKELIAEGYALYDE -RLYTLMEKSYVDQLNQSWAELSYCGKFSAIWRVFRVRKYYKPSLTVRKSVDLGAVYNISATHLISNLVQK -SRDQVSSTLTKLRNGFYDKMERARVSAVRTVYWFVPDIFRLIHICLVLSILTTIANTIIATMNDYKKLKK -QQREDEYEAEINEVRRIHANLMKEHNDDLTCEQFIEHIRQTHPRLIEATLDLTHTGVIHEGKSNLETNLE -QAMAVGTLLTMILDPQKSDAVYKVLNKMRTVISTIEQNVPFPSVNFTSILSPPVTQQSVDVDEPLTLSTD -KNLTIDFDTNQDLPADTFSNDVTFENWWANQINNNRTVPHYRLGGKFVEFTRENAAMVSIELAHSNIEKE -FLLRGAVGSGKSTGLPYHLSMRGKVLLIEPTRPLAENVCRQLQGPPFNVSPTLQMRGLSTFGCTPITIMT -SGFALHMYANNPDKISEYDFIIFDECHIMEAPAMAFYCLLKEYEYRGKIIKVSATPPGRECEFSTQHPVD -IHVCESLTQQQFIMELGTGSTADATKYGNNILVYVASYNDVDSLSHALTELKYSVIKVDGRTMKQNTTGI -VTNGTSGKKCFVVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAIMYKRVSISYGERIQRLGRVGRNK -PGTVIRIGKTMKGLQEIPAMIATEAAFMCFAYGLKVITHNVSTTHLAKCTVKQARTMMQFELSPFVMAEL -VKFDGSMHPQIHEALTKYKLRDSVIMLRPNAIPKVNLHNWLTARDYNRIGCSLELEDHVKIPYYIRGVPD -KLYGKLYDIILQYSPTSCYGRLSSACVGKVAYTLRTDPCSLPRTIAIINALITEEYAKRDHYRNMIANPS -SSHAFSLNGLVSMIASRYMKDHTKENIDKLVRVRDQLLEFQGTGMQFQDPSELMDIGALNTVIHQGMDAT -AACIGLQGRWNASLIQRDLMISAGIFTGGILMMWCLFTKWSKTEVSHQGKNKRSRQKLRFKEARDNKYAY -DVTGSEECLGENFGTAYTKKGKGKGTKVGLGVKQHKFHMMYGFDPQEYNLIRFVDPLTGATLDEQIHADI -RLVQEHFAEIREEAVANDTIEKQHIYGNPGLQAFFIQNGSANALRVDLTPHSPTRVVTGNNIAGFPEHEG -TLRQTGTAITIPIGQVPIARETGVAHESKSMMNGLGDYTPISQQLCLVQNDSDGVKRNVFSIGYGSYLIS -PAHLFKYNNGEITIRSSRGLYKIRNSVDLKLHPIAHRDMVIIQLPKDFPPFPMRLKFTQPSRDMRVCLVG -VNFQQNYSTCIVSESSVTAPKGNGDFWKHWISTVDGQCGLPLVDTKNKHIVGIHSLASTSGNTNFFVAMP -ENFNNYINELVQANKWEKGWHYNPNLISWCGLNLVDSAPKGLFKTSKLVEDLDASVEEQCKVTETWLTEQ -LQDNLQVVAKCPGQLVTKHVVKGQCPHFQLYLSTHNDAKEYFAPLLGKYDKSRLNRAAFIKDISKYAKPI -YIGEINYDIFDRAVQRVINILKNVGMQQCVYVTDEEEIFKSLNLNAAVGALYTGKKKDYFESFSNEDKEE -IVMRSCERIYNGQLGVWNGSLKAEIRPIEKTMLNKTRTFTAAPLETLLGGKVCVDDFNNQFYSHHLEGPW -TVGITKFYGGWNRLLEKLPEGWVYCDADGSQFDSSLTPYLINAVLNIRLQFMEDWNIGAQMLKNLYTEIV -YTPIATPDGSIVKKFKGNNSGQPSTVVDNTLMVIIAFNYAMLSSGIREEEIDNCCRMFANGDDLLLAVHP -DFEYILNGFQDHFGNLGLNFEFTSRTRDKSELWFMSTRGIKCEGIYIPKLEKERIVAILEWDRSNLPEHR -LEAICAAMVEAWGYSDLVHEIRKFYAWLLEMQPFANLAKEGMAPYIAETALRNLYLGTGIKEEEIEKYFK -QFVKDLPGYIEDYNEEVIHQSGTVDAGAQGGSGSQGTTPPATGSGARPATSGAGSGSGTGTGAGATGGQT -GAGSGAGTGSGAAGGQSGSGSGAGQTGTGSAGTGATGGQRDKDVDAGTTGKISVPKLKAMSKKMRLPKAK -GKDVLHLDFLLTYKPQQQDISNTRATKEEFDRWYDAIKKEYEIDDTQMTVVMSGLMVWCIENGCSPNING -NWTMMDGDEQRVFPLKPVIENASPTFRQIMHHFSDAAEAYIEYRNSTERYMPRYGLQRNLTDYSLARYAF -DFYEMTSRTPARAKEAHMQMKAAAVRGSNTRLFGLDGNVGETQENTERHTAGDVSRNMHSLLGVQQHH ->AKC01037.1 polyprotein [Ryegrass mosaic virus] -MMNFGSLNVGLKQVDGTWVPRVFEEKEMARLLAEKQHARVMRATQEMMKAPNPFAEFDEMHQRGNPFAGR -ARKCETREPKSARKPIVTVDTVPVAIHTDVVWPENGKVHALSRRRAPRKHARRSKILACDLLTQVLNISR -RAGKSVEVIGKRRCCLKPRRRDGKSCFGVVTKHHKGVLSSRDMVKDLFVDSIIEHIAYTGHTPLIDAAGI -KPGDSGLIYREKRDGYVTRVVRGRHGGEIIDARDYVRAGIHTIKHYSDDEKPLVKYAPYCQPSHHTFGHM -CRVTWSDAEILQFREMLSQAIMPQRDPRCDICAEVAGQRTKDEILQHARTSQMMQMLEFGKEDERWKAPR -RVMETLLEESNWPSMDYSTSSEITTICCGNNDEPFRRIYSIMKVLAEPNLADVSAWQEANSSLLQLARYM -KNREMSVQAGNSATFTNPFPPTVHTYGPSNHGADIVQSLWDSWGDKQPTALAFFEKHFNKWQTNEFSVDR -RVRKHIRGTRKLALMDLNQSRSIKDLEDHVQEEEIPYERKTDSCITMYKDQYLHSCSCVTARDGKPYLSM -RYLQSTGLIPITRGADVQHIPNPDSWQEFYYVAPEGYCYINIFLPMLALAPYYRVGKLSELIGKLIKVLG -KWPKLKDVALACLYITEYHTYAQNALLPPILVHHSTKTMHVLDALGSLSVGYHVLKAGTVKHLVNLASRL -VTGEMLDYNVGGSLGGIHAYDLLIRGTFDHVLLERTLETDPYYILYSALSPTVLKQMYTSKSYANALRVF -VRSNQSLFQVVCTLENLARRMTRAQSIEQQIMQLQSLYPQLLDMLADNIPDSPLSWLSHHVTTDSMQRAI -ELNNCDIELARGGYASINTSWRKKKEQYYADLIKEYYNALSPQEKYSYRVRTLDICTPLRHYLRSARKIS -SEIASNICTQAYSRTIGRGISIVSSGGRKGKTWLTARGDSFYKTMISRAIKLYTPEVSAVIGVATVVGIL -LSTMTTLHTYLVKNKQTAQKTNEKFEDLMYDKVALYIPKYDAEHSHLQGKDLDFEHFARWLMTRDKKLSS -FVQSHLIDTVTHQAKDDTNVWIEKCIATIVLMMMAIDSNKSDKLYQILCKLRTVFSTMGQTVVTHQSIDE -ILDIDESKRTTIDFERVEVMQPTQPTLKTTFEGFWDMQIQMGRTVAHYRTTGRLVELTRENIAEVVATIS -SDTANAEFIVRGGVGTGKSTSLPTALCERGRVLMLEPTRPLTENVAQQLRGEPHFKSPSVHMRGLNTFGS -SRITIMTSGYALHYYANNRQLLRDFEFVIFDECHVMDSSAMAFYSLCNDAKVAAKLLKVSATPAGRECEF -KPIFPVRVSEAAQLSFESFVTAQGSKSTYDIIQYGNNILVYVASYNEVDKLAAMLLEKRFRVTKVDGRTM -KLNTHGIELHGTAQVKHFIVATNIIENGVTLEIDCLVDFGTKVVAQLDTEGRRIMYMKVPISYGERIQRL -GRVGRTKPGAALKVGHTMRGIVEIPEVIATEAAFQCFMYDLPVMTGQVSVSLLSKCTREQARTMAAFELS -PFTMSNLVAFDGTMHPAIHDLLKKFKLRDSTVVLRRTALPLRASASWYTVREYETIIGDLHIENKDVRIP -FVANDLSNSLLEGLWDAIQCNRSDVSTTKLTTVSANKIAYTLKTDTSSIQRTISIIDDLLAEERKKQEMF -THHLSTTSGGYTFGLNAIAMCIKSRYAKGYCIENIATLTNVRNQLTEFSGMSEDQYTSEIIQNYPDLTLV -HHQSKQEIIRNLKLKAKYDQTLIASDLLLGTAVLIGGGAMLYKTFMTATNTRVHLEGDGKRQRQKLQYRA -ARDSKQDYEVYADEREIQENYGEAYTKHGRKGPAHEKGTGSKTREFTNFYGFDPAEYDTVRLVDPITGKT -CDKAVRDLLRMRDVADTFAEIRESMDEDMILQPGVNFAPALIEAYFMNSRTNAARRVDLVPHNPMQVGRL -SNNIAGFPTHDGELRQSRPSRPIQKDQVPAANEYSVQHESKSIAKGLRDYHPVSSNLCALEYYCGDMRTS -IYGVCYGPYILTTAHLIKEKGGWLKIRTRHGLFKLEAMDRVQIRELCGSDIIVIKGPKDMPPAPMRLKFR -APKSGERAVLVGFVDDNLDRQLVSDSSAVYRRENTGFWKHWITTKYGNCGLPMVSVDTMDIIGLHSLGAQ -NSNENYFAALTDDFSKQFFEPETDVPWQRKWSYNADKVNYGTMDLTSNQPSGAFRTTKLLEDLLEAVSHQ -SREYTWLTKYCGANLLVIGKCPGNLITKHVIKGKSPTFDLFLSVDTQASDFFKPLMGDYAPSRLNREAFV -KDITKYDTEIPIGNLSITDFENAVEDTYYILKDSGIEQCNYITDAIPIFDSMNMKAATGALYGGKKKDYF -ENYTDDMKQNILKESYIRLREGKMGIWNGSLKAELRSKEKVEANKTRVFTAAPLDTLLAGKGCVDDFNNQ -FYAAHLKGPWTVGITKFFGRWNDFLSELPPGWDYFDADGSRFDSSLTPFLLNAVLNIRKKFMINWAFGQR -CLENLYTEIIYTPIATPDGSVVKKMRGNNSGQPSTVVDNTIMVIIAMQYAISKAEFPAGRLRDQIRYFAN -GDDLVVAVEPSLSGKISSFSASFAELGLSYDFSNKVNDRSELQFMSHTGKLIDGIYIPMLERERICAILE -WSRSDEPQFQLDAISAAMIEAWGDDELLYQIRRYYSWLLEQEPYKSIAELGHAPYLAEAALKALYTGKDP -DAELIAIYERAMLNTPPTEDRPTKVVHEANVTAASSAATQTSTTSPTVTSTSGASTSTSSGTTSAPLAST -TPPASATTTPSAGTTAPTTPAVRAANLRTSQDTERQRRMRESQLNVRGENDDEDVPAASEFALPRLPTLG -AKIRVPKFKGAIVLNKDHLIKYTPDQRDLSNTRATQEQFEKWYSGVRNEVEKTDEEMALLLNGFMVWCME -NGTSPDLSGSWTMMEGEEQISYPLEPFCRHAQPTLRSIMAHFSDAATAYVVLRNQKSRYMPRYGLKRGLN -DYSLAPYAFDFYEITSTSPLRAREAHAQMKAAAIRGKASRMFGLDGNVSAQSENTERHTVEDVNTRVHSL -SGANML ->AGV40216.2 polyprotein [Bean yellow mosaic virus] -MTTINIGTIPVVINQNANTQMGEGTKNIFPFVKDFIDPFADLEMRCAERVKRMGELCFSKKGRYITMIPK -PDYIKTREKEQREEELNFQNSEHVLSSIGTTCTPEHYSSRNNGMQVSFKTQHYKRTIRKPRIQAKKRDLK -GQHTIHYVAKELLSIVKKRDMVLEVADKRKHANFATFRRYGKTYGMHIALNHMVRKRRRVDVTLNKLMTE -IAMHCAIPFECLDTLTLRKGHSGLVLQTETVPNVHKIKSKITIVRGVVNEGNIPVLVDARKKLSGKDMST -IREFSAGDLFWKGYNQTFIDNRPTDLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVENFLNQNN -KERFNNASVFINQVIQLLEKGFSEFKHSKEILLMFKERLQMENPATDQCMEIAKATAALPEAPFSHIKEI -NNVLLKYGSLSNEEVGGASKHLLEVVRYIRNRTDSIQRNDLSKFRNKISSKTHINLDLMCDNQLDKNANF -VWGQRAYHAKRFLSNYFNEINPSEGYDKFIFRKLPNGARELAIGRLIMPTNFEAFREQMKGKMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGTAVISDFKMPTKYHLVLGGNDLAKYIELPTDTTGNMYIAKDGFCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMTDVATACWQLTVWFPDTLSAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKYYRVGGDCNFGSRVRIDTKFLLKSIYRPDLLERI -IEHEPFVLVLAMQSPAVLLALFNSASLEKAVQYWMHREMQVSHIMTLLAVLASNVSASKLLTTQFEIIEA -SAPQILAEMDKVHLPMHSIHSANVFLMNMSESRETDKTIDELGFYSFKKSSRILMEKTLMADLEEQWQGL -GLLERLSLIKRSWRVRAKYSSFAIQREEPGIRDKFTTSLKLSGAQIKQQLLAQKDQAVHFVERRIEGTKK -FVANQSISLIKMCLPRLADIVNILTVIALLNAILAFMLDHIKRFNEARRIAQEKKEKQHLKELNTLYNKY -WDNEKPTYLEFKSDVIEKLPHTLATFEKYYFEDDKYTFQAKPNDMVALEKIIAVTALVLMIFDAERSDCV -YKVLNKLKGILSTTTQDAYRFQSLDTSKTLLEEKEMTIDFEINEGEVKAFSGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEIAHNDAHDILVRGAVGSGKSTGLPFYLSNKGKVLMIESTRPLAENV -FKQLKSEPFYASPTLRMRGTTSYGASPITIMTSGYALHYYANNPAMMKEYNFVIIDECHVHDANAIAFVS -LLKEYSFEGKLIKVSATPPGREVEFTTQYPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLDRGHIVTKVDGRTMKNGKTEIESKGSRSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLMRYIEQNVSYGERIQRLGRVGRHKAGKALRIGVTEKGLVKPPSIITTEAAFYCLAYGLPVMA -EGVTPSLLSKCTVQQARSMMSFELPIMYTVNLVRFDGTIHPSVHNLLKPYKLRDSNVVLNKMAIPHGNVR -NWPTVRDFKCMGVRIDAPEDTRVPCHALDIPDKLHKEIFEVCCKYKGDAGFSKLNVVNACKIAYTLQTDP -SSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLSNIINAIRARSTSDYTQENLSVLHSARAQLL -EFKNINSDFSNLSTLSEFGALECLQFESLQGVSKHLQLKGHWNKPVLIQDFLIAAGVLGGGCWMLYQYFK -QETSKAFVFQGKNKRTKQKLRFRDARDMKGRMEVYADEGTIVENFGSKYTKKGKVRGTTTGMGTKTRRFT -NMYGFDPTEYSFARYLDPITGETLDEQPITNLNLVSEHFQEMRRKYRENDIMESQHFAANPRIEAYFVKD -AGQKVLKVDLTPHKPLLYSDKFGNIMGYPEREGELRQTGTAEFIDPKELPEPKESTDFDFESLSKIGGLR -DYNPIAANVCLLENESAEYCDEIYGIGYGNVIITNQHWFGHNYGELSIKSKHGTFKCKNTCALKLLPIEG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFFKHWISTVAG -QCGNPMVSTKDGFIVGIHSLTAVSGDLNVFTSIPPNFEDEVLKQMSKKNWCCGWKLNTSQIGWDGIKIVD -DQPKDPFPVSKMVGLLNDLQLSFQSAKNTKWLLERAHGNIKAVAQASSALVTKHVVKGKRRLFEVYLTTD -EEAEKFFRPLMGAYQKSRLNKEAYVKDLMKYATPIEVGLVDTRCFERCFEKVQNMLELKGFSKCNYVTYG -PDILSALNMKAAMGALYSGKKKDHFSEISEEKFDNILQASCERLYSGRMGVWNGSLKAELRPQEKVLANK -TRSFTAAPIDTLLAGKVCVDDFNNKFYSLHLKIPSTVGITKFYGGWDRLLDSLPDGWVYCDADGSQFDSS -LTPYLLNAVLEMRLRLMEEWDLGEQMLKNLYTEIVYTPILTPDGTIVKEGKGNNRGQPFTVVDNTVMGLM -AVYYPAKKLGIKGKIPKTLVFLAKGLNRLFAFNPKGEPTLDNLEGWLREWGLKYNSITKTKNKGNWGFRP -HKEFQIEGRWIPKLEKDRTFSFREGNKPIHPKHNLEAFGPPLIKPGAFPTLYTHIGNSSLGIWDQPPYIH -LMAKGNPPYFSKFPLKPLSGEEKVTPPELEKNNFPLLDGFEPERDKVFTCFFQSQQDLFMGGKEKKDKRK -KNEENPDKNFERQSIRQIVPDRNVNARTVGTFSIPRLKKKAGKLNIPKIGGKIVLNLDHLLEYNPPQDDI -SNVIATQAQFEAWYNGVKQSYEVEDSQMGIILNGLMVWCIENGTSGDLQGEWTMMDGEEQVTYPLKPILD -NAKPTFRQIMSHFSEVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYKLTSKTPVRAREAHMQM -KAAAVRGKSTRLFGLDGNVGTDEENTERHTAGDVNRDMHNMLGVRI ->BAT50981.1 polyprotein [Clover yellow vein virus] -MAQIMIGSIMVPLNKVSKVSETNISTSSNIPKTKTSTHIEAGPKNMSFNLQPTTDPFKALTLACKERVAK -FGDMVFKTRRGITTMVPKPARLVEEEKRAAEALKRFMEVEWTLEEIDPDAEYQVPDLRQRGSQVSFKSPY -HKRTPKMAKKLKVVKPVQGNKKIQHVTNALLKIVKNNNLVLEVADRNKKANHATFSKYGSTYGMHIIVNH -MVRKRRSVDVRLNGLMTSIAKQEAIGFEKLNVSTLREGHSGLVLQTKTVPNCHFNNDDITIVRGVIKSHG -IPCLVDARQNLNHQQLSRIREFSAGDLFWKGYNQTFIDNRPKDIGHTCTSDLNVVQCGSVMALMTLALFP -CGRFTCKECVEDFHNQNNRERYARTERFLSQAMTLLAQTYPEFKHSRDILQLFRERLSMENSNAEACVET -NKAITSLTETPFNHIRKINEVLIRYGSLANDEVGVASASLLEITRYIRNRTDSIQRNDLSKFRNKISSKT -HINLDLMCDNQLDKNANFMWGQRAYHAKRFLSNYFSVIDPSEGYDKFIKRKLPNGTRELATGRLIVPTNF -ESFRDQMKGTMVDNRPIGKECVSRVKGAFCYPCCCTTDDIGNAILSEFKMPTKYHLVLGGQEAAKYIELP -SDSSGMMYIAKDGYCHINIFFAMLVNVSEDKSKDFTKMVRDQIMPKLGQWPTMLDVATACWYLTVWFPDT -LSAELPRILVDHKLSTMHVLDSYGSISTGYHVLKANVVSQLIKFASDDLESDLKFYRVGGKSLTGQVIQF -DTKMLISSIYRPRQMERIINEEPFVLVLAMQSPSVLLALFNSASLEKAVEVWLHKDMRVSHVMTMLALLA -AKVSAAKMVNLQMEIIEASASHFLAAMDTIHKPMPSINTANIFLMNLEEGRSTDRTIDELGFHSLKKSSQ -VLMEKIWAEDLEQQWLGLRLSQKFYLIRQSWKQRAKYSKILAQRDELGVSDKFSASLRLSATSIKNQAIS -CRKRMVATSKKCLFSVQKMVAMQALRVFKRCMSNMVDVLNVLATITLLMGILSQVRSHIKTVTSYKRVSK -EAKVQDDLYRINEYYELLKARDRYTVDEFRSKLESLNPELLETFDEYYKEPKWFVMESKSNDMVALEKVV -AFTALVMMIFDGERSDCVYKILNKLKGIISTTTQDGYKFQSLDDIKPLLEDKKGVIDFEIDEGDSKIICS -NQTTFVQWWDNQLQNGNVITHYRTEGHFMEFTRETAQEVAHTIAHSDFKDILVRGAVGSGKSTGLPSYLS -EKGKVLMLESTRPLAENVFKQLKSDPFYKNPTLRMRGTTSYGSTPITIMTSGFALHFYANNPQLLKEFQF -IIIDECHVLDANAIAFVSLLKEFSFQGKLVKASATPPGRETEFTTQHKVSLVTQDQLSFDQFVAQQGTGS -NCDMIDVCDNILVYVASYNEVDQLSKMLLEKGFLVTKVDGRTMKGGKTEIETRGTKAKKHFVVATNIIEN -GVTLDIEGVVDFGLKVVPELDADSRVMRYSKHRVSFGERIQRIGRVGRHKAGKALKIGTTERNLQKIPEL -VATEAAFYCFAYGLPVMSEGVSSNMLSKCTVPQAKTMMSFELPIMYTVNLVRFDGSMHPSIHELLKPYKL -RDSNVVLNKMAIPHGNVKNWISVRELKYVGVRIDIQEDIRVPFHARDIPDKLHERIFEACLKHKGDAGFG -RLSVVSACKVAYTLQTDPTSLQRTIKILDELIAREQRKREYFQSASNTACSGSSYSLTSIINAIKARNTC -DFTQENLSVLHSARQQLMEFKNINCDFSRPSTLDEFGALDCLQFESASEISRHLKLKNHWNGSLLAKDML -IALGVLGGGCWMLYTYYNQEVSKEYKFQGKSKRTRQKLKFRAARDMKDRYEVHADEGTLVENFGTRYSKK -GKTKGTVVGLGAKTRRFTNMYGFDPTEYSFARYLDPITGATLDETPIHNVNLVAEHFGDIRLDMVDKELL -DKQHLYLKRPIECYFVKDAGQKVMRIDLTPHNPLLASDVSTTIMGYPEREGELRQTGKARLVDPSELPAR -NEDIDAEFESLNRISGLRDYNPISQNVCLLTNESEGHREKMFGIGYGSVIITNQHLFRRNNGELSIQSKH -GYFRCRNTTSLKMLPLEGHDILLIQLPRDFPVFPQKIRFREPRVDDKIVLVSTNFQEKSSSSTVSESSNI -SRVQSANFYKHWISTVAGHCGNPMVSTKDGFIVGIHSLASLTGDVNIFTSFPPQFENKYLQKLSEHTWCS -GWKLNLGKISWGGINIVDDAPEEPFITSKMASLLSDLNCSFQASAQTKWLLKEVKDNVQAVAQAPSALVT -KHVVKGKCALFEVYLANNQEAEKFFRPMMGFYQKSRLNKEAYTKDLMKYSKVIDVGLVDTEKFEKGLTKV -ECMLRQKGFTNCNYVNDEADIYAALNMKAAMGALYSGKKKDHFEGMPMEEFAKFIRASCERLFSGKMGVW -NGSLKAELRPQEKVLANKTRSFTAAPVDTLLAGKVCVDDFNNKFYSLHLEIPSTVGITKFYGGWDSLLNK -LPDGWVYCDADGSQFDSSLTPYLINSVLRLRLNFMEEWDIGEEMLKNLYTEIIYTPILTPDGTIIKKFKG -NNSGQPSTVVDNTLMVIMAMYYAAEKLGVEGDLSESIVFYANGDDLLIAVHPSHEWYLDQLSTLFKELGL -NYDFSSRTKNKGDLWFMSHCGIKKEGLWIPKLEPERVVSILEWDRAAEPEHRLEAICASMIEAWGYDDLL -NHIRRFYLWVLDQAPYKQLSAEGKAPYISEVALKSLYTGKPATSCELEVYNKIHQEQHDEFDDSQMKFVF -QSDKEKLNAGEQQKSKDKESRQRDQEGENSNRQIIPDRDINAGTTGTFSVPKLKKISGKLSLPKIKGKGL -LNLDHLLVYVPNQDDISNNIATQKQLEAWHEGVKNAYEVDDQQMEIICNGLMVWCIENGTSGDLQGEWTM -MDGNKQVTFPLKPILDFAKPTLRQIMAHFSQAAESYIEFRNSTERYMPRYGLQRNLTDYGLARYAFDFYR -LTSKTPARAREAHMQMKAAAIRGKSNHMFGLDGNVGTDEENTERHTANDVNRNMHHIAGARF ->ALE99280.1 polyprotein [Habenaria mosaic virus] -MAIQFGTITSEVLAACNVKPQLTHSEILDRAMIEKCGVAKISVLPYIKSLNVQAPTPRIRKAWRVENKGF -GETQIVETIVDTFDNHIVKVEKDVDTKGKVVATSFRTRSAKVARPIVQSLGEKNSLLHKVCALAFKRNIP -VTFIGKRTERVRAARHVTSNFSCMAIVTHHHAGKTRNIDVPNMSSLRDMVVSVAHATWKGNKIHERNIKV -GDSGCIIPREMIEGTVHCDKDDVFIVRGRYSNLLMDAQSYLPMSYCTKVVPYSTAEQYWKGFDVAFRANR -SNQLIHEPGEKLDVEQCGSIAAILHQSLLPCCKITCTTCSKILEESSAEETRQRIGQTARKGAQLIRRNY -RGFEHVYQLLMNHANMLDSVNSNREACGKVQYIIGERTDAPFSHVLRINETLIKGNQATASELSAASNHL -LEIARYLKNRTENIQKGSLRSFRNKVSAKAHLNPQLLCDNQLDADGNFVWGDRAYHAKRFFSNFFEEINP -EHGYDKYIIRKFPNGSRKLAIGQLILSTNLDRLREQLVGEPIKPEPLTDACVSKIHETFIYPCSCVTYDD -GTPVLSEMKAPTSNHLVLVTQGIQSTWTYRQEEEIACSFAKEGYCYMNIFLAMLVNVDKDKAKDFTKWVR -DTIVTQLGQWPTITDVALACFQLSIMFPSVRNAELPRILVDHHTKTLHVLDSYGSLTTGFHILKMNTVDQ -LIKIANETLESEIKHYRVGGTDYAGTDFHARSLKQVIRGVYRPSELRSILNHDPYILTMALLSPAILTGL -FTTGSLYQATLSLIPEDTSARHLVCLLTSLAGRVSRLKDLHDQVNIIEENLGAFLEILSVGDRCSYARAF -MQRTIEARLESISADEELDASGFRTLRWKSVQVLEKIYTEDLEASWQDLQFVEKCYIMLQKLRWRRRIIV -ELSQESAISFKKVYEHCSTGLHLAMKPTSRLVGCCTNKFGNVAKSVHNRLLSGFVYGFRCVFRDLFHFVQ -VLAICNIFLMILDSLLRLRSAYIANARQVHYMREQQNRDKLEKLYSVLKHKLGMEPTFDEFKEFVSGVNP -ELLKQLEGNDELEVEHQAVKRESEARLEQIVAFIALVLMVFDNERSDCVYRVMNKLKNVVGVADQDVNHQ -SMDDENEVFDDNATISFELECEDPVRAYPSSSTLEQWWDNQLALNRTIPHYRTEGYFMEFTRANCAQVIN -EIVHNEHKDILLRGAVGSGKSTGLPAGLSTRGKVLLLESTKPLSRNVFNQLRQDPFHLSPSLMMRDSTTF -GSTPITIMTSGYAFHYFANNAGKLRDYQFVMIDECHVLDANAMAFRCLLEEHDYQGKIIKVSATPPGREV -EFTTQHKVEIRIEDSLSFQQFVATLGTKGNADVTDKADNILVYVASYNEVDKLSKLLQEKGYLVTKVDGR -TMKNGVSDVVTKGTPTKKHFIVATNIIENGVTLDIEAVVDFGTKVVPTLDTDSRRILYSHTSISYGERIQ -RLGRVGRFKPGVALRIGHTQKGICAIPSIIATEAAFLCFIYGLPVMTSQVSTSLLRKCTVQQARVMKLFE -LPTYFMLDLVRHDGTMHPDVHRLLTKYKLRESEIVLNRMAIPHARTYHWMDVRTYNACGTNLTLAPDIKI -PFYCKDLPEQLLENLWSVIQRNKGDAGFRTLKSHDAAKIAYKLHTDEHSIQRTVAIIDALIIEEQTKKAH -FDSLVVNTCSSASFSLQSVSNALRARYKRNNTTENISVLMAAKAQLLDFQHSCYEESIVINPGSQRTINK -VMDNGALETVLHQSREGIIKSLNLQGKWKGTLLTRDLLVCAGVACGGVWLLYQYVQNFMNEPVEHQAKNK -RQKQKLKFRDARDRKVGRIIDSDGCGEAVEWLFGDAYTKKGKRGGKTRGMGTKTRRFVNMYGFDEAEFTY -IRFVDPVTGEILDESVMTDISIVQDHFGDLRREYIGEDKISPQALYSNPGIKAYLVKNKTSPVLEVDLTL -HEPLKLCDNSSTIAGFPEKEGILRQTGPARQIKYEDMPGHEVEHESKSLNRGLRDYTPISKSICLLQNTS -DGCSTTIHGVGYGSLIVSNAHLLKRNNGTLTIKSMHGEFKIQNTTAIRIAPVPNCDLIVLRLPKDFPPFS -TKLKFRVPESNEQVCMVGTNFQEKWMSSTVSSTSYIQHIPGTQFVKHWIDTKDGHCGLPMVASKDGAILG -LHSLTNTKQEYNCFASATSVLMEILDAPEHADWRKGWMYNPNDISWGFMRLKESTPSGLFKPAKSIRDLE -LDIVNEQAQVQERWFKNQLHCNLKAVGHSDSQLVTKHVIKGKCPLFERYLCETPSAAKYFRPLMGAYQKS -RLNRVAYAKDALKYATIIECGLVEPESFEQAIVNVIQILKKAGFSECAYITDPEEIFANLNMKAAVGALY -AGKKKDYFSEYTQEQREEILQQSAERLYKGFKGVWNGAIKAELRTREKVEADKTRTFTAAPIDTLLAGKI -CVDDFNLQFYSLHTKAPWSVGISKFSCGWDALLRKLPDGWIYCDADGSRFDSSLTPYIINAIPIIRLAFM -EKWDIGEVMMRNLYTEIVYTPILTADGTIVKKFKGNNSGQPSTVVDNTLMVLLAMQYALERLSIDFTVQE -QVCVYFANGDDLVVAVAPGYEHILDALQGYFSELGLNYDLSSRHKDRANLWFMSHKGVIRDGLYIPKLES -ERIVSILEWTRANEPAHRLEAICAAMVEAWGYNELLHEIRLFYNWILQQQPYATLAQEGKAPYISECALR -RLYMDKLIEPHEYATYLEKLVASVQTFDDSANFMMHQASEQRVDATNPFGKQAQTKGSDSERSSSRDEQQ -RNEDNQRKDSAPLAPVPDRDINAGTTGTFTVPKLKGMSTKLTIPKVKGKVVVNLQHLLQYTPDQEKLSNT -FATDEQFAIWYNGVKGDYEVSDDEMQIILNGLMVWCIENGTSPNLSGVWVMMDGEEQVTYPIKPLLDHAQ -PTFRQIMHHFSNLAEAYIEKRNYISPYMPRYGRNRNLTDMSLARYAFDFYAVTSRTPERAKEAHMQMKAA -ALRNTSTRMFGLDGKVGTQAEDTERHTAEDVNRNMHNLLGVRGV ->ANF99508.1 polyprotein [Moroccan watermelon mosaic virus] -MAAIMFGSIAVNASNVLGNGHLRVYGGNATQTCRVESCAQPQKPTAIGGSCAIACTNTVGTPVPKKLMAK -SEFAVQQERLSLARRRCLQFNNDLPILRAQLTKEKERESAIFAQLEKRLNLTEARQGKFLLTDKKGRTYW -KVPSKRQLKKVQKSKRRIKTFQAPDSVISTIKVDYESAEEVCCEAARVKTATSRSNKRAVSYRALKGESV -INQLIREISKICKAGNKELEICTGRKKRVIKFKNHQAFVQLRHMKGIIKQRDCETNPELEALFEKICVTA -VGRWNTPSPKIVPGSSGLIVFKGRPLGKYSSSPGNYLIVRGRHEGKLYDARVRIGYIARHKMVHYSSVPE -RFWDGFNTAFIKNRKVTDHNCTSDLDVRTCGEVAALVTLLLFPSHRITCKTCSSKIQDRTIHEIGEQLHT -ELSRLHGSLNEFGGSFGHVSNFLFQLDKTLNARNENFEGFSKINEMIGARKEAPYTHLVHVNEVLIKGSM -ASAREFNEATQSLLEIVRWHSKRTESIQAGSVTSFRNKASGKAHLNLALMCDNQLDKNGNFLWGERQYHA -KRYFANFYEKVDNSKGYEQYVTRPNPNGSRKLAIKNLIISTNFDKLREQMRGEMVHDRPLSKDCISLRRG -NYVHVCSCVTLDDGKPVESELTTPTRNHLVIGNTGDSKYLDLPTPESESLYIAKNGYCYMNIFLAMLVNI -PEGEAKSFTKLVRDVVADKLGMWPTMRDVATAAYYLTVFHPDAANAELPRILVDHEAKVMHVIDSFGSLS -TGYHILKANTVSQLIQFARDPLDSEMKNYMVGGNPDEVPVMPDIFKIIKCVYKQNDMCKLLFDEPYIAVV -ALHSPAVLIAMFNSGSLEVAIKYWIKRDQEVSEMFAMIETLAQKVSVARSIGDQFKEISINSRAIRQQLE -AKIKPWATYDKALELLNVFENTMLTNESLETLGYRTIEPKLKVAVEKIYTLSLQQAWEELSLREKLRARL -FSFGFLKSTTQYLIPTELCASTAVLNLSPRLFINDVKEVLLRPVHIAKNVVVKACSSVGASIRSSTLRAV -NYCFSDLVKLVNVLLVITLLMQVVRHSNSILIEHKQLKQQDVERKTEKEFKELEDLYMQLAFELKDEPTS -SEFLKFVEEKRPKLIEPAKILIGRHVVHQAKTTNEKRMEQILAFVTLIMMFIDAEKSDCVYRVLNKFKGV -VGTIEQDVYHQSLDDIQDLYEDKQQTIDFDLDINEGKEGSLMDVTFSNWWDSQLARNNTVGHYRIGGEFI -EFTRSNASTVAATISHSEHKEFLIRGAVGSGKSTNLPHLLAQKGNVLLIEPTRPLCENVCKQLRGEPFHK -NPTIRMRGLTSFGSTPITVMTSGFALHFYAHNVEQLSEYDFIIFDECHVIDAQAMGFYCLMKEHSIKGKV -LKVSATPPGRETEFSTQHPVKLVTEESISFQQLVANFGTGANSDVTKCANNILVYVASYNEVDQLGKLLL -DKGYLVTKVDGRTMKVGRTEIETKGTSSKKHFIVATNIIENGVTLDIDAVVDFGMKVVPDLDADNRLIRY -SKQPISYGERIQRLGRVGRHKAGIALRIGHTEKGIAEIPELVATEAAFLSFAYGLPVMTHNVGISLLSRC -TVRQARTMLHFELNPLFTVNLVAPDGTMHPKIMELLKGFKLRDSEIRLCSSSIPHGVESIWLTAKEYESL -GCRLTIDGNTRIPFVIKDVPELLYENIWKAVELYRRNIVFGRINSAMAGKIAYTLQTDLHALPRTIATIE -TLIESENAKHAHFKAITSKSCTSTNFSLLSVINSIQSRYMVDHSLDNIKKLQQAKSQLQQFQCRENDVNL -KEMIQSFGAMRAVYHQEANGRSHVVKELELKGIWNKSLLCQDALVSAFVFCGGAFMLWQHYKEQFALKHV -YHQGFSARQRQKLKFKDARIAKLGREVYGDDGTIEHFFGEAYTKKGKGKGKMHGMGVKTRKFVSTYGFKP -EDYSYVRYLDPLTGETVDENVNTDVSLVQEHFGELRNKYLENDMIDKQKIVSAPGIKAYYIRNAAKTALE -VDLTPHNPLKFCDRHIAIAGFPERENDLRQTGMAKEIPISKVPVKNEDTVAHEGKSLYQGMKNYNGISSV -VCHLTNTSGVGSSLYGIGYNSYILTNRHLFRQNNGSLVVQSSHGRFVVKNTLTLKVAPVGKTDIVIIRMP -KDFPPFHSRLRFREPHKADRVCLVGADFQDKYIASKVSEASQIVDDFGGTFGRHWISTNDGDCGLPLVSV -QDGFIIGLHSLSSTANIANYFAMIPENFEETYIKKLDTLKWDSHWRYNSNEISWGSLTIHESKPEEPFRI -VKEIHGLQVYEQNQTHWLYDQLHGNLKAVCRATGNLVTKHVVKGPCMLFQQYLNTHEEANAFFRPLMGHY -MKSKLNREAYTKDLLKYAGDIIVGEVDCDIFEDSLDQVIQLLNDNECPECEYVTCSETIINSLNMDAAVG -ALYSGKKRKYFEGTSYDEREQLVRSSCQRLFEGKLGIWNGSLKAEIRPAEKVLANKTRTFTAAPLDTLLG -AKVCVDDFNNWFYSKNIECPWTVGMTKFYKGWDEFLRKFPDGWVYCDADGSQFDSSLSPYLINAVLQIRL -WAMEEWDIGTEMLKNLYTEITYTPIATPDGTIIKKFKGNNSGQPSTVVDNTLMVLLAMHYALNKAGYNTM -ELQENCVFYINGDDLCIAVHPEHETMLDTFQKSFNELGLNYDFSSRHRNKEDLWFMSHKGVLIDGLYIPK -LEQERIVAILEWDKAKLPEHRLEAISAAIIESWGYPELTNQIRKFYQWVLEQAPYSDLALKGKAPYVSET -GLRNLYTSQRGSPQELEKYITHYFKSESGDCPELMVYHQADDARDAGQGVGDKKEKKEKEKEKEKEKEVR -ASDETGGSSSQEQGKKEKDKDVDVGTKGTFSVPKVKTFNDKMILPRVRGKIALNLEHLLQYNPNQIDLSN -TRATQNQFDRWYDGVKNDYGLNDEEMAIVLNGFMVWCIENGTSPNINGVWTMMDNGEQVEYLLKPMIEHA -SPTLRQIMAHYSNAAEAYIAKRNATERYMPRYGQKRNLRDISLARYAFDFYEMTSKTPERAREAHMQMKA -AAIRGANTRLFGIDGNVGGGEENTERHTVEDVDRDMHSLLGMRK ->ANQ33994.1 polyprotein [Iranian johnsongrass mosaic virus] -MEGTWKKVIRSRRPNIDSPHGMRKAMERWAAKHQVYDEQRALAHNSKILKRVYLPSIVVEQPTVKKVVKR -EWVEKCDNNPTWDLVYPRFSSTSNMRKETIITASVTKLVHKLLCIGAKSGLEIQIIGRKRQRNTKISTVK -QKARKFLHCETRHESGEYKRIDSNLDSFWLDKVQEVAMKYDKMDDDLFANLHKGDSGLTFMKDGKLFIVR -GKHGNEIVNSLEPTSHVMEITHYNDPQANDFWRGYTDAYVANRNESSTHSQHIPTIGLEECGKRMALLEI -LFHSTFKITCKHCNNDDLELADEEFGEKLYKNIQRIENQQSEYLAKDQKLKRMLEFVKKRCNPKFEHLPL -TWQIAETVGHFNDNQSKQIMDINEALIKVNTITTEEATKASLALLELSRWYKNRKEASKADDLSTFRNKI -SPKSTINAALMCDNQLDPNGNFLWGKREYHAKRFFTNYFEVVDPTDSYEKHVTRFNPNGQRKLSIGKLVI -PLNFQKVRDEFTGISITRQPISKSCVSRIDNAYIYPCCCVTTEFGQPAYSEIIPPTKGHITIGNSVDPKI -VDLPQTDPPSMYIAKNGYCYLNIFLAAMINVNEDSAKDYTKFLRDEMIERLGTWPKLKDVATACYMLSVM -YPEIKSAEIPQILVDHEHKTMHVVDSYGSLSTGFHILKANTVGQLIRMMYDSMDSEMREYVVGGTITHKF -FNSLLGMLVKNIFKPDVIRQIMIEEPFLLMMAVASPTVLIALYNNCYIEQAMAYWIVKNQSIAAIFAQLE -ALAKKTSQAELLVQQMTILEKASSQLRYAVMGVSHVDPAKRLLWSHLEAMNTRAAMNKELIEEGYALYDE -RLYAMMEKIYVDQLNQAWAELSYTGRFLAILRVYKVKKYYKPSLVLKRSVDLGATYNISATHLMSNLVQK -SRTQVSSTLTKLRQGVCDKIEKMRLRTVRTVYWLIPDIFRLIHVVLVLSILTTVANTIINIVQDYKKLQK -QVREEEYEREVEEVRSIHAKLMKIHDDSLTREEFLEYIREHHPRLIEATLDLTGVGVIHENKSRLETNLE -QAMAFGTLLTMIFDPNKSDAVFKVLNKMKTVINTFEQNETFPRVDFTSIFNNPVVHQSADVDTPLITSTE -KNLTIDFDTSHDLPADTFANDVTFDQWWSNQLENNRTVPHYRLGGKFVEFTRERAAIVSVEIAHEQIERE -FLLRGAVGSGKSTGLPYHLSLRGKVLMLEPTRPLAENVCRQLQGAPFNVSPTLQMRGLSSFGSTPISIMT -SGFALHLYANNPDKLNGYDFIIFDECHIPDASAMAFYCLIKEYNFNGKILKVSATPPGRELEFSTQHPVE -IHKCENLTHSQFAMELGTGSLADATKYGNNILVYVASYNEVDSLARALSEKHYNVIKVDGRTMKHNVNGI -QTTGTDSRKCFVVATNIIENGVTLDVDVVVDFGLKVTADLDVDNRAVIYKKTNISFGERIQRLGRVGRTK -PGTVIRAGVTMKGVQEIPSMIATDAAFLCFAYGLKVITHNVSTTHLAKCTVKQARTMMQFELSPFLMVEL -VKYDGPMHPQIHEKLKKFKLKDSTVMLRPNALPYTNVQNWITAREYNRIGCNLELEDFVKIPYYVRDVPD -RLYADIYDVIVKYQSTNCMGRLSSACAGKVAYTLRIDPHVLPRTIAILNALIAEEYAKRDHYHAISANPS -SSHAFSLSGIISCISTRYMKDYTKENIEKLVRVRDQLLEFQGTGANFKQPEDLMEFGALNTVIHQSTEQL -SQCLGLQGRWNMPLIQRDLLIAAGVFTGGALMLWCVFTKWTKERVIHQGKNKRTRQKLKFVKARDAKYGY -AVTGSEECIGENFGTAYTKKGKQKGTKVGLGAKQHKFYHMYGVDPQDYNMIRFVDPLTGTTLDEQTITDI -KLVQEHFDAIREEAINNDLIEKQHVYSNPGLRAFFIQNGSTNALRVDLTPHEPLRVVKGNNIAGFPEQEG -TLRQTGTPIVVPLSQVPEANEELVLHEAKSMMMGLSDFNPISRHVCVIENDSNGIRVNVYAIGYGSYLIT -PAHLFKHNNGEITIRSSRGVYKIRNSVDVKLHPITGRDMVVMQLPKDFPPYPKKINFSQPCVEDRVCMVG -VNFQQEYSSCVVSESSVTAPKGNSSFWKHWISTSNGQCGLPLVNVRTKDIVGIHSLASTNNTTNFFVAIP -PKFTEYLNELSEMNKWEKGWHYNPNLISWSGLNLVSAAPTGLFKTSKLVEDLSDDVSEQCKVQETWLTRS -IQDNLQVVAKCPGQLVTKHVVKGQCPHFSLYLSTHDAARDFFKPLMGAYDKSRLNKAAFTKDLLKYSKPT -YIGEVNHELFEKTVKRVEAILRRAGIKQCVFVTDETEIFKSLNMNAAVGALYTGKKKDYFASFTDLDKEE -IVKQSCERLYNGQIGVWNGSLKAEIRSIEKTLANKTRTFTAAPLETLLGGKVCVDDFNNQFYEHHLVGPW -TVGITKFYGGWNRLLEALPDNWIYCDADGSQFDSSLTPYLINAVLQIRLNFMESWPIGEQMLKNLYTEIV -FTPIATPDGSIIKKFKGNNSGQPSTVVDNTIMVILAFNYTLLACGVDENMIDSTCKMFANGDDLLIAIHP -DFEYLLDEFQKHFSSLGLNFDFSSRTRDKSELWYMSTRGIKIDGMYVPKLEKERIVAILEWDRSKLPEHR -LEAICAAMIEAWGYPDLLHEIRKFYAWLLEMQPFANLAKEGKAPYIAETALRNLYTGNGTKEDEIEKYLK -QFIKDLPGYIDDYNEDVIHQSGTVDVGQQKSQSDAQDKGTEGAANKDKQSKENESGKAAQNEKEKTETSA -ANSQKSDGNTSQEGKKDKDVDTGTTGTIAVPKLKAMTKKMRLPKANGKAILNLDFLLTYAPQQQDISNTR -ATQEEFNRWYNAIKKEYEVEDSQMGVLMDGLMVWCIENGTSPNINGVWTMMDGDTQVTYPLKPVVENASP -TLRQIMHHFSDAAEAYIEYRNSKERYMPRYGLQRNLTDFNLARYAFDFYEVTSKTTTRAKEAHMQMKAAA -VRGSSSRMFGLDGNVGETQENTERHTAGDVSRNMHSLLGVQQGH ->AFA26424.2 polyprotein [Bean yellow mosaic virus] -MTTINIGTIPVVINQNADTQMGEGTKNIFPIVKDFVDPFADLERRCAERVKRMGELCFSKKGRYITMIPK -PDYIKAREKEQREEELNFQNSEHVLNSLDTTCTPKHHSSRNNGMQVSFKTQHYKRTIRKPRIQAKKRDLK -GQHTIHYVAKELLSIVKKRDMVLEVADKRKHANFATFRRYGKTYGMHITLNHMVRKRRRVDVTLNKLMTE -IAMHCAIPFECLDTLTLRKGHSGLVLQTETVPNVHKIKSKITIVRGVVNEGNIPVLIDARKKLSGRDMST -IREFSAGDLFWKGYNRTFIDNRPTDLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVENFLNQNN -KERFNNASVFINQVIQLLEKGFSEFKHSKEILLMFKERLQMENPATDQCMEIAKATAALPEAPFSHIKEI -NNVLLKYGSLSNEEVGGASKHLLEVVRYIRNRTDSIQRNDLSKFRNKISSKTHINLDLMCDNQLDKNANF -VWGQRAYHAKRFLSNYFNEINPSEGYDKFIFRKLPNGARELAIGRLIMPTNFEAFREQMRGKMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGTAVISDFRMPTKYHLVLGGNDLAKYIELPTDTTGNMYIAKDGFCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACWQLTVWFPDTLSAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKYYRVGGDCNFGSRVRIDTKFLLKSIYRPDLLERI -IEHEPFVLVLAMQSPAVLLALFNSASLEKAVQYWMHREMQVSHIMTLLAVLASNVSASKLLTTQFEIIEA -SAPQILAEMDKVHLPMHSIHSANVFLMNMSESRETDKTIDELGFYSFKKSSRILMEKTLMADLEEQWQGL -GLLERLSLMKRSWRVRAKYSSFAIQREEPGIRDKFTTSLKLSGAQIKQQLLAQKDQAVHFVERRIEGTRK -FVANQSISLIKMCLPRLADIVNILTVIALLNAILAFMLDHIKRFNEARRIAQEKKEKQRLKELNTLYNKY -WDNERPTYLEFKSDVIEKLPHTLATFEKYYFEDDKYTFQAKPNDMVALEKIVAVTALILMIFDAERSDCV -YKVLNKLKGILSTTTQDAYRFQSLDTSKTLLEEKQMTIDFEINEGEVKAFSGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEIAHNDAHDILVRGAVGSGKSTGLPFYLSNKGKVLMIESTRPLAENV -FKQLKSEPFYASPTLRMRGTTSYGASPITIMTSGYALHYYANNPAMMKEYKFVIIDECHVHDANAIAFVS -LLKEYSFDGKLIKVSATPPGREVEFTTQYPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLDRGHIVTKVDGRTMKNGKTEIESKGSRSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLMRYTKQNVSYGERIQRLGRVGRHKAGKALRIGVTEKGLVKPPSVITTEAAFYCFAYGLPVMA -EGVTPSLLSKCTVQQARSMMSFELPIMYTVNLVRFDGTMHPSWHNLLKPYKLRDSNVVLNKMAIPHGNVR -SWPTVRDFKCMGVRIDAPEDTRAPFHARDIPDKLHKEIFEVCCKYKGDAGFSKLNVVNACKIAYTLQTDP -SSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLSNIINAIRARSTSDYTQENLSVLHSARAQLL -EFKNINSDFSNLSTLSEFGALECLQFESLQGVSKHLQLKGHWNKPVLIQDSLIAAGVLGGGCWMLYQYFK -QETSKAFVFQGKNKRTKQKLGFRDARDMKGRMEVYADEGTIVENFGCKYTKKGKVRGTTTGMGTKTRRFT -NMYGFDPTEYSFARYLDPITGETLDEQPITNLNLVSEHFQEMRRKYRENDLMELQHFASNPRIEAYFVKD -AGQKVLKVDLTPHKPLLYSDKFGNIMGYPEREGELRQTGTAEFIDPKELPEPKESTDFDFESLSKIGGLR -DYNPIAANVCLLENESAEYCDEIYGIGYGNVIITNQHLFRHNNGELTIKSKHGTFKCKNTCALKLLPIEG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFFKHWISTVAG -QCGNPMVSTKDGFIVGIHSLTAISGDLNVFTSIPPNFEDEVLKQMSKKNWCCGWKLNTSQIGWDGIKIVD -DQPKDPFPVSMMVGLLNDLQLSFQSAKNTKWLLERAHGNVKAVAQASSALVTKHVVKGKCRLFEVYLTTD -EEAEKFFRPLMGAYQKSRLNREAYVKDLMKYATPIEVGLVDTRCFERCFEKVQNMLELKGFSKCNYVTYG -PDILNALNMKAAMGALYSGKKKDHFSEISEEKFDNILQASCERLYSGRMGVWNGSLKAELRPQEKVLANK -TRSFTAAPIDTLLAGKVCVDDFNNKFYSLHLKIPSTVGITKFYGGWDRLLNSLPDGWVYCDADGSQFDSS -LTPYLLNAVLEMRLRLMEEWDLGEQMLKNLYTEIVYTPILTPDGTIVKKFKGNTSGQPSTVVDNTLMVIR -AFFYAAEKVGNQGNLKNTLVFLAKGKARLFAFNPKGEPSLDKLGGWFRERGLRFIFITKPKNKGDWGFRP -QGGFQMGGRGFPKLEKERFFPFREGNKLFHPKHKLEEIGPPWMEPGAFPILLTQKGRSSPGFWGQAPYIH -LRAKGKPPCFSKPPPSLLYLEKRETPPELEKYHSPIIDGLEPKGDEVLTCPIPSQEKKLMAGKNKKEQAR -IIVVLPSKNSKKQTIRLILPERNVNAGPVETFSVPKIKKIAGKLDLPKIGGKFFLILDPLRNYNSPENDF -STSIATQALVRAWYKGVRQAYEVEDSPMVILRDGLMVGCIEHGPSGYLQGEWTMMDGEEQVTYPLKPILD -NAKPTFRQIMSHFSQVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYRLTSKTPVRAREAHMQM -KAAAVRGKSNRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI ->AFO59880.1 polyprotein [Tobacco vein banding mosaic virus] -MAETMITGLINRIAVAGCKTERARIVPPAPFIQFGTIERRMLNFNDYSNKIIEEYEQTMSAFDEQCRDIA -QKAHKRVVGTRRKTNGTLIYRRMSVAKAGRLERKRQRRLDAEKAFQNSDPYIITKIDNAWHCNIKASEPE -KRGLIFCTRSQKVRKNYKNAVHLKHTTTLDLAMSICSSFVKEGKPIEIIGMGKRRAVKCRSIHAENRRVL -KVDTAHERCAKRSIDLKMDPSISLILEFLAIHTWKGCIISDQQVKKGMSGFVIPLAWFTADIPDANNSIF -IVRGRDGDDLVDAREFIPRYAIDSIEHYSAAEQFWKGFDETFRSFRTTTTMHERKNNVLDVVQCGEVAGI -ICQSLMPCCRITCIQCANEYATKSAEEVRTHIENTLNKGISGIQQRYPNFPHTIALLETYKTMLNSVNTN -REACGKVHFLIGDRTEQPFSHVLRVNEVLIKGNRATSSELSMASNELLEVARYLRNRTDNIKKGSLATFR -NKVSAKAHINPSLMYDNQLDANGNFVWGKRGYHAKRFFANYFDVIQPADGYDKFIIRKFPNGSRKLAIQN -LILPRNLDTLMKQLEGESIELQPLTQSCISKRHERNIYPCCCVTLEDGTPEYSEFKAPTKNHIVLGNSGD -SKYLDMPADISENLYIAKEGYCYINIFLAMLVNVDEKDAKDYTKWVRDVVTEQLKEWPTMIQVALACYQL -SVLFPSVKSAELPRILVDHKTQTMHVIDSYGSATTGYHILKANTVSQLEKFASDTLESEMKHYRVGGLIE -DNVGHHAAVKLLIQSVYKPKVLKTLLMEEPYLIVLSIISPSVLIALYKSGSLYRAVQMLQNKEPTLRMVI -SLLTMLATKVSRADDLYSQYQLIQDHAERFREVLNNGDKHSISRRLAEQFIEVQIAVAESNHDTESLGFR -TTKVKGLALIEKIYQQDLEELWQGLSLLEKCSCILWQSRHRRKLRVELGENGTLDLSKALVTFKQYAQDN -FDKVLLPIHKRSHYVTDVPMRILRGVRCRVVGTFSYLFSDLFRFVQVLAILSVLLAIFNNIYAFTCQYRE -FKMIEASREEEKKLESLDKLYAHLSYKLGEKPTFEEFHQFVSACNPQLVEFLEVSYAPVVEHQAVKRASE -ARLEQIVAFIALVMMIFDNDRSDCVYRVLNKFKNIVGIADQEVIHQSLDDIKTNFDESNETIDFELVTGE -TAPTPYKSTVFSDWWSNQLNMGRTIPHYRTEGHFMEFTRDTVATVVSNIIQSEKRDFLIRGAVGSGKSTG -LPAQLAKKGKVLIVEPTRPLSENVFTQLRSQPFHLSPTLLMRNSSHFGSTPISIMTSGYALHYLANSGTA -LNTFDFIIMDECHVLDANAMALYSLLHDREYTGKILKVSATPPGREVEFKTQFPVKLKIEESLSFQQFVE -AQGTGTNSDVTDEADNILVYVSSYNEVDQLSKMLGPKGYKVTKVDGRTTKNGSTSIKTSGTSAKKHFIVA -TNIIENGVTLDIEAVVDFGMKVSPSLDVDGRRIMYSKVCINYGERIQRLGRVGRVKPGVALRIGSTQKGI -EAIPNIIATEAAFSCFVYGLPVMTSQVSTSLLSRCTVQQAKTAMLFELPSYFMINFIDANGSMHPHIHEV -LKKFKLRESEIQLNKMAIPYAVVNQWLTVTEYNRSGNALQIAPECRIPFLVKEIPDTLYEKLWQVVTDNK -GDAGFRRLTTHNAARVAYKLRTDEHSLQKTIGILNQLIIAERERQAHFESLASFSLGSQCYSLQSICNAY -KSRYAKNHTTENISVLEAARAQLIEFSNVYGESIYVGEMSDLQIRDEVVEFGALETVVHQSKDEIAAALK -LKSRWNKSLITRDLLVCFGVAAGGAWMIYQYLMDRCNEVVEHQGKNKKGAQRLKFRNARDAKVGRVVFDD -DSGAVEHLFGAAYTKKGKKTGKAVGLGKKTRRFVNMYGFDPTEYAFVRFVDPVTGEMIDENPVTDIKLVE -EHFDDIRFQHLQDEKVPIQALYSNPGLTAYFVKDKTSPVLKVDLTHHVPLKLCDNSSTIAGFPEKENMLR -QTGAAKPIDFSELPILVEGVDHEAKSLNKGLRDYNSVAKCICLLENDSDGSSISIHGIGFGPLIITNRHL -FKRNNGTLTVKSLHGEFKVVNSASIRVFPVENCDILILRMPKDFPPFPSKLKLRAPKTSDVVCLVGSNFQ -EKYTSSMVSSSSNISHVANSSFWRHWIDTQSGHCGLPLVSLSDGNLIGIHSLMSVHSEHNMFTGFPETFS -ECIAKTDNIVWARGWKYNPSEISWGNLKLKASAPTGLFKTSKLIEDLHREMVEEQSHERWVYDALHGNLK -AVAASESQLVTKHVVKGECQLFQLYLNNHPEAAAFFRPLMGFYQKSKLNKVAYLKDFLKYATVIEVGVVD -PETFELAVSDTVQDLKRVGFPECDYVTDESTIFQALNMKSAVGALYKGKKRDYFSAFTLEQMEQIVQDSC -ERLYSGKLGVWNGSIKAELRPKEKVLENKTRTFTTAPLDTLLAGKVCVDDFNNFFYSFNLEGPWSVGMSK -FTRGWDTLLQKLPSGWLYCDADGSRFDSSLTPYLINAVLQIRLTFMEPWDIGEQMLKNLYAEIIYTPILA -ADGTIVKKFKGNNSGQPSTVVDNTLMVIITMHYALRRAGISYENFSEHCAFVANGDDLIIAVAPGSEHTL -DTLQGSFHELGLNYDFSSRTHNKEELCFMSHYGVPRENCLIPKLEQERIVSILEWDRATEPQHRLEAICA -AMVEAWGYDELLYNIRLFYAWVLEQAPYNELARQGMAPYISENALRRLYLGEEGDISLYLQSLVQNQWKD -EQEEVVHQGDNQTVDAGKNLQSNQKQGNAQVGNASSGGVKDKDKDVNVGTSGTFSIPRLRGLSTKLNLPR -IKGKEVVNLQHLLEYTPDQVSLSNTRALNSQFASWYEGVKGDYDLDDAQMEIVLNGLMVWCIENGTSPNL -NGMWVMMDGDEQVEYPIKPLLEHAKPTFRQIMAHFSNLAEAYIEKRNAEKPYMPRYGLQRNLTDMTLARY -AFDFYEINSRTPTRAREAHIQMKAAAVANSKNNLFGLDGNVSTKEENTERHTATDVNRNMHHLLGVSGV ->BAJ07195.1 polyprotein [Sweet potato feathery mottle virus] -MASATVSVKPAGKRKLTWRECCNKWGKAAMEQQQAAYPRRKVELSRNQLAANIFAFVPETEWHKYYFGNR -GGPSPQLEVLSNAIQYGEVFKYKFNFTFCPECDEFLEGHKCEECGTIFRKTDDNLADNMNVVARELGGYD -AYYASTWSEFEQAKLELEEVAPTAGQLRRQARAAEKLLDKRSTRKEKEYVDSLWEAVEEAETKETGEASD -ASEKAAELSGISSSATADEMFPPLVGNKNTEVITTTSDVIVSECPNTQFGQINEFTPEEGKDEKVVESLV -EEAENVTVPAVMPIETGFFFGTIPAIIPLPVVVPQQGNMGVLQPAFMQVGTIPVNGIALADTHLEIKETA -VKKAEPTKEDTDVGAQKSTSLPAHLYPWAPRSKNSGATRHQMVRKWVKKTQEAEEVKQKAVWKKLDEQLA -VRNEAKKDLKVKWRWGLYRLVKKTRKDNQRQRQKKRMEKEQQLLLAMPPQILTGISIAGGPVASLQETPT -VSGKILSTPSMKRKRILKSPTLSSDKIQELIQATLKIACKQGLQVEIINKKTVKGAYRQHGGTNHLFLHL -KHMEGWRKPVDLHIHQEDIELVTMAARVGAWNKKFHTSQLCRGTSGLVLNPDKLTGPRGHAPKGLFVVRG -ALAGVVYDARMRLGRSILPFIEQFSSTMERFLRGFDEKFKQLRQVDSDHVCESTYDAEQAGAVAAIIHHM -VCPMNRTTCKHCSNKIEDLSKDEWCDYVRNFANKNKLPFQLEFKDFKHLPLIIDFITDSLVQTNKDVRAF -NEIQTLIGNRTDAPFTTVCEVNKVLVKGGRAKSAEFAKASEALLELARYLKNRTENIKKGSLQSFRNKIS -QKAAMNLALMCDNQLDKNGNLIWGERGYHSKRFFSNYFEEINPEEGYSKYIVRSNPNGTRKLAIGKLIVS -TNFSVFREQMKGEPIHKQKLDNHCTSTLDGNFIYPCCCVTYDDGQPMESEFKLPTKNHLVIGNSGDPKYV -DMPPEISKKMYIAKEGYCYINIFLAMLVNVNEAEAKDFTKQVRDVLMEKLGKWPTMFDVATACAFMSVFY -PETRNAELPRILIDHTTKTMHVLDSFGSLSTGYHILKANTVSQLIKFANSSLDSEMKHYLVGGLTALPAN -EERCVRTVIKGVYRPKILYEILSEDPYMLMLSVISPRVILALFNSGSLDRAMDTWITKDQEVAVIIGTLS -ELARKVSTSRVLEQQLQIIEGQSHTLLFDDSTTRRRTPSFALSQRVIRSLSERRETNRVLHEQGHTVSAY -ASSHELMEKIWDTLLRQEYAELTLLEKCSLIMRSSRYMRCIQGTYLRQSLDDFRSRVDASYTALHTKSVA -LSNTCQEGFVSRVANIHARCVRTIVQTSLSAIRFAVPDILKFVNLLLVVNLILQIAHVARNMVQKHQQAQ -IDLNAYLFDQEIDKVNVIYDSFCKKVGGEPTMDEFLAHIEYINPTLLGTAKWLCYTADIEVEHQGKSRKE -IQYERIIAFISLLLMVVDSERSDCVYKILSKLRGLMGCIDGGVYHQSLDDITSILEEKNLTIDFELQGDE -QLSNVMTDATFDEWWRKQLETNRTITHYRTEGTFIEFTRSNAVSVANNIATLPTNDILVRGAVGSGKSTG -LPFYLSRKGRVLLLEPTRPLAENVHRQLGGDPFMVQATLRMRGLTVFGSHPINIMTTGFAFHYYANNPEQ -LREYEFIIFDECHVNDAQAMAFRCLLKEHEFSGKIIKASATPPGREVDFATQHPVQIKVEESLSFKAFVE -AQGTGSNADVVAVADNILVYVASYNEVDELSRLLVGANHKVTKVDGRTMKVGSVEIKTTGTSSKKHFIVA -TNIIENGVTLDIEAVVDFGTKVTAYLDVDLRMIRTSKGPISYGERIQRLGRVGRNKAGIALRIGYTEKGL -VDIPQTVATEAAFLCFAYGLPVMTPNVSTSLLGSCTVKQARTMLQFELTPFYMVNMVRFDGSMHHAIHQL -LKRYKLRDAETTLNKLAIPNRGITGWMSAADYRKVGQRLDIEDQIRIPFYHQSMPEKLHSDIWEAIQRYK -HEAGFGRVSCINSCKIAYTLQTDVYAIPRTIKILDALIADEMRKKEHYKTITGRTVSSSNFTLNSIATLW -RNRYAQDYTSENIAVLSSARAQLLEFENLSMDASYNEMSKGILETYVRENGATTCVLHQTKSDLAKHLRL -KGVWNKSVITQDLLVLAGVFVGGIWMVFSGAKESFEQTVTHQGKEKRQRQKLKFRQARDRKLGFEVHADD -GTIEHYFGSAYTAKGKQKGKVTGMGAKNRKFINMYGFDPTEYSFVRFVDPLTGLVFDDSPYTDIQLVQEK -IGDARHKAILEDKLSRERISYNPGISAYYINEITNAALKVDLTPHNPLLACERHNTIAGHPDHEGELRQT -GSVVKIDIRDVPENPEEALLVGHESKSLFRGLRDYNPIASVICHLVNEADGRTSDSYGIGYGGLIITNRH -LFKRNNGTLTIRSRHGEFVIKNTTQLGMKPCADRDILIIKMPKDIPPFPQRLQFRVPKENERICLVGSNF -QDKSITSTVSETSVTCHVPNSHFWKHWIDTKDGHCGLPLVSTVDGAILGVHSLSNLTNTQNFFAAVPVNF -EIDYLKTPEATEWIKKWSYNPSEICWGTLELKTGQPVAPFKVTKLITDLDSMQVYAQARSDKWVLDRLYG -NLKAVGQCPAQLVTKHVVKGKCMLFDLYLQQDHDVRSFFKPLMGAYGKSRLNKEAYNKDLFKYATPINAG -DVCHKTFEQAELAVVEMLKANGFHKCNYITDPEEIVKALNMKAAVGAMYSGKKKDYFDGMSDKDIENLLF -HSCKRLYMGQKGLWNGSLKAELRPMAKVELNKTRTFTAAPLDTLLGGKTCVDDFNNMFYNNHLKCPWTVG -ITKFYQGWDRLLTSLPENWIYCDADGSQFDSSLSPYLINAVLNIRREFMEEWDIGDQMLRNLYTEIVYTP -ILTPDGTIVKKFKGNNSGQPSTVVDNTLMVVLAVYYTLLKLGVSEFEISERCVFFANGDDLLIAMRPDTA -HLLDSFNECFAELGLNYDFSSRTTKKEELWFMSHCGIKRDGIYIPKLEPERIVSILEWDRSHEPVHRLEA -ICAAMVEAWGYDELLHQIRKFYAWVLGQAPYSELARTGKAPYIAETALKSLYTGVQPSADDLSEYTRVLN -EMYDDSLLQDNDLSVYHQSGNPSEFKDAGANPPAPKPKGPYTAPEITEVTEPEDPKQAALREARQKQPAV -IPESYGRDTSEKPMRSVSPQRVKDKDVNVGTTGTFVVPRVKLHTSKMRQPRINGISVVNLQHLATYEPEQ -HNIGNTRSTQEQFRAWYEGVKGDYGVDDAGMAILLNGLMVWCIENGTSPNINGVWTMMDGDEQVAYPIKP -LLDHAVPTFRQIMTHFSDVAEAYIEMRNRTKAYMPRYGLQRNLTDMSLARYAFDFYELHSTTPARAKEAH -MQMKAAALKNAHNRLFGLDGNVSTQEEDTERHTATDVTRNIHNLLGMRGVQ ->AFQ95552.1 polyprotein [Narcissus late season yellows virus] -MAAITINTASGAKISMATHLAQIHKASTFTFEGCSFAITTNPPRKPCTPPAIKTRRAGMQARMEWEELQR -DIAFRKWEAMRFGDKQGSGVRKETHEISTVIEPTWKEIRKAQLDEKALVKSLQECHYGPWGPQVNGAALS -TMRRTEKQPPQVVKKAPLNITRSRKVSKAKPNFVKLSTTQLNAFCKQLFKIASRHHRVAEVVDRSTAVRL -KFEYFNGGTYARVHVHHMDEQMRPVDVSSTPFIDKMLESAMRVTSGNRAHTSDEITHGWSGLLLNRDKLH -GIRIHKTRKSFIVRGKDGKQLVDARIRVSTVRMKRMHHFTSPGEKFWKGFNTAFLTNRVYDREHVCETNL -DVEECGTVAAIMCLAMYPCGRITCMKCVDDNINSEGQATQHKISEKVSKAIDIVREEHPSFTHALMIMER -YMNSLADVSSNYDAFAEIQGITGGRNISAFAHLNKLNAILVKGPMATQVEFLEGMTHLLEVARYMKNRTE -NIEKGTLKSFRNKISQKAHINPTLMCDNQLDKNGNFIWGERGYHAKRFFGNYFDVIDPMEGYSKYEVRPN -PNGTRKLAIGRLLVPTNFEALREQMRGECVKPQPLTKECVSMLRGDYAHACCCVTTDGGEPLLSNLRMPT -KHHLVIGNSGDSKYVDLPATEGNKMYIAKNGYCYINIFLAMLVNVNESDAKAFTKMVRDVLIDKLGTWPS -LLDVATACCLLKFFFPDVSNAELPRMFVDHESKTIHVIDSYGSQTTGYHILKTNTIEQLVKFTEFGLESD -LKHYLVGGDPLAEETTEGVMSDPNWNLKLLVQGIYKPSVMRENLIWNRYLPLYAMLSPGILLALYNSGSL -ESLIKYFLQKDNDLVVLLVVLESLAQKVSKSSSVLAQLRILEHGAPHVIEAVQNIKQKHILPYNTVMKML -MVLSARAEANLELDAAGYNQIRLTSIEVMEKNYLQILENQWKELSWSSKLLVIFRSSKFAMHTRRCLVPE -STADLRGRYSESITSYFGQARQKLHEVKSCALLRFQEKAQAARMYTSRRACSIINYMIPDVVKFINVLLV -VSLLLSIARECQRLLLAHAEMKSQAAQIREDKDAQQIDLLYKMYMMEHKETPTKEGFLAYIGKQQPELLE -YFHQDEEVKHQAKRRSEQELEKIVAFIALILMMFDSERSDCVTKILNKLKNLMSSAEPDVYHQSLDDIQD -ELSEKSLTIDFELALDESQTQALMRERTFQEWWDQQITRGTTVPHYRTEGHLVTFTRSTAAQVANNIAHD -NKTDILLMGAVGSGKSTGLPFYLSKKGNVLLLEPTRPLAENVHKQLAKEPFYTNTTLRMRGLTIFGAAPI -TIMTTGFALHYFAHNRVRLNEYDYIILDECHVHDANAMALRCLIHDNQYNGKLIKASATPPGREITFNPQ -HPVNIIPEEILSLQGFAQAQGSGSNCDVTQHGDNILVYVASYGEVDILSALLLEKNYKVTKVDGRTMKIG -KVEIVTNGTPNKKHFIVATNIIENGVTLDVEVVVDFGTKVVPFLDCDNRMMCYNKVAINYGERIQRVGRV -GRHKPGCALRIGHTEKGLSEVPSSIATEAAFKCFTYGLPVITNNVSTSLLAHATVPQARTMAQFELTPFY -MVHFVRFDGSMHPALHSLFKKFKLRDSEIFLNKLAIPNKGLRNWMTGAEYARLGCQVDDRQDIRIPFVCK -GIPDKLHTEVWETIVKFKADAGFGRLTSASACKIAYTLQTDITAIQRTVRIIDTLIAEERVKQEYFKTVT -SNVVSSSNFSLQSISNAIRSRFASDHTRENIGVLEAAKAQLCEFRNLNMDHSFTRINDTISQNFIRDFGA -LETVQHQSTHDVSKCLGIKGRWNTSLITRDVLVLAGVLGGGIWMLLSHYATAASEMVHHEAKGKRQQQKL -KFRQARDNKNGREVYGDDGTIEHYFGAAYRAKGKVAGKVRGMGAKQRRFVNMYGFDPEDFSAVRFVDPLT -GATLDENPNTDIHLIQEHFTVIREEKIGQDELDVQRVRASPGIEAYYINNRTGKALKVDLTPHNPLRSCD -KKATIAGFPEREFELRQTGAPRPIRMEEVPKEQEDTGVNHESESLFKGLRDYNPIASSVCKLTNNSDGHT -DSLYGVGFGPLILTNRHLFERNNGTLLIQTRNGDFLVKNTTQLHLFPIPDRDLILVRLPKDVPRFPQRLV -FRSPVDNERICMVGSNFQAKSVSSLVSESSTTLHEKGSNFWKHWISTKDGQCGTPLVSTRDGAILGLHSL -SNFANSINYFTSFPEEFTKQYLDTHDNHEWAKHWKYNTDSISWGSLNIKAAQPKGLFKTSKLVMDLDDTA -VYSQMSRGGWMRDQIYGNLKAIASVPNQLVTKHTVKGKCQMFDLYLRLHEEAREFFTPMLGFYQKSRLNR -EAYAKDLLKYASVIEVGIVKSEIFEEVVNVVIKDLWKLGFQTCNYITDENSIFEALNMKSAVGALYQGKK -RDYFMDFTPQMKEDILYESCERLFKGEMGVWNGSLKSELRPTEKVEANKTRTFTAAPIDTLLAGKVCVDD -FNNQFYDYHLKGPWSVGMTKFYGGWDDLLSKLPDGWVYCDADGSQFDSSLSPYLINAVLHIRQEFMEEWN -VGERMLSNLYTEIVYTPISTPDGTLVKKFKGNNSGQPSTVVDNTVMVILAMRYSLLKAGVPTEQHSEMCR -FLVNGDDLLLALSPEFECILDDLASSFSELGLKYTFDQRTREKGELWFMSHKGIKREGIWIPKLEPERVV -SILEWDRSKEPEHRLEAICAAMIESWGYDELTREIRKFYTWLLSQAPYSGLAQEGKAPYIAETALRKLYL -NKDAEQSDIMRYMEAILEDFDDGTPLEVYHQSGKQTLDAGVEEERNNSKNKEKKTTDESGVRPPSMNRDK -DVNVGVSGSFPVPRLKMITRKLTLPLYNKRIALNLDHLITYTPRQVDLSNTRATQRQFDTWYEGVMADYD -VDESKMAIILNGLMVWCIENGTSPNINGVWVMMDGEEQVEFPLKPVIEHAKPTLRQIMAHFSNGAEAYIE -MRNYQQPYMPRYGLQRNLTDMSLARFAFDFYEMTSKTPIRAREAHLQMKAAALRGTQNHLFGLDGNVGTT -EENTERHTTDDVNRNMHSLLGVRGV ->ANA48364.1 polyprotein [Ornithogalum mosaic virus] -MALSFTTQMASDFTGLAGLSFGSFEPSIKPLRMGQFLVQQAEKLTEKAFALYKVDVSPIPSKVKPVKEAE -QKKTVEDYTIPQQLVTKIDVPEVVLKPETLATGIKRATSVKRPSKKPRAPIIKSTAQINSLFDQLVIIMK -KKLGTVEVAGSSGLIKFKRTEYGTLPVAMVKHMRHKLSAIDLNVTGWASKVLQRIANIARPRTNIETCFL -AKGDSGLLVNKSHLLGAMVSDAKRGFLVVRGRCVHGIVDAVSKATKKLLSMTVHYSLGTQYFEAFSIEFL -KHRVVTHEGPCNRDIPLTDIARVHAQLHQLFFGCGKITCLKCMQEVATRGEQNFLEPVLNGVASLENELR -EVSLPHIAALMKFITVEREKRNIDINLHADVLKITNGKEGEIFKMISRLNDALSKSGFGDSQALRTAHVE -LLQIARWCKNRTDINQSGNLQNFRNKISGKAHINTALMCDNQLDTNGNFLWGKRGYHAKRFFANYFEKIN -PKDGYAQHRLRKNPNGARELAIGNLLMSTNFEVLRQQLKGHAIESVGITEACVSRLNNNFLYSCCCATLD -DGKPVLSDLKIPTKNHLVIGNTGDAKYVDLPTNDDEYLYIAKEGYCYVNIFLAMLVNVSEKDAKEFTKTM -VRDLVVPKLGQWPKLIDVATACHLLSVFFPDTRNAELPRILVDHGNKTMHVVDSYGSLTTGYHVLKATTV -NQLIGFASDELHSDMKEYYVGGSQKPATAWDLSKTLIKGVYRPNVMREVLELEPCLLALGILSPRLLIAL -FNSGSLDKAASYWMGKDDSIVRMVAILEMLAKKVSMARLLVEQKQILDQHAQHVFNEVFTGFRQQMSYHT -VCETLLVMKARCDSDEQLGNLGFLLHDTQVVELFEKNYQNELEAAWNDLTWREKLYASWHWSKSQRKLQE -FYVLQDTGGSKDACCKSVQQFAASTTESYRSVRAGCYKYAISLRNRIRRRFVQSIFSIIYISLQDLMKFA -NVLAIIALLMSIISQAHDWLRIHQKYKMQKNLEFQSKMDLVIQHAYASAYVQDVRPTTEEFEAKLKELGE -DVWSYYETYWLGAAGVKHQAKNPQEHQLEQVIAFMTLLSMMYSPERSDGLFKILNKVKGVLGTIEGGVYH -QLDEIQDVFSEKNLTIDFELDGENQIDHTTFDVTFGNWWQQQLDSNRVVPHYRNGGHFLEFTRNTCVEVC -NRIHTTAQQEFLIRGGVGSGKSTGLPFHLSGRGQVLIIEPTRPLAENVCKQLRGEPFLTFPTLRMRGLVS -CGSAPIDIMTSGYALHYLANTRTMLNAYSFIIFDECHVLDSAAMAFYSLLKESQFQGKILKVSATPPGRE -TEFSTMRTVTLATEESISFDAFVKQQGTGSNVDVVSKGDNILVYVASYNEVDSLSKLLTDKGYIVTKVDG -RTMKVGSVEIQTRGSPSHKHFIVATNIIENGVTLDIDVVVDFGTKVIADIDIDNRMMSFKKIPVSYGERI -QRLGRVGRVKDGHALRIGSTEKGVLEIPTAVANDAAFLCFVYGLPVMTHNVTTSLLANCTRKQATTMMQF -ELSPYFTVGLVRFNGTMHPIIHDMLKSYKLRDSEIHLSTLAVPFKQTGSWITVSQYRAMGAKLELPDTTR -LPFYLKDIPDRLYEKIWKCVIEHRGDAGFGRLTTHCAAKVAYTLSTDPVAIPRTVTIIDALIKQEQEKQA -YFRTLQMQNCSVGSFSLASIANALRSRYSVDHTSDNIEILQRAKAQLMDYSTTNPTVQSAEMLTNYGVLN -VVQHQSAPGVVKALQLKGKWKGELLTRDIFISGCVFVGGIWMIWKYFKSKSLESVIHQGMGKRQRQKLKF -RNTALGKLGREVYGDDDTIEHHFGSAYTAKGKTKGRHTTRGMGTKTRHFMNIYGFDPSEYTIVRYVDPLT -GATQDENPLMAIDLVQEHFAKIRSQLISEDKLEAQHVISQPGIQAYYMKNKNDAALKVDLTPHNPLLVTR -TGNIAGFPENEFILRQTGKATSIKFSDVPEENELVEVEHEGKNLNRGLRDYNVVSNVICRLTNESDGHTA -SLFGLGYGGYIITNRHLFKNNNGVLKVQSQHGDFIVKNTTQLRMVPVGKTDILIIRMPKDFPVLPQKLRF -RAPANEDKVCLIASNFQERYVSSLVSETSSVYPVGNGEFWQHWISTKDGHCGLPLTSTKDGFVIGIHSLS -TITNSKNFFASIPANFEEQYLSKLEQQNWTANWKYNPNEVSWNGLKLQENKPDKIFRAIKEVSSLFSDTV -YEQGQESGWLFRELKDNLKAVAILPNQLVTKHVVKGPCQCFIRYLNETPDASKFFRPLMGHYGKSVLNKE -AFVKDIMKYSKPIVLGEVDFQKFEEGFDNVIRMLHDIGFGKCDYVTDGMEVYKSLNLKAAVGAMYTGKKQ -QYFDGMSEEEVNQLVTASCFRLWSGKFGVWNGSLKAELRPMEKVQACKTRTFTAAPLDTLLGAKVCVDDF -NAQFYDKHLLAPWTVGICKYYKGWDAFMGKLPDGWLYCDADGSQFDSSLTPFLINSVLRLRLEFMEEWDV -GSRMLSNLYTEIIYTPIATPDGTIVKKFRGNNSGQPSTVVDNTLMVVLAMNYALAKLSIPYEEMESRIRY -FANGDDLLIAVEPTRGGEILDSLQASFSELGLNYDFNDRTFDKTQLSFMSHQALWDGDMYIPKIKQERVV -SILEWDRSVLPEHRIEAVCAAMIEAWGYPELLQEIRKFYAYMVTQEPYSAIHAQGKTRYISERALVTLYK -DEKVVLSDIEPYLQKLAEMSLDCFDEIVSHQAESMDAGGSSRPPAPLVRQQDQDVNVGTFSVARVKALSD -KMMLPKVRGRTVLNLQHLVQYNPEQVEISNTRATRTQFNNWYDKVRDNYGVTDDQMTVILNGLMVWCIEN -GTSPNLNGNWTMMDGDEQVEYPLQPILENAQPTFRQIMAHFSNAAEAYIEKRNSEQRYMPRYGSQRNLND -YSLARYAFDFYELTSRTPNRAREAHIQMKAAALRNTKTKLFGLDGKVGTEEEDTERHVASDVNRNMHSLL -GVNM ->ADG23201.1 polyprotein [Sugarcane mosaic virus] -MAGSWTHVTYKWQPDVNNARDVKRVMEMFAAKHQRYTEEQRLAHNSKLLRKAYVTSAEFAESAQKPKCHQ -TWVEKCDHNPTEHFVYQRFTPERKVLATKPETTSVTKLIRDVLEISKSSGIKIELIDKRVKRKTQLSIRQ -HNGKDFLHCKTRHENGLFKRKDVDISVKWLPIIEAIAKCYSTVNAEELQSLNRGSSGLTFMQNDELFIVR -GRMHGEIVNSLHENKHVMEIEHYADPQANSFWKGYADAYVENRNISTTHTEHTPTINLEECGKRMALLEI -LFHSTFKITCKTCNIDDLELSDDEFGAKLYGNLQRIEEKQREYLAKDQKLSRMLHFIKDRCNPKFSHLPL -LWQVAETVGHYTDNQSKQIIDISEALIKVNTLTPDDAVKASVALLEVARWYKNRKESLKTDTLDSFRNKI -SPKSTINAALMCDNQLDKNANFVWGNREYHAKRFFANYFEAVDPTDAYEKHVTRFNPNGQRKLSIGKLVI -PLDFQKIRDPFVGLSINKQPLSKACVSKIDGGYVYPCFCVTTEFGKPAYSEIIPPTKGHITIGNSVDPKI -VDLPNTTPPSMYIAKDGYCYINIFLAAMINVNEESAKDYTKFLREELVERLGKWPKLKDVATACYALSVM -FPEIKNAELPPILVDHESKSMHVIDSYGSLSVGFHILKASTVGQLIKFQYESLESEMREYIVGGTLTQQT -FSTLLKTLTKNMFKPGKIKQIIEEEPFLLMMAIASPTVLISLYNNCYIEQAMTYWIVKNQGVAAIFAQLE -ALAKKTSQAELLVLQMQILEKASSQLRLAVTGLNHVDPAKRLLWSHLEAMTTRSEMNKELIAEGYALYDE -RLYTLVEKSYVDQLNQSWAELSYCGKFSAIWRVFRVRKYYKPSLTVRKSVDLGAVYNISATHLISDLVQR -SRDQVSSTLTKLRNGFYNKMEKARVSAVKTVYWFIPDIFRLVHIFIVLSLLTTIANTIVTTMNDYKKLKK -QQREDEYEAEINEVRKIHATLMKEHNDNLTCDQFIEYIRQTHPRLIEATLDLTHTGVIHEGKSNLETNLE -QAMAVGTLLTMILDPQKSDAVYKVLNKMRTVISTIEQNVPFPAVNFTSILTPPVTQQSVDVDEPLTLSTD -KNLTIDFDTNQDLPADTFSNDVTFENWWANQINNNRTVPHYRLGGKFVEFTRENAAMVSIELAHSNIEKE -FLLRGAVGSGKSTGLPYHLSMRGKVLLIEPTRPLAENVCRQLQGPPFNVSPTLQMRGLSTFGCTPITIMT -SGFALHMYANNPDKISEYDFIIFDECHIMEAPAMAFYCLLKEYEYRGKIIKVSATPPGRECEFSTQHPVD -IHVCESLTQQQFVMELGTGSTADATKYGNNILVYVASYNDVDSLSHALTELKYSVIKVDGRTMKQNTTGI -VTNGTSSRKCFVVATNIIENGVTLDVDVVVDFGLKVTAELDVDNRAIMYKRVSISYGERIQRLGRVGRNK -PGTVIRIGKTMKGLQEIPAMIATEAAFMCFAYGLKVITHNVSTTHLAKCTVKQARTMMQFELSPFVMADL -VKFDGSMHPQIHEALTKYKLRDSVIMLRPNAIPKVNLHNWLTARDYNRIGCSLELEDHVKIPYYIRGVPD -KLYGKLYDIILQYSPTSCYGRLSSACVGKVAYTLRTDPCSLPRTIAIINALITEEYAKRDHYRNMIANPS -SSHAFSLNGLVSMIASRYMKDHTKENIEKLVRVRDQLLEFQGTGMQFQDPSELMDIGALNTVIHQGMDAT -AACIGLQGRWNASLIQRDLMISAGVFTGGILMMWCLFTKWSKTEVSHQGKNKRSRQKLRFKEARDNKYAY -DVTGSKDAIEENFGSAYTKKGKSKGTKVGLGIKQHKFHMMYGFDPQEYNLIRFVDPLTGATLDEQIHADI -RLVQEHFDVLREEAVANDTIGRQHIYSSPGLQAFFIQNGSANALRVDLTPHPPLRVVTNNNIAGFPEYEG -TLRQTGTAITVPVNQVPVANETGVAHESKSMMIGLGDYTPISQQLCLVQNDSDGVKRNVFSIGYGSYLIS -PAHLFKYNNGEITIKSSRGLYKIRNSVELKLHPIAHRDMVIIQLPKDFPPFPMRLKFSTPTRESRVCLVG -VNFQQNYSTCIVSESSVTAPKGNGDFWKHWISTVDGQCGPPLVDVKSKHIVGIHSLASTSGNTNFFVAIP -ENFNEYISNLVQTNKWEKGWHYNPNLISWCGLNLVDSAPKGLFKTSKLVEDLDTSVEEQCKVTETWLTEC -IQDNLQVVARCPGQLVTKHVVKGPCPHFQLYLSTHDEAKTYFAPLLGKYDKSRLNRAAFIKDISKYAKPI -YVGEINYDIFEKAIERVIKILKNVGMQQCVYVTDEEEIFNSLNLNAAVGALYTGKKKDYFKDYSNEDKAE -IIMRSCERIFNGQLGIWNGSLKAEIRPIEKTMLNKTRTFTAAPLETLLGGKVCVDDFNNQFYSHHLEGPW -TVGITKFYGGWNRLLEKLPEGWIYCDADGSQFDSSLTPYLINAVLHIRLQFMEEWALGAQMLQNLYTEIV -YTPIATPDGSVIKKFKGNNSGQPSTVVDNTLMVILAFNYAMLSSGIREDEIDNCCRMFANGDDLLLAVHP -DFEHVLDGFQNHFGNLGLNFEFTSRTKDKSELWFMSTRGIKCEGIYIPKLEKERIVAILEWDRSNLPEHR -LEAICAAMVEAWGYPDLVQEIRKFYAWLLEMQPFANLAKEGLAPYIAETALRNLYLGTGIKEEEIEKYFK -QFAKDLPGYIEDYNEDVFHQSGSVDAGVQGGSGNQGTTPPATGSGAKPATSGAGSGSGTGTGTGVTGGQA -GASSGAGTGSGATGGQSGSGSGTGQNGTGSAGTSATGSQRDRDVDAGSTGKISVPKLKAMSKKMRLPKAK -GKDVLHLDFLLTYKPQQQDISNTRATKEEFDRWYDAIKKEYEIDDTQMTVVMSGPMVWCIENGSSPNING -NWTMMDGDEQRVFPLKPVIENASPTFRQIMHHFSDAAEAYIEYRNSTERYMPRYGLQRNLTDYSLARYAF -DFYEMTSRTPARAKEAHMQMKAAAVRGSNTRLFGLDGNVGETQENTERHTAGDVSRNMHSLLGVQHHH ->AJD23401.1 polyprotein [Japanese yam mosaic virus] -MAVTIGVNGVPNMCNLTSQVVYQFQFGTLPPVFVGTADQIICARNNHITEVNKAYVKRAQAEYEREVSVL -DKWEKEYNAARQARPESYKIVMTKQQEAKIKKRERKNMQERISKQEGILSKCVIGPWGPTVSTMSIACGP -PPSAMEPEVKWPLHKTPSRKVRGAPIGPIRLQKTGFNKFLKELVAIMAERSLTIKICDRNTSAVSVRKRQ -KKIYLKVDTMHERGLNKARDTKVDKFTQRVLETMIKWTSGNNLHSVENIKPGYSGFVINKNTLRGTHSRA -YGGIFVVRGNHEGKLYDARIKLSRTIRAKIVHYSDPGIRFWNGFNTAFQKHRKQDRSHTCETNLDVEECG -EVAALLCLALFPCGKITCNKCVEENLLSEGQATYENLLKKQNEIKQLVFEEHPQFKHALQILERQSRALR -SVNNNYKDFTEIHSISEGRTLSAFHYASQVNDVLMKGGSATAEELSEATRSLLEIVRYLKNRLESTEKGS -LKTFRNKISQKAHLNPALMCDNQLDENGNFVWGERGYHAKRFFSNYFEIVDPMKGYEQFEVRPNPNGSRK -TAIKRFIVPTNFAVLREQMQGESIGEHNLSMECVSKLNGDFLYSCCCVTNESGDPILSELQMPTRNHLVV -GNSGDSKYVDMPQQEGHVMYIAKPGYCYMNIFLAMLVNVRKEEAKAFTKKVRDVIVDKLGQWPTLLDVAS -ACYLLKVFFPDVSNAELPRILVDHKTKTMHVIDSYGSISTGYHVLKANTVEQLIKFARAGLESDMKHYLV -GGPILNNDDIDPVEYRAPQWHLKRLIKGIYNPQVLLDDIRIDRYLPLYALLSPGVLIAMYNSASLELLTK -EYLKKDDEFVAIVLILESLARKVSMSTSLMSQIMLIEGEAQYIIEAVQGIKQRYPIPYTVVMEMLIVLAS -RSESDAALDAAGFIKFQRESVRLMEKNYLRILEEEWRGLSWRQKFSAILRSSRLSIHTRGGLRNESAEDL -GGRYSESMNFYFGEVKSGTKQLIKKVGSRMAQTTKNVQSNVKRKICSCFNYLIPDVFRFINVMVCLTMVL -TLAKELHALVEYSKECKRMARRFEIQEKESKLKFMHQAYRNEHKEDPTFEEFLEYLRKFSPDLVNYFQAD -DIVVHQAKRRGEVELERVVAFVALVMMVFDTERSDCVVKILNKLKNIVSSTDAEVFHQGLSEIEDDISEK -NLTIDFEISQDGQRVPPSIMEHTFATWWTHQVSNGRTIPHYRTEGHFMTFTRANAHHVATEIATSDHKDI -LLMGAVGSGKSTGLPFHLSKRGKVLLVEPTRPLAENVFRQLSHEPFYINATLLMRGLTTCGSSPVTVMTS -GFALNQLAHNRQRISEYDFVIFDECHVHDANAMALRCLLHDAEFTGKIIKVSATPPGREVEFTTQHSVKL -LTEESLGLKEFVDAQGTGANCDVVRHGDNILVYVASYNEVDLLSKMLIDKGYKVTKVDGRTMKVGKVEIV -TSGTPQHKHFVVATNIIENGVTLDIEVVVDFGTKVVPYLDVDNRMMQYQKTPVNYGERIQRLGRVGRHKP -GTALRIGHTERGLSEVPGCIATEAAFKCFTFGLPVITNNVTTSLLANATVRQARVMAHFELSPFYIYHFV -RYDGTMHPEIHKVLKRFKLRDSEIILNKTAIPHRGTNTWLTSSTYQRLGANVIDSNDVRIPFLCKEVPEA -LHETIWEIILKHRGDAGFGRLSSASASKIAYTLKTDVMSIQRTIHIIDALIIEERKKQEYFRTITTNTIS -SSNFSLQSVANAIRARFSSDHTVENISVLENAKAQLCEFKNLNIDAAYQDFDSHIGRNYISNFGALEAVY -HQSEREMSDHFKLKGRWNKSLITRDIMVMIGVLVGGVVMVYNHFKSQMSEEVHHEARGKRQRQRLRFRDA -RDSKLGREVFGDDGTMEHYFGEAYSKKGKATGKTHGMGQKQRKFVNMYSFDPEDFSAVRFVDVLTGATLD -ETPFTDLSLVQDHFSNIRQAMIEAGDLEGQHLRMGKGLSAYYMNKRTGKALQVDLTPHNPLLICTNKATI -AGFPEREAELRQTGQPRPIAIKDIPEANEMNELVQHESASLHRGLRDYNPISNSICQLVNRSEGEKDTMY -GLGFGPMIITNRHLFERNGGELDIKTRHGDFTIKDTTKMQLFPVPDRDLILIRLPKDVPPFPQKLQFRQP -EKNEKICMVGSNFQTKSVTNTVSETSIILPMENCHFWKHWISTKDGQCGLPLVSTKDGNILGIHSLGSFN -NTINYFASFPADFVVQYLTTPENHKWVQHWKYNTNNISWGALKINKDVPTGLFKTSKLIGDLESLFVHPQ -MKRERWLYEKLEGNLKAVASCPNQLVTKHVVKGKCPMFEMYLQLDAEAKTFFTPMLGQYQKSQLNKEAYI -KDVMKYSTVIEIGNVQTHTFEKAVQLLIQDLTEIGMEKCQYVTDEDSIFNALNMKSAVGALYGGKKKDFF -KDFTQEMKEDILKQSCQRLYMGKMGIWNGSLKAELRPLEKVQENKTRTFTAAPLDTLLGGKTCVDDFNNQ -FYNLNIKGPWSVGMTKFYGGWDELLNKLPDGWTHCDADGSQFDSSLSPYLINAVLNIRLHFMEEWDIGEQ -MLRNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNTLMVLLALKYSLLKDGVSADDQKDVIRYFVN -GDDLLLSVKPGFENLLDTMQENFRELGLKYVFDSRTKRKDDLWFMSHQGMKIDGMWIPKLEQERIVSILE -WDRSKEPCHRMEAICAAMIESWGHTELTHQIRRFYAWLIGQAPYSGLAEIGKAPYIAESALRNLYLNKGV -ERSVIERYLQAIFEDYEEEPEDLFVYHQSGTETLDAGTGDPSKSKKSTEPKTTNPIPDLTKEGFEPAEDP -TLNRQRTMASVEPDVNVGTMGTFSVPRLKGITAKMSMPKVRGKAVMNLNHLVVYNPDQVDLSNTRATRKQ -FDTWYDGVKRDYELDDDSMQIVLNGLMVWCIENGTSPNINGMWVMMDGDEQIEYPIKPLIDHAKPTFRQI -MAHFSNVAEAYIEKRNYEKAYMPRYGLQRNLTDMSLARYAFDFYEITSKTPARAREAHIQMKAAALRGTQ -NKLFGLDGNVSTMEENTERHTAEDVNRTMHSLLGVRGV ->AIB00272.1 polyprotein [Lettuce mosaic virus] -MATLDNCTQVHHMFAYNREHGTNYTRNHFRRHLAAQRIGFYYDWDDDVYECPTCEAIYHSLDEIKNWHEC -DPPAFDLNDFITDARLKSAPVPDLGPVIIETPKAEEKQEFNFFAATPAPEVSQWKCRGLQFGSFTELETS -EPVVSVPAPKCEEPVETIAKPEESVEQETCGDGKRLLQAQMEVDKAEQDLAFACLNASLKPRLEGRTTAT -IARRRDGCLVYKTKPSWSQRKRTKKILKVDSLACKNPYTPAVVDKISIAGGPSASVMHEQQKPKILHTTP -SRKVATHYKRTVMNQQTLAALIDQVGTILLNAGKEFEVVGRRKQKVTGKGTRHNGVRLVKLKTAHEEGHR -RRVDIRIPNSLRSIVMRISARGGWHRTWKDSELSPGSSGYVLNSSKIIGKFGLRRHSIFVVRGRVDGEII -DSQSKVTHSITHRMVQYSDVARNFWNGYSTCLMHNTPKDILHTCTSDFDVKECGTVAALLTQTLFQFGKI -TCEKCAIEYKNLTRDELATRVNKEIDGTTISIQTQHPRFVHVLNFLRLIKQVLNAKNENFGAFQETERII -GDRMDAPFSHVNKLNAIVIKGNQATSDEMAQASNHVLEIARYLKNRTENIQKGSLKSFRNKISGKAHLNP -SLMCDNQLDKNGGFEWGQRSYHAKRFFDGYFETIDPSDGYSKYTIRRNPNGHRKLAIGNLIVSTNFESHR -RSMIGESIEDPGLTNQCVSKEGDAFIYPCCCVTDEYGKPTLSEIKMPTKHHLVLGNAGDPKYVDLPKEAE -GKMFVTKDGYCYINIFLAMLVDVPEDQAKDFTKMAREIAVKQLGEWPSMMDVATACNILATFHPDTRRSE -LPRILVDHATKTFHVIDSYGSITTGFHILKANTVTQLVKFAHESLKSEMQHYRVGGEPDKAPRKPAGSVP -TLGISDLRNLGVELENEEHSIRPNLQRLIKAIYRPRMMRSLLTEEPYLLILSIVSPGVLMALYNSGSLER -TMHEFLQTDQRLSATAQILKHLAKKVSLAKTLTIQNAILEGGAGSLNEILDAPAGRSLSYRLAKQTVEVM -MARSDMDKELVDVGFSVLRDQKNELIEKSYLMDLEDSWHALPLCGKLSAMRASRRWRDTSTPEAIPTGAA -DLKGRYSISVGSVSKSAILHLKGICSGAVKRVRDKWVGVQVQGVKWLAKSVHYMIPELTNVLNVGTLLLT -LISLGVAFRNLTGQFKEMKYKETLAKEEELRKRIRTYNSTYYEIHGKHADAKQITKFITHHDPKLLEVVE -FYEGPEEEEVEHQAKREDQANLERIIAFTALVMMMFDSERSDCVYRSLSKLKSLVSTCEDNVRHQSVDEI -IDLFDEKKETIDFEIEGKELYSSRVVDSTFSKWWDNQLARGNTMAHYRTEGHFMTFTRETAASVAAEIAH -NEYRDILLQGGVGSGKSTGLPFHLHKKGGVLLIEPTRPLAQNVYKQLGSSPFHLSPNLRMRGSCKFGSSQ -VTVSTSGYALHFIANNAQSLKAYDFIIFDECHVLDASAMAFRCLLQEFEYQGKIIKVSATPPGRKLDFKP -MHMVDIATENELSIQQFVQGQGTGVNCDATKKGDNILVYVSSYNEVDMLSKMLNDKGYKVTKVDGRTMKL -GSVEVETVGTPQRKHFVVATNIIENGVTLDVDVVVDFGQKVVPILDSEHRMIRYTKKSITYGERIQRVGR -VGRNKAGSAIRIGSTEMGTEEIPASIATEAAFLCFTYGFPVMTSNVSTSVLGNCTVRQARTMQKFELSPF -FMVDLVHHDGTMHPAINSLLKQFKLKESDIKLSTLAIPNAVTTFWKSAREYNSLGARTTIDDAAKIPFMI -KDVPEHLQEKLWETIQQYKGDAGFGRCTSANACKIAYTLSVSPFMIPATINKIDALMAEERQKMEYFQTV -TANTCTISNFSISSIGDMIRSRYSTNHSRENLQKLQVVRDTIINFECQAGTSDGGTFDMETAQKLAEEYG -CIDVIYHQSKEALSKRLGLKGRWNQSLICKDLLVFCGVAIGGTWMMFQSFKDGMADAVRHQGKGKRQRQK -LRYRQARDNKVGIEVYGDDATMEHYFGAAYTEKGKKSGKTKGMGTKNRKFVNMYGYNPEDFSFIRFLDPL -TGKTMDEQVFSDIGLVQDAFGKERLKLLSEGEIESEHMRSGIRAYLVKNLTTAALEIDMTPHNSCQLGIK -TNNIAGFVDREYELRQTGEARVVAPALIPKDNPITDEDIPVKHESKTLFRGLRDYNPIAAAICLLTNESD -GMKETMYGIGFGNTIVTNQHLFRRNNGVLRVQSRHGEYVLPNTTQLKVLPCEGRDIMVIILTPDFPPFPQ -KLKFRPPIKGEKICLVGSLFQDKSITSTVSETSVTTPVENSFLWKHWITTKDGHCGLPLVSSNDGYIVGI -HSATSSRQTQNYHAAMPEDFHQTHLVDPVSKPWVKHWKYNPDNMVWGGINLINSTPREPFKINKLVTDLF -GDAVQFQSKQDEWFASQLKGNLKAVGKSTSQLVTKHTVKGKCMMFELYLQTHEEEKEFFKPLMGAYQKSR -LNREAFTKDIMKYSTPITVGIVDCDTFLKAEEGVIKRLEKLGFDGCEYVTDEEAIFQALNMKSAVGALYS -GKKRDYFEGYGPEEKENILRESCKRLYTGKFGVWNGSLKSELRPMEKVMANKTRVFTAAPLDTLLAGKVC -VDDFNNYFYSKNIEAPWTVGMTKFYGGWNELLTKLPDSWVYCDADGSQFDSSLSPFLINSVLRIRLKFME -DWDLGEQMLKNLYTEIVYTAILTPDSTIVKKFKGNNSGQPSTVVDNTLMVVLAMTYTLHKLGFEDEEQDS -MCKYFINGDDLIIAIKPEHESLLDQFQHCFKSLGLNYDFNSRTRKKEELWFMSHCGIKKDGIFIPKLEPE -RIVSILEWDRSDQPVHRLEAICAAMIEAWGYDKLTHEIRKFYKWCLEQAPYADLAKAGKAPYIAECALKR -LYTSKEASEAELEKYMEAVRSLVNDEDDDDTDEVYHQVDAKLDAGQGSKTDDKQKNSADPKDNIITEKGS -GSGQVKKDDDINAGLHGKHTIPRTKAITQKMKLPMIRGKVALNLDHLLEYEPNQRDISNTRATQKQYESW -YDGVKNDYDVDDNGMQLILNGLMVWCIENGTSPNINGTWVMMDGEEQVEYALKPIIEHAKPTFRQIMAHF -SDAAEAYIEMRNKKKPYMPRYGRLRGLNDMGLARYAFDFYETTSATPNRAREAHNQMKAAALVGTQNRLF -GMDGGGSTQEENTERHTAADVNQNMHTLLGVRGLH ->AGH25889.1 polyprotein [Carrot thin leaf virus] -MASIQFGTLPAVPLAITQDGARTNAPKEIKPTRTTYVSVGRTLIAKNEAMVSKAFEQLNAKLKTTMERHD -RSRVVKAGPRWRLREFTEQQIKEFHARQLKRLRSEANFQQTEHMVSKFSIAGGAMPSETQAGSCCLQKGK -PRALSQRRVPTVHKKIFASESAVQNFMNQIAKISMEKLMTVEIIGKRSRPLRLQTVKFNDGKYVKFPVKH -LQGHKLNVDIHLSAEQESLVYPFIRASAYKKDVSMHQITHGCSGLAIGAQQVIKGTMNEYTIVRGSFRNK -LVDARAYVDNHTKLSMTYYSSVGERFFNAFSEKFVELREEQNHTCESNFDVKEVGEVCAIVWQTLMPCGK -ITCRRCAQDFRMGQDSHENFKLARRLERAQHEIKTNHKEFPHALELLSTCKFPLRGLPSNDGEFQEVKRL -LDEKKTAPFSHLNLINEKLLEMSLYSPHEAQAASKALLEVTRWHVKRKEGIEGGSLTAFRNKVSAKSYFN -MSLTCDNQRDQNGNFKWGERAYHAKRFFSNYFETIDPAQGYSQYIVRLNPNGSRKLAIGNLIVTTNLSNF -RNQMLGESIEELPLTNSCISKVKGSFKQNCCCVTSDEGIPLYSEMKAPTKNHLVLGNAGDSKYVDLPTDA -SLSLYIAKEGYCYVNIFLAMLVNVNEQDAKNFTKQVRDMAISKLGKWPTLMDLATVCYQMTLFYPDTSDA -ELPRILVDHKHNMMHVVDSYGSLTTGYHILKANTVSQLVQFANDQLESEMKFYQVGGRFEGIRVGRDAMK -LLIKSIYRPGLMKEIIEEEPYLIVLSVLSPGVLLALANSGSLEVGIRRWIRDDAPVARMFAAIYTLAGKM -TLARTLEEQLVFIRQASPILFEEVVHGCRLSASYQVALETVTLIHERNSTDNSLFEIGFKTLEDKSAAML -EKSYLRELEESWGELSLYGKYLAVKQAYKYRNVSSEFFNPAKQADLKGRYHISLRSCLIRTRDGFKSGVT -RGVNYVGQGFFRMLTASLTFSLRRISKHLPEVFALMNVMLVLSLFVEMLNKLQIFVLNHRQLKLESLERK -GDDEWLELMSVHKLMKAQNGEDPTIEEFEEYIHNYHPRLVGVMKEALGDDVQHQGKKRIEEIQMERIIAF -VSLVMMMFDAERSDCVYKILNKLKGLTNTIATDAVQHQSLDDYQTIDLEKNLTVDFELDTNDHIGTSPRE -HTFEQWWENQISRGNTIPHYRTEGKFMTFTRANAASVVNEIAHGVHKDVLLQGAVGSGKSTGFPFHLSKK -GKVLLLEPTRPLAENVCKQLASEPFYTNATLRMRGASVFGSAPIHIMTTGFALHYLMNNQQLLNEYDYII -IDECHVLDANAMAFRCALVEYGFPGTIIKVSATPPGRETEFQTQHPVKLLIEENMSHESFVNSLGTGANS -DVTSRGDNILVYVASYNEVDSLSKKLIDKGHSVTKVDGRTMKIGSVEIATIGTSKKKHFIVATNIIENGV -TLDVDVVVDFGTKVVPILDVDNRCVRYNKTCISFGERIQRLGRVGRNKPGTALRIGATEKGLVEIPAKIA -TEAAFLCFSYGLPVMTNNVSTSLLANCTVRQARIMQQFELDPFYMINLVRYDGSMHPAIHDILKKFKLRD -SETPLNTFAIPYSDVPRWLTVRDYRKVGVNVNLDESIRIPFYVKDVPEKITQSIWEAVINFKNDAQIKPL -SSASAAKIAYTLKRDIHSIPRTLQILDGLIQSEMERREHYLALTANSCSGTNFSIMNVVNSIRSRFVTDH -SADNIEKLSRARDQIREYKNLHTDVSALETLKAYGSLECVQHQSKDAISKHLRLKGIWNKSLMVRDTLVC -AGVFVGGAWMLASWYFSKSGEIVEHQGYNKRQRQKLNFRNARDAKVGREVYADDDTMEHYFGEAYTKKGK -NSGKTRGMGSKKRQFTTFYGCAPDDFSLIRYVDTLTGHTIDADPLEPAHRIQNQFFDQRMKLIAEDMLEP -QHLDTDRAQHIEAYLQRKNTTNVLRADLRAHVPTLVCKNGNIAGYPERENEMRQTGALRQGVMPDKNEFQ -EFKHESKALFKGLRDYNPIASIICKLTNDSSEMRQTLYGIGYGGFIITVQHLFRQNNGTLFVQTRQGEFT -VKNTTQLKMFPCLGRDVLVIQMPKEFPPFPRKLQFRSPIKNERVVMIGSNFQQKSTSSTISDTSPIIPRE -NCHFWKHMISTKDGDCGLPMVSVNDGCIVGVHSMTSVTSTANYFTDFPESLKTEVLDSPEAVDWIKNWNY -NVNTVCYGPMHIIESKPTGMFKPTKLVSDLMREMVAVQGAQTNWLYDQIEGNLKAIAHVPNQLVTKHVVK -GKCTLFETYLSTHPEEEKIFQPYMGAYAKSALNKAAYTKDVMKYSTTITVGTVSTDNFEKAVKSLIANME -EWGFDKCSYVTDEEAIFNNLNMKAAVGALYSGKKKDYFADYSVQDKETIVAESCKRLYMGKMGVWNGALK -AELRPIEKVQANKTRSFTAAPIDTLLGGKVCVDDFNNQFYSMHTKCPWSVGMTKFYGGWDSLLKSFPDGW -VYCDADGSQFDSSLTPYIINAVLQVRLHFMEDWDLGEQMLKNLYTEIVYTPIATPDGTVIKKFKGNNSGQ -PSTVVDNTLMVIIALYYSLHELGYERSQFDQVCKFFVNGDDLIIAVNPSEVQMLDELQSLFKQLGLNYDF -SSRSHDIKELWFMSHQGMEREGKLIPKLEIERIVSILEWDRSTEPEHRLEAICASMVEAWGYDWLVHEIR -KFYSWVLEQFPYNELATQGKAPYIAETALRKLYLDVDATTEELEAYGDIFQWEDEGEETVYHQEDKDADK -VMDAGIGVPPKDKGKNTETDANKQLSTSKDKDVNVGTSGTFAIPKLKGMASKMRLPKVRGKTVLNLEHLI -QYNPEQTDLSNTRSTHNQFNNWYDGVKKDYELDDAQMEIILNGLMVWCIENGCSPNINGMWVMMDGEEQI -EYPIKPLIDHAKPTFRQIMAHFSDAAEAYIEKRNAEKAYMPRYGLQRNLNDPSLARYAFDFYEMTAKTPN -RAREAHLQMKAAALRNASNKLFGIDGKVSSQEEDTERHTTDDVNRNMHSMLGVRTM ->BAF96025.1 polyprotein [Bean yellow mosaic virus] -MATICIGSIHVTLKENADISVDVSTKNISSFNIPPANPFADLEIRCAKRRELMGELTFSTKGRYTTMIPK -PEHIKLKEKSAREEELNFQSNEWVVDTMDEADVTKQPFLLPHGVQVSFRSPFYKRSIKKQTKSQRKKVLK -GWSKATHVMKELLKTVKEKDMILEVADKRKRANFATFQRYGKTYGMHVVLNHMVRKRRRVDVTLNGLMAE -IAANLAIPFESLNTSHLKEGHSGLVLQTGKVPNVCTVKSKFTIVRGTVMEDKIPVLIDARQRLNTKDMAR -IREFSAGDLFWKGYNQTFIDNRPTNLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVENFVGQNN -EERFSNASTFISQAVQLLDESYPDFKHSKEILLMFKERLQMRNPCTDQCMEVVKATTALTEAPFNHIREV -NNVLLKYGSLENDEVGSASKHLLEVVRYIRNRTDSIQRNDLTKFRNKISSKTHINLDLMCDNQLDKNANF -MWGQRAYHAKRFLSNYFNEIDPSEGYDKFLLRKVPNGTRELATGRLIVPTNFESFRDQMKGKVVDNGPIS -KDCVSRMRGAFCYPCCCTTDDVGTAILSDFKMPTKHHLVLGGNDAAKYIELPSDNSEKMYIAKDGYCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACMYLTVWFPDTASAELPRILVDHKLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASVDLESDLKFYRVGGNQSVGSHIRIDTELLVKSVYKPELMAHI -IEQEPFLLVLAMQSPATLMALFNSSSLEKAVQYWLHKDMQVSHIMTMLAVLASNVSASKLLTTQFEVIEA -SAPQILAAMDHVYKPMHSINTANTFLMNLNESRETDKTIDELGFYSFKKSTRILMEKTLMADLDQQWQEL -GLLERFSLIKRSWQVRAKYSSFAIQREEKGITDKFTTSLRLSGAQIKHQALAKRDQIVRFAERRVERTKK -FIANQSISLVKMCLPRMADIINVLSVITLLNAILAFILDHIKRFNESRRIAQERMEKRHLKELDNLYNKY -WDGERPTYMEFKEDVKVKLPHTLVTFEKYYSEYDKYTFQAKPNDMIALEKIVAVTALILMIFDAERSDCV -YKVLNKLKGILSTTSQDAYRFQSLDTSNNLLEEKKMTIDFEVNEGEMKPFAGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEIAHNDAKDILVRGAVGSGKSTGLPFYLSNKGKVLMVESTRPLAENV -FKQLKSEPFFANPTLRMRGTTSYGSSPITVMTSGYALHYYANNPSMMKEYKFVIIDECHVHDANAIAFVS -LLKEYSFDGKLIKVSATPPGREVEFTTQFPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLERGHIVTKADGRTMKNGKTEIETRGSKSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLTRYNKQSVSYGERIQRLGRVGRHKAGKALRIGITEKGLVKPPSIVTTEAAFYCFAYGLPVMA -EGVSPSLLSKCTVQQARSMMSFELPIMYTVNLIRFDGTMHPSIHNLLKPYKLRDSNVVLNKMAIPHGNVR -NWPSVRDFKYVGARMEIPDEVRVPFHARDIPDKLHKEVYDVCCKYKGDAGFSKLNVVNACKIAYTLQTDP -SSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLANIVNAIRARSTSDYTQENLSVLHSARQQLL -EFKNINSDFSNLSSLSEFGALECLQFESVQGVSKFLQLKGHWNKPVLVQDFLIAAGVFGGGCWMLYQYFK -QETGKEFVFQGKNKRSRQKLRFRDARDMKGRMEVYADEGTIVENFGSKYAKKGKTKGTTVGMGTKTRRFT -NMYGFDPTEYSYARYLDPITGETLDEQPIANLNLVAEHFKEMRQKYIENDLMEAQHFYGNPRIEGYFVKD -AGQKVMKVDLTPHKPLLYSEKFGNVMGYPEREDELRQTGNAQMVDASELPKAKETKDFEFESISKIGGLR -DYNPISSNVCLLQNESADFCDEIFGIGFGNVIITNQHLFRHNNGELTIQSKHGTFKCKNTCALKLLPVEG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRIKQANFFKHWISTVAG -QCGNPMVSVKDGFIVGIHSLTSYAGDVNVFTSIPPNFETEILKQLNKKNWCSGWKLNTAQIGWDGITIVD -SQPKEPFPISKMVGLLNDLQLGFQSSRNTRWLLEKAHGNIKAVAQAPSALVTKHVVKGKCSLFEVYLTTD -VEAEKYFRPLMGAYQKSRLNKEAYIKDLMKYATPIEIGLVDTRCFEKGFEKVQMMLKEKGFNKCNYITYG -PDIMNALNMKAAMGALYSGKKKDHFEGMSEIKFEEILQASCERLYHSKMGVWNGSLKAELRPQEKVLANK -TRSFTAAPIDTLLAGKVCVDDFNNKFYSLHLEIPSTVGITKFYGGWDRLLEALPDGWIYCDADGSQFDSS -LTPYLINAVLEMRLRFMEDWDLGEQMLKNLYTEIVYTPILTPDGTVVKKFKGNNSGQPSTVVDNTLMVIM -AVYYAAEKLGVRGKLEDTLVFFANGDDLLIAIKPEHETYLDRFGELFSELGLKYDFSSRTKEKGDLWFMS -HRGIQVNGMWIPKLEEERIVSILEWDRALQPEHRLEAICASMIEAWGYPELLNHIRKFYLWVLGQAPYSQ -LSAEGKAPYISEVALKHLYTEEKITPAELERYNVALVDCAEPEEDEVILCCFQSDQEKLNASEKKKDKDK -KVEDQSTKESEGQSSKQIIPDRDVNAGTTGTFSVPRLKKIAGKLHIPKVNGKIVLNLDHLLEYNPSQDDI -SNTIATDEQFKAWYNGVKQAYEVEDSQMSIILNGLMVWCIENGTSGDLQGEWTMMDGDEQVTYPLKPILD -NAKPTFRQIMSHFSQVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYRLTSRTPVRAREAHMQM -KAAAIRGKSNRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI ->CAA04244.1 coat protein, partial [Turnip mosaic virus] -QTQQNRWMFEQLNGNLKAIAHCPSQLVTKHTVKGKCQMFDLYLKLHDEAREYFQPMLGQYQKSKLNREAY -AKDLLKYATPIEAGNIDCDLKERTVEIVISDLRGYGFETCNYVTDENDIFEALNMKSAVGALYKGKKNDY -FAEFTPEVKEEILKHSCERLFLGKMGVWNGSLKRELRPLENVEANNTRTFTAAPLDTVMGGKVCVDDFNN -QFYDHNLRAPLEDGMTKFYCGCDRLLESLPDGWVYCDADGSQFDSSLSPYLINAVLNIRLGFMEEWDVGE -VILRNLYTEIVYTPYPTPDGTLVKKFKGNNSGQPSIVVDNTLMVILAVNYSLKKSGIPSELRDSIIRFFV -NGDDLLLSVHPEYEYILDTMADNFRELGLKYTFDSRTREKGDLWFMSHQGHKRDGIWIPKLEPERIVSIL -EWDRSKEPCHRLEAICAAMIESWGYDKLTHEIRKFYAWMIEQAPFSSLAQEGKAPYIAETALRKLYLDKE -PAQEDLTHYLQAIFEDYEDGTEACVYHQAGETLDAGLTDEQKQAEKEKKEREKAEKERERQKQLALKKGK -NAAQEEGELDKEVNAGTSGTFSVPRLKSLTSKMRVPRYSKRVALNLDHLILYTPEPTDLSNTRSTRKQFD -TRFEGVMADYELTEDKMQIILNGLMVWCIENGTSPNINGMWVMMDGDDQVEFPIKPLIDHAKPTFRQIMA -HFSDVAEAYIEKRNQDRPYMPRYGLQRNLTDMSLARYAFDFYEMTSRTPIRAREAHIQMKAAALRGANNN -LFGLDGNLGTTVENTERHTTEDVNRDMHNLL ->BAH60697.1 polyprotein [Bean yellow mosaic virus] -MTTINIGTIPVTIKQNADIQMDESTKKIFPFVNIPVNPFADLEAKCAERLKRVGELCFARKGRYTTMIPK -PEHIKLMEKQQFEEELNFQNSEHILSSLGTDHTPKQYLAGSKGVQVSFRTQHYKRSVKKPCVQVRKKELK -GQHRICHVTKELLGIVKKRDLVLEVADERKRANFATFRKYGKVYGMHITLNHMVRKRRRIDVTLNKLMTE -IAKHCAISFECLEVLTLREGHSGLVLKTETVPNFHSNKSQITVVRGLVNENGIPVLVDARKRLPFRVMST -IREFSAGDLFWKGYNQTFIDNRPTNLNHQCTSDLNVTQCGSVMALLTLALFPCGRITCKKCVDNFLNQNN -KERFESASVFINQAVQLLNRGFPEFKHSKEILLMFKERLQMENPASEQCMEVAKAITSLPEAPFSHIKEI -NSTLLKYGSLSNNEIGEASKHLLEVTRYLKNRTDSIQRNDLSKFRNKISSKTHINLDLMCDNRLDKNANF -VWGQRAYHAKRFLSNYFNEIDPSEGYDKFIFRKLPNGTRELAIGRLIMPTNFEAFREQMKGKMIDNGPIG -KDCVSRMRGSFCYPCCCTTDDVGTAVISDFKMPTKYHLVLGGNDLAKYIELPTDTTGNMYIAKDGFCHIN -IFFAMLVNVSEEKSKDFTKMVRDQIMPKLGEWPTMMDVATACWQLTVWFPDTLSAELPRILVDHRLGIMH -VLDSYGSISAGYHVLKANIVSQLIKFASDDLESELKYYRVGGDQNFGNRIRVDTKFLLKSVYKPDLLERI -IEHEPFVLVLAMQSPAVLLALFNSASLEKAVQYWMHREMQVSHIMTMLAVLASNVSAAKLLTTQFEIIEA -SAPQILAEMDKVHQPMHSIHSANVFLMNMSESRETDKTIDELGFYSFKKSSRILMEKTLMADLEEQWQGL -GLSERLSLIKRSWRVRAKYSSFAIQREEPGIRDKFTTSLKLSGAQVKQQLLAQKDQAVHFVERRIERTKK -FVANQSISLVKMCLPRLADIVNVLSVITLLNAILAFMLDHIKRFNEARRIAQEKKEKQHLKELSAFYYKH -WGSEKPTYLEFKSDVIEKLPHTLGTFEKHYYEGDKYVFQAKPNDMVALEKIVAVTALILMIFDAERSDCV -YKVLNKLKGILSTTTQDAYRFQSLDTSKTLLEDKKMTIDFEINEGEVKAFSGTQTTFSEWWDNQLQNGNV -ITHYRTEGQFMEFTRANAQPVANEIAHNDANDILVRGAVGSGKSTGLPFYLSNKGKVLMIESTRPLAENV -FKQLKSEPFYASPTLRMRGTTSYGASPITIMTSGYALHYYANNPTMMKEYKFVIIDECHVHDANAIAFVS -LLKEYSFDGKLIKVSATPPGREVEFTTQHPVTLVTEESLSFEQFVSQQGTGANCDMLDVCDNILVYVASY -NEVDQLSKMLLDRGHIVTKVDGRTMKNGKTEIESKGSKSKRHFIVATNIIENGVTLDIEGVVDFGLKVVP -ELDVDNRLMRYTKQSVSYGERIQRLGRVGRHKAGKALRIGITEKGLVKPPSVITTEAAFYCFAYGLPVMA -EGVTPSLLSKCTVQQARSMMSFELPIMYTVNLVRFDGTMHPAIHNLLKPYKLRDSNVVLNKMAIPHGNVR -NWPTVRDFKYVGVRFDAPEDTRVPFYARDIPDKLHKEVFEVCSKYKGDAGFSKLNVVNACKIAYTLQTDP -SSIQRTIKILDELIAREQQKREYFQNVANTSCAGSSYSLSNIINAIRARSTSDYTQENLSVLHSARAQLL -EFKNINSDFSNLSTLSEFGALECLQFESLQGVSKHLQLKGHWNKPLLIQDFLIAAGVLGGGCWMLYQYFK -QETGKEFIFQGKNKRTKQKLRFRDARDMKDRVEVYADEGTIVENFGSKYTKKGKVKGTTVGMGTKTRKFT -NMYGFDPTEYSFARYLDPITGETLDEQPITNLDLVAEHFKEKRMKYLENDILDSQHFTSSPRIEAYFVKD -AGRKVLKVDLTPHKPLLYSEKFGNIMGYPEREGELRQTGTAEFVDPKELPKSKETADFDFESLSKIGGLR -DYNPIASNVCLLENESAEHCDEIFGIGYGNVIITNQHLFRHNNGELTIKSKHGTFKCKNTCALKLLPIDG -HDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFFKHWISTVAG -QCGNPMVSTKDGFIVGIHSLTAISGDLNVFTSIPPNFEDEVLKQMNKKNWCCGWKLNTSQIGWDGIKIVD -DQPKDPFPISKMAGLLNDLQLSFQSAKNTKWLLERAHGNIKAVAQAPSALVTKHVVKGKCSLFEVYLTTD -NEAEKFFRPLMGAYQKSRLNKEAYVKDLMKYATPIEIGLVDTRCFERCFEKVHQMLKLKGFNTCNYITYG -PDILNALNMKAAMGALYSGKKKDHFSEISEERFDDILRASCERLYTGKMGVWNGSLKAELRPQEKVIANK -TRSFTAAPIDTLLAGKVCVDDFNNKFYSLHLKIPSTVGITKFYGGWDRLLDSLPNGWVYCDADGSQFDSS -LTPYLLNAVLEMRLRFMEEWDLGEQMLKNLYTEIVYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVIM -AIYYAAEKLGIEGSLEDTLVFFANGDDLLIAIRPECESYLDRFEDLFSELGLKYDFSSRTKEKGDLWFMS -HRGVQIDGMWIPKLEEERIVSILEWDRALQPEHRLEAICAAMIEAWGYPTLLNHIRKFYLWVLGQAPYSQ -LSAEGKAPYISEVALKHLYTEEKVTPAELERYNIALVDCFEAESDEVIECRFQSEQEKLNAGEKKKDKDK -KVDDNPPKDSEGQSSRQIVPDRDVNTGTVGTFSIPRLKKIAGKLNIPKIGGKVVLNLDHLLDYNPPQDDI -SNTIATQAQFEAWYNGVKQAYEVDDSQMGIILNGLMVWCIENGTSGDLQGEWTMMDGEEQVTYPLKPILD -NAKPTFRQIMSHFSQVAEAYIEKRNATERYMPRYGLQRNLTDYGLARYAFDFYRLTSKTPVRAREAHMQM -KAAAVRGKSNRLFGLDGNVGTDEENTERHTAGDVNRDMHTMLGVRI ->ANF06854.1 polyprotein, partial [Japanese yam mosaic virus] -ESGEPILSELQMPTKNHLVVGNSGDAKYVDMPPQEGQSMYIAKAGYCYMNIFLAMLVNVRKEEAKAFTKM -VRDVLINQLGTWPTLLDVASACYLLKVFFPDVSGAELPRIMIDHKTQTMHVIDSYGSLNTGYHILKANTV -EQLIKFTRAGLKSDMKHYLVGGPVLNNEDIDPIEYRTPSWHLKRLIKGIYNPQVLLDDVRIDRYLPLYAL -LSPGVLIAMYNSASLEILTKEYLRKDDEFVAIVLILESLARKVSVSTSLMSQLMLIEGEAQYVIEAVQGI -KQRYPIPYTVVMEMLIILASRSESDAALDAAGFKKFQRESVQLMEKNYLRILEEEWRGLSLWQKLSAISR -SSRFSMRTHGGLHNESIEDLGGRYSESVNYYFGEIKNGIISTSKKVITRITTTTQSAHTSIRRKICSCFN -YFIPDIFKFINVMVCLTMILTLAQELHAMVERTRNCKRIARRFENQEKESKIKFMHQAYQNEHKVDPTFE -EFIEYLKRYTPELVEYFQGDDMVTHQAKRRGEIELERVVAFIALVMMVFDSERSDCVVKILNKLKNIISS -TDADVYHQGLSEIEDDINEKNLTVDFELSQDSLRMPPTVTEHTFSTWWTHQVSNGRTIPHYRTEGHFMVF -TRANAHHVAAEIANSEHKDIMLMGAVGSGKSTGLPFHLSKRGKVLLVEPTRPLAENVYRQLSHDPFYVNA -TLLMRGLTTCGSSPVTIMTSGFALNQLAHNRQRISEYDFVIFDECHVHDSNAMALRCLLHDAEFAGKVIK -VSATPPGREVEFTTQHPVKLITEESLGLKEFVDAQGTGVNCDVIKYGDNILVYVASYNEVDLVSKALIDK -GYKVTKVDGRTMKVGKVEIVTSGTPQKKHFIVATNIIENGVTLDIEVVVDFGTKVIPFLDVDNRMMQYQK -VAVNYGERIQRLGRVGRHKAGTALRIGHTERGLSEVPSCIATEAAFRCFTFGLPVITNNVTTSLLSNATV -RQARTMAHFELSPFYSYHFVRFDGTMHPEIHKVLRRFKLRDSEIVLNKTAIPHRGINTWMTSSAYQRLGA -NVGDSDEVRIPFLCKDVPEMLHETLWEIVVKHRGDAGFGRLSSASACKVAYTLKTDVMSIQRTIHIIDAL -IVEERQKQEYFRTITTNTISSSNFSLQSIANAIRARFSSDHTVENISVLENAKAQLCEFKNLNIDAAYQD -FDSQVGKNFISNFGALEAVHHQSEKTMSEHFKLKGRWNKSLITRDIMIMVGVLIGGILMVYKQFKGQMSE -DVYHEAKGKRQRQRLRFRDARDSKLGREVYGDDGTMEHYFGEAYTKKGKTSGRTHGMGKKQRKFVNMYSF -DPEDFSAVRFVDVLTGATLDETPITDIHLVQEHFTKIRSEMIESGELESQHLYSGKGVNAYFMNNRTGKA -LQVDLTPHNPMLICANKATIAGFPEREYELRQTGQPKAITLKEVPKANDLSELVQHESASLHRGLRDYNP -ISNNICKLINRFGIERDTIYGIGFGPIIITNRHLFENNSGELDIKTRHGDFLIKSITQLQLFPVPDRDLI -LIRLPKDIPPFPQKLQFRQPERNEKICMVGSNFQAKSVTNTVSETSVILPMENCHFWKHWITTKDGQCGL -PLVSTKDGNILGIHSLGSFNNTINYFASFPENFVNTYLSTPENHQWIQHWKYNTDNISWGALKIKNDTPA -GLFKTTKLIGDLDNLFVRQQMKKEKWMYDQLEGNLKAVASCPNQLVTKHVVKGKCPMFDMYLKLDEEGKE -FFTPLLGQYQKSRLNKEAYIQDIMKYSTTIEAGNVQTHTFENAVHLLIDDLEQLGFETCNYITNEESIFG -ALNMKSAVGAMYGGKKKDFFKEFTQEMKEEILKQSCERLYTGKMGLWNGSLKAELRPLEKVEANKTRTFT -AAPIDTLLGGKACVDDFNNQFYSFNIKGPWSVGMTKFYGGWHELLTQLPDGWIHCDADGSQFDSSLSPYL -INAVLNIRLHFMEEWDVGEQMLRNLYTEIVYTPIATPDGTIVKKFKGNNSGQPSTVVDNTLMVLLALKYS -LLKDGVEASDQGKIVRYFVNGDDLLLSVHPSYEHLLDTMQDNFRELGLKYEFNSRMKDKSKLWFMSHQGK -LVENIWIPKLEQERIVSILEWDRSKEPCNRMEAICAAMIESWGHTELTHQIRRFYAWLIEQAPYSGLAAT -GQAPYIAESALQKLYLDKDADQSAIEVYLHAIMEGYTEDPNDLYVYHQSGDETLDAGTSTPGKTKKQDNP -NPAQSAQTTSEGFEPADDPTQKNAQKGVINPERDVNVGSLGTFAVPRLKGLATKMNMPKVRGKAAMNLDH -LIVYNPDQVDLSNTRATRKQFDTWYDGVKRDYELDDASMQIILNGLMVWCIENGTSPNINGMWVMMDGEE -QIEYPIKPLIDHAKPTFRQIMAHFSNVAEAYIEKRNYEKAYMPRYGLQRNLTDMSLARYAFDFYEITSKT -PARAREAHIQMKAAALRGAQNKLFGLDGNVSTMEENTERHTAEDVNQTMHSLLGVRGV ->AFA26423.1 polyprotein, partial [Tuberose mild mosaic virus] -CVWMTFNYQFYDLHIAGPWTVGMTKFNRGWDALLSELPEGWLYCDADGSQFDSSLSPYLINSAIQIRHHF -MEDWAIGETMLRNLYTEIVYTPIATPDGTVVKKFKGNNSGQPSTVVDNSLMVCVTWFRQGTGRVSPPKMY -KVVLRFFARGNICFLPYGQICPPSLTRFNILLGKGALFIFLTPRTFKKTKFGFRPPQGINKKGFYFPNLK -RERVVPFLEGNQIKNPNHSRKPFGPAIIEGGGQEPLLNQIQWFYAGFFERNPYNSLPIMGKAPYLSEISL -SKLYWNINLSKPDLKVNSQYWRKHYILSKNTYIVLDFLHQRENPPPPDPNQKPIQKNLGAGKKNKGKISQ -TSNRGKDKDVNAGTVGSKRVPRITKMMSTMQVPNVDGIPTRDPETLLFYLPKQGNFHNTRAAAHQYKTWF -EKFRRDYGISDEEKRVIMNGFTMWCIENGTSPNINGGWTKKDGDEQVTFPFKPMVGPAKPTFRPIMAHPS -EVGEEYIVKRNTLEPYITKFGLQRNINGRGLAQFAFDFYEVTSRTPVRAGGAPFQKKANGLRGKQSQLFG -LDGNVGGSDGNTERHSTDDVKRDQPPLLGVGNLKGPFIK diff --git a/seq/clusters_seq/cluster_10 b/seq/clusters_seq/cluster_10 deleted file mode 100644 index d3713c4..0000000 --- a/seq/clusters_seq/cluster_10 +++ /dev/null @@ -1,1191 +0,0 @@ ->YP_009553479.2 p88K polymerase [Adonis mosaic virus] -MGFLKFATELAVGSTLMGGLVSVGIAGLTLRATIGVVEFERRIIVGTCKYVASRGLSLPSHPEYPKNSDQ -LFLEEESESLSESQQVVEDFTELVEEKGEDKEGKEVVISQKRVINRHSKSKFIRYIAIEAKNHFGGDLCA -SKANYLSVSKFLTGKCKERQVVPAHTRVCVASAMALVFTPDMAEVQMRVALNSHEAYRRRVAMEAAGSMS -SWGMRLIQNPLTNSAWEEAWYVLNGRSSRSAVTFTKXGGLFYLEGVETAIRRGSHPSMIEVELKAPPKLR -KLYVQNSLSSGFDYRVHNHSYQNLRRGLLERVFYVESKDKELVGCPRPKPGAFKELGYLRRRFHRICGNH -TRISAEEFVDCYQGRKRTIYENARLSLDDKALERKDGDLKTFIKAEKFNISVKPDPAPRVIQPRSPRFNL -ELGRYLKKFEHNAYKAIDKIWGAPTIMKGYNTEEVAQHLKHAWDQFQIPVAVGFDMSRFDQHVSVPALEF -EHSCYTSCFEGDQHLADLLKMQLKNHGVGFASNGMIRYTKEGCRMSGDMNTALGNCLLACLITKHITRGV -RGKLINNGDDCVFICERSDAGSVVSDLTTGWASFGFNCIAETPVEVFEEIRFCQMAPVFDGEVWVMVRDP -KVSMSKDAHSLVHWNNERNALQWFKAVGQCGMKIAGRVPVVQEYYQKYIETGGDVKTSGSLSEQVGGTGM -FRMAANSTRGYGAISQEARYSFYLAFGIQPDEQIALEHEIRKTTIHATLGPQCESADSIQWLINPNLT - ->YP_010087346.1 RNA-dependent RNA polymerase [Jasmine virus H] -MGLLSYGASVGFKVLRTTLAVQTGLALGVTGGIIEHVTGKKISYDPATNIVCRIAGINPTCHSLSCLPDI -EPEPMLRSVDYLHDHPTMEYDHCVEVDFEDSGEGKVVKRKRVHKKPTFAAILCADAKNHFGGTPSPSKAN -ALSVMKYLVNKCNEHKLTVLQTRECSALAFPLVFSPDALDKYTYRYLNSSEAFERRVDFNKSTRVDPVWL -GFFTAPLQSKTWKRAFLHITRLGSQEAFQFIKOGCLMRTDGVDTKVFRGQHHWVTESRQAASVDPRRLYK -ISGLSDSVGWGVHNNSFVNLRRGLMERVFFVERQGELVPCPSPSEHSFRRLRNKVGYKLSSLCGSHSPIP -RKDFPGMFEGRKRTIYQNAVDSLSERPVCVRDSFLKTFVKCEKLNFSKKPDPAPRVIQPRDPRYNVEVGR -YLKPFEHHAYRALDKLWGGPTVMKGYTVKEIGNILRDHWNRYQKPVAIGFDMSRFDQHVSVDALKFEHWL -YRRAFNNDGHLANLLGLQLENRGTAYAKDGTLKYKVNGKRMSGDMNTALGNCILACSITKELMMRIGIEC -SLINNGDDCVLFTESRNVRAVEGILTEGWLEYGFTCVAEKPVYEIEKVEFCQMQPIRVGDDYVMVRNPRI -SLSKDSHSTVPFEKTKLAQEWTYGVGLGGIALTQGIPVVQEFYQCLINNGRKFKTEKRMRVLFGDYKYHW -RLSNGGKYSPVSQESRHSFHIAFGISPDQQITMEDYYKDFRISWEFAPFDKEYNDIEWTLQQPISL - ->YP_004300263.3 putative RNA dependent RNA polymerase [Trailing lespedeza virus 1] -MFRDLCRYASQIVVGSTVLAVNVSVLSVAVQLRGAVAVYEVASKCVTALEDCVSDLRDSLKPVPDELYNP -SLCAALRMNNTDTELTAEDLLTTDRVLVNTWETDEAKFKYRYKARIQSGQRGRFMYELVAYGKNHFGRAP -RCCDSNYLSVTRLLVNRCNELHLTPTQTRNVVAEAVSLVFIPDEEEIRQTMLTNSHYAYMQRTRQSVVST -VEPWWKELLLGPSNAKNWRRAWIEICGLPEKQAISFAKXGCLWRTRGVTTNIFRAHHPDVTECHEANVHV -RDTYLYGARLTNGLKIGVHRNDFPNLRRGVMERVYYVENSETKQLQSPITPVDGIYRSMKYIRLKLGGYI -GLHSRTPMQKCVDMYKGRKRRTYQRAMDECKLEPFNPRWAHVKNFLKREKLNLSAKPDPAARIISPRDPR -YNIHLACYLKPFEHHLYRAIDNLWGQPTVMKGYTVEEVGQLFHRSWSAFRKPVAVGFDMKRFDQHVSVAA -LQFEHAVYLQAFGYDAHLQRMLSLQLNNMCNAYCKNGKLRYNKMGTRMSGDLNTSCGNVLLACAITYDIC -KRIGLKAHLLNNGDDCVLILESEDLPRVEILDTLWAEYGFVCIVEKPVFEIEEIEFCQMHPVFANSGYVM -VRNPHVSIAKDQHSLIPWTNVKVAKQCLKAIGECGSSLTSGIPMVHAFYQCLLRNTSDVRINPNLREASA -AAYFGMGGRTRGTHSISERTRYSFYLAFGITPDEQRAFEDDWERETIVWEWAPDGFVAANHACLQLISQS - ->YP_009345600.1 p86 putative replicase protein [Clematis chlorotic mottle virus] -MASFVSSFLNGLSVLVNVECVLAHTLYELCTEVITQQPSDTISAICAAAGSIPADPVEEALLFTATDIQT -SLVLEDDLDHHIIKEEVDEGKGEEVKKKHVRSRVNNRVPFAVILAQAAKAHFGGIPTASRANELSVMKYL -ASKCEELKVTPTHTRACVTRAFPLVFTPDEDDLRVYRMLNSENARSRRDQYLEARKIPSWWMQLLDNPLC -ARAWVRMYRRFCGMPDEKAFQFVKXGCLSVSHGVDTKVFRGSHHMMREERWGGNPPPRRLYRVGDLSDGN -KWGVHNNSYVNLRRGLMERVFFLETKEGLVPCPQPAKGAFHRLRQKYTKKLGMLIGVHSPVPRDQIPGMY -RGRKRTIYQQAVDSLAERPLISRDSLLKTFVKCEKLNFQKKPDPAPRVIQPRDPRYNVELGKYLKPFEHA -CFRGIDRLWGGPTVMKGYTVNEMGQIIAEKWAKFQKPCAIGFDMKRFDQHVSVDALKFEHSIYRECFNAP -ELNALLRLQLFNKGVAYAKDGCIRYRVDGRRMSGDMNTSMGNIILACCITKELMGKIQCELVNNGDDCVL -IFEDSNRHAVEAILTKGWLRFGFTCIAEPAVTTLEKIEFCQMQPVLTASGYMMVRNPRVHISKDVHSTTP -FETVRDAREWAYSVGLGGLSLCPGIPISQEFYQCLLVNGLSSTSRSKMRHFLGDYYFNWSMQHRGCYTHI -TEETRHSYHLAFGISPDQQIAVEELYKDRHLHWEFTQDTKAFNELEWILANPIPLN - ->YP_009342302.1 hypothetical protein 2 [Wenzhou tombus-like virus 9] -MTGTTTTSVITDDQIKEEVGGSERFSVMRSRQARTKPPRVMYRIRGDNGPDWDMPNNDIESVSHAVLERV -FFVKDGRGGFRRAPKPWTHKSVINDANPLKSAQRKVQDRLSSFNSKMKGIAERHGKVSPMTDEEFVQCYG -GAKRRCYDAAVESLRDEPLKEKDCRVKVFTKDEYRKPGGAPRAIQPRSPRFNVKLGRYIKHIEHEIFSAI -DEIFDPYGEHRTVAKGMNMVDRGNVVAKMWSEFEDPIAVGLDASRFDQHINKLLLEHEHSIYHLWSVGKG -EDLPNLSTLLRSQLINQGVYKGVDGTLRYRVNGCRMSGDMNTSLGNVIIMCSLMYAYFESVNMLGKVRLL -NDGDDCVIIMDRRNLDKFRNGLQEWFLEMGITMEYDGIYTSLEDVEFCQCRPVRFGDGWRLCPRPSKRLY -SDLVTTKAMFSRKVYRKQVGAIAGCGLACSGGLPVFQEFYKWLGRGATPWIPTMGDQYHKFRQELVDGLE -IKIREPTFDERLSFYFAFDITPQEQLAVEQYYRELPDPIHSKFVEDPVRHLDPLQYYVEPEQKFRSC - ->YP_009342301.1 hypothetical protein 1 [Wenzhou tombus-like virus 9] -MERTLDTESLDTNSSKVGSGCSQVSGLTSDDGVSCVGSSVASSEESRTGDRENRWKKPVRRTVHAVGAAA -TFGGIVLGCGKIGLVLSPVTLGVMWALRDKKPSKEADLVLGVVNGDIEVEKEATLASTCEGEQQPRKIVG -GGRNDAEVVKTKKKQRWYQLKKQPKRRIPVLAGEVASGLKVRHGLLADNAENRRLIRSDASRRCEALRRD -GDEWFKNLRNHDMLAVVVHASQMFWLMSDDEEAAISIYDDHYLVANRRRRAKLASSPTAC - ->YP_009342294.1 hypothetical protein 2 [Wenzhou tombus-like virus 10] -MFGYRRHICSHHGNLHTYQRERSIVPCVVSSVCSSSSEGAVIVGNKWVAQPLGSGCSMSRTNQQSESCSA -KFCKFLTIKNSRTWDPIVFSPCSHNFMLAAKSRILKETPQPEDEYLRRFALSLHAVAKSIFVKQTPLTRE -EFLSAYSGSKRLRYAMAADRVYDVDDGSIKHASSFVKLQRFDPTSKEHQVPRVVSFRQYTNAFSLGRYIR -PLEHQFVNAPSFGRFKGYRWLAKTRNLTQRATDISLIWDMIPNVCCVSIDASRFEAHVSKDMLSLEFETY -SDAYRGDARLVKMLKAMLATKMHTKYGLKCSILGRRLSGDMCTSLGNALLMCGMLNFISEDLNIDFKIYD -DGDDCLLFMNKNLLPRLEEMRNCFKRLGFDVRVDKIAYCLEEIVFCQSHPIYWAPGQGVLCPDPDKHMSA -LYTGTSYGRSARRDRLIAFSTAMCCLSFLTNIPILGAFHSMVHRATATKRKMIFPDRTLEYLYKNNSSCS -KCPGLNDALRVSFYLAFGYTPSQQEQLEEHFDTTLLHFKHYAELSQPVFDYITWTSVTDTPACQLDAPT - ->YP_009342279.1 hypothetical protein 1 [Wenzhou tombus-like virus 1] -MVSAAFTFGCDVGTSILLLVAVVGVVLGVRWLRSSTTGATLVAEVDLGPDWTSVGVTTPYQRQLAYQFKA -EFGELRYNKANRIIAGDWCRKAMADNDVRLTDRVRLLPLAVELCLLPTRHAVAAAELAATHEVRHRRAAA -DLPKXGCPAILPGVTTRVDRVGEACLRVRECVGVGVRSGVDRSVRYMAGFGTGVRYGVHCANLKNLARGI -VERVFHVVRDGRLAQAPQPRFGVFSRLNSVRQRLLAVTRPTPVVAREDYPGLYTGRKRGIYERALESLTV -RAINSRDAWVNTFVKAEKVNLDSKGDPAPRVIQPRSPRYNLEVGRYLKMFERELCHGFERVWGYPVVLKG -MNAQQVGGWMAAHWGAFRRPVAVGLDASRFDQHVSYDALRWEHSVYNSTFHSPELAKLLRWQLHNRGVAR -TEGYRIDYDIRGCRMSGDINTGMGNCLLMSSMVIAYCESVGIEYRLANNGDDCVLFVEQQDLVKLSGIDE -WMLDFGFTLTREEPAYVLEHVEFCQARPVFTSTGWRMVRDPRVAMSKDCVSLLGWESDLDLQYWCHAIGT -CGASLTAGVPVWHSWYHRLQRMGAVAPSGVVDMVYDSGLGYMSRGVVGGEVCAEARVSFWRAFGITPDLQ -QSLEDEYSEAVVVAKPCPMTFPDVLAIDTSENPLATWLVAARTPTL - ->YP_009342277.1 hypothetical protein 1 [Wenzhou tombus-like virus 8] -MRQLNRLSLTMATTIRSLRSSAFTDHGDLQVNFDLRKAVLTLTSPVWAVPYGLFYCARRTAMCCFGSVID -KESDDIISDLLEGYEEFINRDEHADAMQADLERINKVNEDFRKEKEAHDKAVASQDATDELTRNILALGS -RPVMPPLPVGATDKDVRKLTTLYNYRRDRYDSQVTALKELSANTLALNHNNAVDLVAPRPPEIREGAIQR -EKRLAMEEETRPNRTRNKKRKFRRTHEGLFLIVSYVKAIVPVESDRKSQAITESVRELCRKAMQERNWRL -TDIAKWLPIATEMVRTPTEADIMAKKFAQSSQGAQQHARLKAFGGVPRCXGCACKALSEEYHGKELEYVG -SDFMMKDPKLLGKHSLKVVPCMRVPKPRLLTRFTEAGSGARKVVYHNSVRNLVASLVGRVFLVKDGKGGF -MPPPGPRNLNSLDKTLNKLWRYVHVGPPLSTSGFIGKYTGPKKAAYEQQGDIFHVVETTRRDRRTESFIK -AELMDSNYDRSSGQWEGKCPRNIRPMSIRVNLELGLLIQPLEHAVYRGIDKMMRDLGCVRRTVTKGLNSF -EMGELIHQKWERFVDPVCVQLDCERFSQHVSYDAHKKLTRFLSSCYGGKKTHNGRKLYTLLKYQWETELK -TVLPDGIVTALIHGTLSDGVMNTSLYGILMMFLMVYEAVRTIRLTNFEIFDGGDDTNIIMERKDKDAFVK -TIQEVGVDAGFKIKFEGLSESMEDIVFCRMNPVFDGARWRMVRNVEGALTRDVLTTKAVPNEEMFDALRR -AKAQSGLSLCFGMPVMQNFYEMLQRGTHPGKYRIVEERSGMAFLAARLKPKTAPITEAARMSFWKASGIL -PHKQILMEREYDMMQPSYARRCEASFYHTFPETTTVHHDYC - ->YP_009342275.1 hypothetical protein 2 [Wenzhou tombus-like virus 3] -MVRGITERVLYVRRDEGLAKPPQPLVGVFGRLASLRSRLVRKAPPTPVVPLGDYPQLYNGRKRLIYQRAV -DSLMVRRLTVRDSYVSTFIKAEKVNFTSKVDPAPRVIQPRSPRYNVEVGRYLKLFEKPLCRAFARVCGAP -VILKGMNADQVGETLFAHWSAFSNPVAVGLDASRFDQHVSRSALEWEHSVYNAVFQSEELRRLLRWQLVN -RGIGRTEGKRVDYTVEGCRMSGDINTGMGNCLIMSAIVIAYCEDRGIQFRLANNGDDCVLFVGAGDLGRL -GGLDRWFLDFGFTLTREAPCYRLEEVEFCQFHPVRLGNGWRMVRNPHTAMSKDCVSLVDWSTEVGFRSWA -NAVASCGVALTSGVPVWSAWYRILERVGKVQRDGVTERVNECGAYYWSRGVRSCGITDEARASFYFAFGV -TPDQQVALEAMYDSITDVSSVTPVTWNPPPRQLDSLNPLTLLRS - ->YP_009342267.1 hypothetical protein 1 [Wenzhou tombus-like virus 2] -MRRAITERVLYVRRDGRLTRPPRPEPGFYGQLSGIRQRLVRKATPTPVVPLAEYPSLYHGRKRKIYENAV -NSLNERGLTAKDAWVDTFLKAEKVNFDSKGDPAPRLISPRGPRYNVEVGRYLKLFEKELFRAFERVFGYK -VVVKGLNAQQVGELLAQHWGEFREPVAVGLDASRFDQHVSRDALEWEHSVYNAVFRSERLRWLLRMQLRN -RCIARVEGRRFDYTTDGVRMSGDLNTSLGNCLIMSSLVLRYLEERGVKARLANNGDDCVVFCEAADLSKL -DGLDHWFLRAGFTLTREDPCYELEQVEFCQFHPVECANGWRMVRDPRVAMSKDCVSVVGWDQESEVKVWA -AAVGQCGLSLTAGVPVWESWYKRLVRVGVERDSGVGERVKECGMAYAAAGVQACPISDACRYSFYRAFGI -LPDLQEALEREYSADYTLAPIDPMMSSHIKTIDQLNPLTLHNGS - ->YP_009342057.1 hypothetical protein 1 [Wenzhou tombus-like virus 4] -MESHEAPGALPEGKALPRVPADNSIYMAQLNLAASVLMLLKLCFKLAYAVYMFFATIARCLIRYVESLLR -SELGLPDDEEIPFALITGRILKLFHAAERRVSAFIQMLGTDVPRLLEELDVKDRATSAGSRVVNEASGLL -IRAAAVVGDLRDLVAEELPIPNPANVDPGLEGYVSPELVLNDEGNLEEMINHELNVAAGKMEAECVPQEH -TPQTNPVSAINELLQRMPVTIHTYEYRDLGVKSPTYACTMTLSNVATLKEGRLLQVTAIGGSKKVAKRTA -AIRACYALGVKYEGMPPTPMKTSVKLIPIETLGEASYKKYVEPLKFFTADENDGTGRPTMAGPVPKAAEV -LSSMKEKPLKLRDAKSVSMTVKQLIEDTYRVLVREGLKPTLAQLLERVARNNPGPVAEVVGVVQEMEEVA -DTHSHAGLPTVVPVPAAPMPVVYDPTGPDGEYEPMDPPGDPGEDDPPPDPPGGPDGDRLPADLDPSVVDT -VVALVRRNHPSPPRNDAEAQSQRNAANAELDAYFETMMADGTVHARKLMAARYIDPVVTKLEHVAPSRAE -HLLVRNAPSVTAKRVLERLGSPGKXWCRGALRGVRMLAEPDWRSVNRQLPRGYLLLFKPLYGGLASRRRL -TQLVPGLSLLDFCVHDPTAYNVLDGVIQRVMLVDGHRPPQPEPGAWAALRRYARRITRRMPRNPKRMRRH -DYPQLYGGSKRDMYAAAAVSLDEKPITSRDARLAVFGKCEKVALAANGRPKAMRVISPRDPRFNIELGRF -LHPIEKPVYLAINAMFSNTPTTPTVQKFLNLRERGRVLRAKWRMFRDPIAVGYDAKRFDQHTSADAMKLE -HLIYTGAYANHPELSELRRLLNWQLRTKATARLKDGLIHYRTLGNRCSGDVNTSLGNIVLMCVMMRAYLD -HVRLRAELVNDGDDCVLILERRDLALLEELEPFFLRLGYTMIREDPVDVFEQIEFCQCNPVFDGAEWVMV -RKLTTVMSKDTITVKSLSSEQAVRIHRRRIMQSGMAMAGNIPVLRSVYKYIGRGCEAATNRRGRFNPYLD -SGLFYATKGMRYKDILPTATARLSFWRSTGVTPSQQVSMEKQLDDLPADPPDMGLINHRFAAMMFARHNA -KPPNLTGSDPLIGYYDRDWPGPAAYRRRQA - ->YP_009342296.1 hypothetical protein 2 [Wenzhou tombus-like virus 7] -MTYQPGIDVLVRAISERLYCINTPNGWIQPLVPKREDVSGLALLFDAARQHIRVQHPMSAEQFANCYTGP -RKRRYLAAARSLAESGISHQDARLKYFLKFETYNFDEKPNPSPRGINPRSDRYLVELGRFLHSIEKRIYK -AIALVFGHPVIMKGYNQAQRGAILDKLMSEVDDPVAIPADASRFEQSIDIPHLEVEHKWYLEFFRGEDRK -HLAFLLDLQKHNKGSAHASDGDLKFSIDGKRMSGDKNTSSGNCIISASLWYEFAKEKGWLTLTRFFCDGD -DAVMIIPRKYLKEFQQDYLVFCSKRGFRMKLDKVACILEQIDFCQSRPVWTPQGYVMVRNPVSVSKDCHS -KAPINNEKIARRWIKAVGLCGLSCNGGIPVMQEFYRAMIRYAGDVKALDLTHPLMVRQFRYKVYGMDREE -DVIHPRTRASYAVAFNVSPSEQLLLEKHMAESHLEFTFETRPSITRIGLM - ->YP_009342291.1 hypothetical protein 2 [Wenzhou tombus-like virus 5] -MYYCKVGDTFLPAPEPDVNHVFAGLREFRSKLVHRMVSTPYTIEEVVDTYKGRKRTIYQQASESLNNTGL -KRGHAISDCFVKVEKGNTSKAPRCIQPRRPEYNLVLGKYIKKIEHRMYRRIAKIYGDGPTVMKGYTVQDV -ARIMRGKWDSFSDPVAVGLDAVKFDMHVSAAMLEWEHSIYKAVTTDTRELNRLLRWQMFNKGRGRCVDGK -LTYTVKGKRFSGDMNTALGNCIIMCGLVYTYLKEKGMHGKLVNNGDDCVVFMERGDLEGFVEGLDEWFYS -YGFRMTSEEPVFDFEKIEFCQMRPILGPNGWVMVRNIKAALVKDCLCTIDLDGVSARKWMYAVGECGLAL -TSGIPIMQEFYLALMRNGDSSSNITQSLQFQSSGLRHMRGELDAKASAVSAEARRSVYVAWGITPDEQVV -LEEHFRSWVFDPSPGDIHVSQPPIFHGISLSW - ->YP_009337817.1 hypothetical protein 2 [Beihai tombus-like virus 2] -MSISDVLQIQKGVTCLSATIPRQVRRLPRVFYTIAYANNFRIPNNDLVTKLHAFVERVCLVRNSEGNLVA -TPRPWDVLMSEDVDYDQAEQLFIDMTAPVVEALSREYITAGGVDQPITYDQFLNHYVGSKRKIYEQAIES -LRDIPFQDKRDGSVEIFIKPEYLKPGGVPRVISPRHPRYHATIGLYIKAIEDKIYNSIDTMWDPTGQFKT -VAKKMDMIQRAEELNKMWSSYGRPRAVGFDAKRFDQHINSWLLKHLEHKIYKKVCHNTSPEHEVTLSRLL -ELQQTNIIKVGDRKDMARYTFEVDGVRMSGDMNTSLGNVTIMCCLMWLFREEYHFDFKMLNDGDDLVIVC -DRVTAKAIKDKLPSFFLKFGIEMEFEGLFHTLEAIEFCQAHPVQYDVGKWIMVPNPSKRIFSDLLSEKPV -ESRKIYSSWLGAVGKCGCAMSPGVPILQEFYDWILRTPGVKPWIPKEGSYYYKYGYRKVIEGAKYKPVSE -IARISFFKAYNIPPSEQIMLENMFRSLPSPSREILEESSRPAHTLPLEMFALPTYFKKFNWEETDRMNRW -ELDRGLFLVKNKRRAQDKGKSNLTVKNVKKDPIRFRKPKRSQRRRARYTSKHCRHRSAKTRSAVTNLTKS -SRQKRRRSAYRFRYRSA - ->YP_009337777.1 hypothetical protein 2 [Beihai tombus-like virus 5] -MKMYARQVCRGDLGAITTVSFDEAFRNFPPAKRQKYAESYEKIGSQPDMAKGHCMAFVKYERLAEWDKDP -RMIQFRNAGYGAALACMLKPIEHRIYKLRDSKSGLPLVAKCSNAHERAEILREKWNRFENPVCISYDCSR -WDAHVKVEHLELEHEFYSMCNPDPQLPTLLQKQLRNSVFTANGLFYQVLGGRMSGDMNTSSGNVALMLFF -MTAATKGFRREIYDDGDDCVVIVEAEDEEKISERVLEWFTQAGFVIRVDAVARQFEEIMFCSCHPVWDGQ -IYKMVRDWRKVVGFGISGAKWMRQGEKAMKTYIRTNGVCEMALTRGVPVLQTYAQRLIQFGQGGKLVEDA -MEVKKRLRLAMSNDQVESLDQIDTTPRDITWESRESFAIAFNINPQQQIDMEQTISNWTFPQIEQCVLPE -WRTS - ->YP_009337772.1 hypothetical protein 2 [Hubei tombus-like virus 3] -MVGVKRWVAKRDCRTISQVGRLAPHSVMTVHNSTMVNLERALKERVYFVKGANGEFVPPPKPVSGAFDDC -LPIFREVSMRCARFPSLTLDEFPGRYKDAKKRSMYQAAVESLHSTPISEKDANITGFVKADKLDFTKKQD -PVPRLISSYGSRYVVALGRHYAHREHSFIRALDRVWGNRVVCKGLNSVRRGRLIADKWGKFRKPCALMAD -ASRFDQHVSLEALRLEFDFYLTLATNPQERKEMQYLLELQLIGDGVGRAEDGSVRFSTCGGRKSGVPNTG -GGNTLLMCVMFLAYVRSLGIRCEFVNDGDDCVLIMEQSDRELVERTIEGYFLRKGFTMVVEKAVFELERI -DFCQGSPVWTPSGYIMVRRPQTCMAKDTISLDRFTSEAHWKRWMSSVGECGLSLSGGIPVMQEYYQSYVR -NAKGAKPLEKGPSWGFKHLACGMSRKYGPVHERTRYSFWLAFGIFPDEQVAAERLYAGVSLSYGSHELAE -RSMVFSRII - ->YP_009337749.1 hypothetical protein 2 [Hubei tombus-like virus 5] -MKSVAPDHPDLQVRKRWGDTKVRKTARVSGISPRMELKSFNNDIDTLERAVKERVFFVKNDLGEFVEPPK -PAPHHFRKAMLATEKLLVRRLPKTAPLSRDAFVETFRGRKREIYAKAVESLSSQSVTQRDAGVQVFVKFE -KTDYTRKKDPVPRVISPRNPRYNVEVGRYLRTIEEPLFHSLSQLFEGKRTVFKGMNAADSGRAMYDLWSS -FRKPVAVGLDASRFDQHVSKCALQWEHAIYPQCFTSSGDRAELRRLLKWQVHNKCVGYCADGRIKYTKEG -TRMSGDMNTSLGNCVLMCSMIKQYSLDRGVRTLLANNGDDCVVFMEADELARFSSGLDEWFRAMGFNMVV -EPPCYQFEEIEFCQTHPIYVGPKHSDYLMVRHPKWALAKDSMCIHGFPTSKIYKAWLDAVGTGGLAMTGG -VPIFQDFYSTYCKYGVRGKTHFHEQSWGVRSLQQGMVRKYGAVLPETRASFYWAFGVLPDEQLAIEDFYR -GVKLDEAWRDELEFQPLLPL - ->YP_009337737.1 hypothetical protein 2 [Hubei tombus-like virus 6] -MTSVKDSLRKFLPSTAPISHDAFVSGYKGRKKELYQRALDEIRATESNLENDSRLNVFVKFEKTDHTTKK -DPVPRVISPRDPKFNIRVGRYLKPLEERLFKSLGKLFGHKTVLKGVNSQRSAELLKEKWEMYRNPVAIGL -DASRFDQHVSIDALRWEHSIYLECFPQRKHQERLRRLLKLQELNSCTGYTPDGKLKYNVKGTRMSGDMNT -SLGNCVLMCSMIKAYLMSKGIDGQLANNGDDCVVFMEKSDLLNFSDGLYDWFLALGFNMAIEEPVFEFEQ -IEFCQTKPVFDGEYWIMCRNPHTAINKDSVMLKPFSCSSEFRGWLDAVGTGGLAMAGGIPIFQELYRTYQ -KCGQQRKISEDLLPWSFTNLSKGMTRQYGTVSPAARASFYWAFDITPDEQIALEDYYSSTKIVSSLGPYE -PRGVFAE - ->YP_009337736.1 hypothetical protein 1 [Hubei tombus-like virus 6] -MDTDTINQYIVLTKWGCKWIKFACNYLVTGGPANRFADEVLKTFDGVADDPIEYVEDHTTEFKRMIINVQ -SEEPVVVQKVVKRKLVLMKGRRSMFSASLAKLAYNKFGQRPMSEANVLVTRKWIQKYLEEPMYKDLRTCD -KNLAIDRALFLSFVPTKDFQMMKVATTTPAWEARNKAESVFGRVFRLLGSQGVELPDYLAK - ->YP_009337707.1 hypothetical protein 2 [Hubei tombus-like virus 24] -MFIKHEKGDDPESTPRGIQYRSFKWTALFKRVLGPFEMRLWRLGQDFQPSPLAERMFSKNLNPRAVAHNL -RNGWLKFADPVADLWDVSRMDAHLGRLVREMIEFPTYRMGTYGFDDFIGAMRHNICRTKNGIVYEMDYTM -CSGEACTSAGDSIVMAAVLDFVYRDIPHHKLVCGDDCVVIRERGCVPDSSVFAQCGLPVKSDVVDQFERV -EFCQSRPVCVAGVWTMVRNPDRVVNRALCTIKNFGGEVRPYQDWLASVGVGELESGAGVPVVQTLALELM -KLGQPRPHFVREYLEHRRTLQQREPLEVTDETRHSFWLAWGWTPEEQVRFESLLLGGAEFDLVV - ->YP_009337688.1 hypothetical protein 2 [Beihai tombus-like virus 7] -MSANAEGASKQPSGSPPPTKGLTTAEINQRVRRSVKCYHCKQTGHLARNCPLRHRLQADPDRNDNLRYTS -GYAFSPNHKRGNQNSIQCYSCQNYGHYAKDCKRDRLRVPHGLRRRQGHNHRTPPVVEGDNPVLPRPRERD -LRTDNRRCEPLCTSDGGSAAADSGNVIATQSPAMDNDDAGRNDEQRSVWPKGDPHAAYERAFEYTWTRGR -VTTTPSGSSIHPLVLTHVRGGTCDQPASPPSAPTGPIRERETPDITGDWLSCPLAYTDLGVRPVPTRTIT -HESVSLACRFANQLCLRVDYPPMTYIGRLHGARQQEIVDESYLLTFLADVPDSERINAILEPVREHTPVA -GDPPEPEGFFPSKPDFPPYAKPSPCLDAQYEEQVLAYLRTETAGIQRTSDTLASLIRKGRSWLNRNGLSD -EVRLERILSKVVVRVFPINETEYQVARSLHQRKYATGVSQANEFSQGRAFRRRWLRMGLSYLTAGLSEFW -LPSDWAGVGHYDLPKKXGVGTREPSVCSPSVCPPVYKPIRLGCSVVRVPPHQCQDDKRSITVYTPPVDGL -YRPFAHRGCTCNELLAIRNRVIGDVPAPERSGLSRLMREARRLSQRLGKTDVFSNAEFLAKYSGPKRTRY -ENALDSLIARPLDPRKDSIVNAFVKAEKLNPLAKVNPDPRAIQARNARFNIEIGKFLKPIEHMYIGIKSP -RGHPVIGKGLNNVQRAFHLEAKMADFTHPVVYSIDLSRFDQHVSRELLEIEHSVYLSCNSNDYFRNLLKE -QLNNLCFTRNGWGYKVRGCRMSGDMNTGLGNCLLMYLMTTSAMRYLGLAKYELFVDGDDTLIIIEEHDEW -KLSGLTQEFLRYGHEMKLENRATSISEVTWCQAKVVYVDGVPKFVANPTKVLSTAVAGVRHWHDKGRQNM -GYSLGQCLLSLYAGVPILQAFCESLTSRGRWNDEVMWRDWIYRLTIEQAKNAKVREVSIETRLAFQRTWK -ISPEEQMAVERQLLDWDITADPVWCQSQVRQGWFIDPDIRAGPWARGGYDNPLTTF - ->YP_009337648.1 hypothetical protein 2 [Sanxia tombus-like virus 2] -MSWTGQRGWMRVESIPVKGRQCRRKQPPRLYKLRGYGISTRVSCHQHNSNNLAISVFQRVLTISKGGKQV -ETSPPTEDFYRQQFAPYVDELKRCSFVTAPVNRSVFCEKYRANGRRYRSYLRAVANLECMERQQTLDLQR -LSRLSNFVKVEKVLEDKLAKAPRNISPRAPEFNVLLGCYIAHLEKALFRILERVCGFPVVFKGMNALKQG -EVLRHHWEQFEQPVAIDLDASRFDQHQHVASLKVEHEQWLRMVPAEFSGDLRALLNCQLLNNGSAVFPQE -GIRVKYQVRGTRASGDMNTSSGNCFTMVGLIFSYMHGKCKWRLANNGDDCVLIIEKRDLPKISDLVHWFG -RMGYTMESEGVVDIFERISFCQTKPVWTPLGYKMVRNPQTAIAKDIHSRCDLSREDVFDLWTSCVGKGGL -ALAGDIPVYNKFYQTFPQKHSAANFQEVAPMVESGFYMLSKGLKYESTEILPRTRYSFWLAFGITPMEQL -VLEDHFSGVQVRYEMPSVGELQEGSTIDQILFGV - ->YP_009337637.1 hypothetical protein 2 [Changjiang tombus-like virus 7] -MHPSFPGLAGRCTFGVHNNSGINGIRALAERVFFSPDESGQLVPPPRCTADVNTTLSGFKAQLLKAVGGA -RPLSREQFVSFYTGRRRLVYERAAESLAARPLTKGEFGVRNAFVKAEKINFTAKPDPAPRVIQPRDPRYN -VEVGVYLKHIEHKIYHGIAEMFGGPTVMKGYNAQEVAAHMHDMWNEFNDPVAVGLDASRFDQHVRPEMLA -WEHSVYAGLYHGADRERLQWLLSGQIKNKCTMRTPDCQIKYLVDGSRMSGDMNTALGNCLIMCALIHRLA -QERKVRVRLANNGDDCVVFCERRSLTRLIEGLGEWFRTYGFNMKQESPVSCFEEVEFCQAHPVCSSTGWI -MVRDPRVSISKDAICTVRDYGHGAAARKWLQAVGECGTNMAGGLPMLDAYYSAYLRHGTGKHLPTVVAES -GMYMLSKGLHRAGVEISDGCRVSFFKAFDVSPSWQRDFEEHMAGVTFSIPASPCIRPTNAPGFLPF - ->YP_009337621.1 hypothetical protein 2 [Sanxia tombus-like virus 1] -MQWAGTRGWLRVESIPMRKWLKKMKPLPKLYKLRGFGLGGQIGCHVHNMINMAISIFHRVLTVQRDGQFV -ETTPPSEALYRQRFRQYVKQLKRYTYETPPVTRDVFLSRQSGNSRRFLRYQRALANLGQLERNNDVDLDR -LSRLSNFVKVEKLLSTKLAKAPRNISPRSPEYNILLGCHIAHLEKILFRILQRVCGFPVVFKGMNCLRQG -QLLRQHWDEFEQPVAIDLDASRFDQHQHVSSLKVEHEQWLRMVPSSSREELSQLLHCQLLNKGSSLFRLE -DGSCMKVSYQVEGTRASGDMNTSSGNCFTMVGMVYSYMQTKQIKWRLANNGDDCVLIVDKRNLHHLSDLQ -EWFGQMGYTMESNGYVEDFEKIVFCQTQPVYTPDGYKMVRQPQSALLKDINSRCDLSREKVFDLWCSCVR -KGGLALAGDIPIYQAFYQAFPDKHSTAHLQEVAAVLETGFTMLSKGLQPQIRSIEPRTRYSFWKAFGITP -KQQLVLEQHFSQVQVGYQQPSFGNLFEGVAIDRLVGA - ->YP_009337432.1 hypothetical protein 2 [Changjiang tombus-like virus 5] -MYQGRRKEIYQRAADSLASRPLVKSDAFMSTFLKCEKIDFSSKPDPAPRVIQPRTPRYNVEVGRYLKPLE -KRICRGIAEIWGGDTILKGKNAEQSAVSLRQMWDQFDEPVAVGIDATRFDQHVSYEALEWEHSVYMLCFP -PHTRPKLERLLKMQLVNRGYARLEDLELMYEVRGRRMSGDMNTGMGNCLLMCAMIHWITAEMGVRCRLAN -NGDDCVLILEKRDLGKLGRLGPLALDFGFVLEIEPEVDVFEQICFCQNHPVWGGHSWVMCRDPRKCVDKD -LVTVLDLGNIKSARKWCHAIGTGGLAMAGGLPVLGSFYGMLLRHATTGKVDAHPWLENGFAMMAKGMARH -SDVVTPESRASFFKAFDITPDQQESIESSYATMLLNLSAGVSESPLACYGKIWQ - ->YP_009337417.1 hypothetical protein 1 [Changjiang tombus-like virus 9] -MSFFELLRAFLCCATADSGEVKMDVAKTLLREDCLATDNIEFTHDPKEHHVTRRPAGVRVTDNREISPLE -PRAGLPVKKCAYRAEMDVLRKLRLAAEDAFGRQQEKKQPDPVTQHRLECVLNKATLAAFKVVGATHQCES -WSLLVAIGELQLDMTHTRSEWKRRLLRLGELCSIESGCEWEVEGNPPGLASEPHPPSGEVPVPTDQPTGV -HRLCHDVVEVKAHRRIRKDREGQYVGTVVRELKNRFGCPKPTEDNLLAVRRSAINIMEKHGVRPSHVGEV -VDLVVAGVFVPDRNEIRAANVLASNRARALRREIANAGPSTVYSKMWTKVRDVVWPDGALPGFLEDPGRA -XGGLSVVHGISHKTGLRDVRISVDRHARAEPRPRRLYSIKELSANRDLGVNNADINTLECALLERMYYCE -VGGDFVAPPPVDPGRFTERLAGFKAALLSNVPEPTVMSCEEVVATYSGRRNTIYRNALNRLTQIGLSRSD -AHSIAFVKMELVDPCKAPRCIQPRKPVYNLCLGRFIKSVEHDIYRGIQKTFGDGPTVMKGYNVSDIGRIA -RGKWRTFKKPVAIGLDAKKFDMHVSEEALLWEHGVYLDLFRHNPKLKRLLEWQVDNKGAGFCKDGKLKYR -VRGRRFSGDMNTGLGNCLLMSAMVYAYAKDRGVGVKLLNNGDDCVVMLESADLQQFVTGLDEWFLEMGFR -MVAEEPVYKLHQIEFCQMHPIEIGDECRMVRNIPSTLRKDTLTVHPLSNQKHREKWCTAVGMGGLALTGG -VPIMQDFYQMFQRIGCNRSSNIANDPTFATGLRLMSKGMAEHYREPDPWTRVQVFEAWGITPDEQKAIER -YYRDFSLSDQPVSGEQANVRCHLLLQL - ->YP_009337383.1 hypothetical protein 2 [Changjiang tombus-like virus 6] -MHPVFPGLSGALRFGVHNNNLRNGCRALVERVFLREDNGNLIPPPPCVEDVNKTLEEFKAAVITRVGVHR -RWSVDKFVNSYTGRRQNVYARAAKSLETTPVHTGDFKVMNAFVKAEKTNLTSKPDPAPRVIQPRNPRYNV -EVGRFLKGLEHKVYKAVEDIFGDPTVMKGYNAEEVAEHMVSKWQSFSDPVAVGLDASRFDQHVRPSMLEW -EHSVYAGCFSTGEAKLLKWLLQGQIRNVCTMRVTDGLVKYRVDGSRMSGDMNTALGNCLIMCALVWRLGK -VLGIRLKLANNGDDCVVFMERKDLTAFNNKVKGFFLDYGFTMKVEAPSFFIEQIEFCQAKPVWDGCKWIM -VRDPRVCTSKDATCVVKDYGYGSAASYWLGAVGECGLAMAGGIPVMQNYYSAFARFGNTNRQVQCVTETG -MAYLARGLHREATVISADARVSFWRAFGIAPTQQRELEKWCDNTSLTLPNSPCIRAYPPRGILPLL - ->YP_009337370.1 hypothetical protein 1 [Changjiang tombus-like virus 2] -MGQHIVDDNLNTHNNSVANLLRGVGERVLYTDRNLTKCAQPLSGVFEGRLASYKRRIVRSVGRQSPVTRD -QFVEFYKGPRRATYERAVASLVLKPICSRDARLKTFVKAEKINFTLKEDPAPRVIQPREPRFNVEVGKYL -RPIEHKVYDAIDDLFGSPTVMSKYNSVQTANIIHDKFSSIFGCAVVGLDASRFDQHVSEQALKFEHSIYD -GIFNSGELRWLLKHQLHNHGFAKGNDGWFKYQKKGSRMSGDMNTSLGNKLLMCMMCKSYLDSLKIPYEFV -NNGDDCLVFISRKHLPKLETLDQYFKDFGFKMKCEPPVFEVEQIEFCQCKPVLCNGIWRMTRNIRTALAK -DCTSVNLGHDIELFRRWLHDVSACGAAFSADLPVLGSFYRMLGRFGIAGEYEGHKSEFAAYRSMSRGVHI -PYNAPNAQGRYSFWLSTGINPEQQEIIENYFDTAVWGGDKRQIINSIDYIITHGRN - ->YP_009337356.1 hypothetical protein 2 [Sanxia tombus-like virus 4] -MDVKPFEKTLDNVVSGIKERIMYIDDAGTRRPVCRRNAGELKHLTDKLADCVPNPTRMTRREFIASRNGR -LKKVYERANEMLDDQPSSLEDLARTSLFTKWERSVHKPGKTTVPRIINPRSPQFNILLGRYLTPIEHQIF -EGLQEMLESPHPVIAKGLTQSEKGQIIADIVNDGYVVVGLDASRFDQCISEELLKMEHNVYLKCYQNDRL -LRALLKCQLDNSGQYIGRDGRVKVRYGAIRCSGDMNTSLGNCIISVLLSVLFCDENGIGDFRVFCDGDDL -LLAVRRGDLNKLNPLQQWYLQWGLRMKVEEPAYTPEAVEFCQSRPVCIDGRYVLIRDVRKCINVDYSGFV -NLQDRDYMLKYLRAVGVCGSYLAAGCPVLQAWYGFGVRVGTTGKLDVLDTERGFTRQAKLEQRSGAVMYR -PIDDGARESFRLAFGIGAAEQLLLESIFDEMTLERGQLDSHPQEITDFSNTFAFLVQPQHG - ->YP_009337274.1 hypothetical protein 1 [Changjiang tombus-like virus 14] -MDRRMPTPGIVYTHNNSLDNVLRGIGERLKMVSDGRGGFCFPPRPKVFDLEEYRSRLLRKMPKFDAPITL -DEFVQLYDGPKRKRYESAVEQLVRDGLQPIDGDIALFIKDEKICSWSKVDPAPRLISPRSPKYCVQLGRY -IKPIEHLLYKAVARVWGETTIAKGLNFNERGVLIQQKWESFNDPVAVGLDASRFDQHVSELALMWEHSVY -MRCYPREECDGKLARLLERQLVNRGRCYVENHLVEYEHRGGRMSGDMNTALGNCLIMTGLVWEHARQLGV -TVKLINDGDDCVVFMERADLARYLDGLEEWFRARGFTMKVEKPAFELEQIEFCQCRPVWNGEQYTMCRNV -FKALFTDGVHVGRTLSEIQHIRSATSKCGKVWSKGLPIFGEFYEFLSCEAPRGKSNFYGDYRHSGTVWQA -KGCVSGTTHITDEARASFHRAFGITGSEQVLVEDFYKDLPKTTYDTPQDALVYNPQISPDSYPLFVSESL -CEIVFNK - ->YP_009337230.1 hypothetical protein 2 [Changjiang tombus-like virus 4] -MGVHNNSLVNALRGVRERVFAVDHGGGKFGPPPQPRRGLFKMRLADFRRRVLHRLGSCTPWTQREFVESY -KGSKRIRYERAITSLQARELSRRDSHIRAFVKAEAINFTAKPDPAPRIIQPRDPRYNVLVGPYIKPLEHR -IYAAIGKVFGGPTVMKGYNARETAANIVAAWGQFNDPVGVLLDASRFDQHVSHSALKWEHSVYNAAYRSV -ELGLLLRWQLRNYGSVTTRDGSFRYKTRGCRMSGDMNTALGNCLIMCAMVHAYLGELGLHGRLINNGDDC -VVIIERQYLRRFQASISQWFLEMGFTMTCDGVASRIEEIEFCQTRPVKTGAGWVMCRSPFVGIAKDTLNK -SPDMGHPLRGYLRWAYQVGTAGGALASGVPVFQAAYAAMRRIGVKCAKVQGFGDMSSGFEHMASRMVLEV -APVTTEARVSFWRAWDISPHKQELIEEYFNTLQAPDHVEAVKSWANHLGNTLLQQA - ->YP_009337198.1 hypothetical protein 2 [Changjiang tombus-like virus 8] -MVGRDLSRCRGMLRLYPNGQAAKARKFVVVRDLGPTHHLGVYNNNIRTVGRAFEERYFLCDVGGTFEPAL -HVRPRAYQRNMQLQRFKESLVHACANAPVVPLRRVVEAYTGSKRRVYEDAYASLQKDPITQFDAALHSFV -KYEKQDLRKAPRVINPRSPRYNLTLGKYIKFLEKRVYRAINGAFGAHTSHTVIKGLNVMESGAVVAAKWA -RFCTPVAVGLDAKKFDMHTSIPALRYEHSVYTGIFPQSRELAQLLRWQEKNKGNAYCEDGVVKFSMEGTR -SSGDLNTSLGNCIIMCGLVYAYSKQRGVNVELCNNGDDCVVIMEAVDLAKFLHNASEWFTIYGYRMTIEE -PVYELEHIEFCQSKVVRVGDTPVMVRNLTNSITKDPMCLVPVQTPTVLQMWYRAVGDCGLSISSGVPVLQ -AYYALFQRHGKDYSDGFMKHVNKNTSHLTRMKGLDKLSVCAEIDPRTRCSFYYAFGILPELQMQIEQVYS -HMVLSTNVEELLHEGLAYDKYDNNPPCVVQYMF - ->YP_009337172.1 hypothetical protein 2 [Changjiang crawfish virus 7] -MPEVSVDQQGYSQKLPKRLFDIRGLPGRGTLWTHANDVANVVQSVHERVLGRTTSKGWERTLLPEEGAFD -SEGMVRFSTRLKQKLGSHSLPVTEQDFLSHYRGQKRRRYEAAVSSLRAKPVQRADSYPSVFLKAEKWREE -KPGRLISARSPRYNVEVGRYLLPLEPLVYQAIDGVWGSATIMKGYTPERRAAVVRGHWDSFGDPVAVGHD -FSKFDQHISKRALQYEHGVYLRAYAGDEHLQKLLSWQLETTCYANVRDGRVKYTVKGGRMSGDMNTAMGN -CIISAGLIWAYAAESGVQLRAVVDGDDSVVFMEKKDLQRYLAGIEGWMAKRGFRLVTEEPVYEINRVEFC -QCRYMDTVPPTMVRNPLKAITQDHAWIEDRSISYAEVLAATGLGGLSLYGHIPVLGAYYDLLARTTQPSR -RTLNRLDFRSSWLRDATMSGGFTEPSEQARYQFWLTWGMSPGEQRAHEMNFRACDLQDLVASDTITKATR -NEKTDPYGNNYYL - ->YP_009337158.1 hypothetical protein 2 [Wenling tombus-like virus 1] -MWNSIPGCYNPFVHSNCVRNEYVAMRDRVVGKVPVPTERGVRLLRRYARKLVKRLGKTDEISYDQVIDHY -HGRKRKRYEAAKESLGRLALTKNDSIIKAFVKCEKFNPADKVNPAPRMIQARNARYNLSIARWLRPIEHA -IYRLKSKLTGLPIVGKGRSLNERAELLAKKFNYFKNPVVYSLDASRWDQHCDIKLLEVEHWIYRAMNGSS -EFATLLQQQLYNKCFTEHGIAYKTKGKRMSGDVNTALGNCLLAILLAYVVLVDFLKLKETEFELLDDGDD -LLVIVEQKDEHRLEGIKEAYLEVGHEIKLENRATVLEDVEWCQHRPVHTPNGWRFVPNWKKVLSSTTCDS -KHWMHENLRPSLGHTMGKCLLSMYTGIPVLQEYCQFLIQQGNKDAKCLKDHYLMDRAYTNGGATTDTTPV -DPETRLSFQRAFGMTVQEQLDIETRIRGLTLPTTTLRITASEVLPGWKWEYYPGTEAGLG - ->YP_009337155.1 hypothetical protein 1 [Changjiang tombus-like virus 12] -MPEVSVTATGYSKKAPKQVLSVLGLPSKGQTWTHANDVANVVESVHERVLGRTADGTWERTMQPEKGAFT -GDLLVFRRRVGIHTGSSSLPWSTEQFVDHYRGQKKARYQSAAKSLEERPLSRKDSYPSVFLKAEKWHDPK -AGRLISARHPRYNLELGKFILPLEHELYKAIDEVYGSATIMKGYTPEQRAAVVEQHWGAFDSPVAIGQDY -SKFDQHISKDALQYEHGFYLHAYGGDENLQRLLSWQLESRCFANVQDGVVQYPVKGGRMSGDMNTALGNC -VISASLIWAYAREIGVKIRLVVDGDDSVAFMERTDAPRYQAGIKEWMARRGFRLVSEEPVYQINQVEFCQ -CRYVGTTPPTMVRNPLKAITQDHAWVEDKALRWADVLAATGMGGLALYGNIPLLGAYYDMLARASEVSAK -TLARLDTRSSWLRDATFGGVRMEPSEMARYQFWLSWGMEPGAQREHEARFEGIDLSALKAVDTTKIKHSS -FYDTDQAYYI - ->YP_009337122.1 hypothetical protein 1 [Changjiang tombus-like virus 1] -MGEFVCDDIMTTHNNSIANLIRGVGERVLFTDRQLTPCIKPLVGIFSKRCASFSREVARCVGRQSPVSRQ -SFVDYYKGRRRTIYQQAADGLVLKPIRPRDAYLSTFVKAEKINLSNKPDPAPRVIQPRNPRYNVELGRFL -LPLEHKVYDAIDEVFGSPTIMSKYNSVDQAAVLREKWDKFREPVCVGLDASRFDQHVSEQALKFEHDFYR -MVFGPRKDLSMLLSWQLTNKGFARATDGSFSYIRKGSRMSGDMNTSLGNKFLMCAMGKTYLDQLQIKYEF -ANNGDDCLVFIERSNLKKLSGLQTYFRGFGFKIVTEKPVFEFEHVEFCQCRPLFCNNIWRMVRNVKTCLL -KDVTSVNLGHDVTQYRAWLADIAGCGLSFAADVPILGAYYRMLQRFGQAGRYHGDDSMFNCYRTLSKNSR -ISSTVPDALGRYSFWLQTGIHPDGQQAIENYFEEAIWGGDKRQLINNIHYILKNGS - ->YP_009337093.1 hypothetical protein 2 [Changjiang tombus-like virus 16] -MTLVSHAPEVRAMHVKRWIPLRSREGGYWRTVHPYSSRVVRPFDSSVDNVVSGMKERVFFLDERGTTRPQ -CRRNMSDAGELVDMLVSSVGHCSRVSGAEFLRTRSGSKRTAYETALKRLRDAPRTLTELSKIGFFVKTEA -TQHLKRQVPRIISPRSYEFNYLLGRYTLAIEHPLFEALPSLFKGVPVIAKGRTQQEKAQLIVEKLKPGWV -CVGLDASRFDQSIGETLLRMEHSVYTKLFPGDRLLPALLKQQLNNVGRALCRDGCVKARIGAMRCSGDQN -TSLGNCLISCLLAALFFREHGIDGDVLNDGDDLLMFVPENQLAELSVLPEWYLQWGLRMKVEPPARVPEQ -VEFCQAKVVYGPDGWILVRDYRKVLNTDYCGNSKVDEFQDYRVHIRNVGLCGLSMAAGIPILQAYHALGV -RQGSTGKWTSDFTGKQHQMKIQLAAGYAPVERVITADTRLSFEKAFGLSPPVQIAMEEELSSLLLAAQPL -DLRQDLILE - ->YP_009337075.1 hypothetical protein 2 [Changjiang tombus-like virus 10] -MLSGVQGPIKFLIHNNSATNLRRALMERVYHVEANGQLQRPPQPMPGIYATLTALRDKIAHRVGAATPME -RHYFVSTRPADKRRIYENAYASLQLTPPSVKDARVYGAFVKCEKINASKKSDPAPRIIQPRSPRYNIEVG -RYLAVIEHDVYAAIDELWGSTTVMKGYNVEQIGEIISAKWGEFTKPVALGLDASRFDQHVSVEALEFEHG -LYNTIFKSRELRRLLRWQLDNRGVAHADDATFVYRKPGSRMSGDMNTALGNIIIMCILVKQYCDEKGIRA -ELINNGDDCTLIFEAEHVDAMRDGLHEWFLRYGFNIVEEPIVDVIEKIEFCQMHPVLVGDSYKMVRNFWA -SLSKDAISIRSRSITELQQWMHCVGKCGLAAASGVPIQQAYYSYFVRNGVKGKRLDQVEGRCGLTWFSRG -LHATTTHITDSTRHSFYLAFGIHADMQFALEAEYDRLVLSTNAPKAEDYIVYY - ->YP_009337041.1 hypothetical protein 1 [Hubei tombus-like virus 1] -MATTNIPFNFSLPGKPTVGKPQLDILTVPEFSFGDVNKKLVSVLERDSRLGKTGPEVQKATRWYKKNIDV -VYNAISNIICKHKVSVEMQFEAETIVATFNDPVEPEMEEVEDKRGGKRDKVRTSNKNWWVVYAALTRAEH -PSPSDTPAMRRTMHRYALELMKKDGVTRIDSARVIPLVVEMAYCPTEQEAIAAQLRESKAVRNSLWAAHA -PYWSYWWGVKRRTMSADXGGPVAVLGRDTEVSEAPNPPGLVVRGILGRQRKSRCTYMVGGGMAPRRDIYA -FNSTIVNLQKAVKERVFYVKDEQGRFVSPPRPDIFPYVRLSSRKPIARCGNGCGDVALPKEVDAHTEYLA -YKCGCGRTMCVDCVDFANGCDHCLKGFEPMRNIFDERLGEIRERVLSRTPFLRPLDLLEFPLQYVGKKRT -IYQNAVSSLLNRSLERKDGYTKNFTKTERTTKASAVPRNISPRDPRYNVEVGRYLKPAEPLLLDAVNKVA -GSKTVMKGLNAMQVGEQFRRKWDRFGGRGSTVAIGLDASRFDQHVSAMALEWEHEFYIRLVHDKEKREWL -RELLSWQIENKGFGRCADGTIKYKVLGTRCSGDMNTGMGNCIIASCMLIAYCEERKVPYELANNGDDCVI -FTHKKYLKRFSRGLREWFLEMGFNMVVEEPVYDLEKVVFCQSQPVFDGTSWTMVRDPRSCIAKDCVSLKP -WRNEKEYNSWINAVGQSGTALAGGIPVLDSFYRAFVRAGKGAKALSLDDPTLTGGLFWLSKGMQRRGMAV -TDEARYSFWLAFGITPDEQVCMERDYDSKTPYYSRLVVDPEVLPVHEHGLLL - ->YP_009336967.1 hypothetical protein 2 [Hubei tombus-like virus 2] -MVGAELAPDADVYSFNSTIVNLRKGVTERVFYVKNDQGEFVSPPRPGSNPCFPVTRKIARDNRGEGCPIC -YEDYKFAEMAAKLPCGHMFHERCIGTWVASLQDQHLPGTCPCCRDAVGRHFLTDVDETVDVYTQKLDHLQ -KRILELSIGFCPLERDLFHEEYTGKKRETYRRAEESLRTKEISRKDGYTSNFTKTERTVKLGAVPRNISP -RDPRYNVEVGVYIKPAEGILLDAVTKMLGSKTVMKGMNASQVGAHFSRKWERFGGDGHTVAVGLDASRFD -QHVSAMALRWEHKFYLGLCKGASERKRLARLLEWQIFNKGFGRCNDGTLSYEIEGTRCSGDMNTGLGNCL -IATCLLVAYCEEREIPFELANNGDDCVIFTHKKYLADFSLGLDKWFRQMGFNMVVEEPVYELEKVVFCQS -QPVFDGHSWTMVRDPRSCIKKDCVSLKPWRNEKEYNAWLASVGMSGTSLAGGMPVLDAFYRSFLRASRNA -KPLNQADPSLQGGLYWQSKGMHRRGLPVSDAARYSFWRAFDIMPAEQEAIEYEYSINTPYYSPVDYSPES -LPVHRHNLLL - ->YP_009336956.1 hypothetical protein 2 [Hubei tombus-like virus 11] -MSERDKTRATPWKQYCFKSFPGWYKVDYPSSTYIDEEVSLRNRILLPMPQPQLNTPQWLSFVREMRHLKH -QVPIVETLTRQQVILKYTGAKRTRYEKAAISLMTKPLNKRDSYIDCFLKVEKMPHETLKIKAPRVIQGRS -PRYNLELMRYLRPIEHWFYQKPEILKTNSLEQRALKIQQLLDKHDNPTVYQIDCSKCDAHTTHHVLRLEH -NIYKKASRNDAKCSQMLDWQLLNVGFTPNGWTYKTTGRRASGDFNTGLGTSIICRSLLKGCIKYHRLRAS -FVCDGDDCMLITSGKLTRAQIEQVRYYYLQCGYEITLDECENYEEAIHCQTALIKTPTPIMVRKPWDTIS -KCMVSQKYFTDSKTASAFLHQMATCELSIHRGVPIMQSFFRMILRSTKPNNRNYSDEFQHRLVLVKQLCD -NRQDITTEARISFELSFKVDIATQYYWEEVFDNWKLDTSIFDSQH - ->YP_009336903.1 hypothetical protein 1 [Shahe tombus-like virus 1] -MRYLAGFGTGVRYGVHCFNYTNLARGIVERVFYVRRGEGLAPAPQPSVGVFSRLNAVRQRLLRAVRPTPV -VARDEYPGLYTGRKRGVYERALESLKVRAINFRDAWVNTFVKAEKVNLDSKGDPAPRVIQPRSPRYNLEV -GRYLKLFEKELCAGFERVWGYPVVLKGKNAQDVGAAMADHWQQFAQPVAVGLDASRFDQHVSKDALRWEH -SVYNSVFNSPELRRLLQWQLRNHGIARVEDLIVEYDIEGCRMSGDINTGLGNCLIMSSIVIAYCEQAGIY -FRLANNGDDCVLFVDKADLGALAGIDQWFLDFGFTLTREEPVYVLEQVVFCQAQPVLTSTGWRMVRDPRT -AMSKDCVSLLGWDNAQSFKLWASAIGACGLSLTRGVPVWEAWYSRLVHLGAGAVSQGVADHVWDSGLGYM -SRGVLGGEVDAEARVSFYRAFGILPDLQEALEAEYACPMPIGSPTPMMHPSIVAIDTTCNPLATWLAAK - ->YP_009336861.1 hypothetical protein 2 [Shahe tombus-like virus 2] -MEQFICSYKGAKQHTVRAAAEDVLRHPAVRRDAKLKTFVKAEKLNLSSKPDPAPRVIQPRDVRYNCEVGP -YLKAHEHTIYRGIAKIWGGPTVMKGFNSVDTAKHMREMWDSIHDPCGIGLDASRFDQHVSEEALRWEHSV -YNGMFKSKRLAELLTWQINNVGTSYTPEGRVTYKVKGCRMSGDMNTSLGNCLIMCGMVWELCQQLGCPAR -LANNGDDCMLIVPRSWEQRVRDKIASWFLDFGFTMKVEDTVYEFEQIEFCQTRPVFASNRWVMTRDYRVV -MDKDTFCLHPDNMPYERWLRGVGTAGLALASGVPVLQTFYTQLVALGGGQGELIDGSGMSYMAKGLTPDA -SPITTEARVSFYKAFGMPPWVQEAYEEEIVASASSTNLGLIGSRYTYHNNTLAFQGMTGKMKKPSTQKSM -IRNIPIDVPGGPPGYTNFQRKTGPRVTATKAGLQIANSEQFLPLSADSSSNTVVSVGLNPADANLFRWLS -GIARRYTMYRWKKLRVLYQSTCPTTTPGSVHLGLFYDAEDLGNWIADGSSTVTLSQTVGASIGPTWGSTM -TSTNSGSITTNMVEVDVTRAHQRVSWHLIDGNTGGTAVDNQSVAALCASVVTPVPGGAVVGRIWYDYEIE -LIQPTFAFGGNGLRGGAGARGFDPSKDIYLTKPDYVPVPRPLPKPTPSGDDDEEREPVG - ->YP_009336827.1 hypothetical protein 2 [Beihai tombus-like virus 3] -MNIVGRESFLCKPSRRAGDLRRSRVYYRVRGDNGPDWDIPNNDISSVSHAVLERVFFVKDGRGGFERAPR -PWEHESVLHDKNPKLSARLKIQERLSKFSSEMAKCAADHGVVSPCSETEFLDYYGGAKRKTYEHAVESFK -TRSFEAKDGRVKVFTKDEYLKPGGCPRAIQPRSPRYNVKLGRYIKGIEHLIFEAIDDIFDGTKEHKTVAK -GMNMIERGGEIKRMWDRYACPTAVGLDASRFDQHINELLLKFEHSIYKMWTELEGDDLPPLHQLLQAQLN -NCGMYIGIDGVLKYKVNGCRMSGDMNTSLGNVIIMCSLMYSFFDTKKMLGKISLLNDGDDCVIIMERSDV -KGFLDGLEDWFLEMGITMKVEGIFTSLEEIEFCQSRPVFNEDFGYVLIPRPQKRLYSDLISTKNLRSKKV -YRKQVGAIAGCGMALSSGTPIFQNFYSWLGRGACPWIPEQGDYYYKYRQELVDRMMYRYRKPSMRERVSF -YFAFDITPSEQLVLEKYYDALPDPGYSEPVFDPRRALDLHQCLAEPEQKSKREV - ->YP_009336826.1 hypothetical protein 1 [Beihai tombus-like virus 3] -MRASLFPKRTTGEVVGLVDGPVPTPQEVRGGPFSWDKAWLRCMNTLKQNLQSEIVGCVNHNHVDPRPEWE -IAVTEGLASTGNVIINNTIRSTGFNVSVTAGGAVGTVGVICGMGVVVSYAPLVAGVAATWAVSALRRERS -HAVDVTLSVLNDDVRIGDLKAAGGKEEAGGEDQAAVDSEDNPAESTRERREFEVRRRKPFFGPQPRNHGR -IPVMAGEVANLLKLRHIGLRDTPENRFLIRADAGKRAEALRREGERPWNTVRNAELLSIAMHASEMYWIL -SRDEEYVGELYSESLLRGLRRRRNRWVSSSVSSK - ->YP_009336790.1 hypothetical protein 2 [Hubei tombus-like virus 8] -MRAVDRALRERYFFVKTKKEGFQPALPVRSRAYETDVHLRSFRAQVVASCKAPVVSIADVVNSYTGPKRE -AYAKAAISLMKDAVNHQDASLMMFGKFEKQNLDKANRGINPRSKRYNLELGRYLKFMEKPLYRSINKAYG -ARTAHTVIKGLNVADSAAVAHAKWKLFKRPVAVGLDAEKFDAHVSIPCLRYEHSFYLQIHSRQVKRQMML -KQLLNWQLVNTGVAYCDDGKIKFKIKGTRCSGDLNTSMGNCLIMCGLVYAYSASMGVNVELMNNGDDCVV -IMEEEDVEKYVAGVPEYFKRKGFRMTVEEPVREFEQIEFCQSHPVHDGEKYVMVRNLTNCLQKDPMCLVP -IQNAKALQQWYDAVGSCGLSLTPGIPVLQEFYKTFKRSGRPCREGFKRTVFKNTSYYERVKDLTGRELSC -SSVSRSSFYFAFGVLPEHQIAIEAYYASMTLSAVVEDAMHPEYAYDKGDNCCPPVVDCIFA - ->YP_009336751.1 hypothetical protein 2 [Beihai tombus-like virus 1] -MSVYPKGTPVKVRRVFQVGGIGPPLKFGVHNNSLANLRRGLMERVYYVEDSSKNLVPAPSPNPGVFKELQ -PFRSKLLSIVGPHSKCSEARFIDFYNGRRKRIYEAAVQSLGDRAVERKDSFLTTFVKAEKINFSAKPDPA -PRVIQPRNVRYNVRVGQYLRPLEHHMYHAVDKIWKGPTIMKGYSVEQLGSIVEQAWSEFVSPCAIGFDMK -RFDQHVSRAALEWEHSLYLPVFDNDPDLQRLLAWQISNKGWGRASDGSIKYSVDGCRMSGDMNTAMGNCL -LACAIVWGFCKENGIKARLLNNGDDCVLIAERECAAAIEAGIARHWLKFGFQCVTEPTVYKLEHIEFCQM -SPVFDGTKYVMVRNPHISLAKDSFSIGPFNTVVGAQKWMDAVGKCGLSIAGGMPVLQSYYRMLARHGANP -GGKVEKDVAFASGFYNLARLSNRAEGVVSEESRVSFYTAFGISPDVQTALESHYDNLELDLTLLPQGNQW -VEPTTWILNDLKDPE - ->YP_009336750.1 hypothetical protein 1 [Beihai tombus-like virus 1] -MSRGTGFACLLATSECIKLDVLHGVGHISPSLLLDLPVESSTIIESVHREPNYAKYGWFAVGGLAAYAGY -RYLRNRYSQRLNHTQYDKLHEEVATLLEVNDEPVDPEDPVETTNEDGSVEVVVRRPRKRSHRKHRFITDC -IHMTKAHFGGCPKFTESNQIAVSRHVYELCKERKCLPHQTRTIMAVAVPAVFSPDDLDVSSAQAYNIGLR -RERRSMVQKAMEGPRWYEGLLDNPLNAVAWREAMDWLFGLPSWKAIRAVK - ->YP_009336735.1 hypothetical protein 2 [Hubei tombus-like virus 12] -MEKADDRHGTPRPPASKGCESHRRLIRIVPPIYGLWHCFTHSNCVCNDLIACSNRVIGVVPAPTDTGIKR -LREAMNQLWPYRAMTPLSLEQSLASFKGSKLKLYQRAYDSLLITPLNQRDGRVKAFVKAEKFDPTEKVNP -DPRMIQARDPRYNLHLAQYLRPLEHECYSLRRHGAPAIAKCFNPVQRANAIMQKWNMFENPVCFSLDCSR -WDKHVHRKVLDVEHEFYQRWYPGEVQLETLLGMQKVNICTTSNGVKYTVDGGRMSGDMNTALGNVTLMCG -MIYGAMKTFDNCHFEWLDDGDDCLVFVEQHDLARVAADLPRLFLEYGQELKIENIAMNPQDIVFCQSKLT -YNGQFWTMARNWRKVLSQSCCGTKHWNDPNMVPGMFGLIGDCEMALHRGIPILQAFAQRLRDLSGGRRAR -MEHMDSSFQYRVGSYQLGDIQSITPAEVTEEARFEFQRTWGVDIQTQIGIEWHLAQWTPGIVHRDVGPEL -FSTDWCQQLEPGIPNPTVL - ->YP_009336685.1 hypothetical protein 2 [Hubei tombus-like virus 31] -MNARLALSQRLEIPANVALRKVEINKDHTHWVELYKIVSKLARAVSHIRPLTPAEFLKTANSRQRPVWER -ALRQDRRFRPSWMTYDGFVKIEKYSYYEKMNRIPRLILPPSDHAKVVMGMHIKPIEKHLKEVVGPGNVYP -FMAKGMSSRQLAERFMRMAEQFKRPTFISIDMSKCDSTIGPRLKRLENMVFTNYHSSSDHRRCMKEGEKT -FMKVRLHCNDGTTETSEIPQCRASGTAHTGAGNTVLVYGASAVVLRGINNEVFSNGDDTILIVEASDSAE -VIRRINDHEYSVFGFDVRIEQVATDIEDVFWCQCYYTVRKDGPIWIRDYRKVLQTILSNENYGSPNWLSY -LSTICLGEGSTNPGQPIVAPLVSTILKLRHKRMRLPNENQTTRRWELEGCPSVEELDLEVTAQDRACFHA -RYGISPVEQLRMEAVNNLALRGLGDGPVRHEYWQNRIHPVG - ->YP_009336591.1 hypothetical protein 2 [Hubei tombus-like virus 7] -MTWIRKKLARAVRERVEYVQPLTIDQFVEQCPAQKRTLYAQAGSELRHRGWGARDARLKAFVKFEKIQFE -RSGKKSDPCPRLIQPRSPVYNVALGRYTRRVEEELYNALGSLWDVEEGEKVVMKGMTVEGVASQLRRKWD -SFIDPVAVGLDASRFDQHVGVDALKFEHAVYLDIFADDDNTELRRLLKYQLHNRGYAVLDSHMVNYEIDG -TRASGDMNTGLGNCILMSSLVRQYVLERGVVAKLANNGDDCLVFMERRDLKRFSDGLQSWFLRFGFNMTV -EQPSVEFEECEFCQMRPVYADGWIMVRNPRAALSKDTMALGDTAKLYKQWIHSVGTAGLSLYGHLPLFRA -LYSRMRECGEPSRVGKSNQAWNSGFMQLSLRATSTAAELLARPITDECRVSFAKAFDISPSLQAVLEAEL -VRLDFDGVVDANFGTELALLA - ->YP_009336489.1 hypothetical protein 2 [Hubei tombus-like virus 4] -MGKQRAVTQIGRVAPPNRAAVHDNSVLNCDRALRERVYYVKNGDGFARPPQPNPSSVLTLGQFRKKLSRC -VPRIPALSWELFPSRYKDAKKRNIYKNADQSLHERPLSIHDSYVKGFIKDEKINLMKKEDPVPRAILPLS -PRLNIVEGSIISHREHAVFEGIDLVFGRKTVMKGLNAEEKGRIIAEKCGRFAKFAALSIDMAKFDQHVSV -LMNMFENSVLVDTCCTPQERRELSKILAWSIHSKGRMQATDGKVNFIREGGRLSGCMHTSLGNIIIMCAM -FWTFMQQFGDIKWDYINDGDDCVLFVEDKDLHKVAPKIQDYFLGMGFTAVVEDVVHDIEKIDFCQSRPVW -DGDDYIMCRNPHTALIKDTMCLKPIGNENEWGSWVRSVSESGIAGFAGMPIFQSFYELYSRSSKGFKVNK -LHRVDGGLKIATRGMHRKSRPIDDRTRYSFWLAWGVLPDAQICTEEMFSTMHLNYHDPVLSFESLSLPSY -F - ->YP_009333133.1 RNA-dependent RNA polymerase [Bermuda grass latent virus] -MAHFSAATEKMRKIIQFVRSFRLVSAAGRCLELMAYYLTKLKLKYESIERSRRDTLLKIRWAIRWIKNCF -RPSVALQVDPLGQIHPTKIVECSYGVVGGAITALTSTKHLGSKLVLGVLTAASIYCAVQLHIYVSLAKRR -QFDKTRSDFLEQQYQDILKSMEEQKERATRERLEDCLTKEVLEPAQLAEDGTVLKQEVAQYLVHEHGKFV -RALVCEAKMEFGGTPKTTEANQLAVWRFLYRTCDKRGLNPADAQRSITAALPFVFLPSAYDESAAIGRTS -EETAEALRRYKSQFTQDTPLQKLVCNPLSGKAWRAWARNVFYGDQETGLHFSKXGCIEKWLGVQSRRTRV -RHPRLRSHFKNTDPKLRYIYRIAYLGDQYQFGLHHSSAANLERGLAERVFMVKNYDKNYDADLDPEFCPP -PKPEEGIFQRHLTKYSKEIIQRVGRRSPISYEKFLSYYTGGKLTTYSRAVDSLTERPVNKADAKLSTFVK -AEKLNLSLKSDPVPRVIQPRHPRYNVEVGRYLKPIEHDIYTAIDGLFGSKTIFKGLSVEAMGSLIHQKMR -KFLRPCAIGFDASRFDQHVSVDALKYEHSIYKGIYSHSKTLNTLLKWQIHNKGVAIAKDGFFRYSVDGCR -MSGDMNTSLGNCILAALISKDFIDRFNLDAELINNGDDNVLICSEDDEEVVKRHLYDHWLKYGFEVVAEE -PVYITEQVEFCQMKPVFDGTNYVMVRKPDVSMSKDCHSITPFYTTKTAKKWVHAVGECGLSLTGGIPIKQ -EYYTCMIRNGDQHGEIDKSKEFISGFTRLSKCSNRKYRQISSETRYSFYLAFGYTPDEQVAIENYFRTLE -LPWHYGLSGTPARAPECLLLTTIPQPPTFNKSTPQRSHEPSDNQVGNNSRTAPAEQWDRGLTVLRTLRLP -IS - ->YP_009330074.1 hypothetical protein 1 [Changjiang tombus-like virus 15] -MPPGVECHSLGLDMKGRHKERYLVMDTTRPAAGLCFTHNNSVPNILRGLGERLMMVPNDDGGFSPPPEPT -VFDLGEYSKAVLRKMPKHLEPLEHDEFVMLYDGPKRKRYEAAARALLERELDAKDWQIKLFIKDEIVCSW -AKADPAPRLISPRSPEYCLEVGCFIKPIEHLLYKAVARVWGETTIAKGLNFNQRGELIKEKWNSFKKPVA -VGLDASRFDQHVSLSALQWEHSIYLGCFPKHRRRLQKLLSKQLRNFGVCYVDDHRITYSRDGGRMSGDMN -TALGNCLIMTGLVWTYAKQRGITVKLINDGDDCVVFMEESDLPAFMEGLRQWFLERGFNMKVEQPAYELE -AIEFCQCHPVFNGDQYTMCRNIHKALFTDVAHVGRTAEEIRGIREAVALCGAAWSKGLPIFPTFYAGLRT -GHKPSVLRHSGTFWNSQGCKSGTKYVTPRARLSFERAFGLSPSEQVAIENFYQSLPRTSIDEPHLVFEHS -PTDSSTHHPLFVSDSLNYLLFNHGKEE - ->YP_009270620.1 putative RNA dependent RNA polymerase [Gompholobium virus A] -MEFGFGSRLKHVAGTFVKTGVKLTVGSCLLGGSLVALSGSLYLRAAYEGYSVLESAAHLAVEHIHMPRVV -STLGFEEPECEPSHLEDGKDYPEDKDICDFLETTHVEVPQEPNAEKKKYRQISTIKRRLKKPFVHTLVAN -AKCHFGGTPSYRDSNILAVNKYMVAKCLDHHMTISQVRQVVADAAPLVFLPDEGDINFKKTLNSHLAYMQ -RSRLELASRVDHWFVQVLSNPLDSRAWRRMWMVLRGLPPHEAISTIKQGGLSAILGMTTKVNRGTHPQVI -ERRFGPCEVPPRRIYHFHGVTSGFKFGAHNNSFNNLRRGIMERIYYVEGENKELEPCKQPEQGVFKTKGM -KRLREEFIRVCGHHSPVSRRQVVDMYKGRKRNTYERAFLEASDMPLLAKWAHLKTFIKFEKLNLSKKPDP -AARVIQPRDPKFNLLLGQYLKPYEHFAYRALDKMWGSTTVMKGYTTEEVAHHLRTAWDEFQKPVAIGFDM -KRFDQHVSVKALQFEHSCYLGCFAYDSELQRMLSMQLKNIGVAIAKDGMLSYVKEGCRMSGDLNTALGNC -MLSCLITLNIVRELKLKARLINNGDDCVLVCESSDLPKVRNLDLLWGRYGFVCITERPVFEFEKIEFCQM -QPVRIPGGWIMVRNPRISLSKDSYSTTPLDNPKIAKKWMKAVGDCGLSLTGGVPIVQEFYQCYKRNAYDA -GKIKCPEQIAAGFYGMFHGSRGYSNIAEETRYSFYRAFGITPDEQIAIEKEYKSIEISFEESLSDDAGNV -VWNLINQ - ->YP_009246408.1 RNA-dependent RNA polymerase [Potato necrosis virus] -MELPNHHKQTASEGFVSFLNWLCNPWRRQRTVNAAVKLQLDIQSLDDVEHFEDINECFEETSGGQSQRTK -VVPEGGYAPVKSNRTRRVRKPKRLKFVKYLVNEARAEFGLPKATEANKLMVQHFLLRTCKEWGVVTSQTQ -SNVALALPLVFIPTEEDLLSRALMNTYATRAAVQGMTNTQGEGWWNNRLGIGAQSGLAFRAKXGCLERRP -GFSTTVSRGEHPDLVVIPSGQPEKQRQLLRYSGIGGHLQIGIHNNSLSNLRRGLMERVFYVQGPNGLQDA -PKPDKGAFNTLVKFRDLYTKNSWRHSPVTNEQFLMNYSGRKLTIYREAVDSLSHQPISLRDCKLKTFVKA -EKLNLTKKPDPAPRVIQPRSARYNVCLGRYLRHYEHHAFKTIAKCFGETTVFKGFTLEQQGEIMHDKWKK -YVNPVAVGLDASRFDQHVSVEALKYEHEFYLRDYPHDKQLKWLLKQQLCNIGTAFASDGIIKYKKEGCRM -SGDMNTSLGNCILMCAMVFGLKEELQMDLSLANNGDDCVIVCEQAALKKLTNHIEPYFKRFGFTMEVEKP -VYTFEQIEFCQTQPVFDGTQYIMVRKPSVVTSKDVTSLIPCQNEKQYAEWLQAVGECGISLNGGIPVMQN -FYNMLKTGVKRTKLTLTGEFKTNGLGYHARNMSRVARVPSPETRLSFWLAFGITPDLQEALEIFYDTTRL -ELDEVVPFDTYQVSGEHLINGLPN - ->YP_009116639.1 RNA-dependent RNA-polymerase [Pelargonium ringspot virus] -MTDVVKFGVWAGFYLAKELALLGPELASITLSSLTPNYNGLLTSIGLPTVSSIPTASPPIDLVLVNDGVL -NTPNLELEGELVPLLEEVITDPKTGTITKAVKTRRPKSKTTFSCILAAETKNHFGGLPRATRANELSVMK -HLVNRCKECKLTALQTREVSAKAFSLVFTPDSHDKFIYEFLNSDITFERRCDYLKSQRVDSCWLRLLQNP -FGKKRWKAVVCRLMGMGVQEAYEFVKXGCLSSTPGVDTKIFRGSHRWVREVREDVPPVPRTLYRVEGLSD -DQQWGTHNSSFLNLRRGLMERVYFVERQGTLTHCPPPQAGVYKRLFTKVGHKLVGLCGNHSPIPRDQYPG -MFQGRKKTIYTNAVASLSDMRYNVRDSYLTTFVKCEKINFSKKPDPAPRVIQPRTPRYNVELGVYLKPVE -HSIYRAIDKVSGEPTVMKGYTVGEMGKIVEDKWNKYLAPAAIGFDMSRFDQHVSVDALKYEHKIYRKIFS -NDTHLRLLLEHQITNQGVAYARDGRLHYKVVGKRMSGDVNTSLGNVILACSISRLLIKELGVKASLINNG -DDCVLIGELKDIRKVEGILADYWLGFGFTCISEAIVTTLEKIEFCQMSPIYIGNAYKMVRNPRTSLSKDA -HSTTPFETVKVAREWCHSVGLGGLALTSGVPVVQEFYKCMIRHGVEPRRDKMRHFWGEYWLEFVKMHKGG -EQPVSEEARHSFHLAFDISPDQQLALEGYYKSLELNMEFSPPSNNLAVVADIEWILRNPVAV - ->YP_009116634.1 RNA-dependent RNA-polymerase [Elderberry latent virus] -MGLITQCIWFGAYVGFKVTKELALLGPELTSITLSCGFPEINPVLAAIGLPTVDYIPEVNQVDVLMATND -AILHTPDVELETELEDSRVLTIAEPETSRVTTVVKTRRPKNRRTFACVLAAEAKNHFGGMPRNSRANELS -VMKYLVGKCQDHKLTALQTREVAAQAFALTFTPDANDKFIYTFLNSEDAYDRRCDYVKSQGVDPMWLRLL -KRPFSRKEWKQVVCKFMGFGTREAYTFIKXGCLSQSPGVDTKIERGMHRWVREMRWEGTPNPRSLFRLEG -LSDNQCWGTHNSSFRNLRRGLMERVYFVERNGQLVDCPQPLPGAFARLFTKVGHRLAGLCGTHSPIPRDQ -YPGMFRGRKKTIYSDAVASLNDVPYNIRDSYLKTFVKCEKINFSKKPDPAPRVIQPRSPRYNVELGRYLK -PVEHGVYRAIDKLWGEPTVMKGYTVDEMGEIVRTKWEKYQKPAALGFDMSRFDQHVSVDALKFEHKIYRR -MFSGDENLALLLSHQIHKKGVAYAKDGRLSYKVEGKRMSGDVNTSLGNVILACSISRYILGGLKIKASLI -NNGDDCVLIGDLRDIRRVEGILAQAWLDFGFTCISEPIVTTLEKIEFCQMSPVLVGEGYKMVRNPRTSLS -KDCHSTTPFENTRVAAEWAYSVGLGGLALTSGVPVVQEFYSCLLRNGMKPKKDKMDHFWGEYWMEYVRMH -NGKHEPVTQESRHSFHLAFDISPDQQLALEEYYRSVDLLMEFAPRSDYLAVVAWILEHKIRI - ->YP_009032645.1 RNA-dependent RNA polymerase [Carnation mottle virus] -MGLPSLLVEGVIGCTLVGGLVAVGSAALAVRATIGVVEFNRECVRGARRIVSSGGRCLVVQSPYGNPNQG -LIRGEDEEIDNVEESTPVELTPLIEVKAEVDGKEVVVSKKRVVNRHLRQRFVRSIAIEAKNHFGGDISPS -KANYLSVSKFLTGKCKERHVVPAHTRDCVSAAMVLVFTPDVHEIRMMAGLASDAAYGIKIAMASILNRKG -WCWRLMVNPLDRARWWEMWCVVNGFDSNKPVTFPKXGGLFYLNGVETKIRRGGHPSVIEVDGQCPLKERK -LYVQNAITTGYEYRVHNHSYANLRRGLLERVFYVERNKELVSCPQPEPGSFKEMGYLRRRFHRVCGNHTR -ISANDLVDCYQGRKRTIYENAAASLLDRAIERKDGDLKTFIKAEKFNVNLKSDPAPRVIQPRSPRYNVEL -GRYLKKYEHHAYKALDKIWGGPTVMKGYTTEEVAQHIWSAWNQFQTPVAIGFDMSRFDQHVSVAALEFEH -SCYLACFEGDAHLANLLKMQLVNHGVGFASNGMLRYTKEGCRMSGDMNTALGNCLLACLITKHLMKIRSR -LINNGDDCVLICERTDIDYVVSNLTTGWSRFGFNCIAEEPVYEMEKIRFCQMAPVFDGAGWLMVRDPLVS -MSKDSHSLVHWNNETNAKQWLKSVGMCGLRIAGGVPVVQEFYQKYVETAGNVRENKNITEKSSSGFFMMA -DRAKRGYSAVSEVCRFSFYQAFGITPDQQIALEGEIRSLTINTNVGPQCEAADSLWILNRKYQ - ->NP_620720.3 p88 replicase [Turnip crinkle virus] -MPLLHTLNTALAVGLLGARYYPEVQTFLGLPDYVGHMKNVVRSVFQGSGLVVVSSDTVGVRGTYSNRGQI -GSSLGCILAVPDSGADIEIDLDRLVGTEEEATSCLVEAVGSTADVPRRRVRQKGRFAMHAVNAAKLHFCG -VPKPTEANRLAVSKWLVQYCKERHVVDSHIRTIVNTALPRVFTPDAEDIQVVLDLHSVRAHDHRNALAEA -GKVRKWWVNLAMHPMTGRSWSRAWRRLCRLPDDQAISFVRXGCLRELVGRETQISRGENPAMRVFPLANP -PKVRRIFHICGMGNGLDFGVHNNSLNNLRRGLMERVFYVEDAQKQLKPAPQPIPGIFGKLSGIRRRLVRL -AGNHTPVPREKYPSFYKGRRATIYQKALDSLHDRPVSRKDAELKTFVKAEKINFTAKKDPAPRVIQPRDP -RYNIEVGKYLKPYEHHLYRAIDAMWGGPTVLKGYDVGELGNIMSNTWDKFRKTCAIGFDMKRFDQHVSVD -ALRWEHSVYNAGFNCPELAQLLTWQLTNKGVGRASDGFIKYQVDGCRMSGDVNTALGNCLLACSITKYLM -KGIKCKLINNGDDCVLFFEADEVDRVRERLHHWIDFGFQCIAEEPQYELEKVEFCQMSPIFDGEGWVMVR -NPRVSLSKDSYSTTQWANEKDAARWLAAIGECGLAIAGGVPVLQSYYSCLKRNFGPLAGDYKKKMQDVSF -DSGFYRLSKNGMRGSKDVSQDARFSFYRGFGYTPDEQEALEEYYDNLELLCEWDPTGYKEELSDRWILNE -FPTTL - ->YP_008378651.1 P87 [Calibrachoa mottle virus] -MGILQLAKELTVGGVCCTTLLCVGVAALEVRLALGAYEFNKQCISNVRGFIESRGQSHPSEPKYAKAEVS -PFQAELDDDLEDDAEMRNYLEKHEDKEKDDEGKVVVKNVRTTLPRNRHTKGKFLKRLVADTKNHFGGTPT -PTDANRLAVMKYMVGRCREHHMVDLHIRQVTELAKAAVFTPDILEVQSVQLLNSYPAYRRRCALHKAHQV -QVWKELLTNCFHKDAWEYIWFRMNGGLARSPFQFHKXGGITFLEGVCTKIARGAHPYLKEKVIPRAPKLR -KLYLQQSCTSGLQYRVHNNSIANLRRGLLERVFYVENKDTKKLQTCPEPEAGIFKELKTIRQQFVRLCGH -HTRISTEQFVDCYQGRKRTIYQKAADSLSEIAIDRSDSRLKTFVKAEKFCIDLKPDPAPRVIQPRMPRYN -VELGRYLKKVEHSAYRALDKIWGGRTVMKGYTVEEVGMIISDAWDQFHMPVAVGFDMSRFDQHVSVPALQ -FEHTCYMSLFPGDRHLQQLLSWQLRNYGVGVASNGILRYKVDGKRMSGDMNTALGNCILACLITKHLFPG -NYRLINNGDDCVLITERNNLPEITSKLEAGWRRFGFTCISEKPVFVKEEIEFCQMQPVYDGQTYVMVRKP -YISMSKDAFSLTPWPNEKACQQWLGAVGMCGERLCGKIPILQSYYQAYCRANKGRKPKMDAHEKGGMYML -AMNSKRAFGEISQDCRFSFYKAFGITPDQQVAIESLIDCHEISTEPGPPESWSANIPLLIKQ - ->YP_008219057.1 RNA-dependent RNA polymerase [Thin paspalum asymptomatic virus] -MESFVSRISEALSSCDANLDYDKMLLILKHCPDKLLSWASGIEGLQTHQLKVAITHLVKTEFHTCKHLLT -TMSATTADYIKMFMELSPEQEVIINTGGTVSWWPKLIRDIPEWFTDKIPHYILQIVDFILRWVSRITGKV -RPSSPKESNSSSTRPSWLRLLVEVGLVAAAWYGVYKYISLSEEIVRRDQAQTRPDHMRQCYEQVKESLEE -QNNRFIHDRMEEELTKLVIEPAEIDEDGKEVKPEVSQYLVRHHGKFVRALVTMAKNEFAGVPKPTEANQL -AVWRFLYRQCDKRGVNPTDTQKSISAALPFVFLPSAYDQDQAITMNCDDAKEMLQRYADTFRYTTPLQKL -VHNPLMGKHWVAWARSIFISDPETGLRFAKXGCIEKWQGVQCRRTRVRHPRLRCHFKDRDPKIRHIYRIA -GLGDQYEFGLHNNSAANLERGLAERVFMVKNYHSDYTPSLDPAYIPAPEPIKGIFKRLNKYKKEIVKRVG -RKAPISDERFLSYYEGPQLTTYSKAVISLSERPVEVRDSYLKTFIKAEKLNLTLKPDPCPRVIQPRHPRY -NVELGKYLKHIEHPIYKAIDKLWGGKTIFKGMNVEAMGAEIHKKMCKFSQPCAIGFDASRFDQHVSVEAL -KFEHSIYKSIHGHPELLALLLKWQIHNTGTAFCNDGFFKYSVEGKRMSGDMNTSLGNCILASLIAKDMVD -SLGIEAYLINNGDDNVLVCSVDDEAVVAKTLYAHWMKYGFEVVAEKPVYITEQVEFCQMKPVFDGTQYVM -MRNPTVTMSKDACSITPFYTPKTARKWCRAVGEAGLSLTGGMPIKQAYYQCMIRNGVEKGNIHKSKEFRY -GLSYVLHSGNSSRKARSISAATRYSFYLAFGYTPDEQEALERYYDSLDLEWSESRLGIPARTPECLLLRQ -LPQIPFQSPSPNKTRRRTDKLPGQPDSQWLPALVAPR - ->YP_007517174.1 polymerase-associated protein [Furcraea necrotic streak virus] -MESLLKTVVPIAEERRSRAVQHTFLEKVGRLLLWVCDMGRNRDAINTAALIEDAYQADVEHVDADEVEDC -LEPEVTEDTKYRVSLDEATPVEIYDYQQKVWAGCTDPEIALERTIPAPPSRKRARRIKKNKGLRFIRVLV -DAARAEFGLPKPTEANRLVVQGFMNRFCKEWGVCNSHTTHNVSLALPMVFIPRDEDIVARALLSTRFARQ -REREGRNAQGEGWFNNRFGIGGKAGIRFAPKXGCLDVRPGFSTSVSRGDHPDLVVLPSGRPEKQRLVFRY -SGLGNDTLLAVHNNSLANLRRGLIERVFYVETPTGLAPPPQPQPGIFNHKLAGFSHAFHKHAGSHFPITR -ERFLMYYRGRKLAVYXNAVESLSLQPICKRDAKLKTFVKAEKINITRKPDPAPRVIQPRSPRYNVEVGRF -LRPFEHKCFRYIEEVFGEPTVFKGYNMCQQGRLMRQKWDXYRDPVAIGLDASRFDQHVSEQALEWEHGMY -LRCFRGDAVLKRLLRWQIDNVGVGFANDGIIKYKKTGCRMSGDMNTSLGNCLIMCAMVKSLLEELNVKGS -LANNGDDCVIICERKDVAGMINKIPSLFPRFWLYHGREKPVDIFEQIEFCQAKPVFDGENYIMVRDPRVA -LSKDLTCLLPLTKTAQFKEWFTAISTCGLALTGGIPIWQSFYRALDQKQKLTGFTLRTHFVESGIAYHSK -TMHRKDRPVDPRARESFYLAYGVTPDLQEAVELWYDNIQMDFSDPVPRDTRQRIESSLLHGV - ->YP_007501034.1 RNA-dependent RNA polymerase [Rosa rugosa leaf distortion virus] -MSLFFELAYLTAKAGFVVAKELALLATQIRNDEIVHIDVQTDRVRRVFGIQGREIIPTSDCTDLLNATQD -LVVADPDLHEEECLEDIKEEVKGDGGEVSQKVVRRRVRKKLPFACILAADAKNHFGGVPSACRANELSVT -KYLVSKCKERKLTVLQTRQVSSLAFCLVFTPDSNDKSIYQFLNSESVFERRCDYLKSQSVESCWMQLFSS -PWNPKAWRRVILRLSSLGPQEAFRFVKXGCLMETCGVDTKVFRGEHHWVKEGREAALPPPRKLYKISGLS -PQVNWGVHNSSFVNLRRGLMERVFFVERQGKLAECPQPEKGAFRDLFNRVGHRLVRLCGNHSPIPRSEYP -LLHEGRKRTIYENAVKSLEIAPYCRKDSYLKTFVKCEKLNFSKKPDPAPRVIQPRSPRYNVELGRYLKPF -EHFLYRGLDRLWGGPTVMKGYTVQQMGRILRDKWNQYRRPAAIGFDMSRFDQHVSVDALRFEHRVYRECF -SNDENLSRLLEHQIANSGVAYAKDGWLKYQVEGKRMSGDVNTAAGNCLLACCITYHLMQAAGISCSLVNN -GDDCVVFLESEHCRAVEDILTEGWLKFGFTCIAERPVFELEKVEFCQMQPVLVGGDYCLVRNPRVSLSKD -AHSTTPFDSVKLAREWAYSIGRGGLSLTSGVPVVQEFYQCLLRNGKQHSKRKRTAHFYGDYYAKWVDQHR -GEYTAVSQESRHSFHLAFGISPDQQVAMENAYSELELVFEFAPFRNEINVFEWTINHPVVI - ->YP_004191788.1 p101 [Honeysuckle ringspot virus] -MLLDFCFKAIIAPPIVGTVVAMGLAGLTVRTTIGVVEFEARAVRTVVDYITSKGKTLPGKPKYPKAKVNV -FLEELAEVDGDDRELPDLLETVEVKKEDGEGKMVVVRTKAKVNRHTRGRFVHRLVCATKNHLGGTPNNST -ANKLVAYKFMVGKCKEQHLVETHTREVCSLAMAAIFTPDVHDVNMHLALNSHAAYRRRVALAQAQEVQSW -QLRLLKHPLKYDSWERAWLWANGAPTQEPFRFIKXGGLCYFEGVDTKIRRGSHPLMIEVEKDGPHKLRKL -YAQNVMSSGLDYRVHNHSFQNLRRGLLERVFYVESKDKKELVDCPQPNQAAFKELAYLRKQFARYAGIHT -RISTQDMVDCYHGRKKSIYQNAADSLSDRPLDRKDAALKTFIKAEKFCINLKPDPAPRVIQPRSVRYNVE -LGRYLKKYEHSAYRALDKIWGGTTVMKGYTVEQVGEHIASAWGEFQIPVAVGFDMSRFDQHVSVPALQFE -HSCYMKSFKHDRELQQLLSWQLTNFGVAFADDGMIRYKKAGCRMSGDMNTALGNCLLACMITKHLMRDLK -CRLVNNGDDCVLFCESRDLGSVVSNLTTGWREFGFNCIAEEPVYILEEVRFCQMAPVFDGESYVMVRDPR -VSISKDAFSLVHWNNSKNALQWMKSVGLCGERITGGIPVVQEFYRKYQQIAGDVRLPKLNVDIESSGVYM -LANKMKRAFKEPTDEARFSFYLAFGIIPDLQIAVEKSIRDIPEDVEFGPCDKLADYTLKWTFNQXKQKMT -LAFKVTWREVATGERRREEYQSQKMLSTNGHLMVLSGVLIIFLLIKWKIPLTLISVEVDYKPPVILLFII -FITLQLIYQYTTALLAEKVVYNTTEANNTRVQYISIKQKQ - ->YP_002117834.1 p106 [Cocksfoot mild mosaic virus] -MEFFSSALTRLDSTKAATLDWSKFHALFHLCPRAAYAWLKIQLGSSILGDLVSFLSRAARALCYLPVAVQ -EIARSLCERYNAASARAGDAFFYSRLFDTARANRWSYLDDSSPPDMGASTVSWVTKVDPLRLPRRALSTV -GAGLALYGGYRTLKFLWNMWKFQRRQDAIHSAKTRTQFLEKEYQEVRACMEEMGRAALRTRMEDCILKDV -ITPEEKDEEGNVVQKEVSQMIIKLYGKFVRQLVALAKVEFNGVPKNTEANQLAVWRFMYRCCEKRGLNAL -DANRALSSALPLVFLPSTYDHNMAITMTCEDTVQTLQRYRDAFAQKSSLHRLLDNPLSGQAWKEWANTIL -YGDQATGLHFAKXGGIEKWQGVQCKRTRVRHPRLRCHFKDTDHKVSHIHRVAGMGDQYTFGLFNNSAGNL -ERGLAERVFCVKNPQCVHGLDDEFIPTPQPIPGHFRRLNKYRKDIIRHVGRKGPIYYEKFLAYYTGPKLV -TYSKAVDSLSEMAVRKEDARLKTFVKAEKLNLTLKPDPCPRVIQPRDPRYNVEMGRYLKHIEHPIYAAID -KMFGGKTIFKGMSVEAMGHEIHKKMRQFPRPCAIGFDASRFDQHVSVEALRYEHSIYKKIHGYPELLNLL -CEWQIHNDGTAYAKDGFFKYSVNGCRMNTSLGNCILAALISKDLIDRLGITAALVNNGDDNVLICSVDDE -AVVVEHLYSHFLDYGFEVVAEAPKYITEQVEFCQMQPVFDGTQYIMVRNPAVSMSKDSHSITPFYTPNTM -KKWIRAVGECGLSLTGGIPIKQSYYKCFIRNGADKGKIHTSREFASGFQALSKLSTRKERDISTQSRYSF -YLAFGYTPDEQVAMENYFDKLVIDPNFSDSGSPARASECLLLQLIPKQPTTHSHKRPNKIAKQPAPQDST -WEPVSLAEVQTLLPLGTTPHLQAS - ->YP_001429579.1 hypothetical protein SOG_gp2 [Marine RNA virus SOG] -MHVPNASVHNLTSAIFLRLFYTDAQGSTPQKPVSGAYSQMHSLRAKLVEYLTEQPRQPWTVATFLSRVRP -RQRATYTRAAQEFAKIRWTAKAYTWWSRIKAFVKAEKTLKSLMLFVPRLISPRSMEFNIALGLYLKPLEK -PVYAALNRFVKDDLQFPIPTHVVAKGLNAEQTGKVMHAKWSQFSDPVAVGIDAKRFDQHVSVDALEFEHG -FYNSIYDCDHLRELLRHQLQTTGVGFLRDGKINYQHNGGRCSGDMNTSLGNILLMCCMCIHLIQLSTRHI -DFINNGDDVVFFCERSSLQTLSDLIREVFPPLGFTIQVEEPVYIFEHIEFCQTHPVFDGWRWIMVRNWPD -AFYKDCLSVKSLDGKCAHTYYNAIGACGLALAGGIPIYQDFYRHLISITNQHAKQNWNDISLETGMFQLA -AGMNRYYREATLAARTSFYMAFNVTPTQQIAIAKAIVKNIKIDEMLANPPGDLEALVTRSPTPFCESTHQ -EL - ->YP_001039884.1 replicase/polymerase, partial [Nootka lupine vein clearing virus] -GGLFHLDGVCTKIRRGSHPSVVEVEKTNAPKLRRLYKLNTMHSGYQFSIHNNSYQNLRRGLIERVYYVEN -KQKGELQACPRPEQGVFKEMAYLRRRFVNVCGQHTRISTEQFVDLYQGRKRTIYQQAAESLSERPLDRKD -AELRTFVKAEKFNQSKKPDPAPRVIQPRNPRYNVKLGLYLKKFEKHACRALDRIWGGPTVMKGYTVEEVG -QHIRGAWCQYSRPVAIGFDMERFDQHVSVPALQFEHSLYMGCFSNDPELRELLDMQLHSFGIGLSSNGYC -RYKTEGGRKSGDMNTGLGNCALACLITKHVLRGVPCRLINNGDDCVVVCDELDAARVMALVAPGWARFGF -KCIVEKPVRNFEEIVFCQMQPMFDGETWLMVRTVDVALSKDCHSTVRWDSVKQAKKWLRAVGMCGIRLTG -GVPIMQEFYQKMVDSTESCELKNMEGLLEGTGFYILAKNSKRGYRAISDEARFSFYLMTGIVPDVQTALE -HEIAAGKINMEIDLSAGPPEFLAEIITSLVNGNRT - ->YP_001039883.1 N-terminus replicase [Nootka lupine vein clearing virus] -MGVFSKLAKELVIGSGTLGVLGCCLYAAAVVRVTVGTVEFGIAAVNTVSDFVQSGGLSIPTVPQYPNLGY -SPFQVELDQSAGKEDEGISMLEASTLEEKKEADSEGKMVVVSRKRKVNRHQKGQFVMDVVRKAKMHFGCT -PSPTRANELAVAKYVAGLCKEQHLVASHAREVTEVAKALVFTPDIAEIQGTKLLNSYRAYARRVALHDAQ -QIDQWWLNLVKHPLSTNSWAEAWYTLNGAPPRAAVQFNK - ->NP_862835.2 p84 product [Pea stem necrosis virus] -MSIRMKFGLALVAGCASVMYVAYRRRVVRGKMLPRNLQIENSRVVLKVLNSQSDGVDFPEEDSVDSSGPV -ILIGSVEVKLPAPVTVKRKPKAKHKSMPFITKLINAAKVHFDGVPKPTESNHMAVTRFIKDYCKEHGVDD -NQTRRVCAIAGPLILSPDRTDIMSRAFLYGPELSKQRAEYAAAASTISWFDAIVAAPLSCRAWRRAYLAL -MGYPDSVGYQMVKXGCLEQLAGVCTKVHRGSHPDLVERAYGGRPKLRKMMRLGGLGTGAQFGVHNNSLRN -LRRGLIERVFFVEDASKKLVPAPKPLSGVFEELNYFRSRLHAIVGLHSRVSEDAFLSFYSGRRRTIYEAA -VKSLSELPVQRQDSFLKTFVKAEKINFTVKPDPAPRVIQPRNTRYNVEVGRYLRRYEHYLYRGIDKIFGG -PTIIKGYTVEQIGGIVEEAWNRFDSPVAIGFDMKRFDQHVSVPALEWEHSCYLKSYSNDAHLKTLLSWQL -VNKGVGFASDGSIKYKVNGCRMSGDMNTSMGNCLLACAITHAFIRQNKIKARLLNNGDDCVLIFERECAA -VVKAGLVRHWRRFGFQCELEGDADIIEHVEFCQMQPVLSQSENSNYIMVRNPHVSLSKDLCCIGPWNTIV -HAKKWIQAVGQCGMSLCGGLPLLQAYYGAFIRNSTGVDTSKILLDTSFASGFRELAKLGKRQQGEVPEET -RFSFYLAFGILPSVQVALEQDFNNHVINWEFLPQGSQPSPPISCLLQKLK - ->YP_238475.1 RNA dependent-RNA polymerase [Pelargonium line pattern virus] -MAHYFGEALQLGLFVAKASCWASKELALLGPNLAWIATRPLRNDANAILKRIGVHGLAKIPCNFDSDELT -IANDCIVKSDRNLEDESIVVEEVEEGEEKKKSKKVTRRRVKTKPTFAAVLAADAKNYYGCLPSATRANEL -SVMKYLVSKCQEHKLTITQTREVSAMAFALTFTPDENDKLIYKYLNSTEVFERRVDYAKARGVDKCWFEL -LKRPWHARAWRRVVGRIFGLPEQQAFEFVKXGCLMDTCGVDTKVYRGEHRWVKEFRGAANPKPRRLYKIS -GVSPEVRWGVHNNSFVNLRRGLMERVFYVERSGELLPCPSPEAGLFKRLFNKVGRRVIRFCGHHSPIPRA -SYPGMFQGRKRTIYENAVRSLVDRPYNIRDSYLKTFVKFEKLDFSKKPDPAPRVIQPRHPRYNVELGRYL -KPFEHFCYKALDKLWGGPTVMKGYTVEEMGGIIKDSWLQFQKPVAIGFDMSRFDQHVSVDALKFEHSIYK -ACFSKDGNLATLLGHQISNRGSAYANDGYLRYRVEGKRMSGDVNTGLGNCLLACTITKFLMEEIGVRSRL -VNNGDDCVLICEAGDCRAVEGSLTVGWRRFGFTCIAEKPVYELEKIEFCQMSPIQISDSRVKLVRKPQKS -ISKDAHSTTPLTTIQLAQEWTRAIGEGGLSLTSGIPVVQEFYQCLIRNGKKATKESKKMAFYGDYYWKWV -NQHSGKYEPVTEEARHSFHLAFGVSPDQQLALEDIYSRKSLLWESSPFTFEVGAIEWIFNQKIPI - ->YP_224015.1 RNA-dependent RNA polymerase [Olive mild mosaic virus] -MELTNHHKQTAAEGFVSFLNWLCNPWRRQRTVNAAVKFQQALLSIEDTEHFEDINECLEESAGAQSQRTK -VVAEGAYSPVKTNRTRRVRKQKKAKFVKYLVNEARAEFGLPKATEANRLMVQHFLLRTCKEWGVVTSQCH -NNVALALNLVFIPTEDDLLSRALMNTYATQAAVNGMTNTQGEGWWNNRLGIGSQVGLAFRAKXGCLERRP -GFSTSVSRGEHPDLVVKPSGHPEKQRQLLRYSGIGGHLLIGIHNNSLSNLRRGLMERVFYVEGPNGLQDA -PKPDKGAFNSLAKFRDLYTKNSWRHSPVTNEQFLMNYSGRKLTIYKDAVDSLSRQPLSLRDARLKTFVKA -EKLNLSKKPDPAPRVIQPRSPRYNVCLGRYLRHYEHHAFKTIAKCFGEITVFKGFTLEQQGEIMHSKWKK -YVNPVAVGLDASRFDQHVSREALEYEHEFYLRDYPNDKQLKWLLKQQLSNVGTAFASDGIIKYKKEGCRM -SGDMNTSLGNCILMCAMVFGLKEHLGMELSLANNGDDCVIVCEKADLLKLTSSIEPYFRQFGFKMEVEKP -VDIFERIEFCQTQPVFDGSQYIMVRKPSVVTSKDVTSLIPCQTQSQYAEWLQAVGECGMSINGGIPVMQN -FYTMLQTGVKRTKFTKTGEFQTNGLGYHSRFMNRVARTPSPETRLSFYLAFGITPDLQEALEVFYDTSTL -ELDDVIPTDTYQVSGEHLINGLPN - ->YP_052925.1 87 kDa replicase protein [Pelargonium chlorotic ring pattern virus] -MFRSLVTAARVGGALAVFAKDLAVLPAEVGVFATRLIITRTIEVIPEYLHMIAPGASRIPKSVLTGQAFT -SYDVEYNGTSDLENSCEVATEDVIEVEGKTTKVVKTRRARNKLQFSALLAIAAKNHFGGIVRPTRANELS -VMKFLTSKCNDHKLTIAQTRSVCCAAFPLVFSPDEGDKLIFATLNSEEAFERRQDYSEAQGVGNCWLNLL -SNPCSRRRWRRVVLRCFGMPVQEAFQFAKXGCLMQTCGVDTKVFRGEHHWVKEAHEASYIPPRRLFSISG -LGPTIDWGVHNCSYVNLRRGLMERVYFVERKGKLEPCPRPAEGEFARLFKKYGHRVVGLCGSHSKISREE -FVGSYQGRKRTIYTNAMTSLLDKPLELRDSFLSTFVKCEKLNFSKKPDPAPRVIQPRDPRYNVELGCYLK -RFEHSAYRALDKLWGGPTVMKGYTVQEIGKIIESKWNEYRRPVAIGFDMSRFDQHVSVDALKFEHKIYKR -VFRGDQNLARLLDWQLENRGTAYAKDGWLKYKVNGKRMSGDMNTALGNCILACVITKSIMDGAGVKCSLI -NNGDDCVVFCEDGQCRAVEDSLTGGWLKFGFTCIAEKPVKVLEKVEFCQMQPVLTVGGYTMVRNPKISLS -KDCHSTTPFDSIKLAREWSYSVGLGGRSLTVGVPVVHDFYQCLERNGKQHNNERKMRLFYGDYYGKWVAM -HKGGNHFVTEEARHSFHLAFDISPDQQEAMEEFYQNKELCWEFSPFSKVHNDIEWTLAHPVPI - ->NP_945122.1 p99 protein [Pelargonium flower break virus] -MLRFGSQLVVGSTLIGGLTAVGLAGLSVRATIGVVEFNLRCARVVGDIIRDPFNALPSAPLFPGPSVEVF -AEEVRKDLEPELEAKDYLVIHEEKEMDEEGKSKVVRQRSTVNRHKKGRFVHRLVCDGKNHFGGTPSASRA -NELAVMKYLVGKCREHHLVVQHTREVCSLAMAAIFTPDHHEVNMVREMNSHAAYRRRVALADASRVHSWQ -QELLRSPLSWNAWGRAWWIANGLPDREPVRFTKXGGLFCLEGVETGVRRGTHPQMIEVEKNSPPKLRKLF -AQRLVSSGVEYRVHNHSFQNLRRGLLERVFYVEENKQLVSCPCPEKGAFKEMGYLRKQFVRLTPKHARIS -AKEFVDCYHGRKKSVYEFAAMTLSERPLDRKDASLKTFIKAEKFCKADPAPRVIQPRSPRYNVELGRYLK -KFEHTAYRTLDKIWGGKTVMKGYSVDEIGKHISEAWDSFHSPVAVGFDMSRFDQHVSVPALQFEHSCYLA -CFPGDQHLAELLSWQLKNSGVGFASNGMVRYKKDGCRMSGDMNTALGNCLLACLITRHLMKDINCRLVNN -GDDCVLFLDKKDLPFVVSNLTTGWRRFGFKCIAEEPVYELEHVRFCQMAPVYDGSQYVMLRDPFVSMSKD -SFSLTHWNTTKNAKQWMKSVGICGERITGGLPVVQEYYRKYQEIAGDVKFSHSLEITSSGTYRLAQNSSR -AYGSVSEMARFSFFLAFGVIPDAQIALEKHIRDMPVAAEFGPDESLADHTIEWLLKXSFLIWVVIMRLTC -QWEDVGTGVNRRVRYPSQRTLSPNGHLMVVMGVLGLLWLRPFRSIYTSTFSMPPLINLQHILNLTLLSLI -LSSFILAERVTHNHYSNDNSKAQYIRISTGQ - ->NP_619751.1 putative RNA-dependent RNA polymerase (p84) [Oat chlorotic stunt virus] -MSWPSLSGVPNGSSSVSTWLSVTHPRRSLPKELLTAFDTCNVAPEALLVLRSTSLMILEETCVVVGAAEM -PTAEDNSGRELFIGSNGDPMERKTRTAHHAIKKTVRIKKGHRTTFAMTVANGAYVKFGARPLTEANVLVV -RKWIVKLIADEYKDLRVCDQALVIDRATFLSFIPTMAWNNYKFIFHGKNAVTDRVAGENLFSRIAQWANP -GKXGCPVVVTGQGCVISRAPDCAQLRVKRLLGVTKNRTCMRVSGVSPNIQIIPFNNDITTLERAIKERVF -FVKNLDKGSPTKFVSPPRPAPGVFAQRLSNTLGLLVPFLPSTAPMSHQQFVDSTPSRKRKVYQQALEDIS -CHGLNLETDSKVKVFVKYEKTDHTSKADPVPRVISPRDPKYNLALGRYLRPMEERIFKALGKLFGHRTVM -KGMDTDVTARVIQEKWNMFNKPVAIGLDASRFDQHVSLEALEFEHSVYLKCVRRMVDKRKLGNILRHQLL -NKCYGNTPDGAVSYTIEGTRMSGDMNTSLGNCVLMCMMIHAYGLHKSVNIQLANNGDDCVVFLEQSDLAT -FSEGLFEWFLEMGFNMAIEEPSYELEHIEFCQCRPVFDGVKYTMCRNPRTAIAKDSVYLKHVDQFVTYSS -WLNAVGTGGLALAGGLPIFDAFYTCYKRNSNSHWFSGRKGRLKTLSSVDDSLPWFMRELGLKGKRSSAEP -LPASRASFYLAWGVTPCEQLELEKYYKSFKLDTSTLLEEHLWQPRGVFPDED - ->NP_619718.1 replicase [Maize chlorotic mottle virus] -MATLPSIHAFWKLWWPTFSEERKMTVVQALRNGLTRKLFQITQIAQQILRWPPYIRERMTSSLGQSLVKT -FQTLLGIRKALSRLCLTRNMNVDIFTMLLIPFKFLSQLCSQIVASANFVIQTFRHGLSRGLCLARCRNPE -TMRSGWSKHTLQMQNCSSTSPLMTPFQPQIARDLSNPHGLWMSTRNKLTRTGGSAIQQTSRYVRLAVRFS -LLAAGAYISCVLARKALKEYVYRWELKSDQELPTREQSQISQVEQAMEQNGAMMVMKLNGPTAPILSLQD -CLTKHVLVPEIVDEEGTVTQKEQSTFLIREFGPAVRNLVTLAKLEFGGIPKKTTANELTVWRFLVRKCEH -ANMNPTDSRTAISMALPYVFMPCRTDVGRASIPLRDESIEICRQYRAQFVEETPLRRVFNNPLSGKAWRN -WVRHLGGLDDPALFQELKXGCLEEWLGVQSRRTRARHPKLRSHFKDTRHKTRRVFRIAGLGNLYEFGVHN -NSAVNLERGLMERVFYVKDDKGELVSCPEPISGIFWKNLKGFRNSIVHHVGHRHPVSRETFLAYYTGPKR -TMYEKAVNSLYEMPVSYDDAKLKTFVKAEKINLTKKADPVPRVIQPRAPRYNVELGRYLRPVEHPIYHAI -DKIWGGPTIMKGYSVEQIGRHIENAFRSFTDPVAIGFDASRFDQHVSVEALRWEHSVYSRIYGYPELLTQ -LLRWQIHNRGTAYASDGAFNYQVDGKRMSGDMNISLGNCILATAITHDFVTKLGIPARLINNGDDNVLIC -PAVEVGRVRQELYRHWLNYGFEVISEEPVYILEQVEFCQMRPVFDGTQYTMMRDPRTTMSKDAYAVTPFN -TPTAARRWMRAVGECGLSLTGGLPVKQEYYTALVKHGLDPKNIKQGKDFDSGLYYLSKLSNRKWQEVQES -ARYSFWLAFGYTPDEQRALEEYFKSWTPTFEWSTTGILAEIPECLLLKHNPLPPT - ->NP_619671.1 RNA-dependent RNA polymerase [Hibiscus chlorotic ringspot virus] -MLSHAFSIAFETASLGAALCVAPFAAAVEIITHPRIAPRDPCIAEELCMRDELEVADPTDEEPEACLENI -SGTSSDKKVSKIKRRHRGKFALHAAIAAKLHFGGTPTPTRSNELAVRRWLASYCKERRLVDTHAIQAIAL -ATPLVLTPGAAEITERAILNSAVFGMRRAALAEASKPTSLTYQMVFEPHRPRTWLRAWRKVVGLPDFQGY -SPVKXGCLREIAGVDTKIVRGEHQSMAVFPREGISKPRRIFQVCGMGNGTTFGVHNNSLTNLRRGLVERV -FYVEDACKNLVPTPQPLPGKFQELKYLARRVARIAGHHTPIPREHYPGLYKGRRYQIYADAVNSLASNPV -HRRDSFLKTFVKAEKINFSAKPDPAPRVIQPRDPRYNVEVGRYLKPYEHHLYRALDVVWKGPTVLKGYTV -SEIGSIMHSHWSQFQKPCAVGFDMKRFDQHVSVDALRFEHSVYGRSFCSKELDRLLEWQLLNSGVGHAND -GYIRYKVDGCRMSGDVNTAMGNCLLACLITKHLLKGIRCRLINNGDDCVLFFNTPDLAAVTERLAHWLDF -GFQCVVEEPVYELEEVEFCQMKPVFDGEEWVCVRNVHVSVAKDTYSINPWNNECDAGRWIRAIGECGEAL -TGGIPVLNAYYKVFKRAYKAPGRVKESLAFDSGMYRLSQRCSRSFHEPTEEARVSFFKAFGVDPMAQMAI -EEFYDSMDIELEFAPEGKLDDISLLWLLRNRMIAS - ->NP_619521.1 replicase [Cowpea mottle virus] -MLIQFGTMPPVEVQGPPRVTPWSSPSAIGKFLVGVCGGLGDAWADTVTRNRTTHINAFERFGEFPIEWAI -NSTGDEVFEPERPTREVGKGKRVINRHAKGYFLKEAVTACRLQFNGVPMSTNANLLGVRKFLAGFCQEHG -LTNQQSLRLVNAATPLVMTANQEDVEYMKLFNCVQLNEKRAEYLDAQVVHTPIRQLVARPLSGEKWSQWI -AHLGGFRPATGFRVSNXGCLRRVPGFNTSIVRGEHPDMLITPRTGSHKVRKMGHVNFMGNGKLFGVHNAS -LVNLRRGLLERVFYVEREGKLVPPPEPIPGIFSRLAGFKSKLKKIVGTHSRISDDAFVALYHGRRNTIYQ -NAVDSLRIGGVQRKDSYLSTFIKAEKIPIYRKPDPAPRVIQPRNPRYNVEVGRYLRPFEHHLYRGIDEIM -GGPTVIKGYDVNQIGNIMEKASGQFVRPVAVGFDMSRFDQHVSFDALQFEHGVYLQHFGGDRFLAKLLSW -QLNNKGFANLPEGKVKYTRRGCRMSGDMNTAMGNCLLACAITWDLMKGIKYRLLNNGDDCVVITESKNVD -FVCKQLERFRDYGFTCIAEEPVYELEKLEFCNLHPLYDGSSWTVMRKPSVSLAKDTYCVAGWNNTKDAAS -WLNAIGQCGAAITGGIPIQQAYYQCLIRNFPRGGWLQKHHHTMDSGLYWLALKKGRSDPVPVAPEARHSF -YLTTGVTPDAQIAVEEYYNNLHLALEFEPEVSPTSLSTTSAD - ->NP_056825.2 RNA-dependent RNA polymerase [Tobacco necrosis virus A] -MELPNQHKQTAAEGFVSFLNWLCNPWRRQRTVNAAVAFQKDLLAIEDSEHLDDINECFEESAGAQSQRTK -VVADGAYAPAKSNRTRRVRKQKKHKFVKYLVNEARAEFGLPKPTEANRLMVQHFLLRVCKDWGVVTAHVH -GNVALALPLVFIPTEDDLLSRALMNTHATRAAVRGMDNVQGEGWWNNRLGIGGQVGLAFRSKXGCLERRP -GFSTSVSRGEHPDLVVIPSGRPEKQRQLLRYSGIGGHLLIGIHNNSLSNLRRGLMERVFYVEGPNGLQDA -PKPVKGAFRTLDKFRDLYTKNSWRHTPVTSEQFLMNYTGRKLTIYREAVDSLSHQPLSSRDAKLKTFVKA -EKLNLSKKPDPAPRVIQPRSPRYNVCLGRYLRHYEHHAFKTIAKCFGEITVFKGFTLEQQGEIMRSKWNK -YVNPVAVGLDASRFDQHVSVEALEYEHEFYLRDYPNDKQLKWLLKQQLCNVGTAFASDGIIKYKKKGCRM -SGDMNTSLGNCILMCAMVYGLKEHLNINLSLANNGDDCVIVCEKADLKKLTSSIEPYFKQFGFKMEVEKP -VDIFERIEFCQTQPVFDGSQYIMVRKPSVVTSKDVTSLIPCQTKAQYAEWLQAVGECGMSINGGIPVMQN -FYQKLQTGIRRTKFTKTGEFQTNGLGYHSRYMHRVARVPSPETRLSFYLAFGITPDLQEALEIFYDTHKL -ELDDVIPTDTYQVSGEHLINGLPN - ->NP_068342.1 112 kDa replicase protein [Panicum mosaic virus] -MESLIQHILSATSSLSMPSVDSDKLQLLLTRFPQATLSWLSGIDGLSVHQFKVVATHVIKESYSHLSPSV -AVLLAKPSDYYKLVVHGLTQKQWNEFDDKVTDAPRTAISWLYNQILVVGQPWMKSLNEGLKEYLPGWLHT -CLRMLANLWFGAERVLHGISHAVSDITTYQPWMSCRRCVAHSPKESLLGEATTTSNPRESSWFSWLLCGG -VVAATVYGFYKWVTITETIDRQDLAKQRPTHMQKCYEEVKARLEEESKGFIHDRMEDELTKIVLEPAEID -NDGKVLKSEVAQYLVKNHGRFVRSLVTMAKNEFAGVPKPTEANQLAVWRYLYRVCDKKGVNPSDTQKSIS -AALPFVFLPSAYDQDQAITMNCDDTKKVLERYADTFRHTTPLQKLVTNPLVGANWTAWARSIFISDPETG -LRFAKXGCIEKWQGVQCKRTRVRHPRLRCHFKDRDPKVRSIYRIAGLGDQYEFGLHNNSAANLERGLAER -VYMVKNYKTDFVASLDPAFCPAPEPVRGIFKRLDKYKKEIVRRVGRKSPITDEMFLSYYDGPQLTTYSKA -VISLSERPVAVQDSYLKTFIKAEKLNLTLKTDPCPRVIQPRHPRYNVELGKYLKHIEHPIYKAIDRIWGG -KTIFKGMNVEAMGAEIHKKMCKYSNPCAIGFDASRFDQHVSVEALRFEHSIYKSIHGYPELLSLLLKWQI -HNQGTAHTNDGFFKYLVDGKRMSGDMNTSLGNCILASLIVKDLVDSLGVDAQLVNNGDDNVLICSVDDEE -VVVKALYDHWMKYGFEVVAEQPVYITEQIEFCQMKPVFDGTQYVLVRNPTVTMSKDACSITPFYTANSAR -KWCRAVGEAGLSLTGGMPIKQAYYQCMIRNGINKGNIHKSKEFRYGLSYVLHHGKSDRKARPISAATRYS -FYLAFGYTPDEQTALEGYYDSLELEWTESRLGIPARTPECLLLRLLPKIPLQPKSPTKPVQRTASKPDLP -DSQWQQALAAPL - ->NP_038453.1 readthrough protein (p99) [Japanese iris necrotic ring virus] -MLWYEELTMSLLGSRFVPKPLAIVGGLSLCVTVAVRETVQDGISMGLSSFGDYCRNKVQAGLNPLDVSHD -FKQATPQHPSMDLMLDNPQLCEEPEDCLEVLVANEQVTKKRVNRHARGTFAAHAAMACKLHFGLVPMNTR -ANLSVATRFITNYCQERHVVPTHTRAVVDAAIPLVFTPDSGEIGILVALNHRESQIRRLAAREATIQQTP -LAELVTAPWCGASWVRVARVLSGYEDFTTFHFSNXGGLREMGGVETQIVRGAHPSLTVSREKPASKVRRI -YQITGLDSGINFGVHNNSLNNLRRGLIERVYYVESNGVLQPPLKPKVHQFRKLKYFARRLVNIVGPYTPI -EREEYPLLYQGRRKAIYQKALESLSERPCTRKDAKLRTFVKAEKINFTSKGDPAPRVIQPRDPRYNIEVG -KYLKKAEHHIYSAIDRIWGGPTVLKGYTVEQLGTNIANIWSQFQRPCAVGFDAKRFDQHVSVDALRFEHS -VYNSIFHSPELARLLSYQLHNVGVAVASDGFARYSVDGCRMSGDINTALGNCLLSCAITHFLCRGIRCRL -INNGDDCVLFFESSDLTLVNERLTKWSDFGFSVKFEEPVYELERVEFCQMQPIYAGGWVMCRNPYICTSK -DTYSITPWHNPKSALKWVASVGECGLSLCGGIPVLQSFYSCMMAQSDERMNIKNDVWFSDSGFARLASNG -KRTREHVSTEARISFWKAFGILPETQVSLEGVFHSYENCKAFGAGGINCELWTQLLKPQRMNXILQVKNY -RLSSERYKMPGAVKRLRGLLRGMLRCLQFALWLVGKLLVGFGCRSARPSPQPTIFTSEPLWDNDLLLVLI -ISLTFTLIYIFAQQQPVHHHHYSESNHKTQHITIGTESQTRQIPNGAT - ->NP_044732.1 replicase [Galinsoga mosaic virus] -MGAISKISKISSFVVAGIVAYKVGKATYNAFRYRNQESITAARDLQAAVEAVEEQPEFGSVEECLEETPA -SQSERTTIQNEGDLAKMPVSRKRRIRNRKHGRFVSYLVNEAKAEYGLPKPTEAYRLMVGGFLNRLCKEWG -VVTSHTHQAVSIALPLVFVPTKFDVMGKAMCATTRTNQLIGENSTDQATGWFDNVLGIGGGVGLRFLGKX -GCLERRLGFSTTVSRGSHPDLVVKPSGRPEKQRQLSAIVDSWGRKPLVFTITRLANLRRGMIERMYYVER -DKNLVEPPAPSVDFRLPHFSSAFKKVIGRHTPVTRQAFVEFYRGRKRTIYEKAVESLSAAPVTHRDARLK -TFVKAEKLVLSRKPDPAPRIIQPRAPRYNVELGVYLRPFEHKAFRAVERVFGSPTIMKGYTAEEQASIAR -EKWDRFSKPVAIGLDASRFDQHVSVAALKWEHKLYHHAFNHDKTLKKLLKWQIHNEGTAFASDGCIKYKK -TGCRMSGDMNTSLGNCLIMCGMVYDLLVTHDIDAELLNNGDDCVLICERSEEAHLLEVIPQYFRQYGFTM -EVEKPVHIFEKLEFCQTQPVYVDGKWIMVRKPNPAMSKDLTCLLPIQNENNFKEWLSAVSECGLALTGGV -PLWQNFYQACNLGAARTGFTKSGLFTESGLAYASRGLHRRFSEPSAETRHSFYLAFGITPDLQLALEKEL -DSKIMNEWTMIPRDTRQRTYYSTISNELT - ->NP_044382.1 SCVP86 [Saguaro cactus virus] -MGILSELLVGTTLTGGLVAVGLAGLQLRIALKTVEVSVDLVRDAYEFVVDHTKTRPPLPVREHVLSITRE -DDPAPELELADCLEKIEDKETDEEGKTKVVRTRTTNRHARGSFLRRLIAEAKCHFGGTPTATRANELAAM -KFLVGKCRDHHLVVLHTKEVCHAAMVGLFTPDDDEIAAMAQLNSHAAYVARVKLHSAVTVDPWWVKILRS -GERTAGWQQLWWVLWGAPAQRGFTYHKXGGLSYLEGVCTKVWRGTHPNMIEVRRNALVRPRRLYYQQEIG -TGLQYRVHNASYANLRRGLLERVFYVEDKVNKTLVPCPEPDRNAFKELAYLKRRLVRIVGLHTRISAAQV -VDCYQGRKRTVYHNARLSLDEIPLDRRDSHLRTFTKAEKFCVDLKPDPAPRVIQPRSPRYNLQVARYLKK -TEHHIYRGLDKIWGGPCVMKGYNVEEVASHIIGAWEQFQKPVAIGFDMSRFDQHVSVPALQFEHSIYQAI -FKGDRELQQLLSWQLRNYGTGFAHDGLIRYKVDGCRMSGDMNTALGNCILACLITKHICRGLDARLINNG -DDCVLICETRDVGRVVDKLTTAWLHFGFTCIAEEPVYEPEKIRFCQMAPVYDGEKWVMVRDPKVSLSKDA -FSMVRWDTVKNAQQWFKAVGQCGLSIAGGVPIVQEFYRMYERAGGHVKLPVLKEVSASGLFHMSFNSNRA -YSEISDAARFSFWKAFDILPDVQEATEAELRRTWISLDFGPAGDLNNPILEWTFL - ->NP_043907.1 RNA dependent RNA polymerase [Olive latent virus 1] -MELPNQHKQTAAEGFVSFLNWLCNPWRRQRTVNAAVAFQNSILAIEDVEHFEDINECFEESSGGQSQRTK -VVAEGAYSPVKSERTRRVRKQKAKFVKYLVNEARAEFGLPKATEANRLMVQHFLLRRCKDWGVVTSQCHN -NVALALTLVFVPTGDDLLARVVMNTYKTRSAVRGMDNLQGEGWWNNRLGIGGQAGLAFRAKXGCLERRPG -FSTSVSRGNHPDLVVKPSGHPEKQRQLLRYSGIGGHLLIGIHNNSLSNLRRGLMERVFYVEGPNGLQDPP -KPVRGAFNSLNKFRDLYTKNSWRHSPVTNEQFLMNYSGRKLTIYKEAVDSLSHQPLSLRDSRLKTLLKAE -KLNLSKKPHPAPRVIQPRSPRYNVCLGRYLRHYEHHAFKTIAKCFGEITVFKGFTLEQQGEIMFSKWKKY -VKPVAVGLDASRFDQHVSWEALQYEHEFYIRDYPNDKQLKWLLKQQLSNIGTAFASDGIIKYKKEGCRMS -GDMNTSLGNCILMCAMVYGLKEHLGINLSLANNGDDCVIVCEKADLKKLTSSIEPYFRQFGFKMEVEKPV -DIFERIEFCQTQPVFDGSQYIMVRKPSVVTSKDVTSLIPCQNEAQYAEWLQAVGECGMSINGGIPVMQNF -YQMLQTGVKRTKFTKTGEFQTNGLGYHSRYMHRVAQTISPETRLSLYLAFGITPDLQEALEVFYDTHTLE -LDDVVPVDTYQVSGEHLINGLPN - ->NP_041884.1 replicase [Cardamine chlorotic fleck virus] -MDALLPEFLAHKLSRLVLKCFGIGSDEEAPQQIFVGEFPVWGGEIVPPQPEELNQLVIPRKWVRSIGSSL -NRVVLPPSSGVVASLEENCVAPFEEASVEQLEPASGDTGDLPRRKIRRRGRFVAHAVCAAKLHFSGCPTQ -TLANRLAVSKWLVQYCTERNVIPSHTRIAVQEALPQVFLPDGHDLKEVLDLHTEEAHDRRNALRKRGRHV -RRWWVQLAMHPMTGRSWLRAWRRLTGLPDDVAVQFVRXGCLRELVGRETAISRGEHPAMRVFPLAQPPKI -RRIFHICGMGNGLDFGVHNNSLNNLRRGLMERVFYVEDAQKELKPAPQPLPDAFAKLSGIRRKLVKLAGN -HTPILREDYSKLYKGRRATIYQNACESLADRACTQGGSAELKTFVKAEKINFTAKKDPAPRVIQPRDPRY -NIEVGKYLKCYEHHLYRAIDKMWCGPTVLKGYNVEEIGNIMSDAWNQFQKPCAIGFDMKRFDQHVSVDAL -KWEHSVYNASFNCPDLAKMLTWQLKNKGVGRASDGYIKYQVEGCRMSGDVNTAMGNCLLACSITRYLMKG -IKSRLINNGDDCVVFFESGDMHEVRERLCRWIDFGFQCIAEEPQYDLEKVEFCQMSPIYDGEGWVMVRNP -RVSLSKDSYSTTQWGSVRDAASWLASIGECGMAIAGGIPIVQSYYNCLLRNFKHLARNTSKKKLDVSFNS -GFSMLAQNGNRGSKEVSEAARYSFYVAFGYTPDEQRGLEGYYDNLALEAAWDPEGYDETLLNRWIINPSL -TTP - ->NP_041226.1 replicase [Melon necrotic spot virus] -MDTGLKFLVSGGLATSSVIRKVSAVSSLDSSLPSSSILSAIHGSWTSAISHDCSKIAKVAAIVGIGYLGV -RIGAAWCRRTPGITNSIITYGEEVVEQVKVDIDEDAEEESDIGEEIVVGTIGIGIHTNVNPEVRAKRRHR -SRPFIKKIVNLTKNHFGGCPDSSKSNVMAVSKFVYEQCKQHNCLPHQTRLIMSIAVPLVLSPDMYDISSK -ALLNSEILTENRATLDRLKTLDGWLTHLVCHPLSAKAWRRAIDNLCGLPDWKAFKLVNXGCLEELAGFCT -SVRRGTHPDMTEFPQDRPIKTRKLYCLGGVGTSVKFNVHNNSLANLRRGLVERVFFVENDKKELEPAPKP -LSGAFDRLTWFRRKLHSIVGTHSSISPGQFLDFYTGRRRTIYEGAVKSLEGLSVQRRDAYLKTFVKAEKI -NTTKKPDPAPRVIQPRNVRYNVEVGRYLRRFEHYLYRGIDEIWNGPTIIKGYTVEQIGKIARDAWDSFVS -PVAIGFDMKRFDQHVSSDALKWEHSVYLDAFCHDSYLAELLKWQLVNKGVGYASDGMIKYKVDGCRMSGD -MNTAMGNCLIACAITHDFFRSRGIRARLMNNGDDCVVICEKECAAVVKADMVRHWRQFGFQCELECDAEI -FEQIEFCQMRPVYDGEKYVMVRNPLVSLSKDSYSVGPWNGINHARKWVNAVGLCGLSLTGGIPVVQSYYN -MMIRNTQSVNSSGILRDVSFASGFRELARLGNRKSGAISEDARFSFYLAFGITPDLQRAMESDYDAHTIE -WGFVPQGNPRIQPISWTLNEL - ->sp|Q9J5U7.1|RDRP_CARMS RecName: Full=Probable RNA-directed RNA polymerase; AltName: Full=Protein p88; Contains: RecName: Full=Protein p28 -MGLPSLLVEGVIGCTLVGGLVAVGSAALAVRATIGVVEFNRECVRGARRIVSSGGRCLIVQSPYGNPNQG -LIRGEDEEIDNVEESTPEELTQLIEVKAEVDGKEVVVSKKRVVNRHLRQRFVRSIAIEAKNHFGGDISPS -KANYLSVSKFLTGKCKERHVVPAHTRDCVSAAMVLVFTPDVHEIRMMAGLASDAAYARKIAMASILNRKG -WCWRLMVNPLDRARWWEMWCVVNGFDSNKPVTFPKXGGLFYLNGVETKIRRGGHPSVIEVDGQCPPRERK -LYVQNAITTGYEYRVHNHSYANLRRGLLERVFYVERNKELVSCPQPEPGSFKEMGYLRRRFHRVCGNHTR -ISANDLVDCYQGRKRTIYENAAASLLDRAIERKDGDLKTFIKAEKFNVNLKSDPAPRVIQPRSPRYNVEL -GRYLKKYEHHAYKALDKIWGGPTVMKGYTTEEVAQHIWSAWNQFQTPVAIGFDMSRFDQHVSVAALEFEH -SCYLACFEGDAHLANLLKMQLVNHGVGFASNGMLRYTKEGCRMIGDMNTALGNCLLACLITKHLMKIRSR -LINNGDDCVLICERTDIDYVVSNLTTGWSRFGFNCIAEEPVYEMEKIRFCQMAPVFDGAGWLMVRDPLVS -MSKDSHSLVHWNNETNAKQWLKSVGMCGLRIAGGVPIVQEFYQKYVETAGNVKENKNITEKSSSGFFMMA -DRAKRGYSAVSEMCRFSFYQAFGITPDQQIALEGEIRSLTIDTNVGPQCEAADSLWILNRKYQ - ->APG76233.1 hypothetical protein 2 [Changjiang tombus-like virus 13] -MPAVSVTHAGYDTKIARSLVTLSALPSRGAMYTHDNDVGNVLQSVYERVLGSVRDGVWTPTLLPEKGAFT -GALWAFRRRVVAQFGAYSLPLSHDQFVDVYRGQKKKRYAAAAASLQSKPVVRADSHPSVFLKAEKWYERK -AGRLISARHPRYNLAVGTYLLPIEHRMYKAVDGVFGSPTIMKGYTHERRAAVIHSHYSSFVDCVAVGQDF -SKFDQHISRDALKYEHAFYDLCYGDRNLTRLLARQLGTTCYATLLDGKVVYKLRGGRMSGDMNTALGNCI -LSAALIWAYAHERGIKVRVIVDGDDSVTFLERRDLARYRDGIAEWMREKGFILVSEEPVFDICQVEFCQA -KYTRLAVPTMVRNPIKAITQDHEWIVDRSIAHEDVLSATGLGGLSLYGACPVLGAYYHMLSKASPNAERT -LRRLATQSSWLRFAGETGTYVEATEEARFAFWETWGMEPGEQRAIEAYFHSLDLSYLRDCRRSFDHQNVR -NTVYFPSLNQFT ->APE61607.1 89K protein [Melon necrotic spot virus] -MDLGLKMLVNGGLASSHVLTKVCAVSSLDSSLSSSTLLDRCAQSWTGAVLSDCKSACKVALGIGVCYSAW -KIGMKYFHSASRVSHNALISLGEDTLDHIVANVNEDVEENSVQEQVMVGTIPIGIAREVPAEVKMKRRHR -SKPFLTKIVHLAKNHFGGCPDSTKSNVMAVSKFVYDLCKEHNCLPHQTRMIISVTVPLILSPDEYDISSK -ALLNSVDLCENRARIERLRNLDGWLINLMCHPLSNKAWRRAFDNLAGLPDWKAFRLVNXGCLEELAGFCT -KVHRGTHPDMTEYPQDRPIKTRKLFCLGGVGTSVKFGVHNNSLRNLRRGLVERVFYVENDNKELEPAPKP -FRDAFDRLNWFRSKLHSVVGTHSRVSPGRFLDFYSGRRRTIYESAVKSLEGLAVQRRDAYLKTFVKAEKI -NISRKPDPAPRVIQPRNVRYNVEVGRYLRNFEHYLYRGVDEIWGSPTIIKGYTVEQIGQIARDAWDSFVS -PVAIGFDMKRFDQHVSRDALEWEHKVYLDAFCHDSHLAELLSWQLENKGVGYASDGSIRYKVDGCRMSGD -MNTAMGNCLLSCAIVHDFFKTRGIKAKLMNNGDDCVVFVEKECAAVVKTDMVRHWRMFGFQCELECDAHI -FEQIEFCQMRPVFDGTKYIMVRNPLVSLSKDSYSVGPWNSIKHAKQWVNAVGLCGISLTGGIPVVQSYYN -MFIRNTQGINSSSILRDVSFASGFRNLAKMGSRKVGSISEDSRFSFYLAFGITPDQQRAMESDYDAHVID -WGFIPQGNPRIQPISWTLNAL ->APG76145.1 hypothetical protein, partial [Beihai tombus-like virus 6] -GGGGAVRDPGNPPPERQDAPPRVEPFRPRARTARPPSSRPRRTGGVEVEDQLLAYLQLEAYLANRSPVVA -KGLMRKGRHWLHEHGIRDEAEVLRYLQTAVPAAMIESPAERITAAYLGTRRGHKSMARADAMAKGTVGQF -RRTKTRSCIYGLGTGVGAAAATVAAGVAVGVVVPLMAIPIAFSAGVAASVATVGGAWWAWRPKKRQFPTT -HXGGLVGVEAVCAYPKKLEQVAARNSPPVLPPHWDHASKRRLYRIVPPVPGLWLTYTHANCLCNEFISAT -NRVLGEVPLPTREGIDLLRRAGRRHFSWMGKTTPLTVEKFVSAYSGRRRSRYEIAAESLRQHPIEKGDAR -ISAFVKPEKFNPGAKKNPDPRMIQSRSARFNIVIGCHLRPIEHQIYRIMRNGRRVVAKGLNQRQRAALLV -EKWGDFRRPVAFSIDGSRWDKHISREILRVAHEIYLRCDSDPEFRWCLQLTLTNKCRTAGGVKYKVGGGR -MSGDMDTASGNCLLAVLMAYAAMEHLGISSWDLVDDGDDCLIIVEEEEACYLRENISRVFLQFGQEVKLE -NEARRIEDVCFCQAKPVCVGGQWMFVRDWKKVLSQSTAGCKYWGDVHVSRSMFHAVGMCERALSEGVPIL -GAYARALIRNGDGRVPRGFTCDEGVWYRARLEAGAAAVWNNNGDWKVPDDSTRLSFADAWGVGPWEQRAI -EQMLDRWTVEDWQLVPRLLPAELDASWHPTRLYDPEVLGAEL ->AHA86929.2 ORF2 [Tombunodavirus UC1] -MAVHWRLEAEKERAVYIVGGIGNDDRTLCTNAADVMTTCAAIAERMIYAKIGGKLLKRAGRSKEYFDGIL -GGFKKLVVKAAGRTFHPVTPQEFVDSYTGRKRTLYANYLEDYLESGVQRMHAMFRTFMKVEKVPTGKSPR -TIQPRSPIFNIGLGRYLKHAEKPIFRAISRVFGQKYTVFKGLNAVEMGTELRKLWEHFEDPVALGIDASR -FDASVDIGLLEHEHSLYNMLFHDKELSRLLRMQLHNVGVAYCHDGIVRYTVSGGRGSGDMNTSLGNSYIM -CALIWVWLQKIGVNAKLANNGDDCVVIMERGDQARFEEGFAEYAASLGFVMVVEDSVDEFELIEFCQTHP -VWDGVKWRMVRNFDTAREKDSMCLFPLETPGAIGAWLYAVGECGMALTAGIPVFQEMYQAFMRNGKPSKM -SEAVFMQSGARMMSAGMDGKWVDVSTEARVSFFSAFGVTPDEQVALEEYYRSWTLSPTVVVVDSIGDVDG -SPM ->APA23091.1 RNA-dependant RNA polymerase, partial [Cowpea tombusvirid 1] -VRPPQAIDGIFLARLAGVRRRVLEQCPFVSPLEPEEYPLQYTGKKRVVYQNALENLRSRDVSRADAQVTI -FTKTERTLKPNAVARIVSPMTPEANLETGRFVKPMEHPILQAIADVAGHTVVMKGKNASQVGACLAQHWE -DMGGTGGCVAVGLDASRFDQHVGVQALEFEHTHYPPLLRSPSDRKRLRMLLNWQTQTKAFGRTKEGFVKY -EVSGTRLSGVINTGLGNCILASEMCIAYCEEKSIPFRLANNGDDCVLFINKTNLSRFSDGLKEWFKDMGF -TMVVEDPVYNLEEVVFCQSQPVWDGRSWTMVRDPRTALAKDCVSLKPWHSAKGFQKWIKGVGMSGTSLAG -GMPIYDSFYRSFVRAGGGVAARAVTQEDGGLYWQSRGMRRRNLRVTDDARFSFWRAFGIPPDMQIQLEEH -YDNVTPTYTHPLDVGDVYPTWEVDYLSC ->AGC04692.1 p89 [Melon necrotic spot virus] -MATAMNTMGLTVLNLAPVQSSHIITRCFAARSVDSTLPSKDICTRLSNSLCENVKSDIASIVKVGLGAAV -CYGAYRLAKNFWYNQRKHSKGEIDELGQAVLKCIEDVANGNDEAIEEETTETIMVGDVDIGVVREIPAEV -RLKRRHRKAPFLAKVTNMAKNHFGGCPDPTKSNVMAVSKFVYDTCKEHNCLPHQTRQIISIVVPLVLSPD -EYDITSRALLNSDILCENRAKRESASSISGWLVNLIAHPLSATAWRRAMDNLCGLPDWKAFRLVNXGCLE -ELAGFCTEVRRGQHPDMTEHSSGRPVKVRRLFCLGGVGTSVRFGVHNNSLQNLRRGLLERVFYVENENKE -LEPAPKPLDGAFGSLLRFRRKLHSIVGTHSRVSPNQFVEFYSGRKKTIYQQACESLEGLAVQKRDSYLKT -FVKAEKLNITRKPDPAPRVIQPRNVRYNVEVGRFLRRFEHYLYRGIDTIWGGPTVIKGYTVEQIGEIVSD -AWFSFRSPVAIGFDMKRFDQHVSVDALKWEHSVYLDAFCNDEYLKELLSWQLENRGVGYASDGYIKYKVD -GCRMSGDMNTAMGNCLLSCAIVYQFFKEYGIKGRLINNGDDCVVFVEKECAAAVKAGIVKHWRKFGFQCE -LECDANIIEQVEFCQMRPVKVNGKYIMVRNPLVSLSKDSYSIGPWNSINHAKKWVNAVGQCGISLTGGVP -ICQSYYQMFIRNTSGVNSNSILRDVSFASGFRELAKMGKRVATDISEESRFSFYLAFGITPDSQRAIESD -YDAHNFDWGFWPQGKPKIEPISWMLNEL ->AGO96548.1 RNA-dependent RNA polymerase [Thin paspalum asymptomatic virus] -MESFVSRISEALSSCDANLDYDKMLLILKHCPDKLLSWASGIEGLQTHQLKVAITHLVKTEFHSCKHLLT -TMSATTADYIKMFMQLSPEQEVVINTGGTVTWWPKLIRDIPEWFTDKIPHYILQIVDFILRWVSRITGKV -RPSSPKESNSSSTRPSWLRLLVEVGLVAAAWYGVYKYISLSEEIVRRDQAQTRPDHMRQCYEQVKESLEE -QNNRFIHDRMEEELTKLVIEPAEIDEDGKEVKPEVSQYLVRHHGKFVRALVTMAKNEFAGVPKPTEANQL -AVWRFLYRQCDKRGVNPTDTQKSISAALPFVFLPSAYDQDQAITMNCDDAKEMLQRYADTFRYTTPLQKL -VHNPLMGKHWVAWARSIFISDPETGLRFAKXGCIEKWQGVQCRRTRVRHPRLRCHFKDRDPKIRHIYRIA -GLGDQYEFGLHNNSAANLERGLAERVFMVKNYHTDYTPSLDPAYIPAPEPIKGIFKRLNKYKKEIVKRVG -RKSPISDEKFLSYYEGPQLTTYSKAVISLSERPVEVRDSHLKTFIKAEKLNLTLKPDPCPRVIQPRHPRY -NVELGKYLKHIEHPIYKAIDKLWGGKTIFKGMNVEAMGAEIHKKMCKFSQPCAIGFDASRFDQHVSVEAL -KFEHSIYKSIHGHPELLALLLKWQIHNTGTAFCNDGFFKYSVEGKRMSGDMNTSLGNCILASLIAKDMVD -SLGIEAYLINNGDDNVLVCSVDDEAVVAKALYSHWMKYGFEVVAEKPVYITEQVEFCQMKPVFDGTQYVM -MRNPTVTMSKDACSITPFYTPKTARKWCRAVGEAGLSLTGGMPIKQAYYQCMIRNGVEKGNIHKSKEFRY -GLSYVLHSGNSSRKARSISAATRYSFYLAFGYTPDEQEALERYYDSLDLEWSESRLGIPARTPECLLLRQ -LPQIPFQPPSPNKTRRRTDKLPGQPDSQWLPALVAPR ->AFN69365.1 P111 [Maize chlorotic mottle virus] -MATLPSIHAFWKLWWPTFSEERKMTVVQALRNGLTRKLFQITRIAQQILRWPPYIRERVTSSLGRSLVKT -FQTLLGIRKVLSKLCLTRNMNVDIFTMLLIPFRFLSQLCSQIVASARHVIQTFKHGLSRGLCLARCRNPE -TMRSGWSKHTLQMQNCSSTSPLMTPLQPQTARDLSNPHGLWMSTRNKLTQTGGSVNQQTSRYVRLAVRFS -LLAAGAYFSCVLARKALKEYVYRWELKSDQELPTREQSQISQVEQAMEQNGAMMVMKLNGPTAPILSLQD -CLTKHVLVPEIVDEEGTVTQKEQSTFLIREFGPAVRNLVTLAKLEFGGIPKKTTANELTVWRFLVRKCEQ -ANMNPTDSRTAISMALPYVFMPCRTDVGRASIPLRDESIEICRQYRAQFVEETPLRRVFNNPLSGKAWRN -WVRHLGGLDDPALFQELKXGCLEEWLGVQSRRTRARHPKLRSHFKDTRHKTRRVFRIAGLGNLYEFGVHN -NSAVNLERGLMERVFYVKNDKGELVSCPEPISGIFWKNLKGFRNSIVHHVGHRHPVSRETFLAYYTGPKR -TMYEKAVNSLYEMPVSYDDAKLKTFVKAEKINLTKKADPVPRVIQPRAPRYNVELGRYLRPVEHPIYHAI -DKIWGGPTIMKGYSVEQIGRHIENAFRSFTDPVAIGFDASRFDQHVSVEALRWEHSVYTRIYGYPELLTQ -LLRWQIHNRGTAYASDGAFNYQVDGKRMSGDMNTSLGNCILATAITHDFVTKLGIPARLINNGDDNVLIC -PAVEVGRVRQELYRHWLNYGFEVISEEPVYILEQVEFCQMRPVFDGTQYTMMRDPRTTMSKDAYAVTPFN -TPTAARRWMRAVGECGLSLTGGLPVKQEYYAALVKHGLDPKNIKQGKDFDSGLYYLSKLSNRKWQEVQES -ARYSFWLAFGYTPDEQRALEEYFRSWTPTFEWSTTGILAEIPECLLLKHNPLPPT ->APG76214.1 hypothetical protein 1 [Beihai tombus-like virus 4] -MGVPTNENMSDMENNDALICTGDATTGMSRGEQAQGSDSTSRVNARGVWRSRWVRTGNLIKAKLGFLRRN -YNAERTEPDETSGSSPEPLQQAEDNQMLITHPNRMRKGEIDHDYVDSRPEWLVKTSEGMASLAVVAKNYT -VRDRAFMITYYAGSAVGVVGLMSGVGAVAYVPLAAGVATTWAVKAIREKSRKPEGVDVALTILNGDLELG -GPIPVAPCVEGINEAGTDQSSAGSAGSGDPFESGSGCEVIEVKREKQFFKRKPKHRGRIPIAAGEVAALL -KIKHPALEDTRENRLLIRADAARKAEAYRREGFEGWENFRNIDLLNVVMHASEMYWILTADEEYVGELYS -HHCMRGLRRRRNELLAAQTPRXGCLAEHTGISTKSKVPPAQVLTDMEKGERIRIRKARQVGRSKKARTYY -RVNGGNGPDWDIPNNDIETVTHAVLERVFFVKDGKGGFQRAPKPWSHSSINAQENPVAQARSYVQDKLSQ -FSREMERCSKIHGVVSPCTNQEFVECYGGAKRKIYEQAVESLESNPLQARDKRVKVFTKDEYLKPDGAPR -AIQPRSPRFNVCLGRYVKQLEHLIFEAINEIFDGSGEHKTVAKGMNMNERGEEIRGMWEKYVNPVAVGLD -ASRFDQHINTLLLEHEHKIYRMWSTGQGDDLPNLNTLLSAQLKNKGVYVGIDGILKYKVNGCRMSGDMNT -SLGNVIIMCSLMYSYFKDKNMLGKISLLNDGDDCVIIMEQKRLKEFTRGLKDWFLRMGITMEFDGVYNTL -EEVEFCQARPVFNEELGYVLTPRPSKRLYSDVISTKMMKSKKVYRKQLGAIAGCGLAMSGGVPIFQQFYT -WMGRGATPWVPKMGDHYYKFRQELIDGMTYKQREPTMRERISFYFAHGITPAEQKLIENYYQCLPDPLWS -KPVIDPERYIDSVQYLVEPEQKFKRLS ->AHZ59467.1 RNA-dependent RNA-polymerase [Pelargonium line pattern virus] -MARILGEALQLGVFAVKASCWVSKELALLGPNLAWIAARPLRNDANAILERIGVHGLAKIPCNFDPDELT -IANDCIVKSDRNLEDESAVVEEIDEGEEKKKSKKVTRRRVKTKPTFAAVLAADAKNYYGCLPSATRANEL -SVMKYLVSKCQEHKLTITQTREVSAMAFALTFTPDENDKLIYKYLNSTEVFERRVDYAKARGVDKCWFEL -LKRPWHARAWRRVVGRIFGMPEQQAFEFVKXGCLMDTCGVDTKVCRGEHHWVKEFRGAANPKPRRLYKIS -GVSPEVRWGVHNNSFVNLRRGLMERVFYVERGGELLPCPSPEAGLFKRLFNKVGRRVIRFCGHHSPIPRA -SYPGMFQGRKRTIYENAVRSLVDRPYNIRDSYLKTFVKFEKLDFSKKPDPAPRVIQPRHPRYNVELGRYL -KPFEHFCYKALDKLWGGPTVMKGYTVEEMGGIIKDSWLQFQKPVAIGFDMSRFDQHVSVDALKFEHSIYK -ACFSKDGNLATLLGHQISNRGSAYANDGYLRYRVEGKRMSGDVNTGLGNCLLACTITKFLMEEIGVRSRL -VNNGDDCVLICEAGDCRAVEDSLTVGWRRFGFTCIAEKPVYELEKIEFCQMSPIQISDSRVKLVRKPQKS -ISKDAHSTTPLTTIQLAQEWTRAIGEGGLSLTSGIPVVQEFYQCLIRNGKKTTRETKKRAFYGDYYWKWV -NQHHGKYEPVTEAARHSFHLAFGVSPDQQLALEAIYSRKSLLWESSPFTFEVGAIEWIFNQKIPI ->ACN59473.1 P82 [Soybean yellow mottle mosaic virus] -MMIQFGTMPPVRIDGPPRAFSMGMALRAVGKFLVNCCSAFGDNWADSITRNRTQHVCALQYFGDLPIECI -VKSTGDFAREPANVTREVGNEKRVVNRHAKGYFLSEMVASCRLHFNGIPRSTEANLLGVRRYLATYCQEH -RLTNQQTLKLVDVATPLVMTANHQDVVSMKLMNDPLLNLYRTLHLEAQVVHTPLRQLVARPFSGTAWSQW -IDSVRGYRDPRGFRLAQXGCLRRVPGFNTKISRGDHPDMQITPRNGCHKVRYMGQVLGMSNGKHFGVHNS -SLTNLRRGLIERVFYVEGPKGLQPPPKPEPGIFQESLHGFKRKLMHVVGTHSRIGHDEFVSLYHGRRRTI -YQQAVDSLSVRSVERKDAYLSTFIKAEKIPLWKKPDPAPRVIQPRNPRYNVEVGCYLRKFEHHLYRGIDS -IMGGPTVIKGYDVDQIGGIMEEAWNKFSDPVAIGFDMSRFDQHVSEDALRFEHSVYLQHFNQDPNLARLL -SWQIDNKGYANLAEGKVRYKRRGCRMSGDMNTALGNCLLACAITWKLMSGLKFRLLNNGDDCVVITDKSN -ERAVCERLKHFTRYGFTCIAEKPVYELEHLEFCNLQPLNLGSHYTAVRKPTVSLSKDTFCVSGWNNEIDA -ANWLNAIGQCGLALSAGVPVLQSYYSALTRNFPNGKWLSKNCGKLDSGFYRLALHTMGRKPVQVSEVARF -SFYVMTGVTPDAQVAVEKLYDNLIINRSFEPEGNAKPELDNLSSLIXWTNLPKGDAAEAGAGKHKGQRDL -SQRISRFKLPTMPSTRHEVNHLAVITGVILLLWHIRSQSILILIFDVIAPRVTNITSAILLAIFYCYSNS -TASIPYISQPVTHSSTSKIVYIAVGTNPVSVSKHE ->ABC67516.1 p89 [Melon necrotic spot virus] -MDTGLKFLVSGGLATSSVIRKVSAVSSLDSSLPSSSILSAIHGSWTSAISHDCSKIAKVAAVVGIGYLGV -RIGAAWCRRTPGITNSIITYGEEVVEQVKVDIDEDAEEESDIGEEIVVGTIGIGIHANVNPEGRAKRRHR -SRPFIKKIVNLTKNHFGGCPDSSKSNVMAVSKFVYEQCKQHNCLPHQTRLIMSIAVPLVLSPDMYDISSK -ALLNSEILTENRATLDRLKTLDGWLTHLVCHPLSAKAWRRAIDNLCGLPDWKAFKLVNXGCLEELAGFCT -SVRRGTHPDMTEFPQDRPIKTRKLYCLGGVGTSVKFNVHNSSLANLRRGLIERVFFVENDKKELEPAPKP -LSGAFDRLTWFRRKLHNIVGTHSSISPGQFLDFYTGRRRTIYEGAVKSLEGLSVQRRDAYLKTFVKAEKI -NTTKKPDPAPRVIQPRNVRYNVEVGRYLRRFEHYLYRGIDEIWNGPTIIKGYTVEQIGKIARDAWDSFVS -PVAIGFDMKRFDQHVSSDALKWEHSVYLDAFCHDSHLAELLEWQLVNKGVGYASDGMIKYKVDGCRMSGD -MNTAMGNCLIACAITHDFFRSRGIRARLMNNGDDCVVICEKECAAVVKADMVRHWRQFGFQCELECDAEI -FEQLEFCQMRPVYDGEKYVMVRNPLVSLSKDSYSVGPWNGINHARKWVNAVGLCGLSLTGGIPVVQSYYN -MMIRNTQSINSSGILRDVSFASGFRELARLGNRKSGAISEDARFSFYLAFGITPDLQRAMESDYDAHTIE -WGFVPQGNPRIQPISWTLNEL ->AKP55350.1 p83 protein [Soybean yellow mottle mosaic virus] -MLIQFGTMPPVRVEGPPRAFSMRMALRSLGSFLANCCANFGDAWADSVTRNRTQHVQALEYFGDFPIKKM -VTCTGGMELEPNNATREVAHEKRVVNRHAKGYFLSEMVASCRLHFNGIPRSTEANLLGVRRFLANYCQEH -RLTNQQTLRLVNAAAPLVMTANQDDVASMKLMNDPLLNYYRALYLEAQVVHTPLRQLVARPLSKECWQQW -FDSVRGFRDPSGFRLVQXGCLRRVPGFNTKISRGDHPDMQITPRNGSHRVRYMGQVLGLSNGKLFGVHNS -SLTNLRRGLIERVFYVERDGKLQPPPAPTPGIFESLLGFKRKLKKVVGPHSRIGYDEFVSLYHGRRKTIY -QQAVDSLMSRQVERKDAYLSTFIKAEKIPLWKKPDPAPRVIQPRNPRYNVEVGRYLRKFEHHLYRGIDNI -MGGPTVIKGYDVDQIGSIISTAWEQYKEPVAIGFDMSRFDQHVSEEALRFEHSVYLQHFGNCPQLARLLE -WQIDNRGYANLPEGRVKYRRRGCRMSGDMNTALGNCLLACAITWKLMEGIKFRLLNNGDDCVVITEKSNE -AAVCERLKRFTDYGFTCIAETPVYELEHLEFCNLQPLFLGDRWTTIRKPSVSISKDTYCVSGWNNDRDAS -NWLNAIGQCGLALSSGVPVLQSYYNALIRNFPDGSWLDKHCGKLDSGFYRLALHTMGRLPVRISEIGRFS -FYIMTGVTPDAQVALEQYYDRSIINRLFEPEGNAKPELDNTSSLI ->CAH25508.1 polymerase associated protein GP1 [Carnation mottle virus] -MGLPSLLVEGVIGCTLVGGLVAVGSAALAVRATIGVVEFNRECVRGVRRIVSSGGKCLIVQSPYGNPNQG -LIRGEDEEIDNVEESTPEELTQLIEVKAEVDGKEVVVSKKRVVNRHLRQRFIRSIAIEAKNHFGGDISPS -KANYLSVSKFLTGKCKERHVVPAHTRDCVSAAMVLVFTPDVHEIRMMAGLASDAAYGRKIAMASILNRKG -WCWRLMVNPLDRARWWEAWCVVNGFDSNKPVTFPKXGGLFYLNGVETKIRRGGHPSVIEVDGQCPPRERK -LYVQNAITTGYEYRVHNHSYANLRRGLLERVFYVERNKEQVSCPQPEPGSFNVTGNLRRRFHRVCGNHSR -NSANDLVDCYQGRKRTIYDENAAASLLDRAIERKDGDLKTFIKAEKFNVNLKSDPAPRVIQPRSPRYNVE -LGRYLKKYEHHAYKALDKIWGGPTVMKGYTTEEVAQHIWSAWNQFQTPVAIGFDMSRFDQHVSVAALEFE -HSCYLACFEGDAHLANLLKMQLVNHGVGFASNGMLRYTKEGCRMSGDMNTALGNCLLACLITKHLMKIRS -RLINNGDDCVLICERTDIDYVVSNLTTGWSRFGFNCIAEEPVYEMEKIRFCQMAPVFDGAGWLMVRDPLV -SMSKDSHSLVHWNNEKNAKQWLKSVGMCGLRIAGGVPVVQEFYQKYVETAGNVKENKNITEKSSSGFFMM -ADRAKRGYSAVSEVCRFSFYQAFGITPDQQIALEGEIRSLTINTNVGPQCEAADSLWILNRKYQXLESKC -SLGIEENKRRVDRWPRMPSVNLHLIVLTGVFGLMLLIRLRCTFTSTFSLPPLVTLNQIIALSFCGLLLNS -ISRAERACYYNYSVDSSKQQHISISTPNGK ->YP_459959.1 p88 [Angelonia flower break virus] -MGFFRDVLKCGAQNAIGCTLITGAVAVGIAALEVRAAIGAYEFTNYALHTSIDYIRTRGESLQPVPQYPS -DNTSEAFGVDLVSEESNLSDHLDTIPEETDGSKVVRPARAIIRRHARGKFSYCLAMAAKNAFGGTPTNTK -ANQMSVMRFMVGKCGEHHLTDASARVACAEAMSVCFTPDISEINMFKGLNSHAAYLRTAALREAKRVDCW -WYNLLLHPLAANAWQRAWMVLNRLGDLEAFEFIKYGRIFQLEGVDTKIRRGSHPLMVELEKPIPPKCRKL -FGITGLHTNIEYRLHNHSFNNLRRGLMERVYFVENKSTKTLSPCPEPEVGAFTALKKWRRRFSSIVGQHP -RISAEEFVDCYHGRKKTIYANAAASLQQKPLQRNDARLKTFTKAEKFNFTAKPDPAPRVIQPREPRYNVE -LGRYLKRFEHHAYRALDKIWGGPTVMKGYNVEDVAKNILAAWDQFRRPVAIGFDMSRFDQHVSVPALQFE -HSCYLSCFGNDPYLAQLLSWQIDNFGIGFANNGLIRYKKRGCRMSGDMNTALGNCLLACIITKEIVKDIK -ARLINNGDDCVLIVEQKDLDFVVSNLTTGWSRFGFTCIAEEPVFEPEKIRFCQMAPIFDGETWLMVRDPD -YSLSKDCYSLVHWNSKKNCQMWMKCVGEGGIALTGGVPVVQEFYKLYLRESEGVKVSQGLAEHCAAGIYG -MVNGSKRTYRPPTPEARFSFYKAFGMPPDYQEALEEFYRNEILDLEWVVPGKDLTTFKWTYKSKRLTTDL -GKRL diff --git a/seq/clusters_seq/cluster_100 b/seq/clusters_seq/cluster_100 deleted file mode 100644 index bd36ab3..0000000 --- a/seq/clusters_seq/cluster_100 +++ /dev/null @@ -1,200 +0,0 @@ ->YP_010087208.1 P4 [Pumpkin polerovirus] -MEHEEGGAIGALQGVSAWLWSKPLGQHSAEDDDEETADVLIEEAELEEDAKARHSYFQKTISWAVPQEVS -PSGRLYQRAQHSALEYSRPTMNIKSQWLSWSSSPRPLPPPQAPSLMSWTPIANLAPSNPRLINSESPRMD -HGVGQLSSSTGWNGTTQLKINSGSSTKEMDPPRLRAVSRSPSSARSRTQNR - ->YP_010084336.1 putative movement protein [Pepper vein yellows virus 2] -MEMVDHAAPAVVDAHDRFALSLWSHPLGAHGGEIEDDEVEAGTEIELEEGRATAKLSSSTRTQSRIVPQE -LSPSGRLYQRASRFQVEFSKPTMSIKSQWLIYASSANPLPQRKAPSLTSWTPTASLLVSNPPCASSPSPK -AGKRPFGLRRLMG - ->YP_010086859.1 movement protein [Faba bean polerovirus 1] -MEEDDHGGKHGALSALSQWLWSKPLGQHNADLDDDEEVITGQEELFLPEEQAQARHLFSRKTISLEVPAE -QSRSGRVYQTAQHSLMEYSRPTMSIKSRWSFWSSSPKPLPKTPVPSLTSWTHTVNSTPFPQLLTNSGSQS -PGGERLQRLTSTGQNGTTLPRTNSKSSTKAMVLHR - ->YP_009198214.1 movement protein [Phasey bean mild yellows virus] -MAWGDANGATDALRGVSEWLWSKPLGYHAAEDDNDETADALLEEAELEEDARAKHLCYQRTISRAVPLDQ -SPSGRLYQRSLNSVLEYSRPTMNIRSXXSXXSSSPRPLPPXQXPSLMSXTXXANTAPSNPRLINSESPRM -DPGVGAQSLLMGRNGTTHPRXXXESYTKETGPRRLRGRSGSPSSASSRTLNR - ->YP_004869994.1 movement protein [Brassica yellows virus] -MEEDDHAGKQGAFSEISQWLWSKPLGQHNADLDDDEEVTTGQEELFLPEEQVQARHLFSQKTISREVPAE -QSRSGRVYQTARHSLMEYSRPTMSIKSQWSFWSSSPKPLPKIPVPSLTSWTHTVNSIHSPQRLTNSGSQS -PGGKRLQRLSSTGSNGMTLPRTNSGSSTKAMVLHR - ->YP_009351869.1 P4 [African eggplant yellowing virus] -MSIIEGGDNVGQFDQFSKWLWQYPVGNHEGVEDDVTETGVEEFEEDLGAEGVATAKLSSLTRTLLRITPP -EQSSSGRIFQRASHCQAVYSKPTMSIRSQWSTYVSSVNPLPPQKAPSLMSWIPTVSSRASSPRLESSPSP -KAGRPRSGLRRLTV - ->YP_009506762.1 17K protein [Sweet potato leaf speckling virus] -MALVNAHEEGETRGALQEVSQWLWSQPLGTPGADDVEDEVVEQLDELHFPEDEAYAKLSYSQRTTSRAVP -QEVSPSGRLFQSASLSKVEYSRPSMSIRSQTSYFSSSARPLQRPAVLSLMNWTPIVKYHPSPPPSTSSQL -RKAVRGRSPRG - ->YP_009455745.1 P4 [Pepper vein yellows virus 5] -MEMVDHATPAVADAHDRFALSLWSHPLGAHGGEIEDDEMEAGTEEAEIELEDGRATARLSSSTRTQSRIV -PQELSPSGRLYQRASRFQVEFLKPTMNIRSQWSTYASSVNPLPQRRAPSLTSWTPTASLLVSNPPCASSP -SPKAGKRLLGLRRLTG - ->YP_009352891.1 ORF4 [Tobacco virus 2] -MSMVVYNNQECEEGNPFAGALTEFSQWLWSRPLGNPGAEDAEEEAIAAQEELEFPEDEAQARHSCLQRTT -SWATPKEVSPSGRVYQTVRHSRMEYSRPTMSIRSQASYFSSSARPLPPPPVPSLMSWTPIAKYHPSSPTS -TSSKLRRAAPKLIKRG - ->YP_009352257.1 movement protein [Cowpea polerovirus 2] -MEGELGVADAFKGVSAWLWSRPLGYHVAEEDNDETAELLQEEADLEEGRATHLCFHRTASKAVTPDISRS -GRLFQRSQSSVMEFSGPTMSIRSQWSSWSSSPRPLQPPRVQSLSNWIPIASTVPYNHRLTNSELSKGEIE -LGTPVKSTGLNGTMRPKINSGYFTRETEAPRLRGPSGLPSGANSRTPNR - ->YP_009352250.1 movement protein [Cowpea polerovirus 1] -MEDLTVAGIGGLHAATQWLWSKPLGQQVAEDDDEETVDALTEEAEIEGGLARHLCFLKTTSREVPVEQSR -SGRLYQTAQHSVLEYSRPTMSIKSQWSRWSSSPRPLPPPPGRSLTSLIPIASPRAYNPMSTNLASLEMDN -APGQVGLLTGLSGMTPRKISSGSFIKETVPAQSRDRSGSPLSVKLKTRNR - ->YP_009315900.1 movement protein [White clover mottle virus] -MEEGEGTGALFGGLNAATQWLWSNPLGSHNAEDDEEETVDALLEEAQLEDEGLARHSYFQKTTSRAVTQD -VSRSGRLYQTAQLSALEFSRPTMNIKSQWLSWSSSPRPLPPPQGRSLTSLIPIAKPLSLGPTSINLESPR -VDKGPSQLGLLTASSGTPQMRTNSGYYTKETETPQLRGRSASPSSAKLRMRNR - ->YP_009254743.1 P4 [Pepo aphid-borne yellows virus] -MEHGEGGALDALQGVSAWLWSKPLGQHSAEDEDEETADVLIEEAELEEDAKARHSYFQKTISRAVPQEVS -PSGRLYQRAQHSALEYSRPTMNIKSQWLSWSSSPRPLPPPQAPSLMSWTPIANLAPSNPRLINSELPRTD -HGVGQLSSLTGWNGTTQLKINSGSSTKGMDPPRLRAASRSPSSARSRTRNR - ->YP_009249571.1 P4 [Maize yellow dwarf virus-RMV2] -MDAELGTADALAIITGPSQWLLSRQIRVEDALEDEDDQVETLQEDLESDEARGRLLYFQRTLSRAMPPEK -SPSGRLYQSVQHSVAEFSRPTMSIRSRSYWSSSPRPLPPPKVPSLMNLIHTTSSHPSPPPLTNSQSSKVV -GGSLRPIKSEVEFGATHQKINAPSTTKVTESPQSRVPSGSRLRSMFKIRDR - ->YP_009246455.1 P4 [Barley virus G] -MDVEQGAAAAFALQVAPNQWLWSRQVSVDAAPEDEDDELETLQEDLESEEGRGKHLYFRRTLSRAMPPGS -SPSGRLYQSAQHSVVEFSRPTMSIRSQRSLWSSSPRPLPNLKAPSLMSLIHTTSSQPSLPPSTNFRSSKV -VREPLRPIKSEVEFGETQQKINSPSSTRVMENPRSRVRSALRWMF - ->YP_009238505.1 putative movement protein P4 [Ixeridium yellow mottle virus 1] -MWGGEGEEERTQSLDAVTAWLWSKPLGSHSAELDEDECADVLQEEAELECGARARHLYSQRTVSREVPPD -QSRSGRLYQRVQRSALEFSGPTMSIKSQWSNWSSSPKPLPPPQAPSLTSWTPTASLVRYSPPSINSESPR -TVPRRGRLGSSTGRSGTTQQRTNSGSFTKATGPLRLRDHSESP - ->YP_009111318.1 P4 [Sauropus yellowing virus] -MEKDVLTTLHDNNGRFNLSLLSRQMGNHEDGIEDDVIDYGIEEIEGELPEGLCKARLLSLTRTTSKAVPM -EQSSSGRIYQKASHCQQECLKPTMSIKSSWSTYASSVNPLPQRKGQSLMNWTPTANLMRLSPLSENSPSP -KVGKQRSVLRRLMGKNGTTRQ - ->YP_009100307.1 P4 [Strawberry polerovirus 1] -MPEDATPQGWLDQLSQSMWSKDPLTREQDDVDDEIETEDFEHRDMVSEESMKLSSSLRRTSRETPLDISP -SGRLYQSIQHSQMVISRPTSTTGLRVSTWNISQNPLIKHQEPLSLRWTRASQQLRLLPQSIDFPSRRTGG -TPGQRHISMGSSQGKPHQISADSSTRRQPRLQNRPECSTSPTMYT - ->YP_008083743.1 P4 [Maize yellow dwarf virus RMV] -MDDVEHGYADAYALLAPPNQWLWSRKPSVDAASEDEDDQVETLQEDLEGEEARGRLSYFRRILSRAVPPE -SSPSGRLFLSAQHSLVEFSRPTMSIRSQKSYWSSSPRPLTPQPVPSLMSLIPTTSSAPSHQQSTNSRSSR -VASVPIRPNKSEVEYGEIRPKTNLQYSTRAVETPQLPARSASRWRFIPKIRNR - ->YP_006666510.1 P4 [Suakwa aphid-borne yellows virus] -MAWEEGEGIAGAMQKATAWLWSKPLGLHSAEDDDDETVDALLEEAELEEQAKAKHSYSAKTISRAVPLEQ -SRSGRLYQTAQHSALEYSRPTMSIKSQWLSWSSSPRPLPRPPVPSLMSWTPIANLAPSNPRLINSVSQRV -DHEHGALSSLTGWNGTTPQRISSESFTKETEVPQRRALLESPSGVKSRTRNR - ->YP_004207920.1 putative P4 protein [Pepper vein yellows virus] -MEMVDHVTPAVVDAHDRFALSLWSHPLGAHGEEIEDDEMEAGTEEAEIELEEGRATAKLSSSTRTQSRIV -PQDLSPSGRLYQRASRFQVEFSKPTMNIRSQWSTYASSVNLLPQRRAPSLTSWTPTASLLVSNPPCVSSP -SPKAGKRLFGLRRLTG - ->YP_003915152.1 P4 protein [Cotton leafroll dwarf virus] -MEEDDHVGATGVGKISQWLWSKPLGTHNAEDDEDEEVVIGQEDAFLEDQELQARHLFSQKTVSREVPQDQ -SRSGRLYQIARHSAMEYSRPTMNIRSQWSYWSSSPRPLQHPPVPSLTKWIHTVNCQPYPPRLTNSESPRM -GGSNLRRLSSMDRNGMTPPRTNSESYTKAMVPRR - ->YP_003029842.1 cell-to-cell movement protein [Wheat yellow dwarf virus-GPV] -MAMVRVDDESLGGGLLQERSQWLWSLPTASPGEEDADDQLVVGEEEFQDQQEEAMARHSFSQRIHSRATP -LEVSPSGRLYQSIRHSKMEYSRPTMNIRSQIVSYSSSPRPLQPQPAPSLMSWAPIASHLHSRQRSISSQS -PKLVRGASQRR - ->YP_001949740.1 movement protein P4 [Rose spring dwarf-associated virus] -MAKLNKHKDDPGSLTRLAQWLFKSNRPETDEGPDEEEVDARVDVVEAEWPHHEATGKITSSQSTTLRRPT -PGSLNLVPVYHSVLHSRVEFSSPSMNLRSQTSTSSTSPMRPQLLPVRSQLKSILPVPKQLLRATSRQSLL -QNVDNSPGPPGRFVELDGCQHRIPTKRQLTRTTNSFSSMQEMDPAR - ->YP_001949874.1 movement protein [Melon aphid-borne yellows virus] -MAWEGGDGTVDALQRVTAWLWSKPLANHNAEDDDDEIQDVLLEEAELEDAQVKHLYSAKTISRAVPPEQS -LSGRLYQRAQHSALEYSRPTMSIKSQWSSWSSSPRPLPPPQVPSLMSWTPTASLTPSNPRLINSESRRVD -LEHGARSSSTGWNGTTPRKINSASYTKETGALQRRDRSGSPSSARSRTRNR - ->YP_001931934.1 putative MP protein [Tobacco vein distorting virus] -MEMVDHESLALADAHDRFGRSLWSHPLGEHGEELEEDEMEAGTEEAVMELEEGQATARLSSSTRTQSRIV -PQAQSLSGRLYQRASRFQVEFSKPTMNIRSQWSTYASSVNPLPQRRAPSLTSWTPTASFLXSNQPSVNSP -SPKAGKQRSGLRKLMG - ->YP_667842.1 movement protein [Chickpea chlorotic stunt virus] -MAEGGEIGVLFSGLGAATQWLWSKPLGSHSAEDDEEETVDALQEEAQLEDEGLARHLCFRKTTSRVVPQE -VSRSGRVYQTAQLSALEYSGPTMNIKSQWSSWSSSPRPLPPPQGRSLTSLIPTANPLRLGPTSINLESPR -MDKGLLQRGLLTESNGTPQTRTSSGYFIKEMEAPPSRGHSGSPSSAKLRIRNR - ->NP_840100.1 putative movement protein [Beet western yellows virus] -MEEDDHAGKHDALSALSQWLWSKPLGQHNADLDDDEEVITGQEELFLPEEQAQARHLFSRKTISREVPVE -QSRSGRVYQTAQHSLMEYSRPTMSIKSRWSFWSSSPKPLPKTPVPSLTSWTHTVGSTPFPQPSISSGSQS -PGGGRLQRLTSTGRIGTTLPRTNSGSSTKAMVLHR - ->NP_840024.1 putative movement protein P4 [Cereal yellow dwarf virus RPV] -MAMVRADADRESLGEGLLQERSQWLWSLPTAQPGAEDADDQLVLGEEELQDLEEEAVARHSFSQRIHSRA -TPLEVSPSGRLYQSIRHSRMEYSRPTMNIRSQIVSYSSSARPLPQQPAPSLTSWTPIAKHLHSHQQSISS -QSPKLVRGASQRR - ->NP_620489.1 genome-linked protein [Turnip yellows virus] -MEEDDHAGKHDALSALSQWLWSKPLGQHNADLDDDEEVTTGQEELFLPEEQVRARHLFSQKTISREVPAE -QSRSGRVYQTARHSLMECSRPTMSIKSQWSFWSSSPKPLPKIPVPSLTSWTHTVNSTPFPQLSTSSGSQS -PGKGRLQRLTSTERNGTTLPRTNSGSSTKAMVLHR - ->NP_620105.1 hypothetical protein P5 [Cucurbit aphid-borne yellows virus] -MQGGEGEEISALRGATAWLWSTPLGDHRAEDDNEETADALIEEAELEEEAQAKHLYFQRTISRAVPQEVS -PSGRLFQRAQHSALEYSRPTMNIRSSWSSWSSSPRPLPPPRVPSLMSWTPTASLAPSNPRLINLESPRVD -CDVGPLSRSTGWNGMMQPKTSSRSSIKGMDLPRLRAASESPSSARSRTRNR - ->NP_612217.1 hypothetical protein P5 [Beet mild yellowing virus] -MEEDDHVGKHDALSALSQWLWSKPLGQHNADLDDDEEATTGQEELFLPEEQVRARHSFSQKTISREVPAE -QSRSGRVYQTARHSLMECSRPTMSIKSQWSFWSSSPRPLPKIPVPSLTSWTHTVNSVPFHQPLTSSGSQN -PAGGHLQRLTSTGRTGMTLPRTNSGSSTKAMVLHR - ->NP_563613.1 movement protein P4 [Bean leafroll virus] -MDLPEDQARFTNSYSLRTTSMETPREVSRSGRLYQSASRSQMAYSRPTMSIISRTSSWRTSPRPLPPPQV -PSLMNSILTSRTQQSSPKLTNSASPSLRRKSSLGRLSMDRHGTTLQRTNSGFSMKETETPRLLDRSESLS -RY - ->NP_150433.1 movement protein [Soybean dwarf virus] -MSQYNDDALVEQHDALHGFSSWLFRRSPADHNAEDDNDDEGEIIEEEALFPEDQARLTHSCFQRTASMVV -PREVSLSGRLYQNASHSLMEYSRPTMNIRSRVSYYSSSPRPLPPRQAPSLMSLTHTASTPKFNRYSTNSV -SQRAVRNVSQPELSMASNGMIPVKINSRSTIRGTESPRSQAPSRSRSMS - ->NP_114363.1 putative protein P4 [Beet chlorosis virus] -MEEDDHEGKHDALSAISQWLWSKPLGEHNADLDDDEEVITGQEELFLPEEQVKARHLFSRKTISREVPAE -QSRSGRVYQTAQHSLMECSRPTMSTKSRWSFWSSSPKPLPKVPVPSLTSWTHTVNSTPFPQLSISSGSRS -PGGGRLQRLTSTGRNGMTLPRTNSGSSTKAMVLHR - ->NP_054689.1 putative movement protein P4 [Cereal yellow dwarf virus RPS] -MAMVRADADRESLGEGLLSERSQWLWSLPTAQPGAEDAEDQLVLGEEELQDSEEGAVARHLFSQRTHSRA -TPLEVSPSGRLYQTIRHSRMEYSRPTMSIRSQVVSYSSSARPLPQQPVPSLMNWTPIAKSLRSHQPTISS -QSPKLVRGASQRR - ->sp|P11625.1|MVP_PLRVW RecName: Full=Movement protein; Short=MP; AltName: Full=17 kDa protein -MSMAVYNNQEGEEGNPFAGALTEFSQWLWSRPLGNPGAEDAEEEAIAAQEELEFPEDEAQARHSCLQRTT -SWATPKEVSPSGRVYQTVRHSRMEYSRPTMSIRSQASYFSSSARPLPPPPVPSLMSWTPIAKYHPSSPTS -TSSKFLRAAPKLIKRG - ->sp|P10471.1|MVP_PLRV RecName: Full=Movement protein; Short=MP; AltName: Full=17 kDa protein; AltName: Full=MP17 -MSMVVYNNQGGEEGNPFAGALTEFSQWLWSRPLGNPGAEDVEEEAIAAQEELEFPEDEAQARHSCLQRTT -SWATPKEVSPSGRVYQTVRLSRMEYSRPTMSIRSQASYFSSSARPLPPPPAPSLMSWTPIAKYHPSSPTS -TSSKLRRAAPKLIKRG - ->sp|P09512.1|MVP_BWYVG RecName: Full=Movement protein; Short=MP; AltName: Full=20 kDa protein -MEEDDHGGKHDALSALSQWLWSKPLGQHNADLDDDEEVTTGQEELFLPEEQAQARHLFSRKTISREVPAD -ESRSGRVYQTAQHSLMEYSRPTMSIKSRWSFWSSSPKPLPKTPVPSLTSWTHTVNSTPFPQLSTSSGSQS -PGKRRLQRLTSMERNGTTLPRTNSGSSTKAMVLHR - ->sp|P19127.1|MVP_BLRV RecName: Full=Movement protein; Short=MP; AltName: Full=16 kDa protein -MDLPEDQARFTNSYSLRTTSMETPREVSRSGRLYQSASRSQMAYSRPTMSIISRTSSWRTSPRPLPPPQV -PSLMNSILTSRTQQSSPKLTNSASPNLRRKSSLGRLSMDRHGTTLQRTNSGFSTKETEMPRLLDRSESLS -RY - diff --git a/seq/clusters_seq/cluster_1000 b/seq/clusters_seq/cluster_1000 deleted file mode 100644 index cc46895..0000000 --- a/seq/clusters_seq/cluster_1000 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009480672.1 ORF2 [Bat Middle East Hepe-Astrovirus] -MPRGSQPKGKTQTPKPRAQQQRKSTSTKPVKTKDAIQDARIKKLERQSQGPEVKESWSTTVNLGTVLGTD -TDGFTRVAHVFLHPALLRDVDASDATNPTAIRASQYAMYRVADVELTMHSLVGSSGVAGSIGVAALXLDP -SSGAAVSFDAVAARQHATVSIGRNGRFKPRLPSGQDSWFFTDTKAHTGAESLGQALEMFLLGATNNIYTN -QPYTGSLWRLTLRVRYQFSNYKPDLQLAALAAGQETHTAKVATNEAGDITITTAEPLLGARFHTSTPGIT -DVVFSLVDVAGGLASQIPILGPLLDTGLAFLKPLFGKARDGTHEYLVCSSFDEAKAGDAITVPDAINTTV -TGDFRMQQLTPANLGSTSQTPTPTPPTGAVQLPTATVRMATWPTGNFAITIPAWTRKVERVGPAGDYRIT -LTYPVTGSTTVIPSGNSADLTVRSEWPLWGYGDQAIFKWELCANGTTSGANSALIHVATHQEGSMGLLGT -AAALADEPGVLPDVPQSDNRLEFGWATVASTKGLSSHVRSGDKVIPVHFNNWFTPPNPTKRQATYGWLFC -RPSEKRVFVIGAYCLPSGITTPGDGVAHFYTLMDTRGFTTYGTRNSEQLASWLSSQEL - ->YP_009408590.1 structural polyprotein [Bastrovirus/VietNam/Bat/16715_78] -MKKDKPAYMPRASQGNKASQAQAPKPKPKKTQTKPNRRPQNKDAAQDKRIARLEAKVSGPEVKETWTTTV -NLGTIHGVAVDGFTRVSHTFLHPALLRDVDASAATNPTAVRAAQYAMYRVHSAELKLHSLVGSSGVAGAI -CVCALQLDSSTGLPTSFDSVAARQHRTVSIGQQGRFKPKLPVGDASWMYTDTKAHTGAEALGSSLEVFLL -GRTTNIYTNQDYTSPLWRITLSVTYQFANYRPDLQLAELAAAREEHTLKVSTDEKGDVVVETGVPMLGAR -FHTAAPGIADVVLSLVDVAGGLASQIPIIGPLLDTGLAFLKPILSGKGKAGETHKYLLCGSFDEAKAADA -ITVPDKLDHTYTGDFRIQQLTPANLGATSSVGPSPEPAPRVPVLPSSQIHMDNLPTQHFGFTIPAWTTTV -SRVTEANPGQFTLHLRYPVCGSNDDVIAPNKPRVHKTWQLAGFSSEHVWQWTLCSGGTTNGANAIISHVA -GTTTLGSAGLLGSAAALAADPGVLPTLNDPNPTAARVGWAVVDRTEPATDRIQSGDLVMPVHFNNWFSSN -ANQRKASIGWMFCRPSTQTIFVIGAYNVPSGMSSPGDGVAHFYTVTSTAGFTTTSARNINPIAEWLCLEE -L - ->YP_009333314.1 structural polyprotein [Bastrovirus/VietNam/Rat/16715_10] -MPKTAQNPAKPQAKKGNPTTKPKNKPNKPKDPAVPKLNKRLTALERKDDGPKVADQFTCTVNLGVVNAAE -VDDFTRAMQVFLSPLLLKDVNEASARTTPLSTRASQYSMYRISHLRIDVLPLVGASGVGGTTGLLSLQLD -SSQGAAVNYDAVATRPHVVLLPGQRTSFKPRAPVGTGFKGGWYYTNTAANDGAACLGPSAEVFLLGRTTN -IYTNSPFTGPLFRLAAHATYQFANYTPNPTLATLQTDLQETRAEVSTNDRGEIVVSFDTPLLGGAFHTTR -PGIGDAIFAVLDTVGGLASQVPVLGPLLDTGLAFLKPIFKSNTGFAAAPTHDYLVCGSFDQAKVGNALTT -NKGLNGPVEVSLQCQQLTPMSDGSSLAPVGPEATITLPHRYFEMTPVSSAKFAITCPFFGGVTEEALPTE -GGVARVPSLRINQRIKCTNYANVNEHITTDYIGLRDFDYYLHNQADEQDILVKWHINPPIYQPTNSAVLQ -HKGDVADDYSGYLGRLQDLCAQADLLPSMVSSDGWTQGWLTVSSTPDQFKVPVGTRLVCVHYNIWQPTML -EAGIDPPRQQRFGWLMVEPNGSQYVLGFYDPHVKKNNAVNLQGVLHSFTITDSRDIAGWAGGKEIARAVQ -SAKCLPAPPPDTEPSTSSTASPRDSSATRQTSRWQPAW - ->YP_009333168.1 structural polyprotein [Bastrovirus/VietNam/Porcine/17489_85] -MAPSKSKPAPPKKPQVATQAKTQKDKQQDAQIQSQANSIKVLQREVKKIKTTGSLPVRERFSCRVNLGII -NGSGSDAFCRRAHIFLNPALVKDIDTSTETTPLSIKASQYTMYRISNIELRAHSLAGRGAISGTMVVLAL -QPDSSQGAAVSFDAVCTRKHVTGSVGDSITFKPKFTAGRDGWLYTNTSGGEANSTLGPSLEAFTFGKSTN -LYQNVDYTGPLWRLTLNVTYEFTAYTPNPSLGSLGANTETHNMKVKTEENGDVVIETQEPVLGVGFSTAQ -PGIVDAIFSLIESGAGALGNIPVVGPLLQTGLAFLKPIFTPGADSVGKVHRYKVCGTFADARLGNAITVS -TPISADFTGNFRAQQLTAAELGGFGASPASGIALPIATLTNQDITCLTPNVTLRPAKYMEIKQAAGRLKL -RFNQPVYCRSSAIPGGSNTDIVQAKVVYIPTAQDGVAFMLGESLRTGSTSSTVESIRCNVVYTDPTPMDD -SAFLLATGDSIQRTVAQTGHWPLPLTQTIEYQRGGQTVNGSVGFTVLDEITPGDRGAFGPIFDNMIRPVR -AAPFFGYHLMYVDYSTTPRCHAFYGALIVDAEGRHAVAGCYLNALSDDVNTTTIKTDNMNFAGRIIPSPQ -VWAAADSPIPRSSRDAEAAQAGGTAERGNSGQLYTSDGGSHSDWQLQFHDY - diff --git a/seq/clusters_seq/cluster_1001 b/seq/clusters_seq/cluster_1001 deleted file mode 100644 index b79a6c2..0000000 --- a/seq/clusters_seq/cluster_1001 +++ /dev/null @@ -1,12 +0,0 @@ ->NP_068344.2 p8-FS [Panicum mosaic virus] -VATGKCYCPEDPRVGPLLVLCLLLLLILFSRSWNVAPVVVPSYHTVYHHEKYQNIEIQK - ->YP_009333136.1 putative movement protein 2 [Bermuda grass latent virus] -MATGKCHCPDSLWTPGLLAICIVLALCLVARSTSEPPIISPPVFHTVYHCEKYQNIEVQK - ->YP_008219060.1 putative movement protein 2 [Thin paspalum asymptomatic virus] -MATGKCYCPEDPRVGPLLVLCLLLLLILFSRSWNVAPIVVPSYNTTYHHEKYQNIEIQK - ->sp|P89035.2|MP6_PMVK RecName: Full=Putative movement protein p6.6 -MATGKCYCPEDPRVGPLLVLCLLLLLILFSRSWNVAPVVVPSYHTVYHHEKYQNIEIQK - diff --git a/seq/clusters_seq/cluster_1002 b/seq/clusters_seq/cluster_1002 deleted file mode 100644 index 8454cbf..0000000 --- a/seq/clusters_seq/cluster_1002 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009345135.1 putative capsid protein [Wuhan cricket virus 2] -MDPRPYLGKPANQKNPRAKNLHVPRAPREAPAAMEDTPPAPSKQASKPKMPSTLPPPKHASNPPRKEDFV -EKSPLSDAIFQEDMAVREVNLTTRQNVSLSAVNELSRATYQQMLITDPNLAKQWTPEVHDYYVTAMTWLR -IVALKASSGQDLTPAEETLLSMSATHSFNLTEPIRLYLSGVGVAVTKNGQHLYPSFPPLPTEVVDGIPGL -YGPIGEATHNLYEEIPALGVSIGMIRASLNPVRPIPQWVPPILPVNTNANPNLLGFRPIRAPRPEAMSIT -DAAEINVDNFPNYPANTGFNMQLLKAVSSLIATTSTFKVTSTNFSNLAETGSTAQAVLLQPIDNDDPTIR -TINANLRPQSLNHDPLATFGQAVAFGYQLLKEPCGNNHSAWCCITPAAGQVIPQQWIDNRNDRRNIPAQF -QVRVFDSNSVSAGDYRRKIVEKLAKKS - ->YP_009342319.1 putative capsid protein [Wuhan Millipede virus 4] -MADAPNEAPKQPGVYHGKPENKKNPHALQGPHRGKPAPHAQKAPLPSGPPPPSQGNSKKPAKGDFKESDP -HADAIFSTSVCAREIAIDVRQQPSCSSFPELVSLVYQELAIDATSLPKQWTREAFEYYCTAHLWMRIVHL -KAKQRDHLTLREEELVTKSTGFPLNLPEPIRLYLSGLGNVVTKAGEHLKPTFPELPTFIAAGSPGYYGQG -PINQVSHNLYEEIPCLGTSITMIRSSLSQIHPLPQPICPVQPIGFTANENLCFWHHVHKPRSEATDILRS -AGITEINFPCSPTGTGFNFTLLKSISALIANTSTFKITSVDHSITPESGAIAQTVITRPTANNDQVARSV -TAEVEPTCLNQEANGAFGMAIAFGYQLFKEPFEGVNTVWCCVTPNDPDVPIPEDWVLNRNQRRNIPGEYF -LRKFAAVSQDAGDFRILTTKAMIKTKR - ->YP_009337888.1 Capsid [Hubei tetragnatha maxillosa virus 8] -MADNGEHNNEQVKVLTRDEIAKVNPNYRGKPENFKPNHKRGPQQQRRRTGPKGPEVPPPRHKDVPQTPTP -QRNDSIIAEAIFGVNVSVQPIEPLQNFQISNANYIPLVNETFDQYSADERMLNRTLCREEFVYYCILLLW -FRMLEIKAKQRGVVLISQEKDIRKAVADLTFNVPQPIQTYLSQIGNVTDKMGKETEIRLPTLPIAQAGGH -GGYHSATIDQESHNLFEEVPTLGVAADAVMALASPDENSVQQNRLHIPAGSRVNKNLLGFVGEVGPRRME -IRQRLHGQGITPTAFPEFVAHTRVNLKYALSISDIIQQFETFRNERVTLQNMTSAGGNTQIIITKPTDED -RNQNWTSTTVQATAAANESTAQMGAAYVFGFQLHKEPGDDANPTIAASRWSCLERDPDAEVEWDMPPAWI -ANRNARRDLPPGIGTERFRALSMNQGIITNQTVRRMIKTQR - ->YP_009329874.1 hypothetical protein [Hubei partiti-like virus 11] -MMSERSHLNLKMNTDKKTKSKGPKKTSKPKEEKKEPVDAPSVKVEVEKPKPAEVTKPAEPVKPTPAPQKS -KPKAQSQVASKLQNDPLWEDFGSDDFDVLMPERGDNRFAVTLEGYIPLIEQTYETLSHEDKTFGKSMSLS -MFSWYCCQHLYMRIITIRMSEGLATSDEKRYVAEVSSIPFVIPLTIEIYLKSIGNVMDAELNKLKISFPA -FPNAEGHFGVVNNNSHWKYESVPAPYVTSRRMIHDYAYTTGLENDRIWTLPLNLLPPNRDATRHRICGNP -TKNLLGWARSSMLTSEQTLILESCGIDGANFPTERPMFQFNRGLFVLLSSHLSAASRSMKMSGSAITSIE -GSFGQTLFVERDDRHPEEFDRNVQYCEMGNLCTVSAFQVDKRFSQGAAVCNFRSRKFEINNLRSYACFDF -GDYEHVPDGWNNTVNTIFDFGAQADWNRPKFTSAYGSKVALRQSWVKKSLVRDKT - diff --git a/seq/clusters_seq/cluster_1003 b/seq/clusters_seq/cluster_1003 deleted file mode 100644 index 0f9cf8d..0000000 --- a/seq/clusters_seq/cluster_1003 +++ /dev/null @@ -1,41 +0,0 @@ ->YP_009345112.1 hypothetical protein 1 [Wuhan insect virus 35] -MDYLRQLMQNFIGGEPDELPEEQAVERETQLDQIINTLDRLRRVQQLTHEIDDARTAPIPQGETAEERVL -RGVRAQAEVIEHRQVIDDKTTPPSVDDCYAFLKTRCEGKLVDGTYNAYCAALIRQYFATRKPVSNKMEYA -IMNSVMNMHLINIRPQYDYDLHDILRYNDCINYVQRKTIRIFNQIIEIPFTRITLEDLNIFRPAATSRPI -NFLWKLFLALSLIIIAYYAVHFITLPFTLLTTFTTTHNQPQQPSQPIITATDPSYYQTITHLISTWTTSS -TSSNDDYSHGPLSSIYHQWIAPASESFTRTLTLVYYKDAKPALESLLSLSWRKCQHLNTKLQDLYKEGTP -HLTYAMDAISNHWNAVSKATDTLAKELTTILAAKYTSSLANINTTLRETILPLTLTSLSKCYDYATDFIY -DVTNKAPNYLSSVKKQLETELLPAMEKSIQYAAQECPAMWTQALEIASSIITSSGMLLNDFISTVMRLST -ETISSYSQTLKSQQQNSQTYYGSTIWKQLLVIVLILYTKLNFAVADWFIIPTAIPQWPLTRID - ->YP_009337208.1 hypothetical protein 1 [Sanxia tombus-like virus 8] -MEAIRNIYRHVAGAGPPPETDDTNLMESLEQLLNANTTLNSTTIESYKKVLGTVRDLTTICASLPTAATN -TILDERLRAETLTQQIAGIQHGDRNEQTAEKIELERLKAKVKALEEQQILNDRARPPSIDEALAFLKIKC -LGKTVDETYMAYCGSILREYLLQRAKVSTRLEYSIINGTMDMHMANIRPQYDYDLHNIMRYNDCVNFVQR -STVRVFNRIYTIPFTDICLKSLNYLRPVGATENINHPYRPRFAYLRNILLIISILTAAYNISPLFLGRMK -HTTIPNAPQPPLQPMPTGINLSTWMNIGQNILTTSSHYASSSIDSAHGIMSSISTLWTAPASEDFISKLS -TRFEMDEKSRVASLLSLSLRKWDQISTKLQDLSKHAIQHSISLMDATLNHSSVLTNTSYNSAKALMTRLE -PKYTSFLENTNTTLKETIRLLTHTSPETCFEYAMNITEAAINRTTNFVDFAAKLSATRLEPVKVKITAWS -ERACQETLIPAMETALSTTTYSRNYLEYYGLKATRLLMETTLYSLRPVNWIVSNVNAYYENLIWKRRWER -AQTISITLSFAAVALFITLMAIRQWLSIQTVYRRYTVQPTSRGRTNNIPGIFR - ->YP_009336817.1 hypothetical protein 1 [Hubei diptera virus 15] -MNIREVYNYLFGEGSAQDQTEDELRKQIESLAKVLEQSHKIKELMAQQPQPGDTPTKTKEELNYVRAKAT -AETLEHLAVIDDKTLPPSVEDGLAFLKTRCEGKKVDHTYNAYCASIVRQYLQTRKKTSNKMEYAIMNSIM -NIHLINLRPQYDYDLHEIMKYNDCINYVQRKTFRLFNSIYEIPFTHINLEPLNITALSLWNALPKPLSKF -LQVLLLIITTYFVVSGIILPSIIHLTTSTTHSQPPPPLQPMPIVTDQSGWMSTTAHYLTTTACYAGSSVA -YSLGAMTSTLSRWIAPASASSTWIPSWIWKPEEPSLIESLHSQSSKRWEQISTKLHDLFKLATPPLTWLL -DVTSNHLNALSSSAIISAKELMTKLAPKWHASVESINTTLRETILPSMHTSLWKCYDYATDFIYGASTTT -ASYYDSVVKPYATELYHGMVNATPSMARACQVTLTRVLVTVLSITTSLGLFSLTCISVAMQLSMETITSF -LQTYQLMAVQQQPHSDVTTWIQSFFHQVVIFTQLAFVVLSYFTTRTVIQRWDLIPYDSRRSMVALT - ->YP_009333256.1 hypothetical protein 1 [Hubei tombus-like virus 30] -MANSRNNDPATPGLIHKMITYLFSKDEPETIEDDLESITNAAINLVSLQNSLGEIKAGATLSEVTRQEQI -LEKKNKIIELLEVNDQKNLEPSYEECRAYIENRVTGKLVDNTYLAFCAALARQYLSTRKQVTERSANRIV -QTVLQDHLEGIRPKWNYNIYNILKHNDNIGFAENMYLKFGRWVMHIPILSWSLPENAQRPGITGHPFRYL -LSILATLALLYICLSGGSSTAHQSTTPAPSITIPNAPPPPLPPLKSDTPPLNSMSTDQNTLSHLLTFGSS -IANQVSGAVMSTYHKLTTPQKENTIKELLISLGLVERSQPWYVRLLSWKNIAQASTKLQDSYKQEIHPSI -LSTVDTLNQLKEPLKQTYTSAKEHTTSAAQKLKDSVANGAGTLSATIPPLMHTSRSKCSSYATSFIENAK -DTIRIWNPWRAEPFTTRVRRATVSATKSLGHGCLAMLTLVWETVSSITTYLYTLLDSSVYEGMPLSMETT -ASSSQTNPSQPTSTSKFFDSLIWKALFFLRSIIFIKLSFAVLGLSTIRTRTRLLCLIPLACVVYMV - diff --git a/seq/clusters_seq/cluster_1004 b/seq/clusters_seq/cluster_1004 deleted file mode 100644 index 4576992..0000000 --- a/seq/clusters_seq/cluster_1004 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_009345042.1 hypothetical protein [Xinzhou nematode virus 1] -MQYILFFLVSSVFADHGLRVHHVLRTRKGYFDPYLEKYFKPLQHVLDMLAHPHLSDSDFAAFTLSKEQYT -KKIQDYMFDIYKQSKSSHQLVMGVAKRRPVVYTAPADPFYPRFAYYGMSDTCWFKDRSANFIYFLNNPDP -SCMSAIDRHEFEITGCKLASQCSYIQAHHFFNTTICYGRRGDNVKYMALAPYKIPYVPLTRNSQQIFSIY -CNITTSTIVVLPTYFLQSYDNVALDYYNYTLHHPYITLVDFSYQNVFLPMLHSSFSPLQLKLDQPFKYVC -NDSLFEKTSFVDDYNVFRSPRGCENFIYFYEYEQHINICVDYLFLPTSSLISKCPDDWNDFSSARAPRNY -VTLIIRSHLNDTWSMIKYNIDYFISRLSKTILQISTTTFDSFIRTFDTYFLKFLDLFQRFLSDFESGIFF -KTSVYENFFAYLSLFLSPSQFNLRQLIKDSIVFFFKTMTGNFTQLPSDNETLYMDTNMFGLDIGKWLADA -FTSILKPFWQLFLTILEDALNIISDFLFDLVPLLQKFVFVFQRTMGKFLDLLTTIIKILATLLLHIIVYF -DTKIFLSEYLILYIFLAYYWRSTIPPLIFLIILILIFGITRRFPSLFLLLLNKEFRDLRYLGFNSSFFYH -IAFNTTSHNNTHDSVFIFLSHGDINMTVFIPKHHLFENFTLQNIFLDYSAINTTSIYQSLNSSEFSFWSY -LKNFGKFMNITHS - ->YP_009345039.1 hypothetical protein [Xingshan nematode virus 2] -MRVCVLLISFFGAFLGSTERFGLRYHERARKIPGAYDVYLDSLISKYENASYYLKIFGLVTSNQAKRLIE -ELDSLRKEIQDRVDYVSENHKRMSMIPFGVLANRYIVDDAVVAGFRSIDYYRRILSFCLADLESRILTVS -ETFLDPVCMSAYNKSFNEDIDCRLSSLCFGVIKKNVDGLFICSGYSKNLFRHYRLAKSTLLADVFPLREG -QFEFYCNVSNNRPLLVHKSLLNGDKRFVDPFSVLLQSDFPFLTFSDGGYRALVFSQFVDSYSTVSLATAG -FKYVCNDTLFAESSIIDDVNVFRSFRGCRNFVLTEGSDVSSKICVDHLYQDFQALVDRCPEDWYTFDHAV -ATFETYTIGINDYSEVLWKTIPQVVTDLLRYFDRNHINVRVQDFEKFYKDFVDYVRSFGTLTNVTVRFAD -QVFDGIGANLRLLDSFTFRNFSENFVDYFWAKAMGVDVEQIRDTTHFESIHSVTSVSGWLAQAISSFVRP -FWQVFLELLEDILDIIIRVLFDLTPLLEKFYRLTLAAFDSLLNLLFQVLTLVLSFLLHILIILESKILLS -EYLLVYLFLSRYWSSPVPPLLILLLLILVFGFQRSYPSFLFFVLNHQIKSVLNATAIPSVTYDYQFVYNI -TLVNYTHHLYHFSIVNTPYSYSFYVSNVSVFTYVSEKFSSFNSTFFVTTFSHYLDSSDDCDFVCFLKFFG -F - ->YP_009333209.1 hypothetical protein [Shayang virga-like virus 1] -MLLISVFLLQILYSACVDSKLGLRSHPNLRLQPGLYDPVIETSYSQLLKLQSTQQFVLNKESMISKLENA -IMQRLSRYRMRARFTMEVPVGVLQRRRLVLYDPKNAGRFLFNEQLLTTRCFDSPGRFEILRYMKWNLDKL -CTVVWKTTDFYATRACHLPTLCVDVKVFHYQDLVVCVGVHESMFSTVPILKYDSHPTWNMTLLDAPFQSF -CNLTDDTLHSFPDSFIFNLTNFEFAQHVLHYRHPHLVASDGGYIHLVGMLQSQYIPAQVSTYPIAYICDD -RLFRVTQVVDNVNVFRSPRGCRYHVKVSDFYQKSTPAGVHCVDYLYDPEFHYRCPSDWIDVAQLSDDHLL -ASFLVREPRNDTWTMIKHTVRWVLDELRTLPFVVKIHGLMGFASEMRQSSAQVASILAEALREITNVIQS -SLQLDVSLGKIFKGLAKFLGFENTFNVRLFARDTIDYFFRVLVANDSSKVDFGRTANLFGIDIGKWLTDA -LMTVLKPFWRLFLDVLDDALQMILEFLVQITPIIEQFCQHVERSLEALMMALFRLLQVLLSLILHLFVFV -ERKVFLSEYLLVFVVLSYKLRSPIPAAVVLILLILILGLSRKFPSLLLLLLNNEFKNIIHSTSILDESYV -DYYVNITFRSVNVTHGEMIFEVTGLDMFVVQFVDYSPLRRIQEIYDGFEAKVFVDRASRMMLQSRFNVTI -IEMWQLFLQSFVDRHFPEEL - ->YP_009333311.1 hypothetical protein [Xingshan nematode virus 1] -MFCALYTFLFTFSVVSSIDMSLLTHNKFRNVEGMHDVVLRHWLDRISMLKRWLKEGNFNVTSRDNILIDL -NLFTGRVSKYIQEVSEKVKIIDTMPIGVLANRYLMTRDVVLSERRYDSYRRDFTVCSAENEKKTFLVVRV -RDPDPNCLAVFNRTIDNKIGCLMANTCLHIALFKKGNIRICRGYDRDNFETYRLSTLDGFNQRFVLRERV -FEFFCNLSNFRPLLVYKPLVYSEGFMNPFNALIQNRSPYFIFSDKGYRAVVYSQFHHEFTSLSIKIPYLR -YVCNDRLFVRAKAVDDVSIFRSKRGCRRHVHYGSESMRYNVCVDHLFENWRLLIDRCPEDWYDFDRSVRT -MEVSTLNVNDVTDTFWKSFVVLGRSLIDYYYARIFSDTYGTLDELLNAVHGAALTIFRWNVTRIDFIDNV -YERSSRMLSAVVFKNFTDYFVHAFMVALMGTNVTETGLIYNYQVKSFSSWLAEAIVSFVRPFWDVFLEIF -RVVLDITLEVFMDLAPLMSKFEKVLSSTIDRVFSLFLSILRIFFVFVLHLFVYVDSYYMFFEYLIVFFFF -SRYFSTLLPPLLLTFLVLMIFGFVRFYPSPLLIVLNPQIRHLLNYSMLPFPSDYYVFVFTVSSFNSTHDQ -VYMDYSGTPFYYSFYLSRLPIYQPLLTALSTFNSTSFFFTFSQYMLSSRHYSVSEIYHLVLESFYDFTSS -NSTSSLN - diff --git a/seq/clusters_seq/cluster_1005 b/seq/clusters_seq/cluster_1005 deleted file mode 100644 index b5b8382..0000000 --- a/seq/clusters_seq/cluster_1005 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009342449.1 putative capsid protein [Wuhan house centipede virus 5] -MSAAEFNQLRNLLAKVTIAAPKKRKNRKKRGGASAPNAIQGAMAPIVVSKRPGRRRKRGLNNFANSGEVT -LSRTELLASVDVGANQTTAAKSVDVFPSSDNMSWLAKVSAAFDRIVWLRAKIIWKPCVGTTTNGSIVFGV -DWNSSETSAPSRDKVQALTPVCENAIWQAAVLTLPQDRLMTRRNYVLNSTAKQDRQPGTFLYHAKAAPGS -LGDFWIEYTVRLSGTQAXDVSLLANLTHRVDLYIGDVEHDVRCVERNRTFAWEATPHAGFPSFAGLSQTE -YGAVIIREDGSPSNTDLVYPYAVTQEDANHVPDVRITGAFEDGSERKPYERPTVRFNFSVDTRFLYRRIG -LLDINISCQCDGNFLYENEPWGFTIKPLKYLKMIGDYILRTDASGPVQGQSIGVRIFQSVIVEGGYVPEF -VFTVSPKLHAYASDPPQPPQPKWWMRTTCTLICNHFDVEAEKKYYRSWEYMVGEEGIEEEFDFLEEIEEG -QDTT - ->YP_009342318.1 hypothetical protein [Wuhan arthropod virus 4] -MSAKIQKQLAALSNRLAQLEVRRRAPQPQPAAAPARRRRRRNRKAPAALRAGELDAVVRVTRKDILMTVD -GNKLQHAELHHNNLPGLHKFAGIYERITWHSAKVWWRPSLGTTEAGMITYGIDWDLKNTDALTRAQIALY -TPSTSHAVWCDTASKPLVLPASRLSSRKIMYFSQDANSIDGSPACLVALSTHAKGGGEFWIEYTVTLSGV -HPXGFVAPPVPPPPVPHYTHEWVYDQEHDKVFKFPIGAQIWLSEGDGTKPWSTIAGLKNPFAETLLVSAT -ARTQVQLDEDNEFPVLFGTATETVVPFEQRYRTITKTWPDNDKSYLTHSPNMSWRWSIPLAYLPNPGSVV -ELDIVLSCETSPTTTFNDDPNHKHEQLKATISFSSLFDKVENLISHAYEAEDIGEKTMYMIHMLWRGVLK -PVLPSKVTVGLYLVPMLQNVHEKRDKPDLLPLDDNTLVTLSGWVTITYLYTTLRPYSLHVPPTALQT - ->YP_009342304.1 hypothetical protein [Wuchan romanomermis nematode virus 3] -MLQAPVRRRRRRRQNNNGGLIGQLVRQLASLELARAPAVQQPQPARRRRRRRRRGAGGAGGLITAGPSAT -VATRGGPSFANSPQGGLRLVNEELWKSADITTADFLQKLTFTPGKSGLTILDRIAATFDRYVVHSVSLSW -RTSVGTQKDGAYVIGIEWDASKTAPNYADAQALVPRIRSAIWKEEQMVLPSERLMSRRFYSTGFDDAATK -NPDCTAFAAILAVKGVASAGNVGDVWIRYDISLMGPTSANSKTAVLEN - ->YP_009337878.1 hypothetical protein 1 [Hubei mosquito virus 2] -MSKQLKKQLNALTAQVAALKVGKQQQPAPAKRKRNRKRKGPSPLSNTGISSDGRIVVSRCELLFEVTVDA -AKDSAAFYYVLQASATRMPWLSKLAKNFSQIVWRSARLEYRPAVGTMKDGSLVIGIDWNPVASAPDKAKV -QSMTPNFQVPVWQKKELTIPSQRLMSRKYYSTDSSSNVQLVDKVPCEVLGYLSCTADDKNKQYFGDIWIH -YNVILQGPQXGSEEPPPPPPPPPPPPAPTVFNYNVVRDVTQYEIRGSVRTIFKDRMAPYPYDTNVFWPEE -TVILNRDLIEYSGSDNVAVNGKDFNYVDQYAVSADRQEDGHETTLELISRPPYSDKVPYGVLDITISIRS -PHFPRLAMYECAIQFVADRNIFDSQQIRQIDVAFDKTKEIRLVGDVLNVYRTTNRRLDNWYMIKFCQVLE -ILDPRVAVRVRVRINRLGKHNDQIDMGTKLDITPVLSWYTSVTKFLGVGEGSDDGSRSPELEGSYEILDD -PPPSGSS - diff --git a/seq/clusters_seq/cluster_1006 b/seq/clusters_seq/cluster_1006 deleted file mode 100644 index 9b13864..0000000 --- a/seq/clusters_seq/cluster_1006 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_009337792.1 RNA-dependent RNA polymerase [Hubei narna-like virus 19] -MTTSSRYFDLPSNILSWPVTPGVVGAGHWHQLPVSALTDRDGVRYSNSVWKAVVTNIVLAHNKKFRNVVP -AKIFAGWLLRCSRNAGGRYYVAKQLKQLSFEYRQWSVTGRRPTPKSDVPRTFQKWLAGHVCHAEARAQIA -RIGRCLPEGDTLVQSKAIKAHRSIVTRPPCDVNMELADDIYYWARKFSTDNAHLFKEEVSWAPNENSASQ -GATILEGGRMEELIRDAKAESDFESVKSLAEGDFDPWVSKALDDAVYMTACTVPPKKEWIPDVMAIAEKG -YKARVLTKFPAAALVVGDVIRRQMWAMVSDKPWADMDRQPDDVKFLSTIRSALDRHGICVSSDLSNATDY -IPHIYAQALWAGLLEPHHVMPYINSYCAIMFSSMKLRYPDGTVVTSARGIHMGTPLSFLTLTLLHRFCVE -KAGYGSYPHIIRGDDLLGIFPRPEVYFNVMQQVGFSINRAKTIISRTGGTFAERTVRFSHSLATQELSNP -LKRTLGQFIPVNIISSVKVLQDLPVGGVVRATPGKGSLVKALGRWFSQTSNIVPRQRRKAYRAIGLNHGD -LVIRLSTTVPPHLPLDLGGAGLPDRKGRVGLNGVPFAIRAAIGHAASHHETAVKLTGLIARTDGVSRGFV -DVFSKKDFREQWSKSIWTTEPQETEFYQRTRRYWRYFGHRDRPSRPISFRQWRSGLMTLPRVKARWVPRS -NSDPSRLANRIRSMTGTYIPYTQPTTGSTYQWAAKCG - ->YP_009330065.1 RNA-dependent RNA polymerase [Hubei narna-like virus 18] -MAVVIEAIHRRPFTNLVPAKMFAGWLIRASRGPGGQKYVCQQIKSLAFDYRVWSITAKKPNYSGDIPRAF -RNWLSSLCCHAETRAQFARVARMLPVGNKLVIDQALRKHRSVVTRRPKLDIGLAHQIYGYARDYIHERKH -SLSERVSFHPTEGTASRDVPASAGGRLRDLVDNAHRVMSQRPIVLSEYLYSHKKYFDDAIVEAAIYTDEK -VSTYNVDVLAIPEPGFKARVLCKFPATALLAGDIIRRQLWPIFESDPNMDFDQDIRSEKFQNVIRRSLNR -DGTIVSSDLSNATDYIPHEYAKALWAGILDAFDFPEWVENYLERMFAPIRMSYPDGVTVTSCRGIQMGTP -LSFLTLSLLHKFCVHKSGHERSPYIIRGDDLLGVFSSPRQYLTVMEEIGFKINRDKTVISKDGGTFAEQT -VKVTWKAKERDPLARPTLYDFIVTDQKVVSSITNLDDIPFKGLIHLDNKGGRLRQVGRWYAQWSPYYPPR -KGKVAYRAIRRTIGNVLRIARSLRIPITCPMELGGCGIPNKRGTMQLDANFQHRSRVGYAASHESHNFQL -AVRKLDIGNASDLLEDYRQHVADLPKGKSVYMFDPYSSEDFSGYRRKRMLLNNTGNYSAYHTKPVPLHRW -LAVFSRCKENRARWVPSRRCSGSDLRRLIDRIKMLSPIYVPYTTPNAQILSIRI - ->APG77159.1 RNA-dependent RNA polymerase, partial [Hubei narna-like virus 20] -MTKSMRRFYLPHEPWRWPVTPTPEVGRGSVPTLADRDMRDFARRVWHSVVTPIRMVTSGRLSRIAEFRSF -ATWMVRKVAAGERSVVCRELKNLSFSFRVWAVEDRWTFHSDQLPRSFVRALKGLAPCSEARAQFARIGRS -LPTGDGKVVEAAIRSYQRIVVGRPNPSWDVADELYAFGKRWAEERAHLVRGRVNWAPNENTASLNFKASE -GGRLAELIADSAAVIEPLLEFTPEGEGSELLDEVLIETSIEKARTERPIPKVIAVSEKGYKARVLVEFPA -STLLPGDIIRRQLWPMLEEEEWIDMDVVPNEERLKAFLRHSVDHSATCISSDLSNATDYIPHLYAQALWR -GVLDAIPAPEWVGDYTDKMFGPWDLLFPDGKTVTSQRGIHMGTPLSFMTLCLFHKFAVERSGFSHHPHLI -RGDDLIGLFPDPARYCRTMEDLGFKINKSKTIISSKGGVFVERTFRFTTGREPRRFERRSLASFLPDRMV -IRETTELKDVPVAGLLNPSVEGSLLRSVGRWYGQLPDLSARRRKRLHTVIWSVFGDTLRKARCSGIPIST -PVQLGGCGIPNRHGRLDIDASFKMRRSMALLNKRSVARQFAYAVSAIDNLPHTDFDRLFLKHHEESQRSR -SVYTYDPQSTGYYQSASRRFKMYRLLGGGAESVKRRGLREGKLHKPAGFRRWVRLFSNLKMSCPRYAVGR -RANSSRLETYLKISFGTYIPVASPGYSIFGPGNGEGGVAHQAPQLVVALP ->APG76998.1 RNA-dependent RNA polymerase, partial [Beihai narna-like virus 25] -KSAPNGKDTRLKPAKPAVIKIDLSHPAGSRVDPKVADKNKPRLEFASLDSLRQVSLLARSSLGVQRISTI -PLSPDPSKSAAQLFRRFAARGKQNIAKFQRLWSTVLNAVSLAFKIDQFDLRTPQGRIAYTDLQCAFRHLL -ARFTTVGYQDSVRVVAFWALQARQMALNSAVATSGHRPNLVKFLRGRLDIRRASQRTKFLFSGVGRALPF -AKIDAIRIDAEIKAHRARVTVPGTTDPLILGKYAEWLQKKLNLLDSRADLPSMKLNIAPLTAARSSAVGA -PSSKGGRYGFYKSLFEQGPQFQERILEHAIKEVEDCPTPLPVKLTVIPDKGDKARTVSTTLPGIQVLGEQ -LNQSMMVLLRRLAPSAIPLSENPDVSRRLNNGHGTMLSTDLSAASDYIDHTVLKVTTRLVARKLGWQFNS -KLVRLLTGPFMFTIDQEGVKDSYLGLRGAPMGLPLVWPTLSLMNMFCAEYRISPNSKRSYAVLGDDHVAR -WPKADIDQYFRNMSDIGLKINKAKTFLSKRDAVFAEDLYSLVNGKVKRTVVPKLSAVMSTRQQGTDPQIF -AIIASLQSALANAKNFKERKQIKKIFFSQWKKLTRQIRRAQVPLQLPTQLGGLGIAPFKLSKLTRKQRSR -LAYLLSLPEYASKSARSDQRSYFRSLDQFKNANRFAHAIRNKDKLVKEAARQVIEQISDEEERTSRSFNA -TGCAVEDCAPQLPEGGVPAEAVLKELLALKLTRLTQEGKVQATDRSVSSGTVLRRLTRVWERLPLCLKPV -GARGYTKLLSLCVQSSQILSTQSVAKLITTNLPLYYAVGVFYKDGGMPIQCSPNSNDAYDSATAG diff --git a/seq/clusters_seq/cluster_1007 b/seq/clusters_seq/cluster_1007 deleted file mode 100644 index 3bf338c..0000000 --- a/seq/clusters_seq/cluster_1007 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_009342335.1 hypothetical protein [Wuhan heteroptera virus 1] -MSKSNANGFNTLKNNNHNNVNLRNVSNLSTTSLNTSKTNNNNRVVRNVLEGGPIRRGGQKFGENVSNAFF -LMITNLTQSPLLLSFYIYINLSLLTTYFTRTSMIYTMFLKFILGRYTYPFPGLIRIWYYYISLECNNFYW -FIILSFIGIIFLLKPSNKNFVFCLFLFFMVVGFRIKFIDILVLGNLLIWVILFRSPSHKTILMLGLAFSV -FIRLKFDVAVDYYPQCYLCNKTFALKNNNISLPYPTVFPLCYNVKPCSSFNGGDLALYRYCGGCPESPFA -KYYNIPGPMSTYARSDREKACNFNTSDTSKTRKRYPVPDDFYEGIVNVRIYRKSDGSYIAKPLKESIVIL -EDKNVKSVNSPISTQKPKKDSTKDLLTKFHNFIDMYTSTPSPLPAPNPVINKETIQLVTTETPPTTTEYI -PETTTTSLLDYFTNNFRLPTVSTLGFNTTSTNNLDWLFNYIDSLAYYKPPYSDNYILMENTTHIVPFKLI -GVEDVLEVHGLGQMRLKSSLKNCGSYLIANKEGKKTLETVCPT - ->YP_009342334.1 hypothetical protein [Wuhan heteroptera virus 1] -MAVTSTSNLETTSTGTTGIGDAASAPGVQTKIDNALEGGDLKKGGQKFHEQLKDAIILCYYNLTTSPTLL -SVYIFVWVISTAEILNIKKGPLEQWLDYLMTNKATWTLGVWINSLQLWIIRICIANKFAFLCLVNFSLPY -LYKPSNKNLLFTLGFSFLGTFMLAKTPLEIVVMGQMWFLYTQMRSPAQRFLITGVAAVTFILPSTGILEY -MIADKTPTTYSSGSSTYVYPTPSEPPQ - ->YP_009337717.1 hypothetical protein [Hubei virga-like virus 17] -MEAQPQQMQGNGRTGWRVENKVGDLDIISDVLGAYKRLPMYPFPMFVLMLTLIVGLAEIYNGGEKPLEYL -LNGIQADLNNENIPTWEKTALKICKYVLEFIIAHKIKVFGFLMISIPVIIRPSKNNVYIWVLLVAILIMM -RNWGFFEYIVIGNLFYIYTQLLSLTNKFLIAMLIVVVVLWNFVLPVKSNKIPPGAPSPPPVVSGPAPPSR -KT - ->YP_009336681.1 hypothetical protein [Hubei virga-like virus 16] -MNLRMSTAPDTVVAGKPSQAKVISATDGAQVTRAGQRLEDPIGTAIMSCYSNLVYSPLIVVLYVFALSCT -AASIFGVEGPLEFAADEIQKTYNTTKAPAVKALAGASYRIVKYVLVYQTVAITVCLVWLPYAKKPSSKNF -NASVLFTVLSFLISGLGLLELFLLTQCWFLYTELRNPRHKLFVAAFVGFLIIFQYMADPAQGQITNKPKR -IYHYNWPTSSKEIEFSPVKFSKPVVIETQTTTVFSQPVTPASTTSELANVPVKHSSFPYSKRPDGTSSLS -RSDMPQIIFEHNRRKNLRQLPTDP - diff --git a/seq/clusters_seq/cluster_1008 b/seq/clusters_seq/cluster_1008 deleted file mode 100644 index f226344..0000000 --- a/seq/clusters_seq/cluster_1008 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009337640.1 hypothetical protein [Wenzhou hepe-like virus 1] -MDKKPQDSSQAVSVDEKSSTESQRRVAPVAALAKDVQVNKTYGGSRKIDDAIHGEGLVAGGTSASSEYYF -RSPKTDISLTSSAGPGDPIYTLNLDVNVDPLITDLSKNFQKYRFKSIKLELVCNSPFGTTSGSVAIGHVP -DPSNTLPSDKTKAITMATRLTGSRVITPRDSATIEPALSKEFKWCKKGGYPRAESFGQLFIIVRQAPGKD -SVAQWNLTVSGTVEFIEATVNSDTSTTSQPFDTADLDVANALIETIEGDQNYFVTIPVKSHSVTGLMSFD -STSVMYLTVEEGAANPTMASDPVEYYTIMVASGDLLQDPNDHSYFMLIPTVIRDVTQFNQPKLKDMRSSF -SGGRIYYTTTIPVSTSRFFPEIDINQAISKTPAMVQTRHKLFYRL - ->YP_009333300.1 hypothetical protein [Beihai hepe-like virus 9] -MSMNIDVAQTHNAPAGVENFNIGGAIKPDSTSMVGNKIEQDTPAPSSVITGGTGGEVRYYNRFDLGNVQL -QESTVAGRVLQKYELDSSLIPESYSKHWQQWCIESYKLQIVSTAAFGNATGAIIVGSVPDPQNALGDDPT -ENLQVAMAMTHSRIVQAKNSTELIIHPGTHESTKTWKWVKKGNSPRLEGFGRIFVICKQPSATGTVAQWT -LSCTVTLAFRDHTFNTQYSPAVSIQDPDLIDFGIYQKFSKIGESTTGIGFRITQDVPSGVTIRAYNPTPF -YAAILYKHNSSSVVKRKTVPLYDFYVARNDQDEPFAITLVSDLNLENFSIDHVDLIGESSFWIVDGFIPT -AIGNIVPLKMLKSYLEERYLQE - ->YP_009333260.1 putative structural protein [Beihai hepe-like virus 8] -MSARNAVVDANVTSDTQDNTGGSPLANAIGTTLPSSISQTGTIRSDDTVSSSGVVSGGTKANAVYYFRSP -KQDVVLDANSVTGTTLTVVPVDISIDTQVTNLGLEYQKYRFKDLTVSLVNNSPFGTTSGSIVVGHIPDPS -NKLPTDTTKALMLATRLSGSRVVTPRNSVEIKPALSTDWKWCKPAGTPRLESFGTLFVLVRQPCSKDSIA -QWNVTISGTVQFAESTVNSNTVVTKSRLGPTAVDFTQATLYRFDGNPQFFLSFRINGINNEGILVSDTLL -LADYVITDSVTDEKLNMNITQMDVVNVKGVFYAVAQTVISDVTSLDLDKLTLKSLTNTAPSNYSLYVSSE -PPRAVPSAFFSFSVDPTLPTSEHLPRKYRPRKVY - ->YP_009333248.1 putative structural protein [Beihai hepe-like virus 10] -MSMSVDSAQTAAAPAGVENYNLGGAIKPDSASAVGNKIEQDTPAPSTSATGGTGGEVKHYIRKDLANVQL -AESTEPGAILTQIELDSSLIPESYQKDWQQWSIESLKIQMISTAAFGNATGAAIIGSVPDPQNAFSTDSA -TNLRLAMAVTHSRIVQAKNSTELHIHPGTHESSRAFKWIKKGGTPRLESFGRIFISCKQPSATGTVAQWT -LSMTATLVFRDHTFNTDRQPAVSIQPSTLINFGNFKSFQTVGDSATGLGFEITDQQSPIGAIVRSYGNKG -PLYGVALFQNNTNAQIVRRRTIPLTDFKTAADTNDKPYAVTMISDLNLGSYTPTEVTLIGEPSDWIVQGT -IPSTVINNVPLRLLESELTQTLEQL - diff --git a/seq/clusters_seq/cluster_1009 b/seq/clusters_seq/cluster_1009 deleted file mode 100644 index cc595cd..0000000 --- a/seq/clusters_seq/cluster_1009 +++ /dev/null @@ -1,131 +0,0 @@ ->YP_009337252.1 replicase [Wenling hepe-like virus 3] -MYRVKARLAAEAGRQFSELANDNIKQEFANVLADQTKFIHLNFQLCNTDLDLANKLVAPNVVLSTNDFSA -PKVQHALLKVLQVVSDRELQPYKINGTHEIGYNVVTGTTCDHGCSTNDPHNEYRRIKARVPNNEGCTGCS -DVCERIVLGMVHDISVDGVIDIMERKNASSAHGSIILVPFDQKSEWREDIQCTFVKGRKHTVMIAGNEHV -YTHDTKAWTSWHRAVETCARRGYMFEMEKEFGPLKIYHIVKVHSPGYVTQLKKLIRHDMVRVPVFDDITK -LFRSANVINGRSETHVARKIIRKARKVDMTISHFKKIMSWGLARDDKVFTRAALVSTMRALQQEIIFQGV -GKTNAMNIEARDVEALCTTFYAYIVIQRRKMTKTIGGFNNYANLTGVLTRMGHTALEIVSVFTSHWDNKQ -LGVKAVRVILKIIEIVTSEIPFNVVKIRPHNHGRVLPMKYCPHGEPFDDDEYDIDHEYHQGPAVVMLQGK -KVFCYNTPAENKGINCVTNRMSEQRAELDCSLSPYCESCMDPFDFVIASDVDVDKLVLPTVLVKACSDVA -THVYTYKGEDIYIYYPDDKEDEEGYSWFRKYSLKELSDNKCEELRKRFSKQPRAIRPQQNQRVAPTAPPF -VQPPLNPYVPSAPPLPSDELCQHGFMLKSNHKGFTQDVNMDALEKLCEGKLVFNCGKKYVVGLSDTYKHG -KYYSPYCPDCMKNVDIIVGDNLIFKAVARPCVYVQNSSVFVPPSKTSHGELPFSDETEYYGALYESEQGK -AESRENVKKNKKPGEELCEAVNCILWWNRNELPEQNDLYDLDEESFKIVDIDDEEDIIFSLDPKDKSSKP -TEPSYKSSGEKIETSSVTSEPDFGLSKDFDIAQYLRSVRSREVPKIIEPCSGESVRSERKVEIGKPFETI -KILARKLKFLNDEYLADSLNIWDVKRDGNCFYRCVASLVDGDEELWVNYQSGATGDWANEFSITVVSAMI -GKQVNIFNGKEWSSFGVGPAINMCLSDNHYKCITSCTFNGLPVKIMDVDHTTTVKIAHQCNIEGVHGGLA -GVLNRREAIQTAPLGHVSNGEKNYNMFVQSHSGGAKDEKEQIEWATRLGECLRALPNLDIHIPYFIGCGI -GNGNRRLIMQEMRSNVQDRHIYFVPSGECERAVKEYKHVEHEYTPGNFPIVYNGEVIYVQNNGMSEAESR -RVDKMKFCTICVSANGVDLDESVEHLRRYLREAPKQMFRAPVKLNRAVTRTCTGDFFEFCSTTPYCNITV -DASELTDEQVKTAAFFGHGINMTGCRACALQMYYVNEVEEVEFADTTYDLTSVNVEEMHKEFIEQVLSVK -DVKYEACHAAARKKIVAPIKRKVTVKCLRGRAGSGKSTTARKLLHAEKDETLFVCPTRSLAKEYEDKGYK -ACTVASTLAKSSRSKDNFKNIVLDEVFTMHPATIAYMSRRAKNLYAIGDDMQTSYGCKEFSSKYSLKDLW -NYEKGSLLRVSRTVPRDATEVCAQNFEYDMYTNSSVTQSMFRKEGRIEGKSLCMLREDVNLNPDALTAPR -AQGGRYGKIGLSLPYKCASMIKTLPSHFIVNFTRHVERLDIRDFDSTIATNLGLFLTTKHVCEFGAGGEY -KVNLSGKQYMYNNVSFEEYADETVNILNVEEKGASAKQNAEVKIHVNEELVRNDEGEVVERNAHIIVPHR -IMLDMSTYNVPKGVDTAGDHYGEGPGEMDGYVPQEAKSYYVDDYCEQSVAEILDMAAPSQVLDGDYANFG -VQNYCMGSDSERVRLKEVMDLTLQHSRSVLRMPIKMRGRPYFQGNLNQSLHTAMARSRGRGFERTRAENE -KLAQEWFDSLSKFIDFREIISDDLDHAAAEYLTKLATKQSKPGQSLDMDYYEYSEKIAYFPKIQCKKDSK -LDSWIRAQEYEENLETKAGQSVCPQTKGVNHLTAAAIRAMETVIKAGCKNKLYLMLSRTKPELKHYIERM -HDVKDEYFISDFVEFDTFHDEAAELFFHKIIKAVGMNDDFIELSDSYNRKFKMNGGSFQVTTENHLQSGR -TLTLLKNTLVAAAMATTYVSADKIGYMLVCGDDVCIAGSGFTTHYPDFIEPKVKSTVSSIGEFVGFIIYD -TLYLDLLRYAIAVTIRTYKRSSEKEELAAIEDYQRGVKDWLAIYDNDEHLERNFDLVSYCYPIAPAHAEM -LYKFLTSYVNKAPEEVRNRLQNCDAEPLQLQPEMHDRRVGFCSLESMW - ->YP_009337201.1 RdRp [Wenling crustacean virus 1] -MDSITSGLPPQIANELNEDLTPYYREHINGLLQDTTTLPFKLTARQLEHANAVYQPCVFRCEFDGNYVNH -YIAKAAEIIGERILEHIAERNVATTEIGCGSDRLISGCDHGCSSMSSLDSSRRHSKNTSRLDHKTPGTPS -CTLGAQNCNHPAKILVANQVYDLTPRQWYEAFEKKGAECAYVALLSPHGLHTRQDHRYEEYGLMVNFIGN -NIIMGFEGGEHCYTHNIDTWEWLTEKGGYNGPKFSLSVETITRLGPLAIMRITKVTQPGIIINSAYLHNT -DFVQLIDLLHEFPKIYHLSMRGRIGWARHGKHTDIQKHIGKMRKIVIPRKIYDKVMQYCQLRDDAKYERQ -GVIAYIRALMTRIHVGHHHVQVGFEILGEDFATTLANLYIHACLERMLVTKSIGKMVKFFSEDPGFIEKL -ANELLPMGERTSAFLAWTFKRSNRSTLSWHLCRLYEIFRVHENGSRFLAFECSAEPIAYRPYSETHAVPM -LGEDFCLARSIATLVNGHPRYHTLHTARHEYDLTPEIASHLEIEGNHCRPIVTHATHCKHGIGRVLNIPQ -QEGDYYSSEATFHNELLHGVMKATGAEIAARMALHQSHSYALYTHTTSFSVPSFGKIEAEGGHLPNPVCA -LCVNGLPNNTLVILPDNGGNRRVTIAEVLCNLRIGDTALVQGIIQLLAQPSGDNMRLIEQVRRFTVSNID -RDWVHLGPGENLLDCRYVSSTNLEAAVEASEERRAGFEGEAEEAFQIDYQEPQPNESSLFEDVMARLEHL -NLQSQPEFDPEEEPVDAMSIQEEPVGVTEQDVEHAALHTMQQEFTQPDLVHLADAMQINQPTTHKTLEPS -YTPVSPAGSYHDTEETNYHVIETVDITPKLSTAAILHHGEWCSTNRIAIDGAPCSDNQEVIVYQGDQPLK -HYDHEGTLSKFDKEGMTPRARAELACFLGISHYTLTPPACLKCFAPWFHTTLNNDDTRFKDVRLRVSAEV -VQETLDNLRHSLKTKPTEPFYEVHKQAIALLKGTVATVIDRNVKCMRGYAGSGKTTYAKERLGKAAVFVT -PTGALRREYTNTDYNACTTARFITRTCKRKKVLFDEVFLLHPGIILLACLNDNDIHMIGDPAQNRYGSSD -FQANTSIDQLLRYPTEGLDVSHSVPQDVCAWLHRFQHYRIHTRSEVEESVKIHYGAPSANDVICFTTKRA -EVSSRKGWQTAATCQGMRKERTTVFIETNAKELLKNCPEQLLVALTRHTERCDLYVAHEGLARALHLDNF -HKHYCELRGIKPFKSGVADHQFLQSTLISGAEARLEQTKEGENVTHTIPDTTHATLVAYDVPIMGHDTAP -EYTEEDELDRQAQPCVHEPRDDPLFPLDFPEPMASQVEECMQKIAPTSADPTTSKGPTDIHAVRANHGRV -DIVEKGNHDPIGHEGTDSYHTIDMSLRGRPVVNRSAGQRLNTIVERYSTKPALSRRQEATMKELCRDHIH -SVYEPFKISVEEVGETLAEQLDRIHAKDDRDNMVDLDPAFKQSFDKIKCFIKQQTKADLKPNSFLSIKEG -YIKGGQGISAQSKAVNLVTGAFVRAFEKKLVHNLNHRYVYCPGLSPKELSHELEKKGKYWSTGLEADISQ -FDSLRGRWADQLMREMYRSAGIDVIGTRLMQAFNEHWVFDAGNITASIRDHFQSGRCDTLLSNTLICMLM -IRACYNIQGLRLAAHQGDDSLILARRIRWVGPAFLKPFIKSEFSMTPSIVGFIFYDRLYINFPRMVAKLG -NRTFSDLAQLKAYQEAVLDWLKPVYTTQLFHANSVVVSHKYNISVEESHMLGIFLARFATEDTITVWKSL -KKYIHAPRIQFGGLKRGSEQ - ->YP_009337358.1 replicase [Wenling hepe-like virus 2] -MDELRAFKAANSAILDSTPAKFKETAENKANQLTSQVYRLDYPMTENELKYINNRVKPFRFEADVCTGDA -TRTVQHPICKAYEYLGRLLVLADGANEIGPDVVGIHADHVCSVKEPHNAYRRNAKSRFPRLCTHRGCSQR -FERLAANMVHDISVDEAIDIMQRHGATNFKISMLVDSPNAIVNIDSEICKIHKDPSKDVIVYNFGNEYEY -RHRLSGLEQWLNCDYYASKRGYIAECQRTFGMLKIFNIARAPAGETHITNVRKKHAFTYNDHVNVPMPNI -STLLATLNSKVVLSDSEIQNLIATTPFMVLPKKDVDKIINYSLARTDKIWCRSNFVQFIRSLSSTAIGLH -LDRVEAHIFPHMELFTTVMFCYGSVLRYRSTKRIGYAMTCLNGYSWLSACSDLIRQAFYWYPEMGSRAAA -AMSRYLDEALNPSPTFFPPILNEEPDHYGANTCEHGVYIRNHSNYHPVVHNLPRGKVLCNFGIKNVTTEV -DHQLYSTIHDSHARLKVDCSVDCPQCRETFDWTLTERELPGNSLFVQGKGYEVINTGVMVAYKQPLSMTK -FAGYFWNFNIVTPLAVAASFINCRENVAKACGTELILQKVQLPPPPLCAQGFKILASRVFADLRVSCYNF -LSSRIPRLKPLWHDIFRPICDTYKMVRVPSKLSHYAIPAFWLLSISWVCYYQYQYSATFRFHEDRSIRPS -SDGSEHDPDEPPPPEEEPEEEDEAGEPEESNSVDGDDSQQDVDNSGDDSARGPMPTTPEGTEPQQQSQPP -TPTDGASNQIQDAQTASAPLPTGTTTAVTTISTPSPAMTTTPTTGTPISTGTTTPNNNQDNANNTANQSA -PILNVNPNSVVNSTGNTASTNGDGTPLFPGGFSGVINNSTAETMLSMAGLTPVRQQHLPFNPRNLVPKAV -QTEGFDCFFASLAVFHPTQDRFQLRARTNKPGRQWGEPSDLVHFPEFTVYIKAGKKWGKTGTGPTLAILE -YTYAHYQPLILISQQPMSQARPKQKQGTDGKTAKGGTIKDPNKPKPSIQDGDDSSNQVQTRTQPDGTKGI -TMSPEVTPINCEDFEYSIKAAAAYLMDDNHGMNVFLFGAKINDPKIKCVEQAELNTLSLSSPVIFYDQVN -RNYPHGLSITNKPGVYNDFRTHVAPYDSSRMWLIPKYGVQAYIPKKIKDLQANTGRCDSCFKTRKTLPRF -FKLNNDQMKHHKKMIKKMNSVDLGALKRGSGSRTIQNVVEDDLHTYGCSVGKFDWNYSNVKKAQYYGIGW -SDPTDPKYIEHQFKREAKNRDEFTPVKIVMDKPRVEAVNTEFIDQALSLKDKNYTQVHSEARRNLVPVRD -INSTIFVAHGVAGAAKSTLLREKLGKKAMYVTTTRKLAQEYKDRGFNSCTVSKFFAKWYSKSAKFESIVL -DECFLMHAGTILQAILTGKKVYMIGDPYQGEYGSDEYSTKFPVKSLYDQDLVNFRYVSYTVPRDICNILR -EQMGYPIFTNSTVSASVVRVSKLDITQKMLCFLKETRQHERYDAFTAPQAQGSRMVNAQLFVESNATPLM -NNVPSMVLVNLTRHSNKLMVKADCSYFWNTFDTSHYDNYIKMTMKGGALKRVTSEFGRTEYASGWFEGTE -PQEMTRRNKVKMIQAATDSLGTKVHVVEQTKLRDDLPPFERKTDYEVETVKIDTFEDPVEVMRTANHVKI -AINPNVWNDLDKFRPVGDFVNDPFKLSDYDGQDEKERDPGVTFEQDDFCVTTFDSLMAAVAPTSLNYDSI -EEYHYHNSVFATNRGVTLKREEEVMEPRITKVRRLRIPLRGRPYISGDVNQQVHTAITRSRDPEVTLESS -QVDKVTEELFETYKRYVRPVQATPDLYNNCAAAYCSRISAKKGPKIDEFYDEDDYTRSNLVSYFPKIQTK -KDLKPDSHLRFNGFETKATQSVNPVVKGINTIISPAVRTVEECALRGLDSRLHLHFGKTRDELRQKVTRA -FKNDGSVFVTSDYTEFDTFHDKASQNLMHKVFEYHGVDPSVLYLVDRLDKKWVMDGGNIKIEMSGHLKSG -QPDTLFKNTIFSMCLNLTYLQFEGLSFAAFVGDDSCLRVRKFHSQTYPEGYWMKTKLETGKVGAFVGYII -YDDLYLDIPRVAAGIANKNYMTIESQLLSAISEYQLGVADMLRVIRSQEHLESTNEVNAVVYDKHPEFIR -SLLNIISSYAFCDPNDIAEVLSCDDIESKTIEVNEDLSKEYVARIGKIHRIR - ->YP_009333236.1 replicase [Beihai mantis shrimp virus 2] -MATQLAVSLYRANANLLQGPPAHLVQAVSNEITDAVDNTIRLPFAATKRDLQLLNSFNPTLQFSATPSTK -ETDYIVEHRIPKAYQEFAKVKLSNFRKDALEIGPDVTFAQPVHVCSVENYHNAARRNRSDKFHPICDNPK -CDVQSDKLVATMVHDLSVDQAIDLMIQHGSKVFKLAFLAETPTAKKTIDSCSGTKIIRENGKVSYDLGAN -EHVYTHSEEALDSWVDCARIAALRGFHAECDLTFGLLKFFTISLALADSERFVPRRYAYTYPGFVRVPLP -DIINLPNILNQVMFENETSIKSIINAMPCIYVKTWAFEQILQHMTSRSDKIWNRSNCVQYVRSIDFTTLY -NDKADGHNDLGPAFNDFVEFIFILGSIIRAQHTKEIGNFISTLNTGFFGFVIRKLKYTLGLDIGQGTRIS -RRLQLFAKLVMYQDFLTVEETQTKGKVVMQSEICPHGIPIPFEPLEDLESEDWPCEGSVFVFGLKTNRVP -ESLKPTYFSPDISQTYTSTINHSINCDLCMSSYSYIITKNNLDLPGNLFLKGEGYQIPNTPFRFKDWMHP -RMMKGNNVIAAIPYLAPLLSFNYLWTQASSKIPYITYKYKDDFLVRKCKDFLAFLTFKLAEYRGPRILEP -LPEVRHSLGWHYLNYAHNVQQFCFKWLYPRNLYNWYMGNKVDIIFELDKFKQDGLKLKVPVYTHDPILPV -KRFNILRLVEARPWVSLAAFATSAYILTRLVRSYGYFTRYVDDDEEYEDPGCECTPTKGLINPEDLGFFR -RDVNSLYRPTAPPLPPVETPPNAPSEEPVTPSAPPLNEAPDSSKPETISGGFIAPPQPNSPPPEVPKPVK -ATPSPQYTQIKEEEPAPLPIFGAGGKKKSIASWAKFAQSNGIVDFKQKDLQDKSVTVEGEKVLTLAGLNI -IQVDETKLELVRVKGDGSCMFSAIAACTGEDPVKLRGDTKGWGDISDLQRIGYTFRIKHKGVWGELKGQG -RLVHLVFNDTQDHYDAMRAKVKVNKRILTLSDKITTPKYGGGLVTLPNSKSEIDNFMASNWTESFLFDKK -YANEFKDFAREKTLISLNDIKDECCTTKFVILNELASLLATPGDVNLVNAHISHPRVRCYELEEAHTLSR -TTKVLFFKCHFPGFVNSVSLVQEPVTTAGAFGENSVFGHNLGFYTLRAVEDAPKMQFAFDPEAAPKCCAH -AKFLGFYFNVPTKYYEHVYDFFKNRDENTLPASLLNIKGMTLDSENCYLGESARRFKLYHGFAFTDSEQE -RQTGFKGRKVDTACSTHKVNFPKETVSAILKEFHDQCLNLTPPYENLHGEARANMPEADDVKTAFKVLQG -PAGTGKSSQLRSRLGKDTIFVTPTNKLSCEYMKMGYVGVTPAKFVAKNFENRVVVIDEFPQTHFAIALIA -ALRNNQVYLIGDFYQNKYGSEEKKTRYPYDMFIRDPATIYVSFTVPEDVAIICRKDLGYPIRTMSDVKKS -ICLTNSLPESENNICFTKLTRQSQKDYFTSQQVQGSRFEECNIFIESNSAMLVKKLPATIRVALTRHTKR -ANIKCDCKELKQALFPLAGGALKNLHENVAGVLNTFEYADVIFDIKDNKQHITDLMSRANTEVTGVKKLS -DDAILLSTPSPKLADHNGEKEVVIGAKFLIPSNLYNTVDLVLPIYDINRGVDYTDDERWTPYNVFDEKAT -SLETDCFCPAMMEEALSWYSRSSLPYDEGQFYLFHNNIYATNRDLVVKDPVMFFEEKEVIVRRLPTKLRG -RPYVTGDYNQCLHTAAVRSRDPLKRIKLEDRERVVSRLFKTYTNYVKPIQASYDDTLASLASYLSRIAAR -GDTVKAAEAYEEDIYQFSTKVEYFQKIQTKKDAKTDSFLRFNGFETKAGQSICPEPKGVNHLTSPFVRAL -EDCVLKGVDPRIHLHFGKSVTDLRNKYASCNLGPGEFVFTDFTEFDTFHDEVSSELMRKIFTYHGIDPIC -IQLLEKHDEKWTLDGGSFRIKMTRHLKSGQPDTLLKNTLFSMCLNLTFLTFQGLQFAAFCGDDSVLRVDK -FLDINYPEGIADKVKLEKGKVGSFVGFLLLDDVYLDLPRLLIGISNKNFQGGVDREILESIESYQLGMQD -FANYFQNDLMIEKNLIAVELAYSLNEAKARIILNLITSFAYAPPQEILEHLVTFKTQAFTIRTDPDEAKD -YCMAIRGAQKPKNLL - diff --git a/seq/clusters_seq/cluster_101 b/seq/clusters_seq/cluster_101 deleted file mode 100644 index 56a2379..0000000 --- a/seq/clusters_seq/cluster_101 +++ /dev/null @@ -1,200 +0,0 @@ ->YP_009551541.1 NSP4 [Bat rotavirus] -MDQFADFNYTMGIASMVNSTLYTIFGSTVSAYFPYVASIITIVFTLHKLFVPTAKMAFKTSKCSYQMVKY -CIVSTTNVILKLLGYKTQITTGEVMEQQMDRVMNEMKRQLDMIDKLTTREIEQVELLKRIYEKLNVSKVE -GMDMSYETNMKEVMGAVEWENGKNPYEPKEITASL - ->YP_002302223.1 NSP4 [Rotavirus A] -MEKLTDLNYTLSVITLMNNTLHTILEDPGMAYFPYIASVLTVLFALHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIYDKLTVQTTG -EIDMTKEINQKNVRTLEEWESGKNPYEPREVTAAM - ->sp|B3SRY0.1|NSP4_ROTWI RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKFADLNYTLSVITLMNDTLHSIIQDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVIKC -CIVTIINTLLKLAGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKRIHDNLIIKPVD -VIDMSKEFNQKNIKTLDEWESGKNPYEPLEVTASM - ->sp|B3SRV6.1|NSP4_ROTHP RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITLMNDTLHSIIQDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVIKY -CIVTIINTLLKLAGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKRIHDNLITRSVD -VIDMSKEFNQKNIKTLDEWESGKNPYEPSEVTASM - ->sp|B3SRQ8.1|NSP4_ROTH6 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNSTLHTILEDPGMAYFPYIASVLIVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIYDRLMARSTD -GIDMTKEINQKNVRTLEEWESGKNPYEPKEVTAAM - ->sp|Q9PYD2.1|NSP4_ROTEH RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLTDLNYTLNVITLLNSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALRTSKCSYKVIKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRHLEMIDKLTTREIEQVELLKRIYDKLMIRATD -EIDMTKEINQKNVKTLEEWENGKNPYESKEVTAAM - ->sp|Q9PYD1.1|NSP4_ROTE2 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLTDLNYTLNVITLLNSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALRTSKCSYKVIKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRHLEMIDKLTTREIEQVELLKRIYDKLMARATD -EIDMTKEINQKNVKTLEEWENGKNPYESKRMTAAM - ->sp|Q9PYD0.1|NSP4_ROTE1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLTDLNYTLNVITLINSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVIKEMRRHLEMIDKLTTREIEQVELLKRIYDKLMIRATD -EIDMSKEINQKNVRTLEEWENGKNPYESKEVTAAM - ->sp|Q91E93.1|NSP4_ROTHL RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNNTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKIAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIHDKLMVQSTG -EIDMTKEINQKNVKTLGEWESGRNPYEPKEVTAAM - ->sp|Q8JNB2.1|NSP4_ROTW3 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLTDLNYTLSVITLMNSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNALLKLAGYKEQITTKDEIEKQTDRVVKEMRRQLEMIDKLTTREIEQVELLKRIHDKLMIRAVD -EIDMTKEINQKNVKTLEEWENGKNPYEPKEVTAAM - ->sp|P89063.1|NSP4_ROTF6 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNDTLHTIMEDPGMAYFPYIASVLTVLFTLHKASLPTMKIALKTSRCSYKVIKY -CIVSIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIYDMLIATSVD -KIDTTQEFNQKHFKTLNEWAEGENPYKPREVTASL - ->sp|P89061.1|NSP4_ROTP5 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITLMNDTLHSIIQDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVIKY -CMVTIINTLLKLAGYKEQVTTKDEIEQQMDRIIKEMRRQLEMIDKLTTREIEQVELLKRIHDKLAARSVD -AIDMSKEFNQKNIRTLDEWESGKNPYEPSEVTASM - ->sp|O56850.1|NSP4_ROTH3 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNDTLHTIMEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALRTSRCSYKVIKY -CIVSIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIHDMLIIKPVD -KIDMSQEFNQRQFKTLNEWAEGENPYEPKEVTASL - ->sp|O12674.1|NSP4_ROTHV RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITSMNDTLHSIIEDPGMAYFPYIASVLTVLFALHKASIPTMKIALKTSKCSYKVIKY -CIVTIINTLLKLVGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKRIHDNLITRPVD -VIDMSKEFNQKNIKTLDEWESRKNPYEPSEVTASM - ->sp|Q82034.1|NSP4_ROTHM RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITSMNDTLHSIIEDPGMAYFTYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVLKY -CIVTIINTFLKLAGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKRIHDNLITRTVD -VIDMSKEFNQKNIKTLDEWESGKNPYEPSEVTASM - ->sp|Q82033.1|NSP4_ROTH4 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITLMNDTLHSIIEDPGMAYFPYIASVLTVLFALHIASIPTMKIALKASKCSYKVIKY -CIVTIINTLLKLAGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKRIHDNLITRPVD -VIDMSKEFNQKNIKTLDEWESGKNPYEPSEVTASM - ->sp|Q82030.1|NSP4_ROTH1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKFTDLNYTLNVITLMNSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CTVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDRLTTREIEQVELLKRIHDKLMVQSTG -EIDMRKEINQKNVKTLEEWESGRNPYEPKEVTAAM - ->sp|Q82028.1|NSP4_ROTH5 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNNTLHTILEDPGMAYFPYIASVLIVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLTLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIYDKLMVRSTG -EIDMRKEINQKNVRTLEEWENGKNPYEPKEVTAAM - ->sp|P30031.1|NSP4_ROTH7 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTVLNYTLNVITLMNSTLHTILEDPGMAYFPYIASVLTVLFTLHRASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLADYKEQITTKDEIEKQMDRVAKEMRRQLEMIDKLTTREIEQVKLLKRIYDKLMVRATD -GIDMTKEINQKNVKTLEEWKSGKNPYEPKEVTAAM - ->sp|P03535.1|NSP4_ROTHW RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITSMNDTLHSIIQDPGMAYFLYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVIKY -CIVTIINTLLKLAGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKRIHDNLITRPVD -VIDMSKEFNQKNIKTLDEWESGKNPYEPSEVTASM - ->sp|P04513.2|NSP4_ROTBU RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNSTLHTILEDPGMAYFPYIVSVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIHDKLMIRTVD -EIDMTKEINQKNVRTLEEWENGRNPYEPKEVTAAM - ->sp|B3SRT2.1|NSP4_ROTHD RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNNTLHTILEDPGMAYFPYIASVLTVLFALHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIYDKLMVRSTD -EIDMTKEINQKNVRTLEEWENGKNPYEPKEVTAAM - ->sp|Q3ZK64.1|NSP4_ROT41 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLTDLNYTLSVITLMNSTLHKILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSRCSYKVIKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVREMRRQLEMIDKLTTREIEQVELLRRIYDRLTVQKTD -EIDMSKEINQKNVRTLDEWENGKNPYEPSEVTASL - ->sp|Q9WAI8.1|NSP4_ROTYO RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITLMNDTLHSIIQDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVIKH -CIVTIINTLLKLAGYKEQVTNKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKSIHDNLTTKPVD -VIDMSKEFNQKNIKTLDEWVSGKNPYEPSEVTASM - ->sp|Q9WAI7.1|NSP4_ROTHO RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVVTLMNDTLHSIIQDPGMAYFPYVASVLTVLFALHKASIPTMKMALKTSKCSYKVIKY -CIVPIINTLFKLAGFQEPITTKDEIEQPMDGIVKEIRRPLEMIDKLTTPEIEQVELLKSLHDHLITRPVD -VIDMSKEFNQKNIKTLDEWDSGKNPYEPSEVTASM - ->sp|Q9QNA6.1|NSP4_ROTHK RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITLMNDTLHSIIQDPGMAYFTYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVIKY -CIVTIINTLLRLAGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQVELLKSIHDNLITRSVD -VIDMSKEFNQKNIKTLDEWESGRNPYEPSEVTASM - ->sp|Q9PYC8.1|NSP4_ROTBB RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMERVVKEMRRHFKMIDKLTTREIEQVGLLKRIHDKLDIRAVD -EIDMTKEINQKNVRTLEEWEWGKNPYEPKEVTAAM - ->sp|Q9PYC7.1|NSP4_ROTCU RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLADLNYTLSVITLMNDTLHTIMEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSRCSYKVIKY -CIVSVFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIHDMLIAKPVD -KIDMSQEFNQKHFKTLNEWAEGENPYEPREVTASL - ->sp|Q8V790.1|NSP4_ROTA1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MENATTINETLVEEVYNMTMSYFEHNVIIMKYFPFLASILTIAFTAWKMGKSTFKVTKTVAGSGFKVVRV -IVITIFNCIMRLFGSKTEIVSDDRLDALASKILAQINNQVKVIEQLTKRELEQVKLLADIYEMLKFKKDE -VDMSFETNKKEYEKWVKDPYQPTRAVSLD - ->sp|Q6QT02.1|NSP4_ROT18 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKFTDLNYTLSVITLMNSTLHTILEDPGMAYFPYIAYVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDRLTTREIEQVELLKRIHDKLVMQSTG -EIDMTKEINQKNMKTLEEWESGRNPYEPKEVTAAM - ->sp|Q06381.1|NSP4_ROTPY RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITLMNDTLHSIIQDPGMAYFPYIASVLTVLFALHKASIPTMKIALKTSKCSYKVIKY -CMVTIINTLLKLAGYKEQVTTKDEIEQQMDRIVKEMRRQPRMIDKLTTREIEQVELLKRIHDKLVTRPVD -VIDMSKEFNQKNIKTLDEWESGKNPYEPSEVTASM - ->sp|P89059.1|NSP4_ROTKU RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKFTDLNYTLSVITLMNSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTILNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIYDKLIVRSTG -EIDMTKEINQKNVRTLEEWESGKNPYEPKEVTAAM - ->sp|O92374.1|NSP4_ROTAM RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITLMNDTLHSIIQDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVIKC -CIVTIINTLLKLAGYKEQVTTKDEIEQQMDRIIKEMRRQLEMIDKLTTREIEQVELLKRIHDNLIIKPVD -VIDMSKEFNQKNIKTLDEWESGKNPYEPLEVTASM - ->sp|O11982.1|NSP4_ROTME RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLADLNYTLGVITLLNDTLHNILEEPGMVYFPYVASALTVLFTMHKASLPAMKLAMRTSQCSYRIIKR -VVVTLINTLLRLGGYNDYLTDKDETEKQINRVVKELRQQLTMIEKLTTREIEQVELLKRIYDMMVVRHDR -EIDMSKETNQKAFNTLHDWGNDRNYDDNTDVIAPL - ->sp|Q82035.1|NSP4_ROTHT RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLADLNYTLSVITSMNDTLHSIIEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKASKCSYKVIKY -CVVTIINTLLKLAGYKEQVTTKDEIEQQMDRIVKEMRRQLEMIDKLTTREIEQIELLKRIHDNLITRPVN -VIDMSMEFNQKNIKTLDEWESRKNPYEPSEVTASM - ->sp|Q7TDX0.1|NSP4_ROTMB RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLADLNYTLGVITLLNDTLHNILEEPGMVYFPYIVSALTVLFTMHKASLPAMKLAMRTFQCSYRIIKR -VVVTLINTLLRLGGYNDYLTDKDETEKQINRVVKELRQQLTMIEKLTTREIEQVELLKRIYDMMIVRHDS -EIDMSKETNQKAFKTLHDWKNDRSYDDNTEVIAPL - ->sp|Q77Q91.1|NSP4_ROTRA RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MDKLTDLNYTLSVITLMNSTLHAILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSRCSYKVIKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVIREMRRQLEMIDKLTTREIEQVELLRRIYDRLTVRKTD -EIDMSKEINQKNIRTLDEWENGKNPYEPSEVTASL - ->sp|Q6YLV5.1|NSP4_ROTRF RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTSSVITLMNSTLHTILEDPGMAYFPYIASVLTVLFTLHKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIHDKLMIRAVD -EIDMTKEINQKNVRTLEEWENGKNPYEPKEVTAAM - ->sp|P30030.1|NSP4_ROTH2 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNSTLHTILEDPGMAYFPYIASVLTVLFTLHRASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQFEMIDKLTTREIEQVELLKRIYDKLMVRATD -GIDMTKEINQKNVKTLEEWKSGKNPYEPKEVTAAM - ->sp|P04512.1|NSP4_ROTS1 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEKLTDLNYTLSVITLMNNTLHTILEDPGMAYFPYIASVLTGLFALNKASIPTMKIALKTSKCSYKVVKY -CIVTIFNTLLKLAGYKEQITTKDEIEKQMDRVVKEMRRQLEMIDKLTTREIEQVELLKRIYDKLTVQTTG -EIDMTKEINQKNVRTLEEWESGKNPYEPREVTAAM - diff --git a/seq/clusters_seq/cluster_1010 b/seq/clusters_seq/cluster_1010 deleted file mode 100644 index 727e14d..0000000 --- a/seq/clusters_seq/cluster_1010 +++ /dev/null @@ -1,34 +0,0 @@ ->YP_009337912.1 putative capsid protein [Hubei diptera virus 13] -MVKGKSVVCPQCGRRFASQKALAQHRLAAHAQPAPALRRAAKRVVRSKRAGSSLSVQTVNGNDRIGHWEI -PNNAGSGSLFSVIPIAPYMLNDTRLQAHSLLWARWRPVALKVRVSLAGASTTFGSVCVGWSPEPDFSKAS -SNSLNAQRVLSLKPSKEVRAWETVEMVLPVATDRKWYHTTGMHQEASHGCLVVTIDSAFGGYTGKIGFTA -HLMWTVQFEGVELDSVAGPVSKDTIQADSGWSHFFTTSDSGWDATRLTFKESSGGSMVPFSSAKPNFIYE -PDSSTRVHYVREDGKEADAKYFVRIQDYSTPGLALCASIADAKAYIQTGDTTKLLPYKSASSWVTPDDPK -FVGKPAGSYTAVRPEEQDLQSEIERLRQQLQLLEAGNEKWEVLGSP - ->YP_009337909.1 hypothetical protein [Hubei diptera virus 12] -MVGKKHACPSCGRKFKTAAASQQHHASVHKVASARRRGPVVNRGIAGTEVVTLSGTDLITTPYIVSGATQ -GGNLVNLLLDPRGLVDTRLSQVAQCWARWRPVKLTVRIVMTGSAFCYGSVVIGWTPDPTIHKTGNVLKRV -SSLVPSREVKLTNSASMNIPCLMPRKWYDTSGPSLDCTHGLISMTVASQIGGFTGAISASIHLDWTCQFE -GADLEDSQGSDEATIRQDIGWEHVFTTSDSSFDSTILTLKQHSGGSMVPFSSAHRGLVYTPTGTTLITYY -DSASVQHYCSYFAVVQGYATPGLLLFETFDKATAYIRDADTSNCLKYTKAGEWCSPDVVSFKPIHQKPAS -VDNPTVDELMVRINRLELLLRLNQPPVNVSNDPAVSEQVAHVERFQEDIAVNSRLAQERLHQMGTVLDPL -VTVAALERQYRCSPQTARGYRQAVQRSSDSEQTCSDGATQRD - ->YP_009337743.1 hypothetical protein [Hubei permutotetra-like virus 5] -MKCNVCDRNFKTVQDCAKHTAMVHPTKKPGKTTKRTNRRNRNRRLGAVNNQLGKQTSVGHDLIGIYPVKK -DMKPGTIVAYHVLSPQHMVPSRLNTEAALFSRWKPLSLKVTVTNSGAFTTFGALTVAWCPDPTISHRAEN -SVQLARISSFRPSKVVRLNNSVVLNIPTEMPTKWYHCDGIPELTSHGTLVIMVSAQTGGFDGFLSSTVHL -EWRVQFEGIEMPAVIDAAEILRPDPGWNPPFFTTSDGGYDGSRLILKEHPGGDMVFFSAGRYDTIYGPAD -GEPPIYAHLENGSSTEVKHFAIVQNYVHKGLACFNSLEEARSYVLTGSPDKIMRYIKAGDYSNISKFKII -EVPKSVTQIQAEIDKLQQQIEDQVFLDCPAL - ->YP_003038596.1 structural protein precursor [Drosophila A virus] -MATIVTTTKRISNNSGNNFSTRNRRTPKVTVQSVSSRQIVGKNCPMVQGPRRRGRNRPRKSGSQVAYSAM -PKTTLSGVDIIGVIEVQPQRAVGASLFAQAICPTAMSDSRLQQQSKLYSRWRPKKLRVLVVGSGSANTFG -SLAIGWSPDPANNISGNDTQNLNRVMACRPSSMNRMNQTTVLNIPVATTRKWYLTKGNPDDANHGFINVV -VASTTGGYTGSTTFTVTLEWVIEFEGAELGAVSIDEDITPDTGFQDLFTTSDGSWNSEYLTMKMHHGGQM -VPFSAAHQAYVYTNAPGTNVTYYLEDKTTLKTANFFAVIQGYSIPGFVMFASYTDAKDYIRTGDTGKCLK -YYTQGPVISPPIPKFRVADEAVQTVETNLLRRIDQLTEQLRAVNAPVTRTVALSKDTAKIRTKLEGMPDG -TTLSPFKVISEDPVAAQDFEIV - diff --git a/seq/clusters_seq/cluster_1011 b/seq/clusters_seq/cluster_1011 deleted file mode 100644 index 35ccb00..0000000 --- a/seq/clusters_seq/cluster_1011 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009337884.1 capsid protein [Hubei orthoptera virus 4] -MSPTTPKMSAKTLRNRRKRLARKARQTAANSQLLPGIGYNTNRNGVAPSRADPRTFNLLNNLGNTIDGRA -ACMKILHPCMETGNHVTKFPDGAVSTSVVLERRDEYSIPPPKDFGAVKLETWSCLVFHLPFAMCRTVVCR -YHAALPVSEQRVETALAAMVALFLDQDHNKEYIYPNWTDKDIGPTDNGNISQWSVLTSDTLTPELLLNSG -SDVMRSIRRTCMGVTVDLDAPALANQGRVITGQWGPDVALGTLSFNKDNAVEVRDIYDINDPAWTTNAIV -SSDEFCRQAQAKYGSYTPIRPIGLDVPFTPITEKRVISMRSNLAGINFDTVEAFGDLWLRGWCTAVESWD -GMDRSASLRMKIREDIELIAAPKSPYSPFSTPALPDDLRARTMIQEFSRTQPHSYPAEDNEFGKLVPKIL -SGIAGALSNLGIPVVSPIASVVGNVADSAFGKMVGDWLDKLF - ->YP_009337882.1 capsid protein [Hubei noda-like virus 8] -MPQQQNNTPALNVNLNTNGRPSRSRSRKKRSKRSQSRSASNVIRNRVMLGKNYTGNPLPGDVLFALPPNA -TRSGFKPNPADPRTFDAFTNLGNTSTGRAAAQKILHPCEEGAQPFVRFPDGAASITTAFERRDEYMISRQ -YTADSNMNILVVHLPFLRYNRLVIQWDSSQSPTDYDLQTVFTEALTGVAIYPSWNTKTTGDTSDQITYYT -TILSPNVLTPGGGGTPEAARSYLRNVRRVYYGSTQDLDASSLYDEGRVVAGQWSPDVVLGINRARDNTVQ -NSCYRLSVPALTSESIVQSDAYRYQALAKTGCYMPLRPSDPDVQFSGASEQRPLEIAFHQSGNITGNLTT -VDDIWLRGWNIGVELWTNIDYRANLRYKTCEGLELSPSANSLYSTFEGRGYPRDDRALSVIREFARSQPH -AYPADFNDKNLLWGQLIRGLGTALSSLGLPILSQVAEPVAHAIANYVER - ->YP_009337880.1 capsid protein [Hubei noda-like virus 9] -MANNNNNAQNTQRGNNSNQPMGTNKVKRSKRRSKQNVNNNAKPTYNPPNQTRSGRMPNPADPRTAIRNLG -NTQLGSCAAMKILHPCNEFDIEVVKIPDGSLPTSVAFERRDEYEIRAPTDVGVNSWTCLVIHLPFLVHRD -VVLRWSSANTYADSVIYSVIYNILIDPYQPTAESTGVAIYPRFDSASNLPGSIAEFAGIEYSLLASSSLT -PALLTAPGNFSNLFKDIRRTCAGYTTDLDASALYNQGRVVSGQWTPSISEGTIDVQTTYTPPDGTAFTYV -NNEYDCWDMTLPAVSSSTIVSSDLFRRQAEAKYGSYMPIRLCTPKVDLVSSSNLRQIIARAPGISAANTN -NTAQFEANDLHLRGWSVGVELWMNLDPHAELRLKVVENLELDPSPSSVYSDFMTPGYPQDDLALSVIREF -GRRSPHAYDADFNRLNKLGTNIISGLGNVLSTLGIPILSSVAKPISNLIVDKWGYKPSTYSQDAPSLD - ->YP_009337319.1 putative capsid protein [Hubei permutotetra-like virus 6] -MNETMAGVNRDKNSNSSSKGNGVKKPLTPQQVANRKAKNARRRERRKNKLAESQVMGLTPMMFRGGEAGL -NPADPRSETSVLQMGSTPSGRTAALKVLHPNMEACQMVVKFPDGAVSTSVSMERRDEFEIPPFPPTVSTD -KWDCIVMHLPFLVGRQGVIKWNSTLTTPSEATLQRVVQVLLENIGSPDRQYPLWYAYTADGIPFLISILS -SSVLTSGVAYSGVSGLSLYAKSIRRTCLGVTTDLDASDLYNNGRVVSGQWTPDVTTARHDTTDIVTGFVM -HTFDIYQMQVPAVTTSSIVSSDEFSRQAEAKTGSYMPLRTCSSTINMTPVQQWRNLAIELPGVDADTITS -SNCNDLFLQGWSIGVEYWSGLSNQANLRMKVREDLEIVPSPDSPYSPFATPAYPDDVRARAVIQEFSRKQ -PHAYPASYNENNILLKKLISAVGEVVEDLGLPILSPLVKGVRNFLDSKLWSHISNLF - diff --git a/seq/clusters_seq/cluster_1012 b/seq/clusters_seq/cluster_1012 deleted file mode 100644 index 53a2a0c..0000000 --- a/seq/clusters_seq/cluster_1012 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009337704.1 putative capsid protein [Hubei permutotetra-like virus 8] -MTNEKKAVKTIEKVEKQLSKDINGMCEMENAKRGGSSKRNNARRKARKKQSRMAGTSGPLVDAPVARGQQ -IRAAPQKSQRLRGNDRLLFISDLAKYGAREVIASVPFVPQLCPRLAKEAGAWQRIKYRKLRFSLVPQVGT -ASAGGTVLAFVKDSTDPIPEGEDGLQRLFAQDSSVASPIWEPSNIEVVGLRDLYYTNFNADEPRWSSPGS -VCIMTEGKPSVTGSATLYCEYDVVFSAADVDEEDAEAGVGSIFVVELPKNNTIKTDNNENRLAADLGTGL -TSKPDWSKVIKGAKAGDVLMCPTPRSYVCAKAISTVLQYQLATFKYVKYETNGELVPCGADGTAHQATSL -GYTDCFWSGEGFKLVSRAKTSDLNSKRGLKLLCCPYARQHMSNFLGLPNQEGFEVLLKPTSSP - ->YP_009337649.1 hypothetical protein 3 [Sanxia tombus-like virus 2] -MAKTNQNKNKPMKQNGQVARQSASKGFTSMGYSNNNQDPRFSNSSGGTVIKHRELIELVSSQTQFNVAQK -RINPADRATFPWLSAVAAKYEKYRFRKLKFQMVPHAPTTASGTLGMYIDYDPSDVPAPNASAFFSSGNAV -TSQIWLETSVQAKPQQMQLFNQDSIQMADLEIKWFDYARIFFYMQSPGSNGAHTAWLFVDYEIELFTPTA -NVEGSKFTPDFTGFAYWTTAQNTAAFTNAPTILRGLEYVQEDGEAKLTVPYSGYYRITTLTANTNSGASV -TEIFESPTCRIINGLDGEGNPTTRDQTVIVQLQQGETYVPLRMTTGILDLFADPDNDPSPLGVEVVLLQA -S - ->YP_009337622.1 hypothetical protein 1 [Sanxia tombus-like virus 1] -MDMWRILRRLCFVRRNRCILQMATKWLGNLNLLCSRISIVDVISLGRKYLTYGVLVQGKVGQPQLVTFLS -TRLFIRPFQTNIVLHTCRKLLLSSRLGLQCYPKGFNLKFVAQNPEHATVFGKLLEQLPSSNWFLNNILAR -FKLGINNPVLAICSKVSPSIGLSGPEKVIIKMAKTKNKNMTQKVGATAMGYSNSYKTPKMQTVGSSIIVK -HKELIRQVASQSAFNVAEARINPADLESFPWLAGIATRYEKYRFLKMKFTLVPQVPTTAPGSLGLYFDYD -ATDLPAPNATQFFSNLNAVTTQIWMEASTVVLPQPMELYCATKYDGQNPKWFDYGRIKYFMQSSVQCLGY -LFVEYEVELSKPAQTVGQISRFSGHAYWQTLSQSADVPVGTPTWIEAVTVDGAPGQISVPYSGWYRVQAI -CNSTVNTATLIEICGSAIAALPLGLDANTNPKTYAYTAIVFLTANVPFYAFKVSAGFVDVTADPNGADSP -LGIELELVGPV - ->NP_619753.1 coat protein (p48) [Oat chlorotic stunt virus] -MTLNLRKVPAYLPGKVDGALTNLVHAAVDHVVPGLGKAEKAAAVYNIKQVVKKLGTYTEQGVKKIAKKTL -GELGYLNYTPSSHLGMAITGRGTKQINMSRSTNAGGFALGGTTAAPVSISRNINRRSKPSIKMMGDAVVI -SHSEMLGAINSGTPSSNVTAFRCTGYRANPGMSTIFPWLSATAVNYEKYKFRRLSFTLVPLVSTNYSGRI -GVGFDYDSSDLVPGNRQEFYALSNHCENMPWQESTVEIKCDNAYRFTGTHVAADNKLIDLGQVVVMSDSV -SNGGTISAALPLFDLIVNYTVELIEPQQALFSSQLYSGSTTFTSGIPLGTGADTTTVVGPTVVNSTTVTN -CVVTFKLPAGVFEVSYFIAWSTGTAAVVPTVPTTGAGSKLSNTSTGSNSYGVCFINSPVECDLLLTATVL -LIIPTLPSSTCVFHAPARRCTTPMCHRLLTSLAG - diff --git a/seq/clusters_seq/cluster_1013 b/seq/clusters_seq/cluster_1013 deleted file mode 100644 index 13afd9e..0000000 --- a/seq/clusters_seq/cluster_1013 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009337398.1 hypothetical protein 3 [Sanxia tombus-like virus 9] -MPQKNNTNKKVQQKRGNGKPRTSTRKGKSTPVVTPMHNPSAKPTNAFHSAGSDVVFFTDDVKTQLGSGYV -LADILVLPDTIPNLGKQARTWDKWQPKGMTIQVNPGANTLTKGCYTVAFIQDPDDKVPTQDKTAALSFLQ -GRPGTRTEKWSQSTTLKVKMGRKNLYTSLATENRLSAAGRLVVISEVDPSESFSCKVTASWDVMFTNPSV -QDNPGSDATRASVVDDGVVVWTVGGQAAVKGSPLTGESFRPALPTGSVVLLNRTVVGTDGVGSVTGSALK -VTDSGVDVGQWLSSWSDSPNPSAEVALANPGEVFTIVTDLVPSFLARMLATRGQPVLSKSRCGTLRMWRD -GLPL - ->YP_009333379.1 capsid protein [Beihai mantis shrimp virus 6] -MVGQQSIGAAVTTVPVRPPTNSIRIRGSDTVTLIKDVATIGTEAGDVLIDLRLVPSISNRLAIHATCYNE -IFYHGLKARINGQASSLTVGSIIMAFCSDPADEIPSGVEAIAWARSQQCNVSGKYWETIELNIPHSQLVG -PNGGCFKNNAGTSTAPRTYSPGFLAVIVVSPANQSTPLELQLEWDVTLRNPTLNTLVEEGPRVVTALKDF -GLQGSATADQAYDPNLKVFPEGDLAATDFSPSLEADKYYVIPGGQVTVTANTGASGAPASVVATHIGLVG -DKVGLYRYLISSDEFKAITATELPYKPSLLAAPTWREASEWKTDPDSPGFGTPTQGFRLLSLQSSPSRRR -A - ->YP_009333369.1 capsid protein [Beihai barnacle virus 11] -MANGRKRNNNNNRRPAQNPQAAPNPRRRPRMRRGTGGVALVGSNQSTLRVNNPTGGRAQGGSDLIAALTI -PSSVEQGELLVDVIVTPSVAARLETLATAWQRLKYHKLMFEVNASGSSLIGGEFVAAFIADPTDVPPLRG -ADRWVKAHQGSVTSSWWRSVNVHGPCPPQVMYTSYEPNEPRFSSPGRFVLAVVNPPSSDTTMSISLNWHV -TFMQPSLEYTIEEDSIYVLEEDARLKLTDFSAGQPFDPHLRKGLDGKDGTAYLSPEDFTPTLPEGVMLRL -PHPKTLNADTGASGAPENAIVTHMGVSIAAGSRRIAYFYSTDDVHYTQLDASLPFSIRPVADPINKKGTV -YEEDVLESGNATGALARSARVPRSLTSAPALRQSSKGKLLSFQNSKRF - ->YP_009329891.1 capsid protein [Beihai sphaeromadae virus 3] -MANGKQNNQRRRVPPNPNPPKPRANRAPRRRNMRPSNGNVTLVGANQALQRYNNPTGGHRQTGSDLLTAI -TIQETVNAGELLADIIITPSVAARLEVLAAAWQRLRYNRLTFEVNASASSIVGGEFVAAFVSDPTDRPPL -KDADKWVKAHAGSITSSWWKSMNVRGPCPPQMMYTSFEENEPRFSSPGRFVVAVVNPPTSAATMSISLSW -DVSFTQPSLETFIEDDNNIYIVNASSRLPISDGSAGQTFNPYLIKEESDGSFNDNDPITNYLAATDFTPE -LPDGVLLQLPHPKTLNSDTGASGAPENAIVTHIGVADGAGRIGYFLRTEADYFQLLAGFPFSIRPVVDSI -NQAGTIYDGEAENEVATLAAPSRGRRSLTSGPVLSRSSKGRMLSLLNSKRF - diff --git a/seq/clusters_seq/cluster_1014 b/seq/clusters_seq/cluster_1014 deleted file mode 100644 index b2c9383..0000000 --- a/seq/clusters_seq/cluster_1014 +++ /dev/null @@ -1,167 +0,0 @@ ->YP_009337351.1 hypothetical protein [Hubei picorna-like virus 65] -MLVSSQILKYTKVIMTTFSKPEKTNKPIHKSHCFTMANRRSNRMTQEIITNMQPSSYQRLGFHASYENRH -RVIWEYIRRCNRSGTISVDTAQEGLVEMPLEFMVKLREVLAQHLPATNFSILTGMASFTGLVGSIYLLCK -SMFAKDCMSIFASLSCLLSTIYFSAQAIKHAMKATPDMITAKVFELYRNILNTWGINQDPDQAQASSGTP -LHPAASMTRSMVMVTTMVASMCGLNVLNGIRDMNTIVTGAKNMTDVVCDLLLDVFGIDLSGVAELKAAAI -ELEEKGQKFLGYATKDFNGQIFQDAKSWMTQTETLIRTVKNSNFNTNVLQGLHASVLKRVTEVQQLRSQC -HRRPAPACLYLYGKRGRGKTEFVTSWLFPSLSQTFGLNEEQSQVYDLNSGKHFRQIAGERWAIFDEYGAL -RQANQTGMDPTTFNTILSGGNATIPGAAVETKHQTASFNGVVIMSNRKASDVDVGLDPKSKDAFCSRNLE -FHVVDPRYVKKLGRYGQTHRDRNFNYLQFRHYAASQADLPAYIPPQFWDPGLKAANMRAGDVLKCMINQI -KQNQDNFNALSTPVAPVTPAGFDLWQHDVAQEGTRVPVFWIAGGPGIGKTETYVPTIENLVQSMGMQICH -GYETRKSTAPTCWILDDVVDTTTVVGQTKFADQYNSCQTSDMIIVISNFGPISRFPTYGRTSACRPISYL -VPSFERRSGLQTPLHYEVTSTGIWNYDGRTTKVNLMKHIVRVHMQSDIEFVSVVSMPPIPWDVEIVSDNP -TPGIDCMISALRGVIKYPALTAHAIRVLTQARGKPIMPTIRSLLQYVNLQYPTLKIRVACQIHQYAYADG -KVYHMAGMSAGFAPVDADSVQIDAAGVHMTVHRNTYLNVVNRCLTTQDDTAEVLLLNDLRISDPVCWKKI -ETHFPMSLTDRIAFMGIYVRDKALQFWEKLKEQPLLQLVVVVIAFLMIWWFTNIGKPRQCACFGQDWGDI -CPFDEAQMAKGKNKGKRSNLKHFRSLKLGDKIIYKDDIEGELLLERIWQGVEHQAKAGNKTVTIDTGLVS -YQGFYDESDMRWSLTVVDSAQAALFDHPIYEKIKKNTVVVTNAALTRMHAFLITPTIAVLPRHFCLTPNP -WKIGDTDYTLREIYQDELREVLFCEVLYKGKPASYPNAQDMRKLIPSMNIVRGISTATVTTFRQGSLCML -TQKYHMEEKPTPFSTAALKHWGLNAGMVSWGEMPSFTAGGDCGMPIVGHFADEYFIIGVHAASRSQQQKY -ASVVLCSELIADVLANRRPRDEVHCGQSAVISVFEDKQIFLGGPYQFPEKFNDFMAEKKTGIAYEPSGAV -TKLGYVKNFKPMDSKSKRKFFPVFPETVQGWKRKVPALTESEIFNDYQDRIPEDVRGLKHVHLIRTIVLE -SNYYRSCFQERAAQVARQLGEHYKIVLDITQLNPLSIDGALKGSYQALGVDMRTSAGVTMHFLTGSTMKQ -DMLGADGNLIPSVQALTEQQYELARQGMRLTLPADANLKSENLLERKEWKKRVFYNVPLPTVINLKRFVA -PVQHAFQKLGMKSPFLFTCYPLRDWDTIHDHLMEKGGRWICLDVSGFDHSLNGVIITNTAEFLIPFFEKD -EIKPRLRMCIRTFMEEIAFMPLIFGQTLVGKEGGLPSGIYGTSIVDDVSWHIMLLLIWLDLTPYTVQQFF -DFVIVKLVGDDLIMAVDREVLDSFNAVTIASAASRLFGMKVTPAADKNGTLVPYVSVSDASFCSNTFVHM -DGHEQMVIPKLKEESIASCLEYSKAEAPWERYEQYIAARHVVWPYGRAKFESFERELLKFAKQFHLPHTP -MSYDAAREDIWRVVTSPEEISERRTERYLLEAERKQCTNMSQKIVKLRKQEFVSLAFAATNPEELALVSH -DVTTFFKDLEYAKAIDWDDLMQWTRPITKEFYWAPKANTCASIGLILGIHPPRVTTVLKNWLGSLPSEET -TERAKRYNSTRLAVLGTLFIHGESDIAAFDDITSAYAAARHFLVIPPPVPKPKNEMRRPYDDAQMNANLP -ETSAYGTGEGGVAMTGAAAGTQIDPLAAPQMTTTPAVATSSQELADSDNASVPAVLENMGGMDAMGLVNT -NYATNVVSLCGKQIYVKKYNLSSSTTAGTIIDELEFNPWDPNLVSQPIALYGGLHEVFNGSIDIILNSYS -AATIVGSIILAYVPPLLQKRFDPSLQNLKTVPSAVLNLKVGGSARVSLTGGSLTDCAVYRERFDDGSAAY -GKFIVAAYTDIVNSYGVGVPIPIVMNCALGANAYFSHPSYLVGRSVNPSYNPGPMPPAVPNLILDSAIIY -EPPEVVSTNFFFPGKGTDGYKLPLCTNGTASATYYTGSYAAGSTETSAVAYRGYFGSNPYGSSFDAIADS -TRLNAGDHATGVCQNPGSTTDCKLNLQGSTGGIWKDYPIKQFSYNGLLGTYYPTGSTNLGTVSASSAFAN -PEGSAYVRPHGGEFNDKAVELSIIAPTAGNQVDFLNRSVACYAIELTPCNTAITQNFTASTTNLLTAKEA -DTYGEFNFTGAPVLAASNVTSTAAPTGFVQIYFDESSIIIPAVIPTVTLKRSMPYPKETNDFNQRAKTFF -GTRPDIASYSYDVTTTTGETVCTVLVNRFGCFVYDATTSDSQYALLPNASTLQYINYQSYATEYPSIPAI -VADKFLSRVTSASTYAYQTLLGKVAYRFGKADSSTIELQMDEMWKRILELQTSERTAAYRSPRLPYDNAE -MFAAEAGLSAVGAIGGAFARKNQYAHETEMQKRQLKFWAGGQALDYKKATELGDLRAKTEISKANIQKDM -QMAQYGYNADAQMHGNALNTSAGTTSTGTQTTSTSTASTQTGGRKTPKRQAPLPPGGGNTLSPLGNPKAP -GPPTRGAFEPPPSTRMSSVGTNTAGPSTTTTTDTSVSLAGDSRAFGESDA - ->YP_009336787.1 hypothetical protein [Wenzhou picorna-like virus 46] -MLPYPQYRESILNTLWESLAQRTLHRGYTRGEFGYYYNTRLPRQFEQLLADNLEIVADYWRVQGTANVEV -WDHIKHTIVKILCAASRVSALQWTYSTLTKVRKEAQPFLDRLKCRAGAQPRRKSQTVHNKPQKTPPSSHQ -YSPHITRNDEGTWISGRSGQNSECVWDAFACILYQSGLVDQKPTVSELKGHVNTNINTYVDKYYPEGLRF -AKKTELQIQAAPQVVTVDGLAGLDVLAILADHYGVSFGVVDAHDGLITEKRPWDHYIMGNWSEQYNPLPG -LAIMFRSEHAWWTDSWTAPLEFKRGPKQVYPDCLLDLFTPAKAPTTPTTDIPTSDATQQTNGDQQPPDTI -ASEDKAQLDDSSLPSESQSSSSATPELNVSAPPFVPAAAKCESGVNAPKWTFPPPVDENGKPTEPPIPNT -SFPNRNPADEKKDVFTASATQTENDGSKVVELILNMLRERAESLGTVTKEMAKRIVPVAVMILSQIYNIT -KMSIAGLMDATTIVINVASFVAAATSLYFAITDALAPKHIISAIGDAVRELCSKPTFCSDSYKLPSGYGT -ALKGVTLLGVIIAMATGFGGSVTALSKAVQATNIVGNFSTDVTSSIGAALGFSHDKDIVINDQIRAQSVI -IDRILCTQNATWVGERFEEVRLACIQAREVLAASKVSGCLILKNQLMRNVAEVESRLHALEQDRSARGQK -VVPVGLYLWSRIGGLGKTELAIYLPKLMAHKIKGFNSHTYHHKCESNHWNQYLGEHTVHFDEVGALKNST -EKSPFFYLNELVSSHCGVFPGAALEAKSQTLKPLLVICTTNFPLDEQNTGLKTDAYNALKSRFIQVEVVD -RQFKLTAKADRCTQAHRQADFSHLEFRLTGGQEVNVDQLATLCCQQLQRNIQNYETLVNCKVDHYPIFMG -PDGQMELPEWCSGSDLKKYVHWVAGKPGTMKTTEVIPLFMKAAELMHMESMVFNDMLTAETAIPRMPEDL -LIVLDDAVRIDSDGQSRLLVFLNKLPIGAKVLIISNHGPEAGWTDISRLFPGHRPKDYYDPFKLVAPGLA -RRCGFDRTTQEGTLLIKKLAGLVRERDNKTFSVPDILHDWFTINTGSCYPQQLDKLPSPLPFSSGRSDLW -IDVPDTTSVTHMMAAAISSSSPRLKKFLIQSGMYNMSFTDLGKLTRTVVNKALKDNMEFRVFIKKGTTCY -YVHGSKYFVGSTTVSLRWTNPQTKLDIICPDGQSHIMSQEIVDAVYAKEEYQGQDATLFYWLTVERDNDT -IMWKEVLKKWAPSPQVVAVRQRNTWKQIGVKVAFWLQDHPIAALCIGAAILIVPIILWKLGKKIFSKSDK -QVILCNKCCMTTDRPLFHPECPGSPQYSDWCAARGKGTQPIGVKYNGRFMKYSDSEHYVIERPDHQAKLA -EAEVGYHLDSWEGEFKIGDIKYKHGFTTDPSGVKQRWMTAEAEFCSGSDLPFSKNLVHITNPITGDRLYG -MLMTQNVVRFPTHVMRSDWTTVLDMVADGKAYKIQPMVNYANADASYGLVMDTNQQRASVPGIKDITSQL -VTSAELALIKSCTVYDPETKLVSTNLPMTYTSLGSPPVTPAGVELSDKGCVMQDIHTVVPFLKKGNCGAP -AVAKVGASWKLLGLFSGNLPAVNARTFPSTTVEQWNDVVSKLNVVECCSSRYALSPVKVNFLLSPNAKET -FDKPVFTEPYFATHIQTPKQDDPDVNHQEGCYTTIAKLPNPLPMDQKCRKAPIAEGAFSSVFGNIKSPVG -TKIEIQTHHADKIPKDTAGHIHAENVRLNAAKKNIAGKQHPSSGFSEKFQHHAEMLGAFWSEQTSTRYTP -LSAEEAIWGTTKIAPMDRNTSTGATFQLLWPGKSRKKDIFGETRGDWIGECGAWVRERIEYQWEAWKRGE -MYVIPSSFTMKAELLDNKKLHKKRIVNVSDPITVVNMRRLMYPMQQAFTALGANSPFQLEMDPLVETHQL -VKKLMTFDEHYDLDASAFDLTVPETMLIAAGKFLQGFSRSQKVLKQMLETCFATISYNPVLCGSTLMTKD -AGVGSGICCTSFVDSIVIALSVYFSWQNSLVDPWTGCPELYYENVWTYSTGDDTTISTHSHSPLKVKGDA -VVAFAGDILLMKYTDAGKEDGVEVVPKKLLELSYAGRSFCELPRYPGCYTGRLRESALSGAMCWTSSQDP -VEISVCLFALLPEVAIYGADKYRQYTECLKVLYPGKLVPRWEALLEGLHQQIIASVQNNLIQERQFKIEQ -LKLADLPKVIPVKLNTDTLNNVYDSIKTTHTPKAEKMQPSNPKTMAKRVRAEALRDPESFHRGPAATQLC -EAEILYQWVYLQAVPTVRVPAQNSPGLLRDLMQAATGKTVCWVSTYAQLQIPQDGGSHWVVARQLAGLAR -AAVKASEGGSPKQLAERLLRAANIPVPWPDPAFNPKTTHNQQTNFEACSSTPQQRLATQMAQLAVGYDEV -DSGPFAMPPISDDWMRDLTRKDIDTRYEACSEKVASAGTAAPPNMEPASIGSANSGGSLPVGAQPDPLVA -TAIADTEVVRAITGSVKAPVILQQAGGIGRELTPQTGNAMNVFTVAGKKIYKNIIQINTGIGEGVVIFDY -EINPWNQEILNNAQYYLANAHRRFFGKLQVHIDVVSAATVVGSLKIAFIPAVYAKGFKPTQSNIDAFNPM -EFALNVTGSGMIEMVPTGGEYALTGVYRDSELKSFGRLVCISATNIDNSYASPLSVQLKLAFSASEDSVY -DLYILQDTPDSDATLDNSIDLPIDNEQFIVSDGLRSEGFDLLDRIPGKKQLAEVSINGGMLDITPWIYGN -NDDDVAWVCTTNPTRSTNSTSTTFPLPPNVVKANNPACQWLLYDAAGSKKLHVDTAEAARIQADVREKET -DMVYNGFSAQGDLRGRANAFCYDIRDAQAEGHDMRFDSLMNDTVEQILNPRSPVRVRPITEILERSDGLI -GGNKFVFTPGSGILKPADPRTIDKSVYWGEAIQGVCRLKVTGAIRCTQTSSFGNNAPPGMYAAKLVGLGD -VAPAVNPNLTGQSIAASPRHLTAMDKAVKLLNTLGAQSVVTTVVGQDGTAICQILRNRKGMWIAREGSTM -YGRIKEFLRDCTQKHRVSNVEFPSLLPTARGIMEDRVINTQLAAAMVDGAPQVDPIPYDEFVQLVKRRGM -TQVMHACAAAVGAIGAGALGMVGNMVSSSMSSSASRYASDRQEEAARMVANTNYSAAVYTSNNNLEATRE -TLKNQFGIAKYNADKNLAGVYYNADQSFAAQKYSVDQNMLFNQQQLQYRQAVLNQEALAGTKLVGSGTFW - ->YP_009333460.1 hypothetical protein [Beihai picorna-like virus 110] -MLSYQQRMERRLRADFSSCNCHSLWNDQMCIPYGCLRKYHFNSASVVKSIVNDLSKGYYKTLNLHTPYHK -RNLISFAVQRQRKVQDPTPEADLYDLMDITGLVTDHLKTHVYNEAKATMIDNWTYIVRIVIKIIKIIKLT -VDKAYMTLILEFLDFGLEAVNWFYKSQTKPSNEEIVSKTMGVLQRYLPQLVHMFNQEMRSPNMDERLIDY -TPENNVPQTTPKLAVTAITALSVTISIIATFCGYKGHALKTLSDNIRSAKDITSNISNLITSLFDIDMDG -TGEMQQTCKALEQQGVKYAELPIREYTTDRVQKIRLWKSSAEDFCRLANKNLVNTGTLVSSLQKIAEKLM -EVESHRQENIPHPVPVSLYLWGRPGHGKTEFLEKYLIRALNTKFGVTGTRGGVFDLGSGKYFKTVQDECW -AKFDEFGAASTPSTQGLDPTTLNAMISGYASTIPGASLAAKQQTARFNGIFFLSNIAPTDAALGLRSDSK -TAFVSRLMRIHVSDPSYDNTSNRTDQPHRKPDFSHLKFTLIGAELYSSNLSKIGKSILPGGAGSTIDLTA -KEVVELTAQQILQNQKNFQDIHNGQLPGFSRISDDLWQASPEMHVETRVPTTWISGPPGTGKTSTFVPRL -KANAAALGLRVQTEMAVDTLEHPTMFILDDKVDPTTREGQLQYMQFYNTCKITDCIVIISNYGMHSTSYS -TLRKAFSYVPLIPSIYGRATGKPEYLQSPCPTIQRLEHGFWRRSGLEDRHYEWYGGIAYDLQGKKYESND -ELLNEIMEHYVSNSEIPIIHETPFPRDVSWDIDITIPENCTVTKGLQLVYSAAKTARGAAQIPRIIQLVR -SQTTLPPASQLCGMIRQLSPELCVRILTPTFEYAAVKGQFYSTGVQPSQLGDLKEESFQLKILGNNVDVP -VTEYHKMLRGEGDFHQPYASVLASVISEKGKDYELLHNEYPLPLKYKLQEWKRIAAEAALRTFKSMLKYP -LLIIALVTGAVLMMKFRNSYFKTHRCVCRTVAPDELCPFDFPERKSGRGKKSGRKAGSRHTHFQKIYLNG -EFIYEDDAFDSQLLERIWHNLGTGARQGKTQMKMNQGGYEYDAVFDFDDNMWHVKSADYAEIYMTETKLF -KKLDTNIARIYSTKADMYVTFITPLIGVLPAHWFHNNGGPYWVCVNGKEYPVRELARFPEREIAFVQAFS -PIPGVANITRWIPTIDETRTVSLATIAHKRGDCISTFTSPFTYRETPVARFSSELLKHWTANCGIVSWGD -SDGSFTRPGSCGSPLLANIDGTLRLIGVHAAKSSAQRHWCSVMICQELLDEIAGMAESPENAVRDLDVVD -TDWGLRDALPVVTTPAVKALITRKRRLNTVVSQGAVGDIGWINNQKPMDSKCKKILTHDFEQTLEDYDQK -APVLDEIDILVNHTDKIPPDAVGLPYVHRIRTARLESNFPLVHKGLFMEIAQEMGNYYMMDMRPLRQLSI -EEALHGSEHVEAIDLSTSAGVIFSLIAKIRQKQDFFKDGQPSDLLLDYTNKQYELAKQGIRLALPCDANL -KSECLPVEKVWKKRVFYNVPLPTVINLKRLLQPIQEAFQKMGIKSPYLFTLDPVKDWNTLAIELREQGNF -IVSLDVSSYDHSLNGSVMEALAEYFATLYGGNKKETAKLTVVMRTFMQEVAYMITILDKTVCQKQGGLPS -GCWGTSLIDAAAWELMLYASWRNLAPVKMRNFSCFKENVTAKFVGDDAIISVGPFARDFFHGLSISKEMG -NVFHMKVTPATNKDGKIQKGARLEDSTFCSKGFVRLPEHPSIWFPKLKEASIHGALSYTNETSPPLLYEQ -YIAMRREMFSHGRMRYTAFERELNDFAKKHRIIRHTIMTYDDMLDSAWRLTTSPGINFKHVQAASMTNTE -PALPRDNQTLQKFNPTFEEPTIPRMSSKAVKALKSRLWQVAHDTCSVSCANSVASEDVRLLSAIPQELID -PPKNPIKIPGTSEEIVVMQMGSLCATRAYFADYMEDAICPKVLADQRYFLSKTQTDKALRANECIRETQR -LKLRLERNYNGPPRGGLYFLRPSKDYDYKDRPCINCGGLMDEPECNFKEGDTFSSMSCANQMDEPEFDLT -GDFIDEDDYYGHGTDAYSFYTFSTANDFDTESEEDESEWGAVGGEPSYCCEKKCFTTPWCQVDGIACRKC -MSFNYCQCDCVTFQEGSKERQKVTRDGEIADIKDKLEKLWKRLAVLTKEKEEEEEQEGEEDAEPEMADHV -RLPELGGATTDRTTVSSASSAAVPTKEAVVDPLAAPALTATPAASLVSQELTDSDNATVPTVLATQGGLE -AVGLVSVNYADNIVSLCNKPILTTKFNITASMSAGTIIDSFDFNPWDPLLVSKPVLEYGKLHNMFVGSLE -VYLQSYSAATVVGAIIISYLPPELVEGFVPSLENLKTLSSATLNLKEGGCSKITFTGGNLQDAAVSRRRI -IQGINYGRIYIAAFTDIVNSYPNEIPIPVMKLCALGPGAYFSHPAYLSPIGGTDPDINPGPTPPIPDTFI -LDGQATLPIPQFISATRRGWTNFGKSGDKFTFLPAAFEFGDYSGGKKYRDVLSHWYWGSTEYGTDPRAVE -LGLPNPGSPNDRSGFQGSVCRTNTGPYIRGNKHGIWKYTGLIMNDHGDSNSLDIGDRGEHLAYYAYNNES -TSLVVEKLSSNYVKGFHSDVILKLLREGKEDVVMIDDAATGWRPLKNSHEGSQIAVDLMGAIIASEGPAG -TSIPLGYVALNPDTDLPFIPAVAPGFSQHHSTIYPSWSLNHFMITARAFFIAQDLTSYAYDLVNSQGTRI -CTILVNEDGLFTFTADTTLAGVYGMFSGLTGTHFQNYRAFNQKWPVIPALDGDFFISRLKGNRISQSRDM -HGVLHTFSGECTTYKEWNAQIESIREEIFALRKKYWLTPNTDDDKPPALEDNDEDTAPEVSSAVPEELFA -LRKQDWLAQHNEDYLDDDPDLLDDTVPEASAAIAGALIGGGVLQGLGSGLGAFATTQAQWRQLQAILANK -KDIASMVNRAQLYGINKRSDFAYAQLGVQEAARQQGYGNNRSQPLRSPSSLVNAETQTISPQLEQATQTS -TVDNVRIGENRNGTLRDVRPGNVNRRIDQFENL - ->APG78612.1 hypothetical protein, partial [Beihai picorna-like virus 109] -MAWLPIAVASTSVITTTALLIRAVLRDDMTDISLSAISFGCSVYALYTSIDPRAIKMTTDALTTMIVRNV -MRCWTWVQEDEDEGDEVFEDAQAFPEAAHSSPVTGGLHNIVKMSALVMAITGEIAGFECTKQATRINSVI -SLAASTESIVSGMLQSFFGLDMDGTQKLRSALQATEEQGQKLLDTPSQMLNGNTLQDAIAWKKEAETLLR -TIKTSVLSTTNLAGQVAAMSKKISDVQQQRATNISRPVPATFYFYGAPGQGKTQVTQYICQALNKVFGIE -RTNNTYNLNSGKHFRPLAGENWAVFDEFAAQRTPRDNGMDPASLNNIISGGQAAIPGASLEAKVQIAAFN -GVFILSNRPPNELDLGLTAEATVAFKQRLRCFEVVDPQFNQAQGRRNQPHRTPDFTHLEFRYIGHENSPL -PPGFGQNHQNIVAPAPNVRIDNNQRNLPQNNNARNNNHRNQAVQVPRQIRVPVLNTQDMINLVVADIRHN -QDTFTNLHQHPMVQLPDADFQLWTPEQAHCGSTLKRVCWISGPPGCGKTQTIIPTLKMTFEAAGIPVYGD -MERKDEPGVYILDDTVDVLTTRGQKEFSDFYNILSSDSMIIVVSNYGKFSSMKMSLSSWYTWHYRPVHCP -RLYEAFTRRAGIGTRYHYEFAGGSLENPWEKLQVDEFCLRQLSRFLDVNHLEIINAPYTGPRVEYDIDVS -VPEHAGIDDVISIFSRAGIPLLSRASELAPLLRIIQQNSHNTIQTAITRCMEYFKQHKVTLNIRVVMPNT -CYIYVNQVCYIQGSMETMIKPDSITPEGFTLIRAKYEKFIARESVVALQEHRFVPKCDLELHMVTQSIQD -TPDWRTLCKHFPVGYVYRIKQFMKAVHERGRALFNLVRKNHVMFTIMITLACALKYLFKGKVIDEMSCSC -NRTFKHFVDNFKPDPDSERIEWIECCHKGGGKGPRARQHKFHHFRSMRVGSKIIYDDEEDLDQQAERMFH -GLKLLASSGHTKGEFSAGNVRYQAYWDTDDARWNVTETEMVQSQVRESQLMKAFTHNIRPIVGVSGYMWA -TCITPYVAILPAHGAEMGHCKSGEYDVQFFYVDKAREIAAVKFLKGGKPQAIPGVKCLTDYILPVEQCRS -INKAVMVLQYPGKSLHTHATEYTHLDTVMPFKLSILQQWNLEEGVCHFGHYDSFYSFGDCGMPILGLYDD -KPYLIGVHAAKRRDKKIWSSVVISNEVWNDIHRDEPHQGMAGPDGIPVVVPSKFKERCNTSRKCTDYDPA -VGGAVSVLGYIHNPKPMDSKCHKVFCGDFPLTTATFDKKEPVLSESEIFIEHTDKIPEDARGKRHPFLIR -SKRLETNFYTAEMQDDLDDIATQLATHYQLKQGEPFKQPLQMAQAIKGCCEATPMDLSTSAGVTMRVMLN -KAEKRNLVDATGDPIPELKQEIDSQLSFAQRGVRLTYPADANYKAELLAPEKKWKKRVFYNVPFPTIVNC -KKFIAPIQDMFSRMGAESPFQFAMYPLRDWHSLGQNLLSMSRRIASLDVSAYDHSLNGAVIKAVGTFTSQ -FYEQKYHTAIKTLFAECAFMPIIFKNTVVNKQGGFVSGAYGTSYIDSIGFLIMLAYCYREEVGGSVPEFL -RNVNAKICGDDCIFSVHPSVAEKFNGCTLVNPMKKYFGMTLTPASKQGQMIPYTDLDEASFCSRQWVAIP -DHPSHTVHKLKSASIYGALTYSQYLSPADRYEQYKAVRPDIFAHGKEIWDQYERELSQYARKHKIPHEVV -TYRAYTDHVFDVVCEEYHRFKPTPRTIDTSKGKDR diff --git a/seq/clusters_seq/cluster_1015 b/seq/clusters_seq/cluster_1015 deleted file mode 100644 index 3506dca..0000000 --- a/seq/clusters_seq/cluster_1015 +++ /dev/null @@ -1,39 +0,0 @@ ->YP_009337270.1 hypothetical protein 2 [Hubei picorna-like virus 55] -MFGFAQASANSFLPIMESYASTAIYMDCSRILYEVIPVCPELTDICLNFKTAQANEIFVEKPMPCSFDTK -QGTTVTYTPTIFRIYEFYYKYVQYRLTYWNIPEDLQKKFLQMKTYTIRFPTVNQISGYDNPTALPNLPRG -LRQLVFSPTPQYAVNPTFRGRMTVHTPALRLLLERLRDEFGPVVVEIVISNAINSEPVIIVRVDLSSADD -VVMLYVRVLDKAPLYATLRFPTQMLMINTIQSVYASNEQTLTDDSEFESNVIIANAGFAAAMIGGGALSG -IGQGLGQYAQTKAQMHMQKEYLDWQRKKQGYDIDNQRYLQQSMFDFQSSRLDREFQYGREQQERNINWGR -EQQSAAFSQQNLYQDTQNKFTKELVETNLDSDIRRARNAQQLAGFRTDATVSGVNFVSGRGGLGHPDAPR -GASLPPRSASTQTNSPKVYLTRPTGYYTGPLSNEERI - ->YP_009336631.1 hypothetical protein 2 [Changjiang crawfish virus 6] -MGLAFCDYLYIHLEGDKLTSLNYENSLNSLKLIDNKVFSRTEVSCDLNGFNYCFCPQGYFAYHQRNPGFV -FVEATNNDFSPIMKNYKDNAVYMDYNRILFDMIPCEWETTDIIRNTNTDQVNEIFVEKPIACSFTSSSGK -ESIFTPTEFNIWEFQVQQVRFRLTTFNMPEDLKTHFQNMSRFNLNYPPINQINKYDTRTSLPNLPKGVRQ -LVISVSPQYSVVETFRGRITSNTSTVHLLIKRLRQEFGSVTVEMIISNGFNGEPVIVVRCDLTEKDEECI -LFVRVKDKAPLYGTLRFPVQMLQVNSLQSVYSGNEQSFTDDDEFESNVIVANAGMAAAAIGGGLLSGLGQ -GLGQFYQTKAQMQMQEKYLSWQQLRQQYDIDNQKYLQQSMFDFKAHQQQNEFQYGQSQQQRSIDWAREQQ -SASFSQQNLYQRNQNQFTKELVEANLDSDIRRARNAQQLAGYRTDATVSGISFVSGRGGLGHPDAPQGVS -LPPRSASTQTNSPKVYLTRPTGYYTGPLSNDERI - ->YP_009336544.1 hypothetical protein 2 [Hubei picorna-like virus 56] -MTSEQFTIDGDEVCGTNKKNKVITTYGIYDLFAEDEDKVSNVFHFLCLWGEGNNYFPFIKDYDNKGTTSN -TVLAICSQMEDMALELYQPTDVWGFSLLNEFYTDELEKLCPFVIEYKNAIQSGTLDIYPDEIKATEFYGW -GTKYLFVDFRFQGLTKEKQNIFKNLTKVNFIRPGPNQIRQIINNTTELYLPTLTRQIVINSVKQYAVNKT -LFGRQSLHGPYVYELIAGLKREYKSGLIELKLVNTFNGNFPIILRLDISNKVEETIIYAVVLDDLVPQFG -TLRYPAETLEVYSVQQVNNSLYHEPLQSSDFISNVIVANAAMAAAMIGGGLLSGLGQGFGAYGQSKALME -MQQKQLAWLREQQGSSQDFQRGFQQSMFDFQSLQQQRAQDFQFKQQSYGYQQQQLLQSQAFRQQDKYQLN -QNQFTKELVESNTDADIRKARYAQQLAGYRTDGAVYGLSQGTGRGGLGHPDAPIGATLMPRSVSTQTNSP -NVYLTKPTGSYVGPMSNRMDF - ->NP_620558.1 protein P2, partial [Acyrthosiphon pisum virus] -CKERLFFKLLAPQLSQRLRGASLGNIFGLAYTDYIYLHIEGDKLTDLLFQNALTNIPDFSTISLLQHEIS -STESGYTFQFCDAGYASYYQNSSHFVLFQSNSNNFLPLIKDYKNNAEYADISRTIYDWYNPTYDEDDYCI -FYKVEQVNKFFIEKPATCVAHTTFTGSKAEWIFTPEAFEVVEFIKDRVKFRVTKLLLPKTQERDMSFKNL -ISFDLNYPAINQIEKALNNVIPANLPKGIRQIVFSSYAQFSVNNPYRGRQTPHIAPIYLFISRLKQQYGS -ETVEIIFNSAFNGQQVIAARIDLSTAVENTFIYIKTVDEAPLYSTLRFPSAMLELLSIQTVYKGTTQPFS -DTDEFDSNVIIANAGMAAAFMGGGLLSGLGQGIGAYAQGKMQMQMQDKTLSWYEKKQMHDIDNQRYLQQS -MFDWKSHMQNREFDYGNEQQRRQIDWQRSSQAALFSQQNLYQNTQNKFTKELVETNLDSDIRRARNAQQL -SGYRTDATVSGLTNSGRGGLGHPDAPRGAILPPRSASTQTNSPKVYTTRPTGFYTGPMSSNDDKI - diff --git a/seq/clusters_seq/cluster_1016 b/seq/clusters_seq/cluster_1016 deleted file mode 100644 index 76516c8..0000000 --- a/seq/clusters_seq/cluster_1016 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009333137.1 coat protein [Bermuda grass latent virus] -MNGSRGRRQQPAPSRNSGGQRNQGSRRKSRRSRSVERAMPVATSYSVGPTGPPGMGSRQGWTSLAHKEVI -LQVTASASSDTILTIPVIPNLLYPPDSKTYSGRAKFLAGHAPLYSQHKWDMLAFQWTPSCPTTTPGNVVL -RFIPNYTTPTPTNMLDTMDSDAYITSPFQGGYYTPRIKRAAIYNTAPQFSSIDDVDKCDYSCGKLVVAVN -KQALALTVGTVTMHYKVQFRGPVTPADASAS - ->YP_008219061.1 coat protein [Thin paspalum asymptomatic virus] -MNRNNGAANARRGGKRAPQSGARRRARGKSVERRAAPIQYVTTVGPSQPRMGTGQGWQRISHQEIILQVT -ASTTSDSVQIVPIIPRLSLSTAEKPIYQGSAPHLRQMGDAFSIHRWRSLSFEWVPSCPTTTPGNLVLRFY -PSYSTPTPKLLTDIMDSESLVIVPSISGAIYRPKIDTRAQSPELRNISITGFSALSDEDKGDFSVGRLVV -GASKQAVGLQLGLLRMSYVIELRGATAGSGASA - ->YP_002117838.1 p26 [Cocksfoot mild mosaic virus] -MNGGNTSNRRGRGKALQRRTNKPPRSRSIERARPLAYGQAVGPSPPRTVAYRRGRQVNTQPEIILRITAK -TTDNYTTVPIIPALLFDPSTQPGYGGRAQYMAGLSQLHSQHEWRRLQFTWIPSCATVTPGNVVLKFFPNY -RTPLPTVLEDLMDTSSLTFSPYEKHTFSVAGRISGLKYNIGATAFLALSDEDKGDYSIGRLVIGTTSQVS -AVSLGIIQMVPDVEFSGPVTTPVAPTPAATAAAPA - ->NP_068346.1 26 kDa capsid protein [Panicum mosaic virus] -MNRNGATPTRGRGKRAIPNPPRRRARGKSVERGSTPLQYVTTLGPSRPRMGQGQGWQKLSHEEIILQVNS -STAADTIQTIPIIPRLSVPAGDKPIYSGSAPHLRTIGSAFAIHRWRALSFEWIPSCPTTTPGNLVLRFYP -NYSTETPKTLTDLMDSESLVLVPSLSGKTYRPKIETRGNPPELRNIDATAFSALSDEDKGDYSVGRLVVG -SSKQAVVIQLGLLRMRYSAEMRGATSISGVSA - diff --git a/seq/clusters_seq/cluster_1017 b/seq/clusters_seq/cluster_1017 deleted file mode 100644 index 83e2f1e..0000000 --- a/seq/clusters_seq/cluster_1017 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_008318041.1 putative movement protein [Tomato blistering mosaic virus] -MSHVFPGGSRRSELDIPPRCGHKPHSQLSGHSSSGVPNHLSMASPKRSRSLPPRFRHSQLWLGNHSPPPS -NSQSNRDFSPLQSLELPRNTDINCDVHEAFQVFETSGSKSQLLESLQLPSDLRGHSPLSRDFSCLSKHSD -RVHARCSDVLLPLPDLGPILKVPTDHLTLRKSDRSSRIGLHRSVSLPTDLSIFRDRLNSPLCPRRTPRRF -IQSANPRSRLAENTFHPLIPAQPLGNQAGLLGPCPLSSHSKRSAPKPSKPKPKLRSGHLSGNSSSKESIP -TPQEKRLSNHPHPKCPLRTLSRSGKCRCPGILQNPGLPRASSGNFSPATTAPSPGSSSSLQRPVHLHKSG -SHSQNLRPSRFRSHPKQQTRVQLGHTQRLGQSTNLRSHERPNPSQSVLRVFPQSLPKVETPLSPTLAKIP -YPFFPCAFNFGSFSSSSEPQVSHSYSHSLLGLPQTIQGPISSGPSSPLQQESSPRTSPRVGPKSRLLPSK -ECSILGPHPSISANPSLAATSSPKSPAQSKNNSPTPLINSNIPLCRPSDLRPTSSPTDPRRLPHQSPPRP -IRPFLVSGPLPGQLFNSISSLSPPIGNLKPTTSSSKAVLHTSDSSDYNSASSGGSRTRHRRKFSLFSKAF -NNLSSSMLKHPFYYSSDSSNE - ->YP_007517179.1 putative movement protein [Andean potato latent virus] -MSNVFSNSSRSLELHSSSRRSNKSDTELCCRASPTVSCSVPMASSKRSPSLPPVLRNSQLWLGNHSSSSP -HSQSHRDFPPLQPLVLPCKPALHHHVHETSEVPKTSESEQQFHPSLQLSAHSSRHHKVSHHLPTSSKHSR -RLHARRPHVFQSSSNSGSLPQLPDHQLALLQSDRSSRVRLHRPVSSANPLPVLNLRADSPLCPGRPQRRQ -LQPAPARSRLAQDPQHPLTRTQPLSDQAGLLGPGPFHSHPEKPPSSSSSSPVSHQSLSLKSLSSAQSRPS -RTEKPKRLFPAPSKRPPGFVPTSSSCSCPGILQNPGMPRAPLSHLSKPTSPPSSSPTASLQCSLHLHSSC -PHTSHIRPCWIRANSKQQSRVLMGDSKCLGQSTNLRSHECSHPPSSVLRVFPQPLPETKTPFSSALEKVP -YPFFPCDFDFGYFTSTPPNQVPHPKTPASLHFPQSKTALLSHQAGEPSLSQEIPSTKAHPKKKFLHSYTN -RSWQHSAPSSQNQSIPRPSSTHSTKVPDHPRSLKGPSSKSFHHHPTTGAGPNLHHQLAPSLLGAPPPTNP -RSLPHQHAPLPVSPAMGFATNACRLSPTLPSLPPPRAHKSLHISPNSSSSDSSYLSASSSESHTPASTKP -FSKLSSRIRASNRLGFLLNSSPFFNYSSDSSSSS - ->YP_406374.1 overlapping protein p62 [Dulcamara mottle virus] -MANGFSVSPRSTQLDHTSRCCVSSNSNFGRSTSPGFSGNLSMASSKRGSSFLEQPGDTGFILRDYAPPSP -HPQNSGDFSPTPTLELPLCHSLHSPLHETLEVSKAPTEKPQFPGVKKLPAHVIRHRPVSHHLHHSSHNRV -RLHARRSNVLPSQSNMRPLSEVSKHSETVLQLGDPTRVQLHGPFSPPRDLHLSDLRTNSSLRAGKSLIRF -VQSTPQRTQLAKNSFYSQQSHYAQRHNIGLLGPPPLYLDTTGASLTAPCPCFSQHSFIQFGSLSPVPACA -HSTCFVPNPGSSRVAFSFLSKPTFETSACPCSSVQLPLHLHKSGSHSPNFRSSRLCSHAKQQATVLLGNS -KRLGQSSNFRSSKCQRPPKDQVSLPGQPAAKDSPLLKAALHADIQPVCAPHVRTSRSPKAAGLSHPSSNN -LTLVHCRTQLHQKTTSLDRSISPSQSFSSHSSEEIFYSPKASHSGPGILGRAPSPVTPTSPPSGLQKRKP -PTSKLEVHAESRSDPRSFARLPSSDPPHSGPVLPRSVPPQPPSPKISPPVGTDLLLSRRNSSISSPASGI -LQFSFFNSTTPSSASV - ->NP_067736.1 overlapping protein/movement protein [Chayote mosaic virus] -MSNVVPTSLGRSLPYYSPGLLCLPGSGLCVPTTPLLHSGLPMDSPKGTPAVPHPIRDPNLRIRFNPPPSP -SSQGPRDQPSVQPLEPPLPGSLDRAFHEALKIQEASGSQPPLLPTPQLPAVLRRHSPVPHHQLYPPHLNQ -RVHARRADVLPPQSNTGPLSPMPPTRDALLQSRDSPRVRLHGLLPLPSSVPIPSDRLVSPLHPRKPSRRQ -LQPAFSRPKLVESALHHLSPPHSQHHQAGVLGPPPLHSSPERPSSPTPSFHSCSSSVSSTDSLPLNPLFL -RLRFDRQSPILPDPGLLGAAPSHLPPPTSPSSSRSHQGVRGSVHLHSGRPNPSRLGSRRLRSHAQQQTRA -LLGNVPSLGQSTNVRPAKRPHPTPRHVQLLPQPGSQAAPSRSTTLAVDPTKGIPCVVRFGSLPPLPISPD -YPPSPLGKYPRPPPYVLPKPRLSPKPPSRSKPLRRGFLPPSTSSPLLPSPVPAPNGKGLLPLPLPRRPCK -PSSTPRPHHPHRKSHSHLPNQPASKVPSFPHPSIPTMSQPPSLPSSSSGPPRHLPLRSPSPPVSAPMEAP -QLPCVESPPLSPPLSHPPSSPELIVYRPRRSASLSPHSHHPGARVRFSSFRSRSFSPRRSSPAQSHRPLS -DPHPSSNFRSQLSSYFGDSPTPSCYLNRFSLLDSGLHSSSVCTDWLPKPQ - diff --git a/seq/clusters_seq/cluster_1018 b/seq/clusters_seq/cluster_1018 deleted file mode 100644 index c88b214..0000000 --- a/seq/clusters_seq/cluster_1018 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009246411.1 P6 [Potato necrosis virus] -MAVCRCVDTSPGITLFPYFAILLLILAILVVGTPNQQYHHSPSTYEYKTQHISIAK - ->YP_007517177.1 movement protein [Furcraea necrotic streak virus] -MKCRCCDNEPTLSPLFPFFAILVLVLAILVVGTPHVQYQSDQHHNESKIQHITIGK - ->YP_224019.1 p6 [Olive mild mosaic virus] -MAVCRCCDTSPGITLFPYFAILILILAILVVGTPNQQYHHSPSTYEYKTQHISIAK - ->NP_056827.1 hypothetical protein TNVAgp3 [Tobacco necrosis virus A] -MAACRCCDTSPGITLFPYFAILILILAILVVGTPNQQYHHSPSTYEYKTQHISIAK - diff --git a/seq/clusters_seq/cluster_1019 b/seq/clusters_seq/cluster_1019 deleted file mode 100644 index e28fd70..0000000 --- a/seq/clusters_seq/cluster_1019 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009208146.1 A1-protein [Escherichia virus FI] -MAKLNTVTLTKLGKEANKTMTLTPRGVNPTNGVATLSEAGAVPALEKRVTVSVAQPSRNRKNFKVQIKLQ -NPTACTKDACDPSVTRSAFADLTLSFTSYSTDEERALIRTELAALLADPLITDAIDNLNPAYWAALLVSS -SGGEVKPPIPDVPDVPSVKPPGGTGSFTCPFSCYRLDTIIEAGKDGVPDLYEQGPEVTVTFDYAVEDFLG -NTNWRNWDSRLSNYDIGNLRRCRGNGYVDLDATAMQSDSYVLSGKYRVRKGLPPGIFASPRYYLELQDGA -WVDLAAVTAYRSYGMVIGFWTDSKSPQIPNDFTRFDSTKCPVQTVIVIPSLD - ->NP_695026.1 unnamed protein product [Enterobacteria phage SP] -MAKLNQVTLSKIGKNGDQTLTLTPRGVNPTNGVASLSEAGAVPALEKRVTVSVAQPSRNRKNFKVQIKLQ -NPTACTRDACDPSVTRSAFADVTLSFTSYSTDEERALIRTELAALLADPLIVDAIDNLNPAYXAALLVAS -SGGGDNPSDPDVPVVPDVKPPDGTGRYKCPFACYRLGSIYEVGKEGSPDIYERGDEVSVTFDYALEDFLG -NTNWRNWDQRLSDYDIANRRRCRGNGYIDLDATAMQSDDFVLSGRYGVRKVKFPGAFGSIKYLLNIQGDA -WLDLSEVTAYRSYGMVIGFWTDSKSPQLPTDFTQFNSANCPVQTVIIIPSL - ->NP_046750.1 minor coat protein [Escherichia virus Qbeta] -MAKLQAITLSGIGKNGDVTLNLNPRGVNPTNGVAALSEAGAVPALEKRVTISVSQPSRNRKNYKVQVKIQ -NPTSCTASGTCDPSVTRSAYADVTFSFTQYSTDEERALVRTELKALLADPMLIDAIDNLNPAYWTALLGD -GSGPSPVPGPNPDPPLEPPPGTGSYTCPFRIWDLSSIYEAANSSHSWDIYNAVELSPRKFDVTLDDLLGN -TDWRDWDGRLRYTTFRGSRGNGYIDLDATSLMQDEYLTSSKYLVREGKRPGAFGSIERFVYLKSINAYCS -LSDITAYHSDGVVVGFWRDPSSGGAIPFDFSEFDSNKCPIQAVIVVPRL - ->sp|Q8LTE1.2|A1_BPQBE RecName: Full=Minor capsid protein A1; AltName: Full=A1 read-through protein -MAKLETVTLGNIGKDGKQTLVLNPRGVNPTNGVASLSQAGAVPALEKRVTVSVSQPSRNRKNYKVQVKIQ -NPTACTANGSCDPSVTRQAYADVTFSFTQYSTDEERAFVRTELAALLASPLLIDAIDQLNPAYWTLLIAG -GGSGSKPDPVIPDPPIDPPPGTGKYTCPFAIWSLEEVYEPPTKNRPWPIYNAVELQPREFDVALKDLLGN -TKWRDWDSRLSYTTVRGCRGNGYIDLDATYLATDQAMRDQKYDIREGKKPGAFGNIERFIYLKSINAYCS -LSDIAAYHADGVIVGFWRDPSSGGAIPFDFTKFDKTKCPIQAVIVVPRA - diff --git a/seq/clusters_seq/cluster_102 b/seq/clusters_seq/cluster_102 deleted file mode 100644 index 3b17fc3..0000000 --- a/seq/clusters_seq/cluster_102 +++ /dev/null @@ -1,1180 +0,0 @@ ->YP_009553503.1 replicase [Actinidia seed borne latent virus] -MASIAVRTPYESFFAANSKDDQRLLLNSGLEFVKRELDAVGVHFAYQLSSQKKEALTSMGVSLHPVPFLS -HSHPFCKTVENHMLLNVLPDLMGNGKWIFSSIKKRKIDTITKKRGVQASNVDFVNKVICAKDFTRYDFDP -KVHDVRTNMSSREFIFPNSYIRACHNNKIFIHDEIHHWSADDMIYFLNNSNPKMLICSVVYPPELLRGIK -QPQNPSLYSFEVDEKKQKLFFFPDNCKSEAYEQPLRLDWLFEAAYIDTGVKRYTVKLIKSAYSHHMFQIT -PGEYVTESRRFFNDFDTIDLSIMHENRFRYYDYIPIKKTHLQKVYSYLLCLKKPDVESGLAKLRQMFDDD -QDCRVVEFFSKFCKDIIEKHKNKWELYGFSWLETAKDSFIKSLPIQIARCFKRWEFKNIFDFLFNLQTLS -VSVNTRVVTRTFVSSFTDYICEEVKENQSVDALMNSKWHGIGPEKTQKWNIRVMKMHAFEEFRNGSVKRI -SVLLFLGFEAERMRKELNLKKKKKGEAHTLKLLKYTRDGPFIPPKWLFEGEDEKEKKKNKDKEDIGIEEK -EFIKVNLVTSGWYVKVDLLTMIREEEEVGKKVENNEISILKSKKEEEKEKSIVHWPTDAKSTEKEGKKRE -EIEVGSPHEEVEKGKKKIVQECPNEIAPRSDNEDLRVFITREDLKNGCEITIMDHDAHPMKKRRIAKVVG -DGNCFYRALRLCLGHGEHEYNVTREKLHKSALSSTFFRLEDDILHELITDGVYTSDELVKFIVNLANIEL -RISTQNQLNHFSSYKPLIAKYENEPVCVVHMHLENEHFDAVLYAHDEDGYDQEEEGGVIELKRGNFEDLE -KLNFQNYKPTKLKGRKAFFFVNNLDIDYGHDKVRYKSNLYDIESICPKDLYNGYNACLVQIFEEGGNIPM -HRDDEICYDDESILSINLKGSATFSYSDGNVTKDIRMMESSYIVMDGPFQKKFKHSVKNCSSGRINVTFR -RHIRRMNMEPLVDINKEIKAMKNRCMIDAIADNEGRSIPVVMNALLKKDRIFWDEWRKNDNGGTISDLIK -AANDLAFSFEVDTMDGVKTLNNKGPLFTFELKDGHFRKSKIEKIGKVMTELERREMKRETEGSFGDKVVE -LVSNSPGFNKLTFEVDVEYVMKIVHSFKNRMTGIALSDIYSNGESIFGEVIEEISRINKSSCSDKKKKDG -SYESEHMTRKIELNCVIGLGGSGKSNSLQSFLKKNVKGKFLVISPRLNLASDWISKVGCNPNKVRTFETA -LRTNLKSIEVIIVDELTLFPNGYLDLLMCMLGKEVKRKKIVCIFDIYQSRYHSESDTNILGQVHDVDRIV -KNKEISYLHQSYRFISNFFDSFFKDVTINKKVEEKFEVRVYDCHLKAQIIEEEKGRKIDAILVASRDEKN -ALSGKVEVMTFGESQGLSFNRVAIVLSENSEKQDEYRWMVALTRARISICFIVIYRGGLSVFLQNCGNKL -IGAFIKGQECSLRRLRMMSVAKEITFKKEMIGGKSDEVDREERLEGDPFLKPFIFLGMRINSEEPEMCEV -EIVEPKGKSHICIAQENFALSRNFDLIRSKELREYRFRESTTNQFCDNYERVGTGASKHTAGPLRFESIY -PRHQSNDDLTFWMAVHKRLKFSNEAKERAKLKESSMVGQLLYYNLKEKLNLSFSHDPGLLSQCINDFEVK -KLSKSKATIANHSIRSDMDWPMNQIFLFMKSQLCTKYEKQYCDAKAGQTLACFQHMVLVKFAPYCRYMEA -MIRSRLPDEIYIHSNKNFNELNDWVVKHFKGETCVESDYEAFDASQDEYIVSFEIAMMEDMGMPNWFIND -YIDLKCTLGCKLGHFAIMRFTGEFCTFLFNTLANMAFTFCRYDWRKGQPIAFAGDDMCSLSNLEVSNKFD -SIFEKLSLQAKVIRTETPMFCGWRLSKYGIVKEPELVFNRFMIAKERGNVDECLENYAIEVSYAYSLGER -LFEVLKSEEQIEYHQCVVRFIIQRLEKIKTKVKDLFSDQRDV - ->YP_009553496.1 polymerase [Ribes americanum virus A] -MALFETVPVDRVLRTMDRDRIQIPYDLIESEHILEHERRFGRFFSYNVPARSISFLLANGIYLSKNRWRS -HSHPICKTIENHMLFVEAASILPRDVDYTVMSMRDSKFSALKIARSDDHMELVNRIYEAKDFVRYSGSSG -TVFDMASSSKNWSESVGGNVFLHDEVQMWSKRQMFYFLDSVSPENFYFTAVYPTEVLAGLDYSLYPSVYQ -FTLKGDKLMFSPDGVHSEMFIQPKNMAWLFKANKFKTSTGVWSLVRLRSVAAHHLFYLRKGDFPTNDVFV -SNSSELVDLSSMRQLGLRYPSKGIRYEVLLKVLMYALSLKKIDTNSSFAKCRQLDPENLLLSEALLVNDL -IKWLREVGTGSLSEDDLLRRLGDSLFKALPDMLQRLFPMKRHREVFQKFLVELSPISFSWDLRAVDSNSF -EGISKLFCAADGPFDLSPIGLVLEGVRPFKNPAFLHGGVENHCISRAVSGVSGLYSCGPNASAETVVRNF -DKDYREDSPNKRNFEASTKDHVFSYVVVSGPSSWRYCMFRYKRNNLGRVDNVSVILGFIYRSDSLTDSPY -EGRIGPRLQTVMVSDFMRGVSFEVILAGVMAKLDISTENVRRSLLEGLENRKRLIGTLPAPIGTPAADLV -SPYAEDSDADADEADESIPGLVVLGDNRFYTYGSGHGVPLIELDGFEETRLRNRRAFFFCKDPSLLYGHD -SVIYKTNRWSDALDVPGTASFNSCLVQVYDEGAGIPFHRDDEDCYDNDLVLTINGKGSAAFHISGDERLS -FDFVEGSFFIMKADFQKHYRHSVSDCSAGRISFSYRFQYRTPSFILKGKFPMTVPDVAFPQSEGLNETDS -MSVGSTSSSFPNDSLRNSCVFEAVAASVGKEVGLMVAELETVDPIWKKLYLLDEGLTLPEVTKMILDLGV -SIKIRLGSKDRVIGSCKDWTTKVIKISKGHASCLDIIGCRPDSLVKRPRVKTVPIEAALNCTPLDFSFDR -DSAKELLRSFLSANSGVVSSRLDLTKGEIEALKMAVEFKADLKMSFFSLMGFAGCGKTKPLMDLILKSND -NILILVPRKRLGDSWTSKMGHKKNVRVNTYERMCKLSFANYDYVIVDEIFLFPSGFEDLLTLKWASAGAN -EKKMIFVGDPLQAGYYSPKDHRLLVARDAGRLIAKEQPYSLSTRRNEGWIERIFDVESRREGGHGAINWY -SGDFKSVSMDAKVVLVPSFRLKELLISEAKCIGVNLEIMTYGESQGLTFERGVVVMVSDETKKVSFGHLL -VALTRSVRPPLIWTRRTFDLVMRGNPLLDAIRERRIVKVSEMVKDMGFKVDFEKIGRLEFAEEKLHGDEH -LLCIMDINESALPEEEVVEAVRLDMNILQTHSPFYPIESGKAKLDASIRLKEEREQMVVVGSGPDYSIYY -TNQFNDMDYGEDNKAVNFTSVFPRHKCEDTATFWMAVRKRLRFADPSVNHADFEADMAGGNGMVADMLLC -NLLKVLRPSKLNVQDFLEEAQIELVEKKILRSAEMIANQSERSDPNWSLTEILLFMKSQYCKKVDKMYVD -AKAGQTLACFHHQILVRFGPWCRALEKLLLEMLPVNWYIHSGKNFNCLNDFVKTHLKDGMECVENDYEAF -DSSQDHSILAFEVKFLRLIGWPADVVDDYIHLKCTLGCKLGGLAIMRFTGEFCTFLFNSLSNMAFCCTQY -SGAEGFPILFAGDDMCIFGQISESKGGKELLDRCLRLKSKTFRKYDPEFCGWRLTPLGIFKDPILMYTRT -KLHHEQGRLKEVINSYALELAFGYRLGDYCYEFMTEEQMGYQKMTVDLFLRHKNMLFEVSLKGLRDSLYE -GDLVNF - ->YP_009553026.1 replicase polyprotein [Salvia divinorum RNA virus 1] -MSLLVSKTPVECMLGNFEKSDIKRIYEPAVNYISSTAIFRNKHFAYAMEPFLKKKLSSIGIELYPNGYIV -HSHPFSKTLENHLLFDVLPGIIHDQAFIFCSIKECKIRTFKAKSTSANISFLNRLIDGRDVARYPEVTHS -SESQYLKGNSDSSPFSNSFKRTVGQGKNFFFHDEVHHWSQKDIFRFLKDFSPKRLVFTVVYPAELLAGYA -NSQNPKMYKFKIDGDKLFFFPDGVTSEGYQQPLNLNWLFKASHLVDGDKVWTVVRHCSKFSHHLFEILPG -ELHTDSTFFFNDFDVVNMSIMFKNRFRYYDLFPVNYQHLFKVYSYLNCLKNADVQSGLAKLRQILGEDIE -VKEFLFFEKFCTRVIEKGTSYGLFGHSLFEKLSCNFIKCLPNSVARLFPSWRKMNTFDFLYNLDTLNIEV -KRGKVFRDVLEKCPIEIIRLNCEVFLDPLPFFSENENFNDERVDDVVLETVILRGWNHQKRFNPENSCYR -ESAFDILSRTINSKDGISQGTSGSLKGGVVPTIKRICWVSGGPFLTGLDSILGYEVQLSNKRKNFLFDFV -CHILREEKIHIDHQDSKFLENMNVCLSIEKLGIIEAVIKMGERAAIINRSMIDFGAKLLIKERNDLRLMS -KSNYDFLIESGGLSKTCFDCFFEAQLEADPFLEEYADCFSSNPCSHFFNCDIDVDGNLKEVTFCRDGEFV -QLIWENSLKTRGTVPDFPTLNRTPSNDVCTSSSSDVSSCFGENVDDDCQNVDYSKMFCRVECDSLLGDII -DVPADGDCFLWSYKKAMNIESTCDEIRSAFSEWLAHSKNLGVLADSVSVSNTFFEHEHVYFFAEFAQVTI -CVHSVEATFLFGDSGCKIHLNCDGTHFSPIILYNEKKKTFDQSEIDGCFKVMNDGRLSQFNFDSSDSLNF -KWRGRESAFFSKVDADYGHNGMIYPHNGWVDDFDKIVQICDPSGNYNSVLVQWYKKGAGIGLHRDNESVY -GDDPILTVNLSGKCTFSVEVEGNLKSFELSDFDYFVMPHNFQKRARHSVHAIDARVSLTFRLHKRKLSGK -AIIGAPSKADIEERLSKKENMCLIDSISESLKVESFKVVQALMNKDRPFWEKFMTDDAGGDLMDCEKAAS -DLLLNLEVHCQDRINVFKFGQTIVKITLTNGHFSKLEEIKELPRSLVSQFKGKTRIDVVEGLVESLESES -HYNLFNFEANMSFAGPLMNSFLNRSTGICLGSVINNGGKYFEDVFSLDESSYRICSAIHALNGFAGSGKS -KVMQNWLSKRRCGNYCVVCPRVNLAHDWKLKLGLTEKMAGKVPTFETFVKMEKKNLDLIVLDELTLFPNG -YLDWLVLHLEANSIMAKIVVIFDPLQSRYHNEQDCHVLNFDHEVDRMISGQRMNYLYTSYRLCNGFFKDV -FEKLQLPIKQGDEERRFLYHNPFRIQEDSSETIKVDVLIVESQLEKKTFGSAIKCMTFGESQGLTFDHVC -ILLSESSANSCEFRWMVALTRAKKRLSFCCTHLGGIEDFTTNCKSQLFKSFFSRQTIKVDFLRSLCQAKM -NMVKKEIGGKIDEVDREERLSGDPFLKPFIFLGKRVEDREIVIDECDPEEVKCKTHLYLSEPNFAGAYNF -DLIREKESREYRESMMSTDQFCDNYNKKHPKACMRTVGPMRFKSIYPKHSSDDDMTFWMAVKKRLRFQSE -EENRMKLSEAHLIGGILYQNFKEKFDLDFSHDQGLFERCVNEFEEKKLGKSQAVIKSHSNRSDTDWKIND -IFLFMKNQLCTKFEKQYVDAKAGQTLACFQHLILVFFAPWCRYMEHQIRSQLPEEIYIHSNKNFDDLNEW -TKKFFARDICVESDYEAFDASQDEYILSFEMHLMEDMGIPRKVIDAYVDLKCTLGCKLGHFAIMRFTGEF -CTFLFNTTANMAFTMCRYEWRRGQPIAFAGDDMCALNNLPLNHDFDDLFDKLSLKAKVERTDCPMFCGWR -LTQYGIIKEPELVYNRFQVAIEEGKVAECLENYAIEVSYAYKLSERLFEVLKSEKQLQYHQAVVRFIVKN -IRKLKTNVKLLFEDQVI - ->YP_009552711.1 RdRp protein [Lettuce chordovirus 1] -MSYNYRSPIEKVLNLLPSESTDIIATTQTNTIRVKEERLGPLFNFSVSDSKKKFLSEAGIYISPYAHVAH -SHPACKLIENHLLFVVVSGLLNGIKELNVVSMKSSKLKILHSLQDEKTTIYELINRLFDVKDSFRYSLAN -ERKVKIEHASHILSADKGRPLKERDKDPLLSLTIKKNGVFLFHDEMHYWSKRKMFEFLEAMEPSEVVATV -VYPVEILNGVDESMFPDLYQFRIMGDKFIFAPDGQFTESYEQSIDMRWLFEASSFKVNDTIYSVDIIKTI -GAHHVFAIVKGHRKGRTTRLFDNFDMLDMKTLSDVRMKYPIQAVRFSFFKKVVNYLQALNKPDTQSAVAK -LRQLAGDDLSLSESLVIEDFARRYASYGASSLSSESLLEALINSVKCNMPKWMQRIFKSYSSDRVLEQIA -NARAFHVKIKCREVSLNTFFKDFSIFLDDVKRLRKGDELSLAKLQKERKAEGLQCDKLLFGQLKNKAALS -GDKGHYGATGYGATRFYEDTFCSTLKDRAGVNQGNGFSGMYLINQLDKVSIKGSSFGDNGDIAIKVPIRD -STSTTWRTEIGHFKTRVQLRATTKTLDEAMIEYHNDVCSNRIFYIGVGVRDGMGGIINNFGKPVMDRSWQ -KRIGMDTSSADNVASGGDDDQVIDWFLRLCEDGTICLDDLPDDDTELMQVLSEHFFSTGDGNWEKKKTNM -DHGCNDDATGSTFGASVDEARERLNHLISDWNSKIETHEFHPEQAAKCAVDVVEGDNFPEATKDDLEHDK -CDPAYSCSDDSTSSCGVNELSRGKGLRKYFKFIKAFVTDKSQLIDLENDIRSVHQQKLRNRMAVFFCSEE -TFDYGHDKVIYKNMGWPSSIESLTKEVEVRLGVKMNSALCNIYPKGSGIPFHADDEHVYDLDCNPVFTLN -LTGSGVFEVQFNKGKKTTSFNMQVGDAAIMFDDAQKYIKHSVKATEDRISITLRVQRRDMAGNTSSIPLD -VSTEDLVKLKNNCFLNAISEASKVPVKKLFDNLNISCPSLSKLIMNDKGLNLQEAGRVAVALDLAVQVMS -DGIWINIGNRNSSFKVVSLILKGRHFVAYDPKKFTDSYPELVVSGAGQDKSYTSGGMFIQDFIKMVDPFY -SYVSSFGRFIDYERAFQLYKSLLSGSTGVISSSTFGDGGRLLNRKVKKDDTRLFRDCNDLRDAIGDKKLV -SGKLIMGFAGCGKSQPVQIALQNIHDNNRVLVVTPRARLMMDWKEKVNRRNVVIRTFEVSLKDQFNNFDL -IILDEADLFPPGYVDLIACKLHYDEMTWSKTESCTSLLIIGDPLQASYHSYDDAHILTGGSEIRNLGTYD -PKYLMFTRRMAPGFEKLIDVKCLGSGIYVKHGVFESMAAARKRHSHKIDAVLVASRVEKELLKNEDNVMT -FGEAQGLTFNNIAVSLSESTLASSNNSVLVALTRARNSICFIQNFRSTLSEYKIKAAGTFIGKILSGRAI -TIEELKLSLNMDDIQFIYDEGLIGSEAEEDRLSGDPYMKALMSMAQAVRIEEVAQQDIDMKEPVPKIHVP -IVEQSFAFTSIDSQIRAREYREMKLNDAWSTQFKDCDKNINNGVSTGPVNFEAIFPRHKGFDDVTFWMAV -KKRLRFSNPMKEKQKLDMAWNSGGVLFNEFSKKCKPNSFFRQDYMERAQLEFEEKRLAKSPVLIERHAGR -SDPDWNARKFLLFMKSQLCKKAEKAFCDAKAGQTLACFAHSVLFKFSVWCRYAELKIREVMPDSFYIHSQ -KNFDEMEEWVKRNYIGPVCIESDYEAFDASQDEVILAFEIKLLRAVGWPEALINDYIDLKLDLGCKLGNM -VIMRFTGEFGTFFFNTMANMAFTFCRYHVNKATPVCFAGDDMCILADVRLRSDLNEFMEGLRLKAKVDRK -ASPMFCGWLLSRYGIIKLPSLIYHRMSIAKEKGNLRDCIDSYMIEAGYGYRKGALMEELIDEEQMAYHQL -SIRMFIKHKHLMRGQSVNVLKAATEETSDGCD - ->YP_009551984.1 polyprotein [Mume virus A] -MAFNIKFAEENYFNALPSNITDSFLREDFNNNHARFETLSQHFAFALSQAQRIYLNECGIQLAPIASRTH -PHPVSKTIENHLLFSVVSHLIQNFKYIAFMSIKRSKAEYLWRRIDCEAETILANRILDIKDMFRYDSDSV -ISGGHNNFSLFCHNLARRLCVGRLRPDCYFFHDELHYWTSSNLCEFLFKLRPKCVLATIVIPPELLVGLQ -SSYNSVAYSFRVVGETLFFFPDGSKGKPYQQPADCWLLKCNGISFFDQGEMVNYSIGIIESIGANHLISI -QQSKVCESVRFFKDFDCLDVRALTPINVEHKVHHGSLIRSWVFRKILSYIVCLKKGDTESSLAKLRQLCD -SNPIPEELLLIGDFFDLLTRVKIFNKRSPWSVLSEVKNYVDSWVIKSPFLRRIFPVGSRAITEMIREWIS -LAAPLEITVDCETLFFDDSFIVQSTGSSFFTPLLKSVAPISSTIDKAFSLAEKVLSFSWLNDRKTSFYSF -KQHLGMLIHTDKLSSETIEDCFLHLIHHSRACHTDQVINSFEPVWDYILGVYIPNHLQRRISYSAENGDM -SSIEVKVPEPTLSESKAIVTTTKCDEETSELKAVTNEPHSSNDIEVIPFKSRQNACFFIAVGETMKIDPE -ILLNRVMYSDSPSLDGARKQILLDNPLGSKVLDNCAIFLGLRIHIYYADSIIKLNDCPDMHPIDIGGRPG -HLFSLVKSGSKEVISSIGSHSAGPQAIIGKVYSKVYGLGSDAFSSLSCLNLQKILTLIESFESMNFGLRV -DRKSIKDGKLLSAEMLKHIKNLRSKGVDKVHFQSIPVYPFIGFAGSGKSHALTEELINGDLKQEFIFTAP -RRKIIDQIHDRINSRQYEEKLKVSKRNSFSTFENTLLKPVNKTFVIVDECSLNPPGFIELLILKSIDGAI -KVKADIPKILSHQALSGEVSSSVKSPIACIAVTGDILQSGFYSESCSKLMKFGNDLKEICKTSFMQLPYL -FGSRRFGQSDNCITLGFYGEHTTKVNKMDDMEALKRAMGDKKDRFGVIVTSRADKSNFELDFPDVMTINE -SQGSTFDGVVLIVSRDFFSNPIEAVIVACTRHRKHLVIFFPKSIQAELDYLCKRYPIHSNVIMKNFDVLD -ASISERLSSFNLVTELPFGHNFEIKLEGDPFLKSELSLVQPIQVESVIEEQVIMKENLKTHMPIAFDGAW -DLQISEMRSRESREFRKERVGWSHQFKDEPNSKDIAKLNSAMLPEAVFPRHFANDDLTFWSAVKKRLIFK -DPLRNAHDFEKSKVIGKDLLKVFLKHVPIKPEFNKKMYEDSVEEFEDKKISKNASMIGAHHGRSTTDWPI -NEIFLFIKSQLCTKSEKMFCDAKAGQTLACFSHLILCKFAPLNRYIEKKVSEVLPQNFYIHQKKNFEELE -AWVMNYKFDGYCTESDYEAYDASQDAYTLSFEYELMRYLGVSNSLIDDYLFLKMHLCCKLGNLAIMRFTG -EFCTFLFNTLTNMLFTFLKYDVRKSHAICFAGDDMCANTRLPDNPNFKAMLKNFSLKAKVQFTKNPTFCG -WNLSKYGIVKKPELIAARLAVAQQKQEVHLVIDSYYLEHSFAYTKGDLLFEILSESELEHHYNLTRFFVK -HSNQLKGLAKERYLEARSIEGGLFGECSFGSVKLAKILISESVITVGKCFIKGVKKAITELAIHEADNLL -NDLNAKMMEKENAFFSNQRGFKGSEMMQWDDKLNKGFKIQEFEKSLHHQYRKFFLNSTVGIKTPRTEGIR -AILGQDTERGLKLSGIKDIKISRCPLFQSGNFSAKQTSQMVTESSLIQCMLKTSTVMRMPSTKEPSLLSS -DSNPLLQCRLVVQGSQILSNLISLMRLKLKKYERWPASMLCSTSELCSYLSLAFSNLNNQCREGLYIMIQ -DFWTSMRPAKLDSALAFSQDLLSFCTGQIIQSLPLIQTSCGLLESSLSLIKSKLLTTHISSLLTSVSCIN -LAINQQWSRLQVLKQVLSFRRFLGQQIFPTLSLIWRMRISLPHHLLHSLTLAWIKASKKVVSLRVHQDQP -EPEDIMPNPEDKGQNSLTEPQRCQEKDQVQADWIAWLGQVLTGVKIFRKQIVQDTLCTKILKTTSGPQQK -LKKSIMSIVEQLQNQIKRELGDYIWEQIIDRPNNLMMTAVAAVPATEGVVARAAVDPSEQQLVTKSRILS -HYLSYLFGNLAILGTSEMTEYPTVRLEIPRPTIEGQAEITQYLPTSISLLEFVQIVKAWGAVGAGTRFRN -YKLRALCEPFARQAYAFFRDNKTLASNIYLKNPGSYFDCPAVVFDFNKGLPLDIIRQGKNALAISACNQR -LLNREGKKAVFAAQGEVNLSFDS - ->YP_009666021.1 replicase domain, partial [Phlomis mottle virus] -AKAGQTLACFAHDVLIEFSPYCRYIEKKVSEVLPRNFYIHQRKNFDQLNSFCLEFMRGDQVCVESDYEAF -DASQDCNMLAFEVNLMRYLSLPEHIVDKYIFLKTNLRSKLGDFATMRFTGEFCTFLFNTLSNMVFTFLRY -KVRGDEPICFAGDDMCAFRNLEKRHEMEFVLDKLSLTAKVNRTEHPTFCGWFMTKFGIVKHPCLILDRLG -IAIEKNKLDLVLDSYCLEFTYAYQLGEKLFEVLNEKLLESQQILQRFFLLNRKRLKGESRLVF - ->YP_009408144.1 RNA-dependent RNA polymerase [Ocimum basilicum RNA virus 1] -MSLIYRTPVENLINQLPSRLTENVAVKQVDILQNMEESIGKYFNFNLSKEQKKFLVDKGVYLSPFSWKHH -SHPGCKTIENWLLYNEIGFHIRHICRDSSVAFLSLREGKLKNLEKIHFRQGNHDQTNDKIRSFNKIHSPK -DCLRYSSFSDRETLYQSFRDIGMKMEKRSCYFIHDECHYWNPADLDRFIRYTDAESIMFTVIHPVEVDVG -KTSSHLPFLYEFCIDGETLHFFPDGNKSEGYEQPLSAGWWLKMSRFISRGEVYNVTLLRSIGPFHLMFLS -RGGRVVESKRFFTDFNILDIPSRFNHQHFTRDVNMILRANFIKKIISYIKSLKKPDRESAIAKLRMMSED -DFSIEEMLFVEGLIESMLKDGIRSIWEKGWMDGFMATLRDLLPPSIHSALFRKDFKARENLMVLIDLKPL -TITLPTADYHMRSIIHRSVGIDIQYLNDEQMEFKGVQEDLMNSKSRGAFLFGSNRGNYHIENGITSSHIV -KFSNGSSDYFDTMDLVEGINPLVNRSFDFIKNCYPDSFDIIRNNKEFQSNAINRSLKKSEPIHKNYGVVI -INELSSPTVFEGFNKGYIMVLKGSMSGTRLIVYIVCAAYLMHKQLEYNETKANEVSSKHMNKKEKEMMIL -DFMKTGDTDDHVSKSRSTDEQKTKEQTNLSICESKPIDERTFCNLKNKCCFDSVLKCLNIDLFELVDRLK -GSVFIELLIKDQGLLESEFLDLLDLLGLNENVMNAAGFLVKECTESSGIFILSSNHCRFISKEECGDWFN -KVKGGLISLPGVNYLLKDINCIKRAGRLFKSLSRGNTGILFNSIKKKSDESEKRKDKNRVIEFLNLIFDN -DDDHEVEIVKRDEPIYGFFGFAGSGKSRAIQGFINSEFNKKGFVTIISPRSELLKDWQSKVKTQNKHIRF -LTFERALTVTYQESELIVIDEIGLMPPGYMSLLNIITSIKFEEVSNNFRLSRRNFRNFIGSPRSRLVVLG -DHLQCRYYNDSDVRSLDPKDEIVFLMENEEIIYLNYSHRMSRSHHYKPGVVFLNDADSVPTKRFLNTLVA -KKSIPNAQVLVASHDEQIRFRDLGAKTFGESQGLTFDESIIVLSPPSTNCSLFMWNVAMSRSIKGVHFAL -NGFDSIDDFLNRVKGTPVAAMILGMKFDIHAQPMSTPEDCKIICSDRLCLSSSDVENKLEGDPFLKSIIP -SMDEGLCVHHEYQDVNFELPTPKIHLPIESIQSHVAFVSSMIRNREFREFIGDGEMSEQFPDFFKQSETG -SFLSQAERFQAIFPKHSNGDSLTFFAAVKKRLKFSSPQIEREKFEKVRHLGSEMFELLLEKIPLDNKNDD -LMMQICVNEYIERKVSKPAGTIKSHSGRSDCDWKLNDVFLFIKTQLCTKYEKRFSDAKAGQTLACFSHVI -LNRFAAPARYIEKKLSLCLPDNYYIHQKKNFDMLNAWVVRNDFSDECLESDYEAFDSSQDCLILAFEYEL -LKYMGWSQSLLDDYLDLKFNLGCRLGNLAVMRFTGEFGTFLFNTLANMVFTFMSYDLTGKEAICFAGDDM -CCNKGIRRRTDGRFDHILNRLSLKAKAVITTEPTFCGWRLTKYGIFKKPELVLERFLIAIEKNKLKDVID -SYYLECSYAYSLGERLFECFSEKDFVAHYCCIRLVHLHKDLLRGQSLDKYISNQRFGLGCKLLGQKHLSK -SLMEVKRTSTASVLVVSTPMEVTHKIQRLMLHRNLRVPYLSECQKGGLVLSREYQSLTQRLCVMREQRKS -IKKLTLVQLLSVYTSMATMTKKPAKENACWLMEGEMMKMVSLTPSGLMFQKVQLILSLHQMLFLISMMSC -LTRPVSCTFHLKVLSTEKVQDRLLLRLELSTGCQMHSTVIISWEFQGGGEILVAPFRKFMAQALYNQEMC -IRQLKKWLKPKERVELVRLELNQVWNYHRGQGKKLCLGKKEDLAFIGNTLWIVTFLKSLMKGATLGQAQV -TLMKEEFLKTYWAVRLALPYNHQTHRVTSGKMAGAEAQANQALRNWIDDATGFQGEAYGVRMRKLRRRTL -LRDYWVSHMKAEFQNLGHANEPQSFTAAESTLYGNIMSDFASHAFGVLAEDGFSPATVYSSVNASYTVDY -RAPVGNKTVEFSPAEVARVFKYLYQSSANPIFENMTWRQCGEAFAGDIVRYFKELQPDAQSWLVKSNPVL -AGNAPWVALDVTDGLDIRHLNPEEKKVIARAKNHLLRSMQLKGRESLSAEALLES - ->YP_004732978.2 RNA dependent RNA polymerase [Grapevine Pinot gris virus] -MTFFYRTPTEELISKFTSEEQARIYAPSQRYIENTEIGSNSLFFSYNLKEIQKKFFISNGIELSPFSFKA -HSHPACKTLENYFLFSFLPSFISHSGIRELFLFSIKKAKVTRLKNLVDNVQLNHLNRLVEVKDKMRYGMD -VSPERIEKRKTGLDIFIHDEIHHWSKAQLISFLEVHRPRNIMATVVFPIEILGGFKSSVLKFLYEFECRN -GKLFYYPDGVMSEAYVQTLESSYLFKTNLIKTSKGHYSVSLHRSVGSHHFFQISKYESEILQSHRAFGPY -DVLDVGSLFRGKVRVSIEGVSLTHFKKILIYLMSLKKPDVNSAVAKLRQLSNEDVDTNEMWVIRDLAERI -THGVSKWSNVGIRTILRDWMVDLCPFKKNFERYHLIDDFDRYLMSIKPLSFSFNCSDDVDYAFEPEEDLP -DFIDDFWFGSEKKMIRDPLVKMIEMGRESQGYSVVPTSYQQAAVCIFSMDENCIGWRNRGVKVLLRASRS -SYTVLRDEFFSAPLLLECSEPEKLKFTYDDLPFYQFLSEDQEIDGYFLRESVLDSIKDKAFHYSEGFFDP -ILFASSRGTFLGHSEMTDLSTSLNTLDKVIAENGEKSDVPILSADESSESVNVKLLNESLTYDAEPSECE -VPSVESKHEPEQIESSGEIIPESWTFVDNNNKEFYCDGAFSLELDFASILEGLKPLNLRGRKAFFFHSNG -LPYFHDSVLYRTLEVPGWLEEIFFKANEHFDVNFNSCLMQVYEQDGSVGWHFDDEDCYDDDPILTMNFNG -TALFEIKNVVSSKLDHKDFILMKSGLQKREKHRVQYTSEGRISLTLRVQKRPPNFHHGLRFLPKVGCFLQ -AVSEQVFTKVEDLAIKLGTLYGDILANWGVSLADVDKFAEKLGIRLVLTNGFEVIRAGVEGPEVSMSFSN -GHFRSNSNSRMNKGKGVESFPKEFARKVSDEMSNDANPQIIQNLNEVYGVFLNQTIFKLDPKRAQRLLKS -LLDGSTGVHCNSSLKEGWKMIPNAKSSEFVTKNYINSSDIWKGSALWNAKINISGIFGFAGSGKSHGIQR -LLNEKFSGSNEILLISPRVLLAEDWRDKVKHLKTMTFESAIKGCLAGYKWIILDEVTLFPNGYLDLLVLK -LAHYNEINLKHITLVGDPLQANYFNERDCNLLGSVKMVDSVFKDVKYQYQSYRIPANVAGRFDVWDKNRH -EPIDCHGTFYSDLSSAKLHAKRCNQKIDVVLVASELEKKYFSNQCKCITYGESQGLTFDYGLISLSEESR -LCSDNHIYVALTRFKKGFGFFQNFRGDLGTFKSNLGSKLLGRYINLRDNLKPFMMQMLDINLDFMDDRNQ -VGAGIEMENKMSGDPWLKGLLDLQAVEEVEDMFFEDLNIIEPTGKVHLPLASRNDEFEKIRARESRELKK -LDFDWSMQFEDCGVKIKRVLNGNLCENFSAVYPVHQACDEMTFLAAVKKRLRFSNPAKNLTKFRGATAAG -KILLKNFLKFIPIPSETFPELLSEAKREFQEVKLKKSEGTIAGNSGRSDPDWSWDRVFLFMKSQQCTKFE -KRFCEAKAGQTLACFSHEILCHFSPWCRYMEKVFSKYCPENFYIHQRKDFDKLAEFSRKYCKGGFCIESD -YVAFDVSQDHNVLAFEVQLMEHIRIPECVISDYIRMKTELGCKLGNFAIMRFTGEFCTFLFNTFCNMAFT -FMRYQMSGHEPICFAGDDMCALADLKESDEYNAFFKSFSLKAKVCRTVKPLFCGWRLTKFGLYKEPVLVY -ERLKIAIEKDKLDLVIDSYFLEFCYAYKLGSWLDWVLDEEQADYQQRLSRFFVKKRHLLKGKSLDYITHC -DYLSDGSDEEDSKGFWEDCNRGYSNCGVAFKFYIQ - ->YP_009505632.1 replicase [Caucasus prunus virus] -MASVTVRTPMEKFFAANDKNDQRSILTSGVNFVKKFCDDKGIHFAYYVNDRKKEALTNLGVTLHPIPFLT -HSHPFCKTLENHLLINVLPNLLGNGHWVFTSVKKAKVNSVIKLAGGVSNNVDIVNRCICAKDFGRYDFEP -GSVDQKINILSKDHLFPKNFIRSVRKKKIFIHDEVHHWSHLNMIQFLEETATPLLLCSVVFPPELLGGIK -TPQNSALYGFQVDGDKLFFFPDGSRSEMYEQPSNLNWLFEASYIHTSAGTYTVKMVGSFYAHHLFQISKG -EKITDSVRFFADFNTIDMSVIHKERFKYYDLIPIKKSHIEKIYTYLLCLKKPDVESAIAKLRQLMEDEQD -CRVVEFFCTFAKKLITDTKGAINLFGDSFLQKAKDSFIMALPNSIASCFDRWHGLNIFHFLFTLDTIRVK -VETKVVDRGYRTQMFDDLEVKDDVMSTKLPEAIDTLFFGGQLVKGGDRRTVVIKSLDGLIKFSRSKNLYK -MHIIFLLNPSLIRGNIRNFCSNGRSLCANDQLESGPLKTSHYKFKLPTFFSKWSEMPFSRSLSYHEIPFL -KSFVHFRENNIKRFVDPIFDMIIDEMNQLDLDIMNDGEEAAVEILDVNSNLDGKQSEHHDEEFVTPTGLK -GGDGLVTIESIEVDPSEFRTPASELCGLATEPVSFPGDSCSVLMGNEPIAVADEYNICDVEGDGNCFMRA -LLTSIKGDDRTYPGSRSRLLNLSRQIGVNLTDSEEAQILREGEQFDEWMIMFTVNVMNLSLKIFQGDVSI -PRTLSPKLVNTHGNDAKEIAILHRGNHFLGLLKKCTSESGAHDFDGNQPVEASSDNHFDETFETGFNDFE -ELRKVVGSISMFKKTPLKNRDAFFFSESKSIDYGHNRIKYAHNHWNGVDQLLPSSLRNDYNAMLIQVYKE -GGSIGMHRDNEKVYDNDSILSINLNGDALFQIEAKSSKRYSFRMKDGDYFLMKRDFQAKFRHGVQGATEG -RINVTFRKHVRNSRNEPIYLGISKFKNICLMRSLSILEKRPLYDILLALIKKNKNYWTSFLEFGVGGTLA -DLNQAAEDLSFRFELYMNEKWIAGGNRGPIYRLNLSDDHFSVHRELSGNVEDTQLNFSKAKSKQSNFSSS -DDDNSFDLDSIEHVNKSLFEPLNDAAELLRQSFLNRTTGKILSDAFGENGAHLRRIRIVKSDDPFPEEVY -FSCGFAGSGKSLSLQSKLKSNFKLKFLVICPRVELKEDWERKVKCSSHKVCTFEVALLQNLSRVELIVID -ELGLFPRGYLDLMIFKLRTEKNFKGKVMLLFDPLQARYHSDSDERFLHEIHECDRITSGAKINYLFESWR -LSKKFFGNFFVDIELRNSGSVNYELDFFDNHIVAANEAKKRGFPIDLILVASRDEKNSFAGKVNVLTFGE -AQGLTVKHSCIVLSEYAEKQDDYRWVVALTRAKEKISFITSHRSGLTGFMSSMIGRPIHAFLTGLPFTSN -RMNWMVNCELVECHRATGGRDEVDREDRLEGDPFLKPFVFLGQRINSEEYEIIEPEVIEPKGRVHLCISQ -ENYALARNFDLIRAKEYREAKLMGLETNQFCHDYNRVGAQGSRHVASPLRFESIFPRHRSDDDLTFWMAV -KKRLRFSEEFLERAKLKDSYSVGNLLYQNLKEKLSLSFSWDQGLLDECLNDFETKKLLKSKATLANHSIR -SDIDWSMDKIFLFMKSQLCTKYEKQYVDAKAGQTLACFSHLVLAKFAPYCRYMEKMLRRNLKEEIYIHSN -KNFNDLNDWVVKFFEEGEKVESDYEAFDASQDHYVLAFEVCVMEDMGLPNWFINDYIDLKCTLGCKLGHF -AIMRFTGEFSTFLFNTLANMAFTFARYECDHKTPIAFAGDDMCMLKACKVSDKFEDVLSKLSLKAKVIRT -EMPMFCGWNLSRYGIVKEPELVFNRFMVAKKRGNIDECLENYAIEVSYAYSLGEKLYEVLKREEQVEYHQ -AVVRFIVQRLDKLKTKVKDLFSDQNV - ->YP_009357235.1 replication-associated polyprotein [Watermelon virus A] -MALLSQKTGLEKFMGSLDRAETKLIYATAVEELRNNSVDLNKFFSYEMDSEKRLYLTRRGVELFPGGYKA -HSHPVSKTLENYMLYVLLPVYIGVGRINMVSIQRKKVLNLSLKMNYTELNTFNRIIDSKDISRYGADEDV -FNDERKELFSSTFMNKFRTSKAYDCIFIHDECHHWSLNDITYFIEEVKPKRMLVSVVYPPELLLGIESSL -NKLAYDFEINDDGTFSFYPDGVKTEAYNQRVNLDWLMKGSYLRTNNGVYTIRLLKTLAAHHMFEITKGRF -VTDNPRHFEEFECIDLSFLKQRRWRRNEYIFIKKTWLTKVYTYLQCLKKPDKESGLAKLRQIMGEAQDLK -VTMFFENLMPELLQGCQKKIFDVPLFEKVVSSFWKLFPMTIQRLSSDFKDKNFFEVLFNCENLRVKIKTR -SYDSRGIISMAEHKIDDFLEGFSWIPKNYDALIKKSSESHVPSLLRCAGAVLCTKEEARMRGHSDMEGML -ACERPPLRIKEKGETSVVEKEGDVKKDIMTEAKDIKKMKACGENSKEKGKEEEEVKEEVESKNQSVLTIK -KTSKNGEEDNKKKEENKKEIKMMVEVFDDILDIRMTLTNLLVKVLISKRMQLTRFTSRDAYYVVEDIRLD -YGHNGIMYKKNLADERVRVFMEKVRETYKGKWNTMLIQRYKKGSSINFHSDDEPMIMRGSSVLTMNVEGS -ATFRIVEKENEKKKKKKKENHPCPDEFLSLNNFCVFKMKEGFQELFRHSIDVHSEGRMSITFREIKPTFI -FKGKEKEDQQEAAKENVEKAGSRLKAESEKRTIEKKKCLLTALATFFHVEKVFLANKLAAQNELLSDWIN -SNMGADSTIIMAIANSLKMRINVFGDIEKSFEPDGYDIPESKIVDILLENEHFTLLNRSDVLRMSNAQKC -LMGLEDRMEVNIKVRGNESGGNNRRQKLVNNVIEEILNFPSSSEVKFLAKKENALILMKSFLSMSTGICL -SEHVHNGKDFMKLSASKREGDIISDLIVVSGFGGSGKSRSLQELIKEKKRGVRFTIISPRKNLAEDWHEK -VNSDLDAKENDAEKNGKVKIKTFESALKMNLGKSDVIVLDELSLYPNGYLDLLIHSLSGLNVNMPRLVVI -GCPFQARYHSKLDEHILTFDHEIDRIFKGNSAINYLAFSHRLGTGFNCVFEGIECLGESEEMEGSINVFK -SFNNAIAWSEKQEQFFDLILVDSREEKKAYSGLINVLTFGEAQGLTVNNSLIVLSENSANSEEFRWVVAL -TRARRTLSFLVVHLDGIEGFMAETDGKMINALLRGEKVNVKEFSKKKGFNLNFIEFNEIKNGGNDEQDRE -LRLEGDPWLKPFINLHQRENVEEVIVDEVFIKEDKEKTHLYLAEPNFSQALNFDLILDKEVREFRLGEEQ -TNQFTDNYNVNHWGGKRINAAPFRHKAIYPRHEMKDDLTFKMAVKKRLRFEEPAVNYQKYMEARCTGRLM -YEHFKEMFGIEWNHDQALLEECRNDFEVKKLQKSAETIKCHSNRSDCDWYLNDIFLFMKTQLCTKYEKQF -VEAKAGQTLACFQHLLLAHFAPYCRYIEKQLTRMLPDEIYIHSSKNFDELNEWVIKHFQNDICVESDYEA -FDASQDQYILAFEMALMKDAGMPDHILDDYLKLKCELGCKLGKFAIMRFTGEFCTFLFNTLANMAFTISR -YEWRRGMPIAFAGDDMCSLSNLKLSDRFEDLFDKISLKAKTQWTEEPMFCGWRLSRHGIVKEPELVFNRF -MVALEEGKVELCLENYAIEVSYAYRLGEKLYEVLKSERQIEFHQAVVRFIVLHLNKLKTSARELFEEQSS -DEELNF - ->YP_009268859.1 putative 239.6 kDa polyprotein [Yacon virus A] -MAFTYRNPLDIAISKLPSKQADQLLALTTDEIVKTIDQSNDLFSYAIKPEEQELLSLKGIPLAPIGFRVH -SHPMCKMVENYLLYICIPSLLKEFKSVAFFSLRPSKEKKFIKMFQVFNYGKIKSMGMYNAIIDSKDKFRY -GDSTFDSFRDRVNCLRDDCLRANKFPKVLFLHDEVHFLTPFDIAYLFETIPEIDRVVATSVFPPELLMGD -RVSKEPRVYSFKVRGDDLTFYPDGVASECYVQKMSTSAWPFQTSSIKWANRCIRVSKIQSLFAHHVLSFD -RGKGGSKLNHFDKPSCLLAFELRTLTKRFNEAVVNRSVVSSLSTYMACLKTANAASAVAKLRQLEKRDLL -PDELNFVYSFGDHFKNYGMRNDLDITILQWIKDKICDVMPHFIAASFFAETEFHKNFRDLNYNLATKGFE -VLAIPMRLDQVRWIEFNYNKRIFAIAEAIGVKLCLFGKRFTYDTESESYFSKDGFVFYERSERNHVLNST -ATKIDYGKIIKARHYKLWHDFLKPIARGKRFREQKYIEWFEEEESDDESIRIKIEELRNLNNSVEVDELN -SSEVKLNSEDKHGFEGSLPSDVVNDFLSSREEQRVFTERKVRKNDCVFKAIATFLGKDKDEFIEEIADSD -ISDELFNAIENDRGLSHDMITEILIIESLQMCYTDNFEDMSVLNRKFGLRGTIYCTIRNNHCELVDKACF -KKLLKDYEHVKLNGSNLTPESLFDNGKVKHLKERAQKLAKSLNRGTSGILKEINSELASNLVELCNYLPD -HFESDLGMRLGFAGSGKTFKVLQWIKYTPTIKRMFISPRRALLSDVAERLKGTNCHCETLEVALGKVDLS -YTEIYIDEIGLMPPGYLTILAAAMVGKSIKSFSDKKKFEAFKEMLPKLPRFNCLGDPLQCRYYCETDNAL -LDKVDEIDFIRKSFKNFKYLFQGFRFGKWFSEIVNIPTRDDESKHSRKFFPDMSKVDISKYKAVLVGPRE -AKISLASGLPVYTVMESQGLTFNGRVLICLDEQILAGGPSVAITAITRATDGFDFVMRGSSPNDLRRSAN -KGIWQFLMEQKEVPMERIVNLLPGASFYEDSFEVGNSSIQDKASSDPMIMPFINLAEEECDPEEVVGEIV -EAPEWFKCHVPVFDCDPMLAEMFDKIAAKERREFTSMLGYSNQFLDMEKKGSNIDVYPMSRQSVFPHHQG -SDDVTFWAGVRKRIKKSNWRREATKLESVQEDGKALLREFLKMLPKDFKVNTDDIDAGEKSFIEKRKQKT -EKMWEAHSNRSDIDWNLDHVFLFMKSQYCTKEAKMFTEAKAGQTLACFQHIVLFRFGPMLRAIEAAFLRA -CGESYYIHSGKNFFALDTFVTRNASFFDGESIESDYTAFDSSQDHTVLAFEIELLKHLGVSNEFIMDYKK -IKLTLGCRLGSLAIMRFTGEFCTFLFNTFANMLFTNLKYKIDPHRCRILFAGDDMCSLTRLRKRNSKESQ -RLLSQFSLEAVEESRKFPMFCGWYLSPYGILKSPKLLWARIAMMRERNLLAECVDNYLFEAIFAYRLGER -LYRILKEEDVEYHYMVVRFFVKNSKLLRGASKSLILEIGEGIGSWPLSMSTHSLQKPNQKTLRLMQSGLQ -SCIKMQQCLTHQSSIALSDLKLMLRCMLKMDKICASQIFSCLTKMRLNPSGECQENTNMCMLGSYLLQSE -QCFQIIKEKVEESLFMMGHASMMTRVKDLLLQTSSPLLMTLVILLSGHLIYSRPLMHTLQTCYGSQLTWI -AQNTRMIGSLSLLTSGLLIECVMPQGFLTQKEELTTGLIRLYTGAQPSNMDRTLKEFFQDQEYLWKSETE -GQTSSKGKGFLGRMNSEDLETLKLEDLEEEMKHDLGPARLELIDIQRMSLENVIRVMRAHRVTVYLWENY -IDKEKKLKKEPPSPDSPEITGEGSETYKVVSKHFVKYLFGNIAVFGSSEKTEYPDIEFYIPEIKAGDVQV -KWKISLGVIVPEIKSFCGMHDRIAMNRATWRNICEAFAEEAMMFLKERFHMGTTTAIYKKFPKAFAGAPH -VVFDFASGLDMSKLNPNELTVIDKMTKRLFRTEGQKGIFEANQEVNLELEG - ->YP_009229912.1 polyprotein [Currant virus A] -MAFNIKMAEENYFNALPSNVTDAFLRDDFNANNARFEDLSKNFAFALSQSQKIYLNECGIQLAPIASRTH -PHPVSKTIENHLLFSVVSNLISEFKFLVFMSIKKSKAEYMWRKINAESTKEIINRVIDIKDSFRYEAESV -IAGGYSSFELFCANVKRRFSNSRIKPDCFFLHDEMHYWSVDNLKTFLFEVNPKCILATVVIPPELLVNET -RSFNSIAYSFEVRGESLFFFPDRSKGKPYEQPNSCWLLKTNGISFMANGEEVFYSIGLIESIGANHLFSI -QKRKVVETRRFFRDFDCMDIKNLIEINVHQEKIQGTMIRTWVFKKILSYMLCLKKGDAESSLAKLRQLSD -SNPSPDEILLIGDFFDLITRVKIFNKTSMWSALGLIKNCVDSWTIKSPFLRRLFPPGSTAITQMLRGWIA -KADSLSIELECVHLDLTNNKTCRLFNEINSVSNIALDLFRKAEAKLSALWTQNRSSSSYSMRQSNCMLVD -SESKSMSFSYDVYTDVCSRGIRPADISEYNAELGHYSFNPVTLSITYSYPQLTWVESVDSNSAECTSHQA -SDQIKNDEAEDPDHQSQESYRGDQTPKDQSKGKFINSVCSCGINLPSKSVQGLELVNIQVTDRLHNRSAR -WYSKDNSSYSYNGGVHISHGWPSWIESFLSVNSLLEYNFNCMLIQLYDDGSSLGFHSDNEPNLSLDAEIL -TVNLRGQAYFNYKGKSCNGSMFLTEGKSILMPAGFQVSHKHGVTECSGGRISLTFRKLNPIKSINSTSCS -SSSQDEKKRENDCFFRAVGETIGVSSESLICKLMSSNNPVVKDAMRNVSDDLPLGSKLLEIFSAELGMRV -YIYYASSVIKLNDQPDLRVIEIGGKPGHLFSLKSSKVNVPKDVHDETVGAHAAIGKVYARTYGVSSDAPM -SICSIDVNKALTLLEAFESMNFGARINRKMVNSGNVISVPLMNHLKASRASGLKTISIPGIRLFPFVGFA -GSGKSYALVEELIQGEIMQNFSFSAPRRKIIDQISEKIDSKQYDDKVKVSKRSAFKTFENTLLGKMNNPL -LVLDECSLNPNGFIDLLLLKSFDSLLSKGLSVKSLFAEGSNHAELVAEHRSPITCIAITGDVLQSGFYTD -SCHKLMSLGNDFISICKQSHIKLPYLFGTRRFGHTADAIDLGFYAEHETRVFKMDSIDSVKKAIGEKADS -FGFITTSRSDKSFYELDFPDVMTINEAQGSTFHSVVLIVSDDFFMNPIEALIVACTRHKRNLIMYVPKTV -QLNLKHHSRKSPIHFEVLMRNFSALNEAINERLSSFELIKQIPFGHDFEIKLEGDPFLKGELNLLPLDIV -EEPEIEEISMPENLKTHLPVAYSELWNLDISEMKARESREFKKERTGWSHQFKDEPNSRDKVEINCAMMP -EAVFPRHFANDDLTFWSAVKKRLVFKNPLRNAHDFERAKVYGPELLKVFLKHVPLDPNFNQKMYDDSVLE -FEDKKISKNAAMIGSHHGRSTTDWPINEIFLFIKSQLCTKYEKKFCDAKAGQTLACFSHMILCKFAPLNR -YIEKKVSEVLPDRFYIHQKKNFDELEEWVKRYDFSGICTESDYEAYDSSQDAYTLAFEYELLKYLGVSNS -MLSDYLYLKMHLNCKLGNLAIMRFTGEFCTFLFNTLTNMLFTFMKYDVRRAHAICFAGDDMCANVKLPES -DKFSNILKHFSLKAKIQFTHNPTFCGWNLSRYGIVKKPELIAARLAVAKQKQELHLVIDSYFLEHMYAYD -KGDLLFMILSENELEHHYNLTRFFVKNSSKLKGTAKERFSLTTSKEGGLFGECRFGSSNLSAKIIAGVAR -RVISSVKLSAKIGVAAGIYAYGSHVSSELHYRADQKESLSLSSRSNHFGLDFIKYEKSLNLDTLTGLWLN -GNDEMKFYNFQVKRSCFIGEGSEPFENIKPIRPPKVDILVKSGLIKLEHKRDLGYDLSCTLLGQDAGVSL -NSDSEVCQSFKSKNFLNRLMVMKAEFSLIQFMRRIFTVMPMPSIVKLFQQLKGFSPQLQCQLIARACLMS -SSSICLMKLSWQQSKKVLKNFLYFTLVPSSFLSHASLSLRNHFKGKSFTLTPDSLIKMMLAKLGSVSQCS -LDLHSFFIGQIIQFQQVIQTYYKRLGLNSSLMQSMSLITPTSSLLILVSCINSAIKQLRNQSPQQMQLCN -FSQYLVHQAYQGLKISWRIVTLLTHRASHLLMYQLIKVSEREDCLKDQQEPPEREDTMQEVTDRVQSLLE -GQYLTDLIQTADLTWLGQVHLGLNLFKETPQEGSQLIQLFNLNFKETRESFFKGVEMSITEQLQNEIKRG -LGNYIWEHLIDPNNNLSLTERAEIPASEGVAAQPAVRLSEGDQATKIALKDFYLKILFGNLAVIGVSEMT -IYPNIPMIIEPPEIAGRPNLQQHLPTSMSLANFAQIVKAWGAVGAGGRFRDYTLRQLCEPFARQAHDFFR -EHPGEASGIYKKNPGIYFMCPQVVFDFNKGLPLSIVNQGKNAVAISACNKRLMDREGKKAVFSAQGEVNL -SFDS - ->YP_009103999.1 ORF1 [Carrot Ch virus 1] -MSYGFRTPQEKILSTFSPSFIDNVQSTSGRTFEDEENRIGKFFNFNLDDRKKEFASNSGIYLSPYSYKSH -SHPLCKTIENHLLYVVIPPLIQNFNNLNVVSMKESKLKILHESSDAPKKMSINLINRLMDVKDSFRYKSG -DGLSIKYPTQLLESNTRDYDPLMDVRIEKGSNFLFHDELHYWTFSMMLDFLEKFEPSHVICTAVFPVEIL -EGIKQSLYPEVYSFEILQTGNFVFAPDGVYSESYEQSVNMKWLFSASSFKVRDQIYSVDLIKTIGAHHLF -TIVKGRRISRSIRLFKGFDTLDMSAFLGTKYKMPIADVHFSFFKKIVIYLKSLKKPDTQSAVAKLRQLVG -DSISLTEVLFIEDFASRFQEHGAAKLSNDGIFDQFIHSLKSILPEFVRRLMGSFQRDNLLKQISEAKAFE -VRIETCSINRNYDNNALWRYIKDLAFHDVNELQSDKLLFKEREKGEDEESRDRRNIVNGFTPSFYSYPGG -DNTKFKKIKIGLLKEEPGVLRDITMIELKSNKGYSLIDEKLIEYNNKKLMAAMKEFGQNCQRDRRSFRPF -YIEIMLSGSFLPLRQICGDFTASCVHETLDDMLDVLSEIKMAYPLTTDVIQLEKDVETKLEEISANANEN -EDSVTMVSVSSSSSSSTSGREIEIDTSDLSDHLNDIRGIKPDDRWSDLVVEIKDSSFLQCGIDLDNLLKG -IKSQKLKTRKAFYFCKDANFDYGHDKVKYQNMGWPNFISELNKIACDVTGFKFNSVLINEYTRGGRIHWH -ADDENVYDLDRNPVLTVNMIGEGMFSVKMGKYEHSFPMSPGDMILMKNGAQKRMKHSVIAKDRRVSLTFR -EQIRSKSLISLASSIEEEEINDLKESCLIDSLAEEIRISRSKLINLLVKEDSTFLIKIKDDKGLTIDDLS -IIANLLNLSVRVLIDGQWSYFGVKESNYRLISLKLIKRHFSVYNGEVLKLALGDESSDKLIKLSDSGFYN -SFLNKIDPRNKFVNKFDRMINFERAALLIHSFLRGSTGVVTSSGFNNGVDYFSGRKRNIDPESFRDPKFL -KEMTKGDAVVKGAVILGFAGCGKSRPVQMALDSMDSPMKILLISPRVNLLADWKLKVSNKNVTFKTYESA -LKENLSKFSLIIIDEFPLTPRGYTDVIAYKSKVDNLTCRLEKKVTKLLLIGDPLQASYYSESDDDLLAQG -GELSSLEIDYPRYLLYSHRLPKGMKSMMDINMLGSFEGETKWKLYNSAAAAFSEKAFDVILVAGRQEKTF -FGNFTVMTFGESQGLTFNKVCIALTEDSLLASDNHMMVGLTRAKETINFIKGFGYPLNEYVKKAGNKLIG -KVLQGKVIKRAELENMSGMEDVTFITEPPTFGGHEDKVQGDPWMKSLLTLTQREDSQEVELIEPDIVESK -MKVHINITDKSYALMIINDQLRAKENREFKSKDSWSNQFKDNDQNLNLETSTGPVNFEAIFPRHQTFDDV -TFWMAVKKRLSFSNPLVESEKLNKAWIKGSILHKEFTRLIRVNSHFRPDLFEKALNDFEDVRMRKSEKLI -MAHAGRSDPDWDIRNFLLFMKSQLCKKAEKAFCDAKAGQTIACFAHGVLFKFSAWCRYAELKINEVMPEA -FYVHSKKNFDELERWVKGNFIGPICVESDYEAFDASQDSTILAFECLILKDVGWPHDLIEDYKTLKLELG -CKLGMLAIMRFTGEFGTFFFNTLANMAFTFCRYNVNRTTPICFAGDDMCILTNAKIRNEMNDFIGSLKLK -AKVEWKINPIFCGWILSRRGILKLPSLVYYRLNIAKEKGNLKDCIDSYMIEAGYAYRKGAFIEELLDEDQ -MSFHQLVIRSMIKSKHLMKGSSIHILEDLVDSYSDGV - ->YP_009103996.1 ORF1 [Carrot Ch virus 2] -MSYSYRTPQEKILSTLNPTLIDGVASFSGRIFEENESRLGKYFNYHLSDKKKEFAAQAGIYLSPYSFQSH -SHPLCKTIENYLLYVVMPPMIANFNNLYVVSMKESKLRILHSNSQLTGISLNLINRLVDVKDSFRYKDGG -DYSVKYPTDLLKWNSDKKYDPLLDCSIEKGRNFLFHDEMHYWSFDMMLDFLRKFEPDNVLCTVIFPVEIF -SGVKQSLFPDVYSFQIIKNKRFVFAPDGCYSESYEQSVDMKWLFSAASFVVDDLLYSVDLIKTVGAHHLF -AITKGKRIVKEIRFFREFDILDLSAFSGTEYKLPVVDVHFSFFKKIVIYLKSLKKPDSQSAVAKLRQLVG -DNISLTEMLFIEDFAERFQQLGSAKFSIDGIFDQMAESLKSLLPLFFRKMLGSFGRDNIMKQIAMAEPFS -VSIETCRVDKNYDNNSVFRFLNGFFSCSIDPLPCDALLYKGDDFDCSKGKYASQNIENSDRANLVHKFTP -RFFSSKSDDKMSKSDFYRIKIYDERTDITKGASFILKSNRSYSSLEEQINELFRKLSLAADIKYPGSFQR -DRKNFIPFFLTKNFRMMPQLCYRDFVQSNQKEAIEEVIDMLDMIISPMPQIVTVEEIKDCLSVAEVESSI -DPQEGNFQEKAEGSEENLFFSNSDDSEMLDNIKRVKIEDENLLSYGYSHRIDSLCEGLQEKIEGMKGKKI -NVRKAFYFCKNSRFDYGHDKYKYENLGWPKFISDICDEGEKMTGKKFNSALINSYCRGGKIYFHADDEHV -YDSSDNPVLTINARGRGIFKYKVNKTGAVTECLLDEGEAILMKEGAQLRGKHSVHAISQRISITLRDQKR -SFSKLNESCNLKEEDLLDLKESCLIKALAKEIKISELKLSNLIINEDPTYLDKIKKDRGMTIDDLEKISN -LLCLKVRVLIDGQWQYFGVKESNFRGVSLRLSGSHFDAYEKESIKIALGDEVSEKKVQKSADGAFFEGFL -QRIDPKNEFVNRFERVIDLDRAAKLIDSFQKGTTGVICSENFGLGVKPFSGREKNLDSSKFDDPSFLKKL -IGEEFLIKGAAIIGFAGCGKSRPVQMALSNMDSPLKVLLISPRVNLLDDWKKKVNNGNLILKTYESALKE -NFAEFSMIVIDEFLLVPRGYLDVAAFKSKMDCKVCKSKPRIPKFLLLGDPLQAGYYNALDDHLIPEKSEM -ETLEIRKPKYLYYSHRLSSSLGGILDVPMLGPINELNQLNLYNSAAAAFSERAFDVILVAGRQEKSFFSN -YTVMTFGESQGLTFDKVAIALSEDTLLCSDNHIVVALTRARKQISLIKCFGYDEKEFFKRAGTKLIGKVL -NKKKIKRVQLENMLALEDLKLISSEPKFGTQEERTEGDPWMKGLLTHIQEVIMEECEPQMAKPDDVKMKV -HVPITDKSFALTIINDQIRAREYREFKVGDSWSTQFKDDNKNLKLESSTGPVNFESIFPRHTSFDDVTFW -AAVKKRLSFSNPITEGEKLKSAFVKGSILYKEFRKIIRVQGDFRPDLFDKALSDFERVRVAKSKKLIEAH -AGRSDPDWDVKKFLLFMKSQLCKKAEKAFSDAKAGQTIACFAHGVLFKFSAWARYAELKMMEKMPDSFYI -HSRKNFDELEKWVKMNFIGPICVESDYEAFDASQDATILAFEVQFLKEVGWPQDLIEDYIELKVNLGCKL -GNLAIMRFTGEFGTFFLNTLANMAFTFCRYNVNRTTPICFAGDDMCILTDAKVRHDLDEFINSLKLKAKV -EWKINPIFCGWILSRYGILKLPSLVYYRLCIALEKGNLKDCIDSYMIEAGYAYRKGAFIDELLTEEQMNF -HQLVIRRMIKAKHLMKGSSVEILKEIQDCFSDGVDD - ->YP_008997790.1 replication-associated polyprotein [Apricot vein clearing associated virus] -MALLYRTPQVNLLGSFPQKHVEIIYNLQFERFKKICICRFCIFLTHSEKNQKKVASIRGWLGVPLHPTPY -LAHSHPFSKMLENHILLNVLPGHITGSWVFSSIKPSKVESLATKGKKSVLKTINRLLCAKDFGRYDVDTD -SSVIRSISREAPDILPEPFVRAVKGRNVMIHDEVHHWTLDDMLGFLDRARPNRFVFSVVYPVELLAGILE -SQNPKMYKFQDSKSDKIVFFPDGRASEGYEQRANLRWLFCASHFRTSGSIWTVKRIYSAYSHHLFEVVPG -NYFTDEIRFFNDFETIDLQCIFKSRFLCRDFVPISKDLVERVYSYLICLKKPDMQSAMAKLKQLMGDDLD -VRVQVFFRSLVHRILNESECFSLFDVSIVNKWKKKFLDFAPDWLLNGFMTWKSGNFIKKLGEHEAARQVQ -EGAYPEGWMINLFLANYGIRMCCHQHSADGVTIETGSGPISFCSLKMENHFDYLRCLSSGVSKEHTGLLG -KTTYPSGPIINALQGLKPKSFRGRSSFFFARSNEIDYGHNGFKYRTENWFAELDDFIPSDLIFNACLVQV -YDKGSKIGFHKDNEQCYAGYPILTVNFGLALFEFDSGEAFNLTDGDTILLSGDYLRKKRHRVTSLSDSRI -SLTFRRHVCRMNKSPLEFFSNNGKLGKNKCIIHAVAMALGQTSNTVANKIVAQRPDLLQCLVDDEMLDKQ -TTETICVIMNLHATIVNEDEGETMELNPEGLIKSSFSVLDEHMMVLSDIPNCRSKKGIDICMSPDLANSN -CAANYEVTCQNLQVIQYQADHERAIKLMNSFLAGTTGAVLNELVFKGSRFFTFMDSVNERKSDFVEELSF -VPGFAGSGKSLGLLNEVKRISREIHLAKEKKGMGKGSGKGHEKKERNRGNLKSMCIISPRRNLADDWETK -LGPSALEHCSVTTFEVLFKASISKIKLIVVDELTLFPNGYIDLLIFRIRTESPDCKLILIFDPLQARYDS -AQDRAILGSEHDVDLILGDSEVDYMYQSKRFESEELFNLFEDLKKNEVDAESRETGKGAKFRPRMYTNLL -TMKVEEENQGNPIDVLLVGSFDEAGLFASSIKTMTFGESQGLTVDHAAILLSENSALSDDHRWLVALTRA -RKKVTFLCLHLSGLNGFLSTMENRLVAAVINKGLVTKKRLSSMVRAKLNYVKFKGLAGKDEVDREDRLEG -DLFLKGVIFLGQRCEIMEPEIVEPVMAKEDMKTHFFVCQENFAQCYNFDNIRAKELREFRIGHRVTNQFI -DNYEIVQHVQKKHTAGPLRFEAIYPRHCADDDVTFLMAVHKRLRFSNEMKEREKLERAHGTGSILFHNLI -QKLGLNFTWDNQLFEECVNDFECKKLEKSKAVLANHSIRSDNDWSPNWVFLFMKSQLCTKYEKQYVDAKA -GQTLACFQHMILVTFAPYCRYMEKQLRAQLPGEIYIHSNKNFNDLNEWVKKHAGDDLCVESDYEAFDASQ -DQYILSFELFMMRHMHIPEQIIQAYIDLKVNLGCKLGHFAIMRFTGEFSTFLFNTLANMAFTMCRYEWNS -GDPIAFAGDDMCALKNLKVTDQFNNVFEKISLKAKTQITEVPMFCGWRLSRFGIVKEPELVYNRFMVALE -RGNVKDCLENYAIEVSYAYSLGERLFDILKREEQLEYHQAVVRFIVKHLGNLRTKVKDLFAEQSNEDSC - ->YP_006905848.1 replicase [Diuris virus B] -MALSYRTPTELLINQLPARLTDNLAVKQVDILQSEEDSYGQFLNFSLTKDQRKFLVEKGVYLSPYSWKHH -SHPACKTIENWLLYKEIGNHVKHVCKDATIAFISLREGKLNALKKIHFSGKEDKKLSEKITSFNRYYCGK -DKLRYRNSGDREVIYSSFDQIRQKTGPNASYYIHDECHYWSPQDLGAFLGKTQASSILATIIHPTEYEAG -KDTSHLPFLYQFEVENNKLFFFPDGNRSEGYEQPSTAGWWLRMSRFFFDGEVYSITLLRSIGPFHLVYLS -KGSLTIETKRFFDDFNILDIPVKFYKNNIVGKANLILRTGFMIKIVSYLKSLKKPDRESAVAKLRMMSEE -DFSVEELMFVEGLAQTLMSDGYRSIWEQGWNEWIISGLRDCMPDAIHQGIFRKHFKAKQNFELLANLKSL -KVVLRTDDYNDKSVLTQRKFLLSFGEHDNSQKANDKICDIIRSQSVNDYLGGPNVSEYFLEKDTRGQMFF -IFFEKRQKPVELRTEKMTYEDCIELTMKNDSDNYIYRKSVFKQNPKRFDIACKLNISKAKRIKDTYRIFK -ISCIPDFYRSKIFESKFVMMLADGFNMDKLIVFLISGANTEKNKIKWSTDQVQEEKAESSKRNELKEEYF -SMFEKEEEIILSETDEEEEVPLQRKSQEMELKESNEIDEKAFCNLKNKCCFESIMQSQNWSYDNLLDAMK -GTVFIQKMIDDKGLLVDELVELIKKININVNVVDSAGKLIELNKGAEKVLLLSSNHCKFTTMDSVNHWKL -NDCSFLNEIMNTNYIIKETAVYERASKLYKSISSGNTGVMLHEMLKKNSETDRTNKKNRVLEVLKLLFDD -ENDNFNRTCQSKGPIYGIFGFAGSGKSKGVQDYILKPENDENMFTLISPRNELLADWKKKIGEEKKNVRF -LTYEKALASSYDENELVIVDELSLYPPGYISLLQVVTKIKTDKISGNIRLSKRNYSNYMGDRNVSIMVLG -DHLQNRYYNESDTRKLSQVDEIDFIMENEEIIYLNYSLRLCKDHFYKPGIKKLGEGKAKTSRRFQNVYVA -KKAMPDAQLLVASRDEAIRFKNLDVKTFGESQGLTFDDVIITLSPPAVNCSLQMWNVAMTRARYGVHFAL -NGFDTVDDFLNKVKGTPINAMILGVNFDIHNNVITKGKNYNLIYVDKMGLNLEEVELKLQGDPFLKSIIP -SLDNGLPVEMEYQDITLESPVPKIHLPIESIQGQVAFISSNLKERMQREFIGDGIISEQFPDFWKAGEPG -YLLSQSERFQSIFPKHSNSDTLTFFAAVKKRLKFSSPQIEREKFEKVRHLGQEMLELFLEKVKVSNHYDK -QMELMSYNEYIEKKVAKTGNTIAAHSNRSEPDWNLNEIFLFMKTQLCTKYEKRFCEAKAGQTLACFSHIV -LNRFAGPTRYIEKKISSYLPNNYYIHQKKNFDKLNEWVIENKFTGESLESDYEAFDSSQDCIILAFEYEL -LSYLGWNQSLLDDYLTIKFNLGCRLGNLAVMRFTGEFGTFLFNTLANMTFTFMSYDLDDKEAICFAGDDM -CCNKPVKKRSDGRYDHILKRLTLKAKVSYTREPTFCGWRLTKHGIFKKPELVLERFLIAMEKGRLKEVID -SYFIECSYAYNLGERLYECFSEKDFIAHYCCVRIVHENSSLLKGVSLSKFNEGTGYRLSCSSQTAPSSLK -NLIMERETLSASSSRMCTMMVDTPKIKKLDQQCALKVQYQLNQRVARIKSLKASQLLKQIGYKMRGIKEN -TRGSMSEQLSLASISLVTMKVRKTKGDAFLQMDEGKDQPASSKHLSLIFQRGLHILYWHQMQCLISMMNY -SQAHVNCFSSLMMLNTELGHCRLQLRLVLSIGCVMFSTVTILLEYQAERDLLEVLTRKSTQPKNLVNWMN -PRFIMNSKKQKLQGEYPQSVVITTQLMKMAKEICAHHGRKEDLYPFVNIALRSMEMGRLKISIKLGLMMW -KLMKEEFLRTYWILRLALPVNLQTGRVTTGRLANMDAVANQAALDWANSTVGFPGEAYGVRIRKLRRRTL -LRIHWLTRLKETFQTLGHVNDPQNFTVIESNKYLTIMNDFAAHAFGILAEEGFSPATIYSEVLTNYTIDY -GAPVGNITVEFSPANISREFKYYANSSNNNIFDNITWRQIGEAFAEDIVRYFKELQPDAQSWLVRSNPVL -AGNAPWVALDVTDGLDIRRLNPEEKKVIARAKNHLLKSMQLKGRESLSAEALLES - ->YP_006905850.1 replicase [Diuris virus A] -MALSYRTPTEYLINQLPARLTDNIAVKQVDLIQSDEDCYGSYLNYNLSKEQKKFLVDKGLYLSPYSWRHH -SHPACKTIENWLLYKEIGSYAKHVSKQQTIAFISLREGKLNAIKKIHFEKKNNKVACEKICSFNRYYHTK -DRLRYSDSSGREIIYKSFDKIGDQIGPRASFYIHDECHYWSPNDLSNFLSRTKAESILATVIHPTEIDVG -KDCSHLPFLYEFEVSDNNIFFFPDGNRSEGYEQPKTAGWWLKMRRFYSDGEVYSVTLLRTIGPFHLIYIS -RGSLASESRRFFDDFNILDLPVKYAKNNLIKKMKLLLRNNFMIKIVSYIKSLKKPDKESAIAKLRMLSED -EFSLEEMIFVDGLVDTLLKNGYKSIWENGWVEWFICGLKDCLPDALHSAMFRSHFKAKQNLDLLMNMKTL -SIVVETEDFYPYSKVDCIKEIKEYFLNSCDYLQRDNIDKIIRSSFRGEYIYDYDTSGYYSIRTTSGKMEL -HGPDSRHLMRSAHDCISYEANIKLFGNNHMEKMRIENRFWFLNDEKRFENAKRESISRCKTIFDEYDAIL -EEELPDDSIFKGFNKGVSFFKKKTMRMNECLIMLRTGVYNKSKLISNIKHVDDPFSTMEKHKRDRLNKVI -KYYIGGVEYEMPSSQVSELEEITEITPINRLSSSNPMDEKTFRNLANKCCFDCIMEIKKIDHVALVNYIT -ETKFMDLLLKDNGLLQKELIELCNFLNIKVNIINQSGTRLIYENDNDNTLILTERHCKLVKTESISDWLL -DDNKDFLDVTGVSSIIKNVFDYKRSKKLYDSLSKGTSGVFFNMIKKKNDESEKKKDKNRVIEMMNFFFED -EINEKRKLTGRSEPIYGFFGFAGSGKSREIQNYINTNYNMDGCVTVVSPRVELLKDWEKKISVANKKIRF -STYEKALTLSYYEDELVVVDEIGLLPPGYISLLSLVTAFRVNKISHNIRLSKRNYSKYVENQSSRLVLLG -DHLQGRYYNESDFRSLSQPDEIDFIMMNEEILYLNYSHRLNKMHFYKPGVEMLGEDENIISRRFSNVFSA -KKTIPEAQLLVASRDEQVRFKELDAKTFGESQGLTFDEIIIVLSPPAVNCSINMWNVAMTRARKGVHFAL -NGFDTVDDFINRVKGTPVNAMILGSPFEIHRTPGGKDKEIKIIKVCRLGMSNEDVEMKLMGDPFLKSIIP -SLDEGLSIEQEYHDIICESPVPKIHLPIESIQGHVSYVSSMLKERGEREFKGDGCMSEQFPDFWKTGEPG -HYLSQSERFQSIFPKHQNSDSLTFLAAVKKRLKFSSPSVERERFEKVRHLGNEMLDIFLDKIKIDNKLNS -EMMARSYNEYVLKKVSKTANTIASHSSRSEPDWKLNEIFLFMKTQLCTKFEKRFSDAKAGQTLACFSHII -LNRFAAPTRYVEKKISEGLGKNFYIHQKKNFDVLNDWVVANNFDSYCLESDYEAFDSSQDCLILAFEYEL -LKYLGWDQSLLDDYLDLKFNLGCRLGNLAVMRFTGEFGTFLFNTLANMVFTFMTYDLNGTESICFAGDDM -CCNRGIKARVDGKYDHILKRLTLKAKAVITKEPTFCGWRLTKYGIFKKPELVLERFLIAIEKGRLLDVID -SYYIECSYAYNLGERLFECFSEKDFSAHYCCIRIVHKNKSLLKGLSLERYRENRRFKHSCKSWIQRPSYR -SSTMEDETLIASGSVRCTQMGVSSKTRRLTQFREQKVQFQLNQLMGSPGLLKEYLLLTQMLLMRRETRRS -IQKSILEQLSSVSTSLVIMNVRCQEEDVCWLTVEEVEEVELSKPLSLIYPKDQPTSCSYQMQSLTFMMSY -LTGPVKCSSSLTMLITVVVPTHLLLRLGQYIACLMSSIAITEWEFQEERVPLEVSIRKYIALRPYQRKMR -SQCCQRCVSQERLEEYLMLREALALNLKGVREVLSCLGEKEALLSFEIIVSEKGLVKLRKIYAGLGQAQE -VLMKETFLKRFWIINLGLPVNAENFKVTSGKQAMVDQAANLALSNWINETTGFQGEAYGVRLRKLRRRTL -LRQHWVSVFKEYVKNLGHANTPAEFTAAESEIYGRVMSDFAAYAFGIMAEEGFSPATIYNEVPASYTIEY -PQPVGALNVSFSPAEVSRQFKYYANSSGNSCFANITWRQIGESFAEDIVRYFKELQVDAQSWLVRSNPVL -AGNAPWVALDVTDGLDVRRLNPEEKKVIARAKNHLLKSMQLKGRESLSAEALLES - ->YP_004376201.1 RNA-dependent RNA polymerase [Hardenbergia virus A] -MSLVYRTPIESLINQLPSRLTENLAVNQVDILQHEEETYGRFLNFSLNKEQKKFLADKGVYLSPYSWRHH -SHPACKTIENWLLYYEVGHYIRNLKKEESVNFLSLRGGKLNAIKKIHSIEGKDNNFYEKIMSFNRMCCAK -DKLRYQNSSEKELLYSTFGEISEKVGKNGFFFVHDECHYWDPAMLENFISRVEPDSMVATVIHPMEVEKG -KESSHLPFLYDFEINGDKIFFFPDGNKSEGYEQPKSAGWWLRMSKFTSSKGVYSLTLLRSIGPFHLILLT -KQDRVVESKRFFDDFSIIDLPHSFEERHLIKRLNLVLRSGFLRKIVSYIKSLKKPDRESAIAKLRMMSED -DFSIEEMLFVEGMVDSMLKDGCKSIWEKGWADALVNYIVDLLPKAVQSYFFRKDYKAKENLDLLLNLNSL -SIKLDTVPYDFSSFVPKENVSLRKELDALLDNNNGVQKGLLNNKSRSEFLFQRGSSEYSGRRGLNDILVF -DGPTINNSIQSSIFESNLGNFLNGLSPENHKAHMNSMKVILSRDPRYKIDSMKRSMRNSSLLRNNYGFII -TKSLKTQSIFGQYGVEIVFVDKSKRNQIDIVIYIITACYVMHSQIDNSISENLDSELTYTEQHKLKDLAL -SLFECKSFSDEIKDENHSCNASSSSHVENRKCDSDVIDERIFCNLSNKCCFESIMNAMSWDFMVLLDKLK -GSKFLKLLIDDNGLLEEELVEMVDYLGLSFNLINQSGSTVIYSESNSHTLVLSSNHCMAAESKSVMNWTL -NEKANFLNLPGVSYIRKNLYQKERATKLYRSLCKGSTGVLFNVLNKKTKETDSTKYKNRILEFMSFFFND -DFKFENQIESLHEPVYGFFGFAGSGKSRNIQNYINDNFKNGGRATIISPRNELLKDWSSKIKCNNKHIRM -MTYEKALTVSYSNDELVVIDEIGLLPPGYISLLSLIVRIKTEEISQNVRLSKRNFLKFLNRTESRLVLIG -DHLQCRYFNDSDTRLLEHSDEIDFVMEQEEIIYLNYSLRMAKNHHYKPGVKFFGETESKTTRRFLNVFVA -KKAIPDAQVLVASHDEQVRFRELGAKTYGESQGLTMEQVIIVLSPPSINCSVYMWNVAMSRSTCAVHFAL -NGFDSIDDFLNRVKGTPVASMILGMEFDIHAQKFKTDKDPKLIKVERLCLSQSDVENKLEGDPFLKSIIP -SLDEGFPIDVEYKEANIELPTPKIHLPIESIENHICYVSSMIRNREYREFIGDGSMSEQFPDFWKKGEPG -YLLSQPERFQAIFPKHSNQDSLTFFAAVKKRLKFSSPHVEREKFEKVRHLGSEMFDVFLSKINLDNSYNH -ELMQMSVNEYIEKKVSKTSNTIKSHSSRSEPDWKLNDVFLFMKTQLCTKYEKRFCDAKAGQTLACFSHIV -LNRFAAPTRYIEKKISQCLPSNYYIHQKKNFDCLNEWVIRNDFSESCLESDYEAFDSSQDCLILAFEYEL -LKYMGWKQDLLDDYLDLKFNLGCRLGNLAVMRFTGEFGTFLFNTLANMVFTFMSYELNGKESICFAGDDM -CCNKGIKKRIDGKFDHILKRLSLKAKAMITNEPTFCGWRLTPFGIYKKPELILERFLIAIEKGRLVDVID -SYYLECSYAYSLGERLSKCFSEKDFVAHYCCVRLVHKHKSLLKGLSLIKFKENKRFCFSCKLSTQNRSLT -SSMEDKRMLNALEYQTSTQMEAIQRMIRSTVHRPLKAPYQSGSKENLQESFKVFQYLSQMCLLRKEARKS -TQRLTLEQLSLAYTSLGTIQKKHARESVCLLMEEGSGRMELFIPLDLIYQMGPLISSLHLMLYLISMMRC -WIEPVKFSSLLKTCSIYMDLVLFPLKSDQFTGCLMSSIVTIDLESQGEKEPLETLSRRCMELVFCLKMKK -IEPSPKWRRPEFQVSYQMLELNQTESCMREGGALCAHGRNVDPVFIGIIVYHLSLDPAQKMYANLGQALV -TLMNEEFLRTFWIVRLSLPLNHQTHRVTSGRMATAEAAANQALQDWINNQEGFQGEAYGVRMRKMRRRTL -LRNYWVSTMKGSFQNLGNANTPQNFTDAESVTYGRIMSDFAAHAFGILAEEGFSPATSYSSVGTNYTIDY -GAPVGSTTIRFNPAEVARTFKYLYQSSPNNLFENMTWRQCGEAFATDIVRYFKELQPDAQSWLVKSNPVL -AGNAPWVALDVTDGLDIRHLNPEEKKVIARAKNHLLRSMQLKGKESLSAEALLES - ->YP_004293216.1 RNA polymerase [Grapevine berry inner necrosis virus] -MTFFYRTPTEELISKFTSDEQARIYSPAQRLIENIEVNVSSLFFNYHLSETQKKFFFLNGIDLSPFSFRP -HSHPACKTLENYFLFSFLPSFISHSGIKELFLFSIKKAKVARLRNLSDNVQLNLLNRLVEVKDKMRYGMD -VSPERIAKKNVGLDIFLHDELHHWSKAQLISFLEVHRPRNLLATFVFPVEILGGFKSSVSNFLYEFECKN -NRLHFYPDGVMQEAYIQTLESSYLFKTNLIKTSRGNYSVSLHRSIGAHHFFQISRYESELLQTHRSFGPY -DVLDVGSLFKGKVRVPIEGIGLARFKKILIYLLSLKKPDVNSAIAKLRQLSGDDVSLNEMWVIRDLADRI -ANGISKWSSCGIRSIIRDWMVDLCPFKKNFEKYNLIDDFDRHLMQVKPLSFTFNCSDEIDYSFEPEEDLP -EFIDDFWTGASVKMQKDPLIDQILLGRSSSLNSFEPSSFTEAMICIFQLDGDFQDWKSRPKKVILRGQSS -IYTVLTDDIFDFPQSLPAPEGFFPGINEEDVAFFPFLSGELEIDDYAIRMSVLNSLKGRFALYRAGAFEP -ALFANGVSSFSSDFGHTAISEVKSNVEVPPKEAEIVCHVSPHIEAASSSVPLSLMSKEVCEYRDTSSSEE -SGASPVDVGSMENLPAHSNCHIAVDPIKIEPEEESSAFKVFSDEPYWSYGSTSLKVDFESAVKNSIFKRL -KGRIAFFYHTNGLPYYHDRVLYRTNPVESWLSNILESANKHFKIEFNSCLLQIYEENGSIPWHFDNEDCY -EQSAILTLNFSGTCLFEIQNLTSRKLEDGDFLLMKSGLQSLHRRRVSMASKGRISLTLRVQNRSPNFSLG -LRFLPEIGCFLRAVSDQVLIDVEDLAIKLEGLFSEMLANNGVKVSDVGKYAKKLGINLTLRNGFEVMRFE -NNGPDVCMSFAMNHYRSISQGEAKKGKGIESLPKELARKTSDEAPFDCNEQIISNLNRIYGNFLNSKVFQ -LNPNRAQKLLKSLLQGSTGIHCSSKLKDGWKIIPNAKTEEFVWKNYIESSDSWKGSMNWNAKINVTGIFG -FAGSGKSHGLQTLLNEKFANSDEIILISPRLLLLEDWKAKVKGLKALTFESALKGCLSNFKWIILDEVTL -FPNGYLDLLMLKMSHYNNIKSRHITLVGDPLQANYYSEKDCNLLGNVRMIDSVFPDVRYIYQSFRIPQNV -ANRFGVYDKNSHLPYDNHGTFYCDMSSARKHASSFGAKIEIVLVASELEKKYFSEQVRCITYGESQGLTF -DFGLISLSEESRLCSDNHIYVALTRFKKGFGFFQNFRGDLKSYKSNLGSKLLGRYINLSDSLKPFMERML -DINLTFLDDRDNVGSGNNIEEKTAGDPWLKGLLDLQQTEEFEECSLQEVISLESTGKVHLPLASLNDEFE -SIRSRESREFKCLNFDWSMQFEDCGVKLKRSLNGNFSENFSAIYPVHQACDELTFLAAVKKRLRFSNPAK -NLQKFKGATTAGKVLLDRFLKFIPIPKEKFPMLLDEAKREFQEVKLKKSEGTIAGNSNRSDPDWSWDKIF -LFMKSQQCTKFEKRFVDAKAGQTLACFSHEILCHFSPWCRYMEKVFSMFCPKNFYIHQRKDFDKLAEFSR -KYCRGGFCIESDYEAFDVSQDHNVLAFEVQLMEHMLIPEGIINDYIKMKTELGCKLGNFAIMRFTGEFCT -FLFNTFCNMAFTFLRYEMQGNEPVCFAGDDMCALADLKESKEFDSFFRSFTLKAKVCRTLNPLFCGWRLT -RFGLFKEPVLIFERLKIAMEKDKLDLVIESYFLEFCYAYKLGSWLEWVLDEKQADYQQRLSRFFVKKKHL -LKGKAAELITHCDYLSDGSDEEDRSCFWEDCKRGYSNCESTLKFYLQ - ->YP_002308565.1 putative polyprotein [Peach mosaic virus] -MAFSYRTPQEELLNRLPQTQQEIIGNLQFERLQKEEERRVVSFSYALPDKTKEWFTKAGVYLSPFSFEVH -SHPGCKTLENHILYNIVAPHISKYPYIACLSIKANKMSKMERMGPHSVKNYDIINRLVTSRDKSRYGDLT -EPSRVGGPKSTNYFIHDEIHYWTRKQLETFLELKSPKNLWVTMVFPPEILKGYKNSVLPFLYKFEIEGDN -MVFMPDGVRSESYTQPIENGYLLSTNCISFTDSNKRKRQYSVTLVYTLGSHHVFHIFPKLGTMEDEVRRF -GPYDLFDVGVLFKQPVRVPIQDFPLSTFKKIFIYLSSLKKPDEQSAVAKLRQLCDNEISFEAVALIQEFA -RRVEKGGIQSWGCSFFDYLKDFFFDKIPFKGTLEKIGLADDFTRRLINLEPLSFDIRADQERKLIAMVFD -AVWPDQLSEDYLAAEISKGKKRVINGNAFVNGVSSTKKLFAWEEKGGCSRITYQKSFQSDDLAHTPLRIS -QNLDYSSRCTKNPCYFPEMARTDYVPLPPSYGAPLCIGFEGFYGNIPKTDYQLKKEKERKDVSMMVLEHH -VKKKNMRNVDEYLASQVRVDKQNKINMGRLSANSGKEKRQGYAVIVNGEWVPTKNPNIVPVLKGAAEKGK -AQTEEVLTRTDFIESILEKAKLDNRGKSPEDAEMTDVHKYILEPICDHLIQKCNEKMDGEVLNALLSLGG -RELNGRRAMYVCLDSAMVYFHNTISYPSIEAHGKIKDWILRKAKDYDVPFNAALVQVYRKDYTMGMHKDN -EACYGEEPILTVNAFGSAVFSTDCCNNVINLEDGDELLMPAKFQRKFRHGVKSLSEGRVSITLRVHSRDF -SFEEKRKFVNGKFDCLFDAVSKLISKKSEEVMIRNPHILDRCIMNRGCDLDDLRSICNNYEIKVECQGDC -GLLEVGSIGMPLGRFMLRGNHFSLCSKRRSNLDSLANSVKDVSVSSCGIDYVQMKFLDRLRAIEPDLNST -KVKVDIRRGGKLLKCLMEGLTGIVSHNSTHDGWRLLKGVKNSADMRSLVSAMRGSSAGIEKNKLMEELEE -LNFQQVSIHGIFGFAGSGKSHAIQDLISKEFRGSQGLMVVCPRKFLAKDWSEKGVDDQDIKTFESALKSD -LKGKRVFILDEVTLLPRGFTDLLMMKIHMEGNLKKSTIVCLGDPLQASYFSQKDESYLEREPEVKRLFPE -GVEYKWFSYRINRFVARQLSITTRNEFPGIDNQSQVYGDVPSAIHSIQKSGVKVEVILVASMIEKELYCN -LCQTMTFGESQGLTFGVGIIVLSEEAKLCSDAHIMVAVTRFNRGFSYALGSKGTKEEYMRGIKNGLLSRL -CSSIGASKDFILSSSPIPLNFSEKMIQKGAGLDEMDREERLSGDPWLKTQIFLGKRFHLREPLGQVCQIE -DSAIKCHVPLSNQQTLYVELSRMKAREDREFKGRDGWSNQFREEAGPNWKFPYKVAQPMNFEAIYPRHRM -DDDITFYAAIKKRLRFDSVANNYTKFKQSQSRGQYLLQVFLKHVDLKPSRNQALLNQCKQEFEETKLSKS -AATIGAHAQRSDPDWPLDRIFLFMKSQLCTKFEKRFEDAKAGQTLACFQHKILVEFSPWCRYVEKILTSC -LPENFYIHQRKNFSELEAFAKRYSDGSVCVESDYTAFDVSQDHTILAFEVELLRYIGWDEAVLNSYIKMK -CTLGCRLGGFAIMRFTGEFSTFLFNTLANMAFTFCRYEVKKGTPICFAGDDMCALRNLREIASHEHILNK -LSLKAKVNRTNVPMFCGWRLCQDGLIKEPCLIYERLNVAIENGRLLDVIDSYYLEFSFAYKLGERLFQYL -EIEQLNYHQVLTRFFVKNSHLLRGGARKSVFQNSVGFHEDGSDDEGSQIKNRRRGYSNFWSEKLQN - ->YP_224130.1 216 kDa protein [Apricot pseudo-chlorotic leaf spot virus] -MAFSYRTPQEELLNRLPQSQQEILGTLQFERIQKEEELKVNNFSYSLPEKGREWFTKSGVYLSPYSYNVH -SHPCCKTLENHILYNVAASYLSKYAYVACLSIKANKMSKMEKLGRSSVRNYDIINRLVVSKDKARYGPSV -SPERVGCPKNSNLFIHDEIHYWNKGQLESFLSVTKPRNLWATLVFPPEILAGYRSSILPFIYQFEIQGKN -LIYLPDGVRSESYSQPLENGYLLNTNSISVENKKTGGFKRYQISLIYSLGSHHLFHIFPCENLIPEETRR -FGPYDLFDVGALFVKPVRVPIQDFPLSTFKKIFIYLSSLKKPDEQSAVAKLRQLCDSDVSIESVFLIQEF -AQRVEKDGIQRWSCSFWEHIKDRFFDALPYGHVLEKIGLADDFSRRLMQLKPLAFDIHATDRPNSVHFLF -GSTWGDVQENDALIAQIKFGRRRVANGEIAIQGTTGILDAVTKRFKKMDNYPSDFFSDSLAATPLRLSYD -NNLSTKAAQTFVILRSKRSELNILKSDKKILRIGWNEDRTFVKKTEFEMERINRAKHISNCALNFHVEKS -ALSNAAELEKKQLKSWSPSGSKSKGKRKNKFVFASESTSPLGKEREKRRVEAADGTKSNEVEQAKMSGNP -INSLKEKCEVVMGRSIEFAIDMSTQLNPSPIKVEKGKEKISHSLTEEFCSHGIVVKKGYPCEGLKDEILS -LPLAQLKGKKGGYFVLDYPMVYFHNNVSYPSFEATMKIKECILKARRDWNIDFNASLIQIYEKGSIIGMH -KDNEECYDDDGVLTLNVKGNATFSVSCHDNVIELKEGNELLMPPGYQKKFKHGVKSESEGRISVTLRVHK -RDFSFRSKVGFIKGKYDCMISSLASLIRKDQDEMCAFVPNVLNRCISNKGCSIDDLKEMCMAYEFKVPVE -GDCGSIEVGSHGMPLGRLNLRGNHFSVVSSRRSNLDSLANSKSDKDTSLVNSHVWLNFRKRFLAVEPDYT -KTEVKCDLLRAVKLLKSLNEGMTGIVSHNAAHEGWRMIKGVNSPAEMRKLTQILKGLDDDDVEWKERSNL -IKELNFINKTVYGVFGFAGSGKSNAIQNLIESEFKGSRGILVVCPRRFLASDWSEKGVDSKDIKTFESAL -KMDIKGKNVFIFDEVSLLPKGYVDLMILKMHMEGILKTSTIICLGDPLQASYFSAKDDNILSKESEIKRL -FKDGVNYKWYSYRINKFIASKLGVCGMNEFIGIDNQSVTYKDMPSAFHFMDSAKNHPEVVLVASMIEKEL -YSNYQNVMTFGESQGLTFGCGIIVLSEEAKLCSDAHIMVAITRFRKGFCFVLGSKGGKEDNLRTLKGGLL -QRIVSNLGASREFITNMSSVPLKLSEKVTKKGAGIDEMDREERLQGDAWLKSMIFLGKRYHLIKPLGQVV -ELEDSAIKCHIPVCSVQTLGPELGRIQAREYREFKGKNGWSNQLERRLAPVLWRAPCKVNQAMSHEAIYP -RHRMDDDLTFLAAIKKRLRFASVAENYNKFRASKARGQYLLKVFLEKIQIKSGRNQSLLDLCRQEFEETK -LSKSSATIGAHSQRSDPDWPLDKIFLFMKSQLCTKFEKRFTEAKAGQTLACFQHEILVKFSPWCRYTEKI -LSSCLPDNFYVHQRKNFSELEKFAKRFSNGSVCVESDYTAFDVSQDHTILAFEVEFLRYVGWDEKIIESY -IKMKCTLGCRLGGFAIMRFTGEFSTFLFNTLANMAFTFCRYQVPSGTPICFAGDDMCALRDIKEIPNHEH -ILEKLSLKAKVNRTKVPMFCGWRLCSDGLIKEPCLIYERLQVAIENNRLMEVIDSYFLEFSFAYKLGERL -YSYLEIEQLNYHQVLTRFFIKNKHLLRGESKANISELIWLSDEDGDASEGSQVKDRRRGYSNRWSEKLQN -LF - ->NP_783203.1 RNA-dependent RNA polymerase [Oyster mushroom spherical virus] -MVGADLFAQISSSNHSDNIIGEALGRYRAHESRAVQVISSVLTPSEKALADRWAIPYSSSPVGRVPHVML -KALENHRNVNILPNYLRGRVTVLSMKPAKLAALRARCPGVQFNLVNEIITPADHTRYTDPSPPPDFQGPG -DMILVDDALQHWSRERIDAAFRHFGGNRLIGTNIHPDEVRSGHASRYPDLYTIEYLPNRRYGLLSHRHKS -ASYEASIDEAWMLDCGDFTVDDRAYDVEFLLSYGPYHLVTVVPADGHVVRTYRFFDAPEVVQLPDIPGLP -NLSSPWFPALMYTQTLDHAGSLKQLGERDGKARIRGLRMTPEGRRIPYATWERLLTCAKLAGLHVDSEEL -EGITSVGLSRLRFFIRRKAEEWLPDWLFELLYRDFVIARRVRRALTQDIVVIKVPLRSLPSIPSQPWVSS -VPAVPVVAPTPPPPPPPAPPFGGGDSGGGGGNDRPPRPPAGPSQSNGSKNVQSKSVGASAQRKLNARAAP -PLNRAHPVRPNANPVPRVAASSVRANFLGVLAAQRAAPTVSWKSAESNMAVDPNSCYVRVAHEILGVSDQ -ANEIIRRTIASLPVDEIALLRPGGAGATQRFFHALGLLADLRFDIVFHSPVPNDTLAQVGRLDGTVHTLH -WSVQGTQGHWSRAVPNTPATTGSTGSTTGNGPFVSGNALPYQRFLGSVRQMGWQSFQFTPSLTFAKRLYN -ELEVEITGKITKIDKWASCHKNIEMAARNGCVRPREVIYLAGTAGCGKSSGVADLVQRHGNLGYWLSVVS -PLNDLNEEWTEKITGLSSEQRKIFKTHEKAMFAQPQVVVFDEAQKLPGHYLDLYLAYHPDVELVILLGDP -FQSGAPVTDQRSLLFPEMSPGRTLARHATFYLTDSWRVNPTVGQAWGIPVLHSTRSNVYWIRGINPDMPV -IVSTVTAQNARRQYGEQAFTFSSCGGLDFPGYYQIVLTRELLSAVPDDAIYTAFTRSRHDIAVLNALSPQ -EFRTACNNSRLLNALFNGVPLNGTYRDVIGDRIPGVPLLGGFSLVHGTRLTTVADVLGNDGSKYDNLEPI -MKASMLAPPPERVESDVSPVPLKHAPPNDEWVPTYFGRAPHLKNDLNNTGFVRENVEFLSPDGQMGRMFG -SDRKPEDASRVDGLNDFFPLHQSSDPALWGPTVPKRLRFGTEQGNRKELADSSFLGPMLCDAFRKSHNLP -FDIPWDQELFDRCVEDCAQKRLSKSAEALNNLTRDQDPILRDNKKVLNFLKGQLINKLDAITKGSGAESF -PTIKPAQMITTYTEEVNAAFGPYIRYLACKLREHSPDHVMHYGGMDLNDLGQWAREHVPSKRTKSFTNDY -TAYDKSCRGETLAFEICIMRLFNIPEWIIELHVELTLCVTSALGKLGIMRTSGQWCTYLFNTWYNDAYFT -LKYVFPLNTPRGFSGDDMFILCVPTISPGWLSISRYFSLVGKPVITFLPEFCGWLLTSHGIIRHPYLLYA -KYLFHKENGTLANVLISYYMEFQHSLTLGDALWESLPYDLLPYQGQLQLLFNQARSRVPSFLIRENKLGD -APAAKLSARYDLFRLPADFMRMDWRLLPSSIRRSLLLARGL - ->NP_624333.1 replicase polyprotein [Citrus leaf blotch virus] -MALMSNKTAIESILGNFEKKHVDAIYNAAAQTILSHSEFRNKHFAYSLNSYQKKIASKVGIELYPNGYLP -HSHPLSKIFENHLLFDVLPGVVNTSRLVMCSIKESKVLVFKGIRDKSRRQVSDLNALNSLNNSHTSFINR -LVASKDVSRYTEEADAFFQSKKGSPELFSRNFIKSLENKEAVFFHDEVHHWTKAQMFSFLKSTKVKRFIF -TVVYPPEILKKFANSQNPKVYDFKVDKGRLFFFPDGVKTEAYEQKLNMEWLFSASHLRSGDCVWTVTRHK -SIYAHHLFEISIGELVTDSKLFFSDYNSIDMSKIFLDRFRSYEVFPISIEHLYKVYSYLLCLKKPDLESG -LAKLRQIIGDDVEIKEFLFFEQFCKRLIERQTSWGLFGHSFFEKLTDMALSSLPNSIARIFPQWKKKNTF -EFLFSLGTLVVDVERKVCFEHVLEEWGFEVVITDENAYLDPLSIFAINENFNEDRVDDGYLERIRLPFWN -LNDYDLKRKRVNAYNILSYRFEEERKIESAQKGPNKMLQIEWYGIKEFKVDPFISNSITEFTLLEALLGK -RIDPKKYSYSKQACTLSNYLTFLCAEGLDGFNLEEHLERRLKAAGHDVSDDEEEELTSAEQAGPIKILAD -PLGFMKECLEEIPIETEPSLEERGQFSTDYHSEKFEINYNDIFNPHNCMNTHGDEIPTPSDGNCFFSAFT -ETFEVERPDTLRSDFSDWLMEFNGGSYASLAEMIRPNGVFMEAELIYLFCVFRGVTLIIHDRTHEKENVY -AVHRGFEEGHMVHRGNHFVGIETYNISTLTSDPLLGDIPCGFSEEITKFHFRPDHFNCAQFRGRKAAFIT -KVDADYGHNGMVYPHNSWVPSLEEIIQICGQGDDFNCALINFYEANSSLGFHRDNERVYNDDPILTVCTF -GEGRFTIEFKDQVTSFLMTAGSFFLMPKGFQKKARHSVSNEMSRVSITFRKHVRRLNGSPIAIREENYKN -TCLINAFSKAMKRSKQAIIAKLKTVNSPFWSRYLSEGNGGSIEDCQSACEALDVTVDLNVNGKCVVLGKG -ALRISMALRNNHFSVINAAQLMERTFVSHLLEKGNVNVLEGFDAMLSGDVGAAGVNKIQFAANFEFARIL -ANSFLNMTTGICLGKALDNGEKYFLHILKDRVKQIGIDVTMVCGFAGSGKSRKLQSWLHSRKKGNFCVVS -PRTNLAADWAFKLELEPNEQRKVSTFEKFIKTDKSKLDLIVIDELTLFPNGYLDLLVYELADVNRHCQII -LLFDPLQARYHNKMDESILTFEHDVDRLIGGQNIEYIYSTHRMSRYFNRFFDVPCFNQADRTEEQRLWIF -DDVYSIPSICSDRQEPCDVLLVESDLEKKAFSPIINVMTFGESQGLTFNHVCILLSESSAASNEFRWMVA -LTRARTRFSLCSTFLGGIEEFKVKRKESLITSILQGEKITFNRLNLMLKCNLIRREKENGCRDEVDREER -LEGDPFLKPFIFLGQRVEKDEDEVEEVKIREPTCQTHLYITEPNFGLCYNFDFIREKEQREYREDMLVTN -QFCDSYDKVHINGKRETPGPLRFKAIYPKHSADDDMTFWMAVRKRLVFREEEENYQRLSRAHLVGGLLYT -NFKKKMGLEFTFDQGLLEESINAFEKKKLEKSCGTIKSHSIRSDIDWALNDVFLFMKSQLCTKYEKQFVD -AKAGQTLACFQHLILVQFAPWCRYLETQIRNQLPEEIYIHSNKNFDDLNAWVKKFFQRDICVESDYEAFD -ASQDEYILSFEIHLMKDAHFPQKIIDAYIDLKCKLGCKLGHFSIMRFTGEFCTFLFNTLANMAFTMCRYE -WRRGQPIAFAGDDMCALNNLAVCHDFDDLFELISLKAKVERTETPMFCGWRLTPYGIVKEPELVYNRFQV -AIEEGKVLECLENYAIEVSYAYSLSERLYEVLKSERQVQYHQAVVRFIVTHIDKLKTKVRDLFLEQSSDE -DI - ->NP_620106.1 RNA replicase/coat protein [Cherry virus A] -MAFVAKFAEENYFNSLPSNVTDAFLRDGFNAEHNRFEILSRHFAFALKPSQRTYLNDCGIQLAPIASKTH -PHPVSKIIENHLLYCVVSNMISNFKFLVFLSIKEVKAEYIWNKNTADTVREISNRILDIKDAFRYGPTNT -VNGGINNFSFFCANLNRRFNNRAIKPDCFFIHDEVHFWSPAELCEFLFTVEPKNVLATVVIPPELLEGLD -YSFNSVAYDFKKVDGNLYYFPDKSKGKPYQQPMDPWLLKCNKISMIKNGEIFSYSIGLLESVGANHLFSF -QRNKVVESTRFFNDFDCLDMRKLLPINVENGKIKGYNIRTWVFKKILSYIVCLKKGDSESSLAKLRQLSD -SSPSSDELLLIGDFFDLMTRVKIFNKRSPWSFLSDAKNYVDSWIIQSPFLRRIFPVGSRAITELIRDWIA -NAESLKIQTTCSSLTFSDSFEMIKTDSISEFGEHILGNISGGLSSAIEACRNWLTSKRFSGGSYSMVSRN -GLLVDSMRQNTSFSCEVFVDLFPSTIRPAFYSDPNFTKVESFEPEWDFLLGSWIFNHKQVRLCYEPSNSH -ADSEEDVNRTSETSSPEKTIADSSSVSPFVSSNHEEGTSSQQSEEKPISEQEKKETRKNDCFFKAVGETI -GIPANSLIERILCSDSEDLKPVIEQLNLDHPISSKLLEVCCKFLGYRVHIYYGDSIIKLNDDINMHAIHI -GGKPGHLFCINQERSKIPKDSQIKVPEVGPQSFIGSIFSKTYGSGSSAPIHLGQIDITKALVLVSAFESM -NLGVRVDRKAILEGQLISNGFLAFLKRKNNEGHKVIKIQSLPVYPFIGFAGSGKSFGLTEKLINGDCSQN -FMFTAPRKKIIGQIHERIDSRQYDDKLKISRKKNFSTFENTLLSLVNKPLVIMDECSLNPPGFIDLVLIK -SLDSIIRKSNKDFDHFFSSSVLSEGIIANVASPIACIAVTGDTLQSSFYSESCGKLMQYKNDIKTLCALS -HTRLPYLFGSKRFGYFTGFLKLGYYNQMESKAFTIDNMETLQKAIGTSMDKFGVLVTSRADKSDFELDFP -NVCTINEAQGSTFNSVILIVTRDFFSNPIESIIVAITRHQKNLLIYFPAAIQGEMDFLSRRFPIHSNVVL -KNFSVLDNLIKDKLNPFQLIQEDPFGHDFEVKLEGDPFLKSELSLVNEIKLQQIEENSIESKENLKTHLP -ISYSGLWNLEISEMRAREDREFKKFGVGWSKQFKDEPNQKDQVEDNCAMLPEAVFPRHFANDDLTFWSAV -KKRLVFKNPLSNAHDFEKAKPFGKELLNIFLRKVPLMPNFDQRMYDESVSEFEEKKISKNAAMIGAHHDR -STTDWPTNEIFLFIKSQLCTKKEKMFCDAKAGQTLACFSHLILCKFAPLNRYIEKKVTQSLPGNFYIHQK -KNFDELERWVKSYNFSGVCTESDYKAYDASQDSCTLAFEYKLLRYLAFSNSLIEDYLYLKMHLNCKLGNL -AIIRFTGEFCTFLFNTLTNMLFTFMKYDVRKTHAICFAGDDMCANVRLPENHEYSSLLKKFSLKAKVDFT -RSPTFCGWNLSRYGIVKKPELIAARLAVARQKGEVNLVLDSYFLEHLYAYNKGDHLFEILSEKELEHHYN -LTRFFVKNSKLLKGESKKKFMETKEIEGGLFGECDFGNDSIFKDYINRVKNKVEIDLLNERILRINTEMN -QFDPRIYMMNKIGFVTSTSMFEAGHIASNESNQVKSLPPQTSWPYDEVKPYMPLSLRNSYESRTKGNRLL -SILRNQKHLCDLGSGSILGLRVLEGLKMFKEECRSYQSRSFFKESQETNQEFSLMQFVPRTFTVMQMPSI -PKCSQLLKDFSLQLLYLQAALAKAMLHSSIFLMKLSWRLSKKPLLSTQCFILGQSSYVLHAFSSLKSRSM -AELYTLIPGFWTKMMHAKQVLVSSCKLDQPITFIGQIIQCPHMIQTCIGLLESSLNSMQSMLLTIPTYSS -LTLESCTSSVIRALQRRQLPQMLGHSFKHFLGLLDYQILNPFLRMKILSILQLWHSLTSVLTRVSGKVVS -LKAHHVQQEPEGIMPEARDRVLSQSQKLLVKILNNKKEICLGQIHVGLKIFSSIQNRGFQLIKNSSTDLI -SAILKGGTQISSMALSIVEQNYNEIRRGLGNYIWENMIDPRDLLHLTAKPAVEASEGVAATPAITLSENQ -RAVKNTIRNYYLRIMFGNLAVMGTSEQTDYPGEHLAIPRPVIENQEALTAHLPAGMSLLTFATNVKAWGV -VGAEGKFAGLTFRQLCEPFAEQAYNFFRENHGAVSFIYLKNPGAYFNCPAVVFDFNKGLPLTIIKIGKNA -NAISACNQRLFNREGKKAVFAAQGEVNLSFDA - ->NP_062428.1 replicase [Cherry mottle leaf virus] -MAFSYRTPQEELLNRLPQTQQEIIGNLQFERLQKEEERRVVSFSYALPEKTKEWFTKSGVYLSPFSFEVH -SHPGCKTLENHILYNIVAPHISKYPYIACLSIKANKMSKMERMGAHSVKNYDIFNRLVTSRDKSRYGDLT -QPTRVGGPKGSNYFIHDEIHYWSRTQLETFLDIKKPRNLWVTMVFPPEILKGYKSSVLPFLYEFEIDKGN -LIYMPDGSRSESYTQSIENGYLLSTNCISFKNKDGLRKQYSVTLVYTLGSHHVFHIFPNMGLIEDEIRRF -GPYDLFDVGTLFKKPVRVPIQGFPLSTFKKIFIYMSSLKKPDEQSAVAKLRQLCDAEISIEAVFLIQEFA -KRIEKGGILSWSCSFFDYLKDHFFDKIPFKDTLEKIGLADDFTRRLINLKPLSFDIRADQERKLIAMVFD -AVWPDQQSEDYLAAEISKGKKRVINGNAFVNGVSSTKNFLLGRAREVQPDYPEDFQSDDLAHTPIRLSGD -EDYSRSAPRTPAIFRTARTEYNVLPPSSGAPLRIGFERFYGKVEKTEYQKDLESRKRKIALSALDFHVNK -TKNKDVESYLAAQGRRDEQTRLKNLALTRLSKVKGRVRFVPTCTASKSSEKPIGKQQEEKKNELVPKTGH -QDSTTLPRDEFINSILKKSEVVREKIEATEVKEFDCSKFILEPVCKHDLMRTNEQVSALVRQSLNALCFR -PNKGKKSVYVTLDSPMVYFHNSISYPSIEATGLIKDWILSKAEDYGVPFNAALVQVYEKDCILGMHKDNE -SCYGNHPILTVNVSGKAVFSTDCCGNTMELDSGDELLMPEDFQRKFRHGVKSITDGRMSVTLRVHERDFS -FEEKRKFIEGKYDCLFVAMAAMISKKPEDIMFKCLNTLDRCVMNKGCDLTDLRSICSGYEIKVECQGDCG -LVEIGDIGLPLGKLILRGNHFTLCSKRRSNLDSLANSSKDVSSLSGGIDYVMVNFIKRLRSIEPDLSRSE -IKVDIKRGGKLLKCLMEGLTGIVSHNSTHDGWRLLKGVKNSADMRSLIGAMRGNVDGMEKNKLLNELEEL -NFQKVPIYGIFGFAGSGKSHAIQNLIAKEFRGSQGLMIVCPRKFLAKDWSEKGVEEMDIRTFESALKSDI -KGKRVFILDEVTLLPRGFTDLLLMKIHMEGNLKSSTIICLGDPLQASYFSQKDDSYLEREPEVKRLFKDG -VEYKWFSHRVNKFTARQLSITTTNTFPGIDSQSQIYGDVQSAICSIQKTGIDVEIILVASMIEKELYCNL -GRTITFGESQGLTFGVGVVVLSEETKLCSDAHIMVAITRFNKGYSFALGSKGTKDEYMRGMKNGLLSRLT -SNSGASKDFIMSSSSVKLNLSEKLIKTGAGIDEMDREERLSGDPWLKSQIFLGKRFHLREPLGQVVNLED -SAIKCHLPICNNQTLYVELSKMRAREDREFKGKDGWSKQFREEAGPNWKSPYKVAQPMNFEAIYPRHRMD -DDITFYAAIKKRLRFDNVANNYAKFKQSQSRGQYLLKVFLEHVNLKPSRNQALLNQCRQEFEETKLNKSA -ATIGAHSQRSDPDWPLDRIFLFMKSQLCTKFEKRFEDAKAGQTLACFQHRILVEFSPWCRYVEKILTACT -PDNFYIHQRKNFSELENFAKRFSDGSICVESDYTAFDVSQDHTILAFEVELLRFIGWDEKILNSYIKMKC -TLGCRLGGFAIMRFTGEFSTFLFNTLANMAFTFCRYEVKKGTPICFAGDDMCALRNLREVSTHEHILDKL -SLKAKVNRTTVPMFCGWRLCQDGLIKEPCLIYERLCVAIENGRLLDVIDSYYLEFSFAYKLGERLFQYLE -IEQLNYHQVLARFFVKNSHLLRGSAREGISELSWLSDGDDNDDESSQVKNRRRGYSNIWGEKLQSLF - ->NP_044335.1 241k polyprotein [Apple stem grooving virus] -MAFTYRNPLEIAINKLPSKQSDQLLSLTTDEIEKTLEVTNRFFSFSITPEDQELLTKHGLTLAPIGFKSH -SHPISKMIENHLLYICVPSLLSSFKSVAFFSLRENKVDSFLKMHSVFSHGKIKSLGMYNAIIDGKDKYRY -GDVEFSSFRDRVIGLRDQCLTRNKFPKVLFLHDELHFLSPFDMAFLFETIPEIDRVVATTVFPIELLFGD -KVSKEPRVYTYKVHGSSFSFYPDGVASECYEQNLANSKWPFTCSGIQWANRKIRVTKLQSLFAHHVFSFD -RGRACNEFNHFDKPSCLLAEEMRLLTKRFDKAVINRSTVSSLSTYMACLKTANAASAVAKLRQLEKRDLY -PDELNFVYSFGEHFKNFGMRDDFDVSVLQWVKDKFCQVMPHFIAASFFEPTEFHLNMRKLLNDLATKGIE -VPLSVIILDKVNFIETRFHARMFDIAQAIGVNLDLLGKRFDYEAESEEYFSENGYIFMPSKSNPERNWIL -NSGSLKIDYSRLVRARRFRLRRDFLDPISKGKSPRKQLFLESTGNIKSNPNAEKNSESGEIKIEGSAEND -QPHEVSHTSMETEDGQGFEGSIPVDLINCFEPEEIKLPKRRRKNDCVFKAISAHLGIDSQDLLNFLVNED -ISDELLDCIEEDKGLSHEMIEEVLITKGLSMVYTSDFKEMAVLNRKYGVNGKMYCTIKGNHCELSSKECF -IRLLKEGGEAQMSNENLNADSLFDLGRFVHNRDRAVKLAKSMARGTTGLLNEFDLEFCKNMVTLSELFPE -NFSSVVGLRLGFAGSGKTHKVLQWINYTPSVKRMFISPRRMLADEVEPQLKGTACQVHTWETALKKIDGT -FMEVFVDEIGLYPPGYLTLLQMCAFRKIVKGQSENFLKGKLLELSKTCLNIRCFGDPLQLRYYSAEDTNL -LDKTHDIDLMIKTIKHKYLFQGYRFGQWFQELVNMPTRVDESKFSRKFFADISSVKTEDYGLILVAKRED -KGVFAGRVPVATVSESQGMTISKRVLICLDQNLFAGGANAAIVAITRSKVGFDFILKGNSLKEVQRMAQK -TIWQFIIEGKSIPMERIVNMNPGASFYESPLDVGNSSIQDKASNDLFIMPFINLAEEEVDPEEVVGDVIQ -PVEWFKCHVPVFDTDPTLAEIFDKVAAKEKREFQSVLGLSNQFLDMEKNGCKIDILPFARQNVFPHHQAS -DDVTFWAGVQKRIRKSNWRREKSKFEEFESQGKELLQEFISMLPFEFKVNIKEIEDGEKSFLEKRKLKSE -KMWANHSERSDIDWKLDHAFLFMKSQYCTKEGKMFTEAKAGQTLACFQHIVLFRFGPMLRAIESAFLRSC -GDSYYIHSGKNFFCLDSFVTKNASVFDGFSIESDYTAFDSSQDHVILAFEMALLQYLGVSKEFQLDYLRL -KLTLGCRLGSLAIMRFTGEFCTFLFNTFANMLFTQLKYKIDPRRHRILFAGDDMCSLSSLKRRRGERATR -LMKSFSLTAVEEVRKFPMFCGWYLSPYGIIKSPKLLWARIKMMSERQLLKECVDNYLFEAIFAYRLGERL -YTILKEEDFEYHYLVIRFFVRNSKLLTGLSKSLIFEIGEGIGSKWLSSTSTASSRRSNLQTSKLMLSRPQ -SFTRMQPFSNQTCLIASKGLNQTSRFPLDLVTASSCLISNCLMTPKLIQSGRKATSTNTYTMESSWLGSK -QCCQTLEAWKGESLYMMEPAWIRKEATFARIFSSLSLTVATLVSGQSTVCLPQTQIWPKGLDFVWTLIVH -NMNRTLSCLLLTLELHTDASTLQGFWKPKLAIQDGLHRQSAAVKHLNSMRKSRWPSWIADPRCFWKKVHQ -TCTLKRDCSEVTRLEGHAQFPLKGGQTQGCKKREDLGPSRLELKDLEKMSLEDVLQQARRHRVGVYLWKT -HIDPAKELLTVPPPEGFKEGESFEGKELYLLLCNHYCKYLFGNIAVFGSSDKTQFPAVGFDTPPVHYNLT -TTPKEGETDEGRKARAGSSGEKTKIWRIDLSNVVPELKTFAATSRQNSLNECTFRKLCEPFADLAREFLH -ERWSKGLATNIYKKWPKAFEKSPWVAFDFATGLKMNRLTPDEKQVIDRMTKRLFRTEGQKGVFEAGSESN -LELEG - ->NP_040551.1 replicase [Apple chlorotic leaf spot virus] -MAFSYRTPQEELLSRLPQSQQEVISGFQYERIQKEEEKKVENFSFYLPEKTREWFTKSGVYLSPFAYVNH -SHPGCKTLENHLLFNVVASYISKYSYVACLSIKSNKMSKMERLGPNSVKTYDILNRLVTAKDKARYGPLA -KPERSPCPKKTNIFIHDEIHYWSRDQLETFLQVHRPKNLWATLVFPPEILAGYKSSVLPFLYQFEIHGKD -LVYMPDGVRSESYTQPLENGFLLSSSSIIIKNRVTGVEIRYQVSLVYSLGSHHLFHIYPAEDLMKEEVRR -FGPYDLFDVGSLFVKPVRVPIQDFPLSVFKKIFIYMSSLKKPDVQSAVAKLRQLSDADISIESVFMIQEF -ASRIEKNGVESWSCSFWGCMKDWFFDKLPYREVLEKIGLADDFTRRLMKIKPLAFDIHTTDRPLTVRMII -DQIWEERLSSFDNISNIVFYGRREWLNNGVLPKVKKKGLAKLIPGREVDSHNYPREIYSDLLSSTSIWRS -YDDDFRHRSASPLVILRSNRAYSEAAKFSSNCLSLCAAPCDEVIARTPFELNHRREKKELSLKCLDFHIK -KMKVKNVLELEVKLRERNTRISLSKKGVKKAGRSRMIPVHLLKPTCGEGNGKPEERNKEEAKIPMNEGTS -KEEKGSEPPHSEVKREGVRLDEQHISEPLLSFKLDDFVGREKLCSAGLIKTVGNDYLLLARQIECMPLSQ -LRGKKAAYFCLDFPMVYFHDKVSYPTFEATGEIRHVMMKARSKWGIDFNSALIQVYNDGCRLPLHSDNEE -CYDDDGILTINVVGDAKFHTTCHDEVIDLKQGNEILMPAGYQKKNRHAVEVASEGRTSVTLRVHKRDFSF -ESKLRFIKGKFDCLFVSVAEIIHKKPEEIMMFIPHIMDRCVSNRGCSLDDAKAICEKYEIKIECEGDCGL -VECGTSGLSIGRMLLRGNHFSVASVRRSSMDSLANSSKEIKSDGVLDHVTFNFHKRLKLVEPDLTNADIK -VDSSRAGKLLKSLMDGMTGIVSHNSTHEGWRMIKGINSTSEMRSFMNMVRGQIEEPKSDLFDKVQELNFM -KVKIYGIFGFAGSGKSHAIQNLIQTEFKGSQGIMVICPRRFLAKDWSEKGVDEKDIKTFESALKSDVKGK -RLFILDEISLLPKGFTDLLMLKMHMEGILKKSTIVCIGDPLQAGYFCPKDDNYLSREGEIKRLFKGGVNY -KWYSYRINKFIAKKLAIETMNDFIGIDEQSSIYKDMPSAHHFMEKKGNHIEVILVASMVEKELYSNYGNV -MTFGESQGLTFNCGVIVLSEEAKLCSDAHIMVAITRFRRGFCFALGSKGSKEDYMRSMKSGLLQRICSGV -GASKEFILGSSSVNLILSEKDIAKGAGIDEMDREARLEGDVWLKSMIYLGKRYHMVEPLGQVIKLTDDAI -KCHIPVCSSQTLGPELDNIQAREYREFKGKNGWSNQFREEAGPNWKFPYKVNQAMSYEAVYPRHKMDDDL -TFLAAIKKRLRFDNVANNYAKFKAAESRGKYLTKIFLKHVPIKCGRDQRLLDQCRQEFEETKLSKSAATI -GAHSQRSDSDWPLDKIFLFMKSQLCTKFEKRFTEAKAGQTLACFPHKILVEFSPWCRYTEKVLTANLPDN -YYIHQRKNFSELEDFARRFSNGSICVESDYTAFDVSQDHTILAFEVELLRHFGWDDRVLQSYIKMKCTLG -CRLGGFAIMRFTGEFSTFLFNTLANMVFTFCRYEVPDGTPICFAGDDMCALRNLREIDTHEFILSKLSLK -AKVNRTKVPMFCGWRLCCDGLIKEPCLIYERLQVAIENGRLMDVIDSYFLEFSFAYKLGERLYSHLEIEQ -LNYHQVLTRFFIRNKHLLRGDSRHNISELEWLSDEDGDNDKGSQIEDRRRGYSNCWGEKLQNLF - ->sp|Q6PLS1.1|POLG_ASGVK RecName: Full=Genome polyprotein; AltName: Full=241 kDa polyprotein; AltName: Full=ORF1 polyprotein; Contains: RecName: Full=Putative RNA-directed RNA polymerase/helicase; Contains: RecName: Full=Coat protein -MAFTYRNPLEIAINKLPSKQTDQLLSLTTDEIEKTLEVTNRFFSFSITPEDQELLTKHGLTLAPIGFKAH -SHPVSKMIENHLLYICVPSLLSSFKSVAFFSLRESKANSFLKMHSAFSHGKIKSMGMYNAIIDGKDKYRY -GDVSFTSFRERVIGLRDQCLTRNKFPKIIFMHDELHFLSPFDIAYLFETIPEIDRVIATTVFPIELLFGD -KVSKEPRVYTYKVHGSSFSFYPDGVASECYEQNLANSKWPFTCNGIQWANRRVRVTKLQSLFAHHVFSFD -RGRACNDFNHFNKPGCLLSEEMRLLTKRFDKAVINRSTVSSLSTYMACLKTANAASAVAKLRQLEKRDLY -PDELNFVYSFGEHFKNFGMRDDFDVSVLQWVKDKFCQVMPHFISASFFEPTEFHLNMRKLLNDLATKGIE -VPLPTIVLDKVNFIETRFHARMFEVASAIGVNLDLLGKRFDFENESEEYFSENGYLFMPSKHNTDRNWIL -NSCPVRVDYSKLVRARRFRLKRDFVEEILKKRPPRTQLFLEFNKDFGGPVSFEKEEVEKKGEAATRVEDK -APHEPSCSNLSTEDGQGFEGSLPLDLISCFEQEEIRLPKRKRKNDCVFKAIAAHLGIETQDLLNFLVNED -ISDELLDCIEEDKGLSHEMIEEVLVTKGLSMVYTSDFKEMAVLNRKYGVNGKMYCTIKGNHCELSSKECF -IRLLKEGGNAQMSNENLNADSMFDLGKFVHNKERAVKLAKSMARGTTGLLNSFDPNFCKEVVGLSELFPD -NFSSVVGLRLGFAGSGKTHKVLQWINYTPNVKRMFISPRRMLAEEVEGRLKGTACQVHTWETALRKIDGT -FMEVFVDEIGLYPPGYLTLLQMCAFRRTVKGQSERFLKSKLAELSKTCLSLRCFGDPLQMRYYSAEDTNL -LDKTHELDLMIKTIKHKYLLQGYRFGEWFQSLINMPTRIDSSEISIKFFADMSSVKSEDYGLVLVARRED -IGVFAGRIPVATVSESQGMTIDKRVLICLDQNLFAGGANAAIVAITRSRVGFDFVLKGNTLKEIQRMSQK -TIWQFILEKKRIPMERIVNMNPGASFYESPLDVGNSSIQDKASHDVFIMPFINLAEEEVDPEEICGDVIK -PVEWFKCHVPVFDTDPMLAEIFDKVAAKEKREFQSILGMSNQFLDMEKNGCKIDILPFARQNVFPHHQAS -DDVTFWAGVQKRIRKSNWRREKTKFEEFEIQGRELLSEFLSMLPCEFKVNIKDIEEGEKSFLEKRKLKSE -KMWANHSERSDIDWKLDHVFLFMKSQYCTKEGKMFTEAKAGQTLACFQHIVLFRFGPMLRAIESAFLRSC -GDSYYIHSGKNFFCLDSFVTKNAEVFDGFSIESDYTAFDSSQDHVILAFEMALLQYLGVSKEFQLDYLRL -KLTLGCRLGSLAIMRFTGEFCTFLFNTFANMLFTQLKYKIDPRKHRILFAGDDMCSLSSLKRRRGERATR -LMKSFSLTAVEEVRKFPMFCGWYLSPYGIIKSPKLLWARIKMMSERQLLKECVDNYLFEAIFAYRLGERL -YTILKEEDFEYHYLVIRFFVKNSKLLTGLSKSLIFEIGEGIGSEWQLSMSTTSSKRLNQQISRLMPSRHL -NFIKMQPFSSQMFSIASNDLNQMSKCLKVRVMDSCCPTSSCLMTQKLTPLERRATSTNTYIMELFLLASK -QCCPTSGEWKGGSLYMTVPVWTQKEVIYVPTYSDLSLTAVTLDSDQNIAYLQLMLIWQKGSGSEWILTVH -NTNRTQSCLLLTLELHTGVSTQLDSWKPKQVIQDGLHRQSAAVKHLNTMKRSRWRSWITNHRCFWRKVHR -MCILKKECSEGTRSGGRALSLPKGGQTQGQKKREDLGPSQRGLKDLGKMSLEDVLQLARRHRVGVYLWKT -QIDPGKEILTVPPPESFKEGESFEGRELYLLLCNHYCKYLFGNIAVFGSSDKTQFPAVGFDTPPVHYNLT -TTPKEGETEEQKKAREGSSGEKSKIWRIDLSNVVPELKTFAATSRQNSLNECTFRKLCEPFADLAREFLH -ERWSKGLATNIYKKWPKAFEKSPWVAFDFATGLKMNKLTPDEKQVIDRMTKRLFRTEGQKGVFEAGSESN -LELEG - ->sp|P54891.1|RDRP_ACLSA RecName: Full=RNA-directed RNA polymerase; AltName: Full=216.5 kDa protein; AltName: Full=ORF1 protein; AltName: Full=RNA replicase -MAFSYRTPQEELLSRLPQSQQEAISGFQYERFQKEEEKKVENFSFYLPEKTREWFTKSGVYLSPFAYVNH -SHPGCKTLENHLLFNVVASYISKYSYVACLSIKSNKMSKMERLGSNSVKTYDILNRLVTAKDKARYGPLA -RPERSPCPKKTNIFIHDEIHYWSRDQLETFLQVHRPKNLWATLVFPPEILAGYKSSVLPFLYQFEIHGKD -LVYMPDGVRSESYTQPLENGFLLSSSSILVRNKATGVEIRYQVSLVYSLGSHHLFHIYPTEDLMKEEVRR -FGPYDLFDVGSLFVKPVRVPIQDFPLSVFKKIFIYLSSLKKPDVQSAVAKLRQLSDADISIESVFMVQEF -ASRVEKNGVGSWSCSFWECMKDWFFDKLPYREVLEKIGLANDFTRRLMKIKPLAFDIHTTDRPLTVRMVI -DQIWGERQSSCDDVPNIIFYGRKEWLEHGLLPKVKKGLAKLVPGRETGGSDYPEEIYSDLLSSTSIWRSY -DENLRHRKASPIVILKSEKAYSEAPGFSSNCISLCSTPFGEVIERTPFEVERERKKRELSFGCLDFHIRK -MKVKDASELSIKLDEQNKEGLRRQKRKEKAKKTRMIPVHLLELGSDQKEKNLGQEASKGKGIEQEERRKS -DEAKFDSGPSGVCSIKAENPVDAQHIAEPVPCLKLNDLIGKEKICSSGLIKTVGNDYLTLARQIEDMPLA -QLKNRKAAYFCIDYPMVYFHDKISYPTFEATGEIKQIIMRARDKWGANFNSALIQVYNDGCRLPLHSDNE -ECYDDDEILTINVVGDAKFHTTCHGEIIDLRQGDEILMPGGYQKMNKHAVEVASEGRTSVTLRVHKRDFS -FESKLRFIKGKFDCLFVSIAEIIHKKPEEVMMFIPHIVDRCVSNRGCSLDDARAICEKYEIKIECEGDCG -LVECGTIGLSVGRMLLRGNHFTVASVRRSSMDSLANSSKELKSNGVLDHVMFNFHKRLRSVEPDLTVEEI -KVDSSRAGKLLKSLMDGMTGIVSHNSTHEGWRMIKGINSTSEMRSFMSMIKGKSEETRGDLFDRVQELNF -MKVKIYGIFGFAGSGKSHAIQNLIQTEFKGSQGVMVICPRRFLAKDWSEKGVDEKDIKTFESALKSDVKG -KRLFILDEISLLPKGFTDLLMLKMHMEGILKKSTIVCIGDPLQAGYFCPKDDNYLSREGEIKRLFKDGVN -YKWYSYRINKFIAKKLAIETMNDFIGIDEQNLIYKDMPSAHHFMENKGNHIEVILVPSMIEKELYSNYGN -VMTFGESQGLTFNCGVIVLSEEAKLCSDAHIMVAITRFRRGFCFALGSKGSKEDYMRSMKSGLLQRMCSG -IGASKEFILGSSSVKLILSEKDVSKGAGVDEMDREARLEGDVWLKSMIYLGKRYHIVEPLGQVIKLTENA -IKCHIPVCSSQTLGPELDNIQAREFREFKGKNGWSNQFREEAGPNWKHPYRVNQAMSYEAIYPRHRMDDD -LTFLAAIKKRLRFDNVANNYAKFKAAESRGKYLAKVFLRHVPIKCGRDQRLLDQCRQEFEETKLSKSAAT -IGAHSQRSDSDWPLDKIFLFMKSQLCTKFEKRFTEAKAGQTLACFPHKILVEFSPWCRYTEKVLTANLPD -NYYIHQRKNFSELEDFAKRFSNGSMCVESDYTAFDVSQDHTILAFEVELLKHFGWDDKVLQSYIKMKCTL -GCRLGGFAIMRFTGEFSTFLFNTLANMVFTFCRYEVPDGTPICFAGDDMCALRNLREIDTHECILSKLSL -KAKVNRTKVPMFCGWRLCFDGLIKEPCLIYERLQVAIENGRLMDVIDSYFLEFSFAYKLGERLYSHLEIE -QLNYHQVLTRFFIRNKHLLRGDSRHNISELEWLSDEDSDDDKGSQIEDRRRGYSNCWGEKLQNLF - ->APT42870.1 polyprotein [Apple stem grooving virus] -MAFTYRNPLEIAINKLPSKQSDQLLSLTTDEIEKTLEVTNRFFSFSITPEDQELLTKHGLTLAPVGFKSH -SHPISKMIENHLLYICIPSLLSSFRSVAFFSLREKKANNFLKMHSVFSHGKIKSLGMYNAIIDGKDKYRY -GEVSFTSFKDRVIGLRDECLKRNKFPKVLFLHDELHFLSPFDIAFLFETIPEIDRVVATTVFPIELLFGD -KVSKEPRVYTYKLHGSSFSFYPDGVASECYEQNLANSKWPFTCSGIRWANRKIRVTKLQSLFAHHVFSFD -RGRACNKFNHFDKPSCLLAEEMRLLTKRFDEAVINRSTVSSLSTYMACLKTANAASAVAKLRQLEKRDLY -PDELNFVYSFGEHFKNFGMRDDFDVSVLQWVKDKFCQVMPHFIAASFFEPTEFHLNMRKLLSDLATKGIE -VPLSEIILDKVNFIETRFHARMFDIAQAIGVNLDLLGRRFDFETQSEEYFSEKGYLFMPSKANPDRNWIL -NSGFVKIDFAKLVNARRFRLKRDFVDPILKGKAPRQQLFLELGLNLSPEPREVEKGEGSGLSVEEKASDE -SKCEPCSIPMSTEDGQGFEGSIPIDLINSFEIEEIKLPKRKRKNDCVFKAISAFLGIETQDLLNFLVNED -ISDELLDCIEEDKGLSHEMIEEVLVTKGLSMVYTSDFKEMAVLNRKYGVNGKMYCTIKGNHCELSSKECF -VRLLKEGGEAQMSNENLNADSMFDLGKFVHNRERAVKLAKSMARGTTGLLHSFDPAFCKHMVTLSELFPE -NFSSTVGLRLGFAGSGKTHKVLQWINYTPSVKRMFISPRRMLADDVEGQIKGTACQVHTWETALKKIDGT -FMEVSVDEIGLYPPGYLTLLQMCAFRKIVKGQSEKFLKGKLAELSKTCLNIRCFGDPLQLRYYSAEDTHL -LDKTHEIDLMIKTIKHKYLLQGYRFGQWFQELIDMPTRLDGSKFSRRFFADMSSVKVEDYGLILVAKRED -KGVFAGRIPVATVSESQGMTINKRVLICLDQNLFAGGANAAIVAITRSKVGFDFILKGNSLKEVQKIAQK -TIWQFILEGKAIPMERIVNMNPGASFYESPLEVGNSSIQDKASHDLFIMPFINLAEEEVDPEEVIGDVIE -PVEWFKCHVPIFDTDPMLAEIFDKVAAKEKREFQSMLGLSNQFLDMEKNGCKIDILPFARQNVFPHHQAS -DDVTFWAGVQKRIRKSNWRREKSKFEEFESQGKELLSEFLSMLPCEFRVNIKDIEEGEKSFIEKRKLKSE -KMWANHSERSDIDWKLDHIFLFMKSQYCTKEGKMFTEAKAGQTLACFQHIVLFRFGPMLRAIERAFLRSC -GESYYIHSGKNFFCLDSFVTKNADVFDGFSIESDYTAFDSSQDHVILAFEMALLQYLGVSKEFQLDYLRL -KLTLGCRLGSLAIMRFTGEFCTFLFNTFANMLFTQLKYKVDPRKHRILFAGDDMCSLSSLKRRRGERATR -LMKNFSLTAVEEVRKFPMFCGWYLSPYGIIKSPKLLWARIKMMSERQLLKECVDNYLFEAIFAYRLGERL -YTILKEEDFEYHYLVIRFFVKNSKLLTGLSKSLIFEIGEGIGSKWLSSMSTISSKRLSRQTSKSMQSLPQ -SCTRMRLFSNQMFSIASNALSQMLRCRQGRVTDSCCLISNCLMTRKLTPSVKRVISINTCIMESFWLELK -PCSQISEEWKGESSCTMVRVWTRREATSVPTCSNLSLTAATLGSGQNTVCPQLTQIWQKGLDFEWILIVH -NMNKTLSYLPLILGSHTDVSIQPDFWKLKLVIQDGPHKQSAGVRHSNLTKRSKRPFWITNLRCFWRKAHQ -MCTSKKDFSEATKLEDRAQFLLEGGQTQNRRKKEDLGPSQLGLKDLEKMSLEDVLQQARRHRVGVYLWKT -HIDPAKELLTVPPPESFKEGESFEGRELYLLLCNHYCKYLFGNIAVFGSSDKTQFPAVGFDTPPVHYNLT -TNPKEGETDEQKRARESSSGEKTKVWRIDLSNVVPELKTFAATSRQNSLNECTFRKLCEPFADLAREFLH -ERWSKGLATNIYKKWPKAFEKSPWVAFDFATGLKMNRLTPDEKQVIDRMTKRLFRTEGQKGVFEAGSESN -LELEG ->ALI88677.1 RNA-dependent RNA polymerase [Grapevine Pinot gris virus] -MTFFYRTPTEELISKFTSEEQARIYAPSQRYIENTEIGSNSLFFSYNLKEIQKKFFISNGIELSPFSFKA -HSHPACKTLENYFLFSFLPSFISHSGIRELFLFSIKKAKVTRLRNLVDNVQLNHLNRLVEVKDKMRYGMD -VSPERIEKRKTGLDIFIHDEIHHWSKAQLISFLEVHRPRNVMATVVFPVEILGGFKSSVLKFLYEFECRN -GKLFYYPDGVMSEAYVQTLESSYLFKTNLIKTSKGHYSVSLHRSVGSHHFFQISKYESEILQSHRAFGPY -DVLDAGSLFRGKVRVPIEGVSLTHFKKILIYLMSLKKPDVNSAVAKLRQLSNEDVDTNEMWVIRDLAERI -THGVSKWSNVGIRTILRDWMVDLCPFKKNFERYHLIDDFDRYLMSIKPLSFSFNCSDDVDYAFEPEEDLP -DFIDDFWFGSEKKMIRDPLVKMVEVGRESQNYSIVPTSYQQAAVCIFSMNENCIGWRNRGVKVLLRASRS -SYTVLRDEFFSAPLLLECSEPEKLKFTYDDLPFYQFLSEDQEIDGYFLRESVLNSIKDKAFHYSEGFFDP -LLFASSRGTFLGHSEMTDLSTSLNTLDKVIAENGEKSDVPILPADESNESVNVKLLNESLTYDVEPSECE -VPSVESKYEPGQIESSGEIIPEFWTFVDNNDKEFYCDGAFSLELDFASILEGLKPLSLRGRKAFFFHSNG -LPYFHDSVLYRTFEVPGWLEEIFFKANEHFDVNFNSCLMQVYEQDGSVGWHFDDEDCYDDDPILTMNFNG -TALFEIKNIVSSKLDHKDFILMKSGLQKREKHRVQYTSEGRISLTLRVQRRPPNFHHGLRFLPKVGCFLQ -AVSEQVFTKVEDLAIKLGTLYGDILANWGVSLADVDKFAEKLGIRLVVTNGFEIIRAGVEGPEVSMSFSN -GHFRSNSNSRMNKGKGVESFPKEFARKVSDEMSNDANPQIIQNLNEVYGVFLNQTIFKLDPKRAQKLLKS -LLDGSTGVHCNSSLKEGWKMIPNAKSSEFVAKNYINSSDMWKGSALWNAKINISGIFGFAGSGKSHGIQR -LLNEKFSGSNEILLISPRVLLAEDWRDKVKHLRTMTFESAIKGCLASYKWIILDEVTLFPNGYLDLLVLK -LAHYNEINLKHITLVGDPLQANYFNERDCNLLGSVKMVDSVFKDVKYQYQSYRIPANVAGRFDVWDKNRH -EPIDCHGTFYSDLSSAKLHAKRCNQKIDVVLVASELEKKYFSNQCKCITYGESQGLTFDYGLISLSEESR -LCSDNHIYVALTRFKKGFGFFQNFRGDLGTFKSNLGSKLLGRYINLRDNLKPFMMQMLDINLDFMDDRNQ -VGAGIEMENKMSGDPWLKGLLDLQAVEEVEDMFFEDLNIIEPTGKVHLPLASRNDEFEKIRARESREFKK -LDFDWSMQFEDCGVKIKRVLNGNLCENFSAVYPVHQACDEMTFLAAVKKRLRFSNPAKNLTKFRGATTAG -KILLKNFLKFIPIPSQTFPELLSEAKREFQEVKLKKSEGTIAGNSGRSDPDWSWDRVFLFMKSQQCTKFE -KRFCEAKAGQTLACFSHEILCHFSPWCRYMEKVFSKYCPGNFYIHQRKDFDKLAEFSRKYCKGGFCIESD -YEAFDVSQDHNVLAFEVQLMEHMRIPECVISDYIRMKTELGCKLGNFAIMRFTGEFCTFLFNTFCNMAFT -FMRYQMSGHEPVCFAGDDMCALADLKESDEYNAFFKSFSLKAKVCRTVKPLFLRLEVNEIWPLQGTCVGL ->AFA43536.1 replicase polyprotein [Citrus leaf blotch virus] -MALMSNKTAIESILGNFEKKHVDAVYNAAAQTIISHSEFRNKHFAYALTSYQKKIASKVGIELYPNGYMP -HSHPLSKIFENHILFDVLPDVVSTSKLIMCSIKESKVLIFKNIRDRKKDNGALGFCGKDTSASDHTSFVN -RLVAPKDIRRYTEEADAFFSSKKKNDPELFSNNFIRCISNKEAVFFHDEVHHWTKAQMFSFLKRTKVRRF -IFTIVYPPELLKKFANSQNPKVYDFKVDKGRLFFFPDGVKTEAYEQKLNMEWLFSASHFKSGDQTWTVTR -HKSIYSHHLFEVSMGELISDSKIFFSDYGSIDMSKIFLDRFRSYEVFPIAIEHLYKVYSYLLCLKKPDLE -SGLAKLRQIIGDDVEIKEFLFFEQFCKRLIERQTSWGLFGYSFFDKLTDLALSKMPNVVARMFPQWKKKN -TFEFLFSLGTLVVEIERRVCFEHILEEWGFEVVITDENAYLDPLSVFALNENFNEERVDDGYLDRVKLPF -WNLKDYDPKRGRANKYDLLCYKFEEERKNDLRERGPHKMLQIEWYGIREFDDPFIANGISEFTILEALIG -KRIHKERYSYSKQADVLAKCLSFVCEIGGGGEGLEFVLERRLQSAGRDPIESELEGLGKKTAESSGEADA -ANTLLETQISGLVAFIPTFSDEGESQHRADLEVESEGEIGKEESFEEGTLSCAEGHEAIKFEIDFSDIFR -PHNCMNTHGYEIPTPMDGNCFFSAFAATFDCPDSKDLRSNFADWLDTFDGGSYADMGVKIRPNGVFMEAE -LIYLFCIYREVTLIMHDRTNDRESVFAIHLGFEEGHMVQRGDHFLGIETYRIDGFASDPNLSELPCGYSE -ELRNFHFKPEHFNCAQFRGRKGAFLTKVDADYGHNGMVYPHNAWVPSLDEIIRICDHGDDFNCALINFYG -PNSSLGFHRDNERVYNDDPILTVCTEGEGFFSIEFKEQTASFLMTAGSFFLMPRGFQRKARHSVRNELPR -VSITFRKHIRRLDGSPIAIRQDNYRNVCLIRALSKALNRGMQAIIAKLKTVNNPFWSRFLSDGNGGSVED -CLAACEALGITVDLFVDGKCLVLGEGAVRVSLALKDNHFSVVEEHRSIQRTFVSHLAKKSNLRVMDGLDE -MLQSEMSTGVNCVQFIADFEHARVLANSFLNMTTGICLSRALDNGEKYFLHMSEERPKQIGFDVTAICGF -AGSGKSRQLQSWLHARKRGNFCVVSPRNNLAADWSFKLELEPNEKRKVATFESFIKMDKSKLDMIVLDEL -TLFPNGYLDLLIYELDKFNSHCHLILLFDPLQARYHNKMDEAVLNFEHDVDRLIGGQDLRYIYSSHRMSK -YFNRFFDVPCFNQAETTKEQRLWILDDVYSITSVCIDQGEPCDVLLVESDLEKKAFSPVINVMTFGESQG -LTFNHVCILLSESSAASNEFRWMVALTRAKTRLSFCSTFLGGMDEFKIKRGESLVTSILEGKQITFERSN -MMVKCNLIKQEKKNGCSDEVDREERLEGDPFLKPFIFLGHRIQKSHDEVGEIEVREPTCQTHLYITEPNF -GLCYNFDFIREKEQREYREDMLVTNQFCDSYDKVHINGKRETPGPLRFKAIYPKHSADDDMTFWMAVKKR -LVFREEEENYQRLSRAHLVGGLLYRNFKNKLGLEFTFDQGLFEESVNAFEKKKLEKSCGTIKSHSIRSDV -DWALNDVFLFMKSQLCTKYEKQFVDAKAGQTLACFQHLILVQFAPWCRYLEAQIRNQLPEEIYIHSNKNF -DDLYRWVKNFFQKDICVESDYEAFDVCQDEYILSFEIHLMKDAHFPQRVIDAYIDLKCKLGCKLGHFSIM -RFTGEFCTFLFNTLANIAFTLCRYEWRRGQPIAFAGDDMCALNNLPICHDFDDLFELISLKAKVERTESP -MFCGWRLTPYGIVKEPELVYNRFQIAIEEGKVMECLENYAIEVSYAYSLSERLYEVLKSERQIQYHQAVV -RFIVTHIDKLKTRVKDLFLEQSSDEDI ->CCK73640.1 hypothetical protein [Apple chlorotic leaf spot virus] -MAFSYRTPQEELLSRLPQSQQEAISGFQYERIQKEEEKKVENFSFYLPEKTREWFTESGVYLSPFSYVNH -SHPGCKTLENHLLFNVVASYISKYSYVACLSIKSNKMSKMERLGSSSVKTYDILNRLVTAKDKARYGPLA -RPERSPCPKKTNIFIHDEIHYWSRDQLETFLQVHRPKNLWATLVFPPEILAGYKSSVLPFLYQFEIHGKD -LVYMPDGVRSESYTQPLENGFLLSSSSILVRNKATGVEIRYQVSLVYSLGSHHLFHIYPTEDLMKEEVRR -FGPYDLFDVGSLFVKPVRVPIQDFPLSVFKKIFIYLSSLKKPDVQSAVAKLRQLSDADISIESIFMVQEF -ASRIEKNGVGSWSCSFWECMKDWFFDKLPYREVLEKIGLADDFTRRLMKIKPLAFDIHTTDRPLTVRMVI -DQIWEERQSSGDDIPNVIFYGRREWLEHGVIPKVKKNLAKLIPGREVDTDNYPKEIYSDLLSSTSIWKSQ -DDNLMHRSASPVIVLKSNRAYCEAAKFNSNCISLCAAPQGEIVEKTPFEIERVAKKKEISLSCLDFHIKK -MKVKDTDELKIKLVEHSKEALRRQKRRERVKRSRMIPVHYLAQVHDMKSEGPRDKEKEDKEVTLALEALK -IQKQEAHNGVGDGEMAVDAGILNKKKTLPTLKLNDLIGREQLCSSGLIKTVGNDYLALEEQIKCMPLAQL -KNKKAAYFCLDFPMVYFHDKVSYPTFEATGEIKKVIMEARSKWGIDFNSALVQFTNGECQSPLHSDSEEC -YDDDGILIINVCGDAKFRTNCHDEIIDLKQGNEVLMPSGYQRMNRHAVKVESEGRTSVTPRVHKRDFSFE -SKLKFIRGRFDCLFVSVAEIIHKKPEEITMFLPHVVDRCISSRGCSLDDAKAICEKYEIKMECEGDCGLV -ECGTVGLSVGRMLLRGNHFTVASVRRSSMDSLVNSSKELKSNGILDHVMFNFHKRLKLVEPDLTTADIKV -DSSRAGKLLKSLMDGMAGIVSHNSTHEGWRMIKGINSTSEMRSFMGMVKGQSDEAKGDLFGKVQELNFMK -TKIYGIFGFAGSGKSHAIQNLIQTEFKGSHGIMVICPRRFLPTDGSEKGADEKDIKTFESALKSDVKGKR -LFILDEVSLLPKGFTDLLMLKMHMEGILKKSTIVCIGDPLQAGYFCPKDDNYLSREGEIKRLFKEGVNYK -WYSYRINKFMAKKLAIETMNEFIGIDAQSSIYKDMPSAHHFMENKGNHIEVILVASMIEKELYSNYGNVM -TFGESQGLTFNCGVIVLSEEAKLCSDAHIMVAITRFRRGFCFALGSKGSKEDYMRSMKSGLLQRMCSGVG -ASKEFILGSSSVKLILSEKDISRGAGVDEMDREARLEGDVWLKSMIYLGKRYHIVEPLGQVIKLTENAIK -CHIPVCSSQVLGPELDKIQAREFREFKGKNGWSNQFREEAGPNWKFPYKVNQAMSYEAVYPRHRMDDDLT -FLAAIKKRLRFDNVANNYAKFKAAENKGKYLAKVFLKHVPNNVAKEHRLRIKCKTVSETSFKKCRQPFGH -IHRGLTRIGPWTKIFLFMKSQLCTKFEKRFTKQRQVKLYFVSRTKSLVEFSPWCRYTEKVLTANLPDNYY -IHQRKNFSELEDFAKRFSNGSVCVESGYTAFDVSQDHTILAFEVELLKHFGWDEKVLQSYVKMKCTLGCR -LGGFAIMRFTGEFSTFLFNTLANMVFTFCRYEVPDGTPICFAGDDMCALRNLREVDTHEFILSKLSLKAK -VNRTKVPMFCGWRLCCDGLIKEPCLIYERLQVAIENGRLMDVIDSYFLEFSFAYKLGERLYSHLEIEQLN -YHQVLTRFFIRNKHLLRGDSRHNISELEWLSDEDGDDDKGSKIEDRRRGYSNCWGEKLQNLF ->AOY07780.1 replicase [Cherry mottle leaf virus] -MAFSYRTPQEELLNRLPQTQQEIIGNLQFERLQKEEERRVVSFSYALPEKTKEWFTKSGVYLSPFSFEVH -SHPGCKTLENHILYNVVAPHISKYPYVACLSIKANKMSKMERMGAHSVRNYDIFNRLVTSRDKSRYGDLT -QPARVGGPKGSNYFIHDEIHYWSRSQLETFLDLKKPRNLWVTMVFPPEILKGYKSSVLPFLYEFEIDRGN -LIYMPDGSRSESYTQSIENGYLLSTNCISFKNKDGVRKQYSVTLVYTLGSHHVFHIFPNMGLIEDEIRRF -GPYDLFDVGALFKKPVRVPIQGFPLSTFKKIFIYMSSLKKPDEQSAVAKLRQLCDSEISIEAVFLIQEFA -KRIEKGGILSWSCSFFDYLKDHFFDKIPFKDTLEEIGLADDFTRRLINLKPLSFDIRADQEKKLISMVFD -AVWPDQQSETYLAAEILKGKKRVINGNAFVNGVSSTKNFLLGRAKELLPDYPTDFQSDGLAHTPLRLSGD -EDYSRSTPRTPAVFRTTRTDYNVLPPSSGAPLCIGFERFYGRVEKTDYQKDLDAKKRKIALSALDFHVKK -TKTGDVDSYLAAQGRKDNQTRLKNLATTRLSKGKGRSKFVPVCIDSRSKEKKEGEPKKEVVNKVTQKIGH -QDSVTLPRDEFIESILKSGKISKELNEIEGASGFNCTKFILEPICKHDLMRTNEQVSALVRQSLDALCFR -PNKGKRSIYVTLDSPMVYFHNSISYPSIEATGLIKEWMLKKAEDYGVPFNAALVQVYEKDCILGMHKDNE -SCYGNHPILTVNVRGEAIFSTDCCGNTIGLNSGDELLMPENFQRKYRHGVKSITEDRMSVTLRVHERDFS -FEEKRKFIEGKYDCLFVAMGAMISKKAEDIMFRCPDTLDRCVMNKGCDLTDLRSICNGYEIKVECQGDCG -LVEIGEIGLPLGKLILRGNHFTLCSKRRSNLDSLANSSRDVSSMSGGIDYVMINFIKRLRSIEPDLSKSE -IKVDIKRGGKLLKCLMEGLTGIVSHNSTHDGWRLLKGVKNSADMRSLIGAMRGNTDGLEKNKLLSELEEL -NFLKVPIYGIFGFAGSGKSHAIQSLIAKEFRGSQGLMVVCPRKFLAKDWSEKGVEEMDIRTFESVLKSDI -KGKRVFILDEVTLLPRGFTDLLLMKIHMEGNLKGSTIICLGDPLQASYFSQKDDSYLEREPEVKRLFKDG -VEYKWFSHRVNKFTARQLSITTTNTFPGIDSQSQIYGDVQSAICSIQKTGVEVEIILVASMIEKELYNNL -GRTITFGESQGLTFGVGVIVLSEETKLCSDAHIMVAITRFNKGYSFALGSKGTKDEYMRGMKNGLLSRLT -SNSGASKDFIMSSSSVKLNLSEKLIKTGAGIDEMDREERLVGDPWLKTQIFLGKRFHLRESLGQVVNLED -SAIKCHLPICNSQTLYVELSKMKAREDREFKGKDGWSKQFREEAGPNWKSPYKVAQPMNFEAIYPRHRMD -DDITFYAAIKKRLRFDNVANNYAKFKQSQSRGQYLLKVFLEHVNLKPSRNQALLNQCRQEFEETKLNKSA -ATIGAHSQRSDPDWPLDRIFLFMKSQLCTKFEKRFEDAKAGQTLACFQHRILVEFSPWCRYVEKILTSCM -PENFYIHQRKNFSELEDFAKRFSDGSICVESDYTAFDVSQDHTILAFEVELLRFIGWDERILNSYIKMKC -TLGCRLGGFAIMRFTGEFSTFLFNTLANMAFTFCRYEVKKGTPICFAGDDMCALRNLREVSRHEHILDKL -SLKAKVNRTTVPMFCGWRLCQDGLIKEPCLIYERLCVAIENGRLLDVIDSYYLEFSFAYKLGERLFQYLE -IEQLNYHQVLARFFVKNSHLLRGSAREGISELSWLSDGDDNDDESSQVKNRRRGYSNIWSEKLQSLF ->ANE06568.1 polyprotein [Cherry virus A] -MAFVAKFAEENYFNSLPSNVTDAFLRDGFNAEHNRFETLSKHFAFALKPSQRNYLNDCGIQLAPIASKTH -PHPVSKTIENHLLYCVVSNMISNFKFLVFLSIKESKAEYIWNKNTSDTVREISNRVLDIKDAFRYSPVNT -VNGGLNNFSFFCANLARRFNSRAIKPDCFFIHDEVHFWSPSNLCEFLFTLEPKNVLATVVIPPELIEGLN -YSFNSVAYDFVKVDGNLYFFPDKSKGKPYQQPIDPWLLRCNKISMIKGGETFSYSIGLLESVGANHLFSF -QRNKVVESVRFFNDFDCLDLRNLLPINVENKMIKGYNIRTWVFKKILSYIVCLKKGDSESSLAKLRQLSD -SSPSSDELLLIGDFFDLMTRVKIFNKRSPWSFLSDAKNYVDSWVIQSPFLRRIFPVGSKAITELIRDWIA -NAESLKIQTTCSSLVFSDSFEPIRADNLSSVCDGILESVYDSFSKTVEACRNWLICPRFSGGGYSMVSRN -GMLVDSMNRSTSYSPEVFVDLFPSSVRPAFYSDSAFSQLESFEPKWNYLHGSWDYDQRQLRLCYESGLSN -DNSESVTVEVVKTFSSEPKNENMHLKSNFLDSKSMIGEPSQKKKKIEDADELKQKDQITRKNACFFNAVG -ETIGVSADSMINKILCSDLPALQPVVEQLVLDNPISSKLLEICCVFLGYRVHIYYGDSIIKLNDNPNMHA -IHIGGKPGHLFCIQKEKVEIPHDSQLKIPEMGPQAFIGSIFSKTYGMGSSAPIHLSEIDITKALTLIAAF -ESMNLGIRVDRKAILEGNLISNSFLAFLKSKSNEGYKTISIQSLPVYPFIGFAGSGKSFGLIEKLIDGDC -SQNFMFTAPRKKIIGQVHDKIDSRQYEDKLKISRKKNFSTFENTLLSLVNKPLIVMDECSLNPPGFIDLV -LLKSLDSIIRKSSKDLNYFFSSSAVSEGIIANVASPIACIAATGDILQSSFYSESCGKLMQHKNDLKTLC -ALSHLRLPYLFGSKRFGYFNGFIKLGYYNQMESKTFTIDNMETLLKAVGTSMDKFGVLVTSRADKSDFEL -DFPNVCTINESQGSTFNSVILIVTRDFFSNPIESIIVAITRHQKNLLIYFPAAIQGEMDFLSRRFPIHSS -VVLKNFSVLDNLIKDKLNPFQLIQEDPFGHDFEVKLEGDPFLKSELSLVNDVKLPQIEKAETEVRENLKT -HLPISYCGLWNLEISEMRARENREFKKFGVGWSKQFKDEPNQRDQIEDNCAMLPEAVFPRHFANDDLTFW -SAVKKRLVFKNPLNNVHDFEKAKPFGKEMLDIFLKKVPLIPSFDQRMYEESISEFEEKKISKNAAMIGAH -HDRSTTDWPINEIFLFIKSQLCTKKEKMFCDAKAGQTLACFSHLILCKFAPLNRYIEKKVTQCLPGNFYI -HQKKNFDELEKWVKSYDFSGVCTESDYEAYDASQDSYTLAFEYELMKYLGVSNSMIEDYLYLKMHLNCKL -GNLAIMRFTGEFCTFLFNTLTNMLFTFMKYDVRKTHAICFAGDDMCANVRLPENLQHTNLLKKFSLKAKV -DFTRSPTFCGWNLSRYGIVKKPELIAARLAVAKQKGEVNLVLDSYFLEHLYAYNKGDHLFEILSEKELEH -HYNLTRFFVKHGNLLKGESKKKFMETKEIEGGLFGECEFGNDGIFRGYMDRVKEKVKIDQINGEIFKINS -EMNKFNPRIYKINKMSFVTSTTIFEFGHVTPNEFNQLESLPPQTHWPYDEVRTYMPLNLKNSYESRIKSN -KILSILRNQKSLSSLESGSNLGSRVLGGLKIFREECLSYQSRSFSKGLQEMNQEYSLTQFVRRTFTVMRM -PLTPRCLLLLKDFSLQLLYLQAALVKVMLHSSISLMKLSLRLSRRPLLSTRCFILEQSSYVLHAFSSSRN -QSMAGLCTLIQGSWTNMMHAKRALVSNCKRVLPITFIGQIIRCPHMIQTCIGLLESSLNSMQSMLLTIPT -CSSSILESCTNLATKALQKRQLPQMLGRSFKHFLGLLDYQILNPFSRMKILSTLQLWLSSMLVLIRASGR -VVSSKVRHAQQEPEGIMPEVRDRVLNQSQDLLKKILNSKGEICLGQIHVGLKIFSSIQNKGFQLIKNSST -NLISAILREGTQISHMALSIVEQNYNEIRRGLGNYIWENMIDPRDLLHLTAKPAVEASEGVAATPAIVLS -ENQRAVKNTIRNYYLRIMFGNLAVMGTSEQTDYPGEHLAIPRPVIENQEALTAHLPAGMSLLTFATNVKA -WGVVGAEGKFAGLTFRQLCEPFAEQAYNFFRENHGAVSFIYLKNPGAYFNCPAVVFDFNKGLPLTIIKIG -KNANAISACNQRLFNREGKKAVFAAQGEVNLSFDA ->AQQ73540.1 replicase [Apricot vein clearing associated virus] -MAQILNYKSPSEKFFSNLEMAKKTEIISSAYKSLKQQLDLNGGHFAYEVSASLKEKLSSLGVPLHPTPYL -AHSHPFSKMLENHILLNVLPGHITGSWVFSSIKPSKVESLATKGKKSVLKTINRLLCAKDFGRYDVDTDS -SVIRSISREAPDILPEPFIRAVKGRNVMIHDEVHHWTLDDMLGFLDRARPNRFVFSVVYPVELLAGILES -QNPKMYKFQDSKSDKIVFFPDGKASEGYEQRANLRWLFCASHFRTSGSIWTVKRIYSAYSHHLFEVVPGN -YFTDEIRFFNDFETIDLQCIFKSRFLCRDFVPISKDLVERVYSYLICLKKPDMQSAMAKLKQLMGDDLDV -RVQVFFRSLVHRILNESECFSLFDVSIVNKWKKKFLDFAPDWLLHGFMTWKSGNFFDFLMSLKILQVEVP -TEIVDSTFERNFVSLFDVDPHVSAPLVIKGFKRFMMPHSEVEKRVNRDEASHKVTIFRRPSSSSPHCKYA -IELASIARSESSNCMSGGVMMITLPKDRHIELLLESCNYCLLHEYLNTGESFSENYINRMLVDFLEDLEI -IGKDCFQPSINGVHSLPPEHVGSDVSWRRYKLVESALEELFGDLLNEELSFLQVEPSVPDRGYVADVFPD -PSFPACIAISDTVGNGGEGPMVVSELAFVDPLETSVVSPISDTVGGTTFNSFPDFPIIPPINLALLENTY -RPVTCSKIGNSFQIIQASADGRCFFHTLLSTSLFRGSVNNLVNTFCNFIKNLDEHEAARQVQEGAYPEGW -MINLFLANYGIRMCCHQHSADGVTIETGSGPISFCSLKMENHFDYLRCLSSGVSKEHTGLLGKTTYPSGP -IINALQGLKPKSFRGRSSFFFARSNEIDYGHNGFKYRTENWFAELDDFIPSDLIFNACLVQVYDKGSKIG -FHKDNEQCYAGYPILTVNFGLALFEFDSGEAFNLTDGDTILLSGDYLKKKRHRVTSLSDNRISLTFRRHV -CRMNKSPLEFFSNDGKLGKNKCIIHAVAMALGQTSNTVANKIVAQRPDLLQCLVDDEMLDKQTTETICVI -MNLHATIVNEDEGETMELNPEGLIKSSFSVLDEHMMVLSDVPNCRSKKGIDICMSPDLANSNCAANYEVT -CQNLQVIQYQADHERAIKLMNSFLAGTTGAVLNELVFKGSRFFTFMDSVNERKSDFVEELSFVPGFAGSG -KSLGLLNEVKRISREVHLAKEKKRMGKGNGKGHEKKERNRGNLKSMCIISPRRNLADDWETKLGPSALEH -CSVTTFEIFFKASISKIKLIVVDELTLFPNGYIDLLIFRIRTESPNCKLILIFDPLQARYDSAQDRAILG -SEHDVDLILGDSEVDYMYQSKRFESEELFNLFEDLKKKNEVDAESREMGKGAKFRPRMYTNLLTMKVEEE -NQGNPIDVLLVGSFDEAGLFASSIKTMTFGESQGLTVDHAAILLSENSALSDDHRWLVALTRARKKVTFL -CLHLSGLNGFLSTMENRLVAAVINKGLVTKKRLSSMVRAKLNYVKFKGLAGKDEVDREDRLEGDLFLKGV -IFLGQRCEIMEPEIVEPVMAKEDMKTHFFVCQENFAQCYNFDNIRAKELREFRIGYRVTNQFIDNYEIVQ -HGQKKHTAGPLRFEAIYPRHCADDDVTFLMAVHKRLRFSNEMKEREKLERAHGTGSILFHNLIQKLGLNF -TWDNQLFEECVNDFECKKLEKSKAVLANHSIRSDNDWSPNWVFLFMKSQLCTKYEKQYVDAKAGQTLACF -QHMILVTFAPYCRYMEKQLRAQLPGEIYIHSNKNFNDLNEWVKKYAGDDLCVESDYEAFDASQDQYILSF -ELFMMRHMHIPEQIIQAYIDLKVNLGCKLGHFAIMRFTGEFSTFLFNTLANMAFTMCRYEWNSGDPIAFA -GDDMCALKNLKVTDQFNNVFEKISLKAKTQITEVPMFCGWRLSKFGIVKEPELVYNRFMVALERGNVKDC -LENYAIEVSYAYSLGERLFDILKREEQLEYHQAVVRFIVKHLGDLRTKVKDLFAEQSDEDSC ->ANA05793.1 replicase [Grapevine Pinot gris virus] -MTFFYRTPTEELISKFTSEEQARIYAPSQRYIENTEIGSNSLFFSYNLKEIQKKFFISNGIELSPFSFKA -HSHPACKTLENYFLFSFLPSFISHSGIRELFLFSIKKAKVTRLKNLVDNVQLNHLNRLVEVKDKMRYGMD -VSPERIEKRKTGLDIFIHDEIHHWSKAQLISFLEVHRPRNVMATVVFPVEILGGFKSSVLKFLYEFECRN -GKLFYYPDGVMSEAYVQTLESSYLFKTNLIKTSKGHYSVSLHRSVGSHHFFQISKYESEILQSHRAFGPY -DVLDVGSLFRGKVRVPIEGVSLTHFKKILIYLMSLKKPDVNSAVAKLRQLSNEDVDTNEMWVIRDLAERI -THGVSKWSNVGIRTILRDWMVDLCPFKKNFERYHLIDDFDRYLMSIKPLSFSFNCSDDVDYAFEPEEDLP -DFIDDFWFGSEKKMIRDPLVKMVEVGRESQSYSIVPTSYQQAAVCIFSMDENCIGWRNRGVKVLLRASRS -SYTVLRDEFFSAPLLLECSEPEKLKFTYDDLPFYQFLSEDQEIDGYFLRESVLNSIKDKAFHYSEGFFDP -LLFASSRGTFLGHSEMTDLSASLNTLDKVIAENGEKSDVPILSADEFSESVNVKLLNESLTYDVEPSECE -VPSVESKYEPGQIESSGEIIPESWTFVDNNDKEFYCDGAFSLELDFASILKDLKPLSLRGRKAFFFHSNG -LPYFHDSVLYRTFEVPGWLEEIFFKANEHFDVNFNSCLMQVYEQDGSVGWHFDDEDCYDDDPILTMNFNG -TALFEIKNIVSSKLDHKDFILMKSGLQKREKHRVQYTSEGRISLTLRVQRRPPNFHHGLRFLPKVGCFLQ -AVSEQVFTKVEDLAIKLGTLYGDILANWGVSLADVDKFAEKLGIRLVVTNGFEIIKAGVEGPEVSMSFSN -GHFRSNSNSRMNKGKGVESFPKEFARKVSDEMSNDANPQIIQNLSEVYGVFLNQTIFKLDPKRAQKLLKS -LLDGSTGVHCNSSLKEGWKMIPNAKSSEFVTKNYINSSDMWKGSALWNAKINISGIFGFAGSGKSHGIQR -LLNEKFSGSNEILLISPRVLLAEDWRDKVKHLKTMTFESAIKGCLASYKWIILDEVTLFPNGYLDLLVLK -LAHYNEINLKHITLVGDPLQANYFNERDCNLLGSVKMVDSVFKDVKYQYQSYRIPANVAGRFDVWDKNRH -EPIDCHGTFYSDLSSAKLHAKRCNQKIDVVLVASELEKKYFSNQCKCITYGESQGLTFDYGLISLSEESR -LCSDNHIYVALTRFKKGFGFFQNFRGDLGTFKSNLGSKLLGRYINLRDNLKPFMMQMLDINLDFMDDRNQ -VGAGIEMENKMSGDPWLKGLLDLQAVEEVEDIFFEDLNIIEPTGKVHLPLASRNDEFEKIRARESREFKK -LDFDWSMQFEDCGVKIKRVLNGNLCENFSAVYPVHQACDEMTFLAAVKKRLRFSNPAKNLTKFRGATTAG -KILLKNFLKFIPIPSETFPELLSEAKREFQEVKLKKSEGTIAGNSGRSDPDWSWDRVFLFMKSQQCTKFE -KRFCEAKAGQTLACFSHEILCHFSPWCRYMEKVFSKYCPENFYIHQRKDFDKLAEFSRKYCKGGFCIESD -YEAFDVSQDHNVLAFEVQLMEHMRIPDCVISDYIRMKTELGCKLGNFAIMRFTGEFCTFLFNTFCNMAFT -FMRYQMSGHEPVCFAGDDMCALADLKESDEYNAFFKSFSLKAKVCRTVKPLFCGWRLTKFGLYKEPVLVY -ERLKIAIEKDKLDLVIDSYFLEFCYAYKLGSWLDWVLDEEQADYQQRLSRFFVKKRHLLKGKSLDYITHC -DYLSDGSDEEDSKGFWEDCNRGYSNCGVAFKFYIQ ->AEN25485.1 polyprotein, partial [Scaevola virus A] -LESSGVPLNKFNVTIDFKRAGKLFRSLMDGRTGVHSHKLVKEGWRIMSGLNGAEDAQSFGKALVNGNLTK -ELVDSELKQFGVIMICGFAGSGKSKGVQNLLNKSFNSDDRALVISPRRVLAEDWKTKCKNSKIATFESAL -KKSFNEIQLVVLDEVNLFPNGYIDLVLTKKIMDSKDEVSGENFLLICLGDPLQASYFSENDRTFLDHSND -LRRVCGDKRLKYLYGTHRLPMAVASRFEVRTTNGEQTSPFHATIFPDLISCKKKNEEKGFKVQAVLVASF -VEREIFAEHENVLTFGESQGMTFEYGAICLSEETKLVSDFHIMVALTRFRKGFSFFLSCRGNSDDFVKNL -KMGLLSRFLEKNTSLKAFVQGMSQVKLELVELNLKGSGLDYVDREEKLAGDPWLKSSLFLGQRINYIDSE -FTETSVVEPTPKVHIPVESDEYLSIELDKLVAREFREFKGRYEWSQQFKEENFPVLSQFRRGEAQCVNYE -AIYPRHRADDDITFLAAVRKRMRFSNPATERAKFHNAYSKGRMLLNQFLKFVPVKRERNSGLLMEALNEF -ESVKVSKDAATLTNHSDRSNPDWSPDFVRIFMKSQLCTKFEKRFCEAKAGQTLACFSHSILVFFSPWCRY -MEKIINKYLPKNFYIHQKRSFDELNEFCKVHFNGDTCVESDYTAFDASQDHIVLSFEVQLMEHMGIDRVI -IERYIELKCTLGSKLGSFAIMRFTGEFCTFLFNTLCNMAFTFCAYEIKGNEPICFAGDDMCSLRNIPASK -KFEKLLSKMSLKAKVCRTNRPMFCGWNLTFYGIVKEPCLVFERLQVAREKGRLNEVIESYFLEFSYAYKL -GERLNAVLCEDQLRYHQRLCRFFVKNKSLLKGESSKILSDLLYLSDEDGEVCSGIQRENRFRGYSNFKCE -KLVSLQ ->CAY32622.1 replicase, partial [Fig latent virus 1] -ATCAYHFNRNVPMIRKLLGVALKSRLGIRLYRARSIVRAVCWNFALARGRQDHMLDQASDSLVVSILTSH -KDVSDYFFFRDIPRRVWVVRDLCARIQKGMDGWASIPLKKTIQDWLCDHSLGLCERLNLVDDFERKLMRL -KDIELDLDLEPFIRKPESVLESFDSLWGLDAPSSTLDRPAEPYTGYFISLRYRVDLRGFLERVERYMHRR -SNPTFAGHLGPILPICYPMPDKVMAEAVTVSSTEPTEPELDTSQPPRDRIPYPSLMRRLHRPAFILQPDY -PPHRSGSWRVAPSSKRCFSYGHAPSITESRVADALEEIFMRPMAQSMLYQVYDQDASIGFHADDEECYDL -SDNPVLTINTKGFAKFMCRDDRGEVSFDLVPGSCLLMPNDYQTRGKHSVRSCSAGRTSTTFRIQRRDVPK -GKVSKGLTNDQPAPKEQLTQQDFENLSLDDQVSLCMPYSCFFKCLASEKDDVSAILLQCSEYLWSVYQNR -GTSVATALRICKDMGYSVQILEGLKITKSDSEGLLKIKLENGHYELVKDFSAFDSLTQNKKKKRESSGAK -LDLGSLKVSDGFYSQISFKALKVAAEKLVVSLLDGTSGIISQGVRGEGFRIVPGAKSEQTALDIIRRAED -TTKDIVTILGFAGSGKSHGLQQRINAEGKPQGILLLSPRRQLMEDWVKKCPKLSCKTFEAGLKDDLRAYG -CVVLDELSLFPNGYLDLLIIKANLLGHQKLQIVVVGDPIQARYYSKDDQHRLYKEHEIDRLGEVNYGLWT -HRLPSRLADKLSVETTSECPGTEVVVHNSLPEARAAARKKNQEIEVILCASREEKKSFEDNTLTFGESQG -LTFDFGLISLSEEARLSSDQQILVAVTRFRKGFGFIYNAKGDYKIFARNCKGLLLRILGKPKVSIDYLMN -MTKSKLKINKLGGVGAGLDERDRELRLAGDPWLKGQIFLGQRVNMVSETEALVEEQLSTHKIHLPISTEK -LITLNMGFSKSKYCREIKTRSGASNQILEEDRGRGSTDLPQPCLVESRYLNHSSKDDVTFWAAVVKRLRF -AKPEKNYRKYLLAKHRGDAMLRAFLELVPLKPIQSNLLLSEAEADFEEKKLQKSAAMDSLPFNRSDPDAA -ADLVKVLFEKPAFAQIWKRFVLAKAGQTIACFHHRILLRFAPMCRYIEKVFSLQCPSNIYVHQKKNFDVL -EAFVRDHFSGDLCVESDYEAFDTSQDASTLAFEVALMEHLKIPSHYIDDYIVMKTTLGCKMGSLAIMRFT -GEFCTFLFNTFANISFTSMRYVIPRGTPLLFAGDDMCALRNLKLRSEYNDTLDKLTLRAKVCRTSKPLFC -GWRLTKWGLYKEPILVLERLLISIERGTLTDTINSYFLEFKYAYDKGGNLEDIMDEGQLDAHHRCVRIFL -RHQNLLHDLNYSTLHEGLD ->CAA68080.1 216K protein [Apple chlorotic leaf spot virus] -MAFSYRTPQEELLSRLPQAQQEVISGFQFERIQKEEEKKVENFSFYLPEKTREWFTKSGVYLSPFAYVNH -SHPGCKTLENHLLFNVVASYISKYSYVACLSIKANKMSKMERLGPNSVKTYDVLNRLVTAKDKARYGPLA -KPERAPCPKKTNIFIHDEIHYWSRDQLESFLQVYKPKNLWATLVFPPEILAGYRSSVLPFLYQFEIHGKD -LIYMPDGVRSESYTQPLENGFLLSSSSIIIKNKNDGSELRYQVSLIYSLGSHHLFHMYPTENLLKEEVRR -FGPYDLFDVGSLFVKPVRVPIQDFPLSVFKKIFIYLSSLKKPDVQSAVAKLRQLSDADISIESVFMVQEF -ASRIEKEGIEGWSCSFWGCMKDWFFDKLPYREVLEKIGLADDFTRRLMKIKPLAFDIHTSDRPLTVRMVI -DQIWEERCSVNDDISNIIFYGRNEWMEHGIVPRIKKGMAKLINRKEALPSRYPVEIYSDLLSSTSVWKSH -DEDLGRRKASKFVILRSNKSYSSAKMPHTNCLSICAVPSEEKIPKTSYELRKADHKKDISIKCLDFHMKK -AKVKTLSELEIKFKNSVYEKERIKRRAGKKARARMIPIHLLPNGCPNEDGKKIGERIDGRTMTNELFSET -KEDKKVEIVSESDALKKKVEISASESAHSVNSPSTSLSHLIGKMEICSAGLVKTVGNDHSALAKQIEDLP -LAQLKNKKAAYFCIDYPMVYFHDKVSYPTFEAIGEIKQTILKARERWGVNFNSALIQVYKDGCRLPLHSD -NEECYDDDGILTINVIGDAKFHTTCHDEIIELKQGNELLMPANFQKRNRHAVEVTSEGRASVTLRVHKRD -FSFESKLRFIKGKYDCLFASVAEIIHKKPEEVMMFLPHIMDRCISNKGCSIDDAKAICEKYEIKIECEGD -CGLIECGCIGLPVGRMLLRGNHFSVASVRRSSIDSLANSAKEIKSNGVLDHVMFNFHKRLKMVEPDLTND -EIKVDIERAGKLLKSLMDGMTGIVSHNSTHEGWRMIKGINSTSEMRNFMSMIKGKGDEQKGDFYDKVQEL -NFTKVKIYGIFGFAGSGKSYAIQNLIHTEFKNSQGIMVICPRRFLAKDWSEKGVDEKDIKTFESALKSDI -KGKRLFILDEVSLLPKGFTDLLMLKMHMEGVLKKSTIVCVGDPLQASYFCPKDDNYLSREGEIKRLFKNG -VLYKWYSHRINKFVAKKLAISTTNEFIGIDEQSSVYKDMPSAHHFMEKKGNHVEVILVASMVEKELYSNY -GNTMTFGESQGLTFNCGVIVLSEEAKLCSDAHIMVAVTRFRKGFCFALGSKGSKEDYMRSMKSGLLQRMC -SGIGASKEFILGSSSVKLILSEKDLAKGAGIDEMDREARLEGDVWLKSMIYLGKRYHMVEPLGQVIKLTD -NAIKCHIPVCSFQTLGPELDFIQAREFREFKSRSGWSNQFREEAGPNWKAPYKVNQAMSYEAIYPRHKMD -DDLTFLAAIKKRLRFDNVANNYSKFKAAESRGKYLAKIFLKHVPIKCGRDQRLLDQCRQEFEETKLSKSA -ATIGAHSQRSDSDWPLDKIFLFMKSQLCTKFEKRFTEAKAGQTLACFPHKILVEFSPWCRYTEKVLTANL -PDNYYIHQRKNFSELEDFARRFSNGSMCVESDYTAFDVSQDHTILAFEVELLRHFGWDEKILQSYIRMKC -TLGCRLGGFAIMRFTGEFSTFLFNTLANMVFTFCRYEVPDGQPICFAGDDMCALRNLREIDTHEFILNKL -SLKAKVNRTRVPMFCGWRLCCDGLIKEPCLIYERLQVAIENGRLMDVIDSYFLEFSFAYKLGERLFSHLE -IEQLNYHQVLTRFFIRNKHLLRGDSRHNISELEWLSDEDGIDDKGSQVEDRRRGYSNCWGEKLQNLF diff --git a/seq/clusters_seq/cluster_1020 b/seq/clusters_seq/cluster_1020 deleted file mode 100644 index c007cab..0000000 --- a/seq/clusters_seq/cluster_1020 +++ /dev/null @@ -1,149 +0,0 @@ ->YP_009182153.1 RNA dependent RNA polymerase [Botrytis cinerea negative-stranded RNA virus 1] -MLSSFGYFLFNHKNEYYSTNDENFKAKYLYCLDSFIKMRHDIINTIFLLEFNESVKMDTDMRFSDVFDFM -TSEKTPDNIFVSGNDILIVETQVSNNLDKTVFQKGTSNEDSRYKNEISQLISKNYNVVYKVLFVNSKDYM -NNMYASVNELEKLGKKKRNIFEILLNNLREFMPSLDFNDDVTHLVVGSGFTRDFNDHIISEAKILLDEIK -SEGLKETKSRPDVVVSVNSSFLKMLKIHKKQLINRLDSRLFEKVVLIQRNNKISVVKDKDSGISNKIILN -SLLNDKLLTVFNFSFIQSGKQDNLISVNEMRPFTFYQESRNINKLEYNAFHKIKEPFIDTTIDLELYKKL -QKNRISYELDIPNFPRLDFEKNNSVKMMVNKKCTESSLEEFRNKLITCDVENDKKIQEIHYKQFTTMPIV -DQANLVPAGDKSFSGFIDESLYESLLKQSDPPLLKLLELWGNKFHKTQVKKELPMHLQNALKENRENIRF -YLLKNHDTEDLYKTEEYFEYMNKQKHLANEIRNYNFINSTSRSDQNVLVVRNLNDVNEIVSNTWRDKTKS -SITRGADVSDYNLSSNLVNETTSFFLNKTDVKEIKHIDNIMNLGMDDSNMTKLKESMMQFHKEAFEEYKK -TTLCYLAEFISSLCYNLVYMSQTTYRSNMFQFTNLNNLNTLLIVRGGTNIHKNKASRQFRLIYPVPSFFR -NYIKILGSSYQFVNHKQNFYCITPWSILNENVLTDNMFFNYKICSLYCNYFTRKSAEISKDMSSAMMPVL -LAFNNRRSTEANLGNIRYPLVNTLGTHSSLVDLAEDMSHIPKDALQLFLRECFKGSFLPYTKEIEEFVNS -NFKGSITNPLNKHCKIDCQTDFIYVIYCTYSMTKAPYNQQVEQSINMSSVMKSHEKYDQKMNMAKDFKSV -MEQLKKTNENDIYDNEFYFDPVHAFDIGKYANNYIKSKNLVPSISTEWSKIMTSSWTDIITEAGMRSDRL -EKGENSFFGRKGYEVVMQELLKDVENEEIVKKIKNIMDSNIPDIKKAQMIKELNKDNLQKINEYSDYLMM -FHEVDKVQWKGGRQIYVMTMKTKLLLQPIEKLMSFLCKRIENELISVPSSKRLSRIHRELFPKQSLTHAS -VSYYLTLDCAKWGPMAMFLKYMYMVLGMSEVLPETFITLMLYVTKIYFEKEVVVSKGAWKIFKGNEKNKK -YVEKYFKPVEELETAKFNMPYSFVMGIFNYMSSFMHAINQLKTCNEICDKVYTKHGVQISFDMKAHSDDS -AGRLQIESINDEGLMNDILDYSILYYENSLRSVNHLLSVKKSVVSKNYLELLSILYMKRRLLSLTPKFFS -NMSFKPTLEGYASDVSQGYGKAIELISMGGVFSESFFNMRCYSSMVNRFYHIEESSLRPVSAFGGLFSHP -ALVLLTGGMSDNVRLFKHNEKEWFYYNSVVHMMSDGQYDFIKNSGFTPINPLYNKPSIKKHSEWIRKLYG -ELTENEILKNTKLNNSSLYPIFYNNLLKSLEFRASISYTSNTRRVLRCMISSTATCIKTSLGLLRVKDII -TLMETLRASSSAGVDIKIFNMMKEKMKNSYGKVNIIMSAALGEGTAIYDYLSNNLAEEISVTPANHTCKP -CNIDMNLRNHLFDFKGNLELTYFSDNKDYFLTGSNVNLISNKINIKNNIKKYMKMSEEEINKINFHSFIQ -YARFLNKINTVNLNFYSYTGTKVRSIKSYMDIMVLIQDNSCYMKQFSKIYADYKTTNIKKSFRGLLTVQQ -ISHLTFAKELITLKHSLEDSELISVFHKATNVNVSDYYGQFILDEQKKYNRYLSTDLRLLYMKENSIPVD -KDLVLPFCFMWVKEQFKQGGNWVGQGLLLFKIRSNELLLTIEYGVITQSTLYSIDDDLTLEDWENILATC -EMLDLNLRYSSKADFPSGFNYLGFNKDDLDNLICDKIDSVDTILFPVYNSFNGLGFPLSGEVSYIKAGLY -EISGNKVETLLNLLNISIKNMSDSFYTDEPDIKDIMLNNLMNVNAEMTVTRKEVVDNFSSSEIYNCYVYN -GLNTTNYKTKNALKKYCITNKLDYKPIESLTITQLVNYGVNPEKIPDDVMDLYLEKLFSSGKDFNYNNFL -NEVTEFIKSNKDWDSFLSKWLSGKEHQAIAVSRRNLMEVLENPLNFIRLYPSHAQTMEEGLTNTLKELFK -NDFSFFNFVNNTPIAWDEKKLNDFLSKLKIDIKCGCNMSSNFVKIHLFFKHIFQNNYVYKKFDELVSRDR -ILSKIPLNQSLYEEWCRLYILLCNQGEEGSFCFTKKELLYQSINEDLRIKDVAFRDLDIIPTFFELKNKV -KNKVYKLMASEKNVMNKDIKFPNYITRRPLNLELSEAKGFDYDDFYGEIKYEDKEDWPDVVTGYLDSMVF -KKHYECKFRLDPETKEHIAEIPSMSIFGKWYLDNFYETCLIASSSYPTDFRFYKNRNLVQVFENFYNKGV -ADSYIFVLYPPNDFDLKNLGLQPVSNNKYFEISSEKYDSLNYIRTEDGFKFFYDMENIFDDFSSLEILKS -KLNDQEKNTDLEVVQFVDITSIPLFYHNFNIKNLYSEETIYRLKKYNLSLEKVHVVKETDSENIGDYLLT -MHCLNNTTNKLSTNISFSLRTMQLTIDKNIIRKKEKLNFSSGDFDDYKPIKKNTILNIELEAIFGNQFTN -DILNGSVRLTSSDSNLYLTLFDKIIEQIPADSPDYLSKLAFIDLIMELTENCTILTDKSLDDGIGSKALK -DVYEYVFNEFDLKPIRRRGRNIYNDKFDSFHSKAFFTKP - ->AQS16635.1 RNA-dependent RNA polymerase [Camula virus] -MLKAAQSSVRADRVKWSSLCRHYLHNAWGRSVCMDNYIQYTTDVVVEGCPYTPDLVIGGVAYDFAVTAAP -DLSLNNKTKKYTPYFDGFFLIPYCINSTSVPYLSAANMVWGQACTAFDKFMNFSKDTYASWGGFLEEETV -DVKPAPLYELQTANFQVLPRSVHGDFKKVLHEAIQKQQCDANSKFVVSLNQKGNPSLVPSSEGYTLEEIS -NSLNQPLDRCLRSLNLITIVWSEEETETMYNSKEYLHSELYFDDEVRSPPISFMTKDNPMTPGEVLKKLE -EQVEHTQGTSFLDYPMSVSLHVDEQLKALSELPVEGASEWSIFPVLDCPVKQKKWCFYGKTVVVPKHETG -NGVSDTKKAGLYKETAPNEFKKEIYNLAKAGQDYINVMMEADEKGLWAQLESGQSCPDKELQEKYQAAKS -TLKTVSKKIVKEEKHRHRNQFYPTKLHLEEIKKNTNHKRVGCPYLLDEIDINYQEAVCWLFKIDEQPKEV -PDLPRLMLSEPNLHPLIQDVIKWDNELQNHLITLSKYRLWRAVCTISSVCKSIICMSQYSSPNKDGVFFD -VDRDGGYCLLVNARSPGLGSGQSKAFMILSKELNNPFTDNVNNYVSEGVFHHHWRYLRNEQLVYGLQLPM -HLFKVYSGLMSEGVISSPRDELFQTLTMLMLDCRRRTETFLHDMKYLTFNLSGLRASTRELFKDKFVPPI -HPMLEYLEKKFLNGVGQYLESVKVCERQLDYSRSSAYVKLQHPLSNHLDTLPKFSYWVYISYCMSKGVFK -KETEHVRNMLSITENHAYAHSVTRGAQGKDNFLQATQKYDIIKDMVSYNPRVLASVLQYGLAIWANSYQC -SKLYSLWETWKQNPVTEIATSSSMRQSNFDQTGNFGGNGHVIMCNYLSLHYQPPNISSVSTALEYSTGRK -MIREAEITVQEYREQTPDYQCKFSFSDKVQWGGSREIYIETMEMKARQYYIEKALAELCKSMPNELIHVP -SAKRLSVLYEKVKSRRKGTTRYYLTLDCKRWAPLSNCGKFQQLFESYYNYLPGGLVQMAREYFDRYYEKR -LIVDNMTGAKFVKDHPEYSEFWSIQENGLYYMTMPESFMMGMFNYLSSYVHSIVQLYFTHVLVPALEKEF -HCNITMELFAHSDDSGGWMDISSDMCDKVAITVARLYEELLRMTNHTLSPKKCVLSKSYFEIISNIFISS -DVLPVCAKQIYSFSIPVTQKGPIEGMLSLNGQVREALTAGASMRTSYYCGQCSAYSLLATWNCRLDPNWK -IVHPALGGLITAHPLLTLYHPKEAENVFSYLLGGGRYEQFCRWNAETYKGIPKLHYLSYDFRQSKRVQKM -ISVISNLCPEVVCGDMSITPNTSCLAYLYRMKAMLKKTALNDIFGESFTTTLNTCRIQSRPAPYYSLAGL -VSSYDQTRLIYLSFEEEQYPCPVIEDSYRYWRQGVPRADYLQPKPCHIKAENWNPSYYNNRLQTLKQMQT -KMPALFCLTAEPIEVPTVLALGEDVMTSWFPPGMFDNPNIDIWMVARTPTRYMYGLTSAVYVYSFNCMPG -YNLDFQILAPGYDLKENALASLVRLIILNHLTEEELSSCTIQGKSVIGWLKNNNIPSYAALKNSCGMTQI -QRVQFKSSDGDWFGKTNVIGRYMGISFLAECTGSYVINLSIATRVSSLIKQVCLVLAQSGFKFVRKIGYT -NNYIGFYLDNEGNYLYSEEPSPHPMIKNLTYAPNLVTYCKPTPWFWDKKSKTTEWNYPGNTTERLTLQDS -SGKTLKYNSFGERQRLSLSPECKMENIRNWYTSGELFKNFSRDNKLLAIKQVNDNRPLLRGINPKTPYFD -WNNQGLCIETLNLMSKSCVLMKGNGKYVMVGKDSLESIQNNCTDLVFFNAESLSYYNSCGSPTNDNTIWN -MAVGNYLFDFRNEFFGLMKPEDFLLLSNLINTYTAWFKDGFFREAPDSPPLWGMVKKLCSQKPAGFWADS -KKVYILLRNCLFGGSAESIEQAGSFCKMLIKRSKGWLGKNFIKSGTIYNCKLGLIPRVGCAYTDIEHALD -CGQYEMEFEEEFEEEMYDPDLAVQTMSELIPNWNFYTKEEFESKYPYCNGDYGFVVQDSGPGDLPYSENI -DIAGSFTKKSSNEELINAIFKRKKAAEPCTPSDVIVIQLYREGIISAEEANQQLGGEFIVQNSIKEWFMK -QTVDGGVPWTLFLNKLQESADIDIRSKDPGGVEFDAKAVAELERISKSYANLLFKGWNELTPSMATTLTN -LIGSALNLETEFKDLLYRMVADCKVVPSNETSTNSLLTLNSIMEVFSQLIPKDRGLFGEVLPEAGSIKLN -YI ->APG79283.1 RNA-dependent RNA polymerase [Beihai sesarmid crab virus 5] -MNNKNRFENLDVLDVEDVTDIESDSQASEEEVESASISMDNRPIDLEISILCDNANPQQLLCYINDCYSM -LPADGFLTMDIFRSVHKNMINILENLAENGQTLPAYFIKSYFKFRHDIFSRICLSSIGVNVSLGTDMKLE -KYLLGSKKTPDYILETNGKLYIIEFTVSRRQDTVDYNKGGLLYEQKYLRESIEIKNNTSLDVNLIIIPAI -LNDNNVENIVNSILKIKEDLTEEDLRDLRSCLADFFCVSNTGQYLINSSAIVLNETLNQMPKHVLEVYNK -FNLNLKKTFKQFPRPNLFKVKMLNLDLISRFLESVDDLKKSLTLAIEDNLKRMKYKGKRTTTKIRILFDI -KRPKLLMIWNKQVKGVDLTLNEWLEFAKQPDPTWLGSKISVKDGNRYLTQKEFSGTIPVTIRNKYTLKQD -NNDNDKIKFDNKPTSCSYVYSNVKNSRLWVLEAPELFNKKDLIYLTENSGVAFPNDYLDKLKSIADYPKR -EGMLVNEKVGKIDPEKLSENLSIKFKEINTQEKFVWNPKRTFVFPLVTGELEYQNLGCNDKSFIELISER -MNLSYTSTILKNYSKNVGMKREFVTKMNLNKFNESQTWLREKQKELNLKKRKEILKTKFESEARKHMKNI -SEYYRSLTPKQDQIKNIVRLKLNKNSSISQKFEMKHYGLKGFHGVGNVDDETLLRFDKWFKTLMKRLVNP -DSIEIQDFLYHKERGPGEEFLTLLKDMHTKSWDEFYDTYKKTKLAHSAEFVSRLCFSLYKESTKNYSSDY -CKVDMMGYKNCFYMVRGGKKLTNTDKSRLFRICFPINKLDTEFSGFRGNSDYSIFTINDMEYILTPWMQY -SVDLLNDGITLPYRIFMNLYSYCKRTNKSFDSITNEQMFAVMLAFHNKRPTNNFMHNTRYLLVNTLGKYS -CLEKLLKEFVGFNYTYLEAWLRFKIIKNYRKHYNEFRKLRDKSLGNDLSIIMKDLNISHLWTDGRLCNPD -DLTNLIYSTYLMTRSNVESTISNIKNLTNILTDISIYKKENDDMHLLNCESAYFDVLNIKNDETSDAILN -KDMSFDPIFCQFLGHYAASCLSRTMKIQQLQSVWESIINRNYDDMANAKGLRGMTKDTLWGEKGYEVVYH -EQNNDESLKEMLDRYEEVGKDMKTLTHLVREERDTYRSMINENPLERAIFGLGFKKQRGGEREIYIMDKI -TKLYQQPLEKFFAYLCKQFPGEYISMNSSKRAGEIHHDFFERSPGKKFPVSYRWVLDCRRWGPHSVFQKY -VHFIKGMEPFLPKSFVQLFFYMSEKMLNKCILVRNNLFEKVINNQCLSEEEFESLDYEIGENGKIIKMPF -SFIMGIFNYLSSLMHVVNQLVASEVIRDRSIMKGKGMVLLEMKAHSDDSAGKSYHQNKKSIDDTVTTYEW -LLKGANHMLSTKKCQINENVYFEFLSILYLKDRLLPLIPKFSSSLSFTPTDKGYSADVTTSISQSIELMS -YGGTFEESFLITKLSERFITRFYGLIPTYDRPYQFLGGIDSHPLELVLAGANCEIIKFMRYKEEVFNKHY -EFLLDGKFISDTSPEDLHLMWDMGVKMSNKLRKRHEAEICSIQSNKLYQSWTLMNSKLGNTNLNKLWYLN -KLSNPSFYSSVSNEPVSKRLVHIYNASNIRNIIRNDGQRVPVCELQHLLTAYQKSNVKSKNFVKDLMPYY -KCLSEELISFWDSLPKDMSYKLEANFIKAKPTFISVNIPTVVGLQLGATTYVTCLREPEFVPYLGLRGDP -TNLCMLLSKKLANLGLNLNELTNQQLCQIARKVLGVELRQFHMITHNKSLNRRIDNYTGMINWLKTNSIY -NKCIQFEEGNAKRVDLKTKFVHSHIPSDVAEAVKIFWLWRLSERFNISDNKFWKNNLEETAKLKLNKVPY -EWHSLTDSLRLNEKMMLSSINYWKCWFKEQTKVGYDWMGEGVLYVKLPEVELEFVKKGLSLKEVRLENSL -RGFYSKPSSWFLSNFTFRDEDLLQHTSPPEFSDPNIMVLGFDGRTHLWGYDLPKRFTRVIKSTLDIGLSY -KHMTMMSITVKDVGYKLMFNLKGKNYRLETLLQTETFQGLGLRKYANMKELKKALAQNHPGVNKFVHYCS -DELGIIYKYDKSLLKRNFDHSVTCNVVVNDINDSLKRSEDINYIKQLPIAYLKYKKLRPDFGFPTEDEIK -SMLEDDDVPDLPPPIADAISKLFPDAMTKEEVLKMSNLLRSPKSLNEKVRDIIGNFGVGTSLNHLISTLD -LDQTLLKSCKVLTRSQPVWNLIGNVFHSVRDYLFDFDHSQTLRQEMRLTGVDKIETWTSMFTTYITLALN -MKADYCEDFEIGRLVISVIRELLNAGFIQYLNDNRAFYEMFRLTEFPDDVELVLNMFIDILDSIAYLNWP -KYQFLDQEILRKTFQGMPKGLLSKVLSQHKRLNNIKLNVVIKSITNQPDKGLRKNVEYSGEIPLLSPIPS -CDLRKWSKMTNESMREAFFEFSSGEDLVSEDYEFEEGGLCVAMVINNIQTDRDILASRGTAAAVLNISGD -RYDNNNTSSFCKNIKFKNIKFDRDNYLLSKINIVCVSQYGLYVNVPGMFNVRTSINTKEILGDRYVEVNG -KKLTKKEFFKLKDMEGNLLNLKGFWEVLTSDAKRKVEEVVEISKDIIKDQEHPKVKYYRELLNKMLDSVE -LVDKMDKEGNMSLKEVIAQLMPTMKDYLKNYNLDNYILTDENKTQILNTRRTMRSLQANNSNMPQSDILT -DPRAKGELNTIAPGYSSRLFTHKIKLTKTQINTLNMCTQMAVVKSSNRPQECNVARCIQESIKYIIGMCD -LTKDVESSDTMLFSKLLEFVSDEDNLAETSDSNLIPGDPDSMSLHPDIADIINNIG ->ALD89106.2 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina negative-stranded RNA virus 1] -IIYNNKHNFFETDSSEFKGSFIKCLQDYYKYRHNLIFSIFMLALGFELKFETDIPFNKLGILSNRTPDFI -YVKGTNENEIILIECSVTTHSSKTISQKGTDEFGKYEDEIKQLKELGYSVRYIVLFLSSIDFSNNMKKCY -ELLLDIAKSNFEVFDTTTKRFLSLIVPLNVNDDVGYLTLSTTEQFRFNDSISSSIDQIKDIILPLGFKEN -KKVFETSLAVNKFFVDQFFKSRNYLLQRLYSSTLKFKKIFLLIDKNKLIWVVDDSKGQIGGKVLNLVLTN -DLVTLSYHAFIKYPNDENLHSVINNYPTTVITKPKDREIISVYNEHDFTELVKDTKKYNFTLKQYSFDCD -ITTKFEFDLNDKNMLCNKKIDLNSDYKQTLIDSYIKLDLTIDDKRPKNPFTLPVPEPSNLRCSRGSMALQ -TFNEDIKKIIALNEPYLDFIDVLEGRRLYEVGVMPEHMVIERRNLQSELFNRFCEICEEQPELRMKKFHE -IDDSEMKRMLGEIKKFTTYSNELIKKGKIERKKMQILKLSKNNPKLNQICNNDWHDKDKVSAIRGLPMEQ -YATDSINFVKKLFCFFQEPFSGDEDFFLRIKNVLDLGEDDEVMNHLKSTMTKQHREQFRNMLNKKSTYIT -EFCSRLCYTLLYLSNTSLRGNAFHVSNLGFENVLLIVRGGKTMTQIQQSRQFRLCYPIPSFFAMFKHLFS -PSCEFYNIDGVDYIFTGWSILHESVLTDMSCMNFKFNANTLNTRVRMFNDSGEINNDLENLNLMLMLNNR -RSTESGLANLRYCLTNPLGTHNDLHKIVSESVFLAKDAVQLYLMKMYLDNYPKYIDYAKTFKTFKDKLIN -PFTGKEFTGEVEFTNVIYCTYSMTKAPYKQAVEQSINMKGVMEIHEDYDKVMKMSDNLNSVENMLDNEKN -PWENEFYYNRNYCFRLGRIAGNYFRAKELVPALHSTWHNILETPYTDCITEAGMRSDELKKGEDSFFGRK -AYEVVVSDLMKIINYDDFKHIIKKDSTFREKYLAQKILKNRSITYFKRIQMYEVMKAMFHEVEKTQWKGS -RQIYVMTLRTKVFQQPLEKMFSFMCRHVENEIISIPSSKRLFKVHSTLFENDKKFKGYKKYYLTLDCRKW -GPKAMFPKYMYFILGMADILPSSFVELFCHVTIMYFKKEVIVSKAAWEVFKNNKSNEHFLKYFEKVDELE -TAKFNMPYSFMMGIFNFLSSLMHAFNQIDLTESIAEHFYNKYGLFIIIRLLAHSDDSGGTIHIEDIDNDK -KVRAKVLKKCARIPNNEELLDEALALIEAHLKNANHMLSVKKCLVSEKYFELLSILYVDNKLLPLTPKFF -SNVSFKPTLKGFSADMAAGYGKAVELISMGGTFAEAFLNMRTYSEIVCSFHRVESRSDRPLSNFGGLYSH -PILVILHGSFSDLIRLYKLNPKKLFKYFSVIKYLTGNVDDALNNKGIKVNARLRNRSSIVRSKSLIKSLF -GEDLCKEEIFANLKSTNTDLFLLNYYHLLSDPDFCASLSYTNNTRRISKVVELSAKSSYETIIGSFKPEE -LAIIMELMINNKKVFANSNLPVLRFKNDYNVFLKLYQQMFKEASSIYDYLSPNLNTNLRLSSYNTTCKPT -HIDMQLSSYTFNIDKNPAQIFYSDHPLYTYLGYQRNYLEVKEDIKSRLKSIINNEFVNYNEFNFYFGFFT -KQTNCNLYLYSYMPTDMRQISNYETLISFIEHNSSYKQKYTRIYKDIKRHNKLMKLNKNFGTEIAEQLNV -FKCFEFFKSILHEDEQEHVYYTKKYDSDEEKIPLLHLQINQLFDGIVSDIIQNERKMQQSWVNNETLAYN -DIYFGYFWEKRQKKTSEGTWSGKGEIQFIINKKLVSFSIYNNHVVSVSGLRDCLDEPNDLRSLVFSVLSF -YDIQFITVINTTKGMNDKELVLGCEDAIEDSIPIIMNKHDARYIFAPFIEKTIVKKDNSSFEPIKRAPGK -FQSTVGKRKMETLTSLVNLPINKIKEKWFCDEKELRGTLISSILAHGLTDEIYDIALSSLIKNFEGT diff --git a/seq/clusters_seq/cluster_1021 b/seq/clusters_seq/cluster_1021 deleted file mode 100644 index 9399c84..0000000 --- a/seq/clusters_seq/cluster_1021 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009179396.1 hypothetical protein [Wuhan flea virus] -MRICVCLILLIVSLTHASTSQQQARSQELKSELEKAWKLDFEGLSLDPTAWSSTLAGKLSKVAVGVGYAM -TLPMSLAHVVGFGLFLFGLVRLTWGIVGTSCLALYGWKYHKRSFLVAGVGLTYALAFGLSTLY - ->YP_009179392.1 hypothetical protein [Shuangao insect virus 7] -MTMNNKFISMITTTIIFGLVCSGLCETPNSGTTHGIEKAWKLDVKGFGLDPSSWTSGIKSRMMKVGVGGA -YAMSLGFPLAHSAGFMILLFGLVNLDWALLAMVCASLYGWRKGNKVFLCLGLSLAYCLAFGYNVLG - ->YP_009179384.1 hypothetical protein [Wuhan aphid virus 2] -MNVKLPFIVISIISLATIAHCAEPSNTIKADIEKAWRLDLTGFSLDPSSWSAPMKEKMYQVGTGVSAAFA -MHLPLQHCVAFGIFLFGLTRLSWACVALATLALYGWRLNKKMYLLVGLGLLYATAFGVKVF - ->YP_009179380.1 hypothetical protein [Wuhan aphid virus 1] -MKALYLNAFCLFLIVCSTNAAQTTAAMNDLESAWKFDLHGFSVNPERWDDALRLRMYQVGTAAAYAATLP -CSLPHTLMFALVMFGMVRLSWLLVAASCLALHGYKRKNRVFLGIGLALAYALAFGTKLI - diff --git a/seq/clusters_seq/cluster_1022 b/seq/clusters_seq/cluster_1022 deleted file mode 100644 index ec9ac28..0000000 --- a/seq/clusters_seq/cluster_1022 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_009177216.1 hypothetical protein [Colletotrichum higginsianum non-segmented dsRNA virus 1] -MSAPKPGASAEAKPVAGSLPAAGTPAVKGTQSKESPPAPAPLLGAVAEEQDFVSAPQTPPPLDPTRFTLS -ENYARLFCERNSRLAIGDIIRRWLLSKGITQGPATPASIYPPGWRVGRTPIPVEGGGVGVRTLDEVLPNL -RAYDEVGNEVFVVQPEGNWTRVARLVAVWPAPVTIVAGMLSALQDTRVTTDRVLGLAQRSPEALEKLKAA -GAEFKAHVQRFLDPVALRRSPEFVEVSQRYKRELRALIDEQQRAIREARAATRRVNQLLGERDQALARLD -PAYVPKRATAAAALAQYGIDLGEEGEVAGLEESETADVLATLDF - ->YP_009154710.1 protein of unknown function [Beauveria bassiana RNA virus 1] -MMTPVARNQERADVSSVKEATQSAPGTATNTGATERPTSPAARRSSTPVRPQVPPDLEAGLTLNMVTTRT -VPSHPEAVLRVLQAFLGARGVTTLPSSVRDLYPSNWRIGNTTIPVRGSPESATRLGEVLPHLRGFNAKGE -EVHWISEGRDASSVVRLMAEWDSPVEIAAAILADVKDVDVSKDDVLAVAQRSPQALEQLKKSGKEFKEHV -QRWLDPVALRRSPEFIAVSLRYKAQLRSLIDEQQKAIVAARKATAAVNRALGERDEALARLDPGYVPKRA -TAARALAEFGIDLDGDTEMDDAAGAASDALRDLDF - ->YP_009154708.1 hypothetical protein [Ustilaginoidea virens unassigned RNA virus HNND-1] -MSSTHTPKTTAEVKPVSSSLPAVGTPAQKGAGAKGKRVSGSSTASGGVREGFDPDPESALSVNWASGYGV -STPAVTLDIIRRFLMHQGVSALPRGVEDLFPSDWRVGKTPVPLEWDTVGGRALAGVLPNIRAYDVDGREI -HWVGEGRDISHASRLKAEWDAPITIVAAILTAVKKAKISEEDVKLVAQHSPQALEKLKEAGAAYKAHLNR -FLDPVALRRDPRYGEVAARYKAEIRSLVAEQQAKIVEARKVTRRLNQLLGQRDEELAKLDPGFTPKRATA -AAELAKFGITLEEGEEAESAEAGLTAQMLEDLNF - ->YP_009052468.1 hypothetical protein [Alternaria longipes dsRNA virus 1] -MVVAEALRMSLERSWSSTLQWIRVGTAWEPRPPVDKSMETTGPPPSGTGAPGSQTPPAGQGSARPTQHER -SASQPAANREARTPPARPAEYTELAGAFERRPRPQTPIQPIRGLNVTSPERRRSGGSSMPPPPPPKKDGG -TAKSAPPADPDAWALRIYTIVRQWHDLNGVTGVVTDPRSLFPGDWTLGRTRLVYDPGVAGRYLRRMLPDL -KCYTSKGVETAGVVQGSDDVKTARITAEWRPPVHLVAEILSYKSERTVSADEVVALAQSAPDALEKLKEA -GKSLKAHFTRLLDMVALRRSPAYNETAARYKAQLKVLIQEQYNHVLALKQATKRVNDLLAERDAELARLD -PGYTPKKQSAQDALARYGIDLGSEGGEESWEEANPDNPLPNLDF - diff --git a/seq/clusters_seq/cluster_1023 b/seq/clusters_seq/cluster_1023 deleted file mode 100644 index cebd0ed..0000000 --- a/seq/clusters_seq/cluster_1023 +++ /dev/null @@ -1,182 +0,0 @@ ->YP_009177204.1 polyprotein [Posavirus 3] -MSEVIDNSHCVGVKVPAPADEESGAAIRPVNVCKSEEEIDRRFKKYDEMMKTEEEKKREEMMKNKIWQEE -VDAGVVLIHGGPNNGKFIVKNRSTGERKLMDHNPYALKTVTPLPEQCPKNPAPEPPKPTSKPVVNPIPGL -KVLRPFDPKPSTPESPASEPFMVAASAKPQEVNLKMVNNVKTHTWTARKFDPKAGKLAKNPVVADNSVRA -EIAKEQGIKKQDVPKPDELKTRARRGKRGRQGEKRRTLAKQRNENYEASRKEKTTERKKKQLKLATHAVA -DKCHSLMVKDREKNKKSLPPTLHWQEMLHVLRYCTGVQKYEYRGVPSAKNLEKWDCYNVISYALHNLNDP -KRLRAAVVYFLQVMSIPKANYFDISRLSKRAAAKQAVIMCFSAMEYRSDGFCDYLCELESYCAANGEDGV -TIKGIDSYIEALGKSQATDNVPVGTIEAAGLMNSDGSYAEDFDDDELATIPDREPLKKCEEEVVCADPTE -DDEQEEPQSDSEMCPAGQACSCEIVNNVDVDVNQSADDDTDPEVNEIVDRTMRSCYSMMESIDDNKLMCV -IQAHGPVDFVVRQLTRLIVNPCKSICNYLAETVRNFVGDALTRFTNALKSLFANLGLNWLWETFLAMLAM -IREAAEEVIHKIEKLLSINAAKDVMITIVICFTVFVLYKIFRTMWSAERATKLVMGSVNFANASPASYVM -PVLALVGAIVPAGNYVTRFLSNYKALMTSTDAVASVDTLLSWLLPQSILSAITNNPADSEFAMLTGGYNT -IIAFGNCAEVLLDPTVISYIDSYLTQCQNYLVKYKNDKTCSTVNGYYRDVAKISRDVTRHITTAGVRMEP -VCMRLYGKSGIGKSKAVAKMIADMHYGPHQWQNITIGERNFEQLTGCERVIIFDEIYANEKYRVETATRF -KEIVNSIPTHVYGSDIVGIESNKHSSLAPDIVFATSERLLYPAALSGINLPSLYNREHFRYEVTGDDEMM -EKLGLKANHQDVVLSAEDQEHLPWLKFTEVIKTENEEIKGETFTYDQVLERLVEEVHRRKKIFATRKVID -KKHDIPCQVFYDLLSSNYNSLNVVPPKGSDIERKYRALVDLAAVEIQDDLPRLAAKMKNPFSKKNVDKAC -ALCATNAELEHRDFTVNGDVHHLTLEGDDHDGEEGWAYQLSLAKHTYKFIEDVAEGDVNQLNYDPMPLEM -VNAAVSEADVKLRFARQKYLKYYNEARRARNAYFMKSLGYNDSEAVSDAVKTVGSLALGAAALGGFYTLF -KWLLGPRQPKYVAETYDVRIRRQQRKVQPTIAEAHQNSMLVNYAILNVDRKTDDVDRVLPVRCIYVGNDR -YLTYAHWLPSDDAMLDNFSYSITYGDRTFALPQRPKFYTSKAETAKDICVFRVPTNLSPASYFPNLAKSE -AGVLSSAADTLMLMPGSRAQYIPEVIECSYQGFDIVTKMPKMYVIENALSVPIPSVAGDCGKALLDQANR -IVGILVAGTISGQSASMFQVVSKEQVDLLCPVYESHCPASWKDPASYPVCRGSRLHATSLRAACEEKAGT -HFYKPPMSAATSATGVDPKVTFIQRLKDCANETLCDQTLINRAKADLVHLWTLEDRRYPTPSMRDAVCGY -HSLNSLDLDTSMAWPLGLETKDPALSGKRSFIRVVDEEILTSPMFDKRLAEVTEYITKGTPCQFYTVCAF -KDEPLKESKYLENRPRVIMPSDAVLNVYMRARLAPLLSDFYDYTRNHNMAIGVNIESLDAELMLQHLKYL -DRPNRFVDADYSAFDLTIPRPYLEAAYDVLEKIVAHSGLMSAEEFHRYAAVNLDPIIYFDGDRITPRSIN -TSGNLFTTIVNCIVNELYLRSAFYFYHPNSDFDSNISCLFYGDDMLFTVSPDLDLTFPMYQKFCARLGLK -VTPGDKSDVIHDYLSLDQVTFLSHNFHKTPFGYMGALSMSHFYRALSYSFESDICADALESLIRAIAAQP -ESVFNEAVTWLRAISPIKVPYNIGSRDLLLHKLYQSSAVVNRLPVAMMPFVLDHDSVEEETTEVIPRDLS -SVPEEPVEMYDAATAPFEVAHFEWDASQTAGTELWNTQMPCLPPVQTLASMPLFTTHFSNFHCAVTFKIT -ASRFSRGLLIAYLEPLGSKNAGRPLNLKDMLTVPHVFMTPMNSDNAQLVQGFISPRNLYQNALIFNRTQF -SGWLHIAVFSPYADDQASPQAASITVFAKYIDMKAFQARRFKIAAAGIGKQLCKTVERTARLAGTVADLI -GLDAPEEVGQPQVVTQRYMPLSNVDGVRDTNELRENEAAFDGRARENIFLGGDMLLSDLISRPFLLTNFT -WTADKGSGSVLNVFHLNCVPGVTGYDDVVPAPVTFLNLATFWHCDFAITFKFVKNAYSTGRLRITTVYGP -QTPAAADIPYYKGDLINVDAEIDSYSFVFSYLALTDYLRTFEGFKRLQDVPEDYYLGNVTTTVMNPIHAI -EGVSATCDVLVICEMINVDTRVPRPVPFLKAAGIGSFAKNTIKRVFGRYHDDGHQSVYYLKSRYSTSGVR -IPCDWVVFKNIGTGFVCSLHNYLEANGLQFGSFYVYRYNELIGICCDDGVYSSDDILFFKVTLASMPAPK -PVLYVENTNGEFRSALLGPVQPYSVNTYEDERVIWIRCHDLYGAKGDCGSPLHIYYDGQLYWVGSLHLLG -GDLNACYVGYCALRKPLKPLGANRFNLALLLHQYGMDVANRFPPGFLSSEPNDFFSTTLGVDDMDDEEGG -FASDEESESDEMVGSQFAYQLTDITDFVRRGIVLPSFRKSWTEQNKAGVITTVFPVEPHFGFERYYRAWS -GSIHYRIVNLGTQATPIRVSFYPGGSGANTMTFSGLFSTDVVQINATTTPVIAPPDIARPPLELAYRVCP -SNEYIDFAIPFQTIYHFIELNEFVQTAPLGYVAVQGLTNSCQVYTYAGDDFSYGFFYPQPQISALIQICR -CR - ->ANA09100.1 polyprotein [Posavirus 3] -MGPCNTWKIVENPGRKATSPSKMSQLIDVLNCVGEQVPAPTTMESGVTVSLSTSQPTMGPCNNGKIVEPP -RRKANPTSTKMSQLNDVLNCVGVKVPTPTYEESGVAMSAITSQPTMGPCNNGKIVETPCCKANLDQTQMS -EVTNNSHCVGVKVPAPTNEESGVAIRPVNVCKSEEEINRRFKKYDEMMKTEEEKKREEMMKNKIWQEEVD -AGVVLIHGGPNNGKFIVKNRSTGERKLMDHNPYAPKTVTSLPEQCPKNPAPESPKPAPKPVVNPIPGLKV -LRPFDPKPSTPQSPVPEPFMVAASAKPQEVNLKMINNVKTHTWTARKFDPKTGKLAKNPAVADNSVRAEI -AKEQGIKKQDVPEPDELKTRARRGKRGRQGEKRRTLAKQRNENYEASRKEKTTERKKKQLKLATHAVADK -CHSLMVKDREKNKKSLPPTLHWQEMLHVLRYCTGVQKYEYRGVPSAKNLEKWDCYNVISYALHNLNDPKR -LRAAVVYFLQVMSIPKSNYFDISRLSKRAAAKQAVIMCFSAMEYRSDGFCDYLCELESYCAANGEDGVTI -KGIDSYIEAMGKSQVTDNVPVGTIEAAGLLNPDGSYPADFDDDELATLPDREPLKKSEEDVVCTEPTEED -VQEEPQSASEMCPAGQTCSCEVVTNVDVDVDHSSNDEDDPEVNEIIDQTMRNCYSMMESIDDNKLMSVIQ -AHGPVDFVIRQLTRLIVNPCKSICNYLAETVRNFVGDALTRFTNALKSLFANLGLNWLWETFLAMLAMIR -EAAEEVIHKIEKLLSINAAKDVMITIVICFTVFVLYKIFRTMWSAERATKLVMGSVNFANASPASYVMPV -LALVGAIVPAGNYVTRFLSNYKALMTSSDAVASVDTLLSWLLPQSILSAISNNPADSEFAMLTGGYNTII -AFANCAEVLLDPTVISYIDSYLTQCQNYLVKYKNDKTCATVNSYYRDVAKISRDVTRHITTAGVRMEPVC -VRLFGKSGIGKSKAVAQMIADMHYGPHQWQNITIGERNFEQLTGCERVIIFDEIYANEKFRVETATRFKE -IVNSIPTHVYGSDIVGIESNKHSSLAPDIVFATSERLLYPAALSGINLPSLYNREHFRYEVTGDDEMMER -LGLKANHKDVQLTPDDQAHLPWLRFTEIFKTEHEETKGETYTYDQVKERLVEEVHRRKKIFATRKVIDKK -HDIPCQVFYDLLASNYNSLNVVPPKGSDIERKYRALVDLAAVEIQDDLPRLAAKMKNPFAKKGAEKTCAM -CATNAELDHRDFTVNNNVHHLTLEGDDHDGEEGWAYQLSLAKHTYRFIQDIAEGDVQEINYDPMPLEMVN -AAVSEADVKLRFARQKYLKYYNEARRARNAYFMKSLGYNDSEAVSDAVKTVGSLALGAAALGGFYTLFKW -LLGPRQPKYVAETYDVRIRRQQRKVQPTVAEAHQNSMLVNYAILNVDRKTDDPDRVLPVRCIYVGNDRFL -TYAHWLPSDDSALDTFSYSITYGDRTYKLPERPKFYTSKAETAKDICVFRVSTNLSPASYFPNLAKTEAG -VLSSAADTLMLTPGSRAQYIPEVIECSYQGFDIVTHVPKVYVIENALSVPIPSISGDCGKALLDQANRIV -GILVAGTISGQTASMFQVVSKEQVDLLCPVYEPHCPASWKDPASYPVCRGSRLHATSLRHACEEKAGTRF -YKPPMSAATSAIGVDPKETFIQRLKECTNETLCDQTLINRAKADLVHLWTLEDRHYPVPSMRDAVCGYHC -LNSLDLDTSMAWPLGLETKDPALSGKRSFIRVVDDEILTSPAFDKRLAEVTEYITKGKPCRFYTVCAFKD -EPLKESKYLENRPRVIMPSDAVLNVYMRARLAPLLSDFYDFTRNHNMAIGVNIESLDAELMLHHLKFLER -PGRFVDADYSAFDLTIPRPYLEAAYDVLEKIVAHSGLMSAEEFHRYAAVNLDPVIYFDGDCIKPRSINTS -GNLFTTIVNCIVNELYLRSAFYYYHPNSDFDSNVSCLFYGDDMLFTVGPDLDLTFPMYQKFCARLGLKVT -PGDKSDVVHDYLTLDQVTFLSHNFHKTPFGYMGALSMSHFYRALSYSFESDICSDALESLIRAIAAQPEP -VFNEAVTWLRSISPISVPYNVGSRDLLLHKLYQSSAVINRLPVAMMPFVLDHDSVEEETSEVIPRDLSSV -PEEPVEMYDAATAPFEVAHFEWDASQTAGTELWNAQMPCLPPVQTLASMPLFTTHFSNFHCAVTFKITAS -RFSRGLLVAYLEPLGSKSAGRPLHLKDMLTVPHVFMTPMNSDNAQLVQGFISPRNLYQNALIFNRTQFSG -WLHIAVFSPYADDQTSPQAASITVFARYVDMKTFQARRFKLTAAGIGKQLCKTVERTARLAGTVADLIGL -DAPEEVGQPQVVTQRYMPLSNVDGVRDTNELRENEAAFDGRARENIFLGGDMLLSDLISRPFLLTSFTWT -ADKGSGSILNMFYLNCVPGVTGYDDVVPAPVSFLNLATFWHCDFAITLKFVKNAYSTGRLRITTVYGPQA -PTAADIPYYKGDLINVDAEVDSYSFVFSYLALTDYLRTFEGFKRIEDVPEDYDLGSVTTTVMNPIHAIEG -VSATCDVLVICEMINIDTRVPRPVPFLRAAGLGRFAKNTIKRVFGRYHDDGHQSVYYLRSRYSEFNVRIP -CDWVCFKNIGTGFVCSLHNYLEANGLQFGSFYVYRYDELIGICCDEGVYSSDDILFFKVTLASMPAPKPA -IYVENTHGEFRSALLGPVQPYSINTYDDERVIWIRCHDLYGAKGDCGSPLHLYRDGQLYWVGSLHLLGGD -LSACYVGYCTLRKPLKPLGAGKFNLALLLHQYGMDVANRFPPGFLSSEPNDFFSTTLGVDDMGNEEGGFA -SDEESESDEMVSSQFAYQLTDITDFVRRGIVLPDFRKSWTETNKAGVVTTVFPVEPHFGFERYYRAWSGS -IHYRIVNLGDQATPIRVSFYPGGSGANTMTFSGLFSTDVVKVNATTIPVIEPPEVARPPLELAYRVCPSN -EYIDFAIPFQTIYHFIELNEYVQTAPLGYVAIQGLTASCQVYTYAGDDFSYGFFYPVAHKFIAPRDICRA -PEPGRGSGNVSKLNEFLEGL ->APQ44560.1 polyprotein [Posavirus sp.] -MSTSSDVLTAPECNNSCNIPSSSQKEENYAFSDATKVTLLSGCPSSDNVVQTVVEEVLCESQGLCVSKSV -VDVDVCSRVQEHHTHKVGVSREEDEEEEAEKPDNFTFDEDESGAIANSDVVVEKAPEEKKESVDVKTEPK -PSVKVVSVDVQAIKEKKAAEQLLRNGGWSTGSQSKRKLGWMPVPADLIKEFKPVKYKSLAFNSKENTVFT -KYKKGQVDTSIRLPEGPSYKDVVKKKLHVKKERFDVPKGTQVFKNFLIRQVRRCVLNWLPSNKFCQDKSL -RSEAYANLMSTVTNAALNKNPHPTCEDLLAFYTKRDSLTKIKQCAYDWATVYHSASNISPKTGEFEVPFD -AGHVAEEVCDANSILISLAKVLSAETGHPMAWKPTDVGTVRSLIMYIMINLWITAVEGDKAHTEARQKRD -ERAAKYTHKSKFNTQCAAIEAASRELPLDAQDIKISISNDGKDNLIQSAQGLNKVCRTVQTKNEQNARAS -KKRPDPNKAKESYKKRAARRKAKGVSAPQTSIIMPGAVAQAGTPTNDTFGEDKSFIEKWTKSKFSDYKAQ -ERTTTWNKVCEILSKSIDMEDVTLDVYRQILFWATMLNQDFPVEFPTAQLVSAIAGWASVSPKVALAVYT -KVRPNLSSEVVGCLDSVVHYCDESVSPPSTPKQEKLEPQVHVNVPDEGFFARLLARAWNLVDDVAHITSN -VFQRWAKTLYDYVEAIFGTVWTRICNMFNDALNSFVQYIADKIGWEQFSQQCKSVARITVALLLVYFILT -LIRDIVGIKLLTKIANTGAVAQMDIPTVSNSIAAILGVAASAAGICRIYNTIGTTLDRLHRKSCPWLEAI -LPACLYTLVFKNNAEDAEYAQLSSIYYSLATYSDLPQVRSSPAYLNIASKFMDDVTSYNMKYPQSKFNNT -LAGFARDVAKSLRDSASIFHNAKPRMEPPLVRIVAASGVGKTTLAKKLARDLHYREDQIYTVPMAENFWQ -TTGLEKVFIFDEAYSVSDTQQVIAERLLMLCSSSQFFTPGANVNGPISNKNTPLFPELVFICTQRIKYCP -TVDIMSQKTRETFSLILGIRPEFMEKLGEGAGKHNMSLSVLSKEEWKHFSHLKIISYDPISGETNDWPDN -YDTLYEAVYKEIMRRKQIMISQHDDRLPEVDPNDLFIQSVDKALTRLKVTVPDTVVNYERYNSIIAEYRV -ANSEDLKFDPEFAHDGNVVAQAGTPREDDAEEDDDAGVDTDLDYAIESYNGADLDHPPRGWYQRLLVDLE -EHPLRYAVPMIVGAATCVGGYYLFRKFIRSSFSQEQEDDDTPASATAQGRAGIKNGDSPTKTSRGHRVSA -ILGGSAYGQGSVGELNIDYVYYSLTDGVHYARGRGVPVGKNTFVTFDHWRHITPSPDDGPDNYTWTITYR -NKTCVCKEPHIVSDTQHDLCIIQADDSTLEFKDYSDNFTPLPVGHCNALNGEIVTLQDGSMNCQHKKCSY -LRNFSYSLLVGKEKVVIYVQDCLRTDIQGQPGFCGYALLDKSNHICGIHTAGGTESMSKQDASYAVFVCK -EWLISMKSELAQAQMDVAQVAYKPIRFSKLHRTRLATPVREVYGLDYRKPIMSQEQDPQGRDPDVVYKER -MDTKANHSEINALVLEQVGDEFYGQLCSNLSDVEMEPLTDYECVAGIPHLSSIELKTSAGYPLCEKIKVG -QPGGKRSFLKIDHVSRSVEFSQEFTERLNYIERFLHGLEEPDFKFLCFYKDEVITKKKYDECRARVIMVG -DFALNYYMRKRIGRFIAALNDFQHSGNFAIGINMMSTDATLVRQHLLEPSLAERCVDADYSAFDLSIPRP -FLEKIYEIYGKFLVARDLISEQEWKAYASVQMNPTLQFGDLTWQPYSINTSGNLLTTLINSGINELYLRY -MFKILNPTAIFDEIVHPLIYGDDLCYSVEYTNQPVHFSFADIQEQGRKMGLTITPGSKDEQTYEYMNFWD -LSFLSCRMVKTKYGTMAYLKFDHALKSLCFTELPDLTPEAVWAIKAATCCMPAEMYDELWSFIGAYYPEY -LPVLGEREQLMAQQVSFSSVLDEMAVAQMEFTDVPSDDAVLEPSVLGGDAAGISDAPIQMDTAPGSFFLY -QSTSWNTSQTEGETIYAVRTPLISNDYNLQTVNFYTTVYSEFQTDVKFMFNGSRFSQGLAAAYFEPLGDS -GTAAALDWHNLPSVGWKLLNPVDASDVTVAVPFINPRTCYYNDDLLSGKQWNGHVILAVVSPYVVDVSDD -QNAVISVYVRYFGTKFWLPRAFSLANMEILKTTEALLGLVDSAASILSRDAPEAVTVPNVVMQQYPPLSN -VDTVRPTHQLRDKAGLFDGNAPLEHFTAMDMMLASLIGRPFLLKTIQWSADKEPSEILAVIPLDSLMGFK -DGDTIPASLFWLNLAMFYRCGFEFVFHVAKNAFQSGRLRFSVVYGPNRYTGGDLNTYKNMVADFNAQCHE -AVFPIGYNSCFPVLRTMEGDMEKSPFLNYRMGYLVISVVNNLRRVTGSADYCNVVVECRLTDPKVYVQRP -FPFESTNGERVELAPVTDFELFTWDNWWRGLRAIRDLYNHTLDPEARMRLEWFWNAYFKDLVFWALATSF -FSNLAAWLGDSPLAPISYWIGVLGYIMIIRLSLNAFRRGREIALDYLRLSSKMDQGGWFVRVHGSFGSDP -YVSQLTPIDLGDDDRGNYFLCACHAVKTDTETRIYHIVNGEVHTYKAYVAIAAGDVMILTAPSKHLGVVD -IAYPKRLTRYYQGEPVYVSLRGKTYRCHPRPESITVGDWRDEFTMMTIHKLGVRVRNGDSGTPVYQEGRI -VGMIVAADSLGYGYFVEIDDEILTWHHLGMGEMFVHPWYDYRSWRAISDIDGDVEMQEVTSSNIVDGEPM -VPEYSQFSSELEYVTDFIRRGVILPPNSQFQNESGNAYETIPYRGQNYVLEKYVVRPRFGLESMFRAWSG -SLSYRFYVSNPSGDLPRFFFYPGGLRANGPEDFVAGPMLGLAGQNRDVVKYGAGSGQLLFPPTELAYRVG -PNNEYIDINIPFQSQYQYIDTNARQSEPLGVLMVFHSAEWHATVYTYAGDDFCYGYYYPRTCTYGRFTQW -PHPLCIAGEYHYN ->APQ44553.1 polyprotein [Posavirus sp.] -MYLIRSSTSFNKYNRVGGIVPRHLFSDTGATCNLNGVTNLGLSSPTSTQSVMGSCNIEKITETPRRKAKV -DLSQMSQISDNENCVGVIVPAPVCEESGVAYPNQISTQSVMGSCNIEKITETPRRKANHDLSQMSQISDN -QNCVGVIVPAPVCEESGVAYSNQIVEKKEKSMTVEDDECVLLEDGDVLVTIEKSKREDDKKKKVEQKIWR -EEVQKGVLLIHGGPYDGEFIVTFPNGSRKQMSYNPFAAGACVKQQEEEKKKKKNIGFITAASAEPKTIKI -NGKTLDVTVDVERVKALKYAQRQYDPKTKKMAKNPAVADNSVRAEIAKEQGIRKDQVPKPDEATTRMRKG -KRGRQGEKRRIIAKQRNENYSKSRRAKTQERKKKQINLATYAIVKKLSQKMVDRRNKEGKQLPPMLRWRE -MIDLMEGLTNIEDMEYRGVPSAKNLEKWKNGNVLSYVMHNLNDPKRLRAALVYYLQVYNIPKARYFDITR -LSKRAAAKQMIITCFTVLEYASDPFVDLLCEYEMFCSLEGYDLNAKSVDAFCKSKGEEKPKNPEVVAEMK -AAGLMNDDGTYADFEDEELLEEQQMDEMIAAGQVCSCEELSKEPVEGPCPKEKVVAEQEPECEEPARDPS -EDPYFQEKMDSVMKDCYTMLEAVNDNALMSAVQAHGPVEFVLKGLSKLIVNPVKSLINYLQEQLVNFVGD -ALDRVLETIKKAFNNLGLDWLWSTFLAMYAMIREAAEAVVKKIKTLLALNAAKDVVVTIVICFTVYVLYK -IFRTMWSEERAAKLIYGPATFANASPASYVMPIVALITTIVPAGNYVSKFLATYKNLMASKDAVNSVDTL -LSWMLPQSILSAITNNPADSEFAMLTAGYNTIISFGNCAEVLLDPYVVSYIDAYLSQCQDFLVKYRSDKS -CSTVSMYYRDVSKIARDVTRHITTAGMRMEPVCIRLYGPSGIGKSRAVGRMIADFGYKSHQFQNISVGAK -TFEQLTGCERVIIFDEIYANEAYRVETATRFKDIVNTIPTHVNGSDIVGIESNKHSSLAPDIVFCTSENL -LYPSVNSGIDLQSLYNREHFRFRITSTKEEMAKYGLDTNHKDVQLDKDAEAHLPWLKFVEVRHTKTNGFV -DGDTFTFDEVKDAIVDEVRRRKRIFANRKIVDKKHDVPSQVFTDLLSHNYNSLNVVPPKNSEIEEKYRAL -VNLAAVEIQDELPKLQAKGLFSKKKSKTGTCAMCETNACLEHHDFCIDTVTHHLVLEGEDHSGDDGFAVQ -LSLAKHTYRYVEDIQEGEVKQLDYSKMGCEMLSAAIDDADVKLISARRKYLAYYNEARRARNTYFMKAAG -FEDEAAVSDAVKTVGSLALGVAALGGFYTLFKWLLGSRQPKYVAETFDVRIRRAQRKARPIVAEAHQASM -KMNYTMLRVDRHNDASDSIFDVRCIYVGNDRYVTYAHWIPINNEALDTFSYSLVYGDQTVPLKERPKFYT -TSADNFMDVCVFRAPTRLSPMNYFKNLPKTQNSVLSRASSTLVCLPDSRGRYHPEVMDCNYQGYDTQTMT -PRLFTAENVLSIPWPSYAGDCGTALLDEANNVVGILTAGTITDASASMFQVVSKELIDTLCPVYESHGPA -EWKDPATYPTCRGSRLHATSLKPYCEEAAGTKFYKPPMSAETSPIQVDPRDSFVQRLNQCDNDSKCDPNL -IQRAKADMIHQWTLEDREYPTTTIEDAVKGYRHLNSLDLDTSMAWPLALETHDPTLSGKRAFIRVVNDEV -VTTELFNKRLEQVTNYITKGIPCPFYTVCAFKDEPLKESKYLENRPRVIMPSDAVLNVYMRSRLAPLLSD -FYDFQRNHNMAIGVNIESVDAELMLRHLKYYERRDRLVDADYSAFDMTIPRQYLEAAYDVLEKIVAHSKL -MSAEEFHRYATINLNPVIYFDGVRLEPRSLNTSGNLFTTIVNCVVNELYLRSAFYHYHPDAVFDEHISCL -FYGDDMLYSVGPDVDLTFPMYRDFCKTIGLKVTPGNKSNVIHDYLTLDQVTFLSHNFCETPYGHMGALSL -SHFYKALSYSFDRDICVDALESLVRAIAAFPEPIFAETVSWLRSISPIKVPYNVGSRDMLLLKLYNSSAV -VNSLPRAFMPFVRDHDELEDETTESVPRDLSSVPEDPVEMYDAASAPFQVAHFDWDGSQTRGTELWCCQM -PCLPSVQTLASMPLYTTYYSNFHCAVTFKMTASRFSRGLLVAYLEPLGSQNAGRPLDYRDMLTVPHVFLT -PMDSDNAELVQGFISPRNLYQNALLFNRTEFSGWLHIAVFSPYSDDQTNPQNASITVFARYIDMKAFQAR -RFTISAAGIAKELCKTVERTARLAGTVADLIGLDAPEQVGQPQIVTQRYMPLSNVDGVRDTNELRENEAA -FDGRARQSIFLGADMLLSDLISRPFLLDSFLWTADKDCGDILNVFHLNCVPGVKSNDGVLPAPVSFLNLA -TFWHCDFAMTFKFVKNAFSTGRLRITTVYGAIPPAPADIPYYKGDLINVDASTDAYSFTFSYLALTDYLR -TFEGWKRLEDVPEDYELGTVTTSVINPIHAIEGVSASCDVLIICEMINVDTRVPRPVPFIEAAGFGYFLH -NAIKMRTSKYRPDGHQAVYYIKSRYAAVNVKIPADWVTVKDIGNGFICSLHNYIEATGIVTKMDKPIPCH -TTFASFYLYRYDELIGICCPDDVYTSDDLLFFKTELKSLPAPKPVISVSEVGEYRSALLGPVTAYAFSEY -YCENVLWFRTHSLCGAKGDCGSPLHLYKDGQLYWVGSLQLVGSDTCSCFVGYCVLSHPFTKWTDSVNTAL -LMLRYGIEVSDRLPPGFPTSEDNTFFSTALGVDDMGEEEGGFASDEDDEEDEMVGSQFAYRLTDLTDFIR -RGIVLNGFSKSWTETDKKGVVTTVFPVEPHFGLEQYFQAWSGSIHYRIVNLGSQSTPIRVTFYPGGSGAD -VMTFSGLFSTDVATVAGKPLPTAIPAPKVARPPLELAYRVCASNEYIDFAIPFQTMFHFIELHNYVQTAP -LGYVAVQGLNDNCQVYTYAGDDFSYGFFYPYSQKVTVSKTVGWCGLYP diff --git a/seq/clusters_seq/cluster_1024 b/seq/clusters_seq/cluster_1024 deleted file mode 100644 index 294a922..0000000 --- a/seq/clusters_seq/cluster_1024 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009165994.1 hypothetical protein APL33_sRA22gp1 [Tomato necrotic dwarf virus] -MSFIGRLNTTLEEQAFHQQVASANWVCSVDVGTGLINSNPTLDFKVVPPTGGAVSVLTVSWENSTPQIVP -GHYILRGGNWPVKNVKLSGLLVHRSIRLETTRKVLEENKVSLTSQSSPSSSTGDKGKAKEELTTREVLLK -ENQALKLELERARKELAEQKSENQKLQLQLSNQLSNNDIFSGWSESGPQ - ->YP_003097230.1 hypothetical protein ToChV_s2_gp1 [Tomato chocolate spot virus] -MSFIGRLNTAEEEKAFHQQVASSNWICSVDVGSGVINSNPTLDFKVIPPTGGAVSVLTVSWENSTPQLVP -GHYLLRSGTWPVKNVKLSGLLVHRSVRLETTRKVLEQNKVSIAQQTENSVSDKGKTTETAWKFKEEIAHL -NAELERARKEIAEKQSEISKLQLQLSNQPSNNDIFTGWSEDGPK - ->YP_001976148.1 hypothetical protein ToMarV_RNA2gp1 [Tomato marchitez virus] -MSFIGRLNTSVDEQAFHHQVATSNWICSVDVGTGLINSNPTLDFKVVPPSGGAVSVLTVSWENSTPQLVP -GHYLLRSGNWPIKNVKLSGLLVHRSVRLETTRKVLEENKVSISASSSSSPSSSDSKGKSKVEQPTREDLI -KEVEVLKRELERFQKELASQKSENQKLQLQLSNQVSNNDIFSGWTESGPQ - ->YP_001040017.1 Orf1 [Tomato torrado virus] -MSFISRLNTSLEEEAFHKQVADSQWVCSVDTGSGIINSDPTLDFKICPKTGGAISVLSVSWQNNSPQLVP -GHYLLRSGTWPITGVKLSGLLVHRSIRLETTRKLLEAQRISVSQQASSSSAAGAAGKQPQVTLTQLQEEL -DEAKTRLALKEKELLEALSEISKLRLQLSNQLSNDDVFSGWTEEGPK - diff --git a/seq/clusters_seq/cluster_1025 b/seq/clusters_seq/cluster_1025 deleted file mode 100644 index 683ec7e..0000000 --- a/seq/clusters_seq/cluster_1025 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009130671.1 NSP3 [Rotavirus I] -MAQLVISALSGILSNEQLARVQDVMDDTGVTETLNKYEEAYNGKWFPVGTHFSTKCKIRNLECDMVKLRG -MLFNRGVNREQRALRDFSIEKNKLGHTILVPKTKLAELMIANTKDPGYKLNAVPCEQFEETLKKLNDAEE -TISNLRVQLDKCKMDLVTLSEKNMMLKMANNSLKEDVEENDQYTDYLEKLVRRLAESQNLEAYYEEDHIG -FLNFGADDILEGFRPEIQIDCSDPDEVFFSDDDNFIFGSVDKHLIENLKGKNVSNQDAVDVVS - ->YP_008136237.1 NSP3 [Rotavirus G chicken/03V0567/DEU/2003] -MAELLKVCFDEMMKSGNCSFEHLMEKMNDAGIDLDKWKQAFDGARLPQRMTKSTLAIQNTNLEKEIVMLR -AKEHRHGYDKNKRTLANFDVERKNGNTILTPKTRLAEIILLNSTRDLKLSAPPSDYIEELEDKVAQLEEE -RLNLMRCNNDIYYQYLTLHTTSYCLQETNKWQEKMISRLQENEMVMSSEINDLKSMVRTLTRELNYEISF -EDEPDTGYISDENSQVSEDDLSEQELDNDQQDENESSDEEIFEDLDDLINQYEQRQRLMERERILNELQA -PDYDTETDDENEYAYNIEREHRH - ->YP_008126851.1 nonstructural protein [Human rotavirus B] -MALDALASILETVLRKCGINEISRITTKFEEALDDCGMKVDDWREAYYKERFPKRMTATTMASQIMNFEI -ENLQLRNKAWAEGADRKFRLLSSFEIGNKDGHTILVPKTRNAEILLVNSTSDLKLNSFPSEAVTRLVEEN -EQLRKQIKHLREQQTSKPTAILCEALEDMTEKMKLVEREKETVNKMFLECSRINQRLKKQIQMCEEEATD -RLALVNSHHREETLIMKREIYRLQMENVTLKEQIDSIGKELDHSNKIIRGLANRAGLVVDEVDSGNETSD -QSDESDHDNHENSESDLEDMMNPGEDERIPRGGENPRRQARMLEMREEMERFHEDMEILNLNFDLDM - ->sp|Q5K037.1|NSP3_ROTGA RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MALDALASILETVLRNCGINEISRVTTKFEEALDDCGMKVDDWREAYYKERFPKRMTATTMASQIMNFEI -ENLQLRNKAWAEGADRKFRLLSSFEIGNKDGHTILVPKTRNAEILLANSTSDLKLSSFPSEAVAKLAEEN -EKMRKQIEHLREQQTSKSTATLCEALENMTERMKLIEREKETVRRMFLECDKTNQRLRKQIQICEEEATD -RLVLVNSHHREEILIMKREIYRLQMENVTLKEQIDSIEQELDHSNRIVRGLANRAGLVVDEVDSGNETSD -LSDDSDHDDHENSESDLEDMMDPGEDERIPRGGENPRRQARMLQMREEMERLHEDMEILNLNLDLDI - diff --git a/seq/clusters_seq/cluster_1026 b/seq/clusters_seq/cluster_1026 deleted file mode 100644 index 3f0c8a6..0000000 --- a/seq/clusters_seq/cluster_1026 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009100302.1 P0 [Strawberry polerovirus 1] -MFEVKFGYLVPTLFEVTDLHDFADAFDAFEGLRFHALLQEVRKYNRVGFSRSNYAVDRDVNLAFIFYLPL -LTQPGNKPQPGDSFSVPLARYKQLIKWALAVGYFPELRELPTTVQVCCTHRSTETDYRRKLWELDTADVA -KDVVGCKHLPLLGANTLANIMCQRFKLLQDEHRKIVPITRNARFEVHHIHLVLCLKDDMGFLMEFDYEPH -CRRFTLDVARRLHGFGFQRSAMDIFETSFLRSDITMEDLEAFYP - ->YP_003029837.1 P0 protein [Wheat yellow dwarf virus-GPV] -MFVATPAGRVLVQFEEHISVDCVLRSIFGLTSLFVRAYQDYSYGPHEICLFSVSLAYMLPVLFTGKSHVW -RNGLSLPIRFHAHLLRWGLAIGYTPVVSTWKRTVHIDLCTMSSAASHRSQLQRLESSSFAAFLARHPHAL -FYGPQHFFKLLAVYLRCVEQRSPKLLWTSPVVSCLFVDRIPSTSFLDCVFGRNRLLLTGRFTDVTRYYNE -LDLQGVEVDFWYAAGISLHKTGADYLAGSYLQKILQ - ->NP_840020.1 P0 protein [Cereal yellow dwarf virus RPV] -MFIAQPCGRVLVFDVASHTPSFFTRYSVELSLRALDPLFTRAVTDFRYTQNEIDLFCVSLGFLLPILLTG -ESYSWRGHLNLPLSYTELLVRWGLAVGYFPAFSADGDIRQNPELRIDLSTMSTRSFYEQFLLRYNTSGLA -KAIVGQQECFQSGMESFKRFLHYRLTCFESCLPRPRWESPLAPGPYLDRAFEATLLGRMVGHNQLLFTGL -SSDITRYYNELVVEGVPVAFWDAAGITLHHAGEEYFPNSYIQKILQ - ->NP_054684.1 P0 protein [Cereal yellow dwarf virus RPS] -MFVATLSGRVIVQSSDKIYSGFVQYASFGLHSLFHAARSDYHYGPEETQLFCVSLAYVFPLLLTGESHGH -GNGIRLPISYYPDLLRWGVALGYPPQLTRSRRKVHIDLCTMSSQVHYRAELQRITTTALGESLVRFPRAL -LYGHRQFLTILESCLRTVERNSPRLVWTSPVVRSLSVDKCIASNCAYCLLGRCQLLLTGRLSHIARYYNE -LDLQDIEVDFWYSAGISLHQAGEKYLQGSYLQKILQ - diff --git a/seq/clusters_seq/cluster_1027 b/seq/clusters_seq/cluster_1027 deleted file mode 100644 index bc306e3..0000000 --- a/seq/clusters_seq/cluster_1027 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009094433.1 gamma protein [Malakal virus] -MELKFRCLLRNVDDCAAGERIVFEVLELMERKFMRLIAIDILDIRSSSFDRETHNPWVYVFGQISVTGIL -GRVIGRRMIARGSYKLKSHELQEHFEDVYIHFYEDIKRMFRSYY - ->YP_009094402.1 gamma protein [Kimberley virus] -MELKFRCLLRNVNDCAAGERIVFEVLELIERKFMRLIAIDILDIRSSSFDRESHNPWVYVFGQIQVTGIL -GRVIGRRMIGRGSYKLKSHELQGHFEDVYIHFYEDIKRMFRSYY - ->YP_009094137.1 gamma protein [Berrimah virus] -MDLKFRCLIKNVADGRAGEIIVQECLDVIENKYLRLVSFDFKEIRSSIFDQESNPWVYVFGKIYASGMMG -RIIGSRMVKRGTYRIKEGELINHFETVHIHFYKDLGKIFNHKYS - ->NP_065408.1 gamma protein [Bovine ephemeral fever virus] -MDLKFRCLIKNVADGRAGEIIVEECLEIIEQKYLRLMTIDLKEIRSSMFDQESNPWVYVFGKIYISGLMG -RAIGKRMVKRGTYRIKEGELINHFEGVHIHFYKDIEKIFHSIRV - diff --git a/seq/clusters_seq/cluster_1028 b/seq/clusters_seq/cluster_1028 deleted file mode 100644 index 46ad26d..0000000 --- a/seq/clusters_seq/cluster_1028 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009094430.1 alpha1 protein [Malakal virus] -MEKFPFQKPFDEIKNWLIESRDKISEWWNLTEWRIRLGFYIIISLIIGIALSKILIKIFKCINTGVSGVR -KLRKIIRRKKKVKSLPSFKEKRKPSVAKFKKFGNK - ->YP_009094400.1 alpha1 protein [Kimberley virus] -MEKFPFQKPFDEIKNWLTESRDKISNWWNLTEWRIRLGFYIIISLIIGIVLSRILIKIFKCINTGVSGVR -KLKKIIKRKNKVKSQHSLKGKKKLSIAKFKKFGDK - ->YP_009094134.1 alpha 1 protein [Berrimah virus] -MDRSLLSNFWKDFTNWSEARKIEIIDWWTNLEGRIRLGFWIIFIILIGLIIIRISYKILKCIQLLRKGIV -KTKRIIKKRKTIKKYRKH - ->NP_065404.1 alpha 1 protein [Bovine ephemeral fever virus] -MEKGLLSNFWNDFKRWSEDRKVEIVIWWSNLESKVRLGFWIILIILLGILAIRIAIKVYQCVKFTNQGVK -KIKRIIKRKRSIKKYRKT - diff --git a/seq/clusters_seq/cluster_1029 b/seq/clusters_seq/cluster_1029 deleted file mode 100644 index 012b7fb..0000000 --- a/seq/clusters_seq/cluster_1029 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009094426.1 polymerase-associated protein [Malakal virus] -MDHFNPKEVCRSYDLAALMNNIKDIQEDGELDENQEEKPNGKNSLVGRDPSSKKVYPVEIRLEELEERGS -DDLDWEGDLLKIVESCESNKSIDINEEKDLKHPIKPFAEDSTQNQVRSIKQPSDNRLVDIACPILIGVGE -INKIVGILEFFNLYHDIDYNLEGDERGMIMIKKAGASNNGSSAINNTSSTSQSDNKLFNGVMEMINRGIR -IKKKYGKGFLKIDAENLPITHHEIHGIIQRMDQNLETTDGLRLLLKKAKGTRSMVKSMDLDNIILL - ->YP_009094396.1 polymerase-associated protein [Kimberley virus] -MEHFNPKEVCRSYDLAALMNNIKDIPEDGELDENQEEKPTGKNSLVGRDLSSKKVYPVEIRLEELEEKGN -DDLDWEGDLLKIVESCESDKSVDIYEEKDLKHPIKPSEEYFPRDPVRSVKKPKDGLLMDIACPILIGVGE -INKIVGILEFFNLYHDIDYNLEWDERGMIMIKKAGVSNCGPSTINKTSSTGQSDNKLFNGVMDTINRGIR -IKKKYGKGFLKIDAENLPITHHEIYGIIQKMDQNLKTTDGLKLLLRKAKGTKSMVKSMDLDNIIFL - ->YP_009094130.1 polymerase-associated protein P [Berrimah virus] -MSHQKVKLMTGTYDAGKLQRNLQEHILLEEDEDGSFAQGDQVAEEILPINPLLNKSEPKVYPIEINLEDL -EKANGIEDDWEHSLLKIIESSEVSPKLSWDDEFESDSYEKDKKGMRGPKQMKDIQNKYIVEIDEDSLKDV -LKVLSAFEIREGEDFNVSNNKSKRVKIVIEKRGTRECNKIDVTGKSPQNEAMKEDRSKFDQIMDQFQRGI -RIKKKFGKGYVKMNADNMPGTYHELYSVVSGIVDENTTEDMIKYLFRKSKSFKAMGKMLNIDEIILC - ->NP_065399.1 polymerase-associated protein P [Bovine ephemeral fever virus] -MSHLKPKIMTGAYDAEKLRRNLQEQIALEEDELENQTDFESNKEESNINNNPLVIKQEPSIYPIEINLED -LEKANGMEEDWENSLMKIIESSDVTPKLSWNDEFENCTYKGYVVSSEDLCNDSGNQEKNEVPIKQSSLED -VAQVLSLFQIRSEVDYKIEKDNKNQVKIIKLSKQDKSVKSKRNDVVNQDSDKHMKYDSNFDAVMDQFQKG -IRIKKRFGKGYVKINADNMPGTYHDLSNVISTVKGEKTVEEMIRYLFKKSKRFKSINKTLNIDEMILC - diff --git a/seq/clusters_seq/cluster_103 b/seq/clusters_seq/cluster_103 deleted file mode 100644 index edd0f48..0000000 --- a/seq/clusters_seq/cluster_103 +++ /dev/null @@ -1,393 +0,0 @@ ->YP_009666045.1 unnamed protein product, partial [Kirsten murine sarcoma virus] -ECCFYADHTGLVRDNMAKLRERLSQRQKLFNSQQGWFKGLFNKSPWFTTLISTIMGPLIILLLILLFGPC -ILNRLVQFIKDRISVVQALVLTQQYHQLKTIRD - ->YP_009513212.1 envelope protein [Koala retrovirus] -MLLISNPRHLGHPMSPGNWKRLIILLSCVFGGAEMNQQHNNPHQPMTLTWQVLSQTGSVVWEKKAVEPPW -TWWPSLEPDVCALVAGLESWDIPELTASASQQARPPDSNYEHAYNQITWGTLGCSYPRARTRIARSQFYV -CPRDGRSLSEARRCGGLESLYCKEWGCETAGTAYWQPRSSWDLITVGQGHPTGTCERTGWCNPLKIEFTE -PGKRFRNWLQGRTWGLRFYVTGHPGVQLTIRLVITSPPPVVVGPDPVLAEQGPPRKIPFLPRVPVPTLSP -PASPIPTVQASPPAPSTPSPTTGDRLFGLVQGAFLALNATNPEATESCWLCLALGPPYYEGIATPGQVTY -ASTDSQCRWGGKGKLTLTEVSGLGLCIGKVPPTHQHLCNLTIPLNASHTHKYLLPSNRSWWACNSGLTPC -LSTSVFNQSNDFCIQIQLVPRIYYHPDGTLLQAYESPHSRNKREPVSLTLAVLLGLGVAAGIGTGSTALI -KGPIDLQQGLTSLQIAMDTDLRALQDSISKLEDSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFY -VDHSGAVRDSMRRLKERLDKRQLEHQKNLSWYEGWFNRSPWLTTLLSALAGPLLLLLLLLTLGPCVINKL -VQFINDRVSAVRILVLRHKYQTLDNEDNL - ->YP_009243644.1 envelope protein [Mus musculus mobilized endogenous polytropic provirus] -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANATSLLGTMTDAF -PKLYFDLCDLIGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGGPREGYCGKWGCETTGQAYWK -PSSSWDLISLKRGNTPRNQGPCYDSSAVSSDIKGATPGGRCNPLVLEFTDAGKKASWDGPKVWGLRLYRS -TGTDPVTRFSLTRQVLNIGPRVPIGPNPVITDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQQPG -TGDRLLNLVDGAYQALNLTSPDKTQECWLCLVAGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSEV -TGQGLCVGAVPKTHQALCNTTQKTSDGSYYLAAPAGTIWACNTGLTPCLSTTVLDLTTDYCVLVELWPKV -TYHSPGYVYGQFERKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATKQFEQLQAAIHTDLGAL -EKSVSALEKSLTSLSEVVLQNRRRLDLLFLKEGRLCAALKKECCFYADHTGVVRDSMAKLRERLNQRQKL -FESGQGWFEGLFNRSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLK -SIDPEEVESRE - ->YP_004680224.1 putative envelope polyprotein [PreXMRV-1] -MESPAFSKPLKDKINPWGPLIIMGILVRAGASVQRDSPHQVFNVTWKITNLMTGQTANATSLLGTMTDTF -PKLYFDLCDLVGDNWDDPEPDIGDGCRSPGGRKRTRLYDFYVCPGHTVLTGCGGPREGYCGKWGCETTGQ -AYWKPSSSWDLISLKRGNTPKGQGPCFDSSVGSGSIQGATPGGRCNPLVLEFTDAGKRASWDAPKTWGLR -LYRSTGADPVTLFSLTRQVLNVGPRVPIGPNPVITEQLPPSQPVQIMLPRPPRPPPSGAASMVPGAPPPS -QQPGTGDRLLNLVEGAYQALNLTSPDKTQECWLCLVSGPPYYEGVAVLGTYSNHTSAPANCSVTSQHKLT -LSEVTGQGLCIGAVPKTHQALCNTTQKTSDGSYYLASPAGTIWACSTGLTPCLSTTVLNLTTDYCVLVEL -WPKVTYHSPNYVYGQFEKKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVKTQQFEQLQAAIHTD -LGALEKSVSALEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGVVRDSMAKLRERLNL -RQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLILLLGPCILNRLVQFVKDRISVVQALVLTQQY -HQLKSIEPEEVESRE - ->YP_001165472.1 hypothetical protein WMSV_gp4, partial [Woolly monkey sarcoma virus] -LERQKNQNWYEGWFNSSPWFTTLLSTIAGPLLLLLLLLILGPCIINRLVQFINNRVSAVKILVLRQKYQT -LDNEDNL - ->NP_598373.1 envelope protein fragment [Moloney murine sarcoma virus] -MGPLIVLLMILLFGPCILNRLVQFVKDRISVVQALALTQQYHQLKPIEYEP - ->NP_598370.1 env p15E protein fragment [Abelson murine leukemia virus] -MGPLIVLLMILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPIEYEP - ->NP_047256.1 Env polyprotein [Feline leukemia virus] -MESPTHPKPSKDKTLSWNLAFLVGILFTIDIGMANPSPHQIYNVTWVITNMQTNTQANATSMLGTLTDAY -PTLHVDLCDLVGDTWEPIVLDPTNVKHGARYSSSKYGCKTTDRKKQQQTYPFYVCPGHAPSLGPKGTHCG -GAQDGFCAAWGCETTGEAWWKPSSSWDYITVKRGSSQDNSCEGKCNPLILQFTQKGRQASWDGPKIWGLR -LYRTGYDPIALFTVSRQVSAITPPQAMGPNLVLPDQKPPSRQSQTGSKVATQRLQTTESAPRSVAPTTVG -PKRIGTGDRLINLVQGTYLALNATDPNKTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPPSCLSTPQHKL -TISEVSGQGLCIGTVPKTHQALCNETQQGHTGAHYLAAPNGAYWACNTGLTPCISMAVLNWTSDFCVLIE -LWPRVTYHQPEYVYTHFAKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLETAQFRQLQMAMHT -DIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCFYADHTGLVRDNMAKLRERLK -QRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLILLFGPCILNRLVQFVKDRISVVQALILTQQ -YQQIKQYDPDRP - ->NP_043519.1 hypothetical protein [Murine type C retrovirus] -MEGPAFSKPLKDKINPWGPLIVIGILVRAGASVQRDSPHQVFNVTWRVTNLMTGQNSNATSLLGTMTDTF -PKLYFDLCDLVGDHWDDPEPDIGDGCRSPGGRKRTRLYDFYVCPGHTVPIGCGGPGEGYCGKWGCETTGQ -AYWKPSSSWDLISLKRGNTPKDQGPCYDSSVSSGVQGATPGGRCNPLVLEFTDAGKKASWDAPKVWGLRL -YRSTGADPVTRFSLTRQVLNVGPRVPIGPNPVITEQLPPSQPVQIMLPRPPHPPPSGAASMVPGAPPPSQ -QPGTGDRLLNLVKGAYQALNLTSPDRTQGCWLCLVSGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTL -SEVTGQGLCVGAVPKTHQALCNTTQKASDGSYYLAAPAGTIWACNTGLTPCLSTTVLNLTTDYCVLVELW -PKVTYHSPGYVYDQFERKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATKQFEQLQAAIHTDL -GALEKSVSALEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGVVRDSMAKLRERLNQR -QKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLILLLGPCILNRLVQFVKDRISVVQALVLTQQYH -QLKSIDPEEVESRE - ->NP_057935.1 gPr80 [Moloney murine leukemia virus] -MARSTLSKPLKNKVNPRGPLIPLILLMLRGVSTASPGSSPHQVYNITWEVTNGDRETVWATSGNHPLWTW -WPDLTPDLCMLAHHGPSYWGLEYQSPFSSPPGPPCCSGGSSPGCSRDCEEPLTSLTPRCNTAWNRLKLDQ -TTHKSNEGFYVCPGPHRPRESKSCGGPDSFYCAYWGCETTGRAYWKPSSSWDFITVNNNLTSDQAVQVCK -DNKWCNPLVIRFTDAGRRVTSWTTGHYWGLRLYVSGQDPGLTFGIRLRYQNLGPRVPIGPNPVLADQQPL -SKPKPVKSPSVTKPPSGTPLSPTQLPPAGTENRLLNLVDGAYQALNLTSPDKTQECWLCLVAGPPYYEGV -AVLGTYSNHTSAPANCSVASQHKLTLSEVTGQGLCIGAVPKTHQALCNTTQTSSRGSYYLVAPTGTMWAC -STGLTPCISTTILNLTTDYCVLVELWPRVTYHSPSYVYGLFERSNRHKREPVSLTLALLLGGLTMGGIAA -GIGTGTTALMATQQFQQLQAAVQDDLREVEKSISNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKE -ECCFYADHTGLVRDSMAKLRERLNQRQKLFESTQGWFEGLFNRSPWFTTLISTIMGPLIVLLMILLFGPC -ILNRLVQFVKDRISVVQALVLTQQYHQLKPIEYEP - ->NP_041218.1 precursor protein PR-env [Spleen focus-forming virus] -MKGPAFSKPLKDKINPWGPLIVLGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANATSLLGTMTDAF -PMLHFDLCDLIGDDWDETGLECRTPGGRKRARTFDFYVCPGHTVPTGCGGPREGYCGKWGCETTGQAYWK -PSSSWDLISLKRGNTPKDRGPCYDSSVSSGVQGATPGGRCNPLVLKFTDAGKKASWDSPKVWGLRLYRPT -GIDPVTRFSLTRQVLNIGPRIPIGPNPVIIGQLPPSRPVQVRLPRPPQPPPTGAASMVPGTAPPSQQPGT -GDRLLNLVQGAYQALNLTNPDKTQECWLCLVSGPPYYEGVAVLGTNSNHTSALKEKCCFYADHTGLVRDS -MAKLRKRLTQRQKLFESSQGWFEGSFNRSPWFTTLISTIMGLLIILLLLLILLLWTLHS - ->NP_040334.1 envelope protein [Friend murine leukemia virus] -MACSTLSKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVWAISGNHPLWT -WWPVLTPDLCMLALSGPPHWGLEYQAPYSSPPGPPCCSGSSGNVAGCARDCNEPLTSLTPRCNTAWNRLK -LDQVTHKSSEGFYVCPGSHRPREAKSCGGPDSFYCASWGCETTGRVYWKPSSSWDYITVDNNLTSNQAVQ -VCKDNKWCNPLAIRFTNAGKQVTSWTTGHYWGLRLYVSGQDPGLTFGIRLSYQNLGPRIPIGPNPVLADQ -LSFPLPNPLPKPAKSPPASSSTPTLISPSPTPTQPPPAGTGDRLLNLVQGAYQALNLTNPDKTQECWLCL -VSGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSEVTGRGLCIGTVPKTHQALCNTTLKAGKGSYYL -VAPTGTMWACNTGLTPCLSATVLNRTTDYCVLVELWPRVTYHPPSYVYSQFEKSHRHKREPVSLTLALLL -GGLTMGGIAAGVGTGTTALVATQQFQQLHAAVQDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLK -EGGLCAALKEECCFYADHTGLVRDSMAKLRERLSQRQKLFESSQGWFEGWFNRSPWFTTLISTIMGPLII -LLLILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPLEYEPQ - ->sp|P26803.1|ENV_MLVFP RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MACSTLSKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVWAISGNHPLWT -WWPDLTPDLCMLALSGPPHWGLEYRAPYSSPPGPPCCSGSSGNRAGCARDCDEPLTSLTPRCNTAWNRLK -LDQVTHKSSGGFYVCPGSHRPRKAKSCGGPDSFYCASWGCETTGRAYWKPSSSWDYITVDNNLTTNQAAQ -VCKDNKWCNPLAIQFTNAGKQVTSWTIGHYWGLRLYVSGQDPGLTFGIRLKYQNLGPRVPIGPNPVLADQ -LSFPLPNPLPKPAKSPSASNSTPTLISPSPAPTQPPPAGTGDRLLNLVQGAYQALNLTNPDKTQECWLCL -VSAPPYYEGVAVLGTYSNHTSAPANCSAGSQHKLTLSEVTGQGLCIGTVPKTHQALCNTTLKTGKGSYYL -VAPAGTMWACNTGLTPCLSATVLNRTTDYCVLVELWPRVTYHPPSYVYSQFEKSYRHKREPVSLTLALLL -GGLTMGGIAAGVGTGTTALVATQQFQQLHAAVQDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLK -EGGLCAALKEECCFYADHTGLVRDSMAKLRERLTQRQKLFESSQGWFEGLFNRSPWFTTLISTIMGPLII -LLLILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPLEYEPQ - ->sp|P03390.3|ENV_MLVF5 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MACSTLPKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVWAISGNHPLWT -WWPVLTPDLCMLALSGPPHWGLEYQAPYSSPPGPPCCSGSSGSSAGCSRDCDEPLTSLTPRCNTAWNRLK -LDQVTHKSSEGFYVCPGSHRPREAKSCGGPDSFYCASWGCETTGRVYWKPSSSWDYITVDNNLTTSQAVQ -VCKDNKWCNPLAIQFTNAGKQVTSWTTGHYWGLRLYVSGRDPGLTFGIRLRYQNLGPRVPIGPNPVLADQ -LSLPRPNPLPKPAKSPPASNSTPTLISPSPTPTQPPPAGTGDRLLNLVQGAYQALNLTNPDKTQECWLCL -VSGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSEVTGRGLCIGTVPKTHQALCNTTLKIDKGSYYL -VAPTGTTWACNTGLTPCLSATVLNRTTDYCVLVELWPRVTYHPPSYVYSQFEKSYRHKREPVSLTLALLL -GGLTMGGIAAGVGTGTTALVATQQFQQLHAAVQDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLK -EGGLCAALKEECCFYADHTGLVRDSMAKLRERLTQRQKLFESSQGWFEGLFNRSPWFTTLISTIMGPLII -LLLILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPLEYEP - ->sp|P21444.2|ENV_FLVC1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Flags: Precursor -MEGPTHPKPSKDKTFSWDLIILVGVLLRLDVGMANPSPHQVYNITWTITNLVTGTKANATSMLGTLTDAF -PTLYFDLCDIIGNTWNPSGQEPFPGYGCDQPMRRWQQRNTAFYVCPGHANRKQCGGPQDGFCAVWGCETT -GETYWKPTSSWDYITVKKGVTQGIYQCSGGGWCGPCYDKAVHSSTTGASEGGRCNPLILQFTQKGRQTSW -DGPKSWGLRLYRSGYDPIALFSVSRQVMTITPPQAMGPDPVLPDQKPPSRTVSPQLNVIPHPS - ->sp|Q02077.1|ENV_FLVCS RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Flags: Precursor -MANPSPHQIYNVTWVITNVQTNTQANATSMLGTLTDAYPTLHVDLCDLVGDTWEPIVLNPTSVRPGAVLL -SSSPKYGCKTTDRKKQQQTYPFYVCPGHAPSLGPKGTHCGGAQDGFCAAWGCETTGEAWWKPTSSWDYIT -VKRGSSPDNSCEGKCNPLVLQFTQKGRQASWDGPKMWGLRLYRTGYDPIALFTVSRQVSTITPPQAMGPN -LVLPDQKPPSRQSQTGSKVATQRPQTNESAPRSVGPTTMGPKRIGTGDRLINLVQGTYLALNATDPNKTK -DCWLCLVSRPPYYEGIAILGNYSNQTNPPPSCLSTPQHKLTISEVSGQGLCIGTVPKTHQALCNKTQQGH -TGAHYLAAPNGTYWACNTGLTPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFAKAVRFRREPISL -TVALMLGGLTVGGIAA - ->sp|Q02076.1|ENV_FLVCA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E -PHQIYNVTWVITNVQTNTQANATSMLGTLTDAYPTLHVDLCDLVGNTWEPIVPDLRGWASYSSSKYGCKT -ADRKKQQQTYPFYVCPGHAPSLGPKGTHCGGAQDGFCAAWGCETTGEAWWKPTSSWDYITVKRGSSQDNS -CEGKCNPLVLQFTQKGRQASWDGPKMWGLRLYRTGYDPIALFTVSRQVSTITPPQAMGPNLVLPDRKPPS -RQSQTGSKVATQRPQTNESAPRSIAPTTMGPKRIGTGDRLINLVQGTYLALNATDPNKTKDCWLCLVSRP -PYYEGIAILGNYSNQTNPPPSCLSIPQHKLTISEVSGQGLCIGTVPKTHQALCNETQQGHTGAHYLAAPN -GTYWACNTGLTPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFAKAVRFRREPISL - ->sp|P03395.2|ENV_MLVFR RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70 -AAPGSSPHQVYNITWEVTNGDRETVWAISGNHPLWTWWPVLTPDLCMLALHGPPHWGLEYQAPYSSPPGP -PCCSGSGGSSPGCSRDCNEPLTSLTPRCNTAWNRLKLDQVTHKSSEGFYVCPGSHRPREAKSCGGPDSFY -CASWGCETTGRAYWKPSSSWDYITVDNNLTTNQAVQVCKDNKWCNPLAIQFTNAGRQVTSWITGHYWGLR -LYVSGQDPGLTFGIRLKYQNLGPRVPIGPNPVLADQLSFPLPNPLPKPAKSPPVSNSTPTMISPSPTPTQ -PPPAGTGDRLLNLVQGAYQALNLTNPDKTQECWLCLVSGPPYYEGVAVLGTYSNHTSAPTNCSVASQHKL -TLSEVTGRGLCIGTVPKTHQALCNTTLKTNKGSYYLVAPAGTTWACNTGLTPCLSATVLNRTTDYCVLVE -LWPRVTYHPPSYVYSQFEKSYRHKR - ->sp|P40932.1|ENV_MPLV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Flags: Precursor -MACSTLPKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVWAISGRLYVSG -RDPGLTFGIRLRYQNLGPRVPIGPNPVLAD - ->sp|Q27ID8.1|ENV_XMRV6 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; Contains: RecName: Full=Transmembrane protein; Short=TM; Contains: RecName: Full=R-peptide; Flags: Precursor -MESPAFSKPLKDKINPWGPLIIMGILVRAGASVQRDSPHQVFNVTWKITNLMTGQTANATSLLGTMTDTF -PKLYFDLCDLVGDNWDDPEPDIGDGCRSPGGRKRTRLYDFYVCPGHTVLTGCGGPREGYCGKWGCETTGQ -AYWKPSSSWDLISLKRGNTPKGQGPCFDSSVGSGSIQGATPGGRCNPLVLEFTDAGKRASWDAPKTWGLR -LYRSTGADPVTLFSLTRQVLNVGPRVPIGPNPVITEQLPPSQPVQIMLPRTPRPPPSGAASMVPGAPPPS -QQPGTGDRLLNLVEGAYLALNLTSPDKTQECWLCLVSGPPYYEGVAVLGTYSNHTSAPANCSVTSQHKLT -LSEVTGQGLCIGAVPKTHQALCNTTQKTSDGSYYLASPAGTIWACSTGLTPCLSTTVLNLTTDYCVLVEL -WPKVTYHSPNYVYGQFEKKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATKQFEQLQAAIHTD -LGALEKSVSALEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGVVRDSMAKLRERLNQ -RQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLILLFGPCILNRLVQFVKDRISVVQALVLTQQY -HQLKSIDPEEVESRE - ->sp|P21415.2|ENV_GALV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MVLLPGSMLLTSNLHHLRHQMSPGSWKRLIILLSCVFGGGGTSLQNKNPHQPMTLTWQVLSQTGDVVWDT -KAVQPPWTWWPTLKPDVCALAASLESWDIPGTDVSSSKRVRPPDSDYTAAYKQITWGAIGCSYPRARTRM -ASSTFYVCPRDGRTLSEARRCGGLESLYCKEWDCETTGTGYWLSKSSKDLITVKWDQNSEWTQKFQQCHQ -TGWCNPLKIDFTDKGKLSKDWITGKTWGLRFYVSGHPGVQFTIRLKITNMPAVAVGPDLVLVEQGPPRTS -LALPPPLPPREAPPPSLPDSNSTALATSAQTPTVRKTIVTLNTPPPTTGDRLFDLVQGAFLTLNATNPGA -TESCWLCLAMGPPYYEAIASSGEVAYSTDLDRCRWGTQGKLTLTEVSGHGLCIGKVPFTHQHLCNQTLSI -NSSGDHQYLLPSNHSWWACSTGLTPCLSTSVFNQTRDFCIQVQLIPRIYYYPEEVLLQAYDNSHPRTKRE -AVSLTLAVLLGLGITAGIGTGSTALIKGPIDLQQGLTSLQIAIDADLRALQDSVSKLEDSLTSLSEVVLQ -NRRGLDLLFLKEGGLCAALKEECCFYIDHSGAVRDSMKKLKEKLDKRQLERQKSQNWYEGWFNNSPWFTT -LLSTIAGPLLLLLLLLILGPCIINKLVQFINDRISAVKILVLRQKYQALENEGNL - ->sp|P21445.2|ENV_FSVSM RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPTHPKPFKDKTFSWDLIILVGVVRVLLRLDVGMANPSPHQVYNVTWVITNVQTNSQANATSMLGTLT -DAYPTLHVDLCDLVGDTWEPIVLDPSNVKHGARYSSSKYGCKTTDRKKQQQTYPFYVCPGHAPSMGPKGT -HCGGAHDGFCAAWGCETTGEAWWKPTSSWDYITVKRGSSQDTSCDKNCNPLVLQFTQKGRQASWDGPKLW -GLRLYRTGYDPIALFSVSRQVSTIMPPQAMGPNLVLPEQKPPSRQSQTKSKVATQKPQTNGTTPRSVAPA -TMSPKRIGTRDRLINLVQGTYLALNATDPNKTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPPSCLSTPQ -HKLTISEVSGQGLCIGTVPRTHQALCNKTQQGHTGAHYLAAPNGTYWACNTGLTPCISMAVLNWTSDFCV -LIELWPRVTYHQPEYIYTHFDKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLETAQFRQLQIA -MHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQGGGLCAALKEECCFYADHTGLVRDNMAKLRE -RLKQRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLILLFGPCILNRLVQFVKDRISVVQALIL -TQQYQQIQQYDPDRP - ->sp|P31794.1|ENV_MLVRK RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 76; Short=gp76; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESTTLSKPFKNQVNPWGPLIVLLILGRVNPVALGNSPHQVFNLSWEVTNEDRETVWAITGNHPLWTWWP -DLTPDLCMLALHGPSYWGLEYQAPFSPPPGPPCCSRSSGSTPGCSRDCEEPLTSYTPRCNTAWNRLKLSK -VTHAHNEGFYVCPGPHRPRWARSCGGPESFYCASWGCETTGRASWKPSSSWDYITVSNNLTSGQATPVCK -NNTWCNSLTIRFTSLGKQATSWVTGHWWGLRLYVSGHDPGLIFGIRLKITDSGPRVPIGPNPVLSDQRPP -SQPRSPPHSNSTPTETPLTLPEPPPAGVENRLLNLVKGAYQALNLTSPDRTQECWLCLVSGPPYYEGVAV -LGTYSNHTSAPANCSVASQHKLTLSEVTGRGLCVGAVPKTHQALCNTTQNTSGGSYYLAAPAGTIWACNT -GLTPCLSTTVLNLTTDYCVLVELWPRVTYHSPSYVYHQFEGRAKYKREPVSLTLALLLGGLTMGGIAAGV -GTGTTALVATQQLQAAVHDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFY -ADHTGVVRDSMAKLRERLNQRQKLFESGQGWFERLFNGSPWFTTLISTIMGPLIVLLLILLLGPCILNRL -VQFVKDRISVVQALVLTQQYHQLKSIDPEEMESRE - ->sp|P31793.1|ENV_FRSFB RecName: Full=Glycoprotein 42; Short=gp42; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIVLGILIRAGVSVQRDSPHQVFNVTWRVTNLMTGQTANATSLLGTMTDAF -PKLYFDLCDLIGNDWDETRLGCRTPGEGKRARTFDLYVCPGHTVPTGCGGPREGYCGKWGCETTGQAYWK -PSSSWDLISLKRGNTPKDRGPCYDSSVSSGVQGATPGGRCNPLVLKFTDAGKKASWDAPKVWGLRLYRST -GTDPVTRFSLTRQVLNIGPRVPIGPNPVISDQLPPSRPAQIMLPRPPQPPPPGTASIVPETAPPSQQPGT -RDRLLNLVNKAYQALNLTSPDKTQECWLCLVSRPPYYEGVAVLGTNSNHTTLISTIMGLLIILLLLLILL -LWTLHS - ->sp|P31791.1|ENV_FENV1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MKPPAGMVFLWVLTSLGAGIGAKIVKEGNPHQVYTLTWQIYSQSGEVVWEVQGNHALNTWWPALTPDFCQ -LAAGLDTWDIPDRSPKNLETSMEGTSQQLTPQGCSKPWKRCALTERDFYVCPRDNRDRATAHRCGGYEEY -FCSAWGCETTGDAYWQPTSTWDLITITRNYTKPDSCDDRVERERKTSRHWRDPLSLPLKITFTDSGKRAL -GWQTGYTWGLRWYLPGKDRGIILKIKLKIDTITQTVGPNLVLADQKTPVQLAIPVQPPRAPTQTPRVNPV -NSTLSPSLGYPAPAPGPRPPYPTSPSRPGTGDRLLNLVQGVYLTLNLTAPNQTQDCWLCLTAKPPYYQGV -AIIGNFTNHTNAPLRCSTTPRHGLTLTEVTGYGLCIGKIPPSHQNLCSQTVPSVGQGPYYLTAPNGTYWV -CNTGLTPCISLQILNDTADYCILIELWPKIFYHDSEYIYGHYEPGGRFRRDPVSLTVALLLGGLTMGSLA -AGIGTGTAALIETNQFKQLQIAMHSDIQALEESISALERSLISLSEVVLQNRRGLDLLFLQEGGLCAALK -EECCFYADHTGIVRDSMAKLRERFKQRQKLFESQQGWFEGWYNKSPWFTTLVSSLMVPLILLLLILMFGP -CILNHLLQFIRERLSVIQALVLTQQYHQLRQFDAERPDAIE - ->sp|P03389.1|ENV_RSFFV RecName: Full=Glycoprotein 55; Short=gp55; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANATSLLGTMTDAF -PKLYFDLCDLIGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGGPREGYCGKWGCETTGQAYWK -PSSSWDLISLKRGNTPRNQGPCYDSSAVSSDIKGATPGGRCNPLVLEFTDAGKKASWDGPKVWGLRLYRS -TGTDPVTRFSLTRQVLNIGPRVPIGPNPVITDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQQPG -TGDRLLNLVDGAYQALNLTNPDKTQDCWLCLVSGPPYYEGVAVLGTYYNHTSALKEECCFYADHTGLVRD -SMAKLRERLTQRQKLFESSQGWFEELFNRSTWFTTLIFTIIGPLIILLLILLFWTLHS - ->sp|P06445.1|ENV_RMCFV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MACSTFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHKVFNVTWRVTNLMTGQTANATSLLGTMTDAF -PKLYFDLCDLVGDYWDDPEPDIGDGCRTPGGRRRTRLYDFYVCPGHTVPIGCGGPGEGYCGKWGCETTGQ -AYWKPSSSWDLISLKRGNTPKDQGPCYDSSVSSDIKGATPGGRCNPLVLEFTDAGKKASWDGPKVWGLRL -YRSTGTDPVTRFSLTRRVLNIGPRVPIGPNPVIIDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQ -QPGTGDRLLNLVDGAYQALNLTSPDKTQECWLCLVAEPPYYEGVAVLGTYSNHTSAPTNCSVASQHKLTL -SEVTGQGLCIGTVPKTHQALCNTTLKTNKGSYYLVAPAGTTWACNTGLTPCLSATVLNRTTDYCVLVELW -PRVTYHPPSYVYSQFEKSYRHKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLHAAVQDDL -KEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLTQR -QKLFESSQGWFEGLFNRSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFVKDRISVVQALVLTQQYH -QLKPLEYEPQ - ->sp|P21436.1|ENV_MLVHO RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 76; Short=gp76; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MDRPALPKSIKDKTNPWGPIILGILIMLGGALGKGSPHKVFNLTWEVYNQEYETVWATSGSHPLWTWWPT -LTPDLCMLAQLAKPSWGLSDYPPYSKPPGPPCCTTDNNPPGCSRDCNGPLTYLTPRCSTAWNRLKLVLTT -HHLNQGFYVCPGPHRPRHARNCGGPDDFYCAHWGCETTGQAYWKPSSSWDYIRVSNNASSSDATTACKNN -NWCSPLAISFTDPGKRATSWTSGFTWGLRLYISGHPGLIFGVRLKISDLGPRVPIGPNPVLSEQRPPSQP -EPARLPPSSNLTQGGTPSAPTGPPQEGTGDRLLDLVQGAYQALNATSPDKTQECWLCLVSSPPYYEGVAV -VGPYSNHTTAPANCSADSQHKLTLSEVTGKPLPRKGSQDPPGPVQYHSGARQKYSLSGGSRGTMWACNTG -LTPCLSTAVLNLTTDYCVLVELWPRVTYHSLDFVYRQVEGRTRYQREPVSLTLALLLGGLTMGGIAAGVG -TGTSALVKTQQFEQLHAAIQADLKEVESSITNLEKSLTSLSEVVLQNRRGLDLLFLEKGGLCAALKEECC -FYADHTGLVRDSMAKLRERLNQRQKLFEAGQGWFEGLFNRSPWLTTLISTIMGPLIILLLILMFGPCILN -RLVQFVKDRISVVQALVLTQQYHQLKPLEHGRAIVK - ->sp|P08360.1|ENV_MLVCB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPAFSKSPKDKTIERAFLGVLGILFVTGGLASRDNPHQVYNITWEVTNGEQDTVWAVTGNHPLWTWWP -DLTPDLCMLALHGPTHWGLDNHPPYSSPPGPPCCSGDAGAVSGCARDCDEPLTSYSPRCNTAWNRLKLAR -VTHAPKEGFYICPGSHRPRWARSCGGLDAYYCASWGCETTGRAAWNPTSSWDYITVSNNLTSSQATKACK -NNGWCNPLVIRFTGPGKRATSWTTGHFWGLRLYISGHDPGLTFGIRLKVTDLGPRVPIGPNPVLSDQRPP -SRPVPARPPPPSASPSTPTIPPQQGTGDRLLNLVQGAYLTLNMTDPTRTQECWLCLVSEPPYYEGVAVLR -EYTSHETAPANCSSGSQHKLTLSEVTGQGRCLGTVPKTHQALCNRTEPTVSGSNYLVAPEGTLWACSTGL -TPCLSTTVLNLTTDYCVLVELWPKVTYHSPDYVYTQFEPGARFRREPVSLTLALLPEGLTMGGIAAGVGT -GTTALVATQQFQQLQAAMHNDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCF -YADHTGLVRDSMAKLRERLNQRQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLILLFGPCILNR -LVQFVKDRISVVQALVLTQQYHQLKPIEYEP - ->sp|P03386.1|ENV_MLVAV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESTTLSKPFKNQVNPWGPLIVLLILGGVNPVTLGNSPHQVFNLTWEVTNGDRETVWAITGNHPLWTWWP -DLTPDLCMLALHGPSYWGLEYRAPFSPPPGPPCCSGSSDSTPGCSRDCEEPLTSYTPRCNTAWNRLKLSK -VTHAHNGGFYVCPGPHRPRWARSCGGPESFYCASWGCETTGRASWKPSSSWDYITVSNNLTSDQATPVCK -GNEWCNSLTIRFTSFGKQATSWVTGHWWGLRLYVSGHDPGLIFGIRLKITDSGPRVPIGPNPVLSDRRPP -SRPRPTRSPPPSNSTPTETPLTLPEPPPAGVENRLLNLVKGAYQALNLTSPDKTQECWLCLVSGPPYYEG -VAVLGTYSNHTSAPANCSVASQHKLTLSEVTGQGLCIGAVPKTHQVLCNTTQKTSDGSYYLAAPTGTTWA -CSTGLTPCISTTILDLTTDYCVLVELWPRVTYHSPSYVYHQFERRAKYKREPVSLTLALLLGGLTMGGIA -AGVGTGTTALVATQQFQQLQAAMHDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALK -EECCFYADHTGLVRDSMAKLRERLSQRQKLFESQQGWFEGLFNKSPWFTTLISTIMGPLIILLLILLFGP -CILNRLVQFIKDRISVVQALVLTQQYHQLKTIEDCKSRE - ->sp|P03388.1|ENV_MCFF3 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANATSLLGTMTDAF -PKLYFDLCDLVGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGGPREGYCGKWGCETTGQAYWK -PSSSWDLISLKRGNTPRNQGPCYDSSAVSSDIKGATPGGRCNPLVLEFTDAGKKASWDGPKVWGLRLYRS -TGTDPVTRFSLTRQVLNIGPRVPIGPNPVITDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQQLG -TGDRLLNLVNGAYQALNLTSPDKTQECWLCLVAGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSGV -AGRGLCIAAFPKTHQALCNTTQKTSDGSYHLAAPAGTIWACNTGLTPCLSTTVLDLTTDYCVLVELWPKV -TYHSPSYVYGQFEKKKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLQAAMHDDLKE -VEKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLSQRQK -LFESQQGWFEGLFNKSPWFTTLISTIMGPLIILLLILLFGPWILNRLVQFIKDRISVVQALVLTQQYHQL -KTIGDCKSRE - ->sp|P15073.1|ENV_MCFF RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANATSLLGTMTDAF -PKLYFDLCDLIGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGGPREGYCGKWGCETTGQAYWK -PSSSWDLISLKRGNTPRNQGPCYDSSVVSSGIQGATPGGRCNPLVLEFTDAGKKASWDGPKVWGLRLYRS -TGIDPVTRFSLTRQVLNIGPRLPIGPNPVITGQLPPSRPVQIRLPRPPQPPPPGAASIVPETAPPSQQPG -TGDRLLNLVDGAYQALNLTSPDKTQECWLCLVAGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSEV -TGQGLCVGAVPKTHQALCNTTQKTSDGSYYLAAPAGTIWACNTGLTPCLSTTVLNLTTDYCVLVELWPKV -TYHSPDYVYTQFEPGARFRREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLQAAVHNDLKEV -EKSITNLEKSLTSLSEVALQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLNQRQKL -FESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLK -PIEYEP - ->sp|P03391.1|ENV_FSVGA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESPTHPKPSKDKTLSWNLVFLVGILFTIDIGMANPSPHQVYNVTWTITNLVTGTKANATSMLGTLTDAF -PTMYFDLCDIIGNTWNPSDQEPFPGYGCDQPMRRWQQRNTPFYVCPGHANRKQCGGPQDGFCAVWGCETT -GETYWRPTSSWDYITVKKGVTQGIYQCSGGGWCGPCYDKAVHSSTTGASEGGRCNPLILQFTQKGRQTSW -DGPKSWGLRLYRSGYDPIALFSVSRQVMTITPPQAMGPNLVLPDQKPPSRQSQIESRVTPHHSQGNGGTP -GITLVNASIAPLSTPVTPASPKRIGTGDRLINLVQGTYLALNATDPNRTKDCWLCLVSRPPYYEGIAILG -NYSNQTNPPPSCLSIPQHKLTISEVSGQGLCIGTVPKTHQALCNETQQGHTGAHYLAAPNGTYWACNTGL -TPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFAKAARFRREPISLTVALMLGGLTVGGIAAGVGT -GTKALIETAQFRQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCF -YADHTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLILLFGPCILNR -LVQFVKDRISVVQALILTQQYQQIKQYDPDRP - ->sp|P06752.1|ENV_FLVSA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESPTHPKPSKDKTFPWNLVFLVGILFQIDMGMANPSPHQVYNVTWVITNVQTNSRANATSMLGTLTDAY -PTLYVDLCDLVGDTWEPIAPDPRSWARYSSSTHGCKTTDRKKQQQTYPFYVCPGHAPSMGPKGTYCGGAQ -DGFCAAWGCETTGEAWWKPTSSWDYITVKRGSNQDNSCKGKCNPLVLQFTQKGRQASWDRPKMWGLRLYR -SGYDPIALFSVSRQVMTITPPQAMGPNLVLPDQKPPSRQSQTKSKVTTQRPQITSSTPRSVASATMGPKR -IGTGDRLINLVQGTYLALNATDPNKTKDCWLCLVSRPPYYEGIAVLGNYSNQTNPPPSCLSTPQHKLTIS -EVSGQGLCIGTVPKTHQALCKKTQKGHKGTHYLAAPNGTYWACNTGLTPCISMAVLNWTSDFCVLIELWP -RVTYHQPEYIYTHFDKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLETAQFRQLQIAMHTDIQ -ALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCFYADHTGLVRDNMAKLRERLKQRQ -QLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLILLLGPCILNRLVQFVKDRISVVQALILTQQYQQ -IQQYDSDRP - ->sp|P11261.1|ENV_FLVLB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPTHPKPSKDKTFSWDLMILVGVLLRLDVGMANPSPHQIYNVTWTITNLVTGTKANATSMLGTLTDAF -PTMYFDLCDIIGNTWNPSDQEPFPGYGCDQPMRRWQQRNTPFYVCPGHANRKQCGGPQDGFCAVWGCETT -GETYWRPTSSWDYITVKKGVTQGIYQCSGGGWCGPCYDKAVHSSITGASEGGRCNPLILQFTQKGRQTSW -DGPKSWGLRLYRSGYDPIALFSVSRQVMTITLPQAMGPNLVLPDQKPPSRQSQIESRVTPHHSQGNGGTP -GITLVNASIAPLSTPVTPASPKRIGTGNRLINLVQGTYLALNVTNPNKTKDCWLCLVSRPPYYEGIAVLG -NYSNQTNPPPSCLSDPQHKLTISEVSGQGSCIGTVPKTHQALCKKTQKGHKGTHYLAAPSGTYWACNTGL -TPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFDKTVRLRREPISLTVALMLGGLTVGGIAAGVGT -GTKALLETAQFGQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCF -YADHTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLILLFGPCILNR -LVQFVKDRISVVQALILTQQYQQIKQYDPDQP - ->sp|P08359.1|ENV_FLVGL RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESPTHPKPSKDKTLSWNLAFLVGILFTIDIGMANPSPHQIYNVTWVITNVQTNTQANATSMLGTLTDAY -PTLHVDLCDLVGDTWEPIVLNPTNVKHGARYSSSKYGCKTTDRKKQQQTYPFYVCPGHAPSLGPKGTHCG -GAQDGFCAAWGCETTGEAWWKPTSSWDYITVKRGSSQDNSCEGKCNPLVLQFTQKGRQASWDGPKMWGLR -LYRTGYDPIALFTVSRQVSTITPPQAMGPNLVLPDQKPPSRQSQTGSKVATQRPQTNESAPRSVAPTTMG -PKRIGTGDRLINLVQGTYLALNATDPNKTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPPSCLSTPQHKL -TISEVSGQGMCIGTVPKTHQALCNKTQQGHTGAHYLAAPNGTYWACNTGLTPCISMAVLNWTSDFCVLIE -LWPRVTYHQPEYVYTHFAKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLETAQFRQLQMAMHT -DIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCFYADHTGLVRDNMAKLRERLK -QRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLILLFGPCILNRLVQFVKDRISVVQALILTQQ -YQQIKQYDPDRP - ->sp|P21443.1|ENV_FLVC6 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPTHPKPSKDKTFSWDLIILVGVLLRLDAGMANPSPHQVYNITWTITNLVTGIKANATSMLGTLTDTF -PTIYFDLCDIIGNTWNPSDQEPFPGYGCDQPMRRWQQRNTAFYVCPGHANRKQCGGPQDGFCAVWGCETT -GETYWKPTSSWDYITVKKGVTQGIYQCNGGGWCGPCYDKAVHSSTTGASEGGRCNPLILQFTQKGRQTSW -DGPKSWGLRLYRSGYDPIALFSVSRQVMTITPPQAMGPNPVLPDQKPPSRQSQIESRVIPHHPQGNGGTP -GITLVNASIAPLSTPVTPASPKRIGTGNRLINLVQGTYLTLNVTNPNKTKDCWLCLVSRPPYYEGIAVLG -NYSNQTNPPPSCLSVPQHKLTISEVSGQGLCIATVPKTHQALCNKTQKGHRGTHYLVAPNGTYWACNTGL -TPCISMAVLNWTSDFCVLTELWPRITYHEPEYIYSHFENKPRFKRDPISLTVALMLGGITVGGMARNRNR -DCGLLETAQFRQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCTALKEECCFY -ADHTGLVRDNMAKLRERLKQRQQLFDSQQDGLEGWFNKSPWFTTLISSIMGPLMILLLILLFGPCILNRL -VQFVKDRISVVQTLVLTQQYQRLGQWRLRPTVSPQLNV - ->sp|P03394.1|ENV_FRSFL RecName: Full=Glycoprotein 55; Short=gp55; Flags: Precursor -MEGPASSKPLKDKTNPWGPLIILGILIRAGVSVQLDSPHQVSNVTWRVTNLMTGQTANATSLLGTMTEAF -PKLYFDLCDLMGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGGPREGYCGKWGCETTGQAYWK -PSSSWDLISLKRGNTPKDQGPCYDSSVSSGVLGATPGGRCNPLVLEFTDAGRKASWDAPKVWGLRLYRST -GTDPVTRFSLTRQVLDIGPRVPIGSNPVTTDQLPLSRPVQTMPPRPLQPPPPGAASIVPETAPPPQQPGA -GDRLLNLVDGAYQALNLTNPDKIQECWLCLVSGPPYYEGVVVLGTYFNHTIALKEKCCFYADHTGLVRDS -MAKLRKRLTQRQKLFESSRGWFEGSSNRSPWFTTLISAIMGSLIILLLLLILLIWTLYS - ->sp|P03387.1|ENV_MSVFB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -QFKRRAKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLQAAMHDDLKKVEKSITNLEKS -LTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLSQRQKLFESQQGWFEG -LFNKSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFIKDRISVVQALVLTQQYHQLKSIDPEKVESR -E - ->sp|P04502.1|ENV_MLVKI RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -RRARYKKEPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLQAAIHDDLKEVEKSITNLEKSLTS -LSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLSQRQKLFESQQGWFEGLFN -KSPWFTTLISTVMGPLIILLLILLFGPCILNRLVQFIKDRISVVQALVLTQQYHQLKTIGD - diff --git a/seq/clusters_seq/cluster_1030 b/seq/clusters_seq/cluster_1030 deleted file mode 100644 index 35a916a..0000000 --- a/seq/clusters_seq/cluster_1030 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009094265.1 matrix protein [Grass carp virus] -MSTLKKLFGIKKSKGTPPTYEESFATAPDMMDTHDTHSHSIQWMRYQVEMDVHLDVPLKSMSDLLGLLKN -WDIDYRGSRNKRKFYRLILFRCALDLHSTGSYSVDGSAMYANKVQGCCYVPHRFGHMPAFKREIETFRYP -VHQAGYNGVVNLRMSICDLHGEKHGLNLIKECQVISPANFRKYLDLVGLEAACSATGEWILDWTSPGPVD -MVPRVPTLFLRD - ->YP_009094204.1 matrix protein [Tench rhabdovirus] -MSTLRKIFGIKKSKGTPPTYEESFATAPVMMDTHDTHSHSIQWMRYQVEMDVHVDVPLKSMSDLLGLLKS -WDSDYKGSKNKRRFYRLALFRCALDLHSSGSYSTDGSAMYSNRVQGNCYVPHRFGQMPAFRREIETFRYP -VHQSGYNGVINLRLSICDLHGEKHGLNLLKECQGISPANFKKYLDLVGLEAACSATGEWILDWTSPGPID -VVPRVPTLFLRD - ->YP_009094123.1 matrix protein [Pike fry sprivivirus] -MSTLRKIFGIKKSKGTPPTYEESFATAPVMMDTHDTHSHSIQWMRYQVEMDVHVDVPLKSMSDLLGLLKN -WDADYKGSKNKRRFYRLVLFRCALDLHSSGSYSADGSAMYSTRVQGSCYVPHRFGQMPAFRREIETFRYP -VHQSGYNGVINLRLSICDLHGEKHGFNLLRECQEISPANFKKYLDLVGLEAACSATGEWILDWTSPGPID -VVPKVPTFFLRD - ->NP_116746.1 matrix protein [Carp sprivivirus] -MSTLRKLFGTKKSKGTPPTYEETLATAPVLMDTHDTHSHSLQWMRYHVELDVKLDTPLKTMSDLLGLLKN -WDVDYKGSRNKRRFYRLIMFRCALELKHVSGTYSVDGSALYSNKVQGSCYVPHRFGQMPPFKREIEVFRY -PVHQHGYNGMVDLRMSICDLNGEKIGLNLLKECQVAHPNHFQKYLEEVGLEAACSATGEWILDWTFPMPV -DVVPRVPSLFMGD - diff --git a/seq/clusters_seq/cluster_1031 b/seq/clusters_seq/cluster_1031 deleted file mode 100644 index ff5688f..0000000 --- a/seq/clusters_seq/cluster_1031 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009094083.1 nonstructural protein C [Cedar virus] -MASLLSILYRKIRKNYSILTEDPPSESHPQVSGLKSGRNLFERSLLDLNKFKGEDLRLRSQAIMEIEAIL -PILIREAESQDNSKKGIKNGGHKIQNYNWTQWLYTISSMTREGRIPTMENMTAALKNGIISEKEHDRIST -IISLLMNYCPAYNHLLRTMSSRMKVHQCQICMLQEIN - ->YP_009091835.1 hypothetical protein [Ghana virus] -MASKLLNSFRKIRMRSKRPMEDPKFKSQEPEKEQQPGSSLFGVRIQDPKEIEWLEWINKIQLRDEMTMAD -PILLPEMERLEKAVPIISHGPAGEMIYSWVQWYKTLRSMIMELNYPTLEGLNQLLDAGVLTRAEHQIGKE -VLRVISTICPTYQVVGKILETI - ->NP_112024.1 C protein [Nipah henipavirus] -MMASILLTLFRRTKKKYRRHTDDQVFNNPASKIKQKPGKIFCSAPVENLNKLRGECLRMMEMLKEETWRI -YPVLLPQMELLERECRTPVTGQKVQMTYNWTQWLQTLYTMIMEENVPDMDLLQALREGGVITHQEQTMGM -YVLYLMQRCCPMLPKLQFLKKIGKLI - ->NP_047109.1 nonstructural protein C [Hendra henipavirus] -MMASILLTLFRRTKKKYKRHTDDQASNNQVPKTGQEHGRTSCRAPVENMNRLRGECLRMMEVLKEEMWRI -YPVLLPQMELLDKECQTPELGQKTQMTYNWTQWLQTLYTMIMEENVPDMDLLQALREGGVITCQEHTMGM -YVLYLIQRCCPMLPKLQFLKKLGKLI - diff --git a/seq/clusters_seq/cluster_1032 b/seq/clusters_seq/cluster_1032 deleted file mode 100644 index 9e72c46..0000000 --- a/seq/clusters_seq/cluster_1032 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009058935.1 CP [Rose leaf rosette-associated virus] -MALVDDFTDLGTIVVADSTAMSFEGSKKVAEELVFELKKTNNLFDVDKLKTHWAMVLYRMALLTTSPLAK -LSNEITSYKIGDKEFSFSDDQVFNFLKNHPKSSKYPNFLRSWGLGSETVYLDFMRRKKDTLHFTSRATRI -ALPEGYEFLCADFLTANNLKDNKEREIWRLARDMALTPKEGSDPVQSITSLTQLGLKTQGGLYR - ->YP_874191.1 CP [Raspberry leaf mottle virus] -MAEFTIADLKEINVADNTTLSEEVEAKITKPLLAKIETANPGFDDKGAKLLVGMILYRLALRTTSPNATF -NAHDVTTYKVDGKSVKFDDEMVFGYIANHEAIPPGIKNPLRAWGRALDQKYLKFIRPLKTTLDFNQRCNK -IGLPVGYEYLCADFLTGAGLDNQEAAILNLGRAEALKKEVGDTGHSITSIKQLGRFST - ->YP_762628.1 CP [Strawberry chlorotic fleck-associated virus] -MPEVRYSDITGLSVGNSTATNTADAALVAKDILAKVKETNPTLTDDHLNLIWGVVLLRLAVRTTSEKSLG -FEETTFKIGDVEYKIKDEDFVNVVDKHVKTRFEKNPLRHWARGSNAAYLDIARKTPNEIMSARALKCGLP -KDVGYLCADFLVGSGLSDFERTCYLQAQNHMLAEKAGIPATGTLTTIANLGYYKA - ->NP_042867.1 25-kDa coat protein [Citrus tristeza virus] -MDDETKKLKNKNKETKEGDDVVAAESSFSSVNLHIDPTLITMNDVRQLSTQQNAALNRDLFLTLKGKHPN -LPDKDKDFRIAMMLYRLAVKSSSLQSDDDATGITYTREGVEVDLSDKLWTDVVFNSKGIGNRTNALRVWG -RTNDALYLAFCRQNRNLSYGGRPLDAGIPAGYHYLCADFLTGAGLTDLECAVYIQAKEQLLKKRGADDVV -VTNVRQLGKFNTR - diff --git a/seq/clusters_seq/cluster_1033 b/seq/clusters_seq/cluster_1033 deleted file mode 100644 index 29a6b14..0000000 --- a/seq/clusters_seq/cluster_1033 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009047191.1 VP3 [Drosophila immigrans Nora virus] -MALKQEIFEQNSTLFEVLDENEVMEIKEIQSSVTAVQTQVDQQKLQLDGLARRVDLNQARNEEQFVNFNT -MLIATNTEVDKLKTTTTTLSVSVNNLERSVGELETTTQSNFDTIFRRLAITEETSSQNKSEIVTILDKID -DLEHSVESANDEIAELTDSVEDNQTAITTLSGRVTTLADRVTKLENIVQSISPYIQRQTFYRIVYYKTNG -SMRNVGFWANGYVRYSMSFQVGTSATANFFTNLTITSVISSYDYSTIPVLKGVPVRLTGSYPTGSNTFES -VSGSTAIIYDDNALV - ->YP_009047187.1 VP3 [Drosophila subobscura Nora virus] -MALKEEIFDQNTTLFSVLDENEVTETKQIQNSVTTVQTQIDQQKLQLDGLAKVVDQNQSRNEEQFVNINT -IIVGMNDDIDKLKITTTNLVQQTNSLNSSVAELETFVPRVNSLEVQTDANTKSISALEGTTDGIQDSINT -INDGIVELKDDNKDLSSQIQALDSRITILESKIKSSFIEKSVQYKISYSNNSDVQRVLNFYALGPVKYGQ -SFSVMQTPTSTFSTTLTINRPYPQLSAITYPGVPVLIGMQVSLSGTYPMGTNVFESLKDRPAIIYSEQ - ->YP_004849309.1 ORF3 [Nora virus] -MALKEEIFDQNTTLFAVLDENEVTEIKSIQASVTAVKTQLDQQKLQLDGLAKVVDNNQARNEEQFVNINT -TLVEMSLEVDKLTTTTSQQAKQINTFATALNELDQTTRDSLDTLNTTTESLTKQVLFNTDEITVLKVDVA -TVTQKQQDVEHSLETMKDEIGELHVSVNANVNSIEALRTRIAALEIRDVGPWVLKDRIYKFVINKPNGTT -RYTTIYFFADVYYSTGVRAAPTNSGTATSILTITSLTTSYSLANVPVLKGVPYRVNGYFANGNNIEDITG -STSVIYDSM - ->sp|Q27YG8.1|YORF3_NORAV RecName: Full=Uncharacterized ORF3 protein -MALKEEIFDQNTTLFAVLDENEVTEIKSIQSSVTAVKTQLDQQKLQLDGLAKVVDNNQARNEEQFVNINT -TLVEMSSEVDKLTITTSQQAKQINTLATTLNELDQTTKDSLDTLNTTTESLKKQVLFNTDEITVLKVDVA -TVTQKQQDVEHSLVTMKDEIGELHVSVNANANSIEALRTRIAALEIRDVGPWVLKDRIYKFVINKPNGTT -RYTTIYFFADVYYSTGVRAAPTNSGTATSILTITSLTTSYSLANVPVLKGVPYRVNGYFANGNNIEDITG -STSVIYDSM - diff --git a/seq/clusters_seq/cluster_1034 b/seq/clusters_seq/cluster_1034 deleted file mode 100644 index 5e79372..0000000 --- a/seq/clusters_seq/cluster_1034 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009046482.1 TGB-3 [Cherry twisted leaf associated virus] -MFSLNVMVGLIAFSITACIIMLFNSNKGSVCTVVITGERAVVTGCEITPELSNLLTNLKPHSHSLGF - ->YP_007761585.1 triple gene block protein 3 [Cherry rusty mottle associated virus] -MSVVNIVIGLTAFIISACIITIISRDSSSVCTIVVTGERAVVSGCEITQELSNLLSHLKPHTHSLGF - ->YP_002776350.1 TGB3 [African oil palm ringspot virus] -MSPEVALLVVVIFTIATVIFTELFSSRGALGSQKGCYILVTGERALVSGCELNKHTLEIVRSLKPARHF - ->NP_059941.1 7 kDa triple gene block protein [Cherry necrotic rusty mottle virus] -MRAVDLLIGLLVFSITAYTIGLFNFSGSNSCTVIITGERAVVTGCEITPELSNLLLHLKPHSHSLGF - diff --git a/seq/clusters_seq/cluster_1035 b/seq/clusters_seq/cluster_1035 deleted file mode 100644 index 0731914..0000000 --- a/seq/clusters_seq/cluster_1035 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_008873689.2 Px [Soybean yellow common mosaic virus] -MYPCGYPSTAEFVKLRLTLSSTRSWCLGIVKTASSCFVTPKGDLCESEHEEAFSLADFTTRKKRPFVSHA -SSRAVCVHALGREHGKLCYTPRFVHAGSIQVRSHGSSCGLDDPVRYRALVESVTRELPLRICPSSASSRS - ->YP_008873691.2 Px [Southern bean mosaic virus] -MGICLGLERSGRRERNTSTSVRNLSADNVSSRPLTIIPDNVSKCYLRGNLVRHPYGGVPTRITSSNSGLD -DPVRYAALVERVIRDIPL - ->YP_008873690.2 Px [Sesbania mosaic virus] -MVEFRGSTLLVKTGKCFSGLCGAQQERNTSIRVERKFAENVSSELQGLCPPPSQYGKLRDPCGHVPYGGA -QPLTRCPGGGIDDFVRYSALVERLIRDLPAQVHVGEVVS - ->YP_008873126.2 Px [Southern cowpea mosaic virus] -MSILLKFVRCLVKPLLVCGGNPSSQSTTTGTFTSRTTPSLTREYNASSTPAENVSSELPFICPPSCEHVE -LRRVRKCVHLRVIRPQPQYSNCGLDDPVRYRALVEHVRGVLRDSLREGASFA - diff --git a/seq/clusters_seq/cluster_1036 b/seq/clusters_seq/cluster_1036 deleted file mode 100644 index aa9f4be..0000000 --- a/seq/clusters_seq/cluster_1036 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_001285471.1 putative movement protein [Okra mosaic virus] -MSNGFPTSPGRPIQHKSQGSLPSSSVRVGHRLHKTLSHELSLGRPQGEPLLPPLLRHPSLKPGLLPTPPP -HPQDPRNPPSLQPLDTPRRPARLSSLHETPEVSQASKVEPQLPTPPQLPHHPEGLHSFSFFLHDSPKHPR -RFHARRPHVLSSLPDSAPLSPVPPTGSPLRLSGRPTRKLLHRSLSLPRHLQLSNSLPDPSLRPGRPLRRR -VQSAPSVPQLAEDFLHLSPRSPPHHFHPGLLGPSPQPPNHPLHPPQKPLSPPPSHPSQPLQLRHVRSKGR -GLLPHPQDAPASKPYLPQPAPSRPTRPRVGLQRPLHLYPGRSDSPSVRPRRIRPDPLQQTRAFLGHPKRL -GQPSHLRSPQLPPPSKHGVPLFPNPPLQSQALPPTALAPNNRRRRSYPLLPNPPAALPSVVFASRKGKVH -FRLPAANLPFSPPSSPPSSSPLSLPASPSPLLPRPPPPTFPGRQSPPRARFSPTPLSFIPRPLFFLSSEE -ESTSPCSSSSDFHSLPPSPQHPRPPSPPRLLGAGIVHSCLQDSTTSQPPTPRRTLPLPFTPPPLSPSVGT -QSSGPSSPDSVPAVRTSSKPTPTAAIHASRSPSPSPILPSSPSCSSPELSPFSTPLKPGHRRLLPSDLPF -QHQYSKLPAHLRSKQLTCSEIRIFQRVLPFYIDSLPSPPRP - ->NP_663296.1 overlapping protein/movement protein [Turnip yellow mosaic virus] -MSNGLPISIGRPCTHDSQRSLSAPDSRIHSGFNSLLDTDLPMVHSEGTSTPTQLLRHPNIWFGNLPPPPR -RPQDNRDFSPLHPLVFPGHHSQLRHVHETQQVQQTCPGKLKLSGAEELPPAPQRQHSLPLHITRPSRFPH -HFHARRPDVLPSVPDHGPVLXETKPRTSVRQPRSATRGPSFRPILLPKVVHVHDDPPHSSLRPRGSRSRQ -LQPTVRRPLLAPNQFHSPRQPPPLSDDPGILGPRPLAPHSTRDPPPRPITPGPSNTHXLRPLSVLPRXSP -RRGLLPNPRRHRTSTGHIPPTTTSRPTGPPSRLQRPVHLYQSSPHTPNFRPSSIRKDALLQTGPRLGHLE -RLGQPANLRTSERSPPTKRRLPRSSEPNRLPKPLPEATLAPSYRHRRPYPLLPNPPAALPSIAYTSSRGK -IHHSLPKGALPKEGAPPPPRRLPSPAPRPQLPLRDLGRTPGFPTPPKTPTRTPESRITASPTDIAPLDSD -PVLSVRTEVHAPERRTFMDPEALRSALASLPSPPRSVGIIHTAPQTVLPANPPSPTRHLPPTSPPWILQS -PVGEDAIVDSEDDSISSFHSHDFDSPSGPLRSQSPSRFRLHLRSPSTSSGIEPWSPASYDYGSAPDTD - ->sp|P28478.1|P69_TYMVC RecName: Full=69 kDa protein; AltName: Full=p69 -MSNGLPISIGRPCTHDSQRSLSAPNSRIHSGFNSLLDTDLPMVHSEGTSTPTQLLRHPNIWFGNLPPPPR -RPQDNRDFSPLHPLVFPGHHSQLRHVHETQQVQQTCPGKLKLSGAEELPPAPQRQHSLPLHITRPSRFPH -HFHARRPDVLPSVPDHGPVLAETKPRTSVRQPRSATRGPSFRPILLPKVVHVHDDPPHSSLRPRGSRSRQ -LQPTVRRPLLAPNQFHSPRQPPPLSDDPGILGPRPLAPNSTRDPPPRPITPGPSNTHGLRPLSVLPRASP -RRGLLPNPRRHRTSTGHIPPTTTSRPTGPPSRLQRPVHLYQSSPHTPNFRPSSIRKDALLQTGPRLGHLE -CLGQPANLRTSERSPPTKRRLPRSSEPNRLPKPLPEATLAPSYRHRRSYPFLPNPPAALPSIAYTSSRGK -IHHSLPKGALPKEGAPPPPRRLPSPAPHPQLPLRDLGRTPGFPTPPKTPTRTPESRITASPTDIAPLDSD -PVLSVRTEVHAPERRTFMDPEALRSALASLPSPPRSVGIIHTAPQTVLPANPPSPTRHLPPTSPPWILQS -PVGEDAIVDSEDDSISSFYSHDFDSPSGPLRSQSPSRFRLYLRSPSTSSGIEPWSPASYDYGSAPDTD - ->sp|P20131.1|P69_TYMVA RecName: Full=69 kDa protein; AltName: Full=p69 -MSNGLPISIGRPCTHDSQRSLSASDSRIHSRFDSLLDTDLPMVHSEGTSAPTQLLRHPNIWFGNIPPPPR -RPQDNRDFSPLHPLVFPGHHSQLRHVHETQQVQQTCPGELKLSGIEELPPAPQRQHSLPLHITRPSRFPH -HFHARRPDVLPSLPDHGPVLAETKPRTSVRQPRSTTRGPSFRPILLPEVVHVHDDPPHSSLRPGRSRSRQ -LQPTIRRPLLAPNQFNSPRQPPPLSDDPGILGPCPLAPNSTRDPPPRPITPGPFNTHGVRPLSVLPRAPP -RRGLLPNPRRHRTSTGHIPSTTASRPTGPPSRLQRPVHLHQSGPHTPDFRPSGIRKDAFLQTGPRLGHLE -RLGQSADLRTSERTPSTKRRLPRPSEPNCLPSSLPEATLAPSYRHRRSHPLLPNPPAALPPIAYTSGRGK -IHHSLPKGALPKEGPPPPPRRLPSPATPPQSPLRDLGRTPSFPTPPKTSTRAPESCIAAPPTDIAPLDSD -PVLSVRTEVHAPERRTFMDPEALRAALAGLPSPPLSVGIIRTASQTVLPTNSPSPTRHLPPTSSPWILQS -PVGEDAIVDSEDDSISSFHSHDFNSPSGPLRSQSPSRFRLHLRSPSTSSGIEPWSPASYDYGSAPDTD - diff --git a/seq/clusters_seq/cluster_1037 b/seq/clusters_seq/cluster_1037 deleted file mode 100644 index 6414ad9..0000000 --- a/seq/clusters_seq/cluster_1037 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_008136235.1 NSP1-2 [Rotavirus G chicken/03V0567/DEU/2003] -MVISNEKEKFPISGTKDWINSYLINPMISPVVVYEWKDDIADLGMITPFNECSVVPGEKLLIHKVCAIKH -EHWCGHLHVPDQERSFWKHESRLCMATCDKIFWPCGAKKIRIDGKTMEGDDFVRCKCGQFYPTKIIKNGD -FTVLTFCANDYAAIEIGMSYGEQCRNCKKTYRYYRAANGFEGDTRSWWRTDKLCVQCTPYKELCRIMMAG -KQIRFIENNYKEMKANFGRRIRRENRSNLAIKEVNSPSIVYKLEVVEKSSEWHNSTSEMLTAINLIYRGE -FKTDALDRDIVVLSSLYGRRIFKSDSTSEMFNLIRTYLKKMSML - ->YP_008126849.1 nonstructural protein 1-2 [Human rotavirus B] -MEYHHFLSEISDKTDLLPKYMLNQSCNPAQSSKKPEKISFIMYDNSLHECPEMPGDSILISDICPLNHEH -FCGGIHIPKQENTSPKGRLAHAAADKIIWPCGLKSMKINDRKIEGYTFVKCRCGNLYPTWIPGERNFFFI -TCCANDTAAVKLGKTDGDKCQNCSKFNRWYFPGLGFNSDVSQYKTRFFCPACTPIRDLVSNMTRFGSTVF -KSPSYTDMLKNYEWKRNLTNNSEIAFRALNSPFIQTSITTYSKIDADVNSMTVTELVASVNRTWNTEFKI -IPINRTKVLFKDYYRGKSIIFHDNDHLHNQILLTLTDWHFL - ->sp|Q86517.1|NSP1B_ROTGI RecName: Full=Non-structural protein 1, peptide 2; Short=NSP1 peptide 2; AltName: Full=NSP1-2 -MEFNQFLKEASGKVDLVPIYMSNPSCNPIHSSRKPGKVSALKYDNPLKECPEIPGDSVLISDVCPFIHEH -FCGGIHIPYQHNMRPKGRITHVSADKIVWPCGLRSIKLDGKLVVGHMFVKCRCGNMYPTWISGESDFFFI -TCCANDTPAIKIGLANGDKCQNCLRLKRWYNPGPGFKSGINHYIAPFFCPVCTPIRDLVSNMTRFGAVSF -DSPDYAEMKRNYDWKRSYPNNCESAFRSLNSPLIQTLPTVCDTVCASSGTTVTEMIASINRSWCTGFKII -PINRTRVLLKDDYRGRIIEFQDNNHLHNQIMAAMTEWKFL - ->sp|Q86199.1|NSP1B_ROTGA RecName: Full=Non-structural protein 1, peptide 2; Short=NSP1 peptide 2; AltName: Full=NSP1-2 -MEYHHFLSEILGKTDLLPKYMSNQLCNPAQSSRKPEKVSFLKYENSLRECPEMPGDSILISDICPLNHEH -FCGGIHIPQQENTSPKGRLAHAAADKIIWPCGLKSIKINDRKIEGHIFVKCRCGNLYPTWIPGEENFFFI -TCCANDTATVKLGKTDGDKCQNCSKFNRWYFPGLGFNSDISQYKTQFFCPACTPIRDLVSNMTKFGSTVF -RSPSYTDMLKNYVWKRNLPNNSETAFRALNSPFIQTSITAYSKIDVDVNSMTVTELVASVNRTWNTEFKI -IPINRTKVVFKDYYRGKSIIFHDNDHLHNQILLTLTDWHFL - diff --git a/seq/clusters_seq/cluster_1038 b/seq/clusters_seq/cluster_1038 deleted file mode 100644 index fa36d81..0000000 --- a/seq/clusters_seq/cluster_1038 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_008136234.1 NSP1-1 [Rotavirus G chicken/03V0567/DEU/2003] -MGNSNTNIQVSQQNTHIQASDSKLELHDQKTATLQSTQLLISIGAIIIVALILLLLFSLILNCYLCNRLK -KKNGYLKRERKISNLRDKGLDKFILDKPNDITSGCV - ->YP_008126848.1 nonstructural protein 1-1 [Human rotavirus B] -MGNRQSSAQLNSHLTHINSQNSNLFISDSKTAVFQTQHILLAAGVGIIATLLVLLLCSCVLNCYLCRKLK -RTNGVSSLLERNIRQNGSSAKIYVKPVMQSSTIIEEA - ->sp|Q86198.1|NSP1A_ROTGA RecName: Full=Non-structural protein 1, peptide 1; Short=NSP1 peptide 1; AltName: Full=NSP1-1 -MGNRQSSAQLNSHLTHINSQNSNLFISDSKTAVFHTQHILLAAGVGIIATLLVLLLCSCVLNCYLCRRLK -RTNGVSSLLERNLRQNGSSAKIYVKPVMQSSTIIEEA - ->sp|Q86516.1|NSP1A_ROTGI RecName: Full=Non-structural protein 1, peptide 1; Short=NSP1 peptide 1; AltName: Full=NSP1-1 -MGNRQSSAQLNSHLTQISSQHSNLYISDSKTSTFQTQHIILVAGVGIIVALFILLVCSCVLNCYLCNKFK -RENGIQSISKRSLRQSRPSPNLYVQPVMQSNPFIKEARESICSEV - diff --git a/seq/clusters_seq/cluster_1039 b/seq/clusters_seq/cluster_1039 deleted file mode 100644 index e05c391..0000000 --- a/seq/clusters_seq/cluster_1039 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_007032440.1 6K1 [Cassava brown streak virus] -GRKEESFVLRWCAFATLFLSFINYDWAVGSVSAIGKMKTIFGALGPDFIERQ - ->YP_004063977.1 6K1 [Ugandan cassava brown streak virus] -GRKEESFVLKWCAAATLFLSFLNYDWAVGCVSAIGKMKTIFSALGPDFIEKQ - ->YP_001788995.1 6K1 [Squash vein yellowing virus] -GKKEEAFVLKWCAFLTLLMSFFNFDWALASTTAIGKLKTLYGVLGSEIVELQ - ->YP_308880.1 6K1 protein [Cucumber vein yellowing virus] -GKKEEATIMKWCAMLTLVMSFINFDWALASVSALGKMKTIFSALGPNLIELQ - diff --git a/seq/clusters_seq/cluster_104 b/seq/clusters_seq/cluster_104 deleted file mode 100644 index 7e14cdc..0000000 --- a/seq/clusters_seq/cluster_104 +++ /dev/null @@ -1,398 +0,0 @@ ->NP_057850.1 Pr55(Gag) [Human immunodeficiency virus 1] -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIEIKDTKEALDKIEEEQNKSKKKAQQAAADTGHSNQVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRVHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKIVKCFNCGKEGHTARNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLGKIWPSYKGRPGNFLQSRPEPTAPPEESFRSGVETTTPPQKQEPIDKELYPLTSLR -SLFGNDPSSQ - ->sp|Q1A268.3|GAG_SIVMB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Contains: RecName: Full=p6-gag -MGARASVLTGGKLDRWEKIYLRPGGKKKYMMKHLVWASRELERFACNPSLMETTEGCKQLLQQLEPALKT -GSEGLRSLFNTIVVLWCVHQGIPVKDTKEALDQLQEAQQKGKQEVAAATADGTSTVSRNFPIVANAQGQM -VHQPISPRTLNAWVKVVEEKAFSPEVIPMFMALSEGATPQDLNTMLNTVGGHQAAMQMLKEVINEEAAEW -DRLHPVHAGPVPPGQMREPRGSDIAGTTSTIQEQVGWMTSNPPIPVGDIYKRWIILGLNKIVKMYCPVSI -LDIKQGPKESFRDYVDRFYKTLRAEQATQEVKNWMTDTLLVQNANPDCKSILRALGPGATLEGDEPAFQG -VGGPSHKARVLAEAMSQAQHSNDAKRQFKGPKRIVKCFNCGKEGHIARNCKAPRRKGCWKCGQEGHQMRN -CTNERQANFLGKLWPSSKGRPGNFLQKRPEPTAPPIESFGFREEEPAQKEEVKSEETENKLYPQLTSLKS -LFGNDPLSQ - ->sp|Q1A250.3|GAG_SIVEK RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARASVLTGGKLDQWEKIYLRPGGKKKYMMKHLVWASRELERFACNPGLMDTAEGCAQLLRQLEPALKT -GSEGLRSLFNTLAVLYCVHNNIKVQNTQEALEKLREKMKAEQKEPEPEQAAGAAAAPESSISRNYPLVQN -AQGQMVHQPLSPRTLNAWVKVVEEKAFNPEVIPMFMALSEGATPQDLNTMLNTVGGHQAAMQMLKEVINE -EAAEWDRGHPVHMGPIPPGQVREPRGSDIAGTTSTLAEQVAWMTANPPVPVGDIYRRWIVLGLNKIVRMY -SPASILDIKQGPKETFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKNILRALGPGASLEEMM -TACQGVGGPAHKARVLAEAMTQAQTATSVFMQRGNFKGIRKTIKCFNCGKEGHLARNCKAPRKKGCWKCG -QEGHQMKDCRSGERQANFLGKVWPLSKGRPGNFPQTTTRKEPTAPPIESYGYQEEKTTQGTEREEKEKTE -SSLYPPLTSLKSLFGSDPSLQ - ->sp|Q9QBZ6.2|GAG_HV1MP RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLKPGGKKRYRLKHLVWASRELERFALNPSLLETTEGCKKIIGQLQSSLQT -GSEELKSLYNAVVVLYYVHQRIDVRDTKEALDKLQEEQDKSQQKEQQKAADKEVSQNYPIVQNIQGQMVH -QALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -LHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQITWMTGNPPVPVGEIYKRWIILGLNKIVRMYSPVSILD -IKQGPKEPFRDYVDRFFKTLRAEQATQEVKNWMTETLLVQNSNPDCKTILKALGPGATLEEMMTACQGVG -GPGHKARILAEAMSKATSTAIMMQKSNFKGQKRIVKCFNCGKEGHIARNCRAPRKKGCWKCGKEGHQMKD -CTERQANFLGKIWPSNRGRPGNFLQNRPEPTAPPAENFGFGEGITPSPKQEQKGEEQAPPLVSLKSLFGS -DP - ->sp|Q9QBZ2.2|GAG_HV1M2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHIVWASRELKRFALNPGLLETTEGCKKIIGQLQPSLQT -GSEELKSLFNTIVVLYYVHQKIEVRDTKEALDKLQEEQDKHQQKTQQATADKGVSKGVSQNYPILQNLQG -QMVHQSLSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAA -EWDRLHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPVPVGEIYKRWIILGLNKIVRMYSPV -SILDIKQGPKEPFRDYVDRFFKTLRAEQATQEVKGWMTETLLVQNANPDCKTILKALGPGATLEEMMTAC -QGVGGPSHKARILAEAMSKATGAAIMMQKSNFKGQRRIVKCFNCGKEGHIARNCRAPRKRGCWKCGQEGH -QMKDCTERQANFLGKMWPSNKGRPGNFLQNRPEPTAPPAESFGFGEEIAPSPKQEQKDKEQVPPLISLKS -LFGSDQ - ->sp|P0C1K7.2|GAG_HV19N RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDSWEKIRLRPGGRKKYKLKHIVWASRELGRFALNRDLLETAEGCVQIMKQLQPALTG -TEELRSLFNTVATLYCVHQKIEVKDTKEAPEEVEKIQKNSQQEIQQAAKNEGNSNPVSQNYPIVQNAQGQ -MIHQAISPWTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINDEAAE -WDRIHPQQAGPIPPGQIREPSGSDIAGTTSTLQEQIRWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPVS -ILDIRQGPKEPFRDYVDRFFKTLRAEQATQEVKGWMTDTLLVQNANPDCKTILRALGPGATLEEMMTACQ -GVGGPSHKARVLAEAMSQASGAAAAAIMMQKSNFKGPRRIIKCFNCGKEGHLARNCRAPRKKGCWKCGKE -GHQMKECTERQANFLGKIWPSNKGRPGNFLQNRTEPTAPPAESFGFGEEIAPSPKQEPKEKELYPLTSLK -SLFGSDP - ->sp|Q9QC00.2|GAG_HV197 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDKWEKIQLRPGGKKKYRLKHLVWASRELERFALNPNLLETVEGCRQIIRQLQPSLQT -GSEELRSLFNTVATLYWVHQSIQVRDTKEALDKLEEEQNRTQQKTQQGKADKGVSQNYPIVQNLQGQMVH -QALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -MHPVQAGPIPPGQIREPRGSDIAGTTSTLQEQITWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPVSILD -IRQGPKEPFRDYVDRFFRVLRAEQATQEVKNWMTETLLVQNANPDCRTILKALGSGATLEEMMTACQGVG -GPGHKARVLAEAMSQVTNSAVMMQRGNFKGQRRIIKCFNCGKEGHLARNCRAPRKKGCWKCGKEGHQMKD -CSERQANFLGKFWPLNKERPGNFLQNRPEPTAPPAESFGFGEKITPSLRQEMKDQEQGPPLTSLKSLFGS -DPLSQ - ->sp|Q9QBY4.2|GAG_HV196 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLRPGGKKKYKLKHLVWASRELERFALNPGLLETTEGCRQIITQIQPSIQT -GSEEIKSLYNTIAVLYFVHQKIEVKDTKEALDKLEEEQNKSQRKTQQEAADKGVSQNYPIVQNLQGQMVH -QALSPRTLNAWVKVIEEKAFSPEVIPMFTALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINDEAAEWDR -LHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPVPVGEIYKRWIILGLNKIVRMYSPVSILD -IRQGPKEPFRDYVDRFFKTLRAEQATQEVKNWMTDTLLVQNANPDCKTILKALGPGASLEEMMTACQGVG -GPSHKARILAEAMSQVTNPVVMMQKGNFKGHRKIVKCFNCGKEGHIARNCRAPRKKGCWKCGKEGHQMKD -CTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPPAESFGFGEEITPSPRQETKDKEQSPPLTSLKSLFGN -DPLSQ - ->sp|Q9WC62.3|GAG_HV1S9 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASILSGGKLDDWEKIRLRPGGKKQYRIKHLVWASRELDRFALNPGLLESAKGCQQILVQLQPALQT -GTEEIKSLYNTVATLYCVHQRIEIKDTKEALDKIEEIQNKNKQQTQKAETDKKDNSQVSQNYPIVQNLQG -QPVHQALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTIGGHQAAMQMLKDTINEEAA -EWDRVHPVHAGPVAPGQVREPRGSDIAGTTSNLQEQIGWMTGNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFFKALRAEQATQDVKNWMTDTLLVQNANPDCKTILKALGSGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNTNIMMQRGNFRDHKRIVKCFNCGKQGHIAKNCRAPRKKGCWKCGKEGH -QMKDCTERQANFLGKIWPSSKGRPGNFLQSRPEPTAPPAESLGFGEEIPSPKQEPKDKELYPLTSLRSLF -GSDPLSQ - ->sp|Q9WC53.3|GAG_HV1S2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASILSGGKLDDWEKIRLRPGGKKKYRIKHLVWASRELDRFALNPGLLESAKGCQQILVQLQPALQT -GTQEIKSLYNTVATLYCVHQRIEIKDTMEALEKIEEIQNKNKQQAQKAETDKKDNSQVSQNYPIVQNLQG -QPVHQALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTIGGHQAAMQMLKDTINEEAA -EWDRVHPVHAGPIAPGQVREPRGSDIAGTTSTLQEQIGWMTGNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFFKALRAEQATQDVKNWMTDTLLVQNANPDCKTILKALGSGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNTNIMMQRGNFRDHKRIVKCFNCGKQGHIAKNCRAPRKKGCWKCGKEGH -QMKDCTERQANFLGKIWPSSKGRPGNFLQSRPEPTAPPAESLGLGEEIPSPKQEPKDKELYPLTSLKSLF -GSDPLSQ - ->sp|Q9QSR4.3|GAG_HV1VI RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASILSGGKLDEWEKIQLRPGGKKRYKMKHLIWASRELERFALDPGLLETSEGCQKIIRQLQPSLQT -GSEELKSLFNTVAVLYYVHQRAGVTDTKEALDKLEEEQNKSQQKTQQAAADKGVSQNYPIVQNLQGQMVH -QSLSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPTDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -LHPVHAGPAPPGQMREPRGSDIAGTTSTLQEQIQWMTGNPPVPVGDIYKRWIILGLNKIVRMYSPVSILD -IKQGPKEPFRDYVDRFFKVLRAEQASQDVKGWMTDTLLVQNANPDCKTILKALGTGATLEEMMTACQGVG -GPSHKARVLAEAMSQANSAIMMQKSNFKGQRRVVKCFNCGKEGHIARNCRAPRKKGCWKCGREGHQMKDC -TERQANFLGKIWPSNKGRPGNFLQSRPEPTAPPAESFGFREEITPSPKQEQKDGELYPPLASLKSLFGND -P - ->sp|Q9Q721.3|GAG_HV1V9 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLRPGGRKKYRLKHLVWASRELERFALNPDLLETADGCQQILGQLQPALKT -GTEDLQSLYNTIAVLYCVHQRIDVKDTKEALGKIEEIQNKNKQRTQQAPAAADKEKDSKISQNYPIVQNA -QGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNAMLNTVGGHQAAMQMLKDTINEE -AAEWDRLHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQVAWMTGNPPIPVGDIYKRWIILGLNKIVRMYS -PVSILDIKQGPKEPFRDYVDRFFRVLRAEQATQDVKNWMTDTLLVQNANPDCRTILKALGRGASIEEMMT -ACQGVGGPSHKARVLAEAMSQVTNASAAIMMQKGNFKGPRRTVKCSNCGKEGHIARNCRAPRKKGCWKCG -QEGHQMKDCTGRQANFLGKIWPSSKGRPGNFPQKRLEPTAPPAESFGFGEEITPSPRQELKEQEPPLTSL -RSLFGNDQ - ->sp|Q9IDV8.3|GAG_HV1YB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLTGGKLDQWEAIYLRPGGKKKYRLKHLVWASRELERFACNPGLMDTANGCAQLINQLEPALKT -GSEGLRSLXNTLAVLYCVHSNIPVHNTQEALDKIKEKQEQHKSEPKKPEAGTAAAADSSISRNYPLVQNA -QGQMVHQPLTPRTLNAWVKVIEEKAFNPEIIPMFMALSEGATPSDLNSMLNTVGGHQAAMQMLKEVINEE -AAEWDRTHPAPVGPLPPGQMRDPRGSDIAGTTSTLAEQVAWMTSNPPIPVGDIYRRWIVLGLNRIVRMYS -PVSILEIKQGPKEPFRDYVDRFYKTLRAEQATQDVKNWMTETLLVQNANPDCKQILKALGPGATLEEMMT -ACQGVGGPAHKARVLAEAMAQAQTATSVFVQRGNFKGIRKTIKCFNCGKEGHLARNCKAPRRRGCWKCGQ -EGHQMKDCKNEGXQANFLGKGWSPFKGRPGNFPQTTTRREPTAPPLESYGSQEEKSTQGKEMQENQEKTE -TSLYPPLTSLRSLFGNDLSSQ - ->sp|Q8AII2.3|GAG_SIVTN RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARASVLRGDKLDTWESIRLKSRGRKKYLIKHLVWAGSELQRFAMNPGLMENVEGCWKIILQLQPSVDI -GSPEIISLFNTICVLYCVHAGERVQDTEEAVKIVKMKLTVQKNNSTATSSGQRQNAGEKEETVPPSGNTG -NTGRATETPSGSRLYPVITDAQGVARHQPISPRTLNAWVRVIEEKGFNPEVIPMFSALSEGATPYDLNSM -LNAVGEHQAAMQMLKEVINEEAAEWDRAHPAHAGPQQAGMLREPTGADIAGTTSTLQEQVLWMTTPQAQG -GVPVGDIYKRWIILGLNKLVRMYSPVSILDIKQGPKEPFRDYVDRFYKTIRAEQASQPVKTWMTETLLVQ -NANPDCKHILKALGQGATLEEMLTACQGVGGPSHKAKILAEAMASATAGGVNMLQGGKRPPLKKGQLQCF -NCGKVGHTARNCRAPRKKGCWRCGQEGHQMKDCTTRNNSTGVNFLGKRTPLWGCRPGNFVQNTPEKGKAQ -EQETAQTPVVPTAPPLEMTMKGGFSLKSIFGSDQ - ->sp|Q79665.3|GAG_HV1MV RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLTGSKLDAWERIRLRPGSKKAYRLKHLVWASRELERYACNPGLLETAEGTEQLLQQLEPALKT -GSEDLKSLWNAIAVLWCVHNRFDIRDTQQAIQKLKEVMASRKSAEAAKEETSPRQTSQNYPIVTNAQGQM -VHQAISPRTLNAWVKAVEEKAFNPEIIPMFMALSEGAVPYDINTMLNAIGGHQGALQVLKEVINEEAAEW -DRTHPPAMGPLPPGQIREPTGSDIAGTTSTQQEQIIWTTRGANSIPVGDIYRKWIVLGLNKMVKMYSPVS -ILDIRQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNSNPDCKQILKALGPEATLEEMMVACQ -GVGGPTHKAKILAEAMASAQQDLKGGYTAVFMQRGQNPNRKGPIKCFNCGKEGHIAKNCRAPRKRGCWKC -GQEGHQMKDCKNGRQANFLGKYWPPGGTRPGNYVQKQVSPSAPPMEEAVKEQENQSQKGDQEELYPFASL -KSLFGTDQ - ->sp|Q77372.3|GAG_HV1AN RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGASASVLTGSKLDAWEQIRLKPGSKKKYRLKHLVWASRELERFACNPELLETAEGNEKLLQQLEPALKT -GSDSLQSLWNAIVVLWCVHNRYKIGDTQQAIQKLKEVMGSRKSADAAKEDTSARQAGQNYPIVSNAQGQM -VHQAISPRTLNAWVKAVEEKAFNPEIIPMFMALSEGAISYDINTMLNAIGGHQGALQVLKEVINEEAVEW -DRTHPPPVGPLPPGQIREPTGSDIAGTTSTQQEQIHWTTRPNQPIPVGDIYRKWIVLGLNKMVKMYSPVS -ILDIKQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKQILKSLGPGATLEEMMVACQ -GVGGPTHKARVLAEAMATAQQDLKGGYTAVFMQRGQNPIRKGTIKCFNCGKEGHIARNCRAPRKKGCWKC -GQEGHQMKDCRNGKQANFLGKYWPPGGTRPGNYVQRPAHPSAPPMEEEVKGQENQEQKGGPNELYPFASL -KSLFGTDQ - ->sp|Q75001.3|GAG_HV1ET RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASILRGEKLDAWEKIKLRPGGKKHYMLKHLVWANRELEKFALNPDLLDTSAGCKQIIKQLQPALQT -GTEELKSLFNTVATLYCVHQKIEIKDTKEALDKIEEEQNESQQKTQQAGAADRGKDSQNYPIVQNMQGQM -VHQPISARTLNAWVKVVEEKAFSPEVIPMFTALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEW -DRLHPVHAGPVAPGQMRDPRGSDIAGTTSTLQEQIAWMTGNPPVPVGDIYKRWIILGLNKIVRMYSPVSI -LDIKQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTDTLLVQNANPDCKTILRALGPGASLEEMMTACQG -VGGPAHKARVLAEAMSQVNNTTIMMQKSNFKGPKRAIKCFNCGKEGHLARNCRAPRKKGCWKCGKEGHQM -KDCTERQANFLGRLWPSNKGRPGNFLQSRPEPTAPPESLRPEPTAPPPESFRFEEATPSPKQELKDREAL -TSLKSLFGNDHLLQ - ->sp|Q73367.3|GAG_HV1B9 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPSLLETSEGCRQILGQLQSSLQT -GSEELKSLYNTVATLYCVHQRIEVKDTKEALDKIEEEQNKSKKKAQQAAADTGNSSQVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVQAGPVAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPS -SILDIKQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPPEESFRFGEETTTPSQKQEPIDKELYPLASLR -SLFGNDPSSQ - ->sp|O93182.3|GAG_HV190 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALNPGLLETPEGCLQIIEQIQPAIKT -GTEELKSLFNLVAVLYCVHRKIDVKDTKEALDKIEEIQNKSQQKTQQAAADKEKDNKVSQNYPIVQNAQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNAMLNTVGGHQAAMQMLKDTINEEAA -EWDRVHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIAWMTGNPAIPVGDIYKRWIILGLNKIVRMYSPV -SILDIKQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTETLLVQNANPDCKTILRALGQGASIEEMMTAC -QGVGGPSHKARVLAEAMSQVTNTNTAIMMQKGNFKGQRKFVKCFNCGKEGHIARNCRAPRKKGCWKCGRE -GHQMKDCTERQANFLGKIWPSSKGRPGNFLQSRPEPTAPPAESFGFGEEMTPSPKQEQLKDKEPPLASLR -SLFGSDPLLQ - ->sp|O91079.3|GAG_HV1YF RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLTGGKLDQWESIYLRPGGKKKYRMKHLVWASRELERFACNPGLMDTADGCAKLLNQLEPALKT -GSEELRSLYNALAVLYCVHSRIQIHNTQEALDKIKEKQEQHKPEPKNPEAGAAAATDSNISRNYPLVQTA -QGQMVHQPLTPRTLNAWVKVIEEKAFSPEVIPMFMALSEGATPSDLNTMLNTVGGHQAAMQMLKEVINEE -AADWDRTHPVPVGPLPPGQLRDPRGSDIAGTTSTLAEQVAWMTANPPVPVGDIYRRWIVLGLNRIVRMYS -PVSILEIKQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKQLLKALGPGATLEEMMT -ACQGVGGPAHKARVLAEAMSQVQQPTTSVFAQRGNFKGIRKPIKCFNCGKEGHLARNCKAPRRGGCWKCG -QEGHQMKDCKNEGRQANFLGKSWSPFKGRPGNFPQTTTRKEPTAPPLESYGFQEEKSTQGKEMQENQERT -ENSLYPPLTSLRSLFGNDPSSQ - ->sp|O89939.3|GAG_HV1SE RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLTGGKLDAWEKIRLRPGGRKSYKIKHLVWASRELERFALNPDLLETAEGCQQIMRQLQPSLQT -GTEEIKSLYNAVATLYCVHQRIEVKDTKEALEEVEKIQKKSQEKIQQAAMDKGNSNQVSQNYPIVQNAQG -QMVHQAITPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNLMLNTVGGHQAAMQMLKDTINEEAA -EWDRMHPQQAGPFPPGQIREPRGSDIAGTTSSLQEQITWMTGNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFFKCLRAEQASQDVKGWMTDTLLVQNANPDCKTILRALGQGASLEEMMTAC -QGVGGPSHKARVLAEAMSQASGAAAAIMMQRSNFKGPRRTIKCFNCGKEGHLARNCRAPRKKGCWKCGKE -GHQMKDCTERQANFLGKIWPSNKGRPGNFLQNRTEPTAPPAESLGFGEEIAPSPKQEMKEKELYPSLKSL -FGSDP - ->sp|O89291.3|GAG_HV193 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALDPGLLETSEGCRKIIGQLQPSLQT -GSEELKSLYNTIAVLYYVHQKVEVKDTKEALEKLEEEQNKGRQKTQQATAEKGVSQNYPIVQNLQGQMVH -QSLSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -LHPTQAGPIPPGQIREPRGSDIAGTTSTLQEQIQWMTGNPPVPVGEMYKRWIILGLNKIVRMYSPVGILD -IRQGPKEPFRDYVDRFFKTLRAEQATQEVKGWMTDTLLVQNANPDCKTILKALGPGATLEEMMTACQGVG -GPSHKARVLAEAMSQATNTAIMMQKSNFKGQRRIVKCFNCGKEGHIAKNCRAPRKKGCWKCGREGHQMKD -CTERQANFLGKIWPSNKGRPGNFIQNRPEPSAPPAESFRFGEETTPSPKQEQKDEGLYPPLASLKSLFGN -DP - ->sp|O12157.3|GAG_HV192 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASILRGGKLDAWERIKLKPGGKKHYMMKHLVWASRELERFALDPGLLETSEGCKQIMKQLQPALQT -GTKELISLHNTVATLYCVHEKIDVRDTKEALDKIKEEQNKSQQKTQQAEAADKGKVSQNYPIVQNLQGQM -VHQPISARTLNAWVKVVEEKAFSPEVIPMFTALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEW -DRLHPVHAGPVAPGQMREPRGSDIAGTTSTLQEQITWMTNNPPVPVGDIYKRWIILGLNKIVRMYSPVSI -LDIKQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTDTLLVQNANPDCKTILRALGPGASLEEMMTACQG -VGGPGHKARVLAEAMSKVNNTNIMMQRSNCKGPKRTIKCFNCGKEGHLARNCRAPRKKGCWKCGKEGHQV -KDCTERQANFLGKIWPSHRGRPGNLLQNRTEPTAPPEESFRFGEETTTPSRKQETIDKELPLTSLKSLFG -SDPLST - ->sp|P35962.2|GAG_HV1Y2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSAGELDKWEKIRLRPGGKKQYRLKHIVWASRELERFAVDPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQKIEVKDTKEALEKIEEEQNKSKKKAQQAAADTGNSSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPSEESVRFGEETTTPSQKQEPIDKELYPLASLR -SLFGSDPSSQ - ->sp|P05888.3|GAG_HV1MN RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHVVWASRELERFAINPGLLETSEGCRQILGQLQPSLQT -GSEERKSLYNTVATLYCVHQKIKIKDTKEALEKIEEEQNKSKKKAQQAAADTGNRGNSSQVSQNYPIVQN -IQGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINE -EAAEWDRLHPAHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMY -SPSSILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMM -TACQGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKIIKCFNCGKEGHIAKNCRAPRKRGCWKCG -KEGHQMKDCTERQANFLGKIWPSCKGRPGNFPQSRTEPTAPPEESFRFGEETTTPYQKQEKKQETIDKDL -YPLASLKSLFGNDPLSQ - ->sp|P17282.1|GAG_SIVCZ RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARASVLTGGKLDRWEKVRLRPGGRKRYMMKHLVWASRELERFACDPGLMESKEGCTKLLQQLEPALKT -GSEGLRSLFNTLAVLWCIHSDITVEDTQKALEQLKRHHGEQQSKTESNSGSREGGASQGASASAGISGNY -PLVQNAQGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGALPQDVNTMLNAVGGHQGAMQVLK -EVINEEAAEWDRLHPTHAGPIAPGQLREPRGSDIAGTTSTLQEQIGWTTANPPIPVGDVYRRWVILGLNK -VVRMYCPVSILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTDTLLVQNANPDCKQILKALGPGAT -LEEMMTACQGVGGPSHKARVLAEAMSMVQNQGRADVFFQKGQGAGPKRKIKCFNCGKEGHLARNCKAPRR -KGCWRCGQEGHQMKDCTGRQVNFLGKGWPSRSGRPGNFVQNRTEPTAPPIESYGYQEEEKSQEKKEGESS -LYPPTSLKSLFGSDPSSQ - ->sp|P05889.3|GAG_HV1W2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC -MGARASVLSGGELDKWEKIRLRPGGKKKYRLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIEKKDTKEALDKIEEEQNKCKKKAQQAAADTGNSSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNPTTIMMQKGNFRNQRKT - ->sp|P24736.3|GAG_HV1U4 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGKKLDSWEKIRLRPGGNKKYRLKHLVWASRELEKFTLNPGLLETAEGCQQILGQLQPALQT -GTEELRSLYNTVAVLYCVHQRIDVKDTKEALNKIEEMQNKNKQRTQQAAANTGSSQNYPIVQNAQGQPVH -QALSPRTLNAWVKVVEDKAFSPEVIPMFSALSEGATPQDLNMMLNVVGGHQAAMQMLKDTINEEAAEWDR -LHPVHAGPIPPGQMREPRGSDIAGTTSTVQEQIGWMTGNPPIPVGDIYRRWIILGLNKIVRMYSPVSILD -IRQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTETLLVQNANPDCKSILRALGPGATLEEMMTACQGVG -GPGHKARVLAEAMSQVQQTSIMMQRGNFRGPRRIKCFNCGKEGHLAKNCRAPRKKGCWKCGKEGHQMKDC -TERQANFLGKIWPSNKGRPGNFPQSRPEPTAPPAEIFGMGEKMTSPAKQELKDREQTPLVSLKSLFGNDP -LSQ - ->sp|P05890.3|GAG_HV1RH RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDKWEKIRLRPRGKKRYKLKHIVWASRELERFAVNPSLLETAEGCRQILGQLQPALQT -GSEELKSLYNAVATLYCVHQNIEVRDTKEALDKIEEEQNKSKKKAQQAAADTGNGSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPI -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETFLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPSHKARILAEAMSQVTNSATIMLQKGNFRDQRKIVKCFNCGKVGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTNEGRQANFLGKIWPSHKGRPGNFLQSRPEPTAPPEESFRFGEETTPSQKQEKIDKELYPLASL -KSLFGNDPSSQ - ->sp|P20889.3|GAG_HV1OY RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDKWEKIRLRPGGKKKYQLKHIVWASRELERFAINPGLLETSEGCRQILGQLQPSLKT -GSEEIRSLYNTVATLYCVHQKIEVKDTKEALDKIEEEQNKSKKKAQQTAADTGNSSQVSQNYPIVQNLQG -QMVHQPISPRTLNAWVKVVEEKAFSPEVIPMFSALAEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVNSVTVMMQKGNFKNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGREGH -QMKDCTERQANFLGKIWPSHKGRPGNFLQNRPEPTAPPAESFGFGEETTTPPQKQEPIDKGLYPLTSLRS -LFGNDPSSQ - ->sp|P12493.3|GAG_HV1N5 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDKWEKIRLRPGGKKQYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTIAVLYCVHQRIDVKDTKEALDKIEEEQNKSKKKAQQAAADTGNNSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTHNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNPATIMIQKGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPPEESFRFGEETTTPSQKQEPIDKELYPLASLR -SLFGSDPSSQ - ->sp|P04594.3|GAG_HV1MA RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALNPGLLETGEGCQQIMEQLQSTLKT -GSEEIKSLYNTVATLYCVHQRIDVKDTKEALDKIEEIQNKSRQKTQQAAAAQQAAAATKNSSSVSQNYPI -VQNAQGQMIHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNMMLNIVGGHQAAMQMLKDT -INEEAADWDRVHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIGWMTSNPPIPVGDIYKRWIILGLNKIV -RMYSPVSILDIRQGPKEPFRDYVDRFFKTLRAEQATQEVKNWMTETLLVQNANPDCKTILKALGPGATLE -EMMTACQGVGGPSHKARVLAEAMSQATNSTAAIMMQRGNFKGQKRIKCFNCGKEGHLARNCRAPRKKGCW -KCGKEGHQMKDCTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPPAESFGFGEEIKPSQKQEQKDKELYP -LASLKSLFGNDQLSQ - ->sp|P18800.3|GAG_HV1ND RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDTWERIRLRPGGKKKYALKHLIWASRELERFTLNPGLLETSEGCKQIIGQLQPSIQT -GSEEIRSLYNTVATLYCVHERIEVKDTKEAVEKMEEEQNKSKKKTQQAAADSSQVSQNYPIVQNLQGQMV -HQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINDEAAEWD -RLHPVHAGPVAPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPVSIL -DIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPQATLEEMMTACQGV -GGPGHKARVLAEAMSQVTGSATAVMMQRGNFKGPRKSIKCFNCGKEGHTAKNCRAPRKKGCWKCGREGHQ -MKDCTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPPAESFGFGEEITPSQKQEQKDKELYPLASLKSLF -GNDPSSQ - ->sp|P12494.3|GAG_HV1J3 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPSLLETSEGCRQILGQLQPSLQT -GSEELKSLFNTVATLYCVHQRIEVKDTKEALEKIEEEQNKSKKKAQQAAADTGNSSKVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPAQAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPS -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSTTIMMQRGNFRNQRKIIKCFNCGKEGHLARNCRAPRKKGCWKCGKEG -HQMKDCNERQANFLGKIWPSSKGRPGNFLQSRPEPTAPPEESFRFGEETTTPSQKQEPRDKELYPLASLR -SLFGNDPSSP - ->sp|P04592.3|GAG_HV1EL RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDKWEKIRLRPGGKKKYRLKHIVWASRELERYALNPGLLETSEGCKQIIGQLQPAIQT -GTEELRSLYNTVATLYCVHKGIDVKDTKEALEKMEEEQNKSKKKAQQAAADTGNNSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPIPVGEIYKRWIIVGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPQATLEEMMTAC -QGVGGPSHKARVLAEAMSQATNSVTTAMMQRGNFKGPRKIIKCFNCGKEGHIAKNCRAPRKKGCWRCGKE -GHQLKDCTERQANFLGRIWPSHKGRPGNFLQSRPEPTAPPAESFGFGEEITPSQKQEQKDKELYPLTSLK -SLFGNDPLSQ - ->sp|P20873.3|GAG_HV1JR RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDRWEKIRLRPGGKKKYRLKHIVWASRELERFAVNPGLLESSEGCRQILGQLQPSLKT -GSEELTSLYNTVATLYCVHQRIEIKDTKEALEKIEEEQTKSMKKAQQAAADTGNSSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNPATIMMQRGNFRNQRKNVKCFNCGKEGHIARNCRAPRKKGCWKCGKEG -HQMKECTERQANFLGKIWPSYKGRPGNFLQSRPEPTAPPEESFRFGEETATPSQKQEQKQEPIDKELYPL -TSLRSLFGNDPSSQ - ->sp|P05887.3|GAG_HV1C4 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDRWEKIRLRPGGKKQYRLKHIVWASRKLERFAVNPGLLETSKGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIEVRDTKEALDKIEEEQNKSKKKAQQAAADTGNSSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFAALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPTPVGEIYKRWIILGLNKIVRMYSPI -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRRQGKTVKCFNCGKEGHIARNCKAPRKKGCWKCGREG -HQMKDCTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPPEESFRFGDETTTPSQKQEPRDKELYPLASLR -SLFGNDPSSQ - ->sp|P03348.3|GAG_HV1BR RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIEIKDTKEALDKIEEEQNKSKKKAQQAAADTGHSSQVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRVHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKIVKCFNCGKEGHIARNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLGKIWPSYKGRPGNFLQSRPEPTAPPFLQSRPEPTAPPEESFRSGVETTTPSQKQEP -IDKELYPLTSLRSLFGNDPSSQ - ->sp|P03349.3|GAG_HV1A2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGELDKWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIDVKDTKEALEKIEEEQNKSKKKAQQAAAAAGTGNSSQVSQNYPIVQNL -QGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEE -AAEWDRVHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYS -PTSILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMT -ACQGVGGPGHKARVLAEAMSQVTNPANIMMQRGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWRCGR -EGHQMKDCTERQANFLGKIWPSYKGRPGNFLQSRPEPTAPPEESFRFGEEKTTPSQKQEPIDKELYPLTS -LRSLFGNDPSSQ - ->sp|P12495.3|GAG_HV1Z2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALNPGLLETSDGCKQIIGQLQPAIRT -GSEELRSLFNTVATLYCVHERIEVKDTKEALEKMEEEQNKSKNKKAQQAAADAGNNSQVSQNYPIVQNLQ -GQMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEA -AEWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPIPVGEIYKRWIILGLNKIVRMYSP -VSILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKGWMTETLLVQNANPDCKTILKALGPQATLEEMMTA -CQGVGGPSHKARVLAEAMSQATNSAAAVMMQRGNFKGPRKTIKCFNCGKEGHIAKNCRAPRRKGCWKCGK -EGHQLKDCTERQANFLGKIWPSHKGRPGNFLQSRPEPTAPPAESFGFGEEITPSQKQEQKDKELYPSTAL -KSLFGNDPLLQ - diff --git a/seq/clusters_seq/cluster_1040 b/seq/clusters_seq/cluster_1040 deleted file mode 100644 index db8d63d..0000000 --- a/seq/clusters_seq/cluster_1040 +++ /dev/null @@ -1,46 +0,0 @@ ->APG77280.1 hypothetical protein [Wenzhou levi-like virus 1] -MHLSIVKHFTCLLQDLCIDEESRDYLVRRLNNEGPKFLTVTLPALWKVVLTGLETGYLDLSECTAFARKK -KLPYVMYRFFCKVFDQRGFLRSKPDADALLVIRQVCEYYYKCSFTFDDEQLSVAENNYVSSEANMANEDD -IDWQFVDECRKNFLTNYRPLDSATITDVLQTTRPRFGPGSFVPPDVPYGSSGVAPGAFKLTDSSLVGTCR -VDQVAFSGYFRSYPSAKHEKIVPVHEGKTSEVLFVPKDGRGPRTISREPLYLLKMQMAFLDWICPLLEQV -TSQRINFADQTTNRELARIGSVNGAFATADLKEASDSIRYRVARAIYGDSPAFRYFLTRVRSTHTTLPSG -KTIRLRKLSGMGSGLTFPILSLTIHIAISTAIKKASGLTYREASQLVYVYGDDLIVPTRYFYLVEPTLKR -VGLKLNANKSFSKGPFRESCGGDYLHGKEVVPVRFKCSWLKMPTLAEARSLYLHLQGDHALTSLERHCRE -LVKAGLFSTAEYLYSLIEKDLGRTLPYVYGDDVPYLGRYTSDPRDVHYQKPPQVVFLPTAVSGRVNKPCP -YKHLGSFLSAKADKTPVKHLFDAVTLRDLASKVADIKESVRQKVDELFLETCDLGTEVRRALGEFSERYT -LRLQAKTQLPVFCLAGRT ->APG77115.1 hypothetical protein [Changjiang levi-like virus 1] -MFPAKASRIRLYLPVFLVQLAKDLEVDSETSSYLVNRLRREGVHVVTSLLPSFSKWVLRCIENNMLDKSF -THFAWKGRFPRYFRGLLTQIFDLGGRVIVSTDASVALYQIRSFCEYFYKLAFDFTLEDKQSALAKYLSIE -AEVRDFSPQKRWLSILRSNLRYYPRFTGAKPEEILAKHRPRDTSGSTFGMDVNASNRIRRSTNFVSKTCR -LDQRAFSGFYRPYPSCKERIRLNRHEGKTSEVMFVPKDSRGPRTISKEPLHLLRGQMSFFDFAVDSLEKD -SKSGIRFRDQSVNRELARVSSIDRSWATLDLRDASDRISYDLVLTLVNECPGLRYFITRMRSTHTKLPNG -DIIPLRKLAGMGSGLTFPFLAFLCHLSVCSYVCLRHGKSYLEVAPRVHVYGDDVVIPNEWYDAAVRGLSA -SGLVVNTDKSYERSHFRESCGGDYYLGNDTTPVRLKLTQGGLASPQDARDGILKLSGDGALLQLERHARE -LVKAGLYRLSNEIYKILRKAGVPLPEVAGSSPVLGRYILYPNRISDDPVEACVPGPSYITMHNMCPYKLL -RDFLLSQEVQEPRPTDALAERYAVKIRHKLVSGPTLRGRIQ ->APG77192.1 hypothetical protein [Sanxia levi-like virus 1] -MYLNIDKLFACLLRDLCIDNVSRDYLLRRLNAEGVKFLTVTLPGLWKSTLISMERGFFVYEENTSFARKR -KLPLVMNGLFRRIFDARGYLLADPCEKALLAIRQVCEYWYKCAFTFSEDQLDSATKSFLSVEDTMKNSKG -SISESFVDECRKSFETYYKPLVNAKLEDVLELHRPSNGPGSVHVGGIFADMTVPELKLSTSALVGTCRVD -QKPFAGFFKAYPASSERITHVDEWKLSEVMFVPKDSRGPRVISKEPLYLLKMQMSFLKWSTEALERITNY -RINFKDQSKNRALALQGSIDRLTSTADLKEASDRIRYSVARTIYGNSPVFYYFLTKGRSTHSVLRTKKSV -KTVRLTKLSGMGSGLTFPILALTIHIAVCTRIAKRYGLPYKEVMDLVYVYGDDLIVPTKYYDCVQSALEG -VGLALNASKSFSKGPFRESCGGDYLNGKEVVPLRLKLASCKLPTTKAVDHFDMVVRVVKTTTSSWVVEKQ -PVLVKTWAVSTVQGKTVISSAHRISSSKQIVLKTSEKPCKRIVTLPKRSFRLNLSSPMAIVALERHARLL -VQRGHFQTSEFIYTYLESILGKLPLVGGSVSYIGRYSQSTYEVVTQSLAGVVVYTTKAVTSSIQTEPCPY -RYLGTKLTPPLESAPSSKAQAFAFANMVHGLGFPNEEIQIAPPGLELGQYNERYALNIVRRKEVEAWHLT -NAL ->APG77061.1 hypothetical protein [Beihai levi-like virus 1] -MTRARINGPIHLLIRRKIVKRPTMLAKEILTQASIDLGLSIERDVQTLSHRVEHEGIEFLTITLPVLCDA -LERGLEDGTFKCPAGFARHRSLPSFLKGFFKRVFDLDGRLLDEPDVECIFYIRQITRFQKKLKLPCSERR -EAAAVRRFKEVEGELHDATRMVEREDILLDQISRMLWGRVFPEPKHDELYCKHGPGATADRVSLNERNRI -RQWHIRAELSFPSDLHCYPNYGYAAEAHFGGDLPKEVSLVEGPDYLDVKDEPGVRVVMVPKTQTTPRVIA -IEPSHVQYMQQGLMRYVVPILESNPLTRHSIRFSDQTTNQRLAYLASRDRRLATLDMKEASDRVHLHLVQ -RIFQNSGILEYLEDSRSLHANLPDGSNIILNKFASMGSAMCFPVEAMVFYTLILTALHRADGINPSFRSI -ERYSRDIDIFGDDIVVPTDSVDCVIQYLESFSLLVNRHKSFWKGNFRESCGGDYYCGTDVKPVYARQLMP -DDGERWTPGQVMAWVSTADQFYRKGMWHIAQVIRDLVSRAVRCRVPRATREGPGVFYFSFIFNTGLVWDS -KLQCLKQKRLDYIPLTKKDNINDDTLACLNRWGHSHAGHSGNDDFSPSWDVRLRDRSNSGLDQSFAGLCP -DKEESDFRVRSQVSGAVSQPSQDADPLASFEAVTSCLPCDTEGTVRIVHGATLTDLLHGKSRFTERSVLP -RVSNRASVDGDVRGFTPPEVGTYSSFLLPVWCVAERDDSGPPVSTRENNVFEMLTTANRIIDFESSTKRG -GFKPKHRWVPLSGVRG diff --git a/seq/clusters_seq/cluster_1041 b/seq/clusters_seq/cluster_1041 deleted file mode 100644 index 6b309a5..0000000 --- a/seq/clusters_seq/cluster_1041 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_006732331.1 insect transmission protein factor [Dahlia mosaic virus] -MSNASANPHIYKKDKYVRLKSLEPVSSKQRQYYFATDDKKSNIKSIAAHCNNLNVVVAKSWLKLSKLLSY -LGLEKDESEAYSKNKLPFGQFFKDLTKSFQEGGDNKEEKTNLLPTLQEMSNRIGKISEETKKLAEQDTVT -NKQLEAMVKDFDKRLKEIQDSVKAIVG - ->YP_001931964.1 aphid transmission factor [Eupatorium vein clearing virus] -MANKMLTYPHIYKKGLIYEFKSLDDKSNPRRYTFSSDENSAGLKPIIRHLNNINQITARNWLKLTKLLAY -LGLEKDKTNGLSKKPSPWDQLLKDIEKIFHRSSSSKGNDQTKLLEKLEEISHKLPKAENLVTREELSGII -KSFHEELKEVKSMIKSVIG - ->NP_659394.1 hypothetical protein [Mirabilis mosaic virus] -MSNAQANPHIYKKDKYVRLKPLEPTSSKKRRYIFASNDETSSIKTIAAHCNNLNTIVAKNWLKLSKLLSY -LGLEKDESEAYSKNKVPFGNFFTKLRASKVGEDKEKTANLLPTLQEMSSRIGKISEETKKLAENDVVTNK -QLETIIKDFDRRLSEIQNSVKAIVG - ->NP_619545.1 unnamed protein product [Figwort mosaic virus] -MSKDHLDFPHVYKKNKVLKLKPLDLSKNPRSYYFSSQNGSIQAIINHCNNINQITARNWLKLSKVLSYFG -LEKDTSDSISKNKSPFNRFLKDISHIFREGEGSTKKASELGEILEKIKNLDLKIENLNKRIPDNLVTKAL -IKELVKDFDERLTEVRDDIKKVIG - diff --git a/seq/clusters_seq/cluster_1042 b/seq/clusters_seq/cluster_1042 deleted file mode 100644 index 1fd1b98..0000000 --- a/seq/clusters_seq/cluster_1042 +++ /dev/null @@ -1,13 +0,0 @@ ->YP_006424010.1 PIPO, partial [Wild potato mosaic virus] -KLHRPFASAVARIKLAGKIVINMAIKKVKRKTSENHTGRKSARFERNFLFLSENIVYRSISSHKSLGK - ->YP_006423969.1 PIPO, partial [Brugmansia suaveolens mottle virus] -LSKSLANPLERAKLAGKIISLLVLKKAKETINKTFAKRKNRQFERCVRILTKAAYHTTCSSHQTKDGWCC -SCDKRLY - ->YP_006395326.1 PIPO, partial [Peru tomato mosaic virus] -KLQQPFRGAVARARLVGKVIINLAIKKVKSKTSKVSSGRKSARFQRNFVFLSDCILHRGISAHKKMGFKI - ->YP_006395319.1 PIPO, partial [Potato virus V] -KLHGTSASAVARIKLAGKVIFNLAVKEIKKKASDRHNGRKSARFERSFHFLSESIIYRGISPYKNMGR - diff --git a/seq/clusters_seq/cluster_1043 b/seq/clusters_seq/cluster_1043 deleted file mode 100644 index 0b92441..0000000 --- a/seq/clusters_seq/cluster_1043 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_006424007.1 PIPO, partial [Tomato necrotic stunt virus] -KLSRNLRRRVVRIELAWKIASSLLLIQATEKYCKAFAPNRVSRFRRSLQYLTTCACHKRF - ->YP_006423943.1 PIPO, partial [Sunflower chlorotic mottle virus] -KLSRSLTRGMVRLNLVGKIICNLAFTKSQATYCSTFATHRKSRFEGLVRHITKCMFGKEFKSFQERKREC -Q - ->YP_006405421.1 PIPO, partial [Verbena virus Y] -KLSKSLRRGMVRLNVARKIVCNVVCAKTTKKFFKYTKPRAKSRYERNVRYLTKCCFQKSVGSSFGQGCSS -KGFYQRDNNN - ->YP_006401479.1 PIPO, partial [Pepper severe mosaic virus] -KLSRRIRSCMARFNLAGKIAGNLVFAKAQALYCETFARHRQRRYEGLIRYITKCAFGKEFCCCQRRKMHY -GTA - diff --git a/seq/clusters_seq/cluster_1044 b/seq/clusters_seq/cluster_1044 deleted file mode 100644 index 52dd887..0000000 --- a/seq/clusters_seq/cluster_1044 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_006395339.1 P1 protein [Pennisetum mosaic virus] -MAGMDGSWAMVASRKRPNLDNPYVVRKVMERYAAKHQEYDAEKALAKNLRILKRTHPGTNFTLPTTQVPV -EQPREEPKLKRVQIWVKVADHNVKVDTPITKRVVAKEKPKPLIVKYDVASLTSQVIKMKQNTNCEVAIIG -RKQSHITRLNAITRNKRLHLHCKTLHEEGKFKRRDVRISAEWLPTLATICRKFDTMPHHEFAKLRKGDSG -LTCFYHNELFIVRGRCDNELVNSLTIENNVLDINHY - ->NP_734083.1 P1 protein [Sorghum mosaic virus] -AGAWKTVSHKWKPDLDSPRDVRKVMEHFAAKHQTYDAKRAEAHNAKRLRRTFILETSDEIPKRAPIKKQV -YVEKEDHNPTQHLKYEGFDVIKNKRVEKPVTTSVTKLIKDLLKLNQETNINIELVGKKHNSTTRLSLVKK -DKKVYLHCKTRHKMGNYKRKVTNIQAHWESYLTAVSKCYGSLSHKDEQRIRKGDSGITYIRNGALFIIRG -KHQGKIINSIEEVNFINEIDHF - ->NP_734133.1 P1 protein [Sugarcane mosaic virus] -MAGSWTHVTYKWQPDVNNARDVKRVMEMFAAKHQHYTEEQRLAHNSKLLRKACVTSAEFIKPAQKPKCHQ -TWVEKCDHNPTEHFVYQRSTPEKKVLSTKPKTTSVTKLIRDVLEISKGSGIKIELIGKRVKRKTQLSIRQ -HNGKDFLHCKTRHENGLFKRKDIDINVKWLPTIEAIAKCYSTVNAEELQSLNRGSSGLTFMQNDELFIVR -GRMHGEIVNSLHENKHVMEIEHY - ->NP_734143.1 P1 protein (protease) [Maize dwarf mosaic virus] -AGTWTHVTHKWQPNLDNPRDVRRIMELFAAKGQVYDEKRALEHNSKLLRRAQVVDVEPMITVQPKKCAQI -WKEVVDHNPTHHFVYARFSEVKKQQPTKPVATSVNKLVRKTLEIRENFPVNVEFIGKKRKNTTRVSLRKV -FNKTFLHCGTRHENNQFKRVDTNITRDWIPVLSSVAKCYATLSSNMMHNIHKGHSGLTFIQNGELFIVRG -RLRGELCNSLDCTKEVQEIEHY - diff --git a/seq/clusters_seq/cluster_1045 b/seq/clusters_seq/cluster_1045 deleted file mode 100644 index 826bd3b..0000000 --- a/seq/clusters_seq/cluster_1045 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_006395338.1 PIPO, partial [Pennisetum mosaic virus] -NLHRSIKSRMGRIVILWKITRNLACVQTQEILQTILNPERKRRFRRCLQYISYASGVKFSAEGSHSSQLY -YNQTPPKCMR - ->YP_006395323.1 PIPO, partial [Sorghum mosaic virus] -KLRRSLKPSMGRFAITFKIIFNLACVRSQEILQAVLSPEKRRRFRRNVQYLSYASNIKFSAEKSRSSQLY -FNQTPPQFM - ->YP_006393473.1 PIPO, partial [Sugarcane mosaic virus] -KLCRSIKPVMGRIIILWKIFSNMACVQSQEILQAIFNREKKRRFRRCLQYISYASNIRFSAEKSRSSQLY -FNQTPQRFL - ->YP_006393471.1 PIPO, partial [Maize dwarf mosaic virus] -NLCRSVESSVDRIIIVWKILRNMACVQGQEILQAIFNPAKKRRFRRCLQYISYASNIKFSAEKSQSSQLY -FNQTPPRFM - diff --git a/seq/clusters_seq/cluster_1046 b/seq/clusters_seq/cluster_1046 deleted file mode 100644 index 2e348b5..0000000 --- a/seq/clusters_seq/cluster_1046 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_005352653.1 3B [Canine picornavirus] -GPYNGFSQQPLKKPELRRVAKAQ - ->YP_004782567.1 3B [Bat picornavirus 2] -GAYSGQPTTPLKKPELRRVAKTQ - ->YP_004782553.1 3B [Bat picornavirus 1] -GAYSSAPKPELKKPELRRVVKTQ - ->YP_001552440.1 3B [Rhinovirus C] -GPYTGMPTTPKKKPELRRATLQ - diff --git a/seq/clusters_seq/cluster_1047 b/seq/clusters_seq/cluster_1047 deleted file mode 100644 index 6ee0458..0000000 --- a/seq/clusters_seq/cluster_1047 +++ /dev/null @@ -1,82 +0,0 @@ ->YP_005097975.1 RNA dependent RNA polymerase [Rosellinia necatrix quadrivirus 1] -MDSTDNIEHDTYREDIRYERSRMGYRAKARTLITNGKEYRLRQSAWRMLSKQGTRDLLLQGRKCADELGT -GDGHIYSQVSQEMATMLREIGYSGGRADVPITVYRPRERSAARVETKAVLEAVLDYVQRADSQKVELDVA -AMSEHELCSQISYLRPSTVVGLGMTWAPTRTEGHWMEDALINACTEAFKEAENVVSDDLVQANLIVEALL -TKMIRSNIVFDADYGKDARRVEPHERSSGLDLTAAAKLCQGNTVVQELFRFCEQIYYDAFDKWLAGSKTA -RGRALRQANPILVDRSVSDTSCNDWLLARMEDVWFDWNVTGWISAAMIAIYINSDPTTRQLSGHEQRLVA -KSFYKGSTWRKLVDISATMDATPDRESGEVTAAALRMVRLRAPLNAITALCDDLEYAYRSGTVLEDHERA -LLAAKFGKITAAELYYEAPAELKSSTDSIKQPPYVRIIEEDGEYTYQECALADANAVLLGWEHTADKPAS -KTTAVLTGEEYAVFSFSDQSLRASYIRYDTCENKLHNVVAAHAGGSLYRENSFGCSAPIVEFLDQFGQQY -EALMTPAERVNLLMALSTPTITQQHHQHLSLTNVLNSIGAWDCVLDHVLAWPDINYTMFTTVALALSGLP -AQARYLMNTWRGWSCESAQAYHKVAKNFSTTCKSLDNKVTLLGEPLDLAVFFEWETVLNRGFGKMSWSDE -IRDRRDLTQNVDLGVPEAEQHIRDIMLEIKSKMKKRGGKLLLNRTWEQFYSARSRETPAGSASSLDPYIL -ECKQKVKDATKADITKTQVLSFMADGYTIDQLLSKKPQVLATVSIKYEWGKMRALFAAVVEHYLLAAFAF -TGVEDFMPNDCPVGKGADASAVCSKVMAMAKSSVYVCVDAANFNILHTFALMSAVIRIYGEVFHDWLSPE -QQETVRWLMEAELDQRVVLNKSQLDAELYEEGMRDGWITQHGDKLIVQVLGGLFSGHRLTMFINTVLNRV -YYRVAAARAHTEPDALHSGDDVFACYQSIGEALRVKAGFKSFGYTLQLTKCFLLGVAEFLRISHKNNNTS -QYYARSCATSVHGRIETGEANDMQALATANITRACEAMLRYGERRVFMQVVAMQNAGVCARWSVPTYVYA -AYIITPTLLGGMRCGHSEESLLSNFAIERIANVNSPAVAFFQQTPGVKQAARDIVQALGLTEHYNRAGRA -IAAGIATRSVLSAFSMSLRWMGDQVHVAMKRWAGKYAHIRQSRDYILSKSVGLFNLLWEEGHFGEQFDRV -TQVHSSWLGKILEVALMPAQVIKNGLADTLMDKVGMLSEVRRIQAGMREW - ->BAM93353.1 RNA dependent RNA polymerase [Rosellinia necatrix quadrivirus 1] -MDNHTDIETDTYHDDVRYERSRMGYKSRAKTDVVNGKEYRLRQEQWRLISKEGGRRLRTQAADWLRELET -GDGHVYTSLSEDAAAMLRDMGYSGGRGDAPTTVYRPSATSADSISCPDVLRAVLDVVTKAESLKSNHNVA -ALSEEDMCAAISYLRPKTVVGLSNTWAPTQCEGHWIEDALMNAVVAAFRQAENVCGEDLAQANVIIQALL -TKLVRHNLVYDADYGKDRRRREPHEGSMGATLKSAVRAVTDRTLQNELFNRASDCFETARSKWLANAKTA -RGRATRQANPLLVDRSVSDSCCNDWLLARMEDVWYDWNVTGWIGAAMIAVALTVDPLTSGMSTGQLNSII -DSFYSGSTWRKLVDLGTTMDATPDRESGEVTAAALRAVRLRASLNPLAALCDDLEYSYRAGNQLAAIERE -LLSAHFRRVISNENCYPEMTKIPACSDRIQQAPYVAITESSGEYTYQHTDIAGSNAVLLGWRSEPDKAPD -KSTLTLSGEQYDVYGFSGQGQRAYYIKYDSCHNRLANGVTAHAGGSLYRESAFGCSAPVVEFLDQFGHNY -DHIMTGEERVALLMALSTPAITQQHHQHLSLVNVLNAVGSWDPVLDHVLSWSDINYTMFTTIALAVSGLP -PQARFLINKWGGWSCESAKDYHKNAKNFSTACKSLDNKVVLLGEPLDLAVFFEWETVLNRGHGKMSWSAE -IRDRRDASQNVDLPLTEAEGHIRDIMIEIKRKMKRRGGKDLLHRSWEQFYSARARETPAGSASSLDPYIL -ECKQAVKNATKADITKTQVLAFMEDGYTIDRLLSKPPMVLATVSIKYEWGKMRALFAAVVEHYLLAAFAF -TGVEDFMPDDCPVGKGADASAVCAKVMAMAKRSVYVCVDAANFNILHTFALMSAVIRIYGEVFDDWLSDE -QKATVKWLEQAELDQRVVLTRSQLDTDLYEEGVRDGWIAQDGDKLIVTVLGGLFSGHRLTMFINTVLNRV -YYRVACARAYTQPDALHSGDDVFACYLTIAEALSVKAGFKSFGYTLQLTKCFLLGVAEFLRISHKNNNTS -QYYARSCATSVHGRIETGEANDMQALATANVTRACEAMLRYGDRRIFMQVLNMQNAGVCARWSVPCYVYA -AYLVTPTLLGGMRCGHSSESLIANFAIERIANVNSPAVAFFQTTPGVKQAARDIVHALGLTEHYNRAGRA -IAAGIATRSVLSAFSMSLRWMGDQVHSAMKRWAGKYSHIRQSRDYILSKSVGLFNLLWEEGHFGEQFDRV -TSVHSTWLGKILEVALMPNQVLKNGLADTLQEKVAIVMEVRRIQAGSREW ->CAJ29959.1 RNA-dependent RNA polymerase 2 [Amasya cherry disease-associated mycovirus] -MAHHMFMENLSVSNDQGSPFINNDELPEHQRARRAPNSSTGASGRGNPGAWVMYPDDKLADFISFLANTE -IDDMCSASEVGIAPELIKVLRSTGVRTSPLDAFADPNYCVCDNELRFIDHREAALNLKKWVGSAIVQKKQ -YAMGVHPMTSPVPNEDPMLLSFAPQSLKTIAVLGGARAVTTDIAAHACQLLLNQQAEGRSERDQVILNAV -LLHTMESRASIRPMKIQSRMGSNHAVVDPMNAISVKHSVTEDGYLREYSDFCEANRSTISTMMAKCMKAG -IAHHGLDGLRTEEASASSKLASKRAGDVGQSKAMAAGDISRVDAMVVASQVSWWHPGVTMWIAAYKMSVA -MLSSAKALTLSDKEVQCLVEYTFSRTTYRKLVASNALMDSTRDIAASEVTQAASTPIRWERQVHPLVLVL -DDAEYSITRKTASVELTSVYNKVHAYMALGLGAMYGDIAKTGMQQPNSIGTGLLARSGRSQRASPVFARV -QLTESAVTVTACPTSDSDAVLMTINKGVEKAGLHNIVLGNEVVKVIKKPEYGMTLTYLIPSTISGKGADK -SYVYLAGMHFREDSLSYSLPTLEFLSQFTTLYEPMSPVKRQKMFRLLVDPTTTRVHHRHMSLLTVMATCG -HAWAPCMDKVLQWPDITNTFMSSLLLAMAALPPELYVLMVEWNGWAKCDSMAEYILEAKNLTTKMKALDN -QVTLGDFELDLAPLFEWEVLNHRAVLKGIYDKELIERRDEKQSIKLTAEELEAEIDSVFQDVSAVLDSRT -EEGGKSPLYATWSDWYVDRVQTTPAGSAFTVNKDMMEARNMLKANGVQNLTKTQVMAQMRDNLPLSAVIG -CEPMILAQMSWKLEWSKLRALFAASMEHWMPSAFSLGQIEEYLPSDCPIGKAADAHNVCRRVMEMSTQGV -VACIDAKNFNILHTHKIMSAILTSASKMLGNRLSEEQHECLRWLAKAELNQKVLVKTGEVTEQMLEKGRQ -EGWINRLTKGDGTVVEAADVTVGMFSGTRFTMLYNTVLNRAYYKVAEKRAQIKTLSLHSGDDVYAVFANY -IDVYKMKREMAKIGYTLQLGKCFLQGVREFLRISHKNANTSQYLARSAATAIHGRIEADEPSDFVAFVGS -IMRRGAEMVVRHAARAVLLDVMKIQIAGACARWAVTAMAWDSFLMLPKIMGGCAAKARLTEQWNGFSIER -TASARGSIVDYLAEQPGTRTAARRLVDKLQITKYHRRVAQAVAAAIAPKGVLKNYGMIVRWMNKDDMAHM -SGVAGSLGRIRQSREYILSKAAGLFNTLAINDNF ->CAJ29958.1 putative RNA-dependent RNA polymerase 1 [Amasya cherry disease-associated mycovirus] -MAHHMFMESLSEENEQGSPFIDSQALPDHKMAREAPPAVVDSRLRGNPGAWMMYPDDQISELISYLANTQ -AQDMPTTSASAVSPHIIRALRTMGVQGNEQGAFSDPNYCVHDNQVRRIDRVKAAMNMRRWVGSAIVQRKK -TSMGTYPVLSPIPNDDPMMMKFSPFPLQLELYFGGKRAMNADQAAQACQIVINQMTEGMSSKEQALMHTV -LLQGYQSRGSIARMEIKSRMGKTNPPIDSNMSISDKYSMHEPEYEAAYVRFCDANENTIRDYMARCIKVG -VAAHGFEGTKTEEAAAMAKLARRKAGTYGEKRAVAPGDLGLVDSLVVKSQIDWWHCNVTMWVAAYKLSVT -LAASCGDMSFSDEEANEIIQYTFSRSTYRKLVASDAVMDSTRDIAASEVTQAASTPVRWARQVNPIVLVL -DDAEYAITRNEANGVLTKIYDKVHAAIMKQAGKGYGDYNSTGLELVYSKETGLSADETQRGVLNPVFGYA -KLANGAITVEPCKCADSNAVLMTMSEGEQKPGLSEVSIGSESVKIVKQNRGGRTLTYLMPETISGMGADR -SYVYLAGMHFREDELKYSLPTLEFLSQFTTEYKPLQPSERLSKLRVLTDPTSTRVHHRHMSMLTVMATCC -HAWAPCMDTVLDWPDITNTFMSALMLTMAAVPPELYVLMCEWNGWASCKSMAEYVVTAKELTTKMKALDN -QVAIGDFELDLSPLFEWEVLNHRAVTKGIYDKELTERRDAMQSIKLTPEQLRPHIDSVFRDICAILDKRT -KHGEKSPIFANWDDWYADRVQATPAGSAFTVEESLLQARQVLKDNGVQNLTKTQVMAQMQDGLKLDTLLS -QEPAIIAQMSWKLEWSKLRALFAASMEHWMPSAFALGQIEEYLPADCPIGKAADAHRVCRRVMEMSTQGV -VACIDARNFNILHTQEVMASILESASVMLGSRLSEEQHKCLKWLSKAEMNQKVLVKKGEVTEELLSAGRQ -EGWINQMMKGDGTMVEAATVTVGMFSGTRFTMLYNTILNRAYYKVAEELAGIKTLSLHSGDDVYSAFASY -IDVYKMKKAMALIGYTLQLAKCFLQGVREFLRISHKNANTSQYLARSAATAIHGRIEADEPSDFVAFVGS -IMRRGAEMVVRHATRTVLLDVMKLQIAGACARWAISAMTWDAFLVLPNIMGGCAARPRKADEWSGYSIER -TASARGGIVDYLAQQPGVKTAARQLVEALGIRRYHKRVAQAVAAAIAPKGVLKNYGMIVRWMNKDDLEHL -ATVTGQLERIRQSREYILSKAAGLFNTLAINDHFWGDVTGVFRGIPSAWHARALTFALAKRGEEKALFDN -RRFKLHTTVMGWIGVATHYSQTRHRLNLGQRPL diff --git a/seq/clusters_seq/cluster_1048 b/seq/clusters_seq/cluster_1048 deleted file mode 100644 index b9039b5..0000000 --- a/seq/clusters_seq/cluster_1048 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_004935376.1 unnamed protein product [Grapevine leafroll-associated virus 6] -MLDLFSQFNWVFQICAFILIILFFAVLALVVQKVFYNAARGPAPPS - ->YP_004935370.1 unnamed protein product [Grapevine leafroll-associated virus 4] -MLDLFSQFNWVFQICAFILIILFFAVLALVVQKVFYSTIRGPAPPA - ->YP_004901688.1 P5 gene product [Grapevine leafroll-associated virus 5] -MLDLFSQFNWVFQICAFILIILFFAVLALVVQKVFYNTVRGPAPPT - ->YP_002364304.1 small hydrophobic protein [Grapevine leafroll-associated virus 10] -MLDLFAQFNWVFQIAAFVLIILFFAVLALVIQRVFYNSVRGPAPPS - diff --git a/seq/clusters_seq/cluster_1049 b/seq/clusters_seq/cluster_1049 deleted file mode 100644 index 77f0278..0000000 --- a/seq/clusters_seq/cluster_1049 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_003288760.1 protein B2 [Tiger puffer nervous necrosis virus] -MEQIQQAIDQHLVELEQLFQALMDKRVALGGVTVVQVNEMRTFVISAHAAACRLHVLSRRYPPAPVADGE -PMEME - ->YP_003288757.1 protein B2 [Barfin flounder nervous necrosis virus] -MEQIQQAIDQHLVELEQLFQVLMDTRVALGGVTVVQVNEMRTFVISAHAAACRLHVLSHRYPPPPVAGEE -PMETE - ->YP_611156.1 protein B [Redspotted grouper nervous necrosis virus] -MEQIQQAIDQHLVELEQLFQVMMDTRVALGGVTAIQVNEMRTFVVSAHAAARRLYVLSRRFPPLPAVIEE -PMETD - ->NP_599248.1 protein B [Striped jack nervous necrosis virus] -MEQVQQAIDQHLVELEQLFKMLMDVRVALGGVTVVQVNEMRTFVISASAAAQRLRALARRYPAPLAVAAE -PMETE - diff --git a/seq/clusters_seq/cluster_105 b/seq/clusters_seq/cluster_105 deleted file mode 100644 index 9b454e6..0000000 --- a/seq/clusters_seq/cluster_105 +++ /dev/null @@ -1,160 +0,0 @@ ->NP_057852.2 Vpr [Human immunodeficiency virus 1] -MEQAPEDQGPQREPHNEWTLELLEELKNEAVRHFPRIWLHGLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCRHSRIGVTRQRRARNGASRS - ->sp|Q9QSR1.1|VPR_HV1VI RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPGDQGPQREPYNEWALEILEELKNEAVRHFPRPWLHGLGQHIYNTYGDTWEGVEAIIRILQQLLFI -HFRIGCRHSRIGIVPQRRVRNGASRS - ->sp|Q9IDV6.1|VPR_HV1YB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MERAPEDAGPQREPYNEWALELLEELKNEAVRHFPRIWLHGLGQHIYNTYGDTWEGVEAIIRILQQLLFI -HYRIGCQHSRIGITPQRRRNGASRS - ->sp|Q8AIH9.1|VPR_SIVTN RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPNDNGPQREPYTEWLLDILEEIKQEAVKHFPRPILQGVGNWVFTIYGDSWEGVQELIKILQRALFT -HYRHGCIHSRIGS - ->sp|Q79668.1|VPR_HV1MV RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQALENQGPAREPFNEWTLELLEELKEEAVRHFPRPWLQACGQYIYETYGDTWEGVMAIIRILQQLLFT -HYRIGCQHSRIGILPSNTRGRGRRNGSSRS - ->sp|Q73369.1|VPR_HV1B9 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNDWTLELLEELKNEAVRHFPRIWLHSLGQHIYETYGDTWTGVEALIRILQQLLFI -HFRIGCRHSRIGIIQQRRTRNGASKS - ->sp|O12160.1|VPR_HV192 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKREAVRHFPRPWLHGLGQHIYETYGDTWTGVEAIIRILQRLLFV -HFRIGCQHSRIGILRQRRARNGASRS - ->sp|P69725.1|VPR_HV1B1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPHNEWTLELLEELKNEAVRHFPRIWLHGLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFQNWVST - ->sp|P12520.2|VPR_HV1N5 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKSEAVRHFPRIWLHNLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCRHSRIGVTRQRRARNGASRS - ->sp|P17287.1|VPR_SIVCZ RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPPREPYQEWALETLEELKNEAVRHFPRPWLHQLGQFIYDTYGDTWVGVEAIIRILQHLLFI -HFRLGCQHSRIGILPQRRRSNGSNRS - ->sp|P05954.1|VPR_HV1RH RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKSEAVRHFPRLWLHSLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCQHSRIGITRQRRARNGASRS - ->sp|P05956.1|VPR_HV1EL RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPADQGPQREPYNEWALELLEELKSEAVRHFPRIWLHSLGQHIYETYGDTWVGVEAIIRILQQLLFI -HFRIGCQHSRIGIIRQRRARNGSSRS - ->sp|P05952.1|VPR_HV1A2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKREAVRHFPRPWLHSLGQYIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCQHSRIGIIQQRRARRNGASRS - ->sp|Q1A265.1|VPR_SIVMB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQLPEDQGPQREPYNEWTLEILEELKREAVRHFPRDWLHQLGQHIYTTYGDTWAGVEAIIRILQQLLFI -HYRIGCHHSRIGININQQRRRRNGANRS - ->sp|Q1A247.1|VPR_SIVEK RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWALELLEDLKNEALRHFPRPWLHGLGQYFYNTYGDTWEGVEAIIRTLQQLLFI -HYRIGCQHSRIGITPQRRRNGASRS - ->sp|P0C1P4.1|VPR_HV1MP RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQPPEDQGPQREPYNEWTLELLEELKNEAVRHFPREYLHGLGQYIYNTYGDTWRGVETMIRILQQLLFI -HFRIGCHHSRIGIIRQRRLRNGSSRS - ->sp|P0C1P5.1|VPR_HV1M2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQPPEDQGPQREPYNEWTLELLEELKHEAVRHFPREWLHGLGQHIYNTYGDTWEGVEAIIRILQQLLFI -HFRIGCHHSRIGIIRQRRIRNGSGRS - ->sp|P0C1P3.1|VPR_HV197 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTIEILEELKREAVRHFPRPWLHDLGQHIYNNYGDTWEGVEAIIRILQQLLFI -HFRIGCHHSRIGILRQRRGGNGASRS - ->sp|P0C1P2.1|VPR_HV196 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPNNEWTLEILEELKREAVRHFPRPWLHNLGQHIYTTYGDTWEGLEAIIRILQQLLFI -HFRIGCHHSRIGIIPQRRGRNGSSRS - ->sp|Q9WC65.1|VPR_HV1S9 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYHEWTLELLEELKNEAVRHFPRPWLHGLGQYVYSTYGDTWEGVEAVIRILQQLLFI -HFRIGCHHSRIGIIPQRRGRNGASRS - ->sp|Q9WC56.1|VPR_HV1S2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDHGPQREPYHEWTLELLEELKNEAVRHFPRPWLHSLGQYIYSTYGDTWEGVEAIIRILQQLLFI -HFRIGCHHSRIGIIPQRRGRNGASRS - ->sp|Q9Q718.1|VPR_HV1V9 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPHNEWTLELLEELKSEAVRHYPRPWLHDLGQHIYNTYGDTWEGVEAIIRTLQQLLFA -HFRIGCQHSRIGITRQRRVRNGPSRS - ->sp|Q77375.1|VPR_HV1AN RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPENQGPAKEPFNEWALELLEELKAEAVRHFPRPWLHALGQYIYETYGDTWVGVMAIIRILQQLLFT -HYRIGCQHSRIGINPRGRGRRNGSSRS - ->sp|Q75004.1|VPR_HV1ET RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWALELLEELKQEAVRHFPRPWLHNLGQYIYETYGDTWSGVEALIRTLQQLMFI -HFRIGCQHSRIGILRQRRARNGASRS - ->sp|O91082.1|VPR_HV1YF RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MERAPEDAGPQREPYNEWALELLEELKNEAVRHFPRIWLHGLGQHIYNTYGDTWEGVEAIIRILQQLLFI -HYRIGCQHSRIGITPQRRRNGTSRS - ->sp|O89942.1|VPR_HV1SE RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWALELLEELKNEAVRHFPRLWLHGLGQHIYNTYGDTWEGVEAIIRILQQLLFI -HFRIGCQHSRIGITPRRRVRDGPGRS - ->sp|O70898.1|VPR_HV190 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPHNEWTLELLEEIKNEAVRHFPRVWLHQLGQHIYNTYGDTWVGVEALIRTLQQLLFI -HFRIGCQHSRIGITRQRRVRNGPSRS - ->sp|O70888.1|VPR_HV193 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLDLLEELKNEAVRHFPRPWLHSLGQHIYNTYGDTWEGVEAIIRILQQLLFI -HFRIGCRHSRIGITRQRRVRNGTSRS - ->sp|O41800.1|VPR_HV19N RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKNEAVRHFPRPWLHGLGQYIYNTYGDTWEGVEAIIRILQQLLFI -HFRIGCQHSRIGITPQRRVRDGPGRP - ->sp|P19555.2|VPR_HV1S3 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPENQGPQREPYNEWTLELLEELKSEAVRHFPRIWLHSLGQHIYKTYGDTWTGVEALIRILQQLLFI -HFRIGCQHSRIGITRQRRARNGASRS - ->sp|P35967.1|VPR_HV1Y2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPHNEWTLELLEELKREAVRHFPRPWLHGLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCQHSRIGIIQQRRARRNGASRS - ->sp|P22385.1|VPR_SIVGB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MGQKRDEQVSEDQGPPREPYNQWLADTMEEIKEEARKHFPLIILNAVSEYCVQNTGSEEEACEKFITLMN -RAIWVHLAQGCDGTFRERRPQLPPSGFRPRGDRL - ->sp|P05951.1|VPR_HV1SC RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLRKLKSEAVRHFPRIWLHGLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCRHSRIGIIQQRRSRNGSSRS - ->sp|P20891.1|VPR_HV1OY RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKSEAVRHFPRIWLHSLEQYIYETYGDTWEGVEAIIRILQQLLFI -HFRIGCQHSRIGITRQRRARNGASRS - ->sp|P05955.1|VPR_HV1MA RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPADQGPQREPHNEWTLELLEELKQEAVRHFPRIWLHSLGQHIYETYGDTWEGVEAIIRSLQQLLFI -HFRIGCQHSRIGITRQRRARNGSSRS - ->sp|P05950.1|VPR_HV1MN RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNQWALELLEELKNEAVRHFPRIWLHGLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCRHSRIGIIRQRRARNGASRS - ->sp|P20883.1|VPR_HV1JR RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKNEAVRHFPRIWLHSLGQYIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCRHSRIGITRQRRARNGASRS - ->sp|P05928.1|VPR_HV1BR RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPHNEWTLELLEELKNEAVRHFPRIWLHGLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFRIGCRHSRIGVTQQRRARNGASRS - ->sp|P12519.1|VPR_HV1Z2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQREPYNEWTLELLEELKSEAVRHFPRIWLHSLGQYIYETYGDTWAGVEALIRILQQLLFI -HFRIGCQHSRIGITRQRRARNGSSRS - ->sp|P05927.1|VPR_HV1B5 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEQAPEDQGPQRKPHNEWTLELLEELKNEAVRHFPRIWLHGLGQHIYETYGDTWAGVEAIIRILQQLLFI -HFQNWVST - diff --git a/seq/clusters_seq/cluster_1050 b/seq/clusters_seq/cluster_1050 deleted file mode 100644 index 0446c8e..0000000 --- a/seq/clusters_seq/cluster_1050 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_003199427.1 sigma-3 protein [Mammalian orthoreovirus 3] -MEVCLPNGHQVVDLINNAFEGRVSVYSAQEGWDKTISAQPDMMVCGGAVVCMHCLGVVGSLQRKLKHLPH -HRCNQQIRQQDYVDVQFADRVTAHWKRGMLSFVAQMHAMMNDVSPEDLDRVRTEGGSLVELNWLQVDPDS -MFRSIHSSWTDPLQVVDDLDTKLDQYWTALNLMIDSSDLVPNFVMRDPSHAFNGVRLEGDARQTQFSRTF -DPRSNLEWGVMVYDYSELEHGPLKGRAYRKELVTPARDFGHFGPSHYSRATTPILGKMPAVFSGMLTGNC -KMYPFIKGTAKLKTVRKLVDAVNHAWGVEKIRYALGPGGMTGWYNRTMQQAPIVLTPAALTMFPDTTKFG -DLNYPVMIGDPMIFG - ->sp|P03527.2|SIGM3_REOVD RecName: Full=Outer capsid protein sigma-3; Short=Sigma3 -MEVCLPNGHQVVDLINNAFEGRVSIYSAQEGWDKTISAQPDMMVCGGAVVCMHCLGVVGSLQRKLKHLPH -HRCNQQIRHQDYVDVQFADRVTAHWKRGMLSFVAQMHEMMNDVSPDDLDRVRTEGGSLVELNWLQVDPNS -MFRSIHSSWTDPLQVVDDLDTKLDQYWTALNLMIDSSDLIPNFMMRDPSHAFNGVKLGGDARQTQFSRTF -DSRSSLEWGVMVYDYSELEHDPSKGRAYRKELVTPARDFGHFGLSHYSRATTPILGKMPAVFSGMLTGNC -KMYPFIKGTAKLKTVRKLVEAVNHAWGVEKIRYALGPGGMTGWYNRTMQQAPIVLTPAALTMFPDTIKFG -DLNYPVMIGDPMILG - ->sp|P30211.1|SIGM3_REOVJ RecName: Full=Outer capsid protein sigma-3; Short=Sigma3 -MEVCLPNGHQIVDWINNAFEGRVSIYSAQQGWDKTISAQPDMMVCGGAVVCMHCLGVVGSLQRKLKHLPH -HKCNQQLRQQDYVDVQFADRVTAHWKRGMLSFVSQMHAIMNDVTPEELERVRTDGGSLAELNWLQVDPGS -MFRSIHSSWTDPLQVVEDLDTQLDRYWTALNLMIDSSDLVPNFMMRDPSHAFNGVKLEGEARQTQFSRTF -DSRSNLEWGVMIYDYSELERDPLKGRAYRKEVVTPARDFGHFGLSHYSRATTPILGKMPAVFSGMLTGNC -KMYPFIKGTAKLRTVKKLVDAVNHTWGSEKIRYALGPGGMTGWYNRTMQQAPIVLTPAALTMFPDMTKFG -DLQYPIMIGDPAVLG - ->sp|P07939.1|SIGM3_REOVL RecName: Full=Outer capsid protein sigma-3; Short=Sigma3 -MEVCLPNGHQIVDLINNAFEGRVSIYSAQEGWDKTISAQPDMMVCGGAVVCMHCLGVVGSLQRKLKHLPH -HRCNQQIRHQDYVDVQFADRVTAHWKRGMLSFVAQMHAMMNDVSPEDLDRVRTEGGSLVELNWLQVDPNS -MFRSIHSSWTDPLQVVDDLDTKLDQYWTALNLMIDSSDLVPNFMMRDPSHAFNGVRLEGDARQTQFSRTF -DSRSSLEWGVMVYDYSELEHDPSKGRAYRKELVTPARDFGHFGLSHYSRATTPILGKMPAVFSGMLTGNC -KMYPFIKGTAKLKTVRKLVDSVNHAWGVEKIRYALGPGGMTGWYDRTMQQAPIVLTPAALTMFSDTTKFG -DLDYPVMIGDPMILG - diff --git a/seq/clusters_seq/cluster_1051 b/seq/clusters_seq/cluster_1051 deleted file mode 100644 index aacd7aa..0000000 --- a/seq/clusters_seq/cluster_1051 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_003199426.1 sigma-NS protein [Mammalian orthoreovirus 3] -MASSLRAAISKIKRDDAGQQVCPDYVMLRSSVTTKVVRNVVEYQIRTGGFFSCLAMLRPLQYAKRERLLG -QRNLERISTRDILQTRDLHSLCMPTPDAPMSNHQASTMRELICSYFKVDHADGLKYIPMDERYSPSSLAR -LFTMGMAGLHITTEPSYKRVPIMHLAADLDCMTLALPYMITLDGDTVVPVAPTMSAEQLLDDGLKGLACM -DISYGCEVDANTRSAGDQSMDSSRCINELYCEEIAEAICVLKTCLVLNCMQFKLEMDDLAHNAAELDKIQ -MMIPFSERVFRMASSFATIDAQCFRFCVMMKDKNLKIDMRETMRLWIRSAADDSVVTSSLSISLDRGRWV -AADVNDARLLVFPIRV - ->sp|P12002.2|SIGNS_REOVJ RecName: Full=Protein sigma-NS; Short=SigmaNS -MASSLRAAISKIKRDDVGQQVCPNYVMLRSSVNTKVVRNVVDYQIKTGGFFSCIAMLRPLQYAKRERLLG -QRNLERIAARDVLQTRDLHSLCMPTPDAPMTNYQASTMRELVCDHFKVDHVDGLRYVPMDDRYSPSSLAR -LFTMGMAGLHITTEPAYKRVPIMHLAADLDCMTFALPYMITVDGDTVVPVAPTLPAERLLDDGFKGYGCL -DISYGCEVDANNRSAGDQSMDSSRCINELYTAETAEAICILKTCLILNCMQFKLEMDDLAHNGFELDKVQ -MMIPFSERVFRMASAFATIDVQCFRFCLLMKDKNLKIDMRETMRLWTRAGSDDAISTSSLTISLDRGRWV -AMDMNEVRLLVFPARV - ->sp|P03526.1|SIGNS_REOVD RecName: Full=Protein sigma-NS; Short=SigmaNS -MASSLRAAISKIKRDDVGQQVCPNYVMLRSSVTTKVVRNVVEYQIRTGGFFSCLAMLRPLQYAKRERLLG -QRNLERISTRDILQTRDLHSLCMPTPDAPMSNHQASTMRELICSYFKVDHADGLKYIPMDERYSPSSLAR -LFTMGMAGLHITTEPSYKRVPIMHLAADLDCMTLALPYMITLDGDTVVPVAPTLSAEQLLDDGLKGLACM -DISYGCEVDANSRPAGDQSMDSSRCINELYCEETAEAICVLKTCLVLNCMQFKLEMDDLAHNAAELDKIQ -MMIPFSERVFRMASSFATIDAQCFRFCVMMKDKNLKIDMRETTRLWTRSASDDSVATSSLSISLDRGRWV -AADASDARLLVFPIRV - ->sp|P07940.1|SIGNS_REOVL RecName: Full=Protein sigma-NS; Short=SigmaNS -MASSLRAAISKIKRDDVGQQVCPNYVMLRSSVTTKVVRNVVEYQIRTGGFFSCLAMLRPLQYAKRERLLG -QRNLERISTRDILQTRDLHSLCMPTPDAPMSNHQAATMRELICSYFKVDHTDGLKYIPMDERYSPSSLAR -LFTMGMAGLHITTEPSYKRVPIMHLAADLDCMTLALPYMITLDGDTVVPVAPTLSAEQLLDDGLKGLACM -DISYGCEVDASNRSAGDQSMDSSRCINELYCEETAEAICVLKTCLVLNCMQFKLEMDDLAHNATELDKIQ -MMIPFSERVFRMASSFATIDAQCFRFCVMMKDKNLKIDMRETMRLWTRSALDDSVVTSSLSISLDRGRWV -AADATDARLLVFPIRV - diff --git a/seq/clusters_seq/cluster_1052 b/seq/clusters_seq/cluster_1052 deleted file mode 100644 index 6e72832..0000000 --- a/seq/clusters_seq/cluster_1052 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_002956127.1 3B [Cosavirus D] -GPYNGPTKKELKTLKLKAQ - ->YP_002956116.1 3B [Human cosavirus B] -GPYNGPDKKSLKVLKLKAQ - ->YP_002956105.1 3B [Cosavirus A] -GPYNGPTKKEIKTLKLKAQ - ->YP_002956085.1 3B [Cosavirus E] -GPYNGPSKKDLKTLKLKAQ - diff --git a/seq/clusters_seq/cluster_1053 b/seq/clusters_seq/cluster_1053 deleted file mode 100644 index cd194aa..0000000 --- a/seq/clusters_seq/cluster_1053 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_002956126.1 3A [Cosavirus D] -SPKIVGYTKDDEGVVIVDCLEDWHRIRDKKRKQQALEMVAEEMQIQHDKHSQTISLIKQFLSGLGVVAAV -GAAFAAGKVLKNMMTSDRAQDEPDSESQEKTEEKQKAE - ->YP_002956115.1 3A [Human cosavirus B] -SPNKIVGYTKDDDGVVIVDSLEDWNKIKDKKRKQEILEVVAQEMQKRHEEHNEFKSLMTKFVTALGVIAA -VGAAFYTYKLIKGGEEETSEKEEEKKDSKDVE - ->YP_002956104.1 3A [Cosavirus A] -GPTMIVGYTKDDDGIAIVDCLEEWNKIKDKKKKQLALEMVAQELKDKHEEHKGTIKLLKMFVTGLGVVAA -VAGAYATMKYFTKDKPKEEEEEPEEKKEKKTEESKEAA - ->YP_002956084.1 3A [Cosavirus E] -SPKIVGYTKDDEGVVIVDCLEEWNKIKDKKRKQQALEMVAEEIKVQHEKHRETVNLLKMFLSGLGVVAAV -GAALAAGAYLKSETAGKAVDEPDTKKEEETKTE - diff --git a/seq/clusters_seq/cluster_1054 b/seq/clusters_seq/cluster_1054 deleted file mode 100644 index 1305adc..0000000 --- a/seq/clusters_seq/cluster_1054 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_002956124.1 2B [Cosavirus D] -PNHSKFQVQGSMSDFLNVARKPETLDNVTRLLTTLNNLMNKWNNVKHMCTDSYFLRDILCLLVKLTSLSY -LVAGQGPSAYLAASAVLIADGISFLDWYEKIKRFLGSRFRVPPPIFTLAQ - ->YP_002956113.1 2B [Human cosavirus B] -PVQSKYEAQGPIDLLQLLRNPQTVDNVTRLLNTLNNLMQTWNNIKTTLSDAIFLRDMVCLLVKLTSLAYL -VKDQGPGAYFAAAAILVSDGISFLDWYEKIRIFMSRRLRTPPPPMFKVQ - ->YP_002956102.1 2B [Cosavirus A] -PAFNPEYTAHGPVTELIQLARKPETVDNVNRLLTTLNTLMAKWNNLKDTVTDAVFLRDMVCLLVKLTSLM -YLVHGQGPGAYFAAASILLADGITFFDWYEKIKIFMARKLRVSPPFFPAAQ - ->YP_002956082.1 2B [Cosavirus E] -PNFSKFCVQGPTSDFIRLVRDPETLDNVNRLLSTLNNLMAKWNSFKHMCLDSYFLRDILCLLTKLTALGF -LVHNQGPSAYLAAAAILISDGISFLDWYDKIKRFMSRRLRISPPPFCLAQ - diff --git a/seq/clusters_seq/cluster_1055 b/seq/clusters_seq/cluster_1055 deleted file mode 100644 index 5d5fd75..0000000 --- a/seq/clusters_seq/cluster_1055 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_002790887.1 zinc-finger protein [Homalodisca vitripennis reovirus] -MEGALGIIASETGVNPSSKNLKKSQSSKDKQKFTSNIKQNQQSAVNSPDSGAWCDDQPLDIDSESSFPSL -GQSSRTGPMRTRALDRTALARVRDCVHYSIEFPRNKWSNVTFATNSKSSIRYGLDAIRAMSENVLTGKTE -KIHVLLSSTEYQSIDQDFIGAWERDEKIKIINYEVEDGAKFLSSSTSGVISVFSSIPNQMVSSSAMIIMH -DLISLLKSESSIRDMVINALATHPSLLPGFSSINHKPGSVTFFEKHLELIDTHSAMHGVNPVNTMSYHLM -SAKNNDGESAKCPIHPDVAFVALEPTTTKLSYFNKDGHTTGLYCRLTQPPKEDCIYCMSMKLAMSEGYPM -TLKPSAPVQAQAILMSLVRLVMNIGYMRAGSIVPVEAARTTDHATVVNKICDSINSHHNAARFGISTTTL -SINNRPRRVISLCGRSEEQRSLINLIQFWFYGDLNEWGYNGMVLVSGNSEVMLFTSGLSDFSSHLVCAGI -SSKTWQTASGIDNLIEFKTVSKPMHNEYLIDVFESSLSKMFEQMVNARLPKDEKIRVTKNNGDARFNFKT -LNPKKTYLAIKESFEDSDCVGDALFRAMIALSSHPALSKCRDITDFSTSFAIKMKLKPMTEDMKAERKKL -SNTKSLVKKGGSGQSSLPITVNECPVAILSNSFSLNLAKLRELKEKHEGVCEEIKPKMDAKAVSESVEAK -MEEYFKPKPISSYLESDESQEDASEREQKEDGEEEEVKKEEENSPQVVE - ->YP_001111374.1 Nonstructural protein [Rice gall dwarf virus] -MSKGMETVTSLVSGPPNNLKKGGNRNVPVAGISGTIRPYSSIVSGSSASGSAPVRVSAPGPQAVRPVSRP -FTAPHTLHFSKGCWNIVTFNSSDAETNRLVDLCITDVIKDHALTAKVDNMRFELDENDLKKLSAPVREKL -SNIGIIDPANSDSAELSGLVVVKTKLDSVIYPNTMFLPGGVGFLASLPDVSGYFAEVLERMPVQTLNSSL -YYERTWIDGMFEKFGKNFASNEHGKRNKTLTSVLRDITTLADQGVTVACPIHSDVMLRSLSPSDPVYYAK -GDQGCFKSHRMVFTKAPVSKCGICSTLKMALASSMNVDWRSQVNIHPARLMSTAYRYVATVAIAHYGKNR -LAESNIRSTDHATVVNMIVDMVSKSTELTALGVTVANVNVRSRYRRAIMIPVGESYHICVTAITTLLARC -FGELNEWGYLGVDLISQNGRVQIRTGLDVVNCSLVAGVPVTKKWNTASTMDNILEMKTITYPIYNDAVVE -TFETLLAQQFEREINAAVARDDCVNIKKNGEDERYNFKAKSPEIIYRSIRQMVKAGTSMTKMMGEAIERL -VSSERTEGMRSVNSIAISIIVKMRMEKSKDKSKTQITSGEEKKLPPLFLITPQYEINLAEIRKAVERSSK -DFVPIKMEKTAEETSKDIENHVLKSLNAQASMSWADEVEMFDNEENQKQELDAKSDDVEESSVEGEEDDD -GSSASEETDTYSNDNAAAASPTKDE - ->NP_620531.1 zinc-finger [Rice dwarf virus] -MNQSRSFVTGRGRDLSRTPSALSSNSETPGSMSSPSEGKTNAWVNSAYVSNFPALGQSQGLPSHKCSALA -LRSSQTTYIINFPRQHWNIMTFPNQSEAILATVASYAKDLDGKNSFAVFDTLKMPWSCRLGEKSCSGIDT -LGHLADVHMHVLDPAEAEGKNLSDSETVYVYVTPPNLTDVKPTTIVLTECAANAKSANDLRQYIVTQLRK -MPSLPFGCTTYAPGFLSDGVCKEHPNLFTSEELGAKIKVLTKLLIRCATSMSQDGSNAFCPKHPKVKIVH -ESNATSYILFNRPNGMVATNLILSDLPDDDCPTCWILKLAISEARYYALDGHHRCRSRIITPSVFRYLAS -IVIRVSMDSVLAPSDASSTDHAALVNMMCGIIQNTPAMRHVGISTGSEKVNNRSMRVIIMQENADRATQM -SALYHLFLDYFGALNGWGFYFCSLTSLYGEFHGFSVGFSGEITHVNVASVIAKNWDTQSGIDNILEFKTI -TIPVHNEDIVCMVERTLAESFEVVINEHFNGASTIKVRRNGGDSRFNFTISNPRDAFLLLQKAVVDGGIL -QKILCRAMLKAIASLALRADREVQDVSFSFVLKMSLNPVNKSDPKSSELAHAAQMNSLPEFLASTPFTMQ -LGTLRDALLKKTGNVTVINMARTTEEVSNDALQEILKSIGGNSMTLDDPAEPLSDIESIPDPPPRSWASE -DEAVNSPQTYSSRRKARKARAASKLSK - ->sp|P22474.1|NSP4_RDVA RecName: Full=Non-structural protein 4; Short=Pns4 -MNQSRSFVTGRGRDLSRTPSALSSNSETPGSMSSPSEGKTNAWVNSAYVSNFPALGQSQGLPSHKCSALA -LRSSQTTYIINFPRQHWNIMTFPNQSEAILANVASYAKDLDGKNSFAVSDTLKIALVLSPTEKRLFRNDT -LSHLADVHMYVLDPAEAEGKNLSDSETVYVYVTPPNLTDVKPTTVVLTECAANAKSANDLRQYIVTQLRK -MPSLPFGCTTYAPGFLSDGVCKEHPNLFTSEELGAKIKVLTKLLIRCATSMSQDGSNAFCPKHPKVKIVH -ESNATSYVLFNRPNGMVATNLILSDLPDDDCPTCWILKLAISEARFYALDGHHRCRSGIITSSVFRYLAS -IVIRVRMDSVLAPSDASSTDHAALVNMMCGIIQNTPAMRQLGISTGSEKVNNRSMRVIIMQENADRLTQM -AALYHLFLDYFGALNGWGFYFCSLTSLYGEFHGFSVGFSGEITHVNVASVIAKNWDTQSGIDNILEFKTI -TIPVHNEDIVCMVERTLAESFEVVMNEHFNGASTIKVRRNGGDSRFNFTISNPRDAFLLLQKAVADGGIL -QKILCRAMLKAITSHALRADREVQDVSFSFVLKMRLNPVNKSDSKSSELAHTAQMNSLPVFLASTPFTMQ -LGTLRDALLKKTENVTVINMARTTEEVSKDALQEILKSIGGSSMTLEDTAEPVSDAESIPDPPPRSWASE -DEAVNSPQIYSSRRKARKARAASKLSK - diff --git a/seq/clusters_seq/cluster_1056 b/seq/clusters_seq/cluster_1056 deleted file mode 100644 index 6e25b6d..0000000 --- a/seq/clusters_seq/cluster_1056 +++ /dev/null @@ -1,68 +0,0 @@ ->YP_002790886.1 major core protein [Homalodisca vitripennis reovirus] -MDLTGTALGGAGDVKSVLLTESSSHFTPVEVYNISEDLKTVKITAVIADKSTVSRVPLPVSFVSAKDVER -VFKIIPLRIGSTSMIIDTPRVVFLLMYNESIYDDFMQIKNVPAFEPSVTMHRVEAVFSLMQKYCCSMLDG -VPTYSTTVADIPVKAVTMSEFGDRDMDELANYLNTEYEILSAQAQNRALYVRSAAIDVPFPFGDDLNNAD -VERKNNYHQYRVPFHSLELALYQLAGELLRTQYCHPTVALEFLRRRAPPFLTVEDSVAERMMRAGSGVLM -PRPVMELLDYTLVYRSPLALSRLATRLSSKISIKLHMRMVTEVQKTISDMLGVSSSTSTLSTSSIQSLNL -IGVENLTLYMARSLLNPNFAYAQISELTGLAFEDFVYGSCLLLIQAMLPPSAILASDRIMINNRLAYFLI -RYIAMPATYDRLTANNVVPHYYNHDRWQITTVDYLVAIYTNLLAGERRLNEVIRLYFRGQVPVAVNAINI -PAAQTAYKIDERQSISAPYLFGAPINAMAPDTRLLEFKNGLNLPPRSPILPTNIEGANVISLQNLMNKVD -VINAIYLSGFGRETPSMWIRNASINTAYLTKLISDVSNLSAIYEAVLANTYANAINVYCDTEYHPEIPLN -WEIPYTIKPKDFLFAVFGLIPMYQLSTEAVPDFFAGSEDILILQLIQAVYRMLGQRLGADPTQYFHLEEV -LSSVSRIVSILTHTSVDVKIYFTDSPHSLTFNKPIWDRFIRRVEQAQLPPLYDIIFQQISNVYTLLQGMQ -DLLPICDYFYIARNCGWVARGSSESIIAATSIYSNQAVVQSEINSFTDACNLRLRARRVDNVSLTTDLDD -MFYNLSSISSDEFVRSDARGRTQLRVAAVPVIKVNMRARYVLNIRTEDGNMLRKPEIKKMMYSDFIDFLM -KHQKEPHAPIIEIPITIGLNNIGATTSTAIRSDSKTVDEYFKAYLGAQVVIPMDAIVIEQLGSFDELRNK -LSNNVVTRDKTWEIWNDVEASYVPIGNHPVQLDPIGHPAEI - ->YP_001111368.1 core capsid protein [Rice gall dwarf virus] -MDVTGAPYSSGLNVRNVLLTESTSTFTPIETYNVQDDIRTIRISAKIAEESVVSRVPLPVSFKPISEITK -LFDIIPISRGSTTSIVEHPQTSFMIKLRDNTFSDYACLDHLVAFEPALILHRLKMLFSILGKYASSIISE -VPTLDVMIDNAQVTVIDMSKFDDRNMNTYADRLPRDIEVYAAKQDILKQYVRTSVNETPITFRDDLPMPV -RERPTLYRRYIVPFTPVELSLYNMALQMLDLQYCHPLIVYKYLQDRAPPFLVVNDQIGLEMLSAGDGELL -PRPVMEVLDYSLVYSSPLALNNLGSLLMSRIKTSIKVRSINEVSSSLSEIVNASSTVSNSASSAIANMNV -AGVETIAAFIIRSVLNPNISYAMIGKLDLDAFNDFIYGTCLLLLQAITPPSAIAAMSRVRINNALAYFLL -RYICPQPVYTRLLQNDVIPSLTNTLEWSSVDRDILAAIYSNLFVADGRIWNLVSRYYRELPPEEVTQVSV -PARETSYGINETRGISLPYLFGDAITEMRPDNRLNDYKQRLNLPSRSPILIANPMRNNVVDLTNVNVKMD -FIMDLYDQNNFLKSPAQWVRNSASNSALLAKFRDSVSNITGILENVLSNAYSNAVNTYCDSVYRAGVPLN -WKYRVVIDPKDMMFVIFGVCPRYVLMGDSIPDFFAGSEDILILQLVRAIWEVMSNHMGNVPTRFFRMEDV -QRDLSEMVSIVLSKKIDVTKYFTDDMRSTTFSKEAWERFIARQIGEELPPLYRTILDQVETINNYMEQMM -SIMPIVDHFYVVRNSGIAARGSVNPILAATTLNLNQINTTMIIRDWSELVRLVMTQQRVDLNTSHSLFEA -EFYKLSEIASNEFVRSNERGEAEPHFTDVEAIRINMYARYELKIYKEQGEFSKPTKLNKVMHEDLTSFVK -SNIGKPYPPVFTIPIDIMLNDLGECTSTKTRMRSFKVDEYFKCFTGAQVIIPLDYVNLEHVGSIQDLQVM -FNGSVSVRIKPWTIKENFDVNYVQTGNHEVLIDPLPNVLPI - ->NP_620543.1 major core protein [Rice dwarf virus] -MDSTGRAYDGASEFKSVLVTEGTSHYTPVEVYNILDELKTIKITSTIAEQSVVSRTPIPLSKIGLSDVKK -LFDINVIKCGSSLRIVDEPQVTFIVSYAKDIYDKFMCIEHDSAYEPSLTMHRVRVIYSMLNDYCAKMISE -VPYESSFVGELPVKSVTLNKLGDRNMDALAEHLLFEQDVVNAQRENRIFYQRKSAPAVPVTFGDDLEPAV -RERANLYHRYSVPYHQIELALHALANDLLSIQYCHPTVVYNYLSSRAPNFLRLDDQVSLKLTSAGIGTLM -PRPVVQLLDYDLVYMSPLALNNLASRLLRKISLHLVMQMVTAVQQDLGEVVSVSSNVTNPASACLVRMNV -QGVQTLAVFIAQSMLNPNISYGMLSGLTLDCFSNFIYGACLMLFQALIPPSALTARQRLDINNRFAYFLI -KCHATQATTASVVPNQVIYPVDAIDQWQSNRRDVLVAIYNNLLPGELVLSNLIQTYFRGNTAQQAAEILI -PADQTSYGANETRALSAPYLFGAPINMLAPDARLSTYKRDLALPDRSPILITTVEGQNSISIEILRHKTG -LIRAMYLNGFVTQPPAWIRNANSNTALLSRFLDVTPNLLGIYEAILANTYANAVNVYCDSVYRADIPTEW -KLHQSVDPQDLLFGVFGIVPQYQILNEAVPDFFAGGEDILILQLIRAVYDTLSNKLGRNPADIFHLDEVF -KVIEEIVSVLVQQKVDARKYFTESMRSGSFSKPRWDNFLRRPVAQRLPNLDSVIMTQADHVYNYMTQLTH -IIPITDCFYIVKNSGFVDRGSTGPVMASSSVYENVLKVVHTIADFEAANALRLQRRSVDNTSYTDSLSDM -FNGLRSISSSEFVRSVNGRSVFTEGRIDAIKVNMRVKFDLQFITEEGGYSKPPNVKKLMFSDFLSFLDSH -KSDYRPPLLTVPITIGLNNLGETNSNTLRMRSEAIDEYFSSYVGAQILVPINVVDTRVYTEFSELRNFFT -GDVVITDDPFDVWDGVKATYIPIGVHGVRLDPNGDQPPL - ->sp|P22472.1|P3_RDVA RecName: Full=Outer capsid protein P3; AltName: Full=Core protein P3 -MDSTGRAYDGASEFKSVLVTEGTSHYTPVEVYNILDELKTIKITSTIAEQSVVSRTPIPLSKIGLQDVKK -LFDINVIKCGSSLRIVDEPQVTFIVSYAKDIYDKFMCIEHDSAYEPSLTMHRVRVIYSMLNDYCAKMISE -VPYESSFVGELPVKSVTLNKLGDRNMDALAEHLLFEHDVVNAQRENRIFYQRKSAPAVPVIFGDDLEPAV -RERANLYHRYSVPYHQIELALHALANDLLSIQYCHPTVVYNYLSSRAPNFLRLDDQVSLKLTSAGIGTLM -PRPVVQLLDYDLVYMSPLALNNLASRLLRKISLHLVMQMVTAVQQDLGEVVSVSSNVTNPASACLVRMNV -QGVQTLAVFIAQSMLNPNISYGMISGLTLDCFSNFIYGACLMLFQALIPPSALTARQRLDINNRFAYFLI -KCHATQATTARLVANQVIYPVDAIDQWQSNGRDVLVAIYNNLLPGELVLTNLIQTYFRGNTAQQAAEILI -PADQTSYGANETRALSAPYLFGAPINMLAPDARLSTYKRDLALPDRSPILITTVEGQNSISIENLRHKTG -LIRAMYLNGFVTQPPAWIRNANSNTALLSRFLDATPNLLGIYEAILANTYANAVNVYCDSVYRADIPIEW -KLHQSVDPQDLLFGVFGIVPQYQILNEAVPDFFAGGEDILILQLIRAVYDTLSNKLGRNPADIFHLEEVF -KVIEEIVSVLVQQKIDVRKYFTESMRSGSFSKPRWDNFLRRPVAQRLPNLYSVIMTQADHVYNYMTQLTH -IIPITDCFYIVKNSGFVDRGSTGPVIASSSVYENVLKVVHTIADFDAANALRLQRRRVDNTSYTDSLSDM -FNGLRSISSSEFVRSVNGRSVFTEGRIDAIKVNMRAKFDLQFITEEGGYSKPPNVKKLMFSDFLSFLDSH -KSDYRPPLLTVPITIGLNNLGETNSNTLRMRSEAIDEYFSSYVGAQILVPINVVDTRVYTEFSELRNFFT -GDVVIRDDPFDVWDGVKATYIPIGVHGVRLDPNGDQPPL - diff --git a/seq/clusters_seq/cluster_1057 b/seq/clusters_seq/cluster_1057 deleted file mode 100644 index 870ffe8..0000000 --- a/seq/clusters_seq/cluster_1057 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_002790885.1 RNA-binding protein [Homalodisca vitripennis reovirus] -MAYPTDVRNIADLYNVFRDAPNREGLITDIRNGLVRLKNVSKLLSESEIRNAAAISNITSMLSSLALGME -KVSKSLATLSTTDINRAEVLSSYQSTILKIEASTLAVSRYIKNLSVSLSGFVIGGIYSVCPIPAFLDVEP -VIPNAFQKKPNTFDDKHDVYVALHCSASNLVSDLYKDSIVVYTYAGVTLFTAIYAAETDALTITTLGGIV -FSYNLNLDTDQPNGDLFSPLVIYLVYSKNQNYAQVYAIDSTRIQKFIRYASDYTNRLTIEDVSISLGKVN -GDVRSVEHSITQVPLLDVRFSSTPTGISNGSFICMMFNCGLIEMLKFITETETVELKYAYQKVVQDAYTK -SDVMLASLLSMQSSISALQAATPQLTEDVLTFPSSVAQTCGSLLNLTNTFSTSSVINSDVKQWNIMEQDG -AERKDLDGELPTTLSAVNLATSTFHDYVVNVQCTGATQQRSMSMSISIPKMLDPSTVLSSSLYTDDSNTI -IPYSYAKPPNYPATLTQNARYSVSPLAHVMNNDVMPIKNGAVECLKLNTNLNILLTMEIDISDASSSLGG -SVHNDFTFMIKQAGGSPLTYSTAFKPVIFTNTDGTRKLKLISVVDLTTLMPADAIYYTLEVAPDAKYVTG -ADVKMEDTDRGTFTTYTQGNYSYTTTVAIWDVVDYIITRRGNVVYTPPKADYTNVDNKAALITNYKTPFN -YFVICDERIYYNGKSLTFVLTAGQTLSYNYFTSPLQNPTVDTYDAGVARNASYLVKMAMQMSSVTQLLSS -LTLRVEAMERVMQPSRAQQIAGIVSGVGGLISLAMPLLGAVVVTIGTLISIADPTKQGIDYQSVFNAFTA -WCQFAIVARYKYGIMTLNDPKLDTLRFLPKSSIDNFREKPIKIELDGLDETILRGSSTDYIDTGVNIRYN -DMQLFGDSKVTAWLNGAVARTMDNTASFFERNVINTLRNKNLLPMHARVEVIQTEKVGDIYRQTILYAGI -NEGAFIEGDAYAFRSGGSNSYRFNDYVSGPGRFKAVLESTTEDGTFKAADWSKSGMTQKEIFTVAGEMYP -SKTPTNQETQDLYEAIIRDFATTDDSFVLQHHNTMMLPGQLDALEKLLIDNAQNFSYAFVGNNCQNYAED -LVNIITNFKRPQGWVSEASFKQYIQSIYDDL - ->YP_001111369.1 RGDV P2 [Rice gall dwarf virus] -MSYPGNVHSLRDLYNVFKDAPNRERLILDMNSQLARIDNIAQILTMTEEQEKEVIAKMSASLANLGLNMD -NAIRELKNMETVHMDRVSVLTVYQSAVLNITNNTHTISDSMKSIMYDLSGYVNTTIYPTIQSPATEDRSS -LIPLNYKNMKNIFDDKHTIVFATVIGPQCVDFTTEDVTNISWIVDSHEIIKITYYPSSLIMETSIVGNRY -LQYQLSLSNQTIDEYDNNGPYSCLLVFCVVGANGLLDIYSMSSQQVRKYVNDYEVGESSLIGYSTKHGSL -HLSSNDIRNISHELSGSPYIDVRVTYSITAAFPDSQLLSMLLDNIQQSLGTLTACESKSFDDAYRAAMDN -QFTKADVLTSSIITMRSSLNSLISSSPLLSDDTIRIVDDCTFQLASALTLTNEFASDSANLLGTFKVKQT -TIDRYSNTKISEGKAVYLSPTLATMNVHSGSVVVSTDSFHNNNALKVMLLAPGLVKPDNVNFDLLSVKSN -NVIDLVSDYKLDDAIIQFTDQPDYSSTTSIANAKSVVYMKTTGCIGLTYSNPNELILNMSLQLNSAAPSL -GGVVNNNTTFSLTIGSTIINYVTDFEITDFTDSSGKQSLKLTSSVNLLTKLSVDMIFYAVSINAGGVIAT -DTHKYTDTGFDSSNYTYGWEMYDSAALNDYQDMTVVTPPKFTAKMLRPQDASKLNGDCVGGTYKKGRNIL -VIAGNRIFYNGVSVYFKMQKNSMISLKYFINPSGLSTVDTCDVRITRNAAFLTQIDTKLMSVQSVLNDVQ -RRIDIINQLMQPSRIQTLATIIQGIGGVVSLAMPLLGAIVVTIGAIVSIADPNHHGVDYQAVLNAFHSWC -QYAVVARMNYGLLKADDPKLDILKRISDGSVNTFRNKPKKITLPGIDDEVIRGTSTDYIDTGINVRYNSM -GLFGEGKLEEWMANTALKVQDGTANIFQKNLFSLLQKRKIVPMHARVEIIQTEKIGDVYRNTILYAGINE -GSYIENSVYLTRSGNTRIKRLNMTSGPGMFKAVTESTTEVGNFKAVDWTLSGMTKEEIYNAAGLMYPNKN -PAHSEVQDVYESVIRDMAEIDDTWVLQHHKTVMLPGQIEAFEHLIRVSANKFQYAFIGSNCQNFADDVVG -ILSQFKRPKRWVDENDFKQYIQSIYDEL - ->NP_620545.1 RNA binding protein [Rice dwarf virus] -MAYPNDVRNVWDVYNVFRDVPNREHLIRDIRNGLVTVRNLTNMLTNMERDDQLIIAQLSNMMKSLSIGIE -KAQNELSKLKTTDADRAAVLADYQTSVLNIERNTMLLTGYFKQLVLDLTGYVGASVYPILPFMITGDQSM -MVDSINVNMKNVFDDKHEQEIVLPIHPACFVSTITEDTSSVVYADGDELYSVHVRHENMTMYVNVLGETV -ETRQLSMIGESIVPDDFAPSLLILRFSQDSVGEVFYLSHDNIKKFLGHSLEYTDKYVIFDVARRASTTRN -TITDGFCSVDGVPYLDGRFIYQPSGISADSNICAIYNSYVLDVLRYITECEVDTLRSVYDRTSSTVFSKT -DVLRPRLLTMQSNISALSAATPQLANDVITSDSTDLLSLGTVLTVSNEFTADDTTLSTSLAGHCQVDYSE -GSPQDKSMSIPVSCDSSQLASSTVHSYSADILGHGLKGDRNLNLMINVPGLMNPQKVTVDYVYSDGYKLN -FASVVAPDAPFWINATLQLSLSPSAHNMLSKLTPLDNDACPGLKAQANTPVLVSMTINLDDATPALGGEV -IQNCVFKIHHGDDVYSFVTDFDVISYTSTSGTNCLKLISSVDITSQLPSDMWIYVMNGSPDAAFISGDSV -NMSSVDWHQSTSQTVGNYVYATMKAYWNVTSYDVEARPYATYVPGKINFTAIDHADVFVDDYNTGVNSYV -IVNSRIYYKGNSSIYEVPSGSFIKVSYFTSPLKNPTVDAYNAEISRNSAYLIKANASLDSVAAMLSNISN -RIDAMERLMEPTRAHRIAGVVSSIGGVISLGMPLLGAIVVTIGSIISIADPDKQGIDYHSVANAFMSWCQ -YAAVCRYEYGLLKRGDEKLDVLSFMPKRVVSDFKNKPDVISLPELGESVLRGSSTDYLDTEINIIYNDMQ -LLGQGKLSDWLNKTVSKVENNAANFFERNLVKSLANKEVLPVHARVEITQTEKIGDVYRTTILYTGINEG -SYLGGDVFASRLGDKNILRMNGFESGPGRFKAIVESTTEVGNFRVVDWTVSGMSRYEIYAAAGEVYPSKD -PSHADVQLLYESIVRDLTTRDGSFVLKHHDVLLLPGQLDAFEELIIKNASNYQYAFIGSNCSKLCA - ->sp|O55519.1|P2_RDVO RecName: Full=Minor outer capsid protein P2 -MAYPNDVRNVWDVYNVFRDVPNREHLIRDIRNGLVTVRNLTNMLTNMERDDQLIIAQLSNMMKSLSIGVE -KAQNELSKLKTTDADRAAVLAAYQTSVLNIERNTMLLTGYFKQLVLDLTGYVGASVYPILPFMITGDQSM -MVDSVKVNMKNVFDDKHEQEIVLPIHPACFVSTITEDTSSVVYADGDELYSVHVRHATMTMYVNVLGETV -ETRQLSMIGESIVPDDFAPSLLILRFSQDSVGEVFYLSHGNMKKFLGYSLEYTDKCSIFDVARRVSTTRN -TIPNGFCSVDGVPYLDGRFIYQPSGVSADSNICAIYNSYVLDTLRYITECEVDTLRSVYDQTSSTSFSKT -DVLTSSLLTMQSNISALSAATPQLANDVITFDSTDLLSLGTVLTVSNEFTADDTILSTSLAGHCQVDYSE -GSPQDKSMSISVSCDSSQLVSSTVHSYSADILGHGLKGDRTMNLMINVPGLMNPQKVTVDYVYSDGYKLN -FASVVAPGAPFWINATLQLSVSPSAHNMLSKLTPLDNDACPGLKAQANTPILVSMTINLDDATPALGGEV -IQNCVFKIHHGDDVYSFVTDFDVVSYTSTSGTNCLKLISSVDITSQLPSDMVIYVMNGSPDAAFTSGDSV -NMSSVDWHQSTSQTVGNYVYTTMKAYWNVTSYDVEARPYTTYVPGKVNFTAVEHADVFVDDYNTGVNSYV -IVNSRIYYKGTPLYIEVPSGSFIKVSYFTSPLKNPTVDTYNAEISRNSAYLIKANASLDSVATMLNNISN -RIDAMERLMEPTRAQQIAGVVSSIGGVISLGMPLLGAIVVTIGTIISIADPDKQGIDYHSVANAFMSWCQ -YAAVCRYEYGLLKRGDEKLDVLSFMPKRVVSDFKNKPDVISLPELGESVLRGSSTDYLDTGINIIYNDMQ -LLGQGKLSGWLNKTVSKVENNAANFFERNLVKSLANKEVLPMHARVEITQTEKIGDVYRTTILYTGINEG -SYLGGDVFASRLGDKNILRMNGFESGPGRFKAIVESTTEVGNFRVVDWTVSGMSRYEIYAAAGEIYPSKD -PSHADVQLLYESIVRDLTTRDGSFVLKHHDVLLLPGQLDAFEELIIRNASNYQYAFIGSNCQNYAHDVVD -ILTKFKRPQRWIKDDDFKLYIQSIYDAL - diff --git a/seq/clusters_seq/cluster_1058 b/seq/clusters_seq/cluster_1058 deleted file mode 100644 index 7fd9788..0000000 --- a/seq/clusters_seq/cluster_1058 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_002364400.1 hypothetical protein RaCVMIC07_gp2 [Rabbit calicivirus Australia 1 MIC-07] -MAFPMSEFIGLGLAGAGVLSNALLRRQELQLQKQALENGLVLKANQLSRLGFNPNEVKSMIVGGNLNSGV -KLSNMHNDASVVNAYNIYNPVSNGIRKKIKSFNDSVKIYNTTGESSV - ->NP_068829.1 hypothetical protein EBHSVgp2 [European brown hare syndrome virus] -MSEFLGLTLAGASTLSSALLRSQELALQKQALESGILLKAKQLSQLGFNPYEVKGLLVNGRGGNENFRLS -NMHNDSSVVNSYSVLNPVSNGIRNKIKSFNNSVKIYNTTGESNA - ->NP_062876.1 minor capsid protein [Rabbit hemorrhagic disease virus] -MAFLMSEFIGLGLAGAGVLSNALLRRQELQLQKQAMENGLVLKADQLGRLGFNPNEVKNVIVGNSFSSNV -RLSNMHNDASVVNAYNVYNPASNGIRKKIKSLNNSVKIYNTTGESSV - ->sp|Q89924.1|VP2_RHDVA RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MAFLMSEFIGLGLAGASVLSNALLRRQELQLQRQALENGLVLKADQLGRLGFNPNEVKNVIVGNSFSSNV -RLSNMHNDASVVNAYNVYNPASNGIRKKIKSLNNSVKIYNTTGESSV - diff --git a/seq/clusters_seq/cluster_1059 b/seq/clusters_seq/cluster_1059 deleted file mode 100644 index 7496250..0000000 --- a/seq/clusters_seq/cluster_1059 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_001468093.1 6k2 [Wheat eqlid mosaic virus] -SGPTKFINKELLGKRDYKWAPYLALGAACVMATGAWYVIYKRNNEAEKRVRYE - ->NP_940827.1 6K2 protein [Oat necrotic mottle virus] -AGPSQFVDDYILQKRNYGWMPYLALGTACTLAGTALVMMYYRRMKHRVKYE - ->NP_734258.1 6K2 protein [Brome streak mosaic virus] -KGPQKFIDDVLLQKRDFSWMPYISVGAACLMAGCAWYMLYRQRAKHEAKFE - ->NP_734270.1 6K2 protein [Wheat streak mosaic virus] -AGPAKFIDEFILEKRDYGWLPYLAVGTACAIAGTTLVMMYYRRMKRSVKFE - diff --git a/seq/clusters_seq/cluster_106 b/seq/clusters_seq/cluster_106 deleted file mode 100644 index 536b92c..0000000 --- a/seq/clusters_seq/cluster_106 +++ /dev/null @@ -1,183 +0,0 @@ ->NP_740524.1 3C (protease) [rhinovirus B14] -GPNTEFALSLLRKNIMTITTSKGEFTGLGIHDRVCVIPTHAQPGDDVLVNGQKIRVKDKYKLVDPENINL -ELTVLTLDRNEKFRDIRGFISEDLEGVDATLVVHSNNFTNTILEVGPVTMAGLINLSSTPTNRMIRYDYA -TKTGQCGGVLCATGKIFGIHVGGNGRQGFSAQLKKQYFVEKQ - ->YP_009020983.1 protease 3C [enterovirus F4] -GPLLDFAVSLLNKNIRTVTTKNGDFTGLGIYDTFMVLPRHAMAHGEVAINGKTIEVEDAYDLVDTTQTSL -ELTVVKLKQNEKFRDIRSLIPDQISETTEALVIVNTPAYPNLFMPVGAVKDYGYLNLAGRPTHRTLMYNF -PTRAGQCGGVAISMGKVIGIHIGGNGAQGFAAALLRRYFTQPQ - ->YP_164830.1 3C [anativirus A1] -GPGTHPDLQYIQALLNNNIFPIETGSGPYTALGIFERWFVLPKHAVVEPMMVGGKQIDCDDIVELRSNGK -MLELVALHCPTLNEFRDIRKHLPESIHGEDGCYLVMNSSVYPRMNTSVGRVSVFGLLNLDMQMTHNTLTY -AYPTKTGQCGGVICKAGQVLGIHIGGDGSNGYAASLKRSYFASLQ - ->YP_009118289.1 3C [rabbit kobuvirus] -GPDYDFATSLHKKSLFPIRCVNGPFTALGLKGKWLVLPRHCVVGEEITLCDQEFKVLDNVELECKEGKLE -LRCVKIDRPINFPDITKRIPKKFVETPDCILCINSEKYPTMTCPVGKVKNWGKLVLSGNVTCRTLKYNYP -TKSGQCGGVVTKCGQILGIHIGGDGANGYATAIYQHYFDKLDEAEEQ - ->YP_009268639.1 3C [enterovirus A114] -GPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWVEHKLVNILDAVELLDEQGVNL -ELTLVTLDTNEKFRDITKFIPESISTASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPTHRTMMYNF -PTKAGQCGGVVTSVGKIIGIHIGGNGRQGFCAGLKRSYFASEQ - ->YP_009508994.1 3C (P3-C) [rhinovirus B3] -GPNTEFALSLLRKNILTITTEKGEFTSLGIHDRICVLPTHAQPGDNVLVNGQKIQIKDKYKLVDPDNTNL -ELTIIELDRNEKFRDIRGFISEDLEGLDATLVVHSNGFTNTILDVGPITMAGLINLSNTPTTRMIRYDYP -TKTGQCGGVLCTTGKIFGIHVGGNGRRGFSAQLKKQYFVEKQ - ->YP_009508961.1 3C [Simian enterovirus SV4] -GPSLDFSISLLRHNIRTATTANGEFTMLGIYDRVAVLPSHAGVSDTILVDGKTVPVVDAVNLVDPEGVNL -EVTVLTLGWNEKFRDIRKFIPETIEEGTEGTLIVQTSSYPHLICPIGAVKEYGYLNLSGTPTHRVLMYNF -PTRIGQCGGVVATTGKVLGIHVGGNGAQGFAASLFKKYFANTQ - ->YP_009508939.1 3C protein [Coxsackievirus A2] -GPSXXFALSLXRRNIRQVQTDQGHFTMLGVRDRLAILPRHSQPGKTIWIEHKLVNILDAAELVDEQGVNL -ELTLVTLDTNEKFRDITKFIPETITGASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPTHRTMMYNF -PTKAGQCGGVVTSVGKVIGIHIGGNGRQGFCAGLKRSYFASEQ - ->YP_009508983.1 3C [rhinovirus A1] -GPEEEFGRSILKNNTCVITTGNGKFTGLGIHDRILIIPTHADPGREVQVNGVHTKVLDSYDLYNRDGVKL -EITVIQLDRNEKFRDIRKYIPETEDDYPECNLALSANQDEPTIIKVGDVVSYGNILLSGNQTARMLKYNY -PTKSGYCGGVLYKIGQILGIHVGGNGRDGFSAMLLRSYFTDTQ - ->YP_009508972.1 protease 3C [Dromedary camel enterovirus 19CC] -GPLFDFAVSLMKKNVRTVVTAAGEFTGLGIYDNVMVLPRHAMAHDKIMVDGKEVQLEDAYDLNDQTQTSL -ELTIVKLKQNEKFRDIRSLIPDTISNVNEALVVVNTSAYPNLFMPVGAVKDYGYLNLAGRPTHRVLMYNF -PTRAGQCGGVVVSMGKVVGIHVGGNGAQGFAASLLRRYFTEPQ - ->YP_009508950.1 3C protein [enterovirus D68] -GPGFDFAQAIMKKNTVIARTEKGEFTMLGVYDRVAVIPTHASVGEIIYINDVETRVLDACALRDLTDTNL -EITIVKLDRNQKFRDIRHFLPRCEDDYNDAVLSVHTSKFPNMYIPVGQVTNYGFLNLGGTPTHRILMYNF -PTRAGQCGGVVTTTGKVIGIHVGGNGAQGFAAMLLHSYFTDTQ - ->YP_009508949.1 3B protein [enterovirus D68] -GPYTGIPNPKPKVPSLRTAKVQ - ->YP_009483886.1 3C [Sichuan takin enterovirus] -GPLMDFGVSMMKKNIVTVRTGYGEFTGLGVYDTVLVLPRHAHPAEQVMIDGVETPVLDAYNLTDEEGVSL -ELCLVTLKRNEKFRDIRAMIPENPSGTNEAVVCVNTSSFPNAFLPVGKTEYYGYLNLAGNPTHRTMMYNF -PTKAGQCGGVVLSMGKVIGVHIGGNG - ->YP_009483885.1 3B [Sichuan takin enterovirus] -ATIMSSLILLTSVITLVYLVYRLFAGYQGPYTGLPNKKPKAPVLREVKAQ - ->YP_009249472.1 3C [Enterovirus SEV-gx] -GPSLDFALSMIKRNTLAVTTGKGEFTMLGIKDHIGVLPTHAEPGEIVLINGKEVKIRDAYSLIDKTGTNL -ELTVVHLDRNEKFRDILPFIPDEPRDEWKDAVLAINTTKFPMMFLPVGNVTQYGALNLSGRVTNGILMYN -FPTRAGQCGGVIMTVGKVIGMHVGGNGHQGFAAALKRSYFAVPQ - ->YP_009020971.1 protease 3C [Enterovirus F] -GPLLDFAMSLLKKNIRTVVTKTGEFTGLGVYDTFMVLPRHAMAHGEVEVDGKKVEVEDAYDLNDTTQTSL -ELTVLKLRQNEKFRDIRSLIPDQISETTEALVIVNTSAYPNLFMPVGAVKDYGYLNLAGRPTHRTLMYNF -PTRAGQCGGVAVSMGKVIGIHIGGNGAQGFAAALLRRYFTQPQ - ->YP_005352654.1 3C [Canine picornavirus] -SPDMEFINKLFKQSLFEVKTEKGLFTGLGLYDTWILLPKHSRPDGDILLDGNKFEIKEVVEIENKQGSLE -LVVVNIDRPVKFRDIRKYLPDHFSKEKDCFLVMNTALFPKLWCPVGEVSSFGFLNLSHHATYNTCRYHYP -TKSGQCGGVICKSGKIIAMHIGGDGKNGYGAILTKKIIGVLEQ - ->YP_004934029.1 unnamed protein product [Feline picornavirus] -GPDSEFALKLMSTNLLDVLTAKGHFSGLAVCDTWILLPMHSDPGDVVSVEGKEMDVLERVDLNNEQGALE -LTLIRINRPTKFRDIRKFFPPAFSAERDCTLVVNNKNFPRVMLPVGAVTAFGFLSLSFLPRYNTCTYRYP -TKMGQCGGVVLKAGKIVAMHIGGDGLNGYGAILTRKHFAFME - ->YP_004782568.1 3C [Bat picornavirus 2] -GPDMEFVNKLFKQSIFSVKTKKGWFSGLGLHTQWLLLPKHSEPEDVIEMNDVEYKCLDIVILENSQGSLE -LVAVKIDRPVNFRDIRKYIPEHFQRESGCFLAVDNPHYERMFAPVGTVSMFGFLNLSYKATYNTCHYHYP -TRSGQCGGVICKAGKIIAMHIGGDGISGYGAILTKRIVAAIEQ - ->YP_004782554.1 3C [Bat picornavirus 1] -GPDMEFVNKLFKQSIFSVKTEKGWFSGLGLHSQWLLLPKHSEPGYEIEMNGVIYKCLDIAYLENGQGSLE -LVAVKIDRPVNFRDIRKYLPEHFQRESGCFLAVDNPHFERMFAPVGTVSMFGFLNLSYKATFNTCHYHYP -TRSGQCGGVICKAGKIIAMHIGGDGQSGYGAILTKRIVAAIEQ - ->YP_004782540.1 3C [Bat picornavirus 3] -GPDLEFAQKLMNSNVIPVETQTGAYSALAMYDTWLLLPKHSQPGETVSVDGQTHDVLDMVELENTQGSLE -LVAVKIDRPTKYRDIRRYIPDHFSTESDCMLVVNNKNFSRMFCPVGRVTAFGFLNLSCKPTYNTCTYRYP -TKSGQCGGVVCKSGKIIGLHIGGDGLNGYGAILTKRIVGAIEQ - ->YP_004564618.1 3C [Pigeon picornavirus B] -GPDPDTQYALSLMKHNLFPISTATGEFTALGIHGKNFIIPKHAAVEPYLVAGKEITVDSEVELVNKGGCL -ELVMVSSSDLQDFRDLRPHMLDSFQPMSDCLLAVNSPKFPRTIVPVGKVHVYGSINLKLDTVKRVIYYHS -PTKQGYCGGVILKAGKIIGMHIAGDGANGYASLLLANYFKIEPQ - ->YP_003359175.1 3C [Enterovirus J] -GPNFEFAVAMMKRNSCIAKTGQGEFTMLGIWDKWAVLPRHAKPGDNIIIDDVEVPVEDAKELIDSEGVNL -ELTLIKLRRNEKFRDIRGFIPSEAGEINEAVLAINTSKFPNMFIPVGKVHEYGFLNLSGTPTHRMLMYNF -PTKSGQCGGILMATGKVLGIHVGGNGHQGFAATLLRSYFSEEQ - ->YP_003359174.1 3B (VPg) [Enterovirus J] -GAYTGLPFTKPKVPTIRQAKVQ - ->YP_001718585.1 3C [Enterovirus J] -GPSFEFAVAMMKRNSAIIKTGQGEFTMLGIWDKWAVVPRHAKPGDTVIVDDKELRVLDAKELVDAEGVNL -ELTMIKLDRNEKFRDIRGFIPKESGELSEAVLAINTSKFPNMFIPVGAVKEYGFLNLSGTPTHRMLMYNF -PTKSGQCGGVLMATGKVIGIHVGGNGHQGFAATLLRDYFNEEQ - ->YP_001718584.1 3B (VPg) [Enterovirus J] -GAYTGLPFNKPKVPTIRQAKVQ - ->YP_001552441.1 3C [Rhinovirus C] -GPEHEFLNALIRRNCHIITTDKGEFNLLGIYSNCAVVPTHAEPGDVVDIDGRLVRVLKQQVLTDMNDVDT -EVTVLWLDQNEKFRDIRRFIPEHQQDWHNIHLATNVTKFPMLNVEVGHTVPYGEINLSGNATCRLYKYDY -PTQPGQCGAVLANTGNIIGIHVGGNGRVGYAAALLRKYFAEEQ - ->NP_740476.2 Picornain 3C [Enterovirus C] -GPGFDYAVAMAKRNIVTATTSKGEFTMLGVHDNVAILPTHASPGESIVIDGKEVEILDAKALEDQAGTNL -EITIITLKRNEKFRDIRPHIPTQITETNDGVLIVNTSKYPNMYVPVGAVTEQGYLNLGGRQTARTLMYNF -PTRAGQCGGVITCTGKVIGMHVGGNGSHGFAAALKRSYFTQSQ - ->NP_937978.1 3C [Simian sapelovirus 1] -QGPDLEFAKSLMKSSLFPVCTSTGSYTALGLFDQWLVLPAHSNPKDSIVFKGESVKIVDSMLLESSRGNL -ELVVVKLDRTEKFRDIRKYLVENFHTEKECWLALNSDQFRDVYVPVGSVSLFGFLNLSMTPTYNTLKYNY -PTKVGQCGGIVVKAGKILGMHIGGDGVSGYAAMLKKSYFSVCQ - ->NP_740421.1 proteinase 3C [Enterovirus D] -GPSLDFAQAIMRKNTVIARTSKGEFTMLGIYDRIAVVPTHASVEEEIYINDVPVKVKDAYALRDINDVNL -EITVVELDRNEKFRDIRGFLPKYEDDYNDAILSVNTSKFPNMYIPVGQTLNYGFLNLGGTPTHRILMYNF -PTRAGQCGGVVTTTGKVIGIHVGGNGAQGFAAMLLQNYFTEKQ - ->NP_740745.1 VPg 3B [Enterovirus D] -GPYTGLPNQKPKVPTLRTAKVQ - ->NP_740546.1 3C [Enterovirus B] -GPVFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEIGVLDAKELVDKDGTNL -ELTLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTEYGFLNLGGTPTKRMLMYNF -PTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQ - ->NP_740545.1 3B(VPg) [Enterovirus B] -GAYTGMPNQKPKVPTLRQAKVQ - ->NP_740535.1 3C [Enterovirus A] -GPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWVEHKLINILDAVELVDEQGVNL -ELTLVTLDTNEKFRDITKFIPENISAASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPTHRTMMYNF -PTKAGQCGGVVTSVGKVIGIHIGGNGRQGFCAGLKRSYFASEQ - ->NP_740488.1 3C proteinase [Porcine sapelovirus 1] -GPDMEFAKSIMRSNLCQVTTSVGPFTGLGIYDNIIVLPRHAYVSGNIVLDGVDVPVVDAVELEAEEGNLE -LVQLTLKRNEKFRDIRKFLSNGFHSENDCWLCINSEMFSNVYIPLKNVSAFGFLNLSMTPTYRTLVYNYP -TKMGQCGGVVLKAGKILGIHIGGDGTRGFAALLKRDYFVNKQ - ->NP_740400.1 protease [Rhinovirus A] -GPEEEFGRSLLKHNCCVVTTDKGKFTGLGIYDQVMVLPTHSDPGSEILVDGVKVKVSDSYDLHNHEGVKL -EITVVKLIRNEKFKDIRKYLPSREDDYPACNLALLANQDEPTIISVGDAVSYGNILLSGTNTARMIKYHY -PTKAGYCGGVLYKVGSILGIHVGGNGRDGFSAMLLKSYFGETQ - ->NP_740454.1 Protease(3C) [Enterovirus E] -GPLFDFGVSLLKKNIRTVKTGAGEFTALGVYDTVVVLPRHAMPGKTIEMNGKDIEVLDAYDLNDKTDTSL -ELTIVKLKMNEKFRDIRAMVPDQITDYNEAVVVVNTSYYPQLFTCVGRVKDYGFLNLAGRPTHRVLMYEF -PTKAGQCGGVVISMGKIVGVHVGGNGAQGFAASLLRRYFTAEQ - ->NP_714938.1 putative 3C [Enterovirus H] -GPSLDFSISLLRHNIRTATTVNGEFTMLGIYDRVAVLPSHAGVTDTILVDGKTVPVVNAVNLVDPEGVNL -EITVLTLGWNEKFRDIRKFIPETVEEGTEGTLIVQTSNYPHLICPIGTVKEYGYLNLSGTPTHRVLMYNF -HTRIGQCGGVIATTGRVLGIHVGGNGAQGFAASLFRKYFAITQ - ->sp|P08490.1|POLG_ECHO9 RecName: Full=Genome polyprotein; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C -GAYTGMPNKKPKVPTLRQAKVQGPAFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPRHAKPGPSIL -MNDQEVGVLDAKELVDKDGINLELTLLKLNRNEKFRDIRGFLAREEVEVNEAVLAINTSKFPNMYIPVGQ -VTDYGFLNLGGTPTKRMLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHHGFSAALLRHYFNEEQ - diff --git a/seq/clusters_seq/cluster_1060 b/seq/clusters_seq/cluster_1060 deleted file mode 100644 index e3f56a5..0000000 --- a/seq/clusters_seq/cluster_1060 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_001468091.1 6k1 [Wheat eqlid mosaic virus] -ARGKEDMWITRFMASCYIVSALFSWDISDSIYSSMVKFRTIFDILRVNCEFQ - ->NP_940825.1 6K1 protein [Oat necrotic mottle virus] -SKKEEAWITRTMAVLYIISSLFSVEFSSALYSNLVKFRTIFDILKVNCEYQ - ->NP_734256.1 6K1 protein [Brome streak mosaic virus] -SKQEELFISKFMAVCFVISTFFSLDMSNAIYSSLTKFRAIFSILSVGSIYQ - ->NP_734268.1 6K1 protei [Wheat streak mosaic virus] -GKREEAWITRVMAVLYIIASLFSVDFSSALYSNLVKFRTIFDILKFNCEYQ - diff --git a/seq/clusters_seq/cluster_1061 b/seq/clusters_seq/cluster_1061 deleted file mode 100644 index 12e0ec2..0000000 --- a/seq/clusters_seq/cluster_1061 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_001468090.1 p3 [Wheat eqlid mosaic virus] -GFKETYATITKCVTSKKEFLKRLNTDPEWLVDMFVEPSLLYTLASLIEAHQLVLADVDNSFDRLSALLNL -RDLGKQLHAHLTTQQRVRKYMNLMVDNSHLFPGLVNSQNMKVEIFETIKVLERAIIDDNALLDIDRIDGK -KKILEGLDACRASCVYNELVNSFSWHSWYGTSLRLQYSIVGKAAGAMLSFLNDSYLLNLLATRPKLSMPR -WNILGKLKTGWRAISGLFSRVYAKIIANGMLTACITFMVVFGALILKKIMKFIKAEKARSEELQIVEYQ - ->NP_940824.1 P3 protein [Oat necrotic mottle virus] -GFQETVLSIQACVKSRKEFVRKLNKDAEWLVDMFVNPSTLFALGGLIEVHQLILADVENSFDKSAALLNL -RQMAMKLGPHLESKQRVRQYMELMIQHRASVEAIIPSTHMREEIKAYIDSLQRSVLEEQCLIEMDRVGGK -EKMLVEQDISHGECAYNEFFNSIGYLNFHGTVLRLTYSGPGRKVGEMCESLKNNWLTRYLRGPKVPEGCK -ESSLRTWRKVTHLFGEAYKWAFCTMAANVLQVILIGLSTVFGAYLLRKILKMLRWEKEQESKELVEYQ - ->NP_734255.1 P3 protein [Brome streak mosaic virus] -GFRETYKSITKCVKSKSAFMEKLNQDNEWLVDMICNPSTLFVLSQLMDTHGLILKDVENSFDRLAALLAL -KELGSALGPLLTTRKRVALYMQSLSKVDELVPHLGMPTGAMNLLKSEIELIQNAIVEEQDMAEIDRVEGK -KSILERRDEMFAPCVYNEFINSFGYVSLPGIAYRLTYTGVGARIGRGCEHLKTVWSGSWIPEIHLPENLR -SNTWSAIKKYTVYSGGTAWRYMKLKIVESATQILVAAVITAIGSWLLKKLLKFIRHEKGRLNEVVVFQ - ->NP_734267.1 P3 protein [Wheat streak mosaic virus] -GFQETVLSIQACVKSRKEFVRKINKDAEWLVDMFINPSTLFVLGGLIEVHQVILADVENSFDKSAALLNL -RQIALKLGPHLESKQRVRQYMELMIQHRASVEAIIPSQHMKAEMMQYIDALQRSILEEQVIIEMDRVGGK -EKMLVEQDLSHAECAYNEFFNSIGYLNFHGTVLRLTYSGPGRKVGEVLESLRDNWLTRYLRGPKQPRDYK -GSSLRIWRKVTHLCGNAYRWVFYNMAANVLQVILIGLSTVFGAYLLKKILKMLQWEKEQESTELVEYQ - diff --git a/seq/clusters_seq/cluster_1062 b/seq/clusters_seq/cluster_1062 deleted file mode 100644 index b44bff0..0000000 --- a/seq/clusters_seq/cluster_1062 +++ /dev/null @@ -1,71 +0,0 @@ ->YP_052941.1 capsid VP2 [African horse sickness virus] -MASEFGILICDKLKENTLEKTNCDVIITGVGKVGVHEEDGVLGYEWEETNYRLGLCEIENTMSISDFVYK -QIRCEGAYPILPHYVTDVIKYGMVIHRNDHQIRVDRDEKSIGKIQIQPYFGDMYFSPEYYPATFIKRESL -PISVDTIRGYIGARMRGIEARAGRIREGDGNLLECARRWEKAAYERIENEKALRCVAHETDPTYQILKKQ -RFGFVYPHYYVLNTNYNPTTVTRTSRINDWLLKEKTQGVVKTAEAFSDNAELKTLAERMEEEELTEDIIR -AVIRYGAKYATRSGMREDTLSLQELDRYCDSLTTFVHKKKKDEGDDETARTIIRNQWIKGMPRMDFKKEM -KITRGPIANWSFFMSIDAFKRNNKVDINPNHQTWKDHIKEVTDQMNRAQQGNNNKPLKVQIDGVSILTSE -KYGTVGHWVDWVVDLIMLAQVKMLIKEYKFKRLNSQNLMSGMNKLVGALRCYAYCLILALYDYYGQDIEG -FKKGSNSSAILETVIQMFPNFKQEIQANFGINLNIKDKKTIAIRRATMHSDFSSNEEYGYKFVFGWAARG -EEVLSNYGDVLSDEVEELFTKLRKKEHWDKVVEDPESYFIDELYQKNPAEVFYSAGYDTDQNVVIDGKMT -EGVTYFSKRFVSYWYRVEKITTKHLEFLTEENRKVAQFDFEDYKPMAIGEMGIHASTYKYESLLLGKNRG -QKVNDSIALCNYDLALTNFGVSRRQDCCWISSCSAIELSMRANIIIAIFRRIEDKRYENFAKILSGLTQQ -QDLYFPTYKHYYLFVLQKVLRDERRIDLNRICTELFDTQRRRGILLSFTALRFWNDSEFLGDALMMNFLH -RVVFEMENVDVDYGKKWHPLLVSSEKGLRVIAVDVFNSMMGVSTSGWLPYVERICSESDMRRRLNADELE -LKRWFFDYYATLPLERRGEPRLSFKYEGLTTWIGSNCGGVRDYVVQLLPMRKSKPGLLCIAYGDDVNVQW -VEHELRDFLMHEGSLGLVVISGKMLVNKSKLRVRNLKIYNRGTLDSLFLISGGNYTFGNKFLLSKLMAKA -E - ->YP_052931.1 outer capsid protein VP2 [Palyam virus] -MDEFSLCIVKEIPEEHSAHIVHHELALNEEDRVKWTEKKRGRIKKDQSNREYDERRTHQREGLFQLISTK -PIYDAWNIIDGDEGRRTFPNYCDLFNIVMRSRKGPRNKPANIKRTYYEHIPTSIQAEESLYTQIRSFRDY -KCGKICVEPYVGSISVDPTYSDFTMQMRVPLHRKKCDAFPGENLYESALRGHFTIDVPKQRGLGASYSVQ -TDNIIESVVGESGITSRQFQEISRLGEGHPLKIIYTNMLIEFRVEEVLRGMQAYSCKTYGTFDGMIDEQS -LTRKLTWRVRKIMSYDERDLYDFKKKEKAFLDEWKRKIEQQGGIINSPAKFSTYDQNLSVLKTQFQNQYN -FDITTGGPLWQAYVQTAGGRINVEDWLKWMFKVKYCGDSRYYSDDRIVRYENAIKRIAIEDWMEWKKRIL -MIVIALVLENNGCLEYGRKHWYSTILQACLDLDPQVIRYFREKVGAEAVCGVEISDPTSDLGNYSNRSSR -TVSYVEMKWECDEIRTDAPIRAINWDILEKNNVLFIQQGFGVVSKNTDGYFDDISVHAFLSYSTFEREGR -NLRIHWIYDEEWKKPRRFYSYHYKITREESIMNNFFGKNVYEVKGRKYPYPYTEIAPHTREYLQETLMIG -NVREPYDGVNERWKWIENELQMRGTIDRVNANFNDRCFVSEWGRCIYFEFGRLIHLLFRRITSKGPHPMF -SDFEKDSRLEKMNYIIPTRNPSTLADALLDAIADIEGSQRLTVDALFYVTHAVDKVAALVNVLPFFKKMV -DSSTRQSMYSLNMIPLLLILSPYGTIKENKIPVLIYTEQGMRMIPVSVDNVKSSQNISDWGMYLEGFMSE -ESGETLLTEKEQMIKIAFLRYYSELKIDNRFLQHRRQYKLEMLESWIGVNCRGYVDVLLQMIPIRTPKRG -FLLLALCDSSEVYPYVVARARRLFESVLTSNHGIVLINVDNDEVIHDKLIDISVSRYSKLEGDQPAIIWL -IQTENSKFGNKHMIAKLMNDIA - ->sp|P32553.2|VP2_AHSV4 RecName: Full=Outer capsid protein VP2 -MASEFGILMTNEKFDPSLEKTICDVIVTKKGRVKHKEVDGVCGYEWDETNHRFGLCEVEHDMSISEFMYN -EIRCEGAYPIFPRYIIDTLKYEKFIDRNDHQIRVDRDDNEMRKILIQPYAGEMYFSPECYPSVFLRREAR -SQKLDRIRNYIGKRVEFYEEESKRKAILDQNKMSKVEQWRDAVNERIVSIEPKRGECYDHGTDIIYQFIK -KLRFGMMYPHYYVLHSDYCIVPNKGGTSIGSWHIRKRTEGDAKASAMYSGKGPLNDLRVKIERDDLSRET -IIQIIEYGKKFNSSAGDKQGNISIEKLVEYCDFLTTFVHAKKKEEGEDDTARQEIRKAWVKGMPYMDFSK -PMKITRGFNRNMLFFAALDSFRKRNGVDVDPNKGKWKEHIKEVTEKLKKAQTENGGQPCQVSIDGVNVLT -NVDYGTVNHWIDWVTDIIMVVQTKRLVKEYAFKKLKSENLLAGMNSLVGVLRCYMYCLALAIYDFYEGTI -DGFKKGSNASAIIETVAQMFPDFRRELVEKFGIDLRMKEITRELFVGKSMTSKFMEEGEYGYKFAYGWRR -DGFAVMEDYGEILTEKVEDLYKGVLLGRKWEDEVDDPESYFYDDLYTNEPHRVFLSAGKDVDNNITLRSI -SQAETTYLSKRFVSYWYRISQVEVTKARNEVLDMNEKQKPYFEFEYDDFKPCSIGELGIHASTYIYQNLL -VGRNRGEEILDSKELVWMDMSLLNFGAVRSHDRCWISSSVAIEVNLRHALIVRIFSRFDMMSERETFSTI -LEKVMEDVKKLRFFPTYRHYYLETLQRVFNDERRLEVDDFYMRLYDVQTREQALNTFTDFHRCVESELLL -PTLKLNFLLWIVFEMENVEVNAAYKRHPLLISTAKGLRVIGVDIFNSQLSISMSGWIPYVERMCAESKVQ -TKLTADELKLKRWFISYYTTLKLDRRAEPRMSFKFEGLSTWIGSNCGGVRDYVIQMLPTRKPKPGALMVV -YARDSRIEWIEAELSQWLQMEGSLGLILVHDSGIINKSVLRARTLKIYNRGSMDTLILISSGVYTFGNKF -LLSKLLAKTE - ->sp|Q89508.1|VP2_AHSV3 RecName: Full=Outer capsid protein VP2 -MASEFGILLTNQIYDQTYEKEMCDVIITAENAVRRVEVAGVHGYEWGATNHRLGLCEVENTKSIGRMIYE -QIRCEGAYPIFPHYITDTLKYGKSIDRNDNQIRVDRDDERVRKIKIQPYFGEMYFSPENYITVFCKRQAI -SGQIEVSRSIIGRRMKYEESAEQTKGTINANKYRLLEKWRDLAYEQIEIERDNERCLTHNTDPIYQLIKK -MRYGMMYPVHYMLNDRYKVVQERADMGVEKWLLQKIGRGTQRRKADDGDNDALLQLERMMSSEELERSVI -ESVIRFGSLYNAHAGKKTGDIPLEVLIKYCDSLTTFVHKKNREGGDNQTARDEIRRAVVKNIPSMKQENQ -MKVTPNIRNFLFFAYLNGFKRNNGVDIDPNNGTWSKHKAEVKKFLNEEQKKNENKPLKVLIDGAYISTDA -EYGTVAHWVDWVVDIVMMTQVSRMIKEYNFIRLKKDQLISGMNKLEDGVKCYAYCLILALYDFHGRDVDG -FAQGTRTAAIVETVARMFPDFRSEVSEKFGIDLAVSEESDELFVKKTMVSSFSDSGEMGYKFIFGWRKTD -FKVETDYGEIVSDEVHRLYQAILDGKEWSKEVDDPEKYFVDDLYNRCPESIYVRNGVDPNNKIMIKKRGL -VGESQRIFLRDLSHIGMNFKKLLLRLSSKRLHARGEHIQYHEIDVEDFKPCAIAELGLHCSTYIYQDLLV -GANRGEYVKDAKELVWFDIANTNFNITRPFDRCWPSSCAEAELSLRFHLITKIFTRYRGERTSFVDIINE -LSEHGYVKHNFPSYKHYYLSVIQTVFEDQRAIDPLDFCAMISRNETRESTLKGFSMFTAIVKSERLIDTL -FLNFLLWIVFEMENVDVSAANKRHPLLISHEKGLRLIGVDLFNGALSISTGGWIPYLERICSEEKAQRRL -NADELKIKSWFLTYYMNLSLERRAEPRMSFKFEGLTTWIGSNCGGVRDYVVQALPMRKPKPGLLMIIYGD -DGDARWVEWAMKNFTAVDGSLGFIYIDRHKLVNKSDFRVREMKIYNRGRLDRLILISSGHYTFGNKFLMS -KLLAKTE - diff --git a/seq/clusters_seq/cluster_1063 b/seq/clusters_seq/cluster_1063 deleted file mode 100644 index 03889b2..0000000 --- a/seq/clusters_seq/cluster_1063 +++ /dev/null @@ -1,12 +0,0 @@ ->NP_758814.2 7K protein B [Beet black scorch virus] -MSIIYVVQEKPSGFLVWALVVAIVCIIGLLSYTPPERLNHSYHENNQKTQYITIGGASTSKVSTN - ->NP_608315.1 7 kDa protein [Tobacco necrosis virus D] -MKYIIVQQNDPLPLLGVWIIVIIIIAVIGLLNQSPPERPYQTFKEDNSKIQYITIGGPTTTKVSTN - ->NP_044743.1 hypothetical protein [Leek white stripe virus] -MVIVVRESSFPLLAVWIIVIVLVAVIGALLQTPPETINQVFNEDHHKTQYINIGKP - ->sp|P27212.1|P6_TNVD RecName: Full=Uncharacterized protein p6 -MAYIIVHQRDPFPLLGVWIIVIIIVAVIGLLNQSPPERPYQTFKEDNSKIQYITIGGSTTTKVSTS - diff --git a/seq/clusters_seq/cluster_1064 b/seq/clusters_seq/cluster_1064 deleted file mode 100644 index 629acbd..0000000 --- a/seq/clusters_seq/cluster_1064 +++ /dev/null @@ -1,13 +0,0 @@ ->NP_758813.2 7K protein A [Beet black scorch virus] -MEQQRSEQRRERRVRSRSEDRKSMSDVGQSAVNREADVKKDMGPSVSMTVVGENVEFTQHFHF - ->NP_608314.1 7 kDa protein [Tobacco necrosis virus D] -MENSENVRSGRQNREYSRDRQQEGGYKEISKAAVRKEGDVKQDMGPSVSMTVVGEKVEFTQHFHF - ->NP_044742.1 hypothetical protein [Leek white stripe virus] -MERRPLCSCFLGHENLPLSPFIACYFLFCFIIMSEPMYRSDDSSSSKTRSKRSEGTTAQVASKSAVHKEG -DFKMSLEKEGPVISMTVVGDKVEFTQNFNF - ->sp|P27211.2|MP8_TNVD RecName: Full=Probable movement protein p8 -MENTENVRSGRNQREYSKERQQEGGYKEVSKAAVRKEGDVKQDMGPSVSMTVVGEKVEFTQHFHF - diff --git a/seq/clusters_seq/cluster_1065 b/seq/clusters_seq/cluster_1065 deleted file mode 100644 index bb64448..0000000 --- a/seq/clusters_seq/cluster_1065 +++ /dev/null @@ -1,40 +0,0 @@ ->NP_777385.2 gp60 SU [Bovine leukemia virus] -MPKERRSRRRPQPIIRWVSLTLTLLALCQPIQTWRCSLSLGNQQWMTTYNQEAKFSISIDQILEAHNQSP -FCPRSPRYTLDFVNGYPKIYWPPPQGRRRFGARAMVTYDCEPRCPYVGADHFDCPHWDNASQADQGSFYV -NHQILFLHLKQCHGIFTLTWEIWGYDPLITFSLHKIPDPPQPDFPQLNSDWVPSVRSWALLLNQTARAFP -DCAICWEPSPPWAPEILVYNKTISGSGPGLALPDAQIFWVNTSLFNTTQGWHHPSQRLLFNVSQGNALLL -PPISLVNLSTVSSAPPTRVRRSPVAALTLGLALSVGLTGINVAVSALSHQRLTSLIHVLEQDQQRLITAI -NQTHYNLLNVASVVAQNRRGLDWLYIRLGFQSLCPTINEPCCFLRIQNDSIIRLGDLQPLSQRVSTDWQW -PWNWDLGLTAWVRETIHSVLSLFLLALFLLFLAPCLIKCLTSRLLKLLRQAPHFPEISFPPKPDSDYQAL -LPSAPEIYSHLSPTKPDYINLRPCP - ->sp|P25506.1|ENV_BLVB2 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 51; Short=gp51; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 30; Short=gp30; Flags: Precursor -MPKERRSRRRPQPIIRWVSLTLTLLSLCQPIQTWRCSLSLGNQQWMTTYNQEAKFSIAIDQILEAHNQSP -FCPRSPRYTLDFVNGYPKIYWPPPQGRRRFGARAMVTYDCEPRCPYVGADHFDCPHWDNASQADQGSFYV -NHQILFLHLKQCHGIFTLTWEIWGYDPLITFSLHKIPDPPQPDFPQLNSDWVPSVRSWALLLNQTARAFP -DCAICWEPSPPWAPEILVYNKTISNSGPGLALPDAQIFWVNTSLFNTTQGWHHPSQRLLFNVSQGNALLL -PPISLVNLSTASSAPPTRVRRSPAAALTLGLALSVGLTGINVAVSALSHQRLTSLIHVLEQDQQRLITAI -NQTHYNLLNVASVVAQNRRGLDWLYIRLGFQSLCPTINEPCCFLRIQNDSIIRLGDLQPLSQRVSTDWQW -PWNWDLGLTAWVRETIHSVLSLFLLALFLLFLAPCLIKCLTSRLLKLLRQAPHFPEISLAPKPDSDYQAL -LPSAPEIYSHLSPTKPDYINLRPCP - ->sp|P25505.1|ENV_BLVAV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 51; Short=gp51; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 30; Short=gp30; Flags: Precursor -MPKERRSRRRPQPIIRWVSLTLTLLALCRPIQTWRCSLSLGNQQWMTAYNQEAKFSISIDQILEAHNQSP -FCAKSPRYTLDSVNGYPKIYWPPPKGRRRFGARAMVTYDCEPRCPYVGADRFDCPHWDNASQADQGSFYV -NHQILFLHLKQCHGIFTLTWEIWGYDPLITFSLHKIPDPPQPDFPQLNSDWVPSVRSWALLLNQTARAFP -DCAICWEPSPPWAPEILVYNKTISSSGPGLALPDAQIFWVNTSSFNTTQGWHHPSQRLLFNVSQGNALLL -PPISLVNLSTASSAPPTRVRRSPVAALTLGLALSVGLTGINVAVSALSHQRLTSLIHVLEQDQQRLITAI -NQTHYNLLNVASVVAQNRRGLDWLYIRLGFQSLCPTINEPCCFLRIQNDSIIRLGDLQPLSQRVSTDWQW -PWNWDLGLTAWVRETIHSVLSLFLLALFLLFLAPCLIKCLTSRLLKLLRQAPHFPEISLTPKPDSDYQAL -LPSAPEIYSHLSPVKPDYINLRPCP - ->sp|P25057.1|ENV_BLVAU RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 51; Short=gp51; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 30; Short=gp30; Flags: Precursor -MPKERRSRRRPEPIIRWVSLTLTLLALCQPIQTWRCSLSLGNQQWMTAYNQEAKFSISIDQILEAHNQSP -FCAKSPRYTLDSVNGYPKIYWPPPQGRRRFGARAMVTYDCEPRCPYVGADRFDCPHWDNASQADQGSFYV -NHQILFLHLKQCHGIFTLTWEIWGYDPLITFSLHKIPDPPQPDFPQLNSDWVPSVRSWALLLNQTARAFP -DCAICWEPSPPWAPEILVYNKTISSSGPGLALPDAQIFWVNTSSFNTTQGWHHPSQRLLFNVSQGNALLL -PPISLVNLSTASSAPPTRVRRSPVAALTLGLALSVGLTGIKVAVSALSHQRLTSLIHVLEQDQQRLITAI -NQTHYNLLNVASVVAQNRRGLDWLYIRLGFQSLCPTINEPCCFLRIQNDSIIRLGDLQPLSQRVSTDWQW -PWNWDLGLTAWVRETIHSVLSLFLLALLLLFLAPCLIKCLTSRLSKLLRQAPHFPEISFPPKPDSDYQAL -LPSAPEIYSHLSPTKPDYINLRPCP - diff --git a/seq/clusters_seq/cluster_1066 b/seq/clusters_seq/cluster_1066 deleted file mode 100644 index e1633f7..0000000 --- a/seq/clusters_seq/cluster_1066 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_919034.1 non-viral protein [Hirame novirhabdovirus] -MNSKTPSTDIAALKDLLRYKVTVARHGFLFDDGKIVWSEDGDEAWNRLLVVVGALRSSNRMSQALFMDMS -ITKGDGYLLFSDLQGTNNLQYRTPKFRQYLFPVDEFLPLPR - ->NP_042680.1 non-virion protein [Infectious hematopoietic necrosis virus] -MDHRDINTNMEALREALRYKNEVAGHGFSFDDGDLVWREEDDATWRRLCDVVNALISSKRMQRVLYMDLS -ITKGEGHLLLVDLQGTKNRLYKEPRFRRHLILIEDFLAYPR - ->sp|Q08455.1|NV_IHNVO RecName: Full=Non-virion protein -MDHRDINTNMEALREVLRYKNKVAGHGFLFDDGDLVWREEDDATWRRLCDVVNALISSKRMQRVLYMDLS -ITKGEGHLLFVDLQGTKNRLHKEPRFRRHLILIEDFLAYPR - ->sp|Q82708.1|NV_IHNVR RecName: Full=Non-virion protein -MDHRDTNTNMEALREVLRYKNEVAGHGFLFDDGDLVWREEDDATWRRLYDVVNALISSKRMQRVLYMDLS -ITKGEGHLLFVDLQGTKNRLYKEPRFRRHLILIEDFLAYPR - diff --git a/seq/clusters_seq/cluster_1067 b/seq/clusters_seq/cluster_1067 deleted file mode 100644 index a4708e3..0000000 --- a/seq/clusters_seq/cluster_1067 +++ /dev/null @@ -1,12 +0,0 @@ ->NP_840018.1 P6 protein [Barley yellow dwarf virus PAV] -MEDLHVIAVCILALTVLSGVGAVLSCCRWCCSNPFPPSLSSVQAKDSRSVRETIKNIEGASAQ - ->NP_813794.1 P6 protein [Barley yellow dwarf virus GAV] -MDDLHVIAVCVLSTTVFAAAVLTISAIASICGSACATANIQRV - ->NP_620069.1 hypothetical protein [Barley yellow dwarf virus MAV] -MDDLHVIAVCVLSTTVFAGFVLTIGAAASLCGCVYSTVYNTKV - ->NP_037640.1 P6 protein [Barley yellow dwarf virus PAS] -MDDLHVIAVCMLAMTTFTAVGVVLGCCIGCIEALCGSKR - diff --git a/seq/clusters_seq/cluster_1068 b/seq/clusters_seq/cluster_1068 deleted file mode 100644 index 65d7084..0000000 --- a/seq/clusters_seq/cluster_1068 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_786908.1 putative VPg [Canine vesivirus] -AKGKTKGGRGAIRHGGKGIVLSDDEYDEWREFNMEKRMDMSVDEFLMLKHRAALGSDDTGAIQFRSWWTA -RQMRESTGLDHDDVTVIGKGGVRHEVHRTEIMKAPKQKKKSFAWGEDMYAE - ->NP_786894.1 putative VPg [Vesicular exanthema of swine virus] -AKGKNKNKGPRKNTGVALTDDEYNDWKQSKAEKNLDLTVKDFLQLRHRAAMGADNTDAVKFRYWYSKKQK -IYHDLENFPIIGRGGLKRELIRKGPLRPRGNDFYDEPDDWYSE - ->NP_783307.1 VPg [Feline calicivirus] -AKGKTKSKVGPYRGRGVALTDDEYDEWREHNATRKLDLSVEDFLMLRHRAALGADDADAVKFRSWWNSRS -RLADDYEDVTVIGKGGVKHEKIRTNTLRAVDRGYDVSFAEE - ->NP_740330.1 VPg [Rabbit hemorrhagic disease virus] -VKGKTKRGRGARVNLGNDEYDEWQAARREFVNAHDMTAEEYLAMKNKAAMGSDDQDSIMFRSWWTRRQLR -PEEDQVTIVGRSGVRNEVIRTRVRQTPRGPKTLDDGGFYDNDYE - diff --git a/seq/clusters_seq/cluster_1069 b/seq/clusters_seq/cluster_1069 deleted file mode 100644 index ffa2d82..0000000 --- a/seq/clusters_seq/cluster_1069 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_783198.1 hypothetical protein FCVgp3 [Feline calicivirus] -MNSILGLIDTVTNTIGKAQQIELDKAALGQQRELALQRMNLDRQALNNQVEQFNKILEQRVQGPLQSVRL -ARAAGFRVDPYSYTNQNFYDDQLNAIRLSYRNLFKN - ->sp|P28711.1|VP2_FCVF9 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MNSILGLIDTVTNTIGKAQQIELDKAALGQQRELALQRIGLDRQALNNQVEQFNKILEQRVQGPIQSVRL -ARAAGFRVDPYSYTNQNFYDDQLNAIRLSYKNLFKI - ->sp|P28710.1|VP2_FCVF4 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MNSILGLIDTVTNTVGKGQQIELDKAALGQQRELALQRMSLDRQALNNQVEQFNKLLEQRVHGPIQSVRL -ARAAGFRVDPYSYTNQNFYDDQLNAIRLSYRNLFKN - ->sp|P28709.1|VP2_FCVC6 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MNSILGLIDTVTNTIGKAQQIELDKAALGQQRELALQRMNLDRQALNNQVEQFNKLLEQRVQGPIQSVRL -ARAAGFRVDPYSYTNQNFYDDQLNAIRLSYRNLFKN - diff --git a/seq/clusters_seq/cluster_107 b/seq/clusters_seq/cluster_107 deleted file mode 100644 index 93ccb37..0000000 --- a/seq/clusters_seq/cluster_107 +++ /dev/null @@ -1,1266 +0,0 @@ ->YP_009345900.1 polyprotein [Crohivirus B] -MSSIVSNLLNKVENTLLQNPKVEEQEGDSDRVSASTTVNAGSIVQAAVAPGAPRQPDMSAIDTFSSMAYN -KETGSLNMRKMVKLKTGQWTTTTGVGTTVLNIELPKSFWDAKTKPAWGPSRYFKYMRGSFHFQIQVNGQQ -GVCGGLIAVYIPKTLIDEHNAGKLALSTYMNFPHVIMNAATMTQADLFIPYTNNQNYAEIRSADLGQVVV -LCWSKLTVSSGSSSTLDVVIYGCFVDLSLQGPIPYMENNTKDPPSVKPTKSSRFKWVREKIDIAEGPGVL -NLANATCTAGGQSVALAGERAFYDKRTGGSKARISNLMTVLRIPSVISGENTAHTFDQHSQFTWAGTAAP -GNVIYQANLGIRNLGNIGFASHFFQFWRGSIVIRMQVFASHFHKGRLKIAIAPCSITAFNDDDSNNLLFQ -VCDIGLNSTFEIKIPYTAQNWLTRVDAGTFFRLQIIVNSRLTFNNACTNSVGCILYAYAGKDFEFACPTS -DGVSWEMNSWGSEMDLFDPLEEPKHIQAELENRTIEYGQDEQMATDVGLASAENDGSQDNQVKSNDPAFL -NFEELKYNIFAVSHMDLDLIFARAWYQTGWDYTTSTTNWIDLTVPAASHGTLMKFFAYFAGEINIHVANV -SEHAIQIGHVYDPINEAGDITSRGTVLVPPGEMMTITAPYYSMLPLREVSGAAFGKLFINALTGTGRVQV -YLSLRAPNFLFTRPVPVKKTTQSAMASLTQEPDMEHVMNVIQSLKMDQEYPTPMKAPEPRFGKLIQQIET -AAKGGMSNYELLKMAGDIESNPGPVQLVYRDRGLYKHYGVKIDDEIVHMNTENPLDSVLNGTAVIIKTKD -DGQWIVEKVENFDYFIRELAQSQVGTKHKFSANFNCEDFAKQLFGDHSFTQGRALFVFGMILIIASSSAM -LFGQVTKEHMDLVFNQDGSEQNLESIVSRAMNWFSSTFMQKFESDIVSFVCKGIVRLTCYLILYCHSPNL -LTTFALGTLVFMDLKATAMISPESEALLKCLVEGDIHGLVTGIAEKMQGVGDTKEERVEIAMDTIKVTKD -MFEDQGFFQESLDGFNKFSSAARHFEWWVKFFHKLLETVKSIFKPNESQKFVKWCEDNEGLLCDFLETCN -RHLKDCKDTEKLRDPDFHVFHKWLHGKLIQLNTICTKFAITNPISTQIGKMLYAMSNVALLYPSSAGPER -VEPCGVCIMGDPGQGKSFLTSILIKKISEQMGWSRKDVYPHPTGSRHYDGYCGQKIHVIDDMGQNADEED -IALLCQAMSTIPFTPPMASIEDKGIQYSSQLVIATTNRSDFQTKILTDPEALSRRFKFQFRIRARKELTL -NGKLDVTKYMNVIKKGEAWEVSKDGYTWLRMDVDGLIADIVNDLKMRISSFQEWTTFLNEEPEHEWSFEE -LCDAYMSDFEGGAQLVFDWMEDMHRSYRLVSATTKIKRWFKNVVENFKDWIVRNRAWILLFSAISSAAGL -IGTIYFVVKRKPVDEPENIYSANLTQVAKKANRFKVGNKQEIYNQAPLLPEMVHLTERTAYIKADNTRSI -YHVVPFFQTKILAYGHLKNTLNKLENPKLVFKGKVFEIEDAVIQDVTLNGKEMDLIVIDLIGFPVQFKDL -RKHFTSRIGRENYLVWSTDKGTLVLPVNNAHLTGNSVTFEGTQCYQTITYEANTKKGMCGGLFVTKIDGA -FKIAGMHIAGNGVIGKSAQVGFFQIADQGIVESKEVSPIVVHQVSKTKLKQSPLNGLWPVEQQPAVLTPN -DKRIEEPVESVIKQAALKYRVNHFAPDKDSFFSVKNELKKAFTQNYGKCKMMTIEEALLEPNEHALDLTT -SPGNKYTSQGLRKQNLVDRNKGFISDILRRDVANLEKEISNADVYFYAHLKDELRPNTKIKTANTRCIEA -SDMDYVVLHRMVFGRLYEKIYNSNVMLTGLAVGINPWTDWDSMIQCLNQYNYDFDFSKFDGSLSDELMLH -AADILASCTEKPDLAKKILLKTIYSKHIVKDELWNVKGGMPSGSPCTTVMNSICNILVSASVALQTTNGN -FQCVVYGDDLILSSTEPLDCEGFKQCVKEQFGMEVTPGNKAEIFQCSEPGAVRFLKREPKNFPGTSFLVG -CLDYENIKQHIMWCKSLDDFKKQLDTACMELVLHGRECYEEFVSEVQPVLDGFNINVPTFEDKLFDMTQI -VFE - ->YP_009345896.1 polyprotein [Kunsagivirus B] -MDPFSFATIAGGELISSLLGSEAATGVASAIDSGVDMLTSTLSNTSVSAPDTPSGVPQNSAPISTAPTGG -GGETISAPPPSVAVPAVDTTVTTPTASSQSLGAATGGANAPQEVNFEDQSFANTLPPDLQADLTRKLTRV -STTTYTANSKPFEDLVTIHLPRDYYVSYPQAPPFATAMFLRYVKTKFIAEVQVNAPMGCAGIVVAYYLPP -FVNEQYDHTTVFNSPHVIIDVARQSTARFIIPYASTTPFVPVDSTDMGSIRLMPLTPFRSPTGTSATVTF -VTYLAAVESVLSCPRPRRQIFSTIASNPEYVIPITPGSLNIANSQVSSHAQSLSLASEGFRIDHTTPGGQ -HPVRDFKWLAMRPGSRTDSWWFEWPATTVAESRIFAYNIDIGRSVGTLGMIANSFAYARGALNITVMVAS -SVFNQGRLRIAAEPSGDDNFDNQSSMAVLFTILDISQSNTATLTVPYTSQSWLRRLTGSFWVRLSIFVNN -PLTFNASSIDSVRVGIFLSFGNDVEVYVPHSNDMLYHAPPVPSQVADPFGPQMVTTDLTQGVSTPQPITP -EHPMFSVSHSSVDRLLGRFWQVTDVLMAQHTIELFPVPFPANTHAAIARSMAFWNGEPVFAVVNPNPFPI -EVTHFWDETPVMVPSAMASRGSIIVPAQGNTMFSVPFYSATPVRSTDSNATLGSIVARTMNADHRGQVTL -YAALRSANFFFPRPVPDWVIPGSSASLSSYRATLGMLTARGLNAESAPRTAISRVMAAAASAAAQGPNCD -PDLSSLLLLSGDVERNPGPCVIVHDPLNNEYMLEVGGMLLAITWPRDVEKLKLKAARGKSLCKWPRAERC -PRFSLRSPPLREWHIYGPTIYDWILADYFPCKPELTLCVHGLTTIEDPKVHVILDGFILPVSRLARVDTI -MMATWALAMAPRWFAGLPFSVPRAPPLLPPXLRQTIAQRMADIAGSASSAIPQGPFDWISAWFDTYALKA -TLRNYAPVLIKAAIHLYAIHVAKDPVVTLLLGGMVMYDMSTHKAPPAIAVLIEALACGTWDAFKAATTPL -FLELPSVAWNRIHRAFTRLCARAQDFKKFTDIARSVAWWISAIGRLLQYVWFNWINPPTQDPDVQLAIAD -VLINANGFLAEATTSQVKTQELAKKKKDLLVKLHYVLKTPGLPAEANRLAQNAFNKVSSVQTAPPPRAPL -RMEPVGVWIAGEPGTGKSLLMSALSVDLAKHFNWGVYSHPTGSEYFDLYTDQEIHMIDDLGQNKEEKDLK -ILCQCISTVPFVVPIADLEGKGTHYNGKVVLATTNRLDFTTYTLTTPGALQRRFPITVEVLKSPWTLNKE -SFDNRSFFRIQGPNKQPVRYDTLLQSIISMVEARESFAQSPSPVRAVSDSVEELLEGTSVDPDLDAVDEM -SMEMDSPAHHDAWWRRVIGGVIAADPVLPFLSDAQRDAHTQDLAARLFAAVPRRTIPEWVSLAFGAAGAF -CAIRAFVSTISNWLGSSGAAKEEKAPSQGPYNPTGTAVRVSARELARKADPQGPWSAPCHHLFKHTGFIQ -LESGTVYFCAISSRTVIINTHMFRDLPENFTLTTLLGEFKISKSKLQLRSDGDITYAKCMQIPPHRTIQP -VPEIVQGSQTMLLFSTPSGNYIQTVEKCASFQNVKFWHGTQTIAYAYNTATRPGMCGGLLVCLHEGNWVP -VGIHMAGTPSQGFSAGFVDPYLFESARAQGKIVTVKEVPRFTLGFCPKTKYMPSPVSLVVESDLAPAPLS -AFDSRLEVKRESNALFLLEKFKKYDRDVSCKSPELLTAVTDEYFTKLQVLFTRPARPVSIETAVFDTVTP -MDHKASAGPKYPGIKRSELIDFQHRIIHDTLRADVHTLTQDLEQGKFDGVVFSSFFKDELRSWDKIRQGE -TRVVECSSLDYTVAFRMQFLEVLTVLYGSDMVETGLGPGINVYTQLYPAFAQLYERNLCLDFRKYDSRLS -TEVMIQGARLLSNLTSDPEVSMNFFWPIINSNHQVACYDVVVGGGMPSGCPITAVYNSVCNVIMMSYALL -KCNPDVHFITFAYGDDNVVSVDQEISLEKFTSILREEFGMEPTAPDKTLNYSFVPPSEVTFLKRTLRATP -EYPLPVPVLPLDSMLSRICWCKGKREFIDQLRSFVTELALFGRETYTTVQAALLPAANLPPWDFAYRSAA -SVLGLEDMTHSPTFSFYCPLPPSVSTDDALIALTEMTRSLHFDDGIPQ - ->YP_008992026.1 polyprotein [Carp picornavirus 1] -MDIMTSLTTSFASTIVDSAQDAVAGLMKDDPAPVEAPNVVISDQSAVAESQIYDVSETPPALTASTVEQE -VGQMNIQRYLRLDADTWATSSTAETKLKEWKLPDIFNSSKYPTYNLMKNYHWMRSDYEFNLVVNANMGFS -GALVLVYIPQGAHFQFKTFRNFPHVMINCSLNTSARLKIPYINLTQYCGITGDDDNNGTIALYVLGQLRV -PASGGQEANWTLYGKLLSPEFQAFNVLESPPGVIRVEEAAGSMFLASRHHTALRPRMGLTSEQSKSDVAV -VGDSAFRSLREVTNVESFWMGLDWAYTDTIGKRLLITNIKLAADEATGGISVKTNLGFLSNFYFGYKGDL -TVTIQTISSRLNQGKLLVVFFPGEDNQDADLKIENINNGFTQVLDLGGKTTVRFTLPYVCQQTYRPINGV -FGRFAVFVLNPLTYTPACVSTVRVLFYIGGSESFSFVYPRHGAVGFEAGSDDEVEPPVTNLEDEASTAHR -AKRPVFRNVRVRIPDQIKSDHMLLSNLFGRAHALKPMTATVKDNTYKLIQTEKSFLSVFKLFRYRSGDMT -LHVVHTSDGPLFAAHSYLAPDLGTNAEDNYSEVLSQGAVCMLPKTAASICVPFYVLTPFVSTSDYGKILL -SSPDDSSYTVRSSVTFDSNTRFYFLQSPPASKTDRDDDFDDDADFSFRAARSPMDVVDDYPFKRDLTRDG -DVESNPGPWFVLRSDGGLAIARNGRVMVPVYDREGGFEWAIQPQRLFWRVIYVRDSFLDSYSSAIPALHH -LVQDSTMESDEEYKNAILSVTIVSMRPGWKRLRTFSLISLIGFFSAIDLVQAAYSRMRLLLSGDVEQNPG -PRSQVFLGPDRTIYLQVADQLLFLNDFSISEERLTVSSTEPLSFPHNKGFWMSSQNIRALFRTGATQMSV -GFFYLDNQTNFSDAFIESILPMMYALNTCALMDAEIGFETMMQWLLGINPDSVANARAMSAVLEDSNVRR -MLKDAGEGIAASLLRGLLRVLLYCMILSRDCSATTVMAITGLVAIDAMSVLWTAFQHSNIVSLVNFLLPG -DSQIAINHRRRMVELLDSDAPMFTEGLFDDVTKVARGLEWWMKQIFKLAEMLQKQLNPDRVVRCNTLLDT -KLDEIINLVAAVSDLKQRCRKEGKITNQERDEHDDILAKLVGYQKVALVVPRHPLQLPISQALSQLRSLN -YGHRIPDDPIRPDPIGIHFYGDPGMGKTVLMTRICNVIAKSLDTEVFTHAPGSDFLDGYSGQQVHYIDEF -MAHTQERESMLILQLMGCSQTIVPMAELEDKGMYYKAKVVVTTANTPCVSNSGLKFPKALLRRFQQIKFA -AQPYYLKTKNGEQTFDIKKAIADKTFEDGSCWNYSLDDGTTWKTLNFLELAEFVRHKVAVNDKIAKDFID -VMKRDCERLQAEAGEDEEIDDIIQSLEKPKPPGIFDKLRHPIQASKDACKAVKTWVSTMMDGWTRESVVE -VSSRVMMVVSVLRTVWGVYSFLKDLGTNKEEAPYDKAMRTDVKKVTVRRVRQVLRNKTQPPPVPEHLTKE -SPVTQAHEFQHLIDAIVEIKFEAGYKVPLQGLALAGYSLLTYAHGIGSGRMTVQYGNMSLELEEDEYELA -VFSTDDGDTDLCWIRLHKGIGIQFKNLTNSISTPEYGMDCVLVKKIGSNFEIRPMTNVVDSDYFMMVSDV -SRLSGVRYGNFKYFGKHSRGDCGSLILQKIKGTWKMIGLHNSGAAAGQRCAGVRLDIVPIQMVLEGIVVH -REAAGLTSFQPGKSSLRPSPFHGAFDVTKEPAVLSKKDRRLTVNVDNLVKDNAGKYRVDRYTANETIMSF -AMQKIKDRLVSHVSRGRMVSIEKAITGCGCNPIDPTTSPGFKYTKLGMKKTDLYRINVDGSVWVSDMLRN -DVQAWIDSIDAGETKQTLFNTVCKDELRSLEKVALGKTRVIEAAELDYVVAYRMYMSTIYSDLYESSAED -TGIAVGINPPADGHGLFLELNKYHTFMALDYSRFDGSLPAMLMRNAVEILASLHHDEDKVKLLHETVITS -KHLVADEFWTVKGGMPSGSPCTTVLNCLCNLLVLEYAFLEIFGLGERDDQFRKHVDDHLIVVYGDDCIVA -HNAEEELGPAFKETIFTSFGMEVTPASKVGDVFEVPLDEVEFLKRKFFKIATVHSDRIAMRLSVDTIRQS -LMWMRSSKTFDDQVYSLAIELSAWGEETYDREFAACKRMLEGGSLQVNVPFWRAAWETYLGIVDWSVAGA -MYPRDLWDPVLEFDDDDDNDVVFFDDRLA - ->YP_009351863.1 polyprotein [kunsagivirus C1] -MDPFSLVTELAIGTLTESVPLLFGGGVSEAAAASTTAAEVSPELTLPSNISAGPDPSAPAPVETTPSSMD -TTPDPVQNTGQAQPATITTNAGSSNAQNPSSGSSTVAQPLTFNDLAFANTMSPNLQADLTHKLTRLAYFT -YQSSGMFTDILVLDLPSALYVNSSYAPPSATALFLRNVRTKFVFEIQVNAPMGAAGIMVVYFLPRTVSDS -GYDHSTVFNSPHVIVDVARTSTARLVVPYAATTPYVPLSSNQMGSLRIMVLTPYRPPPNTSSTLTGVVYC -AALESELALPMPRRQAPPPGVTWFSAHSQLQEMQIVNTPGSINMGNSRTANNAPTLSIAGEGFKIDSMTP -GGARPFSDFAWVAMRPGTREKDFQLTWTPSQVAGTQLMAYNLDLGQSTAPLGIMANSFAYARGSLVVTLL -CATSVFHKGRLRVCFEMDGTDEYNDQTSMGVFYTVMDFSSTNTGSLVVPYVSNTWYKPTSGSYWGRLKIF -VNNPLSRNAAAFESVTVQVFLSFGADVKFYVPRSNNMLYQAPPTSFSQVQVTDPFAPNVTAIDFSRGLLG -PDGSGEPHPMFTESHSRVENLLGRFWHVSDQVPASGTPTHFPVPFPANTHAALARTAAFFNGEPVFCVVN -TTSHTLEVAHYWDDSILTGVTHAAASHGAILIPPNGHSVFSVPFYSQTPVRSTDSDSSLGILVLRALGSS -TTEHVTLYAAIRSPNLFFPRPIPDWVLPTDLVNGSPSYRTALARLTLMRSSDPTLAPAVTSQIIAAASAQ -GWQRDLTQDGDVESNPGPFSLLIQLLEVYKPFVVGLVGGSILLGIVISDSVWQRDLPREGVEENPGPVSY -SFIFFKMLKSPLLTGLFPPLLCAQALIALQRWLAVRSYDPLAPSQWCRDLTCEGIEPNPGPVRVIKNPLT -EEYYLESQGVILKLVFSARKGKSKCSGTPCCKATRYKFEVVKPAPYLLAGPVIWDWTIVEYFSSCCKHDL -CVHALIDATSPEVNFFLDNWALPVSKLSRTSVFAIVGWMFKEAPNFFRSPVFCQPTAPQAEYPDQKYWPD -TSGALPQGPFSVFSLFDSYVLKSTLKAYAPFLIQSVINLYVMSVANNPVVTLLLGGVTMYNAVTLKPPPL -LVTLIEAFSCGTYDAVCAAAAPLLEGAPLRLFNTMKNKIKGIFARPQNFASITTAAKHFVWWIKTVITFF -QWIWVNLVNPPAQNEQIQMTVSDLVYQANSLLIRYNTEPDHRHELDRLKERLLGKLHVASKIPGLPERAQ -KLVCASIEKLCRTSPTVPEPVDKRPEPLGVWISGPPGVGKSLLMSKLAEDVMAIKKWKVYYHPVASEHYD -GYTGQYVHCFDDLGQSKNELDLACICQAISCVPFIPPRAALEDKGGYYAGKLVIATTNRIDFDTYTLTDP -GALKRRFPIKLSIGPPKFPFSKQTIADGSSFNISNFDTGAPVRYETLLHTILEDLDRRQAFAQNPEEEVP -CSPDAHDDLWITRLEAFKVHEPLLPMLSDDEITPEVVRIRESIFRECPQRRAPEWAVMLFGAGGLAGLLL -YAIPKLSSLVSGLLPKSETLPEDQGPYNPTGSATRISARELARRASPQSPFSAPFTHLFKNCAYLTCGDR -WAHALVSGRNLIINKHMSKDFSGIVKIATAYGEYSGRLLHIRDEGDVSVFLLPPGTPIYKELKFRIPSLP -FNPPAFLLYMTSDSTFAQQVKDLKHIPISNYWHGAQKDSFSYSTHTRSGMCGGLLITQIDGNWVPLGIHM -AGLPTTGFAASPIHALPPLPQGIITQVREGQLRIHRPSHTKLRPSPVAAIVESELAPAVLSAHDRRLDIQ -RVSNEEFLMEKCKKYCSDQVCNHPDLLQAVVDELEMAITRHTELVCEPVTLEEAAFDTVTPLDHTSSPGY -KYAGTKRRDLIDFENKIISPRLRNDVANLELQFRGTSTGAGEVKFASFLKDELRPLSKIASGDTRVVEAC -SLDYTLLMRMYLLRFFQMCYQSDPTLFGMAPGMNVYTDMLPLCTSLFDYNYCFDYSKFDSRLPLQVMHRV -AQMISNLTPEPQFVMRLFQPILISTHIVGSNEIVVEGGMPSGCPITTIMNTLCNVVMTHYCMLLLDPNSD -FWPVAYGDDLILSTRKPIDTELYCKIMNEEFGMILTGADKTTTVQAVPPMSVDFLKRRMHYTPEFPLPVP -VLPLDSLLSRICWCRGETEFKQQLESFSYEVALYGQSVYERIRVQLMPTVTMMSWPVAHRTVLTMLGCY - ->YP_009513030.1 polyprotein [Fathead minnow picornavirus] -MASIIENLTTTFASSMLGTAEDAVGSLMDAAVRPVEAPNVIISDQSAVAESEIYETGTVPPALTASTVEQ -EVGQMNIQRFVRLDAAEWSTSSAAEVKLKEWKLPDVFYDSKYPTYNLMKNYHWMRSDFEFNLIVNANMGF -AGALVLVYVPDGAHFQFDTFRNFPHAMINCSLNTSASLKIPYINMTQYCGIKDDEDNNGTLRLYVLAQLK -VPSSGGQVSNWTLYGKLVTPEFQAFSVLQGPGSNNAAVRVEQGEGAMFMASRHHTALRPRMGLTSERSSS -DAQVVGDSAFRSLKQVTNIESLWMALDWAYTDEIGKQLLVTNVIVKSDSNVGSIKNTTNLGFLSNFYHGY -KGDLCVSIQSVASRLNQGKLLIVFYPGEDNDGADLSIDNINNAFTQILDLGGKTTVRFTLPYVCQQTYRP -MHGVFGRFAVFVLNPLTYTPACPSAVRVIFYIGTSSSFSFVYPKEGTAKFQGDDDDVIIEEEYIEEPVTN -IEDEASTSTRIKRPVFRNVRVSLPDQIKSDHMLLANLFGRAHALKPTTSTTADKTAQLVPTAKSFLNVFK -LFRYRSGDMTVHIMHTATGPLVVAHSYISDDLGTVAEDNYSRILSQGSVAMKPASNASLCVPFYVLTPFV -ESMQLGKLLMSSLDDTTYSVRLALTFDRNTRFYFLQSPPDVVAADFSDDDVDDFEGPSDWSRLDLLERTL -DRLAIETTYPVKPVSYAGRFLHFHSKAEVDGVPFYAQGKTKRECRLKLLEQILAYKRDLTACGDVESNPG -PVLAISSTFGWSLIDEGVPITIPALNLANAAVVSSPLDSWWQICEIEGDFLRNFNTALSANFAPDIAIAD -DVPQTYTNTAIFLQIMSRGLVRRIVVTPFISMFMLAGFSRWIRARFARLRLLLSGDVEQNPGPVFFYKET -DLGTHFLCPLNSANNRFLGIYNIDNFIFSKEIRITSRWSSVPPANTIVITERQFTRLTVLGEHALDGHDV -RFVFDDQTLEDTPLPCLYALVAFCMARVVDAESPISGVVQWMLGVDASAIANAQLASTLFTDDNLRRVLG -DAWSEASSLLLKGFFKVLMFAFILSQSSSSLTLLSVSCLVAADVSSAVFQIFQSCNVSSLINYLLPGEDV -IGCCERARMVGLAHDIFKDFTDTAEDFTSMTQLARNLDWWVQRLLKLASLISEMYNPDEIQRSSKILKKD -ETVVVQTIAGAADLYQDIRTSGSVTNEHRNRYDELHEQLVMYQKLANMVPRHPFQIPINQALMTMKSVRY -GHRAPEKPIRPDPVGIHFCGIPGMGKTVLMTRICNYLAKALKTEVYVHAPGAEFLDGYSGQKVHYIDEFL -AHVDEKEANLILQLMGCSNTIVPMADLDDKGKYYQAEVVITTSNTLPSSHSKLKFPQAVARRFKVLEFKV -NSLYTTVVNGQTVLDGEKAMRDGSFQSGECWSFCVDGLNWKTFSFKKFVAEVMDMIDKNRKFYENFSKIL -DDDVDELQAPPETPVDSQTILDTLEIEGLFAFPRKLVAATKTSVQYAKNWITEQCKGWTLDKTIAWVSRV -GVAVNVLRIVHSTYTFIRDLTKCDVEAPYDKAIRVDPRKVLVKRAARRNVRVDTAQAPVECEQYEHFAKP -MVFLKFEDRKHNSRGFAIAKRQIITYAHGLGNGNLIVHYGGIEMNLTPEDYTISVFVVEDGETDMACIEF -VSALGVEFPDFTHLISAPEYGRDARLLTAWGGSFHIRTGENLTKGDYSMLRDPNSGKMWGMRFGHFRYLC -KTQPGDCGSIIIQKQNGTWKLIGMHNAAGQGSAVAFRFDLYPLDIAQGVIVSKEKSTMRSFMPSKSKLRE -SPFHGAFPVEKEPAVLSSRDTRLIVNIDSLVKTNGEKYRVDRFDPNTTVFAVAAHKVKERFQNHIPLGYM -ITMEDAIRGGDINPIDKDTSPGYKYVSRGFRKCDLYQILPDGTVQISDMLRKDVEAWLTAIKTGKEIDTL -FTAHLKDELRSCEKVELGKTRVIEAAELDFVVAYRMYMSSIYSGFYNTAAHLTGIAAGINPPRDGHELYA -ELCSYSKFLALDYSRFDGSLPEMLMRKAVEILAELHESPEEVARLHETVIVSKHLVLDELWTVKGGMPSG -SPCTTILNCICNLLVLEYSFLDTFGIDYQFHFDGDYTSRDFLTVVYGDDCIVAYNGPEVGAALAEVVKNA -FGMEITPASKVGEEYNVEIQEIEFLKRTFFRLRGQRDDRIALRLSLTTIFNSLMWMRNRKTFADQVFSLM -VELSAWGREQYDLVVRKCRERLKENREVVTIPSYDLAFETYLGIVDWDVVGEVTAEELFPVKLEISDEDD - ->YP_009505617.1 polyprotein [Human parechovirus 1] -METIKSIADMATGVVSSVDSTINAVNEKVESVGNEIGGNLLTKVADDASNILGPNCFATTAEPENKNVVQ -ATTTVNTTNLTQHPSAPTMPFSPDFSNVDNFHSMAYDITTGDKNPSKLVRLETHEWTPSWARGYQITHVE -LPKVFWDHQDKPAYGQSRYFAAVRCGFHFQVQVNVNQGTAGSALVVYEPKPVVTYDSKLEFGAFTNLPHV -LMNLAETTQADLCIPYVADTNYVKTDSSDLGQLKVYVWTPLSIPTGSANQVDVTILGSLLQLDFQNPRVF -AQDVNIYDNAPNGKKKNWKKIMTMSTKYKWTRTKIDIAEGPGSMNMANVLCTTGAQSVALVGERAFYDPR -TAGSKSRFDDLVKIAQLFSVMADSTTPSENHGVDAKGYFKWSATTAPQSIVHRNIVYLRLFPNLNVFVNS -YSYFRGSLVLRLSVYASTFNRGRLRMGFFPNATTDSTSTLDNAIYTICDIGSDNSFEITIPYSFSTWMRK -TNGHPIGLFQIEVLNRLTYNSSSPSEVYCIVQGKMGQDARFFCPTGSVVTFQNSWGSQMDLTDPLCIEDD -TENCKQTMSPNELGLTSAQDDGPLGQEKPNYFLNFRSMNVDIFTVSHTKVDNLFGRAWFFMEHTFTNEGQ -WRVPLEFPKQGHGSLSLLFAYFTGELNIHVLFLSERGFLRVAHTYDTSNDRVNFLSSNGVITVPAGEQMT -LSAPYYSNKPLRTVRDNNSLGYLMCKPFLTGTSTGKIEVYLSLRCPNFFFPLPAPKVTSSRALRGDMANL -TNQSPYGQQPQNRMMKLAYLDRGFYKHYGIIVGDHVYQLDSDDIFKTALTGKAKFTKTKLTSDWVIEEEC -ELDYFRIKYLESAVDSEHIFSVDKNCETIAKDIFGTHTLSQHQAIGLVGTILLTAGLMSTIKTPVNAVTI -KEFFNHAIDGDEQGLSLLVQKCTTFFSSAATEILDNDLVKFIVKILVRILCYMVLYCHKPNILTTACLST -LLIMDVTSSSVLSPSCKALMQCLMDGDVKKLAEIVAESMSNTDDDEVKEQICDTVKYTKTILSNQGPFKG -FNEVSTAFRHIDWWIHTLLKIKDMVLSVFKPSIESKAIQWLERNKEHVCSILDYASDIIVESKDQSKMKT -QDFYQRYSDCLAKFKPIMAICFRSCHNSISNTVYRLFQELARIPNRISTNNDLIRIEPIGIWIQGEPGQG -KSFLTHTLSRQLQKSCKLNGVFTNPTASEFMDGYDNQDIHLIDDLGQTRKEKDIEMLCNCISSVPFIVPM -AHLEEKGKFYTSKLVVATTNKSDFSSTVLQDSGALKRRFPYIMHIRAAKAYSKAGKLNVSQAMATMSTGE -CWEVSKNGRDWETLKLKDLVDKITIDYNERVKNYNAWKQQLENQTLDDLDDAVSYIKHNFPDAIPYVDEY -LNIEMSTLIEQMEAFIEPKPSVFKCFANKIGSKISKASREVVDWFSDKIKSMLSFVERNKAWLTVVSAVT -SAISILLLVTKIFKKEESKDERAYNPTLPVAKPKGTFPVSQREFKNEAPYDGQLEHIISQMAYITGSTTG -HMTHCAGYQHDEIILHGHSIKYLEQEDELTLHYKNKVFPIEQPSVTQVTLGGKPMDLAILKCKLPFRFKK -NSKYYTNKIGTESMLIWMTEQGIITKEVQRVHHSGGIKTREGTESTKTISYTVKSCKGMCGGLLISKVEG -NFKILGMHIAGNGEMGVAIPFNFLKNDMSDQGIVTEITPIQPMYINTKTQIHKSPVYGAVEVKMGPAVLS -KSDTRLEEPVECLIKKSASKYRVNKFQVNNELWQGVKACVKSKFREIFGMNGIVDMKTAILGTSHVNSMD -LSTSAGYSFVKSGYKKKDLICLEPFSVAPLLERLVQDKFHNLLKGNQITTTFNTCLKDELRKLDKIASGK -TRCIEACEVDYCIVYRMIMMEIYDKIYQTPCYYSGLAVGINPYKDWHFMINALNDYNYEMDYSQYDGSLS -SMLLWEAVEVLAYCHDSPDLVMQLHKPVIDSDHVVFNERWLIHGGMPSGSPCTTVLNSLCNLMMCIYTTN -LISPGIDCLPIVYGDDVILSLDKEIEPEKLQSIMADSFGAEVTGSRKDEPPSLKPRMEVEFLKRKPGYFP -ESTFIVGKLDTENMIQHLMWMKNFSTFKQQLQSYLMELCLHGKDTYQHYIKILEPYLQEWNITVDDYDVV -ITKLMPMVFD - ->YP_009508298.1 polyprotein [Shanbavirus A] -MESLNNLISTVPQTINTLLQDPAVEESENTSDRVMAVTSALSTDVGQHATRPAAGLHPVTGPTEPTNTGT -EQDLFIKMFSRNVYMESADFGTGQNNWDIIARVPIVQRLFQGGRPIEFLARMWKYFRGDIKLTIQCNAPA -GAVGAFVAYWVPHHIIDQQNKWSTGTVFNLPHVIFNVGNMNIAHLVVPFTFHKTYMTTSQNRDFGNLFVQ -VLSKYNVPSGTPTGIKVSIFGALDNPTFTNPMITQAPPVVPKKAPHSTNFKYTTQRSLVCESIGCANMAN -TLYTGSNFSTAIAGERIMYARDSSGQTKPIRDFLEIAKIPGMWLTDTLNANQETIFDWPTTTTGGNNVFD -KQIKFKDMGNLGIMMNFFSGWSGTIVLELTVFGSVMHKGKLAVIVNQSSDGTPTLDYMNRLQYSLLDVGL -NSSIQVPIPFMHDSWMRSTNPNEHLKIVVKVVSELMHNATASNSVRCMIRFKAGDDFKFYFPKPSALATQ -ISWGSEMDLRDPFTTDETVQEALSTNHATNDQDQAAAAGLADAGNAGTLDDVVADPTPMCIGVKTNRMNI -APISYTDIFTYFGRSWLVNEQVYRENSNNIVVNVPAPRSGHAVLMNFFTFFAGEVNFTVCNDSENTIIVS -HAYQDFVNDSSGAGSVAIPARTVSTFTAPFYSVDPLRGLEDSKLFGVLHFNCGYLSGSFKVYASLRCPNF -FVPRPFPKTANTRSVEEEPANYVISKVTRDAVIWHLTHKDEWQPLEIKEETVNPMLVSFMQELRRTGYRG -TLLMQAGDVESNPGPVELVYRHRGLYKHYGVTDGTQVYHLNTDDILYSALTGKANTRCDPLDQSWIHTGR -FADSFGYEIPVTMDFSIDANCETFAKQFVPDGMTQGQALKMWAAIVFTFSLGTCVTNQDYTSLFSKLSTV -IMDTMHAAVTSKLLRFMLRMLLYAIMFCHAPNLMTGGSMAALMFMDYQDLVKERSPGWIKGLVKAMIDGD -VQSVCVNLVEGMQDDASPEEMAETVKEAAKMTSQAPDFDGFNKFSLAAKNFDWWLDMMKKIIEKVVDFFK -PSTARKFAALVEQYRHQLAMLFTSVAQAAQEAKRPGATSSIVFAEKVDWLKRQVNHWNQGFVEFCPRHEL -AQTMAAAVRTMTAIDMAPCKPSEVSRVEPVGVLIRGPPGQGKSFFSMVLIKEICRLKNWDTADVFQHPVG -SKHMDGYQQQNIHLIDDLGQNADDSDYELLCQMISTIAFPVPMARLEEKATWYTSKLVIATTNRGDFNTK -TINTTGALERRFGFNFSIKAKQQYTKDGKLDVVKFTPEIQEGMTWTDEADRPINPFHLAREIVEELNRRE -QITKFWNNYMKPELNKKYPGVFCYPSAEALRESNKLLNNQNASLLDFESWESRLATLMAPIEEWLFSTDE -EEALPPAPLNRFSRTVDEKIGKFGRWLAKHGHWISFGSALVGIVGIVTWWVCNGKTGFKGPDKKEEDDQV -YGGEVTVKPKKTLFKKNASEPIRDQGPGDELTHITRGCVRLVADGIQVFGLAIGDDRILTFGHSDQVLFK -AGTVEVVYGDIRMILESPEFTRLTVGNAETDLAIVKTNAPFRMTSMVKHFTRSLGSDPILVWNTKNGIYT -QSVSNLQALGAATTAEGTWSHDCVSYNAHTGSGTCGGALCVKVGGMYKILGMHVAGNGFIGRAIMLPTNQ -GTYYPINPLPIPPANLVTKTRLKPSPLHGIVPVTKGPAVLTKNDPRTHGDPLDQIFLKNVGNYFEVRDPN -RFDEAVMNLRARLVDTIGVHSMATMEEALFDGANAVDMSTSPGHKYTSQNLRKADLINKEERWISPILRA -DVDQLIEQAKVCTPLVYFTTALKDELRSEDKIKEAKTRVIEASNFDYTVAFRMIFGKQVDIICATPSEDT -GIAMGINPMVDWTSLVRSLYKNNLDFDYKAFDGSLSTELMRAAGYVLAGCVEDENLFLNLLDASVISIHH -GVFEDYMLVGSNPSGTPFTTVLNCACNLLVVEYYMLGLAQQIPYVAITYGDDLILSTQEPIDARDFQRML -KLEFGMTVTPSDKDSTEFVNKSPMEVEFLKRKPKHHTSDTIIGVLSLENMLQHIMWCKGLDEFATQTISF -QIELAAHGEATYNEVRELFKTRKIILPKFADAKFQLDKIVYQL - ->YP_009505615.1 polyprotein [Kunsagivirus A] -MDPLSLTAGLVGDVVGGVAGAITGGGDPVGSLLSSGLSLLGLGSSSSTSSPTPSRDGGGPSSIGPQLSAP -DLPQPPPSPGLPLSAGGDPQPHGVTSSEPPTPAPTHTQAGSSQSTATPFGGASSGHTPTFEDACFANCLA -PDAQASLTGKLTRVSTFGYSNSARSFASINVVHLPRNYYVTYTQAPPHGSALFMRLVRTRFLVEIQSSAP -LGAAGIVVFYFAPADIPESEGHMGSSVFNLSPCDFDVARMSTAKFVIPYAATTPFVPVDSHDMGRFVCMA -LTPYRAPSGAQSELTFTIYMAAIESELTCPRPRRQMFSTHSQLQEVVITSTPGSLTIGNSSLANLAPTLS -LAGEGYRIDEITPGGQRPVDDFIWLALRPSTRYEGWSFDWHPTDPAEAQLFAWDLDLGMSTSNLGILANS -FAYARGSLQITLVAALSVFNRGRLRLCFEMAGDDRYDHQASMAVNFTVIDFSNSNTGSLVVPYTSPSWFR -PTTADHWGRIVVFVNTPLTASAACIDVVSVQVFVSFAPDCKFYVPHSNGMTYQAPPMPDQVSATQCSDPF -GPQVTVVDFSQPLVAAETSPSPHAMFSSAHTNVRHLLGRFWHLTDEFEATHVLSSHPIPFPANSHAAIAR -SCAFWNGEPVFVVINHTAGPIEVSHFWEDAYVAVTHALSSRGSILIPSKGQAVFSVPFYSPTPVRSTDAN -ASLGNLLVRTLETAVSGRFSVFVAIRNPKLFFPRAIPDWVIPGRSVARTYRSALAALTGAASARATTSRE -SVARIMAAAAALQGPGCFPFGRHSAPVSHSSVASSPRSLLHFLIGRPRPRVPPSPSLLLSGDVEPNPGPV -TMVYDPIECKYYLEVGGHLLGMNPPRGWPAKTKINKKVNTTCRYPKTHKCPRWSLFIAQRQPWFISGPIV -WDWIMVDYFPLSPEAILCSHGICQIENDDVHLLMDGVFLPLSRLARMDCAGLISWLFLKMPTWFHCFPFS -RPSCPVYLPPEARAGVTMTAIPQGPFDTILSFFDGYLMKSAFRHYTPVVLRCIITLYSIHVANDPIVTLL -LGGLATYDIMVTKPPAALVVIIDAVSCATYDSFKAVMAPVFGDITATAWRRIKEKFLRLCARAQDFKKTT -DTAKAVVWWISSIAKMMHYVWINWLNPPVQNRQVQLAVAQLLVDCNAFLSDLSLDPSTLGDRPRRQRLLV -YLQRALQMPGNPDNVQRLLQQSFNKLVSLSPIPPSPPSTRVEPVGVWLSGEPGTGKSLLMSALSADIAKH -YNWTVYNHPTGSDYFDLYTNQQVHCIDDLGQGKAEEDLKVLCQCISTVPFVVPGAAIEDKKHYYNGKVVI -ATTNRTDFRTYTLTTPGALERRFPIRVRVLQSKWPLTKETLRDRTYFNLVSASEAAHPITYSCLLDSIIQ -AYDSRLALLQGPPSPNPFHLLADPTFPPPPPEDEPQSCDDDHLAAIEALEVTPDSNDQKWLEAVGGSQAA -DPDLPMLSSAPADPSLLERVTALADRLYGSLRKRTVPEWALMAFGSLGLFAVLAGIVRKIFSFLQPRPTP -PQGPYNPATAGVRISARELARRADPQSPWQPQFNHCFKNCVFIEADGFTWYAVMFGRVLVVNKHYLDCWS -GPVVVSTAVSSFSADLTVPPQFVEGDLAYFHLPSAPPLKAAPKHYTVPEPASGTQAMLLYAGRDGTYAVT -THTNSYYTFTNGSFFCGIVPSVHSYEVPTQAGMCGGLLVLQVGGNWIPYAVHFAGLPHRGYAQGLNLDWI -AAVNAPAHPLPPLPDDALPAPQGIITKIEPTSNFRLGFCPYTKYSPSPVSLVIRSELEPAVLSAHDNRLE -VKRESNAMFLLEKTQKYDTNVTVPRPLLLQTLATEYGTHLRNLMSTLASPASIEEAVFDTVCPMDHRASA -GPHYPGVKRSELIDFQRRTISDRLREDVVALRAAFARGDNVYLPFSSFLKDELRPKPKVRNGDTRVVECS -SLHYTVAFRMQFLSVLRMMYGSDPNQTGLAPGMNVYLEFSTMVSNLYPNNLCLDFKKYDSRLPSDVMSVA -ADLFASLTEDPVVSRRYFDPIIDSIHEVGPYRVEVHGGMPSGCAVTTLLNSVCNVLMCSYAVLLQDPDMD -FQVVAYGDDNIVSTAEPLDVPAFRSVLASDFGMVTTSADKSEECYQVPPEQVDFLKRRLRWTSDFPVPVP -VLPLDSMLSRLCWCKGPHEFRDQLISFSYELGFYGQEVYHRVFSALLPHATLPPWSQTLQSCRYLLGIED -ALHPAGKT - ->YP_009505601.1 polyprotein [Turkey avisivirus] -MDPISEIAGAVTEVAKDLAPAPINQIIEGVSNLTTTPSANSTIQTSAPTVDTSIPHGTSQILDSFFSCGT -VQETSVLNYEKMILLDQAEWGTNPDVTHCLLKTSVPGAFFSDASRPAHGISKYFRLLRCGYRFTVVLSVP -PGACGAVAMVFVPPGFNNKMTVGQTVTKWDPEAVLTLPHVVVDSRTSNAGTLTVPYVNYQSYCNLEQNGN -QAFVAVLVLGKYNSGTGTSSTCDIALYGELLDTDFQCPRPVSQGRRRKASRPEQNPTTAMVSIASGPGSA -NLANSTIAPRLADSLAIANEGTAVDYSTAGCDQSVNDIIELARSWQLAAYGKLNSADKDTVILNMNFVPY -SYGNLGLLFDKFQYWRGSLEVQFVMYSNSLASGRYQLCWFPADWSDSSRAYTLAQLRNSIYATGDVSSAP -CTLVLPFTNQNWRRRCDSNYGSITVRMVNRLAVNGSSTTHFSYALFVRAGQDLQFFAPRYGDYSIQQGPI -EGETYNQASTLSTNFEISDVVIHGSKHTQIDNYFGRAWVEGFHTSTAASTAMKLPLQTPRHSHGSAMLGF -AYWCGEVVITVHNRSQNMLICAHSYDLEEQHSQVNEQSIFSLGAILVPPREVKTFRAPWYSQTPLRRPLD -DPNEPSMGFLYVSSEGTSDFTVYLALHKPKFFFPLPCPMFTSNQSREAPRQPKSIAERKIELSSVARRTL -EWARREVGAIDETDHKDILLGGDIEENPGPQSVYLLGLSGCGKSRLINAIAGHPLCDSRLSPNPIHTETH -SHQLMGYEIFEQVGMPPAGGKYVYLQEATRFDKEHVDYIREMDKLHPGWRRHAVLYVNRLGDTKLSQYLR -GVPELAGFKEATDNPLNVIPLLVSIDTYVGTGVQLVCKNRGVYKHFGVREGDKVYHINTENLVKTALDGE -VAVMVEDYSDGWIPCSPEEKIGAVSFVQTGTLDGVTFSCDFNCETWAKIFVPSEGETQGQRLKKVMAIAA -GAAFVYGLPRGEGFDFMQCVTKVMMTLFSKQVKTIVVKMVIKFFCRLCCYLVLYCHSPNLVNTAMLTILL -TLDVFDTEIDEISGKVAKALVSGDFKAAGRAMMEAADRKCEDFKCEGKRTLQSEGPDATGKSFNTWTLVA -KNLEWWVDKLKQFINWIRTKLFPSDARDKIEAMESVRDRMVLSLAAADKHLVTLKADKAYATSKAARDYH -LKITNEIIDLNAMDLGPDFRDLGTKIGQILNRLQSVTFDSVDAGSMRQEPLGIWISGEPGCGKSFLSHLI -IKHLKEKKGFSVFCNPSGSDHMDGYNGQEIHYFDDLGQIREEADIKLMCQLISSQQFIVPKADLTSKGTL -YNAKVVIATTNKNEFDSTVLNDSGALRRRFPIRLHVRPHSFYSTQDGRLDLNRAMKDGDIDPGCWEINVG -TGRSCWQTLNWDILIHEVEDELINRENINKFFSQGAIFESDEVEVIPEQGPGSVNKSTVTKLKNWINSLI -DRAKSFFERNKCWFYLGSALATLATLVTTALPAARNYLSNLYSGEPTRAKVTRVTREFQSEGPSYYSLKD -RLVEVGETGSTGLALGGKVVLSFGHNDDSKFITYKDQEHPVVKEENISVNNSPQDLALLTVQTPYQFKEI -RRKIYADVYSGDGFLLFLKKGTLIAHQVKRITPCDNIMTQQGHQTQFAYRYQVNSASGWCGGVLVGIVGG -NPMILGMHVAGNGSHGIAARIFPNFSQGIVTQRMPNTELYFQPRRSEIYPSPANDGTSSVEPPVLSNRDK -RLEEPIDDITKHNADRHKMNRFNPPMDAFQVAKANVISELASIVAPCYHMTYDQVVDSSLLPIDWTTSPG -LEFKGKTKKQLIDDPAFKERVMKLYKSFAGGNSAPPQVKYTTYLKDEVRSKEKVKKGATRTITASSFDYT -IACRMIFGNIFRQLFGNGLPAGFAPGMNPYTQFDELYDSCRLNVICLDYSKFDASLSKELMEHAIEVVAC -FSEDPMSVIRAFQPTLISQERVSDELWEVRGSMPSGSPWTTMINTICNLLMCKTYLLDMGHDITKTYVVC -YGDDCVISVDQCHRLEGIEQWFMDKFGATVTPEDKSGKIKWRFKNKLKFLKRTPMQLDWLPKIVGALDID -SMMDRIQWTKGHFQEQLNCFYYELALHGEDTYNEARRSIAFRCPELVHPTYHCALETIKPMVSLM - ->YP_009423853.1 polyprotein [Falcon picornavirus] -MAGQNKTDVGALLSSTASAVGSLLQNPTVEEANTDSDRVAASTTTNAGNLVQSSVAPTMPFAPDFRNKDD -FLSMSYSPETAPTNPTKMVLLGRASWSQSQARTTEVFRISLPNSFWAQNTQPAYGQSRYFAAVRCGFHLQ -VQLNVNMGSAGALIVVYMSRTVFVNWESYSFGTFTNSPHIIMKAATTSQADLYIPYVNHHNFARVDTDDL -GYVLGYVWSALTIPTGSPTSLDVTIFGSLLDLEFQNPRPFDSSAVQIVLEGPNRKRKTKASKFKWTREKI -DIAEGPGAMNVANGLSPSGSQSTALVGERAFYDPRTAGAKARVKDLMDFARMPSVLRGDGTSATYRSGYF -SWAASTTPGNHVFNYGIWWEDLPNQYLLSSCYTYWRGSIVLKLTIYASTFNKGRLRMALYPNYRASGSDT -GYTDAEANNAIYVVCDIGLNNTFELTMPFTWGNWMRPTRGIPVAWCVIDVLNRLTYNSSSPNSVNCILQV -RMGDDARLMVPCSSPYAWQSDGLRSWGSEMDLVDSLDNPTELMDAEETESHNVEAAQGEAAATAVGLKAT -ENDGTLSEQLQSNQPMFLNFVKQNVSLFSTSHTKVDHIFGRAWNVTNYAYTTGDMHSIFVGFPTTQHGSL -ARLFAYFSGEVNFHITHTSSTGNFLTVTHTYYGTDSGIPRVSEDGLLSSGAMIIPPNEQMTLCVPFYSEV -PLRCVKPTGGAGAEHISGLGTLFLKPTGSTDANGFVQIFVSLRCPNFFFPVPAPKQATSRSTIRDMDYIT -DVCQLEAIGKSKDLDDPLEVGTKPKDPLAELKQRAFDWFDARTGFKTPLMNPCGDVEENPGPTMIVRVDQ -DTEILITSLNGRLSFKKRVKGSDFCWPLSPNQLEDMHWIHLAQIQIEKRYGYRFWLLMLCGDVELNPGPD -IELVYKNRGFYKHYGVRVGNYIYHLNSHDILSTAISGKATFLKEEDDGNWIHSMTAPLDYFTEKYMTSLV -GSHHIFSASQNCETFARDIFPNVPGISQAKALGIVGVILLSAGLLSLLAVPFDYSSLCYVYNQSIDQDVG -GLTLLSQRCMTFFSNTLMETFNNDLVKFIIKVLVRLLCYIVLYCHAPNMLTTMCLGTLLVMDITACEVLS -SSSRALFQALVDGDIKKLVTQIAENLQFAQSTQEQAEEMVETVRFSSDLLNVSMGGAYDQSMADQGFKKF -NEVSTSFRHVEWWLTMFKKLFNVLRSIFMPNEQQKAVKWIEMNAEKIASLLDEASDVLVLLKEVKNQRDQ -SVIKRYSDVLESMKPLVSLFVRVAPSTRFASTVFRIYSELLKVNVRMPVNKNMTRLEPIGIWISSEPGQG -KSFLTHALATKILQKTKLNGIFTNPTGSEYMDGYCGQAVHIIDDAGQNREEKDLALLCQCISSVPFTVPM -ADLSEKGMFYESQFVIATTNKSDFVTTVLTDVEALKRRFPYKIKIRAKTVYSKDGRLYVPKAMSQMADGT -CWEVSADGRTWVDLDLNGFVDMIIKDYEERVDSLDKWKRKLGLHNQSPLDDISDTIASLERRFGQMSATL -SDYINRTSDELMEAVEDLFSPGETPFLCFEKQQPLFAPRTTKEKVVDWVKKTSPALEDFCERNKGWILFL -SLLSSFLGILILVYQHYKNSQPDSKEQRAYNPQTSQKKGGKHAKFAIKTTDFRNEAPYVAELEHCFSQAA -YISASSSSHVTHCAAMKENQLLVHGHGAFFLEQEEDLKLHFKGATFEIDSGQVSQVTLNGQKMDLMIVKL -DKFPICFKNYTKYYTNKIGTDSLLIWNSPQGKLAMPVTNVQMSGPITTQEGTQTYKTYSYKVSSKRGMCG -GLLVTRIEGAYKILGVHIAGNGMIGMAAAVGFIQNAPEYHDQGVVVKRESLPLPVFQPSKTKISPSPLNG -IFPIKMEPAVLSPFDSRLIEPMSSVVKTAALKYRVNVFNVDQQLFLKVVDYWKQKFRQTFGLTQRVSIQQ -AIQGAGKLASLEISTSAGYKYASRGIKKKDLISLEPFWISDELVEDVKAILGDIYAGRVPKVVYTAYLKD -ELRKIEKVMTGKTRCIEAGSVDLIAYRVIMSELYEKIYQTPPQVLGLGVGMNPWVDWDSMMEALLPYNYG -LDYASYDGSLYDELMRSDVEVMAYCHVDPEQVMILHETVINSEQAVMDEIWSVHGGMPSGAPCTTVLNSI -CNLLVCTYLAWEQDPTIQVLPIVYGDDVIYSVDQPLDMERFVSQAKNCFGMDVTNTDKTPIPTLVDFDEI -EFLKRRTKIFPKTTFRVGALNLDTLEQHIMWMKNLDTFPEQIVSFENELSLHGRDVYDFYKEKFKDDLAK -WSIFMNDYDVVIRRMVGYVFE - ->YP_009361997.1 polyprotein [Ferret parechovirus] -MDTIATLMNKTGTLLNNPEKEFQEQNSDRMAAASTANAGNLAQAAVKPAAPLDAGFKNSDSFTSMSYSTK -TFAQNIAKLVPVANANWLNSHGRSTELFAVQLPSGLYRDGTFPAQGISKYFKYVRTAFHFCLQVTVPQGA -AGSLILCYLPRAAANREPFDFDSYTNLPSVVLNLATGTQADLFIPYTNHKNFAATNSNDLGTVYCFVWTP -LGTPTGAPADVEVNLLACLVNPNFQCPIPTNEGPVRDPITKFKWTREVRDIAEGPGTMNLANRLETNGAR -SLALVGERAHYDPYTAGVKHRIVDLMQYARLPSVVNSGIFDWNGTTAPRTSIWKTNIQLAQIPNLKWLSE -CFQYFRGSLVISMSVYSSMFNRGRLRLCWYPLHADDFSYVSSRNAINVVCDIGLNNTFELTLPFTSDSWM -KHTGETLGRITVFNETKLTYNSASVNTVKCVVSMKAGPDFTMMSPKETLHSLQAPTSWGSEMDLTDPLDD -STDGVKEVEGAAAFQSSTCDYSQADDAAEDTGLAAKENAGTLNEVVQAKPPKFINFDTCKRHIYTISHTR -VDNFFGRAQRIAEFAWSDKALKSEPLSWPNHNHQAMARLFAYFAGEINLHLVNESDKHISVGHTYDLRDG -SSDYGVSSSGVMVIPPQTAMSMCCPWYSHTPFRPTRALSQSGIKPLGTIWFKPEAESGTLIVYLSLRNPN -FVFPLPSPKTATASSLSSQDDALFCLYSAERLSDILSALEVSPDEPFVPPQNSQDNSLSMRMLRRLGYRR -ELLKQCGDVEENPGPFPNRAGNSQQINPTMHHAWLVYRDRGIYKHYGVQCGDSVYHLETEDIIDAALAGK -AVFTCEPADSSWTRSQPLELDYFTQVYLDASVGSEHIFSARTNCETIVRDMFPNIPGISQSQALGLAGLI -LVSASTLGLCAASFSAQELRDMLNLSFQQNSDGYINSLVQKAMSYFSSILCETLAADIIKTIIKYLVRLF -CYIVMYCHAPNLMTTMCMSTLLVLDVTDAKQLSSDTTTLFKGLLEGDIKGFCERIVHCLQFDTTEEETEL -KLETLRQAERMLSHEFDTFVGQTNESPIKEFNAFTTASKNVSWWLGIFKQIIMFFKNLFSPNSNARSLQW -LADHEGQICDLLATCNNHIIDMKKPENQRDPRFHDKHKWLCRRLTDVATIVYKSATYSPLATQVLRLNAE -MAKIRLTQPSSGNMVRQEPVGVWICGDPGQGKSFFAHALIKAVQKKTKLVGIFTNPTGSDFMDGYAHQDI -HIIDDAGQNREEKDLALLCQCISSVPFTVPMADLCEKGIQYTSKLVIATSNRTDFTTVVLSDHGALARRF -PVYLRIRAKQQFQKNGKIDTAAAMIYMKTGEPWEVSTDGYKWSPCNMDEIATTVANDIIRKHDAVSAWTN -MMEEDEGPIVHQDVYDMCLNDITADCRRLDDICQKLEADLNGLDSPFEALRKRSDMELDKAPTTVISWFR -KKLTALRNWCQRNVGWLSLVSVLTTGASMLATYLILRKPDPPAADNRAYNPQTTNPKGKSVFTMLPNQPV -VTQVNQSPYNGEIEHCMQATAYITGKNVNYPLHCIAWKQRYIVTYGHIAEVLPHIDCPQLWYKGNLFEIE -EAEMSYLSSNGGPMDLLLIHLPKFPIQFKDITKYISNGISKEAYLIFSTPMGRMMYEVKNPYLSGFHQTL -EGTKNSETITYCLNTKKGMCGGLLITKIDGNFQIAGLHISGNGVVGSSAMLKVLKQSSNQGVIIETTTSP -VRVFQPGKTQIHPSPLHGLWDVKMEPAVLSAHDPRLEVECTSVVKMCSNDKYVGNVFSVDMDIFKMAMSN -VLAKLYRQFGTNKTVSMEKAIVGFGKFNRIDLATSPGIKYSLKYKKRDLIQYDPLVVHKVLVEDVQKTFE -DVKSGCVQTVFATHLKDELRKLPKIKSGSTRVIEACSLDYVIVHRMIMGEIYEKIYATAPQLTGFAVGMN -PWTDFDMLVRSLHDNVYCFDFRQWDGSLPPELMDAGVWVLSGLHEDPSLVRNLMAPVITSEQICLDAKHL -VYGGMPSGAPCTTVLNTVCNLLACEYASLKIGAESLCVAYGDDLLFSTPVPINPVHVLQIWKCDLGLTAT -GPDKTEHVPAVCPLDIEFLKRTPKFFPNSGFIVGALDLDNMLQHIMWSHSSEAFLQQLSSFENELVLHGE -HVYNEVQSKVNKHLSKMGMNMLPFNVVYNKMVQLVFE - ->YP_009337305.1 hypothetical protein [Wenzhou picorna-like virus 43] -MFSKMADPTRMASSLVRSVASTVDSLLADPTTEFAEQDSDRMGGTISVNTGMPQQAIVKPRTTFDPAQSY -QDEKAAQNYSALTGALNPMRLVNLSNTEWLVSNVPGDDIMTIRLPQDFYPTPSFPAHGQTRYFKYVRAGF -DVTVNFAAAQGIAGLAVVFYVPDGLDMSLRNRLSLFNLPHSIVNLAATSSARLTIPYVNYRSYAEKDSAQ -CGRVVVSVLTGLTVPTGVTPAVTLSAWGAMMEMDLQNPVPQGRDMVDIAPGPGNLALSNSLPLQGAQSLA -LVGEGVDADFTTAGVVKSFNNLRDIAQIPGILRMGGVSSWNTSQAIGATVLDTQVGLNIFANSTPNMAFL -SGMYGMVRGSIVFRLLFASTPFNKGRVRITYYPNDSTAISLDQAQNGISVIHELGLNTTAELVVPYAGLN -YYTRVNAFTGRLTVHVVNRLQANVNAPSSVNILVTMAGGPDIEFASPRGGPVTWQGPEESVITGDGNDLA -NAAGVSTLENTGGEPRPREVAPALLNVKNVGAVRPTVIKADHMDLNTLSGRGIFLGAFLSTGGLVRVPIV -PTNTGFGAFWKLFSYFTGEYTLHFYNDSPTHTIVAQNYAHAQAEQLRDITALGSAVLLPKAAMSLKVPYY -SPQPHRLLNPGLVQEGTGMLGTLFFDNRTVHTEQVHSVLAVYISFSGDNFSFPIPVPLILPVREPRDEVE -ETIERIMNQGPCAVSTIHDMQNVGEVLDLDYTFTHVGATNAREHTCEVRLTKSGLGYTARGVAKTKRMAK -EIASTMVLSKIAAQERKVENQGIPNPLSLVSSVATTTVDFINKLFGCIRRCISAVVNEAVYKIVRLTAEV -FLHLALVLTGQVSAAAAVAMVAMRFIPDTAQGLSDNLEVAGQILKKFLDYDIEGAYVDIVGVIYQGLCIP -VGTIFMDRARREARALFSTSATQAGFGGDSVIHQGVSVREFLMTATIARSLEFWIGFIPRMFAALTNHFN -PDGPARASRELSLRAPHLALVCYKAHQFAMKKFDATHEITSAQASEMADVYGQLAAYRDLAVVACDKATT -TTIQNVMREVDNYFRSKQVVAPGEQARMEPIGLLMQGPPGSGKSSFQQSLMAQVAGLTGLPRTAYTENIN -DDFYSGYTGQTFHIKDEFLAGRDEKDGLRTVNEISTTSYSLPMAQLADKGKKYTSKFVIAQTNHREKNII -VSTLHDSGALMRRFPYRVTVVAHDDYKKGAFLDRAKAEDATHGIPAVITGRCWKPVTNPAGRPIPTTTTD -GVEHLDMISLCRQIADEYKYKMGIHASASGIYDAAYAEACKVEHQMWSFGSKTEETRSVDSEMINTALSI -LGERKEAIDPSDPSSELVNPPDPLPQNPDKTYLNYFKNAIKTTSSIISSNKEKVMALLTALGVLGGAVAV -AVRALGGSEHTPVESGDAEGRAYGGGQLKVKRVKNTKPIKVRRDVEHQGGDVNSEYAHLWDYVGHIVSPQ -GVSRPFITYGGKTFMLYTHAFGGATVESDGVIAAGSVLHYLGRQWQLDDYEIEMRPEVLASKKGDVYTER -VFVTIPKFPAQFKSSVSHQALPQAMAKTDILHSSYSNGREVRYIQSCYNVRSNVDYSVDDRPQVGLSYNV -SHRTFVGLCGAMVVQKQGSNWKIVGLHVAGTRSGSVGYAAAMLPVDLVVHQGEVTSKIKAERVYYTNTKS -GIKKSPLHGVFEVTHGPAVLSEYDRRLQVETGPLVYAAADKYHNNKFEPCPNVFALAKKHVMTKLVENIG -IHDSLNDYTVATNGLEASNCIDMSTSAGPKYVTKHLSKRDLFKQNPDGSYYPSAQLVTDCDNLLEALKNN -RAVVDFGTNTKDEVVKKKKIAAGKTRAVESCSVDYVLVYRDIMGKIYDKIYSTSALATGVAVGINPFTDY -HELYQSLHGQIACLDYTQFDGSLSKDLMYHAVDVLAACHADPDLVRRLHKPVVESRHLVGDEIWSVSGGM -PSGSPCTSVLNSICNLLVVYSCLYASGYEELNEADVITYGDDVLIACGSQEGDITQVVDYIQEWFGMTAT -NASKTGTDISEDLETMTFLKRHFRYFPGSGRVIGRLDLDSMKQKIMWCRGAEQFADQFTSFTEELALHGE -EVYDSIMKPIRARCVSVGSRLVIPCWRDVICRLRPRVFE - ->YP_009215118.1 polyprotein [Avisivirus Pf-CHK1/AsV] -MEQVGAAIAAVSEAAASLAENPVQEIIEGVGNLATTVSTNALVQTSNPTVETGLPDSTNIISDDYLSCAC -TVDSDTMNVEKTILFGTDDWSSNHTFGTCISRYDVPNAFLDSNSCPAYGQSSYFRYLRCGFRFQITTNPP -PGAGGSLILAYVPPGFQFRVQPKGQTITGFDSEAVLTLPHVIVDIRSSTHSALTIPYVNHKNYFNYAYQQ -DFRGTVVVFVLGQYTVGSGTSSTVGVSVFGEMLEADFQCPRPYQSQGQNRRRIRRRKAPPPPSNPPVGRH -VNIGPAPGAVVAANSVLNVTTADSLAIGNEGTAVDCTTAGASSAIPDVKELASDWQILHQESRSWAALTA -GARVWSGNFAPYEVGNIGALMDKFMYWRGSFEVQLVVYGSSLTSGRIQLSFYPGMPNNNSRTLQDMRNAF -YSTGDISATPTRLTIPFTNDSWRRRCDQQYGSFYIHIVNRVCVNASASPTMSFVLFVRLGPDFQLFCPRY -GDYHIEGPIVEKTPEESEEGETYSGQPHVFLNFDCVEVPIHGASHTLVRNLFGRLWLQEHTVSPSSGTHV -VNLEVPNESHAAILQCFAYFSGEIILSIRNGGDTTVIAAHTYVPEEQHDPTNEFSIMSLGAVVIPPLEIK -TIRVPFYSPSPLRMIRRHNTFEPTFGYLYLCSPSTTNVTVYMGLANPNLFFKLPCPRYTTNTRSRSARSA -PRFFREDDDHYNILLGGDIEENPGPVVVCGPSKGGKTRLLCAMAGHPIVPNFPGPHVANMLGEEFTEIPE -DMKLPLNKKILVVLGEDNDANANYIQWLSEEYPSWNHRAVVFCWPGCQLTGNNFRICRNPIAVSALLAQS -LPYNTRGTQLVYQDRGLYRHYGVLLDNKVFHLDSQDILKSGLKGSAVVSVDDPLEWVPCSATDLAGSLDL -ANSGTIEIDFNINSNCETWAKGVIGDLSPTQSDRLKKVLVVAAAAGFLYCLPHDQSGFMDGVTKCLINLF -SKQVKSAMIRMAIKFVCRLVCYLILYCHSPNILNTGVLTTLLLMDVFELEVDEGLDKLAHALIEGDFKGL -GKFLKKRTGRDCDDFEPGDDHRPIFRAEGPDDLPKTFNSWSLMAKNVEWWITKFADFCKWLKEKVFPENH -EDQIEQVEKLKDTLALTICQADKHLVAMRTDRDYATSYEANIYHQNLMVKLVDLNAKDWGPDFRELSIKL -GQVLQRMQAVNFESSNMNGLRAEPVGIWISGGPGCGKSFLAQILIKHLRLAHGFSTYNHPTGSEHMDGYT -GQEVHYIDDMGQIREEEDMKLLCQLISSQPFIVPKAELLSKGTQYRAKIVIATTNRTSFDTMVLSDTGAL -QRRFPIRLKIRAHSFYTKADGTLDVALAMERKAFEDGSCWEINVGNDSRPCWQTLNWEVLTDEIDRMVAT -RSSIASLFNQGARCDLESDEVELIPETGPGSVNPKTMDKVKNWLNSLLTDALSWWERNKQWLLLVSALST -LASLAIGAIPAYRAIQNQLYHGEPSAKPKDRVKRDFKPEGPNFHSLKDRMVEIGTSHSTGLLLCDKKVLT -FGHNTDCGFITHKDQTFKVTSETYISVSGCDQDLKILEVETPYQFKNCSHKIYSGNYKGDGNLIFLRNNQ -LIIKDVFRIREKQGIGTIDGTYTHSAYAYSARTGSGSCGGILVGYVSGNPIILGMHVAGNGDTGIAARLY -PCFAQGVTMHKWKQEQMFDTNYHQPRRSKFSPSCFFDTGAQEPAILSNRDPRNPGIEDITKHNADKLTGN -VFDPPEDAFALAKSRLIGSMSVHVEPEGQATFEEAVSSELLPIDWGTSPGDKYRGKTKAELVDDKKFRAD -VYNLVKRFNGDPDREPVDVYFTCYLKDELRPKEKARACKTRVISAANWDYTIATRMVAGPILRQLYAWGR -EFGFGPGLNPYSHFDDLYDKILPFVICLDFKGFDGSLSSDLMFEAAQVIACFSTKPEAIMASAELTIGST -ERVSDEVWYNYGGMPSGSPWTTTLNTICNLLMCYTYLLDMGHCWSDTYVVAYGDDVVISANIKHNLEGIE -NWFKTKFGATVTSSDKQSKITWTTKNNMEFLKRRPKELEFLPKIVGALDLDNMLQHLEWTKGHIQDQLNS -FYLELALHGREKYEEIRAKLAPRAPQLVHPTYACARATITPMVAIL - ->YP_009100112.1 polyprotein [Chicken orivirus 1] -METVKEIAAKTLAPLTNDVLSAAEQIAGLVVTEPTDDAHQITVNPSANSAIVNSTPPVSDAELVSVQQTS -STDDMYSCAYEPSVGEENVTRYTEIMHGSYSTSNAPFDVIAHRLTPGCFFDDDEDPAKGQCQRFCFLRCG -FEFSLNVTTPMGGQGALVLLYLPPGFAGLVNSSSKLDNCARGSLFNFPHVIIDISLANSSTLTIPYMSYK -NYFNITGSEQLTSPKMGSGRICVVALTKYNCGASTTNSIQFTLFGQMLDADLQCPRPLNLNAQGLQRVSP -TKHTTVSFSHTPPMAINSTPGCVNLSSFVTGNAAESTSLACEETMVDLKTAGARSAISDLKTVLRRWQVY -AVSNVTLNTQGAVTVYPVNIVTAGTGTDVQNNSLFLICSNFQFFRGSLELRALVITSKGMSVKYKLGWFR -SNTTSTVTYTQTRNTLFVVGDSDGPPPVLTIPYSNDKFRCAVGGQYGTAYFAVVNKTATNVICPTTCSVV -LQIRAGPDFELSVPQYSDLKLQGIGDGVDESATCFVNFRLVDVPITTTPHTNVDAIFGRSVHMFDVTNQQ -GRYVITPMHNPRSDAHNKRSTFNILSCFAYFAGELTITAVNFSTQNEAYIGHTYTTENAGDLNELINYGT -IIVPPGGVKTFNAPFYSETPFRVLNGEGALGYFLSYCADSTATIRVYASLRNCQFVGMAASANYTVAPIA -ASAALSDQGPKAHKLLYKVTPSRQYCVEVSGRTYAMSVTGAIEEVDGPSVVAILRPVDPEFLEAVMKHLS -FDHRALATLHHNHAKLYDMLVTAFIYTCSDQAPDMTAMITRAMAVFQDLVANDVVRLVLKTLVKALCYGI -ICVTATSVAPLAACAALALVDLSEIRLGAVAQGISEALVEGDIMGLVTVVLESIQGVDKDEIKATVMAAS -EAMGDQAPSLKGFTDFTNACKTVGWWLETAIKIIKTVKDRLFSTRVKEASEWLGANRTRLYAFIASVDVH -LTKCATNPEYASDPSTQAIHLKLQSDLIKCREKFLETPFTDIKNLVSGAITRMERVKLTPVTMRPYRPEP -YGVWIQGSAGAGKSFLSGLIATAVRKQFGFSTYYHPVASAHMDGYGSQEIHVFDDFGQCRDEADYTLVCN -LISTSPFIVPKAELEAKGTYYNGRVVITTTNRMDFTSHKLFDPEALARRFPMSLHIRPRPEYSTPKGHLD -VVAAIKDKKWNNVWEVKTDKGWCSLNVDFMLGKIMDEIEARKEIVNIMDQGDWIVKYDGQEVLFSVDEVE -QQPQLSDKLKRYIDRAITAATNFVTQNKWAFVAFGALSALCATAAVYVTKYKEDEGAYSGGAPRNPRPKQ -YRDMKAPVSNQNLLNSVVESAILEVVDVTGHRSTALAIGKKHLVSYSHGPELTRITFYKGPTSIPIEYAF -NINYDGEPTDLVVYKVTGPTQLTSPYTHFSDQLGQHPIMVSRRGGSLTVRPVDKIQPGGQITTLQGTTSS -RTARYLGYNKEGDCGNVILTMHNGNYRILGIHTAGNGCVGYCNIVYGVVSQGQVLQRRQSDKVVYVPHQT -NLIKSPCWVPSCELEPAALSGRDPRLEEPRDLLVSNCDKYTGNIFEISTELCIDTVASVTSRLMEYGPYA -PVDYETSFTIVDMDWNTSPGHKYHNTCKQMLYESETFRSDVAKMLEVPTTYFVALLKDELRTREKVRKGK -TRVIEAANFDYVVAYRMVMGEFLARVIEDPEKRAGICLGLNPYTDFSAIVNSLYQYNLCLDFKGFDGSLS -EGLMRAAVQCLANCSSNPELVVKIHEPTIVTTEIVRDEEWLVSGGMCSGSPSTTLLNCVCNIFVHTAFAL -VYNLEFKVYCYGDDVIFSTKQQFDPRDYVEFMKEKFGMTVTSAQKTAEIAFVPPAEIEFLKRKPTIFQGV -TVGALSLDSLEHKIQWCRGLEAYKQQLKSFATELALHGRDQYTLLTSKLGIDIPWGAAKAWAKALLSSVI -EGLDPGPPDRIVSP - ->YP_009104360.1 polyprotein [Crohivirus A] -MEVTNLINKMATNLLQDPTKEEAEQTPDRLSASVTNNAAAIVQAAVSPGQPFNPYYSTVDKSHSMGYAIG -SAEKNACKMVRLNTAMYLPSHSFFANVLSFDLPSAFFRNSSFPAWGPSRYFRYMRASFHFQIAVNAPMGA -SGALIAFYCPKDLKNRIDTSKIVHNSIFNLPHVVINIGTMTQAELLVPFVSEKNYVPVDSADLGNLVVAR -LAPLRFTGTTSNVVDITVFGSFIELDFQGPRPFRSQGPPSTKFKFVREKIDIAEGVGMMNLSNVSSTTAS -QSVALSGERANYDRFVTGTGKRITSFKDILRIDNLLCPNDNLLCPNTFSSSSSMCLFPWPASTGPGSQVF -TQVIGLGNFPNIGFLSRSYTFWRGSLVFTLQVVATNMHKGRLLFAINPTGTETFDIEHAQNLCYTVLDLG -LNSSVSLTIPFTSDTWMRRTANDWSDLFRMTAFSLNRLVHNGAAANTVDILVYVRAGDDFQFIAPMGDNF -TWQGPDGVESNSVEYRQSDQLAEKAGLGAVENAGSLDDIKNQEDPIMLNYDIKNVKLFYADHMDLDKFFG -RAWNCGNLSLSTDVYSNKTIGVPNNTHAAAMAMFGYWCGEVNVHIANNTEGNLEAIHSQTTTNLSIATGT -ASSSGAVVIPPKQMATLCAPYYTMTAVKSTVTHRSDLFGVVFCRSFNSSGAPDFWCSLRAPNFTFPIPIP -SKGTTRSQIVDAHAIPSMEEAKHIAARFALDPDGDYEREVIDRNPILQYLHSAINFFDLRLGHRSETLLQ -DGDIESNPGPAQLVYKDRGLYKHYGVRIGESVFQMQSENFLEAIFKGEVEIARVMDDGNWKVESEYPMDY -FVQQYMNSELGKKYKFSCLENCETWARTAFPTHEWTQSKALGFFGVMIVTASLAAIALRDTSATTLMSFF -NQSPDETEASVIQKATSWFSSMFTNVMEADLVKFVAMCVARLTCYLCLYAHAPNLLNTVALGALIFMDVK -TIGVVSSDAKALLSCLVEGDVKGLCTAIAEKMDGMSDTDEERAEEMRETMSYAKLLLDEEDKPMENQGFK -DFNTFTTSAKNIEWWVSLFSKFKNWLLSFFKPDSCVKFNKWVEGNLDMIADIFQTCNDHLKKAHEPTNLR -DPKFHELHNYLVSLVGHLQDGFVLYASNNSILPQLKYIHSNLLRVKLQKPYNAKVARVEPIGICIKGAPG -VGKSFFSNLIMKKISAEMGWDLSADVFAHPIGSAYFDGYCGQKIHFIDDMGQNTEEADLAVLCQCISTIP -FPVPMASLEEKSTFYDSCLVIATTNKGDFSSKTLLDPEALERRFKYTFSIRPKQQYAKNGKLDVAKFVEE -VKRGEVWEVCFDGYKWVSFDLQTFTTAVVEDLTHKRDAYRSWNKFMMNQTGEMSFDEWCDVANTFLLKAE -LKIFDFADNVNDFCFKPVKASDKIRVWLKEKFQRMKNFVEKNQKWFALVTAVSSALGLICAIIYVARQRG -TDEKSERPYNPSTMSSNIVSKFKLGETIQVPTNQAPFLNEFGHIFNRLAYIEDAANPIIVHVLPLWENKV -LVYSHSQFILSKMEKPHLVYKGFKVPIESAEFKRITISEGPMDVAIISIEKLPFIFKSIRSLVSSDLGSD -TMILWNSPRGFLAYPVSNAHHSGSIETLEGDMTVRTITYVGQTVRGMCGGVLVSKVGGAYKINGLHIAGT -GIMGMAASISFINAMPSSQGVITHIEDTPLKVHQPTRSVLKRSPLYNTWAVTMAPAVLSPFDTRLDPALE -RPYPVLKKAAEKYRVNVFIQPPNFAKFKSQITDCFVRVLGTHTGISIEEACFEEGDEHALDLKTSPGFKY -VQMGLKKTDLVNRPNKFIHPILRNDVRLIFDEMAKGQMPVVTFTAHLKDELRKLEKIRSGKTRCIEACDF -DYTVAHKMMFGTLYKAIYDTPGIITGLAVGMNPWKDWELIQQSLFKYNYDFDYKTFDGSLSRELMLHAVD -ILSACVENDEMAKLMLSVVVESVHLVLDQKWNVSGGMPSGSPCTTVLNSVCNLIVSSTIADMCTEGDFKI -LVYGDDLIISSTAPLDCDRFKTLVELHYGMEVTPGDKGDEFKVKDREQVSFLKRVTRKFPGTNYRVGALD -LDTVKQHLMWCKSYSSFKQQLDSALMEVAMHGEETYNGFLTEIKTKLDKFKIYPPKFKHIISRLCLSIFD - ->YP_009054900.1 polyprotein [Chicken picornavirus 3] -MEQVGAAIAAVSDAAASLAENPVQEIIEGVGNLATTVSTNALVQTSNPTVETGIPDSTNVISDDYLSCAC -TVDTDTMNVEKTILFGSDNWSSNQAFGTCISRYDVPDVFLNSDSCPAYGQSSYFRFLRCGFRFQITTNPP -PGAGGSLILAYMPPGFQFRVQQKGATITGFDPEAVLTLPHVIVDIRSSTHSALTIPYVNHKNYFNYSYSG -DHRGTVIVFVLGQYTVGSGTSSNVGVSVFGEMLEADFQCPRPYRVQGQNRRKIRRRRAPPPPANPPVGRH -VNIGPAPGAVIAANSVLNITTADSLAIGNEGTAVDCTTAGAASAIPDVRELASDWQILHQQSMSWAALNA -GDRVWSGNFAPYEVGNIGALMDKFMYWRGSFEVQLVVYGSSLTSGRIQLSFYPGMSNNNGRTLQQMRNAF -YSTGDISAAPTRLTIPFTNDSWRRRCDQQYGSFYIHIVNRVCVNASASPTMSFVLFVRLGPDFQFFCPRY -GDYHIQGPTVEKNPGEPEEGETYSGQPNVFLNFDCVEVPIQGASHTLVRNLFGRLWLQEHTVSPSSGPHV -VKLEVPNESHAAILQCFAYFSGEVIISIRNGGDTTVIAAHTYIPEEQHNPVDEFSIMSLGAVIIPPLEIK -IIRVPFYSPSPLRMIRRHRDFEPTFGYLYLCSPSTSNVTVYMGLANPNLFFKLPCPQYTVNSRAAITSAG -PRFFREDDDHYNILLGGDIEENPGPVVVCGPSKGGKTRLLCAMAGHPIVPSFPGPHVANMLGEEFTEIPE -DMKLPLNKKILVVLGEDNDANANYIQWLSEEYPSWNHRAVVFCWPGCQLQGNNFRICRNPIAVSALLAQS -LPYNTRGTQLVYQDRGLYRHYGVLFDNKVFHLDSQDILKSGLKGSAVVSVDDPLEWVPCSATDLAGSLDL -ANSGTIEIDFNINSNCETWAKGVIGDLSPTQSDRLKKVLVVAAAAGFLYCLPHDQSGFMDGVTKCLINLF -SKQVKSAMIRMAIKFVCRLVCYLILYCHSPNILNTGVLTTLLLMDVFELEVDEGLDKLAHALIEGDFKGL -GKFLKKRTGRDCDDFEPGDDHRPIFRAEGPDDLPKTFNSWSLMAKNVEWWITKFADFCKWLKEKVFPENH -EDQIEQVEKLKDTLALTICQADKHLVAMRTDRDYATSYEANIYHQNLMVKLVDLNAKDWGPDFRELSIKL -GQVLQRMQAVNFESSNMNGLRAEPVGIWISGGPGCGKSFLAQILIKHLRVAHGFSTYNHPTGSEHMDGYT -GQEVHYIDDMGQIREEEDMKLLCQLISSQPFIVPKAELLSKGTQYRAKIVIATTNRTSFDTMVLSDTGAL -QRRFPIRLKIRAHSFYTKADGTLDVALAMERKAFEDGSCWEINVGNDSRPCWQTLNWEVLTDEIDRMVAT -RSSIASLFNQGARCDLESDEVELIPESGPGSVNPKTMDKVKNWLNSLLSDALSWWERNKQWLLLVSALST -LASLAIGAIPAYRAIQNQLYHGEPSAKPKDRVKRDFKPEGPNFHSLKDRMVEIGTSHSTGLLLCDKKVLT -FGHNTDCGFITHKDQTFKVTSETYISVSGCDQDLKILEVETPYQFKNCSHKIYSGNYKGDGNLIFLRNNQ -LIIKDVFRIREKQGIGTIDGTYTHSAYAYSARTGSGSCGGILVGYVSGNPIILGMHVAGNGDTGIAARLY -PCFAQGVTMHKWKQEQMFDTNYHQPRRSKFSPSCFFDTGAQEPAILSNRDPRNPGVADITKHNADKLTGN -VFDPPEDAFALAKSRLIGSMSAHIEPEGQATFEEAVSSELLPIDWGTSPGDKYRGKTKAELVDDKKFRAD -VHNLVKRFNGDPNREPVDVYFTCYLKDELRPKEKARACKTRVISAANWDYTIATRMVAGPILRQLYAWGR -EFGFGPGLNPYSHFDDLYDKILPFVICLDFKGFDGSLSSDLMFEAAQVIACFSTKPEAIMASAELTIGST -ERVSDEVWYNYGGMPSGSPWTTTLNTICNLLMCYTYLLDMGHCWSETFVVAYGDDVVISANIKHNLEGIE -NWFKTKFGATVTSSDKQSKITWTTKNNMEFLKRRPKELEFLPKIVGALDLDNMLQHLEWTKGHIQDQLNS -FYLELALHGREKYEEIRAKLAPRAPQLVHPTYACAKATITPMVAIL - ->YP_009055006.1 VP3 [Chicken picornavirus 2] -GPTRMVVDPAPGAVMAGNSKIMNDCQTVALAGEGTLVDNTTAGAKVAKTSLLSPARHWQIMASFDWTTTG -ALGAQIFKANFEPFSYGNIGFLFDKFLFWRGSLEIAVLAFGSSLTSGRFQVSWYPDLSARDLTVAQVRNS -IFATGDISSVATRLTIPFTNPNWRRRCDSAYGSIYVHSINRQTVNSTANPAIQMIILVRLGPDVDFFCPR -YGDYHIQ - ->YP_009054899.1 polyprotein [Chicken picornavirus 2] -MEVLAAVNGAVADVNNFATAATEIVTDAVTGVGSIQAAQSDNASVQSSHPVQQVGVADSTSGSTDDFLSC -SLKVDTAKVNPAKAVLIGTATWTSNDVMYEVIENWDLPNVFFHDSNFPAYGQSRFFRFLRCGYRFHLTFN -PPPGSQGCLVLSFVPPGYAHCIPAKGTATNWKFDTDALLTLPHVRCDARSTTMSSLVVPYINFNSYVDYT -GSGTATAHIIVWVLGRYRCGTGTSTNIDYSVYGEMLDMDLQCPRPWDQGPTRMVVDPAPGAVMAGNSKIM -NDCQTVALAGEGTLVDNTTAGAKVAKTSLLSPARHWQIMASFDWTTTGALGAQIFKANFEPFSYGNIGFL -FDKFLFWRGSLEIAVLAFGSSLTSGRFQVSWYPDLSARDLTVAQVRNSIFATGDISSVATRLTIPFTNPN -WRRRCDSAYGSIYVHSINRQTVNSTANPAIQMIILVRLGPDVDFFCPRYGDYHIQGDDTELIDEVQSFLN -FTIKEVPIQTASHTLIPNFFGRAFYYGKYTSPAETSASVIPLKVPQYGHGSLMTMFAYFTGEVVLTVHNR -GTGLLVLAHTYIIEEQHNPSDESTIFSLGAVLVPPGEVKTFACPYYAHTPLRPLRLEDTPAFGYLYASGE -GAIPFTVYISLRDPKFFLDMPQPAFTSNTRAAGARVGRQGYVRSAIRLPLPQFEKERSAHEDVLLGGDVE -SNPGPVELQNGQQACVGFAPGPISGKEYKMQDYQHSAVLAGEAALVTHQGRDALYWFRSESVQYLEPQID -ICVCGDVERNPGPKIVVVGRSGSGKSRLCNMILGHDYFPSRLSSTPVTTKMRTATLPCGTQIVDTPERFS -IQEEIKGFIWVLEEGRWTQENKDFLAYMDTSYPGWRRHCVIYHTRHEDPGSNFPQFLKDAGLSSFQWSKN -PLDLTSRFLMIPPYRNCLVQLVFKDRGLYKHYGARIGARIFEVNSDNLLSALTKKEVPIVSTPDDGSWQV -AEDLFTPEAQRLAKNLELEKVKYGFDANCETWAKDVLGVATPCQSHVVRKACCIAVLASLGVLTLSSQDV -NVMGSITSVIVSLFSKQIKTAVVRLAIKFVLRLVCYLVLYCHSPNLINTAMLSALLVMDVFDNELDEAIS -KFAQLCIKGDFKGLGRWVKGKVGSDCQDFDCPENRPIFNSEGPKDFNDWSLVAKNVKWWLEQFKSFFSWM -RDKVFGGDSDQVDEVESRFEQITTTLAQCDAHLVAMATDKAYATGKSARQYHEVLSARLRDLSLLPLGGP -LSQVSQKINYMQGRMGKVTFDCVEAGSARMEPLGIWISGGPGAGKSFLAQRIMKELKTSLGYDVYYHPTG -SKHMDGYNGQEIHYIDDLGQLREEGDVALLCQMISSASFIVPKADLNSKGTLYNSKVVIATTNRTSFDTQ -VLTTPDALRRRFPIELSIRPHAFYCTLDGRLDMHKVMVEKAWDDCSCWEVNVSKDGRPCWQTINWDVLKD -EISKQLATRMSVLTFFSQGPSLFECEEEEDEAPYSEQTKVRVSSWLRQLLDKARGFFERNKCWLVGISAV -ATLLSVAVSVAPKLFGNSSVYEGSPQQLKPKVYREFRSEGPNLFHLKDRLVEVGSSGSTGLILGGKQVLT -YGHNMDRSFIKHKDIVYSVTKVEWIKVNDSEQDLAILTIDTNLQFKQLVNKVYSGEYHGDGYLLYFRDNS -LLATQVTGIRPFDPIATQEGHITCRTYCYHAKTARGSCGGVLVGMVGGNPMVLGLHVAGNGHQGIAARVE -RYLWQSQGTVVKIEPGTVYHQPRRSRIVPSPVYCDSALAPAVLSRADPRLEVPVEDITKRAAAKYVGNIF -KPPEDCFIAAKAHVTRLLSTVVPPVGSLEYREAIDNSILPIDWSKSPGIKYKGMSKRQCVQDQSFKRDVL -HLLLAQNPEVEFVTYLKDELRKLEKIKQGKTRSIEAASFDYTIACRMLFGQIMMHLFVKGREVGFGPGIN -PYTEFDELFDRLHPHCLEIDYSGFDGSLSRELMIHCLDVLVSFHESPETCRKLAMLTIDSVERVSDEVWH -VSGGMPSGSPLTTLMNTVCNMLMCYTWAFYQGYSCEEVFVAAYGDDVIISAKKKSNITDIVQCFKSWFGA -SITPAIKEGDISWAPKHQVVFLKRRPKQLDFAPKIVGALDLQNMLDRIQWTTGDFQSQLNSFYIELALHG -RETYNSVRAFLANKAPHCVHPTYDTAVLTVQPIVGFL - ->YP_009026377.1 polyprotein [Duck aalivirus 1] -MQMESIVSSATTLVKEVVPDVQKELSDIVSQTIQTGQEGIVQSDASQSSAILNQEPNNEQTMLLSTDHST -DDFLSCSMSVDTSEQNPEKMIMLKTIDWGTTVANNKILARLNVPEAFLVDNTCPAWGQSKFFRFIRCGYQ -FRVTLTAPMGTAGLLVLTYMPPGYGTYSNDTDFTIDANSLLALPHAMLDIRCANEAVLTVPYMNYRNYID -YTTTTGTEYTRDGGQVIVWVLSQLRVGSSAGIVGVSLYGQMLDMDLQCPRLWDNGKKHARRKAVVPPPKP -PVNHHVVVNAGPGCANLANGLSMSHGESAALVGESTSVDHTSAGSNACYTDLTQVLRRWTVIGRLSWQAS -SGARVVINTLNVILNQGVMNIVCKNYDWFRGSIEVKAIVVGSEMTAGRLQMSYFPKMTNGQPTLEQCRNS -LYVVSDVGCGPLKLTIPFVSNNWRMQTSTTIGRLVFHVVNKLTHNSTAPSQVDIVVMARIGTDFQLTSPT -RSTLLLSQGAGDDDEQPVYFVNYEIEKIPIQSESHTLVCNVFSRSYYYKALTVGANTVEDELLEVPMKGV -LSMLRCFAYWSGELVLTVQNEGDDFMAVAHSYGDYTPADYEGLVAMGAIIIPPKTIKTVNVPFYSGTPLR -VLRPGAMGAAQPAFGRLFMWSLNGTSGHLYISLRKPQLFGLVPVPTTRNSLLLTSEGATNSSLLKLAGDV -EENPGPLQIEGKPYRVIQKGPNRREIWSTFTHNNLKVKSRVIETKGTLRIRRTTVQTCFNTRDLSCKPVS -VMFRIENETPFGFDCQTMYDFITEQHRYVHYTIKKPFREPVTTSFEMPYDDPEWDRLLQAGDIEQNPGPD -RVEEMRPYGKKITFSQRQGRFLAKHYIRQYEFNVVKREDILLTYYDVEGYWPETPEATVHVYRTFLQHVI -IENFLYELPVRPRGTKRFRIIVERDGVEQTYMTGPYDALLQVYNALPTALKEIIPIPARPDPQWNNLQQA -GDVEMNPGPEFRKADTQMTVDKKVTCFSTTVNKVTIQQEGFEVSKTVLLKKNTWFSRSHVETKVVYFKGH -LSSMNVVDSSWTPFETKETRVCWVPQAGEPYVTTVVSRSGWFWRSEHFNQTGGWVPDLTQCGDVESNPGP -WDPERKHFCVVGPGGVGKSHVANLLTQTGMKLFKEACSPGPVTKEQETREFAKRIITDTPCFSDIGQETK -PKALDMYDCFVYVHQPGRFQKIEEKYEQELLKVFPNFWAHTVVVINFRGQEDEKAEQMQRYMEHTIYQKL -FHKSQGRVLMIDNIDKIDELINFPPYHQHFAQLVYQNRGMYKHYGVKVGETVYHLNTSDIIESSLKGVAR -VQESEYSARWKPVDEVAYINGYNLFSSGAVEVEFNIDDNCDSWSRKMIGSNSPTQGARLKWCLSVAAAMA -FISSMDMVSNESPGMFQRIITSISSHFYGNLENIVIRTVIRTVCRIVCYLILYCHSPNLLTTGVLVALIA -MDMTSINVDPKIKAACESLANGEFAEFCSSIVDLTDEPEYVELRNSIPRFNMHARSLNQLQRDEIDRQYE -AGMGSIPCKHPLHQDGCPCIKCLPPRFHVETDKCECRFCVEKFGYLRERNDDGTRKVKNQGPAKGFNEWT -TAAKNIQWWVEGVMKCLKWIKEKLFPPNMDKILRELEIKSSEIATVMACADEHICKCRTDKVYVLDPKTR -QRQQILVDKLSNLLSEELPSQLNHYTSKLNALMNRIQGLNLEPPLNYTHRPEPLGIWIQGEPGSGKSFLA -NFIVKSACERYGWSAYSQPIGSDHMDGYTDQEVHVFDDLGQNRDEEDMALMCNLISSVPFIVPKADLTSK -GTTYNGRIVIVTTNKTDFTSLKLADAGALQRRFPIVLNVKPRQEYERVDSHQRVRFNAVNATMDGSLTRG -ECWDRNVGAPKGLTTYADCWVPLKPDIMLKEIFEELESRDAVNKFMNQGPTLVLDSDEVDEFDKFFPDPP -IQKVSKIKKFIHEMVAGVKGFVERHRTWFVAAGALGTVLSLISFLIPIIRKIRGKTENDDESFYGGKMCP -TKIKNYQVQMTNQGPVNMKPILKSLVNLQDRDGYRATGLAIGNKTVVTYGHDRFNTLVHLKEEQLDHQLG -EPTAIRINGEKMDLVQYEVDCPFQFKSSNHRIYDGDYNGDGYLVWKEGNTYSYLPVSNIHATNEITTTDG -TTTANTYTYIAKTWKGSCGSVLVGIVDGNPKILGIHIAGNKTLGCAARLFPMFNQGKVVHVEKTGIQYHQ -PRQTAYEPSPVNTGHSTVGPAVLSKNDKRLEVEVEDVTKNAAAKYIGNVFDPPVPIFSLAKAVVIDKIRR -VVKPSKCMTYDEAISVTELPIDWQTSPGLKYKGRTKADLVQDPKFKEDVKEILAGKPTFFTTYLKDELRP -IEKIASGNTRAIEAANFDHVVAWRQVMGNIVKQLFSDHDRVTGFAPGMNPYTHFDSLMDQVKWNVLALDF -KKFDGSLSPQVMEEAVDILASFHDMPQMVKDIHKHTIYSTNVVSDETWFVEGGMCSGSPCTTVLNTICNL -LVNTTILLSEGIQPDNFYIAAYGDDTIISVDGLSSSLPDPKVMQQKYKEWFGMTVTSADKGSEITWDTRN -HVQFLKRRPGFFPGTQKVVGVLDLESMMEHIAWTKGSFQDQLNSFYQELVLHGEQVYMTVRQTLKSRAPQ -YNHPTFLAAYNIMKPIVMVY - ->YP_008531322.1 polyprotein [Eel picornavirus 1] -MATTKDTSSLSIISDIVKPVTALLADAGTEQAANASDRNGGTVSVNASSSTQATSKLKHGFFPPKETHDK -FWSQATKPTTAFINPTKLVEIKSESWVDTHIEGHALMKVDLPKDFYPTTAFPARGQTRYFASIRTAFDFE -LQVNAATGCSGGVLIGYVPKGVDLTKGCFRSAPLLCSEVLNIGTNTTAKLHVPYTNFQNYANTDTDDLGS -LYIWVWSKLIVPVSTPNDITVVLYGAMNELDLQCPRPQGPLRAKYDMAEGVGNMNLATGLYTRRSQPVAL -CNESAAVDSTTAGARCAVRDILQLARVPLPAIALQTDDVTTLWENTKAKGSSIFQANFAFNSLNNLTMFL -ANGYKYCRGSVLFHVTVFNSTLHKGRLKLAFAPTTNDSTYPGQFTELQANNLFYTVHDIGLNSTATLLVP -FVCNSWVRSTVQPLGRFQVFVNSRLSVSPAMAANVRFIVSTSLGDDFELLVPYSRGLNFQMKDETGADDE -QDDPLMSWGSTMDLTDGAAVSDTSEAAAAAGLEAPEEIDKSDRQINARPTSLNAVVKKVTVVRASHTKLQ -ALFARSQYSGRISLTEGNASTSIPVTKTGYMAFLKLFRYWTGDLNLHIMNVSTVPVAVAHGYDTETVITP -EKISTMGSVIIMPNQAASITVPWYFDKPMRDTEATDSLGHLITTTFGATTLLVWFSFQTISLFYPKMVPK -TATTRTWIEQNKDTTLETSAFESVKKNILAGEFNSPLEDAPVQARQPTALQKLLEDYEIGEILTDKMWIR -DLTQEGVEPNPGPHAELVYKDRGLYRHYGVRYAGDVIHMDSENILETATTGKVSIIKVPDDGKWIVSTSV -MLSELKAHALEKSEGLISYFSATNNCETFAKEALGIESVSQARALATFGFIIATTTGVIAAGQTNVADYV -KAGAGKLADMVTGMGKAIKANVTTFFKEQLLDSIKCDVVKGLFKLVVRVICYGILFCSCPGVLTGACVAT -LIAMDLSTVDGLSKMTKDLLSAMLEGDLFGAAGAMSDIIYLNNPDREYMVKETTRELKSFLGEFREPQAP -PFQDFNSTTLAAKNLEWWLAGMQRLWDWLKKWFCGDEQDVIKDWFETRRNEVLKVLALGDSLLSQSTMSS -VLRDPDFQYKVTQAKQKLTALKLLAIKGGVSYLMSTISIMLGKLQNIPHPPGMPGGAMRMEPVGIWISGK -PGSGKSSLSLSIMTGIAEYVDKHSIRDKDGKRVNPFTIFPHATGSEYFDNYEGQYFHVIDDLAQDREEND -VKLICQCISSIPFSVPMANVNDKGMSYTSRVVIATTNRADFSTQVLSCEQAFKRRFQYQLWVKPNHTYLT -TSGKLDLPKALEAGAVDNGHCWMYSSSGQNDQKDVLDIKQLSKMIGDDIIARVKITEQANKRLGRFKTNP -VKIEEPIETDTKEMVKTVMKQGAEENENKLYARFDKLGQTVELSVSFDSEDELDVVGSMRFIDGATEETP -FASVNENHFMPDVKVDEKALSWYEKKMNAFREWWKKSKDKILGISIIAGCVTAIAGAFTAYFFLESATKI -GSLKALVSDSLARLPRVNEPVDIMEPERAYDQTQKIRATAKKVRMPQGGFNPQEYAHLSKCAVVMYGNFV -KEFYGMASGPHQVLTYKHYFKSGGTLNGLYWNGLKYSVQDPDDVEFEFFYFEDGDRVYETDMVKINFKKL -PFQMKCVQNYLSEPQQGVGGVLIFGGTTTHSVPVHNVRKILNYCMDVEGDTWHFNNCLEYEAQTYPGFCG -GMLCQKINGTWRVVGMHHAGDGMMFGNAVPILRIAQGVVVTKQNTGKTVFSPSKTALRKSPLYGLVETTM -EPAVLHPRDPRLERQVDNLVKDASDKYRIDVFAPNEKNFERAKLYTKKQLFGVIGRHGNWNIQQACSGNG -GNPLDLTTSPGFKYTQKNLKKKDLIKKLDDGSYIFNHDFEKDVEKMLEEIEQGVAKPIFTASLKDELRPI -PKVKEGKTRCIEMCEVDYTVAHRMILGSIFESIYNTGATATGIAVGIDPVTDFHVLQGIMYPHWYAMDYS -RYDGSLSEGLMRAGVEILSACHEDPDLVVKTLEPVVNSVHRVADELWNVVGGMPSGSPTTSVLNSICNLL -VVRTVALECGLEDLDDMVIVTYGDDVLFSTKHEIDFSEAPAMIKEMFGMDATSADKQSTNFDVSPEEATF -LKRNFRLFPDTLFVTGVLTLDTMLQKIQWCRGLNEFKQQFESFTQELVLHGPHVYHQVMSMCAHRLARMK -MYAPTYQEALRDATTKIFY - ->YP_008083730.1 polyprotein [Sebokele virus 1] -MAANNDTTTSSVLTMAKSAISTLLQDPVKEEQETNADRVSASITTNAGNVVQASVAPTMPFAPDFHPGDD -YLSMAYTSDTGPTNPTKLIDLRHVHWTTTNNRSHEVFRATLPEAFWATTDYPAYGQSRYFAAVRCGFHIQ -VQLNVNMGTAGCLMVVYLPKTCHDNFNTYDFGTFTNFPHVLMNAATTSQADLYIPYINNRNYAKTDSDDL -GAVFGFVWSALTVPAGSPTQVDVTVFGSLLDLDFQCPRPYGQAINIYNQAPRKRATKATRFKWTRNNIDI -AEGPGSMNMANVLHTTGSQTIALVGERAYYDPRTAGTTTRVKDLKMISQLYSVFIGNQAVPNNQYGYFTW -NSNVTSENFIFDNDIVPENLSNLGMMASCYAFWRGSIVCKLTVYASTFNKGRLRMAAFPNLGARPSATAC -KNAVYMVCDLGLNNTFELTVPYTWANWMRPTIGQPICRLRIDVMNALTYNSSSPTAVNCILQVRAGDDAE -FFIPTGSNFTWQGLTSWGSEMDLVDSLDNPTEIVNNVESNIESAHGQAPAVAAGLRSTENDGTLSEQLAV -AQPRFLNFQIQDISMFAVSHTLVDHFFGRSWLAGQFNYTSQNTTTLQIPFPTTQHGAMARMFAYFSGEVT -FHITHIGNCYILVTHTYYGNEEGRHRIYDLASNGAISIPPDTQMSLTVPFYSETPLRTVKGSNSKTSGLG -TLFLRPVGQSGAAAGRITIYASIRCPNFFFPVPAPKRGTARAVLNSRPGHFDQSTVEALGMAEDLDSDLL -LEQRVDDNLAMALMRAKAFFNRRQGCRSETLLQCGDVESNPGPTMELVYKDRGFYKHYGVRVGNAIYHLD -SQDILSTAITGQATFDKIEDDGCWLVSQVADLDYFTDKYVNSLVGTKHIFSATQNCETIARDVFGDSSMT -QGRALGILGVILLSAGLLSLMAVPWDVSSLQQVYNQSLDGDAEGLTLLSQRCMTFFSNAMVETFNNDLVK -FIIKILIRLLCYIVLYCHAPNLLTTLCLGTLLVMDITTCEVLSASTKALFQSLLDGDVKGLVTRIAESMT -FARDTKEQAEEMSATFNYATEMVDFARRPMENQGFAEFNTVSTSFRHIEWWLTTFKSAYNVLKGIFSPNL -EQKAVKWMERREEQIADILNEASEVVVLMKDPKNQRSTEAIQRYFAILERMKILATICLKVAPSTRFSSQ -CFRMFSELLRINVRVPTNTDLTRMEPVGIWISSEPGQGKSFLTHMLTTRLLKSCDLQGIYTNPTGSEFMD -GYVGQDVHIIDDAGQNREEKDLALLCQCISSVPFTVPMADLAEKGTFYTSKIVVATTNKMDFSTMVLTDS -EALARRFPFNFRLRAKVPYCKNNRLNVPDAMAQMADGSCWEISRDQGRTWNSLCMDTLVKEITDFFKARQ -DALMVWQRKLNQVRNESGLSPLDSMDETIASLERRFGQISNYIRKEIGRCTDDLIEEMEDYLCEYDTPFQ -CFERKQRLRYATAPENIKQWVKKHMVRLKDFLAENKGWFLFFSILSTFLSVLTLVYLHYRSKPKEPEKQE -RAYNPQTQPKKGVRQVLRRRDEPVVFKNEAPYMQDLEHCFAQTCYLSSSVTTDVIHCAAVSGTTLVCYGH -SEFFVDNAPDLVLHFKGASFAIDGGMISRVSLDGSAMDLVLIKVDKLPIVFKNYTKYYTKTIGRDSLLLW -SSPQGRLAMPVTNVHMAGNLVTLEGTQTAQTYSYAVSSKRGMCGGLLVTRVDGSYKVLGMHIAGNGSIAR -AASLHCLTQYRNEGVIMSKVFVGHQVFQPSKTSLHPSPLYKAFEIKMEPAVLSPHDPRLEVALPSVVKDA -ASKYRVDVFKPDQKLWMYVLDEVKSAFRSKLGIHSKVTLEQAVLGYSDLSSLDLTTSPGTKYVKLGFKKR -DLLSLDPFFVHPVLLEDVKQLLAAVYRLEPPRTIFTAFLKDELRKRDKVKNGKTRCIEACSIDYVVAYRV -VMSSLYEAIYQSKPQELGLAVGMNPWTDWDAMLNSLLPYNYGLDYTSYDGSLSEELMRSAVEVLAYCHED -PDQVMVLHETVLNSEHLVMDEIWEVKGGMPSGSPCTTVLNSICNILVCRYLAYSQGPSVECLPIVYGDDV -IFSVSEEIDASCLVEQARREFGMEITSSDKSAVPTLLNLEEVEFLKRTTRFFPGTTYKVGALNLSTMEQH -IMWMKNLSTFPSQLQSFENELALHGQHVYESYQNVFQEVLKPWKITMEDYDVVVRRMLFYVFE - ->YP_007947990.1 polyprotein [Duck hepatitis A virus 1] -MDTLTKNIEDATVNIIGSCAEKVEEAISGLGAVESVASTNSAIATANATTTQTIPDPTEGSTDDFYSCSY -EVGAQGDNISRLVHLVTGQWVPNDDYYACLRWLATPACFFQNNTQPAYGQTRYFRFIRCGFHFRLLVNAP -SGSAGALMLVWMPYPYCRVLSGTNQIHANVERRSLMNLPYAILDLRTNTEIDLVVPYVNYRNYVEITTSD -TTGGAICVIVLGKYRHGNGTSNTVDFTLFGELLETDLQCPRPFDNQGKRKPRRRPIHKPKNPPQEPRVII -QPGPGAANLSNSSVIAMAESTALANEGTAVDYSTAGCASSVDDVIMVLRRWQILASFQWQSTLTPSARIN -RYQLIFRNIPTFSLFFDKFQYWRGSLEVKFMTFGSQFNTGRYQMSWYPIADGEQSLAQCQNSVFVTGDVC -ATPVTLTLPFTSTTWRKSTRDPYGYLMWHVVNRLTVNSSAPSNIDCTVLLRVGKDFQFTAPLYGNLQMAT -NNQGDSNQLGDDEPVCFLNFETANVPIQGESHTLVKHLFGRQWLVRTVQHAPTVQELDLQVPDRGHASLI -RFFAYFSGEIILTIVNNGTTPAMVAHSYSMDDLSSEYAVTAMGGMMIPANSAKNISVPFYSVTPLRPTRP -IPGTSEATFGRLFMWTQSGSLSVFMGLKKPALFFPLPAPTSTTPSGRSNDVIPTLDQSGDEVDCHFCEIC -SKMKKRWKPRGYFRFCLRLKTLAFELNLEIESDQIRNKKDLTTEGVEPNPGPILVVGKSGSGKSRLCNVL -AGVELFESKLSPRSVTMVHQVETVDIEGKKVTIVDSPETPNYDGPISAFFYLIEAGRFTAEDKEYIQMMR -KRFPGFEKSTILILNRADELKTDDDIRNWVKTSGELDSLVRACGGRIAKFHRHKINRAKLLEKAATLPEF -VSHLPRLVYKDRKMYRHYGVQCGSTVFHMDSENILESALNGEVTIKQEKWNGNWKPAGDHMQSTASLYLK -SQTMPKFTFSIDENCETWARHLLGDYGETQGQIFKERLMWAAALGFFMTMKITTDQSFPGKDAIHTVLTK -ISNFIFGGLENEVVRIVIRTVIRIVCYLILYIHSPNIVTTGTLVALLALDATSMSLDQGLKTLCMSLVDG -DFGKFCSALLEKIQTVDEADLKKTIPKFNDMLEDQSGKTTSPKSFNDWTTCAKNVQWWLESFVKVVNWLK -EKVFPSKTDPTLQWLQDHEEHIAIMLALCDEHLCMLRTEKDYICEHNTRPKHQRLVEMVSGTLNQLQGIS -SARELAARLQHVLNKLHQVNFEPELEWTHRPEPLGIWISGGPGVGKSFLSNYIVKEIAKLKHWKSYANPT -GSKHMDGYVSQEIHVFDDFGQNREEEDYSLICNLISSVPFITPKASVEAKGTQYRGRLVVVTTNRRDFTS -CKLTDPDALERRFPIRLNIRPLQKYNHKGRLDVATAMRDGSLQGGTCWERDIGQLGLECWNPINGQTLID -EIMSELQVRQEVASFMNQSKVRRFSDPETLFSDLEDLKLEFDFDQLEQQAKLFAKPKEGKISKFRAWVRD -CTGKIKSFLERNRAWILGIGTLGTIMSLVTMCVPLARRFAQSIYSQQPVAKTLPKDFKVAVKKHIEKLET -ELENQSGRVNFRHICNRLVNVSNEDEVATGLAIGGKNVLTFGHSKFTQLDEIRDMQFNAPAKGTPITYDG -EPTDLQLLECDIPHQFKDVSKLIATEDYRGNGWLVWKDQDQYMVQDVTKIRPFGSTTTASGTTSCQTYIY -NCKTGPGSCGGVLVAQIGGNLKILGIHTSGNGTMGAANRVFPVFNQGKVVSKQYAGKILYHQPRKTAYMK -SPVYEDSPYEPAVLSINDQRLGVKIEDMAKKASDKYIGNVFQPPPEAFQLAKTHVAEKLSRVLGCHDIIS -YESAVSSDVIPMNWDTSPGIKYKGETKRQLVLKSSFRQDVMEQLQSPSTVFTCYLKDELRKKEKIKEGKT -RGIEACNFDHTVAYRMVMGDIFSNIYDDSFIISGCAVGINPFCEWDNLLANLQPYNLCLDFSGFDGSLSA -QILEEAVDVLSYFHNDPALVKRIHAPTIYSTHYVTDEIWQVEGGMCSGSPCTTVVNSIVNQLACYTILAV -LGYDINQCYVVSYGDDCVLSVPEVRDISKLSHYFKLFFGMTATASDKESDITWLAPMEIEFLKRTPAFLP -GTRKIIGVLDKEVLEGKIQWCKGPEAFKQQLDSFLLEAALHGEEYYYEVTSKLKARCPVLDIQPWGVAKL -RAYTACMMI - ->YP_006607894.1 polyprotein [limnipivirus A1] -MELLNDLVAPVMTKVADVAEVAVGGLLDDVAHPVETPNVILTDQSAVSESELYAAGEDPPALTASSVQQE -VGQVNVQRYMRLQGGTWDSTQVAETAIAEWTLPDVFNSPRLPTTNLMRNYLWMRSDFEFNLIVSANMGFA -GALVLVYVPNNAYYQFKTFRNFPHAIINCGLNTSARLKIPYINQTQYCGISGDTDNCGKLKLISLATLKT -PSAGGQQANWTLYGRLVAPEFQAFAVLEGKDSCVRVEEAMGSMYLASRHHTALRPRMGLTSEHADPDQRV -AGDSAYTSLKEVVAIESFWQALDWTYIDEVGKRLTISNVVVDSSELTGGISRKTNLGFLSNFYLAYQGDL -VVTIQAVASRLNQGKLLVVFYPGEDNKDKDVTMDKVNNAFTQILDLGSKTTVRFTLPFVNQQPYRPMSNV -HGRFAVFVLNPLTYTPACPSAVRILFYIGAGSSFNFVYPKQSAVKFQAPERNQVGDATSADGAPITNIED -ETSNTRVQKRPVFRNVKATLPRQIKSNHMLLSNLVGRAFALEPYTSTSTGGQNILLKENNLSFLKVFKLL -LYRSGDMTLHVSHTAVSPLYMAHSYIQTAIAGTTSADRLSQIMSQGSVCVMSNSAASLCVPFYVMTPFVK -TADFGRLFVEGQNDSTWIMWIGATFDTDTRFYFLQSPPDIALGENEKEEPEDLEWVEEEGHRQTEVETIQ -RFLEGRGLFPVHKTCDINDRDGMYMTCYSEVTIGDKTFRANSFWRTKSLLKAYRMCKEFVRESDNQELLK -CGDVESNPGPDIWRNRITGCMAVQEGAALYYSPNKQIVDNIYVLPVQNHIEDWERYSHFTMACLEPIWTA -QMPRLQHTNTIAVNNPAPNTVAAAITLMAMRVNGPRWLVKFIFPFLHAANMWDLSTGWFHFFRLLRSGDV -EQNPGPINIRYCTDEEHIRYALKVHNLKSIEIVNRDFFQEIGEKTIHLQIVDTKHWRNLTLTDSEEKTLM -KIGKDLITNDMKLTTPVGLGRVEHLIEKTHLLTGLRFLKIVNPEGRISDTLAWFLGWEKSGLERVHVLTT -MMADGNITRIVDGITDSIARTCVKGMIRAVLYTLIFSLTPNAVARGAIAALAAFDLTTSILDAFRNMSLK -RLAEYLIPEMENKQEYTRERTNLLAKVNKVMRHNEMKQDEWFDAVDDTQEVVTEQGQLGFNTFTLVSKNL -GWWITKIQELADKLQQWYNPDRVQVAKTTLEEVKEKIIDALTETEALMAKVKVQGVPTQADRDEWQQKFD -TLTKFYKLSLVVPNCPVSRNIGIVLNNMKRMQLGHQKPKDPIRVDPVAIHFCGEPGQGKTVLMTRLTNAL -AKALNTEVYTHATGSNYFDGYCGQGVHYIDEFMANTDEQEASLALQLMGSSQVILPMADVDQKGMYYKGK -VLITTSNTSPVSDSKLKAPKALKRRFMVVGFRAATYYQKITNGAMCIDLAKATKTGALQDGSCWECEIDG -KWRTFSFYDFVDMILAKVKSNQLVHQALLEQMKQDEEQMKKYAVLAQGSEDEEKQMTDDEFDQLLSEMRD -LTKEMTQMSSDLEIFHEARETAVVPPDIRSKVVKAMQDCWANIVSTDIKTLLERVSLAVTVIRVSTTIYD -MIKGFRATEEQRAYNPTTKPGLTRVLKKTARAGPIQVEGGNNSTEYKHILDSMVHLEFESGLRSTGFCVG -QRDIFVYAHGVGEGSMMFEHRSVAYEIPEDMYEVEQFVTGQGKMDMARIVIDSSIGLEFKGMWKHIAKPI -FSRDALLITKMDNLFREREAGTVKDAGMIVMKDFDGNIQGMRMNEISYYALTVRGDCGSLLLQKQYGTWK -IIAMHNGSRQGLAYGVRLDVCIAKYEGLVTSKTPSDDVFFQPPKSAIHKSPFYGIQEATMQPAPLRATDQ -RITVPIENLTKEAAEKYRVDQFDVDLNTFAVAKARVLERIRPHVKVGRSIPMEEAITGAGTNPIDKNTSP -GLKYTRDHLKKSDLFTIDEKGNAVVSDRLRADVEEQEELLQSGGYPTTTFTACLKDELRADEKVALGKTR -VIEAGELDYVILYRMHMNSIYRDLYNAYSGDVGVAAGINPLAEAARLREDLSQYDSFLALDYSRFDGSLS -EKLMRAAVDILADLHEDPDLVRRLHEPVVISKHLVVDEDWIVTGGMPSGSPCTTVLNCICNLLVLDYAML -VHHDVYEDGVGLPQCDYLSVVYGDDCVVAYNGMRMGLDFAQTIEDTFGMTVTPASKLGDHFNVELHEVEF -LKRKFMAFETEEGYKVAILALNENVIVQHLMWMRNLTTLPQQIQSLMMEYAAYGKEKYDKLRDTMKRRLA -KQNLQITVPGYDISWTMLNSVVMGDE - ->YP_006543639.1 polyprotein [Pasivirus A1] -MDVVVDTLTKEVSQTLLGDTAESGGGFGGVLSGSATTNAANIVQHSAAPTAPANPVLDANDPYEACAYSK -ETSRETPSKMVLLDIVHFDNTWVWGGNDKLQLPLPKCFWKNRSFPAYGTSRYFQFFRGSFHIKIQVNAAA -GSAGSFVVVYVPNDHYQSWNNKDLILHTMFNWPHVIFNVATMTEADLYIPYINTHNLANTDSSDCGWVVV -TPFTHLEVASTTTNTLDIAVFGSLVDFQFQGPRPYTDLPSDSQGPKRVARNKKYKYTRNKIDIAEGPGSM -NIANVLSTTAAQSIALVGERAFIDPSVAGTRVRVRTFRDVTKIKALPLLPFTASHSEYCIFDWQASHAPT -TKSPIFGRGYKIRELGNLGPLSTAYQFWRGSIIFTLTIFASSFHRGRLRIVLFPNGTHSFSQDDANALIF -TVCDIGEQSSFDITLPFTHYNWIRECDNSVVVLVNVYVETRLAHNNASSNVIKCALFVRGGEDFEFLAPC -GDTVKFHGWGSDMDEADPLDDMEPGHPDCLTLESKDGSSGKGPQCAQMAGLTSVENDGTTDNQLNCAAPK -FINIHKLTTSIFAKDHMHLDYFFGRGWYVMKHNYEGESRAPFYIDVDAPSSMPGAAMNFFSFWAGEVNFH -VVNNSDDPMAVTHSYSHEASTVGPAEGLGLIIVPPREMISFAAPFYSWSPLRTVLAHQNSAVKRTFGILK -LVPEAPKGQVIVYASLRTPCFFLVKPFPIQTTAAKMAVYPSKLTSAEEAEELKFKLVHEPYEQFFIPHYG -DIPSFQRDFINWLGSKEELQNMILQCGDVEQNPGPVLMYDGNKKFCVPVCNGYLTFHGSPEQFLVSGNGT -LIIYKEVYGMLPYEEAYPEDLSSNWHIEWQCVNGKVDSLVKRMANWMLLRYIDLVIFGGTWRRLLAPNTD -MKFDSQGVDDMMNSLTGLLNSSAMELLGNDVSKSILCCLVRFVAVLVMFFRNPDLINGVALASYLASEFS -SVRLVSSQTAAFVDALFNGDLYKLSEQFLSMMDIGSDSDYKQLIKETLGSYPPEMNPFESQSLAAFNALS -LSARNCTWWIELFQKLHTFVRDLLKPDTSAKFHEWCRKNKMLISNFMYTLNEHLKDCATTCNLRKREFRD -KHKWLLSVLNRLTEGFTTYGVQNDITRALLDMRKSMLAVKLGQEYQNTCAREEPLGVLLRGEPGQGKSFM -THLLVRSVCNAMGWKVSESVFSHPTSSEFFDGYAGQPIHLIDDFGQNVEEPEYKVLCQCMSSIPFSVPMA -SLEEKGLPYTSKLVIATTNKSDWSSRTLCAPGALKRRFPIVYTVRARRTLTKNGLLDVNAHMSAVRDGAA -WEYTTNGYDWQPFSVNDLAAEIIKQLEQRRESFQLWNSFISQAPETFEAWCDDMVSLLGPAVPVASVFDY -CASTGVVPMLKQASESVLKWFDSCVDSMVSFVTRNKKWIAFGALTMSVLGIAISMLKELDSQRPYNQTAH -KMPVTKLTRGRRVLVSQGPYNEFQHYSKYCVFLHSGSITLHGLAFGGNSFLFYTHGLATLERYDNWVLDY -NGSMFDLDIETVDELYLNGESMDLCLITCKPLPVTFASIVGHLSDGDLGDGVILWRGREGLTCMPIYDLH -HYGTVTTNQGDTCTSAIRYRAKTTRGMCGGLVLSKISGTYKAVGLHVAGNGVYGVAASLSVCKQLESQGL -VTDTKPWPGVRVHQPSKSVLKPSPLYGFVEQELHPAVLSPFDNRLKCEVDSVIGRQALKYNSNVFNPGPY -YDEVLEAFAVDFNGRFGINEMMSAEEVFALSGDEAIELSTSPGLKYTSKGLRKRDLVPGGKACDLLLSDV -ERLMNDPKGTPVYFYCHLKDELRPQEKIEQGLTRCIESSDFDYVFSAKRVFCKLFRQLYDSDPIETGFAV -GINCIADWKNLLDGMYDYIYDFDLKGYDGSIPRQLMIDACRVLSESCSDEELAYNLLHKTVDSQHVVGNQ -LLTVSGGMASGSPFTTIVNTACNILANMTVLEEEGVEYTLVCYGDDILVSTSDPISVNDYVDKMGQYFGF -TVKLDGDYPKTKNNFTFLKRRTTYWNGIPVGAMEVGLLLQHLGYCRSVSVFQDQLNSASIELALHGPETY -NVLRSRVNSFLNGTGFALMSYRRAAAVVGSMLS - ->YP_001497152.1 polyprotein [Seal picornavirus type 1] -MESFVETVTKSLPVVGPMVSAAETAVTNMLNPAGPSAPQAISAQEQVQPQQIPEPKPVTVAMPNATYTTS -SDVSFGPRPPLGSDIALSNAAAANTGALNQLKFVEVQQFVLRNNTNPFTGIASFALPKSYYSNKEFPQYG -NARFFSMVRSGFVAQLQVNVAPGSSGLYLLAWCPPTQKDLSKLDYRAIGNFPSVLMDISRMTTATLDIPY -ISDTNYVKIDSDDQGYILVVPIAPYNAGPQTDSEVLATMFVAAREGDLQCPTPYREGFVKPETYPIMNIQ -NPPGAINLASFETALNAPSLALAGEGHLIDTHTPGGYAPISDLIDLSHIPSMVTNDTTDYHYFDWTDTTN -KDEIIGKFNFKLNSVPNSAILANSYSFFRGPIIFHVIAAASTMHRGRLRVCFRPNSNQEYSAPQSMAVYY -SLLDISQSNCCTLAVPFNSETWMRSTDDVLGRLQIFVNNQLAANRTAANHVYVYVTASLGPDAAFYCPRS -GKIEFTDQGNFESFLRPPPPEYPVNAIPQKTELFGESHTLVKHLFGRFTYLGYYTPDSGGWKKVALNIPK -RGVVNLMRAFCYWSGEAVLCITNNDDAPVEITHTFFDQPDELVDMSSHGSVLVPGKSSVVTAVPFYSLTP -VRSLSKPDPFGFLYIAALGTSRKVVVHLAFRNLRLYLFSGFPILDYSKLQSGCFCPLPNVYVPPTHNVLL -DGDVESNPGPLYVCSQPGKCDPFPPVLGKYYAFASNYVQDHVCSCFLPKVIVEARVLIKPTPICFMCYFS -HKIRDFTEARIICHGSCERHSIDYEPPCKRRLVLDVEEQGFMDVVCRMFSATYHDKVLRDHKNYIIRSCL -NMLALSMSNDKCVSAIVAAAITYDTVTVVPPAELKYLITLLVAQTYDVFVNALSLVFGRLPRNVYDVARR -VFSSRPTQQGFASFTSALRACEWWIKTIAKFVSWVKTTCFSNPLVGEARDQLSELLAAAEQLVLDVVNLA -IPREVIEARRSNILDKLSYAKKLSVNIPELDALVNKASLALRKVPATYVTPSHPRVEPLGVWLRGAPGSG -KSILMCKLARDVSLIKNSSVFYHPTGSNFFSGYHGQYVHCIDDLGQNKDEKDISLICQCISSSHFTAPMA -NIEDKAMQYSSNLVIATTNMTSFRTYTLTTPAALERRFPLVCDIVPKYSKPNGTLNLNFDPHDCWKITCN -GQEMCYMDLFNLVLERLTEREKLHADMCRQNNELDDLEIDDDIRAKISLPFHTPFDDIVQMQCPYTVERK -KFYDRYLSSIKSDKLSHTATLVIGMLCGASLFTLATHAFSFVSNCFSHGEDPPGPGPDCVRLPESAYEGC -STRKTARQLARSVVGEGAYDGNVKRTTARELARKAIPSEQGPSDVSEFVHLLKFCAYVETPSGPVFGVIL -GGRKMYFNTHYASVILDKDVTVCTPNKKYFTRLTKVSSNYDTMIVQLDIPELLPSISKYVSSSVPADALL -LYFTGKGFYSQSVSQVSYLPCLEVREGMHGASYAYTTRTQKGMCGGLLVGKIDGAFKALGFHAAGSLERG -FANAFNSVPPLSTVPDFPESINLSGLFSNDVEGVVVGQFEGEMLHRSIRTKFRPTDLQNKIVPELEPAVL -SASDPRLKVQIDGDLPDHLCKKWKVNTKVSRPDVLELVVNEYISSLDCEQFEPVTLNEAINSSESPLNFN -GTAGAKYPGMNRRQLLLPLNPQVRDDVVKLAGDVGNGTATVVFETFMKDELRPKEKIESGKTRIVESCPL -DYLLLYRMVMLKSMIWWYNSDCIKTGVAPGMNVYTDFVPMVKQFKKIKYCLDFSAYDSTLSDEILAAGVE -VLACTSAVPSYVRKLHAPIICSHHWHNNVVDLVLGGMPSGAPCTSVLNSIVNVLMARYICALMDIDYPVM -VAYGDDNVVSFDEEIDIERMVSLYKTEFGVTATNHDKTPVPRPMANPVFLKRRLRFNPDLNIQFPVLPLG -EMIDRMCWTRGPEHLSDQTFSFAIELAGYGKQVYTHIRDAFFPYMILPPYSLMENTVRSVCGLNPVQSFL -SKYNFGPDDRKKKGIGCWPW - ->NP_647602.1 polyprotein [Ljungan virus] -MAASKMNPVGNLLSTVSSTVGSLLQNPSVEEKEMDSDRVAASTTTNAGNLVQASVAPTMPVKPDFKNTDD -FLSMSYRSTTAPTNPTKMVHLAHGTWTTNQHRQALVASITLPQAFWPNQDFPAWGQSRYFAAVRCGFHIQ -VQLNVNIGSAGCLIAAYMPKTAHDHMNTYTFGSYTNLPHVLMNAATTSQADLYIPYVFNHNYARTDSDDL -GGIYIWVWSALTVPSGSPTTVDVTIFGSLLDLDFQCPRPPGADTVIYTQGKRTVRKTKTSKFKWVRNKID -IAEGPGAMNIANVLSTTGGQTIALVGERAFYDPRTAGAAVRCKDLMEIARMPSVFLGESTEPDGRRGYFT -WSHTISPVNWVFDDHIYLENMPNLRLFSSCYNYWRGSFVIKLTVYASTFNKGRLRMAFFPNREGAYTQDE -AQNAIFVVCDIGLNNTFEMTIPYTWGNWMRPTRGNSLGHLRIDVLNRLTYNSSSPNAVNCILQIKMGDDA -MFMVPTTSNLVWQGLHSWGSEMDLVDSLDNPDEIQDNEEIQTQNVEAAQGEEAATEVGLRATENDGSLSE -QLNMSQPMFLNFKKHKVNIYAASHTKVDHIFGRAWAVGVFNTETAAIQKFDLHFPTSTHGALSRFFCFWT -GELNIHILNVSTTNAFLKVAHTWFGTDSGIARTATLESNGTMIIPPNEQMTLCVPYYSEVPLRCVKGSDR -NSAGLGSLFTQAVGRTISNRVQIFVSFRCPNFFFPLPAPREATSRSILERVDEANAEELEAVLEARTPDA -PLRLKFNPEDPLKQLREAAKAYFNIMHSDEMDFAGGKFLNQCGDVETNPGPDIELVYKNRGFYKHYGVRF -GGHIYHLNSQDILSTAITGKSDFIKEEDDGKWVHAMTAPLDYFTEKYINSMVGSKHIFSATSNCETIARD -LFPGRKEITQSKALGIIGVILLSASLLSLLAVPWDYSSLQTVYNQSIEGDASGLTLLSQRCMTFFSNTMC -ETFNNDLVKFIIKILVRLLCYIVLYCHAPNMLTTMCLGTLLVLDITTCEILSANTKALFQALVDGDVKSL -VWKIAENMQFAQSKDEQAEDMAATFNFASDMVNFVPMEQMRQEGWREFNDVSMSFRHVEWWLTMFKKVYN -VLKSIFAPSIEQKAVDWIDRNQEYIADVLDHASNIIIKMKDPKEQRRASTISEYFEVLKQLKPIVSLCMK -VAPSTKFSSQVFRIYSEMMRVNVRVPANTDLTRLEPIGIWVSSEPGQGKSFFTHMLSTCLLKSCNLEGIY -TNPTGSEFMDGYIGQDIHIIDDAGQNREEKDLALLCQCISSVPFTVPMADLTEKGTFYTSKIVIATTNKF -DFTSMVLTDPAALERRFPFHLRIRAVASYSRNNKLDVARSMAAMADGSCWEYSTDGGRAWKTLSMDELVK -QITAVYTQRSDALMVWKRKLNTIRNEMSPGSSTGRIFEPLEETLCALERRFGQLADSLKDNYHKTADELI -EAIEDMMAPSQSPFACFAESYRPTIKYTASDKVKSWVKNHMNRWKEFVMRNKGWFTLFSVLSSFLSILTL -VYLHYKKEKKEEERQERAYNPQTAISKKGGKPKLSLVKTTNFVNEAPYMQDLEHCFAQTAYISSPETQDI -IHCAALSEDTILVYGHSQFYFNRYEDLRLHFKGAIFPIEGGKISQVTVNGQPMDLILVKIDKLPITFKNY -TKYYTTEVGKETLLIWNSEKGRLAMPVQCVAPAGPVETMEGTITHKTYSYKVASKKGMCGGLLVTRVHGT -FKVLGMHIAGNGQVARAAAVHFISNGAAGFMDQGVVVAKEKLQKPIYLPSKTALNPSPLNGVVPVKMEPA -VLSPHDTRLEVIMPSVVKTAAAKYRVNIFNPDFEIWERVVDELKSKFRTKLGIHKHVSFQKAVQGFSSLS -SLDLSTSPGQKYVEKGMKKRDLLSTEPFWMHPQLEGDVKDILGAVYSGKKPHTFFAAHLKDELRKKEKIA -QGKTRCIEACSIDYVIAYRVVMSSLYEAIYQTPAQELGLAVGMNPWTDWDPMINVLQPYNYGLDYSSYDG -SLSEQLMRYGVEILAYCHEQPEAVMILHEPVINSQHLVMDEIWHVNGGMPSGAPCTTVLNSICNLLVCTY -LAYEQSLDIEVLPIVYGDDVIFSVSSPLDAEYLVQSAAQNFGMEVTSSDKSGPPKLLKMDEIEFLKRTTK -FFPGSTYKVGALSLDTMEQHIMWMKNLETFPEQLVSFENELVLHGKEIYDDYKNRFNPILNQWRVCMQDY -EVALHRMLRYVFD - ->NP_046804.1 polyprotein [Parechovirus A] -METIKSIADMATGVTKTIDATINSVNEIITNTDNASGGDILTKVADDASNILGPNCYATTSEPENKDVVQ -ATTTVNTTNLTQHPSAPTLPFTPDFSNVDTFHSMAYDTTTGSKNPNKLVRLTTHAWASTLQRGHQIDHVN -LPVDFWDEQRKPAYGHAKYFAAVRCGFHFQVQVNVNQGTAGSALVVYEPKPVVDYDKDLEFGAFTNLPHV -LMNLAETTQADLCIPYVADTNYVKTDSSDLGQLKVYVWTPLSIPSGSSNQVDVTILGSLLQLDFQNPRVY -GQNVDIYDTAPSKPIPLRKTKYLTMSTKYKWTRNKVDIAEGPGSMNMANVLSTTAAQSVALVGERAFYDP -RTAGSKSRFDDLVKISQLFSVMADSTTPSANHGIDQKGYFKWSANSDPQAIVHRNLVHLNLFPNLKVFEN -SYSYFRGSLIIRLSVYASTFNRGRLNGFFPNSSTDETSEIDNAIYTICDIGSDNSFEITIPYSFSTWMRK -THGKPIGLFQIEVLNRLTYNYSSPNEVYCIVQGKMGQDAKFFCPTGSLVTFQNSWGSQMDLTDPLCIEDS -VEDCKQTITPTELGLTSAQDDGPLGNDKPNYFLNFKSMNVDIFTVSHTKVDNIFGRAWFAHVHDFTNDGL -WRQGLEFPKEGHGALSLLFAYFTGELNIHVLFLSDRGFLRVGHTYDTETNRTNFLSSSGIITVPAGEQMT -LSVPSYSNKPLRTVRSSNALGYLLCKPLLTGTSSGRIEIFLSLRCPNFFFPLPAPKPATRKYRGDLATWS -DQSPYGRQGKKQLMKLAYLDRGFYKHYGIVVGDDVYQLDSDDIFKTALTGKAKFTKTRLTPDWVVEEECE -LDYFRIKYLESSVNSEHIFSVDNNCETIAKDIFGSHSLSQHQQIGLIGTILLTAGLMSTIKTPVNPTTIK -EFFNHAIEGDEQGLSLLVQKCTTFFSSAATELLDNDLVKFIIKILVRILCYMVLYCHKPNILTTACLSTL -LVMDVTSSSVLSPSCKALMQCLMDGDVKKLAEVVAESMSNTDDDEIKEQICDTVKYTKQILSNQGPFKGF -NEISTAFRHIDWWIQTLLKIKDMVLSVFKPSVEKRAVEWLERNKEHVCSILDYASDIIVKSKDQTKMKTQ -EFYQRYNDCLSKFKPIMAMCFRSCHNSISNTVYRLFQELARIPNRMATQNDLIRVEPIGIWIQGEPGQGK -SFLTHTLSKQLQKTCGLQGIYTNPTASEFMDGYDNQDIHLIDDLGQTRKERDIEMLCNCISSDPDIVPMA -HLEEKGKFYTSKLVIATTNKPDFSSTVLLDSGALRRRFPYIMHIRAAKHYSKSGKLNVSQAMPHMSTGEC -WEVSKNGRDWETLKLKELIDKITVDYKERIANYNTWKKQLEDQTLDDLDDAVSYIKHNYPDAIPYIDEYL -NIEMSTLIEQMEAFIEPKPSVFKCFASRVGDKIKEASREVVKWFSDKLKSMLNFVERNKAWLTVVSAVTS -AIGILLLVTKIFKKEESKDERAYNPTLPVAKPKGTFPVSQREFKNEAPYDGQLEHIISQMAYITGSTTGH -ITHCAGYQHDEIILHGHSIKYLEQEEELTLHYKNKVFPIEQPSVTQVTLGGKPMDLAIVKCKLPFRFKKN -SKYYTNKIGTESMLIWMTEQGIITKEVQRVHHSGGIKTREGTESTKTISYTVKSCKGMCGGLLISKVEGN -FKILGMHIAGNGEMGVAIPFNFLKNDMSDQGIVTEVTPIQPMYINTKSQIHKSPVYGAVEVKMGPAVLSK -SDTRLEEPVDCLVKKSASKYRVNKFQVNNELWQGVKACVKSKFREIFGVNGIVDMKTAILGTSHVNSMDL -STSAGYSFVKSGYKKKDLICLEPFSVSPMLEKLVQEKFHNLLKGNQITTIFNTCLKDELRKLDKIATGKT -RCIEACEIDYCIVYRMIMMEIYDKIYQTPCYYSGLAVGINPYRDWHFMINALNDYNYEMDYSQYDGSLSS -MLLWEAVQVLAYCHDSPDLVMQLHKPVIDSDHVVFNERWLIHGGMPSGSPCTTVLNSLCNLMMCIYTTNL -ISPGIDCLPIVYGDDVILSLDKEIEPERLQSIMAESFGAEVTGSRKDEPPSLKPRMEVEFLKRKPGYFPE -STFIVGKLDTENMIQHLMWMKNFSTFKQQLQSYLMELCLHGKDTYQHYVKILNPYLKEWNIPVDDYEVVI -GKLVPMVFD - ->sp|Q9YID8.1|POLG_HPEV5 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=P1AB; AltName: Full=Virion protein 0; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B; Short=P3B; AltName: Full=VPg; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -METIKSIADMATGFTNTIDSTVNAVTEGVSKIGNDSGGEILTKVADDASNLLGPNCVASTSQPENKDVVQ -ATTTVNTLTNLTQHPSAPTMPFTPDFSNVDVFHSMAYDITTGDKNPSKLIRLDTTTWQHTWPRQHLINDV -ELPKAFWDKNSKPAYGQSRYFAAVRCGFHFQVQINVNQGTAGCALVVYEPKPIVTHGGHLEFGSYTNLPH -VLMNLAETTQADLCIPYVSDTNYVKTDSSDLGRLRVYVWTPLTIPSSATNDVDVTVLGSLLQLDFQNPRT -YDTDVNIYDNSPLDTKTKYGKLRFSKKILSMSTKYKWTRNKIDIAEGPGSMNMANVLSTTGAQSIALVGE -RAFYDPRTAGSKSRFGDMIHIAQLFSVMSDTTTPSTSSGIDDLGYLDWSATYVPQQVIHRNVVKLNQFSN -LKPFVNAYTYFRGSLVLRMSVYASTFNRGRLRMGFFPNFTTNTTSEMDNAIYTICDIGSDNSFEITIPYT -FSTWMRKTNGRPIGLFQVEVLNRLTYNSSCPNKVHCIVQGRLGNDARFYCPTGSLVEFQNSWGSQMDLTD -PLCVEDDEAEDCKQTISPDELGLTSAQDDGPLGVEKPNYFLNFRAINVDIFTVSHTKVDNIFGRAWLALE -HTFADDGTWRADLNFPTQGHGTLTRLFTYYSGELNVHVLYLSDNGFLRVTHAYDHDNDRSNFLSSNGVIT -VPAGEQMTLSVPFYSSKPLRTIRETGALGKLICKPLLSGTHSGKIEVYLSLRCPNLFFPSPAPKEKTSRA -LRGDLANFIDQSPYGQQQQTQMMKLAYLDRGFYKHYGIIVGGYVYQLDSDDIFKTALTGKARFTKTRLTP -DWIVEEECELDYFRVKYLESSVNSEHIFSVDSNCETIAKDIFGTHTLSQHQAIGLVGAILLTAGLMSTIK -TPVNATTIKEFFNHAIDGDEQGLSLLVQKCTTFFSSAATEILDNDLVKFIVKILVRILCYMVLYCHKPNI -LTTACLSTLLIMDVTSSSVLSPSCKALMQCLMDGDVKKLAEVVAESMSNTDDDEIKEQICDTVKYTKTIL -SNQGPFKGFNEVSTAFRHVDWWIHTLLKIKDMVLSVFKPSIESKAIQWLERNKEHVCSILDYASDIIVES -KDQTKMKTQEFYQRYSDCLAKFKPIMAICFRSCHNSISNTVYRLFQELARIPNRISTQNDLIRVEPIGVW -IQGEPGQGKSFLTHTLSRQLQKSCKLNGVYTNPTASEFMDGYDNQDIHLIDDLGQTRKEKDIEMLCNCIS -SVPFIVPMAHLEEKGKFYTSKLVIATTNKSDFSSTVLQDSGALKRRFPYIMHIRAAKAYSKSGKLNVSQA -MSTMSTGECWEVSKNGRDWETLKLKDLVQKITEDYQERQKNYNAWKQQLENQTLDDLDDAVSYIKHNFPD -AIPYIDEYLNIEMSTLIEQMEAFIEPRPSVFKCFAVKLPHKPGKQPRKLWAGSAGKIKSMLSFIERNKAW -LTVVSAVTSAISILLLVTKIFKKEESKDERAYNPTLPITKPKGTFPVSQREFKNEAPYDGQLEHIISQMA -YITGSTTGHLTHCAGYQHDEIILHGHSIKYLEQEEDLTLHYKNKVFPIENPSVTQVTLGGKPMDLAILKC -KLPFRFKKNSKYYTNKIGTESMLIWMTEQGIITKEVQRVHHSGGIKTREGTESTKTISYTVKSCKGMCGG -LLISKVEGNFKILGMHIAGNGEMGVAIPFNFLKNDMSDQGIITEVTPIQPMYINTKSQIHKSPVYGAVEV -KMGPAVLSKSDTRLEEPVDCLIKKSASKYRVNKFQVNNELWQGVKACVKSKFREIFGVNGIVDMKTAILG -TSHVNSMDLSTSAGYSLVKSGYKKKDLICLEPFSVSPMLEKLVQDKFHNLLKGNQITTIFNTCLKDELRK -LDKIAAGKTRCIEACEVDYCIVYRMIMMEIYDKIYQTPCYYSGLAVGINPYKDWHFMINALNDYNYEMDY -SQYDGSLSSMLLWEAVEVLAYCHDSPDLVMQLHKPVIDSDHVVFNERWLIHGGMPSGSPCTTVLNSLCNL -MMCIYTTNLISPGVDCLPIVYGDDVILSLDREIEPERLQSIMADSFGAEVTGSRKDEPPSLKPRMEVEFL -KRKPGYFPESTFIVGKLDTENMIQHLMWMKNFSTFKQQLQSYLMELCLHGKDIYQRYIKILDPYLKEWNI -VVDDYDVVIAKLMPMVFD - ->AAO83985.1 polyprotein [Ljungan virus M1146] -MAGRNETNVSELLSTVSSTVGSLLQNPTVEEKEMDSDRVAASTTTNAGNLVQASVAPTMPFKPDFTNVDQ -FLCMSYSPETAPTNPTKMVHLAAAQWQTTHNRTHEVLRIELPRSFWPNENYPAWGQSRYFGAVRCGFHFQ -VQLNVNIGSAGCLIACYLPATALENFNSYTFGTFTNFPHVLMNAATTSQADLYIPYVFNHNYARTDSADL -GGIFIYVWSALTVPTGAPTTIDVTVFGSLLDLDFQNPRVPGTAIGIFSQGRRQMRKTKSSKFKWTRNKVD -IAEGPGAMNIANVLSTTGGQSTALVGERAFYDPRTAGASIRCKDLMDLARMPSCLRGERAVPQDTTGYFT -WSSNITPLNFIFEYGVYFEDIPNLNLMAANFNYWRGSIVIKLTVFASTFNKGRLRMAFFPNQGENFGEPA -AQNSIYVVCDIGLNNTFELTIPYTWGNWMRPSRGGPVGWLRIDVLNRLTFNSSSPSSVNCILQVKMGNDA -QFMVPTTSNLVWESPTGMSSWGSQMDLIDSLDNPEEIQDSEEPESSNVEAAQGQEAATAVGLRATENDGS -LTEQVNVAQPMFLNFKQHKVDIYSTSHTKVDHIFGRAWRFQITNLDNSSIGRFTIPFPTTTHGSLARFFA -YWTGELNIHVINISTTNAFLKVAHTWFGTTSGIARTGSLESNGVMIIPPNEQMTFCVPYYSEAPLRTVKG -TGLSAGLGTFFYQAVGRTIQNRMEIFVSLRCPNFFFPVPAPHEASARSTLARIDTATEEELNAIMSSAEP -DTPLRIGKPPEDPLKQLREAAKTYFKIYHDKDMDYAGGKFLNQCGDVETNPGPDIELVYKPRGFYKHYGV -RINGHVYHLNSQDILTTAITGKAEFIKQQDDGHWVHAMSAPLDYFTEKYVNSLVGSKHIFSATENCETIA -RDIFPGQPEISQSKALGIIGLILLSAALLSLLAVPWDFSSLQIVYNQGIEGDASGLTLLSQRCMTFFSNT -MCETFNNDLVKFIIKILVRLLCYIVLYCHAPNMLTTMCLGTLLVLDITTCEILSANTKALFQALMDGDVK -SLVWKIAENMQFAQSSDEQAEEMSATFSFAKDMVDIHSPDQPPFQNQSWRDFNEVSMSFRHVEWWLTMFK -KIYNVLKGIFSPSIEQKAVAWLERNHEYVAGVLDQCSDMIIKMKDPKQQRNAKVIEEYFEVLKRMKPLVS -LCIKVAPSTKFSSQVFRLYSELMKVNVRVPANTDLTRIEPIGIWISSEPGQGKSFFTHMLSTSLLKACNL -EGIYTNPTGSEFMDGYVGQDIHIIDDAGQNRDEKDLALLCQCISSVPFTVPMADLAEKGTFYTSKVVIAT -TNKMDFNCMVLTDPGALERRFPFNLRVRAVASFMTKDRKLDVPRSMGAMADGSCWECSTDYGRSWSPVNM -KELVKKIADVYKERDDALTVWKYKLNQIRNEMVPGDSIGRILEPMEETLCSLERRFGQLADSLRENYHKT -ADELIEAIEDLMAPGQSPFSCFEPTGPTIKYTACDKVKSWVKNHMKRWSDFVIRNKGWFTLFSVLSSFLS -ILTLVYLHYKKEKKEDKQERAYNPQTAIPKKGGRPKLTLMKSTNFVNEAPYMQDLEHCFAQTAYISSSET -TDIIHCSALFEDTILVYGHSQFFFNRYEDLRLHFKGAIFPIEGGRISQVTVNGQPMDLIMVKIDKLPITF -KNYTKYYTRDVGKDNLLIWNSDKGRLAMPVDCVVPAGPIETMEGTITHKTYSYKVASKRGMCGGLLVTRV -NGTFKILGMHIAGNGQMARAAAVHFITNGNMQDQGIVVKKEKLEKPMFLPSKTALSPSPLNGIVPVKMEP -AVLSPHDARLEVVMPSVVKSAAAKYRVNIFNPDYDIWERVVDDLKVRFRQKLGIHKHTTIQKAVQGFSSL -ASLDLATSPGLKYVSQGLKKRDLLSVEPFWVSPQLEMDVKQILADVYSGNKPTTQFAAHLKDELRKKEKV -AQGKTRCIEACSVDFVVAYRVVMSSLYEAIYQTPAQELGLAVGMNPWTDWDPMINTLLPYNYGLDYSSYD -GSLSEQLMAYGVDILAYCHEEPEVVKLLHSPIINSQHVVMDELWHVMGGMPSGAPCTTVLNSICNLLVCS -YLAYEQSLDLEVRPIVYGDDVIFSVSSPLDMDYFVKSAAETFGMEVTASDKNGAPKLLRMEEIEFLKRTT -KMFPGSTYKVGALSLDTMEQHIMWMKNLSTFPEQLVSFENELALHGKDVYDDYKQKFNVVLNQWRVCMQD -YDVVLHRMLRYVFE ->AIU36196.1 polyprotein, partial [Manhattan parechovirus] -PSEHALDLSTSPGMKYTAQGLRKNMLVDREKAFIHPVLRRDVEQMFKNIANSEVYFYSHLKDELRPVEKI -MAGKTRCIEASDFDYTVVHRMVFGKLYEKIYNLHPLTLGLAVGMDPWVDWDSMVDGLFPYNYDFDFSRFD -GSLSRQLMEFGAQLLCLCIEDGDFGYQLLMKTIVSRHIVLDEEWLVNGGMPSGSPCTTVLNSVCNLLVSA -TLALQCTPGRFQLLVYGDDLIVSCEELMDCGKFQELAKEQFGMEVTPSSKGGEFEMKKPEEVSFLKRRTA -YMPYSTYKVGALDLNNIKQHLMWCKNR ->AOT85835.1 polyprotein [Human parechovirus 5] -METIKNIADMATGFTNTIDSTVNAVTEGISKIGNESGGEILTKVADDASNLLGPNCIASTSQPENKDVVQ -ATTTVNTTNLTQHPSAPTMPFTPDFSNVDVFHSMAYDITTGDKNPSKLIRLDTTTWQHTWPRQHLINDVE -LPKAFWDKSSKPAYGQSRYFAAVRCGFHFQVQINVNQGTAGCALVVYEPKPIVTHGSHLEFGSFTNLPHV -LMNLAETTQADLCIPYVSDTNYVKTDSSDLGRLRVYVWTPLTIPSSATNDVDVTVLGSLLQLDFQNPRTY -DTDVNIYDNSPGKTTPKYSKARMAKKILTMSTKYKWTRNKVDIAEGPGSMNMANVLSTTGAQSIALVGER -AFYDPRTAGSKSRFGDMIHIAQLFSVMSDTTTPSTSSGIDDFGYFDWSATYTPQQVIHRNVVKLSQFSNL -KPFVNAYTYFRGSLVLRMSVYASTFNRGRLRMGFFPNFTTNTTSEMDNAIYTICDIGSDNSFEITIPYTF -STWMRKTNGRPIGLFQVEVLNRLTYNSSCPNKVHCIVQGRLGNDARFYCPTGSLVEFQNSWGSQMDLSDP -LCLEENEAEDCKQTISPDELGLTSAQDDGPLGVEKPNYFLNFRAINVDIFTVSHTKVDNIFGRAWLALEH -TFADDGTWRADLNFPTQGHGTLTRLFTYYSGELNIHVLYLSDNGFLRVTHAYDHDNDRSNFLSSNGVITV -PAGEQMTLSVPFYSSKPLRTIRDSAALGKLICKPLLSGTHSGKIEVYLSLRCPNLFFPSPAPKEKTSRAI -STNPFEDQSPYSQPNEQNIMKLAYKDRGFYRHYGIIVGDQVYQLDSDDIFKTALTGKAKFTKTPLTSDWV -VEEECELDYFRVKYLESAVNSEHIFSVDKNCETIAKDIFGTHSLSQHQAIGLVGTILLTAGLMSTIKTPV -NATTIKEFFNHAIDGDEQGLSLLVQKCTTFFSSAATEILDNDLVKFIVKILVRILCYMVLYCHKPNILTT -ACLSTLLIMDVTSSSVLSPSCKALMQCLMDGDVKKLAEVVAESMSNTDDDEIKEQICDTVKYTKTILSNQ -GPFKGFNEVSTAFRHIDWWVHTLLKIKDMVLSVFKPSIESKAIQWLEKNKEHVCSILDYASDIIVESKDQ -SKMKTQEFYQKYSDCLAKFKPIMAICFRSCHNSISNTVYRLFQELARIPSRISTQNDLIRVEPIGVWIQG -EPGQGKSFLTHTLSRQLQKSCKLNGVYTNPTASEFMDGYDNQDIHLIDDLGQTRKEKDIEMLCNCISSVP -FIVPMAHLEEKGKFYTSKLVIATTNKSDFSSTVLQDSGALKRRFPYIMHIRAAKAYNKAGKLNISQAMST -MSTGECWEVSKNGRDWETLKLADLVKKITEDYEERQKNYNCWKQQLENQTLDDLNDAVSYIKHNFPDAVP -YIDEYLNIEMSALIEQMEAFIEPRPSVFKCFATKIASNTRKAAKEVVEWFSDKIKSMLSFVERNKAWLTV -VSAVTSAISILLLVTKIFKKEESKDERAYNPTLPAAKPKGTFPVSQREFKNEAPYDGQLEHIISQMAYIT -GSTTGHLTHCAGYQHDEIILHGHSIKYLEQEEDLTLHYKNKVFQIENPSVTQVTLGGKPMDLAILKCKLP -FRFKKNSKYYTNKIGTESMLIWMTEQGIITKEVQRVHHSGGIRTREGTESTKTISYTVKSCKGMCGGLLI -SKVEGNFKILGMHIAGNGEMGVAIPFNFLKNDMSDQGIVTEITPIQPMYINTKTQIHKSPVYGAVEVKMG -PAVLSKSDPRLEEPVECLIKKSAAKYRVNKFQVNNELWQGVKACVKSKFREIFGINGIVDMKTAILGTSH -VNSMDLSTSAGYSFVKSGYKKKDLICLEPFSVTPMLEKLVQDKFHALLKGNQITTIFNTCLKDELRKLDK -VSAGKTRCIEACEVDYCIVYRMIMMEIYDKIYQTPCYYSGLAVGINPYKDWHFMINALNDYNYEMDYSQY -DGSLSSMLLWEAVEVLAYCHDSPDLVVQLHKPVIDSDHVVFNERWLIHGGMPSGSPCTTVLNSLCNLMMC -IYTTNLISPGIDCLPIVYGDDVILSLDKEIDPEKLQSIMADSFGAEVTGLRFKNSTSNLGFNDGGSSLRE -PVTSAPNESAMILCSFSGSISLSSDKITSSPYTIGKQSIPGLIKLVVSIHIIIGKLDTKTVVHGDPDGIP -P ->AGF33849.1 polyprotein [Turkey avisivirus] -MDPISEIAGAVTEVAKDLAPAPVNQIIEGVSNLTTTPSANSTIQTSAPTVDTSIPHGTSQILDSFFSCGT -VQETSVLNYEKMILLDQAEWGTTPDVSYCLLKISVPGAFFSDATKPAHGISKYFRLLRCGYRFTVVLSVP -PGACGALAMVFVPPGFTDKITQNQPVTAWDPEAILTLPHVVVDSRTSNTGTLTVPYVNYQSYCNLDQNGN -QAFVAVLVLGKYNSANGTSSSCDIALYGELLDTDFQCPRPVSQGKRRKAAKPEHNPTTAMVSIASGPGSA -NLANSTLAPKLADSLAIANEGTAVDYSTAGCDQSVTDLIELARSWQIAAYGKLDSAQKNSVVLNINFDPY -SYGNLGLLFDKFQYWRGSLEVQFVMYSNSLASGRYQLCWLPADWSADYSLAQLRNSIYSTGDVSSAPCTL -VLPFTSQNWRRRCDGRYGSIIVRMVNRLAVNGSSTTHFSYALFVRAGQDLQFFAPRYGDYSILQGPIEGE -TYNQTSTLSTNFEISDVVIHGSKHTQIDNFFGRSWVHGFHTSSSADTAMKLPLRTPRHSHGSAMLAFAYW -CGEVVITVHNRSENMLICAHSYDLEEQHSQVNEQSIFSLGAILVPPREVKTFRAPWYSQTPLRRPLDNDD -EPSMGFLYVTSEGSSNFTVYLALHKPKFFFPLPCPRFTSNSAKVAPKGTGMSIAERKIQLTSVARRTLEW -ARREVGAYDEVDHRDILMGGDIEENPGPQRYQPLHKPEPSSNLLRAVSRRAIMSMVEENIQLTPITRKAK -VKVLDWVRREMGVFDETDHRDILLGGDIEENPGPQSIYFLGLSGCGKSRLVNAIAGHPLCDSRLSPTPIH -TETHSHQLMGYEVFEQVGIPPAGGKYVYLQEATRFDKEHVDFIREMDQQHPGWRRHAVLYVNRLGDTKLS -QYLRGVPELAGFKEVTDNPLSVIPLLTTINSYEGTGVQLVCKNRGVYKHFGVREGDKVYHINTENLVKTC -LDGQVAVMVEDYSAGWIPCSHEEKVGAVSFVQTGTLDGVTFSCDFNCETWAKIFVPSEGETQGQRLKKVM -AIAAGAAFVYGLPRGEGFDFMQCVTKVMMTLFSKQVKTIVVKMVIKFFCRLCCYLVLYCHSPNLVNTAML -TILLTLDVFDTEIDEISGKVAKALVSGDFKAAGRAMMEAADRKCEDFKCEAKELFKSEGPDATGKSFNTW -TLVAKNLEWWVDKLKQFINWIRTKLFPSDAKDKIEAMESVRDRMVMSLAAADKHLVTLKADKAYATSKAA -RDYHLKITNEIIDLNAMDLGPDFRDLGTKIGQILNRLQSVTFDSVDAGSMRQEPLGIWISGEPGCGKSFL -SHLIIKHLKEKKGFSVFCNPSGSDHMDGYNGQEIHYFDDLGQIREEADIKLMCQLISSQQFIVPKADLTS -KGTLYNAKVVIATTNKNEFDSTVLNDSGALRRRFPIRLHVRPHSFYTTQDGRLDLNRAMKDGDIDPGCWE -IDVGSGRSCWQTLNWDILIHEIEDELINREHINKFFSQGAIFESDEVEVVPEQGPGSVNKSTVTKLKNWI -NSLIDRAKSFFERNKCWFYLGSALATLATLVTTALPAARSYLSNLYSGEPTRAKVTRVTREFQSEGPSYY -SLKDRLVEVGETGSTGLALGGKVVLSFGHNDDSKFIIYKDQEHPVVKEENISVNNSPQDLALLTVQTPYQ -FKELRRKIYADVYRGDGFLLFLKKGTLIAHQVKRITPCDNIMTQQGHQTQFAYRYQVNSASGWCGGVLVG -IVGGNPMILGMHVAGNGSHGIAARIFPNFSQGIVTQRMPNTELYFQPRRSEIYPSPANDGTSNVEPPVLS -NRDRRLETPIDDITKHNADRHKMNRFNPPMDAFQVAKSNVISELASIVEPCYHMTYDQAVDSTLLPIVWT -TSPGLEFKGKTKRQLVDDPGFKERVMKLYRSFAGGNSAPPQVKYTTYLKDEVRIKEKVKKGATRTITASS -FDYTIACRMIFGNIFRQLFGNGLPAGFAPGMNPYTQFDELYDSCWLNVICLDYSKFDASLSKDLMEHAIE -VVSCFSEDPMSVIRAFQPTLISQERVSDELWEVRGSMPSGSPWTTMINTICNLLMCKTYLLDMGHDLTKT -YVVCYGDDCVISVDQCHKLEGIEQWFMDKFGATVTPEDKSGKINWRFKNKLKFLKRTPMQLDWIPKIVGA -LDIDSMMDRIQWTKGHFQEQLNCFYYELALHGEDTYNEARKSIAFRCPELVHPTYQCALQTIKPMVSLM ->ALR74729.1 polyprotein [Orivirus Pf-CHK1/OrV-A2] -METVKEIAAKTLAPLTNDVLSAAEQIAGMVVTEPTDDAHQITVNPSANSAIVNSTPPVSDAELVEIQQVS -STDDMYSCAYEPSVGQENVTRYTELLQGSYSTSNSPFDVIAHRLTPGCFFDDDEDPAKGQCQRFCFLRCG -FEFSLNVTTPMGGQGALVLLYIPPGFANMVNASSKLENCARGSLFNFPHVVIDISLSNSATLTVPYMSYK -NYFNITGTETQTTPKMGSGRICVVALTKYNCGASTTNSIQFTLFGQMLDADLQCPRPLNLTAQGLQRVSP -EKHTTVSFSHNPPMAINSTPGCVNLSSFVTGNAAESTSLACEETTVDLKTAGARSAVSDLRKVLRRWQVY -AVKNLTLSTQGAVTTYPVNIVTAAGATDVQNNSLFLICSNFQFFRGSIELRALVITSKGMSVKYKLGWFR -SNTTGTVSYSQTRNTLFVVGDSDGPPPILTIPYSNDKFRCAVGNQYGTAFFAVVNKTATNVICPTTCSVV -LEIRAGPDFELSVPQYSDLKLQGIGDGADESATCFVNFRLVDVPITTTPHTNVDAIFGRSVHMFDVTNQT -GRYVITPLHNPRADASNKRSTFNILSCFAYFAGEITITVVNFSKLNEAYVGHTYTRENAGELNELINYGT -IIVPPSGIKTFNAPFYSETPFRALNGEDALGYFLSYCADATATIRVYASLRNCQFVGLAPSANYTVAPIS -PPTVSNQGPRTPRLVYKVTPNRQYCVAVGDKLYALSASGTVEQVVSDSVAAVLRPVDPEFMEAIIKYLSF -DYTALSVLHHNPQKLYDMLVTAFIYTCSDQAPDMTAMITRAMAVFQDLVANDIIRLVLKTLVKALCYGII -CVTATSVAPLAACAALALVDLSEIRLGAVAQGISEALVEGDIMGLVTLVLESVQGVDKDEVKATVDAASD -AIGDQGPSLKGFTDFTNACKTVGWWLETAIKIIKIVKDRMFSQRVKQASEWLATNRTRLYGFIAAVDVHL -TKCSTNPEYASDPATQAIHLKLQSDLIKCREKFIETPFSDIKNLVNGAIARMERIKLTPVTMRPYRPEPY -GVWIQGAPGAGKSFLSGIISTAIRKHFGFTTYHHPVASAHMDGYGSQEVHIFDDFGQCRDEADYTLVCNL -ISTSPFIVPKAELEAKGTYYNGRLVITTTNRMDFTSHKLFDPEALARRFPLHLHIRPRPEFTTPKGHLDV -VAAIKEKKWNNVWEIKTDKGWRSLNVDFLLGKVIDEIEARKEVINMMDQGDWIAKYEDQQVIFSVDEVEP -QPQLTQRLKKVIDRAIDAASNFITQNKWAFAAFGALSTLCAAAAVYVTKFKEDEGAYSGGPIRNPRPKQY -RDLKAPVSNQNLLNSVVENAIIEVVDITGHRSTALVIGKKHIVSYSHGPELVRISFYKGPCSIPIEYAYN -VNYDGEPTDLVVYKVTGPVQLSSPYTHFSEHIGLHPIMVSRRSGTLSIRPVDKIQWGGQITTLQGTTSAR -TARYLGYNKEGDCGNIILTMHNGNYRFLGLHTAGNGCVGYCNIVYGVVCQGLVIQKRETDKRVYVPHQTN -LIKSPCWTPACDLEPAALSSRDPRLEEPRDLLVANCAKYTGNVFDITTELITDTVATVTGKLMEYGPFSP -VDYETSFTILDMDWGTSPGHKYHNTTKDALHGSASFKQDVQDMLAVPSTYFVALLKDELRTKEKVRKGKT -RVIEAANFDYVVAYRMVMGEFLARVIEDPEKLAGICLGLNPYTDFSCMVNSLYEYNLCLDFKGFDGSLSE -GLMRAAVQCLANCSTDPELVVKIHEPTIVTTEIVRDEEWLVVGGMCSGSPSTTLLNCVCNIFIHTAFALV -YNLDFKVYCYGDDVIFSTKQWFDPSVYVSFMAEKFGMTVTSAQKTSDITFVPPYEIEFLKRKPVSFSGVT -VGALSLESLENKIQWCRGLDAYKQQLRSFATELAFHGRSQYELTTDILGVDIPWGAAHAWARALLSSVTE -GLDPGPPDRVVSP ->BAV53294.1 polyprotein [Ljungan virus] -MAAHKANPVADLLSTVSSTVGSLLQNPSVEEKEMDSDRVAASTTTNAGNLVQASVAPTMPFKPDFRNKDN -FLSMSYTPDTAPTNPTKMVHLVNGTWTTSQHRQALVAQITLPQAFWPNERFPAWGQSRYFAAVRCGFHIQ -VQLNVNIGSAGCLIAAYMPKSAHDHMSTYTFSSYTNLPHVLMNAATTSQADLYIPYIFNHNYARTDSDDL -GGIYIWVWSALTVPSGSPTTVDVTVFGSLLDLDFQCPRPPGSATVIYTQGKQQARKTKATKFKWTRNKID -ISEGPGSMNIANVLSTTGGQTVALVGERAFYDPRTAGAAVRCKDLMEIARMPSVFKGERTDPDGTRGYFT -WSHTIAPINWVFDSEIHLEDMPNLNVFSACYNYWRGSFVIKLTVYASTFNKGRLRMAFYPNHSGRYTEEE -AQNAIFVVCDIGLNNTFEMTIPYTWGNWMRPTRGSVLGHLRIDVLNRLTYNSSSPNAVNCVLQVKMGNDA -SFMVPTTSNIVWEGLHSWGSEMDLVDSLDNPEEIQHTEEPESSNLEAAQGEEAATAVGLRATENDGSLTE -QLNMAQPMFLNFKQHKVNIYAASHTKVDHIFGRAWAVGVFNTEVASIQKFDINFPTTTHGALCRFFCFWT -GELNIHILNVSTTNAFLKVAHTWFGTDSGLARTATLESNGVMIVPPNEQMTLTVPYYSEVPLRCVKGTDR -NSSGLGSLFTQCVGRTVNNRIQIFVSFRCPNFFFPLPAPHEATSRTVLQRLDEASADELEAVLEARTADA -PLRLKFKPEDPLYQLRQAAQAYFNIMHDDEMDYSGGKFLNQCGDVESNPGPDIELVYKSRGFYKHYGVRI -NGHIYHLNSQDILTTAITGKSEFIKEPDDGNWTHVMTAPLDYFTEKYVNSLVGSKHIFSATTNCETIARE -LFPGKPEITQSKALGIIGVILLSASLLSLLAVPWDLSSLQTVYNQSIEGDASGLTLLSQRCMTFFSNTMC -ETFNNDLVKFIIKILVRLLCYIVLYCHAPNMLTTMCLGTLLVLDITTCEILSANTKALFQALMDGDVKSL -VWKIAENMQFAQSTDEQAEEMAATFSFAKDMVDIHPMGSQPFENQGWREFNDMSMSFRHIEWWLTMFKKI -YNVLKGIFSPSIEQKAVNWLDKNQEYVASVLDHCSDMIIKMKDPKQQRNAKVIEEYFEVLKKMKPLVSLC -VKVAPSTKFSAQVFRLYSELMKVNVRVPVNTDLTRMEPIGIWISSEPGQGKSFFTHMLSTSLLKSCNLDG -VYTNATGSEFMDGYIGQDIHIIDDAGQNREEKDLALLCQCISSVPFTVPMADLTEKGTFYTSKIVIATTN -KSDFTCMVLTDPAALERRFPFNLRIRAVASFMNKDRKLDVPRSMGAMADGSCWECSSDFGRTWHTVSMKD -LVKQITDMYKQRDDALTTWKYKLTQIRNEMTPGDSIGRILEPMEETLASLERRFGQLADSLKDNYHKTAD -ELIEIIEDMMAPGNSPFACFENIAPTIKQKTACEKVKAWVKDHMSRWANFVLRNKGWFTLFSVLSSFLSI -LTLVYLHYKKEKKEEEKQERAYNPQTATSKKGGKPKLSLVKATNFVNEAPYMQDLEHCFAQTAYISSPET -TDIIHCAALMEDTILVYGHAQFYFNKYDDLKLHFKGAIFPIEGGRISQVTVNGQPMDLVMIKIDKLPITF -KNYTKYYTNEIGKDNLLIWNSEKGRLAMPVECVTAAGPVETVEGTVTHKTYSYKVASKRGMCGGLLVTRI -NGTFKVLGMHIAGNGHIARSAAVHFITNGAAGFEDQGVVVAKEKNNKPIFLPSKTALNPSPLNGIVPVKM -EPAVLSPHDNRLQIQMPSVVKAAAAKYRVNIFDPDFGIWEQVVDEMKTRFRSKLGLHKHTTIQKAVMGFS -SLASLDLSTSPGQKYVELGLRKRDLISLDPFWLHPMLEADVKKILGEVYSGQKPLTFFAAHLKDELRKTE -KVVLGKTRCIEACSVDYVIAYRVVMSSLYEAIYQTPAQELGLAVGMNPWTDWDPMINVLQKYNYGLDYSS -YDGSLSEQLMQYGVDILAYCHEEPEVVKLLHAPVVNSRHLVMDEIWHVQGGMPSGAPCTTVLNSICNLLV -CTYLAYEQSLEIEVMPIVYGDDVIFSVSSPLDMDYLVKSAAQTFGMEVTSSDKTGPPKLLEMQEIEFLKR -TTKFFPGSTYKVGALNLDTMEQHIMWMRNMDTFPEQLVSFENELVLHGKDVYDDYRMRFNAVLSNWRVCM -QDYEVVLHRMLRYVFD ->ACE80203.1 polyprotein [Duck hepatitis A virus 3] -MDTLTKNIENETVKIIGSCAEKAQEAISGLGAVESVASTNSVVATANATTTQTIPDPTDGSTDDFYSCSY -EVGAQGDNISRLVHLHTGQWSTQHGVTTCLRWLATPGCFYTANTQPAYGQTRYFRFIRCGYHFRLLVNAP -SGAAGGLMMVWMPYPYCRVLTGSFNVDASVDRRSLLNLPYAILDLRTNTEIDLVIPYVNFRNYVEINATE -SVGGAICVFVLGAFTHGYRTSNTVDYTLFGEMLETDLQCPRPFNDQGKKKPRRRPIHKPKSPPQESRIII -QPGPGAANLSNSSVVTMAESVALANEGTAVDYSTAGCASSVDDVVMVLRRWQIVGDFQWANTVTPGNRIS -RFQVVFNRMPTFALFFDKFQYWRGSLEVKLLTFGSQFNTGRYQMSWYPLSNGEQTLAQCQNSVFVTCDVC -ATPATLILPFTNTTWRKSTRENHGYITWHVVNRLTVNSTSPSTINCVILMRVGKDFQFTAPLYGNLQMAA -NDQGDSNQLGDDEPVCFLNFETANVPIQRESHTLVKHFFGRQWLVPTVQHTGEVQELDLPVPDRGHASLL -RFFAYFSGEVILTIVNNGTTPCMVAHSYTTDNLTSEYAVTAMGGILIPANSAKNISIPFYSVTPLRPTRP -MPASQGGGLTFGRLYIWTQSGSVSVFMGLHKPALFFPLPASTYTTPTLLNELETMNLHDQSDQPDCHLCE -ICRKMKGWSCNYRPFRFCLRLKTLAFELHLEIELDQSRNVRDLTTEGVEPNPGPIMVVGKSGSGKSVLCN -ILADVNLFESKLTPYTLTTSHQIETVTICGKQVTLIDTPEIPKYDGPISCFLYLIEAGRLTNEDVIFMKT -MRQYFPGFEKSTILVLNRADELPNNDQLKDWIKTNGELESLVRACDGRVAKFYRGKIATAKLLDKIAELP -EYRAHLPRLVYKDRKMYRHYGVQCGNVVFHMDSENIMKSALNGEVTIKQEKWNGNWKPASEHMQSTASIY -LKSDTMPKFKFSVDDNCETWARQLLGDYGPTQGTILKERLMWAAALGFFMTIKITTDQSFPGKDAIHTVL -TKISNFIFGGLENEVVRIVIRTVIRIVCYLILYIHSPNIVTTGTLVALLALDATSMSMDQGLKTLCMSLV -DGDFGKFCSVLLQKIQSVDEADLIKNTIPSFTDMMEDQSGKPTGPKTFNDWTTCAKNVQWWLESFVKVVN -WLKEKVFPSKTDPTLQWLEDHEEHISIMLALCDEHLCMLRTDKDYICEHTTRPKHQKLVEMVSGTLNQLN -GISSAKDLCLRLQHVLNKLHQVNFEPELEWTHRPEPLGIWISGGPGVGKSFLSNYIVKQIAKKRHWKSYA -NPTGSKHMDGYAPQEIHVFDDFGQNREEEDYSLICNLISSVPFITPKASVEAKGTQYRGRLVVVTTNRRD -FTSCKLTDPDALERRFPIRLNIRPLQKYNHKGRLDVATAMRDGSLQNGTCWERDIGGLGLEHWNPINGDI -LVDEILSELQVRHEVANFMNQGKVRRLSDLDTMFEELDELKLDFDFDRLEEQARLFARPKEGKISKFRTW -VKECINKIKGFLERNKTWILGIGTLGTIVSLITMCIPLARKFTQSIYSAQPMAKTLPKDFKVAVQKHVEK -LESVLQDQSGRVNFRHICNRLVNVSSENEVATGLAVGGKYVLTFGHSKFTQLDSIRDMVFNSPAKGTPIT -YDGLPTDLQLLECDIPHQFKDVSKLIATDDYRGNGWLVWKDDDQYMIQEVTKIRPFGQTTTASGTTSCQT -YIYNCKTGPGSCGGVLVALVGGNLKILGIHTSGNGTMGASNRIFPVFNQGTIVEKKYSGKILYHQPRKTA -YQKSPVYEDSPYEPAVLSINDQRLAVPIEDMAKKASDKYIGNTFDPPPPAFQLAKTHVAEKLAKVLGSHD -CVSYEQAISSDVIPMNWDTSPGIKYKGETKRQLVLKSSFKQDVMDQIQSPSTVFVCYLKDELRKKEKIKE -GKTRGIEACNFDYTVAFRMVMGEIYSNIYDDSFILSGCAVGINPFAEWDNLLANLQPYNLCLDFSGFDGS -LSAQILEEAVDVLSFFHNDPALVKKIHEPTIYSTHYVTDEIWKVEGGMCSGSPCTTVLNSIVNQLACYTV -LAVLGYDINQCYVVSYGDDCVLSVPEKRDISKLSHYFKLFFGMTATASDKASDIAWRGPMEIEFLKRTPA -FLPDTRKIVGVLDKEVLEGKIQWCKGPEAFKQQLDSFFLEAALHGPEYYNCICSKLKARCPVLEIQPWGV -ARMRAYTACMII ->AAM46081.1 polyprotein [Ljungan virus 145SL] -MAATKMNPVENLLSTVSSTVGSLLQNPTMEEKEMDSDRVAASTTTNAGNVVQASVAPTMPIKPDFKNTDN -FLSMSYSPNTAPTNPTKMVHLANGTWTTSQHRQSLVASIQLPQAFWPNERYPAWGQSRYFAAVRCGFHIQ -VQLNVNIGSAGCLIAAYMPKSAHDHMDTYTFSSYTNLPHVLMNAATTSQADLYIPYVHNHNYAKTDSDDL -GGIYIWCWSALTVPSGSPTTVDVTIFGSLLDLDFQCPRPPGANTVIFTQGKRTARKTKATKFKWTRNKID -IAEGPGALNIANVLSTTGGQTVALVGERAFYDPRTAGAAVRCKDLMEIARMPSVYKGERTEPGGTNGYFQ -WSHTHSPINWVFDGGIHLEDMPNLNLFSSCYNYWRGSIVLKLTVYASTFNKGRLRMAFFPNHDARYTEEE -AQNAIFMVCDIGLNNTFEMTIPYTWGNWMRPTRGSVIGWLRIDVLNRLTYNSSSPNAVNCILQVKMGNDA -KFMVPTTSNIVWEGLHSWGSEMDLLDSLDNPEEIQDMEEPESENVEAAQGEEAATAVGLRATENDGSLSE -QQNMAQPMFLNFKQHRVDIYSASHTKVDHIFGRAWAVGIFNVTNANISKFDLNFPTTTHGALCRFFCFWT -GELNLHILNISSSNAPVKVAHTWFGTDSGIARTATLESNGVIIIPPNEQMTLCIPYYSEAPLRCVKGPHS -AGAGLGSIFTQCIGNSVNNRIQIFVSFRCPNFFFPLPAPHEASSRSILQRISTASADELEAVLDAKTPDA -PVRLCYQPEDPLRQLREAAKAYFNIMHNDEMDYSGGKFLNQCGDVESNPGPDIELVYKNRGFYKHYGVRF -GGFIYHLNSQDILSTAITGKSDFIKEEDDGKWTHAMTAPLDYFTEKYVKSMVGSKHIFSATSNCETIARD -LFPGKKEISQSKALGIIGVILLSASLLSLLAVPWDYSSLQTVYNQSIEGDASGLTLLSQRCMTFFSNTMC -ETFNNDLVKFIIKILVRLLCYIVLYCHAPNMLTTMCLGTLLVLDITTCEILSANTKALFQALLDGDVKNL -VWKIAENMQFAQSTDEQAEEMAATFSFAKDMVDIHPIGAEPFQNQGFREFNDVSMSFRHIEWWLTMFKKV -YNVLKGIFSPSIEQKAVAWLDRNQEYVASILDHCSDMIIRMKDPKQQRNPKTIEEYFDVLKKMKPLVSLC -IKVAPSTKFSSQVFRLYSELMKVNVRVPVNTDLTRIEPIGVWISSEPGQGKSFFTHMLSTSLLKSCNLDG -VYTNATGSEFMDGYVGQDIHIIDDAGQNREEKDLALLCQCISSVPFTVPMADLTEKGTFYTSKIVIATTN -KSDFNCMVLTDPAALERRFPFNLRIRAVKSFMNKDRKLDVPRSMGAMADGSCWECSMDYGRTWNTVVMRD -LVKQITEMYKQRDDALTVWKYKLNQIRNEMSPGDSIGRILDPMEETLCSLERRFGQLADSLRENYHRTAD -ELIEVIEDMMAPGNSPFACFESVAPSLKPRTACQKVKDWVKQHMIRWGNFVMRNKGWFTLFSVLSSFLSI -LTLVYLHYKKEKKEEERQERAYNPQTATPKKGGKPKLSLVKTTNFINEAPYMQDLEHCFAQTAYISSPET -QDIIHCAALCEDTILVYGHSQFYFNRYEDLRLHFKGAIFPIEGGKISQVTVNGQPMDLILVKIDKLPITF -KNYTKYYTTEIGKETLLIWNSEKGRLAMPVQCVAPAGPVETMEGTITHKTYSYKVASKKGMCGGLLVTRV -NGTFKVLGMHIAGNGQVARAAAVHFISNGASGFMDQGVVVAKEKMQKPIYLPSKTALNPSPLNGVVPVKM -EPAVLSPHDVRLEVIMPSVVKNAAAKYRVNIFNPDFEIWERVVDELKARFRSKLGIHKHVSLQKAVQGFS -SLSSLDLSTSPGQKYVEKGMKKRDLLSTEPFWMHPQLESDVKDILGAVYSGKKPHTFFAAHLKDELRKKE -KIAQGKTRCIEACSIDYVIAYRVVMSSLYEAIYQTPAQELGLAVGMNPWTDWDPMINVLQPYNYGLDYSS -YDGSLSEQLMRYGVEILAYCHEQPEAVMILHEPVINSQHLVMDEIWHVNGGMPSGAPCTTVLNSICNLLV -CTYLAYEQSLDIEVLPIVYGDDVIFSVSSPLDAEYLVQSAAQNFGMEVTSSDKSGPPKLLKMDEIEFLKR -TTKFFPGSTYKVGALSLDTMEQHIMWMKNLETFPEQLVSFENELVLHGKEIYDDYKNRFNPILNQWRVCM -QDYEVALHRMLRYVFD ->AFV31450.1 polyprotein [pasivirus A2] -MEAVVDTLTKETAQTLLGATAESGGGFGGVLSGSITTNAANIVQHSVAPSAPSRPVFDADDSYEACAYSK -ETSRETPSKMVLLAIVEFNSQWNWGQDDKLQLALPKAFWKNNKFPAFGTSRYFQFFRGSFHIKIQVNAAA -GSAGSFVVVYVPNDHFHSWNNKDLILSTMFNWPHIIFNVATMTEADLYIPYINTHNFANTDSSDCGYIVV -TPFTHLEVAGATTNVLHCCWFGSLVDFQFQGPRPFTDVPQVSQGPKRMPRNKKYKFTRTKIDIAEGPGSM -NLANVLSTTAAQSTALIGERAFIDPSVAGTRMRIRSFREVTRIKALTIVPFSYSGERSIFDWVATHTPAE -GLFEHGYTIRDLGNLGPLSTAYQFWRGSIIFTLTVFASSFHRGRLRIVLFPNGTHSFGQEDANALIFTVC -DIGEQSSFDITLPFTHYNWVRECDNSIVVKLKVFVETRLAHNNSSSNTIKCAIFVRGGEDFEFLAPCSDT -SKFQGWGSDMDDVDPLDEVEPGHPDCLTLESKDGKSGEGPSCAQMAGLTSVENDGTTDEQLNCTAPKFIN -IHKLTKSIFAKDHMRLDYFFGRAWYVMKHNYDNEQRQPFYIDVEAPSTMHGAAMNFFSFWAGEVNFHVVN -NSDDPMAITHSYSHETSTIGPAEGLGLIMVPPREMMSFAAPFYSWSPLRPVSAHHVGSAVKLTFGILKLV -PEAPKGQVIIYASLRTPCFFLTKPFPIQARAVDVAAYPSKLTSELEAEFLKFKLVNGPYEPFFVPCHGDI -PSFQRDFINWLGNKEELQNMILQCGDVEQNPGPVLMYDGNEKFCVPVSKGYLTFYGSPEQFLVSGNGTLI -IYKEVYGMLPYEEACPDDLSSNWHVEWQCINGHVDTSIKKIANWMLLRYIDLVIFGGTWNKLLAPNTDMK -FDSQGVDDLMSSLTGLLNSSAMELLGNDVSKSILCCLVRFVAVLVMFFRNPDFINGVALASYLASEFSSV -RLVSSQTAAFVDALFNGDLYKLSEQFLSMMDVGSDSDYKQLIKETLGSYPPEMNPFESQSLATFNALSLS -ARNCTWWIELFQKLHTFVRDLLKPDTSAKFHEWCRKNKLLISNFMYTLNEHLKECVTTCNLRKREFRDKH -KWLLSVLNRLTEGFTTYGVQNEITRALMDMRKSMLSVKLGQEYQNTCAREEPLGVLLRGEPGQGKSFMTH -LLVRSVCNAMGWKVSESVFSHPTSSEFFDGYAGQPIHLIDDFGQNVEEPEYKVLCQCMSSIPFSVPMASL -EEKGLPYTSKLVIATTNKSDWSSRTLCAPGALKRRFPIVYTVRARRTLTKNGLLDVNAHMSAVRDGAAWE -YTTNGYDWQPFSVNDLAAEIIKQLEQRRESFQLWNSFISQAPETFEAWCDDMVSLLGPAAPVASVFDYCA -STGIVPMLKQASESVLKWFDSCVDSMASFVTRNKKWIAFGALTMSVLGIAISMLKELDSQRPYNQTAHKM -PVTKLTRGRRVLVSQGPYNEFQHFSKYCVFLHSGSVTLHGLAFGGNSFLFYTHGLATLERYGDWVLDYNG -SMFDLDIDTVDELYLNGESMDLCLVTCKPLPITFASIVGHLSDGDLGDGVILWRGQEGLTCMPVYDLHHY -GTVTTNQGDTCTSAIRYRARTTRGMCGGLVLSKIGGTYKAVGLHVAGNGVYGVAASLSACRQLESQGLVT -DVKPWPGVRVHQPSKSALKPSPLYGFVEQELHPAVLSPFDNRLKCEVDSVIEKQALKYNSNVFSPGPEYD -KVLEAFAIDFNGRFGVNPMLSADEVFALSGEEALDLSTSPGLKYTSRGLRKRDLVPGGKACDLLMEDVEH -LLENPKEAPVYFYCHLKDELRSKEKIEQGLTRCIESSDFDYTFCAKQVFCKLFQKIYDSDSIETGFAVGI -NCIANWKSLLDGMFDNIYDFDLKGYDGSIPRQLMIDAARVLSESCEDEELAYDLLHRTVDSQHVVGKQLL -TVSGGMASGSPFTTIVNTTCNILANMTVLEEEDVEYTLVCYGDDILVSTSDPISVDDYVDKMRQYFGFTV -KLDGDYPKTKTNFTFLKRKTTYWNGMPVGAMDVDLLLQHLGYCRSLGVFQDQLNSASIELALHGPETYNE -LRSRVNSYLNGTGFALMSYRRAAAVVGSMLS ->AOT85826.1 polyprotein [Human parechovirus 17] -MVTMESIKDLVNVATGAMDTLSLSGFDTEANNITSGNEVGGEIITKVADDASNLLGPNSFATTAQPENKD -VVQATTTVNTTNLTQHPSAPTIPFTPDFRNVDNFHSMAYDITTGDKNPSKLIRLDTAQWQTSYSRQYQIT -TVELPKSFWNDTRKPAYGQAKYFAAVRCGFHFQVQVNVNQGTAGSALVVYEPKPVIDSRQYLEFGSLTNL -PHVLMNLAETTQADLCIPYVADTNYVKTDSSDLGQLRVYVWTPLSVPTGASNEVDVTVMGSLLQLDFQNP -RPYGENVEIYDNSPSKASTVKFNKRKFLTASTKYKWTRTKVDIAEGPGTMNMANVLSTTGAQSVALVGER -AFYDPRTAGSKSRFDDMIKIAQLFSVMSDNTTPSSSSGIDKYGYFDWAATVAPQNMVHRNVVTLDQFPNL -NLFMNTYSYFRGSLIIRLSIYASTFNRGRLRMGFFPNCTHDTQLELDNAIYTICDIGSDNSFELTIPYSF -STWMRKTHGHQLGLFQVEVLNRLTYNSSSPNKVHCIVQGRLGDDAKFFCPTGSLVSFQNSWGSQMDLTDP -LCIEDNTENCKQSISPNELGLTSAQDDGPLGNEKPNYFLNFRTMNVDIFTVSHTKVDNIFGRAWYVTSHD -FNNGDTWRQKLTFPKEGHGMLSQFFAYFTGELNIHILYMAEQGFLRVAHTYDTEENRKTFLSSNGVITIP -AGEQMTLSVPFYSNKPLRTVRHESALGFLMCRPMMHGTTRTTAEVYISLRCPNFFFPVPAPKPTGTRAIT -FHDESPYAAPVTKKMKLAYLDRGFYKHYGVIVDNYVYQLDSDDIFKTALTGKAKFTKTRLTSNWVVEEEC -ELDYFRIKYLESSVDTEHIFSVDTNCETIAKDIFGTHTLSQHQAIGLVGTILLTAGLMSTIKTPVNATSI -KEFFNHAIEGDEQGLSLLVQKCTTFFSSAATEILDNDLVKFIVKILVRILCYMVLYCHKPNILTTACLST -LLIMDVTSSSVLSPSCKALMQCLMDGDVKKLAEVVAESMSNTDDEEIKEQICDTVKYTKSILSNQGPFKG -FNEVSTAFRHIDWWIHTLLKIKDMVLSVFKPSMESKAIQWLERNKEHVCAILDYASDIIVESKDQTKMKS -QEFYQKYTDCLSKFKPIMAICFRSCHNSISNTVYRLFQELARIPSRISTQNDLIRVEPIGVWIQGEPGQG -KSFLTHTLSRQLQKSCKLNGVYTNPTASEFMDGYDNQDIHLIDDLGQTRKEKDIEMLCNCISSVPFIVPM -AHLEEKGKFYTSKLVIATTNKSDFSSTVLQDSGALKRRFPYIMHIRAAKAYSKAGKLNVSQAMSTMATGE -CWEVSKNGRDWETLKLQDLVKRITEDYEERQKNYNCWKQQLENQTLDDLDDAVSYIKHNFPDAIPYIDEY -LNIEMSTLIEQMEAFIEPRPSVFKCFATRVASQTRKAAKEVVDWFSNKIKSMLSFVERNKAWLTVVSAVT -SAISILLLVTKIFRKEESKDERAYNPTLPATKPKGTFPVSQREFKNEAPYDGQLEHIISQMAYITGSTTG -HLTHCAGYQHDEIILHGHSIKYLEQEEELTLHYKNKVFPIEQPSVTQVTLGGKPMDLAILKCRLPFRFKK -NSKYYTNKIGTESMLIWMTEQGIITKEVQRVHHSGGIKTREGTESTKTISYTVKSCKGMCGGLLISKVEG -NFKILGMHIAGNGEMGVAIPFNFLKNDMTDQGIVTEIVPIQPMYINTKTQIHKSPVYGAVEVKMGPAVLS -KSDPRLEEPVECLIKKSASKYRVNKFQVNNELWQGVKACVKSKFREIFGVNGIVDMKTAILGTSHVNSMD -LSTSAGYSFVKSGYKKKDLICLEPFSVSPMLEKLVQDKFHNLLKGNQITTIFNTCLKDELRKLDKIAAGK -TRCIEACEVDYCIVYRMIMMEIYDKIYQTPCYYSGLAVGINPYKDWHFMINALNDYNYEMDYSQYDGSLS -SMLLWDAVEVLAYCHDSPDLVIQLHKPVIDSDHVVFNERWLIHGGMPSGSPCTTVLNSLCNLMMCIYTTN -LISPGIDCLPIVYGDDVILSLDKEIEPERLQSVMADSFGAEVTGSRKDEPPTLKPRMEVEFLKRKPGFFP -ESTFIVGKLDTENMIQHLMWMKNFSTFKQQLQSYLMELCLHGKDTYLHYIKILDPYLKEWNITVDDYEVV -IAKLMPMVYASNVMLHSFSYGLYD ->ABI23434.3 polyprotein [Duck hepatitis A virus 1] -MDTLTKNIENATVNIIGSCAEKVEEAISGLGAVESVASTNSAIATANATTTQTILDPTEGSTDDFYSCSY -EVGAQGDNISRLVHLVTGQWVPNDDYYACLRWLATPACFFQNNTQPAYGQTRYFRFIRCGFHFRLLVNAP -SGSAGALMLVWMPYPYCRVLSGANQIHTNVERRSLMNLPYAILDLRTNTEIDLVVPYVNYRNYVEITTSD -TTGGAICVIVLGKYRHGNGTSNTVDFTLFGELLETDLQCPRPFDNQGKRKPCRRPIHKPKNPPQEPRVII -QPGPGAANLSNSSVIAMAESTALANEGTAVDYSTAGCASSVDDVIMVLRRWQILASFQWQSTLTPNARIN -RYQLIFRNIPTFSLFFDKFQYWRGSLEVKFMTFGSQFNTGRYQMSWYPIADGEQSLAQCQNSVFVTGDVC -ATPVTLTLPFTSTTWRKSTRDPYGYLMWHVVNRLTVNSSAPSNIDCTVLLRVGKDFQFTAPLYGNLQMAT -NNQGDTNQLGDDEPVCFLNFETANVPIQGESHTLVKHLFGRQWLVRTVQHASTVQELDLQVPDRGHASLI -RFFAYFSGEIILTIVNNGTTPAMVAHSYSMDDLSSEYAVTAMGGVMIPANSAKNISVPFYSVTPLRPTRP -IPGTSEATFGRLFMWTQSGSLSVFMGLKKPALFFPLPAPTSTTLSRGSNGVIPTLDQSGDEVDCHFCKIC -SKMKRMWKPKGHFRFCLRLKTLAFELDLEIESDQIRNKKDLTTEGVEPNPGPILVVGKSGSGKSRLCNVL -AGVELFESKLSPRSVTMVHQVETIDIEGKKVTIVDSPETPNYDGPISAFFYLIEAGRFTAEDKEYIQMMR -KRFPSFEKSTILILNRADELKTDDDIRNWIKTSGELDSLVRACGGRIAKFHRYKINRAKLLEKAATLPEF -VSHLPRLVYKDRKMYRHYGVQCGSTVFHMDSENILESALNGEVTIKQEKWNGNWKPAGDHMQSTASMYLK -SQTMPKFTFSIDENCETWARHLLGDYGETQGQIFKERLMWAVALGFFMTMKITTDQSFPGKDAIHTVLTK -ISNFIFGGLENEVVRVVIRTVIRIVCYLILYIHSPNIVTTGTLVALLALDATSMSMDQGLKTLCMSLVDG -DFGKFCSALLEKIQTVDEADLKKTIPKFNDMLEDQSGKTTSPKSFNDWTTCAKNVQWWLESFVKVVNWLK -EKIFPSKTDPTLQWLQDHEEHIAIMLALCDEHLCMLRTEKDYICEHNTRPKHQCLVEMVSGTLNQLQGIS -SARELAARLQHVLNKLHQVNFEPELEWTHRPEPLGIWISGGPGVGKSFLSNYIVKEIAKLKHWKSYANPT -GSKHMDGYVSQEIHVFDDFGQNREEEDYSLICNLISSVPFITPKASVEAKGTQYRGRLVVVTTNRRDFTS -CKLTDPDALERRFPIRLNIRPLQKYNHKGRLDVATAMRDGSLQGGACWERDIGQLGLECWNPINGQTLID -EIMSELQVRQEVASFMNQSKVRRFSDPETLFSDLEDLKLEFDFDQLEQQAKLFAKPKEGKISKFRAWVRD -CTGKIKGFLERNRAWILGIGTLGTIMSLVTMCVPLARRFAQSIYSQQPVAKTLPKDFKVAVKKHIEKLET -GLEDQSGRVNFRHICNRLVNVSNEDEVATGLAIGGKNVLTFGHSKFTQLDEIRDMQFNAPAKGTPITYDG -EPTDLQLLECDIPHQFKDVSKLIATEDYRGNGWLVWKDQDQYMVQDVTKIRPFGSTTTASGTTSCQTYIY -NCKTGPGSCGGVLVAQIGGNLKILGIHTSGNGTMGAANRVFPVFNQGKVVSKQYAGKILYHQPRKTAYMK -SPVYEDSPYEPAVLSINDQRLEVKIEDMAKKASDKYIGNVFQPPPEAFQLAKTHVAEKLSRVLGSHETIS -YESAVSSDVIPMNWDTSPGIKYKGETKRQLVLKSSFRQDVMEQLQSPSTVFTCYLKDELRKKEKIKEGKT -RGIEACNFDHTVAYRMVMGDIFSNIYDDSFIMSGCAVGINPFCEWDNLLANLQPYNLCLDFSGFDGSLSA -QILEEAVDVLSYFHNDPALVKRIHAPTIYSTHYVTDEIWQVEGGMCSGSPCTTVVNSIVNQLACYTILAV -LGYDINQCYVVSYGDDCVLSVPEVRDISKLSHYFKLFFGMTATASDKESDITWLAPMEIEFLKRTPAFLP -GTRKIIGVLDKEVLEGKIQWCKGPEAFKQQLDSFLLEAALHGEEYYHEVTSKLKARCPVFDIQPWGVAKL -RAYTACMMI diff --git a/seq/clusters_seq/cluster_1070 b/seq/clusters_seq/cluster_1070 deleted file mode 100644 index 729d2ac..0000000 --- a/seq/clusters_seq/cluster_1070 +++ /dev/null @@ -1,32 +0,0 @@ ->NP_777498.1 structural protein E2 [Classical swine fever virus] -RLACKEDYRYAISSTNEIGLLGAEGLTTTWKEYNHDLQLNDGTVKAICVAGSFKVIALNVVSRRYLASLH -KEASLTSVTFELLFDGTNPSTEEMGDDFGFGLCPFDTSPVVKGKYNTTLLNGSAFYLVCPIGWTGVIECT -AVSPTTLRTEVVKTFRRDKPFPHRMDCATTTVENGDLFYCKLGGNWTCVKGEPVVYTGGLVKQCRWCGFD -FNEPDGLPHYPIGKCILVNETGYRIVDSTDCNRDGVVISTDGSHECLIGNTTVKVHASDERLGPMPCRPK -EIVSSAGPVRKTSCTFNYAKTLKNKYYEPRDSYFQQYMLKGEYQYWFDLDVTDRHSDYFAEFVVLVVVAL -LGGRYVLWLIVTYIVLTEQLAAG - ->NP_777524.1 structural glycoprotein E2 [Pestivirus giraffe-1 H138] -AITCEPEYQYALARSKRIGPLGAEDLVTTWHDYKFDLKIQDPLVMVYCKNDQFFVGKRCKAGEARYLAKI -HWRALPTSVVFEKVLEENPPEELPLEDNFEFGLCPCDSRPVVKGNFNTTLINHSAFQLVCPIGWVGTIEC -TLVNTDTLATTVVKRYTRTTPFPMRAGCVVYKLIGEDLHHCTLGGNWTCVPEDDGTYTGGELEKCKWCGF -KFRIPDGLPTYPIGRCMKRGKAGYRFVSEEPCNREGVEISTKGKLKCIIEKTQVKVYAADNTLGPMPCKP -MEIISSEGPVSKTACTFNYTETLENKYFEPRDEYFQQYMLKGKYQYWFDLKATDNRKDYFAEFLVIAVVA -LLGGRYVLWLLVTYFVITEQEASG - ->NP_777537.1 structural protein E2 [Border disease virus] -QFACREDYRYALARTKEIGALGAESLTTTWTDYRGNLELDDGTVRATCSRGFFRFRGHCMIGPRYLASLH -LRALPTSVTFELIPGGSAMTEEEMGDDFEFGLCPCDSRPVVKGKYNTTLLNGSAFQLICPYGWVGRVECT -TVSKSTLATEVVKIYKKTKPFPQRVGCDHTTVYKQDLYHCQMGGNWTCMRGEVVKYVGGPVKKCEWCGYV -FKKREGLPHYPIGRCMLRNETGYRSVDDTPCDRGGVVISKTGELECLIGKTTVKVFSSDKKLGPMPCRPK -EVISSEGPVSKIACTFNYSKTLENKYYEPRDSYFQQYMLKGQYQYWFDLEATDHHSDYFAEFIMLAVVAL -LGGRYVLWLMVVYMILADQMTSA - ->NP_776263.1 structural protein E2 [Bovine viral diarrhea virus 1] -HLDCKPEFSYAIAKDERIGQLGAEGLTTTWKEYSPGMKLEDTMVIAWCEDGKLMYLQRCTRETRYLAILH -TRALPTSVVFKKLFDGRKQEDVVEMNDNFEFGLCPCDAKPIVRGKFNTTLLNGPAFQMVCPIGWTGTVSC -TSFNMDTLATTVVRTYRRSKPFPHRQGCITQKNLGEDLHNCILGGNWTCVPGDQLLYKGGSIESCKWCGY -QFKESEGLPHYPIGKCKLENETGYRLVDSTSCNREGVAIVPQGTLKCKIGKTTVQVIAMDTKLGPMPCRP -YEIISSEGPVEKTACTFNYTKTLKNKYFEPRDSYFQQYMLKGEYQYWFDLEVTDHHRDYFAESILVVVVA -LLGGRYVLWLLVTYMVLSEQKALG - diff --git a/seq/clusters_seq/cluster_1071 b/seq/clusters_seq/cluster_1071 deleted file mode 100644 index 4059d29..0000000 --- a/seq/clusters_seq/cluster_1071 +++ /dev/null @@ -1,20 +0,0 @@ ->NP_620672.1 16 kDa protein [Tobacco rattle virus] -MTCVLKGCVNEVTVLGHETCSIGHANKLRKQVADMVGVTRRCAENNCGWFVCVVINDFTFDVYNCCGRSH -LEKCRKRVETRNREIWKQIRRNQAENMSATAKKSHNSKTSKKKFKEDREFGTPKRFLRDDVPFGIDRLFA -F - ->sp|P33777.1|V16K_TRVPL RecName: Full=16 kDa protein -MTCVLKGCVNEGTVLGHETCSIGHANKLRKQVADMVGVTRRCAENNCGWFVCVIVNDFTFDVYNCCGRSH -LEKCRKRIEARNREIWKQIERIRAERSFATVKKSRNSKPSKKKFKERKEFGTPKRFLRDDVPLGIDQLFA -F - ->sp|P05076.1|V16K_TRVTC RecName: Full=16 kDa protein -MTCVLKGCVNEVTVLGHETCSIGHANKLRKQVADMVGVTRRCAENNCGWFVCVIINDFTFDVYNCCGRSH -LEKCRKRFEARNREIWKQVERIRGEKASATVKKSHKSKPSKKKFKERKDFGTPKRFLRDDVPLGIDQLFV -F - ->sp|P05075.1|V16K_TRVPS RecName: Full=16 kDa protein -MTCVLKGCVNEVTVLGHETCSIGHANKLRKQVADMVGVTRRCAENNCGWFVCIIINDFTFDVYNCCGRSH -LEKCRKRVEARNREIWKQIRRIQAESSSATRKKSHNSKNSKKKFKEDREFGAPKRFLRDDVPLGIDQLFV -F - diff --git a/seq/clusters_seq/cluster_1072 b/seq/clusters_seq/cluster_1072 deleted file mode 100644 index 499e3fd..0000000 --- a/seq/clusters_seq/cluster_1072 +++ /dev/null @@ -1,22 +0,0 @@ ->NP_620671.1 29 kDa protein [Tobacco rattle virus] -MEDKSLVTLKKKTFEVSKFSNLGAIELFVDGRRKRPKYFHRRRETVLNHVGGKKSEHKLDVFDQRDYKMI -KSYAFLKIVGVQLVVTSHLPADTPGFIQIDLLDSRLTEKRKRGKTIQRFKARACDNCSVAQYKVEYSIST -QENVLDVWKVGCISEGVPVCDGTYPFSIEVSLIWVATDSTRRLNVEELNSSDYIEGDFTDQEVFGEFMSL -KQVEMKTIEAKYDGPYRPATTRPKSLLSSEDVKRASNKKNSS - ->NP_620035.1 29K protein [Pepper ringspot virus] -MENDKSLVALKKKTFELSNFSRLGSVELFVDQKRKRPKYFHRRRQVVLNNVAGSLTEHKLGAFKVEDVGR -IKSYAFLRIVAIQLVVSSHLPRDTPGHLQVDILDTRLTDGRKKNKVLQRFMAKACDNTSLIQYKFDYCVS -TSENLADLWHIGTVATGVPVVDGCFPFSVEMSLIWVATDSTTRLNPEELNSTDYLEGDFSDQSQFEEYMS -LNQVKAKAIDVKFKGEYVPKLRQDRNLASLEKIPQSIVKAASIKKK - ->NP_049327.1 putative movement protein [Pea early-browning virus] -MDIIRAADVKTLNTLKEKTFNLTNFSNLNAWQLMVDGARKRPKYFHRRRETVLSNVAGSLTEHKLGIFTI -EDVRNVKSYKFLRIVGIQIKVTSHLPRDTSGFLQIDLIDSRLTDGRKRSKVLQRFVAKACDNTSVVQYKF -DYCVSTRENIADLWKIGTVAVNVPVVDDCYPFSVEVSMIWVATDSTTRLNVEELNQTDYLEGEFSDQEMF -DTFMDLKHAEMKSVDVKFKGNYLPKASVDRNISNMERIPDSIKKSGSIKKKR - ->sp|P05074.1|V29K_TRVTC RecName: Full=29 kDa protein -ACDNCSVAQYKVEYSISTQENVLDVWKVGCISEGVPVCDGTYPFSIEVSLIWVATDSTRRLNVEELNSSD -YIEGDFTDQEVFGEFMSLKQVEMKTIEAKYDGPYRPATTRPKSLVSSEDVKGASKKKNSS - diff --git a/seq/clusters_seq/cluster_1073 b/seq/clusters_seq/cluster_1073 deleted file mode 100644 index 0e9d31e..0000000 --- a/seq/clusters_seq/cluster_1073 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_054371.1 vpx protein [Simian immunodeficiency virus] -MASGRDPREPLPGWLEIWDLDREPWDEWLQDMLRDLNEEARRHFGMNMLIRVWNYCVEEGRRHNTPWNEI -GYKYYRIVQKSMFVHFRCGCRRRGPFSPYEERRNGQGGGAPPPPPGLA - ->sp|P05918.1|VPX_SIVVT RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MASGRDPREARPGEVEIWDLSREPWDKWLRDMLQDLNQEARLHFGRELLFQVWNYCQEEGERHGTPMMER -AYKYYRLVQKALFVHFRCGCRRRQPFEPYEERRDGQGGGRANRVPPGLE - ->sp|P27984.1|VPX_SIVVG RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MASGRDPREARPGELEIWDLSREPWDEWLRDMLEDINQEAKMHFGRELLFQVWNYCQEEGERNRTPMLER -AYKYYKLVQKALFVHFRCGCRRRQPFEPYEERRDGQGGGRAGRVPPGLD - ->sp|P27976.1|VPX_SIVV1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MASGRDPREERPGGLEIWDLSREPWDEWLRDMVEEINNEAKLHFGRELLYQVWNYCQEEGERQGRPIAER -AYKYYRLVQKALFVHFRCGCRRRQPFEPYEERRNGQGGGRPGRVPPGLD - diff --git a/seq/clusters_seq/cluster_1074 b/seq/clusters_seq/cluster_1074 deleted file mode 100644 index 06a566e..0000000 --- a/seq/clusters_seq/cluster_1074 +++ /dev/null @@ -1,24 +0,0 @@ ->NP_041250.1 Q protein [Ovine lentivirus] -MLSSYRNQKKYKQNKIREVGPQLPLWAWKEIAFSINQEPYWYSTIRLQGLMWNKRGHKLIFVKEENGYEY -WETTNKQWRMELRRDLRLIAQINFRNAWQYKSQEKWNIIGIWYDSPGEYRDKEKQFWFHWRIAMCSCKKE -RWDIRDFMVGKHRWDLCKSCIQGEIVRHTEPRSLQRLALLHIVRNHVFQIMPLWRARRVTVQRFPWSGTE -GLYDTLVYTGLLGHGINI - ->NP_040940.1 protein Q [Caprine arthritis encephalitis virus] -MQNSSRHQQKKRNKKPGPELPLALWIHIAESINGDSSWYITMRLQQMMWGKRGNKLQYKNEDREYENWEI -TSWGWKMHLRRVKQWIQDNRRGSPWQYKVGGTWKSIGVWFLQAGDYRKVDRHFWWAWRILICSCRKEKFD -IREFMRGRHRWDLCKSCAQGEVVKHTRTKSLERLVLLQMVEQHVFQVLPLWRARRSSTTDFPWCRDTTGY -THAWSVQECWLMEYLLEDE - ->NP_040841.1 vif protein [Visna-maedi virus] -MLSSYRHQKKYKKNKAREIGPQLPLWAWKETAFSINQEPYWYSTIRLQGLMWNKRGHKLMFVKENQGYEY -WETSGKQWKMEIRRDLDLIAQINFRNAWQYKSQGEWKTIGVWYESPGDYKGKENQFWFHWRIALCSCNKT -RWDIREFMIGKHRWDLCKSCIQGEIVKNTNPRSLQRLALLHLAKDHVFQVMPLWRARRVTVQKFPWCRSP -MGYTIPWSLQECWEMESIFE - ->sp|P23430.1|VIF_VILV1 RecName: Full=Virion infectivity factor; AltName: Full=Q protein -MLSSYRHQKKYKKNKAREIGPQLPLWAWKETAFSINQEPYWYSTIRLQGLMWNKRGHKLMFVKENQGYEY -WETSGKQWKMEVRRDLDLIAQINFRNAWQYKSQGEWKTIGVWYESPGDYKGKENQFWFHWRIALCSCNKT -GWDIREFMIGKHRWDLCKSCIQGEIVKNTNPRSLQRLALLHLAKDHVFQVMPLWRARRVTVQKFSWCRSP -MGYTIPWSLQECWEMESIFE - diff --git a/seq/clusters_seq/cluster_1075 b/seq/clusters_seq/cluster_1075 deleted file mode 100644 index ed0f580..0000000 --- a/seq/clusters_seq/cluster_1075 +++ /dev/null @@ -1,28 +0,0 @@ ->NP_056884.1 Pr48 [Mouse mammary tumor virus] -MPRLQQKWLNSRECPTLRGEAAKGLFPTKDDPSAHKRMSPSDKDILILCCKLGIALLCLGLLGEVAVRAR -RALTLDSFNSSSVQDYNLNDSENSTFLLRQGPQPTSSYKPHQPCPSEIEIRMLAKNYIFTNKTNPIGRLL -VTMLRNESLPFSTIFTQIQRLEMGIENRKRHSTSVEEQVQGLRASGLEVKRGKRSALVKIGDRWWQPGTY -RGPYIYRPTDAPLPYTGRYDLNFDRWVTVNGYKVLYRSLSFRERLARARPPWCMLTQEEKNDMKQQVHDY -IYLGTGMSSIWGKIFHTKERTVAALIEHYSAKTYGMSYYD - ->sp|P03320.1|PR73_MMTVG RecName: Full=Protein PR73 -MPRLQQKWLNSRECPTLRGEAAKGLFPTKDDPSAHKRMSPSDKDILILCCKLGIALLCLGLLGEVAVRAR -RALTLDSFNNNSSVQDYNLNDSENSTFLLGQGPQPTSSYKPHRICPLEIEIRMLAKKYIFTNKTNPIGRL -LVTMLRNESLSFSTIFTQIQKLEMGIENRKRRSKSIEEQVQGLLASGLEVKKGKKSVFVKIGDRWWQPRT -YRGPYIYRPTDAPLPYTGRYDLNWDRWVTINGYKVLYRSLPFRERLARARPPWCMLTEKEKDDMKQQVHD -YIYLGTGMHFWGKVFHTKEGAVAGLIEHYSAKTYGMSYYD - ->sp|P03319.3|PR73_MMTVC RecName: Full=Protein PR73; AltName: Full=Superantigen; Short=Sag -MPRLQQKWLNSRECPTPRGEAAKGLFPTKDDPSAHKRVSPSDKDIFILCCKLGIALLCLGLLGEVAVRAR -RALTLDSFNSSSVQDYNLNNSENSTFLLRQGPQPTSSYKPHRFCPSEIEIRMLAKNYIFTNKTNPIGRLL -VTMLRNESLSFSTIFTQIQKLEMGIENRKRRSTSIEEQVQGLLTTGLEVKKGKKSVFVKIGDRWWQPGTY -RGPYIYRPTDAPLPYTGRYDLNWDRWVTVNGYKVLYRSLPFRERLARARPPWCMLSQEEKDDMKQQVHDY -IYLGTGMHFWGKIFHTKEGTVAGLIEHYSAKTYGMSYYE - ->sp|P03321.1|PR7L_MMTVG RecName: Full=Protein PR73 5'-endogenous -MLLVLPRLQQKWLNSRECPTLRREAAKGLFPTKDDPSACTRMSPSDKDILILCCKLGIALLCLGLLGEVA -VRARRALTLDSFNNSSVQDYNLNNSENSTFLLGQGPQPTSSYKPHRLCPSEIEIRMLAKNYIFTNKTNPI -GRLLIMMLRNESLSFSTIFTQIQRLEMGIENRKRRSTSVEEQVQGLRASGLEVKRGKRSTLVKIGDRWWQ -PGTYRGPYIYRPTDAPLPYTGRYDLNFDRWVTVNGYKVLYRSLPFRERLARARPPWCVLTQEEKDDIKQQ -VHDYIYLGTGMNVWGKIFHYTKEGAVARQLEHISADTFGMSYNG - diff --git a/seq/clusters_seq/cluster_1076 b/seq/clusters_seq/cluster_1076 deleted file mode 100644 index a0e3ece..0000000 --- a/seq/clusters_seq/cluster_1076 +++ /dev/null @@ -1,16 +0,0 @@ ->sp|P08383.2|BM2_INBSI RecName: Full=Matrix protein 2; AltName: Full=BM2 -MLEPFQILSICSFILSALHFMAWTIGHLNQIKRGVNLKIRIRNPNKETINREVSILRHSYQKEIQAKETM -KEVLSDNMEILSDHIVIEGLSAEEIIKMGETVLEVEELH - ->sp|P03493.2|BM2_INBLE RecName: Full=Matrix protein 2; AltName: Full=BM2 -MLEPLQILSICSFILSALHFMAWTIGHLNQIKRGVNLKIQIRNPNKEAINREVSILRHNYQKEIQAKETM -KKILSDNMEVLGDHIVVEGLSTDEIIKMGETVLEVEELQ - ->sp|P0C0X4.1|BM2_INBYA RecName: Full=Matrix protein 2; AltName: Full=BM2 -MLEPFQILSICSFILSALHFMGWTIGHLNQIKRGVNLKIRIRNPNKETINREVSILRHSYQKEIQAKETI -KEVLSDNMERLSDHIVIEGLSAEEIIKMGETVLEVEELH - ->sp|Q80DN6.1|BM2_INBMP RecName: Full=Matrix protein 2; AltName: Full=BM2 -MLEPFQILSICSFILSALHFMAWTIGHLNQIKRGVNMKIRIKGPNKETINREVSILRHSYQKEIQAKETM -KEVLSDNMEVLSDHIVIEGLSAEEIIKMGETVLEIEELH - diff --git a/seq/clusters_seq/cluster_1077 b/seq/clusters_seq/cluster_1077 deleted file mode 100644 index 1c8dc34..0000000 --- a/seq/clusters_seq/cluster_1077 +++ /dev/null @@ -1,34 +0,0 @@ ->sp|P22049.1|VS48_TBRVE RecName: Full=Satellite RNA 48 kDa protein -MQKTMTRHLSRNRKPHEKVSHVPRRGPRTYQDPCDPGWYVVNSRRGVAPQPTPTSGSLGRKPYNPGCPSR -KWTKKIIAAPKHGFYSAKDHGYWVPKVQAQKKYNPPRKVVGGGRSYKDVLSTPAKIQIKPTPESILLAQK -IQNSTFKSRGKVTLSQEKIPLINRFQELLIEKELMEDVEESQPFVANDSRAQHLFCKKVLRKVGRREILV -CPITNEESHVNLKTGIKARIVDSMGSVVHEENIPAYNRGHVVKSMRKRIVHERESKPIPVIGSFSDRAIR -VLCDDHTDELASASSVPSEWKPSKNQRAVPCLLQNESATVVSAKPDWYAPVKVCTHKQYMKVQRVFLDAM -FIMRALRFHIDAKILRETWVKCWLQVHRKNVAFPGWMIAPLLSGTVPCEQEFLLPRVNETRAFATVCYA - ->sp|P22048.1|VS48_TBRVC RecName: Full=Satellite RNA 48 kDa protein -MQKTMTRHLSRNRKPHEKVSHVPRRGPRTYQDPCDPGWCVVNSCRRVAPQPIPTSGSLGRKPLNPGCPSR -KWTEKIIAAPKHGFYSAKDHGYWVPKVKVQKKYNPLQKVVGGGKSYKDVLTTPATIQIKPTPESILLAQK -IQNSTFKSRGKVTLSQEKIPLVNRFQELLIEKELMEEIEESQPFVANDPRAQHLICKKVPRKVGRREILV -CPITNDESHLNLKSGIRAEIVDSMGSVVHAEKIAEYNRGHVVKSMRKRIVYERESKPIPIIGSFSDRAIR -VSCDDHTDELASASSVPSEWKPSKNQRAVPCLLQNESGTVVSTKPDWYTPVKVCTHKQYMKVQRVFLDAM -NIMRALRFHIDSKTLRETWVKCWLQVHKKNVAFPGWMIAPLLSGTVPCEQEFLLPRVNETRAFVTTCYA - ->sp|P22051.1|VS48_BRSV RecName: Full=Satellite RNA 48 kDa protein -MKSYFCVTPSGWQETQTRPRIVPKHSEKCSRTYSRPRSSLSDSEGRCVVLPRDGGGRKRKSNGSQGRCSN -NPGRPSRKWTEKTIAASRQGFFSKRDNGYWVPKSPEKKYVPKVFPRNMDNKKSFKDVLTSPAKIQIKPTP -ESILLAQKIQNSTFKSRGKVTLSQFSLPLINRFQEIQLTTHLEPVEESTPFGVNDQRAQHLFCKKVPRKI -GRNTVLVCPITGTESHIDAKRGISARIVDSMASVVHTENLPAYERGHVVTKNVSRTKRVCTPVPIIGTFS -DRAIRVECDDHTDELASASSVPSIWKPSKKQHAVPISSSNEMGSAVGTKPDWYTPVKTCTHRQYQKVQRV -FLDAMNIMRTLRFHTGPQELRETWVKCWLQVHKKNVAFPGWMITPLLSGTVPCEQEFFLPKAGETRGFAT -VCYA - ->sp|P22050.1|VS48_TBRVL RecName: Full=Satellite RNA 48 kDa protein -MKSYFCVTPTGCLKTHTRPRIVPKHSKKCLRTYSRPRSSLSDSEGWCVVLPRDGGGRKRKADGSQGRPSN -NPGRPSRKWTEKTIAAPPQGFFSRRDNGYWVPKSPEKKYVPKNLPRNMDGKKTYKDALTSPAKIQIKPTP -ESILLAQKIQNSTFKSRGKVTLSQTSLPLVNRFQELQLNTLLEPVEESTPFGVNDKRAQHLFCKKVERKV -GRTTMLVCPITGTESHIDVKRGISARIVDSMASVVHTDKLPEYERGHVVIKNVSRTKRVCTPVPFIGTFS -DRAIRVECDDHTDELASASSVPSIWKPSKKQHAVPISSSNEMGSAVGTKPDWYTPVKTCTHRQYQKVQRV -FLDAMNIMRTLRFHIGPRELRETWVKCWLQVHKKNVAFPGWMITPLLSGTVPCAQEFFLPLAGENRGFVT -VCQA - diff --git a/seq/clusters_seq/cluster_1078 b/seq/clusters_seq/cluster_1078 deleted file mode 100644 index 6c77237..0000000 --- a/seq/clusters_seq/cluster_1078 +++ /dev/null @@ -1,17 +0,0 @@ ->sp|Q02839.2|REV_SIVG1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MSLGKEEKQALKIIKTLYGSNPYPQFSGTARQRRRARQRWRKQQQQIDKIAGRVLNTFEDQQLVAQLQEL -QLENKDLVLQHLPDPPHIHQDSSGIPAVWAPATPRGSNRACSSSGEGCEGSLGQTGCYCPIRLSGSHQQS -KKSAARP - ->sp|P27981.1|REV_SIVVG RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MPLGSEERRLLRLIAFLNKNNPYPPVEGTARQRRRARRRWRQAQEQLRALAERIWHSRVEEQLVQAIDQL -VLDQQHLAIQQLPDPPSSS - ->sp|P27971.1|REV_SIVV1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MPLGPEERRLLRLIAFLYRSNPYPSVEGTARQRRRARRRWKNRQKQIYALAERIWGTRQEDQLVQAIDQL -VLDTQHLVTQQLPDPPSQA - ->sp|P05876.1|REV_SIVVT RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MPLGPEERRFVRLIWLLYSTNPYPPSGEGTARQRRRARRRWRQQQDQIRVLVERLQEQVYAVDRLADEAQ -HLAIQQLPDPPHSA - diff --git a/seq/clusters_seq/cluster_1079 b/seq/clusters_seq/cluster_1079 deleted file mode 100644 index a468127..0000000 --- a/seq/clusters_seq/cluster_1079 +++ /dev/null @@ -1,72 +0,0 @@ ->APG76211.1 hypothetical protein [Beihai noda-like virus 21] -MAMPSQTRAAAEAVGEMERRSISRCVPFTRATPASFVSTSWTYPELLRITHQDALVHVARQDLGEEDGTD -VPNCFSLLKRELWTIVRKCFGDNTWDSLSHVFDPAFYIDHMGVARKSQLRAIKEERSNWADLLIRAHTSY -HNGVKTSEPLGSGDIMNGGSIAIDSNTRFQKAARNYSIPDEQQILSKMADPDKSKSTHPYLAAARYLTVT -SLRALATSVGAAQEDPNLSSNRRDAGAYGKRPVHQLKDLGHSGVPSAPLVGAKTVRTLIDCLSYETSLRE -HSGHDMIIWASYYPELAGQTCESVYYADSDNSFVEIVGKDKATAIYKRQIAWDFTPSDIVYVENEDRSAF -TVYNVIRYPQPNLLKQVVFLCALQTVNLPYAIVDRLVRWTKGHNLASVGIGTPRPCTNVVLVPKDPARPY -TQDILVMSNGTPGRPTASIKYKNATSPHSCTTMSTELYDFLKSVNTQAGRHLTVREVIKRLELYALNKED -ICEPSAAAYCELLRTVAWWGDLPNVVYYGSKAPKSTLSPDEIAEAKAVLAAPQLTLNNPGVTVKTDGAME -TYVEEKMVGRRNTTVPTKQWQKISNLILKHWVDCIQEETGIQPGSIQLVDREQILKARSKRMQRDREVTF -GLGPEGPEIGRAELKNEVAHKAKCPRCITCPAYDVSIESGVLGKTLELVLKKTEWYNPGLTPTQLAETVA -DCYEMSCKHESISDCGGVRAVDYTAADEGHTKHSNRVNRALIERFLCERDLARALEIYDSCFDMPVQVGP -KVESTRDKNASGTGITTIQNTGPFSERELETTVLAMVFRSMMEEGKPRNVVDSLEAGEAPDEVMYKDFIF -HLRHIQENWNMGEFHHDGKKQIIAIAYGWIGPKFGDDGLAPATPFVSDKLWECAMHYVDRMDGFERKLVT -TSAVKGEPVEYLSRIYPCPTSSQSSYCKVEKAIDKISIAINRDRERYFLKLRGYWTTDRNTPIVGAYLEA -IAGMYGITLSEIGNGTAIVSEEQLSGLDPSDELRKVYESDRDLYYKVAGGPYPYDANDSELQYECVALDY -GMTANELYEFDQKLRQQSTWTGIQGMTVPASIDRERPEDPLGEQRTPDPLRTERVAAFNSGDDPRLANFE -SNEGGSETAGVDSARLDAARRAFGNA ->APG76209.1 hypothetical protein 1 [Beihai noda-like virus 19] -MLQTTDPETEPMLSVTAPVPVTLDREDAPLLSRPPTPVGGEAGPIRLCCAQVNEALHYPACPRAGVAGYR -PVALDPDRYEAHTEGCYTSSPSVRDKIGECVTSFAEGLLTHEERRETTNALVVEDRLRVPWLRVIAKLAS -REIQFCCIPISHWAKLYLKHELEKIAKSVHQNATFGGKQVCCLWDPSTQVSGEERAQRLVSAVIRAESQS -HAFDAVPGKRVPKSQKQHDHSLFRQLAEKFQPPGAGTEAVLSNGSNHPVAGASRKVGVTATREALKRAGY -KMFDPSKSNAARDKLAAGRRMPFCAKDLQHPDPDDDFTPGMVYTLVDQDMYINSFEAFAGENIVIITPEY -DKLSGVGTDSVWYYTISARGDPVVTERVASFNGATYKNQRPWNYTENDFIHIEHPGKACFTTYNVHIQYQ -PGSHHKWVWLARNTTVGLSKAVCDMMINISSSDPDELGLESVPLRKAQNVTVVKHPSGEFLLGKFGDPNA -PVYSIKYACDQGPDTSMELSENNFKVFSLMGKNRPKGYGVTEVKRTMQMHMIWRPGGLEPLLVEFFGIPV -EYRPLPCIMYTSQAGSAGEVVEGEGTATQGAPNVAGHGPGVADTKSDAAHDAYYNKRLVENRNTTDPPGP -LKDIVGLLLPRFIELISAETCIELGSVPLVEREEIYKRRTGALQAARLQRNAELLARDPMPKTNLKHEVT -AKASAAPRGITQYTEEMAIQTGRVGLLAKEVLKHCAFYQPGNSPHDIAISIRQLTQLASEAATGGEAGAT -ATVSGVHDTDYSKMDETISEYIYSWFVDFVLAFVHKDDYEEVNSVLKANVNIVTMLNGKPIKTGYKNNSG -SGVTTELNTFVSAFIEYMSTCLAVCKAVWRARHKDDGMGELDFANVTKSTIRSSLTWYIARGPQGDGDRT -SLIPYLWGDFMFEGEPSTVMGSLMQETIKNRGGDPRTQLKEAASSKGDSAERYTSTVFSSVIKMYGLPYS -VVGPKFGDDGVAPHLPGISNEDWEAAAMYLTAAIGMKLKVSFSTPEEGTFFLGRYYPRPLESLASYADVP -KALRKLSIARNLDLEKYVLKLRGYWTTDSRTPGIREYLQVVAKIYDVDLEPFYDVSNVDSEEDAVPGHYV -PSSLLAFHDGDDGTPTLSKEMAHLFTTDRDMFYRVSGGPYRVTDDDIPMMLEAIAPQVGYDNASEFRAWL -DQLSACTTWEELDGFQLPGMDYDPDDDPEGVIRVGGPVVSLFAARCASADNITSLEDLTLARDLAVSELS -RDPVRGKPRKAGGKAVASSSE ->APG76200.1 hypothetical protein 1 [Beihai noda-like virus 20] -MTAHSDGKYQRAAPTDNADGRPSLHAPSSNQLGVHTSGSPTSAPGGVKAGELVSQFLERLLIHDDRRDTQ -ENLFAGDALRVPWLRTIAKLASREIEVLCLPVSAWARGYLQRELLKIKRSSVQGSTWWIKDRSTQVTGEE -RALRIASAIIRSETVSHAFDSTARKKVPKHQKQHDHSIFRQLAEGFTPPAASPEAIASTLSDHPTAGASR -KVGVTAMRECLHNAGFRDFDPGKSGAARDAKAAGRRELHGVKDLQHANSGDTFDPGMVYTFVDQDMYIDN -FAPYAGSNMVIVTPEYNKLAGVGTDSVWYYTLNADQEVVVTERVSRINGATYHNQRPWNYTANDFIYIEH -PGHTAFTTYNVCIQYQAGSHHKWVWLARNTTTNLSKPVCDLMMNVVQGSPFDGVPLKKADNVVIVQGDSK -LKQDTFLCGLFGESASPTYSIKYAYDMGPETSMELTENQYKVFNLMGKNRPKGYGVSEVKRTMQMHTIWR -PGGLEPILVSYFGIPIEYRPQPNIMYTRQDGSLDDDVAEVGTATEGAPNAFGGGPGVADTKSDAAHDAYK -KKRLEKYSNKIEPPAPFKEVLDMLLARFIDQVSGESGIALGSVTLCAPQVIFDRRTQALQAARLQRYAEL -LARPALPKTNLKNEVGPKASAAPRGITQLNEELAIQTGRVGLLIKEVLKHCGFFMPGGSPHDIATAIRNL -TQLAMEASNDDEVHQVSGVHDTDYTKMDETISEYIYKSLFVKFVLAFVHPSDYEEVKKTLEDNVDITTML -NGKLVNTGYKNNSGSGVTTELNTLVAAFVEFVTTCYAITKYTYRLRHGKELDFGAVKKSTIRTALAYYSE -HTTLAHIFWGDFMFTDSTPDIWSIPYAVIGPKFGDDGVGAHLPNISDADWNEAATYITGTIGMILKVSFS -RPENGTFFLGRHYPRPLESLASYADVAKACRKISIARNGDIEKYKLKLHGYWTTDSKTPGIREYLIAVAR -MYDVDLHCYEGIVEVDDEGRPVLSKEMADLLANDKDMFYRVAGGPYCVEDDDVPMMLEAIATQINFESSS -ELESWLESLSKCATWEELDAFQIPGGDYDPDEEPECTVRMSGPAASLLAAGSSQPSEMADCSLDDLAAAA -AVALQEGVVAGFVDEGEDTNASDSASSA ->APG76507.1 hypothetical protein 1 [Hubei noda-like virus 19] -MSPPTCWDVAYYLSNVDSIMVNGPSLIYEAKCPVIPYLLPDGSLDPSTKTQFELSAGGSIVETFAVAPSN -GISIVDNHFGVAKMPYWTSGNKRPLVKAKLPQVKWTPGDEPHFSNVCSGLLVLSLSPVLVVAHGGAHFAR -LTRRLYKHGARDLFTFIIGVIRTVRYDKGGSRSTARDCARDYKPARPVINRSTGHSHPEAAGYRHAEVTN -IRDHLSRYGYPRSKQYDPSVGGSKRDEGVNGHRHVLGTNDLAGPLRYSVEIPSDVFVTLIDQDYYFESLN -QFAGRPIVIYTMLVDGVSGFGHDSDWYFESPQVVCERVTGGKTYRQCVFDYGKDQVAIRHDTFVPRVTIY -DVHAVPDALRKKQIVFLTPAVTLLGKIETIQWLALSLNGIPLGIEELTKLGNVVQHGNFIAGKFVTPRGV -EVCIKRVSDVSGSSSVSIGESQYNALKFVGKDGGGDKTWNTSACQRYINNLIEGKMAYDKIVMLAEYFDA -PIADPFGPNIVILPSQPGVIEDTRHKGEKAMEPIVDQPGGVIAGNDAAVDNYVEERMLPNVNTTVPPGKY -ASYASEFVRQVVRNPGRAAVASLESVIEEQNGPLQKARAKKEKHHVPETNPIVRGSLKSEVVAAPAPARC -ISTVATSHTQRTGMFDRGFKKVIPRTKGENRWVVGFAPKATANVIRNLADEAVRKETLIAETDFSKMDET -ISRWLRVEIFEASIRRAYVNTNWQEELEEVLSADTDRDCRLGKQRWVEGGSKNYSGSGFTTTINTLVNMF -VKYCICRENGLSVATSYEGIGPCYGDDGLMTCPVKVSPGTSGAAEFSALVVRVGSDLGLKLKVELQDPHE -ELFFLGRLYPHVLESLVSMARPSRTLPRVCVCVGPAVLKRADRLRGYWTTEYHVPVVSEYLQACSRVYKI -DLTVAVDRAKDRNLWYRSQEGTVPALPTDREMLNASVCKDLDITPSELQQVVAKLKAVKTLSDLENIKLH -IKSEMKLDESKFYRC diff --git a/seq/clusters_seq/cluster_108 b/seq/clusters_seq/cluster_108 deleted file mode 100644 index ef6518a..0000000 --- a/seq/clusters_seq/cluster_108 +++ /dev/null @@ -1,415 +0,0 @@ ->YP_009118626.1 hemagglutinin [Influenza A virus (A/California/07/2009(H1N1))] -MKAILVVLLYTFATANADTLCIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDKHNGKLCKLRGVAPLHLG -KCNIAGWILGNPECESLSTASSWSYIVETPSSDNGTCYPGDFIDYEELREQLSSVSSFERFEIFPKTSSW -PNHDSNKGVTAACPHAGAKSFYKNLIWLVKKGNSYPKLSKSYINDKGKEVLVLWGIHHPSTSADQQSLYQ -NADAYVFVGSSRYSKKFKPEIAIRPKVRXXEGRMNYYWTLVEPGDKITFEATGNLVVPRYAFAMERNAGS -GIIISDTPVHDCNTTCQTPKGAINTSLPFQNIHPITIGKCPKYVKSTKLRLATGLRNIPSIQSRGLFGAI -AGFIEGGWTGMVDGWYGYHHQNEQGSGYAADLKSTQNAIDEITNKVNSVIEKMNTQFTAVGKEFNHLEKR -IENLNKKVDDGFLDIWTYNAELLVLLENERTLDYHDSNVKNLYEKVRSQLKNNAKEIGNGCFEFYHKCDN -TCMESVKNGTYDYPKYSEEAKLNREEIDGVKLESTRIYQILAIYSTVASSLVLVVSLGAISFWMCSNGSL -QCRICI - ->NP_859037.1 Hemagglutinin [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -METISLITILLVVTASNADKICIGHQSTNSTETVDTLTETNVPVTHAKELLHTEHNGMLCATSLGHPLIL -DTCTIEGLVYGNPSCDLLLGGREWSYIVERSSAVNGTCYPGNVENLEELRTLFSSASSYQRIQIFPDTTW -NVTYTGTSRACSGSFYRSMRWLTQKSGFYPVQDAQYTNNRGKSILFVWGIHHPPTYTEQTNLYIRNDTTT -SVTTEDLNRTFKPVIGPRPLVNGLQGRIDYYWSVLKPGQTLRVRSNGNLIAPWYGHVLSGGSHGRILKTD -LKGGNCVVQCQTEKGGLNSTLPFHNISKYAFGTCPKYVRVNSLKLAVGLRNVPARSSRGLFGAIAGFIEG -GWPGLVAGWYGFQHSNDQGVGMAADRDSTQKAIDKITSKVNNIVDKMNKQYEIIDHEFSEVETRLNMINN -KIDDQIQDVWAYNAELLVLLENQKTLDEHDANVNNLYNKVKRALGSNAMEDGKGCFELYHKCDDQCMETI -RNGTYNRRKYREESRLERQKIEGVKLESEGTYKILTIYSTVASSLVLAMGFAAFLFWAMSNGSCRCNICI - ->NP_040980.1 haemagglutinin [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MKANLLVLLCALAAADADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWLLGNPECDPLLPVRSWSYIVETPNSENGICYPGDFIDYEELREQLSSVSSFERFEIFPKESSW -PNHNTTKGVTAACSHAGKSSFYRNLLWLTEKEGSYPKLKNSYVNKKGKEVLVLWGIHHPSNSKDQQNIYQ -NENAYVSVVTSNYNRRFTPEIAERPKVRDQAGRMNYYWTLLKPGDTIIFEANGNLIAPRYAFALSRGFGS -GIITSNASMHECNTKCQTPLGAINSSLPFQNIHPVTIGECPKYVRSAKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNIQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCDN -ECMESVRNGTYDYPKYSEESKLNREKVDGVKLESMGIYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|Q9WFX3.2|HEMA_I18A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEARLLVLLCAFAATNADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCKLKGIAPLQLG -KCNIAGWLLGNPECDLLLTASSWSYIVETSNSENGTCYPGDFIDYEELREQLSSVSSFEKFEIFPKTSSW -PNHETTKGVTAACSYAGASSFYRNLLWLTKKGSSYPKLSKSYVNNKGKEVLVLWGVHHPPTGTDQQSLYQ -NADAYVSVGSSKYNRRFTPEIAARPKVRDQAGRMNYYWTLLEPGDTITFEATGNLIAPWYAFALNRGSGS -GIITSDAPVHDCNTKCQTPHGAINSSLPFQNIHPVTIGECPKYVRSTKLRMATGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAIDGITNKVNSVIEKMNTQFTAVGKEFNNLERR -IENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVRNLYEKVKSQLKNNAKEIGNGCFEFYHKCDD -ACMESVRNGTYDYPKYSEESKLNREEIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|P03452.2|HEMA_I34A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKANLLVLLCALAAADADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWLLGNPECDPLLPVRSWSYIVETPNSENGICYPGDFIDYEELREQLSSVSSFERFEIFPKESSW -PNHNTNGVTAACSHEGKSSFYRNLLWLTEKEGSYPKLKNSYVNKKGKEVLVLWGIHHPPNSKEQQNLYQN -ENAYVSVVTSNYNRRFTPEIAERPKVRDQAGRMNYYWTLLKPGDTIIFEANGNLIAPMYAFALSRGFGSG -IITSNASMHECNTKCQTPLGAINSSLPYQNIHPVTIGECPKYVRSAKLRMVTGLRNIPSIQSRGLFGAIA -GFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNTVIEKMNIQFTAVGKEFNKLEKRM -ENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCDNE -CMESVRNGTYDYPKYSEESKLNREKVDGVKLESMGIYQILAIYSTVASSLVLLVSLGAISFWMCSNGSLQ -CRICI - ->sp|P03454.1|HEMA_I33A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLVLLYAFVATDADTICIGYHANNSTDTVDTIFEKNVAVTHSVNLLEDRHNGKLCKLKGIAPLQLG -KCNITGWLLGNPECDSLLPARSWSYIVETPNSENGACYPGDFIDYEELREQLSSVSSLERFEIFPKESSW -PNHTFNGVTVSCSHRGKSSFYRNLLWLTKKGDSYPKLTNSYVNNKGKEVLVLWGVHHPSSSDEQQSLYSN -GNAYVSVASSNYNRRFTPEIAARPKVKDQHGRMNYYWTLLEPGDTIIFEATGNLIAPWYAFALSRGFESG -IITSNASMHECNTKCQTPQGSINSNLPFQNIHPVTIGECPKYVRSTKLRMVTGLRNIPSIQYRGLFGAIA -GFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNNLEKRM -ENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDLNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCDNE -CMESVRNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSLQ -CRICI - ->sp|Q0A3Y1.1|HEMA_I80AD RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MDIRAIVISLLISTCVQADRICVGYLSTNSTEKVDTLLESDVPVTSSIDLVETNHTGTYCSLDGISPVHL -GDCSFEGWIVGNPACTSNFGIREWSYLIEDPSAPHGLCYPGELDNNGELRHLFSGIRSFSRTELIAPSSW -GEVNDGATSACRDNTGTSSFYRNLIWFVKKDNRYPVISRTYNNTTGRDVLVMWGIHHPISTDETKLLYVN -SDPYTLVTTSSWSKKYKLETGVRPGYNGQRSWMKIYWVLMHPGESITFESNGGLLAPRYGYIIEEYGKGR -IFQSPIRIARCNTRCQTSVGGINTNKTFQNIERNALGNCPKYIKSGQLKLATGLRNVPATSNRGLFGAIA -GFIEGGWPGLINGWYGFQHQNEQGVGIAADKESTQKAIDQITTKINNIIDKMNGNYDSIRGEFSQVEQRI -NMLADRIDDAVTDVWSYNAKLLVLLENDKTLDMHDANVRNLHEQVRKTLKANAIDEGNGCFELLHKCNDS -CMETIRNGTYNHSEYAEESKLKRQEIEGIKLESEDNVYKALSIYSCIASSIVLVGLILAFIMWTCNSGNC -RFNVCI - ->sp|A4GCH5.1|HEMA_I83A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLVLLCALSATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDNHNGKLCKLKGIAPLQLG -KCSIAGWILGNPECESLFSKKSWSYIAETPNSENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PKHNVTKGVTAACSHKGKSSFYRNLLWLTEKNGSYPNLSKSYVNNKEKEVLVLWGVHHPSNIEDQKTIYR -KENAYVSVVSSHYNRRFTPEIAKRPKVRNQEGRINYYWTLLEPGDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASMDECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSIIEKMNTQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNN -ECMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|Q0HD60.1|HEMA_I40A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLILLCALSATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWILGNPECESLLSKRSWSYIAETPNSENGTCYPGDFADYEELREQLSSVSSFERFEIFPKERSW -PNHNINIGVTAACSHAGKSSFYKNLLWLTEKDGSYPNLNKSYVNKKEKEVLVLWGVHHPSNIENQKTLYR -KENAYVSVVSSNYNRRFTPEIAERPKVRGQAGRMNYYWTLLEPGDTIIFEANGNLIAPWYAFALSRGLGS -GIITSNASMDECDTKCQTPQGAINSSLPFQNIHPFTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWAGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKNQLRNNAKEIGNGCFEFYHKCNN -ECMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|Q9WCD9.1|HEMA_I30A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAILLVLLCAFAATNADTLCIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLGGIAPLQLG -KCNIAGXXLGNPECDLLLTVSSWSYIVETSNSDNGTCYPGDFIDYEELREQLSSVSSFEKFEIFPKTSSW -PNHETTRGVTAACPYAGASSFYRNLLWLVKKENSYPKLSKSYVNNKGKEVLVLWGVHHPPTSTDQQSLYQ -NADAYVSVGSSKYDRRFTPEIAARPKVRGQAGRMNYYWTLLEPGDTITFEATGNLVAPRYAFALNRGSES -GIITSDAPVHDCDTKCQTPHGAINSSLPFQNIHPVTIGECPKYVKSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGLIDGWYGYHHQNGQGSGYAADQKSTQNAIDGITNKVNSVIEKMNTQFTVVGKEFNNLERR -IKNLNKKVDDGFLDVWTYNAEMLVLLENERTLDFHDSNVKNLYEKARSQLRNNAKEIGNGCFEFYHKCDD -ACMESVRNGTYDYPKYSEESKLNREEIDGVKLESMMVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|P26562.2|HEMA_I76A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEAKLFVLFCTFTVLKADTICVGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCSLNGIAPLQLG -KCNVAGWLLGNPECDLLLTANSWSYIIETSNSENGTCYPGEFIDYEELREQLSSISSFEKFEIFPKASSW -PNHETTKGVTAACSYSGASSFYRNLLWITKKGTSYPKLSKSYTNNKGKEVLVLWGVHHPPSVSEQQSLYQ -NADAYVSVGSSKYNRRFAPEIAARPEVRGQAGRMNYYWTLLDQGDTITFEATGNLIAPWYAFALNKGSDS -GIITSDAPVHNCDTRCQTPHGALNSSLPFQNVHPITIGECPKYVKSTKLRMATGLRNVPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAIDGITSKVNSVIEKMNTQFTAVGKEFNNLERR -IENLNKKVDDGFLDVWTYNAELLVLLENERTLDFHDSNVRNLYEKVKSQLRNNAKEIGNGCFEFYHKCDD -ECMESVKNGTYDYPKYSEESKLNREEIDGVKLESMGVYQILAIYSTVASSLVLLVSWGAISFWMCSNGSL -QCRICI - ->sp|P18875.1|HEMA_I79A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLVLLCALSATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCSIAGWILGNPECESLFSKKSWSYIAETPNSENGTCYPGYFADYEELREQLSSVSSFERFEIFPKERSW -PKHNVTRGVTASCSHKGKSSFYRNLLWLTEKNGSYPNLSKSYVNNKEKEVLVLWGVHHPSNIEDQKTIYR -KENAYVSVVSSNYNRRFTPEIAKRPKVRGQEGRINYYWTLLEPGDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASMDECDTKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNN -ECMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLCLLVSLGAISFWMCSNGSL -QCRICI - ->sp|P03448.2|HEMA_I72A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MIAIIVVAILATAGRSDKICIGYHANNSTTQIDTILEKNVTVTHSVELLENQKEERFCKILKKAPLDLKG -CTIEGWILGNPQCDLLLGDQSWSYIVERPTAQNGICYPGVLNEVEELKALIGSGERVERFEMFPKSTWTG -VDTSSGVTRACPYNSGSSFYRNLLWIIKTKSAAYSVIKGAYNNTGNQPILYFWGVHHPPDTNEQNTLYGS -GDRYVRMGTESMNFAKSPEIAARPAVNGQRGRIDYYWSILKPGETLNVESNGNLIAPWYAFRFVSTSNKG -AVFKSNLPIENCDATCQTVAGVLRTNKTFQNVSPLWIGECPKYVKSESLRLATGLRNVPQIETRGLFGAI -AGFIEGGWTGMIDGWYGYHHENSQGSGYAADRESTQKAVDGITNKVNSIIDKMNTQFEAVDHEFSNLERR -IDNLNKRMEDGFLDVWTYNAELLVLLENERTLDLHDANVKNLYERVKSQLRDNAMILGNGCFEFWHKCDD -ECMESVKNGTYDYPKYQDESKLNRQEIESVKLESLGVYQILAIYSTVSSSLVLVGLIIAVGLWMCSNGSM -QCRICI - ->sp|P03457.2|HEMA_I66A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -METKAIIAALLMVTAANADKICIGYQSTNSTETVDTLTESNVPVTHTKELLHTEHNGMLCATDLGHPLIL -DTCTIEGLIYGNPSCDILLGGKEWSYIVERSSAVNGMCYPGNVENLEELRSLFSSAKSYKRIQIFPDKTW -NVTYSGTSRACSNSFYRSMRWLTHKSNSYPFQNAHYTNNERENILFMWGIHHPPTDTEQTDLYKNADTTT -SVTTEDINRTFKPVIGPRPLVNGQQGRIDYYWSVLKPGQTLRIRSNGNLIAPWYGHVLTGESHGRILKTD -LNNGNCVVQCQTEKGGLNTTLPFHNISKYAFGNCPKYVGVKSLKLPVGLRNVPAVSSRGLFGAIAGFIEG -GWPGLVAGWYGFQHSNDQGVGMAADKGSTQKAIDKITSKVNNIIDKMNKQYEVIDHEFNELEARLNMINN -KIDDQIQDIWAYNAELLVLLENQKTLDEHDANVNNLYNKVKRALGSNAVEDGNGCFELYHKCDDQCMETI -RNGTYDRQKYQEESRLERQKIEGVKLESEGTYKILTIYSTVASSLVLAMGFAAFLFWAMSNGSCRCNICI - ->sp|A3DRP0.1|HEMA_I96A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLVLLCAFTATYADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGTAPLQLG -NCSVAGWILGNPECESLFSKESWSYIAETPNPENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTKGVTASCSHNGKSSFYKNLLWLTEKNGLYPNLSKSYVNNKEKEVLVLWGVHHPSNIGDQRAIYH -TENAYVSVVSSHYSRRFTPEIAKRPKVRDQEGRINYYWTLLEPGDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASMGECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADKKSTQNAIDGITNKVNSVIEKMNTQFTAVGKEFNKLERR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKNQLKNNAKEIGNGCFEFYHKCNN -ECMESVKNGTYDYPKYSEESKLKREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|A8C8W3.1|HEMA_I67A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAILLVLLCTFAATNADTLCIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDRHNGKLCKLGGIAPLHLG -KCNIAGWILGNPECELLFTVSSWSYIVETSNSDNGTCYPGDFINYEELREQLSSVSSFERFEIFPKTSSW -PNHETNKGVTASCPYAGANSFYRNLIWLVKKGSSYPKLSESYVNNKGKEVLVLWGIHHPPTSTDQQSLYQ -NADAYVFVGSSKYNRKFKPEIAARPKVRGQAGRMNYYWTLIEPGDTITFEATGNLVVPRYAFAMNRGSGS -GIIISDAPVHDCNTKCQTPKGAINTSLPFQNIHPVTIGECPKYVKSTKLRMATGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNGQGSGYAADQKSTQNAIDGITNKVNSVIEKMNMQFTAVGKEFNNLEKR -IENLNKKVDDGFLDVWTYNAELLVLLENERTLDFHDSNVKNLYEKVRSQLRNNAKEIGNGCFEFYHKCDD -TCMESVKNGTYDYPKYSEESKLNREEIDGVKLESTRVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|A4K143.1|HEMA_I54A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKARLLILLCALSATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWILGNPECESLLSNRSWSYIAETPNSENGICYPGDFADYEELREQLSSVSSFERFEIFPKESSW -PKHNITRGVTVACSHAKKSSFYKNLLWLTEANGLYPSLSKSYVNDREKEVLVLWGVHHPSNIEDQRTLYR -KENAYVSVVSSNYNRRFTPEIAERPKVRGQPGRMNYYWTLLEPGDKIIFEANGNLIAPWYAFALSRGPGS -GIITSNASMDECDTKCQTPQGAINSSLPFQNIHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMVDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKNQLRNNAKEIGNGCFEFYHKCDN -ECMESVKNGTYDYPKYSEESKLNRAKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|A4U7A6.1|HEMA_I51A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKARLLILLCALSATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWILGNPECESLLSNRSWSYIAETPNSENGTCYPGDFADYEELREQLSSVSSFERFEIFPKERSW -PKHNITRGVTAACSHAKKSSFYKNLLWLTEANGSYPNLSKSYVNNKEKEVLVLWGVHHPSNIEDQRTLYR -KENAYVSVVSSNYNRRFTPEIAERPKVRGQAGRMNYYWTLLEPGDKIIFEANGNLIAPWYAFALSRGLGS -GIITSNASMDECDTKCQTPQGAINSSLPFQNIHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMVDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKNQLRNNAKEIGNGCFEFYHKCDN -ECMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|A4U6V2.1|HEMA_I45A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKARLLVLLCALAATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLR -KCNIAGWILGNPECESLLSERSWSYIVETPNSENGTCYPGDFTNYEELREQLSSVSSFERFEIFPKESSW -PKHNTTRGVTAACSHAGKSSFYRNLLWLTEKDGSYPNLNNSYVNKKGKEVLVLWGVHHPSNIKDQQTLYQ -KENAYVSVVSSNYNRRFTPEIAERPKVRGQAGRMNYYWTLLKPGDTIMFEANGNLIAPWYAFALSRGFGS -GIITSNASMHECDTKCQTPQGAINSSLPFQNIHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNNLEKR -MENLNKKVDDGFLDIWTYNAELLILLENERTLDFHDSNVKNLYEKVKSQLRNNAKEIGNGCFEFYHKCNN -ECMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|A4GCK8.1|HEMA_I43A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKARLLVLLCALAATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWILGNPECESLLSERSWSYIVETPNSENGTCYPGDFIDYEELREQLSSVSSFERFEIFSKESSW -PKHTTGGVTAACSHAGKSSFYRNLLWLTEKDGSYPNLNNSYVNKKGKEVLVLWGVHHPSNIKDQQTLYQK -ENAYVSVVSSNYNRRFTPEIAERPKVRGQAGRINYYWTLLKPGDTIMFEANGNLIAPWYAFALSRGFGSG -IITSNASMHECDTKCQTPQGAINSSLPFQNIHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAIA -GFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNNLEKRM -ENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKNQLRNNAKEIGNGCFEFYHKCNNE -CMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTAASSLVLLVSLGAISFWMCSNGSLQ -CRICI - ->sp|A4GCI6.1|HEMA_I36A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKARLLVLLCALAATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWLLGNPECDPLLPARSWSYIVETPNSENGICYPGAFIDYEELREQLSSVSSFERFEIFPKESSW -PNHNTNIGVTAACSHAGKSSFYRNLLWLTKKGGSYPKLKNSYVNKKGKEVLVLWGIHHPSNSKDQQTLYQ -NENAYVSVVSSNYNRRFTPEIAERPEVRDQAGRMNYYWTLLEPGDTIMFEANGNLVAPWYAFALSRGFGS -GIITSNASMHECNTKCQTPQGAINSSLPFQNIHPVTIGECPKYVRSAKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNNLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCDN -ECMESVRNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|A4GCL9.1|HEMA_I35A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKARLLVLLCALAAADADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIPPLQLG -KCNIAGWLLGNPECDSLLPARSWSYIVETPNSENGACYPGDFINYEELREQLSSVSSFERFEIFPKESSW -PKHNTTKGVTAACSHAGKSSFYRNLLWLTKKEDSYPKLKNSYVNKKGKEVLVLWGVHHPSSSKEQQTLYQ -NENAYVSVVSSNYNRRFTPEIAERPKVRDQTGRMNYYWTLLEPGDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASMHECNTKCQTPQGAINSSLPFQNIHPVTIGECPKYVRSAKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNNLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCDN -ECMESVRNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|A8C8J4.1|HEMA_I07A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKVKLLVLLCTFTATYADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCLLKGIAPLQLG -NCSVAGWILGNPECELLISKESWSYIVETPNPENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTGVSASCSHNGESSFYRNLLWLTGKNGLYPNLSKSYANNKEKEVLVLWGVHHPPNIGDQRALYHT -ENAYVSVVSSHYSRRFTPEIAKRPKVRDQEGRINYYWTLLEPGDTIIFEANGNLIAPRFAFALSRGFGSG -IITSNAPMDKCDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAIA -GFIEGGWTGMVDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLERRM -ENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNDE -CMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSLQ -CRICI - ->sp|B4URD6.1|HEMA_I06A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKVKLLVLLCTFTATYADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCLLKGIAPLQLG -NCSVAGWILGNPECELLISKESWSYIVEKPNPENGTCYPGHFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTGVSASCSHNGKSSFYKNLLWLTGKNGLYPNLSKSYANNKEKEVLVLWGVHHPPNIGNQRALYHT -ENAYVSVVSSHYSRKFTPEIAKRPKVRDQEGRINYYWTLLEPGDTIIFEANGNLIAPRYAFALSRGFGSG -IINSNAPMDECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSAKLRMVTGLRNIPSIQSRGLFGAIA -GFIEGGWTGMVDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLERRM -ENLNKKVDDGFIDVWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNDE -CMESVKNGTYDYPKYSEESKLSREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSLQ -CRICI - ->sp|P03453.2|HEMA_I77AB RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLVLLCALSATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCNIAGWILGNPECESLFSKKSWSYIAETPNSENGTCYPGYFADYEELREQLSSVSSFERFEIFPKERSW -PKHNVTRGVTASCSHKGKSSFYRNLLWLTEKNGSYPNLSKSYVNNKEKEVLVLWGVHHPSNIEDQKTIYR -KENAYVSVVSSNYNRRFTPEIAERPKVRGQAGRINYYWTLLEPGDTIIFEANGNLIAPWHAFALNRGFGS -GIITSNASMDECDTKCQTPQGAINSSLPFQNIHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNN -ECMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|Q289M7.1|HEMA_I00A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKVKLLVLLCTFTATYADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCLLKGIAPLQLG -NCSVAGWILGNPECELLISKESWSYIVETPNPENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTGVSASCSHNGKSSFYRNLLWLTGKNGLYPNLSKSYANNKEKEVLVLWGVHHPPNIGDQRALYHT -ENAYVSVVSSHYSRRFTPEIAKRPKVRNQEGRINYYWTLLEPGDTIIFEANGNLIAPRYAFALSRGFGSG -IITSNAPMDECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSAKLRMVTGLRNIPSIQSRGLFGAIA -GFIEGGWTGMVDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLERRM -ENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNNE -CMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSLQ -CRICI - ->sp|Q07FI5.1|HEMA_I96A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLVLLCTFTATYADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCLLKGIAPLQLG -NCSVAGWILGNPECESLISKESWSYIVETPNPENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTGVSASCSHNGKSSFYRNLLWLTEKNGLYPNLSKSYVNNKEKEVLVLWGVHHPSNIGVQRAIYHT -ENAYVSVVSSHYSRRFTPEIAKRPKVRDQEGRINYYWTLLEPGDTIIFEANGNLIAPWYAFALSRGFESG -IITSNAPMNECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSAKLRMVTGLRNIPSIQSRGLFGAIA -GFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLERRM -ENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNNE -CMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSLQ -CRICI - ->sp|Q9WCE8.1|HEMA_I85A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEAKLFVLFCAFTTLEADTICVGYHANNSTDTVDTILEKNVTVTHSVNLLENSHNGKLCSLNGVAPLQLG -KCNVAGWILGNPECDLLLTANSWSYIIETSDSENGTCYPGEFIDYEELREQLSSVSSFERFEIFPKANSW -PNHETTKGITAACSYSGTLSFYRNLLWIVKRGNSYPKLSKSYTNNKGKEVLIIWGVHHPPTTSDQQSLYQ -NADAYVSVGSSKYNRRFTPEIAARPKVKGQAGRMNYYWTLLDQGDTITFEATGNLIAPWYAFALNKGSGS -GIITSDTPVHNCDTKCQTPHGALNSSLPFQNVHPITIGECPKYVKSTKLRMATGLRNVPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQIAIDGISNKVNSVIEKMNTQFTAVGKEFNDLEKR -IENLNKKVDDGFLDVWTYNAELLVLLENERTLDFHDFNVRNLYEKVKSQLRNNAKEIGNGCFEFYHKCDD -ECMESVKNGTYNYPKYSEESKLNREEIDGVKLESMEVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|Q9WCE3.1|HEMA_I80A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEAKLLVLFCTFAALKADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLENSHNGKLCSLNGIAPLQLG -KCNVAGWLLGNLECDLLLTANSWSYIIETSNSENGTCYPGEFIDYEELREQLSSVSSFEKFEIFPKASSW -PNHETTKGVTAACSYLGASSFYRNLLWMTKKGTSYPKLSKSYTNNKGKEVLVLWGVHHPPTTSEQQTLYQ -NVDAYVSVGSSKYNRRFTPEIAARPKVRGQAGKMNYYWTLLDQGDTITFEATGNLIAPWYAFALNKGSDS -GIITSDAPVHNCDTKCQTPYGALNSSLPFQNVHPITIGECPKYVKSTKLRMATGLRNVPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQSAIDGITNKVNSVIEKMNTQFTAVGKEFNNLERR -IENLNKKVDDGFLDVWTYNAELLVLLENERTLDFHDSNVRNLYEKVKSQLRNNAKEIGNGCFEFYHKCDD -ECMESVKNGTYDYPKYSEESKLNREEIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAVSFWMCSNGSL -QCRICI - ->sp|Q9WCE1.1|HEMA_I81A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEAKLFVLFCTFTVLKADTICVGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCSLNGIAPLQLG -KCNVAGWLLGNPECDLLLTANSWSYIIETSNSENGTCYPGEFIDYEELREQLSSVSSFEKFEIFPKASSW -PNHETTKGVTAACSYSGASSFYRNLLWITKKGTSYPKLSKSYTNNKGKEVLVLWGVHHPPTTSEQQSLYQ -NADAYVSVGSSKYNRRFTPEIAARPKVRGQAGRMNYYWTLLDQGDTITFEATGNLIAPWYAFALNKGSDS -GIITSDAPVHNCDTRCQTPHGALNSSLPFQNVHPITIGECPKYVKSTKLRMATGLRNVPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAIDGITNKVNSVIEKMNTQFTAVGKEFNNLERR -IEKLNKKVDDGFLDVWTYNAELLVLLENERTLDFHDSNVRNLYEKVKSQLRNNAKELGNGCFEFYHKCDD -ECMESVKNGTYDYPKYSEESKLNREEIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|Q9WCD8.1|HEMA_I61A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAILLVLLCAFAATNADTLCIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDRHNGKLCKLGGIAPLHLG -KCNIAGWLLGNPECELLLTVSSWSYIVETSNSDNGTCYPGDFINYEELREQLSSVSSFERFEIFPKTSSW -PNHETNRGVTAACPYAGANSFYRNLIWLVKKESSYPKLSKSYVNNKGKEVLVLWGIHHPPTSTDQQSLYQ -NADAYVFVGSSKYNRKFKPEIAARPKVRGQAGRMNYYWTLIEPGDTITFEATGNLVVPRYAFAMNRGSGS -GIIISDAPVHDCNTKCQTPKGAINTSLPFQNIHPVTIGECPKYVKSTKLRMATGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNGQGSGYAADQKSTQNAIDGITNKVNSVIEKMNMQFTAVGKEFNNLEKR -IENLNKKVDDGFLDVWTYNAELLVLLENERTLDFHDSNVKNLYEKVRSQLRNNAKEIGNGCFEFYHKCDD -TCMESVKNGTYDYPKYSEESKLNREEIDGVKLESTRVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|P03455.1|HEMA_I76AI RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAILLVLLCTFAATNADTLCIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDRHNGKLCKLGGIAPLHLG -KCNIAGRLLGNPECELLLTVSSWSYIVETSKSDNGTCYPGDFINYEELREQLSSVSSFERFEIFPKTSSW -PNHETNRGVTAACPYAGANSFYRNLIWLVKKENSYPKLSKSYVNNKGKEVLVLWGIHHPPTSTDQQSLYQ -NADAYVFVGSSKYNRKFKPEIAARPKVRGQAGRMSYYWTLIEPGDTITFEATGNLVVPRYAFAMNRGSGS -GIIIWDAPVHDCNTKCQTPKGAINTSLPFQNIHPVTIGECPKYVKSTKLRMATGLRNVPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQRSTQNAIDGITNKVNSVIEKMNTQFTAVGKEFNHLEKR -IENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVRSQLRNNAKEIGNGCFEFYHKCDD -TCMESVKNGTYDYPKYSEESKLNREEIDGVKLESTRIYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|P26140.1|HEMA_I88A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAILLVLLYTFTAANADTLCIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDRHNGKLCKLRGVAPLHLG -KCNIAGWLLGNPECELLFTASSWSYIVETSNSDNGTCYPGDFINYEELREQLSSVSSFERFEIFPKASSW -PNHETNRGVTAACPYAGANSFYRNLIWLVKKGNSYPKLSKSYVNNKEKEVLVLWGIHHPPTSTDQQSLYQ -NADAYVFVGSSKYNKKFKPEIATRPKVRGQAGRMNYYWTLVEPGDTITFEATGNLVVPRYAFAMKRGSGS -GIIISDTPVHDCNTTCQTPKGAINTSLPFQNIHPVTIGECPKYVKSTKLRMATGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADRKSTQNAIDGITNKVNSVIEKMNTQFTAVGKEFNHLEKR -IENLNKKVDDGFLDVWTYNAELLVLLENERTLDYHDSNVKNLYEKVRSQLKNNAKEIGNGCFEFYHKCDD -TCMESVKNGTYDYPNYSEESKLNREEIDGVKLESTRIYQILAIYSTVASSLVLSVSLGAISFWMCSNGSL -QCRICI - ->sp|P03456.2|HEMA_I68A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKFIAIATLASTNAYDRICIGYQSNNSTDTVNTLIEQNVPVTQTMELVETEKHPAYCNTDLGAPLELRD -CKIEAVIYGNPKCDIHLKDQGWSYIVERPSAPEGMCYPGSVENLEELRFVFSSAASYKRIRLFDYSRWNV -TRSGTSKACNASTGGQSFYRSINWLTKKEPDTYDFNEGAYVNNEDGDIIFLWGIHHPPDTKEQTTLYKNA -NTLSSVTTNTINRSFQPNIGPRPLVRGQQGRMDYYWGILKRGETLKIRTNGNLIAPEFGYLLKGESYGRI -IQNEDIPIGNCNTKCQTYAGAINSSKPFQNASRHYMGECPKYVKKASLRLAVGLRNTPSVEPRGLFGAIA -GFIEGGWSGMIDGWYGFHHSNSEGTGMAADQKSTQEAIDKITNKVNNIVDKMNREFEVVNHEFSEVEKRI -NMINDKIDDQIEDLWAYNAELLVLLENQKTLDEHDSNVKNLFDEVKRRLSANAIDAGNGCFDILHKCDNE -CMETIKNGTYDHKEYEEEAKLERSKINGVKLEENTTYKILSIYSTVAASLCLAILIAGGLILGMQNGSCR -CMFCI - ->sp|A4GCJ7.1|HEMA_I80AA RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAKLLVLLCALSATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -KCSIAGWILGNPECESLVSKKSWSYIAETPNSENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PKHNVTRGVTASCSHKGKSSFYRNLLWLTEKNGSYPNLSKSYVNNKEKEVLVLWGVHHPSNIENQKTIYR -KENAYVSVVSSHYNRRFTPEIAKRPKVRDQEGRINYYWTLLEPGDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASIDECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSRGLFGAI -AGFIEGGWTGMIDGWYGYHHQNEQGSGYAADQKSTQNAINGITNKVNSVIEKMNTQFTAVGKEFNKLEKR -MENLNKKVDDGFLDIWTYNAELLVLLENERTLDFHDSNVKNLYEKVKSQLKNNAKEIGNGCFEFYHKCNN -ECMESVKNGTYDYPKYSEESKLNREKIDGVKLESMGVYQILAIYSTVASSLVLLVSLGAISFWMCSNGSL -QCRICI - ->sp|P28731.1|HEMA_I89A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAKLLVLFCAFTATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -NCSIAGWILGNPECESLFSQKSWSYIAETPNSENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTKGVTASCSHKGKSSFYRNLLWLTEKNGLYPNLSKSYVNNKEKEVLVLWGVHHPSNIGDQRAIYR -TENAYVSVVSSHYNRRFIPEIAKRPKVRGQEGRINYYWTLLEPRDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASMDECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSR - ->sp|P12590.2|HEMA_I86A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAKLLVLLCAFTATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -NCSIAGWILGNPECESLFSKKSWSYIAETPNSENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTKGVTAACSHKGKSSFYRNLLWLTEKNGSYPNLSKSYVNNKEKEVLVLWGVHHPSNIGDQRAIYH -TENAYVSVVSSHYNRRFTPEIAKRPKVRGQEGRINYYWTLLEPEDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASMDECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMVTGLRNIPSIQSR - ->sp|P13102.1|HEMA_I84A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MDIRPIIISLLISTCVQADRICVGYLSTNSTEKVDTLLENDVPVTSSIDLVETNHTGTYCSLDGISPVHL -GDCSFEGWIVGNPACTSNFGIREWSYLIEDPSAPHGLCYPGELDNNGELRHLFSGIRSFSRTELIAPTSW -GEVNDGATSACRDNTGTNSFYRNLVWFVKKGNSYPVISRTYNNTTGRDVLVMWGLHHPVSTDETKSLYVN -SDPYTLVSTSSWSKKYKLETGVRPGYNGQRSWMKIYWVLMHPGESITFESNGGLLAPRYGYIIEEYGKGR -IFQSPIRIARCNTRCQTSVGGINTNKTFQNIERNALGNCPKYIKSGQLKLATGLRNVPAISNRGLFGAIA -GFIEGGWPGLINGWYGFQHQNEQGVGIAADKESTQKAIDQITTKINNIIDKMNGNYDSIRGEFSQVEQRI -NMLADRIDDAVTDVWSYNAKLLVLLENDKTLDMHDANVRNLHEQVRRTLKANAIDEGNGCFELLHKCNDS -CMDTIRNGTYNHAEYAEESKLKRQEIEGIKLKSEDNVYKALSIYSCIASSVVLVGLILAFIMWACSSGNC -RFNVCI - ->sp|P28730.1|HEMA_I88A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAKLLILFCAFTATDADTICIGYHANNSTDTVDTVLEKNVTVTHSVNLLEDSHNGKLCRLKGIAPLQLG -NCSIAGWILGNPECESLFSQKSWSYIAETPNSENGTCYPGYFADYEELREQLSSVSSFERFEIFPKESSW -PNHTVTKGVTASCSHKGRSSFYRNLLWLTEKNGLYPNLSKSYVNNKEKEVLVLWGVHHPSNIGDQRAIYH -TENAYVSVVSSHYNRRFIPEIAKRPKVRGQEGRINYYWTLLEPRDTIIFEANGNLIAPWYAFALSRGFGS -GIITSNASMDECDAKCQTPQGAINSSLPFQNVHPVTIGECPKYVRSTKLRMATGLRNIPSIQSR - diff --git a/seq/clusters_seq/cluster_1080 b/seq/clusters_seq/cluster_1080 deleted file mode 100644 index 76566c9..0000000 --- a/seq/clusters_seq/cluster_1080 +++ /dev/null @@ -1,155 +0,0 @@ ->AQM49948.1 replicase [Agaricus bisporus virus 6] -MQNPFNPSRRATRAQFRAASTAGCLEHPRGCPPRADRLPYGAYAYAFGSPSETEVEAVDSMRCLSQIRYY -NRFVATLSRYPDLRLIPSNCDSGCHRPDVWLEIDGNLFAVDSFWTREALRYYGFDSEVRLMGHVRICVVC -GRTRNEVRRAREDEFLQAHVDAIEELDELVFEDPIISPDGILQNPPTQSRPTLSIVKKRCCTAAVDCRVC -MDDRNESGYCFFKCGHGMCATCAASWLENGVTCHICRALIECVIPDCSHQLTGNSGVQRRFPRSVSTVKW -STGKDLPQCDIAFTAESEVIVESVLDMASNAEQQVNDVRKRTRGGKRNKAKREGYCYLKAVPKTKREEAE -SILGCRPTIGQVSDYYLDNSIIPRNLKVEFMGVGSHMVRRYRWPAAIQWSIISQLDASRRLGAELWSDDD -LLAKSQRGDDIEDFSEHQENVAEEVVNLLETLESFQRLPHLPISESFIDLENSQELTTSCSGPHQKKVGG -PGNCWKRLGYDIVDYVEDHIFDENYAPLKVVKEAFRRIVEGEVMVSWYPIIKWCEMEDSDLHVDQVHWVN -SIRYRSLSDEQLCELLPGGEPFHIVAEKWGKISDERDCCELCQRLVSAPTKSPIDENIKQTFSPDVRSAL -EKMTQPLLRDGLERAHKYCPYHIPKDLTSFADDLQIPWTQTETQPHPHPIHAAIRRWAYFDALPKYINTD -ITLVGMKPAHAKMLENATKALPGKENIVFKTVNPVVDLKDISRYSGTSTVPEEVFSLGSIDTPTVLFDES -GHYLSPGFLLKLRQNDKLRCILMTNIFPLLALEHETSPYPEYVDWRIVRKLDQPILIYIPEGDMGGKYEQ -PYDPTMTLLSRVEDEDGTIVWNGGVVERKGNYRVQAFYPYNITVPNYVAEREEVMMEIPRLFREQPRTRP -IRVEYFNKMFQYAKTLPSDKQENQWGKLRQFADLHSLYFPVGDQEWLVKVVMEAAKLTLAADLQSKSYNN -LSEEVYYKTVGHLVRIYHKKFAGRYARRNTKMVNAPDPVRLFPAVNCVVSSFEGSMYSVSWKIETTVDHN -FWQSMKMWLMAKTNQPTREVNNSMLVRYEKGKVVFPFIGHTKYTLRLFGESMIAESQRRDFRKFFEGVED -KAKPVFDIRERKLPPKFEDTIPKLPSRFLKFGPEDVRKLKQPYVPRFLASPVQCKNMSWGDAPWPDKYST -NWDDVDDGEGPRRKLNTPRVEVVDEDDDLYAPLTEEYANRMIQRNLHQVIVSGGSVEDTFEYNAQFQRPK -PFFGPENRPEEVQEEVTSDSSTDVSSMFDDSASESTESTSSSDVESSISIERHCMKCVEYSTFVNIGGKT -SPEAYYQFCEQMHSIKDNPGKRISEETRGLIERRLERSRKNRFVDVVPPGKNVNRFREKRRRLVGGSKVL -EAIEEVREEKEQEILERPSYLRKEVSSSVAQGDWEDRKARFDKLYATRPNRVVASHSATGETLWDLLFNI -TADKRLKPIPWRKVVEFPQFTYPEEDCVFVACAEALGKTTEEIAFHALRAWEHGRAVDGSNLPVKCLWPV -SLHYGVRIEIQDERGVLLDQMGVKDTVLSCVLKLEAGHLSCLKKLNSLVIEKKFVYPTHPTSKKMMAELN -SWEIIKWVPWEPERKRANDYIKAIREGEVSILMDTVNSAEIERWERCTDTEPPNFNQKWMCVVIGDPGCR -KSSRPQKIFAKKEYQRQGNFSVILPTRVLSQDWKDKLDATFKVNGRGMPGEMVSTLDRALAKYNSGELIV -TDENKFPKGYIAAFHLLNPEARYHLFLADPWQTSWHNPSGGLLNDPDILGEAELYLKYAKGYLVGTWRLP -PNIANFWRMPTFSKMKGGIYFTDVMPTTWNDLVPIIGNVNPETVHRMWVTRKEFYAAHYDTMWADQLRQA -DTNSYAGSQGLTVPLAIVAVDARVLSGSDPRLVYTAMTRSQYIVIVRQWRENGNNERLAGCHPVFKHLEY -YRHKTRRGYPAQIEEDHSVEIRDITFPFPRELELILSGPKEKLQNADFVWKFWEQRITKTIDPDERRAGA -RLRVDEPAYVDRPDFQAYIDPTEEFRPPEPVIPEAVIPDRIPQTHLPVESEEAFVENHNSQVIERYDAEL -RDSEYSDQFPDTPIWRKDSVAIMRKLVQQMEGRSQLVKRRKVLSRISRLNLDENPLMVHSPIVNWGMRQL -SKDSVTFRAAKRQRLNFASEVENMQNYIDQREFGMMCWNSFRSYMGWQTDIPFDDTLFSQSVEDFQFRRG -LRSEAMKKGSLSRADPDGGIVLTQKGQLKLKKREFEKAKPGQPVMIHTDKYLFEHGPYGMYMLEQIMRNK -PDHWHFHARKTHKELEDWCLRNFPKDVMLAMNDLKAQDQSVQGWAVVFMEHLFRYFNLPSDFIDNFIADK -LSKRVAGKTLAIMTDSGEIWTYLMNTMSCAARETFMYNMPYGHPMANGGDDTMRVAGLPINVEYMKFQRS -DPCTDKRFTSTKGEFVSFIVKNGELFKDPILLLKRFLVKLTTGDGENSVDGYLLLWLLNYKKAEKLADCF -DPDELEAHQLLTRIMFNLSKYGLKSRVKWDLENVDVEWASSVVQYDEEMVVAPEKEFHMTQVGPTKEEVG -VLPVFWE ->AQM49919.1 replicase [Agaricus bisporus virus 3] -MSDADFDAWGSVPDTGWGVSDENFRLKQLQVKIPDLQEKNNSYFFLNKGNDHDISYYVKRMVDTRYGKLL -IAPCGTGKSKFAPGLIAKTMNKKNIVMLSERIKSTQSTFDWYKRKPLKEVNYYEMRAGGVTKSFGKKGGV -SMMIMTTNAWADKKQTISDDTVVILDEAHNLTSGTIKVMRTVKGSQLVRMTATPIDEGYPLDLSTPYRSK -IFFESWSSHDVVEHVQLHPNRSHLIILPTIYAVDEMVDSLSKRITLSLIRATGNGIRCGKRMLTFEELSS -KVDTTPSVVVATDVLQESVTLNIQSVWDQGQRCRPITDIAKRLRDSVEEADWSPDELIVPITWPEVGQVC -GRVGRIMSLEDGEAHISISCGLPRDRQTYMPENRDGIMTNGKWVSSKKLMQLSVFKKTVNKECSSGKASG -AMRRLQKHLEHIEVPRSLCDNFYEKQKVVEEMFVSQAEVVEEKIPVVIEESDDSTVDIVLPEQTVEIFVN -SIHKDSLAHRLEKAVSKGSSGTFYEFEVPFVARKPNTDRLLKKSNLMFGEIKGDEAVKIKNKFRRRYYSL -KDAEKQGNCSLNMYSARERRCLKGWRPNMRLSELYELAPFKEGVRLHLSGPSFCHIVPAVDTWDLKTQVP -YFAWKGFNPRVGADDDSYIKMINALDSGDDAEFCKAVEHLVEFEDDGCYIDDDTYDDICELGGDKDCWSK -IFTKPQTVRSFNATRVANAAQAREARQAEIEGANPITLDQFVKFANDHTRLIGAPRIHFKNIAKIKSTLE -ELHNSYWVKFKVHSVNRLHIEDAVRSEVPVEGHIQLCQILTECEAGIFLDRFRRVRDSGCFVDEVIEIGD -KTGALTGAIRGIVSPVTQEGIDAAMQGPILDEIGRMNQLCPYKVHTENVEYFNTLGIPHYSESPRTHSHP -VHYAIRMNKLINVVPSYIDAPATVVNMKKSNIDKLQKQVKVDIESRVVLRNAKDIGRFGKNVCDSPFTLK -PIKTPILVLDQMAHYCSAADIIELFSQSEVLQVVIAGHEFPIPALFSSASPLPTLWQHRVENGVLKYKCE -MDEAEMYEQPFDPSLLLAKTLRNSDSSIVLYCQVVHSHLNSHTQIISRIPIAVDSYLPVQMHDFIELMPV -HRNRYLCDLVPRTLYDKLMDYAQSMGELKPTEMRAKLRLHLDPAKFWMDENTKEELITVVSTIVSKADQA -RQSTSKYYGTVGQRVTYNTLGRVQKWVASKFSRKFVRRWRALAAAEHPLKLIPLVTLTADKFSKGSIVNF -QWQVDDEHKLSFFETFKMLFKRYMDYEQYKFLENDRDWISDPKILGNKMKFLTVNQKNVSKYTDKEFEEH -WSDRLREMYKVKKVGHKPVDPPIVHLGPKKKEKKPKVPEKAWEKSEDKFVKHAKDTANEKSLHMPMSMAI -DLVKTKERAVKRYQRNFEDPIQYFDPMFTWTAHEPKAPKDPADIPLPLDVGDEYEGIVYEDEGVWFYESP -CSTSDSDDSVSSDDTVTVLSDNHVHVSDSASAVIDDNDKALMALEEGDVDAIEEAERVVEYSFPIPGKVE -EVVLEKVNDTYQERVERSEIVAANAKLSYEPRITALPPTVWWNEKYPLTVDQRFNEVPYWPVEVHKLPYP -KQDCLLVSFSSLLNALGIKISESALWVLLCQFLPKDIPKTYEEYGLSTQCLDFLCIHFMVQVRLDTDEGF -MHYGVRSSPPYDIVLRNFHFEKYHGRIPLCITVENSEQGFVPGVAHDLVKSLKQNPMIEFKPFIPDTEAP -DRYLFHLISKRVGTFKDNPVNEERLRAWDVQLKAAIRLEKSKPKERYMAVVVGDPGCGKSMGVVNILKQA -KYHVPGVFQVCLPLSTVRDDWSKKLEVRKKKGVANRPTNSAMVCTFEYALAKTHAGHVLVLDEDKYPTGF -VALMCYLKPSIKYVIFLGDPFQGKWHDPGGKAEELNAMPGELERYISYASWYVIGSNRFGKEMGNILCTP -TRFEAQYNGIRFIQKIPTSAEDLRDIYPNVPISQLKIWWNDHAFLEASNAAAEASNMLNSNDTITMSSSQ -GLTKSLVIIHMNITVVRLVGMDILWVALSRSQRVLIYVSLINAGVNAGLINSKPGIKTLMDYSHGSITGR -SIKANPTRVIDFRRLQGGLNPNWKIVLAFPPDECSNWEQVKQFFPKIFGFLDQGKFVKYGGATFYRDIDH -NDEGHQGNPYIATRRLGYKVHVNEEVFVPQPTYPIPDLKTKLIKGNLHAFTESVNETLRPELSREIFDDK -LGYSQQVPEGYKMRLDYVSVLDKEVQNNQMKYSHMTPGALKRHLRSYFNALPDSDNPLKYSTRLLNWGLS -QRNSDEVSYMRMMVERVRKGSVELNRIEFKVQAPYGDAMWKAWTDYTGWKHKVPLDEIAYEAAMAKFIAR -RAARSVANKKQGLSRADPDFPRYIVGKTQMKLKSLEIKNASALQTTLTMDDEYLFTLGGVGVYLLDKILE -NCPPYLYLHAKKTFADTSEFVSTYFNTDEYWESDLEQQEQSMRGGALQFMLRLMDHFGIPGEKIDYFKSM -KLSTRVGRFVLALQTASGEIFTWLMNTLANGGRIALKYSLKKGDGLMLTGDDSLCNRFMRISHQWSSWEH -LDFAREKSVESSDQAGFASYIVKKGFIYRNPEMLLRRLMVYNEMGKIKDVIAGYFLEWLTIYRLSDHLFL -LLSERQMECHNILSNFIFNSRRRLKVNRSFGWNKKVGIDIDTHNEIPREIMTESPEILELLSDPWDDESN -MYVEEEL ->AQM32769.1 replicase [Agaricus bisporus spherical virus] -MITERIRSAESAFEWYERCPLKGIDYYELRAGGKTRTCGKSGSLKTMTIMTTNAWVDSKRKLKRTELLML -DEAHNVTSGTIAVMLQTPKEQLVRVTATPPDDGIPLDLTTPLRSIIRFKFWDIRDVEEDFESNGGTHLMI -YPTVSEVAQNYAYLEARYPGKTIMATGDSICDKKDRLSFKQLESRSMEDCFIVATDVLQESVTLGVTRVW -DTGSRVRPFTDVASRLISDKPEDCDWTPDKLIVSATWSEIGQVCGRVGRIPRSEGGIATIAFREGMPPRR -GFYVGERRDRVPVQGPYVTDQRIAEFHKYKQAIIRKISSGECTSYEIKIRRALEYLEVKAPLRDVAQEKN -FYVQAMFANERLQESEGEAITVSENAPVSVELPVLKWVFGTTEVVHDEVELVAPKPRSYAKSHGNLFKQL -PKVGMQVGEIGPMEAQKMVKAARRVRYDKSNDQGYCFLKLFNKPTRLRIQKHVQPNMPLHEVMRLGRIRV -DQRLKFVSRNVAHVIKADRYANALDQLSYLAEIGKRVIVGVDEPEQVESLQDSIDENDEEFDHGMWDDDE -GLEVGGDGDCWKQMFPPARRPHLEGERPILLQHMLGYMDRALRQWASVFREESDHKYKYVNAVDVPELHM -HLVWQATKRLHLISVSEIPSEKTIPWSRVYEALDNYRKGDSTIMCIMADDIEFMNVQEIQTPINEIELGD -KTGALSNAIKSVVSPLTQEAIDGAMQSEIVDEIGRMKQICPYRIHDENVEYFNTLGIPHMRESPRTHSHP -VHYAIRMNKLLNVLPSYMQMETTVVNMKASHAALLRKRTGKVVQEKTVLRAAKDFARFGRTLAEQPFELG -GITTPCVVLDQLAHYCTAGDIIKLFQKNPSVMMVIAGHEFPIPALFSSASPLPTLWQHRVENGILKYKCE -EDESEPYEQPFDPSLLLAKTLSSKDKETILYCQVVHSHLNSHTQIISRIPIGTDDYLSVQMHDFIELMPV -HRNQYLCDLVPRNLYNSMIDYAQSMPGLKPTERRAKLRTLLDPSKFWIDEVTKEELITVVDTVVTHSGSL -RESTSKYYDSFSGKFSYNTVGRFKKWIDSGFKRKYIRRWRKLISAEHPLKMIPLVHLEENGTSKGSLVDF -KWQVPREHAVSFWETFKRLIKKNLDPVQYEALEGQRDWLSDPSEVGKNLKFLTVNHRNVSRYTKEEMAMA -WGDAVRQMYGVKRKQRLVKTPPVLNLGRRKTYGPVPEVWVEDPLLENWDPEFTWEAVVPEVDGLEYEGDP -EVEAVHIDQGAWYYETADDNMSTDTESVVQMDTATEVMRDDPEMEQKMADDEGIVEEAEMVVNYSFPVPG -IAEEVTITPDEHNESYAINHQRYEVIASQAKINYEPKFTGLGPQAWWDQKYPTSTGKRFNSVPYWPPVSK -KLHYPKQDCLLKSFCSVYRALHGRSISTGAVWALITTMFPANLLDGYMEEGLTDEVYDGLCVHWNFWVTL -KTEEGDKQIGVREGRAVEIVHKDKHFFNFDGIAPLTISDQIEPYDTVHPMATELVRELKNNPLITFVPYT -PETEPADRYLFHLIDKRVGTFRDNPVNEERLKAWDKTMKMTIEAEKLNPKERFIAVVVGDPGCGKSMPVA -SVLRNKKYHVEGVFQVVLPISTVRDDWSDKLDMRKKHGVANRPSNSSLCCTFEYALARTSSGHVAIFDED -KFPTGYISLFCYLKPNVKYIIFLGDIFQGKWHDAGGKAERLNAIEGEMERYVKYATKYIIGSDRFSKAVG -SVVATPTRRKAQYSGIRFASHMPTQWTDLREIYPGVADNLLKEWWADHAALEASNAAVDTAAILNQNDTM -TMSSSQGLTKKLVLLHLNITVARLVGMDTLWVALSRAQRVVIYTSFVNSGGTAALLAAKPAIRTLMEYAG -RTGRGRSAVPDPTKVIDFRRLQGGLNPNWKIVLAFPIAECENWEEVKNFFPPLYGYIDKGKLVKFGGLTV -YRDIDHNDAGHSGNPFVATKRRGYPVAKIREISAPKEGYPLSKLKTKLPKGDKAQYIEYVQAQVPDRFSR -EMWTDEYGYSLQAPEGWRLRRDWVKIRDKAVDENIRAGDRRPKYIIKRAFMKYARELPDSENPLKFSTNM -LNWGLDQHNYDQTSYVMMLVERVRRGSYESNVAEYELEKQFGDYMWTRWCGYAKWLRRVPLDAERYEEAQ -AVFTARRAARSEAMKKMGLARADPDFRRYIVGKTQVKLKSTEIKDASALQTTLTMDDEYLFELGGVGVYL -LDCILNNLPSYIYLHAKKTFGDTAQFVQEHYVNDTYWESDLKQQEQSMRGGALQMMLRMMEHFSIPNAKI -RYFEQMKLNTKVGRMVLALQTASGEIFTYIMNSIGNGARISAKYDLRPGDAIMITGDDSLANRELKISHD -WAAWQRFDHATEKSAYSTERGTFASYVVKGGFIFKNPELMLRKMLIANEMGKIDDVIRGYFLDWLTIYTL -SDHLFKLLTEKEMEAHNILSDMIFNARRKLKVNAKFGWDKVVGIDIDNMRTDLYEPLHAVPEILESLETP -YTSENLLYEVEDL ->AQM49927.1 replicase [Agaricus bisporus virus 5] -MLSLFEEVRDFLSVSLPGAEWYLEKRRPNKNGFGGSDSAYSVKHWCCGKYVNHVLYPRDTPLNGFLDWYH -LNASSFSFHRGRCLSGSNVGQYWHNDCAGQFHKRGHYLDWECDKCEERIGMTSKTFSAMQDREDKVCQGY -YSYFVRQSIAKMIEEYFENEEDEMFRGLNFNVRVRSEVGVKGKMYRSRLDFDEERGSILYHNLINKVYAS -ASTGFCMIRAALGLRSEFPKQIETKQRKMRRRFKMYQGPYISNYAQGKFQFLNEDETLVKVEDLLVVDDV -LPKVVSKSKFMFAKNGYCYRFLFPYRKRVFVCKRLGKFPTVDQISYLLDELNISLSRVNQRILFSNGRHA -RLVQDFNWDVDTQYRWLLANRPNRIVGGEDECVELVSAYSGDRIECDATFHADALEFDDDSVTSYSGNQL -GCNATFDVGAFELDYLTEEPYQVVPEVSFDDMKCEADVKVGGAGDCWLALPFYEKHFDGINSERTVKQIL -ELFEENDVPLNGYRIKYSRDEDDDFHVERVAAAFKARKTGWPLLSVFVEDLKRNQTRLVGSQTVAISAVD -NAINNLKSPDNQISAEGFVFPWISDAVVKIRDECPWKLSPAAEKIATELSIPWTSKAVDTHPHPIHAALR -RKSYREAMPYWVAPVTIMFMKEHNFNYLMSQIPDSTNFELKNSMFDMKDVARYASTPDSLEFNCFTFPKC -DTPIAHWDEIGHYKTPENVGKFFVQNENLQMLTVTHIFPLDSLMSDKSSTPELCDWKRVDGELFYYPERD -RQSVYCQPDYPSLLLARTIKVDDEDLLLYGSVVWSSANTYLQVWSRFAFNCPKYICASFETLMPMPRVFR -SQPDCGPIPTKWWKMCFSYAKSVQATDKDIWAKVRQISQSDEISVDEHTMNVFVACLMEAVKYDSTCDLQ -TKHYSTFWESINYKTLGHVKRLFNRRWRVRYKERWGDIISRPSTINTIPLVNVRLSKNELETNKGYMEGW -YLDDAEKKSWSDYFKSCWYFLRDAKATVGIEIDHSGRIIIGEQKFINLSPKHKRIYQLPHQAVIDIQARA -FREMIHPVEKREVPTIDEIVEERSRLERKELFERVERRREISQLKKVQEEGERDFVSSEHLDEELGVLKF -LPDETDSRVLEIQECLRKEAEDANYNQGIEADDSSSDSGDSLFSESRNGKKIQTFDEVEVLKIGDQEMLM -RGFSEVVNRDKTFKTLPDVDEVYTGESSVETEEVNVTMLTDAIPNDRERVSVGDLKRVTKRLSLEQILDK -GDQSLAIVKDFEKEDVTDDNAKLWGAFKNSVFKPARPMIRGNFFGETLWDRLYPKSVGKRTMLIPFREIT -LAPDVDYPKQDCLLKCLKNITDMNEVKIWSIMVRVFHRNESATYLEGLSENFLWPFALETNMRFDVHYQG -IFNKEIGINDAISYPLDLTDGHWSNMQPKCERIQFKNAVYEKKMSKLGKKLVGELSELPTVFWKKWTPEW -QRAAFLIRAMFDGTTGLIGSSAGSKYELKAFENLVENAPAIERNIAVIEGDPGCRKSSAVQKLLRKHEWH -QQNVFRVILPTNILKEDWGQKLDVRSKKGKLKKPTPGWYVSTFENAIVKPFQPDVAILDEHKFSKGYLAM -LAYRFPSTKHFIMLGDRNQCQKHEVNSDCLLNDPDIATEGDFYSQFNSIYLVGTWRFDGILANMVRKPAF -CKTNSQMWFADTWFVNHLDLRKIYPKHSDQQLMEIWKNTLTLVPSEADVMAASGLNLNDICTYSGSQGLT -AELVQIVVTATSILACDLRTWWTAFSRGRNVVVVLTNDTPQIRRRCATSGFLDMIFNKYMNNQPRYSPVK -YVEGLDVDIMYEIGAMTERDNLVMAGPKSKCVNFDVVKKHYKSDAWDKFIDPDQKLNVGGHAMLSRDDPA -YVDSANFRKFINDPKAVKVIDHEILERRVEERKIKTHLATADETQLLEKTLQLIGDRYERELSWNDVFSE -QFPDEYVTRADAGRIRKRMVDKVKLSKRLNGSQASREVEMELRITLDKDNPLKYQPKAVNIGQYQKVTDE -VSFKAGIKQRIRRADFFENDEDRKRNLIYGTHLLSRLKENAGWHIPIPFDQLLYEECVQEFSERRAARSQ -ALKKSSLNRADPDYVDSLWAKSQLKLKDEIPPDAKPLQMIFLKSDEYLFKLGAVGVYMLKQILKHAPANV -YLHAKKSFQEMSDWFATYDPCSGRYCDIDIKGYDGTQRGASLVMEEGLLRHFNIPEDLIEFYVHDKLDAH -TRSIHIGLMRLSGELFTWLFNTMHMWARTVTKYAVEPGEPACYSGDDTLLFNDYQVRNDWLQWEGFDVCE -EKKHVVGKGSFCSWMIVNGLVVKDPVILFLRFQAAVERGKLLDVVDGYGLEFLTIYSKSDTLYQLLDEDQ -LEYANALGRIFFNLHRKYGIRTKLKFDKVDITQGIGTISHAISFGKALEEVYLAHKEVGGDGAYTAPVAF -INVDSE diff --git a/seq/clusters_seq/cluster_1081 b/seq/clusters_seq/cluster_1081 deleted file mode 100644 index 13445e2..0000000 --- a/seq/clusters_seq/cluster_1081 +++ /dev/null @@ -1,110 +0,0 @@ ->APG79284.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 12] -MNEDEKMLFTWDNNTERMYGDKKMELIKLLKNKKSNVKDVNIFKTRVLSESSSNYLKSKGICNKNNMKLK -NKERVEQEELEISLDKEFKLNKRDETLTKLLSNLHKRSLNPDAKKKLENKISMLSKIDLSSGNINNSRMV -SLQPDDNEVFTSTIRYIENCYRWLTSKSKGKITKLDEYIEKENLKNKPYLDFFKTTAHMDNSEEIEANIS -NRIDTDKYKELKCNKLIHYIKNVANNVLYKSSKSLSGIYLSNYGHKNQWAVIFPGMDVYTDEGETRFLNI -SLVNKNDYPDDTSLNEIIKDVFNSDIIGCVLKNENYYIFISRIMSLNRKRWEHVNLVNPIPFIELNRHRL -NINYDDSRICPMWFTYFYCAYGCHARTSAFIDHMRYMLPNILAEYSGAIDYIEDKFEVPLKTYGDFYLYS -NLKTKCQILCDINPSVACLKFEDDEALLETTGVEMEIPCLFSASVRENNDNDILPLKWKNRENSFGELHM -AFFLCGKDLYNDFNRQLEFINTIADGQKKFLDMQTHIIKFHKPSLNKNIILDKIDYGFNIEEYFYNKYHK -TKRGPLYCKKLIQAAAKITGKIINNGTNKEKLKNLFIKEQILENSLKIGTLTSTKSSIIEDYNSVTALEL -TLIEFEKNNPEIFKKFQDFQEKWIEIIRSKFENLLERRNEKVKLMLNINNPINVIQEKIKEKNEKRAQLL -KEIKKMKSDNKKAIINQMKKREKDVFKGKEKSLNMKEIFELQEQKLKKMDNIQILNREANLFDFSDSEDE -DSPELVDIIPSIKTMEQNFKRDDSKISEELADANIHLEELLANFLGLKKCSISELEMEDFYKSEILPLRN -DYLKDFKKWKEDSNLISDEGLYKGKLNVFSPLYMALKNLNVLHVYKLVPKGQRTAKDREIFIQNMTRFIS -YCLEHTYKAIATILEREAITIKGVDKTKKLKEMEANALKWQSKAADNNFRQLLYITGDMTKWSNYDSESR -LHLFSKELSKSISHPIIKLILSDLSRLGKEKKIHFKNLFAKNDMENRSKTQIKVSNLFKLCDSDYPNLKI -TQNWLQGMRNYTSSVVHHGCLNLIEIMLKKMEGERVYFDFMEHSDDWCCIFGYNLEKAEQNKIIGLKEFG -NMMEEIENNFLIFLCTTLKCMNLNVSIKKSYCSRYIIEFVSNMSIRQSLYMCNEKELVSMISDLPNRGIN -QDALAIYSKAFNAISKGACSNTVDVVLKNCINEVRRSYSMGFNQKADPCKHLNCYRNYLPCSFFPELEVS -SATIFLFNVGIIDYISYKYLFQKFKQGNINEKEKNNLKLLIATSFITTDKVTLMDNLANYKFTITKGFEY -VLDEELINRSGHAMKYSDLMNKSFVTTHKLLDNSYGIVRNEDDLNCLISALEKTSDKNIKYSRINKNKTI -QMKLKSQMFNKKCIICAQTDERLTIYE ->AJG39248.1 RNA-dependent RNA polymerase [Shuangao Bedbug Virus 1] -MRFNYNKNRTVDIMWINDFFSISRKISGTIESMKLHLKNEELNQLSTILNTGLPERSKCIQLAINEDALN -DTINNVSHNIPFYKLNECKAYAKSSEDIAREIVRCFKRSNYQSGFERPNKNTFLRCLRENVVEPMQRDFI -IKDKLGPSFHLYYNTSDCSKKMPEIYNEWKSDLKYTNYDSNSCVMKDIFCTEFKQNDEAVFFSVGKVQNW -QEVRKSLVKVREPKTLNWKGLSTSTKLHFVANGIGSKKAFKAERERKLAEKCENGESLARKNETIAFWTS -EVATEVNNECDYMSNWLMEKSIVNLRIKNDWSKKMQDLTTDSTGLLKNGLLNCIIENLLSRNLALVCKHY -EDVARGILFKSTSTNKGLHLLNFNNPNVFVVTLQGMDVYKDKGEIRFFTITRRSKHVIPHCFPNNDSERT -ISGRDHYYSLSKIYSFNKSRWEHFMKSDPICAISLVIYRLISKQINEKRIHIDVANVNKQSVDKFITENC -KLLCLIYMSANYSGQNKMSGLIDNFRYMLPAALSDYSGLIDYVKSKFIIGLKSAVQTKTFYCMKRCIVSY -MDESSKISAKIMKEQNVDLALEENGTMDTDTIGLKIPLRQAFGELTWDCREDAFAEIHLAFFLTAKDLYS -DFHRNLDFYNSVVGGELEYNDKIRPLFKGNEENVGYLLRDWEKFEVIKRGGFFCQEAALLNGRMVNRVVK -QNSTDIEISVENEGIYDNVMSLDFLTSTRSSLKNETTAETYTMLESCLDAIEFECPELIESVNSIIEWMK -DKFKEAYESESDLLKSYAIDIKYNSSSGDADISETQSSSENVIPIVKKKVINKDYILDDDSFESSSGGSS -SYSFVKTCTDTEKMYFTDKEKDMLAKLCIDYVIGNNSVIVKDSEMALVVEKFCRVNQNEFFIRISELEQS -LNKKEGDYFTGTLHKLSLLNISFNYITLLSTFKMVPKGQRTWKDREIFIENKSRFVDAVLEHICKKICEL -IPEEQITRKGDNKAIDTKAFQSRAFKWKSTPSLNLNMKNPKKEVYFLTGDMTKWSNWDLMHRLHFLNNCY -TDGLKNNFAKIMRTYGRTNGNRAIRLTDKFLENPLLMQSIEENLLKINSRFPLANIKQNWLQGIRNYNST -LAHYGAMESIRYILKELLGDEIYIDFLVHSDDFVMAIGLCYERNELGLFEKQLFYKDRESDYLHGLKPLE -IINFICFVLKLNNNSVSIKKSSIHKLLVEFVSNSIIRGSICMSPEKQLLAVFSETSNLGNKDDVNGLLSN -SNNAISKGAATSTIDTFLWFAMRKMRTMYSFNTGMRYDPVEKLGISRDFVPLQFFPDVKNSSILQFFTTC -DIQDICNFIDLSERFSIGKLNESEERSLALYCALSKCYRRENIDTERFDTRTSYFCSFRFRLEKMKDNDI -SHKDCLPKYSIDITKLIDPTFELYRSHDTFSLLVDLHDRTNNTMIRQGLVRKTKASVIKLRGSAANEKNI -RWSDSREYITMDKWFSDISDSINTLLADNMLFKSTIGAINTEIKNTFNFAIPTIKWLKNLKMGTVVERHT -TYRNDLIRIPGIESENSTLNNKIGKLLTHEYCYPKYKIMNPYSINTYSIISELEIIRKKSKCLGLNIEDQ -KEMRIVINTLDKYYKESERSKLICTRATNQKFKNYEEAIKYIIVNNTVENSVVHMSLPGNIISDMTKKVN -NYRLNANHISAIYTLERFAYYNNMTLSYSINNMDKVEFVRQAIMNVNYDRDIFGLKCAVWLEAVLLRTYT -LAEKYDKSKLIIKYHKTDNDFEEGDQIKHIDAVVYCKYKNEKIIVEFNNGSCIIMAECNNGVILNCVKEL -DRLWKKYPINTYSADYSNNKGYTKFLNSYINERTGKGEYTITRNLTSFSVASIGNINLKYKRIDYSVVYM -LSSDGKKVNHGDRSICNVSHKNTNGDFSGLNINIVDSCIIDLRNILALGNEYANKFLNSESHILQSIPFD -LFDSALNDNNDRLKNALYFLQAP ->AJG39241.1 RNA-dependent RNA polymerase [Jiangxia Mosquito Virus 2] -MDLDFDYENSLNLLERQYDRFKNDIGIVKSDCKNGGDVYRAEYNLTKLRHEAFCLAASNRQQIEFGEKKI -RDSIAQDIPFDCYQSLIASDLFKQTPDLLKRSENRHNGMDFVHYKWIEVAMTTMKFKNEVKSKKEEKYYN -LINVDVKNYLEANKSNMNKYFRTQLVVVIVNANLSNWSTSMSFFGSPTDLDFEEKCYNLANRINNRLLEV -QNFLDNISKQHLNEYFTAKRKEENVSNDVPLTEFKPDLKTIKERIDNLPRSTKHLTTEIIEEEDLIEKIT -NKCLNWYHVNENYFTAPSVSNTTESFQMIERNVIDGDFEMVEDFDKMAPTFHFMYKSRDHKQTIKFENAF -NYMIDVVKMSDEKNDNLINLILDLNIERFTEEDKNVFFAQGYIDDWKVKKKLLSKVQEPKTVKWSSINKS -SRHFLKENYGIKSKKELLKERKTLRDENVIRRMKAIDITKSDVIEDCEYQISKKRAWLVSKRNVYDELES -DEYEEEYRKGDEKFNRSMDIINSLNCEELSKHIQRVSSQMIFKSNSTGHGVHVIYTGNRDVWVFTLPGGD -ITNKEGDIRFFTLIIDDKFSYAQRGNHIFNSEIIENRIEAGSKILLVSKVYNLNRQRWEHMNSVDPGAFS -ALVCSRFGFFDELEFREKFSGLIFAYYYNAFGCQAKLSSLVDHFRYMIPASLASKSALTDYIESKLNPVF -KTAGQVWIYNKLKNCCLKYLEEGKDLKMNKEIRILDEEFDDEDIGLTGQLSSLFIDDKWKNREGAMSEVY -IAFHLTGKGYHNPFHRDVGFVKTVMGNEIEYNELYLQKFTEDHLTEGIPIDEEDKMMKLLRSDRGGLFCK -EAVMLSAQLASAPAVANPTTFCKLIEQENMTKNILYEKKLTSTKSSLTSRKVGKFYKYNASQTMLQTTLD -EIKEEYKIEMQNFEIKVLPMILQVFNKAYEKAKEENKLEEQELKPEEVDEQWHGSVNSALIKEFTRSVKE -LKKTKCFMTDNKTLLQQIESRLQNAKNSEEYTLWLILKDDILSGGNDVKQLFVDENPSDSLKIKLSSLNT -ANQKIVWDTFMNELKLLKGIDILEVARSWEANSMNINGKVKDYLLDYSIKKNCVLYMSLKHLEDDIISKI -VPKGQRTDIDREIFVQNSSRYIYYVMEHYFAALCKMNPMEAISLPGDEKMKALKRMVIRAFKWKQKDEIV -TIAGKDYLMKKRLRFVTGDLQKFSNHDTDQRLAAFAYYTGENLKGDLNKYVYLLTRLCSRKQVLAPATLC -VKEMDKNDPILKLYEKNKSNYPLFNAQNCNWFQGMRNFESSCCHVGVAKTIKKVFSNISKDLYYDSLVHS -DDFASVIGYVDYTPLFSVMSGLDFWTLKYEIRDVEDFCITTMVNVYKLNNLHISRKKTSISTNTIEFVSY -LCMGGSVYMGFEKQLFALYSEKTGKGPREDTVAVLSQASGAIMKGCPSFVVDVFLDRALEALRNDYSMNT -GMRFDPVKEFNVPRDMLPLAFIPKIKRSALSFTLTKPELHDIDLLQKLNNELKTKNLSDDQEQAVRLLMA -MSCKEMGKINMTEIENKFEDIETVIPGIKFRGKNNKEVRDKLKVTSWLDEDSLRIITCMDESTVIVKPKD -TFFLLVLSLLKLCSNEVINGMSSRNKLSTMRMKADFKNKEVATLNSFNQYTTLEIVYKLVNSMMKSYTLN -DKFFDEFENLTNQALFIHNASKEKMNTIINSEIMRTDNKVRRGKDLVRLPVNDSYKLFVNKTSDLILSRL -FPGRCILNDIEIRNDGVYTEDVKRLKDLLDRNNFLNMSTPYDRMRCLEMILKYSPDHEKSMTIVTTFMKG -AFGLTRSVRHLLSNNLHPIYRMQISTSHIVHARMNKRIIV ->AJG39252.1 RNA-dependent RNA polymerase, partial [Shuangao Mosquito Virus] -MIDELYKVRHDIYCVVVASKMNIGTQEVSFRKIMQASLSVEEYKKAYDMIAADDKLSLGKTPDLYQIKND -FADQTCVSIMEVSVSSRKAESFAAKSNKYKPIINLINEVLVKSKSKWKFKLNILALGSDISTNKDILKKR -MGFVDENFQSEIIIGLLRLAEKVNSTKYWIDCIIENLQLEDSNLAKAIIKNKFAGESSEISIDLNEKAIK -SEIDQNPDSIKYTADDFKIDDINTVMDSIIDMYENCRENFPRRLVKPDINRVSSASKYLSLRTKYMYREL -SYKKAKPSFHFNYSEDISLPGGNFQENFEELNYKIKRMTHINSEILPVYLNNIENCEDNMNLLHDLFGIN -LNEDDKSAFMIGSENYIKNSRVIGKYMLNFKTLNKRSKLYLKRKGVYAKISKIQENLKKAREEDLEINEK -IKTNREDLINDLNNSSNPIEKEHLTALLEEFDAKSAINDGKLLRMKALDSDSRQTRGSCFAHVVRITNYL -CKISKFPNHINTDRPLCDDKIMEQTYKIITENELNKNVYMFNRVAKHINQVSSNVLFKSKYATKAIYFIN -HKNKNVWTIVLPGMDIYSDSGETRFFTICTTKKEIDNNGRINLNNIFNPDIIESIYESGDELITISKLMS -LDRSRWEHINTINPCPFIDLMCVRTGYKPSTKSIKSLVYCYMYCAYGCQSKISGIIDHFRYLIPGVLGKF -SGVEEYIRDKLDVPLKSFGQLFIYQKLMASLISYFQVIPVSPEFVFKQDRIKIDTAGVEMSINSAFITDF -VWTNRDHAFGELFVAFHLTGKNYYNDFNRQLKFIETIVGGQNKFNKMVETISIKLNKSKDEALETCLMGF -NMEKYVEHEIYKIDRGPLYCKEVLNAAAYMTNDVLKGQRSTLLSKLKSEKLTENSLNINTFTSTRSAIDN -EYKSMTALEMTVDLIMEKRGNLVQLIKECLEKWIKKVHEVCNEFIEKQTVQYHTFGLIERDINDILKSIS -SYNSAIYSNYIEYINKKFKKVECNVDDNSTSSSSSDNIEMXWAEECLKEDVDTERNDEFAMMGGQAMTDL -GKIRYCRRMKTIKREREKKIMKEKEEEIKSRELRINLLTDMESVRNSIYNDRKKCILDVNNLIEVILGRL -DSELDDNTIDKFYNDSLLPLKEAYYKDIRGIIDETITMKSSYHTGEINCFSPLSLSFDLLREPNNYKVVP -KGQRTAEDREIYIQNRTRFPSYVYEHTMRSIASILPNEAITVSGDLKASLIKKKEEAAMLWQSRGLKDKK -RRRLMMVTGDMTKWSAFDAETRLHQFSNYLGNYCNDNYISVILRQYSRLGGKKHVKFKEMFFKGKKFKDL -SIEEKTQKSKTENDILRSFDEIESSYPIADINQNWLQGMRNYTSSVVHYGAHKLIQQCFFRIDSEELYYD -FLVHSDDWMVIIGYTDQQTNPITNRIEQFNYTRDFLNSDDREEEILNMMHFTLKSLNLNVSIKKTSVSEY -SAEFVSYHTTKQSTYMCGEKHLVSMMSEIPNTGTHDDAYAILSKAYSAISKGMSSDVVDHILKYTFSEIR -YRYSMEAGQKMDPCEHFKVDRKLLPISMFPDIQSSSLSIFLFNIDVHDWIVFKYLKSKLEDNSLSDEEMN -NLKLFLSCSFIFNEENDLIELTRSRKLFLTKGFTFQISDERLKDNELLNSSFIEPNLLDTHKVFDNTFDL -IPPTDDYGTFLVALSKMSDKNLRYSLLNKNKTVYMRLRSRMFSDKCIKLPGLPGSFTIADYYNILKDRMN -NIKFEDLKNLCEKVENRAFGSLNNSAINYDKIMEVLKFKHATIRMKHQKALLEFTGNDEANIFINDSRFI -LNRVFNMDRLKLRRNPSDMEDTIMDDITTLGKIISLKDIKIIKSAAPRRCKKYLSELQKVLTLSPSKKMI -LSGPTLHYHKDKYLSMIQCIENNTSEALVLKSTLESIYDINRFTNKGLATLEDFQNEVLLLGQLYKIKQS -NTCIKEAFFGGRELTDLIRRASLQWKKFRNEGRIMS diff --git a/seq/clusters_seq/cluster_1082 b/seq/clusters_seq/cluster_1082 deleted file mode 100644 index 6384f8e..0000000 --- a/seq/clusters_seq/cluster_1082 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_010086816.1 glycoprotein [Wheat yellow striate virus] -MRTTTRMLTIIICMLFGLYMIMLGENMILAFGPVDGDDDSQENRPFTLHPAHGAIPVLPSSQPSQKVSPD -DTNSLIRLTTSEQLWHNHPQDIGPKDVPADMYPIYSCPNLSNAYLLPIWYGSCLDACQITTPKHTVNVKL -WTINSSVTDVDGYQIDVYYDTKFSHVGPFGGCSVSLSESVTKEPKQEDIMIWKSRLVSKPVNDVESWIMY -DEPSCNYFSDEYSSGFRLVITRTKLKLMIDSVGNLYIADLRPGSYDTYKTGYAIHGSTAWIWDTDDSMNH -GMCYFKQTDDTYCDYDNNTKYMFCKMSGVSFDTTVQQRITSSCAGDLNISTDGVIYQIGDSGDTASTQQR -LSDILHQNVELGMQSLVSLINDVFINIESSYCTGVCDIMEVIVSNYPTATTVLETPIGPWLPITSDGHTI -MTPCMADVNWIIQTPIVYCFSKEMIKVINKDTRKEAWWRIVNSYIILNETCSDTNSTALEILRDRMSKRR -DIVYSFWRGDLIVSYPYNKSRWITYKDEKIQRSSKWFDKLVDLKYKHPITLDNITSQLVNHTADLYEWHM -GDKNGTAGQTTFSDLLGRVEKAGTNVIKGCVKMTGNLLIWITSHIEMIGDMLIIIVCLIGGYYVLIIPYG -FLRRGRGPGTVTEVQQSNSQFRSPLIPRTYL - ->YP_052848.1 G [Maize fine streak nucleorhabdovirus] -MMARLVPCFTLALLLHLTECADYITSPIRPMYECNSFDSSVTLSSWYQTCRASCRPDAGWARSNITLYYD -TFAGSVIPVYQMQIYRTTIESHTDILDNCKYTEYSTPIIIDKDDITMYTTKLFTTATLNPNNSTLIIENK -TIPECSYFRDKYSSYDTIIATKYMARVMSSSVASEYYLKIPALQATMSYSSGSARYGSGVLVWNITLPNI -NQCTLSDGITETCRSDSMLGKWWCPSLIGSILLTKGATATCKGDLIQVSPGIYVTLSGTSTVDSTASMLQ -DGIDELEPDLVSVLTQINKAMTTFASASCESICDLADQTFSLRENTTSVVETPIGPWLGWRESLSNLNHI -YYVSACRRVTQWKIMSPLNPCDSTVGLTITDGEKGLSLWDPAQNYFIMGAECADFVRSDWKTLMGKKQSI -QIHFWGKKLIIYPPYIANTSWEESELHIHRTSKWTPYIDGQDINTEDDMLTLLDQIEHHSRKLVRVIGME -QTKNLTIFTKLWTGISDIGSTISGIYHGVASAIGHFLNFIIKLVIGFTVGTIMLYISWIIIRKLILKQKN -RKPEQDQEMMDVAPIHRSGGLTQPILRRKGISMDDM - ->NP_620500.1 glycoprotein [Rice yellow stunt nucleorhabdovirus] -MNIIKRAGVFIGLVYFTVLLIIYGEHIVRSYATEDCMPAGLYYYAEGAAYTNLPVCKDEPKSSYKGTELF -PTSPNIDKPLRMTTDIMVHPISSTSDLGPMDVPPDMYPMYSCPNLSNSSPLPLWYGSCINSCQITSSKET -MAVTVWAINSTVDIIMGYKLTTFFETKFSHVGPFGGCSISLVGSEPRSAPEALISGWRSKILSQGNIQDA -AWYLYNDPECNYFSDIYSSGFFVRIDRIKLTVLIDAVGNLYLSDLVAGSYDSYDKGFATHGNNVWIWDTD -RVKVDNNCYFKQTDDTYCDYDNTTGYILCKTIGVSFRSELQTRVESPCAGHLNISTDGVIYRLEGTADTP -STQDRLSSILKNNVNLGMESLISLINDVFTNIESSYCTGMCDLMEVILSNYPTATTVLETPIGPWLPITT -NGHTVMTACSSDPNWVIKTPVSYCYSKKMIKVVNKDTMREAWWRIVNSYIILNETCTDENTTSFNLVKER -MELRQDITYSFWRGDLIVSYPYNKSRWITYKDEKIQRSSKWFDKLLPLRYNHPITLDNITMELINHTRDI -YDVHVYPSQGSTSKRPMSDVIGRVGAAGSNLIKHLVKGIGETFFWVTQHIELICDILIIIVCVVIGYCVV -LKPILYITMRGREKQQPVIVMRDEGRSHLFSRSTATNAL - diff --git a/seq/clusters_seq/cluster_1083 b/seq/clusters_seq/cluster_1083 deleted file mode 100644 index 281d052..0000000 --- a/seq/clusters_seq/cluster_1083 +++ /dev/null @@ -1,33 +0,0 @@ ->YP_010086601.1 glycoprotein [Physostegia chlorotic mottle virus] -MPPQITMSLVSTLMMTNLVLMVLFSVSLSIDTGEFWPMGNTGPVIPSPPSPPPESSGYAPSQIPNPANKN -AFNDPGLKAYSASHSDTMYDMVPVYSCNTTDEGFSVSEWYGICKAQCSYSSDTRQTSLSIFQYSQKIDFI -PVMGVETFSIVKKSHVSLLGTCMVYVESSTPIVMNVSEFSPYLERILQLGEDTRKTAIEYQTVDDPDCNY -WEDIEVSGYKFIIYPDAWGVSADLDGDYVVKNPYTGNYSYLNDSGVFYGSTWHLWNASSIILPSKCPLLL -ASVEDCRLNPLLGVYHCLDSGVLINTNGVKENVDTCVGKINVSSNGIFYMEGNKSLSLSITGRVSALLGS -QSPSYTGITDLLTTISDTVGILEDTYCGTLCDLADRSFQQMIYEEDVVDTANGPWLPVQNGGIMRVVPCR -VDTRHTFAIPLSYCANKNMFMIRNLDTGDTNWWNPVYSYFDKSDMCNISSVNPYKQTMDQNLPIKFNFWR -GEAVLYPPYTGPLQWSPRVNPNSIRSSKWFPQIKNVSDNIGLTLSFLSDHIVYNVNKTSTQINQNIPYST -VTSSPIVLILVKVWTDIQIVASGIWSFFTGFTKWVYIIGFTFLAIYATKLFLSIFRRTSDPDWM - ->YP_009094357.1 glycoprotein [Eggplant mottled dwarf nucleorhabdovirus] -MDKLSVFCIVLLHSSLSTQSFDFGKLIQEGGRGPVIQSPSSSKDIQDEYAPSFIPNPAAKNLYNDPGLQA -YSVTHSDTIYDMVPVYSCNTTDEGFSVSEWYGICKAQCSYSSDMRHTALSIFQYSQKIDYIPVMGVETFT -ITKKSHVSLLGTCMVYVESSVPTVLNISEFSPYLDQIIQLGEDPRKTAIEYQTMDDPECTYWEDIEVSGY -KFIIYPDAWGVSSDLEGNLVVKNPYTGNYSSLSDNGVFYGSTWHLWNSSYAYMPRTCPLLLASVEDCLLN -PLLGVYHCPDSGVLINTNGVIEKSDTCVGSVNISSNGIFYVEGNKSLSLAITGRVSTLIGSQNPSYTGIT -DLLTTISDTIGILEDTYCGTLCDLADRSFQQMIYEEDVVDTANGPWLPVQNGGIMRVVPCRVDTRHAFSI -PLSYCANKNMFMIRNIDTGDTNWWNPVYSYFDKSDMCNISSINPYKQMMDQGSPIKFNFWRGEAVLYPPY -TGPLQWTPRANPNSIRSSKWFPQIKNVSDSIGMTIDKFSDHIVFNVNKTSSQVSQNTPYSTVVTSPIVKI -LTKIWTDVQIIAGGIWSFLTGFTKWVYILVLTILVLYATRIFISFFRRTNDPGWM - ->YP_004927970.1 glycoprotein [Potato yellow dwarf nucleorhabdovirus] -MFSLLNVTVFLACLTAIFAEFIPNTGTQGTVVNPPDGTTPPSAPTLPTPPPPRYDPGLAAYKETHSDTMY -DVNPVYQCNQTGIGYSVSEWYGICKDQCSSSTKRSIWNISLFDYQNFVASIPVFATTILSVTKTSHVSFF -GTCLAYVSDERAIDMNYTDFISHIPRLLNSTSLRPGDTSIVDDTSSADCSYWSDNTRVGNIFTMDTDVWS -LTITITGDLLIKNPYSLSYTPYNESAVFFNGKWFFWDSRDTHSAPECALSPAGDDSCSLNANLGILSCER -SGIIINLNGLRSLDNTCVGSVNISSNNVPFRISSSLQHDPVRSKLYDLMSSTSPSYTGITALLETIGDTI -DTLESTYCSALCDLSDRSFQTIVDDEDVVDTPNGPWLPVQDQEIIRLVPCGADINWLIYIPLTVCVGSNL -VKISRLGSSDAHWWDPQKTYYDPQESCDHESVNNYDSLTRRRQNISIVFWRGTAVLSYPYTGPIIWIPRG -NPNSIRSSKWFPQIKNISDKASLTMSTLSATITQSIGRSINTSRDDSYIDYSTNQISFLAGKIWTDICIA -ASSVWNFATGTLKYGTAIIMALVATYIGGTLFRILTAPRRSSSWADM - diff --git a/seq/clusters_seq/cluster_1084 b/seq/clusters_seq/cluster_1084 deleted file mode 100644 index 89c7201..0000000 --- a/seq/clusters_seq/cluster_1084 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_010086596.1 nucleocapsid protein [Physostegia chlorotic mottle virus] -MNVNDALALLSNEVKYSEWDSREHIPSAGGKAVQVEYTYNDYLSKITSLYDLSPMESTDIATSFISLMDS -IEKSTLTEKEIAMIFRIAFQIKNIEKSSDRLLSKARQYPEGKEGIVKSALDNVKLSGGELQTAAASITIP -AGGVAQAPRQPPSASDVAVSGPYICMALLRLIAKTVESFQRSLTTLKANFGRFYGVQSQAVNDFAPPTQS -LMQIIQGLDTYTAASQTLSWMLGQAETTVPRSDKNHGFMRYLIYQHAEMRGMQVYKMLLTTLAGLPAITP -AKFLRAIEIPDAVTTIKTIVNIVQKLDLPPERVTDYHWKYAKYVDQSYFVNLSASRNAKFIYLIACIMEK -EGLVTGPAYANPKNIKVLEALKNNPSTSAYFEKMADNFHMVYEGLEGLSGEGVGVVMRMSNPHRPMKRPA -QETATPSAKRAAPAPAAPQAEEMVVDGRVEEPQPSVSADPGSAAAALEKGGYLDSL - ->YP_009094352.1 nucleocapsid protein [Eggplant mottled dwarf nucleorhabdovirus] -MNVNDALALLSNEVKYAEWDSRDHIPSTGGKPVQVEYSYADYLSKITSLYDLGPMENADIATSFIKLMDS -IEKSTLTEVEIAMIFRIAFQIKNIERSSERLLTNAKPHPDGKSNIIKSALDDVRLAGEEQQTAAASITIP -AGGISPQPKPTPSSADVAVSGPYICMALLRLVAKTVESFQRSLPTLKSSFGRFYGVQSQAVNSFSPPTQS -LMQIIQGLDTYTAASQTLSWMLGQAESTIPRSDKNHGFMRYLIYQHAEMRGMQVYKMLLTTLAGLPAITP -AKFLRAIEIPDAVTTIKTIVDIVKKLDLPPERVVDHHWKYAKYVDQSYFVNLSASRNTKFIYLIACIMGK -EGLITGPAYANPKNIKVLESIKNNPSTSAYFERMADNFHMVYEGLESLSGEGVGVVMRMSNPHRPMKRPA -PEATTSTAKRPAPASQPPPESEMQVDGTAPADVPPQQADPGTAAAALEKGGFLSGL - ->YP_004927965.1 nucleocapsid protein [Potato yellow dwarf nucleorhabdovirus] -MNNANTAQFVQLLKGGKEYEDWNSRDDIPAADGKLVQIEYSDADFWSKLTTIYSLDDLGPSDLVAAWTRI -RESIDNSTISESIIGEIFRVAAHIKKVEDKTSRIIGSFAPPTARGVVTKTGLDTAPIVFGQSVTVGADIT -VQNTAPTVRTTDAGDAVVSAPYLCMALLRLMTKPVESFNRSLTTIRTSYGRFYGMQSAEVTNFSAPLNSL -QQLSTGLDTYPTCNSTMAWMMGFAEGSILRNNKNHGFMRFLIFQHAEMRGMQIYKMILTALAGLPAITPA -QFLRAIEIPDAVKAIKTVMKIATTLDKPGRQDPTYWWKYGKYIEPSYFVDLSVGRNTKFAYLMACILNEM -SLINGPEYANPKNIKALESIKNNIELTNYYEGLSRNFSILYRSLETESGIGIGIAMQMGGAPAPKARAQK -RANEEAPPAAQKRATPAAQQDQQAAGTSGTASATPVDAMNAALQSGVLDQLP - diff --git a/seq/clusters_seq/cluster_1085 b/seq/clusters_seq/cluster_1085 deleted file mode 100644 index eebdd2a..0000000 --- a/seq/clusters_seq/cluster_1085 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_001249271.1 phosphoprotein [Mapuera orthorubulavirus] -MDLTFSPSEIDDLFGTGLDTIQFITDQKSKQNDAHGSAKDSPPQTQNGPDSGPSDPTQVQGAKPKSHGIY -PPIPTAPPVPTARHPGSRVDDPVLYDYPRRGKVTTHEPKESSQADGYEYDSYLAQNAKTNILKRWTDVSG -DVEPIPMNPEVFKRGGQTSPSPQIPIQVIAENGALGGLGQQSRSWSGATPPALQLQQHPDTMNVSVESAL -RSAPDVSEIMDMLRRLDARIGSVEAKMDRILAVGATVNQIKNEVSSLKSITATIEGMITTVRIMDPGTPS -HMSATEVRRQLSDVPLVISGPGPVPQLDPRRDLIALDELARPKVVQSPPVASPAPSMASQLSDGTRIMIL -QMIKECVSDPLEQSRFETKLSSCTTEDQGKAIKMEILRRAT - ->YP_001249273.1 W protein [Mapuera orthorubulavirus] -MDLTFSPSEIDDLFGTGLDTIQFITDQKSKQNDAHGSAKDSPPQTQNGPDSGPSDPTQVQGAKPKSHGIY -PPIPTAPPVPTARHPGSRVDDPVLYDYPRRGKVTTHEPKESSQADGYEYDSYLAQNAKTNILKRWTDVSG -DVEPIPMNPEVFKRGGRPHQAHKSQSRSSPRMEHWVGWVNSQGLGVVQPHLLSNYSNIPIL - ->YP_001249272.1 V protein [Mapuera orthorubulavirus] -MDLTFSPSEIDDLFGTGLDTIQFITDQKSKQNDAHGSAKDSPPQTQNGPDSGPSDPTQVQGAKPKSHGIY -PPIPTAPPVPTARHPGSRVDDPVLYDYPRRGKVTTHEPKESSQADGYEYDSYLAQNAKTNILKRWTDVSG -DVEPIPMNPEVFKRGADLTKPTNPNPGHRREWSIGWVGSTVKVLEWCNPTCSPITATSRYYECVCGICPK -ICPRCVGDYGHVETAGRKDWLSRGEDGSDSSSRGDCESDQE - diff --git a/seq/clusters_seq/cluster_1086 b/seq/clusters_seq/cluster_1086 deleted file mode 100644 index 9c4dea1..0000000 --- a/seq/clusters_seq/cluster_1086 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009094156.1 W protein [Avian metaavulavirus 5] -MDITDDQAILDLLTLSNDVIESIQHAESAGSQPPTYGRSTIPKGTTMALTQAWEAESNPTQPQPSISPSQ -LDHIRVDQDSNKNHDNLKNQFDSHHHTSQDSETHPNIQVNPSVNRTNEEDNSKLVQQRDPSTTWEGGSML -DRELDAIQSKIKRGKTTKSAHQPSHTATHQSPNNLDDDIKKGGPDLR - ->YP_009094155.1 V protein [Avian metaavulavirus 5] -MDITDDQAILDLLTLSNDVIESIQHAESAGSQPPTYGRSTIPKGTTMALTQAWEAESNPTQPQPSISPSQ -LDHIRVDQDSNKNHDNLKNQFDSHHHTSQDSETHPNIQVNPSVNRTNEEDNSKLVQQRDPSTTWEGGSML -DRELDAIQSKIKRGKTTKSAHQPSHTATHQSPNNLDDDIKKGAQTSDNRDHTYSKSPTTKHTAKPNQDRL -SNQKRHRFLPTTFPGHRREYSLFFSDGRCSITEWCNPTCRPITAIPSVQRCTCGECPRRCSMCWNDS - ->YP_009094154.1 phosphoprotein [Avian metaavulavirus 5] -MDITDDQAILDLLTLSNDVIESIQHAESAGSQPPTYGRSTIPKGTTMALTQAWEAESNPTQPQPSISPSQ -LDHIRVDQDSNKNHDNLKNQFDSHHHTSQDSETHPNIQVNPSVNRTNEEDNSKLVQQRDPSTTWEGGSML -DRELDAIQSKIKRGKTTKSAHQPSHTATHQSPNNLDDDIKKGPRPQIIETTHTASHQPPNTQQNQTRTVS -PIKKDTDSSQQLSPATDASTPFFSLMEGAASQNGAIPPVAQSLQSRVFKDAPAGNALDDAQCVGMILKSI -SLLNNRLDSIEAKINELVKSISTTNQIKSDTQQIKASCALLEGQMAMIQVLEPGHADVSSLNEMKQRAKS -TIIINSDPACPTPPISNNTIIVKDDLARPSFTESPKVPSQTASGMLTDFIPDIEMLKALMSRFDLSEQKT -KKILESISQIRSKDDVKRIKRMILNA - diff --git a/seq/clusters_seq/cluster_1087 b/seq/clusters_seq/cluster_1087 deleted file mode 100644 index 548cdc5..0000000 --- a/seq/clusters_seq/cluster_1087 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009137511.1 putative minor structural protein, partial [Sapovirus Hu/Nagoya/NGY-1/2012/JPN] -MSWLVGTLQSLGGLTDVASTISGMVYQHRHLDQLKRQNDLQEQWMARNEQLQRDAMQLTQDLAVNAPAMR -VQAALNAGFDVVSARQLAGSTERRINGYLDQPIRTIDQAMAVQSRGNLTSLSNALATYQKGTQFGLKQPK -GFKSPIAAEQSRGPTITLGPPPPSTNL - ->YP_077280.1 hypothetical protein SHV01_gp2 [Sapovirus Hu/Dresden/pJG-Sap01/DE] -MSWLVGALQTFGSLADVAGTVSNIVYQQRQAAQLEKQNELMETWMNKQEALQKSQMELTRDLSINGPAAR -VQSALDAGFDEVSARRIAGSGERVIWGNLDRPIMHAGTMDSIRQTRHLDSLSHSLATFKNGTPFGKPAPP -TAKSGRPQATTAQITIGHNPGSTSV - ->sp|Q69016.1|VP2_SVM93 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MSWLVGALQTFGSLADVAGTVSNIVYQQRQAAQLEKQNELMETWMNKQEALQKSQMELTRDLSINGPAAR -VQSALDAGFDEVSARRIAGSGERVIWGNLDRPIMHAGTMDSIRQTKHLDSLSHSLATFKNGTPFGKPAPP -TTKFGKPQATTAQINIGHNPGSSSV - diff --git a/seq/clusters_seq/cluster_1088 b/seq/clusters_seq/cluster_1088 deleted file mode 100644 index bdda443..0000000 --- a/seq/clusters_seq/cluster_1088 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009825037.1 NSP9 [Duck coronavirus] -NNELMPQGIKTKACIAGTDQAHCNVESKCYYTNINGSSVVAAITSDNPNLKVASFLSDAGNQIFVDLDPP -CKFGMKVGGKVEVVYLYFLKNTKSIIRGMVLGAISNVVVLQ - ->YP_009755918.1 nsp9 [Canada goose coronavirus] -NNELMPTGVKTAACVAGVDQTSCNVEAKCYYTNINGAKVVAAITSLKADLRYASFFNDAGKQIYIELDPP -CKFGMNVNGKVEVVYLYFIKNTRSIVRGMVLGAISNVVVLQ - ->YP_001941182.1 NSP9 [Turkey coronavirus] -NNELMPHGVKTKACVAGVDQAHCSVESKCYYTNISGNSVVAAITSSNPNLKVASFLNEAGNQIYVDLDPP -CKFGMKVGDKVEVVYLYFIKNTRSIVRGMVLGAISNVVVLQ - diff --git a/seq/clusters_seq/cluster_1089 b/seq/clusters_seq/cluster_1089 deleted file mode 100644 index ab5301b..0000000 --- a/seq/clusters_seq/cluster_1089 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009825032.1 NSP4 [Duck coronavirus] -GVLKDTMSWFWFIVKFVVVCYLIFTAGCLYVYHTDYYGVKMHPMYDVNSTFPVENFKVIENGVLRDLVSE -DNCFSNKFLGFDDFWGKPYTNSRDCPIVTALIDGTGFVAAGVPGYVHWVMDNTMFIHTAQAEQKPWYAPS -WFPQEDVVGYTIDSVITQGEFYSSIATFPARCMYFATSAAPQLYCYGGENDAPGAMPYESVQPHRDIFQP -NNVRFSIPQQIMYTPYIVKLASDNYCRGSVCEKTKLGYCYSWNPRWVLYNDDYVKLPGVYCGATVRELVF -SMLGSFFTGVSPNLYLHLTTMFLVLLCVMVVFALVIRFQGVFKAYTPVVFAVIMVWGLNVFMLCVYSYNP -FVAVMLLALYCYMSLMVGRTVSTVMHLWVVFTFIMVVPWWLACVYIAFIAYMYTPFAMWCYGTAKRTRKL -YEGNEFVGSYDLAAQSTFVIRNVEFVKLSNEVGEKLDVYLSAYARLKYYSGTGGEQDYLHACRAWLAYAL -DQYRANGVEVLYTPPKFSMGVSRLQ - ->YP_009755913.1 nsp4 [Canada goose coronavirus] -GVVSNFWTWIWRFCLLLTLVFVVCSHLYVLNTSFNHGRVETSSANFKVIDNGVIRSIVNEDSCFSNKYVQ -FDNFLGRDYVQDNSCPIVVAVNFNQGVIATGVPGFVGWHDANLILHSIVEELKAWYAPTWFADDAALGFT -QDAVINGDDFYTSVAIFAARCLYLKTGTETLYCFGGSVDVSGAEPFSVLVPHRLYLQPNGVKVSFPKQLL -YNPYVVQTVDTTYCRAGVCEPSKAGYCVSLTGRWLLYNDDYLRESGNFCGGSWLELFFNMVNAFIVGVSP -NIYMHIAMMFVLLCIVIILFLCILKFQGIFKAYAMMVFSIIVVWLINIFMVTCYSYNPFVAIILAIVYCY -WSLLVSRNFAVVMHLWLVVTFYFIVPMWIFVIYVVFLLYAYTPLCFWCVGNVRATKKLYENGEFVGSYDI -ASQATFVIRAAEYCKLANEVGDKLDAYLAAYARLKYYSGTGGDEEYRQACRAWLAYALNQYQTSQVEVLY -TPPKFAIGTTRLQ - ->YP_001941177.1 NSP4 [Turkey coronavirus] -GIIGGTFNWFKSCCKWLLIFYVLFTLCCLGYYHMEMNKIFVHPMYDVNSTMHVEGFKVIDKGVIRDIVPE -DACFSNKFANFDAFWGKSYENSRDCPIVTAIIDGAGTVAAGVPGFVDWVMNGVMFVHMAQTDRRPWYVPA -WFNREIVGYTQDSIVTEGSFYTSIALFSARCLYLIASNTPQLYCFNGDSDAPGALPFSSIIPHRVYFQPN -GVRLIVPQQIMHTPYIVKLLSDSYCRGSVCEYTKPGYCMSLNSQWVLFNDEYIGRPGVFCGSTVRELVFN -MVSTFFTGVNPNIYMQLATMFLILVIVVLIFAMVIKFQGVFKAYATIVFTIMLVWAVNAFVLCVHSYNSV -VAAILLVLYCYASLVTSRNTSIIMHCWLVFTFGLIVPIWLACCYLAFVLYVYTPLFFWCYGTTKNTRKLY -DGNEFVGNYDLAAKSTFVIRGSEFVKLTNEIGDKFEAYLSAYARLKYYSGTGSEQDYLQACRAWLAYALD -QYRNSGVEIVYTPPRYSIGVSRLQ - diff --git a/seq/clusters_seq/cluster_109 b/seq/clusters_seq/cluster_109 deleted file mode 100644 index 0dadc39..0000000 --- a/seq/clusters_seq/cluster_109 +++ /dev/null @@ -1,152 +0,0 @@ ->YP_010087353.1 triple gene block protein 2 [Cnidium virus X] -MPLTPDTQQQTFRTLIACVCVVAAFWALTRSTLPHVGDPSHSLPFGGWYKDGTKSFAFNGQQSGPNSNHK -SLALVLALVIIIVLHALARRSNNNQCARFVN - ->YP_009553178.1 triple gene block protein 2 [Chrysanthemum virus R] -MPLTPPPDHTKAFLAIAVGFSCALIISLFTRSTLPQVGDQIHSLPHGGWYKDGTKQIYYGRPNKLNSVEK -QGFLIGQPWAIVIILIALIILSSFRGPGRCMVCGQRH - ->YP_009664750.1 12 KDa protein, partial [Verbena latent virus] -MPLIAPPDNTRTFLCLAVGISLSLFIGLYTRNTLPHVGDLHHSLPHGGFYRDGTKTISYLKPNKLNSVEG -HQKHFATQP - ->YP_009508319.1 triple gene block protein 2 [Atractylodes mottle virus] -MQLTPPPDHSKTYLGLAIGAGLALVIYTYSRSTLPHVGDNLHHLPHGGCYQDGTKSIFYGQPHKLNSLER -PTQLLQQPWAYVIILGAIIFLLNIWESRTCRCGRCVR - ->YP_009389421.1 TGB 2 protein [Euonymus yellow vein virus] -MPLTPPRDYSTVFVVALAAFSLVAFTFTATRSTLPFVGDNIHSLAHGGCYRDGTKSIQYFPPTPVGSALK -GGYLAAAITCIVIPGVLYAVHRSNLHCKPVPCPNCRTHVASPQ - ->YP_009288958.1 triple gene block protein 2 [Ligustrum virus A] -MPLSPPPDNTRIYLCVAFGLSLTTFIWSFSRSTLPFVGDNIHQLPHGGFYQDGTKTIRYNSPGKLNSLEA -PTRFLKQPWAIVIALIAIIIFLNKSSNSRCATCGHRH - ->YP_009275352.1 triple gene block protein II [Jasmine virus C] -MPLTPPPDYTRAIICAVVGVSLALALGLFTRSTIPFAGDQLHSLPHGGCYQDGTKKIFYNSPKKLNSIEQ -SLVSREIIFVVVISIVGFLLAVNFRGRVTCSTCGHARH - ->YP_009224954.1 triple gene block protein 2 [Elderberry carlavirus E] -MPLTAPPNYSNAVLALAVGCSLALLVGLYTRTTLPHVGDLQHSLPHGGTYRDGTKSVFYCGPKALNSLSG -TSSKKHLVWAIVLSLSLILFYLRPRRHQCQRCQVSGSC - ->YP_009224942.1 triple gene block protein 2 [Elderberry carlavirus C] -MPLTPPPDYTKAVLAIAIGVSVALLVGLYTRTTLPHVGDLQHSLPHGGLYKDGTKQIAYGAPLKLNSVSS -AGPQRHYVWAAVLALCAIVYATSRRRAACNHICTTGVCCSQ - ->YP_009116870.1 triple gene block protein 2 [Yam latent virus] -MPLTPPPDYTKTILSAVVGCTFAVVVWALTRATIPQVGDRDHYLPHGGWYKDGTKTVHYYRPGKLNSVEG -YSKGLSFQPWAITVLLVAVIIALSSLDRSRCRTCGQVH - ->YP_009124990.1 12kDa triple gene block protein [Citrus yellow vein clearing virus] -MPLQPPPDHTWAFRLLALGAALALLTFTLNRDTSRHVGDPSHSLPFGGYYRDGSKVVHYNSPRATKPSTP -SFLYLAPILLILLIHAVNRFTNPRHSCSCTHCQPIPRT - ->YP_009022066.1 triple gene block 2 protein [Gaillardia latent virus] -MPLTAPPDHTKTWTVAAIGLSIVLFTLVYSRSTLPQVGDNIHSLPHGGYYKDGTKQIFYGAPHKLNSLEK -ALSVKFQPWAVVIGLIAVIVLINLLERRGTLCLRTYTCLTGSSV - ->YP_006522436.1 12K protein [Potato virus H] -MPLSPPPDYTKVLFCACVGVALSVIVHLSTRSTLPSVGDNIHSLPHGGFYRDGTKQIAYCSPKGLNSIEK -LSQSAFSPWSIVLFLIALIAISHWVDSKRCARCSNFHA - ->YP_006297588.1 unnamed protein product [American hop latent virus] -MPLSPPPNYTNAVLALAIGGSLAVLTGLFTRTTLPHVGDNSHSLPHGGFYRDGTKVISYGGPCKLNSVEG -GDFRKHSVWALVVVLIGVVVFLSRPRRACPSCNHSH - ->YP_004901682.1 triple gene block 2 protein [Mirabilis jalapa mottle virus] -MPLTPPANYTSVYVAAAVGLSIALIVGLVTRSTLPHVGDLQHSLPHGGLYKDGTKSINYFKPRSLNSIEA -KASFWGQPWCLVILLVAVIICLSRKANVCSTCGRQH - ->YP_003075959.1 triple gene block 2 protein [Kalanchoe latent virus] -MPLTPPVNYSQVYVAAVIGAAVAITLGLISRSTLPNVGDLQHNLPHGGRYRDGTKVVEYFKPGKLNSFES -RNSFKSQPWLLVVLLIALIIGLSRRTNACPTCGRQH - ->YP_002985638.1 triple gene block 2 [Hydrangea chlorotic mottle virus] -MPLTPPPNFAQTYLAAAVGISTAILVGLLTRSTLPHVGDLQHSLPHGGRYRDGTKSVDYCSPRKLNSVER -GFQGQWLIWPLVIVLVGLVIFLSKKRSNCYACGATH - ->YP_002308449.1 triple gene block 2 protein [Hippeastrum latent virus] -MPLTPPPDYTKAVLCAATGISIALIIGLWTRTTLPFAGDQAHSFPHGGFYQDGTKRASYNCPHKLNSIGH -PAVSREVIFLVVLALSGCIAFRTLGQSRCCQCCGRRHA - ->YP_002302559.1 triple gene block protein 2 [Potato latent virus] -MPLTPPPDYTKAILAACIGLSLALLVGVYSRSTIPAVGDNLHSLPHGGTYLDGTKRIVYGAPNKLNSLEG -QRTLSGQPWAIVIVLIAVIILLSRFNSTCAHCGRCH - ->YP_001798594.1 triple gene block protein 2 [Hop mosaic virus] -MPLTPPPDYTKVLASAVIGATLALIAWTLSRNTLPQVGDRDHYLPHGGFYRDGTKVIRYFGPNKLNSLEG -RSGGGLWQPWAIVVVLVAVIVGLSRGFSPRCARCGQCH - ->YP_001661448.1 triple gene block protein [Ligustrum necrotic ringspot virus] -MPLTPPANYIQVYLSAAIGISLALIVGLATRNTLPIVGDLQHNLPHGGRYRDGTKSVDYFKPCKLNSVEG -GTSWVSQPWLLVILLVAAIILLSRKPNYCSTCGRVH - ->YP_001552319.1 triple gene block 2 protein [Phlox virus B] -MPLTPPPDHTRSLLAVAIGFSIIGIVLVYSRSTLPFTGDNIHSLPHGGLYKDGTKQIQYGAPRKLNSLEG -PQKLATQPWAYVLLLTLLILISVQVDRFRVCRCGVRH - ->YP_001429587.1 triple block protein 2 [Potato virus P] -MPLTPPPNYSQSFLCAAIGLSIALSLGLLTRSTLPFAGDGNHSLPHGGFYRDGTKHILYNHPKKLNSVEA -GSAWCKQPWLLCITLVLLITYLSKRGERCQTCGRQH - ->YP_001165303.1 triple gene block 2 protein [Phlox virus S] -MPLTAPPDHTKTAVVAAAGLTLVLFTLVYSRSTLPQVGDNIHSLPHGGYYKDGTKQVIYGSPNRLNSLER -AVNLKFQPWAYVLCLIALIYIVGLFERRGVCSCGRVHA - ->YP_001086454.1 Triple gene block protein 2 [Chrysanthemum virus B] -MPLTPPPDHTKVLLVAAIGLSIVASILTYSRNTLPQVGDHSHLLPHGGVYKDGTKTIVYGGPRKLNSLEG -GFNLPVQPWFLVILLSAAIFLLSCRSGHRRCVCGQCH - ->YP_717535.1 TgBP2 [Passiflora latent virus] -MPLTPPPNYTQVYVAVAIGASIALALGLLTRNTLPTVGDLQHNLPHGGTYRDGTKCVHYFKPAKLNSIEP -RNSWGTQPWALVIILTALIIALSRKSNVCATCGRHHS - ->YP_699985.1 Triple gene block protein 2 [Narcissus common latent virus] -MPLTPPRDFTKVYIAASVGATLALVTWLLTKNTLPAVGDRDHNLPHGGLYRDGTKAIKYNSPCKLNSIEG -HSRGIWNQPWALVFILSVLIIISNRLDSRVCRRCGTTH - ->YP_595729.1 triple gene block 2 [Daphne virus S] -MPLTPPPDYTTAVLVAAATLGATLFISSLTRNTQPQVGDNIHSLPHGGYYKDGTKVVHYGAPGKFNSVEF -SRDCYFQPWFVIVLLTFLIILSSKYRGHTCAACR - ->YP_277430.1 12K protein [Potato virus S] -MPLTPPPNYTGLYIAAVLGVSLAAIVALFTRSTLPIVGDSQHNLPHGGRDRDGTKAIDYFKPAKLNSVEP -GNHWYAQPWLLVLLLVALICLSGRHAPCCPRCNRVHSA - ->YP_164260.1 triple gene block protein 2 [Sweet potato chlorotic fleck virus] -MSLTPPADYSKSVLAFSVGCGIAVIIFVTTRSTLPYVGDGQHSLPHGGTYCDAAKRVIYGKPSRGAFDWL -YTSGSASYAIPLILCLTVLIYCLSPKPQLVCHRCGSQH - ->NP_932790.1 triple gene block protein 2 [Lily symptomless virus] -MPLTPPPDYTRVYTALAIGASIAFFTGLITRNTLPSVGDLQHNLPHGGRYRDGTKSVEYCGPRKLNSVES -GSRWTFQPWLLVIVLVALIIALGRQGHNCRACGRSH - ->NP_702990.1 hypothetical protein TVXgp3 [Tulip virus X] -MSGAHHLTPPTNLGKPVLAVSVGLSLALLAYTATRSTLPHVGDTTHSLPHGGRYVDGTKRIDYFRPHSPN -SNPTYPFILLTILILSGLILLLSRRGPAPARCPCCQRPH - ->NP_624315.1 movement protein 2 [Sugarcane striate mosaic-associated virus] -MKSNIASLMSALRQPSDNSESIKICAALGGTALLLYIWCQPNNPKSGDLGHWLPFGGVYKDGNKFVRYNS -PLHTAPPFWLLIAIPLAFFALTHFSYFSNTPRRRVTITHYPLCGHFVCRR - ->NP_612810.1 12 kDa protein [Blueberry scorch virus] -MPLTPPPNYTQAITAACIGISLALLVGLLTRSTLPTVGDLQHNLPHGGRYRDGTKCIDYRGPAKLNSVEG -HGTWGTQPWLLVIVLVGAIILLSKRGTRTCQCGTTH - ->NP_116489.1 12K protein [Aconitum latent virus] -MVLTPPPNYTNAVLSGVAGFALALVVWLLTKSTLPHVGDREHSLPHGGLYKDGTKQVNYYKPCKLNSIEG -HNRGLSFQPWALVLLLVLLVVLSERFNSKRCNRCSVSHA - ->NP_066260.1 11 kDa protein [Hop latent virus] -MPLTPPPDYSKLLISVVAGAAVASSIWLLTKNTLPIVGDREHALPHGGLYKDGTKTVLYSSPGKLNSLEG -HSRGLRFQPWAVVVALVGVIVLLSRASLGRCQLCGCRH - ->NP_056769.1 12K protein [Potato virus M] -MPLTPPPDFTKVYLSAALGVSLALVVWLLIRSTLPVVGDRDHNLPHGGWYRDGTKSVFYNSPGRLNSIEA -RKAPLLGQPWAIVVLLVLLIWASHKLGRPNCRACAGSHT - ->sp|P16651.1|TGB2_PVSP RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 -MPLTPPPNYTGLYIAAALGVSLAAVVALFTRSTLPIVGDSQHNLPHGGRYRDGTKAIDYFKPTKLNSVEP -GNYWYTQPWLLVILLVALICLSGRHAQCCPRCNRVHSA - diff --git a/seq/clusters_seq/cluster_1090 b/seq/clusters_seq/cluster_1090 deleted file mode 100644 index a0566f3..0000000 --- a/seq/clusters_seq/cluster_1090 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009825013.1 hypothetical protein HO267_gp08 [Duck coronavirus] -MCKCREYLKLFFDSASILRAHKSIHFEDPGINPLCFALSLQEIIFSKQVLLTFVPKTVVVNGLVFQVDNG -KVYYEGEPIFQKGCCRLWSYYKRH - ->YP_009755902.1 ORF5b [Canada goose coronavirus] -MCNCKIHLQNIFGSKSILKVYTSIIVTDIEVSSLCFAITLSELLKLNQLMLSFLPSIIIVNGIDIVVKFG -VVHYDGIPLVKGVLTKSA - ->YP_001941171.1 hypothetical protein TCoV_gp08 [Turkey coronavirus] -MCVCREYLKLFFNSASILRAWKSIWFEDININPLCFVLSLQELLFKQQFFLSFVWKKVVVNGVEFQVENG -KVHYEGNPIFQKGCCRLWSHYKRD - diff --git a/seq/clusters_seq/cluster_1091 b/seq/clusters_seq/cluster_1091 deleted file mode 100644 index 2323493..0000000 --- a/seq/clusters_seq/cluster_1091 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009433743.1 NS7a protein [Sparrow coronavirus HKU17] -MEFRLTLPSSPLKTMAIGCVTPDKSQVVLKFLHPMPSIIQAQVREEILSMVNSLLMIPRQPLVLLGLRVR -EQTLLLSLMLPNATPTILNISCYLSDFQPEMAQLKVSELTPSTLEEDLRSVEVAQDLNLLTPEALTISQG -NATSPHLLRYVVRPNIKLPSGLCPRAKPSLRYLATGLVLAPMSALQTLRRRVWLILASWL - ->YP_009513026.1 NS7 protein [Porcine coronavirus HKU15] -MEFRLTPPSNPLKTMATGCVTPDKSQVVLRFLHPMPFIILAQVPEEILSMVNSLLMIPQQPLVLLGLRVR -ELTLLLSLMLPNATPTILNISCYLSDSQPEMAQLKVSELTPSTLEEDLRSVEVAQDLNLLTPEAQAISPG -NATNLHPLRYVVRPNIKLPSGLYPRVKPFLRYLATGLVLVPMSALQTLRRRVWLILASWL - ->YP_005352843.1 NS7a protein [White-eye coronavirus HKU16] -MLLGFRCSKLKIRRQSILNFVVMEFQLILPLRPRKTMAIGYVTIDKSQVVLQFLHPMPSIIQAQVPEEIL -SMVNFLLMIPRIRPGLLGSKQREQTLILSLMLPNATPTILSMRCCLSDFHQEMVQPQVLELTRSIPEVGP -WIDPMVPDQCLLIPDLKQISQDVVTNRHQLQSGEKPSIKPLSAHCRRVKLFPRYLVTVPGRVLMSVLLTL -RKLVWLIPGLWH - diff --git a/seq/clusters_seq/cluster_1092 b/seq/clusters_seq/cluster_1092 deleted file mode 100644 index ad8e920..0000000 --- a/seq/clusters_seq/cluster_1092 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009553331.1 hypothetical protein [Trichoderma harzianum bipartite mycovirus 1] -MAAQPQPSLLAQFGGANTNIDFSADPNRLAAALPIPEMGDSFEELQRKLRALAHVVEQGRSVAVATGYKA -AAQQERDPREIARMAMTPVEIMQLDAWETGAALPKMDWGKDQGEPPQGDASRATALRRAAALNRLYKTDK -ATVENSTYFVKNYSPLIPLLTAVTKIIGAQRDLVGGMEELDEMQMAEIQTIGKIVATAQTNINRIQEEVR -RLLRDINYSNSVLLARSHALKELIPKYKKKKDPVNDARLAVGRPAIGRSFDYRSKAAGAREDRTNFLRGT -GLVAGRAGPYPREAKRVRTQSPNPPAEEGEPMAQ - ->YP_007985676.1 hypothetical protein [Cryphonectria parasitica bipartite mycovirus 1] -MADTTPSFDDLFAGGQQQVDFSAPEGGIAAVLPVPDETDNLQTTARKLAALAAIVERGKSLAVHTGYAGA -AHATRDPVDLAKTSMTPAEVKQYETWARGIRMPEFNFVTNREPLRGQEGARKRYERRARAMNKIWKTNVA -VAENAMFVSIHLTYMVPLVAAVSKIEAAEMELVGGSNDLTEMELAEVQTAHKITSIASANMNSMMEQIRR -LTSSINSSKEIIQAREHAIKRKIQGYKPKKDPINDARQYLGEAPIGDEVDYRGATRGAREDRTDMLGGIL -GGTNQGALGGGPSNPQIQRQARATGARPTAPPVEKRARRTGPTREDIEMEY - ->YP_001976145.1 hypothetical protein CThTV_RNA2gp1 [Curvularia thermal tolerance virus] -MSGMKAPVSFLEKYGGATANVDFSEGPSLPAEVLPMPEPTDSLQTLSNKMRALAEVVERGASVARFTGML -GTPQGSRDAEEVGKLRMTDLEREMFDHWAAGGVLPPIDWDKDMKKAPSSGEGMRRAQRRAQALNMLYKTD -KAQPQHVMWYQDTYATIIPLLVAVTKVIGAQTDFLSGQDKLTDNEVAEVQTIGRATGTTYATINAIQEEV -HKLLANINRSKDVLQAREHAIKAKNPEYKKKRSPENDRRGLLGKRKIGVEVDFGQAPEPSRRARRDLAQG -IRPRSVIEAAKATAESREKGKQPAKEGNGTPPTAGRRDGHFQLGGWQDTGV - diff --git a/seq/clusters_seq/cluster_1093 b/seq/clusters_seq/cluster_1093 deleted file mode 100644 index 414013b..0000000 --- a/seq/clusters_seq/cluster_1093 +++ /dev/null @@ -1,18 +0,0 @@ ->NP_835347.1 putative coronavirus nsp3 (HD2) [Human coronavirus 229E] -SGKTTSMFKSISLFAGFFVMFWAELFVYTTTIWVNPGFLTPFMILLVALSLCLTFVVKHKVLFLQVFLLP -SIIVAAIQNCAWDYHVTKVLAEKFDYNVSVMQMDIQGFVNIFICLFVALLHTWRFAKERCTHWCTYLFSL -IAVLYTALYSYDYVSLLVMLLCAISNEWYIGAIIFRICRFGVAFLPVEYVSYFDGVKTVLLFYMLLGFVS -CMYYGLLYWINRFCKCTLGVYDFCVSPAEFKYMVANGLNAPNGPFDALFLSFKLMGIGGPRTIKVSTVQ - ->YP_008439217.1 nsp6 [Bat coronavirus CDPHE15/USA/2006] -SGIISRSVRNVLLVGLFVLLFWSELVIYTPFFWVSPAYITPLFLIVSGVSILCMSLLKHKTLFLQMFLIP -AVIAVSAYNLAYDLEIRTWMATKLDYHASILSFNIQGIFNIMVCCVVVCLHAYRCVTRSSSVFTMVVACV -TSCYSYVICGDALSAAMAIMLNITGNWFVGAGAYRLATYIVLLNPALPALVGDVKAIVFVYVAVGYVCCV -FYGILYWVNRFCKLSLGVYDFMVSPAEFKYMVANGLRAPTGAFDSLFLSARLLGIGGQRTIKISTVQ - ->NP_839960.1 putative coronavirus nsp3 (HD2) [Porcine epidemic diarrhea virus] -GGYVSRACRNVLLVGSFLTFFWSELVSYTKFFWVNPGYVTPMFACLSLLSSLLMFTLKHKTLFFQVFLIP -ALIVTSCINLAFDVEVYNYLAEHFDYHVSLMGFNAQGLVNIFVCFVVTILHGTYTWRFFNTPASSVTYVV -ALLTAAYNYFYASDILSCAMTLFASVTGNWFVGAVCYKVAVYMALRFPTFVAIFGDIKSVMFCYLVLGYF -TCCFYGILYWFNRFFKVSVGVYDYTVSAAEFKYMVANGLRAPTGTLDSLLLSAKLIGIGGERNIKISSVQ - diff --git a/seq/clusters_seq/cluster_1094 b/seq/clusters_seq/cluster_1094 deleted file mode 100644 index 6cdcc52..0000000 --- a/seq/clusters_seq/cluster_1094 +++ /dev/null @@ -1,12 +0,0 @@ ->NP_835344.1 leader protein p9 [Human coronavirus 229E] -MACNRVTLAVASDSEISANGCSTIAQAVRRYSEAASNGFRACRFVSLDLQDCIVGIADDTYVMGLHGNQT -LFCNIMKFSDRPFMLHGWLVFSNSNYLLEEFDVVFGKRGGG - ->YP_008439212.1 nsp1 [Bat coronavirus CDPHE15/USA/2006] -MASNHLTLAFASDMEVSAIGFGSINEAVSFYSDAAIDGFTQCRFVAAGLANTVEGVEPSDFVMVVTGVTQ -LRAYIDTFGSRPANLRGWLLFSNSNYFLSEMELIFGRRGG - ->NP_839957.1 putative leader protein [Porcine epidemic diarrhea virus] -MASNHVTLAFANDAEISAFGFCTASEAVSYYSEAAASGFMQCRFVSLDLADTVEGLLPEDYVMVVIGTTK -LSAYVDTFGSRPRNICGWLLFSNCNYFLEELELTFGRRGG - diff --git a/seq/clusters_seq/cluster_1095 b/seq/clusters_seq/cluster_1095 deleted file mode 100644 index 80db09c..0000000 --- a/seq/clusters_seq/cluster_1095 +++ /dev/null @@ -1,73 +0,0 @@ ->NP_620728.1 cap-pol fusion protein [Ustilago maydis virus H1] -MEQAAIPLNQGSNTAMSEDIGLAANILPVEQDDQASDSASVADQNNLIENNLLPDELEAQKIRKTLENDK -KPENVKKEKTTGEFTDVVQSAGLMADRVQEVVDVATWAKDVAIWAEFNAFDRNASATRQDPIKTSAIDWL -LNQAPTHQLDSTSANPPTWVPVGWGGKPNYALTISRRILIAIDAAEGARHDVYVTDSANLGIAWPDIGHP -DSPYAPSEVRGRPSMKHYNAAVSPQIISKITQTLDPTTAKFWQTSLQLKNETDAMWILRYRAKGAQVGDN -HLPLLVAAWQYYFDACRAHAAGLVCSKLGQRTAGYAYNIYKDTNWISSVAEQGKEIVNCIGMPQDYATFW -AMCCLPTQEIFAARTDALGTLYKTPFGAWRRRMRTEMCVISEHTVTYPSTAPNWWTNPNLILGFMEMYSM -KFGLDDQMNDALYVALLIPLMTVVGTPITLPEPVHSKDWFEGLVELGQGLDAVRQLHLSSPTATTAAWST -SQSVMIGILGEVAEAELAQREGVAISQNTAANVIRTVAMALSRPGSFTATILCKLMHFPSTAIELAGLQT -SFVPAGSLVPPPLWNYRRLALWQHNLVPIRASRSYLSHGPNFNRLWKPEGTLTPAHFVTTVNELQVLRRY -RILDVVVSGQSELIPFDIVWTASTGRVTIPAMDPALREKIALVKKYGVKLYDVGSAGVGYLDQPERDPAE -AVELYNSWQYMGITPSVAGSDVQYGGFVYDRPQTGGLPDDLNGPQAYSITSAIDAAEAAGMMKRQAIFRK -LATFGARGEIRTSQGNSSALLPVNSATTEERRDLSENCGWQGLVKLGVGYPTVQALKRAVAGRLGNDPMW -TASLPDKLSLDELSVIAGVLKIDLMLVECRLPDQVVTCVVGDPDYKRVLYLADDHWDTHPSRQLLHAATS -ATSNRSEAVAKPTVEISDKTRAVIYEQAIEKYNVNSLTKQGLLETHADLFPHREPPRSLLAAAPRANSPA -ESLGAGACECGCARIASKATQACDQILELMAMETAFPHRNATTSKKNNLQVKDICKIIIQKLKNPKTMKP -NARFGCAAAWLLRSQGQAAEFVTSVAAWVVSNRIGPAAWKWLWVGKGLYQTNEDDWVAKAKSIHDDLRKH -GYPPHVQATEEDWSQSLYLQALYGRGGVLVNWAEDFANKASHPDPIQAWDGRCYSQKLATEIINETVNSV -VETAYPKAVPQSFDRFMDNAYEWLVSGSSAGIPSPLKNSPMRDLVLKEYGLSPRPTKRSVMEAIPRDKVL -KILTETSPKIVAKAHMKLNETGGKARAIYGVTLWHYIFSNWLMAPVEKHLNHRSVDINLDGSAMLTATLH -RLGQVEANMVFNSYDYPDFNSMHTYEHMASIYRAAKRCAIKELRQRRGAQVSDQDLALIEHGFDWLIESV -YHQYVIHPDTGAIIKTASGLYSGDRDTTLINTLLNIAYASVTDRSMAHKYVDPGVVERLCHGDDIITVHR -SLPGAMLWNDEAAKCNLKGQESKLMIDHKHHEYLRIMGCDDVKLRGCLARCVATYVNGNWETERVVGVWA -KLQEAASSLATWIRRGASQEIVQELWYISRYRMLTETYRFTPTDAKSLNIRDSPQSSNTATLSYEQLPAN -VTSPYIKKLVAELPHDLRPTSKELGQLRRVLQKSTYGTELPLTYQQTDLTKISDGAIETVRTLGGAAGRV -FNSPDYHKLKLEGTAKSDWQLRNRIKAVYHLLSAIDLRGRSMSKIELISALTGATRASAARVLEADAELE -RSAKSAPRWDLPAELASTIVEIEWTQKSILGAWPETLSGSQGTPDARLIADIATLTDNYTSVRVGDVLRY - ->ALO50138.1 cap-pol fusion protein, partial [Wallemia sebi mycovirus 1] -MTDNTDQPRVSGQEQGGMANLPPGITGPPDSPRYNPPSPTEQPYQLQSPPSEFRVPSEGVPEMNYEQYQG -HSLPHSRNPLRTGPPISPIDNIGSEDVLRTNLLSRDNEVKFMSSRKGADLAFTNAPLSNKDIINDEKHLV -EFVSQNSFIRPKYVSDNGMFINKEQAIDASIFTRFKECAFWEFLPEDFEIKARYGHSVLSFPIQIELLNR -LNLPYHQLERNCYNDMLTLPTMFNIVPGGAGSVIIKSRSSMEHYPSYVSALALSKVTGSIDSSTTRAWQA -NFQLRSEDDATWLIKNRARTASVGDNHLYILVRALSYYMDAMWAQAKNVVVHTRKQSLAGQAYSIYHDTN -WVSSVAEGGKEVVNCTDMDPDYAYFWTCCTLKMPEVLSSMTSFDGGMVVSPYGALMTQMVTPMCVISDHK -IVHPRRAPTWFTQPQYMLSYIETYVDKFGLQQQLSEAYSIIQYLPIAKQLGLNVSLPEPIHSADWYENQV -EAHETTTCTIALAGSHPMQLVASVSVMPLVISCSLYDLSCVLIQDRKTITPKKEEKEYIKQFWKRALSRG -GAAMTFIFNKVLRAPLKAAHLCGWTKHIVDISPVLPDTWDDLNGLPLCIGMLQAVTSHSSIRSKFYEGAS -FSFTPSIINNLASIPISQFQSAWMLGIIKPSEMKESDMSRLKPIVPSLKYETAVTFRATNRTIELLKSSV -ADPIQITFRPPYALADQVSKIMLDPLDSDTVDNVWGFGGLETPESLLNRGGQFNQQAMNKVLDDESRVDD -KAQAISDSILSRVIEKVEAQSNEANAAGDDKAFKWVVNNTYALQMVGFHPPSGSNEIIPSQNDPNQDDAC -GWNVLLTVLFDRGFICTRARIYDFVKSVSGCKLNRDRLSAAELALVLAVFDLPLTMVLMDTNEVLYFEDK -FHNFSLQPIYLFGGHWSVGGISGSGLFHQPKQGQERCSVGEGDSGSLNSDIVGKSSLMSQSLMAWEHKLT -TTEDLVELHNYLYPGRGVPRFILEALPVESPLDSTPTYERVSWDNVLQVVFDNLQGIKNLKLRECLSGKR -RASVNWLIAEYMSEEATLSNYYFREPSTAKKHNVTLTDILECGVRTLDNQKFALVFGFCLLHTGQALEFV -SSVSLWLVLSNFNELSLGALLSEKVLLTDEDKWIEVTKPLHDKWRKFGIRDTSGRKLDDQLWSQLLYLPS -LFGRGGVEVDWQKEFLNKSKEPDNILMFTRLGWSATAAEDLIRVEMDKLVSTAYPKATPRSFDDFMDMAY -EWLVSGSSAGLPSVLKDSPLRKEVLDEYGIQPRPTKRSVMEAIPREKVMSILETSPKVVSKAHMKLNETG -GKARAIYGVSLWHYIFSNWLIAPVETHLKSEYIDINLPNSRFVELLFTRAHKCRQGAIFSSYDYPDFNSM -HSHTNMSNLYLSAKNHAVANLKNEGKMSLGDRSIIARGFDWLVDSTFRQAVIHPVTGSLITTVGGLYSGN -RDTTLLNTLLNVCYSKVVDNSMIAMGMSPGVTSRFCHGDDIITLFTNYPSAVAWNEVAEKCRLKGQETKL -LTDCSYHEYLRVMGSPSGKLLGCLARSCATFTNGNWESDRTVGLGNKVREIFSNVSTLVRRGADKKVCEQ -LWLLAASRSAEKIKSLRAYKPHLINMLPLNKDEKQRYMEKKGLTGDLILTAGRKNRDEEIDYSKLQNNVT -GPYMKKIIKSLPDWASVNKENQSKMMNVLQSSTYGTELPAGNQDVGMALSISRIPAMSYILPGDNSKLPN -GIGSSDSTLKLMKGTIHGFDYKKALRIISDEAPNWRIKNRVKALFVVLQHCSIVGGHNKYELIGFLTQQP -THIVREVLGAEGWLENDGDILDYRDVPEVASCLRQFRWSYKLLTGLTAGEIEGFCWESDEGHTLSSRDSF -MY ->AND83002.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum double-stranded RNA virus 3] -MISLFQNNFENWVSSELNAIWDRVDGFLGSNLPWAVGIRQPQQGDWSVRKPAIHNGIETPFSRQVPTWVI -GPYKTYNRVASFNKVKRLYDDGVVPKAIVTWWFLLLYPGIFPPVDLVPDSGEPLLQENLWHCQRCTAKTG -NGKIELGFEYDNIKSVLELPDSNLVAKAINSCFPYITDVSSRKANVDVGHTYIWIRDHLKTDEEKEIIKS -FLKYYWGCSNSFVSALLLYWVGVIRKDVNIREVLEDAGFFGTCELCWIDHFKGMHDMIRRTRTYKGFHLS -PERHSKLLYIHQLIGRTGADADWETEIKDRTTRPTVKYVFDRFSQKWCDKYADKVYYSSITDVLKTVRKN -NRPLESLEDYFKRAYEWIASGSASGMGSTLSREDARLLVQERLRGNEIRGNKRSVAERINYDELLAVLKS -TPIQDSKAQVKLNELGKTPGRAIYSVSFYHYLLNSYCTSMIEEGLNHPSIDLQEAGLDELDTIIRRQALS -KLGLVINSYDYKNFNAQHQTKHMRLVFRCVGEFYKRNYVNDPNLPIMLEVTDWVDRSFSNQWFKVPAYDE -WFNCVGTLFSGVRSTTLINTLLNVAYMEGVWHSYRAIYNKTPQIASLHHGDDVLAYMKGIGESALCNEIA -MKTGLVASEGKLLNDYGYGEYLRMMYYEDGQVWGSVCRSISTLVNGNWESDIHFNPEEDAKALFEQISVC -IRRGFDPEVAELLKKDVFNKFVMLPKNGPKGVMRDFYLRKSALTVNKGGLGLGDLVTGEVFDGVLTQKEK -TDKKKDLPDMFKLQEKLKMTNNYLHHIEEKLPYWATFRKEDKRRLINKLAWDTLGLELPNRVREKYALNE -ILDAKIVLKQPVPPVIPSISERNPLFNFARGVESMISDMLSFARIAKKIKVKERKVDKLKLLIPYLTFSN -GYSRQDFWMYMIGYIPDDEVLDSIDSSWKGMVPNVVKAALAEYYNIIKCDINYLQSTLNYISDNISSLHL -HF diff --git a/seq/clusters_seq/cluster_1096 b/seq/clusters_seq/cluster_1096 deleted file mode 100644 index a9cccb7..0000000 --- a/seq/clusters_seq/cluster_1096 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010088082.1 P2 protein [Passion fruit green spot virus] -MSNIVTFSLSNPSPALISEIMDAISRHGMDVPAGLARVPNQRARPVRQQQQPPQQRQAPRQRVDPPVRAE -RAAPPQRVVQPPRGLAVREAPAGDVVVPLEHQEYYRSLPGFARSYGCTKYNPLNPYTITGFRLTDPADEL -VEVDPTALRSSFKQRLASLGFPTCNIESVVVAHEYPDHYFVVLFPGAPYEMPVNCPKDRVRSPKDAKDIA -LAGCLHDINRITDVRGILPYNYLRLKNLGTPPPLELAPLDEQVEGGDE - ->YP_009508071.1 p29 [Citrus leprosis virus C2] -MSNIVSFSLSNPSPALIAEIMDAISRHGLNVPAGLAQAPVQRQRQVRQPQIPPPQPRQAPRQRVNPPARA -APQQAQNRPAVPPQIGLPIRRVAVAGGSVGSVEHQEYYRSLPGYSKTYGCTKYNPNTPYTIVGFKLSEPS -EELAVVDAKDLKASFKRRLKSLGFPSCGTDSIIVAHEYPDHYIAVIFPGAPYQLPVECPKDKVASPEDAK -KVALAGCIRDINSVTDVRGILPVSYLELERLGTPPPLVLLPDDDDDQQVDEQEE - ->YP_654539.1 p29 [Citrus leprosis virus C] -MSIVTFTLTDPSSALIAEIMQAIERHNVSVPEGLRDISKPTKKKQQSQPQQLSRASARPQQLQPGPSGYQ -AKKPAKQKAEVVKPKQKQLAPPINKKAAKAKLYGLEQHCPKYAEAKGLQKQIGMTYYKISEPYALPDFKV -MEASEDLVAVSEKDPMGSFEKRLYSMGFPKRPIKNVVPVFEFSDHYIVVFFPGSNAEIVKNVPKDSVSDY -AEAQLAALLAARQQINQIHELGDILPTNYLNVLDSGTQDVVVSDEEDDSDSAQ - diff --git a/seq/clusters_seq/cluster_1097 b/seq/clusters_seq/cluster_1097 deleted file mode 100644 index 29ac0a0..0000000 --- a/seq/clusters_seq/cluster_1097 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_010088078.1 P61 precursor [Passion fruit green spot virus] -MDFLAVYTFLNLTLGLLTVDFNSKGRFSPSPNCISKHCGSLPAECSRDQVVEITVKRNVFTADDHYCISL -GLVSNQVIRMSTLFIGDYDLDGEFGCDQTALSFGIVSNNLGVIENPLKGCDNYGLRTGLHRFSNCYNSST -LGSKLTDKKYALQLETAAPINTRGVEVFNDFVGFTYYRTLCDSLCGYDVSYTDFEFGNYAFHYPLCDDHI -PLCYEGDEDPCPKGYRLERIFVDHFFEGQRKLPRTICVSESDPGTTFPAWYKVDPSTYDRVVGNRTINIA -DKCDPAVRKNLKFYRYGTVGHLFSDIMVVTVANQDYLSSNFCRSYVFNLGRHFSNVTSPSMWSFLKTYVH -CDSDGCGFKGPDYEKILTLCEPHILVDKKAALVSSFSIFNVTYGGKVGFLPTSLTGSVLSGYNVYAYHGF -LTFNFDSITLKTVSMTLVKAESEWYMKLMMFFADDVLKVCFETIFSVLFNALSTCLGFIFKVGGCCFHLV -FLCILDSLVILLCLLPCYCHLGFILCSVANLIIKLFMRENCCVGIPEVISSTF - ->YP_009508074.1 p61 [Citrus leprosis virus C2] -MDFYTVYTFLNLTLGLLTYNYDSLGTFGPTPRCTSKRCSLLPAECSTEHTVNKVVERHVFTANDHYCISL -GYRKNISFSVSTLFIGDYDVYGGFECDRTALSMGIVSNRLGFYEDALFDCKNYNLSYGNYRFEFCLNSSV -YDSVLTDKFYTPQIEAAAPINTRGVEVFDDFLGFTYYRTSCDSFCSESKFSPDFDFGNYVFYFPLCDNHI -PLCYDGAEDSCPRGYSLQTIFVSSLVEEETEKLARVICVSDNEPGLTFPAWFRDNPSTYDDLVGNYTINV -ESKCGVAMSKKMRFSRYGVTGTYIDDIIVVTIDEVHFLTSKFCRDYILFLGYRDGYDNDFSMKTYFQKRL -NCNSDGCWYSGVDLSRILSHCELSLVVEKKEALVSTFTLFNKTFGGKVGFIPVGLSDSVLFGYNVFLFRG -FYSYSSSSVTLKSTKYFLVKAEAEWYMKLMMFFADDVLKVCFETIFSVLLGALSSCLSFIFNIGGCCFRL -VFLCVMDSIIILLCLLPCYCHLGFILCSVSNLIIKLFMKNNCCFGISDAVASSF - ->YP_654541.1 p61 [Citrus leprosis virus C] -MALFQLFSFLNVTLGLVSNIYNSTGHLSIDKACSGYSTEVFKGVCLPSYSYVKVDRHILTKDDRYYLGYA -KATNREYQLYSLHIGTYDLFGSDIMSCGARGYALGLHNGDLELVLNYCRKVDGQKHIGEVFQSCRFVEYS -EHMISGIVHSIPKDLMEEFSPIGKVPYFGIMPFRTECADQCSTKQAFYAMDAYPFYNIGYWFPLCADKYI -PLCYSGRTDPCPLGYEERLIKVHSYMEGFESGMKTVCKSGEYIFPAWYSGQSEIYDTVVKPYIVNVPEYC -GRFSRSDKSLVYSRFGFRGTIFSGLKVITLDGIDYLTTDFCVNYSMHHYVKPLVFERMRKSFICTSSGCL -YKGFDVNHLHDICTPKLIVKRHEALISSFSFINTLGTKVGAVPYDFDGNIIQFIDVFSIDGFYVYSLSHK -KIQTLTVMLVQSEEEWYMKLLHFVADDILRECLSTVFKVLFSAISACLSFIIDVGGCCFRQFIFVCLDSV -ILLLLLLPNYTHLTFILGFTLNAYIQLVYYESCCFRAYRDIAETIDL - diff --git a/seq/clusters_seq/cluster_1098 b/seq/clusters_seq/cluster_1098 deleted file mode 100644 index 7d814f7..0000000 --- a/seq/clusters_seq/cluster_1098 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_010088066.1 putative glycoprotein precursor [Ti ringspot-associated emaravirus] -METMVTKYNLYKLGLLLMLITLAVNNVSNSNVRDYVSKMKPSYLSTRSKVKCTSEITKQNDMYLICFNTE -CEVKTNSVKYNESCYKLSGDLNTFLINRDEIISFAAPKDQGDICNKYLNHSWCVVIRNLRSIFLSIAFWI -FAMLIRVPTLYFFRLIDKLISNFYNGNKKCSSCNKVYRFSHLECDVKAKSRTDYHLIFYILVIILIFSIP -IVSAKQFNGDIKDFVYEISGEQIPRIDKVIEDSTRKFLKETTQSIGTVNSQDQVLEKEVYDNTKFNTYEH -GDYTEFIVNDKEGIINEFYSEGNHVRITVIKSYLSYKLSYSHKVVKKVHDGLSKVTYNCNNDHNKCYDDL -EALNFKRETFSHVKKNHDGLSCVFTDAIVCGSCSISYISFADVYDTISIKPMIEIAVDINNDISKIITID -NYDDYSDDQFYIRSLNMVPITNELILVKDGKAYTGNICSSPSKSCFGSHIIKDNQTSFYYEPVYSDNGHW -DSSIDVKQCTINENLDLKQLRYIANIYLNDAIHENRDFGHISFGVRSKMLMKKDLCEEELRVTQIVANGC -HNCQSGFDVRVKYNSRLSKYTCGKIECKTDIYKAKTYVNNNKDAYLKMYSDKKELDVTCNGRKQSLKLED -DIISNYQTSNLYTSESGTERFKDIRSAFNLISMDNIKIIIFSCIMAFVVFIILKLSLNIAINVKKLKYIK -VNRKNSEDNGFMTVMYQ - ->YP_009237265.1 glycoprotein precursor [Raspberry leaf blotch emaravirus] -MKIMVQLILLCILLQFIASESSESRPDSEYKEADENIHDCSLKVVRLDGIQLACRGKILCWFSTNAVAYN -DTCWKLTETSTHFHCCNKYEAVIYKISDESRNICYDVFSHSWCVFLSNLYHFILAILIWIIMALARVPFL -YIFKIIDLISGKILKKKKSCVKCEDKYAFFHIDCSSSTRKRVDYNTVYYTIVILVIFLVPVFASGENLKD -NDSKFNTYDHSGYTEFVVKDVEHEVNEFYTKTNHIKITIEKSYISYVLSYSHDVLKKTNDVITSLEYSCD -SEEKCYRSLKGDNRTFRSLKKNHDGLSCIFSNAIVCMACSFHYELFAEVYTVTEHKPIILMSVEINNSEK -YNITLSSYNDYVDENFYIRSLNAVDFTDDIVLIKGLVAYKGKICTVPSLDCFGSHIKKDNKILAMYNPII -TDNNHYDTTVNLVKCTENENLDLLRLSKIGLIVDKDRVIEDRSFGYFSVGVRNKMLLDNKICEKDAIVRS -IEANGCYNCKFGFNVKINYKLYSECGKIECKTPIYKSYTYVSNGTTANIKLYSDDYLTKITCNGKEVQIK -LDSLNIDSYYHNNAYTTNNLPIKSRIKNVLNLALYDSFKMAVLTIILILFSYTILSILIKLRRLNKRSTH -KVLDNTLYAVDMSHLRNLGD - ->YP_009237256.1 glycoprotein precursor [High Plains wheat mosaic emaravirus] -MELDMLREQIKMNSKGINMNLKERMSSFKAMNNKSKIATIFIVVFSSIVLSKQTKISRSVSESCQETITT -INGLDLICISGCKNINTELIKFNETCYKLSEGINFVNCCGNKLTTIKPSQINNCYGTLPHSWCDMLLIIK -KFVLFVSTFVTVYMFKLPILHFMKLIDIMIGFVFKSKKQCITCNKKYKFYHTECNVPVSKKFDYNLIYYV -LVFFILLSVTVVSADDSQFNTYDHGDYTEFIIKDIDRHISEFYDKQNHIRVTIDKSYMNFELQYNHDVLE -KLSDTVTDMKHSCDSEKDCISMLSDKKTAKSIKKAHDGFSCLFSDAYVCASCGIKSQGFAEVYTVKQSKP -TIIANMQINDGEKYKITIDSYEDYIDDNFYIRYLNPVETGLKTFLIKDNRAYTGNICLSPSVNCFGSHIL -KDGVTSFNYDPVLIDDGHWSKSAKLIRCTTNENMDLNQLNFVGFFDENTKSVHIDKNFGHFSVGVRKTML -LNDSKCEHEVSVIDVTSVGCFNCKYGFTASVKFHYISKRCGVINCKTETYRSRTYVNEDYNEVTTLHMFT -DKPTGTITCNSMDFTYKLDNSIISSHYTKSYSSTSSQVELFSDVGKLIDHLSLDFKKKIFFIILVLISSY -LTIKHVQNTIKHGSKALKIYRKKNDDYELVQHNFPKD - diff --git a/seq/clusters_seq/cluster_1099 b/seq/clusters_seq/cluster_1099 deleted file mode 100644 index 7dcfeca..0000000 --- a/seq/clusters_seq/cluster_1099 +++ /dev/null @@ -1,23 +0,0 @@ ->YP_010088068.1 putative movement protein [Ti ringspot-associated emaravirus] -MKYFEFWKSLFMFMAVSFAVESNGIEITDPVVQDHDVSNWNDNNHNQELSSAVVDGLASTSLKTHMNVSP -ETKIINFTFYKYVTEFLRKYVQGINTVRLASIIIHYKPHTDSCTGTVSYALVDKRYGDTLDVKKTSQKNG -LITTKGNSKVTVEGKIHQMVTIRCDKESIVQMSMNFFVTVSNLKQIKLVQIVSGNNMISGTLATISIGWK -TVPGEATVYEPYHAQRYYIPRMKMPELVGKSNDYVYSSIVKMAKDRHNKEVQMLNQLQKLIDNQNVINND -LDTEIQLNIADVESEIKKNQMEIEKLDKAIPGKEKLAEHRKQLEKLEQMKKE - ->YP_009237267.1 P4 protein [Raspberry leaf blotch emaravirus] -MKAFRFYASIFSFAFFSYALPTGGVMELDTSELDGKVESHDVSAWESGASNVELSQAIVNGLASTKLKTD -LVVSPKTSVLDFQFYKLITQYLKTLKGIDAVRLASIILHYQPYSDECKGTVSYALVDTRFGDVMDAESHA -PNVKGKNVAKVVGKVKYLVTVDCSKEAFIQFSMTHQVAVSDINKIKMCQIVSGVDMIKGKMAKLNMGWFT -VPGESTIYTPFPAQLFYFPRRSLPELQGKSADYTFNKFVKMAESKYDREVAMLNNLQKLVDSQNIISNNL -NTNIENEISSADGEIKKLQSEIEMMEKALPNKYKLGELKNQVSELRRIKDEKLKEIMNEKNEFLEDLRNG -QNAEPYKIEINDNNSVDFSNILG - ->YP_009237258.1 movement protein [High Plains wheat mosaic emaravirus] -MLIMKKMLLLNINMISFMFLFASTGGKALDIEDQTVKSHDISKWNENNREIELTEAVVNGLAATSIKMSI -PVSPKTKVVKFEFYKWITEFLKTIKGQKTVRLASIVLHYKPHSDSCKGTVSYALIDKRFLNDKMKAESKA -KVDGKQTADYNVVGQVKQLVTLRCNEEAVVQMSMNHFVSVEDINKIKLVQIVSGIDMHTGNLATINIGWK -TIPGEATVYQYYPAQKYIIPRMKMPELVGKSSEYVFNKLVYMAKVRHNQEVAMLNQLQTFIDQQDVVNNE -LEVDTKNQLNELENKIQRYQEEIEKIDNIIPHTQKLAEQSLKLKELERIRQQKFLEYNRLKNEPRIEEVN -DIEFETVSAGFPKD - diff --git a/seq/clusters_seq/cluster_11 b/seq/clusters_seq/cluster_11 deleted file mode 100644 index 82a0b67..0000000 --- a/seq/clusters_seq/cluster_11 +++ /dev/null @@ -1,3018 +0,0 @@ ->NP_041009.1 polyprotein [rhinovirus B14] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASTSSAGQSLSMDPSKFTEPVKDLMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVCYAEWPEYLPDVDASDVNKTSKPDTSVCRFYTLDSKTW -TTGSKGWCWKLPDALKDMGVFGQNMFFHSLGRSGYTVHVQCNATKFHSGCLLVVVIPEHQLASHEGGNVS -VKYTFTHPGERGIDLSSANEVGGPVKDVIYNMNGTLLGNLLIFPHQFINLRTNNTATIVIPYINSVPIDS -MTRHNNVSLMVIPIAPLTVPTGATPSLPITVTIAPMCTEFSGIRSKSIVPQGLPTTTLPGSGQFLTTDDR -QSPSALPNYEPTPRIHILGKVHNLLEIIQVDTLIPMNNTHTKDEVNSYLIPLNANRQNEQVFGTNLFIGD -GVFKTTLLGEIVQYYTHWSGSLRFSSMYTGPALSSAKLTLAYTPPGARGPQDRREAMLGTHVVWDIGLQS -TIVMTIPWTSGVQFRYTDPDTYTSAGFLSCWYQTSLILPPETTGQVYLLSFISACPDFKLRLMKDTQTIS -QTVALTEGLGDELEEVIVEKTKQTVASISSGPKHTQKVPILTANETGATMPVLPSDSIETRTTYMHFNGS -ETDVECFLGRAACVHVTEIQNKDATGIDNHREAKLFNDWKINLSSLVQLRKKLELFTYVRFDSEYTILAT -ASQPDSANYSSNLVVQAMYVPHGAPKSKRVGDYTWQSASNPSVFFKVGDTSRFSVPYVGLASAYNCFYDG -YSHDDAETQYGITVLNHMGSMAFRIVNEHDEHKTLVKIRVYHRAKLVEAWIPRAPRALPYTSIGRTNYPK -NTEPVIKKRKGDIKSYGLGPRYGGIYTSNVKIMNYHLMTPEDHHNLIAPYPNRDLAIVSTGGHGAETIPH -CNRTSGVYYSTYYRKYYPIICEKPTNIWIEGSPYYPSRFQAGVMKGVGPAELGDCGGILRCIHGPIGLLT -AEGSGYVCFADIRQLECIAEEQGLSDYITGLGRAFGVGFTDQISTKVTELQEVAKDFLTTKVLSKVVKMV -SALVIICRNHDDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACNAAKGLEW -IANKISKLIEWIKNKVLPQAKEKLEFCSKLKQLDILERQITTMHISNPTQEKREQLFNNVLWLEQMSQKF -APFYAVESKRIRELKNKMVNYMQFKSKQRTEPVCVLIHGTPGSGKSLTTSIVGRAIAEHFNSAVYSLPPD -PKHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFLPPMASLDNKGMLFTSNFVLASTNSNTLSPP -TILNPEALVRRFGFDLDICLHTTYTKNGKLNAGMSTKTCKDCHQPSNFKKCCPLVCGKAISLVDRTTNVR -YSVDQLVTAIISDFKSKMQITDSLETLFQGPVYKDLEIDVCNTPPSECINDLLKSVDSEEIREYCKKKKW -IIPEIPTNIERAMNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNPPHNKLKAPTLRPVVVQGPN -TEFALSLLRKNIMTITTSKGEFTGLGIHDRVCVIPTHAQPGDDVLVNGQKIRVKDKYKLVDPENINLELT -VLTLDRNEKFRDIRGFISEDLEGVDATLVVHSNNFTNTILEVGPVTMAGLINLSSTPTNRMIRYDYATKT -GQCGGVLCATGKIFGIHVGGNGRQGFSAQLKKQYFVEKQGQVIARHKVREFNINPVNTATKSKLHPSVFY -DVFPGDKEPAVLSDNDPRLEVKLTESLFSKYKGNVNTEPTENMLVAVDHYAGQLLSLDIPTSELTLKEAL -YGVDGLEPIDITTSAGFPYVSLGIKKRDILNKETQDTEKMKFYLDKYGIDLPLVTYIKDELRSVDKVRLG -KSRLIEASSLNDSVNMRMKLGNLYKAFHQNPGVLTGSAVGCDPDVFWSVIPCLMDGHLMAFDYSNFDASL -SPVWFVCLEKVLTKLGFAGSSLIQSICNTHHIFRDEIYVVEGGMPSGCSGTSIFNSMINNIIIRTLILDA -YKGIDLDKLKILAYGDDLIVSYPYELDPQVLATLGKNYGLTITPPDKSETFTKMTWENLTFLKRYFKPDQ -QFPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCMLAWHSGEKEYNEFIQKIRTTDIGKCLILPEYSV -LRRRWLDLF - ->YP_009020973.1 genome polyprotein [enterovirus F4] -MGAQLSKNTAGSHTTGTYATGGSNIHYTNINYYENAASNSLNKQDLTQDPEKFTRPVVDMMKEAAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANIVVAYGRWPSNLRDTDATAVDKPTQPGVSAERFYTLPSVQW -TTTFKGHYWKLPDALSELGLFGQNLQFHYLYRGGWAIHVQCNATKFHQGTLLVVAVPEHKIQAQSNPTFD -RTNPGENGATCQFPFTFEDGTALGNALIYPHQWINLRTNNSATLILPYVNAIPMDSGIKHNNWTLLVIPL -VPLEYAAGATTFVPITVTIAPMCTEYNGLRAAIAQGIPTLYTPGSGQFLTTDDFQSPCMLPKFQPTPVID -IPGEVKNFLEVIQVESLVEINNVVNVEGVERYRIPLNVQDAMDGQIMAMRVDPGADGPLQSTLLGVFTRY -YTQWSGSLEFTFMFCGTFMTTGKVIIAYTPPGGDQPESRQQAMLGTHVVWDFGLQSSITLVVPWISSGHF -RGTSLDNTIYKYRYYEAGYITMWYQTNMVVPPNFPTTASILMFVAAQPNFSLRILKDRPDVSQTAALQGE -TGHMIKDTIKDTVENTVQSTHSISTEATPALQAAETGATSNTSDESMLETRNVINTHGVAETSLEGFYGR -AGLVAMFTTDGGIRSWYINFGEYVQLRTKLELLTYARFDIEFTIVAQAVDEQAKVKDFNVDYQVMYVPPG -ASAPDGQDSFQWQSSCNPSVFSNTGMPPARVSVPFMSSANAYSFSYDGYTEFGDKSGSSYGILPSNYLGQ -LVVRTCEDLDSAHLRVRIYAKPKHMRGWIPRSPRMRPYVSRFTGVYTDVPRFCVNRDSIKTAGAFGQQSG -AAYVGSYKIMNRHLAKEQDWKNHIWDSYERDLLVTRVDAHGKDQIARCSCCAGVYYSKSRNKHYPVVVTP -PSLAHIDENDYYPERYQSHVILGVGFAEPGDCGGILRCEHGVMGILTAGGSNLVAFADVRDLLWIEDDVM -EQGLTDYVQGLGNAFGAGFTNEICNYVNQVKDMMIGSDTVVEKIIRNVIRLLSALVIVVKNPSDIVTVTA -TLSLLGCTGSPWRWLKAKICSILGINMAQKQADSWIKKFTEAVNAFKGLDWIAAKFSKFLDWIKSKIIPE -LKERTEFLKNLRQLPLLEAQIATLEHSNPTQETQEILFSNVQYLAHHCRKNAPLYAAEARRVFALEKRVL -GAMQFKTKNRIEPVCCLIHGSPGTGKSLATSIIGRRIAEYENSGVYSLPPDPNHFDGYQEQAVVVMDDLL -QNPDGKDMSLFCQMVSTVPFVVPMAALEDKGRLFTSKYVLASTNANTMHPVTVADGRALQRRFHFDTVIE -IMPDYSDNGKLNVAKATEQCDDCSPINFKKCMPLICGKALQLRSKNGDGMRYSIDTMITEMRRESARRYN -IGNVIEALFQGPPAYKPLRIDVSEETPAPPAIADLLSSVDSEEVREYCRQKGWIVQEKITKERLERNVNR -ALIILQSATLIATICGTIYVVYRLFAGLQGPYSGIHTNYQKVKPVVRQVTTQGPLLDFAVSLLNKNIRTV -TTKNGDFTGLGIYDTFMVLPRHAMAHGEVAINGKTIEVEDAYDLVDTTQTSLELTVVKLKQNEKFRDIRS -LIPDQISETTEALVIVNTPAYPNLFMPVGAVKDYGYLNLAGRPTHRTLMYNFPTRAGQCGGVAISMGKVI -GIHIGGNGAQGFAAALLRRYFTQPQGKIELVEKSKDAGYPIINAPTKTKLYPSVFFDVFPGEKEPAVLHK -KDKRLEVDFEEALFSKYIGNIDKPVTEEMEIAIDHYANQLKQLNIDPTPISMEEAIYGTEGLEALDLGTS -AGYPYVALGIKKRDILNKETRDTSKMQQCIEKYGLNLPMVTYVKDELRSKEKVKKGKSRLIEASSLNDSV -AMRCAFGNLYRAFHTNPGTLTGCAVGCNPETFWSKIPVMMDGELFGFDYTAYDASLSPVWFKCLNLLLEK -IGFGHCKHFIDQLCYSNHLYMDKRYVVAGGMPSGCSGTSIFNSMINNLIIRTLVLTVYKNIDLDDLKIIA -YGDDVIASYPFELDAKLLADAGKSFGLIMTPPDKSSEFVKLTWDNVTFLKRSFVKDERFPFLIHPAMKMT -DIHESIRWTKDAKSTQDHVRSLCLLAWHCGEKQYEEFLEKIRSVPVGRALSLPSFKALQRTWYDSF - ->YP_009259673.1 polyprotein [enterovirus A114] -MGAQVSTQRTGSHETSNVVKDGSTLNFTNINFYRDSYAAAASKQDLSMDPSKFTQPLLDAIRETAAPLQS -PSAEACGYSDRVAQLTVGNSTITTQEAANIVVSYGEWPEYCPDTDATAVDKPTRPDVSVNRFYTLPARLW -EKASTGWYWKFPDVLTQTGVFGQNAQFHFLYRSGFCIHVQCNASKFHQGXLLVAIVPEFVLGSESTEQKP -NIAKHPEFNEVMPGQKGATFKHPYILDCGIPISQALVFPHQWINLRTNNCATIVVPYINALAYDSAINHS -NFSLVVIPVSPLEYQNGATTAIPITVTIAPLCSEXAGXRQAIKQGLPVEMKPGTNQFLTTDVGVSAPILP -GFDPTPLIHIPGEVSSLLELCRIETILEVNNTTRSVEMERLRIPVSVQNEVDSLCASFRVDPGREGPWQS -TMVGQICRYFTQWSGSLEITFMFTGSFMATGKMLIAYTPPGGEQPTSRDLAMLGTHIIWDFGLQSSITLV -VPWISNTHFRSVXTGGLRDYYATGIVTMWYQTNFVVPPKTPTTAYIIAMAAAQKNFTLKLCKDTDELTQQ -AVLQGDGLEAALVHATESAIQSALARPTAANTTASNHSIGTGSVPALQAAETGASSTATDERMIETRCVV -NRNGTTEATIEHFFSRAALVGKVHINDTGTTGKGFDLWDIDVMGYVQLRRKLELFTYMRFNAEFTFVTTT -HEGKTPECMLQFMYIPPGAPHPDTRDAFQWQTSTNPSVFAKMSDPPAQVSVPFMSPASAYQWFYDGYPTF -GDHSGDDSLRYGQCPNNMLGTFAIRVVSENVSGYNFTTRVYMKLKHIRAWVPRPLRSQPYILKNYPNYDG -QNIHPASHNRSTITTTGKFGQQSGAIYVSNYRVVNRHLATHNDWANLVWEDSTRDLLVSSTTAQGCDTIA -RCSCQTGVYYCNSKRKHYPVSFSKPSLVFVEASEYYPARYQSHLMLAEGHSEPGDCGGILRCQHGVVGIV -STGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGTGFTDAVSREVEALKNHLIGSEGAVEKILK -NLIKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIAQKQSASWLKKFNDMANAA -KGLEWISNKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGNVSYLAH -FCRKFQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADKYHSSVY -SLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVIASTNAS -NIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCSENNTANFKRCSPLVCGKAIQLRDR -KSKVRYSVDTVVSELIREYNNRSAIGSTIEALFQGPPKFRPIRISLEEKPAPDAISDLLASVDSEEVRQY -CRDQGWIIPETPTNVERHLNRAVLIMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKQVLKKPILRTAT -VQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWVEHKLVNILDAVELLDEQGV -NLELTLVTLDTNEKFRDITKFIPESISTASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPTHRTMMY -NFPTKAGQCGGVVTSVGKIIGIHIGGNGRQGFCAGLKRSYFASEQGEIQWVKPNKETGRLNINGPTRTKL -EPSVFHDVFEGNKEPAVLHSRDPRLEVDFEQALFSKYVGNTLHEPDEYIKEAALHYANQLKQLDINTSQM -SMEEACYGTENLEAIDLHTSAGYPYSALGIKKRDILDPVTRDVSKMKFYMDKYGLDLPYSTYVKDELRSL -DKIKKGKSRLIEASSLNDSVYLRMAFGHLYETFHANPGTVTGSAVGCNPDVFWSKLPILLPGSLFAFDYS -GYDASLSPVWFRALELVLKEIGYGEEAISLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNSMINNII -IRALLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCLELAKTGKEYGLTMTPADKSPCFNEVNWGNATFL -KRGFLPDDQFPFLIHPTMPMKEIHESIRWTKDARNTQDHVRSLCLLAWHNGKQEYEKFVSTIRSVPVGKA -LAIPNYENLRRNWLELF - ->YP_009508417.1 polyprotein [Picornaviridae sp. rodent/Ee/PicoV/NX2015] -MGGQLSVSRSSNETDQSQKVVAGGNVTYATYNIYGDTYASASTMARFEQDPTPFTNSAVDMTKVALASPT -VEECGYSDRLLQLTLGNSTITTQEAAHVICAYKSWPKYLEDNDATAIDMPTKPDTAVCRFYTLDSVDWTT -TSRGWFWRFPGCLKNTGLFGQNMAYHFLQRSGFALHTQCNASKFHQGLLLVVWIPEFEFLSTNITGDSDS -GSIDTDAWDTMQEDYYSWRQLTVFPHQLINLRTNNSSTIIVPYINCVPMDNGLTHNNGALLILPIVPLAY -STGASTTVALTISVAPMCAEYNGLRFAMTQGLRFRALPGSGQFVTTEAASAPPLLPHFDSTNEIDIPGEV -RNLMEVAKIPTFMNMRMNSRSGFEITTAKTPGEELYDVALQFDNLTSGSVSPLMNCYLGQLSRFFSHWSG -SIRISFMFTGAAMTTGKILICYTPPGGGKPGSRREGMLGTHIIWDFGLQSTVDMVIPWVSATQYRICDQP -SPDSYLFSAPGRLTIFMQTRMIVPPDTPTTAYIVGFISACDDFAMRLPMDHVTQQGPVQVSKTGVEANGI -KAGPLAITAPTESTSGELTTENAPALGAIESGSGENTTPEQVMETRAVNATKSMLETSIENFFSRSALCA -LLRYEHKLDGTQPASDAYTTWQIDLLSDANWDIKRKMRMFTYVRCDLEVTVLITTSGIQNYENLRYQVMY -CPPGARVPDSQVSRRWQTATSPSIFCSTTDPPATFSLPFLSTASAYSMFYDGYSDFEIYTAVYGRFPPND -MGTIAVRAINAPSTTAVQVEVRIYCKPKHVRCWCPRPIPRRAGLDNAGVQTSWLGDNSGVVAGSFLYINK -HIAPTSLMQHAKFDSDELDLQIYRVESQHEQNNVDIPQCTCGKGWYYSGLTRHVQHALLTPPVVQHFNAG -EFYPEHYQIVHLAEGPGQMGDCGNVLYCRHGPIGLLTGSADGIVAFIPLRIFVGMFKEEYNAALAEIRAL -NKPEKPSFKDRILSFFSRGQQGCTVGIHQIKPRHLTTPLDKVVEDHPERDITVVLAPQNPPEHKIAGCDC -LQGCYYSSATGRYHSIDLCAPSFRLISGPYGEQYVSHVMTGEGVAQPGDSGQPILCQHGIFGLLIAGDTQ -KHTVSFADIRDLAPRYQIYSQGCAEWIQSLGNSFGEGFCDTVRQAMTSASEEISSMLPDWTAILETIIQV -IAALGINYVPKQGDWMKKFLDSVNAFKGIDWLVAKIQKFIDWIKEKIVPECREKADFLKRLPQLPVLHSQ -LKQFKCSKEADQETLQRMYSNVHYFDTYCKKYAPLYAAEAKMVRECEAILQTIQLFRKPGSRTEPVAVII -RGAPGTGKSLATTLIGNAIAKKINSSVYSLPPDPKYFDGYLGQKVCIMDDVGQNPDGEDLKYFCQMVSTT -DFYPPMADLVDKGTLFTSQYVLVSTNTHQFNPPTISEPKALQRRFFLDLQIKVADCYQKEGGRLNGATAS -KPCDQCKPKNFTACNPIICGKAIKFQHNGAVYSLDDVVTLMMREYANREKVCDMLAAVLQGPPQYFDDCH -ITVAGPPPECISDLLQSVRNEEVLQYCKRKGWIVEVFPSKEQMLRESQRAICILQSVAALVALLSAIYIA -FKLFYTLQGPYSGLPQKKPQVPTLKEVQIQGPNLDFAVSMMQRNMRIFTSSAGEYTALGICDDLLVIPTH -AYGDNMMLNGCPIQVLDCWSIKGSEYKTEITVLKIKTNQKFRDITPFLPTEVDEWTDCCVAINTTRFPRM -FLPVGNVTPSSNIALSGYPTERVLMYNYPTRSGQCGGVVLKTGKVIGIHIGGNGAQGFCAALLKKYFPKH -QGQVNLIETTTKRLHIPSRTMLQPSVFHDVFEGKKEPAVLHPKDSRLKVDFEAGLLSKYKGNVDVDYWKD -DEMQLAVKHYASQLQTLCINPDPICVEDAIYGFGNLNALDLATSAGYPYVTQGVKKRDLISKEDRATSVK -NVERAIMKYGLELPFVTYLKDELRSPQKIAEGKTRLIEASSLNDSVAMRCAYGNLYEVFHSNPGTLTGSA -VGCDPDLFWSKLVCMMKEHLLCFDYTNYDASLSPCWFTALKHLLTLIGFGKHNHFINYMCHSVHLMGDKK -YYVSGGMPSGCSGTSIFNSMINNIIIRTLLLKTYDHIDLDELRMVAYGDDVIASYDYPLDAAALARTAKH -YGLTLTPADKSDTFQTMTWANVTFLKRYFRADEQFGFLIHPVMPMAEIEESIRWTKDPKNTQDHVRSLCL -LAWHNGPERYADFCRKIRTVAVGTKLYLPDYDVLRYNWINSF - ->YP_009505609.1 polyprotein [rhinovirus B3] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDLMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAIVCYAEWPEYLSDNDASDVNKTSKPDISVCRFYTLDSKTW -KATSKGWCWKLPDALKDMGVFGQNMFYHSLGRTGYTIHVQCNATKFHSGCLLVVVIPEHQLASHEGGTVS -VKYKYTHPGDRGIDLDTVEVAGGPTSDAIYNMDGTLLGNLLIFPHQFINLRTNNTATIVVPYINSVPIDS -MTRHNNVSLMVVPIAPLNAPTGSSPTLPVTVTIAPMCTEFTGIRSRSIVPQGLPTTTLPGSGQFLTTDDR -QSPSALPSYEPTPRIHIPGKVRNLLEIIQVGTLIPMNNTGTNDNVTNYLIPLHADRQNEQIFGTKLYIGD -GVFKTTLLGEIAQYYTHWSGSLRISLMYTGPALSSAKIILAYTPPGTRGPEDRKEAMLGTHVVWDIGLQS -TIVMTIPWTSGVQFRYTDPDTYTSAGYLSCWYQTSLILPPQTSGQVYLLSFISACPDFKLRLMKDTQTIS -QTDALTEGLSDELEEVIVEKTKQTLASVSSGPKHTQSVPALTANETGATLPTRPSDNVETRTTYMHFNGS -ETDVESFLGRAACVHVTEIKNKNAAGLDNHRKEGLFNDWKINLSSLVQLRKKLELFTYVRFDSEYTILAT -ASQPEASSYSSNLTVQAMYVPPGAPNPKEWDDYTWQSASNPSVFFKVGETSRFSVPFVGIASAYNCFYDG -YSHDDPDTPYGITVLNHMGSMAFRVVNEHDVHTTIVKIRVYHRAKHVEAWIPRAPRALPYVSIGRTNYPR -DSKTIIKKRTNIKTYGLGPRFGGVFTSNVKIINYHLMTPDDHLNLVAPYPNRDLAVVATGAHGAETIPHC -NCTSGVYYSRYYRKFYPIICERPTNIWIEGSSYYPSRYQAGVMKGVGPAEPGDCGGILRCIHGPIGLLTA -GGGGYVCFADIRQLDFIADEQGLGDYITSLGRAFGTGFTDQISAKVCELQDVAKDFLTTKVLSKVVKMIS -ALVIICRNHDDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACNAAKGLEWI -ANKISKLIEWIKNKVLPQAREKLEFCSKLKQLDILERQIASIHDSNPTQEKREQLFNNVLWLEQMSQKFS -PLYASEAKRIRDLKNKITNYMQFKSKQRTEPVCVLIHGTPGSGKSLTTSIVGRALAEHFNSSVYSLPPDP -KHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFLPPMASLDNKGMLFTSNFVLASTNSNTLSPPT -ILNPEALIRRFGFDLDICMHSTYTKNGKLNAAMATSLCKDCHQPSNFKKCCPLVCGKAISLVDRVSNVRF -SIDQLVTAIINDYKNKVKITDSLEVLFQGPVYKDLEIDICNTPPPECISDLLKSVDSEEVREYCKKKKWI -IPQISTNIERAVNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNPVHNKLKPPTLKPVVVQGPNT -EFALSLLRKNILTITTEKGEFTSLGIHDRICVLPTHAQPGDNVLVNGQKIQIKDKYKLVDPDNTNLELTI -IELDRNEKFRDIRGFISEDLEGLDATLVVHSNGFTNTILDVGPITMAGLINLSNTPTTRMIRYDYPTKTG -QCGGVLCTTGKIFGIHVGGNGRRGFSAQLKKQYFVEKQGLIVSKQKVRDIGLNPINTPTKTKLHPSVFYN -VFPGSKQPAVLNDNDPRLEVKLAESLFSKYKGNVQMEPTENMLIAVDHYAGQLMSLDISTKELTLKEALY -GVDGLEPIDVTTSAGYPYVSLGIKKRDILNKETQDVEKMKFYLDKYGIDLPLVTYIKDELRSVDKVRLGK -SRLIEASSLNDSVNMRMKLGNLYKAFHQNPGIITESAVGCDPDVFWSVIPCLMDGHLMAFDYSNFDASLS -PVWFECLEKVLNKLGFKQPSLIQSICNTHHIFRDEIYRVEGGMPSGCSGTSIFNSMINNIIIRTLILDAY -KGIDLDSLRILAYGDDLIVSYPFELDSNILAAIGKNYGLTITPPDKSDAFTKITWENITFLKRYFRPDPQ -FPFLIHPVMPMQDIYESIRWTRDPRNTQDHVRSLCMLAWHSGEKDYNDFITKIRTTDIGKCLNLPEYSVL -RRRWLDLF - ->YP_009505606.1 polyprotein [Simian enterovirus SV4] -MGAQVSRQTSGAHETRIRAEQGANIHYTNINYYRDAASNAASKMDYSQDPDKFTKPVLDAISEPLPTLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANVVVAYGQWPEYLGPQDATAVDKPTQPDVASNRFYTLKTVSW -QRNSTGWYWKFPDCLASVGLFGQNVQYHYLGRYGLAIHVQCNASKFHQGTLLVLAIPEWEIGVSNADRAP -FNLTNPDKNGHTMTGQEAYCLHNGTNIHSSLVFPHQFINLRTNNCATLVLPYVGATPLDTPIKHNVWSLV -VIPVVPLDYTTGATTQVPITITMAPMACEFNGLRNAITQGLPVLNTPGSGQFVTTDNFQSPNLIPNFDVT -QVFNSPGEIVNLQQYVQIEGIMEINNVANAENLERIRIPISVQSDIDEMLFAINCNPGTAQEFRRTPLGD -VCRYYTQWSGSIQITFTFCGSFMTTGKLLICYTPPGGRVPGNREEAMLGTNVIWDFGLQSSVTLNIPWIS -GAHYRNASVNIDGFDNTGYVSAWFQTNMVVPPDAPTTAYILAFTSAKDDFSMRLLRDTTEISQDGFLQGP -IDQAIEKVITDVVSDTRESNSDFSIGTVPALNAVETGATSQASVESTIETRAVQNRHRTSEMSVESFLGR -SSLVTRFTINNGGTDNTTKFHNWKINLKEVVQLRRKLEMFTYVRFDLEVTIVAVNLTGNGGVRYMYQAMY -CPPGAPLPNNADQYLWQSSTNPSIIGAVGEVPGRVSVPFVSNANMYATFYDGYPSFGNINGQGNGSDYGA -FIPNDMGTLCFRLLNIFNNGPQIQFRVFMKPKHVRVWCPRPCRTLQYVKLGTPRYSAQDTHLNKMTTDRT -NIKTVVNYVMSGYSRTIEQYGPATGGVVIGQYKIINYHLATTEDHEREIWSDPRRDLMVCSSTANGNNWI -ARCKCRTGVYWSRTYGKCFPAYFQGPGIEKFQASEYYPERYQTHVLLAMGPAQPGDCGGLLCCPHGVIGL -LTGGGEGRVAFADIRDLLWVEDDTMEQGVTDYVKQLGNAFGAGFTDEISNYAQQIKTMFVGQDSLVEKMI -KNLVKIVCALVILIRNSSDTTTVIATLALLGCSTSPWKWLKTKVSQLCGVVIAERQSDSWLRKFTEFTNA -FKGLEWLAAKIEKFIEWVKMKILPAVRERADFVNRLKQLPLLEAQMATLEHAAPNQEKQERLFTNVQYFA -TYCRKYAPLYASEARRVFQMEKKMNNYIQFKSKSRIEPVCLLIHGSPGAGKSVATSIIGRAIAESISGSV -YSLPPDPTFFDGYKQQEVVIMDDLLQNPDGKDVSMLCQMVSTVDFHPPMAALEEKGMLYTSPFLLASTNA -GNIHAPTISDSKALNRRFHFDVNIEVMDQYKKEGRINMPEAARPCDEECNPCNFKRCCPLVCGKAIQLVD -RRLGIRYSVDMMVSELLREFTHRHNTQNLVEALFQGPIYHDLTISVEETPAPSAISDLLCSVDSEEVRDY -CRQRGWIIPDTPTEITASRDFNKLNLILQAVSTFVTIAGVIFLVYKLMANFQGPYTGLFKAKPKVPTLRT -ATVQGPSLDFSISLLRHNIRTATTANGEFTMLGIYDRVAVLPSHAGVSDTILVDGKTVPVVDAVNLVDPE -GVNLEVTVLTLGWNEKFRDIRKFIPETIEEGTEGTLIVQTSSYPHLICPIGAVKEYGYLNLSGTPTHRVL -MYNFPTRIGQCGGVVATTGKVLGIHVGGNGAQGFAASLFKKYFANTQGNIEFKEKVELAGLKSVNTPERT -NLEPSVFHDIFPGTKQPAVLSKKDPRCVVDFEDAIFSKYKGNVNKEIDQYMAEAIDDYASNLLSLGICTE -PISMEQAVYGMDGLEPLDLSTSAGYPYVTQGIKKRDLFKPDRDLSRFKECVDKYGLNLPMVTFLKDELRP -LSKVETGKTRLIEASSVNDSVAMRQAFGNLYAAFHSNPGVVTGSAVGCDPDQFWSKIPVLLEGELFAFDY -SNYDASLSPCWFAALKMLLEKIGFGDRTYFINYLCFSHHIFKDTHYYVSGGMPSGCSGTSIFNTMINNLI -IRTLLVKVYKRISLSDLRMIAYGDDVIASLPYKIDAGKLAIAGRDYGLTMTPADKGETFSDVTWENVTFL -KRYFRSDERFPFLIHPVMPMSEIFESIRWTRNAKHTQEHVTSLCYLAWHNGEEKYNDFLKKIRSVPVGRC -LNLPAFKALEREWYDKF - ->YP_009505603.1 polyprotein [Coxsackievirus A2] -MGAQVSTQRSGSHETSNVARDGSTINFTNINYYKDSYAASAAKHDFTQDPGKFTQPVLDALREAVPPLQS -PSAEACGYSDRVAQLTVGNSTITTQEAANIIVSYAEWPEYCPDTDATAVDKPTRPDVSVNRFYTMPATLW -ETESKGWYWKFPDLLNEIGVFGQNAQYHYLYRSGFCIHVQCNASKFHQGALLVAVIPEFVVAEQDATQKP -NTAKHPALEATQPGKKGRAFIHPYVLDCGVPXSQALVFPHQWINLRTNNCATIVVPYINALPFDSAINHS -NFSLAVVPVCPLKYNTGATPSIPITITVAPLCSEFAGLRQAVKQGIPVEMKPGTNQFLTTDDGTSAPILP -GFHPTPAIHIPGEVHSLLELCQVETMLEVNNTTRAVELDRLRIPVSAQSAVDTLCAAFRVDPGRDGPWQS -TMVGQLCRYYTQWSGSLKITFMFTGSFMATGKMLVAYTPPGGAQPATRELAMLGTHVIWDFGLQSSVTLV -IPWISNTHYRAVETGGVFDYYTTGVVTIWYQTNFVVPPDTPTSAYILAFGAGQKNFTLKLCKDTDEITQQ -AVLQGDGIEDAITNTVNATINRVLDRPISHSSTAANTQVSQHSIETGRVPALQAAETGATSNASDENLIE -TRCVVNKNSVEEASLNHFFSRAALVGKVELNDTGTAATGFTNWNIDIMGYAQLRRKLEMFTYMRFNAEFT -FVATTRAGQVPSRVLQYMYVPPGAPKPDGREAFQWQSSTNPSVFSKMTDPPPQVSVPFMSPASAYQWFYD -GYPTFGEHNGEDSLRYGQCPNNALGTFSVRFVSEEITNERITIRIYMRLKHIRAWVPRPLRSEPYVLKNF -PNYTAVTHVTANRPSITNTGRFGQQSGAIYVGSYRVVNRHLATHNDWANLVWEDSSRDLLVSSTTGQGCD -TIARCNCQTGVYYCNSRRKHYPVSFSKPSLVFVEASEYYPARYQSHLMLAEGHSEPGDCGGILRCQHGVV -GIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGTGFTDAVSREVEALKNHLIGSEGAVEK -ILKNLVKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKSKTASILGIPMAQKQSDSWLKKFNDMA -NAAKGLEWVSNKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGNVSY -LAHFCRKFQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADKYHS -SVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVIAST -NASNIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCTENNTANFKRCSPLVCGKAIQL -RDRKSKVRYSVDTVVSELVREYNSRSTIGNTIEALFQGPPKFRPIRISLEERPAPDAISDLLASVDSEEV -RQYCREQGWIIPETPTNVERHLNRAVLVMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKQALKKPVLR -TATVQGPSXXFALSLXRRNIRQVQTDQGHFTMLGVRDRLAILPRHSQPGKTIWIEHKLVNILDAAELVDE -QGVNLELTLVTLDTNEKFRDITKFIPETITGASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPTHRT -MMYNFPTKAGQCGGVVTSVGKVIGIHIGGNGRQGFCAGLKRSYFASEQGEIQWMKPNKETGRLNINGPTR -TKLEPSVFHDVFEGNKEPAVLTSKDPRLEVDFEQALFSKYVGNTLHEPDEYVTQAALHYANQLKQLDINT -SKMSMEEACYGTENLEAIDLHTSAGYPYSALGIKKRDILDPVTRDTSKMKLYMDKYGLDLPYSTYVKDEL -RSLDKIKKGKSRLIEASSLNDSVYLRMTFGHLYEVFHANPGTITGSAVGCNPDVFWSKLPILLPGSLFAF -DYSGYDASLSPVWFRALELVLREIGYSEEAVSLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNSMIN -NIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCSELARTGKEYGLTMTPADKSPCFNEVTWENA -TFLKRGFLPDHQFPFLIHPTMPMREIHESIRWTKDARNTQDHVRSLCLLAWHNGKEEYEKFVSTIRSVPI -GKALAIPNFENLRRNWLELF - ->YP_009505607.1 polyprotein [Dromedary camel enterovirus 19CC] -MGAQVSTNASGTHSTGTYATGGSTINYTNINYYEHSASTSATKQDFSQDPEKFTKPVVDVIKESSVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANIVVAYGRWPEHLSDTDATAVDRPTQPGTSCERFYTLTSYLW -TENTKGRYWKLPDALSDLGMYGQNLQFHYLVRNGWCIHVQCNATKFHQGLLLVVAIPEHKINATSVPPFE -QTNPGADGREFFDPYLLDNGEALANALIYPHQWINLRSNNSATLVLPYVNAIPMDSGIRHNNWTLAVIPI -QELAYATGATTSVPITITIAPMATEFNGLRTAIAQGLPTKPGPGSYQFLTTDDEQSPCLLPQFQPTPIID -IPGEVRNVLEIVQVESMVEVNNVAGATGVQRLRIPLSLQDTLEQQIFSLRVDPGIDGPMQHTLLGIFTRY -YSQWSGSIELTFMFTGTFMSTGKILLAYTPPGGDAPTTRTEAMLGTHVVWDFGLQSSITLVVPWISSGHF -RGVADSDTFKYRYYEAGFVTGWYQTNMVVPPQFPNTASIICLVAAQPNFSLRCLRDRPDMQQTAALQAPK -DAVQGAIQTVADTVASTHNISTAETPALQAAETGATSNTSDEGMIETRHIVNTHGIMETRVESFFSRSGL -VGMAITNNDFGSWTINFGEFVQLRAKLYLFTYARFDLELTIVASVVHVSESTPVRGTNEDVRYQVMYVPP -GAPEPSTQDTFQWQTSCNPSVISTTMSAPARVSVPFMSTASAYSSAYDGYATFQDTAPANYGILPSNYLG -RLFFRTMEKLDEGKELRLRIYAKPKHVRTWIPRAPRAVPFRSRYYDVYTKVDNLVENRPSITTTGAFGQQ -SGAAYVGSYKIMNRHLASHDDWHRLVWESYGRDLLVSRVDAQGCDVIARCDCTTGVYYCKSRNKHYPVVV -TPPSLAFIDESEYYPARYQSHVILGVGFAEPGDCGGLLRCQHGVMGILTAGGENLVAFADIRDLLWIEDD -AMEQGIHSYIESLGNAFGAGFTEQISTCVNQIKEAMVGSDGLAEKILRNLIKLLSALVIVVRNHSDILTV -TATLSLLGCSSSPWRWLKQKVCSLLGINMAQKQSESWLKKFTEAVNAFRGLDWIAIKLSKFLDWLKAKIL -PELQERAEFVKNLKQLPLLESQIATFEHSNPNQESQEVLFSNVQYLAHHCRKNAPLYAAEAKRVFALEKR -VLGAMQFKTKNRIEPVCCLIRGTPGTGKSLATSIIGKCIAMKECSDFYSLPPDPDHFDGYAEQAVVIMDD -LNQNSDGKDMSLFCQMVSTVPFVVPMAALEDKGRHFTSKFVVASTNSNHICPPTVADPKALQRRFHFDLE -IVVNNDFKDGQKLDAARASQPCEDCCPTNFSKCIPLICGEAIYFKSKKGDGMKYTIDSLVTAMRAEYRKR -ACVGNAIEAIFQGPPEYKPLRISVGPETPPPQAIADLLASIDSEKVREYCRTKGWIVKQEVTSMQLERNV -TRAVAVLQSASLIVLVCGIVYVVYRLFAGMQGPYSGVHIGQAKQKPVVRQVTTQGPLFDFAVSLMKKNVR -TVVTAAGEFTGLGIYDNVMVLPRHAMAHDKIMVDGKEVQLEDAYDLNDQTQTSLELTIVKLKQNEKFRDI -RSLIPDTISNVNEALVVVNTSAYPNLFMPVGAVKDYGYLNLAGRPTHRVLMYNFPTRAGQCGGVVVSMGK -VVGIHVGGNGAQGFAASLLRRYFTEPQGQIEFVEKSKLAGYPVINAPTRTKLHPSVFFDVFPGEKEPAVL -NKKDPRLEVDFEEALFSKYIGNVHVAVTEEMEIAIDHYANQLKQLNIDPAPISMEDAIYGTEGLEALDLG -TSAGYPYVAIGLKKRDILNKETRDISKMQECIDKYGLNLPMVTYVKDELRSKEKVKKGKSRLIEASSLND -SVAMRCAFGNLYKAFHTNPGTLTGCAVGCNPDTFWSKIPVMMDGELFGFDYTAYDASLSPVWFQCLYLLL -EKIGFGHTKHFIDQLCYSTHLYMDKRYVVVGGMPSGCSGTSIFNSMINNIIIRTLVLKVYKHIDLDDLKI -IAYGDDVIASYPFELDAALLADAGKSFGLIMTPPDKSNEFVKLTWDNVTFLKRSFVKDKRFPFLIHPVMN -MSDIHESIRWTKDAKSTQDHVRSLCLLAWHAGQDQYEEFLGKIRSVPVGRALALPSFKSLERTWYDSF - ->YP_009505605.1 polyprotein [enterovirus D68] -MGAQVTRQQTGTHENANIATNGSHITYNQINFYKDSYAASASKQDFSQDPSKFTEPVVEGLKAGAPVLKS -PSAEACGYSDRVLQLKLGNSAIVTQEAANYCCAYGEWPNYLPDHEAVAIDKPTQPETSTDRFYTLRSVKW -ESNSTGWWWKLPDALNNIGMFGQNVQYHYLYRSGFLIHVQCNATKFHQGALLVVAIPEHQRGAHDTTTSP -GFNDIMKGERGGTFNHPYVLDDGTSIACATIFPHQWINLRTNNSATIVLPWMNVAPMDFPLRHNQWTLAV -IPVVPLGTRTMSSVVPITVSIAPMCCEFNGLRHAITQGVPTYLLPGSGQFLTTDDHSSAPVLPCFNPTPE -MHIPGQIRNMLEMIQVESMMEINNTDGANGMERLRVDISVQADLDQLLFNIPLDIQLDGPLRNTLVGNIS -RYYTHWSGSLEMTFMFCGSFMATGKLILCYTPPGGSCPTTRETAMLGTHIVWDFGLQSSITLIIPWISGS -HYRMFNSDAKSTNANVGYVTCFMQTNLIVPSESSDTCSLIGFIAAKDDFSLRLMRDSPDIGQSNHLHGAE -AAYQVESIIKTATDTVKSEINAELGVVPSLNAVETGATSNTEPEEAIQTRTVINQHGVSETLVENFLGRA -ALVSKKSFEYKNHASSSAGTHKNFFKWTINTKSFVQLRRKLELFTYLRFDAEITILTTVAVNGNNDSTYM -GLPDLTLQAMFVPTGALTPKEQDSFHWQSGSNASVFFKISDPPARMTIPFMCINSAYSVFYDGFAGFEKN -GLYGINPADTIGNLCVRIVNEHQPVGFTVTVRVYMKPKHIKAWAPRPPRTMPYMSIANANYKGRDTAPNT -LNAIIGNRASVTTMPHNIVTTGPGFGGVFVGSFKIINYHLATIEERQSAIYVDWQSDVLVTPIAAHGRHQ -IARCKCNTGVYYCRHRDRSYPICFEGPGIQWIEQNEYYPARYQTNVLLAAGPAEAGDCGGLLVCPHGVIG -LLTAGGGGIVAFTDIRNLLWLDTDVMEQGITDYIQNLGNAFGAGFTETISNKAKEVQDMLIGESSLLEKL -LKALIKIISALVIVIRNSEDLITVTATLALLGCHDSPWSYLKQKVCSYLGIPYVPRQSESWLKKFTEACN -ALRGLDWLSQKIDKFINWLKTKILPEAREKYEFVQRLKQLPVIEKQVSTIEHSCPTTERQQALFNNVQYY -SHYCRKYAPLYAVESKRVAALEKKINNYIQFKSKSRIEPVCLIIHGSPGTGKSVASNLIARAITEKLGGD -IYSLPPDPKYFDGYKQQTVVLMDDLMQNPDGNDISMFCQMVSTVDFIPPMASLEEKGTLYTSPFLIATTN -AGSIHAPTVSDSKALSRRFKFDVDIEVTDSYKDSNKLDMSRAVEMCKPDNCTPTNYKRCCPLICGKAIQF -RDRRTNARSTVDMLVTDIIKEYRTRNSTQDKLEALFQGPPQFKEIKISVAPDTPAPDAINDLLRSVDSQE -VRDYCQKKGWIVIHPSNELVVEKHISRAFITLQAIATFVSIAGVVYVIYKLFAGIQGPYTGIPNPKPKVP -SLRTAKVQGPGFDFAQAIMKKNTVIARTEKGEFTMLGVYDRVAVIPTHASVGEIIYINDVETRVLDACAL -RDLTDTNLEITIVKLDRNQKFRDIRHFLPRCEDDYNDAVLSVHTSKFPNMYIPVGQVTNYGFLNLGGTPT -HRILMYNFPTRAGQCGGVVTTTGKVIGIHVGGNGAQGFAAMLLHSYFTDTQGEIVSNEKSGMCINAPAKT -KLQPSVFHQVFEGSKEPAVLNSKDPRLKTDFEEAIFSKYTGNKIMLMDEYMEEAVDHYVGCLEPLDISVD -PIPLENAMYGMEGLEALDLTTSAGFPYLLQGKKKRDIFNRQTRDTSEMTKMLEKYGVDLPFVTFVKDELR -SREKVEKGKSRLIEASSLNDSVAMRVAFGNLYATFHNNPGTATGSAVGCDPDIFWSKIPILLDGEIFAFD -YTGYDASLSPVWFACLKKVLIKLGYTHQTSFIDYLCHSVHLYKDRKYVINGGMPSGSSGTSIFNTMINNI -IIRTLLIKVYKGIDLDQFKMIAYGDDVIASYPHKIDPGLLAEAGKHYGLVMTPADKGTSFIDTNWENVTF -LKRYFRADDQYPFLIHPVMPMKEIHESIRWTKDPRNTQDHVRSLCYLAWHNGEEAYNEFCRKIRSVPVGR -ALTLPAYSSLRRKWLDSF - ->YP_009505604.1 polyprotein [Coxsackievirus B3] -MGAQVSTQKTGAHETRLNASGNSIIHYTNINYYKDAASNSANRQDFTQDPGKFTEPVKDIMIKSLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVGYGVWPDYLKDSEATAEDQPTQPDVATCRFYTLDSVQW -QKTSPGWWWKLPDALSNLGLFGQNMQYHYLGRTGYTVHVQCNASKFHQGCLLVVCVPEAEMGCATLDNTP -SSAELLGGDSAKEFADKPVASGSNKLVQRVVYNAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYTNSVP -MDNMFRHNNVTLMVIPFVPLDYCPGSTTYVPITVTIAPMCAEYNGLRLAGHQGLPTMNTPGSCQFLTSDD -FQSPSAMPQYDVTPEMRIPGEVKNLMEIAEVDSVVPVQNVGEKVNSMEAYQIPVRSNEGSGTQVFGFPLQ -PGYSSVFSRTLLGEILNYYTHWSGSIKLTFMFCGSAMATGKFLLAYSPPGAGAPTKRVDAMLGTHVVWDV -GLQSSCVLCIPWISQTHYRFVASDEYTAGGFITCWYQTNIVVPADAQSSCYIMCFVSACNDFSVRLLKDT -PFISQQNFFQGPVEDAITAAIGRVADTVGTGPTNSEAIPALTAAETGHTSQVVPGDTMQTRHVKNYHSRS -ESTIENFLCRSACVYFTEYKNSGAKRYAEWVLTPRQAAQLRRKLEFFTYVRFDLELTFVITSTQQPSTTQ -NQDAQILTHQIMYVPPGGPVPDKVDSYVWQTSTNPSVFWTEGNAPPRMSIPFLSIGNAYSNFYDGWSEFS -RNGVYGINTLNNMGTLYARHVNAGSTGPIKSTIRIYFKPKHVKAWIPRPPRLCQYEKAKNVNFRPSGVTT -TRQSITTMTNTGAFGQQSGAVYVGNYRVVNRHLATSADWQNCVWESYNRDLLVSTTTTHGCDIIARCQCT -TGVYFCASKNKHYPISFEGPGLVEVQESEYYPRRYQSHVLLAAGFSEPGDCGGILRCEHGVIGIVTMGGE -GVVGFADIRDLLWLEDDAMEQGVKDYVEQLGNALGSGFTNQICEQVNLLKESLVGQDSILEKSLKALVKI -ISALVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNNSWLKKFTEMTNACKGMEW -IAVKIQKFIEWLKVKILPEVREKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKY -APLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPD -PDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAP -TVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDDECCPVNFKKCCPLVCGKAIQFIDRRTQVR -YSLDMLVTEMFREYNHRHSVGTTLEALFQGPPVYREIKISVAPETPPPPAIADLLKSVDSEAVREYCKEK -GWLVPEINSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGVPNQKPRVPTLRQAKVQ -GPAFEFAVAMMKRNSSRVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVLDAKELVDKDGTNL -ELTLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTEYGFLNLGGTPTKRMLMYNF -PTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKDAGFPVINTPSKTKLEP -SVFHQVFEGNKEPAVLRSGDPRLKANFEEAIFSKYIGNVNTHVDEYMLEAVDHYAGQLATLDISTEPMKL -EDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTKDLTKLKECMDKYGLNLPMVTYVKDELRSIEK -VAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGVVTGSAVGCDPDLFWSKIPVMLDGHLIAFDYSGY -DASLSPVWFACLKMLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIR -TLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKGYGLIMTPADKGECFNEVTWTNVTFLKR -YFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKIRSVPVGRCLT -LPAFSTLRRKWLDSF - ->YP_009480535.1 polyprotein [Sichuan takin enterovirus] -MGAQMSKNTAGSHTTGTYAAGGSSINYTNINYYSHSASASQNKQDFSQDPSKFTQPVVDVMKEAAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANITVGYGEWPSYLSDLDATAVDKTTKPGVSCDRFYTLPTKKW -EDSSKGWEWKLPDALTELGVFGQNSQYHYLYRCGWVVHVQCNATKFHQGCLYVGMVPEHQLGTRKEPTFE -QVMPGKGGIEMTHTYEFDDGTSFANALIYPHQWINLRTNNSATIVVPYMNAIPMDSPIRHSSWSLIVAPI -TFLRHATGTTPFVAVTVTVAPMFSEFSGLRRAIVQGIPTMATPGSFQFLTTDEDSSPCALPDFCPTQEIH -IPGEVTNLLAMCQVESIVEINNVAEKFRNNRLLLPVNTQAEPDQQLFALNLSLSEGSVLSKTLVGIICSY -YTQWSGSLELTFMFTGTFMTTGKILLAYSPAGGSAPTSREDAMLGTHVIWDFGLQSSITLVVPWISGGNY -RGVSSTNAYEYYQAGYVTGWYQTNMVIPPDFPSEANIICLVAAQPNFSLRILKDRPDMDQTAALQAPPIE -AALNNHINHTVSNALTAANTNESSHNISTSSTPALQAAETGATSNASDEGMIETRRVINTNGVQETSVEA -FFGRSGLVTIMTLSTGDTRTVWQINFREFVQLRAKFDLFTYARFDIEFTLLATFTKSNSSSAAPVQLQVM -YVPPGAIAPTDQDTYQWQTAANPSVFFQANGVPARFSIPYVGTASAYSFFYDGYSQFGGEAPGTSYGVLG -SNGMGALALRALAPLVDGESVKLRVYAKPKHTRVWGPRAPRIRPYLYKASNVFTAETKMVPDRTSITTTG -AFGQQSGAVFVGNYKIMNRHLASQVEWDSLEWEDYNRDVIVSRVNAHGADKLARCKCNAGVYYCKSRGKH -YPVIFEGPGIQWIDANDYYPARYQSHILLGIGFCEPGDCGGILRCQHGVIGIITAGGPSLVAFADLRDLF -WIEHEAMEQGIADYVRDLGNSFGQGFTDEISKFTEQLKELMTGADGLVELCIKTFIKVVSAIVIATRAEG -DVPTILATLALIGCDTSPWRWLKKQFCGIFKIPYVEKQGDDWIRKFTSYINAFKGLDWVGEKIMKFIDWL -KNNLIPQAKEKVEFTTNLKSLPLLEAQIATLEHACPTTEQQETLFGNVQYLAHHCRRYAPLYAAEAKRVF -ALEKRVLGYIQFKNKQRIEPVCLLIHGTAGTGKSLATSIIGRKLAEYEHSEVYAIPPDSDHFDGYQQQAV -VVMDDLNQNPDGKDMVAFCQMVSTVPYHVPMAALEEKGMLFTSAYVLASTNSGSIHPPTVSNSKALSRRF -AFDVDIEVSPNYKTESNTLDVVKATQSCDDCCPANFKKCMPLVCGEAYTLVDRRTKIRYSIDQMISEMRR -EWQRRNRVGSVIEALFQGPPQFKPLKISVDPEVPPPPAIADLLASVDSEQVREYCKQKGWIVEVPVTAMT -LEKNVNIAATIMSSLILLTSVITLVYLVYRLFAGYQGPYTGLPNKKPKAPVLREVKAQGPLMDFGVSMMK -KNIVTVRTGYGEFTGLGVYDTVLVLPRHAHPAEQVMIDGVETPVLDAYNLTDEEGVSLELCLVTLKRNEK -FRDIRAMIPENPSGTNEAVVCVNTSSFPNAFLPVGKTEYYGYLNLAGNPTHRTMMYNFPTKAGQCGGVVL -SMGKVIGVHIGGNGAQGFCAALKRSYFVKQQGKIEWMETNKESGYPVINTPAKTKLEPSVFYEVFEGKKE -PAALHPRDPRLETDLETALFSKYTGNVDIDMPEEMKEAVDHYANQLLSLAIPTEPLSMDEAIYGTEGLEA -LDLTTSAGYPYVAMGIKKKDILNKETRDTKKMQECIDKYGLNLPMVTYIKDELRSKEKVKKGKSRLIEAS -SLNDSVAMRCFYGNLYKAFHQNPGTVTGCAVGCDPDVFWSKVPVMMDGELFGFDYTAYDASLSPLMFQAL -QMVLEKIGFGAGKHFIDNLCCSHHLFKNKHYYVVGGMPSGCSGTSIFNSMINNIIIRTVVLQTYKGIELD -HLKIIAYGDDVIASYPYRIDPAELAKAGAKLGLHMTPPDKSETYVDLDWSNVTFLKRSFVPDEKYPFLIH -PVMPMSEIHESIRWTRDARHTQDHVRSLCLLAWHNGRKEYEEFCRKIRSVPVGRALQLPSYSSLLREWYD -KF - ->YP_009353025.1 polyprotein [Enterovirus goat/JL14] -MGAQVSKNTAGSHTTGTIATGGSNIHYTNINYYSHSASASQNKQDFSQDPSKFTQPVVDMMRESAVPLKS -PSAEACGYSDRVAQLTLGNSTVTTQEAANITVGYGEWPAYLSDTDATAVDKTTKPGVSCDRFYTLPTKKW -ERSSKGWEWKLPDALNQLGVFGQNSQFHYLYRCGWVVHVQCNATKFHQGCLFVAMVPEHQLGTQENVDFA -RAMPGKAGTEMQATYEFEDGTSLANALIYPHQWINLRTNNSATIVVPYANAIPMDSPIRHSSWSLIVAPI -TYLEHAAGTTPFVAVTVTVAPMMSEYSGLRRAITQGLPTMSTPGSYQFLTTDEDSSPCALPDFDPTHLIH -IPGEVTNLLSLCQVESIVEINNVEGQVRNNRLLLPVTVQAEPDQQLFALNLTLSEGSILSKTLLGVISSY -YTQWSGSLELTFMFTGSFMTTGKLLIAYSPAGGSAPSSREDAMLGTHVVWDFGLQSSITLVVPWISGGNY -RGVTRTGAYDYYQAGYVTGWYQTNMVIPPEFPQTANIICLVAAQPNFSLRVLKDRPDMDQTAALQLPPVG -EQIREFMGETVSNALTAANTTESTHNISTSDTPALQAAETGATSNASDESMLETRTVLNQNGIRETSVEA -FFGRSGLATIMTLAAGDVKTQWTINFNEFVQLRAKLDLFTYLRFDIEFTFVATSTKKGKYNSEPIQLQLM -YVPPGATXPTDQDTYQWQTAANPSVIFMSNGVPARISIPFVGTGSAYSRFYDGYNQFGDSRPGSDYGQLG -SNQMGQLALRAVAQLGDGYGAKVRVYVKPKHVRAWCPRAPRMRPYKKVFNNYFGSEDLMVPNRTNITTAG -AFGQQSGAVYVGNYKIMNRHLATQAEWDNLEWDDYNRDLIVSRVNAHGADKLARCNCNAGVYYCKSRDKH -YPVTFEGPGIQWIDANDYYPARYQSHILLGVGFCEPGDCGGILRCQHGVIGIITAGGPSLVAFADLRDLF -WIEHEAMEQGITDYIRDLGNSFGQGFTDEISKFTEQLRELMTGADGLVELCIKTFVKVVSAIVIATRAEG -DVPTILATLALIGCDTSPWRWLKKQFCSIFKIPYVEKQGDDWVRKFTSYINAFKGLDWVGEKIMKFIDWL -KNILIPQAKEKVEFTTNLKSLPLLEAQIATLEHACPTTEQQETLFGNVQYLAHHCRRYAPLYAAEAKRVY -ALEKRVLGYIQFKNKQRIEPVCLLIHGTAGTGKSLATSIIGRKLAEYEHSEVYAIPPDSDHFDGYQQQAV -VVMDDLNQNPDGKDMVAFCQMVSTVPYHVPMAALEEKGMLFTSAYVLASTNSGSIHPPTVSNSKALSRRF -AFDVDIEVSQNYKTESNTLDVVKATQACDDCCPANFKKCMPLVCGEAYTLVDRRTKIRYSIDQMISEMRR -EWQRRNRVGSVIEALFQGPPQFRPLKISVDPETPPPPAIADLLASVDSEQVREYCKQKGWIVEVPVTAMT -LERNVSIAATVMSSLILLTSVITLVYLVYRLFAGYQGPYTGLPSKKPKAPVLREVKAQGPLMDFGVSMMK -KNIVTVRTGYGEFTGLGVYDTVLVLPRHAHPAEQVMIDGVETPVLDAYNLTDEEGVSLELCLVTLKRNEK -FRDIRAMIPENPSGTNEAVVCVNTSAFPNAFLPVGKTEYYGYLNLAGNPTHRTMMYNFPTKAGQCGGVVL -SMGKVIGVHIGGNGAQGFCAALKRSYFVKEQGKIEWMETNKESGYPVINTPSKTKLEPSVFHEVFEGKKE -PAALHPRDPRLETDLETALFSKYTGNIDIDMPEEMKEAVDHYANQLLSLAIPTEPLSMDEAIYGTEGLEA -LDLTTSAGYPYVTMGIKKKDILNKETRDTKKMQECIDKYGLNLPMVTYIKDELRSKEKVKKGKSRLIEAS -SLNDSVAMRCYYGNLYKAFHQNPGTVTGCAVGCDPDVFWSKIPVMMDGELFGFDYTAYDASLSPLMFQAL -QMVLEKIGFGEGKHFIDNLCCSHHLFKNKHYYVVGGMPSGCSGTSIFNSMINNIIIRTVVLQTYKGIELD -HLKIIAYGDDVIASYPYRIDPAELAKAGAKLGLHMTPPDKSETYVDLDWKNVTFLKRNFVPDEKYPFLIH -PVMPMSEIHESIRWTRDARHTQDHVRSLCLLAWHNGRKEYEEFCRKIRSVPVGRALQLPSYSSLLREWYD -KF - ->YP_009344963.1 polyprotein [Enterovirus AN12] -MGGQFSKNTAGSHTTGTYAAGGSTIHYTNINYYENAASSSLNKQDLTQDPTKFTQPVVDVIKESAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANICVGYGEWPSHLDDHDATAVDRPTHPGVSCERFYTLPSVEW -TNTWKGQYWKLPNALTETGMFGQNAQFHYLYRGGWAVHVQCNATKFHQGLLLVVMIPECVVNLRGAPPIN -KTQPGENGREIEEPFLLDSGEALGNALIYPHQWINLRTNNAATIIVPYVNAIPMDSAIRHSNWTLMVIPV -TELAYAANTSPLVPITVTLAPMCTEFGGLRAASEQGLPVLATPGSYQFLTTADFQTPCLLPKFEPSPEIH -IPAEVRNLLEIVQVESMVEANNLADVEGAKRYNIPLNVQTGMDAQIFALNVDPGRDGPLQHTLLGIYTRY -FTQWSGSLEFTFMFTGTFMTTGKILIAYTPPGAAVPASRREAMLGTHVVWDFGLQSSITLVVPWISAAHF -RGTDSTSTLYKYKYYEAGVITGWYQTNMITPPDFPQTASIVAFVAAQSNFSLRIQKDRPDMTQTASLQSP -KEAIKGAVDKVVGTVATEHNISTNNTPALQAAETGATSTATDEGMIETRHVVATHGVAETSLESFYGRSS -LVGMPSLNPGDTVTEWIINFGEFVQLRAKLCLFTYMRFDIEFTIVATAYSATGDPISDPTYITYQVMYVP -PGASAPTDQDTYQWQSGANPSLLSRVGDVPAAFSVPFMGTANAYSYAYDGYAFFNTTDHLDYGVLPSNYL -GSMYFRTLERVTQKIRFRIYAKPKHVRAWVPRAPRAVPYQSRYNNSYTAVTALAPNRDTITTLGPLRTTG -PFGQQSGAAYVGNYKIMNRHLADCVDWQNLVWESYERDLLVTRVDAHGCDQIARCDCRAGVYYCKSRMKH -YPVVVTPPSLVHVDKNDYYPERYQSHVALGIGFAEPGDCGGLLRCEHGVMGILTAGGNNLVAFADIRDLL -WIEDDVMEQGLTDYVQSLGNAFGAGFTDEISNYVGQVRDMMVGSDTVVEKIVRNIIKLLSALVIVVRNRS -DIVTVTATLSLLGCSGSPWRWLKAKICSILGINMAQKQADGWIKKFTEAVNAFKGLDWIAAKFSKFLDWI -KSKIIPELRERAEFVKNLKQLPLLEAQINTLEHSNPNQETQEQLFSNVQYLAHHCRKNAPLYAAEARRVY -ALEKRVLGAMQFKTKNRIEPVCCLIHGTPGTGKSLATTIIGRKIAEYENSGVYSLPPDPDHFDGYQEQAV -VIMDDLHQNPDGKDMSLFCQMVSTTPFVVPMAALEDKGRLFTSKYVLASTNANHIHPVTVADGKALQRRF -HFDTDIELMDGYVRNGKLDIQKATEACDDCSPINFQKCMPLICGKALQLRSKKGDGMRYSIDTMITEMRR -ESARRYNIGNVIEALFQGPPEFRPLRIDVSEETPAPPAIADLLASVDSEDVREYCRQKGWIVQERITKES -LERNVSRALIVLQSATLIATICGVIYVVYKLFAGLQGPYSGIHTNYQKVKPVVRQVTTQGPLLDFAMSLL -KKNIRTVVTKTGEFTGLGVYDTFMVLPRHAMAHGEVEVDGKKVEIEDAYDLNDTTQTSLELTVIKLKQNE -KFRDIRSLIPDQISETTEALVIVNTSAYPNLFMPVGAVKDYGYLNLAGRPTHRTLMYNFPTRAGQCGGVA -VSMGKVIGIHIGGNGAQGFAAALLRRYFTQPQGEIEFMEKSKDAGYPIINAPTKTKLHPSVFFDIFPGEK -EPAVLHKKDKRLEVDFEEALFSKYIGNIHKPVTEEMEIAIDHYANQLKQLDIDPTPISMEDAIYGTEGLE -ALDLGTSAGYPYVALGIKKRDILNKETRDVTKMQQCIDKYGLNLPMVTYVKDELRSKEKVKKGKSRLIEA -SSLNDSVAMRCAFGNLYKAFHTNPGTLTGCAVGCNPETFWSKIPVMMDGELFGFDYTAYDASLSPVWFQC -LYLLLEKIGFGHCKHFIDQLCCSNHLFMDKRYVVVGGMPSGCSGTSIFNSMINNIIIRTLVLTVYKNIDL -DDLKIIAYGDDVIASYPFELDAKLLADAGKSFGLIMTPPDKSSEFVKLTWDNVTFLKRSFVKDERFPFLI -HPVMKMSDIHESIRWTKDAKSTQDHVRSLCLLAWHCGQEQYEEFLEKIRSVPVGRALSLPSFKALQRSWY -DSF - ->YP_009246449.1 polyprotein [Enterovirus SEV-gx] -MGASVSKSSSGEHENALIAESGSSIKFTNINYYNDSCASASSVASLQQDPSKFTQPVVDALKAGEVMMKS -PSAEECGYSDRVQQLVLGNTAITTQEAANVVVGYGKWPENLSSKDATAIDAPTFPDTAVNRFYTFDSVLW -SAQSNGWYYRLPGSMLDFGLFGQNMQYHYLMRTGYAIHVQCNASKFHQGALLVAVIPEMQTDNPEGSVDT -GILTPLDGATNLKFDESLEPEQLTIFPHQWINLRTNNTATIIMPYANSVPMDNGLIHNNCTLVILPVAGL -QYSTGATVVVPITVTIAPMCSEYNGLRFAITNAHTQGLPVRNLPGSRQFLTTDIYSAPPAIPYFDPSPEI -DIPGEVKNLLELAKVDTIMPFQDTNKKWRLNLEVSVQTSVGQKLTEFEVGPTTGEAWQKTLLGALLKFYT -HWTGSIKLSFMFCGTAMTTGKFLICYTPPAGRAPQSRQEAMLGTNLIWDIGLQSTATLVIPWIAASHYRL -NGKTNSEGRVGLYSTSGRITIFYQTNIVVPPDCPTTAKIVLLVSACDDFVMRIPLDSAYQQGPNPVGNAL -NKEINKVTQSLSAMSIDETGSSVHPDSAPGLNAQETGATSTTEPEQMMETRAVMNNHSQRETTLENFFSR -AALVQIMTFVYGKDTAAANNDYTSWVIDLRNATYTVFRRKIEMFTYMRFDLEIIALFTVTPKNAESGYFP -NLEYQVMYVPPGAQTPTSSVDKPWQTATNPSVFTRQGDPPVSFSIPFLSVANAYSMFYDGYSNFNDVGNS -IYGLFPPNDMGVISVRTVNDNPPTGQEFDVKCRIYFKLKHVKAWIPRPIRQAPYKAPASSMLLSEDTLHM -LRINDEGDDLVCQTAFDEGPNLYNYGPGFGGAHIGQYKVVNHHLLDPEDDPIWDSPQRDLAVILAPSHGK -DVVARCKCRCGIYWCRSKRKYYPVCFDEPSFRYFDDNEYYPARFQSHVLLASGFAEPGDCGGILQCPHGV -IGLVTGGDGQGTVAFADIRDLLWLEDDAMEQGVTDYVQALGNAFGTGFTQHITDKCNEIREMMMGQDSLL -EKIIKAVIKIVSALVIIVRHHDDLATVAATLALVGCSGSPFSWLKQKICSLIGMNYAQRQGESWLKKFTE -AVNAAKGLEWISDKLSKLLEWIKNKIIPAAKERVEFCSRLKQLPLLDQQIRSLDISPVNAEKTAQLFSNL -QYYQHYCSMYAPQYAVEAKRVRDLQKILNAHMCFDSRTRMEPICVVVHGSPGSGKSLATSMLARVLVGKY -DGEAYSLPPDPKHFDGYHQQDVVIMDDLGQNPDGEDMKLFCQMVSTVEFRPPMASLEDKGMLFNSRFILC -STNCQQLIPPTVSEPEAIRRRIFLDLDMCLEKAYTKDGKLDVNMAADPCENCTPTNFKRCCPLVCGKAFT -LKDRATGVRMSLDAVASSLDRALRYRTGAKSKIEALFQGPPTFTDLKIDIIETPPPPAIADLLQATDSEE -IRAYCAGKGWICNVEPNEIRFERNLSKAMIVFQTLTTAVCVVGAIWVVYKLFAGFQGPYNALPNVKPKVP -TLRTAQVQGPSLDFALSMIKRNTLAVTTGKGEFTMLGIKDHIGVLPTHAEPGEIVLINGKEVKIRDAYSL -IDKTGTNLELTVVHLDRNEKFRDILPFIPDEPRDEWKDAVLAINTTKFPMMFLPVGNVTQYGALNLSGRV -TNGILMYNFPTRAGQCGGVIMTVGKVIGMHVGGNGHQGFAAALKRSYFAVPQGERYDVRPATVYGTYNPL -KTPPEADPMDKIFINTPTKTKLHPSVFNEVFPGTKEPAILSRSDKRCRVDFEEALFSKYKGNIIMEMTDN -MYTACDSYVSNLQTLGDMTQCITMEEALYGYKNLDALDLNTSAGFPYVTLGIKKRDILDPKTKSTEKMKQ -CMDKYGIELPFVTYLKDELRPKEKVEQGKTRLIEASSLNDSVAMRMCFGNLYSKFHENPGTITGSAVGCN -PDTFWSKIPCMMDGELFAYDYSNFDASLSPVWFMCLKYVLRRLGFKSKQLGFIDYMCHSTHVYRGVQYRV -SGGMPSGCSGTSIFNSMINNIIIRTLVLDTYKGIDLAQLKIIAYGDDVICSYPFQLDAEQLAKAGKEYGL -TMTPPDKGDSFNDTNWVNVTFLKRYFRADEEYPFLVHPVMPMKEIQESIRWTREGADIKDHVRSLCYLAW -HSGQEEYEEFCEKIRSVPVGRALVLPSYRQLRNQWLDGF - ->YP_009243645.1 polyprotein [Yak enterovirus] -MGAQVSKNTAGSHTTGTYATGGSNIHYTNINYYENAASNSMNKQDFTQDPEKFTRPVVDVMKEAAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANIVVGYGRWPQFRSDCDATAVDKPTEPGVSAERVYPLPSLQW -DTNFRGRFWKLPDALSELGLFGQNLQFHYLYRGGWVIHVQCSATKFHQGTLLVVATPEHKIQSATTPDFG -KTNPGESGADYDFPFTFEDRTALGNALIYPHQWINLRTNNSATLVLPYVNAVPMDSGVRHNNWTLSVIPV -VPLEYAAGSTTFVPITVTIAPMCTEYNGLRYAVAQGIPTMYTPGSGQFLTTDDFQTPCLLPKFKPTPVID -IPGEIKNFLEVVQVESLVEINNVTDAQGLVRYRIPLNVQDRLDGQIMAMRVDPGIDGPMQSTLLGVFTRY -YAQWSGSVEFTFMFCGTFMTTGKVIIAYTPPGGDAPTDRRQAMLGTHVVWDFGLQSSITLVVPWISSGHF -GGATLDDTIYKDRYYEAGFITMWYHTNMAAPPDFPTTESILMFVAAHPNFSFRILKDRPDITQTAALQGE -TGNAIKNAVQKTVADTVATAHSIAIDSTPALQAAEAGATSNTEDGSLIETRHVINTHGVEESSLEAFYGR -AGLVAMFNTDGGIYRWYINFGEYVQLRAKLELLTYARFDLELTIVAQVIDTSNKVQDFSVDYQVMYVPPG -ASVPENQDSYQWQSSCNPSVISKTSAPPARVSVPFMSTANAYSFSYDGYTRFSTTDGSNYGIVPSNYLGQ -LVVRTIEDLNNTRLRVRIYAKPKHVRGWIPRSPRMVPYRSRYTGVYTNVSKFCADRDTITTTGAFGQQSG -AAYVGNYKIMNRHLAEQVDWDNLVWESYERDLLVTRVDAHGIDTVARCSCNSGVYYCKSRGKHYPVVVTP -PSLAHIDKNDYYPERYQSHVALGIGFAEPGDCGGLLRCQHGVMGILTAGGNNLVAFADVRDLLWIEDDVM -EQGLTDYVQSLGNAFGAGFTDEIANYVGQVRDMMVGSDTVVEKIVRNIIKLLSALVIVVRNRSDIITVTA -TLSLLGCTGSPWRWLKSKICSILGINMAQKQADGWVKKFTEAVNAFKGLDWIATKFSKFLDWIKSKIIPE -LRERTEFVKNLRQLPLLEAQIATLEHSNPNQETQETLCSNVQYLAHHCRKNAPLYAAEARRVFALEKRVL -GAMQFKTKNRIEPVCCLIHGTPGTGKSLATTIIGRKIAEYENSGVYSLPPDPDHFDGYAEQAVVIMDDLH -QNPDGKDMSLFCQMVSTTPFVVPMAALEDKGRLFTSKYVLASTNANHIHPVTVADAKALQRRFHFDTEIE -IMDQYKQGGKLDIQKATEKCEDCSPINFQKCMPLICGKAIQLRSKKGDGMRYSIDTMITEMRRESARRYN -IGNVIEALFQGPPEFKPLRIDVSEETPAPPAIADLLASIDSEEVREYCRSKGWIVQERITKESLERNVNR -ALIVLQSATLIATICGVIYVVYKLFAGLQGPYSGIHTNYQKVKPVVRQVTTQGPLLDFAMSLLKKNIRTV -VTKTGEFTGLGVYDTFMVLPRHAMANGTVQIDGKEVALEDAYDLNDTTQTSLELTVVKLKQNEKFRDIRS -LIPDQISETTEALTIVNTSAYPNLFMPVGAVKDYGYLNLAGRPTHRTLMYNFPTRAGQCGGVVVSMGKII -GIHIGGNGAQGFAAALLRRYFTQPQGEIEFMEKSKDAGYPIINAPTETKLHPSVFFDVFSGEKEPAVLHK -KDPRLEVNFEDALFSKYIGNVHKPVTEEMEIAIDHYANQLKQLNIDPTPISMEDAIYGTEGLEALDLGTS -AGYPYVALGIKKRDILNKETRDVTKMKQCIDKYGLNLPMVTYVKDELRSKEKVKKGKSRLIEASSLNDSV -AMRCAFGNLYKAFHTNPGTLTGCAVGCNPETFWSKIPVMMDGELFGFDYTAYDASLSPVWFQCLYLLLEK -IGFGHCKHFIDQLCCSNHLFMDKRYVVVGGMPSGCSGTSIFNSMINNIIIRTLVLMVYKNIDLDDLKITA -YGDDVIASYPFELDAKLLADAGKSFGLIMTPPDKSTEFTKLTWDNVTFLKRSFVKDKRFAFLVHPVMKMS -DIHESIRWTKDAKSTQDHVRSLCLLAWHCGQEQYEEFLEKIRSVPVGRALALPSFKALERSWYDSF - ->YP_009028564.1 polyprotein [Enterovirus sp.] -MGAQVSRQESGNHQATSIASGGSTIHYTNINYYKDSYAAAANKQDFSQDPSKFTQPVVDVLKETAVPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIVVGYGEWPEYLRDDEATAVDKPTKPDVSANRFYTLPSKKW -ETNSTGWYWKLPDALCELGMFGQNAVYHFLYRSGWLIHVQANASKFHQGTLLVLAIPEFVIGELTAEPSK -PPHPSFGKVMPGQGGGTLTYNYILDNGTALSMATIYPHQWINLRTNNCATLILPYVNCIPADSAIRHSPW -SLVIVPVVPLGYASGATTMVPITVSIAPMCCEFSGLRGAITQGLPVMTVPGSNQFLTTDRFCSPPMLPGF -DETPIMHIPGEVVNMLEIMQVESILEVNNVSTARDLNRLLIPVSVQAEIDQLICSFRVDPGRDGPWESTL -VGNLVRYYTHWSGSLEITFMFTGCFMATGKVLVAYSPPGGTQPTSRLDAMLGTHVVWDFGLQSSITLVIP -WISNSHYRANISTYSDYYAAGIVTMWYQTNYVVPPGAPTQGSIIALAAAQPNFSVRLLKDSPDISQASVL -QGDPVGETLTTHIENTVANTIASSHNIGTAETPALQAAETGATSNASDSSMIETRTVFNKHKPSESSLEN -FFSRSCLVGMVDVFTSETTTNGFTVWDIDIMAFVQERRKLEMFTYMRFNAEFTFVTTVEKYNTDKAVAYP -IMLQFMYIPNGAPKPTGRDSYEWQSSTNPSIFTTLTDPPARISVPFMSTANAYAWFYDGYPTFGEHPTAD -DAQYNILPSNMLGSFAVRVVGSLTTLSQLRVRVYMKPKHVRAWCPRAIRSQLYTNKNYPNFPKSVKALAT -NRADIKTTGAFGQQSGAVYVGNYRIVNRHLANEFDAANTIWESYERDLLVSTTTAHGCDTIARCNCTSGV -YFCRSRGKHYPVSFQGPGLVEVQANEYYPHRYQSHVLLAHGFSKPGDCGGILRCQHGVIGLVTMGGDGLV -GFADLRDLLWLEDDAMEQGVKDYVEQLGNAFGAGFTTQINEQITHLKECLIGQDSILEKCLKALIKIVSA -LVIIVRNHEDLVTVTATLALIGCSGSPWAWLKQKVAQLFGIPLAQRQADSWLKKFTEMTNAAKGLEWIAQ -KIQKFIEWLKIKILPEVKEKHEFLTRLRQLPLLESQIATLEQSAPSQSDQEQLFSNVQYFAHYCRKYAPL -YAAEAKRVYQLEKRVNNYVQFKSKCRIEPVCLLLHGTPGAGKSVATNLIGRAIAEKLNSSVYSLPPDPDH -FDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSIHAPTIS -DSRALARRFHFDMNIEILDLYQQNGKLNMPMAVKPCDDECVPANFKRCCPLVCGKAIQFVDRRTQVRYTL -DMLVSEMFREYNHRHNVGNVLEALFQGPPVYKEIKISVAPETPPPPAIADLLKSVDSEELREYCKGKGWI -IPEQASSLITEKHINRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYSGMPQPKPKVPTIRQAKVQGPN -FEFAVSMMKRNASTVKTGNGEFTMLGVYDRWAVLPRHAKPGETIIMDDAEVKILDAKELTDQQGVNLELT -LVKLDRNEKFRDIRGFIPKEESEIGDAILAMNTSKFPNMFIPVGQVTEYGFLNLSGVPTHRMLMYNFPTR -AGQCGGILMATGKVLGIHVGGNGHQGFAAALLRHYFNDEQGEIEWMETNKESGYPIINAPSKTKLEPSVF -HDIFEGVKEPAVLNKKDPRLKVDFEEAIFSKYVGNVNTHMDEYMKEAVDHYAGQLLTLDIDTKPLLLEEA -VYGTDGLEALDLTTSAGYPYVTMGVKKRDIISKQTRDLTKLKECLDKYGLNLPMVTYVKDELRSKEKVEK -GKSRLIEASSLNDSVAMRQTFGNLYRTFHKNPGVVTGSAVGCDPDLFWSKIPVMLDGELFAFDYTGYDAS -LSPVWFLALKVLLEKLGYDYQETRYIDYLCNSYHLYKDKHYFVRGGMPSGCSGTSIFNSMINNIIIRTLL -LKVYKNIDLDKFRMIAYGDDVIASYPYPIDAGLLAKAGKEYGLTMTPADKSAEFNNVTWENVTFLKRFFR -ADEQYPFLVHPVMPMKEIHESIRWTKDPRNTQEHVRSLCQLAWHNGEEVYNEFLDKIRSVPVGKCLLLPA -YSTLRRKWLDSF - ->YP_007985635.1 genome polyprotein [Enterovirus F] -MGAQMSRNTAGSHTTSTYATGGSNIHYTNINYYENAASNSLNKQDFTQDPEKFTRPVVDVMKEAAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANIVVAYGKWPEKLSDSDATAVDRPTEPGVSAERFYTLPSVQW -TTTFKGRFWKLPDALSQLGLFGQNLQFHYLYRGGWAIHVQCNATKFHQGTLLVVAIPEHKIQSQQTPEFA -KTNPGEDGYEFQFPFTLEDGSALGNALIYPHQWINLRTNNSATLILPYVNALPMDSGIRHNNWTLAVIPL -VDLAYADGSTTFVPITVTIAPMATEFNGLRRAVTQGLPTMYTPGSGQFLTTDDFQSPSILPKFKATPEIE -IPGRVLNILEIVQVESLVEINNVDQVNGVARYRIPLSVQDDMDQQIMALRVDPGTSGPLQSTLLGVFSRY -YTQWSGSIEFTFMFCGTFMSTGKVIIAYTPPGGTAPTSRREAMLGTHIVWDFGLQSSITLVVPWISSGHF -RAADINATLFKYKYYEAGFVTMWYQTNLVVPPSFPNQAAILMFVAAQPNFSMRILKDRPEMTQTAALQGD -VKDSIKGAVATTVKNTTESHHSISTENTPALQAAETGATSNASDEGLIETRNVVNTHGVEETSVEAFFGR -SGLVGMTSTVGGIGRWIVNFGEFVQVRAKLELFTYVRFDIELTIVAEVLTQTGNKVAHQHVNYQVMYVPP -GASEPENQDSYQWQSSCNPSVISNTAAPPARVSIPFMSTANAYSMSYDGYATFDDTAGSNYGMVPSNYLG -TIVFRTMEDLDQKLLKVRFYAKPKHLKCWMPRAPRAVPYKSRYTGVYDTVEKFCDDRRSITTAGAFGQQS -GAAYVGNYKIMNRHLAEKQDWDNLVWDSYERDLLVTRVEAHGRDQIARCQCTAGVYYCKSRMKHYPVIVT -PPVLYKIGASDYYPERYQSHVALGIGFAEPGDCGGILRCQHGVMGILTAGGNNMVAFADVRQLLWIEDDV -MEQGLTDNVQSLGNAFGAGFTDEISNYVGQVRDMMVGSDTVVERVVGNIIKLLSALVIVVRNRSDIITVT -ATLSLLGCSGSPWRWLKSKICSILGINMAQKQADGWVKKFTEAVNAFKGLDWIAAKLSKFLDWIKSKIIP -ELRERAEFVKNLRQLPLLEAQITTLEHSNPNQETQEQLFSNVQYLAHHCRKNAPLYAAEARRVFALEKRV -LGAMQFKTKNRIEPVCCLIHGTPGTGKSLATTIIGRKIAEYENSGVYSLPPDPDHFDGYAEQAVVIMDDL -HQNPDGKDMSLFCQMVSTTPFVVPMAALEDKGRLFTSKYVLASTNANHIHPVTVADGKALQRRFHFDTDI -ELMDGFVKNGKLDIQRATEACEDCSPINFQKCMPLICGKALQLRSKKGDGMRYSIDTMITEMRRESARRY -NIGNVIEALFQGPPEFKPLRIDVSEETPAPPAIADLLASIDSEEVREYCRIKGWIVQEKITKESLERNVN -RALIVLQSATLIATICGVIYVVYKLFAGLQGPYSGIHTNYQKVKPVVRQVTTQGPLLDFAMSLLKKNIRT -VVTKTGEFTGLGVYDTFMVLPRHAMAHGEVEVDGKKVEVEDAYDLNDTTQTSLELTVLKLRQNEKFRDIR -SLIPDQISETTEALVIVNTSAYPNLFMPVGAVKDYGYLNLAGRPTHRTLMYNFPTRAGQCGGVAVSMGKV -IGIHIGGNGAQGFAAALLRRYFTQPQGEIEFMEKSKDAGYPIINAPTKTKLHPSVFFDVFPGEKEPAVLH -RKDKRLEVDFEEALFSKYIGNIHKPVTEEMEIAIDHYANQLKQLNIDPTPISMEDAIYGTEGLEALDLGT -SAGYPYVALGIKKRDILNKETRDVSKMQQCIDKYGLNLPMVTYVKDELRSKEKVKKGKSRLIEASSLNDS -VAMRCAFGNLYKAFHTNPGTLTGCAVGCNPETFWSKIPVMMDGELFGFDYTAYDASLSPVWFQCLYLLLE -KIGFGHCKHFIDQLCCSNHLFMDKRYVVVGGMPSGCSGTSIFNSMINNIIIRTLVLTVYKNIDLDDLKII -AYGDDVIASYPFELDAKLLADAGKSFGLIMTPPDKSAEFVKLTWDNVTFLKRSFVKDERFPFLIHPVMKM -SDIHESIRWTKDAKSTQDHVRSLCLLAWHCGQEQYEEFLEKIRSVPVGRALSLPSFRALQRSWYDSF - ->YP_005352647.1 VP3 [Canine picornavirus] -GVPVFGVPGSNQFVTTIRNAGFPLLPDFEETPMHKIPGEVNNLLEVLQVDTFCTVSENANTFLNLDVTQQ -SDFGGRIAVWDMSLNSNFFASTYLARCTRFFSNYRGSVKLTLTFCGSAMATGKFLLAYTPPGGNAPTTRK -EAMLATHIIWDVGLQSSVDFVVPWISQTAYRFAHTPGNVLSYRGYITMFYQTQVVVPPGAPSTCQITCMA -AAAKDFVLRCPTDSAYFQ - ->YP_004934022.1 unnamed protein product [Feline picornavirus] -GIPTFQVPGSEQFVTTLRNPGYPLYPEYQKTPPHHIPGRVTNLMEVAEVDTLCNLSDTEVLYINATGSAP -LGENLGTWDLSLQGNLLSPTYLGRLSRFYTHYRGSINLTFMFCGSAMATGKFLISYTPPGGDAPRTRQDA -MLATHVVWDVGLQSSCSLVIPYISQSQYRFSNISGNKLSYDGYITLWYQTAVVTPPNCPNQCALVCFASA -CKDFEMRLPVDSAYFQ - ->YP_004782559.1 VP3 [Bat picornavirus 2] -GVPVFEVPGSGQFVTTIRNSGFPILPDYEETPNHGIPGRVRNLMEVCGVDTFCNCATSTTTDEQLSFSVS -INDSGDGPIMEWDMDLSAVLFNTTYLGRCSRWFSQHRGSIRLTFMFCGSAMTTGKLLLAYTPPGGAAPAT -RKEAMLGTHVVWDIGLQSSITMVVPWVSQTVYRYNHPKEENVLTYRGFLSVFHQTALVIPASVPHSAEIC -VLCSATSDFVMRCPTDSAYYQ - ->YP_004782545.1 VP3 [Bat picornavirus 1] -GVPVFEVPGSSQFVTTLRNSGYPMLPDYEETPSHHIPGRVTNLLEVMQVDTFCNPVNSTNAAVSFTLDVS -NNSVSNAAIAHWDMDMGSVLFSTTYMARCAKWYSQHRGGIRVTFMFCGSAMATGKLLLAFTPPGGSAPAT -RRDAMLGTHEIWDLGLQSSITLVVPWISQTAYRFNQPSTSADGNVLTYRGYISVFYQTAVVVPVGAPSTC -QIAVLASVTKDFVMRCPTDSAYFQ - ->YP_003358790.1 genome polyprotein [Enterovirus J] -MGAQVSTQKSGSHETGTYATGGSTINYTNINYYKDSYAASASRQDFSQDPSKFTKPVVDALTEAAVPLKS -PSAEACGYSDRVLQLTLGNSTITTQEAANIVVGYGKWPEHLKPIDANSIDAPTKPDVAVNRFYTLKAKKW -EKASKGWMWKLPDCLNDTGIFGQNCWYHFLYRGGWAIHVQCNASKFHQGTLLVFLAPDFTRGAPVTGSGT -TPNVSYSHAMPGEDGSEFIDPLFLDNGTMLQQATVFPHQWINLRTNNSATIIVPYINNIPADSPVRHNNW -TLCVIPVVPLDYSEGATTVVPITVTLAPMCCEFDGLRNAVPQGLPVKATPGSYQFLTTSDDCSEGLLPNF -HSTPEIFIPGQVNNLLELVQIETMMTVNNTATQASGVGRITIPVSVQSDVDTQIAGFTINPGSDGVFQST -YLGQICRYFNNWSGSIEITFMFCGSFMATGKILIAYTPPGGSAPTDRKSAMLGTHVIWDFGLQSSATLLI -PWISNTCMRNVASLAYQDTYFAGGYVTLWYQTNFVVPSGAPTSARIIALCAAKGDFCLRLLKDSTDISQS -AVLQNSPEQAIEGVISTTIQNLTPQNISASEGSIGAAAPALQAAETGATSTATPEGMIETRSVINRQHIA -ESSVESFYSRAGLVGMVKLEGLQSSTFTTWTIDTMGFVQQRRKLELFTYMRFDAEFTMLVCAAEEINPYN -IQYMYVPPGSGIPTDHDSYLWQSGTNPSIYVKSTDPPAQFSIPFMSTCAAYAWFYDGYPRSYGPDAEALN -KSYGILPANQFGTFCMRLLGSALKTTLTVRIYMRPKHIKCWAPRPFRMLPYVEKNRPSYKSPPTDPIKNR -TSITTTGAFGQQSGAVFVGNYKITNLHLASTFDRESEVWSSYERDLIVSSTTAHGCDKLARCTCNTGVYF -CKSANKHFPVCFQGPGLTFIEANEYYPARYQSHVLLAVGHAQPGDCGGILRCEHGVVGILTAGGNGLVAF -ADLRDLLWIEDDAMEQGVSDYVQRLGSAFGAGFTNEIAEKTQQIKEMLIGQDSVLEKCLKALIKIVSAMV -ILIRNHEDLITVTATLALIGCSASPWQWLKSKVCSYFQVPMAQKQADGWLKKFTEATNAAKGLEWLANKI -SKFIDWVKSKIVPQVKEKLDFLSKLKQLPLLESQIATIEHSAPSQEAQETLYGNVQYLAHYCRKFAPLYA -AEAKRVYHLEKRMNNYMQFKTKSRIEPVCLLIHGSPGAGKSVVSSLVGRAIAEKMNSSVYSLPPDPDHFD -GYKQQAVVIMDDLCQNPDGKDVSLLCQMVSTVDFIPPMASLEEKGILYTSPFMIASTNHGSISAPTISDS -RALARRFFLDVDILIHDDYKTETGRLNMPAACMHCTSCDPANFKRCCPIICGKALMFSDRRTSVRYTTDM -LVSEMFREYSHRNSIGNVLEALFQGPPVHKDLKISVAEEVPPPPAIADLLKSVDSEEVRNYCESKGWIVP -AMEINVERHINRAMTILQAVSTFVAVAGCVFVVYKLFAGFQGAYTGLPFTKPKVPTIRQAKVQGPNFEFA -VAMMKRNSCIAKTGQGEFTMLGIWDKWAVLPRHAKPGDNIIIDDVEVPVEDAKELIDSEGVNLELTLIKL -RRNEKFRDIRGFIPSEAGEINEAVLAINTSKFPNMFIPVGKVHEYGFLNLSGTPTHRMLMYNFPTKSGQC -GGILMATGKVLGIHVGGNGHQGFAATLLRSYFSEEQGEIEWMETNKESGYPIINAPTKTKLEPSVFHDVF -EGKKEPAVLNPRDPRLRVDFEEAIFSKYVGNVNTHVDEYMHEAIDHYAGQLMTLDISTEPLCLEDAVYGT -EGLEALDLTTSAGYPYVTLGIKKRDILSKKTRDLTKLRECMDKYGLNLPMVTHVKDELRSVEKVQAGKSR -LIEASSLNDSVAMRQTFGNLYRTFHQNPGVMTGSAVGCNPDTFWSKIPVMLDGELFAFDYTGYDASLSPV -WFAALKILLEKIGYTNNETKYIDYLCNSYHLYKNKHYFVRGGMPSGCSGTSIFNSMINNIIIRTLLLKTY -KNIDLDKFRMIAYGDDVIASYPYAIDAGLLAKAGKEYGLTMTPADKSATFNNVTWENVTFLKRFFRADEQ -FNFLVHPVMPMKEIHESIRWTKDAKNTQEHVRSLCELAWHNGEEAYNEFLSKIRSVPVGRCLLLPAYSTL -RRKWLDSF - ->YP_001715611.1 genome polyprotein [Enterovirus J] -MGAQVSRQQTGSHETSNIATNGSTLHYTNINYYNDSYAASAAKQDFTQDPGKFTQPVADVLKQTAVPLKS -PSAEACGYSDRVAQLKLGNTSITTQEAANITVGYGEWPTFLSNVDANAVDAPTHPDVSVNRFYTLKAVXW -EKTSTGWYWKLPDCLNQLGVFGQNAQYHYLYRSGFAVHVQCNASKFHQGALLVVAIPEWTQGRGLTSSTN -TYMEYSQTNPGKNGGTFNHPYLLDNGTAVSMALIYPHQWINLRTNNCATIVLPYVNNVPSDCALKHNNWT -LAVIPISPLNYDAGATPLVPITITIAPMACEFSGLRKAISQGLPAQLTPGSTQFVTTDNDSSQPLIPNFD -ETTPIHIPGEVRNMLEICQVESILEVNNVTGVNGMSRLLIPISVQSDLDQQIASFRVDPGADGPWQSTLL -GNICRYYNQWSGSLEITFMFTGSFMATGKILIGYSPPGGSQPATREDAMLGTYVVWDFGLQSSVTLAIPW -ISNVMFRNLQSIAGQPYFYAGIVTMWYQTNFVVPDGAPTSADIIALGSAQSNFSLRLLKDSPDISQTAVL -NGPNPVGQALDKMVTNKIEGLSNTSASDAVIETANTPALQAAETGATSNADDAGLIETRDVINTQRPTET -TVQAFYARAGLVGMVDIIHSDSNSPKFASWTIDTMAFVQQRRKLEMFTYMRFAAEFTFVVSTESTTKIPN -TMIQYMYVPPGAPIPTTSNGYEWQSGTNPSVIVRMNEAPARVRVPFISPAGAYAWFYDGYTDFGTHPSPN -GKGDANYGLNPANQFGTFATRIIGNGAISAIRIRIYMKPTQVRCWIPRAIRTCPYIEKNRPSYNPNKTAI -AKNRQSITTTGKFGQQSGAVYVGNYRIVNRHLANEFDAQSMVWESYERDLLVSTTTAHGCDVIARCNCNT -GVYFCRSKGKHYPVCFQGPGLTWIEANEYYPARYQSHVLLAPGPAEPGDCGGILRCQHGVIGLVTAGGNG -IVAFADLRDLLWLEDDAMEQGITDYVAQLGQAFGSGFTQEIADKTQQLKDMLIGQDSILEKCLKALIKIV -SALVIIVRNHEDLITVTATLALIGCSGSPWQWLKTKVCQYLQIPVVEKQGDSWLKKFTEATNAAKGLEWI -AQKISKFIDWLKTKIVPEVKEKVEFLGKLKQLPLLESQITSIEHSAPSQDDQERLFGNVQYLAHYCRRYA -PLYAAEARRVFTLEKKMSNYMQFKTKSRIEPVCLLIHGTPGAGKSVASSLIGRAIAEKLNSCVYSLPPDP -DHFDGYKQQAVVIMDDLCQNPDGKDVSLLCQMVSTVDFIPPMASLEEKGILYTSPFMIASTNHGSITAPT -ISDSRALNRRFYLDVDIAINDEYKVNNKVDMQRACQHCHNCDPANFKRCCPLICGRAIQFIDKRSGVRYT -LDMLVTEMFREYTRRNSIGNVLEALFQGPPIYRDIKISVAPETPAPPAIADLLKSVDSEEVRQYCQEKGW -IIPVTECQTERHLSRALTILQAVSTFVAVAGCIYIVYKLFAGFQGAYTGLPFNKPKVPTIRQAKVQGPSF -EFAVAMMKRNSAIIKTGQGEFTMLGIWDKWAVVPRHAKPGDTVIVDDKELRVLDAKELVDAEGVNLELTM -IKLDRNEKFRDIRGFIPKESGELSEAVLAINTSKFPNMFIPVGAVKEYGFLNLSGTPTHRMLMYNFPTKS -GQCGGVLMATGKVIGIHVGGNGHQGFAATLLRDYFNEEQGEIEWMETNKESGYPIINAPTKTKLEPSVFH -DIFPGVKEPAVLNKNDPRLKVDFEEAIFSKYVGNVNTHVDEYMQEAIDHYAGQLMTLDIDISEMCLEDAV -YGTEGLEALDLTTSAGYPYVTMGIKKRDILSKKTRDLSKLKECMDKYGLNLPMVTYVKDELRSREKVEQG -KSRLIEASSLNDSVAMRQCFGNLYKTFHRNPGTVTGSAVGCNPDTFWSKIPVMLDGELFAFDYTGYDASL -SPVWFACLSRVLEKLGYDYKAGRYISYLCHSYHLYKNKHYFVRGGMPSGCSGTSIFNSMINNIIIRTLLL -KTYKNIDLDKFKMIAYGDDVIASYPYPIDAGLLAKAGKEYGLTMTPADKSKEFNNVTWENVTFLKRYFRA -DEQYPFLVHPVMPMKEIHESIRWTKDAKNTQEHVRSLCELAWHNGQEVYEEFLSKIRSVPVGRCLLLPAY -TTLRRKWLDQF - ->NP_937971.1 VP3 [Simian sapelovirus 1] -GIPVRQIPGSSQFLTVQRDAGVPLYPEFQKTARFTLPGRVTNYMQVARVPTLMKMPNTMSDGTYLAVDVT -QNIRTQAIGKWDMSLIGDLFKPTYLGRLAKMYANYRGSVIIEFMFCGSKMATGKFLVAYTPPGGSSPATR -EEAMLGTHVIWDIGLQSTCKFVIPYISTSVYRSSMLTNTTLSYDGWITMWYQTAIVVPPNCPSTCQIIGL -VSASDNFVFRIPSDNKYYTNNVNISKSATVQ - ->NP_758520.1 polyprotein [Porcine enterovirus 9] -MGMQMSKNTAGSHTTVTQASGGSHINYTNINYYSHSASASQNKQDITQDPSKFTQPMVDIMKESAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANITVAYGEWPSYLSDLDATAVDKTTKPGVSCDRFYTLPGKKW -EATTKGWEWKLPDALTELGVFGQNCQFHFLYRCGWSIHVQCNATKFHQGTLLVVAVPDHQLGTTYQPEFD -NVMPGKAGREVKYPYNFEDGTSLANSLIYPHQWINLRTNNSATLVLPYANAIPMDSPIRHSSWSLLVIPV -VPLACATGTTPFVGITITLAPMFSEFSGLRRAIAQGIPTTNTPGSYQFLTTDEDSSACILPDFTPTQEIH -IPGEVKNLQALCQVESLLEINNVDGKTGIERLRLEVSTQSELDRQLFALKVSFTEGEIMSKTLCGVMCSY -YTQWSGSLEITFMFTGSFMTTGKLLLAYTPPGGSAPASREDAMLGTHVIWDFGLQSSITLVVPWICGGYY -RDVNRANNYYAAGYVTGWFQTNMVIPPDFPSTAYILCFLAAQPNFSLRILKDRPDITQTAALQAPVETAL -NSAISSVIAGITAQDTQPSSHNISTSETPALQAAETGASSNASDEGMMETRHVVNTNTVSETSIESFYGR -CGLVSIKEIADNKQVEKWLVNFNEFVQLRAKIELFTYMRFDIEFTLVATFTKGNSASQHPVQVQVMYLPP -EQLLQLQQDSYAWQSAANPSAIFSANTVPARFSVPFVGTANAYTIMYDGYNVFGSNRPSADYGMINSSHM -GSMAFRAISQLQATEKVKFMDLCQVKDVRAWCPRAPRMAPYKYIRNPVFETQDRIVPNRNNITTTGAFGQ -QSGAIYVGNYKIMNRHLATHEDWENVEWEDYNRDILVARTTAHGADKLARCHCNTGVYYCKSRNKHYPVT -FQGPGIDWVEASQYYPARYQTHMLLASGISEPGDCGGILRCQHGVIGVVTAGGQGVVGFADVRDLFWVEH -EAMEQGLTDYIQQLGNSFGQGFTAEITNYASQLSEMLIGADGMVERCLQTFVKVISAVVIATRSQGDVPT -ILATLALIGCDGSPWRWLKRQFCGIFKIPYVEKQGDDWLKKFTSYVNAFKGLDWVAEKIMKFIDWMKNKL -IPQARERQEFTTNLKTLPLLEAQVATLEHSCPTTEQQETIFGNIQYLAHHCRRYAPLYAAEARRVYALEK -RILGYIQFKSKQRIEPVCLLIHGTAGTGKSLATSIIGRKLAEYEHSEVYAIPPDSDHFDGYQQQAVVVMD -DLNQNPDGKDMVAFCQMVSTVPYHVPMAAIEEKGMLFTSSYVLASTNSGSIHPPTVSNSKALSRRFAFDV -DIEVSEHYKTHNGTLDVVNATQRCEDCCPANFKTCMPLICGEAYQLVDRRNGMRYSIDTMISAMRAEWKR -RNQVGLCYVRLFQGPPQFKPLKISVDPEIPAPPAIADLLASVDSEEVREYCKKKGWIVEVPVTATTLERN -VSIATTILSSLVLLTSVITLVYLVYRLFAGYQGPYTGLPNAKPKPPVLREVRAQGPLMDFGVGMMKKNIV -TVRTGAGEFTGLGVHDHVLVLPKHSHPAEIVVVDGKETPVEDAYNLTDEQGVSLELTLVTLKRNEKFRDI -RAMIPENPCGTNEAVVCVNTSNFPNAFLPVGKVEYYGYLNLAGSPTHRTMMYNFPTKAGQCGGVVLSTGK -VLGIHIGGNGAQGFCAALKRSYFTKPQGKIDWVEPSKKHGFPVINAPSKTKLEPSVFFDVFEGVKEPAAL -HPKDPRLEVNLEEALFSKYTGNVDIEMPEEMKEAVDHYANQLLALDIPTEPLSMEEAIYGTEGLEALDLT -TSAGYPYVTMGIKKRDILNKETRDVKKMQECIDKYGLNLPMVTYIKDELRSKEKVKKGKSRLIEASSLND -SVAMRCYFGNLYKAFHQNPGTLTGCAVGCDPDTFWSKIPVMMDGELFGFDYTAYDASLSPLMFQALQMVL -EKIGFGEGKHFIDNLCYSHHLFRDKYYFVKGGMPSGCSGTSIFNSMINNIIIRTVVLQTYKGIELDQLKI -IAYGDDVIASYPYRIDPAELAKAGAKLGLHMTPPDKSETYVDLDWTNVTFLKRNFVPDEKYPFLVHPVMP -MKEIYESIRWTRDARNTQDHVRSLCLLAWHNGRKEYEEFCRKIRSVPVGRALHLPSYSSLLREWYEKF - ->NP_653149.1 genome polyprotein [Enterovirus H] -MGAQVSRQTSGAHDTRIRAEQGANIHYTNINYYRDAASNAASKMDYSQDPDKFTKPVLDAITEPLPTLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANVVVAYGQWPEYLDSKDATAVDKPTQPDVASNRFYTLKTVSW -EKSSTGWYWKFSDCLASVGLFGQNVQYHYLGRYGLAVHVQCNASKFHQGTLLVLAIPEWEIGVSNADRAS -FNLTNPDKNGHTMTGQEAYCLHNGTNIHSSLVFPHQFINLRTNNCATLVLPYVGATPLDTPIKHNVWSLV -VIPVVPLDYTTGATTQVPITITMAPMACEFNGLRNAITQGLPVLNTPGSGQFVTTDNFQSPNLIPNFDVT -QVFNSPGEIINLQQYVQIEGIMEINNVASANNLERIRIPISVQSGIDEMLFAINCNPGTAQEFRRTPLGD -VCRYYTQWSGSIQITFTFCGSFMTTGKLLICYTPPGGRVPQNREEAMLGTNVIWDFGLQSSVTLNIPWIS -GAHFRNTSVNVDGFDNTGYVSAWFQTNMVVPPDAPTTAYILAFTSAKDDFSMRLLRDTAEISQDGFLQGP -IDQAIEKVITDVVSDTRESSSDFSIGAVPALNAVETGATSQASVESTIETRAVQNRHRTSEMSVESFLGR -SSLVTRFTINNGGTNNATKFRNWKINLKEVVQLRRKLEMFTYVRFDLEVTIVAVNLTGNGGVRYMYQAMY -CPPGAPLPTNADQYLWQSSTNPSIIGAVGEVPGRVSVPFVSNANMYATFYDGYPSFGSINGQGNGSDYGA -FIPNDMGTLCFRLLNIFNNGPQIQFRVFMKPKHVRVWCPRPCRTLQYVKLGTPRYSNQDTHLNKMTTDRA -NIKTVTNYIMSGSTRTVESEVAVVEDSLKQYGPATGGVVVGQYKIINYHLATTEDREKEIWSDPRRDLLV -CASSVHGNNWIARCACRTGVYWSRTYGKCFPVCFQGPGIEKFQASEYYPERYQTHVLLAMGPAQPGDCGG -LLCCPHGVIGLLTGGDEGRVAFADIRDLLWVEDDVMEQGVADYVKQLGNAFGAGFTDEISSYAQQIKNMF -VGQDSLVEKMIKNLVKIVCALVILIRNSSDITTVTATLALLGCSTSPWKWLKTKISQLCGVTIAERQSDS -WLKKFIEFTNAFKGLEWLAAKIEKFIEWVKMKILPAVRERADFVNRLKQLPLLEAQMATLEHAAPNQEKQ -ERLFTNVQYFATYCRKYAPLYASEARRVFQMEKKMNNYIQFKSKSRIEPVCLLIHGSPGAGKSVATSIIG -RAIAESISGSVYSLPPDPTFFDGYKQQEVVIMDDLLQNPDGKDVGMLCQMVSTVDFHPPMAALEEKGMLY -TSPFLLASTNASSIHAPTISDSKALNRRFHFDVNIEIMDQYKKEGRINMPEAARPCDEECYPCNFKRCCP -LVCGKAIQLVDRRLGIRYSIDMMVSELLREFTHRHNTQNLVEALFQGPIYHDLTITVEETPAPSAISDLL -CSVDSGEVRDYCRRRGWIVPDTPTEITVSRDYGKLSIILQAVTTFVTIAGVIFLVYKLMANFQGPYTGLF -KAKHKSTTLRTATVQGPSLDFSISLLRHNIRTATTVNGEFTMLGIYDRVAVLPSHAGVTDTILVDGKTVP -VVNAVNLVDPEGVNLEITVLTLGWNEKFRDIRKFIPETVEEGTEGTLIVQTSNYPHLICPIGTVKEYGYL -NLSGTPTHRVLMYNFHTRIGQCGGVIATTGRVLGIHVGGNGAQGFAASLFRKYFAITQGSIEFKRRLSWQ -GLRVLYPEKTSLEPSVFHDIFPGVKQPAVLSKKDPRCQVNFEDAIFSKYKGNVNKEIDQYMAEAIDDYAS -NLLSLGINTEPNSMEQAVYGMDGLEALDLSTSAGYPYVTQGIKKRDLFKPNRDLSKFKECMDKYGLNLPM -VTFLKDELRPLSKVEAGKTRLIEASSVNDSVAMRQAFGNLYAAFHSNPGVITGSAVGCDPDQFWSKIPVL -LEGELFAFDYSNYDASLSPCWFAALKVLLEKIGFGDRTYFINYLCFSHHIFKDSHYYVSGGMPSGCSGTS -IFNTMINNLIIRTLLVKVYKRISLSDLRMIAYGDDVIASLPYKIDAGKLAIAGRDYGLTMTPADKGETFT -DVTWENVTFLKRYFRSDERFPFLIHPVMPMSEIFESIRWTRNAKHTQEHVTSLCYLAWHNGEEKYNDFLK -RIRSVPVGKCLNLPAFKALEREWYDKF - ->NP_045756.1 genome polyprotein [Enterovirus E] -MGAQLSRNTAGSHTTGTYATGGSTINYNNINYYSHAASAAQNKQDFTQDPSKFTQPIADVIKETAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANICVAYGCWPAKLSDTDATSVDKPTEPGVSAERFYTLRSKPW -QADSKGWYWKLPDALNNTGMFGQNAQFHYIYRGGWAVHVQCNATKFHQGTLLVLAIPEHQIATQEQPAFD -RTMPGSEGGTFQEPFWLEDGTSLGNSLIYPHQWINLRTNNSATLILPYVNAIPMDSAIRHSNWTLAIIPV -APLKYAAETTPLVPITVTIAPMETEYNGLRRAIASNQGLPTKPGPGSYQFMTTDEDCSPCILPDFQPTPE -IFIPGKVNNLLEIAQVESILEANNREGVEGVERYVIPVSVQDALDAQIYALRLELGGSGPLSSSLLGTLA -KHYTQWSGSVEITCMFTGTFMTTGKVLLAYTPPGGDMPRNREEAMLGTHVVWDFGLQSSITLVIPWISAS -HFRGVSNDDVLNYQYYAAGHVTIWYQTNMVIPPGFPNTAGIIMMIAAQPNFSFRIQKDREDMTQTAILQN -DPGKMLKDAIDKQVAGALVAGTTTSTHSVATDSTPALQAAETGATSTARDESMIETRTIVPTHGIHETSV -ESFFGRSSLVGMPLLATGTSITNWRIDFREFVQLRAKMSWFTYMRFDVEFTIIATSSTGQNVTTEQHTTY -QVMYVPPGAPVPSNQDSFQWQSGCNPSVFADTDGPPAQFSVPFMSSANAYSTVYDGYARFMDTDPDRYGI -LPSNFLGFMYFRTLEDAAHQVRFRICAKIKHTSCWIPRAPRQAPYKKRYNLVFSGDSDRICSNRASLTSY -GPFGQQQGAAYVGSYKILNRHLATYADWENEVWQSYQRDLLVTRVDAHGCDTIARCNCRSGIYYCKSTAK -HYPIVVTPPSIYKIEANDYYPERMQTHILLGIGFAEPGDCGGLLRCEHGVMGILTVGGGDHVGFADVRDL -LWIEDDAMEQGITDYVQQLGNAFGAGFTAEIANYTNQLRDMLMGSDSVVEKIIRSLVRLVSALVIVVRNH -QDLITVGATLALLGCEGSPWKWLKRKVCQILGINMAERQSDNWMKKFTEMCNAFRGLDWIAAKISKFIDW -LKQKILPELKERAEFVKKLKQLPLLEAQVNTLEHSSASQERQEQLFGNVQYLAHHCRKNAPLYAAEAKRV -YHLEKRVLRAMQFKTKNRIEPVCALIHGSPGTGQSLATMIVGRKLAEYEGSDVYSLPPDPDHFDGYQQQA -VVVMDDLLQNPDGKDMTLFCQMVSTAPFTVPMAALEDKGKLFTSKFVLASTNAGQVTPPTVADYKALQRR -FFFDCDIEVQKEYKRDGVTLDVAKATETCEDCSPANFKKCMPLICGKALQLKSRKGDGMRYSLDTLISEL -RRESNRRYNIGNVLEALFQGPVCYKPLRIEVHEEEPAPSAISDLLQAVDSEEVREYCRSKGWIVEERVTE -LKLERNVNRALAVIQSVSLIAAVAGTIYIVYRLFSGMQGPYSGIGTNYATKKPVVRQVQTQGPLFDFGVS -LLKKNIRTVKTGAGEFTALGVYDTVVVLPRHAMPGKTIEMNGKDIEVLDAYDLNDKTDTSLELTIVKLKM -NEKFRDIRAMVPDQITDYNEAVVVVNTSYYPQLFTCVGRVKDYGFLNLAGRPTHRVLMYEFPTKAGQCGG -VVISMGKIVGVHVGGNGAQGFAASLLRRYFTAEQGQIEYIEKSKDAGYPVINAPTQTKLEPSVFFDVFPG -VKEPAVLHKKDKRLETNFEEALFSKYIGNVQRDMPEELLIAIDHYSEQLKMLNIDPRPISMEDAIYGTEG -LEALDLGTSASYPYVAMGIKKRDILNKETRDVTKMQECIDKYGLNLPMVTYVKDELRAPDKIRKGKSRLI -EASSLNDSVAMRCYFGNLYKVFHTNPGTISGCAVGCDPETFWSKIPVMMDGELFGFDYTAYDASLSPMWF -HALAEVLRRIGFVECKHFIDQLCCSHHLYMDKHYYVVGGMPSGCSGTSIFNSMINNLIIRTLVLTVYKNI -DLDDLKIIAYGDDVLASYPYEIDASLLAEAGKSFGLIMTPPDKSAEFVKLTWDNVTFLKRKFVRDARYPF -LVHPVMDMSNIHESIRWTKDPRHTEDHVRSLCLLAWHCGEEEYNEFVTKIRSVPVGRALHLPSFKALERK -WYDSF - ->NP_042242.1 genome polyprotein [Enterovirus A] -MGSQVSTQRSGSHENSNSASEGSTINYTTINYYKDAYAASAGRQDMSQDPKKFTDPVMDVIHEMAPPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIIIAYGEWPEYCKDADATAVDKPTRPDVSVNRFFTLDTKSW -AKDSKGWYWKFPDVLTEVGVFGQNAQFHYLYRSGFCVHVQCNASKFHQGALLVAILPEYVLGTIAGGDGN -ENSHPPYVTTQPGQVGAVLTNPYVLDAGVPLSQLTVCPHQWINLRTNNCATIIVPYMNTVPFDSALNHCN -FGLIVVPVVPLDFNAGATSEIPITVTIAPMCAEFAGLRQAIKQGIPTELKPGTNQFLTTDDGVSAPILPG -FHPTPAIHIPGEVRNLLEICRVETILEVNNLQSNETTPMQRLCFPVSVQSKTGELCAVFRADPGRNGPWQ -STILGQLCRYYTQWSGSLEVTFMFAGSFMATGKMLIAYTPPGGGVPADRLTAMLGTHVIWDFGLQSSVTL -VIPWISNTHYRAHAKDGYFDYYTTGTITIWYQTNYVVPIGAPTTAYIVALAAAQDNFTMKLCKDTEDIEQ -SANIQGDGIADMIDQAVTSRVGRALTSLQVEPTAANTNASEHRLGTGLVPALQAAETGASSNAQDENLIE -TRCVLNHHSTQETTIGNFFSRAGLVSIITMPTTGTQNTDGYVNWDIDLMGYAQMRRKCELFTYMRFDAEF -TFVAAKPNGELVPQLLQYMYVPPGAPKPTSRDSFAWQTATNPSIFVKLTDPPAQVSVPFMSPASAYQWFY -DGYPTFGAHPQSNDADYGQCPNNMMGTFSIRTVGTEKSPHSITLRVYMRIKHVRAWIPRPLRNQPYLFKT -NPNYKGNDIKCTSTSRDKITTLGKFGQQSGAIYVGNYRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCDCQTGVYYCSSRRKHYPVSFSKPSLIFVEASEYYPARYQSHLMLAVGHSEPGDCGGILRCQH -GVVGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGTGFTDAVSREVEALKNHLIGSEGA -VEKILKNLIKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIAQKQSASWLKKFN -DMANAAKGLEWISNKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGN -VSYLAHFCRKFQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVI -ASTNASNIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAARLCSENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSVDTVVSELIREYNNRYAIGNTIEALFQGPPKFRPIRISLEEKPAPDAISDLLASVDS -EEVRQYCRDQGWIIPETPTNVERHLNRAVLIMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKQTLKKP -ILRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWVEHKLINILDAVEL -VDEQGVNLELTLVTLDTNEKFRDITKFIPENISAASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPT -HRTMMYNFPTKAGQCGGVVTSVGKVIGIHIGGNGRQGFCAGLKRSYFASEQGEIQWVKPNKETGRLNING -PTRTKLEPSVFHDVFEGNKEPAVLHSRDPRLEVDFEQALFSKYVGNTLHEPDEYIKEAALHYANQLKQLD -INTSQMSMEEACYGTENLEAIDLHTSAGYPYSALGIKKRDILDPTTRDVSKMKFYMDKYGLDLPYSTYVK -DELRSIDKIKKGKSRLIEASSLNDSVYLRMAFGHLYETFHANPGTITGSAVGCNPDTFWSKLPILLPGSL -FAFDYSGYDASLSPVWFRALELVLREVGYSEEAVSLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNS -MINNIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCLELARTGKEYGLTMTPADKSPCFNEVNW -GNATFLKRGFLPDEQFPFLIHPTMPMKEIHESIRWTKDARNTQDHVRSLCLLAWHNGKQEYEKFVSTIRS -VPVGKALAIPNYENLRRNWLELF - ->NP_041277.1 genome polyprotein [Enterovirus C] -MGAQVSSQKVGAHENSNRAYGGSTINYTTINYYRDSASNAASKQDFSQDPSKFTEPIKDVLIKTAPMLNS -PNIEACGYSDRVLQLTLGNSTITTQEAANSVVAYGRWPEYLRDSEANPVDQPTEPDVAACRFYTLDTVSW -TKESRGWWWKLPDALRDMGLFGQNMYYHYLGRSGYTVHVQCNASKFHQGALGVFAVPEMCLAGDSNTTTM -HTSYQNANPGEKGGTFTGTFTPDNNQTSPARRFCPVDYLLGNGTLLGNAFVFPHQIINLRTNNCATLVLP -YVNSLSIDSMVKHNNWGIAILPLAPLNFASESSPEIPITLTIAPMCCEFNGLRNITLPRLQGLPVMNTPG -SNQYLTADNFQSPCALPEFDVTPPIDIPGEVKNMMELAEIDTMIPFDLSATKKNTMEMYRVRLSDKPHTD -DPILCLSLSPASDPRLSHTMLGEILNYYTHWAGSLKFTFLFCGFMMATGKLLVSYAPPGADPPKKRKEAM -LGTHVIWDIGLQSSCTMVVPWISNTTYRQTIDDSFTEGGYISVFYQTRIVVPLSTPREMDILGFVSACND -FSVRLLRDTTHIEQKALAQGLGQMLESMIDNTVRETVGAATSRDALPNTEASGPTHSKEIPALTAVETGA -TNPLVPSDTVQTRHVVQHRSRSESSIESFFARGACVTIMTVDNPASTTNKDKLFAVWKITYKDTVQLRRK -LEFFTYSRFDMELTFVVTANFTETNNGHALNQVYQIMYVPPGAPVPEKWDDYTWQTSSNPSIFYTYGTAP -ARISVPYVGISNAYSHFYDGFSKVPLKDQSAALGDSLYGAASLNDFGILAVRVVNDHNPTKVTSKIRVYL -KPKHIRVWCPRPPRAVAYYGPGVDYKDGTLTPLSTKDLTTYGFGHQNKAVYTAGYKICNYHLATQDDLQN -AVNVMWSRDLLVTESRAQGTDSIARCNCNAGVYYCESRRKYYPVSFVGPTFQYMEANNYYPARYQSHMLI -GHGFASPGDCGGILRCHHGVIGIITAGGEGLVAFSDIRDLYAYEEEAMEQGITNYIESLGAAFGSGFTQQ -ISDKITELTNMVTSTITEKLLKNLIKIISSLVIITRNYEDTTTVLATLALLGCDASPWQWLRKKACDVLE -IPYVIKQGDSWLKKFTEACNAAKGLEWVSNKISKFIDWLKEKIIPQARDKLEFVTKLRQLEMLENQISTI -HQSCPSQEHQEILFNNVRWLSIQSKRFAPLYAVEAKRIQKLEHTINNYIQFKSKHRIEPVCLLVHGSPGT -GKSVATNLIARAIAERENTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGADMKLFCQMVSTVEFIPPM -ASLEEKGILFTSNYVLASTNSSRISPPTVAHSDALARRFAFDMDIQVMNEYSRDGKLNMAMATEMCKNCH -QPANFKRCCPLVCGKAIQLMDKSSRVRYSIDQITTMIINERNRRSNIGNCMEALFQGPLQYKDLKIDIKT -SPPPECINDLLQAVDSQEVRDYCEKKGWIVNITSQVQTERNINRAMTILQAVTTFAAVAGVVYVMYKLFA -GHQGAYTGLPNKKPNVPTIRTAKVQGPGFDYAVAMAKRNIVTATTSKGEFTMLGVHDNVAILPTHASPGE -SIVIDGKEVEILDAKALEDQAGTNLEITIITLKRNEKFRDIRPHIPTQITETNDGVLIVNTSKYPNMYVP -VGAVTEQGYLNLGGRQTARTLMYNFPTRAGQCGGVITCTGKVIGMHVGGNGSHGFAAALKRSYFTQSQGE -IQWMRPSKEVGYPIINAPSKTKLEPSAFHYVFEGVKEPAVLTKNDPRLKTDFEEAIFSKYVGNKITEVDE -YMKEAVDHYAGQLMSLDINTEQMCLEDAMYGTDGLEALDLSTSAGYPYVAMGKKKRDILNKQTRDTKEMQ -KLLDTYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHKNPGVITGSAVGC -DPDLFWSKIPVLMEEKLFAFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDYIDYLNHSHHLYKNKTYCV -KGGMPSGCSGTSIFNSMINNLIIRTLLLKTYKGIDLDHLKMIAYGDDVIASYPHEVDASLLAQSGKDYGL -TMTPADKSATFETVTWENVTFLKRFFRADEKYPFLIHPVMPMKEIHESIRWTKDPRNTQDHVRSLCLLAW -HNGEEEYNKFLAKIRSVPIGRALLLPEYSTLYRRWLDSF - ->NP_040958.1 genome polyportein [Enterovirus B] -MGAQVSTQKTGAHETGLNASGNSIIHYTNINYYKDAASNSANRQDFTQDPGKFTEPVKDIMIKSMPALNS -PSAEECGYSDRVRSITLGNSTITTQECANVVVGYGVWPEYLKDNEATGEDQPTQPDVATCRFYTLESVQW -MKNSAGWWWKLPDALSQMGLFGQNMQYHYLGRTGYTIHVQCNASKFHQGCLLVVCVPEAEMGCSNLNNTP -KFAELSGGDNARMFTDTEVGTSNDKKVQTAVWNAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYINSVP -MDNMYRHNNLTLMIIPFVPLNYSEGSSPYVPITVTIAPMCAEYNGLRLASSQGLPVMTTPGSTQFLTSDD -FQSPSAMPQFDVTPEMQIPGRVNNLMEIAEVDSVVPVNNTDNNVNGLKAYQIPVQSNSDNRRQVFGFPLQ -PGANNVLNRTLLGEILNYYTHWSGSIKLTFMFCGSAMATGKFLLAYSPPGAGVPKNRRDAMLGTHVIWDV -GLQSSCVLCVPWISQTHYRYVVEDEYTAAGYVTCWYQTNIIVPADVQSTCDILCFVSACNDFSVRMLKDT -PFIRQDNFYQGPVEESVERAMVRVADTVSSKPTNSESIPALTAAETGHTSQVVPSDTMQTRHVKNYHSRS -ESSIENFLCRSACVYYATYNNNSEKGYAEWVINTRQVAQLLRRKLEFTYLRFDLELTFVITSAQEPSTAT -SVDAPVQTQQIMYVPPGGPVPTKVTDYAWQTSTNPSVFWTEGNAPPRMSIPFISIGNAYSCFYDGWTQFS -RNGVYGINTLNNMGTLYMRHVNEAGQGPIKSTVRIYFKPKHVKAWVPRPPRLCQYEKQKNVNFNPTGVTT -TRSNITTTGAFGQQSGAVYVGNYRVVNRHLATREDWQRCVWEDYNRDLLVSTTTAHGCDIIARCQCTTGV -YFCASRNKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGVVGIVTMGGEGVV -GFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQVCEQVNLLKESLVGQDSILEKSLKALVKIVSA -LVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNSGWLKKFTEMTNACKGMEWIAI -KIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKYAPL -YAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPDPDH -FDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAPTVS -DSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKAIQFIDRRTQVRYSL -DMLVTEMFREYNHRHSVGATLEALFQGPPIYREIKISIAPETPPPPAIADLLKSVDSEAVREYCKEKGWL -VPEINSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTLRQAKVQGPV -FEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEIGVLDAKELVDKDGTNLELT -LLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTEYGFLNLGGTPTKRMLMYNFPTR -AGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKEAGFPVINTPSKTKLEPSVF -HQVFEGNKEPAVLRNGDPRLRANFEEAIFSKYIGNVNTHVDEYMLEAVDHYAGQLATLDISTEPMRLEDA -VYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTRDLTKLKECMDKYGLNLPMVTYVKDELRSAEKVAK -GKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDLFWSKIPVMLDGHLVAFDYSGYDAS -LSPVWFACLKLLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIRTLM -LKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGRDCGLIMTPADKGDCFNEVTWANVTFLKRYFR -ADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKIRSVPVGACLTLPA -FSTLRRKWLDSF - ->NP_040760.1 genome polyprotein [Enterovirus D] -MGAQVSRQQTGTHENANVATGGSSITYNQINFYKDSYAASASKQDFSQDPSKFTEPVAEALKAGAPVLKS -PSAEACGYSDRVLQLKLGNSSIVTQEAANICCAYGEWPTYLPDNEAVAIDKPTQPETSTDRFYTLKSKKW -ESNSTGWWWKLPDALNQIGMFGQNVQYHYLYRSGFLCHVQCNATKFHQGTLLIVAIPEHQIGKKGTGTSA -SFAEVMKGAEGGVFEQPYLLDDGTSLACALVYPHQWINLRTNNSATIVLPWMNSAPMDFALRHNNWTLAV -IPVCPLAGGTGNTNTYVPITISIAPMCAEYNGLRNAITQGVPTCLLPGSNQFLTTDDHSSAPAFPDFSPT -PEMHIPGQVHSMLEIVQIESMMEINNVNDASGVERLRVQISAQSDMDQLLFNIPLDIQLEGPLRNTLLGN -ISRYYTHWSGSLEMTFMFCGSFMTTGKLIICYTPPGGSSPTDRMQAMLATHVVWDFGLQSSITIIIPWIS -GSHYRMFNTDAKAINANVGYVTCFMQTNLVAPVGAADQCYIVGMVAAKKDFNLRLMRDSPDIGQSAILPE -QAATTQIGEIVKTVANTVESEIKAELGVIPSLNAVETGATSNTEPEEAIQTRTVINMHGTAECLVENFLG -RSALVCMRSFEYKNHSTSTSSIQKNFFIWTLNTRELVQIRRKMELFTYLRFDTEITIVPTLRLFSSSNVS -FSGLPNLTLQAMYVPTGARKPSSQDSFEWQSACNPSVFFKINDPPARLTIPFMSINSAYANFYDGFAGFE -KKATVLYGINPANTMGNLCLRVVNSYQPVQYTLTVRVYMKPKHIKAWAPRAPRTMPYTNILNNNYAGRSA -APNAPTAIVSHRSTIKTMPNDINLTTAGPGYGGAFVGSYKIINYHLATDEEKERSVYVDWQSDVLVTTVA -AHGKHQIARCRCNTGVYYCKHKNRSYPVCFEGPGIQWINESDYYPARYQTNTLLAMGPCQPGDCGGLLVC -SHGVIGLVTAGGEGIVAFTDIRNLLWLEDDAMEQGITDYIQNLGSAFGTGFTETISEKAKEIQNMLVGED -SLLEKLLKALIKIVSAMVIVIRNSEDLVTVTATLALLGCNDSPWAFLKQKVCSYLGIPYTIRQSDSWLKK -FTEACNALRGLDWLAQKIDKFINWLKTKILPEAREKHEFVQKLKQLPVIESQINTIEHSCPNSEXQQALF -NNVQYYSHYCKKYAPLYALEAKRVSALERKINNYIQFKSKSRIEPVCLIIHGSPGTGKSVASNLIARAIT -EKLGGDSYSLPPDPKYFDGYKQQTVVLMDDLMQNPDGNDIAMFCQMVSTVDFIPPMASLEEKGTLYTSPF -LIATTNAGSIHAPTVSDSKALARRFKFDMEIESMESYKDGVRLDMFKAVELCNPEKCRPTNYKKCCPLIC -GKAIQFRDKRTNVRYSVDMLVTEMIKEYRIRNSTQDKLEALFQGPPTFKEIKISVTPETPAPDAINDLLR -SIDSQEVRDYCQKKGWIVMHPPTELVVDKHISRAFIALQAITTFVSIAGVVYVIYKLFAGIQGPYTGLPN -QKPKVPTLRTAKVQGPSLDFAQAIMRKNTVIARTSKGEFTMLGIYDRIAVVPTHASVEEEIYINDVPVKV -KDAYALRDINDVNLEITVVELDRNEKFRDIRGFLPKYEDDYNDAILSVNTSKFPNMYIPVGQTLNYGFLN -LGGTPTHRILMYNFPTRAGQCGGVVTTTGKVIGIHVGGNGAQGFAAMLLQNYFTEKQGEIVSIEKTGVFI -NAPAKTKLEPSVFHEVFEGVKEPAVLHSKDKRLKVDFEEAIFSKYVGNKTMLMDEYMEEAVDHYVGCLEP -LDISTEPIKLEEAMYGMDGLEALDLTTSAGYPYLLQGKKKRDIFNRQTRDTTEMTKMLDKYGVDLPFVTF -VKDELRSREKVEKGKSRLIEASSLNDSVAMRVAFGNLYATFHKNPGVATGSAVGCDPDLFWSKIPVXLDG -KIFAFDYTGYDASLSPVWFACLKKTLVKLGYTHQTAFVDYLCHSVHLYKDRKYIVNGGMPSGSSGTSIFN -TMINNIIIRTLLLKVYKGIDLDQFKMIAYGDDVIASYPHEIDPGLLAKAGKEYGLIMTPADKSSGFTETT -WENVTFLKRYFRADEQYPFLIHPVMPMKEIHESIRWTKDPRNTQDHVRSLCLLAWHNGEETYNEFCRKIR -TVPVGRALALPVYSSLRRKWLDSF - ->sp|B9VUU3.1|POLG_HE71 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGSQVSTQRSGSHENSNSATEGSTINYTTINYYKDSYAATAGKQSLKQDPDKFANPVKDIFTEMAAPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIIVGYGEWPSYCSDSDATAVDKPTRPDVSVNRFYTLDTKLW -EKSSKGWYWKFPDVLTETGVFGQNAQFHYLYRSGFCIHVQCNASKFHQGALLVAVLPEYVIGTVAGGTGT -EDSHPPYKQTQPGADGFELQHPYVLDAGIPISQLTVCPHQWINLRTNNCATIIVPYINALPFDSALNHCN -FGLLVVPISPLDYDQGATPVIPITITLAPMCSEFAGLRQAVTQGFPTELKPGTNQFLTTDDGVSAPILPN -FHPTPCIHIPGEVRNLLELCQVETILEVNNVPTNATSLMERLRFPVSAQAGKGELCAVFRADPGRNGPWQ -STLLGQLCGYYTQWSGSLEVTFMFTGSFMATGKMLIAYTPPGGPLPKDRATAMLGTHVIWDFGLQSSVTL -VIPWISNTHYRAHARDGVFDYYTTGLVSIWYQTNYVVPIGAPNTAYIIALAAAQKNFTMKLCKDASDILQ -TGTIQGDRVADVIESSIGDSVSRALTQALPAPTGQNTQVSSHRLDTGKVPALQAAEIGASSNASDESMIE -TRCVLNSHSTAETTLDSFFSRAGLVGEIDLPLEGTTNPNGYANWDIDITGYAQMRRKVELFTYMRFDAEF -TFVACTPTGEVVPQLLQYMFVPPGAPKPDSRESLAWQTATNPSVFVKLSDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHKQEKDLEYGACPNNMMGTFSVRTVGTSKSKYPLVVRIYMRMKHVRAWIPRPMRNQNYLFKA -NPNYAGNSIKPTGTSRTAITTLGKFGQQSGAIYVGNFRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCNCQTGVYYCNSRRKHYPVSFSKPSLIYVEASEYYPARYQSHLMLAQGHSEPGDCGGILRCQH -GVVGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGTGFTDAVSREVEALKSYLIGSEGA -VEKILKNLIKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIAQKQSASWLKKFN -DMANAAKGLEWVSNKISKFIDWLKEKIVPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEVMFGN -VSYLAHFCRKFQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVI -ASTNATNIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCSENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSVDTVVSELIREYSNRSAIGNTIEALFQGPPKFRPIRIGLEEKPAPDAISDLLASVDS -EEVRQYCRDQGWIIPETPTNVERHLNRAVLVMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKQVLKKP -ALRTATVQGPSLDFALSLLRRNVRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWIEHKLVNVLDAVEL -VDEQGVNLELTLITLDTNEKFRDITKFIPENISTASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPT -HRTMMYNFPTKAGQCGGVVTSVGKVIGIHIGGNGRQGFCAGLKRSYFASEQGEIQWVKPNKETGRLNING -PTRTKLEPSVFHDVFEGSKEPAVLHSKDPRLEVDFEQALFSKYVGNTLHVPDEYIREAALHYANQLKQLD -IDTTQMSMEEACYGTDNLEAIDLHTSAGYPYSALGIKKRDILDPTTRDVSKMKFYMDKYGLDLPYSTYVK -DELRSIDKIKKGKSRLIEASSLNDSVYLRMAFGHLYETFHANPGTVTGSAVGCNPDVFWSKLPILLPGSL -FAFDYSGYDASLSPVWFRALELVLREIGYSEEAVSLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNT -MINNIIIRALLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCLELAKTGKEYGLTMTPADKSPCFNEVNW -ENATFLKRGFLPDEQFPFLIHPTMPMKEIHESIRWTKDARNTQDHVRSLCLLAWHNGKQEYEKFVSSIRS -VPIGKALAIPNYENLRRNWLELF - ->sp|Q9YLG5.3|POLG_CXB2O RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLSASGGSIIHYTNINYYKDAASNSANRQDFTQDPGKFTEPVKDIMIKSMPALNS -PSAEECGYSDRVRSITLGNSTITTQECANVVVGYGTWPRYLSDKEATAEDQPTQPDVATCRFYTLSSVQW -QRESAGWWWKFPDALSDMGLFAQNMMYHYLGRTGYTIHVQCNASKFHQGCLLVVCVPEAEMGCTNKENTP -LFEKLCGQDNAKEFTREGPTISKGATDVQTAVCNAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYINSV -PMDSMIRHNNFTLMIIPFVPLDYVNGSSPYIPITVTVAPMSAEYNGLRLASTQGLPTMLTPGSNQFLTSD -DFQSPSAMPQFDVTPEMNIPGRVHNLMEIAEVDSVVPLNNIQDNLRKMDIYRVQVSSQTSQGAQVFGFSL -QPGASSVLQRTLLGEILNYYTHWSGSLKLTFVFCGSAMATGKFLLAYSPPGAGVPPDRKKAMLGTHVIWD -VGLQSSCVLCVPWISQTHYRYTVKDEYTDSGYITCWYQTNVIAPADALSTCYIMCMVSACNDFSVRMLRD -TRFIKQTAFYQSPVEESIERSIGRVADTIGSGPSNSEAIPVLTAVETGHTSQVTPSDTMQTRHVHNYHSR -SESSVENFLARSACVFYTTYTNSKNAAKEKKFATWKVSVRQAAQLRRKLELFTYLRCDIELTFVITSAQD -PSTATNLDVPVLTHQIMYVPPGGPVPETVDDYNWQTSTNPSLFWTEGNAPPRMSIPFMSIGNAYSMFYDG -WSEFRHDGVYGLNTLNNMGTIYARHVNADNPGSITSTVRIYFKPKHVKAWIPRPPRLAQYLKANNVNFKI -TDVTEKRDSLTTTGAFGQQSGAVYVGNYRVVNRHLATHIDWQNCVWEDYNRDLLVSTTTAHGCDTIARCQ -CTSGVYYCASKNKHYPVVFEGPGMVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGVIGVVTMG -GEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSILEKSLKALV -RIISALVIVVRNHDDIITVTATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNNGWLKKFTEMTNACKGM -EWIAVKIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCR -KYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLP -PDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSIN -APTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDDECCPVNFKKCCPLVCGKAIQFIDRRTQ -VRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPIYREIKISVAPETPPPPAIADLLKSVDSEVVREYCK -EKGWLVPEVNSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTLRQAK -VQGPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVSVLDAKELVDKDGT -NLELVLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPTKRMLMY -NFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKDAGFPVINAPSRTKL -EPSVFHQVFEGNKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTRVDEYMLEAVDHYAGQLATLDISTEPM -KLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTKDLTKLKECMDKYGLNLPMVTYVKDELRSA -EKVAKGKSRLIEASSLNDSVAMRQTFGNLYKAFHLNPGIVTGSAVGCDPDMFWSKIPVMLDGHLIAFDYS -GYDASLSPVWFACLKLLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNII -IRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTWTNVTFL -KRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKIRSVPVGRC -LSLPAFSTLRRKWLDSF - ->sp|Q9QL88.4|POLG_CXB6S RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETALNAQGNSVIHYTNINYYKDAASNSANRQDFTQDPSKFTEPVKDVMIKSLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVAYGVWPDYLHDDEATAEDQPTQPDVATCRFYTLDSVSW -QSSSAGWWWKFPDALSNMGLFGQNMQYHYLGRSGYTIHVQCNASKFHQGCLLVVCVPEAEMGCSNLNNAP -LAADLSAGEVARQFTVEPANGQNQVQTAVHNAAMGVAVGNLTIFPHQWINLRTNNSATIVMPYINSVPMD -NMFRHNNFTLMIIPFAKLAYSDGASTFVPITVTIAPMNAEYNGLRLAGHQGLPVMTTPGSTQFLTSDDFQ -SPCAMPQFDVTPEMNIPGQVNNLMEIAEVDSVVPVNNTETNVNGMDAYRIPVQSNMDTGGQVFGFPLQPG -ASSVFQRTLLGEILNYYTHWSGSIKLTFMFCGSAMATGKFLLAYSPPGAGAPKSRKDAMLGTHVIWDVGL -QSSCVLCIPWISQTHYRFVVADEYTAGGFITCWYQTNVIVPLGAQSNCSILCFVSACNDFSVRMLRDTKF -ISQTAFYQSPVEGAIERAIARVADTMPSGPTNSEAVPALTAVETGHTSQVVPSDNMQTRHVKNYHSRSET -SVENFLCRSACVYFTTYKNQTGATNRFASWVITTRQVAQLRRKLEMFTYLRFDIELTFVITSAQDQSTIS -QDAPVQTHQIMYVPPGGPVPTKVDDYAWQTSTNPSVFWTEGNAPPRMSVPFMSIGNAYSTFYDGWSDFSN -KGIYGLNTLNNMGTLYIRHVNGPNPVPITSTVRIYFKPKHVKAWVPRPPRLCQYKTSRQVNFTVTGVTES -RANITTMNTTGAFGQQSGAAYVGNYRVVNRHLATHADWQNCVWEDYNRDLLVSTTTAHGCDVIARCQCNT -GVYFCASRNKHYPVTFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGVIGLVTMGGEG -VVGFADVRDLLWLEDDAMEQGVRDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSILEKSLKALVKII -SALVIVVRNHDDLITVTATLALIGCTTSPWRWLKQKVSQYYGIPMAERQSNGWLKKFTEMTNACKGMEWI -AIKIQKFIEWLKARILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKYA -PLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATSLIGRSLAEKLNSSVYSLPPDP -DHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAPT -VSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKAIQFIDRRTQVRY -SLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPPAIADLLKSVDSEAVREYCKEKG -WLVPEINSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTLRQAKVQG -PAFEFAVAMMKRNSSTVKTEYGEFTMLGVYDRWAVLPRHAKPGPTILMNDQEVGVLDAKELVDKDGTNLE -LTLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPTKRMLMYNFP -TRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKDAGFPIINTPSKTKLEPS -VFHQVFEGNKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMLEAVDHYAGQLATLDINTEPMKLE -DAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKSKDLTKLKECMDKYGLNLPMVTYVKDELRSAEKV -AKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDLFWSKIPVMLDGHLIAFDYSGYD -ASLSPVWFACLKLLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIRT -LMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKGYGLIMTPADKGECFNEVTWTNVTFLKRY -FRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKVRSVPVGRCLTL -PAFSTLRRKWLDSF - ->sp|Q9QF31.3|POLG_CX16T RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGSQVSTQRSGSHENSNSASEGSTINYTTINYYKDAYAASAGRQDMSQDPKKFTDPVMDVIHEMAPPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIVIAYGEWPEYCPDTDATAVDKPTRPDVSVNRFFTLDTKSW -AKDSKGWYWKFPDVLTEVGVFGQNAQFHYLYRSGFCVHVQCNASKFHQGALLVAVLPEYVLGTIAGGTGN -ENSHPPYATTQPGQVGAVLTHPYVLDAGIPLSQLTVCPHQWINLRTNNCATIIVPYMNTVPFDSALNHCN -FGLLVVPVVPLDFNAGATSEIPITVTIAPMCAEFAGLRQAVKQGIPTELKPGTNQFLTTDDGVSAPILPG -FHPTPPIHIPGEVHNLLEICRVETILEVNNLKTNETTPMQRLCFPVSVQSKTGELCAAFRADPGRDGPWQ -STILGQLCRYYTQWSGSLEVTFMFAGSFMATGKMLIAYTPPGGNVPADRITAMLGTHVIWDFGLQSSVTL -VVPWISNTHYRAHARAGYFDYYTTGIITIWYQTNYVVPIGAPTTAYIVALAAAQDNFTMKLCKDTEDIEQ -TANIQGDPIADMIDQTVNNQVNRSLTALQVLPTAADTEASSHRLGTGVVPALQAAETGASSNASDKNLIE -TRCVLNHHSTQETAIGNFFSRAGLVSIITMPTTGTQNTDGYVNWDIDLMGYAQLRRKCELFTYMRFDAEF -TFVVAKPNGELVPQLLQYMYVPPGAPKPTSRDSFAWQTATNPSVFVKMTDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHLQANDLDYGQCPNNMMGTFSIRTVGTEKSPHSITLRVYMRIKHVRAWIPRPLRNQPYLFKT -NPNYKGNDIKCTSTSRDKITTLGKFGQQSGAIYVGNYRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCNCQTGVYYCSSKRKHYPVSFTKPSLIFVEASEYYPARYQSHLMLAVGHSEPGDCGGILRCQH -GVVGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGVGFTDAVSREVEALKNHLIGSEGA -VEKILKNLVKLISALVIVVRSDYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIVQKQSASWLKKFN -DMANAAKGLEWISSKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGN -VSYLAHFCRKFQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVI -ASTNASNIVVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCTENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSIDTVVSELIREYNNRSAIGNTIEALFQGPLKFKPIRISLEEKPAPDAISDLLASVDS -EEVRQYCREQGWIIPETPTNVERHLNRAVLVMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKQALKKP -VLRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAILPRHSQPGKTIWVEHKLINVLDAVEL -VDEQGVNLELTLVTLDTNEKFRDVTKFIPETITGASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPT -HRTMMYNFPTKAGQCGGVVTSVGKIIGIHIGGNGRQGFCAGLKRGYFASEQGEIQWMKPNKETGRLNING -PTRTKLEPSVFHDVFEGNKEPAVLTSKDPRLEVDFEQALFSKYVGNTLHEPDEYVTQAALHYANQLKQLD -ININKMSMEEACYGTEYLEAIDLHTSAGYPYSALGVKKRDILDPITRDTTKMKFYMDKYGLDLPYSTYVK -DELRSLDKIKKGKSRLIEASSLNDSVYLRMTFGHLYETFHANPGTVTGSAVGCNPDVFWSKLPILLPGSL -FAFDYSGYDASLSPVWFRALEVVLREIGYSEEAVSLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNS -MINNIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCSELAKTGKEYGLTMTPADKSPCFNEVTW -ENATFLKRGFLPDHQFPFLIHPTMPMREIHESIRWTKDARNTQDHVRSLCLLAWHNGKEEYEKFVSTIRS -VPIGKALAIPNFENLRRNWLELF - ->sp|O91734.4|POLG_EC01F RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETSLSATGNSIIHYTNINYYKDAASNSANRQDFTQDPGKFTEPMKDVMIKTLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVGYGEWPEYLSDNEATAEDQPTQPDVATCRFYTLDSVQW -ENGSPGWWWKFPDALRDMGLFGQNMYYHYLGRAGYTIHVQCNASKFHQGCILVVCVPEAEMGSAQTSGVV -NYEHISKGEIASRFTTTTTAEDHGVQAAVWNAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYVNSVPMD -NMYRHHNFTLMIIPFVPLDFSAGASTYVPITVTVAPMCAEYNGLRLAGHQGLPTMNTPGSNQFLTSDDFQ -SPSAMPQFDVTPEMHIPGEVRNLMEIAEVDSVMPINNDSAAKVSSMEAYRVELSTNTNAGTQVFGFQLNP -GAESVMNRTLMGEILNYYAHWSGSIKITFVFCGSAMTTGKFLLSYAPPGAGAPKTRKDAMLGTHVVWDVG -LQSSCVLCIPWISQTHYRFVEKDPYTNAGFVTCWYQTSVVSPASNQPKCYMMCMVSACNDFSVRMLRDTK -FIEQTSFYQGDVQNAVEGAMVRVADTVQTSATNSERVPNLTAVETGHTSQAVPGDTMQTRHVINNHVRSE -STIENFLARSACVFYLEYKTGTKEDSNSFNNWVITTRRVAQLRRKLEMFTYLRFDMEITVVITSSQDQST -SQNQNAPVLTHQIMYVPPGGPIPVSVDDYSWQTSTNPSIFWTEGNAPARMSIPFISIGNAYSNFYDGWSH -FSQAGVYGFTTLNNMGQLFFRHVNKPNPAAITSVARIYFKPKHVRAWVPRPPRLCPYINSTNVNFEPKPV -TEVRTNIITTGAFGQQSGAVYVGNYRVVNRHLATHIDWQNCVWEDYNRDLLVSTTTAHGCDTIARCQCTT -GVYFCLSRNKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGVIGIVTMGGEG -VVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSILEKSLKALVKII -SALVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNNGWLKKFTEMTNACKGMEWI -AIKIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQGDQEQLFSNVQYFAHYCRKYA -PLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPDP -DHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAPT -VSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEDCCPVNFKKCCPLVCGKAIQFIDRKTQVRY -SLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPPAIADLLKSVDSEAVREYCKEKG -WLVPEISSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTLRQAKVQG -PAFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVLDAKELVDKDGTNLE -LTLLKLNRNEKFRDIRGFLAREEAEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPTKRMLMYNFP -TRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLRHYFNEEQGEIEFIESSKDAGFPVINTPSKTKLEPS -VFHQVFEGNKEPAVLRNGDPRLKVNFEEAIFSKYIGNVNTHVDEYMQEAVDHYAGQLATLDISTEPMKLE -DAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTKDLTKLKECMDKYGLNLPMVTYVKDELRSAEKV -AKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDVFWSKIPVMLDGHLIAFDYSGYD -ASLSPVWFACLKLLLEKLGYTNKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIRT -LMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTWTNVTFLKRY -FRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKIRSVPVGRCLTL -PAFSTLRRKWLDSF - ->sp|Q9YLJ1.3|POLG_EC05N RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETLLEAAHGATINYTNINYYKDAASNSANRQDFSQDPGKFTEPVKDLMIKSMPALNS -PSAEECGFSDRVRSLTLGNSTITTQESANVVVGYGRWPDYLADDQATAEDQPTQPDVATCRFYTLESVSW -QSGSAGWWWKFPEALKDMGLFGQNMYYHYLGRAGYTIHVQCNASKFHQGCLLVVCVPEAEMGCADVTSVV -TALNLINGEDAHTFSPSEATAEAGKVQTAVCNAGMGVAVGNLTIFPHQWINLRTNNCATIVMPYINSVPM -DNMFRHYNFTLMVIPFAPLASQGGSTYVPITITIAPMCAEYNGLRLSTQPQGLPVMNTPGSNQFLTSDDF -QSPCAMPEFDVTPPMDIPGEVRNIMEIAEVDSVVPVNNMSSKVKTIEAYQIPVSVGTTVRGDAIFSFQLN -PGNSPVLNRTLLGEIINYYAHWSGSIKLTFLFCGSAMATGKLLLAYSPPGASVPTSRKDAMLGTHIIWDL -GLQSSCVLCVPWISQTHYRMVQQDEYSAAGYITCWYQTNIIVPPDTPTDCIVLCFVSACNDFSVRMLKDT -PFVEQEADLQGDSEHAVESAVSRVADTIMSGPSNSQQVPALTAVETGHTSQVVPSDTIQTRHVQNFHSRS -ESTIENFLSRSACVHIANYNAKGDKTDVNRFDRWEINIREMVQLRRKCEMFTYLRFDIEVTFVITSKQDQ -GPKLNQDMPVLTHQIMYVPPGGSVPSTVESYAWQTSTNPSVFWTEGNAPARMSIPFISIGNAYSSFYDGW -SHFTQKGVYGYNTLNKMGQLFVRHVNKETPTPVTSTIRVYFKPKHIRAWVPRPPRLCPYVNKTNVNFITT -QVTEPRNDLNDVPKSEHNMHTYGAFGQQSGAVYVGNYRVVNRHLATHNDWQNCVWEDYNRDLLVSTTTAQ -GCDTIARCHCTTGVYFCSSRNRHYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEH -GVIGIVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSI -LEKSLKALVKIISALVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNNGWLKKFT -EMTNACKGMEWIAIKIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSN -VQYFAHYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEK -LNSSVYSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVL -ASTNAGSINAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKA -IQFIDRRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPLYREIKISVAPETPPPPAIADLLKSVD -SEAVREYCKEKGWLVPEINSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKP -KVPTLRQAKVQGPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVLDA -KELVDKDGTNLELTLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGG -TPTKRMLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKDAGFPI -INTPSKTKLEPSVFHQVFEGNKEPAVLRSGDPRLKANFEEAIFSKYIGNVNTHVDEYMMEAVDHYAGQLA -TLDISTEPMKLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKRTKDLTKLKECMDKYGLNLPMVT -YVKDELRSAEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDLFWSKIPVMLD -GHLIAFDYSGYDASLSPVWFACLKMLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSI -FNSMINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNE -VTWTNVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRK -IRSVPVGRCLTLPAFSTLRRKWLDSF - ->sp|Q9WN78.3|POLG_EC30B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLSASGNSVIHYTNINYYKDSASNSLNRQDFTQDPSRFTEPVQDVLIKTLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVGYGVWPTYLSDHEATAVDQPTQPDVATCRFYTLESVKW -ESSSAGWWWKFPEALSDMGLFGQNMQYHYLGRAGYTIHVQCNASKFHQGCLLVVCVPEAEMGAATTDHAM -NHTKLSNIGQAMEFSAGKSTDQTGPQTAVHNAGMGVAVGNLTIYPHQWINLRTNNSATIVMPYINSVPMD -NMYRHYNFTLMVIPFAKLEHSPQASTYVPITVTVAPMCAEYNGLRLAGHQGLPTMNTPGSTQFLTSDDFQ -SPSAMPQFDVTPEIQIPGQVRNLMEIAEVDSVVPVDNTEEHVNSIEAYRIPVRPQTNSGEQVFGFQLQPG -YDSVLKHTLLGEILNYYANWSGSMKLTFMYCGAAMATGKFLIAYSPPGAGVPGSRKDAMLGTHVIWDVGL -QSSCVLCVPWISQTNYRYVTRDAYTDAGYITCWYQTSIVTPPDIPTTSTILCFVSACNDFSVRLLRDTPF -ITQQALYQNDPEGALNKAVGRVADTIASGPVNTEQIPALTAVETGHTSQVVPSDTMQTRHVVNFHTRSES -SLENFMGRAACAYIAHYTTEKANDDLDRYTNWEITTRQVAQLRRKLEMFTYMRFDLEITFVITSSQRTSN -RYASDSPPLTHQIMYVPPGGPIPKGYEDFAWQTSTNPSVFWTEGNAPPRMSIPFMSVGNAYCNFYDGWSH -FSQSGVYGYTTLNNMGHLYFRHVNKSTAYPVNSVARVYFKPKHVKAWVPRAPRLCPYLYAKNVNFDVQGV -TESRGKITLDRSTHNPVLTTGAFEQQSGAAYVGNYRLVNRHLATHTDWQNCVWKDYNRDLLVSTTTAHGC -DTIARCQCTTGVYFCASRNKHYPVTFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGV -IGLVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLIGQDSILE -KSLKALVKIISALVIVVRNHDDLITVTATLALIGCTTSPWRWLKHKVSQYYGIPMAERQNNNWLKKFTEM -TNACKGMEWIAIKIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQ -YFAHYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLN -SSVYSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLAS -TNAGSINAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKRCCPLVCGKAIQ -FIDRRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPPAIADLLKSVDSE -AVREYCKEKGWLVPEINSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYSGMPNQKSKV -PTLRQAKVQGPAFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTIIMNDQEVGVVDAKE -LVDKDGTNLELTLLKLNRNEKFRDIRGFLAREEAEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTP -TKRMLMYNFPTRAGQCGGVLMSTGKVLGVHVGGNGHQGFSAALLRHYFNDEQGEIEFIESSKEAGFPVIN -TPSKTKLEPSVFHHVFEGNKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMMEAVDHYAGQLATL -DISTEPMKLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTKDLAKLKECMDKYGLNLPMVTYV -KDELRSAEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHMNPGIVTGSAVGCDPDLFWSKIPVMLDGH -LIAFDYSGYDASLSPVWFACLKLLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFN -SMINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPHPIDASLLAEAGKGYGLIMTPADKGECFNEVT -WTNVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEQEYEEFVSKIR -SVPVGRCLTLPAFSTLRRKWLDSF - ->sp|Q66575.4|POLG_EC12T RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLSASGNSIIHYTNINYYKDAASNSANRQDFTQDPGKFTEPVKDIMIKSMPALNS -PTAEECGYSDRVRSITLGNSTITTQECANVVVGYGTWPDYLHDDEATAEDQPTQPDVATCRFYTLESIQW -QKTSDGWWWKFPEALKDMGLFGQNMHYHYLGRSGYTIHVQCNASKFHQGCLLVVCVPEAEMGCATVANEV -NAAALSSGETAKHFAKTGATGTHTVQSIVTNAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYINSVPMD -NMFRHYNFTLMIIPFVPLDFTAEASTYVPITVTVAPMCAEYNGLRLASHQGLPTMNTPGSNQFLTSDDFQ -SPSAMPQFDVTPELRIPGEVKNLMEIAEVDSVVPVNNTQDSVYNMDVYKIPVSGGNQLSTQVFGFQMQPG -LNSVFKRTLLGEILNYYAHWSGSVKLTFVFCGSAMALAKFLLAYSPPGADPPKSRKEAMLGTHVIWDIGL -QSSCVLCVPWISQTHYRLVQQDEYTSAGYVTCWYQTSLVVPPGAPATCGVLCLASACNDFSVRMLRDTPF -IEQKQLLQGDVEEAVNRAVARVADTLPTGPRNSESIPALTAAETGHTSQVVPGDTMQTRHVKNYHSRTES -SVENFLCRAACVYITKYKTKDSDPVQRYANWRINTRQMVQLRRKFELFTYLRFDMEVTFVITSSQDDGTQ -LAQDMPVLTHQVMYIPPGGPVPNSVTDFAWQSSTNPSIFWTEGNAPARMSIPFISIGNAYSNFYDGWSHF -TQDGVYGFNSLNNMGSIYIRHVNEQSPYAITSTVRVYFKPKHVRAWVPRPPRLCAYEKSSNVNFKPTDVT -TSRTSITEVPSLRPSVVNTGAFGQQSGAAYVGNYRVVNRHLATHVDWQNCVWEDYNRDLLVSTTTAHGCD -TIARCQCTTGVYFCASRNKHYPVSFEGPGLVEVQESEYYPRRYQSHVLLAAGFSEPGDCGGILRCEHGVI -GLVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGHDSILEK -SLKALVKIISALVIVVRNHDDLITVTATLALIGCTSSPWRWLKHKVSQYYGIPMAERQSNGWLKKFTEMT -NACKGMEWIAIKIQKFIEWLKLKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQY -FAHYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATSLIGRSLAEKLNS -SVYSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLAST -NAGSINAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKRCCPLVCGKAIQF -IDRRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPVIREIKISVAPETPPPPAIADLLKSVDSEA -VREYCKEKGWLVPEVNSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVP -TLRQAKVQGPAFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPHHAKPGPTILMNDQEIGVLDAKEL -VDKDGTNLELTLLKLNRNEKFRDIRGFLAREEAEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPT -KRMLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLRHYFNEEQGEIEFIESSKDAGFPVINT -PSKTKLEPSVFHQVFEGNKEPAVLRNGDPRLKVNFEEAIFSKYIGNINTHVDEYMLEAVDHYAGQLATLD -ISTEPMKLEDAVYGTEGLEALDLTTSAGYPYVAIGIKKRDILSKKTKDLTKLKECMDKYGLNLPMVTYVK -DELRSSEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDLFWSKIPVMLDGHL -IAFDYSGYDASLSPVWFACLKLLLEKLGYTHRETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNS -MINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKGYGLIMTPADKGECFNEVTW -TNVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEQEYEEFIRKIRS -VPVGRCLTLPAFSTLRRKWLDSF - ->sp|P29813.4|POLG_EC11G RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLNASGSSIIHYTNINYYKDAASNSANRQEFSQDPGKFTEPVKDIMVKSLPALNS -PSAEECGYSDRVRSITLGNSTITTQESANVVVGYGRWPEYLKDNEATAEDQPTQPDVATCRFYTLESVTW -ERDSPGWWWKFPDALKDMGLFGQNMYYHYLGRAGYTLHVQCNASKFHQGCLLVVCVPEAEMGCSQVDGTV -NEHGLSEGETAKKFSSTSTNGTNTVQTIVTNAGMGVGVGNLTIYPHQWINLRTNNCATIVMPYINNVPMD -NMFRHHNFTLMIIPFVPLDYSSDSSTYVPITVTVAPMCAEYNGLRLSTSLQGLPVMNTPGSNQFLTSDDF -QSPSAMPQFDVTPELNIPGEVQNLMEIAEVDSVVPVNNVEGKLDTMEVYRIPVQSGNHQSDQVFGFQVQP -GLDSVFKHTLLGEILNYFAHWSGSIKLTFVFCGSAMATGKFLLAYAPPGANAPKNRKDAMLGTHIIWDVG -LQSSCVLCVPWISQTHYRLVQQDEYTSAGNVTCWYQTGIVVPAGTPTSCSIMCFVSACNDFSVRLLKDTP -FIEQTALLQGDVVEAVENAVARVADTIGSGPSNSQAVPALTAVETGHTSQVTPSDTMQTRHVKNYHSRSE -SSIENFLSRSACVYMGGYHTTNTDQTKLFASWTISARRMVQMRRKLEIFTYVRFDVEVTFVITSKQDQGS -RLGQDMPPLTHQIMYIPPGGPIPKSVTDYAWQTSTNPSIFWTEGNAPPRMSIPFISIGNAYSNFYDGWSH -FSQNGVYGYNTLNHMGQIYVRHVNGSSPLPMTSTVRMYFKPKHVKAWVPRPPRLCQYKNASTVNFTPTNV -TDKRTSINYIPETVKPDLSNYGAFGYQSGAVYVVNYRVVNRHLATHTDWQNCVWEDYNRDLLISTTTAHG -CDVIARCRCSTGVYYCQSKGKHYPVNFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHG -VIGIVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSIL -EKSLKALVKIISALVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNNGWLKKFTE -MTNSCKGMEWISIKIQKFIEWLKVKILPEVREKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNV -QYFAHYCRKYAPLYASEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKL -NSSVYTLPPDPDHFDGYKQQAVVIVDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSLFVLA -STNAGSINAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSEKTCDEECCPVNFKRCCPLVCGKAI -QFIDRRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPIYREIKISVAPETPPPPAIADLLKSVDS -EAVREYCKEKGWLVPEVNSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPK -VPTLRQAKVQGPAFEFAVAMMKRNSSTVKTEYREFTMLGIYDRWAVLPRHAKPGPTILMNNQEVGVLDAK -ELVDKDGTNLELTLLKLNRNEKFRDIRGFLAKEEVEANQAVLAINTSKFPNMYIPVGQVTDYGFLNLGGT -PTKRMLMSNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKDAGFPII -NTPSKTKLEPSVFHQVFEGDKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMLEAVDHYAGQLAT -LDISTEPMRLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSRRTRDLTKLKECMDKYGLNLPMVTY -VKDELRSADKVAKGKSRLIEASSLNDSVAMRQTFGNLYRTFHLNPGIVTGSAVGCDPDLFWSKIPVMLDG -HLIAFDYSGYDASLSPVWFACLKLLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFERGGMPSGYSGTSMF -NSMINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAETGKGYGLIMTPADKGECFNEV -TWTNVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKI -RSVPVGRCLTLPAFSTLRRKWLDSF - ->sp|O41174.3|POLG_PEV9U RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGMQMSKNTAGSHTTVTQASGGSHINYTNINYYSHSASASQNKQDITQDPSKFTQPMVDIMKESAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANITVAYGEWPSYLSDLDATAVDKTTKPGVSCDRFYTLPGKKW -EATTKGWEWKLPDALTELGVFGQNCQFHFLYRCGWSIHVQCNATKFHQGTLLVVAVPDHQLGTTYQPEFD -NVMPGKAGREVKYPYNFEDGTSLANSLIYPHQWINLRTNNSATLVLPYANAIPMDSPIRHSSWSLLVIPV -VPLACATGTTPFVGITITLAPMFSEFSGLRRAIAQGIPTTNTPGSYQFLTTDEDSSACILPDFTPTQEIH -IPGEVKNLQALCQVESLLEINNVDGKTGIERLRLEVSTQSELDRQLFALKVSFTEGEIMSKTLCGVMCSY -YTQWSGSLEITFMFTGSFMTTGKLLLAYTPPGGSAPASREDAMLGTHVIWDFGLQSSITLVVPWICGGYY -RDVNRANNYYAAGYVTGWFQTNMVIPPDFPSTAYILCFLAAQPNFSLRILKDRPDITQTAALQAPVETAL -NSAISSVIAGITAQDTQPSSHNISTSETPALQAAETGASSNASDEGMMETRHVVNTNTVSETSIESFYGR -CGLVSIKEIADNKQVEKWLVNFNEFVQLRAKIELFTYMRFDIEFTLVATFTKGNSASQHPVQVQVMYLPP -EQLLQLQQDSYAWQSAANPSAIFSANTVPARFSVPFVGTANAYTIMYDGYNVFGSNRPSADYGMINSSHM -GSMAFRAISQLQATEKVKFMDLCQVKDVRAWCPRAPRMAPYKYIRNPVFETQDRIVPNRNNITTTGAFGQ -QSGAIYVGNYKIMNRHLATHEDWENVEWEDYNRDILVARTTAHGADKLARCHCNTGVYYCKSRNKHYPVT -SRVQASIGSRLVSTTQLDTRPICSLPSGISEPGDCGGILRCQHGVIGIVTAGGQGVVGFADVRDLFWVEH -EAMEQGLTDYIQQLGNSFGQGFTAEITNYASQLSEMLIGADGMVERCLQTFVKVISAVVIATRSQGDVPT -ILATLALIGCDGSPWRWLKRQFCGIFKIPYVEKQGDDWLKKFTSYVNAFKGLDWVAEKIMKFIDWMKNKL -IPQARERQEFTTNLKTLPLLEAQVATLEHSCPTTEQQETIFGNIQYLAHHCRRYAPLYAAEARRVYALEK -RILGYIQFKSKQRIEPVCLLIHGTAGTGKSLATSIIGRKLAEYEHSEVYAIPPDSDHFDGYQQQAVVVMD -DLNQNPDGKDMVAFCQMVSTVPYHVPMAAIEEKGMLFTSSYVLASTNSGSIHPPTVSNSKALSRRFAFDV -DIEVSEHYKTHNGTLDVVNATQRCEDCCPANFKTCMPLICGEAYQLVDRRNGMRYSIDTMISAMRAEWKR -RNQVGLCYVRLFQGPPQFKPLKISVDPEIPAPPAIADLLASVDSEEVREYCKKKGWIVEVPVTATTLERN -VSIATTILSSLVLLTSVITLVYLVYRLFAGYQGPYTGLPNAKPKPPVLREVRAQGPLMDFGVGMMKKNIV -TVRTGAGEFTGLGVHDHVLVLPKHSHPAEIVVVDGKETPVEDAYNLTDEQGVSLELTLVTLKRNEKFRDI -RAMIPENPCGTNEAVVCVNTSNFPNAFLPVGKVEYYGYLNLAGSPTHRTMMYNFPTKAGQCGGVVLSTGK -VLGIHIGGNGAQGFCAALKRSYFTKPQGKIDWVEPSKKHGFPVINAPSKTKLEPSVFFDVFEGVKEPAAL -HPKDPRLEVNLEEALFSKYTGNVDIEMPEEMKEAVDHYANQLLALDIPTEPLSMEEAIYGTEGLEALDLT -TSAGYPYVTMGIKKRDILNKETRDVKKMQECIDKYGLNLPMVTYIKDELRSKEKVKKGKSRLIEASSLND -SVAMRCYFGNLYKAFHQNPGTLTGCAVGCDPDTFWSKIPVMMDGELFGFDYTAYDASLSPLMFQALQMVL -EKIGFGEGKHFIDNLCYSHHLFRDKYYFVKGGMPSGCSGTSIFNSMINNIIIRTVVLQTYKGIELDQLKI -IAYGDDVIASYPYRIDPAELAKAGAKLGLHMTPPDKSETYVDLDWTNVTFLKRNFVPDEKYPFLVHPVMP -MKEIYESIRWTRDARNTQDHVRSLCLLAWHNGRKEYEEFCRKIRSVPVGRALHLPSYSSLLREWYEKF - ->sp|Q66849.3|POLG_EC09H RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHEASLSATGSSIIHYTNINYYKDSASNSANRQDFTQDPSKFTEPVKDVMIKSLPALNS -PSAEECGFSDRVRSITIGNSTITTQECANVVVAYGRWPTYLRDDEATAEDQPTQPDVATCRFYTLESVQW -QENSAGWWWKFPDALSNMGLFGQNMLYHYLGRAGYTIHVQCNASKFHQGCLLVVCVPEAEMGCSKVDGVV -NAQGLSKGEIPIEFERTSTTAEVGVVQKAVYNAGMGIGVGNLTIFPHQWINLRTNNSATIVIPYINCVPM -DNMFRHNNFTLMVIPFAPLKSSGGTNYVPVTITVAPMDAEYNGLRLAGHQGLPTMNTPGSTQFLTSDDFQ -SPCAMPEFDVTPCMDIPGKVHNLMEIAEVDIGVPVNNTSTHMEGTDAFQIKVTAGNVQDKNAIFSFQLNP -GNSTVLRRTLLGEILNYYAHWSGSIKLTFLFCGSAMATGKLLLAYSPPGASVPKARRDAMLGTHVIWDVG -LQSSCVLCVPWISQTHYRLVAQDEYTSAGYITCWYQTNIVVPPETPSDCVVLCFVSACNDFSVRMLKDTP -FIEQTTELQSDVREAVEGAIGRVADTIRSGPSNSEAVPALTAAETGHTSQVVPSDTMQTRHVKNYHSRSE -STIENFLCRSACVRMAKYEARGNLKALTLDAWEISVRDMVQLRRKCEMFTYLRFDVEVTFVITSYQRQGT -SSIQICPYDAHQIMYIPPGGPIPKKVDGYEWQTSTNPSIFWTEGNAPPRMSIPFISIGNAYSSFYDGWSH -FDSKGAYGFNTLNKMGHIYCRHVNKETPTKVTSYIRIYFKPKHVRAWVPRPPRLCQYMNKANVNFEATAV -TDTRDTINTVPLSTHGVSRGAYGHQSGARYVGNYRIVNRHLATHTDWQKCVWEDYNRDLLVSTTTAHGCD -TIARCQCTTGVYFCASKNKHYPVSFEGPGLVEVQASEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGVI -GIVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSILEK -SLKALVKIISALVIVVRNHDDLITVTAVLALIGCTTSPWRWLKQKVSQYYGIPMAERQNNRWLKKFTEMT -NACKGMEWIAIKIQKFIEWLKIKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQGDQEQLFSNIQY -FAHYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNS -SVYSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLAST -NAGSINAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKAIQF -IDRRTQVRYSLDMLVTEMFREYNHRHSVGTTLEALFQGPPIYREIKISVAPETPPPPAIADLLKSVDSEA -VREYCKEKGWLVPEVNSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPRVP -TLRQAKVQGPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVLDAKEL -VDKDGTNLELTLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPT -KRMLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKEAGFPVINT -PSKTKLEPSVFHQVFEGNKEPAVLRNGDPRLKANFEEALFSKYIGNVNTHVDEYMLEAVDHYAGQLATLD -ISTEPMRLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTRDLTKLKECMDKYGLNLPMVTYVK -DELRSAEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSASGCDPDLFWSKIPVMLDGHL -IAFDYSGYDASLSPVWFACLKLLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNS -MINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTW -TNVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEREYEEFIRKIRS -VPVGRCLTLPAFSTLRRKWLDSF - ->sp|Q66577.3|POLG_EC09B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLNASGNSIIHYTNINYYKDSASNSANRQDFTQDPSKFTEPVKDVMIKTLPALNS -PSAEECGFSDRVRSITIGNSTITTQECANVVVAYGRWPAYLRDDEATAEDQPTQPDVATCRFYTLESVQW -QENSAGWWWKFPDALSNMGLFGQNMLYHYLGRSGYTIHVQCNASKFHQGRPIVVCVPEAEMGCSKVDGVV -NAQGLSKGETPIEFERTSTTAEVGVVQKAVYNAGMGVGVGNLTIFPHQWINLRRNNSATIVIPYINCVPM -DNMFRHNNFTLMVILFAPLKSSGGTNYVSITITVAPMDAEYNGLRLAGHQGLPTMNTPGSTQFLTSDDFQ -SPCAMPEFDVTPCMDIPGEVHNLMEIAEVDSVVPVNNTSTHMEGTDAFQIKVTAGNVQDKSAIFSFQLNP -GNSTVLRRTLLGEILNYYAHWSGSIKLTFLFCGSAMATGKLLLAYSHAGASVPKSRRDAMLGTHVIWDVG -LQSSCVLCVPWISQTHYRLVAQDEYTSAGYITCWYQTNIVVPPETPSDCVVLCFVSACNDFSVRMLKDTP -FIEQAAELQNDVRQAVEGAIGRVADTIRSGPSNSEAVPALTAAETGHTSQVVPSDTMQTRHVKNYHSRSE -STIENFLCRSACVRMAKYEARGDPESTDRFDAWEISVRDMVQMRRKCEMFTYLRFDVEVTFVITSYQHQG -SINQDMPPMTHQIMYIPPGGPFPKKVDGYEWQTSTNPSIFWTEGNAPPRMSIPFISIGNAYSSFYDGWSH -FDSKGAYGFNTLNKMGHIYCRHVNKETPAEVTSYIRIYFKPKHIRAWVPRPPRLCQYKNKANVNFEATAF -TETRDTINTVPVSNHGSGRRGDLAALSTHGAFGQESGAVYVGNYRVLNRHLATHTDWQNCIWEDYNRDLL -VSTTTAHGCDTIARCQCKTGVFFCQSKNKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCG -GILRCDHGVIGLVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKES -LIGQDSVLEKSLKALVKIISALVIVVRNQDDLITVTATLALIGCTTSPWRWLKQKVSQYYGIPMAERQSN -GWLKKFTEMTNACKGMEWIAVKIQKFIEWLKVKNLPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSD -QEQLFSNVQYFAHYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLI -GRSLAEKLNSSVYSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGIL -FTSPFVLASTNAGSINAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKRCC -PLVCGKAIQFIDRRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPPAIA -DLLKSVDSEAVREYCKERGWLVPEINSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYT -GMPNKKPKVPTLRQAKVQGPAFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPRHAKPGSTILMNDQ -EVCLLDAKELVDKDGINLELTLLKLNRNEKFRDIRGFLAREEVEVNEAVLAINTSKFPNMYIPVGQVTDY -GFLNLGGTPTKRMLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLRHYFNEEQGEIEFIESS -KDAGFPVINTPSKTKLEPSVFHQVFEGNKEPAVLRNGDPRLKADFEEAIFSKYIGNVNTHVDEYMQEAVD -HYAGQLATLDISTEPMKLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKRTKDLTKLKECMDKYG -LNLPMVTYVKDELRSAEKSPRGKSRLIEASSLNDSVAMRQTFGNLYKVFHLNPGIVTGSAVGCDPDVFWS -KIPVMLDGHLIAFDYSGYDASLSPVWFACLKLLLEKLGYSSKETNYIDYLCNSHHLYRDKHYFVRGGMPS -GCSGTSIFNSMINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPAD -KGECFNEVTWTNVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHE -YEEFIHKIRSVPVGRCLTLPAFSTLRRKWLDSF - ->sp|Q66474.3|POLG_EC06C RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLSASGNSIIHYTNINYYKDAASNSANRQDFTQDPGKFTEPVKDIMAKTLPALNS -PSAEECGYSDRVRSITLGNSTITTQESANVVVGYGVWPDYLKDDEATAEDQPTNPDVATCRFYTLDSVSW -MKESQGWWWKFPDALRDMGLFGQNMQYHYLGRSGYTIHVQCNASKFHQGCLLVVCVPEAEMGAATVNEKI -NREHLSNGEVANTFTGTKSSNTNGVQQAVFNAGMGVRVGNLTVFPHQWINLRTNNCATIVMPYINSVPMD -NMFRHYNFTLMIIPFAKLDYAAGSSTYIPITVTVAPMCAEYNGLRLAGHQGLPVMSTPGSNQFLTSDDYQ -SPTAMPQFDVTPEMHIPGEVKNLMEIAEVDSVVPVNNVNENVNSLEAYRIPVHSVTETGAQVFGFTLQPG -ADSVMERTLHGEILNYYANWSGSIKLTFMYCGSAMATGKFLLAYSPPGAGVPKNRKEAMLGTHMIWDIGL -QSRCVLCVPWISQTHYRFVSKDSYTDAGFITCWYQTSIVVPAEVQNQSVILCFVSACNDFSVRLLRDSPF -VTQTAFYQNDVQNAVERSIVRVADTLPSGPSNSESIPALTAAETGHTSQVVPSDTIQTRHVRNFHVRSES -SVENFLSRSACVYIVEYKTQDTTPDKMYDSWVINTRQVAQLRRKLEFFTYVRFDVEVTFVITSVQDDSTR -QNTDTPVLTHQIMYVPPGGPIPHAVDDYNWQTSTNPSVFWTEGNAPPRMSIPFMSVGNAYSNFYDGWSHF -SQTGVYGFNTLNNMGKLYFRHVNDRTISPITSKVRIYFKPKHVKAWVPRPPRLCEYTHKDNVDYEPKGVT -TSRTSITITNSKHMETHGAFGQQSGAAYVGNYRVVNRHLATHTDWQNCVWEDYNRDLLVSTTTAHGCDTI -ARCHCTTGVYFCASRNKHHPVVFEGPGLVEVQESGYYPKRYQSHVLLAAGLSEPGDCGGILRCEHGVIGI -VTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLIGQDSILEKSL -KALVKIISALVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYYGISMAERQNNGWLKKFTEMTNA -CKGMEWIAIKIQKFIEWLKVKILPEVREKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFA -HYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGVGKSVATNLIGRSLAEKLNSSI -YSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNA -GSINAPTVSDSRALARRFHFDMNIEVISMYNQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKAIQFID -RRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPEIPPPPAIADLLKSVDSEAVR -DYCKEKGWLVPEVNSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTL -RQAKVQGPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVVDAKELVD -KDGTNLELTLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPTKR -MLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKDAGYPVINTPS -RTKLEPSVFHQVFEGSKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMLEAIDHYAGQLATLDIS -TEPMKLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTRDLTKLKECMDKYGLNLPMVTYVKDE -LRSAEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKAFHQNPGIVTGSAVGCDPDLFWSKIPVMLDGHLIA -FDYSGYDASLSPVWFACLKLLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMI -NNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTWTN -VTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEQEYEEFIQKIRSVP -VGRCLTLPAFSTLRRKWLDSF - ->sp|Q86887.3|POLG_CXB4E RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETSLSATGNSIIHYTNINYYKDAASNSANRQDFTQDPSKFTEPVKDVMIKSLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVGYGVWPDYLSDEEATAEDQPTQPDVATCRFYTLKSVKW -EMQSAGWWWKFPDALSEMGLFGQNMQYHYLGRSGYTIHVQCNASKFHQGCLLVVCVPEAEMGCTNAENAP -TYGDLCGGETAKQFEQNAVTGETAVQTAVCNAGMGVGVGNLTIYPHQWINLRTNNSATIVMPYINSVPMD -NMFRHNNFTLMIIPFAPLDYVTGASSYIPITVTVAPMSAEYNGLRLAGHQGLPTMLTPGSTQFLTSDDFQ -SPSAMPQFDVTPEMNIPGQVRNLMEIAEVDSVVPINNLQANLKTMEAYRVQVRSTDEMGGQIFGFPLQPG -ASSVLQRTLLGEILNYYTHWSGSLKLTFVFCGSAMATGKFLLAYSPPGAGAPDSRKNAMLGTHVIWDVGL -QSSCVLCVPWISQTHYRYVVDDKYTASGFISCWYQTNVIVPAEAQKSCYIMCFVSACNDFSVRMLRDTQF -IKQDTFYQGPTEESVERAMGRVADTIARGPSNSEQIPALTAVETGHTSQVDPSDTMQTRHVHNYHSRSES -SIENFLCRSACVIYIKYSSAESNNLKRYAEWVINTRQVAQLRRKMEMFTYIRCDMEQTFVITSHQEMSTA -TNSVVPVQTHQIMYVPPGGPVPTSVNDYVWQTSTNPSIFWTEGNAPPRMSIPFMSIGNAYTMFYDGWSNF -SRDGIYGYNSLNNMGTIYARHVNDSSPGGLTSTIRIYFKPKHVKAYVRPPRRLCQYKKAKNVNFDVEAVT -TERASLVTTGPHGQQSGAVYVGNYRVVNRHLATHFDWQNYIWEDYNRDLLVSTTTAHGCDTIARCQCTSG -VYFCVSRNKHYPVVFEGPGLVEVQESEYYPKRYQSHVLLARGFSEPGDCGGILRCEHGVIGIRTMGREGV -VGFADVRDLLWLEDDAMEQGVKDYVEELGNSFGSGFTNQICEQVNLLKESLVGQDSILEKSLKALVKIIS -ALVIVVRNHDDLVTVTATLALIGCTTSPWRWLKRKVSQYYGIPMAERQNNNWLKKFTEMTNACKGMEWIA -VKIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKYAP -LYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPDPD -HFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAPTV -SDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKAIQFIDRRTQVRYS -LDMLVTEMFREYNHRHSVGATLEALFQGPPIYREIRISVAPETPPPPAIADLLRSVDSEAVREYCKEKGW -LVPEISSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTFRQAKVQGP -AFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVVDAKELVDKDGTTLEL -TLLKLNRNEKFRDIRGFLAREEAEVNEAVLAINTSKFPNMYIPVGQVTEYGFLNLGGTPTKRMLMYNFPT -RAGQCGGVLMSTGKVLGIHVGGNGHQGFSASLLRHYFNDEQGEIEFIESSKEAGFPVINTPNKTKLEPSV -FHHIFEGNKEPAVLRNGDTRLKVNFEEAIFSKYIGNVNTHVDEYMMEAVDHYAGQLATLDISTEPMRLED -AVYGTEGLEALDLTTSAGYPYVTLGIKKRDILSKKTKDLTKLKECMDKYGLNLPMVTYVKDELRSAEKVA -KGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDLFWSKIPVMLDGHLRAFDYSGYDA -SLSPVWFACLKLLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIRTL -MFKVYKGIDLDQFRMIAYGDDVIASYPLPIDASLLAEAGKGYGLIMTPADKGECFNELTWTNVTFLKRYF -RADEQYPFLVHPVMPIKDIHESIRWTKDPKNTQYHVRSLCLLAWHNGEQEYEELYPKIRSVPVGRCLTLP -AFSTLRRKWLDAF - ->sp|Q66282.3|POLG_CXB3W RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLNASGNSIIHYTNINYYKDAASNSANRQDFTQDPSKFTEPVKDIMIKSLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVGYGVWPDYLKDSEATAEDQPTQPDVATCRFYTLDSVQW -QKTSPGWWWKLPDALSNLGLFGQNMQYHYLGRTGYTIHVQCNASKFHQGCLLVVCVPEAEMGCATLNNTP -SSAELLGGDSAKEFADKPVASGSNKLVQRVVYNAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYTNSVP -MDNMFRHNNVTLMVIPFVPLDYCPGSTTYVPITITIAPMCAEYNGLRLAGHQGLPTMNTPGSCQFLTSDD -FQSPSAMPQYDVTPEMRIPGEVKNLMEIAEVDSVVPVQNVGEKVNSMEAYQIPVRSNEGSGTQVFGFPLQ -PGYSSVFSRTLLGEILNYYTHWSGSIKLTFMFCGSAMATGKFLLAYSPPGAGAPTKRVDAMLGTHVVWDV -GLQSSCVLCIPWISQTHYRYVASDEYTAGGFITCWYQTNIVVPADAQSSCYIMCFVSACNDFSVRLLKDT -PFISQQNFFQGPVEDAITAAIGRVADTVGTGPTNSEAIPALTAAETGHTSQVVPSDTMQTRHVKNYHSRS -ESTIENFLCRSACVYFTEYENSGAKRYAEWVITPRQAAQLRRKLEFFTYVRFDLELTFVITSTQQPSTTQ -NQDAQILTHQIMYVPPGGPVPDKVDSYVWQTSTNPSVFWTEGNAPPRMSVPFLSIGNAYSNFYDGWSEFS -RNGVYGINTLNNMGTLYARHVNAGSTGPIKSTIRIYFKPKHVKAWIPRPPRLCQYEKAKNVNFQPSGVTT -TRQSITTMTNTGAFGQQSGAVYVGNYRVVNRHLATSADWQNCVWENYNRDLLVSTTTAHGCDIIARCRCT -TGVYFCASKNKHYPISFEGPGIVEVQESEYYPRRYQSHVLLAAGFSEPGDCGGILRCEHGVIGIVTMGGE -GVVGFADIRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSILEKSLKALVKI -ISALVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNNGWLKKFTEMTNACKGMEW -IAIKIQKFIEWLKVKILPEVREKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKY -APLYASEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPD -PDHFDGYKQQAVVIMDDLCQKPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAP -TVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKAIQFIDRRTQVR -YSLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPPRIADLLKSVDSEAVREYCKEK -GWLVPEVNSTLQIEKHVSRAFICLQAITTFVSVAGIIYIIYKLFAGFQGAYTGIPNQKPKVPTLRQAKVQ -GPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVLDAKELVDKDGTNL -ELTLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPTKRMLMYNF -PTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKEAGFPIINTPSKTKLEP -SVFHQVFEGDKEPAVLRNGDPRLKVNFEEAIFSKYIGNVNTHVDEYMMEAVDHYAGQLATLDISTEPMKL -EDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTRDLTKLKECMDKYGLNLPMVTYVKDELRSAEK -VAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGVVTGSAVGCDPDLFWSKIPVMLDGHLIAFDYSGY -DASLSPVWFACLKLLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIR -TLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTWTNVTFLKR -YFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKIRSVPVGRCLT -LPAFSTIRRKWLDSF - ->sp|Q66479.3|POLG_HE71M RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGSQVSTQRSGSHENSNSATEGSTINYTTINYYKDSYAATAGKQSLKQDPDKFANPVKDIFTEMAAPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIIVGYGEWPSYCSDDDATAVDKPTRPDVSVNRFYTLDTKLW -EKSSKGWYWKFPDVLTETGVFGQNAQFHYLYRSGFCIHVQCNASKFHQGALLVAILPEYVIGTVAGGTGT -EDSHPPYKQTQPGADGFELQHPYVLDAGIPISQLTVCPHQWINLRTNNCATIIVPYMNTLPFDSALNHCN -FGLLVVPISPLDFDQGATPVIPITITLAPMCSEFGGLRQAVTQGFPTELKPGTNQFLTTDDGVSAPILPN -FHPTPCIHIPGEVRNLLELCQVETILEVNNVPTNATSLMERLRFPVSAQAGKGELCAVFRADPGRDGPWQ -STMLGQLCGYYTQWSGSLEVTFMFTGSFMATGKMLIAYTPPGGPLPKDRATAMLGTHVIWDFGLQSSVTL -VIPWISNTHYRAHARDGVFDYYTTGLVSIWYQTNYVVPIGAPNTAYILALAAAQKNFTMKLCKDTSHILQ -TASIQGDRVADVIESSIGDSVSRALTQALPAPTGQNTQVSSHRLDTGEVPALQAAEIGASSNTSDESMIE -TRCVLNSHSTAETTLDSFFSRAGLVGEIDLPLEGTTNPNGYANWDIDITGYAQMRRKVELFTYMRFDAEF -TFVACTPTGEVVPQLLQYMFVPPGAPKPESRESLAWQTATNPSVFVKLTDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHKQEKDLEYGACPNNMMGTFSVRTVGSSKSKYPLVVRIYMRMKHVRAWIPRPMRNQNYLFKA -NPNYAGNSIKPTGTSRNAITTLGKFGQQSGAIYVGNFRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCNCQTGVYYCNSKRKHYPVSFSKPSLIYVEASEYYPARYQSHLMLAAGHSESGDCGGILRCQH -GVVGIASTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGTGFTDAVSREVEALRNHLIGSDGA -VEKILKNLIKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIAQKQSASWLKKFN -DMASAAKGLEWISNKISKFIDWLREKIVPAAKEKAEFLTNLKQFPLLENQITHLEQSAASQEDLEAMFGN -VSYLAHFCRKFQPLYATEAKRVYVLEKRMNNYMQFKSTHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFYQMVSTVDIIPPMASLEEKGVSFTSKFVI -ASTNASNIIVPTVSDSDAIRRRFYMDCDIEVTDSSKTDLGRLDAGRAAKLCSENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSVDTVVSELIREYNSRSAIGNTIEALFQGPPKFRPIRISLEEKPAPDAISDLLASVDS -EEVRQYCREQGWIIPETPTNVERHLNRAVLVMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPNQVLKKP -VLRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWVEHKLVNILDAAEL -VDEQGVNLELTLVTLDTNEKFRDITKFIPETISGASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPT -HRTMMYNFPTKAGQCGGVVTSVGKIIGIHIGGNGRQGFCAGLKRSYFASEQGEIQWVKSNKETGRLNING -PTRTKLEPSVFHDVFEANKEPAVLTSKDPRLEVDFEQALFSKYVGNVLHEPDEYVHQAALHYANQLKQLD -INTKKMSMEEACYGTDNLEAIDLHTSAGYPYSALGIKKRDILDPATRDVSKMKSYMDKYGLDLPYSTYVK -DELRSLDKIKKGKSRLIEASSLNDSVYLRMTFGHLYEVFHANPGTVTGSAVGCNPDVFWSKLPILLPGSL -FAFDYSGYDASLSPVWFRALEVVLREIGYSEEAVSLIEGINHTHHIYRNKTYCVLGGMPSGCSGTSIFNS -MINNIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCLELAKTGKEYGLTMTPAGKSPCFNEVTW -ENATFLKRGFLPDHQFPFLIHPTMPMKEIHESIRWTKDARNTQDHVRSLCLLAWHNGKDEYEKFVSTIRS -VPVGKALAIPNFENLRRNWLELF - ->sp|Q66478.3|POLG_HE71B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGSQVSTQRSGSHENSNSATEGSTINYTTINYYKDSYAATAGKQSLKQDPDKFANPVKDIFTEMAAPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIIVGYGEWPSYCSDNDATAVDKPTRPDVSVNRFYTLDTKLW -EKSSKGWYWKFPDVLTETGVFGPNAQFHYLYRSGFCIHVQCNASKFHQGALLVAVLPEYVIGTVAGGTGT -ENSHPPYKQTQPGADGFELQHPYVLDAGIPISQLTVCPHQWINLRTNNCATIIVPYMNTLPFDSALNHCN -FGLLVVPISPLDFDQGATPVIPITITLAPMCSEFAGLRQAVTQGFPTELKPGTNQFLTTDDGVSAPILPN -FHPTPCIHIPGEVRNLLELCQVETILEVNNVPTNATSLMERLRFPVSAQAGKGELCAVFRADPGRDGPWQ -STMLGQLCGYYTQWSGSLEVTFMFTGSFMATGKMLIAYTPPGGPLPKDRATAMLGTHVIWDFGLQSSVTL -VIPWISNTHYRAHARDGVFDYYTTGLVSIWYQTNYVVPIGAPNTAYIIALAAAQKNFTMKLCKDTSDILE -TATIQGDRVADVIESSIGDSVSKALTPALPAPTGPDTQVSSHRLDTGKVPALQAAEIGASSNASDESMIE -TRCVLNSHSTAETTLDSFFSRAGLVGEIDLPLKGTTNPNGYANWDIDITGYAQMRRKVELFTYMRFDAEF -TFVACTPTGRVVPQLLQYMFVPPGAPKPDSRDSLAWPTATNPSVFVKSSDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHKQEKDLEYGACPNNMMGTFSVRTVGSSKSEYSLVIRIYMRMKHVRAWIPRPMRNQNYLFKS -NPNYAGDSIKPTGTSRTAITTLGKFGQQSGAIYVGNFRVVNRHLATHTDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCNCQTGVYYCNSRRKHYPVSFSKPSLVFVEASEYYPARYQSHLMLAEGHSEPGDCGGILRCQH -GVVGIVSTGGSGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGRAFGTGFTDAVSREVEALKNHLIGSEGA -VEKILKNLVKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKSKTASILGIPMAQKQSASWLKKFN -DMANAAKGLEWIFNKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQVSNLEQSAASQEDLEAMFGN -VIYLAHFCRKFQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YRSSVYSLPPDPDHFDGYKQQVVAVMDDLCQNPDGKDMSLFCQMVSTVDFVPPMASLEEKGVSFTSKFVI -ASTNASNIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCTENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSVDTVVSELIREYNNRSAIGNTIEALFQGPPKFRPIRISLEEKPAPDAISDLLASVDS -EEVRQYCREQGWIIPETPTNVERHLNRAVLVMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKPILKKP -VLRTATVQGPSLDFALSLLRRNIRQAQTDQGHFTMLGVRDRLAILPRHSQPGKTIWVEHKLINVLDAVEL -VDEQGVNLELTLVTLDTNEKFRDITKCIPEVITGASDATLVINTEHIPSMFVPVGDVVQYGFLNLSGKPT -HRTMMYNFPTKPGQCGGVVTSVGKIIGIHIGGNGRQAFCAGLKRSYFASEQGEIQWMKPNRETGRLNING -PTRTKLEPSVFHDVFEGNKEPAVLTSKDPRLEVDFEQALFSKYVGNTLHEPDEYVTQAALHYANQLKQLD -INTSKMSMEEACYGTEYLEAIDLHTSAGYPYSALGIKKRDILDPVTRDTSRMKLYMDKYGLDLPNSTYVK -DELSSLDKIRKGESRLIEASSLNDPVYPRLTFGHLYEVFHANPGTVTGSAVGCNPDVFWSKLPILLPGSL -FAFDYSGYDASLSPVWFRALELVLREIGYSEEAVSLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNS -MINNIIIRTLLIKTFKGIDLDELKMVAYGDDVLASYPFPIDCLEWGKTGKEYGLTMTPADKSPCFNEVTW -ENATFLKRGFLPDHQFPFLIHPTMPMREIHESIRWTKDARNTQDHVRSLCLLAWHNGKEEYEKFVSTIRS -VPIGRALAIPNLENLRRNWLELF - ->sp|P36290.3|POLG_CXA24 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSSQKVGAHENTNVATGGSTVNYTTINYYKDSASNAASKLDFSQDPSKFTEPVKDIMLKSAPALNS -PNVEACGYSDRVRQITLGNSTITTQEAANAVVAYGEWPSYLDDREANPIDAPTEPDVSSNRFYTLDSVQW -TSTSRGWWWKLPDALKDMGMFGQNMYYHYLGRSGYTVHVQCNASKFHQGALGVFAIPEYVMACNTEAKTS -YVSYINANPGEKGGVFSSTYNPSEEASEGRKFAALDYLLGCGVLAGNAFVYPHQIINLRTNNSATLVLPY -VNSLAIDCMAKHNNWGLVILPLCKLDYAPNSSTEIPITVTIAPMFTEFNGLRNITVPATQGLPTMLTPGS -SQFLTSDDFQSPCALPNFDVTPPIHIPGEVFNMMELAEIDSMIPMNSVTGKANTMEMYPIPLDDKGGKTP -IFSISLSPASDKRLQYTMLGEILNYYTHWTGSLRFTFLFCGSMMATGKILLSYSPPGAKPPTTRKDAMLG -THIIWDLGLQSSCTMLAPWISNTVYRRCIKDDFTEGGYITCFYQTRIVVPSGTPTSMFMLAFVSACPDFS -VRLLRDTNHISQRTLFARAQGIEETIDTVISNALQLSQPKPQKQLTAQSTPSTSGVNSQEVPALTAVETG -VSGQAIPSDVIETRHVVNYKTRSESTLESFFGRSACVTMLEVENFNATTEADKKKQFTTWAITYTDTVQL -RRKLEFFTYSRFDLEMTFVITERYYTSNTGYARNQVYQLMYIPPGAPRPTAWDDYTWQSSSNPSVFYTYG -SAPPRISIPYVGIANAYSHFYDGFARVPLKDETVDSGDTYYGLVTINDFGTLAVRVVNEFNPARIISKIR -VYMKPKHVRCWCPRPPRAVPYRGEGVDFKQDSITPLIAVENINTFGGFGHQNMAVYVAGYKICNYHLATP -EDHDNAVRVLWNRDLMIVSSRAQGSDTIARCNCRTGVYYCKSMKKYYPVTVTEPTFQYMEANDYYPARYQ -THMLLGMGFAEPGDCGGILRCNHGVMGIVTAGGNGIVAFADIRDLWVYEEEAMEQGITSYIESLGAAFGS -GFTNQIGEKVSELTSMVTSSITEKLLKTLIKIISTLVIISRNYEDTTTVLATLALLGCDYSPWQWIKKKA -CDVLELPYVMRQGDSWLKKFTEACNAAKGLEWISNKISKFIDWLKERIIPEAKDKVEFITKLKQLGILEN -QINTIHQSCPSQEQQEILFNNVRWLAIQSRRFAPLYAVEAKRISKLENTINNYIQFKSKHRIEPVCMLIH -GSPGTGKSIATSLIGRAIAEKENTSTYSLPPDPTHFDGYKQQGVVIMDDLNQNPDGNDMKLFCQMVSTVE -FIPPMASLEEKGILFTSDYVLASTNSHTITPPTVSHSDALNRRFAFDMEVYTMSEHSIKGKLNMATATQL -CKDCPQPANFKKCCPLVCGKALQLMDKNTRQRFTLDEITTLVINERNRRANIGNCMEALFQGPIQYRDVM -IDIKETPAPDYINDLLQSVDSQELRDYCEKKGWIARLNNDLVMERNLNRAMTILQAVTTFAAVAAVVYVM -YKLFAGHQGAYTGLPNKKPSVPTVRTAKVQGPGFDYAVAMAKRNILTATTSKGEFTMLGVHDNVAILPTH -AAPGDSIVIDGKEVEVLDAEALEDQSGTNLEITIVKLKRNEKFRDIRPHIPTQITETNDGVLIVNTSKYP -NMYVPVGAVTEQGYLNLGGRQTARTLMYNFPTRAGQCGGVITCTGKVIGMHVGGNGSHGFAAALKRSYFT -QSQGEIQWMRPSKEVGYPVINAPSKTKLEPSVFHHVFEGAKEPAVLTKNDPRLKTDFEEAIFSKYVGNKI -TEVDEYMKEAVDHYAGQLMSLDINTEQMCLEDAMYGTDGLEALDLSTSAGYPYVAMGKKKRDILNKQTRD -TKEMQRLLDTYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHKNPGVVTG -SAVGCDPDLFWSKIPVLMEEKLFAFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDYIDYLNHSHHLYKN -KTYCVKGGMPSGCSGTSIFNSMINNLIIRTLLLKTYKGIDLDHLKMIAYGDDVIASYPHEVDASLLAQSG -KDYGLTMTPADKSATFETVTWENVTFLKRFFRADEKYPFLVHPVMPMKEIHESIRWTKDPRNTQDHVRSL -CLLAWHNGEEEYNKFLAKVRSVPIGRALLLPEYSTLYRRWLDSF - ->sp|Q03053.3|POLG_CXB5P RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETGLRASGNSIIHYTNINYYKDAASNSANRQEFAQDPGKFTEPVKDIMIKSMPALNS -PSAEECGYSDRVRSITLGNSTITTQECANVVVGYGTWPTYLKDEEATAEDQPTQPDVATCRFYTLESVMW -QQSSPGWWWKFPDALSNMGLFGQNMQYHYLGRAGYTVHVQCNASKFHQGCLLVVCVPEAEMGCATLANKP -DQKSLSNGETANTFDSQNTTGQTAVQANVINAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYINSVPMD -NMFRHNNFTLMIIPFAPLSYSTGATTYVPITVTVAPMCAEYNGLRLAGKQGLPTMLTPGSNQFLTSDDFQ -SPSAMPQFDVTPEMAIPGQVNNLMEIAEVDSVVPVNNTEGKVSSIEAYQIPVQSNSTNGSQVFGFPLIPG -ASSVLNRTLLGEILNYYTHWSGSIKLTFMFCGSAMATGKFLLAYSPPGAGAPTTRKEAMLGTHVIWDVGL -QSSCVLCIPWISQTHYRYVVVDEYTAGGYITCWYQTNIVVPADTQSDCKILCFVSACNDFSVRMLKDTPF -IKQDSFYQGPPGEAVERAIARVADTISSGPVNSESIPALTAAETGHTSQVVPADTMQTRHVKNYHSRSES -TVENFLCRSACVYYTTYKNHGTDGDNFAYWVINTRQVAQLRRKLEMFTYARFDLELTFVITSTQEQSTIQ -GQDSPVLTHQIMYVPPGGPVPTKINSYSWQTSTNPSVFWTEGSAPPRISIPFISIGNAYSMFYDGWAKFD -KQGTYGINTLNNMGTLYMRHVNDGSPGPIVSTVRIYFKPKHVKTWVPRPPRLCQYQKAGNVNFEPTGVTE -SRTEITAMQTTGVLGQQTGAICIGNYRVVNRHLATSEDWQRCVWEDYNRDLLVSTTTAHGCDTIARCRCS -TGVYFCASRNKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGVIGLVTMGGE -GVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSILEKSLKALVKI -ISALVIVVRNHDDLVTITATLALIGCTSSPWRWLKQKVSQYYGIPMAERQNNNWLKKFTEMTNACKGMEW -IAVKIQKFIDWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKY -APLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPD -PDHFDGYKQQAVVIMDDLCQNPDGGDISLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAP -TVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVRTCDEECCPVNFKRCCPLVCGKAIQFIDRRTQVR -YSLDMLVTEMFREYNHRHSVGATLEALFQGPPIYREIKISVAPDTPPPPAIADLLKSVDSEAVREYCREK -GWLVPEINSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTLRQAKVQ -GPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVVDAKELVDKDGTNL -ELTLLKLSRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPTKRMLMYNF -PTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKEAGLPVINTPSKTKLEP -SVFHQVFEGNKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMLEAVDHYAGQLATLDISTEPMKL -EDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTKDLTKLKECMDKYGLNLPMVTYVKDELRSAEK -VAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDLFWSKIPVLLDGHLIAFDYSGY -DASLSPVWFACLKLLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIR -TLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTWTNVTFLKR -YFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIKKIRSVPVGRCLT -LPAFSTLRRKWLDSF - ->sp|P13900.3|POLG_SVDVU RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETSLSAAGNSVIHYTNINYYKDAASNSANRQDFTQDPGKFTEPVKDIMVKSMPALNS -PSAEECGYSDRVRSITLGNSTITTQECANVVVGYGVWPTYLKDEEATAEDQPTQPDVATCRFYTLESVMW -QQSSPGWWWKFPDALSNMGLFGQNMQYHYLGRAGYTIHVQCNASKFHQGCLLVVCVPEAEMGCATLANKP -DPKSLSKGEIANMFESQNSTGETAVQANVINAGMGVGVGNLTIFPHQWINLRTNNSATIVMPYINSVPMD -NMFRHNNFTLMVIPFAPLSYSTGATTYVPITVTVAPMCAEYNGLRLAGKQGLPTLSTPGSNQFLTSDDFQ -SPSAMPQFDVTPEMDIPGQVNNLMEIAEVDSVVPVNNTEGKVMSIEAYQIPVQSNPTNGSQVFGFPLTPG -ANSVLNRTLLGEILNYYAHWSGSIKLTFMFCGSAMATGKFLLAYSPPGAGAPTTRKEAMLGTHVIWDVGL -QSSCVLCIPWISQTHYRYVVMDEYTAGGYITCWYQTNIVVPADAQSDCKILCFVSACNDFSVRMLKDTPF -IKQDNFFQGPPGEVMGRAIARVADTIGSGPVNSESIPALTAAETGHTSQVVPSDTMQTRHVKNYHSRSES -TVENFLCRSACVFYTTYKNHDSDGDNFAYWVINTRQVAQLRRKLEMFTYARFDLELTFVITSTQEQPTVR -GQDAPVLTHQIMYVPPGGPVPTKVNSYSWQTSTNPSVFWTEGSAPPRMSIPFIGIGNAYSMFYDGWARFD -KQGTYGISTLNNMGTLYMRHVNDGGPGPIVSTVRIYFKPKHVKTWVPRPPRLCQYQKAGNVNFEPTGVTE -GRTDITTMKTTGAFGQQSGAVYVGNYRVVNRHLATRADWQNCVWEDYNRDLLVSTTTAHGCDTIARCDCT -AGVYFCASRNKHYPVTFEGPGLVEVQESEYYPKKYQSHVLLAAGFAEPGDCGGILRCQHGVIGIVTVGGE -GVVGFADVRDLLWLEDDAMEQGVRDYVEQLGNCFGSGFTNQICEQVTLLKESLIGQDSILEKSLKALVKI -VSALVIVVRNHDDLITVTATLALIGCTTSPWRWLKQKVSQYYGIPMAERQNSGWLKKFTEMTNACKGMEW -IAIKIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKY -APLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPD -PDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSVNAP -TVSDSRALVRRFHFDMNIEVVSMYSQNGKINMPMAVKTCDEECCPVNFKKCCPLVCGKAIQFIDRRTQVR -YSLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPPAVADLLKSVDSEAVREYCKEK -GWLIPEVDSTLQIEKHVNRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPRVPTLRQAKVQ -GPAFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQVVGVLDAKELVDKDGTNL -ELTLLKLNRNEKFRDIRGFLAREEVEVNEAVLAINTSKFPNMYIPVGRVTDYGFLNLGGTPTKRMLMYNF -PTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLRHYFNEEQGEIEFIESSKDAGFPVINTPSKTKLEP -SVFHHVFEGNKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMMEAVDHYAGQLATLDISTEPMKL -EDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTRDLTKLKECMDKYGLNLPMVTYVKDELRSADK -VAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDVFWSKIPVMLDGHLIAFDYSGY -DASLSPVWFTCLKLLLEKLGYTNKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIR -TLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTWTNVTFLKR -YFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIRKIRSVRVGRCLS -LPAFSTLRRKWLDSF - ->sp|P03302.3|POLG_POL3L RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSSQKVGAHENSNRAYGGSTINYTTINYYKDSASNAASKQDYSQDPSKFTEPLKDVLIKTAPALNS -PNVEACGYSDRVLQLTLGNSTITTQEAANSVVAYGRWPEFIRDDEANPVDQPTEPDVATCRFYTLDTVMW -GKESKGWWWKLPDALRDMGLFGQNMYYHYLGRSGYTVHVQCNASKFHQGALGVFAIPEYCLAGDSDKQRY -TSYANANPGERGGKFYSQFNKDNAVTSPKREFCPVDYLLGCGVLLGNAFVYPHQIINLRTNNSATIVLPY -VNALAIDSMVKHNNWGIAILPLSPLDFAQDSSVEIPITVTIAPMCSEFNGLRNVTAPKFQGLPVLNTPGS -NQYLTSDNHQSPCAIPEFDVTPPIDIPGEVKNMMELAEIDTMIPLNLESTKRNTMDMYRVTLSDSADLSQ -PILCLSLSPASDPRLSHTMLGEVLNYYTHWAGSLKFTFLFCGSMMATGKILVAYAPPGAQPPTSRKEAML -GTHVIWDLGLQSSCTMVVPWISNVTYRQTTQDSFTEGGYISMFYQTRIVVPLSTPKSMSMLGFVSACNDF -SVRLLRDTTHISQSALPQGIEDLISEVAQGALTLSLPKQQDSLPDTKASGPAHSKEVPALTAVETGATNP -LAPSDTVQTRHVVQRRSRSESTIESFFARGACVAIIEVDNEQPTTRAQKLFAMWRITYKDTVQLRRKLEF -FTYSRFDMEFTFVVTANFTNANNGHALNQVYQIMYIPPGAPTPKSWDDYTWQTSSNPSIFYTYGAAPARI -SVPYVGLANAYSHFYDGFAKVPLKTDANDQIGDSLYSAMTVDDFGVLAVRVVNDHNPTKVTSKVRIYMKP -KHVRVWCPRPPRAVPYYGPGVDYKNNLDPLSEKGLTTYGFGHQNKAVYTAGYKICNYHLATKEDLQNTVS -IMWNRDLLVVESKAQGTDSIARCNCNAGVYYCESRRKYYPVSFVGPTFQYMEANDYYPARYQSHMLIGHG -FASPGDCGGILRCQHGVIGIVTAGGEGLVAFSDIRDLYAYEEEAMEQGISNYIESLGAAFGSGFTQQIGD -KISELTSMVTSTITEKLLKNLIKIISSLVIITRNYEDTTTVLATLALLGCDVSPWQWLKKKACDTLEIPY -VIRQGDSWLKKFTEACNAAKGLEWVSNKISKFIDWLRERIIPQARDKLEFVTKLKQLEMLENQISTIHQS -CPSQEHQEILFNNVRWLSIQSKRFAPLYALEAKRIQKLEHTINNYIQFKSKHRIEPVCLLVHGSPGTGKS -VATNLIARAIAEKENTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGADMKLFCQMVSTVEFIPPMASL -EEKGILFTSNYVLASTNSSRITPPTVAHSDALARRFAFDMDIQVMGEYSRDGKLNMAMATETCKDCHQPA -NFKRCCPLVCGKAIQLMDKSSRVRYSVDQITTMIINERNRRSNIGNCMEALFQGPLQYKDLKIDIKTRPP -PECINDLLQAVDSQEVRDYCEKKGWIVNITSQVQTERNINRAMTILQAVTTFAAVAGVVYVMYKLFAGHQ -GAYTGLPNKRPNVPTIRAAKVQGPGFDYAVAMAKRNIVTATTSKGEFTMLGVHDNVAILPTHASPGESIV -IDGKEVEILDAKALEDQAGTNLEITIITLKRNEKFRDIRQHIPTQITETNDGVLIVNTSKYPNMYVPVGA -VTEQGYLNLGGRQTARILMYNFPTRAGQCGGVITCTGKVIGMHVGGNGSHGFAAALKRSYFTQSQGEIQW -MRPSKEAGYPIINAPTKTKLEPSAFHYVFEGVKEPAVLTKNDPRLKTDFEEAIFSKYVGNKITEVDEYMK -EAVDHYAGQLMSLDISTEQMCLEDAMYGTDGLEALDLSTSAGYPYVAMGKKKRDILNKQTRDTKEMQRLL -DAYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHRNPGVVTGSAVGCDPD -LFWSKIPVLMEEKLFAFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDYIDYLNHSHHLYKNKIYCVKGG -MPSGCSGTSIFNSMINNLIIRTLLLKTYKGIDLDHLKMIAYGDDVIASYPHEVDASLLAQSGKDYGLTMT -PADKSATFETVTWENVTFLKRFFRADEKYPFLIHPVMPMKEIHESIRWTKDPRNTQDHVRSLCLLAWHNG -EEEYNKFLAKIRSVPIGRALLLPEYSTLYRRWLDSF - ->sp|P06209.3|POLG_POL32 RecName: Full=Genome polyprotein; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSSQKVGAHENSNRAYGGSTINYTTINYYKDSASNAASKQDYSQDPSKFTEPLKDVLIKTAPALNS -PNVEACGYSDRVLQLTLGNSTITTQEAANSVVAYGRWPEFIRDDEANPVDQPTEPDVATSRFYTLDTVMW -GKESRGWWWKLPDALRDMGLFGQNMYYHYLGRSGYTVHVQCNASKFHQGSLGVFAIPEFCLAGDSDTQRY -TSYANANPGEKGGKFYAQFNKDTAVTSPKREFCPVDYLLGCGVLIGNAFVFPHQIINLRTNNSATLVLPY -VNALSIDSMVKHNNWGIAILPLSPLDFAQDSSVEIPITVTIAPMCSEFNGLRNVTAPKLQGLPVLNTPGS -NQYLTSDNHQSPCAIPEFDVTPPIDIPGEVKNVMELAEIDTMIPLNLENTKRNTMDMYRVRLSDSANLSG -PILCLSLSPAADPRLSHTMLGEVLNYYTHWAGSLKFTFLFCGSMMATGKLLVAYAPPGAQPPTSRKEAML -GTHVIWDLGLQSSCTMVVPWISNVTYRQTTQDSFTEGGYISMFYQTRIVVPLSTPKAMDMLGFVSACNDF -SVRLLRDTTHISQAAMPQGVDDLITEVAQNALALSLPKPQSNLPDTKASGPAHSKEVPTLTAVETGATNP -LVPSDTVQTRHVIQQRSRSESTIESFFARGACVAIIEVDNEQPATNVQKLFATWRITYKDTVQLRRKLEF -FTYSRFDMEFTFVVTANFTNSNNGHALNQVYQIMYIPPGAPTPKSWDDYTWQTSSNPSIFYTYGAAPARI -SVPYVGLANAYSHFYDGFAKVPLKSDANDQVGDSLYSAMAVDDFGVLAIRVVNDHNPTKVTSKVRVYMKP -KHVRVWCPRPPRAVPYYGPGVDYKDGLAPLSEKGLTTYGFGHQNKAVYTAGYKICNYHLATQEDLQNAVS -VMWNRDLLVTESKAQGIDSIARCNCSTGVYYCESRSRYYPVSFVGPTFQYMEANDYYPARYQSHMLIGHG -FASPGDCGGILRCQHGVIGIITAGGEGLVAFSDIRDLYAYEEEAMEQGISSYVESLGAAFGSGFTQQIGD -KIIELTGMVTSTITEKLLKNLIKIVSSLVIITRNYDDTTTVLATLALLGCDVSPWQWLKKKACDILEIPY -VMRQGDSWLKKFTEACNAAKGLEWVSNKISKFIDWLREKIIPQARDKLEFVTKLKQLEMLENQIATIHQS -CPSQEHQEILFNNVRWLSIQSKRFAPLYALEAKRIQKLEHTINNYIQFKSKHRIEPVCLLVHGSPGTGKS -VATNLIARAIAEKENTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGADMKLFCQMVSTVEFIPPMASL -EEKGILFTSNYVLASTNSSRITPPTVAHSDALARRFAFDMDIQVMSEYSRDGKLNMTMATEMCKNCHQPA -NFKRCCPLVCGKAIQLMDKSSRVRYSIDQITTMIVNEKNRRSNIGNCMEALFQGPLQYKDLKIDIKTTPP -PECINDLLQAVDSQEVRDYCEKKGWIVNITSQVQTERNINRAMTILQAVTTFAAVAGVVYVMYKLFAGHQ -GAYTGLPNKRPNVPTIRTAKVQGPGFDYAVAMAKRNILTATTSKGEFTMLGVHDNVAILPTHASPGETIV -IDGKEIEVLDAKALEDQAGTNLEITIVTLKRNEKFRDIRPHIPAQITETNDGVLIVNTSKYPNMYVPVGA -VTEQGYLNLGGRQTARTLMYNFPTRAGQCGGVITCTGKVIGMHVGGNGSHGFAAALKRSYFTQSQGEIQW -MRPSKEVGYPIINAPSKTKLEPSAFHYVFEGVKEPAVLTKNDPRFKTGFEEAIFSKYVGNKITEVDEYMK -EAVDHYAGQLMSLDINTEQMCLEDAMYGTDGLEALDLSTSAGYPYVTMGKKKRDILNKQTRDTKEMQRLL -DTYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHKNPGVVTGSAVGCDPD -LFWSKIPVLMEEKLFAFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDYIDYLNHSHHLYKNKTYCVKGG -MPSGCSGTSIFNSMINNLIIRTLLLKTYKGIDLDHLKMIAYGDDVIASYPHEVDASLLAQSGKDYGLTMT -PADKSATFETVTWENVTFLKRFFRADERYPFLIHPVMPMKEIHESIRWTKDPRNTQDHVRSLCLLAWHNG -EDEYNKFLAMIRSVPIGRALLLPEYSTLYRRWLDSF - ->sp|P23069.3|POLG_POL2W RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSSQKVGAHENSNRAYGGSTINYTTINYYRDSASNAASKQDFAQDPSKFTEPIKDVLIKTAPTLNS -PNIEACGYSDRVMQLTLGNSTITTQEAANSVVAYGRWPEYIKDSEANPVDQPTEPDVAACRFYTLDTVTW -RKESRGWWWKLPDALKDMGLFGQNMFYHYLGRASYTVHVQCNASKFHQGALGVFAVPEMCLAGDSATHML -TKYENANPGEKGGEFKGSFTLDTNATNPARNFCPVDYLFGSGVLAGNAFVYPHQIINLRTNNCATLVLPY -VNSLSIDSMTKHNNWGIAILPLAPLDFATESSTEIPITLTIAPMCCEFNGLRNITVPRTQGLPVLNTPGS -NQYLTADNYQSPCAIPEFDVTPPIDIPGEVRNMMELAEIDTMIPLNLTSQRKNTMDMYRVELNDAAHSDT -PILCLSLSPASDPRLAHTMLGEILNYYTHWAGSLKFTFLFCGSMMATGKLLVSYAPPGAKAPESRKEAML -GTHVIWDIGLQSSCTMVVPWISNTTYRQTINDSFTEGGYISMFYQTRVVVPLSTPRKMDILGFVSACNDF -SVRLLRDTTHISQEVMPQGLGDLIEGVVEGVTRNALTPLTPVNNLPDTRSSGPAHSKETPALTAVETGAT -NPLVPSDTVQTRHVIQKRTRSESTVESFFARGACVAIIEVDNDAPTRRASKLFSVWKITYKDTVQLRRKL -EFFTYSRFDMEFTFVVTSNYTDANNGHALNQVYQIMYIPPGAPIPGKRNDYTWQTSSNPSVFYTYGAPPA -RISVPYVGIANAYSHFYDGFAKVPLAGQASTEGDSLYGAASLNDFGSLAVRVVNDHNPTKLTSKIRVYMK -PKHVRVWCPRPPRAVPYYGPGVDYKDGLTPLPEKGLITYGFGHQNKAVYTAGYKICNYHLATQEDLQNAI -NIMWIRDLLVVESKAQGIDSIARCNCHTGVYYCESRRKYYPVSFVGPTFQYMEANEYYPARYQSHMLIGH -GFASPGDCGGILRCQHGVIGIITAGGEGLVAFSDIRDLYAYEVEAMEQGVSNYIESLGAAFGSGFTQQIG -NKISELTSMVTSTITEKLLKNLIKIISSLVIITRNYEDTTTVLATLALLGCDASPWQWLKKKACDILEIP -YIMRQGDSWLKKFTEACNAAKGLEWVSNKISKFIDWLKEKIIPQARDKLEFVTKLKQLEMLENQIATIHQ -SCPSQEHQEILFNNVRWLSIQSRRFAPLYAVEAKRIQKLEHTINNYVQFKSKHRIEPVCLLVHGSPGTGK -SVATNLIARAIAEKENTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGADMKLFCQMVSTVEFIPPMAS -LEEKGILFTSNYVLASTNSSRITPPTVAHSDALARRFAFDMDIQIMSEYSRDGKLNMAMATEMCKNCHQP -ANFKRCCPLVCGKAIQLMDKSSRVRYSIDQITTMIINERNRRSSIGNCMEALFQSPLQYKDLKIDIKTTP -PPECINDLLHAVDSQEVRDYCEKKGWIADITSQVQTERNINRAMTILQAVTTFAAVAGVVYVMYKLFAGH -QGAYTGLPNKRPNVPTIRTAKVQGPGFDYAVAMAKRNILTATTIKGEFTMLGVHDNVAILPTHASPGETI -VIDGKEVEVLDAKALEDQAGTNLEITIVTLKRNEKFRDIRPHIPTQITETNDGVLIVNTSKYPNMYVPVG -AVTEQGYLNLGGRQTARTLMYNFPTRAGQCGGVITCTGKVIGMHVGGNGSHGFAAALKRSYFTQSQGEIQ -WMRPSKEVGYPVINAPSKTKLEPSAFHYVFEGVKEPAVLTKSDPRLKTDFEEAIFSKYVGNKITEVDEYM -KEAVDHYAGQLMSLDINTEQMCLEDAMYGTDGLEALDLSTSAGYPYVAMGKKKRDILNKQTRDTKEMQRL -LDTYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHKNPGVVTGSAVGCDP -DLFWSKIPVLMEEKLFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDYIDYLNHSHHLYKNKTYCVKGGM -PSGCSGTSIFNSMINNLIIRTLLLKTYKGIDLDHLKMIAYGDDVIASYPHEVDASLLAQSGKDYGLTMTP -ADKSATFETVTWENVTFLKRFFRADEKYPFLVHPVMPMKEIHESIRWTKDPRNTQDHVRSLCLLAWHSGE -EEYNKFLAKIRSVPIGRALLLPEYSTLYRRWLDSF - ->sp|P06210.3|POLG_POL2L RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSSQKVGAHENSNRAYGGSTINYTTINYYRDSASNAASKQDFAQDPSKFTEPIKDVLIKTAPTLNS -PNIEACGYSDRVMQLTLGNSTITTQEAANSVVAYGRWPEYIKDSEANPVDQPTEPDVAACRFYTLDTVTW -RKESRGWWWKLPDALKDMGLFGQNMFYHYLGRAGYTVHVQCNASKFHQGALGVFAVPEMCLAGDSTTHMF -TKYENANPGEKGGEFKGSFTLDTNATNPARNFCPVDYLFGSGVLAGNAFVYPHQIINLRTNNCATLVLPY -VNSLSIDSMTKHNNWGIAILPLAPLDFATESSTEIPITLTIAPMCCEFNGLRNITVPRTQGLPVLNTPGS -NQYLTADNYQSPCAIPEFDVTPPIDIPGEVRNMMELAEIDTMIPLNLTNQRKNTMDMYRVELNDAAHSDT -PILCLSLSPASDPRLAHTMLGEILNYYTHWAGSLKFTFLFCGSMMATGKLLVSYAPPGAEAPKSRKEAML -GTHVIWDIGLQSSCTMVVPWISNTTYRQTINDSFTEGGYISMFYQTRVVVPLSTPRKMDILGFVSACNDF -SVRLLRDTTHISQEAMPQGLGDLIEGVVEGVTRNALTPLTPANNLPDTQSSGPAHSKETPALTAVETGAT -NPLVPSDTVQTRHVIQKRTRSESTVESFFARGACVAIIEVDNDAPTKRASKLFSVWKITYKDTVQLRRKL -EFFTYSRFDMEFTFVVTSNYTDANNGHALNQVYQIMYIPPGAPIPGKWNDYTWQTSSNPSVFYTYGAPPA -RISVPYVGIANAYSHFYDGFAKVPLAGQASTEGDSLYGAASLNDFGSLAVRVVNDHNPTKLTSKIRVYMK -PKHVRVWCPRPPRAVPYYGPGVDYKDGLAPLPGKGLTTYGFGHQNKAVYTAGYKICNYHLATQEDLQNAV -NIMWIRDLLVVESKAQGIDSIARCNCHTGVYYCESRRKYYPVSFTGPTFQYMEANEYYPARYQSHMLIGH -GFASPGDCGGILRCQHGVIGIITAGGEGLVAFSDIRDLYAYEEEAMEQGVSNYIESLGAAFGSGFTQQIG -NKISELTSMVTSTITEKLLKNLIKIISSLVIITRNYEDTTTVLATLALLGCDASPWQWLKKKACDILEIP -YIMRQGDSWLKKFTEACNAAKGLEWVSNKISKFIDWLKEKIIPQARDKLEFVTKLKQLEMLENQIATIHQ -SCPSQEHQEILFNNVRWLSIQSKRFAPLYAVEAKRIQKLEHTINNYVQFKSKHRIEPVCLLVHGSPGTGK -SVATNLIARAIAEKENTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGADMKLFCQMVSTVEFIPPMAS -LEEKGILFTSNYVLASTNSSRITPPTVAHSDALARRFAFDMDIQIMSEYSRDGKLNMAMATEMCKNCHHP -ANFKRCCPLVCGKAIQLMDKSSRVRYSIDQITTMIINERNRRSSIGNCMEALFQGPLQYKDLKIDIKTTP -PPECINDLLQAVDSQEVRDYCEKKGWIVDITSQVQTERNINRAMTILQAVTTFAAVAGVVYVMYKLFAGH -QGAYTGLPNKRPNVPTIRTAKVQGPGFDYAVAMAKRNILTATTIKGEFTMLGVHDNVAILPTHASPGETI -VIDGKEVEVLDAKALEDQAGTNLEITIVTLKRNEKFRDIRPHIPTQITETNDGVLIVNTSKYPNMYVPVG -AVTEQGYLNLSGRQTARTLMYNFPTRAGQCGGVITCTGKVIGMHVGGNGSHGFAAALKRSYFTQSQGEIQ -WMRPSKEVGYPVINAPSKTKLEPSAFHYVFEGVKEPAVLTKSDPRLKTDFEEAIFSKYVGNKITEVDEYM -KEAVDHYAGQLMSLDINTEQMCLEDAMYGTDGLEALDLSTSAGYPYVAMGKKKRDILNKQTRDTKEMQRL -LDTYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHKNPGVVTGSAVGCDP -DLFWSKIPVLMEEKLFAFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDYIDYLNHSHHLYKNKTYCVKG -GMPSGCSGTSIFNSMINNLIIRTLLLKTYKGIDLDHLKMIAYGDDVIASYPHEVDASLLAQSGKDYGLTM -TPADKSATFETVTWENVTFLKRFFRADEKYPFLVHPVMPMKEIHESIRWTKDPRNTQDHVRSLCLLAWHN -GEEEYNKFLAKIRSVPIGRALLLPEYSTLYRRWLDSF - ->sp|P08292.4|POLG_CXB4J RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETSLSASGNSIIHYTNINYYKDAASNSANRQDFTQDPSKFTEPVKDVMIKSLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVGYGVWPDYLSDEEATAEDQPTQPDVATCRFYTLNSVKW -EMQSAGWWWKFPDALSEMGLFGQNMQYHYLGRSGYTIHVQCNASKFHQGCLLVVCVPEAEMGCTNAENAP -AYGDLCGGETAKSFEQNAATGKTAVQTAVCNAGMGVGVGNLTIYPHQWINLRTNNSATIVMPYINSVPMD -NMFRHNNFTLMIIPFAPLDYVTGASSYIPITVTVAPMSAEYNGLRLAGHQGLPTMLTPGSTQFLTSDDFQ -SPSAMPQFDVTPEMNIPGQVRNLMEIAEVDSVVPINNLKANLMTMEAYRVQVRSTDEMGGQIFGFPLQPG -ASSVLQRTLLGEILNYYTHWSGSLKLTFVFCGSAMATGKFLLAYSPPGAGAPDSRKNAMLGTHVIWDVGL -QSSCVLCVPWISQTHYRYVVDDKYTASGFISCWYQTNVIVPAEAQKSCYIMCFVSACNDFSVRMLRDTQF -IKQTNFYQGPTEESVERAMGRVADTIARGPSNSEQIPALTAVETGHTSQVDPSDTMQTRHVHNYHSRSES -SIENFLCRSACVIYIKYSSAESNNLKRYAEWVINTRQVAQLRRKMEMFTYIRCDMELTFVITSHQEMSTA -TNSDVPVQTHQIMYVPPGGPVPTSVNDYVWQTSTNPSIFWTEGNAPPRMSIPFMSIGNAYTMFYDGWSNF -SRDGIYGYNSLNNMGTIYARHVNDSSPGGLTSTIRIYFKPKHVKAYVPRPPRLCQYKKAKSVNFDVEAVT -AERASLITTGPYGHQSGAVYVGNYKVVNRHLATHVDWQNCVWEDYNRDLLVSTTTAHGCDTIARCQCTTG -VYFCASKSKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLATGFSEPGDCGGILRCEHGVIGLVTMGGEGV -VGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLVGQDSILEKSLKALVKIIS -ALVIVVRNHDDLITVTATLALIGCTSSPWRWLKHKVSQYYGIPMAERQNNGWLKKFTEMTNACKGMEWIA -VKIQKFIEWLKVKILPEVKEKHEFLSRLKQLPLLESQIATIEQSAPSQSDQEQLFSNVQYFAHYCRKYAP -LYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYSLPPDPD -HFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGSINAPTV -SDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKRCCPLVCGKAIQFIDRKTQVRYS -LDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVTPETPPPPVIADLLKSVDRQAIREYCKEKGW -LVPEIDSILQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGMPNQKPKVPTLRQAKVQGP -AFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVLDAKELIDRDGTNLEL -TLLKLNRNEKFRDIRGFLAKEEVEVNEAVLAINTSKFPNMYIPVGRVTDYGFLNLGGTPTKRMLMYNFPT -RAGQCGGVLMSTGKVLGIHVGGNGHQGFSAGLLKHYFNDEQGEIEFIESSKDAGFPVINTPSRTKLEPSV -FHHVFEGNKEPAVLRNGDPRLKVNFEEAIFFKYIGNVNTHVDEYMLEAVDHYAGQLATLDINTEPMKLED -AVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTKDLTKLKECMDKYGLNLPMVTYVKDELRSAEKVA -KGKSRLIEASSLNDSVAMRQTFGNLYKAFHLNPGIVTGSAVGCDPDVFWSKIPVMLDGHLIAFDYSGYDA -SLSPVWFACLKLLLEKLGYTHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINNIIIRTL -MLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKGECFNEVTWTNVTFLKRYF -RADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYEEFIQKIRSVPVGRCLTLP -AFSTLRRKWLDSF - ->sp|P21404.4|POLG_CXA9 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHETSLSAAGNSIIHYTNINYYKDAASNSANRQDFTQDPSKFTEPVKDVMIKSLPALNS -PTVEECGYSDRVRSITLGNSTITTQECANVVVGYGRWPTYLRDDEATAEDQPTQPDVATCRFYTLDSIKW -EKGSVGWWWKFPEALSDMGLFGQNMQYHYLGRAGYTIHLQCNASKFHQGCLLVVCVPEAEMGGAVVGQAF -SATAMANGDKAYEFTSATQSDQTKVQTAIHNAGMGVGVGNLTIYPHQWINLRTNNSATIVMPYINSVPMD -NMFRHYNFTLMVIPFVKLDYADTASTYVPITVTVAPMCAEYNGLRLAQAQGLPTMNTPGSTQFLTSDDFQ -SPCALPQFDVTPSMNIPGEVKNLMEIAEVDSVVPVNNVQDTTDQMEMFRIPVTINAPLQQQVFGLRLQPG -LDSVFKHTLLGEILNYYAHWSGSMKLTFVFCGSAMATGKFLIAYSPPGANPPKTRKDAMLGTHIIWDIGL -QSSCVLCVPWISQTHYRLVQQDEYTSAGYVTCWYQTGMIVPPGTPNSSSIMCFASACNDFSVRMLRDTPF -ISQDNKLQGDVEEAIERARCTVADTMRTGPSNSASVPALTAVETGHTSQVTPSDTMQTRHVKNYHSRSES -TVENFLGRSACVYMEEYKTTDKHVNKKFVAWPINTKQMVQMRRKLEMFTYLRFDMEVTFVITSRQDPGTT -LAQDMPVLTRQIMYVPPGGPIPAKVDDYAWQTSTNPSIFWTEGNAPARMSIPFISIGNAYSNFYDGWSNF -DQRGSYGYNTLNNLGHIYVRHVSGSSPHPITSTIRVYFKPKHTRAWVPRPPRLCQYKKAFSVDFTPTPIT -DTRKDINTVTTVAQSRRRGDMSTLNTHGAFGQQSGAVYVGNYRVINRHLATHTDWQNCVWEDYNRDLLVS -TTTAHGCDVIARCQCTTGVYFCASKNKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGI -LRCEHGVIGIVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLV -GQDSILEKSLKALVKIISALVIVVRNHDDLITVTAILALIGCTSSPWRWLKQKVSQYYGIPMAERQNDSW -LKKFTEMTNACKRMEWIAIKIQKFIEWLKVKILPEVREKHEFLNRLKQLPLLESQIATIEQSAPSQSDQE -QLFSNVQYFAHYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGR -SLAEKLNSSVYSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFT -SPFVLASTNAGSINAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPL -VCGKAIQFIDRRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPIYREIKISVAPETPPPPVIADL -LKSVDSEDVREYCKEKGWLIPEVNSTLQIEKYVSRAFICLQAITTFVSVAGIIYIIYKLFAGFQGAYTGI -PNQKPKVPTLRQAKVQGPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEV -GVMDAKELVDKDGTNLELTLLKLNRNEKFRDIRGFLAKEEMEVNEAVLAINTSKFPNMYIPVGQVTDYGF -LNLGGTPTKRMLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFIESSKD -AGFPIINTPSKTKLEPSVFHQVFEGVKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMLEAVDHY -AGQLATLDISTEPMKLEDAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTRDLTKLKECMDKYGLN -LPMITYVKDQLRSAEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDLFWSKI -PVMLNGHLIAFDYSGYDASLSPVWFACLKLLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGC -SGTSIFNSMINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKDYGLIMTPADKG -ECFNEVTWTNVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEHEYE -EFIRKIRSVPVGRCLTLPAFSTLRRKWLDSF - ->sp|P22055.3|POLG_CXA21 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSTQKTGAHENQNVAANGSTINYTTINYYKDSASNSATRQDLSQDPSKFTEPVKDLMLKTAPALNS -PNVEACGYSDRVRQITLGNSTITTQEAANAIVAYGEWPTYINDSEANPVDAPTEPDVSSNRFYTLESVSW -KTTSRGWWWKLPDCLKDMGMFGQNMYYHYLGRSGYTIHVQCNASKFHQGALGVFLIPEFVMACNTESKTS -YVSYINANPGERGGEFTNTYNPSNTDVSEGRQFAALDYLLGSGVLAGNAFVYPHQIINLRTNNSATIVVP -YVNSLVIDCMAKHNNWGIVILPLAPLAFAATSSPQVPITVTIAPMCTEFNGLRNITIPVHQGLPTMNTPG -SNQFLTSDDFQSPCALPNFDVTPPIHIPGEVKNMMELAEIDTLIPMNAVDGKVNTMEMYQIPLNDNLSKA -PIFCLSLSPASDKRLSHTMLGEILNYYTHWTGSIRFTFLFCGSMMATGKLLLSYSPPGAKPPTNRKDAML -GTHIIWDLGLQSSCSMVAPWISNTVYRRCARDDFTEGGFITCFYQTRIVVPASTPTSMFMLGFVSACPDF -SVRLLRDTSHISQSKLIARTQGIEDLIDTAIKNALRVSQPLRPSQLKQPNGVNSQEVPALTAVETGASGQ -AIPSDVVETRHVINYKTRSESCLESFFGRAACVTILSLTNSSKSGEEKKHFNIWNITYTDTVQLRRKLEF -FTYSRFDLEMTFVFTENYPSTASGEVRNQCDQIMYIPPGAPRPSSWDDYTWQSSSNPSIFYMYGNAPPRM -SIPYVGIANAYSHFYDGFARVPLEGENTDAGDTFYGLVSINDFGVLAVRAVNRSNPHTIHTSVRVYMKPK -HIRCWCPRPPRAVLYRGEGVDMISSAIQPLTKVDSITTFGFGHQNKAVYVAGYKICNYHLATPSDHLNAI -SVLWDRDLMVVESRAQGTDTIARCSCRCGVYYCESRRKYYLVTFTGPTFRFMEANDYYPARYQSHMLIGC -GFAEPGDCGGILRCTHGVIGIITAGGEGIVAFADIRDLWVYEEEAMEQGITSYIESLGTAFGAGFTHTIS -EKVTELTTMVTSTITEKLLKNLVKIVSALVIVVRNYEDTTTILATLALLGCDISPWQWLKKKACDLLEIP -HVMRQGDGWMKKFTEACNAAKGLRWVSNKISKFVDWLKCKIIPEAKDKVEFLTKLKQLDMLENQIATIHQ -SCPSQEQQEILFNNVRWLAVQSRRFAPLYAVEARRISKMESTINNYIQFKSKHRIEPVCMLVHGSPGTGK -GIASSLIGRAIAERETTSVYSVPLAPSHFDGYKQQGYDMDDLNQNPDGMDMKLFCQMVSTVEFIPPMASL -EEKGILFTSDYVLASTNSHSIAPPTVAHSDALTRRFAFDVEVYTMSEHSVKGKLNMATATQLCKDCPTPA -NFKKCCPLVCGKALQLMDRYTRQRFTVDEITTLIMNEKNRRANIGNCMEALFQGPLRYKDLKIDVKTVPP -PECISDLLQAVDSQEVRDYCEKKGWIVNVTSQIQLERNINRAMTILQAVTTFAAVAGVVYVMYKLFAGQQ -GAYTGLPNKKPNVPTIRIAKVQGPGFDYAVAMAKRNIVTATTTKGEFTMLGVHDNVAILPTHAAPGETII -VDGKEVEILDARALEDQAGTNLEITIITLKRNEKFRDIRPHIPTQITETNDGVLIVNTSKYPNMYVPVGA -VTEQGYLNLSGRQTARTLMYNFPTRAGQCGGIITCTGKVIGMHVGGNGSHGFAAALKRSYFTQNQGEIQW -MRSSKEVGYPIINAPSKTKLEPSAFHYVFEGVKEPAVLTKNDPRLKTDFEEAIFSKYVGNKITEVDEYMK -EAVDHYAGQLMSLDINTEQMCLEDAMYGTDGLEALDLSTSAGYPYVAMGKKKRDILDKQTRDTKEMQRLL -DTYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHKNPGVVTGSAVGCDPD -LFWSKIPVLMEEKLFAFDYTGYDASLSPAWFEALKMVLEKIGFGNRVDYIDYLNHSHHLYKNKTYCVKGG -MPSGCSGTSIFNSMINNLIIRTLLLRTYKGIDLDHLKMIAYGDDVIASYPHEVDASLLAQSGKDYGLTMT -PADKSATFETVTWENVTFLKRFFRADEKYPFLVHPVMPMKEIHESIRWTKDPRNTQDHVRSLCLLAWHNG -EEEYNKFLAKIRSVPIGRALLLPEYSTLYRRWLDSF - ->sp|Q66790.3|POLG_EC16H RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1 -MGAQVSTQKTGAHETLLEAAQGATINYTNINYYKDAASNSANRQDFSQDPSKFTEPVKDIMIKSMPALNS -PSAEECGYSDRVRSITLGNSTITTQESANVVVAYGRWPKYLEDDQATAEDQPTQPDVATCRFYTLESVQW -EANSAGWWWKFPEALKDMGLFGQNMYYHYLGRAGYTIHVQCNASKFHQGCLLVVCVPEAEMGCAKPDENV -DATNLTNGENTCELTAGAAPAEKGKVQTAVCNATMGVAVGNLTIFPHQWINLRTNNCATIVMPYINSVPM -DNMFRHYNFTLMVIPFVPLTSMGGSTYVPITVTIAPMCAEYNGLRLSTQHQGLPVMNVPGSNQFLTSDNF -QSPCAMPEYDVTPPLDIPGEVNNLMEVAEVDSVVPVNNLSDNVKTIKAYQIPVSAGDSSRPEAVFKFQLD -PGSGSVLKHTLLGEIINYYAHWSGSIKLTFVFCGSAMATGKLLIAYSPPGASAPATRKDAMLGTHIIWDL -GLQSSCVLCVPWISQTHYRMVERDEYTTAGYISCWYQTNIIVPPDTPSQCYMLCLASACNDFSVRMLKDT -PFIQQEAKLQGEPGKAIESAISRVADTISSGPTNSEQVPALTAAETGHTSQVVPGDTIQTRHVKNYHSRS -ESTIENFLCRSACVHIARYEAGANASNEDRFVRWEINNKELVQLRRKCEMFTYLRYDVEVTFVITSQQDQ -GTDLSQDMPVLTHQVMYVPPGGSVTKQGDSYAWQTSTNPSVFWTEGNAPPRMSIPFISIGNAYSSFYDGW -SHFSQKGVYGYNTLNKMGTLFVRHVNKETPKPVTSTVRVYFKPKHIRAWIPRPPRLCPYKYKANVNFDVT -AITDSRLTITTVPQVEHNLRTA - ->BAG82822.1 polyprotein [Enterovirus A71] -MGSVSKGEELFTGVVPILVELDGDVNGHKFSVSGEGEGDATYGKLTLKFICTTGKLPVPWPTLVTTLTYG -VQCFSRYPDHMKQHDFFKSAMPEGYVQERTIFFKDDGNYKTRAEVKFEGDTLVNRIELKGIDFKEDGNIL -GHKLEYNYNSHNVYIMADKQKNGIKVNFKIRHNIEDGSVQLADHYQQNTPIGDGPVLLPDNHYLSTQSAL -SKDPNEKRDHMVLLEFVTAAGITLGMDELYKAITTLGSQVSTQRSGSHENSNSATEGSTINYTTINYYKD -SYAATAGKQSLKQDPDKFANPVKDIFTEMAAPLKSPSAEACGYSDRVAQLTIGNSTITTQEAANIIVGYG -EWPSYCSDDDATAVDKPTRPDVSVNRFYTLDTKLWEKSSKGWYWKFPDVLTETGVFGQNAQFHYLYRSGF -CIHVQCNASKFHQGALLVAILPEYVIGTVAGGTGTEDSHPPYKQTQPGADGFELQHPYVLDAGIPISQLT -VCPHQWINLRTNNCATIIVPYMNTLPFDSALNHCNFGLLVVPISPLDFDQGATPVIPITITLAPMCSEFA -GLRQAVTQGFPTEPKPGTNQFLTTDDGVSAPILPNFHPTPCIHIPGEVRNLLELCQVETILEVNNVPTNA -TSLMERLRFPVSAQAGKGELCAVFRADPGRDGPWQSTMLGQLCGYYTQWSGSLEVTFMFTGSFMATGKML -IAYTPPGGPLPKDRATAMLGTHVIWDFGLQSSVTLVIPWISNTHYRAHARDGVFDYYTTGLVSIWYQTNY -VVPIGAPNTAYIIALAAAQKNFTMKLCKDTSHILQTASIQGDRVADVIESSIGDSVSRALTQALPAPTGQ -NTQVSSHRLDTGEVPALQAAEIGASSNTSDESMIETRCVLNSHSTAETTLDSFFSRAGLVGEIDLPLEGT -TNPNGYANWDIDITGYAQMRRKVELFTYMRFDAEFTFVACTPTGGVVPQLLQYMFVPPGAPKPESRESLA -WQTATNPSVFVKLTDPPAQVSVPFMSPASAYQWFYDGYPTFGEHKQEKDLEYGACPNNMMGTFSVRTVGS -LKSKYPLVVRIYMRMKHVRAWIPRPMRNQNYLFKANPNYAGNSIKPTGTSRTAITTLGKFGQQSGAIYVG -NFRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQGCDTIARCDCQTGVYYCNSKRKHYPVSFSKPSLIY -VEASEYYPARYQSHLMLAAGHSEPGDCGGILRCQHGVVGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVS -DYIKGLGDAFGTGFTDAVSREVEALKNHLIGSEGAVEKILKNLIKLISALVIVIRSDYDMVTLTATLALI -GCHGSPWAWIKAKTASILGIPIAQKQSASWLKKFNDMANAAKGLEWISSKISKFIDWLKEKIIPAAREKV -EFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGNVSYLAHFCRKFQPLYAAEAKRVYALEKRMNNYMQF -KSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADKYHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDG -KDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVIASTNSSNIIVPTVSDSDAIRRRFYMDCDIEVTDSY -KTDLGRLDAGRAAKLCSENNTANFKRCSPLVCGKAIQLRDRKSKVRYSVDTVVSELIREYNNRSAIGNTI -EALFQGPPKFRPIRISLEEKPAPDAISDLLASVDSEEVRQYCRDQGWIIPETPTNVERHLNRAVLVXQSI -ATVVAVVSLVYVIYKLFAGFQGAYSGAPKQILKKPVLRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTM -LGVRDRLAVLPRHSQPGKTIWVEHKLVNILDAVELVDEQGVNLELTLITLDTNEKFRDITKFIPESISAA -SDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPTHRTMMYNFPTKAGQCGGVVTSVGKVIGIHIGGNGR -QGFCAGLKRSYFASEQGEIQWVKPNKETGRLNINGPTRTKLEPSVFHDVFEGNKEPAVLHSKDPRLEVDF -EQALFSKYVGNTLYEPDEYIKEAALHYANQLKQLDIDTSQMSMEEACYGTENLEAIDLHTSAGYPYSALG -IKKRDILDSTTRDVSKMKFYMDKYGLDLPYSTYVKDELRSIDKIKKGKSRLIEASSLNDSVYLRMTFGHL -YETFHANPGTVTGSAVGCNPDTFWSKLPILLPGSLFAFDYSGYDASLSPVWFRALELVLREIGYSEEAVS -LVEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNSMINNIIIRALLIKTFKGIDLDELNMVAYGDDVLAS -YPFPIDCLELARTGKEYGLTMTPADKSPCFNEVNWDNATFLKRGFLPDEQFPFLIHPTMPMKEIHESIRW -TKDARNTQDHVRSLCLLAWHNGKQEYEKFVSAIRSVPVGKALAIPNYENLRRNWLELF ->AHY21610.1 polyprotein [Enterovirus sp.] -MGAQVSRQESGNHQATSIASDGSTIHYTNINYYKDSYAAAASKQDFSQDPSKFTQPVVDVLKEGAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANVVVAYGEWPDYLKPDEATSVDKPTQPDVSVNRFYTLESKTW -ETSSTGWYWKLPDALCEQGVFGQNAVFHFLYRGGWAIHVQANASKFHQGTLLVLAIPEYVNAVKNDATSP -SSTPPPKYPTFGEVQPGPGGAILSDPYVLGNGTSLSSALIYPHQWINLRTNNCATLILPYVNAIPADSAI -RHSNWTLMVVPVAPLAFQAGATSFVPITVTLAPMCCEFSGLRGAIFQGLPVMNVPGSNQFLTTDRFSAPP -MLPDFDETPNMHIPGEVKNMVEIMQVESIIEVNNVKSVSGIERLRIPISVQTSPGALACAFNVDPGRDGP -WESTLLGSLVRYYTNWSGSIEITFMFTGSFMATGKLLLAYTPPGGARPTNRMQAMLGTHVVWDFGLQSSV -TLVIPWISNSHYRSHTSARYVDYYAAGQVTVWYQTNMVVPLGAPTSAYIIALGAAQPNFSVRLMRDSPDI -SQTAILQGDPVGEQLETAIHTTVANTLESTHNIATGVTPALQAAETGATSNASDENMIETRTVFNKHKPS -ESSVENFYSRSCLVGMVEVLTSGQTANGFTVWNIDVMGFVQERRKLELFTYMRFAAEFTFVATVEQYATN -TSYFPIQLQYMYIPNGAPQPTTRDSYEWQSSTNPSIYVKLGDPPARVSLPFMSTANAYSWFYDGFPTFGV -HTIAGNGDYDYNNLPSNMLGSFAVRVLGNMSSVAKIRIRCYMKAKHVRAWCPRAPRTQLYVRKNYPTYPT -EIRSIATDRADITTTGVFGQQSGAVYVGNYRIVNRHLANEFDAANTVWESYERDLLVSTTTAHGCDTIAR -CSCTTGVYFCRSKGKHYPVSFQGPGLVEVQANEYYPHRYQSHVLLAHGFSEPGDCGGILRCQHGVIGLVT -MGGDGLVGFADLRDLLWLEDDAMEQGVKDYVEQLGNAFGAGFTTQINEQITHLKECLIGQDSILEKCLKA -LIKIVSALVIIVRNHEDLVTVTATLALIGCSSSPWAWLKQKVAQLFGIPLAQRQADSWLKKFTEMTNAAK -GLEWIAQKIQKFIEWLKVKILPEVREKHEFLTRLRQLPLLESQIATLEQSAPSQSDQEQLFSNVQYFAHY -CRKYAPLYAAEAKRVFQLEKRVNNYVQFKSKCRIEPVCLLLHGTPGAGKSIATNLIGRAIAEKLNSSIYS -LPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGS -IHAPTISDSRALARRFHFDMNIEILDLYQQNGKLNMPLAVKPCDDECVPANFKRCCPLVCGKAIQFVDRR -TQVRYTLDMLVSEMFREYNHRHNVGNVLEALFQGPPVYKEIKISVAPETPPPPAIADLLKSVDSEELREY -CKEKGWIIPEQASSLITEKHINRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYSGMPQPKPKVPTIRQ -AKVQGPNFEFAVSMMKRNASTVKTGNGEFTMLGVYDRWAVLPRHAKPGETIIMDDAEVKILDAKELTDQQ -GVNLELTLVKLDRNEKFRDIRGFIPKEESEIGDAILAMNTSKFPNMFIPVGQVTEYGFLNLSGVPTHRML -MYNFPTRAGQCGGILMATGKVLGIHVGGNGHQGFAAALLRHYFNDEQGEIEWMETNKESKYPIINAPSKT -KLEPSVFHDIFEGVKEPAVLNKKDPRLKVDFEKAIFSKYVGNVNTHMDEYMKEAVDHYAGQLLTLDIDTK -PLLLEEAVYGTDGLEALDLTTSAGYPYVTMGVKKRDIISKQTRDLTKLKECLDKYGLNLPMVTYVKDELR -SKEKVEKGKSRLIEASSLNDSVAMRQTFGNLYRTFHKNPGVVTGSAVGCDPDLFWSKIPVMLDGELFAFD -YTGYDASLSPVWFLALKVLLEKLGYDYQETKYIDFLCNSYHLYKDKHYFVRGGMPSGCSGTSIFNSMINN -IIIRTLLLKVYKNIDLDKFRMIAYGDDVIASYPYPIDAGLLAKAGKEYGLTMTPADKSAEFNNVTWENVT -FLKRFFRADEQYPFLVHPVMPMKEIHESIRWTKDPRNTQEHVRSLCQLAWHNGEEVYNEFLEKIRSVPVG -RCLLLPAYSTLRRKWLDSF ->ACK37425.1 polyprotein [rhinovirus B92] -MGAQVSTQKSGSHENQNILTNGSHQTFTVINYYKDAASSSSAGQSLSMDPSKFTEPVKDLMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVCYAEWPEYLSDNDASDVNKTSKPDTSVCRFYTLDSKDW -SPSSKGWCWKLPDALKDMGVFGQNMFFHSLGRTGYTIHVQCNATKFHSGCLLVVVIPEHQLASHDGGSVS -VKYKYTHPGDQGIDLGTTEENFGPAKDAVYSMDGTLLGNLTIFPHQFINLRTNNTATIVVPYINSVPIDS -MTRHNNVSLMVIPIVPLMAPTGNATTLPITVTIAPMCTEFSGIRSKTIVPNATPQGLPTTTLPGSGQFLT -TDDRQSPSALPNYEPTPRIHIPGQVKNLLEIIQVDTLIPMNNTKTKDEVDSYLIPLTQGLKNAQIFGTNL -YIGDGVFKTTLLGEIVQYYAHWSGSLRISLMYTGPALSSAKLTLAYTPPGAQGPTSRKEAMLGTHVVWDI -GLQSTIVMTIPWTSGVQFRYTDKDTYTSAGFLSCWYQTSLILPPQTAGTVYLLSFISACPDFKLRLMKDT -QTISQTTALTEGLNDELEEVIVEKTKQTLASITSGPKHTQSVPTLTANETGATMPTQPSDNVETRTTYMH -FNGSETDVENFLGRAACVHMVEIVNKNPEGLENQKEHKLFNDWRINLSSLVQLRKKLELFTYVRFDSEYT -ILATASQPTSSKYSSSLTVQAMYVPPGAPNPTKWDDYTWQSASNPSVFFKVGDTARFSVPFVGLASAYNC -FYDGYSHDDEDTPYGITVLNHMGSMAFRIVNEHDAHTTEVKIRVYHRAKHVEAWIPRAPRALPYVSIGRT -NYNKQAIVPVIKKRSLITNYGIGPRFGGVFTGNVKIMNYHLMTPEDHLNLVAPHPNRDLAVVSTGAHGAE -TIPHCNCTSGVYYSTYYRKFYPIICGKPTTIWIEGGPYYPSRYQVGVMKGVGPAEPGDCGGILRCVHGPI -GLLTAGGSGYVCFADIRQLDCIAEEQGLGDYITSLGRAFGVGFTDQISAKVTELQDVAKDFLTTKVLSKV -VKMISALVIICRNHDDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACNAAK -GLEWIANKISKLIEWIKNKVLPQAKEKLDFCSKLKQLDMLERQITTMHVSNPTQEKREQLFNNVMWLEQM -SQKFAPLYAAEAKRIRELKNKILNYMQFKNKQRTEPVCVLIHGTPGSGKSLTTSIVGRALAEHLNSAVYS -LPPDPKHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFIPPMASLDNKGMLFTSNFVLASTNSNT -LSPPTILNPEALARRFGFDLDICLHSTYTKNGKLNAAMVTTICKDCHQPTNFKKCCPLVCGKAISLVDRA -TNIRYSVDQLVSAIINDYRNKIKITDSLEALFQGPVYKDLEIDVCNTPAPECINDLLKSVDSEEVREYCK -KKNWIIPQIPTNIERAVNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNPAHNKLKAPTLRPIVV -QGPNTEFALSLLRKNIVTITTEKGEFTGLGVYDHVCVVPTHAQPSESVLLNGQKVHLKDKYKLVDPENVN -LELTIIVLDRNEKFRDIRGFISEDAEGLDASLVIHSNNYTNTILDVGPITMAGLINLSSTPTNRMIRYDY -PTRTGQCGGVLCTTGKIFGIHVGGNGRQGFSAQLRKQYFVAKQGQVVTRQKLRELNLAPVNTPTKTKLHP -SVFYDVFPGNKQPAVLSDNDPRLEVKLTESLFSKYKGNVEMKPTENMLVAVDHYAGQLLSLDIPTDELSL -KEALYGVDGLEPIDITTSAGYPYVSLGIKKRDILNKETQETEKMKFYLDKYGIDLPLVTYIKDELRSADK -VRLGKSRLIEASSLNDSVNMRMKLGHLYKTFHQNPGVITGSAVGCDPDVFWSVIPCLMDGHLMAFDYSNF -DASLSPVWFECLERVLSKIGFKCASLIQTICNTHHIFRDEIYTVKGGMPSGCSGTSIFNSMINNIIIRTL -VLDAYKGIDLDKLKVIAYGDDLIVSYPFELDPGVLANLGKNYGLTITPPDKSEKFVKMTWENLTFLKRYF -RPDEQFPFLIHPVMPMQDIHESIRWTKDPRNTQDHVRSLCMLAWHSGQKEYDEFLSKIRTTDIGKCLNLP -EYSVLRKRWLDMF ->AER92570.1 polyprotein [Rhinovirus B] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASSSSAGQSLSMDPSKFTEPVKDLMLKGAPALNS -PNIEACGYSDRVEQITLGNSTITTQEAANSIVAYGEWPSFLDDSDASDVNKTTKPDTSACRFYTLDSKTW -TNQSKGWCWKLPDALKDMGIFGQNMFFHSQGRTGYTIHVQCNATKFHSGCLLVVVIPEHQLASIQGGSVS -VYYEKTHPGEKGIDLSETDSDGPMLDPLYMMDGTLIGNSLIFPHQFINLRTNNTATIVVPYINSVPMDSM -TRHNNLSLMVIPIVNITATSGAAPSIPITVTIAPMYLELSGIRSRTVIQGLPTVLTPGSEQFLTTDDRQS -PSAMPNYEPTPLIHIPGEVKNLLEVAQVDTLIPINNAQGVTGLETYRIPLYQSRQGQQVFGFRLYLGDGV -LKTTMLGEICQYFTHWAGSLRLSFMYTGPALSSAKLLIAYTPPGTAGPTDRKAAMLGTHVVWDIGLQSTV -VLNIPWTSGVQFRYTDPDTYTSAGFVSCWYQTSLVLPPQVEQTVYMLGFVSACPDFKLRLMKDTQSIQQE -TALTEGLGEDLMEVIVDKTHQTLASVKSDSKHTQKVPALTANETGATLPTTPSDNVETRTTLMHYRGSET -TLENFLGRAACVHVVEIKNKQATDDTNHKKQLLFNDWKISLSSLVQLRRKLELFTYVRFDSEYTIIATSS -QPEDANYSSNLTVQAMFIPPGAPNPTKWDDYTWQSATNPSVFFNVGKSARFSVPYLGIASAYNCFYDGYS -HDNKTTPYGINVLNHMGSIAFRVVNEHDKHTTHVKIRVYHRAKHIRAWIPRAPRALQYLHIGRTNYSPNP -DSPIKPRETINTYGLGPRFGGVHTGSIKIMNYHLMTHEDSLRLLTPMPERDLAIVDARAHGSETVPQCNC -TSGVYYSRYYNKYYPVVCEKPTCVWIEGNNYYPSRYQQGVMKGVGPAEPGDCGGILRCVHGPIGLLTAGG -SGFVCFADIRMLELFKAEYQGISDYIVNLGKAFGTGFTDHISEKVVELQNISMGYLNTKVLSKIIKIVSA -LVIICRNHDDLITVTATLALLGCDGSPWRYLKMYISKHFCLPYIERQSNDLWFKKFNDMCNAAKGLEWIA -NKISKLIDWVKQKILPQARDKLDFCKRLKQLDILERQIDTLHVNQVNDNDRETLFNNVLWLEQMSQKFAP -LYAVEAKRIKSLKNKITNFIQFRSKHRTEPVCVLIHGTPGSGKSLTTSLIGRAIADNYNSSVYSLPPDPK -HFDGYQQQAVVILDDLNQNPNGEDISTFCQMVSSVDFIPPMANLDNKGMLFTSSFVLASTNSQTLNPPTI -LNPEALARRFGFDLDMCLHTTFTRSGKLNAALASELCKDCHKPANFKKCCPLICGKALSLVDRHTNVRYS -IDQLVTAIITEHVNKTKISNSLEVLFQGPTYKDLNINVTTTPPPECIVDLLKSVDNEEVRQYCQEKKWLI -PEIPTNVERAVNQATNLINTILMFITTLGIIYMIYKLFAQTQGPYSGLDGKSKLKPPTLRAVVTQGPNTE -FALSLLRKNIVTVTTSKGEFTGLGIYDRILVIPTHAQPSDQVLINGFSTNVKDKWKLVDPDNLNLEITVI -ELDRNEKFRDIRMFIADEPECNEATLVINSNNFKDTILTVGTTTLAGIINLSNTPTARMIRYDFPTKTGQ -CGGVLCSTGKIYGIHVGGNGRQGFSAQLKKQYFVQKQGEIIQRKNVRELNIKPINTPSKTKLHPSVFYNV -FPGTKEPAILHPSDSRLEVDFEESLFSKYKGNAKMEITDNMRVAIDHYSGQLLSLDIPTQELTLKEALYG -TDGLEPIDLNTSAGYPYVSLGINKKSILNRETKEVAKMQECLSKYGIDLPLITYIKDELRGKDKIRLGKS -RLIEASSLNDSVNMRVKLGNLYKAFHKNPGVLTGSAVGCDPDTFWSVIPCLLDGELIAFDYSNFDASLSP -VWFNCLEIVLEKLGFQCSNLIQQICTTHHIFRDQIYVVEGGMPSGCSGTSIFNSMINNIIIRTLVLDVYK -GIDLDSLKIIAYGDDVIISYPFTLDSEAIAKQGSKYGLTITPPDKSPNFTKMNWDNITFLKRYFKPDPDF -PFLIHPVMPMADIHESIRWTKDPKNTQDHVRSLCMLAWHSGEQEYNKFVEGIRSTEIGKVLVIPSYSVLR -RRWLDLF ->ABL61316.1 polyprotein [enterovirus D94] -MGAQVSRQQTGTHENANIATGGSNITYNQINFYKDSYAASASKQDFSQDPSKFTEPVADALKAGAPVLKS -PSAEACGYSDRVLQLKLGNSAIVTQEAANMCCAYGEWPNYLPDNEATAIDKPTQPETSTDRFYTLKSVKW -EGTSKGWWWKLPDALNQTGMFGQNVQYHYLYRSGFLCHVQCNATKFHQGALLVVAIPEHQLGKYNTGTSA -SFDDVMKGKSGGVFNHPYVLDDGTSLACSLIFPHQWINLRTNNSATIMLPWMNCAPMDFALRHNQWTLAI -IPVVPLNTSGGTTMVPITVSIAPMCCEFNGLRNAITQGVPTYLLPGSSQFMTTDDHSSAPAFPDFSPTPE -IHIPGRVHNMLELVQVESMMEINNVADASGMERLRVEISVQTDMDALLFNIPLDIQLDGPLRSTLLANIA -RYYTHWSGSMEMTFMFCGSFMATGKVILCYTPPGGSCPTDRESAMLGTHIVWDFGLQSSITLVIPWISGS -HFRMFNSDAKSINANVGYVTCFMQTNLIVPKEAATSTYIIGFAAAKNDFSLRLMRDSPDIGQSQQLEGAT -AAFQIEEVIKTVANTVESEIKAELGVIPSLNAAETGATSNTSPEEAIQTRAVINQHGTSETLVENFLGRA -ALVMMKDFEYKNHVTSTKKVQQNFFKWTINTRSYVQLRRKFELFTYIRFDSEITIVPTIRLFTSTGVSYS -GLPNLTLQAMFVPVGAPTPKSQDSYEWQSACNPSVFFKIDDPPARMTIPFMCINSAYGMFYDGFAGFEKT -ANGLYGINPANTMGNLCIRVVNAYQPVQYTITIRIYLKPKHIKAWVPRPPRTMPYMSIANTNYTGQSSAP -NNVNAIVGNRTNITTMPNDIVTAGPGFGGAFVGSYKIINYHLATDQEKHDAVYVDWQSDILVTTVAAHGK -HQIARCKCNTGVYYCRHRDRSYPVCFEGPGIQWVEENEYYPARYQTNTLLANGPVEAGDCGGLLVCPHGV -IGLVTAGGNGVVAFTDIRNLLWLEDDAMEQGITDYIQNLGNAFGAGFTETISEKAREIQSMLLGEDSLLE -KLLKALIKIVSAMVIVIRNSDDLVTVTATLALLGCHDSPWAFLKQKVCSYLGIPYVIRQGDSWLKKFTEA -CNALRGFDWLAQKIDKFIDWLKTKILPEAREKYEFVQKLKQLPIIESQINTIEHSCPNSEQQQALFNNVQ -YYSHYCKKYAPLYALEAKRVAALEKKINNYIQFKSKSRIEPVCLIIHGSPGTGKSVASNLIARAITEKLG -GDSYSLPPDHKYFDGYKQQTVVLMDDLMQNPDGNDIAMFCQMVSTVDFIPPMASLEEKGTLYTSPFLIAT -TNAGSIHAPTVSDSKALARRFKFDVDIEVMESFKDATKLNMFKAVELCKPDECAPTNYKKCCPLICGKAI -QFRDRRTNARSTVDMLVSDMLKEYRIRNSTQDKLEALFQGPPQFREIKISVSPETPAPDAINDLLRSVDS -QEVRDYCQKKGWIVLHPPAELAVEKHISRAFIALQAITTFVSIAGVVYVIYKLFAGIQGPYTGLPNAKPK -VPTLRTAKVQGPGFDFAQAIMKKNAVIGRTEKGEFTMLGIYDRIAVIPTHASIGETIYINDVETKVKDAY -ALKDMTDVNLEITVVELDRNEKFRDIRHFLPIHEDDYNDAVLSVNTSKFPNMYIPVGQALNYGFLNLGGT -PTHRILMYNFPTRAGQCGGVITTTGKVIGIHVGGNGAQGFAAMLLRNYFTDKQGEIVSVEKSGVFINAPA -KTKLEPSVFHDVFEGVKEPAVLHSKDKRLKVDFEEAIFSKYVGNKTMLMDEYMEEAVDHYVGCLEPLDIS -TEPIPLESAMYGMEGLEALDLTTSAGYPYLLQGKKKRDIFNRQTRDTTEMTKMLDKYGVDLPFVTFVKDE -LRSREKVEKGKSRLIEASSLNDSVAMRVAFGNLYAAFHKNPGIATGSAVGCDPDLFWSKIPVMLDGKIFA -FDYTGYDASLSPVWFACLKKVLIKLGYTHQTAFIDYLCHSVHLYKDRKYIVNGGMPSGSSGTSIFNTMIN -NIIIRTLLLKVYKGIDLDQFKMIAYGDDVIASYPHEIDPGLLAEAGKEYGLTMTPADKSASFTDTTWENV -TFLKRYFRADDQYPFLIHPVMPMKEIHESIRWTKDPRNTQGHVRSLCLLAWHNGEEAYNEFCKKIRSVPV -GRALALPVYSSLRRKWLDSF ->AFV83532.1 polyprotein [Enterovirus B80] -MGAQVSTQKAGSHETNLNTGSNSTIHYTNINFYKDAASNSSNRQDLSQDPSKFTEPMLDVMVKSLPALNS -PSAEECGYSDRVRSITLGNSTITTQESANVVVAYGKWPDYLKDEEATAEDQPTQPDVATCRFYTLDSVEW -SKNSQGWWWKFPEALKDMGLFGQNMLYHYLGRTGYTIHVQCNASKFHQGCLLVVCVPEAEMGCAMKDGKV -TAENLTKGESACIFSETAATSENGKVQNAVCNAAMGVGVGNLTIYPHQWINLRTNNSATIVMPYINSVPM -DNMYRHYNFTLMVIPFAPLNYSNTTTFVPITITVAPMYAEYNGLRLGTHQGLPVLNTPGSNQFMTSDDFQ -SPSALPQYDVTPPMQIPGEVKNLMEIAEVDSVVPINNLAGNVTNLKAYCVQVGVGNHETNKPIFSFQLSP -GSSSVLKRTLLGEMLNYYAHWSGSIKLTFLFCGSAMATGKLLLAYAPPGASVPKNRKDAMLGTHVIWDVG -LQSSCVLCIPWISQTHYRLVEHDTYTAAGYITCWYQTSIVVPPETPTQCDVMCFVSACNDFSVRMLRDTP -FIEQKAKLQGDNKTNTNNSTVSDTQPSGPSNSEGIPMLTAVETGHTSQVEPSDTIQTRHVVNYHSRSEST -LENFLGRSACVHIDTYNAKGDEGSSTRYASWEITTRELVQMRRKMELFTYMRFDVEVTFVITSYQEQSTQ -LTQDMPVLTHQIMYVPPGGPVPQSATSYAWQTSTNPSVFWTEGNAPPRMSIPFVSIGNAYCNFYDGWSHF -TQDGAYGYTALNRMGKIYVRHVNKETPTQIISTVRMYMKPKHIRAWVPRPPRLCPYLRTNDLNFEVTAVT -DTRADINTVPTPEHTAPRVGGRRGDLAVLSTHGVFGQQSGAVYVGNYRVVNRHLATHNDWQNCVWEDYNR -DLLVSTTTAHGCDTIARCQCTTGVYFCQSRNKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPG -DCGGILRCEHGVIGLVTMGGEGVVGFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQINLL -KETLIGQDSILEKSLKALVKIISALVIVVRNHDDLITVTATLALVGCTSSPWRWLKRKVSQYYGIPMAER -QNNNWLKKFTEMTNACKGMEWIAIKIQKFIEWLKVKILPEVKEKHEFLIRLKQLPLLESQIATIEQSAPS -QSDQEQLFSNVQYFAHYCRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVAT -NLIGRSLAEKLNSSVYSLPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEK -GILFTSPFVLASTNAGSINAPTVSDSRALARRFHFDMNIEVVSMYSQNGRINMPMSVKTCDEECCPVNFK -KCCPLVCGKAIQFIDRRTQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPP -AIADLLKSVDSEAVREYCKEKGWLVPEVNSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQG -AYTGMPNQKPKVPTLRQAKVQGPAFEFAVAMMKRNSSTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILM -NDQEVGVLDAKELVDKDGTNLELTLLKLNRNEKFRDIRGFLAKEEVEVSEAVLAINTSKFPNMYIPVGQV -TDYGFLNLGGTPTKRMLMYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLKHYFNDEQGEIEFI -ESSKDAGFPVINTPSRTKLEPSVFHQVFEGNKEPAVLRNGDPRLKASFEEAIFSKYIGNVNTHVDEYMME -AVDHYAGQLATLDINTEPMKLEEAVYGTEGLEALDLTTSAGYPYVALGIKKRDILSKKTKDLTKLKECMD -KYGLNLPMVTYVKDELRSAEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKTFHLNPGIVTGSAVGCDPDL -FWSKIPVMLDGHLIAFDYSGYDASLSPVWFACLKMLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGG -MPSGCSGTSIFNSMINNIIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPWPIDASLLAEAGKGYGLIMT -PADKGECFNEVTWANVTFLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNG -EHEYEEFIKKIRSVPVGRCLTLPAFSTLRRKWLDSF ->AAL69636.2 polyprotein [enterovirus A124] -MGAQVSTQKSGSHENTNFAAGGSTINYTTINYYKDSYAASANKQDFSQDPSKFTQPVVNALKEGAPVLKS -PSAEACGYSDRVAQLTVGNSTITTQEAANITVGYGVWPEYSKDTEATAVDKPTKPDVSVNRFYTLSAKLW -QSNSKGWYWKFPDVLTETGVFGQNAQFHYLYRSGFCIHVQCNASKFHQGTLLVAAIPEYVVARASDDAKP -NTAPHPNYAVTQPGPGGEELAFPYLLDNGTALSQAPIYPHQWINLRTNNCATIVMPYINCLPFDSALNHC -NFGLIVIPVAPLAYSSGATSAIPITLSIAPLNSEFAGLRQAIAQGLPTELKPGTNQFLTTDDGVAAPILP -GFHPTPEMFIPGEVKNLLEIAQVESILEVNNLTTNETNPMERLLIPVSVQSEVDKLCASFRTDPGRDGPW -QSTLVGQLCRYYTQWSGSLEVTFMFTGSFMATGKLLIAYTPPGAPQPATRAQAMLGTHIVWDFGLQSSAT -LVIPWISNTHFRGTSTNGVYNYYSSGIVTIWYQTNFVVPDGAPTSAYIIALAAAQRNFTMRLCKDTDQIS -QTAIMQGDKLEAAIENKVLSTIESAGTHSTAANTSESTHNIGTGHTPALQAAETGATSTTSDESMIETRC -VVNRHSVAETSITNFYARAGLVGYLKLLTSKDTPNGFTNWDIDIMAFVQQRRKLEIFTYMRFDAEFTFVA -TSEDGSTPNTMLQYMYVPPGAPKPTGRDTFEWQTATNPSVFVKISDPPAQVSVPFMSAASAYQWFYDGYP -TFGQHPASDNINYGICPNNMLGTFSLRVVGSGSITSKIKVRIFMRIKHVRAWIPRPLRSQQYMIRNYPNF -PTTVKCLAASRNNITTTGPLGQQSGAVYVGNYRIVNRHLATRNDWDNLVWEDYNRDLLISQTNAHGCDTI -ARCNCSTGVYFCQSMRKHYPVSFQGPGLVHVNKNEYYPERYQSHVLLAVGHSEPGDCGGILRCQHGVIGI -VTMGGNNLVGFADLRDLLWLDDEVMEQGVTDYIKTLGDAFGTGFTDAVSREVESIKNYMLGSESVVEKVI -KYLVKVVSALVIVVRSEYDLVTITATLALIGCHGSPWAWLKSKVAAALGIPIAQKQGDSWLKKFNDMANA -ARGLEWISNKISKFIDWVKDKIIPVARERVEFLTNLKQLPLLENQIATLEQAAASQKDLEAIFGNVSYLA -HFCRKFQPLYASEAKRIFALEKRVNNYMQFKSKHRIEPVCLIIRGPPGTGKSLATGIIARAIAEKYNSSV -YSLPPDPDHFDGYKQQVVTAMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVIASTNA -GNIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTETNRLDAGRAARLCSENNTANFKKCSPLVCGKAIQLRD -RRTKVRYSVDSVVSELIREYNNRSAVGNTIEALFQGPPKFKPIRIELQETPAPDAISDLLASVDNEEVRQ -YCREKGWIIQDKPTNIEHHLNRAVLVVQSIATIVAVVSLVYVIYRIFAGFQGAYSGAPKQALKKPQLRTA -TVQGPGLDFAISLLRRNIRQVQTDAGHFTMLGIRDHLAVLPRHAEPGNTIWVEHKLVKVLDAVELVDEQG -VNLELTLVTLDGNEKFRDITKFIPERLEGGTDATLIINTEQMPSMFVPVGDVVEYGFLNLSGKPTHRTMM -YNFPTKAGQCGGVITSVGKILGIHIGGNGRQGFCAALKRGYFASAQGEIQWMKPNKETGRYNINGPTKTK -LEPSVFHDVFPGTKEPAALSSRDPRLEVDFETALFSKYIGNKVMEPDEYMVQAAHHYANQLKQLDISTEK -MTMEEACYGTENLEAIDLSTSAGYPYNALSIKKRDILDPTTRDVSKMKAYMDKYGLDLPYSTYVKDELRD -ISKVKKGKSRLIEASSLNDSVYLRMCFGRLYEKFHANPGTCTGSAVGCNPDTFWSKIPIMLPGHLFAFDY -TGYDASLSPAWFRALEIVLKDLGYDDDAISMIEGINHSHHIYRNKTYCVLGGMPSGCSGTSIFNSMINNI -IIRTLMIKTFSGIDLDALNMIAYGDDVLASYPFLIDCAELAKTGLEYGLVMTPADKSSCFNEVTWENATF -LKRGFKPDDQYPFLIHPTMPMKEIHESIRWTKDPRNTNEHVRSLCLLAWHNGKDVYDEFVNKIRSVPIGK -VLSIPEYGNLRRLWLENF ->AER92585.1 polyprotein [Rhinovirus B] -MGAQVSTQKSGSHENQNFLSNGSNQTFTVINYYKDAASSSSAGQSLSMDPSKFTEPVKDLMLKGAPALNS -PNIEACGYSDRVEQITIGNSTITTQEAANAIVGYGEWPSFLDDKDASDVNKPTRPDTSACRFYTLASKTW -SQSSKGWCWKLPDALKDMGIFGQNMFYHSQGRTGYTIHVQCNATKFHSGTLLVVVIPEHQLASAKGGNVS -VYYDKTHPGENGIDLSVVDELGPKTDPVYNMDGTLLGNALIFPHQFINLRTNNTATLVMPYINSVPMDSM -TRHNNLSLLVIPVIPITATSGAAHSVPITVTIAPMFLELSGIRSMAVVTQGLPTTSTPGSNQFLTTDDRQ -SPSALPNYEVTPLIHIPGRVVNLLEIAQVDSLIPINNIANNDNLERYRIPLTPNVQEKQVFGFSLFLGGT -VLKTTLLGEIAQYYTHWAGSLRISFMYTGPALSSAKLLLAYTPPGAPGPKTRKDAMLGTHLVWDIGLQST -VVMNIPWTSGSQFRYTDPDTYTHPGYISCWYQTSLILPPETNSNTKXXXXXXXXXXXXXXXXXXXXXXXX -XERALTEGLEDVLEEVIVDKAKQTIASINSNSKHTQQVPTLSASETGATLQTVPSDNVETRKTYMHYDGT -ETSVESFLGRSACVHVTEIENKSPATGVNHKEQKLFDDWKINLSSLVQLRRKLEFFTYVRFDSEYTIIAT -SSQLNDAQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXSHMGVMAFRVVNENDQHVTKVKIRVYHRAKHVMAWVPRPPRAKPYLHIGRTNYDG -SQSIIPKRDTISTYGLGPRFGGVCTGSVKVMNYHLMTQEDSLNLQTPLPERDLAIINLNGHGSETIPHCN -CTSGVYYSRYYNKFYPVICEKPTCIWIEGNNYYPSRYQHGXXXGVGPAEPGDCGGILRCVHGPIGLLTAG -GGGFVCFADIRMLDMLHAYYQGIPDYITNLGRAFGTGFTDHINDKIAELQNTATNYLNTKVLSKVVKIVS -ALVIICRNHDDLVTVTATLALLGCDGSPWRFLKMYISKHFHLPYIERQSNDLWFKKFNDMCNAAKGLEWI -ANKISKLIDWIKNKLLPQAKDKLDFCKRLKQLDIIERQVETLHMNHTNENDREVLFNNILWLEQMSQRFA -PLYAVEAKRIKTLKNKMTNFIQFRSKHRTEPVCVLIHGSPGSGKSLATSLIGRSIADNHKSSVYSLPPDP -KHFDGYQQQAVVILDDLNQNPNGEDISTFCQMVSSVDFVPPMANLDNKGMLFTSSFVLASTNSQTLNPPT -VLNPEALARRFGFDLDMCLHSSYTKSGKLNAAMACELCKDCHTPTNFKKCCPLICGKALSLVDRHTNVRY -TIDQLVTAILQEHNNKTKITNSLEVLFQGPVYKDLSIDVHDKPPPECIVDLLKSVDCEEVRQYCKEKKWL -IPEIPINVERAVNQATSLINTILMFITTMGIIYMIYKMFAQMQGPYSGLESKTKLKAPTLRAVVTQGPNT -EFALSLLRKNIITVTTSKGEFTSLGIYDRICVVPTHSQPEDEILVNGFKTRVSDKWKLVDPDNMNLEITV -LQLDRNEKFRDIRSFIAEDPECSEATLVINSNNFKDTIITVGQAALVGMINLSNTPTTRMIRYDYPTKTG -QCGGVLCSTGKIYGIHVGGNGRQGFSAQLRKQYFVQQQGQIISRKHVDDLNIKPVNTPTKTKLLPSVFYN -VFPGSKEPAVLHPADKRLEVDLNDSLFSKYKGNVNIKMTENMKVAVDHYAGQLMSLEIPTEELSLKEALY -GTDGLEPIDLNTSAGYPYVSLGIKKRSILNKETQDTTKMQECLSKYGIDLPLITYIKDELRGKDKIRLGK -SRLIEASSLNDSVNMRVKLGHLYKAFHKNPGTITGSAVGCDPDVFWSVIPCLLDGELLAFDYSNFDASLS -PIWFDCLELVLSKIGFESANLIKQICTTHHIFRDQIYIVEGGMPSGCSGTSIFNSMINNIIIRTLILDVY -KGIDLDKLKIIAYGDDVIISYPYELNPERIAEQGKTYGLTITPPDKTEHFGKTDWNNVTFLKRSFRPDPE -FPFLVHPVMPMTDIYESIRWTKDPKNTQDHVRSLCMLAWHSGEQEYEKFVEGIRSTSVGKALIIPSYSVL -RRRWLDLF ->ABM54550.1 polyprotein [Enterovirus C96] -MGAQVSSQKVGAHENTNVATGGSTVNYTTINYYKDSASNAASKQDFSQDPSKFTEPVKDIMLKSAPALNS -PNIEACGYSDRVRQITLGNSTITTQEAANAVVGYGEWPSYISPQEANPVDAPTEPDVSSNRFYTLESVQW -SKTSKGWWWKLPDCLKDMGLFGQNMFYHYLGRSGYTVHVQCNASKFHQGALAVFAVPECVFSGNSKEKTN -YTSYVNANPGEVGGTFKSQYTPGTTTDDAREFCPIDYLFGSGVLFGNAFIYPHQIINLRTNNSATLVLPY -VNSLAIDCMARHNNWGIVIIPVVPLDFADASSVQIPITVTIAPMYTEFNGLRNITVPATQGLPTMLVPGS -NQFLTSDNFQSPCALPEFDVTPPIHIPGEVKNMMEIAEVDSLIPMNAVTGKANTMDMYRIGLDSAASNLN -DPIFCLSLSPASDQRLQYTLLGEVLNYYTHWTGSIRFTFLFCGSMMATGKLLLSYSPPGAAPPKTRKDAM -LGTHLIWDLGLQSSATMVAPWISNSVYRRCVKDDYTAGGYITCFYQTKIVVPLSTPTKMDMLAFVSACPD -FSVRLLRDTSHISQSTPILPQGLEDIIETVAQNALQLSQPRPTQRPALTNSAASTPATTGVNTQEVPALT -AVETGASGQAVPADVIETRKVINYKTRSESTIESFFGRSACITIMSVENFNATAMSDKKKLFSVWEINYT -DTVQLRRKLEMFTYSRFDTEFTFVLTERYYTQNSGHARNQVYQIMYVPPGAPRPNAWDDYTWQSSSNPSI -FYTYGCAPPRMSVPFVGIANAYSHFYDGFARVPIKDEDADAGDAYYGQTSINDFGAIALRVVNEHNPARI -TSRMRVYMKPKHIRVWCPRPPRAVPYNGTGVDIKENQLTPITPVTAMTTYGFGHQNKAVYVAGYKICNYH -LATQEDMHNAVNVMWNRDLLVAQSNAQGTDTIARCQCRTGVYYCESQRKYYPVTVTGPTFQYMEPNDYYP -ARYQTHMLLGHGFAQPGDCGGILRCNHGVMGIITAGGEGIVAFADIRDLYMYEEEAMEQGVTDYVNRLGM -AFGAGFSAEIANKISEISASVQSVITDKLLKSLIKIVSALVIVARNYEDSITVMATLSLLGCDASPWQWL -KQKVCNMLELPYVYRQSDSWLKKFTEACNAAKGLEWLANKISKFIDWIKDKVIPEARDKLEYVNKLKQLE -LIENQMSVLHQSCPSQEQQEVLFNNIRWLALKARKFAPLYATEARRIFSLENKINNYIQFKTKHRIEPVC -VLIHGTPGTGKSVATGLIGRALAKQENTSVYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGEDMKLFCQMV -STVEFIPPMASLEEKGILFTSDYVLASTNSNTITPPTVSASDALARRFAFDMDIYTMSEYSTKGKLNMAM -ATQLCKDCHKPANFSSCCPLVCGKAIQLMDRHTRVRYSVDQLTTMMINEKNRRHNIGSCLEALFQGPLEF -KDLKIDVKSTPPPSAISDLLKAVDSEEVREYCKQQGWVVEAPSDSLTIERHISKAATILQAISAFAVTAG -MVYVVYKLFAGFQGAYTGLPNQKPKVPTIRAAKVQGPVFDYAVAMAKKNILTATTMKGEFTMLGVHDRIA -VLPTHANPGETIVISGKEVRIIDAKRLVDPSGVNLEITIVELDRNEKFRDIRTHLPTQIMETTDAVLAVN -TSKFPNMYIPVGSVTEQGMLNLAGRATNRTLMYNFPTKAGQCGGVLMATGKVIGIHVGGNGSHGFAAALK -RSYFTELQGEIQWMKPSKDAGYPMINAPSKTKLEPSVFFDVFPGEKEPAVLTKNDPRLKVDFEEAVFSKY -IGNKITEVDEYMKEAVDHYAGQLMSLDIPTEQMCLEDAMYGTDGLEALDLTTSAGYPYVAIGKKKRDILN -KQTRETKEMQKMLDKYGINLPLVTYVKDELRSKSKVEQGKSRLIEASSLNDSVAMRQAFGHLYAKFHQNP -GIITGSAVGCDPDVFWSKVPVMLDGELFAFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDFIDYLNHSH -HLYRNKLYCVKGGMPSGCSGTSIFNSMINNLIIRTLMLKTYKGVDLDSLRMIAYGDDVIASYPHKIDAGL -LAQAGKDYGLVMTPADKGATFTDVDWSNVTFLKRFFRADEQYPFLVHPVMPMKDIYESIRWTKDPRNTQD -HVRSLCLLAWHNGEETYNKFLAQIRSVPVGRALLLPEYSTLRRRWLDSF ->AKB94076.1 polyprotein [Enterovirus A71] -MGSQVSTQRSGSHENSNSATEGSTINYTTINYYKDSYAATAGKQSLKQDPDKFANPVKDIFTEMAAPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIIVGYGEWPSYCSDSDATAVDKPTRPDVSVNRFYTLDTKLW -EKSSKGWYWKFPDVLTETGVFGQNAQFHYLYRSGFCIHVQCNASKFHQGALLVAVLPEYVIGTVAGGTGT -EDSHPPYKQTQPGADGFELQHPYVLDAGIPISQLTVCPHQWINLRTNNCATIIVPYINALPFDSALNHCN -FGLLVVPISPLDYDQGATPVIPITITLAPMCSEFAGLRQAVTQGFPTELKPGTNQFLTTDDGVSAPILPN -FHPTPCIPIPGEVRNLLELCQVETILEVNNVPTNATSLMERLRFPVSAQAGKGELCAVFRADPGRSGPWQ -STLLGQLCGYYTQWSGSLEVTFMFTGSFMATGKMLIAYTPPGGPLPKDRATAMLGTHVIWDFGLQSSVTL -VIPWISNTHYRAHARDGVFDYYTTGLVSIWYQTNYVVPIGAPNTAYIIALAAAQKNFTMKLCKDASDILQ -TGTIQGDRVADVIESSIGGSVSRALTRALPAPTGQDTQVSSHRLETGKVPALQAAEIGASSNASDESMIE -TRCVLNSHSTAETTLDSFFSRAGLVGEIDLPLEGTTNPNGYANWDIDITGYAQMRRKVELFTYMRFDAEF -TFVACTPTGGVVPQLLQYMFVPPGAPKPDSRGSLAWQTATNPSVFVKLSDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHKQEKDLEYGACPNNMMGTFSVRTVGTLKPKHPLVIRIYMRMKHVRAWIPRPMRNQNYLFKA -NPNYAGNSIKPTGASRTAITTLGKFGQQSGAIYVGNFRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCNCQTGVYYCNSMRKHYPVSFSKPSLIFVEASEYYPARYQSHLMLAVGHSEPGDCGGILRCQH -GVVGIVSTGGNGLVGFADVRDLLWLDDEAMEQGVSDYIKGLGDAFGMGFTDAVSREVEALKSHLIGSEGA -VEKILKNLVKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWVKSKTASILGIPMAQKQSASWLKKFN -DAASAAKGLEWISNKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGN -VSYLAHFCRFCRKFQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAI -ADKYHSSVYSLPPDPDHFDGYKQQIVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSK -FVIASTNASNIIVPTVSDSDAIRRRFFMDCDIEVTDSYKTELGRLDAGRAARLCSENNTANFKRCSPLVC -GKAIQLRDRKSKVRYSVDTVVSELIREYNNRSVIGNTIEALFQGPPKFRPIRISLEEKPAPDAISDLLAS -VDSEEVRQYCRDQGWIVPDSPTNVERHLNRAVLIMQSVATVVAVVSLVYVIYKLFAGFQGAYSGAPKQTL -KKPVLRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWVEHKLVKIVDA -VELVDEQGVNLELTLVTLDTNEKFRDITRFIPETISPASDATLVINTEHMPSMFVPVGDVVQYGFLNLSG -KPTHRTMMYNFPTKAGQCGGVVTAVGKVIGIHIGGNGRQGFCAALKRGYFCSEQGEIQWMKPNKETGRLN -INGPTRTKLEPSVFHDVFEGTKEPAVLTSKDPRLEVDFEQALFSKYVGNTLHEPDEFVKEAALHYANQLK -QLDIKTTKMSMEDACYGTENLEAIDLHTSAGYPYSALGIKKKDILDPTTRDVSKMKFYMDKYGLDLPYST -YVKDELRAIDKIKKGKSRLIEASSLNDSVYLRMTFGHLYEAFHANPGTITGSAVGCNPDVFWSKLPILLP -GSLFAFDYSGYDASLSPVWFRALEIVLREIGYSEDAVSLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSI -FNSMINNIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCLELARTGKEYGLTMTPADKSPCFNE -VTWENATFLKRGFLPDHQFPFLIHPTMPMREIHESIRWTKDARSTQDHVRSLCLLAWHNGKEEYEKFVSA -IRSVPIGKALAIPNYENLRRNWLELF ->ABO69377.1 polyprotein [rhinovirus B27] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDIMLKGAPALNS -PNIEACGYSDRVEQITIGNSTITTQEAANAIVAYAEWPSFLKDDDASDVNKPTRPDTSACRFYTLASKTW -SRESKGWCWKLPDALKDMGIFGQNMFYHSQGRTGYTIHVQCNATKFHSGTLLVVIIPEHQLASAEGGNVS -VFYDQTHPGERGIDLGTVIEKGPMTDPIYNMDGTLLGNVLIFPHQFINLRTNNTATIVTPYINSVPMDSM -TRHNNLSLLVIPVVPITANTGSPPSIPVTVTIAPMNLELSGIRSKTVVKEQGLPTTLTPGSEQFLTTDDR -QSPSALPNYEPTPLIHIPGRVTNLLELVQVDTMIPINNVTDLDTIEKYRIPLMQNQQEQQVFGFSLFLGG -GVLKTTLLGEIAQYYTHWAGSIRISFMYTGPALSSAKLLLAYTPPGAPGPTNRKDAMLGTHIIWDIGLQS -TIVMNIPWTAGSQFRYTDPDTYTHPGFISCWYQTSLVLPPDTNQKVFIIGFVSACPDFKLRLLKDTSSIS -QTEALTEGLGEELEEVIVDKAKQTIASVSSNSKHTQKVPTLSASETGTTLPTVPSDNIETRTTYMHYDGT -ETSLESFLGRAACVHVITIENKLPTTGQTHKEQKLYNDWKINLSSLVQLRRKLEMFTYVRFDSEYTIIAT -SSQPQAARFSNTLTVQAMFIPPGAPNPVEWDDYTWQSASNPSVFFNVGKSARFSVPYLGIASAYNNFYDG -YSHDSKTTVYGINVLNHMGSIAFRIVNENDVHLTKVKIRVYHRAKHVLAWIPRPPRAKPYLSIGRTNYEK -TGNIIPKRNNISTYGLGPRFGGVCTGNIKIMNYHLMTHEDTLNLQTSLPERDLAVISINAHGSETVPQCS -CTGGVYYSRYYNKYYPVVCEKPTCVWIEGNNYYPSRYQQGVMKGVGPAEPGDCGGILRCIHGPIGILTAG -GGGYVCFADIRGLDMFQAEYQGISDYILNLGKAFGTGFTDHISEKVSELQNITMGCLNTKVLSKVIKIVS -ALVIICRNHEDLVTVTATLALLGCDGSPWRFLKMYISKHFHLPYIERQSNDLWFKKFNDMCNAAKGLEWI -ANKISKLIDWIKNKILPQARDKLDFCKRLKQLDILERQIDTLHMNYTNENDRETLFNNVLWLEQMSQKFA -PLYALEAKRIKSLKNKLTNFIQFRSKHRTEPVCVLIHGTPGSGKSLTTSLIGRSIADIHRSSVYSLPPDP -KHFDGYQQQAVVILDDLNQNPNGEDISTFCQMVSSVDFIPPMANLDNKGMLFTSSFVLASTNSQTLNPPT -VLNPEALARRFGFDLDMCLHSSFTKSGKLNTAMACELCKDCHKPTNFKKCCPLICGKALSLVDRHTNVRY -SIDQLVTAILQEHNNKTKITNSLEILFQGPVYKDMTIDVYDKPPPDCIVDLLKSVDSVEVRQYCREKKWL -IPEIPTNIDRAVNQATSLINTILMFITTMGIVYMIYKMFAQTQGPYSGLDSKAKLKAPVLRAVVTQGPNT -EFALSLLRKNIVTITTAKGEFTALGIYDRICVIPTHSQPENEVLLNGYNVKIKDKWRLVDPDNLNLEITV -LELDRNEKFRDIRMFIADESECPDASLVIHSNNYKDTIMSVGHITMAGIINLSNTPTARMIRYDYPTKTG -QCGGVLCSTGKIYGIHVGGNGRQGFSAQLKKQYFVQKQGEIISKKKVHDLGLKPINTPSKTKLLPSVFYN -VFPGTKEPAVLHPADERLEVDFEESLFSKYKGNVKMEISENMTIAIDHYAGQLLSLDIPTKELSLKEALY -GTDGLEPIDLNTSAGYPYVSLGIKKRAILNKETQDTTKMEECLSKYGIDLPLVTYIKDELRGRDKVRLGK -SRLIEASSLNDSVNMRVKLGELYKAFHKNPGILTGSAVGCDPDTFWSIIPNLLDGELLAFDYSNFDASLS -PVWFDCLELVLRKLGFESADLVKKISTTHHIFKDQIYVVEGGMPSGCSGTSIFNSMINNIIIRTLILDTY -KGIDLDKLRIIAYGDDIIASYPFELDSEKIAQQGAKYGLTITPPDKSTQFHKITWENVTFLKRYFRPDKQ -FPFLVHPVMPMSDIHESIRWTKDPKNTQDHVRSLCMLAWHSGEKEYDEFVRGIRSTDIGKVLVIPPYSVL -RHRWLELF ->AGP75905.1 polyprotein [enterovirus C118] -MGAQVSAQHNGTHQNSNSASEGSTINYTTINYYKDSASNAATKMDFSQDPSKFTEPVKDVMIKTAPALNS -PNIEACGYSDRVQQLTLGNSTITTQEAANAVVAYGEWPSFLGPEDANPVDAPTMPDSSSNRFYTLESVEW -EEGSRGWWWKLPDACFKDMGMFGQNMYYHYLGRAGYTVHVQCNASKFHQGALVVAAIPEFMMASNSRDST -GGITYEKANPGEKGGKFQASFTPSSEEGKKNTFAPVDWLLGCGVMAGNITIYPHQIINLRTNNCATLVLP -YVNSSSTDCMAKHNNWGIVILPFVPLKFESGASTKIPITVTIAPMCCEFNGLRAMTAPVTQGLPVLNTPG -SSQFLTTDNFQSPCAIPNYDVSPPIHIPGEVRNMMEIAEVDSIIPMNATSINVNTMAAYPIPLQQGLANK -EQSIFSLSLSPASDPRLSRTLLGEILNYYTHWAGSVKFTFLFCGSMMATGKILLSYSPPGAKPPTTRKDA -MLGTHLIWDIGLQSSATLVAPWISNTAFRRCEKDDFTEGGYITAFYQTAIVVPSGAPKDMSMLAFVSACN -DFSARLLKDTPFIRQLATVWSQGVGDALEGLVESMVGLQIDTVKNTLPSGPVHSQQVPALTAVETGTTNP -VVPSDVIETRHVINKRQRSECTVESFLGRSACVAIIGLKNDNPDTTAANELFATWNIGYHYAYQLRRKLE -MFTYSRFDAELTFVITERFAASGDCSGRHYVYQIMYIPPGAPKPTKWDDYTWQSSTNPSVFHTTGSANPR -ISIPFMGVSGAYSHFYDGFAIVPHTAIDAGAFNKYGYNSINDFGTIAVRIVNEHDPVPIDARVRIYMKPK -HIRVWCPRPPRAAEYTGPSVDYKQANKVMTHVADIRTYGFGHQNKAVYVAGYKICNYHLATQDDMNNAVA -VMWDRDLMVVESRAQGIDTIARCSCRCGVYYCESMRKYYPITVTGPTFRYMEANDYYPARYQSHMLIGSG -FAMPGDCGGILRCTHGVMGLITAGGDGVVAFADIRDLFAYEEEAMEQGITDYISGLGAAFGAGFSTEISS -RIQELQETVQSVITEKLLKNLVKIVSALVIVARNYEDSITVLATLSLLGCDSSPWHWLKEKVCHILGIPY -VIKQGDSWMKKFSEACNAAKGLEWIAQKIGKFIDWIKTKILPEAQDKVEYLSKLKHLDTLENQVATLHQS -CPSQEDQEVIFNNIRWLAIKARKFAPLYASEARRIFKMEAIINNYIQFKTKHRIEPVCLLIHGTPGTGKS -IATGLIGRAIARQANTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGEDMKLFCQMVSTVEFVPPMASL -EEKGILFTSDYVLASTNSYTISPPTVSASDALARRFAFDVDIEVMSQYSNKGHLNMATATKPCSDCHKPS -NFSSCCPLVCGKAIQFVDKATRTRYTVDELTTLIINERNRRYSIGNCLEALFQGPLEFKEPKIDIKTAPP -PPAIADLLRSVDSDQVREYCAKQGWVTELPSSSLVVERHLNRAMTIVQAVSSFVVVSGMLYVIYKLFANF -QGAYTGLPNQKPKVPTLRVAKVQGPAFDYAVAMAKRNILTATTEKGEFTMLGIYDRVAVLPTHSRPGETI -TIAGKEVKIKDARQLVDSNEVNLEITVVELDRNEKFRDIRAHIPTTICETSEAILAVNTTRFPNMYIPVG -AVTEQGMLNLGGRPTNRTLMYNFPTKAGQCGGVVMSTGKVIGIHVGGNGSHGFAAALKRSYFTSEQGEIQ -WMRPSKDAGYPVINAPSKTKLQPSVFHNVFPGVKEPAVLTKSDPRLEVNFEEAIFSKYVGNAITEVDEYM -REAVDHYAGQLMTLDISAEQMCLDEALYGTEGLEALDLTTSAGYPYVTMGKRKREILNKETRDTKEMQRM -LDKYGINLPLVTYVKDELRKPSKIKAGKSRLIEASSLNDSVAMRQAFGHLYAKFHQNPGIVTGSAVGCDP -DMFWSKIPVLLDGHLFAFDYTGYDASLSPAWFEALKMVLEKIGYGDRVDYIDYLNHSHHLYKNKLYCVKG -GMPSGCSGTSIFNTMINNIIIRTLLLKTYKGVDLDSLRMIAYGDDVLASYPHQIDAGLLAQTGKDYGLLM -TPADKGTTFEAVTWETATFLKRFFRADEQFPYLVHPVMPMSEIHESIRWTKDPKNTQDHVRSLCLLAWHN -GPVEYNKFLDQIRSVAVGRALLLPEYTTLRRRWLDSF ->AAL69622.2 polyprotein [enterovirus A125] -MGAQVSTQQSGTHENTNVATGGSAIHYTTINYYKDSYAASANKQDFSQDPSKFTQPVVDALKEGVPVLKS -PSAEACGYSDRLAQLTVGNSTITTQEAANIVVGYGEWPEYSKDTEATAVDKPTRPDVSVNRFYTLSAKLW -QKNSNGWYWKFPDVLTETGVFGQNAQFHYLYRSGFVIHVQCNASKFHQGTLLVAALPEYVNARSDATTDP -KKAAHPPFVATQPGPSGEDLAYPYVLDAGIPLSQLTIAPHQWINLRTNNCATIVMPYVNCVPFDSALNHC -NFGLFVIPVAPLDYMTGATTAIPITVTIAPLCSEFAGLRQAVKQGLPVELKPGTNQFLTTDDDDAPPVLP -GFQATPEMHIPGEVTNLLELCQVESILEVNNTTTATGVERLLIPVSVQSNVDELCCAFRVDPGRNGPWES -TIIGQICRYYSQWSGSLEITFMFTGSFMATGKMLIAYTPPGGAQPSNRTTAMLGTHVIWDFGLQSSVTLV -VPWISNTHFRTQATSGYYEYYTAGIVTMWYQTNYVVPEGAPTSAHIIALAAAQPNFSLKLAKDTDYLAQS -AVLQGDTIESVINNTITTVANTALSTHMLETGNTPALQAAETGATSTASDENMIETRCVVNKHGVAETSI -QHFFARSALVGMVTLLTSETTANGFTNWDIDIMGYIQQRRKLEMFTYMRFDAEFTFITTTLNGQATNSTV -QYMYVPPGSKVPTARDSFVWQTATNPSIFVKMSDPPAQVSVPFMSPACAYQWFYDGYPTFGSHPASTDGW -YGICPNNLMGTFCLRVVGSGSIKDSIIVRIYMRLKHIRAWVPRPIRSQPYMLKNYPNFDGNNIKHVTNRN -SIKTAGAFGQQSGSVYVGSYKITNLHLATEFDLGSEIWRSYERDLIVSTTTASGCDNIARCNCNSGVYFC -KSKSKHYPVVFQGPGLVQVGANDYYPDRYQSHVLLGVGPAEAGDCGGILRCQHGVIGILTAGGDGLVAFA -DIRDLLWIEDDAMEQGITDYVQQLGSAFGAGFTNEIAEKTQQIKEMLIGQDSILEKCLKAMIKIISAMVI -LIRNSDDIVTVTATLALIGCSTSPWQWLKSKVCSYFQIPMAQRQADSWMKKFTEATNAAKGMEWLANKIS -KFIDWVKSKVVPQVKEKLEFLSKLKQLPLLENQIATLEHSAPSQDAQEVLHGNVQYLAHYCRKFAPLYAA -EAKRVFQLEKKMNNYMQFKTKSRIEPVCLLIHGSPGAGKSVASSLIGRSIADKLNSSVYSLPPDPDHFDG -YKQQAVVIMDDLCQNPDGKDVSLLCQMVSTVDFIPPMASLEEKGILYTSPFMIASTNHGSITAPTISDSR -ALARRFFLDVDIQIMEDYKTETGKVNMAAACQHCSNCDPANFKKCCPLICGKAVQFMDRRSKVRYTMDML -VSEMFREYSHRNSIGNVLEALFQGPPIFKDIKISVAEEVPPPPAIADLLKSVDSEEVREYCTHKGWIVPV -QVTDIEKHLNRAMTILQAVSTFVAVAGCVFVVYKLFAGFQGAYSGMPQTKPKVPTIRQAKVQGPNFEFAV -AMMKRNSAIIKTGQGEFTMLGVWDKWAVVPRHAKPGDTVIVDDVEVKVLDAKELVDKDGTNLELTMIKLD -RNEKFRDIRGFIPTESGEISESVLAINTSKFPNMYIPVGKVVEYGFLNLSGTPTHRMLMYNFPTKSGQCG -GILMATGKVIGIHVGGNGHQGFAATLLRSYFTEEQGQIEWMETNKESGYPIINAPSKTKLEPSVFHEIFP -GEKEPAVLNKKDPRLKVDFEEAIFSKYVGNVNVHMDEYMKEAVDHYAGQLLTLDISTEPLCLEDAVYGTE -GLEALDLTTSAGYPYVTLGIKKRDVISKKTKDLSKLKECMDKYGLNLPMVTYVKDELRAKKKVEAGKSRL -IEASSLNDSVAMRQTFGNLYKTFHQNPGTTTGSAVGCNPDQFWSKIPVMLDGEIFAFDYTGYDASLSPVW -FYALKEVLVKLGYTNNETKFIDYLCNSYHLYKDKHYFVRGGMPSGCSGTSIFNSMINNIIIRTLLLKVYK -GIDLDQFRMIAYGDDVIASYPFKIDAGLLAQAGKEYGLTMTPADKSDSFNEVNWENVTFLKRYFRADEQY -PFLVHPVMPMKEIHESIRWTKDARCTQEHVRSLCELAWHNGEEVYNEFLTKIRSVPVGRCLLLPAYSTLR -RKWLDSF ->ACU27228.1 polyprotein, partial [rhinovirus B86] -VVVQGPNTEFALSLLRKNIVTITTEKGEFTGLGIYDRVCVVPTHSHPGQEVLLNGQKIKVSDKYRLIDSD -SINLELTVLTLDRNEKFRDIRPFICEDPEGMEATLVIHSNNFTNTILEVGQITMAGLINLSSTPTGRMIR -YEYPTKTGQCGGVLCSTGKIFGIHVGGNGRQGFSAQLRKQYFVEKQGEIVSKQKVKELGLHPINTPSKTK -LHPSVFYNVFEGNKQPAVLKDNDPRLEVNFSESLFSKYKGNVEMKPTPNMIVAVDHYAGQLMSLDIPVKE -LSLKEALYGVDGLEPLDITTSAGYPYVSLGIKKRDILNRETQDTTKMQAYLDKYGIDLPLVTYIKDELRS -VDKVRLGKSRLIEASSLNDSVNMRMKLGSLFSSFHQNPGIITGSAVGCDPETFWSKIPVMLDGECLMAFD -YSNYDGSLNPVWFKLLAQILENLGFPGNLVQKLCYSKHIFKTQYYEVEGGMPSGCAGTSIFNTMINNVII -RTLVLDTYKYVNLDKLKILAYGDDILFSYPYDLDMKELADEGLKYGLTITPADKSDVFKKLDFGNATFLK -RGFRPDEKHSFLIHPVYPEEEVWESIRWTRNP ->ACK37430.1 polyprotein [rhinovirus B99] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASSSSAGQSLSMDPSKFTEPVKDIMLKGAPALNS -PNIEACGYSDRVEQITLGNSTITTQEAANTVVAYGEWPSFLSDNDASDVNKTTKPDTSACRFYTLDSKLW -TQDSKGWCWKLPDALKDMGIFGQNMFYHSQGRTGYTIHVQCNATKFHSGCLLVVVIPEHQLASIEGANVS -VLYDKTHPGEQGIDLSKTDPKGPVRDPLYMMNGTLIGNSLIFPHQFINLRTNNTATIVIPYINSVPMDSM -TRHNNLSLMVIPVVNITATSGTSPSIPVTVTIAPMFLELSGIRSKAVIQGLPTVLTPGSEQFLTTDDRQS -PSAMPNYEPTPLIHIPGEVKNLLEIAQVDTLIPINNTKTATGLDMYRIPLMQNKQGEQVFGFRLYLGDGV -LKTTLLGELSQYFTHWAGSLRLSFMYTGPALSSARLLIAYTPPGAQGPTKRKDAMLGTHVVWDIGLQSTV -VLNIPWTSGVQFRYTDPDTYTSAGFVSCWYQTSLVLPPQTQQTVYILGFVSACPDFKLRLMKDTQSIYQD -TALTEGLEEDLVEVIVDKTRQTLASIKSDSKHTQKVPALTANETGATLPTKPSDSVETRTTLMHYTGSET -TLENFLGRAACVHVVEIRNKRPTDEIEHKKDLLFNDWKINLSSLVQLRRKLELFTYVRFDSEYTIIATSS -QPDGAKYSSNLTIQAMFIPPGAPNPIKWDDYTWQSATNPSVFFNVGKTARFSVPYLGIASAYXCFYDGYS -HDDPNTPYGINVLNHMGSIAFRVVNEHDTHTTYVKIRVYHRAKHIRAWIPRAPRALQYLHIGRTNYDLNS -TNPIKTRDSISTYGLGPRFGGVCTGNVKIMNYHLMTHEDNLNLITPLPERDLAVVSLQGHGSETVPQCKC -TSGVYYSRYYNKFYPVICEKPTCLWIEGNNHYPSRYQQGVMKGMGPAEPGDCGGILRCTHGPIGLLTAGG -SGYVCFADIRALDMFEAEYQGISDYILNLGKAFGTGFTEHISEKVTELQNISMGYLNTKVLSKIVKIVSA -LVIICRNHDDLITVTATLALLGCDGSPWRYLKMYISKHFCLPYIERQSNDLWFKKFNDMCNAAKGLEWIA -NKISKLIEWVKQKILPQARDKLDFCKRLKQLDVLERQIDTLHMNQVSDNDRETLFNNILWLEQMSQKFAP -LYAVEAKRIRVLKNKMTNFIQFRSKHRTEPVCVLIHGTPGSGKSLTTSLIGRAIADSCGSSVYSLPPDPK -HFDGYQQQAVVILDDLNQNPNGEDISTFCQMVSSVDFIPPMANLDNKGMLFTSNYVLASTNSQTLNPPTI -LNPEALARRFGFDLDMCLHTTYTRSGKLNAALASELCKDCHKPTNFKRCCPLICGKALSLVDRRTNVRYS -IDQLVTAIITEHANKTKISSSLEVLFQGPVYKDLSIDITTTPPPECIVDLLKSVDNEEVRQYCQEKKWLI -PEIPTNVERAVNQATNLINTILMFITTLGIVYMIYKLFAQTQGPYSGLDSKSKLKPPTIRAVMTQGPNTE -FALSLLRKNIVTVTTNKGEFTGLGIYDKILVVPTHAQPTEEVLINGFTVKIKDKWKLVDPDNLNLEITVL -ELDRNEKFRDIRMFIADEPECNEASLVIHSNNFKDTILSVGSTTLAGIINLSSTPTARMIRYDFPTKTGQ -CGGVLCSTGKIYGIHVGGNGRQGFSAQLKKQYFVQKQGEIVTKRNVRELNLKPINTPSKTKLHPSVFFNV -FPGSKEPAVLHPSDERLEVIFEDSLFSKYKGNVKMEMTENMKVAVDHYAGQLLSLDIPTQELTLKEALYG -TDGLEPIDLNTSAGYPYVNLGIKKRSILNKETKDTTKMEECLSKYGIDLPLVTYIKDELRGKDKIRLGKS -RLIEASSLNDSVNMRVKLGNLYKAFHLNPGILTGSAVGCDPDTFWSIIPCLLDGELIAFDYSNFDASLSP -VWFECLEKVLSKIGFQCSELIQQICTTHHIFRDQIYTVEGGMPSGCSGTSIFNSMINNIIIRTLILDVYK -GIDLDSLRIIAYGDDVIVSYPFSLDPERIARQGSTYGLTITPPDKSPEFTKMNWNNVTFLKRHFKPDSEF -PFLIHPVMPMSDIYESIRWTKDPKNTQDHVRSLCMMAWHSGESEYNKFVDGIRSTDIGRVLLIPSYSVLR -RRWLDLF ->ANN02908.1 polyprotein [enterovirus E4] -MGAQVSRNTAGSHTTGTYATGGSSINYTNINYYSNSASASQNKQDFTQDPSKFTQPIADVIKEGAVPLKS -PSAEACGYSDRVAQLTLGNSSITTQEAANIVVGYGVWPRGITPVEATAVDRPTEPGTSCERFYTLQTLKW -VPTWKGHYFKLPDCLNDVGMFGQNAQFHYLYRGGWAIHVQCNATKFHQGTLLVVTIPEHEIAAEGSDFNS -VFPGRTGYEFKNPFLLDNGTALGNALIYPHQWINLRTNNSATLILPYVNALPMDSAIKHSNWSLCVIPIA -PLQFADGTTAMVPVTITIAPMATEFGGLRTAVTQGLPTVPGPGSYQFMTTDELQSPCVFPDFYPTPEIFI -PGEVKNLLEIVQVESLVEANNVAGANGTERYRIPVRVQDEIDGEIFAMKVDPGYDGPLQSTLLGVYTRYY -TQWSGSLKFTFMFTGSFMSTGKLLLAYTPPGGSKPATRFDAMLATHVIWDFGLQSSITLVVPWVSKSHFR -ATASNNTYNYKYYEAGIITIWYQTNLVVPVGFPTSADILCFVAAQPNFSMRIQKERPDMIQTAALQGDEA -PSAIHSAAISMIKGVTNSINSTPTNTPATTHTISTAHTPALQAAETGATSNATDAGLIETRHVVNVHGVE -ETSIESFFGRSGLVGMVKMNTGDVTAVWVINFGEFVQLRAKLELFTYMRFDLEFTIIATCLTTNSAVDNW -VDKPVNYQVMYVPPGSTPPVDQDTYQWQSATNPSVFGIVGQAPIRFSIPFMSTASAYSFAYDGFPVFSEN -DVVTQYGRIPSNYLGQLNFRTLEKVDFHVRFRIYAKLKHVRAWIPRAPRAVRYQRRYDRSYQSVEQFATN -RTNLTTTGAFGQQSGAIYVGNYKIVNRHLATYADWENEVWQSYHRDLLVTRVDAHGCDTIARCSCRTGVY -YCKSRDKHYPIVVTPPSIFKIEANEYYPERMQTHILLGIGPGEPGDCGGILRCEHGVMGILTVGGGDLVG -FADIRDLLWIEDDAMEQGITDYVQQLGNAFGAGFTTEIANYTNQLRDMLIGSDCVVEKIIRSLVRLVSAL -VIVVRNHQDLVTVGATLALLGCEGSPWKWLKRKVCQILGINMAEKQSDNWMRKFTEMCSVFKGLDWIATK -ISKFIDWLKQKVLPELKERAEFVKNLKQLPLLEAQINTLEHSSASQEKQEQLFGNIQYLAHHCRKSAPLY -AAEAKRVFHLEKRVLGAMQFKTKNRIEPVCALIHGSPGTGKSLATMIIGRKLAECEGSDVYSLPPDPDHF -DGYQQQAVVVMDDLLQNPDGKDMTLFCQMVSTAPFTVPMAALEDKGKLFTSKYVLASTNAGQVTPPTVAD -YKALQRRFFFDCDIEVQKEFKKNGTTLDVAKATETCEDCSPINFKKCMPLICGKALQLKSRKGDGMRYSL -DTLISELRRESNRRYNIGNVLEALFQGPMCYKPLRINVHEEEPAPSAISDLLQAVDSEEVREYCRSKGWI -VEEKVTELKLERNVTRALAIIQSVSLVAAVAGTIYIVYRLFSGMQGPYSGINTNYTTKRPVVRQVQTQGP -LFDFGVSLLKKNIRTVKTGTGEFTALGVHDTVIVLPRHAMPGKTIEMNGRDIEVLDAYDLNDKTDTSLEL -TIVRLKMNEKFRDIRAMIPDQITDYNEAVVVVNTSYYPQLFMPVGRVKDYGFLNLAGRPTHRVLMYEFPT -KAGQCGGVVISMGKVVGIHVGGNGAQGFAASLLRRYFTVEQGQIEYVEKSKDAGYPVINTPTQTKLEPSV -FFDVFPGVKEPAVLHKKDKRLETDFEEALFSKYIGNVQRDMPEELLIAIDHYSEQLKMLNIDPRPISMED -AIYGTEGLEALDLGTSAGYPYVAMGIKKRDILNKETKDITKMQECIAKYGLNLPMVTYVKDELRAPSKIK -KGKSRLIEASSLNDSVAMRCYFGNLYKAFHTNPGTISGCAVGCDPETFWSKIPVMMDGELFGFDYTAYDA -SLSPMWFHALAEVLRRIGFVECKHFIDQLCCSHHLYMDKHYYVVGGMPSGCSGTSIFNSMINNLIIRTLV -LTVYKNIDLDDLKIIAYGDDVLASYPYEIDASLLADAGKNFGLIMTPPDKSTEFVKLTWDNVTFLKRKFV -RDVRYPFLVHPVMDMSDIHESIRWTKDPKHTEDHVRSLCLLAWHCGEREYNEFITKIRTVPVGRALHLPS -FKALERKWYDSF ->AAL69623.2 polyprotein [Simian agent 5] -MGAQVSTQKTGAHETGNVATGGSTINYTSINYYKDSASNSANRQDFSQDPSKFTEPVKDVMVKSMPALNS -PSVEECGYSDRVRQITLGNSTITTQECANAVVGYGVWPSYLKDSEATAEDQPTQPDVATCRFYTLDSVQW -QKNSKGWWWKFPDALSNLGLFGQNMLYHYLGRAGYTFHVQCNASRFHQGCLLVVCVPEAEMGCSNVNNQP -SFEQLANGDTAHVFTEDAATGEQGVQTAVCNAAMGVGVGNLTIYPHQWINLRTNNSATIVMPYINCVPMD -NMQRHNNLTLMIIPFVELDYSAGATTFVPITVTVAPMCAEYNGLRLASPQGLPTMNTPGSNQFLTTDDFQ -SPCALPQFDVTPEMSIPGQVRNLMEIAEVDSVVPVNNLASTISSTSAFVIPISAQTAQGSQLFGFQLQPG -ANSVLKRTLVGEILNYYTHWSGSLKFTFMFCGTAMATGKLILAYSPPGAGAPTNRKQAMLGTHVIWDLGL -QSSCVLCVPWISQTHYRYVVVDTYTAGGYITCWYQTNMIVPPDTPTSTGLLCFVSACNDFSVRLLKDTPF -ISQTAFLQSPIENAIEGAITSVIDTIASNPSNSTAVPALTAAETGHTSQVTPSDTLQTRHVRNYHSRSES -TIENFLSRSACVYFMEYTMSQSAGSARFASWVINTRNVVQFRRKLELFTYARFDTELTFVITSIQQTSAV -TNQDAPPITHQIMYVPPGGPVPTAYDDYTWQSSTNPSVFWTEGNAPPRVTIPFVSIGNAYNTFYDGWSNF -EAQGAYGINTLNNMGTIFVRHVNTFNPAPIISTVRIYFKPKHIKVWVPRPPRLAPYIKATNVNFSKTSVT -EPRDSITTYGAFSQQPGAVYTCSYKILNRHLASPDDWKNVVWEDWNRDLLVTTVQAHGCHQVARCSCTTG -VYYSKYYNRFYPVSFQGPGIVQMAANEYYPERAQTHVLLANGPAQPGDCGGLLCCTHGVVGILTAGGDGL -VAFADIRDLLWLDDEPMEQGVKDYVEQLGTAFGTGFTTQINEQIAHLKECLIGQDSILEKCLKALIKIVS -ALVIIVRNHEDLVTVTATLALIGCSGSPWAWLKQKVAQLFGIPIAQRQADSWLKKFTEMTNAAKGLEWIA -QKIQKFIEWLKQKILPEVKEKHEFLTRLKQLPLLESQIATLEQSAPSQSDQEQLFSNVQYFAHYCRKYAP -LYAAEARRVYQLEKRVNNYVQFKSKCRIEPVCLLLHGAPGAGKSVATNLIGRAIAEKLNSSVYSLPPDPD -HFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNASSIHAPTV -SDSRALARRFHFDMNIEILDMYQQSGKLNMPLAAKSCDDECIPANFKKCCPLVCGKAIQFVDRRTQVRYT -LDMLVSEMFREYNHRHNVGNVLEALFQGPPTYREIKISVAPDMPPPPAIADLLKSVDSEELREYCKEKGW -IIPEQASSLVTEKHISRAFICLQALTTFISVAGIIYIIYKLFAGFQGAYSGMPISKPKVPTIRQAKVQGP -SFEFAISMMRRNASTVKTGSGEFTMLGIYDKWAVLPRHAKPGETIIMNDLEVKVLDAKELVDQQGVNLEL -TLVKLDRNEKFRDIRGFIPKEESEIGDAILAMNTSKFPNMFIPVGQVTEYGFLNLSGVPTHRMLMYNFPT -RAGQCGGILMATGKVLGIHVGGNGHQGFAAALLRHYFADEQGEIEWTVPSKESGYPVINTPNKTKLEPSV -FHEVFEGVKEPAVLNKKDPRLKVDFEEAIFSKYVGNVNTHMDEYISEAVDHYAGQLLTLDIDTRPLLLEE -AVYGTDGLEALDLTTSAGYPYVTMGVKKRDIISKQTRDLSKLKECMDKYGLNLPMVTYVKDELRSRDKVE -KGKSRLIEASSLNDSVAMRQTFGNLYRTFHKNPGVVTGSAVGCDPDLFWSKIPVILDGELIAFDYTGYDA -SLSPVWFGALKVLLGKLGYDYNETKYIDYLCFSYHLYKDKYYFVSGGMPSGCSGTSIFNTMINNIIIRTL -LLKVYKNIDLDKFRMIAYGDDVIASYPYSIDAGLLAKAGKEYGLTMTPADKSTEFNNVTWENITFLKRFF -RADEQYPFLVHPVMPMKEIHESIRWTKDPRCTQEHVRSLCQLAWHNGEEAYNEFVQKIRSVPVGKCLLLP -AYSTLRRKWLDSF ->AAL69633.2 polyprotein [enterovirus A123] -MGAQVSTQKTGSHENANMAVGGSTINYTTINYYKESYAASATKQDFTQDPSKFTQPVVDAIKESSVPLKS -PSAEACGYSDRVAQLTLGNSTVTTQEAANITVAYGEWPEYCPDTDATAVDKPTRPDVSVNRFYTLSAKLW -QKDSKGWYWKFPDVLTEIGVFGQNAQFHYLYRSGFCIHVQCNASKFHQGTLLVVAIPEMTVARSSGDTKP -TTAPHPSYAAAQPGPNGKEFAFPYVLDAGVPISQALIYPHQWINLRTNNCATIVVPYINCVPYDSALNHC -NFGLAVVPVAPLDYSEGATTAIPITITIAPMCSEFGGLRNAVTQGLPVVLTPGSTQFLTTNDGVSAPILP -GFHPTPAIHIPGEVKNILEIMQVESILEVNNLNSNESTPMNRLVIPVSVQSSVDQLCASFRVDPGRAGPW -ESTLVGQYCKYYTQWSGSLEVTFMFTGSFMATGKMLIAYTPPGGAQPANRRTAMLGTHVIWDFGLQSSVT -LVIPWISNTHYRTQATNNYFEYYSSGIVTIWYQTNYVVPDGAPTSAYIIALGAAQPNFSLKLCKDTEAIS -QTAILQGDPIEGALDNAISAHISSALTAADTRPSTHQVATGNTPALQAAETGATSNASDESMIETRCVVN -KHSVAETSVEHFFSRAALVGYISILTSTTTANGFTNWDIDIMGFVQQRRKVEGFTYMRFDAEFTFVATAD -DGQADTTMLQYMYIPPGAPKPTQRDSFEWQSATNPSLFVRMSEPPAQVSVPFMSPASAYQWFYDGYPTFG -THSASTDSWYGVCPNNLMGTFAVRAVGSGSITANIRIRVYMRMKHIRAWIPRPLRNQPYVLKNYPNFDGT -NIKCSSTSRQSITTTGAFGQQSGAVYVCNYRIVNRHLATQEDWDNLVWEDYQRDVLVSNTRAHGCDQLAR -CQCSAGVYFCKSQNKHYPVCFQGPGLVHAQANEYYPERYQSHVLLASGISKPGDCGGILRCQHGVIGIVT -MGGDGLVGFADLRDLLWLEDDVMEQGVTDYIKGLGDAFGTGFTDSISREISNLRDQLLGSEGVVERILKN -LVKVISALVIIIRSDYDMVTAAATLALIGCHTSPWAWLKSKVCSLLGIPMAQKQGDSWLKKFNDMANAAK -GLEWIANKISKFIDWLKEKIIPAARDKVDFLNNLKQLPLLENQVSNLEQSAASQDDLEALFGNVQYLAIN -CRKYQPLYASEARRVATLEKRVNNYMQFKSKQRIEPVCLIIRGPPGTGKSLATGIIARAIAEKYNSSVYS -LPPDPDHFDGYRQQVVTVMDDLCQNPDGKDISLFCQMVSTVEFIPPMASLEEKGLPFTSKFVIASTNSSN -IIVPTVSDCEAIRRRFYMDCDIEVPDSYKLENNKLDASRAAKLCSENNTANFKKCSPLVCGKAIQLKDRK -SKVRYSLDSVVSELIREYNNRCSVGNTIEALFQGPPVFKPIKISMDQPAPPAIADLLASVDNEEVRQYCK -EQGWIIPDVPTNVERHVNRAVAILQSVTTIVAVVSLVFVIYKLFAGFQGAYTGMPRTALKKPTLRTATVQ -GPALDFALSLLRKNIRQIQTDRGHFTMLGVRDRLAVVPRHAEPGNTIWIEGKQVKVLDAVELVDEQQVNL -ELTLVTLDMNEKFRDITKFIPEDIEVANEATLVINTLQMPSMFIPVGKVENYGFLNLSGRPTHRTLMYNF -PTKAGQCGGVVTSVGKIIGIHVGGNGKQGFCAALKRCYFTSEQGEIQWMKPNTETGRYNINGPTKTKLEP -SVFFDVFDGEKEPAPLTANDKRLDVNFEEALFSKYIGNKIHEPDEYMIQAAKHYSNQLKQLNIDTSRMSM -EEACYGTENLEAIDLSTSAGYPYNAIGIKKRDILNPETRDTSKMKRYMDEYGLDLPYSTYVKDELRSKEK -VKKGKARLIEASSLNDSVYTRMCFGHLFEAFHANPGTVTGSAVGCNPDTFWSKLPILLPGSLFAFDYTGY -DASLSPAWFRALELVLRDLGYDEQAISVIEGINHSHHIYRNKTYCVLGGMPSGCSGTSIFNSMINNIIIR -TLLIRTFKGIDLDELNMVAYGDDVLASYPFPIDCQELANTGKEYGLIMTPADKSSCFNEVNWENATFLKR -GFKPDKQFPFLIHPTMPMKEIHESIRWTRDARNTQDHVRSLCLLAWHNGKEVYDKFVERIRSVPIGLVLS -IPEYNNLRRLWLENF ->ABV25904.1 polyprotein [Enterovirus A92] -MGAQVSTQQSGTHENTNVATGGSTIHYTTINYYKDSYAASANKQDFSQDPSKFTQPVVDVLKESAVPLKS -PSAEACGYSDRVCQMTVGNSTITTQEAANVVVGYGEWPEYCSDSDATAVDKPTRPDVSVNRFYTLSAKLW -ESTSKGWYWKFPDVLTETGVFGQNAQFHYLYRSGFAIHVQCNASKFHQGTLLVACLPEYTNGRSDATNTP -ADAKHPSYNATQPGPDGKVLAFPYVLDSGIAVSQLLVAPHQWINLRTNNCATIIMPYANAVPYDSALNHC -NFGLFVIPIAPLAYNDGATSAVPITVTIAPLCAEFAGLRNAVTQGLPVELKPGSNQFLTTDDGVSAPILP -GFHPTPLIHIPGEVNNLLQLCQIESILEVNNVPSASQMQRLLIPVSVQSSVDQLCASFRVDPGRDGPWQS -TIVGQLCRYYTQWSGSLEVTFMFTGSFMATGKLLIAYTPPGGTQPTSRAVAMLGTHVIWDFGLQSSITLV -IPWISNTHFRTNASGTYFDYYTAGIVTIWYQTNFVVPAGAPTSAYLIALGAAQPNFTMRLCKDTDEISQT -AVLQGDIKDMLETHINSTAHKVLNSVNSGSTSTGADTSASSHSLMTGSAPALQAAETGATSVTSDESMIE -TRCVHNHHSVAETSLENFFGRAALVGMATLLTSEDSANGFTNWPIDIMGYVQQRRKLEIFTYMRFDSEFT -FVCTDSTGQGPNVVIQYMYIPPGAPAPTARDSFAWQSATNPSVFVKASDPPAQVSVPFMSPASAYQWFYD -GYPTFGTHPASGDINYGISPNNLFGTFAFRVVGSENITTKLTVRIYMRIKHVRAWIPRPMRSQPYILKNY -PNFNGNDIRHMAKDRTSITTTGAFGQQSGAVYVCNYRIVNRHLATKNDWDNLEWEDYQRDILVSRTNAHG -CDRIARCNCSTGVYFCKSANKHYPVSFQGPGLVHVQANEYYPERYQSHVLLANGIAVAGDCGGILRCHHG -VIGLVTMGGDGLVGFADLRDLLWLEDEVMEQGVTDYIKGLGDAFGTGFTESISREIQNIKDTLIGSEGVV -EKILKNLVKLISALVIIVRSEYDLVTVTATLALIGCTGSPWRWLKTKVASILGIPIVQKQSDSWLKKFND -MANAARGLEWIANKISKFIDWIRDKIVPIAREKAEFINNLKQLPLLENQINNLEQAAASQESLEQLFGNI -QYLAINCRKFQPLYAAEAKRVFSMEKRMVNYMQFKGKQRIEPVCLIIRGSPGTGKSLATSIIARAIAEKF -NSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDISLFCQMVSTVEFIAPMASLEEKGIAFNSKFVIA -STNSGNIIVPTVSDSEAIRRRFYMDCDIEVPDSFRLESGKLDAGRAAKLCSENNTANFKRCSPLVCGKAI -QLRDRKSKVRYSLDSVISELIREYNNRMSVGNTIEALFQGPPQFRPLTISLDTPAPDAIADLLRSVDNEE -VREYCKEKGWIIPDVPTNLERHYNRAIALIQSITTILAVVSMVYVIYRLFAGFQGAYSGMPKTAVKKPVL -RTAVAQGPGLDFAISLLKKNIRKVQTEEGHFTMLGVRDRLAVLPRHAKPGKTIWMEGKQVTVLDAVELVD -EQQVNLELTLVTLDMNEKFRDITKFIPESFEHCMDATLIINTEQMPSMFVPVGDVQFYGFLNLSGKPTHR -TMMYNFPTKAGQCGGVVTSTGKVIGIHVGGNGKQGFCAALKRSYFATEQGEIQWMKSNKETGRYNINGPT -QTKLEPSVFHDVFEGKKEPAALSAKDPRLEVDFEEALFSKYIGNAIHEPDEYIIQAAKHYSNQLKQLNID -TSRMSMEDACYGTENLEAIDLSTSAGYPYNALGIKKRQILDPTTRDVTKMKYYMDKYGLDLPFSTYIKDE -LRSKEKVKKGKSRLIEASSLNDSVYMRMCFGHLFEKFHENPGTITGSAVGANPDTFWSKIPILLPGSLFA -FDYTGYDASLSPAWFRALEMVLRDLGYDDEAVSLIEGINHSHHIYRNKTYCVVGGMPSGCSGTSIFNSMI -NNIIIRTLLIKTFKGIDLDELNMIAYGDDVLASYPFPIDCAELAKTGREYGLIMTPADKSSCFNEVTWEN -ATFLKRSFKPDEQFPFLIHPVMPMSEIHESIRWTKDARNTQDHVRSLCLLAWHAGREEYNEFVEKIRTVP -IGKVLSIPQYDNLRRIWLESF ->AFK65740.1 polyprotein, partial [rhinovirus B102] -MGAQVSTQKSGSHENQNILTNGSHQTFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDIMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVCYAEWPDYLPDSDASDVNKTSKPDTSVCRFYTLDSKTW -KRDSKGWCWKLPDALKDMGVFGQNMFFHTLGRTGYTIHVQCNATKFHSGCLLVVVIPEHQLASHDGGSVS -VKYSFTHPGERGIDLDTPEKKGGPMKDPVYNMDGTLLGNLLIFPHQFINLRTNNTATIIVPYMNSVPMDS -MTRHNNVSLMVIPIVPLASPTNSTPTLPITITIAPMFTEFTGIRSKTIVPNAAPQGLPTTTLPGSGQFLT -TDDRQSPSALPNYEPTPVIHIPGEVKNLLEIIQVDTLIPMNNVHSSDKVDSYLIPLVADQQNQRIFGTDL -FIGDGVFKTTLLGEILQYYTHWSGSLKISLMYTGPALSSAKLILAYTPPGAPGPESRKDAMLGTHVVWDI -GLQSTIVMTIPWTSGVQFRYTNKDTYTSAGYLSCWYQTSLILPPQTQGTVYLLSFISACSDFKLRLMKDT -QTISQTEALTEGLGDELEEVIVEKTKQTVASITSGPKHTQSVPTLTANETGASMPVQPSDNVETRTTYMH -FNGSETDVESFLGRAACVHMTEIVNKNPETDNHKAEKLFNDWRINLSSLVQLRKKLELFTYVRFDSEYTI -LATASQPTQSSYASNLTVQAMYVPPGAPSPTKWNDYTWQSASNPSVFFKVGSTSRFSVPFIGLASAYNCF -YDGYSHDDEDTPYGITVLNHMGWMAFRIVNDHDAHTTEVKIRVYHRAKHVRAWIPRPPRALPYERIGRTN -YTRPSGPIIKKRPAITQYVVTYGLGPRFGGVFTSNVKIMNYHLMTPEDHLNLITPYPNRDLAIVYTGAHG -AETIPHCSCTSGVYYSRYYRKFYPVICEKPTTIWIEGSSYYPSRYQTGVLKGVGPAEPGDCGGILRCIHG -PIGLLTAGGSGFVCFADIRQLECIAEEQGLGDYITSLGRAFGTGFTDQISAKVTELQDVAKDFLTTKVLS -KVVKMISALVIICRNHEDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACNA -AKGLEWIANKISKLIEWIKNKVLPQAREKLEFCGKLKQLDMLERQITTMHVSNPTQEKREQLFNNVLWLE -QMSQKFAPLYAAEAKRIRDLKNKIINYMQFRNKQRTEPVCVLIHGTPGSGKSLTTSIVGRALAEQFNSSV -YSLPPDPKHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFLPPMASLDNKGMLFTSNFVLASTNS -NTLSPPTILNPDALARRFGFDLDICLHSTYTKNGKLNATMATTICKDCHPLSNTLSPPTILNPDALARRF -GFDLDICLHSTYTKNGKLNATMATTICKDCHQPSNFKKCCPLVCGKAISLVDRTTNIRYSVDQLVTAIIN -DYKSKIKITDSLEVLFQGPVYKDLEIDVCNTPAPECINDLLKSVDSEEVREYCKKKNWIIPQIPTNIERA -VNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNPPHSKLKAPTLRPVIVQGPNTEFALSLLRKNI -VTLTTEKGEFTGLGIYDQICVIPTHAQPGDNILVNGQKIHIKDKYKLVDPDNTNLELTILVLDRNEKFRD -IRSFISEDVDGLDATLVIHSNHFTNTIIDVGPITMAGLINLSSTPTSRMIRYDYPTKTGQCGGVLCTTGK -IFGIHVGGNGRQGFSAQLRKQYFVASQGQIVSRQKLKDLNLSPVNTPTKTKLHPSVFYNVFPGSKEPAVL -NENDPRLEVKLADSLFSKYKGNVSMKPTENMLVAVDHYAGQLLSLDIPTSELTLKEALYGVDGLEPIDVT -TSAGYPYVSLGIKKRDILNKETQDTQKMRYYLDKYGIDLPLVTYIKDELRSVDKVRLGKSRLIEASSLND -SVNMRMKLGNLYKAFHKNPGVITGSAVGCDPDVFWSVIPCLMDGHLMAFDYSNFDASLSPVWFECLERVL -HKLGFKCSSLIQTICNTHHIFKDEIYIVEGGMPSGCSGTSIFNSMINNIIIRTLILDAYKGIDLDKLKVI -AYGDDLIVSYPYELDPGVLASLGKNYGLTITPPDKSENFTKMTWENITFLKRYFRPDEQFPFLIHPVMPM -HDIQESIRWTKDPRNTQDP ->ALB72936.1 polyprotein [Coxsackievirus A6] -MGAQVSTEKSGSHETKNVATEGSTINFTNINYYKDSYAASASRQDFAQDPAKFTRPVLDTIREVAAPLQS -PSVEACGYSDRVAQLTVGNSTITTQEAANIVLSYGEWPEYCPSTDATAVDKPTRPDVSVNRFYTLSTKSW -KTESTGWYWKFPDVLNDTGVFGQNAQFHYLYRSGFCMHVQCNASKFHQGALLVAAIPEFVVAASSPATKP -NGQGLYPDFAHTNPGKNGQEFRDPYVLDAGVPLSQALVFPHQWINLRTNNCATIIMPYVNALPFDSALNH -SNFGLVVIPISPLKYCNGATTEVPITLTIAPLNSEFSGLRQAIKQGFPTELKPGTNQFLTTDDGTSPPIL -PGFEPTPLIHIPGEFTSLLDLCQIETILEVNNTTGTTGVSRLLIPVRAQNNVDQLCASFQVDPGRNGPWQ -STMVGQICRYYTQWSGSLKVTFMFTGSFMATGKMLIAYTPPGSAQPATREAAMLGTHIVWDFGLQSSVTL -VIPWISNTHFRAVKTGGVYDYYATGIVTIWYQTNFVVPPDTPTEANIIALGAAQKNFTLKLCKDTDEIQQ -TAEYQNDPITNAVESAVSALADTTISRVTAANTTASTHSLGTGRVPALQAAETGASSNASDENLIETRCV -MNRNGVNEASVEHFYSRAGLVGVVEVKDSGTSLDGYTVWPIDVMGFVQQRRKLELSTYMRFDAEFTFVSN -LNDSTTPGMLLQYMYVPPGAPKPDSRKSYQWQTATNPSVFAKLSDPPPQVSVPFMSPATAYQWFYDGYPT -FGEHKQATNLQYGQCPNNMMGHFAIRTVSESTTGKNVHVRVYMRIKHVRAWVPRPLRSQAYMVKNYPTYS -QTITNTATDRASITTTDYEGGVPANPQRTSGRFGQQSGAIYVGNFRVVNRHLATHNDWANLVWESSSRDL -LVSSTTAQGCDTIARCDCQTGVYYCNSRRKHYPVSFSKPSLVFVEASEYYPARYQSHLMLAKGHSEPGDC -GGILRCQHGVIGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGTGFTDAVSREVEALKN -YLIGSEGAVEKILKNLIKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIAQKQS -ASWLKKFNDMANAAKGFEWISNKISKFIDWLKEKIIPAAREKVEFLNNLKQLPLLENQISNLEQSAASQE -DLEAMFGNVSYLAHFCRKYQPLYATEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGI -IARAIADKYHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGV -SFTSKFVIASTNASNIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCSENNTANFKRC -SPLVCGKAIQLRDRKSKVRYSVDTVVSELIREYNNRSAIGNTIEALFQGPPKFRPIRISLEEAPAPDVIS -DLLASVDSEEVRQYCRDQGWIIPETPTNVERHLSRAVLIMQSIATIVAVVSLVYVIYKLFAGFQGAYSGA -PKQVLKKPILRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHSQPGKTIWVEHKLV -NILDAVELVDEQGVNLELTLITLDTNEKFRDITKFIPENISAASDATLVINTEHMPSMFVPVGDVVQYGF -LNLSGKPTHRTMMYNFPTKAGQCGGVVTSVGKVIGIHIGGNGRQGFCAGLKRSYFASEQGEIQWVKPNKE -TGRLNINGPTRTKLEPSVFHDIFEGNKEPAVLHSKDPRLEVDFEQALFSKYVGNTIHEPDEYIKEAALHY -ANQLKQLNIDTSQMSMEEACYGTDSLEAIDLHTSAGYPYSALGIKKRDILDPTTRDVSKMKFYMDKYGLD -LPYSTYVKDELRSIDKIKKGKSRLIEASSLNDSVYLRMAFGHLYETFHANPGTVTGSAVGCNPDVFWSKL -PILLPGSLFAFDYSGYDASLSPVWFRALELVLREIGYGNEAVSLIEGINHTHHVYRNKTYCVLGGMPSGC -SGTSIFNSMINNIIIRSLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCSELARTGKEYGLTMTPADKS -PCFNEVNWENATFLKRGFLPDEQFPFLIHPTMPMKEIHESIRWTKDARNTQDHVRSLCLLAWHNGKQEYE -KFVSAIRSVPIGKALAIPNYENLRRNWLELF ->AGC60011.1 polyprotein [Coxsackievirus A16] -MGSQVSTQRSGSHENSNSASEGSTINYTTINYYKDAYAASAGRQDMSQDPKKFTDPVMDVIHEMAPPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIVIAYGEWPEYCPDTDATAVDKPTRPDVSVNRFFTLDTKSW -AKDSKGWYWKFPDVLTEVGVFGQNAQFHYLYRSGFCVHVQCNASKFHQGALLVAVLPEYVLGTIAGGTGN -ENSHPPYATTQPGQVGAVLTHPYVLDAGIPLSQLTVCPHQWINLRTNNCATIIVPYMNTVPFDSALNHCN -FGLLVIPVVPLDFNTGATSEIPITVTIAPMCAEFAGLRQAVKQGIPTELKPGTNQFLTTDDGVSAPILPG -FHPTPPIHIPGEVHNLLEICRVETILEVNNLKTNETTPMQRLCFPVSVQSKTGELCAAFRADPGRDGPWQ -STILGQLCRYYTQWSGSLEVTFMFAGSFMATGKMLIAYTPPGGNVPADRITAMLGTHVIWDFGLQSSVTL -VVPWISNTHYRAHARAGHFDYYITGIITIWYQTNYVVPIGAPTTAYIVALAAAQDNFTMKLCKDTEDIEQ -TANIQGDPIADMIDQTVNNQVNRSLTALQVLPTAANTEASSHRLGTGVVPALQAAETGASSNASDKNLIE -TRCVLNHHSTQETAIGNFFSRAGLVSIITMPTTGTQNTDGYVNWDIDLMGYAQLRRKCELFTYMRFDAEF -TFVVAKPNGELVPQLLQYMYVPPGAPKPTSRDSFAWQTATNPSVFVKMTDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHLQANDLDYGQCPNNMMGTFSIRTVGTEKSPHSITLRVYMRIKHVRAWIPRPLRNQPYLFKT -NPNCKGNDIKCTSTSRDKITTLGKFGQQSGAIYVGNYRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCNCQTGVYYCNSKRKHYPVSFTKPSLVFVEASEYYPARYQSHLMLAVGHSEPGDCGGILRCQH -GVVGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGLGFTDAVSREVEALKNHLIGSERA -VEKILKNLVKLISALVIVVRSDYDVVTLTATLALIGCHGSPWAWIKAKTASILGIPIVQKQSASWLKKFN -DMANAAKGLEWISSKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGN -VSYLAHFCRKFQPLYAVEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVI -ASTNASNIVVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCTENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSIDTVVSELIREYNNRSAIGNTIEALFQGPPKFRPIRISLEEKPAPDVISDLLASVDS -EEVRQYCREQGWIIPETSTNVERHLNRAVLVMQSITTVVAVVSLVYVIYKLFAGFQGAYSGAPKQALKKP -VLRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAILPRHSQPGKTIWVEHKLINVLDAVEL -VDEQGVNLELTLVTLDTNEKFRDVTKFIPETITGASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPT -HRTMMYNFPTKAGQCGGVVTSVGKIIGIHIGGNGRQGFCAGLKRGYFASEQGEIQWIKSNKETGRLNING -PTRTKLEPSAFYDVFEGSKEPAVLTSKDPRLEVDFEQALFSKYVGNTLHEPDEYVTQAALHYANQLKQLD -ININKMSMEEACYGTEYLEAIDLHTSAGYPYSALGVKKRDILDPTTRDTTKMKFYMDKYGLDLPYSTYVK -DELRSLDKIKKGKSRLIEASSLNDSVYLRMTFGHLYETFHANPGTVTGSAVGCNPDVFWSKLPILLPGSL -FAFDYSGYDASLSPVWFRALEVVLREIGYSEGAVSLIEGINHTHHVYRNRTYCVLGGMPSGCSGTSIFNS -MINNIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCSELAKTGKEYGLTMTPADKSPCFNEVTW -ENATFLKRGFLPDHQFPFLIHPTMPMREIHESIRWTKDARNTQDHVRSLCLLAWHNGKEEYEKFVSTIRS -VPIGKALAIPNFENLRRNWLELF ->ACK37420.1 polyprotein [rhinovirus B86] -MGAQVSTQRSGSHENQNILTNGSHQTFTVINYYKDAASASSAGQSFSMDPSKFTEPVKDLMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVCYAEWPEYLPDKDASDVNKTSKPDTSVCRFYTLDSKTW -NNTSKGWCWKLPDALKDMGVFGQNMFFHSLGRSGYTIHVQCNATKFHSGCLLVVVIPEHQLASHQGGNVS -VKYTLTHPGEAGIDLSKAEVDKGPSNNPVYSMNGTLLGNLLIFPHQFINLRTNNTATIVVPYINSVPIDS -MTRHNNVSLLIIPISPLTAPTNSPNTLPVTVTIAPMCAEFSGIRSRSIVPQGLPTTTLPGSGQFLTTDDR -QSPSALPCYEPTPKIHIPGEVKNLLEMIQVDTLIPMNNTTATDEVGNYLIPLNVNKQNEQIFGTNLFIGD -GVFKTTLLGEIAQYYTHWAGSLRFSLMYTGPALSSAKLILAYTPPGVAGPKNRKEAMLGTHVVWDIGLQS -TIIMNIPWTSGVQFRYTDPDTYTSAGHLSCWYQTSLILPPQTSGTAYLLSFISACPDFKLRLMKDTQTIS -QTDALEEGLGDELEEVIVEKTKQTLASVATGSKYTQKVPSLSANETGATMPTVPSDNIETRTTYMNFTGS -ETDVECFLGRAACVHITEIENKDPTDIENQKEAKLFNDWKINLSSLVQLRKKLELFTYVRFDSEYTILAT -ASQPTQSSYSSNLTVQAMYVPPGAPNPKTWNDYTWQSASNPSVFFKVGDTARFSVPFVGLASAYSCFYDG -YSHDNEDTPYGITVLNHMGSIAFRVVNDHDLHKTVVKIRVYHRAKHIQTWIPRAPRALPYETIGRTNFPR -NPPKIIKKRDTINTYGLGPRFGGIFTGNVKIMNYHLMTPEDHLNLVTPYPSRDLAIVSTGAHGAETIPHC -SCTSGVYYSRYYNKFYPIICEKPTNIWIEGGPYYPSRYQSGVMKGVGPAEPGDCGGILRCIHGPIGLLTA -GGSGFVCFADIRELECIAEEQGISDYISNLGKAFGAGFTDQITSKIGELQDTVKDYLTTKMLSKVVKMVS -ALVIICRNHEDLVTVTATLALLGCDGSPWRFLKMYVSKHFQVPYIERQANDGWFRKFNDACNAAKGLEWI -ANKISKFIDWVKTKILPQAREKLDFCRRLKQLDILERQITTMHISNPTQEKREQLFNNILWLEQMSQKFA -PLYATEGKRIRDLKNKMLNYIQFKSKQRTEPVCVLIHGTPGSGKSLTTSIVGRALAEHYNSAVYSLPPDP -KHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFLPPMASLDNKGMLFTSNFVLASTNSNTLSPPT -ILNPEALTRRFGFDLDICLHSTYTKNGKLNASMATSLCKDCHKPSNFKKCCPLVCGKAISLVDRATNVRY -SVDQLITAIINDYNNKIKITDSLETLFQGPVYKDIEIDVCNTPPPECINDLLKSVDSEEVREYCKKKKWT -IPEIPTDIERAVNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNVAHNKLKPPTLRPVVVQGPNT -EFALSLLRKNIVTITTEKGEFTGLGIYDRVCVVPTHSHPGQEVLLNGQKIKVSDKYRLIDSDSINLELTV -LTLDRNEKFRDIRPFICEDPEGMEATLVIHSNNFTNTILEVGQITMAGLINLSSTPTGRMIRYEYPTKTG -QCGGVLCSTGKIFGIHVGGNGRQGFSAQLRKQYFVEKQGEIVSKQKVKELGLHPINTPSKTKLHPSVFYN -VFEGNKQPAVLKDNDPRLEVNFSESLFSKYKGNVEMKPTPNMIVAVDHYAGQLMSLDIPVKELSLKEALY -GVDGLEPLDITTSAGYPYVSLGIKKRDILNRETQDXTKMQAYLDKYGIDLPLVTYIKDELRSVDKVRLGK -SRLIEASSLNDSVNMRMKLGSLYKTFHQNPGIITGSAVGCDPDVFWSVIPCLMEGHLMAFDYSNFDASLS -PVWFDCLEKVLEKLGFKDSNLIRSICNTHHIFRDEIYAVRGGMPSGCSGTSIFNSMINNIIIRTLILDAY -KGIDLDKLRVIAYGDDLIVSYPFELDPSVLASMGKKYGLTITPPDKSENFTKMTWENITFLKRYFKPDKE -FPFLIHPVMPMQDIHESIRWTKDPKNTQDHVRSLCMLAWHSGESEYNDFLSKIRTTDIGKCLNLPEYSVL -RRRWLDMF ->AGV08299.1 polyprotein [enterovirus C117] -MGAQVSAQNNGTHENKNTATGGSTINYTTINYYKDSASNAATKMDFSQDPSKFTEPVKDVMIKTAPALNS -PNIEACGYSDRVMQLTLGNSTITTQEAANAVVAYGEWPSFLNPQEANPVDAPTMPDASSNRFYTLDSVDW -EKTSRGWWWKLPDALKDMGMFGQNMYHHYLGRAGYTVHVQCNASKFHQGTLFVAAIPEFMMASNSADSTG -GITYEKANPGETGGKFQPTFTPSSEEGKKNTFAPVDWLLGCGVMAGNVTVFPHQIINLRTNNCATLVLPY -VNSTSTDSMAKHNNWGIVILPFVPLDYDSGATPNVPITVTIAPMCCEFNGLRSMTNPVAQGLPTLSTPGS -NQFLTTDNFQSPCAIPDFDVTPPIHIPGEVKNIMELAEIDTVIPMNAVPGKVNKMEAYPIPLTHGKQSSD -VSIFSISLSPGSDTRLSRTMLGEILNYYTHWAGSLKFTFLFCGSMMATGKILLSYCPPGAKPPTTRKDAM -LGTHLIWDIGLQSSATLVAPWISNVNYRRCAKDDFSEGGYITAFYQTAIVVPTGAPKNMSMLAFVSACND -FSARLLKDTPFVRQLGLIQPQGIEDTIAKVVDAALSTSMPTVQNTASSGPVHSKEVPALTAVETGATSQV -VPADLIETRHVVNKRQRTECTVESFLGRAACVAIISLKNDAPTASNTKDLFATWKISYLNAYQLRRKLEM -FTYSRFDVELTFVVTERFATSGDCSGRHYVYQIMYIPPGAPLPLKWDDYTWQSSTNPSVFYTTGNASPRM -SVPFVGIGSAYSHFYDGFSIVPHDSISAGAFNKYGYNSINDFGTLAVRVVNEHDPTPIEAKVRVYLKPKH -IRVWCPRPPRAAEYTGPSVDYKKDDQVMTHVADIRTFGFGHQNKAVYVAGYKICNYHLATQEDMQNAVAI -MWDRDLLVAESRAQGIDTIARCNCRCGVYYCESMRRYYPVTVTGPTFRYMEANDYYPARYQSHMLVGSGF -AMPGDCGGILRCTHGVMGLITAGGEGVVAFADIRDLFAYEEEAMEQGITDYVSRLGNAFGAGFSAEIASR -IEQLQDTVQNVITEKLLKNLVKIVSALVIVARNYEDSITVLATLSLLGCDASPWQWLKEKVCNILGIPFV -MKQGDSWMKKFSEACNAAKGLEWIATKISKFIDWIKAKVLPEAQDKAEYLSKLKHLDTLENQVATLHQSC -PSQEDQEVLFNNIRWLAIKARKFNPLYAMEARRIFKMEATINNYIQFKTKHRIEPVCLLIHGDPGTGKSI -ATGLIGRAIARQANTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGEDMKLFCQMVSTVEFIPPMASLE -EKGILFTSDYVLASTNSHTITPPTISASDALSRRFAFDTDICPMSEYTVRGKLNMALATQKCKDCHKPAN -FSTCCPLVCGKAIQLMDKTTRTRYTLDELTTLMINERNRRHNIGGCLEALFQGPLVFKEPKIDIKNTPPP -PAIADLLRSVDSEEVREYCKGQGWVTEVPSSSVVIERHITRALTIVQAVSSFVVVSGMLYMIYKLFANFQ -GAYTGMPNPKPKVPTLRAAKVQGPVFDYAVAMARRNILTATTAKGEFTMLGIYDRVAVLPTHSNPGDTIV -LAGREVKIIDAKRLVDNNEVNLEITVVTLDRNEKFRDIRAHLPTTIQETNDAVLAVNTSKYPNMFIPVGA -VSEQGLLNLGGRPTNRTLMYNFPTKAGQCGGVVMATGKVIGIHVGGNGSHGFAAALKRSYFNEEQGEIQW -MRPSKDAGYPVINAPSKTKLEPSVFHDVFEGAKEPAVLHKSDPRLKVDFEEAIFSKYIGNSILEVDEYMR -EAVDHYAGQLMSLDIPTEQMCLEDAMYGTEGLEALDLTTSAGYPYVAIGKKKRQILNKETRDTKEMKKML -DKYGINLPLVTHVKDELRPRSKVETGKSRLIEASSLNDSVAMRQAFGNLYATFHKNPGTITGSAVGCDPD -IFWSKIPVLLDGQLFAFDYTGYDASLSPAWFEALKMVLEKIGYGDRVDYIDYLNHSHHLFKNKLYCVKGG -MPSGCSGTSIFNSMINNIIIRTLLLKTYKGVDLDSLRMIAYGDDVLASYPHKIDAGLLAQTGKEYGLRMT -PADKGENFDDVTWETATFLKRFFRADEQYPFLVHPVMPMREIHESIRWTKDPRNTQDHVRSLCLLAWHNG -KDEYNKFLNQVRSVPVGRALLLPEYSTLRRRWLDSF ->AEG42388.1 polyprotein [rhinovirus B101] -MGAQVSTQKSGSHENQNILTNGSKQTFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDIMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVCYAEWPSYLPDSDASDVNKTSKPDTSVCRFYTLDSKMW -QQNSKGWCWKLPDALKDMGVFGQNMFFHTLGRTGYTIHVQCNATKFHSGCLLVVVVPEHQLASHDGGSVS -VKYSFTHPGEQGIDLNTAEVNGGPMKDPVYNMDGTLLGNLLIFPHQFINLRTNNTATIIVPYINSVPMDS -MTRHNNVSLLVIPIAPLAAPTGSATTLPVTVTIAPMFTEFTGIRSKTIVPSAAPQGLPTTTLPGSGQFLT -TDDRQSPSALPNYEPTPVIHIPGEVKNLLEIIQVDTLIPMNNTHTGDKVESYLIPLMADQQGKRIFGTDL -FIGDGVFKTTLLGEITQYYTHWSGSLKISLMYTGPALSSAKLILAYTPPGAPGPENRKDAMLGTHVVWDI -GLQSTIVMTIPWTSGVQYRYTEKDTYTSAGYLSCWYQTSLILPPQTQGKVYLLSFISACSDFKLRLMKDT -QTISQTEALTEGLGDELEEVIVEKTKQTLASIASGPKHTQSVPTLTANETGASMPVKPSDNVETRTTYMH -FDGSETDVESFLGRAACVHMTEIVNKNPANSTNQKEDKLYNDWRINLSSLVQLRKKLELFTYVRFDSEYT -ILATASQPTNSNYSSDLTVQAMYVPPGAPSPTKWNDYTWQSASNPSVFFKVGSTARFSVPFIGLASAYNC -FYDGYSHDNEDTPYGITVLNHMGRMAFRIVNDHDMHTTEVKIRVYHRAKHVQAWIPRPPRALPYVTIGRT -NYNRPIKPIIKKRDQITQYGLGPRFGGVFTSNVKIMNYHLMTPEDHLNLIAPYPSRDLAIVSTGAHGAET -IPHCTCTSGVYYSRYYRKYYPIICERPTTIWIEGSSYYPSRYQVGVLKGVGPAEPGDCGGILRCVHGPIG -LLTAGGSGYVCFADIRQLDTIAEEQGLGDYITSLGRAFGVGFTDQISAKVTELQDVAKDFLTTKVLSKVV -KMISALVIICRNHEDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACNAAKG -LEWIANKISKLIEWIKNKILPQAREKLEFCSKLKQLDVLERQISTMHVSNPTQEKREQLFNNVLWLEQMS -QKFAPLYATEAKRIRDLKNKIVNYMQFRNKQRTEPVCVLIHGTPGSGKSLTTSIVGRALAEQFNSAVYSL -PPDPKHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFLPPMASLDNKGMLFTSNFVLASTNSNTL -SPPTILNPEALARRFGFDLDICLHSTYTKNGKLNATMATTICKDCHQPSNFKKCCPLVCGKAISLVDRTT -NVRYSVDQLVTAIISDYRNKVKITDSLEVLFQGPVYKDLEIDVTNTPAPECINDLLRSVDSEEVREYCKR -KNWIIPQIPTNIERAVNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNPPHNKLKAPTLRPVIVQ -GPNTEFALSLLRKNIVTVTTEKGEFTGLGIYDQICVIPTHAQPGDNVLVNGQKVHIKDKCKLVDPDNINL -ELTILTLDRNEKFRDIRGFISDDVEGLDATLVIHSNHYTNTIIDVGPITMAGLINLSSTPTNRMIRYDYP -TKTGQCGGVLCTTGKIFGIHVGGNGRQGFSAQLKKQYFVASQGQIISRQKLKDLNLSPVNTPTKTKLHPS -VFYDVFPGSKEPAVLNENDPRLEVKLTESLFSKYKGNVEMKPTENMLIAVDHYAGQLLSLDIPTEELTLK -EALYGVDGLEPIDVTTSAGYPYVSLGIKKRDILNKETQDIQKMRYYLDKYGIDLPLVTYIKDELRSVDKV -RLGKSRLIEASSLNDSVNMRMKLGHLYKAFHKNPGIITGSAVGCDPDTFWSVIPCLMDGHLMAFDYSNFD -ASLSPVWFDCLEKVLSKLGFKCSSLIQTICNTHHIFKDEIYTVEGGMPSGCSGTSIFNSMINNIIIRTLI -LDAYKGIDLDNLKVIAYGDDLIVSYPFELDPEILASIGKNYGLTITPPDKSEKFTKMTWENITFLKRYFK -PDDQFPFLVHPVMPMQDIQESIRWTKDPRNTQDHVRSLCMLAWHSGRDEYDKFISKIRTTDIGKCLNLPE -FSVLRRRWLDMF ->APA29023.1 polyprotein [Picornaviridae sp. rodent/Mc/PicoV/Tibet2015] -MGNQISSSVANNNTDQSQSVKAGGNVTYATYNVYGDTYAQSSTAAKFDQDPKPFTDSAVDMSKVALASPT -VEECGYSDRLMQMTLGNSTITTQESAHVICAYKRWPTRLSPSEATAIDMPTYPDVAVNRFYTLASEDWTK -TSKGWFWRFPGCLKDVGLFGQNMAYHFLQRSGFAVHVQCNASRFHQGMLLVVWIPEFEFLSSNISGADDS -GIFDDEAIEAMREWYPWQQLTLFPHQFVNLRTNNCATIIVPYINSVPMDNGLTHNNGALLILPIVDLDYS -TGASPAVGVTLTVAPMEAEYNGLRFAITQGIRVRNLPGSGQFLTTENASAPPIFPRFDESQVIDIPGEVE -NLLDVAKIPTFFSPKIYDRKALSLSTSNEAGSTVYFGQLQLDHLTEGSTQPIRQSYLGLLARFYSQWSGS -IRISFVFTGSAMTTGKLLLCYTPPGGRRPETRAEGMLGTHIIWDFGLQSSVDMVIPWISATQYRIADSQR -QSSDLFCSSGWLTIFMQTRMIVPPDVPTTAYIVGFVSACDDFALRLPMDYVQLQGPVKVSKNGVSAGAVA -AGPSGTTTSPQSATSGELVTTEAPALNAVESGSGDDTQPAQVMETRAVTCVKGVFETSVENFLARSSLAA -VLTFTHQLESDGSIGTAKGYTTWPVSVLSDSNWDLKRKLRMFTYARFSLEMTVLITTSGQQEYENLRFQT -MYCPPGSRLPNSQDSTRWQSATSPSIFTSMSDPPATVSVPFLSAGGAYNMFYDGYSDFSSSSAVYGEYPL -NEIGALAVRVINRPNNVPVNVEVRIYIKPKHVRVWIPRPISNSMTTAGGPHSWFGDKQGFVAGNKYYVNY -HLVPPHMLKHATKIDKFHDLCIFEVKEQDARPEVQIPRCTCCKGWYYSNLTRRIQHAYISPPRMQHFEAS -QWYPHHFQEVHLAEGPGQEGDCGNVLYCRHGPIGMVTGAARGIVAFIPLRIWAGAFLREHMAVIKYALDN -DICTVDGTDVWKGMKGEWKVFRNLDERSFKTHGKTRGIAIGAVQLIPAHTHQGERVLAHHGSREVLVVEG -RPGCHALTPAVCDCHSGIYYSHKTTRIHHVQLSPPGLYKFRTPEGERYRSSVMVGCGPAQPGDCGQPIFC -GHGVFGMLIGGDEALGTVAFADVRDLMARYVAREQGLTDWISALGQSFGVGFVTEVKSAISGMGDAIMGI -LPSWMTVLELVIQVVAALGICIKHPEPGTVLAVLALLGCSHTAPFTWLKQRVCGMLGVRWVPKQGDSWLK -KFTESVNAFKGLEWVVQKLGKFVEWMKTKILPECKEKQEFLERLQKLPALKAQIDQLAVKGSHAAADKVQ -RLYTNIMYYKKYCDKYAPLYAAESKMVRECERILNQRQIFSRETRPEPVAIVIRGTPGCGKSLASTLIGR -ALAKHAKSDVYSLPPDPKHFDGYEGQRVCLMDDVGQNPDGEDLKYFCQMVSTTQFHPPMADLADKGITFD -AEYVICTTNLETFNPPTISEPLALARRFYLDLKMCVKEGFSISGRLNGSKACRKCDHCDPKNFTCCNPLI -CGKAVSLKHGNCLFTIDEIVSAMIRENNNRKQVTDMLAAVLQGSDWFDDCECEISLDKPAPECIQDLLSA -TRSEEVREYCKSKGWIVEERVSKERIVRETMLASSIIQSCAAVIALCSAIYVCFKLYYTIQGPYTGMPQP -KKQVPTLREVTIQGPNLDFAVSLLGRNMAILQSEYGEYSCLGICDKLLAIPSHAFGTSMRLNGLPLQYED -AWSIRGEQAKCEITVLKLKRNEKFRDIRAYIPDDIGEWSDCCVVLNTTQYPRMFLPVGNVTPTQNILLSG -VETQNILYYNYPTKSGQCGGVVCKTGKVIGMHIGGNGAQGFAAALLKKYFTTEQGQVEVVEKSKVRLHMP -AKTVLQPSVFHNVFPGEKEPAVLHPGDKRLEVEFREALFSKYSGNVDVKYERDEFMKRAVDHYAAQLKTL -DIEGGDLTTEEALFGYEGLDALDLQTSAGYPFVTLGIKKKDFIKSTDKEGSARRMEQMIDKYGLELPFVT -YVKDELRSKEKVRKGKSRLIEASSLNDSVAMRRCFGKLYSTFHQNPGTVTGSAVGCDPDVFWSKVPCMME -EHLLCFDYTAFDASLSPCWFEALKDLLSQIGFERGKGFIDYMCHSVHLMGADRYVVNGGMPSGCSGTSIF -NSMINNIIMRTLILRTYKGIDLDEFKMIAYGDDVIASYTFPLDAAKIAETGKTYGLTITPADKGKEFAKV -DWGNVTFLKRYFRADEKYPFLVHPVMPMKEIHESIRWTKDPTKTQEHVRSLCELAWHNGEDVYNKFCDTI -RTVPVGTKLYLPAYSTLYQKWVEKF ->AEG42386.1 polyprotein, partial [Rhinovirus B] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDIMLKGAPALNS -PNIEACGYSDRVEQITLGNSTITTQEAANSIVAYGEWPSFLSDIDASDVNKTTKPDTSACRFYTLDSKTW -SQNSKGWCWKLPDALKDMGIFGQNMFFHSQGRTGYTIHVQCNATKFHSGCLLVVVIPEHQLASVEGGNVS -VLYDKTHPGEKGIDLNEEDSKGPMKDPLYMMDGTLIGNSLIFPHQFINLRTNNTATIVVPYINSVPMDSM -TRHNNLSLLVIPIVQITATTGTAPSIPVTVTIAPMFLELSGIRSKAVIQGLPTVLTPGSEQFLTTDDRQS -PSAMPNYEPTPLIHIPGEVKNLLEIAQVDTLIPINNAKNVSGLDMYRIPLTQNKQGEQVFGFRLYLGDGV -LKTTLIGELCQYFTHWAGSLRLSFMYTGPALSSAKLLIAYTPPGAQGPTKRKEAMLGTHVIWDIGLQSTV -VLNIPWTSGVQFRYTDPDTYTSAGFISCWYQTSLVLPPQTQQTVYILGFISACPDFKLRLMKDTQSIHQE -TALTEGLEDELMEVIVDKTQQTLASVKSGSKHTQKVPSLTANETGATLPTTPSDSVETRTTMMHYTGSET -TLENFLGRAACVHVVEIRNKRPEDGQEHKMQLLFNDWKINLSSLVQLRRKLEMFTYVRFDSEYTIIATSS -QPNDAKFSSNLTVQAMFIPPGAPNPSKWDDYTWQSATNPSVFFNVGKSARFSVPYLGIASAYNCFYDGYS -HDNSTTPYGINVLNHMGSMAFRVVNEHDNHTTHVKIRVYHRAKHIKAWIPRAPRALEYLHIGRTNYKQNP -ENPIKTRETISTYGLGPRFGGVCTGNIKIMNYHLMSHEDTLNLVTPLPERDLAIVSTGAHGSETVPQCNC -MSGVYYSRYYNKFYPVVCEKPTCLWIEGNNYYPSRYQQGVMRGVGPAEPGDCGGILRCIHGPIGLLTAGG -SGYVCFADIRALDMFKAEYQGISDYILNLGKAFGTGFTEHISEKVTELQNMSLGYLNTKVLSKIVKIVSA -LVIICRNHDDLITVTATLALLGCDGSPWRYLKMYISKHFCLPYIERQSNDLWFKKFNDMCNAAKGLEWIA -NKISKLIDWVKQKILPQARDKLDFCRRLKQLDILERQIDTLHMNQVNDNDREVLFNNILWLEQMSQKFAP -LYAVEAKRIKTLKNKMTNFIQFRSKHRTEPVCVLIHGSPGSGKSLTTSLIGRAIADSCGSSVYSLPPDPK -HFDGYQQQAVVILDDLNQNPNGEDISTFCQMVSSVDFIPPMANLDNKGMLFTSNFVLASTNSQTLNPPTV -LNPEALARRFGFDLDMCLHTTYTKSGKLNAALASELCKDCHKPTNFKRCCPLICGKALSLVDRHTNVRYS -IDQLVTAIINEHANKTKISSSLEVLFQGPTYKDLHIDVTTTPPPECIVDLLKSVDNEEVRQYCQEKRWLI -PEIPTNVERAVNQATNLINTILMFITTLGIIYMIYKLFAQTQGPYSGLDNKSKLKPPTLRAVVTQGPNTE -FALSLLRKNIVTVTTDKGEFTGLGIHERILVIPTHAQPSEKVLINGFATNVKDKWKLVDPDNLNLEITVL -ELDRNEKFRDIRMFIADDPECNEASLVIHSNNFKDTILTVGATTLAGIINLSNTPTARMIRYDFPTRTGQ -CGGVLCSTGKIYGIHVGGNGKQGFSAQLKKQYFVQKQGQIVTKRNVRELNIKPINTPNTTKLHPSVFYNV -FPGSKEPAVLHPADERLEVNFEESLFSKYKGNINMEITENMKVAIDHYAGQLLSLDIPTQELTLKEALYG -TDGLEPIDLNTSAGYPYVTLGIKKRSILNKETKDTTKMEECLSKYGIDLPLVTYIKDELRGKDKIRLGKS -RLIEASSLNDSVNMRVKLGNLYKAFHKNPGILTGSAVGCDPDTFWSVIPCLLDGELVAFDYSNFDASLSP -VWFECLEQVLKKIGFQCSELIQQICTTHHIFRDQIYVVEGGMPSGCSGTSIFNSMINNIIIRTLILDVYK -GIELDSLRIIAYGDDVIISYPFPLDPEKIAQQGITYGLTITPPDKSPKFTKMDWNTVTFLKRHFKPDKEF -PFLIHPVMPMSDIHESIRWTKDPKNTQDHIRSLCMMAWHSGEDEYNKFVDGIRSTDIGKALLIPSYSVLR -RRWLD ->ACK37443.1 polyprotein [rhinovirus B35] -MGAQVSTQKSGSHENQNILTNGSHQTFTVINYYKDAASSSSASQSFSMDPSKFTEPVKDLMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVCYAEWPEYLSDDDASDVNKTSKPDTSVCRFYTLDSKTW -QQDSKGWCWKLPDALKDMGVFGQNMFYHTLGRTGYTIHVQCNATKFHSGCLLXVVIPEHQLASHDGGTVS -VKYKFTHPGDQGIDLSTAEETNGPVKNPVYNMDGTLLGNLLIFPHQFINLRTNNTATIIVPYMNSVPMDS -MTRHNNVSLMVIPIAPLAAPTGSATTLPITVTIAPMFTEFTGIRSKTIVPNATPQGLPTTTLPGSGQFLT -TDDRQSPSALPNYEPTPKIHIPGEVKNLLEIIQVDTLIPMNNTHTKDEVKSYLIPLVANQQNQKIFGTDL -YIGDGVFKTTLLGEIAQYYTHWSGSLKISLMYTGPALSSAKLILAYTPPGAPGPETRKDAMLGTHVVWDI -GLQSTIVMTIPWTSGVQFRYTDKDTYTSAGFLSCWYQTSLILPPQTQGQVYLLSFISACSDFKLRLMKDT -QTISQTDALPEGLGEELEEVIVEKTKQTVASIASGSKHTQSVPTLTANETGASMPVXPSDSVETRLTYMH -FKGSETDVESFLGRAACVHMTEIVNKNPAXSTNQKQDKLFNDWRINLSSLVQFRKKLELFTYVRFDSEYT -ILATASQPDNSKYSSNLTVQAMYVPPGAPNPEAWNDYTWQSASNPSVFFKVGDTSRFSVPFVGLASAYNC -FYDGYSHDDENTPYGITVLNHMGSMAFRIVNDHDVHTTLVKIRVYHRAKHVQAWIPRAPRALPYVSIGRS -NYDKSAKPVIKRREQITKYGLGPRFGGVFTSNFKIMNYHLMTPEDHLNLIAPYQSRDLAIVATGAHGAET -IPHCNCTSGVYYSTYYRKFYPVICEKPTTIWIEGGPYYPSRYQVGVMKGVGPAEPGDCGGILRCIHGPIG -LLTAGGGGYVCFADIRQLENVAEEQGLGDYITSLGRAFGVGFTDQISAKVVELQDVAKDFLTTKVLSKVV -KMISALVIICRNHDDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACNAAKG -LEWIANKISKLIEWIKNKVLPQAREKLEFCSKLKQLDILERQITTMHISNPTQEKREQLFNNVMWLEQMS -QKFAPLYATEAKRIRDLKNKIVNYMQFRNKQRTEPVCVLIHGTPGSGKSLTTSIVGRALAEHFNSAVYSL -PPDPKHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFLPPMASLDNKGMLFTSNFVLASTNSNTL -SPPTILNPEALARRFGFDLDICLHSTYTKNGKLNVTMATSICKDCHQPSNFKKCCPLVCGKAISLVDRTS -NVRYSVDQLVTAIINDYRNKVKITDSLEVLFQGPVYKDLEIDVCNTPPPECINDLLKSVDSEEVRDYCKK -KNWIIPQIPTNIERAVNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNPTHNKLKPPTLRPVVAQ -GPNTEFALSLLRKNIVTITTEKGEFTGLGIYDQICVIPTHAQPGDSVLVNGQKIQVRDKYKLVDPENVNL -ELTILILDRNEKFRDIRNFISEDVEGLEATLVIHSNHFTNTILDVGPITMAGLINLSSTPTNRMIRYDYP -TKTGQCGGVLCTTGKIFGIHVGGNGRQGFSAQLRRQYFVSKQGQIVARQKLKDLNLMPVNTPTKTKIHPS -VFYDVFPGSKEPAVLSDNDPRLEVKLSESLFSKYKGNVEMKPTDNMLVAVDHYAGQLMSLDIPTTELTLK -EALYGVDGLEPIDVTTSAGYPYVSLGIKKRDILNRETQEVEKMKFYLDKYGIDLPLVTYIKDELRSVDKV -RLGKSRLIEASSLNDSVNMRMKLGNLYKAFHKNPGTITGSAVGCDPDTFWSVIPCLMDGHLMAFDYSNFD -ASLSPVWFTCLERVLLKLGFEHSNLIQTICNTHHIFKDEIYTVKGGMPSGCSGTSIFNSMINNIIIRTLI -LDAYKGIDLDKLKVIAYGDDLIVSYPFELDPGVLASHGKNYGLTITPPDKSEKFEKMTWENLTFLKRYFK -PDEQFPFLVHPVMPMQDIYESIRWTKDPKNTQDHVRSLCMLAWHSGQKEYEHFIERIRSVNIGRCLNLPE -YSVLRKRWLDMF ->AQX83076.1 polyprotein [Enterovirus A71] -MGSQVSTQRSGSHENSNSATEGSTINYTTINYYKDSYAATAGKQSLKQDPDKFANPVKDIFTEMAAPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIIVGYGEWPSYCSDDDATAVDKPTRPDVSVNRFYTLDTKLW -EKSSKGWYWKFPDVLTETGVFGQNAQFHYLYRSGFCIHVQCNASKFHQGALLVAILPEYVIGTVAGGTGT -EDSHPPYKQTQPGADGFELQHPYVLDAGIPISQLTICPHQWINLRTNNCATIIVPYMNTLPFDSALNHCN -FGLLVVPISPLDFDQGATPVIPITITLAPMCSEFAGLRQAVTQGFPTEPKPGTNQFLTTDDGVSAPILPN -FHPTPCIHIPGEVRNLLELCQVETILEVNNVPTNATSLMERLRFPVSAQAGKGELCAVFRADPGRDGPWQ -STMLGQLCGYYTQWSGSLEVTFMFTGSFMATGKMLIAYTPPGGPLPKDRATAMLGTHVIWDFGLQSSVTL -VIPWISNTHYRAHARDGVFDYYTTGLVSIWYQTNYVVPIGAPNTAYIIALAAAQKNFTMKLCKDTSHILQ -TASIQGDRVADVIESSIGDSVSRALTRALPAPTGQNTQVSSHRLDTGEVPALQAAEIGASSNTSDESMIE -TRCVLNSHSTAETTLDSFFSRAGLVGEIDLPLEGTTNPNGYANWDIDITGYAQMRRKVELFTYMRFDAEF -TFVACTPTGEVVPQLLQYMFVPPGAPKPDSRESLAWQTATNPSVFVKLTDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHKQEKDLEYGACPNNMMGTFSVRTVGSSKSKYPLVIRIYMRMKHVRAWIPRPMRNQNYLFKA -NPNYAGNSIKPTGASRTAITTLGKFGQQSGAIYVGNFRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCDCQTGVYYCNSKRKHYPVSFSKPSLIYVDASEYYPARYQSHLMLAAGHSEPGDCGGILRCQH -GVVGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGTGFTDAVSREVEALRNHLIGSDGA -VEKILKNLIKLISALVIVIRSDYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIAQKQSASWLKKFN -DMASAAKGLEWISNKISKFIDWLREKIVPAAREKAEFLTNLKQLPLLENQITNLEQSAASQEDLEAMFGN -VSYLAHFCRKFQPLYATEAKRVYVLEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVI -ASTNASNIIVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCSENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSVDTVVSELIREYNSRSAIGNTIEALFQGPPKFRPIRISLEEKPAPDAISDLLASVDS -EEVRQYCREQGWIIPETPTNVERHLNRAVLVMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKQVLKKP -VLRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGVRDRLAVLPRHAQPGKTIWVEHKLVNVLDAIEL -VDEQGVNLELTLVTLDTNEKFRDVTKFIPETISGASDATLVINTEHMPSMFVPVGDVVQYGFLNLSGKPT -HRTMMYNFPTKAGQCGGVVTSVGKIVGIHIGGNGRQGFCAGLKRSYFASMQGEIQWVKSNRETGRLNING -PTRTKLEPSVFHDVFEGNKEPAVLTSKDPRLEVDFEQALFSKYVGNVLHEPDEYVTQAALHYANQLKQLD -INTSKMSMEEACYGTENLEAIDLCTSAGYPYSALGIKKRDILNPVTRDVSRMKFYMDKYGLDLPYSTYVK -DELRSLDKIKRGKSRLIEASSLNDSVYLRMTFGHLYEVFHANPGTVTGSAVGCNPDVFWSKLPILLPGSL -FAFDYSGYDASLSPVWFRALEVVLREIGYSEEAVSLIEGINHTHHVYRNKTYCVLGGMPSGCSGTSIFNS -MINNIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPFDCLELAKTGKEYGLTMTPADKSPCFNEVTW -ENATFLKRGFLPDHQFPFLIHPTMPMREIHESIRWTKDARNTQDHVRSLCLLAWHNGKDEYEKFVSTIRS -VPVGKALAIPNFENLRRNWLELF ->AGV98962.1 polyprotein [Coxsackievirus A16] -MGSQVSTQRSGSHENSNSASEGSTINYTTINYYKDAYAASAGRQDMSQDPKKFTDPVMDVIHEMAPPLKS -PSAEACGYSDRVAQLTIGNSTITTQEAANIVIAYGEWPEYCPDTDATAVDKPTRPDVSVNRFFTLDTKSW -AKDSKGWYWKFPDVLTEVGVFGQNAQFHYLYRSGFCVHVQCNASKFHQGALLVAVPPEYVLGTIAGGTGN -ENSHPPYATTQPGQVGAVLTHPYVLDAGIPLSQLTVCPHQWINLRTNNCATIIVPYMNTVPFDSALNHCN -FGLLVIPVVPLDFNAGATSEIPITVTIAPMCAEFAGLRQAVKQGILTELKPGTNQFLTTDDGVSAPILPG -FHPTPPIHIPGEVHNLLEICRVETILEVNNLKTNETTPMQRLCFPVSVQSKTGELCAAFRADPGRDGPWQ -STILGQLCRYYTQWSGSLEVTFMFAGSFMATGKMLIAYTPPGGNVPADRITAMLGTHVIWDFGLQSSVTL -VVPWISNTHYRAHARAGYFDYYTTGIITIWYQTNYVVPIGAPTTAYIVALAAAQDNFTMKLCKDTEDIEQ -TANIQGDPIADMIDQTVNNQVNRSLTALQVLPTAANTEASSHRLGTGVVPALQAAETGASSNASDKNLIE -TRCVLNHHSTQETAIGNFFSRAGLVSIITMPTTGTQNTDGYVNWDIDLMGYAQLRRKCELFTYMRFDAEF -TFVVAKPNGVLVPQLLQYMYVPPGAPKPTSRDSFAWQTATNPSVFVKMTDPPAQVSVPFMSPASAYQWFY -DGYPTFGEHLQANDLDYGQCPNNMMGTFSIRTVGTEKSPHSITLRVYMRIKHVRAWIPRPLRNQPYLFKT -NPNYKGNDIKCTSTSRDKITTLGKFGQQSGAIYVGNYRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQ -GCDTIARCNCQTGVYYCSSKRKHYPVSFTKPSLIFVEASEYYPARYQSHLMLAVGHSEPGDCGGILRCQH -GVVGIVSTGGNGLVGFADVRDLLWLDEEAMEQGVSDYIKGLGDAFGMGFTDAVSREVEALKNYLIGSEGA -VEKILKNLVKLISALVIVVRSNYDMVTLTATLALIGCHGSPWAWIKAKTASILGIPIVQKQSASWLKKFN -DMANAAKGLEWISSKISKFIDWLKEKIIPAAKEKVEFLNNLKQLPLLENQISNLEQSAASQEDLEAMFGN -VSYLAHFCRKFQPLYAVEAKRVYALEKRMNNYMQFKSKHRIEPVCLIIRGSPGTGKSLATGIIARAIADK -YHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMSLFCQMVSTVDFIPPMASLEEKGVSFTSKFVI -ASTNASNIVVPTVSDSDAIRRRFYMDCDIEVTDSYKTDLGRLDAGRAAKLCTENNTANFKRCSPLVCGKA -IQLRDRKSKVRYSIDTVVSELIREYNNRSAIGNTIEALFQGPPKFRPIRISLEEKPAPDAISDLLASVDS -EEVRQYCREQGWIIPETSTNVERHLNRAVLVMQSIATVVAVVSLVYVIYKLFAGFQGAYSGAPKQALKKP -VLRTATVQGPSLDFALSLLRRNIRQVQPDQGHFTMLGVRDRLAVLPRHSQPGRTVWVEHRLIGVLDAVEL -VDEQGVDLELTLVTLDTNERFGDVTRFVPETITGAGDATLIIDTGHMPSMFVPVGDVVQYGFLDLSGKPT -HRTMMYDFPTEAGQCGGVVTSVGRIVGIHIGGNGRQGFCAGLRRGYFAGEQGEIQWMKSNREAGRLNVGG -PTRTKLEPSAFYGVFEGSREPAVLTGRDPRLEVDFEQALFSRYVGNTLHEPDECVTQAALHYANQLKQLD -ININKMSMEEACYGTECLEAVDLHTSAGYPYSALGVKKGDMLGPTTGDTTKMKFYMDGYGLDLPYSTCVR -DELRSLDKIKRGRSRLIEASSLNDSVYLGMTFGHLCETFHANPGTVTGSAVGCSPDVFWGGLPILLPGSL -FAFDYSGYDASLSPVWFRALEVVLREIGYSEEAVSLIEGINHTHHVYRNRTYCVLGGMPSGCSGTSIFNS -MINNIIIRTLLIKTFKGIDLDELNMVAYGDDVLASYPFPIDCSELAKTGKEYGLTMTPADKSPCFNEVTR -ENATFLKRGFLPDHQFPFLIHPTMPMREIHESIRWTKDARNTQDHVRSLCLLAWHNGKEEYEKFVSTIRS -VPIGKALAIPNFENLRRNWLELF ->AFM84628.1 polyprotein [Rhinovirus B] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDLMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVCYAEWPEYLPDGDASDVNKTSKPDTSVCRFYTLESKVW -QNSSKGWCWKLPDALKDMGVFGQNMFFHSLGRSGYTIHVQCNATKFHSGCLLVVVIPEHQLASHKGGNVS -VKYKYTHPGESGIDLSTQLDEDGPVKDPVYSMNGTLLGNLLIFPHQFINLRTNNTATIVVPYINSVPIDS -MTRHNNVSLLVIPIAPLVAPTGSPQTLPVTVTIAPMCTEFTGIRSKTIVPQGLPTTTLPGSGQFLTTDDR -QSPSALPNYEPTPRIHIPGKVRNLLEIIQVDTLIPMNNVHTSDEVNSYLIPLTANRQNDQIFGTKLFIGD -GVFKTTLLGEIAQYYTHWSGSLRISLMYTGPALSSAKLILAYTPPGAQGPTNRKDAMLGTHVVWDIGLQS -TIVMTIPWTSGVQFRYTDPDTYTSAGYLSCWYQTSLILPPNTTGQVHLLSFISACPDFKLRLMKDTQTIS -QTDALTEGLGDELEEVIVEKTKQTLASVSSGPKHTQSVPTLTANETGATMPTNPSDNVETRTTYMHFNGS -ETDVESFLGRAACVHIVEIENKNPAGIENQKEQKLFNDWKINLSSLVQLRKKLELFTYVRFDSEYTILAT -ASQPTESSYASNLVVQAMYVPPGAPNPEKWDDFTWQSASNPSVFFKVGDTSRFSVPFVGIASAYNCFYDG -YSHDDKDTPYGISVLNHMGSIAFRVVNEHDVHKTLVKIRVYHRAKHVEAWIPRAPRALPYETIGRTNYPK -KSEIVPVIKKRNTITTYGLGSRYGGVFTSNVKIMNYHLMTPEDHLNLITPYVNRDLAVVFTGAHGAETIP -HCNCTSGVYYSRYYRKFYPIICEKPTTIWIEGSSYYPSRYQTGVMKGVGPAEPGDCGGILRCVHGPIGLL -TAGGSGYVCFADIRQLDCIAEEQGLNDYITNLGRAFGVGFTDQISAKVTELQDVAKDFLTTKVLSKVVKM -ISALVIICRNHDDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACNAAKGLE -WIANKISKLIEWIKNKILPQAKEKLEYCSKLKQLDILERQITTMHISNPSQEKREQLFNNVLWLEQMSQK -FAPLYAAESKRIKDLKNKIVNYMQFKSKQRTEPVCVLIHGTPGSGKSLTTSIVGRALAEYFNSSVYSLPP -DPKHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFIPPMANLDNKGMLFTSNFVLASTNSNTLSP -PTILNPEALARRFGFDLDICLHSTYTKNGKLNAAMSXXXXXDCHQPANFKKCCPLVCGKAISLVDRTTNI -RYSVDQLVTAIINDYKNKMKITDSLEVLFQGPVYKDLEIDVCNTPPPECINDLLKSVDSEEVREYCKKKK -WIIPQVPTNIERAMNQASMIINTILMFVSTLGIVYVIYKLFAQTQGPYSGNPSHNKLKPPSLRPVVVQGP -NTEFALSLLRKNIVTVTTEKGEFTGLGIYDRVCVIPTHAQPDKKVLINGQAVQLKDKYKLVDPDNTNLEL -TVLTLDRNEKFRDIRSFISEDLEGMEATLVVHSNNFTNTILDVGPITMAGLINLSSTPTSRMIRYDYPTK -TGQCGGVLCTTGKIFGIHVGGNGRQGFSAQLRKQYFIEKQGQIISRQKVRELNMASINTPTKSKLHPSVF -YNVFPGSKEPAVLSDNDPRLEVKLSDSLFSKYKGNIEMKPTENMLIAVDHYAGQLMSLDIPTEELTLKEA -LYGVDGLEPIDITTSAGYPYVSLGIKKRDILNRETQDVEKMKFYLDKYGIDLPLVTYIKDELRSTDKVRL -GKSRLIEASSLNDSVNMRMKLGNLYKAFHQNPGIITGSAVGCDPDVFWSVIPCLMDGHLMAFDYSNFDAS -LSPVWFECLEKVLIKLGFKHSSLIQTICHTHHIFKDEIYVVEGGMPSGCSGTSIFNSMINNIIIRTLVLD -AYKGIDLDKLKILAYGDDLIVSYPYELDPEVLATLGKKYGLTITPPDKTEKFSKITWDNLTFLKRYFRSD -EQFPFLVHPVMPMQDIYESIRWTKDPRNTQDHVRSLCMLAWHSGEKDYNDFTQRIRTTDIGKCLNLPEYS -VLRRRWLDLF ->CAA24445.1 unnamed protein product, partial [Human poliovirus 1] -VQFQQLFQSDNCIIMGAQVSSQKVGAHENSNRAYGGSTINYTTINYYRDSASNAASKQDFSQDPSKFTEP -IKDVLIKTAPMLNSPNIEACGYSDRVLQLTLGNSTITTQEAANSVVAYGRWPEYLRDSEANPVDQPTEPD -VAACRFYTLDTVSWTKESRGWWWKLPDALRDMGLFGQNMYYHYLGRSGYTVHVQCNASKFHQGALGVFAV -PEMCLAGDSNTTTMHTSYQNANPGEKGGTFTGTFTPDNNQTSPARSSARWITSLEMARCWGMPLCSAQII -NLRTNNCATLVLPYVNSLSLDSMVKHNNWGIAILPLAPLNFVSESSPEIPITLTIAPMCCEFNGLRNITL -PRLQGLPVMNTPGSNQYLTADNFQSPCALPEFDVTPPIDIPGEVKNMMELAEIDTMIPFDLSATKKNTME -MYRVRLSDKPHTAASILCLSLSPASDPRLSHTMLGEILNYYTHWAGSLKFTFLFCGSMMATGKLLVSYAP -PGADPPKKRKEAMLGTHVIWDIGLQSSCTMVVPWISNSTYRQTIDDSFTEGGYISVFYQTRIVVPLSTPR -EMDILGFVSACNDFSVRLLRDTTHIEQKALAQGLGQMLESMIDNTVRETVGAATSRDALPNTEASGPTHS -KEIPALTAVETGATNPLVPSDTVQTRHVVQHRSRSESSIESFFARGACVTIMTVDNPASTTNKDKLFAVW -KITYKDTVQLRRKLEFFTYSRFDMELTFVVTANFTETNNGHALNQVYQIMYVPPGAPVPEKWDDYTWQTS -SNPSIFYTYGTAPARISVPYVGISNAYSHFYDGFSKVPLKDQSAALGDSLYGAASLNDFGILAVRVVNDH -NPTKVTSKIRVYLKPKHIRVWCPRPPRQLAYYGPGVDYKDGTLTPLSTKDLTTYGFGHQNKAVYTAGYKI -CNYHLATQDDLQNAVNVMWSRDLLVTESRAQGTDSIARCNCNAGVYYCESRRKYYPVSFVGPTFQYMEAN -NYYPVRYQSHMLIGHGFESPGDCGGILRCHHGVIGIITAGGEGLVAFSDIRDLYAYEEEAMEQGITNYIE -SLGAAFGSGFTQQISDKITELTNMVTSTITEKLLKNLIKIISSLVIITRNYEDTTTVLATLALLGCDASP -WQWLRKKACDVLEIPYVIKQGDSWLKKFTEACQRAKGLEWVSNKISKFIDWLKEKIIPQARDKLEFVTKL -RQLEMLENQISTIHQSCPSQEHQEILFNNVRWLSIQSKRFAPLYAVEAKRIQKLEHTINNYIQFKSKHRI -EPVCLLVHGSPGTGKSVATNLIARAIAERENTSTYSLPPDPSHFDGYKQQGVVIMDDLNQNPDGADMKLF -CQMVSTVEFIPPMASLEEKGILFTSNYVLASTNSSRISPPTVAHSDALARRFAFDMDIQVMNEYSRDGKL -NMAMATEMCKNCHQPANFKRCCPLVCGKAIQLMDKSSRVRYSIDQITTMIINERNRRSNIGNCMEALFQG -PLQYKDLKIDIKTSPPPECINDLLQAVDSQEVRDYCEKKGWIVNITSQVQTERNINRAMTILQAVTTFAA -VAGVVYVMYKLFAGHQGAYTGLPNKKPNVPTIRTAKVQGPGFDYAVAMAKRNIVTATTSKGEFTMLGVHD -NVAILPTHASPGESIVIDGKEAEILDAKVFEDQAGTNFEITIITLKRNEKFRDIRPHIPTQITETNDGVL -IVNTSKYPNMYVPVRAVTEQGYLNLGGRQTARTLMYNFPTRAGQCGGVITCTGKSSGCMLVDGSHGFAAA -LKRSLFTQSQGEIPWMRPSKDAGYPIINAPSKTKLEPSAFHYVFEGVKEPAVLTKNDPRLKTDFEEAIFS -KYVGNKITEVDEYMKEAVDHYAGQLMSLDINIEQMCLEDAMYGTDGLEALDLSTSAGYPYVAMGKKKRDI -LNKQTRDTKEMQKLLDTYGINLPLVTYVKDELRSKTKVEQGKSRLIEASSLNDSVAMRMAFGNLYAAFHK -NPGVITGSAVGCDPDLFWSKIPVLMEEKLFAFDYTGYDASLSPAWFEALKMVLEKIGFGDRVDYIDYLNH -SHHLYKNKTYCVKGGMPSGCSGTSIFNSMINNLIIRTLLLKTYKGIDLDHLKMIAYGDDVIASYPHEVDA -SLLAQSGKDYGLTMTPADKSATFETVTWENVTFLKRFFRADEKYPFLIHPVMPMKEIHESIRWTKDPRNT -QDHVRSLCLLAWHNGEEEYNKFLAKIRSVPIGRALLLPEYSTLYRRWLDSF ->AEK80016.1 polyprotein [Enterovirus A76] -MGAQVSTQKTGSHENQNVAANGSTINYTTINYYKESYAASATKQDFSQDPSKFTQPVVDALKETAPPLKS -PSAEACGYSDRVAQLTVGNSTITTQEAANITVGYGEWPEYCPDTDATAVDKPTRPDVSVNRFYTLPAKLW -TKEGTGSKGWYWKFPDVLTEKGVFGQNAQFHFLYRSGFCIHVQCNASKFHQGTLLVACLPEYVNARASTN -DTNATAPHPPYAVTQPGPNGKELTFPYVLDAGIPINQLLIAPHQWINLRTNNCATIVMPYINAVPYDSAL -NHCNFGLFVIPVAPLNYSDGATTAIPITVTIAPLCSEFGGLRQAITQGLPAELKPGTNQFLTTDNGVSAP -ILPGFHPTPAIHIPGEVHNLLEIAQVESILEVNNLTSLETPSTLMQRLLIPVEAKSSPDTLCASFKVDPG -RDGPWQSTLVGQICKYYTQWSGSLEVTFMFTGSFMATGKMLIAYTPPGGAQPTTRDLAMLGTHVIWDFGL -QSSITLVIPWISNSHFRTQATGGFFDYYASGVVTIWYQTNFVVPSGAPTSAYIIALGAAQKNFTLRLCKD -TEAISQTAILQGDPVEDMINTAVNNTLSQSIGRPQTQITAENTRPSTHQIGTGEVPALQAAETGATSNTT -DENMIETRAVINKHSTEETCIEHFFSRAGLVSMISLLVSEKTTQAYANWEIDTMGFVQMRRKLEMFTYMR -FNAEFTFVATGLNGTAPQTMLQYMYVPPGAPVPTDRDSFQWQTATNPSVFVKMSDPPAQVSVPFMSPASA -YQWFYDGYPTFGSHPVSEDLRYGISPNNKMGTFSIRVVGSGQLTEAVVIRIFMRIKHVRAWVPRPIRSQK -YIVKNYPNFDPAVKPHSTSRASITTNTGAFGQQSGAIYVGNYRIVNRHLATKKDWENLVWESYERDLLVS -TITAHGCDKIARCKCRAGVYFCKSMNRHYPVTFGEPAFFHVDANEYYPERYQSHVISSAGIALPGDCGGI -LRCEHGVIGIVSIGGPNLVGFADVRDLLWLDDEVMEQGVTDYIKGLGDAFGTGFTDSISREINQLKNYLL -GSENVVEKILKALIKVVSALVIVVRSDYDLVTLTATLALIGCHGSPWKWLKHKVSTLLDIPMAEKQSDSW -LKKFTEFANAARGLEWISNKISKFIDWIKDKIIPAAKEKVEFLNNLKQLTLLENQISNIEQSAASQGDLE -QLFGNVSYLAHYCRKFQPLYAAEAKRVFALEKRISNYMQFKSKHRIEPVCLIVRGAPGTGKSLATGIISR -AIAEKYHSSVYSLPPDPDHFDGYKQQVVTVMDDLCQNPDGKDMALFCQMVSTVDFIPPMASLEEKGVSFT -SKFVIASTNMSNIIVPTVSDADAIRRRFYMDCDIEVTDSYKLESGRLDAGRAAKLCSENNTANFKKCSPL -VCGKAIQLRDRKTKVRYSLDSVVSELIREHNNRSAIGNTIEALFQGPPVFKPVRISLEEKPAPDAISDLL -KSVDSEEVRQYCRDQGWIVPIQPTNVEKHLGRAVLIMQSIATVVAVVSLVYVIYKLFAGFQGAYSGMPKQ -NVKKPVLRTATVQGPSLDFALSLLRRNIRQVQTDQGHFTMLGIRDQIAVLPRHALPGKQIWIEHKLVNVL -DAVELVDEQQVNLELTLVTLDTNEKFRDITKFIPEQIEGSPDAVLVINTETMPSMFVPVGDVTEYGFLNL -SGRPTHRTMMYNFPTRAGQCGGVVTSVGKIVGIHIGGNGRQGFCAALKRGYFITEQGEIQWMKPNKETGN -FNINGPTKTKLEPSVFHDVFEGVKEPAVLHSKDKRLEVNFETALFSKYIGNKIHEPDEYMIQAANHYADQ -LKQLDIDTSKMSMEDACYGTEFLEGIDLATSAGYPYNALGIKKRDILNPQTRDVTKMKMYLDKYGIDLPY -STYIKDELRAKDKIKKGKSRLIEASSINDSVYLRMCFGHLYEKFHANPGTITGSAVGCNPDTFWSKIPIM -LPGSLFAFDYTGYDASLSPAWFRALEIVLKRLGYDQDAISLIEGINHSHHIYRNQTYCVMGGMPSGCSGT -SIFNSMINNIIIRTLLIKTFKGIDLDELNMIAYGDDVLASYPFPIDCAELAKTGLEYGLVMTPADKSACF -NEVNWENATFLKRGFKPDEQYPFLIHPTMPMKEIHESIRWTKDPRNTQDHVRSLCLLAWHNGRETYEEFV -GKIRTVPIGKILALPNYDNLRRNWLELF ->AFK66746.1 polyprotein [Ovine enterovirus] -MGAQVSKNTAGSHSTGTYAAGGSSINYTNINYYSHSASASQNKQDFSQDPSKFTQPVVDMMRESAVPLKS -PSAEACGYSDRVAQLTLGNSTITTQEAANITVAYGRWPSYLSDLDATAVDKTTKPGVSCDRFYTLPTKKW -ERDSKGWEWKLPDALTELGVFGQNSQYHYLYRCGWTVHVQCNATKFHQGCLFVAMVPEHQLGTRRAPMFE -DVMPGKSGMDMTATYEFDDGSSLANALIYPHQWINLRTNNSATIVVPYMNAIPMDSPIRHNSWSLIVAPI -TYLEHAAGTTPFVAVTVTIAPMFSEFSGLRRAIVQGIPTMPTPGSYQFLTTDEDSSPCALPDFSPTQEID -IPGEVTNLLSICQVESIIEINNVEDAVQEPGLLLPVSTQANPDTQLFALNLTLAEGSNLSKTLVGVISSY -YTQWSGSLEITFMFTGTFMTTGKILLAYSPAGGSAPTSREDAMLGTHVIWDFGLQSSITLVVPWISGGNY -RGVSSANSYEYYQAGYVTGWYQTNMVIPPDFPSTANIICLVAAQPNFSLRILKDRPDMDQTAALQAPPIE -ATLQNTVNQAVDRALTAANTSQSTHNISTSNTPALQAAETGATSNASDEGMLETRRVINTNGVQETSVEA -FFGRSGLVTIMTLGSGDTRTTWAINFREFVQLRAKFDLFTYARFDIEFTLLATFVKDGTGSATPVQVQVM -YVPPGAVIPTDQDTYQWQTAANPSVFFQANNVPARFSIPYVGTASAYSFFYDGYSSFGGNADGTTYGVLG -SNNMGTLALRAVAPLASGEQIKLRVYAKPKHVRVWGPRAPRIRPYKYKTNNVFQAEDFMVPNRESITTTG -AFGQQSGAVYVGNYKIVNRHLATQRDWDNLEWEEYNRDVLVSRVSAHGVDRLARCKCNAGVYYCKSQNKH -YPVTFEGPGIQMIDANQYYPEHYRTHVLLGIGPCKPGDCGGILRCQHGVIGFITAGGPNLVAFADLRDLF -WIDHEVMEQGITDYVRDLGNSFGQGFTDEISKFTEQLRDLMTGADGLVELCIKTFIKVVSAIVIATRAEG -DVPTILATLALIGCDTSPWRWLKKQFCSIFKIPYVEKQGDDWIRKFTSYINAFKGLDWVGEKIMKFIDWL -RNSLVPQAREKVEFTTNLKSLPLLEAQVATLEHACPTTEQQETLFGNVQYLAHHCRRYAPLYAAEAKRVY -ALEKRVLGYIQFKNKQRIEPVCLLIHGTAGTGKSLATSIIGRKLAEYEHSEVYAIPPDSDHFDGYQQQAV -VVMDDLNQNPDGKDMVAFCQMVSTVPYHVPMAALEEKGMLFTSSYVLASTNSGSIHPPTVSNSKALSRRF -AYDVDIEVASGFKTENNTLDVVKATQACDDCCPANFKKCMPLICGEAYTLVDRRTKMRYSIDGMISEMRR -EWQRRNKVGSVIEALFQGPPVFKPLQISVDPETPPPPAIADLLASVDSEQVREYCKSKGWLVEVPVTAMS -LEKNVSIATTVMSSLILLTSVITLVYLVYRLFAGYQGPYTGLPNRKPKAPVLREVRAQGPLMDFGVAMMK -KNIVTVRTGYGEFTGLGVYDTVLVLPRHAHPAEQVIVDGVETPVLDAYNLTDEEGVSLELCLVTLKRNEK -FRDIRAMIPENPSGTNEAVVCVNTSTFPNAFLPVGRTEYYGYLNLAGNPTHRTMMYNFPTKAGQCGGVVL -SMGKVIGVHIGGNGAQGFCAALKRSYFVKEQGKIEWMETNKESGYPIINTPSKTKLEPSVFHEVFEGKKE -PAALHPKDPRLETDLETALFSKYTGNVDMDMPEEMKEAVDHYANQLLSLAIPTEPLSMDEAIYGTEGLEA -LDLTTSAGYPYVTMGIKKKDILNKETRDTKKMQECIDKYGLNLPMVTYIKDELRSKEKVKKGKSRLIEAS -SLNDSVAMRCYYGNLYKAFHQNPGTVTGCAVGCDPDVFWSKIPVMMDGELFGFDYTAYDASLSPLMFQAL -QMVLERIGFGEGKHFIDNLCCSHHLFKNKHYYVVGGMPSGCSGTSIFNSMINNIIIRTVVLQTYKGIELD -HLKIIAYGDDVIASYPYRIDPAELAKAGAKLGLHMTPPDKSETYVDLDWSNVTFLKRNFVPDEKFPFLIH -PVMPMSEIHESIRWTRDARHTQDHVRSLCLLAWHNGRKEYEEFCKKIRSVPVGRALQLPSYSSLLREWYD -KF ->ABO69376.1 polyprotein [rhinovirus B17] -MGAQVSTQKSGSHENQNILTNGSTQHFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDIMLKGAPALNS -PNVEACGYSDRVQQITLGNSTITTQEAANAVVAYAEWPSYLADIDASDVNKTSQPDTSVCRFYTLESKTW -TRDSKGWCWKLPDALKDMGVFGQNMFFHALGRTGYTIHVQCNATKFHSGCLLVVVVPEHQLASHTEGNVS -VKYKYTHPGEQGIELDSAAEEGGASHDPVYSMNGTLVGNLLIFPHQFINLRTNNTATIIVPYINSVPMDS -MTRHNNASLLVIPVVPLVNPVGMSTIIPITVTIAPMYTEFSGIRSKAVVPQGLPTLTTPGSGQFLTTDDR -QSPSAMPNYEPTPLIHIPGEVHNLLEIIQVDTLIPMNNKGATDSLEEYRIPLTVNKKNDQIFGTRLFIGD -GVFKTTLFGEIAQYYTHWSGSIRISLMYTGPALSSAKLILAYTPPGTKGPKDRKEAMLGTHVVWDVGLQS -TVVMNIPWTSGVQFRYTDPDTYTSAGYLSCWYQTSLILPPDTTGTVYLLAFISACPDFKLRLMKDTQTIS -QTEALMEGFEDELEEVVIDKMKQVTASSQSGPKHTQKVPALSANETGATLPTNPSDNVETRTTYMHFTGS -ETSLENFLGRSACVHITEIQNKLPTEPRKEGDRMINTHKEQGLFNDWKISLSSLVQFRKKLELFTYVRFD -SEYTILATASQPNTAKYASNLTVQAMYVPPGAPNPVKWNDYTWQSASNPSVFFEVGKMARFSVPFIGIAS -AYSCFYDGYSHDDENTPYGINVLNHMGSIAFRIVNDHDVHTTLVKIRVFHRAKHIRAWVPRPPRALPYTS -IGRTNFPNTRNKVIARRDSITTYGGNLKFGAVYTCNYKIMNYHLMTHEDHLNLLTPYYNRDLAVVATGAL -GSETIPHCNCTSGVYYSQYYNKYYPIICDKPTNIWIEGGNYYPSRFQSGVMKGVGPAEPGDCGGVLRCVH -GPIGLLTAGGSGYVCFADIRQLDFIAETQGITDYITSLGKAFGVGFTDQISAKITELQDSAKDYINVKIL -SKVVKMISALVIICRNHEDLVTVTATLALLGCDGSPWRFLKMYISKHFQVPYIERQANDGWFRKFNDACN -AAKGLEWIANKISKLIEWVKTKIIPQAKEKLDFCKRLKQLDILERQIATMHVSNPTQEKREQLFNNVLWL -EQMSQKFAPLYAVEARRIKELKTKIVNYMQFKSKQRTEPVCVLIHGSPGSGKSLTTSIIGRAIAEKFKST -VYSLPPDPKHFDGYQQQEVVIMDDLNQNPDGQDISMFCQMVSSVDFIPPMASLDNKGISFTSNFVLASTN -SNTLSPPTILNPEALARRFGFDLDICLHTTYTKSGKLNVAMATELCKDCYKPSNFNKCCPLICGKAISLV -DRSSNVRYSLDQLVTAIITDFNNKLKITDSLEVLFQGPVYKDLEIDVHNTPPPECISDLLKSVDSEELRE -YCKQKNWLIPEIPTNIERAVNQASTIINTILMFVSTLGILYVIYKLFAQTQGPYSGNPSHTKLKPPTLRQ -VVVQGPNTEFALSLLRKNIVTITTTKGEFTALGVHDRVCVVPTHSQPDDEVLVNGQPVKLKDKYKLLDPE -NTNLELTILILDRNEKFRDIRAFIADDPEGMEGTLVINSNNFKDTILEVGQLTFAGLINLSSVPTNRMIR -YEYPTRTGQCGGVLCSTGKIYGIHVGGNGRQGFSAQLRKQYFVEKQGAIINKRNVKELGLKPINTPTKTK -LHPSVFYETFPGTKEPAALNDSDPRLEVNLRDSLFSKYKGNVEIDITENMQIAIDQYSGQLLSLDIPTNE -LSLKEALYGTDGLEPIDINTSAGYPYVSLGIKKRDILNRDTQDIAKMEHYLDKYGIDLPLVTYIKDELRS -KDKVRLGKSRLIEASSLNDSVNMRVKLGNLYKAFHTNPGVITGSAVGCDPDTFWSIIPCLMDGQLMAFDY -SNFDASLSPVWFKCLEQVLSKLGFKHSNLIQTICNTHHIFRDEIYEVEGGMPSGCSGTSVFNSMINNIII -RTLILDVYKGIDLDKLKVIAYGDDLIVSYPFELQPELLALAGKKYGLTITPPDKSEKFVKTTWENVTFLK -RYFRPDPQYPFLIHPVMPMQDIHESIRWTRDPKNTQDHVRSLCMLAWHSGEEEYKNFTEKIRSTNIGKCL -LIPEYSVLRRRWLDLF ->APW79182.1 3D, partial [Coxsackievirus A6] -DTSQMSMEEACYGTHNLEAIDLHTSAGYPYSALGINKRDILNPTTRDVSKMKFYLDKYGLDLPYPTYVED -ELRSRDKIKKGKSRLVEASSLNDSFYLRMAFGRLYEAFHANPGTVTGSAVGCDPDIFWSKLPILLPGSLF -AFDYSVYDASLSPVWFRALKLVLREIGYGDEAVSLIEGINHTHHVYRSKTYCVLGGMPSGCSGTSIFNSM -INDIIITSLLIKTFKGIDLDELNMVAYGDDVLASYSFPIDCSELARTGKEDGLTMTPADKSLCFNEDNWE -NATSLKRGFLSDEQFPFLIHPTMPMKEIHESIRWTKDARNTQDHVRSLCLLAW ->BAG70422.1 polyprotein [Enterovirus B97] -MGAQVSTQKTGAHETGLNASGNSVIHYTNINYYKDAASNSSNRQDMSQDPGKFTEPLKDVMIKSLPALNS -PSAEECGYSDRVRSITLGNSTITTQECANVVVAYGEWPRYLEDKEAVAEDQPTQPDVATCRFYTMESVNW -ESNSYGWWWKFPEALKNMGLFGQNMYYHYLGRAGYTIHVQCNASKFHQGCLLVACVPEAEMGCADTTTVV -TQDSLSNGDVPMKFSNQKETSANKVQNAVWNAGMGVGVGNLTIYPHQWINLRTNNSATIVMPYINSVPMD -TMYRHQNFTLMIIPFVPLTVNAAASNQVPITVTVAPMYAEYNGLRMAASQGLPVLNTPGSNQFLTSDDFQ -SPSAMPQFDVTPMMHIPGEVKNLMEIAEVDSLVPVNNVPEKVNSLEAFQIPVSTGSHSGEGAIFAFQLDP -GSGSVLKHTLLGEILNYYAHWSGSLRFTFLFCGTAMTTGKLLIAYSPPGANVPENRKDAMLGTHMVWDVG -LQSSCVLCVPWISQTHYRQVKTDVYTSAGYISGWYQTSIVVPPKTPTECKILCFVSACNDFSVRLLKDTP -FIEQEAELQGDKVATVEDTKASGPSNSEQVPAVTAAETGHTSQVEPSDTVQTRHVKNMHSRTESTIENFF -SRAACVKVMEYTLGQNVEAHDTYASWDISVRDMVQLRRKCEMFTYLRFDLEVTCVITSYQVPGTLQTQDM -PVLTHQIMYVPPGGPVPAKADSYAWQTSTNPSIFWTEGNAPPRMSIPYMGIGNAYSSFYDGWSNFNHTGV -YGYNTLNHMGRLYVRHVNKHSPNTIKSNVRVYFKPKHVSAWVPRPPRLCAYKNKTNVNFETAPVTETRKK -IDEVPGVTHSRLSSHGAFGQQSGAVYVGNYRLLNRHLATHTDWQNCVWEDYNRDLLVSTTTAHGCDTIAR -CQCTTGVYFCRSRNKHYPVSFEGPGLVEVQESEYYPKRYQSHVLLATGFSEPGDCGGILRCEHGVIGIVT -MGGEGVVCFADVRDLLWLEDDAMEQGVKDYVEQLGNAFGSGFTNQICEQVNLLKESLIGQDSILEKSLKA -LVKIISALVIVVRNHDDLITVTATLALIGCTSSPWRWLKQKVSQYHGIPMAERQNNGWLKKFTEMTNACK -GMEWIAIKIQKFIEWLKVKILPEVKEKHEFLNRLKQLPLLESQITTIEQSAPSQSDQEQLFSNVQYFAHY -CRKYAPLYAAEAKRVFSLEKKMSNYIQFKSKCRIEPVCLLLHGSPGAGKSVATNLIGRSLAEKLNSSVYS -LPPDPDHFDGYKQQAVVIMDDLCQNPDGKDVSLFCQMVSSVDFVPPMAALEEKGILFTSPFVLASTNAGS -INAPTVSDSRALARRFHFDMNIEVISMYSQNGKINMPMSVKTCDEECCPVNFKKCCPLVCGKAIQFIDRR -TQVRYSLDMLVTEMFREYNHRHSVGATLEALFQGPPVYREIKISVAPETPPPPAISDLLKSVDSEAVREY -CKEKGWLVPEVNSTLQIEKHVSRAFICLQALTTFVSVAGIIYIIYKLFAGFQGAYTGIPNQKPKVPTLRQ -AKVQGPAFEFAVAMMKRNASTVKTEYGEFTMLGIYDRWAVLPRHAKPGPTILMNDQEVGVVDAKELVDKD -GTNLELTLLKLNRNEKFRDIRGFLTREEAEVNEAVLAINTSKFPNMYIPVGQVTDYGFLNLGGTPTKRML -MYNFPTRAGQCGGVLMSTGKVLGIHVGGNGHQGFSAALLRHYFNDEQGEIEFIESSKEAGFPVINTPSKT -KLEPSVFHHVFEGNKEPAVLRNGDPRLKANFEEAIFSKYIGNVNTHVDEYMLEAVDHYAGQLATLDISTE -PMIFEDAVYGTEGLEALDFTTSAGYPYFALGIRKRDILSKKTKDLTKLIDCMDKYWLNVPMVTYVIDELR -SAEKVAKGKSRLIEASSLNDSVAMRQTFGNLYKAFHLNPGIVTGSAVGCYPYLFWSKIPVMLDGHLIAFD -YSGYDASLSPVWFACLKLLLEKLGYSHKETNYIDYLCNSHHLYRDKHYFVRGGMPSGCSGTSIFNSMINN -IIIRTLMLKVYKGIDLDQFRMIAYGDDVIASYPHPVDASLLAEAGKGYGLIMTPADKGECFNEVTWTNVT -FLKRYFRADEQYPFLVHPVMPMKDIHESIRWTKDPKNTQDHVRSLCLLAWHNGEQEYEEFVSKIRSVPVG -RCLTLPAFSTLRRKWLDSF diff --git a/seq/clusters_seq/cluster_110 b/seq/clusters_seq/cluster_110 deleted file mode 100644 index 6e606fc..0000000 --- a/seq/clusters_seq/cluster_110 +++ /dev/null @@ -1,560 +0,0 @@ ->YP_009924300.1 polyprotein 1a [Mamastrovirus 3] -MFYLNDADRAICSGSMRAREEITLSSIRTLPPFNPETPLHFEWEVQGLVFPTVGTKYFNRIIIVTGECGG -TMRTYATNPDDDGEWREIPPREAPRILNYIRLWNKYDSLKQRLHESQQEKSTLALDNQLLRHEIARLRQE -QHSTTVRTVNMKYILVAAVMIFLALLPGGDAQVYFPGNKTIFTEIRDICRRSTETLNENLELRIKMALFN -VSWSDRLSAIKEILALQFVPQIHWVRVILEALRYYQVWNIFTVALTVLTLLRSEKIGTDLTVIVLAHFSG -WRMAVLPTIPFQTTLSLWVMNGIMLCFCFDIFLALTVAVTAPVLGAVLLSFMSDIDFLGHMRGLIVTSML -AVACHLAYVLNGSTTTIFIVILVIRTLRLHSSTIGTKLELRDENGKVVATLPARARNAAFNFFQKFKQGV -RNSVNEFTVIKPDALCVVETPEGKGTGFFCGNDIITAGHVVTSHRIVNVIYKGLTYEAKVRYAPNKDVAF -ISCPGDLHPQARFKLAKNPDYSLVTVTAFVNDDIVVSTATAVAHGETLSYAMKTQDGMSGAPVTDKFGRV -LGCHQTNTGYTGGAVIIHQEDFHPHRPQGLEAEVEKLKAELEAERAKSAAMNQSYNPNEIVELIRTAVGR -EMQILRDEINKEFEFNQKKKGKTKRGRTGQRTNLRKGARMLTEEEYNELLERGLDRETLLDLIDRIVGER -VGYPEYDEDDDYEYERNEEVDYDMEIDFGQKVKAQKPIPAPRSRKVLPLKTEEVKTLDCNQAQATLVEPC -ECKPEDVKIVVVTTEKPKPKPPKPFSQTYGKPPVWESYDFCWDEESANEILPEPHKLTRADEIILGSKIQ -KLRTIISTAIQTQNFSALPLAVFELDTCAHEHGLEKFLQRVKSRKPKNGKGPQNTKGPKNQKISTH - ->YP_009094278.2 polyprotein 1ab [Mamastrovirus 3] -MFYLNDADRAICSGSMRAREEITLSSIRTLPPFNPETPLHFEWEVQGLVFPTVGTKYFNRIIIVTGECGG -TMRTYATNPDDDGEWREIPPREAPRILNYIRLWNKYDSLKQRLHESQQEKSTLALDNQLLRHEIARLRQE -QHSTTVRTVNMKYILVAAVMIFLALLPGGDAQVYFPGNKTIFTEIRDICRRSTETLNENLELRIKMALFN -VSWSDRLSAIKEILALQFVPQIHWVRVILEALRYYQVWNIFTVALTVLTLLRSEKIGTDLTVIVLAHFSG -WRMAVLPTIPFQTTLSLWVMNGIMLCFCFDIFLALTVAVTAPVLGAVLLSFMSDIDFLGHMRGLIVTSML -AVACHLAYVLNGSTTTIFIVILVIRTLRLHSSTIGTKLELRDENGKVVATLPARARNAAFNFFQKFKQGV -RNSVNEFTVIKPDALCVVETPEGKGTGFFCGNDIITAGHVVTSHRIVNVIYKGLTYEAKVRYAPNKDVAF -ISCPGDLHPQARFKLAKNPDYSLVTVTAFVNDDIVVSTATAVAHGETLSYAMKTQDGMSGAPVTDKFGRV -LGCHQTNTGYTGGAVIIHQEDFHPHRPQGLEAEVEKLKAELEAERAKSAAMNQSYNPNEIVELIRTAVGR -EMQILRDEINKEFEFNQKKKGKTKRGRTGQRTNLRKGARMLTEEEYNELLERGLDRETLLDLIDRIVGER -VGYPEYDEDDDYEYERNEEVDYDMEIDFGQKVKAQKPIPAPRSRKVLPLKTEEVKTLDCNQAQATLVEPC -ECKPEDVKIVVVTTEKPKPKPPKPFSQTYGKPPVWESYDFCWDEESANEILPEPHKLTRADEIILGSKIQ -KLRTIISTAIQTQNFSALPLAVFELDTCAHEHGLEKFLQRVKSRKPKKRQGAPEHQGAQEPEDIHSLGAW -RAMLQPPVERKCVPDNFPLIGHLKIDRPIYDHKTPRDDLLGLLPEPEWKEFEKFGPTTWGPKAFVKSFDK -FFYAEPSNFFEDHPDLCRFADWAWFREFSYLEDTRVIHITATEKNIDSTPAYPKMKYYQTEEEYLDAYGW -TPYVSQFTRILKGERPDVLWYLFLKKEIIKEEKIKDNDIRQIVCADPIYTRIGASLEAHQNQLMKQRTRT -SVGQCGWTPMQGGFKAIMERLVSKGNSYFVEMDWTRYDGTIPTELFRHIKELRWKLINKEQREKYAEVHK -WYVDNLLNRYVLLPSGEVTLQTRGNPSGQFSTTMDNNMINFWLQAFEFAWINGPNKELWKSYDTIVYGDD -RLNTTPYVPPNYEEMIIGMYRDVFGMWVKPGKVKVQNTIVGLSFCGFTVNENLEPVPTQPEKLMAALLKP -YKVLPDLESLHGKLLCYQLLSAFMDEEHPFKCYIEHCLSRTAKQLRDSGLPPRFTEEQLHYIWRGGPKES -NG - ->YP_009664775.1 RNA-dependent RNA polymerase, partial [Bottlenose dolphin astrovirus 1] -RPVFEDKEPRDPLLSLLPPCDWDAHKIFKPSVWGPQAFIKSFEKFFYATPSNFEKDYPDLCEFADWAVKK -EFSFLRESRVIDIRATDKNVSSTPAYPKMMLWDTEEEYLEERGFDEYVKEFERIYDITKSKKIAECPRVL -WYLFLKKEILKVSKIEDNDIRQIVCADPCYVRIGACFEQHQNSMMKHQTEHKWGQCGWCPMEGGFKRRME -RLDNGNAYFIEFDWTRFDGTIPTQLFRRIKKLRWSFINKEQREYYSRMYEWYCYNLFNRYVLLPSGEVTE -QTRGNPSGQFSTTMDNNMVNVWLQAFEFAYFFGPDKKKWSKVDALIYGDDRLSSWPEIPVNYGERVVEMY -KKVFGMWVKPEKVKVQNTLVGLSFCGFTVDQNYEPVPSSPEKLLAGLLTPTKKMPDLESLHGKLLCFQLL -SAFLPEDHPFKNYVEMSLASTAKQLPGTALPPRFTEEQLHCIWRGGPKICNG - ->YP_009380533.1 RNA-dependent RNA polymerase, partial [California sea lion astrovirus 2] -KKEVIKEEKIKNNDIRQILCADPIYTRIGAVLESHQNSLMKERTETAVGQCGWSPMRGGFTRRMRRLIAK -GNKYFMEFDWTRYDGTIPTPLLLHIKKLRWSMINEVQRKKYQSLHDWYCHNLVHRKVVLPSGEITEQHRG -NPSGQFSTTMDNNCVNLWIQAFEFAYMIGPDKELWKKYDTLVYGDDRLSTTPKIVDNYEEKVIEMYKNIF -GMWVKPGKVKISETLVGLSFCGFTVDQNLEPIPTAPEKLMASLLKPSTKLPDLESLHGKLLCYQLLSTFL -DEEHPFKGYVEQCLARTSKQLRDSGLPARFTEEQLRRIWRGGPKTCDG - ->YP_009345903.1 RNA-dependent RNA polymerase, partial [Qinghai Himalayan marmot astrovirus 2] -LPKGPSQWAPETLQLNFWESLVGPGSRWLVPDNFPLVGCVPISRPVVDDNIPDEPLLGILPPVDPCLVDD -YGPTVWGPEAYRVSFDKFFYAQPGNWERDYPEATRFADVQTVKHFGYLQDSIVIPIMATEKNQDSTPAYP -KMMEWASEEEFLCDKGWGPYVDAWKSVNAGSRPNVCWYLFLKKEIIKQEKIDKSDIRQIVCSDPIFARIG -CCFEQNQNQRMKEETETSSGQCGWSPFGGGFERRMRRLVNKNNTFFVEFDWTRFDGTIPRSLFLRIKKIR -WGFVNPRDRERYGGAYRWYCHQLVHRYVVLPSGEVTIQNRGNPSGQISTTTDNNMVNYWLQAFEYYYFNG -ETAWDTWAHYDTLIYGDDRLNTTPSIPDNYVERVCNMYRTVFGMWVKPDKVKVSDHIEGLSFCGFTVGPG -LVPQPTQPYKMMASLLKPCSRLPDFNSLHGKLLCFQLLCHYLPEDHPFKWYLEECLEAVKARARGNGLPA -RFTEEQLERIWRGGPKKRDG - ->YP_009345902.1 nonstructural protein 1a [Qinghai Himalayan marmot astrovirus 2] -MPFERRLQLLKLFPGNVPITVVRPLRCHFFPSSDIRQRILFASGVARGEYRGYFLDTEDPSASWVLSPHD -GYNQKVAFFGVQSLAVDQLKVENAHLKNELSQLKLECQLMRHDLERARRCAATQSPKQLSPFLIFLLLFV -FFSSLLSCIGASELPHEHYQTSTTGLPSTKAHSSLIDRIAELMRLKDKATVDLAFTARAYADLKSRIEEV -DEMIRESVNPDRVKSALGDFVDAIRLRRDHWLGRVTSIMLRTLPNLPYWWLITTPLAAVCACKNLQPVTA -LIFLGVSSLTRWNFAALAVSPALDAGSAWLFIVVQLVYLIDPTFSIFLSLAGLPAAAVLGCFLPTPRYVD -LLRGTAAVGVVSIMCHLALTFGVSTSAVTLLVVCYRISKLIVGFGAEKVEFKTADGKVIHATTSSPNWLW -RFAQRLRQAKVRSTSAPFVRICPEALCRVSTNSGTGTGFRLGNDIITAKHVVDGNTVVTVTYNGYTVQAH -VRRELTKDIAYLRIPTELQNRMPSLKLAKNPNYDIVTVMARDDNGAVIVATTEGAIHGDSISYACATRDG -MSGCPVLDINGHVLGVHQTNTGYTGGAVVITKDDLVETNPKDAEIAALREELSKLRACEQSTSPDDVVGI -VRAAVQREIQVLRDELNKSSLEQKKKGKTKKGRGRVLRAGRRRARLTEEEYEELLSKGLTREQLIAAVEE -LLDQDVEAGFPEWSDPDYSDDDADDVWFGHDRYGDNDDPFGQKDKKSEKPVPAPRTKKTLEARDEKPPVT -FGPKPDRSHYQCGPVQQEHLKKYDPDCFLLTKADMWCLEHELEKLRRLVGEAKAVTTEEWKKVKEEMTNK -LNEAYFELDCAAWANGLQCFSQRVKPRRQPKNSRRGPVSGPQKPSN - ->YP_009345898.1 RNA-dependent RNA polymerase, partial [Qinghai Himalayan marmot astrovirus 1] -LTEGGSEEPPIHELDAWESYLFPGERFLVPPNLPLIGFVEIDRPIFDDKKPRDPLVSLLPLPNIRDYLDF -GPSVWDPEAFTKSFEKHFYAEPKNFVKDYPQATGFADRKLKQHYSFLNSTSIIDIVSTEKNTFSCPAYPK -LLVYESEEDYLQEHGWDYYVSEFERVCNGAKPRVAWYLFLKKEILKKSKIQEKDIRQIVCSDPIFARIGA -VFEQHQNNLMKSYVDTSSGQCGWTPFYGGFQRAIGRLSAPGFKYVEFDWTRFDGTIPPQLFRRIKYFRFL -MMEKPKRDKLKHVYAWYVSSLLRRYTILPNGEVTLCKKGNPSGQISTTMDNNLVNYWLQAFEFYRLNIET -HTEEQIEEFWKDYDTLVYGDDRLASTPILPDNYVARVIQLYKEVFGMWVKEENVKVSDNLEGLSFCGFLI -GKENLPLPAKPYKLMASLLTPTTRLPDIVALHGKLLCFQLLCHHLESDHPFKRYLSACLDFIGPKIAGSG -LPARFTDSQLDAIWRGGPNSHG - ->YP_009336808.1 RNA-dependent RNA polymerase [Changjiang astro-like virus] -MGGMRWQMRENTPTLQDNTPIWERWEEIDYPPEPEWKGRSLLMEDVHALENHGMPVYNTSFSSFTVVANV -NINRPCSKKDPAPNVECEMAVMSVLEGYRAKLSEYQRSGWDMEVLENTFNKFKTHVYNVNQCAWTAASDV -MRHEYRYVPRRIVDFHEIKKPVDKSPGWPGINFASTIEEWRELNPHRAISLWAEMSKRPVFTGAYAFIKD -EPIKVEKIKTKDQRLILCWDDSFQLCKLRFQYDDHMIMKRNWMQSESKMGWSPLIGGLHDSIAPLLSYRY -KLQEDFKRFDGTICEELMLEVYSMDWDNLSYEHKTEDNNMRYYNIVHNSIHTHEILPNGDVVRLAHGNKS -GTSDTTPLNAKVNTFLKAYEMAAFLLSQGESAEEILDYTYHDWRQLYTMITYGDDRLVGEDLEIPLEFKE -NIYKELGMWLPKDKIKRQDYVEGLSFCGAVIKRDPCTKRYYPCFGDNDKLIDSFVWKNADFEEKLLNYVL -ISYGGKYHTSFLKMAEMNGVHVPDPQDIRSLIVGW - ->YP_009275017.1 nonstructural protein [Mamastrovirus 1] -MALGEPYYSSKPDKDFNFGSTMARRQMTTTMITKLPKFVRNSPQAYDWIVRGLIFPTTGKTYFQRVVVVT -GGLEDGTYGSFAFDGREWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVRL -KELIPKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQTNNTIFTDMIAACRYSTETLTENLDLRIKLALA -NITINDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLITLATSHLS -GFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITIAILAPILGIIFLCFMEDSNYVSQIRGLIATTA -LIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDVNGKVVATVPTRIKNVAFDFFQKLKQS -GVRVGVNDFVVIKPGALCIIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVSVCYEGLVYEAKVRYMPEKDI -AFITCPGDLHPTARLKLSKNPDYSSVTVMAYVNEDLVVSTAAAIVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSATENPVTVITQQPIATLEQKS -VSDSEVIDLVRTAMEREMKVLLDEINGVLAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQTKPTPAPRTTKSVPT -SSEKLPKPCPEPEAEAQPLDLSQKKTVENPEKQPEYERQVVKPKPQKSEPQPYSQTYGKAPIWESYDFDW -DEDDAKFILPAPHRLTKADEIVLGSKIVKLRTIIETAIKTQTYSALPEAVFELDKAAYEAGLEGFLQRVK -SKNKAPKLPLIRRAPEDQRAQTYHSLDAWKWLLVPPRERRCVPANFPLLGHLPINRPIFDDKKPKDDLLG -LLPEPTWHAFEEYGPTTWGPQAFIKSFDKFFYAEPIDFFSEYPQLCVFADWATYREFRYLEDTRVIHITA -TEKNTDSTPAYPKMNYFDTEEDYLEAHGWAPYIREFTRVFKGDKPEVLWYLFLKKEIIKEEKIRNSDIRQ -IVCADPIYTRIGACLEAHQNALMKQHTDTSVGQCGWSPMEGGFKKTMQRLVNKGNKHFIEFDWTRYDGTI -PPALFKHIKEIRWNFINKDQREKYRHVHEWYVDNLLNRHVLLPSGEVTLQTRGNPSGQFSTTMDNNMVNF -WLQAFEFAYFNGPDKDLWKTYDTVVYGDDRLSTTPSVPDNYEERVITMYRDIFGMWVKPGKVICRDSIVG -LSFCGFTVNENLEPVPTSPEKLMASLLKPYKILPDLESLHGKLLCYQLLAAFMAEDHPFKVYVEHCLSRT -AKQLRDSGLPARLTEEQLHRIWRGGPKKCDG - ->YP_009154706.1 RNA-dependent RNA polymerase [Astrovirus Er/SZAL6/HUN/2011] -MVEPPFRWLVPEQYPVVCSLPVKRPVIDNHIPNENMLGVLPPPNTDRFDEYGPTIWGPEAYAVSFEKFHY -KEPIDFFKEHPVECEFADKAWRMHFAYLTDTRVVHITATEKNLESTPAFPKHLEYTTEREYLEEKGWTPY -EREFAGIDKGATPDVLWYLFMKKEVLKQEKIDKGDVRQILCADPIYGRIGACLEQHQNNLMKQACEQSSG -QCGWTPFGGGFQRLIRRLERPGAYYIEFDWTRFDGTIPTALFMHIKKLRWSLMNEKHRKRYLHVYRWYCK -NLVHRYVLLPSGEVTRQNRGNPSGQISTTMDNNMVNYWLQAFEFCYFHGPDWQKWAGYDTIVYGDDRLSR -TMHLPSNYEERVVKMYGDIFGMWVKPEKVKVARDLVGLTFCGFEIGEGFTPIPAQPYKLMASLLKPAATL -KDFNTLHGKLLSFQILMAHAPKDHPFREYLEHCLAATADYISSDLPKRFTDEQLRLLWEGGPRDGWRDGQ -TEKG - ->YP_009130648.1 RNA dependent RNA polymerase, partial [Canine astrovirus] -KKRERGSQGSPEKWQLDYWQKLLEEPRSRRCVPENYPLLGHLKLDRPIYDDKIPKDDLLKSLPVPDWHEF -EKFGPTVWGPQAFTKSFEKFDYAPPSNFFEQYPEFCKFADWAFYKQYGFLEDSRVIHVSATEKNQDSTPA -YPKMLDYDTEADFLEANGWSPYVSEISRIMSGAKPKVLWYLFLKKEVIKVDKIADSDIRQILCSDPIYVR -IGAVLEGHQNQLMKNNTEKTHGQCGWTPMEGGFTSRMKRLISKGNAHFIEFDWTRFDGTIPSDLIRHIKK -LRWSLVNAEQRRKYQKLHDWYVENLVNRTVLLPSGEVTEQHRGNPSGQFSTTMDNNMINTWLQAFEFAYF -HGPNKQLWLNYDTLVYGDDRLSTTPLIPDNYVERVVLMYKDVFGMWVKPEKVKISNTIVGLSFCGFTVDE -NLEPIPTQPDKLMASLLKPASKLPDLESLHGKLLCYQLLSAFLPEEHPFKVYVESCLAATSRQLRDSGLP -TRFTEEQMHRIWRGGPKNCDG - ->YP_009130647.1 nonstructural protein [Canine astrovirus] -MCSVPPYFRNQADQDFSFGSTMARRMMTINMINTLPPFEEHTPLHYDWVVKHLIFPGPNTTERCVVITGG -LENGNYVTVVHDGETWIEINPAYKFEGLLRVLRMTARNNSLRERLRLSQEEKSKLILDHQLLRHDYERIK -SQTSTSTKTKIPMFVVFVAALIIFLNFVPTTEAAQAYTSKYTQTGTEGFSLLDKCAQNVARLNNEISLRL -KLALGNVTWSDRYGAIKEIFYANLLPRSHWIVRLFSFLSYFHIWTIFSTCIAVATLTRSTNPIVDIVFLF -FAHISKWQLGIVPALPYFTTTIVWIAITCMGAYVLDPYLAITLTWLQLPFCVVCLSFLSDDKFIEHVRGS -FILTVTATSIHTCLVLTGSTTYCFILLMFFRSLRLLMSSVGNKIEFKDFQGKVVGSISSGTRNRVWNFIQ -RMKQVRTGSNPFAIIKPEALVKIVTDEGCGTGFFCGNDIVTAGHVVGSHRVVEAWYEGSCYQARVRYKPE -KDIAFLALPGDMKPKARYKIAQQPDYSTVVVLAYSSNGLVVSQAQGQCHGETISYTVPTQDGMSGAPVTD -LHGRVLGVHQTNTGFTGGAVVIKTSDVTPPTRPTEDDLRKQIEDLRKQLEEVNKPQPQETLEQSNSGAEV -VSLVREAVRREMDILRQEINQQLMLQKKKGKNKSGGRGNIRKHVGKVKGRKYLTEKEYKELLEKGLDREE -LLDLIDDIIDKRIGFPEWSDPELSDDDDSNWDTYGDEFDHRDVGLQSKPKQKIKEATQCVIQVQEVVPID -EVTISKATESKDFTQHWGKEPVFESYDFDWTAEDAKNILPENSRLTKCDYIVLGSHILKLRHIITTALET -NNFSELPKAVYALDHFAWDHGLEGFLQRIKSKKPKNVKGAPKGAPKNGN - ->YP_009094053.1 RNA-dependent RNA polymerase, partial [Rabbit astrovirus TN/2208/2010] -LPEGDQTIWSPEECKLDYWRQLVGPGTRWLVPASYPLVGSVPINRPVIDDKLVDEPLLGLLPPVDPNLVE -LYGPSTWGPEAYATSFDKFEYGQCDDFFSKYPEMCEFADWAFYRHFAYLQDSIFVNMMATEKNQESTPAY -PKMMRWSTEADYLSDCGWRDYILEFDRIKAGARPKVCWYLFLKKEILKQEKIDKHDIRQIVCSDPIYARI -GCFFEQHQNAQMKTVTESSSGQCGWSPFYGGFEQRVRRLESKGNHYYIEFDWTRFDGTIPVPLFMHIKKL -RFSLFRKDLRDQYASIYRWYCKQLLNRYVVLPSGEVTIQRKGNPSGQISTTTDNNMVNYWLQAFEFAYLN -GPDKDLWDRYDTLIYGDDRLTTTPVLPSDYVNKVVKMYKDVFGMWVKPEKVIVQPSPIGLSFCGFEINPD -YLPVPSQPYKMMASLLKPASKLQDVSSLHGKLLCFQLLMHNAPDDHPFKEYLERCLVALRSHSLGSGLPY -RFTEEQLDYIWRGGPKQSYG - ->YP_009094052.1 nonstructural protein 1a [Rabbit astrovirus TN/2208/2010] -MAARAGQRAYYHSPADEALGLGNPKARRILRRASAAVRGNLKKIFPDPIPLAIGYPLRGYYWPTTGFQRV -ISASGVVGDVYRTYVLDVVSGSEDWVEFPAEEDQTTAFFGQLVCHHERVKSLNRGLRNDLASSNLREELL -RGELARLRREDQPSTTRAYYSWKIMWIMFKIVALSFTLGMIFHHASAETNITPPVQGPAREGWFERAWFG -EYRDWHRTVTAKLADGYVEKDRALDDASEAAEEFRTKAEAYREAGKKVDQTLGEMWSIDWYKRIMTFLEW -ARVPNDHWIYPTARMLAVILPEVPWWALVATSLAVWRTFKDLHPLAALAFLVMATMTKMKFAALTVTPFL -NQVTTISFLIIGTVYFIDPVIGILAALPLVPIIALVCCFLPDVDWRQMVFGHALITLCVVSCHFADLFGL -GSNAVAAVLLCYRMWKAIDGFGAQTVEFRDSTGKVIAKTSNVPNWLWKFGQSAFRAKQKLRQKLRNTVAP -FVRVCPESLCTVTAGDSQGTGFRCGNDIVTAKHVVAGLKVVDVTYNGATYQAGIRLELEKDIALLKLPPQ -LQTMPSLKISKKPVYDTITIVARDGVGILVATTEGVCHGDTISYACPTRDGMSGAPVVDINGHVLGVHQT -NTGYTGGAVVLTQVDVQPVSQKELELLELRKQTEALKQQLADLLAGKNPACGISSPQSERPNPVVVLPDP -VPEPAKIVAPQLPEDLRRLNEEINKMAAKSETILRRMDDFGQAIKDLEKQRAADEAGNQKVWDEVKKNIS -EQQQKNEEKITEFAQRMSQQDESQVIQLVRAAVQQEIQIVRDELNQIFFQKKKGKNKRGRGAKKSRPLLR -KGQKMLTEEEYDELLSKGLSREALLDAVEEIVRNKIGYPEWSDPDDYSDDEDDLFEMGYNIRRAWPPDDF -EEERESRERFDPDDEKYFSKYADWDSFSQGVKLVEVCDKPIWDDYNCGPCPQQHLSKYDPSEFIMTKVDM -QLLKDYILALKTLVSASKDLSADLWKKHQKQLTDKMNEALFKLDMAAWENGLEPFVQRMKPRKQKNPQVS -KNSQKGTKPSGPQKNAN - ->YP_008519302.1 nsp1a [Feline astrovirus 2] -MFYYNDADRGICSGSMRAREEITLASIRTMPGFNPELPLHFEWEVQGLVFPTAGSKYFNRIIIVTGECDG -SMRTFATNPDDDSDWREIPAREAPRTLSYIRLWNKCDSTTKRLRIAQQEKATLALDNQLMRHEIARYQQA -QQTVNVRTVNMKYILVAAVMVFLALLPSSDAQIYFPENRTIFTDIREVCRLSTETLNENLNLRIKMALFN -VSLYDQYEAIKNILTMQFVPQVHWLRVVLEALRYYQVWNLFSVALTVLTLLKSNKVGTDLTVIVLAHFSG -WRMAVLPTIPFQTTLSLWVMNLVMLCFCFDKFCALTMAITAPVLGAVLLSFMDDVNFLGHMRGLLVTSLL -AVSCHLAYMLNGSTTTIFIVILAIRTLRLLTSTVGSKLELRDENGRVVATLPSRVRGAAFNFFQRFKQGV -RSSINEFTVIKPDALCIIETPEGKGTGFFCGNDIITAGHVVTNHRIVNVSYKGLNYEAKVRYTPTKDVAF -ITCPGDLHPQARFKLAKNPDYSLVTVTAFVNEDVVVSTANAVVHGETLSYAMKTQDGMSGAPVTDRYGRV -VGCHQTNTGYTGGAVIIHQDDFHPHKPQGLEAEVERLKAELELERKKHATMNQSFNPNEIVDLVRLAVER -EMQVLRDEINREFGFNQKKKGKTKHGRSGRRTNLRRGARMLTEEEYNELLERGLDRETLLDLIDKIIGER -VGYPEYEEDDDYEYERNEEEREVDYNLRIDFDQRREKQEDVKQTPIPAPRSKKMPAPKIEEVRPIKCAQV -QATVVDPQEITSEKARITVVASEKPRPQPPKAFSQTYGKAPIWESYDFEWDEESANDILPPPHKLTKADE -IILGSKIQKLRTIINTAIQTQNYSALPLAVFELDTCAFQHGLEKFLQRVKSRKPKNSKGPQNTKGPKNQK -TSIH - ->YP_008519301.1 nsp1ab [Feline astrovirus 2] -MFYYNDADRGICSGSMRAREEITLASIRTMPGFNPELPLHFEWEVQGLVFPTAGSKYFNRIIIVTGECDG -SMRTFATNPDDDSDWREIPAREAPRTLSYIRLWNKCDSTTKRLRIAQQEKATLALDNQLMRHEIARYQQA -QQTVNVRTVNMKYILVAAVMVFLALLPSSDAQIYFPENRTIFTDIREVCRLSTETLNENLNLRIKMALFN -VSLYDQYEAIKNILTMQFVPQVHWLRVVLEALRYYQVWNLFSVALTVLTLLKSNKVGTDLTVIVLAHFSG -WRMAVLPTIPFQTTLSLWVMNLVMLCFCFDKFCALTMAITAPVLGAVLLSFMDDVNFLGHMRGLLVTSLL -AVSCHLAYMLNGSTTTIFIVILAIRTLRLLTSTVGSKLELRDENGRVVATLPSRVRGAAFNFFQRFKQGV -RSSINEFTVIKPDALCIIETPEGKGTGFFCGNDIITAGHVVTNHRIVNVSYKGLNYEAKVRYTPTKDVAF -ITCPGDLHPQARFKLAKNPDYSLVTVTAFVNEDVVVSTANAVVHGETLSYAMKTQDGMSGAPVTDRYGRV -VGCHQTNTGYTGGAVIIHQDDFHPHKPQGLEAEVERLKAELELERKKHATMNQSFNPNEIVDLVRLAVER -EMQVLRDEINREFGFNQKKKGKTKHGRSGRRTNLRRGARMLTEEEYNELLERGLDRETLLDLIDKIIGER -VGYPEYEEDDDYEYERNEEEREVDYNLRIDFDQRREKQEDVKQTPIPAPRSKKMPAPKIEEVRPIKCAQV -QATVVDPQEITSEKARITVVASEKPRPQPPKAFSQTYGKAPIWESYDFEWDEESANDILPPPHKLTKADE -IILGSKIQKLRTIINTAIQTQNYSALPLAVFELDTCAFQHGLEKFLQRVKSRKPKNLQGAPEHQGAQEPE -DIHSLGAWKSMLQPPVERKCVPDNFPLIGHLKIDRPIYDSKKPRDDLLGLLPEPGWKEFEKFGPTVWGPK -AFAKSFDKFFYAEPSNFFEDNPDLCRFADWAWFREFSYLEDTRVIHITATEKNTESTPAYPKMIYYQTEE -DYLDAYGWSPYVREFTKILKGERPDVLWYLFLKKEIIKEEKIRDSDIRQIVCADPIFTRIGASLEAHQNQ -LMKSRTRTAVGQCGWTPMQGGFKATMQRLVSKGNNFFVEMDWTRYDGTIPTALFRHIKELRWKMINKEQR -EKYAGVHKWYVDNLLNRHVLLPSGEVTIQTRGNPSGQFSTTMDNNMVNLWLQAFEFAYINGPDKELWKSY -DTIVYGDDRLNTTPFVPENYEEMIIEMYRKIFGMWVKPGKVKVQNTIVGLSFCGFTVNEDLEPVPTQPEK -LMAALLKPYKALPDLESLHGKLLCYQLLSAFMDEDHPFKCYIEHCLSRTAKQLRDSGLPPRFTEEQLHYI -WRGGPKDCNG - ->YP_006905853.1 ORF1b, partial [Astrovirus MLB3] -TKNEAKKREKGSSEEDPKHYLDSWIGMLMPCTRWLVPERYPLYGGVPLDRPVFDFKIVNEDLLGLLPPPP -NSDFDVFGPTVWDEIAYKKSFEKFEYAEYSDFLNMYSDCVAFADSRFLEHFNFLSESGFTHILGTDKNIE -STPAYPKMRWWSTEEDYLEEHGWEYYVKEFENIASGQNFDVCWYLFLKKEILKQTKIDEGDVRQIVCADP -IYSRIGACFEQHQNKTMKEYTEKSSGQCGWSPFFGGFEQRMCRLEGKGNSIYVELDWTRFDGTIPPTLLL -HIKKLRFSLMGDVAHKYENVYKWYCRNLVHRYVILPSGEVTLQNRGNPSGQISTTMDNNMINYWLQAFEF -KYLGLPEEEWIHFDTIVYGDDRLSTYRTLPDNYVNQVVNMYKDVFGMWVKPEKVKVSNTLKGLSFCGFTN -TDNGPVPSEPYKLMASLLKPVTKLPDIIALHGKLLCFQLLMANNVEHPFYGYVEQCLHYTHRALSDASLP -RRFTRRQLEYIWRGGPNGDYG - ->YP_006905852.1 ORF1a [Astrovirus MLB3] -MAMPFHIGVADKLMSMGSSRSRMWLNELHPAVQNQLREIFPYEVPLNTMIVPLSRYSLGTGVTLAVQGMT -ELSEYNTYVLHHDSWLEVDEDRVDPACAYIGTLALDRLKLLERNRELQDENSTLIMDNQLLRHEVERLRP -PATRPVRFSNFALFVLFFFLFFIIGTKAESWQDQTKDYIMDSLQGIKYEFITNLDVYYSFLLGCIRWEVV -SCTIALFSFFKLKRPCIAIVGLFLATISRYNYSALSIVPYLDVYSTAFLYVLMVVYFLVPHVAVVTSVLC -ATLFTLVSMICPHHMFITRVRGDWLVVLVTFATYLCDSMGIHTTAIAIMAAVVRIYTCLPAASSSVVEIK -DSTGKVVQKSTLMPNWLGTMYQSARGMFQRVRSGVASFVRVNPNCLCHIKVDGCSGTGFRLGNDIVTAAH -VIGNATQVEVVYNNYVAQAKVRHIPDKDIAYLILPEGLKSMPVLKLAKTPSYEQVTIIALEGNCMLIPTT -EGVCHGETISYACATRDGMSGAPVLDVNGNVLGVHQTNTGYTGGATVIRATDAAPYIDENAALKREIEEL -KKIIKEHALQQRAVQDNEVVGIVRAAVQREIGVLRDELNSCYQKKKGKNKKGRGARHRMLRRGQKFLTEE -EYQELLDKGLTREQLLDAIEEIVRSRIGFPDWSDPEYSSEEDDAAITYWWEQVKEDNYKDGVPQFEHIVP -KYSPNERTFSEQERKDVAKELEMLQSIVDKVNKDEWDEKKKQITDDLNHALYIVDKALAQHGYILFEQRM -RPKNGKRGPRRRTPNTT - ->YP_004934008.1 unnamed protein product [Astrovirus MLB2] -MAVPFHIGVADKVLALGSSRSRMWLNELSPAVQNQLRDIFPYEVPLNTMIVPFSRYSMGTGVTLAVQGIT -TPGVYSTYVLHGESWLEVDEERVEPACAFVGALAVDRTKLAERNRELQNDNASIIVENQLLRHEVERLRP -PATRPVRFSNFALFVLFFFLFFIIGTKAENWQDQTKDYVIDFLRDVKYEFISNLDIYYSVLLNLIRWEVI -SCVIAIVSFFKLKRPCVAIIGLFLATISRYNYSALSIVPYLDIYSTAFLYVLMVVYFLVPHVAIVTSVVC -AMLFTIVSMVCPHHQFIMRVRGDWLVVLVTIATYICDSMGIHTTAIAIMAAVARIYMCMPATTASVVEIK -DNTGKVVQKTTLMPNWIGTMYQSARGMFQRVRTGVASFVRVNPNCLCHIKVDGCSGTGFRLGNDIVTAAH -VIGNATQVEVVYNNYVAQAKVRHIPDKDIAYLVLPEGLKSMPVLKLARTPSYEQVTIIALEGNCMLVSTT -EGVCHGETISYACATRDGMSGAPVLDVNGNVLGVHQTNTGYTGGATVIRATDAAPYVDENAALKKEIEEL -KRIIKENAMQQRSVQDNEVVGIVRAAVQREIGILRDELNSCYQKKKGKNKKGRGARHRMLRRGQKFLTEE -EYQELLDKGLTREQLLDAIEEIVRSRIGFPDWSDPEYSSEEDDAAVTYWWEQVKEDNYKDGIPQFDHIIP -KYSPSERTFSEQERKDVARELDALQSVVDKVNKDEWDEKKKQITDELNHALFVVDKALAQHGYILFEQRM -RPKNGKRGPRRRTPNTT - ->YP_002290967.1 ORF1b, partial [Astrovirus MLB1] -TTYAAKKRKKGSSEEDPKHYLDTWIGMLSPCVRWLVPERFPLYGGVPLDRPVYDFKVVNEDLLGLLPPPP -NNDFEVFGPTVWDEIAYKKSFEKFEYADYVDFEQIYPECVAFADKKFLEHFNFLSDSSFVNILATDKNVD -STPAYPKMRWWLTESDYLEECGWEYYVKEFENIASGQNPDVCWYLFLKKEIIRQQKIDEGDVRQIVCADP -IYSRIGACFEQHQNAMMKDHTLSSSGQCGWSPFFGGYEARMSRLRSKGNKIFVELDWTRFDGTIPPTLLM -HIKKLRFTLMGSMSRKYENVYKWYCRNLINRFVVLPSGEVTAQQRGNPSGQMSTTMDNNMINYWLQAFEY -KFLNLPEEEWMHFDTLIYGDDRISTYRSIPNEYTKTIVDMYKNVFGMWVKPEKVKVSESLEGLSFCGFTY -TPNGPVPSEPYKLMASLLKPATKLPDLIALHGKLLCFQLLMANDTAHPFYGYIEQCLQYTHRALSDVSLP -RRFTRRQLEYIWRGGPNDDYG - ->YP_002290966.1 ORF1a [Astrovirus MLB1] -MAVPFHIGVSDKVMNLGSARSREWLNELPSTALNQLRDIFPFEVPINTMIVPKSRYQLGTGVTLAVQGMV -TLGCYSTYALDGEAWIEVDETRIDPKCAYVGTMALDRVKLMDRNKELQLDNASMSVDLQLTRHELERCRP -TIIRPQRYGNFALFVLFFFLFFILGTKAEDWHDQTQDYLVEFLKEAKQEIVSNLDTYYSLLLHVVRWEVV -SCIIAIVSFFKLKRPCVAIIGLFLATISRYNYAALSIVPFLDVYSTVFLYTTMVVYFLVPHVAIITSLVC -ALLFTIVSMVCAHHQFIIRVRGDWLVVLITIATYICDVMGIHTTAIAIAASLVRIYMCFPAANASVVEIK -DSAGKVVQKTTLLPNWIGSMYQGARNMLQKVRTGVATFVRVNPNCLCHIRSEGCSGTGFRLGNDIVTAAH -VVGNAVQVEVVYNNYVAQAKVRHIPDKDIAYLILPEGLKTMPVLKLAKNPNYEQVTIIALEGNCMLVSTT -EGVCHGETISYACATRDGMSGAPVLDVNGNVLGVHQTNTGYTGGATVIRATDAAPYIDENAALKKEIEEL -KKMLREQTMNQRSVQDNEVVGIVRAAVQREIGILRDELNSCYQKKKGKNKKGRGARHRMLRRGQKFLTEE -EYQELLDKGLTREQLLDAIEEIVRARIGFPDWSDPEYSSEEDDAAVTYWWEQVKESDYKDGVPQFEHIVP -KYSPNERVFSEQERKDVSEELSALQSIVDGVKKEDWEETKKQTTDALNHALYVVDKALAQHGYILFEQRM -RPKNGKRGPRRRTPNTT - ->sp|Q9IFX2.2|NS1AB_HASV8 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -MMALGEPYYSSKPDKDFNFGSTMARRQMTPTMVTKLPKFVRNSPQAYDWIVRGLIFPTTGKTYFQRVVVI -TGGLEDGTYGSYAFNGSEWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVR -LKELIPKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQTNNTIFTDMIAACKYSTETLTENLDLRIKLAL -ANITINDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLITLATSHL -SGFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITMAILAPILGIIFLCFMEDSNYVSQIRGLIATA -VLIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDANGKVVATVPTRIKNVAFDFFQKLKQ -SGVRVGVNDFVVIKPGALCIIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVSVCYEGLVYEAKVRYMPEKD -IAFITCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTATAMVHGNTLSYAVRTQDGMSGAPVCDKY -GRVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSAAENPVTVVTQQPIVTLEQK -SVSDSDVVDLVRTAMEREMKVLRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEK -GLDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQAKPTPAPRTTKPKP -CPEPKIEAQPLDLSQKKEKQPEHEQQVAKPTKPQKIEPQPYSQTYGKAPIWESYDFDWDEDDAKFILPAP -HRLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQRVKSKKQGPKKLQRA -PEDQGAQNYHSLDAWKSLLEPPRERRCVPANFPLLGHLPINRPIFDDKKPRDDLLGLLPEPTWHAFEEYG -PTTWGPQAFVKSFDKFFYAEPIDFFSEYPQLCAFADWATYREFRYLEDTRVIHITATEKNTDSTPAYPKM -NYFDTEEDYLEAHGWAPYIREFTRVFKGDKPEVLWYLFLKKEIIKEEKIRNSDIRQIVCADPIYTRIGAC -LEAHQNALMKQHTDTSVGQCGWSPMEGGFKKTMQRLVNKGNKHFIEFDWTRYDGTIPPALFKHIKEIRWN -FINKDQREKYRHVHEWYVDNLLNRHVLLPSGEVTLQTRGNPSGQFSTPMDNNMVNFWLQAFEFAYFNGPD -KDLWKTYDTVVYGDDRLSTTPSVPDNYEERVITMYRDIFGMWVKPGKVICRDSIVGLSFCGFTVNENLEP -VPTSPEKLMASLLKPYKILPDLESLHGKLLCYQLLAAFMAEDHPFKVYVEHCLSRTAKQLRDSGLPARLT -EEQLHRIWRGGPKKCDG - ->sp|Q4TWH8.2|NS1AB_HASV5 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -MAHGEPYYSSKPDKDFNFGSTMARRQMTPTMVTKLPKFVRNSPQVYDWIVRGLIFPTTGKTYFQRVVVIT -GGFEDGTYGSFAFDGREWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLTLDVQFLQHENVRL -KELISKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQTNNTIFTDVIAACKYSTETLTENLDLRIKLALA -NITISDKLDAVRQILNFAFVPRSHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSTRPGTDLITLATSHLS -GFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITMAILAPILGIIFLCFMEDSNYVSQIRGLIATAV -LIAGGHACLTLTGTTTSLFVVILTCRFVRMATIFIGTRFEIRDANGKVVATVPTRIKNAAFDFFQRLKQS -GVRVGVNEFVVIKPGALCVIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVNVCYEGLMYEAKVRYMPEKDI -AFITCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTAAAMVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSAAENPSTVITQQPTATLEQKS -VNDSDVVDLVRTAMEREMKILRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQAKPTPAPRTTKPKPC -PEPEAETQPLDLSQKKDKQLEHEQQVVKPTKPQKNDPQPYSQTYGKAPIWESYDFDWDEDDAKFILPAPP -RLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQRVKSKNKAPKKLQRAP -EDQGAQNYHSLDAWKSLLEPPRERRCVPANFPLLGHLPIDRPIFDDKKPRDDLLGLLPEPTWHAFEEYGP -TTWGPQAFIKSFDKFFYAEPIDFFSEYPQLCAFADWATYREFRYLEDTRVIHITATEKNTDSTPAYPKMN -YFDTEEKYLESYGWAPYIREFTRVFKGDKPEVLWYLFLKKEIIKEEKIRNSDIRQIVCADPIYTRIGACL -EAHQNALMKQHTETSVGQCGWSPMEGGFKKTMQRLVNKGNKYFIEFDWTRYDGTIPPSLFRHIKEIRWNF -INKDQREKYRHVHEWYVDNLLNRHVLLPSGEVTLQTRGNPSGQFSTTMDNNMINFWLQAFEFAYFNGPNK -DLWKTYDTVVYGDDRLSTTPSVPENYEERVIDMYRDIFGMWVKPGKVICRESIVGLSFCGFTVNADLEPV -PTSPEKLMASLLKPYKILPDLESLHGKLLCYQLLAAFMAEDHPFKVYVEHCLSRTAKQLRDSGLPARLTE -EQLHRIWRGGPKKCDG - ->sp|Q3ZN06.2|NS1AB_HASV4 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -MAHGEPYYSSKPDKDFNFGSTMARRQMTPTMVAKLPNFVRNSPQAYDWIVRGLIFPTTGKTYFQRVVVIT -GGLEDGTYGSFVFDGREWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVRL -KELIPKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQINNTIFTDMIAACKYSTETLTENLDLRIKLALA -NITISDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLITLATSHLS -GFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITLAILAPILGIIFLCFMEDSNYVSQIRGLIATAV -LIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDANGKVVATVPTRIKNVAFDFFQKLKQS -GVRVGVNEFVVIKPGALCVIDTPEGKGTGFFSGNDIVTAAHAVGNNTFVNVCYEGLMYEAKVRYMPEKDI -AFITCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTAAAMVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPTDFHPVKAPSRVELLKEEIERLKAQLNSAAENPATAVTQQPVVTLEQKS -VSDSDVVDLVRTAMEREMKVLRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGVVGDDVEFDYTEVINFDQAKPTPAPRTVKPKTC -PEPEAETQPLDLSQKKEKQLEHEQQVVKSTKPQKNEPQPYSQTYGKAPIWESYDFDWDEDDAKFILPAPH -RLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQRVKSKKGSKKLQRAPE -DQGAQNYHSLDAWKSLLEPPRERRCVPANFPLLGHLPINRPIFDDKKPRDDLLGLLPEPTWHAFEEYGPT -TWGPQAFIKSFDKFFYAEPIDFFSEYPQLCAFADWATYREFRYLEDTRVIHITATEKNTDSTPAYPKMNY -FDTEENYLEAHGWAPYIREFTRVFKGDKPEVLWYLFLKKEIIKEEKIRNSDIRQIVCADPIYTRIGACLE -AHQNALMKQHTDTSVGQCGWSPMEGGFKKTMQRLVNKGNKHFIEFDWTRYDGTIPPALFKHIKEIRWNFI -NKDQREKYKHVHEWYVDNLLNRHVLLPSGEVTLQTRGNPSGQFSTTMDNNMVNFWSQAFEFAYFNGPDKD -LWKTYDTVVYGDDRLSTTPSVPDDYEERVINMYRDIFGMWVKPGKVICRDSIVGLSFCGFTVNENLEPVP -TSPEKLMASLLKPYKILPDLESLHGKLLCYQLLAAFMAEDHPFKVYVEHCLSRTAKQLRDSGLPARLTEE -QLHRIWRGGPKKCDG - ->sp|P0C6K4.1|NS1A_HASV1 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -MAYGEPYYSSKPDKDFNFGSTMARRQMTPTMVTKLPKFVRNSPQAYDWIVRGLIFPTIGKTYFQRVVVIT -GGLEDGTYGSFAFDGKEWVGIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVRL -KEMIPKPEPRKIQMKWIIMGAVLTFLSLIPGGYAHSQTNNTIFTDMIAACKYSTETLTENLDLRIKLALA -NITISDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLVTLATSHLS -GFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITLAILAPILGIIFLCFMEDSNYVSQIRGLIATAV -LIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDANGKVVATVPTRIKNVAFDFFQKLKQS -GVRVGVNEFVVIKPGALCVIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVNVCYEGLMYEAKVRYMPEKDI -AFLTCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTAAAMVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSATENATTVVTQQPSAALEQKS -VSDSDVVDLVRTAMEREMKVLRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQAKPIPAPRTTKQKIC -PEPEVESQPLDLSQKKEKQSEYEQQVVKSTKPQQLEHEQQVVKPIKPQKSEPQPYSQTYGKAPIWESYDF -DWDEDDAKFILPAPHRLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQR -VKSKNKAPKNYKGPQKTKGPKTTTH - ->sp|Q3ZN07.1|NS1A_HASV4 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -MAHGEPYYSSKPDKDFNFGSTMARRQMTPTMVAKLPNFVRNSPQAYDWIVRGLIFPTTGKTYFQRVVVIT -GGLEDGTYGSFVFDGREWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVRL -KELIPKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQINNTIFTDMIAACKYSTETLTENLDLRIKLALA -NITISDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLITLATSHLS -GFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITLAILAPILGIIFLCFMEDSNYVSQIRGLIATAV -LIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDANGKVVATVPTRIKNVAFDFFQKLKQS -GVRVGVNEFVVIKPGALCVIDTPEGKGTGFFSGNDIVTAAHAVGNNTFVNVCYEGLMYEAKVRYMPEKDI -AFITCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTAAAMVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPTDFHPVKAPSRVELLKEEIERLKAQLNSAAENPATAVTQQPVVTLEQKS -VSDSDVVDLVRTAMEREMKVLRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGVVGDDVEFDYTEVINFDQAKPTPAPRTVKPKTC -PEPEAETQPLDLSQKKEKQLEHEQQVVKSTKPQKNEPQPYSQTYGKAPIWESYDFDWDEDDAKFILPAPH -RLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQRVKSKNKAPKNYKGPQ -KTKGPKTITH - ->sp|Q4TWH9.1|NS1A_HASV5 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -MAHGEPYYSSKPDKDFNFGSTMARRQMTPTMVTKLPKFVRNSPQVYDWIVRGLIFPTTGKTYFQRVVVIT -GGFEDGTYGSFAFDGREWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLTLDVQFLQHENVRL -KELISKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQTNNTIFTDVIAACKYSTETLTENLDLRIKLALA -NITISDKLDAVRQILNFAFVPRSHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSTRPGTDLITLATSHLS -GFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITMAILAPILGIIFLCFMEDSNYVSQIRGLIATAV -LIAGGHACLTLTGTTTSLFVVILTCRFVRMATIFIGTRFEIRDANGKVVATVPTRIKNAAFDFFQRLKQS -GVRVGVNEFVVIKPGALCVIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVNVCYEGLMYEAKVRYMPEKDI -AFITCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTAAAMVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSAAENPSTVITQQPTATLEQKS -VNDSDVVDLVRTAMEREMKILRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQAKPTPAPRTTKPKPC -PEPEAETQPLDLSQKKDKQLEHEQQVVKPTKPQKNDPQPYSQTYGKAPIWESYDFDWDEDDAKFILPAPP -RLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQRVKSKNKAPKNYKGPQ -KTKGPKTIIH - ->sp|Q9IFX3.1|NS1A_HASV8 RecName: Full=Non-structural polyprotein 1A; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20' -MMALGEPYYSSKPDKDFNFGSTMARRQMTPTMVTKLPKFVRNSPQAYDWIVRGLIFPTTGKTYFQRVVVI -TGGLEDGTYGSYAFNGSEWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVR -LKELIPKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQTNNTIFTDMIAACKYSTETLTENLDLRIKLAL -ANITINDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLITLATSHL -SGFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITMAILAPILGIIFLCFMEDSNYVSQIRGLIATA -VLIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDANGKVVATVPTRIKNVAFDFFQKLKQ -SGVRVGVNDFVVIKPGALCIIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVSVCYEGLVYEAKVRYMPEKD -IAFITCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTATAMVHGNTLSYAVRTQDGMSGAPVCDKY -GRVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSAAENPVTVVTQQPIVTLEQK -SVSDSDVVDLVRTAMEREMKVLRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEK -GLDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQAKPTPAPRTTKPKP -CPEPKIEAQPLDLSQKKEKQPEHEQQVAKPTKPQKIEPQPYSQTYGKAPIWESYDFDWDEDDAKFILPAP -HRLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQRVKSKNKAPKNYKGP -QKTKGPKTTTH - ->sp|Q67726.1|NS1AB_HASV1 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -MAYGEPYYSSKPDKDFNFGSTMARRQMTPTMVTKLPKFVRNSPQAYDWIVRGLIFPTIGKTYFQRVVVIT -GGLEDGTYGSFAFDGKEWVGIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVRL -KEMIPKPEPRKIQMKWIIMGAVLTFLSLIPGGYAHSQTNNTIFTDMIAACKYSTETLTENLDLRIKLALA -NITISDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLVTLATSHLS -GFRMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITLAILAPILGIIFLCFMEDSNYVSQIRGLIATAV -LIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDANGKVVATVPTRIKNVAFDFFQKLKQS -GVRVGVNEFVVIKPGALCVIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVNVCYEGLMYEAKVRYMPEKDI -AFLTCPGDLHPTARLKLSKNPDYSCVTVMAYVNEDLVVSTAAAMVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSATENATTVVTQQPSAALEQKS -VSDSDVVDLVRTAMEREMKVLRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQAKPIPAPRTTKQKIC -PEPEVESQPLDLSQKKEKQSEYEQQVVKSTKPQQLEHEQQVVKPIKPQKSEPQPYSQTYGKAPIWESYDF -DWDEDDAKFILPAPHRLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQR -VKSKNKAPEKQGPKKLQRAPEDQGAQNYHSLDAWKLLLEPPRERRCVPANFPLLGHLPINRPIFDDKKPR -DDLLGLLPEPTWHAFEEYGPTTWGPQAFIKSFDKFFYAEPIDFFSEYPQLCAFADWATYREFRYLEDTRV -IHITATEKNTDSTPAYPKMNYFDTEENYLEAHGWAPYIREFTRVYKGDKPEVLWYLFLKKEIIKEEKIRN -SDIRQIVCADPIYTRIGACLEAHQNALMKQHTDTSVGQCGWSPMEGGFKKTMQRLVNKGNKHFIEFDWTR -YDGTIPPALFKHIKEIRWNFINKDQREKYRHVHEWYVNNLLNRHVLLPSGEVTLQTRGNPSGQFSTTMDN -NMVNFWLQAFEFAYFNGPDRDLWKTYDTVVYGDDRLSTTPSVPDDYEERVITMYRDIFGMWVKPGKVICR -DSIVGLSFCGFTVNENLEPVPTSPEKLMASLLKPYKILPDLESLHGKLLCYQLLAAFMAEDHPFKVYVEH -CLSRTAKQLRDSGLPARLTEEQLHRIWRGGPKKCDG - ->AGH62581.1 nonstructural protein [Mamastrovirus 1] -MAHGEPYYSSKPDKDFNFGSTMARRQMTSTMVAKLPKFVRNSPQAYDWIVRGLIFPTTGKTYFQRIVVIT -GGLEDGTYGPFAFDGREWVEIYPIEHLNLMSSLKLIHKANALQERLRLSQEEKATLALDVQFLQHENVRL -KELIPKPEPRKIQMKWIIVGAVLTFLSLIPGGYAQSQNNNTIFTDMIAACKYSTETLTENLDLRIKLALA -NITISDKLDAVRQILNFAFVPRAHWLRTVFYYIHYYEMWNIFMFVLAIGTVMRSARPGTDLITLATSHLS -GFKMAVLPTIPFHTTMTLWVMNTLMVCYYFDNLLAITIAILAPILGIIFLCFMEDSNYVSQIRGLIATTA -LIAGGHACLTLTGTTTSLFVVILTCRFIRMATVFIGTRFEIRDVNGKVVATVPTRIKNVAFDFFQKLKQS -GVRVGVNDFVVIKPGALCIIDTPEGKGTGFFSGNDIVTAAHVVGNNTFVSVCYEGLVYEAKVRYMPEKDI -AFITCPGDLHPTARLKLSKNPDYSSVTVMAYVNEDLVVSTAAAIVHGNTLSYAVRTQDGMSGAPVCDKYG -RVLAVHQTNTGYTGGAVIIDPADFHPVKAPSQVELLKEEIERLKAQLNSTAGNQVTAVTQQPVVALEQKS -VSDSDVVDLVRTAMEREMKVLRDEINGILAPFLQKKKGKTKHGRGRVRRNLRKGVKLLTEEEYRELLEKG -LDRETFLDLIDRIIGERSGYPDYDDEDYYDEDDDGWGMVGDDVEFDYTEVINFDQTKPTPAPRITNSGPT -SSEKSPKPCPEPEAEPHPLDLSQKKVAENPEKQPEYEKQVVKPKPQKSEPQPYSQTYGKAPIWESYDFDW -GEDDAKFILPAPHRLTKADEIVLGSKIVKLRTIIETAIKTQNYSALPEAVFELDKAAYEAGLEGFLQRVK -SKNKAPKKLQRAPEDQGAQTYHSLDAWKSLLEPPRERRCVPANFPLLGHLPINRPIFDDKKPKDDLLGLL -PEPTWHAFEEYGPTTWGPQAFIKSFDKFFYAEPIDFFSEYPHLCAFADWATYREFRYLEDTRVIHITATE -KNTDSTPAYPKMNYFDTEEDYLEAHGWAPYIREFTRVFKGDKPEVLWYLFLKKEIIKEEKIRNSDIRQIV -CADPIYTRIGACLEAHQNALMKQHTDTSVGQCGWSPMEGGFKKTMQRLVNKGNKHFIEFDWTRYDGTIPP -ALFKHIKEIRWNFINKDQREKYRHVHEWYVDNLLNRHVLLPSGEVTLQTRGNPSGQFSTTMDNNMVNFWL -QAFEFAYFNGPNKDLWKTYDTVVYGDDRLSTTPSVPDNYEERVITMYRDIFGMWVKPGKVICRDSIVGLS -FCGFTVNDNLEPVPTSPEKLMASLLKPYKTLPDLESLHGKLLCYQLLAAFMAEDHPFKVYVEHCLSRTAK -QLRDSGLPARLTEEQLHRIWRGGPKKCDG ->APQ41665.1 ORF1b, partial [Canine astrovirus] -RERGSQGSPEKWRLDYWQDLLEEPRERRCVPPNYPLIGHLKIDRPIYDNKIPKDDLLKALPVPDWDEFEK -FGPTVWGPQAFTKSFEKFDYAPPSDFFHDHPECCKFADWAFYKQYGFLEDSRVIHISATDKNQDSTPAYP -KMLDYATEAEFLEEHGWTPYVSEISRIMSGAKPKVLWYLFLKKEIIKVEKIVDSDIRQILCSDPIYVRIG -AVLEGHQNQLMKNNTETAHGQCGWTPMEGGFTSRMRRLKSKGNKYFIEFDWTRFDGTIPAKLIRHIKKLR -WSLVNAEQRKKYQKLHDWYVDNLVDRYVLLPSGEVTEQHRGNPSGQFSTTMDNNMINTWLQAFEFAYIHG -PDKELWASYDTLVYGDDRLSTTPKLPDDYNTKVVAMYRDVFGMWVKPEKVKVSNTLVGLSFCGFKINHNL -EPIPSQPEKLMASLLKPAAKLPDLESLHGKLLCYQLLSAFLPEEHPFRAYVESCLAATTKQLRDSGLPAR -FTEEQMHRIWRGGPKNCDG ->AEM37636.1 putative RNA-dependent RNA polymerase, partial [California sea lion astrovirus 10] -QLCMGYGFGRLSSATAKEKEAGAKKREEGAQKWAPERHQLDYWKRLVEPPIQKKCIPDNYPALGWVKIDR -PIWDDKKPKDDLLGILPEPEWKAFEDYGPTVWGPEAFKKSFEKFFYSEPSDFFSDYPDLCKFADWAWYRE -FYYLEDSRVIHVSATEKNQDSTPAYPKMLYYSTEREYLEDNGWGPYIREISRIMNGEEPEVLWYLFLKKE -VIKEEKIQNSDIRQILCADPIYTRIGAVLESHQNSLMKERTENSSRTMWLVSYARWFHYADAPPHLQKEI -STLLSFDWTRYDGTIPTPLLLHIKKLRWSMINKEQREKYQKLHDWYCHNLVHRKVVLPSGEITEQHRGNP -SGQFSTTMDNNCVNLWIQAFEFAYINGPDKDKWKNYDTLVYGDDRLSTTPEAPDDYEERVIKMYKDVFGM -WVKPGKVKISNTLVGLSFCGFTIDRNLEPVPTAPEKLLASLLKPSVKLPDLESLHGKLLCYQLLSTFLEE -DHPFKGYVEQCLARTSKQLRDSGLPARFTEEQLHRIWRGGPKDCDG ->AEM37627.1 putative RNA-dependent RNA polymerase, partial [California sea lion astrovirus 8] -GLPADVAKEKETTSTSGAKKREEGAQGWAPERHQLDYWKQLVEPPIPKKCIPDNYPSLGWVKIDRPIWDD -KIPKDDLLGLLPDPEWKAFEEYGPTVWGPEAFKKSFEKFWYAEPCDFFKEYPELCRFADWAWYREFHYLE -DSRVIHVSATEKNQDSTPAYPKLNYYSTEKEYLEDNGWTPYIREISRILKGARPEVLWYLFLKKEVIKEE -KIKNNDIRQILCADPIYTRIGAVLESHQNSLMKERTETAVGQCGWSPMRGGFTKRMKRLIAKGNKYFMEF -DWTRYDGTIPTPLLLHIKKLRWSMINEVQRKKYQPLHDWYCHNLVHRKVVLPSGEITEQHRGNPSGQFST -TMDNNCVNLWIQAFEFAYMIGPDKELWKKYDTLVYGDDRLSTTPKIVENYEEKVIEMYKNIFGMWVKPGK -VKISETLVGLSFCGFTVDQNLEPIPTAPEKLMASLLKPSTKLPDLESLHGKLLCYQLLSTFLDEEHPFKG -YVEQCLARTSKQLRDSGLPARFTEEQLRRIWRGGPKTCDG ->ACN44170.1 putative RNA-dependent polymerase, partial [Astrovirus MLB1] -TTYAAKKRKKGSSEEDPKHYLDTWIGMLNPCVRWLVPERFPLYGGVPLDRPVYDFKVVNEDLLGLLPPPP -NNDFEIFGPTVWDEIAYKKSFEKFEYADYVDFEQIYPECVAFADKKFLEHFNFLSDSSFVNILATDKNVD -STPAYPKMRWWATESDYLEECGWEYYVKELENIASGQNPDVCWYLFLKKEIIKQQKIDEGDVRQIVCADP -IYSRIGACFEQHQNAMMKDHTLLSSGQCGWSPFFGGYEARMSRLRSKGNKIFVELDWTRFDGTIPPTLLM -HIKKLRFTLMGSMSRKYENVYKWYCRNLINRFVVLPSGEVTAQQRGNPSGQISTTMDNNMINYWLQAFEY -KFLNLPEEEWMYFDTLIYGDDRISTYRTIPDEYTKVIVDMYKNVFGMWVKPEKVKVSETLEGLSFCGFTY -TPNGPVPSEPYKLMASLLKPATKLPDLIALHGKLLCFQLLMANDTAHPFYGYIEQCLKYTHRALSDVSLP -RRFTRRQLEYIWRGGPNDDYG ->AII82242.1 ORF1ab [Mamastrovirus 2] -MFYYNDADRSICSGSMRAREEITLASIRTMPGFNPELPLHFEWEVQGLVFPTAGSKYFNRIVIVTGEYEG -SMRTFVTNPDDDSDWREIPPRESPRTLNYIRLWNKYDSTRQRLQVAQQEKATLALDNQLMRHEIARLRQA -QQATIRTVNMKYVFVAAVMIFLALLPGSDAQVYFPGNRTILTDIREVCRLSTETLNENLNLRIKMALFNV -SIYDQYEAIKNIITMHFIPQVHWLRFVFEALRYYQVWNLFTVALTALTLLKSNKVGTDLTVIVLAHFSGW -RMAVLPTIPFQTTLSLWVMNFVMLCFCFDKFCALTIAISAPVLGAVLLSFMDDVNFLGHMRGLLVTSLLA -ASCHLAYMLNGSTTTIFIVILAIRTLRLLSSTVGTKLELRDENGKVVATLPSRVRGAAFNFFQRFNAAPR -TRDGRVATTLPFSSRSSSLVVRSNINEFTVIKPDALCVVETPEGKGTGFFCGNDIITAGHVVTNHRIVNI -SYKGLNYEAKVRYTPTKDVAFITCPGDLHPQARFKLAKNPDYSLVTVTAFVNEDVVVSTASAVVHGETLS -YAMKTQDGMSGAPVTDRYGRVVGCHQTNTGYTGGAVIIHQDDFHPHKPQGLEAEVERLKAELELERKKNT -TMNQSYNPSEIVDLVRLAVEREMQVLRDEINREFDFHQKKKGKTKHGRSRQRHNLRKGARMLTEEEYNEL -LERGLDREVLLDLIDRIIGERTGYPDYEEDDDYEYERNEDDREVDYGLRIDFDQKRTGQAIAKTPVPAPR -LKKVPTPKIEEVQPIKLAKAQAIVVEQQELKAEEAKVVVIPSQKPKPQPPKAFSQTYGKAPIWESYDFDW -DEESANDILPAPHRLTKADEIILGSKIQKLRTIIDTAIQTQNYSALPLAVFELDTCAYEHGLEKFLQRVK -SRKQKNLQRAPEHQGAQEPEDIHSLGAWKSMLQPPVERKCVPDNFPLIGHLKIDRPIYDSKKPRDDLLGL -LPEPEWKEFEKFGPTVWGPKAFTKSFDKFFYAEPSNFFEDYPDLCRFADWAWFREFSYLEDTRVIHITAT -EKNTESTPAYPKMKYYQTEEDYLDAYGWAPYVREFTKILKGERPDVLWYLFLKKEIIKEEKILDSDIRQI -VCADPIFTRIGASLEAHQNQLMKMKTRTAVGQCGWTPMQGGFKATMQRLVSKGNNFFVEMDWTRYDGTIP -TALFRHIKNLRWKLINKEQREKYAGVHEWYVDNLLNRYVLLPSGEVTVQTRGNPSGQFSTTMDNNMVNFW -LQSFEFAYINGPNRELWKCYDTIVYGDDRLNTTPFVPENYEEMIIDMYRKIFGMWVKPGKVKVQDTLVGL -SFCGFTVNEDLEPVPTQPEKLMAALLKPYKTLPDLESLHGKLLCYQLLSAFMDEDHPFKCYIEHCLSRTA -KQLRDSGLPPRFTEEQLHYIWRGGPKDCNG ->AFI71936.1 ORF1b, partial [Dog astrovirus] -KKRERGSQGSPEKWQLDYWQKLLEEPRSRRCVPESYPLLGHLKLDRPIYDDKIPKDDPLKSLPVPDWHEF -EKFGPTVWGPQAFTKSFEKFDYAPPSNFFEQYPEFCKFANWAFYKQYGFLEDSRVIHVSATEKNQDSTPA -YPKMLDYDTEADFLEANGWSPYVSEISRIMSGAKPKVLWYLLLKKEVIKVDKIADSDIRQILCSDPIYVR -IGVVLEGHQNQLMKNNTEKTHGQCGWTPMEGGFASRMKRLISKGNAHFIEFDWTRFDGTIPSDLIRHIKK -LRWSLVNAEQRRKYQKLHDWYVDNLVNRTVLLPSGEVTEQHRGNPSGQFSTTMDNNMINTWLQAFEFAYF -HGPNKQLWMNYDTLVYGDDRLSTTPLIPDNYVERVVLMYKDVFGMWVKPEKVKISNTIVGLSFCGFTVDE -NLEPIPTQPDKLMASLLKPASKLPDLESLHGKLLCYQLLSAFLPEEHPFKVYVESCLAATSRQLRDSGLP -TRFTEEQMHRIWRGGPKNCDG ->APB03098.1 putative RNA dependent RNA polymerase, partial [Astrovirus MLB2] -ADKVLTLGSSRSRMWLNELPPAVQNQLRDIFPYEVPLNTMIVPFSRYSMGTGVTLAVQGITTPGVYSTYA -LHGESWLEVDEERVEPACAFVGALAVDRTKLAERNRELQNDNASIIVENQLLRHEVERLRPPATRPVRFS -NFALFVLFFFLFFIIGTKAENWQDQTKDYVIDFLRDVKYEFISNLDIYYSVLLNLIRWEVISCVIAIVSF -FKLKRPCVAIIGLFLATISRYNYSALSIVPYLDIYSTAFLYVLMVVYFLVPHVAIVTSVVCAMLFTIVSM -VCPHHQFIMRVRGDWLVVLVTIATYICDSMGIHTTAIAIMAAVARIYMCMPATTASVVEIKDNTGKVVQK -TTLMPNWIGTMYQSARGMFQRVRTGVASFVRVNPNCLCHIKVDGCSGTGFRLGNDIVTAAHVIGNATQVE -VVYNNYVAQAKVRHIPDKDIAYLVLPEGLKSMPVLKLARTPSYEQVTIIALEGNCMLVSTTEGVCHGETI -SYACATRDGMSGAPVLDVNGNVLGVHQTNTGYTGGATVIRATDAAPYVDENAALKKEIEELKRIIKENAM -QQRSVQDNEVVGIVRAAVQREIGILRDELNSCYQKKKGKNKKGRGARHRMLRRGQKFLTEEEYQELLDKG -LTREQLLDAIEEIVRSRIGFPDWSDPEYSSEEDDAAITYWWEQVKEDNYKDGIPQFDHIIPKYSPSERTF -SEQERKDVARELDALQSVVDKVNKDEWDEKKKQITDELNHALFVVDKALAQHGYILFETKDEAKKRKEGS -SQKDPKHYLDSWIGMIMPCARWLVPERYPLYGGVPLDRPVFDYKIVNDDLLGLLPPPPNQEFDVFGPTVW -DEIAYKKSFEKFEYAEYSDFLNVYIECVAFADSRFLEHFNFLSESGFTHILGTDKNMESTPAYPKMRWWL -TEEDYLDEHGWEYYEKEFDNIASGQNFDVCWYLFLKKEILKQSKIDEGDIRQIVCADPIYSRIGACFEQH -QNRMMKDYTEKSSGQCGWSPFFGGFEQRMDRLEKKGNKFYVELDWTRFDGTIPPTLLMHIKKLRFSLMGE -VAHKYERVYKWYCRNLVNRFVILPSGEVTLQNRGNPSGQISTTMDNNMINYWLQAFEFKYLGLPEDEWIH -FDTIVYGDDRLSTYRTLPADYTSKVVAMYKDVFGMWVKPEKVKVSDTLIGLSFCGFTNTKKGPAPSEPYK -LMASLLKPVSKLPDIVALHGKLLCFQLLMANNADHPFYGYVEQCLHYTHRALSDANLPRRFTRRQLEYIW -RGGPNGDYG ->ADJ38390.1 ORF1b, partial [Astrovirus rat/RS118/HKG/2007] -KKREKGPQAGPKEWYQLDYWRLLVTPGVRRRLVPDDYPLVGVVPMNRPICDFDEPVDPHLGVLPPPPKVQ -QLEDYGPTIWDESAYLKSFEKFYYAEPSDFFQDYPELCAFADAAFRKHFSFLQDTRVVHITATEKNLDSS -PAYPKLCWYSTERDFLEEQMWAPYIKEFARVDRLTKDGKGPRVLWYCFLKKEILKAQKIKDGDIRQILCA -DPIYSRMGACFEQHQNQLMKEMADVSSGQCGWTPFEGGFRAVCERLQEKNGVFIEFDWTRFDGTIPTCLF -LHIKKLRWSFMEKTHQERYGKMYRWYCKNLVDRYVVMPSGEVTKQKRGNPSGQISTTMDNNMVNYWLQAF -EWAWFNGPDFEKFNEFETVVYGDDRLSTWSRVPDDFSTLASDMYRRVFGMWVKPEKVKVSSQLEGLSFCG -FTIGPGFNPRPAEPFKLMASLLKPSKKLPDYLALHGKLLCYQILMHFVEDEHPFKRYVLRCLDVTWRLSG -KKLLRRFTEQQLNALWWGGPKKCDGR diff --git a/seq/clusters_seq/cluster_1100 b/seq/clusters_seq/cluster_1100 deleted file mode 100644 index 6b21c4a..0000000 --- a/seq/clusters_seq/cluster_1100 +++ /dev/null @@ -1,43 +0,0 @@ ->YP_010088053.1 MAG: hypothetical protein KM766_s4gp1 [Neofusicoccum parvum chrysovirus 1] -MSLLVDTSAPWRSVALGAGLSARRVEMRAAVKGHGQGNVSEEVGVTDKSTDSWLRDSARVAVSEEAARNG -MMTGVISADVEVSAIDAKSSDSALSRLNAVPYDYTGGNSTVVEYTFAGKCFVVRPAINTTVVDAMYSAPT -ADKWAQATGIKLPRVIAQGQAPGAVAGMPNPASAQQQAQQGFDSLRKHVVSCARGDDYGDRLFNLARVVL -HAQVLHTLRKRPEVTPAMQTTGGARSIAAADTPNLTPTQLMDARYTYLYVHTDTSADYRAFLSMGVRGVQ -NYTGAFGSVYSACTAEAEARADDELVFVRVGGDAPSPVGPGADAYTRVLSNPASCLAYYYAYASSMGLGG -LATAVLAQAAHAPHIWGSRAISPYRTGQPRLDACTYVLLPDQDVAHVVLDDVRQLVHSAAIVANAYKAAI -GGALMSYKSNRQVDDSLVVEQYVERLTDPEARRPLLRSITACLYSGTIGLEWLSPFSYDVQSGYQSCVAA -YRDYGYLLAMYNRCPVGALTALFSTGVDMANSMVGHGIADSQGSYAQTTGVLIAAGCQLLGACERWPVRL -TAQLPQVAALTRGWKLVVTLVRFVAVQPHTTKLEDSPGKWSKEVLQESTTATGDIASVRSSPAAPAARPD -IRGTHSRQVSETAVPIKAVLRKPKSTGGAVVPKAPTETTGYVGAMSQQVYPGEYGPTERNTDASSSTVRR -TRTSSSSLQASDRTRKPVEVKERARQAVGPPKVDAAVGLPKAGATAETKPTITAAAQPIKTLGAIPGHKE -VSARARRGWEEDSEDDDDDAEDDADDSGEEPEDPATAQTRAVTRPIPQQKQADSPAGSGKGSSALSGRAG -SSGTVHTAKTRTMGPSDFSV - ->YP_008914866.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MGLTLDPAHRWRSTDLAFAPVREISVQTAVRGRDDSEAAGTGFSGDKETEAWYNGSARVPIDDMCLTAGM -RAGVLKLAVEIGSAKPSSADEAVVQLRSVPYSYVGKPLTVSLSHAGRHFVARPALNETVAMAMYEAPTAD -KWVAATNFKLPRTVAAPGAAPQVPGLPNGGGGANLGLPNNFDAVRRVLVECARGDDYGYRLFSLARVVMH -AETMRRSGISPRETPVMADQNMFSITTGDTPHLTEAQINNYAYAYNHTEQSPQYRAFLTMGLRGVGHYAM -PGTIYSDGDYPAECAANHPISFVRVGGPPPANVAPDPPHYTAVLSNPGLALSYYWAYAYSMGLGRVAGAI -LAQASLAPHIWGSAAVAPYKNCAPKLDAAAYLLLPDQETAHVTADSARELVANAAVLSEAYLAGIGATLM -SARDGGHQDTALMMRAVTEKLSDPETRRGAMLSITSRLCPGGVGMEWLSPFSYDVLDGTERCIRAWRNHG -FLLALYDTSPVAALAPLFSTGVAMNNSLLDRKSVVTGAEYPQLVACALAGRAELAGRCEKPSQAYLAALA -GHSARMRAWTVVVTVLGVVPPASDDEDLADAYEQVVSRQESSSSARPQSSQSDRSVVRGHGAQEQTPSGA -APSSPPPIAPLRGMRPGSRARSSKGSLSVPKGQLPEVGEEPAERPLDDRAEPEPPAALSPPKLALDKPSW -GSWASEVASVEARLIGPISGPKGQIVEPEYRGIVPSRGTTTAASMASGTVVSVGRRAKGKEPERAQSASS -SSEPASGGSRGEEL - ->YP_003858287.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MGLTLDPAHRWRSTDLAFAPVNEVSVQSAVRGRDDSEAAGTGFTGDKATKAWYDGAGRVPIDDMCLAAGM -RAGVLRLAVEVGSARPSSADESVVQWRSVPYSYVGKPLTVSLSHAGRHFVARPALNENVAMAMYEAPTAD -KWVAATNFKLPRTVAAPGAAPQVPGLPNGGGGANLGLPNNFDAVRRVLVECARGDDYGYRLFSMARVVLH -AETMRRSGISPRATPSMDDQNMFSITTGDTPHLTEAQINNYAYAYNHTEQSPQYRAFLAMGLRGVGHYAI -PGTIYSDGDYPVECAVNHPIAFVRVGGPPPANVAPDPAHYTAVLSNPGLALSYYWAYAYSMGLGRVAGAI -LAQASIAPHIWGSAAVAPYKNCTPKLDAAAYLLLPDQETAHVTADSARELVANAAVLSEAYLAGIGATLL -SARDSGHQDTALMMRAVTEKLSDPETRRGAMLSITSRLCPGGVGMEWLSPFSYDVLDGTERCIRAWRNHG -FLLALYDTSPVAALAPLFSTGVPMNNSLLDKKSVVTGAEYPQLVACALAGRAELAGRCERPSPAYLAALA -GHSARMRAWTVVVTVLGVVPPASDDEDHADVQEQAASRQESSSSVRPLSSQSDRSVTRGHGTAEPAQAGA -GPSSPPPVAPLRGMRLGSRARSHKGSLSAPQDPIPETGEEPVRQPDGAGAKPKVQAILEAPKPALEPPSW -NSWASEVASVEARLAGADEGPRGKIVEPEYRGIAPSRSTTTVATSRSVVPSAASAASGTVVSMGRRAKGK -EREVERADQVESSSGSSDQASGGSRGEEL - diff --git a/seq/clusters_seq/cluster_1101 b/seq/clusters_seq/cluster_1101 deleted file mode 100644 index d536387..0000000 --- a/seq/clusters_seq/cluster_1101 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_010088051.1 MAG: hypothetical protein KM766_s3gp1 [Neofusicoccum parvum chrysovirus 1] -MAQSAFGTQGDARVGLPALLPTSSLSGMELALQRSRDRGVVPLERTMMVKAGGRRLAVVEPPTPDQTHAR -LYQDVREAVDRRPDDTVKQYAASLHRVWTSGAKTRRSTTTMRRAVLGGSTASATTHLGVPLTYTRGQLQP -AGGVETGGVSLDAAREWVAGVVRGTLGPIKFDEGELSVDVTIDAVEVAVGQFANTYTLTPKTANRRGNCR -VELLVVPASPPVLYDAHVKDWGSAPRGIRRVLTTLLDATQQLLTRGRLTPCNATYLPVAPNVGTMRQLKE -WPEEACHAALFNDKLGAPPPEQLAARVSVVQYDTLSGVASGVVAACDVSPDLFIIGGSPNGKGVHYSVMR -VVVDGVRGHYATTATQQREQPLMYYSTMRRQPCRKDGLLSPQLVNLLTGDGSGNVGVDFVDNSTGESVPL -RVRTLWADALDRRGVVELKTRDPEQAIGTLIGMAMLQGWSAAADSDAMCLSAVSGEGISVRIKVVYWPTV -GPRWMEGVSAGEQVDLSPNREDYYFEYPSELAMDYWMSGLARYVMKDLIVGGSTRLNGLSPVVHAHGLFP -LLDHQPALAMPTMDIVLHVGKVKAASRGDAVGVYVYAIGAALCKAGKLMAKYEALDAHVETMDVRGSNSA -VARYESLQQSVTRRGDVRTSVRLLARLASQNKIRVFGSGVGTAVEQCTAEHDHHADTSETDKLREVGPVM -NPSMLMPDGWDYASTPGDIACANAFASGIVAALPEVGNVDDASEQRMWELIAGE - ->YP_008914865.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MLMRESRGMEEEVASSREALQGWGASPAAGWPNRETNGYAQDRRPGAQAPLTQESAWDLGSWTSHTEHQP -SMSRNAMLGSAVGAGRSTGTNEARRSTAEPAAGARAHGPSQPGNLLPSTSVYRSGRMEQPTQSRRYPAPL -RTKPTKSQPHVEQAGGYTRQRATATDVLSDAGGGHPTQRPLPRRGAGFDTAMGHSPYEPSAELPTESQQS -YALSPGSFQDDALAALSTLGKVPALEVAGIVRRGATILGKLEPPSEEQTYARLYREAREYVGDSQEVEAD -RPVDRVWAETSEPRLSRTAMARVDPDTQWKPDLYLGLPYGPNAAQIVAQPLDVREASHFSNLAPWIIGVL -NGTTGAFKGDALMLSAKTTPHVDDGWLGTQALTRHDIDVRLAVADTACTVSVWVGVDYVAGKPVLHHMAV -AGYRAAKPPVRRLALALCEALTYHVAVGGVLPVNAVHKRQCSNYTDIMSAEAYSDPPAPNQLGPRVATNP -PEGCLSQLVQVHQYDVQTGVATGVMAARDVPPAAFYFGGTPNGRNTGYAIARTEVDGVMTHVLTGAVHMK -GHPAFLLSGRRRQPCVRDGLYSPGAVSKLFGDGSGGLCMRAEEASGVDRGLRSVWSDALSNANKTAAVRS -RDPLSAANLLMAIAKLNGWQAKPAGPHSVTADTDQGQVRVIVEFWPTSGPRWLEVLSFDEEVDLGPEGDD -GQCDHPSELALDYWLSGLARYMLKDITRNGYLLKGCGKYARNELSPFQAQHTAAPQAACDVVVSAWRVET -RVGSAKASYMYNLGVAVCAAGAVVATSNLVDANAEQRRSAVVGNGNVLAAYDRRTRPDAAHADLLDVLKG -LSRLAMAGSVRVYSATQAGHDQADVNVLRSDGKSGPSARIMEIGTLVERRGSAADAGMDAAGAAVSSGVA -ADDGQPVRLAGTDELWSTLRRLVR - ->YP_003858289.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MLMRESGSTGGMDASGHGPARSASTTPGRGWPRQEVGSVDRDGRHGTQVPRTQGSGWSRGSWTHHTASPS -AQSRYTTCGNAADAGPNIGTATARRSTDAFADSAYTRGPSHPGDLLPSTSVYKSGQPGPSPPATGRPAPL -RTGRMQGQQDESWASRRTMHHTTTTGGANGAGGGHRTQRPPPPRGAGFDTAMGQSVYEPSAELPTETQQS -YALSPGSFQGDALAALSTLGKVPALEVAGIVRRGATVLGKLAPPSEDQTYARLYREARDYVGNNTEAEVE -RPVDRVWAETSEPRLSRTAMARADPDTQWQPGLYLGMPYGPDAARIVAQPLDAREPGHFSNLAPWIVGVL -NGTTGAFEGDALRLSARTTPHVDDGWLGAQALTRHDIDVRLAPSNAACTVSVLVGVDYVAGKPVLHHMAV -AGYQDARPPLRRLTLALCEALTYHVAVGGVLPVNAVHKRQCSNYTDIMSAEAYSDPPAPNQLGPRVSTNP -PEGCLAQLVQVHQYDVQTGVSTGVMSARDVPPSAFYFGGTPNGRNTGYAIMRVEVDGVITHVLTGAVHMK -GHPAFLLSGRRRQPCVRDGLYSPGAVCKLFGDGGGGLCMRAEDASGADRELRTVWSDALSNENKTAAVRS -RDPLSAASLLIAIAKLNGWQARPAGPHSITADTDRGEVRVFVEFWPTSGPRWLEVVSFDEEVDLGPEGDD -GQCDHPSELALDYWLSGLARYMLKDITRNGYLIKGCGKYARNESSPFQAQHTAAPQASCDVVVSAWRVET -KIGPAKASYMYNLGVAVCAAGAVVATSNLVDVNAEIRRGAVVGNGNVLAAYDRRTRSEVARADLLAVLKG -LSRLAMAGSVRVYSATQAGNDQADVNVLRSDGKSGPSARIMEIGTLVERRGSAADAGADASGAAVSSGVA -ADTGQPVTLAGADELWATLRRLVR - diff --git a/seq/clusters_seq/cluster_1102 b/seq/clusters_seq/cluster_1102 deleted file mode 100644 index e964652..0000000 --- a/seq/clusters_seq/cluster_1102 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_010088050.1 MAG: putative coat protein [Neofusicoccum parvum chrysovirus 1] -MESQLSIHAGLGLPDDFKRGGGSVLPDDLFAAIAQTAGTTKPSETGVQGARLPLDQSQASERPIALKTMV -GERLHTLFLMLGDTDFDTSVSEESDAEHSVGISYAVEPPNSKLLRGATNDITARYPAMMEHKHACRVSYS -AKTGALTRASASGAMDGLSHHAASGLNSPVTLGVGREMLHAREVRYGGEMSSVFLRMWLSHLSVLAARPV -TIRVDKPALRGALSGVDVSRESDRARVLTGVRVNARGLTRQALALLVIGCGTLNTVNGLHRRAERYRFRS -TPLTLYGTTDNTSSAVELDDAHRTGAAIMSLASRFNAQNECAEALRAAIVLFGASDSGRAITLNCAEPDL -HDDTVVTTGVAGGVLTSNSDLGDKRLLSLALFAGRVWRQTAGHMLRASMATLHATDVDAAGAAILPMQRT -ALREVGAQVSELFETMMSLVDSRDYVMNNYGTLWSETGIAHALAYGVVVSGSVAAEATAVIHVPYASSLT -TTDDPSRRVSRARDVATLLLVQSLVSAAGEDLVGSDKARVRRATAATQFTTQVVQLGGGDVQFTLLGVGS -GLTVQLNDNIAEWAPPAEGHTTLDSEAVKAHYLVDSLTRDKNEPNVERVPVEDLMETALPRGSIMRRIRG -MQTTNAFTGAEGEGVISVLPGHEDDVVAALQHRSMNVVPTSGHGLMCGANALVTSLAAQADTAVDVEQLT -AAIQGALSEEDAHLAAAAGVPLEDRNFTADQLAAGLQSIGAYDLVAVVEDQHGTRAYRVPSGRSDATPVV -IHNRGGHWSGISKLAGQPIVLSRSARGARKQ - ->YP_008914862.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MAMGMTGGQLASKLRIDQGLGLPDAFLKQSGRAGEADSGADGEWAAFGIHSGAPPNAAHPHVVPAALDAS -SSAGRVDTLRPLVGDVAYSLFLRLGETDYDVTKDEEASPMDVSHSVICSYALEIDGRTALTRADVTSHCA -VYPPMAKRGSATPVSVTGALTTSRRTSAAAACEGLAMHAGSGNNADVSLGVAREFMYDRARHQENGLESV -FVRMWLVHLSVLSRRPVTQVVDPAMLAARFVNILAPAESDAARAMRGVRINARGLSDTALALLVLGCSDT -SQAAGLHYRARRYKFTRSALSMYGMQGRIRLAVALDRAEVTGLAIVSLAERYGAEAACGAGLQTALMMYG -VNDSGRYVRLKCPEPELHDDVATTAGIRALSVRSYSDLSDNRLLSLSLFVGRAWRQAAGHLLRSSTMQTT -TADIDAVVNTLLPSQGALIKAVGCAHARAMGWVAPLVDTVSYVEQNYRLLWEERGVVHCLALGLRVPNSV -LEEATAVIDVPYPPALSPSDDPLSAKPRANSLATLGLVESLLSSSGESLCGSARARGRRQAGLVAVPAQV -VALAGHRVQFTLLSVASGVAVKVEELPTRPLLAEPLQTGLQAVEYVQAPWAPAQDAPEPAPPVEFRDTLD -QFLHAYRPSRSVLEQPRVEVTPRMSSGSDVLNVMLPEGTPASLRESIIGGTLTPVSGAGVGGCAEAIANS -LQAQHGVTVSAGEIEKAMQGDSGGTSLQVEAMAGALAKFGDYRLVLLDEHSQGVSLKAGASGSKPVTIHR -NGSSYNALGRGPGRGIRVRLRGGGPPAREAQPQLRRLSRSS - ->YP_003858288.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MAMGTTGGQLASKLRIDQGLGLPDAFLRQDGKGGGADGGADGEWAAFGLHSGAAPDNAPPRVVPAALDAS -SGAGRIDTLRPLVGDVAYSLYLRLGETDYDVTKDEEASPTDVSHSVICSYALEVDGRTALNRADVASHCA -VYPPMVRRASATPVSVTGALTTSRLTSAAAACDGLAMHAGSGNNADVSLGIGREFMYDRARHQENGLESV -FVRMWLVHLSVLARQPVTQVVDPAVLSARFANIAAPAESDAARAMRGVKINARGLTNTALALLVLGCSDT -SQAAGLHYRARRYKFARSALSMYGMQGLGRVAVALDRAEVTGLAIVSLAERYGAEHACGAGLQTALMMYG -VNDSGRYVQLKCPEPELHDDVATTAGIRALSVKSYSDLSDNRLLSLSLFVGRAWRQSAGHLLRSSTMQTT -TADIDAVVNTLLPSQGALIKAVGSAHARAMGWVAPLVDTVSYVEQNYRLLWEERGIVHCLALGLRVPNSV -LEEATAVIEVPYPPALSPSDDPRSAGPRAGSLATLGLVESLLSSSGEGLCGSARARGRRQAGLVAVPAQV -VALAGHRVQFTLLSVASGVAVRVEELPTRPLLAEPLQTGLEAVEYVQVPWAPAQAAPAPAPPVEFRDTLD -QFLHAYKPSRSVLEQPRVEVTPRMQSGSDVLNVVLPEDTPAPLRDSIMGGTLTPVSAAGVGGCAEAIVNS -LQAQYGVTVSTGEIEKELQGDHSGGELLQVGAMASALAKFGDYRLVLLDEHSQGVILRAGDSGSKPVTIH -RNGASYNALGRGPGRAIRVGLRSHGPPAREPQREPRRMSRSS - diff --git a/seq/clusters_seq/cluster_1103 b/seq/clusters_seq/cluster_1103 deleted file mode 100644 index f327515..0000000 --- a/seq/clusters_seq/cluster_1103 +++ /dev/null @@ -1,23 +0,0 @@ ->YP_010086054.1 phosphoprotein, partial [North Creek virus] -MKKEEILSSKGLEKVIQSIGDGGVESGNPVGPTNDVPDGCSPPKKPKTPKILQKGPSRHSQQAKPSGGSG -EPGPSGDVTCAMKNLQLQRTQEYLDIESYGQDYPSPPTASDKSGSSTPTYLDTESDFDDIDAQTRAECNE -MGIDPQTLHADIKELIYVPEDGRVISSVEDCLKAVNVILADTESLPGTVVTSFKFEKGSIIGLLKRSSAA -RKDSFGYLGIKTLEKQPVCGIKSETPIMTCPDPYPESRPTKMEMKKVVEATDNLNRANKVVTVIAPKRKG -GAPFRFKIPSELYDECFDAEISKTELAKILLKKLGVFTTYRFTCDYSVLEI - ->YP_009388613.1 phosphoprotein [Culex rhabdo-like virus] -MKKTNTTQSLMNHSAIFAPRDLFEKKENAAAKAPTSGTASKKRENKMSKDEMKSGKAKHVKKQGFNLVPG -PPLKKEDLLSSKGLEKVVQSIGDGGVEAGNPVGPAEDPHEGVSLPKNPKAPTVLQKGPSRYAQQNKPSGG -NGEPGPAVEVTCAMKNLQLQRTQEYLDIESYGVDAPSPPTASDKSGSSTPTYLDTESDFDELDSQTRVEC -NEMGIDPQALHSDIKELVYVPEDGREMITADDCLRAVNVILADAESLPGTVVTAFRLERGAVIGVLKRPF -TSCQIKREYTGPKSATKKPIIKNQLKDDPDCDLAKWKTPASSTSDQMEVVDTPAENKVTDRVVTVIAPKR -SGGAPFRFKIPTGLYEECFSTELSKTAIATALLKRMGMYMTYRFTCDYSSLNIEIEHE - ->YP_009182183.1 putative phosphoprotein [Tongilchon virus 1] -MNHSAIFGPRDIFSEESGSESDTAAPVTSPKTKASKMNKKNNKAGSLKHPIKHTFALVPGPPLKREDLLS -QKGLEKVVKSIGEGGVEAGNPVGPIEDPPEGCSPPKKPKTPVILQKGPSRHAQQQTPHGGSGEPGPSKTI -TCAMKNLQLQRTQSYIEVESPGIDSSTPVLTSDQSGSSTPTYLDTESDFDEVDVQTRVECNEMGIDPQAL -HSDIKELVYMPEDGKKVITAEDCLRAVNVILADAESLPGLVVTAFKIENGSVIGTLKKTFTSGYTDNLYL -GTKTLEITSISPVIAGPAPDLHAAVRPDVRPPSLPSNSAEAPKAQDIPPTRVITLIAPKRSGGAPFRFNI -APEMYEECAANNQATAELARAILKKMGVFGTYRFTCDYSRLDIEIETE - diff --git a/seq/clusters_seq/cluster_1104 b/seq/clusters_seq/cluster_1104 deleted file mode 100644 index 2f40dcd..0000000 --- a/seq/clusters_seq/cluster_1104 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_010086034.1 NSP3 [Rotavirus J] -MAELVYDTLRSVVRNLYGDNEDSASFIRAFQLAMKDSGLEENVDNWRKCFYKKRIPKEMTSSTLSIQIQN -LEREIIKIRTEGFCAGYDRKARTLNAFEIGKSKQGHTILIPSTHLSEIILQNTFNENLKLSPIPSEALER -ASIENNKLKQEIDELKATITNLSKQISEQEDSRLELMATQSVLNHQKKLTKRMQDQRDEAQCIIQGLCNR -FGLQCFIDNRGVAFTEPDNKGKRKGRKNRRIPIYEYTFGDFDRPIEEEYVKDLTTEGIEPNPGPTAERLF -CALFSVIASIVIIERILMYDFNDPDVRFMAPLIIFFTKIYFTFLLIVSIWI - ->YP_392497.1 NSP3 [Adult diarrheal rotavirus strain J19] -MAELVCDALATLTRNTYGDNDESAKFCRMFRTMIRDSGLYGNIENWRAAFYRERLPKRMSHSTVSIQLDN -LEREVLKIRAEGFCQGYTRKERTLNAFDLSDDGKGNTIIKPTTHLSSIILQNSYNSAFKLPKIPDGLLEK -TRYELEEEKKNNDILKQKIKELENTISQLENYENEAKASQFVLEHLKFTNESLKIQRDEAQICLIGLCNK -FGLQCEIDNSIHVTESDKKGKRKGRKNRRIEVSFGAPGHDLTEQISTLSDVE - ->sp|Q0H8C1.1|NSP3_ROTB2 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MAELVCDALATLTRNTYGNNDESAKFCRMFRAMIRDSGLYSNIENWRAAFYRDRLPKRMSHSTVSIQLDN -LEREVLKIRAEGFCQGYTRKERTLNAFDLGDDGKGNTIIKPTTHLSSIILQNSYNSAFKLPKIPDGLLEK -TRCELEEEKKNNDVLKQKIKELENTISQLENFENEAKASEFVLEHLKFTNESLRIQRDEAQICLVGLCNK -FGLQCEIDNSIRVTESDKKGKRKGRKNRRTEVSFGAPGHNLTDQINALSDVE - diff --git a/seq/clusters_seq/cluster_1105 b/seq/clusters_seq/cluster_1105 deleted file mode 100644 index 89e4b37..0000000 --- a/seq/clusters_seq/cluster_1105 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010086028.1 VP7 [Rotavirus J] -MLSMALFLLALLSITEARINVGFVSPDVCIVAERQTSDADKINNLLDFYSFRGFTYEICQFNQDADAIDA -ISKCSCLYGNNTQIVAYADTVKSDLATLLGNDNDCTVFTKKTKKWIPQYDRDREYFLYAKNVRICYISES -LLGMGCDSTKEWTWQNITSTDYAIADIPEMTLDGFWLFTTETAYLCVRKDSSQPKPIYFFNLIGNKPPED -QIGQTRSLATAWKAVNSILHFVYKVLDIFYRERLR - ->YP_392498.1 VP7 [Adult diarrheal rotavirus strain J19] -MLLFIILSVGVDAISYIQNDRSNDLCIVYEMTSFGTSFNNANDSLVKLHKHMGLKYEVCKIESNANALTQ -MQKCNCIYDDTPQIVVFTNFKKSSLKTLIGTENKCELLPQTTIYTPTVDIESEYFIYGNDVKICYLDKNL -LGIGCDATDTTSWLDLDAGLPTNHALDIPEITSDGFKLFAKYSDSFLCQRLMDEPKKQIQFYAEVDNVPS -NDVIESSRSWASVWKVVKTVLHFTYHILDLFYGNRRATARMIEHSPLG - ->sp|Q0H8C3.1|VP7_ROTB2 RecName: Full=Outer capsid glycoprotein VP7 -MLLFIILSVGVDAISYIQNDRSNDLCIIYEMTSFGASFNNANDSLVKLHRHMGLKYEICKIESNANALTQ -MQKCNCLYDDTPQIVVFTNFRKSSLKTLIGTENKCELLPQTTIYTPTVDIESEYFIYGNDVKICYLDKNL -LGIGCDATDTTSWLDLDAGLPTNHAIDIPEITSDGFKLFAKYGDSFLCQRLMDEPKKQIQFYAEVDNVPS -NDVIESSRSWASVWKVVKTVLHFTYHILDLFYGNKRATARMIEHSPLG - diff --git a/seq/clusters_seq/cluster_1106 b/seq/clusters_seq/cluster_1106 deleted file mode 100644 index a66f0ab..0000000 --- a/seq/clusters_seq/cluster_1106 +++ /dev/null @@ -1,17 +0,0 @@ ->YP_010086024.1 NSP4 [Rotavirus J] -MTVDPVMDNTTEQQSPTPDYGNDGIEMHLQNLTINMMQQYFKDMTFEGILAHMVLLIMSLLGLKVSDLAR -RKIMYLAQLFYWKLRGEVKTIVEEDIPKNKEQEKIMQLEEKILNLENYVNSLAMERSKTGLSEQEIYERF -HNMYDLIKNESTSKLTSLERKLEDQNWRLAILAERRMQPQTEINVQSVASSGVNKIYTIQEENNNKEDIM - ->YP_392499.1 NSP4 [Adult diarrheal rotavirus strain J19] -MEGTSESPVLDEFEVNNNDYDNDFISRFSQNPLNAFSLFTDGNLQEYFMNNSLEKIVIHVVLIVISLCGI -KAQTSKIIYVVRLLFWKIYNVINNLVNKVINREKIINHQVVDNRFREFEERFRLLLLQHDKNIAKQDDIV -QYNKLDNFAESIKSEFNLKVAEMERRFQELKWRCDMIANKAMNTIVLANTVDSNNKDEKIVFDEGSVVQY -NRE - ->sp|A9Q1L1.1|NSP4_ROTB2 RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEGTSESPILDEFEANSNDYDNEFISRFSQNPLHAFSLFTNGNIQEYFMNNSLEKIIIHIVLIIISLCGI -KAQTSKIIYIVRLLFWKMYNVINNLVNKMINREKIADRQIVDNRFREFEERFRILLLQHDENIAKQDNIV -QYNKLDNFAESIKSEFNLKVAEMERRFQELKWRCDMIANKTMNTIVLTNTVDSTNKDEKIIFDEGSVVQY -NRE - diff --git a/seq/clusters_seq/cluster_1107 b/seq/clusters_seq/cluster_1107 deleted file mode 100644 index 63628b7..0000000 --- a/seq/clusters_seq/cluster_1107 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009252405.1 P6 [Sclerotinia sclerotiorum mycoreovirus 4] -MNALADPNSSNGPPLSDVTFPLIRRSFSHATYTTLPLPTDIYQMLRCVEVYLERLYTADVTRYVDVVSNY -RKTSVARAVPHIFVVNSMEKFSTSYSTLLSKSPHDYVSSDVTVVSNPTSQDIIRSIRAHKHVVCNVVPIG -DFSDAILMPNASISDCVKYLRRNFHALLRRLSFGHGCYSCSNVLQLSLPPWLSVLASGWQRFKPTGSDTD -FAQFYLTPARPQLSVINSISDLSDFIINRLQANVDVVYFDDVLTTDGRTIAEKIRATGAQFNVARDMTHF -NIIKQRYLEQTSIRRRRQHKKELHASDRKQNIVARVFPPVYLVDLSVSIRAWNSAMPTQQQRRLSRVYSD -LVNLSKRPLTVLHMIAWNGPSVVSTDSDVWQDFTEWLFCVVQNVDESMARLLTAIPYMSVEIKISGSGFG -TWIDLRNWTEGFSLSKILVGRTYMVGKKNSGKGMIGKLIWRLGVPVVDSDDYGRVLLIAENTGVSLEDAV -RCHFSRSYADRDCAPTLFETIMDDIVTRLSIKRYSIPEVDHPALMMFGHAYDELTKKYKYADFERMVRSF -ISHHGVRAPDGSLLKVDDRFVFSTHCSEEATQVLGANYMFQLSTAIDSYVGVLLRGQHDNSISELMLAVY -YDRIHVNIFDLVPTGVVCTVLRTGLARLVPKA - ->YP_001936009.1 VP6 [Mycoreovirus 1] -MELPHDKAWAYLLLTPPPLRDYFIQLPKPATLQLALNRFAAYLEKQYLRDSLYMEKIVIGTHYNVRKNRV -LQPRIFVSPSNYTRILSTFYDHPDLIAEVLPIQHLSKSQLKRGGLTKNVLTSGLPEMNNEQNPYAVFEVN -TIDDLKACFAFPTEWLIDILTGRNSISLHYTIDRTSIPKWYAHLEARFQYSDGVTKSLTDADLRQHYIGV -QPIPVTCEELLQKMNDASINGNTMYRVHGYESIVSSRMETVNDLVKASGKPYDVVNVQTMSSYSRSISKA -INQAVTKDRHNKHVGSTKLKNSQGPRTTIFVMPPYITVDVVCSPMTIKPGLGPIQTKLLKSIVDQSPRRF -RIAYAAKPQIEQDVLNKRIFSTDEGPESYFQGVELLTPEAKSLLTALPQLVGTFHYEKLSYAHFPSYRLT -AGGYNVDYFKRGVTVIVARKHGGKGMVAKLIWKLGTPVIDSDDYGRIIKLVEAGLTIDDAVTQLFSMDYD -QRNSTVSAFDEHMEMIVSQSKMKQEITYPRASDPRITAFADYYSKWFLKVPYSDYVASVKNFVTKNGLSG -PNGIQSTNHYDTLVIQVHTLPEATQFLGVNNIIEVYPIIDSYIGMILRQQTSNTCAELLLARYYESIHLR -NFDMLPVGVVASTLEALVG - ->YP_392469.1 P6 [Mycoreovirus 3] -MQHMSHYHFRLICTGPYAVLRIILNDCTPPMLHGTLMSSQTTGKPQYLRAVPHIFMIDSMDKFSTSHATL -LSKCPQEYVSSDITVITSPTAQDIARSIRANKHVVCATLPVGVFNDAIVFSSITVPDCTKYLRRTFHALL -RRLSFGHSCYSCSNVLQLSLPPWLSVLASGWKRYVPSSSNDDLAKFYLQPSSSPNQIVTNVQDLQDFVTN -QLKSDVDVVYFDDVLTTDGITIGEKIRSTGAQFNVARDLTHFNIIKQRYVEQTSIRTRRQHKKDLHASKR -KQTITARVFPPVYLFDITVTIKSWSSAMPMSQQRRLSRVYSDLIQLSKKPLTVLHMLSWDGPNTISTDDD -IWSQFTEWLFGVVQNVDETMARLLTAIPYMSVMVAVSRRGFGTWIDFRNWTEGFSLSKILVGRTYMVGKK -NSGKGMIGKLIWKLGVPVIDSDDYGRVLLIAEDQGVPLNEAVHRHFSLTYQQRDTAPTVFEKAMDDIVRD -LAVVRYAIPEVDHPALIAFGRVYDELTSKYKYADFERVVRSHIASEGVLAPDGTLLKVDDRFVFSTHCSE -EATQVLGANYMFQLSTAIDSYIGVLLRGQHSNAISELMLAVYYDRIHVNIFDLVPTGVVCTVLRTGLARL -VPKA - diff --git a/seq/clusters_seq/cluster_1108 b/seq/clusters_seq/cluster_1108 deleted file mode 100644 index e7753ce..0000000 --- a/seq/clusters_seq/cluster_1108 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_010087318.1 movement protein [Apple rubbery wood virus 2] -MTFLGKLIRTSLMVLFFMMMAPSIVGTPSIEQLKMPVYDDEENARAVLERLKNDPEALKAYKEAIANKEI -WTKLKLDEYKHDNTDKTDIALGTMYSVKDFRVKKTYEKDLQVAGGTIDVVYHPIDPVEKAKMAKTYRTVH -LDGIEMNCESLMPRGSEGFAILTLYDERFTSQEKGFLGLVGFPLSHGVSKATLKVNYSISTEDSVNWVAV -ITVYDHNLRDNMRPCNFHLKAFYKYTNNVRRFLKNVDETEVGTFKIQIQKDKRGFAEESIRNSLDYSMSV -LTNKKFMKKLSGRELECLRRCGTNDMERGRQIPNYETSCSYSVSEGKQKAFLDRTESRREFDSSQASETS -GENELKIDFENMGDEEKEKFLVEQLNALTMKGKFINKPKQLKM - ->YP_010087317.1 movement protein [Apple rubbery wood virus 2] -MAFTIFGIMILLVSMVLTGKGDIPFSEESKLPVYEDDERKREILERAKGNPELLKAYTEAISNKDIWAKT -KVDEYLHDNIDKTDITLGKMYSLKDFRVKKTYEQDLQVKGGTIDVIYHPIDPNERIRKRKHYRTVHLDGI -EVNCESLMPRGSEGFAILTLYDERFVSQEKGFLGLIGFPLSHGVSNASLKVNYSISTFDEVNWVAVITVY -DHNLRDDMRPCNFHLKAHYKYTNNVSRFLKNVDETEVGSFKIKIQNDKKGFAEESIRNSLDYSMSILTNK -KFMKKLSERELNCLRRCKSSIIERSRTIPNYEASCSYSNASSSSVRLHDNIKPSNDLDSKINFKNLEDSD -KEEFLMEQLNILKMEGKHVDKPRKLKL - ->YP_010086184.1 movement protein [Apple rubbery wood virus 1] -MNPIRFNFLTLLVLMIGTSSGEVPHFLERTFPAYSDDENEKIVAERVRKDAALQKAYSEARENKMIWTRT -KMDEYMNDNVDKADLTLGSMYSLRDYRVKKTYEKDLQVQGGTTNEVYYPINPQERIQMSKAYKTVHLDGL -QINCESLMPKGSEGFAILTLYDERFVSQEKGFLGLIGFPLGDGVSKATLKVNYSISTKDTVNWVAIITVY -DHDLRDDMRPCNFHLKAFYKYTNNVSRFLKNEEDTEVGSFKIKIKGDKKGFAEESIRNSLDYSMSVLTNK -TFMKKLSERELKCLNQSDISRKQNFTRQIPNFEKSTSFRQSDSQITRESGRHSDVGSSSSKLENGMDLSE -LKIDFEKFRSSDDKRAFLLEELNKLDIEEEHMSPKVKLKF - diff --git a/seq/clusters_seq/cluster_1109 b/seq/clusters_seq/cluster_1109 deleted file mode 100644 index 688c494..0000000 --- a/seq/clusters_seq/cluster_1109 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_010087316.1 capsid protein [Apple rubbery wood virus 2] -MAEGNFTLTGLTTALTLINHLLTVSATNFKAAAKANARYFAYDGFNANEILNAFITIFLTNTNSDDALQD -LCDIVNVGLTRGNIREDQLKKTSKRGKERIEELSKKYNIKIKKTKNESFTLSNSTLTFTRSISVFPYVAS -QLLATGSCILEQNNCKFGTDSLPAAFKHSGFASLIPNIADNNYCLVLFQCHVAYMISFGKKINPGNKDDD -STWYKKQSQYSVAAWNNLSLYNNDYRKTAFKNLGLDSHGKYGELYLKIVNNLRKSIKGEEVKPADLKPFI -KEDKDLKW - ->YP_010087314.1 capsid protein [Apple rubbery wood virus 2] -MANGDFVLSGLTTALTLVNHLLTVSAENFKSAARSNAKYFAYDGFNASEILTAFITIFSGNTDSDDALQD -LCDIVNIGLTRGNIHENQLKKTSKRGRERIEELSKKYNIKIRKTKNEKLVLSNSTLTFTRSISVFPYVAS -QLLSTGSCVLEQNNCEFGSDELPSAFKHSGFASLIPTGNNYCTVLFQCHIAYMISFGKKIDPANKDDVIT -WYNKQKQYSIAAWNNMSLYDNDYRKTAFKNLGLDSHEKYGIVYMKVVNKLRKAINEEEVQLSDLAAFIKD -GSIEQV - ->YP_010086185.1 capsid protein [Apple rubbery wood virus 1] -MATGDFTISSFSTVVTLLNHLLTVSTANFKAAAKQNAKYFAYDGFNAIEILTAFLIIFKSNTDDADALQD -LCDIVNIGLTRGNIREDQLQKTSKKGKERIEELAKKYNIKMKKTKKDKIALTNSTMTFTRSISVFPYVAS -QLLSQSSCILEPTGCKFGSDDLPAAFKHSGFASLIPIGNDYCSVLFQCYLAYMISFGRKINPENKEEDKV -WYAKQRQYSYAAWSNLGLCTSDYRRSAFRNLGLDSHTNYGAIYLKVANNLRKSLGDPEVTIAYLETFVKS -GQIEAKGSIS - diff --git a/seq/clusters_seq/cluster_111 b/seq/clusters_seq/cluster_111 deleted file mode 100644 index a4f4c53..0000000 --- a/seq/clusters_seq/cluster_111 +++ /dev/null @@ -1,555 +0,0 @@ ->YP_009553601.1 structural polyprotein [Blackbird arilivirus] -MRMSQTKQVEEKPQEIASNGDPMRRENALVENQQTVQFLDESNKIEVQVEKSTEKVPQLFRSPTLSEFLS -RPFSLSTFLWKREDVAGSCVYRAALPDALFEIPSIWKKLANFTYFRSGLKVQIRVNGTLYHYGMLLAVWR -PFALGKTTRASGATASPTSFDNVHTLSQYPSTLVSANSSDVVDLEIPWFSLAPWLHLEAFSETSPSEEVR -KLYSLGIFELWVVNPLISAGESTDTPISVTVYASFVQPELEGRTYKSLNYKPLALPRLTDTFRGLYLSIG -VPSEQSSAPDSTSSAPAAKTPRPKAQAGSGSGDDEVQERPSSSIATATLFPQDIASMSSHLPSLSLSTKP -IFNSSSPSILSVGNPSFIDFVSKPSLILMETIPPEKNSGDVLLRLPVSPMLYSWVVNNGRRQFHSRLSYL -SSFFSLWSGDITFTFQVVCSKFHSTRLRFTWVPTVNNDVKKPLHSAEYSLAHHKVVDIQGETEVNLTVPF -LYPFRAAPIHRGNEVSDSSNGVLVVSLMNRLAYPAPKIPPIYLNVWISSKNLHLSRLRPLSERKDNVLET -GASRTSLQQRAKAQGGVGTGDDPPDRIGIDALPLSIASAGDLIGEGNSSFSDLIRKPSFVRKVDPGKYLD -LPLVHVPEATGDIRLTSLLQYLSMAFAAYAGSVRFIVPYSAGASILAIPRLTNAPATASTDEKAKLVDYL -ESETVASVAFFPNYFESHKSFILPFYSTLSAIPTTLFPRAGTAPDDIRLPMVSIHAFGNTEPVPILSSAG -EDFQLLGPLGAPMLTES - ->YP_009389288.1 structural protein precursor [Big Sioux River virus] -MLNNPLLDCARDGKNHTVTSFLERPINFRTASWGNQAAGSRLASFNYPSDVVKNPMYSKKLQNFLALRAD -LVVRVQVNAQPFHAGRLMLSWTPFLNYLGANRTYYYTNTDQDFLTPISGNPRVEIDLSTTTEAIMTIPFV -SPFLYYNLVTGAGDIGTFQLIVYSPLVDLVSGGNIDYTIWVNMTNVRAEFPTGMPTSVAQIGKEDDTQQQ -QGFITTQTSAYSTIMEPLTKLPVVGQMIGYAKTGVDALHAVAATHGWSKPHNTADIQLFKQAPARFMCNS -DGSDMATNLGMSATNELEHLQSLFRTEADEMSIDYVARTYNYVGRFKWNKGMGPGTQLYSHTVSPVSWFA -KTGRTGLCVPHLFFCATNFVLWRGGINIKFKFVKTKFHSGRVRIFYVPGLFNSVLPNNFEHDANYSTVVD -LRSDTDVEFNLPYVATVPWLHIKESPWIGAFNQTQVCGSVYMEVLNELVNTSTVSDTVEVLVEVCGAEDI -EFAVPIQPNLCPREKPNNRARNVMQILTSSVAQVGTDTGDTPLEDARVEPTNFAEVPLQPHTTTYNEAML -TMGEKITSFRQLIKRFHMITPSTPERYWKFKQPFWINPNKFVGTTQEGSNDLDALSWFASLYAFYRGSTR -VKIAPLNTTSPMQVLLKPTHLYGDGGVIEPDTTWPRVDSRGADVFLPRTEGIYELSFPYYSSYPVTLTTY -NASSSDVLDARNGFNRAIATFHADTNAYVYRAAGDDFSFGFLLGPPVLNHSSQ - ->YP_009388500.1 structural polyprotein [Apis dicistrovirus] -MSSQGVAPVSQALPSIVDIDTKYLHMTAKEFREHTVKDFLSRPIVVSAVSSLWSTTQAQATQLATYNFPD -SLITNTMYQEKLKGFVGLRGTLNVRVQVNSQPFQAGRLMLQYIPYAQYMSDRVTIINSTLQGRSGCPRVD -LDLSVGTEVTMEIPYVSPHAFYNLVTAQGSFGSIYLVVYSPLKDSTGASSVEYTVWAWLTNVEIEYPTGA -PINTTFGPQIAAEIERADVQMELKQLADNKSPSAGVGKIASGLQDLSRIPIVGNMFTHPAWISSKAANLL -KLLGYSKPTSQGMICESKLRTQVRMANYNGVDASHKLALACDNEIETQPGLAGTSIDEMALSRVVSIPNY -WATFSWPTATSSGTLYQDYVTPTKIKPISSTITNRFVTTHMGYTANTFGLWRGSLIYTFKFVKTQFHSGR -LMISFFPFAYNVDLTTSNGDVNKCYRMIVDLRDSTEVSFTVPYVSSRPWMNTTRPGSTILGTSNKYLYTA -ATGVIQVDVLNQLKATSTVVGDINVLVEVAGGPDLKFANPTCPNYIPYSGVLTAADGFEVVEKADVQVFM -GTDESIQRNEAQMGQAPASIDSQSIESNWAPEALCIGEKILSVRQLIKRFGVVQVSLPSTISQNSNTVLA -ITPFSVPPPQSNTTGFQPVSPFEYWYSLYAFWRGSMRWKMLTMRADSTTATRSFSTSPYLIKQFSALDDS -MQTLISDLTTSQFIVSPIANTSDVTASATSDTIVLPSLEGMIEFEVPYYNISHITPAVYAPSPSMVTEAG -FMLGNIPPQLVTMTPFSPPSATNVQYSTHYRAPGDDFSFFYLLGVPPLVNYTR - ->YP_009380529.1 polyprotein, partial [Aphis glycines virus 3] -PANIQENKTQTFQQQILSFSSEGEAPRSQTVSKPSMLTNPLLDCARDGKNHTVVSFLERPINFRTASWGN -QAAGARLASFNFPSDVVKSPMYARKLQNFLALRADLVVRVQVNAQPFHAGRLMLSWTPFLNYLGSNRTYY -YTNTEQEFLTPVSGNPRVEIDLSTTTEATMTIPFVSPFLYYNLVTGAGDIGTFQLIVYSPLVDLVSGGNI -DYTIWVNMTNVRAEFPTGMPTSVAQVGKEDDTQQQQGFITKQTSAYSTILEPLTNLPVVGQMIGYAKTGV -DALHAVAATHGWSKPHNTADIQLFKQAPARYMCNSDGSDMATNLGMSATNEIEQLQSLFRTEADEMSIDY -VARTYNYVGRFKWNKGQGPGSRLYSHTVSPVSWFAKTGSTGLCVPHLFFCATNFVLWRGGINIKFKFVKT -KFHSGRVRIYYVPGFFDGTLPVDFEHDANYSTVVDLRSDTDVEFNLPFVATVPWLHIKESPWIGSFNQTQ -VCGTVYMEVLNELVNTSTVSDTVEVLVEVCGAEDIEFAVPIQPNLTPREKPNNKRFNVMEKLTRSVAQVG -TDTGDTPLEDARDEPTNFAEVPLQPKNTTFNEAMLTMGEKITSFRQLIKRFHMITPLTPERYWKFSKPFW -INPNKFVGTTQEGTDTLDALSWFASLYAFYRGSTRIKIAPLNANGPMQVLLKPTYLYGNGGIIEPDATWP -RTDSRGADVFLPRTEGIFELSIPYYSSYPVTLTTFNAANSDVLDARNGFNRA - ->YP_009345055.1 hypothetical protein 2 [Wuhan millipede virus 3] -MKNATNQSITEQTEEQIQITHLEDEGSTEQVVASLVNTNIDSQSLQSTVGELRNHDIPDFLERLYELESF -TWTKTSVRGQALKTYRFPDALLNLAPIAAKTRNFFGFRAGVELVVLVNKQPFQAGNLMISYLPNARYNTL -KHDLHRRNEGIVMRSGCPRTNLDLMDGTRANLEVPYVSPFVYYNLLTKEGTIGDFAISVYSPLTDVAASG -TVSVQVMARFIKPELAFPTGLVPATYNPLSEISSQLLRVDTEDRAKIRKAQTELQKLLDKIDGTMAHQVG -NQNTMNFKQKALPNMTNSDGSEFSHNLSISKNNSLKSASLGHAGPSEMDIKHILAIPCFHNYFNISKSQA -GGTSVFSTLVGPTVLPNYTSTLGTPIDYLNFFANMFQKWRGSIKYSFRAVKTQFHSCRIRVWFCPGATEQ -SGVDRNACYSKIVDLKELNTFEFEVPFVYPQPWLNTFTAPNSLGVLGVDILNALVAPDTVADNFDVIVER -SMGSDFAFNLVEPNTVMPRDASTHKDTVASFSGKFRHQIGTQSNQDNQRTLQDDITFERPVSSVWADEHT -MGQDITNVKQLIGRATRFSRYIYTIPDATQSFNIAAGTGTIAYASATNTTDFTGTAFADFKLPTGGSLTV -NATKSFAVVYQGTHYALAPGEYRITDKTDFFGIHMTNFGDPEMQLVTKSDFTTAPATTAFPEITVLGTVE -EEQLALTIYPHCMGVAKWDDNNNLYANSFDILTYLSSLYTFQRGGVNIKIINALEDYAIALNPEQSYVNK -AAERVTLTNTSKANMSSAIIQEVRPLVEGYGEIHVPFYSNSYCTSINNASLFTPLDEVNNLCMPATAITL -IANGPVPQIQFYRSASPDFEFSYLCGTPLI - ->YP_009345033.1 hypothetical protein 2 [Wuhan insect virus 33] -MLTNPLLDCARDGKNHTVVSFLERPINFRTASWGNQAAGARLASFNFPSDVVKSPMYARKLQNFLALRAD -LVVRVQVNAQPFHAGRLMLSWTPFLNYLGSNRTYYYTNTEQEFLTPVSGNPRVEIDLSTTTEATMTIPFV -SPFLYYNLVTGAGDIGTFQLIVYSPLVDLVSGGNIDYTIWVNMTNVRAEFPTGMPTSVAQVGKEDDTQQQ -QGFITKQTSAYSTILEPLTNLPVVGQMIGYAKTGVDALHAVAATHGWSKPHNTADIQLFKQAPARYMCNS -DGSDMATNLGMSATNEIEQLQSLFRTEADEMSIDYVARTYNYVGRFKWNKGQGPGSRLYSHTVSPVSWFA -KTGSTGLCVPHLFFCATNFVLWRGGINIKFKFVKTKFHSGRVRIYYVPGFFDGTLPIDFEHDANYSTVVD -LRSDTDVEFNLPFVATVPWLHIKESPWIGSFNQTQVCGTVYMEVLNELVNTSTVSDTVEVLVEVCGAEDI -EFAVPIQPNLTPREKPNNKRFNVMEKLTRSVAQVGTDTGDTPLEDARDEPTNFAEVPLQPKNTTFNEAML -TMGEKITSFRQLIKRFHMITPLTPERYWKFSKPFWINPNKFVGTTQEGTDTLDALSWFASLYAFYRGSTR -IKIAPLNANGPMQVLLKPTYLYGNGGIIEPDATWPRTDSRGADVFLPRTEGIFELSIPYYSSYPVTLTTF -NAANSDVLDARNGFNRAIATFHQDTQAYVYRAAGDDFSFGFLLGAPVLNHSSQ - ->YP_009342287.1 hypothetical protein 2 [Wuhan arthropod virus 2] -MAPLTGQGVEERVQVMAFADDSAVIPEHVPGETKIPDKDTLQFTDSMAHSIISFLERPQLISCFAWNGMS -LDKHGQNILAMQSPLDSTKTILNPLVPSQVMTQMFIEKLQGFTAFRATAVFKLQINSQNFQAGRLIFGAV -PMPTLLQERADFIVKTPCSALSVNHVQMDINKQTEVILRVPFISPFNSYDLINQQYDWARLFCIVYGPLN -VVGDDNRLQCDLYCHFEDIELGCPTTAKCTIPPCFAPTRVVSWTNAQSQSGKIRNYKLELEIKDRLKEKK -KQKRQDRLRDLEYLTKYNMVPKSQSGTVSNFSFSAGSFSSSGVTIDFTDGESKYVKVCMQFSSVVAQGNK -QFSIQFTNSTGNTQTVAYSYPDVSKYLSISCIVYDLVQIKFIGSSSDSINYIVNLVASEVLHPFTPTNDV -PTWITDVSPLPPSVAPMSQSGRVGNFRRSRPVYKTTRQPRAPPKEAAPRKIEEQRAEEADGVFAKTVKSF -AKKIGNAAGAIGDVVAQIGNWLGWSKPQIDHAGNTVVVRPCQYFANSNGIDHSHVLSLDLMNNVDQYPAL -TGTDLDELSFDFLKRIPQFIGAFQFNNKNTITPSVTDVGNDYLWSCFVLPNYINPACFKVQYSGQFGDEQ -AVASNVVDIQNPTSLGYICSPFAYWTGSLVYTFRFVKTNYNSGRVEISFHPFLYSNDYGGINIPSPDNQR -FQYAYRVVVDLRDNTEVSLTVPFISPQQWKALSYYNQKWFINPCKDKTHWEDLEIDELARCSTGLLWVRA -LTPLHTQSTVAPTSILCLVECRAGDDFQVQCPSVARYIPITTTPTSQSGKVYATAGTAETRTRALEGFMP -PSITGDEADIEQEDTQMYCAGEIFANFRQYIKRNMFVRTLAQLYSDESVIIYPNEYIIPPRISLNIYSYT -PQGGSPTYYQIFNLPEWPSPMAFTSAMYCFYRGGVRFKVVSTTSSGNIASGLTCMRLITAPYTGKPGFIR -PLPRGRNSVLTFASPVHFEQSDKHICEFQVPYYSPTLQSCPWSIRGGYLYDNPLPYLALSNSNITPNNYS -RTLFHIAASASDDFDLGLFLGAPLCFKTDIWQMSGSFAGSKAYPYFAAQDIFDTAASADPCYYSPPFANG -IVPTKQGELVKISTTNLDLSLANVTISNPDLTVTTDPAHVFNIGC - ->YP_009337726.1 hypothetical protein 2 [Hubei picorna-like virus 22] -MSLKIEHKILITMSQSTPQNINTTPSNPTLIGQPEVSTHDTTEFVTEGNVPQASFVDQQNAQDQYFVNAD -SVSHEIADFVSRPYRFHTGQWKSGSALGTVLFSDLVVATLRNSPVWTKLQGFMAFTATFCFRLVVNAQPT -QQGELMLNFRPDETDEDSKSFRFWNKMVDPAYELARVSGCPNATLTIGSTSQCEFRVSYRATVPLCDVSN -GEFGKIDLRVLSTLAGPTNAEAVSYSMYMWLEDLQTYATQPYSGTSSYSQIGNRAAKAQGRALPSAVEAK -EKGTISGIADKVGSIASALTAIPGVADIAGPVSWAAKGVSSVASLFGFSKPHDITPSAAITNNPYKDFAH -GSGANACETKLTLNDTSELSVRPLGAVPEDEMNINYLTKIPIYLANRTWKTTDAVDKIVFNAPVAPQYMI -SRSGQAGKKAFTVHTTLSYLALMHRYWRGSLSFTFNIVGTKFHSGRLRATFTPSRKWTPPDQHQHTYSKI -FDLEDSNTFTLTTPWVKELPVRSTDRMSNYEEVEGFITLSVEDQLVANSTCAQYVDIVCFVSGDVSFSAP -RIPDEHVANGVVFNPTSTGRAAKAQGFTADVASARVAVMNMVPDEVVPTAAQEQRALEVTVGDPEPSLRT -LTKRMAYNGVFTFKDKNADIREHCILPSNQLQAELASAITSDQVATYGDYIDWVSALYRFRAGGIRYCIY -GDLPKPENTLVKFCPLDGTNLIIPAYEVTSAGVGTFKATIARFMQMLNYVTVPYAKNNYGIQISVPYYHD -RPALTNSRWFVPNPLPITTTIGAGYDPVSTCDSYVAISNLAPNDTDLTKNRLFVFRAAADDFNCGFFTGP -PITYRNITS - ->YP_009337314.1 hypothetical protein 2 [Hubei picorna-like virus 14] -MPGSFLRTCDDGYDHSITSFLQRPIDVSTKEWKTTHGQGIVLDEFKLPDCLIDKPMYKRKLDYMLGLRCD -VQVRVQVNAQPFHAGRLLLVWIPFTESLINRTQYYMCESEDGLVPFTGCPHVDLDLSNQTEATMNIPFIS -PRTYFDLPNRLGNFGEFKLVVYSPLVDVVSTGVVEYTVWMNMTNVTVAFPTGKKTSYSEAQIGDEGSDQV -KSGVISETAGTVAKALRLFDDVPMVSQFTRPAAWIASTSSDIAKLFGWSKPYNPSDYVLIRDAPGRFMAN -SDGSDVSENVSVIATNEIQQNPGFFRTDVDEMSIAHVVRRPNYVQHFQWKKGQAANTILLSLPINPQGYF -RKVSDKILAPTHLMYLTNAFTYWRGGMNFTFKFIKTKFHSGRVRILFVPGDYSDGVSLNDIVIDANYSTV -VDLRSETDVTYNVPYTSCLPWLNINGSTFNAYTSVGRLYVIVQNELVNSSSVSDTINVLVEVAGASDFEV -AIPTLPHFYPVDRVNRTRPANAAVIKNGMTKDITEGHTLSAHIPTVEGLDKAALTVLARTADPPAQRLGN -RHRVKRTVSDTFVKDTYSNGTILDRLSEAQVGGEESTPPVELASLVVTDTQDVGGSIDHTDFEICANTMG -EKIVSIRQILKQFHVKDSFSLTVDQSLRLSPDKITPPFDQALTYLVVYDYYDYFSYLYAFRRGGMRTKII -PDEPCGALLSHTPRVASCNDKAFKAVSRKDSCRFLSYGTGKHSTAKEVIHEYQHPFYSMYPFVLNSCPDL -YGSGSIESMYTSAQQAVWVTAKGQETPLMCYRAVSDDFTMGVILGAPFIIALSTIDTL - ->YP_009336883.1 hypothetical protein 2 [Shahe picorna-like virus 11] -MFSSSSDNFNQDIKTFLSKPVILQSNTLGNTDTVSSFPTMLMPSTLIQTFSTINNKLQGYLGIRATMVFR -LQVNANPFQQGRYMLTWVPLGGTGINAATVGTHYNPHIQSLVQRSTLPRVELDLACDTHVVLRVPYVSSK -NFYPLAGQSSTELFGSLGYLTLFPYVTLNGIVNQTAGYTIWGHFEDIELVGVTVPQSGAGFSNTDREAKA -IGVQPLSNSLSLISKASGTLSKVPFIGDYATGISWLSERLAKTAMVFGWSRPASMEPTKRMYRSTLQDYC -NVDAVDYSQMLSLSSKNQLHVLPGFSGTNTDEMDFSFIASIPAFDQLITWNFSDGIGLRKMTKEVCPLEN -VNNFTLAFGGVVANNYKPIDFVSRHFTYWRGSIVYTFKFVKTNFHSGRLAICFAPFEDISSAPRTLTLPL -SAFTHRHIVDIRETNMVTLTIPYISSTPFRNTLVGNGNSTGLIEIYVIDPLTGPSTVPNQIPIIVEISAG -PDFEVAVPRQLNHAPAVNVVPQSGAGFSNTNSACAMTDTTLGVSSMRSDDHLNAAACVGEKISSFRTMLK -SFNFLPYQNPAAAANKFLNIAPFNTPVYFDAVANAASTQVADLYTILSSIYLFSRGSVRLKINMTGASGA -SSSNSFSASLFAVPVDNLSISSISNLNALGLTGTTAVSTSNILRTYSHLGQNLCAEIQVPQYNMMHSRVN -WEHVASPNISTPVFSGRSKVVVSITNLSMNIEPTVGIHRAGGDDCNFGCFISIPPMVSVMAGTVPI - ->YP_009336854.1 hypothetical protein 2 [Hubei picorna-like virus 17] -MDTFIEDRQNVVEEKQVMTMADEVVTEVANRPLLVSQPEGASQENRSHDLLDFLARPVLVKTATWTEAMA -VGDEVAALVFPRELLSDVMYSQKLSGFRYLKADIHVRLLVNPQKMQCGALWMWNMPVESPNPVYNSQLSN -VFAGSQLPGGLVSIAVGNVFEVVGKQVYPNTAYNLIDGAPDYLTVHVRVASQLKGGGDPINVSIFAWMEN -VQLSMPTMKPIYTAPTVLMKQLKQARSLMSDDIARKISQLERDFTEEYRRTHRSEGVGDEQNAAQGGGPL -SSVLNTVGSIGAALSDVPVIGTVAAVGSTVAHLAASVASMFGWSSPDNNSVQTLTFPSIAKGINNADYPN -THHQMALSVSNKLAIDRQVYGSQMDEMAISSVARTPSLINMFTVTTSTAQKSLLFTVPVTPMDAIHTGEF -VSIGDKFTMSSISLAATSFVWWRGGINYWFECVKTTFHSLRVVVVWENSDDAMPAQYSELMAKNFSLNVD -LEQERMSFGFSVPFIQNLEWLATTNPGYRSINGRIAVYLLNKLVCPSTVAQSVEFLVFKYAAKDFQVSVP -HTPTVNISGAIVQAIPSTISLLDLIWRYDVTCPQVEGTAPVVATFVGEHSGASLPPQTASIHPEGQQTTD -ADITLFDAPTKVWVPGGEQYTVTELQVLSAPTGGTPPWNMIMLIKKPYYNAFASGTTFFFYTNRAFTLRP -LVPPRADVTQRQRLLVSGDVELNPGPLDRTECIAGLDQPSLASGEQNVMPAINVTIGEDVKSLRCLIKRA -HPVVEFEEVVIKGITWIVVRPLLPVHSTSQPSVPYKDFYASAFRYQSGGMRYYFHSAQSVSSKNPFFYFL -PGQSSLVEDPRRLNASYVVNFPIIEGMCKVEIPYYNRNSCAIVGDTENHTDLQQVYHLLVFEKPEGDWFL -HVAAGEDLSYGYFIGCPVMVVTALALF - ->YP_009336839.1 hypothetical protein 2 [Wenzhou picorna-like virus 29] -MASQNSTSQNSMSSVISTMAAPLEQPAETVQQVTQFVDDTGLQTASLPAPADVAPDILASGSEDRVHEIA -DVLERPVVVSTVEWADTQGFDTLLPISPGTPSDISTLNFPQALFDASPNLADKINYFAFLRARLVVRIVV -NSNPFQLGKLIAYFAPYSSQDDIGDRTLVNSFMSARSAFPHIVLDAGTGNSGELCIPFVSYYTHWNLPED -LGNLGNLQVAVLNPLQSGTCNLTIFARFTNVHLEVPTAKPSTLSTSMSLDHAIRTAIQRYGARAVRSRVR -RIHAQVDEGKAKDSRGVISTILGTVSSMSSMGTSLPVIGKYLSPISWVTGAASQVAQYFGLSKPINLTQQ -HRLTQLPAYGFTNTDGSDTSLSLSASCENSIGHRSDVFGTSRDDMDIAYVAGHSCYLTQFTWDATDTVGT -IIGDVNVTPGSMQESITTGDDIYDSTLLGYVASMFRYWRGSIKFKIQAAKTAYHSGRLRISFVPLGGAGE -TAGYRMDQAYSEILDLRISNEIEMNIDYLSNTVYKEVDLVKFNSNVSTSVATGILRIEVLNRLVHPDSVA -NNVGINVWIGGGSDIAFAVPEFNRFYPVAPDAPSALSFQRKPQAQVYDHIQSPGFDASIQDSPDMFVPRK -ASTLDSEITCIGEHIQSLRQLTRRFGRLTAVNAAENSIFKMGSAWFGDAPTASSPQADLVPVSPIFYISW -LYRFFRGSVRLKCVNLGDASGVISADTSVGRTAFPTPPSITTVSPAARNLEITGGSAFAHFVDLRYNNSC -EISVPYYNNTHVSLLRGEGAVVTPAMEKQTDIHFSFPPGNYRFLHAAGDDFSFGWIVGPPKLRRRGASDA -LSAEVDYGLASKISFIGDPITSGTLSLNPISVPAGTYSLIGPEQTFNVEYYPSSVSETVLLDFDPNISLT -ANASGYITNNWDQPVGSLDGDYKIVAPASEVIPVTLSGGAVVTIPVTVCRLVVNSGLAQFQIVTTNTLDI -GATQNQLTDLFPFNITFVPFAQAPSFSQATIPAFLDIDVFNSIASISFDVNPDIPAGATVDTSATLEDAQ -NLGVISYVLAA - ->YP_009336782.1 hypothetical protein 2 [Changjiang picorna-like virus 13] -MTDSMEVAPVTTHGETTGFTEDATVKVNAERELLFPPVSANVFDSQTISMFLAKPRRVFSSSWTSASASN -AILHSFSILDTLVSNTYWADKFAGYRYMRGTAVVRLELNAQPFHAGRLLMHFLPQYNARITTNTTYTQHN -TCLCSKTQQNSVELDCRDSAAIMKLPFISPFSHADVRLATPSTDWGTVFLSVLSPLATGTGQDTAEYSIF -LHFEDVELMAPVPQSGQQRKKKMVVAERENLAYTGKISGGLEKLSNRVDWMKTFVPSSVVETGKSILTGV -AGVLDVFGWSKPIYEKVQEVFMNIPLRMITNYNGSNPSDVLALDAINSIDPIEHAGGLEHDEMHFSYLKA -IPAYYNKFNWNTSTASGTVLTSQDCSPFSFKQEVLQTISDVSCSIGYAPPFSFLSRYFEYYRGSINLTLK -FVKTQFHTGKLLIAYVPNGGIPSMDATQNALREIIDLKDSNEITLNLPYLQNTSYTRTDYGSTPSALDEG -YQIVVYVLNPLVATDNVASTIEVLMYASAGEDFELLKPVAGNEFKVVAQMNVVQPVLVKKRIGGYSETPL -SNIPAKTCAGEVFTSIKQLIGASRPIAFNVNRYSNTPSSGLNSISFWPWCFGVPTGVTPPSTGGNFVSIP -AVASDYLSEFATGFAYYRGGLRYTINSTATANVQIHASIAPRDLGTSIDQNLATVPGTTFNYYIRSVTAT -RPNRLPNSFRNCAFRTSGLGPNLDVTVPYYGNTPFRFTHLNTGGDRNIPLTADTSAETLIVTTTGQLTDE -GILRAASDDFQFSYFIGFIGFFITG - ->YP_009336701.1 hypothetical protein 2 [Wenling picorna-like virus 5] -MSLMGSEKKEHSIESFAMRPMLMGNFIIPTTVTQGTSVFTYHLIDLLTDDNIKGKLQGFRYFKADIEVSV -YYNSQPFQAAGLLATYYPYAGASAAWSQNDSLTGVSGNLSETVSIEDGEPLVLNIPFNHPYGFIDLNDLK -AGDLGQFRLVIYSALQSTVPGDSFGVTVYGRFVNPVLYGPTDEPLVLVPQMDCEDEIELSPQSTEAGEQE -QKGIVTQVSEVVHNVSTALSDVPIIGVVAKPISWVSGFVNKVASIFGWSKPISVHTTGIYKQAPARYMCN -YNGVDTSNNLGLDADNQIQNYSFFGKGDPLDLNSIVTRLNYIQTVPWLGSQAAYTKLASFEVSPAVGWKI -TQKENTDAVKLRCVVTEGFTHMNFVAQHFKYWRGDIVFQFKCFKTKFHSGRLQISWRPGSLVKASNPKVP -MAYTAVWEVAKEKTFSCVVPYMNPKPWLTVETGDEEHYKDGNFHNYMNGVLEIHVLNKLVSSTEAVTAKV -SIVVEVCGRNMSFADPVTPKFFPVQSGDVAETLEPESASDETDDADADDEWEDGLEAQIGLEAPILEMVP -DGTKNVGIEPEKMAIGEKVTSFRQLIKRFSWFPLQGYKDGERVMAEEFDDICTAHTYKLAGARGYESMYV -TDIDHANVSYGLKQATAQNPTGSPKLASNFPMVPDLLGTIAGIYAYSRGSIRLKYDVQDRTALSTDKLHA -ISYVHLLDSAGKSLDKLQPKRIEAILHRKSALTLTAHDLEQWGEVQIPFYSDKVYTPKNHATYGKESEHA -VRISVPMKNDKSDLVVSAWRAAGDDFDFDFIVGVPTCQYFDLDVSEAV - ->YP_009336691.1 hypothetical protein 2 [Wenling crustacean virus 2] -MTVLDSSQGTVTSGVAIDNRSVSESYIHDLSNLMSRPTLMGTLKLEPTTDASTSLPLSYDAATYESYIDG -TKPIGLIDNYEFPKSLFYHNPVVSDKAANFSFMKADVILTIKVNASPFTSGAINIAYTPMWRELVNIYKY -SNITLPGVTSYPNTTLYLDQSDTVTMRIPFFSPYDMFSLQSPDFQFGQLVVTLLSPIRNGDGTSVDINVF -SNFENVELKVPIDKSISTFEKQPLVESITAMKRAKYDVDENKDIEIDIDTLIQDKSFKDTFTAIAKRLKL -VAQSGEPETQSPGVVERISNVVGDVSEALTEVPIVGTFAKPVSWIARAAGKVASWFGWSKPTILEVTKPV -NRVPGNGMLYGEGVETNQNLGMIPDNGIIPHGALFEKQDEMTLDYVLSRPNVVKRVNWNSSHTHGQLIDS -IVVTPKVMPDDDTPVQMGTFDYVCNLFGKWRGSINYTFTFVKTKFHAGRVALVYTQGTPPSDLGTLLSTN -YNLIVDLNEITSTDGTNAQVSVEIPYLLNRPYADISTDHFRPQIAMYALNPLKQPSGCSDNIEILIWKSK -GSDFELAMPIGNMSLAHWAGTKLVAQSGFESDVETKRYSLVPSTPLQDSLMQSVCAIGEKVETLRTLIKR -FSHFVVRGTIMSSYPETKAGRMSMRDAVSLIYRFHYGGMRYKYQLAPNDVAAFRYKNTNATNKSGIGTAN -DDNWSAEAVVSGHVNNLAEIEIPFYSDTRVRTNAVLSTASDRVNNLNTAIQIRRCGRVGGNIVIPAGDTV -SINTSGFIIIPHETFAKANTNGASFSSLTGYALAPETPIDNELAQVQFNRQSSDTETYEYFQCSVHPVST -YEAAADTAGFTFLVAPPCVMRAR - ->YP_009336628.1 hypothetical protein 2 [Wenling picorna-like virus 4] -MVHRHEVIQFHDDNAPVVGKRDLEAPLMDADTCASFQDYEHTIKQILQRKILIETFKWSTTDPKATILRT -FEFPKNLFTASPQILEKLSSFLFFRASVDIEIKINSQPFQLGKLQAVAQPYLNSKPNMYGNIYSQSMSPS -IRIDASSNKPGQIRIPWVNPFSAENMAAGVLPGTDYMQLNLWVLNALRDSTTNTSCSVSVFASFSDVSYS -VPSAIPHPLLDVDQRIRVYEEFIRKHKRVSSLLAGTVADEEKQNTGLVSNIANTVATIADGVTPLLPEAA -AVSWVARAASNVASQFGFSKPNYADTQDRMLQMPHPTYTCGVGSEPGPKLACTPDNQVVVAKHFATSANE -MDIPYVCSKPGLMYQFTMSTTDTAESRIGWWNCHPGECSSSTYQVTIPVYHPTFAGFVASQFSAWKADLK -YIFSFAKTKYHSGRVRVTFVPGGYIQVLDTIDYNQMYSKVFDLRDHEEFEFTVPFPSNTPMKVTRLSTVG -ADLGPPETSSRWSVGMVYVSVVNELVASSTVSPTIECNVYLSAHNAKFMNPSTTEYTACNVSVDNALVSN -KHKPNMTSYAHRVSSFLGKTQTSQSSRIKVPKIFELNTSCTETEKRETVSEEVIQSKVGVSMTVPSPHEL -ICSGESITDLRVLTKRLTPIVRQSYVEGSAYKYDPRYFQFDQQQIYGYLDNIASLYLYWHGNKVVMIRPD -LDANLNLGSQCAFASAASLTQASTYGHSYASSNETPFNLHWRNNGYSYLYRLVNNYLCSVEVPYYSNLPF -KSTIENASDTVLDSSNFLYVKPNYDFTVLHAAGEGFNFGFLRGPPTMTPFSWPAPPQNGADD - ->YP_009336584.1 hypothetical protein 2 [Hubei picorna-like virus 16] -MINEKIRGFVGLRADCEVIVQVNAQKFQQGRLRLQYIPYAKYIPQKTSLFVSNLTSRTSCPGIDIDICGG -SNQETRISQAKFIIPFVSPHLYYNLITGDGTMGDIDLFVYSPLLSGTNEVKSCEVSIWARFITPKLAFPT -GANPVYNTPTRRMQAQVRGEAKQVVKSGVISNTVGKIAETLHVAKDIPVIGSYLAIPEWIANKASNALKM -FGFSKPTVAMNTKLRTTNCFANFNGQDSSHKMGLSADNEIDTPAGLSGTNIDEMALSTITSIPAYYSAFD -WTTSQVGTDQILWVDDVSPYKPRNLSAGQIALVPVSYVANCFGLWRGSLVYTFKVVKTGFHTGRLRIYYT -PYESLANLPVGSSPVNQIEKNYQMIFDIEESDTCTFTVPYVSTKPWLSVTAQESATTPVNVGTGYIVVTV -LNELRAVSTVSSSISIIVEISGGEDLNFACPCAPRLQPANPPNDKTQTTIPPYHQEQHGVLSAPLSMEAQ -VYGTAAQRQRNEAQMKNYPDTISKILPTPNWSPESHCIGEKIMSIRQLIKRSNLIGVTWEHRSTDNIGRN -VTAANYNGLNGLTVINPFANKINDRDCGIDYLSYFDSIFAFFRGGVRIKILSTVVGAEGNLPNADIPQGD -WYVKPVNNNSMIVKMFNAYDQFHGLIQAFAFRYRSQNNALALLGYNGTDLQTYNALTADASSTILVNQEV -EGMVEFEVPYYNSTHMSPNDMIIRTNAAIGDIDPTKIFPGTSATDDAYPLPIVLVTTPVYQNSNQIYTGP -GASLHYYTGLSATYNIYRSAADDFGFQYIVGVPPMIVGTNVSTHAFVPSYYRASG - ->YP_009336582.1 hypothetical protein 2 [Changjiang picorna-like virus 12] -MGGVYAEIRAWNLKRWTDPPTRITETYQDTHLQIDKTIGSENSFGDTGGISISLGHTDVKPNAAQAQPTG -HFYDDKGGSIEQFLSRPKLLAAYTLGATNTATTFPKVNILKKIIDDYRDKIVGKYCMAFDIEFTIEVSAT -RFQQGRYMFCYLPSFYFNGGTGTDDTTLSSWKVSHAATLTQCTQLQHVEFDLNVDKAVTLTIPFRYFNPF -MEIIYTPGASNTPTIPDYGIVFLRPYVPLSVGAGGTANADVNIWYSLKNVKFYGTANYQSAADQEAKSVG -DGPISGPLGVVTKTLNGLSDVPFIGHYARPASWVSEALGRVAKHFGFSSPTIQNGVTPLYNMPYGYGANT -DVPRPVQSLSYTTSNSVTESSEVTGSKVDEMSIKYLAGIYAYKTGFDWGTGLLRNALLFETAVNPLLPYS -TTTILNVLPETVYHHNPAGYIASRFKFWRGTIKYKLKLVKTEFHTGKLLVCWHPGNESIFNHTAPPDVGA -SYYRYRQVIDISVGNEFEFSVPYMSPRNWKNVVPVNPTSSGNTNADFANGFFSILVLDTLKAPENVNQTI -RLLLEVAGGEDFAVMGATPTSFYAESETGHNAPRFVYAGEYQSATQMPAENSTLVSYSFGSHLPMERDKN -ISACQVSGGEYIETLRKVLKRYTRAFRTPASGTNVYHLFGPFSAPHFYAPGAATAIVQAEDQDDFAYYGQ -MFCFYRGSTRFRIAFAADSPGSANLNRHQHRVALCDLNTTGQVSLFNGGAAVAGTTCVYPGLDSAAVTYA -VSSLTEAVEVSLPYATADANVLVQTLSVPGNGIYGIWLNSASPGTCAYMGIHKSLVPSTITLATTFDRAI -GDDASFSYFVSCPPVNDDRLFIQPT - ->YP_009336572.1 hypothetical protein 2 [Hubei diptera virus 1] -MGSNITNDNDSNYKDVKEVITFQNQGQTVADEALAKHVDLPSSYLDMSIANDKIHTINTFLERPLRIWSG -QFSTSNTQGQVLYSGTFPDVLLTDPMYYEKIKGFVGLRANVEITVQVNAQKFQQGRLRLQYLPYHKYLTN -KSSMINATLTGQISCPGVDIDICGGSNPQSRVAQATFMIPYVSPHTYINLITGYGTMGQVNLLAYSPLVS -GSAESTSCEVTIWARFIDPKLAFPTGAVPYIIPTTRRHVAQVRGEAKKLKKTGVVSNTLGSIAETLHTAS -KIPVIGSYLAIPEWIASSGEALCKLFGWSKPTLPMDTKLRTVNCMANYNGQDSSHKMALSADNEIDTPSG -IGGSDLDEMALSSIFKIPSFWQQFSWSTSQTTTDQILWIDPITPLKYSTIANTTNGISMTPVGFVANCFG -LWRGSMIYTFKLIKTGFHAGRLRIYYVPYEKASNLVVGSAPVNEIEKNYQIVVDIAESDTFTFKVPYVAT -KPWFNTTSLGSVSEDIQTTTGYLVVTVLNELQAVSTVSSSINVLVEVSGGDDLTFACPQAPQYLPGVPTT -SSTSLKEHEAQVLGTAVEVPRNEAQLLYDPNSISEIDPLTNWSPEAHCIGEKVVSVRQLVKRSNYVGSIT -ENRSNLNAANASDNVNTLGVINPYGMNYSSPISGIDYVSYFSYIYSFFRGGMRLKIAGISQSAEGLLPSS -ETPSGVWMSKPYSTSNIFVKMLNALNPVMTSIVTRTQKLNNIIGKVGCNGLQFAGSNSNVIAEMHPLFTN -ASSTVIISNMIEGVTEVEVPYYNSTHITPCVDNGATASMFPVISMSEAEGSYPLPVLVFGTLPYNTNFQM -TEAISSPSNIYSTMEASTQTSFHIYRQAADDFGFHYIMGIPTMITETPPTRNLFVPTVP - ->YP_009336541.1 hypothetical protein 2 [Hubei picorna-like virus 15] -MDCVNDGRSHNIISFLERPIMMVTAAWPTTTERGTVLQAFELPWDMLFKDMYKTKVDRFYGFRADCEIRV -QVNSQPFQAGRLLLSWIPGYRYLGNKQQYYSSTTTSLATNVKYLPPITGSPRIDLDLSTCTEATMCVPYI -SPYSFSELTNGIGSMGRFQLVVYSPLSDTQTGTVDYTIFMNFKNIQLRYPTGLPLTATAQIGSEAVEEAG -GAGIITSTASAISTALGAVADIPGVSQFAQPALWVSKNIADVARQFGWSKPTSIEAPHVTKLSSTRFMAN -SDGVDTSHVLSLLSDNSLETDASLFRTNVDEMALSHVARTQTFYTRFAWSTTATAGSVLFSAPITPNFYR -HTISTAQYAPTTLAYTSAAFRQWRGGINFSFKFVKTKFHSGRVRIIYVPGDYSTGVSLPANFDIDANYST -VVDLRSDTDVEFNVPYVAIQQWLLVDNAYPGTARTNFFSTGTIYMVVLNELRAVSAVASSIDVITEVGAA -SDFELSIPRLPSIYPSDVLFPPPAPATGSVLNRLVRATAQVGESEAVMAPPEVIQASGAVTAPLTSKEFS -GTTYVGGAITVGEKVSSIRQIIKRFHKIYSDAAAGNLITGSYQVQPSKVNSPLNSGSTLPRSIDMYDYYS -YLYAFFRGSFRFKVLPYDFQIYAARVRLLPEQLITSGASPVDFVNALLPEYFTAADVYMPRNIEGVFEFQ -VPHYSRYPILPIIAGGNISSDLFQRNFTEIDLSTSSTNALLDRTRITVYRAVGDDFSFNQLIGPPFVSQY -TAT - ->YP_009333495.1 hypothetical protein 2 [Beihai shrimp virus 1] -MGNIFTAPDPINETNDVMHKDVAQANKLSTDFDVARWIERPILLQTRDWTANSAELPLNCGETVNPYYFL -QDFTLPTTMESRLRNLKYLRYKHVTITVRVNAQPFHSGLLKIVWSPIIMNAPVAKNGNIDECAPRNLLFS -LMQINGFESVEIDLMKGQTASLTVPWMHPYDWLTVGQFGSFHFGTFAIFGLAKLGGPDTATKVGFTTMLN -FDGVEVCGPTAAGFQAVRLEAEEVEVAASLSEVNSGGNDRSIEAYGYKDQPASEIERPLDFKSLLAEWNY -FSRGTWSTSSTGSFFTIPVKFAYTDTFDMTYKFFPQSGGLKEITQSNTVECMGVPTMITKLFKYVRGDVQ -IRFKFPRTSYHSGSIMAMYDPYNQSVSRGDLVTNYTLLMNMKEEPEDSFTMTIPFNHKLSWVTPDHEMGQ -ITLCVYNPLVAPATVAQEITILVEYRFLNMELRNPVGAHSPVALQVNWDKIQPPTTSARLESGETLEVIP -IKSGKPLEVISEKIESLHDLSRIPNILLNEVYNHSNYAPLFYGALSGSQEWGGWSEGYYSDNSVQKENFS -GELPTMMRMPYLISAFVSGSIELNLIDTNPVNPKALAVYRGEDQNSDQLCVRSMGCARRSGRINFVNTRV -TGELKFTYPFVSAMKFYPTHPCRLFAMDDDQSILTYCMSDMKSLHKSGVFMKKIDDVALLASNGADAKFY -GRLPPPPIIKKDYSSTDLY - ->YP_009333500.1 hypothetical protein 2 [Beihai picorna-like virus 80] -MNVTEQNAHMDSASINAPTTSGPVSGTTSFELSTTGTSYNRDPTPLDPQNCYDVQCNSVTEIADFLAKPI -PVASATFTTANVWGDNLYTGDLQALFNAQTLWVNKVQGFLSFRGDVKIRLVCNATPFQAGLVRVSYFPCA -NYLVNEAKSHRYHRMTTSQLPGTYFDIKDNAIELTIPYVAPPTMIERDLASPPSWGSLYIDVFEILRTGT -GPTSVTFSIWMSIENLELSGQTIPQMSTVAKRRTRKVNVTDAESNNGTGPLTRLISSGVQLASDATAFPM -LSSLATPAYWALRAARGAAEALGWSKPSVTADPMRMMMGSGLNQQNCDGGDLCTPMSLSADNKICVITDA -TPAAMDEMSFKYINSVWSYHSDFQWSTSRLIGDVLAVHSISPSAMELSFTLGARPVRTIPACAFMRRFFR -KYRGGFDFKINIVKTGYHTGSLAFVYVPGKTLISAPPYATTSYLYRTIVDIQACDEVILRVPFILPQSYI -NVDEQIGTLLIYVVNPLLAPATVASTVDIMLQVRGSPDLQYQVPDIIDIAPVVPQGGDVVNSEDIVKELG -EKGYDVEPVHHAQQAIGEYVCSVLQLAKSFYRLGSNGVIFNNPAYAFQTHRFFGNRFNGTVWVTPPFGGG -DMLSILASMYAFSRGSIRYRLAYRSAVSGNIQPTNRVLITDDGVGYTTSTTHPAIQNVTGSGGTSSGRVS -CQPLSNGGLGFQVPFYNNYRYMLNNINVELTVPPSLPFAPTTMVAIDQAGTDASMFRAVGDDFQFSFFIG -VPVYGFNYANGT - ->YP_009333387.1 hypothetical protein 2 [Beihai picorna-like virus 75] -MQITKLQDEGVIEEMVAPVVNTNVEQKLVSAATRENRSHTINDFLNRFHVLEQFTWSATDTRGKVLKTYR -FPDVLNSVMSIRNKQLNFYGLRAGVELIAQVNSQPFQAGALMISFLPNARYNNVKRETHEKDLQGMVSRS -GAPRTTLDLMDVTRASLKVPYASPFVFYNLLTNEGNIGDFHISVYAPLRDVAAAGTVTVTVAARFTDVEL -AFPTGSALPANSDNLITNIAHHLERLSIDPSRPKVAKVRRAATELIKKIDAGEVVLQMNTGVSAFKQKAV -PNMATATDDDMTHMLSTSSSNSLKPLNMGNASGNEMDFNHILAIPCYHNFFSVGTNQVSGTNVWSSTVEP -LKETDIVNTDTSMSVDYMYALSNMFRKWRGGIVYKFRVIKTRYHSLRLRISFAPGATSQANIDRDSCYSA -IYDLRDSNTMEFVVPYVHPFPWLNTKSQGTTPDTSLGLIMVDVHNQMVAPSTVSSSVDVIVERHAHVDFK -LGVPTSLRAFPFDPMPAQEEKSIPWYRQTVRPEPISPEHNPRPLVPTEPSTTTTTQSGDTVIQIDPPSED -DCTVDDTCPFEVVADEIQPPRRRGGRREFQGNCVMKSYESAGEDSRTRLNRTTRMKFQGNSGPWWMLPLT -WAATVFTSMAICIIGDKIGGWIRDLTTEGVEPNPGPIRTSRTLISGTQSVNFTSTFAGPQEIEIEVGVVP -QQNIIDSFDCTVTSNVSNPQQISILPRCYTGAPFRTKFMWTDRTIPIITFTGQSSGSHQNYILISFKTEV -GMSFQMNSFEQDGERMMPGCDSITQPKIVETMGQYCLGNEVTNVRDMIRRSTYFSNVTPLDSRPIHIMTH -AFGTAARTAQGVNKADGLDNLSYLAHFYAFSRGGVNFRLQTNGSTYRVIVNTNNDYNVVSTNQFYDLVEQ -LGDANSTTLDQVRASNLMQHIVNPSVEGIGEVAIPFYSSSYCQGINPELSVRPVVDVANFTIPDTHMVVE -PAGLLSEMYVFRNAGADFQFSYLTGPPLLLSF - ->YP_009333201.1 hypothetical protein 2 [Shahe picorna-like virus 8] -MITCQPTQSGILLMSFNPNYKYAVQQGNNINLSLTGKTGCPSQMINIASATKPVFEGAYANNRYVYNLNT -AAGTMGQFKLTVVVPLASAAATTVNYSIYASLVDPKTFTPTAAKVDGAPILVAQIGNAIMKPVVALCEDG -DDRHLLEAQIGGELLEREKTRTISGMARAVSSVASSVPEYLGLSMITKPVEWISNSVATMVSAFGFSKPT -SQHTGDFVKISPNNYMINSDGIDTSHKLSLSATNELETKPELFATEIDEMAVSTLVTRPAYLASYTWETT -QTEGSTVFITPTSPSYMYSVYDSVNDLRTGSYAWLMSQMFEEWRGDLYYKFDFSKTKFHSGRLRATFYPN -GKMDLSNLQDIDLMPTYVKTEIFDLSTADQFVFHIPYTAALDWMRTGQFGEFHTQATGIFLLEVVNPLVA -PDIVSSTVNFTWSVFGGTNLEFAVPIKPRIRPLRFHPEILRTRRAQIGALNCFIGNKNVEISNNDPIHKT -KKLKLKSPAVDVDLATDINNASIEEGTSLVAQVGAQPTKSRSVSHQYIDGPASTNLTPHARCVGENIPSL -RTLGKRFQKVCKEYALTEDAWLLIRPWCQQDSMEIPDFYSLFSEFFQHYRGSMRLKIFIKAVPDDFNWNK -SLTIYILNNTYKGDPVYYYNANDFVSTIMDGNNVANLPRVADSIEIIPDKEGAIELDVPYYSRYHMCTVS -KDDDALPDDGMAPIPQIIIEGLQKCTVDIYRAMGDDFSFATPLGLPPFKQYSP - ->YP_009329986.1 hypothetical protein 2 [Hubei picorna-like virus 24] -MGLTQHTDASIHSIVSFLRRPQLISRFDWSINASRSENLLLAASGDGLMIPRDILTDQYLNKLDGFTSMK -ATCVVKLEINAHPFQAGRLVLVAAPMPTILGTRKDFLFSTVGQAMSVNHVQMDISKQTEVELKIPFISPY -NSYDLIDGKFDWAEMRVLVYSPLNSTEAKSLECILWVHFEDIELGYPTSGLPKPRQQSNAVQKAREMEAK -GEKTGALGDLGGRLVAGITRTINGVTEVAGGLSSIFGWSKPILAKPGCTVLNRPEEGFANMDGIDHSCVL -AMSTGNAVDYYPNLSSTRIDETSFEFLKRIPQFIGVFEYSKGSTYGTKLWECAVSPSAVIPACYYIKQDG -LPTLSWKQPTILNYITSPFLYWTGSLVYTFRFVKTDFHSGRVEVSFHPFVTKVNEDRMDYVYKAVVDLRT -NAEVSIVVPYVSPQQWKRINTYLDPVNPDPPDPGRTGDVITGILYIRALTPLLCANSIIGEKIEVLVEMR -AGADFLVHAPVTSRYLPFSFDVPKQQGGKQSALMGLPKQQGYAMQPVGYTQLASQVLTSDGGVISIPTNG -AVAMNLRITCDLFNWSTDDVACYWALTSNMPNYDTRVYMSGARASYTFDRIQATKGEVVFAIYVDKAVIS -RGKATGPRLQVSILATPVDWWPNPYKVSISEDDLVNVRGRITIEKVLVDEDQAAIPVTTKKGLPLSIAQE -EGGKLDVVVKNVPLDVKGDLKIDTKEALNVEITGPLPLPVKGDGGGGGGGGGGGK - ->YP_009315871.1 capsid precursor [Mosquito dicistrovirus] -MTTTNNEQYDTATIMEQGSISKDVDANLNVILPKEYLDRCVNDKDQHAIQSFLSRPIPIFQGTWSSTATR -GTVLNTNVFPKDLLGSYTNNTYKLDGFVSLSATVVYRVQVNSVPTQAGALMAHYIPYSEYMNSHTQWYSA -GGVTDTVAASGCRRVQMNLANETAMEIRVPLSGPYASFNLVTGQGSFGNIVLSVYSPLASQSTSSCSFTI -LAWFEDVDIRFPTSATLTTNFAQVGSEMKKMERTGVISSATGQIGRGIAKILPVVGLGWLSNPVSMLADG -AEFVLKALGFSKPTVESPNTLMKIAPTRFFLNGDGADTSHKLAISATNALTCIPGWAGSDIDEMRLDYVA -GRPCFTRAFNWTTSDTSDTQIFSIPTGPLYTQVLSTKLANAWVRNVSMPLCAKVASLYSMWRGDLVYTFR -VVKTQFHSGRLIASFRPYNYTDTARTQLMPAYNYFTELDLSLGTDFTFRVPYVATRPFLFTNYDMDNAVA -SSDARNSASGTMTISVMNPLIAAGTVSSTVEVLVEVHMENATFVSPVKPRHLPFGIPNVAQVGSAPRVVK -GKNASEITPSAIVLTEHGMCVGEAALSLRHLLKQFYPLATVALNAQAATASVPGQSGKAFTLFPWAPVIP -QLGSITATTVNNQKPSYANVYTYGTTVITEIPDMYSNLYCNYAFLRGSIRYKIVVTKKSTTFDSELPIKV -LMNTWTQDSSGAYTPSMQTATPANSNGTFTNLGSGPIQPVYDVAATTAGSTTYQIGFVETELPIIYNKDG -IVEFEVPFYNSGHSVPTNYGLNNPLTMRSIVYPVPQVTVFCDAFPTCTVQVYRGVGDDFEFGALLGVPQH -AAWQLMNAPT - ->YP_009315869.1 capsid precursor [Centovirus AC] -MMTTNSEQHDTATLMEQGTISKDVDANLNVILPKQYLDRCVNDRNQHAIQSFLSRPIPIFQGTWSSTATR -GTVLNTNVFPKDLLGTLTNNTYKLDGFVSLSATVVYRVQVNSVPTQAGALMAHYVPYSEYMNSHTQWYSA -GGTTDIVAASGCRRVQMNLANETAMEIRVPLSGPYASFNLVTGQGSFGNIVLSVYSPLASQTTSSCSFTI -LAWFEDVDVRFPTSATLTTNFAQVGVEMKKMERTGVISSATGQIGRGIAQILPVVGLGWLSTPVSMLADG -AEFILKALGFSKPTVESPNTLMKIAPTRFFLNGDGADTSHKLAISATNALTCIPGWAGTDIDEMRLDYVA -GRPCYTRAFNWSTSDVADTQIFAIPTGPLYTQVLSTKLANAWVRNVSMPLCAKVASLYSMWRGDLVYTFR -VVKTQFHSGRLIASFRPYNYADTTRTQTMPAYNYFTELDLSLGTDFTFRVPYTATRPFLFTNYDMDNAIA -SSDVRNSASGTMTISVMNPLIAAGTVASTVEVLVEVHMENATFVSPVKPRHLPYGIPNVAQVGSAPRVVK -GKNASEITPSPIVLTEHGMCVGEAALSLRHLLKQFYPLATVALNAQAATATVPGQTGKAFTLYPWAPVIP -QLGSITATTVNNQKPSYANVYTYGTTVITEMPDMYSNLYCNYAFFRGSIRYKIVVTKKSTSFDSELPIKV -FMNTWTQDSSGAYTPSMQTATPANTNGTYTNLGSGPIQPVFDVAATTAGSTTYQIGFVETELPIIYNKDG -IVEFEVPFYNSGHSVPTNYGLNNPLTMRSIVYPVPQVTVFCEAFPTCTVQIYRGVGDDFEFGALLGTPQH -AAWQLMNAPT - ->YP_009252205.1 structural polyprotein [Anopheles C virus] -MPLITPLDQAYLAMTTREERIHTIKDFLSRPIRITSNLWSETNTQGQQLFSANFPEVLIANPMFRSKVEG -FVGLRANMRVKLQVNSQPFQQGRLLMHYIPYAQYMPNKVSMINYSLHGKTGCPRVDLDLSVGTEIEMLIP -YVSPHAYYNLVTGQGSFGAIYLTVYSQLRDPAAANGSVEYTIWAYLEDVDIQYPTGANVYTGSAPNRATL -AKEIEQGLDYRTLRKALVANTYGNNIEEAFAQMGPEIKEIKEQGTISKGLGQLGTTLSTFSSLPILGPYL -RAPAWLSKAASNVAMHLGFSKPSVQGIVEETKLRTAARMANFNGVDSSYQLGLSASNEIQTVPGLSGSKV -DEMDLNVIASIPNYWSQFSWSVTDSTNKVLWDNYVTPYKIKQEPGITPPRFVCTFLGFLANMFAYWRGSL -VYTFKFVKTNFHSGRLQISFIPFYFNSTISTGVPDTTRAQRIIVDLRTATEVSFTVPYVSSRPWMFCART -ESEVLGTVGTDMYNAVLGIIRVEVLNQLVASNSVTQSIDVLVEVNGGPDLTFAGPGGPSYRAYSGSTTVA -DESKALSWDGQLLIKPIETEQAKTNEVKKEEASAQMMGDNEQTPRNEAQLGAHSESIDTHPIQSNWSPEA -LCIGEKITSVRQLIKRHGLLARKITLNQANPTLFLAPFAFRNPPSATLATSSPTSLLEYFHDIYAFYRGG -IRHKIVAEGAPNFLVSMVNTLQEGMIQLLLKFNWSAFSFLRSTFPANLIQSPISNTFISTPIEGAMEIQV -PYYNSSHISPCVGFSAGTTSPISRRSALLGFTPPVIVGVTPMFPPGETDEINCAVSRAAADDFSFSYILG -PPPLVPISFLNV - ->YP_009221982.1 capsid protein precursor [Goose dicistrovirus] -MDMTIANDKMHTINNFLERPIRIWAGQFSTSNTQDQVLYSGTFPDILLSDPMYNEKIRGFVGLRANVEVT -VQINAQKFQQGRLRLQYLPYQKYLGNKTALINSTLTGMTSCPGVDIDVCGGSNPQSRIAQATFVIPYVSP -HAYINLITGYGTMGQINLFVYSPLLSGSAESPNCEVTIWARFLEPKLAFPTSATPYFSSSVRTHVAQVRG -EAKKLKKTGVISNTLGRIAETLHTASKIPVIGSYLAVPEWLATSGEALCKLFGWSKPTMPMDTKLRTTNC -MPNYNGQDSSHKMALSADNEIDTPSGIGGTDLDEMALSSIFKIPAYWQQFTWNTSQTTTDQILWTDAVTP -LKFSPISGTTNGINMTPVGYVANCFGLWRGSLIYTFKLVKTGFHAGRLRVFFVPYESASNLVTGSAPVNE -IEKNYQVVIDIEESDTFSFKVPYVATKPWFNTTSLGTVSETIPTSTGYIVVTVLNELRAVSTVSSSINIL -VEISGGDDLTFACPQAPLYLPGTPTAERPSRQPRMIEHEAQVFGTAVEVQRNEAQLLYDPDSITNIDPLT -NWSPEAHCIGEKIVSARQLIKRTNYVGSIVENRTNYNDAFVNQNNNTLGVINPYGMKYSSPVSGIDYISY -FSYLYAFFRGGMRIKIASIAQSADGLLPSTDTPAGVWMSKPYSTSNIFVKMFNALNPLMTTIVTRTAKMN -NVIGKIGCNGLQFSGNSTNVATDMHPLFSNSSSSLVVSNMIEGMTEVEVPYYNSTHITPCVDNGATASMF -PVISQSEAEGAYPLPVLVFGTIPYNTNYQLLQQYSSDAVLSTMEASTQTSFHIYRQAADDFSFHYLIGIP -TMISETSTNIGTFVPAVP - ->YP_610951.1 capsid protein precursor, partial [Homalodisca coagulata virus 1] -ATSQQIHDTMETHSHEPINTNIDGETSENTFEEKREITHFTEDDRVLTDAVTEITSLPLSLLQYGDEPRE -HSVISFLQRPEKIATVTWTTAQTKTTNLVSLPIPSSVLTTMYREKLRGFGLLRADIVFKLQFNSQPFQAG -RLIATYIPVPAYLLQRTRMARASLTRLTSLPNVIIDISKQTECNITLPYVSSFTHYDLTSGGGDWGLFDL -WVYSPLSSASSQTINISIRAYLDNVRLGAPTQQSLVTAEKMLKANVQTRDLSRGTSSCGSISARAQGGKQ -TAGSGDGSFGSLLNKGTKSVTMQERSAGTISRVGHSIREGLVRGLNVVGEFIPGLSEITDTANSVAMGVL -NTLAAFGLGKPKNLDKIAPRTLHAFSDFAQATGVDNGHILSLHGDNKVTVLPGFAGSNTDELSMTYLMQT -LQYYDTHTISTTTAVGTQIAAYRVTPFRFDLDLAKTAQSFVSGSPLINFGQPNLQWYIGSNFKYWRGDII -MHLALVKTDYHSVRLKIVYDPMAQSAAAVTYDASEYCYSIVVDFRDKTDIYVRLPFISATPWKLVPPSTY -TGYTPPPVNQQEGLSTYSGYVAVFVDNILQASSAVVSQSIEMVSEFCAASNLDMGFPHGGQNWIPISTVL -NPGDPIQADVQSAFAADGILKTRTNMQENTLDIKNITGMAPRPLYDNITSYTTGEEVYSLRMLMKRFNWI -ASVPSGQASIALPNTVKTIDAAAPVSNPNQIVDIRTGPPYANNTVSDCALVDVVGALFAFRAGGFRWKAW -DSGSELISAYLVPFGPYNTYGIPPSTFTNLISNTSVYELDSRQVKGSAEFATPFYHPCYTQVNSNFSYFT -EGGEPDLYFHFTQPQTVTVVSRSNPGSEMNIAKSAGDDLNFGFLLGVPDCLPSQIVAGLLSRPSSQPNLP -NSTPIS - ->NP_733846.1 capsid protein precursor, partial [Aphid lethal paralysis virus] -PANFQETQMTHEQQQILTFSSEGMTPSTSIYTDPLDLDMSYLTSVDDGRNHSIIDFLQRPINIQNIEWST -NDNAGKTLMAVDLPLDPIINNSMYKAKCERFYGFRADVELKLQVNAQPFQAGRLLLVYIPGYKYIGEDRQ -KYYDDRTNVDDASLVPLTGSPRVDLDLSTCTEATMCVPYYSPYLFSDLTNGVGHIGRFKVVVYSPLVDGA -SSGIVDCTLWINFKNIKIKYPTAMPIAATAQVGTEAIQDSAGAGVISSAAASVSSVLSPLQDVPLVSNYA -RPALWVSNTIRDVAKHFGWSKPTTAEAPHLNKLTGSRFMANADGVDMSHSLGISAINELEVNPALTRTDI -DEMTVAHIARTPCFIKKFQWTSNGKAGDVLYATPITPSFFSIAVDSTRVAPSHLAYISSPFTMWRGGINF -HFKFVKTKFHSGRVRILFVPGDYSNDDKLPDNADPNASYSSVVDLRSDTDVTFNVPFVSVQPWKLSSADV -TSPLKDYQHSVGRLYVLILNELRATSTVSDTISCLVEVSGASDFELSMPRQPKIYPTLRAAKSTTLNRVI -RGIAQVNVAESTPVSPEIIQKTGEVGESSMRQPQGTSFTSSALTVGEKVTSLRQILKRFHLIYSNLTTST -KNNNLYRINSFKTPKPIAAQATMVNIDLYSYYSYIFAYYRGSFRFKIAPFENKVYAARIRLIPENGATEA -NAGPVQEDNTVIDETRTAADVYMPRNLEGTFEFQVPHYSRYPLLPNTAGSIPVIGVQDLIQRNLVSVNIL -TEAETTKALFYRAVGDDFSFCGLLGPPFVTRCTNTLK - ->NP_647482.1 structural polyprotein, partial [Cricket paralysis virus] -ATFQDKQENSHIENEDKRLMSEQKEIVHFVSEGITPSTTALPDIVNLSTNYLDMTTREDRIHSIKDFLSG -PIIIATNLWSSSDPVEKQLYTANFPEVLISNAMYQDKLKGFVGLRATLVVKVQVNSQPFQQGRLMLQYIP -YAQYMPNRVTLINETLQGRSGCPTTDLELSVGTEVEMRIPYVSPHLYYNLITGQGSFGSIYVVVYSQLHD -QVSGTGSIEYTVWAHLEDVDVQYPTGANIFTGNSPNYLSIAERIATGDFTETEMRKLWIHKTYLKRPARI -YAQAAKELKQLETNNSPSTALGQISEGLTTLSHIPVLGNIFSTPAWISAKAADLAKLFGFSKPTVQGKIG -ECKLRGQGRMANFDGMDMSHKMALSSTNEIETKEGLAGTSLDEMDLSRVLSIPNYWDRFTWKTSDVTNTV -LWDNYVSPFKVKPYSATITDRFRCTHMGYVANAFTYWRGSIVYTFKFVKTQYHSGRLRISFIPYYYNTTI -STGTPDVSRTQKIVVDLRTSTEVSFTVPYIASRPWLYCIRPESSWLSKDNKDGALMYNCVSGIVRVEVLN -QLVAAQNVFSEIDVICEVSGGPDLEFAGPTCPSYVPYAGDLTLADTRKIEAERTQEYSNNEDNRITTQCS -RIVAQVMGEDQQIPRNEAQHGVHPISIDTHRISNNWSPQAMCIGEKIVSIRQLIKRFGIFGDANTLQADG -SSFVVAPFTVTSPTKTLTSTRNYTQFDYYYYLYAFWRGSMRIKMVAETQDGTGTPRKKTNFTWFVRMFNS -LQDSFNSLISTSSSAVTTTVLPSGTINMGPSTQVIDPTVEGLIEVEVPYYNISHITPAVTIDDGTPSMED -YLKGHSPPCLLTFSPRDSISATNHHITASFMRAPGDDFSFMYLLEVPPLVNVARA - ->NP_620563.1 capsid protein precursor, partial [Triatoma virus] -LAVNNVNMKQMNVNSSQDTTFEQRSQEKVQAGEINESIEFRNQITTFVHDNPIITEQLIGDSPQPSGDVR -SVSDARTHSIIDFLERPQFIGSFLWNTSDIENKEIFSLKLPDALMSPMIREKLSGFTSFSASTVFHIQVN -AHPFQCGRLVLAAVPVPDILPLHRLNMLSFDVSNVITLPHVQLDISKETEVLLKIPYVSPFVQYDLVTKF -TPWAAFLAHVYAPLNTPSAASLQVNVFAHFEDIKLGFPTSAIVAQAGKEQLGPISGLTNTVTGVVGGVAD -AVKGFFPSIGKYADPLVGIGNGLTGLLSALGFSKPLTTIPPTIVVQRPSQYFNNADGVDQGLPLSLKYGN -EVILKTPFAGTSSDEVALEYVLKIPNYFSRFKYSSTSLPKQVLWTSPVHPQIIRNHVTVVDAPGQPTLLA -YATGFFKYWRGGLVYTFRFVKTNYHSGRVQITFHPFVGYDDVMDSDGKIVRDEYVYRVVVDLRDQTEATL -VVPFTSLTPYKVCADVFNSANRPKYNYEPRDFKVYDNTTDQFFTGTLCVSALTPLVSSSAVVSSTIDVLV -EVKASDDFEVAVPNTPLWLPVDSLTERPSLDGVPIAQVGFASAGTRDIRSSYVEGKFIPQDITGMSRNHE -LDEQPSQECIGERILSFSELIKRNSWRYVSDEKSLIYPAYAFDNPAAMYTAADKLPVWTLTPRSGFPTLL -TSIGAMYAFYRGGIRLKIVPGVADQPKPLVEVALFTMQDQGYIIKANDYSTDFCSSNIYENFVTKGIAEV -QTPYYSRVNTSVVSAPVLYNAGNISPLMPNVMYKITSNSSNILLGHSAADDFRFGFLLGAPLAISATALR -DNFTGSSATVSLPTFSNFYLSSTSESTT - ->NP_620565.1 structural polyprotein [Black queen cell virus] -MAEQINENYENKQQLVEQTEITTFENDLIVLEDGPQMEEPLPYAFHGQHTDNRQHTVVNFLQRPQVIFDS -SWASDLPRNKQFMDSIMIPDDIISFPMFAEKLKGFSSLRATAVITVQFQTQPFQAGRVMLGSFPLPTLNP -TRVKFATNHVSRLMLLNHVQCDIAKETEVSLRIPFVSPYNSYDLVSKRFPWAKVVGLVYSPLTTTIPVDY -IVYGHFEDVELGCPTSGMLAQAGLKVQPPTNSDSRQRAKESKGKKDYSKTGAAFKQSVSKLGEHLPFLKS -GANWVSENILQPADEIIGPILSLFGFSKPLLPITNPTVLRPANTFAITDTNDMSHSLALSNDTNVPFVKA -LDGSGLDEMSFDYLKKIPQFIQSKFFTTTTKPQEVLFQTKVMPHYFVPAGDVTVAMDKDKTRTIWQPSHL -AYITSMFKYWTGSLVYTFKFVKTDYHSGRVEISFHPFSDYTANSYSDYTYRIIVDLREKSEFSVTIPFIS -PVPYKRISRPDWDKPYSKYAHASTGTLVLKALTSLKATNTVVSNSVEILIEVNAGDDFNVIAPIENIFFP -FSLSPGRKGMVAQSNSGTEQQNPRGSSLLTDPESITKSDPYNPNISLLISGEVFTNFRNLIKRVNFRKAT -TLNGKRISDTFDINSLIEAPRLDIAQYVDTETKEAKYGFSYFWSAPTTLNIVAEMYALYRGGVRVKVVTE -KGVDFVRATVSPQQTYGSDVAPTTHISTPLAIEQIPIKGVAEFQIPYYAPCLSSSFRANSETFYYSSGRN -NLDIATSPPTVNRYYAVGAGDDMDFSIFIGTPPCIHASQTAQFTKIKQGKVYDLRYDQYDPFREVQDGTA -FLNARSIEDSDLL - ->NP_620561.1 capsid protein precursor, partial [Himetobi P virus] -ANNNNNNNNTNSQKVNDTTFSDRENPSVSAGRIDESVEFTQEITHFADNAPVIDSSIAGETNLKPSLVTD -FHDNRQHSVISFLQRPQLIKTVEWAPGTAQGSLLTTIDIPDDLMTSMVYDKLDGFATFKADTIFRVQVNA -QPFQCGRLVMAYIPMPDSLSTRTAELTRAIDRIIALPHVQLDISEQSEVTLRVPYISPYSAYNLIEGRYR -WGRVVVAVYSPLNQVSQPNLKVNIFGYYDNVTLGYPTLGTIALSPVAVAREQVNLNSEADMLRIAESRNF -PTKIAASINGVIQKGSDILGNVLPQTKSFTNPVAKISDAAFDIISMIPGFKKPDKTNHGETVLFRPTQYF -GNVDGVEHSHKLGYHAMNRIDFQPDFAGSKMDEMSFDYVKRIPNYIDSFSYSNSNVYGDTLWSTAVSPCY -RSADYTTTNGARNFSFPTPTSLTYAIGPFSLWRGSIVYTFRAVKTEYHSGRIEFSFNPFINLDMYNTNKT -TRSEYVYKVILDLRTQTEISFTVPYAGTTPFKRIRPEINPLSSSGISVDDFNVFATGVLGVRALTPLVLG -STVVPSTIQILVEMKGGPDFEVECPNSTGWMPIHSITPAATGRDTVDSELVSTAQEQANFASTGQHDIRS -DYLEDKIEIKDITGISSNISLNTEKSLSCVGESFGNFRDLIKRFGWFKNQSVAFTNTKILSGIPIVNYTS -SIAGTGLTLTADGGSTPLTMVSSMYAFFRGGFRAKVYIHDLPAGEMVQGALIDNSQNTNVPQPLALQSLQ -YELSDKRLYEFSWPYYCPTYLTTYPSGSLNYISDLVNPTTYARITTISEYATAYAMAAADDFDCGFYLGA -PLSWNWEIERLAGRLDSSYGFVTSPLRVDPFDKV - ->NP_620556.1 capsid protein precursor, partial [Plautia stali intestine virus] -QEKEFTQGRDTTAQSKRIPGAQAGELNNGVEYQEQIVSFSDDAMKIDECLISCAPQTMNESRPASDFREH -TIVDFLERPRVVATHIWSTADARNTNLVDLEIPKALLDNMNLNKFDGFSSFSATVEFKLQINSQPFQAGL -LIMGALPSKDLIGSRNTDVKVAVDKSLYIPHTLFDISKTSEITLSVPYVSPFPQYNLVLEPINWSNFFIK -VYSPLVSKQTDQLDLVLWARFKDIKLGYPTVLPVKTPTTDLILQSGETSGPVSKVAATIVDVAEGVGKGV -SNYIPTVKPFVNGMTTVGRGIQSLIAAFGFSKPQKLDNQNQVVVRPGFNLANVDGVDTGVPMSAFAGNAL -PLMSSLGGSDADELSFKYLLQQPNYIDSFSYSSTITSPTTIWSTHLCPFFLSKTDIQGHPQPTLLYYLSN -FFLYWRGSLKFTFRFVKTNYHSGRLELVFSPFSQTQSSDFVNRSAYAYKVVMDLREQTEFSVVIPYVNTR -NYSYCDMRTTGPPIDATVTNPNTVIAHASPGMIAINALTPLQLASELLPTSIDCVVEVSGGDDFELQAPI -NEGWVGFDSASSSQLTLQSGDTFGSTGIRDSRVNTVDNKVDFQSVTGNNRSLDVDTSHAEHCMGERMVSM -RPLLKRPSYAFTSTGNLFTYIDILRLNSIFTDDTGSYLVDFGATTKDNPIACNLLSRIVQMYAFYRGGIN -IKVAPDKGQVVPNLYYAYISGLTTSSNTYMSYPFSVEQYNAKSLCEFNYPYYNSFKFSAVATNQTVPNVT -QPFFNFIAAGRVAVSAKDDFDCGFFLGPPPSVFRPTLKTIS - ->NP_046156.1 structural polyprotein [Rhopalosiphum padi virus] -MPANINENTTTKIQQQILSFSSEGESPSSSTVLAPLKLQDPILDCARDGKTHTVNSFLERPINFRTATWS -NQPAGERLFSFNYPSNVVTNPMYSRKLQNFLGLRADLVVRVQVNAQPFHAGRLMLSWTPFLDYLGTNRKY -YYTDPSSTFLTSVSGNPRVEIDLSTTTEATMTIPFVSPFLYYNLVTGSGDIGTFQLIVYSPLVDLVSGGN -IDYTIWVNMTNVRTEFPTGMPTSIAQVGEEGSTQQKQGFVTRQSEAYSTIMEPLTKIPGVGQLIGYAKSG -VDALHAVASTHGWSKPLNPADMQLFKQAPSKFMCNSDGSDMATNLGLTSQNEIEHLQSLFRTDSDEMSID -YVARTYNYVSRFNWIKGNGPGTVLYSHVVSPTSWFTEVGITGLSIPHLYFAASNFVLWRGGMNIKLKFVK -TKFHSGRVRILYVPGFFGGTLPTNFETDANYSTVVDLRSDTDVEFNVPYVATVPWLHVNSTPWVTAFSQI -HACRSIVVEVLNELVNTSTVSDTIEVLVEVCAAEDIEFAIPIVPSLTPRAAPQGSSRRTAYDLITSIAQV -GTDTGDTPLEVSREEPTTFNDVPLQPTTTTFNASMLMMGEKVTSFRQLIKRFSAITPPTQNRYWEFTQPF -WINTNRLEGVTQEGSSDIDGISWFASLYAFYRGSMRYKIAPLSNASPLVVALKPNSLYSGVRVIDTNGTW -TYPDYKGAEVFMTPNEGIHELSIPYYSSYPVTLTTYNSTDSDVLDARNGFNRVIARFHSDTSAYVYRAAG -DDFSFGFLLGAPIVIIGLSSVRLICVKYHRVFSPLENYTSDMRKLSNE - ->NP_044946.1 capsid polyprotein, partial [Drosophila C virus] -ANFQTNNNNIENEDRKITSEQKEIVHFSSEGVTPSTTAVPDIVSLSTDYLSMTTREDRIHTIKDFLSRPI -IIQTGLWSSATTAETQLYTANFPEVFISNTMYQEKLRGFVGLRATLVIKVQVNSQPFQQGRLMLQYYPYA -QYMPNRVSLVNSTLQGRSGCPRTDLDLSVGTEVEMRIPYVSPHVYYNLITGQGSFGAIYLVVYSQLRDQV -TGTGSVEYTVWAHLEDVDVQYPTGANIFTGSSPNFASLGQKMSDGKFTEKDLRDIWTSKAYNKQPDKIFA -QVASEITQLKESGTISSGIGQVSEGLSTMSKIPILGNMFTKPAWISAQVSNIFKMLGFSKPTVQGLPCES -KLRGQVRMANFDGADTSHKLALSAQNEIETKSGLSGTSPDEMDLSHVLSIPNFWDRFTWNTTDATSSILW -DNYVTPMKIKPYSSTILDRFRCTHMGFVANTHGYWCGSIVYTFKFVKTQFHSGRLRISFIPFYYNTTISA -GVPDVSRTQKVIVDLRTSTEVSFTIPYVSSRPWMYCIRPEASWLGTDNALMYNAVTGIVRVEVLNQLVAA -NNVFQSIDTIVEVSGGPDLTFAAPMAPSYVPYSGGFTLADDAAAKKQREEEYDNNIPQTISNRGKREVED -ARIVAQVMGEDLAIQRNDAQHGVHPMTIDTHKIDSNWSPEAHCIGEKIMSIRQLIKRFGMALNSLNLISD -APNTLIAPFSVQHPTPVVAPAEPMSLFEYYYFIYGFWRGGMRFKLQAVRTNSAETSVKTDTTWTVNLWNS -VQDSFNSLINVFSTTDYPIKSTGALPAGTSGFGNSMTYIDPEVEGFMEFEIPYYNISHISPATTYVRGTE -SPITINSVLRGHLPPQIVAVAPQGTIATTDVVNAQFARAPSDDFSFMYLVGVPPLTNVARP - diff --git a/seq/clusters_seq/cluster_1110 b/seq/clusters_seq/cluster_1110 deleted file mode 100644 index 11a5a35..0000000 --- a/seq/clusters_seq/cluster_1110 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_010085014.1 attachment protein [bank vole virus 1] -MDQARYYTGTGRNNELASASTKIRTIPYIHNNTVNRGFNFLLDVVAVIGVVLGVISIGLSTTSIIYHTRT -QNVTTKADPYIKPIYDDVKQISQYYTEYIDPRLRNILDAVTFQIPKTLASITSTLGASELQEQQEAFNAL -LDGITTTLRSAVNSLNQLTSIILEQFDETKLIVREINNEILNTNKSFIPIEHPFTQYPRVHTTSMSSLPP -LRCTRAVPTANPKRVPIFVSNVGGMLENSCTKEPVISMANGVFASTYLYLRDSCTDYQSSIRFFEMGIVK -RLSDNDPYLSVIHTWDQASPFVLQPCSLAVAYDNGYALCAESVTGVDNDLVTGNTIRLVLFTFTLFGSLE -RKVIYYENFKRPREFVYIIPGAGQGVIIDNVMYSIGYYVSENTPQGNLKCPTTGCPNLQYSTCDQFSRTQ -VSNHRHKFLTLIQVNLTQYPLPVHNLLVIPRSYYSIISHGNLYYRNSNDSVLFQLYNVGWYHKPLVGSIN -LTTPLSLEFLNKDYDLLSSVTNCVPGFGCPSSCEISAYGAYTPLDYNFNDAVSLIPRTSGAYPSVSYGSG -NTRIDFRIILNQQLALRESSLVCYLPTIQNTGHPYCVGLMTFEVTGQTAPQLYSVGWKQTYQCSK - ->YP_006347588.1 attachment protein [Nariva virus] -MAPINYPASYYTNNAERPVVITTKSTESKGQRPLPLGNARFWEYFGHVCGTLTFCMSLIGIIVGIIALAN -YSSDKDWKGRIGGDIQVTRMATEKTVKLILEDTTPKLRNILDSVLFQLPKMLASIASKINTQTPPPPTTS -GHSTALATQCSSNCENRPEIGYDYLRQVEQSLQRITNISIQLLEASEIHSMAGAYPNALYKIRTQDSWSV -TAKECPLQAFQPNLNLIPAMIGTATGALIRNCVRQPVIVVDDGVYMLTYLAMRGSCQDHQKSVRHFEMGV -ITSDPFGDPVPTPLRHWTKRALPAYDGCALAVKGHAGFALCTETSVGPLRDRTAKRKPNIVLFKASLVGE -LSERVIPPQSWLSGFSFFSVYTVAGKGYAYHSKFHAFGNVVRVGQSEYQAKCRGTGCPTANQDDCNTAQR -VSQEDNTYLHQAILSVDIDSVIDPEDVVYVIERDQYYQASAGDLYRVPETGEILYNLHNGGWSNEVQVGR -IQPSDRFYMREIQLTSTRVPAPNGCNRVKGCPGGCVAVISPAFTPMHPEFNVGVGIFPMNQPHNPSIMHV -QQQTELFWKPIVGGNITLHESSIACYSTVPPNPSYDLCIGVMTLLLHQGQLPQFQALSWYQPTMCNGNAP -QNRRALIPVIVEDSKAMSVSSDAPRTP - ->NP_958054.1 attachment glycoprotein [Mossman virus] -MVDPPAVSYYTGTGRNDRVKVVTTQSTNPYWAHNPNQGLRRLIDMVVNVIMVTGVIFALINIILGIVIIS -QSAGSRQDTSKSLDIIQHVDSSVAITKQIVMENLEPKIRSILDSVSFQIPKLLSSLLGPGKTDPPIALPT -KASTPVIPTEYPSLNTTTCLRIEESVTQNAAALFNISFDLKTVMYELVTRTGGCVTLPSYSELYTRVRTF -STAIRNPKTCQRAGQETDLNLIPAFIGTDTGILINSCVRQPVIATGDGIYALTYLTMRGTCQDHRHAVRH -FEIGLVRRDAWWDPVLTPIHHFTEPGTPVFDGCSLTVQNQTALALCTLTTDGPETDIHNGASLGLALVHF -NIRGEFSKHKVDPRNIDTQNQGLHLVTTAGKSAVKKGILYSFGYMVTRSPEPGDSKCVTEECNQNNQEKC -NAYSKTTLDPDKPRSMIIFQIDVGAEYFTVDKVVVVPRTQYYQLTSGDLFYTGEENDLLYQLHNKGWYNK -PIRGRVTFDGQVTLHEHSRTYDSLSNQRACNPRLGCPSTCELTSMASYFPLDKDFKAAVGVIALRNGMTP -IITYSTDDWRNHWKYIKNADLEFSESSLSCYSPNPPLDDYVLCTAVITAKVMSNTNPQLLATSWYQYDKC -HT - diff --git a/seq/clusters_seq/cluster_1111 b/seq/clusters_seq/cluster_1111 deleted file mode 100644 index 9fc85de..0000000 --- a/seq/clusters_seq/cluster_1111 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010085011.1 C protein [bank vole virus 1] -MPSKLWKSLKKLRVPRPRNNSVPESTSPTPTSHDQTTDPEVRVRIGVRRNPDLVGVERQTKVLKQDLALV -ILTQLRDLEKDYPAQVPHIITSLKYSTLQFVKTILMRVMEGLPINSTWVRQVEEYICTTSQEVQNLKEAV -EWVRKMMEDQDRT - ->YP_006347585.1 C protein [Nariva virus] -MPSRFLQSLRKLTLRRQRTNAEEDSQSRESPPRPPPRTPRPRVRVGVRKNPDLVGVEKETQARKQAEAIN -LLTMLRDMERENLRGLRGLEGLTEYTTVQFVKTILMRVSEGSPVTSCWVQQVEEHICQSQREKEALHEAV -QWVRAVMQK - ->NP_958051.1 C protein [Mossman virus] -MPSKFWQSLKRLRVPSRKRSSESDSTYQELQPQPPQIPLRPRVKIGVRRNPDLVGVERSNKAQHQVLALD -LLNTLKEMEMEYPMEGPMAPFRLEYSTLQFVKTILMRVSEGHLVTSCWARQVELNLCQTQQEIENLHEAI -SWVKMMMQDNQD - diff --git a/seq/clusters_seq/cluster_1112 b/seq/clusters_seq/cluster_1112 deleted file mode 100644 index b056471..0000000 --- a/seq/clusters_seq/cluster_1112 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_010087864.1 CPm [Malus domestica virus A] -MEFQEVWNVHGDLTTKLSALLNISGFKRNFYCKRYVDIRLGNRSGAITTRMYFPDHVSQKARVSIISLKD -GQFSSGDDKTIFKHLVDKPTRSFNFDGKLHISMQLNRGVWEISLSGSKICEIVAVNANNQVHFCVGEIQS -KLFTEYLKLVDKYQHSYKVLDVSISVFIDDISRNATKCKLTTGQAISFDDAKGCLEDNFDLMALVLNLND -GFVGPRYETSEVDASRALHPSSDGLIRLYDCFDLPDETDVCGLVIKNMAMLNTPVDLIIQFWIGDGDKKV -EFVTRWGMGNTVNVEFWFNDDREHAQSKDFVKYPTENQSFVIGRKFLLGYHKDEWRNRWYYNLNGIDIGY -EPGRLVSKTRSFGTELQFKATKEPARTLGDILHLNMNVYYLKVLPQDIRAILRQSRSAHVSRVINNLKPA -GAMNLNDVSDVNDFFNKPNNPPKRGVESEPNTASKKQKVLDSKLSGMFEKLRPFGKSKSMNDRQPSVTGN -VDNSTQSEKVLEVSQQKVVEVDRPKSLDVESCIDPILMHHINVSVDEVKGLLGVDYEMTTKILFQIAILK -GTSQEMVNDRSSLFRFEEVPAKLVKPRDISLIFFKNNPKINLLRTFCRLNSQHILEMLRIGVLTPNRKFA -LDCGLPTRYSYLAGDFWDFNLLNLTEEEEGVIRSVIRKPISNSKSLIHYNQLLN - ->YP_004935925.1 CPd gene product [Grapevine leafroll-associated virus 7] -MEFTLTERLPGGVSFKLPIAGNIKQLLCDVQFEITLGKDNGYFKWTCDFNDNSGYRLDLNILLYSKIYSG -FNVPIPNYTKKISDFVVFETKENKFDLEIRYFGRYYNTIANGRSLHECYDVCYSYPLTIKVTPKRSLEDL -SALKNLFGSSICPWESKVSLDKNLKLEDTVFKRIGGYEERYCTLKTFTDSIYTNSSFASQLLTLNSNVDK -SLILGKFVRLIMKFDINVSQPLNVLFREDMMFEKIENMFEILTKSTSGDYESLITFKYKFREGFVIIKTP -NKFRSKTNIYGKVMETGHKTPVFNLTLNEGGQLMLCTLKVINVYELGLDVNELLIKVSTDFLNDLEATTV -CNGLPFADFFKLSNTAKVFIDSNSEYPYYVVTHANAGNKRSDDIHKFISKEVRKISMETYSSLVNNDESS -TLIEITPDKLESDARPVEPLKKTTPEWCEDILHIIELRYNVSDALDLVLASSILNGTSKEAIRNKFNITN -AKIGDKWVQCDHSWITSLFFHKDPKRNLLRRLCRLNSLYCLRRTQEGFFQCNTKFALKCGVTINFEYLAF -DFLDPKEIHSITQEEAVEVTRILNRNQVIKFEVVNGFRNTKR - ->NP_045007.1 putative viral coat protein duplicate (CPd) [Little cherry virus 1] -MNFYDIYTISRIPFNNLEVNIKLSDTETCVAVDKTYYVMIGDSNDNVKFTLLSPDHISLNWRFRIELIVN -GNLLNVEQSNEYILNKTDHDVSNLDPRNFTYSANGFNNMITVVVNGVKLLNIKPTFLSKTDIAYGVNEFY -NKNLLRILDEFVSTSAIIKSPSHKFEISLDRKPYLGSWYLNDGPAHKSMAYVKNKIENINIVQDLIPSNF -IRKNLLDNGLSNPINLEVNLNNNNCLRVYDVIKCSDESHEGVTLCELQTTNSEALNVIFQYWIGVGGNIL -EFVLRCKNSCFGCEVWKNSGGRYSKLTDFIKYHGFTKDYYSGIIFVGFYYDEKSQNYVFTINGVIVCMVK -NELLDANYEVGFEFQLFGEATEKYNFKDFKRIKSDNAIYRILRYPYNLERPYTSDMTNHHINNNVLTNSQ -VFLSEVNGVRDVFNTPQSRPTLDSRSSNKETKRPRVESDSDDEPVLTASPIGETKLKGDPIEIKQITNQI -AIDNSPVESKPNYVWIFEYAKDCDQVITTIAKGLQTSITDAKLVVFQMAICCGTSIESVHDKHTSLVLNF -LNNKKIYLRFIATCFFKKNPKINLFRRYLRSCTVEVLELLRIGTLSPSFGRAIMLGIPKQFAFLACDFWN -FDEMRLTTQEHEVIGNLKSVSKTSQRIQFVETIR - diff --git a/seq/clusters_seq/cluster_1113 b/seq/clusters_seq/cluster_1113 deleted file mode 100644 index bb9bc02..0000000 --- a/seq/clusters_seq/cluster_1113 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_010087808.1 putative inclusion body protein [Epiphyllum virus 4] -MEQLLQQVMNKLDNMDAKISTLSNKIAQIETQIPTKRETAEHISWKSKTISEKIAKYGKEEKKPITWVSS -QKLKPQNIAERYTDNLVQTLTYLAEKHPKIEELYSLTGYNKLVADKNTDQQLVLTAYNYGLLQVLYIENL -SQLELFDKEIRIAYQKFQQITKADLIYMRIYSAMAEPYENKVIPKIELIKFGITYTKLQYDEVYEQESLR -DTNIKEFIHHKRALGILIIQRELENTNNQIWLYSNNDGRLIYSISRTTNEDVKNIVADWSQKLNMPEGVF -PKCSIKNPMMTMKTMEILCDIARRQIYTKHKCNLCTKMKDVKISDPVFPTAQFPTEQTDNNDDIMHDEED -KTKATHED - ->YP_004347416.1 putative inclusion body protein [Sweet potato collusive virus] -MEKLTSLLISCPEMEQMMQQLLAEMQKLNATVEKQNSRIEQLENELEKEKGKKPLTEEKIISEKELEKEK -EISWKAKIKKYGEASSKEEKIIEKDVWQKPKGTHIGSIYVTEFVRLMNYLNSRHANLNEIYSLTDYNKLV -ADKNTDERLIRAAYQHGLLHVHYIESANQIKMYDEGIVNAYLKLAQLTKAKCIYIRFYTAFAEVTKEGII -PKIEAIKLGITYEKIQYDVCEQELFEENRVHDFLRHKKAIGLLTIRRELESTEGNIWVYENRNNRIIYAP -SRARKEEVKKVVAAWQQKIYTPERNIPDCGITAPCISEPCLKILCELSKNQLVVKHQCKYCGKMKKKEEV -DYSLPEDIIMKDVDEDSDVEDKKEENAYDKDAFRPDKVADAGDDVKEK - ->NP_056849.1 putative transactivator factor [Cassava vein mosaic virus] -MEDMMKQILEKLNTIEKNISETNIRIEKIEKEQELKRKVELYGKEPEKKLHKENIEKLSSSIEDKIIQNI -DKKLKKIENVEEQYQWKNIVKINKPLSVGEKYMENFKKILVYLGEKHPKLEELYSLTDYNKLVADIYTDR -NLVISAYNYGLLQVLYIEHPSQLELFDENIKLAYMKFRNVTKAQLIYMRIYSAMAEPYDKGVIPKIEIIK -FGITYSKLKYDEVYEHQPIEKLDLKKFISQKRALGILVIQKEIENLNGNVWLYSNLDGRLILSNHNKAEN -VEVKNILSDWSKKLSIPEGNYPRCSIKNPMFTGKTMEVLCELSKKQINMRHICNLCSKMKNVQIQDPILP -EYEEEYVEIEKEEPGEEKNLEDVSTDDNNEKKKIRSVIVKET - diff --git a/seq/clusters_seq/cluster_1114 b/seq/clusters_seq/cluster_1114 deleted file mode 100644 index a7548a4..0000000 --- a/seq/clusters_seq/cluster_1114 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_007438863.1 capsid protein [Rice yellow mottle virus] -MARKGKKTNSNQGQQGKRKGRRPRGRSAEPQLQRAPVAQASRISGTVPGPLSSNTWPLHSVEFLADFKRS -STSADATTYDCVPFNLPRVWSLARCYSMWKPTRWDVVYLPEVSATVAGSIEMCFLYDYADTIPSDTGKMS -RTAGFVTSSVWYGAEGCHLLSGGSARNAVVASMDCSRVGWKRVTSSIPSSVDPNVVNTILPARLAVRSSI -KPTVSDTPGKLYVIASMVLRDPVDPTLNT - ->YP_002308437.1 capsid protein [Imperata yellow mottle virus] -MGRSKQKKSKRMPQAAAVKNQQPAPSRRQGRSGSSQRQPSNGITTSAPMATSGIGPSRSLGPLGGAITVE -EVEHLGAFTRGTTAAGQTRAYLCMPSSLIRLSNIARCFARWRPLQWSVFYVPEVGTQTNGAIQMAYLYDY -GDSLPTTTGQISACSGFLTAAVWCGAAGAQLLSGKAPRSQNLVIAHMDCRRSEWMRVVDGLTDTDPAHVV -NTYLPARAVVRSSLLPSTEDTPGQLYVRYRIMLRDAVAPGLNDASSSAPSVDQERKGNAAVVS - ->NP_941377.1 capsid protein [Cocksfoot mottle virus] -MMVRKGAATKAPQQPKPKAQQQPGGRRRRRGRSMEPVSRPLNPPAAVGSTLKAGRGRTAGVSDWFDTGMI -TSYLGGFQRTAGTTDSQVFIVSPAALDRVGTIAKAYALWRPKHWEIVYLPRCSTQTDGSIEMGFLLDYAD -SVPTNTRTMASSTSFTTSNVWGGGDGSSLLHTSVKSMGNAVTSALPCDEFSNKWFKLSWSTPEESENAHL -TDTYVPARFVVRSDFPVVTADQPGHLWLRSRILLKGSVSPSTNL - diff --git a/seq/clusters_seq/cluster_1115 b/seq/clusters_seq/cluster_1115 deleted file mode 100644 index 5ee3c9d..0000000 --- a/seq/clusters_seq/cluster_1115 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009124991.1 64kDa triple gene block protein [Citrus yellow vein clearing virus] -MQSIDLLILAILVLALIASLFPAPEPCTIVVSGASASVTNCPNPEQLAELVRALKPAKPV - ->YP_009091817.1 triple gene block 3 [Yam virus X] -MQPLDWLVALLLLLGTVFAAITIYKEPPCTLVLDGASLTITGCKLTPELISQISGLSPLRGLSLQEF - ->NP_203556.1 6.4 kDa triple gene block protein [Indian citrus ringspot virus] -MHYIDWVILLTFAAALIVCLTPKPEPCIITVSGASATVSNCPNPELLTDLVKALKPAKPV - diff --git a/seq/clusters_seq/cluster_1116 b/seq/clusters_seq/cluster_1116 deleted file mode 100644 index 7ae076f..0000000 --- a/seq/clusters_seq/cluster_1116 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010087202.1 P0 [Pumpkin polerovirus] -MRYCFDPNNSAHLFPTRFESLRERIYSLGIFFRDNLASSLLYCSVQFEQFNYEYAIRCLLFLFPVALRSD -VARTGHRTYSAPESGFQQLIRWAAECGVVIRPAAGSVDRKDFSLAPPGAGGDYLRLFISHHLPEVIRKHP -RRWEEIVVGGHPAIQGFLHQYLQFVESTCERRLHVADRLDDLLMEVHALGRRLVRLSIRENTFSPRLNDS -IFGSLHRVYGEMLQSPIWAACPLPTHPTEHYKVDMFNILWNADSVPEYESEED - ->YP_009254737.1 P0 [Pepo aphid-borne yellows virus] -MRYCFDPDNTVHLFPDQFESLRERIFAVGTFLQQNLVVSLLYCSVHFENFNYEYAVRSLLFLIPIALRSD -IARVGHRTYSAPAAEFQQLIRWAAECGVGITPSGSAVDRRDFTMAPPGSGGNLLRLFISHHLPEITRKHP -RRWEEVVVGGPPAINKFLHQYLQFVESTCERRLHVADRLDNLFVELHSLGRRLVRLSFREDIFSTRLNNS -IFGSLHSVYGEMLKSPIWAACPLPSSPTERYQFHLLSVPWNVDSIPEFEDEED - ->YP_006666505.1 P0 [Suakwa aphid-borne yellows virus] -MNLRINTDSSIRLTFESDSFVERLSCFVYFLINCEEYFSEAALLNTTFNEEYAIRCVIFLLPLCLNPLLA -RSRARKRAGALPRTYLQPVLRWAVRTGTSISYGLERNQREFWFLLRGAGRARLEPINSRELLHGYIDLTI -EQNPLGFQECCIRGPRYISCVLGVMQRQHSSIRVPRLQSLARGDNNAVDLCDLGHSLRPYLGFAEYLPTH -AYLDFPVLLHKFIGEGVQDPLWDCLPCIFTDAIFAFITGETHPDLDFGSALRKLFWEEA - diff --git a/seq/clusters_seq/cluster_1117 b/seq/clusters_seq/cluster_1117 deleted file mode 100644 index a20ca0a..0000000 --- a/seq/clusters_seq/cluster_1117 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_010087185.1 matrix protein VP40 [Mengla dianlovirus] -MASSQGSSSYNQFLSPPPYPIDQSFGHYQLEAESQPNQIMPYYVGDIDFAEQHKTDVIHAFLLEATIDLI -GPTEKGRKAVPAWLPLGIISNYEYPLAQTVALLLTGSYTITSFSERGQKFVRVNRNGFGISSHPLQIIRN -GNQAFPQAMVIPRNFLNGNFTFQLSNIAVNIQRLPDDAWRPSKDKVVGTSMHPAIVVNPYLPPIVLPPVK -KHAQKQAKTPQAGSLLAISNLLHQLIVKKVPEKVGLFKIELPSNIFSQREGMLRRGAAIAPTVYFQAPEN -MPLGGFNNRQVVMAYANPSLQTTM - ->YP_009055224.1 matrix protein [Marburg marburgvirus] -MASSSNYNTYMQYLNPPPYADHGANQLIPADQLSNQHGITPNYVGDLNLDDQFKGNVCHAFTLEAIIDIS -AYNERTVKGVPAWLPLGIMSNFEYPLAHTVAALLTGSYTITQFTHNGQKFVRVNRLGTGIPAHPLRMLRE -GNQAFVQNMVIPRNFSTNQFTYNLTNLVLSVQKLPDDAWRPSKDKLIGNTMHPAVSVHPNLPPIVLPTVK -KQAYRQHKNPNNGPLLAISGILHQLRVEKVPEKTSLFRISLPADMFSVKEGMMKKRGEGSPVVYFQAPEN -FPLNGFNNRQVVLAYANPTLSAV - ->YP_001531155.1 matrix protein [Marburg marburgvirus] -MASSSNYNTYMQYLNPPPYADHGANQLIPADQLSNQQGITPNYVGDLNLDDQFKGNVCHAFTLEAIIDIS -AYNERTVKGVPAWLPLGIMSNFEYPLAHTVAALLTGSYTITQFTHNGQKFVRVNRLGTGIPAHPLRMLRE -GNQAFIQNMVIPRNFSTNQFTYNLTNLVLSVQKLPDDAWRPSKDKLIGNTMHPAVSIHPNLPPIVLPTVK -KQAYRQHKNPNNGPLLAISGILHQLRVEKVPEKTSLFRISLPADMFSVKEGMMKKRGENSPVVYFQAPEN -FPLNGFNNRQVVLAYANPTLSAV - diff --git a/seq/clusters_seq/cluster_1118 b/seq/clusters_seq/cluster_1118 deleted file mode 100644 index 38c474a..0000000 --- a/seq/clusters_seq/cluster_1118 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010088108.1 P3 [Passiflora edulis symptomless virus] -GLTKEIVGALASRRNFIDLCKNQPNILVEGLLHPSVIHVLFIAEQKHNALTYCATREEKIVPLISRINAL -GRHYGLYQSVEEVLQCYMREGMSFYDVLDSCLGGTVSSHFKQELSLKMQRFEESQRMNTLDRIMEKKLDL -VSEEAGMRESMRMCLKEGLSFYDYWDLKLQHYAARFRTNIDLSVTTSYGASLRTWVGRKLSMATMWSKDC -VNRQRTSWTAWLIWKFAGSLVPYYTLVTVLVFLVTCIYKAVVVVKRLTVGEKVEFQ - ->YP_010086862.1 P3N-PIPO, partial [Passiflora edulis symptomless virus] -GLTKEIVGALASRRNFIDLCKNQPNILVEGLLHPSVIHVLFIAEQKHNALTYCATREEKIVPLISRINAL -GRHYGLYQSVEEVLQCYMREGMSFYDVLDSCLGGTVSSHFKQELSLKMQRFEESQRMNTLDRIMEKKTRF -GQRGGRYARIDANVFKRGAFFLRLLGFKASALCRSVSNQYRFERHYVLRCIIEDMGWQEIKHGHYVVKRL -RQPPEDVVDRLVDLEVRW - ->YP_006908982.1 P3 [Rose yellow mosaic virus] -GLIRDITRALKSKDEFKDFLMNRPDDLIEGLLHPSSVHTLFIANQKYQLIEKFLDEEDNIASLLARINTL -GRKYSLFAEADEVIRTFMMENMSFATPLHECFGQNVSDLFTLRMNNLIQAQIDLHQVDRLERVVSKKMDF -RQEECDMRESMLACLRDSLSWYEFYSIKYRFWRDQHRSNIDMSWPSSKVSSIKACAQNVLQKVYSYSHER -YDSIRNKVSFWMIIQTFKGLVPYYAMITMLIFVASMSLKLIKYVKALTRGKG - diff --git a/seq/clusters_seq/cluster_1119 b/seq/clusters_seq/cluster_1119 deleted file mode 100644 index e07d507..0000000 --- a/seq/clusters_seq/cluster_1119 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010088105.1 NIa-Pro [Passiflora edulis symptomless virus] -SNAAIAPAQNFDRIRPYLVKLDHGAAKQNGFGFRHWIVHNAHFILGDQEQELKKSLIVQSAYGVHDYKDS -RKIQHKHVEGLDIVLMKTAIDAPRFRSKLELRPPVEGEKAVLITPFVNETGISFKRSDPSPIHHPDGAGS -FWRHYISTRKGDCGSLLVATKDLKVVGMHSLGPRTAASYNYFTPVTDALLHLLSLDEVEMSLFTFSPKMI -QWGSLEHLEPPKEFPLVRMLREFVTFQ - ->YP_006908986.1 NIa-Pro [Rose yellow mosaic virus] -QRGVVDGPRSMEHFKSYVVRVDNGIGKLNGFGFGRYLCTNAHFFGTDDKHERKKQLIVKSTFGEQDFGKA -KDIKFQQIGNTDVAVFKVPFDAPQYRKKLLMRPPVAGEQVFMVTPMPTHQGMQLKISMQSIVIHDESYGS -MWKHFISTVNGSCGSLLVAVNDHHVVGIHSLGVQEKRGWNYFAPVTTELIQCLNKTDNNFDQFTFRLDMV -DCGALCVQQESNIFPLMRLPKEILGFQMIHQ - ->YP_851206.1 NIa-Pro [Blackberry virus Y] -GRSTIKSGNNIAPFISAMGTIKNVYMNGDFDTLACTQIGNKLVVNAHIFMEPVKKQELILQHGVYELPNN -GTINIKHVPGIDMVIQTLPMDVPLARQIKAYRGPIPGELIRLLKIERNTKTNSTSLSDPGTARVGPGTIW -YHNITTKHGDCGSLVLSEKDNKIVGIHTGQQDGTNLNLFAPITKDAIVAIETVLPGELNDWVFTPDMLDV -GSNNAIRKQASDPFPVVKKLLEGITFQ - diff --git a/seq/clusters_seq/cluster_112 b/seq/clusters_seq/cluster_112 deleted file mode 100644 index 859423c..0000000 --- a/seq/clusters_seq/cluster_112 +++ /dev/null @@ -1,492 +0,0 @@ ->YP_009553031.1 coat protein [Salvia hispanica RNA virus 1] -MAAEAPRRVLAVKTPPNYDEMLTNTLAPLAAEAFPVHTWTRAALLANYLDIKRFIDHVKVISGENDPVIR -TAMIRKGNTSAAWNTQGTCTASQMFKFCAWLKSPEGNKFITTERRKRNIEKRAFEGQQFTDSSANAAMEA -QITEHAMLVKKSRATTEETLLQLRREIARTQQRGEADIKALEADFAPGSAYVPMDDQDLGRACHQLYLAQ -CQREDADPEDLTEEIMADIKATFGSEATARHRAAFIAEGHRRQDLLAWTEAKVQQLAGLGDLKRADTFRV -LVEGMGGELSAQERAAAEARAVAAGGLGPNRRRRRADVDPQNIIDHPRRRGNQASVLQVEAPPPPVVQGG -DAGSRAGSPSNPPTDIRIASPRNEGGGENPDVQE - ->YP_009553030.1 fusion protein [Salvia hispanica RNA virus 1] -MAAEAPRRVLAVKTPPNYDEMLTNTLAPLAAEAFPVHTWTRAALLANYLDIKRFIDHVKVISGENDPVIR -TAMIRKGNTSAAWNTQGTCTASQMFKFCAWLKSPEGNKFITTERRKRNIEKRAFEGQQFTDSSANAAMEA -QITEHAMLVKKSRATTEETLLQLRREIARTQQRGEADIKALEADFAPGSAYVPMDDQDLGRACHQLYLAQ -CQREDADPEDLTEEIMADIKATFGSEATARHRAAFIAEGHRRQDLLAWTEAKVQQLAGLGDLKRADTFVS -SWKEWAESFLLKREPRLRRELLQQVVWGPIAAGGGLTSTHRISSIIPADVVTKPQCSKSKRRPPLSSKVE -TLDLAPGLLVTHQRTSVLRVPAMKGGGRIPTSRSKFEAKVRRVIGGGEMRNWAKDSNMYRGGGNYSDALK -LLATARTDIPGSFLHKHFTVNNARSFLKLPCGLPVPVGPESVRMKNFNEEATAGPSFRAFGIYRKKGLKA -ELEATVWKSLHAYAEGGDAESCLPFIAARVGYRSKLLTLEKAFSKLTSGECLGRCVMMLDAFEQTYSSAL -YNVISGITHRGRHNPGSSFRNTTVRASSDWGMLFEEVKKASAVIELDWKKFDRDRPSDDIYFVIDIILSC -FQPKNGYEARLLEAHGIMLRRALVERPFITDDGGIFTIEGMVPSGSLWTGWLDTALNTLYIKAVLFHLGF -RESDASPKCAGDDNLTLIFKEATDMQLMEVKRLLNEWFRAGIDDEDFMVHHPPYHVERRQAVFPPGTDLS -KGTSKLLDLAQWVPFEDPMTIDESKGLSHRWKYIFENKPKFLSCYWDENNNPIRPAYINLEKLLWPEGIH -ETIEDYEAALIGMVVDNPFNHHNVNHLMHRYCIVQQVKRMMITGIKAEDVLDFCRFKDDKGEGVPFPMVA -QWRRVNGWVDMEKLPFVDRYIAQFRDFVTGVTSLYSRSPTGGLDSWRFMDIIRGVGNLNDAQFGNDMVDW -VTFLKGHPLTKYLKPTKGSRKRKLHSDPEEEALTRFKVLNHYLDPTWKKKMFDDVDSYALWISDLLRNKG -PTS - ->YP_009552798.1 ORF1p [Capsicum annuum amalgavirus 1] -MSGTSGPRTPGSSGVKTVPLTAREKEIAMIEEIDEIGVTFIELGVSAAFFDSRVYTKNLLLPAQYLRLLR -QFKGKDAGEVELIFSAAIAKKQVRASRGIGWNKWIQFLNWAKSPAGHKAIQEVMNIEKLERRGGGDFTVD -EVAALNLFDVQRNDWIGHQKEARAIAEHEIAELQRKINLRRDKLDEDLREIADQHRPVSGYVPLTDAELN -LRCWNFFRQAHEGVRGVGVHPTMSQMKEAFDTYSVHVAKRARVEYMRHGDHVPLLQEYINQKIAHFDAVG -DKRQVRHLSLQLAGMGYEVDGKMGRADEGEADEGHPGGDVEPEGEPDPLLATFGTNPDEQVAGEEGDLIP -PGTSTQQDVGVQGRVTGPVTRQRAR - ->YP_009552797.1 ORF1+2p [Capsicum annuum amalgavirus 1] -MSGTSGPRTPGSSGVKTVPLTAREKEIAMIEEIDEIGVTFIELGVSAAFFDSRVYTKNLLLPAQYLRLLR -QFKGKDAGEVELIFSAAIAKKQVRASRGIGWNKWIQFLNWAKSPAGHKAIQEVMNIEKLERRGGGDFTVD -EVAALNLFDVQRNDWIGHQKEARAIAEHEIAELQRKINLRRDKLDEDLREIADQHRPVSGYVPLTDAELN -LRCWNFFRQAHEGVRGVGVHPTMSQMKEAFDTYSVHVAKRARVEYMRHGDHVPLLQEYINQKIAHFDAVG -DKRQVRHLIFNWQEWVMKWMVKWDVQTRVKLMRDIPVGTLNPRGNQTLCSRLSEQIPMNRLLEKRVISYR -PAPQLSKMLECKAESLALLRANGRVEIIIMPGGCDLRAIPCARSRWEKGIRKIIGGGEMLNWKVAGNMYR -GGGCYSDAIKLLSSASTIPPGRLLHQCFSLPGARAALGLPSNLSVPVGKGSCRVKNYNDEATTGPFLYSF -GIKKKYGLDNELQEIMENAYHHFAECKTSSSALPFFTARVGFRSKLLPMGEALRKFADNQPMGRCVMMMD -ALEQFASSPLYNVLSKYTSDRSKGATSFRNSVVRASSDWMYLWDEVKEASVCVELDWSKFDRERPSADLL -FMIDVIISCFEPSNRYEVRMLEAYGICMRRALVERVLITDDGGVFEIEGMVPSGSLWTGWLDTALNILYL -NAALRHLDIAPSSASPKCAGDDNLTLFYRDPGDEVLLRLKVVLNEWFRAGIKDEDFVITRPPYHVRTYQA -VFPSGLDLSKGTSKIIHKAYWREFEDEVRVDMERGLSHRWEYRFKGCPKFLSCYWLEDGRPIRPSSENLE -KLLFPEGVHSSIDDYIASVLSMVVDNPFNDHNINHLKHRYLIAMQIKRLSAAGGRCGDIMDLARIRPRHD -EEVPVPQIAVWRRVKEYIDLDEYGPTKHYIDEFNSFVTGVTSLYARATTGGLDAYKVMDLIKGNSPIGRG -QWGNDVMAWIRFVRDHPATRYLKETRRYKDEMIHIDQQTPLRPEVTGALAILSNALFNYVYEDSREFSLS -IANRIRTKRSVN - ->YP_009552086.1 ORF1p [Medicago sativa amalgavirus 1] -MADFEAQRDDNIAANAPIGGLSSKQQEIDTVTAAITPLLAAGFPQAIFNYDDLLLRGYTAKTFCDFIKPL -SAITERRELISLCALGNNRFWDMSVVAELDEFLNFVKWLKSPEGRDAQTQAAKKRALNKKASDGMSTKDV -ALVQMGNAIIADYQRERKQRRFPIEEEMAELRRQLRQLDEELQAVEEEIKVKYGPVALYEGPDNTRVKSD -AYLMYQDDCRKKGYRAIAQYQGGFEKAVELFGNKVREAHFCAYLSDPARSDFVRDYYNQKIVHLERSGEK -KQAGSFRSLLVAAHGEVAVNVPIVNPFNFDGEDSGRESSQTGGNANQPPATKRRRQKSRAEREAERLQID -QPVRTQARTSRVHNKSRNAGGQDQDLQIVGVGAGEDDPHVQEQV - ->YP_009552085.1 ORF1+2p [Medicago sativa amalgavirus 1] -MADFEAQRDDNIAANAPIGGLSSKQQEIDTVTAAITPLLAAGFPQAIFNYDDLLLRGYTAKTFCDFIKPL -SAITERRELISLCALGNNRFWDMSVVAELDEFLNFVKWLKSPEGRDAQTQAAKKRALNKKASDGMSTKDV -ALVQMGNAIIADYQRERKQRRFPIEEEMAELRRQLRQLDEELQAVEEEIKVKYGPVALYEGPDNTRVKSD -AYLMYQDDCRKKGYRAIAQYQGGFEKAVELFGNKVREAHFCAYLSDPARSDFVRDYYNQKIVHLERSGEK -KQAGSFAVSWWPLMEKWLLMFPLSTRSTLMEKIPVGKVHKPGETPTNRPLLRGADKSRVLNVRQSGYKSI -SRLERKHELQGCITSQGMPEGRIKIFKSWESEPGRMIPMSRSKYECAVRWVIGGGEVRNWKVDSSMYRGG -GSSNDALKLLANASTVRPGKLLRDVYSFRVARARLQLPGDFSVPDGPDACRVKNFNNFATSGPVLKAFGV -RSKNGLRQLLQDEAWWYFNSFGNSDFGVEGLPWFGARLGFRSKLVTEEKARKKISEGDSVGRAVMMMDAL -EQCCSSPLYNVLSTYTFHKRLNRRSGFKNAVVKASSDWAHIWNGVKDAAVIVELDWSKFDRERPREDLEF -MVSLISSCFNPKSAREERLLHAYTTSNFRALVERPVFLDGGGVFGIEGMVPSGSLWTGWLDTALNILYMK -AVCAEIGVGDDDVEVMCAGDDNLTLFKFDPGEANLRRIRDLLNDWFLAGISEDEFLIHRPPYHVTKVQAC -FPEGTDLSRGTSGMLKKAVWVPFEGEVVIDNARGRSHRWEYRFSGCPKFLSCYWLHDGLPIRPAHDNLEK -LLWPEGLHDDIDVYEGAVISMVVDNPHNHHNCNHMLSRFIIIREARRLGASVEDPFIPIKHGSIRPVGDE -PVPYPELAPWRRAPGGYKLEDYPENAEHIQVFRDFMQGVSSLYLREATGGVDAWQFMDIIRGDAFVGEGQ -FGNDLRSWLNWLHHHPISKYLRETKTFRLKDGADPDAPKDLEKAGRALRALRGRLELGGFACVEDFVRWL -DGIRSSRE - ->YP_009551562.1 RNA-dependent RNA polymerase [Anthoxanthum odoratum amalgavirus 1] -MAEPRRTFQTAAPTEGDYVANLPADEDAAFVYFARWVFTTYHLAAGLLDPATYRPEGYSDKDMAARLRFF -KGKEADVIDTIFAVGVRKSFFTAKESATFEQFANFLEFLRTADGAAAVTEDLRRSRFQAAGKGVFNAVEI -SSVAQLTVQLADLELHKHRMSDASQKRIDELELLIAKEKESLETKLKMSDEEFFPASIYKKLNPMKLQKE -CWVRAKASSTELAAVAVPTNDQLADALKNFKEEVERRHAVDFLAQQNRLTALREYVNKKILSFVLVENTG -SRPALDTSWLPWTAKLLEKYPLPERISATAMIPMGRTTSPTTSVSCRTNLLEVMRSPALLAVRQRGTGPP -PRLGAGVPAAVGTTPVLQGGARLHVIRAPVRPDRRGIPYARSKWEAGVRKIIGGGELSDWQAASSKVRCG -GNASDALLLLADASDRLPGKTLRGLFTLRGARDALRLPSGLSVPDGRACCNIKHFNVEATAGPFLRAFGV -KKKESLEQLLGDFVWECFDDFATNDADIRRLPFFGMRIGFRTKLLKKSEMLTKIRDFKPLGRCVMMLDAI -EQFCSSPLYNVLSKLSADALKDPMSGFRNTAVRASSDWSYMWEEIKEAKVCMELDWSKFDRERPRCDLEF -MVDVVISCFAPKNEREQRLLRGYEVCMRRAIVERVALLDDGALFEIDGMVPSGSLWTGWLDTALNILYLS -AALSEAGFSSYMARPKCAGDDNLTLFMEDVPDGRLIQVRGLLNDWFLAGIKDEDFAITRAPFHVETYQAV -FPPGTDLTKGTSKIIDQCEWVRFEGQLQINQEQGLSHRWQYRFKGKPKFLSCYWLADGRPIRPASDNVEK -LLYPEGIHKSVEDYISACLAMVVDNPFNQHNVNHMKHRYLIAQQIKRILVTGIPDRLVLALARIRPDGEE -DIPYPMIAPWRRFKEYIDLDSYEPVQQWIKEFDDFVAGISGLYVRSTTGGIDAYKFMDFIRGDAVIGEGQ -WGNEMDRWIRFITEHPVSRSLRKARRHNPASVPSAQVNQYYQRAAEGLNVYRQQLVNHRLDSSREYGLWV -SDLLRR - ->YP_009551563.1 ORF1p [Anthoxanthum odoratum amalgavirus 1] -MAEPRRTFQTAAPTEGDYVANLPADEDAAFVYFARWVFTTYHLAAGLLDPATYRPEGYSDKDMAARLRFF -KGKEADVIDTIFAVGVRKSFFTAKESATFEQFANFLEFLRTADGAAAVTEDLRRSRFQAAGKGVFNAVEI -SSVAQLTVQLADLELHKHRMSDASQKRIDELELLIAKEKESLETKLKMSDEEFFPASIYKKLNPMKLQKE -CWVRAKASSTELAAVAVPTNDQLADALKNFKEEVERRHAVDFLAQQNRLTALREYVNKKILSFRARGKYR -IATRLGHVLAAMDGQASGEVPPAGAHIGDGDDSDGEDYIPDYERELSDESSGSDEESGSAGGTPERHRTP -TPARSRRPRRRRDYTGLARGSAPARNTRSRKA - ->YP_009388305.1 putative coat protein [Spinach amalgavirus 1] -MAGLEGEGSEGIHLVEKTPKQEQEELFTASEKLREAGIPLGAFDRNAIIKAGHSFNGYMKMIKYVTNYTE -GGFFDTLLVMGGSKKLYPIHSKMDHFGFVRFARWLQSKEGQDEIYTLQREQKLLRKAGESLTPTQMIKND -VFNLIRTEFSRAMKAEREKFEQEKDELRRLLRQKEREERQAFKNLQEKFAPISFYREPTDEEVGIAAYEM -YENEARKNGKTPMSRYHGGDVYARQHFSQAARELAQVYFASNPENQDIMERFMKERFLFFRKTADESGER -TARMQLVGIGGEKAVEDALAEEAKAYGDDPDRDSAGAGAAGEVQGASKKAKPRFVSKPPTGRGKAAAGDQ -PEGGADQPGSEDAEDQSDTSSTLSRPRKTARNTRSKK - ->YP_009388304.1 fusion protein [Spinach amalgavirus 1] -MAGLEGEGSEGIHLVEKTPKQEQEELFTASEKLREAGIPLGAFDRNAIIKAGHSFNGYMKMIKYVTNYTE -GGFFDTLLVMGGSKKLYPIHSKMDHFGFVRFARWLQSKEGQDEIYTLQREQKLLRKAGESLTPTQMIKND -VFNLIRTEFSRAMKAEREKFEQEKDELRRLLRQKEREERQAFKNLQEKFAPISFYREPTDEEVGIAAYEM -YENEARKNGKTPMSRYHGGDVYARQHFSQAARELAQVYFASNPENQDIMERFMKERFLFFGRPPMNLGSA -QQECSWLALVERKLWKMPLQRRLRLTGMIPIGILQAPGRRGRCRELRRKLSPDLLASPRQVGVKLQQGIS -RKVELTSLGPKMLRTSRIRVLRSLGPERPRAIPVARSRFEAGVRKVIGGGAMRSWEVDSQMYRGGGNSAD -ALRLLGQARDDRPGAFLSGKFTQASARLALLLPNNLDVPDGAKSTRMKNFNNDATAGPFLRSFGIKGKYG -LKRKLEEEMWRYYDDYGAGRIDSSGLPFFTARVGFRTKLVSVEKAEEKFKTGQPFGRAVMMLDALEQAAA -SPLYNVLSHYTFERRLRRDCGFKNAVIRASSDWNEIWKGVREAEAIIELDWSKFDRERPAEDLEFIVDVV -ISCFKPRNERERRLLRAYGIMMRRALIERLLVMDGGGVFGIEGMVPSGSLWTGWIDTALNVLYLRSACLE -VGLPSQRYLPMCAGDDNLTLFWSDPGEVKLLKIRELLNEWYRAGIDDADFFIHRPPYHVVKRQACFPPGT -DLKGGTSMLMKDAEWVEFEGELRVDEAAGRSHRWQYLFKGRPKFLSCYWTRDGLPIRPTSDNLEKLLWPE -GIHKSIDDYEASVASMAVDNPFNHHNVNHMLMRYVIIQQIRRVSAGILSPEECLAFCKFRSQEGEEIPYP -MVAPWRRGMHEARMEDYPETKPWVKEFRDFISGVSSLYARKPTGGVDAYRFMEIIRGEQSPGEGQFGSDL -VKWVDWLRKHPVTKFLRATGGRHHQKSTARLEGEDLRKVEDAFMALRERLGSGQVGSTEDFSLWVSDLLR -SGM - ->YP_009362305.1 putative coat protein [Zostera marina amalgavirus 2] -MFFRCEKMANEDGSSVPLKTQAQVDEERDVAQLNKALSYLDLGGMPIAPWALQDVADCSYTVARALRKLK -ILKPHYDNKHLQKLFHYCEENAVIDSTLPLKLSSVFRFCDWLLSPVAKRKIEQLVNADRLKKRSRDAITP -AETALVAILEVAQNDCVADVSRVRITYDEEIKKLKRKIGKLEEHKARKIEKARKKYPGLLLLERPSESDV -CNQAWHKYVEYCNASGIKQEKRSNASLEKAISMFDNILRLEIKAKCCEKPEVRDYLLQYCKEKIKGFRDD -ADKKRVDTFKGYYSAATGEPLPEGSSRKKRRTAASDSSGDSESDGASERDDVVAGDFVDRIFKEQTSGGE -QSETPPPQETRDADIADESSHGKSKGKSASRLRSRIRTRAQNRKK - ->YP_009362304.1 fusion protein [Zostera marina amalgavirus 2] -MFFRCEKMANEDGSSVPLKTQAQVDEERDVAQLNKALSYLDLGGMPIAPWALQDVADCSYTVARALRKLK -ILKPHYDNKHLQKLFHYCEENAVIDSTLPLKLSSVFRFCDWLLSPVAKRKIEQLVNADRLKKRSRDAITP -AETALVAILEVAQNDCVADVSRVRITYDEEIKKLKRKIGKLEEHKARKIEKARKKYPGLLLLERPSESDV -CNQAWHKYVEYCNASGIKQEKRSNASLEKAISMFDNILRLEIKAKCCEKPEVRDYLLQYCKEKIKGFVTT -PIKSALTHSRDTILQRLESHFLKAPLERREELLPLIPVGTVNPMGHLNATMSLREILWTESLKNRQVEGS -KVKPPLPRKLEMLILPMNLLMANPRVNLLHAFVHASGRGLKIARSKWEAGVRRIVGGGEMRGWNEDSAKY -RGGGNLHDAIRVLATGRHDLPGSFLFQHFNFRSARSILKLPSDLSVPDGRDSVTMRNFNEEATAGPVLRA -IGCKTKYGLKRGLEDIVWNLYDRVGNGELRTWELPPLLARIGFRSKLIDQDKAEEKILTGQPLGRAVMML -DASEQAFSSPLYNVLSGVVSRLNCERRSGWRNAVVRASSDWSRFWKDIRDAKCIVELDWSKFDRERPRAD -IQFCIDVLCSCFRPRGKRQRRLLSAYKYMLENALIHKVIMLDDGCAFEYEGMIPSGSLWTGILGTAMNIL -YITAACESCGVKRETFVPFCAGDDNLTVFDSAVDEGMLLNIRVFLNNMFRAGIEEKDFLIHYPPYHVTKV -QAVFPDDFDLSLGTSKYLDKCVWVPFEGPLLVDTSRGYSHRWNYVFKGKPKFLANYFLEDGRSIRPAHDN -MEKLLFPENIQEHIEDYEAAILSMVVDNPWNSHNVNHLMQRYVIVQQIKRQSIPPLNAAEVLFCSKFREI -ADNMYLFPTVGYWRRQLPGVRMESIPELRPIVEEFSQFVSGVTSLYGRASSGGIDAWQFLDILRGDRDLG -SGQYGNDIEKWCAFLNRNALSRSLRPARRFRQGTAPVEPDAKGRESLSRLALLYEVPSSDRKDSRRLNFI -SRISVMLKQFVS - ->YP_009362303.1 putative coat protein [Zostera marina amalgavirus 1] -MAEDLRSQQTLDDRDNLKLLTDAFKAYPEGGLSVVDVSLEGIAACNYTVSRAVKVMKILKPLTKNEHLIK -LFHYANDVSNISSILPMTLETNFKFCKWLTSPVAKKKIAALQNADRLRRRGSDVVTPEEAAMIALLESAQ -ADRTTEYSKARARYDIDVAKYKKKIAKRTRQLEEDLDKVQASYPGLQLIERPDEHSVMASAWHRYVDFCT -SNNFEVPQKNDGNLARAYKQFERELTLEIKNTACQKPEVRDYLLQYCKEKVKGFRAQFEDKRAQTYRGYY -ACATGDILPEDTPRKKRKASRPDTGGEANQEGEDDSEHSVIPNLLDTCVGDDRRAWDKTHPTNPEKPGND -SSSDESAENQPASTVADRTRTRRRKADKHSKK - ->YP_009362302.1 fusion protein [Zostera marina amalgavirus 1] -MAEDLRSQQTLDDRDNLKLLTDAFKAYPEGGLSVVDVSLEGIAACNYTVSRAVKVMKILKPLTKNEHLIK -LFHYANDVSNISSILPMTLETNFKFCKWLTSPVAKKKIAALQNADRLRRRGSDVVTPEEAAMIALLESAQ -ADRTTEYSKARARYDIDVAKYKKKIAKRTRQLEEDLDKVQASYPGLQLIERPDEHSVMASAWHRYVDFCT -SNNFEVPQKNDGNLARAYKQFERELTLEIKNTACQKPEVRDYLLQYCKEKVKGFVPNSKTKELKLIGDTM -HARLETYFLRIPLERRGRLLDQIPVGRLTRKGKMTPNIPLSQIFSTPALGMTAVHGTKPTPPIQRNLEMT -LLPMNLLKTNLRVQLLTEHVRGGVRQISIARSKWEAGVRRIIGGGEMRGWEKDSALYRGGGNLHDAIRLL -ATGRQDPPGSFLFEHFSLETAREILLLPCNLEVPDGPKAVRMKNFNEDATAGPVLRALRCKSKYGLKEGL -ERIAWDLYDRVGDGKLRRWQLPPLLARIGFRSKLVEQESAIKKIFSGQPIGRAVMMLDAMEQPFSSPLYN -ALCDVVSKLNRVPESGWRNMVVRASSDWSRFWGDIRNSCAIVELDWSKFDRERPKEDIEFVINIFVSCFL -PKNRRERRLLSAYKYMMRKALIDKVIMLDDGCAFTYDGMIPSGSLWTGLLGTALNILYINAAVRRLGIPS -DEFVPYCAGDDNLTVFKYPQRATRLGKIRGMLNEMFRAGIDPEDFIIHYPPFHVTKVQAKFPAGFDFTHG -TSKFLDQCQWIPLKGNIHVDHSEGLSHRWNYVFKGKPKFLANYFMEDGRSIRPAHDNLEKLLYPENVQQK -IEDYEAAVLSMAVDNPWNSHNINHLMQRFCIIQQIKRQAVHPLTAGDVLFCAKFQSISENMWMFPTVGAW -RRQLLNVRMEDIEELKPTVEAFSEFVRGVTSLYSRASTGGLDSWQFMEILRGERDAGSGQYGSDIEAWCA -FLNRNGLTRSLRPAKRYRKEKQTYQSAEAGDKILVSLAAIYATSDYDLREDRDLGFIIRISNELRKQLL - ->YP_009553345.1 ORF1p [Festuca pratensis amalgavirus 2] -MSVDPLRFLEDAATAQAADAIKLRPLMLELSGSKVLAKECDIEHLLNAGFTVDDVEKLSKSLKPLIDQGV -FDDAWTMAKGSGIILSAQEMTFPDLFMFRRWLTTPQGAQALSLVQARRKMTKAGKKVLGHQDVALLRLLQ -HYEDDARRELDAKRVETEAAVAQLQAEIDKLKKKYAKAEKKQKRDFPLIANYVPLTDNEVRNQAWDMYCQ -QCINEGSVPMGRTSTNLKIVGDKFRDHIVQAHKLTYCQQPDHTDALIEFGKQKILRCQEAGSGKLESSFR -NLLTVLNPENAATLPPRTEEADDGVDTDGGSPNPPEPDTHADAEPAADQPTPPEATGPGSSAGPTPPQSS -RSHKRKQPAVDAQSEDPNPSKKRSTRAGRHPNRAE - ->YP_009553344.1 ORF1+2p [Festuca pratensis amalgavirus 2] -MSVDPLRFLEDAATAQAADAIKLRPLMLELSGSKVLAKECDIEHLLNAGFTVDDVEKLSKSLKPLIDQGV -FDDAWTMAKGSGIILSAQEMTFPDLFMFRRWLTTPQGAQALSLVQARRKMTKAGKKVLGHQDVALLRLLQ -HYEDDARRELDAKRVETEAAVAQLQAEIDKLKKKYAKAEKKQKRDFPLIANYVPLTDNEVRNQAWDMYCQ -QCINEGSVPMGRTSTNLKIVGDKFRDHIVQAHKLTYCQQPDHTDALIEFGKQKILRCQEAGSGKLESSFV -TYSLSSIQRMLLRYPLAQRKQMMEWIPMGVVPTRPSLTRTQMLSQLPTNPLLLKPRVLGARPGPPLPKAV -EAISGNSLLSTPNRRIQIHRRSGQPGQAGIPTARSRWEAGVRHIIGGGELLEWRVDNNKYRGGGNLHDAL -LLIGSADDVTPYTALSSLLSVEEARRVLLLPDGLAVPDGKACCVMKQFNDDATAGPLLRAFGVKGKYGLK -AAIEQFVWGLYDRVGSEDLKPRQLPGLLARVGYRTKLLDMEKALKKIQAVEPLGRAVMMLDATEQCFSSP -LFNAISEAVTELHSNPRSGWRNYLVRASSAWADLWDELKSCGTIVELDWSKFDRDRPAEDIQFFVDVIIS -CFRPKNSRERRLLAGYRKMMENALVHRVMMLDDGSFFTLEGMVPSGSLWTGICDTALNIMYITSALRKLG -FDDSTFSPKCAGDDNLTCFQRRQGLDVMERLRTTLNEMFRANIDKKDFIVHYPPYAVTTVQACFEPGTDL -SKGTSRMMDKAEWVPFTGPCPIDQAAGRSHRWKYVFTNKPKFLANFFLPDGKPIRPAHDNLEKLLWPEGI -HESIEDYMAAVLSMVVDNPFNHHNVNHMMHRYLIAQQIQKQSIFIDPAVVMELAKTRPKHGEAVPFPEIA -YYRKCEGYVDIEREPEFQEFFKSFREFISSVSTLYARRSEGGIDAWRFMDIIRGQHSIGAGQFGNSVHEW -CRFLGEHPLTRSLRKARRFRPMKEAVVADPETLGKVEKAFTWVLDLCEANDPMTPLFYASAVSDILSSNV -SAP - ->YP_009553343.1 ORF1p [Cleome droserifolia amalgavirus 1] -MAEHQDPQAQGGAVGGDVEEVNLLEGIGGIPTAAEERNRLQEALRPLIANGVNIERITVNDCLRLGFTVE -QLARAIRVLTSIASDEMRDLIFSQAHLSRLAPAARSVTLDHILAICEWMKTERGAQAIRNLQTSAKQERR -AVGGRTVADVSMLQAFNQQVADWSAQVKEARKEIEEEMAELRAQLALKQQELNDSLAAINESYWPASSYK -APALNVVQSQAYALYAADCRRSGTVPLPAGSLGYSKAVELFGQQVREDHQLQYLRAEEARDTLSEYLQRL -VGLKAGQGRRREVENFRAILAGMGVAAADAVPARAEEVAIEQVGGGEDQPAGERAPDQAPEGGDAGGADE -GEAAGGHQTPPRPAAEDRRGGRRVTRRRDDGAGPSTRRVGPRAAPYHTRRAG - ->YP_009553342.1 ORF1+2p [Cleome droserifolia amalgavirus 1] -MAEHQDPQAQGGAVGGDVEEVNLLEGIGGIPTAAEERNRLQEALRPLIANGVNIERITVNDCLRLGFTVE -QLARAIRVLTSIASDEMRDLIFSQAHLSRLAPAARSVTLDHILAICEWMKTERGAQAIRNLQTSAKQERR -AVGGRTVADVSMLQAFNQQVADWSAQVKEARKEIEEEMAELRAQLALKQQELNDSLAAINESYWPASSYK -APALNVVQSQAYALYAADCRRSGTVPLPAGSLGYSKAVELFGQQVREDHQLQYLRAEEARDTLSEYLQRL -VGLKAGQGRRREVENFVPSWQEWVSQLLMRYPLERRRWLLNRLVVGRINPPGREPRTRLLREVMPAELMK -AKRQAGIRHRPGLQRKIEEAGGGSRGAEMTGRVRVLVEWGRERHRTIPVARGKFEAGIRKIIGGGELLNW -REDSSMYRGGGNSADAIRMLFSADPIPPGRVLTDFWREGSARQALFIEELFEVPDGPGCCVMKNFNNEAT -SGPYLRGQGLKGKYGLKRILEAEMWRYYDSFASGECGLKNLPWFAARVGFRTKLLTQEVAWAKMQKGEAL -GRAVMMMDALEQAASSPMYNVLSSYTFRRRLEPRCGFKNGVVRASSDWGVLWRRVQQAKVIVELDWSKFD -RERPREDLEFIVKVVCSCFRPRDDRGRRLLQAYQEMMRRALVERALITDSGGVFLVDGMVPSGSLWTGWI -DTALNILYIKAICVELGIALSAVEVFCAGDDNLTLFMYDPGDVILKEFRTLLNQYFRAGIKEEDFFIHRP -PFDVRKYQATFPVGSDLRGGTSRMLDRATWIEFEGEIEVDEARGRSHRWEYRFKGKPKFLSNYWLPDGRP -VRPTRDNLEKLLWPEGVHATLEDYEGAVISMVVDNPFNHHNVNHMLMRYVIIQQLRRMSVAVGELDLLLK -LGKIRAKGDEEVPMPQIAPWRRGELQAKMEDYNDAQRHLAVFEDFMRGVSTLYSRAPEGGLDAWQITDIM -RGMGSVGEGQFGNETLSWLKWMHHHPLTRYLRAARRYQDPSMPVELEPSQRAEALRAFEALRGRLLYDGF -ASSEEYAIWVARLVKLRYLA - ->YP_009552800.1 ORF1p [Festuca pratensis amalgavirus 1] -MAEVKRTFQTPAPTDGDYAANLPEGDDARFVYYAGWVLTTYHFAAALFQPGTYRLEGYTDKDFAARLRYF -KGKDVNVIETIVAVGIRRNFFTAADSATFENFANFLEFLKTPEGASAITEDLRRARFLAAGKGVFTAVDI -AAVSQLTVQAADLEQHKARMIAASQKRIDELELAIAKEKESLETKLALSKDEFFPASIYKKPNAMKLQKE -CLIKAKAADPVLSAVAVPTNEQISDALKQFREEVERQDMVTFLSQQDRLHRLREYVGKKILSFRACGKRK -ISDRLVHVLAAMDGQLTDEIPAFGAGVGDDNDSDGDDYVPEYELEDQEESSGGSEGAGDEQAEDPPIISP -LQTRRQRAARAGRGSSATGRGATSRATRARRA - ->YP_009552799.1 ORF1+2p [Festuca pratensis amalgavirus 1] -MAEVKRTFQTPAPTDGDYAANLPEGDDARFVYYAGWVLTTYHFAAALFQPGTYRLEGYTDKDFAARLRYF -KGKDVNVIETIVAVGIRRNFFTAADSATFENFANFLEFLKTPEGASAITEDLRRARFLAAGKGVFTAVDI -AAVSQLTVQAADLEQHKARMIAASQKRIDELELAIAKEKESLETKLALSKDEFFPASIYKKPNAMKLQKE -CLIKAKAADPVLSAVAVPTNEQISDALKQFREEVERQDMVTFLSQQDRLHRLREYVGKKILSFELVESGR -YPIDLSTSWLPWTASLLMKYPLSERVSATIMIPMGTITFPSMSLKIRRNLLEVLKVPGMNRRKILPSSAP -SRLGASVPRAQGVAPLLQGGARLRVLRAPVAPDTRGIPFARSKWEAGVRKIIGGGEMNDWLQVSSKERCG -GNASDALLMLADASDRLPGRILRGLFTLRGAREALRLPSGLSVPDGRACCNVKHFNVEATAGPFLRAFGV -KKKAALEQLLGDFVWDCFDDFASNDGDARRLPFFGMRIGFRTKLLPRSEMLTKIKDFKPLGRCVMMLDAI -EQFCSSPLYNILSNLSADALKDPLSGFRNTAVRASSDWSYMWEEIKQAKVCMELDWSKFDRERPRDDLEF -IVDLVISCFTPRTEREQRLLRAYEICMKRAIVERVAILDDGALFTIDGMVPSGSLWTGWIDTALNILYLS -AALNQAGFSLDTARPKCAGDDNLTLFLEDVTDSRLFYVRDLLNEWFLAGIKEKDFNITRPPFYVETYQAV -FPPGTDLSLGTSKIVDQCEWVRFEGQLYINQEEGHSHRWQYRFKGKPKFLSCYWLQDGRPIRPASDNVEK -LLFPEGIHKDIDDYIAAVLAMVVDNPFNQHNVNHMKHRYLIAQQIKRVMVSTIPYQLILALSRIRSEAGE -DIPFPMIAPWRRFKEWIDLDKYPPVQEWIRDFDDFVAGISGLYVRSTTGGIDAYKFMDFIRGDSVIGEGQ -WGNEMTRWIRFVTEHPVSRALRKARRFNPKAAPTAGENLFYTRAQGGLNAYRRQLQNHRLESSEEYGLWV -SDLLRQK - ->YP_009552090.1 ORF1p [Erigeron breviscapus amalgavirus 2] -MTGGTGPSNQVHLLGLTPDQEQEQLTALSAGLVAEGFPAAIFSRTAAIDCGYDFARFYRVVKSMDDLLKM -DLFDEVLTLAVTGLFFVSPLRCTTKKFCEFGAYLKTTKGQEALHGAQKMKKYQAKVIGEFEPKDVVLEQI -FNAQRADYAEVLKEERSNYDREIEALKKQIRLLEARKEERLEQIAAGFAPASYYSEPDPADVAVEAWEMY -QNDARAKGKVAMSRYDGGDKYAVANFGNKVKRLHCLEYCGDDASRTLLLEYCKKKILAFRADKNKRQTSS -FEGMLVGAGGDLASVPAGRPAAGDLLPRARGISPPPAATAGVFRVEQPRQPRRVREEEPAGAPAHSGTGS -RNTRARTRQQHNEESEVESLREFPGRARQGNPPQPL - ->YP_009552089.1 ORF1+2p [Erigeron breviscapus amalgavirus 2] -MTGGTGPSNQVHLLGLTPDQEQEQLTALSAGLVAEGFPAAIFSRTAAIDCGYDFARFYRVVKSMDDLLKM -DLFDEVLTLAVTGLFFVSPLRCTTKKFCEFGAYLKTTKGQEALHGAQKMKKYQAKVIGEFEPKDVVLEQI -FNAQRADYAEVLKEERSNYDREIEALKKQIRLLEARKEERLEQIAAGFAPASYYSEPDPADVAVEAWEMY -QNDARAKGKVAMSRYDGGDKYAVANFGNKVKRLHCLEYCGDDASRTLLLEYCKKKILAFGPIRISDKPHP -SRVCWLEQVETWLLSRPVALRREICSLVPVGFPHLPQQRPECFELSSLVSRDVLERKNQLGHRPIQVLAR -GIRAQELDSSIMRNPRLKVYVNFQGEPGRVIPHSRSKYEAALRRIIGGGAMRSWGEDSKMYRGGGTSSDA -LLLLSQADFRLPGGLLKEHFSLRTAREALCLPEDLVVPDGKDCCRMKNFNNEATAGPFLRLFGVKGKYGL -KKLLEDEMWRYYDDFAQGRIDERGLPYFAARLGFRTKLMSEKKAWEKMQKGDPYGRAVMMLDALEQAASS -PLYNVLTGVTFERRLEKECGFKNQVIRASSDWNRVWEYLRDSKVIIELDWAKFDRERPSEDLQFVIDVVL -SCFAPRTPRERKLLEAYGIVMRRALIERAVVMDRGGVFTIEGMVPSGSLWTGWLDTALNILYLNAACVEA -GYGPGFFHPMCAGDDNLTLFDLDLGDRRLLKIREVLNNWFRAGISEEDFFIHRPPFHVIKKQACFPPGTD -LKIGTSKRMHEAFWVEFDGELVIDEAAGRSHRWEYIFKGRPKFLSNYWLPEGQPIRPTRDNLEKLLWPEG -IHKDLNEYQAALMAMVVDNPWNHHAVNHLLMRYVIVQQLRRVNSGLGREDDTLFLAGLRDMTGGVIPFPM -VAPWRRGAQQGRMEDYKEVQNWCLDFQSFVTGVTSLYTREATGGMDAWQFMEIIRGDHHVGEGQFGNDLM -RWLQWMASHPCSKYLRPVRGLRPGKSAVTAEREDLDRAVNGFNTLRRLLSSGRLCSSLDYALWISHSVID -SLPG - ->YP_009552088.1 ORF1p [Erigeron breviscapus amalgavirus 1] -MGEPLPELTYVLTPAEEQAELTRLAAPLIQQGLPAGLFDRTAVLRANYTYKGFLKHLQTVSRLVDQDIIV -DALSLGVKKDFFPLPNRMNISQFCRFSEWLRSKDGQNSLHEVQRHKKLEKKAAGVLEPREVALEQIFSAQ -RADWAAAKKEERSAYDKEIQELRKKIRQLERRWERREVEIDNAFDPQFEFLELDEAALNERAYAMYVHDC -QVKERRPRSKEHGGVQLAVEAFGGQVKKQKQAEFAREPEVAVKLFEYAKRKILSFRRDGDIKQEANWKVY -MDAAGGDLSTVPTGEAPEENLLYVPRGVTPPPRGGPGVPTAQQVRQGGVTGVRRGRGDEEDQPIAARLRN -RPTRSRSIEEHQGVGTSHIRAGDTTEDTGSSLPH - ->YP_009552087.1 ORF1+2p [Erigeron breviscapus amalgavirus 1] -MGEPLPELTYVLTPAEEQAELTRLAAPLIQQGLPAGLFDRTAVLRANYTYKGFLKHLQTVSRLVDQDIIV -DALSLGVKKDFFPLPNRMNISQFCRFSEWLRSKDGQNSLHEVQRHKKLEKKAAGVLEPREVALEQIFSAQ -RADWAAAKKEERSAYDKEIQELRKKIRQLERRWERREVEIDNAFDPQFEFLELDEAALNERAYAMYVHDC -QVKERRPRSKEHGGVQLAVEAFGGQVKKQKQAEFAREPEVAVKLFEYAKRKILSFEEMVTSNRKPIGKFT -WMPRVETYLLSRPVKLRKRICSMCPVGLPPPPGVAPGCQPLSKFVREELLVSGVVEGTKKTNPLLRAFAT -VQLGAEVLRSTRVLVHHTFGPETQRRIPVARSRIEAGLRRIIGGGAMRGWDADSKMFRGGGNSSDALLLL -GQCDDNLPGGLLREHFSLLSAKRALSLPGGLRVPDGPECLVMKNFNNDATAGPFLRAFGIKGKYGLKKLL -EDTMWWFYDAYGRGEISDGEMPHFAARVGFRTKLVSETKAWEKLAAGAPVGRAVMMLDALEQAASSPLYN -VMSNSTYQRRLERDCGFKNGIVKASSDWAKIWEDVREAKAIIELDWSKFDRERPADDILFVIEVVLSCFE -PTNDRERRLLRAFGLMMRRALVERIIVMDDGGVFEIDGMVPSGSLWTGWLDTALNVLYLNAACMNVGIGP -LGFSAMCAGDDNLTLFWTDHPDHVLKRIKDELNGKFRAGISDEDFFIHRPPFHVTKQQACFPPGTDLSHG -TSKLMDLVFWQVFDGEVVIDEAAGRSHRWEYVFKGRPKFLSCYWLPGGQPIRPTSDNMEKLLWPEGIHED -IDDYQATVMAMVVDNPWNHHCVNHLLMRYVILQQLRRVDILRGGMDDVLFLCACREKGGGPIPYPMVAPW -RRSEVHGRMEDYEEVKRHIQDFSDFVTGVTSLYSRTATGGVDSWLFMNIIRGEQHVGEGQYGNDLMVWVS -WIRDHPCTRYLKSVRGLRTRVEQLDVDPGLLQKATIHYSLLRETLVSGRIETALDFARWVRSIILGGHV - ->YP_009552084.1 ORF1p [Phalaenopsis equestris amalgavirus 1] -MSGPSQRVVHFPGGRADPTVELVESLARYANEGFRVHTWTPGILARSFIPVKRFIDAVRVLNNEPDEVVF -NRLLALGVRDGWWETTNTCTVSQFYKFCNWLKSAEGTTRLNEIRKARHLEKKAQPGQTLEDVSLVAALDE -QVAEFQQRKKETRLEFESKLIDLRRQIALVQQEMSATMKAHDADFSPASIYEPMDDLEFGEACWNLYRAE -CARLNQDEAPLDDGLLEAVKLTHGNAALAMHKANFLRVGFNRNNLKHWIEEKILELDTVGEARRATTFRS -YMATTGGALADEVRAETQTRVAAAGGTRRTLRRARDQVDVENVQPSRLRPRVTTRDTGVETAGALVIRDR -ASSSHTAPPLAEPEAVHPPPAAPGPGGEDPPLEE - ->YP_009552083.1 ORF1+2p [Phalaenopsis equestris amalgavirus 1] -MSGPSQRVVHFPGGRADPTVELVESLARYANEGFRVHTWTPGILARSFIPVKRFIDAVRVLNNEPDEVVF -NRLLALGVRDGWWETTNTCTVSQFYKFCNWLKSAEGTTRLNEIRKARHLEKKAQPGQTLEDVSLVAALDE -QVAEFQQRKKETRLEFESKLIDLRRQIALVQQEMSATMKAHDADFSPASIYEPMDDLEFGEACWNLYRAE -CARLNQDEAPLDDGLLEAVKLTHGNAALAMHKANFLRVGFNRNNLKHWIEEKILELDTVGEARRATTFVP -TWQQRVERWLMKFELKLRLELLQQVVLGVPCAEPGTRLTLRMSNLLDFGLVLRREILGSKQPAPLSSEIE -RAVLIPPLLWQNQRLSILRPLRPDLGGRIPHSRSRYEAKVRKVIGGGEMRDWRAANAMYRGGGSFSDALK -LLIDAREDAPGAILSEKWKVDSARRYLLLPCGLPVPRGPEATKMKNFNDDATAGPALRAFGILRKSGLKT -SLEEFAWNCLDAFARGGAAEDCLPFVAARVGYRTKLLTLSDAWSKINSCKPLGRCVMMLDAHEQAFSSPL -YNVLSNLTHLSRFQRNSGFCNSIVRASSDWAMLWKDVSAASCVVELDWKKFDRERPADDISFMIDVIISC -FEAKDDYEERLLLGYRIMLNRALIERCFVTDDGGVFHIDGMVPSGSLWTGWLDTALNILYIGAALRHVLP -DTSQAVAKCAGDDNLTLFYTDLPDASLLNLKKYLNEWFRAGIEDEDFIIHRPPYHITRFQATFPPGTDLS -KGTSHLLDSAKWIQIHGIMNIDEAAGLSHRWKYSFAGKPKFLSCYWEENGNPIRPAHVNLEKLLWPEGIH -KTIDDYLAAVISMVVDNPFNHHNVNHMMHRFCIIQQVKRLSVAGIRDDHILSLAHIRGKEGEMVPFPMVA -EWRRTQGWVDMESMPYLKKYISDFRHFAAGVMSLYARQPGGGIDAWRFMDMIRGNVLLGGEQFGNDFRDW -IKFLQNNPLTSYLKPMRRFRPQKAARESTEDIISKFKSFSACCGIGDGRTPFASTESYGSWIADLVPRMQ -HGKAPLATM - ->YP_009551565.1 ORF1p [Camellia oleifera amalgavirus 1] -MANAGGNGAPRPVQPLPQPPVYYFGQHTPAENQARITEAVTFLAQCGVNVGLFTVDAVNQVGMTTDQFIK -AVKPLQTHAEQGVINPLFTEAIRARVFDVVMELNVQQVVNVCEWLKSRGGQNAISTVYRTRKLQAKVLPG -TTAADVAWASVLQQQLSDLSGKRKEIRVEKDEAIAELRREIQRLEIEKGLDLAAIDAEMVPASMYNELNA -AEIARRSFNLYTQRAALQGVVPLPRNDDGIKMAVDLFGNEVRQRHMQEFVQGEVVQQQLMQFLRGKILEL -DQIHERKQASTFRALLAAVGGEAITQPAVTEEAGDDGDDTGGEAQQAGGEQRQDAPEPVPARVAEVGEGS -AGDQGAARAPPANRGRGRRGQRGVQAGPRKSLRRMGRGEGPSATGRQE - ->YP_009551564.1 ORF1+2p [Camellia oleifera amalgavirus 1] -MANAGGNGAPRPVQPLPQPPVYYFGQHTPAENQARITEAVTFLAQCGVNVGLFTVDAVNQVGMTTDQFIK -AVKPLQTHAEQGVINPLFTEAIRARVFDVVMELNVQQVVNVCEWLKSRGGQNAISTVYRTRKLQAKVLPG -TTAADVAWASVLQQQLSDLSGKRKEIRVEKDEAIAELRREIQRLEIEKGLDLAAIDAEMVPASMYNELNA -AEIARRSFNLYTQRAALQGVVPLPRNDDGIKMAVDLFGNEVRQRHMQEFVQGEVVQQQLMQFLRGKILEL -DQIHERKQASTFVPYWLQSVVRRLHSRPLQRRLEMMEMIPVGKPNKPGENNVRMPLSLFLPEWLKSEKGQ -LGIKVQRGLRRQIEVVEGVASEEFRLVRGKVYVEWAAEKARLLPVARSKFETGVRRVIGGGEMRNWHVAS -SMYRGGGNNADALRLLSNASFMNPEKYLHECFTIETARRELLLTTDQKVPDGPESVKMKNFNNDATAGPF -LRAFGIKGKYGLKTLLEQVMWQFYDYYANNEVSDATLPFLTARVGFRTKLMTERKALEKMMVNDTYGRAV -MMLDALEQAASSPLYNILSSQCFHGRLRRESGFRNALIRASSDWHFMWEEVQEAAVIVELDWSKFDRERP -AEDIEFIINVVLSCFTPKTPREERLLRAYGIMMRRALIERLMITDNAGVFGISGMVPSGSLWTGWLDTAL -NILYIRAACREIGVSDQNSFPKCAGDDNLTLFMRDPGDRALNRMKDILNKWFRAGIKEDFFIHRPPYHVS -KFQACFPPGTDLSEGTSKLIDEAEWIEFHDELEVDVSRGKSHRWEYRFKGKPKFLSCYWLENGRPIRPAA -DNLEKLLWPEGVHDKLEIYEAAVASMIVDNPWNHHNVNHLLMRYIIIQQIKRLGVGPANVEDVLFLSQFR -NEGEEIPFPQVAPWRKFKEHVVMEEYNEVMEHVENFKNFLSGVTSLYARRAEGGVDAWKFMEIIRGESHV -GEGQFGNDLIDWLKWMHVHPMTKFLRGTRMFRTPSEEIVAGDEEMDAAVEAFNVLIERVESEEFQDVESF -AKWVSNMLRENVLPHQ - ->YP_009447921.1 ORF1+2p [Allium cepa amalgavirus 2] -MASSSGPDPTQYLDITLLSDPVAESVSLQDAVQHLASIGVRVERFTRDSIHAMRMSVPAYVKEIRILGNI -SDRELLKNVMLQGVKNNVLSLPEDVTPQMVLSFARWLKRGAGARVLADQQHLLKINKKAVGDSTPDIVAF -AHLLDQQVQDLTNAKKRVQHSSQVRIDELRKQIAIEEHNLRRDLAATARQFTPANDYAPPSKAALDNECW -ELYCARARDAGRALPPWNAILQEQATTALSNEVINKHRQDFCKIPSNQRLLQTWAASKIQDLRTNQEFVA -SQSSNDSWLSQVETHLWRLPLPLRLKWANTIPVGKVVSRKRRNGNILLRSMLGADLLNNPMQRGIKNKPP -LARNAEVSASVTSLLDINARILVLRSIERSPTVGIPTARSRFEGAVRKVIGGGEMIDWRTVSNQYRGGGC -FSDAILLLADARTDEPGKFLPDYFTLWKARDILRLPSDLKVPCNRQALKVSNFNNDATAGPFFRAYGIKS -KYGMRGLLEDFAWECYSSFVDNGGDVSFLPFVASRVGFRTKLVSQEEAFIRFSKNKAIGRCVMMLDAIEQ -MFSSPLYNVLSKLTADLRFDPASGFRNTIVRASSDWAKMWEEVKKAQVIVELDWKKFDRERPTEDLAFMI -DVIISCFKPENDRERLFLLAYKTMMQRCLIERFFVTDCGGVFKVEGMVPSGSLWTGWVDTALNILYLNAA -LLSLGFNMTEVFPKCAGDDNLTLFMRDVENRRLLALKDRLNSWFRAGIEAEDFLIHRPPFFVTREQAVFP -RGTDLTKGTSKIIGNAVWVPIEGEMVIDQEAGRSHRWQYVFRGRPKFLSAYWLEDGRPIRPTHINSEKLL -FPEGIHDSIDTYEAAVLSMVVDNPFNHHNINHCMHRFVICEQVKRQARMGLDPIDILWLSRFRSSVGEEV -PYPMIASWRRQDTWVDMEQLPFVRDYVRDFREFVSGVTSLYSRQSTGGLDSWKFTSIIRGETEFGEGQFG -NDIDDWISWMYRNPMTKYLRPIRRFRAVGEEVRPDRELSGSLQRCIGIYRQIRGTQENSSSENFAIFLSN -VLRQTRSYRDANAHP - ->YP_009447922.1 ORF1p [Allium cepa amalgavirus 2] -MASSSGPDPTQYLDITLLSDPVAESVSLQDAVQHLASIGVRVERFTRDSIHAMRMSVPAYVKEIRILGNI -SDRELLKNVMLQGVKNNVLSLPEDVTPQMVLSFARWLKRGAGARVLADQQHLLKINKKAVGDSTPDIVAF -AHLLDQQVQDLTNAKKRVQHSSQVRIDELRKQIAIEEHNLRRDLAATARQFTPANDYAPPSKAALDNECW -ELYCARARDAGRALPPWNAILQEQATTALSNEVINKHRQDFCKIPSNQRLLQTWAASKIQDLRTNQEFRR -VTEFERFLALASGDTSMASSSAPEAEMGQHDSGGEGGESEEEEREHTAAEHARGGPSEQPDAEGDQEQTP -ARKKRRSKRLRNFPSRHQRSHSGSKKHRKKPNRRDSDGQE - ->YP_009447919.1 ORF1+2p [Allium cepa amalgavirus 1] -MSAEDFTPRTGLVDTELLVDPAAELEELHDALMPLEVLNINVKAFTRANIFALRMTVPQYIKEVRVLQNL -QDVQLIRKVWTEAISHKCATLPDEADAGVCLSFARWLKRGVGAKVLSDAQHLIKLQKRAVGSVEPKVLAF -VQLLDQQIADMHAERKKIQAEGQAKIDELRREIQRVEREYDEKAKASSKRFKPARKYVPPTKAKIDEECW -NAYLNKVQKSGKTAPEWNAVLQEQANTMYQQLYLTQHKQDFCGLESNQIPLKVWADSKLKELADNHEFVA -SARPSESWVSRVESHLHRLPLPQRVFQANNVPVGPVSQLVMNQSRNMALRTLLNPQLLTWKSQIGMRKKP -PLQKANESRSSKIDLLLRNPRILVLRKPERGPLGGIPTARSKFEAMVRKVIGGGEMLNWSIDSNMYRGGG -NFTDALTLLADARYDAPEMFLSDYLSIEKARSLLCLPSDLKVPCHRNCVSVNNFNNEATAGPFFRAHGIR -NKYGMRLQLEDFAWECYNAYVDSGGNPSMLPYISSRVGFRTKLVSTTEAFAKMKDNKPIGRCVMMLDAIE -QMFSTPLYNVLSKTTAAQRFDIKSGFRNTIVRASSDWAKFWEEVKAAKVIVELDWKKFDRERPTEDLEFI -IQVIISCFKPTDERERLFLRGYGIMLRRCLIDRYFITDDGGVFKIDGMVPSGSLWTGWVDTALNILYLQS -VMISLGLVSTAVSPKCAGDDNLTLFWKDFDDKRLLSIKTRLNAWFRAGIDDEDFFIHRPPYHVTREQATF -PPGTDLTKGTSRKLKDAIWIPIDGEPIIDQAQGLSHRWQYVFRGKPKFLSAYWLEDGRPIRPTHVNAEKL -LFPEGIHKDIEQYESAVLSMIVDNPYNHHNVNHCMHRFIICEQVKRQSAAGIDPVDILWFSRIRPGNPDV -VPYPMVASWRRCEGYVDLEQLPFISDYVKDLKEFVAGVTSLYARDSIGGLDAWRFTEIIRGETDLGTGQF -GNDIDVWIHWLHHHPLTKYLKPIKRHRQPPLSVMPDKELHGRIEEALQVYRDIRGTTTNASAEAFSLFLS -NVIKHQG - ->YP_009447920.1 ORF1p [Allium cepa amalgavirus 1] -MSAEDFTPRTGLVDTELLVDPAAELEELHDALMPLEVLNINVKAFTRANIFALRMTVPQYIKEVRVLQNL -QDVQLIRKVWTEAISHKCATLPDEADAGVCLSFARWLKRGVGAKVLSDAQHLIKLQKRAVGSVEPKVLAF -VQLLDQQIADMHAERKKIQAEGQAKIDELRREIQRVEREYDEKAKASSKRFKPARKYVPPTKAKIDEECW -NAYLNKVQKSGKTAPEWNAVLQEQANTMYQQLYLTQHKQDFCGLESNQIPLKVWADSKLKELADNHEFRR -VRETQRILGLASGVPSSPSASASKSLSGKQRSGGPSQSAGDEPVEEHGSSNSAKPAAPDMEITDRDEEKT -SSSKGKRKQKLKDRLAAKKSKDLSAKKTRKGTIRRDPHRKK - ->YP_003934623.1 fusion protein [Blueberry latent virus] -MAGASGSILPVETTEQTQARVSTALAPLTGVLGNIERFSVEAIINSNLTVDIFLKAIKSLVAYAQQDILE -NIASAANTAGAIQHVINMTPKDLFDLSRWVKTAAGMEAISKITTQRRLLRQGGAGRSASQVAWVNLFTQQ -QADYAQEKKRKMTRFERKREDLKLQLAQLDTDEAASMERLAAKYPTQVALPATMETELVAACWAAYVADC -DRRGITPSAKTNASLTEAVKHYSANVRDQILTTYCEQENVQADLTRYAREKIQSFRDSGNQEESPVLEIS -IRQQLEQRLISLPLELRKFHMKQVPFGIPLHKRGRPSCKPLLTKLSPELLLRRTQIGHREKPPLGIRTEL -AQPPLKALEDCSRIGVLRSLAPQADKLIPRSRSKWEAGVRKIIGGGELKDWFKAKSMYRGGGNLFDALRL -LSACDDYDGYTRLKGNYSVEAAREKLLLPSGLRVPDGVGCVHMKNFNDEASAGPLCRAFGIRRKAGLKSR -LEQFAWEIYDGIGNGDLDLGAIPPFLARVGYRTKLVTGEKAMRKISTGDSIGRAIMMLDAYEQAFSSPIF -NVISDAVTHLHSDPTSGWRNYLIRASSDWGKMYKEMRKAKVVVELDWKKFDRERPADHISFFIDVILSCF -APRTTREEKLLGAYRRAMENALLHRVLVTDDGGVLTVDGMVPSGSLWTGIIGTGLNILYIGYALSDIGIS -PLNYVPKCAGDDNLTFFSRDYGDAAFKRLRVKLNEMFRANIDEEDFIIHRPPFFVTKAQAVFPPGTDLSK -GTSSILNQCKWVQFEGEIIIDEAMGLSHRWEYRFQGKPKFLANYWLPDGRSIRPATDSLERLLYPEGLHQ -TIEDYEMAVLAMVVDNPWNSHNVNHMMHRFCIVQQIKRQSMVGIKAEDVMWYSKLRGKEGEGIPYPQVAY -WRRQEKKVFMEEIPELMGYIKKFQTFLSGVSTLYSRDSAGGIDAWMFMSILRGERDVGAGQFGNDIIEWC -KFLNKNPLTSGLRAAKRFRGEVRSEEASTQVAQRWVEMISTVRERLRNPLLGSPRSFALWVSDMYAENVF -SNVS - ->YP_003934624.1 ORF1 [Blueberry latent virus] -MAGASGSILPVETTEQTQARVSTALAPLTGVLGNIERFSVEAIINSNLTVDIFLKAIKSLVAYAQQDILE -NIASAANTAGAIQHVINMTPKDLFDLSRWVKTAAGMEAISKITTQRRLLRQGGAGRSASQVAWVNLFTQQ -QADYAQEKKRKMTRFERKREDLKLQLAQLDTDEAASMERLAAKYPTQVALPATMETELVAACWAAYVADC -DRRGITPSAKTNASLTEAVKHYSANVRDQILTTYCEQENVQADLTRYAREKIQSFRDSGNQGGVTRVRNL -YTAATGAAIDIPPPRAQEVPHETGPVRDPIAQTGEAQLQAVAHQALTGVVIEADPDRAQGEASSGDSDRA -SAASTESTRRLQPDRRVKKPRTTGR - ->YP_003868437.1 putative coat protein [Rhododendron virus A] -MEDRRAEDRLDDDLPAGGGAPPPLRGLPPLPRAEPTDAELQAAIDEAVAVVYEAGMPAGRFTVQRVHEIG -LTVEVFVKQARAVFGGKNVDQADLIFTTGIKMGVCGSLRTMEPAGFWEVIRWARSNVGRQALETGQKVKK -VEDKRAGTQTPNEVALCQIFTMQQGIMAEEVKEARASTQQEIDELTRLLRLKRAEQVKVLAEIKDKYFPA -NIWEEPAEAERNARCWEVYSAALVTAGRPAPIKTEAAFKLAIDAYKNFVDTEFKTRFIRSDEHQVALRKF -ADERIHFLDGIGEPKKAGTFRSLLAVLGVEPSAQTTTHTEERNDEDDSGGESDVARGEEPEPEAGGGTSR -PGASGRGAGRGKGKRKVVPERRSLRSSKTGRVTDVSVQGAQGDGGASRPKHRRR - ->YP_003868436.1 putative fusion protein [Rhododendron virus A] -MEDRRAEDRLDDDLPAGGGAPPPLRGLPPLPRAEPTDAELQAAIDEAVAVVYEAGMPAGRFTVQRVHEIG -LTVEVFVKQARAVFGGKNVDQADLIFTTGIKMGVCGSLRTMEPAGFWEVIRWARSNVGRQALETGQKVKK -VEDKRAGTQTPNEVALCQIFTMQQGIMAEEVKEARASTQQEIDELTRLLRLKRAEQVKVLAEIKDKYFPA -NIWEEPAEAERNARCWEVYSAALVTAGRPAPIKTEAAFKLAIDAYKNFVDTEFKTRFIRSDEHQVALRKF -ADERIHFLDGIGEPKKAGTFRSLLAVLGVEPSAQTTTHTEERNDEDDSGGESDVARGEEPEPEAGGGTSR -PGASGRGAGRGKGNARLSRNVEVSAQVRLGELQTYRFRVHREMVEHRVRSIAVARSRFEAGIRRIIGGGE -MRSWYTDSRMYRGGGNSNDAMKLLSAASVVTPGKFLDQCYRVSSARKALRLPSGLRVPDSLAECQCKTFN -DQASAGPFLRAFGIKKKYGLRRMLEEFMWGIYDRYGDGRGDERSLPFITGRIGFRTKLLEEGEALRKIRN -GEPLGRAVMMLDALEQISSSPLYNILSGYCGRNRNKGWCEFRNTTVRASSDWGLLYSEVERAKCIVELDW -SKFDRERPSRDILFVIKVIISCFEPRNGRERRLLEAYEIMLERALVHRLLLTDAGGVLEMEGMVPSGSLW -TGWLDTAMNILYIRAACLGAGIASDLYSSRCAGDDNLTLFWEDQSDGILGLVKQHLNNWFRAGIKDEDFI -ICRGPYYVERYQATFPIGTDLSEGTSRLMDSAIWVRLEGGPIIDANRGLSHRWEYRFHGKPKFLSCYWLP -DGRPIRPAHDCLEKLLWPEGIHGDLETYEAAVISMIVDNPFNHHNVNHMLVRYVLIQQIKRQVVSPMTAE -DIVYLCKFRDREGGSVPYPMIGPWRRGQKQGRMEDYPEVGRDIKNLKGFVAGISTLYARKGGGGIDAWRF -MDIIRGDADLGQGQFGNEVLEWARWLGRHEVTKFLRPIKRMRAEHGEVIIEGEGRRQAEAALRALREILN -EGTHRSCLDFSFFLSDRLRASCEDPRR - ->YP_002321509.1 fusion protein p122 [Southern tomato virus] -MAGVGGSAAGRVPNAANVPLTAKEKERTVMREIVEIGETFVELGIDKRYFQRTTYVSHMLLPNQYFKLLK -QFKGKTAEELDLALGAAVAHGVLRSMRGITFKKFFDFLNWVKTKEGKDALGETMYAQKLEQKGRGDFSIA -EVALLHCFETQRNDMLRDEKDVRLKAEEEIADLQRKIVKRREKLEEDLIATKSNYEPVSRYVGLSDYELN -CKCWSLYQQFNPDKVTAGAKPTRKQVKEAFDMYAEFVAKTNRLEFLKHGNVKDELQAFINAKILGYEQLG -DKGRVVILGVSWQQWVLRWIMKWNQRTRKRLLKNLPVGKLRRGKEMTPCRPLSEIIPMERLEEKRTLQYK -LPPQLSRATEVEAEAVSLLYPNARIEVEVRPIGRDTRAIPFSRSRWEVGVRKVIGGGEVLNWDIDGNKYR -GGGCFADAIKLLAGATQRPPQRLLEDCYTITTAREALGLPSDLAVPSGKGSCHVRNYNDDATSGPFLWSF -GIKKKYGLDKLLQSLMEDIYCHYSISEATDRALPYFAARVGFRSKLLTMGEAVKKFTECAPMGRCVMMLD -ALEQFASAPLYNVLSKYTAERSRGQTSFRNTVVRASSDWMHFWDEVKEAAVCVELDWSKFDRERPSEDLD -FMIKVICSCFRPKDEVEAKLLRGYGVCMRRALVERRLITDDGGVIHIDGMVPSGSLWTGWLDTALNILYI -KSVLRSIDILEEEAVPKCAGDDNLTVFSKDPGDEVLEEMRVKLNDYFRAGIKKEDFIITRPPFHVRTFQA -VFKEGTDLSKGTSKIMKKAYWREFEDELRIDQEKGLSHRWEYRFKGAPKFLSCYWLEDGRPIRPSHENLE -KLLYPEGVHANIDDYIAAVLSMVVDNPFNHHNINHLKHRYIIANQVKRLSAAGGRCEDILRLARIRERED -EEVPVPQIAVWRRVKEYVDLDSYEPAKYYIQDFNAFVSGVTSLYARSSTGGLDAYKVMDLIRGNATIGRG -QWGNDVMDWIRFVRDHPATKYLKGAKRFREQHNQEPTTAKPSKDARRAIKLLRNSLINEAYADSNSFAIS -ISERLRRKKPTR - ->YP_002321510.1 putative coat protein p42 [Southern tomato virus] -MAGVGGSAAGRVPNAANVPLTAKEKERTVMREIVEIGETFVELGIDKRYFQRTTYVSHMLLPNQYFKLLK -QFKGKTAEELDLALGAAVAHGVLRSMRGITFKKFFDFLNWVKTKEGKDALGETMYAQKLEQKGRGDFSIA -EVALLHCFETQRNDMLRDEKDVRLKAEEEIADLQRKIVKRREKLEEDLIATKSNYEPVSRYVGLSDYELN -CKCWSLYQQFNPDKVTAGAKPTRKQVKEAFDMYAEFVAKTNRLEFLKHGNVKDELQAFINAKILGYEQLG -DKGKSRYLRRQLAAMGVEMDHEVESEDEEEAAEEPAGGEAEEGEGDDPLQATVGDNPDGEARGEEDTAVQ -VASPAVTRNRGRGRGRVTPLSQRKDRG - diff --git a/seq/clusters_seq/cluster_1120 b/seq/clusters_seq/cluster_1120 deleted file mode 100644 index 6724176..0000000 --- a/seq/clusters_seq/cluster_1120 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010086798.1 hypothetical protein KM646_gp3 [Grapevine badnavirus 1] -MEHAGEGATATIPGNSHGRSKTETQQGHLITTQPNNWENGELFRSYSNTVQRGHEPGGISLSEFIKLRAQ -LKEIESLAITRALVCLKELRDIHTIKLDECRKSSSPGRDGNYWSDHCPSCQHHDRNLEEILSQLERVAAD -VQKFSL - ->YP_009140789.1 hypothetical protein [Grapevine Roditis leaf discoloration-associated virus] -MEPVKGAINDGARGADEGGAVQRKIAIPDQQDDRLFQQYKDLSNERRTLHHEGISFTNEQEIRKQLGIIE -AESAKKAIKALEEYQRIHAIKTLECQSWSSPGRDGNYWSDHFPNVKRSDENLKRILHDLREELSDALKFS -I - ->YP_009121748.1 ORF4 [Yacon necrotic mottle virus] -MYRTRDRSPGEGSSSDGGNIRRKCEVKQREEWLRRNNPDFQLLPKIYRGQFSFEEPGALYRHYQIRANTT -PIRLEESSIYAGVAQQYEREAAKQAVRTMRHLQAVLDFKAQVCLAKSSADNYWGDHWPNVKKQNQQARKL -LSELEALCIELGQVPI - diff --git a/seq/clusters_seq/cluster_1121 b/seq/clusters_seq/cluster_1121 deleted file mode 100644 index b69460a..0000000 --- a/seq/clusters_seq/cluster_1121 +++ /dev/null @@ -1,247 +0,0 @@ ->YP_008719905.1 polyprotein [Rhizoctonia cerealis alphaendornavirus 1] -MQTNQNTTQNTISFNEQMRAIQARYNQIGITPASSSDNTLSGGKHDKLSHESEEPIGYLPTGVPYFKRHV -GFGEHDESIAWIGDCELLLNARTYILNSEHKRNDPLCKKIVSNKTMEEFLKSSYPDLITKMKLFDESEHT -FGTCFEILWVICPWIQLPYFKYCVSKDVTLSEIKTCNWLTTATYVTDKCWHKLFTQPPQDDRYPWTKEDW -ITKQQARTLSKDWPTQDQPLIQAQQITPGMWLITEGELTFEQVMDTLPTKARIGGKDSHMIDGNTVNTCW -NSSTKELYAATQVTIDVAKTEPIEPNHTMSFVRDKDMNLKRRSRHFSDADANAQARLLKSYQSIRRNRKL -KLHASEGDEDDDRFTIKTREIKVPEFTIKSKPKAPVVHEENCRCDPCLVALVTQHPDSSNWSAKELLEKQ -ITMIKEIREAESKGTFNTYIEPKPEAPTAESKPKVQLMKPLTVGGKGGCWKWLPVKKPAVTSLNDPLAGQ -IVPGYEGLYYARELDGEAMPIKEIIAQMEKTIDPKFMIYKSIREMWERKDIKLSKTADGNLHVEKCRILY -EGEKYQPGEPQPNCSVLSILPILKKLDDDNDKKMMAKLKDESNTTVTTPTSSQAAPTPVAVATPAPEPVG -LFMRSDQNISNLLPLTDKTPGPKLVKNLLSQMALTTRQDLLPDNLKARYSIVNLQIAKSADKHVYLRQCR -ILQPGETYGPGEAKPNCSILSLIPLLKSLDDQRDKENLEEQMKHVKSTALLGTKELVEFVKKETSGEGAI -QLGTTEWDIAIKSDLAKVRNILARTAAEVTKVNPGTEPKDLNRIEEALPQFHLVEKKGLANPHAMIAVTR -KMIYKSLKNIYKGRPIIDVGGDPTQGADNVHTMRPNLDVHDGLRKITKKNELGCDHLLSECNCKFKPNSG -LIFVDSIYDIQPKDVVKFCRENKIETFYYAISTHGIQVDKNLNALPFSSGTAIRDQESMVTFLTGESNPY -LNSENLTQFWQNVDLIHDQHTGFRVHTISKVGMHVVRSCHLLTTDAAVYKELKIVSTKEPTLRVMVPTLK -YNTIIGWKGASYTIVRQPLDLNVNFFNQLCDRNINGRVGLDTLTEYGLAIAHSKYSMHDKTITNYDITAR -DIRLTSYLAMMYMSRVNYGLTEYADASYTLGSFQQISWSTVILGAQMLNSWAPRILEKLGGETFAKMADK -LLSNGSIKMDDDAFKGIWDQLDNVKHITKTQSLKIMPNYESVKSSFICQHHSGLCQHTGDDKCTCCGADA -TGEFCTCCKSAGHAHACGHICMIGHGDGYIKCLCCKNLGSANPCANCKEVRVGLVDDLVQILTTTPSHQV -TKTAYAKPHIASEIIDYFGNQVYSKRPEGTMDLGQNWHRHSCVKCGGVYEHQHKFKNIQHYQHANECPHC -NENSKAVKKNYVSVKSVDAGHLDTGKPIHYHQCLCGKPIACNSSTAAHTEKCSECKAKSLQKDNKPSRSY -AQALDTKPAQTEQQPSQSMIKPASIHEDEHVHRCPNCGNDYAHSHPYENIVHEHPNCQMCAVDAEQVSPG -ASLSFKLLEIFDSEFVKILYEGWSTHWESKTTVDAPFGPGRTKVHYPCHGLNTYPAGSFLVRSRVEVSND -DKMCGLYALSIVFNGVSHQELKDVTKVHDTWSMIEMLQFAEHTGKSLLVITDEICMAYVSPNDEDVIPSI -LHVFDDEHPSGHWEPCSAVQVGASSVWPCPDKMYSMHSLALDNNTDVQNMPNFDTLTMEERVEYLKPLIT -SLEQIKELGANKMEDWKLTTRNKITYMTNNAGNQHDPHLGKFNWLVPDQYIRMHQDALSRMKTKLPTNLS -IVSVDALSVNDLPQALDAELRLCLAAFNSIVGPSATSAKGIWVSAEITARNSISLVGITGTKLMPGDVII -LKDNQYSYSRTILNIQATHIVVAKIPTVKRNLQVLLPKVSIASLAIRIVGILTCTWTSDKLAEVLRNAIT -YDGVPGAGKSTFIKSKFKSGDIACATTGGAIQSIRDKGIKSAMSIERLTYTRPQCKTLFIDEASSLSLAS -FAICLPESVESVHLLGDLDQVVYVDMYETFGTRKQALVMQLAGTTVPMYKSYRIGNPLAAELKYIKPAFE -PAAHETKVTLLNVASFDSLDIQKLVTDYEVDMIWVFYDAMQKALKKVVTIPINKVHADQGKEASCVLVIQ -GTNRSDGTGSIHLDRNYCYSAASRAKDHLVWVSLNCYEQTHTLHDRIMGRHSLTRGKGKYLDQTKKIDGK -LAIDELRIHASSDVIRLYNDTLKPYILIRAESFVNAGKIVALESEDLNDTIGYATCNGYQIAIYYPLKNF -GIEHIIGTKRVVSVGPNSEEYLWFSAKVEQRVSDNIDNLIALILEWNLDEDKPVRAMQQLIRGNIDEMIQ -ETGLTGWMVTTPDNTVKVRDANMEVIYTVILSEDGIDFLSNDTNEPPQLDMSFLANYMITPNHFVVESET -ISTKSILPPDGGVEIIQKIKKIYTVDLTIEEDVGYRTTLYRLHATKKVLFKRLEVATALVELNHEKGTLR -IVSLDLSKLAKAILPMKVDMAQINSDIANRRVSVLTKEVVDKLLKLDESPTVTAPPSPLLTLNPWTVEDS -APIQPDKGKQKMEDITPSEESTTEEKQEGDSAENTKQSLESIAFHINKQSNIRFADEWSDNIRMWISEQS -LTGVGAFTSVCNSLLDANTFSPSNVDTWASLSKTWDHLERRYSATDIQYIIELIDGENLCTILIDRNHVT -DSIIVYTDEWKQSVIEMVAELCHCANMLSDDEVLATWPAQERGPVPLDSTPTDTPEADVKGEEVSDQGDN -AWLRMAEAEPERHAKFAAIGLFARWSITQALSTISINNMYENHTKYTYTLEGELKEPAGIVWTDWPEAKR -NLEMIRKLHNSKPATVQRAMVDIRHGCNCCEQPEMVDIHAPTNDVIYLTQRTVNIVRSISALFWPLSNTT -QEVEFNVKGTSYSVSATSGCSACTGLTFKKDHQVIAVISSQYRNWMHRKVSYLGKDGMLMLTICGINLYP -RHIDYNNPLTNEWHTIQETLKEYESDELDPEQITTTTGFKLYNFKRACCVLSERMSVFIAAVLLQPTGLK -ALSDATQFPLVMKIYEEVGRLRDQELQHALAFFNLTSDNEMKLYRVREFDVRNMSNGIESGIGFAIDKTV -LTDLKDIDGKPYNKSLNGWKVETVSSLAVVGAATQSLLEWLEGGPVGKTLSLVGQTDMAEPWTQKYVKFL -NDNWQTAAIENSKDVIFDAQDEFDSKETLSKKQVGPTISERMDALFGESDSFERAGAIGPVTGSLTIPWE -LHREHKTSVWNHFIKKAAKIELASHDLSSLPLYLPIGHIKVFKNVDAYQANLLDLQEQNTSLLSRSMEVT -INTIGAKWVRQTIGNNLVYSHTNQPQATMLNSYFEWIHVKPANLLDGDEWENEIQQIRPVLRDIGEMMEK -TGNTTDHAKSRAQWFTDNARGVGTWYTRSPLKGTINYYGTTGLAMPPIKFLAQQETESVAYMLCPGKYIS -RELGSWLDGDAPHFGYAFGERARPINQTWIDWIKRGDPKTDGSRVHYLRVKGNVLGHHILEIFTTYKKYS -GPYYHMNLWEGNTEETVTYTMPVVNLALHDLSTKGLFSNPQVTMPAKIHRLLELRMCRPATSFDDLLQYL -RTLRYTTYFSSTSKFAKVDGWTQTSLRWAMCVYLDSSVLAQQVKGMAQLKPETGLSGNTMINMAVDRASD -FIKGTVADLTKHLNLNLSFEEFIDQIAEATKGTGKVGDALGAFSKMVHATSVRKDQYKPEIYKYTHANDV -SLKYIKVTNPLETVKTQLDDLKPQAKPRTVDVLTKVHKSADILMGKKLVKVVYINTGTEGDKSINASVIL -SETDEEIILTEDIVPELAMDWGKQAVLAKIPNGKVTAFLNQVRRTNELKNSKVLMNALSEASYVVCNAMD -GSVRYLASAAGVKCVLVSGIPWDNESLKNYDIAGVPQGAYNYIPVSMDEVVVRSFIPIDEQLSYKYEAQE -VNQIMPRFTKTCLNVKAIEAACHQPVGKPIETDIWVYTGPVAVSDETKERINALARKNQMTVIYQEAGKE -RINVQQSKIKLAIVHGGANTLNDLLISQTPFALIQMIMDQLLVAKANPKLTFDRLETLGLTEYLEQFDHD -LFQLTTPWTPNGVVLQAGINEDQWEINDLLTMAMGEDFEITGGKNNKRSVTENMVKEPFKLALTQHDSVS -LLQSTLKNVLKHLPTTGIRYCVIYGDSEYPANLKADYGIVVHFYDETKPESTDTVTTYVGPLRGYAKLVA -TWHFVRLQAQFKRLGPSECHFEHRWMLLNYTNFDQPSESEIVNGNKAVGKLTSLTLTDPFDEKTYLLYAR -KFGIDNAAQLLLLTPGNCMKAMHACSEEAFKHWPNVQRLTTSDTQGSILQWTHNIMRDSRLEQDINRGDD -DDDDDTEGDQDDQPDPRDDSDSDGNAEPEDEHYDSDEGRDSFHTASIHSDSGMERKEAHDMYESDDSIVE -HADNEPDIYVGDEEGQTSRVDSTAERQSSELPTNIERPRTGDEPQTMQVMDDDLSKTQSSGLPPQDQQHN -ENEEGTELSHQQGFDKPYEEELVEITCRKIIGKFTIEDRLLNTTGTCLMDALKLQISWLRFDPPMEYANT -AQLVEFCEDNIINCAVLNIETKEKFYSDEEINLEGYKYTIEPTSSTAWLGIYKLDGTTHIVPLYCIESRD -QQVAETRLQTFPVFDPIECKNSEATGYWTPNINDQLCEGHIHYQPLQELDSHLGIRPSDPNMRKLFDRPN -EYTVASITRKNWKLIKKTMREPYTMRVMQRVNLGCFSPSYTQEMTLNRIASWRLYFAITSEGLVPMISIP -QKVGVFFYMGRDPPPTVSSVIVDGRANLMIRPQKSVTVRGQMPDVRYWDFKAAKFVKAEGKIVTGPGACK -TMIIHDYDNLDHHGRSDREWFNLADPAMLKFTTKWIDNEIIQAIKVNKLTPRIHIKDNNVCFNILVAMNR -AANIEQFFKMFKITTRVSRKDRRIKEVNIHTENKQSYSFVMRNISHLAYDEHGVAELHLALGQLITSETA -WEHCASEYMATLEHSPTYIVMAVKPNQPHCNKNLVSLRKPHKGEGVIKEEAREQINWFREGDKPKYDRMM -PVYTKLIKTYGPDYILYLCSGGGKSTMSRLTDKVYDCDRSVKVPAIPDPEAVNMGFWADRNAVVRKQLLD -DGLKMVGKVLLVWHPNCVPHEWRNLPQLLVIIDDIAGERLFKMGNQSLKDYAADHPSMPSVVCTRNRTYD -IMVKHFNIYSKNSAAIAMSNMTMEEISPINKYSTADKVSTKYFIPYIPADRMQSRTTEGYASIEDEADTD -VINMFTNRDLSDWLVRVSPTSNLVIKSHEQPGQLKEMNKTTLVRYPVMSRPVLTKAVFQTYNAVSTRLGS -VTTYRKVKLQPAMEMKKIAQAYFHDNWQHTVNKFNENMITYNAEAITQWLREHPGTDKIQKEVDEILAEG -FLTNPLNKLNVHNKLESLLKEEPITKVQDQKVRIIVWQRKGYAAIFSPVFIEAKKRLKTLLRKDVIYADG -YTPQELSARLRTVTGSTRFFESDMAKQDRQTDQETLDVEFEVYKNLGVHPRLLSVWRSVHRNWRFKNAHM -SGNLDGMRMTGQATTAIGNVIVNMAVHADLVINNRDKIQLILLLGDDNLMLCSTFLDLQKFRKDTKERYN -MEVKPDSNSTHGTFIQLIAYHTPEGTCELAPDWIRLTRRFEVTNGVSEATDENLEARCMSYCMMLGNLPE -VQSLVQA - ->YP_009310051.1 polyprotein [Ceratobasidium endornavirus D] -MVKYRHAGVNMYLRIRRAMHMRIMLTVTGPGQLHLLEAWRDEEPPEFESAIMEPHGEVLCTNIHELYTIL -HPELWASYEPYCHDTEMALVNAEQLSEEWQAAEQQINSLEVKNKILGAPIGVVRERWSTPHVGDERSQNV -LGIRAVILDGTYGPWGPKVGVSQLMSLLQKTGLVGPSAYTPGTTDWNNAVEHDLVVIRGALSRLMVETVK -VSHDMDQSAIDSLERDLPNVTLAKVPGIPNPHSVLATVRKVLHKLIQESCKEGIIDVGGNPRHNRPMDWT -MRPTTLIEDVVRKITSPNDSNTCEHMLSECTCHQASGKPLLFTDSIYDIPPAEVVAALDLRSLSTFYYTM -TTRHFNFDDTEQQAMGFDQGVQVVSGETLLTLTSGESSPYENNYGNTKFWHSVDVLYTGVSVWRVFTMHA -AGCHILRAGIRVVQSVDLYREVRLLSVGSPAETIMVPVIKRHTLLSYAGTDYNIVRVPLKLQPDLFNQLC -DRNITGTLGLDNLVEYGLGIAHSKYSMADKSIGNMHISAEDVKLHAYLAMMYMRRVHGGIDELLNQVNQG -SFEQVSTNLVLFGAAALQGMAKRASPEVRQLLKRYANLLDVTTLRTKGLDGEPVWDQLSLFRAKGTTRHL -NIYDCASTQDAGAALHGCDCHTPGCWHVGEDSCNHCGSPSDGDMCTCCKMEHHTHKCSHNCIYGHATSGM -TRCKCCDVIDDCNPCKNCEREPNLYDTIAAEMLAPLVNYMEKGAVEKVVNGVNKQGIPVRKPGGVTIDNG -GMEHTHVCALCGNSYMHKHNWNYLQHPEFVGDCPWCEGGKKAKKVGEDKRVGPMVAIKEPVPRSWAEVVS -TPRPGQQVTPSTPAVKAPTTEPAQAQPEDRGEAVFSGPDLSTVSKLIDLVGPFVSQCITEGSEAAFDDLQ -RTPAPQYTTILYATGAGMITFPSDRVRVRNMGVMQNYQDKKCVMHSLRVVWQNPPSIMEMESTTNKDGML -SAVDVMLIAQRYQRPILVLHREQEAFCYHPYGHSGPTPTIFIHEIGSGNYHCVPAEGVQLGLHAGFPWPN -CDLSQGDLADIIGQQLPSFDDLTVDERLAMYDPVLNWWNNVRANANSSSTAIMFRDGVISNVSVQTSKVK -DTGFSIDVPTEMDNAVRACLRGDAKFFTEDVSTRSTGAFSIKERLRAEWMLTLAELCNALTTPHVGSVGT -FIKPLVSHDKRGGLSYFTVPNEMKIKKFDMVHFGGRVPQPRMVIATMMSKGGGTVVYVKLLDDHTKRDTF -IPKVNSGSAIRRLMAVSRCQVSDDFVQGIVMGAEARYGVPGSGKSTTIASEYDEDTTVTAMTSGALDGLR -SKISGKNVISVERLSLEKCQTSTLVVDEASGLSVTDLALLLTDKVKKLVLYGDPEQVGIIDMHSVSGHRT -HYSVMSLAKTKSLNLTTYRIPKAVGYEMRDIVPKLKYGHDRDGEVVLEWQQTADISMFLTRCESMHVECI -YVFYSQHLSAVTRAIKQSNYKGKVLKVHSAQGSEFKRVAVWQMGATTLDAGIHLKTSYCYSAAGRTSDFL -YWCSVGCHSAGSSLRDRIMNTSPRGQFPMASGTGVLDSVAELLNLARRPFSQTINDFSSTGAGHSKWFVT -VATQIHDEITHTAATRRNKVTIDYQQGGRNRYTVKVSDHLGSATALISSKILMLEKTGSGPAVDILSEVL -QSVAEQPLFKNLALVRDMNIGPCGESLVSFMSDVLISTGANVLEVSHSDVDGKSTGICRTQVGTYKFTFT -DDRFDLEEEPEYNTEWPTDEGQTVANLLVYIRTLETMADELSSAHEPTGQGTTPYERTLDERGAVERLKS -ELSTINPDVDLVVERIANTERVQFAVKIDKNRGWVKGKVLRAILEWDATLGRMLVIRYKVAYMAVGKCPS -AEDLERIINNSLTGFRLQLGTETIPQITVSPETGVAAQDPPQVPVAEEEEHIPASSGGTGATTRVHALNA -DDDPEVVDQISPNLMPGTARWAMHIIGKCFADISKNPQHYLSKLAIGSFAPVGLEAEVIRSMDLFARALA -RVYPLQQTVTNPLTNEQVTWTAIIEDLDTLGVPYVRGVASVSGRPPLTGGRIGAPLSANGKNCQCNHQHD -KKRCYVSSTTIRIIRTLAVLSHVVRGSLFTLKVGGVTYKGTAVKGCTACAGLLLTDTNEVPLLKIGAQYE -NFWYRDVYIAPTAAGCLAAAHFGFVITTKHIDQGTPFATMKEPKMLKEARALCESIFVVEASHTLGNREE -ESWVVAERVYNFSWGCFEYLIGKNQTKGASTTAMIMGGMVDDSLGILDELTAICRKLDWNMTSRHLATHM -ECDYTIQDAKNQNGDDVFYFAKNWDVIINGGSPQLAAYCSAMSDAGVVVYYNLDGSTITVHYLPSLNILV -QAAHTAELARCKGHLPLVAKAYEALKSFGANHDTIHGLKLSMDIHRSETTKVWQYVRDKASQVENMRHGG -LATTQYVPQLLMNAVDAHDPTLSAALRLAGHNKPLLSNGVDAGIEQLHDTYVHYTVGQSRVTSVTAHPGN -LGLAGRWTWNCQPPTEINKKDWYFTQLPMVRSMITDMIKVLGNKGLETDRGKMLNALLQHNLKPMFNSTY -RNTAQIVNLGLQLAGLPLTELSTYATGESTVIFGLIPKQYIPSDVANWNDDLTVISYLGSQVDYAIRSEW -IQWLRVGGVYSCAGYTCHVAIKGELFFHSLVRVVLTKAACTLPRYHSNSYDQVKSNFVTYRVPILNITAF -RAGERGIFTSEVVPVPKKINRLLTLRASRPGTSWGDMLQYLRTLRYTSYYSATGVFKRDKSWIKQAIMWA -LAVYIHDAPFLQLIKDAANLAPTTGLSGNTTINVLVDRAGDFAKGLLADAIKSLNLDLSITEVATIAAKY -ADLLTNRGAQANELLGYMLDSSVSRTENQVTFTRHHNGAMREISTRTLDPITHAEDVMALHNTPQNVGGG -GLAIGRTVTIDHDYRTPSGGLAVCFPSGTANDRMAVMEVANALAPYVTLRVQATLTDRDLVKDATAGSNL -ETAANAWARNIASVSRHLKEHEGEKHGLVICSAFDVPSMYKCYLSGGILIRVSGMAMTPTNMIGVLGKPG -VGANSVGYPLNTLMCRSWFRADDQGVIGKFLEWVNQQVLYEILPEWCCSRHGKPYYGWHSDTTMKKGGCK -TEVFLYLKGSAQITESVLSRMGLTYSLYDPTMSDAHSVQHALDFSNPGAVNLMIHAGGVGTCQSCIDADI -PFVIVPEFGDQLDHSQWFHWATLQKLEELGINKYAEMHRHELIKKTSASRPEKVMGQLVAKTMQTLSETY -PENYPPYNDGSNDVKDELTTLWDMACQDEPNAAGHVKRVGSLMSSEYKETIEAKLMRTQDEVFRARARIG -AQVVLILINDRSTPKQYADGQLVVRINPTMKDATSHISIHCERSEEEWFRLSLTLMNFGATTMALGQQPN -AAIARWRNNNQPGLVGIIPKSAVDSSWITFIKSGAIHPRRGKYAASPIDLPHLVKVMCMIRSVHSSELGC -SYFLATSEALYIDEARANWVTLLPNVPSYQLSDGEVVMGGLFHPEGTFWTHRSGQKDDGQPDDDDDPDTG -NSDDGDSDSEDDTEYHDAQTDQAGRIQALAARYSSAEQQPSDDERVSGTEGPARFDQRAGALAVPPPPKS -NLTGLTEIEAIMSEVEQRREARHSGGSSSLRTCAEMRIGRLVTDPLDFFYGAAKLVAASAARLPGEPPSI -ALVPEIGPNGSLSLEGLFTLTLREVTSAIEGRDTMTIATFASLVSTMPDLEGRLQLLGTAEDKAQIRVGL -TVMALLRALSLSQAASYVSAVTWRSVINDVINSVAEKGMIEAFNVVCTDPYDPVRAEMYHGTNWLLDPTK -AAEAILDEYLSQGKYIHPGNIRAIRVSPELAMCFMLAVQTMQAVVASTEPGNDTVDPAVQLIQSISSGDH -DDNISEFIGQTNDTAVDELVDSFHINNNWVASKIRSAMYGFQRSVRIGKKFHPLRDHDGVEAIKALIISL -VQVRDGALACWAWCNPTVRTKLQSSHKIAALIIAKLGRPFMSLGSNHPEGTTLLQATSQDMSRITNACSD -ASAIGHARGMALMNRVVRLRMHVDGEVLFEVNDDDLATQEHADANNMRGTFLLTHGTYDGFVDGRDGSRT -ARLTVATFPEASCRGRVVDPVLSPKNQGGTVMISRFGGCDWQPASWSWPCHIAIAALQRISLQRPHTLPV -SDIGEEENDGEQRPTTEGSAMKNNKMVSWRDGVTAALPVSTPPTPRSNLEMLQLELDFTDEGTLSATDSN -NTTELLVLASHSMHNNRLLNVMLREHNELDALGVNTTLGNLYLIAWSELHATMTAQEIAGEERQLVDALM -AMARVLDGAGNDLMAAVEAYENNRLTALVLRAGETVSFAPAIIDICCSGLWSSKAVTRLELLQSLTGNSD -EQSESDEQMPPSDDINATHTSATSEDGEAFAPQALPDGWATLPWHVSHFINCLLAVTPSNKLALPLLIPC -VDHQTIQLVQNCDHPLSGNSCFIHLLNSISEETLPIVANIVRQMESDGQYNPLEMLELKTLVGDEFGLAG -SDPVSPRDGDLGERGNRPLDRVRRLRSTLKLVALRALESSGSSGLASGGRVPSRVERLADAPDPVSTTVA -RNKRVKVDLESFKGKATALTAVVDAVNSQGKVKYFSYGDLWGIPDCQWLRTLIDEANKNYTPFSHWNSTG -NVRIGWPNGAIQLIDSLENVPSGAWVCDGDSTPKEALVEMYHAYVQRQTGTPIAKNPRRLKKKADRVAAG -KHKLATAARKVAQQYENTTAMLLKEVDDGTISESVYPLAYHHPKYVQYENNAEFEAAELRRANNWIKRCR -NSRGGKYDLSWVVDLAEMIPPDWRQAFVDEFKGGVPTVTEKATAVMVQSIGPQPPHLDAVLTMFYDCVVA -CSEMAMPLNKLNRLVSRCWPRAEPLDFSEYDPSPFVDVDHRHEDAVAFLMLFNNKNHNQPAAHLVSGSNE -SVAPPSELGEPEELTVMSEELGEVTVANTTDNRGNYTRAQRLGNVSAYDLMWEALEPLNGVETPVEYLEW -GLFDKDSMLIYANANPPGVKYSMYGSFNADLLKMRKGLLRARSAAVPPYTNSDNASIWSYMVAKLQGDKT -KIRPKPATMVKFEVTEEEEEGNALELGDNSGEEQFWTSYRVTYDPPFSDECCSLCFNHLGLHLDRKPRHT -LGDIVIGAYNNKRNIGVINGEGVCTLTTFVTGWKTIYLLLQLGHCSVAEIAGNRVNAEPVFHKRPSALPI -AYCGNGGGVAYYASFIKQGVCTEHTHTPYQPLLNYLLNRTDTPAIVMEGLNLNASKAKAIRASSKGKNLW -AVRNRVNKPLVHLEIKPTDDGYITMEKLLPYRLYFIMDGELRPGFSLPVGATGYCNIYTGVAGNKPCSLI -IDGGTGFVDTQRPVSQVVGEPVDRALTHACRAHYQPDHGALALAPGPTRKLYVWDYDNRGHHMFDLRGYL -YTMPAENVIIPPGVSRQWMERMRGDQLAIRLSIKAGAETVCFECNSLDRKTTVKALRGMTNTAWSTRGDE -YSTKSLDDRQCTNLVMWLLHRFPKSKEGKLIRRLADGQTYSYTKIREVIGNDAVTELGLSQGQYVCHHEK -SIMNFGSTKGGPTLVEGYTFSQSGERLPDIDVMASAPQDNKSADHAEGATFFSEHKQTRMQDPWAVSVVR -ERPAGIIYLASGGGKTWLKTHNPDLFADSDDLVKVPAITNWESWTQGHWTARNEEVRAQMFENRGLCVGK -LLLVWHPDCVPSQWRGVKSLYIIINDDKGERLFNIGNQSLKTIIERRPRLPHAIHTREHNERVIHEYFDV -ANRRLQPIRALAMAHMQDVDYYDFESGFINPGAKPFIPFIPATPQNTNLLDAVDPQIDEVTVEAINMFEI -GDLSDWVERIAPRDDLVIKSREKPGQLGTTSKTVMTRYPVWSRPVFTKVVHSVFNAVSTRHGTVEHLIKH -KLDPKAELKRFAEAWFKPGWEESSKKMKSTPVGYDPQATKRWINERPDGVKVTDDLMEILDEGITHNPIN -AWKVHAKLESLLKEDPINAPQQQKVRIIVWQRYGYAAIFSPIFIEIKKRFKSMLADHVLYTDGYTPADLS -ARLRTVTGGNRFFESDASKQDRQVTHELSEIEYLIYDLLGMSTEALQLWKHGSVKWRFDGVNMSGHLDAR -MMTGSSATAIKNVITNLIIHADMMIKNREHIKIVLVLGDDNLMVVDTVLDLRGYKKKAAEYYNMHMTPHS -SEHYGTFCQMIAYFTPEGTAEIGPDYMRLTRRYEVTNGVSEASPENLTARAMSYAMWLGDIPEVQQLVEE -KGWPIKPMAWYSPSLCLRAVASKHDMSEGHVLARLAALIESMRNPRPTTWSWQHWTTLK - ->AOV81686.1 polyprotein, partial [Ceratobasidium endornavirus H] -LVVDEATGLTPTDLALVLTSNVEHLVLYGDPLQVGIVDMHNVHGHRVHYGCMNLSKNVHHHNMTYRIPIL -IGEEVRPMVPQLAYGHDRQGRIELKYSDSIDMELIFSAVREFKIQAIYVFYSTTANALTRALRGTDLQVV -VNKVHSAQGSEFDRVMVIQGGGVKAGAGLHLDKNYCFSAVSRTRELILWWSIGCHDKARLRDRVMGITTD -PRTFDRKAAKAALNYQMGAPGALTLAKEVMKAIENRHISTNYENPIPAKASPLLKYSLEKVHELLKQFLL -GRKGGDIKYIHTDDSAVIQVQPQGEPSFQFNIHPLRGIEHFPDDYAGPNLNALQRVLKETVDTDRFLLIN -KYSIEQFSREGHENFTSLFINFCTDMMNALSMTVVDATASDTEGVRATAVTISNDEVITLFITGNQFDIV -KTNEVEGGIEFEPLAVMESFVKYLEQLQAVLQDMNGSDMIASEDDRAMTRGTRHTLDPDAAIAAVRQRFS -IDDSISTDITCTESGVVKRYNVTASKSILGWPVQMLAAVVELHTLSGQVEVTHFKAHPMVKGLGYSVDGR -SFAALIATLTTARTVHLEVPPVSIPTLTVEDTEEPAIGPSLPAELFNQDTYTLLSMNPNRGTAGREEQPH -PNVRPDSLVWAYHATRLATRDILSNVGEYISQTQMGPFQPLLGVEAVNLALRVWTKAFTTVLPIKKKVYN -PNTQEETVWEWEITHAGDLSMFGLKKTTGMKVGICNDTEALAGPASVNGVECGCVEELEWKGVTRTGHSL -TKVWLSRNTVRLIRTVSFYSSLQLGRPLTITLDGVTFLAKTGGGCTACAGLVVTALNGDILLTVRKQYVQ -FFRRDVTIGQSDLALFVAAAFGFDVRVKHITSNNPLSESVMPEQLQRIRELGRTKMYASEVCSYLGRADD -EALVLADRLANFTMAIINRALDGDKATNLGRLMSRYAVDQQYLLKAIPDALNCLGLQTAEQDLANSHERF -DNYVIECRGDSYSVFVIAGTTLNSGDNLLMYNPAKKPGNSFDLDAYGETRPTYNCKNYQELLCIAVQRQR -DLISKYTPYFTDILEYYTSYGANYDLIPNLNISIKEHRSERTSVWDRVLEMAAKVQKTSKGGLRDLQYVP -ECFLESVNAHAPSMVRSLNMLAHNRPIISQSVDAGVEQLHNLYVRYTVGDQRVALVTAHPGNVILSGYWA -WQVQPPKDLLQRDWYMAQVNLLPAMVQSIINTLSSHDDTNEKAGFLKQVLSNNLKPLINSSNSNNCDIVN -LGLNLAGMSLRSVSDYMCGETTIVYGLLPRQSIPQSVATLSADGLSIMYAKSRIGYMIEPAMVAWARQGG -SYFLPNGVHCHIAIKGELFFHSLVRITLTKTKLPNPEYYVNTHDANSNDAVHYTIPVINITAFRAREEGI -FNNQQVRVPTKIQRLLSLRAARPNTNFHDMLQYLRTLRYTSYYSATGVFKRDKSWIKQAVLWALAVYLHE -GDFTNRLKELTGMLPGTQLSGNTTVNVLVSRLQDTAIGLMADVVKSLNLDLSITEVADVAAKYAALLTSR -GKEAQTLVTMMHNTSVQRLGSALQFMHHGETARPVMDRTLDPRLHIRQRVDEITRPTPAAGVISAQLEHS -GTTMFDVRSHSNGIHVYTLSGTPNDREVVRLAVANLNEFVSMQKLVTPMEQRDLAKAALAIRTLEGTLNE -IGTNIQHCKALVEGYNGPRVMLMVCSVFDIPSAYLAFKQGSTLIRVSGMRLDRTNLLSVLGLPGMASQYV -GLAPTTVMALGWFQLDNTPDLSKFLSWLDEQRIVEFLPNWCAKHHGAKTAGWAPVETSVTRPGTADTYLY -LKDGVDTTISQLNTAGISYTHHNPGTPALHATRHMLDIKSPGVTKVIIHSGGVGTIQMCIDNNMPMVIVP -AFGDQQQNATVFEWATMENLLKLGLNEYGNMCKTEYLKWKVPAEKDESWAALTVLELHARMPRKYFRSLP -DNQPAINELTDAYKAFIGAPPAHFASARDYLQSVLARHYAPRGVDQLDRVLSELRDIINRVSPTRTVIVP -PTTSRRSIDRELVIRINPTSATLNVDKYNINFFCDVDEVPFYCSLLLNAGLPGHVVQWGVGIIQMISVFD -SSTAVRNFTVSKGARGSTDISAFMHNPPPNSLSELSVYVSVSTDARLMGGIALFHHVGPEEAAVYMNSRG -RAMWHTNQGGYWRVDYPRCPVITVGGRPSTLDLRASRGRTGPASGDDDDDEHPEPGFDDKGGGPSGADDG -DDSDSDRSVYEDAESTPRTGASTHTMGAGGDGDHEQIEPENNLTVWEKFKLHFDKSSESLSGKPAPVGDI -RNTTPTDVVATAPIPQITVAEPPIFLAPMIVDALEGNNEEALRGLPGSASEIFETTPPSSPRFSWQLGSS -LRQWDLHHRVPAIVDGNLYDYGANGLRLWDATGHQNGMLREVTRLLSASTLREHSSKFNQGSLMTTILIH -ISFMAQWCNLTTIAGLSGAKAYSLAIDSTMSTLEEYGINGLVDDTIFDDAIDAIVEGYIWDDVTRPVRNY -THKEVTLELVTRAIIHRYTSIQHAREVRASIQEHHESMAVSRIRVWEIEGSPQWVAFNKLVNHPVTLTVG -AAAEEIYGFEEVLGEFVDSLFDHFTGPFEHRYNRKRLLTHRLESYINESPKDPNMVENLSNKTAAWMNTW -TRHGYLPIHAADDECPEGSIAIPGWAISDVDEIVAVLKNAIDNSVGRVSFKVKQQFGMIGTIDIQMPPRE -TEGLQLWMKLGAPVLEGRGALTHEEFIAVARSLGNNGPVGHGTNAAVDLSLSNWCDDSKWCGRGHRPQQP -ALTGMATEGADDEVVFSHEMEARGNSKYTLNDYGIELGAGVWSVKERDRYYNMNIIERAWSDSKNFIRDL -VLRGECTSESTTEDAGTPVGLIVRDGSRNVFVYREDAPMRWVIHKPQHPLLKHHSTCVTVNAPLGSPSAD -EAHRMPVLTGTEATYLNEAHVPTQRRSVQLSVHRAHAGIDPVWPYLGDRITSQFAEAVEVVASKGKATSP -QSLYNMAIERGAAALEMAPTALHIHLSEQEGWPMHDEQADNAPSNTLSQRFYDWISELKKSVDDSSAGSA -GMAASLQQRAFGQPMTAGDPNPGGPNLGTASFKGLASRNPSFARSLIQMAVATHGTWVLDTLPSSLEHLA -HEPIGTLPATAPAQGGTTHPGQPSGSPQPIKHTRGRRHGNERSKRSNAHSGRHKRAQVAPPAAKQAREVG -RRVAVYSTSRSQKVGTLHLEHYKRDAVATSEKCRDEQRSEAMHELRDAFNSMNYSTAKLASSILTGKGMI -DDDGWGALEAAYSGQSWAAPMVYAAGLAMEIKWSWNKLNRYARNWVKKMKKPAEAAATIAPPPMAPPSPP -KPGPGGKGAHTGSAPGTSAESELVSDTSTKRERVFEFREKVFDSQTSDAACVEILEEYLRGTDVNIGELT -ESEVEQVPDFMPGEESVPRKSQLRRLKKAHYALQSLHYRAKKEYNFNRFRSLVEMMDGGVPKQERTRRVT -FVTNEESDEEATIPSQGQYSEIECYATYNVVYNPPTPEGCVTACFKHFGYDVEYQRNHTLEQIYMLCYAM -GINLHYHNGTTATMVNMGRVKTVQFLLTDGHCACIELQKHSRPVTHDLQTNASCLPATTCKLVPSPSVMI -RDRKEGMCTGHTHHNVVAELDYMLRVTENKPLPLLTKANDMSSIRASSAGRNLGLIAARLNRPAYYTRLH -PRADGYLAEHELPPYRLVFVLDGGLVPGMTLPTGPTKFVNIYTGRRPQGSPSRVVIDAGTTWKVLKMPHA -TVISSPVDRYLSRECAEHYKPTEGQKAMAPGPTAKLYVWSYDNRRHHLYDLRGYFNSMPAEMLILPPSIT -TEQLQLIRDPPDGRRYCIHDGAFALSVDFLDMKPKQLTKWINRKTSIGARNVKVVGNSIIIKGAQPSLDI -ISNSLAHMFPNMDNGRLTRAFRDGETLTRDECKQVWGDEYDASIDWPEDTCLVQLTMDISQFGAETVDGH -RWSMIEGARPVFRTRGASSFVQGIQMAGDVRNDQKVENTPSVQSTFFSDHGKTRMGDIWATSMARKTPAG -IVYLASGGGKSWLKNNDPDTFTDADDMVKMPAITNWEAYTQGHWTARNEEVRRQMMQNAPLAAGKILLVW -HPDCVPAQWRGIKSLYIIIDDTEGERLFTIGNESLKALVARKPNLPHVVCKREENGKHLHTYFDLANKRL -QPVNAMLMVHMQDVNSYSFESTYINPGAKPLIPFLNANSVNVNMLTGVQPMEDEVTVEAINMFEIGDLSD -WVERKGPTDDMVIKSTEKPGQVGETSKNTLVRYPVWSRPVFTKVVHSTFNAVSTRHGTVEHLIKYQLDPK -KEVDTFTKAWFRTGWQEACEKMRQTPVSFNPQATKQWINERPDGVKVTNDLMQILEEGISSNPINAWKVH -PKLESLLKDEPINSPPEQKVRIIVWQRYGYAAIFSPIFIELKKRLKSLLAPHVLYTDGYTPADXPRAVKG -FSRFFESDASKQDRQVTHELSEIEYLLYEMMGMDPQALRMWKHAEVNWDAKSQGMRTQLDARMMTGSSAT -AIKNVITNLIIHGDMYLKNKDHIMLVLVLGDDNLMLVDTVLNLEGYRKKAAEYYNMHMTPKSNDNFGTFC -QMIAYKTAEGTAEMGPDFIRLARRFEVTNGVSEASDENMTARSMSYAMWLGAIPEVEQLVVEKNWPIKPL -AWYDPMRCAHAVAEKHDMTVPHVLNRLNKLIEMMGDPKPHTWTWQHWTPLK diff --git a/seq/clusters_seq/cluster_1122 b/seq/clusters_seq/cluster_1122 deleted file mode 100644 index c553369..0000000 --- a/seq/clusters_seq/cluster_1122 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009305142.1 nucleocapsid protein [Wutai mosquito phasivirus] -MDFKDMTAVEAETKLILDAIKERDFATTAISLIGDYEYQGLDVKAIIRTIYMRGAAKGLTPAIIRTDIWK -MILLFLCRGNNVDKMLARSKSDIATVIQGYKGTYELHDKVGRGGSDRLTLSRIASVFPGVTLTLLTHESI -SAHIPRAVSLSSTDFGEDFPKVMQTVIVASIFPKGALGVKLMKALLLYMIEENKLLSKNTSTKSDEAILT -EVIKFAKASFMSSVLPFSDRLIVAERRGLIVKDNVAGNILIATASFDRIHRSVDFTFVN - ->YP_009505329.1 nucleocapsid protein [Badu phasivirus] -MDFQNMTQIMAETRLILDSIEADNFRDTAIALIQSYEYQGLDVRSLIKTIYSRGLAAGRPVAGVREDIWK -MILLFLCRGNNISKMLTRSKDDIVVNIRAFKTNYQLQDKVGTGGSDRVTLSRVAAVFPGVTLKLLSDENI -SASIPRAVSLSIIDFGENFPKVMQTVIVASVFPKSSTGKTLMKALLLYMIEENKLLSRNTSTQNDNAILI -EVMKYAKASFMSTILPFDERLVAAERHHLIVQGSASAAIVPAANTFDRKYPNADLTFMN - ->YP_009505333.1 Nucleocapsid [Phasi Charoen-like phasivirus] -MAEIKDLNDVRMEMNALVARVSEDSFLTVLRSTLAEFEYQGLDVLGIVREIFRRGNAAGRSRLDIQNDVS -TMIILFLSRGNNVEKMLTRTNETGKTRITALRTIYNLANSVGRGGNTVVTLSRVAAVFPVGTLTILANET -VAVPRAVTLSVNDFGTDFPRQMQTVIAAAVFPRGTQGIQLMKALLLYLIEENKLLSTTSGSTDADILAKV -IPFARASFVSSIVPQSNRFQACLALRMLNDQNQLATEIVLPSNIFGQRFPSADLSFMV - diff --git a/seq/clusters_seq/cluster_1123 b/seq/clusters_seq/cluster_1123 deleted file mode 100644 index e8ca6bf..0000000 --- a/seq/clusters_seq/cluster_1123 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010086159.1 nonstructural protein [Silverwater virus] -MNFIALYPTGMTSETTLSRIYAITYEVKPTIWDFLENGQQPCFHDFTGSMSLILERSVGWVNVDVGLFET -MKTVKDKHRGDYGSLDLCCCWPFGRPSLALARIYHRMSVWDLPELVLTPRLMKSICRQTLQQSKKVSDQF -SLSDQIIMCYLKIKYRMKRFGLDHRMISGSCLLKDLLLCQLLTGQIILERRHKRIVQEACLKRCVKVLRK -GEQEAREMEKEKERMLLGLPLRPELFWTDERFLVNWLSTKWTKDWPTLEESFRVN - ->YP_009293593.1 nonstructural protein [Huangpi Tick Virus 2] -MNTIAIYPTGIVSETYLKKMFLIAYETRDTIWDFLEQGLQPCYIDLTGETTLINDLSSGWLSADCTLFEI -FQNATDSEFRSYSALPTACSWPFGRPSLALGRIYKRITVWDHPELVLTPDLVKSFCRQVLRQSKRQSNDM -PLSDQIILCYQKIKFRMIRFGLDYHLISGKCLMKDLFLCQLLTAQCILERQRLKCEQRFAVIVKERQRKE -TQETLSLIERERERMALGLPLNVSLFIGNPDFLRCWLDTKWTRDWPTVQESIIQ - ->YP_009551637.1 non-structural protein [Kaisodi virus] -MNTVAIYPTGILSPSSLTGIYLIVYVSKKTIWDFLDNGLQPCYHDLTGDVTLVEDLSSGWLQADCTLFEI -MKNASDQEFRSYSALPAACSWPFGRPSLALARLYKRITTWDSPEVVLTPKLMKSVCRQALQQSKKVSEEM -SLSDQIIQCYLKIKFRMIRCKLDYHLISGRCLLKDLFLCQLLTCGVHLAKLESKAIMRWRRVKRLKEKER -TEQVIRLIQEERERTLRDLPLRPELFLGDEQFLRCWLDVSWGADWPTLVLAAQGQMTH - diff --git a/seq/clusters_seq/cluster_1124 b/seq/clusters_seq/cluster_1124 deleted file mode 100644 index 9a1eb3c..0000000 --- a/seq/clusters_seq/cluster_1124 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_010085103.1 9.3K protein [Maguari virus] -MVRRYTSHSFQAQKCSWEHSNSTHWLSEFTRFRGRKWNLNIWRKQCDRGTWVLKQLHGQLAKSMKSRLHL -QLSLD - ->YP_009666868.1 hypothetical protein [Tensaw virus] -MVKKCTCHSFLDRKCSLEHLNSTHWQLAFTRCKGRRWNPNIWKKQCGRDTWAWRLLPGQSARLMKSSLHS -Q - ->sp|P16607.1|Y9KD_MAGV RecName: Full=Putative uncharacterized 9.3 kDa protein -MVRRYTSHSFQAQKCSWEHSNSTHWLSEFTRFRRRKWNLNIWRKQCDRGTWVLKQLHGQLAKSMKSRLHL -QLSLD - diff --git a/seq/clusters_seq/cluster_1125 b/seq/clusters_seq/cluster_1125 deleted file mode 100644 index bce2373..0000000 --- a/seq/clusters_seq/cluster_1125 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010088097.1 3C [tottorivirus A1] -GPYCQDLEMSFKKKSVLVAPCRRPDGRIFNTNMIGLKGRVVLWNFHLFNMAEEVEIDGEWYKLEDLETIR -VTSNGEPTDMVATRLPKGRPFQDISKYTTDDSPRYGAPIIGVCKSLDQNFAGVLRCHKDKVQLTGFQSTN -DVYTYQAATGPGYCGSPIFCQVGNGRYVVGMHCAGGTEIGVACRITRTLVEKVLESFQPPSFQ - ->YP_006846326.1 3C [Bovine hungarovirus 1] -GPMNFDLEKSLLARNIVTLHCRRKDGSEFETGALAVRGRLVVMNFHLWNDATHLQLDGEWMPRDTIPAVR -PAANGIPTELVFMNWAKTPGRQFRDITTYFPRSGEGHFKLSPAAKVTGICGHMQPSFMFQAESLGTAESA -KTWESVVPMVLKYKAQTAPGFCGSVVVVDNGIWKKVFGLHCAGAHGVGMAAIISREMVDAISQLAEFQ - ->NP_740358.1 3C protein [Teschovirus A] -GPKGQANMEMERTLMKKNIVEMTYEKHNGRFQTTTVLFVRDRIFLINTHILSSIKNFHYENTEIPAASVQ -KVQAIFDGHPSDVTAVQFTVGRQYRDITSNFIISLPNPGTPIVGLMKTEGSSYIWSGECLPFKNTMNTYE -GCVPHVLPYKAVTAHGYCGSVMVADAGVWKGICGIHSLGDGAIGAATVLSRQHLLNLLEGFLEFQ - diff --git a/seq/clusters_seq/cluster_1126 b/seq/clusters_seq/cluster_1126 deleted file mode 100644 index e1de8d5..0000000 --- a/seq/clusters_seq/cluster_1126 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_010088088.1 VP4 [tottorivirus A1] -GSGQSKQETGGTNNSGNHGVVNYNYYNTHYQNSMDLSSFGGDKHQNNYGHEGGTTNSEVQNTKQNLLQTG -LSAITQLIPLLA - ->YP_006846317.1 VP4 [Bovine hungarovirus 1] -GPGQSKQESGNTNNSGNHGVINYNFYNQQWQNSVDLEHAMENNATAYGGAGGGDSTHTTNRTENLLLSGL -QAVSNILPLLA - ->NP_740349.1 VP4 protein [Teschovirus A] -GTGTSRMENGNTNNSGNTGVINYNFYSNSYTDAVDLSGAMSSQESNAAENAASGPTSLLKAGINAAARIG -PLLA - diff --git a/seq/clusters_seq/cluster_1127 b/seq/clusters_seq/cluster_1127 deleted file mode 100644 index 37161a0..0000000 --- a/seq/clusters_seq/cluster_1127 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009337861.1 putative glycoprotein [Wenling crustacean virus 13] -MHSHVSIVHGGHEAGRMTLLPNQCLDMHKNMAYQHHGHMVLLDGPNTITDATITVAGSVDSSGWCEGSSF -SHRGSSYTSVVVVDTLTIKVKQVDALVQATSGEVHLPNGVSCLLGSKDCYHPIYGMAVIDETNIGGCGDD -SHEIIYEGPIEMVSMFGDSGEDERYFVVETPETVFALKRGKKIQVCRENAWSTEHPKLIVIPKPEWGFKF -TKRISGASSVHLIPYVNSKIQFLDLKVGQNMVRTKVELLEQICENSRKIVENRLMLAKLFPHQVASLHYN -KPGYMGRVSGEALHIIKCKPVSVSGRKAEGCYQGIPVTHKNESWFLLPITRILSRNAVETTCSRRLPNVY -LFGDSWWELGPEPRPAQPPKTMTVGSLLPQWGRTRTSPLGFGGLYPYRDMMAYEQALFGPVVTDTGIAIM -TRKMTGMASHDETFSSTKLFDQDDMGSFQDSLIEHNWGPLAWMGEILAEGAAVIVCIVLILLLLKLVVRV -YKLWRVSGAGPWLLWSILSVFTETYTAIKFITNLDKIPCSACHPCTCHTRTDSTQEIDSENGRLYPVFSH -ADIAVTNAEVA - ->YP_009337857.1 putative glycoprotein [Wenling crustacean virus 14] -MGACNLLALASSFLSLAVALPVTPPHHAADTQPPLVGYDCLADDVVMTTISLLDSPQCPDFLSQTSTPVS -FEAQIIQPKDTLTAHTKMCMVEYSRNIYHCGMHSHISLVHNGHTSGQIDLTPSKCLDTHRTYLYDHYGHK -LLLNGPDTVTHGTVTLAGYVSESGSCSGSSFSVGGTSYTDVVVLDEIVVTIKEVDAVVNTDTGKINLPNG -VVCAVGGPSCYHPIYGLSVVEDVDMSDCRASAYEVIYEGSVDQYTVLSTTGGSEKYVTVELPNTVFALRK -GKRVNVCRENAFVTEHPKIFIIPKQEWGYKFDKLASGSSSVHLVPYINSKVQFLDLKVGQSIVKAKAELM -SNICENSKRIVENRLMLAKLFPHQVASLHYNEPGYMGRVSGEALHIIKCKAVKVRGRPAEGCYQGIPVTY -KNESWFLLPITRILSKTSVETTCTPRLPNLFRLGERWWSLDPQPRLAEDPLIMTVGPFAPRWDEPIVSPL -GFGGLYPYQDLLAYEQALFSPVVADSGINNIMRKINGQNVSDRSFSSARIIGPEDVGGLQDSLVEHNWGP -LKWLGKMVIEGATFIFFFILALLLMKLAIRLYKLWRVSGFGPWLLWSILSVFTETYTTVKILSNIKDLPC -KKCHPCQCMPHSPCPDEGCEEQTTLYPNLPHQGLPDTDVHLTTTYTP - ->YP_009177714.1 glycoprotein [Tacheng Tick Virus 4] -MRALCGVGGVLILVVQSIMTAPNTTTRGSAVVTAFDCNQTTLDTVTYDLTRVAECPDISSRDISETTRLV -QVIQQKEYGVLRVYRCHVTVTPQIWHCGMHSHTSIVSAPFSAQPLDVSEQECRLMQMDGVYKTATGAIVR -LKRNTTSLHTVDVAGKVHPDGTCVGGSSHINGQPYYSVVHREAIQIRLEDKLVHYKIGDTIVVGFGGVTC -RLADISCHDFDVGRMYWTLDAAGCLDGKYDVLYTGDAAIIHTAHLGPNKEYMRVLRRASTPFQIFTPLLG -DDSACGVEVRTTPYAGLYVALYQSSPPFPIGPITYSNVDVVKYLEAKMVTFAYGSALTAREMVVNLERQQ -CSLHRETLLNRLHIVASTPSSATLLLDNEPGVFGRVAGEVVHLLRCPAVEVTLRRADHCSLEIPIRHLGR -DVYMEPRTRIITTEYTHRECGPLVPVMFRHGNQWVQFSPQYSPAPEPKKIHPMSPTLPSFERLVAYARGG -IYDPRDLQQLRDFILFSHKRDAIETNIVAGLSGQSAPKYDLTQVLNSGGWEELARDRLQQIWGKFQGLGK -IVNGLVGIYVCFVIVRITFGLILRTFTIYNTHGCTKLMLGVWSSALTHFLLSLAGRERQEPREESAGRYA -PVDQPRPPPEATEFPSSGQGSIYPPIGL - diff --git a/seq/clusters_seq/cluster_1128 b/seq/clusters_seq/cluster_1128 deleted file mode 100644 index 1c2701e..0000000 --- a/seq/clusters_seq/cluster_1128 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_010084019.1 putative coat protein [LeviOr01 phage] -MSRTIVLSVGGTSRTLTLDSISSNGTATFTEKAGPLVGRLQLQSRIRPNAAGTVLRASLKLSESQVSSDT -AITGDGLPKVQYVQVWSHDISVVVASSVEKRTSLADLTAALVATAEVRNMVINGADPAI - ->NP_042305.1 coat protein [Pseudomonas phage PP7] -MSKTIVLSVGEATRTLTEIQSTADRQIFEEKVGPLVGRLRLTASLRQNGAKTAYRVNLKLDQADVVDCST -SVCGELPKVRYTQVWSHDVTIVANSTEASRKSLYDLTKSLVATSQVEDLVVNLVPLGR - ->sp|P03630.1|COAT_BPPP7 RecName: Full=Coat protein -SKTIVLSVGEATRTLTEIQSTADRQIFEEKVGPLVGRLRLTASLRQNGAKTAYRVNLKLDQADVVDCSTS -VCGELPKVRYTQVWSHDVTIVANSTEASRKSLYDLTKSLVVQATSEDLVVNLVPLGR - diff --git a/seq/clusters_seq/cluster_1129 b/seq/clusters_seq/cluster_1129 deleted file mode 100644 index 8e0a931..0000000 --- a/seq/clusters_seq/cluster_1129 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009342303.1 hypothetical protein 3 [Wenzhou tombus-like virus 9] -MGMSNTSSSPNMQGQRDGSTRVRHREFITNVGAEFGFDTKLYTTGINPGDGKTFPWLSQLAKGYERYHVN -SMRFEYEPFSATTTSGTVSLLVDYDPTDPGPRSKSELLNSYRAVRSSIWMSSHTILSKAELDRDDHLFVR -TTSRDLMSENLKLYDVGTLFCAFTDIADVSTLHGELWVSYDITLMIPSFHLSSINNAEVNALIVTDSRYL -GRIDPDSTANEKGSSLSYSTHQIDDNAYIEFNEPFSGMVQVSTRTVSGDLFQIEPTLSPAAPISRLAKIG -NAVSYFVSNIDTWLHTVEVVADAGERLAWRIVDQTAAWAGSLDLLFTEYAPALMGTLLALRTDEAELTAR -LQSDHLIGRLPAPSSWTDWRTQHGIPNSTTTETH - ->YP_009336828.1 hypothetical protein 3 [Beihai tombus-like virus 3] -MRRKTNNARRVSAPIANGLRTSGGRPQMTPAGAGSTRVRHREFVQNITSDHTFGSELLALGINVGDTKTF -PWLSKIANGYERYCVHSMTISYEPFVSTTETGAILMLADYDPNDPPPTSKSNMLNSMGASRSAVWMNTTM -PLDRKELSYDDHLFVRHQTRETLTENLKLYDVGTVFVGVTDNEPVSGTKQYGEVWITYDVTLMVPAFHTS -NPNSAETKVNGAIAPDFLGGIQSSNRAAMKDGSAVNFSTAHNGDDQVAITFHEPFTGLLYMEQSGYALDD -TTHVQLEPETSPTDGWISKLAKLGGITADYFFQENTWKYLMEVVADAGESIVFSALGVGAADIATWIGEV -AWLLAPYAETLMFAPLLVLNKASAIDVRARLQARSLNGILPANEVLPRLDWVLQQSNQDPEE - ->YP_009330016.1 hypothetical protein 3 [Beihai tombus-like virus 4] -MAVGLGWRVIACNRLRYLCLLNFCLTRNTNNKRNTNRSQRVAAPVATGLRTSGGRPQTTPAGAGAIRVRH -REFIKNITSEHTFENGVLELGINAGDTEMFPWLSNIANGYERYCVNSMSISYEPFVSTTESGAVIMQVDY -DPADEPPISKSHMLNSLGATRSAVWMRSAMALNRKELSYDDHLFVRHAVRAGFSENLKLYDVGTVFIALT -DVPAPTTLSYGEVWVTYDITLMVPAFHKQEPNAAESKVNQSNYFDILGTISSDRPNTMLQGSSINFTTDS -NNAGECAITFQEPFTGLLYLEQSGYAEDSITHLELEPTTTPADNWITKLARLGGGVIDYILLDNKWKYLI -EVVADAGESIVFDALAVGAGDLTTWIGETAMALSPYAEALMLPLIGLRASDQNEIRRRIQSRGKHNLIPA -GDVVDRSTWVQSLVSGTQVEEE - diff --git a/seq/clusters_seq/cluster_113 b/seq/clusters_seq/cluster_113 deleted file mode 100644 index aa21744..0000000 --- a/seq/clusters_seq/cluster_113 +++ /dev/null @@ -1,342 +0,0 @@ ->YP_009118627.1 neuraminidase [Influenza A virus (A/California/07/2009(H1N1))] -MNPNQKIITIGSVCMTIGMANLILQIGNIISIWISHSIQLGNQNQIETCNQSVITYENNTWVNQTYVNIS -NTNFAAGQSVVSVKLAGNSSLCPVSGWAIYSKDNSVRIGSKGDVFVIREPFISCSPLECRTFFLTQGALL -NDKHSNGTIKDRSPYRTLMSCPIGEVPSPYNSRFESVAWSASACHDGINWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNNILRTQESECACVNGSCFTVMTDGPSNGQASYKIFRIEKGKIVKSVEMNAPNYHYEECS -CYPDSSEITCVCRDNWHGSNRPWVSFNQNLEYQIGYICSGIFGDNPRPNDKTGSCGPVSSNGANGVKGFS -FKYGNGVWIGRTKSISSRNGFEMIWDPNGWTGTDNNFSIKQDIVGINEWSGYSGSFVQHPELTGLDCIRP -CFWVELIRGRPKENTIWTSGSSISFCGVNSDTVGWSWPDGAELPFTIDK - ->YP_308668.1 neuraminidase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MNPNQKIITIGSICMVVGIISLMLQIGNIISIWVSHSIQTGNQHQAEPCNQSIITYENNTWVNQTYVNIS -NTNFLTEKAVASVTLAGNSSLCPISGWAVHSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPHRTLMSCPVGEAPSPYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNNILRTQESECACVNGSCFTVMTDGPSNGQASYKIFKMEKGKVVKSVELNAPNYHYEECS -CYPDAGEITCVCRDNWHGSNRPWVSFNQNLEYQIGYICSGVFGDNPRPNDGTGSCGPVSPNGAYGVKGFS -FKYGNGVWIGRTKSTNSRSGFEMIWDPNGWTGTDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRP -CFWVELIRGRPKESTIWTSGSSISFCGVNSDTVGWSWPDDAELPFTIDK - ->NP_040981.1 neuraminidase [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MNPNQKIITIGSICLVVGLISLILQIGNIISIWISHSIQTGSQNHTGICNQNIITYKNSTWVKDTTSVIL -TGNSSLCPIRGWAIYSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDRHSNGTVKDRSPY -RALMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNGIITETIKSWRKKILR -TQESECACVNGSCFTIMTDGPSDGLASYKIFKIEKGKVTKSIELNAPNSHYEECSCYPDTGKVMCVCRDN -WHGSNRPWVSFDQNLDYQIGYICSGVFGDNPRPKDGTGSCGPVYVDGANGVKGFSYRYGNGVWIGRTKSH -SSRHGFEMIWDPNGWTETDSKFSVRQDVVAMTDWSGYSGSFVQHPELTGLDCIRPCFWVELIRGRPKEKT -IWTSASSISFCGVNSDTVDWSWPDGAELPFTIDK - ->sp|B3EUQ9.1|NRAM_I61A1 RecName: Full=Neuraminidase -MNTNQRIITIGTICLIVGIISLLLQIGNIISLWISHSIQTREKSHPEVCNQSIITYENNTWVNQTYVNIS -NANIVAEQGVTSIILAGNSSLCPISGWAIYSKDNSIRIGSKGDIFVIREPFISCSHLECRTFFLTQGALL -NDRHSNGTVKDRSPYRTLMSCPIGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNKILRTQESECVCINGSCFTIMTDGPSNGQASYKIFKMEKGRIIKSIELDAPNYHYEECS -CYPDTGKVVCVCRDNWHASNRPWVSFDQNLDYQIGYICSGVFGDNPRSNDGKGNCGPVLSNGANGVKGFS -FRYGNGVWIGRTKSISSRSGFEMIWDPNGWTETDSSFSMKQDIIALTDWSGYSGSFVQHPELTGMDCIRP -CFWVELIRGQPKENTIWTSGSSISFCGVNSGTANWSWPDGADLPFTIDK - ->sp|Q809V4.2|NRAM_I01A1 RecName: Full=Neuraminidase -MNPNQKIMTIGSICMVIGMISLVLQIGNMISIWASHSIQKMNQHQTEPCNQSIITYENNTWVNQTYVNIS -NTNFLTEKVVASIALSGNSSLCPISGWAVYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGSLL -NDKHSNGTVKDRSPYRTLMSCPVGEAPSPYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNSILRTQESECACVNGSCFTVMTDGPSNGQASYKIFKIEKGKVVKSVELNAPNYHYEECS -CYPDAGEITCVCRDNWHGSNRPWVSFDQNLEYQIGYICSGVFGDNPRPNDGTGSCDPVLPNGAYGVKGFS -FKYGDGVWIGRTKSTNSRSGFEMIWDPNGWTGTDSNFSLKQDIVAMTDWSGYSGSFVQHPEMSGLDCIRP -CLWVEPIR - ->sp|P03470.2|NRAM_I33A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIISIWISHSIQTGNQNHTGICNQGIITYNVVAGQDSTSVILT -GNSSLCPIRGWAIHSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPYR -ALMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNGIITETIKSWRKKILRT -QESECTCVNGSCFTIMTDGPSNGLASYKIFKIEKGKVTKSIELNAPNSHYEECSCYPDTGKVMCVCRDNW -HGSNRPWVSFDQNLDYQIGYICSGVFGDNPRPKDGPGSCGPVSADGANGVKGFSYRYGNGVWIGRTKSDS -SRHGFEMIWDPNGWTETDSRFSVRQDVVAMTDRSGYSGSFVQHPELTGLDCMRPCFWVELIRGRPEEETI -WTSGSIISFCGVNSDTVDWSWPDGAELPFTIDK - ->sp|Q9IGQ6.1|NRAM_I18A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIISIWVSHSIQTGNQNHPETCNQSIITYENNTWVNQTYVNIS -NTNVVAGQDATSVILTGNSSLCPISGWAIYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRTLMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNNILRTQESECACVNGSCFTIMTDGPSNGQASYKILKIEKGKVTKSIELNAPNYHYEECS -CYPDTGKVMCVCRDNWHGSNRPWVSFDQNLDYQIGYICSGVFGDNPRPNDGTGSCGPVSSNGANGIKGFS -FRYDNGVWIGRTKSTSSRSGFEMIWDPNGWTETDSSFSVRQDIVAITDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGQPKENTIWTSGSSISFCGVNSDTVGWSWPDGAELPFSIDK - ->sp|P11485.1|NRAM_I83A1 RecName: Full=Neuraminidase -MNPNQKIITIGSICMTIGIISLILQIGNIISIWVSHSIQTGSQNHTGICNQRIITYENSTWVNQTYVNIN -NTNVVAGKDTTSVTLAGNSSLCPIRGWAIYSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPIGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDDGAVAVLKYNG -IITETIKSWRKRILRTQESECVCVNGSCFTIMTDGPSNGPASYRIFKIEKGKITKSIELDAPNSHYEECS -CYPDTGTVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGKGSCDPVTVDGADGVKGFS -YRYGNGVWIGRTKSNSSRKGFEMIWDPNGWTDTDSNFLVKQDVVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPREKTTIWTSGSSISFCGVNSDTANWSWPDGAELPFTIDK - ->sp|A8C8W6.1|NRAM_I67A2 RecName: Full=Neuraminidase -MNTNQRIITIGTICLIVGIISLLLQIGNIISLWISHSIQTREKNHPEVCNQSVITYENNTWVNQTYVNIS -NANIVAGQGVTSIILAGNSPLCPISGWAIYSKDNSIRIGSKGDIFVMREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRTLMSCPIGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNKILRTQESECVCINGSCFTIMTDGPSNGQASYKIFKMEKGRIIKSIELDAPNYHYEECS -CYPDTGKVVCVCRDNWHASNRPWVSFDQNLNYQIGYICSGVFGDNPRSNDGKGNCGPVLSNGANGVKGFS -FRYGNGVWIGRTKSISSRSGFEMIWDPNGWTETDSSFSMKQDIIASTDWSGYSGSFVQHPELTGMDCIRP -CFWVELIRGQPKESTIWTSGSSISFCGVNSGTANWSWPDGADLPFTIDK - ->sp|A4GCI9.1|NRAM_I36A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIISIWISHSIQTGSQNHTGICNQNIITYKNSTWVNQTYVNIS -NTNVVAGQDTTSVILTGNSSLCPIRGWAIYSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDRHSNGTVKDRSPYRALMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITETIKSWRKKILRTQESECACVNGSCFTIMTDGPSNGLASYKIFKIEKGKVTKSIELNAPNSHYEECS -CYPDTGKVMCVCRDNWHGSNRPWVSFDQNLDYQIGYICSGVFGDNPRPKDGTGSCGPVYVDGANGVKGFS -YRYGNGVWIGRTKSNSSRHGFEMIWDPNGWTETDSKFSVRQDVVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPKENTIWTSGSSISFCGVNSDTVDWSWPDGAELPFTIDK - ->sp|A4GCM2.1|NRAM_I35A3 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIISIWISHSIQTGSQNHTGICNQSIITYKNSTWVNQTYVNIS -NTNVVAGQDTTSVILTGNSSLCPIRGWAIYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNEAVAVLKYNG -IITETIKSWRKKILRTQESECACVNGSCFTIMTDGPSDGLASYKIFKIEKGKVTKSIELNAPNSHYEECS -CYPDTGKVMCVCRDNWHGSNRPWVSFDQNLDYQIGYICSGVFGDNPRPKDGTGSCGPVYVDGANGVKGFS -YRYGNGVWIGRTKSTSSRHGFEMIWDPNGWTETDSKFSVRQDVVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGQPKEKTIWTSGSSISFCGVNSDTVDWSWPDGAELPFTIDK - ->sp|B4URD9.1|NRAM_I06A0 RecName: Full=Neuraminidase -MNPNQKIITIGSISIAIGIISLMLQIGNIISIWASHSIQTGSQNHTGICNQRIITYENSTWVNHTYVNIN -NTNVVAGKDKTSVTLAGNSSLCSISGWAIYTKDNSIRIGSKGDVFVIREPFISCSHLECKTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPLGEAPSPYNSKFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITGTIKSWKKQILRTQESECVCMNGSCFTIMTDGPSNGAASYKIFKIEKGKVTKSIELNAPNFHYEECS -CYPDTGTVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDREGSCNPVTIDGADGVKGFS -YKYGNGVWIGRTKSNRLRKGFEMIWDPNGWTNTDSDFSVKQDVVAITDWSGYSGSFVQHPELTGLDCIRP -CFWVELVRGLPRENTTIWTSGSSISFCGVNSDTANWSWPDGAELPFTIDK - ->sp|Q809V0.2|NRAM_I01A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVIGIVSLMLQIGNIISIWVSHSIQTGNQHQAEPIGNTNFLTEKAVASVTLAGNSS -LCPISGWAVHSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPHRTLMS -CPVGEAPSPYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNGIITDTIKSWRNNILRTQESE -CACVNGSCFTVMTDGPSNGQASYKIFKMEKGKVVKSVELDAPNYHYEECSCYPDAGEITCVCRDNWHGSN -RPWVSFNQNLEYQIGYICSGVFGDNPRPNDGTGSCGPVSPNGAYGVKGFSFKYGNGVWIGRTKSTNSRSG -FEMIWDPNGWTGTDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRPCFWVELIRGRPKESTIWTSG -SSISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|Q809U7.2|NRAM_I01A3 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVIGIVSLMLQIGNIISIWVSHSIQTGNQHQAEPCNQSIITYENNTWVNQTYVNIS -NTNFLTEKAVASVTLAGNSSLCPISGWAVYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRTLMSCPVGEAPSPYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNNILRTQESECACVNGSCFTVMTDGPSNGQASYKIFKIEKGKVVKSVELNAPNYHYEECS -CYPDAGEITCVCRDNWHGSNRPWVSFNQNLEYQIGYICSGVFGDNPRPNDGTGSCGPVSPNGAYGIKGFS -FKYGNGVWIGRTKSTNSRSGFEMIWDPNGWTGTDSNFSVKQDIVAITDWSGYSGSFVQHPELTGVDCIRP -CFWVELIRGRPKESTIWTSGSSISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|Q6DPI6.2|NRAM_I02A7 RecName: Full=Neuraminidase -VIGIVSLMLQIGNIISIWVSHSIQTGNQHQAEPCIQSIITYENNTWVNQTYVNISNTNFLTEKTVASVTL -AGNSSLCPISGWAVYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPH -RTLMSCPVGEAPSPYNSRFESVAWSASACHDGTNWLTIGISGPDNGAVAVLKYNGIITDTIKSWRNNILR -TQESECACVNGSCFTVMTDGPSNGQASYKIFRIEKGKVVKSVELNAPNYHYEECSCYPDAGEITCVCRDN -WHGSNRPWVSFNQNLEYQIGYICSGIFGDNPRPNDGTGSCGPVSSNGAYGIKGFSYKYGNGVWIGRTKST -NSRSGFEMIWDPNGWTGTDSNFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRPCFWVELIRGRPKEST -IWTSGSSISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|Q6DPK0.2|NRAM_I02A3 RecName: Full=Neuraminidase -MNPNRKIITIGSICMVIGIVSLMLQIGNIISIWVSHSIQTENHHQAEPISNTNFLTEKAVASVTLAGNSS -LCPISGWAIHSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTAKDRSPHRTLMS -CPVGEAPSPYNSRFESVAWSASACHDGTSWLTIAISGPDNGAVAVLKYNGIITDTIKSWRNNILRTQESE -CACVNGSCFTVMTDGPSNGQASYKIFKMEKGKVVKSVELDAPNYHYEECSCYPDAGEVTCVCRDNWHGSN -RPWVSFNQNLEYQIGYICSGVFGDNPRPNDGTGSCGPMSLNGAYGVKGFSFKYGNGVWIGRTKSTNSRSG -FEMIWDPNGWTGTDSNFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRPCFWVELIRGRPKESTIWTSG -SSISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|Q6DPK1.2|NRAM_I02A2 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVIGIVSLMLQIGNIISIWVSHSIQTGNQHQAEPISNTNFLTEKAVASVTLAGNSS -LCPISGWAVHSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPHRTLMS -CPVGEAPSPYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNGIITDTIKSWRNNILRTQESE -CACVNGSCFTVMTDGPSNGQASYKIFKMEKGKVVKSVELDAPNYHYEECSCYPDAGEITCVCRDNWHGSN -RPWVSFNQNLEYQIGYICSGVFGDNPRPNDGTGSCGPMSPNGAYGVKGFSFKYGNGVWIGRTKSTNSRSG -FEMIWDPNGWTGTDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRPCFWVELIRGRPKERTIWTSG -SSISFCGVNSDTVAWSWPDGAELPFTIDK - ->sp|P03469.2|NRAM_I77AB RecName: Full=Neuraminidase -MNPNQKIITIGSICMAIGIISLILQIGNIISIWVSHSIQTGSQNHTGICNQRIITYENSTWVNQTYVNIS -NTNVVAGKDTTSMTLAGNSSLCPIRGWAIYSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPIGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDDGAVAVLKYNG -IITETIKSWRKQILRTQESECVCVNGSCFTIMTDGPSDGPASYRIFKIEKGKITKSIELDAPNSHYEECS -CYPDTGTVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGKGSCDPVNVDGADGVKGFS -YRYGNGVWIGRTKSNSSRKGFEMIWDPNGWTDTDSNFLVKQDVVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPREKTTIWTSGSSISFCGVNSDTVNWSWPDGAELPFTIDK - ->sp|Q76WJ1.1|NRAM_I76A7 RecName: Full=Neuraminidase -MNTNQRIITIGTICLIVGIISLLLQIGNIILLWMSHSIQTGEKSHPKVCNQSVITYENNTWVNQTYVNIS -NTNIAAGQGVTPIILAGNSSLCPISGWAIYSKDNSIRIGSKGDIFVMREPFISCSHLECRTFFLTQGALL -NDRHSNGTVKDRSPYRTLMSCPIGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNKILRTQESECVCINGSCFTIMTDGPSNGQASYKLFKMEKGKIIKSIELDAPNYHYEECS -CYPDTGKVVCVCRDNWHASNRPWVSFDQNLDYQIGYICSGVFGDNPRSNDGKGNCGPVLSNGANGVKGFS -FRYGNGVWIGRTKSISSRRGFEMIWDPNGWTETDSSFSMKQDIIALTDWSGYSGSFVQHPELTGMNCIRP -CFWVELIRGQPKESTIWTSGSSISFCGVNSGTASWSWPDGADLPFTIDK - ->sp|Q2LFV4.1|NRAM_I05A1 RecName: Full=Neuraminidase -TIGSICMVIGIVSLMLQIGNMISIWVSHSIQTGNQNQVEPISNTNFLTEKAVASVTLAGNSSLCPIRGWA -VHSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPHRTLMSCPVGEAPS -PYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNGMITDTIKSWRNNILRTQESECACVNGSC -FTVMTDGPSNGQASYKIFKMEKGKVVKSVELDAPNYHYEECSCYPDAGEITCVCRDNWHGSNRPWVSFNQ -NLEYQIGYICSGVFGDNPRPNDGTGSCGPVSPNGAYGVKGFSFKYGNGVWIGRTKSPNSRSGFEMIWDPN -GWTETDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRPCFWVELIRGRPKESTIWTSGSSISFCGV -NSDTVSWSWPDGAELPFTIDK - ->sp|Q9W7Y7.2|NRAM_I97A1 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLMLQIGNIISVWVSHIIQTWHPNQPEPCNQSINFYTEQAAASVTLAGNS -SLCPISGWAIYSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPYRTLM -SCPVGEAPSPYNSRFESVAWSASACHDGISWLTIGISGPDNGAVAVLKYNGIITDTIKSWRNNILRTQES -ECACVNGSCFTVMTDGPSNEQASYKIFKIEKGRVVKSVELNAPNYHYEECSCYPDAGEITCVCRDNWHGS -NRPWVSFNQNLEYQIGYICSGVFGDSPRPNDGTGSCGPVSLNGAYGVKGFSFKYGNGVWIGRTKSTSSRS -GFEMIWDPNGWTETDSSFSLKQDIIAITDWSGYSGSFIQHPELTGLNCMRPCFWVELIRGRPKEKTIWTS -GSSISFCGVNSDTVGWSWPDGADLPFTIDK - ->sp|Q6DPH9.1|NRAM_I03A1 RecName: Full=Neuraminidase -MNPNQKIIAIGSICMVIGIVSLMLQIGNMISIWISHSIQTGNQRQAEPISNTKVLNEKAVASVTLAGNSS -LCPISGWAVHSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPHRTLMS -CPVGEAPSPYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNGIITDTIKSWRNNILRTQESE -CACVNGSCFTVMTDGPSNGQASYKIFKMEKGKVVKSVELDAPNYHYEECSCYPDAGEITCVCRDNWHGSN -RPWVSFNQNLEYQIGYICSGVFGDNPRPNDGTGSCGPVSPNGAYGVKGFSFKYGNGVWIGRTKSTNSRSG -FEMIWDPNGWTGTDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRPCFWVELIRGRPKESTIWTSG -SSISFCGVDSDTVGWSWPDGAELPFTIDK - ->sp|Q8JSD9.1|NRAM_I47A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIVSIWISHSIQTGNQNHTGTCDQSIITYKNSTWVNQTYVNIS -NTNVVAGKDTTSVILAGNSSLCPIRGWAIYSKDNGVRIGSKGDVFVIREPFISCSHLECKTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDDGAVAVLKYNG -IITETIKSWRKEILRTQESECVCVNGSCFTIMTDGPSGGPASYKIFKIEKGKVTKSIELDAPNSHYEECS -CYPDTSKVMCVCRDNWHGSNRPWVSFDQNLDYQMGYICSGVFGDNPRPKDGKGSCGPVNVDGADGVKGFS -YRYGNGGWIGRTKSNSSRKGFEMIWDPNGWTDPDSNFLVKQDIVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPKENTIWTSGSSISFCGVNSDTVDWSWPDDAELPLNIDK - ->sp|Q710U6.1|NRAM_I59A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMIVGIISLILQIGNIISIWVSHSIQTGNQNQPEICNQSIITYENNTWVNQTYVNIS -NTNFVTEQALAPVALAGNSSLCPISGWAIYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRTLMSCPIGESPSPYNSRFESVAWSASACHDGIGWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNNILRTQESECACMNGSCFTIMTDGPSNGQASYKIFKIEKGKVVKSVELNAPNYHYEECS -CYPDAGEIMCVCRDNWHGSNRPWVSFNQNLEYQIGYICSGVFGDNPRPNDGAGSCGPVSSNGAYGVKGFS -FKYGKGVWIGRTKSTSSRSGFEMIWDPNGWTETDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPKENTIWTSGSSISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|Q6J8E4.1|NRAM_I03A0 RecName: Full=Neuraminidase -MNPNQKITTIGSICMVIGIVSLMLQIGNIISIWVSHSIQTGNQHQAEPCNQSIITYENNTWVNQTYVNIS -NTNFLTEKAVASVTLAGNSSLCPISGWAVYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPHRTLMSCPVGEAPSPYNSRFESVAWSASACHDGTSWLTIGISGPDNGAVAVLKYNG -IITDTIKSWRNNIMRTQESECACVNGSCFTVMTDGPSNGQASYKIFRIEKGKVVKSAELNAPNYHYEECS -CYPDAGEITCVCRDNWHGSNRPWVSFNQNLEYRIGYICSGVFGDNPRPNDGTGSCGPVSPKGAYGIKGFS -FKYGNGVWIGRTKSTNSRSGFEMIWDPNGWTGTDSNFSVKQDIVAITDWSGYSGSFVQHPELTGLDCIRP -CFWVELIRGRPKESTIWTSGSSISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|P03477.2|NRAM_I68A3 RecName: Full=Neuraminidase -MNPNQKIITIGSASIVLTTIGLLLQITSLCSIWFSHYNQVTQPHEQACSNNTTNYYNETFVNVTNVQNNY -TTIIEPSAPNVVHYSSGRDLCPVKGWAPLSKDNGIRIGSRGEVFVIREPFISCSISECRTFFLTQGALLN -DKHSNGTVKDRSPFRTLMSCPMGVAPSPSNSRFESVAWSATACSDGPGWLTLGITGPDATAVAVLKYNGI -ITDTLKSWKGNIMRTQESECVCQDEFCYTLITDGPSNAQAFYKILKIRKGKIVSVKDVNATGFHFEECSC -YPSGTDVECVCRDNWRGSNRPWIRFNSDLDYQIGYVCSGIFGDNPRPVDGIGSCNSPVNNGKGRYGVKGF -SFRYGDGVWIGRTKSLESRSGFEMVWDANGWVSTDKDSNGVQDIIDNNNWSGYSGSFSIRWETTGRNCTV -PCFWVEMIRGQPKEKTIWTSGSSIAFCGVNSDTTGWSWPDGALLPFDIDK - ->sp|Q64968.1|NRAM_I34A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMGIGIISLILQIGNIISMWVSHSIQTENQNHHEACNPSIAGQDAASVALAGNSSLC -PISGWAIYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALLNDKHSNGTVKDRSPYRTLMSCP -VGEAPSPYNSRFVSVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNGIITDTIKSWKNNILRTQESECA -CINGSCFTIMTDGPSNGQASYKIFKIEKGKVVKSSELNAPNYHYEECSCYPDAGEVMCVCRDNWHGSNRP -WVSFNKNLDYQIGYICSGVFGDNPRPNDGTGSCGPVSSNGAYGIKGFSFKYGNGVWIGRTKSTSSRSGFE -MIWDPNGWTETDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCMRPCFWVELIRGRPNHNTIWTSGSS -ISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|P06819.1|NRAM_I73A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIISIWVSHSIQTGNQNQPETCNQSIITYENNTWVNQTYVNIS -NTNFVAEQAVAPVALAGNSSLCPISGWAIYSKDNGIRIGSRGDVFVIREPFISCSHLECRTLFLTQGALL -NDKHSNGTVKDRSPYRTLMSCPVGEAPSPYNSRFESVAWSASACHDGISWLTVGISGPDNGAVAVLKYNG -IITDTIKSWRNNILRTQESECACVNGSCFTVMTDGPSNGQASYKIFKIEKGKVVKSVELNAPNYHYEECS -CYPDAGEITCVCRDNWHGSNRPWVSFNQNLEYQIGYICSGVFGDNPRPNDGTGSCGPVSSNGAYGVKGFS -FKYGNGVWIGRTKSTSSRSGFEMVWDPNGWTETDSSFSVKQDIVAITDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPKENTIWTSGSSISFCGVNSDTVGWSWPDGAELPFTIDK - ->sp|A3DRP3.1|NRAM_I96A2 RecName: Full=Neuraminidase -MNPNQKIITIGSISIAIGIISLILQIGNIISIWASHSIQTGSQNHTGICNQRIITYENSTWVNQTYVNIN -NTNVVAGKDKTSMTLAGNSSLCPIRGWAIYTKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPLGEAPSPYNSRFESVAWSASACHDGLGWLTIGISGPDNGAVAVLKYNG -IITETIKSWKKRILRTQESECVCMNGSCFTIMTDGPSNGAASYRIFKIEKGRVTKSIELDAPNYHYEECS -CYPDTGTVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGEGSCNPVTVDGADGVKGFS -YRYGNGVWIGRTKSNRLRKGFEMIWDPNGWTDTDSDFSMKQDIVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELVRGLPRENTTIWTSGSSISFCGVNSDTANWSWPDGAELPFTIDK - ->sp|A4K146.1|NRAM_I54A2 RecName: Full=Neuraminidase -MNPNQKIITIGSICMTIGTISLILQIGNIISIWISHSIQTGSQNHTGICNQRIITYENNTWVNQTYVNIS -NTNVVAGKDTTSMILAGNSSLCPIRGWAIYSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPIGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDDGAVAVLKYNG -IITEIIKSWRKQILRTQESECVCVNGSCFTIMTDGPSDGPASYRIFKIEKGKITKSIELDAPNSHYEECS -CYPDTGKVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGKGSCDPVNVDGADGVKGFS -YRYGDGVWIGRTKSNSSRKGFEMIWDPNGWTDTDDNFLVKQDVVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPREKTTIWTSGSSISFCGVNSDTVNWSWPDGAELPFTIDM - ->sp|A4U7A9.1|NRAM_I51A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMAIGTISLILQIGNIISIWVSHSIQTGSQNHTGICNQRIITYENNTWVNQTYVNIS -NTNVVAGKDTTSMILAGNSSLCPIRGWAIYSKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPIGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDDGAVAVLKYNG -IITEIIKSWRKQILRTQESECVCVNGSCFTIMTDGPSDGPASYRIFKIEKGKITKSIELDAPNSHYEECS -CYPDTGKVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGKGSCDPVNVDGADGVKGFS -YRYGNGVWIGRTKSNSSRKGFEMIWDPNGWTDTDGNFLVKQDVVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPREKTTIWTSGSSISFCGVNSDTVNWSWPDGAELPFTIDK - ->sp|A4U6V5.1|NRAM_I45A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIISIWISHSIQTGSQNHTGICNQSIITYKNSTWVNQTYVNIS -NTNVVAGKGTTPVILAGNSSLCPIRGWAIYSKDNGIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPVGEAPSPYNSRFESVAWSACACHDGMGWLTIGISGPDDEAVAVLKYNG -IITETIKSWRKKILRTQESECVCVNGSCFTIMTDGPSDGPASYKIFKIEKGKVTKSIELDAPNSHYEECS -CYPDTGKVMCVCRDNWHGSNRPWVSFDQNLDYQIGYICSGVFGDNPRPKDGKGSCGPVYVDGANGVKGFS -YRYGNGVWIGRIKSNSSRQGFEMIWDPNGWTETDSSFFVKQDVVAMTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPKEKTIWTSGSSISFCGVNSDTVDWSWPDGAELPFTIDK - ->sp|A4GCL1.1|NRAM_I43A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIISIWISHSIQTGSQNHTGTCNQSIITYKNSTWVNQTYVNIS -NTNVVAGKDTTSVILAGNSSLCPIRGWAIYSKDNGVRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDDGAVAVLKYNG -IITETIKSWRKEILRTQESECACVNGSCFTIMTDGPSGGPASYKIFKIEKGKVTKSIELDAPNSHYEECS -CYPDTGKVMCVCRDNWHGSNRPWVSFDQNLDYQMGYICSGVFGDNPRPKDGKGNCGPVYVDGANGVKGFS -YRYGNGVWIGRTKSNSSRQGFEMIWDPNGWTETDSNFFVKQDVVAVTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPKEKTIWTSGSSISFCGVNSDTVDWSWPDGAELPFTIDK - ->sp|A8C8J7.1|NRAM_I07A0 RecName: Full=Neuraminidase -MNPNQKIITIGSISIAIGIISLMLQIGNIISMWASHSIQTGSQNHTGICNQRIITYENSTWVNHTYVNIN -NTNVVTGKDKTSVTLAGNSSLCSISGWAIYTKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPLGEAPSPYNSKFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITETIKSWKKRILRTQESECVCVNGSCFTIMTDGPSDGAASYKIFKIEKGKVTKSIELNAPNFHYEECS -CYPDTGTVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGKGSCNPVTVDGADGVKGFS -YKYGNGVWIGRTKSNKLRKGFEMIWDPNGWTDTDNDFSVKQDVVAITDWSGYSGSFVQHPELTGLDCIRP -CFWVELVRGLPRENATIWTSGSSISFCGVDSDTANWSWPDGAELPFTIDK - ->sp|Q0HD57.1|NRAM_I40A0 RecName: Full=Neuraminidase -MNPNQKIITIGSICMVVGIISLILQIGNIVSIWISHSIQTGNQNHTGTCNQSIITYKNSTWVNQTYVNIS -NTNVVAGKDTTSVILAGNSSLCPIRGWAIYSKDNGVRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPVGEAPSPYNSRFESVAWSASACHDGMGWLTIGISGPDDGAVAVLKYNG -IITETIKSWRKEILRTQESECVCVNGSCFTIMTDGPSGGPASYKIFKIEKGKVTKSIELDAPNSHYEECS -CYPDTSKVMCVCRDNWHGSNRPWVSFDQNLDYQMGYICSGVFGDNPRPKDGKGSCGPVYVDGANGVKGFS -YRYGNGVWIGRTKSNSSRQGFEMIWDPNGWTETDSNFFMKQDVVAVTDWSGYSGSFVQHPELTGLDCMRP -CFWVELIRGRPKENTIWTSGSSISFCGVNSDTVDWSWPDGAELPFTIDK - ->sp|Q289M4.1|NRAM_I00A1 RecName: Full=Neuraminidase -MNPNQKIITIGSISIAIGIISLMLQIGNIISIWASHSIQTGSQNHTGICNQRIITYENSTWVNHTYVNIN -NTNVVAGKDKTSVTLAGNSSLCSISGWAIYTKDNSIRIGSKGDVFVIREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPLGEAPSPYNSKFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITETIKSWKKRILRTQESECVCVNGSCFTIMTDGPSNGAASYKIFKIEKGKVTKSIELNAPNFHYEECS -CYPDTGTVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGEGSCNPVTVDGADGVKGFS -YKYGNGVWIGRTKSNRLRKGFEMIWDPNGWTDTDSNFSVKQDVVAITDWSGYSGSFVQHPELTGLDCIRP -CFWVELVRGLPRENTTIWTSGSSISFCGVNSDTANWSWPDGAELPFTIDK - ->sp|Q07FI2.1|NRAM_I96A3 RecName: Full=Neuraminidase -MNPNQKIITIGSISIAIGIISLMLQIGNIISIWASHSIQTGSQNHTGICNQRIITYENSTWVNHTYVNIN -NTNVVAGKDKTSVTLAGNSSLCSISGWAIYTKDNSIRIGSKGDVFVMREPFISCSHLECRTFFLTQGALL -NDKHSNGTVKDRSPYRALMSCPLGEAPSPYNSKFESVAWSASACHDGMGWLTIGISGPDNGAVAVLKYNG -IITETIKSWKKRILRTQESECVCVNGSCFTIMTDGPSNGAASYKIFKIEKGKVIKSIELNAPNSHYEECS -CYPDTGTVMCVCRDNWHGSNRPWVSFNQNLDYQIGYICSGVFGDNPRPKDGEGSCNPVTVDGADGVKGFS -YKYGNGVWIGRTKSNRLRKGFEMIWDPNGWTDTDSDFSVKQDVVAITDWSGYSGSFVQHPELTGLDCIRP -CFWVELVRGRPRENTTIWTSGSSISFCGVNSDTANWSWPDGAELPFTIDK - ->sp|Q6XV43.1|NRAM_I79A7 RecName: Full=Neuraminidase -MNPNQKIITIGSVSIVLTTVGLLLQITSLCSIWFSHYNQVTQTNGQPCSNDTINYYNETFVNVTNVQNNY -TTITEPSIPQAIHYSSGRDLCPVKGWAPLSKDNGIRIGSRGEVFVIREPFISCSINECRTFFLTQGALLN -DKHSNGTVKDRSPFRTLMSCPIGVAPSPSNSRFESVAWSATACSDGPGWLTLGITGPDTTAVAVLKYNGV -ITDTLKSWKGNIMRTQESECVCQDEFCYTLVTDGPSDAQAFYKILKIKKGKIVGAKDVDATGFHFEECSC -YPSGENVECVCRDNWRGSNRPWIRFNSDLDYQIGYVCSGVFGDNPRPVDGTGSCNSPVNNGKGRYGVKGF -SFRYGDGVWIGRTKSLESRSGFEMVWDANGWVSTDKDSNGVQDIIDNDNWSGYSGSFSIRGETTGRNCTV -PCFWVEMIRGQPKEKTIWTSGSSIAFCGVNSDTTSWSWPDGALLPFDIDK - diff --git a/seq/clusters_seq/cluster_1130 b/seq/clusters_seq/cluster_1130 deleted file mode 100644 index dcf3c20..0000000 --- a/seq/clusters_seq/cluster_1130 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_010087312.1 glycoprotein [Muir Springs virus] -MATFNIIFVLISFWTTLGISDESPHITVTAPETPDPILLQGDKTYLFLVPSESKNWKPADLNELSCPPLI -SKPDTAEMEYMSTDVMELQKHHELAPVQGYLCSGLRYKVICSEGFFGQKTITKKIENLEPDQNKCVQDLE -KFINDDYLLPYFPSEDCNWMKETPVHQDFIVYQKHQVKYDPYHNGFYDALFKKDFCQEKICETEHDQTIW -ITNQELKQECTFNYPVKKHVFYKRDYSKMIIDYEINQWTSVEDGCLIRYCGQEGIRLSNGMFFVGKFYKL -ISNLPICPEGTKISYKPIKAQLDEIENEIILNQERLLCLDSIRQMTASKKLSFYSLSFLEPKSMSRHKVY -RIHNNTLEYTETEWEPIVAFNFNGKNQIGVNKEGKEVYWNEWVPSGKDGLLSGFNGVYKKVNSSKISISR -LETIKEDYEREMMIDHELVTVEHPKIVHLKRENITGSRVEIVNTEHSDVSGWFSSVLKSFWGKLMMTVVS -IIIIIIIGLLIINCGPIICKTCISSYKKKKSRRDRFRADRETETGLRRQHRVVFHNNETDDERAIEMMEY -SDTPRTLRPIPDSLPEPQEETTRNMSHSFFNR - ->YP_010087306.1 glycoprotein [Bahia Grande virus] -MISNMFFLFQLSLFLQFIAGDESLETITAPETPDPILLKGDTKYLFLVPSSVKNWKPADLNELTCPPLIS -KPDTSEMTYFSTDVMELQKHHELAPVEGYLCSGLRYKVICSEGFFGQKTIAKKIENIEPDSKQCLDDLSK -FKNDDYLLPYFPSEDCNWMKETPTHKDFIVFQKHFVKYDPYNNGFYDPLLKKDYCDTQVCETEHDQTIWI -TEKSIENECIFNYPIKKHIFHTADFGKMIIDYELNQWTSVEDGCLINYCGREGIRLSNGMFFVGKFYKNL -NNLQTCSAGTKVSYKPLTSKLEEIENEIILDQERLLCLDSIRQMTATKKLSFYSLSFLEPKSSSRHKVFR -IHNKTLEYTETEWHPIMSFNFDEPNKIGIDKNGKSVYWNEWVPSGISGLLSGFNGVYKKENETKVTIARL -ETIKEDYDREMMIDHELVEVEHPKIVHLKRENITGSRVEIVNKEHSDVSGWLSSVLSSFWGKIMMTIISI -ILIVIIGLVLINCCPIICKSCIKRYKTKEESRNRHRLDREDNGRLRRQHRVIFNNQSNDEENAIEMVEYT -DTPRPLRPIPDATTSDTESRSPTTAHSFFNR - ->YP_009552804.1 glycoprotein [Zahedan rhabdovirus] -MVSFGLLLLFLSSASGLNVTAPFFLYPELVSPWRNITLDHAKCPISSPVATDQELSSETFPSGHQELHHE -LAPVKGYLCSGLRYTTECYEGFLGGKDIKKTIDKVQVTGDICLRELEKVKTGSVIPPYFPAPECAWMKTQ -TNDAVFYIIEEHSVSYDPYKIGFVDPIFLKDICVNKVCPTEHDNMLWVTEADVGHQCSEFLDAEITFHSN -QNYSITIIEHQHKMFEDFTKACRMTYCGEKGVRLPSGLFYTHIPPPYWHNINECSVKRNVTFYPLSAELL -EIEREMTVDRERMMCIESLQTARRTKVMTFLTLSYLVPKFEGRFPVYRLEKGQLKGAVANWHALKTVKPG -TSRQIGTFPNGTNAYWWDWVDSGYPGIDSGFNGVHRTEGKVVIPRLEVLKKEYALSLDILHEMRTIEHPI -IKHLARENLTGHLTTLEGRDSIDVGEWLSNLWEKIWGKLLLLGCLCGFLLVVCCCCFGRIRRCLSTTSRQ -NNDIEMLPLRTNLETVKIDRPVHW - diff --git a/seq/clusters_seq/cluster_1131 b/seq/clusters_seq/cluster_1131 deleted file mode 100644 index d56d2ce..0000000 --- a/seq/clusters_seq/cluster_1131 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010087292.1 matrix protein [Raspberry vein chlorosis virus] -MEQKMTTEEVAVKDRDSKGLMFWRGISIQYKYASLDFKKGVSPIKLTHNGEISSAIGSLLLGAGGSKHVI -NILRSMIDHKHARNFVDYYTSPLLGPKTQRLNFAFPKFVVVPFPANIPCGREKLTAIGKKGKIGGREVIS -AFDIDVVITDIEPGKIKTLLVETPGWFIGELELPFCPLETEISAAGPSIVQTMVDGVKKLG - ->YP_009177018.1 matrix protein [Alfalfa dwarf virus] -MTTLVTTAALSNVKWNAISISTKTASIDFKPGGKPTSKLSLPTLAEAVSFLLKDTGGSKLSSDVVKAMVS -LRIVSLFFDFHTSPLYGPRTKRLNFRFPDYVIVPADNLLPGINETISAIGKKTTMCGCDVRSDFDINIII -AEVQSAKIPDLLSEHPEWFCGDLGLEGSYSVKGEVTKSDKGGKATDSDVK - ->YP_009301359.1 matrix protein [Wuhan Insect virus 6] -MEWYNCSIFVSNASLDVLGHKNPSSIKMKELHDHIMPLFRDFCKLNPLLCGVLSGLIKAGRVRSFIDTYT -SSLTGPNTKRINFTFDQYNIIPMNRSLPEGKVTMNAVGKVAKIKDYEMMSYFIFDIIITKVNGEDINTLL -KEHPTWFCGMLDMNVSETSCPCDDKPKPVKLAK - diff --git a/seq/clusters_seq/cluster_1132 b/seq/clusters_seq/cluster_1132 deleted file mode 100644 index 281e2e7..0000000 --- a/seq/clusters_seq/cluster_1132 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_010087290.1 phosphoprotein [Raspberry vein chlorosis virus] -MDNIDFDALPNPILDVAMSEIDQERNTDDLVAGKSRESNMGRIEESGQEVAEKQSKLESDGAYQEDIPYD -RNDIDTALTDLQHLCDAMGVNYTIPMENQVKMLFRDEEVCYTHLIWYLRGIINANQTQIIPTITGAISDM -KMETRQLQASSNKLSKETANIEKTSKSLYDEIRAIKEDMKESFRASMKLFMEEVQNEKPTQEPKQPLINP -SKIADLKAVKDLVLNSYGNAGEAMIPNKADIPEPTHTNKVIDEYHKEKRDALIKYGVDPALVKSYEDSVI -DAMYPDDVHAQLKAIRLNEGIKRQIRKSLEERLEDYLDEEDEDEEMSNGSIQGDSYASTE - ->YP_009177016.1 phosphoprotein [Alfalfa dwarf virus] -MAAPNFEDLSNPILDMAMSGLNNSGDEDSQIDPAEINDDVEMGVENPKMDEVAATDAKQPVDEYEIEDDL -AYDPADVSHALEDLKHLCDVRGVNYTVPMENQVSKLFKEEILCYSHLKWYIRGIILANQTQVLPTVTGAI -ADMKMETQHLQKSSNKINKEVSRPEKLTREITSELRSIKADIQESFRASMKLFMDETTTNSQRLQPPVKE -EKVTTNLISALVKSKEKAEDYKDEATVSGVKTAINIPKPEPSKKGRDGYMAEKRAHMIRYGLDARFVKEQ -PDSVIDLMYPDDAHSKLKQMKLNNQQKVEGY - ->YP_009301357.1 phosphoprotein [Wuhan Insect virus 6] -MASSSDVPNYSDVGEPLDNLNYTLFNDDDDLEDDSPIVCTTTIPVSSSEVVVSTHKESPNLKDALDELQN -MCMEKGIVCTLAMENQVKMISSSEEIYKSHLTWYLRGIAMANNTQLLPSIHDSIGVLKSEIKFTQLSTNN -LNNASAKLTGAVQEITREIRTSTEMINDNFKTVLLQISENQVLPSPNSDKEESTYVKVDPIYQSDTVVTS -QEFTQSESSPDAKFSVADKSDFNKMKSAFLISLGMDPDLLRDLPDGDLDLFLSDNDFKEFRSMKLTNALK -RELLQTLMKEFETKLDDGL - diff --git a/seq/clusters_seq/cluster_1133 b/seq/clusters_seq/cluster_1133 deleted file mode 100644 index 2be2f31..0000000 --- a/seq/clusters_seq/cluster_1133 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010087178.1 matrix protein [Cuiaba virus] -MAFSWLFNAKKGRDFLSPYVPKEAPVLEYSKFNCHFIGDFEVVLNKRLESKGDYLEIISEVISGYEGLLS -SKPLLILGFSLLSLSVKKTKDLGNTVYYKGTLDEIVKVKSNSKFPNPPRVGCNKFHFKINTDLYTGVITG -SIKYSLVEKSEAEPIKAILGRLEKGGKLKFEEIRSLIPYKTTEEGTRATIDFKRREDDYDSD - ->YP_009362270.1 matrix [Chaco virus] -MSWLFGYGKPESFDSSVGGRKVIVDSCEIPTFSVCGSFKLILNKQLQSKTDYLELIRGIHQTHTGSYGAK -SVTFLLFKLLGLTLRKDRVEENLHFYSGSFSSEVRIGISTSLPIPPISSRYSFDNKIDTLNYKGSFTGTV -AYTKRDLKPVNNHKDLIKTLSNRNPLSFEDFEDYTFQFEIQKNKILISPK - ->YP_009362107.1 matrix [Sena Madureira virus] -MSWIFGNRDRTQLFDSYLNGSSSDNTSQQDLPTYQIVGDFNLILNKALQSKEDYKDLHKQLVENYTGSYS -AKPIVILLVRLTALTLRKDKTERDNHYYSGSFAGIIRLGISVDLPTPPDINRYSFDHSINNSEYRGRYFG -SVAYTKRRGESVRNHSTYIKNLARTNPLTFEDFDLSDYKFEINKRHILISQK - diff --git a/seq/clusters_seq/cluster_1134 b/seq/clusters_seq/cluster_1134 deleted file mode 100644 index ffca92d..0000000 --- a/seq/clusters_seq/cluster_1134 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010086792.1 matrix protein [Cabbage cytorhabdovirus 1] -MALSTFHWYRLTFYDSVISFDIPHEKTIEDQNAATCPVLYQTAISTALNQKSGLVEIMKNLENKRVITHV -SMVAHSEFFGPGTIRCSYVFPNEVFIPTRHTLDHGLVNYTKENALVAYKGLDFIANVMLKIGTAEVSPGD -IKMLRGAKPNSFVGFMDDGKAIVPKKPI - ->YP_002308374.1 M protein [Lettuce yellow mottle virus] -MTAMYWYRMTFNDTVWYFDHYDKGSGDMCTTLMSKLWNSGITELIKDETGLLEILSNLQSKGYIDQVTYF -VNSDLFGPGTPRSELLFPREVFFPSTHKLSPGKTNLTLSPRLVSANECRYVFSGIVNIGISEVANEDIPR -MKEAKGGSFVGCLDNNPFGLLLTKITPPVSPPKGK - ->YP_425090.1 matrix protein [Lettuce necrotic yellows virus] -MSAKLNWYRITFNDTVWRFDTARGPKDGETCPLIASELFSSGLSEVFKSVTSFSEILRNMESRGYITNIT -LRADSDILGPGALRCEFLFPSEVFIPTSHTLKMGRSSLILEPHLVVLKECKYISSGKLDIGISSIEATSV -AVLRRVKGPAFIGCMDDNPFGVLTKKPSDEKNVLASK - diff --git a/seq/clusters_seq/cluster_1135 b/seq/clusters_seq/cluster_1135 deleted file mode 100644 index 63ee2c7..0000000 --- a/seq/clusters_seq/cluster_1135 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_010086790.1 phosphoprotein [Cabbage cytorhabdovirus 1] -MDPQQSAIDFSEIDANLHRSPYVVPALDDDMDGGEIVQDDLSVPRSTDDVAPPTQKSSLVSSEVVESLLY -EASVLHGIAVTPHMSTTAIALAHNIGLEPHSLDWFLAGISYANNSMIVEKLSSIIKDMQIETRNLQTATS -SVAAVSNEFLGKMSRNKREIIDEMEKTRESVLNAVASIQSAELGSHIGDDFVNLTVNPEGTSERSTVPPP -APVDAGLLSKVLSNPVTLKSPDEILYARKYKLLLDLGIEIPKANLSPDIMNTLIPDWQLEAAEAGLDSKT -KMELTDELLDIVITLNLI - ->YP_002308372.1 P protein [Lettuce yellow mottle virus] -MDNENLDFESVDTSLLRSPNNFAANNDGTSSPDVMFDDTTIPDGVLVDNSHNKSNNKANSETVVQLLELA -AATQGVMVSDIMTNTAIALAYKLGLDANSMEWFIAGVTYANNNMLMEKMVSAVKDLQVEVRNIQVASNSV -KNSSDALASTMKSNKHDIVKELDKTRDSVLNALNSLAKKTEEVQSPTEVVTIGIAQGKKALKQLSESAIP -PPQDINSELLTPTLTKVVSTKSPAETVHHEKEKLMLDLGFEVDEVAGCDPLVLDMIITDDMLQVAAGGLT -EEIKEALQDQAIDNQMTIAQIVDIMK - ->YP_425088.1 phospoprotein [Lettuce necrotic yellows virus] -MDSESLDFSSADTVILRSPNAGTNPDGHPDTVECPDFDTDIPKTSDDSSKMDNKGSSSSSKAVKDLLELA -AKSQGIVVTDVMQNTAIALHHNLGLDASSLDWFVAGITFANNSMIMEKMVSAIKELQIEVRNIQVASSGI -KGTSEELVSKMKANKNDIVKELVKTRDSVLSAMGGILSAPEIEQQPVKTVTIGASQGRRKSTVVPPIEIN -PELESPVLSKTVSTATPEERIRHEKEKLLADLDWEIGEIAQYTPLIVDFLVPDDILAMAADGLTPELKEK -IQNEIIENHIALMALEEYSS - diff --git a/seq/clusters_seq/cluster_1136 b/seq/clusters_seq/cluster_1136 deleted file mode 100644 index 1a1e43f..0000000 --- a/seq/clusters_seq/cluster_1136 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_010084455.1 glycoprotein [Lepeophtheirus salmonis rhabdovirus 9] -MLTRTARTTSTSLFIKLSILCVLTDHITSGDKNGTTDEKKSHFVYPSRIVGSLLPCLPEDLVCPPTVKDY -ATVGLTPTKKKLLMPAGGKTEHVGGVLCHLVKKRTTCWTSLWGSNDISQQEYRTPVVLDRCRLAVNNYLR -GEHENVEFPESECSWMSTIDMDITGAIITPHETFFDPYKTSVYDKHLIRSCRNRVCETVRRDLVWFALEE -FPLPSDLFQKQDCIIYSSDPDNEATLIKCEGYPYLTISNQSCQINYGGRTGVATPHHFAIFGNIPGHDSL -PPCSDNVIIGVTGPMEKSIERRGEYADMNLRERCLDAIDRITNENTVTLRTLGHFMPRSPGRHPVYILIN -STLMCGSAKYKEYTGSLDNDNLWTLLKNSLWVHWADDNHLSYNGVLRYGKESQPEIHIPHLNDIRDSLVA -LHTEELELIPTNRVVFIPDKEVPLNASIPTQRKTTKIGGDLGTSFNAIGSWFQTNMSLLITLGTGMALLG -LGYVLLMIVLKCIKGLKKPPKSDSINLNLQPFQPSGKDRAEH - ->YP_009362264.1 glycoprotein [Klamath virus] -MFRTLFFSLLGLCTGLGNQDEGHTVVLPVQVPRNWKPATESDFTCNHPPQWEPDTPFVLLNAKMLAKQEP -IQVRGWFCYKSKWTTGCGTNLLFSKSVTHKVTHLPVSEHECREAVDRERQGRSEPETFPDPVCAWMSDTT -KDTVYTHVLPHAAQYDPRSNRLTSPGFPQGVCDNLRWCSATTPDRWWLPDSDLEVQKQLETHMDSVILKL -FPMPFPAENPYVGPHSVVSGSRIPPTVVEGSCKLTLLGDEGIVLPTGIWLGIKYGDYQLLHGGRKMGKKL -QVQQANLGFITKQCKAEDHRVIPTTESPFYRVQLSTLHYMQYQFCLESLDKLNNNQTLSRVDLSRFAPRL -PGLGKVFQLRDSAVWVGMTMYEMAVIPTGDETSKDIIGYIQDSTEAYTVAIHWTDWSMGSNRVENGPNGI -FRKTGKVYHPQGLYQDLSLDLELLLTHSVDIVRHPVLEHVKEIPQIDRVVKDQSQTTIHLNSGISHFWEN -FHWLGGVKDKVILGIVGVVSFVGTLIGGMWVCKSRKRDQKRGY - ->YP_238533.1 glycoprotein [Tupaia virus] -MAPQTISLLWAMVCVSVYTRANRVVAPIHEPQNWKPATVDDFTCRTGFNLDFDSKFIKTKALVLKRVGQA -KVKGYLCMKNRWTTTCETNWLYSKSVSHHITHVAVSAEECYNKIRDDASGNLKIESYPNPQCAWSSTVSR -EEDFIHISTSDVGYDMYTDTVLSPSFPGGTCKLKTCCKTIYPNIVWVPETPAQTQVRDALFDETMVTVTV -EAKKVVKDSWVTGATITPSVMEGSCKKTLGSKSGILLPNGQWFSIVETGQITIQPKGSVEEKETWVNLIN -DLNLSDCAETQEAKVPTAEFTVYKTESMVFNILNYHLCLETVAKARSGKNLTRLDLARLAPEIPGVAHVY -QLTSDGVRVGSTRYEIIAWKPTMGLDKTLGLTIVPSGNRNSETIKWIEWTRTDDGLLNGPNGIFIADGKE -IVHPNLKMVSFELETYLISEHSTQLVPHPVIHSISDEIYPENYTIGGKNSYIKIHTPTAYFWSGIHWIEG -AVQKLFIVVVATALIGLFILVVWLCCGCCSKSRPVRNQKWE - diff --git a/seq/clusters_seq/cluster_1137 b/seq/clusters_seq/cluster_1137 deleted file mode 100644 index ba67d6c..0000000 --- a/seq/clusters_seq/cluster_1137 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010086600.1 matrix protein [Physostegia chlorotic mottle virus] -MINVIGKTNASVQGIYEVDREKVSLLSPVTGQFVSLNYIIKINMYEKEMQKSFEEGELHYHDIFDMVESA -LNLPTTRPDSIKRTPTNLPTRVAKTIIQICRIHAIHTNAKMVFMTTSTDHSEDTPTLVIKEGETLVSPHY -DSHVVEVVNQPGESPLSGSYHLTLEKPYISQDNKIIGHLSFAAYVRAPPRGNAVSQARLNIRVLASKYQR -SAAHKVNTLFRKSMKRKSETSPTKAPSGSIMDAVAKILKQS - ->YP_009094356.1 matrix protein [Eggplant mottled dwarf nucleorhabdovirus] -MINVIGRSSASVQGIYDVEKDKVSLLSTVVGQPISLNYIITIHMYEAEMKKAFEEGELHYHDIFDMIESA -LELPSTRPDAVKRSPPNMTARVAKNILQICRIHAIHTNAKMVFMNTSTDHSNETPTLVIKEGETLVSPNY -DSHVVEIVNQAGDNPLSGSYHLTLEKPYISSDSRIVGHLSFAAYVRAPPRGQAATRTRLNIRVLASKYQR -NASQKVNSLFRKSIKRKGDDISKKPHSSGIMDAVAKILKSS - ->YP_004927969.1 matrix protein [Potato yellow dwarf nucleorhabdovirus] -MISMIGSRRPIRREVYLASKEGEVTLQKEKTGILISLNYIVKIHLYDLVLRDEIENQGISYPDLFKYVED -QIGLPPNNPEAIIRSPDMTNSQVKELIMLAKLHAMHTEEKITVFTQKTDFSEKTPTLYLKVGESILRNDH -DPHVVEVIYRDSDPLPKGEYQLNMTRQYKGDSDQIKCEITFAMFVRTPPRNNNHVSARLNLMVLLSKYSN -ELKKTVSDPLKNLLKRKSDTTIPTKTDGSKRAFLPSMLKMLSP - diff --git a/seq/clusters_seq/cluster_1138 b/seq/clusters_seq/cluster_1138 deleted file mode 100644 index 5690025..0000000 --- a/seq/clusters_seq/cluster_1138 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_010086599.1 putative movement protein [Physostegia chlorotic mottle virus] -MADLRSFSMKDKKLSKDGMDIALPVKTAAVKRLGVVRRIMGSMGSDAKSFVRLTKFCIIWKPTCPPESPG -TFAFEFYYESGDAKHVILKGKGMIGHAVKIIINTSIYINKEQLRNCPYKVNIIPGTSSDEILGSIVFDMY -VSGFENYPKNGVRNVNISVEPPTWHGMPSIFYQLNPQGDQFSSNITSIIPILDEFRESYPNVIKKITRKD -DMDIIDMMVLKYMLNDDEMDKVKFLEDGLRPGYTMSSDDMLYYMTLFTRFNMSSYRPFASEITGELNKET -QSSTTRF - ->YP_009094355.1 putative movement protein [Eggplant mottled dwarf nucleorhabdovirus] -MADLKSFTMKDRKLTKDGMEVALPVKTAATRRLGVVKRIMGTMGGDAKSFVRLTKFSIIWKPTCPPESPG -TFAFEFFYEGGNSKHVILKGKGMIGHAVKITINTTIYINKEQLQRCPYKVDIMPGTVSDEILGSIVFEMY -VNGPDDYPKNGVRNVNISVEPPTWHGMPSIFYQLNPQGDQFSSNITSIIPILDDFKESFPNVIRKITKKD -DMDIIDMMVLKYMLNDDEADKLKFLEEGLRPGYNMTSDDLLYLMNLFTRFNMSSYRPFASEITGELNKET -QSSTTRF - ->YP_004927968.1 putative movement protein [Potato yellow dwarf nucleorhabdovirus] -MAKSLKLPDKNLSRNGLDIVLPIAMASQNQLGAINKLLGVAKRGDKTFVRLNRFVIKWKPTCPPEMPGTF -GFDFYFDGGDTKYTIIKGSGMVGHAVEITISTSIYISLHQLKSCPYKLDISPNVETDGACGSVSMEVWVS -NGEKYPKHGKRNVSIHMDPPDRHGLPSIFYHINPNNDQYYTNIQQLIPISEDIIDEYSALLRDCFKISDP -SIEDALVLRYMINPDEEMTIQELNSMLRDNKPITTKDSIYLVNLSVRFSGSTYRKFISGITGQLEKETPT -STSRF - diff --git a/seq/clusters_seq/cluster_1139 b/seq/clusters_seq/cluster_1139 deleted file mode 100644 index ce3fe8e..0000000 --- a/seq/clusters_seq/cluster_1139 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_010086597.1 X protein [Physostegia chlorotic mottle virus] -MSASRNTTTAPDKPGNQGDEIFIEMCRMVDNLLSEKEKPDPINQSYPRQSQEDENQPSDTESTESWTYYD -YTDHRYYPSYEDNDSDIYDLMYECNHGEWGN - ->YP_009094353.1 X protein [Eggplant mottled dwarf nucleorhabdovirus] -MSETTTNRPTTPDEANDDVFVEMCRMVDNLLSQSETSKYDANQGSIPITIEEESSDVESSDSWTYYDFTD -GKDYYYDDNDSDIYELMAECNHGEWGN - ->YP_004927966.1 X protein [Potato yellow dwarf nucleorhabdovirus] -MESDSATPGQGKPAATDHKPPEPTPTTAADPTKQQETKESPDQHGKEEHTEEEKDSTIEWVYPDWDDNDS -DIYDLLYECNHGEWGN - diff --git a/seq/clusters_seq/cluster_114 b/seq/clusters_seq/cluster_114 deleted file mode 100644 index fb422a0..0000000 --- a/seq/clusters_seq/cluster_114 +++ /dev/null @@ -1,1254 +0,0 @@ ->YP_010229201.1 polyprotein P1 [Potato virus B] -MQIGQKTNPKQGIAPLPDTMDSAIVLPYCKYNNSLNLFFYQNTNSTINLDLFSYYSTFSNNLKKAKSFFY -FLLDTVHPDFRNSTVKFSPTYIQGSSIFKKWKKSNGGITTISAYTALFSSFLVKGLGVNPYQDEWEPVLA -SWPTFGGSLLNACQNCHERMTEEREYRRLAEERKQLYLKELAAKKAAAARKAARAKRLAYRKRFYAVRKL -VIEARVQLIARAYLQLQAKPVPPTSAELEEARNLYKEFQAYSLKYAQFVATKRYQRRMAQEERAEKLFRE -VEALLKEPLRTVEPAPKVARAAAREGRRQQLHGIRQGILESLNVSPGSKESSERAIVAVIAVAPHYPRLA -SSIVSFLQEHQVLLSKGFAPFGIFIDNICKLVRFYDEDQLIQSMSEIKDEARLQEATVVTSGELQLIEDA -AQNSNVRASVFKRIAGKIKNCVSNAYSGAKQFCYDIGEAAAEGVFSVVMKCFHEMLKCVKQELGLAHEFI -EMLIKKVRAWYDNLIKKIGDAMYTIGVSGIIALMFLLCQMLTYSINKLLGVPDPFFLMQIFSGLFFAYSM -WDNKLLQGAMRGEFIALINEFMRNFFSHAQSSKKWRPTKADQEVLQRIEQGETSNIRSIPVVSGLIEAIS -AFGMGLCKFQSMSLLEVGKMAAALHQLRLGKEALKEFVSTILQCVGTIADKITGRETTFFDELSTLVSVD -VRGWLNRARGILMEGNYTDPGNPVFATVVNKLVVDGDKLQHGINGVPRKISCDYASLVGSVMKELRELQK -KICRSGCTEGRRREPAWIYVWGKRHCGKSNFMSELGIRLCQHFDLPYTVANRNIKDSFYSSYLGQTIMQI -DDLSATKTEPAFEGEMLNLVSCQEHPLNMADLADKPIYFRSDFVITSSNLEDVPPSCVRDVEAYRARKCC -LIEMRRKPGVQFDPDNPMTASQMRLKDPMTQMCEGPEAESWIDIEDGITEILNRVARHRDEQERLQRAHL -RKTAAVDPMILAAENFLQRKVEEVYLHFPKIELEKAGLTCAEGRGLCVDGSLLMLNSRFEMDVHTVHDSN -YARLWEKRTMEQFFPMVHGGTYLNGKSLIVTGFLRSLVNSECSVLGLGQLSSTATSCQQRIFQHLGLCEQ -AYLRCLQKRIDMYNAEVECNPYCNTAWAKVLKAMMATRDLIIDNGGGMLMIMAAILVIIVSAWGFWKALG -LLFTGTISIGTFFSSAAEADLKSQSNSSGIDRGYRARNIPVNHRYAYTRSTESDGMLPAARLCVAIYTSA -GDFVSAMQYKNKSIMLTRHQALRFREGERLTLIYSTDGERKMVNWHQCHMTEIHQSEIVLWTAPSLSQLP -HQYAKLFLEDAEVEMPLNFKAMGYVLRNDKDGYHYDTLDTYATVDRTPLPLKDFSRGNCYSHEIPEKISF -HYEARNHDCGMLILARISERYKVVGLLVAGKEKTSWACLLPNPHMAELKSSLEYLPEFGEAEEGFSKIGY -VDKKDAPGIPKKTALVAVPEALLIPVNVPIKEPAVLCRDDPRCPEGVDPPMKAFKKKFTQPMLELEQELL -DEVAMDILETWYDCEDHVLSDIPLEVAINGIPAGCEEQELENFVLKTSPGYPYFKENRKTHTKGKHAYFQ -EEEDGRMALKKNSLAEDLYLNLRDFTKQGVPELVVIECPKDELLPVRKVKEGACRLFEIMPLHYNLFLRE -KTCAFTQFLQNERHKLPCQVGTNPYSREWSHIFQRLAKRNSVAINCDYSGFDGLLNAQLIETMAKMINRL -YALSGDTELSQAQRYNMIMALHGRYAFLGQKIYKVNAGLPSGFALTVVMNSLFNEILIRYAFKVLAPKPQ -RNFFGLHVTLLVYGDDNLISRTPTVEWFTGEAIRCTLAKYKVKITDGSDKLALTIEEKPLSELDFLKRKF -LKTNTGVIQAPLDKSAIYSCLHWLVPSKGKKVDGGPLDVVEELILNVNVSLMELYLHDDRDEFNSVRQFY -LKRLPMQADLFRTWAMCESFHSSQQTGFLKYDPAKVLDIHIAPGMSKFLHCSGEGKACHRYTPTLAVCGP -HYHCNKDEFCVSTIPLKPGEDGCVIPVTSGAGVGGLPTKSWVNAFRSPRKLKNQEGYQIYSFMLGAIEAG -KTLIFKSPAPYVAGNAALIAFADGAKLARQQDLLYHYRNSIPENMNGLEQYFDAPLPSATIGKFWFSNAE -TYANLCHRKEGEVADINTATVTTDLNAMSKLGKVPAMSARSFRSKFTVALACNKNMCPHHKATQDTMDKA -FDVVWSQKCKTSNCQVSDKFGLKQ - ->YP_009551543.1 polyprotein [Potato virus U] -MPHFWEHFPHPEGVELDGSMEVAPILGYLPSRPFYADIVESANRCFPYLSAVSLEAAQDLRTYVLELAYA -SPHQLSRVSEALSWLAMLFPLCPLQGVVDSYFPLQDVHGQDMCALVNSLRQTAMVFCKLQTGEQQTHTIF -ECMRSVAATIKQKKDSFVSSASEWWYGMLDSILAKVRAPFVSILAPYLATAQRFKDEIENFWDGCVLWAK -NLWKQTHIAVQALGAYAIWATIVMILVGIMYIIETMLMAMGILSTHGVLVSIFTGVLFAVLGYTVYTMGK -EYISFLQTLRQIILYSVIPDASVNEAAGVTSPEIDGAQNAHSFFDTAMAPVHFLESIASGLSFFSSNSVT -VLGKLGNSLEGIRKGYRCLTDFLSMLFDAFGNAFEHVSGKRTSFFRDLACAVKVDVKKWTEDARKLIEYF -EIAGSLDRFEYYRVRELIYQGQDMMDAADKGRSSQTSSNFLRTVGKLVENLKDVRAKCARSLKFPGWRRQ -PFWVYTFGESQCGKSTMANYLMPMLLTHLGCDPNDVYSKDPVDGYWSGYYQQKGLKMNDLSAVVPKNVTC -LEQQLIPLISTEEKLVSSAEIEGKGVQFLSEVIVSSSNVSDAPTGAEILDKDAYRLRRKAVLRCRRAVEW -VHDDKGKRTERLDVDGKVVTKQYDPSDALACTEVQWVHPVTFNALEGPRGEWHLADHTIQMVKEAMDSHF -QTEDAKMSAWKDSLGMHSHTARLMTSYLQDMIKAIGCWQNTSGQCGTNPRKILVAVDGKAYELDAAGNAL -ELKQCDNVEDIERQTKLNYRIEFSRAVYSHTTLTYEDSFHASMVRDFLDDMLENGASVSSVKEISSSSKP -MHKDLWKELTLSNRIFLRVSQVALNDVRDAPHFKEHITNTYLESMRLVRDAIVDNKEKILLFLCAVTLVG -LTAWGFFSAFKAFTSGSMGFGAGLALKNQLAAHSSVMSSGSVTSAFAQRNVPIVWGKAARYAQAHSQLED -AGSFDYIKDGMAHMLCRVVGTSGQSETGLLFGPRSVAICAHQLRMFPDGDRVTIHYLSHDRIPQCFSFTW -HYINAIEWPDCEVMVYRDDQLTPLPVYNTNNYLRGEQKLPTVININGVAIKKRKFFDEKTLTAGEKTLDG -ETLLIRSWSDVATLCTSVQTITGPNYRRDINRYYTSTYPAGVHDSGGLITTMHNGRRVVVGLHCAGKQEG -YLYKSTIGLLPTGDISEAHSSPDFFVPSSGREEKGFCKIGWISNPAKRPHTGAKTALAPVDPLLALPLPH -GVQTKIPSILSKKDERLKTEVNPEFKDYDPLKDGMSKFANPMALLDEDILSAVCEDVYQTWYDALPIFDD -EKQFLEKTSLDVALNGIPGEACYDAMRMDTSEGYPYVLERQPGESGKARYINIDDDGFRTLIPGTSVARD -YEELACNIFKHVPVLNCVECPKDELLKPSKVLEKPGTRLFDTLPFVHNLLLREYFLNFCVFLQHNRLRLP -CSVGINPYSREWTWLFDRLASKSDRALNCDYSKFDGLISHQVYMQMVSIINRLFKDGEEANLARRNLFLM -FTSRRSICYDQVYMVTGGMPSGCALTVIINSVLNEILVRYVYRKVTPQPARNYFNKYVELVVYGDDNLIA -IHDDVVDYFDGPIIKEEMAKVGVTITDGTDKLSPTLVRKPLESLDFLKRGFRKGAGGLYSAPLDKTSLYS -RLFYTTGGADGKYQNDILHDNIKSFLEEITLHGEYSEFQRVRNFYVARVPSWSATLPSWSHCIDFMEGQL -NAATPWQPHKFIETRPHGGEEKMMAGQDKHDQRIVVTPRLIVCGEKYRPPINEASFIVSIDNPLYPNERG -ISVRTEVDRGSGHLPMDRWSKDFSSIKRYPTLHQAYEDGATIYFKSRMPYFWSWCALCKFAQSKGINQSS -VIALYEKYKPSNAGDIAPLVADKAYTKYVARPMFDFSGIREKIVT - ->YP_009551522.1 polyprotein 1 [Red clover nepovirus A] -MTFVTFPPSGDSFSFNYVKYNRSLNKYLFFNSNLDVVLDDYDFYFSFYIKKFKILKKFWYDRCLSALHTP -APVEVSTPLYEAFCKLALDELSINPFHSLWEETLANWPLCPGDSLLAFCRTQYEIRQEAIETAAEALRLK -EAKRQLAFDNEVKFLIKHGAMPELAPSFAQHIWKAGKQQKEVRKGFLIKLTKARALGNAHRSAVCRAQAR -AEVLREFEPSPAQIQKAIEAQVYADKLGRKYANLTARARARRAAARELREKALYLETRDLLGAPLLPPKE -KVECLRKYTRRYARVDIEHAPNVNPYVGLCPYMGLGARSADVRCRAVLVAGKVHANYPSLASEIYSWVIG -KACNIENPEYIRRFVSGLSFMSDFYPEEALINQLRKIDDQHKCLDACLALEEEKEKLDNAAANANCKANV -FYRIAAGVRKMASNAYRGFLDGCEEAGRSVAEGVCHIMIRGFRECLVMVRTELSGAMEIIEILINRVKSW -YESLIKKLSDGAVALGIYTLYAICLLMGCGLIAVIAKCCGGGTALMGIFCTAFIAYFFSSADDEALAELN -RLLILSCTGLCTRIFIDNPDFADVVQREATEHTNVRSIPVISGIISAMTQFGTSLCNFQSLSLVEIGKMG -AACHSLKMGKEAIKEFCGTLMYYLGRIADKVTGRETVFFDELSTMVSIDVRGWIRRAQGCMRESFHTEIG -NQFFHDMVAQLVDEGQRLQVGINGIPRKISADYGQLIGSIMKDLLELHKRTIRAGIAEGRRREPVWIYIF -GPRHCGKSNFMSSIDNALAKYFGLPNTVAYRNCRDNFFSGYSGQTFFHIDDLSSVSLDPPMEAELINLVS -CQEMPLNMADLADKPIYFRSPFIISSSNFEDVPPGCGVRDIEAYRSRKACLIEMRKKPGVVYDPNDPLKA -SQARFKDPMSQLLLDGQTEETSWMEMEDVITEIINISARHRSAQEILQARFMREKAMMDPLALAAENFLK -GEVQKAYLNFDGLELEKAGIPLTQGGRGLYVDGNLYLLNQNFTFDEFVVKDEGYQRLWERRMREHFISTV -QTGNYLNTKSMVVTGFLRSLVNGDCAILSKDSLSSTATCAQQAIFKALGEDERIYLRTLQHQLDLYTQDC -AENPYSNTAWCKVLEALGAARNFLEKHGGTLLLLAGALVVVLISIWGFWKLFIGLFTSSVTLGGVMTGLT -GVDLKAQQSSSSQEKGYRARNIPVHHRYAYTRSQDENGLLPAARLCVAIYQPGGGFVSAMQYKNKSVRMT -RHQALRFEEGEQLTVIFLSTGESKLIRWHRRHMREEPGSEIVNWLAPSLPSLPTDLKDLFLEDKEVDLPN -HFKTVGYVLRTDDTSFHYDTLDTYASVDKTPLPLKGVIGNDLYIHEIPEKIVFHYESRNDDCGMILTCQI -RGKMKVVGLLVAGKDKTSWADILPPCTLAELKSQIDYIPEFGEAHDGFFKVGYVSQAQAPTLPKKTNMVV -VPESLRVPCDVPIKEPAVLTKDDPRCPPGIDPPIAALRKKFSQPMDELEGDLLEQVADEILETWYDCEDH -VLSDIPLSVAINGIPAGGEEAELENFVMKTSPGYPYFKNNRELGVKGKHAYFEELEDGTLGLKPGSVAEE -LHTNLVEFTKNEVPELVVIECPKDELLPERKIKVGACRLFEIMPLHYNLFLRQKTCAFTQFLQANRHRLP -CQVGTNPYSREWGHILARLMRPGTNEAINCDYSAFDGLLNAQVIECIANMINKLYALSGESEVQQAQRYN -MLMALVGRYAFVGQKVFKVNCGLPSGFALTVVVNSVFNEILIRYAYKKLAPKPERCRFQQTVCLIVYGDD -NLISVAPSCASWFNGEAIRVTLAEKKVKITDGSDKDAPTIESKPFWELDFLKRKFLKLDTGVIQAPLDMT -AIFSSLYWLTPSKDKFHTHQKASQYSGEVDVIEELVLNVNVALMELYLHNDPKEFQRVRSFFVARLPLLV -DQFRTWAFCESFHSSQQTGMLRHDPASVLDHMSGVDFKKFMHVSEQGNKAHFYTSVLGVCGPHYKPCEED -FIVSTEPLKMGILGEHVPIKYGGGIGRLPTKNWVMSFGKSSSLKNAKGYLIYPLLREQMEAGKRIVFMSP -APYVANNAALIAFGSATKLLNQKDALVHYRNCIPESTTGLEQYFDAPIPQANIGQFYFVDGETYAALNDF -KEAKVLGYERDLPTLMLNRAAKDGNVPCMVAQMKGKRCAVYLACDNKMCPHHHTTALNYEEAFRKCWEAR -CKTSKTVTGKWYGTKLS - ->YP_009667034.1 polyprotein [Artichoke Italian latent virus] -MRSFVTVSPDGDSFSFNFCKYNNSLNKFLLSSSNLDVVLDDFDFYFSFFVKKYIILENFWHNRCLSALTT -PAGVVVNTPLYVAFAELALDKLRINPFHQLWEETLANWPCCPGTSLLDFCRTQYEIRREAAEASAEILRL -KEVQRQEAFDAEVKFLEKNGVLPAYSREFARKIWSAGKDQKKARSALLVKVKKGKQLKREWEEACFRARV -RAELAREFEPSPHQIQRAIEAQAFADKLGRKYATLTARVRAKRAAARALREKAIYLEVQDLLNAPLLPPM -EKVEMIRKHRKVRPTGSNVVHTPKRNALEDLCPYQGLGAKSADVRCQATLMAGKIHATYPRLATAIYSWV -LGPSMRFECVAPIKSFIKGLTFMVDFFPEEVLISELNKIETEAQCFEASLVVEEERAKLEAHAENANCRA -NVFMRAMAGVKNMARCAYSGFLSGCEEAGRSLSEGICSVMVDSFRKCVEMVSKELGTAIELIETMIRKVK -KWFNDLLEKLHEGLATLGKYAMYALAILLGCGLTTLLENCIGGRGILTSLFVSGVFAAIGLQCAGGWDNL -QREMVQMCTALAVAVFDCQNTGRRNAQGQPIVSPIMDIHNAHHLEDRRTRRMTDEQGNLRSIPVISGIIN -AMSQFGTGLCSMQSASLIEIGKIGAACHSMRMGKEALKEFCSTIMYYLGRVADKVTGRETVFFDELSSLV -KVNVRSWILQAQSCVRESFHTEIGNAYFRDMVAKLVEEGQSIQMGVNGLPRKISADYGQLVGQIMKDLLE -LHKRTIRAGISEGRRPEPVWIYLFGQRHCGKSNFMSTLDNELSKYFGLPNTTAHRNGKDQFFSGYNGQTF -LHIDDLSCVKLDPPLEAELINLVSCQDVPLNMADLADKPIYFRSPFIITSSNFEDLPAATGVRDVEAYRA -RKACLIEMRRKPGVVYDPDNALAASQARFKDPMSQMLMPGMDEETSWMEMSDVITEVLNISARHRAAQDK -LQARFLREKAMSDPLALASEKFLQGDVKNCYLDFSGIELEKAGIPRPQGGRGLYVDGKVYLLDDLCQLEE -LEIAEEGYKRLWEERMRLKFLPRVQAKQILNTSSMVVTGFLRSLVNGDCAVLSVDSLSTGATVGQKSIFH -GLRADERIYLRVLQHQLDLYSMEIPENPYSNSAWLKILRAIGAGRDFLVNHGCGILMVAAALCLILVAGF -GFWKLFVGLFSGSMSLGSAIVGFSAVDIKAQHKSSSQEGGYRARNIPIHHRYAYAKSQAGDGLLPAARLC -VAIYQPGGGFVSAMQYKNKSVRMTRHQALRFKEGEQLSVIFASTGESKLINWHKYHMREEPNSEIVTWLA -PSLPALSPDLKDLFLEDKEVELPNHFKTIGYVLRVDSTAFHYDMLDTYAAVDKTPLPLKGVLGSELYLHE -IPEKLTFHYESRNDDCGMILTAQIRGKMRVVGMLVAGKEKTSWADIMPPNSLAELKSQIEYIPEFGEACD -GHFKVGYVGKADAPTLPKKTNMVPVPAHLRVPCEVPIKEPAVLTKEDPRCPAGVNPPIAALKKKFTQPMA -ELEQEILDEVATDILETWYDCEDHVLSDIPLEVAINGIPADSEEAELENFVMKTSPGYPYFKNNRAEKLK -GKSAYFEEAEDGTLKLKEGGMAAELHENLVEFTKNEVPELVVIECTKDELLPERKIKVGACRLFEIMPLH -YNLFLRQKTCAFTQFLQHNRHKLPCQVGTNPYSREWGHMLNRLMRVKTNEAINCDYSGFDGLLNAQVIEC -IAKMINRLYALSGESEVQQAQRYNMLMALVGRYAFVGQKVYKVNCGLPSGFALTVVVNSVFNEILIRYAY -KKLAPTPERNRFNSTVCLLVYGDDNLISVSPSIASWFTGEAIRITLKEKNVKITDGSDKDAPTIEAKSFW -ELDFLKRKFLKLDNGIVQAPLDRSAIFSSLYWLTPDKSKFHESQKASDYQGEVDVVEELILNVNVALMEL -YLHNDPAEFQRVRGFYVKTLPLLVSQLRTWSFCEAFHSQQQTGMLKYDPAVILDHMSGADFKKFMHVSEQ -GNKAHFYTDILGVSGPHYKPQENDFVVSTLPLKMGVAGEHVPVQFGTGIGGLPTKKWVGDFGRPSRLKNA -KGYLIYNLLREQVEAGKRLIFMGPAPYVANNAALISFGTAAKMLVQKDALVYYRNVIPEATSGLEQYFDA -PLPTATVGTFYFANGETYAALCEFKDGKVLNYEGLPTQCLNQAVKERKLPCMAAGQVRNKFIVSLVCDNT -MCPHHRSTRQSYEEAFRECWTSKCKTSACVVSKWYGTKLS - ->YP_009342468.1 polyprotein [Petunia chlorotic mottle virus] -MGWNCPNGLCMYFGVKYTNTQAKEEKYXCPSAMCNSLLQKQKEPVAVPITKVPSKAPKVAVNNGPSASPK -PKKVVRPPANYQMDVVVEVGPPANLQLIYPSRAIGKEERKRGSKSPRSDIGTAVPIPAHLAPDWLKHEPK -NKKKVSETFTPLAFPKGAHYYDGRNYRTKSGKVILSAAARQIKEGARKLQAQKLRAIRRQVACKKVRIAR -LKELGKLLSQQCTYPLPGGFAIPLPPAQGCMAKTSLGHKVVCPTKRQKKKKQPLKAVPVQKWTEEIEQLL -AQYAEERRTSPIVEQPTSMAAPKIALRPCVKVGKVPSVGTLSEAEFALESFEKESPFTVALKSRLREIWD -TRSSLRLEVGNFCAYMQELADPEIFMDLEANFFALSRSEQEELAQINGVLKEECQHLEGVSVEARGGLFQ -FAKQSAITVASKIRNGISWTADTLVEMVMKQLRKIFDNVLGHWLSPFKHLTEQIDAMWNKIKGWIVKVKD -AMCIGIKVLQEFALYALAGLFVGAVITMAESALFQLGVLAKVGTTLGIFLTLFLTSLNLNALSMCTEQIA -QLNEAFKVGIRIFLNVPADVLGTSSRNVVEARSLIGLDTAISALTGFGRSLVSFKLGTLQYYAKLGQAFD -QLARGKKAIAELAAWTIDIIGNIYNKLTGRCSQFFDELSALVCCDVRMWLRSSQRVRLDALVTPGSRNVL -EIVEKLLEQGNKLKIGAAGVPRKFSLDFTNVLCKEVEKLEEVRNQLANAGAYKGTRFYPFWVYVVGPSQC -GKTNIVSQYLAPGLLDKMDCALDSQYSKGKQDAYWSDYKRQALVKVDDMFAIKDADIEPMMIDMVNSEPY -PLNMAALADKGRLFDSPLVVTTCNDLHPPSDCNLRDAPSFYNRRAVVLQMRRKEGTEYDPADTNNCSECR -MLQPKVTWVNGKEEALHEPLTDWISVKEATAFIETALLEHKAKEERRMAAVNEAQRGATGIMCFSRNYIL -EQRLTGVFIDEPDKERFKIKSSCFMFVVVDHKMYQQDASGMIFNLENQPDMPEKEWERMESRCIQNVVYN -IQAYLADSPPNGLVGTFLAHIIDETCNVKSVDALSSAATAGEKEFWDSMSEDLRGRVYLRLCQKRKDSIA -GETRDSVIDKTMERLSRFTMSSYQYVKDNGGALCLLLAGFVTVSVSCYGLFAFMSSFFNAPSLTGGIAAL -EAIDAKAVMSSSSYGDAYAKRNMRPLHHYIARGPCETNYKELWQRLVVKIFPEAGVARGFLIQAAQFEGR -SLLLTRHQAQSIPHGSQVFIEYCDLPGIYIFWNHQNIMMQDDREVVLYRDPALHSLSAKSYKFFLHDDES -QLAPSLLVSRFGYRLNPDNNEILEVNQSNLVAETVRETLSVAKSSGGFHYKRIINKFIRVEGIAKDWDCG -TLIASMINGQMRVVGMLVGGTNTNFVADIIPKFIQVAAKGLARIQEEFGVQENGYAKIGYLEAQDRPTMP -TKSQYVKVPEEVRINVAECKEPSILHKDDPRLKEMGKVYDPLKDGLKKYKEPMKTLEQNVLKEVAGDIVT -TWYDCQEGDLEDVDIDIAINGDEFEDFFDPMVMSTSEGYPFVLERKGGEGGKERYFEGLPRERSLKPGTS -VARAYEELKLEAPHTVPELVCMECPKDERLPKRKIENPKTRLFAILPLHFNLRLREKYLAFGQFIMKNRN -RLSCQVGINPYSREWKELYYRLAQYSDTAYNCDYSSFDGLMTHQVLDVIADMINAMYSKEETPYSKAERK -NLLLAIWGRKCVAGNQVYQVNAGIPSGCALTVLLNSIFNEILVRYAFKIFVPKVHKNEFTSLVCLLVYGD -DNLIAVKDVISDIEVCRIESGVTIKERVGFGKLLKETLAEVGVTITDGTDKLSATLEAKPLGSLDFLKRG -FKKVDGYILAPLDKNAIYSSLVWVAARDQDVLEKLRLNVSVALQELWLHQDKQEFNAVRDFFVRGIPAWK -DVPDWKRIEGFHMEQLSHVKPWSPAKNVDIVVRPEMRAFMDCHGYADEKFVVCPKIFVAGPKYRFSSDEF -GISFTQLLVGESSLNCVYDRADFEVPTQLWVDRWGTPKNIHVARARAAYDSGKSLVFRGHAPYIICWVAM -MRFCISANVCDKDTLQAMFYNIGGKKSVDIAPYFSKFDMSKRMGFKKMPTDIKCVSLPHHMLMVSNYFRT -AELLPGVIRYPKEFCGVKEWTLKVSKEIRKEVWQFPLLILCEGLSLQKEGNAPGASYHGKTFAWEEHCGE -SAILTTCGMFYCDKICLGHAWGKSVGCRIIEDPTQKRGEEFFHFNGMWRDDSSLKCIKAWPECAILENFK -KYNCYL - ->YP_009508092.1 polyprotein [Artichoke yellow ringspot virus] -MWWASAMLLSAGIVAIIEKILVKMEIIAYPGVLVGCFLSSILAYMGYTALDDNGAVKMLMRTIKQSIISL -YVQKETSPAEVRAIVHGDADMIAHSVGEVPLRFLNAIGSGLIAAPLGTLQYAGKYGAALDQIRRGKDAMK -EFMGWTIECIGDAWDMYSGRRDTFFHEIARLTKVDIVKWIRDSQNIILQSQTAANTDPLLLEMCTRALSK -GWKLQQSLAKGKRTTNVDYGFIVGKYVTELQSIRARCAMAGKMEGRRKEPFWVYIYGESHCGKSLLMEPI -IDALTDELGLPAGDTYAKNGRDNFWPGYTRQAVVTVDDLSATIAQPSLESEFMQLVGSKPYALNMAAVEE -KGMLFSSRVIVTSSNFFDAPTKAEIQDRVAYQNRRNVVVWCRRKPDSEFDPTNPHASCEAVFVDRKTEQQ -IGEWRNCEALIDEIKIKAAAHDEKEEKLQAHYLGSHRRVHPIHNDIQDFLRAGIVMTKHLADTNYDTYRE -NWLDANLAVDGKLYKVSLKNVCDELLELPPDGFEEACLARIPTLSTAMADEGVSEFVQTVIEGMIEGPSF -VESVDKMSAETPADHREFFSRLPLGERVYFRLLQKRFEQLKADKDFNFQIDMKVRVLKSLKSSYDKVVEN -GGRIFLVCCAFIMIYFAYSTFFSIFNAFVGGSSAGMAGALITQLDAHSVYSSGASVQSYRSRNLPTTYRQ -RMMAHSQDDSKKLADEDAKFKTDLLVRLTIPGGRVICAVRFYGRSLLMTKHQAMAMRKGDRIMCNYTARG -VQSGKIEFLYDPSRLTEFPDTELVQYADNVLSPLPNPAHNAFAFDHAKLKGTLQLFGAVIKLRRHCGDHT -IGLENVDGDVPTLHKWDAIGNITTTRQTISTFVDGAPYYNDIPKYLHSNSPTTVEDCGAIMTALVDGEYR -VVGIHVAGGVTPTGQYTSMACLIPYVPHFECHSRMPPLEERVGIDTLGCTKIGFVSNPVERPYYATKTQF -VEVPEMVKIPYHDVKIPSVLAKGDTRLRGTEHEAYDPLKNGMSKYEEPMSLVDEKLLREVAQEIVETWHD -CEDGTFGDADDDVVINGIDGEDFFDALVMSTSEGYPYIKERNIGEKGKARYFEPTGDGCRKQLIQGTMVA -QDIEYLKETVHEIVPEIICVETPKDERLPVRKVTGKPKTRLFSALPLSYNFMLRKKFLYFVAFLQKNRGR -LPCQVGINAYSREWQTLYNRLAERSENALNCDYSSFDGLMTGQMLSCIGDMINTMYGDSQKSKNGRKNLL -MAICNRKSLCGADAYEVRAGIPSGCALTVLLNSIFNEILVRMVYKTVVPGVPRNHFSEYVTLLVYGDDNL -IAVDPSILEVFNGDVIKKTMAKWRVTITDGSDKLSPTLTEKPLLSLDFLKRGFKLADNGQVYAPLDKTAI -YSSLHWVAGRGQDVMSALKDNARSALIEMWAHQDKAEFVELRSFYVSKIPSWSDLPTWDQARCFHEAQQH -GAMPYRPQASMELLVDMHTDKKMMANHGEQDHLIYVQPRIAVSGPKWIIESCDKQFVVSTLPLSRAEKFS -GIHIPVECGDGLGRMPSQDWVRRFRRIRHVQIRVIYDAYAAGKTIIFKDVAPYIAGWTAAISFACAQQYS -YRDMLNRYNNVCTPNSSGIEQYFAKDMAMKCKLPFHPPGEKCTCDVPSPR - ->YP_009507923.1 polyprotein 1 [Mulberry mosaic leaf roll associated virus] -MGLLWADVRSSWHLEDEASCLENLRAYLSPEAKRARYLERVSRRRAAYRAAYKKKAKKALKLRALVPLVK -QAQAAMRLESLREIRRELEKEVSIGSYVTPPQIQKAFDSLAALVAQRRQKRQMAAAAREREISLMVLALE -AGLLVTVPSRGRSMRRSVSPRSTTPIVERKVCGTLRLKEANPDLEWEGICDLPRETLVAAEPLIARAIKI -AQQTGIPQYANELLLEVKGDAFLESTREISEALDREERALEISGSNLQGWWSALGDFSSKAASLSGMVSK -ASRSLATKAVDGAKHCWQSTLDGIVKMAISVFDGVFAKYLENIPLVSNFVSDFWDKVRKWAQDMSSALGT -VFEVIHEAALWALCIIVGAAIVSMVESVLVSMGIIAMAGGAVGLFLTLFFSYLGVKAFLGGADKLSQICE -VIKGAVCTVMTRKPQSTSNFRDAEVSQNAEGLGPLDTAIRVVSALGSGIVNFKMGTLTYWAKVGSALDQL -RKGKDVLKELASWLIEVLGRIYDSVTGKESQFFDELSALVQVDVKHWLTQAQQVLLEAQTMALTDKVLLL -SVSRLVEDGNKLLLGVSGIPRKLTMDFFTLINKVQTDLKKIHEQCVKAGRFEGRRHTPFWLYLYGPSHCG -KSLLMEQAADVLLTEAGYPLSSNSLYTKAATDAFWSGYRREYCVMLDDLSAICSNGVSLESEMLNIVSSQ -EYKPNMPFEGEKGMYFDSPIIISSSNVFTAPTSANLLDEAAYNNRRGAVLECRRCVGENGFEVDFDPDNP -YASTECRFVDKQSQQPTGPWMNCLAALEVVRGMMATHTMKEHTLQVNYLSRRAGVHPTFDAAQSFLSCLS -GEVSMYYPHDLLQACGIESRYFFYAQVDGKLYGYDVNKSAHLVPGDLGEEFEKACLQKLLPTMQQTIAQR -CNNGLVAIFLKSLVSGSCSVVSVDKLSDSASAVQREFFARLSMGERVYLRLVQKRMNQFLLDEVTHVPFL -TNALKTCVDTMRAGARVVWENSGKILMVCSAILALLVLAQGFVGALSLFAGSASLATGVGVLHSMDIQGA -SNASSSSYYDSTRGHNNRVNHKHMHLQGGNPTLPCHGASLSLYGPNGFFCPATWARGRSFWITRHQAFAI -PDRASMALIMSDGTRVTFLWEASRLHEYAESEICRYFSPAIPPLRESLARKWYLNDYEKHINMTTCDIYG -VTVRRTGKSYEEREIQWWKVPGSIVYKQLQIDDAYMGGTYVHYVPKYIHYSAQTQLHDCGAYVCALIAGD -WRIIGFHISRKNGQCAATLIPDVIEQDVQGFSFVPKDGVLTDGYLKLGFVEPERAPRMPVKTQYVEVPRH -VQLPAALQDCKVPSILSADDERIPQGVTYDPYIQGMEKFATPMSELDDEILRRVADDIVEEWHECESFED -VTLDVAINGVDHEELDEDEAEFLDPMVMNTSEGYPFVLERKNQESGKARYFEGVPGSMQLKQGTTVYAAY -AKLCAEIPHSVPELVCIECVKDERLARRKVFEKPKSRLFSILPLHFNLKLREKFLHFSKFIMQNRHRLPS -QVGINVHSREWLQLYARLGEKNTRAINCDYERFDGLMTAQVLSVIGSMINRTYKDGKDLGGKERHNLLMA -LYCRKSIAQGDVFEVRCGIPSGCALTVLLNCIFNEILIRYCFAVLVPAPRKSCFSQYVCLLVYGDDNLIA -VAPSIENFFNGNEIKRVLAELNVNITDGICKQSPTIEMRQLEDLNFLKRGFKVTVGDRVRAPLELNSLYS -SLIWVASRGEDVFDKLFLNVQVVLRELWHHDDRDLFDKLRGFYVSEVPSWGSKLLTWRQVEDFHHQQLIG -MPRITAAQDLDLLIRPEVKAFCTSEGASDMTFSLAPGIKIAGCKFVQKRPEDIIVSFSPRQPCEREDPNV -LTETVVYGAGVGGLPTRMWGRKFRSEKKWPEFARCMATLRDGGNVYFRDVQPLAGAWIAAIYFADKTNRC -DLETGKLLCGNLAGKQGHQICKWFDTELHGDVTWKVRGDPSAFGKTRMMQLGWQTENVGPIPYALGGVKG -SINRALASEEFLPLLAIHSGLNNSGQQEVRIGARCSRRCRGHHHVVHCDGIKEVDTENLLRQCLKSIRTH -IC - ->YP_009507921.1 polyprotein [Melon mild mottle virus] -MAWACQAKACLKFGLPVSNAEARELRYVCDAVMCGASLMKRSQIGGLAIPQVVPVVGPIRPQEASLAKKL -TVSGPVRPNGDSAPIQKQKADVVVSLGEPLLMEFVYPPLVKEAISSQEEVPAMLLASGCKAMAPFGAVDA -KVVESAPLPAWAAPAWLRAMPKQCTPAVRPKTLFPKRAVAFNGINFIDARGRIVLSDGARKILKGVRALE -KRQRKAAAIARRVERKKRAAMKAAAAQKKQQILWAQMQRAQAKAILKALESEVQLSSAQESLVREEIRAM -RMASETMACKVRAFKAHRAALVAERAAKAAEVQLLEQEGCIEDDWEMVPKTLPVRRVVAKASPLEKAISH -VERMWYSAEYSSVLKERMQTEWDSYPFKRLAVGRLCSAFLVAAKFTVPEVLEENLLMIDDLTVANLNEIS -EGLEEEAQHFGPNEFEARAGIVNWIKETAVSLAKLPKNLFCSAKEKIEDMVISTMQSVFEKTMTPFLGHL -TSCAELFRTFWDKCKAWIQKIRENLSDALLALQEHALWALVVMMAGGIVVLAETILMKLGVLERVGNVLG -LFLTLFLTSLGFSAISLGADKFIALNNSFKMAVCTMLKPPELDSIVPGDVQNEFEARSLVGGLDVAISAL -STVGRSLCSLKFGTLMYWGKIGSAFDQLWRGKKAVEELGSWLVEIIGNIADTLTGRHIEFFDELAATVGG -DPKLWLKRAHDVKLQCQTMDLSGRMVLETVENLLAEGQNLLVGISGVPRRTSTDFGMIIKKQVEELIELR -SRCAKAGKFEGTRVFPFWVYVFGASQSGKTNFANSMVAPELLAEMNLPRDSIFTKGKSDAFWSGYCRQSC -IMIDDMFAVKVEPSIESQMIDVVNSQAFPLNMAYIEDKGMLMDSPIVVTTCNEEKLPSDSGVRDEPSFYN -RRGVVVECRRVEGSKYNPGNLEACAEVRLLQPKHQAKATDPARGAPLTDWMTPSEAMAVIKTKMGEHMGD -EMIRINTFRSQRGIRHPIFEFAKDFLKDLDLIGHPLSESEIEECNIRMKEGGERPRGFSFLALDRQLFVY -NALHRLVECTFDKMPLHLEGITLDNVYNDIHVRLARDPKNGFVGSFLHEMVNLQHNIISVDKMTTKCSAE -QQTFFHSLPLTERVYLRLSQLKIDTIHREAGASPGEFAYQKLMRAMKKTYAAVADNGRSIFLLLAAVVCI -VISFYGLFSILASCFGGASVASAAIAMNKVDAMNGLNSSSASGPSVRRPNRYMPVQQRTVLARSMEGEEV -PEWHSLIVEIHSGHASQFISACQYFGRSLLMTRHQALDLKRGQEVVIAYDKSYPLYHVWNPDNIVEFDDS -ELVVYTSGDLQVLSNARKKRFFLEDFEADLPSKFRAHCAGVRLASDGTPKTNEWKSDASVLTEKATIVRY -QGKQCVYQRQIPKYVVYPFEAKDHDCGALCMAFVNKQWKVTSLLVGYADGRTTCSLLPAWQPMEAKSVLS -YNEEIVEIAPGYCKVGWLPVDQTPHLPKKSQFVEVPLKYQPKNVEVKHPAILSKDDERLPKILADLEKKE -GLPKGSMSYDPLINGLEKFAEPMEAVDEGLLRHVADEIVEEWFDCLEGSLSDVSLDVAINGADGEAEKFF -DPLVMSTSEGYPFTLTRTGGETGKARFFEGLPGERTLLPNTPVEIAYRELCEYEGIPELVCVECPKDECL -VERKILKPKTRLFSILPLHYNLRLRQKFLSFSAFLMRNRHRLPCQVGINVYSREWLDIYTRLAQVNDVAC -NCDYQSFDGLMTYQFLNVIGDMINRCYRDDSEKSLSQRKNLLLALYQRKSIAGNQVYSLRAGIPSGCALT -VLLNSLFNELLVRIAYRSLVPGVNRDRFSKCVCLLVYGDDNLIACSQSVIKDFNGNALKDWLAQYKVTIT -DGKDKTAPTIEERPLLELDFLKRGFKLAVGGRVLAPLDKKSIYSSLVHVRAKDLDWVPLLFDNYQNCLRE -LVMHDDREEFEALRKYYETLFPSWKGSSLTWNEVQSWHTAQLTGNSGLSYNDRMDVLINPQFSTFMQQHG -PADVINSVDNNFSIAGPKWCDRGGDYFVVSTFPLFRGEVGIHVPIVPGGGIGCMPTNNWVRNWASGSCEY -SQKISEAISDKKHVVFRDNQPYIGAWTALISFCSGFGFRTVEESMILYRNICPKDPIHLSTFFSWCNKRT -PAGHLSYTGPALVSVEEPFVKYLQDEFRLVPFDEVVYPHICVTTELVKGGRKHYAFKDNALVSGRASFAA -TAKLKCSSMCAGHEAMGIVLVDIGVGNKWEDRFLYDDCSFEAACRRSRLPHYAAINKVRAMTCLGKWCGN -VTIN - ->YP_009507920.1 polyprotein [Blueberry latent spherical virus] -MEYLSRSQLKPRGGKVPCGVVPRAVLEASRLAKTILAKPANFSLTFLAQGASLKPRSVALACANGVIATG -PGFCIYTPEGIPLSWGDAARRVKPFCRALAKSESGLYQKMTEELAFRKFQRQVPHMVAASKRLSARKQAS -RAKGAATVAARSAAATAELEARRAAGATKKSALRRALKKKATKKVVSASAAMQRGEPTLGSIFPFSSSLF -ERVSVRPLGVPFNPPQREDFLSSSSPIPACPGMGIASLPLMGRILSLAPQVEQLCELCAPGAGSSFSSAV -ADLASSSTLHERDVFFRAMVAISQLFSAFPNAYILGNFVGFHFYNCEAILNLVEQANSMALYYICLFKQG -PIVHSFLDSFSDVASHISGAARIVGNVVKNFSSSIFDSILNKCESIFFKILSPYMATLHSSKVEIVNFWK -KCKDWATNLWANAHLALQGLGMYAIWALVLMILCGIVYLLETMFITAGAISSHGLLVSGFLCLVMAACGY -TVFAVGKESAQMIRVMRECILMAVIPDDVVKDIAAIPAPGEQQVHSLLDAAMVPIKFLDSLASGLSLFST -SSVTVLGKLGNSLEGIRKGYNCLADFISIFLNYTGVCWEAVSGKKTSFFRDLATTVKINVANWTEDARRL -IEYHEMAGLLDKFEYEKVRTLIYQGEEMVDIANKGRSSHTSTSFLRTVGSLLNDLRDVRAKCARSLRFDG -WRRQPFWVYIFGASQCGKSTLANYLAPLLLTHMGWDAHDVYSKDPTESYWSGYYQQKCLKMNDLSAVIPR -NNVPLEQQLIPLISTEEKMVSAAECEGKGIQFLSEIAISSSNVEDAPTAAELIDGNAYRLRRKVFLRCRR -AAVWVHDDKGNRTEAIDAEGQIINRDYNPSDALDCVEVQWLHSSTGLPLPGPPGQWHMATSTIPLIKDAM -DAHFLQEDIKRDAWVQQTDMKSKTGREVSSYLSGLMGALGSYKAIQRSSETSEAGERKFLVAVDGQIYSL -DSQGVATLEANDAYDNVEALEAVSLNQYRLDFSKQVREHCLLTCNSSFHSSLVRDILEDMLVNDACIISV -NKISRDTKQIHRDLWKELKLSERVFLRISQKALNTLREQPHFKVDLKSQILDSFAVFRDSIVDNRQKILL -FLSAILLVGTLSWSFLSLMKAFLSGSVGFGGALALKNQLDVHSCAASTASVATSVYSSNSIPIVWAQAAR -YANVHSCLEENHNFKYFEDGLAHLLVRLVGSSGISENAILYGPRSIALCAHQIRLFPDHDRVQIHYLDRN -HIPKCFNFTWHYGNALEQDDTEVCIYRDDQLTPLPVYSRNLYIPGGEKLASAVNINGVCIKKRKFFHADS -LTQAERQLDGETPIIRSWSNVGALCTSKQTISNPKPGVAYSRTLNRYLNSTYASGVHDSGGLITTIKDGV -RKVVGLHVAGTQEGHLFKSTVAFLPTGSFADIHSGEDFFVPESGIETAGYVKIGYIKNPALRPHVSTTSQ -IGRVTPNLCIPLPTYNIDDDEHFVDADEVFEIKENAILSKKDERLKDLISNPEAFNPLQDGMVKFANPML -PLDEEILEKVCDDMFDTWYDMLPQTDMGAPQFLQKVDLDIALNGIPDDACMEAMKLDTSEGYPHCVERAP -GESGKRRFVEVDDTFHVSLKEGTKVYENYHNLSNTISKNIPVLNCVECLKDECLKKRKVATPRLFDVLPF -EHNILLREYFLSFSAFLQHCRIQLPCCIGVNVYSREWTTLYDRLREYSDTGLNCDYSKFDGYISHQIYGW -LAATINRLYRDGVEANAARNNLLLMFIGRRSICGGQVYMVNGGMPSGCAFTAMINSLFNEILIRYVFRKC -TPAPMKNFFNSYVRLMVYGDDNLISIKEEAIPYFDGPIIKNELAQVGVIITDGTDKTSPTLQRKPLESLD -FLKRGFRRLSTGIVIAPLDKTSLYTRLYYSTAGDDGYCNLDVLRANVKSFLEEIVLHPNHVNEFFRVRSF -FLKKIPAWADFLPTYAAAQDFHYRQQQTQSPYLVPRILETRPMGTEYKMMAGQDNHDNIVWLTSRVAICG -PKYPVPSGPLHFVVALSSFVRTCERGVNYKVDIAEGSGQLPTQSWTDSFSSSKKRTALHTAYGDGATIYF -RSVMPYYSSWCAAGRFLKSQGISTSSVIALFEQYKPANAGNIAPLLATKEYRRYSHRPIFDLSSIKQHLA -AS - ->YP_009507918.1 polyprotein P1 [Aeonium ringspot virus] -MGFHCPVLNCSFHNVDWSRKALKEEGLTFSMRCPGACCGALLQRREQQDVKQVDSAPASRKSVDSATPKC -LCWLASVGVSRCPKHSPVASATKSKSPPPCVEKSKSAPRAAVSASPLKKQNCDIVVAIGPPVDLELVYPA -LCGNGTATPKKVEKKSLNEVVLEKRAEYQARTVVPPPGPIRVVKTAAAPVKAERTKFPRGAVVYNGINFF -DAKGRVVLSAGALKILRGIKRLRQQQARSARRLAACRKARLARFEVMVPGLLKKASEAQFGGFRYVDLNA -PKSANLACKMPKKKMKKTTKKVAGSASPVEEEINWDDFIIPDSERTASPMKEEKKSRQPLVPNCLGFGWW -RPASGSLWSSVLHCQRVCRGTFLAASAEANLVLAGTDDELLSVWARISASVVDLSAHYPIPTLLENYSAL -SECSLEELKGVAVQLDSEYQELGPPTQYTCGLSSWARGAGKLVADFISPSFERIAGIANGVLDKAYTLSR -AVVDQIFAKMKSLFYDCFGHLMGHLNVLLSTVESFWSRATTWIMNILEKTHDAIKVLRDASVWSLLLILV -GGMILLSERFLCSLGIIGKPGTILGIFLATFLGIFGYTFFKKDDTLVSDLLFVFKTAITGLFRTKPGPPG -SPIIIDGDVVIPEPHHEMSTCSFLGGLDIAIAAIGNVGASILSFKMGTLQYAAKIATCLDQLRKGKDVLK -EMTCWLIETLGQLWNKITGREATFFDEVSAIIAVDIREWLEESQNLCLAAQTFSIGDKIVLEQCERLIAD -GHKLLRGMGDTDRKLSSSFLSTIQRKVTDLEKIHTQSVRAGYFEGRRMEPFWVYIHGPSHCGKSLLMEPM -SRELLRAGGYSEASIYTKNSCDKYWSRYRRQACVQIDDLSAGKTDPSLESQLINLVASKEVPLDMAEVED -KGILFDSAILVTSSNTAHVPTNANVNHMEAYKNRQNIVIQCRRKPEYSTLGVELEGTFQAFDPRNPQASI -ECMIQHRETHAPLTGWISAGAAMAEAVNQFRLHREKEMILQSNHLSSFRPAHPIYTECATFLSMYARDAS -FVPPVDLGCKWQVPAGFSTIAAVDGRVFGFSQLGVCSEIKTGLEFSEEMEQYTLEKFAPNITRTLASQSR -FKLVGAFLKGMVRDEDNVASLKSLGPKSTATQREFYETLGLAERVYLRAIQKRINKIRDDPGFEVDKLHA -KLLSIVAQSYEFVKEKGPKIFPLLMGFIVVVFACYGFVMPLLSFASGGSAVGGMVAMEQMTAASVISSGS -SPVHHRSRAPPIQPRYARHRIAGSTPDEAFQYEELMVVLYVDSTTAPVVNAIRGPGRSLFMTEHQAMAIP -NNSTVVAHFAGRECVEIHWEHDTARKGKREETEIIQYRCPSIPELPSKFRKYFEYDLERDLPGPFTIDAS -VYRMKSPGSVELELVNWTNHDAELITKALVISDPFGEDRYRREYPRYIRYRRQAQLHDCGAICVTKINGH -HRVVGLLISTDKYNTGVGLLPSALHMTTCSLSYVPEEWEDAPRGLKKRGWKHASELPHMPSKTQYVKVPE -EFEIPYENPKIPSVLVADDPRTIGTPVEGQDPVLVAMEKFYEPMLDFDDTFVAGSTERDLFEQVCDDIVQ -TWYDAGACFEDVDDDVVINGNDDFDKLIMDTSEGYPYVLERSHGDKGKTRYFEGGPGAYTLKPGTTVFHD -YHALQQEVSVEGGIPEMVCIECPKDELLPRRKVLEKLGTRNFEILELPKNMLFRKKYLSWALFLTEMRWC -LPCQVGIVVQGREWGLLMDRIASKNSVAYNCDYSKFDGLMSCQVLNAIGKMVNRCYSNENPNFRGRGGEV -PGSPPQLARHNLLMSIFGRKCLARSQVFEVRGGIPSGCALTVLLNSVFNEILIRYVYKTVVPSPQYDRFE -SFVSLVTYGDDNLISVDASMASIFTGEVIKKTLARKGVTITDGSDKLSPTLEAKPIAQLDFLKRSFLVEG -GQVFPALDLSCIFSSLKHVRAEGADVIPILHQNVQNALQELYYRRDKEQFDYVRTFYLERIPVWGTGKNR -LIDWSYAHRHWISRYTGDPSANPAGVVDILVDPRYKSFLLPAGPADWCMPIADRFFVCGPKFYPQGHSFT -VCFNRLAAGENGVQIKPVHAATQGAMPTSKFVDSFRSVKRREELNLVLSAYDTGANIYFKGCAPYNDIWA -CAIAFCSAFGIAQKEILLALHDNSKPIGASSLRSYFNHKIVGDGCARRLEIYSTKPRADIVKRVLPQVQC -VHIDYEPGFSSKPTTHLRRCTDSGADGGKAMYIVQGLGKTAAKLVCSDLCDGHLASCTNSFEKMVIDLFK -QSCF - ->YP_009351878.1 polyprotein [Peach rosette mosaic virus] -MDFSFIEYLPARKRKNVVAIVPRAVLEATRIATSILAKPANFAISFLAQGASLKPRSVALAVANGVIATG -PGFCIYTPEGVPLTWGDAARRVRPFIRALAKAESGLYAQMGEELAFRQFKRQVPHIRAASKRLEEKKAQL -RAKGAAATASRKAAIRAALEARRSCGGTNKSALRRSLKKKATKRVVTAALATVRREPTLGSIFPFSSSLF -SLPPIPRDKGVSFNPPQREDFLLSPPPPLMGYAKAHFLMGPIVDLGASLGPILEAGAPGAQAALFAAIQK -LPLSTFHERALFRDTQIAVSQLFVLYPSVHILGDLNSFFLQDCHGMRAALESAKRIADGISSILPQHQVV -HTFLDAVKRVGSHISGAVSIVKDKVSNFTSSLFDSILDKCKSCFMSTFSPFLASLQSAKAEIEKFWQNCM -SWARNLWGKAHLALQALGLYAIWALVLTILCGIVYLLESLFITAGVIGSHGIILSIFLSVVMAAAGFTIF -TVGKESAQMIRTMRECILMIVIPDDAAKAIAEAEPDSQQVHSLFDCAMAPVNFLESIASGLSLFSTSSIT -VLGKLGNSLEGIRKGYNCLTDFISIFFEKMGGLWEGISGKQTTFFHDLTTAVKINISSWTQDARRLIEYH -EMAGTLDKFEYEKVRTLIYQGEEIVDTANKGRHSYTSNQFLRVVGSLLTDLREVRAKCARSLRFDGWRRQ -PFWVYIFGASQCGKSTLANYLCPLLLAHMGWDAHDVYSKDPTEGYWSGYYQQKCLKMNDLSAVVPKQVSP -LEQQLIPLISTEEKMVSAAEIDGKGIQFLSELAISSSNVNDAPTSCEILDPEAYRLRRKVLLRCRRAATY -QHDEAGNRTEVVDAEGNIVHRQYDPSDALACTEVQWLHANSCTPVPGPAGMWHAAHSTIPLIKDAMDAHF -LIEDAKREAWVQQTNMHSRTGAEVSSYLQSLVCALGSYKAIQRSSEVSDAGERKFLVAVDGTIYSINSLG -IATKEAADAYDNVEALESTTLLQYRLDFAKQVREHSLLTNDGSFHSSMVRDLLEDMLVNDACVVSVDKIS -RDSKQLHRDLWSELKLAERIFLRVSQKALNQLRDQPHFKVDVQSVFLQHMADFRDAIVDNKQKILLFLGA -ILLVGVASWSFLSLMKTFLSGSVGFGSALALKNQLDIHSSVASSGSIAAQYSARSIPIVWAKAARYANVH -SQIEESSHFNFFEDGLAHLLVRLVGTSGQSETAILFGSRAIALCAHQVRMFPDHDRVTVHYLDKARIAKC -FPMTWHWVNAIEEKDTEVCVYRDDQLTPLPVYPDSFYLRGEIQLPSAININGVSIKKRRYYEDASLTPDE -RLLDGDSPIIRSWSNVAALSTSVQTISNPAPGIAYKRDLNRYLTSSYAAGVHDSGGLISILHQGRRKVVG -LHVAGTRVGHLFKSTISFLPHGNFADVHSQDDFFIPEVGDREAGYEKIGFIDNPAKAPHTSTTTQLGRVP -TNFEIPLPIFDEEEEENFVDAGETFEIKEPAILSKKDPRLEDPDSFDPLRTGMGKFANPMSVLDETLLEA -VCEDIFTTWYDALPTVTDNQGNVSRVLLEKTSLDIALNGIPGDAYLEPMKLDTSEGYPHCVKRGPGESGK -RRFVEIDDNFHFSLKPDTDVFRNYQALSGTISQQVPVLNCVECLKDECLKKRKVATPRLFDVMPFEHNIL -LREYFLNFSAFIQANRIYLPACIGTNVYSREWTTLYDRLAEYSDTGLNCDYSKFDGYISHQIYSWMAATI -NRIFRDGEEANSARRNLLLMFIGRRSICGRQVYMVRGGMPSGCAFTALINSIFNEILIRYVYRKVTPAPA -CNFFNKYVRLMVYGDDNLLTIKEEVIPYFDGPVIKKEMASVGIIITDGTDKSSLTLERKPLSSLEFLKRG -FRVQENGLVVAPLDKTSMYTRLFYSTAGIDGVYSLDILRDNVKSFLEEIVLHPNHHREFFRVRNFYVSKV -PHWGDILPTYGAAIDFHYRQQTTNTPYQTQRIFETRPHGGEHKMMAGQDCRDQTIWITNRLAIIGRNTSI -PKGSQHFVVACGSSLRGGERGVTIKVETAPGMGCLPTQAWVDSFSSPKKRPSLFEAYNEGCTLYFRSEMP -YYSSWCALGRFGKSQGLSTSSIIALFEEYKPTKGGDIAPLLAERTYKKFAHRPMFDFSGIKQRMSAS - ->YP_009330271.1 polyprotein [Soybean latent spherical virus] -MSFHCPSSTCLGYTQSFSRRDMREQGDRCPHAMCGALLVKKVPTLSPQGARPVVSKTTVVVPPQATEEVL -YYGCAPRPAFLEAVLAAPKLKMAPPVVDAMEVAYLRGVAAMEAEEKKLLRKEKAAVVARAKARRAARKAA -KKQVAEMKATVALKLATRQKTARLSAAKRAMKAKRKAAKEAARLALEERRAAGLTRKSAFRRSLKKKATK -MAGRKVPKTSTSTFPKVESFSCFPSSPLPAFIREEQPWVAVNTPCPHPEGFDLAVDPAFVAPIVGPIVSV -PFMAPVVKAFNSLVEILAAINYEAAVDLRAYILEIASYENFFALRRIFVGKALSWMALILPLYPYAGIFD -TYLPLDMVEDKNCDAYLASLQQTAVMVLEVTQGPMDAHSIFDIVRSVGSKVASIKDKVVGCIVEKSAALL -DTVIDTAKGMFFGVLGPYLARAQKIGEEIKKFWDNCVEWATKLWQGAHIALQALGIYAVWAIVAMVLVGV -MYILETILICLGVMSGHGVLVVALTGAVMTFLGFTVFQMGREYIHFLTALRQIIFLAVIPQGGLTQVNIM -DSSVDVTEPHSLLDTAMAPVHFLETVASGLTFFSSTSVTVLGKLGNSLEGIRKGFNCLRDFTSVLLEQFG -NAFEYISGKRTSFFRDLACAVKVDIARWTQDARETIEYFEISGSLDRYEYYRARELIYQGQDLIDTANKA -RSSHTSVKFLRTIGKLVEELKEIRAKCARSLKFPGWRRQPFWVYCFGESQCGKSTLANYLMPLLMSHMGW -DPQDVYSKDPVDGYWSGYYQQKGLKINDLSAVNSKDVAPLEQQLIPLISTEEKMVSSAEIEGKGMQFASE -IVVSSSNFNDAPTGAEILDADAYRQRRKVLMRCRRAAEWVHNADGTRTEKLDAEGKIVYKPYDPSNALSC -TEVQWIHPVSFTALPGPCGQWHLAEFTIPMVKEAMDAHFLQEETKMASWKSSLGMKSQTARKMGSYLQDM -IKGIGNFVTLKGAKDSDPRYLLVAVDGKCYALDQQGKATPYEDCDVVEEIERLTLLQYRSYFADLVMEHA -DNTYYQDSFHSSTVRDFLLALMKDGSTVLSVDSLGSSTQDIHKELWAELGLSEKIFLRVSQIARNQLRDA -PHFKEDVAHNFLETMRHLRDAIVDRKEQILLFFAAVALVGLFSWGFLSLIKQFACGSLGFGAGIALREQI -AHSSVMSSGSVTSAFVARNMPVVWGKAARYATAHSSKEEVGANFDYFEDASAHLLARVVGSSGQSETCLL -FGHQAIALCAHQLRLFPDNDRVTIHYFSKERRPVCFSFTWHYINAIEWPDCEVIVYRDAQLTPLPVYSDD -NYLCGEQTLPTVLTITGLSIKKKKFFDDSTLCPSEKILDGEMPIVRSWKDTASLRTQVQTILSETHRRDI -NRYYTSSYPSGKHDSGGIITAVINGKRKVIGMHCAGAQNGKIYVSTIGLLPTRNINDAHSAADYFVPSIG -REEKGFCKIGWIADPSKRPHAATKTALAPVPKELALPLPEGVSVKIPSILSAADPRLRDEVNPEFKDYDP -LRVGMDKFANPMDPLDDEVLQRVCEDIYETWYDCLPILDDEKVFLQKTSLEVALNGIPGEACYEAMRLDT -SEGYPFVLERKPGESGKLSYVHIDENGVRSLIPGTSVFRDYHELSASILTQVPVLNCIECPKDELLKPSK -VLEKPGTRLFDVLPFTHNILLREYFLNFCVFLQQNRVHLPCSVGVNPYSREWTWLFDRLAAKSDKALNCD -YSKFDGLISHQVYMHMVATINRLFRDGEEANCARKNLFLMFTSRRSICYDQVYMVKGGMPSGCALTVIIN -SILNEILVRYVYRITVPMPAKNYFNKYVELVVYGDDNLIAIHDDVVSFFDGPIIKEKLAEVGVTITDGTD -KSSPTLLRKPLESLDFLKRGFRKIEGGMYSCPLDKGSLYSRLYYSAGGKGGLFQLDILHDNVKSFLEELT -HHADHYEEFQRVRSFYIKRIPSWSATLPTYATCLNMMEGQRNSATPWQPHKFIETRPSGCETKMMAGQDN -HAQKICVTERIIICGEKYTPPILETSFVVSIDNPLYIGERGEYVKTEFGSGMGRLPTDAWIKAFSSPKKH -PQLFEAYKMGATIYFRSCMPYLNAWCAVCKFALAHGIDQSSIIAMYESCKPRGAGDIAPLVAVKAYTKFV -NRPVFKFKETVHKEVLEAHNSVRVA - ->YP_008802578.1 RNA 1 polyprotein [Potato black ringspot virus] -MGFICPNLDCLGNKTVFSRKDLRDEGLTFSMRCPRACCGALLRKQEDIEVVDSATTSRKSLDSAKPKCLC -WHKSYGLARCPKHQGVAPVQTVSFGDEEVFTLVTPTPVAPATKSKSAPSAPLLKKQNCDVVVAMGPPVDL -EFVYPALCGKGSAPTTKAVKKSSAEVLLEKRAAYQAKHAVPTPGPVRVVKTAATPVKVEKIQFPRGAVVY -NGTNFFDAKGNVVLSAAALKILRGVKRLRSQAVRAERRQRICKKIRLAAFAAKVPELLRRASEATSGGFR -YNDLNAPKCADSVARPRFSRKGKKTKSVQCTARSASPVQEEINWDDWIIPESERTASPVKEEKTKQPLVP -LSLGFGWWRPASGKLWTQVVHCMRAVKGTPIAVPCEKFLCAAGADDTALSVWARISSSVVDLAAHYSFDI -LLENYTALEGCTMDVLQSVAVQLDSEYQEMGPPTHHTCGLSSWARGAGKLMADFITPSLNAMKGAANAVI -DRAYLLTKDVIDGIFSQLKKLFYDSFGHLLGHLNVLLSTVDSFWQRASTWVMNILEKTHDAIKVLRDASV -WSLLLILVGGMILLSERFLVSVGVITQPGTILGIFLATFLGIFGYTFFKKDDTLVSDLLWVFKTAITGLF -RTKPGPPGSPIIIDGDVVIPEPATSMSTCSLLGGLDIAIAAIGSVGSSILTFKMGTLQYAAKIATCLDQL -RKGKDVLKEMTCWLIETLGQLWNKVTGREATFFDEVSAIVAVDIREWLQESQDLCLAAQTFSIGDKIVLE -QCERLIADGHKLLRGMGDADRKLSASFLSTIQRKVSDLEKIHTQSVRAGYFEGRRMEPFWVYIHGPSHCG -KSLLMEPMSRELLKAGGFSEASIYTKNSCDKYWSRYRRQACVQIDDLSAGKTDPSLETQLINLVASKEVP -LDMAEVEDKGILFDSSILVTSSNTSSVPTNANINHVSAYNNRQGCIIMCRQKPEYSPLGMELEGTFQPFD -PRNPQASVECMLQRWEKDILIPVTGWISAGAAMAEAVNKFRLHREKEMILQNNHLSSFRPAHPIYTECAT -FLSMYSRDASFVPPVDLGCKWNVPTGYLSIAAVDGRTFGFTQFGVCEEISHILKYTEEMEAYTLEKFAPT -ITRTLASQSRYKLVGAFLKGMVRDEDNVMSLKSLGPKSTSTQREFFETLGIGERVYLRAVQKRINKINNE -PAFNVDNLHAKLLNSVVSSYEYVKEKGPKIFPLLMGFIVVVFACYGFVMPLLSFASGGSAVGGMVAMEQM -TAASVISSGSSPVHHRSRAPPIQPRYARHRLAGSAPDESYAYEELMVVLYVDSTIAPVVNAVRGPGRSIF -ITEHQAMAIPNNSTVVAHLSTKDVVEIHWEHSVAMKGKVKETEIVQYRCPSIPELPARLRGYFEYDLERD -LPGPFTLRASVYRMKSPGKIDLELVDWTNHDAELKTKALVISDPFGEDRYRREYPRYISYRRSAQLHDCG -AICVAQIGGQYRVVGLLISTDKYNTGVGLLPSALHMTTCSLTYVPEEWEDAPRGLKKLGWKHVSELPHMP -RKTQYELVNESIRIPFENPKIPSVLVADDPRTIGTPVEGQDPVLKAMEKFYEPMLNFDETPVANSTEMAI -FESVCDDIVQTWYDAGADFEDVDDDVVINGDDEFDKLIMDTSEGYPYVLERSRGEKGKTRYFEGGPGAYT -LKPGTSVYRDYHTLQEEVSVEGGIPEMVCIECPKDELLVPRKVLEKLGTRNFEILELPKNMLFRKKFLSW -ASFLSEMRWCLPCQVGIVVQGREWGLLLDRLSEKNSVAYNCDYSKFDGLMSCQVLDAIGKMVNKCYANTN -PNKKGRGEVPGSPPQLARHNLLMSIFGRKCLARSQVFEVRGGIPSGCALTVLLNSVFNEILIRYVYKTVV -PSPEFNRFETFVTLAVYGDDNLIAVDPVMANAFTGEVIKRTLARKGITITDGSDKLSPTLEAKPLSQLDF -LKRSFLVSSSGQVMPALDRTCIYSSLLYLSSKGADPIPLLHQNVQNALQEMYHRQDRAEFDTLRNFYLER -CPTWRSGPNRLLDYNQCHAHWISRYTGQPNSNPAGVVDMLVDPRHKSFLLPAGPANWSMPVADGIFVCGP -KFFPNAPSFTICFNRLAAGETGIEIKPVHAATQGAMPTGKFVKSFRSMKKRPELELALAAKDAGSAIYFK -GCAPYNDIWACAIAFCSAFGFADKQVLLAVHDNSKPIGASSLRSYFNGNLAGDGCARRLEVHSKAGQFAA -VQRLAPTMQCKQIVYDPDFASKPTTHLRKCTDHGTDGGKALYIVQGLGVTAAKLVCTDLCDGHVVSCSNN -FDRMVKDVLSQSCF - ->YP_006576510.1 polyprotein p1 [Grapevine Anatolian ringspot virus] -MDRAMMTLPYCKYNNSLNKYLFYSNLDIDLDNFSFYYNYNRKLKSLKDFFNNRVLSALSTPAPVSLSSQF -VEDFSVLILDKLRINPFLHIWEETLANWPIFPGTSLLDFCRTQYEVRREAAEASAEALRLKEAKRQEAFD -NEVKFLISEGALPAYAPGFARKIWAAGKEQKKVRKNLVTKIRVAKTLGQRFRSAQALAAAKAEVLAEKEP -TPAQIQSAVKAQAYSQALSEKWATFVAQVRARRAAARLERENALGKEVCALLDSPLLPPQEKVDLVMKAK -KRSRATFEKTPVMQTVGTAMDPLCPYMGLGARDADVRCWAISKAGTIAVSHPRLASAIYSWVVGSTRSFE -VSEPIRKFIGNLTFLVDFYPEDALIAELGRITTEAQLFAASLVLEEEKQKLRAYASSVNCKANIFYKLAA -GVKNAACKAYGGFLEGCEQAGQKLSEGICSVMIKHFRDALTAVKHELGIAMELIEVLISRVKAWYNTLLI -KMGDAVATLGKYSLYAIAILIGLGLCRLIESCIGGAGMLSSIFAAAIFASIGLKCAGGWDNLQREMIGMC -TTMARSLFGSESRANKGLDGNLKSIPIISSVVTAMTTFGTSLCSLQGQSVVEIGKIAGACHQIRMGKEAI -KEFAATISYYLGRLVDKITGRETIFFDELSTLVAVDVRGWIRRAQGCILESYHTDPGCTAFAEVVARLVD -EGHKLQVGVNGIPRKISADYATLIGTIMKDLIELHKRTVRSGCTEGRRKEPCWIYLWGQRHCGKSNFMSE -LDNRLSAYFDLPNTVANRNAKDQFFSGYMGQTFLHVDDLSAIRTDPPMEGELINLVSCQDYPLNMADVAD -KPIYFRSPFIISSSNFEDVPAASGIRDVEAYRARKACLIEMRRKPGAVYDEINPLAASQARFMDPMTQLL -MPGMTEETSWMEMPDVVTEVINIAARHRAAQDKLQANFFAAYVHAMIQVALALQEFLRKGCPLLLSRLPD -LEVEKGWYPSQRRKGFFVDGVLYLQNAQFSFDSFPVKDCMYGKLWEKRMQEKFLPAIKAGGVLDTRSMVV -TGFLRSLVNGDCAILSVDSLSSSATACQQRIFQNLQLEERVYLRTLQVQMDKFMQDLPENPYSNSAWVKV -LTAIASGREYLANNGCRILLVAAALLIVLVSAWGFWKLFIGLFTGSMSLGAAIGGLGAIDIKAQHSSQGQ -DKGYRSRNIPVHHRYAYARSQAGDGLLPAARLCVAIYQPGGGFVSAMQYKNKSIRMTRHQALRFQEGEQL -TLVFASTGESQMIRWHKYHMREEPGSEIVTLACTKSSPSFLVICDLFLEDKETELPSHFQDDGLCVESCQ -NCISLCTLDTYGAVNKYAPLPLKGVVNDDLYLHEIPEKIVFHYESRNDDCGMILTCQIKGKMKVVGMLVA -GKDKTSWGDILPANSLAELRSQIEHFPKFGEAENGYFKAGYVAPNLAPTMPKKTNMVRVPEELRVPCDVP -IKEPSIISPQDPRCPSGVDPPKAALKKKFSEPMAELEQEVLDEVATEILETWYDCEDHILEDIPLSVAIN -GIPAGGEEAELENFVMKTSPGYPYFREVKNVGKGKHPYFEEAEDGSLKLKEGTMASELHENLVEFTKSEV -PELVVVECPKDELLPERKIKVGACRLFEIMPLHYNLFLRQKTCAFTQFLQHNRHRLPCQVGTNAYSREWG -HLLSRLMRPKTTEAINCDYSGFDGLLTPQLVETIAKMINRLYALSGESEVSQAQRYNMIMALCGRYALVG -ADLYKVNCGLPSGFSLTVVVNSVFNEILIRYAYRKLAPAPQRNLFGVNVCLLVYGDDNLISCAPSISSWF -TGEAIRICLAEKKIKITDGSDKDAPTIEPKPFGELDFLKRKFLKLDNGIVQAPLDRSAIFSSLHWLTPEK -SKFHESQKPIDYLGDVDVVKELILNVNVALVELYLHNDRTEFDRVRGFYVKKLPTCVDQFRTWAFCESFH -SAQQTGMLRHDPAKVLDYMVGPDFARFMRVSEQGNKAHFYCRDLGVCGPHYKPKDDEFLVSTVPLNGRSG -EYIPVKFGDGVGGLPTKKWVTDFGRSSQLKNAGGFLCRDLLVQVLESGKKLIFMSPAPYVAGNAAAICFG -ASVKMLNEKDALAHYRNSIPESVDGLERYFDAPIPAAAVGKCYFANGETYAALCQFKEAEVLNIDAPLGT -QALNEAAKMGKTPCLFASQQRGKFHVYMVCDNKMCPHHHATSPNYEAAFRHCWLAKCKTKACQVSPWFGT -KIS - ->YP_006347591.1 polyprotein [Grapevine deformation virus] -MWQVSEGSQCCCTGKTFSNAEAKELRYVCSCWMSTRLVKAEAPPQQSRRSGIAPTPLKSKGTVQVPFPKV -VGVKPNDPKSKGASVAPTPLLKQRCEVVVQYGPPADIELVYPPLVREEERSSKKVVLPPTPKVEIRVPVC -CAPKWMVAIPKPPVKLALKASKLRFPKRAVAYNGVNFIDSRGKVVLSEGAKRILKGIRVATKQRLRAARR -IVACRKVRAARALAKFEAIAQSERLDQLETGFQVVLPAPKMSCSPKGAAPSTTSVVVVKKRKLAKLPKAV -PEQDFSCLVGFDWGEKSHPIETDIEDDWVLVEKPVLLRQAAHTVQGRATEALTRFAATSGFSLDAHQKVE -DFASLGEAEYLMAGEFADLCLQSLVYNDAPVLSATIAELKEDTDFADAIELLKLELAELPTDSTTCAPFK -QWASAAKQMAKGAGALVGDFARAAGAAAVISFDMAVEFLQDKALKFCKKIFDVTMAPYLQHLASAHSIVK -KIWEKLSEWMESLKSKASLALEVMAQHAIFALGAMVIGGVVVLVEKVLVAAKIIPNCGIILGAFLTLFFA -SLGLTALECTAEEIFRLHACCKSAIYSMYSVNESTMVGEGESLTMGAASGLDTAVSALTRIGQSMISFKL -GSMLYYAKIAQGFRPTCKGKKAIGELTSWLIDLVGSIYSTVTGQESTFFDELSTIVCLDVRAWLLKSKRV -RLQVETMAVGDRITLNTIAKLLEDGHKILVTAAGVPRKMSADFTMCIKEEVSKLEEVHARTACAGINEGM -RAFPFWVYIYGASQSGKTTIANSIIIPELLDEMNLPKSSVYSRPKTGGFWSGYARQACVKVDDFYAIEQT -PSLASSMIDVVNSEPYPLDMAYIHEKGMSMDSPLVVTTANTVVPPTNSQVVDLPSFYNRRAAVLEVRRKD -GGIFDPEAYDSCIEVRFMHSKCPYVDSAGVPQGPAVNTPMDEGWITPSEAVAVLKNLLGVHVLNEEKKLI -AYRERIGHDHPIYNAAKEFIGNMYYPGQWLTAEQKLTYEIDDSEFSFLAVDGKMYKYNALGKLHPCTSKP -SHPNVIPWLEKKTLEIVHWDVHKHIATGPRNALVACFLQGFVQGQSKVESVERMGKDSSPEQQSFFRKLS -LSERIYLRLCQIRIDNIKQEELVGSSRGPMAVLRECLLRSKQVVVENYSLLLTLVAIILLISAAYTLLST -IVALAGCSSFAGGMVAVTAVNSASIPCSEPRMEERYPPRNRFVSRISKIRGEGPSQGQGEHEELVTEFYY -YSDGVKKLISTCWFKGRSLLMTRHQALAVPVGTEVEIIYADGTVKKLVWPGRQEDGNCKGFVEFPENELV -VFEHPHLLTMPIKYEKYFVDDADRQISPNVAVKCCVARLEDGIPQFHFWNKYATARSETHTLKDEGGGNV -YQNKIRRFIVYAHEAKKYDCGALAVAVIQGVPKVIAMLVSGNRGVTYSSVIPAYSSSYLRGDVPYVPEDG -IVTSGYRKVGYLHRSDAPHVPSRTAFMRVPDELCFPYPNPKQPAILSTEDERLKGTVHEGYDPVKDGMKK -FAEPMSLLDEKLLDEVAGDMVHTWYDPGEFLEDISLDQAINGDEEEEYFDSLVMDTSEGYPDVLDRKPGE -KGKARFFVGEPGNRIFVAGCKPEKAYYQLEEDSKTRVPALVSIETPKDERLKRSKIDTPGTRLFSVLPLA -YNLLLRVKFLSFSRLLMKKRGHLPCQVGINPYSREWTDLYHRLGELSDVGYNCDYRAFDGLITGQILSVI -ADMINAGYRDPIGNQQRKNLLLAISGRLSICGNQVYATEAGIPSGCALTVVLNSIFNELLMRYCFKKIVP -PLYKECFDRCVVLVTYGDDNVFTVAQSVMEHFTGDALKRQMAKIGVTITDGKDKSLSTIPARPLLELEFL -KRGFKRSPGGHVGAPLEKLSIMSSLIYIRSDGSDLLQKLLDNVNTALVELYLHADREYFDSVRDFYLEKL -PPGSYKELTTWFQAETFHECQRSGESGYKPQGLIEISHGAAFASFTQQAGTELEKHDICPGLSIAGAKYV -ANENEIVLTLSSKLPGDTNTFKLDLPCGDGIGRLPSKNSILALRKPGLVRNLCCLAQSGKKTLVIRDERP -YIGAWAVACICGESFGFGTQSVLALYANLLGPNRRNGLASYFSDFDSPVHIKRVHAKTNTKEGSEALKEI -FPFCEVELYDATNADVGREVICNQPSTYPSVCLVGGISFPKEGGEPGALYSSADTVMAKQVPGVYESEVC -LKCCERCIGVTTKVVTSTSVFANSMVKTHLKALRRVQSHMCPRR - ->YP_004429248.1 polyprotein segment 1 [Grapevine Bulgarian latent virus] -MATFKMGSVVLTPCFLAKERELVHQLLRSSSSFAVTFLAQGASLKPIMVARAIINGVIAIDTENFGFVDQ -TWSEAARVVRRYSLAHSKYCRKVRERSARRFAERKAARKAAGVKAACKRILASLDADLPCSGRLMWAIKR -AHREDFASRMKTAEICRMIRASKREERAYRAQYAALEVRRPVSMRVPYVCPLVANREEVAGEPLRGRAFE -RTPRSTSFSASPLPRMRSPYEAEIAPLFGACDRASRLELHPDIKFFFREAPNHASSLGDVESLARLARCT -IAYFKLFPCALYFEPLRRLKPQSTTDEIDKCANGLEMELDRLREISVSDLHANGLGTFIAGIAAGAATAT -GAVVGTTVAGGKYLIDHAAAAFDKSCNKVVETVELATRKFGETMLDMVRKTFDSCLGPYLATVSHAREQI -ETYWRRVTQWIKTMWSKLSIEVQALFDSTWWALGLILCAGVVVLAEHMLVALGVLTHAGALTSLFITAFV -GYLGWNFAAPATEAEGTLLTTLRALVHIVVEKPMSVFRSDQTANAPNVLEFPLRVLETLGNGLVSAPLGH -SNMLGNMELLWINPQGKDAMKDLLMCFDCIADSWDYMTGRKDSFFREIASMTKVDIVPWIKSAQQIVLEA -QTVAVTDPVLMDTITHLLYKGHILQRTLAGAKRATSLDYGRVVSSLVQELTKIRAQCARAGIFEGRRCEP -FWTYIYGPSHCGKSLFMEEVTRRLLKENGHALNDIYAKNARDPYWSGYLRQAAVQIDDLSACVTEPSVES -EFLQTIGSKDYKLNMAAVEDKGMSFNSSLFITTSNVFTAPTDARILDKNAYNNRRGAVVQCRRAPGVDFD -ARNPSGSCEARLVDPNDETPKSEWANCSKVLEEVVHLNNAHRLKELMLMANYRERNDTLHPVHSGARNFL -RSCTKSLALGNLVCDGVIYVIDRETATCEATKEKPTSGYEQTAQHFVASLRQEISNRACDGMLNTFLNGL -IEGPCEVKSVDALNEAATSSQKEFFLELPLLERVYLRILQRQLSAIREMPDFAFNMNVRERILASLRVGY -NTVCEHGGKLLTIVAALVLILVIYSSFFCIYRTSIAGPTDGVAALVAVGALTANAGSVSSVYSSSDGGLS -KFSRNTPINYRSAASSDFRANSGGDDEFLMSLLLWLETPGGGLISCIRGKGRYIYLTAHQAEQIPDGARV -FARTRSANGVVHTVQLLWDAKQVRRYSDTEAVTYHDARFTALPEPIKSAFECDIDQLPTLFDMNVVLVKR -KSAMRQVDPTLAALPAEQPIIDCWKSNGKLNREMVGFNTYAYGGSYRNEIPVSIVSKCKTYAEDCGAILT -TMWKGKRRVIGMHVASGYKNGTQNPKDWTSTATLLPGIADLECNSGLNMVEESGVSYEGYRKIGYLPRMA -DRPYQSGKTMFVPVPEEMMYIPTNLSERFSDGTERKVEVEIKQPAILSASDPRIPEGVSYDPLKNGMEKF -KKPMDLLDDALCAEIANDIAESWHDCFDSLEDCSDEVAINGAEDEFFDKFNMTTSEGYPWVKQRGIGESG -KLRYFEETVNGQLALRKDTPVYKAYHDLQELSKVEVPELICIETPKDECLPLRKITLKPKTRLFSILPLE -MNLLLRKKFLSFAANLQQNRDKLPTQVGVDPYSREWGHIYSRLRSKNSVAVNCDYASFDGLITAQILKHI -GIAINSVYVGSPESKRQRANLLMAIVNRKSICGSQVYEVAAGIPSGCALTVLLNSIFNEMLIRYVWKISV -GGVPREMFSTYVTLIVYGDDNLISVHPEFLPHFNGMVIQAKLKEVGVTITDGSDKTAEGIYEKPFEKLDF -LKRRFAKQSDGTVLAPLDLASIFTSLQNVTLGAGSIPEAVRINVHVALTELYLHQKREWYDDLRNHYQKT -QGWENLPTWAQSHAFHREHLTGALPWAPHRVMDIPVDKKKLTQAMQSQGGLDFVVQVAERIFVCGPAFRP -DATEQGSFVVSHTGSLPRGLQGCLSPVDFVSEGQGRLPTQLWVNKFRSERHHLTCLIRDAYNRGCNVYFR -AEQPYIVNWLSATSFAMGLGKDYRAILHLYHNVCTPNAQCLDPYFEARRFQRVDAYVAPPFHHRR - ->YP_004382746.1 polyprotein 1 [Cherry leaf roll virus] -MVKPTVFSNGESVLPKALISEAREVAAFLKSTRNPAGFWVTFVAQGTSLSVSQVALCAINGIVCRQSVES -HSNGPSAVAYWSALRAKLRSFLKGHGRWVTSLLAKCAEIFESRDLCRYQRQRAAYLARGAALRAKALKKR -KVALQKERATQLAQRQLEGERRAAARREREARKGLQTLRRKLAALFCPPPPFPTTEWGWDFLPSSPLPAY -RDFFTSPLLEEASVAPPPVGPVGFNKSTPQALYVSVRARLATFSKATGALFPLVDYDRFCPGHLMMFQLM -HRLVAAYMACPVLSLVEDGLGALLRGDDYLFVFKNMMVAATQVVNKLSTCGRSAMQVQAGFGISNFFRGV -GNSLASGFFEGARQSAIDAKDFIIDGINWTADKTVGAFVRALRDEFSNSVGKYLNAVSEYKAQIENFWAW -AVRWSNNLMNKVDVSLRALQGSAFFAAALVIVGGIVYLIENLLPPAATGLPIGSLSSLFVGGALLFWCGS -ELFQNEKVMNIRVAIVTMAERMFSKNKAVQSGNMTVQAGIQDIFGVPLTLMETIGSGLCGSALSSMTYVG -KFGQAMDNIRKGVMCMRQFLGWMLEQLAELYDNVSGRKVAFFRELATLAQVDVEKWISDVQEFLLVAEVA -PEGDRVILDTVLLLLNKGHTIQRLLCQTKQGTSFNYGRLVATLVKSLDDVYTKYTKAGRRVMYRFVPFWA -YFFGKARTGKTIFANNFKNTMVQYLGTTSENIFYKNARDQFWPKYRQQAIVIVDDLSAVENPPSLESEFI -QLMSTMPYGLNMAAVDEKGSEFNSKMVITTSNKYTAPSCAKIHDMDAFNLRRHACVEVRRIEGVLYDPKN -PYLSSEARFVNNQDQAPEGEWMAMEQMQEELIARYQRHHEAQVDEYNFWKKNARNTHDVFDILEEKIKEE -GFWLSSAEFCMPDRASQGVSAADRFLGVDGKIIKYDALSFEGAYFDGKHLAKSAQDLEHLAMVKYHEFSD -LLRAWSVNGVVRQFMEQLLTGPTHVDSANALNLDALPSHREFFDSMTLPKRAVLRLIQKKVDMIKAGPAF -EFTPTKGFTLAKVLKDGYDYVYNNGGKIFLIFAAVVILWFLCGTAMHLLRQIFCGGVGAGSAGAMMKMSV -QSTIPSGSDVQSYASRNLRRVYRPTRLGLQSAMNPVETVSQAEQLMAWIDTPQGNLISCCRFKARSLAMT -YHQARAIAPGAKIFITYMTAAGTPSVPLEHIWDPQETAPTPNLRRFNDTEVCVYTHPQLSPLPGPLESMF -VEDMQAGPSVYHIEGRVMKLVRDSHEFLPNDFVGAPEEIVPHVWSGVVHLNTHALTIDNYKWGGDYKINI -PRSLVGSYPNAKEDCGGLLFAKIHNSYKVIGMHVSGEQLADGSYLSAAALLPRPSLFMSAQSGLRTLTIE -AGKDTRGVSKVGFIKAEEIPRAPRKSSFIEVEEELKVPVPPGVPLKQIAILSNSDERLKGTQFEGYDPLR -QATVKCEDPMFDLRSDVLEDVLEDVLETWFDCAPSLSLLSDEEMVNGNDEEVFLDAVVHSTSEGYPYVLE -RGPGEKGKERYLEQDPALPEGKLRVRPGTSVHRDLLALEKSIHFTIPILVGMEIPKDERLKESKILTPAT -RTFTVLPMPYNLLLRKYFGRCVAFLQGNRHRLPCAVGINPYSNEWTRIFDGLARVSPKALNGDYKGFDGK -LNFQMYDAIARLLCCLHRDESTSTARYNLILAMYARYSLCGSQVYEVRAGLPSGCAITVIMNSIFNEILI -RYAYRVSVGPILRNRFSHFVKLIVYGDDNLIAVDPQLASGTFAGYEGGKMVVTDVFDGATIQKVLAQVNI -TITDGSDKNAKEWHFKSLESLDFLKRGFKRMADGRVLAPLDLSAIFSSLHVVRPDQGSTAAAVNINARVA -LRELWLHQDQNLFEMVREFYKRHNFVDLPTWRECRDFHQSQYSEWQPFKEYKFLELPLPEQENREFMENH -ATRKSVCVVADQTIVVGPAWKPSNPEGFFVVDLLGPTCGRGGNVTNIPTYGDGSGRLGTATWVRNWRSAK -KQPIVQLAIQARKEGKIIAFRDAAPFLNGWNAAIAFCEGLGMDSNDLIAVYSRSGGLHRSLIERNFKAAS -FEPRKVAPGVFA - ->YP_053925.1 polyprotein 1 [Arabis mosaic virus] -MWQISEGSQCCCTGKTWSNAEAKEARYVCNCILSCRLVKVEVVPQLPKSRIAPAQDKAERITPLCNSNGG -AAPTIPKSKRAFEPRTPLIKQRCDVVVRVGPPADLDLVYPALVQEEVAIPPTEKVLQPTLKAEVRVPIFC -APKRMVAFPKPPTKIASKRDALQFPAGAVAFNGINFIDAKGKVVLSEGAKRILKGIRVAKQQRQRTARRS -AACKKVRKARDLALFKRLSEECTFQDLPGGFAGEIPAGHACYRKVAAPTTSFKKEVSKGKKAKKPSTPVL -PAQDFSCVDSFDWGEKSSPVEIEDDWVLIEKPVLQRQAAHSAQGRATEALTRFAASGGFTVKAHQKVEEL -ASSGEAGHLIAGEFAELCLRSLVYNDAPVLSASIEELITEQDFKDAIELFNIELAELPTDSTTCGQFNDW -ASAAKKMAKGVGSIVGDFARMSGAGVLITFDRCIEYLQKKALTFCQKVFNATMAPYLSHLAEASNIISKI -WKKLAEWMESLKGKAGLALEVLAQHAIFALGAIVVGGVVVLVEKVLVACKVIPNCGIVLGAFLTLFFASL -GLTALECTAEEIFRMHQCCKGAIYSMYSVKEPMNEAEGSSVTMGVLQGLDNAISALTRVGQSMISFKLGS -FSYYAKIAQGFDQLARGKKAIGELTGWLIDLVGGVYSKVSGQESTFFDELSTIVCLDVRSWLLKSKRVRL -QVETMAIGDRITLDTISKPTGMQGHKILITAAGVPRKTSADFTMCIKEEVSKLEEVHQRTACAGINEGMR -QFPFWVYIFGASQSGKTTIANSVIIPSLLEEMNLPKTSVYSRPKTGGFWSGYARQACVKVDDFYAIEQTP -SLASSMIDVVNSEPYPLDMAYLHEKGMSMDSPLVVTTANTVKPPTNAGITDEASFFNRRAAVIEVRRKDN -THFTPRAYDNCIEVRFLHNKCAYVDSEGIPQGPAVNTPMEEGWISPSEAVATLKNLLGEHVLAEEEKLLD -YRERIGNDHPIYNAAQEFIGNMHYPGQWLTTEQKNTYGINEEGFSFLAVDGKMYKYNVLGKLNPCETVPP -HPNVIPWLEEKTLSIVHWDAHKHIATGPRNALVSCFLQGLVQDQSRVQSVDLMGKDSSPEQQAFFKRLTL -SERIYLRLCQIRIDAVKKEQLSSVSRGALDVLRDCMYKSKAKLVENYSLLLTLVAILVLIATAYSLISTL -IGLAGCSSFAGGMVALNHVSNASIPCSEPRLEEGYIPRNKFVSRISRTRGDGPAQGQGDHEELVTELYYY -FDGVKRLISCCWFKGRSLLLTRHQAMAIPIGNEIQVIYADGTERKLVWPGRQEDRSCKGYIEFPDNELVV -FEHARLLTMPIKYEKFFVDDPDHQISPNVAVKCCVARLEDGIPQFHFWNKYASARSDVHTIKDEGGSAVY -QNKIRRYIIYAHEAKRNDCGAIAVAEIQRTPKVLAMLVSGIGNVTYSSVIPSYSSSFVRGDVPYVPEDGI -KTNGYRKVGYLMAKDAPHVPSKTAFMKVPDEICFPYPNPKQPAILSAEDERLIGTVHEGYTPIREGMKKF -AEPMHLLDAQLLDEVAGDMVHTWFDAGEILEDVPLSIAINGDVEEEYFDPIAMDTSEGYPEVLQRKNGEK -GKARFFVGEPGAREFVPGCGPERAYLSLEEECKTRIPSLVSIETPKDERLKRSKIETPGTRLFSVLPLAY -NLLLRVKFLSFSRLLMKKRSHLPCQVGINPYSREWTDLYHRLAEKSDVGYNCDYKGFDGLITEQILAVVA -TMINAGFRNPVSNQQRSNLLMAISGRLSICGSQVYETEAGIPSGCALTVVINSIFNELLMRYCYKKIVPP -IYRECFDRCVVLITYGDDNVFTVSQSIMTSFTGDALKAEMANLGVTITDGKDKSLATIPARPLLELEFLK -RGFKKGNGGLIYAPLEKLSIMSSLVYIRSDGSDMLQKLVDNVNTALVELYLHQDREYSESVRDFYLEKLP -PGSYKELTTWYEAQIFHECQLSGESGWKPQGLIEVSHGASFASFVQQNGTELERHDICPGLAISGSKYIA -REEEILMSLSSLLPGDINAVKLTLKCGDGIGRLPSKASVLSQRKPGIVMQLCARAIKEKKTLVIRDERPY -IGGWAMACICGESFGFSIKDTLALYANLMGPNRKNGLATYFTDFDSPVHVKKIHAITNGEEGVAMLKDSF -AFCEPTTIAATSCDTRKEMVSHLPTSFPNIVLIGGISYPKEGGEPGALYSPTDVVMSKKLQGVYVSEAVL -KCCLRCPGAAVKTVLQTSSPGSSLSQAHFRSLRRVQSHRCMRKS - ->NP_958814.1 polyprotein [Tomato black ring virus] -MSVTLSPPGDCFTFNHVKYNNSLNKYLFYNNNLDIVLDDFDFYFNFYAKKYNVLSSFFSDRVLSALYTPM -SVSEAASLALEDFCELALDKLKINPFHQLWEETLANWPVYPGTSLLDFFRTQYEIRREVAEASAEALRLK -KATRADAFADEVKFLIKNGVLPHLAGDFARKIWSTGKDQKKTRTAFLVKIKKANQLKQQWNSARSLAAAR -AEVLREFEPSPQQIQKAIEAQLFAEKLGRKYATLTARVRAKRAAARELREKQLYQETVDLLNASLLPPME -KVEIERKYRKVRPTGANVVHQVVANPLGSLCPYMGLGAKTADVRCQATLMAGKIHAQYPRLATAIYAWVI -GPAAHFECITPVRNFVKGLTFMVDFFPEEALIHELNEITTEAVCIGASMVLDEERAKLEAHAQSANCRAN -VFMKAMAGVKNMAKCAYTGFKTGCEEAGRSLAEGICSVMMRSFRECIAQIKTELGCAIEMVEVMIKKVKD -WFYSMLEKLQCGLETLGSYAMYALAILLGCGLTSLLERCIGGQGILTKLFITGVLAAIGLQAAGGFDNLQ -REMVQLCTALAAGIFDIQHAGNGKYKPSWDITAEHAREDARDSNVRSIPIISGVIEALAQFGTGLCSMQS -ATLIEIGKIGAACHSMRMGKEALKEFCATLMYYLGRIADKVTGRETIFFDELSTLVHVDVRGWIKRAQSC -MRESFHTEIGNQFFRDMVAQLVDEGQKLQIGVNGIPRKISADYSQLIGQIMKDLVELHKRTIRAGISEGR -RCEPVWIYLFGQRHCGKSNFMSTLDNALAKHFNLPNTTAYRNCKDAFYSGYSGQTFFHMDDLSSVKLEPP -LEAELINLVSCQEVPLNMADLADKPIYFRSPFIISSSNFEDVPAGCGVRDIEAYRARKACLVEMRRKPGV -IYDPENPLLASQARFKDPMYQTLINGQTEETSWMEMDDVVTEIINISARHRSAQEKLQARYMREKALLDP -LALASESFLVKEAQKVFLDFDGVELEKAGVPRPEGGHGLYVDGVLYLVNASFEFDEIPIKDGGYQRLWDS -RMRKKFLPAIQRDEHLNTKSMVVTGFLRSLVNGECAVLSKDTLTASATTAQLSIFKALRLEERVYLRTLQ -HQLDLYSQDIPENPYCNSAWVKVLGAIGAGRDYLVQNGCGILMIAAALILILVSGWGFWKLFVGLFSGTM -SLGAAITGMSAVDIKAQQSSASQEKGYRARNIPIHHRYAYARSQAGDGLLPAARLCVAIYQPGGGFVSAM -QYKNKSVRMTRHQALRFKEGEQLTVIFASTGESQLIRWHKYHMREEHGSEIVTWLAPSLPALSPDLKDLF -LEDKEVDLPNHFKTIGYVLRVDSTAFHYDTLDTYGAVDKTPLPLKGVVGNELYLHEIPEKIVFHYESRND -DCGMIMLCQIRGKMRVVGMLVAGKDKTSWADIMPPNSLAELKSQIDYIPEFGEACDGYFKAGYVHKSEAP -TLPKKTNMVPVPESLRVPCDVPVKEPAVLTKDDPRCPIGVDPPRAALKKKFTQPMMELEQEILDEVATDI -LETWYDCEDHVLSDISLSVAINGIPADSEEAELENFVMKTSPGYPYFKNNRAEKLKGKHAYFEEAEDGSL -QLKKGGMAAELHENLVEFTKNEVPELVVIECTKDELLPERKIKVGACRLFEIMPLHYNLFLRQKTCAFTQ -FLQHNRHRLPCQVGTNPYSREWGHMLNRLMRPKTNEAINCDYSGFDGLLNPQLIECIARMINRLYALSGE -SDVQQAQRYNMLMALVGRYAFVGQQVYKVNCGLPSGFALTVVVNSVFNEILIRYAYKKLAPAPERNRFGS -TVCLLVYGDDNLISVSPSIASWFTGEAIRITLAEKKVKITDGSDKDAPTIEAKSFWELDFLKRKFLKLDN -GIVQAPLDRSAIFSSLYWLTPDKSKFHESQKPSDFQGEVDVIEELLLNVNVALMELYLHNDVAEFQRVRG -FYAQRLPLMVSQLRTWAFCEAFHSAQQTGMQKYDPAVVLDHMSGVDFKRFMHMSEQGNKAHFYTEMLGVS -GPHYKPQEGDFIVSNQPLKPGVQGEYVPIVFGEGIGGLPTKKWVGDFGKPSQLKNSKGYLITGLLREQIE -AGKRLIFMGPAPYVANNAALISFGSAHKMLIQKDALAHYRNVIPESTSGLEQYFDAPIPQASVGTFYFGD -GETYTALCEYKDGKVLQYEGLPTAILNQAAKDRKVPCMVARQWKSKFTVRMACDSNMCPHHSATCANFEL -AFKQCWLSKCKCAGNNVSKWYGTKFS - ->NP_944487.1 polyprotein 1 [Raspberry ringspot virus] -MGWTCPVQGCMYSRSTWSNRGLKEDGLSSTMRCPGAMCGAMLVRSEPVQAPKATVVPQTPVTAVRPKRTV -VSATPLRKQDCVVVVEVGFPALLSLEYPALAGRARHTGEFDSALLARKPSNGGTARAPTGWFKPRVVRTQ -PAKKALPSWVSEARRLIKGALEGSNAFGPRYCREKFPKARLVWVLGMLSKSSPPSVAIGRQLKKSFLALQ -ARIARALAKKQSARAARRQEACRKIRLALQQARGIAALERQQARQLSGAGLYSVRLCTKRALSPIVEVPK -RHKKKAISLPSPVSVQEFPEGLVGPNFWETSGLLNCVASPQRREDLVFPVYGIDSCRTDPEYFVVGPKSP -SKIMGDTKPRLPTCPAEALNLLWASNLFEDWELDIIGQMVSDGLLTSQAFLDGCTLVSYYGQEQMVDSFH -CLLQDPVPAEVAEALAVDVQALDFDAVFGCGISDFLRGTRDAMKGWIMDPVIAKSTTWCNTIIDKVRALF -DQYFAPFHKIIDGMSYVNSLWAKCKEWAQSVLKNGSQLFSVMWETHCVSFVIIITCACTLLVENVLKELR -LISRVGTLTSCVISGALGILGCGYILAKCEDLAVVSASIRAFLGVLLCPPTMEAVDLNQSLIPEEIQATS -WTGVDRVLGALNAVGSGLTGFNTDTIIYWGRFAQSFDGMRRGKDAVCALAACLFEKLGTVYNRVTGKEAA -FFHELSSLVSIDVQGWLNSSRRVMAESIAFAKSDAVAFATVERLINDGETIQLTAASAPKSHSMQFGQIL -AERLRELRTLRNDMAHAGSFEGRRCVPFWLYIYGPPGVGKTTTMHEFSQALLTAFEFPSDSLTSKSATDK -YWSLYRRQALVQIDDLGAISESGMEQEMMNIVSSATYNPTMAVANEKTTLFDSKFIVSTSNNYSAGTDAK -IHDRKAFNRRRRVVIKTRGKAGVAFNPHDSTAAAEFCVVERDDRAETPIWVQSGEAPDDKELYWMDFRTT -VAYVIEQARIHHNAEDIEQAQYSMKHSRTRQLYQVCENYIGEVKMSVANFVPGDMLGAWNLEPKGRFFYS -CVDGRVYSYDPEQKAHDEGPVDKALDFEQICLEKLSYTLQADIQGGPKSATAGIFLRSMVSGECAVESVD -KLNRSASREHLIFFKNLSLADRVYLRLVQKRILQLAMVGDPLGLRSYTVMMEGFQNSYNYVKENGGRLLL -ILCSCMLLGIACYTFFNALAILIGGTSVAAGAAAMVDIGACGSTSTYASEYGAKMGRRNMPHRSREIPAV -WSEETGHDEKWQLCGLLETCRSDMPAVHVNLVPGNKFAITKHQAQAIPDGSSVGLSVAGRSFRTFQWRAS -ALTEYAESEICTYFDSRIPSLGKQAMKMYSDSDLDALNVKYFSTRTLHFRLVDDQVEKRHWDADACVIST -PKTIVSTINGVIYRQEIPTAITYRRESVKHDCGALVFTEVRGKPKAVGMLVGTLGGTTYVCKFPHIEVDA -FACVPDIRGFNLEAGVSTLGYSKLGWLDRRHQPHNSEKTEFVPIPEKYHMDDVPCKIPAVLSAKDPRLAD -IPQCMGYDPYKQGMEKFAHPMQEIDEQLLATVCDEIAQEFHDVGVRGRMVSMDEAINGHHKYEIPSFYVE -GASTRELNELRTSCSAEVWCCDPRSDIEFEYPRIIPGPVESKWKCESTCCGCTFKSGGTEAIISFVKARS -PCCEEIFFDGLDLTTSEGYPLFLDRPAGAKGKERFFEGSENQKFLIPDCPLDVQLKKGIEETHLGTPQLI -IKESAKDELLKEGKVLPSEGMPGTRLFSICPAWYNIVVRQHFVYIAESVRKRRRTLSSQVGIVVGSREWD -DLAARLRSKKNDKMYCCDYSKFDGLMTPQIVHAITNIYERMFSGNDGMSQFRQNLLMGICNRISICGSQV -YRVEAGMPSGFALTVDFNSIFNEILVRCAYRSLVPEIERPFFSNNVVLIVYGDDNVLGIHPNIESAFNGN -AIKAYMKEELGIKITDGADKLSPVICARPLEQCEFLKRTWRKDRQYGLYRAPLVETSIYSCLRYVRLQNY -DWQAPLLQNVQGSLYEASLHGPDMHARIYKHFATHFPKWVEEHELYTYEQCRTRFIAAKNGDFNFHPASA -QMGHVFSQQTEIQELSQSQNPKRCFQLHPKIHICGPGHNEQDCFYVDVRVKGKITKGKGFHHAPVFSAGS -GQLGTVKWASSFRSSSACPMRDLAVDAFKRGECVYFRDNGELINAWLAAINFGMSINADGLDGLLQVYRN -QGPTHLDDLSFYFEGGVVGVPAPAHLMVYGTDTSILNRLCPKTVLESAPPPGRSSNVSERSQVQTFLHMS -PKPCFITLKGSGKVCHGLRCNNSCRGHISCTDVVRNSAANQRAAMLDVLRRGCYNIQ - ->NP_919040.1 RNA1 polyprotein [Tobacco ringspot virus] -MGFTCPNSDCLYSRSEWSNRALREEGLSFSMRCPGACCGAMLVRKQQEPEAVDQRHSPERVRIAPHQNVC -AGLPGVGPSKCPKHSQVPLAPKSKSVPARATVSASPLKKQHCDVVVTVGPPADLELVYPALVSKGSANPP -KVGKKSFNEALLEKRAAYQVRTAVPPPGPIRVVKTAATPVKVEKVRFPKGAVAFNGANFIDAKGYVVLSA -GALKILRGVQKLRRQQARSARRMAACRRVRLAVFAARLPSLLRKADEATSGGFKYVDLNAPRVVRKAEKR -PKKKPAKKAVRPASPVEEEINWDDFIIPESERTASPMKEEKPKRSLVPNSLGFGWWRPASGNLWDVVSQC -QRACEGTFLEASAEACLVRAGVDDVALSVWARISKSVVQLSAYYDVNTLLENYTALSECTMDELQSVAVQ -LDSEYQELGPPTHFTCGLSNWARGAGKILYNFVAPTVEGIAGAGCRIVERAYELSKTVIDEIFSKMKSLF -YDCFGNLFGHLNVLLSTIDSFWARASTWIMNILEKTHDCLKVLRDSAVWSLLLILVGGLILLSERFLQSI -GIISKPGTILGIFLATFLGIFGYTFFRKDDTLVSDLLCAFKIAITNLFRTKPGPPGSPIIVDGDVVIPES -AVAMSTCSFMGGLDIAIAAIGNVGASILGFKVGALQYAAKIATCLDQLRKGKDVLKEMTCWIIETLGALW -NKMTGREATFFDEVSAIVAVDIREWLEESQNLCLAAQTFSIGDKIVLEQCERLIADGHKLLRGMGDADRK -LSSSFLSTVQRKVSDLEKIHTQSVRAGYFEGRRMEPFWVYIHGPSHCGKSLLMEPMSRELLRAGGFSESS -IYTKNSCDKYWSRYRRQACVQIDDLSAGKTDPSLESQLINLVASKEVPLDMAEVEDKGILFDSAILVTSS -NTAHVPTNANVNHAEAYKNRMNVVIQCRRKPEYSPMGMELEGTFQPFDPRNPQASIECMLQHRETHAPIT -GWISAGAAMAEAVNQFRLHREKEMILQSNHLSSFRPAHPIYTECATFLSMYARDASFVPPVDLGCKWEIP -SGYMTIAAVDGRVFGFSQLGVCTEISKQMKFTEEMEQYTLDKFAPDITKTMASQSRFKLVGAFLKGMIRE -EDNVVSLTSLGPKSTATQREFFETLGLAERVYLRAVQKKVNKIRTDPAFDVEALHARLLSTIATSYEYVR -TYGPKIFPILMGFVCVVFACYGFIMPLLSFASGGSAVGGMVAMEQIAAASVVSSGSSPVAHRNRAPPVQP -RYARHRLAGASAEDAYAYEEMMVVLYVDSTVAPVVNAVRGPGRQFFNSHQALMIPNNSTVVAHFSTRDVV -EIHWEHDVVRKGEKKDTEIIQYRCPSIPELPSRCKKYFEYDLERDFPGPFTLDASCYRMQSPGKIDIELV -SWTDHDRELRTRPLVIADPFGEDRYRREIPQYIQYGRPDQLHDCGAICVAKIGGQHRIVGLVISTDKHNT -GVGLLPSALHMTTCSLSYVPEEWEEAPRGLKKLGWNDRSELPHMPRKTQYVAVNEDLAIPFDNPKIPSVL -VSDDPRTVGTPVEGKDPVLVAMEKFYEPMTDFTEEEVRPGQTEVSLFEQVCDDIVQTWFDAGAEFEDVED -DVVINGDDDFDKLIMDTSEGYPYVLERTHGEKGKTRYFEGGPGAYTLKPGTSVYNDYHKLQEEVQVEGGI -PEMVCIECPKDELLVERKVLQKLGTRNFEILELPKNMLFRKKFLHWALFLSDMRWCLPCQVGIVVQGREW -GLLMDRLAAKNSVAYNCDYSKFDGLMSCQVLDAIGKMVNKCYSNANPNLKKKGKGELPGSPPQLARYNLL -MSIFGRKCLARSQVFEVRGGIRRGALTVLLNSVFNEILIRYVYKTVIPSPEFNRFETFVTLVVYGDDNLI -AVDPSMQKIFTGEVIKKTLARKKITITDGSDKLSPVLEAKPLAQLDFLKRSFLVSDSGQVMPALDRTCIY -SSLLYLRSADCDPIPLLHQNVQNALQELYYRQDREEFDNLRTFYLERLPMWRNGQHRLLDWNQCAEHWRA -RYTGCPSDNPAGVLDMLVDPRCKSFMLPAGPANWSMPIADRIFVCGPKFSASGPSYTLCFNRLAAGETGV -QIKPVHAATQGAMPTAKFVESFRSIKKRPELELAISAYESGSNLYFKGCAPYNDIWACAISFCSAFGYAQ -KQVLLHMYDNCKRLGASSLRSYFNKSLVGDGCARRCEIHTTPAIAKQVERLLPQVQCKHCEYDPEFASKP -TTQLRKCTDPGVDGGKAMYIVRGLGRTAAKLVCSDICDGHLMSCNTSFDKMVVNLFRRSCFKCL - ->NP_620765.1 polyprotein [Tomato ringspot virus] -MSSICFAGGNHARLPSKAAYYRAISDRELDREGRFPCGCLAQYTVQAPPPAKTQEKAVGRSADLQKGNVA -PLKKQRCDVVVAVSGPPPLELVYPARVGQHRLDQPSKGPLAVPSAKQTSTAMEVVLSVGEAALTAPWLLC -SYKSGVSSPPPPMTQRQQFAAIKRRLVQKGQQIIRELIRARKAAKYAAFAARKKAAAVAAQKARAEAPRL -AAQKAAIAKILRDRQLVSLPPPPPPSAARLAAEAELASKSASLQRLKAFHRANRVRPVLNNSFPSPPLAC -KPDPALLERLRLATPSRCTVATKRQRDFVVAPLATQIRVAKCASHQEAYDSCRSILIEEWPESRYLFGPL -SFVGDWEHVPGMLMQYRLCVLFSMVRDVMPALSLVADTLHALRSGTAPNIVFKNAMSTANQILECSHSSH -AAQGFGNFLSRGKSAAINLASGLSSFVGEKVVSGANHVVNKASEVIVDKLFVPFVKLLREHFDDTIGKWI -PKLLGATQKIEELWRWSLEWAQNMSKKLDVSLRVLRGSALVGVGLLLVSGILYFAEQLLRSFGLLIVAGS -FISMFVGGCLLAYAGSMAGIFDEQMMRVRGILCEIPMLLYLKAQPDPFFPKKSGGRAPTQGLTDVFGVPL -SIMNAIGDGLVHHSLDTLTLMGKFGAAMDNVRKGITCMRSFVSWLMEHLALALDKITGKRTSFFRELATL -INFDVEKWVRDSQQYLLAAEIYVDGDTVVMDTCRHLLDKGLKLQRMMVSAKSGCSFNYGRLVGDLVKRLS -DLHKRYCASGRRVHYRLAPFWVYLYGGPRCGKSLFAQSFMNAAVDFMGTTVDNCYFKNARDDFWSGYRQE -AICCVDDLSSCETQPSIESEFIQLITTMRYGLNMAGVEEKGASFDSKMVITTSNFFTAPTTAKIASKAAY -NDRRHACILVQRKEGVAYNPSDPAAAAEAMFVDSTTQHPLSEWMSMQELSAELLLRYQQHREAQHAEYSY -WKSTSRTSHDVFDILQKCVNGDTQWLSLPVDVIPPSIRQKHKGNRVFAIDGRIFMFDYMTLEYDEIKEKE -NLDARHLEARILEKYGDTRLLLEKWGANGVVAQFIEQLLEGPSNVASLEVLSKDSLESHKEFFSTLGLIE -RATLRAVQKKIDAAREDLMHLSGLKPGRSLTELFVEAYDWVYANGGKLLLVLAAVILILFFGSACIKLMQ -AIFCGAAGGTVSMAAVGKMTVQSTIPSGSYADVYNARNMTRVFRPQSVQGSSLAEAQFNESHAVNMLVRI -DLPDGNIISACRFRGKSLALTKHQALTIPPGAKIHIVYTDNNGNTKAPLTHFFQPTGPNGEHFLRFFNGT -EVCIYSHPQLSALPGAPQNYFLKDVEKISGDIAIKGCGIKLGRTSVGECVGVKDNEPVLNHWRAVAKVRT -TKITIDNYSEGGDYSNDLPTSIISEYVNSPEDCGALLVAHLEGGYKIIGMHVAGSSYPVEVDGVQMPRYI -SHASFFPDYSSFAPCQSSVIKSLIQEAGVEERGVSKVGHIKDPAETPHVGGKTKLELVDEAFLVPSPVEV -KIPSILSKDDPRIPEAYKGYDPLGDAMEKFYEPMLDLDEDVLESVMADMYDEFYDCQTTLRIMSDDEVIN -GSDFGFNIEAVVKGTSEGYPFVLSRRPGEKGKARFLEELEPQPGDTKPKYKLVVGTEVHSAMVAMEQQAR -TEVPLLIGMDVPKDERLKPSKVLEKPKTRTFVVLPMHYNLLLRKYVGILCSSMQVNRHRLACAVGTNPYS -RDWTDIYQRLAEKNSVALNCDYSRFDGLLNYQAYVHIVNFINKLYNDEHSIVRGNLLMAMYGRWSVCGQR -VFEVRAGMPSGCALTVIINSLFNEMLIRYVYRITVPRPLVNNFKQEVCLIVYGDDNLISIKPDTMKYFNG -EQIKTILAKYKVTITDGSDKNSPVLRAKPLKQLDFLKRGFRVESDGRVLAPLDLQAIYSSLYYINPQGNI -LKSLFLNAQVALRELYLHGDVEQFTAVRNFYVNQIGGNFLSLPQWRHCASFHDEQYSQWKPWSPVKFLEV -DVPDAKFLQHKAPATALSIVADRLAVAGPGWRNKDPDRYLLVSLTSLKANEGGLYFPVDYGEGTGQQATE -ASIRAYRRLKDHRVRHMRDSWNEGKTIVFRCEGPFVSGWAAAISFGTSVGMNAQDLLINYGIQGGAHKEY -LGRYFVGARFKELERYDRPFQSRIIAS - ->NP_620619.1 polyprotien 1 [Cycas necrotic stunt virus] -MGWICPNVSCLGHTSVLSNKEISREGRCERAMCGSLLVKVAVPQQPAKKKKQATPAPRPTYPPCVVEKTA -ATPVTVEKVFVEVIPTVPSCLAPKWMLGIQRVEGAPSKAPKQAVPKWVWQMRQLLKAALTGANSFGPRYV -RAHFSRARISWIYAQLCEGCPLPLWNRGRALKKSSLALLARIEDTKQQKRAAWEKKEAAPLKSKREYEQK -RALLIPLIEKLRARLLQDEARELREQLFPSGNGGTDTTKVAAASKAEIKAAAQLKAYQDVCAKVWRVKRQ -EKKAQQAKLVEDLITSANCGKQDVSEPAIEKAARPKRRIEIGDFVPQKTLWGLYPCVGLGANMADPVCRV -LSACVSIAGKRPDLVSTIYAFITGEAQVWLSAPRVCMLAKRIIELSDWYPHELLAEELKKISDEENCKEA -EREINLKYLEISKATENMRANGLFNKLKGKAQDLWSGIVDFASHPFRKYLATAAEFVEGFSHRVVDAVMS -RVNAAIAQFAAQLDIAKTLVDQLVIHVKRWYTSLCTSFDDSLKLLGKWAGYALGLIVGVGVCHLVEVICA -HMGLPLGGVITGVFTTAYMGWLFVKTPVGSELVMNLRMQVARIARNIFDVQRTGIPPDLPANPNVGFSVP -YEAFGGIDNQPFSMGADVPNARAIPVVSPIINAMAGFGASMLSMKAMGLIEMGKLGAACHSLRMGKDALC -EFVSTVLYYFGRLADKVTGRETEFFDELSILVQIDVKDWITRSRGVLLDSCYTSLNNMICSDVVNKLVTD -GEQIASNIAGTPRRLSLDFGQLVSSIMKDLLDLQQRIVRHGVTVGRRKEPTWIYIFGPSHCGKSNMMDHL -TSEVCRYFDLPYTYIARNGQDNFFTTGYKRQTVLQIDDLSCVENVPPIERELINLVSCSEYPLKMADLSD -KSISFQSPFIISTSNQRTCLPTCGITHCEAFNNRRAVVVEMRRKPGVVFDPMDCHAAMQGRFLDKRDHTP -LFGVQGQPETFWKDVPEMTTILLNICVAHRQEQDILQEQHIRKHAVNDPLILASERFLKQESRKALCYMP -RVEMEICGVQSQAAGCYYLCVDQKLYTCEDDGNLVETPCLNPSYAQWERNSSENFVGGVQALDALECRSI -LVSGILRNLVQGQCCVLSIDEMSRLPLCTQRLFKALQLQERVYLRLIQKKISHILSVDESNVYSKNAWMR -CLEFAAASRDYLKEHGLEVLLLLAAMMILCVALYYFVGAFIGVMGGALSMGAAMAGLKEVDMKAQYSSGA -QEGRYRSRNIPIRQRYRYARGELDEEVPLGGQLAVALYGSQGRFISALQYKGKSVMLTRHQMLMFAEKER -VTCIYLATGESVVLTFNRDDVQEFPNHETCMWQAAGMLQLPAKFKDCFLEKGETELAPAFELEGYVLRPD -STAFIMTILKTWARVQYEPFVVRGSLAKEKYVNELPTSIWFQYQSRNNDCGMVCLAQVGGKKKIVGLLVA -GVDQQTWADNLPNPCMAEMKSQIEYEFKLGAHTEGYTKLGYLTKDKTPHLPKKNNAVLVKPEYRIDSPVP -IKEPSIISAEDPRCPKDAEGKPIDPIVKAFEKKFTTPMDLLEDDILESIAQEMVDEWQDCESEPLCDVPL -EVAINGIPGTQIDDDDEFEDAVECLKMRTSPGYPYVLHKEPGMKGKEAYFELAPDGTRALKEGSLAAELY -ENIVQYSKSAIPELVVIECPKDELLKTEKVNKACRPFEIMPLHYNLFLREKTLAFSLFQQRNRHKLACQV -GTKAYSHDWTHMYQRLVAKSDRAINCDYSSFDGLLNSQVVSCIANMINSMYHSPEETVVSKRQRYNMINA -LFGRLAITGQEVMRVRAGLPSGFALTVVINSVFNEILMRYCFKVLVLGPQRNSFSTYVTLLVYGDDNLMS -CTDKIAIYFNGETIKETLKKKNVTITDGSDKTAPDIKWKTLGELDFLKRRFLKLETGVVQAPLDLTAIFS -CLHWVTPHPQKMPKGGAQLQVENVDTLYELALNVQVALTELYLHGNKEEFQRVRNFYTKKMNILPAGYYT -WADREAFHMSKQTGMEAYQPAKEIDLDVGQEFARFMHTSDIGNQVHFTRQCLVVAGPFYKPTPDQLLVST -TPLKQGESGYWVPVETGMGIGNLPTIAWVHRFMRPTQLVDAYGYKIWGNVRSHIESGKSLVFRSEAPYVA -GNAALMAFGQAAKLLEIKTALNLYRNVIPESTYGLEQYFDAAIPQASLPGTFYLANAESESLLQEHKTGT -VIGLTTEKFNLNGARDLIMQGQKLGKLPVMAATQAPNKFYVGLCCQKNFCPGHATSSDSIAKAFSQCWAM -RCAPNSSSRKVTFEPEWRKNKFLGIS - ->NP_620112.1 polyprotein [Beet ringspot virus] -MSVTLSPSGDCFSFNHVKYNNSLNKYLFYNSNLDIVLDDFDFYFNFYVKKYNVLLSFFSDRVLSALYTSM -SVSEAASLAMEDFCELALDELKINPFHQLWEETLANWPVYPGTSLLDFCRTQYEIRREAAEASAEILRLK -EVARQRAFDDEVEFLIKHGAKVHFAPSFAAQLWRAGKDQKKCRGILLGKLNKAKALGEAHRSAVARAQAK -AEVLREFEPSPQQIQRALEAQIFADRLSRKYAALTARVRAKRAAARELREKELFLETQDLLNAPLLPPME -KVGIERKYRKVRPTGSNVTSTPKPNVLENLCPFMGLGAKTADVRCQATLMAGKIHPQYPRLASAIYAWVL -GPSMKFECIAPVKTFIKGLTFMVDYFPEEVLIDELNKINSEARCFEASLVLEEERAKLEAHAENANCRAN -IFMKAMAGVKNMAKCAYSGFLTGCEEAGRSLSEGVCSVMINSFRECIKMIHKELGCAMELIEVMIKKVKD -WYNSMLEKLHCGLATLGTYAMYALAILLGCGLTTLLERCIGGAGILTKLFVTGVFAAIGLHAAGGFDGLQ -REMVQMCTALAAGIFDVHHKGNGKYSPMADILAEQRLEDRRADNVRSIPIISGIISAMQQFGTGLCSMHS -ISLIEIGKLGAACHSMRMGKEALKEFCATIMYYLGRISDKVTGRETVFFDELSTLVSVDVRGWILCAQSC -IRESFHTEIGNQFFRDMVAQLVDDGQKLQVGVNGIPRKISTDYSQLIGQIMKDLMELHKRTIRAGISEGR -RCEPVWIYLFGQRHCGKSNFMATLDNALAKHFGLPNTTAYRNCKDSFFSGYSGQTFFHVDDLSSVKLDPP -MEAEMINLVSCQEVPLNMADLADKPIYFRSPFIISSSNFEDVPAGCGVRDIEAYRSRKACLVEMRRKPGV -LFDPDNPLLASQARFKDPMSQMLLEGQTEENSWLEMEDVVTEIINISARHRAAQEKLQARYMREKSLLDP -LALAAENFLKGEVQNAYLDFSGLELEKAGIPKPQGGRGLYVDGSLYLLDPTFQFEEIPITDDGYKRLWDE -RMRKSFLSKIQTGEYLNSKSMVVTGFLRSLVNGDCAVLSKDTLSSSASVAQQSIFKALGIDERIYLRTLQ -HQLDLYSADIPENPYSNSAWIKILKAIGMGRTYLAENGCGILMIAAALILILVSAWGFWKLFIGLFSGSM -SLGAAIVGMSAVDIKAQQKSSSQEGGYRARNIPIHHRYAYAKSQAGDGLLPAARLCVAIYQPGGGFVSAM -QYKNKSVRMTRHQALRFQEGEQLTVIFSSTGESQLIRWHKYHMREEPGSEIVTWLAPSLPSLSPDLKDLF -LEDKEVDLPNHFKTIGYVLRVDNTAFHYDLLDTYAAVDKTPLPLKGVVGNELYLHEIPEKITFHYESRND -DCGMIILCQIKGKMRVVGMLVAGKDKTSWADIMPPNTLAELQSQIEYIPKFGEAYDGFFKAGYVPMADAP -TLPKKTNMVPVPQSLRVPCDVPIKEPAVLTNADKRCPAGVNPPVTALKKKFEHPMKELEQEILDEVATDI -LETWYDCEDHVLNDIPLVVAINGIPADSEEAELENFVMKTSPGYPYFKNNRAEKLKGKSAYFEEAEDGTL -KLKEGGMAAKLHENLVEFTKNEVPELVVIECTKDELLPERKIKVGACRLFEIMPLHYNLFLRQKTCAFTQ -FLQHNRHVLPCQVGTNPYSREWGHMLNRLMRPKTNEAINCDYSGFDGLLNAQVIECIAKMINRLYALSGE -SEVQQAQRYNMLMALVGRYAFVGPEVYKVNCGLPSGFALTVVVNSVFNEILIRYAYKKLAPKPERNRFNQ -VVCLLVYGDDNLISVSPSIASWFTGEAIRITLAEKKVKITDGSDKDAPTIEAKSFWELDFLKRKFLKLDN -GIVQAPLDRSAIFSSLYWLTPDKSKFHASQRASDFQGTVDVVEELILNVNVALMELYLHNDPREFSRVRD -FYIKALPLATGQFRTWAFCEAFHSAQQTGMLKYDPAKVLDHMSGLDFKKFMHVSEQGNKAHFYTEMLGVA -GPHYKPQENDFIVSTEPLKMGVCGEHVPIQYGSGVGGLPTKKWVLDFGRPSQLKNKLGYLIHPILRAQIE -AGKRLVFMSPAPYVANNAALIAFGTGGKMLIQKDALVHYRNVIPESTSGLEQYFDAPLPTATIGTFYFAN -GETYAALCEYKEGKVLNYEGFPTLILNEAAKDRKVPCMVATQAKTKFKVSLACDSTMCPHHTAVCETYEK -AFRHCWLAKCKTSAVKVSPWHGTKLS - ->NP_619705.1 polyprotein [Grapevine chrome mosaic virus] -MMDSTIILPYAKYNYSLNKYLFYNSNLDIDLDSFNFYKKYNNTLSLLKRKFLDLFCIPVSSSSPPAIQKN -GLLSASNPKHLFAFANFVLDCGVNPFLQIWEETLANWPLFQGQSLLACCRTTAEVRREAAEASAEILRLK -QVEREHAFQAEVKSLIAAGALPACAEGLARKIWSAGKDQRKVRVAIRTKLTKANALGAAHRSAVATAQAK -AEVLREFEPSPAHIQIAVKAHIFAEKLSRKYADLTAQVRARRAAARDLRAKEIYLEIVDLLGAPLLSIPQ -QIKIKGKYLRRSVAAEVEVPHTRNPMAELVPYKGLGAVSADPRCWVVAQCGKFSATHANLCSEIYSMVIG -PWVQLTDFSSIRQFVMNISFLKDFYPEDALIASLKEVNTEAQLAVAAIVTQEEVSKMEANARSANCRANI -FKRIASRITSAACSVKNAFLDGCELTGKRLSEGVFSVVIGHFREALTTIKFELGVAMELVEVLIARVKSW -FDTLLAKIDHALASLGKWACYALGILLGIGLCNLIETIIGGHGMLVSLFCTGVFATMAIKCAGGWDAAQR -EMVAMITTLAQSIFGRRKGLDSTDLNTRSIPLLTNVITAMTTFGTGLCKFQSSSIIEIGKLAGACHQMRM -GKEALKEFAAMIMHYLGRIADKITGRETIFFDELSTLVSVDVRGWIRCAQGAILESYHTDPGCETFQDVI -GRLVQEGQKLQVGVNGIPRKISADYASLIGQIMKDLLELQKRMMRCGTVTGRRKEPVWIYLWGPSHCGKS -NFMDVLGMALCKHFDLPYTVCGRNVKDSFFSGYMGQTIMEIDDLSSIKTDPPMEGELINLVSCKDYPLNM -ADVADKPIYFKSQFVISSSNQEDVPAGAGVRDISSYRSRKAALIEVRRKPGVIFDPDNAMAASQARFKDP -MTHMLLNGQNDENSWMEMDDVITECINISARHRAAQEKLQNASLRAKASLDPVTLASQEFLRKEVNSVYL -EIPTLEIEKAGISGVRGGRCLYADGILYTLGTEFQLIPHPVENEGYQKLWAQRMKNMYLPAVTTGRYLNA -SSMIVTGFLRSLVNGDCAVLSVDALSTTATFTQKRIFESLNLAERVYLRALQCQIDAYTLDIPENPYSNV -CWVKMLKALGQGREFIVSNGGGILMIAAAIILVLVCGWGFWKAFVGLFTGSMSLGAALAGCQEAEVKAHS -VYSADGGDRGYRSRNIPINHRYSYARSQAGNGLLPASRLCVAIYGPRGVFISGMQYKNKCVMMTRHQAQS -LNEGDELSVVFASTGESMMIRFHAYHIRENVGSEVVCWLAPSLPQLPCDLKGLFLEDAEVELPSNFKSMG -YVLRQDSNAFHYDTLDTYAAVDKTPLVLKGVNGDDLYIHEIPEKIVFHYESRNNDCGMLLTCQLSGKMKV -VGMLVAGKDKTSWACILPNPHLAELKSQIEYIPEFGEAEEGYFKVGHVSPKEAPTMPKKTNSVQVPQALR -VPCDLPIKEPSIISKNDPRCPANVDPPKAAMKKKFQQPMLDLDQKCLDEIAGDMLETWYDCEDSILSDIP -LATAINGIPAGCEDAELENFVMKTSPGYPYFKNKGLGKGKHPYFEECEDGSLKLKEGSQAAELYENMAQF -AKEEVPELVVIECPKDELLPARKIKVGPCRLFEIMPLHYNLLLRVKTCAFTAFLQHNRHRLPCQVGTNPY -SREWGHLLNRLRRVKTNEAINCDYSGFDGLLTPQLVEMMAKMINRLYLRSGESEVMQAQRLNMIMALCGR -YALVGTQVYKVNCGLPSGFALTVVMNSIFNEILIRYAYKTLAPTPEKNSFGINVCLLVYGDDNLISVSPA -VASWFTGEAIRVTLAEKRIKITDGSDKDAPTIEAKPFSELDFLKRKFYVHPEHGQVWAPLDKSAIFSCLH -WLTPQKSKFALQEKACDYLGEVDVVEELIINVNVSLVELYLHNDKEEFNRVRSFYIARLPMQVDQFRTWA -FCEAFHSAQQTGMLRHDPAKILDSLAGPEFPRFMRCSGEGDKAHFYTPILGVCGPHYKPKEQDFLVSTLP -IKQGEGVHIPIKIGGGVGGLPTHQWVKNFGRPSQLKNNDGYACYKLLCEQIEQGKRLVFMSAAPYVAGNA -ALISFGSSRKILKEQMPLCHYRNSIPESVDGLTGYFDAPLPAATIGKSYFANGETYAALCEFKNGEVLDI -VGPTNVQILNGAVRQGKVPCLAAHSVGTKFKVSLVCNKTMCPHHHHTGPTFEQAFRTCWLSKCKTKETQV -SPWFGTKFLGIS - ->NP_619689.1 253K polyprotein [Grapevine fanleaf virus] -MWQVPEGSQCCCTGKSFSNAEAKELRYVCSCWMSTRLVKAEAPPQQSRKSGIAPTPLKSKGTIQVSLPKA -TGVKPSIHKSKGASVAPAPLLKQRCEVVVQYGPPADIELVYPPLVREEEKSSNIVVLPPTQKVEVRVPVC -CAPKWMVAIPKPPVKLAPKASKLRFPKGAVAYNGVNFIDTKGKVVLSEGAKRILRGIRVAAKQRLRAARR -SAACKKVRAKRALAEFEAIVQSERLDQLKTGFQVVLPAPKMSCSLKEAAPSTTSVVVVKKRKLPRLPKIL -PEQDFSCLEGFDWGEKSHPVEVDIEDDWILVEKPVLKRQAVQTAQGRATEALTRFAATSGFSLGAHQKVE -DFASSGEAEYLMAGEFADLCLLSLVYNDAPTLSATIEELRDSKDFLEAIELLKLELAEIPTDSTTCAPFK -QWASAAKQMAKGVGTMVGDFTRAAGAAVVISFDMAVEFLQDKALKFCKRIFDVTMAPYLQHLASAHSILK -KIWEKLSEWMESLKSKASLALEVMRQHAIFALGAMVIGGVVVLVEKVLIAAKIIPNCGIILGAFLTLFFA -SLGLTALECTAEEIFRMHACCKSAIYSMYSVAEPTMADEGESHTMGATQGLDNAIQALTRVGQSMISFKL -GSFSYYAKIAQGFDQLARGKRAIGELTSWLIDLVGSIYSQVSGQESTFFDELSTIVCLDVRAWLLKSKRV -RLQVETMAIGDRITLDTIAKLLEEGHKILVTAAGVPRKTSADFTMCIKEEVSKLEEVHARTACAGINEGM -RAFPFWVYIFGASQSGKTTIANSIIIPALLEEMNLPKSSVYSRPKTGGFWSGYARQACVKVDDFYAIEQT -PSLASSMIDVVNSEPYPLDMAYIHEKGMSMDSPLVVTTANTAVPPTNSQVVDLPSFYNRRAAVLEVRRKD -GSFFTPRAYDSCIEVRFMHNKCPYVDSAGVPQGPAVNTPMDEGWITPSEAVAVLKNLLGEHILAEEAKLL -EYRERIGNDHPIYNAAKEFIGNMHYPGQWLTAEQKSTYGIKDDGFSFLAVDGKIYKYNVLGKLNPCESEP -PHPNVIPWLEKKTLEIVHWDVHKHIATGPRNALVACFLQGLVQGQSKVESVERMGKDSSPEQQNFFKRLS -LSERIYLRLCQIRIDNIQKEELAGSGRGPMAILRECLMKSKQVVVENYSLLLTLVAILLLISAAYTLLST -VVALAGCSSFAGGMVAVTAVNNASIPCSEPRLEERYSPRNRFVSRISKIRGEGPSKGQGEHEELVTELYY -YCDGVKKLISTCWFKGRSLLMTRHQALAVPIGNEIEVIYADGTTKKLVWPGRQEDGNCKGFVEFPENELV -VFEHPHLLTLPIKYEKYFVDDADRQISPNVAVKCCVARLEDGIPQFHFWSKYATARSEVHTLKDEGGGNV -YQNKIRRYIVYAHEAKKYDCGALAVAVIQGIPKVIAMLVSGNRGVTYSSVIPNYSSSFIRGEVPYVPEDG -LVSRGYRKVGYLHASDAPHVPSKTSFMKVPDELCFPYPDPKQPAILSAEDERLKGTIHEGYTPLRDGMKK -FAEPMYLLEEKLLDEVAGDMVQTWYDPGEFLEDISLDQAINGDMDEEYFDPLVMDTSEGYPDVLDRKPGE -KGKARFFVGEPGNRAFVAGCNPEKAYYQLEEDSKTKIPSLVSIETPKDERLKRSKIDTPGTRLFSVLPLA -YNLLLRVKFLSFSRLLMKKRGHLPCQVGINPYSREWTDLYHRLGELSDVGYNCDYKAFDGLITEQILSTI -ADMINAGYRDPVGNRQRKNLLLAICGRLSICGNQVYATEAGIPSGCALTVVLNSIFKELLMRYCFKKIVP -PVYKECFDRCVVLITYGDDNVFTVAQSVMQYFTGDALKMQMAKLGVTITDGKDKSLSTIPARPLLELEFL -KRGFVRSSGGMINAPLEKLSIMSSLVYIRSDGSDMLQKLLDNVNTALVELYLHGDRTYFESVRAFYFEKL -PPGAYKELTTWFQAESFHECQKSGESGYKPQGLIEISHGAAFASFTQQAGTELEKHDICPGLSIAGTKYI -ATENEIVLSLSSVLPGDRNVFKLDLPCGDGIGRLPSKCSILNLRKPGLVMRLCKRAQDEKKTLVIRDERP -YIGAWAVACICGESFGFGQQSVLALYANLLGPNQRNGLASYFSDFESPIHIKKVHAKTNSYEGGEALKEI -FTFCETIFYEATEMDTRKVMLQNQPDVYPSISLVGGVCFPNEGGEPGAMYSETDVTMAREVQGVYVSEAC -VKCCRRCVGVATRVVTDTQLFGNNLLKTHLKALRKIQNHTCLRK - ->NP_612604.1 polyprotein [Blackcurrant reversion virus] -MVKIGSDTLAPKQLVLENKYIAACLSKPANFALSFLAQGASLKPSVVARAAINGVIACDTTTFQLLAMDG -TPLSFAAAAVVVRQFQLAHNRFVKIGLRQFYKECTRRCNAFNLQKAARQSRERRAACKRILASLDADLPC -SRSSRRAIVTAHYAGVATAARKSALFRQMRADKRASRALAAAMQPLVLAPPTCARIPYVCPLSSSVEESV -SRRSLERCSKRVPREHASPRVASEMQPFADRPRLFSRVLLLPLSEITRAFFSRVFVPGSAMYDCATDLAH -VVLDWWSITPMAHYLAMLDNFLGTPTEESVRQASTNLLEEVEAMRALCRDHRANGVFAWVTETAGTIGST -LKTAAVAPFHGAGVALKAVLTPCASATLAWGEKFFQTLKSKFFEFLKPYIQHAIYASAEIEKYWAFIHGW -ATKMWNNVGVELQALGDAAWWAIGITMVCGIVTLVEKLLVYLGALNAGGILCSLMLTGLLGAAGLLATGK -FAEASSTLVGAMRSLIFTLFGSWKPTEASDGLTCNANALDFPLKVLETVGTGLISAPLGTLQYIGKYGQA -MDQIRKGKDAIKEFVGFCMDRVADAWDYMTGRKDSFLREIASAAKVDIVYWIKQTQSVLLQAQTIAVTDI -VLLDTVTHLLYKGQILQLTLAKASRTTSLDYARIVSTLIGELTKIRATCARAGSFDGRRPEPFWCYIYGK -SHCGKSLFMEDVSRALLKENGHAPNDIYAKNARDSFWSGYLQHACVQVDDLSACVTRPSLESEFLQLVGS -KMYSLNMAAVEDKGMSFNSSIIVTTANVYTAPTSAEITDKDAYGNRRNVVVQCRAAPDVEFDPRNPSASC -EARLVHRTDESPLGNGQWRNCSAVLEDIIHHAAIHRNKENLLMENYRERSDTQHPVFVGAKSFIHRLAKE -KNFAHIVCDDVLYYHDSYIDSTRVSEGTINIGMEDACIQSVVQWSELVGGVKDLGLLYAFVHAFTEGPCH -VDSVEALNSEATSCQRDFFQSLSLLERIYMRLVQKQLDRIRANPDFLFSVDIKTRILQSFRHGYDEMITH -GGKVLAIFAALLLVLLLYSSFFALYQTFVAGTSSALVSAGMITQLSANAGSVCTSASNPSGAASYVSSNI -PIHHRWRSNYSERSYALNSNLEDKYLLDLLVWLQIPGDSIISCIRFKGRSLLLTKHQALAIPEGARVYCN -YYGRGRAVQTIPLSWSYKKVREFADTEAVLFLDAQLSTMPAGREHYFNVPVERLPSVFDMNGVVMKQKRY -MTDSDDSLAAFTPNQPVVNTWENSRAKLNCERQGINTFAYGGNYRNELPRSISSNCNTSPEDCGAIMTMI -FEGRRVVVGMHVASGKNPQGRYMSTACLLPDYHEDLSLNSMLQYTPYDGICKEGYRQIGNIENIGARPYT -SGKTAFVAVPQHLLYSPPVLQEKLPGSAQPVTIQVEVKQPAILSKDDPRIPEGTSYDPLIDGMAKFSHPM -AVLDENVCNEVAQDIVESWHDCFQDLQDVSDEIAINGSTEMDYEPFNLQSSEGYPYVTQRKPGESGKIRF -FEMDPYTGLKSLIPNTLPAMRYEALQRDCFTSVPEMVCIETPKDECLPLRKICIKPKTRLFSILPLEFNL -LLRKKFLHFSSSLQMHRDTLPTQVGVNPYSREWGELLQRLRAQSSVAINCDYASFDGLLTGQILEKIGTM -INKMYIGSEASKIQRLNLLMSIVNRKSICGARVYEVRAGIPSGCALTVLLNSIFNEFLIRFVWRTTIIGV -PRERFSQYVTLLIYGDDNLIAVHPDYLPHFNGEIIRTRLADVNVIITDGSDKTAEKIEEKPLVQLDFLKR -RFRKLNDGTVYAPLDLASVYTSLQNVTMGAGSIHIALQNNVHNALLELYLHGNETWFNHLRDFYRKSHAW -VNLPSWREAFAFHQGQISGVTPWTPYQMFDVPVDGGRLRAMMANQGEAAFSTHLGREIYICGPKWCVSDP -EHQFVVSTTPLRSADRGSGIHRAIEYPCNGVGRLPSQDWVTKFKSSAHRVTAEIRKAHASGKAIYFRDDP -PYVANWCAAIGFAQGLGYDYKSMINLYHDVSVPGSDALYFYFEQRARRALPEPYIPPHLRTRVR - ->sp|P18522.1|POL1_BRSV RecName: Full=RNA1 polyprotein; AltName: Full=P1; Contains: RecName: Full=P1A protein; Short=1A; AltName: Full=Protease cofactor; Contains: RecName: Full=Putative ATP-dependent helicase; AltName: Full=1B; AltName: Full=Membrane-binding protein; AltName: Full=NTP-binding protein; Short=NTB; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=1C-VPg; Contains: RecName: Full=Picornain 3C-like protease; Short=3C-like protease; AltName: Full=1D-PRO; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=1E-POL -MSVTLSPSGDCFSFNHVKYNNSLNKYLFYNSNLDIVLDDFDFYFNFYVKKYNVLLSFFSDRVLSALYTSM -SVSEAASLAMEDFCELALDELKINPFHQLWEETLANWPVYPGTSLLDFCRTQYEIRREAAEASAEILRLK -EVARQRAFDDEVEFLIKHGAKVHFAPSFAAQLWRAGKDQKKCRGILLGKLNKAKALGEAHRSAVARAQAK -AEVLREFEPSPQQIQRALEAQIFADRLSRKYAALTARVRAKRAAARELREKELFLETQDLLNAPLLPPME -KVGIERKYRKVRPTGSNVTSTPKPNVLENLCPFMGLGAKTADVRCQATLMAGKIHPQYPRLASAIYAWVL -GPSMKFECIAPVKTFIKGLTFMVDYFPEEVLIDELNKINSEARCFEASLVLEEERAKLEAHAENANCRAN -IFMKAMAGVKNMAKCAYSGFLTGCEEAGRSLSEGVCSVMINSFRECIKMIHKELGCAMELIEVMIKKVKD -WYNSMLEKLHCGLATLGTYAMYALAILLGCGLTTLLERCIGGAGILTKLFVTGVFAAIGLHAAGGFDGLQ -REMVQMCTALAAGIFDVHHKGNGKYSPMADILAEQRLEDRRADNVRSIPIISGIISAMQQFGTGLCSMHS -ISLIEIGKLGAACHSMRMGKEALKEFCATIMYYLGRISDKVTGRETVFFDELSTLVSVDVRGWILCAQSC -IRESFHTEIGNQFFRDMVAQLVDDGQKLQVGVNGIPRKISTDYSQLSSDTEGPNELHKRTIRAGISEGRR -CEPVWIYLFGQRHCGKSNFMATLDNALAKHFGLPNTTAYRNCKDSFFSGYSGQTFFHVDDLSSVKLDPPM -EAEMINLVSCQEVPLNMADLADKPIYFRSPFIISSSNFEDVPAGCGVRDIEAYRSRKACLVEMRRKPGVL -FDPDNPLLASQARFKDPMSQMLLEGQTEENSWLEMEDVVTEIINISARHRAAQEKLQARYMREKSLLDPL -ALAAENFLKGEVQTHILIFLVLNLKSWNPKPQGGRGLYVDGSLYLLDPTFQFEEIPITDDGYKRLWDERM -RKSFLSKIQTGEYLNSKSMVVTGFLRSLVNGDCAVLSKDTLSSSASVAQQSIFKALGIDERIYLRTLQHQ -LDLYSADIPENPYSNSAWIKILKAIGMGRTYLAENGCGILMIAAALILILVSAWGFWKLFIGLFSGSMSL -GAAIVGMSAVDIKAQQKSSSQEGGYRARNIPIHHRYAYAKSQAGDGLLPAARFVCCYLSTGGGFVSAMQY -KNKSVRMTRHQALRFQEGEQLTVIFSSTGESQLIRWHKYMMREEPGSEIVTWLAPSLPSLSPDLKDLFLE -DKEVDLPNHFKTIGYVLRVDNTAFHYDLLDTYAAVDKTPLPLKGVVGNELYLHEIPEKITFHYESRNDDC -GMIILCQIKGKMRVVGMLVAGKDKTSWADIMPPNTLAELQSQIEYIPKFGEAYDGFFKAGYVPMADAPTL -PKKTNMVPVPQSLRVPCDVPIKEPAVLTNADKRCPAGVNPPVTALKKKFEHPMKELEQEILDEVATDILE -TWYDCEDHVLNDIPLVVAINGIPADSEEAELENFVMKTSPGYPYFKNNRAEKLKGKSAYFEEAEDGTLKL -KEGGMAAKLHENLVEFTKNEVPELVVIECTKDELLPERKIKVGACRLFEIMPLHYNLFLRQKTCAFTQFL -QHNRHVLPCQVGTNPYSREWGHMLNRLMRPKTNEAINCDYSGFDGLLNAQVIECIAKMINRLYALSGESE -VQQAQRYNMLMALVGRYAFVGPEVYKVNCGLPSGFALTVVVNSVFNEILIRYAYKKLAPKPERNRFNQVV -CLLVYGDDNLISVSPSIASWFTGEAIRITLAEKKVKITDGSDKDAPTIEAKSFWELDFLKRKFLKLDNGI -VQAPLDRSAIFSSLYWLTPDKSKFHASQRASDFQGTVDVVEELILNVNVALMELYLHNDPREFSRVRDFY -IKALPLATGQFRTWAFCEAFHSAQQTGMLKYDPAKVLDHMSGLDFKKFMHVSEQGNKAHFYTEMLGVAGP -HYKPQENDFIVSTEPLKMGVCGEHVPIQYGSGVGGLPTKKWVLDFGRPSQLKNKLGYLIHPILRAQIEAG -KRLVFMSPAPYVANNAALIAFGTGGKMLIQKDALVHYRNVIPESTSGLEQYFDAPLPTATIGTFYFANGE -TYAALCEYKEGKVLNYEGFPTLILNEAAKDRKVPCMVATQAKTKFKVSLACDSTMCPHHTAVCETYEKAF -RHCWLAKCKTSAVKVSPWHGTKLS - ->ABM65095.1 polyprotein, partial [Tomato white ringspot virus] -LPPKVVTAAAPVKAEFNFPAEHFLPGELRYNSKPFPKDEAVSIPAPVADAPIWERTHYFGLEAATPAGIA -YKACMDAAHRIPLPERVRDFLITVAPCSLKWGKYASLLLRLYEHIPFGSYFEATKNVLTYTFDYEGVMVT -LQEEVDCLDEFARTSIGAQGMMSWINESASSLGHKFAKGIINAVTESADKMISKMQELARDAFTKIKQAF -MELVSPHLARLQHISAEIEKYWVCVCRWATKMAESMSVQLQAFRDSMWWASAMLLSAGIVAIIEKILVKM -EIITYPGVLVGCFLSSILAYMGYTALDDSGAIKMLMRTIKQSIISLYVQKETSPAEVRAMVHGDADMIAH -SVGEVPLRFLNAIGSGLIAAPLGTLQYAGKYGAALDQIRRGKDAMKEFTGWTIECIGDAWDMYSGRRDTF -FHEIARLTKVDIVKWIRDSQNIILQSQTTANTDPLLLEMCTRALSKGWKLQQSLAKGKRTTNVDYGFIVG -KYVTELQSIRAKCAMAGKMEGRRKEPFWVYIYGESHCGKSLLMEPIIDALTDELGLPAGDTYAKNGRDNF -WPGYTRQAVVTVDDLSATIAQPSLESEFMQLVGSKPYALNMAAVEEKGMLFSSRVIVTSSNFFDAPTKAE -IQDRVAYQNRRNVVVRCRRKPDSEFDPTNPHASCEAVFVDRKTEQQIGEWRNCEALIDEIKIKAAAHDEK -EEKLQAHYLGSHRRVHPIHNDIQDFLRAGIVMTKHLADTNYDTYRENWLDANLAVDGKLYKVSLKNVCDE -LLELPPDGFEEACLARIPTLSTAMADEGVSEFVQTVIEGMIEGPSFVESVDKMSAETPADHREFFSRLPL -GERVYFRLLQKRFEQLKADKDFNFQIDMKMRVLKSLKSSYDKVIENGGRIFLVCCAFIMIYFAYSTFFSI -FNAFVGGSSAGMAGALITQLDAHSVYSSGASVQSYRSRNLPTTYRQRMMAHSQDDSKKLADEDAKFKTDL -LVRLTIPGGRVICAVRFYGRSLLMTKHQAMAMRKGDRVMCNYTARGVQSGKIEFLYDPSRLTEFPDTELV -QYADNVLSPLPNPAHNAFVFDHAKLKGTLQLFGAVIKLRRHCGDHTIGLENVDGDVPTLHKWDAIGNVTT -TRQTISTFVDGAPYYNDIPKYLHSNSPTTVEDCGAIMTALVDGEYRVVGIHVAGGVTPTGQYTSMACLIP -YVPHFECHSRMPALEERVGIDTLGCTKIGFVSNPVERPYYATKTQFVEVPEMVKIPYHDVKIPSILAKGD -ARLRGTEHEAYDPLKNGMSKYEEPMSLVDEKLLREVAQEIVETWHDCEDGTFGDADDDVVINGIDGEDFF -DALVMSTSEGYPYIKERNIGEKGKARYFEPTGDGCRKQLIQGTMVAQDIEYLKETVHEIVPEIICVETPK -DERLPARKVTGKPKTRLFSVLPLSYNFMLRKKFLYFVAFLQKNRGRLPCQVGINAYSREWQTLYNRLAER -SENALNCDYSSFDGLMTGQMLSCIGDMINTMYGDSQKSKNGRKNLLMAICNRKSLCGADAYEVRAGIPSG -CALTVLLNSIFNEILVRMVYKTVVPGVPRNHFNEYVTLLVYGDDNLIAVDPSILEVFNGDVIKKTMAKWR -VTITDGSDKLSPTLTEKPLLSLDFLKRGFKLADNGQVYAPLDKTAIYSSLHWVAGRGQDVMSALKDNARS -ALIEMWAHQDKAEFVELRSFYVSKIPSWSDLPTWDQARCFHEAQQHGAMPYRPQASMELLVDMHTDKKMM -ANHGEQDHLIYVQPRIAVSGPKWIIESCDKQFVVSTLPLSRAEKFSGIHIPVECGDGLGRMPSQDWVRRF -RRIRHVQIRVIYDAYAAGKTIIFKDVAPYIAGWTAAISFACAQQYSYRDMLNRYNNVCTPNSSGIEQYFA -KDMAMKCKLPFHPPGEKCTCDVLSSR ->AIT39627.1 polyprotein [Tomato ringspot virus] -MFSSFCDGSHARLPSKAAFRRLIADGDLDREGRYPCGCLAQYFVQSAPAPKAQEAVAPRKVGVVGRSADL -QGAVAPLKKQRCDVVVAVAGPPPLELVYPARVGQHRLDQPSTGPLAVPAAKQTSAATGVVLSVREAALTA -PWLLRSCKSEVSSIPPPLSQRQQFAAIKRRLTLKGQQIIREHIRARKAAKYAAFAAAKRAAALAAQKAAA -EASRLAAQRAAVAKFLRDRQLASFPPPPSPSAAQLAAEAELASKAESLRRLKAFRKASRVRPALNNSIPS -PPLKRQREAALLERLRIATPSRSSVATKRQRSMEVAPLATQVMVGKCTSHQEAYDSCRAVLLEEWPESRM -LFGPLKFVEGWKHVPGMLMQYRLAVVFCMVRDVMPALSLVADTLHALRSGLNPMVVFKNALNTANQILEC -AHCSHAAQGFGAFLSRSKDAAINLAAGLASKVSSAVVSTATKIVDKASEVVVDKLFVPFVHLLRGHFDDT -IGKWIPKLLGAAQKIEELWRWSLEWAQNMSTKIDVALRALRGSAFVGMGLLLVAGILYFAEQLLRSFGLM -LVAGSFISMFVGGVLLAYSGSMAGIFDEQMMRVRGILCEIPMLLYVKAQPVPFFPKHRGAPTQGINDVFG -VPLSIMNALGDGLVHHSLDTLQMMGKFGAAMDNVRKGITCMRSFVSWLMEHLALALDKITGKRTAFFREL -ATLINFDVEKWVRDSQQYLLTAEIYADGDAIIMDTCRHLLDKGTKLQRMMVSSKSGTSFNYVRLVSDLVK -RLGDLHKRYQVTGRRVHYRLAPFWVYLYGGPGCGKSIFAQSFMNAAVDFMGTTVDNCYFKNARDDFWSGY -RQEAICCVDDLSACETQPSIESEFIQLITTMRYGLNMAGVEEKGAQFDSKMVITTSNFFTAPTTAKIADM -SAYNRRRHACVLVQKKKGVEYDPSNPSAAAEAMFVDSHTQHPLSEWMSMSELSAELILKYQQHREKQYAE -YKYWKSTTCVSHDVFDILRKCVDGDTSWLSLPVDVIPPSIRQKYKGNRVFAIDGRLFIFDYLTLECEEVF -ERENLDVRHLESRILEKYGDTRLLLEKWGANGIVAQFIEQLVEGPSNVTSMEALSKESLASHKEFFSTLG -VIERATLRAVQKKIDIAREDLSSFSGIKPGRSLAELFVEAYDWTYAHGGKLLLVLAAVILILFFGSACVK -LMQSLFAGATGGTVCMATVGKLSVQSTIPSGSYADVYNARNMTRVFRPQSVQSSKLAEDQFNESHAVNML -VRIDLPDGNIISACRFRGKSLALTKHQAMTIPPGAKLHIVYTDNNGNTTAPITHFFQPTGPKGEQFLRFF -NGTEVCIYSHPQLSALPGAPQNYFLKDVEKLSGDIAIKGCGIKLGRTSVGVGDSVKDNEPVLNHWRAVAR -VRTTMLTIDNYAEGGDYCNSLPTSLISEYVNSPEDCGALIVAHLEGGYKIIGMHVAGSSYPVEVDGVRVN -RYISHASFFPDYASFAPCQSNCIKSLVREAGVEERGVSKVGHIKDPAETPHVGGKTKLELVDEAFLVPSP -VEVKIPSILSKDDPRIPETYKGYDPLGDAMEKFYEPMLDLDEDVLNCVMEDMHDVFYDCQPSLRLMSDDE -VINGSDFGFNIEAVVRGTSEGYPFVLSRRPGEKGKARYLEELEPSPGDAKPKYKLVEGTDVHQAMLAMEA -QARTEVPLLIGMDVPKDERLKPSKVLEKPKTRTFVVLPMHYNLLLRKYVGILCSFMQVNRHRLSCAVGTN -PYSRDWTDIYQRLAEKNSVAMNCDYSRFDGLLNYQAYVHIVNFINRLYNDEHTIVRGNLLMAMYGRWSIC -GQRVFEVRAGMPSGCALTVIINSLFNEMLIRYVYRVTVPRPLVNNFNQEVCLIVYGDDNLISVKPDTMKY -FNGDRIKEVLAKFKVTITDGSDKNSPVLRAKPLKQLDFLKRGFKVQSDGRVLAPLDLQAIFSSLYYINPQ -GNTLNSLFLNAQVALRELYLHNDIEQFTAVRNFYVTQIGGNFLNLPQWRHCAAFHDEQYSQWKPWAPVKF -LEVDVPDVKFLQHKAPSTALSIVADRLAVAGPGWRNKDPDKYLLVSLTSLKANEGGLYFPVDYGEGVGQQ -ATEASIFAYRKYKDHRVRHMRDSWNEGKTIVFRCEGPFVSGWAAAISFGTTVGMNAQDLLRNYDIQGGRH -REYLGRYFENVRFKELKQYARPYNARLIAS ->AQM74368.1 polyprotein [Tomato black ring virus] -MSVALSPSGDCFSFNHVKYNNSLNKYLFYNSNLDIVLDDFDFYFNFYVKKYNVLSSFFSDRVLSALYTPM -SVSEAASLALEDFCELALDKLKINPFHQLWEETLANWPIYPGTSLLDFFRTQYEIRREAAEVSAEALRLK -EAKRVAAFGDEVEFLIKNGVLPHLAEDFARKIWSTGKDQKKTRTAFLVKIKKAKQLKQQWDSARNLAAAR -AEVLREFEPSPHQIQKAIEAQLFAEKLGRKYATLTARVRAKRAAARELREKELFLEIQDLMNAPLLPPME -KVETERKYRKVRPTGANVAHQAVSNPLGSLCPYMGLGAKTADVRCQATLMAGKIHAQYPRLATAIYAWVI -GPAARFECVAPVRNFVKGLTFMVDFFPEEALIHELNEITTEAACVGASLVLEEERAKLEAHAQSANCRAN -VFMKAMAGVKNMAKCAYTGFKTGCEEAGRSLAEGICSVMMRSFRECIAQIKTELGCAIEMVEVMIKKVKD -WFYSMLEKLQCGLETLGSYAMYALAILLGCGLTSLLERCIGGQGVLTKLFITGVLAAIGLQAAGGFDNLQ -REMVQLCTALAAGIFDIQHAGNGKYKPSWDISAEHAREDARDSNVRSIPIISGVIEALAQFGTGLCSMQS -ATLIEIGKIGAACHSMRMGKEALKEFCATLMYYLGRIADKVTGRETIFFDELSTLVHVDVRGWIKRAQSC -MRESFHTEIGNQFFRDMVAQLVDEGQKLQIGVNGIPRKISADYSQLIGQIMKDLVELHKRTIRAGISEGR -RCEPVWIYLFGQRHCGKSNFMSTLDNALAKHFNLPNTTAYRNCKDAFYSGYSGQTFFHMDDLSSVKLEPP -LEAELINLVSCQEVPLNMADLADKPIYFRSPFIISSSNFEDVPAGCGVRDIEAYRARKACLVEMRRKPGV -IYDPENPLLASQARFKDPMYQTLINGQTEETSWMEMDDVVTEIINISARHRSAQEKLQARYMREKALLDP -LALASESFLVKEAQKVFLDFDGVELEKAGVPRPEGGHGLYVDGVLYLVNTSFEFDEIPIKDEGYQRLWDS -RMRKKFLPAIQRDEHLNTKSMVVTGFLRSLVNGECAVLSKDTLTASATTAQLSIFKALRLEERVYLRTLQ -HQLDLYSQDIPENPYCNSAWVKVLGAIGAGRDYLVQNGCGILMIAAALILILVSGWGFWKLFVGLFSGTM -SLGAAITGMSAVDIKAQQSSASQEKGYRARNIPIHHRYAYARSQAGDGLLPAARLCVAIYQPGGGFVSAM -QYKNKSVRMTRHQALRFKEGEQLTVIFASTGESQLIRWHKYHMREEHGSEIVTWLAPSLPALSPDLKDLF -LEDKEVDLPNHFKTIGYVLRVDSTAFHYDTLDTYGAVDKTPLPLKGVVGNELYLHEIPEKIVFHYESRND -DCGMIMLCQIRGKMRVVGMLVAGKDKTSWADIMPPNSLAELKSQIEYIPEFGEACDGFFKAGYVPKSEAP -TLPKKTNMVPVPESLRVPCDVPVKEPAVLTKDDPRCPIGVDPPSAALKEKFTQPMLELEQEILDEVATDI -LETWYDCEDHVLSDIPLSVAINGIPADSEEAELENFVMKTSPGYPYFKNNRAEKLKGKHSYFEEDEDGSL -KLKEGGMAAELHENLVEFTKNEVPELVVIECTKDELLPARKIKVGACRLFEIMPLHYNLFLRQKTCAFTQ -FLQHNRHRLPCQVGTNPYSREWGHMLNRLMRPKTNEAINCDYSGFDGLLNPQLIECIAKMINRLYALSGE -SEVQQAQRYNMLMALVGRYAFVGQQVYKVNCGLPSGFALTVVVNSVFNEILIRYAYKKLAPIPERNRFGS -TVCLLVYGDDNLISVSPSIASWFTGEAIRVTLAEKKVKITDGSDKDAPTIEAKSFWELDFLKRKFLKLDN -GIVQAPLDRSAIFSSLYWLTPDKSKFHESQKPSDFQGEVDVIEELLLNVNVALMELYLHNDVVEFERVRG -FYAKRLPLMVTQLRTWAFCEAFHSAQQTGMQKYDPAVVLDHMSGVDFKRFMHMSEQGNKAHFYTEMLGVS -GPHYKPQEGDFIVSNQPLKPGVQGEYVPIVFGEGIGGLPTKKWVGDFGRPSQLKNSKGYLITGLLKEQVE -AGKRLIFMGPAPYVANNAALISFGSAHKMLIQKDALAHYRNVIPESTSGLEQYFDAPVPQANIGTFYFGD -GETYAALCEYKDGKVLQYEGWPKSILNQAAKDRRVPCMVARQYKGRFTVHMACDSKMCPHHFATGANYEI -AFKQCWLNKCKCAGNTVSKWYGTKFS ->AAB69867.1 RNA1 polyprotein [Peach rosette mosaic virus] -MEYLDYLPARTKWVAIVPKAVLEATRIANVLLAKPANFAISFLAQGASLKPRSVALAVAMGYCHWPRVLH -LYSEGVPLTWGDAPPVPLLLRALAKMESGLYADGRGTGFLPVQEASASPAGRQQAVEEKKALYRAKGAAA -TASKKAAARAALEARRSCGGQGRAPKVLKKKATKRVVTAALATVKESQRLALFFLFPLLSFPLPLSSVKR -GFLLILLNGRIFFPLLLLLWWLCKSPLSYGSYCGPWASLGPILETGAPGAQRALFAAIRKLPLSTFHERV -LFRDTQVAVSQLFVLYPSVHILGDLNSFFLQDCRGMRLALESARRIADGISSILPQHRVVHTFLDAVKNV -GSYISGAASAVKSKVSNFTSSLFDSILDKCKYCFMSTFSPFLASLQSAKAEIEKFWQNCMSWARNLWSKA -HLALQALGLYAIWALVLTILCGIVYLLESLFITAGVIGSHGIILSIFLSVVMAAAGFTIFTVGKESAQMI -RTMREGILMMVIPDDAAKSIGGRTRYPTVHSLFDLAMAPVNFLESIASGLSLFSTSSITVLGKLGNSLEG -IRKGYNCLTDFISIFFEKMGGLWEGISGKQTTFFRDLTTAVKINISSWTQDARRLIEYHEMAGTLDKFEY -EKVRLLFIKGRIVDTANKGRQSHTSNQFLRVVGSLLTDLREVRAKCARSLRFDGWRRQPFWVYIFGASQC -GKSTLANYLCPLLLAHMGWDAHDVYSKDPTEGYWSGYYQQKCLKMDDLSAVVPKQVSPLEQQLIPLISTE -EKMVSAAEINGKGIQFLSELVISSSNVNDAPTSCEILDPEAYRLRRKVLLRCRRAATYQHDEAGNKTEVV -DAEGNIVCRQYDPSDALACTEVSGYMPILVLGSRTSRTVAPAHSTIPLIKDAMDAHFLVEDAKREAWVQQ -TNMHSRTGAEVSSYLQSLVCALGSYKAIQRSSDVSDAGERKFLVAVDGTIYSIDSLGRATKEAADAYDNV -EALESTTLLQYRLDFRQVREHSLLTNDGSFHSSMVRDLLRISCEEACVVSVDKISRDSKQLHRDLWSELK -LANDFFPRFSKALNQLRDQPHFKVDVQSVSFSIWLILEMPLLIIGKNSSFFQSYLLVGACIMEFFVLDKT -FLSGSVGFGSALALKNQLDVHSSVASSGSIATQSYARSIPIVWAKVARYANVHSQVEESSHFNFFEDGLA -HLLVRLVGTSGLCETAILFGSRAIALCAHQIRMFPDHDRVTVHYLDKARIAKCFPMTWHWVNAIEEKDTE -VCVYRDDQLTPLPVYPDSIYLKGETQLPSAVNINRVSIKKRRYYEDASLTPDERLLDGESPIIRSWSNVA -ALSTSVQTISNPAPGIAYKRDLNRYLTSSYAAGVHDCGGLISILHQGRRKVVGLHVAGTRVGHLFSSTIS -FLPHGNFSDVHSQGDFFIPEVGDREAGYEKIGFIDNSAKAHILVPLPNWAGYLLILKPLQLLMRRRKENF -VDAGETFEIKEPAILSKKDPRLEDPDSFDPLRTGMSKFANPMSVLDEALLEAVCEDIFTTWYDALPAVTD -NQGNVSRILLEKTSLDIALNGVPGDAYLEPMKLDTSEGYPHCVRRGPGESGKRRFVEIDDDFHFSLKPDT -DVFKNYQALSGTISQQVPVLNCVECLKDECLKKRKVATPRLFDVMPFEHNILLREYFLNFSAFIQANRIY -LSACVGTNPYSREWTTLYDRLAEYSDTGLNCDYSKFDGLISHQISRGWLQPSTVFLETVRKQILRVGNLL -LMFIGRRSICGRQVYMVRGGMPSGCALTVVINSIFNEILIRYVYRKVTPAPACNFFNKYVRLMVYGDDNL -LTIKEEVIPFFDGPVIKREMASVGITITDGTDKSSLTLERKPLASLEFLKRGFRVQENGLVVAPLDKTSM -YTRLFYLPLALMAFIPGYFSKGNVKSFLEEIVLHPNHRREFYRVRNFYVSKAPHWGISCLHMALLLIFII -GSRRPIPPTRRNGFLNASHGGEHKMMAGQDCQTRPFGVTSRLAILVVEPKFQGVVNTLLWRAVSSLRGGE -RGIALKWRLPLERVSYLNSNVVNSFSLHHETSDSFLKDLHEGCHLYLGSRCTLITWVVCIAAEFAKAQGL -STSSVIALFEEYKPRKGGDIAPLLAERSYKRFAQRPIFDMSSIKQHLAAS ->AQW44800.1 polyprotein [Arracacha virus A] -MGWSCPVKGCLQSELSNREFREECGRAGRCPRCDALMMRVDSAPASQRKSSDSAEPKIKCLCWRLPISRC -PKHKGISPSFSSPSPLPKSSLPPSGIVQKFEKEEAPLPRASLCDAVVFTGPPVNLELVYPSLVDQTPSPK -IQRAPVRPKVVSEGSAVPLSAALAPEWLKREPVKVRPTTTKVEKSPFPKGAHHYDGVNYRSKSGKIILSA -AAKKLLAGAIKLHKQKLRSARRAAACRRVRMARYKEEYALLSKTLTWESIGSFAYALPPSHGCMGRSATR -KVDPSLLRRKLKKRVKAVTPQPIAQEWTPEVEALVSQFIAERNESLVFDDEDCVFVDVPRPALPPREKVG -RIPVVETVPQAIASLEAFWNTGCFTPAIKSRVQELWDSSSCDLVEIGRFCAYLTELGDPEIAPLFEINFF -ALSAEEQTQLADINAALLDECISLEGLATIARANMFQFVKETASGLASVVKTGIHSVASKILESTSSGFD -AVTTFVTDCVRKIFDKILGPWLAPFKHLTEQINALWTKIKSWVQKIKESMPLALRVMEEHALFAFSAMVV -GGVVVLVETTLQSLGVINKVGATLGLFLTLFLTSLGLSGILACTEQIAELHRAFKVGVCCMVRPNTNNSQ -NLDVPGTENVAEARSLVGLDNAIAALTGFGKTLVSFKLGTLSYYAKMGQSFDQLARGKKAIQELGAWTID -IIGTIYNKLTGRCSQFFDELSALVCCDVRMWLRSSQRVRLDALITPGSRNVLEIVEKLLETGQKLKIGAS -GVARKFSLDFTNTIAKEVEKLEEVRNQLANAGAYKGTRFYPFWVYVVGDSQCGKTNVVSQYLAPGLLDKL -DCALDSQYSKGKQDAYWSDYKRQALVKVDDMFAIKTAEIEPMMIDMVNSEPFPLNMAALADKGRLFDSPL -VITTCNDLHPPSDCDLRDKPSFYNRRAVVLEMRRKEGSVYNPADTNDCSECRLLNPKVSQVNGKDEALHQ -ALTDWISVKDATARIETLLLEHKVREEIRINAVNEAQRGQTGIMCFSKQYIMEQRLQGVFLDEPTKSRYE -LTSKCFMFLVVDGVVYQQDAAGHVFPLDHQPKIPEAELKKMEDRCVANVVYNIQAYLADGPPNGLVGTFL -AHIIDETCNVKSVAKLSSSATAGELEFWESLECDLRGRVYLRLCQKRKDSIAGETRDSALDKSVEKLCSL -VGDSYQYVRAHGGTLCLLLAGFVTMTISFYGLFSFMTHFFSAPSITTGIVALEAIEAKAVMSSSSYGDAY -GKRNVRPLHEYTARSAFEGESKELWQRLCVKIFPEAGPSKGKLVLSCQFEGRSLLLTRHQAQSIPHGSQV -FVEYTDLPGVYLFWDHDRLLTFDDRELVLYRDAALHQLPSKSKKFFLLDDETRLAPTMLVSRFGYRFDGI -SGQVADVRRERLTASTVRETLAVRRTNGGYTYERILNKFIRVDGLAQDDDCGTLVATLIGGQPRIVGMLV -GGSGNTFCADIIPQFHEAEARGSVRINQEFGIQEPGYAKLGYLDNSQRPTMPTKTQYVRVPEEFCISVPE -CKEPAILCKDDPRLAAMGKQYDPLRDGLKKYAQPMGRLEEPLLKEVAADIVNTWYDCQEGFLEDVSLDVA -INGDDVEDFFDPMVMSTSEGYPFVLERKNGERGKERYFEGLPRERELKSGTTVEVAYLDLCSVAPHAVPE -LWCMECPKDERLPSRKIQNPKTRLFAILPLHFNLRLRVKYLAFARFIMKNRSRLACQVGINPYSREWKEL -YARLAHVSSEAYNCDYSSFDGLMTHQVLNTIADMINLMFSADEEPSSKAERKNLMMAIWGRRCIAGSQVY -QVNAGIPSGCALTVLLNSIFNELLVRYAYKKFVPGIAREQFSTQVCLLVYGDDNLIAVKQGISQFTIKSD -GEDKVVSFGKLLKDTLAGVGVTITDGTDKLSPTLEPKPLESLDFLKRGFKKKDGYILAPLDKNAIYSSLV -WVASRDEDVMEKLRLNVNVALQEIWLWQDVQEFNKLRNFYVSAIPSWSGLPTWNAIKAFHVEQLTHTKPW -QPAKNIDILVRPEMFSFIDCHGYADEKFVVCEGIFVAGPKYRFEPGEFGVSLTNLLKGEDSLNCVFVKQE -SDLPSATWVQSFGSPLNPCVARMRAAHSAGKKLVFRGHAPYMACWLAMMKFCISAGICDQDSLLALFYNL -KGNKQTDLSSYFSKFDREKRMGHRILPRPIHFATTNSKKLEQSDSIFHGVVQVDISCRELDDQPSVKLVI -GDKALKLKEKSRVFPLLVEDTGFSILSEGEEPGARIKHVLQHEPGFWEQHKGKCAVVTSYAALYCDAKCP -GHIHGKSSGCRIIDSQDMYRDDLHGFEKFITFDGMYADDSKLKLSKANPRLAVFDHLKRSNCFRIK ->AFM91094.1 polyprotein P1 [Grapevine fanleaf virus] -MWQVPEGSQCCCTGKSFSNAEAKELRYVCSCYMSTRLVKAEAPPQQPRKSGIAPTPLKSKGTVQVPFPKA -AGVKPSIQKSKGASVAPTPLLKQRCEVVVQYGPPADIELVYPPLVREEEKSSNKVVLPPTPKVEIGVPAC -CAPKWMVAIPKPPVRLAPKASKLRFPKGAVAYNGVNYIDIKGKVVLSEGAKRILKGIRVATKQRLRAARR -SAACKKVRAKRALATFEAIAQSERLDQLDTGFQVVLPAPKMSCFLREAAPSTTSVVVVKKRKLLKLPKIL -PEQDFSCLEDFDWGEKSHPIEVDIEDDWVLVEKPVLKRQAAQTARGRATEALTRFAATSGFSLGAHQKVE -DFASLGEVEYLMAGEFADLCLQSLVYNDAPTLSATIEELGDSKDFLEAVELLKLELAEIPTDSITCAPFR -QWASAAKQMAKGVGTMIGDFTRAAGAAVIISFDMAVEFLQDKALKFCKRIFDVTMAPYLQHLASAHSILK -KIWEKLSEWMESLKSKASLALEVMAQHAIFALGAMVIGGVVVLVEKVLIAAKIIPNCGIILGAFLTLFFA -SLGLTALECTAEEIFRMHACCKSAIYSMYSVEEPTMVGEGESHTMGATQGLDNAIQALTRVGQSMISFKL -GSFSYYAKIAQGFDQLARGKRAIGELTSWLIDLVGSIYSQVSGQESTFFDELSTIVCLDVRAWLLKSKRV -RLQVETMAIGDRITLDTIAKLLEEGHKILVTAAGVPRKTSADFTMCIKEEVSKLEEVHARTACAGINEGM -RTFPFWVYIFGASQSGKTIMANSVVIPALLEEMNLPKSSVYSRPKTGGFWSGYARQACVKIDDFYAIEQT -PSLASSMIDVVNSEPYPLDMAYIHEKGMSMDSPLVVTTANTVVPPTNSQVVDLPSFYNRRAAVLEVRRKD -GSFFAPRDHDSCTEVRFMHNKCPYVDSAGVPQGPAVNTPMDEGWITPSEAVAVLKNLLGEHILAEEEKLL -EYRERIGNDHPIYNAAKEFIGNMHYPGQWLTAEQKSTYGIKDDGFSFLAVDGKMYKYNVLGKLNPCESEP -PHPNVIPWLEKKTLEIVHWDVHKHIATGPRNALVACFLQGLVQGQSKVESVEHMGKDSSPEQQNFFKRLS -LSERIYLRLCQIRIDNIQKEELAGSGRGPMEILRECLLKSKQVVVENYSLLLTLVAILLLISAAYTLLST -VVALASCSSFAGGVVAVTAVNNASIPCSEPRLEERYSPRNRFVSRISKIRGEGPSKGQGEHEELVTELYY -YCDGVKKLISTCWFKGRSLLMTRHQALAVPIGNEIEVIYADGTTKKLVWPGRQEDGNCKGFVEFPENELV -VFEHPHLLTLPIKYEKYFVDDADRQISPNVAVKCCVARLEDGIPQFHFWNKYAMARSEVHTLKDEGGGNV -YQNKIRRYIVYAHEAKKYDCGALAVAVIQGTPKVIAMLVSGNRGVTYSSVIPSYSSSYVRGEVPYVPEDG -LVTRGYRKVGYLRAPDAPHVPSKTSFMKVPDELCFPYPDPKQPAILSAEDVRLKGTFHEGYTPLKEGMRK -FSEPIHLLEEKLLDEVAGDMVQTWYDPGEFLEDISLEQAINGDMDEEYFDPLVMDTSEGYPDVLDRKPGE -KGKARFFVGEPGSRVFVAGCNPEKAYYRLEEDSKTKVPSLVSIETPKDERLKRSKIDTPGTRLFSVLPLA -YNLLLRVKFLSFSRLLMKKRGHLPCQVGINPYSREWTDLYHRLGELSDTGYNCDYKAFDGLITDQILSVI -ADMINAGYRDPVGNRQRKNLLLAISGRLSVCGNQVYVTEAGIPSGCALTVVLNSVFNELLMRYCFKKIVP -PLYKECFDRCVVLITYGDDNVFTVAQSVMQYFTGDALKLQMAKLGVTITDGKDKSLSTIPARPLLELEFL -KRGFVRSSGGLISAPLDKLSIMSSLVYIRSDGSDMLQKLLDNVNTALVELYLHGDRAYFDSVRAFYMEKL -PPGAYKELRTWFEAESIHECHKSGESGYKPQGLIEVSHGAAFASFTQQAGTELEKHAICPGLSIAGTKYI -AAEGEIVLSLSSILPGDKNVFKLDLPCGDGIGRLPSKGSILNLRKPGLVMNLCKLVQDEKKTLVIRDERP -YIGAWAVACICGESFGFGLQSVLTLYANLLGPNQRNGLACYFSDFESPVYIQKIHAKTNSREGGEALLEV -FSFCETAFYEATSSDTRKEMLQNQSDIYPSISLVGGICFPKEGEKPGALFSDEDVLKAEQTPGVYVSEVC -LKCCHRCLGVVAKTVSSTAHFKNSLLKTHLKTLRRVQAHSCPRK ->CDN67480.1 polyprotein [Tomato black ring virus] -MSITLSPSGDCFSFNHVKYNNSLNKYLFYNSNLDIVLDDFDFYFNFYVKKYNVLSSFFSDRVLSALYTPM -SVSEAASLALEDFCELALDKLKINPFHQLWEETLANWPIYPGTSLLDFFRTQYEIRREAVEASVEALRLK -EAKRSAAFDDEVKFLIKNGVLPHLAGDFVRKIWSTGKDQKKTRAAFLVKIKKANQLKQQWDSARNLAAAR -AEVLREFEPSPHQIQKAIEAQLFAEKLGRKYATLTARVRAKRAAARELREKELFLEIQDLMNAPLLPPME -KVELERKYRKVRPTGANLAHQVVLNPLGSLCPYMGLGAKTADVRCQATLMAGKIHAQYPRLATAIYAWVI -GPAARFECVAPVRDFVKGLTFMVDFFPEEALIHELNEITTEAACVGASMVLEEERVKLEAHAQSANCRAN -VFMKAMAGVKNMAKCAYTGFKTGCEEAGRSLAEGICSVMMRSFRECIAQIKTELGCAIEMVEVMIKKVKD -WFYSMLEKLQCGLETLGSYAMYALAILLGCGLTSLLERCIGGQGILTKLFITGVLAAIGLQAAGGFDNLQ -REMVQLCTALAAGIFDIQHAGNGKHKPSWDIAAEHAREDARDSNVRSIPIISGVIEALAQFGTGLCSMQS -ATLIEIGKIGAACHSMRMGKEALKEFCATLMYYLGRIADKVTGRETIFFDELSTLVHVDVRGWIKRAQSC -MRESFHTEIGNQFFRDMVAQLVDEGQKLQIGVNGIPRKISADYSQLIGQIMKDLVELHKRTIRAGISEGR -RCEPVWIYLFGQRHCGKSNFMSTLDNALAKHFNLPNTTAYRNCKDAFYSGYSGQTFFHMDDLSSVKLEPP -LEAELINLVSCQEVPLNMADLADKPIYFRSPFIISSSNFEDVPAGCGVRDIEAYRARKACLVEMRRKPGV -VYDPENPLLASQARFKDPMYQTLINGQTEETSWMEMDDVVTEIINISARHRSAQEKLQARYMREKALLDP -LALASESFLVKEAQKVFLDFDGVELEKAGVPRPEGGHGLYVDGVLYLVNASFEFDEIPIKDEGYRRLWDS -RMRKKFLPAIQRDEHLNTKSMVVTGFLRSLVNGECAVLSKDTLTASATTAQLSIFKALRLEERVYLRTLQ -HQLDLYSQDIPENPYCNSAWVKVLGAIGAGRDYLVQNGCGILMIAAALILILVSGWGFWKLFVGLFSGTM -SLGAAITGMSAVDIKAQQSSASQEKGYRARNIPIHHRYAYARSQAGDGLLPAARLCVAIYQPGGGFVSAM -QYKNKSVRMTRHQALRFKEGEQLTVIFASTGESQLIRWHKYHMREEHGSEIITWLAPSLPALSPDLKDLF -LEDKEVDLPNHFKTIGYVLRVDSTAFHYDTLDTYGAVDKTPLPLKGVVGNELYLHEIPEKIVFHYESRND -DCGMIMLCQIRGKMRVVGMLVAGKDKTSWADIMPPNSLAELKSQIEYIPEFGEACDGYFKAGFVPKSVLP -LFPRKTNMVPVPESLRVPCDVPIKEPAVLTKDDPRCPIGVDPPRAALKKKFTQPMMELEQEILDEVATDI -LETWYDCEDHVLSDIPLSVAINGIPADSEEAELENFVMKTSPGYPYFKKNRAEKLKGKHSYFEEDEDGSL -QLKEGGHGSCLHENLVEFTKNEVPELVVIECTKDELLPARKIKVGACRLFEIMPLHYNLFLRQKTCAFTQ -FLQHNRHRLPCQVGTNPYSREWGHMLNRLMRPKTNEAINCDYSGFDGLLNPQLIECIAKMINRLYALSGE -SEVQQAQRYNMLMALVGRYAFVGQQVYKVNCGLPSGFALTVVVNSVFNEILIRYAYKKLAPAPERNKVWE -YCLSIWLYGDDNLISVSPSIASWFNGEAIRITLAEKKIKITDGSDKDAPTIEAKSFWELDFSKRKFLKLD -NGIVQAPLDRSAIFSSLYWLTPDKSKFHESQKPSDFQGEVDVIEELLLNVNVALMELYLHNDPTEFDRVR -GFYAQRLPLMVTQLRTWAFCEAFHSAQQTGMQKYDPAVVLDHMSGVDFKRFMHMSEQGNKAHFYTDVLGV -SGPHYKPQDNDFIVSTQPLKAGVQGEYVPIVCGEGIGGLPTKKWVGDFGRPSQLKNSRGFLISGLLREQV -EAGKRLIFMSPAPYVANNAALISFGTAQKMLVQKDALAHYRNVIPESTSGLEQYFDAPIPQASVGTFYFG -DGETYAALCEYKDGKVLQYEGLPTIILNQAAREKKVPCMVARQRKNKFTVHMACDSRMCPHHFATGASYE -IAFKQCWLNKCKCAGNIVSKWYGTKLDS ->AFB82733.1 polyprotein [Cherry leaf roll virus] -MVRPIVFSNGESVLPKALISEAKMVAAFLKSTRNPAGFWVTFLAQGTSLTPSQVALCAINGLVSRQTVEI -HSHGPSAEVFWSALQARLRSFLRAHRQRVTSLLALCCEAYEARDLCRYQRQRAAYLARGAACRAKALRKK -KTALRKERAAQLAQRQLEGERRAAARRARLARKGQQVLRRRLAALFSPPLPFPTSEWAWEPLPSSPLPAF -RDFFTSPLLEVEAPWSPPMGPVGFNKSTPQALYCAVRARLSTFSKSTGSLFPMVSFDRLVPGHLMMFQLM -HRLVAAYSACPVLSLIEDGLNSLLNGCHYLQVFKEMMISASQVVHKLTGNSHSAMTVQAGFGISNFFRGI -GTSLATGFSDGLISKAIDTKDVIVDGINWVADKTVGAFVRALRDQFSDTVGKYLNVLSEYKAQIENFWAW -AIRWSRNLMDKVDVSLRALQGSAFFAAALVILGGLVFLVENLLPTGMTGLPVGGLSSLFVGGALLFWCGK -ELFQSEKIMNIRLAIIAMAERMFVKPKSKPSPTGMGLQGGLSDIFGVPLSVMESIGSGLCGSALSSMTYV -GKFGQAMDNIRKGVMCMRQFLGWMLEQLAELYDNVSGRKVAFFRELATLAQVDVEKWIVDVQEFLLVAEV -APEGDRVILDTVLLLLQKGQTIQRLLCQTKQGTSFNYGRLVATLVKSLDDVYTKYSKAGRRVMYRFVPFW -AYFFGKARTGKTIFANNFKNTMVQYLGTTSENIFYKNARDQFWPKYRQQAIVIVDDLSSVENLPSLESEF -IQLMSTMPYGLNMAAVDEKGSEFNSKMVITTSNKFDAPSHAKIHDLDAYRLRRHACVEVRRVPDAIFDAK -NPYLSSEARFVNNCDQAPEGEWMAMEQMQEELIARYQKHHEAQADEYNFWKRNARSTHDVFDILEEKIRE -EGFWLSSAEFCMPDKEAQGIRATDRFIGIDGKIIKYDPLNFVGEYYKGSHLAKSAQDLENMALARYHEFA -DLMAAWSVNGVVKQFMEQLLTGPTHIDSIDLLGKEALPSHREFFDSLTLPKRAVLRLIQKKVDAIKAGPA -FEFVPEKGFTLAKVLKEGYEYVYNNGGKIFLIFAAVVILWFLCGTAMHLIRQIFCGGVGAGSAGAMARMS -VQSTIPSGSDVNSFASRNLRRVYRPTRLGLQSAMNPVETVSQAEQLMAWIETPEGNLISCCRFKSRALAL -TYHQARSIAPGSKIFISYMTAAGTPSVPIEHIWDPQETSQVPNLRRFNDTEVCVYTHPQLSPLPGPLESM -FVEDMQAGATLYHVEGRVMKLVRDSHDYLPTDFVGAPEEIVPHVWSGVVHLNTHAVTIDNYTWGGDYKVN -VPRSLVGTYPNSVEDCGGLLFSKIHGSYKVIGMHVAGERLADGSYLSAAALFPRPSLFISAQSGLRTLQI -EAGKDTRGVSKVGFIKAEEIPRAPRKSSFVEVEPELKVPVPAGVPLKQIAILSSSDERLKGTQFEGYDPL -RQATVKCEDPMFDLKSDVLEEVCRDIEETWFDCAPSLSLLSNEEMVNGNDEEIFLDAIVQTTSEGYPYVL -ERGPGEKGKERYLEQDPNLPEGKLRVRPGTSVHRDLLALETSIHTTIPILIGMEIPKDERLKESKILTPA -TRTFTVLPMPYNLLLRKFFGRFTAFLQGNRHRLPCAVGVNPYSNEWTRIFDGLAQMSPKALNGDYKSFDG -KLNFQMYDAIARLLGRVHRDESTSQARYNLIMAMYARYSLCGSQVYEVRAGLPSGCAITVIMNSIFNEIL -IRYAYRVSVGPILRNRFNHFVKLVVYGDDNLIAVDPQLASGTFVGYEGGKMVVTDIFDGATIQKVLRDVN -ITITDGSDKSAKEWHFKPLESLDFLKRGFKRMADGRVLAPLDLSAIFSSLHVVRPEQGSTAAAVNINARV -ALRELWWHQDETLFNMVRSFFQRHNFVDLPNWRECRDFHQSQYTEWHPFKEYKFLEMPLPEQENREFMLA -HATRNSVCVVANQTLVVGPGWTTMERENHFIVDLLGPTAGAGGDVRAIPTYGDGAGRIGTDAWVRSWRSS -KFTAANLAKQARADGKMVVFRDSAPFINGWSAAISFLVGCVGFEPNALLANYSRSGGQHRQIIERYFKAA -QFEPRKVVQGVFA ->ADJ39329.1 polyprotein P1-I [Arabis mosaic virus] -MWQISEGSQCCCTGKSWSNAEAKEARYVCDCLYNCRLVKVEVAPQPPKSRIAPAQQKKERIAPLQNSNGR -MAPTTPKFKRASVPDAPLVKQRCDVVVRVGPPANLELVYPALVQEEVATPPTEKVLQPTTKADVRVPVCC -APKWMVAIPKPPVKTAPRVEQTQFPKGAVCYNGTNFLDAAGKVVLSEGAKRILKGIRVAKQQRQRAARRS -AACKKVRKARALALYKRLSEERTFQELPGGFAGEIPAGFFSYGKTVASPIATPKKVVTKRKRVSKPSTIA -VPVQDFSCIDAFDWGEKSFPNEEAIEDDWVLVEKPVLKRQAAHSVQGRATEALTRFAASSGFTTKAHQKV -EELASSGEAGHLLAGEFAELCLRSLVYNDAPILSASIEVLACEQDFKDAIELFNIELAEIPTDSTTCGRF -NEWASSVKTMAKGVGSLVGDFARGAGTLTLITFDKAIEYLQEKALTLCQKVFNATMVPYLSHLAEASDII -KKIWKKLSEWMESLKGKAGLALEVLAHHAIFALGAIVVGGVVVLVEKVLVACKVIPTCGIVLGAFLTLFF -ASLGLTALECTADEIFKMHQCCKGAIYSMYSVKEPVCEGEGDSTTKGVLQGLDNAISALTRVGQSMISFK -LGSFSYYAKIAQGFDQLARGKRAIGELTSWLIDLVGSVYSKVSGQESTFFDELSTIVCLDVRSWLLKSKR -VRLQVETMAIGDRITLDTISKLLEEGHKILVTAAGVPRKTSADFTMCIKEEVSKLEEVHQRTACAGINEG -MRQFPFWVYIFGASQSGKTTIANSVIIPSLLEEMNLPKTSVYSRPKTGGFWSGYARQACVKVDDFYAIEQ -TPSLASSMIDVVNSEPYPLDMAYLHEKGMSMDSPLVVTTANTVKPPTNAGITDEASFFNRRAAVIEVRRK -DNTHFTPRAYDNCIEVRFLHNKCAYVDSGGVPQGPAVNTPMEEGWVSPSEAVATLKNLLGEHVLAEEEKL -LDYRERIGNDHPIYNAAQEFIGNMHYPGQWLTTEQKNTYGINEEGFSFLAVDGKMYKYNVLGKLNPCETT -PPHPNVIPWLEEKTLTVVHWDVHKHIATGPRNALVSCFLQGLVQDQSRVQSVELMGKDSSPEQQAFFKRL -SLSERIYLRLCQIRIDAVKKEQLGGPSRGTLDVLRDCMAKSKAKLCENYSLLLTLVAVLVLIATAYSLIS -TLVGLAGCTSFAGGIVAMKQVSNASIPCSEPRLEERYSARNRFVSRISRARGDGPAKGQGEHEELVTELY -YYFDGVKRLISCCWFKGRSLLMTRHQALAIPIGNEVQVIYADGTDRKLVWPGKQEDGSCKGYIEFPENEL -VVFEHARLLTMPVKYEKYFVDDPDRQISPNVAVKCCVARLEEGLPQFHFWNKYASARSDVHTVVDEGGSA -VYQNKIRRHIIYAHEAKRNDCGAIAVAEIQRVPKVIAMLVSGAGNVSYSSVIPNYSSSFVRGDVPYVPED -GIKTNGYRKVGYLMRKDAPHVPSKTAFMKVPDEICFPYPNPKQPAILSAEDERLKGTVHEGYTPIRDGMK -KFAEPMHLLDEKLLDEVAGDMVHTWFDVGEFLEDVPLNIAINGDVDEEYFDPMAMDTSEGYPEVLQRKAG -EKGKARFFVGEPGAREFVPGCGPERAYLSLEEECKTRVPSLISIETPKDERLKRSKIETPGTRLFSVLPL -AYNLLLRVKFLSFSRLLMKKRSHLPCQVGINPYSREWTDLYHRLAEMSDVGYNCDYKGFDGLITEQILAV -VATMINAGYQNPVANQQRSNLLLAISGRLSICGNQVYETEAGIPSGCALTVVINSIFNELLMRYCFKKIV -PPVYRECFDRCVVLITYGDDNVFTVSQSIMESFTGDALKAEMANLGVTITDGKDKSLATIPARPLLELEF -LKRGFKRGNGGMIYAPLEKLSIMSSLVYIRSDGSDMLQKLVDNVNSALVELFLHQDREYSESVRNFYLEK -LPPGSYKELTTWYQAQTFHECQLSGESNWKPQGLIEISHGAGFASFVQQDGTELERHDICPGLAISGARY -IAKKEEILMSLSSLLPGDVNATKLELKCGDGIGRLPSKASVLAQRKPGIVMRLCKQALDEKKTLVIRDER -PYIGGWAMACICGESFGFSIRDTLALYANLLGPERKNGLAGYFSNFESPVHVKKVHAITNGEEGAAMLKE -VFTFCEPKIYAATSCDTRREMLTHPPESFPNIVLIGGVSFPKEGGEPGALYSHTDITMSKKTKGVYVSEA -ILKCCLRCPGVSTKTVLQTSTVGSNLSKAHLKSLRQLQTHQCVRR diff --git a/seq/clusters_seq/cluster_1140 b/seq/clusters_seq/cluster_1140 deleted file mode 100644 index a1bcefc..0000000 --- a/seq/clusters_seq/cluster_1140 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010086584.1 matrix [Sawgrass virus] -MFKKALGKLKASPAREPVASASTSETDWHRAAALLSSIAQEGPPPPPPPPCQELYVELYLKISLTAEGTP -HEHTSPVAALRNWPLHYTGDYDLRHIWMTFALVGACNLELLSESGSRRYFSGLVHQGIGLKVKTTQAISI -GRKRSWNQVMKIDFDGVSTAWTFSGKMEPTLIPYRLRSATPELVSYLQAMWINAEEEDGYLIIQV - ->YP_010086567.1 matrix [New Minto virus] -MFLKALKKLTAAPPREVAPSAPEQGTDWQRATALLANITQEPTAPPPAPLNQELYLELYLKINLTTEGRP -LEHKSPMAGLRNWPLHYTGEYDLRHIWMTFALVGACNLELLSEAGPRRYYSGLIHQGIGIKIKAGHPVVI -GRKRCWNQTMKIDFDGVTTHWTFSGRMEPTLIPYRLRAASPELVSFLQAMWIRAEEDDGSLVISI - ->YP_009094015.1 putative matrix [Long Island tick rhabdovirus] -MLRKALSKLRSTPDRDVAIPPSVPDTDWQRATSLLASITQDTPPPPPPPPCQELYVELYLKISLTADGRP -HEHTSPVAALRNWPLHYTGEYDMRHIWMTFALVGACNLELLSEAGTRRYYSGLVHQGIGLKVKTDKMISI -GRKRSWNQTMKIDFDGVSTVWTFSGRMEPTLIPYRLRAASPEIVSYLQAMWINAEEEDGQLVINI - diff --git a/seq/clusters_seq/cluster_1141 b/seq/clusters_seq/cluster_1141 deleted file mode 100644 index 13bf63c..0000000 --- a/seq/clusters_seq/cluster_1141 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_010086583.1 phosphoprotein [Sawgrass virus] -MSRPGLNADLVNRIAGGLRATLTAGTDEDPSLGVALANVEGPESKTWDTRPLPGETDSSDDEEMVSAQPV -PVTKVLNPDDETPLSHYHDQMDELVGKMGGFDLTGYLNSGNGAHKCAEYLFKGTPSPAVSREIRRLIAFL -KDQGFIKHAVYSSRGVTIYGSVKTSPSISPESSSPCGSEAGDEAVAREVIRQAPDLPRAKPHNPRLVFGY -KLWYKTPSKGTIKVDPAIICQDNGCSVVTPPDDWLDHHRERHQRPALNVTRLIYVETDK - ->YP_010086566.1 phosphoprotein [New Minto virus] -MSKLDKDLLRRMASGFRATLAAGTDEDPSLGPSLSRVERPEVKTWQMRPYPEIDDSEDEELDDEHQDSEI -YEEDDPLVLTKGDSPLEQEEATAAGYQDYMDELVGKMGGFDMAGYLGSGNGTHKCAEYKPSPRASQATLK -ELRRFTSFLQHNGFIKHADYTSRSVTVYGTVRKSPPESPAYVSPATSVHDMPTGSPPLRVKPLPSHNPTG -TQRRKVNKLIFGYILHYKTPRKTILRVDPSVVCQENGCTMVTSAEEWLEHHRSVHTTPHLEVVKLLCTEP -VND - ->YP_009094014.1 putative phosphoprotein [Long Island tick rhabdovirus] -MQRAPLNSELIKRMAGGLRATLAAGTDEDPSLNPTLAHVEGPESKTWDARPLPGETDSSEDEDEDPKWLT -SSGDSSSQREEALMASYHDQMDELVGKMGGFDLSGYLNSGNGTHKCAEYRFRANPSPITTRELRRFISFL -KDHGFIRHAVYSSKGVTVYGSVRTSPPETPETHSPEGSVSGEEPCVHPKQPPAGAAPRQPIKVPRLDFGF -KLWYKTPLKRTIKVDPAIVCQDNGCTTVTPPDQWLEHHRESHQRPVLDVTRLIYVETIKSGTPQQQ - diff --git a/seq/clusters_seq/cluster_1142 b/seq/clusters_seq/cluster_1142 deleted file mode 100644 index 4a22c13..0000000 --- a/seq/clusters_seq/cluster_1142 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_010086578.1 small hydrophobic protein [Harrison Dam virus] -MIIIIFLILISLFLSKRIGALIAMYTLGYYNAFGNIINYLTFFGWYIGVNLPYKYLSQHWSELVSEYQNS -KRE - ->YP_009176983.1 small hydrophobic protein [Walkabout Creek virus] -MIVVIIIVLLALIISKRLSAFIAMYTLGYYNAFGSLINYLTFFGWYVGVNLPYKYLSQHWSELVAEYRNS -Q - ->YP_009094439.1 small hydrophobic protein [Sunguru virus] -MILLVVLILFFGMLYKRLSFLMMAYLLGYYNVFGSVITYGSFFIWYLFYYLPSKWMGAGFAAIVESYNKE -YAEWVSIE - diff --git a/seq/clusters_seq/cluster_1143 b/seq/clusters_seq/cluster_1143 deleted file mode 100644 index 4f6484f..0000000 --- a/seq/clusters_seq/cluster_1143 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009337070.1 putative glycoprotein [Hubei dimarhabdovirus virus 2] -MHITDMKSLFYFLLFISISMSLIDTPSALIIPVDRIYNLSTIQDHHLVCHKGFTSDSKYSNVKYKATSIG -PTSIETVVDGHLCHLKEYYVTCSESFFGVKTIESGVNTIPVSVSECIDAIDMYDSDLLDDNTDVLQNCGW -MNTETSSKKVYSIVKKQIQLDTYTGKFKDPLLFNGECDDLGCKTIYDNMWWITKSSPNQYCPKTESNIMH -VYIPEDLNPKSIIFSSNYIPDVPLAGACGPYSYCGSTGFVLSTGHFVLMSNEIPNRIIPEIKKLPACKSD -VKLIDNSLHSYVLGSLLSVETLFMLDRCESVLRKIRSHQNLSLFELGFLNPHHGGNGLGYQVIEGKVLVG -KYAYYEADNIEVDCYSCSSCNINIKRANGIIKVESDVMYCPCKNFKGCYLPNGFTAYKNKLINPLSELEE -DIDDKYMEETLDPIYIPHIANDVHTTLSITGYHNHPNSTSNNFFNVLQEDAKLIKDWILMAFIWIISGVI -VILIIYIGSNILIAYIRSKKGYKPKDFPSTGVELLPRVAHDSPFV - ->YP_009315879.1 glycoprotein [Gata virus] -MSVLMIIVMYLPTVSSVLIPIPLEKPHPINSHELVCHYGLPPSPTSFSTTYKLSFFTPSQTSHKVDGFLC -SKTIWETKCDEGFFGSQTISYIIRKSQVRDGECLEAFQSFTDGGDIPTPHFPPNYCSWMATNSKSSTYIT -LTRHDTYWDPYQNAFKDLVFIGHSCSQVICPTHYDNVKWITGPPSRKSCTTWFEVEGEITLDSGRNLDWS -YVEAEFIPRTPLSNLCYGVSYCGRTGYVLNNGLFFSISAGLNDTLNFARDIPIKSCSPSTEISLHPLSSS -MASVELQTLEILFNTKCNDVVSKIKSGNNITAYELGFLNPTHPGIGYSFISIEGRLYSSKTQFEPIKEYK -LTFSNAPSIKYLSKDKTYKIVKVDNCTWISLNNGSMTCRWYNGVYITQDQIIFPYSSREESQDELDIYAE -IRPNFDRHIGIPDISNDTITTSDQVTDPDYINPDVLGTLNNWLIRWFHYVGLGVSLMFIGAIIYCCRSCL -FKCCKFCCCVTTNVQKAVPKVIYRKRPECVKISNLKGSDKSVDFQF - ->YP_009301742.1 glycoprotein [Wuhan Insect virus 7] -MFPSIFCLMLLVMTSSVGQFILPIKEITPPRPINEHHLSCRLGPGALYPTTSSSVVVRSIHPDSNPVPIQ -GYLCSKKEYTLTCSENFLWMETVKKDSRSVPVTPSECVDAVNSWLQDKLDKDTQLTKDCGWMSTNTVKKE -IFDIQGKHVRLNPYEMEYIDEILHRGKCSSKICQTKYADMLWVSEGDLNQTCPKMIGADVRMSLGDQKTP -SSVILHSNYVPGVSLEGACRDFSFCGHRGMVLRTGHFVVVSSDFPSTIVKMYNLFQVCQKDLTIVSDNMN -SEITSSKLDLMKIFLLDKCQQVVARIKGGLSVTRYDLGFLSPQISGVGSGYVASNRSVLVGQYKYMLVHS -YVANCHSCRSCVINAVTDEGVIPVHLTPDQCPCANFNGCYLPNGIKVYKDKVYNPLLDIDEEFYHQMTEV -ELNPNYISHPKDVKETFLSLPATEEVEQHHGRTLDSVVGSLVPDFSFSIWPYFVGLGALVIIIIILWRRR -SYKPPAEAPIRDFPVIYTPQSSFGPIAQIRWN - diff --git a/seq/clusters_seq/cluster_1144 b/seq/clusters_seq/cluster_1144 deleted file mode 100644 index 528f7e8..0000000 --- a/seq/clusters_seq/cluster_1144 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009045176.1 NS2 [Simian pegivirus] -RTSVLGLQFCFDFTVDWQPSLLGWAAALVVSWAIFVTSTMSVGGWKHKARLYGGWCRVYQLIRYYVAASP -GGTRSESRVLLGCWLGAQFLFPEECGLVVLVVMCFCGLLDGTDWAIEILLTSRPQFGRMARLLNSLIESG -DRVSSTRLAEALARRGIFLYDHMGQVTRRARDQLLEWEAFLEPVSFTNRDAEIIRDAARVLTCGASVAGK -PVVARRGDEVLIGTLLSLGELPPGFVPT - ->NP_803212.1 putative protein p7-NS2 [Pegivirus A] -ALPLVMLVLAGVSRERHSVLGLEVCFNLEGTGWDWSDYWWCVAGVVSWALVTMGLLTHGGKEVKLRWYRT -WCVFYQKVRLRLLDTPIGNRPRRPLCKLWLVAAWFWPDIAAEVCVFLILIFGFLDVVDFCLEVSLVSSPN -LVRLAQMFDSLVMAGERLGATHLAERLRRRGVFLFEHAGHVTRPAAERLREWGFALEPVAVKPEDCAIVR -DAARVLGCGQRVNGVPVVARRGDEVLIGCLSSRFDLPPGFVPT - ->NP_803204.1 putative protein p7-NS2 [GB virus C] -AFPLALLMGISATRGRTSVLGAEFCFDATFEVDTSVLGWVVASVVAWAIALLSSMSAGGWRHKAVIYRTW -CKGYQAIRQRVVRSPLGEGRPAKPLTFAWCLASYIWPDAVMMVVVALVLLFGLFDALDWALEEILVSRPS -LRRLARVVECCVMAGEKATTVRLVSKMCARGAYLFDHMGSFSRAVKERLLEWDAALEPLSFTRTDCRIIR -DAARTLSCGQCVMGLPVVARRGDEVLIGVFQDVNHLPPGFVPT - diff --git a/seq/clusters_seq/cluster_1145 b/seq/clusters_seq/cluster_1145 deleted file mode 100644 index 2e38a66..0000000 --- a/seq/clusters_seq/cluster_1145 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009996584.1 viral protein 7 [Johnston Atoll quaranjavirus] -MAMPPPGKNQTPSPPRQLRCPTSNYAQSVHQLMALSGDLVRMLEKRDNAFSVETRGRLSSLRDALYTDLL -STWSCADLRKTMERFCEAIISVVVMRSSPSGSSLYDPLQAVLIRMSQVKEGLAGLPSCPVCCFE - ->YP_009987464.1 viral protein 7 [Lake Chad virus] -MANAPRKPPILSVPGPSGGKLKCATVNYTQATHQLMSLTGDVLRILEKRDSAISVEARVKVVALRDAFYT -DLLPATNCAEVKKILTNFGTAIMSVMVSRTNPVYGSALDPLQAILIRFAQVNAEIPGLPDCPVCT - ->YP_009110684.1 viral protein 7 [Wellfleet Bay virus] -MDPNPTGSRRENFRCSTLNWAQSMHQIMALSGDLLRLLEGRRTDRVVELRARIGAFRDAMFTDLINMNKC -RPAREMLVNASNGIVEMMVDSVCPVGSSSVDPMQAVLIKLAQVAEETRSIPLCCDCCR - diff --git a/seq/clusters_seq/cluster_1146 b/seq/clusters_seq/cluster_1146 deleted file mode 100644 index 95cb6a1..0000000 --- a/seq/clusters_seq/cluster_1146 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009553284.1 glycoprotein [Oz virus] -MQCFYFVVLSIVIKEVYTASLCNKQQQQGPYSLKNYVAKSLNLSRIEIQVTKTQVRDTGTNFFIGYRALW -RSYCYNGGSLDGNTGCNNELIPKAPDEAELLEWTKQDQCMTGPDATDAWGSDSQICFTRWNMDVPYKAKE -LEKRSNNNHFAHHTCNLSWRCGLKQTHLDVKLTTKGNQIVAVIVTPEGKTKEVNTVGNTFWTDGEFSYLY -RPSVFGTQSTKTYIKCFKEHVKTELQTSGYTTVLHDLVLEKFHCKDGENFFEMPLNGLICLPSACYKKDG -PIMSQLHPSMWNISQKLHASSVYDVNNVVHSLVYETESLRLSLAQIDHRFTVLSKLFNSLVLSVAKIDER -LVGNLLEKDAATTFLSQNKFMLSPCISYTNPDSNCANNSIYQDGRWVHNDDPTKCLSLQQSKEVDLLNFK -ELWVPEIVSAKVSGIIADEEGWSFVANSKKALQDTMYYTKNGGKGTSLEDIISYPSGWVSGKLQSLLLSG -AFSWMVIIGGAVFMMCMCRRVY - ->YP_145808.1 glycoprotein 75 [Thogoto thogotovirus] -MFLQTALLLLSLGVAEPDCNTKTATGPYILDRYKPKPVTVSKKLYSATRYTTSAQNELLTAGYRTAWVAY -CYNGGLVDSNTGCNARLLHYPPSRDELLLWGSSHQCSYGDICHDCWGSDSYACLGQLDPAKHWAPRKELV -RRDANWKFAYHMCNIDWRCGVTTSPVFFNLQWVKNEVKVSTLLPNGSTVEHSAGEPLFWTEKDFSYLVKD -NFEIQREEVKISCFVDPDYWVGERKTKKAFCQDGTNFFEVTSHQFCHQYACYNFSKDELLEAVYKERAHE -KSKDLPFGNKSWTVVTASIDDLHALSAAQAFELEGLRASFAELDSRFRQLSEILDTVISSIAKIDERLIG -RLIKAPVSSRFISEDKFLLHQCVDSVANNTNCVGDSAYVDGRWTHVGDNHPCTTVVDEPIGIDIYNFSAL -WYPSAAEVDFRGTVQSEDGWSFVVKSKDALIQTMMYTKNGGKGTSLTDLLDYPSGWLKGQLGGLLYGNIG -VYLLIAFAFVLLIRLIKSAGLC - ->sp|P27427.1|ENV_DHVI1 RecName: Full=Envelope glycoprotein; Flags: Precursor -MVDSTIRLVATIFLISLTQQIEVCNKAQQQGPYTLVDYQEKPLNISRIQIKVVKTSVATKGLNFHIGYRA -VWRGYCYNGGSLDKNTGCYNDLIPKSPTESELRTWSKSQKCCTGPDAVDAWGSDARICWAEWKMELCHTA -KELKKYSNNNHFAYHTCNLSWRCGLKSTHIEVRLQASGGLVSMVAVMPNGTLIPIEGTRPTYWTEDSFAY -LYDPAGTEKKTESTFLWCFKEHIRPTTELSGAVYDTHYLGGTYDKNPQFNYYCRDNGYYFELPANRLVCL -PTSCYKREGAIVNTMHPNTWKVSEKLHSASQFDVNNVVHSLVYETEGLRLALSQLDHRFATLSRLFNRLT -QSLAKIDDRLLGTLLGQDVSSKFISPTKFMLSPCLSTPEGDSNCHNHSIYRDGRWVHNSDPTQCFSLSKS -QPVDLYSFKELWLPQLLDVNVKGVVADEEGWSFVAQSKQALIDTMTYTKNGGKGTSLEDVLGYPSGWING -KLQGLLLNGAISWVVVIGVVLVGVCLMRRVF - diff --git a/seq/clusters_seq/cluster_1147 b/seq/clusters_seq/cluster_1147 deleted file mode 100644 index 0c355a1..0000000 --- a/seq/clusters_seq/cluster_1147 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009553281.1 polymerase subunit [Oz virus] -MDKFRPKSIDLNVWTQSTMSQNWQQSQWPLEMRILECVKYIVCCHISDMHTHQGRPRYTVLLWMPPEIRD -NTMKELGIVFAEPNIPDLLDIKEKKGLFVKIYKPEEELIDNYSSNNDILLKFTIDGKSSPETKANLDQVQ -ISDIVNFLIQFHNESLDSNLHVRLSELFSTEPIPFRVPAIVKEMLCQSSDYGLVPDTTPDGEVPPLHGER -WSQMKTLPKLKTSKGPPSKWGGWLLGHESRFKVFENNTDQNITLNFLADFHTSICVRESKVTPKEVVKCV -IRSLEDFSEGRKKRPKIKEDETAFGIGLKRRKKQDQELKISSSDAPWERSTFPLEEESEPQWVEEELAEM -ERPTDIKWLTLEPNSTYTIVDDHAKEAVDQYQEILSPYWVSALIEKMQIAATRAYNELHTDRAQITIIPI -ITRKEWKSLQLSQLWGYIIIGPHHIKQETDRIPIVTFELTTEDNPSKYPNHSYFQLTYTQGTDSVGQEDV -LVRVTSISKHKLFTFSTIRRVYIQPCSVYSKLILKKSADKQEKDFDISGTVEIYFNGRPVAVSWKTWLIK -LLCIEYLMAVHNNSQMEGFLANVRRLHMSRHAMIEKCSVFLPFGSAPEEKCNECVINNPIVNYLARTWNE -MPNVYSG - ->YP_009352873.1 polymerase subunit PA [Dhori thogotovirus] -MDRHKPKSISSEIWALSETSKEWMSNLRPLEARIVECIKYTVCCHISDMHLHNGVPRYIVNMWTPPEVAD -QEMKRQNLIFARPNVPDLLDLKERKGVYVKVYPDNGTPTDYQTAENEIFVRVSLSGQMSPITREYLDEVQ -RQDVTNFLVTIYNESLESNLLERMQELYDTDPVPFAVPEDIIGMLTSATDLGLVPDTNPESNKPPKTRGD -KWSQMKTLPRLKVPEGPPAKWGAWLLGHESRYKIFEEGTDQSITSRFLADYSDFVCLRESKATPKQTCES -VLASLRAYSEGRPKRSRITEDIRSFGIGIKKRKRQEEEISLKSSTDLWTRANFPVEERSEPIWIKEELEE -LERPTDIRWLSVEPNYTHTVVDAHAEEAVNQFNGIVDSLWASALVEKMQIAATRAYNELHSDRARVTTVP -IITRKEWHGTVFSQLWGFVIIGPHHIKQETDRIPIVTVELTDQDNPEKYPNHSFVRFLYNKGTESMGHED -LLIRVTSIAKYRLFTFSTIRRVYIQPCSVYSKLILNQSAEAREKDFSMDKKIEVYLEGRPVALSWKSWLI -KVFCLEYLMAIHNNPQMEGFLANIRRLHMARHAMMEKAGVYIPHGSAPEEKCNECVINNPLVAYLARTWN -ELPNVYM - ->YP_145795.1 PA polymerase subunit [Thogoto thogotovirus] -MTDRPDHIDSRVWELSETQEDWITQVHGHVRRVVECWKYTICCLISNMHTHRGAPQYDVFKWQDRSTIEW -ICSKKKVQYPERDTPDLYDNERAVAYKVLLVSDLSDHSPTSGIYHDLAFNLEGEAEESCALVLRGSQLQD -IKGFLCRALEWVVSNNLTQEVVETISGEAKLQFSVGTTFRTLLKRDTDWDVIPTPRVEPNVPRIEGRRWT -QMKKLPLLKEKEGPPSPWRALLLGADSEYIVCPPGTDQEAISWIHSQSEIECIRESKSTPASVITCLTSS -LQSFAEGNPVRSRIHEDIIAFGINKKQEKKQSASSSASGEWKRAEYQVEEMSLPPWVEEEMVLLRSDQED -NWIELEKNAIYTEVDGVAEGLVDKYIEIVGRTKVASVIEKWQIAATRTFSQLHTDRSRITACPIITRDPS -GNCQFWGMVLLGPHHVKRDTDNAPLLIAEIMGEDTEEKYPKHSVFSLKVEGKQFLLSLKITSFSRNKLYT -FSNIRRVLIQPASIYSQVVLSRAAENNSLNLEVNPEIQLYLEGAQRGMTLYQWVRMILCLEFLMAIYNNP -QMEGFLANMRRLHMSRHAMMERRQVFLPFGSRPEDKVNECIINNPIVAYLAKGWNSMPNVYY - diff --git a/seq/clusters_seq/cluster_1148 b/seq/clusters_seq/cluster_1148 deleted file mode 100644 index 3d77a2e..0000000 --- a/seq/clusters_seq/cluster_1148 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009518855.1 small hydrophobic protein [Human orthopneumovirus] -MENTSITIEFSSKFWPYFTLIHMITTIISLLIIISIMIAILNKLCEYNVFHNKTFELPRARVNT - ->NP_056861.1 small hydrophobic protein [Human orthopneumovirus] -MGNTSITIEFTSKFWPYFTLIHMILTLISLLIIITIMIAILNKLSEHKTFCNNTLELGQMHQINT - ->sp|P69360.1|SH_HRSV8 RecName: Full=Small hydrophobic protein; AltName: Full=Small protein 1A -MGNTSITIEFTSKFWPYFTLIHMILTPISLLIIITIMIAILNKLSEHKTFCNKTLELGQMYQINT - diff --git a/seq/clusters_seq/cluster_1149 b/seq/clusters_seq/cluster_1149 deleted file mode 100644 index cf53969..0000000 --- a/seq/clusters_seq/cluster_1149 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009505453.1 small hydrophobic protein [Bovine respiratory syncytial virus ATCC51908] -MNNTSTIIEFTGEFWTYFTLAFMMLTIGFFFIVTSLVAAILNKLCDFNDHHTNSLDIRTRLRNDTQLITR -AHEGSINQSSN - ->sp|P32554.1|SH_BRSV3 RecName: Full=Small hydrophobic protein; AltName: Full=Small protein 1A -MNSTSTIIEFTGEFWTYFTLVFMMLTIGFFFIVTSLVAAILNKLCDLNDHHTNSLDIRTKLRSDTQLITR -AHEESINQSSN - ->sp|P24616.1|SH_BRSVA RecName: Full=Small hydrophobic protein; AltName: Full=Small protein 1A -MNNTSTMIEFTGKFWTYFTLVFMMLIIGFFFVITSLVAAILNKLCDLNDHHTNSLDIRTGLRNDTQSITR -AHV - diff --git a/seq/clusters_seq/cluster_115 b/seq/clusters_seq/cluster_115 deleted file mode 100644 index 6e8ca07..0000000 --- a/seq/clusters_seq/cluster_115 +++ /dev/null @@ -1,456 +0,0 @@ ->NP_620659.1 putative RDRP [Rhizoctonia solani virus 717] -MLYNFKAFISKVSHSIRDYLTEAYIRRNFEWSNFNKLHTDPSTAYYDIRDPSNVRAYHALFGTRRQPPPK -QIELESYMLNLIHRNAIKNRPFQFYTDLFTDTDLPTDRIPKPGIELVNAYFHPGNVIRPNPEFSKQLTPD -MELDQFESYIPGDIDYGPPIDNELLTLIYRKYPQYLDPITKYCRPAGTTDATFRDFNKEQIKTEDSDALR -LSNIMDLIHEFMNITPYMPLHFVDTFYCKLPLVTGTGYHNRHSYFRRSFAHFCHPELYAEKPTSKGYFFN -ATKHENRFLVHKIKHSGYPFDFTSDSDRNSKMADFLKSFPTMMFTRNHISKRDGTLKVRPVYAVDELFLD -LECMLAFPATVQARKPECCIMYGLETIRGSNIKLDSLAQGFISFATIDWSGYDQRLPWFIVRAFFFIYLP -SLLIISHGYMPTSEYEDTSMNISDMFTRFFNLINFTATWYFNMVFLSADGFAFRRQFAGVPSGMLLTQFL -DSFGNLYLIIDSLLEFGCTYDDIKSLMLFIMGDDNSIFTNWTIDKLHDFISFMERYCLKRWNMHLSKTKS -VITTLRSKIETLSYRCNFGKPRRDVEKLIAQLVYPEHGLKPQFMSSRAIGLAYASCAQDSTFHEFCHDVY -RLYLPVADLSPAAIRNTRVWILKLLEMEETEALIPLDHFPTMSEIQHLLSYYHGPLRPEPKWNYAHFPQD -PDFRPKDYVTLLDYMERNNISFPELINFTV - ->YP_009551641.1 putative RNA-dependent RNA polymerase [Bipolaris maydis partitivirus 2] -MSTLLPTQDDLLEEVLDDLHPTSVLHTHFDPEDELENEVLRPNEKFEFYGQRTDPLPDNRVPKSGIQCLA -HLKYHNTSRDHQYADQPASGPPPMRGVSRIIRDSFPQYTDYLREWCRPKSYDEAILNDFNYEQRPTQPLS -AARKRQLLPLIDHFMAIKPYDIVHYCDTRFYPADLSRKADYFHNFSRARKNHAAKSHPDYANGPTKKSWF -INAHLFHDRATVHNIKLFALPFRPYPDEKRNNTLLQLWFQKIPTELLVRSHISHPDKLKVRPVYNAPMIY -LRIEMMLFYPLLAQARKKECCIMYGLETIRGGMSLIEQLASAMKSFLMIDWSRFDHLAPFPLIDFLFEDW -LPTKINVDSGYAKIRNYQDHVHSFKAQAQKLNAWLESNIDETHPDIGVFAHKVSNLISFLQRWYKEMIFI -TPDGFAYRRQFAGVPSGILMTQFFDSFVNLTILLDGLIEFGFKDDEIKDFVLLIMGDDNVALTNVSALKI -LEFLEWFTDYSLIRFGMKINIDKSSSTSIRRKIEVLGYQNHYGMPSRSISKLVGQLAYPERHVTDVDMCM -RAIGFAYTACGKDTTFHSFCRKVFHYYYAKVNIPIDQLFETGKFGLPGTFFAYKDIASHIKLDHFPSIDE -VRELVSSHQGFLTEEPLWNYNYFLHPPAPNRSDSLTLYEYRASQK - ->YP_009665970.1 putative RNA dependent RNA polymerase [Heterobasidion annosum P-type partitivirus] -MPHYQIPPYDIPPVVSDVNFDTESFQPHEKYRELLETYRLSSTDGNLKAIQDFVSEYDFQYFMPTGIETL -PDNRVPAPGIRALPQFRFHPVAALNRFRSIPRTGFNALWTIVNLLATSFMSYVYVISDYCRPAGNIDAIF -ENFNQAVSPVKDVTSQRLNEIMILIFHFLPIVPHPPVNFPDLRFYKWSLVTSADYHAHHSSDMQNESTRY -WTHLRDTSQLEDRFDYSKNPRSKGFFFNSLLLRCRRIVHNIKYTGWPIPHDPSESKTSFLQRMLYWTLMN -PTVMYVRSQISKITKLKVRPVYNAPMLFLMLEAMLTLGLMAQCRKPDNCILWSYETIRGGMHELHRISTE -FNVFMGFDYSRFDQLAPFTIIYHFWATFIPMIIRVDRGYQQSTIYTKDQYAYDFEKKYDNLDKSEPKFQE -FAKKSNNLFPKHVVAFSFVIFNILSFIWWWYVFMVFITPDGYGYVRLLAGVPSGIFMTQILDSFVNLFIF -VDALLEFGFSIDQIKCFRLFIQGDDNLVFYLGDLTRIFDFYEWYPEYALDRWHMIVSPDKSWITRLRTKI -EVLGYTNANGMPHRDVSKLIATLAYPERTILDKNRYPILMSRAIGIAYANAGHDHAVHQLCYHAYLDARK -KSNLSASELKEIIIPYQKLGFYEIFSVNIEELFPTLVRNLDRFPTFYEIRDNLSKWHGPHDIYPMWPLQF -TDRPDFINPDETPITLYDFMTKINLHIPLHHDVL - ->YP_009508063.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 8] -MLSKVRDFFHEKLSRLLLQHSIFQSNDKDEEQTLLENQSSDVKRIYNSIHYSFQTDDTQAAYEDQYQHIK -HVLEDKDRLSNFPAEFYLPYDTTTTPDNRVPPSGIDQLPYVYKRTNVVTATDEVPETGYPIQNRLLRLIR -SRYPQYLPHVRTFTRPLGTTDATVSDFFKPQHPSRLVDPSRISHVMKHVMNKMAITPYLPIHFVDTQYDK -RPLSTGTGYYNRRSHEANIHALYSHPKEYENKRTSKGYYINAFLESARSLVHWIKSYGNPFRSKPADPRE -SLKKFFLQRPTMLFTRNHISKILGALKQRPVYAVDDLFLTLESMVTFPAHTIARKIECCIMYGYETIRGS -NVQLDRLAQRYNSFFTIDWSGFDQRLPWVIVLLFFTEFLPRLLVINHGYAPTYDFPSYPDLTTEMMYQRL -SNILSFLATWYFNMVFITADGFAYVRRFAGVPSGLLNTQFLDSFGNLFLIIDALIEFGAQDEEIDSILLL -IMGDDNSGFTIWSIARLEQFITFLESYALTRYGMVMSKTKSLVTVLRHKIQTLSYTCNFGRPLRPIPELV -AHLVFPEREFKPQFMSARAVGMAWASCAQDKTFHDFCRDVFYEYLEESVPVDNTNIAWIQSHLPGYLRVD -PEVTKMIDLNVFPSFLHVSQKLSRWQGPLSYQPKWDLAHFINQPDVIPDDSITMFEYMQEHSLSLDIQFD -LFSA - ->YP_009508061.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 2] -MSTNPPEVLLPLPEVDPNANNVRYAKLLDQYRANPSNSNQKLLLEYAEQHGFNYFIPTDVPLPDDRKSAP -GILSLDGFRFHTVAAFHRYQKMSRYGYNALGFIFKLILTLFNPFLWILTDYCRPSGSADAVFENFNQEVS -PVEHVNPSRLAQIMPLIHHFFAIKPFCPIAFPDLRFYKWSLVTSADYHAHHSKDQQDESAHYWKHLKDSD -LLQDRFDYSDRPRSKGYFFNTVLLSTRTIVHNIKYHCLPFQRHKRDTDSSVLQKLSFWFMKYPTVMYVRS -QISKLSKLKVRPVYNAPFLFILIEAMLTLALMAQCRLPDSCLMWGFETVRGGMQELNRISYNYDTFIMID -WSRYDQLLPFAIIYHFWCTFLPQLIRVDLGYMPTEQYTATQHKHAFTEKHNDQKESNPEYATFASRLKTH -APHIVMFSFIIFNLLAFIWLWYVKMVFVTPDGFGYVRLLAGVPSGIFMTQICDSFCNAFLLIDAMLEFGF -TPDDIKLIRMFIQGDDNVIFYLGDFTRIFAFYEWLPEYCQQRWHMTISVDKSSITRLRNKIEVLGYTNLN -GMPHRDCAKLIATLAYPERYVQDKTKYIVFMSRAIGIAYANAGHDRQVHDLCQRAYLQARKDSGLSYDEL -KNIKIEYQKLGFYEIFSVNIEELREHLIQDVSEFPNFHDIRDNLRHWHGPHTVYPMWPRHFDDDLSSIKS -PHSLTTLYDVMQSGGLTFDYNF - ->YP_009508059.1 RNA-dependent RNA polymerase [Crimson clover cryptic virus 2] -MPFNAVRNYLNERMTRLKQEWKTYQSTDHDPIKILDTIQDPDYRRYLDNARFNSDNEMKHLMLNKEYSTL -VEAYRTDNAKKHQVYELHQPIPNDAAPVIQSRLPAKGIKLVPLMYHYGHVTHDPVSLTDANRSDDFETQV -VPDSDAPTRFGYPIDVRIYNLICYRYSKYLEVINAYCRPIGTVNATFEDFNKEQIPSAPIDPKRKENVLS -HIHKFLDTKPYLPLHFVDTQFCKTPLVTGTGYHNRYSFKQKAHAKYSHPAEYATKPISKGYFYNATYENA -RTIIHFIKEYGLPFNVIRADDKSELTDSDVQKYINEANSFFNDYPTLLFTRNHISKRDGPLKVRPVYAVD -DIFIIMELMLTFPLTIQARKPSCCIMYGLETIRGSNRYIEQIARDYSTFFSLDWSSYDQRLPRVITDIYY -TDFLRSLLVINNGYQPTYEYPTYPDLDEHKLYHRMDNLLHFLHLWYNNMTFLLPDGYAYRRTSCGVPSGL -YNTQYLDSFGNLFLIIDAMLEFGFTDSEIQKFILLVLGDDNTGMTTIPICRMFNFITFLEKYALERYNMV -LSTTKSVLTTFRSKIESLGYQCNHGSPKRDIDKLVAQLCYPENGLKPHTMAARAIGIAYASAGQDDMFHS -FCQDVYNIFRSDYRPDDRMNLHFKRQIFHNLEDGMPDLAPPIVPPFPSLYEIREMYAYYKGPLDFAPKWN -YAHFMSDPDTTPPYSKTMRDYEAENNISSRVAPTFETVVPSTINLP - ->YP_009449449.1 RNA dependent RNA polymerase [Rosellinia necatrix partitivirus 8] -MLTLFTIVTLNILLRFQQRRRRNETTSTLIHPIRNILFEWEFRRSIPLDASPYPVFDYSKSLLAYIDLIT -CHKISIHLKEDIYTLEERYSSRDENFHLYEYITDADLPPDRQPANGISHAERRYHSIPSGSLNVNDKIEV -ISNDPDFIETNEFRSNILFAESLDLTGSPPHPQIEKLIQDWFPQYVPYLHEYCRPPSFGPQAFLDFNRDT -PDPQPPTPERHEAIMRIVRLKMNIKPYRPLHFADALAAETPLNTSASYYSKFNPETRVLARYSTPSRYSD -MPTSKGYFINVMLNEFRLEFHHIKYDGMPFPTDRHDHETNLTILDTWLAKHPAQLFIRTQISKRDPSDPK -KIRPVYSVDDRFLHIEKTVSTPLLAQMRNPQCCVAHGLETFRGSMSLIDKIAHFFLSFISLDWSQFDQRL -PYYVIIAFFLDFLASLIIVSHGYMPTRSYPDTKSDIHSFARRQYNVLVFLTTWYLSMTFLSFDGFAFIRI -HGGVPSGLLNTQSLDSFGNMYIITDCLLEFGFTEQECLEMLFCVLGDDNLIFLQHNLERITRFMVFLERY -SKDRHGMVLSILKSMFSNLRTKITFLSYENFFGHPSRPIGKLVAQLAFPERPVPPAREWIHAARALGLAY -ASCGQDPTFHLLCKMVYERFRPSVPVPTLHINKIFKKWKYQLPDFDIEELEYTFPDFPTCTEIFALVSDY -HGVFSETDKWNFNVFTVPPSDNLPDYVTLKNYIQQSPDVSHTVNEFWHGKRPF - ->YP_009408640.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 7] -MSTNPPIVEQLANPPQPEDKHVQYAKLLDIYRANPSYYNQQQLKEYTELYGFNYFVPVPETDFPPERKPS -NGLFALENFRFHVLPSFTRFRDIPRTGYNPLSFITYILYRMFPQLLNILDDYCRPAGNIDAIFENFNQEV -TPVEDCDPARLIQIMTLIHFFMQIIPFSPIAFPDLRFYKWSLTTSADYHCHHSQDMKRESKSYWQYLKDH -LMLEERFDYSERPRSKGFFFNSVLLASRTIIHNIKYYGTPFKPTKGQDEPSRLSKLAYWFMKYPTVLYVR -SQISKISKLKIRPVYNAPFLFILLEAMVTLPLMAMCRLPGNCILWGFETIRGGMQELNRLSFDFTTFIMI -DWSRFDQLAPFAIIYHFWCTFLPQLIRVDRGYMPQGEYTTSRHKSAFTAKHDNHFEHNPKYHSFATRLLT -KYPPHVIMFTFVIFNILSFIWLWYAKMVFVTPDGYGFIRLLAGVPSGIFMTQILDSFVNLFLFIDGLLEF -GFSLDEIRLIRLFIQGDDNIAFFIGDFERIFAFYEWFPAYALKRWHMIISVDKSSITRLRKKIEVLGYTN -NNGMPSRDLKKLVATLAYPERYVTGPQWSVIQMSRAIGIAYANAAHDSSVHDLCRRAYNDARKHSGLTHD -ELKNIKIEYQKLGFYEIFSVNLEELQSILVQDLSQFPDYYDIRSNLRYWHGPHSVYPMWPSHFDDPLSSI -QEPDDLITLHTVLSHAGVTFDRNY - ->YP_009293586.1 RNA-dependent RNA polymerase [Cannabis cryptic virus] -MPYNAVRNYLAERMIRTKREWQLYQSSNRDPESTLEHYQDSDYLRYYQNARFNLNQEERFRALNKEYSTL -VEAFRTDNLRKHQPYELHQPIPPDAAPIPDKRQPAPGIKLVPLMYHYGHVVHDTPSTTDDHTSNDDSQSA -PSRLLKTTEFGYSIDKQIYDLVCRRYPTYLSVINLYCRPLGTVDATFSDFNKEQIPSNPIDPDRKEHVLK -HIFKFLDATPYLPVHFVDTQFCKTPLVTGTGYHNRYSFKQKAHAKYSHPEEYATYPSSKGYFYNATYENA -RTLVHYIKQNGLPFEFDFNLSEESLTDEQIDLFVNRSNAFFNDYPTLLFTRNHISKRTGPLKVRPVYAVD -DIFIIIELMLTFPLTVQARKPSCCIMYGFETIRGSNRYIDRLARSYSTFFSLDWSSYDQRLPRVITDIYY -TDFLRSLIVINHGYQPTYEYSSYPDLDEHKLYDRMNNLLFFLHTWYNNMTFLLPDGFAYRRSYCGVPSGL -YNTQYLDSFGNLFLIIDAMIEFGFTDSEIDGFVLLILGDDNTGMTQMHIHRISQFINFLEKYALERYNMV -LSATKSVLTTLRSKIETLGYQCNYGSPKRDIDKLVAQLCFPENGLKPHTMSARAVGIAYAAAGQDYVFHS -FCQDVYNMFRSYYKPDARANLFFQRQVLQNLEDGIPDLATPVVPPFPSLFEVREMYSEYKGPLSFEPKWN -KAHFINDPNDIPPFSKTMRDYEKEHNLPVRVSPTFETVVPSTKNLP - ->YP_009272951.1 RNA-dependent RNA polymerase [Fusarium poae virus 1-240374] -MLANIRDYFHEKLTRLLYDHKIFQSNSKDPDLTLEAHHSSDIERIYKSIHYDFNRSPAPTDYEAQYQSIK -HILEDKQSQQGFPHEYYRLHESPIPDDRIPPSGIKLLPFEYKSMNVVTATPEVPESGFKIHPRIERLLRS -KYPQYLQYVRKYTRPLGTTNATVSDFFKPQTPSQPVEPTRINHVMSHVMKKMAITPYLPLHFVDTQYDKR -PLANGTGYHNRRSHEMNIHALFSHPKEYESKRTSKGYYVNAFLESARSLIHWIKLYGNPFRHCPSDLAQS -LREFFLQRPTMLFTRNHISDRDGILKQRPVYAVDDLFLTIESMLTFPAHVIARKPECCIMYGLETIRGSN -QILDKIASDYKSFFTIDWSGFDQRLPWVIVKLFFTEYIPRLLVVNHGYAPTYEYPSYPDLTTNDMVSRLT -NLLTFLATWYFNMVFVTADGFSYVREHAGVPSGMLNTQFLDSFGNLFLLIDGLIEFGSTDAEIDDILLFI -MGDDNSAFTTWSITHLEQFVSFFETYALSRYGMVLSKTKSIITTLRHKIETLSYQCNFGHPRRPIGKLVA -QLCFPERGPRPKYMSARAVGMAWASCGQDKTFHDFCRDVYHEFNDDRADLDESAYLHIQSHLPGYLKIDE -SVRQIVDFQVFPSQQTVYHTVSRWKGPLSYQPKWDLAHFVNQPDVVPPDSVTLYEVWSELNFTPPILHNL -F - ->YP_009272947.1 RNA-dependent RNA polymerase [Fusarium poae partitivirus 2] -MHSLFTIVNLLLLARFQLRRKRKETTKTLIYPIRNILFEWELRRSTPREPGIPLRYFDYSKSLLSYIDLQ -TCHKINIHLDDHTDALMERYASRDEPFAVYQHISDEDLPPERTPAPGIRHAQCRYHEIPSGTLNLDEKQH -VLTDDPDFIETPEFRSGILYDETIDLSGSPPIPEIAQIIHDWFPHFEPFLAEYCRPPSFGPQAFRDFNRP -TPHPPPPPHERHEAIMDIVRAKFNLKPYRPMHYVDALAAETPLNTSASYYSKFNPTSRVFARYSAPSRYK -DKPTSKGYNFNVVMNEFRTEYHHIKYDGVPFPADLHDPEANASILNTWFAKHPSQLFIRTQISKRDPNDP -KKIRPVYSVDDRFLHIEKTLVVPALAQLRNPQCCVAHGLETFRGSMSLLDRTALVYTSYISLDWSQFDQR -LPYYVIIAFFLDFLPSLLIISHGYFPSRGYESTPQDIHAFASKIFNVVLFLTTWYLNMTFVSFDGFAYIR -EHGGVPSGLLNTQFLDSFGNMYIIVDCLLEFGFTPAECLDMLYCVLGDDNLIFARQNFDRICDFMIFLTK -YADTRHGMVVSILKSVYTKLRSKISFLSYENTYGMPTRPIGKLVAQLSMPERPIPDNRKWIHAARALGLA -YANCGQDAHFHLLCHMVYEKFRPDTPVPSLHIEKVFKKWKYQLPEFDIESVTYTFPDFPTLFSIRQLVSD -YHGFFSETDKWNTDMFEAPPSDNLHDYVTLKEYMNSNVHMSHTVNEFMHGKRSFL - ->YP_009177606.1 RNA dependent RNA polymerase [Rosellinia necatrix partitivirus 6] -MSSVFNNVRNYLQERLQHVKREWQIYQATGASSSNMTEERIQQLQDLDTRRMFHTARNAFDTTTNTPGPV -SRITEEQRRLIYDAESDKIMNALRQHDEMRSQPFELFMTRPDSDPIPPNRIAAPGIWQSPLQFHTGQIVH -ADPSTTSVLHPDEHEDYAESYLPGDTDQGYEIDPTIYELLTRKYPEYLPYAQQYCRPKGTTDGTFRDFNK -EQKPCPPLDSDRKEHVLKHVFKRLAIDPYLPLHFVDTQYCKLPLVTGTGYHNRYSYRQKAHASFSHPLQY -GSRPTSKGYFYNATYENARTIIHNIKESGVPFNIHFAPEDRDITDTEIDEYRRKCNNFFDQYPTLLFTRN -HISNRDGTLKVRPVYAVDDIFIIIEAMLTFPALVQARKPDCCIMYGLETIRGANHKLDSLAQSFSTYFTI -DWSGYDQRLPRAITDLFYTDFLRRLIVISHGYQPTYEYPTYPDLNEDNMYDRMDKLLKWLHLWYNNMTFV -TADGYAYRRMYAGVPSGLFNTQFLDSFANLYILIDGMIEFGFTDEEIDSFLLFVLGDDNSGMTNLSLARL -HEFIQFLEAYALTRYHMVLSHTKSVITALRNKIESLGYQCNFGLPKRDIGKLVAQLIYPEHKIKYHTMSA -RAIGLAYASCAYDKTFYNFCKSIYNIFLDYYEYDEKTALNLSRFLTTGQDDLTTQFSWKILPPFPTREEI -RKQISFYHGPLDYAPKWNFAHFINKPDVIPPSSKTMYDYEIEHSLRPQPAPTFVAR - ->YP_007891054.1 RNA-dependent RNA polymerase [Dill cryptic virus 2] -MPFNSVRNYLAERLIRLKREWMTFQSSDRDPELTLEISQDPDFRRYYANARFNLNNEAKQLALNKEYSTL -VEAYRTDNDQKHQPYELHQPVPLDAAPVPDNRQPAPGIKFVPLMYHYGHVIRDPSALDDSSQLSNDETLS -MTEPSATEYGYPIDIRIYQIIVQRYPEYLAVIHEYCRPIGTVNATFQDFNKEQTPSAPIDLTRKEAVLEH -IFRFLDVKPYLPVHFVDTQFCKTPLVTGTGYHNRYSFKQKAHAKYSHPDEYALNPTSKGYFYNATYENAR -TLVHYIKQYGLPFKLEFDHSDSDLTDEQIQFYIDKANDFFNDYPTLLFTRNHISKRDGTLKVRPVYAVDD -LFIIIELMLTFPLTVQARKASCCIMYGLETIRGSNHYIDRLARSYSTFFSLDWSSYDQRLPRVITDIYYT -DFLRRLIVINNGYQPTYEYPVYPDLDDHKMYDRMNNLLYFLHTWYNNMTFLLPDGYAYRRTHCGVPSGLY -NTQYLDSFGNLFLIIDAMMEFGFFDSEIESFILLILGDDNTGMTTISIDRISLFINFLEIYAKERYNMVL -SSTKSVLTALRSKIETLGYQCNYGSPKRDIKKLVAQLCYPENGLKPHTMSARAIGIAYAAAGQDFMFHSF -CQDVYNMFISDYRPDARMNLYFQRQIFHNLEDGLPDLSTSTVPRFPSLFEIREAYSRYQGPLTFTPKWNI -AHFINDPDYTPPNSKTMADYEYDNRITFKVAPTFETVVPSTKSFP - ->YP_007889825.1 RNA-dependent RNA polymerase [Hop trefoil cryptic virus 2] -MPFNSVRNYLEERSVRVKKEMMTYQSSNRDPDAILEQSQDPDYRRYYDNARYNPSNDLKYRMLSKEYSTL -VEAYRLRNDDKHQPYELHQPVPQDAAPVPSYRAPAPGIKPVPLMYHYGHVIHDPVSLTESAIDDDSDTLP -DSDEPTVTHFGYPINKRIYDLICNRYPEYLSVISAYCRPIGTVDATFKDFNKEQIPSGPIDPNRKEEVLT -HIFRFLDAQPYLPLHFVDTQFCKTPLVTGTGYHNRYSFKQKAHAKYSHPEEYAQMPTSKGYFYNATYENA -RTLVHYIKEYGLPFNIHYTPEDVDFTEEQIQAYIDSANNFFNDYPTLLFTRNHISKRDGTLKVRPVYAVD -DLFIIIELMLTFPLTVQARKQSCCIMYGLETIRGSNHYIERLARSYSTYFSLDWSSYDQRLPRVITDIYY -TDFLRRLIVINDGYQPTYEYPTYPDLDEHKMYTRMDNLLTFLHTWYNNMTFVLADGYAYRRTYCGVPSGL -YNTQYLDSFGNLFLIIDAMIEFGFRTPEIDDFILLVLGDDNTGMTVISIDRIYDFITFLEIYALTRYNMV -LSTTKSVLTTLRSKIETLGYQCNHGSPKRDISKLIAQLCYPENGLKPHTMASRAIGIAYASAGQDYMFHS -FCQDVYNMFRLDYKPDARTTLNFQRQIYHNLDDGIPDLATPVVPPFPSIFEVREMYSRYQGPLTYAPKWN -FAHFINSPDVTPAHYKTMRMYEIENNITIRPAPTFETVVPTTRNFP - ->YP_007889823.1 RNA-dependent RNA polymerase [Red clover cryptic virus 2] -MPFNSARNYLAERMIRTKQELMTYQSEDHNPDAILEKSQDPDYRRYYDNTRFDPSNEVKHRILNKEYSTL -VEAYRIKNDRKHQPYELHQPIPEDAAPIPESRVPAPGLKLVPLMYHYGHIVHDPVASESDSDDDNTASER -PSKTSIPHFGYPVNKRIYDVIVNVYPEYLKVIGEYCRPIGTVEATFADFNKEQIPSAPINIERKEQVLTH -IFKFLDAQPYLPLHFVDTQFCKTPLVTGTGYHNRYSFKQKAHAKYSRPEEYAKLPTSKGYFYNATYENAR -TLVHFIKQFGLPFNLQYAPEDADPTDEQVQSYIDTANSFFNDYPTLLFTRNHISKRDGTLKVRPVYAVDD -LFIIIELMLTFPLTVQARKQSCCIMYGLETIRGSNHYIERLARSYSTYFSLDWSSYDQRLPRVITDIYYT -DFLRRLIVINHGYQPTYEYPTYPDLDEHKLYSRMNNLLYFLHTWYNNMTFVLSDGYAYRRTHCGVPSGLY -NTQYLDSFGNLFLIIDAMLEFGFSESEIDNFILLVLGDDNTGMTVISIDRIYDFINFLEKYALIRYNMVL -SPTKSVLTTLRSKIETLGYQCNHGSPKRDISKLVAQLCYPENGLKPHTMAARAIGIAYAAAGQDPMFHSF -CHDVYNLFRLDYKPDARTNLNFQRQIYHNLEDGIPDLATPVVPPFPSLYEVRHMYSKYQGPLSYAPKWNY -AHFINDPDVTPPSPKTMRDYEIENDLISRTAPTFETVVPATRNFP - ->YP_007889821.1 RNA-dependent RNA polymerase [White clover cryptic virus 2] -MPHNSTRNYLAERMIRTKRELMTYQSKDRDPDAILEASQDQDYRRHYDNARYDPSNEVKYRILNKEYSTL -VEAYRLRNDRKHQPYELHQPIPEDAAPIPKSRVPAPGLKLVPLMYHYGHVVHEPAHSDESDSDDNSDAPT -RPVKESVPHFGYPVSKKIFDLIVRVYPEYIKVINTYCRPLGTVEATFADFNKEQIPSAPINSKRRETVLK -HIFKFLDTQPYLPLHFVDTQYCKTPLVTGTGYHNRYSFKQKAHAKYSHPEEYAKMHTSKGYFYNATYENA -RTLVHFIKQFGLPFNLQYAPEDADLTDDQVQSYIDAANNFFNDYPTLLFTRNHISKRDGTLKVRPVYAVD -DIFIIIELMLTFPLTVQARKQSCCIMYGLETIRGSNHYIERLARSYSTFFSLDWSSYDQRLPRVITDIYY -TDFLRSLIVINHGYQPTYEYPTYPDLDEHKMYSRIDNLLYFLHTWYNNMTFVLSDGYAYRRTHCGVPSGL -YNTQYLDSFGNLFLIIDAMLEFGFSDAEIDDFILLVLGDDNTGMTVIPIDRIYDFINFLEKYALVRYNMV -LSPTKSVLTTLRSKIETLGYECNHGSPKRDISKLIAQLCYPENGLKPHTMAARAIGIAYAAAGQDFMFHS -FCHDVYNIYRLDYKPDARTNLNFQRQIYHNLEDGIPDLATSVVPPFPSLYEVRHMYSQYQGPLTYAPKWN -YAHFINDPDDVPPNPKTMREYEIENDLISRTAPTFETVVPATRNFP - ->YP_003104768.1 putative RNA-dependent RNA polymerase [Primula malacoides virus China/Mar2007] -MPFNAVRNYLAERLVRVRTELKNFTSSNREPDATLELSQDPDLRRYYDNTRYNSSNDAKYRTLNKEYSTL -VEAYRTDNQQKHQPYELHQPIPADAAPIIDKRQPAPGLKLVPLMYHYGHVIHDPDPDQPDQTKVYPLDSR -IYNLIMSTYPSYLSVLHDYCRPIGTVEATFNDFNTEQIPSAPIDEDRKQQILKHLFKFLDVKPYLPIHFV -DTQYCKTPLVTGTGYHNRYSFKQRAHAKYSHPEEYALKSTSKGYFYNATYENARTLVHLIKTYGLPFNMQ -FACPKSDLTDEQINLYISKANQFFNDYPTLLFTRNHISKRSGTLKVRPVYAVDDIFIIIELMLTFPLTIQ -ARKPSCCIMYGLETIRGSNHYIDRLARPYSTFFSLDWSSYDQRLPRVITDIYYTDFLRSLIVINHGYQPT -YEYPTYPDLDEHKLYSRMDNLLYFLHTWYNNMTFLLPDGYAYRRTHCGVPSGLYNTQYLDSFGNLFLIID -AMIEFEFTDDEISKFQLLILGGDNTGMTNLAIDRIDKFITFLETYALARYNMVLPKTKCILTSLRSKIVT -LGYECNYGSPKRDIHKLVAQLCFPENGLKAHTMSARATGIAYASAGQDIMFHSFCQDVYNIFRSDYKPDV -RANLYFQRQFLNDLEEGVPDLATPTVPPFPSLYEIRKLYSKYQGPLSFTPKWNSAHFINEPDSVPISAKT -MRQFEEEFSIPLMTAATFETVVP - ->YP_001936016.1 RNA-dependent RNA polymerase [Ceratocystis resinifera virus 1] -MPSFSANPTYQTLIDDIDFDVPIAHPFSIINTDLQPVDDEETVHDLGSKDFEFYKVVSNDLPLNRAPSVG -IESLPNIRYHNHSNDHRYRDQPPTGPPPMRGVHRIINDSFPQYLPYLKEWCRPKTSSDAIFEDFNQPQIP -SIPLQHSRKQKILKLVNHFMGVKPYDIVHFCDTRFYPWDLSKKADYFHNHSNARKRHAQTSHASTATGPT -KKSWFINAHLFHDRSTVHNIKLYGLPFKPHSYAARNKILQELWFKKIPTELLVRSHISNPKKLKVRPVNN -APMIFLRIECMLFYPLLAQLRKQQCSIMYGLETIRGGMMEIESLATRFSNFMMIDWSKFDQTVPFTLVDM -FYQDWIPTLILVDSGYAKIHNYNDHVHSFAAQARKLGVHGNSNLNEAPPETAIFANKVENLLKFINTWFK -EMVYITPDGFAYRRTFAGVPSGILCTQLIDSFVNLVVLIDSLFEFGFHESDITSALILLMGDDNVVFAPD -KLSQLHSFFLFLPGYAKKRWNMTVNVDKSIFTTLRRKIEILGYTNNYGMPVRSLSKLIGQLAYPERHGFD -SDMCMRAIGFAWCAAACDSTFHDFCRKVFMYYYARVNVPIKDLVQANASALPGMFFAYRDVHQHIKLDHF -PSIEEVRQVLSKHHGYLTEEPLWKYDFFIHPKP - ->YP_001911122.1 putative RNA-dependent RNA polymerase [Ceratocystis polonica partitivirus] -MPSFSANPTYQTLIDDIDFDVPIAHPFSVINTDLQPVDDEETVHDLGSKDFEFYKVVSDNLPPTRAPSIG -IESLPNIRYHNHSNDHRYRDQPPTGPPPMRGVRKIINDSFPQYLPYLKEWCRPKTSSDAIFEDFNQPQIP -SIPLSYNRKQRILNLVNHFMGVKPYDIVHFCDTRFYPWDLSKKADYFHNHSNARKRHAQTSHASTATGPT -KKSWFINAHLFHDRSTVHNIKLYGLPFKPHSYEARNKILLELWFKKIPTELLVRSHISNPKKLKVRPVNN -APMIFLRIECMLFYPLLAQLRKQQCSIMYGLETIRGGMMEIESLATRFSNFMMIDWSKFDQTVPFTLVDM -FYQDWIPTLILVDSGYAKIHNYNDHVHSFAAQARKLGVHGDSNLNEAPPEAAVFANKVENLLKFINTWFK -EMVYITPDGFAYSRTFAGVPSGILCTQLIDSFVNLVVLIDSLFEFGFHESDIKSALILLMGDDNVVFAPD -KLSQLHSFFKFLPDYAKKRWNMKVNVDKSIFTTLRRKIEILGYTNNYGMPVRSLSKLIGQLAYPERHVND -SDMCMRAIGFAWCAAASDSTFHDFCRKVFIYYYARVNVPIKDLVQSNASALPGMFFAYRDVHQHIKLDHF -PSIEEVRQVLSKHHGYLTEEPLWKYDFFLHPKP - ->YP_392480.1 putative RNA-dependent RNA polymerase [Rosellinia necatrix partitivirus 1-W8] -MVLTIIRDYLHEAQLRLKKEWQTFQKSDQESGYSDKLPTDYDLRRYYDSARDYDAEKHKTEEYQHNFALT -HERYTQMNADRNEPFEFYRPLEDNELPDIRFPAPGITVLPFRYHTGQIVETTDELPDSGFSLHPLIDYLT -KTKWLHYRPYIDKYCRPLGTTNATFSDFNREQIPSAPIDETRKNMVLPLVIYFLNALPFLPIHFVDTRFC -GTPKHTATGYFQRFSTFFRTHAYYARNKLYALRPTSKGYFFNTVYEFSRTWMHHIKEHGYPFVPSHDALD -NARQYRIFMQKHVTMLFTRNHISDRDGFLKQRPVYAVDDFFILCELMISFPLHVMARYPINGIKSCIMYS -FETIRGSNRYLDSIARDFISFFTIDWSSFDQRVPRVITDIFWTDFLRQLIVINHGYQPTYEYPAYPDLSE -HDLYKRMNNLLHFLHTWYNNMVFVTADGFAYLRSAAGVPSGLLNTQYLDSFCNLFLIIDGLFEFGFTQAE -ILSIVFFIMGDDNSGFTMMDIERLTQFIEFFESYALKRYNMVLSKTKSVITTLRSRIETLSYQCNGGNPK -RPLGKLIAQLCYPEHGPKDKYMSARAIGIAYAAAAMDEEFHEFCRDIYHTFLPYAAPIDEHTLSMATKHL -PGYFKMLDNIASEIKFDSFPTLEMVQDKYSRWQGYLSHKPKWNDAHFKFLPETVPNNIKTMTDYQLEHKL -DTPVPHSLF - ->YP_227355.1 RNA-dependent RNA polymerase [Pleurotus ostreatus virus 1] -MSFLRIRDYFTERLKHLSRDWKIFQQSDSDPESTLATHLDSDIARLEHGIKSSLSDDQRQQAYEREYNRI -HSALHDKARQDGFPDEFYRSRSIDDLPDNRIPPSGIIPLPYEYHRSQVVISTEEVPETGFQIDPRIVRIL -RNKYPQYLPHVTKYVRPLGTTDATVKDFFKPQIPSDPIPEARKQRILDLVISFLACTPFLPLHFIDSLWD -KTPLHTGTGYFNRHSFAARIHAMFSAPRLYERRTTSKGYFINYFLETARSTIHNIKLHGFPFDPSKVPDL -GSALRSFILKRPTMLFTRNHISDRDGNLKQRPVYAMDDLFIRLESMITFPLHVMARKIECCIMYGYETIR -GSNRQIDKIASSFRSFFTIDWSGFDQRLPRVITDIFWSDFLERMIVISHGYQPTYDYPSYPDLTPDKMFQ -RMDNILFFLHTWYNNLVFVTADGFAYIRTCAGVPSGLLNTQYLDSFSNLFLIFDGLIEFGCSDAEIYQIF -LLVMGDDNSAFTLWSIAKLEEFLSFFESYALRRFGMVLSKTKSVITVIRGKIETLSYQCNYGAPKRPLAK -LVAQLCYPERGPRAKYTSARAIGMAYAACAMDRTFHDLCRDIYYEFLDDSASPDEPFFFEHVQAYLPGIL -RTDESLSTQISLSSFPSFLTVQQHISRWQGPLSYYPKWDRAHFINDPDVIPPSAETMAEYRSRNSIPRRD -IPSLWQ - ->NP_624349.1 putative RNA dependent RNA polymerase [Fusarium poae virus 1] -MLANIRDYFHEKLTRLLYDHKIFQSNSKDPDLTLEAHHSSDIERIYKSIHYDFNRSPAPIDYEAQYQSIK -HILEDKQSQQGFPHEYYRLHESPIPDDRIPPSGIKLLPFEYKSMNVVTATPEVPESGFKIHPRIERLLRS -KYPQYLQYVRKYTRPLGTTNATVSDFFKPQTPSQPVEPTRINHVMSHVMKKMAITPYLPLHFVDTQYDKR -PLANGTGYHNRRSHEMNIHALFSHPKEYESKRTSKGYYVNAFLESARSLIHWIKLYGNPFRHCPSDLAQS -LREFFLQRPTMLFTRNHISDRDGILKQRPVYAVDDLFLTIESMLTFPAHVIARKPECCIMYGLETIRGSN -QILDKIASDYKSFFTIDWSGFDQRLPWVIVKLFFTEYIPRLLVVNHGYAPTYEYPSYPDLTTNDMVSRLT -NLITFLATWYFNMVFVTADGFSYVREHAGVPSGMLNTQFLDSFGNLFLLIDGLIEFGSTDAEIDDILLFI -MGDDNSAFTTWSITHLEQFVSFFETYALSRYGMVLSKTKSIITTLRHKIETLSYQCNFGHPRRPIGKLVA -QLCFPERGPRPKYMSARAVGMAWASCGQDKTFHDFCRDVYHEFNDDRADLDESAYLHIQSHLPGYLKIDE -SVRQIVDFQVFPSQQTVYHTVSRWKGPLSYQPEMGSCSLCQPT - ->NP_604475.1 Putative RNA dependent RNA polymerase [Atkinsonella hypoxylon virus] -MSTLLIPQDTIAHTFDEAVASESNLRIDEVPENYLERFIHPSEPENFEFYSLRDSDIPSKRIPKNGIQVF -ENLKYHTNSKDNLYKDQPSSGPSPMRGVANIIREYFPQYLDDLRTWCRPKSSDDSIFNDFNHEQRITQPF -TEERERRLLPLIDHFLGIKPYDIVHYCDTRFYPWKLSTRADYFHNHSRDRKAHAAKSHPDFATGPTKKSY -FINSHLFFDRSTVHNIKEYGFPFRPTTDSARNETLLDLWFKKVPTELLVRSHISKRDNLKVRPVYNAPMI -YIRIECMLFYPLLAQARKRDCCIMYGLETIRGGMNELERISNAFNSFLLIDWSRFDHLAPFTISNFFFKK -WLPTKILIDHGYAQISNYHDHVHSFSAQAQSHGIPMISKEYQTPPEATVFAKKVLNLISFLERWYRDMVF -VTPDGFAYRRTHAGVPSGILMTQFIDSFVNLTILLDGLIEFGFTDEEIKQLLVFIMGDDNVIFTPWTLLK -LIEFFDWFAKYTLDRFGMVINISKSAVTSIRRKIEVLGYTNNYGFPTRSISKLVGQLAYPERHVTDADMC -MRAIGFAYASCAQSETFHALCKKVFQYYFAKTSINERLILKGRKAELPGMFFAYPDVSEHIRLDHFPSLS -EVRILLSKFQGYLKETPFGTIPTFSTPQTLRDQTQ - ->AQS27950.1 putative RNA-dependent RNA polymerase [Lentinula edodes partitivirus 1] -MVFQQIRDFLSERKLRIQEEWRRYQKAVSRDDTELRQSDASDIRRMYEATRDQLTEQEKAAVLDREFQGL -IDSMLLKNENKKENFEFSSTSAFDGFPPNRVPISGIAGIPRRFHTGQIVSESNEVPESGYPLDTLIDTLI -TNKYPEYRYYVDKYTRPLGTTDATFKDFNKEQVLIEPLDSARKERVMMHVHERLATTPYLPIHFVDTQFC -KLPLHTGTGYFQRHSFWTQTHSKYSRPEEYHDRPTSKGYVMNAFLILARTAVHKIKVSGLPFDFDFDDFE -DDNAAFNELAKYLDKFLNDHATMLFTRNHISQRDGKLKQRPVYAVDDLFILIEAMLTFPLLVLARDPACC -IMYGLETIRGSMIYIDQISRMFNSFATIDWSEYDQHVPRPITDVYYYEFLPDLIVINHGYQPTYEYPTYP -DLDEHAMYKRIDNLLFFLHFWYNNMTFVTADGYGYRRKHCGVPSGLFNTQYLDSFGNTYLIIDGLCEFGC -SDEEIRLFLIFVMGDDNSLMSYWTLERLIAFIQFFEAYAKMRYNMTLSRTKSTLTAVRSKIEMLGYQCNF -GRPSRPIGKLVAQLCYPERGLFRKFMSYRAIGVAYASAGIDVKFYKFCKDIYFTYLPYAVAASEFNFLRA -ATHLPGYLKAFDDVSDYINFEKFPTIYEIREVYSYYHGPLSYEPKWNRAHFINRPNIVPPSAKTVGDYRR -ENNLQPRQVPILPTD ->ADO60922.1 putative RNA-dependent RNA polymerase [Grapevine associated partitivirus-1] -MLPKIRDYFHEKLKRLLFDHKIFSSQHEDPEQTAARHASSDIERIYKSIHNYAPHPDVIHDYEAQYQHIH -DTLVTKQSLSGFNAEFYRLRTDPVPDNRIPPSGITLLPFEYKSMNTVTATLEVPESGFHIHPKIERLVRS -RYPQYLTFVRKYTRPLGTTDATVADFFKPQTPSAPVEPERISHIMTHVMKKMAITPYRPLHFVDTQYDKR -PLHTGTGYFNRRSIVQNVHAMFSYPKEYESKPSSKGYYINAFLESARSLVHWIKSTGTPFRHAPSNLADS -LRTFFLQRPTMLFTRNHISDRDGNLKQRPVYAVDDLFLTIESMLTFPAHVIARKLECCIMYGFETIRGSN -AALDTIAQSYKSFFTIDWSGFDQRLPWVIAVLFFTEFIPRLLVINHGYAPTYEYPSYPDLTTTDMVSRLN -NLLTFLSTWYFNMVYVTADGYSYTRHLAGVPSGMLNTQFLGSFGNLVLITDGLIEYGFTDHEIDNLLLFI -MGDDNSGFTHWSIARLESFIQWFETYTLHRYGMVLSKTKSVITVLRQRIETLGYQCNFGHPRRPIGKLVA -QLCYPERGPRPKYMSARAVGMAWASCAQDKTFHDFCRDVYYEFLDEREEIDETTYGMLQSYLPGYLRIDE -SVRQTINFNEFPSLEHVFSTVRKWKGPLSYQPKWDLAHFVYQPDVIPPNSQTLHEYWQLHNYKMPILYNL -F ->APG78329.1 RdRp, partial [Hubei partiti-like virus 24] -MNNQNHKNKRLLKPLINTKIACDQIVNFLGRKHEIVVNEQRLSRILKNRDITKSQDPVLIQTQDLIKNIY -DAELRFDETRIKQSTNDRIKTMFKSMKDKKRQFELFTHFDFSKFKAHRAPAEGIKFVPFHYHRSNVFKIP -DEDNNLGPDCDPVLVDFIRKNYPQFIHVITDYCRPDMTTEAIFRDFNRPQYPSEEPSQERLKSIMELIFH -ILRPKPYSPIHFLDVIFGKLPLNTGTGYYHKRSYVAQAHAKFSHPKEYSDRPTSKGYFVNFHYLTARSIV -HRMKCTGLPFILNNEPLTKKGDQLLLTQLSNFYNSRPTLLYCRSHISKRNGEKKVRPVYGVDDLFLHIEA -MLTLPLIVQARSMDCAIMHSLETLRGGNDYLDKIAQNYQSFATLDYSRFDQTLPRVVTNYYFTEYLPRLI -IINKGYQPTVTWPHIPPEDVARVYYLKHRNLLFSLHTWFNNMTFLSADGYAYRRMHAGVPSGLLNTQSLD -SFGNLFIILDSLLEYGLTPETIKRIRFFVMGDDNVMFTHHPINELENIVQFIIEYVDKRWHMVINPNKCV -LTDNRQKINTLSYDCNFGHPKKEIDKLCAQLLYPEHGVDPRFMSSRAIGIAYASCMIDEEFYNLCKDIYH -LYLPYATSNEEMR ->AFR78160.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum partitivirus 1] -MDSIRNIFQELRQTNVLEWKLFQKFGQTTTNPTYSHPDADLRRIQETFRDPHLERSQTQEFKLHYTDTYS -GFRESDLSKNEDFEFYKPIDPSSIPASRLPAPGISVLPIRTHAEQVITATEQVPETGYQLHPLLRHLIVS -KYPLYMQHATKLCRPLGTTDATFDDFNREQKQYPPIEPELALRIVRIIIHLLYALPFLPLHYIDTFFCKM -PLHTGTSYFYRHSYELRTHVAFSAPSEYENKQTSKGYFFNAFTSWARTVAHRIKEFGYPFDPTQLTPSEI -TDKLRSFFMEHATMLFTRNHISDRDGALKQRPVYAMDTLFLHLEAMITFPLHVLARSSRSAIMYSMETIR -GGCARMDALASSCQSYLCIDWSSFDQRMPWIIVDLFFTLFLPLLLIISHGYQPTAEYSEYLGLTPDKMFS -RLFNIISFLRLWYYNCVFVTADGYAYVRRFAGIASGMLNTQYLDSFCNLFLMIHALLHFGCTNEEILDFI -YFVMGDDNVILTQWTIDRLFSFLTFFESHSLSRFGMVISTKKSVITSLRSRIEMLGYQCNCATPKRPISK -LVAQLCYPEHGPNDKYMSSRAVGMAWASAGYDAEFFAFCKDVHTLFTPFAAPPSEQTTQTILKHLPGLFK -MLDDVTEFTNPQAFPDIMTVRNRYATWQGELSPDKKWSRAHFLRRPDDTPLPFQTMFEYMSEHGITFPEP -QQLF ->AOR51392.1 RdRp, partial [Partitivirus-like 5] -MQEQRTRQLHETREFQKAEQSKQLILSRNPDSDLRRMYDSLRDRLTEREKQAALQSEFDKILQSFRLKNL -DKREPFEFYIDALDLSLPANRLPAPGLTALKFKFHTGQTVTITEEVPETGYNVHPIIQYLITCKYPQYQK -FIDTYCRPLGSTDATFADFNREQIDSKPIPSDRQRKILSHVTRILDIQPYLPVHFVDTSYAKIPLNTGTG -YHNRHSFKINAHAKYSAPDEYRDRPTSKGFYVNAFLESSRTLIHYIKLNGFPFSSDTKVASNPKLRKSRI -FRFYLEYPTLLFTRSHISDRDLALKCRPVYAVDDLFLRIETMLTFPLHVMARSHNSAIMYGLETIRGGLQ -YLDKLAMEFNCYASLDWSQFDQRAPFCLIDLFFRIIRDNIIISHGYQPTYEYPSYPDLTSQKMFKRMNNL -INFIEHWYYNMVFVTADGFGYKRNYAGVPSGMLNTQFLDSLVNLSSIIDGMLEYGFTDHEIEQFRFFIMG -DDNTFFCDLSITELNQFITWFSTYAQERYNMKLNTEKSTVTCLRNHISVLSYTSNFGMPIRPLGKLVAQL -CYPEHGTKMRYMSARAIGIAYAACGMDFTFHCFCEDVYHSFLPYAADYTKADIENIIKHLPGQFKLLDAY -LETVNLTHFPSYYEIREIVSKWQGPLSEHPKWDLSHFINPVRDIPDNYQTLSQT ->AHU88025.1 RNA-dependent RNA polymerase [Ustilaginoidea virens partitivirus 2] -MELHPEDLPPDRIPSHGILFANKRYHEIPSGSINIGDTILVVPFDSDFTQDQTTHSDATFEESLDLSGSP -PHPYIEKIINDWFPQFAPFLKEYCRPPAFGPQAFLDFNRATPAPAAPTPARHEAIMHVVRQKMNIKPYRP -WHFADALASETPLNTSASYYSKFDPRTRVLARYSSPRRYNDMPTSKGHFINVTPNEFRQEFHYIKYAGIP -FNPERCNPADIPAINANWMSQHPSQLFIRTQISKRDPAEPKKIRPVYAVDDRFLHIEKTLTGPLHAQMRN -PQCCVAHGLETFRGSMTLLDQTALFYQCYISLDWSQFDQRLPVYVIVAFFLDFLPSLIIISHGYMPTRSY -PDTSSDNHSFATRQFNVLKYLLSWYLQMTYLSYDGFAYVRDHGGVPSGLLNTQSLDSFGNMYIITDCLLE -FGFTQPECLDMLFCVLGDDNLIFLNQNYERVCAFMPFLEKYAETRHGMVLSILKSVISNLRSKITFLSYE -NHYGYAIRPLGKLVSQLAYPERPVPPHREWIHAARALGIAYAACGQNAVFHLLCKMVYEQFRPPQAVPSH -HIQKVFKKWKYQLPDFDIEDVEYTFPAFPTCESIRRATHGYHGPFSEMDKWNTNVFGVPPVTTSPIMLP ->BAT24481.1 defective RNA dependent RNA polymerase [Rosellinia necatrix partitivirus 6] -MSSVFNNVRNYLQERLQHVKREWQIYQATGASSSNMTEERIQQLQDLDTRRMFHTARNAFDTTTNTPGPV -SRITEEQRRLIYDAESDKIMNALRQHDEMRSQPFELFMTRPDSDPIPPNRIAAPGIWQSPLQFHTGQIVH -ADPSTTSVLHPDEHEDYAESYLPGDTDQGYEIDPTIYELLTRKYPEYLPYAQQYCRPKGTTDGTFRDFNK -EQKPCPPLDSDRKEHVLKHVFKRLAIDPYLPLHFVDTQYCKLPLVTGTGYHNRYSYRQKAHASFSHPLQY -GSRPTSTTYPDLNEDNMYDRMDKLLKWLHLWYNNMTFVTADGYAYRRMYAGVPSGLFNTQFLDSFANLYI -LIDGMIEFGFTDEEIDSFLLFVLGDDNSGMTNLSLARLHEFIQFLEAYALTRYHMVLSHTKSVITALRNK -IESLGYQCNFGLPKRDIGKLVAQLIYPEHKIKYHTMSARAIGLAYASCAYDKTFYNFCKSIYNIFLDYYE -YDEKTALNLSRFLTTGQDDLTTQFSWKILPPFPTREEIRKQISFYHGPLDYAPKWNFAHFINKPDVIPPS -SKTMYDYEIEHSLRPQPAPTFVAR ->ALT08065.1 putative RNA-dependent RNA polymerase [Cucurbitaria piceae virus 1] -MVFTQIRNYLSEFRNTKLKEWQLFQKFGITAPDPDSVHPDEDLRRLLQRARYLPIEQEQTKEYQQHQRDT -YYGYLESDHMRDQPFEFFTPVPIDSIPPNRMSAPGITLLSYKYHPQQVVTATDIVPETGFKIHPLLDYLL -NRKYTEYRKYINLYCRPLGTTDATFSDFDREQTQYPPLSNDSISRIIPIVTYLLNANSFIPVHYVDTFFA -KMPLSTGTSYFYRHSYDLKTHAAFSHDPIYSSKQTSKGYMLNAFTEWARTIVHRIKEYSLPFSPENLTPQ -QIKDKLQEFFLEHATLLYTRNHISPRDGTLKQRPVYAMDTLFLHLECMISFPLHIMARSMKSSIMYSLET -IRGGCSYMDTLSKGYSSYLCIDWSSFDQRMPWIIVETFFTSFLPPLIVISHGYQPTAEYPTYPDLTPEKL -YKRIFNIICFLRLWYYNCVFVTADGYAYVRQFAGIASGMLNTQYLDSYCNLFLMTHALLAFGCSESDILQ -LAIFVMGDDNVILSTWNEDRLHSFMIFFEKHAHTSFGMVLSAKKSVFTVLRSRIEMLGYRIMFGTPTRDI -GKLVAQLCYPEHGPLDQYMSARAVGIAWAAAGMDPMFHEFCFDVYVTFLPYVDKNYSSNLDKILKHLPGF -FKILDDPSEFVNPEHFPSIEEVRSRYKRWQGELDPWKKWSPAHFMQPPEVVPPSAVTMKEYMSEHGLSFP -DIEYLFT ->AFX73019.1 RNA dependent RNA polymerase [Grapevine partitivirus] -MSIHKLRSYLQERKERIKFEWQRFQTSRDASSPPPSLTDSDIRRHFENQRDRQQEFDSHLVLEHEFNAIK -HTMQLQNDTAREPFEFTSTQSFERFPDNRSPASGIIGVPKRFHSGQQVNPTESLPETGFQVHHLLRYLLR -NKYPTYEYYVDKYVRPLGTTDATFIDFNRQQVETAPISDFRKDRVLTHMMKRLNATPFLPLHFVDTQFAK -LPLHTGTGYFNRHSFRSRAHAKYSHPPEYAQKQTSKGYFYNAFYETARTAIHRIKESGLPFTFEFRDDKT -DDENFENLASALNAFFDNHATMLFTRNHISERDGILKQRPVYAVDDLFLLIEVMLTFPLLVMARKPESCI -MYGLETIRGAMHYIDRLARNYDSYFTIDWSQYDQRIPRSITDIYYTDFLERLIVISHGYQPTYEYPSYPD -LTAQSMFNKMNNLLHFLHVWYNNMTYVTADGYGYRRTTAGVPSGLFNTQYLDSFGNLFLIIDGFIEYGYS -DDQIDDILLFIMGDDNSGFTHIPLFDLEKFLTWFEKYALNRYNMVLSKTKSVITDIRGKIEMLGYQANYG -QPLRPIGKLIAQLCYPERGPKDKYMSYRAIGIVYASAGQDVEFYKFCKDVYHLFLPFAAPPEDIKLSHFQ -SYFPGYLRALDTPDEFFQIDHFPTIWEIRHVYSRYAGPLDYAPKWNYAHFMHDPNVIPPSAMTMAEYREL -HKIPRLQTPSFMH ->APG78232.1 RdRp [Hubei partiti-like virus 23] -MAYKPIRRFDLEHKNEVYLENKVFQKQGRNPEIGQSELDDPEFRRLIAKERNRRRENEQLKFLQSIKDKV -YTHYNKCNEHKNENFQYYTSLPLRELAHCPPTANGIIQIPKLYHKGRVVEATDKVPESGYTIDSILKTLI -RTKYPHYLPIIRKYRRPLGTTSATFADFNKPQYSFPPLDPKRKEIVLKHVIRCMDAKPIRPLHYVDTCFT -KQPKSTGTGYHNRHSFKMKLHAQLSAPPEYKDKTTCKGYFFNAFNDVSRHLVHNIKHFGMPFEYPDDFNH -NNLEHIQALATRIDEFLCKYPTMMYTRCHISVEDELKQRPVYAVDELFLTLENMITFPLHIQARSESCAI -MYGLETIRGSNQYLDKLAQSTRFNSYFTIDWSSFDQLMPHIITDIFFTDYLPQLLIVNKGYHRTYNYKHS -DIADTDKQYTMLNNVISFLRLWFNNMTFVSATGYAYRRAYAGIPSGMLNTQYLDSFCNLYVIIDSLIEYG -VTEDELLQFRFFVMGDDNSVFTPWNLLQSNSFIRFLSTYATERYGMRLSEKKVTVTDNRQYISTLSYQCS -FGNPKRNLEKLIAQLCYPERGIKYKYMSMRAIGAAYASCGSNQEFLEFCKDVYHMYLPFAEPAQETDIES -IQKYLPGELRYLEKIPQKIFDLEFPTLHEIRETITTWQGPLPTHPKWDRTHFMDKPDISCPDDITLSELR -KQRNYVVTDCPTL ->AFX73024.1 RNA dependent RNA polymerase [Grapevine partitivirus] -MLNQIRDYFHERLTRLLFDQKIFQKEAGDPDATLEAHQDSDIRRIYKSIHYDYAASNANADYEAKYQQIR -HIFEKKHAQQGFPTEFYSLRTSTVPDNRIPPSGILLLPFEFKSSNVVTATETVPESGFEIHPRILRLVKS -RYPQYVQYVRMYTRPLGTTDATVFDFFKPQTPTQPVEPDRLTRVMHHVIKRLAITPYLPLHFVDTQYDNR -PLSTGTGYFNRRSYEANIHAMFSHPKEYSDRPTSKGYYINAFLESARPLIHQIKSNGTPFRTPPNDLSKS -MCEFFLQRPTMLYTRNHISDRDGILKQRPVYAVDDFFLTIESMLTFPAHVIARKPDCCIMYGLETIRGAN -RHLDKLAQNYKSFFTIDWSGFDQRLPWEIVKTFFTEFLPRVLIVNHGYAPTYEYPSYPDLTSEKMSTRLI -HLISFFATWYFNMVFITADGFSYVRQHAGVPSGLLNTQFLDSYGNLFLIIDALIEFGVTDPELDEILLFI -MGDDNSGFTLWSISRLDDFISFLESYSLTRYGMVLSKTKSVLTVMRNKIETLSYQCNFGMPTRPIPKLVA -QLCYPERGPRPKYMSARAVGMAWASCGQDVVFHDFCRDVYFEFLDDAAEIDDDTYLQVQSHLPGFLRIDE -SVRQIINFQSFPTIDTIRKAISKWKGPLSFQPKWNLHHFMKQPDDVPLDSLTLRSYMETNNLSIPIMNTL -FSL ->BAM36401.1 RNA-dependent RNA-polymerase [Rosellinia necatrix partitivirus 3] -MVFSQVRDYLHELRNTLNRDWRLYRIFGETTPDPDSEQPDIDLRRLDKSYRQRDLEAQLTSEYKQHYTDA -RYAFEESDHLKGEPFEFSIPSSEPLPENRLPAPGIHALPIRFHKEQVVTATEQVPETGYGLHPLLEYLLS -YKYPRYMEHTIKYARPLGTTDATFSDFNREQTEFPPIPANTMTRILPLVCILLNAKPFLPLHWIDTFFTH -MPLVTGVSYFYRHSYELRTHAAFSHPKEYADKQTSKGYFFNAFSEWARTVVHRIKEFGYPFSTENLSPTE -IYDKMRTFVIQHATSIYTRNQISERLGNLKQRPIYAMDTLFLHLECMISFPLHVMARSIDSAIMYSFETI -RGGCAFMDIQAKKYKSYLCIDWSSFDQRMPWIIVDTFFTVFLPYLLIVNNAYHPTYEYPDYPGLTADKMY -SRLFNIICFLRLWYYNCVFYVADGYAYVRRFAGIASGMLNTQYLDSYCNLVLMIHGLLHFGCTDEEILQI -CFFVMGDDNVLLTHWPLSRLNTFLNWFEAHALSRFGMVLSRQKSIITQIRTRIEMLGYQCNGGNPKRSIP -KLVAQLCYPERGPDPRYMSSRAIGIAYAAAGSDPTFHNFCKDVYLTFLPYQEDLTTEHAAHRARRHIPGY -FSYLFSHEPSFDIDLLTFPTIHDVRSRYQRWQGELDQDSKWNPSHFKFQPDYAPSNSITMSEYMTEHSLF -FPDVPTLFT ->BAM36402.1 RNA-dependent RNA-polymerase [Rosellinia necatrix partitivirus 4] -MAFNSVRNYLAERLARVKEEWKLFQSHHSDQTETAQAHEDSDLRRYYKAISSDPTNEVKNRMLQSEFDNL -KAAMRIDNESRSQPFELHSQPHPDAPYPDSRLPAPGIVRVPLFYHSGHVIHADPLTSRPLNPDLDADQLE -SYIPGDIDFGPEIDPILYALITQKYPTYLPYINKYCRPAGTTDATFRDFNKEQKPSAPIPEDRQEAVLSH -VFRLLDATPYLPIHFVDTQYAKTPLVTGTGYHNRFSYKARAHAKYSHPAEYADRPTSKGFFYNATYENAR -TIIHKIKESGVPFNLHFAPEDADLSDAQVQQYIDSCNDFFNDYPTLLFTRNHISDREKTLKVRPVYAVDD -LFIIIELMLTFPLLVQARKPSCCIMYGYETIRGANHLLDRLARSYETYFTIDWSGFDQRLPRVITDIYYT -KFLRRLIVISHGYQPTYEYPDYPDLDAHKLYTRMDNLLHFLHLWYNNMTFLSVDGYAFRRTSAGVPSGLY -NTQYLDSFSNLFLLIDGMIEFGFTDEEIRSVLLLVLGDDNTGMTSFDLKRLKEFISFLESYALARYNMHL -SKTKSVITSLRSKIETLGYSCNYGRPKRPLDKLVAQLCYPEHKIKYHTMSARAIGIAYAAAAQDITFHTF -CRDVYTMFSVFYKPDVRSNLDFLRSVLSDLEVPLAHFDSSTLPPFPSFEQVRARYASYQGPLQYTPKWNI -AHFKNMPDVIPETGEPVMTMHIYEKLHGITPRMAPTFSTVVPST ->BAD32677.1 RNA-dependent RNA polymerase [Helicobasidium mompa partitivirus V1-1] -MFNTVRNYLAEKTNNLLLQMQAFQRSQSHPDDPDLLVNDSDLRRHEQSFRDHPTELRRVKEYQQNYDQIV -SSLDQKNALKDQHFEFFSPSDPTSFPADRLPAPGIQILPLRYHSTNPVIATNLVPETGFPLHPLIHYLVY -RKYPRYIPYVEKYVRPLGTTDATFSDFNREQVKIAPLDPERKRTVLTLCKHFLACVPYLPIHFVDFMYAK -LPLHTGTGYFNRFSYDAQAHASFAHPEQYHDRHTSKGYYINTTMQYARTIVHRLKQFALPFNPKDFDSSS -IFSRMRFFFLTRPTMLFTRNHISDRDGNLKQRPVYAVDDLFLILEVMLTFPLLVMARLPECCIMYGLETI -RGSNHWIDSTAKRFRSYFTIDWSQYDQRLPRCITDLYYTDFLESLIIINFGYQPTADYADYPDLTPDMMF -TRMTNLLHFLHTWYNNMVYVTADGFAYIRKFCGVPSGLFNTQYLDSFGNLYLIIDGMLEFGISIDEITSV -LLLIMGDDNSGFTHWELLRLTHFLDFFENYALVRYNMVLSKSKSVITRFRNRIETLSYSANFGMPTRPLG -KLVAQLCYPEHGVIDKYMSSRAIGIAYAAAGMDPSFHRFCEDVYLTFLPYAAPIDSSSLERIIKHLPGQF -KMLDAYTDHVNLESFPSIHEVRLKYSKWQGPLDLDSKWNPAHFTSPPNLPPQGFFTIEDWRTLHNIPRPP -VTDYFP diff --git a/seq/clusters_seq/cluster_1150 b/seq/clusters_seq/cluster_1150 deleted file mode 100644 index 1d7a260..0000000 --- a/seq/clusters_seq/cluster_1150 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009944293.1 nsp2 [Betacoronavirus England 1] -DVTPVDQYMCGVDGKPISAYAFLMAKDGITKLADVEADVAARADDEGFITLKNNLYRLVWHVERKDVPYP -KQSIFTINSVVQKDGVENTPPHYFTLGCKILTLTPRNKWSGVSDLSLKQKLLYTFYGKESLENPTYIYHS -AFIECGSCGNDSWLTGNAIQGFACGCGASYTANDVEVQSSGMIKPNALLCATCPFAKGDSCSSNCKHSVA -QLVSYLSERCNVIADSKSFTLIFGGVAYAYFGCEEGTMYFVPRAKSVVSRIGDSIFTGCTGSWNKVTQIA -NMFLEQTQHSLNFVGEFVVNDVVLAILSGTTTNVDKIRQLLKGVTLDKLRDYLADYDVAVTAGPFMDNAI -NVGGTGLQYAAITAPYVVLTGLGESFKKVATIPYKVCNSVKDTLTYYAHSVLYRVFPYDMDSGVSSFSEL -LFDCVDLSVASTYFLVRLLQDKTGDFMSTIITSCQTAVSKLLDTCFEATEATFNFLLDLAGLFRIFLRNA -YVYTSQGFVVVNGKVSTLVKQVLDLLNKGMQLLHTKVSWAGSNISAVIYSGRESLIFPSGTYYCVTTKAK -SVQQDLDVILPGEFSKKQLGLLQPTDNSTTVSVTVSSNMVETVVGQLEQTNMHSPDVIVGDYVIISEKLF -VRSKEEDGFAFYPACTNGHAVPTLFRLKGG - ->YP_009944355.1 nsp2 [Pipistrellus bat coronavirus HKU5] -DFTPIDQYMCGKNGKPIAEFAALMASEGITKLADVEAEVKSRTDSDRYIVFKNKLYRIVWNVQRKDVAYS -KQSAFTMNSIVQLDTMEDVPRHSFTIGSEIQVIAPSTAVQANGHLNLKQRLLYAFYGKQAVSEPNYIYHS -AYVDCTSCGKGSWLTGNAVQGFACDCGAHYCANDVDLQSSGLVRKNAVLLTTCPCNKDGECKHTLPQLVS -MMTDKCDVEVVGKTFILTYGGVIYAYMGCSGGTMHFIPRAKSCVSKIGDAIFTGCTGTWSKVCETANLFL -ERAQHAINFVNEFVLTETVVALLSGTTSSIEELRDLCRNATFEKVRDYLTPRGWIVTMGSYIEGVINVGA -AGVCNAALNAPFIVLSGLGESFKKVAATPWKLCSSLRETLDHYADSITYRVFPYDIPCDVTDYTALLLDC -AVLTGASAYFVARYVDEKVEQLTNLVFSSCQSAVAAFVQACMSTYKATAKFISDMFTLIKVVSERLYVYT -SVGFVVVGDYSSQLLKQFMHILSKAMQLLHTTVSWAGSKLPSVVYNGRDSLVFPSGTYYCVSTQGRSLQD -QFDLVIPGDLSKKQIGILEPTPNSTTVDKKINTNVVEVVVGQLEPTKEHSPELVVGDYVIISNKIFVRSV -EDSETVFYPLCTDGKIVPTLFRLKGG - ->YP_009944326.1 nsp2 [Tylonycteris bat coronavirus HKU4] -DCIPIDQYMCGKNGKPIADYAKIVAKEGLTTLADIEVDVKSRMDSDRFIVLNKKLYRVVWNVTRRNVPYP -KQTAFTIVSVVQCDDKDSVPEHTFTIGSQILMVSPLKATNNKNFNLKQRLLYTFYGKDAVQQPGYIYHSA -YVDCNACGRGTWCTGNAIQGFACDCGANYSANDVDLQSSGLVPRNALFLANCPCANNGACSHSAAQVYNI -LDGKACVEVGGKSFTLTFGGVVYAYMGCCDGTMYFVPRAKSCVSRIGDAIFTGCTGTWDKVVETANLFLE -KAQRSLNFCQQFALTEVVLAILSGTTSTFEELRDLCHNASYEKVRDHLVNHGFVVTIGDYIRDAINIGAN -GVCNATINAPFIAFTGLGESFKKVSAIPWKICSNLKSALDYYSSNIMFRVFPYDIPCDVSNFVELLLDCG -KLTVATSYFVLRYLDEKFDTVLGTVSSACQTALSSFLNACVAASRATAGFINDMFKLFKVLMHKLYVYTS -CGYVAVAEHSSKIVQQVLDIMSKAMKLLHTNVSWAGTKLSAIIYEGREALLFNSGTYFCLSTKAKTLQGQ -MNLVLPGDYNKKTLGILDPVPNADTIDVNANSTVVDVVHGQLEPTNEHGPSMIVGNYVLVSDKLFVRTED -EEFYPLCTNGKVVSTLFRLKGG - diff --git a/seq/clusters_seq/cluster_1151 b/seq/clusters_seq/cluster_1151 deleted file mode 100644 index ce8d0f4..0000000 --- a/seq/clusters_seq/cluster_1151 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009944292.1 nsp1 [Betacoronavirus England 1] -MSFVAGVTAQGARGTYRAALNSEKHQDHVSLTVPLCGSGNLVEKLSPWFMDGENAYEVVKAMLLKKEPLL -YVPIRLAGHTRHLPGPRVYLVERLIACENPFMVNQLAYSSSANGSLVGTTLQGKPIGMFFPYDIELVTGK -QNILLRKYGRGGYHYTPFHYERDNTSCPEWMDDFEADPKGKYAQNLLKKLIGG - ->YP_009944354.1 nsp1 [Pipistrellus bat coronavirus HKU5] -MSFVAGVAPQGARGKYRAELNTEKRTDHVSLKASLCDAGDLVLKISPWFMDGESAYKHVSEQLSKGSKLL -FVPQTLKGFIRHLPGPRVYLVERLTGGTYSDPFMVNQLAYQNAAGEGVIGTTLQGKRVGMFFPFDADLVT -GEFQFLLRKKGFGGNRFRDAPWDYNWTPYSDLMDALEADPCGKYSQSLLKKLVGG - ->YP_009944325.1 nsp1 [Tylonycteris bat coronavirus HKU4] -MLSKASVTTQGARGKYRAELYNEKRSDHVACTVPLCDTDDMACKLTPWFEDGETAFNQVSSILKEKGKIL -FVPMHMQRAMKFLPGPRVYLVERLTGGMLSKHFLVNQLAYKDQVGAAMMRTTLNAKPLGMFFPYDSSLET -GEYTFLLRKNGLGGQLFRERPWDRKETPYVEILDDLEADPTGKYSQNLLKKLIGG - diff --git a/seq/clusters_seq/cluster_1152 b/seq/clusters_seq/cluster_1152 deleted file mode 100644 index dfc8164..0000000 --- a/seq/clusters_seq/cluster_1152 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009944266.1 envelope protein [Bat coronavirus] -MYEFVSTETSVLITNILLLVIASLLFVIVGCALLLCIQFLIGACGCLANIVCKPTIVLYRKLKYESLLNE -QEDII - ->YP_001039973.1 envelope protein [Rousettus bat coronavirus HKU9] -MYDIVGTNNSILIANVLVLIIICLLVVIVGCALLLILQFVFGVCGFVFKFVCKPTILVYNKFRNESLLNE -REELLCDNV - ->YP_009273007.1 small envelope protein [Rousettus bat coronavirus] -MYELVGTDTSVLIANVLVLIVLCVCIVIVGCAVLLILQFIVSTCTCFFTSVCKPTVYIYNKFKYDSLSNE -QEELLL - diff --git a/seq/clusters_seq/cluster_1153 b/seq/clusters_seq/cluster_1153 deleted file mode 100644 index 9c2f64a..0000000 --- a/seq/clusters_seq/cluster_1153 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009824991.1 ORF3 protein [Bat coronavirus] -MMLINLLRKLYGSPAAPQQAISHDVSIVNAEALEPVYNMPEVEANESLLLFILGSVFYVLLSLILWLLST -VNKPYLSIVSSVIFCFVQLVMVIWFVLCDNVLISIVAQCFLAFLFVMCFLERSYLAFRLRSLAPFVHSCS -AFVVINTTSNRYVFPLQGVAEHVVILTTNAGVSCNGVFQQGAIAVTDTATIYTRFSSHVLLLDRVEHGYD -YTVFAFVSTVVLENTRRIGVSTGMTDVEL - ->YP_001039972.1 hypothetical protein NS3 [Rousettus bat coronavirus HKU9] -MNLYNLVRDALRPSYATVSPSVDEPTVDNNFVALSCYATLSVLLYYLQRVKQPYLSMLFHILFCLSQVCM -VIWLIFSANFYVSLFAQCMLVVCALGCFLERTILSIKLRSMAPFMSMADNFAIIKTTCNNYVFPVERSSD -NLVVLTTSRGIYSNGVFMKGAITVSDNALVVSLFKSHSLLLDRVEHGYDYTVFIYINSVILQNIKPTVSV -VNTEFTDVEL - ->YP_009273006.1 NS3 protein [Rousettus bat coronavirus] -MNLYNLFRDLLVTPKPSEQPAPIGYEIHQEYHNHETGFIPLVVYVSLTIILWLASRVRKPYLKLLATLAL -CILQASVLAWLALSPNRIVSLGAQCLVLATGLVCFIERLALAIRVHSMAPFVSTADNFAVLRTTCSRFVF -PVESSKDNVVVLTTSRGVFCNGIHVEGPTALSDNASIVSLFSTTILLLDRVEQGYDYTVFVYISQQILRN -SESNPQGVVNPEFDDVEL - diff --git a/seq/clusters_seq/cluster_1154 b/seq/clusters_seq/cluster_1154 deleted file mode 100644 index 8e01546..0000000 --- a/seq/clusters_seq/cluster_1154 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_007188582.1 NS3C protein [Betacoronavirus England 1] -MEESLMDVPSTSGTQVYSRKARKRSHSPTKKLRYVKRRFSLLRPEDLSVIVQPTHYVRVTFSDPNMWYLR -SGHHLHSVHNWLKPYGGQPVSEYHITLALLNLTDEDLARDFSPIALFLRNVRFELHEFALLRKTLVLNAS -EIYCANIHRFKPVYRVNTAIPTIKDWLLVQGFSLYHSGLPLHMSISKLHALDDVTRNYIITMPCFRTYPQ -QMFVTPLAVDVVSIRSSNQGNKQIVHSYPILHHPGF - ->YP_009361860.1 ORF4b protein [Bat coronavirus] -MQPAELCGCSIEMEEYPMDVHSTCVTPASSRMFRKRRHSPSRNLRYVKRRFSSLRPEDISLVTEPTHYLR -VIFHSPNTWYIRSGHDLDSVHKWLKPYGGIPVNEYHITLALLSLSEQHLAMDISPIAIFLRNVRFELFDF -TLLRKTLALKASEICCDNLHRFQPITRVNMALPLIKEWLRVQGFPIYNSHLPLHMSVSKLHALDDNTCEY -VANMSCFKQYPTQMFVRPIAVELVSIRQSSNAPRCIVHSVPILHAPGF - ->YP_009513014.1 ORF4b [Betacoronavirus Erinaceus/VMC/DEU/2012] -MMDCSALTQSNEIRNRRYEKRRCSPVRASDLQVATQPTHYLRLTFPPTPQWTIRRGLTMEDVEVWLSNYD -TKPVTQYHITLALLVLDKDESIPDITNFANMFRRVRFELKDFNVLGRTLVLNATELVCENPYQYDLSNLQ -EKVVPCIKQLLSLQRYKLHDSGLPLHLSVSKLHDLEPEYRSHISNVVSYRPTCFVAKPTSVELVTTRAFN -GDTPKVVMSVPIY - diff --git a/seq/clusters_seq/cluster_1155 b/seq/clusters_seq/cluster_1155 deleted file mode 100644 index 6cebbf7..0000000 --- a/seq/clusters_seq/cluster_1155 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_001039965.1 hypothetical protein BatCoVHKU5_gp5 [Pipistrellus bat coronavirus HKU5] -MDDSMDLDLDCVIAQPSSTIVMMPLSPISTRKRRRHPMNKRRYAKRRFTPVEPNDIIMCDKPTHCIRLVF -DQSLRWVHFDGIKNILTDYDVIFNPDLHVTVALVCAGNGVTFSDLTPLTFILADMLLEFNGIFTLGQTLV -IGAREYHWLPQELKTNVGKAIPQAKEWLVDHGYNVYHTGLPTHMSLAKLHSLDFVQQSYVGSKFFIKHSH -TTEYAMPVCLQVIAIDGEKVDGRSKPLFQYPIHNHYRHYRACFPGR - ->YP_001039956.1 hypothetical protein BatCoVHKU4_gp5 [Tylonycteris bat coronavirus HKU4] -MSIVLRLLSVLKHQQNKMQLDLSVNSYKLLDYSMEWSSDSVVLPPTSSDKTVMMPAKATSSRKRHRKPKL -QYAKRRFSPVNPNDLVLVQQEPTHCVRLVFPLSKRWIHFDGLVYSLARLNVSMTVDYHVTLALIYAPEAG -ECFGNFLHLCPLLKDCLLEFKKLCVLGKTLTILASEWPFFTDVKKNKDNLTVPKAVEWLKEHGYEIYNSQ -LPLHMSLAKLHDLPQAQFAEAAGLCHYFDPREFALPCALEVVKIGGGKVNGRSIPLARFPINNEFKFIPY -LYQCV - ->sp|Q0Q4F0.1|NS3C_BC133 RecName: Full=Non-structural protein 3c; Short=ns3c; AltName: Full=Accessory protein 3c -MSIVLRLLSVLKHQQNKMQPELSVSNYRLLDYSMEWSSSSVAALPTSSEKTVMMPAKATSSRKRHRKPKL -QYAKRRFSPVNPNDLVLVRQEPTHCVRLVFPLSKRWIHFDGLVYSLARLNVSMTVDYHVTLALIHAPEAG -ECFGNLLHLTPLLKDCLLEFKKLCILGKTLTILASEWPFFTGVKKNKDNLTFPKAVEWLKEHGYEIYHSQ -LPLHMSLAKLHDLPQAQFTEAVGLCHYFDPREFALPCALEVVKIGGGKVNGRSIPLVRFPINNEFKFIPY -LYQCA - diff --git a/seq/clusters_seq/cluster_1156 b/seq/clusters_seq/cluster_1156 deleted file mode 100644 index 7b0db7b..0000000 --- a/seq/clusters_seq/cluster_1156 +++ /dev/null @@ -1,10 +0,0 @@ ->YP_009825063.1 ORF9a protein [SARS coronavirus Tor2] -MLPPCYNFLKEQHCQKASTQREAEAAVKPLLAPHHVVAVIQEIQLLAAVGEILLLEWLAEVVKLPSRYCC - ->sp|P0DTD3.1|ORF9C_SARS2 RecName: Full=Putative ORF9c protein; Short=ORF9c; AltName: Full=Uncharacterized protein 14; Short=ORF14 -MLQSCYNFLKEQHCQKASTQKGAEAAVKPLLVPHHVVATVQEIQLQAAVGELLLLEWLAMAVMLLLLCCC -LTD - ->sp|Q3I5I5.1|Y14_BCRP3 RecName: Full=Uncharacterized protein 14 -MLPSCYNFLKEQHCQKASTQKGAEAAVKPLLALHHVVAVIQEIQLLAAVGEILQLEWLAEAVKLPSRYCC - diff --git a/seq/clusters_seq/cluster_1157 b/seq/clusters_seq/cluster_1157 deleted file mode 100644 index dfaeb51..0000000 --- a/seq/clusters_seq/cluster_1157 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_003853308.1 3C [Oscivirus A1] -GVPPILRKVQDSVKWTTFFSDSVPIGACSSWNVVDRFHLTVNHVWEKATNFKIGNVMYSKEKISFTRIGE -AVLFYLPNVPQGKNLLKFVKARTIRGVRAGFLAGNMDGVPNVVRVWEMTTFRGIETQDGIFNEHCLGYRC -ASYSGLCGAPLILEDPADYRIAGIHFAGYAGYSGFATHFNKQELVEAMAKISVPQ - ->YP_003853319.1 3C [Oscivirus A2] -GVPPIMRKVQDSVKWISFFSDGVPAGSCSAWNVVDRFFITVFHMWNRATSFKIGNVMYVKDDVKMTRIGE -AVLFYLPNVPQGKNLLRFVKARSIKGVKAGFLAGNMDGVPNMVRVWELTTFRGIETQDGIFNEHCLGYRC -ASYAGLCGAPLVLEDPADYRIAGIHFAGYAGFSGFAVHFMKQEILDAMARISIPQ - ->YP_003038643.1 3C [Salivirus NG-J1] -GFDPAVMKIMGNVDSFVTLSGTKPIWTMSCLWIGGRNLIAPSHAFVSDEYEITHIRVGSRTLDVSRVTRV -DDGELSLLSVPDGPEHKSLIRYIRSASPKSGILASKFSDTPVFVSFWNGKSHSTPLPGVVDEKDSFTYRC -SSFQGLCGSPMIATDPGGLGILGIHVAGVAGYNGFSARLTPERVQAFLSHLATPQ - diff --git a/seq/clusters_seq/cluster_1158 b/seq/clusters_seq/cluster_1158 deleted file mode 100644 index 108b874..0000000 --- a/seq/clusters_seq/cluster_1158 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009179371.1 2A [sicinivirus A1] -GSSQEASPPTFVPPATINADLPPTNPLTPVEPTLQGGPLASSATERCYIVRKTSLGHISWALRSSNQQIG -LKLQGFRCVVGYESCEGALYQEVLPAHFSMAQAMVGQPFPLTIRNTSRHWIERITNIELPRLHPLAACCF -GAGALASVAAETVLRPEKHGLKDLAEASQNLQRAADAIDCAISSANLPGFAQQISQ - ->YP_009054997.1 2A [Chicken picornavirus 1] -MPTQSSDVSPPTVMPPGEIAAALPFPNPLQPAPPPIAPSRHGGENPGGSERCYIVRKTSLGRVSWALRSS -NQQIGIKLQGFRCVVAYEDCEGSLYQEVIPAHFSIAQAMIGQPFPLTIRNTSRHWVERITNVRLPNIHPV -AACCFGMGVLASLAAETVPRPEKHGLKDLAEASQNLQRAADAIDCAINSANLPGCAQQISQ - ->YP_009021771.1 2A [Sicinivirus A] -SGPALTSTATPCYIVRKSSLGSQTWALRSSNQQIGIQFKSFRCVIGYEECEGTLYQEVLPAHFSIAQSMI -GQPYPFHIGNTSAHWVERITNVQLPRIPPLLACCIGAGALASLAAQTVQRPERHGLKDLTEASQNFQRAA -DAIDCAVNAANLPACAQQISQ - diff --git a/seq/clusters_seq/cluster_1159 b/seq/clusters_seq/cluster_1159 deleted file mode 100644 index 2c2d69b..0000000 --- a/seq/clusters_seq/cluster_1159 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009268638.1 3B [enterovirus A114] -GAYSGAPKQVLKKPILRTATVQ - ->YP_009508938.1 3B protein [Coxsackievirus A2] -GAYSGAPKQALKKPVLRTATVQ - ->NP_740534.1 3B [Enterovirus A] -GAYSGAPKQTLKKPILRTATVQ - diff --git a/seq/clusters_seq/cluster_116 b/seq/clusters_seq/cluster_116 deleted file mode 100644 index 7e8a71f..0000000 --- a/seq/clusters_seq/cluster_116 +++ /dev/null @@ -1,181 +0,0 @@ ->YP_010088022.1 hypothetical protein KM760_gp1 [Ivy ringspot-associated virus] -MSERWEKAILEWYEKSRTADLEYLDLSISHKVSLSDLANNIAVVYDRLNLHNRVHLKDSKKLFEELEVLK -SENRKLRKELSNLTKVVTENQPITKSQVLEIAQQIALQPKEIEEQALRLTEDLRSKLERVETILSKVEAW -TTS - ->YP_010087854.1 hypothetical protein KM754_gp1 [Camellia lemon glow virus] -MSERWERSIEEWYEKSHTASLSYLDLAQTQKPTQSELAHNLSVIFDRQNLSSRVLIKNHKQILEQIQHLD -LKISKFERKLNSLVKDLSSLSSFLVENKPLTKSEVKSLVLEISQQPKLVEEQTVFLAKQLQEKVDKVEVI -LHQVKSLLG - ->YP_010087612.1 hypothetical protein KM718_gp1 [Polyscias mosaic virus] -MTERWEKSINDWYEKSHAANLEYLDLVSLPIVSNNNLAHNLACIYNRLSLHSRISIKDYYSLLDRLKSLE -VENQKLRKEIKHLSQVVLENRPVTKSQLLEVAEQIASQPKAIEQQTVRLANNLAEKLERLELIVKRLEG - ->YP_010085993.1 ORF1 [Aglaonema bacilliform virus] -MSRRWEESVNEWYTKSHTANLEYLDLSVNKNPTQKELGHNLSVIYDRVCLSSRVHLKNFKKLIEELSSLE -EKWKQFQQKLQKLESLFREPKLTEQQSLKSLEIILEKLEKIEKRLQKIEAWVST - ->YP_009553546.1 ORF1 protein [Cacao Bacilliform SriLanka Virus] -MSERFEESIREWYASAPTRNLQYLDLAETPKPKLSQLANNLGVIYDRINLLSRVSLKNYKLILEKSVLIE -SILTKHSASLSLLESEFQEHRPLTSAEVKKLVIEIAKQPKAVEEQALQITEELKKEIKEVRELVTKVQTL -LIS - ->YP_009553541.1 ORF1 protein [Cacao swollen shoot Ghana K virus] -MSARWENSIQEWYEKSHTANLEYLDLATSSKVTNNQLAHNLAVTFDRISLGNRVFLKNFKQIQESVLGLE -RKLEAVEAKLQKVQTQLKNNKPLTEEAVKSLVREIAQQPKLVEEQALRLTQELETKLERIEKLLHQLGLV -IGQ - ->YP_009553536.1 ORF1 protein [Cacao swollen shoot Ghana J virus] -MSAKWEKSIQEWYEKSHTANLEYLDLASSSKVSNNQLAHNLAVIFDRVSLGNRVIIKNLKQLHESVLELG -RQVEVIESSLKRVNKHLRENKPLTEETVKKLVQEITQQPKIVEEQALKLTQELEAKLAKVEHLLNQLGLV -IGQ - ->YP_009553217.1 ORF1 [Dioscorea nummularia-associated virus] -MVQSLLKSRKGRHCIICLEGKNRCFSMEKSLEYLDLEVRHVYHKFTLDNKYYYCFSEKQGHKHRFHTEDQ -QLNLLCDSSYHILHNLTCLCEKISTSIAKTKISFEKLSKKLDNLEENLSYLKNQRENRNILIKEEINQIL -TTEFQKTRQKNTASFKSLEEKIQRTESLLLKLIGE - ->YP_009553043.1 ORF1 protein [Cacao swollen shoot Ghana R virus] -MSERFEESIIQFYERERIANTQLLNLSEEPKVTNRDLANNLDFNLKHLILLSRVSLKNYHQIIQNQEAIT -KKLDNLELQVQELSKRSSLTKKTVQNIVTEVSKQPKEIEEQALNLISKLEEKLVRVEHLVEKLNNWVG - ->YP_009553039.1 ORF1 protein [Cacao swollen shoot CE virus] -MGLISLYQKRDNVMSARWENSIQEWYEKSHTANLEYLDLATISKVTNNQLAHNLAVTFDRLSLSNRVFIK -NLKQIQESLLELERRVQSIEKSVVKIQKHQRENQPLTETTVRKLVSEIAQQPKLVEEQALRLTQELELKL -EKVEKLLHQLGLAIGQ - ->YP_009552691.1 ORF1 protein [Cacao swollen shoot Ghana N virus] -MSDRWEKSIQEWYEKSHTANLEYLDLAASSKVSTNQLAHNLSVIFDRVSLGNRVQIKNLKQIQESVLDLD -RKVSSLESKIQKLAKQFKENKPLSESEVRHLVSEIAKQPKIVEEQALLISQKLEEKLERVESLLLKLDHW -VGTE - ->YP_009551938.1 ORF1 protein [Cacao swollen shoot Ghana L virus] -MKDNLMSAKWENSIQEWYEKSHTANLEYLDLATTTKVTNNQLAHNLAVTFDRVSLSSRVFIKNLKQIRES -ILSLEKQVESVENSLKRVSKQLRENKPLTEDTVRLLVREIAQQPKLVEEQALSLTQELETKLNRVEQLLH -QLGLAVGQ - ->YP_009666828.1 ORF1 protein [Cacao swollen shoot Ghana Q virus] -MKFSNHLKRKINLLLCMSQKFEDSIAQFYDKERIANTQLLDLSEEPKITNHDLSNNLDFTIKHLILLSRV -SLKNFHKILENQEQLGKRLDKIEDQVQYLTKQKPLTKQTAQNLLTEISKQPKEIEEQALALISQLEEKVG -RVEKAVEKLNHWVG - ->YP_009666824.1 ORF1 protein [Cacao swollen shoot Ghana M virus] -MSSRWEKSIHEWYEKSHTANLEYLDLASTSKVSTNQLAHNLAVIFDRINLGNRVFIKNLKQIQESLLEVN -SRIETVETRVSKLAKQFRENKPLSESEVKHLVSEIAKQPKIVEKQALEISQQLEQKLERVEKLLGKLDQW -VGQ - ->YP_009508441.1 ORF1 [Dioscorea bacilliform TR virus] -MSRSIDLEKTLKDYLDKSTEPEYLDLFIIDKPTNKQLANNLSYLNHQAKLFSRVSLKHFFQLSDEIQQLK -AENQKLRRELVNLTKEVVENRPLTEKKVQELVLQIVAQPKEIEQQAVRLTTDLAQKLSRVEAILKRIEGS -TSL - ->YP_009508409.1 ORF1 protein [Dioscorea bacilliform RT virus 2] -MSVKWEKSVQAYFESHKPELEYLDLSSLEKPPLKSIAHNLAYLNYQEKLQSKVLLKHLYTIQEKISELEA -ENKRLRKDLVSLTKEVVENRPLTERKVQELIVNITSQPKEIEQQAVKLSKDLQLKLDRVEDILRRLEGAV -TV - ->YP_009508406.1 ORF1 protein [Dioscorea bacilliform RT virus 1] -MSAIWENSLKAYFEGRSTEPEYLNLYTVDKPTNKHLATNLTYLNHQEKLFSKVSLKHFYQLHEEIQQLKA -ENLKLRKDLVALTREVVENRPLTEKKVQDLILKITEQPKEIEQQAVRLSEDLKIKLERVETLLKKIEGSV -LL - ->YP_009506265.1 ORF1 protein [Dioscorea bacilliform AL virus] -MSRSLDLEKTLKDYLDKSTEPEYLDLHTIDRPTTKQLANNLCYLNHQAKLLSRVSLKYFFQLQEEIQQLK -AENVKLRKELVNLTKEVVENRPLTERKVQDLVLRITEQPKEIEQQAVRLVKDLSSKLDRVEAILRKVEGN -TSL - ->YP_009506249.1 hypothetical protein [Cacao swollen shoot CD virus] -MSSRWENSIQEWYEKSHTANLEYLDLASVSKVTNNQIAHNLAVTFDRLNLGNRVFIKNLKQIQESLVEVN -TRIDTLEVGLRRLTKQLKENKPLTEPEVRRLVGEIAQQPKIVEEQALKISQELEQKLAEVEKLLRNLGLV -IGQ - ->YP_009408591.1 P1 [Jujube mosaic-associated virus] -MSERFENAIKKWYEESATANLEYLDLASSQKPTLSLLHNNIAVIYDRVSLFAKVSLKQFKQILEENHSFK -SEIVQLRKHIASLEQEFHSSKPLSKSEIRELVVEISKQPKLVEEQAVKLTEDLRIQVRKVESLLHEVKTL -IGG - ->YP_009345073.1 hypothetical protein [Cacao yellow vein banding virus] -MSDRFQEAIDNYFNNRPRLEYLQLEVSASNLKRVQEIEFHCFKAGHKHRFHTEDPQLNLISDLNLATLYN -LEATFDRLNLLSKVSLKHFLKLEASLNLVTERVTQLENSLEELKALKRSVYKLPTKEELKDLIASIFDRP -KLIEEKAIILSQQLEQKLDRVETLCQTLLKHLV - ->YP_009345069.1 hypothetical protein [Cacao mild mosaic virus] -MSERWERAIAEWYDKSRTSDLEYLDLASSHKVSNSDLANNIAVVYDRLNLLSRVHIKDCKRILEEIDGVK -KENRKLRQEVSNLTVTVLENRPITKAQVLEITEQISKQPKEIEEQALALLTKANQKLAQVEVLVAKLEAW -TNT - ->YP_009229917.1 hypothetical protein [Blackberry virus F] -MTAERIEQSIKDWDDKYKVKDLDYLNLEVPQPSSFQNLSSLGFFCFHQNCKHSLESSSSQLNLLTDLALV -QHLNLEFTHSRVGLSARIAIKYFHSISNQLKEQEEQLKTVAATQKKIQKQLKSLEGELEQHRPLSRTDVQ -QLVQEIAQQPKLVEAEALRLTQDLERRLNEVKDLLGEVKKALLS - ->YP_009140786.1 hypothetical protein [Grapevine Roditis leaf discoloration-associated virus] -MSEKWERSIQDWYNNSRTANLEYLDLAEKEKPTNTHLFHNLAVVYDRLNLQSRVNLKNLKHILERIERQE -LRLENLEKSVKNLTKVFVENKPLTAIEVRRLVQEISQQPKLVEREALKLTEELRLKLERVEVIVKKVESW -ANS - ->YP_009130662.1 hypothetical protein [Taro bacilliform CH virus] -MSDRWESVVQEWYSTRTANLEYLDLSIPEDKKPSQKELAHNLSVVYDRVCLSSRVHLKNFKSILERLEAL -ESENRELNHKLSKLQKFIQGLPLPLTGSEVHAHVKEIAAQPKLVKEQAIQISKELEKKLERVEHILKKVE -EWTRS - ->YP_009121745.1 ORF1 [Yacon necrotic mottle virus] -MADRFEKSIKDWYDHSQTSNLEFINPLKNQNQSISELENNISCIFDRLSLFAKISIKNFHKIISHLESLE -KRLEKCEEIQRKTQSEIRKDLQQISSEIHKSKPLTEKQVLSLVNEIAQQPKLVEEQALRLTEDLNSKVQK -VEELLHEVKGLIIG - ->YP_009002583.1 hypothetical protein [Hibiscus bacilliform virus GD1] -MSRRWEEEIQKWYEQSSTSSLEYLDLASTSKVSNQQLAHNLSVIYNHSSLSSRVQIKNYKKIQESLDSIE -SRISKLESNLKNLTKTFIANRPLTQAEVTTLVSEISQQPKLVEAQALQLTEDLNKKLTRVEKLLHKLEQW -SQS - ->YP_008567617.1 ORF1 [Piper yellow mottle virus] -MSEVFERSIEDWYSKSRTARLEHLDLAEEPKVCNRQLAHNLSVVFDRISLLSKVTLKNFKLILERLSELE -SKIEKNTIKIKNIQKPLSEQQLEALVTKLTAQPKEIEERTICLATELRKEVSQLKLMIAKVEKLL - ->YP_006273073.1 hypothetical protein [Fig badnavirus 1] -MSEKWERSIQDWYNNSRTANLEYLDLAEKEKPTNSHLYHNLAVVYDRLNLQSRVNLKNLKGILERVEKQE -RKLESLELAVRNLTQVFVENKPLTTTEVRRLVYEISQQPKLVEQEALRLTEELRQKLERVEAIVKKVESW -TSS - ->YP_004581510.1 hypothetical protein [Sweet potato pakakuy virus] -MSERWEKSLQNWYDSRRSHLEYLDLESVSKPTLSQLAHNLSIVRDNNNLHTKVLLKRCYTLEEKLEEQSL -LIKKLEKGLEALTEEFLSSRPLTAKQVKELVVEIAEQPKLVEQEALKLTEELKGKLDKVEGLIRDLKEFI -TG - ->YP_003208048.1 hypothetical protein [Pelargonium vein banding virus] -MSERFEQAIQKWYNESRTADLRYLDLATESPTVKDYCSVINNNVSVIYDRLLLHSKVAIKDTYKILEAQE -ANQDILVQEIRRLSKRVKALEAEALASKPLTAEQVRELVKEIAAQPKLVEEQAFKLTTDLRSQVLQVKEL -VEKVQHLLVS - ->YP_002916054.1 hypothetical protein [Sweet potato badnavirus B] -MSERWEKSLQDWYDSRRSHLEYLDLETVSKPSLSQLAHNLSIVRDNNNLHTKVLLKRCYVLEEKLEEQSL -LIKRLEKGLEALTEEFLSSRPLTAKQVKELVVEIAEQPKLVEQEALKLTEELKGKLDKVEGLIKDLKEFI -TG - ->YP_001036291.1 ORF1 protein [Dioscorea bacilliform virus] -MNRSIDLEGSLKDYLDRSTEPEYLDLYIVEKPTNKQLANNLCYLNHQAKLLSRVSLKHFFKLQEEIQELK -TENQVLRKHLVNLTKEVVENRPLTEKKVQELVLRIIEQPKEIEQQAVRLTLDLQKKLDRVEAILSRLEGA -VLL - ->YP_610963.1 hypothetical protein DMV_gp1 [Dracaena mottle virus] -MSQRFEAEIQNWYDHRSKHPLTYLDLATDIKSSPVHSCLLNNLVVTYDRLSLHSRLEIKHHYLIRELLEK -NIDELRKENRQLKARLTAIESELKARQPLTKAEVRSLVQEIAAQPKLIEEQSEKLLKEVQKQVKDLREAL -NEVRTYTIG - ->NP_758806.1 unknown [Taro bacilliform virus] -MAKKFEAAIKDWYDNSRRADLSYLDLATTTKPSASQLAHNLQVIFDRLSLHSSVSIKEHYEVVSKLHSLE -KSIEELKSELTTVKRALTSIQKEVFTHKPLTAQEVQTLAQSLIKEPKQIEQQAVFLLKELKEQTAKIQAL -LHELKS - ->NP_569151.1 unknown [Citrus yellow mosaic virus] -MSRIWEEAIQKWYETSHTANLEYLDLASKPKVSNSEISHNLAVVYDRLNLFSRVSIKNFKSIQETLEKQD -LRIRKLESSLKTLTSEFIAHKPLSKSEVKALVTEIAKQPKLVEAQALQLTESLNQKLDRVETLIAKVERW -VHS - ->NP_041732.1 hypothetical protein CSSVgp1 [Cacao swollen shoot virus] -MSSRWENSIQEWYEKSHTANLEYLDLASTSKVTNNQLAHNLAVTFDRVNLGNRVFIKNLKQIQESILELN -TRVDTIEVALRRLTKTFRESKPLTESEVRKLVEEIAQQPKIVEKQALEISQQLEQKLEKVEKLLHKLDQW -VGQ - diff --git a/seq/clusters_seq/cluster_1160 b/seq/clusters_seq/cluster_1160 deleted file mode 100644 index cbfd319..0000000 --- a/seq/clusters_seq/cluster_1160 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_001686947.1 3C [bovine rhinitis B virus 1] -SGNPPTDMQLVVLKNTQPISLVRDGQIVATCCALGVFGTTYLVPYHLFEESFDTLIIGDRHLKEQDYKLD -TFELRDGKISDVAALTLNKGARVRDITSHFRDEVKVTKNSPVVGCVKNTTVGQLVFNGTAAGFKDHIICS -DGDTLPNMFVYTANTQYGYCGSGILVKDGSHTVIIGIHSAGGNGKGYASCVTRSALLALRGRERPELE - ->YP_009513263.1 3C [Equine rhinitis A virus] -TGVPATDLQQSIMKNVQPIELYCDGNLVTDCSALGVYDNSYLVPLHLFEFDFDTIVLGGRQYKKADCEKV -EFELEINGDMVSSDACLLRVPTGPKVRNIVHLFTNEIELKKMTQITGIMNSPHQARTVFFGSFLTVKKSI -LTSDGTVMPNVLSYAAQTSRGYCGAAIVAGSPARIIGIHSAGTGSVAFCSLVSRDALERTLPQKQ - ->YP_009508918.1 3Cpro [Bovine rhinitis A virus] -SGAPPTDMQQHVLRNVRPISLVCDGKVVSMCCGFGVFGNCYLVPNHMFEETFDTILLGETPLKKKDYEVI -NLETGDGVSDAALLHVFKGPRVKDMTMHFRDEVRIPKGTTVAGCVNSHEFGRLVFTGTALTFKDVIVCSD -GDELPNVFAYKAATQRGYCGSPVLVKNSAHTVVVGIHSAGGNGNGYASCVTRSVLLKVKRMLDPDVHLE - diff --git a/seq/clusters_seq/cluster_1161 b/seq/clusters_seq/cluster_1161 deleted file mode 100644 index 8db432f..0000000 --- a/seq/clusters_seq/cluster_1161 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_001686943.1 2B [bovine rhinitis B virus 1] -SKLIEDFGCLSNSMEEIARHIGDFKVMMKGAGPWYKAFKYLWKVATIVVTITRTKDTVLVGMLLADIGLE -VFDTRVMMDNLVDRFKPYFHVSPPKFDFKTEVLDKVRDFFATDEEEEEFDATNPFKQ - ->YP_009513260.1 2B [Equine rhinitis A virus] -PTIFSKASADLNALSTSLGELTGMLKDLKAKAETYSPFYKMAKMLFKLATLAVTAMRTKDPVVVVMLIAD -FGLEVFDTGFFFSYFQEKLQPYMKTIPGKISELVTDAATAAAQIPKGVYSFVSSFFETPEGVVEKQ - ->YP_009508914.1 2B [Bovine rhinitis A virus] -PGVFSQFADLAASATQDFHNLTEGILELKNTLKGAGPWYKAFKYIWKLATLVVTAFRTQDPVVIAMQLAD -LGIEIFEAEVLVRGLAQKMSEQFQTPPPKFEFKYSELIEKAEQIFEDFDDDEAPEKQ - diff --git a/seq/clusters_seq/cluster_1162 b/seq/clusters_seq/cluster_1162 deleted file mode 100644 index 11fa4f4..0000000 --- a/seq/clusters_seq/cluster_1162 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_001686937.1 Leader [bovine rhinitis B virus 1] -MTTERLLHQLLIHTIHKKINKTNNMALELGFNNTLVTADLDGNEKIIHGLPNRHDNCWLNSLMQMTNWVG -EGFFKNTYDNPDLIPQTIKFLTEYTGTDLSYGGPPSIVLYKIRDLLDTKVGTSKEPGDYIVSCQGTYCLA -DMQAGVFMDGEEHAVFYACTALGWIKVDDESITRCIPDPANVLVFVPWDRETICDYDAEFFKQVYLR - ->YP_009513253.1 Lab [Equine rhinitis A virus] -MMAASKVYRVCEQTLLAGAVRMMDKFLQKKTVFVPQLDKQVRLTGLHNYDNTCWLNALTQLTQILGIRLF -DEHFGNRGLFTRKTIDWVSDQTGIKDLKSGAPPLVVVYKLWQHGHLDVGTMEKPRSITLWSGPKVCLSDF -WACVSAKPGHAVFYLLTSEGWICVDDKKIYPETPKTEDVLVFAPYDFESLGKDPPKLHQRYEKAFERLS - ->YP_009508908.1 Lab [Bovine rhinitis A virus] -MKTTIMEFTKYNGQKVSIHGLLNDNDNCWLNSLAQLANYMDSVFFDSYYNGNSSSMGEILQLQTLTGIQD -LTYGGPPSIVLYKIKDYLDFTVGTPSNPGQVCVTCGCDMTLADMHAGIFLDGDEHAVFYFRSEDGWVCVD -DDRWYFATPDPAHVLVFVPFDDEPMGQDSEIVFETCYAR - diff --git a/seq/clusters_seq/cluster_1163 b/seq/clusters_seq/cluster_1163 deleted file mode 100644 index 67715ca..0000000 --- a/seq/clusters_seq/cluster_1163 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009273010.1 putative p10 protein [Rousettus bat coronavirus] -MGDCNGMWSIFGSTNCNSAKNTAGGNLEATNVLITYGPYLAAIVGLLLLVCLFYCCYLKFKASQAKKTYR -KELISLTTRQIYAPPREISHV - ->YP_009507785.1 membrane fusion protein p10 [Pulau reovirus] -MSGDCAGLVSVFGSVHCQSSKNKAGGDLQATSILTTYWPHLAIGGGIILVIFLLGLFYCCYLKWKTSHIR -RTYHKELVALTRGYVRPISADVTSV - ->YP_007507326.1 P10 [Melaka orthoreovirus] -MSGDCAGLVSVFGSVHCQSSKNKAGGDLQATSILTTYWPHLAVGGGIILVIFLLGLFYCCYLKWKTSHIR -RTYHKELVALTRGYVRPISADVTSV - diff --git a/seq/clusters_seq/cluster_1164 b/seq/clusters_seq/cluster_1164 deleted file mode 100644 index 999b1aa..0000000 --- a/seq/clusters_seq/cluster_1164 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_009825021.1 structural glycoprotein [Yellow head virus] -MQCLRSSTMSSSSSRSQASLSFTGLPGTFLILLLSTLLFGSGAHGFSLQFATPSRSLDAGATRTNSTILS -TCDNTIRTAKESCHLSSLKTQVSCYYDEHIHDISSPGEHIIYTIGFAYLKTDYECKEGYPPANTVQRYFL -AILYLSICSLLAYIFAKLTPIAKSFITSCFQTEHIMETTETEHGQTTVAHDRHRLTPTSCHSILNSTFNL -LVIGLFIFLCFFITPAFAKTKPAIKSPHFLFEAGQPEPSDCVHWAANGDCFCNNTNCDWSEQVQKQCPIS -CNTSSSSSPTTSPPTSKDTVNASNPCIEQDSDGCYDYLSDYNPSKLTQAIKYTRKLTTKKISRSIHTLLT -QEEFESLSIDEARYSDISTLYRITNTSSVPGCVYNPVSYYLHGDAVAVTCPPTPRLLGTTYNHALGTQIL -YNNKMVNVTVDPRCKSHSENCXAYYNKAAKGIFIQFHPIYAQQYHNRTLEASTLITPIYPPRDNTSLATP -LGPRVMRFAGDYQIFLDPGWLGKTYHSGDTYNEIXATTRHDCAYNMMNYGNKDRGSDLGDDVLHETIPTP -HGYVVNPVVCGTTFTYFQTGTAVILPWERVSYTNIEDVPAGFRDPYDFNVETPEGPVTISVLEEYHDADS -IQEMAPKRFFIYYRIMSARLTKTQIAYLNQSIHQTGTWSADHEMTNCVTVRPQFIKTSHPYAFALEYTDY -PISPAPLILCSEYNIKIDVPLATSGSTRRIWAAEYRHLPHFLTKRGFYPLQADDGGAIDYLIVEYNAHAS -RYSHQATYHQFGHPTAKSQTSPGVCPTPRSLRYQGLCYEVDWSVRSPKPPISGYPDIGTHTSGYIFKNYD -FYRFKPKFGNGLYLGKVSAAASIGTYSKCGKAHTISPLHDHGIVTDMGTPVYDSACGSAAYTIPVVKYNG -PYSLGVPDINCNVNNATLTCDTNGTFRFSVCSHKIPYDGPHSVTCTTSIDNKIHLIKQPGYSYYIAGDPG -ALHLSHNKHTPYNTVLKEQMNLLHFSYIYQAIAMLFGSIGYILFGIYIFLFIITTLWANLKYICYRKTTY -LGHTVPERFMAGKASGCKMCGLDTKHLKTHVRHHKIYIHSPMLGRTFFLWSPIYAILLLSLISPASALAS -GQARVRGSPGTFKSTPEIKSTSCSGNQCLLDLEYTGVIPIYDGAQFSVDLNIEGYLPVTTSYIVRDPTYT -SSCAYLYTSLPPKLCDARINWSCLHTGSCQNSSDYLFKPLGTHTSNDYVVANPSNPLQCGLCPHSADCDT -KFAALNHGCATVNDGHSAGAVWISGDIDNDMVSVFECSINNIAFQICDLQSNACTSITTDYRNYTADFET -ISFNIAHPQVAKTTFKVGALFTQGTTYPQHLFYDVPGKYAAPAGSFFSYQAETVPTGAFCNKNAWMSPGM -ASADIKYIGYPSLDYKLNFATIQQAIRSYDPLGAIIQCNYDQAYISTITARQQRSLKLNGVTYSDEVDAL -SYGLLLNPHHCDFGAVNIHFAYAATAHVSIIEGDPDQLSFDCKGCLFTNNQMQCSIRGIDSHSYQITDSL -NTFGASTCSHTKDSHICNFTASSPEFDLRVNGKPITITAVVTECDVGAISDTIVGAAGNDAFGTFTSFAF -GGKTWDYILKYILYGLGSLILLFSLIFLLKLLSHLCTTLRTKTKKS - ->YP_009666327.1 structural glycoprotein [Yellow head virus] -MQCSRSFTMHFSSFRSQPFSSSTGLRGLFLTFLLSTLLSGSGVHGFLLQFATPSHSLDAGATRTNSIILS -TCNNTIRTIKESCHLSSLKSEVSCFYDEHIHDISAPGSHIIYTIGFAYLKTDYECKEGYPPANTVQRYFL -AILYLSICSLLAYIFTKITPIAKSFISSCFQTEYIMETIETEKGQVTVAHDRHKLTPTSCHSILNSTFNL -LVIGCFIFLCFFITPAFATILSGIPEKDKSVLMAPHVLFEAGQPTEPPDCIHWAANGDCFCNSTNCDWSE -HVQTLCPQTCNTSSPTTTSSATQSLPSSTPSSDADNPCVAQDDAGCYSYLNDYDESKRTQAIKYTYTLST -KNTPHMNAILTTEQFEEMSLDEARYSDIVSLYRINNITSVPGCMYNPVSYYLHGDSVPVTCPSTPRSFGT -TYNHQISSQILYNHKMVNVTVDQRCKTHSDNCWAYYNKASKSIFIQFHPSYAQKYHNRILEPTTLIIPFY -PPRDTKTLATHLGPRVFRNAGDYQIFLEPGWLGRTYLDGYSYHEIYASTRHDCRYNMMSGDNKYGINLGD -DVLHETIPTPRGYTPSVVVCGTTFTYYKLHDAVKLPWESVQYTDIEDIPAGFRDPYDFSVDTPSGPVTIS -VLEEYHDGDSIRETAPKRFFIYYRIMTARLTPSQVEHLNLSTHATSSWAAENYISNCYVVRQQFVRNTHP -FSFALSYIDYNVTAGSVVRCNEFNIQMDLLLATFGTATRTWAAEYRHLPHFLTKRGFYPLEPVTGSAIDY -LIVEYNAHASRYSHQATYHQFGHPVAKAQTRPGVCPTPRSIRYQGLCYEVDWSVRSPTPPISGYPDIGTY -TSGYIFRDYDYYRFKPKFGNGLYLGKVSAAASIGTYSKCGKAQSISPYHDHGINTDLGTPVYDSACDSAA -YTIPVVKYNGPYSLGVPDVSCEIHDETLTCGTNSTFRFSICSHKIPYDGPHSVTCINSKDNKVHVVKQPG -YSYYIAGDPGALHISHNKHKPYTSILKDQINLFHFSYLYQAVAMLFGSLGYFIFGLYVTLFILTTLWANI -KYIFYAKTTYLGYTVPQRFMAGKTTGCKMCGLDTKHLKIHARHHKIYIHSPMLGRTFFLWSPIYAILLLS -IISPASALAPRQARVRGSPGTFKQEPVIKSTSCSGTQCKVDLEYTGVIPIYDGAQFTADLNIEGYLPTTT -SYIVRDPTYTSSCAYLYTSLPPKLCDARINWSCLHTGSCKNSSEYLFKPLGQHTSNDYIVANPSNPLQCG -LCPHSADCDTKFAHLNHGCATINDGHAAGAVWISGAVDSDMIAVFECSVSNIAFQICDPVTNECASITTD -YRNYTADFKTISFNIAHPQVAKTTFKVGALFTQGTTHPKHLLYDVPGKYDAPPGSFFSYQAETVPTGAFC -NKNAWMSPGLASTDIKYNGFPTLDYKLNFATINQAIRSYDPLGAIVQCNYDQTYISTITARQQRSLLLNG -VTYTDEVDALSYSLLLNPHHCDFGAVNIHFAYSTTAHVSLIEGDPDQLSFDCTGCLFTNNQMQCSIKGID -SHSYQITDSLNTFGAATCSHTKADHLCNFTASASEFDLKVNGKAVTITPVITQCDVGSISDTIVGAAGND -GYGTFTPFAFGGKTWDYILKYILYGIGTPVFLFALICLLQLLSHLCTSMRTKAKRS - ->YP_001661455.1 polyprotein precursor [Gill-associated virus] -MQCSRSSTMLSFSSHFPASLSFTGLRGIFLIFLLSSALFGSGAHGFALQFATPSRSLDAGAIRTNSTILS -TCNNTIHTVKESCHLSSLESSVNCFNNEHTHDTSAPGSHIIYTVGFAYLKTDYECKEGYPPAHTVQRSFL -TILYLIICSLLAYIFTKLTPIAKSFIASCFLTEHILETTETEKGQLTIAHDRHRLAPTSWYSIFNTSLNF -LLIILFILLCLLITPTFAKEPETLFSSNHTEPYDCMFWAANGDCTCNATNCDWSELVQQLCPHTCNSGTS -PPPPPTTAQNSTSPCSADDSTGCFKYLYDYSESKLTHAIKYVHSIGSISVRSTTITSDQLESLSIDEARY -SEIAKLYRIGNSTSALGCVYNPASYYLHGDAIPITCPPTPRILGTTYRHSIGKQILYNNKMVNVTVDQRC -KSHSENCWAYYNKVSKGIFIQFHPTYAHQYHKKTLKPTTLITPFYPPRDTRTLATHLGPRVIRNEGDYQI -FLEPGGLGRTYFDGYPYNEIYASTRADCEYNTMSNANKVGINLGDDILHETIPTPSGYAVSVVTCGTTFT -YMKLDNLVKFQWEQVQYTDIDDIPAGFRDPYDFSVETPSGPVTISVLEEYHDGDSIQETAPKRFFIYYRV -MTARLTPSQIQYLNESIHQTGLWSAAYPFQNCYVTRPEFAKTTHPYSFALSYSDFSITAGPLVECNDWNI -QMHLPLASSGSVKRTWAGEFRHLPHFLTKRGFYPLEPVTENAIDYLIVEYNAHASRYSHQATYHQFGHPV -AKAQTMPGVCPTPRSLRYKGLCYEVDWSVRSPAPPISGYPDIGTHTSGYIFQRYDYYRFKPKFGNGLYLG -KVSAAASIGTYSKCGKAQSIGPFHDHGIITDMGTPVYDSICDSAAYTIPVVKYNSPYSLGIPGVTCNIND -TTLICGTNSTFRFSICSHKIPYDGPHSVTCIDSVDNKVYLTKEQGHSYYIAGDPGFLHLSHNKHTPYNSI -LKSQFDLLHFSYIYQAIAVLLGSIGYILLALYLLLFILTTIWANIKYIFYTKTTYLGYTIPERFMAGKSG -CKICGLDTKHLKVHARLHKIYIHSPMLGRTFFLWSPIYIMALFALLSPASALAPGQARVRGSPATFKSTP -EIKSTSCSGNQCLLDLEYTGVIPIYDGAQFSVDLNIEGYLPVTTSYVVRDPSYTSSCAYLYTSLPPKLCD -ARINWSCLHTGSCKNSSDYLFNPLGQHTSNDYVVANPSNPLQCGLCPVSADCDTRFADFNHGCATINDGH -AAGAAWISGDINGDMIAAFECSINNIAFQICDLQTNACTSITTDYRNYTADFETISFNIAHPQVAKTTFK -VGALFTQGTTYPKHLFYDVPGKYAAPAGSFFSYQAETVPTGDFCNNNAWMSPGLATADIKYDGYPTLDYK -LNFATIQQAIRSYDPLGAIVQCNYDQAYISTITSRQQRSLKLNGVTYSDEVDALSYGLLLNPHHCDFGAV -NIHFAYAATAHVSIIEGDPDQLKFNCKGCLFTNNQMQCSIQGIDSHSYQITDSLNTFGASTCSHTKDSHL -CNFTASSPEFTLRVNGKPIAITAVVTQCDVGAISDTIVGAAGNDRFGTFTSFSLGGMTWDYILKYILYGI -GTLLLIFSLYFLLRLLIHLCTTMRTKVKKS - diff --git a/seq/clusters_seq/cluster_1165 b/seq/clusters_seq/cluster_1165 deleted file mode 100644 index 8c71459..0000000 --- a/seq/clusters_seq/cluster_1165 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009825020.1 nucleocapsid protein [Yellow head virus] -MNRRPRTATPMPSRRPLPPNRPPRNARLIEIPQSFAVERGNGWTLAYAPGKNPLPGKVIARMQASPFIQG -LQEQSLQVVKSSDGKYTISKRYGKMAITYLNPNDPILPKRTTQKTIVPDPSLDLELLAEGIHAMNTEEEA -EEKS - ->YP_009666326.1 nucleocapsid protein [Yellow head virus] -MNRRTRTATPMPRRRLPPSNRPTRNARLIEIPQSFAVERGNGWTLAYAPGKNPLPGKVIARMQASPFIQG -LQEQSLQVVKSSDGKYSISKRYGKMAITYLNPNDPILPKRSTQKSIVPDPSLDIENLAEGIHAMSLEDDE -PMETQS - ->YP_001661454.1 p20 nucleocapsid protein [Gill-associated virus] -MNRRARPNNPMPVRRPLPPQPPRNARLIEIPQSFAVERGNGWTLAYAPGKNPLPGKVTARMQASPFIQGL -QDQSLQVVKSSDGKYTISKRYGKMAITYLNPNDPILPKRSTQKTIVPDPSLNIDNLAEGIHAMTMDDEEG -DTQP - diff --git a/seq/clusters_seq/cluster_1166 b/seq/clusters_seq/cluster_1166 deleted file mode 100644 index 6786420..0000000 --- a/seq/clusters_seq/cluster_1166 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009824956.1 N protein [Zambian malbrouck virus 1] -MAGKQNRQSRTPQSKPRRPRRRPPQQSQSHQNRKQHEPSFVFAAPDDLRMKLSVPNSKEILRLVCDLFNH -GGGNLSYENGYISYQAAIAPYGQLLRAIQKLS - ->YP_009505580.1 ORF9 protein [Simian hemorrhagic encephalitis virus] -MAGKQDRQPRKPRRNAARNTNSSRKRNPQQTQHRKPANTLPSYVFAAPDDLRMKLSVTNSQLIFRLISDL -YHHGGGNLTYENGYVSFQAAVAPYGGLRNALEKLQGPS - ->YP_009249821.1 N protein [Free State vervet virus] -MAGKQNRQSRKPQSSTSRRPRRRTNNVQHNNMQSRVHPNKPAQPSYVFAAPDDLRMKLSVTHSREILRLI -TDLYNHGGGNLTFENGYISYQAAVAPYGNLHRALQKLSGPS - diff --git a/seq/clusters_seq/cluster_1167 b/seq/clusters_seq/cluster_1167 deleted file mode 100644 index b9e24ce..0000000 --- a/seq/clusters_seq/cluster_1167 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009824953.1 ORF5a protein [Zambian malbrouck virus 1] -MFKELGQLADLWFYHVACIFAILCLAYAAHSVLQWRQQQHLAVLKSRRVHFGVAADTNL - ->YP_009505577.1 ORF7a protein [Simian hemorrhagic encephalitis virus] -MFKELGLLVDLWLYHVVCIFAMLCLCYAAHRVCSSNQQHELAILKSKRVHLGTTETTNL - ->YP_009249818.1 ORF5a protein [Free State vervet virus] -MFTEIGLLVDLWLYHVVCIFAILCLVYAASAVLYQCRFKRLAFQQSLRIHTSTSEDTHI - diff --git a/seq/clusters_seq/cluster_1168 b/seq/clusters_seq/cluster_1168 deleted file mode 100644 index 474c54f..0000000 --- a/seq/clusters_seq/cluster_1168 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009824951.1 GP2b protein [Zambian malbrouck virus 1] -MPSTSCLSPSLTSSFTWLLLFLLSLLAASSASPYAAFSNVSQELAPLTAKAACARISHLCQPNILPSHSF -GITAKSLFLDWFSRHHSRMLGTAQLQVKGIRAVFTYNERCVRLPSTLLKEAALKESIGVKAYASLVCHQF -LRIACDAYQNKTTLWDTTQSLEYSHSLHFCVVCSAIVLSSLILTLIFQCF - ->YP_009505574.1 ORF4b protein [Simian hemorrhagic encephalitis virus] -MPSMNLLFLSLTCLSIWLSLFAPSSSDALLDSHYAAFSNVSQDLQSLQPQAACALISHLCQPNIMPFHSL -GITARDAVMSWFHNQHAKMLGTAVLQVKGIRATFSYQEHCVRLPTTLVKEAALKEPIGVRSHATIVCHQF -AMVACRVYQNKTTQWDTSQAFHYYHSLNFCVVCSAFVLSSPILIFMYKFI - ->YP_009249815.1 GP2b protein [Free State vervet virus] -MPSMSSLCLSLTFLSIWLSLSLLCSSAASSASHYEAFSNVSRELSHLTAKAACARISHLCQPNILPSHSL -GVTAQSAVEQWFHINHARMLGTANLQVKGIRAMYTYSEQCVRLPLTLVKEAALKEPIGVRAYASVVCHQF -IRTACHAYLNITTQWDTTQSFHYSHSLHFCVVCTAIVLSSLILTLLFKCF - diff --git a/seq/clusters_seq/cluster_1169 b/seq/clusters_seq/cluster_1169 deleted file mode 100644 index 978531c..0000000 --- a/seq/clusters_seq/cluster_1169 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_009176997.1 G protein [Santa barbara virus] -MKLFVLAIVCLGLRSRVINCQDKQRYVITNKGWLYDTVDNWYLEPYRPFGPPKTIERQIEEKLNLTKGNI -PNKGSYPSESKMYMYNWPFGPYLWHELTPDSNIGCPKFKSNQGELNNINTKITVKRPSHPDGLFVKGYIC -EGLEWVLTCSETWYWSVSFTKQIKSFTPTLDECQYSVKAYESGEPITPYFPEPLCFWNADHTESIKFTIT -TQHSTLFDPYRGMLDDHTMVVNTCPYNSTYCKLKDVNKIWVRDDNDKYRTHEICKIDTWEEFDANVYFLD -LPDNANSLDANWKNHLLIEGEGIGKKDLSRACRMFFCGIPGIRFPDREWWSIKIDGHNNEVEYQNLDMIP -ECTNNQTIGLSHPHFKDSEEKIEVLDIIKTVRCAETLSKIYASFQISPFDLSALAQEHPGIGLVFSLRKQ -DNGRSPKIYWSYANYKLIEVSLDQNSEFDTSVLGLKQNRTNLFVDSRLNEHQNETMPITTSPKREGSLIG -WYHNQEVWSNEWYAGSVAGLHEVGLNGIVRESLGDSKQCVKNGATLNCSNKPSSITKYKIIFPSAAYKMG -LVTSMITKGIPISNILRPTDMTQKEFDLENPNDIVRETDSINRVDIVNSTKHWWNGITHSFSFGFKSLLA -WVTTVIHISIIVVCILIIVKLYKTCKRNQSQATHATKRTGKMLDTIIGEDHLYDTVKTPSRNEDIRLNLF -NH - ->YP_009176969.1 G [Inhangapi virus] -MSKSIVIFLICFVFITQVSSILDWPFRFPEIGKMIAQNDVLKKGTDGKATKYRLSLIAIPLGTFGRPPYD -IAEPGTPMPVTLPRVTRDVKPLEHLIESQGQYIQTDRSVRYYLNWPDQIQAWSNKFPDDGPICPQFKTSL -KVIDRKLTKMTMSRPMHPEGLFVNGYLCESQVWISRCKETWYFSYDEKREIKYDVPVYEKCLESLRLYQK -GDPIELEHPLMVCYWNAEHVESRVYHVITPHVTTINPYRNEVQDPLLANRTCQLKNSHCSTIRESTIWIR -DSHDPLEGICNLKNWNHAEVDVSEVDSETSIQYRWRKGHHLEGPEFGMKLLESGCQMTFCGIRGVRFTDG -EWWTSLVTDPTRNQTKSNQIYNAISDLKTCSAEESSDIGIAHPNFQDPVRKKEIGNVVKALQCSQTIGKL -LSGEELTPLDLSSLAPDIPGPGIVYKLKWVNDSGYILHWAHATYKLIRYHPRQIHPGNISIGYDHLNKEM -LVNEWENTPVPSIKIGHNGVLKKIVTPGNNFSILVPQMMLQMGEVDNSFVNKIPVEHIKLEQINDEPIID -DDEKNMIKNDEKDVINRENVVDGVGSWLHKIGEVITGWTSGGKSFILMILMLCSGYLIIKLSLFCYLKVK -RRKSIPDGMETKKRSKQNEYVDLKPLKNGSRNNPFSV - ->YP_009094164.1 G protein [Xiburema virus] -MMMQKVIVLAMVSLCASVHRSVHKIGNLVLCPNSPMGICLPGHHPPIPTTKKPSVRNIARLPREILNRPE -MKDIGVVIIDRRLDGSSIHGKVERATIQRKQQNPQEVREEEYDQVEGKVTYMNWPSKSGEWTENFGPTGP -VCPRFSSQFYITHDKLIRAVLTRPEHPEGVFVDGYLCEAQIWSSKCTKTWYFSYQEERSISGAVAEVEKC -FQALELQKAGNPVKPEHPLSVCYWNAEHTESVVYHLITPHTSTVNPYTNKIVDPLFLKGGCSLDSHICQT -SKLSVMWLRTGHDSPSMVCNVDNWKKQQIVLHEVDMETHEKGKWARRTILEGDHLGTKVLESGCRTKFCN -LNGIRFDDSEWWTMDPHEDFQHNPLYGRIRDMISQLPICSEEKSKDIGIAHPNFETSFLKKEMGNMLRAL -RCNDAVGKILEGESITPVDLSALAPTSPGPGIMYKLEETEGGQIVLKWAHANYSLIRVSPIPNTDELGYA -KGGSKIIIKEWTNTTIPGIQVGPNGILKKHLMNGSSVLLAPQSMLQLGELDPSFSRRIDVNHIKWTEDTG -AETLSEEELIPLKNGSIIRHNAMDWIEMWVHEVGDVVSGWSSGIQAVISIFFIFVFVAALYKLTQICIRI -KRRIHPSKKKIQSPDPEADADLIGSKPERDNRNQTVFG - diff --git a/seq/clusters_seq/cluster_117 b/seq/clusters_seq/cluster_117 deleted file mode 100644 index 87f355d..0000000 --- a/seq/clusters_seq/cluster_117 +++ /dev/null @@ -1,516 +0,0 @@ ->YP_009380532.1 capsid protein [Mamastrovirus 2] -MASRQQKRQSPRNTTNIVVRNGSSANQAGPSRAQQARRRRNRTRRQARVNVRVLPNQSQGRRRVLRRQGV -GDRVVFQKINTTLGTVGSNESGEIECELTCLMNPATMKEVTGSNSFGPLGIYASTYTLYKMTRCVVTLKP -IVGDSAVAGTVTRVSWNPTSSPTQTSWSALGARKHVDVTPGKTGRFVLTGKDLVGPKGGWFKTNTKGDPM -MCFAGTLECHTFGKTTSTYRNEPFKGGLFLAELETTWQFKDYGQQPGMLNLIKGEDTHNARIETGEGDKL -QLVLPRNSRMARAATTTPSEIIWVVTDTIIQAGAALIPPPFGWLIRGGWWLVKRAAGAPVRANDNEIRFD -IYASISDARSNTPCILQQRIDTPVQVGGLNFQQVTPGNTGMGTDIPLTRAIDYPLEPTPPGNPTRIYITK -STMLKLGTETEVPSVCAWYNNNGGQNHNNKGVGFVCNGTKVCSFTVHKVEVLTDVGPADVNTFTHKVPFK -IYNNTTANLGNAVASAYVKANESPNLWISSVLVHVEYARREVFSAKWDTTTATYPTADYQVQITTRKGTN -NSNLYINMQPGWYIVQYAVYGRSEGSYMVGQTIIATRGTGEVSTETHTFNPHASHFGTGLFPAYMTGLAL -TPFTTDEVTYSTTLRVGDDTAVSDLESSFGCDDASEFPPPPSEEDFADDVDEFEDPEGPEDEEDEELELG -PDDDYSDPPISRLVVHPDARQVYEQLRAKFPEREARLAANQLIPSGEYAQFTEMYHNALVDGLSPREARA -FALGL - ->YP_009094279.1 capsid protein precursor [Mamastrovirus 3] -MASKSGKDVTVKVENNTGRGRSRSRSRSRSRARNKNVKITINSKPGANGGQRRRGKPQSDKRVRNIVKQQ -LDKSGVTGPKPAIRQRATATLGTIGSNSSGKTELEACILTNPVLVKDNTGNNTFGPIVALGAQYSLWRIR -YLRLKFTPMVGQSAVTGTVVRASLNPTATPSSTGWSGLGARRHIDIVVGKSAIFNLKASDLSGPREGWWL -TNTNDSGDSTLGPSIEIHTLGTTMSAYQSTPFTGGLFLCELQAEWEFSGYAANPALVSLEKNKEDDVDVS -FEGNQGEPLTMLVTESSLFNAVATRRSTFTHGFARAGETKSDTIWQIVDTAVSAAETVVPPPFGWLIRGG -YWFVKKVAGRARMRNGTTASRYICYASYQDALSDKPAICTGAAGGYYNGRDTTKTNLSFTQMNEPSTGIG -ETPVTFKAYRMMPEDTIYLRFKPDTLSVVSNFQPAKRPMLAKTYSGDTLTVGQGNNKTAIHTVVRISDPT -WFSADWDPISTPQPIAEIYCKAGTTTVGDILAAYQVHGLGNHTTTAYVVRMTAGANPQVSAGIVTNKGTN -DYDLKTANSNAGFSWNLGSGTWYLMMSFGDALGSLGTWRWTPNELSANYTIYNCEIIPCLLLANDDFHIV -IPTKNALVPLVARERHLDQGRQVQIQPTEPPASEVEDVGDSETEYESDDEELDHFDLHDSSGSEPEDEDV -ENSRITLMNTLTNQGMDIARAAKISKRAFPTLAEKTRRSVFMDSLISGCGPSSAWSEACRAARQVTFKEP -ISESRGHAE - ->YP_009666026.1 caspsid protein [Astrovirus dogfaeces/Italy/2005] -MGNSCAISSSRPSYLRNTLLRCTSRVVWLPLAGSFVILVYLPDSQKSKCIAYGGEDQKIAMASKPGKDVT -VEVKTSGTKSTSSRSKSRGRNRNVKITVNSQPKTNRRRRNRPNNRGRKRVEAVVKRQLDKAGVTGPRPAI -TQTATSTLGTIGPNTSGAVELELATFMNPCLVKESTASNSFGPIQASAAQYNLWRVTKAQVRLTPMVGPS -AISGTAYRVSLNTAGTPSSTGWSGLGARKHKDVKVGSMSVFTITARDMAGPREGWFVTNTNESGGESVGP -TIELHSLGETQSTYQNQRYTGPVFLVELHCTWQFSNYSANPALAQLEKGEDKDAQIKFEGTAGQPLTMTV -APHSAFARAIETRSAVPYSGAGRAAGESTSDTIWQIASTAVDAASVVVPPPFNWLIKGGWWFVKKLAGRS -RTGEIQLQVFASYEDAQNNRPAICTGPVAGDNPSRLHNVKFVQMNSPSTGMPPESAIGAFSLPMIPNPPA -TIEDRFMLVTGVTQPFQVNPPCPTYTYKDNTRIVVKVGNHYDEVNFIYKATHPHVWLAGSQTNWTTSSKP -DFMDFMEVGIRDTGGNYNKRGGLWGYSQHKLVSSGITNVVTFYVGQLSNQIRITSVKQQRYQITGTGSST -TLTPTGQETTLNVQEINPGPWIVFVAIHQVSGSPTGFVMAENSTRPSIICPAAVQNMLVFNSNTVATNMT -VEYLRTQPVFTQEEQQQVLTLPTIEEEQLPQPIEDGFLPDSEDEDFSDDDSLLDDDDFFPASDQQVFSSR -QVLFRAMVNEGWPEDQAERLAKRALPTLSEKELRDEFLVGLADGFSPRQAAANAREKCSRGHAE - ->YP_009664776.1 capsid protein [Bottlenose dolphin astrovirus 1] -MANGRSKDVSVEVKASGSQRSKSRSRSRSRGRTPAVKVTVNSKAKRFTRRPSRRSFRAKNNSVKQQVRNQ -LKKQGLTGPAPAVVQTATATLGTIGPNTGNDAEREISFYLNPALTKENTGSNAFGPVQALAAQYSMWRCS -RAEIRFTPLIGPSAISGTAYRCSLNMAGTPSQTSWSGLGSRKHKDMHIGKSGSFKLTKKELSGPKETWWL -TNTNEEGGQTLGPAVEIHSIGKTVRVFTSQTGQTYDGPVFLVELRATWEFANFSANPGLVALEKGEDTAR -INFSGNIGEPLVMKVTGSSDFHARMMRVMGDDATYTRTGEIKASEVIVQVVDAGTDIISSTVPGFGWLIK -AGWFFIKKLAGLSRNGDGEYAVYASYADAQNNRPCILPSTVTDVTPKPTTLAWQQMNKPNLGLETGSYAM -SRSMPVPVEGSYKAILQLDNYAQMIHQLQADYPRPALAPYWQSLELWVGKGNDYGGGQHADRITQVYKVN -RGVFLNQFYDQVMQPEPTLGYSIFSNTSHGKIGEVLGFQSYHMPGPTESGAESLGPVAFNVYLGRITLSS -KWSVQYKDTAYFPRASDEWGKSAAVMMKDWYGLGPPKPSYTTDGNGVVKPPRFDPDDMVLLISWVRFSEG -RNTDLPVDKWCNTAMDYTYNVTVSGRKLARDGQVRVPAGVPYWYYQDVQTINGSDPVVQNRESIFEFETE -VPVQRSALLSLKKSAPSRAVKYDEEEEVYYTTLPKQGPPTAPWRMVEDDDHDSDSSYWDNDMSDDDFESS -EEEELQDVDVDVLANTLENSGFTRKEARAYAQAARDAVLKDGPTAKTVKFSDAPQE - ->YP_009480538.1 ORF2 [Sichuan takin astrovirus] -MNLPSMGNSLALRSSCTTVKIILSRITLRSASLPWSTAAPCLKLLMSSWIVFGGEDQRQILMASRKQQNR -RATRNTTNIVVRNGTAANQAGAVGGRRRRRNRGNSKAPQVNVRVLSNQAKGFRRNPRRQGVGNRVVFQKI -NTTLGTVGSNGSEQIECELTCLMNPATMKEATGSNSFGPLGIYASTYSLFRMTRCTVTLKPLVGDSAVSG -TVARVSWNPTSTPTQTSWSALGARKHVDVTPGKTGKFTLTTRDLVGPKGGWYKTNTKGDPMMSFAGTLEV -HTLGRTMSTYRNEQFNGGLFLAELETEWQFKDYSQQPGMLNLIKGEDTQQSHIQTDANGKIQLVVPNNSR -MARAATGAASEIIWLVTDTIIQAGTSVLPPPFSWLIRGGWWLVKRAAGAPVRAGSTTFDVYASISDARAD -MPCISTTTNMEPIQVGGLHFQQVTPGNTGISTETYEARAIAAPTVSGPTQCYVTSSTRLKFGAVEQYVPS -FCAWYTYSVGQNHNNGIGFVANGVQLGTYNIHHVTVSTNAGPIDVDHFQNRVPMYLMYNNTTREEIGFAV -ASQYDHMDNSPSLRVSSVLFYATKTQAYNYQQDWYTTTVQYPVNHSSNPYNAVVKTPTSPVNGTIRLKVE -QGKWYIAQYVVQGVIDTQYVVGNNIIYSRATTRTPTGETYFVPHIDDADSGLLPVYMTGLHLDVFTTTAI -TEGQFLSLDPAEFYDMPSLEGDDDADDEDEEDSTDEELQLGPMDDYCDPPMSRLVVHPEAQKAFEILLTL -YPEREARLAANQLKPSDEYEEFTTLYHNALADGLSPRAARAHALGL - ->YP_009448181.1 capsid protein [Rodent astrovirus] -MARPKGARRNAKTTVTTTTTRGPTKRPGRRTVVRRNRKGNRTSTTTTIVSTRPSNTGARGLRPRPKRPRR -DAPNTITTTVTATLGTVGANQGKQVETELVMMMNPALTKETTGSNQFGPIQMYASTYAQWKVTRVHIKAT -PLVGASAVSGTVARISLNMTGAPTSSSWSALGARVHVDVTPGRTAVLRLTKKNFPGPKEGWYNCNTKGDP -NMSIGGSIEVHTLGKTMSTYQATDFKGDLFLLEMTAVWQFRNYNPQPGLLNMVKGTDEPAAAQQVQIVAT -QGQPITMTVPPTSRLTRAASTASSEIIWQIADTTISQVTDLFPAPFNWLFKGGWWFIKRVAGAPVRAGET -QYRVYASIQDARADVPCIANQTSTVTLTGPDFTFQQITPGNIGVGEDAQVIGYAYEPPAQEGEIVSAGSL -RNIYMGSNTQQNQFPASMLCVSMNEPDLLTDAGHTTNIYWPRNGIGFMPEGRSILRAVTTLDCFRYSGTF -YQAGREIDPAMLPNRIPVYTFWDQGGEHRNERIGYAYAHNTFGVNYAVNERAIITQILWKPDNRYPVVMM -PGVTTVTNPPTANVIAWHYARTITVSNTTTKPSGFPNNNPITPTGAWGAMQFKQYPFNWQSTTVTFSPDT -WYVAVFGVYNGARKLGLGGAVDLWVNSDRASVKLPADNSWLNSLEEVGKHSGADASSCYCSWAPFNNSTV -VIYSDPGREADVAQTLRELTLDDWDPEDDESEPELLISDDDTGHDTDTDNDSEPDCDKPDAGERRYPPTP -EPGPSGYSNPPPLVMEKLTKKGRELYHQLSENGVPGDVCRKAAQESAPHPAYVAWRSAYNDALVDGLCPR -TARSLAWKEACDAMGSRGHAE - ->YP_009422197.1 structural polyprotein [Bastrovirus 7] -MAPTPKSQAAPKRTRNAQKPRIQLKPKPRPQKRNPQAKTVQSLARRVQRAERAATGPAPAVKHTVTATLG -TIGPNTSSGTELEFALPLHPGLVKETAAVNSFGPLQSAVGQYSMWRLVGFHVHLTPMVGSSAISGTVYRV -SLNLSGQPTSTAWSGLGARQHRDATPGSHLRWAVSDAILAGPKATWWYSNTNAPGVSALGPVLELHSIGA -TQSAYQAKPFEGPVFLLEIRGTWEFVNYAAAPALSLLSSGKGTGSLSGQAGQPLTVKVAEPHLQRMVALQ -AGNAPSGVGEVIYMLVDTAVTALADTAAPPWSWMIRAGWWFARALVGGSNGDGTLQLYSSFEDAANNRPC -ILSGDAHGELGSLQITQLTTPSSGLNAIGDGIVGMPSPGTTPIQPGASFTLPVSLSPLPQHVSVPGGYWV -NGHSGTEASQLRGFITAPLGGANVAHFEAAFMVTGSEGPGFGFEGVAQVIEVMGVGYPMLILATGQIHCV -QGTSNTYLLWWLVRSLGTANQDNATDYYVSQPSFTLTTNEMVQCNWTQAHLTMPPTQEGMWLLMGFRGGP -PSTLQVKGHTLPFHPGTEVQFVPSAYDWFRWGPTTMVLSGTALGDGVYGSALHQRLAMLTLTEPLTQRVE -LIHNTATGPERSDSCQEDVFEPALGDPAHADHAGSHPGSH - ->YP_009380534.1 capsid protein [California sea lion astrovirus 2] -MKNTLSRVMWNSVLLELASNSVTLVFRPVSLRSSFVAFGGEDQKRAMASASGKNVTVEVKNTGSRSKSRG -RSQSRGRSKNVKITVNSKPNRKQRRTGPRGGSSKRVARLVKQHLDKSGATGPKPAIAQKATATLGVVGAN -TSGNTELEMCLMTNPCLVKDNTGNNAFGPVQALGAQYTMWRIKNLTVKLTPLVGSSAIVGTVVRMSLNST -STPSSTSWSGLGARLHADAVVGRSATFRLKPRDLAGPREGWWLTNTNDTGATTLGPAIEIHTLGKTMSAY -KGGMFDGGLFLCELVAVWEFANYAANPSLASLTKGKSDDAQIEFTAKEKGKPIVMQAPKISTFAHAVSLA -STEPSSLGRAGEPSVSDTIFQVVNTGFEGVAPVAPPPYGWLIKGGWWFIKKVFGLGRSVEHEYYYVYASY -DDALNNKPCIANQAQSPPAGRTLSEIPKANLIYTQVNAPSTGWNETGNVGPRSIVPPPPTPHFQNQDEAY -FMSNISFLATNGSGVPKAMYQAATILRIKMDISGVVKNAPLCFFRKLSAPHAFFTTHPDSTLSTFVGTNL -PPAIPGFEFQNTSNQKFGNVHFYSTQVVRRDTDYYKYDFYVINITARTNLTTLGDKFDMAETSGYAAIQF -NNTESPAGLTLEQSNWYLVGNIMKTNAAQEAKMFPLFQVNEHLGPITHTKKVPAIDQALTWVSNSTILLG -RVGPPTTIAGAFWPDARVEEEEEPAVFNDPFGTGPEPDLSEPSDEEDVGDDDIEAGVESDTDSLTDVTDT -DEETEYESDAGDDETVASRRLLLMNTMINQGIPEEQAARAAVRAFPTAAQQVEKNTFLVALADGFSPRQA -RADAKKAAADFSSSRGHAE - ->YP_009345904.1 capsid protein [Qinghai Himalayan marmot astrovirus 2] -MANGQSPKTTKMQPVPQGTGNRRRRRNPKNKTKNKKPQLQRTTNVKRAARAAAKREIHRLGLSGPKVAVS -QTVTATLGTIGANQGNKVELELAALLNPALVKETSGSNAFGPIQALAAQFGLWRCTQAHLKFTPLVGASA -ISGTAIRASLNLTATPGSTSWSGLGARVHKDVNVGSSGVFHLARKQLAGPRESWWLTNTNDDKTQSLGPA -IEVHSLGKTTSTYQNSDYTGSLFLVELRATWEFANYLTQPSLASLAKTEAPAASVTINAAEPGQPITMTV -AEGSAADALARIAPLPSTAARAGGTVGEVIVQVVDASVSVAAGALPPPFGWLIAGGWWFVKRVAGLGRAG -EVVFQIYASAEDAAANRPCIADGTSSAVVLPGVVAFNQINTPNTGVSGTPATSARGLTHTPQPGLPFVVD -AAITSQQMSVGTVPGTLNALVVSESGSWGNFIQFGSDTNYRVAVNGMWTLPDIHAWDVHGDVVVPPGFGA -STATLWLNDRQTGATLVGISTNSDTNATETAVENYFLWKIGSYSAATTSNQQFQSYILAPTSEGSNPPVL -YRIYGDRGAMKYPAYQGGFLLTMFYGCQGPTYVTTTGSTSLQVSMPNRTLPVNSHYSLRYGPAGNGTPRR -LSLEMVYPGTGPWSLAPSSTVVRSMDLDTVDYGASVSVDTSSDTDYDTDTGSDTSDSEPEDFVDWAFQMS -AKYTIGDDSSAPLREKIGKLLVEEGVPADAAQRRAMLAAPTPAFQDFLHAHQEVLSDGFGSRRVIHSDLS -DRAVDLGLSYLSSRGHAE - ->YP_009275018.1 capsid protein [Mamastrovirus 1] -MASKSNKQVTVEVSNNGRNRSKSRARSQSRGRDRAVKITVNSKNRTRRQPGRGKHQSSQRVRNIVNKQLR -KQGVTGPKPAICQRATATLGTVGSNTSGTTEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLKYL -NVKLTSMVGASAVNGTVLRVSLNPTSTPSSTSWSGLGARKHLDVTVGKNATFKLKPSAIGGDQLLLQGGH -HPDLGLSFYTNLFKQLKELYPTLRLLSLGPPEIAHVAKLEGMSHLEVWTAIQEAGLDSLPGAGAEILNDR -VRILISMGKCGGQEWLDVMRAAHKINLPTSATMMFGHMGNNRRTFRALGMASRSTKRKTSRCLWIHRLYP -LAFPRGWWFVKLIAGRTRTGTRSFYVYPSYQDALSNKPALCTGSTPGGMRTRNPVTTTLQFTQMNQPSLG -HGEAPAAFGRSIPTPGEEFKVILTFGAPMSPNANNKQNWVNKPLDAPSGHYNVKIAKDVDHYLTMQGFTS -IASVDWYTTDFQPSEAPAPIQGLQVLVNSSKKADVYAVKQFVTAQTNNKHQVTTLFLVKVTTGFQVNNYL -SYFHRASPSGDATTNLLVRGDTYTAGISFTQGGWYLLTNTSIVDGALPPGWIWNNVELKTNTAYHMDKGL -IHLIMPLPESTQMCYEMLTSIPRSRAAGYGYDSDNTEYLDAPDFADQLREDIETDTDIESTEDEDDEADR -FDIIDTSDEEDENETDRVTLLSTLVNQGMTMTRATRIARRAFPTLTDKIKRGVYMDLLVSGASPNSAWSH -ACEEARKAAGEINPCTSGSRDHAE - ->YP_009162619.1 capsid protein [Dromedary astrovirus] -MANRQQKRQPRTTTNIVVRNGTTANQAGSSQAKQARRRRSGRKPQVNVRVLSNQSKVIRKFPRRQGIGNR -VVFQKINSTLGTVGSNGSEQIECELTCLMNPATMKEATGSNSFSPLGIYSSTYTLFKMTRCTLVLKPLVG -PSAVSGTVTRVSWNPTSTPTQTSWSALGARKHVDVTPGKTGRFTLTAKDLVGPKGGWFKTNTKGDPMMSF -AGTLEIHTLGKTMSTYQNTPFSGGLFLAELETQWQFKDYGQQPGMLNLIKGEDTQNATITTDGNGKLQLV -VPNTTRMARAADGTTSEIIWVVTDTVINLGASVFPPPFSWLIRGGWWIVKRAAGAPVRSGETTFDIYASI -SDARADMPCISTQANAEPITVGGLHFQQLTPGNTGIGIDQQSVRVLEPQPQGPTQCYVVAASMLKMGQST -AHTDNTLIPSYCAWFTPTTTQNNNTGIGFSVGNTVVATYNIRKVVATTDVGGIQTSLFANRVPIKLYFPD -GSRPVGYAVAAYHAYNQQASYRISTVLFYAENTESYNFEQNWLETRVSYPVRSYQASISTSTPTLRVIRM -RVEAGNWYVAQYAVHGVIEGQYTVGDQVIAFRANGSAQVDPTDFTPSASDAINGMITSYATELRVFTSQV -VSYDNGAREVSDLDPSFGCDDVFEDPIGDTGDDTDDEFYGDDADLELEPGEHYSDPPISRLVVHPEVQQV -FENLKEKYTEREARLAANQLKPSDEYTEFISVYHDALADGLSPRDARAHALGL - ->YP_009154707.1 capsid protein [Astrovirus Er/SZAL6/HUN/2011] -MLPKTIPLGSTWSTAWPPLPTTSAVISQRDSLMSSYGYSGRVDQEMAGVMARQKKAKQPAPGQKNKARNT -KRRQKNKAKKQTIKLQIQPRSRGRRTGRGRGSTRRGPRAAVTQQVTATLGTVGVNSGNKVELEMSALINP -ALIKEVTGSNQFGPIQIYAATYSQWRLKHLKLTLTPLVGASAVSGTVVRASLNMSATPSSSSWSALGARK -HIDTTPGRPATFVLQARDIPGPKEGWFNTLTKGDPLSYLGGALEIHTYGKTMSTYQAKEFEGQLFLVEMT -ATWEFANFSPSPGMLNLVKGDSNGDTGNITIKAQAGEPIELEVPSTTRFARASGGDGSASEIIWQVVDTA -VDVATSAFPPPFNWLFSGGWWFIKKVAGASTRAGVDTFRVYSSIQDANTSTPCIASVTQDTTIPVSGFNY -IQVTPGNTGLAEASGQVGRAVEPLDPDQILWPNEYQVIAGLSSSIGPLLFNYVRSPQITNFQGFCLTSNY -TRPDATNTVPLSFAYKVTPLDPAHSGVYQMTATGYVDSTPTSDGVPLYCMTRDSSGTAAMQVVGQVYAAQ -SITLTPTSATRQVQIQIFLAQLTRTGRYRIDLGSVEGHPATMNIVASGNRFVNQIQTGNVSSITIQFNAD -YNQGEWYVLMNYNVSPSNVFTIGDFGVARSTEATQTNGYWNVTALQQFCGLSPSPAAPLKFQQFRNTTIT -RAAAEPPNLQQEDEEDYAELVEACRLALEEAGLEEVTDTDTDTDSDTDIYTSEDEDLGGTEESDDEPQVP -PPLSQTMRSSCGEFEPDPDDPHPEWMQRNSQRVKWKTIKEEIPASAGGLEPCSGCGLVGAHPACPPKSRG -ESYSTPPLLIMTKLSAEGRKVRKQLRDSGFDDYEAVRMAQAVKPHPSLTAFQDVYKESLYGGFSPATARE -IAWCSAVGALNLQ - ->YP_009130649.1 capsid precursor [Canine astrovirus] -MGNSCAISSSRPSYLRNTLLRCTSRVVWLPLAGSFVILAYLPDSQKSKCIAYGGEDQKIAMASKPGKDVT -VEVKTSGTKSTSSRSKSRGRNRNVKITVNSQPKTNRRRRNRPNNRGRKRVEAVVKRQLDKAGVTGPRPAI -TQTATSTLGTIGPNTSGAVELELATFMNPCLVKESTASNSFGPIQASAAQYNLWRVTKAQVRLTPMVGPS -AISGTAYRVSLNTAGTPSSTGWSGLGARKHKDVKVGSMSVFTITARDMAGPREGWFVTNTNESGGESVGP -TIELHSLGETQSTYQNQRYTGPVFLVELHCTWQFSNYSANPALAQLEKGEDKDAQIKFEGTAGQPLTMTV -APHSAFARAIETRSAVPYSGAGRAAGDSTSDTIWQIASTAVDAASVVVPPPFNWLIKGGWWFVKKIAGRS -RTGEIQLQVFASYEDAQNNRPAICTGPVASDNPSRLHNVKFVQMNSPSTGMPPESAIGAFSLPMVPNPPA -TIEDRFMLVTGVTQPFQVNPPCPTYTYKDNTRIVVKVGNHYDEVNFIYKATHPHVWLAGSQTSWTTSSKP -DFMDFMEVGIRDTGGNYNKRGGLWGYSQHKLVSSGITNVVTFYVGQLSNQIRITSVKQQRYQLTGTGSST -TLSPTGQETTLNVQEINPGPWIVFVAIHQVSGSPTGFVMAENATRPSIICPAAVQNMLVFNSNTVATNMT -VEYLRTQPVFTQEEQQQTFALPTIEEEHLPQPIEDGFLPDSEDEDFSDDDSLLDDDVFFPASDQQVFSSR -QVLFRAMVNEGWPEDQAERLAKRALPTLSEKELRDEFLVGLADGFSPRQAAANAREKCSRGHAE - ->YP_009094054.1 capsid [Rabbit astrovirus TN/2208/2010] -MANKPAPVPSRGQRARRRRARAAQSQQTAQKRARRRRNPPRQRRHQRRTRAVARSEVKREVHRLGLTGPK -VAVSQTVTATLGTIGANSSDRVELEMASMLNPALVKETNGSNAFGPIQALAAQFGLWRCVQAHIRFTPLV -GSSAVSGTAVRASLNLTSTPGSTSWSGLGARVHRDVNVGQSGIFKLARKQLAGPRESWWLTNTNDDKTQS -LGPTIEIHSLGQTVSTYQNTPYAGSLFLVELRATWEFANYLTQPSLATLSKQEAPASSVTIDAATAGEPI -TMTVTDASTVEALSLLSDMPYSSLSRATGTSVSSTIFQVVDASVSTAASLLPPPFGWLLAGGWWFVKRVI -TSSTRANTVQFQIYASAEDAAADRPCIATGTSTQQSLSGVVQFNQINAPNTGTSTVSVVQGRVANPELQP -GDPFGLLAFGLTPVIYLSNTFNKNLNGWIQNHVNAVVGLWIQDSQGNYSGRVNQAWVFDTAVFTGHGFVA -PDPPPNQSFSVVSRVNSTSSSVVTRDFAGYFQAGSEIGSGTQTALFMLWLMPSQSQSIGTATNTYNLVAP -TPGAASTGYTSGITTLTSSNQVGVHIPSNTSYLLTVCIGDNISTGIYQNGSQTMTFPNPFAVVVNYAVDV -CGCGPLGYGAPVYTGLPMNAPYAGEWQRASGARVDLRTVRFRDDPDGEEVEPADYWSGDEEPDFLTSESE -EEGDSGYTTGNSEEEDWKAALDGDYIRRDQLPPWLAHLWDWLFEHDTPDSVHPPSDEVDWAFQLTLRMST -SKHFEFKHPYDDYQSESRARVQLALGAQFDPETAAHRAMRACPHPAYSAIVSRFDYNRAERGMSNLEAKK -EAAAYALLRFSR - ->YP_009041464.1 capsid protein precursor [Bovine astrovirus] -MSSWIVFGGEDQRQILMASRKQQNRRATRNTTNIVVRNGAAANQAGAVGGQRRRRNKRNNRAPQVNVRVL -SNRAKGFRRGPRRQGVGNRVVFQKINTTLGTVGSNGSEQIECELTCLMNPATMKEATGSNSFGPLGIYAS -TYSLFRMTRCTVTLKPLVGDSAVSGTVARVSWNPTSTPTQTSWSALGARKHVDVTPGKTGKFTLTTRDLV -GPKGGWYKTNTKGDPMMSFAGTLEVHTLGRTMSTYRNEQFNGGLFLAELETEWQFKDYSQQPGMLNLIKG -EDTQQSHIQTDANGKIQLVVPNNSRMARAATGAASEIIWLVTDTIIQAGTSVLPPPFSWLIRGGWWLVKR -AAGAPVRAGSTTFDVYASISDARADMPCISTATNMDPIQVGGLHFQQVTPGNTGISTETYEARAIAAPTV -SGPTQCYVTSSTRLKFGMVEQYVPSFCAWYTYSAGQNHNNGIGFVVNGAQLGTYNIHHVTVSTNAGPIDV -DHFQNRVPMYLMYNNTTREEIGFAVASQYDHMDNSPSLRVSSVLFYATKSQAYNYQQDWYTTTVQYPVNH -SSNPYNAVVKTPTSPVNGTIRLKVEQGKWYVAQYVTQGVIDTQYVVGSNIIYSRATTGTPTGETYFVPHI -DDADSGLLPVYMTGLHLDVFTTTAVTEGQFLNLDPAEFYDMPPLEGDDDADDEDGGDSTDEELQLGPMDD -YCDPPMSRLVVHPEAQKAFEILLTLYPEREARLAANQLKPSVEYEEFTTSYHNALADGLSPRAARAHALG -L - ->YP_009010983.1 ORF2 [Porcine astrovirus 4] -MANTKNNVQPQVVTTTTTVSNRRGRRRRRRANRIPPATTTTVRRTKITKPPARPRRRRNNRASNFNQQGS -SIFKQKITATLGTVGSNQGDKIELEMAALLNPALTKDSTGANGYGPLQMYAANYNMWRVDNILIKLTPLV -GASAVSGTAVRVSLNNAATPGSPSWSALGARKHKDTSPGKPMTMRIPGSALAGPKDGWFLTNTKSEAHMC -IGGSIEIHTLGQTMSTYQAKVFTGPLFLCEMTAVWMFKNYNPTPGMLNLVKTDALEQPEQIKIHSKPGEP -ILISVPAASSFARVAGGINVAADAPPSEIIWQICDTTVDLLKGALPAPFAWLFQAGWWFVKRIANKKKTG -ESIPGEPDPNEVTFQVFQSISDAQNNIPCIATSEAASTNVNITGLEITQITPGNLGQPQESLFSLRRTPD -VTLDQIEITSHPLLGQPAYNGHIFTQVPNSCFVAQRAVGTPKSKVYSYIAWHLNNPVFTQNGNEINPAQI -SSNPYPILHKDGSNFREIGTVFAAGYASMGQTPDYNWTTILWRSNITEEVKIRGQTGTTDRFVFVQPTQS -QNTSSPPTTVYNVTLTNQISMPERRVPLVAGEWYLSSFVTYRGAVEFTNYGVPFYHSLQNPATATSQYDI -GRPVFEVGAAMQTSMPLILQPPSSQTAPALTSSELTQLRLLLAPRAEYPPSEYDNVDMAPMEGXEEEPQG -AVGDTESPLDTRNWVEFGYRKRPPTPFSPTGEEEEDEEESDLDDDDYAEPPVVIKNLLTPEAKNLYGHLR -QKGLSHEQATNAAQAAFPHIALEAWDAAYHNAMADGLSPPTARDCAWKAVSDFLS - ->YP_009010980.1 ORF2 [Porcine astrovirus 2] -MANRQQKRGPRTTTNIVVRNGTAAPQARASGSTAGSRRRRNRARRQPQVNVRVLPPQIKGRRRFPRRPGV -GGRVVFQKINTTLGTVGSNGSEQIECELTCLMNPATMKEATGSNSFGPLGIYASTYSLFKMTRCVVTLKP -LVGDSAVSGTVCRVSWNPTSSPSQTSWSSLGARKHVDVTPGKVGKFILTTKDLVGPKGGWYKTNTKGDPM -MSFAGTLEVHTLGKTMSTYQNNAFTGGLFLAELETEWQFKDYAQQPGMLNLVKGEDTKNARVESNGDGKL -QLILPNNSRMARATSNASSEIIWLVTDTVINVGSQFLGPFGWLLRGGWWLVKRAAGAPVRSGETTFDIYA -SISDARSNTPCLTDQEVNVNVGGLHFQQVTPGNTGIDTGIPAARYIMEDLPGGPTQVYITRATMLKIGTT -TEVPAACLWYNNNGGQNHTKGVGFKVGSTKVGTFNIYKVGVATNAGAIDPSLFTHRVPFYLFSEQDWLLG -DAVASSYQHLQDSPNLWVSSVLVHVTNGRDHDFQGNWNTTTVTYPTTSGDAQISTPDAVNSTQIRVRTTQ -GQWLVAQFVVQGVIDGQYTVGENVVASRGTTVVPKGNRTFTPRLNDATFGLLPVYMSGLHMTPFTSNDIA -FSQSRMTLDLNPSFGCDDACEEPYFSQEEEEEDQSDEDEGLELGPDDHYSDPPISRLVVRPEAQLMYEEL -SARFSEREARLAVNQLYPSDEYTEFTEVYHDALADGLSPRAARAQALGL - ->YP_009010970.1 ORF2 [Porcine astrovirus 5] -MTHRIHHCVAMCAVVLISYGLVLALICQSFQIGSCRIFGGEDQKETMANRRNRRPPQRRRMRGPRPAAET -STVTTTVSTNGQTKPTTKTTTVKTTKTAPRQQNTRQVRNFVRRELQKRTDGPKAAITQTATLTLGTLGTN -GSGNLEIEGVAHLNPLLIKEKTAAAGAGPIQALASQYAMWRCLSLRVILVPTVGASAVSGTVARTSLNQP -GAPAQTTWSGLGARKHVDATPGRRVEFRLGRRDLQGPRDGWWYTDTSNGVQNSAGPTIGIHSYGKTVSTF -QATAWSGELWLVELRATWQFTNWNMNPRLLSLVDTTIPEGKIKLSSSAAGAPVVLSVEKAANAPLETQHA -PNPHSAGTTSVSEVIWRAADVGIKTVAAELPPPLSWLIGGGWWFMKRVFNAPVGAGVNSAEVDGYYIYAT -AVDAELDNPVKSSAALAAVDVKGNIDIQQMTLPDTVQVAQASGGTVYTNTFTSGTVAIQPASSVFGPYTG -GLLHQIALIGDRGSYVPALALPSDNSETLYAHLLHILTIPANRLTFLMGTQAARPPAGVDVGLCYKTKNP -SPTVSDVTSAKLLAATPPLNTSGAAKMQLYLFQVDTTSSASFELTLRELNRTNGSQYADVSRFQTRTNQH -DYVVGTYYLGIACGNQSSSFGRPVVINEPDTNQVPISLLNYIPMGAGYTLCPPMEAFGYTASLEVPNTYD -LDFPFMPSDYVPSFAFNEDQRLFYEKLTPVIGRHLAALATHLCVEGEMREYLDSYHDGLVDGLSPPSASA -AALGSYSSDSE - ->YP_009010954.1 capsid protein precursor [Bovine astrovirus B170/HK] -MSSWIVFGGEDQRQFLMASRSQNRRAARTTTNIVVRNGPAATQGGGGQSRQQQQQRRRRRRTRPQAQIVR -VLPNKTQGRRRGARLPRFNNRVVVQKIVTTLGTVGSNGSGSVETEMAVLLNPSTMKEATGSNSYGPLQVY -ASTYTLFSMRSLRLHLKPLVGSSAVSGTAVRMSWNPTSSPTQTSWSALGARKHSDATPGKDGRFTLTARD -LRGPKDGWYKTNTKGDPMLSFAGSLEIHTLGETRSTYQNGRFEGGLFLAELETVWAFKDYSQQPGLMNLI -KGESTGDATITTDNTGKLILTTPGTSALARAASTTTASEIIWMVTDAIIQAGASAFPQPFGWLIRGGWWF -LKRVAGAPVRAGSEQFEIYASINDARASVPCIANTANAQPINIGQLHFQQVTPGNTGISTDIPQVRDLGD -PFYAMDQVFTPTSSRRLKFNTRDQYVPGFDVWYHIQDGTQNPQTGVAFVADGVIRASFNIIEVFFDTPPS -VDLFRHKIPIYIRVNGNGNGVLSGVAVAHTTSTLQNNTTWRVDTFLVHATRSEGASFTQNWKGSQMKYPV -DDTYNAQFITPTNSASGFVRAQFEQGGWYAVQYTCYSTSAYPIARHFVCGGEVVGVEPAQPIHSGTQTFP -VMGFDGDSSVVPVYGAGFAFKPFRDGEINVANGPVPQTAYTTLPAGEAEGYDDDPADEDDDFADPEDEDD -GLTDRDLELHPSDGYDVPPLSRCVVHLDVRDVYESLLKTHPERDARLAVNQLKPSDEYSKFTRLYHDALV -DGLSPRSARAYAMGL - ->YP_009010951.1 capsid protein precursor [Bovine astrovirus B18/HK] -MSSWIIFGGEDQRQILMASRKQQNRRATRNTTNIVVRNGAAANQAGAAGGQRRRRSRRNNKAPQVNVRVL -SNQAKVFRKNTRRQGVGNRVVFQKINTTLGTVGSNGSEQIECELTCLMNPATMKEATGSNSFGPLGIYAS -TYSLFRMTRCTVTLKPLVGDSAVSGTVARVSWNPTSTPTQTSWSALGARKHVDVTPGKTGRFTLTTRDLV -GPKGGWYKTNTKGDPMMSFAGTLEVHTLGRTMSTYRNEQFNGGLFLAELETEWQFKDYSQQPGMLNLIKG -EDTQQSHIQTDANGKIQLVVPNSSRMARAATGAASEIIWLVTDTIIQAGTSVLPPPFSWLIRGGWWLVKR -AAGAPVRAGQTTFDVYASISDARADMPCISTTTNMNPIQVGGLHFQQVTPGNTGISTEAYEARITQQEVT -TNTIAVIKALQYVYPASGDTMMPAQSRWFNATAATTQISTNGLGFLNGNTRWGTHNVMEVEVNISGGYQQ -FPNRIPVYLFYNNQQALAGYAVGHQSDLHQENPSLRVSSVLFQATSSHAYNFNASWQRATVHYPRSTTNT -TEAAVVVEAGGANANLRNRVVAGRWYVLQFVSIGIVDKALVAGSAELAYTATGSWQAGTTNFTPSVNDAA -TGLIPTYATGLHFRALDSNTLSESTNYAAPRLELDPDEYYDMPPLEGDGDADDEDEEDGTDADLELGPMD -DYDDPPMSRLVVHPDVQKTYEILLELHPEREARLAANRLKPSDEYSEFTRLYHDALVDGLSPRAARAHAL -GL - ->YP_009010948.1 capsid protein precursor [Bovine astrovirus B76-2/HK] -MSSWIVFGGEDQRQILMASRKQQNRRATRNTTNIVVRNGAAANQAGAVGGQRRRRNRRNNKAPQVNVRVL -SNQAKGFRRNPRRQGVGNRVVFQKINTTLGTVGSNGSEQIECELTCLMNPATMKEATGSNSFGPLGIYAS -TYSLFRMTRCTVTLKPLVGDSAVSGTVARVSWNPTSTPTQTSWSALGARKHVDVTPGKTGKFTLTTRDLV -GPKGGWYKTNTKGDPMMSFAGTLEVHTLGRTMSTYRNEQFNGGLFLAELETEWQFKDYSQQPGMLNLIKG -EDTQQSHIQTDANGKIQLVVPNNSRMARAATGAASEIIWLVTDTIIQAGTSVLPPPFSWLIRGGWWLVKR -AAGAPVRAGQTTFDVYASISDARADMPCISTTTNMNPIQVGGLHFQQVTPGNTGISTETYETRAIQQDTN -TTTIAVTKALQYVYPPSGDAMMPAQAQWFNATAATTQISSNGFGFLVGSNRWGTHNLLEVEVNIDGGYQQ -FPNRIPVYFFYNNQQTLAGYAVGNQRDLHQENPSLRVSSVLFQATSSHAYNFSATWQRAVVHYPKSTAIT -TQASAVVETGSGNTNLRNRVVAGRWYVLQFVNIGVVNKALVAGSAELAYTATGAWPTGNTDFTPTVDDAS -TGLIPTYASGLHFNTFESTTLAESTSYAAPRLELDESEYYDMPPLEEDEDADDEGEEDTTDADLELGPMD -DYDDPPMSRLVVHPDVQKTFEILLELHPEREARLAANRLKPSDEYSEFTRLYHDALVDGLSPRAARAHAL -GL - ->YP_009010945.1 capsid protein precursor [Bovine astrovirus B76/HK] -MSSWIVFGGEDQRQILMASRSQNRRAARTTTSIVVRNGPAATQGGGGQPRQQQQQRRRRRRARQTTQMVR -VLPVKNQSRRRGFRPSGVGNRVVYQKIVTTLGTVGSNGSGNLETELAVLLNPSTMKEATGSNTYGPLQIY -ASTYSLFQMRSLKLHLKPLVGASAVSGTAVRMSWNPTNNPTQTSWSALGARKHSDTTPGKDGFFRLTNRD -LRGPKDGWFKTNTKGDPMMSFAGSLEIHTLGETRSTYQNGQYTGGLFLAELETNWAFKDYAQQPGFLNLV -KGDSEAGAQITTDASGKILMQVPQNTRLARAASTTTAAEIIWMVTDAIIQAGTAAFPQPFQWLFRGGWWF -IKKIAGAPVRSGYDTFEVYSSITDARSGAACVADQVAQTPVSVGSLHYQQITPGNTGITTGIQRAVEAPE -QPLVVTNSWRLPFNSDVERPGFDHWYHNSDGGQNPETGACVMADGRVRATFSVLRVQLFGSPDPDRFENK -VPVYLRVSSSSSPLVGFAVAYHRAALTGTTNQFQVDTLLVYATNTDGANFERRWKGTRVQYPGTDGNLHI -DTPVDGTQGRVRIQFRSGSWYILQFVTYGRPTAVLTAAGIEIINITTGPFTSGNHTLPVAGTEDPGIVPV -YGAGMVFTPLPSGELNYRELPSPQVLDEDVYHDMPPLEDGDSDDDEDDPALEMEPGDDYDVPPLSRCVVH -PSVQGTYELLLGTHPERDARLAVNQLHPSDEYKEFTTLYHDALVDGLSPKEARAFALGL - ->YP_008519303.1 capsid protein precursor [Feline astrovirus 2] -MASKSGKEVTVEVNNTNGRSRSKSRPRSQSRGRGKAVKITVNSRSSSKGRQNGRGKRQSAQRVRNIVNKQ -LRKQGVTGPKPAICQKATATLGTVGSNTSGTTEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLK -YLNVKLTSMVGASAVNGTVVRVSLNPTSTPSSTSWSGLGARKHLDVTVGKNAVFKLRPADLGGPRDGWWL -TNTNDNASDTLGPSIEIHTLGQTMSSYKNEQFTGGLFLVELASEWCFTGYAANPNLVNLEKSTDKNVTVT -FEGSAGTPLIMNVPDASHFARTAVARSAQPTTLARAGERTTSDTVWQVLNTAVSAAELVTPPPFNWLVKG -GWWFVKLIAGRTRTGHQSFYVYPSYQDALSNKPAICTGGLPSSARLRTATPTTLQFTQMNQPSLGHGETP -TTFGVAIPEPDTIWRLVFDPTNVGPIRPNHGVYLTGNYTSDTLRIGPSIAGNNHSLVHMIVRVENPKLFN -RKWEPLPTPEPMPNLRLYGGTTHIGDILLKSQVQGPSGAATQFTATAYVVVMRNTTTPRTSNGWHTTKAA -QYSYMQVAQSTDAAEWRLFQGVWYIMLSFGNGASNTWYWSHSPITSSMKIYTTVFSQFLNPVPRPYTTML -ELDDIAIPALHDGDGGEVDELDARDLVHEYELSCRHAGWTEEEHCGTFDAAEPPPFEGEDVSDEETATET -ESDEDEDDEVDRFDLHDSSGSEPEDDDVENDRVTLLNTLINQGIEITRAAKISKRAYPTLAEKVRRGVYM -DLLTTGVTPSAAWAEACKQARKASRRVNRNSLPAPTSESRGHAE - ->YP_006905854.1 putative capsid protein [Astrovirus MLB3] -MANANKGVTINVGNVKRKQRAPGNQRAKPPGPSPKRTFKLRKRWHFPNRNRRRRANLNTTGPKPAVSQTI -TATLGTVGSNLSDVVETECAVFLNPVVAKDSGASATFGPLQSLGAQYALWRLKWLEVRLQPLVGSSAVSG -TVVRVSLNMTTGPTLNSWSGLGARFHRDVRVGSGLVWKITQRHVSGPCETWWKTDTAEDPSLSLGPALEV -HTIGKTMSTYKNETFTAGLFLLEITGHWEFANYAASPALATLTQHKDDSETTKTLAISAEGANEPVEMLI -PVGKWNMKEQYGGNGTLGEAIYQVVDTSVTVVTDLFPPPFSWLGKASWWFIRKVIGKSKTYTISGTNQQI -TADVYRVYTSASAARDDKPAISSTAHQAKNLTGSVVITQISTDNILNETTYTGPRSILPDQPIGPSSYPM -TPDSLVLMAGYFRSPEINDNFGMYLPYVFQQSTSVIQFGSGNHRVMVVGLWTVERLMWLDKHFNHYTNEP -DGVFGEVGNIFINNDNVAKVITMSGSSATSERGATLMLCKTTKPVQNFNLTANVFIPAYRVVDGQGGKDV -KIQINLWEENKQLQFPAMPSGTYFMVLTMGGGTFTVGRYLVYNERINNDLQLSAFWGKYLSQAMGLSWSS -PTYACVTWEPIYSNETIHHRMIDESESDESEDDDDPDEFFDEDPITTLHAIDSERTIRRTIFSALLDGGV -DVDRAAEYAARACPTEIQRRRRGLYESLLSDGLSPETSYSEACLLDK - ->YP_006843892.1 capsid protein [Murine astrovirus] -MAKAKQQQKNATTVTTTTVTGRSSRRSRRRSVRRRAAGPSNPPTKTTTVRTVFRRTARPRGDRRRSRNAQ -RQAPREVVQTVTATLGTVGANQGNQVELEMAALLNPALIKETTGSNAFGPLQMYASTHAMWKVDRLTLKL -TPLVGASAVSGTAVRASLNMTSGPAAPAWSALGARKHVDTNPGRPASFTLTAADVPGPKQGWFFTNTKQE -AGFTVGGAIEIHTLGKTMSTYQNSAYTGPLFLAEVTGTWRFKNYEPQPGLLNLLKTEVKEPAGTVKVHSK -PGEPVTLSIPQAGTFAGLERLNPTASATPGEIIWEVVDSAANAVSGLLPQPWQWLFKGGWFFLKRIANRK -PVGAASVAGEPDGGEVTFRVYASIADAQNDVPCIASSAASTQSIQTEGLKISQVTPGTIGMPETAVATHN -MAPPPESGPYTYQGPTLEAAAPLHAPKYTQWTIVDAGTSQEQARLRSGVVPAEQTSAWSSCTLELPGTFL -QNMYEIDPRDIAAGTFPINHWNVSTSRLTRLGTAYGCNQARVRTYGEGVPHVVISTTSVLWMADVSTGWN -YDNFSAAIWNPIVVAGPNVHGTEQGIPLTRGTLNWPGGDRNRWPYRNQIEKGHWYVTFWTQYDPDEWVWL -DEFHLQFTLQPGTHTPTENHYWDVTADSLGTGLWGLRDLVFYPIGTQPRIVIPNTGPTSSHVTFDLPPGE -GEDYSTDEEGESDEGAEDDEGNPLEFDHPLDGDLSQPPAAVLKDLTYKGRNLANELWSTGVPDAKAWLAG -QTIDPSPSFRRWRETFQKALQRGVAPLEAHELATSEFLAQRESRGHAE - ->YP_004934010.1 unnamed protein product [Astrovirus MLB2] -MANANKGVTINVGNVKRKQRAASNQRTRSAGPGPKRTSKLRKRWHFPNRNRRRRTHLNTTGPKPAVSQTI -TATLGTVGSNLSDVVETECAVFLNPVVAKDSGASATFGPLQSLGAQYALWRLKWLEVRLQPLVGSSAVSG -TVVRVSLNMTTGPTLNSWSGLGARFHRDVRVGSGLVWKITQRHVSGPCETWWKTNTAEDPSLSLGPALEV -HTIGKTMSTYKNETFTSGLFLLEITGHWEFANYAASPALATLTQHKDDSETTKTLAISAEGANEPVEMLI -PVGKWNMKEQYGGNGTLGEAIYQVVDTSVTVVTDLFPPPFSWLGKASWWFIRKVIGKSKTYTLTGTNQQI -TADVYRVYTSASAARDDKPAISSTAHQAKNLTGSVVITQISTDNILNETTYTGPRSVLPDQPIGPSSYPM -TPDSLVLMAGYFRAPEINENFGRYMPYVFQQRSEVIKFVSGTHHTMVVGFWTVDRTMWLDKHFNHFTNEP -DGVFGEVGNILINGDNVAKVITMSGSSATSERGATLMLCKTTKPVQTFSVNADVFIPAYRVIDGQGSKDI -KIQINSWEDNKQLQFTSMPTGTYFMVLTMGGGTFVVKNYVVYNERINNDLQLPAFWGKYLSQAFGLSWSS -PTYACVTWEPIYSNEPLPHRTIEWDESSDEDEEPDEFFDEDPIATLHAVDAERKIRRAIFNALMEEGVDA -SRSAEYAARACPTEIQKRRRGLYESLLSDGLSPETSYSEACLLDK - ->YP_005271209.1 ORF2 [Astrovirus wild boar/WBAstV-1/2011/HUN] -MANNQKNVQPKVVTTTTTTTSRRRGRRRRRTPRTATSSKTTVRKVAVLGQSRRPPRRRFNRPGNSNQPSG -STFKQRITATLGTIGSNQGNTIELEASVLLNPALMKETTGSNAFGPLQMYAANYSLWRARDITVKLTPLI -GGSAVSGTAIRTSLNLSAQPGSPSWSALGARRHRDTNPGRPMVMRIPGNAILGQKKGWFLCNTKNDPMMC -IAGSIEIHTLGKTLSAYRNEDFTGPLFLAELTATWEFKNYNPEPGMLNLVKTKTSEQPQTIKIHSKPGDP -ILISVPSDSQMARTVAQVSTAADSSASEIVWQICDATAMVVEGLLPQPFEWLFKAGWWFVKKIANKSQNR -NRPGEPDPGELTFQVFQSISDAQNGVPCIATGNASSTNARITNLEMMQITPGNLGNAQVSPASMGRSGNP -TTNPITIVCPSMLSQPPIYATLCTKPPLNCIAMQGLGQHKRKVHSYSIHELSDPSFFQDGNVVDPLTIPA -DVYPIYKKVASEYTPIGEVYAASYAKLNNDPLHWTLCLWRATVTTEIKMQGMGERVDQFFFIQPNIEQDP -ARLPRFTQQVRATNQKSTGQEPINVSVGKWYLTVFASYRGTQSYNNNGVNYFVDTQLISGGSLQIDPGFD -AYPAGAVLTTAQPLQLNIPVAPTTLTTREILAFREMVNAQSSPFPLPPPPSEYDNLEMPPLEGEEEEEQG -AIGDPTPLEEANQQRIRGPAAIIKMNPESALDVKNWVEFGHRKRPPTPFSPIEEEEEEDSDLDDDDYAEP -PEIIKNLLTPEAKDLYGDLRRKGLSHEQATRAAQAAFPHMALEAWEAAYHNAMADGLSPPTARDCAWSAV -SDFLS - ->YP_002290968.1 capsid protein [Astrovirus MLB1] -MANASKGVTVNINNAKRKPRFTNNQRARSTRPNFTPAPKFRKRRFIPNRNRRRRQNTSTTGPKPAVSQTI -TATLGTVGSNLSDVVETECAVFLNPIIAKDSGASATFGPLQSLGAQYALWRLKWLEVRLQPLVGNSAVSG -TVARVSLNMTTGPTLNSWSGLGARIHKDVRVGSNLVWRIKQRLVSGPCETWWKTNTAEDPSLSLGPALEV -HTIGKTMSTYKNEVFSSGLFLLEITGHWEFANYAASPALATLTQHKDDSETTKTLAISSDGANEPVEMLI -PVNEWNMKAQYGGNGTLGEAIYQVVDTSVTVVTDLFPPPFSWIGKASWWFIRKMIGKTKQFQVVVNGQNQ -TLTADVYRVYTSASAARDDKPAISSKAHEAKHLTGAVVITQISTDNILSETTYTGPRSIVTPETPIGPSS -YPMTPSSLVLMAGYFSGPEISDNFGKYMPLLFQQNTSKVTFRSGSHTIKIVSMVLVDRLMWLDKHFNQYT -NEPDGVFGDVGNVFVDNDNVAKVITMSGSSAPANRGATLMLCRATKNIQTFNFAATVYIPAYKVKDGAGG -KDVVLNVAQWEANKTLTYPAIPKDTYFMVVTMGGASFTIQRYVVYNEGIGDGLELPAFWGKYLSQLYGFS -WSSPTYACVTWEPIYAEEGIPHRSALNDDEISSDGDTDDDTDTEDEDEFFGEDPIAALHALDEKRPARRA -MFDALVKTGVSVEEASRCVLRACPTKLQIKKRNLYESLLCDGLSPESSYEEAYNCK - ->NP_059444.1 capsid precursor protein [Human astrovirus] -MASKSNKQVTVEVSNNGRSRSKSRARSQSRGRDKSVKITVNSRNRARRQPGRDKRQSSQRVRNIVNKQLR -KQGVTGPKPAICQRATATLGTVGSNTSGTTEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLKYL -NVKLTSMVGASAVNGTVSGVSLNPTTTPTSTSWSGLGARKHLDVTVGKNATFKLKPSDLGGPRDGWWLTN -TNDNASDTLGPSIEIHTLGRTMSSYKNEQFTGGLFLVELASEWCFTGYAANPNLVNLVKSTDNQVSVTFE -GSAGSPLIMNVPEGSHFARTVLARSTTPTTLARAGERTTSDTVWQVLNTAVSAAELVTPPPFNWLVKGGW -WFVKLIAGRTRTGSRSFYVYPSYQDALSNKPALCTGSTPGGMRTRNPVTTTLQFTQMNQPSLGHGEAPAA -FGRSIPAPGEEFKVVLTFGAPMSPNANNKQTWVNKPLDAPSGHYNVKIAKDVDHYLTMQGFTSIASVDWY -TIDFQPSEAPAPIQGLQVLVNSSKKADVYAIKQFVTAQTNNKHQVTSLFLVKVTTGFQVNNYLSYFYRAS -ATGDATTNLLVRGDTYTAGISFTQGGWYLLTNTSIVDGAMPPGWVWNNVELKTNTAYHMDKGLVHLIMPL -PESTQMCYEMLTSIPRSRASGHGYESDNTEYLDAPDSADQFKEDIETDTDIESTEDEDEADRFDIIDTSD -EEDENETDRVTLLSTLVNQGMTMTRATRIARRAFPTLSDRIKRGVYMDLLVSGASPGNAWSHACEEARKA -AGEINPCTSGSRGHAE - ->sp|Q9IFX1.2|CAPSD_HASV8 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -MASKSDKQVTVEVNNNGRSRSKSRARSQSRGRGRSVKITVNSHNKGRRQNGRNKYQSNQRVRKIVNKQLR -KQGVTGPKPAICQTATATLGTIGSNTTGATEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLKYL -NVRLTSMVGASAVNGTVVRISLNPTSTPSSTSWSGLGARKHLDVTVGKNAVFKLKPSDLGGPRDGWWLTN -TNDNASDTLGPSIEIHTLGQTMSSYQNTQFTGGLFLVELSSAWCFTGYAANPNLVNLVKSTDKSVNVTFE -GSAGTPLIMNVPEHSHFARTAVEHSSLSTSLSRAGGESSSDTVWQVLNTAVSAAELVTPPPFNWLVKGGW -WFVKLIAGRARTGARRFYVYLSYQDALSNKPALCTGGVPASARQSNPVRTTLQFTQMNQPSLGHGATPMT -FGRSIPEPGEQFRVLLTVGPPMAPNTANSQNWVNKTIVPPENQYTVKIGIDLEHYTTMQGFTPVESVSWY -TADFQPSDEPSPIPGLYARVNNTKKADVYGVQQFKSSHTNNRHQITSVFLVRVTTSFQVINYTSYFIRGA -ESGSNVSNLKIRDQTYHTPLQFTQGKWYLLTSTVMHDGPTSSGWVWMNQELTNNIAYRVDPGMMYLITPP -PAASQLYFELHTVLPQARSEEPETYVDAPLPEEPPIEEEETDSDFESTEDENDEVDRFDLHPSSESDDDD -VENDRATLLSTLLNQGISVERATRITNGAFPTRAARVRRSVYNDLLVSGLSPGAAWSHACEQARRAGDNH -DLQLSGSRDHAE - ->sp|Q3ZN05.1|CAPSD_HASV4 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -MASKSDKQVTVEVNNNGRSRSKSRARSQSRGRGRSVKITVNSNNKGRRQNGRNKYQSNQRVRKIVNKQLR -KQGVTGPKPAICQTATATLGTIGSNTTGATEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLKYL -NVRLTSMVGASAVDGTVVRISLNPTSTPSSTSWSGLGARKHLDVTVGKNAVFKLKPSDLGGPRDGWWLTN -TNDNASDTLGPSIEIHTLGQTMSSYQNTQFTGGLFLVGLSSAWCFTGYAANPNLVNLVKSTDKSVDVTFE -GSAGTPLIMNVPEHSHFARMAVEHSSLSTTLSRAGGESSSDTVWQVLNTAVSAAELVTPPPFNWLVKGGW -WFVKLIAGRARTGARRFYVYPSYQDALSNKPALCTGGVSTYTRQSNPVRTTLQFTQMNQPSLGRGATPAT -LGRSIPEPGDQFKVIMTVGALVQPNRSDTQNWLFKTVTPPTGHDAARVGWNTQHYLTIQGFLLIDSLEWL -TPNLQESQEPPLIPELGVYIGIHKKALVYFMQQYVNPHTNNKHQVSSIFLIKPTENFSVTNYMSYFFRES -QSDQNVANLKIRPQTWQQTVNFQRGKWYLVTNTAIRNGPPPSGWVWDNIELTNESIYYADQVLAHFINPP -PQNSKIYFEVHTTMPQSRARSIGLEEDQTDNWQEPDEDLQTSTEESDYETDSLEDESDDEDSNTCRELVI -NTLVNQGISRERATYIGMSAYPNVEWGSGEQSTSQHIQEISSDDVGAGAHYSCVCERKQQSLNQGSRGHA -E - ->sp|Q4TWH7.1|CAPSD_HASV5 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -MASKPSKQVTVEVNNGRSRSRSRPRSQSRGRDKSVKITVNSRNKGRRQNGRNKHQSNQRVRNIVNKQLRK -QGVTGPKPAICQRATATLGTVGSNTSGTTEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLKYLN -VKLTSMVGSSAVNGTVVRVSLNPTSTPSSTSWSGLGARKHLDVTVGKNAVFKLKPADLGGPRDGWWLTNT -NDNASDTLGPSIEIHTLGRTMSSYQNQQFTGGLFLVELASEWCFTGYAANPNLVNLMKSTDKQVNVTFNG -SAGEPLVMSVPATSHFVRAVVARSTLPTSLARAGERTTSDTVWQVLNTAVSAAELVTPPPFNWLVKGGWW -FVKLIAGRTRNGTRSFYVYPSYQDALSNKPAICTGGLPSGLRAASAVATTLQFTQMNQPSLGHGENTATL -GRSIATAGDRLKVILTVGQPVTPNENNKQTWVGKTNTPTEEVVKIGVNTQNYNVMNGFTMISSIDWYDEE -MQPLEVPVPMSELLVMKGINKKADVYAAQQYKNSISNNKHQITSVYLVRVKENFQVTNHLSYFFREKVDT -TATELMKIRPQTYYTTVNFVQNNWYLLTSTVLHTGSLPSGWVWMNQELMNNENYIVDQGMKHLMTTPPVS -SQLYFEMLTSLPQAMAEHFDQGDQAVVAHDSPGQALFSAEETDSDFESTEDETDEVDRFDLHLSSESDDD -DVENNRVTLLSTLINQGMSVERATRITSNAFPTRAARLRRSVYNDLLVSGLGPDAAWSHACEQARKVGDN -HDLQASGSRGHAE - ->sp|Q9WFZ0.1|CAPSD_HASV3 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -MASKSDKQVTVEVKNNNNGRNRSRSRARSQSRGRGKSVKITVNSRSRGRRQNGRDKYQSNQRVRNIVTKQ -LRKQGVTGPKPAICQRATATLGTIGSNTSGTTEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLK -YLNVKLTSMVGASAVNGTVVRVSLNPTSTPSSTSWSGLGARKHLDVTVGKNAVFKLKPADLGGPRDGWWL -TNTNDNASDTLGPSVEIHTLGMTMSSYKNEQFTGGLFLVELASEWCFTGYAANPNLVNLEKSTDKQVNVT -FEGSNGTPLIMKVPEASHFARTAVARSSLPTTLARAGQNTTSDTVWQVLNTAVSAAELVTPPPFNWLVKG -GWWFVKLIAGRARTGTRSFYVYPSYQDALSNKPALCTGSAPSGMRSRAAVMTTLQFTQMNQPSLGHGEMT -ATLGRAIPSPGDTFNVVLTIGQPLAPNTLTKQSWLNKTTVSPQNHHVVKIAKDVNNYTTMQGFTPISSVD -WYTTDFQPSEEPTPIPGLQVLVNSSKKADVYAIQQYLNNQTNNKVQLTSIFLVKVTTSFQVNNYLSYFYR -SYGTGTTVENLKVRSDTTAQDVNFPVGWYLMTNTAIFNAPAPPGWIWQNVELLNDTAYLIDQGMMHLIMP -PPANTQLLFEMRTSVTGSRSMISSEEPDTHEPGDEWCDALDASDSRVFLEETDYEDEEDEDEDDEADRFD -LHSSYGSEPEDDDENNRVTLLSTLINQGMTVERATRITKRAFPTSADKTKRSVYMDLLASGLSPGNAWSH -ACEEARIMGTNQMPNVSGDRGHAE - ->sp|Q9JG99.1|CAPSD_PASV1 RecName: Full=Capsid polyprotein VP90 -MASKSGKDVTVKVENTNGRGRSRSRSRSRSRARNKNVKITINSKPGASGGQRRRGKPQSDKRVRSIVKQQ -LDKSGVTGPKPAIRQRATATLGTIGSNSSGKTELEACILTNPILVKDNTGNNTFGPIVALGAQYSLWRIR -FLRIKFTPMVGQSAVTGTVVRASLNPTATPSSTGWSGLGARRHIDIVVGKAATFNLKASDLSGPREGWWL -TNTNDSGDSTLGPSIEIHTLGTTMSAYQNGPFTGGLFLCELQAEWEFSGYAANPALLSLEKNRDDDAEVS -FDGQQGEPLTMVVAEDSLFNKVATRRSTFTRGIARDGQTKSETIWQVVDTAVSAAETVVPPPFGWLIRGG -YWFVKKLAGRTKLRNGKQTSSYVCYASYQDALSDKPAICTGVAANFYAGRTETARANLHFTQMNEPSTGV -GETPTAFRMYRAAPDDIVYLRFKPETVNISVSPAARLFLARKYTAHSLKVKGNSGTTRIHCVVKVNDPMW -YSPDWEQVAQPGPIPGVSLLGGTTTIGIVTAAYQAHMWGLHIATAFIVQVTKDINPACSTSCVLTKELND -QHLKTVAGQTSFNWSLRAGETYLMMSFGAHTSAVGEWVWNDADISVGYTIYNSPLTPCLLLTEGSFHIVL -PAKRGLIPLASTELFTVRDQDSIPQTTEPPAEEDVGDNETEDESDDEDLDHFDLHDSSGSEPEDEDVENN -RVTLLNTLVNQGVDLARAAKISKRAYPTLAEKTRRSVFMDSLIAGCGPSSAWSEACKAARKVSFKEPISE -SRGHAE - ->sp|Q96818.2|CAPSD_HASV7 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -MASKSDKQVTVEVNNNNGRSRSRSRGRSQSRGRGRSFKITVNSKNRGRRQNGRNKLQSNQRVRNIVNKQL -RKQGVTGPKPAICQRATATLGTIGSNTSGSTEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLKY -LNVKLTSMVGSSAVNGTAVRISLNPTSTPSSTSWSGLGARKHLDVTVGKNAVFRLKPADLGGPRDGWWLT -NTNDNASDTLGPSIEIHTLGKTMSSYLNQQFTGGLFLVELASEWCFTGYAANPNLVNLMKSTDKQVNVSF -EGDNGTPLIMKVPDTSHFARTAVARSSLPTTLARAGQNTTSDTVWQVLNTAVSAAEIVTPPPFNWLIKGG -WWFVKLIAGRSRAGMRSFYVYPSYQDALSNKPALCTGSVPGGMRVRAAIPTTLQFTQMNQPSLGHGEVTA -TLGRSIPTPGDTFKVVLTIGQPLAPNTLNNQTWVNKTTTAPQGQHVVKIAKDTSNYTTMQGFTPISNVTW -YTEDFQPSEEPPPISGLQVLVDSRKKADVYAVQQYLNHPSNTKDQLTSIFLVKVTTSFQVNNHLSYFYRA -AGTGTAVENFKIRGATSEQNISFSEGWYLMTNTATFNPPAPPGWIWKNVELDNNTPYIVDQGMMHLIMSP -PVGTQLLFEMKTTVSGTRNVSHFDHDENPSPVWCDALDAADVWELPTETDTESEEDEDEDDEADRFDLHS -SYGSEPEDDDENNRVTLLSTLINQGMTVERATMITKRAFPTCADKQKRSVHMDLLASGLSPGNVWSHACE -EARTMGTNHMPNVSGDRGHAE - ->sp|Q67815.1|CAPSD_HASV6 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -MASKSDKQVTVEVNNTGRGRSKSRARSQSRGRGRSVKITVNSQNKGRRQNGRNKRQSNQRVRNIVNKQLR -KQGVTGPKPAICQKATATLGTIGSNTSGTTEIEACILLNPVLVEDATGSTQFGPVQALGAQYSMWKLKYL -NVRLTSMVGASAVNGTVVRVSLNPTSTPSSTSWSGLGARKHLDVTVGKNAIFKLKPSDLGGPRDGWWLTN -TNDNASDTLGPSVEIHTLGRTMSSYQNQQFTGGLFLVELASEWCFTGYAANPNLVNLVKSTDKQVNVTFE -GSAGTPLVMNVPAASHFARTVAQRSTLPTSMARAGENTASDTVWQVLNTAVSAAELVTPPPFNWLVKGGW -WFVKLIAGRVRNGNRSFYVYASYQDALSNKPALCTGSTSGSMRTRPAVMTTLQFTQMNQPSLGHGETPAT -LGRSIPTSGETLKVLLTVGNPISPNETNKQTWVNKTIEPPGAVVRIGRDTQHYCTLNGFTLITKVDWFTE -EFQPSEEPAPVQGLMVLGDNHKKADVYAAQQYKNPITNDKQEVTSVFLVRVNEGFQVTNHLSYFYRNSVN -TDAVENIKIRSATRHTTVRFYQGSWYLLTSTVLHTGPPVSGWLWMNQELQNDQAYIIDQGIMHLITPPPV -SSQIYFEMATLVPQTRSGGGETGLELVMGLSDDEYPISHVNDEEETEYETESDEDETDEVDRFDLCCTSD -SEDDIENNRVTLLSTLINQGVTVDRATMITNRAFPTPNYKPRREPSNDLLAPSDCLATARSHACNETCQL -SGSRGHAE - ->sp|Q82446.1|CAPSD_HASV2 RecName: Full=Capsid polyprotein VP90; Contains: RecName: Full=Capsid polyprotein VP70; Contains: RecName: Full=Capsid protein VP34; Contains: RecName: Full=Capsid protein VP27; Contains: RecName: Full=Capsid protein VP25 -MASKSDKQVTVEVNNNGRNRSKSRARSQSRGRGRSVKITVNSHNKGRRQNGRNKYQSNQRVRKIVNKQLR -KQGVTGPKPAICQRATATLGTIGTNTTGATEIEACILLNPVLVKDATGSTQFGPVQALGAQYSMWKLKYL -NVKLTSMVGASAVNGTVLRISLNPTSTPSSTSWSGLGARKHMDVTVGRNAVFKLRPSDLGGPRDGWWLTN -TNDNASDTLGPSIEIHTLGKTMSSYKNEQFTGGLFLVELASEWCFTGYAANPNLVNLVKSTDHEVNVTFE -GSKGTPLIMNVAEHSHFARMAEQHSSISTTFSRAGGDATSDTVWQVLNTAVSAAELVAPPPFNWLIKGGW -WFVKLIAGRTRTGTKQFYVYPSYQDALSNKPALCTGGVTGGVLRTTPVTTLQFTQMNQPSLGHGEHTATI -GSIVQDPSGELRVLLTVGSIMSPNSADRQVWLNKTLTAPGTNSNDNLVKIAHDLGHYLIMQGFMHIKTVE -WYTPDFQPSRDPTPIAGMSVMVNITKKADVYFMKQFKNSYTNNRHQITSIFLIKPLADFKVQCYMSYFKR -ESHDNDGVANLTVRSMTSPETIRFQVGEWYLLTSTTLKENNLPEGWVWDRVELKSDTPYYADQALTYFIT -PPPVDSQILFEGNTTLPRISSPPDNPSGRYMESHQQDCDSSDDEDDCENVSEETETEDEEDEDEDDEADR -FDLHSPYSSEPEDSDENNRVTLLSTLINQGMTVERATRITKRAFPTCAEKLKRSVYMDLLASGASPSSAW -SNACDEARNVGSNQLAKLSGDRGHAE - diff --git a/seq/clusters_seq/cluster_1170 b/seq/clusters_seq/cluster_1170 deleted file mode 100644 index dc83b5f..0000000 --- a/seq/clusters_seq/cluster_1170 +++ /dev/null @@ -1,17 +0,0 @@ ->YP_009755881.1 hypothetical protein [Hainan hebius popei torovirus] -MENLLHGVHKFNRDLISRLVPIEDVVQVVAYCLCITVVFRCVLFKWPSLRTLPFITLVYNLIVVIQVVSL -LAVFFLLPKPKTRIQIFLFVLVVFISIVVILNIIYKAVMFGYFLIKFRSFSIAVSGAHTLVIDGRCYPMV -QDTGCIVIKKAVVNNECCYYWGDYVLVDSPKQISFWTWSGGVRYKYFSKSSESDCVFFIWTRSGVMTV - ->YP_009344973.1 hypothetical protein [Xinzhou toro-like virus] -MDLNSALSRLHQFHHDMVERIIPLDQVVQVVAYCLVLSVILRSVLYKWPGLIAMPFMTMIYNLVVVIQVV -SLLAVFFLLPQPTNALQKFMLACVIIITIIVILHILYKATMFIYFLIKFRSFSIAVSGAHTLVIDNRCYP -FHHDTGCIVFKKGIENGDTIYTWGDVVLPTAPKMVTYWTWNGGTHYSYAAQAKQGDCTFFIRTKVLDGRK -VYYSTIY - ->YP_009142790.1 M1 [Bovine nidovirus TCH5] -MFEIVTLLLLACYRLIGQLVVPNGLIVTNEYYTMAADYVKKYMSMEQCLSVFGYMLCYTYFYSWFYLKLR -RVANIFILRLVYLLARFCQVIIIMVIYIMLPQPSGVSQQVGFAAFVIIMIFYFMMLLFKVIYGVVIFMRT -RSIAAALFGSPVLIVDYVPIPVKHNPGVIAFNRVSEGSVTKFWFGEYSFDGKPGMVKYYDRKHTVWYSYS -SSFKLGADDIYVYQQKSRQDV - diff --git a/seq/clusters_seq/cluster_1171 b/seq/clusters_seq/cluster_1171 deleted file mode 100644 index c5998da..0000000 --- a/seq/clusters_seq/cluster_1171 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009755850.1 putative glycoprotein [Bellinger River virus] -MSSRCITSSNNRNAHLQTETRRHEKVKLLLTLFLCHCNAQQITDSIQVSSKHQTTGCIRIPVIATDRVRH -VHELSISIQSPTCADHGHGKYQLDTFSYENNTVNLTHREQLPDRNNSSPRSCSIVANSTNVIATCFQQQH -KNGQLLDFNTGVLTSCVATIHSYNISTWKLQQFDFKTEFKKCATSAGQDAFVSCKNKTYFTTSTYGASGT -ALYLFDSSFNELNRVNVTSTSRSESTIVNISECQLTLLTRAASDQAYPNLKAWHYIIRNSSLVQTSTSIS -AWTTPFNWEGWTFQHQSNLNLRNQKHTTNFGTANWPVTTPSDYGSNKFESKEHSNKFWGISTNSNNTNGR -FKPVLVQGVNNISTSVQPIFGFSHIAYASGILVKDTVWIIFETDSCKDQGKFPCIKRLFIDLLERPVTTV -VDLLNQDQNHLTPNCTNEYTPDYTVKSFEGQPALQTQEIPLATISVLFVIIVATISAVCTRLYLNHRVSI -SKYISQVKSLSHGMRPASSV - ->YP_009408176.1 TM-glycoprotein [Morelia viridis nidovirus] -MSFFVSGDGHDVQIYQAGTKASKIKCLLTLWFLSLVFLTNAQQVQDTVELKVNHKINACSRIPVIATDRE -YLVHELTTKINNPSCNDNGLGIYYLDSFRFSDGLYEFLASNQLPDGLNYSPRSCSLSVNSSHVFIVCFNQ -PFNRNDNNLVDFNKGILTKCNATIFVYDVIDWQLRQFHFKLEENYCATSASQDAFVECRHGTFLATSSYS -HGSQQGVKGTFVYIFDQHLNMLTKIQASTYYGEANIQSMGNCAFKLLIRSSGDKKSAFLTEVTLSYNGST -LQVSSGTDSEWHTPFNSDGWVFKHPFTYPSSPRPSFGTANFPAYAPVDYGRYPGESVIFQKSYFGITTNF -SNTNGRFVPMFVYKINEKIKSEKIFGFSHIAYANGVYFKDRVLLLIETDSCQNTGLFPCVKRVMLDLLNR -PMLRMEQIINTTPDSINGTTSQVRVASKPEISALAIVIVNLCIVTLGLCAWVTTKLFLRYKFRPFKWLIW -MKSPFNRPMKS - ->YP_009052482.1 TM-glycoprotein [Ball python nidovirus 1] -MCGIVNFDGHHVQVYQSGTKAGKVTCLLILCLASFIFGANSQRVQDSVDLNVNHKILACTRIPVIATDRE -NLVHELTIRIDNPRCNDNGLGVYQLDTYRYQNNVYEYVKSNQLPDGANFSPRSCSLSVNSSHVFVICFNQ -IFNGNELVDFNNGKLLKCNGTIFVYDVYDWGLRQFHFQVEENYCATSASQDAFVECRHGTFLATSSNSHF -RQSGDKGTFVYVFDQYLVMLSKIKASTYLGEANIQSLGSCQFVLRIRASGDKKSPYLSEVVLEYDGVSLK -KLTQGDSDWHTPFNAVGWVFQHPVKYPLNPYSAFGAANFPAYAPYDYGRYPGESVIFGKSYFGITTNFSN -TNGRFVPLFVYKINEKIKSEKIFGFSHIAYANGVYIKDTVVLLIETDSCQDTGLYPCVKRVMIDLLNRPC -QRVGKIEIKIPDSFNGTTSQVRVTSGPGFSALAIAIVNLCLVTLGLCVWVTTKLLLKCKLKRSRWLSRMT -SPFKRPTKS - diff --git a/seq/clusters_seq/cluster_1172 b/seq/clusters_seq/cluster_1172 deleted file mode 100644 index 0dac245..0000000 --- a/seq/clusters_seq/cluster_1172 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009508055.1 capsid protein [Heterobasidion partitivirus 15] -MSATKMEDYLNGKLDAAALNKADIEAYAAAQIKAQKDLIEASTSASKDAGSDPLGMRDVHKQAQDSVQAQ -SQPTSTNLRPPRFQKVDDTDAINAHRLVLMDDPFTHVSKFGNNFVVPDFHHAFTMLDEMDNIMLSTKKFT -DISETWTPLMSRYFFGSLLLVHTLRVQREADAVTPTVANFLDQFEKDFPLHSIPIPGPMVNFFECLAASN -VAVAGFDPVSPILPKKANVTTRAKLLFNNNLAGRIPHPLIMLDQMHVLINAMGNGDRATRISNVANCSVF -FNGIFSIGMSNAASADPYEQTPVHAVNTANHIAWVFSYPDVAYPVLSSYNAASSFVDNINQLRRVLPARL -DLSGAGNATQLEWPEFVSIMEYPRLFRYILRLMAEYSKFWKGSKSLDLIPLAGKPSLQVAFTKDGAEHGY -PTTRFPTFTHSFTGAVRRSQISPADRIDAATSVLNYSHLADGGGIDRANPGIPRQGGPFFDDSEIVLRTR -NINPSLGYGSVATEKYHLKNTK - ->YP_009508054.1 capsid protein [Heterobasidion partitivirus 13] -MPSYTFDPVSVMSMNETEKAKFLADLLEGRHETQAPTKSAEQADPIGARPVVAQARRNVTAANSDGPSKL -TYTKVKPFTRDSDRDAANAVRLALGSSAFRTVTHFGLNTFIPDFTLLFQALAALDFAMLSTDKFCRASEF -WTPAVSRIYIAVICYVQVMRSMRATSTNFGVEIQQFLDWFEEHFPLNTLPVPGPLVNFISTISSCHISTL -DYKVMCPILPRLVPCAANGRWVIHNNLSLRFPPVPTLFQQLSEHYNYANPAAAAPQTAEQIGRWADYGRT -QYGITAWNANAATSQTHRTTLTALSNATHAYAWAAPGNSTPYRTNTSVGRNLIDYGMAMDSLIPPEFNSA -AANGPAAANPTWQTYLGFETTHEWFPEFARIMSVYSKFWKESTSLDTISPVGSTACLAVAEATAQLVQPT -TRYPALTLSRNFVVRISQLPEADLLDSQLSAIHCADTGTVTAHASTPNVNVSTGPYLDLAIQERARAVDA -SGGLRQIIEDYYHVQNPKA - ->YP_009508050.1 putative coat protein [Heterobasidion partitivirus 1] -MAQNTVSAQVPTMEPQPAGPGVAQPQVNLAPPVPDPRDVAANAMMPQVPGPMPSSSGALSTITAALGYNR -FLPMTFGRSTYTPSFILLSLVLSEFDRIMINTHRFYQTSPEWHPIMSQLYYGVIFIVHILVARRTAQVIS -IDENNFLDWFETNFPLSSLPIAGPLKHFFQAITVTCGPSKYYGNIYPQLNTDWTAAFANYYMPDNAISNN -HLPPLPIFMDMLNDLLADRPGRAATATVTPRLAFDHRNVDHWRSYYLPLSHTFSAMNNSSAVFQFAGMTS -LHALPATAMPNWYDASLFFGYPNRIDGNALANISTIGEFTRLGTAGTQHTLWFSRTIQVMQRHAQFAKDS -TSLASISTKGLGACLPILHLSANAELSLPNATNNGQTVRNVQAIAPNVTGAAAAAVATSPGRPAGFAVAR -PTHFTVRATSKLESLHLLAEQFSLLSCINLDMTNLAARGNAYHAFTTNAQVRHGPFWDLADVKSHTEINV -LGQISSFLAGAFHVDQRQTK - diff --git a/seq/clusters_seq/cluster_1173 b/seq/clusters_seq/cluster_1173 deleted file mode 100644 index 0a71333..0000000 --- a/seq/clusters_seq/cluster_1173 +++ /dev/null @@ -1,23 +0,0 @@ ->YP_009507716.1 VP6 [Lebombo virus] -MSSAYLLAPGDLILKATSELESRGIKIHIKEDKATEKGENSKDGEEKGVEQDVEVGSKAKQGGEGGEDRN -GGKKSGDAANEGGGEDKDAKEGGGSITESADRKKLGSGDGLDARANRRFAVQDTSGKHQAGGGTAAGDEG -QAGGCSGEKEEGVASSVATEAIADRLSQTINVNVPVWKKGMTLVILDMSAMKEIGLTKDDHYQQSDSLKS -ARAMDKSLKVQNVASKAKARMIFGGKDSDVPGATQLRESVIRHVSNRLEDVPKAIALFTAPTGDTGWKEV -AKAAGKHPNIRAYVHTGEEDPIKPFLNLIDHI - ->YP_052964.1 VP6 [African horse sickness virus] -MSSALLLAPGDLIEKAKRELEQRSITPLLREKGSTEAKSKLKEDGEKKNKSEKEENKIHDDRRVESQKSE -GGGPADCQRGAGSRGANCATSTGGGDGSAGARTGIGGGGVGRVDSRSGGRGGQGAASDGKGVGKSKTGAD -RVANDDATRNVGSSEVSPGGITSGGLQGRGGLVAKSGECGGESLDRIGGCSGNSKTEGEEAKAGGGDRRI -GGLATQEIADFVKKKIGVEVQVFSKGMSNLFTVDKSLLKRGGLGREDILHQSDIVKEIRASDKKVKIIPL -STVKRMIAEFGGTEEDEIKAVQTQSSSIRYISNRMEDVSRAKAMFTAPTGDEGWKEVAKAATQRPNIMAY -VHEGEGDGLKELLHLIDHI - ->sp|Q64909.1|VP6_AHSV3 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -MSSALLLAPGDLIEKAKRELEQRSIAPLLREKNSTEAKSKLKEDGEKKNKSEKEENKIHDDRRVESQESE -GSGSADCQRGAGSRGADCATSTGGGDGGAGARTGIGGGGVGRVDSRSGGRGGQGAASDGKGVGKSKTGAD -RVANDGATRDVGTSEVSSSGITSGGLQGRGGLVAKSSECGGEPLDRTGGCSGNSKTEGEEAKVGGGDRRI -GGLATQGIADFVKKKIGVEVQVFSKGMSNFFTVDKSLLKRGGLGREDILHQSDIVKEIRASDKKVKIIPL -STVKRMIAEFGGTEEDEIKAVQTQSSSIRYISNRMEDVSRAKAMFTAPTGDEGWKEVAKAATQRPNIMAY -VHEGEGDGLKELLHLIDHI - diff --git a/seq/clusters_seq/cluster_1174 b/seq/clusters_seq/cluster_1174 deleted file mode 100644 index 7959f99..0000000 --- a/seq/clusters_seq/cluster_1174 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009709874.1 protein p7 [Hepacivirus P] -VPTEILAATAASPWDDVVVRACVFLVVCKWRTLSPLLSGSAFAFLVCAYQVAVVDAY - ->YP_007905987.1 p7 protein [Rodent hepacivirus] -FTPEVVAATAAAPWEDIVMRAIVYCATLKYRLLSVPFAASWVALFLSLIDAAYA - ->YP_009325405.1 protein p7 [Norway rat hepacivirus 2] -VPTAVYAATVASAYGDVLWRAIVYLVICKWPKLRTFLSPTLHCLLLMYFAGFVRAE - diff --git a/seq/clusters_seq/cluster_1175 b/seq/clusters_seq/cluster_1175 deleted file mode 100644 index f31f069..0000000 --- a/seq/clusters_seq/cluster_1175 +++ /dev/null @@ -1,31 +0,0 @@ ->YP_009553311.1 RNA-dependent RNA polymerase [Aspergillus fumigatus partitivirus 2] -MLLTEIPNVYQRAVALERRIRLRELYPEGVVPYLAKQEYDGAIAGPHGPHGSVAPSVTTTFQAKLPLDQY -PDRKYTLECKETMIYLGKMDGYPFSSSIPKFDPWFRKVLKDKDPAIVKDLEDTFMRDPCTPDRVMKHMSL -FDRTWKRMPTGRLMSRAKDIVAKMFEPIGKVEPIDFNFAGWHKILPNLDMTSSPGLPLRREYQTQGECLG -HIYDKTKRLNHFAKFLHPAAVRAPPCMIGVRPGLIRRDEYNEKVKARGVWAYPAEVKVMEMRFVQPLIER -MSLNFMKIPYPVGRNMTKALPMLIDHMLHDKKKGFVTDVSNLDASVGPDYIDWAFSLMKTWFNFGITQSS -ETRNANVFDFLHYYFKRTPILLPSGQLVKKAGGVPSGSGFTQLVDTLVTILATVYTLLRMGWDEDQIIKG -YMQVVGDDMAVSVPRDFDPEEFVYLMGSLGFTINLKKVMFSDKGIELKFLGYSKYGGSVYRPLDELLQTA -FFPEKFVGNPERSRMRIAGQTLASGMTNAFFSKVNYWMEELVSLATELDPDEVFIPQRRWLRIVLGLDEM -PSTTLAFDMFPLV - ->APT70073.1 RNA-dependent RNA polymerase [Alternaria alternata partitivirus 1] -MLLSEIPNVYQRALALERRLRLRELYPEGIIPYLARQEYAGSIAGPRGPSATVAPSVTTSFIAKAPLSTY -SKPEYTLECTETMQYLGKMDHYAFNNSVPKFDPWFRHVLKSKAPDVVLHLEETYQRDPCTPERVMKFMKL -FDRRWKRMPTGNVMKQAKEIVSEMFSKVGKVDPIDFNYAGWHEILPHLDMSSSPGLPLRREYATQGECLG -HIYDKTKRLNHFAKFLHPGAVRAPPCMIGLRPGLIKKAEIDEKIKARGVWAYPAEVKVIEMRYCIPLMKR -FSEMFGKTPYPVGRNMTKALPFIIDHLLQDKKFGLVTDISKLDTSVGPDWIDWAFSQLKSFFDFGFTLSS -ERRDSNVFDFLHFYFKRTPILLPSGQLVKKAGGVPSGSGFTQLVDTLVTTLATVYSRLRMGHTKDQIFKM -FVVGDDMATSVDHDFSIEEFSHIMGQLGFEINPSKVMFSNKGIELKFLGYSKRGGGLYRPIEELLQTAFF -PEKYVGNPNRSRQRILGQSIAAGLSNSFFDKCNYWMEELVTLSSTLDPDEVFIPQKRWMRNVLSIDEMPK -SANVYDLFHLV ->AGZ84316.1 putative RNA-dependent RNA polymerase [Botryosphaeria dothidea partitivirus 1] -MLLTEIPNVYQRALALERRIRVRELFPEGLVPYLKRQEHAGSIASPIGPSRSVAPKVTDKFIAKAPLEDY -PDKRYTLECTSTMQYIGQMDAYPFHSSIPEFDPWFRHVLKARAPDVSLHLEQTYTRDPCTPDRVMSFLKL -FDRTWKRKPTGRLMSQAESIVKKMFKCVGQVNPIDFNYAGWHEILPHLDMSSSPGLPLRREYATQGECLG -HIYDKSKRLNHFAKFLHPAAVRAPPCMIGLRPGLLKKDELDEKIKARGVWAYPAEVKVIEMRYVIPLLER -FKSQFGKIPYPVGVNLTKALPFIIDHLLNDGKHGFVTDVSKLDTSVGPDWIDWAFSFLKDFYYMGMTESS -ETRNSHVFDFLHYYFKRTPILFPSGQLVRKSGGVPSGSGFTQIVDTLCTLLMTTYSMLRMGYQEDDIIGK -IFAVGDDMATSVPSSFDVEQFSFYVGQLGFEINVDKVMFSNRGIELKFLGYSKYGGNIWRPIDELLQTAY -FPEKYVGNPERSRQRILGQTLASGLTNGFLSKVNYWMEELCSWHTELDTDEVYIPQKRWMRNVLGLDEIP -RSALLFDIFPLC diff --git a/seq/clusters_seq/cluster_1176 b/seq/clusters_seq/cluster_1176 deleted file mode 100644 index c8d743b..0000000 --- a/seq/clusters_seq/cluster_1176 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_009553283.1 matrix protein [Oz virus] -MAHQMAAGNSIDMICSPRVIPHVREMEQCHSEKTCLRLWKLVRDDNTYSSEELIQVALMYKYVTKRQPES -FISLTHKMKQGKYDPPSIKRCFRQQDGLRAISDYIRSLDKEGKMVLACMLIQSSQVLNRTVLVELIGGIA -GKSTHDLLPVHASDINLYDSDSEEETRDLWLEEVTKQLNTLTPVLRGKFSNPEEKELCMAIKQRIEDFLN -LEKLAKESGSPYDKRTYMKSLLKELCCILQGERVMKAKGLVYQILVGVGEQLYQLLKSVE - ->YP_009352875.1 M protein [Dhori thogotovirus] -MAHQMAAGNSLDSICMPRIGPHVREMENCHSEKTCARIWKTVRDESTYGTDELIQVALVYKYVTRKTPEA -FVLISNRLKGGKYDMNSVKKGYKAKDGLQEISNYISSLDREGKQVLACMLILSTQSIGKTVLVELLAGIS -GKHPMEVLPVHTNNIVMYDSDSEDEHRDLWLDEVAKQLNTLTPVLKGKYETAEEKEICGLVKQRIEDFRE -LEKLAAGSGREYDKRMYTKGLLKELCSILQGHQVLKLKGLTYQILVGVGEQLYQLLKSVD - ->YP_145805.1 ML [Thogoto thogotovirus] -MASNLPVRSFSEVCCAEARAAIIQMENNPDETVCNRIWKIHRDLQSSDLTTTVQVMMVYRFISKRVPEGC -FAILSGVNTGMYNPRELKRSYVQSLSSGTSCEFLRSLDKLAKNLLAVHVCSDVKMSLNKRQVIDFISGEE -DPTLHTAEHLTSLALDDSPSAVVYSGWQQEAIKLHNTIRKIATMRPADCKAGKFYSDILSACDQTKELLD -AFDQGKLAYDRDVVLIGWMDEIIKIFSKPDYLEAKGVSYQVLKNVSNKVALLRESIWWVTELDGREYLFF -DESWYLHGMSAFSDGVPGYEDFIY - diff --git a/seq/clusters_seq/cluster_1177 b/seq/clusters_seq/cluster_1177 deleted file mode 100644 index 6e6c0ad..0000000 --- a/seq/clusters_seq/cluster_1177 +++ /dev/null @@ -1,39 +0,0 @@ ->YP_009553279.1 polymerase basic subunit 2 [Oz virus] -MVDPEDTIKGIKRLISKYNRVTQGDVSDKNIWEYHVFKRYTTSKKDHAPHTRLVYNVRRTLPLQIKPSIP -ESVHGHKMYKRVIDLGETDRVHGSILAPDYWMYKGDSIPQVEAEALISIEMEKVDRFFQADWGDMKYCHL -LPYRKPVSIVPVIQDVSGKDVSPTLEQVFCPQFSTIDSSSRANKEAVKKIKEKLNPVLKYNTTIGLINLA -RSLIVQRKKWVPVTMDTNPYTAELSHFLFSSYHHLPVSEIGSVEASAIERLCAEIVSWCLKDWDPKQKIV -ELLSKITVRNVVLSHLLESIESDRPYTNICKTACGIPINTILQIRETKFVIMNREAVRVCCEVQKSFGVL -SYTHRYQHFEGPFKVYFTWRHTRGVITGEKRKILAIQLHAEEGDMVLPLLLDICYYCSALEPGFEQSYDQ -FVEKQNLFKHFFMHHETNPIGLYRLFNIGPTGVLANSFHWMIKSEGYLQGIYKLEASVQPYTAHASRHTL -TDRLEVMQVTGTKAYKVIDPTELLIPKTPLPLGLDATNTELVDIITNPLVKAKTMWTRLINDPMRCKKLV -TNAITGNNDPFSKTIIQTMPPKSRTGNKRRLEEMLEDPTWNTQPEKRFKAAFDAATLIASSSRKRRAEGD -AINKVSMPSLGDSLDYNMKTGLVISTGTKIRVMSSIISDTGVTPLENFVYTGVYTEKPPDMEVSTLEEAK -TKKLTRVCLCQHNRYYVLEEKKSLWSATENIRSTIEAQQSLIKSTQVSQELLWLSQPGTSKD - ->YP_009352880.1 polymerase subunit PB2 [Dhori thogotovirus] -MDVLKGIKKQIKKYKELSTDNLFNTKRIWEYNTFKRYTTSKKDHAPHTRLVYNVRKQYPIQVMPTLPESY -QGFRLCKKQIDVGESRRVLGTIHCPDYWMFFGEQTTKEVAEALIGPEMEKVQRFFQASWGDIQYGHLLPY -RKPVSIVPVIQEVTPSSIGPTLEQVFCPQFSTLDAAGRANKEAVEKITKKIRPLLELKSTPTLVHIARTM -ITQRKKWVPVTIDTNPLTAELSHFLYSCYHYMPVSKVQVIEANAIERLCAEMVTWATKTWDPKKKLLELM -DKITIKGSTITSILESLDSDKQFTCICKAAANIPIHLVNQVRETKFVIMNRDAERISVETTKRFGTLSYK -HSYQRFLGLYKVFFTWRHVKGMIVGRDQEIEKILIFAEQGDYLLPLILDILYYTAGIEPGFEETYDQYVE -KKDLFRHFFDYHSKNPIYIYRTLKVAPTGILANSFHWAIESEGYIKKVQRMESSVQPYSAYAAVHKINEK -LEVFRVSQHSMQKLIDPAELTIPKTPLPLGLDATATELVDVITNPLVKSKTMWTKLITDPARCKAFVYNA -LSETPDPFLKTIQQTLPAKARRANKRRLEELIEDDPDWIKSPEKRYKAAFDASILVAGKSRKRPAEGPPI -NKVPLTNLGESLDYNMAGGLIVSTGTKIRVMSQVVVDTSTTPLEGFVYTGVYTERPHGMEVTTLDEARRK -RLKRVCLMQHNRYYVLEEKESLWSATENIRATIEHQQSLIKASQAEAFLQSLPGPSTKRD - ->YP_145810.1 PB2 polymerase subunit [Thogoto thogotovirus] -MDREEPAESECTLRALVEEYNGACKEAPKEMSKQFTDYNTFKRYTTSKKDHAPQMRLVYSVRKPWPISMT -PSKEIPLVFNGTKLKDTILDLGESKRTRANIVVPDYWSKYGSQTSLEVVNAILYAEDLKVQRFFSTEWGE -IRYGRMLPFRKPVQACPTIEEVNPASIPHTLLQVFCPQYTTLDSKRKAHMGAVEKLKRVMEPICKVQTQE -SAVHIARSLIDSNKKWLPTVVDHTPRTAEMAHFLCSKYHYVHTNTQDLSDTRSIDNLCGELVKRSLKCRC -PKETLVANLDKITIQGRPMREVLADHDGELPYLGICRVAMGLSTHHTMKIRSTKFSILNSDHPRIEVKKV -FSLSPDVQVTIPYRRFKGKAKVYFQNDQIQGYFSCTDRQIDEIKISAPKNAPLLEPLLDICYYGSFIEPG -FEQTFGFYPAGKREFVDSFFMHHSKDHKAFLIHMGLDKDLSLPLSPELNWKEPALSKVCRVTELDSTVQP -YTSATREFVLGETLNVYTQHENGLELLICPTEIRSTRGPLPPGTNLSGSEFIDIYQDPFSRAKSLLKSTI -LHAERCKEFVGNMLEEYQDPAETTVQSLVPINTWGKSAKRKLQEEITSDPDWHQCPRKRAKMSYLAIIAG -SIQDRDKKQTNVPRAFMLRGSQIEYDMKATRGLVVDTTNRIIVGGETVLREGKGGPEGYVQTGVFEEQPR -CYLVDTPDHGLSMGLSRFCVHSQGRYFQYEKKISIWEETDNIKATIDSQRDLKRRRDIEEMVSKRARIV - diff --git a/seq/clusters_seq/cluster_1178 b/seq/clusters_seq/cluster_1178 deleted file mode 100644 index 44082c7..0000000 --- a/seq/clusters_seq/cluster_1178 +++ /dev/null @@ -1,39 +0,0 @@ ->YP_009551663.1 hypothetical protein [Stemphylium lycopersici mycovirus] -MATFGSAEAYRAAQLAAIELMTRGDWGDFGGLGETSDVFAEHERFPEDPFDAPDHVDPGTAWASVATGSA -DDLSREVAPTAVDYVPRSEPYSVRGVDIVEPVTAFCSPADLGMANFPGNVLKGSATTLRRAGSAAANAGA -ILGTDMLMAPKASVQAIMARVIAALEMLQSGWDVGGPPDVGLDVRHAARDDFDVASARHPQHAWLYIPSD -WTEEEVAALVSLMVEGGPAAYRWGYADGDPGGDEGVNGNPLPRDGVMPAGALWRWPGGWSNYLLIGERDR -GWNVAFGGDALSVSSLSAVLRRMVEAYGQRVYLDAARAAAVANRAYCAPAYQGGGGERDIGTAFTSDRVS -VRREDGTSGRLAVPARNVVKDHPGPLPDPAAGYDGGFGGVVPGGCGGRVWAAPVVPSPGDADLVGATLAP -GIDDRGPGGAGRAPPHFRTADASPAGLLVVSNHGRQLFEWAWDPPAMEVDDPREAYAVANAWVRLASCFL -RHGGQVREEMRDYSVRTRAGATRTVYGTVAHATSLIMPRLNLDGWWPALIGLSVLRHDRIVPKLDRRLLR -PAFTKYAADVHLLTHRTLYESGNSLADLKDALVGAKVVSRFPPAYRAGVWPHVFSDTCMPYGNYECLESG -VLLGGGNETEGVGFGVQGSWKWDGAQRRAAVDGAGAPAVRQSLRALDSVARKVYFLGGSFRLDATPDRRV -YVVRPPGSRLYHPYFVPVRVLEDGLPSEVRYTAIGNGALLLASGRAAEVGRASGVI - ->YP_007353983.1 hypothetical protein [Aspergillus foetidus dsRNA mycovirus] -MLSNEEFAAKMEARRNLALEMLAKVHREGRPSLPSIGQRPGPEPTKITQDYPEVEEDPINDVTTTGSAER -NVTMAPESTLAGTVNTVEPVVVSDVPVCEARGAEGFDAPLPVQSTLAGVDGAKVHFANVVKQAHQNVRSE -GDLASSIAIAIGQDATESTEATVTAVTSRIAGARIAVQKGALASQLPRQGMDVRVCALRDAMSAQRQFPT -HTPVYVPSGLSDAAGAALVSLLVGGGPAAYVWEYPAGIGDAGLMPAVMRWKWPGGSDRLLVISEHDRDWL -VPFGGAALTADALIAVENYYRAEWGDSIFDDGWRAAMALSGVYVEPEAMRFNFGRDVQVKQTKRRYLIDG -AEVAAADAWPGPADPGERGDDPELRGIFTHIPPAVLSELGAPLWRLWVEHHDNWDGERPNIDMYMSMQLE -VRGIMYLDTGGTRWLAHWADLTTPEMREADPRLVELVHLARRTQVHAVYGDGIAPPPRRGDIDPRQMRYR -SVFGSSDVGVMLIPRLRITSVLAVLAGISVTVPARPVERFWDDGNMKVVFESYASRLHFLFTRSLSDQFL -EPAVMRVLPLSGAVGMLGVPEAYRCLVLPKHLDGVNYPFENLECLENGGILRSFNDTPFAGMAVPGSWDM -QGGLLVVAADGNSYAAIDSAARVTWLTDGRIDVHTRLRPGKRITVILNGDQLPNPFAIPRFVSGTRGVER -LSIEAGRGLNALQAGRAMGAYIWSSF - ->YP_001976151.1 hypothetical protein AltMyV_sM2gp1 [Alternaria alternata virus 1] -MATFGSAEAYRAAQLAAIDLLTRGDWGDFGGLGETSDVFDEHERFPEDPFDAPDHIDPGSSWASVATGSA -DDISREVTPTVVDYVPRREPYSVRGVDIVEPVTAFCSPADLGMANFPGNVSKGSATTLRRAGSAAANAGA -ILGTDMLMAPKASVQAIMARVVSALEMLQSGWDVGGPPDVGLDVRHAARDDFDDASARYPQHAWLYIPSD -WTEQEVAALVSLMVEGGPAAYRWGYARGDPGGDEGNGQARERVMPAGAAWRWPGGWSNYLLIGERDRGWN -VAFGGDALSVASLSAVLRRMVEAYGQRIYLDACRAAAVANRAYCPPCYQAGSKERDIGTAFTSDRVVVRD -GNGGHMRQIVPARNMVKDEPGVLPRPAEGWDPVDDGGVVQGGCGGSVWALPVFPSQRDADRVGRFYAPSI -DDRGPGGAGRAPPHFRSVDWSPVGLTVVTNHGRRLFPWAWDPPPMAEDRVNEEGVEEADEVADAWVRMAA -CYLRKVEIVRALEGDHGVHTREGDTRTVFGTSAHYTSYQMPRLNLDGWWPALIGLSVLRHDRVVPKLDRR -LLRPAFTKFAADVHLLTHRTLFESGNSVADLSDALVGAKVVSRFPPAYRAGVWPHVFSSVNMPYGNYECL -ESGVLLGGGNETEGVGFNVPGSWKWDGVQRKAELDGSDAPAIRQSLRALDSVARKLYFYGGTLRLDVHPD -RPVYVVRPAGSRLYHPYFVPVRVLEDRLPSGVRYTAIGSAAHLLSPGRPTDVGRASGVI - diff --git a/seq/clusters_seq/cluster_1179 b/seq/clusters_seq/cluster_1179 deleted file mode 100644 index e7acf11..0000000 --- a/seq/clusters_seq/cluster_1179 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_009551661.1 coat protein [Stemphylium lycopersici mycovirus] -MSFSVSDFFVCGGFEEGWLECGLLDEKTAFHLAAHVGSDGIIDVNLEKIPAIPVVPWNTGGGLRDYDGGG -MGGFVDVGVLKRPVQLWEGEACGLCRIGDLAGLCEIVGCGRRSAGVATAETEVLVTSCVMVAVQRAALAL -APDDADLWDTVPVRVNAGGYSFLKDMSLDLAAEAEVITSGAEKLLADFFKSTAEPHQEVVIMAQDDEEAV -IEAGADHEVGWGNLTTLAPCAAVDGADDDVVSIVSGRGDDVGFEEDVSTGDGLGEHLDDFLFLRGGTAVE -KSRSVAGCLLALLRRLGDTGADGDGVVLVFGDSPGVAARELAQAGYRVLGIDRDPKHAAPPGWRDKYRTV -VAEVDDGLTRSEVDGWLAEVNWAGRPVVAALLDIDQGGRRSSVADTALNRELSTMVLNEWGGAFTVVRYR -GLPVLPFSAYVLPTRFHEPQGAEMYAVHGLEGPALSEVAAFHLSSWHVEGNVNFGVTLLSKAWRDYGRRR -GLGFEGGGKGEMSPDGGERRGYTGKFRVVGAAGYLSLLGLRNGVEELRLAGTPFMRAGKDARAFVELLGR -LKTVMGKVRPERAAELTAKFQPADRVLLRHVSNATLGVSPTLLQAMQSRYDGLLKYCSMAERQDQNPDLG -DLTRHPGFGAVFHWGFSRVRDELGVVFPYTQYARVLPLAAMPILASRSWVRMIAWLLKAHDRLMGKPLHT -WELQGLLWSLSHIGTQEEREVYFWGKLQGAADMLLASRRRGQRTQGAASADLNRILNAMEALGCKKLRLS -GLERAAFDAAFGVLGRGHFRHDGDYSRNPPGLRRAERVPERPPLSAGSPSHHRALSSSTTGSRGVYTPRR -IG - ->YP_007353982.1 hypothetical protein [Aspergillus foetidus dsRNA mycovirus] -MMLCFNVLVDPRSGRRDAGPDEACSAYEVEASQEGDRGWSLTFRRRAQSDLTDRMQDLSSSGDYYTSRGA -YCAWRRVREGVGAIRVNKLMVFIHRFVGSQAGMAPGAIPVSVHLVLSKQRTVNFAVDVPTSLAFPEGFNY -NFAEATLDDFGSPHEPVVAESALCAGVPGSVAQFSDEVLGDVAVSVSGFPVSADSPTIPSSEFRPKVRPP -PPLSLGALADGMFARGDSGHAKMQWLGSCFLNMLDPGGRALVGGDHPGSLSRHLLQAGVDVVGVDPRNVD -CYQGPRAGCAPGSYTQVSGRLHVNSCLDDYGHDWGGVFMDIGGDADSAEADTAVNLGLLRRFLDGGTPVG -IAKARSAPRVPGAYGVLANPGWEVRGCETYLWATQDTRPPDPDARTLFSVLVFEDRPFFHVFVPDASLLY -RLCAMFFDFSVDANAFVVPIDDWYYLVNLRAFVFAALRNTTGLGEAIVNARCGVDAWQALESYFGSTDLR -VRMECSDRFRSADPHNARGVSRSLLAMLPGLDAVMAARYSTLLTLCVDPGVDCPEEVGLLMRHPGSGALL -ADLSQLRTLMDETQPRLAEYSRVIPWNSMAILASELFFRLVYWLCLSTTRVYRPMHAWELQHMLWSLSNH -GTDAERFLFLYDRFSRIFTGVDQARSYSRKSGSAERALSSFQNNLDALGIRDRYLDFDAGVRNVILSRGR -PARGRVMSSAARRPSDSVSVRSVTTLTHSVLPEESADAPIADLSVFGQSRGWGGTTSLRTVLSVPTSGWI -KRGHMRIGAPCLLWCGLRISRARVGVRPARS - ->YP_001976150.1 hypothetical protein AltMyV_sM1gp1 [Alternaria alternata virus 1] -MSSAVSDFFVCGGFSEGWLECDLLDERTAFHLAAHVGSDGMIDVHLEKIPGVPVSPWHVGGGLRDFGGGG -LGGFVDVGLLKRPVQQWDGLACGTCRVGDLAGMCEVVGCGRRRVGMQVAETEVLVTSSIMVAVQRAAIAL -APDDMEFWDTVPVRVSAGGYTFIKDMSLDLAAESEVITSGAEKLLADFFRSTAEPDTEVVMLSQDDEEAV -VASCADHEVGWGNLATLSPCVAVDGADDDVVSVVSGRGDDVGFEVDTTQGDGLGEHLDDFLFLRGGTAVE -KSRSVAGNLLALLRRVGGTGVDAEGVVVIFGDSPGVVARELASVGYRVLGVDKDPAHAAPPGWLDKYRTV -VAEVTDGLTSSEVEGWLAQVGWGGKRVLAALMDIDQGSKRSSVSDTALNRELSANLLADWSDAFTVVRYR -GLPVLPIDAYVLPTRFHEPQGAEMYAVHGLEGPALADLAAFHVSSWHVAGSVSFGVQLLSKAWRDYGRRR -GLGYEGGGKGDVSPEGGERKGFTGKFRVSGATGYLSLLGLRSGVEELRLAHTPFMRAGKRARAFVELLGQ -LKTVMGKVRPERVAELTAKFQPADRVLLRHVSQATLSVSPTLLHTMQSRYDGLLKYCSAAELPDQGPDLG -DFTKHPGFGALFHWGFSKVRDEMGVVFPYTQYARVLPLAAMPILASRSWVRMVAWLLKAYDRLMGKPLHT -WELQGLLWSLSHVGTQEEREVYFWGKLQGAADLLLASRRRGQRTQGAASADLNRILSAAEALGSRKLKLS -PAERMVFGAAYGVVGRGQFRHDSDYSRNPPGGLRYAERPTLGRMASTSDGTSHHRTLSSSTNGSRGVYTP -RRIG - diff --git a/seq/clusters_seq/cluster_118 b/seq/clusters_seq/cluster_118 deleted file mode 100644 index 7d3627a..0000000 --- a/seq/clusters_seq/cluster_118 +++ /dev/null @@ -1,1148 +0,0 @@ ->YP_009179216.1 polyprotein [hepatovirus H2] -MMSDKKGLFQTVGSSLDEILTLADIEEEQVMEHPDRVSVAGASYFTSVDQSSVHASVSGSHQREHLLTSV -DLPGSKKTQGEKFFLIHTAEWQTTDALFHEVAKLDVVKLLYDQQFAVDGLLKYHTYGRFGLEVQIQINPT -TFQQGGLICAMVPADQGYGSLACLTVYPHGLLNCNINNVVRIKVPFVYTRGAYNFRDPQYPIWELTVRVW -NRLYIGTGTTPYTSVNILARMVDLELHGLTPIMTQMMRNEFRISTTENVVNLSNFEDSRAKISLALDQED -WRKDSSEAGGLEIKNFTTWTSIPTLAAQFAFNASSTVGSQIKVIPVDPFFYQMTNTSPTQKCVTALASIA -QMYCYWRGDLVFDFQVFPTKYHSGRVLFCFVPGNENMDVSKITLKQATTGPCAVMDITGVNSTLRFRVPW -ICDTPYRVNRYTKSAHVKGEYTAIGKIIVFVYNRLSHPNNVASHVYFNVYLSAVNLELFGPVYHVMNATT -QAGEDDSFSSTPEVVQNLPDPCGGITSPKDLKGKANQGKLDLAAGKVPKGVVTVLEDPVLAKKVPETFPE -KKPGISRHTSDHMDIYKFMGRAHYLGNFQFNSNNMQYTFPISISSTNNPPHGLPSVLRWFFNLFHLYRGP -LDLTIVVTGSTDVDGMIWFTPVGLAVDTPWTEAATKLSVDYRTSLGAVRFNTRRTGNIQMRLPWYSYLYA -ISGALDGTGDTSDSTFGSISVQISNYTHSDEYLSFSLYLSVSEQSQFLFPRAPLNNNAITETPSLLDFSD -MVESPVDDFKSEEDKKFEEDLANEVLPLEKIPRNKHPYKSLRMKVGEYRLKYAQEELQKNKLYTQASTVV -NFDVYEKDIGNHVFRGFGLNGKIVRFSTPSWIERNVNIKSGTFHFDTREDWVECQAPAVLRPILQKLVYI -DNWQNAKFSYNNISFPESVEKIKADEIFKNFDDTEIEILFNTFLPINNSIFKKMAKETGVKKVSGEASEL -ISECRKFLDEVKTSLRAFAYGFCRNQYVQWFKFVLKIVKLCMYTYVCHKAEWKPEVVWPIVAMLGLENII -EGMNLFEILSNLLNDCFNEKAEKRLLDLKTESLGWLRDVTAGITIFKSLKDLTLWLVDKIKQQYDKYCGK -TAKKLQLIRDHESEIESTLEEADAFCTKYIQDVEKEVEFNKGTTLLRTLRTVSSFLSEDNSLRKHSMPIR -DAINRVHQKIRSLGSINQNVITRAEPVVCYMYGNRGGGKSLCSLALATKICKAYGVDPKKNIYTKPVSSE -YWDGYSQQLVCIMDDIGQCTDDEDWADFCQLVSGCPLRLNMASLEEKGKHFSSPFIICTSNQPDPSPKTV -YVKEAISRRLLFKIEVSPTQYYSVNTMLNVNLAKRDGTIKDMSCVELKLEGTLVNMDTLVNAMVDQVKAR -QQNMDDFMELWSQGFSEIRDTLYNDFREAMSFDKMKLSTQNRLTQLFKTLRENKLLILGGVIGFFVTAGA -IYGGYKFLKNKFGKEEEEEKEEENLTTTGVYYGITKPKNVVKLDSIENDSQSVVEISGLIHKNLVRFGIG -EKGGCVNWVMNALGVRDEWMLVPSHAYIFEEELASKEFYFERNGTYYSTSSGNVQIHTLDTGFQDVVLMK -VPQIPKFRDITSHFLAKKDVEQVEKRLATLVTINNGVFQMVSEGPLKFEEHVTYTHKDKDGSIKDLTIGA -AFRGKGASVSGMCGGAIVSSNQKLQNPIVGIHVAGGHGNMISKAIFKEMFEVIDAKVEQAQRITKVEFTQ -CSVNIGTKTLINKSPIHELIPQEKINFPAAMPFSKKNEIDPVQVMLSKYDVPWCPQPVNYEQAADAYLDK -IQGLDYIVEDQLTLKEAIEGVPGIDAINMKTSAGLPYTLHNLDKEFLIYLDDDGTLIGIHPLLKSRLDLN -MCCLDNGNDMDVIYMTCPKDELRPLEKVLDSKTRAIEACPLDFTIICRMMWGPGISYFQLNPGFHTGVAV -GMDPDSDWDSLFKAMIEFGDYGLDLDFSAFDASVSPFMIYSACAILSSLSGLSETQNQSLFKAICYSRHQ -ILNMIYTVYGSMPSGTPCTSLLNSIINNVNLTYVFSNVFKKPASFIHELVKIICYGDDVLIVFRRDIDVL -KLDKIGQKVVEEFSELCMKVTSSTKGTPEIKKISELTFLKRKFHAEDDRIRPDIDEKTVWSLVAWQRTTA -EFNQNVETACWFAFLKGREFYSGFKMKMIDCLRMKGINLHIPRYEFWLDRFRQLEFGRDMS - ->YP_009553710.1 putative VP2 [tremovirus B1] -DPSVETQELTNDRVAIGGAGYFTTVDQQAVSNAAVGTRKEEVLASAVDLPGSKVSQGERFFLIAQVDWTT -DKTVGQALGADKAGLDVVKELMATEFAVDGLLKYHAYARFGLEVIVQINPTNFQAGGLITALVPAGELEG -SLASLTTYCHGILNCNINNVVKMRVPFVYSRGMYNLRDPAYAPWVLVLRCWSPLQVATGTSTMVTVSVLA -RFTYLEMHGLTPLYSQ - ->YP_009552829.1 polyprotein [tremovirus B1] -MADRRGLFTSIGGAVDSAFNMLADPSVETQELTNDRVAIGGAGYFTTVDQQAVSNAAVGTRKEEVLASAV -DLPGSKVSQGERFFLIAQVDWTTDKTVGQALGADKAGLDVVKELMATEFAVDGLLKYHAYARFGLEVIVQ -INPTNFQAGGLITALVPAGELEGSLASLTTYCHGILNCNINNVVKMRVPFVYSRGMYNLRDPAYAPWVLV -LRCWSPLQVATGTSTMVTVSVLARFTYLEMHGLTPLYSQMMRNEFRISTTQNVMNLANYEDSMAKVSLAL -DQEDWRSDVSSAGDLKVTNFRTWTEIPCYSYSFSYSGASEHATLIWANTVDPYTYGMHVQEPGEAPSGYH -STNLSSVASQYAYWRGDIVFDFEVFCTPFHSGRLLIVYVPGSEKTPTASITMRGASTGLCATFDIKGVNS -TLRFRCPYVSDTPYRTNPFSGTYVVGSLKPYATGKILVYVYNRLQAPPTVSPSINVIVYKSAQALELFAP -LWSAIDGRPALATTQAGDDEAGFSTNETVQQVNTQPKQELKSTRELTVTPEGAVTALEDPKISRRKPGTF -PETGHGYKRHTSDHMNIYKFMGRAHYLFAITFTKTDVQYTFPLHLDTNLYNSSSDVLGLEGTLRWFLHMI -QLYRGPIDISFAFSGDTNVDGIIYFTPAGVGQETDSSEVASKLTMNYKAATGMVRFNTARTNNVQLRLPW -YSNLYACTCNAPLTGDIDGLYGHISIQITSYNVADENLRVTAYLSFTPESEFLVPRACPSYHWMRDDTPT -TMIGTGHGSAVNGPSRARRDLEHLFHRQNLAESSVDSANASENEEETNAIYENINPSTRAIPSAPPYYEE -IDGDTNSVKVGNYFSEVNQRLGLEVLPRGPIAGIPKIGKAIDEPPLHAKVVKLPKVGGGFASSRSRPGTP -SIAPRFKSQCEKCILVEGDVVICKVPTGKHYGVYVGSGVVHVDPDGVFWTMKKKALVLKSHNMKKWCKAP -DEEQPPMDKRSEITSVAIAMIGQEVDYNIFKQNCETWAKAIAGIDTTSKEGKRWSKLLTAVSGAALISAI -TYFRHECWAELKLDLPTTHEIVGAGVSDHSPNTPSTQPHSLVSSPDPGEGPSSPVESSGMWTRLKARTIG -TMSKMVVPEAISSTTAEVKSLVNDAKGFFRRVKDALYSMQPDMSGFPWKKVVKWTLIALRLALSIGIMWR -TDWDPTVMSLVTALFAVDVGATIITAAEIMDAMLNKVCTLVPEMDNMTSQSPFFTSKAFWKETNLVLSVC -KNMKEVVLWVMEEVRELFEQISGQKEKETKELEDNKMVMAQLIAACDSMMISNPQGKELESFVVKGRDYV -KALRTLYIKMATSSETSSFCPYISGVINSMTNKLKDLPIPETGILRAEPTVVYLYGQRGSGKSLCSMALA -VSLCKQFGMVPTDSIYTQPFGSQYWDGYRQQPIVIMDDIGQLSDDSEWASFCQMVSTCPFRVNMAGLVEK -GMLFDSPIIICTSNMAEPAPKTIYCREAIDRRLQIKVHVEARADYQVNGILQMMKARDDDKLKDLSCLCL -TTFVGGKKEVTLDELINMVQSSVMLKAGQMDTFLHYFSQMMHKEGDDLTGMMDKLSKTYGNDFLRVNTFS -EICSKMSEENQTWYSKLWSSICSNKYKILGAVAAVIGLVIASFVLYKTFDEDVKMTDEGAYSPRTPINKA -VQIKHLGGTTTELPTSQTVIDMAALVQKNLIRVGYGPTEEKIQWVVNGLGLRKDLALIPSHAFMDAKEED -SMFLERNGTIYTMPIKDVIQIDLESRYSDVKIYKFVGIPPWRDLTKHFVSEEDLGTCMSLPATLCTMVSG -MFQLVHETQTQLLPDFTYDHIHDDGHVEVLTVGRVVRAKASTVGGMCGGVLVSTSTRAQTPFLGIHIAGG -NGHAATKLITQQMLENADRDQSQRSRVFNLKFDQPVVSTGSKTAYQKTPIHDEVKNEHEINYPASLFHSR -QAEVDVAATMLSKYDSDVVEEPEGYSEVSIEYSNYLATRLDMKKELLSYQDCIEGIPGMDGLDMKTSAGL -PYTLMHLTKKDLILDGQPIGQLKEDLERLEDGVMQGAVPNIEFTTVAKDELRGEEKVRLGKTRAIEVAPV -HYTILFRRFFGRAVAALQSRPGFDINSAVGCDVDVHFHQWAQEINAFGDELIDLDFRNFDASLSPFMLFR -AYNILGMLSGIDTALVEALVSPIVYSKHRVSSIQYTVEGGMPSGAPATSVVNSLINSTNLWFVIMQVFKC -SFSQAMKLFKIITYGDDVLMVWNREQGLLPSESLPLMQQSLTNLGLSATGGDKGAVRVKTLQEISFLSRH -FVMDSYGIIHPALKEVSIYSLLAWKRKTANFGDNLNDALWFAYHHGPRFYNTFVIWLSDIFSRKGLVYYM -PSYVMLRREYYYKQGMA - ->YP_009505614.1 polyprotein [Bat hepatovirus BUO2BF86Colafr2010] -MMTDKKQNPGLFQAVGSGLDKILTLSDLEEEQTMQTPDRVVVGGASYFTSVDQSSVHSSVAGRHQKENLL -TSVDFPGSKKTQGEKFFLIHTAEWNQSDALFHEVAKLDVVKLLYDQQFAVDGLLRYHTYARFGIEVQIQI -NPTTFQQGGLVCAMVPADQGYGSIACLTVYPHGLLNCNINNVVRIKVPFVYTRGAYNLRDPLYKIWELTI -RVWNRLYIGTGTTPFTTVNVLARMVDLELHGLTPIFTQMMRNEFRISSTENVINLSNFEDSRAKISLALD -QEDWLSDTSEAGGLKIRNFSTWTSIPTLAAQFSFNDSATVGTQIMVIPVDPFYYRMTNTSPSQKCVTSLA -SIAQMYCFWRGDIVFDFQAFPTKYHSGRLLFCFVPGNETMDVTKITLKQATTGPCAVMDITGVNSTLRFR -VPWICDTPYRVNRYTKSSHVKGEYTAIGKIIVFVYNRLANPNNVAGHVYFNVYTSAVNLELFGPVYSVMT -NASAQAGDEEGFSSTADTQQNEPDPCGGITNPKQLRGKANQGKLDLAAGQVPKGAVTIIEDPILAKKIPE -TFPEKKPGVSRHTSDHMDIYRYMGRAHFLGTFTFKSNNKEYTFPISLSSSANPPHGIPSTLRWFFNLFHL -YRGPLDLTIVVSGATDVDGLIWFTPVGMASDTPWQEKESALSVDYKTSLGAVRFNTRRTGNIQMRLPWYS -YLYAISGALDGEGDKSDSTFGTLSVQITNYTASDEYLTFALYLSLTEQSECLFPRAPLNNNAVMDTPDSH -NMMCRIAAGDLESCVDSVGSSDFEDIEQTQSGADIKEFSLVSREEENRIIKGFYFDGKVFRLSESNWFES -LVPFKSGCFIQENPDNWGVYQENPLIAPLLKVLTSIEGWNNVKFPYSKMRDPKKFTEFAKKDPVLSKVIE -YYSSEDLLEIFYTLKSDELTVVDKLANKSGVKKVTSSADKLIEECRSFLKSIKQGIKQFALSFHSNKYVK -WMKFIMDMVKIAIHIYICHKCNWDRKIVLPIVVIMSLEQITKATDLLSEISEIISDRFGDDDEGREHLKT -ESLGWMRDLVSGITIARTAKDIFIWLWDKLKNFYDRKFGETAKKLKLLKEHEEEIENTLAESDAFCVSLV -QEVTKEEQYRKGIDLISSLRTVISLAESDPSLKKHSMPLRDSVQRVHNKIKSLGAINQNVITRPEPVVCY -LYGRRGGGKSLTSMALATKICKQYGVDPKKNIYTKPVSSDYWDGYSNQLVCIIDDIGQCTDDEDWSDFCQ -LVSGCPLRLNMAAIEEKGKHFSSPFIICTSNQDDPSPKTVYVREAIHRRLHFKVKVEPKKYYMLNDMLNV -GLAKKDDKIRDMSCVTLSIETSEIDLQSLVNNMVSTIKVRTQNMDEFMDLWSEGWREVKDAVTDEFRQVM -NFHRMREATIRKLRDFFKAIKGNKTIIIGGAVGIIGLMIGFYHGFKAIKAIYEEEKEEEEEPVTSGVYHG -VKKPKNVIKLDALTPEAQSIVEISGVIHKNLVRFGIGTKGGSVDWLMNGLGVKDEWLLLPSHAYIFEEDL -QDKEFYFQRNSTYYSVNSGSVTIKTLDTGFQDVVLMKIPSIPKFKDITHHFIKKSDLEQAENRLATLVTM -NSGTFQMVSEGQLKLEEHATYSHKTDTGEIKELTISQAWRGKGMSSAGMCGGGVVSSNSKLQNPIVGIHV -AGGKGTMISKVVYREMLENLDLKIENNHRVSKVEFTQSCVNVGSKTLFNKSPIHDYVEPGLINFPAALPF -QKTNEIDPIQVMLSKYDVPIAIEPGVYEKSFDYYFEKVQGLPMIIDDQLTIEQAIEGVEGMDPLNMKTSA -GLPYILHNLDKELLIWKDDDGKVIGLHPFLKNRIDLNLMCMDAGNQMDVIFMTCPKDELRPLEKVLSSKT -RAIEACPLDFTIICRMMWAPAISYFQLNPGFHTGVAVGMDPDPDWDSLFKAMLRFGDYGLDLDFSSFDAS -LSPFMINYGCLILSELSGLSEGQNRALSHAICYSRHQILNMIYTVEGSMPSGTPCTSLLNSIINNINLIY -VFSLVLKKPPNLIFNYLKMICYGDDVLIVFNRKIPIEVLGKLCPKIVKEFAELKMTVTSSTKGLPEVKKI -SELTFLKREFNLDFPRVKPSISDKTIWSLVSWQRNNAEFEQNLDTAFWFAFLKGQDFYESFTNKIRDLLR -MARITKTIPSYQTQNARFLELEFERDMD - ->YP_009505613.1 polyprotein [Rodent hepatovirus KEF121Sigmas2012] -MSLLQNIGEGLDKILSLADIEEEQMIQSVDRTAVAGASYFTSVDQSSVHSAEVGSHQKEKLLTSVDLPGS -KKTQGEKFFLIHTAEWQTTDALFHEVAKLDVVKLLYDQQFAVQGLLRYHTYARFGLEVQIQINPTPFQQG -GLIAAMVPADQGYGSIASLPVYPHGLLNCNINNVVRIKVPFVYTRGAYNFRDPQYPVWELTIRVWSQLFI -GTGTTPYTTVNVLARFTDLELHGLTPIMTQMMRNEFRVSSTENVVNLSNYEDARAKISIALDQERWLEDS -SEGGGLTINHFSTWTKIPTLATQFVFNDSATVGTQIKVIPVDPYYYQMVNSSPSQKCITSLASIAQMFCF -WRGDLVFDFQVFPTKYHSGRLLFCFVPGNENMDVSKITLKQATTGPCAVMDITGVQSTLRFRVPWISDTP -YRVNRYTKAAHVKGEYTAIGKLIVFVYNRLANPQNVAHHVWINVYMSAINLECFAPIYHAMDNTGTMAQA -GDEMEFSTTVTANQNVPNQEGGITTPKDIKGKANIGKMDIAGIKPPVGAVTTIEDPVLAKKIPETFPEVS -PGKSRHTSDHMSLYKFMGRGHFLATWTFTADNMQYTLPLSLSATSDPPRGFPSTLRWFFNLFQLYRGPLD -VTIVITGATDVDGLIWFTPVGLAADTPWTEKASALSIDYKTSLGAVRFNTRRTGNIQVRLPWYSYLHAIS -GVLDGIGDTSDSTFGMLSIQIANYNRSDEYLSFSCYLSVTSESQFMFPRAPLNTNAMKISIRMLDRISEG -DLESSVDEIRSDEDLKFERNLESRRPIKYYKDLRMELGEMRMKQAFEDLNKGKLFTQAGGNVILYSSEID -QAVKIGFTSLTLNPVAKRYYAFSLPDSDQKFMLGYKDGVLTGKFEECPPDMVWTIEKNQKISKLLSRMVK -CPSWKNLDFGKGILNFEEAAKKICDLELTSLTKLKVEDIVAILNFLHPKVVNISDRVLGGTGVSKVMLES -KNLVEDCRSFLQSVKESLKAFMFGFKTSTTVESFNFVMTIVKCGLLVYVSQKLDDLGEHNLCNLLRVMNI -LDIGCSLVSFGKIISHLLNDLFSWQAEERLLGLHTQSFSNWLKDISCSVTIFKNLKDAIKWIYMKIKDYY -DEHYGEKKRILEAIRDNQARIESTIERADDFCLQQIQDVEKQDEYRKGVKLVKNLRTIHALALADPALVK -FLQPIRDAATWVHGKLKSLGAINQSIITRSEPVVCYLYGKRGGGKSLTSLAIATKICKALNVDPKKNIYT -KPVGSDYWDGYCGQLVCIIDDIGQATDDEDWADFCQLVSGCPMRLNMASLEEKGRHFSSPFIICTSNWAN -PSPKTVYVKEAIERRLHFKVEVKPAAFFLTPNSDLLNVALARKENAIKDMSCVDLTCSNVKTTVDELVEI -LVETSNVRISNMNEFMDLWTQSYNEDDDDDFSQSLQRLLEDGELRRPGLLNKLCEAITNHKWLVFSAGVG -ILGLLVGGWYAYNRFFSHEDIPAEGVYHGVTRPKQVIKLDADPATSQSTLEIAALIRKNLVQFGIGEKGG -SVRWVMNALGIKDDWLLVPSHAYKFEPNYETLEFYFQRNGTYYSISAGNVVIQSLDVGFQDVVLMKVPTL -PKFRDLTEHFISRKDLDRAVNRLATLVTSVGGNAMMISEGPLKLEEKASYHHKSEDGKMTEISIDMAWRG -KGEGCPGMCGGALVTSNQSIQNAIIGIHVAGSNTVMVSKVVTKEMFKNIESRKIESQRIMKVEFTQSSVS -VVSKTLFKKTPIHNYIGRDMINYPAVMPYQRNVEIDPIAVMLSKYDLPMIEEPVTYYDCVEFYQNKILGK -DIIYVEPFTVEQAICGVDGMDAINMNSSPGYPYVSMGFEKSDLIWLDAEGEYVGMHPVLKQRIDFNLTMI -DNGNQMDVVYTTCPKDELRPMEKVLMSKTRAIDACPLDFTIICRMFWGPAIAYLQSNPGFHTGIAVGMDP -DADWDALARSMIRFGDYGIDLDFSSFDASLSPFMIEHACSVLSFISGVSDSQSQVLSNAISYSTHVFGNL -MYTVLGSMPSGSPCTSLLNSIVNNVNMYYVFSKIFKKKPILFYEKVKFICYGDDIMIIFSRDLEIKNLDK -LGKRIQKEFSLLGMTATSALKGEPKVVPVMELTFLKREFKYIIDKFRPAISTKTIWSLVAWMRSNAEFAV -NVDTACWFAFMHGKDFFQNFVLQLQNYFKLECLDISLRSYEWYYQRFEALDFTRDFD - ->YP_009505612.1 polyprotein [Rodent hepatovirus CIV459Lopsik2004] -MEHFGLLQTLGEGVDKILTLSDLEQEQVMQTPDRVSVAGASYFTSVDQSSVHSSVVGSHQDERLLTSVDM -PASKKTQGERFFLIHTAEWTTTNANLNEIAKLDVVKLLYNQQFSVDGFLKYHTYARFGVEVQVQINPTSF -QQGGLICALVPADQGYGSLTCLTCYPHGLLNCNINNVVRIKVPFVYTRGAYNLREPQYPIWELTIRVWSP -LHIGTGTSTYVTVNVLARLTDLELHGLTPVSQMMRNEFRVSTTDNVMNLCNYEDARAKISLALDQESWRS -DLSEAGGVKISNFSSWTSIPTLAAQFAFNASATVGQQIKIIPVDPYYYTIHTESPEQKCVTALASISQMF -CFWRGDIVFDFQIFPTKYHSGRLLFCFYPGHENLDVSRVTLQQATSSPCAVMDITGIQSTLRFRVPWISD -TPYRVNRYTKSAHVKGEYTAIGKLIVFCYNRLSHPSNVANFVSINVYMSAVNLECFAPIYHNMTYQAQAG -DDSFSSTPEIEQNAPDEFGGITTPKDLKGKANSGKMDLAAGRVPAGTVTILEDPLLAKKTPQTFPERAPG -VSRHTSDHMNIYKYMGRAHFLCTFTFTSNNKVYTFPLTLSATQDPPHGLPSTLRWFFSLFHLYRGPLDLS -IAIDGATDVDGMIWFTPVGLASDTIWTEKASELSIDYKSSLGGVKFNTRRTGNVQLRLPWYTYLYAISAN -LDGTGDASDSTFGMLSIQISNYTSTDEYLSFSVYLSVTEESQFLFLRAPMNNGVLKESDGRMSVRARRAL -GDLESSVDDPRSDSDKKFEQEISNEIGPVEQIPSNTKLGQVYKDLRMDVGKLRMKYAQESLRKRKERETN -FVSQSSFQDFLLLGKKTCPYRAFTFDGDIWVLNTPGYIQRKWGGNIKAFVKYNNSGDPGLDFLDWTVLPT -DMKILQALSNLTQNRRLLDIEIMVKIFDYEKLDERFGECVSNAPWSFLGVELSTYFLNFFRCTNESKSVI -SKLMDASNSSEEFLSEFRSLSSEVNGFLDTVKSAVSGFVFELSEKKWMWILRTVLRMIRSGTFIWMANRI -ENGSKLYMGMLALELVDLGIDIVDAGMWFSVILKRQFEFKCDDKMMGLRSEASSLAEFLRNAVCGVSLFK -SAKDAIIWLYDKVKDWYDENYGLKRQALVALMKHQEDLENVLSLVDDYCVTVVQESNKEQMCTTGLKILR -CLRTFYSLTSGMDDLKSYMHPIQDAITKVHMKVRNIGHINQTVVSRPEPVVCYMYGERGGGKSLTSMALA -VRICKLMKVDPKKNIYTKPVGSDYWDGYCGQMVCIMDDMGQNTDDEDWQNFCQLVSGCPLRLNMAALEEK -GRHFVSPFIICTSNQSDPCPKTIYVKEAITRRLHFKVEVTPKNDYVCGNNMLDVEKAKMDGQIAEMSCVN -IVSNCSRYTLLSLADEMVGCVQSRTKNMEEFMSLWSQAFYDGENVSIDAEIEELLRVPKASAVRIHLRKM -FEAVKQNKWWLLGAALGVCGLAFGAWKSYKWFTSSTELESDGVYHGVTKPKNVVKLDQPVRPESQSIIEI -SNLVHKNLCRFGIGKKNGEQIWVLNCLGLKDDYVLIPSHAYQFDTNKEEFLFEREHVVYSISPGNVEVYS -LDTGLQDVVIMRVPNMPKFRDITSHFIKRKDLDDVTNKMATLCTNYRGVYQMIAEGPVSIEKMGTYTHVD -SEGNKHELTVNDIIRGKAHTLPGMCGGAVVSSNQKLQNPIIGIHVAGGHGNTMAKVVWQEMFEVINTKVL -HSQRIRKVEFSQRKLNVGTKTLFHKSPIYDLVSKNLINYPASLPFSKQNDIDPIQVMLSKYDTKMVDEPD -CWHSAIEAFKVDACAGFKQNKRLTIREAILGVGGMDGINMKSSPGIPYVFEHFRKEDLIEIDGDSCVLHP -WLESRIKNNAIMMEKGGSLDVVYATSPKDELRPLEKVCCGKTRAIEVCPVDFTILCRVMWGEAIVYFQSN -PSFTTGIAVGIDPDTDWDSLFRAMCLFGDKGMDLDFSGFDASLSPFMIWSAVRILGEMCGNAEIHDQSLF -RSISNSVHQMCDLIFHVEGGMPSGTPCTSLLNSIVNCLCLYYVFSKALGVSCFDVKSVVKWITYGDDVLI -VFNRDVDINFDSLCPEIMEQFSMLGLSATSSEKGVPRVVSLGELTFLKRKFHFEDDRFRPSINEKTIWSL -VAWKRSDADFIQNLETASWFAFLKGFEYYSKFSEQLEAKLDIAKVEYKLKPYAYWLSRFKQLEFCRDMN - ->YP_009505611.1 polyprotein [Bat hepatovirus SMG18520Minmav2014] -MENKNKGIFQTVGESLDGILTLADLETEQMVQTPDRVSIAGASYFTSVDQSSVHSSVVGKHQQERLLTSV -DVPGSKKTQGEKFFLIHTTDWQTTNGMLTEVAKLDVVKLLYDQQFAVDGFLRYHTYARFGVEVQVQINPT -SFQQGGLICALVPAEQGYGSLTCLTVYPHGLLNCNINNVVRIKVPFIYTRGAYNLRDPQYPIWELTIRVW -SPLSIGTGTTPYTSLNVLARLTDLELHGLTPIYTQMMRKEFRISTSNNVMNLANYEDARAKVSLALDQEH -WLEDSSEAGGLVIKNFSSWTSIPTLATTFAFNASHSVGSQIKVIPVDPYFYQIKTQSPAQNCVTALASVS -QMFCFWRGDIVFDFQIFPTKYHSGRLLFCFIPGNENMNVANLTMKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSEHVKGEYTAIGKLVVFVYNRLSAPSNVASHVMVNVYMSAVNLELFGPIYNAMSVTA -EAQAGSDEEEQDDGGFSSTVQAVQNKPDPVGGLTTPKQLKGKANKGKMDLAVGKVPTGAVTTIEDPILAK -KIPETFPEKKPGKSRHTSDHMSLYKFMGRAHFLNTFTFNSNNMQYTFPITLSNSLNPPHGLPSTLRWFFS -LFHLYRGPLDLSINVTGATDVDGLLWFTPVGLASDTPWKEAISAVSIDYKSSLGAIRFNTRRTGNIQVRL -PWYTYLYAISSSLEGFGDKSDTTFGTVSIQIANYTHSDEYLSFAVFLSVTPESQFMFMRAPLNNAVMHES -DGRAVSVRTRREAGDLESSVDDPRTKEDREFENEISKQKIPVEQTPSKPLGKPYKDVRMEVGKMRMKYAR -EEWERMKEKRKSGEMSNFIDDTYMAHSIGNLADYSVVKRLVNGETIRGFVLGKDIWTMASFNLITKKVGV -KVKIFQKYTCAGEGYEALDALDWDLIDTPEIVSKALKIMTSVPNWHAAKLSHDVLEALDDPNQEHVLRVA -HTDPIWKQMEFPLFKTMLKILRPRKEDPVTRISNALGIKPNADKMTSEISELSKEIMSIIQGLKRGMSRF -VYGFNEKKWTFILAFILKLVRAGLFIYLAEQPGVHWDAKILIPIFVIEILDIGLEAVECFRWLSTLFNKS -FLEEAEHRKMKLDPDDLEAHASFSQILRDAVSGVVLFRNAKDCICWIFQKFRDWYDERYGEKAKRIQLIL -KHQEHIENAISVADRFCVQQIQDVEKPKQYDLGVKLLQSLRTVQNMVHDIPELKKESYPISDAINRVHQK -LRSFGAINQSFVSRPEPVVCFIYGRRGGGKSLLSMALAVKICKEMGVDPNRNIYTKPVCSDFWDGYSNQL -VCIMDDIGQATDDSDWVNFCQLVSGCPLRLNMASIEEKGKHFSSPFIICTSNQDDPSPKTVYVKEAIARR -LHVKIKVQPKQYYTDSIGLLNVQLAKEENTIKDMSCVNMITEDGEITLEHLVEDLVSKVQLRKKNMDDFM -SLWAQSGDGPKWRFTEDSFEECDDWNDEFEALFPFKKENIITTSLKKFFKVLSSNKWWLIGGALGIAAVA -LAAWGGYKWYKSKEDKKEREQHEQNVYDPSGVYSGLTKSKRVVKLDQIGKAETQSVVEISGLIHKNLVRF -GIGKKGECINWVVNALGVKDEYLLVPSHAYILENSMEDLEFYFERDPTFYSASAGSVEIFTLDTGFQDVV -LMRVPNMPKFRDITHHFVQKKDLEKCVNKLATLCTNYKGVYQMISEGPVKLDQHATYAYKDDEGNPHEIT -VSEAWRGKGNSAPGMCGGALVSSDQKLQNPIIGIHVAGGHGNMISKAIYREMFEVIEKKIPTAQRIKKVE -FSQRTLNIGTKTLLKKSPIQDLIDEDLINFPAALPFSKKNDIDPIQVMLSKYDVPIFEEPKGWDECINVF -LNKSTQDYTVIRPITAFEAIEGIDGMDGINMKTSPGLPYTLMGLAKDDLIYMDDEGMVEMIHPFLQSRLD -LNWMLMDNGNQLDVIYATSPKDELRPLEKVLNSKTRAIEACPLDFTIICRMMWASAISYFQTHPGFTTGI -AVGMDPDSDWDSLYKSMHRVGDFGLDLDFSNFDASLSPFMIKSAVEILGVMCGCSDQQNLALFRAISHSK -HQILNMIYTVEGSMPSGTPCTSLLNSIINNLCIIFVFSKILSIKPYQLEEVMKWITYGDDVLIVFNREVK -FDFSNVAGAAVRGFKEIGLTATSSAKGEPEVCPIYDLSFLKRKFYHEDGRFRPSISEKTIWSLVAWKRTN -AEFEENVKTACWFAFLKGFDYYEKFTCKLRDLLRMAGVQMHIFDYNHWKMRFDNQCFERDMD - ->YP_009220468.1 VP2 [Tupaia hepatovirus A] -DIEEEQVMEHPDRVSVAGASYFTSVDQSSVHSSVAGRHQREPLLTSVDLPGSKRTQGEKFFLIHTAEWQT -TDALFHEVAKLDVVKLLYDQQFAVDGLLRYHTYGRFGIEIQVQINPTTFQQGGLICAMVPADQGYGSLAC -LTVYPHGLLNCNINNVIRIKVPFVYTRGAYNFREPQYPIWELTVRVWNRLYIGTGTTPYTTVNILARMVD -LELHGLTPIMTQ - ->YP_009215780.1 polyprotein [Tupaia hepatovirus A] -MMTDKQGLLQTVGSSLDRILTLADIEEEQVMEHPDRVSVAGASYFTSVDQSSVHSSVAGRHQREPLLTSV -DLPGSKRTQGEKFFLIHTAEWQTTDALFHEVAKLDVVKLLYDQQFAVDGLLRYHTYGRFGIEIQVQINPT -TFQQGGLICAMVPADQGYGSLACLTVYPHGLLNCNINNVIRIKVPFVYTRGAYNFREPQYPIWELTVRVW -NRLYIGTGTTPYTTVNILARMVDLELHGLTPIMTQMMRNEFRISTTENVVNLSNYEDARAKISLALDQED -WRKDSSEAGGLEITNFTTWTSIPTLATQFPFNASSTVGAQIKVIPVDPFYYQMVNSSPEQKCVTSLASIA -QMYCYWRGDLVFDFQAFPTKYHSGRILICFVPGNENMDVSKITLKQATTGPCAVMDITGVNSTLRFRVPW -ICDTPYRVNRYTKSAHVKGEYTATGKLIVFVYNRLSHPNNVASHVYFNVYISAVNLELFGPVYHVMDAAA -QAGDEESFSSTPEAIQNQPDPCGGITSPKELKGKANQGKLDLAAGKVPAGVVTVIEDPVLAKKVPETFPE -RKPGISRHTSDHMDIYKFMGRSHFLGTFTFNSNNMQYTFPISISSTSNPPHGLPSTLRWFFNLFHLYRGP -LDLTIVVTGATDVDGMIWFTPMGLAQDTPWVEAASKLSIDYRTSLGAVRFNTRRTGNIQMRLPWYSYLYA -ISGALDGVGDTSDSTFGSISVQISNYNHSDEYLSFSLYLSVTEQSQFMFPRAPLNNNAMAESSRVRRDLS -EHVESSVDDFKTDEEKKFEEELSNEILPLEKIPRNKFPYRSLRMKVGEQRLKYAQEELKNGLFSQGNLVV -DFAIYEKDIGNYTFRGFGFKGKVCRFTTPSWIEKNVKIKSGTFCLENIEGWEEVQSLPSLVAVLQRMVNI -PDWLNARFPYCAIQNSDFFDQVKKEPIFSKLDLAEIEILFNSLIPTQNSTIRKIARETGVTKVSSEASEL -ISECRKFLEEIKSSMKAFAYGFCKNQYVQWFKLVLKIVKICMYTYVCHKAQWKPEVVWPIVAMLGLENVI -EGMNLFEILSNLMNECFNAEVETRLLNLKSESLGWLRDVTAGITVFKSLKDLTLWLVKKIQEQYDKYCGK -TAQRLKLIRDHEEEIESVLEECDAFCTKYIQDVEKESEFIKGTELLRSLRTVTSFLNEDESLRKHSMPIR -DSINRVHQKIRSLGSINQNVITRAEPIVCYMYGNRGGGKSLCSLALATKICKMYGVDPKKNIYTKPVSSD -FWDGYSQQLVCIMDDIGQCTDDEDWADFCQLVSGCPLRLNMASLEEKGKHFSSPFIICTSNQPDPSPKTV -YVKEAISRRLFFKVEVSPTQYYSLNTMLNVNLAKKDGAIKDMRCVDLKMNGSSTTLDSLVSAMVEQVKCR -QQNMDEFMDLWSQGFSEIRDTLYEDFKEALKLNDLKLKTKARLTGLFKSLRENKLLILGGVIGFFVAAGA -IYGGYKYIKSKISKEKEEESLETTGVYHGVTKPKNVVKLDAIPVDSQSVVEISGLIHKNLVRFGIGEKGG -CVNWVMNALGVRDEWMLVPSHAYIFEEDLASKEFYFERNGTYYSTSTGNVQIHTLDTGFQDVVLMKVPQI -PKFRDITSHFMSRKDIQNVEKRLATLVTLNSGIFQMISEGPLKYEEHVTYTHRDKDGSMKDLTIGSAFRG -KGASVSGMCGGALVSSNQKLQNPIVGIHVAGGHGNMVSKAIFKEMFEVIDAKIEQAQRIVKVEFSQCSVN -IGTKTLLQKSPIHELIPQDKINFPAAMPFQKKNEIDPVQIMLSKYDVPWCEQPPGYDQAAEAYMEKIQGL -DHIVDEPLTVKEAIEGVPGIDAINMRTSAGLPYTLHNLDKEMLIYLDDVGNLIGIHPFLKSRIDMNLACI -DNGNDIDITYMTCPKDELRPLSKVLESKTRAIEACPLDFTIICRMLWGPAISYFQMNPGFHTGVAVGMDP -DSDWDSLFKAMVEFGDYGLDLDFSAFDASLSPFMIYSACAILSSISGLSDLQNQALFKAICYSRHQILNI -VYTVCGCMPSGTPCTSLLNSIVNNVNLTFVFSSILGKPPSFVHELVKIICYGDDVLVIFRRDIKKEILVN -IGEKVVSKFKEICMTATSSDKGIPEIKNVSELIFLKRRFHPEDDRYRPDIDEKTIWSLLAWQRSTAEFAQ -NVDNASWFAFLKGREFYERYKRFTQDCFRMKGINLTVPRYEFWLDRFRVLEFGRDMS - ->YP_009179215.1 polyprotein [Hedgehog hepatovirus Igel8Erieur2014] -MMSHNGILQTVGRSLDRILTLADIEEEQVMEHPDRVSVAGASYFTSVDQSSVHSSVVGSHQKEPLLTSVD -LPGSRKTQGEKFFLIHTAEWQTTDALFHEISKLDVVKLLYDQQFAVDGLLRYHTYGRFGLEVQIQINPTT -FQQGGLICAMVPADQGYGSLSCLTMYPHGLLNCNINNVVRIKVPFVYTRGAYNFREPQYPIWELTIRVWN -RLYIGTGTTPYTSVNILARMVDLELHGLTPIMTQMMRNEFRVSTTENVVNLANYEDSRAKISLALDQESW -RPDSSEAGGLSITNFSTWTSVPTLATQFPLNSSSEVGSQIKVIPVDPFYYQMTNTSPQQNCVTSLASIAQ -MFCFWRGDLVFDFQAFPTKYHSGRILICFVPGHENMDVSKITLKQATTGPCAVMDITGVNSTMRFRVPWI -CDTPYRVNRYTKSAHVKGEYTAIGKIIVFVYNRLSQPANVASHVYFNVYMSAVNFECFGPVYHVMNAITQ -AGGEFSSTAETQQNEPDPCGGITTSKDLVGRANQGKLDLASGRVPTGVVTVIEDPVLAKKIPETFPEKPP -GQSRHTSDHMDIRKFMGRAHFLGTFTFNANNMQYTFPISLSSTSNPPHGLPSTLRWFFNLFHLYRGPLDL -TVVVTGATDVDGMLWFTPVGLASDPPWKEAATALSIDYRTSLGAVRFNTRRTGNIQMRLPWYSYLYAISG -ALDGTGDVSDSTFGSISIQISNYGGGDEYLSFSLYLSVTEESQFLFPRAPLNNFAMNATSRSIRSIQDMV -ESSVDDFKTKEDREFEEELSNEILPIEQIPRKQFPYRSLRMKVAEQRLRYAKEELNRPFSQTHKVVNFVI -YEREEGNQILRGFGFENKIYRFTTPSWLERNVYMRSSTFCCENKDEWNEAVLTYDIERVLMKLVSINDWQ -NAKFSYANINNFEEALKYLKEDKIFSKFDDSELELLFICLLPSSNSFIKRITKETGIKQVSGEASELISE -CRKFLAEVKSSLKAFAYGFCHNQYVQWLKLILKIVKVAIYTYVCYKVNWDPKVVWPIIALLGVENLMEGL -NLFEILSRLMNECFEHEVEKRLLDLKTESLGWLRDLTAGISVFKSLKDLTMWLVQKFKEQYDKYCGATAR -KLKMIRDHESEIESTLEECDNFCARYIQDVEKEQEYERGMDLLCTLRTVFSFLSEDEKLRKFAQPIRDAQ -TRVHHKIRSLGAINQNVITRAEPVVCYMYGLRGGGKSLTSLALATKICKEYGVDPKKNIYTKPVSSDYWD -GYSQQLVCIMDDIGQCTDDEDWADFCQLVSGCPLRLNMASLEEKGKHFSSPFIICTSNQADPSPKTVYVK -EAISRRLFYKIEVSPTPYYTVNSMLNVALAKKEDAIKDMRCVNLSMCGTSVRLDDLVQSMVEQVKFRQQN -MDDFMKLWSEGFNEVRDYAYEEFRRVMKFDKMVESSKNRLSKLFKSLNENKILTLGGVIGFFLAAGVIYG -GYRFIKDKFFPEEDEKPVTTGVYHGVTRPKNVVKLDAINTDTQSVVEISSLIHKNLVRFGIGEKGGCVDW -LMNALGVRDDWLLIPSHAYMFEQDLASKEFYFERNGTYYSTSSGSVQIHTLDTGFQDVVLMKVPNIPKFR -DITNHFLSKKDVEGVCKRLATLVTSNQGVYQMVSEGPLKYEEHVTYSHRMDDGSIKDLTIGAAFRGKGES -VSGMCGGAVVSSSQKLQNPIVGIHVAGGHGTMIAKAIFKEMFEVIDSKLEHSQRITKVEFTQSCVNIGTK -TLLNKSPIHDLIPKENINFPASMPFNKKNEIDPIQVMLSKYDVPWCVQPPGYDAAVEFYLEKIQGLDSIV -EEQLSLKEAIEGVPGIDALNMKTSAGLPYTLHHLDKEMLIFKDDDGQLLGIHPFLKSRIEMNLACMDCGN -EMDVIYMTCPKDELRPLQKVIDSKTRAIEACPLDFTIICRMMWGPGISYFQLNPGFHTGVAVGMDPDSDW -DSLFRAMVKFGDYGLDLDFSSFDASVSPFMIWNACSILSSLSGISERQHSSLFMAICYSRHQILNMIYSV -QGSMPSGTPCTSLLNSIINNINLFFVFSRIFRKPPSIVSELVRFICYGDDVLIVFNRKLDVSKLEKLGSL -VVKNFESLCMTVTSSTKGTPVIRRVSELSFLKRKFYFSNERIRPDIDPKTIWSLVAWQRTNAEFSQNVET -ASWFAFLKGPEFYSHFKKKMTDMFRMQNLNLRIPSYEFWLDRFRELEFDRDMS - ->YP_009179214.1 polyprotein [Shrew hepatovirus KS121232Sorara2012] -MLQSVGRSLDRILTLSELEEEQVMQTPDRVSVAGAGYFTSVDQGSVHAAVSGSHQKERLLTSVDIPGSKK -TQGERFFLIQQVEWNSQHVQLYRLLDLDVVAALMSTHFSVDGLLKYHTYARFGLEVQVQINPTPFQQGGL -NCALIPGAEGDGSLTCMTMYPHGLLNCNINNVVRIKVPFVYTRGAYNLRKPVYRIWSLVIRVWSQLYAGT -GTTTYVTVSVLARMTDLELHGLTPVWSQMMRKEFRISTTENVVNLANYEDARAKISFALDQENFRTDPSE -AGGIKITNFSTWTSVPSLAGEFAFNASATAGEQIRVIPVSPYYFTLQYKDSGRRCVTSLASIAQMYCFWR -GDIVFDFQVFPTKYHSGRLLFSFIPGNENTDLSGLTMAEATSGPCAVMDIGGTNSTLRFRVPWICDTPYR -VNRSTTTVYLKSNHFHAIGKLVVFCYNRLSNPSNVVPHVKVNVYTSAINFECFAPIYSVYPPAAVTQAGE -DGSFSTSDDIEQNRPDPSKGPQPVLQHVPRADRGKIDLDEGRAPVGAVTIIEDPLLAQKIPQTFPELSPG -QTRHTSDHMDIYKYMGRSHFLTTFTFTTNNKQFSFPITLTKDTTQTHQISSTLQWFFSMIHLYRGPLDLT -LVVSGSTDVDGIVWFTPANMAVPQPWVESDSTLSIDYKASLGAVRFNTRRTGNIQVRVPWYTDLSYISGT -VPTDDGSDGLFGTISVQIKNYDRQDEYLSISAYLSITEQSEFYFPRAPLDNSKLQEYPNSNNIMREECVE -SSVDAPPQPIFELWPSNPYRELRLEVGQLRLEQAKKDFDESKHKYNQVKKETKAKFLKQAAKVTNEILEG -GVYTQALLKDGNYIKETGDIFVRIDKGVYQYGFFHGKEIVTFDVKSWFSKMRGVSSKLIKVPMDGWMYHE -VRHNLHEEMVIIGRLVTSCPFEFEGFDYKDVAALMDKNPWNEVFREKDKIFQFFRILQPRQRTVIDQISD -SIVKSTNAEKLSEETEELVSECKGLIQSVKTGLANAIIGFRKKKWMKWVKLALKLVKYGLVIYLSSKIED -PFQLKLLLGISLLDLGVEFLDCSFIWSEAIFQAIEHSLKMKEAHPGIYTQSLFSRESRDWLRDAVAGITV -FKAGKDAVLWLIGKIKEWYDKFSGKQAEILDAIKTKEWEIRVKIQQVDDYLAKPIKDSEKEEDFQRGLDH -IRSLRTFLNLTDTMDLKKYTHDLRDSINRLHQKIRNLGHVAETSVSRPEPVVCYLYGERGSGKSLASMAL -ATKICIINGVDPKKNIYTKPVGSDYWDGYSNQLVCIIDDMGQCTDDEDWSTFCQLVSGCPLRLNMASLEE -KGKHFTTPYIICTSNLADPSPKTVYVKEAISRRLHYKIGVIPISTFVDPQSGCLDVEKAKQQNAIKDMSC -LVLSVNGVRMPLSNLVNIVVDHYGVKSKNMMEFIDAWSQGIGSSSKFADDMADAMNLPRDKHKQQKASTK -LQELWEKLCSHKILILKSIIGIVLALITAYGGYKAYKKFFGKKKETEGEDVETSGAYHGSAKKKPVIKLK -VKAEEETQSVINMTQVVKKNLVLFGIGKPEAVDVHWNVNALGVKDEWLLVPSHAFKFEEDYESKEFYVDR -NGVIYSAKTGSVQIFQLDVGFQDVVLMKIPSLPKFKDITHHFIQKKDLDLAVNRLATLVTSCQGTPMMIS -EGSLKYEDKYTYNHRKDDGSVVEMTIGAAWRGTGEGTAGMCGGALISANQKIQNAIIGIHVAGGKNTMIS -KVIVREMFDNIEQQKIESQRIAKIEFTQCSVNMISKTLIHKSPIHDYIDGEKINYPAAMPFSKKNEIDPI -QVMLSKYSVPIAKEPWFYEEMFNYYLEKVQGLPYVIDDQLTIEEAIEGVEGIEPINMKSSPGLPYVVDHL -RKDDLIWKDDKGKVIMIHPFLKQRIEMNLAFMDNGSGMDVVYVTCPKDELRPLEKVLESKTRAIEACPLD -FTIICRMLWGPAISYFQLNPGFHTGVAVGLDPDSDWNSLFKKMKLIGDYGLDLDFSGFDASVSPFMIDYA -CLVLSQISGISMRDHIALYKAIAFSSHQILNMRYYVHGSMPSGTPCTSLLNSIINNINLHYVFTKILGKS -PVYWKDKIQFICYGDDVMVIFSRDCEIENLDLMCKRVQEIFKQDLEMTVTSASKGVPKVVPVEELTFLKR -SFNFVQGIVRPAIAEKTIWSLIAWKRNDAEFKQNLETAAWFAFMHGFEYYVKFKKKVDLMLRHANLPDKL -PSYTWMMMRFKDLDFTRDIK - ->YP_009179213.1 polyprotein [Rodent hepatovirus RMU101637Micarv2010] -MMSKSGIFQSVGEGLDHILSLADMEEEQMIQSVDRTAVAGASYFTSVDQSSVHSAEVGKHQKERLLTSVD -LPGSKKTQGEKFFLIHTAEWQTVDALFHEVAKLNVVNLLYDQQFAVQGLLRYHTYGRFGVEVQVQINPTP -FQQGGLICAMVPGDQGYGSIAALTMYPHGLLNCNINNVVRIKVPFIYTRGAYNFRDPQYPVWELTIRVWS -LLNIGTGTTPYCSVNVLARFTDLELHGLTPIMTQMMRHEFRVSSTDNVINLSNYEDARAKISFALDQEDF -LGDPSEGGGVEIKHFSTWTRVPTLAAQFSFNKSASVGTQIQVFPVDPYYYTITNSSPEQKCITALASVCQ -MFCFWRGDIVFDFQVFPTKYHSGRLLFCFVPGNENMDVTNITLKQATTGPCAVMDITGVQSTLRFRVPWI -SDTPYRVNRYTKSAHVKGEYTAIGKLIVFVYNRLACPSNVASHVRVNTYLSAINLECFAPIYHAMTNATV -QAGDDDSGGFSTTITTEQNVPDQQGGITTPKDLKGRANKGKMDLSATQAPVGAVTTIEDPLLAKKIPETF -PEVKPGKSRHTSDHMLLHKFMGRGHFLNTFTFNSNNMEYTIPITLSSTQNPPQGLPSTLRWFFNLFQLYR -GPLDLTIIITGATDVDGLVWFTPVGLAADTPWTEKASLLSIDYKTSLGAVRFNTRRTGNIQVRLPWYTYL -YAVSGALDGVGDTSDSTFGLVSIQITNYNHADEYLSFSLYLSVTEQSQFFFPRAPLNTNAMMETGTTGIR -EEVARGLLESCVDEPRSLVDYQFERCVEARRPIKYKDLRLEIGKDRIDAAFEDLNAIKGKVMTQSGDEYY -YWKMDSKLTLNLSLRQMSAEPKTIRRFGFSKKKEGPITTLAFDGSKFLGHFEQVNLSDEWILIDIPFGFK -YALTKFLKYEAYMSLNFGSDIEDLEGMKTQILSKVDLAEETQLTDSEFDVIMRHLKPKEINITDKVLEDS -GIKELADNMKGVAEEGKSFIAEAKEFLSSIKSSLKNLMIGFSKSTTLKIVRSVLLIFRCGFLVNVHAKML -EAGQYDVAQMLRIMNWLDLGVTAIDFGSAFSDFITAILEFRSDKDDDKVRTQSISSWLRDISSSINIFRN -LKDAVVWLYNKIKDYYDTHYGWKRDLLEALKENEIKIENVLEQSDLFCVAQIQDVNKQEEYEKGVAMIKT -LRTVMTLAQVDESLKKHLQPLRDAINRVHGKLKTLGAINQAMVTRCEPVVCYLYGKRGGGKSLASLAIAT -KVCKAYGVDPKKNIYTKPVSSTYWDGYAGQLVCIIDDIGQNTSDEDWCDFCQLVSGCPMRLNMASIEEKG -RHFSSPFIICTSNLSDPSPKTVYVKGAIERRLHYKVEVKPKDMFKNQSDETLNVNMAKSASAIADMSCLD -FICRNSSITLDVLVDSLVQSVKTRETNMSEFMDLWSQAGGDDIETENEVTLELSKKLDELDDGTKFKFPR -LHKFFNAIKNHKWHTLGAALGILGVIVGGWYAYKVYKERSQEDAIVVPAEGVYHGVTRPKHVIKLDADPA -TSQSTLEVAALVRKNLVQFGIGEKGGTVKWVMNALGVKDDWLLVPSHAYKFEPNYETLEFFFQRNGTYYS -ISAGNVVIHSLDVGFQDVVLMKVPTIPKFRDITEHFIKKKDLDRATARLATLVTTVGGTPMMISEGPLKL -EEKASYHHKSEDGTMTELTVDMAWRGKGEGIAGMCGGALITSNQSIQNAIVGLHVAGGNNIMVSKVITKE -MLDNVRESKIESQRIMKVEFTQCNVNVVSKTLFKKSPIFHHIDKQMINYPAVMPYQKNAEIDPMAVMLSK -YSLPLVDEPVDYSDVVTFYKNKILGKDFLYIETFTLEQAICGVEGMEGINMKSSPGFPYVHEKLDKEDLI -WLTETGEFVGIHPRLRQRLEFNMAMVDNGNELDVTYVTCPKDELRPIQKVLDSKTRAIDACPLDFVIICR -MVWGPAISYFQLNPGFHTGIAVGIDPDRDWDPLFKTMIQFGDFGIDLDFSSFDASLSPFMIEYACEVMSE -LSGASETQTRTLANTIIYSKHQITNLTYHVIGSMPSGSPCTSILNSIVNNLNLYYVFGKIFKRKPVEFYE -KIKFLCYGDDVLIIFARDLEIKNLEKLGKRIQREFALLGMTATSGSKQEPRVVPIHELTFLKRKFNLIDD -RVRPAIDPKTIWSMLAWTRSNAEFSQNLETACWFAFMHGSGFYHNFSLQLKAMLEKELVDYIIKPYSWWK -LRFDSFDFVRDMT - ->YP_009164959.1 VP2 [Phopivirus] -DIEEEQVMQTPDRVSVAGASYFTSVDQSSVHSSVAGTHQDEKLLTSVDLPGSKKTQGEKFFLIHTAEWTT -TDELFHEIAKLDVVKLLYDQQFAVDGLLRYHSYGRFGLEIQVQINPTTFQQGGLICAMVPAEQGYGSLAS -LTVYPHGLLNCNINNVVRIKVPFVYTRGAYNFRDPLYPVWELTIRVWNKLYIGTGTTPQTSVNVLARMVD -LELHGLTPIMTQ - ->YP_009164030.1 polyprotein [Phopivirus] -MMMERSGLLQRIGENMDKILTLADIEEEQVMQTPDRVSVAGASYFTSVDQSSVHSSVAGTHQDEKLLTSV -DLPGSKKTQGEKFFLIHTAEWTTTDELFHEIAKLDVVKLLYDQQFAVDGLLRYHSYGRFGLEIQVQINPT -TFQQGGLICAMVPAEQGYGSLASLTVYPHGLLNCNINNVVRIKVPFVYTRGAYNFRDPLYPVWELTIRVW -NKLYIGTGTTPQTSVNVLARMVDLELHGLTPIMTQMMRNEFRVSTTENVVNLANYEDARAKVSLALDQEH -WLSDSSEAGGLSIENFTTWTSIPTLATQFPFNASQTVGTQIKVIPVDPYYYQMVNSSPDQKCCTALASIS -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNENMDVSKVTLKQATTSPCAVMDITGVNSTLRFRVPW -ISDTPYRVNRYTKSEHQKGEYTAIGKIIVFVYNRLSHPSNVATHVYVNVYMSAVNLELFGPVYNAMPTTG -SLSQAGDDGFNSSAETPQNVPDPVGGITSPKDLKGKANQGKMDLAAGKVPAGVVTVIEDPLLAKKIPETF -PEKKPGKSRHTSDHMSLRKYMGRAHYLGTFTFSANNMQYTFPITLSSSSNPPHGMPSVLRWFFSLFHLYR -GPLDLTIVVTGATDVDGLLWFTPVGLAADTSWVEGASKLSVDFKTSLGAIRFNTRRTGNIQVRLPWYSYL -YAVAASLPGQGDKTDTTFGTVSIQIANYTHFDEYLSFALYISVTEESQFLFPRAPMNNGVMMESVKSLMD -RRAMGDLESCVDDPRSEEDKKFEEQLTQEVMPLENIPRNKFPYRSLRMKIGEHRIRYAKEELHSQAGPQD -PKDFSIMIRKLDKSIIKGFYIKGKVCRIVEPRWIDRVIIKHSTFVLGDANGWTLDELETPLSDTLKRLTN -SKDWLNFKVPYPLKDADSVNKLMTDPDWKGIIVNQQMAELFINVFNPCDITFIDKVCDKSGAKKLTEDAS -KLVAECREFVDSLKTSLKSFAYGFSKKRYVHWLKMVLKLIRIGTYIYVANKCDWNPKIVWPLIAMMGVDN -MLEGIGLMEAISNMLNECFEFEVNTRLLNLKTQAHDWLRDLTSGITVFKALKDAVLWIAKKVKEFYEKHC -GKHAQILKMISEYKDQIESLLTESDEFCAKPIQDVEKNEQYLRGLDLVKSLRTVTNLLSFDSSLVKYASP -IRDAISRVHNKLKTMGAINQNMVTRAEPVVCFLTGKRGGGKSLTSMALATKICVKYGVDPKKNIYTKPVS -SDFWDGYSNQLVCIMDDIGQCTDDEDWSDFCQLVSGCPLRLNMASLEEKGKHFSSPFIICTSNQEDPCPK -TVYVKDAISRRLHYKIKVSPKDYYSKNGMLNVELAKSDGMIKNMDCVNLNFNDCNITLENLVDSMVSTLK -IKQKNMDDFMELWSQSGCDDSIYEEFETEMRFGSTKPGNLLSTLFSKICDHKYLILASAFGFLCAAGAIY -GGYKIYKKITKKEEKDEEKDESKPLETDGVYHGVTKPKNVIKLDALPSDAQSVIEMSALIHKNLVRFGIG -EKGGCVRWLMNALGVKDEWILIPSHAYMFVEDLESKEFYFQRNNTYYSISAGNVIIHTLDTGFQDVVLMK -VPSIPKFRDITGHFIQKKDLEVAANKLATLVTSNNGTFQMVSEGQLKLEEHATYAHQTDCGELKELTISQ -AWRGKGESVSGMCGGALVSSNSKIQNAIIGIHVAGGHGNMISKVVYREMLQIIDSKVETAQRITKVEFTQ -SCVSMVSRTLYNKSPLHEFVDKEKINYPAAMPFSKVNEIDPVQVMLSKYDKPVAEEPATYINVTEFYLDK -MQGMDDIIREHLSIRQAIEGIDGIDPINMQSSAGLPYSLKGFSKEDLIFIEDGEVIGLHPFLLSRINMNL -ECMDNGNEMDVIYQTCPKDELRPLDKVKEGKTRAIESCPLDFTIICRMHWGPAISYIQMNPGFQTGIAVG -IDPDKDWDPLFKTMVKFGDFGIDLDFSNFDASLSPFMIRHGCLVLSTLSGLSELQERSLYRAICYSRHQI -LNMIYTVQGCMPSGTPCTSVLNSVINNINIYYVLMKIFGKSPYILANMFKVICYGDDVLIVINRDAQIKN -LDKLGQRFQEEFKKLEMTVTSASKGVPQVVPIHELQFLKRRFNIESGRVRPAIAEKTIWSLIAWQRNQAE -FNENVKTACWFAFMHGMQFYLDFSEKIKNMARMARISVVLPSYRELLDRFNELDFYRILE - ->YP_009133208.1 polyprotein [Falcovirus A1] -MAELNRTSVLVPETVSPAGGIVEDAARLAQRFNVRNVATLVGDVASSALGVSPVDSFGGLFGVQNLQQAT -ANLADVFSAHATSFSDQAAEPVQVKSGPVVSSPENPSNLEVPLQIPTLSDRYYPIAEFSWKYASRYLHIW -DPLISIPVPDILYQKDTKNKNPVFAVTGVLQYHRFLRTDFEILVQVNAPPFYQGALLIVYMPLEVDQVGF -TTQSGHTSEDADSLTCKPGYIIRSPATLLNYPHGMINLYSNSSVRIQVPFTYFANSYDQIHFRHTQLGHI -VVYDLTSLSTYTTASTIQIPVTVSLRMVNLQMTGLRPAFQLPTLSVREFERRVHYQGDTHSDKFIEILPG -PGAQFLNGRFVTETSRRLALEDVDVRDDVSSFGYAPTQDLLEFVRIPSLIGIVKWQVSTNSREVLASFPV -GPSYVGYQADINIRNAGKSDKSWKPLFSLDTNLSYLASQYASWRGGISYHIQVVMYAFHRGRQQVLYIPG -SGEFTGSDATDHQNARYKVFDLGLETTFTFTVPYMDSRPFVSTQPYLGTANQSITPPDHFVQALHNTTGT -LYLVAWTKLIAPVTTAQFVTLNVWVSGAADFQFFFPSLQGLLAPIPKEGKLQAGEIEATDEIPDMVQPDV -VQKRDDTFFYSHTDMKTFLGRAHFYARFQLTSTVRSSSAHLIADTSGVLGAIFQAHTFWRGPVNLHLNKD -SAASLLVAVVPPGVNTGTSVEELASVGAVGWPANSTTLDVRVPFYVNFTGLVTDPFYRFDETERIQTQAG -TKKAVVSPLAPVSLGTLVILDLDTTSYRTQVTVFLSFDGQLATKRAVPCTKVMGPVDVTQYVTDDVGHFD -IGVEVDHLPYIPIEDMKVQLQGDVLEEGSVVYDKDYGLVVSMGNRDKRLAVVTLKKGVVCEVTGDWRVVN -ECDGCATPYHPSDISDHANALLGCKLASSSLTAEGFVNMLRNGCFHVRSPLRRLFSPRISSQGKADSKTN -GNVAEQGTSSAKSDEKPTCRSWLSDLWKLPSSVRSLSMDAEFFKRKLVNMSPSVFQGEESMVQRAMAFVI -KVTSYTALILTASDKKAVLLSVFGMIGSDVMLFAPCACGWIVSRIERFVAKIGSMWPFSKKNNDDTVLSV -VTQGSICEGVEGLAADIVSAMFGVESSPELIDFLRLCRIVTTVTATLKGVYWIFSTFLSAMRTAFEFFPR -RNYSKKLEKYKEALVRMMAETNSYLMLPEDKWTDHREAIRSLYSKCLFFQVELADMEVESNIYVPLRATM -ANLDRLYNAVAIDDGVYTRVEPAVILLCGQPGTGKSILARNLAADLCVLAGLPPGTNVYSKPWTTADGFW -DGYRGQYVQIIDDMGQDPDDEDFVGFLQVVSTAIYRCNMARLEAKGRLYQTPVIIVTTNFGTKFDSQAEI -TTVRSSSAVARRITVKAKVLADEDATPRDFIDPEMTKCKIMVGGKVTPYKTLLDTCHRKILDKLETFRDM -IRARSDYQSAHLVPDPSVKELHLPAELADMPLFKGLQQKAKAEPKKHLSEKILDKLRAIIDKCIEGVRNW -WAPAATLLVTLFGIAGLGYGIYQHFMNVGTEQQPVEQGVYQPAPTVRPPPKPMAPIPDFRIVHQGAEEVV -QKVSSNVLNISAVGSVDGVVRTIRMNALAVGGDRFVFPAHLVESFTGTISMQLEHPLFKYSYDYNTETRE -GRVELGGSPCDMIIMHIPGLSYHFPDITKLVASGDTFPRMGMGNVGCLIVRNDGLTHALQARNFWHYGRM -VLQGKVLSEYIIGYSTVTVVGHCGAPVLVRLPEGYRVIGIHVAGDGACRGFCVPLISEMLQGGIHQQGLP -YVVNVGRLDQKVYIPTRTRYGPTVFQHYLTTNAAPSVKHPNDPRCEVDFIHAIFKKYDRPIAPMPMIKHH -QSCVLEYMWSLLNRVCGPFHRVSFDSAVNGWSPYVKPLNLQSSAGWPYLKDYTNKQKCLEAGAAEEGVVE -LERRYRSGLDADIIFVSYLKDELRPLEKIKQGKTRLIEASPIHYVILFREVVCEFMWSFHARHGVELHSA -VGCDPEVFWTVLFHSLKQHKHAFDIDYSKFDASVSVEFLQMVLWLLKKFTDPENASLLEWLWQPILRTKH -VYMDEIYLVEGGVPSGMPCTTVVNTLVNMMIVVYVWSVTGHDVCDLDSQMTFCCYGDDLVLSTSDDDFTF -EQFSRVAQDLGFEATNACKDGVVVDKVEDLTFLKRGFRCDEHFPFAIHPVIALQTVDNMLCWKSDTAVFQ -DNVDAAFGFLYHHGQDVFDRYQDLIRRVATDNGISIFLRPFSYYSRRWTGVMGFDLEGVHLEGAWNDVDL -DVVIEDEDPIVASDYGHVQIHGLLSWFIDYNRFLAFGHDFPENQFWRVQYDGMGRFINCHGDIFTFNLTP -QEQDVLRRFIVTESRRLYFLGFENRHFWPATENYFSFYFA - ->NP_653151.1 aev polyprotein [tremovirus A1] -MSKLFSTVGKTVDEVLSVLNDENTESYAGPDRTAVVGGGFLTTVDQSSVSTATMGSLQDVQYRTAVDIPG -SRVTQGERFFLIDQREWNSTQSEWQLLGKIDIVKELLDQSYAVDGLLKYHSYARFGLDVIVQINPTSFQA -GGLIAALVPYDQVDIESIAAMTTYCHGKLNCNINNVVRMKVPYIYSRGCYNLRNSAYSIWMLVIRVWSQL -QLGSGTSTQITVTTLARFVDLELHGLSPLVAQMMRNEFRLSSSSNIVNLANYEDARAKVSLALGQEEFSR -DSSSTGGELLHHFSQWTSIPCLAFTFTFPGTVGPGTQIWSTTVDPFSCNLRASSTVHPTNLSSIAGMFCF -WRGDIVFEFQVFCTKYHSGRLMFVYVPGDENTKISTLTAKQASSGLTAVFDINGVNSTLVFRCPFISDTP -YRVNPTTHKSLWPYATGKLVCYVYNRLNAPASVSPSVSINVYKSAVDLELYAPVYGVSPTNTSVFAQGKE -DEGGFSSVPEVEQHVVEDKEPQGPLHVTPFGAVKAMEDPQLARKTPGTFPELAPGKPRHTVDHMDLYKFM -GRAHYLWGHKFTKTDMQYTFQIPLSPIKEGFVTGTLRWFLSLFQLYRGSLDITMTFAGKTNVDGIVYFVP -EGVAIETEREEQTPLLTLNYKTSVGAIRFNTGQTTNVQFRIPFYTPLEHIATHSKNAMDSVLGAITTQIT -NYSAQDEYLQVTYYISFNEDSQFSVPRAVPVVSSFTDTSSKTVMNTYWLDDDELVEESSHSSFDEIEEAQ -CSKCKMDLGDIVSCSGEKAKHFGVYVGDGVVHVDPEGNATNWFMKRKATVKKSKNLDKWCFALSPRIDRT -LICETANLMVGREVEYDIFVKNCETYARGIASGDYGTKEGEKWKTLLSAVGVAAMTTTMMAMRHELLDTS -LTKLPQKVGEVTNEVRKILEDTSAGVREFKEKVSSILRKTWPGKTSIKIMKWTCRIVKMCVGVGLCYAHG -WDSKTVTAVVTMFSMDFLDLVIDGIEIGRMIIDELTTPKAQGLSEINQVLSIAKNAKDVIKMLIEIFCKV -IERITGEHGKKIQWAQDKKEEIMNVLERAEKWITTSDDHSEGIECLKLVRSIQSVIRGEESLKELAGELR -AVGTHVLNKLGRLDKPNAPILVRAEPTVLYLYGNRGGGKSLASMAIAVKLCKELGISHVEGIYTKPIMSD -FWDGYAGQPVVIMDDLGQSTSDEDWTNFCQLVSSCPLRLNMANLEKKGTQFNSPFIIASSNLSHPCPKTV -YCTDAIARRLHIKVKVSPKEEFSTHAMLDVAKAKKAGAYCNLDCLDFQKISDLASTPVSVQDIVLEMLHT -NVDKQTLMGDIIQYWAQSNPREVFDTMAEGKNSGKYLWLFEKIKTSKWYILGCVGAVLSVSVLGVFAYHM -IKNHFRDQQHDQSAYSAAIKPLRVVRLEQSDAQSVVDISNVVHGNLVRVGVGPNEARIHWLYNGLGVYDT -YILMPYHGIKDADVDDDLYIERAGTIYSTNMKMVQVLFLESREGDLVLINVPRLPKFRDIRNHFSTEENI -RRAEGMPGTLCTLDHERFTLVTESDLKMVEAATYVCEDDKGVRTDISVGRSWKAKACTVAGMCGGALVTS -NNKMQNAIVGIHVAGGAHAISRVITKEMIEEMLKTRAQCSRIWKTEFVEEKISVGSKTKYHKSPLYDFCP -QEVIKCPTKLFYQGEIDVMQVMLAKYSSPIVSEPLGYATVVEAYTNRMVSFFSEPRQLTYDECINGIEGL -DAIDLKTSAGFPYNTLGLRKSDLIINGKMAQRLQQDVEKMEEDLHMNRSIQVVFTTCAKDELRPLSKVML -GKTRAIEACPVSFTILFRRYLGYALAQIQSHPGFHTGIAVGVDPDQDWHCMWYSIVTQCDLVVGLDFSNY -DASLSPFMIYHAGRVLGQICGLDPRLVDRIMEPIVNSVHQLGSMRYYVHGSMPSGTPATSVLNSIINVVN -ICHVLCALEKISVFEVFKLFKILTYGDDVLLCIKKEYLDQKSFPLSSFVQGLEELGLSPTGADKMEVKVT -PVHKMSFLKRTFYVDEWSICHPRISEETVYSMLAWKSDNASMKDLIETSIWFMFHHGPRKYVRFCTWLRG -VLCRVGIGLYIPTYKELEVRYDRLVKYRFIDDSF - ->NP_041007.1 hypothetical protein HAVgp1 [Hepatovirus A] -MNMSRQGIFQTVGSGLDHILSLADIEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQVEPLRTSV -DKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDPSQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNTNPDQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVSGITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTEQNVPDPQVGITTMKDLKGKANRGKMDVSGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMLSTESMMSRI -AAGDLESSVDDPRSEEDKRFESHIECRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGLFSQAKIS -LFYTEEHEIMKFSWRGVTADTRALRRFGFSLAAGRSVWTLEMDAGVLTGRLIRLNDEKWTEMKDDKIVSL -IEKFTSNKYWSKVNFPHGMLDLEEIAANSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQ -GVGLIAECRTFLDSIAGTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVIQQLNQDEHSHIIGLLRVMN -YADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSFSNWLRDICSGITIFKNFKDAIYWLYTKLKDF -YEVNYGKKKDILNILKDNQQKIEKAIEEADEFCILQIQDVEKFEQYQKGVDLIQKLRTVHSMAQVDPNLM -VHLSPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKVEVKPASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLIMDGHNVSLMDLLS -SLVMTVEIRKQNMTEFMELWSQGISDDDNDSAVAEFFQSFPSGEPSNSKLSGFFQSVTNHKWVAVGAAVG -ILGVLVGGWFVYKHFSRKEEEPIPAEGVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEK -NGCVRWVMNALGVKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVP -TIPKFRDITQHFIKKGDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTVDLTVDQAW -RGKGEGLPGMCGGALVSSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIESQRIMKVEFTQCSM -NVVSKTLFRKSPIYHHIDKTMINFPAAMPFSKAEIDPMAVMLSKYSLPIVEEPEDYKEASIFYQNKIVGK -TQLVDDFLDLDMAITGAPGIDAINMDSSPGFPYVQEKLTKRDLIWLDENGLLLGVHPRLAQRILFNTVMM -ENCSDLDVVFTTCPKDELRPLEKVLESKTRAIDACPLDYSILCRMYWGPAISYFHLNPGFHTGVAIGIDP -DRQWDELFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRIMSELSGTPSHFGTALINTIIYSKHLLYNC -CYHVCGSMPSGSPCTALLNSIINNVNLYYVFSKIFGKSPVFFCQALKILCYGDDVLIVFSRDVQIDNLDL -IGQKIVDEFKKLGMTATSADKNVPQLKPVSELTFLKRSFNLVEDRIRPAISEKTIWSLIAWQRSNAEFEQ -NLENAQWFAFMHGYEFYQKFYYFVQSCLEKEMIEYRLKSYDWWRMRFYDQCFICDLS - ->sp|Q9DWR1.4|POLG_HAVNO RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSRQGIFQTVGSGLDHILSLADVEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQPEPLKTSV -DKPGSKRTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDASQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNTNPEQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVSHITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSSHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTKQNVPDPQVGITTVKDLKGRANQGKMDISGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNTNAMMSSETMMDRI -ALGDLESSVDDPRSEEDRKFESHIEKRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKIKGVFSQAKIS -LFYTEDHEIMKFSWKGITADTRALRRFGFSLAAGRSVWTLEMDAGVLTGRLVRVNDEKWTEMKDDKIVSL -VEKFTSNKHWSKVNFPHGMLDLEEIAANAKEFPNMSETDLCFLLHWLNPKKINLADRMLGLSGIQEIKEK -GVGLIGECRAFLDSITSTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVIQQLNQEEHSHIIGLLRVMN -YADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSISNWLRDICSGITIFKSFKDAIYWLYTKIREY -YDLNYGNKKDVLNILKDHQQKIERAIEEADNFCVLQIQDVEKFEQYQKGVDLIQKLRTVHSMAQVDPGLT -VHLAPLRDCIARVHQKLKNLGSINQAMVPRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKVEVKPASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLVMDNHNVSLSELLS -SLVMTVEIRKQNMSEFMELWSQGLSDDDNDSAVAEFFQSFPSGEPSGSRLSQFFQSVTNHKWVAVGAAVG -VLGVLVGGWYVYKHFTKKQEESIPSEGVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEK -NGCVRWVMNALGIKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVP -TIPKFRDITEHFIKKSDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTIDLTVDQAW -RGKGEGLPGMCGGALISSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIVESQRIMKVEFTQCS -MNVVSKTLFRKSPIHHHIDKNMINFPAVMPFSRAEIDPMAVMLSKYSLPIVDEPDDYKDVSVFFQNKILG -KSPLVDDFLDIEMAITGAPGIDAINMDSSPGYPYVQEKLTKRDLIWLDDNGMFLGLHPRLAQRILFNTTM -MENCSDLDVVFTTCPKDELRPLDKVLESKTRAIDSCPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGID -PDRQWDQLFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRILTEMSGAPVHFGEALINTIIYSKHLLYN -CCYHVCGSMPSGSPCTALLNSIINNVNLYYVFSKIFKKSPVFFCDAVRILCYGDDVLIVFSRQVQIDNLD -SIGQRIVDEFKKLGMTATSADKSVPQLKPVSELTFLKRSFNLVEDRIRPAIAEKTIWSLVAWQRNNAEFE -QNLENAQWFAFMHGYEFYQQFYHFVQSCLEKEMIEYRLKSYDWWRMKFNDQCFVCDLS - ->sp|Q05794.2|POLG_HAVHA RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSKQGIFQTVGSGLDHILSLADIEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQIEPLKTSV -DKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDPSQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNTNPDQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVTGITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTEQNVPDPQVGIKGKANRGKMDVSGVQAPVGAITTIEDPVLAKKVPETFPELKPGE -SRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRGPLDLTI -IITGATDVDGMAWFTPVGLAVDTPWVEKKSALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLYAVSGAL -DGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMLSTESMMSRIAAGDLE -SSVDDPRSEEDRRFESHIECRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGLFSQAKISLFYTEE -HEIMKFSWRGVTADTRALRRFGFSLAAGRSVWTLEMDAGVLTGRLIRLNDEKWTEMKDDKIVSLIEKFTS -NKYWSKVNFPHGMLDLEEIAANSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQGVGLIA -ECRTFLDSIAGTLKSMIFGFHHSVTVEIINIVLCFIKSGILLYVIQQLNQDEHSHIIGLLRVMNYADIGC -SVISCGKVFSKMLETVFNWQMDSRMMELRTQSFSNWLRDICSGITIFKSFKDAIYWLCTKLKDFYEVNYG -KKKDVLNILKDNQQKIEKAIEEADNFCILQIQDVEKFDQYQTSNWSNPSPKTVYVKEAIDRRLHFKVEVK -PASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLIMDGHNISLMDLLSSLVMTVEIRKQNMSEFMELWSQGI -SDDDSAVAEFFQSFPSGEPSNSKLSSFFQSVTNHKWVAVGAAVGILGLLVGGWFVYKHFSRKEEEPIPAE -GVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEKNGCVRWVMNALGVKDDWLLVPSHAYK -FEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVPTIPKFRDITQHFIKKGDVPRALNRLA -TLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTVDLTVDQAWRGKGEGLPGMCGGALVSSNQSIQNAI -LGIHVAGGNSILVAKLITQEMFQNIDKKIESQRIMKVEFTQCSMNVVSKTLFRKSPIHHHIDKTMINFPA -AMPFSKAEIDPMAMMLSKYSLPIVEEPEDYKEASVFYQNKIVGKTQLVDDFLDLDMAITGAPGIDAINMD -SSPGFPYVQEKLTKRDLIWLDENGLLLGVHPRLAQRILFNTVMMENCSDLDVVFTTCPKDELRPLEKVLE -SKTRAIDACPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGIDPDRQWDELFKTMIRFGDVGLDLDFSAF -DASLSPFMIREAGRIMSELSGTPSHFGTALINTIIYSKHLLYNCCYHVCGSMPSGSPCTALLNSIINNIN -LYYVFSKIFGKSPVFFCQALRILCYGDDVLIVFSRDVQIDNLDLIGQKIVDEFKKLGMTATSADKNVPQL -KPVSELTFLKRSFNLVEDRIRPAISEKTIWSLIAWQRSNAEFEQNLENAQWFAFMHGYEFYQKFYYFVQS -CLEKEMIEYRLKSYDWWRMRFY - ->sp|A3FMB2.1|POLG_HAVH2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSKQGIFQTVGSGLDHILSLADIEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQIEPLKTSV -DKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDPED -WKSDPSQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNTNPDQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVTGITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTEQNVPDPQVGITTMRDLKGKANRGKMDVSGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMLSTESMMSRI -AAGDLESSVDDPRSEEDRRFESHIECRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGLFSQAKIS -LFYTEEHEIMKFSWRGVTADTRALRRFGFSMAAGRSVWTLEMDAGVLTGRLVRLNDEKWTEMKDDKIVSL -IEKFTSNKYWSKVSFPHGMLDLEEIAANSTDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQ -GVGLIAECRTFLDSIAGTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVIQQLNQDEHSHIIGLLRVMN -YADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSFSNWLRDICSGITIFKSFKDAIYWLYTKLKDF -YEVNYGKKKDILNILKDNQQKIEKAIEEADNFCILQIQDVEKFDQYQKGVDLIQKLRTVHSMAQVDPNLG -VHLSPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKVEVKPASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLIMDGHNISLMDLLS -SLVMTVEIRKQNMSEFMELWSQGISDDDNDSAVAEFFQSFPSGEPSNSKLSSFFQSVTNHKWVAVGAAVG -ILGVLVGGWFVYKHFSRKEEEPIPAEGVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEK -NGCVRWVMNALGVKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVP -TIPKFRDITQHFIKKGDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTVDLTVDQAW -RGKGEGLPGMCGGALVSSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIESQRIMKVEFTQCSM -NVVSKTLFRKSPIHHHIDKTMINFPAVMPFSKAEVDPMAVMLSKYSLPIVEEPEDYKEASIFYQNKIVGK -TQLVDDFLDLDMAITGAPGIDAINMDSSPGFPYVQEKLTKRDLIWLDENGLLLGVHPRLAQRILFNTVMM -ENCSDLDVVFTTCPKDELRPLEKVLESKTRAIDACPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGIDP -DRQWDELFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRIMSELSGTPSHFGTALINTIIYSKHLLYNC -CYHVCGSMPSGSPCTALLNSIINNINLYYVFSKIFGKSPVFFCQALRILCYGDDVLIVFSRDVQIDNLDL -IGQKIVDEFKKLGMTATSADKNVPQLKPVSELTFLKRSFNLVEDRIRPAISEKTIWSLIAWQRSNAEFEQ -NLENAQWFAFMHGYEFYQKFYYFVQSCLEKEMIEYRLKSYDWWRMRFYDQCFICDLS - ->sp|Q67825.1|POLG_HAVGB RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSKQGIFQTVGSGLDHILSLADIEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQIEPLKTSV -DKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFSQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDPSQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNTNPDQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVTGITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTEQNVPDPQVGIITMRDLKGKANRGKMDVSGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSIYKFMGRSHFLCTFIFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMLSTESMMSRI -AAGDLESSVDDPRSEEDRRFESHIECRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGVFSQAKIS -LFYTEEHEIMKFSWRGVTADTRALRRFGFSMAAGRSVWTLEMDAGVLTGRLVRLNDEKWTEMKDDKIVSL -IEKFTSNKYWSKVNFPHGMLDLEEIAANSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQ -GVGLIAECRTFLDSIAGTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVIQQLNQDEHSHIIGLLRVMN -YVDIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSFSNWLRDICSGITIFKSFKDAIYWLYTKLKDF -YEVNYGKKKDVLNILKDNRQKIEKAIEEADNLCILQIQDVEKFDQYQKGVDLIQKLRTVHSMAQVDPNLG -VHLSPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLIGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKVEVKPASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLIMDGHNISLMDLLS -SLVMTVEIRKQNMSEFMELWSQGISDYDNDSAVAEFFQSFPSGKPSNSKLSSFFQSVTNHKWVAVGAAVG -ILGVLVAGWFVYRHFSRKEEEPIPTEGVYYGVTKPKQVIKLDADPVESQSPLEIAGLVRKNLVQFGVGEK -NGCVRWVMNALGVKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVP -TIPKFRDITQHFIKKGDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTVDLTVDQAW -RGKGEGLPGMCGGALVSSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIESQRIMKVEFSQCSM -NVVSKTLFRKSPIHHHIDKTMINFPAALPFSKAEIDPMAIMLSKYSLPIVEEPEDYKEASVFYQNKIVGK -TQLVDDFLDLDMAITGAPGIDAINMDSSPGFPYVQEKLTKRDLIWLDENGLLLGVHPRLAQRILFNTVMM -ENCSDLDVVFTTCPKDELRPLEKVLESKTRAIDACPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGIDP -DRQWDELFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRIMSELSGTPSHFGTALINTIIYSKHLLYNC -CYHVYGSMPSGSPCTALLNSIINNINLYYVFSKIFGKSPVFFCQALRILCYGDDVLIVFSRDVQIDNLDL -IGQKIVDEFRKLGMTATSADKNVPQLKPVSELTFLKRSFNLVEDRIRPAISEKTIWSLIAWQRGNAEFEQ -NLENAQWFAFMHGYEFYQKFYYFVQSCLEKEMIEYRLKSYDWWRMRFYDQCFICDLS - ->sp|Q8V0N6.1|POLG_HAV88 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSRQGIFQTVGSGLDHILSLADIEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQVEPLKTSV -DKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDPSQGGRIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNSNPDQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVSGITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTEQNVPDPQVGITTMRDLKGRANRGKMDVSGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMLSTETMMSRI -AAGDLESSVDDPRSEEDRRFESHIESRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGLFSQAKIS -LFYTEDHEIMKFSWRGVTADTRALRRFGFSLAAGRSVWTLEMDAGVLTGRLVRLNDEKWTEMKDDKIVSL -VEKFTSNKHWSKVNFPHGMLDLEEIAANSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQ -GIGLIAECRTFLDSIAGTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVVQQLNQDEHSHIIGLLRVMN -YADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSFSNWLRDICSGITIFKSFKDAIYWLYTKLKDF -YDVNYGKKKDVLNVLKDNQQKIERAIEEADNFCILQIQDVEKFEQYQKGVDLIQKLRTVHSMAQVDPSLM -IHLSPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKIEVKPASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLIMDGHNVSLMDLLS -SLVMTVEIRKQNMTEFMELWSQGISDDDNDSAVAEFFQSFPSGEPSNSKLSSFFQSVTNHKWVAVGAAVG -ILGVLVGGWFVYKHFSRKEEEPIPTEGVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEK -NGCVRWVMNALGVKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVP -TIPNFRDITEHFIKKGDVPRALNRLATFGQPVNGTPMLISEGPLKMEEKATYVHKKNDGTTVDLTVDQAW -RGKGEGLPGMCGGALVSSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIESQRIMKVEFTQCSM -NVVSKTLFRKSPIHHHIDKDMINFPAAMPFSRAEIDPMAVMLSKYSLPMVEEPEGYKDVSVFFQNKVMGK -SVLVDDFLDLDMAITGAPGIDAINMDSSPGFPYVQERLTKRDLIWLDENGLLLGIHPRLAQRILFNTVMM -ENCSDLDVIFTTCPKDELRPLDKVLESKTRAIDACPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGIDP -DRQWDELFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRIMSEISGTPSHFGTALINTIIYSKHLLYNC -CYHVYGSMPSGSPCTALLNSIINNINLYYVFSKIFRKSPVFFSQAVRILCYGDDVLIVFSRDIQIDNLDQ -IGQKIVHEFKQLGMTATSADKTVPQLKPVSELTFLKRSFNLVEDRVRPAISEKTIWSLVAWQRSNAEFEQ -NLENAQWFAFMHGFEFYQKFYYFVQSCLEKEMIEYRLKSYDWWRMRFYDQCFVCDLS - ->sp|Q5Y944.1|POLG_HAVCF RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSRQGIFQTVGSGLDHILSLADIEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQIEPLKTSV -DKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDPSQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNSNPDQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVSGITLKQATTAPCAVMDIAGVQSTLRFRVPW -IPDTRYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTEQNVPDPQVGITTMRDLKGKANRGKMDVSGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMLSTETMMSRI -AAGDLESSVDDPRSEEDRRFESHIESRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGLFSQAKIS -LFYTEEHEIMKFSWRGVTADTRALRRFGFSLAAGRSVWTLEMDAGVLTGRLVRLNDEKWTEMKDDKIVSL -VEKFTSNKHWSKVNFPHGMLDLEEIAANSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQ -GIGLIAECRTFLDSITGSLKSMMFGFHHSVTVDIVNIVLCFVKSGILLYVIQQLNQDEHSHIIGLLRVMN -YADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSFSNWLRDICSGITIFKSFKDAIYWLYTKLKDF -YDMNYGKKKDVLNVLKDNQQRIERAIEEADNFCMLQIQDVEKFEQFQKGVDLIQKLRTVHSMAQVDSSLM -IHLSPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKIEVKPASFFQNPHNDMLNVNLAKTSDAIKDMSCVDLIMDGHNISLMDLLS -SLVMTVEIRKQNMTEFMELWSQGISDDDSAVAEFFQSFPSGEPSSSKLSGFFQSVTNHKWVAVGAAVGIL -GVLVGGWFVYKHFSRKEEEPIPTEGVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEKNG -CVRWVMNALGVKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVPTI -PKFRDITEHFIKKGDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTVDLTVDQAWRG -KGEGLPGMCGGALISSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIESQRIMKVEFSQCSMNV -VSKTLFKKSPIHHHIDKDMINFPAAMPFSRAEIDPMAVMLSKYSLPMVEEPEGYKDVSIFFQNKIMGKSI -LVDDFLDLDMAITGTPGIDAINMDSSPGFPYVQEKLTKRDLIWLHENGLLLGIHPRLAQRILFNTVMMEN -CSDLDVVFTTCPKDELRPLDKVLESKTRAIDACPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGIDPDK -QWDELFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRIMSEISGTPSHFGTALINTIIYSKHLLYNCCY -HVYGSMPSGSPCTALLNSIINNINLYYVFAKIFRKSPVFFSQAVRILCYGDDVLVVFSRDIQIDNLDQIG -QKIVHEFKELGMTATSADKTVPQLKPVSELTFLKRSFNLVEDRIRPAISEKTIWSLVAWQRSNAEFEQNL -ENAQWFAFMHGFEFYQKFYYFVQSCLEKEMIEYRLKSYDWWRMRFYDQCFVCDLS - ->sp|A5LGW7.1|POLG_HAVJ8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSRQGIFQTVGSGLDHILSLADVEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGAHQSEPLKTSV -DKPGSKRTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDASQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNTNPEQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVSHITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSSHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTKQNVPDPQVGITTVRDLKGKANQGKMDVSGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNTNAMMSSETMLDRI -ALGDLESSVDDPRSEEDRKFESHIEKRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKIKGVFSQAKIS -LFYTEDHEIMKFSWKGITADTRALRRFGFSLAAGRSVWTLEMDAGVLTGRLVRVNDEKWTEMKDDKIVSL -VEKFTSNKHWSKINFPHGMLDLEEIAANSKEFPNMSETDLCFLLHWLNPKKINLADRMLGMSGIQEIKEK -GVGLIGECRAFLDSITTTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVIQQLNQEEHSHIIGLLRVMN -YADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSISNWLRDICSGITIFKSFKDAIYWLYTRIREY -YDVNYGNKKDVLNILKDNQQKIERAIEEADNFCVLQIQDVEKFEQYQKGVDLIQKLRTVHSMAQVDPGLT -VHLAPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKVEVKPASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLVMDSHNISLSELLS -SLVMTVEIRKQNMSEFMELWSQGMSDDDNDSAVAEFFQSFPSGEPSGSKLSRFFQSVTNHKWVAVGAAVG -VLGVLVGGWYVYKHFTKKKEEPIPSEGVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEK -NGCVRWVMNALGIKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVP -TIPKFRDITEHFIKKSDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTIDLTVDQAW -RGKGEGLPGMCGGALISSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIVESQRIMKVEFTQCS -MNVVSKTLFKKSPIHHHIDKNMINFPAVMPFSRAEIDPMAVMLSKYSLPIVDEPEDYKDVSVFFQNKILG -KSPLVDDFLDIEMAITGAPGIDAINMDSSPGYPYVQEKLTKRDLIWLDDNGMFLGVHPRLAQRILFNTTM -MENCSDLDVVFTTCPKDELRPLDKVLESKTRAIDSCPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGID -PDRQWDQLFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRILTEMSGAPNHFGEALINTIIYSKHLLYN -CCYHVYGSMPSGSPCTALLNSIINNVNLYYVFSKIFKKSPVFFCDAIRILCYGDDVLIVFSRQVQFDNLD -SIGQRIVDEFRKLGMTATSADKSVPQLKPVSELTFLKRSFNLVDDRIRPAIAEKTIWSLVAWQRSNAEFE -QNLENAQWFAFMHGYEFYQDFYHFVQSCLEKEMIEYRLKSYDWWRMKFNDQCFVCDLS - ->sp|Q6R325.1|POLG_AEVVR RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B; Short=P3B; AltName: Full=VPg; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MSKLFSTVGRTVDEVLSVLNDEDTESYAGPDRTAVVGGGFLTTVDQSSVSTATMGSLQDVQYRTAVDIPG -SRVTQGERFFLIDQREWNSTQSEWQLLGKIDIVKELLDQSYAVDGLLKYHSYARFGLDVIVQINPTSFQA -GGLIAALVPYDQVDIESIAAMTTYCHGKLNCNINNVVRMKVPYIYSRGCYNLRNSAYSIWMLVIRVWSRL -QLGSGTSTQITITTLARFVDLELHGLSPLVAQMMRNEFRLSSSSNIVNLANYEDARAKVSLALGQEGFSR -DSSSTGGGMLYHFSQWTSIPCLAFIFTFPGTVGPGTRIWSTTVDPFSCNLRAFSTVHPTNLSSIAGMFCF -WRGDIVFEFQVFRTKYHSGRLMFVYVPGDENTKISTLTATQASSGLTAVFDINGVNSTLVFRCPFISDTP -YRVNPTTHKSPWPYATGKLVCYVYNRLNAPASVSPSVSINVYKSAVDLELYAPVYGVSPTNTSVFAQGKG -DEGGFSSVPEVEQHVVEDKEPQGPLHVTPFGAVKAMEDPQLARKTPGTFPELAPGKPRHTVDHMDLYKFM -GRAHYLWGHKFTKTDMQYTFQIPLSPIKEGFVTGTLRWFLSLFQLYRGSLDITMTFAGKTNVDGIVYFVP -EGVAIETERKEQTPLLTLNYKTSVGAIRFNTGQTTNVQFRIPFYTPLEHIATHSKNAMDSVLGAITTQIT -NYSAQDEYLQVTYYISFNEDSQFSVPRAVPVVSSFTDTSSKTVMNTYWLDDDELVEESSHFSFDEIEEAQ -CSKCKIDLGDIVSCSGEKAKHFGVYVGDGVVHVDPEGNATSWFMKRKATVKKSKNLDKWCFALSPRIDRT -LICETANLMVGREVEYDIFVKNCETYARGIASGDYGTKEGEKWKTLLSAVGVAAMTTTMMAMRHELLDTS -LTKLPQKVGEVTDEVRKILEDTSAGVREFKEKVSSILRKTWPGKTSIKIMKWTCRIVKMCVGVGLCYMHG -WDSKTVTAVVTMFSMDFLDLVIDGIEIGRMIIDELTTPKAQGLSEINQVLSIAKNAKDVIKMLIEIFCKV -IERITGEHGKKIQWAQDKKEEIMNVLERAEKWITTSDDHSEGIECLKLVRSIQSVIRGEESLKELAGELR -AVGTHVLNKLGRLDKPNAPILVRAEPTVLYLYGNRGGGKSLASMAIAVKLCKELGISHVEGIYTKPIMSD -FWDGYAGQPVVIMDDLGQSTSDEDWTNFCQLVSSCPLRLNMANLEKKGTQFNSPFIIASSNLSHPCPKTV -YCTDAIARRLHIKVKVSPKEEFSTHAMLDVAKAKKVGAYCNLDCLDLQKISDLASTPVSVQDIVLEMLHT -NVDKQTLMGDIIQYWAQSNPREVFDTMAEGKNSGKYLWLFERLKTSKWYILGCVGAVLAVSALGVFAYHM -IKNHFRDQQHDQSAYSVAIKPLRVVRLEQSDAQSVVDISNVVHGNLVRVGVGPNEARIHWLYNGLGVYDT -YILMPYHGIKDADVDDDLYIERAGTIYSTNMKMVQVLFLESREGDLVLINVPRLPKFRDIRNHFSTEENI -RRAEGMPGTLCTLDHERFTLVTESDLKMVEAATYVCEDDKGVRTDISVGRSWKAKACTVAGMCGGALVTS -NNKMQNAIVGIHVAGGAHAISRVITKEMIEEMLKTRAQCSRIWKTEFVEEKISVGSKTKYHKSPLYDFCP -QEVVKCPTKLFYQGEIDVMQVMLAKYSSPIVSEPSGYATVVEAYTNRMVSFFPEPRQLTYDECINGIEGL -DAIDLKTSAGFPYNTLGLRKSDLIINGKMAHRLQQDVEKMEEDLHMNRSIQVVFTTCAKDELRPLSKVML -GKTRAIEACPVSFTILFRRYLGYALAQIQSHPGFHTGIAVGVDPDQDWHCMWYSIVTQCDLVVGLDFSNY -DASLSPFMIYHAGRVLGQICGLDPRLVDRIMEPIVNSVHQLGSMRYYVHGSMPSGTPATSVLNSIINVVN -ICYVLCALEEISVFEVFKLFKILTYGDDVLLCIKKEYLDQKSFPLSSFVQGLEELGLSPTGADKMEVKVT -PVHKMSFLKRTFYVDEWSICHPRISEETVYSMLAWKSDNASMKDLIETSIWFMFHHGPRKYVRFCTWLRG -VLCRVGIGLYIPTYKELEVRYDRLVKYRFIDDNF - ->sp|Q6WQ42.1|POLG_AEVL2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B; Short=P3B; AltName: Full=VPg; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MSKLFSTVGRTVDEVLSVLNDEDTESYAGPDRTAVVGGGFLTTVDQSSVSTATMGSLQDVQYRTAVDIPG -SRVTQGERFFLIDQREWNSTQSEWQLLGKIDIVKELLDQSYAVDGLLKYHSYARFGLDVIVQINPTSFQA -GGLIAALVPYDQVDIESIVAMTTYCHGKVNCNINYVVRMKVPYIYSRGCYNLRNSAYSIWMLVIRVWSRL -QLGSGTSTQITITTLARFVDLELHGLSPLVAQMMRNEFRLSSSSNIVNLANYDDARAKVSLALGQEEFSR -DSSSTGGELVHHFSQWTSIPCLAFTFTFPGTVGPGTHIWSTTVDPFSCNLRASSTVHPTNLSSIAGMFCF -WRGDIVFEFQVFCTKYHSGRLMFVYVPGDENTKISTLTAKQASTGLTAVFDINGVNSTLVFRCPFISDTP -YRVNPTTHKSLWPYATGKLVCYVYNILNAPASVSPSVSINVYKSAADLELYAPVYGVSPTNTSIFAQGKE -DEGGFFSVPEVEQHVVEDKEPQGPLHVTPFGAVKAMEDPQLARKTPGTFPELAPGKPRHTVDHMDLYKFM -GRAHYLWGHEFTKTDMQYTFQIPLSPIKEGFVTGTLRWFLSLFQLYRGSLDITMTFAGKTNVDGIVYFVP -EGVAIETEREEQTPLLTLNYKTSVGAIRFNTGQTTNVQFRIPFYTPLEHIATHSKNAMDSVLGAITTQIT -NYSAQDEYLQVTYYISFNEDSQFSVPRAVPVVSSFTDTSSKTVMNTYWLDDDELVEESSHSSFDEIEEAQ -CSKCKMDLGDIVICSGEKAKHFGVYVGDGVVHVDPEGNATNWFMKRKATVKKSKNLDKWCFALSPRIDRT -LICETANLMVGREVEYDIFVKNCETYARGIASGDYGTKEGEKWKTLLSAVGVAAMTTTMMAMRHQLLDTS -LTKLPQKVGEVTNEVRKILEDTSAGVREFKEKVSSILRKTWPGKTSIKIMKWTFRIVKMCVGVGLCYAHG -WDSKPVTAVVTMFSMDFLDLVIDGIEIGRMIIHELTTPKAQGLSEINQVLSIAKNAKDVIKMLIEIFCKV -IERITGEHGKKIQWAQDKKEEIMNVLERAEKWITTSDDHSEGIECLKLVRSIQSVIRGEESLKELAGELR -AVGTHVLNKLGRLDKPNAPILVRAEPTVLYLYGNRGGGKSLASMAIAVKLCKELGISHVEGIYTKPIMSD -FWDGYAGQPVVIMDDLGQSTSDEDWTNFCQLVSSCPLRLNMANLEKKGTQFNSPFIIASSNLSHPCPKTV -YCTDAIARRLHIKVKVSPKEEFSTHAMLDVAKAKKAGAYCNLDCLDFQKISDLASTPVSVQDIVLALLHT -NVDKQTVMGNIIQYWAQSNPREVFDTMAEGKNSGKYLWLFEKIKTSKWYILGCVGAALSVSVLGVFAYHM -IKNHFRDQQHDQSAYSAAIKPLRVVRLEQSDAQSVVDISNVVHGNLVRVGVGPNEARIHWLNNGWGVYNT -YILMPYHGIKDADVDDDLYIERAGTIYSTNMKMVQVLFLESREGDLVLINVPRLPKFRDIRNHFSTEENI -RRAEGMPGTLCTLDHERFTLVTESDLKMVEAATYVCEDDKGVRTDISVGRSWKAKACTVAGMCGGALVTS -NNKMQNAIVGIHVAGGAPAISRVITKEMIEEMLKTRAQCSRIWKTEFVEKKISVGSKTKYHKSPLYDFCP -QKVIKCPTKLFYQGEIDVMQVMLAKYSSPIVSEPLGYATVVEAYTNRMVSFFSEPRQLTYDECINGIEGL -DAIDLKTSAGFPYNTLSLKKSDLIINGKKAQRLQQDVEKMEEDLHMNRSIQVVFTTCAKDELRPLSKGML -GKTRAIKACPVSFTILFRKYLGYALAQIQSHPGFHTGIAVGVDPDQDWHCMWYSIVTQCDLVVGLDFSNY -DASLSPFMIYHAGRVLGQICGLDPRLVDRIMEPIVNSVHQLGSMRYYVDGSMPSGTPATSVLNSIINVVN -ISHVLCALEKISVFEVFKLSKILTYGDDVLFCIKKESLDQKSFPLSSFVQGLKELGMSPTGADKMEVKVT -PVHKMSFLKRTFYVDEWSICHPRISEETVYSMLAWKSDNASMKHVIETSIWFMFHHGPRKYVIFCTCLRG -VLCRVGIGLYIPTYKELEVRYDRLVKDRVIDDSF - ->sp|P14553.2|POLG_HAVS2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MFMMMNMSKQGIFQTVGSGLDHILSLADVEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGAHQTEPL -KTSVDKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVSLLYNEQFAVQGLLRYHTYARFGIEIQVQ -INPTPFQQGGLICAMVPGDQGYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELT -IRVWSELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFAL -DQEDWKTDPSQGGGIKITHFTTWTSIPTLAAQFAFNASASVGQQIKVIPVDPYFYQMTNSNPDQKCITAL -ASVCQMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVSGITLKQATTAPCAVMDITGVQSTLRF -RVPWISDTPYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAM -DVTSQTGDDSGGFSTTVSTEQNAPDPQVGITTIKDLKGKANRGKMDVSGIQAPVGAITTIEDPVLAKKVP -ETFPELRPGESRHTSDHMSIYKFMGRSHFLCTFTFNANNREYTFPITLSSTSNPPHGLPSTLRWFFNLFQ -LYRGPLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKQSALTIDYKTALGAIRFNTRRTGNIQIRLPWY -SYLYAVSGALDGLGDTTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMMVSESM -LDRIASGDLESSVDDPRSAEDKRFESHIEQGKPYKELRMEVGKQRLKYAMEELSNEILPPPRKVKGLFSQ -AKISLFYTEDHEIVKLSWKGLTADTRALRRYGFSLAAGRSVWTLEMEAGVLTGRMIRLNDEKWTEIKDDK -IVALVEKFTSNKNWSKVNFPHGMLDLEEIASNSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQE -IKEQGVGLIAECRTFLDSIAGTLKSMMFGFHQSVTVEIINTVLCFVKSGILLYVIQQLNQNEHSHIIGLL -QVMNYADIGCSVISCGKIFSKMLETVFNWQMDSRMMALRTQSFSNWLRDICSGITIFKNFKDAIFWLYTK -LKDYYDSNYGKKKDVLNVLKENQHRIEKAIEEADQFCVLQIQDVEKSEQYQKGVELIQKLRTVHSLAQVD -SSLMSHLSPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPE -KNIYTKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIAT -SNWSNPSPKTVYVKEAIDRRLHYKIEVKPASFYKNAHNDMLNVNLARNNDAIKDMSCVDLLMDGHTVSLS -ELLNSLVMTVEIRKQNMSEFMKLWSQGVSDDDNDSAVAEFFQSFPSGEPSNSKLSSFFKAVTNHKWVAIG -AAVGVLGVLVGGWFVYKHFTKEEPIPTEGVYHGVTKPKQVIKLDADPVDSQSTLEIAGLVRKNLVQFGVG -EKNGCVRWVMNALGIKDDWLLVPSHAYKFEKDYQMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMK -VPTIPKFRDITEHFIKKNDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKRNDGTTVDLTVDQ -AWRGKGEGLPGMCGGALISSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIEQKAIESQRIMKVEFTQ -CSMNVVSKTLFKKSPIHHHIDRNMINFPAVMPFSKAEIDPMAVMLSKYSLPIVEEPDDYKMASIYFQNKV -MGKTFLVDDFLDIDMAITGAPGIDAINMDSSPGFPYVQEKLTKKDLIWLDENGLLLGVHPRLAQRILYNT -VMMENCSDLDVVFTTCPKDELRPLDKVLESKTRAIDACPLDYTILCRIYWGPAISYFQLNPGFHTGVAIG -IDPDRHWDELFKTMVRFGDVGLDLDFSSFDASLSPFMIREAGRILSEMSGTPSHFGEALINTIIYSKHLL -YNCCYHVYGSMPSGSPCTALLNSIVNNVNLYYVFSKIFRKSPVFFGDALKILCYGDDVLIVFSRNVQIDN -LESIGQKIVDEFGKLGMTATSADKSVPKLKPISELTFLKRSFNLVEDRIRPAISEKTIWSLVAWQRSNAE -FEQNLENAQWFAFMHGFEFYQKFYHFVQSCLEKEMVEYRLKSYDWWRMKFYDQCFVCDLT - ->sp|P06441.1|POLG_HAVLA RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3ABCD; Short=P3; Contains: RecName: Full=Protein 3ABC; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL -MNMSKQGIFQTVGSGLDHILSLADIEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQIEPLKTSV -DKPGSKKTQGEKFFLIHSADWLTTHALFHEVAKLDVVKLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQSYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SELNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQED -WKSDPSQGGGIKITHFTTWTSIPTLAAQFPFNASDSVGQQIKVIPVDPYFFQMTNTNPDQKCITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLLFCFVPGNELIDVTGITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSAHQKGEYTAIGKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTT -QVGDDSGGFSTTVSTEQNVPDPQVGITTMRDLKGKANRGKMDVSGVQAPRGSYQQQLNDPVLAKKVPETF -PELKPGESRHTSDHMSIYKFMGRSHFLCTFTFNSNNKEYTFPITLSSTSNPPHGLPSTLRWFFNLFQLYR -GPLDLTIIITGATDVDGMAWFTPVGLAVDPWVEKESALSIDYKTALGAVRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDKTDSTFGLFLFEIANYNHSDEYLSFSCYLSVTEQSEFYFPRAPLNSNAMLSTESMMSRI -AAGDLESSVDDPRSEEDRRFESHIECRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGLFSQAKIS -LFYTEEHEIMKFSWRGVTADTRALRRFGFSLAAGRSVWTLEMDAGVLTGRLIRLNDEKWTEMKDDKIVSL -IEKFTSNKYWSKVNFPHGMLDLEEIAANSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQ -GVGLIAECRTFLDSIAGTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVIQQLNQDEHSHIIGLLRVMN -YADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQSFSNWLRDICSGITIFKSFKDAIYWLYTKLKDF -YEVNYGKKKDILNILKDNQQKIEKAIEEADNFCILQIQDVEKFDQYQKGVDLIQKLRTVHSMAQVDPNLG -VHLSPLRDCIARVHQKLKNLGSINQAMVTRCEPVVCYLYGKRGGGKSLTSIALATKICKHYGVEPEKNIY -TKPVASDYWDGYSGQLVCIIDDIGQNTTDEDWSDFCQLVSGCPMRLNMASLEEKGRHFSSPFIIATSNWS -NPSPKTVYVKEAIDRRLHFKVEVKPASFFKNPHNDMLNVNLAKTNDAIKDMSCVDLIMDGHNISLMDLLS -SLVMTVEIRKQNMSEFMELWSQGISDDDNDSAVAEFFQSFPSGEPSNWKLSSFFQSVTNHKWVAVGAAVG -ILGVLVGGWFVYKHFSRKEEEPIPAEGVYHGVTKPKQVIKLDADPVESQSTLEIAGLVRKNLVQFGVGEK -NGCVRWVMNALGVKDDWLLVPSHAYKFEKDYEMMEFYFNRGGTYYSISAGNVVIQSLDVGFQDVVLMKVP -TIPKFRDITQHFIKKGDVPRALNRLATLVTTVNGTPMLISEGPLKMEEKATYVHKKNDGTTVDLTVDQAW -RGKGEGLPGMCGGALVSSNQSIQNAILGIHVAGGNSILVAKLVTQEMFQNIDKKIESQRIMKVEFTQCSM -NVVSKTLFRKSPIHHHIDKTMINFPAAMPFSKAEIDPMAMMLSKYSLPIVEEPEDYKEASVFYQNKIVGK -TQLVDDFLDLDMAITGAPGIDAINMDSSPGFPYVQEKLTKRDLIWLDENGLLLGVHPRLAQRILFNTVMM -ENCSDLDVVFTTCPKDELRPLEKVLESKTRAIDACPLDYTILCRMYWGPAISYFHLNPGFHTGVAIGIDP -DRQWDELFKTMIRFGDVGLDLDFSAFDASLSPFMIREAGRIMSELSGTPSHFGTALINTIIYSKHLLYNC -CYHVCGSMPSGSPCTALLNSIINNINLYYVFSKIFGKSPVFFCQALRILCYGDDVLIVFSRDVQIDNLDL -IGQKIVDEFKKLGMTATSADKNVPQLKPVSELTFLKRSFNLVEDRIRPAISEKTIWSLMAWQRSNAEFEQ -NLENAQWFAFMHGYEFYQKFYYFVQSCLEKEMIEYRLKSYDWWRMRFYDQCFICDLS - ->sp|P31788.1|POLG_HAVSC RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX; Contains: RecName: Full=Protein 2BC; Contains: RecName: Full=Protein 2B; Short=P2B -MNMARQGLFQTVGSGLDHILSLADVEEEQMIQSVDRTAVTGASYFTSVDQSSVHTAEVGSHQSEPLKTSV -DKPGSKKTQGEKFFLIHSADWLSTHALFHEVAKLDVVSLLYNEQFAVQGLLRYHTYARFGIEIQVQINPT -PFQQGGLICAMVPGDQGYGSIASLTVYPHGLLNCNINNVVRIKVPFIYTRGAYHFKDPQYPVWELTIRVW -SEFNIGTGTSAYTSLNVLARFTDLELHGLTPLSTQMMRNEFRVSTTENVVNLSNYEDARAKMSFALDQEN -WRSDPSEGGGIKITHFSTWTSIPTLAAQFAFNASASVGQQIKVIPVDPYFYQMTNSNPDQKYITALASIC -QMFCFWRGDLVFDFQVFPTKYHSGRLQFCFVPGNELIEVTSITLKQATTAPCAVMDITGVQSTLRFRVPW -ISDTPYRVNCYIKSSHQKGEYTAIEKLIVYCYNRLTSPSNVASHVRVNVYLSAINLECFAPLYHAMDVTS -QTGDDSGGFSTTVSTEQNVPDPQVGITTPKDLKGKANKGKMDVSGVQAPVGAITTIEDPVLAKKVPETFP -ELKPGESRHTSDHMSVYKFMGRSHFLCTFTFNANNREYTFPITLSSTSNPPHGSPSTLRWFFNLFQLYRG -PLDLTIIITGATDVDGMAWFTPVGLAVDTPWVEKQSALTIDYKTALGAIRFNTRRTGNIQIRLPWYSYLY -AVSGALDGLGDTTDSTFGLVSIQIANYNHSDEYLSFSCYLSVTEQSEFFFPRAPLNSSAMMTSENMLDRI -AGGDLESSVDDPRTDEDRRFESHIEKKPYKELRLEVGKQRFKYAREELSNEILPPPRKLKGLFSQSKIS - ->ALR74730.1 polyprotein [tremovirus A1] -MSKLFSTVGKTVDEVLSVLNDENTESYAGPDRTAVVGGGFLTTVDQSSVSTATMGSLQDVQYRTAVDIPG -SRVTQGERFFLIDQREWNSTQSEWQLLGKIDIVKELLDQSYAVNGLLKYHSYARFELDVIVQINPTSFKA -GGLIAALVPYDQVDIESIAAMTTYCHGKLNCNINNVVRMKVPYIYSRGCYNLRNSAYSIWMLVIRVWSQL -QLGSGTSTQITVTTLARFVDLELHGLSPLVAQMMRSEFRLSSSSNIVNLANYEDARAKVSLALGQEEFSR -DSSSTGGELLHHFSQWTSIPCLAFTFTFPGTVGPGTQIWSTTVDPYSCNLRASSTVHPTNLSSIAGMFCF -WRGDIVFEFQVFCTKYHSGRLMFVYVPGDENTKISTLTAKQASSGLTAVFDINGVNSTLVFRCPFISDTP -YRVNPTTHKSLWPYATGKLVCYVYNRLNAPASVSPNVSINVYKSAVDLELYAPVYGVSPTNTSVFAQGKE -DEGGFSSVPEVEQHVVEDKEPQGPLHVTPFGAVKAMEDPQLARKTPGTFPELAPGKPRHTVDHMDLYKFM -GRAHYLWGHKFTKTDMQYTFQIPLSPIKEGFVTGTLRWFLSLFQLYRGSLDITMTFAGKTNVDGIVYFVP -EGVAIETEREEQTPLLTLNYKTSVGAIRFNTGQTTNVQFRVPFYTPLEHIATHSKNAMDSVLGAITTQIT -NYNAQDEYLQVTYYISFNEDSQFSVPRAVPVVSSFTDTSSKTVMNTYWLDDDELVEESSHSSFDELEEAQ -CSKCKMDLGDIVSCSGEKAKHFGVYVGDGVVHVDPEGSAANWFMKRKALVKKSKNLDKWCFALSPRIDRT -LICETANLMVGREVEYDIFVKNCETYARGIASGDYGTKEGEKWKTLLSAVGVAAMTTTMMAMRHELVDTS -LTKLPQKVGEVTSEVRRILEDTSAGVKEFKEKVSNILRKTWPGKTAIKIMKWTCRIVMMCVGVGLCYMHG -WDSKTVTAVVTMFSMDFLDLVIDGIEIGRMIIDELTTPKAQGLSEINQVLSIAKNAKDVIKMLIEIFCKI -IERITGEHGKKIQWAQEKKEEIMNVLERAEKWITTSDDHSEGIECLKLVRSIQSVIRGEESLKELMGELR -TVGTHVLNKLGRLDKPNTPILVRAEPTVLYLYGNRGGGKSLASMAIAVKLCKELGVSHVEGIYTKPIMSD -FWDGYAGQPVVIMDDLGQSTSDEDWTNFCQLVPWCLLRLNMANLEKKGTHVNSPFIIASSNLSHPCPKTV -YCTDAIARRCLGHVKISPKEEFSTHAMLDVVKAKKAGTYCNLDCLDFQKVSDLASTPVSVQDIVLEMLHT -NLDKQTLMGDIIQYWAQSNSREVFDTMAEGKNTGKYLWLFERLKTSKWYILGCVGAVLSVSALGVFAYHM -IKNHFRDQQHDQSAYSVAIKPLRVVRLEQADAQSVVDISNVVHGNLVRVGVGPNEARIHWLYNGLGVYDT -YILMPYHGIKDADVDDDLYIERAGTIYSTNMKMIQVLFLESREGDMVLINVPRLPKFRDIRNHFATEENV -CRAEGMPGTLCTLDHERFTLVTESDLKMVEAATYVCEDDKGVRTDISVGRSWKAKACTVAGMCGGALVTS -NNKMQNAIVGIHVAGGAHAISRVVTREMIEEMLKTRAQCSRIWKTEFVEEKISVGSKTKYHKSPLYDFCP -QEVIKCPTKLFYQGEIDVMQVMLAKYSSPIVSEPLGYSDVVEAYTNRMISFFPEPRQLTYDECINGIEGL -DAIDLKTSAGFPYNTLSLRKSDLIVGGKMAPRLQQDVEKMEEDLHMNRSIQVVFTTCAKDELRPVNKVML -GKTRAIEACPVSFTILFRRYLGYALAQIQSHPGFHTGIAVGVDPDQDWHCMWYSIITQCDLVVGLDFTNY -DASLSPFMIYHAGRVLGQICGLDPRLVDRIMEPIVNSVHQLGSMRYYVHGSMPSGTPATSVLNSIINVVN -ICYVLCTLEKISVFEVFKLFKILTYGDDVLLCIKKDYLEQKSFPLSNFIQGLEQLGLNPTGADKMEVKIT -PVHKMSFLKRTFYVDEWTICHPRISEETVYSMLAWKSDNASMKDLIETSIWFMFHHGPRKYVKFCTWLRD -VLSRVNIRLYIPTYKELEARYDRLVKYRFIDDGY ->ALL35264.1 polyprotein [hepatovirus D2] -MMSKQGIFQSVGEGLDHILSLADMEEEQMIQSVDRTAVAGASYFTSVDQSSVHSAEVGSHQEEKLLTSVD -LPGSKKTQGEKFFLIHSADWNTTDALFHEVAKLNVVNLLYDQQYAVQGLLRYHTYGRFGVEVQIQINPTP -FQQGGLICAMVPGDQGYGSISCLTVYPHGLLNCNINNVVRIKVPFIYTRGAYNFREPQYPVWELTIRVWS -LLNIGTGTTPYCSVNVLARFTDLELHGLTPIMSQMMRNEFRVSSTDNVINLSNYEDARAKISFALDQENF -LGDSSEGGGIEIKHFSTWTRIPTLAAQFSFNASATVGTQIQVFPVDPYFYTILNSSPDQKCITSLASVCQ -MFCFWRGDIVFDFQVFPTKYHSGRLLFCFVPGNENMDVSNITLKQATTGPCAVMDITGVQSTLRFRVPWI -SDTPYRVNRYTKSAHVKGEYTAIGKLIVFVYNRLASPSNVASHVRVNVYMSAINLECFAPVYHAMTNTVV -QAGDESGGFSTTMTTEQNVPDQQGGITSPKDLKGRANRGKMDLSATQAPVGAVTTIEDPLLAKKIPETFP -EMKPGQSRHTSDHMLIHKFMGRGHFLNTFTFNSNNMEYTIPITLSASQNPPQGLPSTLRWFFNMFQLYRG -PLDLTIIITGATDVDGLVWFTPVGLAVDTPWTEKASLLSIDYKSSLGAVRFNTRRTGNIQVRLPWYSYLY -AVSGALDGVGDASDSTFGLISIQITNYNSSDEYLSFSLYLSVTEQSQFYFPRAPLNTNAMAVQGSTGINL -QEMLESAVDEPRDEEDFKFERCIESRKPIRYKDLRLEIGPDRIKAAYDDLNQLKGLQITQAGGRLWFWKK -DSKLTLDFSFSKMNAEPKVVRTMGFSINSSGPIYHLAYDGARFLGNFSIVEKNEDWIPIPVPLEIERILT -KFVFYDEFARIDFGTDFEDLDRMCERVMNLDTFQETTAMRISELKTLMLFLKPRALDLTEKMIGDSGLKE -LSESGKSFLDEAKTFLSSIKNGLKNLVIGFSKSLTLKIIRSVLLIFRCGYLITVHAKMIERGQYDIAEML -RIMNWMDLGISAIDFGTAFSDFITTLLEFKNGDDDGKVVSQSISSWLRDISSSINIFKNVKDAVIWLYNK -IKDYYDTHYGWKRNLLEALKENEYRIENILEQSDAFCVNQIQDVNKQEEYERGVAMIKTLRTVMTLAQVD -EGLKKHLQPLRDAITRVHSKLKSLGAINQAMVTRCEPVVCYLFGKRGGGKSLASLALATKICKSYGVDPK -KNIYTKPVSSSYWDGYAGQLVCIIDDIGQNTSDEDWGDFCQLVSGCPMRLNMASLEDKGRHFSSPFIICT -SNWSDPSPKTVYVKAAIERRLHYKVEVKPKDMYRNQCDDTLNVNMAKSAGTIADMSCLELTCRNSNITLD -VLVDSLVQSVKTRESNMNEFMDLWSQSGGLDEYDSENLVSIELSKKLDEVDDGSKCKFPRLHKFFNCIKN -HKWYTLGAALGILGVVVGGWYAYKVYKDKHSEESIVVPAEGVYHGVSRPKHVIKLDADPATSQSTLEVAA -LVRKNLVQFGIGEKGGTVKWVMNALGIKDDWLLVPSHAYKFEPNYETLEFFFQRNGTYYSISAGNVVIHS -LDVGFQDVVLMRVPTIPKFRDITDHFISRKDLDRATARLATLITTVGGTPMMISEGPLKLEEKASYHHKS -EDGTLTELTVDMAWRGKGEGIAGMCGGALITSNQSIQNAIVGLHVAGGGNVMVSKVITKEMLANINSAKI -ESQRITKVEFTQCNVNVVSKTLLKKSPIHHHIDKQMINYPAVMPYQKNVEIDPMAVMLSKYALPLVSEPP -RYNEVVTFYKNKILGKPFLYTETFSLEQAIVGVEGMEGINMKSSAGFPYVHEKLDKSDLIWFSDDGSLIG -IHPRLRQRLEFNLAMVDNGNDLDVVYVTCPKDELRPIQKVLESKTRAIDACPLDFVLICRMFWGPAISYF -QLNPGFHTGVAVGIDPDRDWDPLFKTMIRFGDYGIDLDFSSFDASLSPFMIYSACEVLSELSGVGEFQNR -SLANTIIYSKHQITNLTYHVIGSMPSGSPCTSLLNSIVNNLNLFYVFWQIFGKRPVEFYDRIKFLCYGDD -VIIVFSRDLEIKNIEKLGLKLQEQFRLLGMTATSGTKQEPRVVPIQELSFLKRKFLLIEDRFRPAIDPKT -IWSMIAWIRSGAEFKQNLDTACWFAFMHGRDFYYSFTLQLKMMLEKEMVDYVLKPYEWWRIRFESLDFVR -DLD ->APA29018.1 polyprotein [Picornaviridae sp. rodent/Rn/PicoV/SX2015_1] -MDTFRKLLPQPVQMSLEAVDNVVSGVLGNPTPNGKSDDLVTNKQMLDPGQMSTYGAGTVVSNTQKPTPAI -SLGRTTVQKFPTCLDVPTTIQEQAGRFFYVQTEVWKPDHKLGDTVVDLNLPNILIDNPKFCVNGLTKYHN -YARFGIEVMLQMNATQFQQGCLAACMVPGDSAMMSFASMLVGSSGLLNCSKNNELILKVPFVYTKGMFKL -RNPSYPMYNLNVRVWSLLKSAAETTTEVFVTVWARLVDLELHGMAPLXGLSVQTVNIAPGQGVVNFSNAQ -DAQAIHSLALGQEMLIGDATIAGGERVQSLRDYVRTPGLVKTFTISTDNPVGHLVSWFTVNPFSDLIHNN -GGYQLTPMSMAALGYNFWRGDITYTFQVIATPYHSCRLMFAFVVEDSSTVGHNRDLNDASMAYVSTFDLT -GDNTSVSVTVPWMSDTYYKNVKRVDNKETLSHKIGTMYVFVVNRLRCPANVSKDIEVLVLHHSENLELNC -PTWDGIYQPAIAFQGDENVPEKSHQPTSIESTDVTSVEVSRGVCDKTFKQIEERTKQHTADHMSLRTLFG -RAHHLTSFHTNDESLSDVLVPINFDYNNFDRFNGQVLNWMCNMIHMYRGPLDLIFVCRNEPIAQLGKYYI -TFLPGQSTLQQHYVSNFVSSGAVLWDLNLASSVKVRIPWYTHLDAMTQHCGMIEGKPEKIKESQLHSWIR -MVQIGNFSADIDVYVSMPEEFDFYNFRPCINSKYLIPDRDVIQVGKTLLNQAVNPGTMVDVVNNQVYHIK -TSIDQYLIQDSIENHQSYTLSSLEFQNKTKIKSKNGFFFVERSETLRPGDIITGVNGIDVRAYTPEQFVK -LVESGPVHSVSTQSVDCVRLESSASIVSLDGHQYGLKTPGGVYVFDVEQGKVVMVACEYETLVREIQLDV -KIEELLMHVKIGKEECKNVQGYVQSLGLDSADARKLVGILMYLTDHVVSDDVIQDATDQVTSALGRLTGF -LKNRRLDKTTKVVAKVLVKVAKLTGTMYLYQHVNNSTAVLALISQWLDEISITVDLFVEMFVDLCRGQFD -ARKMLYAFLDSVGITHQRLPQTQAEGVFKKLSEFNTCMGALKNFEWFFKKIWQALGEVLDKWSETPDILE -DINDWMCMVEMLLSQPQKCMTRMHDAIQRGWELRTKGPEKYKNQINARLRDLYTACSVAKEDNVCVVNRP -EPVVIYMYGQRGSGKSLASVALAAQICKKMGWKYEESVYSKPPGDYWDAYIGQPIVMIDDMGQNSDGKDW -TDFCQIVSSTPQRLNMADLSEKGRLFTSKIIICTSNFPDPAPPTICISDALRRRIAFRFEVKPKDEYSKI -QEGCTFKVLDVDRAKRDGCLKGLTCCHFISKGVSNSLGISLREDDEWTLPEILDYMLKKVDTNQDIIDDL -IQANMQALVCCACGSADIEYDIKEMIDEKDLMLRRVINYLQIIFWSISIAGGVYGLIQARRKSKRDAIID -RMIEEATKEVYEEKIYQGPYSGHVVKDQLKANPKPSFIECPVKSEATNLTDVFHKNQITFEAGGVSCNGL -MVGNNILLTNKHSWPKDGKISMNGKVYETKVFQSNGGDVLLASVEGLQEYKSLVKHFLPLAELEGLQMDN -CMLMTKKNGREVRVLETDVRVQKHGVFQHHESVIKIPLYAVGEAYTEAGYCGGILITTEPQPRILGIHTA -GTGFKAYTSIVPLEQLYMLSMPSRMMKVELQKETIAIQRKTKYKKGLEFEVDREPAILSDVDRRSVGLDP -WVVGLSKYTTPVLGVRQNYEGISDVLLGYFRNVERTPLTMQQAVEGIDGLEAVDFKTSSGLPWSLKQMKK -KQIWNDGDPLPEFVEAVNYQLSALARGDPCEVVFATYLKDELRKKNKILKNATRLIEAAPFHHVVAFRMI -AGRFMSYMVKNNGPKVFSAVGCDPDVDWHRFVTEFKSKCYKIVDLDFSDWDGTMQPWMLREALRVVSPEH -ELSLAKTYEVTTRQYANIEYTIAGSLPSGMPATSLVNSLINTLNTIYVFREMGFSFQQFIDHTCLLTYGD -DIVLGVSEELYKEINLEHLLQGYKSLGLKPTAGDKSERLSWKEIDEFYFLKRKCRVDECGIHRPLIDKDV -CYQMLRYKTKNATLKDNLRTASWFMHHYGKEDYHHFMAEVVKRSKKLAESMPVYEDMNWTFYHKMGMC ->APA29019.1 polyprotein [Picornaviridae sp. rodent/CK/PicoV/Tibet2014] -MEMIRKFTSDPVQTSLGAVENVLENVLGNPTTTVQNDDLVTHKAERSTTDCSTHGAGTLVSNTQKPTNPV -VLGVTTVQKFPTCLDIPTTLQEQAGRFFYLKTDLWKPDQKVGEVVMEADLPNELINNEKFCVNGLTKYHN -FARFGIEIMLQINATQFQQGCLAACLVPGDSAMMSTASMLVGASGTLNCSKNNEIILKVPYVYTKGMFKL -RNSSYPMYNLQVRVWSMLKSAAETTSEVFVTLWVRLVDLELHGMAPLQGLSVQTVNIAPGQGVVNLSNAQ -DAQAIHSLALGQEFLRADESIAGGESVNSFKPYVKTPGLCHSFKITTDNPVGHLVDAFAVNPFSDLMWLD -GGHQLTPLSMVALGYNFWRGDITYTFQVVATPYHSCRLMFAFVVEDSNTVGHNRDLNDASMAYVSTFDLT -GDNTSVSVTVPWISDTAYKNIKKTDNRETMSHKTGTMYIFVVNRLRCPANVSKEIDVLVLHHSSNMEFYC -PTWDGIYEPNIRFQGDDDVPTIAHKPHNVKASDVTSVEDSRGLSDVTFKQIKEKQKIHSADHMSFSVFFG -RAHHLTSFHTNDESLSDVLIPINFDYNNFDRFNGQVLNWMCNIVHMFRGPLDLVFVVRNEPIARLGKYYI -TFLPGQSSVQHHYVSNFVSSGAILWDLNLASSVKVRIPWYTHLDAMTQHCGMVEGKAEKIRESQLHSWIR -LVQIGNFSADIDVYVSFPKEFQFYSFRPCINARYLIPDREVIRVGKTLLNQSAVKPKTMIDVVNNQIYGL -TTSIQQYLISDSVERHDHHTLEQLEFQNKTKIRFKNGFFFVEKSDSLRPGDIITSVNGVDVRNYTRDQFI -ELARSGPVSTVTTQSIDMIRLEMASGLYIRKDSDQYGIRQGENVLVMEPNEFRMVEVCFHECEWDFVRPF -DLNNEVKQNLLKINYPQDRCSDITKFLLEQGFDKRLISQMSSVVLVLGDYIYTSNEIQESTDNVISLIGR -LTSMFKNKKLDVTTRIVSKILVKVAKLTGTVYLYQYVKKNTMAVIALVTQWLDEISITVDLFLEVFVDLI -AGKFDARKILYALLSVSDAPLKDYPVCQSDVFKKLGNFNTCVSSIKNFEWFFKKIWEALSDALDKWNQKP -DVCEELNDWLCKVEMMMAQSQKCVTRMHDAIQQGWQLRSAIPEKYKAQLNSRLRDLYVCCSQTREDNVCV -VNRPEPAVVYLYGQRGSGKSLASVALAAQICKDMGWNYKESVYSKPPGDYWDAYVGQPIVLIDDMGQNSD -GKDWTDFCQIVSSTPQRLNMADISEKGRLFTSKIIICTSNFPDPAPPTICISDALRRRIAFKFEVEPMEE -YAKVQEGCRFKVLDVEKAKRNGALKGMTCCKFSSREVSNSLGIILSDQSEWTLEDLKVELIARVSKNQTI -IDDLIEANMQALLCCACGSSDVQYDVRAMLDEKDLMMGRVISILQMIFWASGIVATIYGMIQCRNKMKRD -AIIDRMVSEASKEVYEEKIYQGPYSGHVVKQPLKPEQPRFLPVKSEAQTNLTDVFAKNQVVYKSAGIECN -GIFVQGRVLLTNKHSWPKDNKIEIFGKVVECNVFKTNMGDVILAEVPGVPEYKNISKHFMPLTELTGKSF -DNCVLLTRKNGREVRVVQSEVTVQNHGVFQHEDAIIKIPLYCVGEAYTEAGYCGGVLITVEPQPRILGIH -TAGTGFKAYTSVVPLEQLYMIAQPARLVKVELQKDAIPIQRRTKYLKGLEFEVDREPAILSDNDQRSNGV -DPWVNGLSKYQMPVLSIPEGYLNISDILLGYFASVSRDPLTMEQAVYGIEGMEPVDFKTSSGLPWSLKNL -RKKDLWRDGNPLPEFADAVRYQLSKLTNGDPCEIVFATYLKDELRKKNKILKNATRLIEAAPFHHVVAFR -CIAGRFMAHMVKNNGPRVFSAVGCDPDVDWHRFMVEMKQKCYKLVDLDFSDWDGTMQPWMLREALRVVSP -AHEASLAKTYEVTTRQYSNVLYQIAGSLPSGMPATSLVNSLINTLNTIYVFRLLGFSFQQFLDHVVLLTY -GDDIVMGVTKEMHDVLNLDYLLQGYRSLGLKPTAGDKSDKLSWRELDEFYFLKRKVRVDECGIHRPLIDK -DVCYQMLKYRTKNATLKENVKTASWFMHHYGKQEYFKFMGEVIKRSKKLAESMPVYEDMNWYFYHKLGMC ->AMQ25905.1 polyprotein [Hepatovirus A] -MMMSHKGLLQSVGEGLDKILTLSDIEEEQMIQSVDRTAVAGASYFTSVDQSSVHSAEVGSHQRERLLTSV -DLPGSKKTQGEKFFLIHTAEWQTTDALFHEVAKLDVVKLLYDEQYAVQGLLRYHTYARFGVEVQIQINPT -PFQQGGLIAAMVPADQGYGSIASLTVYPHGLLNCNINNVVRIKVPFVYTRGAYNFRDPQYPVWELTIRVW -SLLYIGTGTTPYTTVNVLARFTDLELHGLTPIFTQMMRHEFRVSTTENVVNLSNYEDSRAKISFALDQEH -WLEDSSEAGGLVIKHFSTWTKIPTLATQFAFNDSAAVGTQIKVIPVDPYYYQMTNSSPEQKCITSLASVC -QMFCFWRGDIVFDFQVFPTKYHSGRLLFCFVPGNENMDVSKITLKQATTGPCAVMDITGVQSTLRFRVPW -ISDTPYRVNRYTKSAHVKGEYTAIGKLIVFVYNRLANPSNVAHHVYINVYMSAINLECFAPIYHAMENTG -SVTQAGGDQMEFSTTMTAEQNVPDQELGITKSQDLQGRANRGKMDEVGIHPPVGAVTTIEDPILAKKTPE -TFPEVSPGKSRHTSDHMSLYKFMGRGHFLVTWTFRSNDMQYTFPISLSSTSDPPRGLPSTLRWFFNLFQL -YRGPLDLTIVITGATDVDGLVWFTPVGLAVDTPWSESASQLNIDYKTSLGAVRFNTRRTGNIQIRLPWYS -YLHAISGVLDGIGDASDSTFGMVSIQIANYNSSDEYLSFSCYLSVTPESQFMFPRAPLNSSAMKVSSSRR -TTRSIKDRIADGDLESSVDDPRQEEDIKFERNIESRRAFKPYKELREEIGAMRIKAAFDDLNSVEPKSKY -YSKADKKIYTQAGEPISLHYCDEDDPISVSLTTINARPSAKRRFGFKIYDEIWLLDEENGTLTGKFRKSP -LSYNWKKDKNETVEKILTRFILLPNWQYFNFGSGILDFQQASLRCDEEVKAVCGLDPQDFVTLLNYLHPK -TINMADRLIDGSGVSDVVIESKGLIEECHSFLKTIQESVKSFMFGFKRNTGMIILNTVLSIVKAGIISYV -SYKLQDLGETKLCNLLRVFGFVDLGCSIINFGNSISEILNKVFEWQTETRLIQLRTQSISNWLRDISCCM -NIFRNFKDAVKWLYFKIKDYYDLHYGEKKQILENLKINQSKIEAMMEMADNFCIQQIQDIDRPEEYKRGN -DLIIKLRTLNSLCLVDSSLRQYLQPLRDCISRMHSKLRTLGTINHAAVTRSEPTVCYLYGKRGGGKSLTS -LALATKICKKLGVDPSKNIYTKPVGSDYWDGYCGQMVCIIDDIGQATDDEDWSDFCQLVSGCPMRLNMAS -LEDKGRHFSSPYIICTSNWADPSPKTVYVKEAIERRLHFKVEVKPADYFKLTNSDMLNVNLAKKENAIAD -MSCVSLCCNGSKTTLDELVDILIQSVNIKKRNMDEFIQLWTQSGFLDDNDDLGIAITRLFEGMPEEPDNP -GILRKLFDAISSHKWLTFGAGLGILGLIVSGWYAYNKFIKPNEEVPAEGVYHGVTRPKQVIKLDADPVDA -QSTLEIAALVRKNLVQFGIGEKGGCVKWIMNALGVKDDWLLVPSHAYKFEPNYESLEFFFARNGTYYSIS -AGNVIIQSLDVGFQDVVLMKVPTLPKFRDITEHFIKKKDLDRATNRLATLVTTVGGNPMMISEGPLKFED -KATYIHKDESGKSTEITIDMGWRGRGEGIPGMCGGALITSNQSIQNAIIGIHVAGSSNVMISKVVTQEMF -VNIDSKVIESQRIMKVEFTQCSVSVVSKTLFKKSPIHNYIDKQMINYPAVMPFQKSVEIDPMAVMLSKYD -TPIIDEPVTYHESVWFYKNKVMGKTFLYTDPLTIEQAICGVDGIEGINMKTSPGFPYVKDGFAKDDLIWL -NDNGEYIGIHPYLQQRILFNLAMVDNGNEMDVVYTTCPKDELRPLEKVLQSKTRAIDACPLDFTIICRMF -WGPAISYFQLNPGFHTGVAVGIDPDSDWDALAKSMVRFGDYGIDLDFSSFDASLSPFMIEAACEVLSYLS -GVSETQNTVIGNAIVYSKHVLSNLQYTVLGSMPSGSPCTSLLNSIINNINLYYVFSKIFKKKPLQFYEKI -KFICYGDDVMIVFSRDLEIKNLDKLGLKIQREFALLGMTATSASKGVPNVVPVLDLTFLKRKFNLVDNRF -RPAINIKTIWSLVAWMRNNAEFEQNVDTALWFAFMHGRDFFIDFCIQLSNMLRQECVEFSFKDYVWYEQR -FNSLDFFRDFD ->ALL35269.1 polyprotein [Hedgehog hepatovirus] -MMSHNGILQTVGRSLDRILTLADIEEEQVMEHPDRVSVAGASYFTSVDQSSVHSSVVGSHQREPLLTSVD -LPGSRKTQGEKFFLIHTAEWQTTDALFHEIAKLDVVKLLYDQQFAVDGLLRYHTYGRFGLEVQIQINPTT -FQQGGLICAMVPADQGYGSLSCLTMYPHGLLNCNINNVVRIKVPFVYTRGAYNFREPQYTIWELTIRVWN -RLYIGTGTTPYTSVNILARMVDLELHGLTPVMTQMMRNEFRVSTTENVVNLSNYEDSRAKISLALDQESW -RPDSSEAGGLSITNFSTWTSVPTLATQFPLNSSSEVGSQIKVIPVDPFYYQMTNTSPQQNCVTSLASIAQ -MFCFWRGDLVFDFQAFPTKYHSGRILICFVPGHENMDVSKITLKQATTGPCAVMDITGVNSTMRFRVPWI -CDTPYRVNRYTKSAHVKGEYTAIGKIIVFVYNRLSQPANVASHVYFNVYMSAVNFECFGPVYHVMNAITQ -AGGEFSSTAETQQNEPDPCGGITTPKDLVGRANQGKLDLASGRVPTGVVTVIEDPVLAKKIPETFPEKPP -GQSRHTSDHMDIRKFMGRAHFLGTFTFNANNMQYTFPISLSSTSNPPHGLPSTLRWFFNLFHLYRGPLDL -TVVVTGATDVDGMLWFTPVGLASDPPWKEAATALTIDYRTSLGAVRFNTRRTGNIQMRLPWYSYLYAISG -ALDGTGDVSDSTFGSISIQISNYGGGDEYLSFSLYLSVTEESQFLFPRAPLNNFAMNATSRSTRSIQDMV -ESPVDDFKTKEDREFEEELSNEILPIEQIPRKQFPYRSLRMKVAEQRLKYAKEELNRPFSQTHKVVNFVV -YEREEGSQILRGFGFENKIYRFTTPSWLERNVYMRSSTFCCENKDEWNEAVLTYDIERILMKLVSINDWQ -NAKFSYANINNFDEALKYLKEDKIFSNFDDSELELLFICLLPSSNSFIKRITKETGIKQVSGEASELISE -CRKFLAEVKSSLKAFAYGFCHNQYVQWLKLILKIVKVAIYTYVCYKVNWDPKVVWPIIALLGVENLMEGL -NLFEILSRLMNECFEHEVEKRLLDLKTESLGWLRDLTAGISVFKSLKDLTIWLVQKFKEQYDKYCGTTAR -KLKMIRDHESEIESTLEECDNFCAKYIQDVEKEQEYEKGMDLLCTLRTVFSFLSEDEKLRKFAQPIRDAQ -TRVHHKIRSLGAINQNVITRAEPVVCYMYGLRGGGKSLTSLALATKICREYGVDPKKNIYTKPVSSDYWD -GYSQQLVCIMDDIGQCTDDEDWANFCQLVSGCPLRLNMASLEEKGKHFSSPFIICTSNQADPSPKTVYVK -EAISRRLFYKIEVSPTPYYTVNSMLNVALAKKEDAIKDMRCVNLSMCGTSVKLDDLVQSMVEQVKFRQQN -MDDFMKLWSEGFNEVRDYAYEEFRKVMKFDKMVETSKNRLSKLFKSLNENKILTLGGVIGFFLAAGVIYG -GYRFIKDKFFPEDDEKPVTTGVYHGVTRPKNVVKLDAINTDTQSVVEISSLIHKNLVRFGIGEKGGCVDW -LMNALGVRDDWLLIPSHAYMFEQDLASKEFYFERNGTYYSTASGSVQIHTLDTGFQDVVLMKVPNIPKFR -DITNHFLSKKDVEGVCKRLATLVTSNQGVYQMVSEGPLKYEEHVTYSHRMDDGSIKDLTIGAAFRGKGES -VSGMCGGAVVSSSQKLQNPIVGIHVAGGHGTMISKAIFKEMFEVIDSKLEHSQRITKVEFTQSCVNIGTK -TLLNKSPIHDLIPRENINFPASMPFSKKNEIDPIQVMLSKYDVPWCVQPPGYDAAVEFYLEKIQGLDSIV -EEQLSLKEAIEGVPGIDALNMKTSAGLPYTLHHLDKEMLIFKDDDGQLLGIHPFLKSRIEMNLACMDCGN -EMDVIYMTCPKDELRPLQKVIDSKTRAIEACPLDFTIICRMMWGPGISYFQLNPGFHTGVAVGMDPDSDW -DSLFRAMVKFGDYGLDLDFSSFDASVSPFMIWNACSILSSLSGISERQHSSLFMAICYSRHQILNMIYSV -QGSMPSGTPCTSLLNSIINNINLFFVFSRIFRKPPSIVSELVKFICYGDDVLIVFNRKLDISKLEKLGSL -VVKNFESLCMTVTSSTKETPVIRRISELTFLKRKFYFSNERIRPDIDSKTIWSLVAWQRTNAEFSQNVET -ASWFAFLKGPEFYSHFKRKMNDMFKMQNLNLRISSYEFWLDRFRELEFDRDMS ->APA29017.1 polyprotein [Picornaviridae sp. rodent/Ds/PicoV/IM2014] -METFKKLLPQPMQASLEAVDNIASSVLGNPTTNNQSDDLVTNKQTLQPGQMSTYGAGTVVSNTQKPTPAI -SLGQTTVQKFPTCLDVPTTIQEQAGRFFYVQTEIWKPDHGVGDTVVDLNFPDVLINNPKFCVNGLTKYHN -FARFGIEVMLQINATQFQQGCLAACMVPGDSAMISYASMLVGSSGLLNCSKNNELILKVPYVYTKGMFKL -RNPSYPMYNLNVRVWSVVKSAAETTNEVFITVWARLVDLELHGMAPLQGLGVQTVNIAPGQGVVNFSNAQ -DAQAIHSLALGQEILIGDDTIAGGERVENLKDYVRIPGLVKTFTLSTDHAVGHLASWFTVNPFSDLTYSD -DGYQMTPMSMASLGYNFWRGDITYTFQVVATPYHSCRLMFAFVVEDSSTVGHNRDLDDASMAYVSTFDLT -GDNTSVSVTVPWMSDTYYKNIKKTDNKETLSHKVGTMYVFVVNRLRCPANVSKTIEVLVLHHSNNLELFC -PTWDGIYKPTIAFQGDENVPEKAHSPAEVKTTDVTSVEISRGVCDKTFKQIEEKPKQHTADHMDLRTLFG -RAHHLTSFHTNNESLSDILIPINFDYNNFNRFNGQVLNWMCNIVHMFRGPLDLIFVVRNEPIAQLGKYYI -TFLPGQSSVQQHYISNFVSSGAVLWDLNLASSVKVRVPWYTHLDTMTQHCGMKEGKAEKIRESQLHSWIR -MVQIGNFSADIDVYVSMPQEFAFYNFRPCINSKYLIIDREVMQVGKTLLNQAVNPGTMVDVVNNQVYHLK -TSVEQYLIQDSIENHQSFTLSSLEFQNKTKIKNRGGFFFIDKSDILKPGDIITGVNGIDIRSYTVEQFVK -LVESGPVHTVTTSSVDCVRFESSASIVSLDGHQYGIKTVGGIFVYHRDEGCVRQVTCEYETVVREIMFDH -ALEELLLSIRLDPKELNIQETVQEMKLPAVQTRSLIGMLMYLTDHVITQDVTQEATDQVTSALGKLTKFI -KNKRLDRTTRVVVKILTKIAKLTGTMYLYQYVKTATGALALIAQWLDEISVTVDLFLEMFVDLCQGKFDA -RKVLYVFLDSVSIEHTKLPEMQSEGIYKKLSQFNTCMGAIKNFEWFFKKIWESIGSVLDKWSDMPDVYEE -INDWMCLVETLLSQPQKCVTRLHDTIQRGWDLRTKAPEKYKSQINQRLRDLYIACSTTREDNVCVVNRPE -PVVVYMYGQRGSGKSLASVALAAQICKRMGWKYEESVYSKPPGDYWDAYIGQPIVMIDDMGQNSDGKDWT -DFCQIVSSTPQRLNMADLSEKGRLFTSKIIICTSNFPDPAPPTICISDALRRRIAFRFEVKPKEDYAKTQ -EGCTFKVLDVDKAKRDQCLKGLTCCKFISKGVSNSLGISLREDDEWTLEEILDYMIQKVDKNQDIIDDLI -AANMQALLCCSCGSADIQYDIKEMIDEKDLMLRRVISILELIFWSVSIAGGIYCLINARKKNQRDAVIDK -MIREATDKEIYQGPYSGHVIKEQLKANPKPSFVELPVKSESTNLTDIFTKNQIVYTANGVSCNGFMVGGS -TLLTNKHSWPKDGKITIGDKVYETKVYQSNSGDVLLAEINGFREYKSMSKHFITVSELDGLQMESCLLLT -RKNGKEVRVLESEVKVQKHGVFQHNDEVIKVPIYAVGEAYTEAGYCGGILITTESQPRIIGIHTAGTGFK -AYTSVVPLEQLYMLAKPNRMMKVELQQDTISIQRKTKYKKGIEFEVDREPAILSDNDVRSVGLDPWVVGL -SKYSTPVIDVRKNYENISDLLLGYFRNVDRRPLTMHEAVEGIDGLESVDFKTSSGLPWSLKNMKKKEIWN -QGDPIPEFVDAVKYQLSAMTNGDPCEVVFATYLKDELRKKSKILKNATRLIEAAPFHHVVAFRMIAGRFM -AHMVKNNGTKVFSAVGCDPDVDWHRFLTEFKMKCYKIVDLDFSDWDGTMQPWMLREALRVISPEHQTSLA -KTYEVTTRQYANIQYTISGSLPSGMPATSLVNSLINTLNTIYVFREMGFSFQQFLDHVCLLTYGDDIVMG -VSEELYKEINLDHLLLGYKSLGLKPTAGDKSDKLSWKDVDDFYFLKRRSRVDECGVHRPLIDKDVCYQML -RYKTKNATLKDNVRTASWFMHHYGKEDYFQFMTEVVKRSKKLAEKMPVFEDMNWTFYHKLGMC ->ALL35272.1 polyprotein [hepatovirus G2] -MIMASKRGLFQSVGEGLDKVLSLADIEEEQTMQTPDRVVVGGASYFTSVDQSSVHASISGEHQNERLLTS -VDFPGTKKTQGEKFFLIHTAEWNQTDALFHEVAKLDVVKLLYDQQFAVDGLLRYHTYARFGVEVQVQINP -TTFQQGGLICAMVPADQGYGSIACLTIYPHGLLNCNINNVVRIKVPFVYTRGAYNLRDPLYPIWELTIRV -WNRLYVGTGTTQYTTVNVLARLVDLELHGLTPIMTQMMRKEFRISSTENVINLSNFEDSRAKISLALDQE -DWREDASEAGGLVISNFTTWTSVPTLAAQFAFNDSATVGTQIKVIPVDPYYYQMTNTSPSQKCVTSLASI -AQMYCFWRGDLVFDFQAFPTKYHSGRLLFCFVPGNENMDVSKITLKQATTGPCAVMDITGVNSTLRFRVP -WISDTPYRVNRYTKSSHVKGEYTAIGKIIVFVYNRLSNPSNVAGHVYFNMYMSAVNLELFGPVYNVMSVA -TQAGDEEGFSSSKGTKQNEPDPCGGITKPKELKGKANQGKLDLEVGKVPTGAITVLEDPILAKKIPETFP -EKKPGISRHTSDHMNIFKYMGRAHFLGTFTFSTNNHEYTFPITFSSSSNPPHGMPSTLRWFFNLFHLYRG -PLDLSIVIAGATDVDGLIWFTPVGLASDPSWTEQASNLSVDYKTSLGAVRFNTRRTGNIQMRLPWYSYLY -AVSGALDGDGDKADSTFGSLSVQITNYTRSDEYLSLSLYLALTEQSQCMFPRAPLNNTAVVETPNSTNIM -ARIQMGDLESCVDSDSSEYEKLESQSGDSSPKNFSIMTRTSNGTIMKGFFFCGKVFRLSIPSWLENKMSL -STSTFVEETPGSWKVLYQVSRHEHTLKGLVSIVDWYKVRFPYDKIKEPDQFLKSIKDKNVFKVLLSVSTD -KEVCELFSELTSSEITIVTRVSEETGVKQVTSNMDKLVTECRDFISRLKESLKEMCASFSRSKTARWMKF -ILDIGKVAIYVYICHKCEWDSKIVWPLVLCLGLENLSKSISLFEILSEHIRNAFEDETLKTESFGWLRDL -TAGITVFKSVRDALIWIVDKIKKFYDRKFGDLSKKLKLIKEHEEEIEKTLSTADDFCVELIQDVSKEEQY -KKGIELLKALRTVSSLSEDEVSLRKYLYPLRDTINRVHNKIKSLGAINQNVITRSEPVVCYMFGKRGGGK -SLTSMALATKICKQYGVDPKRNIYTKPVASDYWDGYSNQLVCIIDDIGQCTDDEDWAGFCQLVSGCPLRL -NMASIEEKGKHFSSPFIICTSNQEDPSPKTVYVKDAILRRLHYKVKVEPKSYYSIEGLLNVGLAKKDSMI -QNMECVELSIEGVKMNLNELVSNMIETVTIRQKNMEDFMDLWSQSWSDIVDTVSEEFKEKMCFSNFRSKA -RNILSEYFKKVNDNKIVIIGSVIGFFGVVSSLYFGFKAIQAIYKEEKKEEEETPETSGVYHGVTKPKNVI -KLDALPADSQSIIEISGVIHKNLVRFGIGEKGGCVSWLMNGLGVKDDWILIPSHAYMFEPDLQNKQFYFY -RNNTYYSIDYGNVEIYNLDTGFQDVVLMKVPQLPKFRDITHHFIKKKDLEEAQNRLATLVTNLNGTFQMV -SEGQLKLEEHATYSHKTAEGELKELTITQAWRGKGMSSSGMCGGALVSSNSKLQNPIVGIHVAGGHGTMI -SKAIYQEMFEVINAKTQMSQRITKLEYTQSCVNVGTKTLFKKSPIHEFVKKENINFPATLPFSKENLIDP -LQVMISKYDLPIAKEPIKYQYSADYYFEKIHGLPYVVDDLLNVEQAIMGVEGMDALNMKTSPGLPYTLHH -LDKETLIWKDEDKFIGIHPFLKNRIEMNLMCMDAGNQMDVVYMTCPKDELRPLEKVLQSKTRAIEACPLD -FTIICRMMWGQAISYFQMNPGFHTGVAVGMDPDTDWDSLFKSMMKFGDYGLDLDFSSFDASLSPFMIYYG -CKILSDLSGLSELQNRSLFEAICFSRHQLLNMIYTVEGSMPSGTPCTSLLNSIINNINILYVFSKILGKS -PNLIYDYIRVICYGDDVIIVFNRKIKPEKLQPICEIIQKEFRELEMTVTSSDKGIPIVKPISELTFLKRN -FNLDVPRVKPAISDKTIWSLVAWQRSNAEFKQNVETAYWFAFLKGFDFFQKFKQELKEMALMARIEVPDI -SYETLNARFMELEFDRDMD diff --git a/seq/clusters_seq/cluster_1180 b/seq/clusters_seq/cluster_1180 deleted file mode 100644 index 2517509..0000000 --- a/seq/clusters_seq/cluster_1180 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009551656.1 hypothetical protein [Zea mays chrysovirus 1] -MVAIWVTSVSLHSSSLQAFSLKHSRTQAMASGAGSGNFEEKYDMASARNHMDILQQKMRQQLVSRGTTYT -GEELDGRLYLNGERTLVVTNKGPFLANFSHPVPKTKFDTRXKNWKAMGKQGLQGNKKTTLHWFGTGATLY -VDPVSGRGDQGQVGWSEYGVDYGKSGSIQTTLTEWLPGMGYNEVDPVVMGGLLDALSGKQDYTMLFIKAS -LILRTLSVAYSVNESELEGPEELPSVQVGTKRAKIGAIGKSECTVDLDAFTDRGALMLMLSSMQYPSIEC -TVLGEICVYSMISMPACSVDFVASYDRELVNTIPTPERWWKAMVSTACKLGAIEDLINAFRISRGWGSWL -HGLELLGKKEFCMTLDVRPTRGCRSVLIDSNLGRVDDVTAPTNLVCSMSELLCDWAVGQEMLNCLFFVCD -EFGLGNPMLCRDPTGGHGSNAGEALMREAGFYHLGRGNSFYAAVSKHLSIGAEFLGDTHIKRLLQDIGQR -ISKCSDPRGAAMRARLREVKKLTFTHYFGRIWSENVTRFIGEVGVINSSTWGGTAKSRSKVYDLRNWLDV -VGLSDEVLLLSGLNSLQKTGIDNLVPKVMNNIQGAHGKYYELYREVNWLTDYEPRLGSETLEKERLKFIS -TYLGMEGKEKEESEDEDFLAQFGQKSEEATPLNFKPDEQKGSFRGSLKADRVPGEKMVNGEKLVEKEEDS -ADDVLKPSKPLRFRDNSDSGDDDKDATNRIGRRKDDESGRKKATPPPDVGGKSKTDGGGDGNDEKEKPVT -KTRTKPQRRDKFSYSAKGLGGWLRSVTGPDNTFGVTRVPGDGLCFAHAIYECLKDKGADVTREDVIKWCR -IEQNRPDWFEGGWGSNLVDELGYGLVVCDNKSGMNSSYFTEDRSPEDIIGIYRINNNHFDAIIPGKGDYK -GEAQGRTWRGDAYVYGPMCKNYLLTGGGVLLTDLTEDMEVDNEGTIFQTKRDAEGNPIEKIVLKPAPKWT -RQPNKNEPSISDFYDRMGPEEKLEQKKLWKVNKHLDRFAKGGQAYGKWDKKKRGIRWGKKPTVLIEDLSK -SETTDKEKQKDEKKEK - ->YP_009666329.1 putative protease [Persea americana chrysovirus] -MAGGIVTMNFEEMKDSMPQVNHISRIQDRIRETLTSAGKKYNGQELDGMLYPPNERVIIATHKGPMLAKF -DAAKPQMEVRYEIKKTSGSGKGSLAGKRAVDMNWFKKGGRLYLDPISGRGGQESVGWSDVGIDSSKSGSI -YSSISEWLPQMGLTEVDAVSMGGLLDALSGKEDYTMLFVKGVLILRTLCVKYSVAEAKIGGASRLPEYVA -GDKRKKIGQIGAKECTIDIDRLSEKEMLVAMMSSMEYPSILCAESGVPCVYSRISIAKCDVTFVGKEGHK -INKVMPTPERWWKAMIGLASKLGAVDDLIKAFRVTRGLGSWIDGLDILGVEEFGMSIDVPPTRGCRSVLM -DSNLTRLDRVEKPSNLACSLGELVCDWAAGLEMRNLLVHISDEFGLANHELNRNVTNLEGCSVGDSLMRE -AGIMDYGRDNVFYNKMCERVSSGVKFIGNSDIKDVIRLVGKKMMESSSNKKVKEEMSEFKNLAFTAYFGS -VWSENCTKVVGNEGKINSRTWGATSRSRSEVYELRCWLDMYGLSEKVLTLCGYNAVQKMGLEAISQNTMS -RIEGANGVYTEVYREVNWKSIYEARVGSETLEKDRLEFMKTYLGMEDECEEVEKEVVVRTVPVVETEGET -PFRGSLGGRREVPVEDDGERKEDGEEEGIPDVTRTVKATPKKTVVKPVRRRVVLETFSSSGVSGFVREVS -TNGANMKVRKTPPDGYCVAHAIAEGLADIGVKTSSKEMIEWLQKETESPNWFEVETAAYATQKLGVGVVI -YDRVRNKLLGIGTKGRGSDSIIGITYDGNHCDVLTRGICDYVVPQVGDVVEQPIDDMREILKALFSG - ->YP_009667026.1 p98 [Anthurium mosaic-associated virus] -MSGGIVTMSAEERDDGNKIENHMRTIERVIKTSLKMGGKEWNGVEYDGMLFSPKERVIIGTHKGPMLGKF -KNGCPEIEVRHEIKKISGSGKGSLVGKKEMKLEWFVDGDFTLYVDPVNGRGEQETVGWTDLGVDFSKAGS -ITSSLIEWLPNMGMSETDPVTMSGLLDAISGKENYTMLFVKGVLILRTLGVGYSVEGSKFQCSKNLPKYI -PGDKRTKVGQMGENSCIIDIDYLSDVEMLVSMLSSLEYPSVNCKVEDKTCVYGCISVPECDVRYVGSPGR -KINKKLPTPERWWKALLSLACKLGATENLIRAFRVTRGWGSWSNGLMKMGLKEYSITIDCPETRGCRSVL -TDSNLSRLDMVNKPTNLACSLGELICDWASGMEMINMLFHVADEFGLANKELNRNVTNTVGCSVGDSLLR -EAGFYDYGGGNKYYSKVMEHLDTRTEILGNADIKMLFQKLSSDMMKCADPKAKEEREKLRDLKNLCFSAY -YGRIWNENCTKAIGKEGNITSTSWGATTWNKSEVYNLRLWMDVYGLSEEIMIFCGYNAIQKIGLEMVSHR -TMAKIEGGYGVYEEVYRETNWKEEYEPRVGSETIEKERLDFLRTYLGMENESKEQKERAQREREERMEKE -ERQKSGESKKEKTKADAGVNPEKGKDNKDEDDMDATLKLNRGGRKARKPPKISFMRNIAESFGFKGIDTF -IREVTAEGTKLKVKHVVGDGLCVAHALCEGLREQGHNLTGNELVEYLRREQEKKDWFEIEPAALFIANLG -YGVVIYDKRINEAYGIGTKGVEHDKIIGITYDGIHCDALTRSAVADELVPMGKLKSESSMGDVRVLLEEL -FINQRGGRAGWKMRWWMRKYRSM - diff --git a/seq/clusters_seq/cluster_1181 b/seq/clusters_seq/cluster_1181 deleted file mode 100644 index eb2edd6..0000000 --- a/seq/clusters_seq/cluster_1181 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_009551654.1 putative coat protein [Zea mays chrysovirus 1] -MSNLMLTRQRPGEDKELALRRAKTLYPGREVVLEEAGEPSLQAGKGKSQSSSLIDRVADQKTVVFEAINH -IRDSWSLGLKAALDARATARKVNKSMSLPGDVRRYRDCKRFTTLAESFIGQAYACGDVKNWQLEKYYNVV -SNLDNTAWHRSTTAGITINDVVHINACARMSTVEMSNSEFGESRSLGFEAGSIVHQDKAEADKIAKAAKF -PKLRDGLSPAEREAVHGMLKLAGMGQNHLTRLVRGVILSFAAEMKQEDLVLPNDENHMVGVEYTPPLVST -IWADKEMTGIWCEFPTRKKYCTILAYLCIGWANLDVPIRVKMLDIPPDGRKANVISLRRPVMNNVSVDAQ -DIRIALAAYAQSLGCEDILDKAYVLGSMLLMHDKMPAIHLPATLAAADVVRPALGTKHLIDCHKRSWNLR -EGLVVAKYASDCMGLCVKELMSSAWDHKAEKNVTQALQNLGCGSLHSRNYIYNWLAENIIWPGAGVIFEL -DPLNKARREVIAEFNDTSILQYWYVNQVKEVGGDSLCGAMLSSTYTTYPSTQMDAASARELGVLRQLGVV -TNGPMYKRELRLRRVEVDEDRKEVIDGSVREGKISVVADGLCLDMKPKRETMKKKKWHKEKERVRINSYD -DDPFDWTTTSSGGDDSEWYSTASGTESVASKKDDGKGDVQYDDDDDQEEELIDDLQGPVDASDKKGKAPV -DTATAPSTSKGKRREKVSKTVPLESDSSAGENDKSDRTEQEKRREIEELRKKYAEDLKRKELEIEQAHAE -RKEAERKKKAEEEEADKKAHEDKQKSEAPDDHEQEKKRGQDKPDDEGDDHGQKDVKGTTYGTSQLVSSGH -TTGEQEYFEVGQFTVPYRMAGASVAALTPVQASEGLSEDDERCYYVPKDLGTEKDGEGGSDMDVSPDVTQ -RMAEAATPPVAANPVLEQLYQQAPEAPAPPEKKDYTEEEKAERRKKKKERHKARKAKEAEERAEKEKADE -EAISKHRAVLAKDLSKPGNWFDEVMEEELSDKKKYDERLAELKADMGTEGPDLPHYLQDKVGVEQMGEQA -WEDAVLRQVIAAEHAHRATEPIFRDNFQSDEDYLAAMYDINEYVRKSAFWQKYKKNWVRESEFMVTHWKN -RKLSSDVVMRCANLMKDIFPQTSLTFAKTGRQDDRMQIIRLSGVLVGLLEMGSVYSGEWDRNHMLIQAWA -WNCRKKWLDNKLAQKVSDVIKDIDKFYDDLDDMDKDKWDDWSRREFGGTYPIILAKQVRYNLDQEIRICK -AGSAVQAICYLTGLPRPLWAYDGNYEGEVEGLEHVGVPITQGLLKRFEKTETAFVPTYRRRKKRLK - ->YP_009666330.1 putative coat protein [Persea americana chrysovirus] -MPILVRARRGETKEAAEERAKEVVPDSRVIVEVSESDSMSRTVKSGSVSKLIEEYNKDKLKVFQAIVDIR -KPWSEKVNKAIRQCETAKNICQTMQSSGGLARFLNPMRLMCVSEEKLGVAFSINERKIWHIKKYYNVVSN -LEYGEWQRGSTAGATYRDEVSFGTCFDKTVVKVERSSFCEVRRLGINAGEILGQDMPDADRLAKAMKFPK -LRDGMNPKERCAVSNAMKMANLGQNHMTRLVRGVLIALGCELAERKIKLRADKNVAEWVDYSANSVTRIY -NEGKSCAIWCSLPNRDRYASILPHFTKEWDCEGLPMRISNIEIPSDGNKTYVISLGEGELKDVEVDSNEV -RAALVTYAQSMACEEVLERAYCVGSIMLLHENWPSISLPASLWSSDLIRPAMATKHEIMRHKRDWTLREA -MVVSKYSCDAMGLMIKEMMSSGWEHKTETLSEVVSKISNGSVVSRNEIYRWMIENITSEGSGFLYNLDPL -GGADLERIKRINDTSMLKYWYLNEVKSVGGDSLCGAMMCSNYTTIPSSGRDMASARELGVLRQLEVIKKG -PLYKRDIRLKNIEVDESYSNLIKGSIKEGKISIVPSGLSMRRNRDREEVEMEDWECEKEKVSSESYDDEG -EFDDEDDDEEEREDERQREREEEKKRNEEETRREKERERERRREQEREREREKEREREREREKEKAREIE -RSIEAEREEEQRSKEGMEEGQSTEEQSEKEVENVEEGRFGMRRDSEGDEMWNMYIDAKKRFDEEQKAREE -REKEKEKEEEKKKKREMRKPLVPEIIKEVEDISRSGKSDVQMLEAIFESNEEARQSRYWQNVKAEWVRES -LFMVENWRKRILKEDVIDECCNLMKNIFPTTSLSLGVRCENRNQVVMHAGEMIGLINTGSKEIGVWDNNN -FLVQAWSWKVNSRWLDNRLAQKVSELAKKIFEEVCDLEPIDKDRWMKWSRERFRSEIHLLGEKKRIEADQ -KIKMKQGKNLIKIVCEILKVKEPKWIMTGDVKIERLFLGSLGIWMEPERKTLRRRGPPIVQPTEKK - ->YP_009667024.1 coat protein [Anthurium mosaic-associated virus] -MTILVRAKRGETREAALLRVKERHPDEHCIIEGEEEMSGETAGGRMEEILEEYNKDKSKILDAIGNIRKP -WSEKVEIARKNIGISNNVNSVMSKDGGILSHSRPIALMGVCERELGKAFVLDDEMNWHIEKYYNIVSNID -ESVWSRGTTAGVTYHDCVDFRDPESIINVTTSKSSFCEVRRLGINAGEILGQDMPDADKLARALKFPKLK -EGLEPEERRASAAILKQTNMGQNHLTRLVRGVLLVLGAEIVGEKIKLNKDANTMEWLNYGPKAIMTVYQE -KKGSAIWCSYPDRERYGSILPHFTGEWKCSGLPERIKSIKIPSDGDKVYVISLGAGKLEDVEVDANELRG -ALTTYAQSMACEEILDQAYCTGSMLLLHEFWPAFHLPSSICSSDLIRPAMATRHIIESHKRDWNLREAMV -VAKYGADVIGLAIKEMMSSGWEHNTEDLSSAIGRIGAGNLGKRNGIYRWLADNITGEASGFIYNVDPFNS -MREEVVVNVNKTSMLKYWWLNRVKEVGNGSLCAALLASDYTSIPTSCTDATSARELGFLRQVGVVKNGPL -YKREIRLKKVDIDEGSKKTIESCIKEGKVSTMSGRISMQKNKMKEEERKRVKAEKEREKKREQEAQAQEE -IKKEEERRKEEEKKKKESSRPGEGQVVAEGSGKFVPKKGRGESMEKDSKERGVLAKEAFRKSKGLKDFGE -VKLDTEFIKATIEFDKEEIKRAAELKNKIEEDERKTRERRSQTQEKLVELRKESKNDEEFCSALFETDEL -AKKSEFWQKQKSEWVRESAFLIKYWRKRKISEEQITELSGLMEAIFPITSLHIGPAGKERKEIVRLVGTI -IGILSMGSDQQGPWCKSHFIVQCWGWKVKGRWLSKDHCNMVAGLLGELFEAKKKLRTLDNERWSTWSRGY -FGGLIELMGNTETSKMEEDIKSGKGGNFIRETCKWLNLKVPEWQMSDGGVKPERTYLQIIGMGGATPRRE -IRRDRSEFTEEQRAVCD - diff --git a/seq/clusters_seq/cluster_1182 b/seq/clusters_seq/cluster_1182 deleted file mode 100644 index 083f771..0000000 --- a/seq/clusters_seq/cluster_1182 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009551581.1 hypothetical protein [Daphnis nerii cypovirus] -MLFTINIRAPKTLATLNRMGIYLREQYKHEKTSKLLLKRNDELHHWLEKLSARHKGHVFSMDAVARILTE -RLMKINIIDKRLGKVKDIFANESKNILQIVNKEDVDGVAMTYDDASFLLTLTKEVVIPNNETPVLYEIDH -PTLRHLIPSYIDQMRKVLIIRRVTITDVLYDNAISMRNVFCQPRIITTIMLEKEVNEAILWAKRKREVLY -VNNHLYKLDGLRNQGVLAHHPKACEILLSGGQRGCFEVNLPSESLIHAMKRELQTILNEVIMFSVPPISY -DTLLTGYSKISRIRDVPPIEMRLTALSALNRLHDEVTNTKGTLFMTVYANKGTGKTTMTKIMCEKLADIY -KRPCYRIDSDAVGRWLQTDMSKEQFQKMTFEEILKFNEYSTSIYEVMAENIVAKLGDIKLEQILRGRYSR -VNKAIEQFRVERGEYILTTKDYPAEHHFYSKIASIAPYGSIVIVEGHTLSQDAYLAPTNVSLIYESIMDP -YLAFNSRDNAKTELFLYLAYDRELAHSHTKFCMLEFNDWAVMRSRSN - ->YP_009330266.1 Cypovirus VP7 [Hubei lepidoptera virus 3] -MEKRVINKNVINKEKEINKKYKPKNRLNVIWTVNLKDKNVLRILSELGVEIYRQEGEKKSDRRARAICAN -EQIEWASDIHGWLDKLSKRNNENLMNLGVISKILRNEVIEYNVSEGQLKREETKEIEVESEGLLKYNRAD -NDNVMKLTKEEIECLKETIKTGLHSEGAAFKMIEIKIPALMRLTPKYINQLRARVNVEQISVTEIIHSDN -YYARMIFEKPLLIYNAISMNVIRKLKADDRQIYIMSGEVNHKDMRDKGLRVYVPQASKLIFTMKNRKLSI -YVGLPPQMFQNRIDERYHNFTNEIADITMIPSMQSTFGMGMSKLTRVMAATPSTPRLTSKATLEKLKMEI -ASDRKVVTCIVIGNKGSGKTTMTKKMVELLDGMNGRRCYRIDSDAPGRWMYDRANKVVCTSFDEVLSYNS -EMYISLYEKMVDDMMQAEKLDVDKFNRMSNAKKNEMIDALKRMIDDKLISNKEEVNEKQFYDMVHELVPV -NSTLIIEAHRITQDAVLGGTDISMLYEGVQDPLIAFMRRGALLRDLILREIYQKDYSYSHVMINAMEMGV -VLSE - ->YP_001883315.1 p7 [Cypovirus 5] -MMTSRTPDRSKLKVQDKQIKIENAKNKWNVIWTVDLLDKNVLRVLNEMGMEMYRDDADKKGEKRIKASIM -REQIRWASSAHNWLSKLAKRNNENLMHTGIISRLLNNQQIEYQLKEGSLKREESKEVRDESQGILVYSRI -ETNNTIKLDNDEIDFLKEGVKIGLHQVGTSFRMVEIEIPSLMKLTPKYINQLRAKINIEKVTVSNVLYTD -EYYARLTFEKPYFLYDKISTTQIRKLKSEDRLIYIMTEENDVKALREKGLRVYIPRASKLIFKKKDRELT -LYVSVPPLMFSGSIGENYAMMSNDIASLSMIPYVQSTFGMGTSKLTRILAATASSPRIPSRATLRKLLME -IESERKVVTCVLIGNKGSGKTTATKRMVELLDGRNGRRCFRIDSDAPGRWMLDAQNKIKAKSFEELLSYN -NDMYISIYEKIIDDFMTKENLSYVSYQKLSVSKRREILDVLKGQIDRRLIDGLEEFNEKAFYDMVHEMVP -VNSILILEAHRITQDAVLGGTDISMLYQGVVDPIVSFLRRPNFFVEMVLHDIYQRDYSYSHVMVNLMEIN -SVLGE - diff --git a/seq/clusters_seq/cluster_1183 b/seq/clusters_seq/cluster_1183 deleted file mode 100644 index d2dbadd..0000000 --- a/seq/clusters_seq/cluster_1183 +++ /dev/null @@ -1,87 +0,0 @@ ->YP_009551601.1 glycoprotein [Saesbyeol virus] -MSTMGSLRELSKIALVIVTTVAIILYATGDGEVASTVAFAGYSGVLLLYTISKQEFASEQNKYLYNIMRH -SKKVKRTVAGETVANKKIIEEDDETPIFYNESKKISKKSLKNISIGAAASLFFDNIDQASALKICCRPFK -LMHFNSIIIDHAKGGMEHILITDDWFTPGPEKQTISINGTKYCEYNMYCMNAAYKFIIDSSWYIGFSMFL -MAALKVALYSYKIFKTPTGSVTIEYHQDNCFATENIKNKTKKNNKRPKNDRIYTGSETDSAERGSLIKEP -RYQEDLVKITRTTHTYCSGLRVVLVLLYIISFVSILSWGLSLMSTTGVSGFTISKEDTITIDGKKATIEE -FIEIMKQEDDGVLVMANKKENIRMKRELTSVIDGIQPMEMNQTSTTEQSLTAGPDNKSPEDIYVNRLTCL -YDQETYYLTGKLETHCVVNSSSLGIMMVPSENSLIKRVDSRCKSSLSISLARFGTPVCLKVCTMVDDCEI -YYEPNQANKIKIPYSLKDVLKYNMNEMGNSNKIRTDTVEIKISRRAKRSITISKPVSDFGQGVHIVEGWR -TQFENENGGVFLAEEGPLDDCMAGIPTNVNKYVRCTPLRRQVISCEPNLWAHYTIGSNMYECKVPTKEEF -EKMPAWRKSGTTIPYTVPPNKHSGKIDRFIHKMEIGTESYLKVEMLWGEKYQDSVQQIGGLKYHIYSTVF -KVTAHGLDSKTPFPKLWDSMITKANRWVDRKYTGYRMHSLETDLPNGLPEILIKVKHIEEPNTNNMWQDR -AYVNFVFKSDIFASDGTKISPSDTDPACIPSDSSKDCVYLVPVYYAIRVYGPLLADIKFFGYVVDTIAFD -NNVCTSVNAIRVKGVCYIPDMSTVSTDVVVGGYPWTEWSGIFTSSTKAIFELFSFYQNHPKVGSGQYLSA -VSDSANIGHFSVNGKCSDMYYHSGKLMCMGSNVVNIKMVEYTTFHRPLMSPPSCSTYPNNSLVCHFSDPT -EVTRCTHDPYLTRKQDNCSTTIIKKMDHTVDEAAGLTVKTPQVVFHLQRGFDGCKGTCFFASIIEVGYRC -SWCLAIIVIAAGIGYIALIVHLLVILICFINGYTIGRLLRLLGLRSLFRCPLTTACSICGMYSYSANEAA -KHKLFCSSTQCPYCITLDESNNLCILEFPTRANHREHMKLHKMVRINPYLGVIRMKRYRVATFFLVQLAL -AGLSGVNAQYFPAETGLRSNAVGLNISIPADSVECSDKGCKVIKDYNAHIPLVDGSSIIMRTKVDGKAFA -KKITVENPNMDVTCDYLYTSSEVVVGPRRIVYTCSGKTECTEKTEIDLLTKPIANRGATDDFIPIDTENP -LKSLYCPKATSCRSPIIDFMWIPAGCFTVNDGTAIGYEYYMPSIKKEMVHVFRCTVRNIKYKICEGALCK -KIDGSSSDLEQGVLKFEHISSKLPIQFMVGAMSRQGDDKPSHIFHGVPDLRTDGSNTNFAYRMTMIPQGE -TCMEGTSYYGGKCDINTDGSSPALNCKSTLPKIDSDTLIKNYESLHEVYHCNFENSMLRWNTKVVPREIT -VNKKTTKDTQTVSWPSLNLTSINCNFGFTDINLLTFGHTTMEIVRYTGAITKVVCTGSYNRNGMTQLEFN -IKEHSGMLELHCPMLFSETCLFDTVKTNKCNITTLLPFNSTCLYNGQSINIDCSKLELSSADPLSGNIVG -WTGSQSKDTWISGFKIGLSNWWYITMIAVGTTSILIFMLWLVITIVNNCKTMKLAMTYSKVQEAGFEDTE -DVIAGAGVSKMMQGARELKDSKLRRRTMKARNMSSG - ->YP_009507849.1 glycopolyprotein precursor [Jonchet virus] -MKNMAIVKATLAVLVSAAIVVGLTFTIYGGIKDTKIAELGIYVIYLSLSIGLGMKALQIFYFKTPDNKNL -MEDMCRESEDLSKDDGLPTDTIDMLDDVKVIRSQNSLTMVKRSAAGLALLDMGNRVQAMIIEGNRNCCKV -ISTLSNTKLCKITTSGLGECFNTKPGDTTRMDDEGMVTVGGKFFCARDDECKVVEVAAMDYMATLLKFII -ITFLIGVSVRLTVKMYGIIVSKKKVIRKDEETGDVVEVEAFNYLSIWKALVIITLWVGSATLLYGLGRMT -SIKMNTEAFSINPKDKVTIHGLTEYQASQFNAEEYINSRNWDCKLNIEKYALEGITETKCVEALYNSRKR -RDTGASGQGEELSNVTTLRTGGELEEDVVSEGGRVYKVIDGVTYVKTIVSNTTTGLNYGGHKYGVNLNNV -DPRCLSQRVIEDKVINGISRCYKKCEHVAGVEVCTIEYSNINVRAKRASVPPTTAYEFAMGEARVEVDKP -LGNSYTVQLFSGFYTGWSDHDITDPLFEGGIIKSFEADGSEKGTSKDCGYSGSSPKMGFICTHKRREMIT -CHKGYYGKGREGATEFECIRYDEPTANANMPTFLNPYINTDHIIRTGGLAKIEHDMPLDSSFRIDNQNYD -IKGKISYFESSQDSDQVSGQDKYFIYSAIVVAQISAPDAGSLQYFAHYYAKLMQNNAAGPATDLFKLQPY -TLFRARQEDIEAPDFSLEFLYRPKKSSVNCKSGWQDRVQYSFVYKTDVMNSRGQSYNEMCAVSTQACSST -FSRLTGQTTMDVVIPVYYAIRMYGPAKANVKIFNKIVDTITLTNGMTDCLDCLKVRGEWYKPDFSSVSTD -VTVTGYPLTHMTGLFSPRSTEGCQAVEIFWFYLAHPKMGAALYLAKVSATADIGKYSTKGKCVQLSHDKD -GALKCDGSHALTIPIVKYTTFYTKESKVSKCHMQDNHLVCKSQGTTEYHECTRHIDSMEPFKCSKKQVGN -MTQEIKPNTQNFVRYNNEVIVVSRGSHIDEDKLFSGLIDIGHRCVWCLIIVLASAGYGFVVALLLVTAII -LGYINAYNIKGFFRWVNLLGPLPKCDNCGFKVETEEEMQRHNSFCAWNICPYCARRVEKKDGPKRIYRRK -YGSSKALKAHIERAHMAQKRNKVLAFFKIRRMSIIAFIYVEWLTLNRVNAQTINHRTGLRSNNTGHVYNV -DERLFECSDKWCEMSGSVTMDLPITPGVKFVLQTIKSGQTYSRNMEVTQASIRSSCTYDYSSMSFEEGIH -KTTVKCTDTVNCNKFGRKDLFTPLGTGKEDKYVPFDTSNPLKEYYCPTSFTCRSPAVGFTWLAAGCVSIN -TGIAIGYKTLLPLPTEDIISVFTCKISSIDYKMCDGSECSEVTSESEKITNGSIRFPIIPTPLFSTFRVG -AVAKQGETKPRMLLMDPPSGSQVSRFGYYQFKAYSIPQASTCLEGMVAAPVPCSIVDEGLHPATECPRQG -YVINFHELLKDEKPLTDAINCNMEETTLKWDTKVIERKVVVNGKSYEDSQTIATPSMTLSLKSCNFGSRQ -VFLDNNDKIRLRAHDFKGTVNSVKCSGNYNRNHKVMLDFDLDIVSPGMMHVRCGDGSSDACYVNTETTNK -CNLTMILPGKYTCVYNDKSFTADCTNLTMSQPDLASGTIISGTGSGQLDSWVSGFSLTLSTPWGIAALTV -GSCLTVFFIILFSWLWYSRRTMDTSIKSMRTRPFMRNSAYEAIESSKYKQKVY - ->YP_009270649.1 glycoprotein precursor [Wuhan Insect virus 2] -MRDMTRKIANLVGIISTLMSFYYWYYDMQEECYFISYIGTSFYFLFLTLSFHRNRTKENAVLYSIIRHSK -HAKKIVTKDNSIKGYGESDNLDKEGTVVFFTDDMSKVGRRTGTSSSSTAVKAISAASVFMDLPFSEAYEL -CCKPFKLDGDSRIRITNSQNNIEYVRIIDGWFNPGPSVQEIIINDTRRCIYNDECNNSLYNSCVSFLSYL -AFGLAIYLLFRLTGLIIKLFKTPIMTEEATFSSCIIGSTDSLLSEKCSDIKSNKYMKMDILGENTKSTDG -LRLKEIRITKNIYVSKFRVIIAILYFVILFCMYSWTGFKLGLIKGTMADSNNLIRQEGVHKVFLNGVEID -KIETLVFLTATTAIKSSVIKKYIIDEVIESTDEGRSRERRDVNRQESSGNDVSDLLKKQRDTKTTGTGEL -QVKVNSTYSEKLRIVDIGYLDETGKDDAEVTDDANKIAIKGSPEAIVKALDKMKTTSEIKNITLSVCNDK -PDDIKDDDKSEKITIDIDKLVDDKIKQNKELTPEELEAVINNIDLDEVTVNENIILDLTCIYDEVLYVTT -GSLKARCHIDKNNPGIIMMGKIYDDTNVKTIDDECYAVYNLQLARIGKPNCVKICKKGNLECNIKYKPNL -NKNISTFMLSDGFMTQENMNMPIRYEEIRVYLPMEKSSNIVKKDIVDSMGNVFRLSPERFSTFLESTLMT -FNNYEERVQILQDDLAAKGEKPGNMKDMGFDLVKPSNIFKGSSLDEKRKDAKSWITKYNMPASDRKKPIS -ETFKNNDDAIKVSANSGGNKQTQDIQTIDMKGSISSFGEGTYISKNNFFTQFDDIFSGAVFLAENGEMDS -NCASQYGDKYKIAACSYGRRQIISCEPNLWGEFEYGNSFKCRKPTNEEAKRVPSWRLKDAKIDYSLPEKY -ESRELSGKSGNVAINFNQNSYNGNVTLLWGKSYQDNIQQTGNLKYFIYSFVVRVEVTGRIKGSETFVRGW -DLEIDNFNRWETREFDNKYKLYKTGPMAKANNIRKVPESCLSIESIGEPGGMNDMLQDRSRLNMVIKSDV -YNENMELYKRTGTADCNTDRTKKCVYIIPIYYAIRLYGPAKAKVRVFGLDVEDIAFSNDICSSANAISVK -GICYIHDETHSSTDVMVGGYPWTSFSGIALDKWNAPMEIPSFYLNHPKIGSGQYLSKVSTTANIGSFSSS -GKCQDTYYEKGTLMCDGNKAAAINMVEYSTFHRPLSSMPNCTVKKHEHDEHMRKMLCTTTDKTRFVYCNH -NPSKALLFKKECKTEVTKKLELDIKSGHGFTIKTPLVQYQVQKGSEHTKNKIHYVVESWYRSTAIVSIML -ILSGIGYIMMALHLLFCVLCYINGYNIGRLIRLMGMGCILKKNTVLECNICEMYIYNNIEKEKHALCCKK -YWCPYCITLNKNDDLCSLSFKNRNSFREHMKLHNKIRKNPYSGYISARRNKVVAFKLVNISVIVLLSLLI -GVFGDIPQEESGLRSNRVGTVLNIDNQKLKCDNNKCSIMISERGLIPLVDGSSVILRAEKDGKKYANKFT -IKNPKLITSCTYQYSSPHIKRGDKRLVYCCTGKSDCNANDERQILQQPIGGNAQKEDYIPMDTNNPLKSL -DCPKAIACRSPIIDFMWLTAGCYSVNDGTAVGYEYYMPDIKKPMVHVMKCKINDMKYTTCNDDTCKEIKG -QEEGIEKGSIKFEHIKNNLPVEFNIGVVSVVGETIPDHIFYNLPDTATNTANTVLAYKLNKIPQGDTCLP -GSEYNDGVCEINESGSSPNLLCKSTTTEPTSETLSKLYESLNDVYHCNFEESKINWNVDKIKRSLKLLDK -DFNDEQTFSWPSLELVSKNCMFGNIEVDLMSMDNMDLEIVKYTGTIISVKCTGYYNRNQKTILSFNLDKN -DGLMEFVCSNSFTDTCVFDTAKEKTCNVTTLLPFVHICQYNGKDVTVDCSSLSFAEADPTSGHSIGWTGS -EALMTWPSGIKIMLTNWWGMGLCLVAIFFSIILILWVAHLIRTATHAYHVSKMTGRRLKSMGDEAEHVML -DEDLYNTIVSRHDELNEDMTNNSLRRRLDGLGSRERNHKHSRSRGSMFD - diff --git a/seq/clusters_seq/cluster_1184 b/seq/clusters_seq/cluster_1184 deleted file mode 100644 index 70ec2a8..0000000 --- a/seq/clusters_seq/cluster_1184 +++ /dev/null @@ -1,53 +0,0 @@ ->YP_009507768.1 unknown [Choristoneura occidentalis cypovirus 16] -MDKTFFDERANLDPHPTEVSNIHNNITTRSYYHSYDQITQKHTFRFNGILRYLEPIYVEYTDELFRALDS -PNEIEDDELLLLVRRYINRLAFPVTGKNVTSSTRYNFLLANAVRGGRIPQKLIHIILSNPELLNYEKGEN -PFKLMIEVYGTRLIGNFKYFAIRNVGSTIMNPIGRYGYNIYFINAYAPNEIMSCLTQLNGKGIYSTHGTW -SWNAPHIFAYDSISDLHRHLASRILETWREYRQAVLNRIVSLSRPTQVLIPLCVDDTILKLLAYSELYDF -RRQGILERSSNLVKDMLLYAELAITSGHTIRRSNGLPRIHVPGILNRWILGDGYFMYPLITFDNGIDPTL -RSDEVMNFTIGEVHKHLDPFGEDVELYTPDIGLADSHNVTASYVPDLHDIEDDTLQVVEYRPDDVVTPAD -ILTQDTAITPFVVAGPTEFMTNVKDMQSFTTSTRRAINNMIRKFMNNEYINIPRSTIAVLQIIIKERFSV -SQRYGENQTITYTPLIPMDTVHPRQINGSTFKMMSIFLHAIELFERRVENANAGSIKPSVLFIGAENEPA -IDIIRLYYADRVSTVSGIGAQAVSHLRAHIGDTPTLALNGDIIISDINFSFTSMEEYQTIHANIFRSLMH -APILVMKVQPMSSSIFNHLNLLRNNHIVTVLLPNGRKTLSTEAYLMAMQIEDDNPDNAIGFTSSHPLNHF -TTTARQHGDGYSNNLIRSDRLDILTTNELENMQAYYQDVRSSITTLRAPKAHYTVVRDTIAQLSNHMTMF -SPKFNSEFFIAVFTHNYTRLALTARRETTLGDTERMPVSGEGFGRLNIARNFSLHSNISMFVMAKYIIYC -AIENDLNELMDSPQYDITDIQDIGCGNLTGLAMLHNARQCRYHGYDTRVLNDNNFENNRITFRTGPYSFL -DPFMDHAAIFIIFVIHNSIGGNTLEDLHQIVLTVKDRVGSVVYVTVFNQKLREFLRVNTQISGVAYMPPT -SEEDGLGYIVWHNYEKSPIIDIPALIEEYDTEGLEIVELHPGGREWFDAVYRHKVLPLPYRSAALFGLLD -GVSILRIES - ->YP_009158919.1 VP4 [Lutzomyia reovirus 1] -MNPALGEILNDRADLDPQPNQVKSLLRRSFRYTYHFDTDTYKHTLQLHGLGKYLLFGPQDHQHTLFSLPI -KYHNIPIPTQDLNFQLTEFLRTINLPVALTDDPNVRYNWLLASYLRSVARNHAHVKYKSNTAILNYVYGE -NPFYKILQLIHADNPIQERIRTSISIPQIQPNIFDQTTNTRLTTFWNVYHEQEINTLIGYLVGRGLRTTH -ISHSWNAFHTFDYADVNDMYEHQCRTLLESWRDFRDLQIRTKRSVNRRSQILLQLSDNELSIMIQALTSV -YDLDKLVFIEQTDDPIATVRSLASQYISTPIYQQAIEHYRVIYLPAKLKTLRHTTPMYEYPLIIFTGEVP -EEENLRIVNTQYGIVNLLVEPFGDPFTYETTFAGTPTFQIRRRITNDVRALAPNQFSSHRYPGTITTWDD -MTHAQQYLEAKQFLDSPMPETAYDLNNRTRPLDQLNHLHMSMIKDIGDIYAISRFSTTHFFLQCSIQERI -PYSANGLIWPPTDFTIRRINNSTYKMCALFRYAFMLSQQLTTIIPKVTFWGVENEPAIDILMKMYDIEIN -GIGERALNYTRGRIGYLPTFNLASGIIISDIEIQGDDIDVITEQYVRLAVHACNSQIAIIKIVPGLPYII -NSIITQIRTMSSTKHMEIILPNGRKALSSEVYLLIRTLAIDDDSAQLYYEDSDAIAIYINHLRDQEYRKL -TYTEFVSHTLPSGPPQNDDDLSRRLGTIYAISSHQDNAAQVINCLSQHCSRVQLYKRDKHDVHVCILGQP -TFERMVLGSRAITTLGTTERTITIPFGFGQSTNTLSKPHFTLIEATNLIRLVIHHAVRMDIKKLITTSPN -HSFIRMIDIGGRNMTGLSLLENLSEVKYELYEYQLINDTNWANYNAAYYRGLYEWNRIIPANSVVLALFV -LQSPVQPSDQLTALTELSQATKQSNGTVTYFTYFHHSIADIIRRSLDPIPNVQMTESGMVQWATYDPIST -LTDSEVQASVGQFDALLLDGRLVNETCMLNRVFPAPHTTSYFLDLLKAVRVCRVSPLAVA - ->YP_009002588.1 VP4 [Inachis io cypovirus 2] -MSLRTYYDKRGNSDPSPNDLSRIFDNITASNYYHRYDKIQMKHDFRFTGILRYLDLTTSDLGTPLFVRLE -KQEDFKDPQELLLLVQQFFSTFTLLVSTTDVTSRTRYNWIIANALRAGVLKPEVANAIYADPRLINYELG -ENPFQKFIISYGQDIIPGIRSFHIPNSGVYRSLRYARYTYDLIFIDAYDNDQIDDVTKRLYNHGIFGMHV -TWSWNAFHIFKYTDIESLRHHLCTRILETWTEFRNVELNRADDIQRPTQVIVPLLDDKICSTLIAYAQAY -DFQRLQRYRNSHDLVNEMLQDAILYDTNINVLQKSHDLPFLHLPKIMEGWRLPNNYNMYSYIFYSDVIRP -QLRSNEIRSYRLGEVIKHLEPFGQLIQDPVSPILSAADENVVKTTLVGEMGNINDDLLDCTVYTPDITVR -GEDVLQQQQDIIPVIAAGPTKFMTDIKNMQNFGNLITPAAGRRITSLMNGELMALTSKEKQIMEVLIGSK -IVFSERYNNATNSIIYIPIIPEVRPSIRYLNSSTFKMMAIFLHCLRIFENVYNGSIKPSILFLGVENEPA -IDIIRAYYKDRVSTVSGIGAQALNHTRAHVGVTPTLPMNADIIISDINFESNDYNTYLGEHEQIFKMIKH -ASICVMKLQVFSSFLFNSLVAEQTTGARYILLPNGRKTYSMEAYLYYSSLSSGGVILNGFSSDHPLNLYT -TKSYTHDESFVNDRNRVDRLDLLTENELDNMEAYFKATRANISTLRVKKSSYIDVRDQVAQLTNRLVMFS -TLSDSDDFISIHSPDYTRLALTSRRRHMLGDTEETAVSQDGFGLLHRVKTVSLYNSISMYSFIKMVIYAR -IQQDIRELIEEPANRFESVQDIGCRNLTGMAVMMGLDDLSYFGYDVIDLNTNALINNDIIYTSGVFDFER -DDFMNHAIILIIFTIHNPIADETPKDQIDKIIANVRRRTGSVVYVTFFNTRLVQFLLANGNEIQGVHLAN -QTIPGTQEVRRTVVWKNYPPSTLVDEEALIEEYANDTSSNLPYDIVPLRLTHSVFTAVHNYKVTPYDYRG -SAMLGLLDGVSLLRLES - diff --git a/seq/clusters_seq/cluster_1185 b/seq/clusters_seq/cluster_1185 deleted file mode 100644 index 3eba747..0000000 --- a/seq/clusters_seq/cluster_1185 +++ /dev/null @@ -1,64 +0,0 @@ ->NP_149146.1 putative major core protein [Cypovirus 1] -MHSTTNNSNKRNNEEKHKQPEANGSADNGEGASGTRNQTAGDTTPEAGTRNETEAGAGARGQTDGASRSG -TNAKVATASSARQMDMEKAAETTSTIENVNDVGIMQQKKPPTVIQSRTDVFNEQFANEALHPTTKVIFNG -LDVNTEVQPLSDDFEQISDPKGYLTYSVKYEDQFAKKDKLRASEADDRIVGPTVNLFKYGSAVVNIDLNQ -DFFDTTTGINLTKGILLVQDLLVPIGVTAGAEQSSEYVSGLLMVLFKVMTDNRLVIVGETTTPISNTLST -VVNNILRTTYHNNVGINPALLRDFTHVNWLNQDITNMLQQAGTRFGLGLTATRLDYVRLVKTIVGYALDI -DHFAASVLNINLRALMEANVTADDRIKALQAHSMISTQFHGPNQGALRPELAFDHDHVIRCLMLAAANYP -RLEGIIVQINTGYVASTNVIRPVSEKRYFPENLEQNQSAARLVSAVKARASEADISSIHLAIAREVSPMF -NVHELKKIAESFEDPSSIVVVLEFILFSLFFPTEFNRIKGDIQNVLLLFFSRWYPVEYGIFIQRGATYTI -NAAGEFEFSGRNEKWDQSSYLSEHFPALFSDVPLAGANTIIAIMRLFTPQGFLRTDDLAIAANFPRASRN -PQTYIPYTNQRGTVTNEFASRFRTIVATLANVVNERAVQDDMQKATRSCTKQWLRHLETQFDNIAVAHTD -HLSVVYATMSNFMLNFTNNFSGNHATFKPEQYVITSPEGSYKPIMERQGETVDGLTIIDTSIVWPILCQC -TYPLVRQSGKGVDAVSIMEEIVYPDPGTTLSQSLSVAQILSKLTLPDAFINMILSGGDSVAMRTYQTEAN -DELDEGIRMTTYDQYLSHIRERLHITNVPDPIYITGASTPDQIAASVQATHVAVVLYQNGVINGPASTYL -RENEVLVVMPDYSNVAARFVYANAQMNNNRYHESVLEIADIFDQADFIQTDNAVRRLRALMPTLSTSQIR -HAIERIAQITNVDSTDYGKLTLRFLGTLTRPLKMQNAQIRRIRPDGTVLRYDDQIDIEAFRWSRYFLDEL -QLRRLAVGLRLIANPRIARRFNGVRIMYLTDDDPDPDFVPAIPEGYVAVQYAHRLFSSSLANKRNRVTYT -HPPTGMAYPSPTGRPHVHLTINERAGMSKLVADNIIASVIKSNWVVDILDIEYTAEVMTPSEGYTQHVDA -ESIMTAPKGKLFHLQFMDGLLRPEPSAFDPPASGEDIRLIYPLQPISVARSMRAIVNHNEVDRPRGAVAP -SSYEMDTGTLSRNGDLLYSPVENGQAGIPKLEVDHISFSNVVSMMTSNIRTGDDMAVERVNPSDIRAINI -RNA - ->NP_149136.1 putative major core protein [Cypovirus 14] -MSNTITYATESSGDIQSISTISDIRKMTFNEQFDSGQTEMVFRQIFQTLDLNAIRKQNYAPFVYTDSPKG -YLTYKVFILPKYADNTRALTDPITDAIVSETLNTFKYGTVSADIIYNQELFEKEFGLSFKHDVPVAEGVL -TPMSTVSVPNHVYEMFMGKIMTLFHVMEDTRIAIAQPKAEVNGAISPSISAQIQKKFNDPPGVNPALMVA -STKLPWLVRDLAEMMARGEFKYQLGVINEDKDEVLLVRHKLTVCQNIDHFAATVINGNILELESNSTTNN -ERRNEMLAHCSLGSNVTAPDLSKYRPELRPNPRHDLRVLLLAAIKHKGVERLILEINTRYVERSNVIRLT -TDRQYYPKDMPATSETQLLMKAVMSRVAEADETLVWQYMAREVSPNLSLKKMEEIDEDFGTITSIIVIYE -LIMFCWLFPNTFESIKGSVQNVLLRFFAKWYPAEYEIFINTYGVTYIVNAAGAEEYSRKNETWEQEQYVT -NEFPALFGNTQFANCPTIRMIMKNFKPQGFMRLDTQAVAAKMPRLSRNPGHYIPHKQSAHSIVNAFESAH -RNMVQDLVSCTQVYVTRQGIQKATRDRLVSWFNHMDSRVGKLSPSITNHWSVMYASMANHMLNFTENFNG -DYSRYKPLNYAVVGVGGHPVPLREGIFEYSDGINYVNTRIIWSMLCQSTTPFMRQQGDGQDKVRVHEDIV -FPSGVENYESHLAVMQMIRKMVVPDAFVSYILSSAYTMQVRKYDIAENQTVSIITIREFQERMKERLVVH -DLPNPLEVAANTTAEQIAEYLNGPLRVIRVPPGRILLTAMVTNILARNALIILDNFDNVRQSFVNQPPYN -VDNDDYAIEYQSLNAYVTMRLRAIDPNLRLLNSYLPPLSQSQVRNMMEYVSQRLGQSTGDFNKLLLKHLG -EMKRDSRFIDMQLRRLNFDKAVQRFDRAIDPDAFQDSEFFLTDGQYRRLQIALKMCFNDRLDNMRTGVRI -LEKEMIAFEPDEIPTIPDGYIQREYASDNSLFKSQSVNYVTRYVYVTEDGVNVAQFSERPKVHLIIKDRR -KVLPEHYDAILGGIKVAKWIVDVEDVKYISQIIPLNVEAIGPSYEDILNPTSHVETVHFIQFQDGLMQPA -RDPYELPEVGRKVKTILPLTQISNSRSMRALVRTENGTNIPGAQSPHPCEMDTGTLLNGILFYSDDTNKL -EHQNVSMTNPIIEYSKNIKPDEDLPMKITPPQDILRIIL - ->sp|Q6TS43.1|CAPSD_CPVBM RecName: Full=Capsid protein VP1 -MHSTNNNSNKRNNEEKHKQPEIDSSANNGEGTSGTRAQTVGDTATEAGVRNETEAGASTRRQTDGTGLSG -TNAKIATASSARQADVEKPADVTFTIENVDDVGIMQQKKPPTVVQSRTDVFNEQFANEALHPTTKVIFNG -LDVNTEVQPLSDDFKQISDPKGYLTYSVKYEDQFTKKDKLRASEADDRIVGPTVNLFKYGAAVVNIDLNR -DFFDTATGIDLTKGIPLVQDLLVPIGVTAGAEQSAEYVSGLLMVLFKVMTDNRLVIVGETTTPMSNTLST -VVNNVLRTTYHNNVGVNPALLRDFTQVNWLNRDITNMLQQAGTKYGLGLTETRLDYVRLVKTIVGHALNI -DHFAASVLNINLRALMEANVTADDRIKALQAHSMISTQFHGPNQGALRPELAFDHDHIIRCLMLAAANYP -RLEGIIVQINTGYVASANVIRPVSEKRYFPENLEQNQSAARLVSAVKARASEADISSIHLAIAREVSPMF -NVHELKKIAESFEDPSSIVVVLEFILFALFFPTEFNRIKGDIQNVLLLFFSRWYPVEYGIFVQRGATYTI -NAAGEFEFSGRNEKWDQALYLSEHFPALFSDVPLAGANTIIAIMRLFTPQGFLRTDDLAIAANFPRASRN -PQTYIPYTNQRGTVTNEFASRFRTIVATLANVVNERAVQDDMQKATRSCTKQWLRHLETQFDNIAVAHTD -HLSVVYATMSNFMLNFTNNFSGNHATFKPDQYVITSPEGSYKPIIERQGETVDGLTIIDTSIVWPILCQC -TYPLVRQSGKGVDAVSIMEEIVYPDPSTTLSQSLSVAQVLSKLTLPDAFINMILSGGDSVVMRTYQTEAD -DDLDEGIRMTTYDQYLSHIRERLHITNVPDPIYITGASTPDQIAASVQATHVAVVLYQSGVINGPASTYL -RENEVLVVMPDYYDVVSRFANANLQMNNNRYHESVLEIADIFDQADFIQTSDAVRQLRALMPTLSTSQIR -HAIERIAQITDVDSTDYGKLTLRFLGTLTRSLKMQNAQIRRIRPDGTVLRYDDQIDIEAFRWSRYFLDEL -QLRRLSVGLRLITNPRIARRFNGVRIMYLTDDDPDPDFVPDVPEGYVAVQYAHRLFSSSLANKRNRVTYT -HPPTGMAYPSPTGRPHVHMTINERAGMSKLVADNIIASVIKSNWVVDILDIEYTAEVMTPSEGYTQHVDA -ESIMTAPKGKLFHLQFMDGLLRPEPSAFDPPASGEDMRLIYPLQPISVARSMRAIVNHNEVDRPRGAVAP -SSYEMDTGTLSRNGDLLYSPVANGQVGIPKLEVDHISFSNVVSMMTANIRTGDDMAVERVNPDDVRAINI -RNA - diff --git a/seq/clusters_seq/cluster_1186 b/seq/clusters_seq/cluster_1186 deleted file mode 100644 index 8ae578d..0000000 --- a/seq/clusters_seq/cluster_1186 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009664725.1 polyprotein, partial [Blueberry leaf mottle virus] -PNMTGRASIPVQTNIRNSPRIVDGEEITPPRFTTCNSGLIADTSIAHVVQGWVPKDATKGRVLEAINLRE -DIATSDNLVKYEWLAKGLIHPDLKLRMTVGQNPFVGISIGICCDYFGRLSKYYEGDTALPIEVCNQLPNF -VCPISEKSVFEFDLDMSLAGYNLFQTSKGFADPVLLVYIIDTNSLPASDEWVYTCEVCIKSALHATSVAN -KPILSLPHFFDGRLPLDLWRGPFSFELGRSSKRENHIGINFGSARVVSGTNTFYSFPAAYTQLLQSVGGI -LHGTVVQTGSKAISCEMFLILQPDKTAHNLEQALRLPGCRIPTGGGPFSIRIQTPFQREQIFNTGVQLVI -YAVGGPMGAQAISAPYQYMVHFSHIQEEGDPPPRPIGNVLLFNWATISEMTNLTRFQIPARLSDLVLPGQ -TVTMRRNALANLIRSCGFFRGRVTFVFQWTLNVAHIVPTATMQILTAVGRVGNAETNGSQILQSWIVPVS -QVFEKEVEMDLTDYPGFNTSGGIGADHDQPYIDIACGNFPQIFYMNINVRVHPGFELYGRSITPLRI - ->YP_004429249.1 polyprotein segment 2 [Grapevine Bulgarian latent virus] -MCGGGTSTQLPTGCGTLCGAKTPYSKRGLAAAIRDGDLSGPEGRCVYCGALAQIEKGAPQPKTATGPKCS -CWRTAVARCPKHGAQPTPARVGKQSAPELKKERVELPYKKQTCAVVVQVGPLELVYPALVSEEKEPRSAA -SPLKEDEYVPMGLTLAEAPEWLAYPLECRPYTNAAPAAKPVSQREEFALIKRRLVKLGKEKMRLAYRKRQ -DKLVAEKKARAAYQRKYDALLPLVREARAHIECEQIIRDLRATERQPLTPAQHELVSKSCRLAGEERASM -EAFLLGAKARRHRVCPHVAPREEIESPRVVPSCTTPWSGLGMTLTSLRDGGSSDATLGVKSLASRQGDYT -CNSALGFPTKSYAVAIMASSLERARKVVRATINFARGVKTLPRKMLEVASKIKTKVLSVQQKVAPEVVDK -CTIVPDIGQVPQEVVVKQTNWNGIQLPEMGVRNIYAFHDDSWSASPEEDEKYTIPWSNRCGPCVHAVIPT -TKVPYDDCWYMASSCRTVRQGIRELEKAIIGLYLGAKEERAEELARQTKEPEVQLEVQRETVVKQINEPC -VQRVVLVESPEQIAERARQTAYEAEIAAQRRRQQIDFWYIPLSMKMLLKEPEMQSEVQRVDVVKETKEPD -VQHQVAPTAEDKQPQPLDEEELVDCESDDEPDFSWVMDKYGITRPYLTMTPIKRESRGAYFANLRVGSHA -FYIEQCRRRNKLSREMCMVKRTCALPQTFRYIIRPKDPDRREYELFQTMVTKKIAANELEVRVPRYNPDG -IGTRLDIDNHFIYRAECLEDCQWNESTVQAPIENCTPMDDKKGGHPFVPFSLPIQMRGDFRSGGRLLRSL -LNFTPFGDLTCNSALGETSEIQSGCKTVMWMPFHQYTLSRQLATRKSKVSGAGENRVADKKQLTERMVFH -QPGVLSRMQNQEPQKLNRIGSTRSFRMPAVGMPNMTGRVTIPVQARPRATTLIRDGEEVTPARFSTCNSA -LLADTSIAYIEQGWCPKDATAGRVLEAINLREEIATGDNLVKYDWLAKGMIEPDMSVRLTVGQNPFVGIS -IGVCCDFSGRLAQYYDGATAIPIEICNQLPNFVCPISERSVFVHKINMLLAGYNLFQTQKHFADPYILVY -IIDTNTLSASDEWGYTIELCVHSSVHTTQFARTPFLTLPGTFDGTLPLDLWRGPFSFKTGKSAPREERIG -INFGSKRTYNSGAKEFYSLPAAHIQLLQSVGGILHGSVIQTGSRAISCELYMILQPDKTANNLEQAVKLP -GCRVPTGGGPFSLRIQSAFLRSQIYETGVQLVIYALGGPLGAATISAPYQYMVHFSHITEEEGFVPRPIG -TILEFNWATLAQLTLKDRFQIPARLSDLVIPGVSVHMRSNPLASIIGACGFFRGHVTFILQWSLNVEHVK -PKTYMQVQTCVGTFIPAPVKHSQILQSWVVPISQRFELRVPFDLVDYPGFNSSGGIGLDHMQPFIDIACG -DFSQLEYFNINVELKPGFEIYGRSVTPLK - ->NP_612586.1 polyprotein [Blackcurrant reversion virus] -MSESGNTTSMPGCGRMCALRSTWSKRAFLVACKDGALTSDGRCPQYGCGALVSITKGVQQPKKTASAKVV -KCLCWVQPARWCEKHSKGPASPNGSVTTKRSNSARAAPAPLPYKKQTCDVVVTVGPLELVYPALVSEELP -TPVAATPTKVEEVPIPELPLWLAPAWMVEQPYAATPEVLCLTQREEFALLKKRLTRKGKLLQRRATHARF -EARAALARVRAATQRKVEEVTALVIKGRRILAAHQLLRELEEVAPLSQAQEQLVASSCAAAAARQEECAS -FLRRAKAWRKSISATPPVAFATAVASKVVSATMPWAHLGLSLGGLLAVPTLDGTLGAKQWNAKTIATWVL -KPVVSCVQSVHAKVRDWLHSQPEVGVTNTKVPLVLPEVCLGVLSPPSLSEEIVDNPQETSQSGIWHPEMG -VRNIYVFHDDSWETSPEEDENYTYTFSRQCGIPYLLVEGRGAEERKNTILGWDFSLHNDGEFEFLPSPEE -GYTKELVTPVALEEEDKYSTASSCGFFSLDDVSSAITIQCPGLLSADADVHFFDGPGYRCSSRPRDFRPP -VVRGCDYESRVKASIQRKIENPLQERFITVLREKRKKNKKKEFHSFSACFAFKRKQIQWPPTPNEMVNEW -EEYCIAQAWLPFEVVVTDEIEDVTPLYPGGRDYNCNSQLLFPLAPLSTVYCDDSCFHPNDGWTTDGNGKH -FRLSPQFVLPDVPIPIVHRVTRQLPQFLYDLGIGDLTCNSGYQAENLQEEIQERMEDRSEEKPVPSLDTL -ISKLSKRSTKVKGAGENRYADRHSLTEKAIFHQPGALSRMRSGKEKTIVAANHNSDQISVRMAECGKPVF -TPLPRMSDEMLRKFLEKGLGSTSTVALDIGIQSHIPQGMPTVAFVNVMDTRIEDPLYSSLCGSYIDLGRD -RAKTLCLPLVNFPMSKLAEDVDDVLNGLMLCTHFQDSTKFGVGKPAFQYGTLEFQEFKPSAYSDFSRVRD -NWDAIAKQQNTPNDRILAGFSVLGAVSQAYNQALPVFKSVELVAPPKRKPVVATFQNPTTLGRSNTTRSF -RMPTMDLPRSTGRDAPIPIVHRRNNNDVHGFDEATPARFSTCDSGLVADTTLAFAKMYQCKKDAKAGHVL -ATIDIQECVFEDNRRVALDWLAHGLASFKYDLQLTVDSNPFVGVTLGITVDAFDRLLPQISDEVIAVPLA -FQLPTYLFPISKKGTFTQTIDFAAIAGYNFFPHVAAFGRPKIIVYIVSDNDLPASDTWMCLVELHMTRLE -SSTLACSPTLVLPQAFGGDLPLDLWRGPYTFPLGGGTKRLSTSLDIGTSTTTVSGWRTVSFPAAYALFLQ -GHGGSLVGEVVHTGSAAVSCALHLCISFGGAPPTLEEALVFPGFRLPSGEGKFHIKVQTPYGRLSTLTPD -CALYVYLAGGPIAVAPMSVPYQFCIHLERLVDDGAPPRTIGLIREFNWATINNFKSDDITFAIPARLSDL -VLTCGDVTMSTNPLALLIGSCGFFRGNLTVVLEWATFLKAGDKEGTVQLTTCRGMINNVKGVRNAIQKKV -VNLSLVGSVSRYLNVGDFTGFAQSGGQVGYDEIFLEFSTNKAKQIRYLNINVELDENFELYGRTIIPLKN -TAPAFASTSASAPNES - diff --git a/seq/clusters_seq/cluster_1187 b/seq/clusters_seq/cluster_1187 deleted file mode 100644 index 3a885da..0000000 --- a/seq/clusters_seq/cluster_1187 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009220370.1 polyprotein [Currant latent virus] -MSGETSRNQLEASEVPDQLRVQTPAQMLATLRKESAKRKAAAAKAAMPYSDDLFVGSELTYLDKHDVFSP -GLSSLRRFFSSPAPEESVVVTHPMSVQSQVVRYQRPRTNQDPYVATYTPLPHIGEEQARKLMEKGFSNSS -NVALDISLQSHVPQGTPLMAMCAVMDSRTEDPNEALQVAGYFDLGRDRCELITLPLVNFPLNKEDFDDYL -RGLYLCTLFHNVRGFQDNTALCSYSAVGFDQHRQTPRCIRSRVRTSWEEILARNNKSDRTRVISGQNLLN -IVEKDSNEAIPDLMKHSFRCIPPSRGFPQTTLLTSEGDLKRPSILARSISTRFTIPLNMSYTGRESFSRG -RNSVGASTSCSRQDPDLLEGQGPDLDFSQIVFPTVVEKNFLNPKYEVTTTLKELYGDSFETLEVSTPHSY -GGEKLKGKVFYSNHLTFQRDDLVAGKILASFNLSEVFSSPNLGSLLFSEIMSGFATLRVTVKVLLNKYTA -FALKILYDELGQVNVNETDFNKISVLPGAIFSSQEEQFSFDFELFTPGVAINFKANEGFGRIDLAALSSC -NLTEHMPDSFGCTFNFSVVDISTTFYNLGESNLLEVPNFPVHLTNETQGMMVSATPIVKVFHLNLYKEGS -FFNKFQSLLNHLEGYSGDLVVDWLITASALTNGRVYILPIFDNNNFETFSEEKLLQCGYSAKQTSLDRRG -VVHLPFNSWYGSYTRNKYPSLAFYFPDGVCGPVGETVHITVNIARVLNLLGVGHRLFKELSLEGQGPNLY -SYYLHYLHCGNVQDAWLNKGGLWCVPVSPLNLAAHHLVGDKIKFNTDFVTKTRNWLHSAAASSAYWRGSL -TYQLRVTFDKRSSANRKLVAFYTTHNQGLFGYTRACVGNTGISSTIGDTFSVDITIPFMKPTMWLQTYRV -KFDYSTSTNGCVYFQLPTKGATSVQLWVRANHDLNCTRFRLNSTSLT - ->YP_081445.1 polyprotein [Cherry rasp leaf virus] -MEPERSQLAPEEVPDSFRVETPAAMLARLRAESAAKKEAARRAAMPYNDDLLVGSELTYLAKDDVFGCGR -SALKRLFSRPSAEENVVVSHPLSVKEQVVRYQRPRTDHDPYVAVYTPLPHITEEQARKLMEKGFSNSSNV -ALDMAVQSHVGQGTPLLAMCGIMDSRTDDPNEALQVAGYFDLGRDRCDLISLPLINFPLNKEDFDDYMRG -LYLCTMFHNVRGFQNNKALCSYSAVGFDQHKETPRCIRSRVKESWEDILARNNENEFSRVQSGQNLLNAI -EKERNEAIPDVGAHQFACVPPSKGTPQTSLLTSDGVIKRPNFTLPRSASTRFNMPLNMRYSGRNSVDTAR -HSLTTNSGGRSTSLRQDPNLLEGQGPSIDFTKIIFPTVVERNFSNPRAEIVNTIKQLYGDTVETLSVNPP -ESYSAERLIGRVFSTLHGSFGATDLVEGKVLMSVKIVDLLSSANLGALLLAEVLGGNLTMRVTALITLNK -YTSFALKLVYDELAQLAPDATNFGVASVLPGAIFPSQEKSFSFDFNIFSMGSYINFKENEGFGRISLVAL -SSPNLPDQMPDSANITLEFSVVNVDTSVYNLGQGQCLDLDRFPVHVTSKSKALSGGAKHAQAEFSLNLYE -LGPHFNRFQAICGHLAGYSGDLIIDWMISASALTNGRCYIVPVYDQNTFSEVSEEKLRQCKYVSKELSLN -RSGTVHMPFSSSFGSYTKNKYPKLLFVFPGGISGPSGETIHVNIQVRDVLHFSGLGHQLLKPILAAEGPD -PFSFHLFYLHCGTLKTESLNKGGMWCVPVSPINLAAMKHGTGSSLVFNESFVSKTHNWLHYMASCTAYWR -GTLTYELRVTYNSRVNAVANLVAFYTSQVEDLFGFSDKAVGDTGIASICGDAFSVRISIPFVTPTLWLRT -YRNAYDVFTSCNGSLYFHLPTSGVKSVQLFVRAESDFSFERFRALKAEYT - ->NP_620569.1 108K polyprotein [Apple latent spherical virus] -MAFEPIREQLAPEEVPDSFRVETPAAMLARLRAEAAVKRAAAAKAKMPYSDDLMVGSELTYLAKDDVFGP -GVGALKRLFSRPSAEESVVVTHPLSVKDQVVRYQRPRTDHDPFVAVYTPLPHITEEQARKLMEKGFSNSS -NVALDMSVQSHVGQGTPLLAMCGIMDSRTDDPNEALQVAGYFDLGRDKCDLISLPLINFPLNKEDFDDYM -RGLYLCTMFHNVRGFQNNKALCSYSAVGFDQHKETPRCIRSRVKQSWEDILARNNESEYTRVQSGQNLLN -AIEKDRNEAIPDVGEHQFSCIPPHTGTPVTSLLTSDGVLKRPNFTLPRSASTRFTMPLNMRYSGRNSVEN -ARHSLSYQSKDTSSLHRSDPNLLEGQGPDFTKIIWPTVVERNFSNPQSEITTTLQELYGDTFETVSICPP -QSYGGELLKGKIFFSSTPEFTREDLVEGKILASFKLDEVLSGLGMGAMLMTQIMSGHATIRVSAKVMLSK -FCSFALKLVYDELMQLNSDTTDFGKISVLPGAIFSTQEEEFSFDFELFSPGVHLKFDNNKLLGKVHLAAL -SAPNLTENMPESFSCTFNFSIVDVKTTFYNIGQGACLSIPNFPVHITGKTQQLHVGPKPSIARFSFNPFD -LGTVFNRFQSLCAHLEGYSGDLIVNWLVTCSALTNARLYIIPVYDNYSFEKFSEEKLIQCKYEFKQISLV -RKGTVHIPFVNWFGSYSRTRFPKLLFYFPNGVSGPSGEKIHVTVQLDRILNFSGLGHRLFKEIGPLVGEG -SDPFSFLLNYSHCGTLVESSLNKGGMWCVPVSPVNLAAYTLQGEALVFNDAFVSKTHNWLHFMASTTAYW -RGTLHYQMRVTYKDRNAACRNLVAFYTTNNESLFGFNNKPVGDTGISSVMGDSFSVDITVPFLIPTCYLQ -TIRGKFDYLNSCNGCIYFHLPTKSATSVQLWVRPGQDFDFARFRLLKAGYT - diff --git a/seq/clusters_seq/cluster_1188 b/seq/clusters_seq/cluster_1188 deleted file mode 100644 index 57c5ee4..0000000 --- a/seq/clusters_seq/cluster_1188 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_392486.1 nonstructural protein 3 [Rotavirus C] -MATQASVEWIFNVAGSAASSSLDKAIKDAGGSENFSKYVITKFYDNYKDCIDDSGVYNACIGRAKTIDKA -LNDPKVAERNEEWYTNVATISRLDLELAELKLMLSNLGIKREERVLNSMFSVVREKGRSSNVIMMKQNAV -KMIEEGKLKIKVERNETYTESLKNKIEELECIIDAFEKGKDITIDLDAMNGEVKLDGNSCSYNSTAALVS -TILGTPIKMYNESGQPLFDVGNYMNPKNIIEKMIELEIPIFKSDYRNNESPDFDSWNERSNLKIVSVNDC -HAICIFKFENNWWCFDDGRLKKHNGAGYPLIVANSKFQIDKILISGDIELNPGPDILVTLNDYITKYQLK -LECTFDIFLEDDGSITYTCYMKLESAEAIGSGRSKKEAKRIAAYDILDQLGI - ->sp|P27586.1|NSP3_ROTPC RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34; Contains: RecName: Full=p38; Contains: RecName: Full=p8 -MATQASVEWIFNIAGSAASASIAKAIKDAGGSEDFAKYVIARFYDNYKDSVDDTGVYNACIGRARTVDKA -LDDSRKAERNEDWHTNLETISRLDLELAELKLILSNLGIKREDRVLNSMFSVVREEGKSSNTVMLKQNAV -RMIEEGKLKIRVERNENYTASLKNKIEELECMIDAFEKGKEIIISLDAMNGEVKRDGNSCSYNSTAAFVS -TIVGNPIKMYDESGKPLFDVGDYLNPKHIIDKMIENEIPIFKSDYRNNESPDFDVWNERSNLKIVSINDC -HAICVFKFENAWWCFDDGVLNKYSGNGNPLIVANAKFQIDKILISGDVELNPGPDPLIRLNDCKTKYGID -IICRFYIVLDNDGSIIHMCYMRTGSAEAVAKGRSKKEAKRIAAKDILDQIGL - ->sp|P34717.1|NSP3_ROTBS RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34; Contains: RecName: Full=p38; Contains: RecName: Full=p8 -MATQASVEWIFNVAGSAASASLAKSIKDAGGSEDFAKYVVARFYDNYKDSIDDSGIYNACMGRARTVDKA -LNDSRLAERNEEWHTNLATIRRLDLELAELKLMLSNLGMKREERVLNSMFSVTREEGRSSNVVMLKQNAV -KMIEEGKLKIKVEKNENYTESLKNKIEELECIIGAFEKGKEINIALDAMTGEVRLDGDSCSYNSTAAFVS -TIMGTPIKMYDESNKPLFDVGKYINPKHVIDKMIESEIPIFKSDYRNNESPDFDAWNENSNLKIVSVNDC -HAICVFKFENEWWCFDDGRLRKYNGIGNPLIVANSKFQIDRILISGDIELNPGPNALVKLNDCITKYNLK -IICTFDVNLDDDGSIMYICYLKVGSAEATGNGCSKKEAKRRAAVSILDQLGM - diff --git a/seq/clusters_seq/cluster_1189 b/seq/clusters_seq/cluster_1189 deleted file mode 100644 index 2f7e5c4..0000000 --- a/seq/clusters_seq/cluster_1189 +++ /dev/null @@ -1,46 +0,0 @@ ->YP_009342444.1 RNA-dependent RNA polymerase [Wuhan horsefly Virus 3] -MTVTSVPVVAQVRIPTLRLAAAVLQRSPVLRKGSLRESLLRLRSLSLKAEERLDPIERKFREELLRWVDA -ALYMSLPMYNRTISGSHQVEQYLLALWKLLHYVYKNSIPDVVKTLKDLFFRYLDAGLQSDREFRRRRFTY -LGKRHPLKGFFAIAVKGLTRESARTIGLAGRCIPSLPLSKERRLEEYLNLKERLTTPMPTIPACRGCGHL -PPEHPDKRLAAGPGCQRLSRDHIVESVIKFAEVFARPVKHLPPMSYPADNMVYIPGPLHHGETRPWLMGV -TKPAVEAISDAFDSFDEWKEAVNSPKLPYQLGIWSVHPEAIENPPERDPAVGLTRVISEGESLKTPKRRA -NVWYDRKDLRVWYSERHAPSVLHPKDPEAERRDDHRILPPGYGLRALKRSPIAPILNDVVLSGEDQCLVP -VHKVLFIPEAGAKVRVATVPSVVSLQTAARQINGIVLRALKHCPTFHLGLKNSKCFSSKTFAMPDGAKFY -SVDLSAASDRLSQPLSLSVLWPFIREAEKAGLENAFEVARLSCGPQRIILEDPEVMELLADPKYSAHLPF -FETEAGLLMGTPLAWPALSLLHLFAALEAGIDIHRVFIVGDDGGLIATAPEYQKYYHIMTNILGLRVNRE -KTHESPMMGVVAQKVLKIRPAPCPHAGTPRGKLGIGPLTVWDFVRHELNLNPNESPVYWGKVELDQSHCY -VPAALTARSRRRRDPHAPWNPPEWSDVARWKRWRRERLPKALRLVYPSLVSQSRYRGLRMEAPAILGGCG -PLTEGAVRKGLTPVQRAGAYAIAREIMHGTRKDLSIDVGPDLTRTIPRAVILAANEVFNELPRSETRGTG -LPKEAALRSLTSFFVRVAWEGGFRDANSYLGRSLGHRVVRHPGRLLEAKGRIFLRSRGKRAVPKPLTVVQ -AFKRLPKPVDVSPGVLKGLNRLIHDLDLGGRQRKRRLDYVLSAGRNDDAEEGTSSSSVQVPEAMSSLLNR -LRHGWGKVWGQIQSLGSGGKGNLTSTHHEA - ->YP_009337744.1 RNA-dependent RNA polymerase [Hubei narna-like virus 17] -MVSPIGNLIVVRPRVYTMGMTAAILRRMVHRNLAPGSLMLSLSRSERRLRQRSEVEQQWLSDFVRFADSA -FALAIRTHLRQLQGDDVLAHMKTMARLYAFLAKNEVKKIASWWKENCFQLLDRSLQAGDEANNATGDNEV -NTSFTRSALAAASKRKMKRARKDRQRVKKLMGTRSSRQVSSLFASLIRSSGLQSFREGIALGLSARILPA -PILTEPIVEDEARKLRERLTGRPVVIPPEDAGIHQSIGETMLRISNRIKRFIKVEGRKIPLPTDSKIVSE -ADTHEVLTSRCIAHAAESSNGRYETFIGNVRTVEAKPRINKTLFLAEPGYKVRVASIPPCSSMVTAGRTV -NQLLLRAISKAYPAQTSLGIGTPKEFLAEFKKCDRQCRWLYSTDLTAATDHIDSSYAMSAVEDLITEMVN -QGFTAAESVFWDQLPEMQTMAVCHQNSREPFMSFTMKKGVLMGKPLTWPILTILQLTALEHAGLLARSHI -VGDDALVYASEEEYADYVKTAQAMGLVFNPSKTHRTRSYGILAQNVIKLVGVPTTRDVKDKIVRTTENTL -WAHIEEAARKQGLVHFGMDNVRSPRRYESYAFRIEQQIPYVPELLAARGQLRKDPYAPFNLPSVRRLATL -PRWIRDRVPNAFARANPQATKVLLKRGVDISYPVQFGGAAVMSVTTIKRMTKRHLKGAYALGRYILANPT -SYTRMSRLMTTQATHKRVTVTPVAITVEKVLEDIPTVPKGKGCDIKTTREAVRSITNDALVLLEFAKASQ -VKDRITYRFEKTAHMAACSHPSMVLESFANKARKMKVPRPETVYKLWKSLREPNIDYDPEALKDLWRLSN -ATGATIVPTVSTNKIETLRLAKYSSLRNTSAQNLDTSTQDTKIIGNREVSSSISLFGKIATILAGNLELA -QVQQITRKLTRLQRRAVRLGRRVRASAPTKNS - ->YP_009336688.1 RNA-dependent RNA polymerase [Sanxia water strider virus 13] -MPLQSMPSQKVNFGSLSAVAASLRRLAREGRTVGSSQYATFARLAEKLRGLSPRQRRRCLSTASWVAGGL -SVSTTDRSKGSSLGHRLAVVKFAIKLWNNIISDVEQFVVDYKRVCNSAAYAAVRGYTSIVAAGKCRDYLH -RAFGPCGIIPHKGRRSLFALASTTRCLPPASLTPSRVKIELEALKSRLTSVPPPVSGALLSELVSFSHDL -LRDAGPPVQVEVTGNALGPPGKTSLDRAQLYGSYAFKGVIMSKTLLMMSKSAIPPDVPFKEDLEENVQRV -SLLAEAGDKVRAITVPSSLAVQLAGRSINRALIRCLRRSDVFGPGFGKRPGTLMSGANLGDKHIVSCDLT -AASDYLNQDVAIAVLADYLRSHASGIWYPWASALLGPQRIRSRDDTYTTSRGVLMGTPLAWPILSICHAF -ACYKALGNTYQFLCRIVGDDGLLICNASQYRAYVSVMNRLGFVINEHKTFQGKQCGILAGRLYVLKTRRA -KFQDLNSPTKTMAWRMVDCQAPYVPELLAARGAQRFGRSDAPTAPIRVPGLSQRENKAALRAFKILNPRT -FTDAMLRAGRPTPLTTVQRRGLLVLKNAAPSSGARSLWKSSLAKRGHYASLMTSYLRQLMDINLPACSKA -PVNGSYLARMSGTVSQLVTARLNLPKDSRMILRPSYVLSRLGKRLDKKMHTPKQSSVLRLLRGLEAEMVP -PSHVSVMEKLLRSMVGPDDGARPISGVIGDFSQLGITSPTELYPQFLHWIEEGAP - diff --git a/seq/clusters_seq/cluster_119 b/seq/clusters_seq/cluster_119 deleted file mode 100644 index d7a3cf1..0000000 --- a/seq/clusters_seq/cluster_119 +++ /dev/null @@ -1,509 +0,0 @@ ->YP_009553477.1 putative coat protein [Alternaria arborescens victorivirus 1] -MASTTRFLASGASPLTGTVAGISAGTIQADNQYRRYRSGLTIGVHEHGSVTQYRRSIFYEVGRRYGRLND -ALGAHGDEVIPVDASVRINAAEAANFEGFARRFSNFSPQWVMMDLAGIAERLAKGVAAQSIFGGVTITNL -RAHQPVRVVALGTLDSPQTASNSSVFIPRTVDTVGNDHVFAVLVAAANGEGAAVTTDVLRLDANTNEPIV -PAVSGHALGTACVEALRIIGANMEASGAGDIFSYAVTRGVHALVSVVGHTDEGGYMRELLRYGRFRVPYG -GINQSLRDYPSLPAAGSLSASTMSAWVDAIALKTAAIVAHCDPTVTASGGVYPTVFTAAAGDVSPPGTDE -GDTPTDADGRAIGRQVSGDLGRFAPLYLHGLTRIFGLNTNSQVAEAHFCTVAGAYLANNVDRHLRHKTVA -PYFWIEPTSLIDVGFLGSPAEAAGFGALATPGETAHIPTFERHRELDRGRNANFSTLAFKMRTARTSGLI -AAHAASPAPLAHMKLYQFDDDSVILAGDQVPTRGDVRVKHSAADPLSSYLWVRGQSAIPAPAEFINIQGS -YAAKYMNVDWSDDFDGTVTDLPEAWELEHDTQWRVTVPTGLSVTGTSNYADREARRARSRAAIALAQAAL -RARAYGEANSPVIDVSNVPPTWDEERAPVTTWHGVNHHSDPGITAGRGEGAPPDTTNPVRGPAMVPIPHH -QPLRGAPYTRGGGVVGGGGPPAPPAGGAGGPPPPHNQGPPPPPEGGMDLGDGAAAAAALAAGGEQGAAGA -QPAPQV - ->YP_009553337.1 coat protein [Diatom colony associated dsRNA virus 7] -MSEDITDAPLVVPLGSASTNCVSAASGVPAKADTDLRRYTANLQTSAKLHGHLDVGNRAIVWNVGRRGGA -GAGLEAPGDEVSCYEIGFTPVESHAVDAHGMASKFSAFPSRWSFMDFSGLCERLMCAMAHFANHGTLTTA -QIRGGKELNVVALGSLVRPISAGRGDLFLGAEASSITTPGIICAMANAMSGCGGRLVLDAVELQAGTNSV -LVEEASDEALAYGCFYAWALLMANLKHNKVGSQGVYASTRGVHGGTTVYGHTDEGSYTRDVLRRSRFGVP -CGAVLLKYPDFTSCGAPKGGLASWQRFIDGIALSTAAAAQVSDPLVAVNGKMYPTIFSFEGPDVEAGLNL -KGTDEMAISHGRSYASNATRFGAIYLKSCCDLFGVEDNDSRSLAHFAACANDLVGVAKSHLRHSTVAPYT -WIESTGLIDLDAVGRPAVAEGYGPLTAPGRVKEMPYFSGSTMVSQNVVCSHLAVDWRAARKHGLLQHLTL -HARDGLANIRLKQLDPSRMTLCGSPIDSDAGKSMSDMMLDGHDVSDMLWGRGHSGAIAPAEMLYTGNRVL -VQVMCASLGEDGDEFTELHAPTAEDMSGEVRITVSMPMPISNGKLKDKKTRVSRDRSKAAKALKRARIAV -GNISGGYVDVAVSFVDFCIGGEEPSALSDTPGSNATAEAAGSVVSSTPAIAEVTVAAGARAGPTVRWNST -SGPGLARHDGRHSGASNTTPGAASAQNVEPTRNTADDSETQQETGTESADTVAGEVV - ->YP_009552794.1 coat protein [Diatom colony associated dsRNA virus 11] -MLFDINLTKIAGLIARAVDDQLTSGNHFLRYGCTLTSTCNVGGVTEVSNKFIEFQIGKVDTSGGIVLEDR -AHSAVRCEVVMTDGLLEETRAYANKDNALSGDFGVFDHSGTVALLARAVAKFRFEGVLGIDDLLGSETFS -YEALRAQTRQVAASGHATVLPAGADSFSSPNVSAAIVGAVSGLGGTVYSHLVGVNADTNTARIPSCSGRE -LALGCYDALNILAKQYDACGAGEVFAWAHTVGLIQGGTVVSGTDEGGIIRDIFRSVRFGVPYGPICVKID -AVSPLPTPRVKNGFAKYVDGTLLQCAGAVAICDPLVTFEGESYVTTLTSSYKMTDEEKQKYDADLLADGK -RDPNEGVDGDAISLMSKLQMSFPSFMALYSKALGRIYGLEGGSNVVVQSALSALMSNVGKVKRHLRYKTV -NPYFWIEPTGSTRFDVSGFPVTSAGIGRLASIDAEGTRPAFYGVEERGDNGFSAAYKVKWGCARKHGMLQ -HLTAHAEDGLANIKLRQVNSHKICGLGVGAVGREREPLEQKLGNSRGLEEYLWRRGTSSVIGPGEIVTPG -ARVGLVINYRRLGDGDSLKVEALHAPTPSEMMQAVTFSVSGLQAIGVKKNGPGPTVKAMRQKDVASRALD -NARYAESRLVDLDLLMPVSTQDEDFDEDKEEQTGGPPVEVANPDSAEEKAGEEVAGEVLTPTAVHGANTA -PRLVNDAKGADPRSGQTKMVPPGTAPVGT - ->YP_009552792.1 coat protein [Diatom colony associated dsRNA virus 10] -MPEGEAVRADTITGLISNTIDTVDDGMERHVARYRSVLTTQAKIAGINEPSAKSTIYNVNCTPASQGINL -VTDMSIGARSTLAVELQEAIKSEIRNEANNANALRGGWDYVELAGVVAVLGSGLAYYRFHKKLTQEVLSG -GTELKLESARSTTRPISPRDGAVFLGEYSDRQASPCVIAAMVGAVSGAGGTLFTKDVAVNPANNAKVIPD -ASGKALATGCYDALVLLAKNYNANGAGAVFAFALIVGLHRVGTVEGHTDEGGFMRELLRRVQFPAPYGAV -SLGPGEFSGLPDPTRGVGGFDRLVDSLLLQSAGLVADCDPLVESFGNLYPTTLVSEYEWSNGEKQAYAGL -PAERKARILGMDGDALECASQLRSNFREFSTAYVSQLPRMFGTGGCERIVSLALEGEFMYSRGHVFRHLK -MKTANPYYWIEPTGVVRLDTSHLPAVREGYGTLCRVNEPTERPAFDGITKVGEAAHYGAYEVEWVSARKH -AMLQHLTLNKDDGLAHIKIRRVPKDRLTGLGVGAIGRRDESLENKIEHERGAEEYLWGRGHSAMIAPGEL -NLVRGKIGFIVERYSEHPTDRRRITVNHAPLEDEMDQKVTFSVLPLMALGWGDKGNEGVARRVERDKAND -ALSACRDRSMPYFNVSLLMPKGLASDGDLDEGHTAKADAKVSVPEDEGQVGLKDGVTQQREGKAGLHVLP -PLDRSATGRNRSGAPNYPQPDTSEAKEAPDAA - ->YP_009552777.1 capsid protein [Fusarium asiaticum victorivirus 1] -MAALVRNNFLAAVLANARGRHIGATDHRRYRTVMRTTSKIGGVNDSRSASIFYEIGRGVNSIEAALATPV -DAIPRVEAAYPTNTVLAEDFIGLAKKYSNFASTFEYSSLAALAERLARGLAAHSVFEEDWDSTFLTGGRA -IVVHALGTYDGPVNSMTDTVFIPRLVNTNISGDVFGVLVAAAAGEGSAVATDVLEVDAVTRQAVIPVVGH -TALPFALVDALRVVGGNMIASDQGPLFALAVTRGIHRVLSVVGHTDEGGTVRDLLRCAAFASPFGGIHCS -LNDYAGLPALSTNGVSVVSSYVDGIALTTAALVAHSDPGNEYQGEWFPTFYLGAPDSAGDKRPGEHTEGT -AAMAGAIRAQILADQGRFWENYARGLGLIFCAEGSTSTAVRFATTASYNLGGDPRHLRYASVAPWFWIEP -TSLLPAYFLGTEAETVGHASFGGRDTQSQLPGWEAIVPAGGRDTTFSAYHVRLPSARRAYMLLHWLAHPL -NGLGALSVRQLDPTAIIHPGACAAHPDVRDRVEAALPLTDYLWTRGQSPFAAPGEFLNLGVTAGIFVRHA -TYTDDGDITMSHLPSAREMVDMTVEIEVGRPASIANGASNAADAGTRRARTRAARELAAVKRRAALFGVA -DMFEMPVMTSAPRMDRPAPPAPPQAPGGPGAAPAPTRPPQQGVGAGPGAAAPTGDPLPPVGHHDHYRAPP -GPRPGGPLGVRGGGVGAGGQGGPGAPPPPPPPQNDDDDDRRAAEPLAPADAPAERAAPQ - ->YP_009551683.1 coat protein [Eimeria stiedai RNA virus 1] -MAERLSGLSAPAQEVTSICSNRFTSPPKTGECRVYQGMLELSWHAWGKVKRNDKLIRYEVGCRFDATGER -KDRELTIGKKVTKSILGGYPFPTLALFMPADYQERLLRVEPRHTARPNWECFDFTGPVAMLAAWLSALTW -IPHAATSHFVDTSSISLVPITNLEDSAALSQNNVFISQNVCGRGGSGAYWALVAACSACGATVATDRVIM -AGPDTPRFYSAAGYHLWRDVLDALRILGELYDRAGGGEVFAYAFTKGIHSVSTVVAHSDEGGLMRDILRE -RRFAIPFGGLPAGCGFHPGMPTLAKGAEYRHLARLVDAYLLQTAAAVAHCAPLVYTGLGTSCPSVAYGRL -APEYQHQKRMEDFETPFLDASTHAKDVVSARTQHVEILRQALEHSFFEFGALYLPAIASFWGFSPTGERE -QACRQWPWDALGSVLDDCHNRHLIKGESIAPFFWIEPTSILPHSWRRTACETDGWASMGGPGESRRIRMF -PQILEDPDSSFTHRYYLLGFQGARKCPWLWALNGHREDGLAQVRLRVAYPEDFLNLGGRAAKEGPTAVFS -EQAPISDYLWVRGQNPLPHPAEFLNTGETIGVEIKHFDLDKDHWLKAMPSPNEIREAVLDLVVSAPQGLR -VGKSNTETRQEIGYRTAGARAMHRALAEVAADMQSRPTVGEARIERLPAYFLPGGGGGTGTVTVSRGGLT -SAPMDTAPGDAERPTGGGPPTASVDVGTVRAVHAKRLAPTLAAGTHTGPRLPHPQAVSGGPAPMGPTATP -PARPGDDSTADFCGGQDGGAATGTAPQAP - ->YP_009508252.1 putative coat protein [Epichloe festucae virus 1] -MMSTAQTQNFQVRHLAPLTGAVADPVGGLLQRGKDFRRYRSGLSSEIPGPGRTFVEYRSIFYEVGRHYGN -ISDALATPTPAAVRIDCSHTINETQAANFEGLARQYSNFASQWEKMDLCGIAERLARAIATQSVFGGVTT -THMRAGQPLRVVALGTLDSPQTASTSSVFIPRTVDSVGSDHVFAVLCAAANGEGASVSTDVLRLDVSNNA -PLVPAVAGAPLATACVEALRVLGANMEESGAGDLFAYAVTRGIHRVVTVVSHTDEGGILRDLLRHDAFRV -PYGGINASLRHYPALPSAAGSHESSIAAWVDGIALKTAAVAAHCDPLVPGVGGMYPTVLVASKGSISPPG -TPEGDVSPDDARSLGRQLSADIGRFAPNYIRGLSALFGLQGYTAIAERHLCATAGHYLEENSATNRHLLH -KTIAPYFWVEPTSLIPRDFLGTSAESENYAAKVSPGCVAHEPLFEKFAPLAQGTTMTCATAGFKMRTART -SGYVCSQAAAPASLAMIQLKQFDTQSVVLAGDQGPISGDVVTKHRASSPLSSYLWVRGQSALPAPAEFIN -TQGCYGAKIKLVDWTDDWDPESTDVPRPDQFATGVVAWRVSLPTGLPTGPSNAADRQARRARSRGAIALA -QALNRARAFGEGASPTMEVSDVPPDLGLADLGHYDHTGFREHRSDPGKAQETGDGNPSPTPTLLRGAAMP -PTEQHKPQGGPRLPAPPPTMGRAAGPVGPPSTPAPPPPAPVIAPPTVSDPPPMPEAATGAEPVPQA - ->YP_009508250.1 coat protein [Beauveria bassiana victorivirus 1] -MSTVQTNAFLSGVIANGRGALLTADNQFRRYAANTRSSATIGGNEDARLARIFYEVGRVHSTKARALAAA -PDGLLRVDAAYPTTGTLAEEFIGLAKKYTNFSATFEYSSLAGIVERIAKGLASQSVFGNVDTGDLAAGRP -IIVNALGTFDGPVNSLTNTVFIPRLVNSSVTGDVFSVLVHAAAGEGAAIATDLLELDAATRQPILTVVDA -DGLARACVEALRLLGTNMMASNQGPLFALALCRGLHQVVTVVGHTDEGGIVRDLLRHSAFGVPFGGIHFS -LEPYAGLPALATNSVPDVCSYVDALALSSAALVAHADPGQLYDGRWYPTFYSGTSSDDVEVRPGGNLPGT -DDMARRNRSQLIGGLSRFSEVYVRGLAQLFACPGDSRVASTFFNSSVANIGVSRHLRYASVAPYFWIEPT -SLIPHDFLGTDAEAFGSGALATKDVPRTKGFFEDCWASGVGDAAFSGYHVMLRNPRSAWFFAHWLNHPRN -GLGGTQVRQLDPNAIIHPGGHATLPDIRDRVEMALPWTDYLWTRGQSPFNAPGEFLNLAGTAGFMVNHYT -FDEDGIPQLEHLPTAREFASGEVTISVGRPQGLANGPSNWGDSNARRARTRATRELAASAARVRAFGRPD -VAEMPILTTAPQPRGSRVRPEPARANDQAGVGGWRRASNSAGSGEAVPEPFGVPRDVVPQHQAVRYPVLA -RNLGAGGGVAHIPPPNRGPEGGENVDPVAIAGAAPPGGPNPE - ->YP_009508248.1 coat protein [Aspergillus foetidus slow virus 1] -MSTIVRNGYLASVLANPRGTRINGDAQFRRYRANIRTEATVGGVNDARHVQVSYEVGRVHRTKGAALAAA -ADNLVRVEAAYPTADTLVEDFIGLAKKYSNFSASFEYSSLAGVAERLAKGLAASSIWDDMTATDLRGNRV -LNISVLGTHDGPVNSLTNAVFIPRLVNTAVTGDVFTVMINAVAGEGSAVVTDVLELDAATRQPIVATVDA -DGFSRACVEALRIIGANMLAADQGPLFAYAVTRGIHNVFTVVGHTDEGGVSRDWLRASGFAPPFGGIHYG -LPVYSGLPALSSTAPADVSAYVDCIALTSAALVAHSDPGITYDDRWYPSFYQGTGADTAEVRPGQHQDGT -ADMGRRNWGQYTSNMPRFLDYYLPGLGKLFGADGSVQLACTVARTHSGLMPISRHFNYASIAPFFWIEPT -SLIPHDFLGSPAELNGSGSYCTVDVPREKAGFEDITAAGAADVAYSAYNVLMRNPRPAWFFAHWLNHPLN -GLGAISVRQLDPNGIIHPGACPDHPDVRDRVEAALPWTSFMWTRGQSPFPAPGELLNLSGTAGFMVKHLT -FDDDAIPTPEHLPTAREFEGVSVRITCGRPMSIANGTSNSPDSTARRARTRAARELAAATARDRLFGRPA -VAEMPILTTAPVMRTKVAAPPPQEPPQVSGGVSGWSRAVPAAENGVNDDRNPDGAAVPVVPHYNSXKFPV -VARPQAGAVIGGGAAPAAPGAPAADEDDNQPPQPVAIGNXN - ->YP_009272904.1 capsid protein [Fusarium poae victorivirus 1] -MPLSSPVFSSPAGRVPLIRPIELKRPINALFYNSGAMAQPQSFRTATTSALTGAVAGVSSGQIQDDNTYR -RYRSGLSIGVHEHGHYAYKRSSVFYEVGRRYARLTQALAGRPEGGRAGFDASVMVNPAEAANFEGWARRF -SNFSPAWDMMDLAGVVERLAKAVAAQSVYGGVSTTNMRAGFPVSVVALGTLDSPQTASSSSVFIPRTVDR -VGNDNVFAVLAAAANGEGATVTTDVVRLDAATNQPVVPAVQGQALAQAAVEGLRILGANFERSGAGDIFA -YALTRGIHSVVSVVSHTDEGGWLRGVLRRTGFRAPYGGINQDLRDYPQLPPLASLSTAATSAWVDAIALK -TAAIVAHCDPCVRADGGYYPSVFTASSGDISPPGTEEGEVPEAVATNIGRQISSDVGRFAPLYMRGLCYL -FGMSSTSGIAEAHFSTTAADHLSGTTDRHLRHRTVAPYFWIEPTSLVPTNALGTTAEAAGFGALTTAGVE -AAIPAFERVREIDHGHNANFTTIGFKMRSARTSGLVAAYAANPAELSGLRLYQFDESSVVLAGNNGPTNG -DVPAKHNAADPLSSYLWTRGQSAIPAPAEFMNIQGSYAAKYKVVDWDDDFNGTLGPLPEAWELESHPTKW -RTSVPTALPAGASNYADSGARRARSRAGVALAQATLRNRGLGDANSPVISVSNVPPSWDDERPATTRLDD -TRTAEHNPAPGVVVTPGQGTDTADTPAHLAAPALPIPHQQPLRGAPYPPRAPGQLGGAQPPPPPVGPPAP -PGPPGGPPNDDDNQGPPPQAPPADNHPVPPAA - ->YP_009259367.1 coat protein [Sclerotinia nivalis victorivirus 1] -MTTVIRNSFLASVLASPRGGYIENDNTYRRYKSNVRTSSTIGGNEDSRLVQILYEVGRSKNSKGRALARP -GEDDPLIEAGYPTANALAEDFVGLSKKFTNFSATFEFSSHAAIVERLARGLAASSIFDDVDSSDLRAGRT -LVINALGTYDGPVNSLTSSVFIPRLVNSAITGDVFSVLANAAAGEGAEIATDIIELDALTRQPIVPEVEA -TALSRAIVDALRILGANMIACDQGPLFALALTRGLHKVLTVVGHTDEGAVSRDLLRCGSFSVPFGDIHYG -LEPYAGIPALSTNSSYGRAAYVDSLALSTAALVAHCDPGQIYDGRWLPTFFSGSSSTDTDGRPGDSLDPT -DAMTLRNRAQLLSDLPKFSLSYIPALAKLFAAEGNPAIAIAAFNGASSQLSRTTRHLKFASVSPWFWIEP -TSLIPHDFVGSVAEHEGFASYGGRDSTRSRHAWEDIQASGVNDTAFSAYHALFRGARACWFFLHWLGNPA -NGLGSISVRQLDPNAIIHPGPCTAHQQVRDRVEASLPWTDYLWTRGQSPFPAPGEMLNISGTVGFFVKHY -TFDDEGLPTVEHVPASHEFLDTTVTLHVGRPIGLSIGASNTPASDARRARTRATRELAAASARAAVFGRP -DVSEMPTLTTAPVLRSRNPTLPTHITPNDTPGGTDVTHRSRHQGVGGADASDRLPAGEPRIPVPQHQPVR -YPQVPRPAGNQPGGGGPGIPPAPPGPGGPNGDDDDNDPPAPVNPPEGGPPTPPAGAAPPNGPAPI - ->YP_009254735.1 coat protein [Nigrospora oryzae victorivirus 1] -MASTANFQVSVTSLLTGAVSGTSGGLIQTDGTFRRYRSGLSIGVQEHGVLNYSRRSIFYEVGRRYGRLTD -ALAYQKGDDEGLPLIDASVPINPAQAANFEGWARKYSNFSPQWATMDLAGLAERLAKGVAAQSVYGGVTT -TNLRGGQPVRVVALGTLDSPQTASTNSIFIPRTVDTTTSDNTFAVLAAAANGEGATVTTDVVRLDAATNQ -PVIPAVDGYAFATACVEALRIVGANLEESGAGDVFAYAVARGIHAIVSVVAHTDEGGWMRNAFRSCTFRV -PYGGINSALSQYPQLPPLAGSSAASVSSWVDAVALKTAAAVAHCDPCVTATGGLYPTVFTSSSGQVGAAG -TAGDDPTNADAQDVARQLAADFGRFAPTYITALRRIFGLTSDSGVAAMCFSTAARQALFGPPDRHLKYKT -VAPYFWIEPTSLIPASAFGTTAESAGFGALTTAGIETTIPCFERVRELDHGRNANFSTIAFKMRTARTSG -LVAAYAGAPAALAGLKLYQFDEDSVALAGDQGPTPGDVPMKHSQADPLSSYLWTRGQSPIPAPAEFINIQ -GAYAAKYKIVDWDDDFNGRLGDLPEAFELENYGIRWRVTVPTALPSGASNAGDTGAKRARTRAAIALAQA -NIRNRGFGEANSPVISVSNVPPSFDDTPPPMMAAASGAVHDAANRTIPEYAEGAATAGAPPVMGAPLGPI -PHHQPLRGAPYPRQGGGQVGGAGLPPNPPLPGNNPPPPPPTGPSNPPTNPDLDYQPDPAGPEAAHAPPAG -QV - ->YP_009249474.1 coat protein [Penicillium digitatum virus 1] -MASGQSFSIATASPLVGTLADPVGGKIEKNSLFRRYRAGVYMQIPDHGNTTSRARSIFYEIGRSSMSAKV -LFARPPAASLPIDCSVDINAAEASNFEGTARRYSNFSPQWLKMDLAGMVERLAKGVAGYSLYQGVDSETL -RGGQPLRITALGTLDAPQTASTNSVFIPRTIDTVGNDHVFAVLCAAANGEGASVATDVVRLDGNTNQPIV -PAVAGSPFATACVEALRVLGANMEASGAGDIFAYALTRGIHSAVSVVSHTDEGGFMRNLLRADRFRTPYG -GINAGLRDYPALPALASTSDEAVSAWVDAIALKTAAAVGHCDPLVPGDGGWYPTVFSSQTGELLPAGSVG -EEPTDADARSIGRQIASDLGRFAPIYMGALTKLFGLHTNSGVASAHFCTVGPRALSQDNSVDRHLKFKTV -APYFWVEPTSLLAVDAFGTLAESEGYGAKVTPGHTASVNCFERFRSMAKGQSANCATVAFKMRTARTSGL -VCALAADPAALADIKLYQFDTSSVLLPGDQGEVLGTVSDKHRVAAPLSSYLWSRGQSCFPAPAEFTNING -NYGAKVRVVTWDDDFNATLSDLPNDSEMEASQITWRVSTPAGLPSGPTNSGDRHARRARSRAAAALSQAV -VRARAFGMAASPTMEVSEVPPTFDDAPAPFKDEAAQGGPIDPGRTTTTGEGSGVDHGRVSVGAPVPPVAH -LEPQRAPQLPQRLGGHSMPAYQPQPGGAPPTTPHAGNPNAASSAGVHPFNPSADPLPTPPSFVTDGAAAA -PAAPAS - ->YP_009212847.1 coat protein [Penicillium aurantiogriseum totivirus 1] -MASGQGFPQQATSPLTGTIADPVGGVIADSNSYRRYRAGVYMQLPDHGSTTSHARSIFYEVGRRHSGAKP -LFARPPESSIPIDCSVDINSAEASNFEGTARRFSNFSPQWVKMDLAAIAERLAKGVAGYSLYGAVDTTTM -RGGQPIRVVALGTLDSPQTASTSSVFIPRTVDTVGNDHVFAVLVSAANGEGASVTTDVVRLDANTNQPII -PAVAGGAFPSACVEALRVLGANMEASGAGDIFAYAVTRGIHAAVSVVGHTDEGGLMRGLLRHDRFRVPYG -GINQALRDYPSLPALASTSPSAIAAWVDAIALKTAAAVGHCDPLVPGEGGWFPSVFSAREGALLPAGSAG -EEATDQDARAIGRQIAADLGRFAPLYTRALTRIFGLHTSSGVAEAHFSTAGSRALNQETAVDRHLKFKTV -APYFWIEPTSLLESTAFGSLAESEGYGAKVTPGDTATVPCFERFRSLAKGLTATHATIAFKMRTARTSGL -VSALAANPAALADIKLFQFDTASVALPGDQGPTNGTVSEKHQAADPLSSYLWTRGQSCFPAPAEFININS -NYGGKVKLVDWDQDFNATLSDLPNDSEMASDLITWRVSVPAGLPTGPSNASDRNAKRARSRAASALAQAV -ARSRSFGLAISPTMEVSEVPPSFDEPSRPFKDTEPTGLGIDPGVTTTKAEPSGIDTGRTVRGAALNPIAH -HEPQRAPQMPQRGAGFAAGPPPAPQGGAPPPPPGAGGAPPPPPSQGPPINPDPLPTPPTSLPDAAANAPA -APAQ - ->YP_009182166.1 coat protein [Ustilaginoidea virens RNA virus 5] -MANTFNALAGVLGRPRTGTISGPAKYRKYAASMTTSVQIRGVDDLSVKRILYEVGLRHKSRDVALAATPE -TVTMIESAYDSSGLVSDVLSGLARKFSNFSGTFDVSNLAGVVERLAKGLAADSAYEGGCTAQGLLGGNEV -RVHALGTYTGPVGAHRDTVFIPRLVDSVLAPDVFSVMVHAVCGEGGIVATDLVELDANTREPLIRDVDDG -AFSTAVVDALRLLGANFAASGQGELFSLAVVRGLNAVLTVVGHTDEGGVVRDVLRKGAFSTPYGAVHCGL -QDYPGLPALASSSTSTIAGYCDSLLLSAAALVAHCDPGVVYNGSWYPTVLQGTSPVDREVRPGAETPGTA -EMARRNKRALLGRFDVFSRQYTAALAKLFGLEAGGTAASRHLTASASLLDDDNRHLKFASVSPYFWVEPT -SIIAHNFTGYVAEAEGFASLCGRGERVTRPAWEQIAPAPGGDVASSSYYIRFRGARACGYLHHFHGHAND -GMAFVVPRQLDPAGVIHPGPDQEEEVRDRLEKGAHIGRYLWRRGQSPICAPGEFLNLGETMALRFRHLLH -DEDGYAVAVHCPGHGEVEILEVSYAASAPIGISEGPLTSAPAEARRARTAATRALVSACSQAQAYSQATV -DDLPLLASAPPVLRRAAQTPAKPSEGVILPGGGGKLVDVPSAPDDNEAGYTRGTAQRVVLHADAVRGPAV -ERGQRQGGGGVGPDTPATVPRPTRVVVDDGEDAGAPGRPALTAEGATGRREPGRAE - ->YP_009143306.1 putative coat protein [Magnaporthe oryzae virus 3] -MAQTTAFQTSAASPLTGTLADPIGGLIGADDQYRRYRAGVYMLTPDNGRQNARARSIFYEVGRRYPRARD -VFAAPPPEAIPVDCSVDINPSEAASFEGMARRFSNFSPQWLKMDLAAIAERLARGVAAFSVYGGLDTGAM -RGGHPVRVTALGTLDSPQTASINSVFIPRTVDTVGNDHVFAVLTTAANGCGAAVTTDVVRLDANTNQPII -PAVAGPAFATACVEALRVVGANMEASGAGDIFAYAVTRGIHNVVSVVSHTDEGGFMRRLFRNDRFRVPYG -GINQALRHYPALPALASTAPSSIAAWVDAIALKTAAAVGHCDPLVPGPGGLYPSVFTAREGELLPPGSQG -EEPTDADARAIGRQIAADLGRFAPLYMRALLTLFGLTTNSGVAESHFCTVGARALEQVGQNVDRHLRYKT -VAPYFWVEPTSLLAHNAFGTLAESEGYGAKVTPGDEMSHPCFERFKTMEVGRSATFATVAFKMRTARTSA -LVSAFAAEPAPLADLRLFQFDESSVLLPGDQGPTAGTVAAKHAAADPLSSYLWVRGQSCFPAPAEFMNIQ -GNYGAKAVLVDWDDDFNASISDLPNEREMATQVVTFRVSVPCGLDTGGSNFGDRAARRARTRAANALSQA -VTRSRAFGLAVSPAMEVSDVPPSFDEPVGAGSLPYVDSEADGPGIDPGTTTGFGVGAGIDVGRTTRGAPL -PPTAHHMPNRGPRLPGGAVNQPGGGGGGPMAGPPAPPGAGGPPPAPPGGAAAQLPPSDAADPLPAPPNFL -PADAAAADAAPAQ - ->YP_009115491.1 coat protein [Phomopsis vexans RNA virus] -MASTANFQAAVSSMLSGTLAGVPGGLLQQEDRYRRYRSGLSIGVQEHGSLTYSRRSIFYEVGRRTPRLND -ALAFTKNDEEITMVDASVPINPAQAANFEGWARKYSNFSPQWEMMDLAGIVERLAKAVAAQSVYGGVTCA -NLRAGQPVRVVALGTLDSPQTASVNSVFIPRTVETTTSDGVFAVLSAAANGEGASVTTDVLRLDAGTNQP -IVPTVDNAVLATSCVEALRILGANLEESGAGDVFALAVTRGVHSVLSVVGHTDEGGWIRGVFRHCTFRVP -YGGINPSLREYPGLPPLASTLTGHISAWCDAIALKSAAAVAHCDPCVTASGGTYPTVFTSNRGLVSPPGT -DEADLGDADADAVGRQVGADLGRFSPVYMDALTTIFGLRAVSGVADAVFRTVGRDYLNGAHDRHLRHKTV -APYFWIEPTSLIPAGAFGTVAEQAGFGALTTPGVEREQPAFEKVRELDHGRNANYATIAFKMRSARTSGL -ICAYAGTPASLTGLRLYQFDEESVVLAGDQGPTGGRVAQKHAAADPISSYLWRRGQSPIPAPAEFVNTQG -SYAAKYKIVEWDEDFDATLGDLPEAFELEQYSVRWRVTVPTGLPNGPSNAGDSGAKRARSRAAISLAQAT -IRNRGLGDANSPVISVSNVPPSWEDEPGRPMAADIGDHRVGVADGQVYASGADRHVRGDDRGAALNPVAH -HQPLRGAPYPPRGGGVLPGGGGPALPPQPPAGPPPAAPPSGGDNGSDVSGPANEPDAGNAAPAPPL - ->YP_009115499.1 coat protein [Eimeria tenella RNA virus 1] -MAEADRSGMVSGAQSILGLCRNDFLAPPDIGRCRVYMGSVLLTWRAYGKMKTNIHKIKYEVGYRWDGEAA -RPGKRDPALVRNSSEWATKGDILPRVHAVTPADYLERLIKVEPKHTSRPDWTHFDFTGPVAMLGAWLATL -TWFPGVSLFDLYDPEKIKINHLNQLPDSSALSCNTVFLSQQLSGQLPSGCYWVLVSACGACGSSVATDRA -ILSGPERARLFGAQGPKLWKDILDALRLLGELYDRAGAGEVFGYAVAKGLHTVNTVVSHSDEGGLFRDVL -RECRFALPFGGIPPDSPLSGSLPTVTISATYQTLVTIVDSLSLTTAAAVAQAGTVVTTDTGTTRPIVVYG -RMTPLMARGVRPADPAIVGDADRYARDQEQYRLDCTEHVNLLRAPLHGAYTEMAEYYLPALANIFRMSRA -AEETRPAHTWLSQAAPSVLENYDNRHLLKNDAVAPFFWIEPTTILPRKWCLGPAETGGWGSLGGPGEAYS -ERMFPNLCEVDIPTATARSYEIDFEGARKCAWLICLLGHKEDGLAQMHFKRLDPEALCLLGGRAARDGPG -RAHSARYPVSDYLWVRGQSKLPHPAECLNMFDRVVMVINHYKVQEDRWLATVPSHNEIAGATYTVDVSAP -DSCGFAERCAETRREHMARTRGADALMAMASLLNADDPNSLHEGGYLTVGIRRRQGAATPAPPLRSQAGG -PQSTQVEAPTQSAGHTAEAAAPQPSRVLTAASAPMSPVLAAGTHAGPRVPQTGGGQPGPESVQVAPASNN -PDGQTEGGGGAQGTAPTQ - ->YP_009094184.1 coat protein [Ustilaginoidea virens RNA virus L] -MSEINTNAFLAGILANARGGHIADNNTFRRYASSVRSSATIGGNEDARLTRIFYEVGRVHANARLALAAH -ASTMLRIDASYPTASVLAEEFVGLSKKYSNFAATFAYSSLAGVVERVARGLAAASLFNDVDSDTLAANQP -VRVSALGTYDGPVNSMVNTVFIPRLVNSTLTGDVFAVLVNAVAGEGGSVATDTLEVNAADRRPIIGTVDA -VGFPRAAVDALRLLGANMIASNQGPLFALALVRGLHKVLTVVGHTDEGGITRDLLRAQSFGVPFGGIHYG -LDVYAGLPALATNSAADVASYVDSLALASAAAVAHCDPGTTYGGRWYPTFYCGASNGDAETRSGTHLAGN -DEMAVNNRSQLVADLAKFSDIFIPALARIFQCGGDPAVAASILTSSGHALSKHNRHLRMATVTPFFWIEP -TSILPHDAFGTRAEAEGSGALASRDQPRTKPIFEDIWTADTGDLAFSSYHVQLRNARSAWFLAHWHGHEL -NGLGATQVRQLDPNAIIQPGGHQVEEVRDRVESALPLSAYLWQRGQSPFCAPGEFMNLAGTMGIMVNHYT -FDGDGIPRLQHLPTAREFLGATVTLTVGRPQGINDSKSNWADSQVRRARTRAARELAASAARVRAFGRPD -VAAMPILTTAPPPRHAQGAQPVPARDHDVGGGVDGWRRTSVGVNDSTTAYPYGEPLGVTAHHQPVRFPQL -ARTLASGGTAAVPPPPPAATSSDDVSPDVPVALSPSAAPLSGPTQS - ->YP_009072432.1 coat protein [Botryosphaeria dothidea victorivirus 1] -MEVETQFRPSFLAGILLRPTGGRLAAEAGGREYASALNSTMTVRGITTTRSSVVRYHTSPTQGELRDKLD -LAPEGARRLVAEYQTSGEMVAHFSNLARKYVNFSANFEASNLAGLVERISLGLSLAAWHDNVTAFSLRGG -REPDIAALGATTTPVNSVADCVFIPRVVNTVITGDIFSVLVAAATGCGAAVATDMLGVNDNGVALLNDVD -ARRFPPAAVEALRLLGANMNDAGQGALFALALTRGLHKGTVVQSTTRGGALTRAFLIAGTFSVPFGGINP -TPEPYAALPALNSSDGVAVGGYVDGLCLATAAAVAHCDPGTTIEGLWYPTTYVTPRHDAFGVAGSVPTAD -PLNGASLWPQIISESGRFLGEYVSALGRLFSAEDGLGLANRAACTMAHLALVDDWGCDDATVAPYFWIEP -TSILPQNWLGSAAERSMSGALVTPGGEVTLPAVEGVQPVGDSTGPWAGYVLELSSARRNPLFIHFGGQMS -EHLGTIVPRQLDVETVVHPKLRAEQAALRDRLQASLGLDQYVHLPERMPIPSPDNFMHVGCRMGVEVVHE -QFVNYRMYPSMLPAAHEFAHSTVTLSVTKPFGVPAGAAPEIECGFSHEPTRTALMLSQTSHNLRGPSSVA -FTGMLISTSAPAAPRYTSGQGRSRRVALGDERHEVGSAGVDHNPSPPVPVGNRLGVTTHHDAGRGPAPIR -RADQVRGGGGGAPQRRRDDGQAQDPVAPPPAHGEPAPPEVDEP - ->YP_009032632.1 coat protein [Beauveria bassiana victorivirus NZL/1980] -MATTVRNSLLASVISTPRGGALDAGTTFRRYRSNCRTSTTIGGNEDARIAQITYEVGRVHNTKGQALAQT -GERTVAIETAYDTSAVLAEDFVGLAKKFTNFSASFGSSSLAAIVERLGKCIAAQSVYGDVTSTDLRAGRA -LTVNALSTYDGPVNSLANTVFVPRLVNSVITGDVFSVLANAVAGEGSSVATDVIELDATTRQPIIPFVDS -DGIFRARVDALRILGANMIACDQGPLFAYALTRGIHRVVSVVAHTDEGGITRDLLRSSAFAAPFGGIHYG -LTPYSGLPAIASNNGCDLASYVDSIALITAGLVSHCDPGITHNGYWFPTFFSGTSVADIEGRPGDELPGT -PAMSHRIHAQLATSIGAFAPAYIAGLGRVFAADGQTDRAVSHMCAAVTLMNGDNRHLRYPSVAPFFWIEP -TSLIPHDLLGSQAEACGAGSFGTRDTVRSRDLFEDLVSVGSPDTTFTAYHALMRFPRTSWLFLHWLGHPA -NGLGAIPVRQLDPNAIVHPGGCVAHQPVRDRVEASLPFTDYLWVRGQSPFPAPGEMLNLAGTVGFLVKHL -TFDDEGLPTPEHVPTAREFLTSEVTIHVGRPMGLTSGPSNARDSTVRRAKTRAARELSAATARLKLYGRA -DVAEMPTLTTAPVMRSVAPPPFEVDRHGTPGGASGWTRAANAGGEVAHDAIRQPDGEQRPAVPHYQPVRY -PQVARAGPGGGGGAGGAIPPGPPPGPPPGGDDDDHDPQAPLAPAPGILGVPPPNGATN - ->YP_009004155.1 coat protein [Ustilaginoidea virens RNA virus 3] -MATTSRFQENNFSYLSGGVAGYSSSTLVDDATYRRYRAGLTIGTYEHGSYTYSRRSIFYEVGRHFSGVTK -ALAYNHADDDALEIDASIPINSVEAANFEGWARKYSNFSPQWHMMDLCAVVERLGKAVAAQSVFGGVTTA -HLRGGQPIRAVALGTLDSPQTASTNSVFIPRTVDSVGNDKVFSVLAAAVNGEGSTVTTDVLRLDAATNSP -IMPECSGHGLAKACVEALRVLGANFEASGAGDLFAYALTRGIHSGVSVVAHTDEGGWFRNVLRACHFRPP -YGGINLANRDYPFLPPLAAEDGGATSAWVDSIALKTAALVAHCDPCTHATGGAYPTIFVANDGAVQPVGT -HEPAGTERDAASLGGQIAADVSRFAPLYMRGLVKLFGLRANSGVAESHFSTVANVTLSGTKDRHLRHKTV -APYFFIEPTSLIEHRFLGSPAEEAGFGALTSPGAETELATFERVRELDRGKHANFCTIAFKMRTARTSGL -VAAYAGTPAELAGLRLYQFDEASVVLPGDLGPTAGTVSAKHANADPLSSYLWKRGQSPIPAPAEFVNIQG -SYAAKYHVVTWDDDFDATVSDLPEAWELESHPTKWRVSVPTAIRPGATNASDNGARRARCRAAIALAQTV -IRSRGLGDANSPCITVSNTPPSWDDPVQPMARKEDTLHHPGVGTVSSFAEGNPPPAVPAALGPPLAPTLT -HQPLRGAPLPRHGGGVVTGPPPTAAVDTPVVAPSAPPSVDPRPDDADTATAGPAPRI - ->YP_008130307.1 putative capsid protein [Rosellinia necatrix victorivirus 1] -MAATARNNFLASVVANARGQPITAAGHRRFRSVVRTSATIGGVNDSRAASIFYEIGRGVNSSESALAVPT -DATMRVEAAYPTNAVLAEDFVGLAKKYSNFSSTFEYSSLAGVAERLARALAARSIWDDFDSTAITGGRTV -VVHALGTYDGPVNSMTDTVFIPRLTNTNVRGDVFAVLVAAVTGEGSAVATDVLEVDAVTRQAVVPVVDGV -ALPLALVDALRIIGANMIASDQGPLFALAVTRGIHRILSVVGHTDEGGTTRDLLRCAAFSPPFGGVSSRL -SDYAGLPALSTNGVSVVASYVDSIALVTAALVAHSDPGDHYQGEWFPTFFLGATPTDGDARPGQHTAGTA -AMAGAIRAQLLNDQERFWQNYCRGLGMIFCAEGASDLAVRFSVTASYSLGADCRHLRHASVAPWFWIEPT -SLLPSTLLGTEAESIGHASFGGRDSQSLLPAWEAIVPAGGRDTTFSAYHVRLPSARRAAMLTHWLGHELN -GLGALSIRQLDPTTVVHPGACAAHPDVRDRVEAALPITDYLWTRGQSPFPAPGEFLNLGATAGIFVRHAT -FTDDGDITMTHLPAAREMVNCAITIEVGRPLSIANGATNAADATARRARTRGARELVAVKQRAALFGVAD -MAEMPVMTSAPRLDRAPPPPPPGAPAAGHGTGPGLMRPPQQGVGAGPGTNEPAGNALVPVGHHGATTAPP -APRPAGPLGAMGGGGAPGGRAPPGGAPPPPPAGGPADDNNDDDLRPAPPVAVAAAPAERAAEQ - ->YP_007761588.1 putative coat protein [Ustilaginoidea virens RNA virus 1] -MSALSTFLSGGVVAKGPLKNNEVRRYYTTLISEATLNGVQDVAGKTVVWKVGYAYSGTAGIDYDGVYKIH -AEKVRADPKAYPNSKKRNELLKPLIREAYNLKDSEELPIPAALVCPKSVAIRLQTPYPVNEAISAEFANA -ARRYSGVVGTHGTADFCGIVAQLAKGLAFFASTGGLTMRDLAGGNSYSYMAVGNHTAPLVASTTSIWVPR -YAESLMAPNIMAALVAAGSAVGSTIVTDLLPTDVTNTPLLTEANHLELAAGVYHALRVLGTNMEANGAGQ -LFAYAVTVGIHSVVTVVGMTDEGAYMRDVFRSTSFAPSYGGISTVLPEWHGFPRPADSSLAGWVGLVDSI -ALGTAASVAIADPCVAIADRYYPTTLTGRYEYGGEPGMGYVGDENDARIISGKIMQLAPSFTRNYFKVLA -RLFSVTPHENSSVGLTHMIHAFSSETLRDSRHLQHPSVSPFYWVEPTGIITFDTSDFTATAAGFGTLATP -SQPGTIPMFERAEVTQNVGDVSDVLVAWRSARTSGLAIHLNNHVEAGMENIRITGCDPNSWAQLGGASQS -VSNRVTAGNDLASYMWARSDVGVPAPGEAMYLGEAISLLVRHDTLDGNTWANTPNHVPRDVEFGNNVTIR -VGLLAPYGVGPIGPNRKANRAFTAALRALDAARESGMIRAPKLCGTIKLTDQAFGRQAAVPVAIAPPSPK -AANRPVTTVSQPPQSDARPVEPQNYAESPTAHVYTQGPKTAPGPAQSVIASVRQMAAEESAETASVAAAP -QAGAAQ - ->YP_004089629.1 coat protein [Tolypocladium cylindrosporum virus 1] -MDFIIRNSFLSGVIASPRGGSLDNDNRFRRYRTSVRTTATIGGNDDSRTTSIFYEVGRAVNTKGRALNRP -SDGALCIEAAYPTNTVLAEDFIGLAKKYTNFSASFEYSSLAGVAERLARALAASSVFTDVDSNDIRGGAG -LVVNAVGTYDGPISSLTNTVYIPRLVNSSITGEVFSVLANAVSGEGSSVATDIIELDAGTRQPLIPEVDP -AGLAAACVDALRIVGSNMIASDQGPLFSLAVTRGIHRVLSVVGHTDEGGITRDLLRCSSFAPPFGGIHYA -LEPYAGLPALQFTAFPAYAAYVDSIALTTAAVVAHADPGVMYGGSWFPTFYNGTADSDGTVRPGQNQAGT -AAMANRNRAQLLGSAPAFFSEYIRGLATIFGASGDSSLACRFMGAASYALPQDPRHLRYATVSPWFWIEP -TGLLPHDFLGSKAEANGAGSFAWKDTTRTRVAWDELTQHGEADTTFSAYVARFRSPRQQWFFAHWMNHPL -NGLGAIRVRQLDPNGIVQPGQCVAHPDVRDRVEADLPFTDYLWTRGQSPPPAPGELLNLSGSVGFLVRHL -TYDDDGVPHEEHVPTRREFLDTTVTIEVGRPVGIATGASNAGDNHVRRARTKAANELAAARRRAAVFGRA -DVAEMPILTSAPALAPPPSAGLERGSDPGGSGSQRRAVPAGLPGNDTWAREPTGAPRNPVPHHQPLRAPQ -LARQAGGLGGGAAPIPPPPPGVPAPPALPPADDDNAPPAPVATAPPVADPQAMVGDQI - ->YP_001649205.1 coat protein [Magnaporthe oryzae virus 2] -MASTQGFPSIEASQLASTLADPRGALLQTAEEYRRYRAGVFIGTMEHGQRGSYGRSIFYEVGFRHAGARD -ALAPRSEHAIPIDCSLDINAVEAANFEGYARRFSNFSPQWQKMDLAGMVERLAKGVAAASLYGNMGTVNL -IAGQPTRVTALGTLDSPQTASIDSVFIPRTVDTVGNDHVFAVLAAAANGEGAAVTTDVLRLDANTNQPII -PSVVGPAFATACVEALRILGANFESSGGGDVFAYALTRGIHSCVSVVAHTDEGGFMRNLFRHAAFRTPYG -GVNQSLRAFPSLPALAGTANTAVAAWVDAIALKTAAAVAHCDPLVPGPGGLFPTVFTSADGPISPAGTPE -GEPTDADARAIGRQISADLGRFAPLYMRALGQIVGTRTVSGVAESHFCTVASLALDQGGGMVDRHLRHKT -VAPYFWIEPTSLIPRDFLDSPAEHAGYASKVTPGHVAHEPCFEKFALLERGTTANHATVAFKMRSARTSA -LICAYAGDPAVLADIKLYQFDEDSVVLAGDQGPTAGPVPVKHAAADPISSYLWRRGQSCFPAPAEFINTN -SNYGAKVSLITWDNDFNATVPDLPHEHEMAHESISFRVTRPTGLPTGPSNYEDREARRARSRAAIALAQA -TVRARAFGGAASPTMAVSNVPPSFGESDTTYRDTDYSEYRADPGTTTGRGIGGNVGGAGTSRGAPLPPTA -HHEPQRAPRLPPQGPLGGGPGGGAAPPPQGGAGVPPPAAAPPTGPNPPAGVLDERQLDPLPAPHIPGAFD -REGNNNEQAAGAQPAPAQ - ->YP_001109579.1 coat protein [Botryotinia fuckeliana totivirus 1] -MSSQLNPTFLSGVLANARGGRITTKDTYRRYKTIVRTSATIAGVLDTRLTGIFYEIGRAVDTKGKLLKSH -KEDITLVEASYPTNSVLAEDFIGMAKKYTNFSASFEYSSLAGVVERLGKGLAACSLFDSVTSTDLRGGAV -LAVNALGTYDGPVNSLTDAVYIPRLVNTTLTGDVFAVLCNAVAGEGSQVVSDVVELDANTRQPIIALVDS -VGLPGAIVDALRVLGSNMIASDQGPLFSLALARGLHRGLSLVGHTDEGSITRDLLRCGGFAPPFGGIHYG -LEPYVGLPALQTNLDKGVSAYVDAIVIATGAAVAHADPGTRFDGHWFPTFISGTASGEPLLRPGEHTTGT -TAMADRIRARLLADQSPFWEGYTRALSIIFGAEGDTSLSVRFACAASTALDVDNRHLKHASVSPWFWVEP -TSILPPNLLGSEAELEGCASLAHKDTVVSKPAWDTITRVGSSDVSFSAYRARFKFARQTWFFNHWLNHPM -NGLGAMKVRQLDPNGIIHPGPCTAHPQVRDRVEADLPFTDYLWIRGQSPFPAPGELINLGLGVGFLVRHM -TLDAEGIPNEEHLPTSREIQDCVVTIDVGRPIGLASGKTNAADSQAKRARTRANNELAAARTRARVFGTP -DVGEMPTLTTAPGYATRWSSEQGIDSTSGGVVSRGRHDHAAPGHASGGDREPTGKQNIAVPQHQPLRYPA -LPRQGAGLGGGANPIPPPPAPPAGGPDDGPQSDDDNTPSAPTAPLGLSTTPPRSPAAPGNGPDTI - ->NP_619669.2 coat protein [Helminthosporium victoriae virus 190S] -MSHTTITNFLAGVIARPQGGNITSDETFRRYRTIVRTSATIGGNEDSRTTSIFHEIGRAVNTKGKALAVA -GMEAPLVEASYPTNAVLVEDFIGLAKKYTNFSATFEYSSLAGVVERLARGLAACSVFEDVTSTDLRGNNP -LAVHALATYDGPVNSLTSAVFIPRLVNNALTGDVFAVLCNCVAGEGGTVVTDTIELDANTRQPIVPEVGP -LGVPGAIVDALRLLGSNMIASDQGPLFALALTRGIHRVLSVVGHTDEGGIVRDLLRCGGFGLPFGGIHYG -LEEYSGLPALQFNSAAATAAYVDGIALVTAAVVAHADPGERYNGEWFPTFFDGTTHADTMRRSGDSTEGT -AAMADRNRAQLLARQQLFWRPYITALGACFSTAGDISVAERFQCAASHSLGADPRHLRLPSVAPYFWIEP -TGLIPHDFLGSVAEEEGFASYCWRDTTRTRPAWDSIVLSGARDTTFSAYHIRMKGARTAWFLAHWLGHPE -NGLGATRVRQLDPNAVLHPGPCEGNEQVRDRVEADLPLTDYLWRRGQSPFPAAGELLNLTSEWGILFRHV -TFTDDGDLNPEHLPAAHEMADTTVTMTVGRPIGIAPGRSNAGDNQARRARTRASVELSAASRRARVFGRP -DVGEMPTLTSAPAPIPASPAYDGNRGGEAGGVTGRGNNRSAAPGHASWSERQADGVPVNVTPHHNALRAP -PFPRQQGALGGGGNVPLPPAPGAAPPPPPGPPNGPPAGPPPSDDGSSNPAAPVPTAIHAPPAAAQADRAE -GQ - ->YP_392466.1 putative coat protein [Coniothyrium minitans RNA virus] -MASSTRFLASAASPLTGTVAGISAGTIGQDNQYRRYRAGLTIGVHEHGSYTQARRSIFYEVGRRYGRLTD -ALGPHGAEAVPIDASVRINAAEAANFEGFARKFSNFSPQWLTMDLCGIAERLAKGVAAQSVYGGVNIVNL -RGHLPVRVVALGTLDSPQTASNNSVFIPRTVDTVGNDHVFAVLAAAANGEGAAVSTDVLRLDANTNEPVI -PAVSGPSLASACIEALRIVGANMEASGAGDLFAYAVTRGIHAVVSVVAHTDEGGYMRALLRHGRFRVPYG -GINQALRDYPALPAAGALATHVISSWVDAIALKTAAVVAHSDPCVIASGGLYPTVFTSSQGDITPPGTDE -GDSPTDADARAIGRQIAGDLGRFAPTFMAGLLRIFGLQTSSQVAEAHFCTVGGMYLSENVDRHLRHKTVA -PYFWVEPTSLIEVGFLGSTAETAGFGSLVTPGDQAMIPTFERVREMDRGRNANFSTIAFKMRTARTSGLV -CAHAAAPTPLAGLKLYQFDQDSLILAGDQGPTNGDVPTKHVAADPLSSYLWVRGQSAIPAPAEMINTQAS -YAAKYKNITWDDDFEGTVSDLPKAWELEHDTMWRVTVPTAFSVTGPSNYLDREARRARSRAAISLAQAAL -RARAYGEANSPVIDVSNVPPTWDDERAPVVVFSDEIHTSDPGTAQGRGIGNPPDVTAPVTGAPLVPIPHH -QPLRGPPFPRGAGVMGGGVAPPPPAGPGGPPAGPGPNPPPPPPPGGDGGDDAAAAAAVAGGDVHGAAGAL -PAPQV - ->YP_122351.1 putative coat protein [Magnaporthe oryzae virus 1] -MAQIGAPNFLSSVLGDQRGGVLNSDSVFRRYRAAITTSTIVRGVQDTRVGFLVFAVGRVFSSFSAALVRP -KSSVPAIDATYPCPATRSEEFVGLAKKYSNFSSTFTHANFAGVVERLSRALAVLSTLPDSDTAALTSLDI -AGGVMPTVYSIATFDSPVNALARVVFIPRIVDSLLSPNSLAVLIAAVAGEGSSVATDILRLDVSTRKAIV -PIVSGPGLATAIVDALRVLASNMVEAGQGQLFSFAVTRGLHQALNVSSGTDGQYVMQSILRSGRFSPPLG -GIHTSLPVFSGLPALVSESRRDVATFVDALALVSAAGAAPADPCIVERGNTFPTVLVSPGQISDLDSSSS -MPGAFPSTPQLLGQLKEALPAFFSNYLRILSTVFAAGESDSVALDCACAFASLLEDLPTPLSAPTVLPFF -WVEPTSLLPASVFSTTAESAGFGALATPGSPVTRPAWGAIEHLVSPSSAVSTATVSWTSARQNPFLWHFK -GMRSDPLAAVIVDQFDPTDLAFAGPGGAETTLQKWGRQAPLTDYLWRDSASCLPAPGELLNLSGAIGLRF -RHFVIDDNDDCAFTRIPMFHEIGTGSVTIAVSRPCGLAPDGPPARLERATVVSPALGYLRQRGANLRAFG -RPDDLLAPRRLGPASLTVAPKAPPAPVSAPVSSFAFSGPKTSSRDPLSGELPTPLVPVQHYKAETGPKTG -GLGAAGGGGGTVKPPTAVPPGLTEVPTAPDAGPTPEPHGAAPSLHE - ->YP_044806.1 putative coat protein [Gremmeniella abietina RNA virus L2] -MANAYETNFQTANASPLTGTLADPVGGTIQADQYRRYRAGVFMSVPDMGHVSNVGRSIFYEVGRHHGRAR -DLLAAPHAEAIPIDCSVDINAAEAANFEGMARRFSNFSPQWVKMDLAGMVERLAKGVTAAGVFGGIDTGT -LRGGQAIRVTALGTLDSPQTASINSVFIPRTVDTVGNDHVFAVLVSAANGEGAAVTTDVVRLDANTNQPM -IPAVAGASFATACVEALRILGANMEASGAGDIFAYAVTRGVHAGVSVVAHTDEGGWFRNVLRFDRFRVPY -GGINQGLRQYPALPALASTSPSSIAAWVDAIALKTAAAVAHCDPLVPGEGGWYPSVFTAGQNGTSAPGSS -EGAGVGDLEARSIGRQIASDIGRFAPTYCRALGTLFGLHTSSGIAESHLSTVAMRALTQDVSTDRHLRHE -TVAPYFWIEPTSLIAVNAFGTPAEAEGYGSKVTPGDTRSEPCFERFRLLQKGTTANHMTVAFKMRTARTS -ALVSAYAAAPAPLADLKLYQFDESSIVLPGDQLPTQGDVASKHAAADPLSSYLWKRGQSCFPAPAEFINT -NSNYGAKVRLVTWDDDFNASLSDLPNDEEMATGVVTFRVTVPTGLASAGSNAENREAKRARTRGAIALAQ -AVLRARATGLAVSPSMEVSDVPPTFDTPPLLPAPIYGDTQSASGPRDPGPVPVLAVGGGLDVGRIARGAP -LPPTAHHMPQRAPRIAGAVVPPGGPTAGPPAAAGGPVAPNVLPPPPAGPADSQDPLPAPPAHLPTDATAA -EAVPAQ - ->YP_024727.1 coat protein [Chalara elegans RNA Virus 1] -MEATSLNHFLSGVIAAPKGGRLAEDSAFRRYRAHVRTTATIGGNTDARNAFIRYEVGRSSGKRGQLLAAP -PDDSRRIEASYPTNAVLAEDFLGLAKKYSNFSAQFQFSSLAAVAERLAKGLAVHATVADVDSVALRGGAP -LIVAGLGTYDGPINSLISSVFIPRLVNNVLTGDVFSVLANAIAGEGASIATDIAEIDPSTRQPVIPEVDG -DGFAKAATEALRIVGANMAQSDQGLLFSLAVTRGIHSVVSWLPTAMKAALLGICCVWTFQCPFVGFITGS -KLHGPPSLLSTVSRSVLLCRCHCTDPAAAVAPSDPGENYNGEWFPTFYSGTKGGDPTVRPGGDCPGNSET -SGRIRSQLLADCEKFFRNYIPALGRIFGLTGSPNLAVTVAVGMSRFLHADPRHLRYASVAPWYWIEPTSL -LPSDFLGSVAEMNGSGSFGGKDSTKTKLAWEDIELAGDRDTTFSAYRAKFLSPRRAWFMAHWNGHPDNGL -GCIRLRQADPNGFIHPGRGTSGADLRDRLEDDAPISDYLWDRGQSPFCAPGELLNLGSTIGFLVRHVTFD -DDGIPTTEHVPTSREFLDTTVTIEVGRPLSISIGKSNCPDSKARRARTRATIELGAASRRARAFGSAAVA -EMPTLSTAPRALTFAPSRLMVKDTGGGAGSSRHGADSGGSGADDAARKADGVPVRATAHNQPVRFPTLAN -PTGPTRSSAAPAAAARDQSAGGPSVDIVRSGGDAASEVGSDVAPAPAPGVESGVVSSSVAAPTNEGRNDV - ->NP_898832.1 coat protein [Helicobasidium mompa totivirus 1-17] -MSSTSETNQVNYLAGVIANPRGGQMGQQYRSTVSTITTMAKVNSNADSRSSVVKYEIGRRHDARRNAFAP -YADEAIRVDVSYDTPAIMSETFAGLAKKYSNFSASFERSSLAGIVERLAKVSRCKLLPRCYIGGFDRRAR -DPVTGLATHFTPVSSLDASVFIPRRIDTMTCPGVFAVLCAAVAGEGSQIVTDLIEINVGNNHPIVTTVDV -AGLPAACVGALRVLGANMIAAGQGDLFGYAVARGIHQVVSVVGHTDEGGVMRDLLRVDGFGAPFGGIHFG -LPVYTGLPHIDVGNDLQLSGWVDGIALSTAALVAHCDHGVVYNGTWFPTTLSGSAAAPVEPGLDAPAGDE -GYVARNRNQLADELSPFSLNYANGLAKLFGAGTAGGLTVLHLSGRAGSLADDCRHLNFATANPFFWIEST -SILPANFLGTQAETEGRASYGGRCSNSSLPLWNQATCVSAVDSAVCEYTVEMASPRRVPFMLHWNGNPRN -GLGNILPRQMDPMAIIQPGGAEARSIRERMIANNDLASYLWTRGQSPIAAPGEFMNTSINVGILVKHCET -TWDGAVLSHLPSPSEFTTGALWLQVGRPTGVAVGPLGKTNANERRARTAATNALLRARHLSRGHNLVAVN -MLPLSFSAPSFGAPRVIETHDNINRAPPGGGSADTGVGVDRVVNAADAQGPLRPTGRTTSNGCPSRQGDQ -TWRVAVHGLSLSPSTLLRRCLEGGCATRSVAAACRRDSCTGPRNGGGAASGRGCRTVGPRMGPTTFRYSR -HEAEAMQQDAALQAAGAQ - ->NP_624332.2 putative RNA dependent RNA polymerase [Gremmeniella abietina RNA virus L1] -MANAYETNFQTANASPLTGTLADPVGGTIQADHYRRYRAGVFMSVPDMGHVSNVGRSIFYEVGRHHGRAR -DLLAAPHAEAIPIDCSVDINAAEAANFEGMARRFSNFSPQWVKMDLAGMVERLAKGVTAAGVFGGIDTGT -LRGGQAIRVTALGTLDSPQTASINSVFIPRTVDTVGNDHVFAVLVSAANGEGAAVTTDVVRLDANTNQPM -IPAVAGASFATACVEALRILGANMEASGAGDVFAYAVTRGVHAGVSVVAHTDEGGWFRNVLRHDRFRVPY -GGINQGLRQYPALPALASTSPSSIAAWADAIALKTAAAVAHCDPLVPGEGGWYPSVFTAGQNGTSAPGSS -ESAEVGDQEARSIGRQIASDIGRFAPAYCRALGTLFGLHTSSGVAESHLSTVAMRALTQDVNTDRHLRHE -TVAPYFWIEPTSLIAVNAFGTPAEAEGYGSKVTPGDTRSEPCFERFRLLQKGSTANHMTVAFKMRTARTS -ALVSAYAAAPAPLADLKLYQFDESSVVLPGDQLPTQGDVAHKHAAADPLSSYLWKRGQSCFPAPAEFINT -NSNYGAKVRLVTWDDDFNASLSDLPNDEEMATGVVTFRVTVPTGLASAGSNAENREAKRARTRGAIALAQ -AVLRARATGLAVSPSMEISDVPPTFDTPPLLPAPTYSDTQSASGPRDPGPVPVLAVGGGLDVGRVARGAP -LPPTAHHMPQRAPRIAGAVVPPGGPVAGPPAATGGPAAPNVPPPPPAGPLDSQDPLPAPPAHLPAEATAA -EAVPAQMIESPVSVRANEAGIVGQYLKGLLNMEWASGVMVLSFSQQISEVYKPTFNGLRPTDLQRAAAAY -LVPDFPVQVRIERGSVLSLLSQVIDPPARVTDRCSFRWLCDPKASYAAFPPKQHPGAMNKVNVYLNEVAS -SLLSLDPAAYSSASQALWPHKGKIANDQASAIILYGYGLRAQAVPDAMHVAATLATTPDLAKALTNFLKA -TGANGSRLGALLCESNVLLGRAAGPADLSEEARYRTSSDVESHLAIFSDADLGAAIDAILDEEIKRVEGS -QHIEFDSYQEHWNDRWAWAVNGAHSGHVSRLYPRVPKPPGMLREHRRAWLESVTEDPRTDWDGKTFVSAS -PKLEAGKTRAIFACDTVNYLAFEHLLAPVEKRWRNSKVILDPGRGGHLGMIFRTTAARARAGVSMMLDYD -DFNSHHSTRAMQMLFQRLGDRVGYPADKLAKLVASFEKMYIYNGMEQVGRVKGTLMSGHRGTTFINSVLN -KAYLLIVLGEDLFERSVALHVGDDVYFGVRTYAEAGEVVTRIKNSPLRMNRMKQSVGHVSTEFLRNATSG -RSTYGYFARAVASTVSGNWVNEMALSPSEALSSIIGAARTLVNRSGAVNLPLLLHPSLVRMTGLPREDHK -KLRELLLGTTALDNGPQYSLGGYYTSVSSLITTTASDRHGYTPLPREATTAYLSCAADPLEVNVLTQAGV -SVVSAMEEASFRKSLPARYTGYETLRLGPKSLIRSIGTASVVDLISASPPRGVLERYPLLTLAKRRLPEY -LVRWAVSIAGGNPSAPDIGMEAWGEFKHGCMIATPMSYSDAATFGKRTVSTVLTCPLDAHV - ->NP_108650.1 coat protein [Eimeria brunetti RNA virus 1] -MSIGTSNALRTRTNCGRKMDSGYKISCLLGEVVPGGARVEVPTFGNYRKYMSRLEMRACTRGLPQSTYCP -IQFEVGAAFNLGTCAKNFKRETWLQHVMREGPMLGCSYATPVEVRKVLKEERFRQVGQAEWLTFNFDAIV -CVLGAVAATLTLVPDLSTADWRNTSPSVRGLVSVEDLHAANSGGVVYYDPCALGIHAECAKWLMIYAANV -CGATVVVPHLTLDVNNRPICKDYDGAELRRGLSVLLNILGTYYSMANAGDMYALALMTGVHRVLTVVGHS -DEGGWLRDVLRQGWWQQPHGGVPRSLPFSSGISVDDGRTLGTFVALVDSIALGTAAAVAHCDPLVPVGDN -VFPTVFTVDLPEDGELANGVMQRLMARTIADGCAKFSELYATALAKLFRLHVNVTELHAAGVLQQMASYF -AESGSRHTDMAVCHPFFWIEPTTVLPAGEFGFPAEIYGYASLCHATQRRTMPFFEAIEEEGLSDTVVSHW -RVRIRSPRTCGYVLYTTNHPKNGLANLLLRGFNSSALVLGTKSPEEIRCKKAAKGFVTFADLMWKRGQAK -LPHPAEVLNVDGTFTVSILHAAITTRHYQKPIEHIPPPEDLSRMYLTLHATRLAYYKAGPANVEPGGVRR -LRNMGVDAINDMNGVVQNTMLRMSSFPPPSETAPVLNKPGRAFGGAAAAVSERCVEPVRGDAAEESPPRV -AQGQAVEATMVHASAPAPQPHRGPGPSDGGGGLGGHRDLVAGVGDATAVAAGAVTVPESGSRQTASATQQ - ->NP_047559.1 coat protein [Sphaeropsis sapinea RNA virus 2] -MAEAPASHHRYPAPLVGTLADPQGGGLAADNQFRRYRAALRIRAPDRGNYLTSTRSIFYEVGRRYGSGGD -ALAPPPEAAMRIDCSVPINPAEAANFEGLARRFSNFSPQWEMMDLAAIAERLAKGVAAASVYGQGLDTQA -LRAGQPIRVVALGTLDSPQTASTASVFIPRTVDAVSNDHVFAVLCAAANGAGAAVTTDVLRLDANTNQPI -VPAVTGAAFTTACVEALRMVGANMEASGAGDVFAYAVTRAIHSIVSVVAHTDEGGIMRGLLRHDAFRVPY -GGINQALRQYPGLPPLASVAQSAVSAWVDAIALKTAAVVAHCDPLVPGAGGLFPTVFVSRGDVPAAPGTA -EGDITDAQALSVARQMAADLGRFAPMYMRALTMIFGLRSNSAIAEQHFCTAGARYLDQDGHRIDRHLRHG -TVAPYFWVEPTSLIPHDFLGTAAEAADYAAKCSPMGGVRSQPLFEEHRILGHGATANHMSLAFKMRSART -SAFVAAYAASPSVLGNFRLYQFDSASVVLPGDQGPTNGTVADKHAAADPLSSYLWVRGQSAIPAPAEFMN -TQSAYGAKVRLIKWDDDWDATRTDAPDEMDFQGSIDWAVTVPTGLAIGASNAPDRAAKRARTRAATALAQ -VLQQMRGAGPASSPVMEISDVPPDFGGAREEYRNTNNNVFAGNPGAGVIGGEAGAVAPQVPAHRGPPLGP -TTHHQALRAPQLPRPGQAGAGGGGAAPPPLPPLVATPFPFFRRPPEETMTALAARPLPFRLPLTRSPSPT -TPLPLKAPTVKYRRRPRNE - ->NP_047557.1 coat protein [Sphaeropsis sapinea RNA virus 1] -MPPISTAQWYHSLLLKSLFVNRFRQSSLFGGRVPLIPNTTIDGYITTHQHHTTPNFPMEHALRNAFLAAV -IASPRGGTLLTAPTKKGKEKKAPLATYRRYRANIRTESTIAGNVDARVAGIQYEIGARYERVGEAFAPVP -EEQVLFEAAYPSSAALAEDFVGFARKYSNFSATFAHSSLAGLVERVARTLGALTVFPSGTFDQDAIRGGR -PLMIAALGTLDGPVNSLAGSVFIPRLVDSVISPDVFTILINAAAGEGSRVITDVLELDATTRRPIVPTLR -DSSMLLPCVEALRILGANMAACDQGPLFAFALVRGLNAVLSLVGHTDEAGVTRDIFRVSGFDIPFGGIHF -GLEPYAGLPAVASNAGADACCYVDALLMTSGALVAHCDPGQEYGGRWFPTVLQGTGPDTAEVRPGQSQEG -TADMANRNRGLLASSMPRFAEHYARGLGRLFAIEGDARIVVNILSASARLLPDNCRHLRYPSVSPYFWVE -PTSLLPPDFLGTAAELNGCGSLAMRGTSRTRQAWEDIERVGDEDVTFTGYNVAMPFARSSWFLIHWLNHP -ANGLGALRVRQLDPNGVIHPGPCADNPDVRDRVEASLPITDYLWVRGQSPFPAPGEFLNLTGTLGLLARH -LTMDDDGIPTEEHLPTGREFRDTAVTVVAGRPVGLPNGAHNAYDSQARRARTRATRELAASAARARLYGR -ATVAEMPILTSAPVLRAAPPRPEAVNPMEGGGPGHDLARHSGMGRHTYPPDREQRGDPAVPVPQHQALRA -PQMPRPGPNPQGGGVIPPPPPLPPSGGGDGSGPSSGGSCAQEDTNPAPPVAPGPAQPDGPANE - diff --git a/seq/clusters_seq/cluster_1190 b/seq/clusters_seq/cluster_1190 deleted file mode 100644 index 2f0be0f..0000000 --- a/seq/clusters_seq/cluster_1190 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009553583.1 ORF3 [Andrena haemorrhoa nege-like virus] -MSQRKQAISSAVRRTTVQPVVVKPKGRLTSVRNKFRNSVKSFDLTSFYNYTLNVVSDPTFLLLYCLSIYI -CYDYSNSHDKSHIFKFTKNLVTTFPSLSASACAVYNWIVAFIPFLPVILTVPPKLRIPTFIGTILYYSFI -PERTVYEYLIHSVCIYLFIRTRNRYFRILSLSLLFLSYVMQFAIPLPANSVLVCNSTTFK - ->YP_009094128.1 hypothetical protein 3 [Goutanap virus] -MSSTVLPRTNGTGALPLPTDRKIVAARAPPGYYKTPPQPAPRAVVPPPIPPRKPKVQNFDLNSFFEFVVR -CVSDSTFLILFSISMFLCFDFSLSGASSKLVSLATSISTKFPTLLPAVCPVVEFLLVAVPFAPSILVSPK -NRRPLVIFMALLYFFFVPERTVFEYAFHGLCTYCFVKTTNKQYKFVILGIALLIYITQFTLPLSSSVDYK -CKNSTSSDV - ->YP_009001774.1 hypothetical protein [Wallerfield virus] -MALQRTGTVVKPRAVAARTVSAPRTATVKAKLTRLRESANLDFSKFFDYATNILTDTTFMVFFVLSAYLC -FNYTTKGTSSMLVAFVDNFIKHFSSFSDSKCSILGMLLVGIPFAPAILTVNPKNRFGAILCTVLYYVFIP -ERTVYEYLVHGALVYLILRTSNKQFKLIGVGLLFLSYVMQFAIPLPSGDGYSCNVTKSE - diff --git a/seq/clusters_seq/cluster_1191 b/seq/clusters_seq/cluster_1191 deleted file mode 100644 index f667754..0000000 --- a/seq/clusters_seq/cluster_1191 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009553044.1 ORF2 protein [Cacao swollen shoot Ghana R virus] -MGWLMSGNSYQEAFRNAAAYKPTGFLKSEEAGSGREQLLSCISQHNLIIQLLVDLHDKVDNLSAELKVLR -KEKTKAQNPEEIEGLISQLKGLSIGPKEKVPEKKGNLYAFKDPYVILEEVKSKK - ->YP_009666829.1 ORF2 protein [Cacao swollen shoot Ghana Q virus] -MGRLMSEDSAYQQAIQNAAIYKPTGFLKSEQAGSGREQLLSCISQHNLIIQLLLDLHSKVDSLEAEIKVL -KREKGKAVQSDEIDSLVTQLKGLNLGPKSKVPESKGKFYAFKDPYVILEEAKKKK - ->YP_003208049.1 hypothetical protein [Pelargonium vein banding virus] -MSRPDSATTAEYIAAAQATEALGSPAEGFLSVKNIPPGSSSQTALLIKQNNFLLELVLDLHRKINGVEAR -LSRAKEPAEGSQGQGLEEIIQKLDKLKIGDGEQKTHSIKGPGKVYFYRDPKALAEEERRKAKLRYA - diff --git a/seq/clusters_seq/cluster_1192 b/seq/clusters_seq/cluster_1192 deleted file mode 100644 index e677b71..0000000 --- a/seq/clusters_seq/cluster_1192 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009124993.1 hypothetical protein [Citrus yellow vein clearing virus] -MEPHDQGGPASGQLDEIRGRREREIRGIRLLPWYPLARFPVCAPRETPYFRGEDRKSGHVRCENCQRSRK -WHGPHDGPRCLHQRKDYPALRPPPDPFQHLTTFEPILLACLQALKPLPPEIQIAIISCVCDFFNFLRCAS -SKYQGTSRSAVKRRAARLNYCYKCGHPLYLNKPHPCRPGQLCSASISERLCLLHTGPIRFLTENPVSARA -AHFLAHELLDPR - ->YP_002647026.1 nucleic acid binding protein [Red clover vein mosaic virus] -MDDVCISIVVCLRGLIPTDLCVNIAKRVAASKKIARRLPLDALGRGTSKSAVKRRCAKLGLCSSCGRVLH -YGLCNKNQTYSQWELLASLRGEPIRLKAEKSLRLNSAAEGCNGELGEYISRLRPQ - ->NP_203558.1 putative 23 kDa nucleic acid binding protein [Indian citrus ringspot virus] -MEPHDQSGSTTRQLDEIRDRRGSQIRSVRLLPWRPFTRFPVCPSGTSPYSRGTHSQPSYVRCQNCERARQ -WFRAHDGPRCLHQRPDYSRLQAPPDPFQHLNSFEPILLAALSVLRPLPRDIQITIISCACDYFHSVRCAS -SRYLGSSRSAVKRRAARLNYCYKCGHPLYLNKPHTCRPGRLCSASISERLALLREGPIRSLTENPINARA -AHFLAHELLDPR - diff --git a/seq/clusters_seq/cluster_1193 b/seq/clusters_seq/cluster_1193 deleted file mode 100644 index 34f1691..0000000 --- a/seq/clusters_seq/cluster_1193 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009553505.1 coat protein [Actinidia seed borne latent virus] -MSAKLAKKRFLFDEVSEWIWRNYIDQEDRMLAWKVDSNGNVRHLNTNTMEQKSRIMTDRLKAIFGNIADY -SAGPATNFPSIIVDFNVMNVNGIENLTSIDGSFNLRTTVETMKTYIATHQDQRISSSTLREVCRSFANFA -LNYYLDDEDRQSNLADKMPQLALMAREVMFDFSDGISPSMLRSHPNRTKVIQELNSRLLQSTGTKAVFEA -RGTVESAVNLIV - ->YP_009505634.1 coat protein [Caucasus prunus virus] -MSAKLERKRYIFREVSEWAWRNFIDPEDRMQAWETGAGGEARNLTPHLQEQKARVLADRLRTLFGNIADH -SAGPSTVFPNMDVSFMRLTVQGVDGVPEMVGSFNLRTFVENLKTHAATHQDRRMMGVTLREVCRSFANCA -LEYYMADEDRYSNLAEKMPQLAALSREVMFDFSDGISPQYLRGFPSRARVIQDLGSRLLQSTGTKAVFEA -RGTIEGHTNLIV - ->YP_008997792.1 capsid protein [Apricot vein clearing associated virus] -MSLKNQKRDALFRDICTMTWDQFIDPTDQWALKTTVEGAARNLTADHLRRKAAILNHHLKLIAGNVAEIG -ANENYQWPNEDIPVPAYSPPQEQVNCAVPINLRDWVNALMLLIRNHQNRNWRQTTMREAMKSLADQALDY -FLEDPTRVGHLAEKMPDFADTAREVMFDFATGLSQRHIIGPIHKNRRMAIQNAQSRLFKTEGTKMFFEAR -GTVDRVASYEL - diff --git a/seq/clusters_seq/cluster_1194 b/seq/clusters_seq/cluster_1194 deleted file mode 100644 index 0cc8f09..0000000 --- a/seq/clusters_seq/cluster_1194 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009553486.1 hypothetical protein [Shinobi tetravirus] -MARNNNIKSASRPQAQPTRRKRGGVKDVTSRQWKQVQAGLSRALEIIRSPLCFVPLILSIFLFYDDKLLT -QLANTFSANAAFKWLGTFISEHKKQIAGLIFLVPATFLSCDPKWATLVSAVVSYLVSEVFPAPVKYFEYG -FLGGSLILLCTARKPIQFVVATVVALLTIGLGLWGSEVFASLPSTSRQG - ->YP_009551893.1 hypothetical protein [Sarawak virus] -MARNNNTRSMNRAQAQPARRKRKGVKDVTSRQWKQVQAGLSRALEIIRSPLCFVPLILSIFLFYDDKLLT -QLANTFSANAAFKWLGTFISEHKKQIAGLIFLVPATFLSCDPKWATIVSAVVSYLVSEVFPAPAKYFEYG -FLGGSLILLCTARKPIQFVVATVVALLTIGLGLWGSEVFASLPSTSRQG - ->YP_009337624.1 hypothetical protein [Hubei permutotetra-like virus 3] -MPAQLRKRNVKTARMVVAPAKRKRSPRDQGTKLLNQARDGLRMTAKAIVHPLSIVMFIFSLYLFYNNAPL -TNFATKLESSALLKPLGEFIKTHVLQVAGLVWIIPVTFIAARPAWAFLASLVSSIFVTEYLKTPTKYWEY -AFLGISATMLLRARTGVQFFIAVLLVVLSLGLGLWGDELFAPPAGAPEEPPAVTPPSGS - diff --git a/seq/clusters_seq/cluster_1195 b/seq/clusters_seq/cluster_1195 deleted file mode 100644 index 11228cd..0000000 --- a/seq/clusters_seq/cluster_1195 +++ /dev/null @@ -1,93 +0,0 @@ ->YP_009337696.1 hypothetical protein 1 [Hubei picorna-like virus 78] -MLEKTKKVFQPHSMMNSINLASNARHAQCQNSLPLINLDQNVSSLADHFSTISVDTQNIGPIDNEYGYEI -YIEDIFDALNRADISKYYLTIPPVFHTAHDTFHELFEVENDGPRLPHSQFEDQIFTPQHISDSFIEKPLV -FRGPYPVPTHFLPSQHYCFGVPIAPPENYPIWDSLQSLTTHELCDWPSSLKAIRQPKVPGKYQPNSVPVF -GDYHYLHIQTDFLDVLIQVTDYELFCLGNHNFNKFGGCLNFVGRENRYWIHLDHLYSTNNLELLFGRGNT -REIWSYFFYGFFEIEMMMCDSWYSEEEYERLFRVIMDNLFDQDYLKKFCKHFFTFRKWWIPTPDELQHVD -IDDVRSWYRHKLRNKRRRFYRSRRNKKPRITLQGMFDFVKTVTNNETREEILTSVNELGKLAQTMNAAKD -TFNSFTENDWYKGLMSYIMSFAGYLNDLANDFAKFSQNPVNYIEFLKSHAHIGFQVPLITIYDATKTSLI -LAASIALFEKSNILSIGLFLYAIHSFLNTCMTPGIARPCALIITLCYIGVMKAIQLRPAFIELQGSKNLT -EMIYATVTFVTLACGAVGVTKTPKSVVKHLFDSTKDLFAISKGTLALSRCVEFIVDAFKRCMEFCFGDTF -MYQALVKLSVSSDDLKDYIVYAMTIKPDALSARLTLDPEAKKEWSRMCELHSNFLLLFASGKPPTENHIG -YSMYSKACNAFIELQEEYNKIKDSLDYFRVEPFMIWIWGKPGVGKTWCRDAIINNTYAWHKAIDPTIEAK -STGLLYTRNPADKYMSEYKGQFAVAYDDVGQNRQSDNPEFNEIMGMGSTNQVQLNMADLKDKGRMFSSKV -IIMAANSQGVTANDLILSPSAFNRRRHIVIHVDRPDAESDGNLATSKSDFTKVALELTDPITGAHIVRFP -ATGFGENDATFNQMFEWLAPKYWHHVKSQTEALHCKEDNLRKVLDNLPEANVIRINPETGEVVKNESTTP -LTEKPQFKQEFYDTVDTETFVTRERQIIYEKIRLQRCVNQKFDQLCSVMIASKQPSEHIKKQFDVFCKYE -FGRVLTSEEFVRMFETKEFEMSPEVLLSLKDYWEYIKGESEKKFPWMKVLGALGGFVSVFAIYKLLSSLF -GTTKEDIFDLQGYTLETMAPRTNPVVIQQNEGLKNFSEKFFFPGYSGSEEDKQRILSLLEMVPEKKAEMF -DKIILEKYDNVTMAPRTNAVTIQEEEKLDNIEQVHMNLRESMARFSRLREVEGGYATRSMNGFNIAFKIW -LLPRHFFGKNIEDTPVEILRDNKPSIQVILKKEKIIPYQVMTNGKMKTKDLVLVEIDQLDSGRKHIQHFA -KSVHLQNTKVFHSKMLKWNRTLKRVEEAHTGRALRWDIPQDITHDGVALYYSDGYSYDYQSEEGDCGSLL -VSMDKTCQARIYGIHFGYDHTQHRGLSMNVPREDLEYLLDYFPKVEKIIAGKPRVVTQACEVPVSLQDAD -GTSLFEFCGKVYDAPLTPKEHKDLFRSPIFNQIYPAEKDLSVLSMYDDRMDPEFRGEPDILTRGVIDFEH -KSIPWPEYELDIASVALYGEFTKFNDIIDRKVQNIDFALNGKWVDGTRLEYTEPLNLKTSAGYGLPGIKR -QHFVETDLLDENGKLLKHDISILNPVLQKMVDDQWNDWMNGVTHPCIWSHALKSEPIKLSKIRTGNTRTF -CVAQTAFLINVRRLFGSFTSAMKASKIKSFSCLGTDACSPDWNSLYNNLRETGPKGVDLDFFKFDRTAVT -WQLARRVCLAINKWYNDDMKYQRARLIAFEDMIFSYALVGKYLTRKRRGNPSGNPLTTELNNCVNYLMLC -MVYLLIAKHRKPTEYGIPQWKRNINMKAYGDDIIFTVHPECMVWFDLALLTEIYNDYGVPVTPADKSDAG -IVYKDLKELTFLKRTFISFDHPYVKWIGALDKTSIRNMIQFYRLKPHLSTMQEAMSVNCHESLREAYYWG -QEFFEEHLDNLNKWFVKNNYPQIFITYKELDETFRAKIKSF - ->YP_009337415.1 hypothetical protein 1 [Hubei picorna-like virus 79] -MFKHRMCITVKNPKTLENYDTMTTYPRENTLYFLNTNTNENSRPDPNTSGGLAEATITRPTSFLMNSNHR -TYKRFLNEICTNPDYLHQEHISTTSMISYYRAMKGYNINTIPVVRPFQFITHNTFPPLPMLYNNYRVYSY -DSDFAFPNITHSGFVHHPPREQWCQITQFKDKKCYYIRNEIDSVGYTGLNRLTQEQPDLVGKCILEINIL -PSLFTHPVECGGEIHEPLYRITDSSHNFLKMTARDYCVMIELTDYDCYMLATRSIYHHGIDFLIGRQGLV -YKSQINPPSEEMFKNMDGYRFWCWMYESDLYRTIPRYLDNVFSGRCLRSKRRHMKPPIDCYDLSTLFKDS -QDFYNSDPLRGGQDISISAELLRRQRFLTSNSIQIQMGVWETLKDRNIISAILNLGQAAKTANAAQASLN -DFLSNPWCQTIMDFVKSVAMYINDLANDFVQFAQNPVDFVLFLKNRAYNSFSIPKPSAYDATKIALLSLA -SYYMFDKNKILSLGLFMYAAHSTLVHFFPHQPQLIRSCTTVLTLTYVGIMKALQLRPASIEIQSVKNTLS -AMIFSSVALIGCCSAGFSAKPEAIITHLTKNTKDIFSLTRGSLSLVKCIEYFISAIQTAFEFLFGNTFIY -KALVKLSVTSSDLQEYIQYALTITPENLCSTLSLDMEARAKWELMCKHHDHFVLLFSNGKPPTESHIGYS -MYTKAFNAFNKLRDEYVKIKDSLDYYRTEPFIIHMHGEPGTGKTAGRDQIINNLVTWHRKADPTLPDIKI -TGLTYVRNPADPYLSGYTGQFAVAYDDVGQNRQPTNPEFNEIMAAASTNQLRLNMADLMDKGRLFSSKVI -MLAANTKDVDSNNLVLKDEAFNRRRHVVVELIRPKIDKVITTSKADFSKLALQITDSTSSTKIIRFPERD -FGETDAVWKDFFKWLAPFYMKHLKDQKDFLKAKESQLDAVVNGKPHPDILHVNEDGEPEEFVDAYDTVDA -SPIVSPPQPSTFSKIRQAIQKKFTTLRSSDTEFKDICNNIKQCQAMYQGDNFYNDTFVWYSFDRFCQKHT -FLLTSSEKEILFPKEVVLSTEEIEKLFNEAHANIRRPLWVDFMAGIGIGATIIGSYSLIKSLFFSKTDPF -EIQAYDRGPSTAPVHNVSIQRNSQSQDKLFDLVKEQHKKLIAYHKYVTTEPKKSDDEIKAYMVSIGLITQ -KEADSPVIVPSEPFSIQKAQTATKEEALEYFRNQHNKYHAYIVFILEKERTDEEKKDYLIKNGLLREQEV -QAYENPIMHAKSTTISIQDNVQEVTNLFRKSIFKIHRTVVDDDFFGGRSVNMICVAYNTFLAPHHFFRKC -PQEFELTIEQEGKAPHVCVVLKENIKQCDNTDLCVVHIPDLPHGRNLIKHFATRDQLKMTKHFNASVITW -DKRTNGPGLVYVGEAERWDVPLSVELDGNTLYYPQGYKYNWSSQNGDCGSLLMALDSTCSSKILGVHFGF -DYTFNKALSVIISREYLQQWVDIVTPPVHKITAQEPIIRIESSEMPSALTINSLPCFEYLGKVNDAPSQP -LSHKELHRSPLYDSVYPAEKDLSVLKMNDSRMHPDFRGEPDILTRGVKDFCSTSKPWPSIELNLAGEALY -HEFNKFRCPIDIKVQTIDWALNGTWCDGVRIPCTEALNLNTSSGYGLSGKKLKHIASREILNGKTLVKHE -NYITNPQLEKMIDDQWNSWMDGKTHPTIWTHALKSEPIKMSKIVNGNTRTFCVAQTCLLINVRRLFGSFT -AAMKNSCIKSFSCLGMDVHSADWSSMYDSLRKIGPKGLDLDFVKYDRTAVTWQLARKVVRAINQWYDDDD -IYQRARLIAMEDMIHSYTLINTHLVRKLRGNPSGNPITTELNNCVNYLMLCTVYLLVAKKHQPAHYSLRS -WKENIAMKTYGDDIIFTIHPSIENWFLPNRIEEVYKYYGVPVTPADKSEAGLVYKPLDQLTFLKCNFLPF -DDPRFPWQAGLSKTSIRSMIQFYRLKPNNGTMKDAVMVNIYESLQKAYHWGKPYFEEHKNNIQNWLKKYS -WEEVIITFEELDFQYRSKLDPPDG - ->YP_009337381.1 hypothetical protein [Hubei picorna-like virus 80] -MDPTPHKMSFAKTPLGSALTQKQKNISDFGKFYPMLHSRLTPHFGPRFLNNICYNHDYMNVRVHHKHMRD -YYSFLKGSMMTVLGMPRDVNLEVGDIMDFVSVLYDLEKCKDYDFQFNKFENHQIGYVEHLPYKNWCFKIS -DDMYVIRNELDCVGYTGTNRLKVTQGHKKSRFIDECIRAQNILPSLFVRKYWKRHLVDPDRWYRHKGESN -FIWIGGYKFDIYIHISDYDAWSLSRKTHMFYGLDYCVGRTGHVFKPRFTHEFKINQMDGYRFWYELYNID -ELWMKSRCIDIDHYFAGSAFRQQRRRLRRERELEGVDLDCSELFSCLELQGGTFSSLSHLVESVNEIGIL -ARTANGAKSRIDDFAQRPWVQELIRYITSISQNIAHIVQDFYEFQKDPLGFITNIFKGAYTDFNFFHSAG -EERSLTDIMSHALLMSLARYVFKNNQIVSVGLTMYSLYNLFSNFYENKRYARAAALVSTFVYIGVMKATQ -LKPASIELQGGNNNLTSMIYAMSAFVTLGFAGKGISTSHSDIIKHLFTKTKDLFSIARGTLAVSKCIEYV -VETLQIACEYVFGSSFAYKTLIKMTVSSSDLREYIEYCLITQPEDLAVKLTLDFDSRRQWERICILHKDL -IKVFASGKPPTETHIGYSMYVRASSSFVKLRQEYDKIKNSLDHFRPEPFMVWIWGEPGTGKTWCRDKFVN -NMYRWHSSIDPTLPDVRKTGLLYVRNPADKFMSKYNGEFALGYDDVGQNRQTDNPEFNEIMGFGSTNQVR -LNMADLEDKGRLFSSKVVIMASNSKNVVANNLILKEDAFNRRRHIVVEMKRPLTKTDGLATSKCDFTQVA -LILTDPITGELVKRFPENGFGEDNATFLELFAWLAPKYVKHVEDQIKGLEQKEKALQCVLNGEEDLSIFD -IPQKIADELPKLDPLLDTTLSKFRRIARLYEYDETFQCVVEGIKNCVSAEYTEPTALLKTXFDSSCEEYG -IEKLTDKEWKFLYTQAEKLTPEDIQSIDEIWKQQTESMSSKFPWIGFLKTIGLVASGFAVYKLASNFFSE -KSAPFDLQGFTDNKPFSDPQSLVEIQKYNLEPKCPPKQRVEIQKYNMEPLASKANKVVIQNQEMFIQLED -KIGNIETVSANFSKSMARFAKVTQNETFYLVNGFNVCYNIWMLPRHFFGKEIDDVVVQVERPLRPTEYVT -ILKKNIYSYQKYDMDGCLQDKDICFVRIPQFEHGRNHIKHFASDRQLTTCRNFQGKLIRWSAAKKTTEDV -VVGETQRWDVPQKITHDGVTLHYSNGYQYNFDTTLGDCGAILMSIDKTTSCRIFGIHFALDKINHRGMSV -NIPRQDIEMIVHQMTPVVDQINAVDPIVELQYAECPPTLINQETGLPYFEHLGYVKDGPLPPRDHGELHR -SPLFDDVYPAEKDLSVLNKFDERMDEEFRGDPDILTRGVVDFSYESLEWPHAELEIAKHALKGEFDKFTD -PLGKRLLNKDEAINGVWVEGGRLDFSEPLNLKTSAGYGLHGTKQDHFDTKEIFDDNNQLIKVEHTIKTPA -LEHQVSEMWRAWMDGETYSIPWSHTVKIEPLKMSKIKNGNTRTFCVASTAFLINVRRLFGSFTTAMKASK -IKSFSCLGTDANSQDWNDLYNNLISTGKRGADMDFFKFDRTAVTHQLARAVCEMINAWYDDGDNLARARL -IAFEEMIFAYCLVNKHLTRKRRGNPSGNPLTTELNNCVNYLMLCMVYLLIAKEKKPESYSIKDWNRLVNM -KAYGDDIIFTLHPEAESWFSFSLLEHYYRRHGVPVTPADKSDDGIQLRSLHDLTFLKRNFRRFDHPYIKW -QSALSQTSIKSMIQFYRLKPNNGTMMEAVITNCTESLHEAYHWGREFFESHRDKINNWMKENGHMPIAIT -YEELDVMFRAKLDTGY - diff --git a/seq/clusters_seq/cluster_1196 b/seq/clusters_seq/cluster_1196 deleted file mode 100644 index c3bb68c..0000000 --- a/seq/clusters_seq/cluster_1196 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009553262.1 hypothetical protein 3 [Yongsan tombus-like virus 1] -MAKTNGNQRRKRRVATPVRVRTVNFQRIRTTTVPAPVNIASNIRSRQPSTIAKQSATDRLFTTTLRSGAE -RGTVIYDQAITPSLLPRLRQQAGVFQKICWHSLTFEIQTQTPTTTGGGYVVAFAVDPLLEVGSGQVALNA -ITTMQGAQTSKTWQSVVFTAPVTRNPLFVATGADLRLYSPGRLIVVVDGPPNVDVPITIIAKWTVSLTSP -ARVQLAQSIPQPTLTASFLYTGEELVRWENWNPSTNSITPGTEEPSAKNMLSAFSGLMPLSLYQNTVYYK -LPHPVPILTTSPISPLAIAEFIGLVANGDVLEARLYKKPHPSEVMYSSNSQYLVLSQGSLLFPISPREFS -GNAAGAFLVTAQALSCAPGHSQVCKKLQMIQPTEQSSPSGATVTELTLLSEELSKLYT - ->YP_009342052.1 hypothetical protein 3 [Wenzhou tombus-like virus 11] -MVMNKATKRGPKQAVKPRKQRVKQRRRGGTTDAPAIVNTGATVRSQAKKTMRMTGSDRLAGLTFKASTSP -GTILYERFIDAQMFPSLSERARTFQRIKYTKLVFKLVSESGTNVTGGIAAAFFKDAYSDVVDGDQGLTNL -MTQAGAKATKAWQELVISTNSPEHFYVTPGSDLRLFSPGKFVVMTSGPVDKDSPLSLYCDWTVELSKPGR -NAPQKQVQQPVVTCSYILSGVNSQDKLQGRNWDPSTDTESTPQNPEDMFRCLGNVENTDFTSPKFYRLPH -PMSIDQTTDQDDHYVLVVRFLQLVLEEGKLIGRLCHLPFSRANEYKWATAVDIVLMQGDILEPINPLEFA -GNGLGEFFVSARSSTFAPGRSYISKSISRMRSTQSGATSTGLEAIFKKFTLQ - ->YP_009330078.1 hypothetical protein 3 [Hubei mosquito virus 4] -MVNKSNTRRGPKQAAKPRKQRVKQRRRGGAADAPAIVNTGVTVRSKAKRSMRMTGTDRLAGFTLEPGKPV -GTILYERFVSVQMFPSLVERARTFQRVKYSSLNWRLVSESGTNVTGGVAAAFIKDADAVVADGEEGFETL -MTHSGAKATKAWQELNVSANSAETFYVTPGSDLRFYSPGKFVIMTSGPVDKDSPFSLYCDWTVELSRPGR -NAPQKLVEQPAVTSSFVTSGPESLECRNWDPSTGTTTNPPNPRDLFGALTNVKNTDFTSPRYYRLPHPVA -INWSDEPGIDPNSITMRFVQLQLEDGHLIGRLCRLPSGKDSDFFSDPLGGIILMQGDILEPVNPYEFAGN -ASGEFFVVARQSTFAPGRSYISKSVSKVSSTQSGSNLTGLEAIFKKFALQ - diff --git a/seq/clusters_seq/cluster_1197 b/seq/clusters_seq/cluster_1197 deleted file mode 100644 index 855b24b..0000000 --- a/seq/clusters_seq/cluster_1197 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009553046.1 ORFY protein [Cacao swollen shoot Ghana R virus] -MFRLGEGMAGSSKQTIGEKFRSPGGNQFNLAKEYKDLCDDERRITAEGIPLPLLQAYQHERALCEEKAEN -LLLEAMEELIRIKEAKRAYVASHATKDNYWGDCLPTVKDAEDRTKDVCNALRQVVENSKDRY - ->YP_009666831.1 ORFY protein [Cacao swollen shoot Ghana Q virus] -MFKVRKGLERSAEKSNNMESGSSSSSHNSLVQEYQQLIRQEKEALHHGIPLPLMEAFKEERSTLEKKAEL -ALIKSMEEYLRIKESKSSYVSSYATKDNYYGDWLPVVKENEKEAKEACAALQKVIGKGSKRF - ->YP_009227177.1 hypothetical protein SH17_gp2 [Mulberry badnavirus 1] -MEHRENQGANQPLDLQYQELINQEQTKMATGGMPLSVDDQLRRDRDELESRAKAEAIRALQAYCAIRQAK -QDRCTRYSSRDNVYGDSLPTMLYWNEQLTTHAYALTDLLGKMTRSWE - diff --git a/seq/clusters_seq/cluster_1198 b/seq/clusters_seq/cluster_1198 deleted file mode 100644 index 28971e3..0000000 --- a/seq/clusters_seq/cluster_1198 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_009389417.1 ORF1/ORF2 fusion protein [Antonospora locustae virus 1] -MEASVFVSRLMAIEPSDFSQHFSLPMSICDHGLKMIRISAEQTPAFVKAFTTQYALSNECPQTEFFNALF -DYIMDGKIKQAIGEASGRLRKEQKLLQFQELHGFSKDIEDDFNEALILYNKERADLRSVKDKFDELTKDL -NGIFKVLEEELSQRWSALETIINEKKTNAAEAQKRARTNWINMKQADRQKYSNDFMLYLKEYSLRNKVNF -DQKLKSNEDFKKKLKELLVKIRVEENVDKIFLADGLINPKFKSERVTFLSQSYLRNLDRLRRSLQARGLT -DSQEYKNVLQAIYDLLYNFNGPYLHPDFPGDFGHRSHALANSFINPPLFSVPEQLDANVFTSDCVSNGRY -FKYNEFLRDSLGAIGGGANDLLRELMEYRRLGGSTSDKLNYLAYFGNKSQCDTLLGLDTAPVYSMFVSGV -VREPYVHMPYSVLVKKFTYNAQASGGTLDKQFGFKNKSDVLLLNLVAYRLISTAWCIQKRSKLKPGIIWD -SASRPKLIKIAGTGNKMKKIMAGEPCCRVIAVGPLLESLLGYSLYLRVSERLQQFFKEKGYGVAIGCNRM -GKDWQNIQKNFKGARHIMVGDYSKYDQTIPERLMIFGIDMILNMYMPQDEYSMNYIDNFRTWFIDNIVRS -VHVVDGKLSARAIGGMPSGTLWTSLLNSVINIVVISDTCKALGIKNYKPVVYGDDHMIIIYDEIVDSGEF -ISAYSTYVKINFGMTLTTDDTYISGPECFYVTYKRPVYNPKADLQKGTRRLRPKSWETSKTPFTQFDHTK -GTTHRWSYEFRGRPKFLQYYWLETGLAIRPLRESMVRMLHPEEEVKNVREYEVLVISHLYDNYHNAHMRN -WAFHLLYDIDFMKRARMKSADLFFKRSDRGKGYYEHNKGPPSRMWYRRVDYLVDLDSCVGMQHFVHSWKV -LLAQMDEIISSEHECEPYQVRHLIKNELSMRRISTTRARAWFEAGIITRSEYAQFLAAEGTSKQFESKKS -PFNLFGLDEVGFNYLFSLLGISNDKVHYSTDYDRGPSRLKQVLATSIDLNGFASVVNFASKWLQSSDSPN -LYRTGEPP - ->YP_009389418.1 ORF1p [Antonospora locustae virus 1] -MEASVFVSRLMAIEPSDFSQHFSLPMSICDHGLKMIRISAEQTPAFVKAFTTQYALSNECPQTEFFNALF -DYIMDGKIKQAIGEASGRLRKEQKLLQFQELHGFSKDIEDDFNEALILYNKERADLRSVKDKFDELTKDL -NGIFKVLEEELSQRWSALETIINEKKTNAAEAQKRARTNWINMKQADRQKYSNDFMLYLKEYSLRNKVNF -DQKLKSNEDFKKKLKELLVKIRVEENVDKIFLADGLINPKFKSERVTFLVSELFEKLGSIKKIFTSQRIN -RQSGVQECTAGNL - ->APG78262.1 RdRp [Hubei partiti-like virus 59] -MGGGGLKNLKKCHEYIRVGGSTQDLINYVACYQDRSNKNYVFINSINVIHRKYSSLGQKRLVLASVSKTL -DNISLNFNASAGIYKQMGFTKKVTCAPILVMAYLAIFTAWCTNPDTLINVKEVWTVAGRPKLLTVDKALL -NARKSLPNCRAISIASPIEQFLSFPIYHPVSKCFESFFKTNGYGIAIGVDRNSLDWKLISDNFANAKYVY -GGDYSKYDTSIPRDLMIRGIDVAIDMYYNMSKHNTVAQPIRNYLKRYRQWFIDNIVDKEIIIQDVIKFKV -TNGMPSGVLWTSLLNSIVNLIIIEEAMSHMKIKNFKPVVYGDDHIVIIYDDILDSENFCVNIGNFIEGNF -GIKCNPDDALLTTPEYFFVGYERPVYKTGTDFSKGTRGLKPLYSEMSDTMFDQYDESKGQTHRWKYNFSK -RVKFLQYYWMKSGLAIRPSIDTLNRLLNPEKKIKWITDYEAHLFAFLADNFNNAHVRSSFYIYFYDLGFL -RTMFDYKRNRLMDVAFLPKVPQYFNKYYIKNTPCCNTRLWFRYQNGKHVDPYNHPSMDAFNKRFHRLQRR -IFYLITSIKDIEFYKKKDLLITLYKKQITGELNSSSLLYQNNLKLYKQVKLSITEKDEITGEQSRNVALK -VNSYFSKTFKDFVLPIDIIAILAQDAMIQYYVNPTVSLRKDDIRTFNIRQDHIDDLILQYRDLFRKEFVN -LKCKPKFIFQYFDFIYKLCVYFIIIYPIFLL diff --git a/seq/clusters_seq/cluster_1199 b/seq/clusters_seq/cluster_1199 deleted file mode 100644 index c0486b6..0000000 --- a/seq/clusters_seq/cluster_1199 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009553718.1 putative 3C; peptidase [tremovirus B1] -TVIDMAALVQKNLIRVGYGPTEEKIQWVVNGLGLRKDLALIPSHAFMDAKEEDSMFLERNGTIYTMPIKD -VIQIDLESRYSDVKIYKFVGIPPWRDLTKHFVSEEDLGTCMSLPATLCTMVSGMFQLVHETQTQLLPDFT -YDHIHDDGHVEVLTVGRVVRAKASTVGGMCGGVLVSTSTRAQTPFLGIHIAGGNGHAATKLITQQMLENA -DRDQSQ - ->YP_009220469.1 3C [Tupaia hepatovirus A] -SVVEISGLIHKNLVRFGIGEKGGCVNWVMNALGVRDEWMLVPSHAYIFEEDLASKEFYFERNGTYYSTST -GNVQIHTLDTGFQDVVLMKVPQIPKFRDITSHFMSRKDIQNVEKRLATLVTLNSGIFQMISEGPLKYEEH -VTYTHRDKDGSMKDLTIGSAFRGKGASVSGMCGGALVSSNQKLQNPIVGIHVAGGHGNMVSKAIFKEMFE -VIDAKIEQAQ - ->YP_009164965.1 3C [Phopivirus] -SVIEMSALIHKNLVRFGIGEKGGCVRWLMNALGVKDEWILIPSHAYMFVEDLESKEFYFQRNNTYYSISA -GNVIIHTLDTGFQDVVLMKVPSIPKFRDITGHFIQKKDLEVAANKLATLVTSNNGTFQMVSEGQLKLEEH -ATYAHQTDCGELKELTISQAWRGKGESVSGMCGGALVSSNSKIQNAIIGIHVAGGHGNMISKVVYREMLQ -IIDSKVETAQ - diff --git a/seq/clusters_seq/cluster_12 b/seq/clusters_seq/cluster_12 deleted file mode 100644 index 2187f82..0000000 --- a/seq/clusters_seq/cluster_12 +++ /dev/null @@ -1,2644 +0,0 @@ ->YP_010088128.1 CP [Dendrobium chlorotic mosaic virus] -MSGEQLDAGQEMKKRDKQSGSLEESEEPSTISKSSRDKDVDAGSKGPSIERTKKIYGKMRLPKVAGKVIL -DPKHLIEYQPEQADLSNTRASHAQFETWYKSVMEEYEVSESEMGKIMNGLMVWCIENGTSPNLTGMWYLM -EGENQVEYPLKAVIENAKPTFRQIMAHFSDAAEAYIEFRNSMKPYMPRYGLQRNLNDMSLARYAFDFYEM -TSRTPNRAREAHIQMKAAALVNVSTKLFGLDGNIGTQEEDTERHVAIDVNRNMHTLLGMRHS - ->YP_010087230.1 polyprotein [Dendrobium chlorotic mosaic virus] -MSTIMFGAFQCTLHYTPVIRIHTTPVTKTRNILREVTMRKLMPVEQEVRTGCSQRCEALRAFSKSSLRKA -IKEGDLTQAGTCHYCGLMAQIGEARPHIHIVSTMQIVETKQLVEDTEEYTEYETTECIEDAFTPVFNDKP -EPEVSENELTKTNTEQVLPQEEVQNAELATNVDCLGKIIDPLETPEMLARLERKKYGRIVKRGSCLFIKP -ASVAELEKIKAFEEAERQSNDDFEAGNYIPKSMHIVPNLIKRGKQVSVRTIHWPLHQVKSMKQSKTPRLR -IHLTDRQFNIFLRDLMKIAAERQLEVSIPKKKQCVTFSYTKQIKNAKPKYAMRLLLPHHKNKFVHQEVNP -KFSNIIKKFLLLVPYCATRPKNNFTYGDSGHVFLDEENEVFVVRGRHKSGDLVNALSWYSKSEIYNIAHY -SIPTKFWEGFNRKFLELRPEETHTCQSNFPVELCGEAAAIFYQILHPCRKITCLACTKTIESYSDAEIRE -LQQVILSKHQDSVNTLSSTITGFGSALSRVRNMNKQNPNLKACMETQRLIQNVKKDQMRSIQFINDALIK -YSYASEEEIALASEHLCKITQWFSNHLSTIAGSSLQAFRNKISGKALLNPSLLCDNQLDKNGNFIWGERG -YHSKRFFSNFFEEVRPGDGYEKYKIRKSPNTERLLAIGNLIVSTNFERARQSMRGELIEKQPITEACVSR -QEGSFVHVCCCVTTDDGKPKYSELKNPTKRHLVIGNTGDSKYVDLPDSDSGKMYIAKEGYCYLNIFLAML -LNVNESEAKNFTKMVRDVLVPNLGVWPTIMDVATACYILTLFHPETRNAELPRILVDHKSQTLHVIDSFG -SLSTGYHILKAGTASQLINFSSVDLQGEMKHYRVGGLAYEERERMVTRLVKCIFNKDQMKELLMEDPYIL -LLSLVSPSILIALHRSDSLDKAITLWMGRDQTLCVLLSTLQILVTKVSVSQVLTTQLQLIENYARDTEHL -LESTTLKTSLGKYEANTLLSQLSNQSETDKSLREMGFHSFHSSYYQLMEKKYTQLLTDQWNALSLWSKFC -QIKLYLPQSHSLTKQSILAKRFDFVGKCEYLARASLGKTKELLVGGTKSVKTLVSNSFSSCVSAIFSGTY -KLFVRCYRDVLYFVNVLLIVSLLLAIFNQITDVLHKYRAAKLAAEIHKEDQIARRCSKMYKLYILNNKCE -PTKQEFLDYLVVNDKEAYDYLDQNYQEQEQVEHQAKSPYEQGLEKTVGIFALVAMIFDTARSDAVFRILS -KLKTVFSLIDDKVHHQSLDEIISIEEEKNETIDFVLEHEGVNPRIVMDSHFEAWWSNQLTQNRVVPHYRT -GGKFLEFTRETSALLASQISHSEESEFLIRGAVGSGKSTSLPNELSKRGCVLLVEPTRPLAENVCKQLRQ -EPFHLSPTLRMRGASSFGSSNITVMTNGFALHYFAHNPKELAAFQFVIFDECHVLDSAGMALFCLLQEYA -FAGKILKVSATPPGKECEFTPQYNVQVSTSEAVSFSAFVQEQGTSSNLDALKSGSNILVYVASYNDVDQL -SKLLLARDYYVTKIDGRTMKVGNVEIKTKGTTKKPHYLVATNIIENGVTLDVDVVVDFGLKIVADLDSDS -RCMRYTKKPISYGERLQRIGRVGRVKPGAVIRIGVTETNLQEIPISIATEAAFYCFAYGLPVMVHNVTTS -LISKCTSVQARTMLQFELPIFFTFELVNHCGWMHPVVYELLKRYKLRDSDTVLHVEAIPNRNICKWISLS -SYRRLGIHVNSDKEIQIPFFENSIPEQLYEELWDTVCKYKRDAGYGQLHSVSACKVAHTLKIDPYAVQRT -LTHIEFLLSEEMRKKSYFESLNSSTGHSNFSLNSFVNCIRRRCLSDHSSTNIAILQKVKAQILEFNNRGG -SSYSPEELLEYGFLDTVLHQSASEIARHLKIEGSWKIPDINTDLLVMAFVFIGGLVLLWQCFSTKFEEKV -YHQGRKRKNQKLRFRDARDRKVGREVYGDDETIEHVFGSAYTARGKKKGNATTKNLGKKNRKFVNMYGFD -PTDYSYVRFLDPLTGHTIDSGVLFDMSLIQDEMQEQRLSFLAEQEDSGQLKAAYTQVKAYFVNTANSKAL -EVDLTPHNPLLLGENSASVAGYPEREFELRQTGHAKEIDITQVPQQQEIIEHESQAFHRGVRNYNPIAQT -ICLISNVSGDSVQRTYGLGFGSCIITNGHLFVRNNGHLTVRSHHGEFIIKNSTQIKIHALPGRDLILIQM -PKDFPVFPQKLKFRLPEKGERICLVSTLFQSKNMTSAISEDSITFPKEGSTFWKHWITTKDGDCGLPLVA -TRDGAIVGLHSLANASGTINFFVSFPQEFALNYLSEATTIEWTKHWLYNPSSVCWGGMKLRQDKPQDIFK -ATKLIQDLELGPQEVVVQQSHSTQWIFNKLEGNLKGVAMSTSNLVTKHVVKGHCHYFSQYIAQHEDKRAF -FQPLLDAYAPSVLSKESFIKDFLKYSTPIIIGEVDCSIFESALKFLIHKMESLNFGECNFVTDTDSIFQS -LNMKAAVGALYSGKKRDYFSEFTDTMKDDIIFESCKRLYCGKMGIWNGSLKAELRSKEKVLLKKTRTFTS -APIDSLLAGKVCVDDFNNRFYDLFLQGPWTVGISKFMKGWHALMEKLPEGWIYCDADGSRFDSSLSPYLI -NSVLRVRSHFMERWEVGLQMLSNFYTEVIYTPILVPDGTVVKKFKGNNSGQPSTVVDNSLMVIMAVYYAL -AKNQIELQTSEEKFVFFVNGDDLLIAVHPMFEHILENFRESFATLGLDYDFSSRHRSKSDIWFMSHNAIL -KDGIYIPKLEKERIVSILQWDRSSEPIHRAEAICAAMIEAWGYDELLREIRLFYHWLVTTQPAFIQLDMN -GTLPYLSESALRNLYVCEQITDDELRRYLEACGNINPEDHDCVVVHQMSGEQLDAGQEMKKRDKQSGSLE -ESEEPSTISKSSRDKDVDAGSKGPSIERTKKIYGKMRLPKVAGKVILDPKHLIEYQPEQADLSNTRASHA -QFETWYKSVMEEYEVSESEMGKIMNGLMVWCIENGTSPNLTGMWYLMEGENQVEYPLKAVIENAKPTFRQ -IMAHFSDAAEAYIEFRNSMKPYMPRYGLQRNLNDMSLARYAFDFYEMTSRTPNRAREAHIQMKAAALVNV -STKLFGLDGNIGTQEEDTERHVAIDVNRNMHTLLGMRHS - ->YP_010086811.1 polyprotein [East Asian Passiflora distortion virus] -MATIMFGDFPVQLKHTTKTEKRKRVVETTRLEYETRMETVHVHVMESITIGCTARCAGLGAYTKSSLRRA -IKEGDLSASGGCNYCGLRALVGEGRERVISVPKIVAQQKEVVVTKEVPHFYEEEYEVEVPCIAHEIVQSA -ASIAFTSDVCGSAVQTKVTNSVVTKDMMAKSEPSLKKISRAVVLASKKEIDSYDLAIKKMDEAMQQNSAL -QRRLLIQRQSPVKQTQKGAVQLRHVQYEVAVERERMTEKRRLEEEKFLAGDYSNDTYIGSVPYIRGAQKT -GETVSFRSPHYRRTAKAPNRVKKGKQYCNQQHVLSSLLRICSKTGVTIEIVGKKRTNSLKVRYVKKYGCT -LPQARLPHHDGVYKHTEVQMAPYERFLTAMCDISKYRAVEASSIQRGDSGLVFDRTVAITREHTKLPFFI -VRGRSNGKLINALEKYHQYHSIEHYSHQPEMQFFHGWKQMFDKMAPQMSDHDCTVDYNNEHCGELAAQVC -QSLFPVRKLSCQKCRQNLIDLTFEEYKQFLLTHLGCTDGIWKVANASKDLTMARALLYQATSSNNSIDTT -MEIARLTQNCTSTAMLQIRDINVALMKGQSVTQDELTQASKQLLEMTRWWRNHMALTGEEALKTFRNRRS -SKAMINPSLLCDNQLDKNGNFVWGERGRHSKRFFSNFFDEVIPSEGYKKFVIRTHPNGSRKLAIDSLIVP -LDLARARIALQGESIQRVPFTLACTSSQDSNFVYPCCCVTQDDGKPLYSDLKSPTKRHLVVGTSGDPKYI -DLPVAETDRMYIAKEGYCYLNIFLAMLVNVNEDDAKDFTKMVRDVIVPKLGTWPTMTDLATAVYMLTVFH -PETRNAELPRILVDHATQTMHVIDSFGSLTVGYHVLKAGTANQLIQFASNDLKSEMKHYRVGGTVDQRMK -CEAALIKSIFKPKMMRQILETDPYLLIMGLVSPSILLHMYRLKYYDEGVKLWIHKDQGVARIMLVMEKLT -RKVAVNTVLLEQKRMIRDTAKQLYSILNEGTRTMHSFAPAQELLNLYMETADTNEELTRTGYYDINESLD -IDYEKMYVRQLEREWRALSLLEKSCLTWQLKRFSMHTEERLIEAVSKERKGYSGTFVSVCFTTAQTHLKD -VQHSVSVQITNITAYIQRKFLSLLFRFVNRCYGDIIYLVNVSIIFSLLIQTAHNLRSMIQGIKDNKVKLA -MIEDEHNELTVMRMYNMCAQINDGTPTAQSFLNHVKQVRPDLVPIAESYVMQEEKVATQSKTVNQLQLEK -IIALMALITMCIDHDRSDAVFRILHKIKTVFGTIGEEVRVQSLDDIMEIEDSKQLTIDFDISTSQPTSSV -SFDVQFEDWWNRQMQQNRVVPHYRTTGEFIEFTRETAAKVANQISTSSAVEFLIRGAVGSGKSTGLPHHL -SKKGKVLLLEPTRPLAENVSNQLAKDPFYHTVTLRMRGLSKFGPSNIVVMTSGFAFHYFVNNPHQLNDYD -YIIIDECHVMDSATIAFNCTLKEYEYAGKLLKVSATPPGRECEFTTQHPVKLKIEEQLSFQNFSHAQGTG -SNADMVQHGHNILVYVASYNEVDQLSKLLIEKQFQVTKVDGRTMQMGRVGIETKGCEGKPHFIVATNIIE -NGVTLDVDCVVDFGMKVVATLDTDCRCVRYDKKSVNYGERIQRLGRVGRHKPGFALRIGHTEKGIEGIPE -FIATEAAFLSFAYGLPVTTQSVTTSLLGKCTVKQAKSALNFELTPFFTTNLIKYDGSIHPEVHKLLKPYK -LRESEMILCKLAIPHQYTNQWILAKHYERMGIRVGCSETTKLPFYTHDVPDQLYEQMWKVVCNYKHDAGF -GKLSSVSAAKISYTLSTDPTALPKTIAILDHLISEEMTKKNHFDTISSALTGHSFSLAGITESIRKRYLR -DYTQQNITILQNARAQLMEFNSRNLDVDRLHEFGEIGVLNAVRLQSKGEICRFLGVKGRWDNKRIFNDVV -IAGFALIGGGWMLWEYFKQSMKEDVTTQGRKRMIQKLKFRDAFDRKVGREVYADDHTMEHTFGEAYTKKG -KQKGSTKTKGMGRKTRNFTHMYGVEPENYTTLRFVDPLTGHIMDESPRVDIQLVQDEIGEVRKQMVEDDL -LDRRLIQSKPGIQAYFLGKGTEEALKVDLTPHRPTLLCMNSNAIAGFPEREDELRQTGLPQKVSMKDVPK -PSDVVQTESKSVYKGLRDYNGISTLLCQLHNVSDGHKESVYGIGYGAYIITNGHLFKRNNGTLTIRTWQG -EFTIANTTQLQIHVLEKKDSVIIRMPKDFPPFARKSLFRHPKKEERACIVGTNFQDKSMRATVSESSILI -PEGQGSFWIHWITTQDGDCGLPLVSVSDGHIVGIHGLASNSTEKNFFVPFTNDFQAKYLDVAESLDWSRH -WRWQPEKISWGSLRLVDEQPKDEFKISKLISDLFDTEVTTQSKRKRWVLESMEGNLRAIGTADSALVTKH -VVKGKCPYFAQYLSENEKAKEFFTPLMGEYQPSRLNQEAFKKDFFKYNKAVVLNEVDCASFEKAVDEVKL -MMLEFDFHETVFVTDPDEIFGSLNMKAAVGAQYKGKKQEYFDSMDEFDKERLLYKSCERLFYGCKGLWNG -SLKAELRPTEKVLANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSMNLRCPWTVGMTKFYGGWNKLMKALP -DGWVYCHADGSQFDSSLTPLLLNAVLDIRSFFSEDWWVGQEMLENLYAEIVYTPILTPDGTIFKKFRGNN -SGQPSTVVDNTLMVVISMYYACIKQGWSTEDIQERLVFFANGDDVILATEEKDEWLLNTLTKSFSELGLT -YDFSERTRDRSELWFMSHRAILIDGMYIPKLEPERIVSILEWDRSKELMHRTEAICAAMIEAWGYTDLLT -EIRKFYLWLLNRGEFKDLAKSGKAPYIAETALRKLYTDSDATMDEIQEYVRHLHQHHDDEQIEYVSLQSG -KVEDESLNAGDAQKKTKNTEAAGAKSSNDKENKGKEQEQDRDVGAGSKGKLVPRLQKITKKMNLPMVAGR -IVLDLDHLIEYKPSQTDLFNTRATKAQFTMWYESIKKEYELEDQQMGVVLNGFMVWCIDNGTSPDVNGVW -VMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRNSEGYYMPRYGLLRNLRDKSLARYAFDF -YEVNSKTSDRAREAVAQMKAAALANVNTRLFGLDGNVATTSENTERHTAKDVNQNMHTLLGMGSGQ - ->YP_010086751.1 polyprotein [Gomphocarpus mosaic virus] -MEVRFGSFLVNIAPSVQKVLKTRMVPGTKLEEVKTVKMVEKMTTKEVVIGCTASCAGLRAYTKTSLRRAI -KEGDLSSSGACFTCGLLGLVGAGRARVEVVPHVEWVQELVTETRQVPCLVEEQYYEEIETVTTTHSTLLD -IPAKESKMNVSPVVVEKEKYVPPHARLNSIIARAMVAESERKTEDIFNFFFNKPAIREALGRKKYGKVVK -TVKGHFIKPASDEQVQAKLEEIRDANALEEAFFKGQLEDKVTPGFLKIRTLVANGESVGFRTQYYKRSIK -KATIRGEVRRYAKQHKPVKGSARAIFDELVSISKKNRMGFEIVKKNGKTLKTGFKTTEGRKMMCVRLPHE -EGIKKRREVDPRMFNEEVSAFSVLNAHFKLGNADIKKGYSGVILPQSILKETCRDYNEMVVRGRHEGKLI -DARCKVSDFTMHKMIHYSSFEREFWKGWKRAFDGLAPDTQHACSSDINNEACGEIVATLFQCVHPCVKVS -CTHCRENLERMGREEYFEELQKLIPKRESVLRPYLEKYGSLESVLNHFRRTSVYNENLTSLNEITRIAQG -SKSTQMQHILEIQKTLLKSSAASANDLSNAADKLLELTRWFKNHLSQVSKGDVSVFRNKATSKASINFSL -LCDNQCDKNGNFLWGERGYHSKRLFSNFFEIIEPGGGYAKYVERINPNGKRNLAIGHLIVPLNLTRARKA -LEGVPAKRFPVSDMCISKDPTFVHTCCCVTDDLGNPALSTLKSPTKSHLVIGNTGDPKYVDLPNLDENKM -YIAKEGYCYLNIFLAMLVNVNESSAKDFTKTVRDTIIPMLGTWPTMHDLATACYILTVFHPETRSAELPR -ILVDHESKIMHVIDSYGSLDVGFHILKAGTVQQLLPFASESLEGEMKFYRVGGTHQDNKRISMEVALIRS -VYRPKELIRIIEDEPYILFLSLVSPKIINALYNVGGLDIALSHWVTRDTDICAAITMLSFLAQRISRASV -VLEQIHYINESARQICSLMENMQDLKADATVVRQFLECISNRVVMDSQLQANGFVTINEKLYETWEKIYA -QRLHKEWQELGWLEKFSLIKHCYKRKKFSSDVLPRESGGEVEAKYVISFNWCYGKIKAAHNGTRKFIGQQ -VERGVSTIKQMVVNNTVGILQYCLNDIVYLVNVLLVVNLLGQVIDFVKKHVRENKELRQKALNDNFNRKA -QELSSVYNLYTQVHGTPTEEEFMQHLESSNERLHKFYMESIYNKVRLQNKTYIERNFEKIIAFMALLTMM -FDEKKSDAVFKCLGKIKTVFGTMDDVVRLQSLDEIISLDDEKKETVDFVLNTDLLATSNINDRRFEEWWQ -IQLDQNRVVPHYRNTGVFLEFTRNTVVQTCNEIQSAKEQEFLIRGAVGSGKSTGMPHQLSKKGRVLIIEP -TRPLAENVTKQLRKEPFYNNATLRMRGLSTFGSSNITVMTSGFALHYYAHNQEQLSKIDYVMIDECHVVD -ANAMAFYCLLKEYDFGGKILKVSATPPGRECEFTTQYPVKLRIEEQASLQAFSQAQGTGSNMDVTKDGDN -ILVYVASYNEVDTLSKQLLEKGFLVTKVDGRTMKLGNVEVITKGTESHKHFIVATNIIENGVTLDIDVVV -DFGVKVQAELDSNLRSMTYTKVAISYGERIQRLGRVGRVKAGTGLRIGETQKGMSEIPVSVATEAAFLCF -AYGLPVMTHNVTTSLLSKCTVNQARTMLHFELPCFFMVDLVSYNGTMHPVIHENLKRFKIRDSEVVLSSL -AIPNACIARWISARDYKKYGVRINVDDATKMPFYSNNIPEQLIEQIWKTVQENKHEAGFGRLTLANACKV -AYTLTTEPAAPSKTLGIIDQLIMEEQRKKAHFETSISSLNTHSFSLQGIVNRVKNRYLQDHTSHNIATLQ -LARSQILEFSTATHDLSKPDTMIPYGVLDVVRLQSKEEVVEKLGLKGKWNKSLATNDLLIAGMTAIGGLW -MLWDYFKSETSDIVVHQAKRKNQKLKFRDARDKKMGREVYGDDGVIEHVFGEAYTKRGRVKGNNKTKGMG -HKTRNFVHLYGFDPSEYTFVRFLDPITGVTIDEAPMVDIPLVQDECTEARQKAMEDNDDILDFIKNKPGI -KAYFVNQKTGKALKVDLQPHNPLLICRNSATIAGFPERERELRQTGPAEQISIEDVPKPSDVVKLEGKSL -MRGPRDYNPVARAICKVKNISDGASLSLFAIGYGSVLIAPGHLFSKNNGSLEVNTSLGLFTIPNTTQLKI -HHLKGRDMVLVQLPKDFPPFPRKLRFRHPIENEKCCLVESLFQQKSLSSCVSETTSVMPTEGCFYWKHWI -STKDGNCGSPLVSTKDGFIVGIHGLEGKMSEKNYFVTFPDDFETSVLSSIDSIEWTKHWKYNTDKILWGK -LSYACDETDAAFKMAKIARDLNMNDNDIVLEQGSHDKWVFDSLGENLKAVGEAESQLVTKHVVKGKCELF -QSYLNENAEAREFFKPFLSHYGPSKLNREAFKKDFFKYKSAIVAGSVKIPQFESALREVIMLLLELDFGE -CAFVTDPDEILDSLNMKASVGALYKGKKKEYFESMTALEKEHLIQSSCERLFNGSMGVWNGSLKAELRPI -EKLKENKTRTFTAAPIDTLLGAKVCVDDFNNRFYELNLKGPWTVGMTKFYRGWDKLLQSLPDGWIYCHAD -GSRFDSSLTPYLINAIVHIRQFFMEDWWVGHHMLENLYTEVVYTPILTPDGTVVKKFKGNNSGQPSTVVD -NTLMVILAVYYAVGQHLDNYDEFDDIFKFYANGDDLIIAVKPEREEILNNMESSFAELGLSYNFSHRSRS -KTDLEFMSHQGMLREGMYIPKLDIERIVSILEWDRSSEISHRAEAICAAMIEAWGYDELLKHIRQFYYWL -VTKPEFQHAHKNGSFPYIAETALRKLYTDRDAEESELERYYAAIVEKQEDDDEDVVILQSGEEKDAGVDS -KKEKDKQKEPQVGTSSIVMKDRDVDTGSKGQMVPRLKKMGSSMKMPKTKSGIVLDLEHLVKYKPAQEDLS -NVRATHKQFENWINVVQQELEIEESQMKVVLNGFMVWCIENGTSPNVNGVWTMMDGDEQVEFPLKPFVEN -AKPTLRQIMHHFSDAAEAYIEFRNSEKPYMPRYGHIRNLRDASLARYAFDFYEMNSRTPNRAREAHLQMK -AAALVNTNTRLFGLDGNVTTSEENTERHVATDVNANMHTLLGVRQM - ->YP_010087868.1 polyprotein [Begonia flower breaking virus] -MASVMIGSMVCPLSVVQGIDKSCSKPVKVQVTKSDMAVMKPLAVTGAALRHFSNKLILTQQETAREIFER -FFQTPEMRTKLIRAEAGTIKNLKGGAITIKKANDAQVRIFRQRKEEDKKWNEAWESGVFDKHVHATNMDV -KSKVSCGESVSFRSPFYRKTPKVKKTAKKPVKRVTGFNLSRIATQLMKICGKGLKEVEYTAKRTFRAKYA -LLNNSTIPQLLLPHSQGIKLRREVRYHQVDEFVKFLKLGRRIRGKICDADVKRGWSGAILNLEMVNTNGR -YEDVVVRGRYEGRVIDARSYLPYHVVFAMTHYSGEETFFKGWKNSFDKLAPQTIDHNCQVDHDNFQCGEI -AASIAQMMYPCKKMSCEKCRELIKNLTQEEYDELVSVQLQAHKKHIDELTDNISSLDVVFKVAQQRVQVN -QNLNASMEILRLVQGHKANQFHNIAQINRVLIKGCTATQKDLEEASENLLIISRWFVNHLNLVSKGDLST -FRNKRASKAMLNPSLICDNQRDKNGNFVWGERGYHSKRLFVNYFEEVQTGEGYKAHIIRKNPNGTRETAI -GNLIVPIDFVRAREALRGKSVQRLDLTSKCVARRDNNFVHVCSCVTTDDGKPLYSDIKTPTKSHLVVGSS -GDPKLIDLPTSQENVMFVTKDGYCYINIFLAMLVNVNETDAKAFTKMVRDRLIPVLEKWPTMQDVATACY -MLTVFYPETRSAELPRILVDHEAQIMHVIDSFGSVSTGYHILKAATVSHLIEFAAHDLQSEMKFYRVGGG -SSFRRERLESLLISSIFRPKRMLRLLEDEPYILIMSLVSPKLIIALYNDGALETAMRIWLTRDKSLSLIF -SIMMGLAEQASRARFLVEQMQALSAASKQILDIYSTRTHMSIVDYDVKCLLSTLVERSNTDEDLIKNGFY -GFNTRLHEMGEKIMQQRLDNAWQELTWLEKLQSITWSLKHVNFGTLFGQKKEMPGSKGKLSFWQKPLRGI -AHGALDTMRTSCGRRICKASRRIKSMLTSKAVNVLSSCYKEAFQLVNVLLVFSMILSITRHVQDYVIKNR -EYALREEEHKASVASMEAEKIFENMEKRNGMPPTCAEFSTELATHHPHILKYVSLPDSSTDVLFQNKSES -EMQLEKIVAFVALIAMMYNTEKSDAVFRILNKLKAVFGTLGEAVRYQALDEIQSIDEEKLLTVDFELETE -KTAEHVTMDGKFSGWWQNQLEQNRVVPNYRIGGTFIEFSRQTSAIVCNDISLSAEREFLIRGAVGSGKST -GLPAGLSKKGKVLMLEPTRPLAENVCKQLRKEPFMLHPTLRMRGLSSFGSSNITIMTSGFALHYYANNPQ -LLRELQYVIIDECHVIDASTMAMYCLLREYDFQGKILKVSATPPGKECEFQTQHEVQVFKEDTLSFQQFV -QSQGTGANADVVRHGDNILVYVASYNDVDHLSKLLIEKGHFVTKIDGRTMKLGNVEIPTKGTKAKKHFVV -ATNIIENGVTLDIDVVVDFGMKVVAELDNDSRCFRYTKVHLSYGERIQRLGRVGRVKRGFALRIGHTEKG -VTEIPRSTATEAAFLCFAYGLPVMTHNVTTSILANCTVKQARVMMNFELPPFYMCEFVKFNGTMHPVIHN -ILKVFKIRDSEIDLCKLAIPSHNVDRWITIGDYARIGVQIDGEMDVALPFHARGIPDQIHSDIWKAVREF -RGDAGFGRLTSASAMKVAYTLSTEPTAIPRTIALIDLLLAEENQKKAHFEALSVNLCRQNFTLLGLVDSV -RRRYLRDHSASNIEILHRARAQILEFQSSGFDTTQVETILNYGLIDTVQYQSKDQLVKRLGLQGRWDGNL -ITKDVVVSGFVLAGGLWMLWEWFKKNREMVLYQGKRKTQKLKFRDARDKKVGREVFGDDGTIEHFFGAAY -TDRGKKKGNHSKRGMGIKTRPFIHLYGLDPTEYSFIRFVDPITGYATDESPTVDIKLLQDEIQEIRAKAM -ENDPDLHDYIRNKPGIQAYFIKHNSKEALKVDLTPHRPLAMGKNSISVAGYPERESELRQTGAPTPVDAT -TIPENTYEEVVEEGKSIARGMRNYNPISSCICQLTNSSDGMTQSLYGIGHGPLIITNSHLFKNNNGTLLI -KSMHGEFLIPNSTQLLVSHVPKKDMILIRLPKDIPPFPSRLVFRSPVQEEKAVLVGTLFQQKSITSCVSE -STTVMSANGSGFWKHWISTKDGDCGLPLVSTRDGAVLGFHGLTSVSGERNYFVPFADNFKESVLASLDDL -TWTKHWKHNSDLISWDGLTLCESAPSVKFRTAKLVSDLTGIELDGVLVQGGIQRSNKWMMDSLQGNLKPM -AQSTSQLVTKHVIRGECPYFAEYLTTNEGARDYFGKYLNAYQPSRLNQEAYKKDILKYSSVIVAGVVDSK -VFEEAVSYVVELLNDLDFGECNYITSEEEIFGSLNMKSAVGALYCGKKRDYLEHLTDEDKSELLKESCKR -LYLGQMGIWNGALKAELRSKEKVSMNKTRSFTAAPIDTLLGGKVCVDDFNNRFYTLNMKGPWTVGMTKFY -GGWDNLLSQLPEGWIYCDADGSQFDSSLTPYLINAVVTIREFFMEPWDIGLRMLKNFYTEIIYTPILTPD -GTIVKKYKGNNSGQPSTVVDNTLMVMITMYYSLIKSGWGKQQIREQIVFFANGDDLIIAIHPDREPFLDN -LGEQFLELGLNYTFTSRTRQKQDLWFMSHQGVRIEDQWIPKLEIERIVSILQWDRSSQITHRAEAICASM -IEAWGYNDLLYEIRKFYQWILTHDQFVELARNGLMPYISETALKKLYTDKESDEAEILRYWRAFSFEQHD -VDDVLFQSEQKEDIDAGAGSSKKGKEKLDSKDTNDAKKERDVDVGSIGSIPRLKKISKMRIPTVKGSAAL -NLNHLLGYKPEQSNISNARATQQQFTTWFNAVMAEYEVDESQMRVLMNGLMVWCIENGTSPNINGVWVMM -DGDEQVEYPLKPVIENAKPTFRQIMHHFSDAAEAYIEMRNAEKPYMPRYGLQRNLRDKTLARYAFDFYEV -NSRTTDRAREAHMQMKAAALNNTTNKLFGLDGNVGTSGEDTERHTALDVSSRMHSLLGMKQD - ->YP_009552772.1 polyprotein [Pleione flower breaking virus] -MAVEFMVGNFQFKVQEEKVWKVEKVVTEERSLVSTLTRQLCYDKHAQEVTMGCGTGCASLRAYTKSGLAR -AIKNEDLDRFGRCHTCQLMAVVGPAREHRKVLECLTPVWRDVEVVHEVVKPIAREVREIVTAPVVTCQLV -QKTQTHMDLINDIVAGVQDSDEHEVEEDQSPENETQHEEIITKKPLPYVAPHARILRQISNEMIKREEDR -CERIFTLFFNKPAIRSELRRKQFGKLLKENGYTRIKPALDEEIERNLAQAREMNDLEKSFWAQDLSKFRV -NGEVRLKTVIACGQSIGFASKYHKRSIKKPVCRGLLRTYHKLHKPVKGQPSNLTRAILNIAQKNKILVEI -IKGKRKLTMHMKRVEGRNLACVKLLHESGVRRKRELHPRIFNEELAAIARLNNHFVGIREADVKPGYSGA -VLSNTIIKNCNRNYNEMVVRGIHEGKLIDARSKLSYFMMLSTRHFSSYEHDFWQGWKRTFDRLAPDFDHT -CIATMPNDQCGEIVAALFQSMHPCKRISCQQCRDSLTTISREEYYEHLNTLFQEHDSVYKELSEKHESLA -TVLAHARKRTIGNPNIQGISEITRLAQGSKATQMQQIIKIHNVLMKGSIASSSEFADATNNLLEITRWMK -NHLTQVEKGSVSTFRNKASSKAALNVALLCDNQLDKNGNFVWGERGYHAKRFFTKFFEVIQPGDGYEKYT -KRRNPNGVRLLAIGHLVVPMSLHRARRALEGVTIKRLDITEACISKDYTVQYTCCCVTDDIGKPMFSSIK -SPTKSHLVIGNTGDSKYIDLPSLDEERMYIATDGYCYLNIFLAMLVNVNEKDAKDFTKTVRDTIIPMLGT -WPTMHDLATACYILTVFHPETKNAELPRILVDHEAQIMHVVDSYGSLSTGYHILKAGTVQQLLPFACESL -EGEMKFYKVGGKEKTDSRIAVELALIKGVYRPKKLIELIEQNPYVLFMSLVSPKLIIALYNVGGLDMALA -HWISRDTDVSTILSILAYLAQKVSRASIVHEQIKHINQSAQQILAIMNGMSEPSITFEVVKQYLECVSDR -IVVDSQLKENGFLTVQDSLYETMEKIYAERLNKEWCDLSWLEKFSLTKHCYSRRIYTSDVLPIIKDQEVE -AKYVISCTWFYGKIKEAHAGTKKYIGMKIQEGGKKMQNLMVTHTVRVFHKCFSDMAYLMNVMLIMLALVR -VINFIKVHIDEVKSLKENAVHEEFNQNMRSLAIVHTLYTKIKGVPTEEEFIEHLRVSHPELETFYQKQLY -GQVRLQNKAYLERNFEKVIAFMALITMIFDERKSDAVFKCLGKIKTVFNTMSDVVRPQSLDEIISIEDEK -KETVDFQLDTDIIATSVTNDERFEVWWQNQLDQNRVIPHYRSTGTFLEFTRNTVNQVCTTVQTSAENEFL -IRGAVGSGKSTSLPHQLGKKGCVLIIEPTRPLAENVVKQLRKEPFYNDATLRMRGMSAFGSSNVTVMTSG -FALHFYANNQALLHKINYVIIDECHVIDASAMAFYCLLKDFDFKGKILKVSATPPGRECEFETQYPVKLR -VEEQASIQSFVNAQGTGSNMDVTNDGDNILVYVASYNDVDTMSKLLLEKSFQVTKVDGRTMKMGNVEIIT -KGTSNNKHFIVATNIIENGVTLDIEVVVDFGQKVQAELDSDLRSMSYSRVSVSYGERIQRLGRVGRVKNG -VGLRIGHTEKGISEIPICIATEAAFYCFAYGLPVMTHNVTTSILSKCTVNQAKTIMHFELPCYFMVDLVL -YNGTMHPLIHDALKIFKLRDSEILLSTLAIPNACVSRWLAAHEYRKYGIRTNVEEKVKIPFYANNIPDRL -YEKLWKIVQENKHDAGFGRLNLANACRVAYTLTTDATALPKTIGIIDQLIVEEQRKKSHFETLVSSLNTH -SFSLQGIINRVKNRYMQDHTSSNINILQVARAQIIEFSTANFDLSKPSSILHYGALDTVRLQGKDEVSKF -LKLKGKWNESLAITDIVVASMVAIGGVWMVWDYFRQEIKDVVVHQGKRSNQKLKFRDARDRKVGREVYGD -DGVVEHTFGEAYTKRGKVKGNHHKKGMGNKTRNFVHLYGFDPTEYSFVRFVDPITGKMIDEAPHVDMSLV -QSDLAEARVQAMAEDDDLIDYVRAKPGIQAYFVDQKARKAIKVDLHPHNPLAVCRSKATIAGFPEREFDL -RQTGPAQAIPYDEVPALTDVVRQEGKSILRGPRDYNPVARSICVVKNSSDGINSSMFAIGYGSVLITPGH -FLERNNGTMEVRTSMGYFKVENTTQLKIHHLKGRDLILIQMPKDFPPFPRKLRFRFPLEGEKCCMIESLF -QQKSLSSCVSESTVVMPTEGCFYWKHWISTKEGSCGAPFVSTKDGFIVGVHGLEGCIAEKNYFITFPDDF -EETVLQNIDSIEWTKHWKYNVNKVLWGKLSYARDETDAAFQIEKLTRDLKEVDLDVVFEQAEVDKWVYRD -LGVNLQAVGEAESHLVTKHVIKGRCPLFQEYLASVPSARAFFEPLLGKYGPSKLNKGSFKKDFFKYKSEI -CVGRVDTQLFEVAVHSVIEEMLRVGFKECAFVTCPDEIVSSLNMKASVGALFKGKKKEYIEARTQSEIAN -LIQLSCERLFNGHMGVWNGSLKAELRPVEKLLENKTRTFTAAPIDTLLGAKVCVDDFNNLFYSLHTTAHW -TVGMTKFYRGWHHLLTQLPDGWIYCHADGSRFDSSLTPYLINAIITIRKFFMEKWWIGQTMLENLYTEVV -YTPILVPDGTVVKKFKGNNSGQPSTVVDNTLMVIVAMYYAIGKHTTEACDFGEFIKFFANGDDLIIAVKP -DMVFILDTLQTSFDELGLTYDFSERSDKRSDLEFMSHRGILRDGVYIPKLDKERVVSILEWDRSTEIAHR -AEAICAAMIEAWGYNDLLNEIRKFYLWIMEKPEYSLLSAKGVFPYIAETALRKLYLDQDASEHELERYLL -AFNDITDDSEYDVVCLQAANDELKDAGAEEEKKRGKSKMFEHSSGAIVTKDRDVDTGSRGHVVPRLAKIA -KGMRMPRVEGKDILNLEHLLTYKPAQEDISNVRATHKQLKNWVDAICDELGIEKDQVGVVLNGFMVWCID -NGTSPNVSGVWTMMDGPEQVEYPLKPFVENAKPTLRQIMHHFSDAAEAYIEFRNSEKAYIPRYAQIRNLR -DQSLARYAFDFYEMNARTTNRAREAHLQMKAAALNNVSTRLFGLDGNVVTQEENTERHTTADVNANMHSL -LGVRHM - ->YP_009667131.1 CP [Paris mosaic necrosis virus] -SDTSPQLIDAGGEKEKEKEKKAKNAATADSGAQRDRDVGSSSKGRIVPRLQKITKKMNLPMVKGNVILNL -DHLIEYKPTQTDLFNTRATKAQFEAWYDAIKVAYELEDTQMSVIMNGFMVWCINNGTSPDVNGVWVMMDG -DEQIEYPLKPIIENARPTLRQIMHHFSDAAEAYIEMRNSEELYMPRYGLLRNLRDKSLARYAFDFYEVNS -KTSDRAREAVAQMKAAALTSVNNKLFGLDGNVSTTSENTERHTARDVNQNMHSLLGMTSMQ - ->YP_009666817.1 polyprotein [Paris mosaic necrosis virus] -MATIMIGTIAVPIQPICMAEPKNLVRENSLKQKSVVLQHQMATHQPSIKGIAHALRQTGAKLISEYDMSI -QRMDMALSQNPMVQRQLLIKEHSPITKYKKGTFRIRHITQQQAEEKKLAMEKAQQETQDFLDGKYENCAY -IGHVKGPIVNGKGEQVSFKTTYYRRTPKSPMIHKKHKKHITVQQVIEELLPLARIRAKPMQFIGKRKDKV -DIKYVYKRDSIIPKIRLPHEDGKYMRQEVRSPFVGEMLTNLCKFARYGVLFDNDITDGDSGLLFDRRSHI -TRCNTKLSHFIVRGRRFGKLVNALETIEDIFEIQHYTQIPEKQFFTGWKKVFDKMTPRIEDHECSVDFNN -EACGEIAALLSQTIFPVKKLSCIKCRNHLGNMSWEEFKQFVLTHMGCCEEVWKEHQKRPEIKLVKKIVQQ -VTCENLNLDTSMEIVRLTQNYTSTHMQQIQDINKALMKGTSVTQAELSLASQQLLTMTQWWKNHMTLTDE -DALVAFRNKRASKALLNPSLLCDNQLDKNGNFVWGERGKHSKRFFANFFEEVIPSEGYEKYIVRKNPNGY -RELAIGSLVVPLDFERARIALQGKSIKREPVTLACISRQDGNFIYPCCCVTQDDGKAFYSDLKSPTKRHL -VVGTAGEPKYIDLPATETDRMYIAKDGYCYLNIFLAMLVNVNEDEAKDFTKMIRDIIVPKLGKWPTMMDV -ATAVYILTVFHPETRSAELPRILVDHKSQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLRGEMKFY -RVGGDAQQRLRCETALITSIFKPKRMIQILENDPYILLMGLVSPTILVHMFRMKHFEKGIELWIKKDQSV -AKIFLILEQLTRKVIINDVLVQQLDIISNASSGLMDVLDNCPRGAHSYKPARDLLAVYIERLASNRQLQE -MGFMEINENLYSELEKIYTNQLKQEWHVLSWWEKCYITWQLKKFTPSTEKFLTRKAALEKGEFSRKFVSA -CFMKAQTHLKSSRDIIYKKCESVWAFIVMSFMNMIFRFVHRCYSDIIYLVNVCIIFSLLVQMIMALQNIV -KSARQEKALVQQYKRTEEEKTVLHMYDMCVKMSNGSPSVQNFIAHVESIRPDLLETAKYMVAEEDTVSTQ -GKTAVQLQFEKIVAFMALLTMCIDAERSDAIFKILSKLKTVFTTMGEDVKVQSLDDINNLEDDKKLTIDF -DLDTAKEPTSVSFDVKFEDWWDRQLQQDRVVPHYRTTGEFFEFTRETAAQVANQISLSGAGEFLVRGAVG -SGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLGGDPFYQMVTLRMRGLSKFGSSNITVMTSGFAFHYY -VNNPHQLADFDFIIIDECHVLDSSTIAFNCALKEYEYAGKLIKVSATPPGRECAFTTQHPVKVKVEDQLS -FQQFVQAQGTGSNADMIQHGSNLLVYVASYNEVDQLSKLLIERHFKVTKVDGRTMQLNNVEINTTGTAEK -AHFIVATNIIENGVTLDVDCVIDFGLKVSAVLDSDSRCVRYNKKSVTYGERIQRLGRVGRCKVGFALRIG -HTEKGIEEIPEFIATEAAFLSFAYGLPVTTQSVTTNILSKCTVKQARSALNFEMTPFFTIHFIKYDGSMH -PEVHRLLKTYKLRESEMVLNKLAIPHQYVSQWLTVKEYNRQGIQVQCDENVRLPFYVNGVLDKLFEMLWN -TICKFKCDAGFGRVSSVNAAKISYTLSTDPSAIPRTVAIIDHLLGEEMMKKNHFDTIGSAVTGHSFSLSG -IADGFRKRYLRDYTQQNISVLQQAKAQLLEFDSTKVDINNPFSLEELGILNTVRLQSQSEIIKFLQLKGK -WDGKKFLNDIVVATFALIGGGWMMWEYFTKKMKETVSTQGNKRKIQKLKFRNAHDRKVGREVYADDYTME -HTFGEAYTEKGKQKGSKHTKGMGKKTRNFIHMYGVEPENYSMIRFVDPLTGHTMDENPRVDIRIVQDEFG -EIRKRKIIDDELDSQMVISNPGIQAYFIGKGTEEALKVDLTPHRPTLLCMNSNAIAGYPEREDELRQTGL -PVKIARDQVPKQNEMVELESKSVYKGLRDYSSISTLICQLTNTSDGHNESIYGIGYGSYIITNGHLFRRN -NGTLTVKTWHGEFVIQNTTQIKIHFIEGKDAILIRMPKDFPPFAKRSFFRQPQKEEKVCMVGTNFQEKSL -RATVSESSIIIPEGKGSFWIHWITTQDGYCGLPLVSVNDGYVVGFHGLTSNDSDKNFFVPFIDDFERTYL -QNVESLTWDKHWFWQPDKIAWGSLNLVEDQPRDEFRISKLVSDLFSNTVAVQSSRQRWVFEAVSGNLIAC -GQADSTLVTKHVVKGKCPHFEQYLSTHKEADGFFRPLMGAYQPSKLNKEAFKKDFFKYNKPVVLNEVDFP -SFEKAVLDVKAMMSGFGFQKCFYVTDPDEIYGSLNMKAAVGAQYRGKKQDYFDSMDQSAKDHLLYLSCER -LFFGMKGIWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFNLICPWTVGMTKFY -GGWDKLMRSLPDGWLYCHADGSQFDSSLTPLLLNSVLDIRSFFMEEWWVGQEMLENLYAEIVYTPILTPD -GTIFKKFRGNNSGQPSTVVDNTLMVVIAVYYACSKQGWSQADVQERLVFFANGDDIILAVRNDDSWLYDT -LEKSFSELGLNYNFNERTNRREELWFMSHAAMEVDGIYIPKLEQERIVSILEWDRSKEVMHRTEAICAAM -IEAWGHTDLLQEIRKFYLWLVQKEEFKELAASGKTPYIAETALKKLYTDKDAKLDELQEYLQILNFDQTD -GCHEAVSLQSDTSPQLIDAGGEKEKEKEKKAKNAATADSGAQRDRDVGSSSKGRIVPRLQKITKKMNLPM -VKGNVILNLDHLIEYKPTQTDLFNTRATKAQFEAWYDAIKVAYELEDTQMSVIMNGFMVWCINNGTSPDV -NGVWVMMDGDEQIEYPLKPIIENARPTLRQIMHHFSDAAEAYIEMRNSEELYMPRYGLLRNLRDKSLARY -AFDFYEVNSKTSDRAREAVAQMKAAALTSVNNKLFGLDGNVSTTSENTERHTARDVNQNMHSLLGMTSMQ - ->YP_009665147.1 polyprotein, partial [Sarcochilus virus Y] -GNNSGQPSTVVDNTLMVVVAVYYSCLKSGWICSELKDKLIFFANGDDIILAVEPHSVNILDTFKESFWEL -GLSYDFSERTREREQLWFMSHQAKLINDVYIPKLEQERIVSILEWDRSKELMHRTEAICAAMIEAWGHNE -LLQEIRKFYLWLLQKPEFKALSVAGKTPYIAETALRKLYIDEEATTDELMRYLEVFTYGDDEEDQVRMQA -GETPTVIDAGQEGKPKEKGVASGSGTVMTQPEARDKDVDVGSRGQVPRLQKITKKMKMPMVKGNVILNLN -HLLEYKPDQTDLFNTRATRSQFETWFETVKSEYGLNDSQMGIVMNGFMVWCIDNGTSPDISGMWVMMDGE -DQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIELRNSERPYMPRYGLVRNLRDMSLARYAFDFFEVNSK -TPARAREAVAQMKAAALSNVTSKMFGLDGNVGQMSEDTERHTARDVNQNMHTLLGMKSL - ->YP_009665146.1 polyprotein, partial [Rhopalanthe virus Y] -GNNSGQPSTVVDNTLMVVVAMYYALSKEINDLDDLTEFIVFFVNGDDLVIAIAPDKVNLLDDFGDSFREL -GLTYDFSERSLDKSDIEFMSHKGILIDGTYIPKLEKERIVSILEWDRSNDITHRAEAICAAMIEAWGYND -LLTEIRKFYNWLMDLEEFRDETARGVFPYIAETALRKLYTNADSSVNELLAYLEIIHEEDTGEFDIVVLQ -GDRAEMDAGADTVRRRNQPSEEPSASTGIVSGERDRDIDTGSRGQVVPRLKTIGKKMRMPKKVNEIVLNL -EHLLEYKPDQVDLSNTRATHKQFEMWHNTVMKELEITEEQMKIILNGFMVWCIENGTSPNLNGVWTMMDG -VEQVEFPLKPFIENAKPTLRQIMHHFSDAAEAYIEFRNAESVYIPRYALIRNLRDPNLARYAFDFYEMHA -KTPVRAREAHLQMKAAALTNVNTRLFGLDGNIATQEENTERHVATDVNANMHTLLGVRHM - ->YP_009665140.1 coat protein, partial [Passiflora chlorosis virus] -SLMVVVSMYYACIKQGWNDEDIQNRLVFFANGDDVILAVQDDDAWLLDTLTQSFSELGLNYDFSERTKIR -EELWFMSHQAMLVDGVYIPKLEPERIVSILEWDRSKELMHRTEAICASMIEAWGYTDLLTEIRKFYLWLL -GKDEFKELAATGKAPYIAEMALRKLYLDVNVSANELQQFLKHLHFDIEGDQGESVSLQSGFEKDSVDTGK -DPKKKDKEPKHEDPAKTPKSEGAPKEKDVGASSKGKLVPRLQKITKRMNMPTVGGRVILSLDHLIDYKPN -QVDLFNTRASKAQFNSWYESVRDEYELTDDQMSIVMNGFMVWCVDNGTSPDVNGTWVMMDGDEQVEYPLK -PIVENAKPTLRQIMHHFSDVAEAYIEMRNSEGFYMPRYGLLRNLRDKSLARYAFDFYEVNSKTSDRAREA -VAQMKAAALANVNTRLFGLDGNVATTSENTERHTARDVNQNMHSLLGMSSGQ - ->YP_009665136.1 polyprotein, partial [Meadow saffron breaking virus] -NSGQPSTVVDNTLMVIVTMEYAISKAGWTVEQAKERIVYFANGDDLLIAIHPNFQMFLDDLSEVFLELGL -KYDFSNRHTEKSNLWFMSHRGIMLESGQYIPKLEEERIVSILEWDRSVEISHRAEAICAAMIEAWGYPRL -LEEIRKFYLWILELDQYKPLAKAGVLPYIAETALKKLYTNIDAREEELERYRHTLVVLDEICEDCVDFQS -KEAPKEFDAGMGSDASKGKSKDSDSQLDRPREKDVDAGSVGAIPRLNKISKMRVPKIKGKEALNLTHLLD -YKPDQTDLSNTRATQTQFAAWFEAVKGEYGVTDSQMQIILNGLIVWCIENRTSPNINGVWIMMDGSEQVE -YPLKPIVENAKPTLRQIMHHFSDVAEAYIEMRNAEKPYMPRYGLQRNLRDKTLARYAFDFYEVTSRTTDR -AKEAHMQMKAAALANVSTKLFGLDGNVATNTEDTERHTTKDVSAGVHSLMGAQGSMW - ->YP_009665132.1 polyprotein, partial [Hibbertia virus Y] -GNNSGQPSTVVDNTLMVVVSVYYSCIKLGWTEADIRNQLVFFANGDDIILAVPTNCEYLYDAMGESFMEL -GLNYDFSERTQQREDLWFMSHQAKEIEGVYIPKLEMERIVSILEWDRSKELMHRTEAICAAMIEAWGHTE -LLQEIRKFYMWLIQKSEFKELALLGKTPYIAETALKKLYTDKDATQEEIEAYLKVLCMHRDECNEAVSLQ -SKREETIDAGVEKEQTTGKKAVGSSSDTEKNKEKGGSGVVKDKDVNAGSKGSVVPRLQRITKKMNLPMVK -GNMILNLEHLIEYKPDQTKLFNTRATDSQFAAWYEGIKEKYEVDDNQMSVIMNGFMVWCIDNGTSPDING -VWVMMDGNEQIEYPLKPMVENAKPILRQIMHHFSDAAEAYIEMRCASGPYMPRYGLLRDLRDKNLARYAF -DFYEVNVKTSDRAREAVAQMKAAALSNVTNKLFGLDGNVATTSEDTERHTARDVSQNMHSLLGMGSMQ - ->YP_009665131.1 polyprotein, partial [Diuris virus Y] -GNNSGQPSTVVDNTLMVVISMYYSCFKYGWSSEDIEERLVFFANGDDIILSVREQDIGLLDTLQSSFKEL -GLNYNFDERSKKREDLWFMSHQAMEVEGTYIPKLEMERIVSILEWDRSKEIMHRTEAICAAMIEAWGHTE -LLKEIRKFYLWLVSKEEFKTLSKDGKTPYIAETALRKLYIDKDADYQELQRYLDVLDFSDEESFESVSLQ -SNTTKGDTVDAGSDSSKKKDKQTKDESGTSYSTALVPAKDKDVNVGSKGIGVPRLKRISQKMNLPTVKGQ -SILSIEHLIEYKPQQVDLSNTRATRTQFEMWYNAIKMEYEVDDGQMKVLMNGFMVWCLENGTSPNINGTW -VMMDGEEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNMEGPYMPRYGLLRNLRDKSLARFAFDF -YEITSKTPDRAKEAVAQMKAAALSNVNTKLFGLDGNIATASEDTERHTARDVTQNTHSFLGMNSMQ - ->YP_009665129.1 polyprotein, partial [Clitoria virus Y] -GNNSGQPSTVVDNTFMVVISVYYACIKLRWSREDIQNNLVFFANGDDIILAVPPSFSTLLDSMTKSFSEL -GLNYDFSERTMKREDLWFMSHQAKLVDGLYIPKLEMERIVSILEWDRSNELMHRTETICAAMIEAWGYTD -LLLEIRKFYMWMLQKPEFRELAGVGKTPYIAETALKKLYTDKDATQEEIENYMRVLCAQHIDGCCESVSL -QFGQQQAGNLDAGADGKKKTTDKSSKSDETKGNEGENRGEQRDKDVNAGSRGSVVPRLQKITKRMNLPMV -KGTMILDLDHLIEYKPDQTKLFNTRATDAQFAAWYEGVQSEYELNDAPMGSVMNGFMVWCIDNGTSPDIN -GVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCASGPYMPRYGLLRNLRDKNLARYA -FDFYEVNAKTSDRAREAVAQMKAAALSNVNNKLFGLDGNVATSIEDTERHTARDVNQNMHTLLGVVPVQ - ->YP_009665127.1 polyprotein, partial [Ceratobium mosaic virus] -GNNSGQPSTVVDNTLMVVIAIYYSCKKQGWTDDDIRKRLVFFANGDDIILAVQTHDEWLYDKLGPSFSEL -GLNYDFTERTTERKNLWFMSHTAIEVDGMYIPKLEPERIVSILEWDRSRELMHRMEAICAAMIEAWGYTD -LLNEIRKFYLWLVQKDEISELALIGKAPYIAETALKKLYTNRDATEQELQRYLDVLDINCVEDCGEFVSL -QSLIKPGEGGPESLDAGDGSKKKEKERSTDQSQQEVITPNRDKDVNVGSKGKVIPRLKKITKKMNLPTVR -GNVILNLDHLIEYKPEQTDLFNTRATKSQFDAWYEAVKKEYELDDGQMGYVMNGFMVWCIDNGTSPDITG -SWVMMDGDEQVEYPLKPMIENAKPTLRQVMHHFSDAAEAYLEMRNSDGLYMPRYGLLRNLRDRSLARYAF -DFYEVNSKTSDRAREAVAQMKAAVLSNVRTRMFGLDGNVATNVEDTERHTARDVNRNMHSFFGMEPMQ - ->YP_009665124.1 polyprotein, partial [Calanthe mild mosaic virus] -FIPKLDQERIVSILEWDRSKEISHRAEAICAAMIEAWGYSELLTEIRRFYDWLMDLPEFRDLANCGVFPY -ISETALRKLYTNKDAHMDELEAYYKAMNVEDEGEFDIVTLQGDKSELDAGLSAEKKNTSDLSKALSSDAS -GSKEKDVDTGSRGNPVPRLKSMGRKMKLPKIGKEVTLNLEHLLEYKPEQEDLSNARATHKQFGIWHRTIM -EELDITEEQMKVVMNGFMVWCIENGTSPNINGVWTMMDGEEQVEFPIKPFVENAKPTLRQIMHHFSDAAE -AYIEFRNAERSYIPRYALIRNLRDSSLARFAFDFYEMNSKTPTRAREAHLQMKAAALANVSTRMFGLDGN -IATQEENTERHIATDVNTNMHTLNGARYM - ->YP_009458617.1 coat protein [Saffron latent virus] -SNSTEKEKEAIDAGKGPEKKNKDTDTSRDKGKETVLRDKDVGSSTKGRVVPRLQRITKKMNLPTVKGNVI -LDIDHLIEYKPNQIDLFNTRATKAQFESWYEAVKTEYELDDAQMGVVMNGFMVWCIDNGTSPNINGTWVM -MDGDEQIEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRNSEGLYMPRYGLLRNLRDRSLARYAFDFYE -VNSKTSERAREAVAQMKAAALTNVDSRLFGLDGNASTNLENTERHTAKDVNQNMHTLLGMGSMQ - ->YP_009455737.1 polyprotein [Saffron latent virus] -MATILFGSIVTPIALPLSEGCKMAITGLDMVKPAPVTKEQMAGTQLSMKSIGGALRRASNVLISEYEAQM -RRFDENLRKDPLIQKGLFVKQYSPIKRTKDGCVRIRNLTMIQAEACKARADKEAQETLRFMNGEYNNHEY -VGCVKEPMILNTGCSVGFRTPFYKRTCKRTKQTEKRRKTTPLSIVMKEVLSIACSTGVKLEFIQKTKRRN -IVATYVQRENSVLPKVDLLHQKGRFVKEELALSSSQRILTDLCMFARYNGIKDKNITIGDSGLVFDKRSD -ITTKNTHLSHFVVRGRRNGKLVNALEKTDCLYDIHHYSQSPEQQFSYGWKQVFDRMASQVNEHECTVDYD -NVKCGEVAATISQTFLPVKKLSCSKCRSHIQNLSWDEYKTLILTHMGYCDEIWKSYQDNPEMMSVQRVVN -DVAYETTNLDTSMEIVRLTQNYTSVHMLQIQDINNALMKGKSVNQKELDKASKQLLAMTQWWKNHMTLTD -EDAFKTFRNKRSSKAMINPSLLCDNQLDKNGNFVWGERGKHSKRFFSNFFDEVIPSEGYDKYAIRKGPNG -QRELAIGSLVVPLDFDRARMALQGRSIKREPITMACISRQDGNFVYPCCCVTQDDGKAFYSDLKSPTKRH -LVVGTSGDPKYIDLPATDSDRMYIAKEGYCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPKLGKWPAMMD -VATAVYMLAVFHPETRNAELPRILIDHVTQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQGELKH -YRVGGDVQRKMRCETALFSSIFKPKRMLQILENDPYVLLLGLMSPSVLIHMYRMKHLEKGVELWINQNQS -VAKIFLILEQLTKKLVVNEMLLQQLQLISKTAPQVHELFLDCPKHFHSYSMINGYLTTLVDKEETNDILW -KSGFHHIHEGLEIAYEKTCVKRLQQEWRALNLLEKSFATWQLKRFSIPTEEYLTKQAVTEKTESSRRFAN -VCFMTAQSHLRNVQNTILARCDKIRTSVTRSLVNMVVSTIRRCYSDIIYLVNVMLIFSLLVEMVSALRKI -IDSVQTAKHRAVVLQKQENETTVYNMYRTYVEIRGEKPTKTEFLEYVDEGRPELVQIVMYLVNDHEHNHV -TTQAKTEKQRQLEKIVAFMALLAMVIDSERSDAVSKVLSKLKSVFITMGEEVRVQSLDDIQNLEEDKLLT -VDFDLETSKEPTSVSFDVNFEDWWNRQLQQNRVTPHYRTSGEFLEFTRETAAKVANHISTSTSVEFLIRG -AVGSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLSKDPFYHTTTLRMRGLSKFGSSNITIMTSGFAF -HYYVNNPHQLIDFDFIILDECHVLDSSAIAFNCALKEYEYSGKLLKVSATPPGRECEFVTQYQVKLKTED -QLSFQQFVQAQGTGSNADMIQHGHNLLVYVASYNEVDQLSRLLNERQFKVTKVDGRTMQMGSVEINTCGV -EGKPHFIVATNIIENGVTIDVDCVIDFGLKVVATLDSDNRCVRYDKKAVTYGERIQRLGRVGRCKPGFAL -RIGHTEKGVEEIPEFIATEAAFLSFAYSLPVTSQGVSTNVLSKCTVKQARSALNFELTPFFSTHFIRYDG -SMHPEIHRLLKRFKLRESEMVLNKLAIPYKFTSQWLTAKSYERMGVHIQCNENARIPFFVNGVPDKLFEA -LWDAVCKYKHDAGFGRVSSVNATKVSYTLSTDPSAIPRTIAIIDHLLGEEMMKRNHFDTIGSATTGHSFT -LAGIAEGIRKRYLKDHSQQNIATLQQAKAQLLELNSTSFDANNLRSIEELGVLNAVRLQSKEEMCKFLQL -KGKWDGKKFMNDIFVAALALLGGGWMMWDYFITKMQEPVNTQGKKRMTQKLKFRDAYDRKVGREVYADEY -TMEHTFGEAYTKKGKQKGSTATKGMGKKSRNFFHMYGVEPENYTTLRFVDPLTGYTKDENPRVDMRLVQQ -EFGEIRTQMIEEDTLDRQRVIRNPGIQAYFLGAGTEKALRVDLTPHIPTLLCRNSNNISGHPEREDELRQ -TGPAVEVLMDQVPKSNERVEMESKSIYKGLRDYNSIATLICQLINTSDGHAETIYGIGYGPYIITNGHLF -RRNNGCLTIRTWHGTFTIQNTTQIKIHFIEGKDAILIRMPKDFPPFARRSMFRHPQREERVCMVGTNFQD -KSLRSTVSESSIILPEGKGSFWIHWITTQYGDCGLPLVSVNDGYIVGFHGLTSNSSDKNFFVPFIDDFEK -KYLQSAESLSWDKHWFWQPDKIAWGSLRLVDEQPKEEFKISKLVSDLFGSDVVIQSKKERWVLSAMEGNL -AAIGQADSVLVTKHVVKGKCPHFAQYLAQNREAATFFNPLMSAYQPSKLNREAFKKDFFKYNKPVVLNEV -DYSSFELAIERVKMMMEQFGFHKCLYVTDPDEIYDSLNMKAAVGAQYRGKKQEFLGDMNQEAKEQLLYLS -SERLFNGKKGIWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFNLLCPWTVGMT -KFYGGWDKLMRSLPDGWLYCHADGSQFDSSLTPLLLNAVLDIRSYFMEDWWVGKTMLENLYAEIVYTPIL -APDGTIFKKFRGNNSGQPSTVVDNTLMVVLSMYYSCNKQGWTHEDIQNRVVFFANGDDIMLAVQDEDEWL -YDTLESSFSELGLNYKFNERTRKREELWFMSHQAMRVDDLYIPKLEPERIVSILEWDRSKELMHRTEAIC -AAMIEAWGHTDLLRDIRKFYLWLIQRDEFKELAAAGKAPYIAETALRNLYMDKVSNNDELEKYLQTLDFD -YTEGCSETVLLQSNSTEKEKEAIDAGKGPEKKNKDTDTSRDKGKETVLRDKDVGSSTKGRVVPRLQRITK -KMNLPTVKGNVILDIDHLIEYKPNQIDLFNTRATKAQFESWYEAVKTEYELDDAQMGVVMNGFMVWCIDN -GTSPNINGTWVMMDGDEQIEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRNSEGLYMPRYGLLRNLRD -RSLARYAFDFYEVNSKTSERAREAVAQMKAAALTNVDSRLFGLDGNASTNLENTERHTAKDVNQNMHTLL -GMGSMQ - ->YP_009255234.1 polyprotein [Impatiens flower break virus] -MATIMFGSFSVALMGNYAIDGIKQLGISKCKPMQSVVAEHMAPPASAAKPLLVKYRNDSSVMVGEYDKMM -HAFDAALKNNAGLQKRLFINQNSVIQKDKKGLTKIRHCTYNQALVRDAILKREAADHLAFLRGDYKNEAF -AGIPVIAHNKNCGETVGFRTSFYRRTPKSNYKNVKARRNKPLKVEEVLKTTLKLSSETGIKVEFIGKSKR -PTIVRYVKRGKALIPKMQLPHEVWNYWHQEVRTETVMDILPVLCKYGKYVGIHDSSFKPGDSGLIIPSSK -LKGYVNSQYAYFIIRGRRHGKLVNALEWIDDISEVQHYSLTPEQRFFKGWKENFDRLVPGNENHQCAIDF -DNEQCGKIAALISQAVYPVHKLSCRTCRAQILDMSWEGYKQFISLCVDQHKDIWDENKALLGVDIIEKFV -RSASSESKNISAAMEIVKLTHNRRSTVMLQIQDINKALMQGSAVSQVDLDQALHQLLRMVQWWNNHISLT -EEDPMKTFRNKRSAKVLLNPSLLCDNQLDKNGNFIWGERGYHSKRVFMNYFEEIKPAEGYERWRIRRHPN -GERELAIGALIVPLSLERARIALQGHSIQRLPLTVSCTSKQDGNFAYPCCCVTLDNGTPLYSELRSPTKR -HLVVGGTGEPKYIDLPAADSERMYIAKNGYCYLNIFLAMLVNVNESEAKNFTKMVRDVIIPMLGEWPTMQ -DVATATYILTVFHPETRNAELPRILVDHTCQTMHVIDSFGSLTTGYHVLKAGTISQLIGFAGNELIGEMK -HYRVGGDAAQRMRCEKALITSIFKPKRMVQLLEEDPYILLMGMISPGVLIHIYRMRHFERAIDIWIKKEQ -SVAKIFVLLEQLTEKVAVSDILIDQLRIIENASSSLRSVLDNCCHKSHAYKPAQDILTIYLERSASNEQL -VNNGYSDLNDKIYIALEKIYIDQLRQEWCALNLLERFSLTWRLKKFSAFTENYLAETNVRDEMQSSRSFV -HECFMNAQTHLESSRIALLNKIRETWIYCGRKIFSFIFSAVNRCYGDILYFVNVMLVFSLMVQMTSVMHG -IINEARKNKALMHQMTIDQNEKAVVHIYDMCHKMEGNSLTCENFLNHIRSVRPDLEDTAQYMVCGKLSVA -TQAKTGTQVQFEKIIAFMALITMIIDTERSDAIFKVLNKLKSVFQTMGDNVQTQSLDEIISIDEDKKLTI -DFEIDTSKESPSMSFDVNFESWWNRQLQQNKVIAHYRNTGQFLEFTRETSAHVANQISVASETEFLIRGA -VGSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLAKEPFYQQVTLRMRGLSKFGSSNITVMTGGFAFH -YYVNNPHQLRDFDYIIIDECHVLDSSLIAFNCVLKEFEFPGKLLKVSATPPGRECEFTTQHAVKLKVEDQ -LSFQQFVQAQGSGSNADMVQHGSNLLVYVASYNEVDTLSRLLVEKHFKVTKVDGRTMQMGGVEIITAGTE -SKPHFIVATNIIENGVTLDVDCVIDFGLKVVATLDSDNKCVRYNKKSVAYGERIQRLGRVGRYKPGFALR -IGHTEKGIEEIPEFIATEAAFLSFAYGLPVTTQSVSTNILGRCTVKQARSALNFELTPFFVTHFVKFDGT -MHPEIHKILKCFKLRESEMLLNKTAIPYQYTSQWITRREMERIGVHIDCDDNVKIPFYVNGIPDKVFESL -WDTVCKYRSDAGFGRLTSVNASKVCYTLSTDPTAVPRTIAIIDHLLSEEMMKKNHFDTLSSAITGHSFSL -NGIAESIRKRYLRDHTASNIATLQQAKSQLQEFNVKHINFSNLGALEDLGILNAVQLQSKEEIGKFLGLK -GKWDGKKFLNDIFLVGLALIGGGWMMWEYFTKKMNECVTTQGKKRMLQKLKFRDAFDRKVGREIFADDYT -MEHTFGDAYTAKGKAKGSHHTKGMGRKTRNFTHMYGVEPENYSMIRFIDPLTGAVLDEDIHADIRLVQEE -FGEIRKEKILEGDLDPQTIVSKPGIQAYFIGKGTEEALKVDLLPHRPTLMCKNMNAISGFPEREDELRQT -GIPQKISRDKVPEPEAAVVVESKSVYKGVRDYNNIATLVCQLTNQSDGHSESIYGIGYGPYILTNGHLFR -RNNGSLTVRTWHGEFEVKNTTQLKIHFIKGKDAIIIRMPKDFPPFARRNFFRGPVREERVCMIGTNFQEK -SLRATVSESSLTTPEGSGSFWVHWITTQDGYCGMPLVSVNDGYIVGFHGLTSRDSSKNFFVPLENDFKEK -YFDSAESLNWDKHWFWQPDKIAWGSLNLIENQPKDEFKISKLITDLFKDTVAMQSKRDRWVYESATGNLK -ACGQAESALVTKHTIKGKCPQFAQYLASNDEAMRFFKPLMGAYQPSKLNKAAFCKDFFKYNKPVVLNEVD -FTSFEAAVSGVVAMMTSFGFSECMYVTDPDEILNSLNLKSAIGAQYKGKKQDYLSGCDEFDKERLLLLSS -ERLFCGMKGLWNGSLKAELRPLEKVVANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNLKCPWTVGMTK -FYGGWDQLMRKLPDGWVHCHADGSQFDSSLTPLLLNAVLGIRKTFMEKWWVGEEMLENLYAEIVYTPILT -PDGTIFKKFRGNNSGQPSTVVDNTLMVVIAVYYACHKEGWSDSDIQNRLVFFANGDDIILSIEKENEVIL -DTFATSFSELGLNYNFNERTTERENLWFMSHKAIKIEDLYIPKLEPERIVSILEWDRSKEVMHRTEAICA -AMIEAWGYTDLLREIRKFYLWLIERDEYRELAAIGKAPYIAETALKKLYTDRDANEVELQKYLRSLTPDS -MMSCGESVYLQSDTSSEPRNLDAGIEEKKKKEEKSQGGKEATYSKAGASSATKIDKDINTGTIGRVVPRL -QRITKKMNLPTVKGNVILSLDHLLEYKPNQVDLFNTRATHKQFESWYEAVRSEYELNDDQMGIVMNGFMV -WCIDNGTSPDVTGTWVMMDGNEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNSEGLYMPRYGLL -RNLRDRNLARYAFDFYEVTSKTSDRAREAIAQMKAAALSSVSIKLFGLDGSVAITSEDTERHTARDVNKN -MHTLLGMNSPQ - ->YP_007001303.1 CP [Blue squill virus A] -SDQKAGEALDAGAGAKKDKKAPNIGEKETPEGSGSGVKDKDVNVGTQGRVVPRLQKITKKMNLPMVKGNM -ILNLNHLLEYKPNQEKLWNTRATDSQFSSWYEAVKQEYDLDDTQMSIVMNGFMVWCIDNGTSPDVNGVWV -MMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCSMGSYMPRYGLLRNLRDKSLARYAFDFY -EVTAKTSTRAREAVAQMKAAALSNVNNKLFGLDGNVATTSENTERHTARDVNQNMHTLLGMAPMQ - ->YP_006990202.1 polyprotein [Blue squill virus A] -MATIMFGDFCYQLNKANTHASLPDPVTCHVKQAVTIKAEHMAAATPVVRRVPSQPRKLGRDLVAEYDRTM -NLLDAALERDEKFQTKLLCDKYGTLQRMKAGRYVIKRASVRKAMESAEMDAALERDHEAFLRGECATEAQ -AGYCKIPTQLHTGCNVSFKSPYWKRSVKAPRHTPRRQHASMSVYELTEWVLTFAAKTGIKLEIIGKGRQH -IKARYVRMRENVIPKVELPHERGIFKYREMDYNVAWKYLMRLCSHGKYKDVVDSDICSGCSGFCFDRRSK -ITTENTELPYFVVRGREGKKLINALDTVSNIEHIHHYAQQGDFQFFKGWKTSFDEMAPHTDDHVCKVDID -NEQCGKFAATISQAVFPVKRLSCLQCRHKLLDMSWEEYKQFVLAHMSCSKNAWDERSIFPGSKVIEKFIK -QATYENMNLEVAMEIVRLTQNHTSTPMLQIQDINKALMKGSSVSQAELDKALKQLLAMTQWWKKHMTLTG -ENSLASFRNKRSAKALLNPSLLCDNQLDKNGNFVWGERGRHSKRFFSNFFEEVIPSEGYAKYVVRKNPNG -QRELAIGSLIVPMNLDRARIALQGKSVKREPLTLACTSKQDGNFVYTCCCVTQDDGKPVYSELKSPTKRH -LVVGTSGEPKYIDLPAVDAEKMYIAREGYCYLNIFLAMLVNVNEEEAKDFTKMVRDVIIPMLGQWPTMMD -LATAVYMLTIFHPETRNAELPRILVDHASQTMHVIDSFGSLTVGYHVLKAGTINQLIQFASDDLQGEMKF -YRVGGDAQHRVRCEAAVIKGIFKPKIMIKLLEQDPYIVLMGMISPSILVHMYRLRHLEKGIELWINKDQE -VAKIFIILEQLTKKVVTVDLLVDQMRAISESAAHLVEVIDNCGHRSDAYMPAKDLLTVIFESNNSNRALN -ENGYLDLNNQLYNEREKIYLNRLKQEWNDLSWLQRSCVTWRLKKFSPSMERSLTERAVEGGGKFSNIFVS -ACFTKATTHLKSVKEYTYKRCEVITTALCKKFVNATLNVVRRCYSDIFYLVNVVLIFSLLTQIIGTARNI -MAVVQNERLVAHLYKQKEEEETLISMYTMYDKTAQRLPSLSEFKDYVEKVRPDLVGRLQSMVGDVDHEEV -TPQAKTAVQLQFEKIIAVLALLTMCIDAERSDAIFRILSKIKTVFTTMGEDVKLQSLDDIENLEDDKKLT -VDFDLDTSAQTTSVSFDIKFEEWWNKQLQQNRVVPHYRTSGEFFEFTRETAAHVANQISTSSCTEFLIRG -AVGSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLAKDPFYQQVTLRMRGLSVFGSSNVTVMTSGFAF -HYYVNNPTQLSDFDYIIIDECHVLDSATIAFNCALKEFEFAGKLLKVSATPPGRECEFKTQHAVKLKIED -QLSFQNFVQAQGTGSNADMIQHGCNLLVYVASYNEVDMLSKLLVDRHFRVTKVDGRTMQMGNVEIVTCGT -EEKPHFVVATNIIENGVTLDIDCVVDFGLKVVALLDSENRCIRYNKKAVTYGERIQRLGRVGRHKPGHAL -RIGCTEKGIEEIPEFIATEAAFLSFAYGLPVTTQGVTTNILANCTVKQARTALNFEITPFLSTHFIKYDG -SMHPEIHNILKAYKLRESQMTLCKLAIPSQFVNQWITVRDYDRMGIRLQCDEKTRVPFYVHGISDKVYET -LWQTVCKYKHDAGFGRISSVNSTKISYTLSTDPSAIPRTLTILDHLLAEEMMKRNHFETIGASLTGYSFS -LAGIADGFRKRYLRDYSAQNIATLQHAKAMLLEFDCKKVDLNNLHNLEGVGVLHTVRLQSKNEIAKFLQL -KGKWDGKKFMNDIFVGIITLIGGGWLLWEYFSKKLQEPVTTQGKKRQMQKLKFRDARDRKLGREIYADDY -TMEHTFGEAYTKKGKVKGSTHTKGMGRKTRNFTHIYGVEPDNYNFIRFVDPLTGHTMDENTRVDIRIVQE -EFGEVRKQKILDDELSRERVVSNPGIQAYFIGKNTEEALKIDLTPHVPTLLCQNSNAISGFPEREDELRQ -TGPPVHIKVSEVPASNKIVETESKSVYRGLRDYNTTSTLVCKLSNESDGHIETLYGVGYGSYIITNGHLF -RRNNGRLNIKTWHGEFTIANATQIRIHFIEGKDLILIRMPKDFPPFAKKSIFRSPRKEERVCIVGTNFQD -KSMRATISESSMILPEGKGSFWIHWVSTKNGECGLPMVSTTDGCIVGIHGLSSNESDKNFFIPFVDNFEK -EFLLNAQDLSWDKHWLWQPDKIAWGSLKLVTEQPREDFKISKLVSDLFDDEVCTQSKQERWVLEGVSGNL -VACGKMDSSLVTKHVIKGKCGYFEEYLCSHPKEKEFFTPLMGAYQPSKLNREAFKKDFFKYNKPIILNEV -NFQSFECAVNGVKQMMMGFGFRRCVFVTDVDDIFDSLNMKAAVGAQYRGKKNEYLADFDTQDKERLLFLS -SERLFNGEKGIWNGSLKAELRPIEKVQQNKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFHLECPWTVGMT -KFYGGWDKLMRKLPDGWVYCHADGSQFDSSLTPLLINAVLDIRCFFMEDWWVGQEMLENLYAEIVYTPIL -APDGTIFKKFRGNNSGQPSTVVDNTLMVVIAVYYSSIQLGWTCDDVQSRLVFFANGDDIVLAVQEADVYV -YDHFAKSFAELGLVYNFDERTRNREDLWFMSHKAIEVEGLYIPKLEEERIVSILEWDRSKEVMHRTEAIC -ASMIEAWGYPDLLKEIRKFYLWLVQRDEFKELAALGKTPYIAETALKKLYIDKNASHSELSAYLTAITEN -HENECGETVSLQSDQKAGEALDAGAGAKKDKKAPNIGEKETPEGSGSGVKDKDVNVGTQGRVVPRLQKIT -KKMNLPMVKGNMILNLNHLLEYKPNQEKLWNTRATDSQFSSWYEAVKQEYDLDDTQMSIVMNGFMVWCID -NGTSPDVNGVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCSMGSYMPRYGLLRNLR -DKSLARYAFDFYEVTAKTSTRAREAVAQMKAAALSNVNNKLFGLDGNVATTSENTERHTARDVNQNMHTL -LGMAPMQ - ->YP_006405413.1 coat protein [Basella rugose mosaic virus] -SGSGPATPSSQRQQAPQGQEGSIDAGRNQQAQRPQPSSDVVRHDGSQAQDVGQVSYTIPRLKNISKMRLP -KVKGKIILSLDHIIDYKPDQLDLSNTRATHEQLQVWYNAIMNEYEVSESQMGVLLNGLMVWCIENGTSPN -LNGEWVMMDGEEQVTYPLKPIIENAKPSFRQIMHHFSDAAEAYIEMRNREKPYMPRYGLIRNLRDMSLAR -YAFDFYEINSRTPARAREAIVQMKAAALTNVSNKLFGLDGNVTTTTEDTERHTASDVNARMHHLMGVTQG - ->YP_006395361.1 coat protein [East Asian Passiflora virus] -TKSEENKDELGKDAIDAGNDGKRKDKEKEGMVTPPTNPNPNNSKFGGSSFNRKDRDVDAGSKGKMVPRLQ -KITKKMNLPTVKGRAILDLDHLLEYAPNQVDLFNTRATKSQFESWYSAVQREYELDDNQMSVIMNGFMVW -CIDNGTSPNVNGTWVMMDGEGQIEYPLKPLVENAQPTLRQIMHHFSDAAEAYIEMRNSKEPYMPRYGTLR -NLRDLSLARYAFDFYEVTSKTPNRAREAVAQMKAAALANVSTRLFGLDGNVSTTGENTERHTARDVNQNM -HTLLGMNSQQ - ->YP_004940328.1 coat protein [Yambean mosaic virus] -SLEEKQPELDAGSDSKTKKDKGKEEKNDHRNKAVISAKDKDVDAGSKGRIVPRLQKITKKMNLPMVKGKM -ILDLNHLLEYKPEQIELFNTRASRSQFEAWYNAVKQEYELDDGQMAIVMNGFMVWCIDNGTSPDINGTWI -MMDGDEQVEYPLKPMVENAKPTLRQIMHHFSEAAEAYIEMRNAEKPYMPRYGLLRNLRDKNLARYAFDFY -EVTSKTTDRAREAIAQMKAAALSNVNNKLFGLDGNIATTSENTERHTARDVNQNMHTLLGMGPPQ - ->YP_004936165.1 polyprotein [Yambean mosaic virus] -MATIMIGSVQIPLSNMARNHHEKLEKRVVTQNSVVPPKSLMAVEMPSLRSISHKLSLASKEMEAAYNDSI -RKMDEALQRNSELQKRLLINKYSHIQQKKHGAVCIRHCTQMQATVRETRAEQRRKEELDFLAGNYEHKSY -IGSIIEPIARATGQSVGFRSPYWRRSIKKAVHMKKTPKKVDVCVVMDATLQMASGERRLTIEFIGKRGRK -LEARYVKRGMAVIPKVKLPHEEGVYKQKELDYEQWSEQFIMLCTHARYKKISPADISPGDSGLVFDERSN -ITTNFSKLPHMVVRGRLKGKIINALDVCDEMALVHHYSDAPELQFFNGWREKFNKLVPHVQPHKCTVDFD -NAHCGGFAAIMSQLLYPVHKLSCNTCRQHIQRLSFGEYKQFLIANIGCHKDVFDQAGGIIGLDVVKKLVE -RATSESMNLTTSMEIARLTHNHKSTAMLQIQDINRALMKGSSVNQEELDLALTQLLEMTRWWQNHMNLSK -ADPLKNFRNKRSSKALLNPNLLCDNQLDKNGNFVWGERGYHSKRFFSNFFDEVIPTEGYNKYRIRKNPNG -QRELAIGSLIVPLSLERARIALKGTSIKLEPIGQSCTSMQNGNFVYPCCCVTLDDGTPLYSDLKSPTKRH -LVVGTSGEPKYIDLPQTDEERMYIAKEGYCYLNIFLAMLVNVNEKEAKDFTKMVRDVVIPMLGTWPTMQD -LATAVYILTVFHPETRNAELPRILVDHASQTMHVIDSFGSLTTGYHVLKAGTINQLIHFAAEDLTGEMKH -YRVGGDAAQRMRCESALITSIFKPKRMIQILQNDPYMLLMGLVSPSLLVHMYRLKHLERAMDIWIQRDYS -VGKIIALLGQLTRKVVVCDTLKEQLDVISNASGHLLDMLKDCPQTMHSYMPARDLLTIYLEKQASDAQLV -NNGYSTIDDLLYIELEKMYINRLRQEWLGLSLWEKSSLTWQLKRFPISIEKDLTVRASQGKSVLSKSFVS -DCFISAKSHLRSKRDTICRSMERFSHSVIRKCIGCLFGILRKCYSDIIYLVNVVIIFSLIVQMTSTIHGI -VHSARHNKLIVKKLQQERDEKAIIHIYEMCCKGEKQPPTHTSFLEHLKEVRPDLVATAENMIGTKETVAT -QAKTATQVQFEKIIAFMALLTMVIDTERSDAIFKVLGKLKTVFSTMGEEVRVQSLDEIISLDEEKQLTID -FDMESSKEISSTSFDVKFGDWWNRQLQQNRVIAHYRCSGKFIEFTRETAAKVANEISISDDTDFLVRGAV -GSGKSTGLPHHLAKKGKVLLCEPTRPLAENVSRQLGKEPFYQNVTLQMRGMSKFGSSNITVMTSGFAFHY -YVNNPHQLSDFEYIIIDECHVMDSAAIAFHCALKEFEFAGKLIKVSATPPGRECEFTTQYPVKLKVEEQL -SFSQFVQAQGTGSNADMVQHGSNLLIYVASYNEVDQLAKLLTEKQFKVTKVDGRTMQMGNVEITTMGTEG -KPHFIVATNIIENGVTLDVDCVVDFGLKVVATLDSDCRCVRYVKKPVSYGERIQRLGRVGRHKPGFALRI -GHTEKGIEDIPEFVATEAAFLSFAYGLPVTTQSVSISGLSQCTVKQARSALNFELTPLFTTHFIKYDGTM -HPEIHRILKAFKLRESEMVLNKLAIPYQFTGQWMTVEEYERIGVRVSCDRKARIPFYVHGIPDKVLEMLW -DTVCKYKCDAGFGRLTSVNATKVSYTLSTDSKSIPRTIAIIDHLIGEEMMKKNHFDTISSAITGHSFSLN -GIAEAIRKRYLKDHTQQNIATLQQAKAQLLEFSSINFNPNNLQSLEELGVLNAVRLQSKEEMCRFLNLKG -KWDGKKFMNDIVLATLTLIGGGWMMWEYLTKRMQEDVVTQGKKRMIQKLKFRDAFDRKVGREVYADDYTM -EHTFGEAYTKKGKQKGSTKTKGMGRKTRNFIHMYGVEPENYSMIRFVDPLTGATMDESPRVDIRLVQEEF -GEIRRQKIEQDELSTEKVRSMPGIQAYFIGKNTEEVLKVDLTPHRPTLLCKNSNAIAGFPERENELRQTG -LPTRIARNEVPEPNEDVAVESKSVYKGLRDYNGIASLVCQLTNTSDGHCETIYGIGYGSYIITNGHLFKR -NNGVLNVRTWHGEFEIKNTTQIKIHFIEGKDAILIRMPKDFPPFMKKSLFRPPVREERVCMVGTNFQEKS -LRATVSESSMIMAEGVGSFWIHWITTQDGYCGLPLVSVNDGYIVGFHGLASNCSDKNFFVPFCEDFEEKY -LRNAESLSWDKHWFWQPDKIAWGSLNLVDDQPKEEFKVSKLISDLFTNTVKIQSSQCWVLDALEGNLKAC -AHAESALVTKHVVKGKCPFFEQYLNEREEAATFFKPLMGSYQPSKLNREAFKKDFFKYNKVVILNEVDHA -SFEAAVQGVIMMMNEFGFAECTYVTDPDEIYSSLNSKAAVGAQYKGKKQDYLQDMDEFDKERLLFLSCER -LFYGKKGLWNGSLKAELRPTEKVVANKTRTFTAAPIDTLLGAKVCVDDFNNQFYNFNLICPWTVGMTKFY -GGWDKLMRKLPDGWVYCHADGSQFDSSLTPLLLNAVLGIRKFFMEEWWVGEEMLENLYAEIVYTPILAPD -GTIFKKFRGNNSGQPSTVVDNSLMVVIAVYYACHKVGWSDEDIQNRLVFFANGDDIVLSMKEEDLGVLDT -FAASFAELGLNYNFNERTKQREDLWFMSHHAVEIDGMYVPKLEMERIVSILEWDRSKEIMHRTEAICAAM -IEAWGYTELLQEIRKFYLWLLEKGEFKELAANGKAPYIAESALRKLYTNKHVAMEELMKYLEVLDFDHAV -GCGDTVHLQSLEEKQPELDAGSDSKTKKDKGKEEKNDHRNKAVISAKDKDVDAGSKGRIVPRLQKITKKM -NLPMVKGKMILDLNHLLEYKPEQIELFNTRASRSQFEAWYNAVKQEYELDDGQMAIVMNGFMVWCIDNGT -SPDINGTWIMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSEAAEAYIEMRNAEKPYMPRYGLLRNLRDKN -LARYAFDFYEVTSKTTDRAREAIAQMKAAALSNVNNKLFGLDGNIATTSENTERHTARDVNQNMHTLLGM -GPPQ - ->YP_004934107.1 polyprotein [Keunjorong mosaic virus] -MASAQIQFGTIDFSRERMERAWSVAPAAIEKKLYVPPSARLNQIVARAMISKETRNTEDIFQLFFNKPEI -KAELGRKRFGKIVKKASSWCIKPANDKVVEARISEMREASAFEEAFFKGELEDKATIGHVKIRTLVARGE -HIGFRTTHYKRSIKTPLKRGEAKRHRKLHNPVEGSPRAILLSLVKIAKKNRTTFEIVKKKKVLRAHFKRV -EGQSIACVQLPHEVGVKKRREVDPRLFNDEIDILGKLNRHLRFRNDQIKQGFSGAIFPRTVIREVCRDYN -SIVVRGRFEGKLIDARCKVSDFTMHKMVHYSSFEHEFWRGWKRTFDGLASSSQHECTTDLNNEACGEIVA -AIFQSVHPCTKVSCPHCRTILEKMGTEEYYERLSELFRERENKLQPLLEKWKTVGTVLNHIKRTSVHNGN -LTALNEITRIAQSSKATQMQHILEIQKALLKGAIATPEELAGAADKLLELTRWFKNHLSQISKGDVAVFR -NKASSKTSINFSLLCDNQCDKNGNFIWGERGYHSKRLFSNFFEIVEPGNGYQKYIERKNPNGMRLLAIGH -LIVPLSLTRARKALEGISVKRLPISESCISRDPSFVHTCCCVTDDVGNPVFSTLKSPTKSHLVIGNTGDP -KYVDLPAFDELKMYIAKEGYCYLNIFLAMLVNVHEESAKDFTKIVRDTIIPMLGTWPTMHDLATACYILT -VFHPETRNAELPRILVDHEAQIMHVIDSYGSLSTGYHVLKAGTVQQLLPFANESLEGEMKFYRVGGTKDE -SKRISLEVALIKSVYRPKELIALIENDPYVLFLCLVSPKLINALYNVGGLDIALSHWITRDTDLCAALTM -LSFLAQKISRASVVFEQIHCINQSAQQILMLMENLNDATATSVVVKQFLECIADRVVVDSQLQANGFITI -NEKLYDTWEKIYADRLHKEWQGLSWLEKFSLIKHCYRRKKYSSDVLPEGSGSEVEAKYVVSYNWLCGKIA -AARIGARKFVGRQVERSTEVIKRAVVNRTVGVLQYCLADIVYLVNVLLVVNLLVQVIDFVKKHVRENREL -KRRERIDDFRMKANEISEIHSVYTQTQGLPTEEEFLGHLENTDTELHSFYMSKIYNKVRLQSKTYLEKNF -EKIIAFMALITMMFDDKKSDAVFKCLGKIKTVFGTMEDVVRLQSLDEVLSIEDEKRETVDFTLNTDVIAA -NEINDKRFETWWQLQLEQNRIVPHYRSTGTFLEFTRATVVQVCNAIQTATEQEFLIRGAVGSGKSTGLPH -QLSKKGAVLIIEPTRPLAENVTKQLHKEPFYNNATLRMRGMSTFGSSNITVMTSGFALHYYAHNQEQLAK -YDFVIIDECHVIDAAGMAFYCLLKEYSFVGKILKVSATPPGRECEFTTQYPVKLRIEERASLQNFAQAQE -TGSNLDVTKDGDNILVYVASYNEVDTLSKLLLEKGFLVTKVDGRTMKLGNVEIATRGTAAKKHFIVATNI -IENGVTLDIDVVVDFGVKVQAELDSDLRSMSYKKIPISYGERIQRLGRVGRVKPGVGLRIGETFKGISEI -PVSIATEAAFLCFAYGLPVMTHNVTTSLLSKCTVNQARCMMHFELPCFFMVDLVLYNGTMHPMIHETLKN -FKLRDSEILLSTLAIPNACTSKWISSGEYKKYGVRMNVEETTKIPFFSNNIPETLYERVWQIVLENRSDA -GFGRLTLANACKVAYTLTTEPTALSKTIGIIDQLIIEEQRKKAHFETSISSLSTHSFSLQGLVTRVKNRY -LQDHTSHNIAALQTARSQILEFSTATHDLSKPDSLMPYGVLDVVRLQHKEDVVEKLGLKGKWNKSLATND -LLIAGMTAIGGLWMLWDYFKNETSDIVVHQGKRKTQKLKFRDARDKKVGQMTFYDDGTAEHTFGEAYNKR -GRVKGNNNKNKGNGFKTRNFVHLYGFDPSEYTFVRFLDPVTGVTIDESPLPNIPLVQEEITEARNKAIEE -NEDVIDYVRQGPGVKAFFVNQKTKKALQVDMRPHNPMQICRNGTVAGFAERQFELRQTGPAIEIPYEEVP -KPADVVQLEGKSLMRGPRDYNPIARSICKITNISDGFSTSMFAIGYGSILIAPGHLFSRNNGALEVNTSL -GQFTISNTTQLKVHHLKGRDMILVQLPKDFPPFPRKLRFRHPLENEKCCLVESLFQQKSLSSCVSETTAV -MPTEGCFYWKHWVSTRDGNCGSPLVSTKDGFIVGIHGLEGKMSEKNYFVTFPDDFEEKILASMDTVEWTK -HWKFNVDKILWGKLSYACDETDAAFKMAKIARDLSFDQEDIVREQAVQDKWVYRDLGENLRAVGEAESQL -VTKHVVKGKCGYFQSYLNENPEAREYFTPFLSHYGPSKLNKEAFKKDFFKYKSNIAVGTVDTVRFELALH -EVILLLMELDFGECLFVTDPDEILNSLNMKASVGALYKGKKKEYFESMTELEKEKLIRLSCERLFDGNMG -VWNGSLKAELRPIEKLKENKTRTFTAAPIDTLLGAKVCVDDFNNRFYELNLRGPWTVGMTKFYGGWNKLL -QSLPVGWVYCHADGSRFDSSLTPYLINAIIHIRQFFMEDWWIGHHMLENLYTEVVYTPILTPDGTVVKKF -KGNNSGQPSTVVDNTLMVILAVYYSVGRCLNNYDEFDDIFKFFANGDDLIIAVRPDFEHVLDGMKESFQE -LGLSYDFSQRSFDKADLEFMSHRGLERDGMYIPKLDIERIVSILEWDRSTEISHRAEAICAAMIEAWGYD -ELLQQIRSFYYWLVTKPEMQNANKNGSFPYIAETALRKLYTDQDAAESALEEYYIALSDDRDIGEEDVVT -LQSGEEKDAGADAKKNDKNKEVIPNAGPSAISVKDKDVDAGSKGQMVPRLSKMGRNMKMPKVKSGIVLNL -EHLVSYKPEQEDLSNARATHGQFENWINVTRQELEISEEQMKVVLNGFMVWCIENGTSPNINGVWTMMEG -EEQVEFPLKPFVENAKPTLRQIMRHFSDAAEAYIEFRNSEKPYIPRFAQVRNLRDVSLARYAFDFYEMNS -RTPNRAREAHLQMKAAALVNTNTRLFGLDGNVSTIEENTERHVATDVNANMHTLLGVRQM - ->YP_004564598.1 CP [Hardenbergia mosaic virus] -SDTTKKDLDAGLDSEKRKKADGGNKDKGKESTSAEKDKDVDAGSQGKVVPRLQKITKKMNLPMVKGNMIL -NLDHLIEYKPEQTKLFNTRATDAQFATWFQAVQEEYELDDAQMSIVMNGFMVWCIDNGTSPDVNGVWVMM -DGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCSTGPYMPRYGLLRNLRDRSLARYAFDFYEV -NAKTAVRAREAVAQMKAAALSNVNTKLFGLDGNVATTSENTERHTAKDVNENMHSLLGMGSVQ - ->YP_004564588.1 polyprotein [Hardenbergia mosaic virus] -MIGSFCVQLGNTCNAGITGKEMVCYNDRSLSVKAEQMATTEPSIRKAVRIINDSSKAQISEYEAAMRKMD -AALSGDAKLQTRLLCAEYGTVEKRAQRIIIKRTTEEKALLRAEVVAALKQDHEAFLRGEYTREASAGVVK -VENTTQRGTQESFKSSFWKRSVKKACGTPRKNKMHMTADELTQQILALAGKSNLQVEFIGKGRYHLRAQY -VRVRDNLIPKVMLPHERGVYKFCELDYNKVQRDLMSLCTFAKYRSITDKDMVSGCSGFCFDERSLITCVS -TRLPHFVVRGRMDGKLVNALETVENIEEVHHYSHQNELQFFKGWKTSFDELVPHADDHVCSVDINNEQCG -ELAATISQAIFPVKKLSCLKCRQKLTDMSWEEYKEFVLAHMSCHREVWEKKMMVLDYKLIEKFVKRAASE -NLNMELSMEIVRLTQNHTSTPMLQIQDINKALMKGSSVTQVELDKALKQLLEMTQWWKKHLSLANENSLI -AFRNKRSAKALLNPSLLCDNQLDKNGNFIWGERGRHSKRFFSNFFDEVVPSEGYAKYIVRKNPNGQRELA -IGSLIVPMNLNRARVALQGKSIKREPLTLACTSKQDNNYVYTCCCVTQDDGKPLYSELRSPTKRHLVVGN -SGEPKYIDLPAADADVMYIAKEGYCYLNIFLAMLVNVNEEEAKDFTKMVRDTIIPMLGQWPTMMDLATAV -YILTIFHPETRNAELPRILVDHASQTMHVIDSFGSLTVGYHVLKAGTINQLIQFASDDLQGEMKFYRVGG -DGVTRMRCETALIKGIFKPKVMLSLLNQDPYIALLGMISPTILVHMYRMRHLEEGIKMWIDRDQEVAKIF -ITLEQLTRKVVVSELLIQQMQTINDSAAHLIEIMNNCKHRSLAYAPAKDLLTVCYENNSSNASLRDNGYL -DLSSQLYMEREKIFLGRLKQEWRDLNWREKSSATWQLKKFSPSMEACLTKKAAEGKTGSPGIFVNACFSK -ATTYLRSVRECASRQSEKFVTSFCKRCVNILLGFFQRCYGDILYLVNICIIFSLLLQMVSTVRNMIASVQ -KEKALTHRYKMEEEERTVMNIYCLQMHRNGKVPTLEEFRDHLRNFRPDLIATMETMIDPAEECIVNLQAK -TAVQLQFEKIIAILALATMCIDAERSDAVFRILSKIKMAFSTVGEDVRLQSLDEINNLEDDKKLTVDFDL -ETSKPSTSTSFDVKFEDWWNKQLQQNRVVPHYRSSGEFLEFTRETAALTASQIATSVHSEFLIRGAVGSG -KSTGLPHHLSKKGRVLLLEPTRPLAENVSKQLSKDPFYQQVTLRMRGMSVFGSSNITVMTSGFAFHYYVN -NPTQLADFDFIIIDECHVLDSSTIAFNCALKEFSFAGKLLKVSATPPGRECEFTTQHPVKLKIEDHLSFQ -NFVQAQGTGSNADMIQHGNNLLVYVASYNEVDTLSKLLTERHYKVSKVDGRTMQMGNIEIPTSGTESKPH -FVVATNIIENGVTLDIDCVVDFGLKVVAVLDSDNRCIRYNKKAVTYGERIQRLGRVGRCKPGFALRVGHT -EKGVEEIPEFIATEAAFLSFAYGLPITTQSVTTNILGNSTVKQARTALNFELTPFFTVHFIKYNGSMHPE -IHKLLKSFKLRESQMDLCKLAIPFQFVSQWIKVKEYERMGIRVQCDGETRLPFYVHGVSDKVYEALWQTV -HKYRHDAGFGSISSVNSTKISYTLSTDPGAIPRTVAILDHLLAEEMTKKNHFDTIGSAVTGYSFSLAGIA -DGFRKRYLRDYTSQNIAILQQAKAQLLEFDSRKVDLNNLHNLEGLGVLHTVRLQGKSEVANFLNLKGKWD -GKRFMNDALVGVVTLIGGGWLIWEYLSKKLNEPVTTQGRKRQIQKLKFRDARDRKLGREVYADDNTMEHT -FGEAYTKRGRVKGSTHTKGMGKKTRNFTHIYGVEPDDYNFIRFVDPLTGHTLDEAPRTDIRIVQEEFQEM -RKQKVLDDELEWGHVSANPGIQAYFIGKGTEEALKIDLTPHRPTLLCQNSNAIAGFPEREDELRQTGAPV -RVKMSDVPKPNEAVEVEGKSVYKGLRDYNNISTLVCKLTNESDGHVESMFGVGYGSYIITNGHLFRRNNG -ILSVQTWHGEFTIMNTTKICIHFVEGKDLILIRMPKDFPPFARKSIFRHPKKEERVCIVGTNFQDKSLRA -TISESSMALPEPKSSFWIHWVSTKEGDCGLPLVSTTDGCIVGIHGLSSNVSDKNFFVPFIDNFEKQYLNN -VDSLAWDKHWFWQPDKIVWGSLRLESEQPKEEFKISKLMSDLFETDVTTQSKQERWVLSEASGNLRACGK -MESSLVTKHVVKGRCRFFEEYLNTHPMEEKFFRPLMGEYQPSKLNKDAFNKDFFKYNKPVVLNEVHFDSF -ERAVSGVKVMMMELGFHECVYVTDHEDIFGSLNLKAAVGAQYRGKKNEYLAGMDVFDRERLLLLSSERLF -NGEKGIWNGSLKAELRPNEKVQQNKTRTFTAAPIDTLLGAKTCVDDFNNLFYSLHLKCPWTVGMTKFYAG -WDKLMRSLPDGWVYCHADGSQFDSSLTPLLINAVLDIRKFFMEDWWVGTEMLENLYAEIVYTPILAPDGT -IFKKFRGNNSGQPSTVVDNTLMVVIAVYYSSIQLGWTCEDIQNRLVFFANGDDIILAVREEDMHIYDHLG -SSFAELGLNYNFDERTRNREDLWFMSHKAIEVEGLYIPKLEEERIVSILEWDRSKEIMHRTEAICASMIE -AWGYPDLLREIRKFYLWLVQKDEFKELAALGKTPYIAESALKKLYTDKNASQSELHKYLAALTENHESEC -FETVSLQSDTTKKDLDAGLDSEKRKKADGGNKDKGKESTSAEKDKDVDAGSQGKVVPRLQKITKKMNLPM -VKGNMILNLDHLIEYKPEQTKLFNTRATDAQFATWFQAVQEEYELDDAQMSIVMNGFMVWCIDNGTSPDV -NGVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCSTGPYMPRYGLLRNLRDRSLARY -AFDFYEVNAKTAVRAREAVAQMKAAALSNVNTKLFGLDGNVATTSENTERHTAKDVNENMHSLLGMGSVQ - ->YP_004063680.1 coat protein [Passion fruit woodiness virus] -SKTEEKDETIDAGNDGKKVISKKSTQVTGEASKGKESASGEKDKDVNAGSKGSGVPRLQKITKKMNLPLV -KGNIILDLEHLIEYKPDQTKLFNTRATDAQFAAWYEGVKAEYELSDDQMGIVMNGFMVWCIDNGTSPDIN -GVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCASGPYMPRYGLLRNLRDKNLARYA -FDFYEVNAKTSDRAREAVAQMKAAALSNVTNKLFGLDGNVATTSEDTERHTARDVNRNMHTLLGMGSPQ - ->YP_004063671.1 polyprotein [Passion fruit woodiness virus] -MATIMFGTISAVLPGNKVTAGEVTRKMCKTEHIMSVQPSQMAKTEPSVRSGFHFYRHQGKMAVKAYDDAI -QKMDEALRNNDALQRRLKIYQYSPLQMRKGGGGRLXIATEEQAEKRRAKAIAAEKAHQEFLSGGFSNDVH -IGKVDILDLTQKGEQVSFKSPFWRRAVKTKRTKQVRTMVKGDANXITNDLMRILAKSGKQFEIINGRQRA -IKGRFMKFRDNILPKIELPHERGIYKRQELNYESIQKDLTLLCTHGKYRSVEDNDIVSGFSGVCFDERSS -ITTENTQLPHFVVRGRKDGSLVNALDTFDDVEFIHHYSHQNEIEFFQGWRDAFNEMIPHIEDHECTIDYD -NEECGAFAATMSQSLFPVKKLSCGKCRQHLTGMSWEEFKQYLITHMGCCDKVWQKKRAVSEYKVVEKFVK -QVTYENMNIEVAMEIVRLTQGHTSTPMLQIQDINKALSKGPAVTQTELDTALKQLLAMTQWWRNHMTLTG -EDSLKTFRNKRSAKAMINPSLLCDNQLDKNGNFIWGERGYHSKRFFSSFFDEVIPSEGYTKHVIRKNPNG -QRELAIGSLIVPMSLSRARTALQGRSVRREPLTLACTSKLGTNFVYPCCCVTQDDGTPFYSDLKSPTKRH -LVVGTSGEPKYIDLPATDAEKMYIAKEGYCYLNIFLAMLVNVNENEAKDFTKMVRDTIIPLLGTWPTMLD -LATAVYMLTIFHPEARNAELPRILVDHASQTMHVIDSFGSLTTGYHVLKAGTVNQLISFASDDLQGEMKF -YRVGGEVQQRMKCETTLIKSIFKPKLMMEILKEDPYLLIMGMISPTILVHMYRMRHLEKGIEFWINRDQE -VAKIFVVLEQLTTKVAMNDVLLTQLQIINETSSQVLTTLDNCRNRTHGFKPARDLLTMCAENSDSNKVLH -GNGFLDLNDQLYSAREKIYADRLKKEWYALNLLERYCATWQLKKFSICTERSLTKIAVDGKTQSSVRFVD -VCFTKATTYFRSTKDFFVRRIESVSLHVGRKIVNFFLGIIHRCYSDLIYLVNVCIIFSLLVQMMSIVRSS -VAASRQEKETLQRFKMKEDEGTVIRMYDLFARTCKGEPVLSEFREHVKEVRPDLLHTLEYMSGKEEVELQ -AKTQVQLQFEKIIAVLALITMCIDAERSDAIFRILSKLKMVFSTVGEDVKVQSLDDIENISDDKLLTVDF -DLDTNKPATSVSFDVKFEDWWNRQLQQNRVVPHYRTSGDFMEFTRETAAQVANAIKTSSSTEFLIRGAVG -SGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLGKDPFYHHVTLRMRGLSVFGSSNITVMTSGFAFHYY -VNNPGQLAEFDFIMIDECHVLDSSTIAFNCALKEFEFAGKLIKVSATPPGRECEFTTQHPVKLKVEEHLS -FQNFVQAQGSKSNADMVQHGNNLLVYVASYNEVDTLAKYLTEKQYKVTKVDGRTMQMGNVEIVTSGTAEK -PHFVVATNIIENGVTLDIDCVIDFGLKVVAELDTDNRCVRYNKRPVTYGERIQRLGRVGRCKPGFALRIG -HTEKGMEEIPEFIATEAAFLSFAYGLPVTTQNVTTNILSNCTVKQARNALNFEITPFVTTHFIKYDGSMH -PEIHKLFKPYKLRESEMLLSKLAIPFQYTNQWITVKDYDRQGIRLHCPEGTRIPFFVNGIPDKLYEMLWE -TVCKYKSDAGFGRISSVNATKISYTLSTDPSAIPKTIAIIDHLLSEEMMKKNHFDTIGSTITGYSFSLAG -IAEGFRNRYLRDYTGQNIATLQQARAQLLEFDSRRVDLNNLHSLDGLGVLNTVRLQSKSEIVKFLGLKGK -WDGKKFMNDIIVGLVTLIGGGWLMWEYFTKSAKEPVSTQGKKRQVQKLKFRDAFDRKVGRVAYADDHTLE -HTFGEAYTKRGKVKGSTHTKGMGRKTRNFTHLYGVEPDNYNFIRFVDPLTGHTMDESTRVDIRIVQDEFG -EVRREKIDNDELDPHAIVSRPGLQAYFIGKNTENALRVDLTPHIPTLLCQNSNAIAGYPEREDELRQTGA -PIPVPIADVPKRNEHVELESKSIYKGLRDYNGISTLVCQLTNSSDGHSESVYGIGYGPYVITNGHLFRRN -NGILRIKTWHGEFTIMNSTQVRIHFIEGRDVILIRMPKDFPPFARKNLFRGPIKEEKVCMVGTNFQDKSL -RATISESSIILPEGKSSFWMHWISTKDGECGIPMVSTNDGAIVGIHGLTSNESEKNFFVPFEDQFEEKYL -KNAEALTWDKHWLWQPEKIVWGSLNLVTEQPREEFKVSKFVEDLWGAVSTQSKRDRWVFEEADGNLRACC -TMESSLVTKHVVKGKCPYFEEYLRTHEAEREFFTPLMGAYKPSRLNKDAFKKDFFKYNKPIVLNEVDFDS -FTLAVDSVKLMMLEFGFHECTYITDTDTIFESLNMKAAVGAQYRGKKKDFLDGMDMFEKDRLLYLSCERL -FYGEKGVWNGSLKAELRPKEKVEMNKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNLTCPWTVGMTKFYG -GWDKLMKSLPDHWIYCHADGSQFDSSLTPLLLNSVLDIRKFFMQEWWVGEEMLENLYAEIVYTPILTPDG -TIFKKFRGNNSGQPSTVVDNTLMVVVSVYYSCIKLGWSEEDIQNNLVFFANGDDIILAVQPKFEYLYDSM -GKSFMELGLNYDFSERTTKREDLWFMSHQAKEIGGMYIPKLEMERIVSILEWDRSKELMHRTEAICAAMI -EAWGHTELLREIRKFYMWLIQKEEFKALSLLGKTPYIAETALRKLYTDKDASQNEIEVYLRNLCLQQDEC -YESVSLQSKTEEKDETIDAGNDGKKVISKKSTQVTGEASKGKESASGEKDKDVNAGSKGSGVPRLQKITK -KMNLPLVKGNIILDLEHLIEYKPDQTKLFNTRATDAQFAAWYEGVKAEYELSDDQMGIVMNGFMVWCIDN -GTSPDINGVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCASGPYMPRYGLLRNLRD -KNLARYAFDFYEVNAKTSDRAREAVAQMKAAALSNVTNKLFGLDGNVATTSEDTERHTARDVNRNMHTLL -GMGSPQ - ->YP_003587809.1 P1 [Freesia mosaic virus] -YHAVRDARKDALKNVNATTKLIGKVVPMSLEVARENVKRITKLRKQDVERLVKSLVIKRAKNGVGPDRFG -LWVSKNGKMFVHRVSTWVGHQLGMKLRRQKQQEDFVASKDHEITRIECDKIGAEPVHVSYESMRSPFWQR -TPPKQEKRKKSVAHYGVNVIDKLTKSLINICSQEGKQLEVILQRKRKITCTYKTFGKSVIPSVRLPHEEC -GTRKKRELHPQMFNEIITLLAKGRKMRDHVVEQDITFGWSGTILPSKILKSRPYRYDEVVVRGRLEGQIV -DARTKLSFFAKETITQY - ->YP_003587807.1 polyprotein [Freesia mosaic virus] -MYHAVRDARKDALKNVNATTKLIGKVVPMSLEVARENVKRITKLRKQDVERLVKSLVIKRAKNGVGPDRF -GLWVSKNGKMFVHRVSTWVGHQLGMKLRRQKQQEDFVASKDHEITRIECDKIGAEPVHVSYESMRSPFWQ -RTPPKQEKRKKSVAHYGVNVIDKLTKSLINICSQEGKQLEVILQRKRKITCTYKTFGKSVIPSVRLPHEE -CGTRKKRELHPQMFNEIITLLAKGRKMRDHVVEQDITFGWSGTILPSKILKSRPYRYDEVVVRGRLEGQI -VDARTKLSFFAKETITQYSSFEAQFWKGWKRAFDQLAPKETHTCQTTISNEACGEIVAAIFQMVHPCAKV -SCTTCRDQIGLSSKEEYAELTSQNIRQHDEIFTTMRQAFASVDRVMTHFEASSLLNLNVKDCMEVIRLAQ -DQRATQLQQLLVVNNVLMKGHLSTSEELSEASAKLLEVVRWFMKHLSLVAESSLSTFRNKAASKALVNPS -LLCDNQLDKNGNFVWGERGRHSKRFFGNYFEEIIPGDGYKDMWSAIAKLHPKLAVGNLIVSMDLAVARKA -LLGESIERMPVSDSCVSRMNGAFVHVCCCVTNDVGQAVYSDLRSPTKRHLVVGASGDPKFIDLPTNDSEK -MYIAKEGFCYLNIFLAMLVNVNEDNAKDFTKMVRDRIVPELGTWPTVIDLATACYILTVFYPETRGAELP -RIFVDHATKTMHVIDSFGSLTTGYHILKAGTVSQFIHFAPEQLDAEMRYYNVGGDANSGRRMRMERALIK -GIFRPKLLMHIIHEDPYTLMMSLLSPCMLLNLYNVGGLEVAMREWIVKEASVSAIFATMSRMAESVSRAD -MVVEQLMVIRSHAGHFLELLASLNAGIRFRDEVVNVLTMMLAQSEMDSDLTKSGFVDLRMPLYEMREKIY -AGELDKEWSGLSLWEKFYLITFSRKSRPSSSQPLHSTRSDGIEGKYVASRDWLLGKMRQKWCGIRTGATQ -RMEATLGFCKRNTIGSVLYIIRRCYRDIFYFVNVMLIATAVVNFIHTVHRIVLEQRESKMVARVLQSRID -SNVLGNIYKQYVKEKQETPTATEFYEHVRESNEELAERVKDELLYKDTVTYQGKSPTEKSLERTVAMVAL -LAMVFDTERSDAVFKILSKIKSVFSTLGDEVKYQSLDDIESIETEKKMTIDLELDTDAIPASAVMSAKFS -DWWYPQLEQNRVLPHYRIGGEFVEFTRKTATNVVLTIDTSSSKEFLIRGAVGSGKSTNLPHLLARKGKVL -LLEPTRPLAENVCKQLRKDPFNVNPTLRMRGMTTFGSSNITVMTSGFALHYYAHNPSLMVDFDFVMFDEC -HALDAAAMAFYCLLSEHGYPGKILKVSATPPGKECEFKTQFDVKLIVENDVSFKNFADSQGTGANIDMTK -YGDNILVYVASYNDVDQLSGMLLNHGHHVSKVDGRTMKLGHVEIATKGTPSKKHFIVATNIIENGVTLDI -DVVVDFGQKVVAELDCDSRCMRYQRRSISYGERIQRLGRVGRVKDGAALRIGHTESGLTEIPASISTEAA -FYCFAYGLPVITHNVSVSLLSNCTVQQARTMMHFELSPFFMVELVKYNGCMHPEVHRILQPFKLRESEIE -LNKLAIPSSGLSRWITVGEYGRMGIHINAHDDVRIPFACRGIPDSLYAKLWEIVLTHKHDAGFGRLTSAS -ASKIAHTLTTNVDAIPRTLAQIEQLIEEEMVKKAHFESLSASMTTRRFSLGGIVDTIRQRYMRDHSTHNI -EVLQAAKAQIMEFNSATHDFQKISSLLGYGFLDTVQYQDNKEEIGKRLGLKGRWNGSLLTHDLMVCGLVA -IGGFWMAWEYYVAESKDLVRYQGKGFRGGKRSTQKLKFREARDKKVGREVYGDDGTIEHYFGAAYTSKGK -QKGNHTKKGMGRKNHRFVHFYGFDPAEYSFVRFVDPLTGYAIDESVTCDISLVQDEISEARRLARENDTE -LPNAMRTRPGIQAYFMKNNSNNALRVDMTPHNPLAMGAKSTSIAGHPEREFELRQTGAAQVVQASDVPSV -QDDVVRTEHGRGRWVFDDLNLNLKAVACANSQLVTKHVIKGQCQFFQEYLAAHPDAATYFGPLLGHYRPS -RLNKEAFKKDLFKYMDEIIIGEIDGEAFERGYEDVCALLHDLKFGECQFITDPSVILESLNMKAAVGSLY -TGKKNEYFEAMTADEKEEMVLKSCERLYNGCMGVWNGSLKAELRPLEKVEANKTRTFTAAPIDTLLGGKV -CVDDFNNRFYELNLAGPWTVGMTKFYGGWDKLMKALPEGWLYCHADGSRFDSSLTPFLINAVIRLRLEMM -ERWDLGWEMLQKFYTEIIYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVILAMHYSMEKQGWKRNESK -ERLVFFANGDDLIIAVKPGYEGILDSLAVSFKELGLIYDFSERCHDRQELWFMSHQGHLIDGMYIPKLER -ERIVSILEWDRSHAIEHRAEAICAAMIEAWGYPDLLLEIRKFYAWILDHDMFHDLAAIGKLPYIAETALR -KLYTDRDCEDEELLHYLRAFNFEGELVGTDEVRYQGKSMCRGLRNYNPVASCICKLVNDSDGIVTTIFGL -GYGPVIITNGHLFNRNNGTLQINTHHGVFRVENTTKLQIHHVERKDMVLIRMPTDFPPFPQRLKFRSPVQ -GEKANLIGSLFQQKNISSVVSETTLVMPANNSGYWRHWVSTKDGDCGLPMVSTSDGYILGFHGLTSTISD -RNYFVPFTDNFEEDVLRKIGDLNWKRHWKHSADLIAWDGIKLIEEQPQKIFKTTKLISRSSRANTRRGYV -SATSAPEQLDAGAEAERRRKARSGETEQPIKGQEREIAKNSEKDVDVGSRASKSVPRLAKLKVRMKVPTT -AKGPVLDLDHLLEYKPDQIDLSNARATKQQFDAWFNGVQNAYELDDIQMKILMNGLMVWCIENGTSPDIT -GVWTMMDGDEQVEYPLRPVIENAKPTLRQVMRHFSDMAEAYIEMRNAEKPYMPRYGLQRNLRDYSLARVA -FDFYEITSKTSVRAREAHMQMKAAAVANTTAKMFGLDGNIGTQEENTERHTVTDVSANMHSLLGVRHM - ->YP_002308580.1 polyprotein [Zantedeschia mild mosaic virus] -MAGVCFAFPRALSWESMQFGSIPSNYFGVEGEQEQEAPLLNAPTMQVAPQVMAPASKMAPTFRDHHKVIV -QRGEKLIALYDEQMHNCFAALDARAAKPAEPIHYTHALLRKCTNMGYKKRCDFLRKQRVAELKEAKVHAF -DAVGTVYTTGHVFDKHGMLQTTGTSIGGGILPSLVKGERIATTATSLGVRSPYERASKQNLGLRSPYYKR -TPKLQRVPKKQIPRAIGESEFVTDAVLIIAAQRGLPIEFIGKRKHALKAHYVRVRDQIVAKVELPHERGT -YLSQELSYPEYINELNVLYKHADCPLISAAELGPGSSGFCFDKNHPITKECTTYPFMVVRGRRYGELINA -LSVENWIGEIHHYSPNLELQFMQGWLKYQQLMKPKGSTHACVFDFNNHRCGEFAAAICQTTFPLRQIACK -QCRLELGQMSKDEFKEYLDQHMKYCDQFTIREFQGGDLGTLQDFMQQATTSVTNFKTCAEISKLVQGYTS -THMLQIQDINKALLKGSMVTQLELDQACKQLLEMTQWWKKHMNLTGEDALKTFRNKRASKALVNPTLMCD -NQLDKNGNFVWGARGYHSKRFFTNFFEKVDPSNGYGGYIFRKGPNGVRELAIGSLIVPLNIERARVALKG -KSVDKKPLTQACTSKQDGNFVYACCCVTQEDGTPVYSELKSPTKRHLVVGTSGEPKFIDLPTSDDEPMYI -AREGYCYLNIFLAMLVNVNEGDAKEFTKMVRDVLIPLLGTWPKLTDLATAVYILTVFHPETRSAELPRIL -VDHEHQTMHVIDSFGSLSTGYHVLKTGTVSQLIHFGADDLVSEMKEYRVGGTSAMSTETALIKSIFKPKI -MLQILNEEPYLVLMGIISPTILIHMYRMQHFEKGIEIWIQRDQSIAKIFIILEQLTKKVAVSELLSQQLE -IINGQVEQLQTVLGECPREFNSHKGAKGMLDIFVERMSTNKELVSNGYFDMNYQLYIEREKIFVQGLQQA -WRELKLSEKFLLILQSKKFSPVMADTSIRKVKDAKGESSGSLVSAYFTTGISNLKSLKQFGFRKVERLWF -SCVKAFVNIVCRMLRSCYRDFFYAFNLCLIFCVFVQMIGTVKSIMESIKADKALAYAAQMDKHERTLIHM -YDIYKESSQGTPVFEEFRKHVEMVRPDLVDVLSYMAANDEVVSTQAKTAAQLQLEKVVAFFAILTMCFDT -ERSDAVFKVLNKLRAVFLTLGEGVRVQSLDEILSLEGDKGLTVDFDLEVPESSTSTALDVRFGSWWQSQL -QRNLVVPHYRTSGIFMEFTREGAARLTNEIILSSDSEFLIRGAVGSGKSTGLPHHLPKKGGVLLLEPTRP -LAENVSKQLAKDPFYQHVTLRMRGLSRFGSSNISVMTTGYAFHYYANNPHQLTNFDFVIIDECHVHDANA -IAFNCLLKNYSFGGKLLKVSATPPGRECEFTTQHAVHLKTEDVLSFQSFVQAQGTCANADVVQYGHNILV -YVASYTEVDMLSRLLVDKQYRVTKVDGRSMQLGNVEITTQGTSTKPHFIVATNIIENGVTIDIDCVVDFG -VKVVATLDSDNRCMRYSKCAVTYGERIQRLGRVGRCKPGHALRIGHTEKGIEEVPESIATEAAFLCFAYS -LPVTTNSVSTNILSRCTVKQAKNALNFELTPFFTVHFIRHDGSMHPEIHKLLKPYKLKESEMHLNKLAIP -HQYTSQWITSAEYERLGVHIECSPHTRAPFHANGIPDKLIEALWSVVCEFKSDAGFGSVSSACAAKISYT -LSTEPGAIPRTLAFIEHLLTEEMTKRNHFDTIGSAITGYSFSLAGIANSFRKRYLRDYSAQNIATLQQAK -AQLLEFDSKHIDFKNIQDLSDIGILNTVHLQSKGEISKFLKLEGKWDGKSFMNDLLVGVITIFGGGWMLW -EFFIKSWKESVTTQGKKRRNQKLHFRDAYDRKMGRMIVADDNTMEQTFGEAYTKRGKVKGSKHTKGMGRK -TRNFVHIYGVEPDEYSFIRFVDPITGHTMDESPRVDIRIVQDEMQDVRIKMLENDDIAPQQIYRHPGISA -YLVATNAEKALKIDLTPHLPTLLQRNTNAIAGFPEYEGELRQTGAPLVIDRASAPAANQVNLESKSVYKG -LRDYNNIATVICRLENASDGHNEVMYGVGYGSYILTNGHLFRRNNGSLTIKTWHGEFKIANTTQIFVHFV -AGKDLILLKMPKDFPPFCKMSIFRAPIREERVCMVGTNFQEKSLRATISESSIILPEGKGSFWVHWISTK -DGDCGLPMVATSDGCITGIHGLASNQTEKNFFVPFNDTIEKDLLISADELEWNKHWLWQPDKIAWGSLSL -VANQPGSEFKVSKLITDLFSNAVQTQSKIEKWIYTALEGNLRACGETESALITKHTVKGRCRFFSEYLAT -NPEAEKFFRPLMGAYAPSKLNKDAFKRDFFKYNKPIELNKVQIGVFQQALQSVINLLQEKGFKECVYVTD -TTEIFDSLNLKSAVGAQYRGKKGEYIENLDVSARDELLKQSCERLFLGKKGIWNGSLKAELRPMEKIRAN -KTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNMECPWTVGMTKFYGGWDKLMRKLPEKWVHCHADGSQFDS -SLTPLLLNAVLTLRLCFMEEWFVGQEMLQNLYAEIVYTPILTPDGTICKKFRGNNSGQPSTVVDNTLMVV -ISVYYACHKLGWSANEIQDRLVFFANGDDIILSLPEEHLHALDTFQTSFEELGLNYDFSERTRDRSDLWF -MSHQGKLVDGMYIPKLEEERVVSILEWDRSKEILHRTEAICAAMIEAWGHPELLREIRLFYLWLLHKSEF -KELAAMGKTPYIAETALQKLYTDVNATDLELQRYVEVLTYDEDEGCGEDVVLQADDQQQQQQQKQQQQQD -QQQQQQQQQNQQQQQQQQQNQQQQQQQNQNKTVDAGNNQNKQKSPASGGEVSDPIIPPQGGQLTTQGQRD -LDVNVGTKGKQVPRLQKMSSNMKLPMVRGKRILDLAHLIEYQPQQSDLFNTRASQTQFNNWYDAIKNEYG -VDDSQMQRIMNGFMVWCLENGTSPNINGVWVMMDGDEQVEFPLKPMVENAKPTLRQIMHHFSDAAEAYID -LRNAAAPYMPRYGLLRNLRDRGLARFAFDFYEVTSKTPDRAREAVAQMKAAALNNVSTRMFGLDGNIATA -TENTERHTAKDVSPSMHSLLGISALQ - ->YP_001974445.1 coat protein [Fritillary virus Y] -SGSGEVGALDAGTDSRKKKQSEGDPILIGDPSTTKQHEPDINVGTKGRVVPRLQKITKRMNLPMVKGNVI -LNLDHLIEYKPNQTDLFNTRATRTQFESWYTAVKNEYELDESQMGVVMNGFMVWCIDNGTSPDMNGVWVM -MDGDEQVEYPLKPMVVNAKPTLRQIMHHFSDAAEAYIEMRNSEGLYMPRYGLLRNLRDKSLARYAFDFYE -VNSKTSDRAREAVAQMKAAALVNVNNKLFGLDGNVSTTSENTERHTARDVNQNMHSLLGMNPMQ - ->YP_001974419.1 polyprotein [Fritillary virus Y] -MASITIGAFSVPLAIGAPMCTRVEAVRPAMNTPQAINKGLTVQMCRAVGSMMVADYNATMAKFEESLRKN -TAVQRRLLINTHSAVKYNKFKKTHNLRHVSFSTAVQENMKLIAQQKEEQDFLDGKFENREYVGGIREPLN -ITKGSSVGFRTTHYTRTAKKRPVVAPIRTPHTTDVKKLLHEVLTTAKLMQKPVEFIGTGKRNVIKAKFIE -RHNHVFAKIQLPHEVGKYCVQELSYEHSGEFLKALCRVTKHCNISETQIACGDSGLVLDRRFAITRDSTT -FPYMVVRGRMCGKLVNALSRVSRYENIHHYSASPEFQFFRGWKKVFDKMAPQIQDHGCIINYTNEQCGEL -AATISQSLYPVRKLSCAKCRQHINDLSWEEYKQFILTHNGCCSEVWQNQQGDAGLAYVQKLIQQATMENT -NLATSMEIVRLTQNYTSTHMMQIQDINKALMKGPSATPSELDKASKQLLAMTQWWKNHMTLTDEDALKIF -RNKRSAKALINPTLLCDDQLDRNGNFIWGERGKHSKRFFSNFFEEVVPSEGYGKFAMRKHPNGQRKLAIG -SLIVPLDFDRARIALQGESIKREPITLACVSRQDGNFIYPCCCVTQDDGKALYSDLKSPTKRHLVVGASG -DPKYIDLPATDNDKMYIAKQGYCYLNIFLAMLVNVNESDAKDFTKMVRDVIVPRLGEWPTMMDVATAAYM -LTVFHPETRNAELPRILVDHACQTMHVVDSFGSLTVGYHILKAGTVNQLIQFASNDLQSEMKHYRVGGEA -QQRVKCELALISSIFRPKRMMQILENDPYIVIMGIVSPSILIHMFRMKHLEKGIDLWVTRNQSVAKIFII -LEQLTRKVALNDILAEQLQVISETSSHLLEVIEDCPHCSHSYKPAKDVLTIFVERRASNRQLLDNGFLDI -NNKLYVELEKNYVEKLKQQWRELNWFARSSITWQLKRFTPHMEDILIKRATEERSEFSRKFVSECFITTQ -SYLKNARNIVLQKCEDVWAALIRKVVASCLSVMHRCYSDILYLVNVCIIFSVLVQTSSILFNTVKAIKHD -RMLVEQTKNAEEEKTLMHMYDMCTKVADGPPSAEAFMSHVTSVRPDLVKTAQYLISYTEEEESTQSKEAI -QLQFEKIIAFMALLTMCIDTERSDAIFKILSKLKTVFNTMGEDVMIQSLDEIQNLDDDKKLTIDFDLETS -AESSSVSFDVRFEDWWDRQLQQNRVVPHYRSVGEFMEFTRETAAMVANQIAISNSTEFLVRGAAGSGKST -GLPHHLSKKGKVLLLEPTRPLAENVSKQLSGDPFLQNVTLRMRGLSKYGSSNITVMTSGYAFHYYVNNPT -QLCNFDYIIIDECHVLDSPTIAFNCALKEYEFPGKLLKVSATPPGRECEFKTQHPVKLKVEEHLSFQHFV -QAQGTGSNADMTQHGNNLLVYVASYNEVDQLSKMLTERHFKVTKVDGRTMQMGNVEIITTGSEGKPHFVV -ATNIIENGVTLDIDCVIDFGLKVVAELDSDNRCVRYAKKPVTYGERIQRLGRVGRCKPGFALRVGHTEKG -IEEIPEFIATEAAFLSFSYGLPVTTQGVSTNILSKCTVKQAKVALNFEITPFFTTHFIKYDGSMHPEIHR -LMKPYKLRESEMLLSKLAIPYQFTNQWLTAKEYERMGVHLHCDDKVRIPFYVNGIPDKLFETLWDTVCKF -KCDAGFGRLSSENAAKISYTLSAESGAIPRTIAIIDHLLSEEMMKKSHYDTLSSSVTGYSCSLAGVVSGF -RKRYLRDHTQHNIAILQQARAQLLEFNCSSVDLIKLQNLDGLGILHAVQLQSKHEMSKFLQLRGRWDGKK -FMNDIIVAVFALIGGGWMMWEYFTKSIKESVTTQGKNRKNQKLKFRDAHDRKVGRVVFADDNTIEHTFGE -AYTKKGKQKGSTKTKGMGRKSRNFTHMYGVEPENYSMIRFVDPITGHTIDDNPRVDMRLIQDEFGEIRDK -WLAEDKVEKQYIYHNPAIHAYFLGKNTEEALKVDLTPHIPTLLCRNTNAIAGFPEREYELRQTGMPIKVP -LSEVPKPNEIVDLESRSVYKGLRDYSGIATLICQLTNSSDNHHETIYGIGYGPYIITNGHLFRRNNGMLV -IRTWHGEFVVQNTTQLKIHFIEGKDAILIRMPKDFPPFAKKGFFRHPRKEERVCMVGTNFQEKSLRATVS -ESSIVVPEGVGSFWTHWITTQDGYCGLPLVSVNDGFVVGFHGLTSNDSDKNFFVPFIDDFEAKYLANAEG -LSWDKHWFWQPSRIAWGSLNLVEEQPREEFRVSKLITDLFSDTVAVQSRTDRWVFDAAEGNLRACGRTES -ALVTKHVVKGKCPHFTQYLALNDDAAAFFKPLIGAYQPSKLNKEAFKKDFFKYNKPIVLNEVSFEAFEVA -VAGVIAMMSEFGFHECVYVTDPDEIYGSLNMKAAVGAQYRGKKSEFLSDMDQFDKERLLYLSCQRLFYGE -KGIWNGSLKAELRPIEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNLKCPWTVGMTKFYGGWDK -LMRSLPDNWIYCHADGSQFDSSLTPLLLNAVLGIRRAFMEEWWVGQEMLDNLYAEIVYTPILTPDGTIFK -KFRGNNSGQPSTVVDNTLMVVIAVYYSCTKQGWTRQEIQERLVFYANGDDIILSTRENDVWLLDTFAISF -AELGLNYNFDERTRRREDLWFMSHRAIDISGIYIPKLEPERVVSILEWDRSKELMHRTEAICAAMIEAWG -YPELLREIRKFYLWLLSKEEFKELAASGKAPYIAETALKKLYTDADAQIGELQEYLKVLDLTYKEGCSES -VSLQSGSGEVGALDAGTDSRKKKQSEGDPILIGDPSTTKQHEPDINVGTKGRVVPRLQKITKRMNLPMVK -GNVILNLDHLIEYKPNQTDLFNTRATRTQFESWYTAVKNEYELDESQMGVVMNGFMVWCIDNGTSPDMNG -VWVMMDGDEQVEYPLKPMVVNAKPTLRQIMHHFSDAAEAYIEMRNSEGLYMPRYGLLRNLRDKSLARYAF -DFYEVNSKTSDRAREAVAQMKAAALVNVNNKLFGLDGNVSTTSENTERHTARDVNQNMHSLLGMNPMQ - ->YP_001816835.1 CP protein [Telosma mosaic virus] -SSKVDDVDAGNSNKDKKKGVESSQSPKEDKTVIIPDKDVGNSSKGRIVPRLQKITKKMNLPMVKGKVILD -LDHLIDYKPNQTDLFNTRATKQQFDSWYNAVKTEYELDDAQMNVVMNGFMVWCIENGTSPDINGVWVMMD -GDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRNSEGLYMPRYGLLRNLRDKSLARYAFDFYEVN -SKTSDRAKEAVTQMKAAALVGTTNRMFGSDGSVSTACEDTERHTARDVNQNMHTLLGVGSVQ - ->YP_001427386.1 polyprotein [Telosma mosaic virus] -MASIVIGSVSIPLSCYSTGAISLPCDNTGTKSPLIVTRQMMATEKSSSKAVAYALKQVCSKVAEPYNLAV -MKMDLALKQDSELQKRLFINKFSPIVLRKNRTLGIRHLSLEHANERMNAALLREQEEKDFLAGRYANCAY -TGDVLGSTEPSRGSSVGFRTTFYKRTPKRVVQQPKKKAQLRLDNVFSQVMQIVSTYGKQVEYIGKGKNAH -ARVHFVQRGHERVIKFKLAHEEGKYKHQELNIDKIKEFLTYLERYNVKSCFKDKAIKPGDSGLFICGKQR -IIKESTDKPYLIIRGRVEGKLVNALDYVTSVADVHHYSATPEMQFFKGWRKVFDQMVPKNAHHECSVDFD -NEQCGEIAALLSQSLYPVRKLSCRQCRKSNAELSWEEFKEFLLAHLECHKEVWNLYENLGDLGKSKRVIQ -QVTTENLALGTSMEIMRLTQGYTSTAMLQIQDINKALMKGTSVEQEDLQAASKQLLAMTQWWKNHMTLTD -EDALKTFRNKRSSKALLNPSLLCDNQLDKNGNFIWGERSRHSKRFFSNFFEEVVPSEGYDKYLIRRNPNG -SRKLAIKSLVVPMSLDRARIHMQGESIEREPLTSSCVSKLDGNFVYPCCCVTHDDGKALHSELKSPTKRH -LVVGASGDPKYIDLPASDSDRMYIAKEGYCYLNIFLAMLVNVDENDAKDFTKMVRDVIVPMLGTWPTMTD -VAAAAYILVIFHPATRNAELPRILVDHKTQTMHVIDSFGSLTTGYHVLKAGTVNQLLQFASNDLQGEMKH -YRVGGDVSQRMRCETALIKSIFRPKLMVKILEEDPYILMMALVSPSLLFHSFRMHHLDKGIEIWINKDQN -VAKIFILLEKLTRKIAVNQILIDQLAMITESSHAFLDVLNDCPQRYLSYKPAKDLLTMYLERAGTNKQLT -DNGYMDINDQLYSQMEKIYVSRLKKEWSDLSLWEKSCSTWRLKKFSPSSEMLLRATAVGDTEKSLSTSVS -ACFSKAQSHLRERRDSLIMRVNDCRSFVVRKIVNTLLRAVHKCYSDIFYLVNVCLVFTMLIGMVKSLSEM -VESHKMHKMLVAQHKHLEEQSVIRHLYFMLCDSKGERPTRTEFLERIEQIRPDLLKTAHEIVDITDSVTT -QGKTGTQVQFEKIIAFMALITMIIDLERSDALFRILSKLKTVFGTMGEDVRAQSLDEIQNLDDDKKLTID -FDLDTSKEPTAMHFDVKFEDWWNRQLQQNRTVPHYRSSGEFLEFTRESAAKVANQISTSSNVEFLVRGAV -GSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLSSDPFFQNVTLRMRGLSKFGSSNITVMTSGFAFHY -YVNNPHQLCDFDYIIIDECHVMDSSTIAFNCALKEFSFAGKLLKVSATPPGRECEFVTQHPVKLKVEEHL -SFQQFVQAQGTGSNADMVQHGHNVLVYVASYNEVDQLSRLLADKQYKVTKVDGRTMQMGNIEISTSGTSI -KPHFIVATNIIENGVTLDVDCVVDFGLKVVATLDTDNRCVRYNKRAVSYGERIQRLGRVGRCKPGFALRI -GCTEKGIEEIPEFISTEAAFLSFAYGLPVTTQSVTTNLLSKCTVKQARSALNFELTPFFVINFIKYDGSM -HPEIHKLLKNFKLRESEMMLNKLAIPHQYTGQWLTVKEYERIGVHINCDENVRIPFYVHGTPDKLHETLW -NTIVKFRSDAGFGRISSVNATQISYTLSTDPTAVPRTVAIIEHLLSEEMMKQSHFDTIGSAVTGYSFSLA -GITEGFRKRYLKNHTQHNITVLQQAKAQLLEFDSTKVDLDNLHTLEGLGVLSTVRLQSKNEISKFLGLKG -KWDGVKFTSDALLAVMVLIGGGWMMWEYFTKETKESVSTQGKKRMMQKLKFRDARDRKVGREVYADDYTM -EHTFGEAYTRKGKQKGSTHTKGMGKKSRNFYHMYGVEPENYTTIRFVDPLTGYTMDEHPRVDIRLVQDGI -GEVREACMADGELDRQAIMYKPGIEAYPFGKGTEEVIKVDLTPHNSRVVCRNNATIAGFPERDGELRQTG -MPQTLPRSALPPPNERVTTESKSVYRGLRDYSGISTLICQLTNASDGHSESIFGIGYGSYVITNGHLFKR -NNGTLTIRSWHGEFVIHNTTQIKIHFIEGKDAILIRMPKDFPPFGRRHFFRSPKKEERVCMIGTNFQEKS -LRATVSESSITVPEGIGSFWMHWITTQDGFCGLPLVSVNDGFIVGIHGLTSNDSSKNFFVPFTDNFVTEY -LEKADELSWNKNWFWQPERIAWGSLNLTDDQPREEFRVSKLISDLFGDTVKTQSRHDRWVLNAVEGNLRA -CGKADSALVTKHVVKGRCPHFEQYLNQMPEAAAFFKPLMGFYQPSKLNKEAFKKDFFKYNKPVVLNEVHF -ESFEKAVDGVKVMMMETDFHECVFVTDPDEIYDSLNMKAAVGAQYKGKKSEYFFGMDEFDKERLLYLSCE -RLFYGRKGLWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLHFKCPWTVGMTKF -YGGWDSLMRRLPDGWLYCHADGSQFDSSLTPLLLNAVLDIRLFFMEDWWVGQEMLTNLYAEIVYTPILAP -DGTIFKKFRGNNSGQPSTVVDNTLMVVISVYYSCFKQGWGMKDIEERLVFFANGDDIILAVQEEDEWLYD -KLGSSFAELGLNYDFSERTKKREELWFMSHQAKEIDGIYIPKLEPERIVSILEWDRSKEFMHRTEAICAA -MIEAWGHTELLTEIRKFYLWLLQKDEFKELAAEGKTPYIAESALKKLYTDKDVRMDELQAYLNVLDFEYA -DGCGESVSLQSSKVDDVDAGNSNKDKKKGVESSQSPKEDKTVIIPDKDVGNSSKGRIVPRLQKITKKMNL -PMVKGKVILDLDHLIDYKPNQTDLFNTRATKQQFDSWYNAVKTEYELDDAQMNVVMNGFMVWCIENGTSP -DINGVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRNSEGLYMPRYGLLRNLRDKSLA -RYAFDFYEVNSKTSDRAKEAVTQMKAAALVGTTNRMFGSDGSVSTACEDTERHTARDVNQNMHTLLGVGS -VQ - ->YP_001427385.1 polyprotein [Basella rugose mosaic virus] -MASVVFFGTIPSTLVLPVNKATNHVKCAIAPAVVAPPALHKISTNKAYTAASRVMMESYDRAQKAFEARL -DKLLEAKREAAPLSRIVKFKGGYRIRWASAKRVAEVKTHNQRKAEMIEKFMNSPDKILYKIEANIEESER -FNGQVSLKSPHWKRTVSDRQIKNPPKIRTTNVKNLLSQTFRAVTQNGAIVEIIGKKRKRAIRCSYKTVKK -SIIPCFDLPHKHGIWSKRELVPSEVKELVDIVVKYRRLRDVFTDNDIQPGWSGFVVPRKVATSYWRKYDE -VIVRGRLYGKVEDARTKLPFGDVSRIHHYSNERLFFEGWQENFKKLAPVQQDHVCRIMKDNRFAGKLAAT -IAQIPFPCHKLTCDLCRKVYNPISEDAYKELVNNHVESRASEISEALEHYPELKQVVARFRDETLVERSL -DSLIDIRKLTLGHRATQMQQIQRMSDILMKGLTMTENDVEEVGKNLLEITRWFANHLSLIDRGSLRTFRN -KRSSKAMLNPSLLCDNQRDTNGNFIWGQRSYHARRFFSNFLEEIDPTKGYERYIIRKCPNGERKLAIGNL -IVNLDSEKTREALRGEEIESEPLTEACVSRRNGNFIYPCCCVTEDDGRPLYSHLKSPTKRHLMIGASGDP -KILDMPSAELDKMYIAKQGYCYLNIFLAMLVNVSEEEAKFFTKMVRDVLIPKLGEWPTMHDVATACYIAT -IFYPDVSNAELPRILVDHAQKTMHVIDSFGSLSTGYHVLKAGTVSQLIDFASNDLDSEMKFYKVGGNNLP -ESERMITTLIKGIYRPKLLVKLIEDDPYVLMMALCSPKLLISLYNNGSLELATQTWIKKDKDVSLIFMQL -SDLAKEMSKADLLIEQLKLMSESASRIRELLPVPIIADKSRIIFNEMLTLKSSIFEADEELSRAGFANYQ -TRLYEAMEKMYQQQLEQEWRDLGWFGKLSLIIFSQRHRPRSTPALPLTRLKGLEDKFAISATWCAGKIKG -HLNTVRVCGVNKFKQTVGFVNRVLIDKSVYILTRCMQDVFYFINVAVVAQILISTVYMINRWLHQQKVAQ -MELEYYKYKNSEAKITMLFNNYQKIHGNAPTRAEFIEFLRENDDKLCDYITIDHEVAHQSKNPFERNLEK -VVAMMALFAMVFGSDKSSAVFNVLRNIKTVFGTLEDGVRYQSLDEIESLEDEKKLTIDFELDTEQCYEGA -TMDVQFNQWWNKQLEQNRVVPHYRTGGCFVEFTRATSASVCNTIALSEEKEFLVRGAVGSGKSTGLPSTL -SRKGKVLLLETTRPLAENVCKQLRKEPFNLAPTLRMRGVTSFGSSNITIMTSGFALHYHANNASKLKDFD -YIIIDECHTLDASTMAFYCLLKEYDFQGKILKVSATPPGEECEFKPQHDVQLKIEPELSFHAFVAAQGSG -SNADVVQHGNNILVYVASYNDVDQLSKLLIEKGHHVTKVDGRTMKLGSVEIPTKGSDAKKHFVVATNIIE -NGVTLDIDVVVDFGIKVVAELDADSRCMQYKKVSINYGERLQRLGRVGRVKPGYALRIGHTEVGLTSIPI -SVATEAAFLCFAYGLPVMTHNVTASLLGKCTTKQARAMMHYELPPFFMVELVMYNGTVHPQIEKLLQGYK -LRDSTMHLSTLAIPNSGVSRWKTVREYKQLGIHIEANDDVRIPFASRNIPDKLYTEIWKAVQQHKADAGF -GRLKSTCASSVSYTLSTQPHAIPRTIAIIDHLLAEERQKKECFESLNDTLCSTNFTLVGIVNKIRNRYLR -DHSTHNIAVLQAAKAQLLEFNSKEIDPERLEDLMGYGLLDTVQYQDKKSMRKCLKLEGQWDKHLMSNDVV -VAGCVLVGGGWMIWEFYKQSQEMVEFQGKKRKIQKLRFRDARDRKMGREVYGDDGTIEHYFGAAYTEKGK -KKGNNNTKGLGRKNRRFVHMYGFDPTEYSFVRFVDPLTGHAQDEGITADMSIIQEEIADIREKAMLNDDD -LIDYIRQNPGIQAYYMKHGSDKALRVDLTPHNPLLVCRSATIAGYPEREIELRQTGPPKVVNVNEVPKVE -KDQVASEGKSIVSGLRNYNPIPSIVCQLTNSSGSDHQTLFGIGYGPLIITNGHLFRNNNGTLLIRSHHGE -FTIKNTTQLNIHHVAAKDMILIKMPKDFPPFPQRIHFRGPKADEKACLVGSRFQERHISSEVSDSTIVRP -TSPGGFWKHWVSTKDGDCGLPLVSLRDGKIIGFHSLTSTKTDINYFVPFTDNFEEEVLGKLDSITWVKHW -RHSSDKIAWNGLSLKEDYPSREFSVSKIISDLNGLFMDEVSEQSKYEKWVLQRLEGNLKAVGQSTSQLVT -KHVVKGPCVLFQEYCGERPDALNFFKPFMGEYGPSKLNKEAFLKDFLKYAGPITIGEVDTERFERAVLNV -IKLFEDLDFGECAYVTDPDAIYDSLNLKAAVGALYQGKKKEYFEMMSTFEKEELLRLSCLRLFKGEMGVW -NGSLKAELRAKEKLEQNKTRTFTAAPIDTLLGGKVCVDDFNNRFYSLNLEAPWSVGMTKVYGGWNKLLSK -LPEGWIYCDADGSQFDSSLTPYLINSVVQIREHFMEPWDVGVRMLRNFYTEIIYTPILAPDGTIVKKFKG -NNSGQPSTVVDNTIMVVLAMHYSMERENWSEIERKSDIVFFANGDDLIIAIKPEKEKFLDNLQSNFLELG -LKYDFSNRHRDVRDLWFMSHRGIEYQGILIPKLEEERIVSILEWDRSTEISHRAEAICAAMIEAWGYPDM -LRHIREFYLWLMQHPWYKDLVKEGRLPYISESALKKLYTDEEINEHELAEYWRALVINDNEQEDEVVYQS -GSGPATPSSQRQQAPQGQEGSIDAGRNQQAQRPQPSSDVVRHDGSQAQDVGQVSYTIPRLKNISKMRLPK -VKGKIILSLDHIIDYKPDQLDLSNTRATHEQLQVWYNAIMNEYEVSESQMGVLLNGLMVWCIENGTSPNL -NGEWVMMDGEEQVTYPLKPIIENAKPSFRQIMHHFSDAAEAYIEMRNREKPYMPRYGLIRNLRDMSLARY -AFDFYEINSRTPARAREAIVQMKAAALTNVSNKLFGLDGNVTTTTEDTERHTASDVNARMHHLMGVTQG - ->YP_001427389.1 polyprotein [Banana bract mosaic virus] -MATITFGQFTVALEAQSCLRFIEPASPTSVKMTVAPQCMAALEVECDTTLKAATPDDVFDKHFSTSHWAN -YFNRRSYGGLRMRGTTICYAPATDEEVRRILAMKQAARDEEVKFLRHEQIVHNLGHASNMTKPKYDVKSD -IVDVPNVQTYCRKTNKKEKRKKVSNLSKVACNKSMTIPSNLRGKPKIIPEADFASLVQALLDIQMQKPTN -FLSLIGKYHDRVLPITKAQVGGKQYLKCTLKHHSGVNVQIEMQDKQHINMVCQLAHYVSNAEIIDDSTIC -KGWSGIVIPNTSQLQTPFSEIIVRGRLMGRLVDAREKLGFDDQLLIDHYSEPAGPFWEGFRKGFTPLEME -FAHKCTPDNSAEMCGQLMAQLFQMVHPCRRISCKQCFEHLASMPTTELQEVMKARYETAVLNGTIKELLS -FRSLDECVRRSLVRKPRNLKMESCMEVQRITQHSTKAQLMKIDVINRTLLKFSEASESEVGDASDALLEL -TRWFNKHLNNFGKPSLETFRNKAASKALLNPSLLCDNQLDKNGNFLWNERGHHAKRFFKRYFDIIDHTHG -YQSYELRVSPNCTRKLAIGRLLVSTNIVKNQAAMVGESIQREPLTNACISKIDNGYVYTCCCVTNDVGEP -IYSQYRSPSARHIIIGTSGDPKIIKMPQTVNNAMYIAKPGYCYLNIFLAMLLNVDDESAKEFTVLIKDEF -IPQLGEWPTLTRLASVCYTISLFYPKTANAELPRILVDHANKTMHVIDSYGSVTTGYHILKAGTVKQLID -FSSNELQSEMKDYLVGGTLEDVDINKGVMVLIKAAYRPHLLRNLIAQDPYLLLLSLISPTVIKAMHNSKA -YEQGLYHWVTRDKEIGMIFTTLHSLAERVSRAQHLMEQRAAINKHIGHLYEEVRASTFPEGAPYLVQTLL -LRMAQDVEMNESLLDAGFATMNLVYYAFSEKKYQDLLNAEWRALKLSEKFSRIMHTSYYRISSTLSFSER -KVDLPDLKERSTTSLKHSFGAMKRVWNGALTGGWKYATGTASSVSDYCFKKTFCAFTAWYGDALHFVNVL -LVITLLTQLIVHCKVYMKEHLAGKYYKKQLELRDLGVRIGELYKLCKEDMECKPTQEEFAEYLKRRDERL -SKHYHSYNLVNFQSKTVFESGMERVVAVFALLAMIFDTSKSDAVFRILQKFKTCIASINNRVGFQNLDEI -QDIEAEKKTTIDFILADDTPLAPSIMDSTFEHWWTNQLTSNRVVAHYRIGGTFVEFTREEAASIANQIAH -SADSEFLIRGAVGSGKSTGLPSQLVRKGAVLLLEPTRPLAENVFGQLRSHPFLLAPTLQFRGTSVFGSTD -IKVMTSGFALNYFAHNSTALSSIEFIILDECHVMDASAMAFYCLLKEYAFKGKILKVSATPPGRECEFKT -QHTVKIAVEEHLTFQRFVQEQGTGSNVDVVQHGNNILVYVASYNEVDQLSNLLLEKGHKVTKIDGRTMKV -GSVQIVTCGTSHKKHFVVATNIIENGVTLDIDVVVDFGVKVVADLESDNRCIRYKKCAIGFGERVQRLGR -VGRVKPGFALRIGHTEKGFGEIPTIIATEAAFLCFTYGLPVMTQNVSTSLLAKCTVQQARVMCQFELSFF -FLAELVHYNGTMHPLIFNLLKKYRLRDSEVKLNKLAIPNSCVAHWLSVGEYDKLGVRINCEPNVYLPFAE -RGIPDTLYTELWKIVKENKTDAGFGRLTTASACSIAYTLSCDSFAIPRTLGVLEHLLAREMEKKAYYESL -CSNIRVAGWSLDGIVSSLRRRYMADHTTENIKIIQNSIARLQTFSHMDIDITNKSNLVPHGFLNLVEFQN -SDDMARALHLKGRWHGSLICKDFLVAVIVLFGGITMLVLHYKATIDNYVAFEGKRKFQKLKFRDARDKKL -GREAYGDDGTIEHLFGEAFTRRGKVKGSSKTVGIGKKTRKFVNMYGFDPTDYSYIRFLDPVTGATRDENV -NAPIQLIQDELGNIRNVMSYEDDYVREKLKEGTGIKAYFVKENAMNALEVDLTPHNPQLLCRSGSTISGY -PEREFELRQTGPARVIPSKEVPIKNETPVEFEGKSLCRGPRNYDNIAQSICSLTNTANSSGVHGLGYGSY -IITNSHLFQENNGSLTIRSKRGLHTIPDTTTISIAKVGLCDIVILKLPKDVPPFPQKLRFRAPTEGERVI -MIGTLYQTNSTHTTVSETSVTYHKEGGCFWKHWIDTKKGDCGLPMVSTKDGFILGIHSLSHLEQEENYFS -AVPLDFENEFIQKLDNLEWNKHWKLNTDMIAWGDLRLRESKPEGLFKPVKELFDLITKNNSVEFQHRDEM -WVANEIKGNLQCVAMSCSNLVTKHVVRGKCQHFSRYLAEHKGAEDFFRPLMSHYGPSRLNRKAFLKDLLK -YSGELIVGVVDCDTFENAYNFTASLLRSHGFEGRKFITDTDEIFQSLNMKAAVGAMYAGKKRDYFEGYTN -HQKDEIIFQSCLRLYKGYLGIWNGSLKAELRPNEKNELNKTRVFTAAPLDTLLGGKVCVDDFNNLFYDKH -IECPWTVGMTKFYTGWDQLLRKLPDGWVYCDADGSQFDSSLSPYLINSILRLRLEFAEDWDEGKQMLRNL -YTEIVYTPILVPDGTVVKKFKGNNSGQPSTVVDNTLMVVLAMHYSLIKEGWNHDDIKRDIVFFANGDDLI -MAIKPDKACTLDKLQENFLALGLKYDFSNRTTDRSNLWYMSHQGLIIDDLYIPKLEMERIVSILEWNRSD -TPLHRAEAICAAMIEAWGYPDLLQQIRKFYMWLSVQDDFRQVSEDCLLPYISEIALRRLYTSKEPASDEL -RRYYEKYILNSLETSDETINWVEFQSGTESTNDDDPSRTIDAGGSARGTQSSTTTTTAPSTFGQPTTTSA -PSSSSTPPRASTQIAPIRDRDVDAGSTNFIIPRIKPMTGKMRLPRYRGKTAINVEFLLQYKPDQFDLSNA -IATREQYDAWCDAVKREYAIEDEEQFTTLLGGLMVWCIENGTSPNLNGTWSMMDKGEQLVYQLKPIIENA -QPTFRQIMAHFSDAAEAYITMRNVTERYMPRWGALRGLNDISLARYAFDFYVVTSKTTNRAREAHTQMKA -AAIRGSNTRLFGLDGNLGPGEENTERHTVEDVKRDMHSLLGMKHE - ->YP_851208.1 CP [Blackberry virus Y] -LQPVLTRQTSRPPTPPNTILTGQQQQQLMPKSSQPYQLEPLLAPTGVQQPTFGTFGMPQAQQTTTEPVVA -AARVRGKQKEGDTSLSQVRDHRRLSPERIVRHDDDLAPPNESTSGESSHYDELTLPDVPRDKRKGLGARL -KGKPIITQTQIYNYRPAFGSIHNNKATDIELEAWKKQIADYFQVDDVSTLILGFMAYVIENGTSPEIFTN -QKFVMATSSGEQREYPLAPFRSRSVELRKIMRRFSEEAIDYIQIQREHNPQYVPRQAVVRNVKRAIYFPY -CFDFIDETILTPDALEIVHQMKAAALESASSKVLGLDGGSARAIDTERHTTEDATARTHNLRGAAMMA - ->YP_842359.1 coat protein [Wisteria vein mosaic virus] -SDKDKMQDLDAGRESKKNAGNEQKEKSQMEGNKQGDKAITSSSGKDRDVDAGSRGKVVPRLQKITQKMNL -PLVKGKMILNLPHLLEYKPRQVDLFNTRATKTQFDAWYDAVKGEYELDDEQMSVVMNGFMVWCIDNGSSP -DVNGVWVMMDGEQQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEGPYMPRYGLLRNLRDRDLA -RYAFDFYEVTSKTPNRAREAIAQMKAAALTGVNSKLFGLDGNISTNVENTERHTARDVNQNMHTLLGMGP -PQ - ->YP_459919.1 polyprotein [East Asian Passiflora virus] -MAGIVFGSFSPPTLEKKTVKAKRLVPGTATITKMRVETVPVNVMKEVSFGCSDRCGGLCAYTKTSLRRAI -KEGDLTSSGACHHCGLHGLVGEGRPRTQLVPFLEYQQKEVPYTEVVQTMVEEEYEMEVPVYGKIFPIEEV -ASEATRALSVATRVTVEAKMMATEKPSIQQVSGKLRRQSAIEIAQYNAQIAAMDEFLQKNSDLERRLFIN -KYSPVQQKKSGAVCIRHLTYEQAMRRKERHDKEKEADKKFWAGEYEVKVDHVQLPTTLNTSGRVGFRSEF -YTRTYKQRKSPQQVKNFIIDKGALDKVLRLAKQLSLPIEFIGKRRQQAIKATFVKRGTNTLPQVYLPHAD -GIYKNRELNLEHVGNTLSVLCKHAKMSHLKDEQIKRGDSGLVFDERSQITRDNTAMPYFVIRGRCNGKLV -NALDFIKEKESVVHYSQTPEAQFFAGWKKVFDRMVPHIEPHTCTVDFANAQCGEVAATISQTLFPVRKLS -CLSCRKHLQAMSWEGYKQFLAAHLDYCSTILQEKDKALGFELVEKLVLQITKGNTNLESSMEIVRLTQNY -TTTPMLQIQDINKALMKGSSVTQQELDQATKQLLEMTRWWKKHMNLTDEDALRVFRNKRSSKALLNPSLL -CDNQLDRNGNFVWGERGKHSKRFFANFFEEVVPSGGYDKYVVRRNPNGQRKLAIGSLIVPLDFDRARLAL -QGASITRESLTMACISRQNNNFVYPCCCVTHDDGTPLYSDLKSPTKRHLVVGSSGDPKYIDLPAEDTDRM -YIAKEGYCYLNIFLAMLVNVNEQDAKDFTKMVRDVIVPRLGKWPSMMDVATAAYILTVFHPETRNAELPR -ILVDHAAQTMHVIDSFGSLTVGYHILKAGTINQLIQFASNDLLGEMKFYKVGGMVEQRMKCETALISSIF -KPKRMIQILEDDPYILLLGMISPSVLIHMYRMRHFEKGIQTWISKDQNVTKIFIIMEQLTKKLAVNDILL -DQLQIISGSSGHLLELLVDCPSQSHSYKPALDLLSQFLERDVTNKQLSDNGFVDINENLYVEMEKIYVKR -LKQEWHALSLLEKSFVTWQLKKFSPVTERSLTEKVAEGKEESSKFFVSACFMNAQSHLRNARISLSRKCE -EMYISLVRKCVGVLLRTVHRCYSDILHLVNVCIVFSLLVQMSSTLYGVVKRIQVDRAVLHRMKQDEEETT -LVHMYELFTKAEGGIPTMASFTEHVKVVRPDLLTTLMKIINQREDVSCQAKTPVQCQFEKIVAFMALLTM -CIDTERSDAIFKILNKLKVVFSTMGEDVKIQSLDEIEDIEVDKKLTIDFNLETNKEPSSVSFDVKFDEWW -NRQLNQNRVVPHYRTTGEFMEFTRETAAKVANQISISSATEFLVRGAVGSGKSTGLPHHLAKKGKVLLLE -PTRPLAENVSKQLNGEPFYQMVTLRMRGLNKFGSSNITVMTSGFAFHYYVNNPNQLADFDFIIIDECHVL -DSATIAFNCALKEYEFAGKLIKISATPPGRECEFTTQHPVKLKMEDQISFQHFVSAQGTGSNADMIQHGH -NILVYVASYNEVDQLSRLLIERQFKVTKVDGRTMQKGNVEIVTSGTEGKPHFIVATNIIENGVTIDVDCV -VDFGQKVVAVLDSDCRCVRYNKKPVTYGERIQRLGRVGRCKPGFALRIGHTEKGIEEIPEFIATEAAFLS -FAYGLPVTTQSVTTSILSRCTTKQARNALNFELTPFFSIHFIKYDGSMHPEIHRLLKPFKLRESEMLLNK -LAIPYRYVNQWLTVREYDRQGIHVHCNESARIPFYAHGIPDKLYEALWDTICKYKGDAGFGRVSSASAAK -ISYTLSTDPSAVPRTIAIIDHLLAEEMMKKNHFDTIGSSVTGYSFSLAGIAEGFRKRYMRDYTQQNIAIL -QQAKAQLLEFDSTKVDLNNLHGIEGIGVLNAVQLQSTHEVCKFLNLKGKWDGKKFMNDALVGVFALIGGG -WMMWEYFTKKMKEPVSTQGKKRTLQKLKFRDAYDRKMDREVYADDYTMERTFGEAYTKRGKRKGSTETRG -MGRKTRNFIHMYGVEPENYSMIRFVVPITGHTMDENPRVDIRIVQEEFGDIRMKMIEEDKLDTQHLVSRP -GLQAYLFGKNTEDVLKVDLTPHIPTLLCQNTNAISGFPERENERRQTGPPVRVSKSEVPEPNEHVELESK -SVYKGLRDYSAVATLICQLTNASDGHKETLYGIGYGAYIITNGHLFRRNNGILTVRTWHGEFVINNTTQL -KIHFIEGKDAILIRMPKDFPPFAKRSFFRQPVKEERVCMVGTNFQEKSLRATVSESSIIVPEGVGSFWIH -WISTQDGFCGLPLVSVNDGFIVGFHGLKSNDSEKNFFIPFIDDFEKKYLRSAESLSWDKHWFWQPDKIAW -GSLNLVDEQPREEFKISKLISDLFSDSVVVQSQRKRERWVLDDMEGNLVACGQADSALVTKHVVKGRCPH -FEQYLIQTEEAARFFRPLMGAYQPSKLNREAFKKDFFKYNKPIVLNEVDFDAFEKAIDGVKCMMMEYGFH -DCTYVTDPDEIFGSLNMKAAVGAQYKGKKSEYLEGMDSFDKERLLYLSSERLFYGKKGLWNGSLKAELRP -KEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNLACPWTVGMTKFYGGWDKLMRSLPDGWLYCHA -DGSQFDSSLTPLLLNAVLDIRRFFMEEWWVGQEMLENLYAEIVYTPILAPDGTIFKKFRGNNSGQPSTVV -DNTLMVVLAMYYSCYKQGWTEDDLADRIIFFANGDDIILAVKEEDAWIYDTLSASFAELGLNYNFDDRSK -RREDLWFMSHTAIEIDGVYIPKLEPERIVSILEWDRSKEIMHRTEAICARMIEAWGYTDLLREIRKFYLW -LVQKDEFKELAAAGKAPYIAETALRKLYMNKDASMDELQKYLHVLDLEHVEGCCESVSLQTKSEENKDEL -GKDAIDAGNDGKRKDKEKEGMVTPPTNPNPNNSKFGGSSFNRKDRDVDAGSKGKMVPRLQKITKKMNLPT -VKGRAILDLDHLLEYAPNQVDLFNTRATKSQFESWYSAVQREYELDDNQMSVIMNGFMVWCIDNGTSPNV -NGTWVMMDGEGQIEYPLKPLVENAQPTLRQIMHHFSDAAEAYIEMRNSKEPYMPRYGTLRNLRDLSLARY -AFDFYEVTSKTPNRAREAVAQMKAAALANVSTRLFGLDGNVSTTGENTERHTARDVNQNMHTLLGMNSQQ - ->YP_271857.1 polyprotein [Wisteria vein mosaic virus] -MAAVMIGSINVPIPVAQNGEKAVNFCAAAESHLAIIPKQNQMATQKPSVKGILHKFKQIGDEAVHSYEQS -LLIFAQFFQKDSTKNELLRCKHGSVQKMKGGGAKLRRASMEQALREEAANQKRQEEEAAFLAGAYEHQEY -VGASAVTIPQSKGESVGFKTKHYKPSQKQPAKRLKMKRCTKLVYALEETLSLAATFGKPIELIMKRKKKN -VTIRYVKRNGGVFPKIDLPHESGIYRATELQYENVKEFLPFICMFAKYREMTMDKITYGDSGLLFDERSS -ITTMNTELPYFCVRGRRFGKLVSAFDTHKCVEEIQHYSYTPEAQFFAGWKKIFDKMAPHIDEHDCNINYN -NEQCGELAASVSQAIYPVKKLSCKKCRQNIHNFSWEEYKQFLLANMGCHDKLWDELKQTDGIGYVKKLIE -QSASENSNLQTSMEIVRLTQNYTSTQMLQIQDINKALMKGPSVSQADLEQASKQLLAMTQWWKNHMTLTN -EDALKVFRNKRSSKALLNPSLLCDNQLDKNGNFVWGERGRHSKRFFSNYFEEVIPSEGYSKYIIRRNPNG -QRELAIGSLIVPLDFERARLALQGKSIKREPITMACISKQDGNFIYPCCCVTHDDGKPFYSDLKSPTKRH -LVIGTSGDPKYIDLPATDTDRMYIAKEGFCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPKLGQWPTMID -VATAVYMLTVFHPETRNAELPRILVDHACQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQSEMKF -YRVGGEVQQRMKCETALITSIFKPKRMIQILENDPYILLMGLISPSILIHMYRMKHFEKGMEMWINKEHS -VAKIFIIMEQLTKKIVINDVLLEQLGMISDTSGHLLSILDDCPKASHSYKPARDLLSMYIERKSSNSQLF -ENGFLDMNDKLYIVYEKIYVDRLKQEWYALSWLEKSSVTWQLKRFTPHTEESLIKKAAGENNGLSRGFVS -TCFINAQSHLRNVKNTCLRRCENAWIVVVRRTVNFMLTTLYKCYSDILYLVNVCLIFSLLVQMISVLQGI -VNSARRDRAEVQMMKRNEDEKTVLRLYEMCEKVEGEHPSLEKFLNHVAEVRPDLLTVAKSMTGQVEEVSM -QAKTKLQLQLEKIVAFMALLTMCIDNERSDAIFKILSKLKTIFGTMGEDVKAQSLDEIQNLDEDKKLTID -FDLETSKEPSSVSFDVKFEDWWNRQLQQNRVIPHYRSTGEFLEFTRETAAKVANSVATSSATEFLIRGAV -GSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLSLEPFYQNVTLRMRGLSKFGSSNIAVMTSGFAFHY -YVNNPQQLADFDFIIIDECHVQDSSTIAFNCALKEFEYSGKLLKVSATPPGRECEFTTQHPVKLKVEDQL -SFQHFVQAQGTGSNADMVQYGNNLLVYVASYNEVDQLSRLLTERQYKVTKVDGRTMQMGNVEITTTGTES -KPHFVVATNIIENGVTLDIDCVIDFGLKVVAVLDSDNRCVRYNKKSVSYGERIQRLGRVGRCKPGFALRV -GHTEKGIEEVPEFIATEAAFLSFAYGLPVTTQSISTNILPKCTAKQARVALNFEITPFFTTNFIKYDGSM -HPEVHKLLKSYKLRESEMMLNKLAIPYQFVGQWITVKEYDRQGIHLNCGEKTRIPFYVHGIPDNMYEMLW -DTVCKYKCDAGFGRITSVNASKISYTLSTDPSAIPRTLAILEHLLSEEMTKKSHFDTIGSSVTGYSFSLS -GIAEGFRKRYLRDYTQHNISILQQAKSQLLEFDCNRVDINNLHGMEGIGILNAVQLQSKQEVSKFLQLKG -KWDGKKFMNDAVVVTFALIGGGWMLWDYFARAIKEPVSTQGKKRQIQKLKFRDAFDHKVGREVYADDYTM -EHTFGEAYTKKGKQKGSHHTKGMGRKTRNFIHMYGVEPENYSMIRFVDPLTGHTMDENPRVDIRIVQQEF -DDIRNEMIKEDELDPQRVYQNPGLQAYFIGKNTEEALKVDLTPHRPTLLCQNSNAIAGFPERENELRQTG -LPQKITKMEIPKANERVEVESKSVYKGLRDYSSIATLICQLTNASDGHKETVYGVGYGSYIITNGHLFRR -NNGVLTIRTWHGEFIIQNTTQLKIHFVQGKDKILIRMPKDFPPFAKGNFFRQPKREERVCMVGTNFQEKS -LRATVSESSIILPEGKGSFWIHWITTQDGFCGLPMVSVNDGHIVGIHGLTSNDTEKNFFVPFTDGFEKEY -LMNAENLSWDKHWFWEPNKISWGSLNLIDEQPKEEFKISKLVSDLFGNSVSVQSRKDRWVLEALEGNLIA -CGQSESALVTKHVVKGKCHYFEQYISQNKEAETFFKPLMGAYQPSKLNREAFKKDFFKYNKPVVLNEVVF -EAFERAITGVKMMMLEFGFSECLYVTDAEEIYGSLNMKAAVGAQYKGKKQEYFSDMDQFDKERLLYLSCE -RLFNGEKGVWNGSLKAELRPIEKVNANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFNLVCPWTVGMTKF -YGGWDKLMRSLPEGWIYCHADGSQFDSSLTPLLLNAVLDIRSFFMEDWWIGKEMLENLYAEIVYTPILTP -DGTVFKKFRGNNSGQPSTVVDNTLMVVIAMYYSCCKKGWSEEDIQNRLVFFANGDDIILAVREVDTWLYD -TLSTSFAELGLNYNFDERTKKREELWFMSHRAMLIDGMYIPKLEPERIVSILEWDRSKELMHRTEAICAS -MIEAWGYTELLQEIRKFYLWLLEKDEFKELAATGKAPYIAETALRKLYTDVDTRPSELQRYLDVMDFVQM -EECCESVSLQSDKDKMQDLDAGRESKKNAGNEQKEKSQMEGNKQGDKAITSSSGKDRDVDAGSRGKVVPR -LQKITQKMNLPLVKGKMILNLPHLLEYKPRQVDLFNTRATKTQFDAWYDAVKGEYELDDEQMSVVMNGFM -VWCIDNGSSPDVNGVWVMMDGEQQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEGPYMPRYGL -LRNLRDRDLARYAFDFYEVTSKTPNRAREAIAQMKAAALTGVNSKLFGLDGNISTNVENTERHTARDVNQ -NMHTLLGMGPPQ - ->YP_077277.1 coat protein [Watermelon mosaic virus] -SGKEAVENLDAGKDSKKDTSGKGDKPQNSQTGQGSKEQTKTGTVSKDVNVGSKGKEVPRLQKITKKMNLP -TVGGKIILSLDHLLEYKPNQVDLFNTRATKTQFESWYSAVKVEYDLNDEQMGVIMNGFMVWCIDNGTSPD -VNGVWVMMDGEEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNSESPYMPRYGLLRNLRDRELAR -YAFDFYEVTSKTPNRAREAIAQMKAAALAGINSRLFGLDGNISTNSENTERHTARDVNQNMHTLLGMGPP -Q - ->YP_077181.1 polyprotein [Watermelon mosaic virus] -MATIMFGDFTVQLKHSIVTEKRKRVIETTKLEQEVRMETVCETVMESITVGCTTRCAGLSAYTKSSLRRA -IKEGDLSASGGCNYCGLRALVGEGRERVISVPRVVTQQKEIIVTKEVPHIYEEEYEVEVPYLVTEPLLPM -VGTFPGSDVYKTTVQEKALNNIVTKDMMAKSEPSMKQVSRSLVLAGRKEVCSYDLAIKRMDEAIQRDSAL -QRRLLIQQYSTIRQLPKGAVQLGLCSYEQAKQRAELACKRKQEEDFLSGKYEQQAYIGTAAINTAKPTGR -SVGFRTIHWKPTPKQNKIKRAKKQCDKPTYVLKEILSLAARTGKPVEFITRRTRKNFKVNYVRKYGAVIP -KFTLPHEEGKYVHQELQYANICEFLPYICMFARYKTVCAENLTHGDSGLLFDERSLITTEHTTLPYFVVR -GRENGKLVSAFEEFREIGDIQHYSHTPEVQFFLGWKKVFDKMQPRVDAHECTIDFTNEQCGELAAAISQS -IFPVKKLSCKHCRRHIKDLSWEEYKQFLLTHMGCSEATWENVREAEGMEHVKKLIERSTAENLNLQTSME -IVRLTQNYKSTHMLQIQDINKALMKGSSVTQDELERASRQLLAMTQWWKNHMNLTDEDALKVFRNKRSSK -ALLNPSLLCDNQLDRNGNFVWGERGKHSKRLFANYFEEVIPSEGYSKYVIRKNPNGQRELAIGSLIVPLD -FERARTALQGKSIAKEPITMACISRQDGNFVYPCCCVTHDDGKAFYSELKSPTKRHLVIGTSGDPKYIDL -PATETDRMYIAKEGYCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPKLGQWPTMFDVATAVYMLTVFHPE -TRNAELPRILVDHASQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLHSEMKFYRVGGEAQQRMKCE -TALIKSIFKPKRMIQILEDDPYILLMGLISPSILIHMYRMKHFEKGIELWISKEHSVAKIFIIMEQLTRK -IAANDLLLEQLDIIAGTSQKLMDVLEDCPQSAHSYRTAKDLLAIYIERRASNNQLIENGFVDINDQLYVT -HEKIYVDRLKQEWHALSWLEKSSITWQLKRFTPHTEQCLTKKVVEESSAYSRNFVSACFMNAQSHLKNVR -NTFFRKCDQAWTASVRVLVRFIIATLHKCYSDIVYLVNICLIFSLLVQMVSVLQGIVSTAKRDKAFVHMH -KRIEDEQAVVHLYEMCEKMENKHPSVEEFLSHVKKVRPELLPVAKSMTGQSEDVSAQAKTATQLQLEKIV -AFMALLTMCIDNERSDAVFKILSKLKTFFGTMGEEVKVQSLDEIQNIDEDKKLTIDFDLETSKEPSSVSF -DVKFEDWWHRQLQQNRVIPHYRSTGEFLEFSRETAAKIANLIATSSHTEFLIRGAVGSGKSTGLPHHLSK -KGKVLLLEPTRPLAENVSKQLGLEPFYHNVTLRMRGLSKFGSSNIVVMTSGFAFHYYVNNPHQLSDFDFI -IIDECHVQDSHTIAFNCALKEFEFSGKLLKVSATPPGRECEFTTQHPVKLKIEDHLSFQNFVQAQGTGLN -ADMLQHGNNLLVYVASYNEVDQLSRLLTEKHYKVTKVDGRTMQMGNVEITTTGTEGKPHFIVATNIIENG -VTLDIDCVIDFGLKVVAVLDTDNRCVRYNKQSVSYGERIQRLGRVGRHKPGFALRVGHTEKGIEEVPEFI -ATEAAFLSFAYGLPVTTQSVSTNILSRCTVKQARVALNFELTPFFTINFIKYDGGMHPEIHRLLKPYKLR -ESEMMLHKLAIPHQFVGQWISVKEYNRQGIHLNCPETVRVPFYVNGIPDKLYESLWDTVCNYKCDAGFGL -IRSVNASKISYTLSTDPTAIPRTLVILDHLLSEEMTKKSHFDTIGSSVTGYSFSLAGIADGFRKRYLRDY -TQQNIAILQQAKAQLLEFDCTKVDINNLQSVEGIGILNAVQLQSKHEVSKFLQLKGKWDGKKFMNDAIVA -IFALIGGGWMLWDYFTRMIREPVTTQGKKRQIQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGKQ -KGSTRTKGMGRKSRNFIHMYGVEPENYSMIRFVDPLTGHTMDESTRVDIRLVQQEFGEIREEMIGADELD -PQRVYHNPGIQAYFIGKNAKEALKVDLTPHVPTLLCQNSNAIAGFPEREGELRQTGLPQIVPKVDVPRAK -ERVEVESKSVYKGLRDYSGISTLICQLTNSSDGHKETMFGVGYGSFIITNGHLFRRNNGMLTVKTWHGEF -VIHNTTQLRIHFIQGKDAILIRMPKDFPPFAKRNFFRQPKREERVCMVGTNFQEKSLRATVSESSIILPE -GKGSFWIHWITTQDGFCGLPLVSVNDGYIVGIHGLTSNDSEKNFFVPFTDGFETEYLNNADNLSWDKHWF -WEPSKIAWGSLNLVEEQPKEEFKISKLVSDLFGNTVAVQSRKERWVLDAMEGNLIACGQADSALVTKHVV -KGKCPHFAQYLSLHDEAKQFFEPLMGAYQPSRLNKDAFKKDFFKYNKPVVLNEVDFNAFEKAVEGVITMM -VDFEFAECLFVTDPDEIYGSLNMKAAVGAQYKGKKQDYFSGMDSFDKERLLYLSCERLFNGEKGIWNGSL -KAELRPIEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFNLKCPWTVGMTKFYGGWDKLMRSLPDG -WTYCHADGSQFDSSLTPLLLNAVLSIRCCFMEDWWVGKEMLENLYAEIVYTPILAPDGTIFKKFRGNNSG -QPSTVVDNTLMVVIAMYYSCCKQGWSEEDIEERLVFFANGDDIILAVRDEDVWLYDTLSASFAELGLNYN -FDERTKKREELWFMSHQAMLVDGIYIPKLEPERIVSILEWDRSKELMHRTEAICAAMIEAWGHTELLQEI -RKFYLWLLSKDEFKELAASGKAPYIAETALRKLYTDVNTQPSELQKYLEVLDFNHIDGCCESVSLQSGKE -AVENLDAGKDSKKDTSGKGDKPQNSQTGQGSKEQTKTGTVSKDVNVGSKGKEVPRLQKITKKMNLPTVGG -KIILSLDHLLEYKPNQVDLFNTRATKTQFESWYSAVKVEYDLNDEQMGVIMNGFMVWCIDNGTSPDVNGV -WVMMDGEEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNSESPYMPRYGLLRNLRDRELARYAFD -FYEVTSKTPNRAREAIAQMKAAALAGINSRLFGLDGNISTNSENTERHTARDVNQNMHTLLGMGPPQ - ->NP_954628.1 coat protein [Beet mosaic virus] -GDEKPSKSSQPQSSSPQVPQQVDAGASSQGRDKQSVIKHDSTKSKDVGQSSTAVPRLKQISKMRMPVSKG -RQVLALDHLLDYKPEQVDLSNTRATKEQFDNWYEAVMREYDVSDSQMGVIMNGLMVWCIENGTSPNLSGD -WVMMDGEEQVSFPLKPIVENAKPSFRQIMHHFSDAAEAYIEMRNRERPYMPRYGAQRNLRDKTLARYAFD -FYEVTSRTTDRAREAHFQMKAAALASVSNKLFGLDGSVATTSEDTERHTATDVNAHMHHMMGVRQG - ->NP_954611.1 polyprotein [Beet mosaic virus] -MATMMHFGQFPSNIPLRAATCCTKVHSTLVTKEMMASSVKPAESSSVARPIIYSSAATDGYEKAQRAFEA -SFREKYSGKLEAMKYGKMVKKGGLTYVKRAGPQAIAKGIEMDAAIEKFNTAFNAGELENVTLEGDITAGI -SVARGESVWLRSVFWSRSLKKQARKKTPKLVAKSDFDDLFNKVLKVASLGNIPVEIVGKKANKILRCGYR -RVNTSTIPYFHLPHHNSNYICRELHPQRVRWLVPLLVRHRKIRDQFSDSMIARGWSGLILPKYIASTCGR -RYDEVIVRGRLYGRVEDARTKLPAGDVGRTMHYSSGEERFFAGWKEGFEKLVPAQKEHICKIVQDNKFCG -KLAASIVQIAFPCHKMACDVCRNKFNEMTPEAYSELIDKHIDQRMNEINEAIVRFPGLKQVVSNFRSKHI -ASTNIKDNLEVAKLTQGHKANQMMQLARINSILIKGNTATPSEISDASGLLLEITRWFNNHLSVIDKGSL -RAFRNKRSSKALVNPSLLCDNQRDKNGNFIWGERGYHSKRFFASHFDEVTPGDGYKEYIIRKGPQGQRKL -AIGNLIVSFDLEKTRQALKGEEVEKLPLSNSCVSKRNGNYVYTSCCVTLDDGTPLYSNIKNPTKRHLVVG -TTGDPKIVDLPATDTDKMYIAKEGYCYLNIFLAMLINVNENEAKAFTKMVRDIIIPMLGTWPTMQDLATA -CFMMTAFFPETSSAELPRILVDHTNQTMHVIDSFGSLTTGYHVLKAGTAAQLIDFASTELEGEMKWYRVG -GHGLPVKEKMISALITSIFRPKKLVYLIEEDPYVLIMAMCSPRLIISLFNNGALELAAKHWISRDKNVSA -IFAMLMDLSTEMSKAELLIEQHRMINECAKRVHDTQNYLDEVGPHQQEVRTFLALISDELEADKELHKTG -FANFSERFHSLTEKMYVDALEEEWRGLSLLDRFSYATFVYKHKPRSTSVLPPKKSEDIDAKFVISPSWFV -GKTKEHLSGGRKYVTSQITQFTSYIKRATLDRAMRIMCSCLKDLAYFMNVALVTHLLISMIAAVYNMLND -HRIAKRRLYILEMQETNTAIWHLYDTWKTVNQRDPTHEEFRKYVAKVNKNLLRHLPEEEDKAEVEYQANK -VYEKKLEKAVALMALFTMIFDTEKSGAVFSILRNIKSVFSTLGEEVKYQSLDEIQSIEDEKKLTIDFDLD -TEITAEHTTMDVQFEKWWDKQLSQNRVVPHYRVGGTFIEFTRHTAASVCNTICASSEQEFVVRGAVGSGK -STGLPSHLSRKGRVLLLEPTRPLAENVCKQLRKEPFHLSPTLRMRGLTTFGSSNISVMTSGYALHFHANN -PQRLEEFDFIMIDESHTMDSSTMAFYCLLREYEFKGKILKVSATPPGRECEFKTQHDVLIKIEESLSYNS -FVTAQGTGSNADVVQNGDNILVYVPSYNDVDQLSKGLMEKGHLVTKVDGRTMKMGNVEIPTKGTSSKKHF -IVATNIIENGVTLDIDVVVDFGLKVVAELDSDSRCMRYKKVSISYGERLQRLGRVGRVKQGTALRIGHTE -TGMTEIPVAIATEAAFICFAYNLPVMTHNVTSSLLSRCTNRQARTMMQYELSPFFMVELVHFNGCVHPQI -ESKLKAYKLRDSETQLSTLAIPNSGTSRWKTVGEYKKLGVRIEADDNVRVPFAANGVPDRLYADLWETIQ -QHKSDAGFGRLTSACASKISYTLTTQPNAIPRTLAIIEHLLREEQQKKAYFESLNDTLCATSFSLAGMVN -NIRRRYLKDHSAHNINVLQNAKSQLNEFNSKAIDPERVGDIMGYGVLDTVQYQSATDVQKRLKLKGRWNG -SLAATDLLIAGAVFAGGCWMLWEYTKSGNEIVQYQGKRRQMQKLKFRNARDNKVGREVYGDDGTIEHFFG -AAYTERGKRKGNNSTKGMGTKTRRFVHMYGFDPTEYSFVRFVDPLTGYSKDESVQTDISLVQSEIGEYRQ -KCMEDDDELIDFIKQKPGIQAYFMKNGSDKALQVDLTPHIPLLSCAKTATIAGFPERESELRQTGTPIVV -NKNVVPGEHKEVVREEGKSIVKGLRNYNPISSVVCRLTNDSNGNAQTLYGVGFGPLIITNSHLFKMNNGT -LFVRSHQGEFTVQNTTQLQIYHVKDKDMILIRMPKDFPPFPMKLKFRAPHSEERACLVGSRFQQKSLSSE -VSDSTLIRPTDSGSGYWKHWVSTKEGDCGLPMVALKDGSLIGIHGLTSVRSELNYFVPFTDDFQSKYLSN -IESLEWVKHWRHTPDKVAWNGMTLRENGPASEFSVSKLIADLTHGYVDEVVEQGYSSKWVANRLDGNLKA -VASSSSQLVTKHVVKGPCVLFQEFLATHEEAARYFVPRMGEYGPSRLNKEAFLKDFLKYAGPITVGVVNT -NSFEDAVASVINMLEDLDYGECAYVTDPDSIFDSLNMKAAVGALYKGKKKEYFEQLNTTEREDLLRLSCE -RLYEGKMGVWNGSLKAELRPKEKLEQNKTRTFTAAPIDTLLGGKVCVDDFNNRFYSLNLKGPWSVGMTKF -YGGWNELLQKLPDGWIYCDADGSQFDSSLTPYLINAVVQIREHFMEDWEIGRTMLRNFYTEIVYTPILTP -DGTIVKKFKGNNSGQPSTVVDNTLMVILAMHYAMHQQCWKEEEMKEKIRFFANGDDLLIAIYPSKEKFLN -VLSEYFHELGLKYDFSSRSTVRETLWFMSHRGLYLDDMYIPKLEEERIVSILEWDRSNEATHRAEAICAA -MIEAWGYPELLKYIREFYLWMMQHECYRDLVRDGKLPYIAETALRKLYTDKSVDENELVKYWKALAPEED -DGPDIVTYQGDEKPSKSSQPQSSSPQVPQQVDAGASSQGRDKQSVIKHDSTKSKDVGQSSTAVPRLKQIS -KMRMPVSKGRQVLALDHLLDYKPEQVDLSNTRATKEQFDNWYEAVMREYDVSDSQMGVIMNGLMVWCIEN -GTSPNLSGDWVMMDGEEQVSFPLKPIVENAKPSFRQIMHHFSDAAEAYIEMRNRERPYMPRYGAQRNLRD -KTLARYAFDFYEVTSRTTDRAREAHFQMKAAALASVSNKLFGLDGSVATTSEDTERHTATDVNAHMHHMM -GVRQG - ->NP_734358.1 coat protein [Bean common mosaic necrosis virus] -SSKKEEEKDAGADEREKDKGKGPADKDVGAGSKGKVVPRLQKITKKMNLPMVGGRMILNLDHLIEYKPQQ -TDLYNTRATKAQFERWYEAVKTEYELNDQQMGVVMNGFMVWCIDNGTSPDVNGVWVMMDGDEQIEYPLKP -MVENAKPTLRQVMHHFSDAAEAYIEMRNSEGFYMPRYGLLRNLRDKSLARYAFDFYEVNSKTSDRAREAV -AQMKAARLANVNTRLFGLDGNVATTSENTERHTARDVNQNMHHLLGMTSGQ - ->NP_734417.1 coat protein [Peanut mottle virus] -SGENKSKVEVDAAAAKLKEKEKEKHKKTEEGTSEGTSQTKEPDVDTGSQGIVYVPKLAKITKKMRMPMVG -GQVILHIPHLLDYKPEQVDLSNTRSSQQQFTAWYNGLKEAYEITDDTSMSVLMNGLMVWCIENGTSPNIN -GNWTMMDGHEQNEYPLKPVIENAKPTFRQIMHHFSDAAEAYIEMRNAEKPYMPRYGLQRNLRDFSYARIA -FDFYEITSRTSAKAREIHMQMKAAALNNVAIKTFGLDGNVGTQDEDTERHTANDVNRNMHSLLGMRQM - ->NP_734408.1 P1 protein [Johnsongrass mosaic virus] -MAGEWNTVVGKRNQRKTEEQRIFDAQKRFYETHQVYDAQRALLHNSRILKRNFELTNAIEKPKNDPKPIK -KVFKQVWVAKVKEILNEPKPIDIKPVQARDEVVKVDIGKFARVLLDQCKAYKVPVEIVGRKRKVVSRIQP -YLKHGKTFLKLETNHEQGRITRRDLSMPDPVKKLVLKIADKYEEPTDEDLETFSKGSSGITFKSETGMLF -VIRGRVNGVLVNALDQYEEDVKQICHY - ->NP_734112.1 coat protein [Dasheen mosaic virus] -ADDTVDAGNNDNKTKTTETKTPAAGGGNNTNNTPPPPADNTTNNNPPPPPPAVPKATETPTNTQVVPPAS -EKGKEIVKDVNAGTSGTYSVPRLNKITNKMNLPLVKGKCILNLNHLIEYKPEQRDIFNTRATHTQFEVWY -NAVKREYELEDEQMHIVMNGFMVWCIDNGTSPDINGAWVMMDGNEQIEYPLKPIVENAKPTLRQIMHHFS -DAAEAYIELRNAEKPYMPRYGLIRNLRDASLARYAFDFYEVNSKTPVRAREAVAQMKAAALSNVTTRLFG -LDGNVSTSSENTERHTAKDVTPNMHTLLGVSPPQ - ->NP_734192.1 coat protein [Zucchini yellow mosaic virus] -SGTQPTAADAGATKKDKEDDKGKNKDVTGSGSGEKTVAAVTKDKDVNAGSHGKIVPRLSKITKKMSLPRV -KGKVILDIDHLLEYKPDQIELYNTRASHQQFSSWFNQVRTEYDLNEQQMGVVMNGFMVWCIENGTSPDIN -GVWFMMDGDEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEAPYMPRYGLLRNLRDRSLARYA -FDFYEVNSKTPERAREAVAQMKAAALSNVSSRLFGLDGNVATTSEDTERHTARDVNRNMHTLLGVNTMQ - ->NP_734200.1 coat protein [Soybean mosaic virus] -SGKEKEGDMDADKDPKKSTSSSKGAGTSSKDVNVGSKGKVVPRLQKITRKMNLPMVEGKIILSLDHLLEY -KPNQVDLFNTRATRTQFEAWYNAVKDEYELDDEQMGVVMNGFMVWCIDNGTSPDANGVWVMMDGEEQIEY -PLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNSESPYMPRYGLLRNLRDRELARYAFDFYEVTSKTPNRA -REAIAQMKAAALSGVNNKLFGLDGNISTNSENTERHTARDVNQNMHTLLGMGPPQ - ->NP_734388.1 coat protein [Cowpea aphid-borne mosaic virus] -SDERQKELDAGKDKDKAKEAREQSTQQKQAKNKGAKETERDVAASSSGQLVPRLQKISKKMNLPMVAGRL -ILNIDHLIEYKPKQIDLYNTRASKAQFNTWFEAVKEEYELDDDKMSVIMNGFMVWCIENGTSPDVNGVWT -MMDGDEQVEFPLKPIVENAKPTLRQVMHHFSDAAEAYIEMRNSEGFYMPRYGPLRNLRDKSLARYAFDFY -EVTSKTSDRAREAIAQMKAAALANVNTRMFGLDGNVATVSENTERHTAADVNQNMHSLLGMTHGQ - ->NP_734379.1 P1 protein [Cowpea aphid-borne mosaic virus] -MIVDLKDEVEEAAKVIQIQKDHTSYTRESKKLLSDYDEAQNKFTESLLQLPGMWAKLRIDAYSPIRKTKK -GAIINRVSFRKAQERHEARLVADKELAAFLAGEYESAAYIGSIQGLNTARNSESISFKTPYYRRTPKIQK -QRIKPKQVVGSSQVLKQVMQLAQQTGISVEFIERGKGRTLKVNVVKKYGSVLPKIILPHEEGVHVHEEVN -YNKHKNTLLFLIGHSSYKTIHQSVFRKGDSGLVYPTQKIQSLPEHDKEVFVVRGRLDGSLINALDWCSEP -SHVQHY - ->NP_734122.1 coat protein [Bean common mosaic virus] -SGTGQPQPPIVDAGVDAGKDKRERNNRGKEPENREGSGNNSRGAGDSTMRDKDVNAGSKGKVVPRLQKIT -KRMNLPMVKGNVILNLDHLLDYKPEQTDLFNTRATKMQFEMWYNAVKGEYEIDDAQMSIVMNGFMVWCID -NGTSPDVNGTWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRNSEKPYMPRYGLLRNLR -DKNLARYAFDFYEVTSKTSDRAREAVAQMKAAALSNVSSKLFGLDGNVATTSENTERHTARDVNQNMHTL -LGMGSPQ - ->NP_660175.1 polyprotein [Bean common mosaic necrosis virus] -MATIMFGSIAAEIPVIKEAIMIAMPKSKHTLHVVQVEAKHMATEIRSERGKLYVAKRFADNAIKAYDSQL -KAFDELLKKNSDLQKRLFIGQNSPIKQKKGGACFVRSLSFKQAEERHAKYLKLQEEEHQFLSGAYGDKAY -VGSVQGTLDRKVAEKVSFKSPYYKRTCKAVRQVKVLKKAVGSGKVLDQVLEIVAETGVPVTFVGKGANKT -LRAQYVRRYGLVIPKIFLCHESGRKVHREMSYWHHKETLQYLCKHGKYGALNENALCKGDSGLLFDQRTA -FVKRVTYLPHFIVRGRQEGQLVCATEYLDNVYTIEHYTHKPEEQFFKGWKQVFDKMAPHTFEHDCTIDYN -NEQCGELAATICQTLFPVRKLSCNKCRHRIKDLSWEEFKQFILAHLGCCAKLWEEQKNLPGLEKIHSFVV -QATSENMIFETSMEIVRLTQNYTSTHMLQIQDINKALMKGSSATQEDLKKASEQLLAMTRWWKNHMTLTN -EDALKTFRNKRSSKALINPSLLCDNQLDRNGNFVWGERGRHSKRFFENFFEEVVPSEGYKKYVIRNNPNG -FRKLAIDSLIVPMDLARARIALQGESIKREDLTLACVSKQDGNFVYPCCCVTQDDGRPFYSELKSPTKRH -LVVGTSGDPKYIDLPATDSDRMYIAKEGYCYLNIFLAMLVNVNEDEAKDFTKMVRDVVVPKLGTWPSMMD -VATAVYIMTVFHPETRSAELPRILVDHASQTMHVIDSFGSLSVGYHVLKAGTVNQLIQFASNDLEGEMKH -YRVGGDAEQRMRCERALISSIFKPKKMMQILENDPYTLVLGLVSPTVLIHMFRMKHFEKGVELWINKDQS -VVKIFLLLEHLTRKIAMNDVLLEQLEMISQQAGRLHEIICDCPKNIHSYRAVKDFLEVKMEAALTNKELA -NNGFFDINESLGHVSEKIYAKALEKEWRALSWLEKSSVTWQLKKFSKVTEEHLTKKAAEGRKESSRKFVS -ACFMNAQTHLGNARITISNKVNEVTNLGVRRIVEMCLRLIHRCYSDMIFLVNISIIFSLFVQMCATLRNT -LSIIHRDRTTLARVQAESNERSIMQMYDLMTKAGNGPPKMEDFFKHIEMVRPDLLPTAKYMVQDSEAVDT -QAKTQTQLQLEKIVAFMALLTMCIDSERSDAVFKILQKLKSVFGTMGEDVRPQSLDDILDLDEAKQLTVD -FDLSTSKESTSTSFDVTFEDWWNRQLQQNRVIPHYRTSGEFLEFTRETAAKVANTITLSTSTEFLIRGAV -GSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLGRDPFFHAVTLRMRGLNRFGSSNITVMTSGFAFHY -YVNNPHQLSDFDFIIIDECHVLDSATIAFNCALKEFEFPGKLLKVSATPPGRECEFTTQHPVKLKVEEHL -SFQQFAQAQGTGSNADMVQYGHNLLVYVASYNEVDQMSRHLLDRQFHVTKVDGRTMQMGNIEIETHGTEG -KPHFIVATNIIENGVTLDVDCVIDFGLKVVAQLDSDNRCVRYEKKAVSFGERIQRLGRVGRHKAGFALRI -GHTEKSLEEIPEFIATEAAFLSFAYGLPVTTQGVSTNILSRCTVKQARNALNFELTPFFTTNFIRYDGSI -HPEVHKLLCKFKLRESEMLLSKLAIPHQYTSQWITVKDYNRIGIQVNCDEKVKIPFYVHGIPDKLFEMLW -NTVCKYKCDAGFGRISSVNATKISYTLSTDPSALPRTIAILDHLISEEIMKKNHFDTISSSLTGHSFSLA -GIADGIRKRYLKDYTQQNIAILQQARAQLLEFNSNTVDLNNLQNYEDLGVLNTVRLQGKAEVCEFLGLKG -KWDGKKFFNDVVVAIFTLIGGGWMLWDYFRHYMQEPVSTQGRKRMMQKLKFRDAFDRKVGREVYADDYTM -EHTFGEAYTKKGKQKGSTHTKGMGKKSRGFIHMYGVEPENYSTLRFVDPLTGHTMDESPRVDIRIVQDEF -GEIRRQKINEGELDKQAVVARPGLQAYFLGKGTEEALKVDLTPHRPTLLCMNSNAIAGFPEREDELRQTV -PMSAVPKPNEVVELESKSTYKGLRDYSSVSTLICRLVNSSDGHNETIYGIGYGSYIITNGHLFRRNNGTL -TVKTWHGDFIIPNTTQLKIHFIEGKDAILIRMPRDFPPFAQRSCFRSPKKEERVCMVGTNFQEKSLRSTV -SESSIIVPEGKGSFWVHWITTQDGDCGLPMVSVNDGYIVGIHGLTSNETSRNFFVPFIDEFKNKYLDKLE -DLTWNKHWLWQPDRIAWGSLNLVDDQPKSEFKISKLVTDLFGSEVSVQSKKDRWVLEAVEGNLVACGQAE -SALVTKHVVKGKCCHFAQYLSLHPDAQAFFKPLMSAYQPSKLNKEAFKKDFFKYNKPVMLNEVNFEAFEK -AVEGVKIMMIEFGFNECVYVTDPDDIYDSLNMKAAVGAQYKGKKQDYFQDMDSFDKERLLFLSCERLFYG -QKGIWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFNLICPWTVGMTKFYGGWD -KLMRALPDGWVYCHADGSQFDSSLTPLLLNSVLSIRSFFMEDWWVGKEMLENLYAEIVYTPILTPDGTIF -KKFRGNNSGQPSTVVDNTLMVVISMYYSCIKEGWTYDDIQERLVFFANGDDIILAVQKEDVWLYNTLSNS -FKELGLNYDFSEQTTKREELWFMSHQAMLIDDIYIPKLEQERIVSILEWDRSKELMHRTEAICAAMIEAW -GHTELLTEIRKFYLWLMGKEEFKELALNGKAPYIAETALRKLYTDKDAKMEEMQEYLKQLEFDSDDEVYE -SVSTQSSKKEEEKDAGADEREKDKGKGPADKDVGAGSKGKVVPRLQKITKKMNLPMVGGRMILNLDHLIE -YKPQQTDLYNTRATKAQFERWYEAVKTEYELNDQQMGVVMNGFMVWCIDNGTSPDVNGVWVMMDGDEQIE -YPLKPMVENAKPTLRQVMHHFSDAAEAYIEMRNSEGFYMPRYGLLRNLRDKSLARYAFDFYEVNSKTSDR -AREAVAQMKAARLANVNTRLFGLDGNVATTSENTERHTARDVNQNMHHLLGMTSGQ - ->NP_659018.1 polyprotein [Cowpea aphid-borne mosaic virus] -MIVDLKDEVEEAAKVIQIQKDHTSYTRESKKLLSDYDEAQNKFTESLLQLPGMWAKLRIDAYSPIRKTKK -GAIINRVSFRKAQERHEARLVADKELAAFLAGEYESAAYIGSIQGLNTARNSESISFKTPYYRRTPKIQK -QRIKPKQVVGSSQVLKQVMQLAQQTGISVEFIERGKGRTLKVNVVKKYGSVLPKIILPHEEGVHVHEEVN -YNKHKNTLLFLIGHSSYKTIHQSVFRKGDSGLVYPTQKIQSLPEHDKEVFVVRGRLDGSLINALDWCSEP -SHVQHYSHQLEVQFFQGWKKVFDKLVPRTQDHECTVDYSNEQCGELAASLSQILYPVKKLSCRQCRFRIK -DLSWEEYKEFVATHFGCCAETLKEQQSVGFKNVQTLVERAVSEDGDMELSLEIIKLTQNYTSTPMLQIQD -INKALMKGSSASKQELDQALKQLLAMTQWWKNHMDLTGEDALKTFRNKRASKAILNPSLLCDNQLDKNGN -FIWGERGRHSKRFFSNFFEEIVPSEGYSKYTIRRNPNGQRKLAIGSLVVPLDLDRARVSMQGEGVARKPL -TKACVSVLEKNFVYPCCCVTLDNGQPLYSEYKSPTKRHLVVGSSGDPKYIDLPATDSDRMYIAKEGYCYL -NIFLAMLVNVNEEEAKDFTKMVRDVLVPKLGTWPTMMDVATAAYMLSVFHPETKSAELPRILVDHESQTM -HVIDSFGSLNTGYHVLKAGTVNQLIQFASNDLDSEMKFYKVGGSVQQRMKCETALITSIFKPKRMVHILE -TDPYVLLMGLVSPSLLIHMFRMRHLEKGVQIWINKEQSVVKIFLILEQLTKKLVVTDVLLEQLGLISEAA -EPLHSLLLNCPNTMHSYNVARDLLTIKAEANSANEVLKLNGFFDMNVSLDSAREKIYVERLSEEWHDLNL -LEKFSVTWQLKRFSDVTENTLTKKATVDKEKFSKRFVSACFMSAQTHLRESRIYLANKVEQFSHRLIGSV -CNMFLRFVRRCYGDLIFLVNVSIVFSLFIQMISILNSTMSAIRKDRISLAAHKREKDEQTICRMYDLFIK -GSKDLPSAGQFLSHVEEFRPDLLLTAQYMITDHGRVGRHQAKTHSQVHLEKIVAFMALLTMCVDAERSDA -IFKILNKLKSVFGTMAEEVRVQGLDDLNDIEECKKLTVDFEVSTSYEPTSTTIDVSFEGWWNRQLQQNRV -VPHYRSTGEFMEFTRATAAQVANSISLSAQNDFLIRGAVGSGKSTGLPHHLAKKGKVLLLEPTRPLAENV -SKQLSQEPFFQNVTLRMRGLSRFGSSNITVMTSGFAFHYYVNNPHQLNDFDFIIMDECHVLDSSSIAFNC -ALKEFSYAGKLIKVSATPPGRECEFTTQHPVKLKVEENLSFQDFVQAQGTGTNADMVQHGSNLLVYVASY -NEVDQLAAYLLNKNYKVTKVDGRTMGRVEIETSGNPAKPHFIVATNIIENGVTLDVDCVIDFGLKVVADL -DTDSRCVRYNKKNVSYGERIQRLGRVGRHKPGFALRIGNTERGLTEIPEFIATEAAFLSFAYGLPVTTQN -VTTNILSKCTVQQAKNALNFELTPFFTTHFVKYDGSMHPEIHKLLKPFKLRESEMVMNKKAIPYQYVNQW -ISVKEYKRLGIQIGCDERVQLPFYVNGIPDKLFEALWETVSKYRYDAGFGRISSASSTKISYTLSTEPTA -IPRTIAIIDHLISEEMMKKNHFDTVASSLTGYSFSLSGIAEGIRKRYLRDYSTQNIETLQQARSQLLEFN -SNTVDVNKLPEYEDLGILNTVCLQSKHEVAKYLGLKGKWDGSKFRNDFLLVVFTIIGGGWMMVDYFSKCT -QEEVTTQGKKRMMQKLKFRNARDRKVGREVYADDYTMEHTFGEAYTKKGKEKGSHKTKGMGRKTRNFIHM -YGVEPENYSTIRFVDPLTGFTMDEHPRVDIRIVQDEIGEVRGKLMDEGELDRQSIKHNPGIQAYFFGKGT -EKALKVDLTPHRPTLLCMHSNNIAGYPERENELRQTGLPQEIDLKDVPAPNEDVGVESKSTYKGPRDYSG -ISTLICKIVNASDGCTETIFGIGYGSYIITNGHLFKRNNGTLTVKTWHGEFVVSNTTQLKIHFIEGKDAI -LIRMPKDFPPFAQRNCFRSPKKEERVCMIGTNFQEKSLRSTVSESSMVIPEGKGSFWVHWISTQDGDCGL -PLVSVDDGHIVGFHGLASNTTSRNFFVPFIDGFKEKYLDCAETLEWNRHWLWQPDKIAWGSLNLINNQPK -EEFKIAKLITDLFDDRGCTQSKQEAWLRSAIEGNLIACGKAESALVTKHVVKGKCSYFQQYLGSNQSAAD -FFKPLMGFYQPSRLNREAFKKDFFKYNKPVTVGKVDFYAFMQAVNGVKMMMIEFCFSECKYVTDSRRNFP -DSLNMKAAVGAQYKGKKQDYFATMDKFDRERLVYLSCERLFHGKKGLWNGSLKAELRPLEKVEANKTRTF -TAAPIDTLLGAKVCVDDFNNQFYNFNLQCPWTVGMTKFYGLGDKLMRSLPEGWIYCHADGSQFDSSLTPL -LLNAVLDLRMFFMEDWWVGQEMLENLYAEIVFTPILTPDGTVVKKFRGNNSGQPSTVVDNTLMVVISVYY -SCIKAGWNEVDIQERLVFFANGDDIILAAQEKDIGILDTFTKSFKELGLNYDFSERTKKREELWFMSHQA -KLVGDLYIPKLEQERIVSILEWDRSKEMLHRTETVCAAMIEAWGYPELLQEIRKFYLWLLQRDEFKELAS -LGKAPYIAETALKKLYTDEQASEKELQRYLQDILSFYDDSESEDVVLQSDERQKELDAGKDKDKAKEARE -QSTQQKQAKNKGAKETERDVAASSSGQLVPRLQKISKKMNLPMVAGRLILNIDHLIEYKPKQIDLYNTRA -SKAQFNTWFEAVKEEYELDDDKMSVIMNGFMVWCIENGTSPDVNGVWTMMDGDEQVEFPLKPIVENAKPT -LRQVMHHFSDAAEAYIEMRNSEGFYMPRYGPLRNLRDKSLARYAFDFYEVTSKTSDRAREAIAQMKAAAL -ANVNTRMFGLDGNVATVSENTERHTAADVNQNMHSLLGMTHGQ - ->NP_613274.1 polyprotein [Dasheen mosaic virus] -MACMVFGSFTNSHLASTRVVTGNVREESRTTTQFNGGSILFPTIQEGNKPQVLNGPNFMIGSYNPLLAQS -SGVKVSGAHTEVRTIKMVGIHGATTVIAPNIMAPAVKVTRPSVTQINNYGKRLVKQAQDQVERAFENFFS -KPEMKASLFKKSHAKLVRGRNQSWRLSTPTMEIAEERQAALDRERQEREAFLAGRYNPEDVVGGYVDIRD -RTKRGEQISFKGPFWKRTPKTPRVVKKQPRFVVANAFKVERALLRSLQHTNVRVEFIGNKKQRLHAQYVQ -ADNGGRYAKIALPHERRGTRLRVEIKPEIWGPTLQRLAGAVRYVKEIHDSEVTHGWSGIVMDQRQPLIHK -ISRSSIFVIRGRLGGKLVSACDNFLWDNALRIVQYAKTPEAQFFQGWREVFAGMGPQQGDHGCSIDFTNE -QCGQIAAAISQTMFPVKKLSCLTCRNALTCMSDEEYKAHIAASMECAKEILDIKEQELFGLSTVLKLVRR -AVVENINLTTSTEIVRLTQNHTSTHMLQIQDINKALMKGSTVSQADLDKASQQLLHMTQWFKKHLSLIGE -GNLESFRNKRASKALLNPSLLCDNQLDKNGNFVWGERGYHSKRFFSNYFEEIEPTDGYSKYIIRRNPSGS -RKLAIGSLIVPLNLERARIALMGEPIVRVPLTMACVSRQDNNYVYTCCCVTNEDGTPMLSELRSPTKHHL -VLGNTGDSKFVDLPALETNRMYIAKSGFCYMNIFLAMLINVNESAAKNFTKMVRDRLVPMLGEWPTVQDL -ATSMYILTVFHPETRNAELPRILVDHTTQTMHVIDSYGSLSTGYHILKAGTVGQFLHFAADDLKSEMKFY -RVGGEAEQRMRCETALIKGIFKPRLMVELLREDPYITLMGLVSPTILFHMYRMRNLERGIELWINKNQEV -GKIFIILEQLVRKMAMNDVLLDQLSIITNAAPHLLEVVQNCPREGHAYKPAINLLTIFVERKMSNKALVE -NGYSDISEQLYSEREKIFVQRLKQEWHALNLLEKCSLTLQQKKFSTLTENYLTAQAFKDSSDASRNCMRL -CFTNTNQMHTKGKITVRRENLKSWAAAVRKAVSILLGVFNKCYSDIIYLVNVCIIFSLLVQMVGVVRNIV -STARTEKAYICSHKRQEDENTIVRIYNICPIMVQVNPQRVEFEKQLEGLRPDLLGTFQYMVAEDVVEVQA -KTALQLQFEKIIAFLAIVTMCVDAERSDAVFRLLSKLKTVFATVGEDVRIQSLDDIENIEDCKNLTVDFD -ITSTKEPSSDEFDVHFEDWWSKQLQLNRVVPHYRSSGRFLEFTCATAAKVANEIILHEDREFLIRGAVGS -GKSTGLPHHLSKKGRVLLLEPTRPLAENVSKQLAKDPFFQQVTLRMRGMSIFGSSNIVVMTSGFAFHYYV -NNPHQLSEFDFIIIDECHVMDAPTIAFNCALKEFNFSGKLLKVSATPPGRECEFTTQHPVKLIVEETLSL -QGFVQAQGTQSNADMTKHGHNILVYVASYNDVDTLSRQLSERKFKVTKVDGRTMQMGNIEIKTEGCEGKP -HFIVATNIIENGVTIDIDCVVDFGQKVVATLDSESRCMRYNKTSVSYGERIQRLGRVGRFKAGTALRIGH -TERGIDEIPASIATEAAFLSFAYGLPVTTQGVTTDILSRCTVKQARVALNFEITPFFTVHFVRFDGSMHP -EIHKLLKGFKLRESEMLLNKLAIPNQYVNQWISIKDYDRLGVKLQCPEDTRIPFYARGIPDTLFERLWET -VKAFKSDAGFGRISTVNASKISYTLSTDPHAIPRTVAIIDHLLSEEMIKKDHFDTIGSTVTGYSFSLTGI -VEGIRKRYLKDFSTENIATLQQAKAQLLDINTRHINFANYSDVADLGVLRAVQFQNKSEICKFLDLKGKW -DGRRFTNDLIVGAVTLLGGGWLIYEYFSRTMRDPVTAQGKKRQIQKLKFRDARDRKLGREIYCDDNTMEH -TFGEAYTKKGKQKGSTHTKGMGRKNKNFVHIYGVEPEQYNFIRFVDPLTGYTLDENPRADMQLVQEEIGK -VRRELINEGELEPQAIYSRPGIEAYFINNNAAEALKVDLTPHRPTLLQLNSNAIAGFPEREDELRQTGQP -VKIYKDLVPKANEYVAMEGKSVYKGLRDYNSTATIVCHISNESNGHKMTLFGIGYGSIVITNSHLFKHNN -GVITINTWHGEFTIKNSTQLKIHHITGKDMVLIQMPKDFPPFIRKSQFRGPKREERVCMIGTNFQDKSMR -ATISESSLILPEGQGTFWKHWISTKDGECGIPMVAVNDGRIVGFHGLASNISERNYFVPFTDDFEQTYLK -RLDCLEWTQHWHFQPDKIAWGSLRLVNDQPTDDFKISKLISDLFENPVQLQGFQSGWVLNSAEGNLKAVA -QCESALVTKHTVKGPCIYFSEYLSSNQEAEKFFRPLMGTYAPSRLNREAFRKDFFKYAKPVEVNKVDFSA -FQTAVASVETMMMETGFSECEYITDAQTIIESLNMKAAVGAQYRGKKSEYFRDMEIFDMERLLFQSCERL -FYGKKGVWNGSLKAELRPIEKTQLNKTRTFTAAPLDTLLGAKTCVDDFNNQFYSLNLKCPWTVGMTKFYR -GWDTLMRKLPEGWVYCHADGSQFDSSLTPLLINAVVDIRKFFMEEWWVGEEMLDNLYAEIVYTPILTPDG -TIFKKFRGNNSGQPSTVVDNTLMVVISVYYACIKQGWTEYDVSQRIVFFANGDDIILAVQQEDEPILNTF -QSSFYELGLNYDFSERTMKREELWFMSHQAMKVGDIYIPKLERERIVSILEWDRSKEIMHRTEAICAAMI -EAWGYTDLLREIRKFYLWLLEKDEFKALAAEGRAPYIAETALKKLYTDENVKECELQRYLDAFNFELFCD -HDEVVLQADDTVDAGNNDNKTKTTETKTPAAGGGNNTNNTPPPPADNTTNNNPPPPPPAVPKATETPTNT -QVVPPASEKGKEIVKDVNAGTSGTYSVPRLNKITNKMNLPLVKGKCILNLNHLIEYKPEQRDIFNTRATH -TQFEVWYNAVKREYELEDEQMHIVMNGFMVWCIDNGTSPDINGAWVMMDGNEQIEYPLKPIVENAKPTLR -QIMHHFSDAAEAYIELRNAEKPYMPRYGLIRNLRDASLARYAFDFYEVNSKTPVRAREAVAQMKAAALSN -VTTRLFGLDGNVSTSSENTERHTAKDVTPNMHTLLGVSPPQ - ->NP_570723.1 polyprotein [Bean common mosaic virus] -MASIMIGTITVPLVGYECNYKDVEELIEVETQERVYINPKPISARKRNLCFCDDDEDGHYHCHFCDCECD -SKNHLEEHERDICEDAYSVRAFGYKLVPRIEIKKIIKTVPCIATEIMQPMVVVAPRSNVCETAVQTKIAN -NIVTKDMMAKSGPSSKQVSRALVLAGKKEIGSYNLAIKKMDEAMQQNSALQRRLFVQQHSTIKQQRKGAV -QLRLCSYEQAEKRAELARKRQEEEEAFLQGSYDQKEYIGKVLEPISTQRGRSVGFRSPYWHRSFKKPARI -PTKKKVGSPTRVLREVLSVVRNNGVTVEFIGRKTKRLTARYVSKGNSMIPKVTLPHESGKYKRKELDINI -YSQCLAALCAHGTYRCLNDAEIRPGDSGLVFDKRSPFTFDHTQQPFMIIRGRLNGRLVNALDEQQDIHSI -HHYSQGPEMQFFTGWRDKFNKLVPHIQQHECSVDYDNAQCGQFAALMSQMLYPVRKLSCAQCRQHIQTLS -WEEYRQFIATNISCQNKILDESKDITGLNMVQKLISRATSENLNLTASMEIARLTHNNKSTVVLQIQDIN -KALMKGSSVTQEELDLALSQLLKMTQWWKNHMSLTEIDPLKSFRNKRSSKALLNPSLLCDNQLDKNGNFI -WGERGYHSKRFFSNFFDEVIPSEGYSKYRIRRNPNGQRELAIGSLIVPLSLERARAALQGKSVETAPLTV -ACVAKQNGNFVYPCCCVTLDDGTPMYSELKSPTKRHLVVGTSGDPKYIDLPQTDEDRMYIAKEGYCYLNI -FLAMLVNVNEKEAKDFTKMVRDVIIPMLGTWPTMHDLATAVYILTVFHPETRNAELPRILVDHASQTMHV -IDSFGSLTTGYHVLKAGTINQLIHFAADDLTGEMKHYRVGGDPQQRMRCETALITSIFKPKRMIKILNND -PYILLMGLVSPSLLMHLYRMRHIEKAIEMWIQRDQSVGKIFVLLEQLTRKVAVCDVLVDQLDIINNSAGH -LLDVLKECPRTMQSYVPASDLLTLYLERQVSNSQLLSNGYSDINDLLYVEMEKIYISRLKQEWRALSLLE -KSSLTWHLKQFSIATEKGLIKRVTEGRKEFSASFVSECFTTAKSYLRSRKDMILHTCERLGHSVVRKCVN -VTFSLVKRCYSDIIYFVNVVIIFSLLVQMMSTMHNMILSAQQNKAFVKRAERDSKEKSVMHMYDMCCKAL -GGPPTSDEFLEHLGKIRPDLISTAQEMMAKTNSVSVQAKNATQLQFEKIVAFMALLTMLIDTERSDAIFK -ILSKLKTVFNTMGETVQIQSLDEIVSVDEEKKLTIDFDMESTKEPTSTSFDVKFGDWWNRQLQQNRIVPH -YRCCGKFLEFTRETAAKVANEISTSSEVEFLVRGAVGSGKSTGLPHNLAKKGKVLLCEPTRPLAENVSKQ -LSKDPFYQHVTLRMRGMNKFGSSNITVMTSGFAFHYYVNNPQQLSDFDYIIFDECHVMDSSAIAFNCALK -EFEFAGKLIKVSATPPGRECEFTTQHPVQLKVEEQLSFTNFVQAQGTGSNADMTQHGANLLVYVASYNEV -DQLSKLLIEKNFKVTKVDGRTMQMGNVEITTMGSEGKPHFVVATNIIENGVTLDVDCVIDFGLKVVATLD -SDNRCVRYTKKPVSYGERIQRLGRVGRHKPGFALRIGHTERGVGEITEFIATEAAFLSFAYGLPVTTQGV -TTNMLSQCTVKQAKSALNFELTPLFTTHFVRYDGTMHPEIHRILTAFKLRESEMVLNKLAIPHQYTSQWT -TVGEYERLGVHVHCDAKVRIPFYVNGIPDKTFEMLWDAVCKYKCDAGFGRLTSVNATKVSYTLSTDPTAL -PRTVAIIDHLIAEEMMKKSHSDTMSSAVTGHSFSLNGIAEAIRKRYLRDYTQQNIITLQQAKAQLQEFSN -KKVDVNDLSSLGELGVLNTVRLQSKEAIIEFLGIKGKWDGKKFMNDAILAAFTLLGGGWMMWEYFSKRMQ -EDVATQGKKRMTQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGKQKGSTKPKGMGRKTRNFIHMY -GVEPENYSMIRFVDPLTGATLDEGTRVDIRLVQEEFGEIRNRMIDEDELDANLIRSKPGIQAYFIGKNAE -EALKVDLTPHRPTLLCKSSNAIAGFPERENELRQTGLPAHIKRSEVPEPNEEVAVESKSIYKGLRDYNGI -SSLVCQLTNISDGHCETIFGIGYGSYIITNGHLFRRNNGVLNIKTWHGEFEIKNTTQIKIHFIEGKDAIL -IRMPKDFPPFAKKSLFRPPTKEERVCMVGTNFQEKSLRATVSESSMVLPEGVGSFWIHWITTQDGYCGLP -LVSVNDGFIVGFHGLTSNDSNKNFFVPFCEDFENKYLKNAESLSWDKHWFWQPDKIAWGSLNLVSDQPKE -EFKISKLISDLFGGTVETQSKQQWVLESVEGNLKACAKADSALVTKHVVKGKCPYFEQYLRERSEAAAFF -KPLMGAYQPSKLNKEAFKKDFFKYNKIVTLGEVCHEAFEAAFNGVITMMIEHGFSECSYITDPEEIYSSL -NLKAAVGAQYKGKKQDYLCDMDEFDKERLLYLSCERLFYGKKGLWNGSLKAELRPLEKVEANKTRTFTAA -PMDTLLGAKVCVDDFNNQFYSLNLECPWTVGMTKFYGGWDTLMRKLPDGWIHCHADGSQFDSSLTPLLLN -SVLGIRRFFMEDWWVGEEMLENLYAEIVYTPILAPDGTVFKKFRGNNSGQPSTVVDNTLMVVMSVYYSCH -KVGWSDDDIQERLVFFANGDDIILSIQEVDLWVLDTFAASFRELGLNYNFDERTKKREDLWFMSHCAIEV -DGIYIPKLEPERVVSILEWDRSKEMMHRTEAICAAMIEAWGYPELLQEIRKFYLWLLERDELREIAASGG -APYIAESALKTLYTNKKTKIEELAKYLEVLDFDYDVGCGESVHLQSGTGQPQPPIVDAGVDAGKDKRERN -NRGKEPENREGSGNNSRGAGDSTMRDKDVNAGSKGKVVPRLQKITKRMNLPMVKGNVILNLDHLLDYKPE -QTDLFNTRATKMQFEMWYNAVKGEYEIDDAQMSIVMNGFMVWCIDNGTSPDVNGTWVMMDGDEQVEYPLK -PMVENAKPTLRQIMHHFSDAAEAYIEMRNSEKPYMPRYGLLRNLRDKNLARYAFDFYEVTSKTSDRAREA -VAQMKAAALSNVSSKLFGLDGNVATTSENTERHTARDVNQNMHTLLGMGSPQ - ->NP_477522.1 polyprotein [Zucchini yellow mosaic virus] -MASVMIGSISVPIAQPAQYANTQASNRVNIVAPGHMATCPPPLKTHTYYRHESKKLMQSNKSIDILNNFF -STDEMKFRLTRNEMSKVKKGPSGRIVLRKPNKQRVFARIEQDEAARKEEAVFLEGNYDDSITNIARVLPP -EVTHNVDVSLRSPFYKRTYKKERKKVVQKQTVLAPLNSLCTRVLKIARNKNIPVEMIGNKKARHTLTFKR -FRGYFVGKVSVAHEEGRMRHTEMSYEQFKWILKAICQVTHTERIREEDIKPGCSGWVLGTNHTLTKRYSR -LPHLVIRGRDDDGIVNALEPVLFYSEVDHYSSQPEVQFFQGWRRMFDKLRPSPDHVCKVDYNNEECGELA -ATFCQALFPVVKLSCQTCREKLSRVSFEEFKDSLNANFTIHKDEWDNFKEGSQYDNIFKLIKVATQATQN -LKLSSEVMKLVQNHTSTHMKQIQDINKALMKGSLVTQDELDLALKQLLEMTQWFRNHMHLTGEEALKMFR -NKRSSKAMINPSLLCDNQLDKNGNFVWGERGYHSKRLFKNFFEEVIPSEGYTKYVVRNFPNGTRKLAIGS -LIVPLNLDRARTALLGESIEKKPLTSACVSKQNGNYIHSCCCVTMDDGTPMYSELKSPTKRHLVIGASGD -PKYIDLPASEAERMYIAKEGYCYLNIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVATAAYIL -GVFHPETRCAELPRILVDHRTQTMHVIDSYGSLTVGYHVLKAGTVNHLIQFASNDLQSEMKHYRVGGTPT -QRIKLEEQLIKGIFKPKLMMQLLHDDPYILLLGMISPTILVHMYRMRHFERGIEIWIKRDHEIGKIFVIL -EQLTRKVALAEVLVDQLDLISEASPHLLEIMKGCQDNQRAYVPALDLLTIQVEREFSNKELKTNGYPDLQ -QTLFDMREKMYAKQLHNAWQELSLLEKSCVTVRLKQFSIFTERNLIQRAKEGKRTSSLQFVHECFITTRV -HAKSIRDAGVRKLNEALVGTCKFFFSCGFKIFARCYSDIIYLVNVCLVFSLVLQMSNTVRNMIAATREEK -ERAMANKADENERTLMHMYHIFSKKQDEAPIYNDFLEHVRNVRPDLEETLLYMAGAEVVATQAKSAVQIQ -FEKIIAVLALLTMCFDAERSDAIFKILTKLKTVFGTVGETVRLQGLEDIESLEDDKRLTIDFDINTNEAQ -SSTTFDVHFDDWWNRQLQQNRTVPHYRTTGKFLEFTRNTAAFVANEIASSSEGEFLVRGAVGSGKSTSLP -AHLAKKGKVLLLEPTRPLAENVSRQLAGDPFFQNVTLRMRGLNCFGSSNITVMTSGFAFHYYVNNPHQLM -EFDFVIIDECHVTDSATIAFNCALKEYNFAGKLIKVSATPPGRECDFDTQFAVKVKTEDHLSFHAFVGAQ -KTGSNADMVQHGNNILVYVASYNEVDMLSKLLTERQFSVTKVDGRTMQLGKTTIETHGTSQKPHFIVARN -IIENGVTLDVECVVDFGLKVVAELDSENRCVRYNKKSVSYGERIQRLGRVGRSKPGTALRIGHTEKGIES -IPEFIATEAAALSFAYGLPVTTHGVSTNILGKCTVKQMRCALNFELTPFFTTHLIRHDGSMHPLIHEELK -QFKLRDSEMVLNKVALPHQFVSQWMDQSEYERIGVHVQCHESTRIPFYTNGVPDKVYEKIWKCIQENKND -AVFGKLSSACSTKVSYTLSTDPAALPRTIAIIDHLLAEEMMKRNHFDTISSAVTGYSFSLAGIADSFRKR -YMRDYTAHNIAILQQARAQLLEFNSKNVNINNLSDLEGIGVIKSVVLQSKQEVSNFLGLRGKWDGRKFAN -DVILAIMTLLGGGWFMWEYFTKKINEPVRVESKKRRSQKLKFRDAYDRKVGREIFGDDDTIGRTFGEAYT -KRGKVKGNNSTKGMGRKTRNFVHLYGVEPENYSFIRFVDPLTGHTLDESTHTDISLVQEEFGNIREKFLE -NDLISRQSIINKPGIQAYFMGKGTEEALKVDLTPHVPLLLCKNTNAIAGYPERENELRQTGTPVKVSFKD -VPEKNEHVELESKSIYKGVRDYNGISTIVCQLTNDSDGLKETMYGIGYGPIIITNGHLFRKNNGTLLVRS -WHGEFTVKNTTTLKVHFIEGKDVVLVRMPKDFPPFKSNASFRAPKREERACLVGTNFQEKSLRSTVSESS -MTIPEGTGSYWIHWISTNEGDCGLPMVSTTDGKIIGVHGLASTVSSKNYFVPFTDDFIATHLSKLDDLTW -TQHWLWQPSKIAWGTLNLVDEQPGPEFRISNLVKDLFTSGVETQSKRERWVYESCEGNLRAVGTAQSALV -TKHVVKGKCPFFEEYLQTHAEASAYFRPLMGEYQPSKLNKEAFKKDFFKYNKPVTVNQLDHDKFLEAVDG -VIRMMCDFEFNECRFITDPEEIYNSLNMKAAIGAQYRGKKKEYFEGLDDFDRERLLFQSCERLFNGYKGL -WNGSLKAELRPLEKVRANKTRTFTAAPIDTLLGAKVCVDDFNNEFYSKNLKCPWTVGMTKFYGGWDRLMR -SLPDGWLYCHADGSQFDSSLTPALLNAVLIIRSFYMEDWWVGQEMLENLYAEIVYTPILAPDGTIFKKFR -GNNSGQPSTVVDNTLMVVISIYYACMKFGWNCEEIENRLIFFANGDDLILAVKDEDSGLLDNMSASFSEL -GLNYDFSERTHKREDLWFMSHQAMLVDGMYIPKLEKERIVSILEWDRSKEIMHRTEAICAAMIEAWGHTE -LLQEIRKFYLWFVEKEEVRELAALGKAPYIAETALRKLYTDKGAETSELARYLQALHQDIFFEQGDTVML -QSGTQPTAADAGATKKDKEDDKGKNKDVTGSGSGEKTVAAVTKDKDVNAGSHGKIVPRLSKITKKMSLPR -VKGKVILDIDHLLEYKPDQIELYNTRASHQQFSSWFNQVRTEYDLNEQQMGVVMNGFMVWCIENGTSPDI -NGVWFMMDGDEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEAPYMPRYGLLRNLRDRSLARY -AFDFYEVNSKTPERAREAVAQMKAAALSNVSSRLFGLDGNVATTSEDTERHTARDVNRNMHTLLGVNTMQ - ->NP_068348.2 polyprotein [Peanut mottle virus] -MASITFGNACTVVFGQVRKEEVTAGPVAVNLNEGTRMVVVPTAAQMATPTPSVSIKIINRWSNKAVSSYE -RQVEDVFANFFAKKERSDELLTRYYGKVVQKGNKLMVKRAPLHVARVLEKQRLQDIEDEKAFLQYRDAGV -HVAGSVKFTDTRSRGQTVSFRTEHYKPTGKIVQKKKAQKQRANADVDHLIDEVMKICSADCKQVEFISMG -KRRLTAKFKLFGKSVIPCIHLAHEQGRRLRRELDPRIHEQVIAHLVTGRKVRELIKDDMVTYGWSGAILN -KNLFKRTPFRWDEVVIRGRLYGKLVDARSKLSECSKDKIHQYSSFEAQFWKGWKNKFDTLHPHNKDHICE -PTINNEKCGEIVATIFQAIHPVIKVSCSTCRERLTKASNEELNEYLATNLACHKATFDDMRQQHATVNTV -LNKIEQTSLANPNLKDSMEIVRLLQNLNQTQARQLMKVNNTLLKGNVATSEEFSDATTQLLEVTRWYAKH -LSLVDEGSISSFRNKATSKSLINPSLLCDNQLDRNGNFVWGERGRHSKRFFENFFEEVVPGGGYKKYQIR -NSPNCTRKLAIGNLIVPMSLERARNALIGESVERLPVTEACVSRVNGAFMHVASCVTSDNGSAHFSPLYS -PTKRHLVVGTTGDSKYIDLPATESDKMYVAKEGYCYINIFLAMLVNVNEDSAKDFTKMIRDTIVPMLGTW -PSMMDVATACYILTVFHPETKSAELPRILVDHTNKTMHVIDSFGSISTGYHILKAGTVSQLIHFASNELV -SEMKHYVVGGEAPHARRMRMEKALIQGIFKPKQLVYLIEEDPYILMMSLVSPTLLINLFNVGGLEVAMKH -WIKKEMNIGLIFSMLSSLAQKVSRADLVNEQITMIDANAAQFIETLAGIDVENPMRNELVSALTMMLARS -DVDSTLNKTGFTGFSDTLLEMREKIIGDELNKVWSELSWWEKFSSIIFSRRARKHIMAPLPNTKLHAIDD -RYAISCTWLHGKIKARFNGAKSATLEVCKKVTSILKRNTVDSILYICRKCYSDIFYFVNVMLISSMILSV -IYTMHKMVIESRAHKQAMVIMKMREDELVVKQMYDQYCKLANETPTKEEFFQYVCKMNKELGERIAPEFE -EGSLVVYQAKTETELGLEKVVAYLALIAMIFDGERSDAVFRALSKLKTVFGTLGETVRYQSLDEIESVAD -EKKMTIDFELEGSEASSSTVMSAKFSDWWYKQLETNRVVPHYRIGGEFVEFTRKTAAEVVNNMRASNASE -FLVRGAVGSGKSTGLPHLLAQKGRVLLLEPTRPLAENVCKQLRQAPFQQNPTLRMRGLTTFGSSNIVIMT -SGFALHYYANNPTKLQEYDFVMIDESHTMDASAMAFYCLVREYNFQGKIIKVSATPPGKECEFKTQFDVA -LLIEEDLSFQQFAQSQGQGGNADMTKHGDNILVYVASYNDVDQLAELLIRGNHFVTKVDGRTMKMGSTEI -VSKGTASKKHYIIATNIIENGVTLDVDVVVDFGQKVVAELDGDSRCMRYRKVAVSYGERIQRLGRVGRVK -KGTALRIGHTEHGISEIPASISTEAAFLCFAYGLPVITHNVTVSILANCTVQQARTMMLFELSPFFLADL -VKYNGSMHPEVHKLLKPYKLRDSEIELCKLAIPNSSIGRWLSVHEYAKLGIKIHAVDSVRIPFAGRGIPD -KLYSELWHIIQEHKHEAGFGRLTSASASTIAYTLSTDPEAIPRTIALLDNLIAEEMQKKAHFEALNSTLC -SQRFTLKNIVDTVRQRYMKDHSKHNIEVLQSARSQILEFNSATHDFKKVASLLGYGFLDTVQYQSKNELS -KRLGLKGRWNKSLVTNDLLVCGMVLFGGVWMVWEYAKSAMNEPVRYQGKRQNQKLKFRDARDRKVGREVY -GDDGTIEHFFGEAYTKKGKSKGNHTVKGMGRKTRRFIHMYGFDPTEYSFVRFVDPLTGYAIDENITCDIS -LVQDEVAEVRKQFINEDEISAQSIAENPGIIAYYMSRNADKALKIDLTPHNPLAVGRGGSSIAGFPEREY -ELRQTGKPLEVKKSEVPPVSKDVVATEGKSMCRGLRNYNPIATSICKLVNESDGHSETIHGIGFGPVIIT -NSHLFRRNNGTLQIQTHHGVFRVKNSTQLQVSHMAKKDMIIIKMPCDVPPFPSKLRFRQPEQGEKAVLVG -SLFQQKSITSSVSESTMVMPVNDSGYWRHWVSTKDGDCGLPLVSTVDGAILGLHGLTSTKSDRNYFVPFD -EQFERDILANLEKLDWKRHWLHSSDLIAWGGMSLKENHPHDCFRTSKLVTDLLGLTKDSVEYQSGQDKWV -LAGLENNLKAVAQSESQLVTKHVVKGQCMYFQEYLATHSTAEKFFKPLMGAYQPSKLNKEAFTKDLYKYQ -NEIIVGEVDKDAFDNAVEAVIYLLDDLGFGECAYVTDEEAILDSLNMKAAVGALYKGKKKEYFESLSEPE -KHHIVQASCERLFYGEMGVWNGSLKAELRPKEKVALNKTRTFTAAPIDTLLGGKCCVDDFNNRFYSLNIE -GPWTVGMTKFYGGWDKLMRKLPDGWRYCHADGSQFDSSLTPFLLNAVLAVRLMFMEDWWVGEQMLRNFYT -EIIYTPILTPDGTIVKKFKGNNSGQPSTVVDNTLMVMIAMFYGMKKLNWTDEQIKERIVFFABGDDLIIA -VQPEHEGILDTLQRSLGELGLKYDFSERCDDRQELWFMSHQGHLVDGMYIPKLEQERIVSILEWDRSTVI -EHRAEAICAAMIEAWGYPELLKQIRLFYAWILDHDMFKSLVAEGKLPYIAETALRKLYTDADATDVELEE -YILRFTEVDEDEDHNDEVRYQSGENKSKVEVDAAAAKLKEKEKEKHKKTEEGTSEGTSQTKEPDVDTGSQ -GIVYVPKLAKITKKMRMPMVGGQVILHIPHLLDYKPEQVDLSNTRSSQQQFTAWYNGLKEAYEITDDTSM -SVLMNGLMVWCIENGTSPNINGNWTMMDGHEQNEYPLKPVIENAKPTFRQIMHHFSDAAEAYIEMRNAEK -PYMPRYGLQRNLRDFSYARIAFDFYEITSRTSAKAREIHMQMKAAALNNVAIKTFGLDGNVGTQDEDTER -HTANDVNRNMHSLLGMRQM - ->NP_072165.1 polyprotein precursor [Soybean mosaic virus] -MATIMIGSMAISVPNTHVSCASNSVMPVQAVQMAKQVPSARGVLYTLKREGSTQVHKHEEALRKFQEAFD -QDVGIQRRLLVNKHSSIQSTKKNGLTLRRLTLEQARAKEAAIARRKQEEEDFLNGKYEQQFYAGVSATKS -MKFEGGSVGFRTKYWRPTPKKTKERRATSQCRKPTYVLEEVLSIASKSGKLVEFITGKGKRVKVCYVRKH -GAILPKFSLPHEEGKYIHQELQYASTYEFLPYICMFAKYKSINADDITYGDSGLLFDERSSLTTNHTKLP -YFVVRGRRNGKLVNALEVVENMEDIQHYSQNPEAQFFRGWKKVFDKMPPHVENHECTTDFTNEQCGELAA -AISQSIFPVKKLSCKQCRQHIKHLSWEEYKQFLLAHMGCHGPEWETFQEIDGMRYVKRVIETSTAENASL -QTSLEIVRLTQNYKSTHMLQIQDINKALMKGPSVTQSELEQASKQLLAMTQWWKNHMTLTDEDALKVFRN -KRSSKALLNPSLLCDNQLDKNGNFVWGERGRHSKRFFANYFEEVVPSEGYSKYVIRKNPNGQRELAIGSL -IVPLDFERARMALQGKSVTREPITMSCISRQDGNFVYPCCCVTHDDGKAFYSELRSPTKRHLVIGTSGDP -KYIDLPATDADRMYIAKEGFCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPRLGKWPTMLDVATAAYMLT -VFHPETRNAELPRILVDHACQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQSEMKFYRVGGEVQQ -RMKCETALITSIFKPKRMIQILENDPYILLMGLVSPSILIHMYRMKHFEKGVELWISKEHSVAKIFIILE -QLTKRVAANDVLLEQLEMISETSERFMSILEDCPQAPHSYKTAKDLLTMYIERKASNNQLVENGFVDMND -KLYMAYEKIYSDRLKQEWRALSWLEKFSITWQLKRFAPHTEKCLTKKVVEESSASSGNFASVCFMNAQSH -LRNVRNTLFQKCDQVWTASVRAFVKLIISTLHRCYSDIVYLVNICIIFSLLVQMTSVLQGIVNTVRRDKA -LLSGWKRKEDEEAVIHLYEMCEKMEGGHPSIEKFLDHVKGVRPDLLPVAVSMTGQSEDVSAQAKTATQLQ -LEKIVAFMALLTMCIDNERSDAVFKVLSKLKAFFSTMGEDVKVQSLDEIQSIDEDKKLTIDFDLETNKES -SSVSFDVKFEAWWNRQLEQNRVIPHYRSTGEFLEFTRETAAKIANLVATSSHTEFLIRGAVGSGKSTGLP -HHLSKKGKVLLLEPTRPLAENVSKQLSFEPFYHNVTLRMRGMSKFGSSNIVVMTSGFAFHYYVNNPQQLS -DFDFIIIDECHVQDSPTIAFNCALKEFEFSGKLIKVSATPPGRECEFTTQHPVKLKVEDHLSFQNFVQAQ -GTGSNADMIQHGNNLLVYVASYNEVDQLSRLLTEKHYKVTKVDGRTMQMGNVEIATTGTEGKPHFIVATN -IIENGVTLDIDCVIDFGLKVVATLDTDNRCVRYNKQSVSYGERIQRLGRVGRCKPGFALRIGHTGKGVEE -VPEFIATEAAFLSFAYGLPVTTQSVSTNILSRCTVKQARVALNFELTPFFTTNFIKYDGSMHPEIHRLLK -SYKLRESEMLLTKIAIPYQFVGQWVTVKEYERQGIHLNCPEKVKIPFYVHGIPDKLYEMLWDTVCKYKND -AGFGSVKSVNATKISYTLSTDPTAIPRTLAILDHLLSEEMTKKSHFDTIGSAVTGYSFSLAGIADGFRKR -YLKDYTQHNIAVLQQAKAQLLEFDCNKVDINNLHNVEGIGILNAVQLQSKHEVSKFLQLKGKWDGKKFMN -DAVVAIFTLVGGGWMLWDYFTRVIREPVSTQGKKRQIQKLKFRDAFDRKIGREVYADDYTMEHTFGEAYT -KKGKQKGSTRTKGMGRKSRNFIHLYGVEPENYSMIRFVDPLTGHTMDEHPRVDIRMVQQEFEEIRKDMIG -EGELDRQRVYHNPGLQAYFIGKNTEEALKVDLTPHRPTLLCQNSNAIAGFPEREDELRQTGLPQVVSKSD -VPRAKERVEMESKSVYKGLRDYSGISTLICQLTNSSDGHKETMFGVGYGSFIITNGHLFRRNNGMLTVKT -WHGEFVIHNTTQLKIHFIQGKDVILIRMPKDFPPFGKRNLFRQPKREERVCMVGTNFQEKSLRATVSESS -MILPEGKGSFWIHWITTQDGFCGLPLVSVNDGHIVGIHGLTSNDSEKNFFVPLTDGFEKEYLENADNLSW -DKHWFWEPSKIAWGSLNLVEEQPKEEFKISKLVSDLFGNTVTVQGRKERWVLDAMEGNLAACGQADSALV -TKHVVKGKCPYFAQYLSVNQEAKSFFEPLMGAYQPSRLNKDAFKRDFFKYNKPVVLNEVDFQSFERAVAG -VKLMMMEFDFKECVYVTDPDEIYDSLNMKAAVGAQYKGKKQDYFSGMDSFDKERLLYLSCERLFYGEKGV -WNGSLKAELRPIEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNLTCPWTVGMTKFYRGWDKLMR -SLPDGWVYCHADGSQFDSSLTPLLLNAVLDVRSFFMEDWWVGREMLENLYAEIVYTPILAPDGTIFKKFR -GNNSGQPSTVVDNTLMVVIAMYYSCCKQGWSEEDIQERLVFFANGDDIILAVSDKDTWLYDTLSTSFAEL -GLNYNFEERTKKREELWFMSHKAVLVDGIYIPKLEPERIVSILEWDRSKELMHRTEAICASMIEAWGYTE -LLQEIRKFYLWLLNKDEFKELASSGKAPYIAETALRKLYTDVNAQTSELQRYLEVLDFNHADDCCESVSL -QSGKEKEGDMDADKDPKKSTSSSKGAGTSSKDVNVGSKGKVVPRLQKITRKMNLPMVEGKIILSLDHLLE -YKPNQVDLFNTRATRTQFEAWYNAVKDEYELDDEQMGVVMNGFMVWCIDNGTSPDANGVWVMMDGEEQIE -YPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNSESPYMPRYGLLRNLRDRELARYAFDFYEVTSKTPNR -AREAIAQMKAAALSGVNNKLFGLDGNISTNSENTERHTARDVNQNMHTLLGMGPPQ - ->sp|P0CK08.2|MVP_SBMVN RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATIMIGSMAISVPNTHVSCASNSVMPVQAVQMAKQVPSARGVLYTLKREGSTQVHKHEEALRKFQEAFD -QDVGIQRRLLVNKHSSIQSTKKNGLTLRRLTLEQARAKEAAIARRKQEEEDFLNGKYEQQFYAGVSATKS -MKFEGGSVGFRTKYWRPTPKKTKERRATSQCRKPTYVLEEVLSIASKSGKLVEFITGKGKRVKVCYVRKH -GAILPKFSLPHEEGKYIHQELQYASTYEFLPYICMFAKYKSINADDITYGDSGLLFDERSSLTTNHTKLP -YFVVRGRRNGKLVNALEVVENMEDIQHYSQNPEAQFFRGWKKVFDKMPPHVENHECTTDFTNEQCGELAA -AISQSIFPVKKLSCKQCRQHIKHLSWEEYKQFLLAHMGCHGPEWETFQEIDGMRYVKRVIETSTAENASL -QTSLEIVRLTQNYKSTHMLQIQDINKALMKGPSVTQSELEQASKQLLAMTQWWKNHMTLTDEDALKVFRN -KRSSKALLNPSLLCDNQLDKNGNFVWGERGRHSKRFFANYFEEVVPSEGYSKYVIRKNPNGQRELAIGSL -IVPLDFERARMALQGKSVTREPITMSCISRQDGNFVYPCCCVTHDDGKAFYSELRSPTKRHLVIGTSGDP -KYIDLPATDADRMYIAKEGFCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPRLGKWPTMLDVATAAYMLT -VFHPETRNAELPRILVDHACQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQSEMKFYRVGGEVQQ -RMKCETALITSIFKPKRMIQILENDPYILLMGLVSPSILIHMYRMKHFEKGVELWISKEHSVAKIFIILE -QLTKRVAANDVLLEQLEMISETSERFMSILEDCPQAPHSYKTAKDLLTMYIERKASNNQLVENGFVDMND -KLYMAYEKNLLRSLEAGMARIKLVGKIFYNMAIEKICSTYGEMFDKESCRRKQRIFRKLCECVLHECPVT -PKKCKKYTFPKM - ->sp|P0CK07.2|MVP_SBMVG RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATIMIGSMAISVPNTHVSRASNSVMPVQAVQMAKQVPSARGVLYTLKREGSTQVIKHEEALRKFQEAFD -QDVGIQRRLLVNKHSSIQSTKEGWFDLASLNFRAGSSKEAAIARRKQEEEDFLNGKYEQQFYAGVSATKS -MKFEGGSVGFRTKYWRPTPKKTKERRATSQCRKPTYVLEEVLSIASKSGKLVEFITGKGKRVKVCYVRKH -GAILPKFSLPHEEGKYIHQELQYASTYEFLPYICMFAKYKSINADDITYGDSGLLFDERSSLTTNHTKLP -YFVVRGRRNGKLVNALEVVENMEDIQHYSQNPEAQFFRGWKKVFDKMPPHVENHECTIDFTNEQCGELAA -AISQSIFPVKKLSCKQCRQHIKHLSWEEYKQFLLAHMGCHGAEWETFQEIDGMRYVKRVIETSTAENASL -QTSLEIVRLTQNYKSTHMLQIQDINKALMKGPSVTQSELEQASKQLLAMTQWWKNHMALTDEDALKVFRN -KRSSKALLNPSLLCDNQLDKNGNFVWGERGRHSKRFFANYFEEVVPSEGYSKYVIRTNPNGQRELAIGSL -IVPLDFERARMALQGKSVTREPITMSCISRQDGNFVYPCCCVTHDDGKAFYSELKSPTKRHLVIGTSGDP -KYIDLPATDADRMYIAKEGFCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPRLGKWPTMLDVATAAYMLT -VFHPETRNAELPRILVDHACQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQSEMKFYRVGGEVQQ -RMKCETALITSIFKPKRMIQILENDPYILLMGLVSPSILIHMYRMKHFEKGVELWISKEHSVAKIFIILG -QLTKRVAANDVLLEQLEMISETSERFMSILEDCPQAPHSYKTAKDLLTMYIEGKASNNQLVENGFVDMND -KLYMAYEKNLLRSLEAGMARIKLVGKIFYNMAIEKICSTYGEMFDKESCRRKQRIFRKLCECVLHECPVT -PKKCKKYTFPKM - ->sp|P0CJ94.2|MVP_BCMNN RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATIMFGSIAAEIPVIKEAIMIAMPKSKHTLHVVQVEAKHMATEIRSERGKLYVAKRFADNAIKAYDSQL -KAFDELLKKNSDLQKRLFIGQNSPIKQKKGGACFVRSLSFKQAEERHAKYLKLQEEEHQFLSGAYGDKAY -VGSVQGTLDRKVAEKVSFKSPYYKRTCKAVRQVKVLKKAVGSGKVLDQVLEIVAETGVPVTFVGKGANKT -LRAQYVRRYGLVIPKIFLCHESGRKVHREMSYWHHKETLQYLCKHGKYGALNENALCKGDSGLLFDQRTA -FVKRVTYLPHFIVRGRQEGQLVCATEYLDNVYTIEHYTHKPEEQFFKGWKQVFDKMAPHTFEHDCTIDYN -NEQCGELAATICQTLFPVRKLSCNKCRHRIKDLSWEEFKQFILAHLGCCAKLWEEQKNLPGLEKIHSFVV -QATSENMIFETSMEIVRLTQNYTSTHMLQIQDINKALMKGSSATQEDLKKASEQLLAMTRWWKNHMTLTN -EDALKTFRNKRSSKALINPSLLCDNQLDRNGNFVWGERGRHSKRFFENFFEEVVPSEGYKKYVIRNNPNG -FRKLAIDSLIVPMDLARARIALQGESIKREDLTLACVSKQDGNFVYPCCCVTQDDGRPFYSELKSPTKRH -LVVGTSGDPKYIDLPATDSDRMYIAKEGYCYLNIFLAMLVNVNEDEAKDFTKMVRDVVVPKLGTWPSMMD -VATAVYIMTVFHPETRSAELPRILVDHASQTMHVIDSFGSLSVGYHVLKAGTVNQLIQFASNDLEGEMKH -YRVGGDAEQRMRCERALISSIFKPKKMMQILENDPYTLVLGLVSPTVLIHMFRMKHFEKGVELWINKDQS -VVKIFLLLEHLTRKIAMNDVLLEQLEMISQQAGRLHEIICDCPKNIHSYRAVKDFLEVKMEAALTNKELA -NNGFFDINESLGHVSEKNLCKSLREGMARAKLVGKIFCNMAIEKVLKGYGRAFDKESCRRQKRIFKKICE -CVLHECPNTPRKCTYYNFK - ->sp|Q90069.1|POLG_SBMVG RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MATIMIGSMAISVPNTHVSRASNSVMPVQAVQMAKQVPSARGVLYTLKREGSTQVIKHEEALRKFQEAFD -QDVGIQRRLLVNKHSSIQSTKEGWFDLASLNFRAGSSKEAAIARRKQEEEDFLNGKYEQQFYAGVSATKS -MKFEGGSVGFRTKYWRPTPKKTKERRATSQCRKPTYVLEEVLSIASKSGKLVEFITGKGKRVKVCYVRKH -GAILPKFSLPHEEGKYIHQELQYASTYEFLPYICMFAKYKSINADDITYGDSGLLFDERSSLTTNHTKLP -YFVVRGRRNGKLVNALEVVENMEDIQHYSQNPEAQFFRGWKKVFDKMPPHVENHECTIDFTNEQCGELAA -AISQSIFPVKKLSCKQCRQHIKHLSWEEYKQFLLAHMGCHGAEWETFQEIDGMRYVKRVIETSTAENASL -QTSLEIVRLTQNYKSTHMLQIQDINKALMKGPSVTQSELEQASKQLLAMTQWWKNHMALTDEDALKVFRN -KRSSKALLNPSLLCDNQLDKNGNFVWGERGRHSKRFFANYFEEVVPSEGYSKYVIRTNPNGQRELAIGSL -IVPLDFERARMALQGKSVTREPITMSCISRQDGNFVYPCCCVTHDDGKAFYSELKSPTKRHLVIGTSGDP -KYIDLPATDADRMYIAKEGFCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPRLGKWPTMLDVATAAYMLT -VFHPETRNAELPRILVDHACQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQSEMKFYRVGGEVQQ -RMKCETALITSIFKPKRMIQILENDPYILLMGLVSPSILIHMYRMKHFEKGVELWISKEHSVAKIFIILG -QLTKRVAANDVLLEQLEMISETSERFMSILEDCPQAPHSYKTAKDLLTMYIEGKASNNQLVENGFVDMND -KLYMAYEKIYSDRLKQEWRALSWLEKFSITWQLKRFAPHTEKCLTKKVVEESSASSGNFASVCFMNAQSH -LRNVRNTLFQKCDQVWTASVRAFVRLIISTLHRCYSDIVYLVNICIIFSLLVQMTSVLQGIVNTARRDKA -LLSGWKRKEDEEAVIHLYEMCEKMEGGHPSIEKFLDHVKGVRPDLLPVAVSMTGQSEDVSAQAKTATQLQ -LEKIVAFMALLTMCIDNERSDAVFKVLSKLKAFFSTMGEDVKVQSLDEIQSIDEDKKLTIDFDLETNKES -SSVSFDVKFEAWWNRQLEQNRVIPHYRSTGEFLEFTRETAAKIANLVATSSHTEFLIRGAVGSGKSTGLP -HHLSRKGKVLLLEPTRPLAENVSKQLSFEPFYHNVTLRMRGLSKFGSSNIVVMTSGFAFHYYFNNPQQLS -DFDFIIIDECHVQDSPTIAFNCALKEFEFSGKLIKVSATTPGRECEFTTQHPVKLKVEDHLSFQNFVQAQ -GTGSNADMIQHGNNLLVYVASYNEVDQLSRLLTEKHYKVTKVDGRTMQMGNVEIATTGTEVKPHFIVATN -IIENGVTLDIDCVIDFGLKVVATLDTDNRCVRYNKQSVSYGERIQRLGRVGRCKPGFALRIGHTGKGVEE -VPEFIATEAAFLSFAYGLPVTTQSVSTNILSRCTVKQARVALNFELTPFFTTNFIKYDGSMHVIDTRLLK -SYKLRESEMLLTKLAIPYQFVGQWVTVKEYERQGIHLNCPEKVKIPFYVHGIPDKLYEMLWDTVCKYKND -AGFGSVKSVNATKISYTLSTDPTAIPRTLAILDHLLSEEMTKKSHFDTIGSAVTGYSFSLAGIADGFRKR -YLKDYTQHNIAVLQQAKAQLLEFDCNKVDINNLHNVEGIGILNAVQLQSKHEVSKFLQLKGKWDGKKFMN -DAVVAIFTLVGGGWMLWDYFTRVIREPVSTQGKKRQIQKLKFRDAFDRKIGREVYADDYTMEHRFGEAYT -KKGKQKGSTRTKGMGRKSRNFIHLYGVEPENYSMIRFVDPLTGHTMDEHPRVDIRMVQQEFEEIRKDMIG -EGELDRQRVYHNPGLQAYFIGKNTEEALKVDLTPHRPTLLCQNSNAIAGFPEREDELRQTGLPQVVSKSD -VPRAKERVEMESKSVYKGLRDYSGISTLICQLTNSSDGHKETMFGVGYGSFIITNGHLFRRNNGMLTVKT -WHGEFVIHNTTQLKIHFIQGRDVILIRMPKDFPPFGKRNLFRQPKREERVCMVGTNFQEKSLRATVSESS -MILPEGKGSFWIHWITTQDGFCGLPLVSVNDGHIVGIHGLTSNDSEKNFFVPLTDGFEKEYLENADNLSW -DKHWFWEPSKIAWGSLNLVEEQPKEEFKISKLVSDLFGNTVTVQGRKERWVLDAMEGNLAACGQDDSALV -TKHVVKGKCPYFAQYLSVNQEAKSFFEPLMGAYQPSRLNKDAFKRGFFKYNKPVVLNEVDFQSFERAVAG -VKLMMMEFDFKECVYVTDPDEIYDSLNMKAAVGAQYKGKKQDYFSGMDSFDKERLLYLSCERLFYGEKGV -WNGSLKAELRPIEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNLTCPWTVGMTKFYRGWDKLMR -SLPDGWVYCHADGSQFDSSLTPLLLNAVLDVRSFFMEDWWVGREMLENLYAEIVYTPILAPDGTIFKKFR -GNNSGQPSTVVDNTLMVVIALYYSGCKQGWSEEDIQERLVFFANGDDIILAVSDKDTWLYDTLSTSFAEL -GLNYNFEERTKKREELWFMSHKAMLVDGIYIPKLEPERIVSILEWDRSKELMHRTEAICASMIEAWGYTE -LLQEIRKFYLWLLNKDEFKELASSGKAPYIAETALRKLYTDVNAQTSELQRYLEVLDFTHADDCCESVSL -QSGKEKEGDMDAGKDPKKSTSSSKGAGTSSKDVNVGSKGKVVPRLQKITRKMNLPMVEGKIILSLDHLLE -YKPNQVDLFNTRATRTQFEAWYNAVKDEYELDDEQMGVVMNGFMVWCIDNGTSPDANGVWVMMDGEEQIE -YPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNSESPYMPRYGLLRNLRDRELARYAFDFYEVTSKTPNR -AREAIAQMKAAALSGVNNKLFGLDGNISTNSENTERHTARDVNQNMHTLLGMGPPQ - ->sp|P0CK15.2|MVP_ZYMVS RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MAAIMIGSISVPIIGSAQCATAPIGNRVNIVAPGHMAICKPQMRSHAYYKHASQKLSEQSSRGIEVLNSF -FNNDPEDAFRLTRNGMSKVKKGPNGRIILRKPKARHVFERINLEKSEKEQKGKFFNGEYDTTVTSIKGVT -TSKENDLGAFSLRSPFYKRTCKKEKRRITRENIVCVDDVNNLCERILKITRDKNIPVEIIGKRRNHHTLT -FKKFKGSFVGKVSLAPERSQMKHVEMSYGQFDYILQAICRITSTKHVRDEDIKPGCSGWVFSTDHALTQK -YSRLPYLVIRGRDDDGIVNALEPVLFYSDVEHYSFQNEVQFFNGWRKMFDKLKPHSDHTCKVDHNNEECG -EMAAVLSQAIFPVLKLSCQVCREKLSRVSFEEFKDFLSRNFMTHESEWSTLRDGVHCDNVLKLIKGAVQT -TQNLKLSSDIMKLVQNHTSTHMKQIQDINKALMKGSLVTQDELDLALKQLLEMTQWFKNHMHLTGEEALK -TFRNKRSNKAMINPSLLCDNQLDKNGNFIWGERGYHSKRLFKNFFEEVIPSEGYTKYIVRNFPNGTRKLA -IGSLIVPLNLDRARTALLGESIEKEPLTSACISQQNENYIHSCCCVTMDDGTPMYSELKSPTKRHLVIGA -SGDPKYIDLPASEAERMYIAKEGYCYLNIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVATAA -YILGVFHPETRCAELPRILVDHATQTMHVIDSYGSLTVGYHVLKAGTVNHLIQFASNDLQSEMKHYRVGG -TPTQRIRLEEQLIKGIFKPKIMMQLLHDDPYILLLGMISPTILVHMYRMRHFERGIEIWIKRDHEIGKIF -VILEQLTRKVALAEILVDQLDLISEASPHLLEIMNGCQDNQRAYAPALDLLTIQVEREFSNKELKTNGYP -DLHQTLHDMREKNVCEAVTQFMARAKLAGKILCNRAIEAILDFYGKKFDPASKRKKARIFATICSRVLYH -DPSTCEEHSRCRRAQTK - ->sp|P0CK14.2|MVP_ZYMVR RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MAAIMIGSISVPIVESARCATVQTGNRVNIVAPGHVAVCKPQMKSHSYYKHASEKLSKQASESINILNSF -FDTDPEMRFRLTRNEMSKVKKGPNGRMILRKPRAQRVLERISFEKIEKGAERQVLPWRVYATVTSIINTF -TDERNGIANSSLRSPFYKRSCRKEKKKIVCENVVRSASVNNLCDRVLKIAREKNIPVEMIGKKKNRHTLT -FKNFKGSFIGKVSLAHERGQMRHVEMSYEQFGFILQAICRVTNTRCVRDEDIKPGCSGWVLGDDHELTQK -FSRLPCLVIRGRDDEGIVNALEPVFFYDDVDHYSSQPEVQFFQGWRRMFDNFKPSSDHVCKVDHGNEECG -ELAAIFSQALFPVVKLSCQTCREKLSRVSFEEFKDSLAINFTVHKSEWDSLKENPHHDNVLKLIKGATQA -TQNLKLSSEVMKLVQNHTSTHMKQIQDINRALMKGSLVTQDELDLALKQLLEMTQWFKNHMHLTGEEALK -TFRNKRSSKAMINPSLLCDNQLDKNGNFVWGERGYHSKRLFKNFFEEVIPSEGYTKYIVRNFPNGTRKLA -IGSLIVPLNLDRARTALLGESIEKEPLTSACVSQQNGNYIHSCCCVTMDDGTPMYSDVKSPTKRHLVIGA -SGDPKYIDLPASEADRMYIAKEGYCYLNIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVATAA -YILGVFHPETRCAELPRILVDHATQTMHVIDSYGSLTVGYHVLKAGTVNHLIQFASNDLQSEMKHYRVGG -TPTQRIKLEEQLIKGIFKPKLMMQLLQDDPYVLILGMVSPTILVHMYRMRHFERGIEMWIKRDHEVGKIF -VILEQLTRKVALTEVLVDQLDLISEASPHLLEIMKGCQDNQRAYVPALDLLTVQVEREFSNKELKVNGYP -DLQQTLYDMREKNICKAIARFMARAKLAGKIMCNRAIEAILDFYGEKIDPASKRRKARIFAAICSRVLYH -DPSTCKEHSRCRHAQI - ->sp|P0CK13.2|MVP_ZYMVC RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MASIMIGSISVPIAKTEQCANTQVSNRANIVAPGHMATCPLPLKTHMYYRHESKKLMQSNKSIDILNNFF -STDEMKFRLTRNEMSKLKKGPSGRIVLRKPSKQRVFARIEQDEAARKEEAVFLEGNYDDSITNLARVLPP -AVTHNVDVSLRSPFYKRTYKKERKKVAQKQIVQAPLNSLCTRVLKIARNKNIPVEMIGNKKTRHTLTFKR -FRGCFVGKVSVAHEEGRMRHTEMSYEQFKWLLKAICQVTHTERIREEDIKPGCSGWVLGTNHTLTKRYSR -LPHLVIRGRDDDGIVNALEQVLFYSEVDHSSSQPEVQFFQGWRRIFDKFRPSPDHVCKADHNNEECGELA -AIFCQALFPVVKLSCQTCRESLVEVSFEEFKDSLNANFIIHKDEWGSFKEGSQYDNIFKLIKVATQATQN -LKLSSEVMKLVQNHTSTHMKQIQDINKALMKGSLVAQDELDLALKQLLEMTQWFKNHMHLTGEEALKMFR -NKRSSKAMINPSLLCGNQLDKNGNFVWGERGYHSKRLFKNFFEEVIPSEGYTKYVVRNFPNGTRKLAIGS -LIVPLNLDRARTALLGESIEKKPLTSACVSQQNGNYIHSCCCVTMDDGTPMYSELKSPTKRHLVIGASSD -PKYIDLPASEAERMYIAKEGYCYLSIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVATAAYIL -GVFHPETRCAELPRILVDHATQTMHVIDSYGSLTVGYHVLKAGTVNHLIQFASNDLQSEMKHYRVGGTPT -QRIKLEEQLIKGIFKPKLMMQLLHDDPYILLLGMISPTILVHMYRMRHFERGIEIWIKRDHEIGKIFVIL -EQLTRKVALAEVLVDQLNLISEASPHLLEIMKGCQDNQRAYVPALDLLTIQVEREFSNKELKTNGYPDLQ -QTLFDMREKNVCKAAAQFMARAKLAGKILCNRAIEAILDFYGKKFNPASKRRKARIFATICSRVFYHDPS -TCEEHSRCRRA - ->sp|P0CK00.2|MVP_PEMVM RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MASITFGNACTVVFGQVRKEEVTAGPVAVNLNEGTRMVVVPTAAQMATPTPSVSIKIINRWSNKAVSSYE -RQVEDVFANFFAKKERSDELLTRYYGKVVQKGNKLMVKRAPLHVARVLEKQRLQDIEDEKAFLQYRDAGV -HVAGSVKFTDTRSRGQTVSFRTEHYKPTGKIVQKKKAQKQRANADVDHLIDEVMKICSADCKQVEFISMG -KRRLTAKFKLFGKSVIPCIHLAHEQGRRLRRELDPRIHEQVIAHLVTGRKVRELIKDDMVTYGWSGAILN -KNLFKRTPFRWDEVVIRGRLYGKLVDARSKLSECSKDKIHQYSSFEAQFWKGWKNKFDTLHPHNKDHICE -PTINNEKCGEIVATIFQAIHPVIKVSCSTCRERLTKASNEELNEYLATNLACHKATFDDMRQQHATVNTV -LNKIEQTSLANPNLKDSMEIVRLLQNLNQTQARQLMKVNNTLLKGNVATSEEFSDATTQLLEVTRWYAKH -LSLVDEGSISSFRNKATSKSLINPSLLCDNQLDRNGNFVWGERGRHSKRFFENFFEEVVPGGGYKKYQIR -NSPNCTRKLAIGNLIVPMSLERARNALIGESVERLPVTEACVSRVNGAFMHVASCVTSDNGSAHFSPLYS -PTKRHLVVGTTGDSKYIDLPATESDKMYVAKEGYCYINIFLAMLVNVNEDSAKDFTKMIRDTIVPMLGTW -PSMMDVATACYILTVFHPETKSAELPRILVDHTNKTMHVIDSFGSISTGYHILKAGTVSQLIHFASNELV -SEMKHYVVGGEAPHARRMRMEKALIQGIFKPKQLVYLIEEDPYILMMSLVSPTLLINLFNVGGLEVAMKH -WIKKEMNIGLIFSMLSSLAQKVSRADLVNEQITMIDANAAQFIETLAGIDVENPMRNELVSALTMMLARS -DVDSTLNKTGFTGFSDTLLEMREKNYWRRAQQGMVRAKLVGKIFFNHFLEASAKAYYGTFAKHKATRYRR -QVCNLMYLVTWKDQGPIQWSKKCNIRSV - ->sp|P0CJ96.2|MVP_BTMV RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATMMHFGQFPSNIPLRAATCCTKVHSTLVTKEMMASSVKPAESSSVARPIIYSSAATDGYEKAQRAFEA -SFREKYSGKLEAMKYGKMVKKGGLTYVKRAGPQAIAKGIEMDAAIEKFNTAFNAGELENVTLEGDITAGI -SVARGESVWLRSVFWSRSLKKQARKKTPKLVAKSDFDDLFNKVLKVASLGNIPVEIVGKKANKILRCGYR -RVNTSTIPYFHLPHHNSNYICRELHPQRVRWLVPLLVRHRKIRDQFSDSMIARGWSGLILPKYIASTCGR -RYDEVIVRGRLYGRVEDARTKLPAGDVGRTMHYSSGEERFFAGWKEGFEKLVPAQKEHICKIVQDNKFCG -KLAASIVQIAFPCHKMACDVCRNKFNEMTPEAYSELIDKHIDQRMNEINEAIVRFPGLKQVVSNFRSKHI -ASTNIKDNLEVAKLTQGHKANQMMQLARINSILIKGNTATPSEISDASGLLLEITRWFNNHLSVIDKGSL -RAFRNKRSSKALVNPSLLCDNQRDKNGNFIWGERGYHSKRFFASHFDEVTPGDGYKEYIIRKGPQGQRKL -AIGNLIVSFDLEKTRQALKGEEVEKLPLSNSCVSKRNGNYVYTSCCVTLDDGTPLYSNIKNPTKRHLVVG -TTGDPKIVDLPATDTDKMYIAKEGYCYLNIFLAMLINVNENEAKAFTKMVRDIIIPMLGTWPTMQDLATA -CFMMTAFFPETSSAELPRILVDHTNQTMHVIDSFGSLTTGYHVLKAGTAAQLIDFASTELEGEMKWYRVG -GHGLPVKEKMISALITSIFRPKKLVYLIEEDPYVLIMAMCSPRLIISLFNNGALELAAKHWISRDKNVSA -IFAMLMDLSTEMSKAELLIEQHRMINECAKRVHDTQNYLDEVGPHQQEVRTFLALISDELEADKELHKTG -FANFSERFHSLTEKNVCGRVRRGMARFKLVRQILLCHLCVQAQTAFNIRFAPEKVRRYRCQIRHIAQLVR -WKDEGTPKWRPEVCYKPDHPIHELHQARYARQSHAHHVQLPKGPRILYECCLGDSFAH - ->sp|P18478.3|POLG_WMV2U RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -LSVTRKQCLELGMVLSLSPMGTYLEGTMGCLQLKHGHGGFVIHNTTQLRIHFIQGKDAILIRMPKIFLRL -QSATSLDNQNVRNEFAWLEQTFKRRAYGQQSQSSSIILPEGKGSFWIHWITTQDGFCGLPLVSVNDGHVV -GIHGLTSNDSEKNFFVPFTDGFEKEYLDNADNLSWDKHWFWEPSKIAWGPLNLVEEQPKEEFKISKLVSD -LFGNTVAVQSRKERWVLDAMEGNLVACGQADSALVTKHVVKGKCPYFAQYLSLHDGAXQFFEPLMGAYQP -SRLNKDAFKKDFFKYNKPVVLNEVDFNAFEKAVEGVITMMVDFEFAECLFVTDPDEIYGSLNMKAAVGAQ -YKGKKQDYFSGMDSFDKERLLYLSCERLFNGEKGIWNGSLKAELRPIEKVQANKTRTFTAAPLDTLLGAK -VCVDDFNNQFYSFNLKCPWTVGMTKFYGGWDKLMRSLPDGWTYCHADGSQFDSSLTPLLLNAVLSIRCCF -MEDWWVGREMLENLYAEIVYTPILAPDGTIFKKFRGNNSGQPSTVVDNTLMVVIAMYYSCCKQGWSEEDI -ERRLVFFANGDDIILAVKDEDVWLYDTLSASFAELGLNYNFDERTKKREELWFMSHQAMLVDGIYIPKLE -PERIVSILEWDRSKELMHRTEAICAAMIEAWGYTELLQEIRKFYLWLLSKDEFKELAASGKAPYIAETAL -RKLYTDVNTQPSELQRYLEVLDFNHIDGCCESVSLQSGKETVENLDAGKESKKDASDKGNKPQNSQVGQG -SKEPTKTGTVSKDVNVGSKGKEVPRLQKITKKMNLPTVGGKIILSLDHLLEYKPSQVDLFNTRATKTQFE -SWYSAVKVEYDLNDEQMGVIMNGFMVWCIDNGTSPDVNGVWVMMDGEEQVEYPLKPIVENAKPTLRQIMH -HFSDAAEAYIEMRNSESPYMPRYGLLRNLRDRELARYAFDFYEVTSKTPNRAREAIAQMKAAALAGVNSR -LFGLDGNISTNSENTGRHTARDVNQNMHTLLGMGPPQ - ->sp|O36979.2|POLG_ZYMVS RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAAIMIGSISVPIIGSAQCATAPIGNRVNIVAPGHMAICKPQMRSHAYYKHASQKLSEQSSRGIEVLNSF -FNNDPEDAFRLTRNGMSKVKKGPNGRIILRKPKARHVFERINLEKSEKEQKGKFFNGEYDTTVTSIKGVT -TSKENDLGAFSLRSPFYKRTCKKEKRRITRENIVCVDDVNNLCERILKITRDKNIPVEIIGKRRNHHTLT -FKKFKGSFVGKVSLAPERSQMKHVEMSYGQFDYILQAICRITSTKHVRDEDIKPGCSGWVFSTDHALTQK -YSRLPYLVIRGRDDDGIVNALEPVLFYSDVEHYSFQNEVQFFNGWRKMFDKLKPHSDHTCKVDHNNEECG -EMAAVLSQAIFPVLKLSCQVCREKLSRVSFEEFKDFLSRNFMTHESEWSTLRDGVHCDNVLKLIKGAVQT -TQNLKLSSDIMKLVQNHTSTHMKQIQDINKALMKGSLVTQDELDLALKQLLEMTQWFKNHMHLTGEEALK -TFRNKRSNKAMINPSLLCDNQLDKNGNFIWGERGYHSKRLFKNFFEEVIPSEGYTKYIVRNFPNGTRKLA -IGSLIVPLNLDRARTALLGESIEKEPLTSACISQQNENYIHSCCCVTMDDGTPMYSELKSPTKRHLVIGA -SGDPKYIDLPASEAERMYIAKEGYCYLNIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVATAA -YILGVFHPETRCAELPRILVDHATQTMHVIDSYGSLTVGYHVLKAGTVNHLIQFASNDLQSEMKHYRVGG -TPTQRIRLEEQLIKGIFKPKIMMQLLHDDPYILLLGMISPTILVHMYRMRHFERGIEIWIKRDHEIGKIF -VILEQLTRKVALAEILVDQLDLISEASPHLLEIMNGCQDNQRAYAPALDLLTIQVEREFSNKELKTNGYP -DLHQTLHDMREKMYAKQLHNSWQELSLLEKSCVTVRLKQFSIFTERNLTQRAKERKHASSLQFVHECFIT -TRVHAKSIRDAGVRKLNEALVGTCKFFFSCGFRIFARCYSDIIYFVNVCLVFSLVLQMSNTVRNMIAATR -EEKERAMANKADENERTLMHMYHIFCKKQDDAPIYNDFLEHVRSVRPDLEETLLYMAGGEVVTAQAKSAV -QIQFEKIIAVLALLTMCFDAERSDAIFKILTKLKIVFGTVGETVRLQGLEDIENLEDDKRLTIDFDINTN -EAQSSTTFDVHFEDWWNRQLQQNRTVPHYRTTGKFLEFTRSTAAYVANEIASSSEGEFLVRGAVGSGKST -SLPAHLAKKGKVLLLEPTRPLAENVSRQLAGDPFFQNVTLRMRGLSCFGSSNITVMTSGFAFHYYVNNPH -QLMEFDFVIIDECHVTDSATIAFNCALKEYSFAGKLIKVSATPPGRECDFDTQFAVKVKTEDHLSFNAFV -GAQKTGSNADMVQHGNNILVYVASYNEVDMLSKLLTERQFSVTKVDGRTMQLGKTTIETHGTSQKPHFIV -ATNIIENGVTLDVECVVDFGLKVVAELDSEKRCVRYSKKPVSYGERIQRLGRVGRSKPGTALRIGHTEKG -IENIPEFIATEAAALSFAYGLSVTTHGVSTNNLGKCTVKQMKCALNFELTPFFTTHLIRHDGSMHPLIHE -ELKQFKLRDSEMVLNKVALPHQFVSQWMDQSEYERIGVHIQCHESTRIPFYTNGIPDKVYERIWKCIQEN -KNDALFGKLSSAFPSKVSYTLSTDPAALPRTIAIIDHLLAEEMMKRNHFDMISSAVTGYSFSLAGIADSF -RKRYMRDHTAHHIAILQQARAQLLEFNSKNVNINNLSDLEGIGVIKSVVLQSKQEVSSFLGLRGKWDGRK -FANDVILAVMTLFGGGWFMWEYFTKKVNEPVRVESKKRRSQKLKFRDAYDRKVGREIFGDNDTIGRTFGE -AYTKRGKVKGNNSTKGMGRKTRNFVHLYGVEPEIYSFIRFVDPLTGHTLDESTHTDISLVQEEFGNIREK -FLENDLISRQSIINKPGIQAYFMGKGTEEALKVDLTPHVPLLLCRNTNAIAGYPERENELRQTGTPIKVS -FKEVPEKNEHVELESKSIYKGVRDYNGISTIVCQLTNDSDGLKETMYGIGYGPIIITNGHLFRKNNGTLL -VRSWHGEFTVKNTTTLKVHFIEGKDVVLVRMPKDFPPFRSNASFRAPKREERACLVGTNFQEKSLRSTVS -ESSMTIPEGTGSYWIHWISTNEGDCGLPMVSTTDGKIIGIHGLASTVSSKNYFVPFTDDLLTTHLSKLDD -LTWTQHWLWQPSKIAWGSLNLVDEQPGPEFRISNLVKDLLTSGVETQSKRERWVYESCEGNLRAVGSAQS -ALVTKHVVKGKCPFFEEYLQTHAEANTYFRPLMGEYQPSKLNKEAFKKDFFKYNKPVVVNQLDHDKFLGA -VNGVIRMMCDFEFNECRFITDPEEIYDSLNMKAAIGAQYRGKKKEYFEGLDNFDRERLLFQSCERLFNGH -KGLWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNEFYSKNLKCPWTVGMTKFYGGWDK -LMRELPDGWLYCHADGSQFDSSLTPALLNAVLIIRSFYMEDWWVGQEMLENLYAEIVYTPILAPDGTIFK -KFRGNNSGQPSTVVDNTLMVVISIYYACMKFGWSYEEIENKLVFFANGDDLILAVKDEDSGLLDNMSASF -SELGLNYDFSERTHKREDLWFMSHQAMLVDGMYIPKLEKERIVSILEWDRSKEIMHRTEAICAAMIEAWG -HTDLLREIRKFYLWFVEKEEVRELATLGKAPYIAETALRKLYTDKGAETGELARYLQALHQDIFFEQGDT -VMLQSDTQTREAGAGASKKDKDEDKDKKKDVASSSASEKAVATATKDKDVNAGSHGKIVPRLSKITKKMS -LPRVKGSVILDIDHLLEYKPDQIELYNTRASHQQFASWFNQVKAEYDLNEQQMGVVMNGFMVWCIENGTS -PDINGVWVMMDGNEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEAPYMPRYGLLRNLRDRSL -ARYAFDFYEVNSKTPDRAREAVAQMKAAALSNVSSRLFGLDGNVATTSEDTERHTARDVNRNMHTLLGVN -TMQ - ->sp|Q89251.3|POLG_WMV2T RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -RTGSCANGDDIILAVKGEDVWLYDTLSASFAELGLNYNFDERTKKREELWFMSHQAMLVDGIYIPKLEPE -RIVSILEWDRSKELMHRTEAICAAMIEAWGYTELLQEIRKFYLWLLSKDEFKELAASGKAPYIAETALRK -LYTNVNTQPNELQRYLEVLDFNHIDGCCESVSLQSGKETVENLDAGKESKKETSDKGNKPQNSQVGQGSK -EPTKTGTVSKDVNVGSKGKEVPRLQKITKKMNLPTVGGKIILGLDHLLEYKPNQVDLFNTRATKTQFESW -YSAVKVEYDLNDEQMGVIMNGFMVWCIDNGTSPDVNGVWVMMDGEEQVEYPLKPIVENAKPTLRQIMHHF -SDAAEAYIEMRNSESPYMPRYGLLRNLRDRELARYAFDFYEVTSKTPNRAREAIAQMKAAALAGINSRLF -GLDGNISTNSENTERHTARDVNQNMHTLLGMGPPQ - ->sp|P18479.2|POLG_ZYMVC RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MASIMIGSISVPIAKTEQCANTQVSNRANIVAPGHMATCPLPLKTHMYYRHESKKLMQSNKSIDILNNFF -STDEMKFRLTRNEMSKLKKGPSGRIVLRKPSKQRVFARIEQDEAARKEEAVFLEGNYDDSITNLARVLPP -AVTHNVDVSLRSPFYKRTYKKERKKVAQKQIVQAPLNSLCTRVLKIARNKNIPVEMIGNKKTRHTLTFKR -FRGCFVGKVSVAHEEGRMRHTEMSYEQFKWLLKAICQVTHTERIREEDIKPGCSGWVLGTNHTLTKRYSR -LPHLVIRGRDDDGIVNALEQVLFYSEVDHSSSQPEVQFFQGWRRIFDKFRPSPDHVCKADHNNEECGELA -AIFCQALFPVVKLSCQTCRESLVEVSFEEFKDSLNANFIIHKDEWGSFKEGSQYDNIFKLIKVATQATQN -LKLSSEVMKLVQNHTSTHMKQIQDINKALMKGSLVAQDELDLALKQLLEMTQWFKNHMHLTGEEALKMFR -NKRSSKAMINPSLLCGNQLDKNGNFVWGERGYHSKRLFKNFFEEVIPSEGYTKYVVRNFPNGTRKLAIGS -LIVPLNLDRARTALLGESIEKKPLTSACVSQQNGNYIHSCCCVTMDDGTPMYSELKSPTKRHLVIGASSD -PKYIDLPASEAERMYIAKEGYCYLSIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVATAAYIL -GVFHPETRCAELPRILVDHATQTMHVIDSYGSLTVGYHVLKAGTVNHLIQFASNDLQSEMKHYRVGGTPT -QRIKLEEQLIKGIFKPKLMMQLLHDDPYILLLGMISPTILVHMYRMRHFERGIEIWIKRDHEIGKIFVIL -EQLTRKVALAEVLVDQLNLISEASPHLLEIMKGCQDNQRAYVPALDLLTIQVEREFSNKELKTNGYPDLQ -QTLFDMREKMYAKQLHNSWQELSLLEKSCVTVRLKQFSIFTERNLIQRAKEGKRASSLQFVHECFITTRV -HAKSIRDAGVRKLNEALVGTCKFFFSCGFKIFARCYSDIIYLVNVCLVFSLVLQMSNTVRSMIAATREEK -ERAMANKADENERTLMHMYHIFSKKQDDAPIYNDFLEHVRNVRPDLEETLLYMAGVEVVSTQAKSAVQIQ -FEKIIAVLALLTMCFDAERSDAIFKILTKLKTVFGTVGETVRLQGLEDIESLEDDKRLTIDFDINTNEAH -SSTTFDVHFDDWWNRQLQQNRTVPHYRTTGKFLEFTRNTAAFVANEIASSSEGEFLVRGRVGSGKSTSLP -AHLAKKGKVLLLEPTRPLAENVSRQLAGDPFFQNVTLRMRGLSCFGSSNITVMTSGFAFHYYVNNPHQLM -EFDFVIIDECHVTDSATIAFNCALKEYNFAGKLIKVSATPPGRECDFDTQFAVKVKTEDHLSFHAFVGAQ -KTGSNADMVQHGNNILVYVASYNEVDMLSKLLTERQFSVTKVDGRTMQLGKTTIETHGTSQKPHFIVATN -IIENGVTLDVECVVDFGLKVGRRTGQRNRCVRYNKKSVSYGERIQRLGRVGRSKPGTALRIGHTEKGIET -IPEFIATEAAALSFAYGLPVTTHGVSTNILGKCTVKQMKCALNFELTPFFTTHLIRHDGSMHPLIHEELK -QFKLRDSEMVLNKVALPHQFVSQWMDQSEYERIGVHVQCHESNSIPFYTNGIPDKVYERIWKCIQENKND -AVFGKLSSACSTKVSYTLSTDPAALPRTIAIIDHLLAEEMMKRNHFDTISSAVTGYSFSLAGIADSFRKR -YMRDYTAHNIAILQQARAQLLEFNSKNVNINNLSDLEGIGVIKSVVLQSKQEVSSFLGLRGKWDGKKFAN -DVILAIMTLLGGGWFMWEYFTKKINEPVRVESKKRRSQKLKFRDAYDRKVGREIFGDDDTIGRTFGEAYT -KRGKVKGNNNTKGMGRKTRNFVHLYGVEPENYSFIRFVDPLTGHTLDESTHTDISLVQEEFGSIREKFLE -NDLISRQSIINKPGIQAYFMGKGTEEALKVDLTPHVPLLLCRNTNAIAGYPERENELRQTGTPVKVSFKD -VPEKNEHVELESKSIYKGVRDYNGISTIVCQLTNDSDGLKETMYGIGYGPIIITNGHLFRKNNGTLLVRS -WHGEFIVKNTTTLKVHFIEGKDVVLVRMPKDFPPFKSNASFRAPKREERRCLVGTNFQEKSLRSTVSESS -MTIPEGTGSYWIHWISTNEGDCGLPMVSTTDGKIIGVHGLASTVSSKNYFVPFTDDFIATHLSKLDDLTW -TQHWLWQPSKIAWGTLNLVDEQPGPEFRISNLVKDLFTSGVETQSKRERWVYESCEGNLRAVGTAQSALV -TKHVVKGKCPFFEEYLQTHAEASAYFRPLMGEYQPSKLNKEAFKKDFFKYNKPVTVNQLDHDKFLGAVDG -VIRMMCDFEFNECRFITDPEEIYNSLNMKAAIGAQYRGKKKEYFEGLDDFDRERLLFQSCERLFNGYKGL -WNGSLKAELRPLEKVRANKTRTFTAAPIDTLLGAKVCVDDFNNEFYRKNLKCPWTVGMTKFYGGWDKLMR -SLPDGWLYCHADGSQFDSSLTPALLNAVLIIRSFYMEDWWVGQEMLENLYAEIVYTPILAPDGTIFKKFR -GNNSGQPSTVVDNTLMVVISIYYACMKFGWNCEEIENKLVFFANGDDLILAVKDEDSGLLDNMSSSFCEL -GLNYDFSERTHKREDLWFMSHQAMLVDGMYTPKLEKERIVSILEWDRSKEIMHRTEAICAAMIEAWGHTE -LLQEIRKFYLWFVEKEEVRELAALGKAPYIAETALRKLYTDKGADTSELARYLQALHQDIFFEQGDTVML -QSGTQPTVADAGATKKDKEDDKGKNKDVTGSGSGEKTVAAVTKDKDVNAGSHGKIVPRLSKITKKMSLPR -VKGNVILDIDHLLEYKPDQIELYNTRASHQQFASWFNQVKTEYDLNEQQMGVVMNGFMVWCIENGTSPDI -NGVWVMMDGNEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEAPYMPRYGLLRNLRDRSLARY -AFDFYEVNSKTPERAREAVAQMKAAALSNVSSRLFGLDGNVATTSEDTERHTARDVNRNMHTLLGVNTMQ - ->sp|Q89330.1|POLG_ZYMVR RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAAIMIGSISVPIVESARCATVQTGNRVNIVAPGHVAVCKPQMKSHSYYKHASEKLSKQASESINILNSF -FDTDPEMRFRLTRNEMSKVKKGPNGRMILRKPRAQRVLERISFEKIEKGAERQVLPWRVYATVTSIINTF -TDERNGIANSSLRSPFYKRSCRKEKKKIVCENVVRSASVNNLCDRVLKIAREKNIPVEMIGKKKNRHTLT -FKNFKGSFIGKVSLAHERGQMRHVEMSYEQFGFILQAICRVTNTRCVRDEDIKPGCSGWVLGDDHELTQK -FSRLPCLVIRGRDDEGIVNALEPVFFYDDVDHYSSQPEVQFFQGWRRMFDNFKPSSDHVCKVDHGNEECG -ELAAIFSQALFPVVKLSCQTCREKLSRVSFEEFKDSLAINFTVHKSEWDSLKENPHHDNVLKLIKGATQA -TQNLKLSSEVMKLVQNHTSTHMKQIQDINRALMKGSLVTQDELDLALKQLLEMTQWFKNHMHLTGEEALK -TFRNKRSSKAMINPSLLCDNQLDKNGNFVWGERGYHSKRLFKNFFEEVIPSEGYTKYIVRNFPNGTRKLA -IGSLIVPLNLDRARTALLGESIEKEPLTSACVSQQNGNYIHSCCCVTMDDGTPMYSDVKSPTKRHLVIGA -SGDPKYIDLPASEADRMYIAKEGYCYLNIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVATAA -YILGVFHPETRCAELPRILVDHATQTMHVIDSYGSLTVGYHVLKAGTVNHLIQFASNDLQSEMKHYRVGG -TPTQRIKLEEQLIKGIFKPKLMMQLLQDDPYVLILGMVSPTILVHMYRMRHFERGIEMWIKRDHEVGKIF -VILEQLTRKVALTEVLVDQLDLISEASPHLLEIMKGCQDNQRAYVPALDLLTVQVEREFSNKELKVNGYP -DLQQTLYDMREKIYAKQLHDSWQELSLLEKSCVTVRLKRFSIFTERKLTQQAKDGKRVSSLQFVHECFIT -TRVHAKSIRDVGMRKFNEALVGTCKFLFTCGFKIFARCYSDIIYLVNVCLIFSLVLQMSNTVRSMISATR -EEKERALANKADENERTLMHMYHIFSKKQDDAPLYSEFLEHVRNVRPDLEETLLYMAGAEIVTPQAKSAV -QVQFEKIIAVVALLTMCFDAERSDAIFKILTKLKTVFGTVGETVRLQGLEDIENLEDDKKLTIDFDINTN -EAQSSTTFDVHFDDWWNRQLQQNRTVPHYRTTGKFLEFTRNTAAFVANEIASSNEGEFLVRGAVGSGKST -SLPAHLAKKGKVLLLEPTRPLAENVSRQLAGDPFFQNVTLRMRGLSCFGSSNITVMTSGYAFHYYVNNPH -QMMEFDFIIIDECHVTDSATIAFNCALKEYNFAGKLIKVSATPPGRECDFDTQFAVKVKTEDHLSFQAFV -GAQRTGSNADMIQHGNNILVYVASYNEVDMLSKLLTERQFSVTKVDGRTMQLGKTTIETHGTSQKPHFIV -ATNIIENGVTLDVDCVVDFGLKVVAELDSESRCVRYSKKPVNYGERIQRLGRVGRSKPGTALRIGHTEKG -IENIPEFIATEAAALSFAYGLSVTTHGVSTNILGKCTVKQMKCALNFELTPFFTTHLIRHDGSMHPLIHE -ELKQFKLRDSEMVLNKVALPHQFVSQWMTQGDYEHIGVHIQCNENTRIPFYTNGIPDRVYEKIWKCIQEN -KNDALFGRLSSACSTKVSYTLSTDPAALPRTIAIIDHLLAEEMMKRNHFDMISSAVTGYSFSLAGIADSF -RKRYMRDHTAHNIAILQQARAQLLEFDSKNVNINNLSDLEGIGVIKSVVLQSKQEVSNFLGLRGKWDGRK -FANDVILAIMTLLGGGWFMWEYFTKKVNEPVRVESKKRRSQKLKFRDAYDRKVGREIFGDDETIGRTFGE -AYTKRGKVKGNNSTKGMGRKTRNFVHLYGVEPENYSFIRFVDPLTGHTLDESTHTDISLVQEEFGNIREK -FLENDLISRQSIINKPGIQAYFMGKGTAEALKFDFTPHVPSLSCSNSNAHAGYPERENELRQTGTPVKVS -LKDVPEKNEHVELESKSIYKGVRDYNGISTIVCQLTNDSDRLKETMYGIGYGPIIITNGHLFRKNNGTIL -VRSWHGEFTVKNTTTLKVHFIEGKDVVLVRMPKTFPPFKSNASFRAPKREERACLVGTNFQEKSLRSTVS -ESSMTNPRRTGSYWIHWISTNEGDCGLPMVSTTDGKLIGLHGKASTVSSKNYFVPFTDDFMATHLSKLDD -LTWTQHWLWQPSKIAWGLLNLVDEQPGPEFRISNLVKDLFNSGVETQSKRERWVYESCEGNLRAVGSAQS -ALVTKHVVKGKCPFFEEYLQTHAEASAYFRPLMGEYQPSKLNKEAFKKDFFKYNKPVIVNQLDHDKFLEA -VDGVIRMMCDFEFNECRFITDPEEIYSSLNMKAAIGAQYRGKKKEYFEGLDNFDMERLLFQSCERLFNGY -KGLWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNEFYSKNLKCPWTVGMSKFYGGWDK -LMRALPDGWLYCHADGSQFDSSLTPALLNAVLIIRSFYMEDWWVGQEMLENLYAEIVYTPILAPDGTIFK -KFRGNNSGQPSTVVDNTLMVVISIYYACIKFGWGYDEIENRLVFFANGDDLILAVKDEDSGLLDNMSASF -SELGLNYDFSERTHKREDLWFMSHQAMLVDGMYIPKLERERIVSILEWDRSKEVMHRTEAICAAMIEAWG -HTELLHEIRKFYLWFVEKEEVRELAALGKAPYIAETALRKLYTDKGAETSELARYLQALHQDVFFEQRDT -VMLQSDTQTKEADAGAAKRDKDEEKEKKKDVASSSANEKTMTATAKDKDVNAGSHGKIVPRLSKITKKMS -LPRVKGSVILDIDHLLEYKPDQIELYNTRASHQQFASWFNQVKAEYDLNEQQMGVVMNGFMVWCIENGTS -PDINGVWFMMDGDEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEAPYMPRYGLLRNLRDRSL -ARYAFDFYEVNSKTPDRAREAVAQMKAAALSNVSSRLFGLDGNVATNSEDTERHTARDVNRNMHTLLGVN -TMQ - ->sp|P32576.1|POLG_PWVTB RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -KDEIIDVGADGKKVVSKKDTQDAGEVNKGKEKDKDVNAGSKGSGVPRLQKITKKMNLPMVKGNMVLDLDH -LIEYKPDQTKLFNTRATDAQFATWYEGVKAEYELSDDQMGVIMNPFMVWCIENGTSPDINGVWVMMDGDE -QVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCASGPYMPRYGLLRNLRDKNLARYAFDFYEVNAKT -SDRAREAVAQMKAAALSNVTNKLFGLDGNVATISEDTERHTARDVNQNMHTLLGMGAPQ - ->sp|P32575.1|POLG_PWVSE RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -KDEIIDAEADAKKVVSKKDTQDAGEVNKGKEKDKDVNAGSKGSGVPRLQKITKKMNLPMVKGNMVLDLDH -LIEYKPDQTKLFNTRATDAQFATWYEGVKAEYELSDDQMGVIMNPFMVWCIENGTSPDINGVWVMMDGDE -QVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCASGPYMPRYGLLRNLRDKNLARYAFDFYEVNAKT -SDRAREAVAQMKAAALSNVTNKLFGLDGNVATISEDTERHTARDVNQNMHTLLGMGAPQ - ->sp|P32574.1|POLG_PWVMI RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -KDEIIDAGIDGKKGGGKKDTQDAGESNKGKEKDKDINAGSKGSGVPRLQKITKKMNLPMVKGSMVLDLDH -LIEYKPDQTKLFNTRATDAQFATWYEGVKAEYELSDDQMGVIMNPFMVWCIENGTSPDINGVWVMMDGDE -QVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCASGPYMPRYGLLRNLRDKNLARYAFDFYEVNAKT -SDRAREAVSGEKAAALSNVTNKLFGLDGNVATISEDTERHTARDVNQNMHTLLGMGAPQ - ->sp|P20235.1|POLG_WMV2A RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -KYLEVLDFNHIDGCCESVSLQSGKEAVENLDTGKDSKKDTSGKGDKPQNSQTGQGSKEQTKIGTVSKDVN -VGSKGKEVPRLQKITKKMNLPTVGGKIILSLDHLLEYKPNQVDLFNTRATKTEFESWYSAVKIEYDLNDE -QMGVIMNGFMVWCIDNGTSPDVNGVWVMMDGEEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNS -ESPYMPRYGLLRNLRDRELARYAFDFYEVTSKTPNRAREAIAQMKAAALAGINSRLFGLDGNISTNSENT -ERHTARDVNQNMHTLLGMGPPQ - ->AGF29835.1 polyprotein [Dasheen mosaic virus] -MACLVFGSFSTSHLASVKVTTGEVREESRTVGSFNGGSLLFPTVQEANKPKTQNEPNFMIGSYNPLLMQG -SGTGMRDAHTEVRTIKMVGIHGATTIIAPNVMAPSVRVTRPSVTQINNYGRRLIKQAQDQVERAFENFFS -KPEMKDSLFRKSHAKLVRGKKQSWRLSTPTMEIAKVRQAEIDLREHEKREFLAGRYSEENIVEGYVQIEA -GHKKVGYGLIRDVKKRGEQVSFQGAFLERTPRTPRVEKERPQFVVASVAKVERDLLRSLQHTNIKVEYIG -KKKQRLCAQYVQAANGGRYAKVMLPHEEHGKRCRNEIKPEVWGPTIQRLAGAVRYVQEIHDSEVTNGWSG -IVMDQRQPLIHKITRSSIFVVRGRLNGKLVEACDDFLWNNAMGIVQYAKTPEAQFFQGWREVFTGMGPQK -DDHGCSIDFTNEQCGQVAAAISQTMFPVKKLSCLICRNALTRMSDEEYKAHIAANLECAKEILDIKEQEL -FWFIYGPLNLVRRAVIENVNLTTSTEIVRLTQNHTSTHMLQIQDINKALMKGSTVSQEDLDKASQQLLLM -TRWFKKHLNLIGEGNLESFRNKRASKALLNPSLLCDNQLDKNGNFVWGERGYHSKRFFSNYFEEIEPTDG -YSKYIIRRNPSGSRKLAIGSLIVPLNLERARIALMGEPIDRVPLTMACVSRQDNNYVYTCCCVTNEDGTP -MLSELRSPTKHHLVLGNTGDSKFVDLPALETNRMYIAKSGFCYMNIFLAMLINVNENEAKNFTKMVRDRL -VPMLGEWPTVQDLATSMYILTVFHPETRNAELPRILVDHTTQTMHVIDSYGSLSTGYHILKAGTVGQFLH -FAADDLKSEMKFYRVGGDAEQRMRCETALIKGIFKPKLMMALLREDPYITLMGLVSPTILFHMYRMRNLE -RGIELWINKNQEIGKIFIILEQLVRKMAMNDVLLDQLSAITNAAPHLLEVAQNCPREGHAYKSAIDLLTV -FVEHQTSNKALIENGYSDISEQLYSEREKIYVQKLKQEWHALSLSEKFSLTLRQKKFSILTENYLTAQAF -NDSNESPRNCMKLCFTTPTKYVQRAKSLCAEKISKIGQTAIRKVVSMLLGVFNKCYSDIIYLVNVCIIFS -LLVQMVGVVRNIVNTARTEKAYIAAIKRQEDENTIVRIYNILSNDGINKPTKREFEKQLESLRPDLLTTF -NYMVADDLVEVQAKTALQLQFEKIIAFLAIVTMCVDAERSDAVFRLLSKLKTVFATVGEDVRIQSLDDIE -NIEDCKNLTVDFEITSTKEPPAMSFDVHFEDWWSKQLQLNRVVPHYRSSGKFLEFTRATAAKVANEIILH -EDHEFLIRGAVGSGKSTGLPHHLSKKGRVLLLEPTRPLAENVSKQLAKDPFFQQVTLRMRGMSVFGSSNI -VVMTSGFAFHYYVNNPHQLSEFDFIIIDECHVMDAPTIAFNCALKEFNFSGKLLKVSATPPGRECESTTQ -HPVKLIVEETLSLQGFVQAQGTQSNADMTKHGHNILVYVASYNDVDTLSRQLSERKYKVTKVDGRTMQMG -NIEIKTEGCEGKPHFIVATNIIENGVTIDIDCVVDFGQKVVATLDSESRCMRYNKTSVSYGERIQRLGRV -GRFKAGTALRIGHTEKGIDEIPASIATEAAFLSFAYGLPVTTQGVTTDILSRCTVKQARVALNFEITPFF -TVHLVRFDGSMHPEVHKLLKGFKLRESEMLLNKLAIPNQYINQWISVKDYDRLGVKLQCPENTRIPFYAR -GIPDILFERLWDTVQTFKSDAGFGRISTVNASKISYTLSTDPHAIPRTVAIIDHLLSEEMIKKDHFDTIG -STVTGYSFSLTGIVEGIRKRYLKDFSTENIATLQQAKAQLLDINTRHINFSNYSDMADLGVLRAVQFQNK -NEICKFLDLKGKWDGRKFTNDLIVGAVTLLGGGWLIYEYFSRTMRDPVTAQGKKRQLQKLKFRDARDRKL -GREIYCDDHTMEHTFGEAYTKKGKQKGSTHTKGMGRKNKNFVHIYGVEPEQYNFIRFVDPLTGYTLDENP -RADMQLIQEEIGKVRRELIAEGELEPQAIYSRPGIEAYFINNNAAEALKIDLTPHRPTLLQLNSNAIAGF -PEREDELRQTGQPIKIYKDLVPKANEYVAMEGKSVYKGLRDYNSTATIVCHISNESNGHRMTLFGIGYGS -IVITNSHLFKHNNGVITINTWHGEFTIKNSTQLKIHHVTGKDMVLIQMPKDFPPFIRKLQFRGPKREERV -CMVGTNFQDKSMRATISEASLILPEGQGTFWKHWISTKDGECGIPMVAVNDGYIVGFHGLASNISERNYF -VPFTDDFEQTHLQRLDSLEWTQHWHFQPDKIAWGSLRLVNDQPTDDFKISKLISDLFENPVQLQGFQSGW -VLNSAEGNLKAMAKCESALVTKHTVKGPCKYFSEYLSTNQEAEKFFKPLMGAYAPSRLNREAFKKDFFKY -AKPVELNRVDFNAFQTAVASVETMMMETGFSECEYITDAQTIIESLNMKAAVGAQYRGKKSEYFHDMEIF -DMERLLSQSCERLFYGKKGVWNGSLKAELRPIEKTQLNKTRTFTAAPLDTLLGAKTCVDDFNNQFYSLNL -KCPWTVGMTKFYKGWDTLMRKLPEGWVYCHADGSQFDSSLTPLLINAVVDIRKFFMEEWWVGEEMLDNLY -AEIVYTPILTPDGTIFKKFRGNNSGQPSTVVDNTLMVVISVYYACIKQGWTEYDVSQKIVFFANGDDIIL -AVQPEDEPILNTFQDSFYELGLIYDFSERTRKREELWFMSHQALKVGDIYIPKLERERIVSILEWDRSKE -IMHRTEAICAAMIEAWGYTDLLQEIRKFYLWLLEKDEFKALASEGRAPYIAETALKKLYTDENVKECELQ -RYLDAFNFELFCEHDEVVLQADDTVDAGNNDNKTKTTETKTPAAGGGNNTNNNPPPLANNTTNNNPPPPP -PAVTKATETPANTQVVPTASGKGKEVVKDVNAGTSGTYSVPRLNKITNKMNLPLVKGKCILNLNHLIEYK -PEQRDIFNTRATHTQFEVWYNAVKREYELEDEQMHIVMNGFMVWCIDNGTSPDINGAWVMMDGNDQIEYP -LKPIVENAKPTLRQIMHHFSDAAEAYIELRNAEKPYMPRYGLIRNLRDASLARYAFDFYEVNSKTPVRAR -EAVAQMKAAALSNVTTRLFGLDGNVSTSSENTERHTAKDVTPNMHTLLGVAPLQ ->AHY61040.1 polyprotein [Hardenbergia mosaic virus] -MFGSFCVQLGNNCTTEGAGKEIICYKDRSLSVKAEQMASFEPSIKKAAKILINAAETQISAYEAAMQKMD -AALNGNAKLQTRLLCAEYGTVQKKAQRYVIKRATEEKALLRAEMVAALKRDHEAFLRGDYSHEANAGIVK -VGDKTQRGVQESFKSPFWKRSLKRACGTPKKRKTHMTADEVTQQVLVLARKSNLEVEFIGRGRYHLKARY -VRVKDNIIPKVTLPHERGVYKFCELNYDKVQRDLMSLCAFAKYRNITNKDIVSGCSGFCFDERSAITCDN -THLPHFIVRGRMDGKLVNALDTVVSIEEIHHYTHQNELQFFKGWKTSFDQMIPHADDHICTVDISNEHCG -ELAATISQAMFPVKKLSCLKCRQKLTDMSWEEYKEFVLAHMSCHREVWERKMMILDYKLIEKFIRRAASE -NLNMELSMEIVRLTQNHTSTPMLQIQDINKALMKGSSVTQMELDKALKQLLEMTQWWKKHLSLANENSLI -AFRNKRSAKALLNPSLLCDNQLDKNGNFIWGERGRHSKRFFSNFFDEVIPSEGYAKYVIRKNPNGQRELA -IGSLIVPMNLNRARIALQGKSIKREPLTLACTSKQDNNYVYTCCCVTQDDGKPLYSELRSPTKRHLVVGN -SGEPKYIDLPAADADIMYIAKEGYCYLNIFLAMLVNVNEEEAKDFTKMVRDTIIPMLGQWPTMMDLATAV -YILTIFHPETRNAELPRILVDHASQTMHVIDSFGSLTVGYHVLKAGTINQLIQFASDDLQGEMKFYRVGG -DSTTRMRCETALIKGIFKPKIMLSLLNQDPYIALLGMISPTILVHMYRMRHLEEGIKMWIDRDQEVAKIF -ITLEQLTRKVVVAELLTQQMQAINDSAAHLIEIMNNCRHRSLAYAPAKDLLTVCFENNSASATLRDNGYL -DLSSQLYMEREKIFLGRLKQEWRDLSWLEKSSATWQLKKFSPSMEASLTKKAAEGKTGSPGIFVNACFSK -ATTYLKSVKDYTSKQSEKFVVSVSKKFVNILLGFFQRCYGDILYLVNICIIFSMLLQMVSTVRNMVANVQ -AEKALARKYKMEEEERTVMNIYCLRMHQHGAVPTVGEFRDYITKFRPDLIGTMESMIDPFEESIVHLQAK -TAVQLQFEKIIAILALATMCIDAERSDAIFRILSKIKMAFSTVGEDVRLQSLDDINDLENDKKLTVDFDL -ETSKPSTSTSFDVKFEDWWNKQLQQNRVVPHYRASGEFLEFTRETAAFIASQISSSVQSEFLIRGAVGSG -KSTGLPHHLSKKGRVLLLEPTRPLAENVSKQLAKDPFYQQVTLRMRGMSVFGSSNITVMTSGFAFHYYVN -NPTQLADFDFIIIDECHVLDSSTIAFNCALKEFNFTGKLLKVSATPPGRECEFVTQHPVKLKVEDHLSFQ -NFVQAQGTGSNADMIQHGNNLLVYVASYNEVDTLSKLLTERHYKVSKVDGRTMQMGNIEIPTSGTDGKPH -FVVATNIIENGVTLDIDCVVDFGLKVVAVLDSDNRCIRYNKKAVTYGERIQRLGRVGRCKPGFALRVGHT -EKGIEEIPEFIATEAAFLSFAYGLPITTQSVTTNILGNSTVKQARTALNFELTPFFTVHFIKYNGSMHPE -IHKLLKSFKLRESQMDLCKLAIPFQFVSQWIKVKEYERMGIRVQCDGETRLPFYVHGVSDKLYEALWQTV -HKYRHDAGFGSISSINSTKISYTLSTDPGAIPRTIVILDHLLAEEMTKKNHFDTIGSTVTGYSFSLAGIA -DGFRKRYLRDYTSQNIAILQQAKAQLLEFDSRKVDLNNLHNLEGLGVLHTVRLQGKNEVASFLNLRGKWD -GKKFMNDALVGLVTLIGGGWLIWEFISKKLNEPVVTQGRKRQMQKLKFRDAHDRKLGREVYADDNTMEHT -FGEAYTKRGKVKGSTHTKGMGKKTRNFTHIYGVEPDDYNFIRFVDPLTGCTLDEAPRTDIRIVQEELQEA -RKQKVLDGDLEWEHVRNNPGIQAYFISKGTEEALKIDLTPHRPTLLCQNSNAIAGFPERENELRQTGAPM -RIRMSDVPKPNEAVEVEGKSVYKGLRDYSSISTLVCRLTNESDGHTESMFGVGYGSYIITNGHLFRRNNG -ILSIQTWHGEFTIMNSTQICIHFVEGKDLILIRMPKDFPPFARKSIFRSPKKEERVCIVGTNFQEKSMRA -TISESSMALPEAKSSFWIHWVSTKEGDCGLPLVSTTDGCIVGIHGLSSNVSDKNFFVPFIDSFEKQYLKN -VDALTWDKHWLWQPDKIVWGSLRLENEQPREEFKISKLMSDLFETDVTTQSKQERWVLEGASGNIRACGQ -MESSLVTKHVVKGKCRFFEEYLHTHPTEEKFFRPLMGGYQPSKLNKEAFKKDFFKYNKPVVLNEVNFESF -EKAVNGVKIMMMELGFHECLYITDHEEIFNSLNLKAAVGAQYRGKKNDYLEGMDSFDKERLLFLSSERLF -NGEKGIWNGSLKAELRPKEKVQQNKTRTFTAAPIDTLLGAKTCVDDFNNQFYSLHLKCPWTVGMTKFYAG -WDKLMRALPDGWVYCHADGSQFDSSLTPLLINAVLDIREFFMEEWWVGTEMLENLYAEIVYTPILAPDGT -IFKKFRGNNSGQPSTVVDNTLMVVVTVYYSCIQLGWTCEDIQSRLVFFANGDDIILAVQDKDVDLYDHLG -SSFAELGLNYNFNERTRSREDLWFMSHKAIKVDGLYIPKLEEERIVSILEWDRSKEIMHRTEAICASMIE -AWGYPELLKEIRKFYLWLVQKNEFKELSALGKTPYIAESALRKLYTDKDADQSELHDYLAALCENHESEC -FETVSLQSETDATKNMDAGLDGKKDKKTTGGGDKDKGKGSTSAAKDKDVDAGSQGKVVPRLQKITKKMNL -PMVKGNMILNLDHLIEYKPEQTKLFNTRATDAQFATWFQAVKEEYELDDAQMGIVMNGFMVWCIDNGTSP -DVNGVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCSTGPYMPRYGLLRNLRDRSLA -RYAFDFYEVNAKTAVRAREAVAQMKAAALSNVNTKLFGLDGNVATTSENTERHTAKDVNENMHSLLGMGS -VQ ->CAA48521.1 unnamed protein product, partial [Zucchini yellow mosaic virus] -RCCRVVLQSKQEVSSFLGLRGKWDGRKFANDVILAVMTLFGGGWFMWEYFTKKVNEPVRVESKKRRSQKL -KFRDAYDRKVGREIFGDNDTIGRTFGEAYTKRGKVKGNNSTKGMGRKTRNFVHLYGVEPEIYSFIRFVDP -LTGHTLDESTHTDISLVQEEFGNIREKFLENDLISRQSIINKPGIQAYFMGKGTEEALKVDLTPHVPLLL -CRNTNAIAGYPERENELRQTGTPIKVSFKEVPEKNEHVELESKSIYKGVRDYNGISTIVCQLTNDSDGLK -ETMYGIGYGPIIITNGHLFRKNNGTLLVRSWHGEFTVKNTTTLKVHFIEGKDVVLVRMPKDFPPFRSNAS -FRAPKREERACLVGTNFQEKSLRSTVSESSMTIPEGTGSYWIHWISTNEGDCGLPMVSTTDGKIIGIHGL -ASTVSSKNYFVPFTDDLLTTHLSKLDDLTWTQHWLWQPSKIAWGSLNLVDEQPGPEFRISNLVKDLLTSG -VETQSKRERWVYESCEGNLRAVGSAQSALVTKHVVKGKCPFFEEYFQTHGRSKHLLQTLDGGVPAEQIKQ -GSIQEGLFKYNKPVVVNQLDHDKFLGAVNGVIRMMCDFEFNECRFITDPEEIYDSLNMKAAIGAQYRGKK -KEYFEGLDNFDRERLLFQSCERLFNGHKGLWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLGPKFVWMT -LTMSSTVKSQVSVKLGMTKFYGGWDKLMWELPDGWLYCHADGSQFDSSLTPALLNAVLIIRSFYMEDWWV -GQEMLENLYAEIVYTPILAPDGTIFKKFRGNNSGQPSTVVDNTLMVVISIYYACMKFGWSYEEIENKLVF -FANGDDLILAVKDEDSGLLDNMSASFSELGLNYDFSERTHKREDLWFMSHQAMLVDGMYIPKLEKERIVS -ILEWDRSKEIMHRTEAICAAMIEAWGHTDLLREIRKFYLWFVEKEEVRELATLGKAPYIAETALRKLYTD -KGAETGELARYLQALHQDIFFEQGDTVMLQSDTQTR ->ADZ76009.1 polyprotein [Watermelon mosaic virus] -MATIMFGDFTVQLKHSTVTEKRKRVVETTKFEQEVCMKTVCETVMESITVGCTARCAGLSAYTKSSLRRA -IKEGDLSASGGCNYCGLRALVGEGRERVISVPRVVTQQKEVIVTKEVPHIYEEEYEVEVPYLITEPVLPV -VGTFPGSNAYKTTTQAETVNSIVTKDMMAKSEPSMKQVSRSLVLAGRKEISNYNLAIKKMDEAMKHDPVL -QRRLLIQQQSTIKQLSKGAVQLRLCSYEQAKKRAELARKRKQEEEDFRNGKYEQLAYVGTTASNTTKLTG -GSVGFRTIHWRPTPKQNKAKRVKRQCDKLIHVLEGVLSLAAKSGKPVEFITKRKRKNFKVNYVRKPGAVI -TKFTLPHEEGKYVHQELQYANICEFLPYICMFAKYKAINADDLTYGDSGLLFDERSSITTEHTTLPYFVV -RGRENGKLVSAFEEFREIEDIQHYSHTPEVQFFLGWKKVFDKMQPRVDTHECTIDFTNEQCGELAATISQ -SIFPVKKLSCKYCRRHIKDLSWEEYKQFLLTHMGCSEAIWEDARKAEGMEHVKKLIERSTAENLSLQTSM -EIVRLTQNYKSTHMLQIQDINKALMKGSSVTQDELEQASKQLLAMTQWWKNHMTLTDEDALKVFRNKRSS -KALLNPSLLCDNQLDKNGNFVWGERGKHSKRFFANYFEEVVPSEGYSKYVVRKNPNGQRELAIGSLIVPL -DFERARMALQGKSIAREPITMACISRQDGNFVYPCCCVTHDDGKAFYSELKSPTKRHLVIGTSGDPKYID -LPATETDRMYIAKEGYCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPKLGQWPTMFDVATAVYMLTVFHP -ETRNAELPRILVDHACQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQSEMKFYRVGGVAQQRVKC -ETALITSIFKPKRMIQILEEDPYILLMGLISPSILIHMYRMXHFEKGIEMWINKEHSVAKIFIIMEQLTK -KIAANDLLLEQLEIIAGTSHKLMDVLEDCPQSAHSYKTVKDLLAMYIERKASNNQLVENGFVDMNDQLYV -MHEKIYVDRLKQEWRALSWLEKSSITWQLKRFTPHTEQCLTKKVVEESSAYSRNFVSACFMNAQSHLKNV -RNTFFRKCDQAWTASVRGFVRFIISTLHKCYSDIVYLVNICLIFSLLIQMASVLQGIVSTAKKDKAFVHM -HKRIEDEQAVVHLYEMCEKIENKHPSVEEFLNHVKKVRPELLPVAKSMTGQSEDVSAQAKTATQLQLEKI -VAFMALLTMCIDNERSDAVFKILSKLKTFFGTMGEEVKVQSLDEIQNIDEDKKLTIDFDLETSKEPSSVS -FDVKFEDWWHRQLQQNRVIPHYRSTGEFLEFSRETAAKIANLIATSSHTEFLIRGAVGSGKSTGLPHHLS -KKGKVLLLEPTRPLAENVSKQLGLEPFYHNVTLRMRGLSKFGSSNIVVMTSGFAFHYYVNNPHQLSDYDF -IIIDECHVQDSHTIAFNCALKEFEFSGKLLKVSATPPGRECEFTTQHPVKLKIEDHLSFQNFVQAQGTGS -NADMLQHGNNLLVYVASYNEVDQLSRLLTEKHYKVTKVDGRTMQMGNVEITTTGTEGKPHFIVATNIIEN -GVTLDIDCVIDFGLKVVAVLDTDNRCVRYNKQSVSYGERIQRLGRVGRHKPGFALRVGHTEKGIEEVPEF -IATEAAFLSFAYGLPVTTQSVSTNILSRCTVKQARVALNFELTPFFTINFIKYDGGMHPEIHRLLKPYKL -RESEMMLHKLAIPHQFVGQWISVKEYNRQGIHLNCPETVKVPFYVNGIPDKLYESLWDTVCNYKCDAGFG -SIRSVNASKISYTLSTDPTAIPRTLVILDHLLSEEMTKKSHFDTIGSSVTGYSFSLAGIADGFRKRYLRD -YTQQNIAILQQAKAQLLEFDCTKVDINNLQSVEGIGILNAVQLQSKHEVSKFLQLKGKWDGKKFINDAIV -AIFALIGGGWMLWDYFTRMIREPVTTQGKKRQIQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGK -QKGSTKTKGMGRKSRNFIHMYGVEPENYSMIRFVDPLTGHTMDESTRVDIRLVQQEFGEIREEMIEADEL -DPQRVYHSPGIQAYFIGKNAEEALKVDLTPHIPTLLCQNSNAISGFPEREGELRQTGLPQIVSKADVPRA -KERVEVESKSVYKGLRDYSGISTLICQLTNSSDGHKETMFGVGYGSFIITNGHLFRRNNGMLTVKTWHGE -FVIHNTTQLRIHFIQGKDAILIRMPKDFPPFAKRNFFRQPKREERVCMVGTNFQEKSLRATVSESSIILP -EGKGSFWIHWITTQDGFCGLPLVSVNDGHVVGIHGLTSNDSEKNFFVPFTDGFEKEYLDNADNLSWDKHW -FWEPSKIAWGSLNLVEEQPKEEFKISKLVSDLFGNTVAVQSRKERWVLDAMEGNLVACGQAESALVTKHV -VKGKCPYFAQYLSLHDEAKQFFEPLMGAYQPSRLNKDAFKKDFFKYNKPVVLNEVDFNAFEKAVEGVITM -MVDFEFAECLFVTDPDEIYGSLNMKAAVGAQYKGKKQDYFSGMDSFDKERLLYLSCERLFNGEKGIWNGS -LKAELRPIEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFNLKCPWTVGMTKFYGGWDKLMRSLPD -GWTYCHADGSQFDSSLTPLLLNAVLSIRCCFMEDWWVGKEMLENLYAEIVYTPILAPDGTIFKKFRGNNS -GQPSTVVDNTLMVVIAMYYSCCKQGWSEEDIERRLVFFANGDDIILAVRDEDVWLYDTLGASFAELGLNY -NFDERTKKREELWFMSHQAMLVDGIYIPKLEPERIVSILEWDRSKEIMHRTEAICAAMIEAWGYAELLQE -IRKFYLWLLSKDEFKELAASGKAPYIAETALRKLYTDVNTQPSELQRYLEVLDFNHTDGCCESVSLQSGK -EKETVENLDAGKDSKKDTSGKGDKPQNSQTGQGSKEQTKTGTVSKDVNVGSKGKEVPRLQKITKKMNLPT -VGGKIILSLDHLLEYKPNQVDLFNTRATKTQFESWYSAVKVEYDLNDEQMGVIMNGFMVWCIDNGTSPDV -NGVWVMMDGEEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNSESPYMPRYGLLRNLRDRELARY -AFDFYEVTSKTPNRAREAIAQMKAAALAGINSRLFGLDGNISTNSENTERHTARDVNQNMHTLLGMGPPQ ->AGV08290.1 polyprotein [Bean common mosaic virus] -MATIMFGDFTVQLKHNTRTEKRKRVVETTRLEQEVRMETVHVQVMESITVGCSARCAGLSAYTKSSLRRA -IKEGDLSASGGCHYCGLRALVGEGRERVLSVPRLVAQQKEVVVTTEVPHFYEEEYEVEIPCISTTLMQPV -VAIAPTNDVCGTATQTKVTSNIVTKDMMATSEPSLKQVSRALVLAGRKEIDNYDLVINKMNEAMQQNSAL -QRTLFIRQQSNIKQLHKGAVQLRPCSYEQAKKRAELARKRQKAEEAFLQGRYQQKEYIGEVLEPVNIQRG -ESVGFRSPYWHRSFKKSANTPPKRKVESPTRVLREILSVIRDKGVIVEFIEHRTKRLTARYVSKGNSIIP -KVTLPHEGGKYKKKELDFNIYNQCLAALCAHGTYRRLNDVEISPGDSGLVFDKRSSLTVDHTQQPFMVIR -GRLNGKLVNALDEQQDIYLIHHYSQSPEMQFFTGWRDKFNKLVPHVQQHECSVDYDNAQCGQFAAIMSQM -LYPVRKLSCVQCRQHIQTLSWEEYRQFVATNISCHKKILDESGDITGLDVVQKLINRATSENLNLTASME -IARLTHNNKSTVMLQIQDINKALMKGSSVTQEELDLALSQLLKMTQWWKNHMSLTEIDPLKSFRNKRSSK -ALLNPSLLCDNQLDKNGNFIWGERGYHSKRFFSNFFDEVIPSEGYSKYRIRRNPNGQRELAIGSLIVPLS -LERARAALQGKCIETVPLTQACISRQNGNFVYPCCCVTLDDGTPMYSELKSPTKRHLVVGTSGDPKYIDL -PQNDEDRMYIAKEGYCYLNIFLAMLVNVNEKEAKDFTKMVRDVIIPMLGTWPTMQDLATAVYILTVFHPE -TRNAELPRILVDHTSQTMHVIDSFGSLTTGYHVLKAGTINQLINFAANDLSGEMKHYRVGGDAPYRMKCE -TALITSIFKPKRMIKILENDPYILLMGLVSPSLLIHLYRMRHIEKAIEVWIHKDQSVSKIFVLLEQLTKK -VAVCEVLKDQLDVINNSAGHLLDILKDCPRTMHSYVPASDMLTLYLERQTSNSQLLANGFTDIDDLFYVQ -MEKIYVSRLKQEWRALSLLEKSSLTWHLKQFSIATEKGLIKRVTEGRREFSASFVSECFTTAKSYLRSRK -DMILHTCERLGHSVVRKCVNIVFSLVKRCYSDIIYFVNVVIIFSLLVQMMSTMHNMILSAQQNKAFVQRA -ERDSKEKSVMHMYDICCKALGEPPTSDEFLEHLEKIRPDLIPTAQEMMAMTNSVSVQAKNATQLQFEKIV -AFMALLTMLIDTERSDAIFKILSKLKTVFCTMGETVQIQSLDEIISVDEEKSMTIDFDMESTKEPTSTSF -DVRFGDWWNRQLQQNRIVPHYRCCGKFLEFTRETAAKIANEISTSSSSEVRMRGAGGCGKKSGLPHTSAR -KGKVLLCGPTRPLAENVSKQLSKDPFYQHVTLRMRGMNKFGSSNITVMTSGFAFHYYVNNPQQLSDFDYI -IFDECHVMDSSAIAFNCALKEFEFAGKLIKVSATPPGRECEFTTQHPVQLKVEEQLSFTNFVQAQGTGSN -ADMIQHGSNLLVYVASYNEVDQLSRLLIEKNFKVTKVDGRTMQMGNVEITTMGSEGKPHFVVATNIIENG -VTLDVDCVIDFGLKVVATLDSDNRCVRYTKKPVSYGERIQRLGRVGRHKPGFALRIGHTERGVEEITEFI -ATEAAFLSFAYGLPVTTQGVTTNMLSQCTVKQAKSALNFELTPLFTTHFVRYDGTMHPEIHRILKAFKLR -ESEMMLNKLAIPHQYTGQWISVGEYERMGVHMHCDSKTRIPFYVNGIPDKTFEMLWETVCKYKSDAGFGR -LTSVNATKVSYTLSTDSNALPRTIAIIDHLISEEMMKKSHFDTMSSAITGHSFSLNGIAEAIRKRYLRDY -TQQNIETLQRAKAQLLEFSSTKVDINDLSTLGDLGVPNTVRLQGKEEVVKFLGPKGKWDGKRFMNDAILA -VFTLLGGGWMMWEYFSKKMQENVTTQGKKRMQQKLKFRDAFDRKVGREVYADDNTMEHTFGEAYTKKGKQ -KGSKKTKGMGRKTRKFIHMYGVEPENYSMIRFVDPLTGATLDESTRVDISLVQNDFGEIRKQMLEDGEIS -KEGISHNPGIQAYFIGKNAEEALRVDLTPHRPTLLCMNSNAISGFPERENELRQTGLPVRIKRSEVPEPN -EEVAVENKFCYKGLRDYNGISSLVWQLTNISDGHSETIFFIGYGSYIITNGHLFKRNNGVLNIRTWHGEF -EIKNTTQIKIHFIEGKDAILIRMPKDFPPFAKRSLFRPPIKEERVCMVGTNFQEKSLRATVSESSMVLPE -GVGSFWIHWITTQDGYCGLPLVSVNDGFIVGFHGLTSNDSNKNFFVPFCEDFENKYLKSAESLSWDKHWF -WQPDKIAWGSLNLVSDQPKEEFKISKLISDLFGDTVATQSKQQWVLESVEGNLKACARADSALVTKHVVK -GKCPYFEQYLRERSEAAAFFRPLMGSYQPSKLNKEAFKKDFFKYNKVVTLNEVCYEAFEAAFNGVITMMI -EHGFSECSYVTDPEEIYSSLNLKAAVGAQYKGKKQDYLCDMDEFDKERLLYLSCERLFYGKKGLWNGSLK -AELRPLEKVEANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSLNLECPWTVGMTKFYGGWDTLMRKLPDGW -IHCHADGSQFDSSLTPLLLNSVLGIRRFFMEDWWVGEEMLENLYAEIVYTPILAPDGTVFKKFRGNNSGQ -PSTVVDNTLMVVMSVYYSCHKVGWSDDDIQERLVFFANGDDIILSIQETDLWVLDTFAASFRGLGLNYNF -DERTKRREDLWFMSHCAIEVDGIYIPKLEPERVVSILEWDRSKEMMHRTEAICAAMIEAWGYPELLQEIR -KFYLWLLERDELREIAASGGAPYIAESALKTLYTNKKTKIEELAKYLAVLDFDYEVGCGESVHLQSGTGQ -PQPPIVDAGAEVGKDKREKSNKGKEPESKEGPGNHNRGAENSAMRDKDVNAGSKGKVVPRLHKITKRMNL -PMVKGNVILNLDHLLEYKPEQTDLFNTRATKMQFEMWYNAVKAEYDIDDDQMSIVMNGFMVWCIDNGTSP -DVNGTWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRNSERPYMPRYGLLRNLRDKNLA -RYAFDFYEVTSKTSDRAREAVAQMKAAALSNVSSKLFGLDGNVATTSENTERHTARDVNQNMHTLLGMGP -PQVKVG ->AAW50598.1 polyprotein [Bean common mosaic necrosis virus] -MASIMIGTITVPLVWNECTYKEVGEFIEVETQERVYMNSKPTSARKRNLCFCDCDDDGHYHCHFCDCECD -SKNHLEEHERDVCEDAYSVRAFGYKLVPKIEIKRITKKVPIAKSQEAIVIAMPKSKHTLHVVQVEAKHMA -TEIRSERGKLYVAKRFADNAIKAYDSQLKAFDGLLKKNSDLQKRLFIGQNSPIKQKKGGACFVRSLSFKQ -AEERHAKYLKLQEEEHQFLSGAYGDKVYVGSVQGTLDRKVAEKVSFKSPYYKRTCKAVRQVKVLKKAVGS -GKVLDQVLEIVAETGVPVTFVGKGANKTLRAQYVRRYGLVIPKIFLCHESGRKVHREMSYCHHKETLQYL -CKHGKYGALNENALCKGDSGLLFDQRTAFVKRVTYLPHFIVRGRQEGQLVCATEYLDNVHTIEHYTHKPE -EQFFKGWKQVFDKMAPHTFEHDCTIDYNNEQCGEVAATICQTLFPVRKLSCNKCRHRIKDLSWEEFKQFI -LAHLGCCAKLWEEQKNLPGLEKIHSFVVQATSENMIFETSMEIVRLTQNYTSTHMLQIQDINKALMKGSS -ATQEDLKKASEQLLAMTRWWKNHMTLTNEDALKTFRNKRSSKALINPSLLCDNQLDRNGNFVWGERGRHS -KRFFENFFEEVVPSEGYKKYVIRNNPNGFRKLAIDSLIVPMDLARARIALQGESIKREDLTLACVSKQDG -NFVYPCCCVTQDDGRPFYSELKSPTKRHLVVGTSGDPKYIDLPATDSDRMYIAKEGYCYLNIFLAMLVNV -NEDEAKDFTKMVRDVIVPKLGTWPSMMDVATAVYIMTVFHPETRSAELPRILVDHASQTMHVIDSFGSLS -VGYHVLKAGTVNQLIQFASNDLEGEMKHYRVGGDAEQRMRCERALISSIFKPKKMMQILENDPYTLVLGL -VSPTVLIHMFRMKHFEKGVELWINKDQSVVKIFLLLEHLTRKIAMNDVLLEQLEMISQQAGRLHEIICDC -PKNIHSYRAVKDFLEVKMEAALTNKELANNGFFDINESLGHVSEKIYAKALEKEWRALSWLEKSSVTWQL -KKFSKVTEEHLTKKAAEGRKESSRKFVSACFMNAQTHLGNARITISNKVNEVTNLGVRRIVEMCLRLIHR -CYSDMIFLVNISIIFSLFVQMCATLRNTLSIIHRDRTTLARVQAESNERSIMQMYDLMTKAGNGPPKMED -FFKHIEMVRPDLLSTAKYMVQDSEPGDTQAKTQTQLQLEKIVAFMALLTMCIDSERSDAVFKILQKLKSV -FGIMGEDVRPQSLDDILDLDEAKQLTVDFDLSTSKESTSTSFDVTFEDWWNRQLQQNRVIPHYRTSGEFL -EFTRETAAKVANTITLSTSTEFLIRGAVGSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLGRDPFFH -AVTLRMRGLNRFGSSNITVMTSGFAFHYYVNNPHQLSDFDFIIIDECHVLDSATIAFNCALKEFEFPGKL -LKVSATPPGRECEFTTQHPVKLKVEEHLSFQQFAQAQGTGSNADMVQYGHNLLVYVASYNEVDQMSRHLL -DRQFHVTKVDGRTMQMGNIEIETHGTEGKPHFIVATNIIENGVTLDVDCVIDFGLKVVAQLDSDNRCVRY -EKKAVSFGERIQRPGRVGRHKAGFALRIGHTEKSLEEIPEFIATEAAFLSFAYGLPVTTQGVSTNILSRC -TVKQARNALNFELTPFFTTNFIRYDGSIHPEVHKLLCKFKLRESEMLLSKLAIPHQYTSQWITVKDYNRI -GIQVNCDEKVKIPFYVHGIPDKLFEMLWNTVCKYKCDAGFGRISSVNATKISYTLSTDPSALPRTIAILD -HLISEEIMKKNHFDTISSSLTGHSFSLAGIADGIRKRYLKDYTQQNIAILQQARAQLLEFNSNTVDLNNL -QNYEDLGVLNTVRLQGRAEVCKFLGLKGKWDGKKFFNDVVVAIFTLIGGGWMLWDYFRHYMREPVSTQGR -KRMMQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGKQKGSTHTKGMGKKSRGFIHMYGVEPENYS -TLRFVDPLTGHTMDESPRVDIRIVQDEFGEIRRQKINEGELDKQAVVARPGLQAYFLGKGTEEALKVDLT -PHRPTLLCMNSNAIAGFPEREDELRQTGLPQTVPMSAVPKPNEVVELESKSTYKGLRDYSSVSTLICRLV -NSSDGHNETIYGIGYGSYIITNGHLFRRNNGTLTVKTWHGDFIIPNTTQLKIHFIEGKDAILIRMPRDFP -PFAQRSCFRSPKKEERVCMVGTNFQEKSLRSTVSESSIIVPEGKGSFWVHWITTQDGDCGLPMVSVNDGY -IVGIHGLTSNETSKNFFVPFIDEFKNKYLDKLEDLTWNKHWLWQPDRIAWGSLNLVDDQPKSEFKISKLV -TDLFGSEVSVQSKKDRWVLEAVEGNLVACGQAESALVTKHVVKGKCCHFAQYLSLHPDAQAFFKPLMSAY -QPSKLNKEAFKKDFFKYNKPVMLNEVNFEAFEKAVEGVKIMMIEFGFNECVYVTDPDDIYDSLNMKAAVG -AQYKGKKQDYFQDMDSFDKERLLFLSCERLFYGQKGIWNGSLKAELRPLEKVQANKTRTFTAAPIDTLLG -AKVCVDDFNNQFYSFNLICPWTVGMTKFYGGWDKLMRALPDGWVYCHADGSQFDSSLTPLLLNSVLSIRS -FFMEDWWVGKEMLENLYAEIVYTPILTPDGTIFKKFRGNNSGQPSTVVDNTLMVVISMYYSCIKEGWTYD -DIQERLVFFANGDDIILAVQKEDVWLYNTLSNSFKELGLNYDFSEQTTKREELWFMSHQAMLIDDIYIPK -LEQERIVSILEWDRSKELMHRTEAICAAMIEAWGHTELLTEIRKFYLWLMGKEEFKELALNGKAPYIAET -ALRKLYTDKDAKMEEMQEYLKQLEFDSDDEVYESVSTQSSKKEAEKDAGADEREKDKGKGPADKDVGAGS -KGKVVPRLQKITKKMNLPMVGGRMILNLDHLIEYKPQQTDLYNTRATKAQFERWYEAVKTEYELNDQQMG -VVMNGFMVWCIDNGTSPDVNGVWVMMDGDEQIEYPLKPMVENAKPTLRQVMHHFSDAAEAYIEMRNSEGF -YMPRYGLLRNLRDKSLARYAFDFYEVNSKTSDRAREAVAQMKAAALANVNTRLFGLDGNVATTSENTERH -TARDVNQNMHHLLGMTSGQ ->AFK23478.1 polyprotein [Zucchini yellow mosaic virus] -MASIMIGSISVPIAKTEQCANTQVSNRVNIVAPGHMATCPLPLKTHMYYRHESKKLMQSNKSIDILNNFF -STDEMKFRLTRNEMSKVKKGPSGRIVLRKPSKQRVFARIEQDEAARKEEAVFLEGNYDNSIINLARVLPP -EVTHNVDVSLRSPFYKRTYKKERKKVAQKQIVQTPLNSLCTRVLKIARNKNIPVEMIGNKKARHTLTFKK -FRGYFVGKVSVAHEEGRMRHTEMSYEQFKWILKAICQVTHTERIREEDIKPGCSGWVLGTNHTLTKRYSR -LPHLVIRGRDDDGIVNALEQVLFYSDVDHYSSQPEVQFFQGWRRMFDKFRPSPDHVCKVDHNNEECGELA -AIFCQALFPVVKLSCQTCREKLSRVSFEEFKDSLNANFIIHKDEWGSFKEGSQYDNIFKLIKVATQATQN -LKLSSEVMKLVQNHTSTHMKQIQDINKALMKGSLVTQDELDLALKQLLEMTQWFKNHMHLTGEEALKMFR -NKRSSKAMINPSLXCDNQLDKNGNFVWGERGYHSRRLFKNFFEEVIPSEGYTKYVVRNFPNGTRKLAIGS -LIVPLNLDRARTALLGESIEKKPLTSACVSQQNGNYIHSCCCVTMDDGTPMYSELKSPTKRHLVIGASGD -PKYIDLPASEAERMYIAKEGYCYLNIFLAMLVNVNENEAKDFTKMIRDVLIPMLGQWPSLMDVXXXXXIL -GVFHPXTRCAELPRILVDXATQTMHVIDSYXXLTXGYHXLKAGTVNHXIXFASNDLQSEMKHYRVXGXXT -QRIKLEEQXIKGIFKPKXMMQLLXXXPXILXLXMXXPTXLVHMYRMRXXXRGIEIWIKRDHEIGKIFVIL -EXXTRXVALAEVLXDQFXLISEASXHLXXIMXGCQDNQRXXVPALDXLTIQVERXFSNKELKTNGYPDLQ -QTLFDMXEKMYAKXXXXSWQELSLLXKSXVTXRLKQXXIFTERNLTQRXKEGKRASSLQXXXXXFITTXX -XXXXIRDASVRXLXXXLVGTCKXXFSCGFKIFXRCYSDIIYLVNVCLVFSXXLQMSNTVXSMIAATRXEK -ERAMANKADENXRTLMHMXHIFSKKQDDAPIYNDFLEHXXNVRPDLEXTLLYMAGAEVVXTXAKXAVXVX -XXKIIAVLALLTMCFDAERSDAIFKILTXLKTVFSTVGGTXRLQGLEDIESLEDDKRLTIDFDINTNEAH -SXXTXDVHFDXWWNRQLQQNRTVPHYRTXGXXXEFTRNTAAFVANEIASSSEGEFLVRGAXGSGKSTSXP -XXLAKXGXXXXXXXTRPLAENVSRQLAGDPFFQNXXLRMRGLSCFGSSNITVMTSGFAFHYYVNNPHQLM -EFDFVIIDECHVTDSATIAFNCALKEYNFAGKLIKVSATPPGRECDFDTQFAVKVKTEDHLSFHAFVGAQ -KTGSNADMVQHGNNILVYVASYNEVDMLSKLLTERQFSVTKVDGRTMQLGKTTIETHGTSQKPHFIVATN -IIENGVTLDVECVVDFGLKVXAELDSENRCVRYNKKSVSYGERIQRLGRVGRSKPGTALRIGHTEKGIET -IPEFIATEAAALSFAYGLPVTTHGVSTNILGKCTVKQMRCALNFELTPFFTTHLIRHDGSMHXLIHEELK -QFKLRDSEMVLNKVALPHQFVSQWMDQGEYERIGVHVQCHESTRIPFYTNGIPDKVYERIWKCIQENKND -AVFGKLSXACSTKVSYTLSTDPAALPRTIAIIDHLLAEEMMKRNHFDTISSAVTGYSFSLAGIADSFRKR -YMRDYTAHNXAILQQARAQLLEFNSKNVNINNLSDLEGIGVIKSVVLQSKQEVSSFLGLRGKWDGRKFAN -DVILAIMTLLGGGWFMWEYFTKKINEPVRVESKKRRTQKLKFRDAYDRKVGREIFGDDDTIGRTFGEAYT -KRGKVKGNNNTKGMGRKTRNFVHLYGVEPENYSFIRFVDPLTXXXXDESXXTDISLVQEEFGSIREKFLE -NDXISRQSIINKPGIQAYFXGKXXXXXLKVDLTPHVPLLLCRNTNAIAGYPERENELRQTGTPVKVSFKD -VPEKNEHVELESKSIYKGXRDYNXISTIVCQLTNDSDGLKETMYGIGYGPIIITNGXLFRKNNGTLLVRS -WHGEFIVKNTTTLKVHFIEGXDVVLVRMPKDFPPFKSNASFRAPKREERAXLVGTNFQEKSLRSTVSESS -MTIPEGTGSYWIHWISTNEXDCGLPMVSTTDGKIIGVHGLASTVSSKNYFVPFTDDFIATHLSKLDDLTW -TQHWLWQPSKIAWGTLNLVDEQPGPEFCISNLVKDXFTSXVETQSKRERWVYESCEGNLRAVGTAQSALV -TKHVVKGKCPFFEEYLQTHAEASAYFRPLMGEXQPSKLNKEAFKKDFFKYNKPVTVNQLDHDKFLEAVDX -VIRMMCDFEFNECRFITDPEEIYNSLNMKAAIGAQYRGKKKEYFEGLDDFDRERLLFQSCERLFNGYKGL -WNGSLKAELRPLEKVRANKTRTFTAAXIDTLLGAKVCVDDFNNEFYRKNLKCPWTVGMTXXXGGWDRLMR -SLPDGWLYCHADGSXXDSSLTPALLNAVLIIRSFYMEDWWVGQXXXENLYAEIVYTPILAPDGTIFKKFR -GNNSGQPSTXXDNTLMVVISIYYACMKFGWNCEEIENRLIFFANGDDLILAVKDEDSGLLDNMSSSFCEL -GLNYDFSERTHKREDLWFMSHQAMLVDGMYIPKLEKERIVSILEWDRSKEIMHRTEAICAAMIEAWGHTE -LLQEIRKFYLWFVEKEEVRELAALGKAPYIAETALRKLYTDKGADKSELARYLQALHQDILFEQGDTVML -QSGTQPTVSDAGATKKDKEDDKGKNKDVTGSGSGERTVAAVTKDKDVNAGSHGKIVPRLSKITKKMSLPR -VKGNVILDIDHLLEYKPDQIELYNTRASHQQFASWFNQVKTEYDLNEQQMGVVMNGFMVWCIENGTSPDI -NGVWVMMDGNEQVEYPLKPIVENAKPTLRQIMHHFSDAAEAYIEMRNAEAPYMPRYGLLRNLRDRSLARY -AFDFYEVNSKTPERAREAVAQMKAAALSNVSSRLFGLDGNVATTSEDTERHTARDVNRNMHTLLGVNTMQ ->AMK47900.1 polyprotein [East Asian Passiflora virus] -MASIIFGSFSAPLVKTTNVVKAKRMVPSTMTVTKKLVETVPVSVMKEIPMGCSARCAGLSAYTKTSLRRA -IKEGDLTSSGACHVCGLRGLVGEGRERVQLVPFIEYEQREVLHTEEVPCMVEEEYDVEVPVLVIPTEKVV -KTKSEVVSSGVDLCAPVKSELMAQEKPSIKQVFGKLRRQSFLAISEYDNMMAKFDKNLQQNSVLQRRLFV -NKYSPIQQKKNGAVQLRNLSYAQAEQRKLKMDQLAAEKVRFLSGQYEDCEYVGQARIPVMKGTGTTVSFK -TINFKRSVKSCTQMREQQRRITDKGALDKILRLAKDLDLPIEFIGSGKQKPIRASFVKKGTEVLPKVHLA -HADGVYKNQELNLVRVSETLAMLCKITKPSKLKSDQIQRGDSGLIFDRRSMITCSNTDLPFFVVRGRQRE -KLVNALDFIKEKESVQHYSQTPEAQFFMGWKKAFDKMIPHQATHSCTVDFNNEQCGEIAAIISQTLFPVK -KLSCVSCRKCLQELSWEEYKQFLVEHMGCCDNIWQDSEKIQGVELVKKFVQQATLENTNLEAAMEIVKLT -QNYTTTPMLQIQDINKALMKGSSATPQELEKAIRQLLEMTRWWKNHMSLTDEDALKVFRNKRSSKAMINP -SLLCDNQLDRNGNFVWGERGRHSKRFFSNFFEEIIPPEGYSKYVVRRNPNGQRKLAIGSLIVPLDFNRAR -LALQGESIMKEPLTLACISRQDGNFVYPCCCVTHDDGKPYHSELKSPTKRHLVVGSSGDPKYIDLPSEDI -DRMYIAKEGYCYLNIFLAMLVNVNEQDAKDFTKMVRDVIVPRLGTWPSMMDVATAAYILTVFHPETRSAE -LPRILVDHATQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLHGEMKFYRVGGEVEQRIRCEAALIS -SIFKPRRMIQLLNNDPYILLLGMVSPAILIHMYRMRHFEKGIQTWVHKDQNVAKIFIILEQLTKKVVLND -VLLDQLQMISGSSGHLLEILSNCPIHAHSYRPAMDMLTQFLERDLTNKQLSDNGFIDLHENLFIEVEKIF -VERLSQEWRALSLLEKSSVTWQLKRFSHRTETSLTKKVAEEKGEFSKSFVSACFMNARSHLRNARTSFSR -RCESAYVTIVRKCVNLLLRSVHRCYSDILYLVNVCIIFSLLVQMFTTLHGVVKRIQIDKAILHRMKQGEE -ENTITHMYDLFVKAEGGTPTMASFTKHVESVRPDLLSTLRKMTNQQEDVICQAKTSVQCSFEKIVAFMAL -LTMCIDNERSDAIFKILNKLKVVFSTMGEDVRVQSLDEIEDIGEDKKLTIDFDLETNKEPSSVSFDVKFE -EWWNRQLQQNRVIPHYRTTGEFLEFTRETAAKVANQISTSTSPEFLVRGAVGSGKSTGLPHHLAKKGKVL -LLEPTRPLAENVSKQLNGDPFYQMVTLRMRGLSKFGSSNITVMTSGFAFHYYVNNPNQLAEFDFIIIDEC -HVLDSSTIAFNCALKEYEFSGKLIKVSATPPGRECEFTTQHPVKLKMEDQISFQHFVNAQGTGSNADMVQ -HGHNLLVYVASYNEVDQLSRLLIDRQFKVTKVDGRTMQKGNVEIVTSGVEGKPHFIVATNIIENGVTIDV -DCVIDFGQKVVAVLDSDCRCVRYNKKPVTYGERIQRLGRVGRCKPGFALRIGHTEKGIEEIPEFIATEAA -FLSFAYGLPVTTQSVTTNILSRCTTKQARNALNFELTPFFSIHFIKYDGSMHPKIHELLKSFKLRESEML -LNKLAIPYQYVNQWLTVREYDRQGIHVHCGENTRIPFYAHGIPDKLFEALWDTVCKYKSDAGFGRISSAS -AAKVSYTLSTDPSAVPRTIAIIDHLLAEEMMKKNHFDTIGSSVTGYSFSLAGIAEGFRKRYMKDYTQHNI -AILQQAKAQLLEFDSTKVDINNLHGIEGIGVLNAVQLQSKHEVCKFLNLKGKWDGKKFMNDAVVVVFALI -GGGWMLWEFFTKKTKEAVVTQGKKRTLQKLKFRDAYDRKMGREVYADDDTMERTFGEAYTKRGKRKGNTE -TRGMGRKTRNFTHMYGIEPENYSMIRFVDPITGHTMDENPRVDMRIVQEEFGEIRRQMLADEQIDGQHII -SNPGLQAYFFGKNTEDVLKIDLTPHRPTLLCENSNAIAGFPEREDELRQTGLPQRVSKSEVPKPNERVEL -ESKSVYKGPRDYSAVATLICQLTNASDGHRETIYGIGYGAYIITNGHLFRRNNGVLTVRTWHGEFVINNT -TQLRIHFIEGKDAILIRMPKDFPPFAKRSFFRQPLKEERVCMVGTNFQEKSLRATVSESSIIVPEGVGSF -WIHWITTQEGFCGLPLVSVNDGFIVGFHGLTSNDSEKNFFVPFIDNFESKYMKNVDTLTWDKHWFWQPDK -IAWGSLNLVDEQPREEFKISKLISDLLGDSVIVQSKRERWVLNDVEGNLVACGQAESALVTKHVIKGKCP -HFEQYLIQHEDAAKFFRPLMGAYQPSKLNREAFKKDFFKYNKPIVLNEVDFEAFEQAVCGVKSMMMEYGF -HDCAYITDPDEIFNSLNMKAAVGAQYKGKKSEYLAGMDQFDRERLLYLSSERLFYGKKGLWNGSLKAELR -PNEKVLANKTRTFTAAPIDTLLGAKVCVDDFNNQFYNMNLACPWTVGMTKFYGGWDKLMRSLPDGWLYCH -ADGSQFDSSLTPLLLNAVLDIRRFFMEDWWVGQEMLENLYAEIVYTPILAPDGTVFKKFRGNNSGQPSTV -VDNTLMVVIAVYYSCYKQGWDEDEIDKRLVFFANGDDIILAVREEDSWLYDKLGSSFAELGLNYTFDDRS -KKREDLWFMSHTAIEVEGIYIPKLEPERIVSILEWDRSKEIMHRTEAICAAMIEAWGYTDLLREIRKFYL -WLVQKDEFKELAAAGKTPYIAETALKKLYTDKNASLNELQEYLRVLDFEHTEGCCESVSLQSFTGKDKEE -ENKDTIDAGGDGGRKDKGKEKRTGTLATLENPNPKNPSDGGGSSLSRDKDVNAGSKGSIVPRLQKITKKM -NLPTVKGRVILNLDHLIEYAPNQVNLYNTRATKSQFESWYSAVQKEYELDDNQMSVIMNGFMVWCIDNGT -SPNINGMWVMMDGDEQIEYPLKPLVENAQPTLRQIMHHFSDAAEAYIEMRNSKEPYMPRYGTLRNLRDLS -LARYAFDFYEVTSKTPNRAREAVAQMKAAALANVSTRLFGLDGNVSTNSENTERHTARDVNQNMHTLLGM -GPPQ ->AHY61037.1 polyprotein [Hardenbergia mosaic virus] -MFGSFCVQLGKTCTEAGAGREMVCYNDRTLSVKADQMATSEPSIKKASRILTENSQKQISAYEEAMRKMD -DALKKDAKLQTRLMCAKYGTVQKKAQRYTIKRVSEKEAFQRAEIEEALKRDHEAFLRGEFTRDCEIGTCK -VEDKTQRGSQESFKSPFWKRSMKKANNMPKSKKAHMTADEITQQILVLAGKSDLQIEFIGKGRYHLNARY -VRIRDNLIPKVTLPHERGVYKFCELDYSKVQRDIMSLCTFAKYRSITDEDIVSGCSGFCFDERCKLTCDN -TQLPHLVIRGRMNERLVSALENVENIERVHHYTQQGELQFFKGWKASFDEMVPHTDDHVCTVDIDNEQCG -KFAATVSQTIFPVKKLSCRTCRQKLTDMSFEEYKQFVLAHMGCYKNTWEKKLMIPEFQVVEKFVRKATSE -NMNLEVAMEIVRLTQNHTSTAMLQIQDINKALMRGSSVTQVELDKALKQLLAMTQWWKKHMTLTDGDSLA -SFRNKRSAKALLNPSLLCDNQLDKNGNFIWGERGRHSKRFFSNFFEEVIPSEGYTKHIVRKNPNGQRELA -IGSLVVPMSLGRARIALQGKSIKREPLTAACTSKQDGNYVYTCCCVTHEDGKPFYSELKSPTKRHLVVGT -SGEPKYIDLPAVDAEKMFIAREGYCYLNIFLAMLVNVNEEEAKDFTKMVRDTIIPMLGQWPTMMDLATAV -YMLTIFHPETRNAELPRILVDHASQTMHVIDSFGSLTVGYHVLKAGTINQLIQFASDDLQGEMKFYRVGG -DSATRMRCETALIKGIFRPKVMMSLLNQDPYIVLLGLISPTILVHMYRMRHLEEGVKMWIDRDQEVAKIF -IVLEQLTRKVVVAEMLAQQMQAINDSAAHLIEIVDNCRHRSLTYMPAKNLLTVCFENNSSNVSLRDNGYL -DLNNQLYMEREKIFLGRLKQEWRGLSWLEKSSATWHLKKFSPSMEASLTKKAVEGRGGSPGIFVNACFMK -ATTYLKNMREYVSKQSEKFLASVCKKFVNILLGFFQRCYSDILYLVNICIIFSLLLQMVSTVRGMVATIQ -SERALAHRYKMEEEERSVMNVYTLYITHNSVSPTLSEFREYLMKIRPDLIETMEKMVDPSELSVVHLQAK -TAVQLQFEKIIAILALATMCIDAERSDAVFRILSKIKMAFSTVGEDVKLQSLDEIENLEDDKKLTVDFDL -ETSKASTSTSFDVKFEDWWNKQLQQNRVVPHYRASGEFIEFTRETAAFTANQISSSPHSEFLIRGAVGSG -KSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLAKDPFFQQVTLRMRGMSVFGSSNITVMTSGFAFHYYVN -NPSQLADFDFVIIDECHVLDSSTIAFNCALKEFEFQGKLLKVSATPPGRECEFTTQHPVKLKVEDHLSFH -NFVQAQGTGSNADMIQHGNNLLVYVASYNEVDTLSKLLTERHYKVTKVDGRTMQMGNMEIPTSGTDSKPH -FVVATNIIENGVTLDIDCVIDFGLKVVATLDSDNRCIRYNKKAVTYGERIQRLGRVGRCKPGFALRVGHT -EKGVEEIPEFIATEAAFLSFAYGLPITTQSVTTNILANCTVKQARTALNFELTPFFSVHFIKYDGSMHPE -VHKLLTPFKLRESQMSLCKLAIPFQFVSHWIKAKEYERMGVRIQCDGETRIPFYAHGVSDKLYEALWQTV -HKYRHDAGFGTISSVNSTKISYTLSTDPGAIPRTIAILDHLLAEEMMKKNHFDTIGSAVTGYSFSLAGIA -DGFRKRYLRDYTAQNIAILQQAKSQLLEFDSRKVDLNNLHNLEGVGVLHAVRLQGKAEISKFLNLKGKWD -GKRFMNDAIVGIVALIGGGWLIWEFLSKKLNEPVTTQGNKRQMQKLKFRDARDRKLGREVYADDNTMEHT -FGEAYTKKGKVKGSTHTKGMGRKTRNFTHIYGVEPDDYNFIRFVDPLTGHTLDETPRTDIRIVQEEFQEM -RKQKVFDDELTWQHVHANPGVQAYFIGKGTEEALKIDLTPHRPTLLCQNSNAISGFPERENELRQTGAPV -RVKMSEVPKPNEKVEMEGKSVYKGLRDYSGISTLVCKLTNESDGHVESMFGVGYGSYIITNGHLFRRNNG -VLSIQTWHGEFTIMNSTQLRIHFVEGKDLILIRMPKDFPPFAQKSIFRHPKKEERVCIVGTNFQDKSLRA -TISESSMALPEGKGSFWIHWVSTKDGDCGLPLVSTTDGCIVGIHGLSSNESDKNFFIPLVNDFEDQFLKK -AQDLEWNKHWLWQPDKIAWGSLRLQEEQPKEDFKISKLVSDLFDTDVTMQSKQERWVLDGAHGNLVACGK -MESALVTKHVVKGKCRHFEEYLQTHPQEEKFFRPLMGEYQPSKLNKEAFKKDFFKYNKPVVLNEVNFNSF -EQAVTGVKIMMMELGFHECVYITDHEEIFNSLNLKAAVGAQYRGKKHDYLKDMDTFDKERLLFKSSERLF -NGEKGIWNGSLKAELRPIEKVQQNKTRTFTAAPIDTLLGAKTCVDDFNNQFYSLHLKCPWTVGMTKFYAG -WDKLMRALPDGWVYCHADGSQFDSSLTPLLINSVLDIRQFFMEEWWVGAEMLENLYAEIVYTPILAPDGT -IFKKFRGNNSGQPSTVVDNTLMVVIAVYYSSIQLGWTYDDIQNRLVFFANGDDIILAVKEEDTYVYDHLG -TSFSELGLNYNFEERTRNREDLWFMSHRAKEIDGLYIPKLEEERIVSILEWDRSKEVMHRTEAICASMIE -AWGYPDLLREIRKFYLWLVQKDEFKELAALGKTPYIAESALKKLYTDQDAEQSELQEYLAALTEQYDGNC -FEAVSLQSDTEKMNLDAGLDGKKEKKATDGGGKDKGKASASAGKEKDVDAGTQGKVVPRLQKITKKMNLP -MVKGNMILNLDHLIEYKPDQTKLFNTRATDSQFATWFKSVQEEYELDDAQMSIVMNGFMVWCIDNGTSPD -VNGVWVMMDGDEQVEYPLKPMVENAKPTLRQIMHHFSDAAEAYIEMRCSTGPYMPRYGLLRNLRDRSLAR -YAFDFYEVNAKTSVRAREAVAQMKAAALSNVSTKLFGLDGNVATIGENTERHTAKDVNQNMHTLLGMGAP -Q ->BAK64660.1 polyprotein [East Asian Passiflora virus] -MASIVFGSFSAPLVKTTAVVKAKRMVPSTMTVVKKLVETVPVSVMKEISLGCSTRCAGLKAYTKTSLRRA -IKEGDLTSSGACHVCGLRGLVGEGRERVQLVPFVEYVQKEVLHTEEVPCMVEEEYDVETPIFVMPADEVK -ETNSEVVSPGAKFCAPVKSELMAQEKPSIKQVFGKLRRQSFVAIKEYDNMMAKFDKSLQQNSELKKRLFV -NKYSPIQQKKNGAVQLRKISYAQAEQRRLKMDQLATEKARFLSGRYENREYAGQACIPLMKNTGTTVSFK -TVNFKRSVKQCIQKREQRTCITDRGALDKILRLTKDLKLPIEFIGNGKQKPLRAHFIKKGTEVLPKVHLA -HVDGVYKNQELNLGCVSQMLALLCKYTKPSNLRSEQIQCGDSGLVFDRRSTITCSNTDLPFFVVRGRREG -KLVNALDFIREKESVQHYSQTPEAQFFTGWKKAFDKMVPHQTTHSCTVDFNNEQCGEIAAIISQTLFPVK -KLSCTSCRRHLQELSWEEYKQFLVEHMGCCDDIWNVSDKIQGVELVEKFVQQATLESKDLEDATEIVKLT -QNYTTTPMLQIQDINKALMKGSSATSQELGKATKQLLEMTRWWKNHMSLTDEDALKVFRNKRSSKAMINP -SLLCDNQLDRNGNFVWGERGRHSKRFFSNFFKEIIPSEGYSKYIVRRNPNGQRKLAIGSLIVPLDFSRAR -LALQGESITKEPLTLACISRQNGNFVYPCCCVTHDDGKPYHSELKSPTKRHLVVGSSGDPKYIDLPSEDI -DRMYIAKEGYCYLNIFLAMLVNVNEQDAKDFTKMVRDVIVPRLGTWPSMMDVATAAYILTVFHPETRSAE -LPRILVDHATQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQGEMKFYRVGGEVEQRMRCETALIS -SIFKPRRMIQLLNEDPYILLLGMVSPAVLIHMYRMRHFEKGIQTWVHKDQNIAKIFIILEQLTKKVVLND -VLIDQLQVISGSSGHLLEVLSSCPTHSHSYRPALGMLTQFLERDLTNKQLSDNGFIDLHENLFIEVEKIF -VQRLSPEWRALSWWEKSSVTWQLKKFSHCTETSLTKKVAEGKEEFSKSFVSACFMNARSHLRNARISFSR -RCESAYTAVIRKCVNLLLRSVHRCYSDILYLVNVCIIFSLLVQMSTTLHGVVKRIQIDRAILHRMKQGEE -ENTITHMYDLFVKAEGGTPTMASFTKHVESVRPDLLPTLKKMTNQQEDVTCQAKTSVQCNFEKIVAFMAL -LTMCIDNERSDAIFKILNKLKVVFSTMGEDVKVQSLDEIEDIEEDKKLTIDFDLETNKELSSVSFDVKFE -EWWNRQLQQNRVVPHYRTTGEFLEFTRETAAKVANQIAISTSPEFLVRGAVGSGKSTGLPHHLSKKGKVL -LLEPTRPLAENVSEQLNGDPFYQMVTLRMRGLSKFGSSNITVMTSGFAFHYYVNNPNQLAEFDYIIIDEC -HVLDSSTIAFNCALKEYEFSGKLIKVSATPPGRECEFTTQHPVKLKMEDQISFQHFVHAQGTGSNADMIQ -HGHNLLVYVASYNEVDQLARLLIERQFKVTKVDGRTMQKGNVEIVTSGIEGKPHFIVATNIIENGVTIDV -DCVVDFGQKVVAVLDSDCRCVRYNKKPVTYGERIQRLGRVGRCKPGFALRIGHTEKGIEEIPEFIATEAA -FLSFAYGLPVTTQSVSTSILSRCTTKQARNALNFELTPFFSIHFIKYDGSMHPKIHELLKPFKLRESEML -LNKLAIPYQYVNQWLTVREYDRQGIHVHCGENTRIPFYAHGIPDKLFEALWDTVCKYKSDAGFGRISSAS -AAKVSYTLSTDPSAVPRTIAIIDHLLAEEMMKKNHFDTIGSSVTGYSFSLAGIAEGFRKRYMKDYTQHNI -AILQQAKAQLLEFDSTKVDINNLHGIEGIGVLNAVQLQSKHEVCKFLGLEGKWDGKKFMNDAVVVIFALI -GGGWMLWEFFTKKMKEAVVTQGKKRAFQKLKFRDAYDRKMGREVYADDDTMERTFGEAYTKRGKRKGNTE -TRGMGRKTRNFIHMYGIEPENYSMIRFVDPITGHTMDENPRVDIRIVQEEFGEIRRQMLADDQIEKQHVI -SNPGLQAYFFGKNTEDVLKIDLTPHRPTLLCANSNAIAGFPEREDELRQTGLPQRVPKAEVPKPNERVEL -ESKSVYKGPRDYSAIATLICQLTNASDGHRETIYGIGYGAYIITNGHLFRRNNGVLTVRTWHGEFVINNT -TQLRIHFIEGKDAILIRMPKDFPPFAKRSFFRQPLKEERVCMVGTNFQEKSLRATVSESSLIVPEGVGSF -WIHWITTQEGFCGLPLVSVNDGFIVGFHGLASNDSEKNFFVPFIDNFESKYLKNVDTLTWDKHWFWQPDK -VAWGSLNLVDEQPREEFKISKLISDLFGDSVIVQSKRERWVLDAMEGNLVACGQAESALVTKHVIKGKCP -HFEQYLVQHEDAAKFFRPLMGAYQPSKLNREAFKKDFFKYNKPIVLNEVDFEAFEQAVCGVKYMMMEYGF -HDCAYVTDPDEIFNSLNMKAAVGAQYKGKKSEYLAGMDQFDRERLLYLSSERLFYGKKGLWNGSLKAELR -PNEKVLANKTRTFTAAPIDTLLGAKVCVDDFNNQFYNMNLACPWTVGMTKFYGGWDKLMRSLPDGWLYCH -ADGSQFDSSLTPLLLNAVLDIRRFFMEDWWVGQEMLENLYAEIVYTPILAPDGTVFKKFRGNNSGQPSTV -VDNTLMVVIAVYYSCYKQGWDEDEIDKRLVFFANGDDIILAVREEDSWLYDKLGPSFAELGLNYTFNDRS -KKREELWFMSHTAIEVEGMYIPKLEPERIVSILEWDRSKEIMHRTEAICAAMIEAWGYTDLLREIRKFYL -WLVQKDEFKELAAAGKTPYIAETALKKLYTDKNASLDELQEYLRVLDFEHTEGCCESVSLQSSTGKDKEE -ESKDTIDAGGDGGRKDKEKEKRTGTLATLENPNPINPNGGDGSSLGRDKDVNAGSKGRVVPRLQKITKKM -NLPTVKGRVILNLDHLIEYAPNQVDLYNTRATKSQFESWYSAVQKEYELDDNQMSVIMNGFMVWCIDNGT -SPNINGMWVMMDGDEQIEYPLKPLVENAQPTLRQIMHHFSDAAEAYIEMRNSKEPYMPRYGTLRNLRDLS -LARYAFDFYEVTSKTPNRAREAVAQMKAAALANVSTRLFGLDGNVSTNSENTERHTARDVNQNMHTLLGM -GPPQ ->AIA65161.1 polyprotein [Watermelon mosaic virus] -MATIMFGSFATQLKHNTVTEKRKRVVKTTKLEQEVRMETVYEQVMESITVGCTERCAGLSAYTKSSLRRA -IKEGDLSASGGCNYCGLRALVGEGRERVISVPRTVAQQREVVVTKEVPHVYEEEYEVEVPYLATELVLPM -VGTFSGSNVCRTTVQTKATNNIVTKDMMAKSEPSSKQVSRSLILAGRKEIGSYDLAIKKMDEAMQHDSAL -QRRLFIQQQSTIKQLPKGAAQLRFCSHEQAKERAELARKRKQEEEDFLNGKYEQQAYSGAAAINTTRPIG -ESVGFRTTHWRPTPKQHRVKRVKKQCGSSTLVLREVLSLAAKSGMPIEFITKRKGKDFKVSYVRKHGAML -PKFILPHEAGKYVRQELQYANISEFLPHICKFAKYRAIRANDITHGDSGLLFDKRSSITVEPTELPYFVV -RGRKHGKLVNAFDAFKDIEDIEHYSQTPEAQFFLGWKRMFDRMLPQTDVHECTVDFTNEQCGELAAAISQ -TIFPVKKLSCRQCRQHVKNLSWEEYKQFLLTHMSCHEIVWKDIQNIDGLKYVKTLVEKSTMENLSLQTSM -EIIRLTQNYKSTHMLQIQDINRALMKGSSVTQGELEQASKQLLAMTQWWKNHMTLTDEDALKVFRNKRSS -KALLNPSLLCDNQLDRNGNFVWGERGKHSKRFFANYFDEVIPSEGYNKYVTRKNPNGQRKLAIGSLIVPL -DFERARMALQGESITREPITMACISRQDGNFVYPCCCVTHDDGKAFYSELKSPTKRHLVIGTSGDPKYID -LPATETDRMYIAKEGYCYLNIFLAMLVNVNEDEAKDFTKMVRDVIVPKLGQWPTMIDVATAVYMLTVFHP -ETRNAELPRILVDHACQTMHVIDSFGSLTVGYHVLKAGTVNQLIQFASNDLQSEMKYYRVGGDVQQRMKC -ETALITSIFKPKRMIQILENDPYILLMGLISPSILIHMYRMKHFEKGMELWISKNQSVAKIFIIMEQLTR -KVAVNDVLLEQLEMISGVSGKLMDVLEDCPRSAHSYKTAKDLLAMYVERKASNSQLVENGFVDMNDKLYM -VYEKIYVDRLKQEWRALNWLEKSSITWQLKRFTPHTEKCLTKKVVEESSAYSRNFVSACFMNAQSHLKNV -RSTFFRKCDQVWTASIRAFVKFIIATLHKCYSDIVYLVNICLIFSLLVQMTSVLQGIVSTAKRDKTFMQM -HKRNEEEQAVVHLYEMCEKIGNKHPSVEEFLDHVQKVRPDLLPVAMSMAGQSENVSAQAKTATQLQLEKI -VAFMALITMCIDNERSDAVFKILSKLKTFFSTMGEEVKMQSLDEIQNIDEDKKLTIDFDLETSKESSSVS -FDVKFEEWWHRQLQQNRVIPHYRSTGEFLEFSRETAAKVANLVATSSHTEFLIRGAVGSGKSTGLPHHLA -KKGKVLLLEPTRPLAENVSKQLSLEPFYHNVTLRMRGLSKFGSSNIVVMTSGFAFHYYVNNPQQLSDFDF -VIIDECHVQDSSTIAFNCALKEFEFSGKLLKVSATPPGRECEFTTQHPVKLKIEDHLSFQNFVQAQGTGS -NADMIQHGHNLLVYVASYNEVDQLARLLTERHYKVTKVDGRTMQMGNVEIATTGTEGKPHFIVATNIIEN -GVTLDIDCVIDFGLKVVAVLDTDNRCVRYNKQSVSYGERIQRLGRVGRCKPGFALRVGYTEKGIEEVPEF -IATEAAFLSFAYGLPVTTQSVSTNILSRCTVKQARVALNFELTPFFTTNFIKYDGSMHPEIHRLLKPYKL -RESEMMLNKLAIPYQFVGQWLTVKEYDRQGIHLNCSEKTKVPFYVHGIPDKLYETLWDTVCKYKCDAGFG -FAGFGFIRSVNASKISYTLSTDPTAIPRTLAILDHLLSEEMTKKSHFDTIGSSVTGYSFSLAGIADGFRK -RYLRDYTQQNIAILQQAKAQLLEFDCTKVDINNLQSVEGIGILNAVQLQSKHEISKFLQLKGKWDGKKFM -NDAIVAIFALAGGGWMLWEYFTRMMREPVTTQGKKRQIQKLKFRDAFDRKVGREVYADDYTTMEHTFGEA -YTRKGKQKGSTQTKGMGRKSRNFVHMYGVEPENYSMIRFVNPLTGHTMDENPRVDIRLVQQEFDEIRQEM -IKEDELDPQRIYHSPGLQAYFIGKNTEEALKVDLTPHRPTLLCQNSNAIAGFPERENELRQTGLPQVVPK -SEVPCAKERVEVESKSVYKGLRDYSGISTLICQLTNSSDGHKETMFGVGYGSFIITNGHLFRRNNGMLTV -KTWHGEFVIHNTTQLRIHFIQGKDAILIRMPKDFPPFAKRNFFRQPKREERVCMVGTNFQEKSLRATVSE -SSIILPEGKGSFWIHWITTQDGFCGLPLVSVNDGHIVGIHGLTSNDSEKNFFVPFTDGFEKEYLNNADNL -SWDRHWFWEPSKIAWGSLNLVEEQPKDEFKISKLVSDLFGDTVAVQSRKERWVLDAMEGNLVACGQAESA -LVTKHVVKGKCPYFAQYLSLHHEARSFFEPLMGAYQPSRLNKEAFKRDLFQYNKPVVLNEVDFEAFEKAV -MEVKMMMNEFGFAECLYVTDPDEIYESLNMKAAVGAQYKGKKQDYFSGMDRFDKERLLYLSCERLFYGEK -GVWNGSLKAELRPIEKVQANKTRTFTAAPIDTLLGAKVCVDDFNNQFYSFNLVCPWTVGMTKFYGGWDKL -MRSLPDGWTYCHADGSQFDSSLTPLLLNAVLDIRRFFMEDWWAGQEMLENLYAEIVYTPILTPDGTIFKK -FRGNNSGQPSTVVDNTLMVVIAMYYSCCKQGWSEQDIQDRLVFFANGDDIILAVSDKDVWLYDTLSASFS -ELGLNYNFDERTKKREELWFMSHKAMLVEGIYIPKLEPERIVSILEWDRSKELMHRTEAICAAMIEAWGY -TELLQEIRKFYLWLLSKDEFKELASSGKAPYIAETALRKLYTDTNARDSELQRYLEVLDFSHIDSCCESV -SLQSGKEAVENLDAGKESKKNTSGDKGDDLQSSQVGQSSKVVPKTGVIDKDVNVGSKGKVVPRLQQITKK -MNLPMVGGRIILSLDHLLEYKPNQVDLFNTRATKTQFEAWYNAVKAEYGLDDEQMGVVMNGFMVWCIENG -TSPDVNGVWVMMDGEEQVEYPLKPIVENANPTLRQIMHHFSNAAEAYIEMRNSESPYMPRYGLLRNLRDK -ELARYAFDLYEVTSKTPNRAREAIAQMKAAALSGVNNKLFGLDGNISTNSENTERHTARDVNQNMHSLLG -MGPPQ ->ABH10135.1 polyprotein [Basella rugose mosaic virus] -MASVVFFGTIPSTLVLPANKAINHVKCAIAPAVVAPPALHKINTHKAYTAAGRVMMESYDRAQKAFEAGL -DKLLEAKREAAPLSRTVKFKGGYRIRWASAKRVAEVKAHIQRKAEMTEKFMNSPDKILYKIEANIEEPER -FIGQVSLKSPYWKRTVSDRQIKNPPKIRTTNVKNLLSQTFRAVTQNGAIVEIIGKKRKRAIRCSYKTVKK -SIIPCFDLPHKHGIWSKRELVPSEVKELVDIVVKYRRLRDVFTDNDIQPGWSGFVVPRKVATSYWRKYDE -VIVRGRLYGKVEDARTKLPFGDVSRIHHYSNERLFFEGWQENFKKLAPVQQDHVCRIMKDNRFAGKLAAT -IAQIAFPCHKPTCDLCRKVYNPISEDAYKELVNNHVESRASEISEALEHYPELKQVVARFRDETLVERSL -DSLIDIRKLTLGHRATQMQQIQRMSDILMKGLTMTENDMEEIGKNLLEITRWFANHLSLIDRGSLRTFRN -KRSSKAMLNPSLLCDNQRDTNGNFIWGQRSYHARRFFSNFLEEIDPTKGYERYIIRKCPNGERKLAIGNL -IVNLDFEKTREALRGEEIEREPLTEACVSRRNGNFIYPCCCVTEDDGRPLYSHLKSPTKRHLMIGASGDP -KILDMPSAELDKMYIAKQGYCYLNIFLAMLVNVSEEEAKFFTKMVRDVLIPKLGEWPTMHDVATACYIAT -IFYPDVSNAELPRILVDHAQKTMHVIDSFGSLSTGCHVLKAGTVSQLIDFASNDLDSEMKFYKVGGNDLP -DSERMITTLIKGIYRPKLLVKLIEDDPYVLMMALCSPKLLISLYNNGSLELATQTWIKKDKDVSLIFMQL -SDLAKEMSKADLLIEQLKLMSESASRIRELLPVPIIADKSRIIFNEMLTLKSSIFEADEELSRAGFANYQ -TRLYEAMEKMYQQQLEQEWRDLGWFGKLYLIIFSQRHRPRSTPLLPLTRLEGLEDKFAISATWCAGKIKG -HLNTVRVCGVNKFKQTVGFVNRVLIDKSVYILTRCLQDVFYFINVAVVAQILISTIYMINRWLHQQKVAQ -MELEYYKYKNSEAKITMLFNNYQKIHGNAPTRAEFIEFLRENDDKLCDYITIDHEVAHQSKNPFERNLEK -VVAMMALFAMMFGSDKSSAVFNVLRNIKTVFGTLEDGVRHQSLDEIESLEDEKKLTIDFELDTERSYEGA -TMDVQFNQWWNKQLEQNRVVPHYRTGGCFVEFTRATSASVCNTIALSEEKEFLVRGAVGSGKSTGLPSTL -SRKGKVLLLETTRPLAENVCKQLRKEPFNLAPTLRMRGVTSFGSSNITIMTSGFALHYHANNASKLKDFD -YIIIDECHTLDASTMAFYCLLKEYDFQGKILKVSATPPGKECEFKPQHDVQLKIEPELSFHAFVAAQGSG -SNADVVQHGNNILVYVASYNDVDQLSKLLIEKGHHVTKVDGRTMKLGCVEIPTKGNDAKKHFIVATNIIE -NGVTLDIDVVVDFGIKVVAELDADSRCMQYKKVSINYGERLQRLGRVGRVKPGYALRIGHTEVGLTSIPI -SVATEAAFLCFAYGLPVMTHNVTASLLGKCTTKQARAMMHYESPPFFMVELVMYNGTVHPQIEKLLQEYK -LRDSTMHLSTLAIPNSGVSRWKTVREYKQLGIHIEANDDVRIPFASRNIPDKLYTEIWKAVQQHKADASF -GRLKSTCATSVSYTLSTQPHAIPRTIAIIDHLLAEERQKKECFESLSDTLCSTNFTLVGIVNKVRNRYLR -DHSTHNIAVLQAAKAQLLEFNSKEIDPERFEDLMGYGLLDTVQYQDKKSMRKCLKLEGQWDKHLMLNDVA -VAGCVLVGGGWMIWEFYKQSQEMVEFQGKKRKVQKLKFRDARDRKMGREVYGDDGTIEHYFGAAYTEKGK -KKGNNNTKGLGRKNRRFVHMYGFDPTEYSFVRFVDPLTGHAQDEGITADMSIIQEEIADIREKAMLNDDD -LVDYIKQNPGIQAYYMKHGSDKALRVDLTPHNPLLVCRSATIAGFPEREIELRQTGPPKVVNVNDVPKVE -KDQVAPEGKSIVSGLRNYNPISSIVCQLTNSSGSDHQTLFGIGYGPLIITNGHLFRNNNGTLLIRSHHGE -FTIKNTTQLNIHHVAAKDMILIKMPKDFPPFPQRIHFRGPKADEKACLVGSRFQERHISSEVSDSTIVRP -TSPGGFWKHWVSTKDGDCGLPLVSLRDGKIIGFHSLTSTKTDINYFVPFTDNFEEEVLGKLDSITWVKHW -RHSSDKIAWNGLSLKEDYPSREFSVSKIISDLNGLFMDEVSEQSKYEKWVLQRLEGNLKAVGQSTSQLVT -KHVVKGPCVLFQEYCGERPDALNFFKPFMGEYGPSKLNKEAFLKDFLKYAGPITIGEVDTERFERAVLNV -IKLFEDLDFGECAYVTDPDAIYDSLNLKAAVGALYQGKKKEYFEMMSTFEKEELLRLSCLRLFKGEMGVW -NGSLKAELRAKEKLEQNKTRTFTAAPIDTLLGGKVCVDDFNNRFYSLNLEAPWSVGMTKFYGGWNKLLSK -LPEGWIYCDADGSQFDSSLTPYLINSVVQIREHFMEPWDVGVRMLRNFYTEIIYTPILAPDGTIVKKFKG -NNSGQPSTVVDNTIMVVLAMHYAMERENWNEIERKSDIVFFANGDDLIIAIKPEKEKFLDNLQSNFLELG -LKYDFSNRHRDVRDLWFMSHRGIEYQGILIPKLEEERIVSILEWDRSTEISHRAEAICAAMIEAWGYPDM -LRHIREFYLWLMQHPWYKDLVKEGRLPYISESALKKLYTDEEINEHELAEYWRALVINDNEQEDEVVYQS -GSGSATPSSQRQQAPQGQEGSIDAGRNQQAQRPQPSSDVVRHDGSQAQDVGQVSHTIPRLKNISKVRLPK -VKGKIILSLDHIIDYKPDRLDLSNTRATHEQLQVWYNAIMNEYEVSESQMGVLLNGLMVWCIENGTSPNL -NGEWVMMDGEEQVTYPLKPIIENAKPSFRQIMHHFSDAAEAYIEMRNREKPYMPRYGLIRNLRDMSLARY -AFDFYEINSRTPARAREAIVQMKAAALTNVSNKLFGLDGNVTTTTEDTERHTASDVNARMHHLMGVTQG ->AOR06520.1 polyprotein [Cowpea aphid-borne mosaic virus] -MTSIMFGDFQFTQTVKAAHEVVQVEEVLVPVTKWVKQIVPVGCGTRCGGTVNYSKSSLRRAIAEGDLTRS -GACHYCGTIGIVGGRAATEMLVAITEQQVQKREVKVVVPATTISSWKLVDRKLVDEGVKMIVDLKDEVVE -AAKVIQTQKNHRSNLQASKKLLSDYAKAQIGFADSFSRIAGMEQKLLIDKYSSIRKTKRGAVINRVSLKK -AQERRQTELIAEKEHEKFLAGEFENKAYFGSVHEPIDVSHSESVGFRTVHYRRTPKTCKPRSKVTQVRGS -WKVQGQIMQLARKTGICVEFIERGKAKPLKVNFTSRYGSTLPKVTLPHEGGRYVHEEVSYNKHKDNLMAL -VKYSTYKSVHQSIIKRGDSGLVYPPQEIKALPEQEKEIFIIRGRQDGVLINALDWHSEIASVQHYSHQLE -IQFFQGWKKVFDKLVPHTEDHECTVDYSNEQCGELAASLCQTLYPVKKLSCRKCRFHIKDLSWEEYKEFI -AVHFGCCAETLKDQQSIGFKNVQTLVERAVSEGGDVNLSLEIARLTQNYTSTPMLQIQDINKALMKGSSA -TKQELDQALKQLLAMTQWWKNHMELTGEDALKTFRNKRASKAMLNPSLLCDNQLDKNGNFIWGERGRHSK -RFFSNFFDEVVPSEGYGKYTIRRNPNGFRKLAIGSLVVPLDLDRARVSMKGESVTKQPITKACVSVLDKN -FVYPCCCVTLDNGQPLYSDYKSPTKRHLVVGSSGDPKYIDLPATDSDRMYIAKEGYCYLNIFLAMLVNVN -EDEAKDFTKMVRDVLVPKLGTWPTMMDVATAAYMLTVFHPETKSAELPRILVDHESQTMHVIDSFGSLNT -GYHVLKAGTVNQLIQFASNDLDSEMKFYKVGGSVQQRLKCETALISSIFKPKRMVHILETDPYILLMGLV -SPSLLIHMFRMRHLEKGVQIWINKEQSVAKIFLILEQLTKKLVVNDVLLEQLRLISETAEPLHSLLLNCP -KTAHSYNVAKDFLIIKAEMNSANETLITNGFFDMHESLDSAREKIYVDWLSKEWHALSLLEKFSVTWQLK -RFSDVTENSLIKKATVDKEKFSKRFVSACFLSAQTHLKESRICIANKIEQISHRFIGSVCGLFLNFIRRC -YGDLIFLVNVSIVISLFVQMVSVLNNTMRTIRNDRISLAAHKVEKDEQTICRMYDLFSKGSKELPSSTQF -LLHIEEVRPDLLQTAKYMITSDESVETQAKTANQLHLEKIVAFMALLTMCVDAERSDAIFKILNKLKSVF -GTMAEEVRMQSLDDLNDIEECKKLTVDFEVSTSHEPTSTTIDVSFEGWWNRQLQQNRVVPHYRSTGEFME -FTRATAATVANSISLSAQTEFLIRGAVGSGKSTGLPHHLSKKGKVLLLEPTRPLAENVSKQLSQDPFFQN -TTLRMRGLSRFGSSNITVMTSGFAFHYYVNNPHQLNDFDFIILDECHVLDSPSIAFNCALKDFSYAGKLI -KVSATPPGRECEFTTQYPVKLKIEENLSFQDFVQAQGTGTNADMIQHGNNLLIYVASYNEVDQISKYLLD -KGFKVTKVDGRTMQMGRVEIETSGTPSKPHFIVATNIIENGVTLDVDCVIDFGLKVVADLDTDSRCVRYN -KKSVNYGERIQRLGRVGRHKPGFALRIGSTERGMAEIPEFIATEAAFLSFAYGLPVTTQNVTTNILSKCT -VQQAKSALNFELTPFFTTHFVKYDGSIHPEIHKLLKQFKLRESEMVMNKKAIPYQYTNQWISVREYKRLG -IQVGCDDGVKLPFYVNGVPDTLFAALWDTVCKYRYDAGFGRINAVSSTKISYTLSTEPTAVPRTIAIIDH -LISEEMMKKNHFDTVASSLTGHSFSLSGIAEGIRKRYLRDYSAQNIETLQQARAQLLEFNCNNVDVNKLH -EYEDLGILNTVCLQSKHEVAKFLGLKGKWDGSKFRNDLLLVVFTIIGGGWMMWDYFSRCTQEGVITQGKK -RMTQKLKFRDAYDRKVGREVYADDYTMEHTFGEAYTKKGKEKGSHKTKGMGRKTRNFIHMYGVEPENYTT -IRFVDPLTGFTMDENPRVDIRIVQDEMGEVRQKLINHGELESELIRHKPGIQAYFLGKGTEEALKVDLTP -HRPTLLCAHSNNIAGYPERENELRQTGLPQRIKLSDVPAPNEEVGVESKSTYKGVRDYSGVSTLICRIVN -SSDGCTETIFGIGYGSYIITNGHLFKRNNGTLTVKTWHGEYIVPNTTQLRIHFIEGKDAILIRMPKDFPP -FAQRNCFRSPKKEERVCMIGTNFQEKSLRSTVSESSMIVPEGKGSFWVHWISTQDGDCGLPLVSVNDGHI -VGFHGLTSNTTSRNFFIPFIDNFKEKYLDCAESLEWNKHWLWQPDKIAWGSLNLIDNQPKEEFKIAKLIT -DLFSGDVATQSKQESWLWSAMDGNLVACGKAESALVTKHVVKGKCNYFQQYLSSDANAANFFKPLMGAYL -PSKLNREAFKKDFFKYNKPVVVGEVDFDAFEQAVDGVKMMMIEFGFSECKFVTDPEEIFDSLNMKASVGA -QYKGKKQEYFATMDEFDRERLVYLSCERLFNGQKGLWNGSLKAELRPLEKVEANKTRTFTAAPIDTLLGA -KVCVDDFNNQFYNFNLQCPWTVGMTKFYGGWDKLMRSLPDGWIYCHADGSQFDSSLTPLLLNAVLDLRIF -FMEDWWVGQEMLENLYAEIVFTPILTPDGTVVKKFRGNNSGQPSTVVDNTLMVVISVYYSCIKAGWSERD -VQERLVFFANGDDIILAVREDDVKILDTFTSSFGELGLNYDFSERTKKREELWFMSHQAKLVDNLYIPKL -EQERIVSILEWDRSKELLHRTEAICAAMIEAWGYPELLQEIRKFYLWLLQKDEFKELASLGKAPYIAETA -LKKLYTDEQASEKELQRYLQGILETYEECETEDVMLQSGRGQAELDAGQDKDKGRDNTAQPTQQKTSKQK -EAKETERDVAASSSGQLVPRLQKISKKMNLPMISGKVILDLNHLIEYKPAQIDLYNTRASRAQLNKWYAA -IQEEYELDDDKMRVVMNGFMVWCIENGTSPDVNGVWTMMDGDEQVEFPLKPIVENAKPTLRQIMHHFSDA -AEAYIEMRNSEGFYMPRYGLLRNLRDKSLARYAFDFYEVTSKTSDRAREAIAQMKAAALSNVNTRMFGLD -GNVATTSENTERHTATDVNQNMHSLLGMRHGQ ->ABG74927.1 polyprotein [Beet mosaic virus] -MAAMMHFGQFPSDIPLRAATCCIKKHSPLVTKEMMASFVMPTESSSVARPIIYSSAATDSYEKAQRAFEA -SFREKYSGKLEAMKYGKVVKKGGLTYVKRAGPQAIARGVEMDAAIEKFNTAFNAGELEDVTLEGDITAGI -SVTRGESVWLRSVFWSRSLKKQARKKTPKLVAKSDFDDLFNKVLKVASLGNIPVEIVGKKANKTLRCGYK -RVDTSTIPYFHLPHHNSNYICRELHPQRIRWLVPLLVRHRKIRDRFNDSMITRGWSGLILPKNIASTCGR -RYDEVIVRGRLYGRVEDARTKLPAGDVGRTMHYSSGEERFFAGWKEGFEKLVPAQKEHVCKIVQDNKFCG -KLAASIVQIAFPCHKMACDVCRNKFNEMTPEAYSELIEKHIDQRMSEINEAVAQFPGLKQVVSNFRSKHT -VNNNMKDNLEVAKLTQGHKANQMMQLAKINSILIKGNTATPDEMGDASGLLLEITRWFNNHLSIVDKGSL -RAFRNKRSNKALVNPSLLCDNQRDKNGNFIWGERGYHSKRFFASYFDEVTPGDGYKEYIIRKGPQGQRKL -AIGNLIVSFDLEKTRQALKGEEVEKLPLSNSCISKRNGNYVYTSCCVTLDDGTPLYSNIKNPTKRHLIVG -TSGDPKIVDLPATDTDKMYIAKEGYCYLNIFLAMLINVNENEAKAFTKMVRDIIIPMLGTWPTMQDLATA -CFMMTAFFPETSSAELPRILVDHTNQTMHVIDSFGSLTTGYHVLKAGTAAQLIDFASTELDGEMKWYRVG -GHGLPVKEKMISALITSIFRPKKLVQLIEEDPYVLIMAMSSPRLIINLFNNGALELAAKHWISRDKNVSA -IFAMLMDLSTEMSKAELLIEQHRMINECAKRIHDTQNYLDEVGPHQQEVRTFLALISDELEVDKELHKTG -FANFSERFHSLTEKMYVDALEEEWRGLSLLDKFSYATFVCKHKPRSTPVLPPRKSEDIDAKFVISPSWFV -GKTREHLNGGRKYVTSRITQFTSYIKRATLDKAMRIMCSCLKDLAYFMNVALVTHLLISMIAAVYKMLND -HRIAKHRLRILEMQAVDHTIYCLYDTWKTVHNREPTSKEFRQYIATINKDLLKYLPEEEGKAEVEYQANK -VYEKKLEKAVALMALFTMIFDTEKSGAVFSILRNIKSVFSTLGEEVKYQSLDEIQSIEDEKKLTIDFDLD -TEITAEHTTMDVQFEKWWDKQLGQNRVVPHYRVGGTFIEFTRHTAASVCNTICASSEQEFVVRGAVGSGK -STGLPSHLSRKGRVLLLEPTRPLAENVCKQLRKEPFHLSPTLRMRGLTTFGSSNISVMTSGYALHFHANN -PQRLEEFDFIMIDESHTMDSSTMAFYCLLREYEFKGKILKVSATPPGRECEFKTQHDVLIKIEESLSYNS -FITAQGTGSNADVVQNGDNILVYVPSYNDVDQLSKGLMEKGYLVTKVDGRTMKMGNVEIPTKGTPSKKHF -IVATNIIENGVTLDIDVVVDFGLKVVAELDSDSRCMRYKKVSISYGERLQRLGRVGRVKQGTALRIGHTE -TGMTEIPVAIATEAAFICFAYNLPVMTHNVTSSLLSRCTNRQARTMMQYELSPFFMVELVHFNGCVHPQI -ESKLKAYKLRDSETQLSTLAIPNSGISRWKTVGEYKKLGVRIEADDNVRVPFAANGVPDRLYADLWETIQ -QHKSDAGFGRLTSACASKISYTLTTQPNAIPRTLAIIEHLLREEQQKKAYFESLNDTLCATSFSLAGMVN -NIRRRYLKDHSAHNINVLQNAKSQLNEFNSKAVDPERIGDLMGYGILDTVQYQSATDIQKRLKTESRWNG -SLAATDLLIAGTVFAGGCWMLWEYAKSGNEIVQYQGKRRQMQKLKFRNARDNKVGREVYSDDGTIEHLFG -AAYTERGKRKGNNSTKGMGTKTRRFVHMYGFDPTEYSFVRFVDPLTGYSKDESVQTDIALVQSEIGEYRQ -KCMEDDDELIDFIKQKPGIQAYFMKNGSDKALQVDLTPHIPLLSCAKTATIAGFPERESELRQTGTPIVV -SKNVVPGEHTEVVREEGKSIVKGLRNYNPISSIVCRLTNDSNGNAQTLYGIGFGPLIITNSHLFKANNGT -LFIRSHQGEFTVQNTTQLQVHHVKDKDMILIRMPKDFPPFPMKLKFRAPHSEERACLVGSRFQQKSLSSE -VSDSTLIRPTDSGSGYWKHWVSTKEGDCGLPMVALKDGSVIGIHGLTSVRSELNYFVPFTDDFQSKYLSN -IDSLEWVKHWRHTPDKVAWNGMTLRENGPASEFSVSKLIADLTHGYFDEVVEQGYSSKWVANRLDGNLKA -VASSSSQLVTKHVVKGPCVLFQEFLATHEEAARYFVPRMGEYGPSRLNKEAFLKDFLKYAGPITVGVVNT -DSFEDAVASVINMLEDLDYGECAYVTDPDSIFDSLNMKAAVGALYKGKKKEYFEQLNSAERENLLRLSCE -RLYEGKMGVWNGSLKAELRPKEKLEQNKTRTFTAAPIDTLLGGKVCVDDFNNRFYSLNLKGPWSVGMTKF -YGGWNELLQKLPDGWIYCDADGSQFDSSLTPYLINAVVQIREHFMEDWEIGRTMLRNFYTEIVYTPILTP -DGTIVKKFKGNNSGQPSTVVDNTLMVILAMHYAMHQQCWREEEMKEKIRFFANGDDLLIAIHPSKEKFLD -VLSEYFHELGLKYDFSSRSTVRETLWFMSHRGLYHDDMYIPKLEEERIVSILEWDRSNEATHRAEAICAA -MIEAWGYPELLKYIREFYLWMMHHECYRDLVRDGKLPYIAETALRKLYTDKSVDEGELVKYWKALAPEEE -DGPDIVTYQGDEKPSKSSQPQSSSPQVPQQVDAGASSQGKDKQSVIKHDSTKSKDVGQSSTAVPRLKQIS -KMRMPVSKGRQVLALDHLLDYKPEQVDLSNTRATREQFDNWYEAVMKEYDVSDSQMGVIMNGLMVWCIEN -GTSPNLSGDWVMMDGEEQVSFPLKPIIENAKPSFRQIMHHFSDAAEAYIEMRNRERPYMPRYGAQRNLRD -RTLARYAFDFYEVTSRTTDRAREAHFQMKAAALASVSNKLFGLDGSVATTSEDTERHTATDVNAHMHHMM -GVRQG diff --git a/seq/clusters_seq/cluster_120 b/seq/clusters_seq/cluster_120 deleted file mode 100644 index 58d9b55..0000000 --- a/seq/clusters_seq/cluster_120 +++ /dev/null @@ -1,592 +0,0 @@ ->YP_009342454.1 RNA-dependent RNA polymerase [Wuhan house centipede virus 6] -MSQMLFNTCDVVKSTFLMMRTYPKTVLAITVTSYGLVKLIKHHAKLYNQQNPFEYIPSEETNWLLVRQVR -NVLKHQYAAVKYQMMTVGGLYQTNVKQLSEEIEKTIIRKPDLRPTLVPNGDSIDIIPVNSMHSHPASAQF -RSSANSYLNDCVVRAGYRPYNISKSRRDIEDGCRYFYHSKDLSIKYSNDKIEDQSVFIMTDVDYYVDMPR -WLKLFRPIVMYTLSPTKLSCSGPNDKAEYRFNIDGNVVHYHVSGGGEYTHQLWNYTGDTVTCVDDDGNLL -TFDIEQRKVKGDEQHRLIWLLPRSKVTNPLWHYLRLDWENNLLKRKDMTLKGFNYLLEPIEDNLSIGLIG -TKYSVEIPGKLYEAIRIRLAEKEATVFVSDVERMLKEAKHQSYVTDAPILFKCFAVDVVFDKNVVKTSNF -AVTYQAIPRHGALSTEDGNNPGQTTTSPLTSNPALFASKGYNADKACIEGRIHKVANNKKWSKKYHDYAR -EFIDRLVPKRYRGTGTPLSIGEVDLRQEKVAQRGRFKQVAPMMSLDAGNAIKAFIKTETYASAKPPRNIS -TMSPELTIQMSAYTLVFANILKTHDWYCPGKKPRDIIKRLAHVMKAEETEDVEEGDYTCLDGTQSEEYAR -NLLLPAYMQYLAPEHRTMFKDLYKKVYKQHATTSTGVSYDPGYTVRSGSPITTQAGTLANAFNVYSALRN -MGYVPDEAFDMIGAIFGDDSCNPNYKGQFHLFIEQVAKDLGMIYKSNLRPRGEPLLFLGRYFVDPPTTDD -SYADPLRTIGKLHASTNKSVTKEQAAANKALGYLSTDRLTPIIGTWAARVIQLTKIRTMKGGTGEEQYKC -SNAWPQKDETRIRECMATTLGITTAELIELDKKIRSVDGLDHFPVIFDTAYKHTQVAVVDGDLVCTDLHQ -DIEPIHDESEPKASCSGIQSPSSSHRSCSAKATERPKPGFTERSFKTSGRRATGKGHPNRIRPNTRKSNR -VDPRDDVPRTDGRRIN - ->YP_009337883.1 RNA-dependent RNA polymerase [Hubei orthoptera virus 4] -MTKQSNYIQHDVLPRDGQDPSPWAMVAKHMFLRVYHIIWFIVYLVCNCGFPTVRETSEWVEAVITRPKNL -RHLFLNTEVMSIFPCRTSHSHPTSAMYRTAVNNFMNTLATRAGMEPFNVSKSPTDGGKGTRYFYGAKDLA -TPYVDDKVGNNDVIIMCDVDYYTDMTKWLSYFRPILMYTFVPTSAAARRKDYAYRLIDDHVEFHVAGGSS -YRHKLWNYKGDYVSTVDDSGNLLVFHLEQRNIIGDPDHRYIVLTPLAKIPSPFHWFLPKFEPIRRHSFLQ -DGTSVLYEPINDTLSLAPNGAWHSVDILGTQYSAIKQRLLNKSAPPVMSDIERLLRASGDENAVTNAPLI -FNLMHLNFHKNVVLTNGVVSSFQPLGSLATEDGKPSGKQLTPPLVSEPAVFPTKGVNADEATIKGRITSV -ANPVVPPRKYKDYANEFTNCIVKRAGTGSPLSVDEVRKLQPNPQQKARFNKVEATLTTTPQNDLKAFIKS -EAYGNVTDPRNITTMSPELTVMLSGFTYAFKQDCLKNQKWYGPGKTPTQTLKALAALSDLSDEWLTVDYS -RLDGTVSEFLQRSVVMATYLKWVAEEHKAELKHELEAIFIKSGRTANGVKFNPGYGTRSGSPITTDGNTM -ICAYVVYCCFRELGYKPKEAFDSIGLVYGDDGAFPALPGLKEKLEIVSKQLGLKIKLDVSKVGEPLPYLG -RFFVDPKTCKDSFQDPIRTISKLHLTANKTVTPEQALANKAHGYLATDSKTPIIGTWAAKVIELTGRKTK -GLLREEAFKLSNAWPQANKSLITEAMAKVLDLQLSELIALDQKINQVTALDQLPVLLETVRETKIPAVLG -DEVVEPGLHVKSIESKQVKDTKPKDVDAPTTGAADSVPSLDKDSKCSCQTSSITTAGKASNVRGNVRQNG -DGAGRGGRGHGPSARFRSRRGNQHSAKPDLRSGNSNGSPLKSDLNTREKTAERV - ->YP_009337881.1 RNA-dependent RNA polymerase [Hubei noda-like virus 8] -MSRISHFLTGVTYPYGCGRPSPFDVEPRSWNMPYRLAKACCYLAKHNVELLSGQNIRDILSKFKTFYECV -TKLWADGITLECAIETVVSSTNGLYLRKPTLKEIRYVVKLYLEALFLIITVPPLTQVQIASLLFDSIASY -YGIKAPANRHSFFVEVTSDEPVLDTRRELMLDVVPIRRTMSNHSHPSSAAERSSVHAAIVNKIVEAGYEP -FDISMSKSAIEQGLSGQRYFYWLKDYKCSVVEHPIRHSSVIVMVDVDYYLDINEYMKYFRPIVIYTLQPL -TLRFVGPEYSFRVDKGEVFYEVRGGAKYSHKIWNYSTHGDTVSVRDWYGNQCVFSVEKQLVGKPDEGSSR -HIIYLNPIRRIPWFCITEKDMPLVRHDYTLSTRRPLVETPSITTTTTEKKPDGTVKTITNKTKQKESVIE -KLGNSLWDSFNYGVSEGFNYILDPIDNYIELAESNSFTSMRLTIPEFESFLTRAEIKPLKPGDIELQLKK -RYSEKKGEAMPYEEIVKTPIIAKILSNVGKTVKGNTTPTNYIQMKQSTTVETANLDSQVFTVEGKDIFFE -SKLPGQVITSCLVRIPAVLPSRTRNNNQASIMGRISELHNDVVPTVLNHLRFNSAATEFVRLMVPEIGHG -VEYSIDQVVAKQDKCAQRQRINEIINTFSAEPTNRLTTFIKNEAYASVNDPRNITTMSSNFTLIASKITY -AFKDAVLKQHKWYGPGKTPSESVDLLRNICANGACLTDYSRYDGRVSKWLQNRIAKAAYLRWASPGDTKE -NVNHMFKEIFRLTGRTADGELYYAGHGTRSGSPITTDANTMINAYIAFCALLYLGYDSKTSFALLGLYAG -DDGVTPYIPGIETALYKVCTALGMVVKLEVSPIGEEINYLGRIFPNILVSDISYQDIKRTLPKLHISTNQ -QVKREVAAVNKALGYLTTDAITPIIGSWAKRVLDLNPDVACRNFLSEELFKIENGAWPQPTQPEDLDLIV -ESICKHLDMTPSELECFDEAIRTSDTLCTHLDYFDIVWENEVEHKRPAYLNGEIVGTGQPSYTVGLTPAT -NINQCQINQLITPPPPSKIKKEKEKKKNASNKKKQQSSGSISPEPVAVPSTSEDFPQIATHSRSGTGSIS -SSSDKQTTSSSPKRERKLSPKSTKKRSEKSKASRTLSTPST - ->YP_009337879.1 RNA-dependent RNA polymerase [Hubei noda-like virus 9] -MKYQHEFLVRFTNIAYIIGCLASFTHRYRVIFRNKSAGKDFQTWCRDNRFRLCCYWDRQFAFMGGYCVIF -QPIILSLCVFYNLFVCFLVFSQVIMEIACCMVVSFAMDNGLDCIDECSYFIDLFTVEHFGADRDLRSLFD -IKRLTSFKPSPHHTHGISAAERTGASRTIREAIMNAGYKPYAVSAASMDQEMGLDGNRSYYWAKDFKPKY -QADEITSDHAIMMIDVDYYCDLYYYMCQFRPILMYTLQPNFVSYRSKDYAYYIQNNKIHYDVSGGGKYSH -EVWDYDSDTISIVDSFGFNCVYHVVSKTIENPDCPGSGRRLVSLVPLRRVAPFCYKPPGQTLKRKTYTYN -GVNIIHDLVAGTVSMCENGTYESVQLSTLEYTALRSIAIRKEKELFKGDVQSILRRRYEVGTAPPYKEFE -LQTPIIAHLLSKVLDLPINAIATSGIPVKREATAYTALGPDTLNENRFPGQLITSQLASASAILPAKNNN -NSCASVVGRLTNVRNTVVPSRIYGSYADEFSKLVVPDALMRKGMPVDLDIVKEHQKRPLQKARIQATEHV -QSTDPDNRLTTFIKNEPYASANHPRNITTMAPEFTLALSAWTYAFKEDCLKHLKSYGPGKTPLQSAKLLR -DICQNGAVLTDYTRFDGTISEWLQRYVVKRIYQRWSSDPALTNSLFDKIFKQSGVTREGKRFSAFYGTRS -GSPTTTDGNTLINMYMCYCALRKLGYNPTQAFSLLGIYAGDDGVSRRIPGFDEQLKIVVVDLGLSVKLEV -TDPGASINYLGRIFPDILASLSSHQDMTRTLPKLHISTNQMVTRDQAAYNKAAGYYVTDKLTPILGSWAI -RVMAITNCGNVYNETREEEYKRINEAWPQEVRDRQLLAQSVAEQLGLTTPDVLAFDKEIMDVDSLFEFPV -IWDNDIEDKINAQIGDDVVIVSNRDSVLNETELRPNNNAIPTTNTNTRRRDRRERNPHNQRPSTSASDNW -RSDTAGTNEAGVSRSVSENAPGSRNDRSATSPLPRRPRNRRAAILQHSDDTPKPTNAAGLRPTKGRSRPH -VPTSRVRSSPVQK - ->YP_009337425.1 hypothetical protein 1 [Wenzhou bivalvia virus 3] -MDCICADQDNVSAALTQGMFIGVNYGSPRSDGIFTHARKTFQDALVNLFRHPDIDEGAQKIKRMSKMIML -PSFAIGGGMLFYTICKLAGTYARMYLPRRTLPEPTARLDVTFGKICLPKVTVIDTDQHLKCWFWNGVFNW -YWRVDCWFNHQAASILRLLHLVSNERHLWFIKGLNVRLMNSAYEDLRSLFIHRGNGFDYHKRPIMKRRLL -DVEPIFAKVGGAHTHPEAASERNAMGPYFKRLAAMMGRDLFMYSLSNADIKADTRGNKTMYWPKDLLVPH -TAALPKETDMIGVVDCDWYLDMNDLLTRYVVTWAIYGLNPTEPGGKVKNGDFTFINNVLHYSITGGANYK -HELWDWNRETICASRYEDGEWYAAVYMVEQKTISDHRVVVLLEPIFKCKGLAAQLLIEQSHTPNAQMGRL -VRMKPQPNPDVNYNIIECLKRTDDKAGGNVVRTVSVSPVGSRKSATVTAEVFAAMRATVLSSKQPITAAA -LGSHMGTGPNVRRDAEMFRLYFQQTEKDANPESLVISNENACKGYTFNPQHSIQEINSAAMKQKCKAVCS -PFVGSPALTPMSSLASDQVGVANRITKLQHNTELKGDQFLYKVMGEFAELIVPEHKHHQLKPKYVEDVFV -QQDRPSQRNTLHRGDNYGDYMRHDIIETFQKNEVYGKLADPRTISQVDPRTKLAYSMFYMVISEEILKPQ -PWYTFGKTPKEMAERVAYIATGADSVVMGDFSRMDATISNLGRHLTTSIMMRAFHPSYHEELQTLLQRQC -HRTCFTRFGVKYTSGTSRLSGSPETSGHNTIENAFIAYLALRMTKDEDGNWIERDRAYRILCTRTEFGGD -DSIMFSLGPGITEETYCKAASKMGLKATCQVLQRWDLGVNFLARFFSRHVWAGSPNSTCDIRRQATKLHT -TTQMDGDPYDVLDRKMLSYALTDPNTPLIGDLATTWCRLRGIPLPTRALDPDLTRVKDVSWWAALYDKDV -QFPNDNEVDNFHIDYLREAYPDIEYVAFREWLDDVKTPEEFMRSPPWTAIEEIKPHPDEDVLIIDGDDAY -IIDSEKTVEEEKHGTSSLDVDSPKPVSVRNRPKRDPKHAQASNLGKQTKPPGRVVRAHTTKLPPSHGKTP -TWKPVSLSGRVALGSRSFSGRGRRPPSNGQRPTAGKGRSAPGKVSDSA - ->YP_009337377.1 hypothetical protein [Sanxia water strider virus 16] -MSTNVNDNQDDVPRTSKISNLFHKTRPSKYRVTPQKPGVIKRFARKLHYEFIKKSVRKVSKAIENRIVRS -ADLRRVIDHKIRDIPLLRSSIGHTHPNCAGERTAVTLFMQELAKQCAYDPYTVSMSSPDQLNAEEGSRYF -FWAKDFAQEMRDDPIKANSLLIFTDVDFHVDMNKYLKMFKPMLIYTIVPTSAGHREEEFEYFFKDNKIHY -KVSGGSDYCHQIWDYKGDVVTVVDKNKNLLCYHITQHALKSSPHRRVISILPFASVPYPYYENIPQQPLV -RKTYNSNGVSFIDSTLDDSISLALEGSIESVRIRRKMLEAIKIRMGTSNVLEKPGDVEFMLYKTSGDKAD -VAIDSCLLFEILKRQAVMTNNVVATTSIATHYQPVGPLVHEEVKDPSQLITSPLVTKPALFPAQTYNAEV -AAISGRVDKPRNDVKMPLAYQNYGREFAKLMIPDNKIHQGRPITIAEVKHLQNKPMQRARTREIEHLIGV -EPRNKLRSFIKNEAYSAPNDPRVITTNAAALTLRMSAFTYAAKEDFLKKCPFYGPGKAPREIHDRMREFG -EEGFVITDYNRFDGSISRALQQLVNKIYLRWLNDGSDADEWAAMFRQVFIQRAFTKKGYAYDPGYGTRSG -SPITTDGNTMINAFISYCALRDMGFPVEEAWSKLGLYTGDDGLNRNIPGMAAAMTAAVAAMGLNIDIAQT -LPDESITFAGRTFPRPMTSYTSHQDIKRTLPKLHVSSNKSVTPEIAAYNRAAGYYVTDARTPLVGTWARK -VLVNCKKIKLVEDNAENLEALLANSMTSEERFKIANGSWPQDNPELIRQSVADILNITNNELNQLELQIQ -AAEDLHKLPVVWDNTDEFKPKLTSLVNGELLRPHVKSEPCKTESKPTNNSSTPQPQTGSIPKAAKTSSGK -STKSAPKPKPSNKKAQASSQAQTKTKLTASPNSSGPASSTSKSRAPNRNDNATSAPNAKPNVAEPSPPPK -RKKTQLQEVTELLKKLNPSLTDHQVKTLIENNNPRQERY - ->YP_009337232.1 hypothetical protein 1 [Sanxia water strider virus 17] -MPINIRTPVTASPEQSSSHTPFFCWKVFNRVQRVTPKPKTSVATAEYRPGKVNLMQKTRDRFFKMWNGND -LPKRSKYASNRRSLPPIDFRKMLHHHLKSIPKIPMASNHTHPNAASLRTSVAVELEKIVINAGFRPYSVS -MSKRDQYDGCRYYFMDKDLDKAFRDDRVTEEHVLLMIDVDYYCDINQYLQLGNPIMIYTFVPTEAGGRAL -DASYTINNNVVSYCVKGGATYHHELWDYQGDNVVVKDKHGNTLVYVIEQHIIEEDPNRRVIGFYPIASYP -KHTCPFKPESYGFGRLRPSSMGVNCIRNVTDDIISVAVQDSANAVTIPQSIYDALVVRRGESKNPVIADV -ERILNAEHIEHSAIKAPVLFKLLQSSTTSCGVITSSATIGQAKNFQTLFPLATEDGKPVGRAVAPSLVTD -PAFVPCKSFNNDNATIQGRVNKVRNNNQSPPAWKTYDAELVKFIVPHNKNATGVPFDYERVIELQNKPAQ -RGRSEQAKATVSNDYVNKVKAFIKAEAYASVTDPRNISTVDVSHQLSYSRFTLPFKIDCLKDQKWFASSM -TPKEISDRVMEICQYPHGIIVSDYSRLDGHVSAADKAFKEAVYQSWCAHAYRAELSKILAADRNPKGVTA -QGLKYDPGYSQLSGSPGTTNDNNLVTLRHDYIALRELGNTPKQAWQLVQQWVLGASDDRIRANIPGLAQK -LEEVATKLGHQLKSIILEPLAGCPVPFLGRIYASPATHNDSVQDPERTLAKLHLTMSPPTITPLQALYNR -AYGYYVTDCKTPIIGTWCRRVIEILETQGMELRQATGEEDYRIKSGPYPQENEELLRNLMCQLLDLTADE -LDSIERAINSATTVEDLPEAILDNGHTIRHKINAAVGHDILGPVPSVSSETSEPKVEEEPKCPSVPIISE -TSASPEMIGSDASNPISASPSPPPATATNISHPTAGTRKPSINKQSSRQLKQSSPRSQQTKHQSVNLTPR -THHTFNECTRKDACRYHRNGATKPKQTTAPRK - ->YP_009336769.1 hypothetical protein [Hubei diptera virus 16] -MFSQRKVNSLCGSLQNAAVRYKWSVAGLTAAYVAYQLAKRSHLLCSVLSKNINQGVREKFNAEFHVQATA -PRDESHSHPESARNRTDAEYSIDLFARSIGLEPYAVSTSARNTHDGQRLYYTAKDACLPYKCDPVRDYHL -LTFVDVDYYADMAKWLWTGRPALVYTFCPTSAGGVIPEGTFHIQDDELFVVYSGGARYNHKLWDYNVDHL -VVKGRLFSLLVKVDQVVLPSDKQRRIVLLTPTTWYPSMYNGLLRTRNELRRRKFSYGPVNVLTYIDRTRD -GEAAKTNLMVSVSLAGCPAHATVDYATFCAIMARFLHTKNPNIADIERMLRAKGVEDAASMAPIIYEAWN -NGWCKRNNDEKQVGAGEARGKAPHFQHIGPLAWEDGEDIGVQVFDPIVTGAGIFPASSENNDTAAIVGRV -ENVRNTVNPGGKYRKYFNEFIRAFPIGVLEPYSMDYVIEKQNRPLQKVRCQKHKNLIGQAITVVRSFMKR -EAYGKITDPRNISTLPPSHTMELSAYTYAAKEEVFRTMPWFMPCKNPREISAAVMELAEKHKEILSTDYS -RLDGTLSYFLRTVEHAVYKRLFNPKYRPRLLKLLDSEMTCPAFTDTGLKYQQKSSRLSGSPTTTDGNTLI -VAFVLYCAARNARMIKPGEIMNIPGLVYGDDTLCGGLRASVMERTARDLGLTLKCEVSKECQPVTFLSRV -FVDPWTTSTSVQEPKRALGKMHLSVIRNIDKRLVARNKAKGYLATDKLTPLMSEYATHILEKTSMFTDDM -MTKYDFTRDTNFWVYEYGENEAWPQTLCDLELMESVVAKHLDLTVDQLRTKCDEVKRGNWTPIHFDMEVP -PNVIVRHEPRPEQQQSGRRRNRTQRSV - ->YP_009336545.1 hypothetical protein [Beihai sphaeromadae virus 2] -MFNFLIKLIFKFFYLLTYPIRVLRCRNYYATVEVGSHIPDPFDWSLGFFSFTWALLKLANCYKEIHKLSA -LISSYSYRFRLHPDQTLRRLLRDLELGTPSTNKPGHSHPFSSAYRSFANKTIDETILRYGYQPYSISSSI -RDNGKGNRFYYTEKDFGLPYQNDTLEEDSIITMVDVDYYCDMNAYLSVGKPILLYTIIPESVAFQGPDYA -FTIKDDEIRHQVNGGAKYSHRLWDYERDTVSVLTPDDTLIVYDVERRKLPGDPHHQLVLLDPVVAVPAPF -WIHVEPHPLTRMKFHIGNGVNSIMDPVRDIVSCGIAGKFVCADIPVKTYEMVKSMFVESPKPVVGTLERP -LFNKELMDAPEKASILYQCFKRTVDSPHEHASTSEARSYQVLGPLTHENPKPAGRQVHTPLVDHPDLYPS -DSFNNDLASVKGRVLKTLNRKEPSTKYKTYKKEFIQFLVPDNIKGKGVPLTYEEVDNLQRGRLQRNRFKQ -AIEGVLSHAKCKIKAFVKKEPMAGIKDPRNISTVPIEHNIILSTFTYAFKLGVLFKQRWFAPGLIPADIA -RRVQEVAKNGCICRDFSRFDGHVSKFLDSVPDEAMVQWTAKQYRGQLVDRLQREKASRGITRNGFLYDPE -YSRRSGSPCTTNSNTVITAFLGYCAARESGFNPKTSYRKLGLYCGDDGVDDPTIPIAAHQGVSEDVGMKL -KAFKCQPGEPVEFCSRVFIDPRSSLDSYQCVERQLRKSHLSLQPPNITDSEACHYKYSSFVLTDAKTPIL -GSLARKMVEINTPVAQHKKPKAERAYVGGISIVAGPWPQEDEGAIRRVFLQQTAWSPDMIARAEEEISKI -KCLNFEFTPLPVEQESSLPGQLNTGDVLVDYKAVVAKRRNNATKDHSDSSEKTTPPKEDQATGSYGNLQD -TRGVRRSEPIRRHPGHHKDFSRSKQAPKFSRTRSSNDVRQIQGETSRLQMDGNVLGCTQRRRSRTILPTR -RRIGSSNQPLGHDVQNGRVRKKWHHHLREETNAVMDCPNRQHPESARRLHSRSGPNTRSRRGRSNVLELS -RHPRHTSHGDHVPLRGSDGSSRLHQSRAGRQTPQSGFRRPDEGSHSSHAGTRRRTQGRVSRRSGLNLLPS -LTVWNTNTRSRRLETAV - ->YP_009336491.1 hypothetical protein [Shuangao insect virus 10] -MKLFTPRNNHSHPTAAMYRSGVNAFMTRLVTSAGFIPYQVSMSATDKCDGTRLFYGQKDLAIPYKHDSVK -DQHVIIMTDVDYYTDINKWLSYGRPILMYTMVPKTVVGRTQDYAYRIVNGRLEMHVAGGSTYTHELWDYQ -GDTVSIVAEDGKNNEHVICFHLEQRDIPGDPDHKFIVFTPYAYIPAPYYYVCIEPTPVRRKCFGDTINYL -FEPITNTLSISENGSWNSVELDATLYSAIQQRLLQKTTPTLIVDVERHLRAAEHPRPAVAAPLVYQLMNC -TIDKNVVMTKGCITTYHPLGTLVNEDGKPSGSQVCTPMLTEAGVFPSNSVASDEATVRGRIDKVRNNVVP -PRKYNVWRNDFITTIVKHPGTGGPIGTGDVAEVQNKPMQRARFVKSECTLTTTPINELKSFVKVEPYTSI -NDPRNITTMIPELTTELSAYSIPFKDEVLKDLPWYGPGKTPIQAVEKLQEMAMSDKPWIVTDFSRMDGTI -SEWMQHFLTEIMLRWVRDEYKDMLRYLLLQVLIMKGRTKHGIKYQPGWGNRSGSPVTTILNTLVSILIVY -MALRSLGQNHNKAWSMIQFYCLKYGDDGVDILCVEGLDDAITSVAEDLGLKIKIEVIPMGCTIPYLGRYF -VDPSSMPDSFQDPLRTIDKLHLSSNTQVTARQAQFNKASGYITTDRLTPIIGSYCKVVLDSYAGMSVRGE -TSEERYKMSNAWPQYESHKNHITQSMAELLKIEYSELKRLDEMVSNVTNPEEFPVLFEKTMKVNLPAIYG -EVIVEQGEHYDQDDIQSAAVRPQSQPASDQTSGGTTDNSQGHAEQVARPKSKRRPNRGRCSNTKEQRGGA -SPNKANPKPNARSAKQRVARGSRRQV - ->YP_009330108.1 hypothetical protein 1 [Beihai noda-like virus 5] -MLSRLYHVVEEEVFEPWAKALARPVLHVAHPVVTAAYDVTDQVSRWLGPGYGTCPPLSPAEEVVAFIRSC -WPDLEQIDYALLIGCRLVLLIAGAVILFNLQRKVLRTISITNPVRQYVIELSKIKQYPDDLRKKFRNLNV -LKYAPSLNGHSHGVCAAFRAAADDTINTFIQENGFEVYSVGMSAKDMDLGFEGYRPMVEDKDMVMGFKCS -PVRDNHIIKMVDVDYYVDLLYWLSFGRPVIIYTFQPSAVAGMTDDASFTIKDDVVHFSVSGGARWQHRVW -DYSADCVYVPSYWNSLYSYVCFCESIQVSHDRRIVGLFPRTKMFSPMESFREINPLQRMRYTYGRFNVLR -VENKGKVHYSIGYNGSAVSVDINADDFEATRIRLENSKKPVISDVERILRASSHPQPVLAAAILKEFLDK -TPQLPRQRVVSVGQPKNERGYQSLTPLVTEDGKNKVKEIRGAICKGAVHPVSSYNNDVRCVDKRIVAVAN -NTVFPQRYQRYACAFVKLLIPSDQVERGFPLALSDVFDRQDRPSQRAGFEQNHTTFFSTDNRIKAFQKSE -AYANVNDPRNISTTTVDSRVRYSSFTLPLAEHIKKFEWYAFGRTPKVWTEMFHVMASNSEWILATDYSRF -DGTISKSFHTLLRLPILRRFFSPEYHPEVLKLAEQQRNCPASTAHGVTYNSGYGTVSGSADTSLFNTIFN -AFVAFVSICETRMRSLSCDMAMTGKPFMELVEGAFEELERSCLFGGDDGILCDCEAGELESAAEKMGLKL -KLDVYHYGPVPFLGRWFVNPWVSGECMADVQRQVRKFGLTGSNLEEKKALVAKALGYLATDTNTPLISHW -SRAIIRACGDLQVQPESWWARMANPDEVVFQTSDSDEAKALAAEGCGIQVSELDEICDIIDGWNDLDQFE -LLLDLDAEVKYPVVLDGSVVGEVEETVSITSDNTSRDSEISSTRDSLKTSQSAPVRRRPSRRKQSNPVRS -DTTVGDRVVIASTKVEPTALGAGPAEPVNSAKLVERAKFSSGPE - ->NP_620109.1 protein A [Pariacoto virus] -MEEHIPLPSQYESPKALPPRVPSSRWLRSLRPRLANSCLALKIRAHESLVKIRLCKPYDAQSRSKIIEKV -IERRETRKTLAHQLKDLKLVPVARDHTHGRAAKFRTSANIWMNEAMRAAGYEPYNVSMSNHDIERGNRYF -YFAKDLTIPYRNDPVSDNTGFVFCDVDYYADMEKWMQHFKPMLLYTLVPESLSYHCDDHSFHVNDDRVFF -DVRGGASYSHQLWDYTGDTICVRGKNKELLVFTIEQKCIQGDPHRRIIFLEPAARVAWPFYKPMKVEVGL -KRKCMTAGQVNVLYEPIDDKISLSASGSRHTVETTGRTLAAITARMKNKTSPPMVADVERILRDAGDKEA -CVNAPILFELIPEAKFRVNVVKTTATPTHFQPLGPLRTEDGETCGHAVTTTLATAPALLPMRGVNSDVAT -VNGRVKKPANTVIPFKEYKEYASEFVEFLVPEPGVGHPWDTAAVREVQDNRQQKARINMVAATVSTHSSN -RLKAFIKAEAYAATNDPRNITTMAPELTLMMSCFTYAFKEKILYEQPWYGPGKTPKQVGRRLQSIAKHGT -LESDYSRFDGSISEWLQKNVVKAAYMRFFKEHQRTEFQSWFSKVFMQMGTTTAGVRYEAGWGTRSGSPIT -TDGNTMLNAFVVYCCYRKLCHTPAEAWRKLSQGALLTGDDAVLAHENGLEPALLDVVKNLGLKVEAKVNG -PDDPVSFCGRIYPRLSDCITSFQDPLRTIPKLHLTTNKGVSPEQAAANRAHGYLATDKATPIIGTWARRV -IELTGDLKVKGATREEQYKLSNAHQQLDPSLIETAMANILGIDVGELKALDKAVSEAKALDQMPVVLGNC -YKHKIEAVVGGEVVGPGPRVETVEPNHEQSSGTPEVVPEMAGHSERRDKSSNPRPGGKAEGLSSKAGKPR -VPTRPAADRKAAAGSGNRRGPTNGRRPIRDRAPRGGGRPNPGTTPPVSNSETTTTTAVVHASA - ->sp|Q3KSM3.1|RDRP_PRV RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=RNA replicase -MSSVIKTIVVKTLQCTVKCVCVGVRQQYGLLRRHPKTIACALVGGIIAHDVLHLYAAVYRVENPWEFVPT -EGPRGVIVLRVYNALRFTAAKWRWNCWTIFGKFPFNSEQFSRIMETSTERKPDLRPLLVPAHETIEVYPC -NNIHSHPRSAEFRSSANEYLVRSVRRAGYPPYVVSSSKRDFCDGNRFFYCPKDFGMQFRNDPISNNHALV -FTDVDYYANMNRWLQLFLPTCLYTLVPTRLNYSNDEFAYRFENNEIVYNVTGGGEYRHSLWDYKGDTVTV -VDEYGNLLIFDIEQRRVQGDEQHRLIWLLPKAKITDPLWIVGPTEWYDKLLVRKTVQQGRLKILWEPIAD -DLSIGLHGSNYSVSLKGELFEAIRTRISCKDSTPYVSDVERMLREAKHKNFLTDAPILYHCFQDDVIIRP -NVVKTGSFPVTYNAIPKKAPSVTEDPKMPGQVVTTPLVSQPALFAGKGFNADKACIEGRIEKVRNVTKFP -IKYVRYAAEFVKLVIPETIAGTGVPNSIGMIREEQDKVAQRARFDRVAPIMSTQTENSIKAFIKTEMYAA -AKAPRNISTMAPEITIQSSAYSLPMAKIFKKIPWYCPGKNPKEITLRLAEVCLQDPDHELEEGDYTCMDG -TQSPDYSDLLLLPIYMRYFAKQYRGQFKNLYNQIYKQRATTSSGVPYNPEMTIRSGSSITTHAGTLNNAF -NIYAALRDMGYDETTAWDKVGAVFGDDSLNANHQGEFHSYIEHVTKVLGMRYKSNLRERGQPVLFLGRYF -VDPITSYDSFADPMRTIGKLHATANKNVSVEQGAANKAHGYITTDSKTPIIGAWARRVLEITKKKFKNGT -GEEQYRCSNAWPQKDEAAIRAAMAAVLEVDEAQLIAQNEAVLKVNSLDQFPVVFDTYYDHKQLAEVDGQL -VGTDLHIKEEDERQPETSIDSVPSTGPPPSNGDPNAGGKPTDGPSKGKRKVKGRRPRTAGDPATLRLTDA -GSSRRVKPPRKLRPEAKRGGRPIETLVFKNSSLS - ->APG76091.1 hypothetical protein 1 [Hubei noda-like virus 11] -MFKNYKMNTKLSPEEHVHALNPGSARSGGSASSRCKQTDAGLTVPLTKCGPVRFGKSPTLKRWGRLLTKK -WIGSDLVKRSELASKHRRAPPDYKELLNRRLVSVDVFKANSKHTHPSAGALRTATAAAMEDVIVDAGFTP -YSVSMSKRDRYDGCRYYYMAKDLDKDFRNDLLTDKHVLMFIDVDYYCDINEYLRYGLPMLLYTFVPTEAA -GKALDCRYHFVDNLVNYSVSGGATYTHPLWKYEGDSILVRGIYGNMILYNIEQHVLSADPTRRVVGFYPT -AYFPRHTCSRRADPPIGRFKPCNRGVTIVRNIVGGTVSIAPPNGTSSATIPEMVFDALRTRRKYSKNPAI -SDVERILVSQRIEQAALLAPLLFDVLEAVGGDTVVNTAAIPVHGFQTTKGLVHEDGKTVGIATTPPIVTA -PAVVPVKSFNNDVATIAGRVTACINTAKTPTSWHGYDNELLQFLIPTPGLGVPITVEEVNAKQTKPAQKG -RAAIVAASLTNGYKNTVKAFIKAEAYNAPTDPRNISTVDTAHQILYSTFTYPFKDNVLKKYPWFASSMTP -TEIAARVKHMMSYPDGVIVSDYSRLDGHISDDDKRFKEKAYMRWCHPSYKVQLKEILQKDRPAKGSTANG -VAYEPGTSQLSGSPGTTNDNNLVTLRHDYIGLRQLGQTPKVAWKNLNDWVLGASDDRLRANIPGYAKVLE -EVAAKLGHKLKSDVLHPRDGDLITFLGRVYVNPACDTTMQDPLRTLPKLHLSMAPTGTSLEQAAFNRATG -YMVTDAKTPIIGAYCRAVLRILKESHPDLVYKSGVEDYRVTHGPYPQEDVESLLTAMCRLLDLSVDEVRA -IESSLDSAKTLDEIGEVKWGNDHLFKPKIESVVAGEILQPDLPPSDLKCPSQATTTETCATPATLGTPSS -ADTSDKQCKAPSPNTSTSTSTKRSQRRSKRKKSKKPSKHSKTSSDGTVVVTAEVHSTPPRNPSPKENDPQ -KAECPSQPHSSPARKKGKGKRRPQKAPAERPGNV ->ADK97710.1 unknown [Tetnovirus 2] -MQLQNYHLKRVYAPNPLQVVVHKLLPRSAIRPEKVIVRYKLSKMDYLRHIRLAPPTQEANKLHPHPMSAE -LRRRALQAVRYMCKQLNKQMHVISPGPNESLLADASTRYHYWARDLMVAESNHPLTHDSLLYLQDVDYYT -DMNYILGAGLPVVLYTIIPRKAASRKQEYAYRFENGQFLFTSNGGTAFSHLLWDYDLDTCAIERSPQTWL -SWFLGPRERMVTFFEKTEVYLGMDRYLVFFIPRYRVSGWTYDKMNLGISRLVRRSVTSNGVTIWPDRATP -GLLSLALQGEFNYQTISIKREMLETVRLKMNLIGDTFKSSTHAEISRYLVNNKVVPVEESALAATILCRL -FGKIDIQLGPDWYSTGLDDIIRTGGQRLHPPLLNHPALLPNRSAKVEQITIDERIVGPQNVKNPHQYRRF -AEEFVEMSIPEPNVGVPYDLTEVMERQHKPMQKLRHRTRGPWVVIPQYEMVTPDGKVPITIDIQAFQKVE -PYANPKPARNISTTPSNHQYILSTFTLSMKETLKQHAWFIPSMTPSEVQASIERLRLKPHLICRDFSSFD -ATVSRWLYAKVVRPMAQRWVHPDFYQDFIGSIDAEQDAIGYTQHGLQYPIASTRVTGSPTTSDHNTIINA -FASYCVLRKLGQNRKTAFANLGMYYGDDSIDYFPVDKIAIHDEVMKELGLKAKTEVVYPDRPTPFLGRYF -VEGGSFCDPDRNLAKLHLSASSLPRDVAAMNKAMGYWSSDSKTPIIGEWAKCLIRLAASHGVKFDATRMQ -SDEYWRYNQNWPQTVDLVKHFTQVSQFTLENIRDFENTFRHATDFDWDILIENLPWTYRADAVVNGDMHN -DITDVTLKTNARSRLKIPKGRLGNLCFLDAIVQSASLPYEDGDDLYRRLWIRNVNIPNPGDKFELDHIDA -VAKHLDLDLYLSYSDETLHWPGSTFCCVKLDFQSEHYTPHTGVGKYIADYPSSVVGSPIFDTHANKPTTS -TIDATRTISAASGTTTDSTTTTTSTTEVPDSQRTLQIVSTPTGSSVSSEKSSNSRKRRRHRKPRNVPSSD -TGDSVFEEWVRSRRNNMDTNSDGTLRQIPIDTSRVGMATGAPRNADGWSDLHVLRPNNDNGDQQYPSGER -KSLRKPETSLPPSHPVSERARPTKSAKTVGLVSGQYTRCHGDSGGSGNAAHPGHPSHSCYGEGGCRNPFC -TVRDRIDEPNSPIAPRRESGTTSVRANRIHAVRRVATTKGNKHTDGQATPKSHGVNIRGKRSQGQKYQQS -KSSQHVTRAQPKTLPLRFSMEPGSASFTTIPGRAGGILTIVDRQTVSKATGAHRWTRTGSSPRPQQTNRP -ANRNIRAVQASGGKPPPVRDKTTLPKRVTNKR ->AIO11151.1 protein A [Mosinovirus] -MKTQYLRQDIFPPKQERSVFQTICALPSLVGRKVVWLYNVVHFMCIVAINFGLPDVRVCSEYVEAVISRP -VKLRHLFLTNDTIRVFPMRKSHSHPKAAAFRTATNIYMGDLARTAGYVPYNVSKSPTDSGDGTRYFYGVK -DLAAKYIDTPVNDNHVLIFCDVDYYTDLNKWMKYFQPMIMYTFSPNTCVGRCEDYSWRFVDNQVEYHVAG -GSSYRHELWNFTGDTVCSYADNGDLLVYLLEQRNIENDPNHRFVVFTPCARIPSPFHWFLREVPRLQRKE -VTVSRDVGWWSATSEKFSLLYDPISDMLSIAKNGAWQSVDTTGRIYEAIKQRLDNKTAPAVVSDVERLLR -AAGDKEAATNAPLLYNMFGLTIRHNVVKTTNVPTHYSPIGSLATEDGKPSGAQITGPLVSEPALFPTKGV -NSDEATIRGRVDKVRNNITPRRAYKDYAHEFVDLIVRKPCVGSPLAVGDVQKRQATAQQRARFKMVEATL -TTTPQNRLKAFIKSEAYGSVNDPRNITTMAPELTVMLSAYTLAFKEQVLKMFSWYGPGCNPTETVKRLAK -LATSKLNWLCTDYSRLDGSVSEFLQKQVVYPCYMKWVAPEYRDEMKHLLDQVFIQRARTAEQVEYDPGYG -TRSGSPITTDGNTIISAFVVYCANRNLGLTPKQSFGRLGLKYGDDGADSDYPGLSDAIELAAKALGLTVK -LETVELGKPVPYLGRYFVDPATSKDSFQDPMRTLPKLHLTANRGVSAEQAAANKAHGYLATDAKTPIIGN -WARRVIELTGLKPKGLLREETHRMSNAWPQRDASAIAESMAFVMGMQVSDLQVLSDSCNTVPALDQFAPL -IETSRDVKISAVVSGDLVEPEPRVIAEPAPSDDRKSAATSGKLRPLANKSIGRRAVTSSTARVKAARVRG -QVPTTRNGTEQGQQRGRRLNRPRSRVHANRSSTGNASGREAPHRRSEVTV ->APG76338.1 hypothetical protein [Hubei noda-like virus 15] -MEFTLCSGGSAILRNGAWEITAKEVSRDRELRWWCLGVGAVIGGVAAYSMVKQTSAWRRFMTWTKDKVRI -KAGGDLPKQFRDKFKQTKPSTYSSNHPHPASASLRTNAGHDIDSWITLQGYETYEVSGTSRGTNQGIDLD -YTLRDATRSYKNDKLHAKHIIKMVDVDYYVDLPGYLRKGNPVIMYTFVPTRASGTVPDGQFTIINNKVHY -NVNGGGKFEHELWDYSDDYVRIDTRWGFWVCRIDQMQYQEDPSRRVVLITPVVWLPGLWRSLYGESKTLE -RCKFSTMVDGMEWTIMQRTLSTGLIEKSIAQSGMYYCISIEEKLLASMLAKLAVAKHVHISDVERILRSN -EYRQYALDAPFYLAYAVAERPKVVVSDVGYVPPKDHYYPTGTIVEPGLPVGTRLFDPIVSVPAVFPARGE -LQDENTVRGRIIAPTNNVVPTGVARHWVNDFVKLFPAGQHSPMTISEVHVIQNKHRQQVRSALEMCHGAE -AMRTRPFMKTETYGEPKDARNITSVTTHHTLALSGYTYVFKEDVLKKQAWYMPCRTPNEIATAVQTFCQG -YDSVINCDFSRFDGSLSSTLRDMEAAIYKRYFSGSEELNDMLKQERNCSTSTQYGVKYNTGHSRLSGSPL -TTDGNTIISAFVAYCCARDAGMDIKKLDDIPCLAYGDDLMVHGVTDPNVRKVSQMFGLKIVADEVRCGQP -LTFLGRVFPDPWVTETSFQDIKRTIAKLHLSVMKDIPTEIAVKNKALGYLATDSLTPLLSNICQWMLRNH -PVEDAQWTRYVERFHDEMAKDAPYWATCDGSWPQHERDRKIMRICIADELGITVSTLRSYENRFKTATLD -LNFVIELPENAPPTTTVVVHREEPVIEEAAITSQPALTDTAIRVGPREQPRRPRRNGPPSVASA ->APG76295.1 hypothetical protein 1 [Beihai noda-like virus 10] -MHSHKLDRVRDNLLKPLYWVVARKRRHDLAKAGILTRTPKSVSLRVKERITGWAFTEAGLSQRAYRTGLT -KNQFINALRPTVEPFTGRLAKTHDHPQSAALRNAAGTALAVMCRELGFSQHAIQAAECEARGAVTQTNRY -FWLRDVVREHSNEAPASNACITMVDVDYYMDMPALLASGLPVVVYTMIPEKAADRCEDYTYRFEGDTLIA -DSGGGVVYRHKLWDYTVDNVTAANKRGVTCYDVFRLRLAPNRYIVGFVPRVRVPMAACLNTLSYPPELKR -RVVERGDVTCVSSIADPTKVSLALQAPQAYTSIAIRVELLYLLERRLSTLHERQRAIDVSDTARWLESMR -LFRGEEAQVAAHIMVSYCSGKRVKVSEVGLDSFVPEGDGDGKAPGVAFAPPLVEQPALAAVRAPAQDITT -VKERIIAPSNTKKVPVGYGKYAVEFVNLLVPQDKAHTGVPLDLREVIEHQIKPMQKMRNQRHGPWQVCPD -MPVARLAVKAFQKAEPYGKPKPARNISSLPVDHTLALSGYLLSMKREVLSKHEWFGPGRDCAEITDLVAR -ITAPGDVVARDFSNFDATISEWVFKHVVLPSCKRWVSLRHIDTLTSLLTRETNAIASTKFGVRYEPGFGR -LSGSPTTSDHNTIVNAFVSYCGFRNAGRSVRHSWSSLGIYYGDDSLESYPPSLDPSHQAAASDLGFDVKT -ARVDGPIPFLGRYFVGHASFADPWRTMAKLHASYSNSDGAPIHFANRIAGYAVTDSQTPIIGPWVIRALE -LLRDAGHVPKMDRMCHDDWWRFTRAWPQDGNLRDSFLAVTGMSGAEMEHYEKLIRDAQTVESFPTIPATS -AAGFREREGRDGMFVRSEPVPGAPKMIEARVETHGNQEQSNETAAGTPEQGRTTFGESAATTPTNGFDGT -QVPLSDGRDRDSSQDSGKNRQRNPVKGGGGPRRRRTNQNLRRTQRTVAERVAGQPGQALRQVPVHPDAAD -LATSNQPNVRRERRSLVRSRPITNQAGELLQRQRTLQSPHSARGRPRRNNGRATPTQAAHVVHSSTGQRL -QRQPGRDRNRLERHTVELDPSSHSIHAGTSMDRVPVGTVEPVRVMGHDGVERTVHQEALRRRRQRRRQRQ -ADARRALKTEPAVTTE ->AMO03241.1 putative polymerase, partial [Craigmillar Park virus] -QNRKIIIANTKWKITLNALYEALLSSNFTYLLEMSRPVETYKTVGSGNLIKGLRNLFPCCTPVKDRLYWS -KKCDLRKINVNKSFRDAFTRRFVYGSEQVHSSSHSHAAAASDRKVAELSIRNFITQEGYEPYAVSPSNRD -HQVGIDCNRLFYSPKDLDQPFTMTTINKNHVLYMVDVDYYADMNYWLLHGNPILLYTMVPTSVGGTVPDG -SYRFENDKLCLTMNGGARYEHSLWDYNLDFFVVTDHVKQWSVMVKVDQLVSPLDSQRRIVCLTPTVWYPT -KYNRPLFGTVPILSRFRVLFGDVNIMINQISETDKNGVRSKVTICAGFNGTPASLVMRYDLFIGLCKRLS -LDKHPAVSTVERYLNVDKIPDAGILAPIVFDAFSNGWFSSQYSKIKTVTHAGIARTTAPHFQVLEPLLND -EGRHIGIQISKPIVIGAGIFPMESFNNDTAAITGRVDRVRNKKEPNNYLRKLADEFIKSFPIGVANPISE -SDVIEAQNRPMQKVRSEQNKHWLKDSFIVKAFMKKESYPKATDPRNISTCPPAHTIKLSRYTYAIKEVLK -QYPWFIPSKTPTQIVDILREFVHESPEILETDYSRLDGTISEFLRSIERGVYLRICAVESRPLLSQLLEA -EYNCKAWTATGVKYDPGFSRLSGSPLTTDGNTLITAFVVYAAARRQNLLTAHDITNIPTMAYGDDGITKK -VTAKNMELTAKQLGLTLKCEVRPKGKPVTFLARVFVDPWSTETSLQDPARSLGKIHLSAIKNAPDEVVAV -NKANGYLTTDPLTPILSQYCRYICTKHSKVTEELIKKYKLTSENWWVDNYTDSWPQHDYDTDLMRDVVGR -ALKLSPAEVLQYENSVLSGKWDVIHLPLQATLPVLKSNAAGDTFIERPVPKDSISV ->ADI48250.1 putative RdRp [Bat guano associated nodavirus GF-4n] -MGRDTLSLLLRGTALFPACCIRMRRGTKMWIVAGGIAVFGAYYVYEQRRGLMNWLKWVGDCVVSHFSMRL -HHTRRHLFNTRFYYHPAREIPGHSHPSAASIRNGAVFSMDNYASITGATFYTVSYSDRDRSRGQRLYYQP -RDYCLEARNCDMRPTDIIRMVDVDYYVDMHYWLGLGHPVLLYTFVPTRAGGKTFDGSYSFSGNRVKYRVN -GGATYEHQLWDYNHDFITVDKWTGVWVYSVDQKITTDPERRVVQLTPRCFVPKPLSWFVPRNPLRRLNVL -HESDWSVLTSQDSSGTQYISIAKDASTYAVTMPRELYEAIKIRHDTSGNARNISDIERMLLKHGFEDPHI -KAAILYDCISQVRIPTTAPIVTACGMASHYQTLKPLIMETGKLYARSVGPPIAPEAVFPVVSYNNDVACI -EGRVEKVRNNTIPSGIYNQFAREFLEQMIPEDEVGKGVAWTVAQVIEKQSKPLQRVRSENARQWLGFAKM -FVRSFQKREAYGNVTDPRNISTCPTDHVLRLSKYTYPFKYSILAKLPWYAPGLVPVEIVERLQRICDITD -VLMLTDFSRLDGSVSSWMRVHLEQAAYLRWFGPDDELRRLLENDARAKAVTAHDLRYDPGSSRLSGSPLT -TDGNTIMCAFVSFAAYRRAGFSPEQSIRMAALVYGDDTVVANVEPELLLSVAKDLGLTMKLDQAVRGQPV -RFLGRLFCDPWTTTTSMQDPRRTLPKIHTSVTNPQEVPAKLALVWKAVGYLVTDRFTPLISTYCSTVIRC -WGANQDWDLSNVPRACLADLPWFVQDIDSRNNTWPQSSADIELMFKVMADDLHVQVSELKAADDAIARAG -SLEDWGVVRRSLPRFDVLVPKVRIPAVTDVATVQARLQAPEPQAPVDEDEEWREFNERFLRLRDPEAPPV -VASATDGRDVPNEPIEVVHARRKRRRGRRVAAGDGGAAPLEPIT ->AII82234.1 hypothetical protein, partial [Fesavirus 4] -MSLSKLNRYALLLVVSVPTLATGIYAIRYWWHWYWCNPSIFRNPRVNQPPIPYWLRFALGWCGWRFDMEA -YIHRLTYTRSDMAPHLKLFAKLTSSRADEPHPAQAKRDAMVAIKHLADHFGLQLHCVSPGPSEFSSADAF -TRFHHWFKDATIPESKDPLTPNTLLYIQDADYYAPMPEILATGLPVAVYTFIPHHAAFKSEEYSYRCEDG -KIYYNTKKGDRFVHELWDYDFDSITIQRARGFFSDKWIVHYEKIQIYLGCERYLILLVPRFILARRVARC -NITQKIEALVRRPLGSKGVTVVPNRDKPGLLSLAAQGEYCYDTIDLPREVMETIRSRVSVMGEKFKSSTI -SEISRFLLNADVLDKEHANYAATLLARLYCKEFSIQGPDWYGHYGTNDIKTGGQRLHAPVLACPALLPTR -AYETELFTVEERVVGPRNRKVPNEYLLYADEFVKLCIPNPHKGVPKELLEVLELQGKPRQISRSTTHGPW -TQIPTYYKGTIPNPVIVSAFQKVEPYRDAKPARNISQTPIDHQLYLSGFTLAMKEALKHHHWFGPSHTPE -QTQELVEMMRAKSKTTLLCRDFSSFDATISRWLFFHVVKPMCSRWVHPTHAGRFLAAIEAEQNTIGFTQH -GLAFPLGTSRLTGSPDTSDHNTIVNAFASYVVFREARYSPTRAFAQLGLYYGDDSIDILDEAHDKLHNRV -MKTLGLKAKILRVDRLKPTPYLGRFFVEGGSFCDPDRNLAKLHISANSQVPVEEAALNKAMGYIVSDHDT -PIVGAWARAVLRITGKMGFRLHVEKMLSEEFWKLTRSWPQDADITSAFIEVTDVTLDDIRVVEEAYSNAT -SFDQFPDQVISLAPWKGRSDAIINGDPSTSGPIVKPPKPPKVRKQLKMKPGSIGNLCFYDALVESGLPYD -SGDQLYRHLWVQGVAVQDPGDMVEDQHIEAIAKEMGLDLYINISTGGCYHFGHGERLSVLNLDVRARHYT -VGSIIQEPIVSFPGEGIFELVGMTNPNNATEAKDSTAQTDKPKTIPAAIPRYACAIATAQTPHRKPKNRS -LESDGSGEQPSNARQRRREWYQRYLLSKYDRYSDKSSRTNKSQSSLATHSHGTVRQVSPDKGESPLGSGS -TTGSDSRSSSALLRPKHDDTNIKHTRTERTAVRKPKPKVPPSHKDLSDLFDYEAINKAPMVSSRGYRFKC -DPGSHSPPPHSRHSAYLGNRKDISRHPVDGLHNGARQPNSSSSSSSVNVGPSPTSSERHSPAPSTNRHER -PVKSDKPKSQRNSSGRNPNPDETRSTSPDPTKSPTKSDGRTSKSDKPKSKPDVSAKNRSSNGLNGGKARH -STRGEGREVVAKGGPLRVVLREPNFPALKCMRDHITFFEGHKEIVCHFIDPEFPDNGKCDRYGSDAEAYR -SDYIKIQTYRRKFAAFQRKLSEAKKSGADVQVRPDSRKPVDAIKRERLRRQRSPDFDKSRAIARTIARFT -KLPHRESDTKPSDLPDNKVFEEDTRPWGLCPRGLVS ->APG76402.1 hypothetical protein [Hubei noda-like virus 6] -MSQINQNVEEYVPKRPPRLSTTKYILRILTKIVLTIVGYYVLRCLFRKDNIRHLSKNIEKHIIRNKDLRR -ILDHPFVKLPLVRMAGNHSHKDDACLRTSANIHMSRIVVEAGFTPYTVSMSSHDSGHGCRYFYMVKDLNI -PYKDDEISDNNVLLFTDVDYYCDMNLWLRHFKPIIMYTMVPTKAAHRNLDHSYYIQDNEIHHQVRGGASY -CHKVWDYDCDTLAIIDDDGNLLTFHVSQHILDADPDRRIITILPSTCVPAGYHEHLKYQNGLKRREYTKD -GVNVVFNHITDEMSLSKNGSSHSVEINGSLYSAICSRLQNKTTPPVIADIERLMSANGVKNSSIQAPLLY -ELVVQKEFHPTVVPTNVLPCCFEPIGKLATEDMKNPGREFSNPLVPEPSMFAARGTNSDEACIVGRVESV -SNDKTPGRSIKQFATEFVELLVPKAGIGAPWSVADVREAQNKPQQRQRYLKTEASLSTISTNTLTSFQKS -EPYTSSNDPRNITTCSPELTTMASCYVYAFKKDILKRQPWYGPGKTPDKQARRLRLVTKDGCLSSDISRL -DGRMSRFLQSIYKRSMMRWLKHEYRNEYHHWHKQIYTQSATTSNGRRYKPGFSTRSGSPITTDTNTLGGN -AFIDYCALRSLGHSPKEAWELLGLYVGDDGARNRIPGLEQAILQVATEVGLKYEIDVCHENQPIKFCGRI -YPSIQTSLSSYQDLKRTIPKLHLSSNKNVTDEQAAVNKASGYVVTDKNTPIVGDWAKKVLSLSSLRPKGL -THEETFKIDNGSFDQTDVDVIFDDCCRELQLTSTEMKHLQEQISKVKALDEFPQLLDIHFPGKLDAVIGD -VIAYQAPLKTEESLCQIRKPQTKSSSKPLTRTGGSKVPPRFVTTWRYKPRKQLDLHSRVRKSTNGTQLKS -DHDWRNSSKSSTVTQCPTPTRTKQGKQAN ->APG76177.1 hypothetical protein 1 [Beihai noda-like virus 1] -MSEPFEPNDPLHVKFRKYPFEIPRNKDEAVKTIKAFADKAAITTHAFQAGGVERDVCKTYSLRHYWPEDI -DRGEEKFVTPLDELGDLALMCDVDYYVEWDETPIELYNRPILIYTLDVAKPGYNDKLRRWSFNSQDEIEM -LVGARRYRHKLWNYHDKSYVQLKFSPGADVCSKIADSINKMLHRAKSLMYRVDVRNLPNTVYKLVLLTPV -PLPPRNCLKELLGLQRLPKAEKIERLKVSCIGANHHWCITRRFRQGNDELWFCLEGDTQSYYIPWTIYLV -YRSIKQLMQGTIQDAWRKEVKKEIQPIHLNAVNLFLKDVCDVETIIPTVVLYDYGTVDPRHTKGGVAALP -IAPLLPAPAAPLNTRENLAVAVQERIIGIRPEVELTDQLRRYIDWFVRAVDPPAATPLSDEELMDKCTPT -QRGTLIRSDACLTENLPVSGFLKMEASKADGKLDPRVITHVDNKTKLEYLKYIHGFKTCVSSKYCCFASG -KDPVSIANRVKSICGREGAVLETDFSRMDGRKSAIGRYLYSSLLHHAFLKTSEDHARRAVELHESTVGMK -VKSDHGLSWTNGFALGSGSPDTTDNNSWETLFVLFYSRLKEENFNQDRAYEWALESTIISGDDSLAVGLS -QVDFEAGARELGHSPKSATKNDDETFSFLARHYGGKRLVLEDIQPYSCQDPRCLLKISCSTSISINSTQK -NLESKKVDNLVERACAYLLSDPETPILSQYCRFIINTYSEDPYMYYDKFGLIKLEYCDHTSWNYNLSMTS -RYPNARKEWMLKVYGKAFEGFGISDLETALDGCKTKDDLIAISTQIHNVLDVDLSEAYPKVDLVYMTSEG -QIDVKHGKQKIPVTVEDPLLPSRENFPAKLRRLATTPVCEASTSAQELARPSSGGNQQEHGKESKDKCKA -QKRPDAAKKSKRKHPKNAISRPKNGARAVPVQLPPRGKDRTPVLT ->AKA58518.1 RNA-dependent RNA polymerase, partial [Lunovirus] -MGESHRIILLLPYVKIKTKYAPLFNPNYLERKNYTIDGVNIVYDSLTDNMSLAMNDSYHCVAIKGRRYEA -LRVKLASKKIAEFTVGDVEQYLYDETQKVENQPSESIKITAALLYECFQTNGSLPMITNIVKTSSVPTVY -APMGPLNHQEPIAPCQILTNPLVTFPALFPAKTINSELAAINGRITKVANTTVPPRIYSKYARDFVDWLV -DKPHNGTPLTQEEVLDNLERPLQKARAKTVAHRLGVFAQNRLKTFNKAEAYKTPSDPRIITTMETASTLE -FSRFTDAFKQQVLKNQDWYGPGKNPAESILILRKITTDGSIETDFTRFDGSVSKFLQHHIVFDAYTRWVN -TSEKDLVLSYLRQILKKTGTTSSGLKYKAGWGTRSGSKITTDGNTMINCFVTYAALRNKGYIHTDAVKLL -GIYAGDDGINRALPGMCESIESTCADLGLDVKIEVHGRNQPMTYLSRVFPAIQTHDDSLQDPKRTLVKIH -LSPNKSVTREQAATNKAFGYMTTDSKTPIIGDYCKKILELTNLTAKGMTNEEQHKAGCGAWPQNDVTLIS -DMFCKLMN ->APG76502.1 hypothetical protein 1 [Hubei noda-like virus 4] -MKVLVFPTLTGSSGAWSWRYAGEHRFIISSAGRERVVAGLREARSRAWLGPFVQDVSVVARDDELAPMCT -VRYSLPYFTIHGLPLANPVTESEDAACLYHPDTDKVELCWIGSATSYHISANAYRSAQARYRLATTKAYG -VVAVALQPATKDEAVVAMAMSLIKSEVHFSAGRLPDINNMPLYVGGYPEDARPMGVEPVSNVVYAVETFG -TGAVMISIESERDTIDRRIAQPRSLFCGDAMIDAYAKEFLDYVCGGASLLPVDADRVERQMDRPSQKSNR -RAVDTIMDILPAGLKQLFTKREAVPVGKPARNICTVSPQRLYRAARFMIAASDYMHKFVWWVWGHGSGAT -SRKYRESCASHPTMMESDFSKFDASLGPFWLEFNREFMFRLFSGHVAEIDELLRDSEWQQVSTTLRQRFS -YGCGRFSGVNETALFNTIDQAFVQYCTFRRCGSSHAEAVELLEKSLFGGDDGIVPYVGQDLPGTAAVFGM -KVTYRIFESHSPCRFLGRIYLCGAESTDSVHDISDWAANVHLVSRAGNISIAQALVNTATGMWVTDSKTP -IISDFCKSVFRAYPHLSRNIHRNDEWWFSHYDLDDPFTLEDYCDGDAIVAFFASVMGVDVNDLYALRTWF -RENDFHVGARLPVLEVQFKPKINGAFQIFGIPFGAPTEPPALPRISFKEVETIMKDLPDLSEASPASDWM -SQLEPMGDAEKAVTKALFGDDDCFNCGMDGHRAADCPNKQRCRACGGVGHVAKRCTADAEKAAARRLADE -IASEMGLGDPPPARGRARRKGKRG ->APG76528.1 hypothetical protein 1 [Hubei noda-like virus 7] -MEPGLLLSFRAATADFFLLLMLCSGATMLLLVARLAYHAIRWAVLFIRVIQLRTCFSREAYSALVEEYGT -RLPDLRPSLKVRDFPLFASPKGHTHGDAAAERSAVNAAMAQKVREIGRTPYHIAMSRADQDRGDDGLRHF -YWDKDLKTEYRNDEIREDHVLICTDVDYYLDMEKYLSYGLPVLMYTVVPQQAAHRSKDQCYHIKDNEICY -EVAGGGRYQHPLWNYDTDTIRASANTVRGPLAWAWALFSGVFGESIIFDVEQKIVVGNGSDHRLISLIPT -FRMPTFLARFTPGNQILSRREYTKNGVNTVYEPVAGTISMALNGSRDEVTLPSRVLRAVTARLASKTTAY -TVGDIEVFLQDQFPNTSKIEASLLYEILQQSGLSIDYRPNIIASGALITNYRPMASRPLEAEKSVGAAVT -SPLTAEPALFASRCYDSDTVAVAGRVTKVANTVVPPPVYKSWADEFVKLLVPKFGCGTPYDLDTVIQKQD -RPTQRDRTARALHALGLGGRNRLKTFIKAEPYASVTDPRIITTCATDLTIGMSRFTYAFKDTILKRMPWY -GPGKTPTGVAKRLATICSGPVVETDYSRFDGTISEWLQSVAKAAYMRWFTPSEAAVLDKHYQEVFRSGAV -SQNGLRYAAGVGTRSGSPITTDANTMINAFINYCGYRKIGRSDVEAFKLLGIYAGDDGVSRNEPGFERAL -EEVTREVGLSVKAEVVPPGGRVTFLSRVFPSPSTSLSSHQCVKRTLPKIHLTASTNITREQAAYNRAIGY -LATDRLTPLLSNWCKRVIELSGIKSAKGLTGEDEYKLNASWPQAPEDEQLILDSVARDLGMTTAEVLERA -AVIDAAPNLDAMPIIWNNTREIKIPAMVDGILHSPRGQSENDNQTTGNGRRLPSPSSSVNGARRGPGPNK -HSNGAQATQQGSRPIPQGALKRELRGRPTGNHPNGSQRPRN ->APG76175.1 hypothetical protein 1 [Beihai noda-like virus 9] -MSFNMNLVKFILPHVLVEGPGCLTRLRMIQRGVEEFARETITSKRIKIVIGGVTTVYLCHRAYGYFRDVD -IRAVAFDTRIGNVVYSWITNKLSTDVCPDNRQQVKGMVMRMTNVQLNNHSHPTAALMRRNANMFMQQACM -TLGRRSYNFQLSPTQQRLKSAGFRTIYCAKDLQMAYQNNSLKPEDVIVLTDVDYYVDMNTILRGNPVIIY -TFVPLEVAGNTEDGIYCTHSDNTVETVTNGGAKYRHMLWDYDNDHLVIDTWCGSYIYLIEQIMITNTRRI -IYFNPVRFVPLLLGWILPGRRLRRRQFNKRGVMYSKFTKTNDEGVVELWHSMAYAGDTPACTVRSDTFQA -AYIRLVSNKTPHISDVERYFSTHNVQNTLHAATLFYRIFVKSPEVFGTQPELVTPCVVQRDTHSYQSVDG -LVSEDGKPTMRAIWPGYGNGIAFSPVKSYNNDKASLKGRIEDVKNKRYPIPPIYYSYLHEFVQFMVPSNR -MGTVTPLSYEEIWEQFCKPTQRAKLAPADFTMDTKATVRSFQKVEAYGKLTAPRNISTLPMGHNAALGQF -TIPLMKHIFKMCHWYAFGRHPREVSQNLYMKAQGSASATATDFTKLDGSIQEFFRDGFDMVAFAALAQQY -HAEWLRNSKKERNAKCRTTQGLKYDSDNTILSGSSLTSLLGTFVNALTMYISYRTGYGPEESWARLGEYG -GDDGVSFDLPAAWTKHVTAKLGLHCKTEETAMGEPVSFLGRIYPDIWTSPASMVDVKRQVAKLHLTGSPK -IVPDYLVLFRKAQGYRCTDENTPFLTAWCEAVMRIVQTQHCRDPENHKYWHLTKIDSVYWSKFETPFETF -SDVEHLRGIVAEQFGITAAELMEYERKISNAKTLNDLYLTDVIRIPSKVALKVVVGGEVVEPEVKTDIQA -EILQAQKLKQSLCRFARRGDKCPYENCKFVHTIARVEPKMKVEGEKGKPIKGKPKKKDEKKDLKSSKGET -EKKTKKETNTSAVSSTNFRKPSKEVETKKSVTKKRPAQPKNPQPTNPSQTKTSVLTTVTTPVDKTTDKAS -VAVAHLKATSAN ->APG76311.1 hypothetical protein 1 [Hubei noda-like virus 12] -MNSILTAISKATSDITSDVRGVLTNAIGYPVSYWQQQLHHVEDAIICVIKDTAGGEGSAAVWLCKRIAVY -LPETVVEAKTPLRTAARFFGALRLPRLGRKGLVIGCFIASGVAIGCLLRARTRATVRRITTSSKDYRPRN -SVLGVAYHLAPDAIMLLWNGSNIRMRSNYAAKRRTRPEIDFRHILHHPLRDIRTIRPAVNHTHPLAASLR -TSTAVALEEAIKAAGFKPYSVSMSNRDKYSGCRYFYMMKDLDKDYKDDAIAEDHVIIMIDVDYYVDINEY -LRFGNPVLMYTFVPSSAGGRALNATYTIDENNTVEYTVEGGATYHHMLWDYQGDNVAVRDKYGNTIVYVI -EQHILEADPNRRIIGFYPVAHFPLLTTPYAVDTTGVKRLLPYTGSVICVRNKSAGTISVSSVGSSDAIDI -PQRIYDALLIRRSTSKNPLISDVERILNNEKIEDAATKAPLLFQLLSSGPMKASSTVTSTAVLPVRNFQT -LHPLVYEDGKPVGRAVAPSLVTEPAVVPCKSYNNDHATVDGRIRRIRNTVRTPPEWKKYDTELVNFLVPP -RQVATGTPLDYERVIELQARPAQRGRSEQARATLSSTYINKVKAFIKAEAYPSVTDPRNISTVDQHHQLT -YSRYTYAFKEDCLKGKPWFASSMTPDEIATRVVDICKYPGGVIVSDYSRLDGHVSEDDKRFKEHVYQTWC -THAERATLARVLAADRNPPGITANGLKYDPGFSQLSGSPGTTNDNNLVTLRHDYIALREIGQTPDQAWAS -VQQWVLGASDDRIRANIPGYADMLEIVASKLGHELKSEIKYPLEGEPVAFLGRYYADPATSKDSIQDIIR -TLSKLHLTMSPDSTPERQALFNRASGYLVTDKYTPILGVWCRKVVNLLAQEGYQLKNVDRDEHFRIQQGP -YPQDDPGLLRTLVCKLLDLESGELDAMERCIRAAPTVEELPNGILDNGHTIRHKIQAIVGHDILGPMPSV -TVQECQPQATLSNSANPATIGFDASNPTSGSPPALPNNAMNTTVNTERSLESPTPGLSRVTSPPSSQSLE -QTEHHTVTHHRRNCRNQPNCPEHAPKRQNQKHRRGAAPGATA ->APG76325.1 hypothetical protein [Hubei noda-like virus 1] -MVDIDGREYPEHSADHICHPVYELNHDTTSVQDRAHRHHFVQCPIATDPNNHPIMIDVDYYHGVNALCAL -PRFTALTRLPIRTPTNTITLNADGTITYRSTESSIPVYTHQVWDHLADGERVRLARPRYFWQPSYLYKRT -ILHCDMNTGTLVVSYSRCARTINWYKYAHRLISQTPWLAVTRYILTHAPHTTVRELFVSWKRPLQIREHN -VDRTPLLSRVTVITKGTIRTALVGRHLTVSCDRGTETYTPSDVSRIISYGPEVTLYGVQSSGIGKDIRLT -QYLSKSGVFTQVATLYGVGDGPTKPAPKPETIARQLYDPTVDGLPVVVVPKEKQKALRACLLRNPVFQAR -GARRLSTVPYAKHFLCHVKATPKVNAVLKTITISDIDSVHAEKCYARQYTAPLSNAEALFPLKGLGNTLA -MLVGRVIDPQTRSRRTFNRRVLQVLDRELPKLLKDTVCIEMEAYLNTQKRSAKDRLLKEDLGPETRKCFI -KVEPYGKPNDPRNITTLPDHMRIPLGIAERSLCFANKSVNGYNSEVRGISQTYAGSAYGMNHDEIEVALN -QLWDKYPHLYGVDLSRADGSVHPAILDNFYRHVSKAFPHISREVFMKQVQYKSRSGQVSWTLHEGGAIQS -GSPFTSGFWNLLMPIVWRDVLGEAEDFLCMSDDNVVGAVARPTPERLEEIFNKLGEWGFKATLESRDLSW -TTTAVSFLGRLWIRSPNSDRLLHGQDLSRTLPKLTLAWEKPEHCTVAEAVMDKVTGYMATDCNTPVIGDI -LRALESCYGWAAPFNAHYDPSPSTVYRLLAAGTNTQLWDKHATDAYLSYYEAELPDGYFQSLRSWNPEDV -NSRPPIVTFARARPWEDMEVNDSVVFEIFRGC ->AKH40302.1 putative replicase [Craigies Hill virus] -MSRPRETYRSVGSCNLIKGFKKLFPCCAPVKDRAYLSKKCDARKINVNKSFRXXFTRRFVYGSETLHPSG -HSHARAALDRRLAELSIRNFITQQGYEPYAISPSARDIEAGIDCNRLYYSPKDLDQPYNDTAISANHVLY -MIDVDYYTDMEQWLLKGNPILLYTMVPTSVGGTVPDGSFHFEDDKLCLTMNGGAKYEHLLWDYGLDYFVV -TDHKVGWSVMVKVDQLVSPLDSQRRIVCLTPTTWYPSKYNRPLFGTVPTLSRFKVKFGDVNIMINQTIDK -EVGSKVIICASFNGTPTSLRLRYDLFVGVCKRLSLDKHPAVATVERYLNVDKIPDAGILAPIIFDAYSNG -WFTSKYSKLKSVTYAGIARTAAPHFQALEPLLNDDGRQIGIMISKPIIEGAGIFPCESYNNDMQAIKGRV -EKIRNTTEPSKYLRKLADEFIRSFPNGVAYPISESEVIEEQNKPLQKVRSEQNKHWLRDSFVVKAFMKKE -SYPKATDPRNISTCPPAHTIKLSRFTYAIKETLKQNPWFIPAHTPTQIVDKLREFVTDTSEILETDYSRL -DGTISEFLRMIERGVYLRICNNNDRPLLAQLLNDEYNCKAWTATGAKYDPGFSRLSGSPLTTDGNTLITA -FVVYAAARRQNYLKPFEISRIPTMAYGDDGITKHITAKNLELTAKQLGLTLKCAPRQAGTPVTFLARVFV -DPWSTETSVQDPARSLGKIHLSSIKNAPNEVVAVNKANGYLTTDPLTPILSQYCRHICETNPKVTSEVIK -KYKLNSENWWVENYTDSWPQHDYDIELMRNVVGEALRLSPSEVIQYENDIMKGKWKVITMPMPATIDVQR -SNSAGDIHLERPVKLFDAKSI ->APG76165.1 hypothetical protein 1 [Beihai noda-like virus 6] -MEHYEDKLQPYHIIKLVDVDYYVDMPKLLSKFQPVILYTFVPTKLSGSLPDATFHIEDNQVHTTVNGGAT -YLHSIWDYESDTIQVDYWWGSVIYLVEQRLCDDNRRIIFLNPSRKVFGIFAWLLPGHRLQRKRYLYENVN -MMRTQSTVNNETNVTVHIGMNKCRVSCQLREDIFIATKIRCDLAKDPSISDVERIFRAHGILEPDIAAAI -FIQIYRTNPKILNELTGVQTTASTVDQFSYQTLSPLITEDGKVVGRQLFKPIFDSGFIARKSFNNDTACI -EGRITQPRNTVKHVPPFYNKCRDEFLEHLIPKNLVHTFVPKDEWFVELNQNRPTQRALAERAKPFSFFHK -FVVSSFQKAEVYGKINYPRNISTVPTDHKLRFSGYTYSFAELLKEQPWYAFGLNPRQVTDAICDLVGDEP -FVTTNDFSNYDGSHGEFKTQFDQMLFTRLFAKQYHPELLKLLQSMTGATAFTNHGVRYNTGHTVLSGSAS -TSSCNTVMNALIAYIALRVSGLGPAEAYLNLGLYGGDDNVNRRIDPELHTKVAAKLGYVLKTEKVSRGQP -LPFLGRIFLDPWATRASICDVPRRLRNLHLTIVPKHVPKSIVLRRRAQSYLINDPNTPIITEWANMVLGA -TEEIEGYNNELRVEKPWFCDPENNWTAPSDDEWGFALSYIAYQLQVDPSEIRNFINLLKTCKRLEGPGVT -LTSLTKVSVEAALGHSIVKP ->ADF97523.1 RNA-dependent RNA polymerase [Alphanodavirus HB-2007/CHN] -MFVVKREPCPRRKMGGDTEYRPRASAPIRRCVDFVRKVLCRQDIVKMSKLMHRRKTVVPTDFRKKLHHAM -RNIPLLSAPADHTHPDAARYRTSVACRIEEVILNAGFRPYSVSMSKRDKYDGCRYYFMQRDYDKEFRDDP -ITSGNVIMMIDVDYYVDFNRYLQFGNPVMIYTFVPTSAGGPTTDGTFSIENDHIVYRVSGGATYRHEVWD -HNHDFVSVKDKHGNLLTYVVEQHTCEFDPQRRIIGYYPVTSVPAHCFVKPDTLGVKRLKFTSDNMNSVRD -VVSNTISVACHGSPHSVTIPINLFDAIKIRHDRATKPVIADVERLLQAAEPKIPQASTKAPILFGLLNAK -PSANIGATSSIPPAKTFQTLKPLAAEDGKPCGRAVAPPLTTAPAALPARGVNNDHATIIGRVVKTRNTTL -PPHEWKKYDDELVNHLVPRAGIGVPWSIERVVETQDRPTQRGRSERAMPSLTQNYANKVRAFIKAEPYNN -ITDPRNIFTVDNAHQLTYSTFTYAFKEDVLKHQKWFASAMKPGQICDRLRDICEYRDGVIVSDYSRLDGH -ISQADKNFKRRVYMRWCRVEDRTVLSRVLNQDSNVRGTTSTGVRYDPGTSQLSGSPGTTNDNNLVTLRHD -YIALRELGHSSKESWKLINKWVLGASDDRLRAALPGLGESLERVTGLLGHKMESVHFPHGSAILYLGRHY -PNPQLYRTSMQDPIRTLARLHLTTAPNSTTERDALYHRATGYLVTDRKTPIIGTWCAKVLQLLDKPTSEP -TRDEQYRIDQGPWPQEESEPLKELMCALLNLDASELEDIERLIEIAESVEDLPNGVLNTDHLVKHKIQAA -VGHDLLGPAPPVDEPIPCLTIPKPMKTSSEPETPGSESSSATCDNQPLPPSTSTPTTAPMVSSAASTSKD -SKMPSTRSSIRSAKAKSTRQQHPSVSIPPTDHNDATQNRDPSKGIPLAMLACSRTGETPIRRRGHTRTHA -PQL ->APG76597.1 hypothetical protein 1 [Wenzhou noda-like virus 1] -MMNSVFKLPSVALATELRVGYPAQGVFQPIGKALMKPLCRLSGGVAACMACYYTYRGLRYVYINPSVVSE -WLRSLIGDRLFSRLLHWCSTPLSPDRRKLFRDMTLDSRMAASEQPTHSHPAAAQLRGFANAMMDNFALML -GKPLYSVSCSRSEQDHTAGNRLYHFAKDLQQDYKYDNLSNHSIIKMTDVDYYVDMPKYLKGNDVLLYTFV -PQAVAGTTHNGSYRVLPNNQVETHINGGANYVHPIWDYETDHVIVRHWWGCSFYLVEQKILSKDRRLIYL -NYIRSVYGPLGWILPGFSLRHRQMVFGDMAYVKFMDGEQNPVVKHSFAALHDYTTAVVEDQTLITVRTRL -MHAAKPTMSDVERVLRSQNVEQPDVVAGILYLAMMDPLLSKLLISNPNYPITKFEQGDNVYTPIGKNVLE -DGKPAMRKITEPFMLDAAHPSRSENSDEACLQGRIHNVKNPVKKVPPFYYQCLKEFATFVVPDYLANTLV -PEDYDFIYQSWNRPAQRALLDRVKHVLFMNKPCAVKSFQKAEAYAKVTHPRNISTLPTGHNARLGQYMYV -FSNHAMKNQHWYAFGKHPSEVAATVHAKTAKSTTAIPTDISKLDGSGGPFHTDIELAVLVRAFAEPYKDE -LHALIKREAHINGFTSYGLHYIADNQTLSGSSKTSVRNSLITACVSYIAYRCSGYAPKQAYEALGIYGGD -DGVNYDIDPLLITKVFAKTGLVLKADVVRMGSPVPFLGRYFLDPWTCPHSIADVPRQLRKLHLSASPTTV -PRDVVLKRRAEAILVTDPETPILSDWAKAVLRIVKVTQREADRYAHLTASDISYWSKYAAPFPPSSNKAL -IESVVSEMFNGNLKVLFLIAKLNAATTIEDMTFLVDDTPPKIEVDAALQGVLHKAPKTRTHQQILKANQK -LSVKAKPTPRPNSDVKALAKPGSKTPNPTPGRINFQPKVPSQTKGISTLKAMKVTKISSAKSSVTSSQKQ -PASSTPRAMCRYITAGQPCPNTKCRFRHQ ->APG76388.1 hypothetical protein [Hubei noda-like virus 13] -MCQVIIDEIASLPRCCAYPIPKVGAPPVEKQAIAWGVAAAVVGLGGIYLAKRFWRRGCSKLCDSLKTPIN -PDVRESFHSRFVFSYTGPRESEHSHPTAAALRTDAERSIDDWILQTGKQPYSVSKSARDDCGERLYYVPK -DLDLKCTSDKVGPSSVIRMIDVDYYADMERWLWTGCPVVVYTFAPESVGGSIVDGSFCIDDDHVVASFNG -GAEYRHKLWDYNCDHIVVKGFIYSLLVKVDQVRIPQDPTRRVVLLTPVTWYFSVFNSILFQDVPVLRRRK -FTYGTANVSVYQRRVLIDKAHVTKVFVSVSYVSTPTAVETEFGIFQAIQLRLTNSKHPNISDVERYLEHS -KVASAKALAPILFDAWQGGWVGVKYKQLGKQADTGVARTTLRAPHFQISQGLLSEDGEDYAVVIGEPVVL -GAAVFPRKSRNNDDRAIEGRINLIRNEVTPRGVYRKYAKEFIKAFPLGQYSPWEISDVVAKQSRPAQRMR -NERGISWFGQENFLVKAFMKKESYAKVTDPRNISTCTVSHTVLLSAYTYAAKEEFKKYNWFVPGRTPVQI -AEGVQDFVMPVGWVTENDFSRLDGTISEFLRGVERGVYLRLFKPEFKPQLAKLLDDEIRCRATTATGTKY -DPGWSRLSGSPLTTDSNTLLVGFYFYCAAREAKLIREGDLHAIPALIYGDDSVSKLLTDKLLTRVAKDLG -LSLKCNVVARGKSVQFLARKFVDPWTTTTSIQDPLRALGKIHLSAISDVDKELVLLNKVKGYLVTDPYTP -IMSEYCTYYCDRYKHLEKRLSDSRLERERSFWVAEYGEENGAWPQQSCDVGLMEQEVAKSLEISVNDLRQ -RSARYKVGDFSEPLQRLLRVDVTAAVSDERGNVTLERRAPSA ->APG76090.1 hypothetical protein [Hubei noda-like virus 10] -MIYEIVVQAVNLPLQYPRSSAVVVGVVTGFAYRWLTTKKTQAQLSKDLELCSNRTIRMRDLMIDFSKTFT -KFAASPVHSHGQSAASRSAANTFMHELATQSGFDPYSVSKSATDACGSRLFYFLKDTTMKFQLDEITANS -VLIFTDVDYYADLNRWMEHGLPMLMYTFCPTTMAGKTSEYSWRFVGDECEYTVSGGSSYRHQLWDFKGDV -CSVVDRNTLDLIVFNVEQRKVPGDENHRFVYLTPANRIKPTTSEFWNSVYQKMCVVANGLGWNLDFLPTV -YQFLQQERLERRTFGSGRYLYDPLTDVMSVASDGSHHSVEVPARVYAAIQQRLENKDAPPTVGDVERMLT -VSQVPDPSITAPLLFNHMSCDVRANVIPTKAVAANFDPLGEFATTDARNVGVAASSPLASEPALFATKSR -NADVSSIKGRVTRPTNTVIPSQQYARFAAEFIAAAVDQPGSGVPIDFSDVREAQSKPAQRARYDLDEWLL -SVELPNELKCFIKAEPYANPNDPRNITTMNTNCNVQVSAYTLAMKHALLKRCHWYGPGKTPTETVAALAS -ITRNGAIGSDFSRFDGSVSEWLQRNVMLAFYMKWIHPDYRAHLKKAFMLVFSQTARTQTGLRFSPGWGTR -SGSPPTTDGNTIVNAFVSYCVLRQLGFSVEAAYAALGVYCGDDGLVPNMPGYHRMLEAVCKDLGLSVKAE -TFLTGPYPYLGRYFVDPGTTVNSFQDPLRTIPKLHVVQALGGVSLEQLLTNKASGYAVTDYNTPIIGTWA -RAVMRITRLDPRGLSGEERFKHSQCWPYSARDHQLMVDAMASVMGVSSSELARLDELLLPVDDLDSFPVI -LETEWTPKIPALVGNLVIGQVSGQPSAAPKAPRAKAAKKSNGPKPAGNDRPADGKSAKTRRRNQASGKRG -PKPRPQ ->APG76471.1 RNA-dependent RNA polymerase [Wuhan house centipede virus 6] -MSQMLFNTCDVVKSTYSMMCTYPKTVLAISVASYGITKLIMHHSNLYNQQNPFEYVPSEKTDWLLVRQVR -SALKHQYAAVKYQMMTVGGLYQTNVKQLSEEIEKTIIRKPDLRPILVPNGDSIDIIPVNSMHSHPASAQF -RSSANTYMNDCVVRAGYRPYNVSKSRRDIEDGCRYFYHSKDLSIKYSNDTIDPQSVFIMTDVDYYVDMPR -WLKLFRPIVMYTLSPTKLSCSGPNDKTEYRFNIDDNVVHYHVSGGGEYKHQLWNYTGDTVTCVDDDGNLL -TFDIEQRKVKGDEQHRLIWLLPRSKVTNPLWHYLRLDWENNLLERKNMTLKGFNYLLEPIEDNLSIGLIG -TKYSVEIPGKLYEAIRIRLAEKEATVFVSDVERMLKEAKHPSYVTDAPILFKCFAVDVVFDKNVVKTSNF -AVTYQAIPRHGALSTEDGNNPGQTTTSPLTSNPALFASKGYNADIACIEGRIHKVANNKKWSKKYHDYAR -EFIDRLVPKRYRGTGTPLSIGEVDLRQEKVAQKGRFKQVAPMMSIDAGNAIKAFIKTETYASAKPPRNIS -TMSPELTIQMSAFTLVFAEILKTHDWYCPGKKPKDIIKRLAHVMKAEEEEDVEEGDYTCLDGTQSEEYAR -NLLLPAYMQYLSPEHRNTFRDLYKKVYKQRATTSTGVSYDPGYTVRSGSPITTQAGTLANAFNVFSALRN -MGNNPDEAFDMIGAIFGDDSCNPNYKGQFHLFIEQVAKDLGMIYKSNLRPRGEPLLFLGRYFVDPPTTDD -SYADPLRTIGKLHASTNKSVTKEQAAANKALGYLSTDRLTPIIGTWADRVIQLTKIGTMKGGTGEEKYKC -SNAWPQKNATRIRECMATTLGITTAELIELDNKIKTVDGLDHFPVIFDTVYKHTQVAVVDGDLVCTDLHQ -DNRPTQDESEPKASCSGLQSPSPAHRSCRAKATERPKPRPSERSRKTSGRRAAGKGHPNSIRPNNRKSNR -ADLRDDVPRTDGRRRIN ->APG76216.1 hypothetical protein [Hubei noda-like virus 5] -MQPSEFIPCSPSAQRSTRSAGHWILALLNWVLVSCNLRKQQPLDVRDYSRIVEQHTTRYGSLRTTLIDHF -NKMEMVPMSVEHTHKAAAENRTSANVFMNETVRKAGYTPYNVSRSNHDIEGGSRYFYTVKDVITPFRDDE -VTENSAFIFCDVDYYCDMNKWLSLGQPCILYTLVPQTLSHRAVDYAFHIKDDLVHYQVSGGAVYSHPLWK -YEGDTHSVVTDDGDLIVYQVEQKIVPGDPHRRFIWFVPGARIAGPHWEHLYPDSTPCELERRTYTRDEFT -NYIYEPIMDRLSIARNGEWQSVELSGRVFEAIRKRIENKSSPPVIADIERILRESDNGKTSVVDAPLLFQ -LVAGGPLVANIVRTTTQAAHFQPLGDLATEDGKHTGQVISNVLVENPSLFPTKGYNSDQATITGRIQRMT -NTKTPTKNYKVWANEFVQLLVPDPGKGTPLSVEEVRLAQKTPNQRSRFELVKEHLRGTTDNRLKAFIKAE -PYGSPNDPRNITTMSPENTIVFSTFAHAFKRHMKQFPWYGPGLSPKEVAQRLQMLSRATGSTLDGDYSRM -DGTHSAFTNRHIVRPAYMRWCAPEHRAELNGHFKAVYRRRATTATGILYDPGETNRSGSSITTEVNTLVA -AFNIYAAYRELGHTTTEAFALIGFVFGDDTNHCDDPGLAEALEKTASDLGLQLKIHRNPAGSPVPCLGRY -FVDPATRLDSFQDPLRTLSKLHLSANKQVTPEQALVNKALGYANTDGMTPLIGTWALKVLSTHGKRPRGM -TNEEVYKCSNAWPQSDKDAITTAMAHVLNLEVSELKRLDTLLQSASLDQMPVLLTSHAAPRIAAVVDDVV -VGPATHIQNNHVPNQESERIGGSSSTPELQNRRSPPPPGHRPPGKRQGPRGPPRQVRLTKTARPRPLQEE -RAARMENGQRNDRTPNRPPRPTRRSRPAGCAPIRQV diff --git a/seq/clusters_seq/cluster_1200 b/seq/clusters_seq/cluster_1200 deleted file mode 100644 index 91c15f8..0000000 --- a/seq/clusters_seq/cluster_1200 +++ /dev/null @@ -1,62 +0,0 @@ ->YP_009552725.1 RNA-dependent RNA polymerase [Gigaspora margarita giardia-like virus 1] -MSVDEMGLHLSYIKCKYDDNEMKISNLRNLEYGKEFLMYPQEISNMLKLHDKEFIANHKIKGTSLDYDGF -DGVNIYIEDIIEDENCILYKRYMEGLSNIFCLKDSRGRYNFSDYDYIIFLMAKGYGKSTFCKDNKFCLDL -DIILEKEQAQGRYTLPDDLEEFDWATYNKKIATLYSSQKITERILFSNNMTYIDAIEGKKCVIKIIGPFN -PYNSDRISKDQYLLASMNYKHVQESDCTLVADFTLNPENIEDLLSGIHIERNYKKEVMRVCKLINEKKKN -LDKDYYFRSYNKFVRRRLMCKRILYDDLIPFYDESFSLMSDASISFKNIFESKYYPVLQGLRTAQQYEDY -LALIGSMNKRYMIDGDNWIEFCDLGVCSGNYTSYDKEKCLEKLKYWTLEYKEHCIDGSEPHFLALFSEGV -NELFNNLEVIPRADDQAYTFREYLHLPKFWAVSGSTSIKRKIKVKTTNGEMKVKQTKWVNAIFDDHDEQY -TRIMEKKKTADYKMIVKMGKGKTRIVVSGDLDLYAICDYIDYCVERSIKSCSISMLYLDDDQKLDFWMKF -CKCDDSYFYMPLDQSDYDQMVNKKMVNIVLERFLNFFLLHAKDPVEARSIFDQVWRCFEYGFIEIEDRKE -LILNGVMSGYKWTAFFDTVINASELHVIRTFLEKHSLACIRDVNFQGDDVCLMLDQDVATCYYIFWLYKK -LGFLIHANKFFLSNERNEYLRKVSFKGEIRGYPARNVISLLQPDLMSIESDDQRERLTVYLNGYYNFRRR -MRSPISLDDKIFYPEADYYIPNASKLIHVPKSLGGFGFWPLVRSTKYRFYKKQSYLQSVEQDDNLMKGIR -EDPLYNTSYDGKKLFSILSPQAGRHRNNIRFGIRELDSYITLKSMNLYSDRLDTLPSDISLYSFRNNSEN -NSLRNSIFFDSYIRSLDISDLEKIFNFSFNINNASLSVVKAIVNNELFSAPKMFCYSESSCSIVFNRLIK -YNMLFFMKDNYLTLDKLKRIAFTMELKFDKIMEKLYDCKDYS - ->NP_619551.1 capsid protein [Giardia lamblia virus] -MVWGTGYGNPSPLNPYGFASLHRGGLNPLILAPENITFDTLNTHNDHEETHGESPEVPKASIAPAGRQNV -PVLQQNQENEDNHALGGSEDAKDEREIRFSAIKTLYNYYSEGPSTPIMPHLVNRLRGLDALAKIDATLTK -VDMNAAYIFALRPTFPYSYGYKQRFSNRRLTTSALCYARTGLSSFLTVDKTYTSNSPLKGGSRGWPIFNV -DVSSHVAEPHMRTLSPIGLEVFNLATSQFSKTLLTASSKVFTQSLYTADILSIFGEVFLPHVMQPVSNYT -PILVRALLALIHILGPGSGNCSLSSSIFESSIPQFLTVSHSTNMSNRTRYCLHTRSAYKDMFRNGIPPQS -TLPPTLAPEGSSARVLIPEALVTSPMFPWLLILVSSGPQFFLYSKDASINTVDIGSRGRITSPIPDVAKL -DLHRLWNLFRFDGYRYIDVVIVGADRDYVWPYQNGVYVHGGKGPNGTGNYGNADVHDGIGTIFSSFNNNV -NVQTSDLMLGLLTLWNHITTTYATEEEVTMAIKIAAAFALVYPVQPIVYSGCPKAFQRHTSYYQPSSENC -YATDTAEVKSVWDTVELSVQVNNAMVLGMTLPFGQPTLSSAQWYNNIDKAEISMFKVGNLPLQNLDYLSL -DMMEFYAPTTGQLYDIRSDNLILSAHRTVNLGIGYTALADFFAYLASVPAQSFYHDRMVTSPISKQTYSV -YERFIERFIDDFVGWDRCDLFNLDTLLGAKHIAGVASSPIPWHCSLQRCPLPIIMHYTGLTFGQEHITVR -DVAGVEGLQQIVMRDFQGRIVVERLGTAAPSRIAVKLDWSRLSAWYSDTTCAIPLSDRVMEIVNYAAIWD -PTQERHAQVSCTHTLAPISFRALTCLSLYSIRVSTLHHLMTTRAKL - ->sp|Q67653.2|RDRP_GLVWB RecName: Full=Probable RNA-directed RNA polymerase; AltName: Full=Gag-Pol protein -MVWGTGYGNPSPLNPYGFASLHRGGLNPLILAPENITFDTLNTHNDHEETHGESPEVPKASIAPAGRQNV -PVLQQNQENEDNHALGGSEDAKDEREIRFSAIKTLYNYYSEGPSTPIMPHLVNRLRGLDALAKIDATLTK -VDMNAAYIFALRPTFPYSYGYKQRFSNRRLTTSALCYARTGLSSFLTVDKTYTSNSPLKGGSRGWPIFNV -DVSSHVAEPHMRTLSPIGLEVFNLATSQFSKTLLTASSKVFTQSLYTADILSIFGEVFLPHVMQPVSNYT -PILVRALLALIHILGPGSGNCSLSSSIFESSIPQFLTVSHSTNMSNRTRYCLHTRSAYKDMFRNGIPPQS -TLPPTLAPEGSSARVLIPEALVTSPMFPWLLILVSSGPQFFLYSKDASINTVDIGSRGRITSPIPDVAKL -DLHRLWNLFRFDGYRYIDVVIVGADRDYVWPYQNGVYVHGGKGPNGTGNYGNADVHDGIGTIFSSFNNNV -NVQTSDLMLGLLTLWNHITTTYATEEEVTMAIKIAAAFALVYPVQPIVYSGCPKAFQRHTSYYQPSSENC -YATDTAEVKSVWDTVELSVQVNNAMVLGMTLPFGQPTLSSAQWYNNIDKAEISMFKVGNLPLQNLDYLSL -DMMEFYAPTTGQLYDIRSDNLILSAHRTVNLGIGYTALADFFAYLASVPAQSFYHDRMVTSPISKQTYSV -YERFIERFIDDFVGWDRCDLFNLDTLLGAKHIAGVASSPIPWHCSLQRCPLPIIMHYTGLTFGQEHITVR -DVAGVEGLQQIVMRDFQGRIVVERLGTAAPSRIAVKLDWSRLSAWYSDTTCAIPLIRSCDGDRQLRGNMG -SYTGKTRTGFVYTYFSPNFLSSFNVSEPIFNTSINLTPPYDDTSQAVIQNLSMPQMLSFDPYYESTFYVV -SADNEWIPTSGPAWKVPYLENVVKRSGRRLLAELRIASNNGSGDRTFLTTCKTRKGRHFTYFSAALGGKI -LEFVCAPLSSISLQGGQTIYAPIQLQDVIPVRKEDPVPGSIYAVFKFFSEPKAWEARALKSYKVRFQDLP -SHIVISELKERAARSYIGSRGYVDTGFKALDIYIDILSQMELPKYIHEFLILLRGKVCEVSRLYKKEQVF -VILLTVFSELTAIVRHRGNKSTGSMGRMWTLLSDFETLLGKVSYKNPSIIEEQVVPWLTSDPIPRTPDFY -STYFKTAVQFMHRTFVPVTLRSAPPLTFHEYCGRPELWGTTGSGYIGYGKRSFNKWSIYGAYPTEEIYRL -ALYGDNPPLKPLEKPELTKVRAVISASLQSYILMSYLEYIMADTIVDKAFTTTLMNDRQLENLERHMMTM -TGGVRVPVDQSNFDRQPDLVQIGIWQQLLFHLASASAPYRARDSVSLVISRLASTTTFPNLKVRMSDGDK -RVLHGLPSGWKWTALLGALINVTQLLTMAELSNTLASLRSTVVQGDDIALSMTDREQATQLVDTYARQGF -EVNPKKFWISPDRDEFLRRVATPGIVAGYPARMMIKLLYQLTEPEEPSHYISMLPKLAKVPNVVQEHVKP -RSDVPWSETVEELDWREALAILRHRPARTSELVTQWLQLIGRFTAAHPDKRALTLLYRWFVRDLTHATKI -KKRNLLVLLQQPGFWGGYSQSLVGLLRTTHLADMIVSELDIGLPGPRATSSRFGTSPTSLAPHYLTLIVP -SSVHVQSQKELDLWGLCRSSKYAKHYSNIFRYYKLTLPTLVLWAQRLGDKHVTDFIRSVTLGSEIPKYDP -HARLFTSNGVSVGMLIRIRVRHFTHRVLRRETPKCIPVIVGLYREQTLSVPESVRLSEPDKILLSLQRAS -GYSLNLVKKILQITRKPVDHPVDARFSQAFPNNWSDLARTAGTFLLTVPAEVSGDNADLVPERLGFNHSS -WLHAIFRSRKFLLCVVA - diff --git a/seq/clusters_seq/cluster_1201 b/seq/clusters_seq/cluster_1201 deleted file mode 100644 index b0b592d..0000000 --- a/seq/clusters_seq/cluster_1201 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_009552091.1 polyprotein [Picalivirus A] -MSKVEFINYTRSFYFKTCSFNITEQHCALLYGTYIILPRTRLFHYLMKYYTQILTYLVRFTYDSPRLVAD -AFVALLSDPTTLYATAVNHSFAPVWQKAKPLRPRKADPVPEVPVLSEGLPPDLRTTASGKYPRSTYHSDN -VAYDSDGDPYFDPSFSDSPIIERPPIPSVVPPPSTSHLTVPTALLPSPSTPLSPGTENPVEALQHYLSCI -AALRQAYPDVREVMDVLQDIKCEPSDFVFTLPETQSPNTSFGSIFDAINGDSLYLGAFALSFTSALFLPS -PWSKAAAAIAIACAVKLALPRLDSLKKVLIDRVLKPTSDVSPSIIAYWKSMFKTCYSRLTSLLSLSAQVS -DNDDTPSYEGLASIPLTTHLPTYVVHVLSHKAPYPLEVRDQVSAEVVDPVIRKLSKADDDTLQSALIFAW -NNFVKKRPSDITQYRLAFAELVEFELMKRRARKRDSSDMRKRVPIDERTEPDDPYPDGSSIPDDSSDDSS -LDPFLSDDFYEKPPRYRRSSVSPGSSASTSSSPQALSEPSDDSPDPPSSHQSKPSDTEDDPDLDTFLSLP -SLTESEPTDIFVRSLATARTILFPNVSSVQALSYARSFSSMWRCFLDVSSAMSWVLPFAYTLVNDVAMFL -TGLPLFQSGKYEAVTLLPKLMARLAALKLSLSTTEDIQNRLAEHASLTSQGRTIVDALHLFPPNHPHAVQ -SRAFLKELADIGFVLMGMHCSVTPRCEPVSVLLHGPAGQGKTQFAYFLSRTLKEGNFLANKEVAVIDLKK -NFQDEWRTQSTVLYDEFGTFSDSELAKEEVGFLHRMVNTQNFLIEKASVEEKSKYWASNQLYISTTNSNL -GSFHNLVSDPSSFTRRFPFVYSVRAPAGHAVGQPFPVIPGTTDIDWTQFGFIRRAFVDGRTELSIADVPE -NVVLTFAEVVREIAQALAIKAQRFNDGSRAGAIPPALAVDFANVAPPLSLVVLPPLTRSRLANTRSKFRM -LKPVQSLPSRSPVRASTSTRLSPKTRRVLWSEYVEMAIRDDPRVPTTGVSYVDLPFPDNFSLVTLNRRHD -LLILDPTPIGSFTATAYHYFDLITKELSDRAKIISDYVSPRASHCFSSVRSVFSSAATYAYDRLIGSWFK -PFSTLLRKILYGLVALAVALIVAKLASLFVGSKSSSHVVITQTIELPGTFPSRALYAESQKGFSYKDKEK -VQVMQDDNFTTRIGRRSKHRTRHGQHHKHAIPYTEAPPSLSRKILRNTVFLRTSLGKQYRGLCVGDRYVI -IPHHYLLDWNPDTRFTITCSGTEATCSFAQLEFVSFPQVDTAILPSSPCPSLSRLVPDIRSIFIREINVC -TVNQPITMWLSSPDGTMRELVSSSPTVVANHTWRDISNKGVTFYEDMCFSTEISTNPGDCGSLYTLQTAL -HDGTYALGIHVAGANGIAYGHSLSQETIDDLIQAFIYHCEDASGINIPLAQGPIQALPPAPSANTNDFPV -FYEKDGYKVANVYSRFSSGSKLTDPDRDANLAHRAEAIKTRVINKVPHDSTPLGVAESRIHMNRSTSLRP -TALHAPPFIEPTHHPAVLAQTHGNPDPLVVAMAKFKRPDITFENLPLLRSCAFTAIAGVFQPKNRGLLSP -QSAISGDGVLQPMDRSSSAGFPYNTWAASLRQPASKGTWFGDPRYPEIHPVLAAHIEVEMDMLKKGIAPD -WLNAVQLKDETVSLQAIADCKTRLYYSSPVSQCIILRMLFGDFISAVLTTRAKDPLKVSCSVGVAPTDPT -FTYIYNRLNHEDFDAFAHDQKGFDRHQSWIIAQYLGEAINSWYPVKEDASIKTARITALRACYNPLLVVE -QEIVTLDYLMTSGNVLTSFINSFYLEICYLTAMSEYTRSPLKPPELDSMTPRQVKKETMAFYYGDDSIVF -VPKEWKITSSWFFSFFARLGLDTTHCVKDLDTSLEPDTSDITFLKRTTSLNEDGVLTARLPLETLQNMLC -YVKKSNLSSVQVYNATAESLLYEARRHGIRVFKEYARAIVTAFSEQHIPIHVDLSPDSYVGIIK - ->AFR11837.1 polyprotein, partial [Picalivirus B] -PTSGKKDPVVDKLPVAATVIGKLKPEWKYHYNSDSKIVPSPCAGYVEIAPQEAPALLRSRKEADGSITNP -LVNGLKRIKPPVVQHIDGELMQECFKANMRVVPKNPNRRLLSWSEAVLGVGAMAPIDGSASPGTPMTSFA -VEGKLNATRKDDFFRIDRYGRKPIAILDPRIEQACNTALDLLAQGKYPLCVFTLQLKDETRPIAKVQEGN -TRVYYAGDTVNTLVGRALLGSLIADLATFFNSHPDQAGVAVGMTPDSLSCNFLSGALKDKQAYAMDQKAY -DTHQTWEIAQHMIPAINEYYPVNAKNPDWARRARETYLKNCYHSAYLLHRVIFTLPHMMPSGVCITSQLN -SLYLQASTLYCICKHLGSQPNLVKALFARPVDPHVVKRSLFGYFYGDDSIFTLPREYNMKSADFFKLYAE -LGLEATHCIKDYPLDKEVPVSEMSFLKRKVILNTEGVLTFALEKATIESMLSWSRNQRSAEDVVIRNNIV -NSMLTEAARHGKGYFEEICSRLSLLQAEKPYLRIEFSPLLTSYVYNKR ->AFR11839.1 polyprotein, partial [Picalivirus C] -PSALYIGRSHQFIRSSTESRYVPGPLAPYLNPPGYSDRPALLAPTDGGIDPLALALRRQVHLAVEQVDET -LMAEAATAVFSFMRDHSRRRVWTMEEAIIGKSCLGGLDADAAPGVPWDSIAASMRKPAKKGTFFDVERAE -ILRRNGSTRERVALDVHPTLISAVNRAMSLLADGKCPDWMMKCALKDEIVPEEKVTIGKTRMYYVAPIEH -AIVCRMLFGDLIAQTMIDRVTYPGKVSCAVGVAPNDGTIRAFHTVASKGESFAFAADQRGWDNHQHYELA -RFLAKAINDWYPAREPTSIKTARTTFIKSCYNSLYVLNGVVYRMPFGLPSGVAFTSQMNSWYLEMVTLYS -AFKALPPTLTPKLDMCTLKRETFAFYYGDDSWVLFPECWGLTSSAIFAEMTKLGLEATHSIKNWPRTSEV -PYERQTFLKRTIFVNADGHLVWALPLPVIEGQFAWTLRKHLHSRSVLMSVITSHLEESALYGKEYFEDRV -KKIATACHEHGITLDVSTSISAYSSRFL diff --git a/seq/clusters_seq/cluster_1202 b/seq/clusters_seq/cluster_1202 deleted file mode 100644 index 8456505..0000000 --- a/seq/clusters_seq/cluster_1202 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009552011.1 21 kDa protein [Rehmannia virus 1] -MKLYINVGYYKTYLKMVVSLLTTIESTSETDIDVNKWIEDFTDLATRIQALKSDVNDAKREENECDINKK -AHLLEQAEEKLARIRDELRKLSCHDLINLDNKDLIKYFVTKYMTITSRTLDTTLAARTKDVSTVVLRNLS -TEKGLNISTNTFKQSELLRLKSKLGIVWKYHLGYDEKELA - ->YP_009162629.1 p21 [Tobacco virus 1] -MKLYIQVKFYESYLKLITDLLEAINASNSSNEKLVEWVTDFTDLCSRLQALKSDVNDAKREESANNLTRK -ANILKLAGDNLASIRDELRKRVFRDIIDLSTEDTLRFFVARFMEVTSHTKDESLSYNVRDIVNTVLRRIS -SERSLDVSTNTFKQCDLLRMQKSLRSVWKHTLGHSEAELFVEEK - ->YP_224098.1 putative silencing supressor [Mint virus 1] -MKLYFRVAYYESLVTEATNLLTRVRNRDENHDRAALNKWILDFTDLAARVQCIKSDVNDAKREESAHDIN -TKVALLQTFEQRLAEARDVLRLIVVKDQLNLESKELLAFFVKYFTNITPTSFDHAIEYSVRQLVSAVLLH -LSSESGLDISANTFRQNVLLRSNNKVIVEWTRRLGYTKEQLADMCS - diff --git a/seq/clusters_seq/cluster_1203 b/seq/clusters_seq/cluster_1203 deleted file mode 100644 index 7099b0f..0000000 --- a/seq/clusters_seq/cluster_1203 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009552005.1 p7 [Rehmannia virus 1] -MDNTLRAYLYLLLGWVIICFCLTVSYLVFKFTRSCTSVYGDIVETSVVGSSRRFESANSVEVSRA - ->YP_009162623.1 p7 [Tobacco virus 1] -MDETLRAYLYLLLGWVIICFCLTLSYLIFKFTRSCTTVYGDIVETSVVGSSRRIDPNSISTASHV - ->YP_224092.1 p7 [Mint virus 1] -MDCTLRAYFYLLLGWIIVCFSFTLGFVVYKLVRTCSNVYGDIIDTSVVGTSRRIDIENRGNSSARV - diff --git a/seq/clusters_seq/cluster_1204 b/seq/clusters_seq/cluster_1204 deleted file mode 100644 index f4e1208..0000000 --- a/seq/clusters_seq/cluster_1204 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009551995.1 P7 [Arracacha virus 1] -MDCSLKSYLLLLFGFVVSIFCFLLVYIAKFVIACFSTIASVDREESLDRLRNNTSFRLDRGAQTVTV - ->YP_008858532.1 p6 [Carnation yellow fleck virus] -MDCVLRSYLLLAFGFLICLFLFCLVVFVWFVYRNVLSVTPQSPTNTQRTAVV - ->NP_041871.1 putative membrane-binding protein [Beet yellows virus] -MDCVLRSYLLLAFGFLICLFLFCLVVFIWFVYKQILFRTTAQSNEARHNHSTVV - diff --git a/seq/clusters_seq/cluster_1205 b/seq/clusters_seq/cluster_1205 deleted file mode 100644 index 398e12c..0000000 --- a/seq/clusters_seq/cluster_1205 +++ /dev/null @@ -1,69 +0,0 @@ ->YP_009551962.1 coat protein [Cherry virus Trakiya] -MVDNLSSDVSQPTNTAFLEERALLQETTFPTAQMNLPSGIEAEFPEMHWHAKQQLSKPTQVSQVQWSISQ -AAGTTIVDFTFPEVLASVDSVIRRTLQMYAFYKMSPVFRFQLNSTQFHQGQLIISFDPFRQSLNSTVNVT -TPLSTNPLFNRYYATGLPSVKIMASESDPVELHIPYIHPRNFLTSNTNDPDSIDTLLPSVYNLMGEIRVT -VLNQLAAADGASTALTLTTWVYAADASVHVPIYNHSLDVQPTSAISSLVSHGSNLIGNIATGNIGKGLRS -GQGLIDDLGKLFGFDYPNRPLAPENTIKPVETLANARGATRSERLALDPVSGHSPDKEEIMTSLDEMDLN -KVIKLPMLISTFEFPSTAAAKSPLLRIPVRPMIGANNSADSNIQPSFLGFVSQFFAYWQGSIQYEFEFVA -TRFHSGKLLVAFVPNSIIPLGTTITYDLLANSNPSAILDIQQTSKISFTVPFQSATPLKTTYVNSSDLSQ -VGNIYVFVQNQLVHASNVAPRIDVNVYVRAGPDFSFMVPRLPNFSHTIQPATVEATSDISFQTSRTGQEN -SSTVLTLGQPIIHPKPRFGETFSLIDLIRRFTPMTSPRTIVANTDAIGVHPTYFSTSLIDGGASEQKFLF -DQDSFTTYLSRISQIYSCWVGSLRYKIMTSAPRSSNTKLSIVHLPDSQFDSADDLLGLNEEQLAGYAAST -TDLSQNTSLEIEVPYYSPFNFLLIHPTTNVSGNDGNPTLNLNYLNRAAYPILNGYLKLFTTGTDAVSYRS -YMSVGEDFKMFYLRAPPPEYESQLSYPDTFFG - ->YP_009337723.1 hypothetical protein 1 [Hubei picorna-like virus 51] -MVYQNPPQMTTNLAPDNDQEVNTHFSEQRVVESQQAYPSSSIQLPPAVEQEMADDTWYAKQQLMKPVQLA -QVAWSTSIARDTDIYSVNFPQVLESVDSIVLRTLRMYAFYKLTPCFRVQLNATQFHQGQLICSFDPFSIS -SRLTTPTTLEPLFDLFYATGLPNVKIMASESDAVELCVPFIHPRSFLTTNSTSTFNNLGAFRITVLNPLI -VADGTSPSISVTIWVYAKDAQVHVPIYDHTPILDDSTLPPNETNQRVVVATSKIFDSISSPFSSLTSQLS -NLVSPIISSVNKGAKQAHTMYGNIISGNVGQALRTGQGLIDTLGDLFGFDYPARTIQPPKTISAVENLAV -SIGQSQSQRMALDPFSLHHLPDEIAGESIDSMNLMRIAKMPMLLSQFVFSGSSPIDSLLFSTPVTPTVSA -IKNGFFRRTYLSAVANAFTYWSGGINFDIEVIATKFHSGKLLFAFVPNDVAIPTYTQAATSLPNIIVDIQ -QTSSTRFKIPYVSSTSLKNCQRQLSFSTTAVTDLAYEDSCIGTLVCYVQNTLAYASNVSSQVEINMYIAA -GDDFSLYVPCKPLLDKTFTPGERTVVATSNQIGIDTNKNNDVQTNSVLAKGSGQSIPRSHFGENYSLIDI -IRRFNFYNSYALSLAQPDSIPVTPDYLTLSANTTIVDNYPMISYFSSLYSCFSGTLRYKIIPSSNRVDRL -SLLVSHIPSLSLINNFFEPEAALPSPFQGIATLLTQTQQDAAIEFEVPYYSKFNMLVMQQPDADYALNGL -VAISTKGTLSESLTTLPLDIYIAAGEDFRFIYLRPLGADTTNISYSVTTL - ->YP_009333553.1 hypothetical protein [Beihai picorna-like virus 125] -MGGIGAPRGEPQCQFVVPRNTPPIMYSPISNCVDSLPVHHTPMMLKKRAPQCEDKNTHISTKEMKSMRHF -PVTLKKRAHNDDQIKRTMKRRILKELFRPSFAVQKEPGVVKVKADCIEIPSGQSSMDELPESVALPVPPC -IEGYRCVFKTRSHCQTPLRSVDRMWLQREYEEAEERVMGCFREAEMEWFKRCCGLVGNVTVSSVTSSLKS -AFPGAVLSGSVADKAIASILGNFGVSGLTTRGVQTLTHVLLWALAVSTTDDPFNAQIISASVLSSADDQV -LAAFAGGHVFYQFVQLLQRKKTTSVYEAESLKSVVSTFVEGMSKIVGSCSSYVFDSVAVSTLSNTVMKVL -KSATQLNAVRLVLVSMVAVRDWVYEKIVGVPYYFRNAKTFAKEVSNFMSRAERFLALTKVDVPLTLIPRA -IDVGSGLREEGACLQRMVVHGRRADSVYAGKIMSIQAKIINSLVRMQMRKNVEELPVEGIWFHISGPPGC -GKSTFMNCLPYILMKAVHGVTIQESDIYSYDMSDKYVAGYANHPVVLIDELTAAKFDNPEFDMALRLLGY -VSPGRVETRQAGVEEKHNATFTASLILTAGNATDLESAYLTCPEAIKRRKINVFLKVRRELLPRDPGNNI -TSYLHPDGADKAAMKINWPDGGISLDNILLGFGDAFETATYYPASEAVARMVEMARKHRVDKMAVRDSIV -SMHSVFHEGVTDSTPRIAREFHGIFEAIGGVPPDPHPIRRGLTYESLGSCLDPNSLLANPPDVMQVADAL -QNEIAEAQADDEIVEVTDTMFWGESSKAAVVEKLRLHTAGELTSDMCRSMLQLPDEWFFTNDDEEPSETR -ARVLVELKKQYTTNAGFSRRTIRTLCQIVMGPLLVEHGVVRHNKMKTLVKGLAVVGLLSAAGCGLWYTYS -NYVRDSDVSNHTIDWSAPFEAESVYNLAALVKQRIFRRTQREAPPLQYAPEVIKEAQATVIGNQESNFDV -ADRINRSMVVFSHSYGSVYGLCLQGQVFLVPDHFFARLKDGDTYEMMWNRSKAGEQVVKVVMDSSSVVRD -MDSDIALVKIVTQPGPSVLKHFVREADISRLGDEEAILVTRVSEVARTPLYEIVKYKSVSDVTKPLFMSG -KRVSTIPYQISGTDWKTVITDRGWVYASAVPRVVCGSMLVSMNKNIEGTVLGYHATAGNGFKIGVMVTRE -RLTAMLDRLQSRFPQSMIEPSDTIQPVREAEMDDCTHVSVGAISLVPTLKPSVPQRKSRLVRAPLYGEVF -EVKSTQSRLSARNEKGEYDSPLLKQLEKWIKPRGYVDPLVLRDARSHLAARYSVAPRGKEYTCAPLEASE -VLNGRRGDEYIHRMNMSASAGGDLRCPKSEIFDYNEDMDWYSLTVDQECEIAQLEYDLRRGVMPKFVLIA -SLKDEILSFEDALRKTRVFFTPPWQFNFLIKKWFGEACAFMKANHCWISSCVGLNPEGGMWDHMANVLLD -RSVDQLFAGDFSGFDRTLLPEFMEAAFSVWIDMISFKRTHAGCPPDEGELNIYWGLALAVCYPIMLFLGG -ELWMNGGLGSGVDVTSLLGSDSQYLAFLCAFRDANPGMDEEEWRELFNALVIFTYGDDNVGSVPSDFKVD -MKGVSEAFASYGMKLTSAANKNEPVSFMAIDSMHFSFLKRRFRKDTDTAYYMCPLSFDSLAQSVHFKLAS -TSNVEYFESVARDLSFNLMLHGREVFDVYSEKLQKAFNEHGYAITGLDYDVLHSRWIKNKTKSPSMLNMG -DVTVGSLENGKQVDGVMREAEMDQSSGGTASATADGVHIQSSDSVKFIEAVPPTITMADVGQTSSAELDR -TAAMGHEKMVDVARYLKAALCVKANILFSTASTGILSTIALPDALIAHPPILDKLNNLVFVAGDMRVSVR -VNATPQHSGRLLVVWVPQGQHFPTTQYINPTGLSCYPNATLDLSTASSVSLDIPYVSPQTHMEITRGSTA -DHLGEVHVYVLNQLKVLGTSSPSVTVSVYAQFLDGLDLQAKTDDTVSVNYTPAGSYVRTYQEQRNCMRAG -RMREAQMETQAPVTVNVATNPVDSGGVDEHEGVLDNVVSGLAQAGGAAASGLITAGAKTIGSLAKPFLSI -FGGTKPATPMILQRTALHPWGVLAHGCGHDSSVILSIDAANFNPEKPQLFGCPEGEDPMSLRRLCCNIGV -MFRTVTWSTAGAVGDVITKFTVHPNMCHKVAGDPHIFRLGPINMVASAFRYWTGGLEYKLQISASRFHSG -RIAVTYIPRGGNEVPDINTWPAITNTIIFDLKGGNEFVWRVNYRAPTPWLMVLPPGHDTHVTTGDTGVIV -VWVVSRLVVQNSTSSTVDVNFWMKGAEDLAFSGATLQNLSYDTSTGGLVPAPPAANVVNGKMREAQMDPL -VSKTKVPESRDPRPDVAIPINPGARTDATLPFELANGEVFNMAASLKPLLTRYCGYQWFDRRRVTFKATG -GDFGQLAYAEFPIASWVMNPAPEYIGAGDFRWDFVSWFASCYKFHRGSMRLKFVPVFTDVNTSELGKRGI -FYVGLSHDNGAPSSSWYVGTSTGAASGSTDASAELSATLTNGAIVQDPNHSPSMEIQVPYYSIRNMHVIR -DPTNPGFDAIEDGRPRVRVIYVVPHHGDGGTDYTMDTPIVHVLRSAGDDFQFGFATGIVEMQMSAAGYTT -WLTNATSSY - diff --git a/seq/clusters_seq/cluster_1206 b/seq/clusters_seq/cluster_1206 deleted file mode 100644 index 2dfdfaf..0000000 --- a/seq/clusters_seq/cluster_1206 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_009336570.1 hypothetical protein 2 [Changjiang picorna-like virus 14] -MIVDNHLKTIAPTTTTKTPAPAAAATAAKTSTTATEPPGSRIADTAAVATVGLNLLKGALDNTSGDSVYS -SDNAEPNSAVERPQGITTFVDGEDTLQDLAIATTSAVSRGLGLGQHQRSTDSVEQIMGRPTFLANVTWST -THTIGQTLAAYTLPRDILNASTIKTCKAQYNQYMKADIVLRIEASPVQFQAGRLWICFEPYATERGSRAV -WSYQQQYTALHGIAYDPCKPNPVELRIPFASILGAWDMPMGQYGLGVVYLFVMSPLNSASTTSTVTVSIQ -GWFENVALSVPTQTAMATTPFGRRAAELTHGEPMSFQSSEQGLAQSHKFSTTLSRIGRIATALGNFPLLS -SVATPVAHFANMASSFAAYFGFSKPADVSAPTKIIQHNRSAWTNSDGPLPLVVLGDSTQNAVDQTDRYFP -NPIDEMDISYICSNPVITNQWSWATSATVGQLITVLPVHPGLCNILSNAGTYTFDTFVPTPMAYVASMFK -YWAGSIKFRMEAVSTPFHAGRLMICYVPDYDPLAAAISINDVGNNYSVLWDITDSSQIEFEVPYMANTPY -LDVYLDDAAFTNLKGTETGLVPRNRIRKIQNGAIVVFVLNQLVTPSSAASTISIINWVGGGQDLTFAEPA -IGAFKPATGNSVRIDYTGKWYDGTDMTAVPSSVVPTLRDDPMEELVDRLNELKVSEDDFGFESHDEVDCP -LRFQSAARGLVSRGPDQRAGTTAQVQPYANFIPPKYLDPVERAKMSFGEPITNLRKLTRRLTPAYALYPQ -NVTTAGAWGANATPPSGQHVLCFDPDYFGTPDGQDDPAIYNKQIAPVVPGQTNWLTELDSALSYISYLYA -FSRGSRVYGVSARPNDKINGAPFAKLSDEINMRGDAATFDMRLSHIIEEDTPPRQPWFRPDDNLLGYNYA -NTTAGSLSGQNYSYGMNSYLLPNHAVEKSGEAGCGLVVQVPPTSKYPIKLITTSSATEANYVANNKYTAP -RARRFLELRYRPFANSQSGTTSNFEQDIWPFPMTIFEAAADDHSFGGLVPPPPITRVKYNVIIPNYATGS -KASL - ->YP_009333166.1 hypothetical protein 2 [Shahe arthropod virus 1] -MTAATSTPANSTGIQPPSSKLAGGSDPPNLLKIGIEKQTAAQSGAQRNAPDAAEPNSAVDRSIGITNFVD -AEDTSLDFTHAQDTLATRLLAADDTECQDIRKVMERPVFLSNVEWNASHATGHLLYNTVTPNETLILSTI -KMQKLQYNVFLKCDVVYRIVAAPMQLQAGRLWLCWEPYRNERGARKSSPAITAFSTLAGVEFDPCKPSPL -ELRVPYQSILSSYDLVTGQYGSGQMLLRVLSPLTSAASTQAMTVSITAWLENVQLRVPTQAEMANIAPLL -PRDSSSKHLEYVHGEPQVFQSQVEDRNANKQYFSRAMTALSFVATALGRFPLLASVAKPVASFASAVGTT -AAYFGFSKPPDCSAPQKIMNHNRVGFVNADGALPLVKLAHTSDNEIAQNERFFPNPIDEMDINYIVSNPS -MVDAFSWSTTDAVGKLISVIPIHPGLCYITSGPGTYTSHTFAPTPLAYVASMFKYWAGSIKIRLEAVSTP -FHAGRLVVAYFPDYDPFGTFTITEIGNNYSLVWDITDSTHVEFEVPYIGNEPFKQVFLDNQSNQILKNGE -TSGTDARDRIRQVSNGAIVVFVLNQLVAPASAASSISFMNWISGGKDIVFAEPVLGAYKPACPGTVRTDF -TGKWYDGTTMTPVHYSVLPTRAQEGEEFEEWEVDEADDGKWRCDQKFQSAPTNLTSLGMDDNQKSTNQRG -DENQFIPMHYIDRAERSKLAFGEVITNLRTLIRRPTPAYIMYPQNVTSAGAFTGYAPTSGNVLVVDPDYF -GTADGVGDASLYGKQIAPARPGGTNWLTELSSALSYVSYLYAFARGSRVYGLSVTPSSVINGAAFSTLSD -ETSPTNDSGLGTFDMRVSLHNEMNTPPRQPYFRPDDTLLGYNFANTSSSTLSTTNFSYGFNSALSGNCAV -VKSGEQGCALTVQVPPGSNLPYKLITEPTTTESGYISSYSKQAPRSRRFLEIRYRPFQSAYSGGTSNQAP -KLWPFPLTIMEAGADDFSFGGLVPPPLITKVAKHNVFVNFSNGTRVLL - ->YP_004935382.1 unnamed protein product [Halastavi arva RNA virus] -MKTATITSTTSSTKYSSTTPTKQAIAADAASSSSSAGETIFEPNSAHREQQGLTTFIDSLPSEMEASSPL -TTQADTSALTVDHTISSDIKSFMARPTFVTNFLWTSVSGAGDVLTYYHLPTDLLNLSAIKLEKVSRYQFM -SFDIIVRLFGSPIQFQSGRLIMAFETGRNERQARAAPYNIITQTQLPHVVYDPAIPSPVELRIPFCAPIS -QWDTIGQYGLGTLAVSVLSPLTSASTSIRVVMSVQARFENVRLGVPTQTASQTTPLRRSLVTDAKYRIAY -AEGREEVSEANSKHYLSEGLDSVSTVATALSSFPLLAPIAQPVSWASSIASRAARAFGFSSPPDLTGPTR -LISHQQPNYAHFDSPSTAVPLTFSQGFDIPVAPVFGEDHDEMDINYIASKLSIALSFIWPDTAAVGSVLS -YWPVMPGLSVNAAGAQNVSWGQYIPTPMAYVASMFKYWAGSINKMDCVATPFHAGRLVLAYVPDFDPLGT -FSVTEIGNNFNIVWDITDSSHIEFEVPYMGNTPYLNTMLDNAAMEYLINSETSGVQPRERIRKCANGAIV -IFVLNKLVAPSTAASSVNMLLWTGAGKDITFAEPTLGNYRPANAAAARIDYTGKYFDGTTHYQPEMGVVP -TRRIESLDEVDEALEMVEWPSASSSPRRVMAESMQDPYNKNSTQNLTDFTAWMPPHYINPTERAKLCTGE -VITNLRQLTKRMAPSYYMFPHNVTTAGAMTSDYPSSNHVLELDLDYFGTHDGAQDTVIYKRNIAPTGTSR -GTTPNLTELDTYLSYIGAMYAYARGSRRFHLTTHPSNIINASSFTGKLLSDLTDPTVRGDTGYWDFRVSQ -ELELDTPPRQPYFRPDVNVYGYNYANDAFATLPLRNYDFGLSGMMLSDAGVRKIGESGTHLEVSVPSSTN -FPIRLITTPSLSESDTIKAIAHNNPRARRFLELRYRPFSTAQYGTTATFTPIPWPNPLVISEAAGDDFSF -GRFQFAPNLVRIKQPLIFSNSTTGGKLRR - diff --git a/seq/clusters_seq/cluster_1207 b/seq/clusters_seq/cluster_1207 deleted file mode 100644 index 16946e8..0000000 --- a/seq/clusters_seq/cluster_1207 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_009551682.1 hypothetical protein [Sodiomyces alkalinus fusarivirus 1] -MSDKIKKQPVSAGPVGPAQSPSIKSTLSASAEVSEKVKEFLKSFSRPGTISGTPIRMVDTSKMDELSEAL -TSLIHDSVSHSVAPSELAKLNAELATLRLKVSTFETDKERALFAAEASKKELVDLKNAWEVQKAANAETR -AAIDKQMDDLRTALVEARAQNLEAKKAVLQSKKESTDSKPDSSLKEASELAANRVTDLNNQLQQANKEKA -ELASKASAFENKIIELSNELSIAQAKGDLDSPFTFANVLKAPKSGYVGLKGTVSDMLGAKSKAFVEKARN -ADPLDVKLRAKYLRIALRESKAAAVKGFATFFDGVYDDIRISTYQSRKLFTPVVNSVLDTLSGRSGDLSE -AELSLIMESLDTSEIRMVKSVREKGFLTLKDVIDAGLTADALQVSDFEDTDSVAAGRLVKDHRKAAKATE -RQALDKEISLMKARAEKKPESDSWYRRAKRGFKNFGNWFRSRTSSVRAHLEEKWTLTTSQKLARKGWWGK -ILAAPLTFLHYATFGMV - ->YP_009182159.1 59 kDa protein [Pleospora typhicola fusarivirus 1] -MASINKDTQSASQTPPPGSYPESSNVNRSPSVASTSVAVCDEVKAILDESNMVSCTFKGEPAWAINKDSW -KIVEAKLLKLHERQGNSVPVSEIAELQRELASLRLKANDFKTQNERLEYAQANLTKALNHAKANEAKARI -ESQDGLALLSNTQKELKATLAKVAASKREYDIAIREAKRAGKPEDVDILMSDKRKLSADLSELNNSLQQT -NADRKAIQDKLKRFEKQVLDLTAELNAEKSRNEIKSGKPGSSFAEKARGAKESTIKLYNIAYSNLPSLAK -TRFEKIKDCPTDDEKNTVFWLKAAFDATKHAVYRPYKVVFDGLGDDLKAYTVPSRKAFDRFLIAVRDSLL -PTGQPLTMDDMNELLGDIEISTLKLNGSFRAKGFKTLKDLNDHDLTLGAPDASDIPFKLVNGKLVPLDGH -KKPSGKKAPEAPSFEEDELAELPPPYPPLPSSSESSSDDSDDENDPTSYWIKVRTWLHLQFDSMNSTIRE -SLRKRPKRLTRYFRLSTGNIFQRFCLVPYSWYIWVFP - ->YP_009182155.1 53 kDa protein [Penicillium aurantiogriseum fusarivirus 1] -MSSNTKTSPKQRVVQPPSVAKTENSESSAALTVSEEVQAFLDDTKKAKQVELMGLKYVYVKASRLKDLED -SLESALEHGTSRASDDTLLQLNDELQRLRKHNQKVELAHAAANAEINKLKGDFQAYADSVQAIQQQNREM -ESKVNAEQVSLKKDLEVAKANNEQLKVDLRKARQSNDPDTAAKMIQQQTDAANKVTSINNKLQEANQIIA -RLTKESADARSTLSKMNAEIELNKDVATRTAEAMAISKEAAFAEVEPAVVQINRPWVTKALGQKGLTWIQ -KAEQASRIDARERAYRLLQATASGKGQAITSLRQIIQIAYDWIKTKSFKARERLLPWLNEIEADLRTGVI -KAIKHYRERLEAIIDKGKEIARVQREKFNQWQDENPESWLTWLTTWGETLWGRYVHRPAKRFKNWLVSKW -NQAKGYRRVNVTEDDVEEVLFENPTTRTPIVMIDKGKARDPGTAN - diff --git a/seq/clusters_seq/cluster_1208 b/seq/clusters_seq/cluster_1208 deleted file mode 100644 index 6974e64..0000000 --- a/seq/clusters_seq/cluster_1208 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009665983.1 beta-C protein [Poa semilatent virus] -MAMPHLLGCSCPLCSQSSESFPIYGPPEERLWEETPPSSATIVERNAPENVFVAWIDRNYLLILCSLALL -SVSLAYIYFTSGNNNPYVKGGYFYQDLNSVEVRFGEHPVDPKVIASIHHWQKNPFGVSPLWEGLNNLVSS -LVSALKFYVGLLFLLLLIIIFK - ->NP_612627.1 22K protein [Beet soil-borne virus] -MDPPAIIHSQNCCCPDCSWQPSCTHTSNTESLNARSPVEENVRMVKGYSTDYVIMCVIVSVSLGFAIAAY -FYSSGGHYDPDAAIFKQDLNEVQINFGKHPIDPKVIEAVHHWQRAPFGRFQGESVDVSKNFFEDSVDEDD -EEERAEVNKEEVTKKKSKVAVCNRLCSIRNSLLRLFWVITLIIIVVKCRF - ->NP_604489.1 beta D protein [Barley stripe mosaic virus] -MAMPHPLECCCPQCLPSSESFPIYGEQEIPCSETQAETTPVEKTVRANVLTDILDDHYYAILASLFIIAL -WLLYIYLSSIPTETGPYFYQDLNSVKIYGIGATNPEVIAAIHHWQKYPFGESPMWGGLISVLSILLKPLT -LVFALSFFLLLSSKR - diff --git a/seq/clusters_seq/cluster_1209 b/seq/clusters_seq/cluster_1209 deleted file mode 100644 index 3e7c536..0000000 --- a/seq/clusters_seq/cluster_1209 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009665975.1 coat protein, partial [Drakaea virus A] -SEVVPGGHYDPSVWRNKVRKMFVDQDWWIEHDTYYQMLSQLRAINFEVNTSRAEVARIINAADKDLPANA -GSRFPASRQPLGHIGYKPMIYVRIAGVHKQHFEQLARVADQGKSRDIELSRNRTPVIEVHSNNNNAAKAG -IVRDEQPMRDGALNYSYIFGNISGTHFPTYEREDFEEEFGLNWNVNILPPAVDP - ->NP_835263.1 coat protein P23 [Indian peanut clump virus] -MSNLSEVTRGGGHYGIEAWRNHVVSERLNSDWWIKSDRWDQLLADLRAVNFEVNSSRSEVASIINRVPKD -LPAAVSARFPGAKGVLGSDTYTTLYYVHVKSDLKQKFYRLIAAADQGKNRDLEVGRSSVPAATSSSSNNQ -AIVPSKGINAIRDQQPLRDGSLSYRYDLLDIELTTVKQYDQFLFESTFSVNWIPNPAATPNAAAPGVN - ->NP_620028.1 coat protein [Peanut clump virus] -MSNIAEVSRGGGHYGVDPWRQHIIKNRINADWWIRLDHWETLLADLRGVSFEVNSSRSQVADFINRVPKD -LPAGVSVRFPGPRGNLGSTNYTEVYFVRIKSELKQKLLSLIAAADQGKNRDVEIGRPNAPVVSTGAGGNQ -AIVAQRGVNTVRDQQPLRDGSLHYRYLVQDIELAGAEQFDRALFEETFSLNWTVVAPPAGGGGGGAP - diff --git a/seq/clusters_seq/cluster_121 b/seq/clusters_seq/cluster_121 deleted file mode 100644 index c4e48d3..0000000 --- a/seq/clusters_seq/cluster_121 +++ /dev/null @@ -1,1198 +0,0 @@ ->YP_009254000.1 L [Lonestar tick chuvirus 1] -MSQRQPAFSPHELIFDKKFDVALRLSHAKMYIKKIVNKSVCIDETVLLRSCSYARIDQDPRLWVTNYHVF -PEIYLKCLSLPVSPRDDPDIKKTVNVMSAVIECQLAWNMASVQKSLKIDATEYIRDMKHRVYGATSITKL -IKAKKLLDRLVKTIPVVDGPRRRGESSEDYINRVAAQSHFHSKELGLKVAWSRRSCVLSTSSGTYLLPRS -YLLLIHNKIMDILSVLVYAACCPRDVYDTDLLEVTTGFLEEWMKLALRKQQTFFTISKVWEGICIGESLL -ELEGEKNRQFLRSITTAVYEQTGFEYEGSYLCYLYRGAPLPVKHELSCLSKVAGHPFVDVELTAETLEGK -VNEKKAISITHIERARLYAVEDYIRQFRKKEGKWPPVKLLPGVNPSLVQAQIDNADPKSLSHHKKHGTIN -VDDYTFVEILPCLDFDWVDNFIPFVKDRTISFLKDEVVRVYLTEDEERTGKRDINWSKTRALLLYLLWPS -SETDHLQYMRSYVKGEWDVVANYLVIRLVPKEREHKIAARAFGCKTTQDRARSIIQELNVARFLDKYSDE -HVMTQGELDVAKKLLGFRMLGDAYKGYRMIIIQVDASSWNSRFRHESVAPIAAVLDNVYNVKIFSRTHEA -FEMSFVYMPDGLTTYSWDGQLGGIEGLQQYTWVFVYVHHVKVCMEQHPYPFYILCKGDDLRIAVMVPPQV -IENTSIDSLKQRILTTLADEASKMGHVLKVEDSYASECYFAYSKNTFVNNVEQPQAFRKIQKCHGANNAF -LSTIDDYIGSAYSNAHSAAKTAPSPIPCYLVALFWSVLHLAERPDYKALSESEMAALLQVPNLLGGLPVV -FLHNFFVRAESDLLPPFLDMLEYFRVRWPTIHSLMYKFLHQQIEDTRKSFAGLMADPYSLPLKKPQAVST -ILRQEVTKLLQTYVRNEKVRELFILSKGNFDEQLLDCLFSADVWNLKLLSSCYNCGPNAIVAELIRKFES -GRSIYNLLLIKKGRGMANRVLTKCLRAEKALCDYRFQILRRRLKDAVDLEIHFLESTCSWEQAQILREVL -WGKVILGVTQPCVQHQIQVGDQDEFECSDYTGNYHFKILYKPPDVNCSKPLFTIGPYRPFVGATTGCGLG -KPEARIPVENVFTPKVRTLMQLYQWGHATKIVEGEAKLSNFPLVVKHLLEGYTRSKVEHLMPFVGSTVSG -RTIQHHVRASNYRQSIVPNTLLNIFTRAKGDSHSHNFYTTSPDHFLVNFLQVYCMMVSFASAKMWVGQSS -TRSKEIWAVTTNCQKCTKPITEEAMFLSVTGLPPLDIGEDFQIGKKAIAEIAQAVQEFDPEDYYMPDEDT -VTVEEAETCLVQHCMNKLWERHVMVRESTQHVLDAEGQAALEDYQCLHFSREEHECFSVASFDEILKDLA -FMIYWDINVRYYGDTDRSKYVAIANTPPSELPWTGVLRQLDTHRRFNKFQRYCRRAFRMGSAPIVETPES -FSAMFGQHCHDYYTLHWKGIPTIANMGTASDPRVKRQIQSRIYATRLSYLDKMFMRVFSKSHPPENPTSD -PICLGFVALVLTSARELVFGTSELSADRIRMRLFEPLEDPIEEIMSYDMETEEYMQSDGTLHVANVMKLP -LFTQMCCVRYDFPITHAQSIAEVFSEEPVLYEDAFKSAVEALDANPEMVIIRTNEVTCLSKIREYKAFAG -FPTPVVPRAEFPPLATLKVRGFHTTIKYFRPDDTCGTCPTDIDLTVELPSDDITLAISTRVLNRPVGSGN -ISMSKLASLLSTIGISGLPPYCNVACLGDGYGGFTAVFAALGDGTTNIVYNTQPDRLESVPSPIVAHEVS -DMTRVTINEQCILMGYTDLTKVTTCTYLEQQVPSYAIVCLDAEVPGHKDETEDQRKEREHSRHQMIHNVT -TLFLRKGNERSLLIMKVYMQEVYLWLPALTLLAPASMKTYLVRCDASANDGELFIVSQLVRTVAASYGTK -AKYPPYGCIKAIRKFFDVYVNRATHDPTVMENCSCKHSYSRLQRRLLPVLPIYGWSKFEELCKITLPPHL -KRFSGPDKNEWLSVLEDHLANLSMDEYLEMHGCYLTNESETYQTLTHTLVVGYRFLTTRAFMHVTSLFRQ -AHIPLYRAAGSEVAFLQAMQEFPRHLNLAATLEFYRVNKGRISVHNIAYMPLPYWMQGIRWGLSALTASM -AAGLTEAEVREFVHRVPV - ->YP_009666265.1 polymerase [Wuhan louse fly virus 6] -MAGAYEEHGQREVAAAAWSMIYDRKFNVALRRTHGDLFMTGLESSSLSTDDALLVAVAMKLDPRFSIDVV -RVNVNLFPQFYLNITTRAVRHNPGLQRVWTLVEHTITTQLAWLTEKWTYRDPPHLTRRVTSAIGNASRSA -VVERLLAELGPLTDLVHTTGRRVRPQRETESRDEYFSNVGKNLHATLFRFRYPIVWSERACMIRYDKKWY -YFAKPYILMMHNKLCDILSAVVYAAVTPYETYRWNLMQTTCDFLEAWAECAVELGQDFFRVSKLLEAVGI -GMTLRDTEGEHNEQFLHTVRLGAREHIGLPLSAFRFYKIMDRAPIEVRHELMCLSKTMGHPFCDVEKGAA -DLKKKVTDVKELDPQCIRESVWRAKEDFVRHYYKRHRKWPLCDMSPETPVRVARIILHNLDPFSLETMRR -YGGIPLEAYDYIQFRKLREFEWLENFIPYIKDRTISALRSDIMRVYFSETGETGRIPWQDTRLLLFYLMS -PMSRLNHVKYMHAYVAGQWEMIQDYLIIRIVPKEKEHKVEARGFGCKPYEDRARTIVQEYNTAGILHDYS -SEHVMTLDELGLAKKLLAFRSMAKAYRGYRMLILSVDASAWNNAFRGEAIHPIMEETLDRFYDVDLWSKT -QTAYERSFIYVPDVERMYSWDGQAGGIEGLNQYTWVYAYIHQMKVCLRDQPYPYYILCKGDDLRVAVLVA -PDYLEAISIDALKVELLESVASIGRKFGHSIKVEDSYASESYFAFSKDAYVEGAEQSQAMRKVQKCYGAN -NAFINILDEYVASAFSNAHSASKVAPSPVATYCVGVWWALVALLMDKRYKELADWELVACMLVPNILGGF -PIIYLHNMFTRAESDLLPPFLDLCRYAQEHVPHLATILLRAWRQKLAPVHRCLSGLMMDIYSLPITKPSS -ATTILRREMSHMLQDRTQNEALQALFRAASRGMERNLLLAYQEANVYNVKLMSALFDCLPEAIIRELVRK -FESGKSIYLALHRGRGFRRAQSIVRQAYKADARMHQFRIELLTRGVLKAVELLPADWAQRCPGEVCAEIR -SQLWEKPIIGVTQPPPQHQIYGGWVDSIEPTYYTLRNHFELWHTHPSGDRPHLLSVGEYTPFVGSITGRG -LSKPHVELKTQNIVSMKIHTLLDVYQWSRVCKSFEAHDMVGNLWQICESLIEDYTGRSIKAFLPYAGDTF -INKTIQHHLRAHNYRASIVPNTLMNIYTTMKGNIYAHRIFKTSVDHYKMNYLQIMCHMISLTALPWWCGD -GQKLTPRVWGVTTDCAWCLTPMEEEPVVLPDTDIGSVNLAGVLRVAPAEIERIVNEVDHSDVGPVYEPMA -TDDDTHLGLAKQGLCEYIAATTWSRKLVVQTATNHHMSATSAAILAAWHGDKLGVSLSVQDLVAIGCESL -VDSIAHIVHYHIVVTYPTHDEVERMTAMASTPAEQHPWFTFLLTIESAMLIYDLQRFMRKKNLPCKLHDA -DSARGLTHQFGHFCYLYALTLGHPRDWIVLSYDREAPIPSGVRARLDAMRLDVMDRSLGRLLSQARRAEN -ARHIIGITEYILTLALSDDEGFSVQVPEPGVEGRIGTLFPPIDNISDYLEGDMMGKDECDHESVDAPGME -MVESIYDPHGFTQVVIDRYRLGWVTCQVYFYRIFRDGSIYDRMRPEALKDMDPPGVTIYHLDPVTCLNTV -KTHATSLGDVPEEIIVADETAHLQIVEVHGLSLALRCGRVESIRQAITLEGCPERDGPYRNPVLRRSALC -RTIGVGNHSMSKAMSVFQQLQIAQLPERGLYACLGDGYGGYTAVVSAFTKNSRIVYNTMPLSAATPPYPM -EAEAISMEHRNVFDTTHVIIDAYDLTSTLTVTAFCDHYKGVTLMTCDAENPKLTGGRYTATRAAIIRNVT -TMFVRASRAGGILILKTYAFEYKLWLCAAQNLAPVCSQLHILYNRLSYNDGELYLVAQLVAPADTWTYDT -VLYPPQVQCQRVERFFRRLLEVYEAPNPPFLRYEKLFSTYHCELMRRFPCYGWSKVVEITKIALPPIIMS -PHRVSLDGWRRVALGLLDGVIRTHQTQYKTHALGHGAAVFDTLTHKVVLAGRAALLHAVRTVIDILTSEL -REITEQDLERECRTIVTDIAVSLNVEGKVQELMTQASTIGEIPVNLHADWEQGVRLGISIASYTLCQIEI -DSESSEEDDILW - ->YP_009666256.1 polymerase [Wuchang Cockroach Virus 3] -MFMSQTQVSYEIPTSGIYERKFDTAIRNSFDRAMHDRHEANNESVDDKLLSGSTKVQTDIYRAKTSPDYY -PRILLGIFGTNFNVSELKETRKLLSRRKSIVRKTMEIQISYSLKQNYTYANHRIKRLFNSYISNREEPKD -IDKLIAMSLWLSNVVEKMALMNAEKYRAMEEDEKLTSLYKINHASCPYLPLKFVWSYTKLHIDYDGEYYV -LPRPCILLIHNKICDLISVLSLSKYNAGVIYPANVSDTVRDFVSELARLVIKYEDKSFQILRVLEGLGIG -ETLIEIEQWKNTEFLTSIASELLEELGFDYMSSNVRSILLDAPIALRHELMCLSKIMGHPLVYMLEGAKA -LHTNVTAPIEVNMYKVLEVENYIKENYIRNAIAKTGKWPPCTLNSINTPKALQMAYIMNKDPTSSFITNK -YGPTAINDYIYVDIEKNFEYTHLENAIPHLKDKTISLLRSKVMTSYLQSHHATKEDTRKNWAETRCLLAY -MLFPQMVHDHIKLQDRIAYDGNLEGIMDYLVMRIVPKEKELKGIFRGFGCKTYEYRLATLAQEKNVMRFL -DEFSDEQAMTVSELDILRKLRAFRVLDKAYKKHKVMYIVLDLSKWNNKFRPSTVDEVMDETLDKVFDYPI -FSKTHKLYKKTLIYVPDSEVTYWWDGQEGGIEGQNQDTWVVTYIAMIKTALAGITYPYHILVKGDDCRIA -ISIPEAQANEVELQTLKNLVVKQLAAGLKEVGHDMKIEESYGSCRYFAFSKSASCDEIELPQTYRKIQKT -FGASNAFIPTVDEYIAATFSNAHSACKVNPIVTHPYCVALEWCCYYLLQHPIYMKCTDSEIVALLLTPNM -VGGFPIIYLHNMHVRAESDLLSPYLGLLQHTRRTESDVYFAMANFCCIPADPPRDKVAIFMDPYALPSDR -PMLPSGKLRSYIKPALKKIARNEAIRELIKASETEVQAHLDLLLATARPFNSRIIANLYASTPKGVLNTL -IRKFESSRSINELVILRYGRRKAARMIRGVVRAEHKLQTWRYQRCKGLNNHNTTSLIRFVRECPSFSAFN -IRAHAWKTPIHGVTMPPMQHQVSFVPALGEHTSEWANTHHFTIHITPVHTKLSRRDTEHYATGQQKPFLG -YTTTTGTIEPTVHFIERDPVLEQMKRLIDLASWLGTSSIDEQGNERVSNAPEVICLLLKSYTSTPLSKLS -PFTAKRKAGTIHHHIRSPGYRESIVPNVLSNVYTRFRGESNSHIALRTSRDHFRVNFLHIYCYSCWMSFM -ELEFSPYTTTPEEVWVVTTDCAHCTQPIIEEPIRFDPKYIRDYGLEPLKLLQVGQIAERILKESLGKFYD -KKPNLAVREHAMTYQHACIGILQEEMDLTWHQRTALQDRYTHHHLTRDAQEVLTNFIPRGRNREVGLTEM -KRISVNNLGNYLIMVIGFIYEQTFKPKNKLHLDVALMEIPGEELPWYGLVRYIYQVNKLAAILRWLQERT -GVPPPAVYYSPVTSCKYIGKMCFMLRDTYVVALPVVILSYYNAGQLENHLKYALHNAIWRIYTTHIHKHL -KQLRRPLLDDQATYQLVLQGIMLAYTLSNITQVANEIAQKVLEEPQIGVNPLAFLEYEAIDLQEYVSAPS -DVYIMNLILEILRKLRIEEDFVDYIDDATVAQASVVTRQLGNILPLDVTYSTLSSCIACVRGNVDDEEPD -QDTPAERTYRNVEGIKFSVRVDLALPAPAVQFRHVPVFPMASPYRAGVMDLNDPIYDVDYTQVYRIIGSH -TGSESYYVALLKMLHLEKHIPQQGNFACLADGLGGACNVLYELSHQRCTILYHTVPEDPNVEAHPESLYA -NYPNSHEDVLASHIHEGYYDFRYPGTFLRYERYHLRYHIMTCDIEIEDGANDNSAIIYLNICNFYVRNAI -EDSVLILRVNMVTSTPCDHAITFLTRHCHDVHLVYPPCLKRFKWAFIVARRVVVQSQFHYGNIRITPDPV -ICQRVHRFQRRLMVRKIMHREGFERGMDFSNADVVQYNTFCARLNYRVYDVLSTYMGYTLSNTEGDSEYV -EIGGILDALRRDPSKENFIKMGINPWGIVERMLDILHETDVPDIQPRQHWDPNNQAHRLHLLRHLVRHYG -FIYAHTQLVDWEHAYIIQTQGYVSAFAQFLEDIPIRDRYGHDPHAYFIHGYTYGNVEVALACPFVRGIHA -FLCLYGSVRSTMTHTRSARDHATAGDADVVNAV - ->YP_009666255.1 polymerase [Wuhan Louse Fly Virus 7] -MAYREEEHGQKGQPRAVVDLIFDRKFNVALRESHGARFLEGLNSGTLTPDDALVHKLALHYTDDRPGQSG -GFRANVNLFPRLYLDLCDDSPAGRFVKSKVWSLVERVTNLQLAWLTHNWEYPVDPCLQRRTSKVLSVASR -TSLINRLAAELSTLTPVIDRSGRRTPRISQAEQTEDYITRLTRTNTAKILTLKLRVVWSDHACAILYNGA -WYYFAKPYLLLIHNKISDILSVLVYAALTPYETYRWNLYAITAEFIHSWAECAWELNQDFFRVSKLLEAV -GIGLTLQETEGESNEQFLQTVMRSTRPAIGLTLRSFRFYRVLSRASIEVRHELMCLSKVMGHPFCDIEAG -AADLHSKVTAEKELDPVFIRESVQRAKEDFVKHYYRRHGKWPLCKLHPGLPQRVTAMILRNRNPFDPAVI -RQYGAIALEHYDLIELLPIKEFDWVENFLPHIKDRTISALRSSVIQHYIRKSASCVRWQDTRLLLFYMLN -PREMTDHVHYLKDYMAGRWEMLQDYLIIRIVPKEKEHKREARGFGCKTALDRARTIIQEHNTAGILAKYS -SEHVMTLDELALAKKLLAFRHMCRAYHGYRMIIVSVDASAWNNAFRAEALHPMMEETLDALHGVSVWSKT -QTAYEQSFIYMPDIDKVYSWDGQAGGIEGLNQYTWVYAYIHQMKVCMREQPYPYYILCKGDDLRLAVLVA -PELLEESSIDVIKQVLLESVSRIGKKFGHTIKIEDSYASESYFAFSKDAYVQGVEQSQAMRKIQKCYGAN -NAFLNILDDYVSSAMSNAHSASRVAPSPVTTYMVGAWWAYYAITEAPQYKSLSDHQLAAYLLVPNMLGGF -PIIYLHNMFVRAESDLLPPYLDLCRFTRDYDPQLHEYLMRAWCHKIVEPEKCLAGLMVDIYSLPIARPRT -APSVLRREMTTFLSSYTKNEDLQRLFKATARGVGKSMLRTFATANVYNAKLMGALYSCTPEQITEELVRK -FETGKSIYLALLRYRGYRRAIATMKRVSYADTLLHRFRCELLSPGVKHPPSVLPDDALDRCSGEVCDQLR -AELWGKPVIGVTQPSPQHQVFGGWIERVEPTPYNLRFHFELWHTHPSGELPHLFSIGASTPFIGAETGRG -LAKPKAELVTPSVFTDKIRVILEVYQWSKVAGIEGEVGNLHLMCEQLLLDYTGREVQEFIPYAGETRYHK -TVQHHVRANSYRASIVPNTLLNIYTTMRGNIYAHQYFKTSIEHYRVNYLQVFCHMVSLTALPWWCGDGQK -LTPRVWAVSTRCEWCLQPLFETPVIVQDESLPTSDLTGILRLSPHDLDNIRDELDSLEIPEMYAPPEEVD -ANILELSKQGLCEYLTTNMWSQKIAVQLATTQHHMSSRGADNAAAWLGTTIGSPVSIQEVRIIGAESLIR -SIAPLVRYYILTAFPYRLDDRCLAAMMTAPAEQLPWHGFLEILGHAFLTYEVQRVIRELAPTTDAVTVDT -TRGLTHQFGHFCFHFAPQQPQHIRLIVLSYQQEVRITRSVQLRIDSLRWEVLDHTVGAALREARIVGNRE -LIIKLTESLLALAIMDEEEFKAQIPAVGEHNIQASLFPPITDVTEFLAPDMFHRAECDHPSMNEPIVAEY -TEFYNPSDFVQLVVRRYNIGWVTMQVFIHRIYEDGTIYETTHAAALFQAHDPRIELIHLDPVTCLNHIKS -AADSEPMVETPLLQGAATPRFSRRVANSLYARLPTGRVAGAHIPLPLEPGTPQLLPEVNPIFVPTSCLRT -SGVGNQSMSKIIWLFQVLHIHMIPDESLVLCLGDGFGGLTSVVTAMTRRSTIVFNTLPLNPGTTPLPLDC -YPLAQAYANTIDTADMEVGIYDLTSPMLVDVYSERYKSVDLVLCDAETPTLTGGRYDAARTAIIRNVISL -FVRCGKPGSLLILKVYGHEWTLWSSSLHALTQMADPVDLVHSMCSYQDGEMYIVAHLVAPTEGWSVPAGE -YPSLVATRRITRFFTRLAARCQEDRDREGLLTIERKHSAAHSAIVAQVPCWGWSKVSEVLKVDVPLALRK -PAPIPKRWAKDTLSFLDAVQQPIEEEVRTGPRGQQAYTYDTMTHAVLLIDRLCVIQALKWAIESTALRGV -PLHHAPGVFVVVEYLYTLPRKYGLRRITEQNFTTTTHIQRVECNLYGSWRQAVRWGISILTHNLCLWQHD -QYRV - ->YP_009344984.1 RNA-dependent RNA polymerase [Xinzhou nematode virus 5] -MFSVQFSSIFSPWSLGQSRTLGRALNLEESKRVLEQWRDSEFRDDPVAEEIVPNLLLRNPIVSDLWGPKL -LYDLWTNRYPSPRGPHSRRIIELANENLKLQIHQLSRGNTIRVKSNRILDTFLDNGRLHSLLAVARHFRR -AIEISFPAQARIKLRNNPERVKEVVQKFGKYTLTYPPLTLYFTAAAVLVDYDNCLYLCSHAHLLCYASKI -DSLASYAMILPWMSETYLRPSDVEALDALISELQFSHTKYDQEFFECARIFDGLCQAYFLMTPDVDGPVN -NLMLKQLLRDIRGNALISEFVDRCASILHRMSAAGLIEASSLGKCSGHPTVDFLGGLSQLKERVNNERST -DYNAVKASVRALKYQYCVNFIMKHRVWPPLIPGASTRIQQLIAIDAVPDGPRAARVNIVLDDSDWDSVNF -AATREMQPLTSLLPYIRDRMISLDRDDTLSSYLHQGLRVLYEQKEALSPQERYERTALVLYVLLTRNLGD -EFREFLDKIVSIPSNDLTDVLSYLIIKVVPKEGELKVKARLFGEKTFNYRLYSMLQEITAAHFLSDVFPR -DQAMTSGELELKRRLHVLTTLKTPSPEYDFVHLVADISGWNNGFRQETVGPIGTVLDQLHGTTVFRKTQQ -LYEQSLVVSRLGNEVVGWNGQLGGIEGLNQDTWVIVYLSQMHAIFSKLPYQYYFMDMGDNFVVKLSIPKT -ELVKAGGPAQFAMNLSQQLAIKIRLYGHDIKPDETTCSHSAFIFCHQYRVRGIQMPSHLRKIAKMSGASD -SFFPLLDNYIGCAFSNAHATAEQGYLTIGPYYCALIWSYHHLLTSRWSIGYRNRRCTYSSLPDRSLVALM -LIPNILGGFPIIYLETMFLRSEADHLPQFARLVESLKSRAPDISTILALVLRQPRDPEASLITLLSDPRS -LSLSKPQGPLSILRAELNGRLTRVAVNEEIAQILSTQNDLGEEVLVKSLIHNTSWPARLLSTIYNSSGFA -ARDSIVSCFETSRTAISFLLHTKSFHLSTPVLCRRCIRADISLNRWRRSLIMGELGSGCPAFDTECPTII -AQRVRNYHWRRKITTITTPPMGHLFRLREDGTVLPNSTYMRIRIDRPTDRIGNISDHLVSGVHEPFLGHT -TSSATRSHLQFLRGKNLLLDNILNLSLAQSWSRLVDEDGSIGKLVDTLLQSYTDVKASRLQLLSGERAHG -TIEHHLRGRGFNPTIAPNSFTSLLSIMTYDTRQAIAFQTGQRYAVNFLEEILYAAYQLLLELQVGRRIPH -LDSTWVLLLHPCECFVPIEKLRITAKEVPGYLCLRGSLLPPEGRRQLNHSLEEAFTRVGIHGVYSSQVPT -PEESAVGLTLSYWGELASTIESLSLVGKTSGPTWRDLANLQGITVPKELSLNILRRIPPERLVTVLTILN -YSNIICDRGRVHQILPTTELIHLPGTSALIHLCRILNEGCMAAELSPYLVGTPRVLNSGLPPIYQVIWYK -YAPRNYWTQYRSMWLPGDISYHAFIVVVRALFSSSVDISAYRVGKLDHASLKASYKEFTMNGFLVCNVKS -WLPRLGIKTSVVASLLYVYRTHPTYLSDRARSSKSCYSLPALYIPISTRQEWTTLSLFHLSLKIRASLRE -EEDTSLTWKSPTMDPALRHLWTSPSSSASRVLYAFTALNLRMSHTTRIACLGDGIGTLSHMIACIKRKLT -IFYSTLFLGPSREQAPGSLLGIHNRVRSDYMDINLRDVTKNIWAEAYIANRDLIPDIVISNAKLVTAEEH -YAMVHAFGNVMSTFPTILCMLRLHAIEPTSVAKFIATMSQYASQVTFVQSPSSTFEGFLVARYGVKEKSP -KFAVQESQSLVRDLVCETAHCTPRECIQWCQKHHLLNFPILNVVNPLGITCRHLHMANEAEQLLEREFVR -FMTFSQSPDVANLRTLIPIISALCRRSSFVYQARGLFGSDLDALISSGPPIRTILHALANAGLMQVDTNA -SREDELLDIDI - ->YP_009337904.1 RNA-dependent RNA polymerase [Hubei chuvirus-like virus 1] -MISQRQVQFEKISSLVFERKFDTAIRKTTIKEFNNRYINNTLTLDDKLVTTSYYAKNINDAKCDGRLYSN -ILHTIIQDSSVGVLYNPGRSKTYQRMTQICTQVIDFQWEYLNHNNKLFESKHNKLTRKQWLDKFELNTLI -QNLFSFGSFVSFVVDKSSFIKNFKFRRLSEDQQIEIKQRVNHHKCHYIPLTFVWSEKLIYVEFKSNNYIL -PISYLLLIYNKIHDLISVLIYVTLAEGNALPSNSYYMTISFIQELINIHKNYDEQYYSIAKTLESLCIAE -TLIETETWKNTEFFNALNNELYNEFDFDYKNSDLRRILQSVNTPLRHELCCLSKILGHPFVDMEQGTYDL -FQKVQEPLTIDMIKVTESINFIKENYIRNHILRHGKWPPCRIDTTKAPKAIIMAQILNKDPNSLDIVRKY -GRTLIHHYLYIDFLPNMRFSKLENYIPYLKDKTVTLSRTKLFKCLWPGDADTQQESWRETRLLLVYLLNS -NLVVDHVNYINNYIDSEDLTELLDYLVIRIVPKEKELKIKYRGFGCKTYEDRARALAQEKSVMEFLDIYS -DEQAMTLGELPLTRKLYSFRTILNSYKGSSVLYINLDASSWNNRFRRLTVDHPMSQTLDDIFGVKIFSKT -HLAFEKSFIYVPDGLDFYYWDGQAGGIEGLNQDTWVVAYLGQIKTAMKALGFNYYVLCKGDDVRLAVLIP -PLVSRQQSIERIKSNIVKTLKESLSKFGHKIKIEESYGSENYFSFSKTASLNTVELPQVFRKIQKSHGAN -NAFISTLDEYIASAFSNVHSSCKVSPNITPIYAVAVLWSLHYLMSNKTYSNLSDIEYMTLMLTPSIVGGF -PIIFLHNMFVRAESDLLSPFIGMLIFSKTLNYDLYNTMYNFLTFRSTKPSSYIALYKDPYSLPLNRPTLP -TTLLRKQIIPSLRKLTKNEALRELFELIDDEITTDILNCLNSCNVLNVKILSNIYAATPQGILEELLRKF -ETSRSVYELLILRGGENLALRVIGRVYKAEMRLQRWRVNRMRGLNLRDGDSFNFKGLTCPAQIAQNIRDI -LWGKRIEGITMPPLQHQLEFHSPLLVQHNTWALDNHFTYHVGKTFVNPLFKNCPQQYMSCGYKPFLGYAT -RSGTIAPTVHFIEKDAILIKLKNILDLISWTAKYDITEEGIRRDSNIGELLHYIIKLYSPEDISKLGPFS -GKQRSGTVQHHMRSPSFKEAIVPNVLSNIYQQIIGKTNSHLKLRRSKDHFKVNFLHILCHSVSIIFQELE -FTNTRFGSEEVWTVTSNCTYCTKPIKETPLVCNIKYLRTRKLRPLSLTNIGNITERLLITSVTEFEKTGI -KIGEIPTNLTYERACAGVIQEFIDQTYTTRQRISERYGLINMTDEARAAHVHLIPQGRSRDIGQTEIKNI -KPEFLMKYIVPKIHLELVLLFPQLHQKNIASILGNIPGNELPWFCLIDHIYKCGQLGRFINILKNVSGIT -PPNCFDNPSAASHYIGHASFLIQETNPFMGEFIILSNYKDTDILRHLRMYAMSCLRKIIIANIVPDLKNY -ERLISIPHGRSTLIRAVALLILFCSKDFRNPEINEVLLSRLRDIRESKVQLMSPDMISQTILEYDNLQDF -CQEEECIYLQWQMQIYPKWNWEESYQYLKDHYELIIEIYNNMASKVALSVVYADLPTCIATVRSERPPDE -ILDDLTTDVRFLPKYDTDGPKIDFNPKSQRMLYIKHNTGIISEQYFHPAVYDFTTNKVILQQCYLNRPFG -GQTTSQNKIIDIFTLLYLPNQLGNNLNLACLGEGYGGILELLALMTYECNILYDTLPPNPEIETYPHAAH -NAINLNHHNIIYSHHSVDLYDLTKVMVMQHFESFNFRYYLTVCDAEVGDYQDYSRFKLVRNVTYFYLRNR -TTNGILILKMNVCEQRNIHYVIGTLQKYCDTILMIRSICSNIGGEVYIIAQGFVLPYLGSYEDNMLEPNL -QAYTSIEKFRGHIYSDYVASLGEKNRRLEWTQKTTIHQSKWAELGLESSGFSKTINRVGLPLNNDDLHFI -IIQKDLKAIAQYLLQKYKTIPQYLYNIVIDKDMIEYRQSTWEPSTRRHKTYTAERWLRQMGWIDVLKHIL -QSIDTDPLLEQQLRNAYSNHLAQLPDRLKWLPLTSKSFLQENNDDGFISRPYLYYIEGCHIAVQLLSFSM -VF - ->YP_009337860.1 RNA-dependent RNA polymerase [Wenling crustacean virus 13] -MEESQKKPPLKNPTDIVFERKFDTAIRKSTIEAFLERVVEGSSTFDDNLLLSHLPHEDMSMYSIKSDCLA -TLLSEVISLSLSNVPLGTIHDPIIQRALHLAWNNLSLQHHYSSQNTRLNSTNQAHSWLSHRIRNWSCPQV -IINLASLAYSMDLCIRKVAKIESTLSWTQRREGNLKALNGISQFDIQELGWKFRWSGRLCYICTGVDEWI -LPRHYLLLIHNKVHDLLSATLLAVYLSGVCYSQDFWKRQVLFCKHLFSLCRQYGEKFFQIGAALEGLVVG -ETLRRVDNWDNRDLLDNLCRELQEDVGYIYEGSYLQSYLQMSSIPEMHELACLSKIAGHPLVLISEGVEK -VYKRATEDLNVDLEAVRLCVCMAKKQVVKSYFFRHGKWPPCKIEPPKVKGDALGYACLKNIEPDEPSLEG -KYGKIETADWARLEFEPFLHFQRYENCIPFLKDKTISLLRTDVIRHYLRGTAEKRIPWRETRLLLFFLLH -GGRELDHVSYMKEYMEVDDLEELQNYLVTRLVPKEKEMKLLPRYFGACTYQERMRRLIQEKNAMHYLDLY -SDEQALTLSELELSSRLYAFRKIRHAYADHAVVRVQLDASGWNNKFRHQSVAPVAMAVLDRAFDTNLYWK -TMASYENTLHYVPDERRVYAWDGQLGGIEGLNQDTWDLVYLNQIRAAMERENLKYHMLVKGDDLRVAIMI -PPNLLAQHPVEWWSRRMMESVSETAKLYGHDLKVQESYASEVYFSFSKAASVKTIELPQGMRKIMKVHGA -NNAFLETIDDYVASTFSNAHSAARVLPTHYGPFWVGLVWSYWYLLNHKTYKELSDDHLLALLLVPSMVGG -FPIIYLHNMSVRAESDLLSPFLHLLTWCKKNYPSIAEIMDHFLRHRVPLSTNYEQLYRDPYSLQLGIPPT -AKATLQSFVLPAVEKITKNPDMKELIRATKSSTTREVVTCLDSASPCDVKVLSSIFSCTPAGVLEELIKK -FETGRSIYDLIFMSGRTRKQTERVLEVVGRADGRLHTWRMKRLNKLTRHLPHLLSGYEGECPAAQAQEIR -ERVWKRKITGITMPPLAHQVTVVTPHDGARNRHALLNHFLYEYYPPGEAIDESSSIHWASAEKRPFLGYT -TRTGNIMPTVNFEDKDVIILRVKNLLDLLSWVTRSLTINGSVITSNLELLIKKIITIYSPITPEELAPFS -SHRKGGTIQHHIRSRSFRESIVPNSLSNIYQDFVGHTDTHVTLRTNKSTHFRVNFLHILCHCVNQISVEA -QVSPTLTSPRTSWAVTTSCEYCITPIEDLPIRIDVSLIPSEIDNPLKICSVDDHARETILRSFTDFNTRL -FHTIDETGDLPHEIASYAISAEIIYKWDRTRVKLQNRHGAPALSSEAYQVLSAMSLKTVTREVGRTELKC -IDLKAVFACIKDLVISSTLSHRDTFSQEEMRASYATTPATELPWYHLIERIFEVGRLAELARIASSEQQV -TRTGLYNNVASAASWIGSICCHVPDKPDEENKIVFLTYMVGTDVSKIIRQRLDTIKWKILNSEVIFLMRA -YMPVRGATNENDKRKSELVNKFISVCCIDVDDETVERVVAKFRNQQDFETCTLDKMVSDWRDNIEAVCEE -DSDTYWGKVVQWGATNWPLWPWLETAERFDEGTGFQDEMFQSVVRRCKKVVFKIAVTNLDTAIQVVRSKM -TPSEILEKSETIPEPPVWSPLVARRSHIGHYAVQSGPIAGVTEAAPVSLRDFTLPEIILMESHSRRVIGT -TTSAMAKLVDLLSAYSLDIPLPPGSRFMCLGDGFGGFLECLAYLSKQCEFVFNTRPDREGIACYPESAYE -ALEKNGHRVFCDEIESGLWDLRERVTIEVLGENYTLVHILTCDAEVPWNQYNDIWNIWKNVVQLGASIID -QNGLVILKINLGAGVEVCKVCELALRWFRTVSITRSPCSTVGGECYLVCVRPIMPRIDVHREPDEVIVSP -RCRELVQRAIDSIHKKFEEDRDNPSSTIFTASTPQAHAMILELPLLADTVIQSRLGVQLALDGPLRLGNY -KRLAVIDAYCSRLKRIQKALNNDLFHDAYVMEFPGVDSYMHKMQVAMRSLALHGILYWLTTCRRTISWET -SKLTQRHVIEAFQVVYNTMPKRLQLPDWEEGSNTLDWHLEGYIRLNPFLRFMEGVRAGQTIASCIATACQ -PRYRQKKK - ->YP_009337856.1 RNA-dependent RNA polymerase [Wenling crustacean virus 14] -MEESQKKAPYLTPNELVFERKFDTAIRRSTINGFFARQTDDDATFDDKILLAHVQEDDLQSISTKPDCYA -HFLEHILRVSDQDLSLDNVKKSEFQHALDLAWKNLELQHLYSSSETRTPSKKEADAFLRSRIAGWSCPQV -LINLSVVAKRLDECVRKMSAIESSKAWKMRSAGDIDGLKKISSAKVPEVEWTISWSGRLAYISSPDSSWI -MPRSYILMIHNKIHDLISTTLLSIYLSGVCYSQDFWKRQLLFVRHLVTLCIRYGDKFFQIAAALEGLTVG -ETLRRVDQWDNKDLLENLSVELNQDIGYDYENSILQSYLQRCSIPEMHEFSCLSKIVGHPLVDLNAGIDK -VRKRANEDLDVDLESIRMCVNLAKKQTVKSYFFRHGKWPPCEVEPPKLRSDALMYAMLKNIEPDDPSLSY -HGGPIQVDDWERVTFQPFLHFQEYENCIPFLKDKTISLLKTDVVRHYLRGTSEGRIPWRDTRLLLFYLLH -NKHELCHTDYLHRYMEVDDLEELQNYLVTRLVPKEKELKLEPRFFGACTFQERMRRLVQEKNAMHYLDLY -SDEQALTLGELEISSRLYAFRKIRHAYADHAVVRIQLDASGWNHRFRHQTVAPVADAVLDKPFATSLYWR -TMQAYEHTFHYVPDEEKVTVWEGQLGGIEGLNQDTWDIVYLNQIRAAMYNENLKYHMLVKGDDLRIAVMI -PPNLLAINPVDWWSRRLMHSVSDTAKKFGHNLKVKESYASEVYFSFSKAASVRTIELPQGNRKILKVYGA -NNAFLETLDDYIASTFSNAHSAARVLPNHYGPYWVALAWSYWYLLNDPAYRALADDQLVATLLVPGLLGG -FPIIYLHNMSVRAESDLLSPFIHIWKWTQHNYPAIHLVLDSFFRHRIGGRIQYEQLYRDPYSLQLGVPAT -AKATLQRYVLPAVERITKNLDMKELIRATKSSTAKEIVACLNTAEPCDAKVLSVIFSCTPTGVLEEMLRK -FETGRSVYDLIFLSGSSRKRTERILMRVHRSDQRLQEWRRSRIQNRVRHIPHLLVDLSYDCPAQLAQELR -EKVWRRKVTGLTMPPMAHQVKLVLPTAAARDQHALRNHFLYEYSPPTEAIDTESSIHWASAGKRPFLGYT -TRTGNIMPTMNFEEKDAILVRVKNSLDLLSWVTRSLNTPTGIVLSNIEELIKRLIMIYSPITPAELAPFS -AHRKSGTVQHHIRSRSFRESIVPNCLSNLYQNFTGHTDSHITLRSNKAAHYTVNFLHILCHSISQLSVET -QVSPTMTTSRTSWAITTDCKFCITPIVDYPIRIDTNYIPIEMENPLRVCAIDEQAKNTIMRSYTNFNERL -FHTVDETQMLPHEIASYAIASEIVYKWERTRIKLQQRYGIPSVTSEAYSILSSMSIKTVTREVGRTELKC -INLRAVFECIRDIVINYTLNNRETFSLEEMKASYITTPAAELPWYGLVDRVMEVGRLADLVRIVTSDQGI -TRTGLYNNTAAATAWIGSACCTVPQRAMENIRIVFLTYMVDTDVSKIVRQRLDSIKWSILNSDVVPYMRA -YNPEQHAPEVREDIKTSLLVKFIAVCSMDIDDEIVANLVAQYRNQHEFQECYLHNMLADWRDNFAEVCEE -GSVSYWALIVQWGNKTWPRWPWGEVIKKFIEARVHPEPAFQQVIRLSRMIKFQIAVTNLDTAIQAVRGMD -DEDAESEETERIPEVARWRSVVFRRSASKRYNLKEGTIAGVEDAGVLPRYDFTVGPIVLMEAHTHRICGT -TTSAMSKLMEILSGYGITIPLPQGSYFSCLGDGFGGFVECLASLSRACYFVYNTKPDREGITCYPDCAHE -SLEAGNHHVYNEEIESGVWDLRDRLTVTILAENHPLSHIVTCDAEVPWDGSADAFSIWENVVLYASKVLE -DNGLCVVKVHLGLPEDVARVSQLACKLFKRVCLTKPTSSLLGGEMYLVALDPRSPRAEIAGYTWVREPSI -RCRELVRRAIEDQYRHYENSLRVPRTKIYFPLTPQQMYMVKELPLLADTVVQTRIGVAISLRRGLLLKQY -ARAEVAGWYYGQVLKIKDALEKDLRHDEHVIDFPGMETYMHKMQVAMRVLACEGILLWMKGLSRLSSWET -EWVGHEQVETGFVRAYERMPRRLKLPPWEVGNNALDWHLKDYPRLNPYLRYMEGVRAGQSITGCIGVACT -PIYRQRRQT - ->YP_009337428.1 RNA-dependent RNA polymerase [Sanxia atyid shrimp virus 4] -MPSVFSKVNNDASLRNPEHLITMRKLDLALKDGPALKLKHDIRNNINTVSRRVLETHTTSQDLLDASTSV -NIWPLLLLKIITDSNYGTYYNKHNQDTSSRSLNIARQLLTIQTNWMNQHNFDITAPEFKETFKTWCDKSF -MSDPLLANLLFLEDLFSSAVEMSGQVSFLLRKKKNRLTPRLISNKTFMIIKQLDLFICWSNGLVFLRHNK -QGYIHQRQFLLLIHNKLCDLLSVYLLSKFTENVCFDVTAQKQVIDLIEEMCNLSIRYGNDYFDIIRTLEG -ITTGEILMSEEDWRNDSLIQSIHQDLLDVNFCYIGSRLQQILTYASTPLKHELSGLSKITGHPIVDEEGT -AERAYERANKVKSLNYLAINSSRNLAVESYIRSYILKHRKWPPCIIQPEAGKWLHSAKERNLDPYHPNIQ -KQYGSGTFEEFTYVDLEPVKELDQLLHYTQYLKDKTVTLEKSKIFRHYINVYDKPRWEETRLLLVYLFHT -NEDLGLSKYLQDYLNTAPDMNLALDYLVIKLVPKEKELKRKARLFGCKTYQDRYRGKVQEEAVMSFMSDY -VQDQSMTLDDIGLMHRLYSFRHLLKAYKGWKVLYVNFDVSGWCSNFRHETVHPIAHDILDKYYQTGDFFG -KTQLAYEHGLFYIPKQDGSGAYHWEGQLGGVEGLNQDTWMMVYIPQMRLALHEFQAHMFVMAYGDDYKAA -LLIPPDQANRDITTLKNKIIEVVSNVAQTCFAQDMKVFDSYGSECFISFCKNASVRGVELSQGFRKIQKC -YGANNAFLPHIDDYIASAFSNSHSASRHMPVSYAPFLVGAFWSIHHLLHHYLYEHLSDEQILALLMVPSC -VGGFPVIYLYHYFVRGESDHLSTFLDLWKFTTSHYKTVSQYIQYGLRYNLMNPINLEALLRDPYSLPLSK -PPLPSTVFRKFIMPSLESKIQNEDMLELLNLANDQFSKYAIRRMVSSDLLQPRILSSLYACLPASLVEEF -TRRFENSSTIKDLLVLKYGFFDSQSKLRKVVVADRKLQKWRLGRLLGNTGEVDHSIKVLQSTDWCPARAA -DILRADWGKPMIGITMPPPTHLLKFVTPEDGVASQYDIRNHFTYEVHGIVSDNVHDLPSQHWSLGPHKPF -LGHRTRLGTEVPQVRFIERNPLLSNLSKLLDTLSWVNKSIVLDDGTVIESNLYTLIHEIIRQYYTQDPEF -LKPFSSQRKSGSITHHWRCPHFSEFIMPNQLYNVNTWVIGDSNTHAKYRATVEKFHVNFLYLMIYTYNIL -MQMKEISSVFIIPRKVWAVTRECTYCDTAIREDPIIISDEEWVLEGRHLEGVSLDKLSQQVIEKSLHEWN -SRGTFKNPIQLDLEVEDAAYGVCQKFIDQVHSTHERLAARYNAPMLTSEGMNILSAWGNAEGQVLIRETE -IRHIPPPIMASCILTFAYNWALKKYGFKTVLLTDIAVSTINPRDLPWYPLLELIHASGMLGELIHEIKDM -TNRVPGMVYDNPLTASKYILNASMEYINSGQGTSTTILLSYYEDDQLREKYMNVCENFRWRIFFKSILHL -YKESVTNQDITSLETLTYATIILFSSPTADFEEAVGSDIREYGGVETLELLTYDIPDDDDYLLMLEDEES -LLYLALEILDTYHDTSKDLRSYLDEGYSNGMDFLLSEVLQTNTHKFIRATLADCITVIRSQDLPPLMQYQ -ILTPNQSESREIGMTGVETRTHWISQRLKTVDMPKIDTYHLQGLLLIYPSEEIIVSEHYLHRPYSRGTST -INKHLALESAIGLDPSTLSNKFFAFLGEGYGGALDSMAARCKNSHFLYNSLPPAGETPNFPHIAQESLHA -NGHTYDVTLCNSGIYDLCETSTVVALCNNDNHLYHFVSCDAEIPWENEDKYYRLLLNACMFYLDKRSSHG -IFFCKMFLEAIDSINKVLSLLVEFCKSVKIVRLNSSPPGGEIYVVCRGRRKPYRISSHPDIIVSPQIARQ -VFKITQIVRNKYQKMIPNKEIVISFTPPSHIFYLKQFVKIFNPYFIQTLHGTKSISIILDYWVYPNVSLT -AKIERLLSDLDHLSRQLHKRIETLHSQRSAAELNMLIRRYLFIRSIIVHANLLKNDVTEIRQSQVRTTYV -TNLASLPDRCRIHDLEHTLYLKDVTWLGLKGNPYQDWIDGLNVIFSLKTFLELLM - ->YP_009337089.1 RNA-dependent RNA polymerase [Hubei chuvirus-like virus 3] -MATFISQSQVSYEYPHEMVYERKFNIAMRSTYAANYLSRMETGELTPKDLAFKKVVIDMGYSEEYSCNSN -CYSHVLDHLLSPSSRFNLGREERRKSQRVSSIAMKTIDVQVKYLMNQYHVSNKSIVMRKYRQWASGVEFK -TEIARLLCFSQWLCEKIDLLARMKKPQGRASEEEHINHLTSCNHARFEDIPFSFVMGDGVCMISINDKVF -LCPSSYLLLIHNKVCDLVSVLLFASFGQGNLLPLEAYQTTINFMRCLIRLSIKYKEKFYDISKSLESFCV -AESIIEHEEWQNREFLNVTSSDLYEATKFDYMSSDLRSLLLDADTPFRHELCCLSKLLGHPYVDMEEGTK -SIHSKTTEVYTVNLLKVQESINHIKWNYVRNHIVRYSKWPPCTLSKGASPATEQAFVRGRDPYSASITKR -YGQPLISDMSFIEVKANERFNKLDNIIPYLKDKTISVLRSKALKMYFDNQEEGNSDWKDTRLLLAYLLNP -KLVHDHETYIERYESSEELDDLLDYLIIKIVPKELEPKVLFRGFGCKTYEDRFRALAQEKNAMRFLDRYS -DEQAMTLGELEMLRRLSSFRNLWRAYVGYKIMYVVIDASSWNNHFRSETVDDVMKETLDKIFDTTIFGKT -HKAYEKTFFYVPNGHEAYSWEGQGGGIEGLNQDTWVITYLGQMKVALSGLGMPYHMLCKGDDLRIAIAVP -PAVWKTTELRILKNDIVKRISENMKDFGHKVKVLESYGSSVYFAFSKSASVHEIELPQGYRKVQKCYGAS -NALLPTLDEYIGSSFSNAHSACHTEPSVLSCYGVGLYWSLYYLVNHSCYKDISDDALVALMLTPSMVGGF -PIIYLHNMAVRAESDLLSPFLGLVHYLRQQGDPAFEYMRNFCTAPLHEPGTRYTMIYKDPYALPSKRPSL -PSAVLRSHITPVLQKFTRREEIKELLEASKSDAMDIIHDVLSSANVLNSKILAALYAATPEGLLDELIRK -FESARSIVELLIKTLGYRKSTTMLYKVLRAEKRVQEWRKARLKGISPGREFDYSHMITACPAESAHNIRL -RTWGKEVVGITMPPLQHQVYLTTAFSAGSTEWVDKNHFAYTINHPLKNINRGRSEHFSSGQYKPFLGYTT -RNGMTEPTVHFIEKDPMLTKIKNLIDIITWTKVSRLRPDGSEEVSNCPELIATILKSFTTTPLEALAPFS -GVRRSGTIQHHIRAPSYRESIVPNVLSNVYTRILGESNTHTRFRESKEHFHVNFLHIYCYCTWLAFIELE -FSTHISTPEVMWSVTTECPFCNRPIEEQPLVFDTSRLKYVHLHPLATTKLGVVSERIMKESLDIHTAEKG -TPLIVGDDTTISFDHAVIGVLQEIIDQTFTHKRQIETRYGVHFMTDEGHTILSHFQPKSKHREIGLTELK -RIPNWQIVQHLSMLVEYYTTSRVHKGAYLPPVNSVQALSIRGEDLPWYGLLTQLYRCNKMARIIMEIAKF -NETPTPTCFYNPAEASKYIHTNARLLAGKLQLPTTLTVLQYYSSSQLQSKIQMTQEHALKKIIDTDILRA -LPKKVGSAQQRVSSLSGVLVEAYRKCIVLLGCVYMSEDIAEQASQHVLETKAKEVGLVNTTDLGYLALME -MMESDEDTQGPYITSVLARFRDLGVEWDRIEEWDDEQWDDYIGYVGVHYSQKKVSILHTNIGACILRVRS -ETVDTDSSPEDILSKEVEQIPRHPAVPVKPRYPPPSKITLGKDFIEPYADYHLEIPLDADRVKHIPLDAF -FRIHGAGTGSETTLLYLLKGLHVELDPHNRNAIGALCVADGMGGFTSVLSSVYPRSVIVFHTIPQDPSVI -TLPTAALTRDIDNLILSEHLDEGYYDLTTPGFYSRISKYKVPLHYTTSDLELSEGNLDQFRPIMGALLLH -YIKYRVGPCVFIAKVPLFKSRDICKALDVLLEVCHYVCLVGPHTVPVYRYAYIVGAGLRHSPTDERIQHV -FSQPASLDAANLYNRYVKSVSRYYSSLGKLLVQGYDLWGPSHVVGYDKAFPWFQFEPRFLSMLARSHHSV -CRVITTENTDSIPDWSTIKFDVCASHNMRELESLFRVDSYKMIRDLQRYLETGIDQRASHRESVIGRIFK -IKGFLALMELYRGFDQPWIITTGYLDRVFTETYDALLRRDKRGRPENHSLYEGDWFYDERRVYYASSFLE -GLEGALSIGGYISYVLRHPLDKARYAEHQKRKRREVEEL - ->YP_009336946.1 RNA-dependent RNA polymerase [Hubei odonate virus 11] -MYAQSQTKDYSNKNPFSILGDRKFDTALRASTCLNCKGRLVKNDDTKLNPHDKLLKESFKNIFDDDLVDL -LSGNVIQLNQNILPAILIKTLRTVWTKSFSHGWERKTNQIVSNLLSIQSSYHLSNTSQTIKSGFYPQLKT -WCDKTTITKEVSRLCEAAWLFEQLITKINQLDLSKPETLNDYKKCSFNIPQIEFKCIWNGRISVIEFNGT -YVMVPQSYLILIHNKLCDIISVLVAAQSLNGSGLEDSCYESTVLFIVSLCKDAQRYKSKFIEYMKVLEGI -GVGLTLIDVDGPTNDVFLRTLLFELQESYRINVEGTTAFEIMRSASIAFRHELMCLSKIFGHPFVDMQRG -SFTIRDNAHKKSSLDSTMIKTCVMNAKRSLIKGHILRYGKWPIVHFKTGCHPALINSCFENADPESIKIR -SRYGIVKLDDYDYITIGYNKELSKYDNFLPFLKDRTLSVLRSKVVGFYIEKINEEKPQWEETRVLLHYLM -SSKDMVDHVKYITKFENSCDLNELLEYMIIRIVPKEKELKEVFRGFGCQSYFERARRIVQEKAVSEYLDL -YYHNQAMTLDYLGLVKKLRSLATIKDAYPGYTPICLVVDSSKWCNMWRSDVVDEVCKETLGPYFGTRLWD -HTMHSYQKTLFYVPDEEETYYWYGQDGGIEGLNQYTWTFVYIEMLKTCLGKYVDQIPIQIMCKGDDVRIF -LMIPPEILETTTIDEFRQEVLQTMIDNCAKFGHKVNFEESYCSGVYFAFSKQAYCDGIELPQLFRKIQKS -HGTSNTFVSSLDEQIGAGFSNCHGSSRVTHASLTPLRIAYFWALYHLKLHYQYRMLNENELTCLLLVPNL -LGGFPVINLGNLFVSSESDLLPPFLDIVNHCTRLYPDIAIYLKKFLLVKTIDPRSAFQGLLVDSYSLPIS -KPTAPGTILRKCLAERLPRLVRHQSVKEIFKLASKEVNEKIEECLFSCNVYNARIMSATFACSPLGYINS -LVRRFESGRSVAEALVKVSGRASAERVLLKVISAERSLHEWRIKQLKSKNSFDTDLMTYHDSCPTLWADR -IRTTLWGKPVESVTMPPIKHVTFIAQPEEVESNSWAINNHFKFIYNRPIKVLDRYNLPGYQKGGFSPMVG -SITRTNLASSSIKLETKNELTQNVQTLLDLTSWVNVTYNDDNGKECKSNYVELIKYILRMYLDVDPETLS -VFASVKRSGTIMHHVRAPNYRVAIIPNTLSNIYQCFKGVSNSHDTFRTEGGHYKFNHLQCYCDVVSTLSS -NYGYCNNFNLSNGQEFWVVTTDCAHCKTQIMETVLILDQSIIGQLPIGFVKTIAVCDSEAKTIYQAVDLE -APKLQRKISDIRSLNKRLIEYCVLTEHFDEVYLRKVRHTSYIGTNAGNDAGYAHLSSLITHHDAQRVSLT -ELKKITTQAYVTFLTIYVGDYILSLKVGTTLFDVSAWLSTVKASSHPWYGVIQTIQSLGRLPQVLDALAL -ASMSCDPSCFNDLSKATIYIGQVCYKAYIEGRMYVPPVVIFSAYQILNLSDKVNAQFRYAKHRFCTLSLV -PKLNDKDLLRTDNDKYYRVLLMYIYCIYLGTPNEEQINMRLEKMALHSLFTFDWWSHKLIDQDLLLNLME -NQSEIDDRVIKRIDEYGTVPYDSVLGDVLDQLEEIEESLTIEFNLSPVDFIYTTYPTCAHRIREEPNIPI -LPLTSYIRRSHRALCEISPVVQESPHLTWSMRMPKYRNCPRNYVRVPESSLQVPQVHKILLCESEQHRIF -SYGSSACNKLLDILLSIGLNKLPPNIKCYNLADGQGGFLALLMNLGVRGEFVFNTLLQEDGIEVYASAAL -SSVSKQLATIHYGDLNKGFDDLTKSRTCEMLESLISSCNIMTCDAHSSHQTTDQRLRLLSNVITLYLRKR -TPMGCLIIKMYTDEVQALSLCAATLTQYCKTVFVCKPISSRTNSELYMVSFNAIADGSNVNYDLSHMCYL -PLRSLTNIISKVTALVHTLDAINKDECISVQLDKCLIPRDVKILLDPQYPKLLLNLLGYCCPSGPPSRIV -TAVRERMMYVNNVVAGVQAISVSSLKIISDKGGQVLPLQDVNSRRSIQQVFEKWLIAQGFIAVVKKWINR -QMRLTLQDCKDNYKLVMEQNCPRRLKLLPITESHFLKDYTTGNFPCNPFGAYMKGVHCALCFLSYINV - ->YP_009336866.1 RNA-dependent RNA polymerase [Hubei coleoptera virus 3] -MSHAKSIFDPSEPAQRIARTSKSFQVEDKFDTWLNLTPWILVQDHLSQCDNWGTDLIKPINHLISPDTCS -DLYQHKFEPMDVSSSIVTPTLPAMVITHILTNAKMRNHMSDKSVREFMTTTLEAANLSFYTQVGHLMSNC -SDQVTTLLNPTLDVWTDKFNNIPVSAYTALITLEDLLKIQELHKINNDFVEGKTPRSRSLNRFRKSPMFY -HIRSLDIKVWMAQDLFILDYKMRRFLVPLNLWLELFNKVADLTSLLLFNHFQSGTVMPDNHYDVTEQFIS -HLAHKVTYLAGPLSNRPTLEKLNTGFAYLKMIEGLGVAVLIERGDCMKGWINEELGHNLWKSITDANLDS -CDGFHESSLYHIFSQMNASQLADLMGTVKVCGHPSIELQKGLRELYERTHANLDINPETVMNSYGVLVRD -LFRSFYRSQKKYPNIEPTSIADCYNLRELVTRNIDPLSETGSALWMRISREEWKSVRLLKTMEFDPVDNQ -LPLLKDKALGLTRNHIVKQLMYSENEKNKRFIKFEQRRVLLSFLLTDDFNGDFRQYLHDFNNDTDWLDNV -WRYLVIKLTPKELEEKAKGRMFGASPTVERNRRVVMNRNVMRVMDEHYPDQLMTPGDLPMLKKLYSFRHL -KFLYPHHKALQVSFDFSKWNNNMRSESIDIPAKLLLDGLFGINMYGKTMKAYQNMLVYYLDKTFKEHWNG -QLGGIEGLDQATWSLIFLGGIKWALERVGTIYQVTVKGDDVRAALMIDNETIEKDGYVSVKNQILQALQL -LCNEMGWELNPNECFVSLTVIATSKQYQFNDTWLPTASKKMMKVMSLSNLVFPTLEEIIGSVFSTCHSAC -TQATAILPAFATANLIASHILAGSFSKDRLNSNEVAMLLMWPQILGGPGALPLQTFFVRGENDMLSVSIS -LLRHILLNDNEDLKRIVTKILSIPKDGRDNNEKLISDPYSIPLKVPMPPSTVLRNEIRRTMIYWVRNPDI -KLLLSHNGQRDRNDFIEILVSMHPYTPKLATALWEASPFALLEEIIGKFENSGTIVAFLSRDKYGNSKAS -LAMKSLGKVLSAGRLKMRFWLSTVKNLHAGRGDFFEVPYDMWLNPFICTTHIVHLIRERLWGREFHGLTY -PSLVDQNMIYHPSDLTRMHPEWATHNITSQIFVRTGEIIHQTDDFNDHYASVPGNIPWLGSVTSTRIVFP -YYQSEITSPPLAKIKRLLALRVSSNYYGKSFTQTIDTLIRGLTHLDLSHVKVLMPETGGGHLAHRVATNS -FSLSTTPNFRPNLSQIVQVNNESLRLVRTSKDDRTINYAARHFFLIVLGTFELQSSLRFRDRTSFIWESV -LHPDPRYLPEYKLCEYCCNIVQDIPIKFLNQKYLDLSEYSKLPLVGASEYDQSVLRANIGKAVKGRARKL -AENVHFDPTDYICLEAATQVVIDNLLNDSVLNYQAARNAGFSRIPTGKTLELMSSSMQLTGKTTTTFSIN -LIRSMPATMLYYSLVSELLGWYLHQVQNITTKHLITIGDKLLSHKNPLTSMFSELSLASVLDKIALGARE -VGYVPDFIWPLGSSADGAHASLYFIKSHEFLFIEWITSQQLPPKIKILKRMPDPQTFISVMENEFDNILI -WVSHWCNRNETYPDIVSSYLHWMTDICSNLDLDENETPDQLFKRRNVRCLEALKNFEMYDNPAYMDISRM -VAYFLIYILPEHFFERADWVNDDVTYTNYYTFELDICQTTPIGYFEIPMWDHLHYEEKITFRQVKEKFPI -SLVESLWRLSYNMLNQSGFRDEVVISIEEGIAFIKEKMFWMNKKTFVILSNEDAERTLRSNPIDIGRDFT -FITDEAQGGPIESLWEVPFRFKDICQIAFDGSHNNQRTHFQINYTRDVMTPHFERILYHINIIENLENNK -GVFRVGWTGGLRIVGGLNASISKYLPIFSMLHLETLFKDSRSYTAITIGDGGGGLSKFLLDSFENISVIY -CSKSVVDDKSSKPQDSAVTNAPAEFLTHYMHSDTKARLLWQGCYPGDITLAGVREMLLRHQQTLRVPCRL -IICDIDPPLEKPLYYYKDLLCSTLKVGLRLRINNPLIIIKLKLILSIELLRFLYMCRCLFQHFHLVPSTM -SRDYDKEGFLILGDPMSDGIVRDPELLDRIMLNHVSVDYNLEGLNFVSKSIKKTLLPYWKHVCLGIPRHM -SISKLGQLVHLLDIPLRPFSVVAEFFTIQVVRRSMDACQLIQFIHMGVRTVISDVDYELTTIFVKPTGKK -KYLLRPITTPLKVSISTLPSGLFQVCTRLVRILTLDAMLEDIESDWGNYVVNPIPDLIDKIMENLHMHLV -SYSNYIEVEVQDRMFHLICHINTTQLWKMNLTQTILKSMENGLRLINWIKLLSFFMLTESEHIPSLIKQN -EWLEVHDCCYNNALGLSNYKYFNKVPEYLPSFTIDLDGNFLFPVYNQVTSVVAQMDMVVWDYVRSQTAEE -LFLFMLEKG - ->YP_009336632.1 RNA-dependent RNA polymrease [Wenling crustacean virus 15] -MVYWRLQRQQSRLAWRHPHIFLTRDEKFGRVLQRSDILQYRRRWAAYQDQPLPAEVLVSATEDERILHQH -DRNYHRHRVDTTFVPTLLLDVLSSQHAPPDPGNTGIRTIGEVVARVMQFEDGYAREALDTELAVGPSSPV -TQRVVAFLRTPLAQNCLGAITWFESCIDRHPECSLFIAGAIDQDERIRSKARASTSHYLHLSLTAKWTPH -HCILAIKDSKWTLPYEAFLECHAKLCDMFSLLVYCEASAGVYLPDDAMPTLQQAVRLICEYHVLDPGSFF -QVVKALEGLGIGQLLSQVEEWSEPNDEFLRETLAGFLPHSHGYRFAQRWIALLRSQPVEFQTELSCLSKI -SGHPFVDTSGGVRKVQSRARAPAEFAPGTADRLADLAKAQFCRAYYAKRRRWPKISSLEGVPNHLKQMIE -GNKRPDDAAIARIHGCALVAEDYRNLVLAQEGVVACYENPIPLLKDRTISPRLEEMVRVHIIPLLAKALA -VPHGPRVSPADKARSTSLLCHFITSTMGQLGYDEFLQAYRREDRFELPLVKSALLLKLVSKELELKSDDP -RQFAAQTVPDRHRRQVTEAAVGTFLKEFSNEHTMTSSEIKLQQQLSALRRWGKSHPDSTTLIVSLDVRAW -NNGFRASLVDTVMSNTLAAYFGDPLFEAVHAAYEHTHFYSIEGSSFVDYWFGQGGGIEGLNQYTWVWVYL -VMNHSIFERWEYKYTFLCHGDDFRAYISIPNSVVAARGMLAIKQEIIAVVKRGLADFNLEVKTYDSYGSS -TFSSFKKIQSVDSIVLPTAFRKMMKCHSSMDMVLPLLDAYIGTCFSTAHGGCFTAPTMVPAYATALMWAC -KHLLSHGLYATLPLSVLAALLIVPANLGGFPTLCPENLAVRASADQTTDFINRYEFARRCAPDLALVFER -FLFQPMGVVAAEGYSKAPVLQLLDDPYAFPISRPTLPLTLLRSDLVPHIRSITRNELLREILQAADQPSD -PAVADALSQSPVWSATLAAEIHSQSPQALLTDFLTKFESGRSVLQLLLAAGTRKKVIAKTFRRCMRQEQK -LHRWRIEVATGMKGLCDPLRRAVEELPLDFRPRHAPHRRCPVRIRDTLASTAWGRKIETAVTAPPRHLVY -IRTARDVVASGPTAGHFLVDVQSTESHHALRSQMYERGPGEPYVAGATSSSTQPGSLIYPSEDPVARRLV -NLVVLKSWADTPLPALDGNGDRTDSLSSLALYYLHALAPSSTVETLCQAGPSRLRGCRLHHIHTRAGRSD -IAMNLSSSWFSKISVNTDANAVYARSAARHNINYLHVVCHIRTTLLTRLLWGYGSGPEDGTYFASTDPCD -HCHQPYVEHPMEFPTDRLLARPSCMVQNLAVVHRELLRLEDAIKSYHLEHERVADDVDAQDPGLQQRACA -IKCHQFTATQNRHYAEVRDIFASTTGVLTHREVGELAPLSLSGAPNLSQRDLRKIPISAFATELVVLAGT -LYARDGAPGLSIKDWFSYEASAISPSLLPWYPVISYIHESGFVSDFVAQLAAFCSQPVPPTGCRTPVDTV -RTVTLLGPALVEHPTRKATVLNVDANVSEHGFMVRLREWLLGRWAHHHARMWSVAFEEYDESCPAVRDYL -VWKTVFRHLHLPESLVAPALRDLRGPCRATTLRLFPLEQHHGPDPDLSDPESVGLLGTKSALQGLVQDCE -SWCGDSDSETLLHYVLTQIPRLGLQALDTVQCESLSGAEVTAVIEEMPIAYALDTEGPSREVTSSDWVRL -SEAQLPECWRSLQPHKRLRYADLGAAEVRTGLITRSLVLHPEVSLQHPSLLEAEASEVFTGMGWAPSSSP -LSAVVIEDTGGAITRWLLQRYPAMTVIVVLWRQSASLELPLTLRTRSDAAERVVTPLQATGLDRIGRPGY -REAFLQTHPGPHALFVVAQVPGTNGEDRHNALTSVLGLASQTGTSNCALWVRFPDSIPSSLLGVVTATST -VFRKVAVVCPECCPGSGHYGLVGHGLPTTAIDQPDILPTIAMRHLEEIDAASVARDQLYRDHAHCADALP -LTLPAESHGVCLPPEQALCSFKANLLDAFGVTIAATNGHDAVQEVRAAIRGVRSGLSRSAHTLREAHRRT -CVWAGLRLCMDLAQELLPHDGLTTLPSSSVCRQCTYIRRTLELHGSRGWNSAVTWYDRPEVTAVLPGEMY -PAHTLERSPAWTQMRHSAVCCSYWLTWACKRP - ->YP_009333178.1 RNA-dependent RNA polymerase [Beihai barnacle virus 9] -MAYRIGGGLVCDGPHPRRGYLRNVGVLFDEPRKFDGPLRKWATEKFIDRLKAGRPHWEDIPLLAHHPETE -LLASRLSTDFLPRMIQDILDHVVRRPQDLDVGDAAETLSRALRVQVERINSSLGPGAEHLKIPSYSTAEL -SRVIGDKTVLCALGALEGLKEIPVAMASYGEKPSRKSNLLANIRHSAHSSRYYLRALRSWSFTLSRGVCV -VGRGGQTWVVQKSAILELENKLRDLISVLMLSKMMEGGPLPLNGLALTKNMVRVLCTSHCCYPDTFFSLV -SCLEGLAVATIIAREEDWVNVRLLEKLRADIKEIKGGHWFLSNVERIFSSASTELIAELSCLSKITGHPL -VSAIEGAQKIYGRSMEELPLDPDVISQVVNIVKHDYVVRYRERKGVWPPLIMGERAPQRLTTAHQRNRIV -RRFPREASEPRTEDFAHVTLARDKSFDIVNLDLEELKDKSLSPSMSALRSRYGTEIFRDLEQMGGAQLET -WAVACQRPEFRRQCSLLLHFLYAPLSELDIDRTIRRFAYDSVTDPDMAFEYFVMKLVEKEKELKEPPRIF -GSQTYVNRSIRRSVERSIESYLRDYIPEQVLTSGDMEYARKIEAFKNWRTIKPGFRVAYVNFDASGWCYT -FREGSTVPPCREVFDRVFGVHAFSKIMQCFGQTHFYSSEGDSNLVYHGQLGGIQGLHQFTWDAVYIPQMA -RELRKQGLEFHILCKGDDFRAAVLVPESQCPTDEAFAAKVEELKVEVCEAASRNFGYKIKATDSYASESV -MVFSKKIYVKGVELSQVFRMGAKIHGTQDMTLDSLDSRVANIFANCHSACASSAAYIPQYATALFWAYYN -MADSRVYRSISQAKKVSLLLAPSVIGGFPIIPLYSCFLRAESDLLSSALDLMMTALVVDPEVGALLKNLC -GQPPSPRKQWTNLMRDPYALNRSSPPPPLTILRRHIRILLEKEFREGKAKNEMVKEFLSLYGNSSALGWD -SCFSNCGVIRAKLLASWFENSPFTLVDTLIARFESSKTVSSFLSDARLPKRKQNEIKAGLQKDQDLLDEW -RVKALDPPREQSEAIRTALNLQCPAKAADSLREAHWGVKVETVTAPPPTHMLVLMSPHQAVSGPALEVER -RARNHFGVEISLPGDPRRSAHMTKGGAKAFLGETTALGISGPALELEEKSLVGRRVLNLLMLRSWARTPR -PMISDESVSAQSSLVELIDLSLSAYGYPAEAALEPFSRPRVRGTESHHMRAHNFRESIAPNHPTHLTTIA -ATAASTHQVFSLREVNRPLNFLQMKGYIHQLLCLHLHKNRNFLIHDQESFWAVTTDCEWCNQELREHAMV -FEIPPQIRKGFPQLQHLSLSVECKERIEAALAEYSTDRRLVPIIYEYSPDSTRVFQHSICKTILRQADLQ -LAATVAYSSGEISEGTIENVSMAYRSGTRSTSLKDDIMRYIDVRLVATILVKELLTHLIRLTAGSNVALA -VARLNMTRSHRIPWMSLVDAAQRSGVYHTLARSLAELLGESVSSLEVSSNKEFARSLCTATCMSVANVGI -PDEDEDLIVPVPPGASEEDVVQAVERQCRGYFLLMASKVWAKSVWELGPLEPNEYREAAVFSYFADRWTI -PHQWGEFFEELRREGHFWERIPKWEWLEDEDSPFPWNAVSATTVRLLELSGETVPNPEWIMLDMQEMCEE -LEDRAMGRRAVAHAAGPLVRIHTVNPVDAQDYLKTYVPPLRRDSDRDPAVLSRRGPSDLFLPSWEDPLQD -HVHGLWNIDDFVRWAPLQDLPDLDLTRYEAYHYGRSTCLMQLSTIAPSRMAEFLYRSGANKAACCSPLLF -TAEGRGGGMWAALAFWTKLSACYNSYFGPSETSKAPDDCLLMGVESYRICLGMVTTDNDLSVPGCLDRQS -FGDNEYPLVWCDLDHLKGGSLARVLANVCDYAVPRLSLGGRLVWRSTVQMVRHLYEGLICLKQYFESIQM -MPLLCTGARVEILMICTSPHERKMEALFPNGLLEKLQHMTEDIRDPAKAPSYELYFSQFCAWWGKHFQNK -YVASGLVLKRSLGPLSPDLIRADRTHLITLVAKHSANMVTILRGDCDLRSARGCLDEVIVCQGALEGLRH -GVPLRPSAYYQIAQSAVTQTMRYIEDAGLTRWVFVPSEDRADVWRLSEIGAGRQESVFVHDRIVAGLASS -ASLLFWAAPRFARAAGRRRTGQMGH - ->YP_009333157.1 RNA-dependent RNA polymerase [Beihai hermit crab virus 3] -MSQSQSSGSPVGIDLLTYPEKFDTALKLGPLRNCVIEYKTSQKLMTECLNLKTSQPDHQMVPELFKGLRK -SDLVVSSLVQAKWLQQWLGAKTAGIKKKVSKIPNCQKVIDAWKIIASNNIGFVFSALPPDHLDVTKSSLQ -DLMDNLPKSLSCQSALHLFHLDRKILNDHKRLALDCQQWIKSPSPKPKLNPVVLKIPECKTEIRMGWDVG -VIIIDEVPFVLNRDLFLLWVNKLGELSCALLYCASQTQLFGSTGVEKLRGLLKILVRTMLRWSHTGDWDN -QTGFTLCKTLEAVGVGLIIQQEDQRRGWTNEDFIIGTIQGLLDDNVITGGVPESTDIYSYLKDLTSPEIS -ELIGVAKLVGHPDIELEKGLRRLYTRTHEDIRVDVVTVKNSAGLLVFEFCQRYYQRTGTWPPLLIPATGN -FDRLRRFVEGGVSPLSVEFTSNIGLSYEEWADVQINPCIENDYWESPLEFLKDTALSPSRESTLAFCLKN -KLRPNETFQESVKEIRRTGQYRLSNSNRLIIQFLLSDDSYWQSLRYREKWATYESMDELEAECATYWVIK -LTPKERELNMLGRFFGASPYPERDRRIQVEGVLAGMMKKMFPEQMLTATELEFNQKLIKFRNYRRLYPNH -HVVQLCFDFQAWNNYMRADFIDRGIGPVLDGIFNTHAYSRTMEFYERALVHCETSEMVTAWMGQLGGIEG -LNQATWTLAALTGLKVALGNLGFKFEVSVKGDDCRAAVMIPKEDCPLSQLHVEAGRIKQVLTEYCLNTGM -HLKPEETFVSLSLIASSKQYQLDDIILPCGLKQALKLAHHSNAAFPTSQDVIADIMSSCHSACFYTPFLI -QTWMMGVLVALLRLNADHKIFKMWNSSQVAGFGLWPQVLGGPGMLPLEMFVVRGENDLVSISFALFRYIF -QTSTNEGLTSILWNILCIPDKTSPHWKLLLTDPYSLNKDHPVSPSQYLRSQVRKQIKNITRNPMLRSLMS -RSTEEGEEALVSSLVSLTPAHAKVMTAIYENSPFAILDKVLARVDNSASIFALLGAHRGYVRTWKGLMIL -KSASKLHREQVAWWMRIVTLETDYRPRMSYGKTVEEFTRECPTSLAQNAREMSWGIPIFGITYPSITQQF -SLIPADFPVPAEFIVQVKPHCGRYTVKGSSQVNRQTAHSHPFFSSPDSVNPWIGGKTESKTRLPGHPLMH -KSAALPRIEMLLEVYARTEHWCPQIGPLIKWLVRMMVDLTDDEFARLKPTVEGGCHAHRSKIAAYSPTTT -PNFRHNLLQHTERNYDRIQFTHAGLNTDYSLNFAAINIFHSFLLVEKFLFGPIIYPPRRYFALPHQNNDT -RVPLRFDPCASCVADVSDRPMRITLAELPEVDLEESKFIVLPDCDVAILRRGLEVLAQQRQLNIMDHLNL -TRRGTAQYLRAANHALVNRMLVDARRLTEDINLTVPGGLIDDAALQALGISYQPFAMKFLSWMNPDHVVE -AIMSEVKLELLATYTRDTIVKYQVSSYRHRGTASLILPILKYFTQATAHQNLARAFHAYQRGRNLQEPMV -GIDIKDPISFTNWVYRIGLRDIQSPQPGDRTHHYRIITTHNVSQELLLTHIQPKVENILQGGYRHTWQNY -HRAGGSVLASYGAESPCDLWCPREGEEDNEDRDNHFLTWMDDALNDDNDFQFRKWLHRALLLPICITPTI -SDRASMIRCYHHQGAQPALRLGPPQLELYRDHAQVFSTLNSFMGFDFEAYMSGQCPHGTYTLEEGFMEYM -EVMVADWMARGNERPFLNWRDSWAQKVMQYADLEIIHMEEESAILEVSEHYYRVGTLISETQARLMDPDV -LPALMDFQLNLHQRVLPTSPNAVAQDRIGPQGIIFNPVIPGPTQWTSWNQHLRIRGFSGAASCKLWEIMG -GLGLMRNRNLQGVSLHLADGQGGFTTLIGQYFPNFEVYFNTWQGDDATPGGLPGDWIATIADLTRLHCGM -TDDGDLCQADVREGIIANVQAALGGQNLTLGTFDAERYEDSERDDNLTMIENMSRIFGNLAGPQTILIVK -LFWVEWMVKSSGVLYLLDACQQYRIIKPHSSRENNFEFYLVVKGLSYPFQQFNGTIHLRRYHRFRAAAEG -HHRRLQMNDQEKRQTVAQLVDRLYQATPIPLLTAKQFEISLRLPAGLLAHRTYWIGNRIKDRLYDQLEIA -WKSFPANPAHIHSTGSGRRRVGLGGVKKIVDLTSQILRLSGCVKMLSLNNGWIYQGPEVMSGWLELVHSG -EWQLEMTAAARQCRLDILKYPLWESCQDHEFCLVSRVTPPVWAHQVIHMGITHEFGTGCDTGVRMITLGF -LARDAGEMAYILDHLHRS - ->YP_009333151.1 RNA-dependent RNA polymerase [Wenling chuvirus-like virus 2] -MERHRNDMNFDESQKMLLWAAPSSIVYDKKLDTALRSTSVRMIKQLLSDGDATSYNLDINLLLQHYNESD -LLYTLTDNSCYARILNHLFRMTRNRQNLYPNASKNLVRAYNMAMDNMHFQHHYSMQSNELHTKRLANRSL -HELSRKMMPTLLIRNLMGLSDQFRSIIDRTPHLKRALDKNLTPEDKVKLLAKENKCVIACLDITIVWGWS -HFIIETPDSKIFFMPMSYMLLIHNKLSDLISVLVLIQYQSGICHSVNAYETVVRMIQEMTTLSIRYRDDF -ARIAGCIEGMVIGEILLEAEEWRNTDLLDNIQSDLMEKIGYDYKSSQLRKILLEADIPLRNELGCLSKML -GHPFVDMQAGALKLHKRTTTPKLLTYASISWVTNKAKESFVKQYVSRHHKWPPVEISFANLNRDPLLQAN -LRNKDPDHPSITEVYGTVKNEDWARVELQPCLEFQQLDNVIPFLKDKSISVLRHEAVQLYLDRDSEKKGR -WADTRLLLYYLLNPLKKLDHQEFVRRYTDAATLEELADYLIIRLVPKEKEHKIEFRGFGVKTYLDRMRNL -TQEKNVAKFLDLYCDEQSMTLSELEISQRLYSLRTILKAYKGWKVLYINFDSSGWNNCFRDESVKPVIRE -TLSRIMGHDVMHRIHEGYEKSLFIIPDGDVTFHWEGQQGGIDGLNQYAWVWVYVNQIKYAMKDLPFKFHM -LCKGDDMRLAVMIHPSMIDDTRDMKYYHSIIVNKVEEAAKEFGHEINIKESYGSEKFFTHSKVASLGTIE -LPQGFRKIQKVYGANNAMIAVLDEYIASSFSNAHSACKCMSNTYSAYFVACVWSYWQIIISGHYNNLSDD -QLLALLLIPNMLGGFPVIYLHNMRVRAESDLLSPFIEMVKFAARTNPRLHRVLCYALKCKIMPGDNLTRL -YRDPYSLNIRTPQLPVSKLRSFILPSLSRRIQNKDVKDLIKAAKSVENKTIIKCMETAVPQNAKIMSTIY -GATPEGVLSELLRKFESGRSVLDLLITRGRKSWAERKLRQVLQVEYDLQTWRWRVITNKHDPGCVPLIPD -NMWHLCSTELAQYCRDSTWNCRIDGISMPAMAHQITLVNPMDAGNNEHILNNHFTYIYDPPNELISPNAS -PHWGVSGKHPFLGFTTRTGNIAPQVNFQDKDPLLIKVKNLLDLLSWVNVAKTSDDGTETISNLGELIKYI -IAMYSSVSVDELAPFTAVRKSGTIQHHARAPRFRESIVPNVLSNIYQNVVGCSDSHVTLTSSTQKYTMNF -LHIMCECLHLLHIELETSDTISTPRMTWGVTTDCEYCNRPITEDPIMIQVWKLPSIIPPLLGACRIDDIA -KNLIIKSYNDFNEQAFKLPANQGDPEDDLAAAAVVAEFVNSSFHSRTNLQNRFTHHAMSADAKRVFSNMT -HKTGERIIGLTELKSIPLMVIVNSLALPIYEYIITRYGIKDPDLILVDLMIAHSYELPWYELLALLAQIR -RLGPLVLLIQKLSGIRGPPCFEDHSMSTPHIGYVIYKLVHDQRIELRLAIRSNLEMNIAVAEYRYISQPL -RMKLMQQMFITLARQWKPLGPDDGTRSDLCLRAIYVALLHVDHEAIHRYLCENGDGNRFLMLRLDQFVAI -DHVDLDMMIMDDMDDDMRMLRWVRDKYPSWPWDDAIARLSEDMDDRCLGLARQISNTLTQVRCYIGVCDI -QAAISVVRRNSVNRNVPEELQEYEQTNEEDSDLEEVWDHDALREIVCRGPKKPLNPCILEFTCSTGEQVT -PRELAIIEIDYNYDRIMFDPSYYLKIYGSTTTSQSKLDFIMSLVGMDRSLPTHSIYLCAADGYGGFAEYI -ASQTQDSTIVFNTLLVNIGKNTYPFAAYKVCKENRIEVDNSLLNVGIDDLTTNQCVESLKNYGSMYTGIT -CDADIRWDDHESAIAIQWNIVDIFLTNAAPQAFLVTKMNLNAANLVANILSYLRMFCRRVLLIKCPNSNI -GGEVYLFAQDPQRAPLHVNKNHECNDDECRAFNRYSTRMRREYEEALKSQVVTIVPPVIHGMRTMHGRLE -PSANVKLYTRLNLEVNLEAIVNECQYVQEAYVKVIQQLKNHDKLLRDNLTNPLKNVPKNVAIKVVTMTYS -RLASMKVIMSQGAIWFLTMILDSKFVNRTTLRNKYNDVLASLPRKLGFYPPKSCWYKKGYHMDGSKDLRP -YARFMDGIRLAQMVSGYIVHSRKRYAPVNELHDLLRIFDDGA - ->YP_009333145.1 RNA-dependent RNA polymerase [Wenling chuvirus-like virus 1] -MEKETIIKMEHSQKKTPFSPHHLVFERKFDIALRKSHANEVKKRMLTPNLNRDDHLLLKSMTAAGMPLEA -ADWAVNRNIWPHLYKEAVEYIRRTPTRPLLAAQLAQAARKCLEIQLEWNNHKNDTAFKGPIPDFATDMEA -MYRNHPTMRMMTSVLPRLDDLVNRIPVSNKPKPYNLDWDTHQQALAPQCWMELKPLGCRVAWSGRSCAIL -YKGVAHILPRDYLLMIHNKLADIISISLLAISTPDEVYDGENLLDRTTEMFWELAKLAVQYKGKYFRIMK -VLESLVIAETLVKEEGRKNDVFLRTVTEDLETDTGYDYQNSRLKDILLEVCTPLQHEFACLSKVMGHPFC -DLELGAADLHQKTTEPRLVVPARVLQCVRYAKENFIRNYILRYERWPLVEISPQAPLSLVQAALTNLDPK -SRKHVKDFGPVRLHDYDHIELLPVEEFDYLDNFIPYVKDRTISLCRSQVIATYLQGQKRPFNDWKHTRLL -LFYLLNDSGRTDHKWYLNEFMANDVEALKNYLVIRIVPKEKELKEAARGFGCKTYEDRARTLVQEYNSAK -FLNKYSDEEAMTLSELTLLRKLYAFRHMDRAYPGFKEIIINVDASAWNNRFRHAATESVLRSTLDKVYDK -RLFSKTHEAYQNSFIYMPDQDKVYYWDGQEGGIEGLNQDTWVYVYTHQIKVCLERYPYPYHILCKGDDLR -AAIMIPPEVLDRMDINDIKNQLLAEISTVGGEFGHKIKAEDSYASECYFAFSKNAFVANIEMPQSFRKIQ -KCYGANNAFLTCLDDYVGSSYSNAHSASRTSPSPISCYVVALLWSLYYIKQNRVLSGLTQNQLVALLLTP -NIVGGLPIVYLHNFYTRAESDLLPPYIDLVKFCTQYYPEVVEYLVSPLDQELREAEDNLVGLMIDPYSIP -FAKPSQPQSILRQGITKMLKRKAKNEDIKELLELSEEGFTEAMCELFKTANVYNAKLMSALFGCSPSGLV -MELVRKWESGKSVYEALIFHLSRKEGNQILRKAFIATIRLDKYRLRLFTRSKDMSDSAIIPRNWRNLCST -EIAQYMRDKAWGKRVEAVTQPCLQHLLKIGPISLFGTNYHASTHHFEIRCTTKETQRYTHGSYQDGPYDP -FIGGATGVGLAPPEVSFLANNILTSKLHTLLELYRWSHMTGHDAQGRLFASNFPDLVRHLIESYSNVDLN -QLAPFAGSRVAARTTQHHIRSNQYKVSTVPNTLQNIYTQVQGNSHTHSRLEASIAHHKINYHHVFCHAVS -TVATPVWLGKKRIQQGRVWVVTEECDYCMAPIEETPMVLGRTNLPRVRLSLDTKVGRDALIQVVKQLESF -DPVRFHVDPTAAMDMTAVEAERSLIQHYIDRHWRSRSKLQVRYTNHHMTHAGYDVLSKWGQHARATGFSL -EDLARLNMRMIIEELAPIVWVYILKLTRDCDGDNVVTLLGSIPAQELPWTIILNEIKQVGRLFELQQKCI -DLMPHLKSTTFDSPDTFAPFFGGVCFWLAERGMGSNNISILSNLADDECLPLVAHRIKAAQKQILLHHYI -KLWRQIKQSQDLALIREAEMLLAIAACTSSAQEIIDNDIAPNLTQRARHEVCLIDVDGDLGEFMEEWIAD -PLDEPALLKWVKEVCPSIKWANVQQRIVDEPAEVHRLVRQVLRDRPSYLYNIFKTDIVSCLNRVKETARE -GLLPRPIATHSSLDMPALEPQKHHNIDIRHFHSGVFRHTTPLDLEYKEPEDWEKTFLNRRWVFRPFGVSN -ISMSKTAYLMHHIGLHRLPANGCYVCLGDGYGGCTAVINAMTLDSSIIYNTLPNTLHSNPSALSAELEQS -VRNNKIDKSNITLGHYDLCEKTTTDWMKSQYPRVNLIALDAEIPIETITSPKRTAMLLHVINFFLECAAA -NGILILKMYWQEMDHILRVVTWLRPICHTLVLQHCQASAMNGEVYLVCQLHIRDSSSRKDELPILQPPLG -LTRLILRRRDDYLRDIGRDIGGCNLLRVHCYYPAFFVQLAEHLPLHGLSKLAEICKVVLPRHLHRCVDEP -VAQWAGKVVKNMKIQGQPLEDDLMGRSRVRDTETFDTQVHKHKIMLRYIVLSAAIRVCELATVRWPVEVT -ATPSMHHFVHVLQMIPNTGVELLANNELLGEIKLFGHNVEAFRYYVMGLRYGVSIVATAMLKR - ->YP_009330113.1 RNA-dependent RNA polymerase [Hubei myriapoda virus 8] -MFGRTEGQRRPNLTSGFLFDESFDTALNETRARRILAETRENNLNSITHNILKRLIETKYPTLGQPTVHI -WPRMLYGSLTGRYHMRDVKLIELIELAQTALNLQEDHYINHCSKHLKDAFQLARQRWKAHHLNIPKEILH -AFSMVQDLTNVMDRIRLYYDNNKVTEESDDSRKTYQTEKISMKTITNATITFLGSLVILDIDGYIELHVV -DYLKMMFNKAMDCASTLLLAWLLSGVCYTNEFFSKIIKLLKLVYQYFPKNGNDAYTVLKAFNGIADGLAL -EREEDWINTELIDDLWTSLLDLQGLSRNRENDQLIILLKTFETQELFEVGGLSKLYGHPDIQVSMGLNKL -YQRSHKEIEISSDDAVTLANHLLLQYCHAHFLRNHRWPIMTLLPGTNPAFVECILKNMWITDPRITTRFG -TIHLKDWSSVYMGQNDYFDYVDAIVPILADKAVALPRSRIYQKLAHPETKISTVERRVLLNFLFNEELPI -SVRQYLKNVSEINNDSTDDHLEYLVIKLTQKEKELKIEGRFFGQSPFIERTRRVILERNAAKLMKQYCPH -QAMTLTELERIKRLWLFSQLHSVYPGHRIIQISIDFEAWNNYFRHQVMSPSWRAIIDGWYGVTYYHSLMR -GFENTFYIHPNDTEFHYWYGQRGGIEGLIQYSWELVFVGMITETMERLGYPYHMLSNGDDCRLAIAIPEF -EMADNPAGRVHELKVELERATSKYGLKVKISETYSSEKLIAFGKIYQCNRAWMPSILKRGAKIHGFGNTI -IPFQDQRIASCYSNSHSSCGVGTVHNLLWLCAGFWHLWFWEKRQGKYKLTKDELVIMLMWPSVLGGQPVI -PLYHFYVRGESDHLSVYLALYQFIANEHPRYRKIIERILCHKHCPNKQNIMMLLNDAYSLNLTPLGQPLL -RLRKITGDKLKSKVKYKELKQVFNYTSESTEILFLEVLRSAKPYPARVMSEIYSCSPFALKSQIVRQFES -ARTIFQFLLVSGMRFHRAIRLYSNLVKDDEQLASRRAAILKGCSSFITHNRLVIETSVDYYLDPLNCPTA -LAQKLRCESWGLDITEVTYPPVTELTYIKYPGLDGFTDYETDNHFKFVIESFPTSALKGSINRHFCIGEH -SPFLGHTTSEKIDSDFRLHDLSSPAAQKVINLLRIHAHTHTLGSNLKDVIEYVFRQISRLNFEEISKFVP -PRQSGTITHRFSSRSFKRSIMPNEKPNRYTHVIGISDTCQKTRGQIGDWSINFLATMCQNICMVLCPMEY -SGMVTCLPDVGEAHSIVTACPACYYNVEDEVVTLTKADLIPSLSNVLRCSLITLSTEEEAKLVENITEYN -LKKIPFYVSLKQPDFESPELIRQSCNLVMQMYMSQSELHSNFLQENPKINAREQIKIQIIIQQLSNTPSI -LLGRTELFHLPITAIINNINEYLSGWLITHFTSNLKLRSGALISTLPPAVLPLTPLFSLLIKTHRWAEWV -TQLQKILKVRASWDELTNPMHAQKFLLPIIHDHMVTWMESGYFRENSQFVIPKEKTFLTVHENVDIAVES -FIKRLPVYVAMIMKSLLHDQQVQILLLMKYIRKEIRTKISQAITTLHKLSKISSNTEAEDFMKRERKRRD -VELKNLEDQAKDYLSTEMYNRMKELRSDIRVNFNWPLKPYTFLLNIFAQAEILPSEYMDVDTVFASIEKL -EGLIKLWNDDFNDSIAASVTPFYLDYFGFDKTTSSYVLSLYNIQTLQLTDNLVEQMSNPERVFIVNPLID -AGTINLEDSVTACVVGLFETRFGDGIMEFLERCDNDPIVDRWLRHGNAQMMMFSNTDLIDDSAVTISRIE -KSVAVDVVRHLENPTLIDLASMQRQVRASAREISKQNESLIELNQELPSQGLGFNFNLTINGAEISSHKD -ASFDDVTFENLITATERLEREHDIPAIALAHNALRPLGSNTSSCSKIYSILWDYYMINPLNRLNQATILA -CGDGSGGNTRLCLELFKQAIVIFNTLLEGNLFSENFITPVSLQTVANTSLLDRCLFDHNYRGLNDLTYPP -TIDKLFDLLKEIDENLINYNIILNDARSYDIGSNNHNQFRLTSQITFNIIKRMRIGRTILISRFIYEITL -ECFHFLMNICLIFNKVSLTKPVNSPFLNQEIYIICVDKIREVEEDTEQEFLRPKIKNIQLRRRMTNVLRS -FIMRSYTSLLPEHFNNQQYGLYRNMMLSTGPLSKIKLPLIMRNLLAHQVTVEGPVTRQVLESCKERLLRQ -FTDMRIILFQYPRQAKMGLLRLRKAEPRLTTAIHKIHEMINLIQLLHMAEFLNMVLNCPDSYYDLFTFFE -VYIPRCIASCRLVYDQLILPNFHLVKLADNETIISAVDIAENPGAFMSLNQAEAESIKKFWEIIMLLIS - ->YP_009302836.1 polymerase [Wenzhou Crab Virus 3] -MSQIKTLKLKNAIHYKSYHHEFIKFNEGSLQFFLDETGLDRSAVSMSAINVYAGSASVAWFFSNTFVLND -KFAQVSGLKDVHRCQNLCSRLSSMMIKYICHTNSSWIKEQYQMASDLSVAKFSTFSGKVLQLMTYVLWVS -SLRKVCKTRRGSYTFTWSQDLKYAKLGTLHIISIDQSVYVLTLTLLQELVQKIEEWACVLTIIHFQSHRW -INLTEKFIISYLNDQAKVVTSHFRSTDYLDSQLPFSFIKSLEGIVVGVVISKADSMTSYKNENYLERMRT -IIYDEFDSMATLMDIDRLITDLRKWSLEELVELTGCTKLIGYPGVDIREGVKTLLKHSQGKIDPDPDLIT -LHRGIIIKDFVLNFHYKHNRFPLLTFDDPETMRLWMELTSDVDEIPSQQVEEIPLEDWGGAHIEKAAEFD -QFTSILPLIKDTATCPLVSDLDILISQPLLHQEYEEFDDYVFASSALKGAPRLLQTILQDSGVFQSYRDY -IKAWCNDGYPFDNQLFSDYCIIKLTGKEKEMKPSARLFGASPFPERCRRVSQLYNTFSYLEKYVPGQLLS -CGQFKSSLKLFNSTLKRFALPQFHIVNINWDFSKWNNTMREQFVDDTVGVHLDEFFGTHIYRDTMKFYQA -ATFFTSEWDTDGQHVLKWKGQEGGIEGLNQAEWTLSCLGMVKLCLHEMDLKGDVFIKGDDCRITLEIPKN -EVADHDLTEHVEMIVEDLSLRLNRFNMISKPLEVFHSVNIVTASKQFQFNNIMLPSILKKGAKLMSMEDN -QLDVMSSRLSSICSAGYNMCSVSVTPLLCWLTTLWWISLECLLNLKVEGFSEDQLLALLLWPQPLGGPGI -HNICHFLMRGINDHLTSFTSFLKYCLSEPQYSSIGLLLLNILNIPHKPTMQLKYLIGGMYSLNVDIPING -SSVFRSEAKKILQTYTINEELTELVSSQTESEGLRILDYLFQFKPLNLKLIKLIYDNSYYKMMDELIQLF -EVPETLFLLIPIHKRRTEIKRIVRRIGRAESNLAQFWKTTLILPYDGMILEQMIRENCPTRSAKILRKES -WGSELGEITYACPVDSFVFSAVDEEATVSAEIGIKYHNTYPDDKLYLGCDHHPNLLYSASTTRVPFLGKE -TSSKVGFSLIDLRRKNAVFSRLKNLLIAACIAHPLSTKLRDVCYLLIQQFIPLELSDLEILTPSLTGAGI -SHRLTTRDFDKVNSPNYRANSYMYWTKNYDSAKVLRYAIAQDCNMNIMIRFIFDLSVLIMAQDFNLNQDA -HLYQVLRPHFSDQGNNPLFSLGEFEFPVCHDCFYPVQDMAVDGPDECLQLEKKIFPQIAASEDDIDYLKR -EINVANEKKFFGYHLHPLSHDSKYMVNIGCGLLRRFTEIGGAMYQRTLDSKIKISAPKDVLIALSQIAGM -ESLNQMSSALLTKLPMSCFIMELLRCFISTLDLDDSTMVRDPDSLVLVECLFETRSHSSFLDQFLLVLGV -PKSDLTTPLSLALINPGTYQQVLIEAIRKAPKLSAYITSCLSQSFIVRTGEDSEKIQVLYQMEVIKRARL -KILQMGRLPSRDDCSFIHQECNKEDIELRLQLKATDLKYFKCQSMATHHTISLLITDRLVPHGWMCKTSA -KCHSVNSYIALPDFIPTVIMTNYILEVNMANTSTVVKGLGSAEWNHNVEELKPPRYFLNLKTVRCYMPLV -KEHELCTDFSPWVYRIYGTTSTSSTKLLYALRNLGVSQTDLRSAWCFADGTGGFASCLARFSRNCTVCYS -SLSNDSDQRIPDFMPDSIFLSDEEKSRVYKSRMEPMDFLSQQNLTAMCSEFKNVTHHPRPSFLCCDIDTD -DQKVITQYRWLLKHQYIGSGMMGFLKCFKTQDIKSFLDDINEENLGWLLLRPEGTSNSSNEFYLVINQNL -KRNESSDQMIMEPASRRHLSNDSLRFLISPAAIVKQNSIIFKSGYSLNQMYPLWEGQLLQLSRKIKKGKI -MRFGRSRMSGLGGSRVTLEHLVGYISLKVFFSLKEEIPVIPTRAALWNYIKNNSDLLRMTSLGSNMDDVR -AFFATNDDLVPKISSRDCSVDEFINHYGEQAIISFIQLLSYFINDGA - ->YP_009300663.1 polymerase [Shayang Fly Virus 1] -MDAHYTFPQRFSTSVENFSYTEKFDTWLNLGAWAFALDYLDTIAEWSDVNVRLIGSLFNEIDRNLLTLRS -MTPKMISRHVISPLLPAMIISSTLSRPTTTCHIYESEMRKYRATVERATIQAFIMQTTHLMSCFGPNALE -IVQSYDTNWDQVISGDSKILIGFMSVYEDLLLVINVHKSLVSVRPCKDKFLQAQQILKLPNQTTIHLPSL -NATIIVYSNFMTLVYDGTTWLCPVPYFLEIYNKVSETISLLKYAQIQSKTIYGTKYLKDVERFLNHLCQQ -VLRNSSYGVPGVHNHTRSEQLEMDNRGFVYLKAIEGLGVAELIYRSDLRLGWTNSTLTETLWSALYKEHL -VTSRGWKESETARLFSAFDEAQLAGILGIIKLAGHPSIEVERGLEKLYERTHNEIDIDPVKCDRARAIMT -RDIIKNFFRVHGRFPHLQEWTVNAVPNLRKLIQQNLSIVEAPGSSIWKSITIDEWKLIRFCQNAEFDEVD -NQVMLLKDKALGYCRSAVMNRIMLESQGDHVPKDQRNHRRALIAFLLDSQFDSGFRRYLARYMSDEKWGS -AVLDYLVIKLTAKELEEKVEGRYFGASPAYERNRRVVQEANNMAFMDKYVPEQLLTPNELAVIRKMYSFR -SLSRAHPNSYVLNISFDFSKWNNNMRHESVDIPAGTVLDDWFGVNLYSKTMRAFENMLVYYDDGIVKRHW -VGQKGGIEGLNQATWTFTFLGGLKDALESLGYVYHITVKGDDVRCALVIPKKDLNALMPNQSLQVKIESV -KDSLLSELQRLCSAMGWELNPQESFVSLSLIATSKQYQINDTWLPTDSKKIMKLEALSNVAFPTIEDIIS -NIYSTAHSACSQATVAAPAYIVATWTAARLLYRSIHHKRNRFQILPDIVTLLLWPQIVGGPGALPLQTFF -VRGENDMLSVALSMIVFLTYTLDSDDPVRRRLGFILRQNVKKDSDPVMLLSDPYSIDIDCPPRPASIIKS -KIRSQLVRVCKQPDILKLLKSTGERQRSSFISTLYSLTPYYAKIATALWECSPFYLIEEILAKFLNSASI -IGFLTQGASFNVFSYGGYKILTLLSELSQKRLDYWCNVLDGRLVRSANMYFGLIPVEEVEAQPCKTWFSG -ELRNRAWGKLIKGLTYPSLVDQNKIYSTEDIEWLSLERGWDTRNTYSQICLKHLQAIPQFETNSHHFSSA -PNVFPWLGSQTRSSVSLPFHSENIASPSIRKLRRLLTLLSSANIFGSQFEEVIVKLLEAYTPVDISLLRL -LCPNDGGGHLAHRTPINSYSLTTMPNYRPNLSQLLIVNNENMDILKLDNVNRTINFAARHFFLTPMVLWP -LQGSARLHESYPQMFFSTFHHNNLVPHYSFCPYCNAVVDDEPVHMDVHPDFQMTHFLQFKIISCSHAETT -SLEQAIVDTLRKGVITQLDKLRLNSSNPLIVQHAVVATFQRLLASMSDFRQHMALEGIMQVPNSELMDVL -ATGVGVRSFSSRSISISVLRSCKPRFIVISLLKEAYWHSVKISYQRDTLYQDPPLGDLLPFHYEDFSTLF -NQLAKAGLLSALVRAADYPDELDMRDNDPYFRIIFRHGSEKSGLIAAQSFFSSVWPMFNAWVDSFHAPNI -LLNPLIEGRNSEEIMRRISTQQSTIIGLCFHLMHLMTVVNHGSTKTILKNTYHHLTPYLDMEEHTDQEKC -DKYIHEIARKSHMCLVRIYLIVTLSHWMTRVTPTMDDIKKCTLNNEALPVVDIDPDLLMIYLTGEVEFKK -LKDYPHGSKGMIYICKVLPYFDTDEKRENLWSSLFQTVTPILYDVPELAEEGLAIMQHSLRVLRPLLSVQ -PFISCPEIDESIIRNYRKNLTEDAIAREYPSLQIHPLTRSEQENPMLPYDPTVYCRLGHSRVTTNPDYNV -SHWKVTGLTQTTLQCVRQIEQYIAAVRTQFSRKQILVDQRNQYKIFGGLNKSAVKYLYPLQSIGCLTWFQ -HIDNPVCVMLGDGGGSCSRLLTSLYPGCKCILVSLQITNSDPTTNLDEFHSDCPAELQSSIMNDEQRLRI -IYQGLFPGDFTIPAIQNIIKSTVQRMTDYPHLIIGEADMPTSMDGALKMISEYLRIYDHNHNASTLLLIR -LSWANGNIPCQMLLTLRYLFHHFHIFPITTSTMTRGEMIIGMSDSTTESTYSIFASQTDSNYLDYIAHSN -LHELVHGVHGWMSSCISLMYDLGLMFPIESDEFPRFSRIITLPIAPLASIIQYPSWLSKNPRNGGCPCQI -VETLLAELYKYKKRLSVISSRLPCSPTMHKLREDFMSNLTYQGLVVKELVATTLFIDLIDHYIEFKDLMN -LHDVIGYSLSSTLHHLDESHTVKSIVKNGWISTKSLTHDTRLIELNSEVQNTIDIFIRLISAVRYQLTYL -RQTPDSEVILSERFWCVPNNPQDCCRELVSTHPINQFIWPVVIRPLVHSGVFVSDGRTTAYNLAPEILRL -AQAWQLPGQ - ->YP_009182177.1 putative RNA-dependent RNA polymerase [Imjin River virus 1] -MNISQRQVSYDVPSSLVYDRKFDTAIRQSQIDGFFSRLEEPERLNKDDKILLGNIKEEDIIRSPTPHLYA -EILIRILETSEAGVINKSSNNVDIKKMMDLSEKVLSIQLQYIMEGVDPSIKKNHVHKLLTKLREQDVDPL -VANLLNAAHCVSIMIEDSYVINKYKLDNTEDASEILRSCKSSLLEYLDYTVNWSRKLCAFTKDGHTYIMP -KSYMLLIHNKLCDLSSVIIMSQIQAGSAMPSTIKETVVDFCKVMCSYAQLYKNKFFEITKALESLVTGET -LRKVEKWKNDEFLKLVCEELLEATGFDYMSSELCYILRSNPIEVISELSCLSKLFGHPLVNMEGGAKAIH -KKATESYKLDYRKIVECICYIKEAYIQQYITRYKKWPPHVITSKLAPLPLRMAALYGEYYNSPAITQRFG -EAKIMDFVFVDLKPDLKFSKLENAIPYLKDKTLSLLRSKVISMYINQEEDMRTRWADTRLLLVYLLHPTS -ALDHVNYIEQYCESSTLDELMNYLVIRIVPKEKEHKIDFRGFGCKTYEDRLRCLAQEKAVMQYLDLYSDE -QAMTLSELQIARKLEGFRRMKDAFPGYVTITVNLDASSWCNHFRPETVDDAMAPTLDKIYGTNIFSKTHL -AYNKTLYYVPDESGTYYWHGQDGGIEGLNQDTWVVVYISQIKTAFSGMSIRYTVLCKGDDCRVVLSIPYR -EIENGDVAGFKNKIVQRLSETASSLGHKIKILDSYGSETYFNFSKTASVGYIELPQTFRKIQKCYGANNA -FIPTMDEYIASTFSNAHSASKVGMSPVSCYYTAVTWSAYYLATNSLYKDLSLDAHTALMLVPSIFGGFPI -IYYHNFFVRAESDLMSPFIGLLQFCRNFYPSVHDEMTKFCNISSPPSTTCEGLCRDMYSVPISRPPLPST -VLRNMVADALPRITRNQGIRDLLEAAKSDESDTVMEILTSASHYEAKVLSVIYACLPVSLVSELVRKFES -ARSVNEMLILRFGKKSTFHRLRRIVRSEKNLQEWRVRTLSSGPQGDVVSILRFCYNTCPGQAAYNIREYA -YGKPVTGITMPPLQHQIYITNQDASSHVDWAYKNHYQLTISEPTETLDKRSPDHFKAGVIRPFVGHITSD -KSMMPRLYLVEKDFLLNRVQKLIDIVTWTDSTYVDDQGDPITSDIRELVTRILQLYTDMPLEKLSPFSRN -KIRGSIQHHVRAANYRTSIVPNVLGNMYRRVVWKTHMHTTLATTRKHLRFNVLHQMCYAVSVLSIPLDYQ -RNVNLTGEYWIVTSNCEFCSGHINEKPILFKRKNFRSIRFESLQVTMLDQNSKRILRESLAVADNERYMK -EAFNREIPNDVALQAAMYVFAMNELKATNNLIAKHGFENATHKGIENLKSMTSNHSKVIDKHYLSKIPTK -ALLVPVVTIVATMIASMYPSFEEDELVGLLMTEQPTRLPWFFLLKELYEAQQLGPMMNCLSDALKVPTSL -NYHTAEGVACLFGSFCYEIWSTRVIPIPLIIISDYQYIASLMNIKIYITDMVRRQIEEGLRHVTSGMLSV -FEQKEALAICVGYGLLKTHDISRYQVKESCEGSSDWIVSDLFIDDISSSFDPYSQDANDLRIEASSFIRR -IKRLSKLSWTELYQAFLDNYDTVVDTISGFLDREVKVYNISIAECTSTVLEFEEQDARLPTRPDARDGNL -HYTITTAMKNTGTNHVKLPTFNYLSEEKHDFHTPTVPQEQGLYQPSGYATCQPVGYCNASASTLSEIILY -MNFKPPDVLKNLNIVCLADGVGSFTSWFALNSTGCNILFNSLPESDQVQLPYAAMTEAGGNTIVTNHMYQ -GVYDLKHVSTIDKYMDLIGVCHILTCDIDIMESDDTLAERIWVNVVRGCLKLLSANGLFICKVRFCTTEL -SQRFMSTIRSIFMDTYLIRPHSVSAPDTLYIIGQNPIRQAPIPKLLAAYKDIYHRTMQALGTRSVYPNTQ -DFNQQIRLEFPISYNWMWMKQMTPMWVSKLEQLTKMSVGYVALNNLLKLADIKGSRIEVYREFLRIYSIK -DQADKIVDQLDKQLTVNDINGSSHLADLRSSRGRLVCRSFVFAGMFNAPSHLLNGSYTVARLINSFCAHV -RRFKVRDLPPELTANRHILYSQHGQLADGFRLPIWNAYCNGFSIFLWFLAWLEAYKSAM - ->YP_009177722.1 polymerase [Wuhan tick virus 2] -MGVEPTMSQRQVSFAPHELIFDKKFDVALRLSQAEVVYGKLTSDNVDIDEKVLLRACRHAGISRDIKSWR -VNYHAFPEIYLACISLPIASRADNHMMTVINVMSLVAECQIGWNFNCMKSSLQVSLSSYIQQMRDRVHST -NSIHKLVTVKKLLDDLVRKIPVVDGPRQREETQDDYIARVAKLSFFHSPQLGLSVAWSRRSCVIRTASGI -TLLPRSYILLIHNKMMDILSVLVYAALCPPHIYSLDLLSITEKFVFEWMTLAQQFQQKFFDISKVWEGIC -IGESLYQVEGEGNREFLRTINSGLYEKTGFLYEGSHLRQLCRSAPLAVKHELSCLSKIAGHPFVDMELTA -ETLRMKVTEDKFINIGKVERAKLYATESFIREYRKREGKWPPVQFQPGANPSLIAARDQNKDPKNITHHK -QYGAIRIADYALVNLLPCMEFDWVENFVPFIKDRTVSFLRDEVLKVYFPEDDEDSEGKYRPDWSQTRALL -LYLLWPNDVTDHKEYMKQFVAGEWDLICPYLVIRLVPKEKEHKIAARAFGAKTAQDRARSIIQELNVAKF -LDKYSDEHVMTQGELDVARKLLGFRMLKHAYQGHTMLIIQVDASSWNSRFRHASVAPIAQKVLDSVYDVE -IFSKTQTGFEMSFIYMPDIAQNRKWQGQLGGIEGLQQYTWVFVYIHQIKVCMEEHPYPFFILCKGDDLRI -AVMVPPLVLERTSIDSLKKTILTSLSEQATLMGHVLKVEDSYASECYFAYSKNTFINDVEMPQTYRKIQK -CHGANNAFMSTIDDYIGTVFSNAHSASKTSPSPISCYLVAIFWSVTHLLERKDYQVLTEVEMAALLQVPN -LLGGFPIIFLHNFFVRAESDLLPPFLELCDFAKVRSPAIGQTMIRFLHQAIDDPRKSFAGLMADPYSLPL -VKPQAVSTIIRQDVTRLLQHIVRNEKVKQLFVLSKSNFDQRFLTVLFSADIWNVKLLSSCFNCGPGAIVA -ELIRKFESGRSIYNLLLIKRGRKFANRTLSKCLKAEKDLCDYRFQILRRRLKNSVFLDDYDRSTCTWRRA -QHIREVTWRHQIHGVSQPCVQHQIMVGDADEFDPTDYTGYHHFTTYYDPPAPELEAPLFSIGKFTPFIGA -VTGSGLGKPEAKIPVENVFTPKVRTLMQLYQWGHVTTECQGSVVQSNFPEVVKQLLEAYTKASVHDIMPF -VGGTVYGRTIQHHVRASNYRQSIVPNTLLNIYTRVKTNRHSHHFLSQSPDHYLVNFLQINCIITSYIAFG -LWMGQPTTRSNTLWAVTTTCKDCMTPIHEPAMTLSETCLPTLDLGDNFILGKQAIEEIAKAVEEFRPDDY -YVADEEGLAVQEAESCLIQSAMNRAWNRHILLREETQHVLSAAGQAAIEGFQGRHKTPEEDECYGLVSLS -SFLTDLAFKIYWDITSRYVSDHLEGHHTSIANTPPSELPWTHVLHGLDEHQRFNQFQKLARKTLRMSYSS -VYDNPSTFAPIFGQKCYEQYMNVWRGKPLIANVSVSSDPRVKQDIVSRIYATRMSVLDKVFLAGALHVPG -VDRIKKAAFTGMLVSPNELVFGARELTQDRITMRLFPFLDEVSEEIQALEVQFTEVRPGGDELYIEEYIC -VPQFTKMCIIRYGIPEEDVQSILALWSEDALDYEEAYQTFISLIQDNLELTILRCNESTCLSKLRSAQRA -YSQHPTVRLDVYDTVIPPLKPNSDFCKIRGFRTEQCFPHKIDSSSLQYELAKHPFSIMLNPRMMRRPLGA -GNLSMSKLAYLLHQLGIKGLPTYSCIACLGDGYGGFCATFAALGDRTTTIVFNTKPSRVDSSPLCILAYE -VARRTGVRIIDQLTEQEYFDLTKETTCKRLEDLTSHYTIICCDAEIPGQLEETVLQVQERLNNHQRLLLN -VCTLFLRRAIQGSILIMKVYATQASVWFPCIGLLGPSCTALYVIRSKAATSDGELYLIAQANFKYDCRYG -QRPVYPPRNVEVSLDHYLGRYIRQCNEDTERVTQLDLTPNYPKLVRALLPRLPIYGWSKLEEVTKVLLPE -RLHQCDTPNSQEWLNFVLDTMANFSLDCYHEMMSIFTNIESEYYNTLTHCLIVGCRFLTFRAFMTVALLF -LRGGQPILTMRIIEQHFLAAMREFPPRLGLNRNRLTDYLSEQQTGTIRVFGVDFSPIPYWMQGLRWAMSA -IPPALISDPPDRGDHEADE - ->YP_009177719.1 polymerase [Wuhan Mosquito Virus 8] -MNISQRQVSYDVPSSLVYDRKFDTAIRQSQIDGFFLRMEIPERLNKDDHILLRNINEEDIVRSPTPHLYT -EILSRILTSSEAGIINKSPHNVDMKKMMDLSEKALSIQLQYIMEGVDSSVKKNHAHKILTKLREQDVDPL -VANLLNAAHCISIMIEDSYVVNKYKLDNTENAAEILKNCKSSLLEYLDYTVNWSRKLCAFTKDGQTYIMP -KSYMLLIHNKLCDLSSVIIMLQLQAGGAMPATIKETVVDFCKVMCEYARDSRAFVISKNLFLYVLVTGET -LKRVERWKNDEFLKLVCEELMEATGFDYTSSELCFILRANPIEVISELSCLSKLFGHPLVNMEGGARAIH -KKATESYKLDYRKIVECMCYIKEAYVQQYITRYKKWPPHTITSKLAPLPLRMAALYGEYYNSPSITERFG -HAQIMDFVFVELRPDLKFSKLENAIPYLKDKTLSLLRSKVVSMYINQEEDMRTRWADTRLLLVYLLHPTS -ALDHVNYIEQYCESATLDELMNYLVIRIVPKEKEHKIDFRGFGCKTYEDRLRCLAQEKAVMQYLDLYSDE -QAMTLSELQIARKLEGFRRMKDAFPGYVTITVNLDASAWCNHFRPETVDDTMVPTLDKIYGTNIFSKTHL -AYNKTLYYVPDESGTYYWYGQDGGIEGLNQDTWVVVYISQIKTAFSGMAIRYTVLCKGDDCRVVLSIPYR -EIVDGDVAGFKNKIVQRLSETASSLGHKIKILDSYGSERYFNFSKTASVGYVELPQTFRKIQKCYGANNA -FIPTMDEYIASTFSNAHSASKVGMSPVSCYYTAIMWSAYYLATNNLYKDLSLDAHTALMLVPSIFGGFPI -IYYHNFFVRAESDLMSPFIGLLQFCKNYYPSVHEEMTKFCNISCPPSLTCEGLCRDMYSVPISRPPLPST -VLRNMVADALPKITRNQGIRDLLEAARSDESDTVMEILTSASHFEAKVLSVIYACLPVSLVSELVRKFES -ARSVNEMLILRFGHTSTFHKLRRIVRSEKKLQEWRVKTLKSGPQGDVVSILKFCYNVCPGQAAYNIRKYA -YGKPVTGITMPPLQHQIYITTQDASSHLEWAYRNHYQITISDATETLEPKSPDHFRAGLIRPFSGHITSD -KSMMPRLYLVEKDFLLSRVQKLIDIVTWTDSTYIDEEGNPITSDIRELVTRILHLYTDLPLEALSPFSRN -KIRGSIQHHVRAANYRTSIVPNVLGNMYRRVVWKTHMHTTLATTRKHLRFNVLHQMCYAVSVLSMPLDYQ -RTSNLSGDYWIVTSNCDFCSGYITEEPITFRKKNFRSIQFESLQVTMLDQNSKRILKESLAVSDNNKYMK -EAFNREIPNEIALQAAMYVHAMAELRVTNNLIAKHGFENATHKGIENLKSMSGNHSKIIDKHYLAKIPTK -ELLVPVVTIVAHMISGMYPKFEEDELTGLLLTEQPTRLPWYFLVKELYDAYQLGPIMNCLAAAVEVPTSL -NYHTAEGVACLFGSYCYEIWSTRLLPIPLIIISDYQYIASLMNIKIYVTDMVRREIEEGLRHITSGMLTH -DEIREAAALCMGYGLLKTHDISRYQIKESCEGSADWLISDLFIDDISDTFDPYSMDSQELMEMAPSFVRR -VKKVSKLSWNELYDAFVTNYDLVTDTISGFLDREVKVYHISIAECTSTILEFETPEPKLDRSSDCRDGVL -HYSTSVSMKNTGTNYVKMAEFNYLSETKNDFPAFVPTPEPERYTPSGQAMCQPVGYCNASASSLSEIMKY -LGVKPEVDLRNLNIICLADGVGSFTSWFAWNSTGCTILFNSLPESDQVQLPYAAMMRSAENTIVTEHMRQ -GVYDLQHVSTIDKYVEVMEVCHIMTCDIDIMEANDVVVEKIWVNIIRGCLKLLTPNGLFICKVRFCNSVM -SQKFISTVRAVFLDTYLMKLHSVSAQDTIYVVGQNPVRQAPLSRLMNAYRDVYSKTSQVLGTRNPYPATQ -DFNQQLKLEFPVSYNWNWIKQITPLWVSKLEQLVKMSVSYASINKLLKVADEKGSKFDVYREFLRVYKIA -DQRDKVLEQLEKDLVVNDVHGVTHSADFRSSRGRLVCRSFVFAGMVDAPRHIKDGHYTVASLAAAFSHHA -LRFKERDLPPALTLNRKILYSQNAQLEEGFRLPIWNSYCSGFSVFLWFLAWVTAYNNTI - ->YP_009177717.1 polymerase [Tacheng Tick Virus 5] -MESQRKVSFSPHELVFEGKFDVALRLSHGEAYLARQSAQTPSFDEEVLHAAAIKGRIRIPPEEWRVNEHV -YPKIIQAVMCMETSHRDVPKTQRSAQIAGLILECQLHWINQGMTEDIRVPPIPYKEYVINRWRRSKPLMS -LLSVKNDLDVLIARIARTNVRKKKDEELDDWKNRLAEHAWWKHDRLQVRCAWSRHSAVLEYGGKCYFLPR -SYLLLIHNKLCDTISVLMYCAACPLSIYGCDPLEYASQFILELAKLADRYQQKFFTISKVLEGIVIGETL -FREEGDANSAFLSHITDGLADSVKYQYEGSTLQYLLQSAPISVMHELGCLSKIMGHPYCDVKQGAKDLYD -KTHEYKELSLDNIHRSALYAKQDFIRHYIAKERHWPATTLEPGCPKSLAKAKLYDVSPDSVSHQRTYGPI -KVDDYAFVNIEKCLEFDWIENFIPHVKDRTISLLRSEVFKEYIDKDPEFKKDWKQTRLLLYYLLWPEEVT -KHVGYIKKFVEGEWELLADYLVIRVVPKEKEHKVSPRGFGAKTALDRARGVVQEWNVSKFLDAYCPEQAM -TLGEVEISRKLLGFRNLCKAYQGYSSITVNIDSSSWNNHFRHVAVAAVASAVLDCAYDISLFSKTMKAYE -KSFVIMPDEGQVYYWDGQMGGIEGLNQYTWVDTYINQVKVCMEPYNFPYHILCRGDDLRVVIMVPPKAVR -EQGLDNIKKSILSRFAMDGMSFGHKIKEEDSYASECYFAFSKNAFVHDVEQPQTLRKIQKAYGANNAFLK -TPDDYVASAYSNCHSAAKTSPTPIACYAVACFWAAEYLVHHEDYRKLSDHDLVALLQIPNIMGGCPIIYM -HNFFARAESDLLPPFLHLTQLLLKSHPLVGQVMYKFWCQKMADPKRSFVGLLIDPYSLPLSKPTSSMTIL -RKEASKMVEKRTKNAQLAQLMKVAKSRFGHYVREALWGANVFNVKLLSALYSCTPDMIVQELMRKFDTGR -SIYSALILGRGRYYADRVLTKCMKAEERLVSYRVSIITRRPNEDYCILPCDWKNNCPYYVIQEVRASLWG -KPIEGITHPPIQHLVYIGPVESHPVCAYTGENHFSVAFETVNYTDDPFLFTVGKSTPFVGSITTRGLSSP -QATFKTANMLVPKLKALTQIVQWTQTTGMINGSLVSSNLHEVAEHLIRCYTQHELSAVLPFSGRLKIGKT -TQHHVRVNAYNASIVPNTLLNIYTRAQGNSHSHRHFVTSGEHYLVNFLQVYTHMVSVWAMPLWIGEERPL -PSKLWGVSPPCQHCRQPIKETPVVLPYTDLPDFNLSSLGELGERALDEVRADIESFDPTDYFCPEDAEGA -ISVTEAQSCVTQYLIDKLWREYETVQERSTGHVMTDEGYKAMSQYTTKQAKTDITDAEMRVIPIRQLLND -VALQTFFYIHERFDVHKEQDLEALLGAIPSEFPWTRLLLHMQACERFADVQRVLATMLRQVSAPVFDNPH -SYAAVFASMCWKLLQTQRCKTFFIAQLNMSRHLVLDSCMRRRINAFRWKVLLDSYRDAAPYVAKLEPEKR -LIAQRAMMIGVIQDMPEYSFSTTPPGDKRLEIPLFIVTDSLADYIDRVYDEEEIHLTTEDGEIVTEHRVV -YRHPWFVRKMIELNHWSIQDFNNTLDECNEDPDLFDAARTEFWNIVSQKTITILRTDLVSCINRLKNEGI -EQLPKRSRLPRDENVRVPTITLRTVISKVVLRTSVPEDTGALLLAEPEEDIPHDFRPQTDRFLDSRWLYR -PYGSGNLSMSKYSDIFEQLGLTSLPNGLRSYGLGDGYGGASAVLGTLSKESQVVYISLPGVGSFPHPYAA -FEVFARTGSQLSQSSNLEEYNDLTNLATYQRMEQKYYKSDIIVLDAESYKTPDKYQEKARLDMLHYVINY -FVRTGVENSVLVLKFYIPEWRLLLQVLGKLACHSKVCFIMQCRASLDDGELHLVAQLRTPLPSISGTDPV -YPSQADIRRVVGYLTRVSRDLIDGIKRVPSLQLRVTYSKFILSLSSALPHYGWMKLAERLQIPIERVWQW -PGQMSEGEWVRVLLKGFNPKVEQLKLELKQISTDPRRGTYDSLEHSLKVLDKLLTLEGFRYIIKLYEXAI -LYLEETSCRNAYKDVMKAVPANIRMNDETLEYTLTRRPINFMGYIIEPFAPWLMGVRWGYSAMQLSRQLP -YGRDAPVQPRHAPEVPDDIWDLDGVPWDEAA - ->YP_009177716.1 polymerase [Tacheng Tick Virus 4] -MFQSQKAFLLSSSHSLLIDRKFDTAIRRSQIEAFLERLHRGQPTEDDDLLHAHFTSYHHMLTNTTLLPNV -LLTLIESSAHGATTREPLFPRLADLIGDNIDIQQAYNARTIPGYKLPSIRYLLNSIPTRIRNLLSILLHV -NRQLSECIESLSKINTYDPSLNTRQGRVRARSKINTWYSPDLELKITWSNHMAVFEHKGTAYLQPRPVLL -QLQNKIADFISVLTLVSLQAGVSFEPEAAHYTLEFVQKFHHLHATRPYKFFEIVKAFEGFIVSLIIKRQE -EWCNDDLYKTIIHEVETSTGFSWGSGYFSELLEKCSTALLAELSCLVKLSGHPLIDIEAGNKKLYDRVQE -EFPINIGAVAHCVTAIKKNYVRNFIVRHGRWPACSLDMRLAHPALKYAYARFVDPYSLDVRKKHGDLEDY -HWAAVDLEPEMRFIYAENILPLLKDKAISVVRSRAVEAYLQHEGKRITSKETRLLLYYLFTHPAETDHMK -YIMKYMESEDDLDEVLDYLVIRIVPKEKELKEAYRGFGCKTYMDRARSIIQEINAMQYLDLTSDEQAMTL -SELALTKKLYAYRNLKKLYPQHRIVMINFDASGWNNKFRRDTTDRVLASTLDPIFNVHLYRKTQAAYERS -MIYVPDPLHPTAWDGQLGGIEGLNQDSWVIIYLAQIKYAFRNIEYATHMLVKGDDLRVCVAIPSYMHTED -EITKVIRDLVARVADTAKEFGHEIKITDSYASEAVFTFSKTIYVGNITLPFSFRKIQKTYGVNNAFLPVL -DDYIASSFSNAHSACAATVTTVPCYAVALLWVFYYLILHPIYQNLSRREMVALSLTPSVVGGLPIIYLHN -MFVRAESDLLAAYLGLLLYLNEIDSPLIVYMSRFLHLATAKTSKVQLLQDPYSLPIWRPMTAGNFFKRVI -RSILKGRIVNRDVKQLFTLYDHKARDRLVAALESNGEWPAKAFAALYANSPFQLLEELLTQFLTAKSIKD -LLLTSRLSSKRTHNVLRGALRRDKAYHEWRVKVLKGGCEHTRRDWILGMACAGEAADRLRQEMWGTKITT -ITYPPIQHQIMIVSKTAGARDPYCRTHHFALTYAADDTAGVGFESDHYREGPYKPFMGQQTRTGNIAPQL -RIIDTDPVASKLCTLLMLRDWTHTEMYTPDGMVVSNFPDLIDQVIQCYTATPVDQLAPITAVRKSGTMTH -RMRAHGFRESIVPNTLQNVYTCMQGESNTHTHLRMDPKNITINFLHVFCYSIAMATYDLNYHPFTQCPPL -SWIVTTPCPHCNQEILEPPIVLDPRLIRELSLFHLKLIKLSAPLETILGEALKDLTERTQHAVLPLLTED -DLPVASEAVIAEFLTQYWHSTRRWEQRYEMHHISPAHVETAAEVAGFHRAVRLSENDIRAVALDQLVHAL -AYAIRDFFEREMKAGDPSVITARLATMHDSDVPWFGVVAMIETCGFLYPFLLKVSEISGILPSGGAMNRI -GAASRYTGLALYNYTTTLTSRSFILTSSNLENPERLHKRFQTLILPILSCHLGQLKKNGEISEDVVYGDP -ESVIIQYLSLVCPEIDANTLWATVQGNVRSEIEPLDIRRITAEDLLAITDDEHPRHRISQYWSYQFGTSR -PEVLALTATLQIEDIKEAYGDVPFTIYPTLVIYQVNMSQCIDLCRRYPRYAEKKHVPEAVEYDLLRPRRI -PRVNPTGGRGTAHRFNPVPGYDPYPPDEQDIDQAPGPALEQRAAAIQWTQAYRLLGSTTTSVSKFVDLLR -IIQWPGPPYPDFGPILCCGEGEGGIASACLSLFPDSIVVYNSLITDLRLGQLPGIPLLEQGFTERLRYKM -QEEGVSDLTEWNTCEALIESSPLPYSLIHCDADLPSDSRAITALQLWVNVAHMAQHYLEENGILIIKVFM -DIPSVVAKISAKLIHAGMETWLLHTPVSHAGPEAYLVAINRRRPFLGERQAPTGRIRYHAEYMRTVRALL -QTMNTTIRCHNERRPRRFGFQCDAIYAWQRRFLPWVFNQVEHVVHTSLALRHPGDVTQYSYNEYMAYVEK -EAYTHLETLDKQIRSGGSEFRRNPTGRSRTLRHKVVLVERYLVVLTLVYCLEVRDHLHPHAWTDDVFHED -DIFTRACEPHLPFADVPIRINKELRTLTMGAYTIDYAAIIRRTFKPILRLVGFQYLDVFS - ->YP_009177707.1 polymerase [Changping Tick Virus 3] -MESQKQVSFSPHELVFESKFDVALRLSHGNTYLARRLQGTPSFDEEVLHAAAVRGKIKPAPEEWVVNEHI -YPKIIREALNMTTVCRDVPDTLRAINISGLVLECQLHWINQGLTPDTQVPAIPYRQYVTSRWRSCTTLMT -LLSLKNDLDDLIARIARSCLRKKPDEELDDWKARLAERAWWKNDRLQLRCAWSRHSAVIEYAGKCYFVPR -SYLLLVHNKLCDTISILMYSAACPVSIYGCDPLEFVVPFLKELANLGDRYQQKFFTLTKVLEGIVIGETL -FREEGDANSAFLTHITNGLEESIRYTYNGSSLQYLLQTVPVSLMHELGCLSKVMGHPFCDVAQGAKDLYD -KTHEYKELSLENIHRSALYAKQDFIRHYIAKERKWPATTLEPGCPKSLAQAKFYDISPDSISHQRTYGPI -KVEDYAYVNIERCLEFDWVENFLPHVKDRTISLLRSEVFKEYVEKDPDFKKDWKQTRLLLYYLLWPEEVT -KHVGYIKKFVEGEWELLADYLVIRVVPKEKEHKVSPRGFGAKTALDRARGVVQEWNVSKYLDAYCPEQAM -TLGEVELSRKLLGFRNLRKAYQGYSSITVNIDSSSWNNHFRHVAVAAVASAVLDCAYDIELFSKTMKAYE -KSFVIMPDEGEVYHWDGQLGGIEGLNQYTWVDTYINQVKVCMEPYNFPYHILCRGDDLRVVIMVPPKAVR -EQGLDKIKKSILSRFAMDGMTFGHKIKEEDSYASECYFAFSKNAFVHDVEQPQTFRKIQKAYGANNAFLK -TPDDYVASAFSNCHSASKTSPTPVACYSVACLWAAEYLTHHEDYVKLSDHELTALLQTPNIMGGFPVIYL -HNFFVRAESDLLPPFLHLTQLLKQSHPAIGDVMYKFWCQKMADARRSFVGLLIDPYSLPLAKPTTATTLL -RKEASKMVEKRTKNAQLSQLFKVANSRFGKHVCDLLWEANVFNVKLLSALYSCTPDMLVQELMRKFETGR -SIYSALILGRGRFYADRVLGKCFRAEQRLVAYRLAIVKRPPREDYCVLPMSWETSCPYQLVQEIRESLWG -RKIEGITHPPLQHLVLIGRPESHPVCAYTGENHFSVSFDIGNYTEDAFLFTVGRYTPFVGSITTRGLSQP -QAAFKTANMLVPKLKALTQIIQWTQTTGMINGRLVSSNLHQVAEHLVRCYTQHELSAVLPFAGRLKVGKT -TQHHVRVNAYNASIVPNTLLNIYTRAQGNSHSHREYVTSGEHYLVNFLQVYTHMVSVWAMPLWLGXDRPL -ATKLWGVSPPCTHCRQPIYETPVVLPYTDLPDFCLSSLGEIGDKALAEVRADIESFDPSDYFCPEDAEGA -ISVTEAQSCITQHLINKLWRDFETVQEATTGHVMTTEGYQAMSQYTTKKAKTDITDAEMRVVPLRQLIND -VALQTFFYLHERFNIHKEKDLEMLVAVIPSEFPWTHLLSHLQACERYADVQRLMSTMLRQVSVPVFDNPA -SYASVFASMCWRLLSTQRCRTFFIAQLNMSTHLILDSSMRRRITAFRWKVLLDSYKDAKPYIDRLDPHQQ -VISRRAMMIGTIQDMPEYSFTKQAPGDKRLEIPLFIITDSLRDYLDRVYDVQEIEVLGEDGEMVVDRIPF -YRHPWFVRKMVELNEWPMEEFNRTLEACSEDPDLFDEAREEFWRIVSQKTITVLRTDLVSCINRLKNEGI -QGVPRRPRLPRGENICLPGISVRGVINKVVLKTTIPENTASTLIAEPDHRIPDDLRVQSTAIFDTRWMHR -PYGSGNLSMSKYADIFEQIGLTDLPPSLRCYGLGDGYGGACAVLGTIAKESQVVYISLPGLGDFARPYAA -YEVMARTGSCLSQSSNMEEYNDLTSEATYQRMEQKYFKSDIIVLDAESYKTRERHKEKLRLNMLHYVANY -FIRTGVENSVLVLKFYIPEWRLILQILGKLGCHSKVCMILQCRSSLDDGELHLVAQLRAPVASMAGTIAV -YPSQSDIRRVVGYISRIARDIREGGKKTNVLQLRVLYSKFQLSLSGFLPHYGWMKFAEKLQIPIERRWQW -PGSKDEFTWIREILADLSAKAEQLKRELEQVSSDPRRSTYDSLEHSLKVLEKRLTMEGFRFVLNLYRKNV -LLLEAGPCINAYKDIMRDVPHNIRMNEESLDITITERSINFMGYVIDPFAPWMMGVRWAYSALQLSRQLP -YGRALPTNQPAREPDREEIWDVEGMPWDEV - ->YP_009177704.1 polymerase [Changping Tick Virus 2] -MGSQTRVPFSPSSLVFERKFDVALRKSHGDSFKRRLSSEKLSPDDHQLMTAAEMKSRIFGPPDSWETNVN -LYPQVLGALADVQLPRGSGRLTRILRLVETNLEIQLGWVCSGLEPSLRPDLKAAVAGTRRRAARCTWLHR -LMAAKEELDKLLSAVPAADGGRRRGETPEEAHRRLGKECFWRSKLLGLSVVWSRHSCVMFHDSTAYYLPR -SYLLLCHNKVCDMISVLFYACACPDALYGLDLVSLTCRFIDIWSALARRLDQRFFHVSKVLEGLCIGETL -IDVEGEGNRGFLDNICDGLYAACGFQYKGSELQTLIQGAPIPVKHELACLSKTMGHPFCDVEKGAATLQQ -KVNDSTVIDTEAVVQCVRYAKMDFIRKFLAKEKRWPLVELTSEASRGLKFAQMLNADPKNPDHQRKYGQI -LLTDMDQVTVLPNIKFDWLENFIPYVKDRTVTLDRSAVVRRYIEGSKDLRDNWKDTRLLLFYLMQPVHMT -DHMWYLQAYNRGDWEQVANYLVIRIVPKEKEHKIESRGFGCKTPMDRARGIIQEENAARFLDKYSDEHVM -TLGEITLAKKLLGFRQLGKAYQGYTCLTMSVDSSSWNNRFRSQAVAPVAEAVLDASYGVKIFKKTHTAYE -RSFIYMPDADQVYSWDGQLGGIEGLNQDTWVYVYLQQMKVCMEGLPYPYYLLCKGDDLRVAIMVPPEVRE -KKSLDVIKQEVLAHVSERGKLFGHVIKVEDSYVSECYFAYSKDAYVAGVEQPQTFRKIQKCYGANNAFLT -TVDDYIASSFSNAHSASKNAPSPIQCFITALFWSYDALTLHAKYGELCDEELVALLCAPNLVGGFPIIFL -HNFFVRAESDLLSPFIDLLQYTKKHYPRIFDLLCGFITQKVMRPEKVLSGLLIDPYSLPLAKPTQAGTVL -RQAVTELVERTTKNEDLKELFRAVKGGFERAFLDVLLTSNVYNVKLMGVLFSCTPEGIIRALIRKFETGR -SIYNALILHAGRGITFRILKKCANADKAAHEYRIALLKQKIRGSQHIVDLAELGEACPYAIAKRTRDTLW -GKPVEGITHPPLQHMISVGTVASFRATDEAAYNHFEIMFDLSDRPPRQGPLFTIGRHDPFTGDSTGRGLH -HPDATIISHNMLSSKVRDLVDTLQWSHMTGVVNGRLVASNLPLLVEHLLRAYTKTEISDLVPFQAEKVIG -RTTQHHVRVNNYRVAIVPNTLLNVYTRAKGTSHTHRNFFNSTDHYLVNFLHVFCHTVSLWALKWWCGEDA -TPPDRIWAVTRSYCECLKPIEEVPVVLQETNLPSISVMEACRVADVAIREILQEVQEFNPLQYYVAEDAE -EGITLEEAQIALAQAHSNAVVAARHTIKALYTSHATTSSGYKALEKYGGLNSSAETEALDLRYVPPEILL -RDIAVMVYSEILQRYNFSTIADLGVALGLTPALELPWTTLLQDLDFAGVWYSAQQQLHRLVPTTYDIVYD -NPITAAPAFGHACYSLCRGTLKDYKVAYLSYNPSPLVEKDIARRLHAIRLDVFQQVYSQLIRELEDENTP -ASRVEEIGLSLAIGVMADEEFRFPFTEDGAQATRAVSPLFVPPDDVEEVLASQHQPDETGEVVWSPPPLA -VGVCRVLGQDLDLIQFWLEQLVAQDLEVSAWERFADNVGVVTVEIFRTTRVTCINRVRSERLPLYHVAGT -MNSLQPQSLKSFHRHQLPSIEITPLEAEAASVYPPVGYDWGIKPDPEFKGTLFNKRWLTRPFGAGNISMS -KGLSLLEGLGILPLEKQLSIMCLGDGFGGYTALFSCLGRDSWILFNTRPNRQGAEQIPIHALELAEGHGN -RLDFSENQLGYYDLCQSDTYERFESRTERVDLITLDAEMQPLLCAERVAMLHYVCTLFVRKGSRGSVLLL -KVMSMEAQQWMGVLGWLAPLCQRVYLVKAPAAPLDGELFLAAQLNLPSPNRRYGVHPAWPPTPLVTKIHL -FAQIKYNRWIEKDEGGLNEIGLKPSYSQLWIELARLLPLYGWSKLHEVCRLSVDLQCKFRQGMPENQWLR -HILSFLEPACAQYCMELRQISPDKHSMDYMTVKHEAYTLERFAAVAGFREVIRVRLTNRDVLTAKDCNDG -YDNFVRGYPGKLHLLKDLPEHKKGAITRAGYTIHPFRWWKLGLRWGVAATSISRLV - ->YP_009177701.1 polymerase [Bole Tick Virus 3] -MGSQRLVPFSPSDLVFERKFDVALRKSHGESFFRRYGADELSRDDKLLLAAVSLHKRSFSPTDRWRINVN -IFPDLLVSLLDSRVPDKSGPLLSKVSRLAGRITEIQIGWAYRNTEPGLRPSGIQYSKTVIRALGQSELIQ -NLFHFKQELDMLVSGLPRASERRKQGETPDQHLDRLAQNCFWECKWLDMRVCWAQYSCVVWHNHTTYYLP -RQYLLLIHNKVCDILSVLVYAGACPTEIYGTRLLSVTERFLRIWMMMAQRYDQKFFHISKVLEGLCIGET -LVEIEGSGNAEFLDTIADGLLTDVGFCYHGSQLQLLFQEVSIPVRHELACLSKVMGHPFCDVERGAIALE -AKVNAEKLIDLDAVLQCVRYAKLDFIRKFLMREKTWPLIEMDVDAPRTLKMACLLNHDPKSPAHQHKYGN -FHVEMMDFITILPNMKFDWLENFIPYIKDKTITLGKSAVLARYINKMQADGGDWKQTRLLLYYLLQPESK -TDHLWYLSAYIGGDWEQVANYLVIRIVPKEKEHKVEPRGFGCKTYEDRARGIVQEENVAMFLDRYSDEHV -MTLNEVRVAKKLLGFRNLGAAYSGYGVLIMSVDSKSWNNQFRSATVAPVAGAVLDRVFGVELFSKTHTAY -EKATVYVPDADRVIYWSGQDGGVEGLNQDTWVFVYIHQIKVCMEGLPYPYFILCKGDDLRIAMMIPPEVL -KTTSLDKIKRDSISHIAERGAAFGHVIKVEDSYVSENYFAYSKDAYVAGVEQPQSYRKVQKCYGANNAFL -TTLDDYIASAFSNAHSTAKACPSPIPCYYVASWWSLESILKHPRYMKEAEESLVALMMIPNMMGGFPIIF -LHNFFVRAESDLLPPFLDLLAFCKEYYPVVGKVLDNAMHQRLASPETCLVGLMVDPYSLPIVKPRPASTV -LRRAVTDMVRKKTRNMEVKELFDIAHEGFELEFVDAMASANVYNAKLMSALYQCTPEGVVRTLIRKFETG -RSIYDALVLQSGPGYAYRVLRQCMRADIQLHRYRINMIRGRLSRTVSLLDDYAVGTECPYRIAADLRKVT -WGKPIEGITQPPLQHQISVGTIGYFGASDVAAYNHFEVLFEPPASPEAPLFTKGAYQPFVGETTGKGLAP -PEANIESHNMISANVRTLLDLIQWLPMTGEFQGQLVTSNLTRVAEHLLQAYTETDIRKLSPFYSRALTHR -MTQHHVRVNNFRASIVPNTLLNLYTRASGTYNAHVNIKLSVDKYRINYLHVYTHMVSMWAGLLWTGQPLK -KRVDRLWGVTVPDCPCMEPMTETPMVLARTSLPPIMLTQVTRLGEAAVREISEEVAKFDPSQYYVADDAE -DHISLEEAQMALIQSHVNSIWARRVQLRNLYTSHHLTERGAAALSQFAGITTTPMQELVDLHHVPPDLIV -RDVAFMVFTEILKRFRVFKIRSMSTYLGMIPGEELPWTSFLQSMDECGLFYSLQQTMHKLVPTLYDTIQD -RPRSASALFGAACYELVINGMTSPRIAYLSYGRNPGISSDILYRIRGARLYAVRQRYNPLFRSLDSLTPR -LRADILATYAAGLTCVDDITFDLESFTPDHLRQTIPLFEFSEDFEDDFPSQVAINEDTHLPEWQWTKYAE -MAAGMYGLPNSDVCALLEDLCMSGDLEYRRSQFLDEMLNPTVEIYRTDLVACANRVREEQTGSYHLGPTA -AVVSESTMPTIDARKHRSLYIAPFDVHEASTISPTGYTWSIEPDPEFKGTIFNRRWTLRPIGSGNISMSK -AYTVLCTIGLHVLPDNINCACLGDGYGGYSAVVCSITKGSRVLFNTKPNRQGGTAMPVYAIQVAELQQNQ -IEYSDIELGHYDLAEPTTYHVFEKHTKALNLVTMDAEILPRLCQVRHDMLHYVCRFFVRNGLPGSVLILK -VYSDEAPIWLGIVGWLRPRCRYMCVLRCDASALDGEFFLISQLDKPDDVPYESAPRWPPSTTTHKIHNFC -HVRYNAAIHDDEGGCNDLGLSPGYAPHWLDIATKLPLYGWSKLQEVTRVVMPLPCKFRKDQAVPEWAGFI -RQVLRGVICDVRDELDGILQKDSEKFAYDTLTHVLHIVHRLATIEGFLLIVTLVQKGEISVTAKHCNDGY -RKFIHSIAQRVDLRANMAEHPQGAFEYKGETIHPFKHWKVGMRWGVQAMTISRRL - ->YP_009177218.1 polymerase [Suffolk virus] -MESQHDVPLPVHDLVYERKFNVALRMSHATAFCNRVDKGTPSIDDQFLRAGLRGAHLPYEPDDWAYNRHV -MGALFAHIEQLSVGHDTDPNAVRAIRVAGQVVNCQLLWNMMSKADGLTSSCEQYAVGWRHRWASCKLLRQ -LLAAKNHLDEWITALGRAGERKKMEESTEAWVERVGVKCWWRNKELDILAAWSQNSLVISHDDTIYFLPK -TYALLIHNKVCDMLSVLVYTTACPPSLYGHDITKEAVAFMKLWATLARRYQQKFFNLSKLLEGLCIGETL -YELEGEGNVMFLNTVVDTMRKTVGYNYNGSPLQMMLRRVPISVRHELSCLSKIMGHPFCDVKQGAIELNK -KVNEERPIDPMMVQQCVRYAQEDFIRKYLAKEGKWPLVTFDPGASKSLVRACMTNTDPRSVPHTRRYGKI -ELVDYDKVRIQKCLDFDWVENFLPYVKDRTVSLLKSDALKKYIENDPDFKTDWRKTRLLLFYLLWPETET -SHMPYMKAYTAGEWDQVANYLIIKICPKEKEHKLEARGFGCKSTLDRARGIIQELNVARFLNDYSDEHVM -TLGEIALAKKLLAFRKLHNAYRGHKMIIVSVDASSWNNRFRAASVAPVMEAVLDAAYGVPIFSKTHEAYQ -RSFIYVPDADEVYSWDGQLGGIEGLNQDTWVLTYIHQIKVCMEDHPYPYYILCKGDDLRIAVMVPPSALT -NTSLDELKAKLLESVSSRGEKLGHVIKVEDSYASECYFAYSKDAFVRDVEQPQTFRKIQKCYGANNAFTT -VPDDYVASAYSNAHSASKTSPSPIPCYAVGVYWSCELLVRHDVYSSLSDVELTALLQVPNMLGGFPIIFL -HNFFVRAESDLLPPFIDLWQKTRRLGPTVHKVLAKFLVQKVSDPEKCLAGLFTDCYSLPIDRPTPASTVL -RRQVTLLVQRRTRNKYIKQLFLLSKKGFERDFLRTLATANVYNVKLLAALFECTPDGIIRELVRKFESGK -SIYTAILNCAGRGLAQRTLNACYKADKELHLYRKQVIRRGLTGAVSLVEPNDVSRCPYQVAKDMRDRLWG -KPVEGITQPPLQHLIQLGRPEDFEVDDHATFNHFELWFDAPDENEVTPMFNLGTKEPFVGAVTGRGLSKP -EAQLQSHNILSGKIRILMDVYKWSEMTKEVPGTTEVIVSNLNVVARTLLEAYTGETIETIMPYTGQRLST -RTTQHHVRVNNFRTSIVPNTLLNVYTRASGNSRAHITLDTSTDHFRVNYLHVYTHCVSLWALPMWTGQAG -VCPPRVWAVTSDCSHCLSPIVEDPVVLACTYVPPIHLPSGSELGSHALDEIREELSGFNPEEYYRPDNPD -ELVEVEEAQLAVVQGVTNAIWRNRAKHQTLYTPHNVSVSGLSALVGWSGREGSVVELTTKHIRGISMEVL -VNDIAFMVYSEVTRRFNMTRIRDLSTALGNVPGEELPWLIILLELDRLGRFYELQVFMHKLFPDLRHTLR -DNPRSYAPIFGVACCRLVERGEVDVRIAHLSYRINPDISKDIMYRIWGLRWAVLDREFKSLLTTGVTELP -EPLSREVVSMLCVGLVLDDSELEYGFQGIQEDRITTPLFPFPEDTKETLISYVITEEDTWDDELAEPIIT -KILCLPEFLEISLKRYHLTFDTLESILMEACIDGLEYGRLCREFELTVKRPMVTIFRTDLVTCLEKVAAN -APKGHIRMPETQDPGASSLVIIRNPKTLHHTLGGFRTDQASSYDGTAGPSSYDVATLEWPGTEFNRRWLL -RPLGMGNISMSKAYNVLNVIGLPVLPIGSQAACLGDGYGGYTSIVTAITVEGRVVYNTFPNRPDRSDPLP -AAAIAASQRTGTLLEFSDIRAGHYDLCLTTTYTRLEQHTMSLDLVTLDAEMKTLICPQRLAMLHYVATFF -VRVGKPRAVLIMKVYLTEFLQWCGVLGWLGPLCSTLMVMQADASATDGELFLIAQLETNQRTRPYNVNVL -YPPIREMRRLHMMATRYMSNLANDTFGCNMLPLTRPYPPQTIVLASYMPLYGWSKLQEVCHVFTKQDYSR -ASAFPSQGVWITSLYTELRAAEDQCVLEMAGQLPDKERHTYDTLRHTLVVLDRYMRIAGFTFVASQHLLE -KQYLVLDDYNLAFDRALERLPPRLGLSAQTEGIHDGPLEVGNIRTYPIRAWKLGVRWGVGALAISLCI - ->AJG39080.1 polymerase [Shuangao Fly Virus 1] -MYNLSPHYETIDESQTQIKFTNPSNLIFERKFDTAMRESHMIRFMRRIKEENISREDRVILENKKFNADN -VKFDESLYARILNDILRRDKVSRIEVNQSKIKTITRIIRNNLTLQTDFQIETIKIPLWKMTRKRKFQEYL -NNVQIPAHLAHMISLVDKINTIVDKLNDAYHYKIHGLEDDEYVSYCIRKNRLIIDDDLELYWNKEYLFCS -YKDDLYLLPRVYILMIHNKLCDLISVLVLSMYSNQSVFPHDSTDRIVNFVLELCSLFLRFKRKFFSISKV -IEALCYAETLLEVEEWRNVEFLSEICSGLLEINFDYMTSDLRTYIITASAEFRHELCCLSKIVGHPLVDM -EAGAKAIHKKVTEEYNLTVEQLVRCECYIKQNYIRNHILTGRGWPPVNIDQGAPETLKTAWIKNLDPDAD -ALKKKYKPIDIMDYMFVEILPNLMFNKLENIIPHLKDKTVSLSRRKIIAQIIDRQMDQERPKWEETRLLL -VYLMNPSYVTDHVKYLDDINQGVSIEEFHNYLVCRIVPKEKEHKVEFRGFGCKTPQDRARSLAQEMNSKH -YLDLFSDEQAMTLSELDLTKVLHSFRHLEDAYKGYRVLYITLDASSWNNHFRKETVDDLMVNTLSRIFNH -QVFSNTHKFYKNCLHYVPDADTTYYWYGQDGGIEGLNQDTWVITYIAQIKTTLHDKNLRYHIMCKGDDFR -LAVLIPIKNLEDKTLKEYKDDIVRTISIRAKELGQKIKVEESFGSARYFMFSKHASIDKVEMPETIRKIM -KCHGSNNSFINTCDEYIGSTFSNAHSACKASPIAIPQYAVALFWSLFYLTRHHTYKDCLDLEYETFLLVP -SMCGGFPIIYLHNMYVRAESDLLSPFLYIIDHCSTYRPELAKLLKKFCIISIDPPTDYIQLYKDPYSLPS -PRPRLPSAVLRQAVLPSLKRIIKNENVLELLEAQESTAQTMCEKALNSSNHLVIKLLSRIYSATPSAIIE -ELTRKFESSRSILELLITAKGRRRAMNVLRNVIKCETRLQRWRIDVLHGEFLSSKNFYQVNYSKCPGEFS -DKVREWAWKKKIVGITMPAIQHLVTYTSINFANPHDIKNHFTYTISDNLKYSSSSKNRCFADGHQKPFLG -HVTNPGTVSSQLRFVQKDVVLRHVSDLLEIHAWSQLAQTSDEEGNIITGNLHVLIEKLLKLCRL ->AJG39060.1 polymerase [Wenzhou crab virus 2] -MDTYFGQHSVTRQHPGFYTFNRKLDVALREEALDAFILRTRQDTLTQDDRLFNSHFPPSVPLWFTPKSTA -YPTFLLWVTRIEMQRTCLASPYQSWSKRAIRLASQNLPIQKHYMNQNVNLPDPHNIGNMFAEVFLNRSCP -PIIQNIACLVWELQKMVNKFAHIEDVDIEKADAEKLTMLRPLCKYEMKKFECTFHWSKQMAHVQVGPRGE -FLMTRNALLGFHNKVCDLLSVLLLGMYTAGVCYPITACDVTLELARELSRLHCQLGNKYFSVAGSLEALV -VAVTLNEVDGPDNQELYNNLCDTLMEEASYEFRGSQLERLLTTAPIEFRHELGCLSKIAGHPYGKVLKGA -KKLYQRTQEVKKLDTTHLQNCVNLAKESYVRNYIFRHRQWPLVKFSKCPRGTPLLEAWIRNCDPKQPSIH -DKFGAYRIDDWALVELGENMKYNRLENYLPYLKDRTISILRSRVMNDYLKTTERNKIPWSETRLLLYYMM -HNAEMVDHTKYLDKYERSVDLSELADYLVIRLVPKELELKPLFRFFGCKTYEDRTRMTVQTINAKHFLDM -YSDEQAMTLGELDISKKLYAFRNLKAAYKGYEVLYINLDCSAWNNAFRHETVSQIAAETLDRVFGTNLFN -KTHLAYQQGLFYVPGMVDDIYWEGQQGGIEGLDQDTWVWVYINQIKTAMLDHDVHYHVFCKGDDFRIAVL -ISPRHLAEKSMLEWKNALVQSIAEVTEKAGHKIKVQESYGSPRYFTFSKHASVGKIELPEGFRKIQKVYG -SNNAFLNCLDDYIGSTFSNAHSACRVMTVSYAPYMVALVWSYFYLLMDDHYAKLSDDALVSLLMVPSLLG -GFPIIFLHNMYVRAESDLLSPFLDLCSFCHHHYPPVWDHLKHFLRLSKSARLDLSTLYADPYSLPVKKPP -APSFVMRQTIIPEIKRIARNREIVQLVKAAESPVTKSLVQMMSDSPHQPVKVFSVIYSALPISILNELTR -RFETGKSVLEAVMVRTRSGRKKGVRLIRKLLRCEDKLQTWRASKVQGREPQCYHCYDNQIPAECPANLAQ -IMRDELWDRKITTITMPPMSHLVSIIPSPDYWPDAHQSANHFTYHVASQATEALRGDASGHWLVSGHPPF -LGHATRTGQIEPQMNFIMKNTMVLKLKNLLDLRRWVDVPVYHPDGTTSPSNLKEVIENIIQLYTELPIAE -LDPFAGRKRSGTTQHHLRSAGFVESVVPNSLSNIYQQVVGSSNSHQTFTTSGEHFLTNFLHIHCWVIHAL -TERLEFASTRGTQGEYWAITTSCKFCSTPIREEGVSLDMRLLPDTAVEPLLVCNITEATKDIVTASMREF -QKEEIVCTKVYDKLTYRRACVGVLQEVVRMTYDNHLQLQSRHGQHSMTLEAQSVLTDLSLKTSSRIIGDT -ELKRSEIRHMIPSLATCVYEYGTTRLSGLTKENAPMLFGNIPGSELPWYRLMERLHTLGRLKELVKEVKR -VSGVVPPIVQDNISAASTYMASALCKACFDGTVELVFSVLSYRPTTDVLRRLKPLAEMLRWKVFRKTIFP -FMRSVGPMGEEGRLELAKLVLVGVTTVMISTDIEGEIIRALEAGEAMILGLSLDEFDEMMLEDHWPSNVE -ASELQGTLGWFIKKWPGLPWSQAREKIMRGEYQTQATTLQRDALTFEVEIFHADLGDCIARVREGDDSVT -PSTASEASSSDSYAVEVPSSPPGRLVFGSVSEERGIFQRVKLSRGLHDIEAWGFSNCQTLDKKIRLQSCF -GRRPFGSGTTSCNKVIQVFASIGLSGNLGNHLKILCAGEGYGGIIDYLARVTSNSTFYYATLPPSIDVTA -TPDLAMEALKMNDHVLDDENMRAGIYDLRRNGVYDYIRTKTSTIHIMVVDAQVPLAEAGDSALIASKCTS -LFSQLASPTSVLLIKQNMGLPWIASHTASALLLEGFEVTVCKPEASSIGYECYILAHSKKAAIMPPRPLA -PGGFYETVAQSMDTFIQRYNEEYFPYPRAAEHHIQETVMSASESKLLTLVPPAYQSRFLSVFGLLSLPKS -SGTLASFTKDDQAIASQLKQNAQDLLDILHDRKRVVVNRRWDDNTHTHYVFMAMKYLTIQGARAYLKLRA -QPGSTEADLRSFYLAKVDTLPRRVQIVSPCPQHYSLDHYLLGNVRFNPYLRFMEGVQAAQLLCSHRVASL -RYQAFWETQPESDMEWSCDTSTDEMMGVPDETLPGRRA ->API61887.1 RNA-directed RNA polymerase [Chuvirus Mos8Chu0] -MPPQRPTDCFEPRPFASQTKVSFEAPSTVVYDKKFDTAIRASHVEQHYSRVKMKRKTLDDQLTTELENYP -ERMVNHDISSAAHARIMRDLFEKYQTNDVPKFRNPAVTKRTHRMIDLALANLELQTKHLNGKNHLPMKAN -VLSGLKQIAVRETMDHGYLNVLFDYADWLLGVINMSNAMYADYYRRLTPEEYVQDLRTRNRATYKMLGLT -ISWGHNFCVVSHSGRHVLLPKSYILLLHNKTSDLISILLYSKIAKYSSMPPDFEVKVVDTCINLWQTVIE -YGQQGFTICKCLEALASAETLCITEKWDNRAFLEVVCRDLRDDTGFDYKTSELRQIWRGLGPAALHELAC -LSKIAGHPLVDMEGGAQTIHKYTTEQYILDYDKINECTCYVKQNYIRNYILRYGKWPSHTVISSAAPDAI -KYGSLLNLDPEGFMIKSKHGNISVLDYNFVELEADQKFNKLENVIPHLKDKTISALRSNVIKKYITHQDV -KTGWADTRLLLYYLLHDNAETDHTEFLARYSEGELMDDFINYLVIRIVPKEKEMKIKFRGFGCTTFPNRM -LFLAQEKTAMEYLDLFCDEQAMTLSELDLVKRLHAFRCLKDAYPLHEVLYIMVDASKWNNHFRRETTDKV -LASTLDRIYDTKVFGRTHEKYQKTLFYVPDGDVTYFWEGQDGGVEGLNQDSWVVVYIGQIKTALSGYDLK -YHVLCKGDDLRIAVLIPHRDVRAQDMAETKNQLMVRISKVAADMGHDIKILDSYGSTRYFNFSKTASIDK -IELTQVLRKIQKCYGATNAFLPTIDDFIGSTFSNAHSACRVTTNVIPCFFVALAWAYYYLLSDELSLAER -AAKKKKMSRQSAFKYSFDVMTYAELSDVELIALLLVPSSCGGFPIIHLHNMIARAESDMFPAFLDLITYV -KSAGKNELYQTLTNFLVFDSRRKTTWKPLYMDMYAMPILKPNTPSFILRQSIIDPLKSMTRSSELMQLFD -LLDDESGSASIIKCLDSCETIPAKVFSVIYAALPESILGEIIRKFETARSVMELLILRRGVRYSVRIIRK -VLQADVEVNMWRLNRLQGNHSGFAVSGLRLLDICPAESAYIMRETFWGKPIEGITMPPMSHLIGFCTSAQ -GVESMHVRTNHFTLRVDRPTDFLEGAQGNYHFGVGHKRPFLGHRTGTGTVNPLLHLVEKDKFLSNLRNLA -ELASWVQISGIDDQGQEIDSNIYLVIERIVTLYTSVGLQTFAPFLGSRKSGTVAHHIRTRHFRESIVPNS -VSNIYQYVEGESNTHSKFFGDHGHYWINFLQILCHGVSLATFELNVLPFFTTPNVVWIYTENCDFCMRQV -QEDPIVVDVGIIKNVKFPQLEMLQMGNEALSVLKESLSIAKTRKYNVEGNSLELTIEQATQGTLKMVVEM -SVDAAARLTDRFTQHPGSKSGAQVLKAFAPRTKRRVIGQRELSCMENDTLCKVVPYIIVQLMMKHLSRRA -LSDIPDSLHTIPPGHLPWFELLHELSRVGRLYHLSVGIAEIADKYPSYLTFKPEQVAAYLGNVSLEAIGR -MFEVPDLVLLSDYEITEIHKHLSEHLFIVSWARYIGRLATDEDREAVLESVIYAIIRDYIIDNVPLHTDD -DDDLVSTGEYAIAQLYHADEVHAALEALGQTDDIHEWRKLLSYRHILYLRHANITEQEIFDLYCMDSETY -HAEWESWVEETTISVVCTTAWACLSTLRESGMSFRDAHANQQEYVEPSYDLAREWRPAHYILRYGSDIPA -PTENPLVSSAVLVQPVVRENDCLVGSHHLYRPYGAHNASSNHLILIFSALGISDRLNLSNRSVSCLGDGI -GNGTLFFAQFGRNMRIIFTTKPDNQMLHITPDVAMDQIRLRGHVLYTKHIQEGIWDLREGHTFESLEDLY -GRHDLYFCDAEPNPGDPDIDEVYYNVVNHFVRSKKDASVLIMQVKASNSPVVGKCASFLAFHCAKVHIIQ -PPSISRITMHYLVAYGKRRTDPYDDSRLINITPRVAQVLRDHYTRRAQERSEERYTGTSKLSLRPAIVRC -PRYRTSLPPLWVSMMNKDLGVAVDATAVSTMISSSSGLNEPWELNHLNRLFTTHRQIYLRRSLDDPTELS -GQVLSRPVFSLEYHANRLVRLHRLWSHVGFNWALTLFTRGHTDISEDDIKAAFRAYYNSLNYRDRVLDET -RNDFYLYISHTTNGVPVRFWACFINGIQIVQEIAAWLHAIQQVQGQHGLGG ->AJG39051.1 polymerase [Lishi spider virus 1] -MFHSQKQVPYETPSCLVFERKFDIALRSSFLDGLRYRIKTNTTTRMDKLLTTSNRVDGDLLNYSRDITHW -PKILQRLLKEEESTKWAHRGREKFNSIWDIISSVISIQWGYIMESDSTPNAVSKLKLMYQFLRENRSEHS -LLHRLADLMTFLSETVEKSNLIYKRERGIRTDTDWIKTKCQSNFANYPELDLTFVWNHELLVINISTQWY -IAPRHVLLMLHNKVSDLISILTCIELGKGSTFSKEFPHDVREFLQIMTTLAIQYKQKFFDIARSLEGLCT -AETLVSHEDWKNREFLEALSNNLYESTGFTYMESDLRVLLSQLDTPDRHEISCLSKVFGHPYVDMQLGSE -KLYKNTTEIYEINLMLVQRCINYIKQNYICNHIATYGRWPPCHLISSTTPKALAMAFLEHKNPHSLSIKN -RFGEVAIEDYAYIELDVNMRFEKLENVIPHLKDKTISLLRSAVIKTYLEKSHTGSRPNWKDTRLLLSYLL -NPTMVHDHVQYLEEFTQSEDLSELLNYLCIRIVPKEGELKVAYRGFGCKTYEDRMRALAQEKNVMRFLDQ -FSDEQAMTLGELDIFRRLSALRRLKSAYTAHEIIHIVVDASAWNNHFRSETVDFPMEHTLDKVFGTKIFS -KTHKAYEKTLMFVPDHGTTYSWEGQGGGIEGLNQDTWVVVYLAQIKTALDPFGYKYHIFCKGDDLRVSLA -IPKTVLRTTSMLDIKNNLVKAISTTMKEFGHKINVEESYGSSKFYAFSKIASLGTVELPQTLRKIQKVYG -ANNAFIPTLDDYIASTFSNAHSACKVSPCVVPCYGTACFWSVFYLKHSEYFKDMTNTEIAALLLIPSMLG -GFPIIYLHNMYVRAESDLLSPFLGLLDYCKRLNPDIYEVMRKFMNVAALDKVSIPQLFRDPYSLPITRPP -LPSFVLRSYIKPALEKLVKNEDVLELFECLDSPVTEQLNSCLASATPFDAKVLAGLYAATPGGILDELLR -KFESSRSVFELLILRMGKRRSENVLRKVIKSEHTLQSWRRLRIKDRLSEDTRTLSHLYSPCPAQFAQRIR -DFSWNKKIKGVTMPPLQHQILFIPAYPRNANSWERNNHFTYHVSAPIEYATKHIREQYSCGAKDPFIGYT -TRTGTVEPTVHFVEKDVIIQKLKNLIDLTSWTDRGLLNENGEFIASNAREVIKVILSMYTNIALEELSPF -AGARKSGTVQHHIRAPSFRESIVPNTLLNFYTRFSGESNSHITLRNSTLHFKVNFLHIYCYAAFILSMEL -EMTSHITTPGEVWGVTTNCGYCTQPIVDEPMIFDTTKIRGTRFHPIRLCSPGTRANDIIFESLQEFKGDL -LIMPDLENEAPCELATYGICQEVIDSTWALKQTIHERYVPEHLQQTKTNVLSTFLPRSHSRDVGLSELKR -CNVRTVLDYLVSFVNSTLYSLCPTFNDETKCIWIEMSPSEELPWYGLVHYIYQAGFLHKLLRLVQDESMI -PTPSCFHSPTTSTKYIGAACVKIWGWRACIPKLVILTYYKERQVAYHLLNFLRATMWRKLHETLFSLLGR -SRRDTPESKNILSQLFCVAYSLAQSYPLAEEAYMTLVERVTETCVKVVPMNAILDCNLEDIYNLFDPDTS -TILSPMSYFVHQVYKRMVSAEISITTVLDNLENNFDNIQESLDSCLNNWYIEISYMTLSQCIQSVRSSTN -TSNLDEETLRPRTQLKPSEGFLQLPSTKVKFPAPSVYYPTETNPVQTSNYPVFPVFYEQTYSSVSNRSSY -QTLGHFNGSPSILFSILNQLGMIRPENYAPGIRVACLSDGLGGFSSILDLLVTSGLFVYHTYPDSNIFEI -YPEAVLRKGYTRNTFRYDHLTQGYTNLIHKEVFYYLESFREKYSIVTSDIDAYIMNSPDARNCEIGIVLF -YLRNRTENSLLICAVANNHPQVICEVISLLRQYCDHVWLINPLPNNNPMKSYIIAYGGSKPCNVEYFPTS -INVSVNTMSKCDKFLKYVYNENTVLDSVNFEDIRISNHLRWKLPMYAGEIGRYGFIRVTEMLNLKLNLDD -LVELAPDEVKRIIIKTADTEIRSCKLALKGRDQRLHTYETETRQHRAKVVERLMQLQGLKISISRWQWRA -ENYRLSCQDIQEEYFDILMDLDVRDRAFPFNNEHYQVNFERDGQTFRYFTRFVGGVRTGIIIVGQFYHSH -KELLHHEETR ->APG78769.1 RNA-dependent RNA polymerase, partial [Hubei chuvirus-like virus 4] -MTSQHKILPSQVGLTDKKFDSQLSLTVLKRHHEETINGNTNIFPNILAYPIRDDPKDWKIGVEVIGSVFR -RGLIGEFRHHGESFISSLRIAEKSLTLQEHHLLSNTHIDKIQDLISQKIITKRIPHEIISFYTLMKEFEE -AVNYHSNVVRESSTCPNSVLISKINSLKFLFDKKGILIETLSVSYLVSPLQLLMIQNKLHETFNVLFLGW -KLTGLTWSCSFYKKQIMLLDLMSLLVIDVGNDAYTLFKSMDGISSAFILLKEKFFDNSSPLRNLKMELFS -SGLIGRYHWRIFTKIFEQGDHESIELSTMNKLLGHPDIDTWRGINKLRTRTFAPIPVSKREVVNLISTMK -KNFIKEYFKRHMKWPPLDKESILVPTIQHCVDNNIWVNHSRLPTYLNKVTLADFDGIILGKVKEFDYVDS -QFALLKDTSLAPLKDILYNPSLYYTQSSSEKKKNQRTLLYFLLSQYPHKDVRKYMERFANHDTIALLYAV -VKLTQKERELKIEGRYFGSSPYVERFRRSVLEKNVADLFTYNNSQAMTLNELQKFTKMYMISQLNKTKQG -YIALHISIDAEAWNNSFRRPVCNPVGKEFFDKLFGTTHFGSFMDIYEISAFTASDGLMDIIWEGQYGGIE -GLSQKPWTWIYEAVAARVAEMSGYECHIMVNGDDLRISLLIPVSNDKEGLQALITNLTTDFEIEYKKFGF -KLKMEESYFSTELFAFSKMYLIDGHFCSNTLKKGQKMHGLANLMGDYPLEYLKGVMSETLSTMSYSEHHR -WIYVLGLINFQKYLQDYYPQYQQESDNIKLLSLLVPSNFGGLPVLPYLRCLYKGDSDVETIWWSLYKYIM -ETDRRFGELIIKTVCSFICKSRNREELLKNPFAVPNNTPAEGKVLISSLLRRKIPEITENKELLKLFSKA -SEYQRTLFVKKLVSVTPIPAKVISVLYEVSPYGQIDELISRFENSLSIGSFVSKGFKSARSIRLLKKVKI -RDLQKIKYLFENYTRYQFSPNNRLYMLIRDIECPTRCMDIIREWCYGDSVFGITYPCIVDQISLNIPYQL -FKVKGTNDYSKVIVTCQPSYSDPKYNHGSEPIFLGTHTKLRIKAPDLELKSSSPGILKVVKLLKLYSMLG -SLGCQVKEILKNILKSFTGINPEVLTLLAHSYISGSVSHRVPCSHFSPFVGINGSPNITTHVKVSHIDDH -EQKLRQGDWTINYSLLRSHLILTSLYDLELVPFKDKRQLQTYHACFDSCKFCIQDVTDQEIQWENPPTEI -NLNLSENIYVGFSNEEYNVLIDQIEVLSKYQLVLAEFPCIIQEDNRPVVYASVMRMLLDSTGENPLRYLI -NQGITRNACFEELSSLHGVNIQEIATITDLKMIPPEAFYLFIINWTLNWLMTTHPKLLFNNGIDVIKASH -SSNLPHLELCKAIVEAQYWPCLWNYLSKEFPSIPPITSRSPSDIQIWIVTHCIDKIKEKLTIPLEVYELT -VNYDILVTQFLKIENLVHNVNLRIEEIALERLFLFMRSTAAHPIYSIIKDHTISPESGKALTELSVYNIT -TFRDSPSEKFNIFTIRSSNVIHQNNLLMIILNLLQLSSSEQQQIIKLILSLGIIHNTDYDIYFKSCYGKL -LLIEESVVIKRIRSFDNPDY ->AJG39083.1 polymerase [Shuangao Insect Virus 5] -MSDYRRHFGSLDLLYDEKFEVWLNLSPIIKCCETLHNTEEWKNIPKVRSDHTILNVHTVRQLQCVYPDPR -SVVVLNDKLASITPLLPAVLLDLYLRHRDNSAGLQSRPSLRDELQLHSDVAIRTLELQLGHLLHTCSNEV -KSMLMSGSSIWKEKLYDLPAIYYWAAELSNWFNVLMLHHKQSLKNYKYKPRILTNKIFAISAVITSELII -LETKTERYICHQALFLECHNKLQEMTTMLLFNWLQDGTSMPNGHLSYLIEYISHCVSAVLRYKNPPGVIN -LHHENQGFLYLKSLEGLGVAEIIRRTDKEDGLNDTLWKSLWKNLQDDKIAPMVPYYESSLYNMLASMPIP -TLSDAMGTVKVCGHPSIEIEEGLQDLYKKTHENIMINQDTVSNARGMMIRELTKNFYNKHKNYPIFEHIP -DDPALKHILFSPLAYKDHSNYHIWKSISLKTWNQCEFGKNAEFDFIDHGMLLLKDRAACRTRASFLAEIK -GESRLAVEETKVLLQYLMSDDNSGTREYIERYMEDDWGDEVLNYLVIKLTAKELEFKYKGRFFGASPIYE -RNRRIIQESNITRLMAHYIPDQLLTPPELSIIKKLMHYRTMRTLYPDSVVLNISFDFSSWNNRMRSGTVD -VAANVLDGWFGVKLYGKTMKAFQHALVIYKDGKFVRQWIGQEGGIEGLNQATWSWVFIGGMRHALEKTGF -KYQITVKGDDVRAALVVPKNTLAIRSMDNIKSEIMSNIQELCAHVGWKLNPNECYISRTLMSTSKSYFVN -DVHLPNATKKFMRSEGNTNIPFPTLEDTVGTCFSIAHSVCYNSTSIFPAYLGALLQATKAIHASYKNKPE -FNSWKTSRCVVKDFLVVMLCWPQVIGGPGSLPLQTFLVRGENDMLSVSVSLMRYILFRGSQNELQSVVRI -LNQKIDDRQNFDTMLLGDPYAIPLSTPPRPQSLLKQMMRKAMRRITKQTDVKQLLDKSISVSELKFKRTL -LSMDPYYPKVATTIWENSPYHLIEQLLAKILNSTTIISMFLSGKRFRKFSNLSYKTVRLIVHAELARKRY -WYDIIFRENINNLDSSSWFGVPKIYWLDPGICSTQLTKMIRSSIWKRELVGITYPSLVDQGGLSTLTEMK -DPNYILSVASLIHLKWGTAETKFGLNSLHYANSLGDETPWLGHTNTSGIEASRITSDVITPQLSKVVHLM -EVLSVIQGTGSTQVCNLIISMLNVYTGIPFEELAMIIPIQLQSDNKLSKLQINSYSRVTMPNYKFNLGQL -VRIQDTYKSYTNINLDKRTINHAARHFFAVAISSIYIAHDFTRRGDNTSWVYLFHMSDSKTLCPHCFEIL -QDQSIVMSQEFTFDDTTKHIFSNRLITCGPWEKDALKRDIAEAVIVNVKRSNIPKLIAKDDSVHTLTMVL -TKAIEEMRIIKNNLIELDTSGKLQMHHLQTLAVDLALELHAMYSLSHWYRYSERQIYEACFQVMLKDFAK -LWFASDILVWFQAVDLRKTTIIIDKLADLLWLVSQHGLLTHVAIGYKDAHNNDNIKWMHNLMDDKEQLAN -SFLRAHWSILPKQLSQFDFTDTIRHLCFDINDDLELWLTQQHKSFIQYKLWAMIMCMRALNFGVNIVSVV -NRIKSSSHDPGKIIMDMECRIYIMVILWFNQLLQLCDAEDIKEEIELHPQASTIQIYKLYTNVDMLGDGD -LNESLAHSRENVALLSHLLDEPLDNMHSLLYALHRKWNELLVEGSVGPLLVFERFIDEVKEQPNNWMKIE -VVTGSLQIPLKDSQFHIIGSTVDMIPNRPSACNDMCSRVIDQLQLSSRISTPPKIQTWDINSHKIVSMVD -ATRIVGVINKSIARYAWIWNHKAVDAEVNFPPDGVYVVLGDGGGSISRFILESDNTAQVIYSSLTGNTTD -VYDQHVPIEMMDDPSNPIWLRLHVNVVNGGDIMSNVTFDTIKAMIVPMNRSVRCVISDADFLYNSGADCN -DNYVETLHHLILRMYKVSDPLARIIVRITHFESNDLRNYLYALNSCIVHFHVCRNPYSQLYSYEWFLVME -NSVGDHQRLSQLDISKVNYYMYKSITATNVDAMLTNSTFVYQDTKLNDLTRLHLVYTDFERLFELQQASI -KLRNNHLCHIIAMLQNMFRPEVMSIVDSIINVSPNTQNDIIMTRLKTLLYTLHLSLLFIKLDSGNRLLMV -EHQLAMILNQCKIKYSPNRFQHTLTEIRLDWRDIRKYTRESKKSYVRIISWLCHYARFKSVAQFRNDPKL -TVAQCCIPRLTEMHGCGEILSDQTNIATLIHFTQYDTWVSHLLRQVGNPQQ ->APT68159.1 RNA-dependent RNA polymerase [Scaldis River bee virus] -MIVSRCGCLCSKDICIFKKSLLIDNIYLISHSGYSLLFFTIILRMSSKSKINQPAQRSTFDSHAFMKDEK -FDTWLDLSPLHELQKYCCLSETWNTKTFSPINGLFSSETICLLLKAGVQTCSVRDALITPCLPSIIINFG -LTHSITDSHLSPSAFLKLYTDSNRAAFMSFRLQLQHVLHNMSPDLLERCVGSVDIWQLKMSQLPVELMNM -AYIVEDFMRILEAYKILSEFQSGLTVSQRTKARILKFYEKYKCDSPKLNLPSIGGKIILSLDLCILEFDS -SSFLLTHKYLLEVINKVNETFCGLLYCHLSSGTCLAPEHYMNSVVFLQHLSGVVTSFCRYSNNIEDLYYE -NRAFMYLKVIEGLGVACIMLREDQVKGWYNDTLVQQLWKTVVDEKLQPRTDFFDSDLARIFNICSVSTIS -ELLGMVKLCGHPSIEILMGLAKLKERTHASITVNQEAVNRTIGVMKRELILNFRRRRGHYPNVELEKAIA -IRPLLRMINYNVNPDSDEGIRLRSNVLIEHWALVDLGKSDEFDPIDNQLVLLKDKALGLVRSKVFKILMT -DTESALSCGLGPIEERRALLAFLLSDHFTESFKQYMDHYENDLEWSANVLDYLVIKLTAKELEEKPEGRM -FGASPGEERNRRIVQEENSMRIMDNYFRDQLMTPDELQMLRKLFSFRHFNKMYPNHRLIQVSFDFSKWNN -NMREESIDKPAKETLDKWFGRKFHGKTMKAYKYTLFYYKDMLRSDFWEGQLGGIEGLNQATWSVLFLCGI -KQALEGLGVTYQLTVKGDDVRAALVIPESEFKTQGVIRIRDNILTQLSYLCNDMGWQLNPHECFVSLSVI -ATSKQYQVNDTFLPSGVKKMLKMESLSNLIFPTTEDMVASIFSTAHSACSQATTVLPCFLSALYVASRLL -LREMWSDGISSIEDIAVLTLWPQCLGGPGSLPLQTFFVRGENDMLSVSISLLRSIVMSENNGITSQVINI -LSQKPDPHTSKRLLLSDPYSIPITNPERPAAVLKRLMKKHLPQWVKNSDLIYLLAEDTAKLEEEFITHLL -SMRPFVAKVVAALYEVSPFYIVQEILSKFMESSTIFAFFAKGKTGNVSSTRAHKALSAILLAARRRKLYW -IKTLKHVALTSDMFLSVPASLYYNDKICTTEIVHRIRENMWGFKIHGITYPSLVDQNMYFTTRDVILNHP -NWNIGNCATAITIEYKRASFQTTDQSYHYAAAEGLAPWLGAQTSSKLELPTLSTRVTSPVIQKIMRLIHL -KVNAVILGPAFTKTVDTLIGGLTGLRLDQLNVLIPEAGGGHISHRVSINSFSVNTMPNSRPNLAQLVKIA -SDAGGALRGDITNRTVNFAARHFFSIIMATWPLQSQLILPSDYPQLLYTAFHNDVNALPEYRICQWCCND -VDDVEIRFESSFNSTLLEYRYMTLVGCSEFEERVLTQNIHEALAGKIRRTAMHEVQNFNDPLLLGIATHA -IIQRLNMQKMKVYEAAKGADFMCTPRNELLQIMSVVMGIKSLTTVSKNIIRILPVEALYTALLAELFSFC -IDWLGVTENPNHIYLVEKISDNLNPLAGLFDELSSSHILEKVRQGAIQYGGIAHFKWTPASMISGGAAMK -CFFYHHGQVIKDWIDGREIALRPKIFTHMEDNETIKEYFYREYRRLVRATLNKFNIVLDGFRITKHWQKI -LRKLSGSNIILDNLIHIGIDEDYVSLEIYREDIADMIYRNIIDDTIDNMGLIPPQNRDTFWGYSLIILAL -EALFQEGDWVDIQDADINTAESINVCKLQGLEVIDDDFLDPINVEETLLYAQWGLLMTSTSLRYVLRICK -LKFFDNQGQIIDIFIALYEQCVKWLTHINERHIMAMTYDNAELLLKKSYETAQDILDQHIQQGELHNQDG -QAHAQGIQNVNCELGGIDYHRQLRGLQRPILTAHLVQLDIPILENVDALAYNDDPPREMFYCDYTETLRG -TGIHNTSVAKYLEIVDSTGISEFITALGGDANIACLADGIGGVTARFLATYPEAKVLYNSMYYDVSTGKR -AIDANENIPPLEVTSLPFHLNAVARTYWKGMYPGDLSLSEVQQLVVKSIKQIGGCLALVTMDADISWQDD -LESARKVWMGALTIVSEVIDDYTLVIFKCFCIKHKYVLELCHTVISLFSHVHLYRATYTRQRSSEFFIIF -SQPRSILTLSQDVSSINHRLAQFLQTINIMNIVNTSLADIGNRYMTYRRTGRNYKRNILNDFKMFIRNNA -YPLNLQSGLHVLKIPLSSNNTCVCGYISSILANLSDTLIYERSTLDDLLEQLTERRYHEAGMQVVHHHII -AYGWKAIQMQLRCVIKLLTAREWFSSLTFRTYNRLVNWRQTHVDNIYRQTHTILRQWKGHVAHFHVEGNL -MVSCEKFNDNWSVMIYTIIKKCMQITGSLLLSLGYIAKKREKAEEMCTYLGSGINVGPCCADLANNIYNE -PLFWTIPEYSVSLRAPHDVRVETYDEIPSIQHLLATKQLIRGFRKYPHINIRLPDPNLVDHIQQVPEQLD -EELIAITEEFNAQ diff --git a/seq/clusters_seq/cluster_1210 b/seq/clusters_seq/cluster_1210 deleted file mode 100644 index 6f48ed3..0000000 --- a/seq/clusters_seq/cluster_1210 +++ /dev/null @@ -1,15 +0,0 @@ ->NP_624339.1 coat Protein [Zucchini green mottle mosaic virus] -MPYSTSGIRSLPAFSKSFFPYLELYNLLITNQGAALQTQNGKDILRESLVGLLSSVASPTSQFPSGVFYV -WSRESRIAALIDSLFGALDSRNRAIEVENPSNPSTGEALNAVKRNDDASTAAHNDIPQILSALNEGAGVF -DRASFESAFGLVWTAGSSTSS - ->NP_619687.1 coat Protein [Kyuri green mottle mosaic virus] -MSYSTSGIRSLPAFAKSFYPFYDVYNLLVSAQGGALQTQNGKDILRESLTGLLTSVASLNSRFPANEFFV -WSRESRIAAIIDSLLSALDSRNRAIEVENPSNPSTGEALNATKRNDDASTAAHNDIPLLLAALNDGVGVF -DSASFESAFGLTWTASATSSK - ->NP_072164.1 unnamed protein product [Cucumber fruit mottle mosaic virus] -MSYSTSGLRSLPAYTKSFCPYYALYDLLVSAQGGALQTQNGKDILRDSINGLLTTVASPRSRFPAEGFFV -WSRESRIAAILDSLLSALDSRNRAIEVENPSNPSTSEALNATKRNDDASTAAHNDIPQLISALNDGAGVF -DRASFESQFGLVWTAASSSTSK - diff --git a/seq/clusters_seq/cluster_1211 b/seq/clusters_seq/cluster_1211 deleted file mode 100644 index 8c4f979..0000000 --- a/seq/clusters_seq/cluster_1211 +++ /dev/null @@ -1,104 +0,0 @@ ->YP_009417302.1 polyprotien [Fathead minnow calicivirus] -MAVVSRVLRFVGDVKPITMCTLITNLINANSVGEVLHISGMIIEIYSQAFRSAKSFISLIVQMLSSLVIS -KLAAVFQVGDDAPVHTAPEPTILDDGKEISDAILKTRLVQRVKAILANAWTISRDLVAACSGVLLAFAAL -CGSLCPGVKPARVMMNKFLDLVKVSKAVQHAKDFNIIDFDSFQQVVNNIFYEASIPAPWSEVHSDVITLR -ELWRKDQLLMSSDVASCHKVDMVYRTLSAVDHKAVPTNVATILKQDFIDIDHMKQSIARMKQSTGVRVKP -VVLVLAGEPGVGKSTFINRVADEVNKDYGTKGVDAWTCGSDHQDSLEGRPVMLMDEFGLTNLKADVEALQ -RVSDTSPFHPNMDKIENKTMHIAPRLVIVCTNHENIYKACAFPPALARRINHHVLVENPALLKWKTDNAG -KRMTDAELAAHFTAHPSIMWELPDCATDWNGGCFTPALSVVKGPVTAIDEHKLIGSIRALLDMREKAWRK -ENIPTFQSGPMDRGIFCFRGPPGTGKTTLAKLLPDTVKIYNDPHVSETKFAEFLSDVMDDERDHKVLFTI -NGDAFDRVLIKRGQEAKDAFWRRVVGIWDFGFTRSGFIYGHHTKDDVATKGWARVVRITYDGVEVDNGHP -TKIINAWINKEKYSYELPRSNPTVTEVLVRVQCDLASLNEITPSNVLERVTIVSPKITAPIIMRLLYDFA -NVSRQSRISSPVSACRSLNSRRIMVAVPMGLVVLNDVTFQIVGSEPLAVTLVAPNPQPEPPTFHSLFDHA -GVDLDDALVDSLWSIFGTILGVIGIITTPKVVPKQYQSDDAYDSGLRGVVKSRTVKRSVGYGEHVMASVE -RDADWDALPDVDYSEKIIFESNVSKYIYPACNSAGDKIAWVVVTSGGILMNRHVVPACRKIGSHPLGECE -VKGVRKTDIAFVRPPSYAGLSRMNCGFGFPVRGETISRVDADGSETEYVIMDRRVIPAEDGRSIVIWLAS -GPRTKKGDCGLPYVRRVGSLTELVGVHAGALGDQIMITPIMLPPDFEVGSGEGKTFLYKTQFAPLNDEIW -LPACKKSTDDNLDRDDIIVANAQPFFATIKDRLLNMEIENSVLASIEYIRQLVPTWTKWGWKAALKSLDM -TTSAGPSYKVKKSEVFDSDFDPTEKYQATFFRDCKTLTANCEIVIKDEMRKISKIETCSSRLIFSFDVGQ -VVQAKQYVGSIQKQLIDSVGEHFSAVGISYMAGTWSSVASKLKQYEHHIDADFAAWDKSMSSTMLTSVVR -VYTSPIDDLDERTKARKLLDYMSHANTQFGTTRQGLPSGMPCTSHLNSIAHLIMVNHAMASHGYGPYGSD -DRVWFTSYGDDFVCGVRDPSFLDTLRSVWSDFGFTATNASKTGPPSLVGFHDLRFLKRGFTTNKQGTWMA -PLDISSIEKSLRFTRAPTPYLCDGTMRDHLLLGDAQYSRLQMAFTEAYQHGEEYYNDLKKRVIKCAADKK -FRLFFIIPTFKQFRIRIDPICSAQNQLCVNSVNNPVVIEFQMETPTNAMTARAETVNGQEDLIAGVTPAS -GDVAGPTVGLEHGYGTTGGVSVIDPAIKERWAPAPGGAFTVSNLTTPGTIIWQARVTPDLNTFTTYLKEL -YNAWGGGFELQIFLGANNFIGGKLGLFFIPPGIDPSKLATDDLLAFPKSIIDIRTCDMMSFRASDVKKVT -WHQVGDESVDGYGGTIVLVVITNVISSGSDNITIDGRVMSRPAPEFDFAFLKSGHVDTSGSNFFGSYYNL -VADAMSDDPFSAVNGAPAPDVLILPRSTEPVNKKNNKTIIKLDGTDYVSGTTLVGPKHRDLIAYWGSKHG -GTDYTVSFNLDDGSVWDHYKTGGFSGSKSLPPQWVGISPGVEAYIHFVKANTRLVKLWKVTYDATKFVIT -PEGTDLPTFNQRIVISIHAVPNRSDPNESPLTWTPPNGESVVAFANLDVADSFAALQTVQQQQVFAKYSH -DTKNAAILMNLTDGGKLTGVQVKVYPNGVTTAAGVTSSVLFAGSPVFSPVGLVAQDYKLAGISGNSHLKD -TSVQQLTEVISWLLPSLELSEEASSAVSAHLAQLASMRQTGSKSKDRTRLSRPSCSTGAPPRSVSKESQS -RLRTFPGAVSDQDH - ->YP_009026987.1 polyprotein [Atlantic salmon calicivirus] -MSVSKSVNTNKESIIDSELEVSSSNFADLVKRNPLANIDTLKESTIEVLTAVNQIFDVVKPVTLAHIICE -MLGHTSKWTVVRCVAQLLEPYKGPLLSHWKIIVDALKGLAALVSRKDSDAVHEQEPGTGGADAPKVPVGA -AMQEKPPIKVEAAVAAGGEEISSRQSAVDKMKNHLGDARDDLRDKLSRWKNDGEKLMARVGQASAGAAGS -AALDLKLTGDVRVYIDTEDCKLKNYALQALTAAKARGAKFDMVTPDKAELFISPAVFVDRAQATQAVSDA -SAMKGTRIVFFFAGDHPKLDDVVHEERVSIFGWKMVDSTLGTGKQNDTQTDALTTYMNDGEGKCKCIEPV -CVNWHAGTLQGSIFEAMKGTYDWGVETMVGWVGALLAGLVALASLFPENKFAKKAADRFLALASNAGKLH -SAADDSLYAYDAVKNALQQTIAPAATMTVKEAHTFMMDVKNLLIKRPHMLSHPAFPIASVNSLYDYASTK -VGKGKGVGAKCWADLMNDCSVVKRAHQDRFSNQVTRPMPVVVCLAGLPGVGKTTVVRQLAGEINEIFGTM -GYDAWQCGLDHQDQNIGRPVLTMEEFGAIDLMSDQAGLQRLADTNPFVTDNDLLHNKGWHEAPAVIILTT -NCEDIYSGFAFPDALSRRVSHHVLVENAGLEAWKANHPNRVPTDERYKEIFSGSPSTYSKLPAGACNWKG -DYLHGGAQGHVAPKVKALDAIIKDVKSIVKRRWERVLEDQSELPATLVFHGKDVPVIVFRGPPGCGKTTL -AEQMSGQFEVINDSWTKSESFKTMVDRVMHLEDDTDPMPVLITTNTAPWKAELLKMDKEARVAFERRVKY -YEFSFKKKNWRTRYTHADMADSGWSRIVDVMSPDGTHYTYTTLLEEVRASAPVAKVASGDIAPVQTEAYP -RAAETNMSVEQVGAGTEPLKTLLSFERYSVPGLNLLTSFAAVLKQIQIQGKTKTNPKALVCSVNDAKLKL -SIPRCSVKFGDAVVNFWTYEGKLRCAIVEEGSLANPGLGFSSNPSVDHFAFDEVVEDEYELPKAISGAIL -NVMTTVLGMVAMSMESKVRFHTPMDDDEKGPARRVTRNYHHTKESDYQLTAKNSSPWAGDRREVDYTEVV -TFDSNNWIVPCFDAVGCAKGWALSTPVGLLANRHVIKGATKIGHQKLGEVVIMNYGDTDLCMIKTTKNPY -QICKAPFSRPILGELLYQLSPNGIQRARVHSFMNVANPEGKLVEMCMVEGGMSEPGDCGLPWVRKVGQSV -ELVGLAAGTRGERLMVVPLRVDPDQVRWHARTHNYTFLHKTQYAGIAPDEKDMAPSDKVWDGGNEEVMVR -HSSEVFFQPGVDNSVSPAALEASKVYLEYLVPESARVKWSILATVRSLDMLTAAGPSYGTVKQNVFDADG -VPLRQYAATFWRGVNNPCEGKCRVSLKDELRPEVKRQKGLTRPIFCFDVHDTVRVKSSIGPGLQHLADTC -GVHIWSVGISQQNGTWAQVCQRLSKWRYVMDADFGRWDSTNSHPLLRQAAEVLAVLAPEDHRVEVRQDLQ -KLIVAETQFGPTRTGLPSGLVCTAQMNCTSHLLTINDILLEHGAAPVGSMGCPLDFVSYGDDIVLAMDDV -LVADWLVAGWKARGFAATNAAKTGAPRCTSLRETSFIKRSFRKVDGEWRAPLEEASIWKGLSWMRGHMSY -DHSTGVQSADLVGTRATGVFQSVMSEFWQHGKTKFEDAKRLIIGFAREKKLRLPVIIPSYDCFNPKEVLA -EYNRAVGLSSVKLLAVSWHVGGTGEVQPVSSAESLGGESVGEVVGLPALATGLAHQTAGIETALATSGGP -TVQLDPAIRERFVMVPGGMVSVRTNMTRGSVIWRKKVSPGINMWTNLLSTMYNAWCGGFEIMMTVGANNF -IGGKFLVAYFPPNVASGSYSVEQVTAFPHAILDIRLMDNVLMACSDIKYVLWHPTNARPEDPIATGGEVV -LYLLTNIVTAGNSANVMTLDISIFSRPMPDFDFNFLMPISLGGSGGPNDIDMQNAGRALCTPATAGGRGW -HVVDLLVAAQSASSIMGDIFATTVRMSGTSSYCISYPAADGMIVETVQVSTTDYKLICYNPDGTPWDIGS -DKASQSLPPCWMAFNSDSTHTTLTFYKTDGSLDHAVGRVKLASIGAQPHFIPNAAVTIPANTRVAVTGTK -DGEALTWKDSPVQKPSFTPNNGESLVLYAGLKKTGQAGLVLSTQEMITVFMESPRVTSMCGLYNMADATG -ATTVQVKLYPNGVLTTGTATTAIYYTGPICFTYVGMVPVDYKLNAPAGGNNASVLDLVERLDRWLGVQEQ -LEQPQGSTSSQALLTQQLLSESLGSIKRRPLRSKLEILDSDEESFESIHKP - ->AHX24377.1 polyprotein [Atlantic salmon calicivirus] -MSFSKLCNKNNESVIDSELNTSSSTFSDLSKRNPLANIDSLKEGAKEVLTAVNQIFDVVKPVTLAHIICE -MLGHTSRWTIVRCVAQLLELYKGPLLSHWKIIVDALKSLAALVSRKDGDTVQEQDPEPEPKGATGGDKPK -ACKTALSDKIAQAETAPPDEEAVTAKAKMEAHVDRAKEEMRGKFARWKADGDALLANMSQIGGKNKKKKK -GAIDLTLSGPVRVFIDTKDGDLLRWSSAAFQAAEDLGAKFVYAPSDKAEVFVSPLHFTSREAAEVDVAKE -SEKKGTRLIFFFGPAHPKLDDVPHEERVSLFGWKVVDCAIGTGKKNEAQSRALTVYLNEGEGKCDCVDND -CVQWHAGDLQDSIFSALKGAYASSVEVMVGWVGALLAGLVALASLFPENKFAKKAADRFLALASNAGKLH -AAADDSLYAYDAVQGALKVTLAPVASMTLKDAHSFMTDVKSLLQRRPHMLTHPAFPMDSINALYDYAAAR -TGKGKSIGAKCWADIMSDCSIVQRAHKDRFNTATTRPMPVVLCLAGPPGVGKTTVVRQVATEINEIFGTV -GYDAWQCGLDHQDQNAGRPVVTMEEFGALDLSRDQAALQRLADTNPFVTDNDVIHNKGRHEAPAVVIVTT -NCQDIYTGFAYPDALSRRVSHHVLVKNDGLEAWKTRHPNKVPTDQKYTEIFSACPSVYYKLPAGACDWQG -NYLHNGLQGNVPLNTKALDALVRDIKATVRNRWRNVLEDETEMPGKLVFHAKDVPVMVFRGPPGCGKTTL -AGCVAGQFEVINDSWTKKDAFKTVVDHVMHLEEHADPTPVLITTNINPWRDELAKMPKEQRLAFERRVKY -YNFSYKKKNFLTHYTHADMKDCGWSKIVDVVGPDGSHYTYTTLVEELRAAAPVQKAVAEEDAPIQNEAYA -CAAETNMSVAQVGAGEDPLKVLLSFERHNVPGLNMLTSFSSVVKQIQIQGKTRTHPKSLVCSINDAKLVL -KIPPCSVKFADAVVNFWSYEGKLRCALVKEGVKESAGLGFSTSPSVDPFAFDQVVEDEYELPKSISGALL -NVMTTVLGMVAMAMEAKVTFHTPTEEDEKGPARRVTRSYRPVRDSDFQPAGRLWAEDRREMGYTERITFD -SNNWIVPCFDAAGCPKGWAISTPKGLLANRHVIAGTTRLGHQKVGESVVLNYGDTDLCLIKNTKNPYQLC -KAPFSRPIVGEILYQLKPSGMQRARVHSFVRVANPSGKLVEMCLVEGGVSDPGDCGLPWVRRVGRSVELV -GLAAGTRGARLMVVPLGVDPAETQWHARTHNYTFLHQTQYFGIVGDDKSMMPSDKVWEGGDEGVMAKHSS -EVFFKPGQDNSVSRAAVDASKEYLEHLVPEEKRERWGVLSTVKSLDMCTAAGPSYGTVKQQVFNPDGAPV -KQHAATFWRGVNNPCDGKCRISLKDELRPAKKRELGLTRPIFCFDVHDTVRVKSQIGSGLQALADTCGTH -IWSVGISQQNGTWGQVCERLSKWRYAMDADFGRWDSTNSHPLMKQAAEVLASLATRDHREEVEEDLSRML -SAQTQFGPTMTGLPSGLVCTAQMNCTSHLLTINDILLGHGAAPVGSMGCPLDFVSYGDDIVLAMDDPKVA -TWLINGWKKRGFQATSAAKTGPPQCGKLQDMTFIKRSFKKVDGEWRAPLEAASIWKGLSWMRGHTSYDHS -EGVQNGDLTGTRAVGVFQSVMSEFWQHGKEVFEAAKKLIISYARERKLRLPVIIPPYAQFNPKEVLADYN -RLAGESSVSMLSVSWHTGGTGEVQPVSQTEGPGGENVGEVVGLPALATGLAHQTAGIETALATSGGPTVQ -LDPANRERFVMVPGGMVSVRTNMTRGSVVWRKKISPGINMWTNLLSSMYNAWCGGFEVMIVVGANNFIGG -KFLVAYFPPNVASGSYSVEQVTAFPHAILDIRLMDNVLLACSDIKYVLWHPTNAAPEDPIAVGGEVVVYL -LTNIVTAGNSGNVLTLDMSIFSRPMPDFDFNFLMPISLGGSGGPNDLDMQAAGRALCTPATAGGRGWHVI -DVLVATQQSSTVAGDMFASTVRMSGTSPYCIPYPAADGMIVEAIKVSTTDYKLLCYTPDGTPWDVGTDKA -SKTLPLCWMAYNSASDHTVLTWYKSDGNLEHTNGKITLASVGAQPHYVPYAAAEIPAATRIAVTGSKDGA -ALTNRESPASPPSFTPNNGESLILYAGLRKTGQKGLTLSTQEMITVFMESPRVTSMCGLFNVSDATGATT -VQAKLYPNGVLTTGTAASAIYYTGPICFTYVGMVPVDYKLNPPAGGTNANVLDLVERLDKWLVLQERSQV -GASASSQASLTQQLLSESLGSIKRRPLKSKLETLDSDEESFETILQA diff --git a/seq/clusters_seq/cluster_1212 b/seq/clusters_seq/cluster_1212 deleted file mode 100644 index ecf876c..0000000 --- a/seq/clusters_seq/cluster_1212 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_001531174.2 2K peptide [Dengue virus 3] -TPQDNQLAYVVIGILTLAATIAA - ->NP_776007.1 2K protein [Yellow fever virus] -SIQDNQVAYLIIGILTLVSAVAA - ->NP_722467.1 2K protein [Dengue virus 1] -TPQDNQLAYVVIGLLFMILTVAA - diff --git a/seq/clusters_seq/cluster_1213 b/seq/clusters_seq/cluster_1213 deleted file mode 100644 index 496746f..0000000 --- a/seq/clusters_seq/cluster_1213 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009666310.1 nucleocapsid protein CP17 [Extra small virus] -MNKRINNNRRTMRSRRGRGRTMGSNLIPYANSPVPIPYTPPVTPVTVIGNPRKTTWIDIDLSSEESGIYT -LTVGSYRNRITKLGPSKPNFIIEKVAAYAAPGDYKVVLNDFKTGIQVVDEGSYAHRAAAGILYPPAAQIF -YGISATGTLNTITTTAKDPVPVVRALVTYWDSEQ - ->YP_009666313.1 capsid protein, partial [Extra small virus] -MNKRINNNRRTMRSRRGRGRTMGSNLIPYANSPVPIPYTPPVTPITVIGNPRKTTWIDIDLSSEESGIYT -LTVGSYRNRITKLGPSKPNFIIEKVAAYAAPGDYKVVLNDFKTGIQVVDEGSYAHRAAARILYPPAAQIF -YGISATGTLNTITTTAKDPVPVVRALV - ->YP_009665182.1 capsid protein [Extra small virus] -MNKRINNNRRTMRSRRGRGRTMGSNLIPYANSPVPIPYTPPVTPVTVISNPRKTTWIDIDLSSEESGIYT -LAVGSYRNRITKLGPSKPNFIIEKVAAYAAPGDYKVVLNDFKTGIQVVDEGSYAHRAAVGILYPPAAQIF -YGISATGTLNTITTTAKDPVPVVRALVTYWDSEQ - diff --git a/seq/clusters_seq/cluster_1214 b/seq/clusters_seq/cluster_1214 deleted file mode 100644 index 21cb1ca..0000000 --- a/seq/clusters_seq/cluster_1214 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009094091.1 W protein [Mojiang virus] -MSYEDRIKQIQNGLQIVDLVKKVRQESIEKPTYGRSAIGLPTTKDRAAAWELFHKSSLDEAGPEELPLKE -GDDPADTRDGVGIPEPLHNVDSGGSRTYKEANWDEGDEPILENQLVTNIQPNDPGRKTAYGKSDHTYADS -TNNRTKGGEWSNGCSSPNKVDVSGIFQGDVPKDTDAEGPSEKPKSSFRMNPNAQEYIPRDLTPLTVIIDS -CPFDHNHADDDSEDQTEDSAYVFEAGLNKPAVKPRMIKAAQKETLVDQDGEVMNLSILPKQRKSILNKPI -GAEDAIPKKQARPSLVVIEEDDEDQKSEPIENIDKSDAGSDITIFDIADKATDHLRRNQMAVKAIGNIIE -TSAGVPIIQEEVIYLSDRPTQPAPEKIPAKESRKLRALEKTESGKGESDSGLRLVKKASKRIQSLLGWIR -NQGRRMVQSDLLQG - ->YP_009094090.1 V protein [Mojiang virus] -MSYEDRIKQIQNGLQIVDLVKKVRQESIEKPTYGRSAIGLPTTKDRAAAWELFHKSSLDEAGPEELPLKE -GDDPADTRDGVGIPEPLHNVDSGGSRTYKEANWDEGDEPILENQLVTNIQPNDPGRKTAYGKSDHTYADS -TNNRTKGGEWSNGCSSPNKVDVSGIFQGDVPKDTDAEGPSEKPKSSFRMNPNAQEYIPRDLTPLTVIIDS -CPFDHNHADDDSEDQTEDSAYVFEAGLNKPAVKPRMIKAAQKETLVDQDGEVMNLSILPKQRKSILNKPI -GAEDAIPKKQARPSLVVIEEDDEDQKSEPIENIDKSDAGSDITIFDIADKATDHLRRNQMAVKAIGNIIE -TSAGVPIIQEEVIYLSDRPTQPAPEKIPAKESRKLRALEKTESGKGESDSGLRLVKKGHRREYSLCWDGS -EIKVEEWCNPICSKVKSEPSREKCTCKQCPIMCQDEHCTKIEYE - ->YP_009094089.1 P phosphoprotein [Mojiang virus] -MSYEDRIKQIQNGLQIVDLVKKVRQESIEKPTYGRSAIGLPTTKDRAAAWELFHKSSLDEAGPEELPLKE -GDDPADTRDGVGIPEPLHNVDSGGSRTYKEANWDEGDEPILENQLVTNIQPNDPGRKTAYGKSDHTYADS -TNNRTKGGEWSNGCSSPNKVDVSGIFQGDVPKDTDAEGPSEKPKSSFRMNPNAQEYIPRDLTPLTVIIDS -CPFDHNHADDDSEDQTEDSAYVFEAGLNKPAVKPRMIKAAQKETLVDQDGEVMNLSILPKQRKSILNKPI -GAEDAIPKKQARPSLVVIEEDDEDQKSEPIENIDKSDAGSDITIFDIADKATDHLRRNQMAVKAIGNIIE -TSAGVPIIQEEVIYLSDRPTQPAPEKIPAKESRKLRALEKTESGKGESDSGLRLVKKGIEENTVSVGMDQ -KSRSKNGAIRSAPRLNQNHQGKSVPVSNVQSCAKTSTVPKLNMNEQQSGHDLGYTSEESTPSSMNISFEE -YFDGLVTQLTKDDIVREVYRNQLVILSKVEENNATADLLKLLANNQKAMLAKLDALDRNVSRLGLAVSSM -EQMLASMRIMIPGKPPENGEKQKNPLLKPVIGRANMKVEEVIDVNPDIGVGKNNFHSAKKELFIEPLDPA -KTNATRFIPENDAITSQTLRAIVINRIKDQELKDAFLAKISNDLDYTQLKITHDQIKEALQAGL - diff --git a/seq/clusters_seq/cluster_1215 b/seq/clusters_seq/cluster_1215 deleted file mode 100644 index a5fe6ed..0000000 --- a/seq/clusters_seq/cluster_1215 +++ /dev/null @@ -1,33 +0,0 @@ ->YP_009336948.1 hypothetical protein [Hubei odonate virus 11] -MQNPAMEVDNVRPGPSGAQRPVVPEHQILTLGVREPRYAEIAALAGKPDSGFRTSPSFSQQLFHNLVTPN -IMYRGFLLTHTSANFPRVMYQTGAYLAGAVVAIGFAKMKVPQSATVDDIKLRIIGEVTAINIAPELLLQT -QEADALFLEIMNFILRSDPAIFRGGLEYTSDEFVSAYSDPRNVDVYPIGAGFKIPLPWSNDVELRQNSMA -DATRICLWLTTKLANNYKNCKPELSFSYLIAICRRGSVTTQFLTKVSDDINTELGRTVSFDEDLIRKIYR -IYGIFINENNAENVLRNILTWIPEEGLRLRLMLQHAEGSGLTPHYTVKEALLKYPDFPWGRVDGLLSGEI -RSFIRAWALIKGNLYYGFKRDLKEASSQSFKGLAYVAKELLIKAAGKGGLKAYRGWTRTPPSKGLLDEII -ENYLASMGEEAAALDQTTLDQLRFIANSTR - ->YP_009182179.1 putative nucleoprotein [Imjin River virus 1] -MICREDFEHLRDCDNYSRDFKRRLEKEGYIFWTDKTVVIPELGLVLTKHDLDTEARRKYDQVFKGYKVRV -FWYFDYSDLRREIQSAGTIGGKQKKKTGATKQKEKLEERRKSLSKVTLQPQDNEVAGPSGTQSTSKLIGE -PEALSDSEYSDFGSEISRLFIDHDEEYGPDSEDSSSSSEEEEEVLEQEEDNHADMADGQNQGIPGGGAVD -NARGAFGSLEANRANMLGEDYDRDVAIGGLRDASSIYWMEDLVNRHLVGASVYRELIFKNNPRVAEMSQD -DKANYLPMLINVICQSRMQAINENGRIPLQVLMIAEMTGLYQSEKTANEETSILRMNAACLAILTTPEMI -TCCNLEGMRRLAPIPQAAGAAGAGQQGNNDQGNNNYIARDNYQGMFDEIMARIRADLEARPMVTPLAAYT -CAITAIAKKGMVSQMCLEKILDGVRTDLGRQITLSTDLIRRYHDRFPITLTRENVASRMKAIEDIIPEDN -LRLKIIIRQAALSGLTCITTIKKAMDTRPDFPWARVCALYPNEVQAATNAFTLIGDNPYYGFTSTMEGVA -SNRYKNLAYVAKEVLIRYLGDSDLRQYAGFPRTPLYPDRVAAIFDDYERREEPEINGNENQALLDAMRAA -AVPYGY - ->YP_009177721.1 putative nucleoprotein [Wuhan Mosquito Virus 8] -MITKEDFGCLRDCDSYTREFQRRLESQGVIFWTEKTVRIPSLKLVLTKHNLGEEARKRYSQIFQGHQIRV -IWYFDYSDLKECYQREGIIGGKQKKKSGAVKQKEKLEVRRRSLTKLLDTTGQTDEAGPSGTQVVDLSPIK -SEPHKDSSDTDTDSEEEQLTLGLEQPGDDSDTDSSGSDPESSESDEEEDPEVVAMADPPVPAANVVVAAA -NVIPAGNSLEENRRALLGNDYNQVAAVEDLRRQSSMYWMADLVNKHLVGASVYRELIFKNNEYVAALSLE -DKTRYLPMLINVICQSRMAAINENGRIPLQVLMIAEMTGLYQDAKTADAATSADRMNAACLAILEDPDLI -TCCAMQSARRLAPLVAPAAGANAEAPDNNQQGENNLIPRDDPAVLFGEVVNRIRLDVDSRPMITPLAAYT -CAVTAMAKKGMVSQHCLDKIIDGVKSDLGRQIALSTELIRRYHDRFPITLTRENVARRMKAIEDIIPEEN -MRLKIIIRQAALSGLTCITTIKKAMDTRPDFPWARVCALFPGEAQAATEAFRVIGDNPYYGFTSTMEGVA -SIRYKNLAYVAKEVLIRYLGESDLKNYAGFPRTPMYPDRVAAIFDAYRADEEPAGAPAENQALLTAMREA -AVPFGY - diff --git a/seq/clusters_seq/cluster_1216 b/seq/clusters_seq/cluster_1216 deleted file mode 100644 index 170a259..0000000 --- a/seq/clusters_seq/cluster_1216 +++ /dev/null @@ -1,17 +0,0 @@ ->YP_009666028.1 21 kDa protein [Olive leaf yellowing-associated virus] -MKNFKTYFFLSFSFFFFCSLVATCDLPNLCFYNNDYQLIRVLPKGELWEFEPQGTAQRLTVTNCGVLDLR -YGYTLLEFTRKEVVTYFDISLVDGFSTPLSVYCSSQPEEVYFSNLCFDTCIFFQGANTCSSPCKINPNIE -DCCLPPKYQGSCPSNDWKDTYLNSTKGVYLQPFDDSFSLHTCEANLVVFF - ->YP_009407925.1 putative thaumatin-like protein [Actinidia virus 1] -MLIKYTTLLGVNFFAGGITMVMALCHLRTTQIFSNIIVVVLMSSILSQCERTRALCLYGQITGERYVRKF -DDDSCLELNKFKAMRLSLSKNKSDVTRLGNTLVEWTHDNSQYYYDISLVDGYSAPISVYCNDAVIRWPID -PADYCPTRLIDNICKSPCTSNRSDVNCCIGDYQSHERCPPNDWNNNLSEITTDVYRQAFDDLQALKTCNA -TLTVCNDVYSKNITTPKNGGSRGKSNSAALSKELDACKTMSLVVVYILYYLITEN - ->YP_009112887.1 29 kDa protein [Persimmon virus B] -MSLTRICLRKAFVQVLAHMVMLSLLMLLRQGSGEIYGKVGNNPVHGGCFFWDDLGNNLGTVITSNKESAV -RYHVSRNYSKTCGAGNTLVEWTYKDGIVYYDISCVDGFSCAVVAKCNDNDVFYSYLDSYNMYCRRRNDCG -ECLSNCISEGKDDECCLNNYTATVCNPQWSQWLWKLYNGSFKGIYTYAYDDDYGLHQCTNQVLFTTQISD -KHDYSNPLLISSSTKACINSVDRYLGVIFVAIVKEVFTKLISLLL - diff --git a/seq/clusters_seq/cluster_1217 b/seq/clusters_seq/cluster_1217 deleted file mode 100644 index 2fd8b0f..0000000 --- a/seq/clusters_seq/cluster_1217 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009665980.1 beta-A protein [Poa semilatent virus] -MPNISLTTKGGGHYDEDLWFSQVVSQGLYAEWWVLSDNWEKFLDNLRGVNFGVSSSRSQVSDYVAALDRD -LPADVDRRFAGPRGQLGSPNYVSAPIFYRINRSLVGDITRLARITDQPSNNRDVELSRVKKLSGQPTPPP -GTDNQMAIRDVQSLRDGSLSFSYTLINELVGAQLAAYTVRRFETEFGLQWLPVQAQGQRD - ->YP_009508254.1 beta-A protein [Lychnis ringspot virus] -MANLGLTVKGGGHYDEDQWNSNIIDSKVIYDWWISLDSWHSLISTLSKVQTDVVSSRRAIADLIDGVKKD -LPAALDRRFAGSQGVLGAANYRAPPLFVRLDSAMRANLIAIARMGDQPFLSRDQELSRNRPNTGTNQQPS -NALVARDVQPLRDSALHFTYDLKDLVTSDPPVFDRRSFEAKFQLNWVARAPGDNA - ->NP_604486.1 coat protein [Barley stripe mosaic virus] -MPNVSLTAKGGGHYIEDQWDTQVVEAGVFDDWWVHVEAWNKFLDNLRGINFSVASSRSQVAEYLAALDRD -LPADVDRRFAGARGQIGSPNYLPAPKFFRLDKRTIAELTRLSRLTDQPHNNRDIELNRAKRATTNPSPPA -QAPSENLTLRDVQPLKDSALHYQYVLIDLQSARLPVYTRKTFERELALEWIIPDAEEA - diff --git a/seq/clusters_seq/cluster_1218 b/seq/clusters_seq/cluster_1218 deleted file mode 100644 index 67ba555..0000000 --- a/seq/clusters_seq/cluster_1218 +++ /dev/null @@ -1,68 +0,0 @@ ->YP_009665165.1 VP1, partial [Eriocheir sinensis reovirus] -EKEKEKEKIPLKQAENEPTVSDAQDEPVAPKINPFMDSTSFAALHNSLYGKQIGNIDDELARICKIEFEL -QQRIANEQVLALKQFLTIRTGVTQDIQYVDKEWMKANEYIASFLGEVKLMFGDTAGKFRTTSKSVDAIHS -VNSDVKVTQRKQSRSEIRNSYRVQKTQKIEQPLDPNTLYVYMYKGLARVILRFVPKVAVKDDQQDNKHKK -AKDATLTYEELSPTWKYILTEAKRAFPKSDYPDCIHPMTWTQWIDSNKDDVKVLTQYAHKLDYVTLLQDF -NLYVAKGASRVRNMDMSTLPASINVMDHFQLYGDESMREYVRSGEWYALLSEVERTGGKVSDSEKVFANP -DTYMLNLKKYFLRRFQQEVASTGMTPLTDELLNIMFVHWDVIVAAEPKLQVVKDDLLKYYSKYGVAATFD -YNMKRGEMTVVTRGHILAHKVLECALRLVETIYTYDLQDETFKDILIDLGRLIMRDPIYGTTTVRDATTV -MKQLMYTQGKQFRRIMFKKFDYTNFNEQLVLKGEQMTTEPPTLLATTHYQEMDQKRIDALTMANKQAGDI -LPMSSIYRCRYTDSLDLVGDANRYFSALTTIEAIAGFASSDLLSGFIDSNESIVFTGTDHLRKLLYYSVR -EQILTLNTSTVPRPSLLKVLLSSAKDTASASVQPITFRIYKSTPEYDGQSLNIVQSTVEMSTRQKKPNLM -KAAEILRSTVTTNEEMVISGGTRAVQGGKGARAVYPTKQPYHIGGSLLFHKVDTVVNANKKFREVSNKYG -QGISNAIPHIGVPEIIAVSSDGLAICMALDVSAFDVAQKYTETDIELGMRDGFLESEASAISGETVLERM -NPADLANNLLTNTPPKYKYQTALGDIIILQHGNRSGVPWTGTQNDLVNVSNHCMAYDEYKKRIANLQREG -KISINVNDKHHVVRVFGDDSTFIMTYEEPPTVEEVHLMCATFVESYQDTAGTLGFAINARKGMIGRYGSE -YLKNSSIYGNIKSVNQVKFRGSEKSASYHFGVSEKVSMIRDITDLTITRGCDETRKWKYNLLMMPVDLTT -RAGAFRMHNLCSIMTGVGKMYLGGTLNNKLIAAYHGSIYGWNFDDNLIKTSNSIGAIADSSYDAISTKIT -NLPDFKDSQRRITQDIFTNGRLPPYLNRYGKSNILRHILASAAMGPLSQIEKNVNAYNVVTGILNGKLEA -PTVLERLKIGFKYVVMSDLNQDDYSPYSGQSIQYRRMLVHWGLNDSRITSFDPKSKLQHLLAENNQILPI -HFDIEFIYRLYLQAGTMGFLHVMSYYQLPDTLTHEMLAAVVALELQLGNDKYAVDMGVYSSQAGQIRIND -SLMDKIIQHRRGPALPIIDRTLNRLLLHTYMLMFGLMGKSIDSTKVDPTQSWKAILESNEQRIAQLSELL -TAV - ->YP_009246448.1 VP1 [Callinectes sapidus reovirus 1] -MRIMAERLKELQREIKRKEKEKTPTPKDDEIVAPIPTVDEPSIPTKTNPFLDSTTFSTLHNSLYGKQISS -VDEELAKLCESEYKLQQRISEEQISALRQFLTIRSGAPQEVQYVDRNWMEANKHVSSFLGDVKLMFGDTA -GKFRSTSKSVDSIQSVTSDVQVTQRKQTRSEIRNSYRVQRKTKVEQPLKPNTLYVYTYKGLARVVLRFVP -KVETLPDDAKQTGQKTTAFTYDDLSPTWKHVLTEAKRAFPNHNYPDCIHPMTWSQWLEENKDDVKVLTQY -AHKLDYVTLLQDFNLYVAKGASRVRNIDLSTLPPSINVMDHFDLYGDDSMREYIRSGEWYNLLTHAEQEG -VEVNDSEKVFANPDTYVLNLKKYFLRRFQQEVASTGMTPLTDELLNIMFVHWDIIVNAEPKLQVIKDDLL -KYYSKYGVDATFDYNMKRGEMAVVTRGHLLAHKVLECALRVVETIYTYDLKDEKFKDILIDLGRLIMRDP -IYGTTTVRDATTVMKQLMYTQGKEFRRIIFKKYDYLNFNEPLVLKGDELTDQPPTLLATTHYEEMDKKRI -DSLIKANEHAGRILPMSSIYRCRYTDSLDLIGDANRYFSALTTLEAVAGFASSDLLSGFIDSNESIEFTG -TERLRKLLYYSVREQIITLNTSTVPRPSLLKVLLSSAKDTASASVQPITFRIYKTTPEYDGDTLNMVEST -VEMSTRQKKPNLLKAAEILRSTVTTNAEIVISGGTRAVQGGKGARAVYPTKQPYHIGGSLLFHKVDAVVN -ANKKYRSVSNKYGQGISNAIPHIGVPEVIAVSSDGLAVCLALDVSAFDVAQKYTETEIELAMRDGFLDSE -TSMVSGETVLERMNPADLANNLLTNTPPKYKYQTALGDIIILQHGNRSGVPWTGTQNDLVNVSNHHMAYD -EYKKRVAELQRQGRISVNVNDKHHIVRVFGDDSTFIMTYDEPPTAEEVHLMCATFVESYQETAGTLGFAI -NARKGMIGRYGSEYLKNTAIYGNIKSVNQVKFRGSEKSASYHFGVSEKVSMIRDITDLTITRGCDETRKW -KYNLMMLPVDLTTRAGAFRMYNLCSIMTGVGKMYLGGTLNNKLIASYHGHPYGWNFDDNLIKTANSIGAI -SDSSYDAISTKITNLPDFKDSQRRITKDVVTAGRLPQHLNRYGKSNILRHILASAAMGPLSQIEKNVNAY -NVAIGIMGGKLEAPTVLERLKIGFKYVVMSDLNQDDYSPYSCQGLQYRRMLVHWGLNDSRITSFDPKSKL -QHLLAKNSQILPIHFDIEFVYRLYLQAGTMGFLQVMSYYQLPDTLTHEMLAAVVSLELQLGNDKYAVDMG -VYSSQAGQIRINDALMDSIIQHRRGPALPIIDKTLNRLLLHTYMLMFGLMGKSIDSTKVDPTLSWRAILE -SNDQRVAQLSELLTAV - ->AEQ75466.1 VP1 [Scylla serrata reovirus SZ-2007] -MRIMAQRLKELQREIDKKKKERIAEAYLSSVEVTNSSPSLSKQDDALTLPKVSPFLDSTPFTTLHNSLYG -QQIHSIDDELAQICKLEYELQTQIADEQITALKHFLTIRTGSPQEIQYVDKEWMKSNQHVPSFLGDVKLM -FGDTAGKFRSTSKSVDSIHSITSDVQVTRKKQTRSQIRNSYRVQKKHKVQQPLKPNTLYVYKYKGLPRVV -LRFVPKVDTTSNSNSSSASDSKKDKDAFSCDDLSPTWKYILTEAKRAFPDRSYSDCIHPMTWEEWLEENQ -DHVKVLTQYAHQLDYVTLLQDFNLYVSGGASRVRNIDMSTLPTSINVLDHFELYGDASMKEYVRSGEWYG -LLREIEQEGMTVNESEKVFANPDTYVLNVKKYFLRRFQQEIASTGMTPLTDELLNIMFVHWNIIVTAEPK -LQVIKDDLLKYYSRYGVDATFDYNMKRSEMTVVTRGHLLAHKVLECALRIVETIYTYDIQDETFKDILID -LGRLIMRDPIYGTTTVRDATTVMKQLMYTQGTQFRRIMFKKYDYSNFNEKLVLKGEQMTNEPPTLLATTH -YEEMDKKRIDALIKANQRAGNILSQSSIERCRYTDSLDLVGDANRYFSALTTLEAVAGFASSDLLSGFID -SNESIEFTGTAHLRKLLYHSVREQITTLNTSTVPRPSLPKVLLSSAKDTASASIEPLTFRIYKTTPEYDG -ESLNLVESTVEMSTRQKKPNLMKAAEILRSTVTTNQEMIISGGTRAVQGGKGARAVYPTKQPYHIAGSLL -FHKVDTIVNANKKYRGVSNKYGQGISNAIPHIGVPEIIAVSSDGMAICLALDVSAFDVAQKYTEADIELA -MRDGFLDSEISMISGETVLERMNPADLANNLLTNTPPRYKYQTALGDIIILQHDNRSGVPWTGTQNDLVN -VSNHHMAYDEYKKRVAELQRQGKISIDVNDKHHIVRVFGDDSTFIMTYDEPPSAEEVHLMCATFVESYQD -TAGTLGFAINARKGMIGRYGSEYLKNSAIYGNIKSVNQVKFRGSEKSASYHFGVSEKVSMIRDITDLTIT -RGCDETRKWKYNLMMLPVDLTTRAGAFRMHNLCSIMTGVGKMYLGGTLNNKLIASYHGSSFGWNFDDNLI -KTANSIGAISDSSYDAISTKITNLADFKDSQQRITRDIITSGRLPQHLNRYGKSNILRHILASAAMGPLS -QIEKNVNAYNVVMGILNGKLEAPTVLERLNMGFKYVVMSDLKQDDYSPYSCQGLQYRRMLVHWGLNDSRI -TSFDPKGKLQHLLAKNSQILPIHFDIEFVYRLYLQAGTMGFLQVMSYYQLPDTLTHEMLAAVVALELQLG -NDKYAVDMGVYSSQAGQIRINDALMDSIIQHRRGPPLPIIDRTLNRLLLHTYMLMFGLMGKSIDSTKIDP -TLSWRAILESNDQRIAQLSELLTAV diff --git a/seq/clusters_seq/cluster_1219 b/seq/clusters_seq/cluster_1219 deleted file mode 100644 index b0d7fb0..0000000 --- a/seq/clusters_seq/cluster_1219 +++ /dev/null @@ -1,13 +0,0 @@ ->YP_009664768.1 replicase, partial [Petunia vein banding virus] -CVDDGTLSDKILSYLSEFSIGHLSGDLVLDILPESIISYQSACHDFFCRNCTPSQKMLLSAEPIPESKLL -KLILKVKWASKHFFSSLPSKARDLLIQKSGIPSFHENPSVSLLESELLTFLN - ->YP_009664766.1 polymerase protein, partial [Passion fruit yellow mosaic virus] -SACFDFFCRNAKPFEKALLSSSEIPLQHLSKLTQSSKWLSQTAVSSLPLRLLKQLLPKXQNSDFHFDPKV -SLLESELLHSFQ - ->sp|P36352.1|POLR_PHMV RecName: Full=RNA replicase polyprotein -VIVGTPPISPNWPAIKDLLHLKFKTEITSSPLFCGYYLSPAGCIRNPLAHFAKLMTCVDDMSLPEKVLSY -LSEVSIGHNLGDQIIQHLPPHLIQYQSACFDFFCRNCTPSQKLLLSNDPIPESKLLALVHKIKWASKAFF -SELPQKAREFLVSKSSLPSFPNNPKVSELESELLHFSQ - diff --git a/seq/clusters_seq/cluster_122 b/seq/clusters_seq/cluster_122 deleted file mode 100644 index cc91ba9..0000000 --- a/seq/clusters_seq/cluster_122 +++ /dev/null @@ -1,964 +0,0 @@ ->YP_009665965.1 polyprotein, partial [Stocky prune virus] -QRYEYYTVNSSKIPVAPGCTYHSYMISGDCGVISFAPGGSTLEGSGVVPPKVCIMHDSNKMRQSVDLQLG -HGAFLTQEDLIGYEVLLDCVATGSCLPEVEPIINGLSCDNVVCMGRLKKVSDAPHYSKKTQLERSLISDI -VDLPCETVPAIISNEDPRIAVSCNPDFDVFVNGMEKYKKMAGPFEGVEGSDEQQDFHDALDDIFDCLNIE -SESLEEVSEEVALRGLPDVEYFDPIVASTSEGYPWILERPSGCKGKAWLLEGVPGCFSVDPSSKFGKAKR -ELELNLQQGVVPPLIGVECPKDERVARKKVTTKPKTRLFTVLPFEYNLLVREYFMDFVSKYMQRHNECPG -KVGINPQSIEWTNLYENLRSKGTNWFNGDFERFDGITPRDVMVQLVIRINKLYKRGDADRPLANKVRSLL -MLMASDRYAIAGRNLYKVSCGIPSGFSLTVIVNSLVNEFFLRFAWKRIVRASLGEAFVHRSIMDREVHFA -VYGDDNLVSVSDKYSSLYNLVSISSFLRERGVVLKNGQCKDEEDFPPFSPSPSTCDFLKRRFVLGSSGRV -LAPLDKSSLLGCAHWVRKSQDPGEAIVQNVQGILRECVAFEENSYEFFHDMRRKLLGALQTKGIATSEIL -DLKSCLAAQQCDESPFLKKFQPCSSLPSVIIPSSNLFVEVVGGRVFLSGVLTPSSRLPENCVVVYCGWTE -VHKPYIQSKHSLICKSNKGYSSKAVIRKVLSGLPMDKPIVFMSGDGLSQAVPPMLIYLRRLQGVDSKLGD -DILARCVKASSGVIPPEWDQLINKW - ->YP_009665963.1 RNA-dependent RNA polymerase, partial [Broad bean stain virus] -DGLLSKQVMEMMADMINKLCGGTIEECKTRKNLLMACCSRLAICKDTVWRVECGIPSGFPLTVICNSLFN -EILVRYHFKLLLRKQYAPEMYS - ->YP_009664727.1 RNA-dependent RNA polymerase, partial [Dandelion yellow mosaic virus] -RRTLKKIYNDPKTRLFSILPVEFNMHARRLYLDFNVLTMANRHKHGIMVGINPHSREWTEMAISLASFSP -LGFNGDFANFDGMFHPDSFAMVEELATYFYRGHNALERKTLTESLTNRLSLVKGAVLSIGGGGPSGFPMT -VIFNSYINLFYLMSAWSHLATDNGRVDIQHPSFFKKYVRACVYGDDNIVSIKEEVISWYNLCSVSDFLKK -AFGVTMTDGEKNEAHLAKPYGKILEFDFLKRGFFPDSQIPSLFHAPLNKVSI - ->YP_009664726.1 RNA-dependent RNA polymerase, partial [Cocoa necrosis virus] -GCSVVFSLHEILIRYAYKKLAPKPQRNLFGVSVCLLVYGDDNLISVSPSIASWFTGEAIRVCLAEKKIKI -TDGSDK - ->YP_009508875.1 polyprotein 1 [Prunus virus F] -MAFPLFVDTFEHVAKYDKKYYGSFPATFTLIAGGGVIDLEEMFSGVRKLKRHLTLHRMFAGCVLGIEVAE -RDWDKYPYLLLDEVVDILEASDLAKIGVADMYTFIRGCMFHYLDAEFVCDKYHKLLQTPSETSLAQGLMS -SCASFARKLPSIVYEKCTDAAAFCVKSVWTVLEDCFNKAFGSFCPHVYNVLGWVTKAFQSFKLWAEHIVE -NAFSWLCCARDSVVMGLALTSMTCLVALVERFLVATGLMTAALGLPAMFLTFAVGAICGYKALVSAAGAN -ENGYAAALVDMVKGSCMALLNSLWPSLNVDIQNKMYDIKKVAKEEGRARAIKRHQEKIGRSEPFSTEEHL -QQQWEDFIHYRPSIFTDDPQNWVFRQQYWDNQSDNAQFSPIGVLETVAASVSSWNSSTLVGVGRTCAAFS -QIKNGVSALKDILAYICGKLYDCADSVFGLQSAILNDAAVLLGQDLPSWLKECDAMVDYMHLFAVAPRDV -IDRMQKLLSLGRQMRSVFISSERRGSAQVLSLITKAIDKLETLYHGAILAGSNLPRKAPFFLFLTGESGV -GKSSLTQRLTRDWLVHHELGQDCRYARNSQDPFWSGYRRQAVVTYDDFGAIVKEPSDESEIIPIVSRDPM -SLNMASLEEKGMFFDSRLLVASSNFLAPSPNSQIHDNDAYARRRHLVVQVELKRGIPYNPNVPTENQRYI -VRATKAPYTIAETFETYEDLWTHFLNKFDEHEEGEQQFLKSLSIPSGNQSTAMQAIFAASTFMLAYAPGS -IQAHITTQMKEMHYLAVRKGILYVWGANDELQRVDLKGIADAEDIRRAERDSFRSALQFEYVLRAFPDLN -PLAVHYTMEIIKHGWLNEDLTVGNNCPDDYTRKLLESFPEWMRQYMFILSEAHLTAEKKPFFQDLLTDFK -QQVCRLYATDFNRWNPILKIAFGCVLAIAVGGTVYSLFSSLWQCGTGAKFMVAATTTFAAGQSVPPNRQE -VTEYKFRNVPFRSKHWSKGQACYGDSANWIMDKCMASLTYGSYVAQVCILPGNQLVGVNHFLRVIPTNTT -VKIQSGQGDYWITWNKAKLVCSQDSELAVYRCSQVRQVPQSVLDRCIFDVERDLPRKFEATFLSYKYFLD -SQQFVPEIAKIDVDVRSTSHSVMVGSYVRQIPRFMTYEANTIDGDCGSLIVAMKEGKACLVGLHISGVNG -YGIAGFLPFVHQSSQGQFDPGMYSRVEEFEVSAPLGNGCYQVGFLKPEDRFRISTKSSLVETPIEWHLET -PCEKIPSVITKNDPRLLGTEHVDFNPYYSGMEKYSQEAGPFDQDILGAVCNEIVEEWKDASSHFTFEDAT -LDEAINGVENLDYFDSLVIGTSEGYPYVLERERNQKGKSRYLEGEVGSLRIQPDSKVAKDVSSLYEECAN -QVPELVGIECPKDEKVKRAKVFGKPKTRLFTVLPMSYNLVVRMKFLRFVRFLMKRRDVLPCQVGINPYSR -EWDRVASTLLERGENILCCDYSRFDGFLPKSIMARIAGMINSLCGGSQTDCSQRENLLMACVGRFAICDK -VLYRVENGIPSGFPLTVILNCILNEILVRYVYRQTFKENPVVASSFRDLVSMVVYGDDNLISVHDSIKTQ -FNGEVIQREMAKLHITITDGVDKTLPHLAFRRLQNCDFLKRSFKMQDGLWVGPMEKESLWGQLHYVNTKN -LEMKEAYLTNLESVLRELFLHSKQDCMNLRRKALNISWINLGDLPSLDKIESFFTEQRGETRPYNLVCDL -MLNTALLGPLNDPNEKLSQNMLEILPNLWVSGSKTYLAEPNDYVVWLNHYGRKDNSLVLRFPSGTGRGGL -PTKNWLEENYLRKSSNVYKLLKEAYVAHRRIVFISPNDNVVGLVFLTLFAARCGAIKREDSNVLLTVAMN -QTKSLGYLPSEMGDYF - ->YP_009508869.1 polyprotein [Grapevine fabavirus] -MALMVNSAEADRVCRAWIEADLSRRSRPQLAKVFFSCAVADYAGFVKTHDEASDLTIGFDGERLCLVVSE -MWGSSAVEERIMFINMFFKFSLGMDIEYSYGGVKFDAGLNVGGPTSSDQKEKIQLGQAGVLVRLKEFSAR -LSPMSLLGWVKGGIGKFWDILKDLFVRSFGSYFPSVTSAFKWMQESFASLRGWTERACSVMAKWCEVFSE -CALIGLAITSITCCLHLFDRLLVAAGIFPHTIGLATFFSVAAIGSLCGVALVGSFCEGAHLDLATLCLGH -CRLLLTKLYGVVVQENEMNVGSARKQAGQNQFADLLSYFGESLQSINVKTVKEANMLVGSFHNFKQGMMA -IKDLTAWIFENLSEFALQAFGIESTILSDLSTIIGYNLTDWLEEADNLVARFAQRGEFSRELLDKVRVMK -QQARKLMMQLLEKKTRPSVGLMNVIQKAQKKLEELEVAATLAGNNIPRKKPFWIHLTGKAGVGKSTVMQR -LVTEILEEHLQDPIADRYSRNAIDCYWSGYRRQFMVTYDDLGAIECEPNEEQELIKLVSTDPVSLNMASL -AEKGMKFDSRMIISSGNFAAYSPHAKIHDSDAYCRRRDLLIEVCLKPDVAYDPSDFTQNQMYLLRDPKHF -MTVQTFDSYEELKVHIQNSFEAQDREQEELLRSTGRSARMGHGQMRDLLDLLAATAFLAPMPLRACQETM -MEQYRHEAVRYLCLQEDGLAQFLVGRRIEIVDAKLSLEERQRVDEFDASHALSMIQLAKANSHLEPFMAT -YAWRVVKECLIDEKLQPTPLCRSVDPCLAAMIESCAVWHKILLRKAALVYRTDKREGWFTSLIGAFKQSV -KKLFEVEFSQWPMSLKMAVGVVCASFVGYGIYKLLAGLWETGTGLHAATAVSTVMMAQSVKPNRGEVTEY -RFRNIPVTTRRWERGQADFQNSAHFLMEKVLANVSYGSRDAMACILPGQQLIGVAHFYLNIPDKTVLTIV -HDGRKRRIFWKKADVQLVPNSELVVYRSSSLPVVASSVDKRVIFDPESELPKVFSASFASCKYVAETQGY -LPEIGKIEARVVDSELSILAGDYVRKIFKSISYEVPTIDHDCGSLIIVQKDGTSFLAGLHVSGSGKAGSA -CFVPSMCKLSANQMGQNLSLAENVVEKDQQPVVLGSNLVMEGFVEREHLPSERIRSQFVETPVAWHLGVV -SDKIPSIISKKDERLVGTIHEGFDPYAEGMKKYVQEAGPFEADLVETVFKEIEDTWHDCSEGFTFEEATL -EEAITGIEGMEYFDSLVVNTSEGYPFVLEREKGEKGKLRYLELNGEQMSLIPGTSVELHYQALKKACQES -VPQIIGLEKPKDERVVARKVFEKPRTRLFTVLPMSYNLLVRQKFIRFVRFYMKQRNRLVGQVGINPYGRQ -WHQMAERLLEKGNAILCCDYSLFDGILPASIMEKMAECLNNFMGGSEMEKVERKNLLCACTERKGIAGKM -MYTIGCGIPSGFPLTVILNSIFNEFLVRYVYHKLARQNELGALVESSFNQFVTMVAYGDDNLISVAAPAR -SWFNGASLQQTMREVGITITDGVDKTLPTLAFRELQRCDFLKRGFVLDRHGRWKAPLEKESLYAQLTWVK -DKNLTMKEAYLTNLESVLRELYLHSYGECDTLRKKALRLKNDDGVSVFTPEDIPNLSRIEAFFCDQWDQK -APFALSADLMLSPTLLGSFENPGIEIHLQEIVPDICVVSRKMYQKGPDDFAVMCMVPKARFAPEDPAREV -YFWGSVGSGRGGLPSFETLQVLTRAKSDICKKITSAFNSRKRVVFVADTTVLASIYAVLYLRLLAMKGMT -LTLFCLRPFAILKAWAFCQSFFPNISSVF - ->YP_009507916.1 205 kDa polyprotein [Cucurbit mild mosaic virus] -MDFETSQRLTGFLKTSFHLSSLQQLVEQEYKGPSATEVKIHLCIYHANLMADDMNSGLSDCQIHQAQYIT -RRFRTVRSFFREMIVTEKVSLAWMAEYNNTTFSNWFGYAIVPVEPQISADAKFGQGILEYAQALPKFLFS -KAAGALTSTFKLILNCLKDALHQCFGSWIPYLQQSFGWFANVFETLQGWAEAVHNKIGGILGGMEECLYI -GMGLTASTCIVALLERFLVATGLLKKPLGAPMLFVTVAVGAICAFSGGAKMMEGVIIDLLMFVKISCSQL -LSVLFSFSAEEAAEGQFSSTTVLENLAALVSNWSSTSIQDIGRGFAAITQIKTGILSMRDMIVFIFEKLS -GAAHKLLGIESQVLADLSLLLGENVVDWLAECDAMVQYMLEFKSSARDIFDRLSQLIEKGRMMRTGIIKM -NHRGSSQVLNLISKALEKLVELHSSMVISGANTARKAPFMVFLTGSSGCGKTSVAQRIGANWLQAEGLGT -AELYSRNGLDPFWSGYKRQAVVMYDDFGAIPGTVSNEAEIIHVVSRNPYATIMPGLAEKGMYFDSRLLLA -TSNFLAANSESGVHDSHAYERRRHVVVRVVLKEGVPYNADFPTENQKYQILESVEPFRFVREFEDYEDLW -SYIYDRYKTHDEQEASFLNSLPVPDADETEALEALVSLSAMLGSFAPKNVIKYATENLPGYHYLVQDGNV -VYFWHQNGDIEIVPISKMHLGASEVSQLKQDSLNSALRYQNLAKNFPSLNPLAVLYASNIVTKKWIGQDL -KATSDCKDEFMVSQINELPTWQRAYLYVLSKHLANAKAGGWFSNLLDETKKAMRQLYAKEYKSWPMALKL -AVGSMLAVVVCGSVYAVLSMLWSMGTGAAFFSGAAAVFTAQTVEGQSDIPNKNESEYLFRNKRVRVRNWD -AQAACYGDSAQWMMDTCMATLLVGDLETQVCLMPGRGFIGVNHFLRTLRAGIMVKLVGVSTSTWFSWNPA -HLKTFEGNELALYTSDLLPKSVESLRDRIVFDAELLPEKFKAIMFSYKRDPLTGGMLPEIGSLVCEKKNR -SFVVQFGEYSRKVPTHIEYKSPTVKGDCGSLILTEIKGKFCLAGIHVAGNSVSGSSCFIPSDDSFFVKEG -QSDFSLAYTEWAQPKILGPGCKAIGILNKEHQVSTGGSTSFVEVPVEWHLDTPFSKLPSVLKRGDPRLAG -TENANYDPFSVSMSKYAQEAGPFDNICLQKVAYDISEEWIDASAEFDFDEVSMDVALNGLENVEYFDSLV -LSTSEGFPYRLDRKPGDKGKCRYVTGEPGNLRIEDERILKDIEWFEDVSAERVPDLYCIECVKDERLPIR -KVLEKPKSRTFSVLPMSYNIVVRKKFLKFVKFIMDKRDIFPCQVGINPYSREWTRLATTLLEKGNSILCC -DYSRFDGFLPKCVMEQIAEAINRLCGGSKRLQTQRKNLMLACCSRTALCEKLVYRVENGIPSGFPLTVIV -NSILNEILIRSAYMECFKDNREIQMNFNTYVKMVTYGDDNLISVAASIKTKFCGEFLQRFMAARGITITD -GVDKTSEFLNFRELTECDFLKRSFKENFDGTWRAPMDRTSLWPQLHFVKAKDIELVEAYISNLNNVLREL -YLHSPEEAICLRRKALANLSWLKAKDLLTIGQIADFHMSQQNGEMNFIKASHAMENLDLIDPLIPGELPM -KMREILPNIFVVAEHCIPGDLKDYFTVSLVTNRRFDNADEGVVIQYPMGFGRGGLPTQQFMRENIMRKGS -HLNKLLKKQLDGGRKMLFVSQSSIVPAYVFCVLFLHSVKSIPLLSVNAALTCAISVCKRLNYLTRDFEDC -FITSK - ->YP_009220374.1 polyprotein [Currant latent virus] -MNSYNFVFGSFDPLTGIDAVSSFEEYYSSPAGPMTRFQARRIELACLARARAADAAAQAERVQADLRLCA -ASMRELQAPWMLFKAPATKSLPAKSVAGPKRESQESLFLRDYGRSLCSPLTSWEGSRVLQDMEHAVLAKD -ADPLSRALQARALRRAAFKARCRKRAFKAFMEECKFLSLPVQVVSSIPITEPLLPTPEAASLGTKCATSR -RQSKCRRSFSSFLPKEDFSFVLGPGPVSDTEETGYSSGDSVSSLEKPSDSVSESSPRSCSHGITCRAQFC -FGYLDFRNEINASRYLNWLLQTQLPGGITCFELPITYYLEHCTDTMEAIDLWWHVMDRHCANFKPSKHRT -PFEFHAKNAERTIKAKNSVPSRQSLKQQMLLEKSQPNWEFLDSFYEGKRGEGPWQFVKDKVRCAVDGTYR -AFTLFVNKILHSLNPLVIVLGPFKQTFFEVFNNLKENCYAMLQKHWLAAAVGASLVLGFLFLLAVICICK -VFTFLVSQLGLPVVTLSFLVTGLFVLFFTVNGFLEQAADVQLCALVADDFIRFLSQNKSYGAVAGIVADL -QEDAKKGQGITWCFQALYKLISRLVPSGAKETVALFNSIGSLSRSANHGKDFFINMKEMVVSWMDAFHDA -LALLGDDSVTAIHTLKYLCEEDFLDWAKKVERYAVDTYDSMVISPCERLKILRLLVDKQERLTKAFFHPR -IARSAPRLMLNEFTRLSTLLRDAHNALSRASLFDQRRIPPFWVHLYSEKGGTGKSMATLPLGNYLLDVIE -EPQSLRFVTRNVASKFLNGYLHQPCFVMDEFGAHPTQDYSDEVTMLDLVGPNPLTLNMAALGDKNIMFNS -KLIISNGNRRLPHPEVKLGANLDGFLRRRHVLVEVVRVEDKPHFNEYILLQPRTQDKLYLDKAFRPCVDP -VQLTPDEFYAVCAEQFMTHLNREGEVIQQHTGVYHVRSNDFDFLRDYLMCKLNLGLDVDEVERIVTSYAH -STKGETIFPIEHEHIFEAWKAELESLTLSELISMLDKKVTETFVYTLIKNDSSNVLISDLTPYECLIYQF -CKLKYKSETDAQFPSFEAKEEKLPWYIEFISFCAKLVSQLPKWLILCVALCAVLLVGYTLIKFALYMFSG -ALTLLGVLSFSNLSGQGPEDSPGFDNARKTQGIRVKWEDRPAYGKAYIPPDQDTFASQEEFNKYWTSGLP -SRWSDYEGQGPSELDQSVINLLKHQVVFINDMTKVVYNAIALGGRNFLITKHVFDLMPVANYSLYGYAIT -KPKIWIDNRVRPKIQLKDRDLVIVEMPLTVPCFSSLPKDIFLKNMQEAPKQVNAVLVIAEPNYEGKSVAK -LVQKFQPFTNLPQVHAKDTYSCGSLGSLRMPPCYSYTFDTYPGLCTSPLICMSGGRCILLGLHVVGNMSK -TGFSQIVTLDDFSEVPLQSGTLVGEGPECYCIPTASSECYGSVTKLGKWTGPAPYFLEKTSLVPSMISKD -IDIEMTTEPAILTTKDPRLAHSNDPDFNPFKAGMLKYAVEAHGFNEDEEFFEDALDRVFSEIPDFNCQEL -ADDEVCNGIEDDPYAEPLVMQTSEGYPFCTQRPAGATGKSWLFCGSPGDWHILPGSLLHNEMNKMERNLS -QGIFEPVIGIDFPKDEKVDKSKVYIKPKTRLFTILPVHYNILVRKYFLSFVSQLMALHNETPTKVGINPL -SNEWGILQFALSAKGENWFNGDYSRFDGITPRSVLLGIVKRISNRFVNKNSLAITDKTLSINGDLARSLL -MDMASTRYGLTQGDLWYVNSGIPSGFPLTVIVNSLVNSFFIHYAYMSICKKSNDMDLYPLYSFKQLVSYA -VYGDDNIVSVNDLIKDKFNLVILADFLKDYGVTLKNGADKDEEILSPFYPISKVDFLKRKFTVLQGHVVS -PLNRINITERLHWVRKGMTEVDAIVENCQSAAFEAVFHGEHYYKDICGVIKKACTKNGITVDLPTFQDAL -NIHLSGGSFATAIQTMSLQLPKRINFLHKSYQCVNVFPDVYFVTNERNETVRRLLERTTIQNICYITRNY -ASKNNSQSFFTLSGEGWKMCSWEARYKVYSAMKRPVYFVDEANDGLAIAYALDYSYRIGDLAFSDLSKMA -VALCGHRQTMCSNILDNFSALSTI - ->YP_009175074.1 polyprotein [Bean rugose mosaic virus] -MLFHKDMQLCELVSHVETHKVSVLLECYCATFKETDGVMVCSLKKNHSKFVYLGYLAFIVEMSKLVEEDW -EVEDDYLVLELYAQFLTSMVSHSKGLVKLRAYIRAAILEQVGFTLEFDPYMKDMATHDTAEAQGLCQIAL -NIARWVPTQVRKCVDFGADCIIDAFKKHFDKILVQYCAEAYTVCSWITNVWEMIKNWIKEAMQSVDWFLS -GCQELLTWGMCIIASSCALGLLEKIMITLGLISESFDLVGIFVRSAIVGAFIVTSRTTGAFKTPELVTMA -ATAVGVVAQAMTSVFSMKGKDDTTAEPQAGVVEMLESLATNLTKCTDNALLSVGKSASAFNQICTAATTI -KGLAGKVIGAISNFVRNYLGLSSSMLSDAGVVFSQDVDGWLKQISWQQDQFLLKAYVSQDELLALRALIC -KGEQLRSMLIKGDTRVSPAVSMLITRGCDELSKLMRDAAMHSVSQIRKIPFVVYAQGESRVGKTLVINKL -TDDIVEFLGLDEHSVYSRNAADQYWSGYKRHPIVVMDDFGAVTTEPSAEAQFIPLISSAPHPLNMAALEE -KGMHFDSQLVMCSSNFLDCSPESKVRDEMAFRNRRNVLIKVRLDANVPYSEDDFTQNQVYEILEWFHDHY -IVKETFTKYVDLLAYVTTEWERHTKEQEANLGKMRAGKNTPDVFTSFQDLVRLATVLSQSSKFMGERMRQ -TDNRVHFLRCVDGKENVHHLWVEPNGEISITQGVLDNFDDELQTERDAGKMLTKIYQYLKYHQGTNMVAR -AHLDSLVDNTAYDESFKFTGTIGSPAFFAQIKHSIDGLPQWQRAVLCSLGLYMQRTSKKSWYHTLRDTVK -QGIETMYKEDIANWPTPLKVIVGITLATMVGTSFWKIFSLLRDSGTGTQFIGNAALAFSGKGSVEQVEAQ -SWQPNRQNFTQGKYKNMPITRRKWAQAQMSLDQSVMSIMSHCKASLKFGDQVHQIMLLPGRRFFAYRHLA -EVLTQPMMVRIETDKGSYNHAYDPKCFQFFDESELALYTNGTLEDIPHSSNKLICWDPEKELPAGSFRAE -LLSCKYDVHTRTFLPEWAEIDATLHKEPVDIMSGTYINKQAICLKYKAATVPLDCGSLVIATINKQKKIV -GIHVAGDGKNGYATLIQWVPEVVQAQSAEKYFNFFPEVINATEGVSQVGMLEKGVILPLPKKTNLVETPE -EWHLDTPCDKVPSVLTVKDPRLQNTEHANYDPYISGIQKYAVPMEPLDQELLEEVGQDIVEQWFDCTEEG -ETFEEVDLSVAINGIEDLEYMERIPFATSEGFPHVLSRTAGEKGKKRFVQGDGETFELVPGTSVQIAYDE -MIKTLEMGPPTLVGIECPKDEKLPLRKVFTKPKTRCFTILPMEYNLIVRQKFLTFVRFIMRNRSKLPCQV -GINPYSNEWTDLAHRLREKGNNILCCDYSSFDGLLTKQVMQVMAAMINKLCGGGPKLCRERENLLLACCS -RYAICRGSVWKVECGIPSGFPLTVICNSIFNEMLIRYSYKSLMRSSKAPSIFTGNFEKLITLVTYGDDNL -ISVSDQVKGFFNGEKLKHFLAEKSIIITDGKDKTLPFLFFRELEDCDFLKRGFKKLSSVNWVAPIEKESL -WSQLHYVNAGSLEMNRAYLVNLNNVLVELYLHSKDEAQDLRRKALHRVSHLRHTEVLNVSQIELFHTCQR -DMNRPFSMDAVDDLMNVDLISCGKGISVESVVEISDKAIFRDLSSYSRADVNKETEFCVLVNTFYPNQLL -EPHEMQIRFDVGEGRGGLPTTNWLESSVKNRNSTINKRLRQAVNEKKRLVFMSRGGCYVSIIVAVLFCAK -NGWIKREHSNVFLSKCMKDLKKYKFLFDECEFAFLK - ->YP_008877634.1 polyprotein 1 [Lamium mild mosaic virus] -MDFELSKMCAGFLETSFNTAAIQHLAHKYYPTGPLKDAVRVHLCCFHATEMVVQYNEGYSKEEIFSSASI -KYRLARLQLHLERNILSGKYSLNKMLEYNNSTMRNWFGETPRAFSEKVVEPEEEKQTPTVEEEEEKEDFQ -ECPVAFGQGILSFAKELPIKLANWAGSCFTTALAGFLESLKKAFVQCFGGVFPQLERMFSWVKNIFSILG -EWAISVNEKANQIFEGMEDCLYIGLALTSATCIVALLEKFLVATGMLHATCGAPMLFLTVAVAAIGVTTF -VSSQVSFDVAALTTYVIQSCQLLLSRMFGTSDTKTPSAETQSDTQAIEKAEGQFSLATTLEGLASLTQGW -TQKNVSEVGRSFAAITQIKNGVLSMKEIILYIFEKLGNLANNILGFDSQVMADLSLILGENLVDWLTECD -AMITYMIQFKSENRLNFDRLSQLIEKGRAIRAGILLNAHRGSMQVLHTVVQALNKLLEIQNAATMAGSNA -TRRAPFMVFFTGKSGTGKTSVVQRLSSSWLQAEQMGSSEIYSRNGLDPFFSGYKRQAIVTYDDFGAIPGN -VSNEAEIIHLVSRNAHAIVMASLEQKGMYFDSKLILASSNFIGANPESGVHDTEAYERRRHLVITVTLKE -GVPYNPSIPHENQRYTLRESRAPYTPITTFETFEEMWSFVYNKYKAHDEEEAQFLASLPITESTEAKAME -ALVALTTTIGNFAPKKVLDYGFKHFAGYHYLISDEDLVYFWEPQGDVRSVAIAELHLSPAEKNSLKEETL -ANALRYRNLTVTFAGLNPLAVHYTSQIVSKQWIGPNLQPTANCSDAYMKEQIQNLPKWQKAYLHILGKHL -ARDSATGWFTGLLGDVKKTLRASYMREYSSWPMPLKMAVGVTVAVIAGGGIFLTLKALWNAGTGSTFIAG -AAAVFTSHSFEGQSVAPHRQASEYVFRNRKVHRRNWEGQGECYGDSYSWVADRCMGTLVWGNKTKVQVCL -MPNRSFLGINHILSKIPTGSMVQFIGNSKETWISWNAAHLSIKEGTELAIYKSQSVPMITSSLVDRIVFD -PEELPETFPAVMFTYKYNDLQQDYVPEIGNLQCKKVQKSFDLFYGEYSRKVASHLEYDRETIQGDCGALI -IAEIKNKMCLVGSHVAGNGKKGLACFIPDDGVFHQHQGQGDFELEFQEWQVPTILGPGCAIVGVVDPKHR -CLGAAKTSFIEVEESWKLQTPCDKLPSILTEKDPRLQGTENADYDPILTSMTKYAQEAGPFQGQVLANVA -DDIVETWYDVSQDFQFEEVSLDVAINGLEGVEYFDALVMGTSEGLPYRLDRGPGDKGKARYFEGEPGHLQ -ISDETLLEHIEWFEKTSETRAPTIYCIESVKDERLPRRKVLLTPKSRTFSILPLSYNIVVRKKFLRFVKF -LMERRDVLPCQVGVNPYSREWSSIAARLLEKGNRILCCDYSRFDGFLPKVVMELMAKMINRLCGGSERVQ -AQRLNLMLSACSRWAVGGKLLYQVENGIPSGFPMTVIINSIFNEILVRYAYSVCFKDNAPIRASFNQLVA -LVTYGDDNQISVSDAIAGQFNGEFLVQCMADLNIKITDGVDKTKEGIAFRELEHCDFLKRSFYQGRDGIW -RAPMDEGSLWPQLHYVKAKKIELSAAYLANLNSVLKELYLVDMKEGTTKCKDLRSKALYCLRWIKPENVL -TLRQIEAFHEHQLGQKCNFLDTERMMEDIDLIQPLVSEGMPEEQMELCKNLSVVAQHRFKGNYEDYFVVS -LGINRKFKSPSDGLVINYAYGVGRGGLPTAQFLEENVFRKGCEIRKKNWKVAMENGKHILVISQNSLVVG -YVFSILYLVKTGRLGVHASNVVLGRAISVCKSLNYLTKDFKYAFIQ - ->YP_008400121.1 polyprotein [Broad bean true mosaic virus] -MYTLVFKAGQSVAEIISQVRCNEFMQHVVWYSKDEECHVEDITYTSAMNKVKKDVGLVAISCVALALEIN -SGVLCDIEYPAVEFLYERFTVHCYYLTSHKHGYRDLMEFNKAMFLKHLNAELTLTGELPVLEKIPVMEAQ -GLKNFVSGVANWIPLKIKGMVGWTVDAILDSFRNYFNKLIEEKIPMAARVCSWVTTVWAKLVEWIKSSKE -AMVAFFAGCEELLTWGLATMVAACALGVLEQILLHTNIISESYNLAEMFLQTGVVAVACYNFHSASRGFA -ELMRILGVTVAAVSLVIARSFSHRHGTKKITTAQGPIDMLETISNSLGASSQASLVTVGRSCTAINAIAT -SVGHLKNFAGKILSLLRDFAWENLGLEARFLTDASLIFGEDVDGWLKGISALKDAFIAKSYCNQDEMLEM -NILLERGYTMRKSMVAQMRITPAISNMILKGIEDLEKLHRTSSVQGVKGVRKMPFTIFCHGGSRCGKSLL -VNKLVCDFQEKLGLGEDTAYARNMNDPYWSGYRRQPIVTVDDFGAVRGDISAEAQLINLVSSSPYPLSMA -SIEEKGMAFDSQFIFCSTNFLEVDPEAGVRDDIAFKNRRHILVTVSLKQGEEYNPNDFTSNQIYEVKKFV -HDRYQIIHRFESYSDFLAFCFTKHEQHVEEQNANLVGLMRKSVFSSHFGKFEQILQLGTFLSDAPNLMAQ -AQALTAEEEYYHLYSVPRGNTYFHVAANLRKEIQCWEGPVLDSEKEVVLRDSENKLIGAYEFLLLSKELN -VVIHNHLQELVCVDNYDRNLNFVGTIVDAHYHQQLVGNIASLKPWHRAILYGIGCLMERTKKATWYETMW -NSIKEVLYTAYKTEIADWPVPLKVIVGIVLAGVAGSAFWQVFETLKHASGGGTLVGAAMAGFSTVSAAEA -QSRKPNRHDQEQHRYRNVPLTRRNWATAQMSLHQSSVAIMSKCNATFVMGSSHIQITLVPGRRFIGYSHF -FKMLGNYSRMVRIVTDKRSYYHHYQPENMEFIKDSELCVYTSSSLEDISHSCWDLFCWDPEKDLPKKFKA -DFVCCKWDKNAGTYNPTYADINVSLNTEPLVIMDGEYQQKVPVSLAYKAPTITEDCGSMIIAEIGGKRKL -VGIHVAGRDGEMGFAALLPPLEPIAQAQGAEKHFDFFPFVQEAQKGVSMVGTLKPGLYVPTPTKTSLVET -PVEWHLETPCDKIPSVLVKGDERLKGTEHEEYDPFWSGINKYAEPMDTLDSVLLNEVAQDIVEEWFDCAG -DEFDFGEVSIDEALNGIEAVDYMDRIPLATSEGFPHVLSRKNGEKGKRRFVEGDGHVVNLIPGTSVHEAY -LELCKTIEHSVPELVGIECPKDEKLPMRKIFSKPKTRCFTILPMEYNILVRQLFLRFVRFIMKSRDKLSC -QVGINPYSLEWSGLAARLKKNGDNILCCDYSSFDGLLSKQVMEVMANMINTLCKGDEATQRKRKNLLMAC -CSRIAICKDKVWKVECGIPSGFPLTVICNSIFNEILVRYYYKRILKRQNAPSFACRKFNQSVTLVTYGDD -NLISVNAVIKPYFDGTKLKHEMASQGIIITDGKDKTSATLSFRKLEECDFLKRGFKKRSSVRMDSPEDEN -SLWAQLHYVNVNNCEQQEAYLTNLRSVLRELYMHDPKEMVDFRRKAIAQIPWIQSQDLPTSFQLREFYAE -QREMNCSDPGGESDLLTSVDLLGPALIKQGRPEPVLKITEKYEVVDLAQVAYDRETTKNEVWLLFNVGYP -QSSLPKGHHVVTWTVGTGRGGLPTQSWMSLNVARRESHLNKVVRTAFKEGKTIKFAVRSNVIPVNLVAFL -FAVRNDIVQVDTSNAVLSNVISQSKSLNYLTDECEFAFFSARK - ->YP_007697622.1 polyprotein [Arracacha virus B] -MVACSLGHLAKFKFAFKGKSSISCRSCSKAYLLSTFNSSQELTGTLASHAKKCGVAPMAAPKSQGSICCP -NCKETGLSKRQFLTSGNHCLPGYGGSQDWACSYMSSSERAFGATTRALNALSEVGFGSIGEVISSFNAPA -PTATGWGSIDIPTEPQFFFEEYYSSPIGPVFQAPVDLNLLGLTLEERRSLGALYRSAIAFQVSVEEAYEA -SILDFYRNVPATTQFVEVATPVVKELSKFERLLPLVTKVAEYFKSLEETTAPASAKVVEEVQVLPPAPIF -EEYYSSPIGPKYICLADRDHLFDSLSWELQGVPLSSLLTDLPECFTEARHFVDKLISELLALTQERYLGC -WAHFNPILSEECPKLFNYGAHVALRKLLRDLADRRKRKEMKPFLRRRADLQNQRFAFTCGNIDRYVGEAG -FDWGITRLKKFAASWGLTSDTIAQGAADFVKKVMSLLDPFGNMLGAMKSFFYDSLERLKTNLLEKLKEHW -LVAGIGISFIISFALLVAVACVLKLFVGLCSFIGLPKISFMTIWLAVFTAFLFFLGIDIITMDPHAVQAM -GSSFMSFLCQTQEGGIVQSYMFDSGDSSATGQGPEIVFEGLTNFVNILISTVAGTCKAANAASRTLSGVS -TLGGNVKKCASTFITSLISACQVMGNGHVHSLMIINQLVDKDFQTWSEGVRDYAFETWKAAEIPPIQRLE -ILRGLDDTRKDFEKILMMPGVNPPEYLRRCFDACSTALRERIAELSRAAMFDISRIPPFWIYLYSARGGT -GKSTCAIPLANKILDSANEPKGARICTRNAASSYLNGYAHQPCLIMDEFGAQGEHHATPDERIILDYVSA -TPMIPNMAAVNDKTIMFNSKLIISTSNFPNGNPKVDLGNNKTGFLRRRKIFAEVAAPLPGEQFPQFSFRD -GTNEELPFLDLSLTPSQTQVWVSWHQFHAKCSEVYNNYRIQEAGVLNLYKEEEENTGIELKRRLRAYMLI -RSSTFSDYSEVEVDAFIEKYLAFDACAWNRFVTNEDFEKWMEEYSIASVWKRDLEKMSITEIYSLLTRSE -VSKIAASITANGDIEEGVIATSAFEYFCLKVIQAKLRTEYFTARSRSCFDVTKVEGFIPQMLQWLREILY -QIPSWCKLVLAAALFLLVGYTIIKAAAWIFSGVAFSVAHVAAFCAFKGEEISPSNDATPTKKPGGAKVSW -EMRMSRSDQAKFEDIGYGAARPAAGQNWADFYGEGPLKDNSELVSFLKHVAVMRNIVTEQDYHLLFIAGR -KALFVQHVFKSMPVGTYQLIVGDCVIDKFFFNRDALQCKSLTDKDLCIVTMSHTVPPFAPLAKRLLFDEE -EKLPRQALGFFCHSEPYVELDRISGISHRMQKLEQTVYENPDILYETSTGLLPLKKAYKYEMVTSPGFCG -DVLLQVCSSGVKILGMHTTAGKQSIWRYANTVTLQDIEGAYPSEWVGEEPDLPISNTSRDFCAGEVARVG -TNEGLKPHFPTKTSLVPSIISSDLKEDDDNHIPSVMTPAILHSRDPRIVEFGHEGFDPFKDGMLKYTRAA -GPFDEADEDFQDALDDVFLDIGDLRTEKEIEQNQSKAICRILNENDTLNGGIEQDFEDPIVSKTAEGYPF -CCQRPPGKSGKGWLLGGIPGDWSLKKEGPLNDAIEHLEDNLANEVFEPLIGIDFPKDEKVMRAKVEVKPK -VRLFTILPFHYNLVVRRFYLDFVARLMQKHNETPVKVGLNTSSLEWQHLYDQLSAVGFNWFNGDYSRFDG -ITPRCVLQGLAIRISKFYRQDEAQGLVSKKKIRTSVAHSLLLDMANSRYGIAGLGVYKVSSGIPSGFPLT -VIVNSLVNSFFLHFAYRKLMSQSLKSHFWFTKNVAFAVYGDDNLVSVSESIKNVYNLKTIAHFLTQFGVT -LKNGANKDEEILSSFYPIEGVDFLKRTFVPFRGVMLDRLNKENTLERLHWVRKGTDEIDAILDNCGSCLL -ECIAHGPEFYEDIRSKLVRVLGKRKLPCNFPDFETAFTAKVNGQSFVETCRAVNVHIPPPIVYISENSYS -EYLWVEGVFVCPYARRITFQTLYSEKGCNEKQVVCVGSMPANARRGLHINISLFDFNLLLVLRVFKMIDS -KCVYFVDLENNKRAALFATLYGMYIGKVDAETAVKMLVGQKCSEKQIMSWIDIVKGAKDQLKRPSTLNRS -CLINVLCDNARFPFEEVLNFNNINTLIPRNIPIEFQSLCGQTVKEVLTEKLRQGALRIDNYPLVVEGSSI -VVQNLISKPGIDYVGYDFQKGQTLVLEYGCARKCSPLCMGHFHVKRKIQQFVNTISPCDFPREAALRAAF -SVAC - ->YP_003193665.1 polyprotein [Turnip ringspot virus] -MACLTLHKEQSSYALIPHFMSSDVRWLLRCYTHVNTFLPEFPVTTRLARLRDKHFDLLCLGYGAYLTHRN -AMAVEPLEICQADFIFEDFHQFLCEKVSGNGGISHFRTYINVCFGTYFGELIEFSALVLDESEDQDFDLQ -GLRDCISSVASYIPLQVSVAVEWTTDRIIDGIKKRFQAVVAEWCPMAMQACSWLANIWDKVKEWVEEAIK -TMTWFLEGARELFYYGVSILTASCAIGIVEKILVATGMIGANCGLVQAFLSSAVLTGGLLSFTKKGQFKD -ATTMVSLVSVMAGVIASTVSALFGAPAVVAFQGPVELLEGAAAALSVFCDTTLLSMGKTCQAVNQINTCA -GNLKAIVGKIFTMLQDFVWKIFGMESRFLRDASLMFEEDVDQWLKDIAECEDTYLEKAYASQDDIMKMQC -LIKKGHDMRSKVLKVTSRISPVLANTVTRGVEAIEKLMRTSLCQGIRASRKIPFVVYAYGASRTGKTLVV -DKLISDFQEHFGLGKNTVYSRNPIDQYWSGYRRQPIVNIDDFGAVACDPSMEAQMIPLVSSSPMPLTMAA -VEEKGLMFDSKFIFCSSNLLEASPESKVHDNQAFRNRRHVLIHVTLDEEKEYNMHDFTANQKYKILKYDK -GTYVVQNEFTSYGDLLTFCLTKWEEHEAEQTANLAKKLEEPALIGDFRSFEVMFSGMILKSVDGRIKEHS -DEKTGRKFYDFVSFRRKDKLWHFTVDSNGKANMWSEKIPSEELSEKELVSQDILRRCYEMLRFNEDTNLI -IRMHLKDLAREDFYDDKMRFIGKFGNEHIQAQMQPTLDNMLDWHKIVLCGMGAMQDRRSPTKWYEGLVDK -IKDAMYTVYSKEIAEWPLGLKIVVGVLISSLMGSGLWGLMSVLQGAGNTAALGVAAATGFSKYSKEDIAV -AQSRKPNRYDVAQYKYRNVPITKRAWAQGVMPLEHATAMIFDKIKASMQYGRTEVQIAIAPGRRFIGYTH -FFRNITTPIRVRINTATGSRHLFYKPENMKYFEDSELCVYEDNTLEDLPGTSWDLFCWDFEKVQQTSFKA -LFLSCKRKAATGLPNPEWADIDVRTKTESLMINEGDYARVLPRFLEYMAPTVNYDCGSLIVAEVEGTYKV -VGIHVAGSGGTKGYACFLPPLVRKAQAQHAQQYFDYLAFEEREGDGIARIATLKKGIHIPVPTKTSLVET -PAEMHLDTPCDKYPSILSNTDPRLEVSGVTDYDPFKAGILKYQNPMGELDQDLLQEVCDEIEETWRDCQE -EFETFEEVSLEEAINGIKGMEYMERIPMATSEGFPHILTRSHGEKGKIRFVEGDGEDLTLLPNTSVTEAL -DIMEEHLEHEVPTLIGIECPKDEKLPYRKIFEKPKTRCFSILPMEYNLLVRRRFLKFVRFIMKRRDILPC -QVGINPYGMEWTDLAARLKSKGNNVLCCDYSSFDGLLSKQVMAAMASMINSFCGGDTSIKRKRENLLMAC -CSRYAICKSDVWRVECGIPSGFPLTVICNSIFNEILIRYSFKAIMRKQKVPGLISISFDKYVGMVTYGDD -NLLSVSEVVKPYFDGKRLKEFLAKHKVVITDGKDKTSPYLLFRRLEDCDFLKRGFRKDKNGIFWNAPEEK -ESLWAQLHYINVTNLEQHEAYKTNLVNVLRELYMWDVNECSALRKKALQRVEWLVPSDLPTVAQIEEWYA -TCRGRYMPDSADSINFLLDQEHLGPLLAPQGVQRGVRLTDQVRTVNLAHENHTTRKPGELWILCQTLYPH -SMLPEGVRAINWPLGTGRGGLPTTSWVEENVKRPTSEIRKTISAALKRGEQIVFATRDNILPCNMLAVLF -LVIEGSIKVETSNMIISAVIQQCKTLGYLVRECDFAFFAT - ->YP_002158824.1 unnamed protein product [Mikania micrantha mosaic virus] -MASELASVCVGFLRCSMGLQSTKDFVEREFAGNPLKDLIYMHLCFFDANSMAIDYNAGLNNAQMFSAQAV -RARFAILKAHAYGNVLTGKYDKNKMLEYNHETCTNWFDCDFVMDSRDGNENLATSSDAEVFPPSKVTSLD -TQAMVGQSIISSVQRAASIILEKCAKFTTKTLENFLICLKDAIRGAFASWMPNIEQAFSWFGNIFEVLKR -WASSIHDGISGLLVGIEECLYMGVAIVSSTCIVALIEKFLVATKMIASPCNAPSLFLAGITAAVGSTYLF -TKGVEKSSIISDMMEFVSLSCGKLLNVAFGADLKGKIPQSEGQFGPSIVLESLAATMESWSTKSLNEVGR -SFGAITQIKNGAVAMRDIVTYLFTSLGEIGSKVLGFESQVLSDLSILLGENVADWLDECDCMIAFMLEFT -SNAREIFDRLAQLIEKGRSIRAGLLKTVHRGSPQVMALVTKALEKLTELHNSVVMAGSNGSRRAPFMVFF -TGSSGVGKTSVVQRMGANWLQQEQLGSNEIYARNGQDPFWSGYRRQAVVTYDDFGAVPGLISNEAEIINV -VSRNPHAVNMADLKEKGMYFDSRLIIASSNFLAANPESGVHDSEAYERRRHCVIKVTLKNGVPYNPSDPC -GNQEYTLLDSRSPFHALQTFESYEELWSFVYNRFKEHEEAEQIFLSSLPVPEGTEAEALKNLVGISVAIG -SIGPSAVMKYAATHLPGHHFLISDGDIVYFWLENGMVTRVNIKNLGLSNLEKAELQQRSLACALTYQNLS -KLFPTLNPLAVLYAKNIVKKGWVLENLTVSPTCTDEFMRGQIAHLPEWQKAYLYVLGKHLQDSGDKGWFR -NCLEETKKALRSSYVWEYKKWPFAMKLAVGTLIAIFGGTVVYAMLQSLWGCAGEASFVVGAAALFSEGCK -LDAQSLPPNKAAGEYLFRNKKVRVRNWEGQAPCFGDTAGWIADNCMATLNVFGNRIQVCLMPNRGFLIVN -HFAEAIPSGSMVHLESSLSSTYFVWEKKKLTLFEGNELALYVAPVIPKMVDSFQTRVVYDAESLPDSFKA -TFFSYKYDAVLQQMVPEIGEIMCKKKSQVMTVCSGEYRRKVPLHLQYENNTIKGDCGSLIMVELEGKMKL -VAVHVAGTGQLASACFIPFDERFTQTTGQSAFVMNYTEWASPEILGPGCRVIGAISKEHQVSVGGKTGFV -ETPESWHLNTPCDKLPSVLGRNDPRLAGTMNADYDPFSVGMTKYAKEAGPFDADTLVAVCEEIKETWYDA -RGDFTFEEVSLEVALNGVANLEYFDAIVLSTSEGYPYRLERNPGDKGKARYVEGDAGDYTISDKQMLEDI -TWFEEESKMRVPDLYCIECVKDERLPKRKVLEKPKSRLFTVLPMSYNLVIRKKFLNFVRFFMTRRDVLPC -QVGVNPYSREWSRIACKLLEKGNNILCCDYSRFDGFLPKCIMLKIGQLIGSFMGKNDEEVTQICNLLLAC -TGRYAICEKLLYRVENGIPSGFPLTVIVNSILNEILVKYAYRMCFADNPMIRESFDTHVALVVYGDDNLI -SVSDAISSKFNGEFLVDFFLRLSITVTDGVDKTKQGISFRKLTDCDFLKRNFKVLPDGEWVGPMSRESLW -PQLHFVRAKKLEMADAYVANLNNILRELWLHGSEEVTELRRMAVKTLKWLEPSRLLTLGQIAEFYEEQRN -GDCSFLAACNATENLDLLDPLIPGQLPVATQQILPGVVVAAEKHYVENLDEYFVISLSTLRKFKSPEDGM -VISFPYGCGRGGLPTQQFMLENVIRKGCNIRKNFERALSEFNKKPKGVIVISQSSVIPAYVFTILLLAAT -GKINRVVSNVALTRPMQICKNLKSLPENFPDFF - ->YP_001911126.1 polyprotein [Radish mosaic virus] -MACVTLDRDQQSYALIPYVTDKHVTWLLKCYVRVNTFDPDFPVTKRLERLRQHHFDLLCLGYGAFVMHRN -AMAHVPRTVETADFIFEDYHQFLCDLVTGNAGITRLRKYINVCYGTYLDMVLEFSACTLDDEGDEDFELQ -GLKSCLHSLANYIPSTLDRAISWSTNKVIDGIRARFEEVVAEWCPMAMQACSWISNIWDKVTVWVQESIE -AMRWFLKGARELFYYGVSILTASCVIGIVEKILVATNLLAPNRGLVEAFLSSAALTGAFMSFKKKEDPTP -MITMISVLAGVVSAAVTAMFGAPCVAVLQGPVELLESAATALGIFCETTLLSMGKTCQAVNQISTCAGNL -KAMAGKLFGILQDFIWKVFGMESRFLRDASLMFEEDVDQWLKDIAECQDSYLAKAYSSQDDIMKMQALVK -KGHDMRSKVLKVTSRISPVLANTVTRGVESVEKLLRESLCQGVKATRRIPFVVYAYGESRTGKTLVVDKL -ITDFQNHFGLGKDTVYSRNPIDQYWSGYRRQPIVSIDDFGAVTCDPSMEAQMIPLVSSAPLPLTMAAIEE -KGMMFDSKFIFCSSNLLEASPESKLQDNQAFRNRRHVLINVKLDATKPYNMHDFTENQIYQILKYDNGTY -VVQAEFHTYGDLFSYCLERWEKHENEQTANLANSLEEPKADGDFINFEFLMHTLIRRNLPDKMVDVIFEE -RTKTQFVPLVTYRRKGKLWSYYVSPEGRIQTIAESLEDPKALAKEVESMDFLRRMYEMLRYNEETDLIVK -MHLKDLAREDFYDDKMNYIGTFGNEREHALMRSTFDSMAGWHKVVLCGMGVLQDRCSSVKWYDGIIEKIQ -DTMYTLYSKEISEWPMGLKIVVGVLLTSLFGAGLWKLMGVLQGTGSVAALGVTAATHFGHEHGGARATAQ -SRKPNRYDVAQYKYRNVPITKRAWAQGDMPISHATAMIFDRIKASWQYGHTEAQIAMVPGRRFIGYSHFF -RTIERPIQVRIHTASGSQPLFYKPENMHYFEESELCVYEDSALQDIAHTCWDLFEWDFEQIQQDSFKALF -LSCKRKSSTGQPNPEWADIDVRTRSESLMVQEGEYSRVLPRYLEYTAPTVNHDCGSLIVAEVNKTFKIIG -VHVAGSGGTKGYACLLPPLVKKVQAQHAQKYFDYLPFAEKEGEGIEKVAMLQKGIHIPLPTKTALVETPV -EWHLDTPCDKYPSILSSEDPRLEVSGQTDYDPFKAGILKYKDPMGVLDNKLLVEVCEEIEQSWLDCKEEG -ETFEEVSLEQAINGVKDVDYMDRIPLATSEGFPHILSRAHGEKGKIRFVEGDSEEMMLIPGTSVSEALDI -MEAQLENEVPTLIGIECPKDEKLPHRKIFEKPKTRCFSILPMEYNLLVRRRFLTFVRFIMRNRNVLPCQV -GINPYGMEWTDLAMRLKRKGNNILCCDYSSFDGLLSKQVMKAMSDMINNFCGGSESSKRKREHLLMACCS -RYAICKNTVWKVECGIPSGFPLTVICNSIFNEILIRYSFKAILRSQKIPDMIPVSFDEYVSMVVYGDDNL -LSVSEVIKPYFDGKRLKDFLATLHITITDGKDKTSPFLQFRRLEDCDFLKRGFKNRGLYWDAPEDKESLW -AQLHYVNANNLEKHEAYKTNLVTVLRELFMWDKNECAALRRKALQRISWLEPSDLQTVAQIEAWYAGNRG -KYLPDSSDSISMLLQKENLGPLLAPQGEQRGIEITPTVRTANLAHESFKTAKNDEVWILCQTMYPHGRLP -EGVIAVNWPVGTGRGGLPTSTWMDENLKRPTSELRKKLRCALDNGKRLVFATREGILPCNIMAVLLLALE -KKMKPEESNVILSAAILQCKSLGYLPRECDFAF - ->YP_227367.1 250 kDa polyprotein [Strawberry latent ringspot virus] -MGYSRGSRGGADKPKLCGICFASFPDAQERKCHYRKYNCRDSGGQLGGLVSTGRCASAKERNLKVEADRK -ELESSCDDFLTEQDMEMTFMSDLFEFTEGFSGDPITLCFAHHITYGPGDSYISRPIYQAPGLDVADYAAF -QNEKVKGTQREFLTTDRKYDAEVLTSVVRTAIVGGGFGSPTFDYTGLGGDGLKYRFDSDDLWSDHSKGLG -RFVSAGFTESTKGSLDLKLTLQDVDEMTQALSDEWAEWVYFEEDLEEYLEEYLNSKIALAQEIRALKQKR -TVALAQKAYDQAKEKPAVERPISPDTVLQSANSYLSGTKWCYDSEDEDYLPVAPLDKFHNKKHDIDFVDC -CDTEYQIQPSGTIYTETWFIDGCLPGCWFKRGVAIDEAVVCEKLPLIGKTPLELMIQYQVEPTGDLLLDI -QRALFAYYEKEALPNKKYFNLNGVPTMIVLEDWRCDLRYCLYAPFIQGDQLKHLMVVLNAPLPGWLVDFP -KRRKSGVLQASGWNPLRYFSKQATLGFLDGVMTKLKELIGPIINAASFVWDLIIKAKDWAFKALEDMIEK -RGEILKALLQPLLYVCGLLLFLGSLKGLKTIVESFGIPLAILTGAAIGLGVYVLVTFLGQAHMGALKRST -KIWDLVQNWDKPSEVKCIDEQIIEVLVEESPDKEEWVRELLASPHYVPECVIDLTHMKDLLSPQKCANFK -QAFEVGASEASSPGMLFGSGFIWKILLLLCPLSMFGVSKTLSCAKDLITIQGGQDAAGRFFQDIVGGTQE -VFYTITGEKSEFLDYIYATVGVDFQAWRAEVLELTTATPTSIFLGPQERLKRLRACKDKADRLILQMDSR -KVPGAYITHFNSLLQSLDRALVECQQALSVGKWRKTPACIWLYGDSHVGKSVCSQYLIDDVLDSLDYAQT -GRVFSRNGSDSFWSCYKNQSAVLYDDFGAVSEGGHFDEAEIIRLIAPAPLPLNMPNLEAKGNTCCTSDFV -FITANQAGLTPSAVIHCKKAFENRRLILAEVTAVEGGRYRDRYRFTIHQKNEPYARDDRFQVMNYDQFMQ -YVVNQSKDHFSEQVDLRDAPHTQIFAAADQIAAEAEEGFKTAGITIPFCMLKCIEGRMVTYYDEEKFTEM -TVELSETDADIIHSRLDPQMITQRLQMLLPKAAYVNLKNHFGLGTDPFEQPNPYFNCSQRAKVIGRFLKP -KVTEAQLETSKRGFLQVTKELAQGACRAISDAPFLVKLLLGFGALYFVGLPILGWLKSLYSAPSLMTFAA -LGTMRASGSLSSSQDQETRRTASGRERRRYLLEASGPGALEAKPQDVETELASLSKHLVGFTSIDFPDHH -YRGIALGGTRVLMVYHVWLELHSGCYKVGSLSKTFPFTVNRKNCKFQRIGLKDLVIVDFPPTFVSFPVLK -LEKWLLASHDPFMAGSGWFMEMVFQRNGVLEVAREEADYTLLDTHDVYDAAFLKGVGLNKCVRYTICDDA -GTGYRNDFFYVSQCGTPLVANYGKGKGLKIASIHVVHHFSATEKDTIIAGSGSLLTKEEYLEASLLLGDI -KHPLETDRIQASGCLSGDEFFDAETVFPEGLLLPSQAPRQATSSEIHKSSISDGLEKLVGEKRKTEPAII -SNRDTRLKDRNLDIFKKGMMKYKAVAADMSPVTDEEEKIWNVTWDSIFDLPGGIQSKCHLLSEDENLNGV -NGDNEYRGMVVSTSEGWPEVLNRKNGEAGKERFLLGLPGCYTLNRNLPMYQRILDLDALSATTIPCIVGL -DTAKDERLPLSKIYQDVKTRLFTILPMEYNYLVRKYFGSFVAELMKLHNCIPTKVGINPLGYDWTILGKK -MHAKGTNWFNGDYSRFDGVTPRCLLIEISNRITKLYGDEHRERRLHLMLAATTRLGVAGIGLYRVSGGIP -PGFALTVIVNSLVNHFLVRWSWEHMMASSSLFFTDCVELAVVGDDNLVSVKQVAAEDFNLKKLSAFLAGF -GFTLKDGSDKNKEILPEFNPPEKCDFLKRCFKARGDRYLAPLSWLSLSESLHWVRETNMTNAAATQNNVE -GFLRELFHYGDKELYCKWRRDLISLCAENRVPHPTSYSFEELERSWLSGKTVASIFEKEEPEIVVLKDAA -SDVAPNVHIVPAQTCLKWKPNEVPTVVWCGPNCPNQLRNANGCFLIQAPQGSRYPLRSTVRNSLKRVHQR -SDEVYFAGALDQSLVHWVAAFYASMYRESFSHSKYMAAYFGDNDKGLLEAVTAAKGW - ->YP_081444.1 polyprotein [Cherry rasp leaf virus] -MGIFTLCSCGVPCPTRSFYRRHMASGCDLLPTRQQLADVGYTEPTVIAEVVTPPTQAPLELFVEISPPVL -APFEPSVEISLPIQAPAEPIVEASPPAATQFVGIEALIASGPCFFGSFGPFEEYYSSPVGPLTRFDTLRR -EGHCAARARVAAVAAKAVIVQQTLSETAAAMRATLPLWMKGQVAPPVKSKRALKREAKAKAKADYLASDE -AYYKATDGLTALPPGVSRDVHMRQLDAMEVAYLAHVGDVAARGFQRRQVLRAAYKARCEKRAFKRFLEEV -DFLCLPVHIVDKIQTPFDGEQAAAPEMQKGMVYATSRRQVKTRRSFSSFLPKEDFSFTLGVCPARSPTVT -PSSTPSTSRSSSPEPRVSSPSGVLPEKAACIGFCSHGSECTEHFCFGYLNLKSEALASQYLAWLLQTKLP -GGISCFELEVSSYLEQCQDTMEAIDLWWHAMDRYCFNFKSSKYVILDNFLCKHSIAKDQTPREFLAKHRI -AKAKALHRVPSRKEKMQALCEQRADKAWDAYIELNKNCKVGEGPLEYLKAAKDRCVEFFSPFTKYCNEAI -RSLNPLVAILGPFKDGFWTCFNNLREKCLKMVNDHWLAFAAGTTLVLSLIFLLCIICLVKIFSILIANLG -LGVVAITTLVTALVVGFFLFNGMLEQAADLQLCSLVASDFLNFLAQNQGSALVAGTMASVQDDLRGQGVS -WCFSALYKLISRVVPVGIKETSILFNSIGNVSRSANHSKDFFLNMKEMACSWMDALSDAMALISDDSVSA -MQVLKHLCEHDFLDWAKKVERYAGETYDSLIISPAERLKILRILADQQESFQKAFYNPRIASKAPRLMLT -EFNRLSTLLRDAHNALSRASLFDRQRTPPFWVHLYSENGGTGKSMAMMPLGNHMLDAIGEPKTCRFVTRN -VASKFLNGYQHQPCFLMDEFGAAPKQDYSDEVTMLDLVSPNALTLNMAAIGEKNTMFTSKLIISTANRRL -AHPDVKLGANLDGFLRRRNILAEVVLVSGKPHFHEFNLLAPRTEQKVYLNRAMQESQVPDPLTPQEFYSL -CTENFVNFLNQQSSTVAMSAGLNYVRSSDFSHLKDFLLFKIGLDFEENEVERIVTDYGNSLKNETIFPPE -HEQIFQKWKDALDSLTLPELVSLLDKSVSETFVYTLISENHPNVVMSSLTPYECMIYAICKKKYREGTEA -KLPFPEGETTSYGASFLSFVAQVALCIPKWALFCVALCAVLLVGYLIIKFAIFLFSGVVTLLGALAFSNL -SGDGAEDSPSFDTNRKRGGVKFEYSTKWDASSTNRFAESYSENGTIPAGTSWADFFGEGPEEEPSQSLLN -LLKHQVVLIAEPTKVVYNCIALGGRNFLITKHVWDLMPACNYGLYGYAVAKDRIFISPRIRPCAQLKGRD -LVIVQLPDSVPPFTSLPRDIFLENMAKAPKTANACLVVAKPLFERRSVAKLEQTIYPFKQLPQVHSKDTY -SCGSLGSKQMPACYSYVFETYAGLCTSPLIAQEGGRCIILGLHVVGDRSKMGYAQIVTLDDFSDVALSDK -VGQGPEEMYIPTKNSECFGSVTKLGAWTGPKPYFLEKTSLIPSLISTSIDVERTTEPAILSQRDKRLKDS -INPEFDVFLEGMKKYAVEAHSLDEDLEVFEDALDRVFLEIPEHACEDLTNDQVCNGIEDDPYAEGIVMQT -AEGFPFCTQRPAGASGKSWLFAGAPGDWHIVPGSLLANEMHKKEVAPSRGLFEPLIGIDFPKDEKVDSSK -VYIKPKTRLFTILPVDYNILVRKYFLSSVSHIMTQHNTIPVKVGIDCLSNEWSILYHQLRSKGTNWFNGD -YSRFDGITPRNVLQGIVKRINKFYNNKNSLAITDSNLSINSDLARSLLTDMASTRYGLTNGDLWYVTSGI -PSGFPLTVIVNSLVNNFFIHFSYIKLMKREELNSLYPLHSFRQMVAYATYGDDNLVSVNDVITEKFNLVK -IADLLAEHGVTLKNGADKNEEILSPFYPLEKVDFLKRKFVHYQGHVVAPLNPVNITERLHWIRKGLGEAD -ATLENCSSAAFEALFHGRCYYDTLVAKIYKACAASKLSIQLPTYNDALAIFLSNDSFAKAIQTISLDLPK -AIFVNKSNYFVSEIFPDVFFCSNERNVTLHKLLEITTTRNICYISRNYESRNSSRGLFSLKGEGWALAPV -SARLVVYKNMQKPVYFVDEANDGLALAYCLDYMLRIKGVSRSRLAQVLYNIFGHDETLCSRIASNFSLLD -SNKYMPPHKK - ->NP_945134.1 polyprotein [Broad bean wilt virus 1] -MDSETIDMCVKFLKISFGLQSLKNLVKELFGGSELEKLAYVHAAFFHANEMAIHWNADLPWEEVMSSKRI -KERFGYVKSHFLRNVVYNADASGQMIRYNTTTCEQWFCCNFNLASYSASYNSLVPEEGGSMPINEEAEIK -IGQSLLTCAQSVTKAIYAKLSTLTTRSIQGFLECLRDAICGAFSSWLPCIRGAFAWFGNIIEVLKHWAGA -AHEKLHNFLEGIEECLYMGLGLVASTCIVALIEKFLVTMSVISGPCGRPTLFLTSAMAIISSTYLLSKAV -EKSSAFTMLLGFVTQSCQTVLGSLFGKSAKGSEEAQGQFGPSAMLESLATLVSSWSSSSVTEIGRTFGAI -SQIKNGIIALKDMALFVFSKLCEMASKVLGFESQILADLSIILGENVADWLDECDCMLAYLLEFNSNARD -IFDRLSQLIEKGKAIRMGILRTTHRGPSQVLSLVTKALDKLTELHNSVIMSGANSTRKTPFMLFFTGKSG -VGKTSVVQRMAANWLQQEQLGSNEVYSRNGLDPFWSGYKRQAVVTYDDFGAVPGSVSNEAEIINVVSSNP -HSVMMADLKEKGMYFDSRLIIASSNFLAANPESGVHDSEAYERRRHVVVQVSLKEDMAYDPGNPCANQRY -TLLESKAPFAEKAVFESYEELWSHVYNAFKAHEEKEKLFLSSLPIPERSEKEALQALIGICVMTTSYAPK -AVIQYGIDHLVGYHYLISSAEHVYFWHEKGEVEIVPMHLMKLDKMDKATMASTSLKSALMCQDMAKNFPT -LNPLAVLYAKNIVIRGWVDANLQASKKCEDSYMREQIESLPKWQRAYLHVLSGHIASNETRGWFLNCLEV -TKSNSRSSYIWEYKSWPMPLKLALGSFLAILAGSAIFCSLQSLWSISGNASFVAGAASIFTIGSATAQSA -PPNKDGSEYTYRNKKIKIRNWEGQGPCFGDSALWIAENCMATLVVMKDRVQVCMAPGRSFLGVNHFLRMI -PNGVMVKLETGMTETYFVWEKSKLKLFENSEIALYTSSNLPKAPDSLVDRFHFDLETLPKTFPAQFFTYK -FDKDMQQYVPELGELLCKKAERALCVVSGEYRRVISHHLTYRNPTVAGDCGGLVLAIIEGKCKLVGLHVA -SDGEEGAASPVPWDPDFKVAQGQSDFLLSYDEWAVPKVLGPGCKAVGIISPEHTVGSGGKTSFLETPIEW -QLNRPCGKIPSILVKGDVRLAGTENADYDPFAVGMTKYAKEAGPFEPNGLDRVCESIAETWHDASDGFEF -GPVDLEAALNGIENMEYFDALVLSTSEGYPYRLDRKPGEKGKARYVEGEPGNLEITDERILADIHWFEEI -SKTQVPDLYCIECVKDERLPVRKVIKEPKSRLFTVLPMSYNLAIRKKFLNFVRFIMKRRDVLPCQVGINP -YSRQWGKVADRLLEKGNSILCCDYSRFDGFLPKCIMVKIAEMFSNIVGETGAEREQTKNLMLACCSRYAI -CGRVLYRVENGIPSGFPLTVIVNSILNEILIKYAYWKCFETESLIRDHFDTYVAMVVYGDDNLISVSEAI -SSKFNGNFLVNFMCNLGIKVTDGVDKTKVGIEFRTIEDCDFLKRKFKENADGTWSGVMAEEHLWPQLHFV -KAKKVEMSEAYISNCNNILRELWLGSPEKAAAFRREVISKLKWVEPQRLLTISQVALFHNEQMNGEHPFV -EACHQLENLELMAPLEPGMLPIKTQEIMPGLFVASEKNFTGNFDDYFTISITTNRKFEDGKGFQIIFPYG -AGRGGLPSKAFMEQNVIRKGCAIQKAFKQGLEKGNKMLFISQSSVIPAYVFAIMLYRSVDRLPRALSNKA -LTSALGICKKLSYLPKDFPDLF - ->NP_620657.1 polyprotein [Squash mosaic virus] -MNFTGNGSVASVHQVVHSEDVMFYLKAYTNMTTDNKGATLPRIVATLKEEQNRHVLYLSFYAYCLDFDAG -LMEPHSVDVEDFVFEQFHDFVTAMLKGCHSLMPLRSYTKAILAERLQLAVNFVPEITTELGGSGPVEAQM -QGLRNIAANMLMWIPKRIGAIAAWTVESIIGSFKEHFLKMINTHCPIVLSTFPWILKIWDRVTEWLTMAA -DDFAWLLASTKELMTWGMAIMALTTAMSLLDKLLMAVGAITEPMNLSDIFLRTGVVAACCYELTKQSGNC -GAQLISLFSGVANVVAGVLSAKFQNQPQTILQDSPIGLLETLAERLTSLCDVSLINLGKTCAAINQIATC -ANTIKGFVAKIFCTLTHYVWEALGIKTSFLRDATFVLGEDVDGWLQQISQCQNDFIVHASCSQDEFLKLQ -VLAEKGNNMRNKILQGVRLSPGIISLVTSGIVMLDKLRREACLQGNRTERKMPFTIFCQGTSRVGKTLLT -SRIVKDFQAALGLAEDTVYSRNPAESYWSGYRRQPFVLIDDFGAVKTEPSCEAQLIPLVSSTPYPVPMAA -IEEKGMMFDSQFIVCSTNFLEPSPEAKIRDDAPFRNRRHVLINVKIDNERQYDSSDFTQNQIYEIMRYER -ETYVVEQRFTSYADLFVFLQNKYEAHNAEQSANIGSVVPYKGKQNLLILRGLLNLANVSNAGLLKAQAKK -LGQPEGFREYTHLFTIQHKNRFAHLGFADAYDSVIWYGEHSDVGRSEEMAKMTASHVMKAYKILIQGENL -SLLIKNHLRYLVCPDNYDRDFNFTGGVGDTLLEQQLLPDMQALHTWERFVLCAMGYYMETQKMQPWYKTV -TEKVFENLKAAYSREFSSWPTPLKAIVGIVLAALVGKGFWFAYKALTEGGNGSSLVGAASVVLTSTTNAV -AQSRKPNRFDVAQYRYRNVPLKRRQWADAQMSLDHSSVAIMSKCKANFEFGNTNVQIVLVPGRRFLGYAH -FFKTIKHPITVKIVKDGRHFLHVYDPKGMTYFDDSEICVYHSASFEDIPHTTWDVFCWDWEKSLCKKFPA -DFLSCKYDRLTMSYEPTYAGINVETVFETLELRANGAVRKLPCFLKYEAPTVDRDCGSLIVAQVEGRYQI -VGIHIGGDGRNGFAAPLPHIPQAADAQCTTKYFSFYPNEQEEETGVALVGQLKPEVWIPLPTKTSLVETE -EEWHLDTKSDKVPSILSSEDPRIKQGGNEGYDPFRGGVTKYSQPMGHLCGETLGEVANEILEEWHDCLEP -DENFDDVDLEVAINGIDGLDYMDRIPLATSEGFPHILSREKGEKGKGRFVETVGGKCALIEGTSVYHAFE -ILQEQCKKEVPTLIGIECPKDEKLPLRKIYDTPKTRCFTILPMEYNLLVRMKFLKFVRFIMRNREKLACQ -VGINPYSMEWTRLAGSLLSVGQNILCCDYKSFDGLLSKQVMTVIATMINRLCGGSQESQTMRMNLLMACC -SRYAISKNEVWRVECGIPSGFPLTVICNSIFNEILVRYCYRKILEKNNVPRPLHVNFPRMVKLVTYGDDN -LISVSHVVASVFNGRTLKAEMAQFGVTITDGIDKTSPTLEFRKLSNCDFLKRGFKLNGLIYDSPEEKSSL -WAQLHYVNTTNLDKQEAYLVNLNNVLKELYMYSPEEMNMLRRKALQLPWINKDDVLNGAQIKEFFAYQRQ -QLLPDNEDSLDMMLKPDLLGSLVPDVVLLDKGVQVSGRLKTINLKYTELGEKRDNEFWVIFNGHFPTNRL -PEHCLNIKWEAGTGRGNLPTQSWISNNISRPNSEYNRKIRTAYAAGKVLCFCAWGDMIPVSIMLLLSSAR -NNWIPKGQTNEALTSFMEYAKSLKFLPRECEYAFTDVK - ->NP_620568.1 235K polyprotein [Apple latent spherical virus] -MDEGSCCPRSQIQTRSQREARRKAWEASEEAAWVRDYGREPTSPLSVWEGTPELLAMEHAYLAKQGDKRI -CAHLRRQALRAAYKARCQKRAFKVFQEECEFFRLPVHIVDKIQIPGQASPVPESVSLGGGVKTATSKRQV -KTRRSFSSFLPKEEFSFVLGPCPTSSPEVTPTSTPSTTPPSSPRASSPRTPLPQVKEVTTQGITLCSHGP -ACQEHFCFGFLDLKDEFHASTYLKWMLGTQLPGSIFCFDLQVSSYLEHCTDTMEAIDLWWHVMDRYVRNY -RATKYVTKDNYLTKASIAIDTAPKEFINKCKLLTKRAKHRNLSKKESYLLKRNERDSKYWDAFIALRTNT -KEGQGPIDWVKAGYRKCKEYYDNTFSKFVNQIMQSLNPLTMILGPFKSAFWECVSNLKDKCVGMINDHWL -AFAAGSTLVLTLIFLFAMICICKVFVYLLSTLGIVGCTVTFLVTSLIVIFFLFNGFLEQAADIQLCELVG -ADFLNFLNQNQNIAPIAATMADIKEEALRGQGLQWCFTALYKLISRLIPGGAKEAAIMFNSVGNISRSAS -HAKDFFVNMKEMTTSWIDALSDALALLGDDSVTAMHTLKHLCENDFLEWAKRVERYAGDTYDSMILNPAD -RLRIIRELVDQQVGFQKAFFNPRIASKAPRLMLTEFQRLSTMLRDCHSALSRAALFDMQRVPPFWIHLYS -ENGGTGKSMAMMPLGNYLLDSIEEPKTHRFVTRNVASRFLNGYLHQPCFLMDEFGAAPKTDYMDEVTMLD -LVSPNPLTLNMAALGDKNIMFTSKLIISTANRRLAHPDVKLGANLDGFLRRRHILAEVVLAQGKPYFHEF -ILLKARTEQKIYLDQALREVASPIHLSPAEFYAVCAEQFVNFLNQQGNALIEQQGVSYVRSDDFSFLKDY -LQYKMGLDFQQCEIERIVTDYSLSIKNQTIFPAEHEHIFSRWRAELEALSLSELITMLDKHVTETFVYTL -IKENHSNVVMSNLTPYECLIYSFCKDKYKKETDATFSSLPEEEVKQPWLAEFLSFTARLCLNVPKWVTLV -LALCAFCLVGYVLIKFAIGLFTGACTIFSVLAFSSLSAQGPEDSPGFENARKTAGVKVHFVKTSFQPSDW -KGINVKPSGFNWGDVADDDDAIPLWGQGPDQNQNVLNLLKHQVVLIAEHSKVVYNAIALGNRNFLFTKHV -WDLMPTCNYGLFGYAIAKNKIYINPNVRPAIQLKNRDLVVVQLPDSVPPFSALPKDIFLSNLAEAPKVAN -AALVVSEPLYEKRSVAQLQQKIYPFENLPQIHSRDTYSCGSLGSKPMPACYSYTFDTFAGLCTSPLISMD -GGRCVLLGLHVAGDKSKMGYAQIVTLEDFSDINFSEKVGQGPEQMYIPTARSESFGSVCLLGKWTGPKPY -FLENSSLTPSLIHDCIDIDMTTEPAILSKKDPRLQYTNNKDFDPFLSGMGKYAVEAHSFDEDEELFEDAL -DRVFSEIPMFTCEDLSNDQVCNGIEDDDYAEGLVMQTAEGYPFCTMRPPGVTGKTWLFAGSPGDWHIVPN -SLLANELNVKELNLSKNIFEPVIGIDFPKDEKVDSSKVYIKQKTRLFTILPVDYNILVRKYFLSFVSQLM -ALHNEVPTKVGIDPISNEWSILCHSLHSKGTNWFNGDYSRFDGITPRNVLQGIVKRISRRYANKSSFAIT -DPTLSINGDLARSLLMDMCSTRYGLTNGDLWYVTSGIPSGFPLTVIVNSLVNSFFVHFAYMKLHSSEVHK -ALYPLYSFRTLVSYAVYGDDNLISVHDTIKDTFNLVTISNLLLEHGVTLKNGADKNEEILSPFYPIEKVD -FLKRRFTTLQGHIVAPLNTVNITERLHWVRKGLGDADATLENCKSALFEALFHGELYYNDLRGKIEKACK -VKGLPLIVPDYQDALSLFLTGGSYAKAIQAIAMNLPDRISLYKSEFYSVQIYPQIFYVTNERNVTLQKLL -ETTTLRNICYVSRNYESRSSSRGLFTLKGEGWTPAALKARLSVYKAMAKPVYFVDEANDGLAMFYCLDYA -FRVCGLTRSQIAKILNAVFGGNPEVCQRIFQFFSQVEPTNKYIPPNQRR - ->NP_620468.1 polyprotein [Red clover mottle virus] -MYMLTFEPGLCVAGIIRQVRSNPFMHVVQAYARTTETYREDIEMTKSMLKLKADEPLLVMSIVAAAMDFQ -TMVMAPIEMEASEFLYGFYAERMSYIVTNRGMSELHEYIQLQCQRHLLVKVEIDGQYLVQEHEYEAQGFN -IKRVKELITDVATWVPKKVKGMIGWSVDAVLDSFQEYFYKVITERIPMAMKVCSWVATVWDQIKTWIEDA -MTAMSSFLQGCNELLTWGLATLAACCALNVLERILIFMEFLDESIDIAGIFLRTGVVAAACYHFSSTAKG -FTEMMSVLSVATTAVAAVVCANYFGGSKTKKVNAQGNPVDLLERIAAGLSSISQDSLVSLGKSCSAINSI -ATSYGHLRNFAGRVLTMLRDFAWKILGLETRFLADAALVFGEDVDGWLQRISALREAYVSKAYSSQDEVF -EMNVLLERGYKMRHLMATGSRVSPAIGNMLMQGLADLERLHRNAAVQGVKGVRKIPFTVFAHGNSRCGKS -LLIGKLISDFQEHKGLGEDTVYSRNTTETHWSGYRRQPIVVIDDFAAVESDISAEAQLINLVSSTPYSVV -MAAIEEKGMTFDSQFIFASTNFLEVSPNGKIRCDDAFRNRRHVLIDVKLKPEVEYQSDDFTANQSYNILE -HSHGRYNVVATFDNYEELLAYCLTKHEQHEAEQEANLAKLRRTNKFESHFKKFEQVLQLSTYFSSSIERI -KREALATTDGADDYHLLYVVPRNGSYLHVAANKDFQIQQWYGPVEEVAEEDILRASERMLLGAYEFLLLS -TELNVVVKNHLPELICTDNYDHNLEFCGVVGDPVYHQQLLKNIRALKPWHRAVLFGIGTLMGAKNPTPWY -KRMWEGIKDVLYKAYSTEISQWPVPLKITCGIVLVGIVGAGFWKTVSVLTNAGNGAGLVGAAVNSFSVVS -TAEAQSRKPNRFEVQQYRYKNVPLTRRSWGNAQMSLDQSTVSILNKCHAKFIIASQHAQIVLVPGRRFIG -YSHFFCNLKHPLMVQIETADRTYFHRYQPENMEYIEDSELCVYHSSCLEDISHSCWDLFCWDPDKELPKK -FSADFVSCKYNTWTKSVEPTWANVDAEVIKEDFTICDGEYRNTVSTSIRYEAPTVMSDCGSMIITNVGGK -TKIVGIHVAGRDNKIGMASLLPPLLPCAQAQGAEKYFNFYPIEYDAAEGIARVGELKPKLYIPLPKKTSL -VKTPEEWHLGTPCDKVPSILVKGDPRLADTVHADYDPCLSGLTKYSTPMSPLDSVLLGETCQEILDEWFD -CLPEGFELGEVTINEALNGVDGVDYMDRIPLATSEGFPHVMSREQGEKGKQRFVQGDGHIVSLIPGTSVH -EAYETLSRTIATEVPTLVGIECPKDEKLPFRKVFTKPKTRNFTILPMEYNILVRQYFLNFVRFIMKKRDV -LPCQVGINPYSMEWSIVASRLKSQGNDILCCDYSSFDGLLSKQIMEMMADMINRFCGGGTLICAKRKNLL -MACCSRLAISRDSVWRIECGIPSGFPLTVICNSIFNEILVRYHYKLLLQEHNAPNMYVQSFKNLISMVTY -GDDNLISVNAVVKPYFDGTKLKQAMARNGIIITDGKDKTSATLEFRRLEDCDFLKRGFLKRSSVLWDAPE -EKASLWAQLHYVNVNNCEMQVAYMTNLVNVLRELYMHDPTEMVEFRRLALKSIPWLNTTDLPTLYQVKEF -YAEQRLRNIPDHNDSLDMLTSVDLLGPAILGEGVPQEALVLSELLEVRDLRYHTVPDNDNGKEVWILFNT -MYPQKLLPSNCHSFTWNCGQGRGGLPTQHWLATNVTRTDSKLNKLIRTAVAANKKIVLATKDNILPINVI -AVLLAARNKVMPSLATNALLTYVIGAAKKLNFLTSECQFAFFNV - ->NP_619518.1 polyprotein [Cowpea severe mosaic virus] -MKFFAGQTVMDVLQHVSSPTTNLRLLSYCNLKKEEDGKMMLAIKEQRHRRLLTLSYGAMCFQFSNSVGDE -GIEVDDDELMFEIFDALLRTKISNSKGMTHLYSWMRGVYLSTFKVEVQCDDYNSNLLEKDLAGEAQGLSQ -FVSGLADWIPSRVKTLAGYAAEGIIEAFKKHFDKLLVEYCPMAVAACSWITTVWTTIKEWVQSAMDAMSW -IMAGCTELISWGMCVIAGSCALSLLEKALVAMGLISSSFDLAGIFVRSAVVGAFCLTVVNKRSRNCAELL -QLVSLAVGAVSSATSSCFQSPVGQATDVSAESQSGGVEMLESLAKNLTNFCDGTLVSIGKTCNAVNSINT -AAGTIKNLVGRLLSMLSNFAYKLLGLESTFLRDASVVFSENVDGWLKQISWCQDQFLAKAYINQDELMVL -RSLITRGEVMQREMIMGGMKVSPTVCGLINKGCTDLAKLMAGAVMHGTSGTRKIPFVVYAHGASRVGKTM -VINRLIEDFRKELELGEDCVYPRNVVDDYWSGYKRQPIVVIDDFGAVSSDPSAEAQLIPLISSAPYPLNM -ADLSEKGMHFDSAIVMCSSNFIECSPESKVRDEMAFRNRRHVLFTVSLDPNIPYDGDDITKNQIYEIKTW -FHDSYHVEATFTSYGDLLAYCKNKWVEHNTEQEANLKQLGVKKESVAFQQFRSILDLAVFVNQDAENFKQ -RLETPDGRCHFVSCYDKSGILRHYTIDATGDVQEMEKVDSSLDDILLEKTNKMVLAAYKMIKYHKDTNLV -IKTQLADLVDPTKYTADFQFDGVIGSPLFSSQVMPSVKALPLWQRMVLYTVGQNLGRTHSSWYEGIKDKC -MLALSKAYSTEIKDWPVALKIVVGVILATVAGKAFWRFYASMADAGNGGHFVGAVASAFAGSQAVVAQSR -KPNRFDVAQYRYRNIPLRKRNWAEGQMSLDQSTMLIMEKCKANFVFSNISCQIVMLPGRQFLCYKHVFAS -LNSPMYVDIYTANKKYKLYYKPQNRVYFETDSEIMLYKDASLEDIPASCWDLFCFDAEKSLPRGSFPAEI -LSCKLDRTTNQHIPEWADISARTVNQKLDVEFGEYQTIFYSYLQYDVSTKAEDCGSLIIATIDGRKKIIG -IHTAGRANRSGFASYMPQVEIPVQAQAAEKFFDFLEKEQHVTEGIGKVGNLKKGVWVPLPTKTNLVETPK -EWHLGTEKTKEPSILSSTDLRLGDKQYDPFVGGIQKYAEPMGILDDEVLRHVATDIVEEWFDCVDPQEDT -FEEVDLQVAINGLEGMEYMERVPMATSEGFPHILTRKSGEKGKGRFVYGDGEIFDLIPGTSVHEAYLTLE -ETCADTVPALVGIECPKDEKLPLRKIYEKPKTRCFTVLPMEYNLVVRRKFLKFVVFIMKNRHRLSCQVGI -NPYGMEWSRLAMSLLEKGNNILCCDYSSFDGLLTKQVMHLMSEMINELCGGSSRLKQQRTNLLMACCSRY -ALCKGEVWRVECGIPSGFPLTVICNSIFNELLVRYSYIKICQQARVPATITYGFSTFVKMVTYGDDNLLS -VQSAITHVFDGTKLKEFLKLNGITITDGKDKTSPVLNFRNLEDCDFLKRGFKKESDVVWVGPEEKESLWA -QLHYVTTNNLEKHEAYLVNVVNVIRELYLHDPREAAELRRKAIQNVDFLKENPKDLPTMAAIKEFYNMQR -QQQFVDSNDNLDSLLNPDFLFVAPHRKMHEAEMELVPKWYLRDLGKAPINVLTGEADRICVLVNASIPDH -LLPEKVVNISWPYGPGRGGLPTHGWAQANLYNPNSAVVKKLRTLVNQNPDDRVDICFRHDAVPVAIATII -FLVHLGKVKGRSANEYLTKIIDSAKSLKFLPKECDIIF - ->NP_613283.1 polyprotein [Cowpea mosaic virus] -MGLPEYEADSEALLSQLTIEFTPGMTVSSLLAQVTTNDFHSAIEFFAAEKAVDIEGVHYNAYMQQIRKNP -SLLRISVVAYAFHVSDMVAETMSYDVYEFLYKHYALFISNLVTRTLRFKELLLFCKQQFLEKMQASIVWA -PELEQYLQVEGDAVAQGVSQLLYKMVTWVPTFVRGAVDWSVDAILVSFRKHFEKMVQEYVPMAHRVCSWL -SQLWDKIVQWISQASETMGWFLDGCRDLMTWGIATLATCSALSLVEKLLVAMGFLVEPFGLSGIFLRTGV -VAAACYNYGTNSKGFAEMMALLSLAANCVSTVIVGGFFPGEKDNAQSSPVILLEGLAGQMQNFCETTLVS -VGKTCTAVNAISTCCGNLKALAGRILGMLRDFIWKTLGFETRFLADASLLFGEDVDGWLKAISDLRDQFI -AKSYCSQDEMMQILVLLEKGRQMRKSGLSKGGISPAIINLILKGINDLEQLNRSCSVQGVRGVRKMPFTI -FFQGKSRTGKSLLMSQVTKDFQDHYGLGGETVYSRNPCDQYWSGYRRQPFVLMDDFAAVVTEPSAEAQMI -NLISSAPYPLNMAGLEEKGICFDSQFVFVSTNFLEVSPEAKVRDDEAFKNRRHVIVQVSNDPAKAYDAAN -FASNQIYTILAWKDGRYNTVCVIEDYDELVAYLLTRSQQHAEEQEKNLANMMKSATFESHFKSLVEVLEL -GSMISAGFDIIRPEKLPSEAKEKRVLYSIPYNGEYCNALIDDNYNVTCWFGECVGNPEQLSKYSEKMLLG -AYEFLLCSESLNVVIQAHLKEMVCPHHYDKELNFIGKIGETYYHNQMVSNIGSMQKWHRAILFGIGVLLG -KEKEKTWYQVQVANVKQALYDMYTKEIRDWPMPIKVTCGIVLAAIGGSAFWKVFQQLVGSGNGPVLMGVA -AGAFSAEPQSRKPNRFDMQQYRYNNVPLKRRVWADAQMSLDQSSVAIMSKCRANLVFGGTNLQIVMVPGR -RFLACKHFFTHIKTKLRVEIVMDGRRYYHQFDPANIYDIPDSELVLYSHPSLEDVSHSCWDLFCWDPDKE -LPSVFGADFLSCKYNKFGGFYEAQYADIKVRTKKECLTIQSGNYVNKVSRYLEYEAPTIPEDCGSLVIAH -IGGKHKIVGVHVAGIQGKIGCASLLPPLEPIAQAQGAEEYFDFLPAEENVSSGVAMVAGLKQGVYIPLPT -KTALVETPSEWHLDTPCDKVPSILVPTDPRIPAQHEGYDPAKSGVSKYSQPMSALDPELLGEVANDVLEL -WHDCAVDWDDFGEVSLEEALNGCEGVEYMERIPLATSEGFPHILSRNGKEKGKRRFVQGDDCVVSLIPGT -TVAKAYEELEASAHRFVPALVGIECPKDEKLPMRKVFDKPKTRCFTILPMEYNLVVRRKFLNFVRFIMAN -RHRLSCQVGINPYSMEWSRLAARMKEKGNDVLCCDYSSFDGLLSKQVMDVIASMINELCGGEDQLKNARR -NLLMACCSRLAICKNTVWRVECGIPSGFPMTVIVNSIFNEILIRYHYKKLMREQQAPELMVQSFDKLIGL -VTYGDDNLISVNAVVTPYFDGKKLKQSLAQGGVTITDGKDKTSLELPFRRLEECDFLKRTFVQRSSTIWD -APEDKASLWSQLHYVNCNNCEKEVAYLTNVVNVLRELYMHSPREATEFRRKVLKKVSWITSGDLPTLAQL -QEFYEYQRQQGGADNNDTCDLLTSVDLLGPPLSFEKEAMHGCKVSEEIVTKNLAYYDFKRKGEDEVVFLF -NTLYPQSSLPDGCHSVTWSQGSGRGGLPTQSWMSYNISRKDSNINKIIRTAVSSKKRVIFCARDNMVPVN -IVALLCAVRNKLMPTAVSNATLVKVMENAKAFKFLPEEFNFAFSDV - ->NP_612349.1 polyprotein [Bean pod mottle virus] -MKFYPGQNISEIVYHFQSNETANRLDAYFACGCEEDTEVLARLKQCNPRLLHLSYAAFCLEMGSHSIEEM -EYDDGELIFSYFQNFLLSIVSNSSKTTKLRAYIRSAFAYHFQHFVEFDQYTNDSLNTVDTSVSAQGIADL -ALSMVRWIPTQIKKVVNFGVGSVIESFSEHFNKLLMQYCPIVFQAFSWVNNIWTMVKEWIEEAAKEISWF -LQGCKELHAWGMCILASSCALGLVEKCLISLGMISESFDLVGLFVRSAIVGAFCVSIKTGKFVTNSELIT -CATIAVSTIATVMSQAFKPSEEIKGQFQALSVLEGLATQLTSFCDTSLVAMGKTCTAFNQICTAGKNVKV -IAGRLLEVVSNFVRKLLGLDSAFLRDAALIFSQDVDGWLRNISWCQEQFLLKAYMSQDDLIVLRSLVVKG -ERMREQMLEGEVKVSPSVCNLIVKGCEEANKLMRESRLHCSKTIRKIPFVIFAHGESRVGKSLLVDRLIT -DFCDHLEIGEDAVYSRNPSDPFWSGYRRQPIVTIDDFAAVVSEPSAEAQLIPLVSSAPYPINMAGLEEKG -MHFDSQIMMCSSNFLEPSPEAKIRDDMAFRNRRHVLITVELKPGVEYDESDFTKNQRYLLKTWFHYHYVV -DQTFESYADLLAHCFTKWERHVKEQESNLSQIKGKKSESGHFYNFQQLMDLAVSWNLNADIMKNRIKAER -NDMVYVFSAGRKDKILHCFLNKEGECTVRPDSIDDPEAQALLKASETMLMKAYAFLKYNNATNLIVRTHL -AELVNEDFYDEKFNFIGTIGTPAFHRQIAAHLEKMPLWQKAILCGMGHCLSRKSKETWYTGMKEKFVQMM -KSIYETEVTDWPVPLKIISGTILATILGTTFWKLFSFLRDAGNGGVFVGNVASAFTTSSVLEAQSRKPNR -YEVSQYRYRNVPIKRRAWVEGQMSFDQSVVAIMSKCKASMRMGNTDAQILMVPGRRFIAHGHFFKNLTQK -VRVQIVTSEKSYWHVYDPDKFQMFDNSEIGLYTNPTLEDIPHSAWDLFCWDSEKTLPNNFSAELLSCKLD -TVTGQYYPRMAPINCRVHRQPIHITEGNYVRKQDVSIEYDACTIPNDCGSLVVAKVGNHKQIVGFHVAGS -KGRLGYASLIPYVEPVVQAQSAEVYFDFFPVEVDSQEGVAHIGELKSGVYVPLPTKTNLVETPKEWQLDL -PCDKIPSVLTTTDERLVGTEHEDMTHSWWYSKYATPMMPLDEEILSKVAQDMVEEWFDCVDEEDTFEEVS -LSAALNGVEGLDYMERIPLATSEGFPHVLSRKNGEKGKRRFVTGDGEEMSLIPGTSVEEAYNKLTVELEK -CVPTLVGIECPKDEKLPRRKIFDKPKTRCFTILPMEFNLVVRQKFLNFVRFIMKKRDKLSCQVGINPYSM -EWTGLANRLLSKGNDILCCDYASFSGLITKQVMSKMAEMINSLCGGDEKLMRERTHLLLACCSRMAICKK -DIWRVECGIPSGFPLTVICNSIFNEMLIRYSYEKLLRQAKAPSMFLQSFRNFISLCVYGDDNLISVHEYV -KPYFSGSKLKSFLASHNITITDGIDKTSATLQFRKLSECDFLKRNFKQMSNVLWVAPEDKASLWSQLHYV -SCNNLEMQEAYLVNLVNVLRELYLHSPEEARRLRRKALSCIEWLQKADVPTIAQIEEFHSMQRIMNAPDS -NDNIDLLLSIDLLGLQGAARPSQIRLWFDDKLVLANTQEFFDGNFPADSWLPIFVNCLYPVSQLPAEAVI -VNVVCGSGRGGLPTTAWISSAVNNRSSDINKKIRTALGKGKKIVFLTRVDPFPVALLAVLFGVKNEILSS -NATNPMLTRLLENCKSLKYLVDECPFAFVN - ->NP_149012.1 polyprotein [Broad bean wilt virus 2] -MDFSMMQMTVGFLKTSMGLQSIKDIVGKACVAEKDRTLLHIHLCFFHANEMARDYNEGMDMEQIQSSAAI -KYRAAVLKRHVVMNVETGRYDRARMMQYNNETCVNWFSCRIIEEKAGEVLDEEEAQEDNIVENFLMKCAI -SEDVGQTSREGAEHYAFGQGLYEYATRISDAIMAVISGSIKKSIDEFLDKVYTVMAQIFAAWMPRIRAAF -QWFENIKEVIKRWANTMHGKINFILVGMEDCLYMGAGLVAATCIVTLLEKFMIAIGILTKPCGAATLFLT -TAMAAISATYVCGKALEKSAMLTNLLHFVTTNCQVVLNTLFNHELGRQEQEMGRDDEKTPTGLGQFGIST -MLQDVANLVSTWSTSSVTETGKTFGAISQIKNGILALRDMVYFVFEKLSELAHRVLGFESQVLADLSILL -GENVADWLSECDCMVAYMLEFNSRNREIFDRLSQLIEKGRMIRTGVLRSSHRGSSQVMSLVTKALEKLIE -LHNSVVMAGSNTTRKSPFMVFFTGASGTGKTSVVQRVAINWLQEEQLGTSEVYARNGQDPFWSGYKRHAV -VTYDDFGAVPGTTSNEAEIINVISRNPYATVMAGLAEKGMYFDSRLVLASSNFLAANPESGVHDSEAYER -RRHAVIRVSLKPGVPYNANDPCANQSYTLLESKTPFNEIQTFETYAELWSFLYTSFKEHEEQGELYLKSL -PILDSDKKEALEGLVGLTVIATSFAPKAVMQFGAEKFPGYHFLISDGEYCYFWHGDGSVKFVSVDRMKLG -KQDIIQLKQQGLSTAMMYKDLAKAFPTLNSLAVLYAKNIVAKRWIGADLEPTKSCEDVYMREQIGNLPKW -QRAYLHVLSKYLTVQSPRGWFMECLEEAKRNLRATYLWEYKQWPLPLKLALGSLIAIMAGGAIWYSLQSL -WCVSGDASFIAGAATVFSVSSFAGQSDVPSRDNTERSFRNRKIRARTWQGQSSCFGDSALWIAETCMATL -TFSNVRTQVCLAPGRGFFGVNHCLAVIPAGVMVKLDSSIGVTYFVWEKEKLIQFEGNEIALYMTSTMPKT -VDSLLSRIHFDAETLPKVFKAVFFSYKYDPVVQQMVPELGSVTCKVHNKAFTLAHGEYRREIPQSITYEA -STVAGDCGSLIMAEIGGKFKLVGMHVAFNGREGSASFMPYHALLDQQVGQGDFVLKYQEWAEPKILGPGC -RAMGLIDPEHALAASGKTTFVETPEEWHLNYPCDKLPSVLTRGDPRLAGTVHADYDPFAAGMSKYAREAG -PFDAGSLKQVCLGITEVWEDASADFPMDEVDLDTAINGLEDVEFFDALVLGTSEGFPYRLDRGPGEKGKS -RYVSGESGNFKITDEGVLSDINWFEETSKTQVPDLYCIECVKDERLPIRKVLHEPKSRLFTVLPMSYNIA -IRKKFLNFVRFFMKRRDVLPAQVGVNPYSREWTRIANKLLSKGNNVLCCDYSRFDGFLPKCVMNEIGEMI -ARVMRASDESRTQIKNLMLACTSRYAMCNRILYRVENGIPSGFPLTVVVNSILNEILVKYAYWHCFADNP -TVQSNFDAHVSMVVYGDDNLISVSDAISSKFDGNFLVKFMEGLGIKVTDGIDKTKIGIEFRRLENCDFLK -RSFKMNPDGTWRCPMSKESLWPQLHYVKAKKLEMAEAYINNCNNILRELWLHDVAEAKEFRNRVLRNLKW -IGHEQLLNMQQLAVFHSEQMNGASDFLSTCVTVDSISLMDPLAPGLLPVKTCEVIPRIFVAAEKHFEGNF -EDFFTVSITTSRKFKEDKGFVLLFPYGAGRGGLPTTQFMKENVVRKGCAIQKKYKQAYERGEKILFISQS -SVVPAYVFAVMLLHSIGAISRLTSNKALTQAMRTCKKLEYLPKEYEDFF - ->sp|Q9WNW0.1|POL1_BBWV2 RecName: Full=RNA1 polyprotein; AltName: Full=Genome polyprotein B; Contains: RecName: Full=Protease cofactor; Contains: RecName: Full=Putative helicase; AltName: Full=Membrane-binding protein; AltName: Full=NTP-binding protein; Short=NTB; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Picornain 3C-like protease; Short=3C-like protease; Contains: RecName: Full=RNA-directed RNA polymerase -MDFSTMQVVVGFLKTSMGLQSIRDIVQKAKVDEKDKTLLHIHLCFFHANEMARDLNEGMDVSQIHSSAAI -KYRAAIVTRHVKMNVETGGYDRKRMVEYNNETCVNWFSCEFKEEKEESQELPVDEDCVEEIVENFLENCG -ISDQNDQISTTSNANYAFGQGLYEYATRVSDAIVAVISGSIKKGIDEFLDKVYAAMSQIFAAWMPKIRAA -FQWFENIKEVVKNWARTMHEKINCILVGMEDCLYMGAGLVAATCIVTLLEKFMVVTKILPAPCGAATLFL -TTAMATISAAYVCTKAVEKSVMLTNVLHFVTTNCQIVLNALFNHDATKKELGANQNEGEAPTGVGQFGIS -TMLQDVANLMSTWSTNSVTEIGRTFGAISQIKNGILALRDMVYFVFEKLSDLAHKVLGFESQVLADLTIL -LGENVADWLSECDCMVSYMLEFNSRNREIFDRLSQLIEKGRLIRTGVLRTGHRGSSQVMALVTKALEKLI -ELHNSVVMSGSNTTRKAPFMVFFTGASGTGKTSVVQRVAINWLQEEQLGTNEIYSRNGQDPFWSGYKRHA -VVTYDDFGAVPGTTSNEAEIINVISRNPYATVMAGLAEKGMYFDSRLVLASRNFLAANPESGVHDSEAYE -RRRHAVIRVSLKPGVPYNADDPCANQTYTLLDSKTPFREIQTFETYAELWSYLYTSFKEHEVQEELYLKS -LPILDSDKKEALEGLVGLTVIATSFAPKAVMQYGMEKFPGHHFLVSDGEKCYFWHGDGSVESASVEQMQL -SKQDVAQLKQQGLSTAMMYKDLAKAFPTLNSLAVLYAKNIVVKRWVGPDLEPTKTCEDVYMREQIGNLPK -WQRAYLYVLSKYLTTQSPRGWFMECLEETKKNLRATYLWEYKQWPLPLKLALGSLIAIMAGGAIWYSLQS -LWCMSGDASFVAGAATVFSVSSFAGQSDIPNRDNSERSFRNRKVRARTWQGQSSCFGDSALWIAETCMAT -LTFSNVRTQVCLAPGRGFFGVNHCLAAIPAGVMVKMDSSIGVTYFVWGKEKLLQFDGNEIALYMTSTLPK -TVDSLLGRIHFDVETLPKTFSAVFFSYKYDPMIQQMVPELGSVTCKVHNKAYTLAHGEYRREIPQSLSYE -ASTVAGDCGSLILAEIEGKFKLVGMHVAFNGREGSASFMPYHASLDQKVGQGDFMLKYQEWAEPKILGPG -CRAMGLIDPEHALAASGKTTFVETPEEWHLDYPCDKLPSVLARGDPRLAGTVHADYDPFASGMSKYAKEA -GPFDAASLKQVCSGIVEIWEDASADFPMDEVDLDTAINGLENVEFFDALVLGTSEGFPYRLDRGPGDKGK -SRYVSGESGSLKITDEGVLSDIAWFEEVSKTQVPDLYCIECVKDERLPIRKVLHEPKSRLFTVLPMSYNI -VIRKKFLNFVRFFMKRRDVLTAQVGINPYSREWTRMANKLLSKGNNILCCDYSRFDGFLPKCIMNEIGNM -IARLMKTDEVSRTQIKNLMLACTSRYAMCNRVLYRVENGIPSGFPLTVIVNSILNEILVKYAYWHCFEDN -PSVQSNFDAHVSMVVYGDDNLISVSDAISSRFDGNFLVSFMEGLGIKVTDGIDKTKVGIEFRRLENCDFL -KRSFKMSPDGTWRSPMSKESLWPQLHFVKAKKLEMAEAYINNCNNILRELWLHDVKEAEEFRNKVLRNLR -WIGHEQLLNMQQLAVFHSEQMNGVSDFLSTCVTVDSIPLMDPLVPGMLPVKTSEIIPRVFVAAEKHFEGN -FNDFFTISITTSRKFEEDKGFVLLFPYGAGRGGLPTTQFMRENVIRKGCSIQKKFRQAYEKGNNILFISQ -SSVVPSYVFAVMLLHSIGAISRLSSNKALTQAMQTCKRLEYLPKEYEEFF - ->sp|Q02941.1|POL1_APMV RecName: Full=RNA1 polyprotein; AltName: Full=Genome polyprotein B; AltName: Full=P1; Contains: RecName: Full=Picornain 3C-like protease; Short=3C-like protease; AltName: Full=24 kDa protein; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=87 kDa protein -GIHVAGGRGKGYACLMPPLRPKAQAQSAQEHFEIFPYEQETNAGLALVGELKQGVYVSCPTKTSFERTPE -SYHLGLPCEKEPSILSSHDPRIPEHVEGYCPFRAGIQKYANPMGHLDHDLMYEVAHDMQESWHDCVQDFT -FPEVDLETAINGIDMVEYMECIPKSTSEGFPHVLSRAPGEKGKMRFLEGDGEKFSLREGTSVKKAYDLLQ -EEIDRSVPTLVAIECPKDEKLPLRKIYTSPKTRCFSILPMEYNLLVRQKFLHFVRFMMKRRDVLPSQVGV -NPYSLEWGAIARRLQEVGNSILCCDYSSFDGLMSSQVMSCIADTMNDFMGGDVSLKRQRKNLLMACCSRF -SVVKGNVWRVEGGIPSGFPLTVVMNGIFNELLVRYCFKKIMREGGATPLECSAFDSYIRFVVYGDDNLIS -VSPVIHDKFNGKLLKECMARFGVTITDGKDKTLPTLEFRPLEDCDFLKRGFIQRSELVWDAPEERSSLYT -QLHYVSTKMQSLEDAYTGNLVNVIRELYMHSPKEASDLRRKALRDLPWLSRSKIGTMENVQAFYAMQRAG -YRMDESIDVICDLAKLGKYVKGEACKEIVWLTPTVGACDLRYFDWQNAKVDEFWVLCQTNYHEFDENRVM -QLCWTPGSGRGGLPTAHWLRTCMLLEKGNVRKKLHWAMAEKKKIIFCAKGGVLIPTVMAGIFLSKEDPML -NLAGVSTLTCAMESVKTLGFLKEGNLNLF - ->ADD92352.1 polyprotein 1 [Bean pod mottle virus] -MKFYPGQNVSEIVYHFQSNETANRLDAYFACGCEEDTEVLARLKQCNPRLLHLSYAAFCLEMGSHSVEEI -EYDDGELVFLYFQNFLLSIVSNSSKTANLRAYIRSAFAYHFQHFVEFDQYTNDSLNVMDTSVSAQGIADL -ALSMVRWIPTQIKKVVNFGVGSVIESFSEHFNKLIMQYCPIVFQAFSWVNNIWTMVKEWIEEAAKEISWF -LQGCKELLAWGMCILASSCALGLVEKCLISLGMISESFDLVGLFVRSAIVGAFCVSIKTGKFVSNSELIT -CATIAVSTIATVMSQAFKPSEEIKGQFQALSVLEGLATQLTSFCDTSLIAMGKTCTAFNQICTAGKNVKV -IAGRLLDVVSNFVRKLLGLDSAFLRDAALIFSQDVDGWLRNISWCQEQFLLKAYMSQDDLIVLRSLVVKG -ERMREQMLEGEVKVSPSVCNLIVKGCEEASKLMRESVLHCSKTVRKIPFVIFAHGDSRVGKSLLVDRLIT -DFCDHLEIGEDAVYSRNPSDPFWSGYRRQPIVTIDDFAAVASEPSAEAQLIPLISSAPYPLNMASLEEKG -MHFDSQIMMCSSNFLEPSPEAKIRDDMAFRNRRHVLITVELKPGVEYDESDFTKNQRYLLKTWFHDHYVV -DQTFESYADLLAYCFTKWERHVKEQESNLSQIKGKKSESGHFNNFQQLMDLAVSWNLSANIMKERIKADK -SDMVYVFSAGRKDKIVHCFLNKEGECSIRPDSIEDPEAQLLLKASETMLMKAYAFLKYNNATNLIVRTHL -AELVNEDFYDEKFNFIGTIGTPAFHRQIAAHLEKMPLWQKAILCGMGHCLSRKSKETWYSGMKEKFVQMM -KSIYETEVTDWPVPLKIISGTILATILGTTFWKLFSFLRDAGNGGVFVGNVASAFTTSSVLEAQSRKPNR -YEVSQYRYRNVPIKRRAWVEGQMSFDQSVVAIMSKCKASMRMGNTDAQILMVPGRRFIAHGHFFKNLTQK -VRVQIVTSEKSYWHVYDPDKFQMFDNSEIGLYSNPTLEDIPHSAWDLFCWDSEKTLPNNFSAELLSCKLD -TVTGQYYPEWAPINCRVHRQPIHITEGNYVRKQDVSIEYDACTIPNDCGSLVVAKVGNHKQIVGFHVAGS -KGRLGYASLIPYVEPVVQAQSAEVYFDFFPVEVDSQEGVAHIGELKSGVYVPLPTKTNLVETPKEWQLDL -PCDKIPSVLTTTDERLVGTEHEGYDPFLGGIQKYATPMMPLDEEILSKVAQDMVEEWFDCVDEEDSFEEV -SLSAALNGVEGLDYMERIPLATSEGFPHVLSRKNGEKGKRRFVSGDGEEMTLIPGTSVEEAYNKLIVELE -KSVPTLVGIECPKDEKLPRRKIFDKPKTRCFTILPMEFNLVVRQKFLNFVRFIMKKRDNLSCQVGINPYS -MEWTGLANRLLSKGNDILCCDYASFDGLITKQVMSKMAEMINSLCGGDEKLMRERTHLLLACCSRMAICK -KDVWRVECGIPSGFPLTVICNSIFNEMLIRYSYEKLLRQAKAPSMFLQSFKNFVSLCVYGDDNLISVHEY -VKPYFSGSKLKSFLAGHNITITDGIDKTSATLQFRKLADCDFLKRNFKQMSNVLWVAPEDKASLWSQLHY -VSCNNLEMQEAYLVNLVNVLRELYLHSPEEARQLRRKALSRIEWLQKADVPTIAQIEEFHSMQRMMNAPD -SNDNIDLLLSIDLLGLQGAGKAFPNKIVFDDKLVLANTQEFFDGNFPVDSWLPIFVNCLYPVSQLPSEAV -VVNVTCGSGRGGLPTTAWISSAVNNRSSDINKRIRTALGKGKKIVFLTRVDPFPVALLAVLFGVKNEILS -SNATNPMLTRLLENCKSLKYLVDECPFAFVN ->AQX36234.1 polyprotein [Bean rugose mosaic virus] -MLFHKDMQLCELVSHVETHKVSVLLECYCATFKETDGVMVCSLKKNHSKFVYLGYLAFIVEMSKLVEEDW -EVEDDYLVLELYAQFLTSMVSHSKGLVKLRAYIRAAIMEQVGFTLEFDPYMKDMAAHDTVEAQGLCQIAL -NIARWVPTQVRKCVDFGADCIIDAFKKHFDKILVQYCAEAYTVCSWITNVWEMIKNWIREAMQSVDWFLS -GCQELLTWGMCIIASSCALGLLEKIMITLGLISESFDLVGIFVRSAIVGAFIVTSRTTGAFKTPELVTMA -ATAVGVVAQAMTSVFSMKGKDDTTAEPQAGVVEMLESLATNLTKCTDNALLSVGKSASAFNQICTAATTI -KGLAGKVIGAISNFVRNYLGLSSSMLSDAGVVFSQDVDGWLKQISWQQDQFLLKAYVSQDELLALRALIC -KGEQLRSMLIKGDTRVSPAVSMLISRGCDELSKLMRDAAMHSVSQIRKIPFVVNAQGESRVGKTLVINKL -TDDIVEFLGLDEHSVYSRNAADQYWSGYKRLPIVVMDDFGAVTTEPSAEAQFIPLISSAPHPLNMAALEE -KGMHFDSQLVMCSSNFLDCSPESKVRDEMAFRTRRNVLIKVRLDANVPYSEDDFTQNQIYEILEWFHDHY -IVKETFTKYVDLLAYVTTEWERHTKEQEANLSKMRAGKNTPDVFTSFQDLVRLATVLSQSSKFMGERMRQ -TDNRVQFLRCVDGKGSVHHLWVEPNGEISITDGTLDNLDDELQSERDAGKMLTKIYQYLKYHQGTNMLAR -AHLDSLVYNTAYDGSFKFTGTIGSPAFFAQIKHSIDGLPQWQRAVLCSLGLYMQRTSKKSWYHTLRDTVK -QGIETMYKEDIANWPTPLKVIVGITLATMVGTSFWQIFSLLRDSGTGTQFIGNAALAFSGKGGVEQVEAQ -SWQPNRQNFTQGKYKNMPITRRKWAQAQMSLDQSVMSIMSHCKASLKFGDQVHQIMLLPGRRFFAYRHLA -EVLTQPMMINIETDKGSYNHAYDPKCFQFFDESELALYTNGTLEDIPHSSNKLICWDPEKELPAGSFRAE -LLSCKYDVDTRTFLPEWAEIDATLHKEPVDIMSGTYINKQAICLKYKAATVPLDCGSLVIATINKQKKIV -GIHVAGDGKNGYATLIQWVPEEVQAQSAEKYFNFFPEVINATEGVSQVGMLEKGVILPLPKKTNLVETPE -EWHLDTPCDKVPSVLTVKDPRLQNTEHAIYDPYISGIQKYAVPMEPLDQELLEEVGQDIVEQWFECTEEG -ETFEEVDLSVAINGIEDLEYMERIPFATSEGFPHVLSRTAGEKGKKRFVQGVGETFELVPGTSVQIAYEE -MIKTLEMGPPTLVGIECPKDEKLPLRKVFTKPKTRCFTILPMEYNLIVRQKFLTFVRFIMRNRSKLPCQV -GINPYSNEWTDLAHRLREKGNNILCCDYSSFDGLLTKQVMQIMAAMINKLCGGGPKLCRERENLLLACCS -RYAICRGSVWKVECGIPSGFPLTVICNSIFNEMLIRYSYKSLMRSSKAPPIFTGNFEKLVTLVTYGDDNL -ISVSDQVKGFFNGEKLKHFLAEKSIIITDGKDKTLPFLFFRELEDCDFLKRGFKKLSSVNWVAPIEKESL -WSQLHYVNAGSLEMNRAYLVNLNNVLVELYLHSKDEAQDLRRKALHRVSHLRHTEVLNVSQIELFHASQR -DMNRPFSMDAVDDLMNVDLISCGKGVFVESVFEISDKAVFRDLSSYSRADVNKETEFCVLGNTFYPNQLL -EPHEMQIRFDVGEGRGGLPTTNWLESSVKNRNSTINKRLRQAVSEKKRLVFMSRGGCYVSIIVAVLFCAK -NGWIKREHSNIFLSKCMKDLKKYKFLFDECEFAFLK ->BAD99001.1 polyprotein [Gentian mosaic virus] -MASELASVCVGFLRCSMGLQSTKDFVEKEFAGHPLKELIYIHLCFFDANNMAIDYNSGMNNAQMYSAQAV -RARFAILKSHAYRNVLTGTYDKNKMLEYNHETCVNWFDCDFVMDEKKIENDLETPTDAEVFPHSKVTPLD -TQAMVGQSIITSVQKAAALILEKCAKFTTKTLENFLICLKDAIHGAFASWMPNIEQAFSWFGNIFVVLKK -WASSIHDGIGGLLVGIEECLYMGVAIVSSTCIVALIEKFLVATKTIASPCNAPTLFLAGLTAAVGSTYLF -TKGIEKSNVITSMMEFVSLSCGKLLNAAFGADLSSRVAEDNGQFGPGIVLESLAATMESWSTKSLHEIGR -SFGAITQIKNGAVAMRDIVIYLFTSLGDIGSKILGFESQVLSDLSILLGENVADWLDECDCMVAFMLEFT -SNAREIFDRLAQLIEKGRSIRAGLLKTVHRGSPQVMTLVTKALEKLTELHNSIVMAGSNGSRRAPFMVFF -TGASGVGKTSVVQRMSANWLQQEQLGSNEVYARNGQDPFWSGYRRQAVVTYDDFGAVPGLVSNEAEIINV -VSRNPHAVNMADLKEKGMYFDSRLIIASSNFLAANPESGVHDAEAYERRRHCVIKVTLKNGVPYNPANPC -GNQEYALLDSKSPFHVLRVFETYEELWSFIYNRFKEHEENEQTFLSSLPIPEGTEADALKNLVGISVAIG -SIGPQAVMKYAAQHLPGHHFLISDGDTVYFWLEDGTVTRVNVKNLGLSNLEKAELQQRSLTCALTYQNLA -KLFPTINPLAVLYAKNIVKKGWILEDLSISSACTDEFMKGQIARLPEWQRAYLHVLGRHLQDAGDKGWFR -NCLEETKKALRASYVWEYKKWPFAMKLAVGTLIAIFGGAVVYSLLQNLWGCAGEASFVVGAAALFSEGSK -LEAQSLPPNKVAGEYLFRNKKVRVRNWEGQAPCFGDTAGWIADNCMATLNVLGNRIQVCLMPNRGFLIVN -HFARAIPHGAMVQLESSLSSTYFVWERKKLTLFEGNELALYVSSMIPKVVDSLQTRVVYDAESLPESFKA -IFFSYKFDPTLQQMIPEIGEIMCRKKNQTLTVCSGEYRRKVPLHLQYENSTIKGDCGSLIMVEVDGKMKL -VAIHVAGTGQLASRAFIPFDERFAQTTGQSDFVMNYTEWAMPEILGPGCRVIGAIAKEHQVAVGGKTSFV -ETPQEWHLDTPCDKLPSVLKRNDVRLAGTANADYDPFSVGMTKYAKEAGPFDADVLVTVCEEIKETWYDA -QGDFTFDEVSLEVALNGVANLEYFDAIVLSTSEGYPYRLDRKSGDKGKARFVDGEAGDYTITNSQMLQDI -AWFENESKVRVPDLYCIECVKDERLPKRKVLETPKSRLFTVLPMSYNLVIRKKFLNFVRFFMTRRDVLPC -QVGVNPYSREWSRIACKLLEKGNNILCCDYSRFDGFLPKCIMSQIGHLIGTFMKKDEAEVEQICNLLLAC -SGRYAICEKLLYRVENGIPSGFPLTVIVNSILNEILVKYAFRMCFADNPMVRESFDTHVSLVVYGDDNLI -SVSDAISAKFNGEFLVDFFLKLSITVTDGVDKTKKGISFRRLADCDFLKRGFKNLPDGEWIGPMSRESLW -PQLHFVRAKKLEMADAYVANLNNILRELWLHSANEATELRRKALRSLKWIEPARLLTLGQIAEFYEEQRN -GDCTFLAACNRTENLDLLDPLIPGQLPVATQQILPGLLVAAEKHCTESLEDYYVISLATARKFATPDDGM -VISFPYGCGRGGLPTQQFMQENVIRKGCNIRKQFERALSESNKKPKGILIISQSSVVPAYVFAILLLAAT -GKINRVVSNLALTRATQICKSLKYLPENFPDFF ->AKN59247.1 polyprotein [Lychnis mottle virus] -MGYSRGSRGKADKAKLCGICFAPFPDAQERKVHYRKYNCRDSSGQLGGLVSTGRCAGMKEKNLQVERDRC -AMREFEEEELLEADVEMEFVSELMDFVAPFSFPGISFGTISMTTPKIGASYAGRKIFKAKGFDVEEYTKF -QAPKAGARNFLQPDRKSDAEILTTVVRTAIENPGFGNPSFDYTGLGGDEFRYRFGKDGLFADDDLGLARF -VSAGFTEGSRSNALDVAPLTPGDLDVISFGTISMTNPKIGASYAGRKLARFVSAGFTEGSRSNALDVAPL -TPGDLDVMTQALSDEWVGWYHLEEDYEEALIEEFALYFPPHDRVKDTESLLGSESLSPTCVLDSADSYPP -GTKWVYDSDEEDYVPTAPVDRFHNKKFNLDFIDYCDMECVEQPAENIYTETWFIDGCLPGFWFKRGTPID -EAVVCEKLPLIGKSPLELMIQYQVEPTGDLLLDVQRALFAYYEKEALPNKKFFDLNGVPTLLVFEDWRAD -LRYCLFAPFIQGNQLKHLMVVIDAPLPGWLLDFPKRQKSGLLQASGWNPLRYFARQTTLGFLDGVVAKLR -ELLGPVVNAAGYVWDLVIKAKDYAFSMLDEMISKRGEILRALLQPLLYVSGFLIFLGSLKGLQTIVEKLG -VPLAVLTAAAIGIGVYILVQFLGQAHMGALKRSTKIWELVQKWDKPSEVKHIDEEIIDLLVEESPEKEEW -LRELMASPHSVPECVIDLTHMKDLLGGKCSTFKEAFEVGAPVASSPGMLFGSGFIWKILLLLCPLSMFGV -SKTLSCAKDLITIQGGQDAAGRFFQDIVGGTQEVFYTLTGSKSEFLDYIYATVGVDFQAWRSEVMELTTA -TPTSIFLGPQERLKRLRSCKDKADRLILQMDSRKAPGAYITHFNNLLQSLDRALVECQQALSVGKWRKTP -ACIWLYGDSHVGKSVCSQYLIDDVLDSLDYAQTGRVFSRNGSDSFWSCYKNQSAVLYDDFGAVSEGGHFD -EAEIIRLIAPAPLPLNMPNLEAKGNTCCTSDFVFITANQAGLTPSAVVHCKRAFENRRLILAEVTAVEGG -RYRDRYRFTLHQKNEPYSRDDRFQVMNYEQFLQYAVNQSQQHFSEQVDLRDAPHTQIFTAADQIAAEAEE -GLRTAGIEIPFCMLKSLSGDQLTYYSEEEMMEVTETLAPEEADIVRSRLDPGVITQQLQALLPKAAYVNL -KNHFGLGTDPFEQPNPYFNCSQRAKVIGRFLKPKVTEEQLEQRKRGFMVVAKELAQGACKAINDAPFLVK -LLLGFGALYFVGAPLLSWLRNLYTAPCLLTFTTLGAMKASGSLSSSQDQETRRTASGRERRRYLLEASGP -GAVEAKAQDVETELASLSKHLIGFTSIDYPDHHYRGIALGGTRVLMVYHVWLELHSGCYKVGSLTKTFPF -TVNRKHCRFQRLGLKDLVIIDFPPTFASFPVLKLEKWLLSSHDPFMAGSGWFMEMLFQRNGVLEVAREEA -DYTLLDTNDVYDAAFLKGVGLNKCVRYTICDDTGTGYRNDFFYVSQCGTPLVANYGKGKGLKIASIHVVH -HFSATEKDTIIAGSGSLITKEEYLEASLLLGEIKHPLETDRIQASGCLSGEEFFDAETVFPEGLLTPSDA -PRQATSSEIKKSSISADLEILTGEKRKTEPAIIHNRDTRLHDRHLDIFKKGMMKYKAVAADMSPVTEEEE -KVWNLTWDSIFDLPGGIAGKCHLLTEDENLNGVNGDNEYRGMVVSTSEGWPEVLNRKNGEAGKERFLLGL -PGCYTLNRDLPMYQRILDMDELCKTTIPCIVGLDTAKDERLPLSKIYQDVKTRLFTILPMEYNYLVRKYF -GSFVAELMKLHNVIPTKVGINPLGYDWTILGKRMHAKGTNWFNGDYSRFDGVTPRCLLVEISRRITALYN -DNQGDRRLHLMLAATTRLGVAGIGLYRVSGGIPSGFALTVIVNSLVNHFLVRWSWEHMMASSSLFFSDCV -ELAVVGDDNLVSVKQIAAADFNLKKLSLFLAGFGFTLKDGSDKNKEILPEFNPPEKCDFLKRCFKARGDR -YLAPLSWLSLSESLHWVRETNMSNAAATQNNVEGFLRELFHYGDKDLYCKWRRDLIDLCSRNRVPHPTTY -SFEELERSWLSGKTVASIFEKEEPEVIVIRDGASDIAPGVHIVPVQQCLKWKPDEIPNVVWCGPNCPNQL -RNASTCFQIQAPQGSKYPLRNTVRSFLKKVHQRGDKVYFTGALNQSLVHWVAAFYASMYRESFHHSAYMK -AYFGDNDAGLLESTMAAKGW ->ADZ54704.1 polyprotein [Turnip ringspot virus] -MACLTLHKEQSSYALIPHFTSSDVRWLLRCYTRVNTFLPEFPVTNRLERLRDKHFDLLCLGYGAYLTHRN -AMAVEPLEICHADFIFEDFHQFLCEKVSGNGGISHFRTYINVCFGTYFGELIEFSAFVMDESEDQDFDLQ -GLRDCISSVASYIPLHVSVAVEWTTDKIIDGIKKRFQAVVAEWCPMAMQACSWLANIWDKVKEWVEEAIK -TMTWFLEGARELFYYGVSILTASCAIGIVEKILVATGMIGANCGLVQAFLSSAVLTGGLLSFTKKGQFKD -ATTMVSLVSVLAGVIASTISALFGAPAVVTFQGPVELLEGAATALGVFGDTTLLSMGKTCQAINQINACA -GNLKAIVGKIFTMLQDFIWKIFGMESRFLRDASLMFEEDVDQWLKDIAECEDTYLEKAYASQDDIMRMQC -LKKKGHDMRSKVLKVTSKISPVLASTVTRGVEAIEKLLRASLCQGIRASRKIPFVVYAYGASRTGKTLVV -EKLISDFQEHFGLGKITVYSRNPIDQYWSGYRRQPIVNIDDFGAVACEPSMEAQMIPLVSSSPMPLTMAA -VEEKGLMFDSKFIFCSSNLLEASPESKVHDNQAFRNRRHVLIHVTLDDEKEYDMHDFTANQRYKILKYDK -GTYVVQNEFSSYGDLLTFCLTKWEEHEAEQVANLDKKLEEPTLVGDFRSFEVMFSSMILRSVEGKLTEHK -DEATGKAFYEFVCFRRKDKLWNFTVDSRGKANMWCSKPESDELSEKELESQDILRRCYEMLRFNDDTNLI -IKMHLKDLAREDFYDDKMRFIGKFGNEHIQAQMQPVLDNMLDWHKIVLCGMGALQDRKAPVKWYDGLVDK -IKDAMYTVYSKEISEWPLGLKIVVGVLISSLMGSGLWSLMSVLQGAGSTAALGVAAATGFSKYSAEDIAV -AQSRKPNRYDVAQYKYRNVPITKRAWAQGVMPLEHATAMIFDKIKASMQYGRTEVQIAIVPGRRFIGYTH -FFQKITTPLRVRINTATGSRLLFYKPENMKYFEDSELCVYEDNTLEDLPSTSWDLFCWDFEKVQQTSFKA -LFLSCKRKAATGLPNPEWADIDVRTKTESLMINEGDYARVIPKFLEYVAPTVNHDCGSLVVAEIEGTYKV -VGIHVAGSGGTKGYACFLPPLVKKAQAQHAQQYFDFLAFEEREGDGIAKIATLKKGIHIPVPTKTSLVET -PVEWHLDTPCDKYPSILSSDDPRLEVSGVTDYDPFKAGILKYQDPMGELDQDLLQEVCDEIEETWRDCQE -EFETFEEVSLEEAINGIKGLEYMERIPMATSEGFPHILTRSHGEKGKIRFVEGDGEDLTLIPNTSVTEAL -NVMEEHLEHEVPTLIGIECPKDEKLPYRKIFEKPKTRCFSILPMEYNLLVRRRFLKFVRFIMRRRDMLPC -QVGINPYGMEWTDLAARLKSKGNNILCCDYSSFDGLLSKQVMAAMAGMINSFCGGDTSIKKKRENLLMAC -CSRYAICKSTVWRVECGIPSGFPLTVICNSIFNEILIRYSFKAIMREQKVPSLIPTSFDKYVGMVTYGDD -NLLSVSEVVKPYFDGKRLKEFLAKHKVIITDGKDKTSPYLLFRKLEECDFLKRGFKKDKSGIFWNSPEEK -ESLWAQLHYINATNLEQHEAYKTNLVNVLRELYMWDIDECSALRKKALHRVNWLLPSDLPTVAQIEEWYA -SCRGRYVPDSADSINFLLDQEHLGPLLAPQGVQRGVRLTDQVRTANLAHENHATRKPGELWILCQTLYPH -GMLPDGVKAINWPLGNGRGGLPTTAWVDENIKRPTSELRKMISAALKRGDQIVFATRDNILPCNILALLF -LVIEGNITVETSNMVITSVIQQCKTLGYLVKECDFAFFNT ->AJA37613.1 polyprotein 1 [Squash mosaic virus] -MNFTGNGSIASVHQVVHSEDVMFYLKAYTNMTTDNTGATLPRIVATLKEEQNRHVLYLSFYAYCLDFDAG -LMEPHSVDVEDFVFEQFHDFVTAMLKGCHSLMPLRSYTKAILAERLQLAVNFVPEMNAELGGSGPVEAQM -QGLRNIAANMLMWIPKKIGAIASWTVESIIGSFKEHFLKMINTHCPIVLSTFPWILKIWDRVTEWLTMAA -DDFAWLLASTKELMTWGMAIMALTTAMSLLDKLLMAVGAIAEPMNLSDICLRTGVVAACCYELTKQNGNC -GAQLVSLFSGVANVVAGVLSAKFQNQPQTILQDSPIGLLETLAERLTSLCDVSLINLGKTCAAINQIATC -ANTIKGFVAKIFCTLTHYVWEALGIKTSFLRDATFVLGEDVDGWLQQISQCQNDFIVHASCSQDEFLKLQ -VLAEKGNNMRNKILQGVRLSPGIISLVTSGIAMLDKLRREACLQGNRTERKMPFTIFCQGTSRVGKTLLT -SRIVKDFQAALGLAEDTVYSRNPAESYWSGYRRQPFVLIDDFGAVKTEPSCEAQLIPLVSSTPYPVPMAA -IEEKGMMFDSQFIVCSTNFLEPSPEAKIRDDAAFRNRRHVLISVKIDNEKQYDSSDFTQNQIYEIMRYER -ETYVVEQRFTSYADLFVFLQNKYEAHNAEQSANIGSVVPYKGKQNLLILRGLLNLANVSNAGLLKAQARK -LGQPEGFREYTHLFTIQHKGRFAHLGFADAYDSVVWYGEHPDVGRSEEMAKMTASHVIKAYKILIQGENL -SLLIKNHLRYLVCPDNYDRDFNFTGGVGDTLLEQQLLPDMQALHTWERFVLCAMGYYMETQKMQPWYKTV -TEKVFENLKAAYSREFGSWPTPLKAIVGIVLAALVGKGFWFAYKALTESGNGSSLVGAASVVLTSTTNAV -AQSRKPNRFDVAQYRYRNVPLKRRQWADAQMSLDHSSVAIMSKCKANFEFGNTNVQIVLVPGRRFLGYAH -FFKTIKHPITVKIVKDGRHFLHVYDPKGMTYFDDSEICVYHSASFEDIPHTTWDVFCWDWEKSLCKKFPA -DFLSCKYDRLTMSYEPTYAGINVETVFETLELRANGAVRKLPCFLKYEAPTVDRDCGSLIVAQVEGRYQI -VGIHIGGDGRNGFAAPLPHIPQVADAQCTTKYFSFYPNEQEEETGVALVGQLKPEVWIPLPTKTSLVETE -EEWHLGTKSDKVPSILSSEDPRIKQGGNEGYDPFRGGVTKYSQPMGHLCGETLGEVANEILEEWHDCLEP -DEDFDDVDLEVAINGIDGLDYMDRIPLATSEGFPHILSREKGEKGKGRFVETVGGKCALIEGTSVYHAFE -ILQEQCKKEVPTLIGIECPKDEKLPLRKVYDTPKTRCFTILPMEYNLLVRMKFLKFVRFIMRNREKLACQ -VGINPYSMEWTRLAGSLLSVGQSILCCDYKSFDGLLSKQVMMVIATMINRLCGGSQESQTMRMNLLMACC -SRYAISKNEVWRVECGIPSGFPLTVICNSIFNEILVRYCYRKILEKNNVPRPLHVNFPRMVKLVTYGDDN -LISVSHVVAGVFNGRTLKAEMAQFGVTITDGIDKTSPTLEFRKLSNCDFLKRGFKLNGLIYDSPEEKSSL -WAQLHYVNTTNLDKQEAYLVNLNNVLKELYMHSPEEMNALRRKALQLPWINKDDVLNGAQIKEFFAYQRQ -QLLPDNEDSLDMMLKPDLLGSLVPDVVLLDKGVQVSGRLKTINLKYTELSEKRDNEFWVIFNGHFPTNRL -PEHCLNIKWEAGTGRGNLPTQTWISNNISRPNSEYNRKIRTAYAAGKVLCFCAWGDMIPVSIMLLLSSAR -NNWVPKGQTNEALTSFMEYAKSLKFLPRECEYAFTDTK ->ANH71248.1 polyprotein 1 [Prunus virus F] -MAFPLFVDTYEHVAKHDKMYYGSFSATFTLVAGGGVIDLEEMFSGVRKLKRHLTLHRMFAGCVLGIEVAE -RDWNEHPYLLLDEVVDILEASDLAKIGVADMYTFIRGCMFHYLEAEFICDKYHKLLQTPSETSLAQGLMS -SCASFARKLPSIVYEKCTDAAAFCVKSVWTVLEDCFNKAFGSFCPHVYSVLGWVTKAFQSFKLWAEHIVE -SSFSWLCCARDSVVMGLALTSMTCLVALVERFLVATGLMTAALGLPAMFLTFAVGAICGYKALVSAASAN -ENGYAAALVDMVKGSCMTLLNSLWPSLNVDIQNKMYNIKKVAKEEGRAKAIKRHQEKIGRTEPFSTEEHL -QQQWEDFIHYRPSIFTDDPQNWVFRQQYWDNQNDNAQFSPISVLETVAASVSSWNSSTLVGVGRTCAAFS -QIKNGVSALKDILAYICGKLYDCADSVFGLQSAILNDAAVLLGQDLPSWLKECDAMVDYMHLFAVAPRDV -IDRMQKLLSLGRQMRSVFISSERRGSAQVLSLISKAIDKLETLYHGAILAGSNLPRKAPFFLFLTGESGV -GKSSLTQRLTRDWLVHHELGQDCRYARNSQDPFWSGYRRQAVVTYDDFGAIVKEPSDESEIIPIVSRDPM -SLNMASLEEKGMFFDSRLLVASSNFLAPSPNSQIHDNDAYARRRHLVVQVELKRGIPYNPNAPTENQRYV -VRATKAPYTIAETFETYEDLWTHFLNKFDEHEEGEQQFLKSLSIPSGNQSTAMQAIFAASTFMLAYAPGS -IQAHITTQMKEMHYLAVRKGILYVWGANDELQRVDLKGIADAEDIRRAERDSFRSALQFEYVLRAFPDLN -PLAVHYTMEIIKHGWLNEDLTVGNSCPDDYTRKLLESFPEWMRQYMFILSEAHLTAEKKPFFQDLLTDFK -QQVCHLYATDFNRWNPILKIAFGCVLAIAVGGTVYSLFSSLWQCGTGAKFMVAATTTFAAGQSVPPNRQE -VTEYKFRNVPFRSKHWSKGQACYGDSANWIMDKCMASLTYGSYVAQVCVLPGNQLVGVNHFLRVIPTNTT -VKIQSGQGDYWITWNKTKLICSQDSELAVYRCPQVRQVPQSVLDRCIFDVERDLPKKFEATFLSYKYFLD -SQQFVPEIAKIDVDVRSTSHSVMVGSYVRQIPRFMTYEANTIDGDCGSLIVAMKEGKACLVGLHISGVNG -YGIAGFLPFVHQSSQGQFDPGMYSRVEEFEVSAPLGNGCYQVGFLKPEDRFRISTKSSLVETPLEWHLET -PCEKIPSVITKNDPRLLGTEHVDFNPYYSGMEKYSQEAGPFDQDILGAVCNEIVEEWKDASSHFTFEDAT -LDEAINGVENLDYFDSLVIGTSEGYPYVLERERNQKGKSRYLEGEVGSLRIQPDSKVARDVSSLYEECIN -QVPELVGIECPKDEKVKRAKVFGKPKTRLFTVLPMSYNLVVRMKFLRFVRFLMKRRDVLPCQVGINPYSR -EWDRVASTLLEKGENILCCDYSRFDGFLPKSIMTRIASMINSLCGGSQADCSQRENLLMACVGRFAICDK -VLYRVENGIPSGFPLTVILNCILNEILVRYVYRQTFKENPVVASSFRDLVSMVVYGDDNLISIHDSIKTQ -FNGEVVQREMAKLHITITDGVDKTLPHLAFRRLQNCDFLKRSFKMQNGLWVGPMEKESLWGQLHYVNTKN -LEMKEAYLTNLESVLRELFLHSKQDCMDLRRKALNVSWINLGDLPSLDKIESFFTEQRGETRPYNLVCDL -MLNTALLGPLNDPGEKLSQNMLEILPNLWVSGSKTYLAEPNDYVVWLNHYGRKDNSLVLRFPSGTGRGGL -PTKIWLEENYLRKSSNVYKLLKEAYTSHRRIVFISPNDNVVGLVFLTLFAARCGAIRREDSNVLLTVAMN -QTKSLGYLPDEMSDYF ->APW28741.1 polyprotein [Broad bean wilt virus 2] -MDFSTMQVVVGFLKTSMGLQSIRDIVQKAKVDEKDKTLLHIHLCFFHANEMARDLNEGMDVSQIHSSSAI -KYRAAIVMRHVKMNVETGRYDRKRMVEYNNETCINWFSCEFMEEKEDNQELPVEEGHVEEIVDEFLANCN -ISERNDQVSVMRNANYAFGQGLYEYATRVSDAIVAVISGSIKKGIDEFLDKVYAAMNQIFAAWMPKIKAA -FQWFENIKEVVKKWANTMHEKINCILVGMEDCLYMGAGLVAATCIVTLLEKFMVAIGILTKPCGAATLFL -TTAMAAISAAYVCSKAMEKSVMLANLLQFVTSNCQIVLGALFNHDATKKELTANQEGSEAPVGLGQFGVS -TMLQDVANLMSTWSTNSVTEIGRTFGAISQIKNGILALKDMIYFVFEKLSDLAHKVLGFESQVLADLTIL -LGENVADWLSECDCMVSYMLEFNSRNREIFDRLSQLIEKGRLIRTGVLRTGHRGSSQVMALVTKALEKLI -ELHNSVVMSGSNTTRKSPFMVFFTGASGTGKTSVVQRVAINWLQEEQLGTNEIYSRNGQDPFWSGYKRQA -VITYDDFGAVPGATSNEAEIINVISRNPYATVMAGLAEKGMYFDSRLVLASSNFLAANPESGVHDSEAYE -RRRHAVIRVSLKPGVPYNADDPCANQTYTLLESKTPFREIQTFETYAELWSYLYTSFKEHETQEELYLKS -LPILDSDKKEALEGLVGLTVIATSFAPKAVMQYGVEKFPGYHFLVSDGEKCYFWHGDGSVKSASVEQMQL -SKQDVAQLKQQGLSTAMMYKDLAKAFPTLNSLAVLYAKNIVVKRWVGPDLEPTKICEDVYMREQIGNLPK -WQRAYLYVLSKYLATQSPRGWFMECLEETKKNLRATYLWEYKQWPLPLKLALGSLIAIMAGGAIWYSLQS -LWCMSGDASFVAGAATVFSISSFAGQSDIPNRDNSERSFRNRKVRARTWQGQSSCFGDSAFWIAETCMAT -LTFSNVRTQVCLAPGRGFFGVNHCLAAIPAGVMVKMDSSIGVTYFVWEKEKLMQFDGNEIALYMTSTLPK -TVNSLLGRIHFDVETLPKTFSAVFFSYKYDPVIQQMVPELGSVTCKVHNKAYTLAHGEYRREIPQSLSYE -ANTVAGDCGSLILAEIDGKFKLVGMHVAFNGREGSASFIPYHASLDQKVGQGEFVLKYQEWVEPKILGPG -CRAMGLIEPEHALAASGKTTFVETPEEWHLDYPCDKLPSVLARGDPRLAGTVHADYDPFASGMSKYAKEA -GPFDAASLKQVCSGIVEIWEDASADFPMDEVDLDTAINGLENVEFFDALVLGTSEGFPYRLDRGPGDKGK -SRYVSGESGNLKITDEGVLSDIAWFEEVSKTQVPDLYCIECVKDERLPIRKVLHEPKSRLFTVLPMSYNI -VIRKKFLNFVRFFMKRRDVLPAQVGINPYSREWTRMANKLLSKGNNILCCDYSRFDGFLPKCIMNEIGNM -VARLMKVDEVSKTQIKNLMLACTSRYAMCNRVLYRVENGIPSGFPLTVIVNSILNEILVKYAYWHCFEDN -PSVQSNFDAHVSMVVYGDDNLISVSDAISSKFDGSFLVSFMEGLGIKVTDGIDKTKIGIEFRRLENCDFL -KRSFKMSPDGTWRSPMSKESLWPQLHFVKAKKLEMAEAYINNCNNILRELWLHDVKEAKEFRNKVLRNLR -WIGHEQLLNMQQLAVFHSEQMNGVSDFLTTCVTVDSIPLMDPLVPGMLPVKTCEIIPRVFVAAEKHFEGN -FNDFFTISITTSRKFEEDKGFVLLFPYGAGRGGLPTTQFMRENVIRKGCSIQKKFRQAYEKGNNILFISQ -SSVVPAYVFAVMLLHSIGAITRLSSNKALTQAMQTCKRLEYLPKEYEEFF ->ADZ55760.1 polyprotein [Radish mosaic virus] -MACVTLDRDQQSYALIPYVTDKHVTWLLKCYVRVNTFDPDFPVTKRLERLRQHHFDLLCLGYGAFVMHRN -AMAHVPRTVETADFIFEDYHQFLCDLVTGNAGITRLRKYINVCYGTYLDMVLEFSACTLDDEGDEDFELQ -GLKSCLHSLANYIPSTLDRAISWSTNKVIDGIRARFEEVVAEWCPMAMQACSWISNIWDKVTLWVQESIE -AMRWFLKGARELFYYGVSILTASCVIGIVEKILVATNLLAPNRGLVEAFLSSAALTGAFMSFKKKEDPTP -MITMISVLAGVVSAAVTAMFGAPCVAVLQGPVELLESAATALGIFCETTLLSMGKTCQAVNQISTCAGNL -KAMAGKLFGILQDFIWKVFGMESRFLRDASLMFEEDVDQWLKDIAECQDSYLAKAYSSQDDIMKMQALIK -KGHDMRSKVLKVTSRISPVLANTVTRGVESVEKLLRESLCQGVKATRKIPFVVYAYGESRTGKTLVVDKL -ITDFQNHFGLGKDTVYSRNPIDQYWSGYRRQPIVSIDDFGAVACDPSMEAQMIPLVSSAPLPLTMAAIEE -KGMMFDSKFIFCSSNLLEASPESKLQDNQAFRNRRHVLINVKLDATKPYNMHDFTENQIYQILKYDNGTY -VVQAEFHTYGDLFSYCLERWEKHENEQTANLANSLEEPKADGDFISFEFLMHTLIRRNLPDKMVDVIFEE -RTKTQFVHLVTYRRKGKLWSYYVSPEGRIQTIAESVEDPKALAKEVESMDFLRRMYEMLRYNEETDLIVK -MHLKDLAREDFYDDKMNYIGTFGNEREHALMRSTFDSMAGWHKVVLCGMGVLQDRCSSVKWYDGIIDKIQ -DTMYTLYSKEISEWPMGLKIVVGVLLTSLFGAGLWKLMGVLQGTGSVAALGVTAATHFGHEHGGAKATAQ -SRKPNRYDVAQYKYRNVPITKRAWAQGDMPISHVTAMIFDRIKASWQYGHTEAQIAMVPGRRFIGYAHFF -RTIERPIQVRIHTASGSQPLFYKPENMHYFEESELCVYEDSALQDIAHTCWDLFEWDFEQIQQDSFKALF -LSCKRKSSTGQPNPEWADIDVGTRSESLMVQEGEYSRVLPRYLEYTAPTVNHDCGSLIVAEVNKTFKIVG -VHVAGSGGTKGYACLLPPLVKKVQAQHAQKFFDYLPFAEKEGEGIEKVAMLQKGIHIPLPTKTALVETPV -EWHLDTPCDKYPSILSNEDPRLEVSGQTDYDPFKAGILKYKDPMGVLDNKLLVEVCEEIEQSWLDCKEEG -ETFEEVSLEQAINGVKDVDYMDRIPLATSEGFPHILSRAHGEKGKVRFVEGDSEEMMLIPGTSVSEALDI -MEAQLEKEVPTLIGIECPKDEKLPHRKIFEKPKTRCFSILPMEYNLAVRRRFLTFVRFIMRNRNVLPCQV -GINPYGMEWTDLAMRLKRKGNNILCCDYSSFDGLLSKQVMKAMSDMINNFCGGSESSKRKREHLLMACCS -RYAICKNTVWKVECGIPSGFPLTVICNSIFNEILIRYSFKAILRSQKIPDMISVSFDEYVSMVVYGDDNL -LSVSEVIKPYFDGKRLKEFLATLHITITDGKDKTSPFLQFRCLEDCDFLKRGFKNRGLYLDAPERKKSLW -AQLHYVNANHLEKHEATRTNLVTVLRELFMWDKNECAELRRKALQRISWLEPSDLQTVAQIEAWYAGNRG -KYFPDSSDSISMLLQKENLGPLLAPQGEQRGIEITPRVRTANLAHESFKTAKDDEVWILCQTMYPHGGLP -EGVIAVNWPVGTGRGGLPTSTWRDENFKRPPFELGGKLRCALDNGKRVVFSPREGILPGNIMGVLLLVLE -KKKKPEESNVFFSPAILQSKWLGYLPREGDFAF diff --git a/seq/clusters_seq/cluster_1220 b/seq/clusters_seq/cluster_1220 deleted file mode 100644 index 2fdc8f4..0000000 --- a/seq/clusters_seq/cluster_1220 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009618386.1 putative host attachment protein [Pseudomonas phage phiYY] -MLLVNSQSTCVAPGNPSALVTGRTLNLLRNVAGEPQYHKGSAVTEGWLTEADGASPSITSFEQRHGKATM -SFVDANRFISELQGDEGTVTRRPCMPRLIHVPGEFVASTSPLANDLTAEEDQLGNVLRQAGFFAPVADFF -KLPCYVYRFGGLSIRNLFGDDFAPLFEFAKMFRRYGAGELILQALQHDSDKRHLMSMSDIVGVKVEAGVD -ELSMIMDALEVGTLTELGTVMEMVNAMTAIRQAKVLNTAQDEAGVGMTFYYAMMDGAYLASMPLDLIQAF -GSGGNDGMVNIRTVAGAEIPVLQAQLPPEMRVHIPTLRAITAGHYEVMQKARVLYRMMLVVRFLQYRGYP -LDVNTTLAQSDRRQFFELLL - ->NP_690832.1 host attachment protein P3a [Pseudomonas phage phi12] -MLLRRTAQVVVPPGSPSAIVTGQTLNVLRNTVGSPQYNKGAQLLTGWETEADGQTVNVQSFEQKWGDSTI -SFVDGGRFIAELNSTTTSTTRLPCMPRMIHVPGQFIASTSPLAIDMAEEEDQMINVLRQAGFTVTENDFW -KLPCYVYRMTNLTVKKLFGDDFAPLFDFARMFRRYGPGELMLQALQHDSDKRHLMSLSDIVGVKVESAGD -ELGTLLDALELGSFTELVSLLNMVNAMTSMRFAKVLTTAKDEAGVGMTLYYAMMDAAYLCSLPLDMVQAF -GAGDSTGKVTLNTFEGVVIPQLEIQIREEDKIHIPTLRSITAGAYESMQKARLLYRMMLVVRFMEYRGYP -LTVDTTLKSTDRRQFFELLM - ->NP_690813.1 P3a host attachment protein [Pseudomonas phage phi13] -MIPPGSPNAIVTGQTLNVLRNTVGKPQYNEGSKPLTGWETEADGASVSIVSYEQKWGDATVSFVDSNRFI -AELNGATPVTTRFPVMPRMIHVPGQFIASTSPLALDMTEEEDMLVNVLRQAGFIVPENDFYKLPAYVYRL -KNLSIKAMFGEDFAPMFEACKFFKRYGPGELMLQALQHDSDKRYLMSMSDVIGVKLEAGGDEVGILLDLL -ELGSVTELVALMNMVNAMTSMRFAKLVDTDKDEAGVGMTFYYAMMDAGYLAQIPLDVITAFGAGDSSGRV -TLSTFETVAIPQITFVIPEEQRIHIPTLRSITPGLHESMLKARLLYRMLLVTRFMEYKGYPLDVNVTLKN -ADRRAFFELML - diff --git a/seq/clusters_seq/cluster_1221 b/seq/clusters_seq/cluster_1221 deleted file mode 100644 index f7804c4..0000000 --- a/seq/clusters_seq/cluster_1221 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009618384.1 putative host attachment protein [Pseudomonas phage phiYY] -MELKDALVPALTAGLGYFAGRESAESKVIDPEQSQELIAMLQQRIALMDAKNLASAALVAALKDESETGQ -LVQAQLQAQLADLNAKLSSQQAAIFSNFPVVFGAGDPSLGGWIKGRDGLLISRALPNFVPGTNGYLATAT -GYGVAPPATGIAVSDVSLKAAARPISIGKSMNTSLAIVLKRGYFGGMSAILNFAEDVADDPDFIASAWVH -DGSYRAHVVAIPIGRNSLLLTILGTSKTPSGHTAASWNGLVVRDATVADPIPTKAQRSSWGSGAVCITLA -HRTARNLTLRSWGIVANPLAQYMETNAVAWDDASLSHTRRAGNTTILSRINTGALPRFYRVDDENMDYTT -VDLSSSAYM - ->NP_690834.1 P3c protein [Pseudomonas phage phi12] -MDSQVVPSLLSLGVGGLAGYQLGKNSVAQPEVDPTSDAATIAGLNQRIALLQAQSEAQAALVAAMKDQST -TGLAVQAQLQAQINDLSSKLSGQQVTLFNNFPAVFGTGDPAVGGWIKGRDGLLINRVLPNFIPMKSLITT -VTGYDKTWATTSIAISDITTKLAAWPITIGRSVNNTLRVSHFNGIFGSMATILNFAEEVADDPDFTCSAS -IYDNGTYHASCLAIPIGRNSLMLLPGTIVNVPSGYNYEDWNANMLKDCTRAAASGGIAIPTLAQRGSYIS -GRQGITLQLSHRTARSLTLRSWNIVAHPLAQWQETWRMNLTDLGDTNSRRQGATSVVTRMNTSLTPKFFR -PNDQGDYDTVDVTQSSYM - ->NP_690815.1 P3c host attachment protein [Pseudomonas phage phi13] -MDTSSLVPTLLGMAVGGGAGYLLGHNSVVQAEPDPKSDAAVIAGLNQRINLLQAQSEASTALIAAMKDQS -STGQAVQAQLQATIADLNSKLSGQQVTLFNNFPAVFGTGDPAVGGWIQGRDGLLISRILPNFIPNRSLIA -LAPGYLGGDLTTGISVSDLTVKANARPITIGKSVNNSLRMTHRNGIFGSMATILNFVEDVADDPDFICGG -SSYDAAGSYPIDVMAIPIGRKSIMLLPFGFKKIPASWTYQQWASALLRDASAAGAAVPLPAVGARASYFR -GGLNLALSHRTARSLTLRSWSIVAHPLAQWQETVTNGWTDDDFAQTRRQGDVSVVTRLNSSSVPKFYRPD -ETGAYPAVELAQSAYM - diff --git a/seq/clusters_seq/cluster_1222 b/seq/clusters_seq/cluster_1222 deleted file mode 100644 index 9953d4f..0000000 --- a/seq/clusters_seq/cluster_1222 +++ /dev/null @@ -1,17 +0,0 @@ ->YP_009507681.1 NS3 protein [Corriparta virus] -MLALADSLVGKGPKQDVVVTVVPPEKFGLLKDASNEERDRDGALSVLTNAIVSATGTNETQKNEKATFGA -ISEALKDDNVTRRSKRIAYGKSVLELEKDIKRYRNTIRLLECARVGCAIVVLLFSTALSVMDFLSKEIIT -SMAKLVGDNNTADFAAMARLRAMRGAANMALIACGTAVLITGRNVAIMKQKKRGMKRDLVKRKAYLGAID -DMNLLTPYAIEMDPPTYAAAKAPYEYDL - ->YP_009158911.1 NS3 protein [Chobar Gorge virus] -MMSMVKSPQATVVEMQPMAPPQRARDVALDVLQNAMAPSSCANETLKDEKAIYGTAAEVYRDTTETRVLK -YQTNIEMIPKLEREITKLKFRGRCYYYAQLFLALVSIILCLIGMVESISTQLNEWLKMANATSQGIVGVI -TVAILYIGKQRNRIKKQLIGLRRDLVKKEAYVRTAEAVYGRPRPERVEATAPLLPTHPALLGVQSY - ->YP_009047250.1 NS3 [Umatilla virus] -MLEAAERAQERSKATESETVREDSDNDSMSKGLLTETVLRDTKRGLGRPVTQLTFVDELSGGQGFMYQQL -QDKAQALNILTNAVTSTTGANDTLKNEKAVFGATSDALKDDPFTRYTKQLAYETTISQILGQMATKRRKM -LILDVVKYMLGIILLMLLLWNMIVESIEEESYKEVLSGMFGKNNNDTQALFSAARAIRRYTSYLEMISSI -AMTFVVRKIMQLGVKLKALERDKIKREAYNKTVARVSHSGICSPSAPTLESTER - diff --git a/seq/clusters_seq/cluster_1223 b/seq/clusters_seq/cluster_1223 deleted file mode 100644 index b4843aa..0000000 --- a/seq/clusters_seq/cluster_1223 +++ /dev/null @@ -1,73 +0,0 @@ ->YP_009143530.1 hypothetical protein [Sclerotinia sclerotiorum megabirnavirus 1] -MTKCSVYNAAGQCWSGLLLRREREWRAGMYGRNLPAKYVRFLVERDGHHNLGHCSLVRTAPNLYHVEITG -VRRMAEKAAKIRLLRWFDAHPDALIGGTPADDDLGTDLLTDAEVEEAINDDLMACRCAIHVDVDAMLTDS -EFGCANIEGARHFVLQSPHAKLCTLNEAERGADQQFADTVRDLYVDPNLTPGSRRDVLDRRVMRYAAKSR -RVQISNFGKGYCYLHELRDSFRWRSCRALGPLPTVRAYIPYLKVVGKKRLGGSQIAIDRENKLMHVCGPN -EVGSQLVYDLYDFICRNQYLLSYRVGGAEQSAPRTDWDNLQTGESDALLDASMCIGGASGFVPATRNWRL -VLSNDGFGSFDKADLLARELATWQYSLGLNFTYAAHLEGTFSATEIWTAAAHGWDKEADFDPTVSYEWCL -NSDGGQTCRAEYLANVIRRLCYQGKALIVNVMVRNDGAEQHDAEDARTVFSETISGGQAVVACDNSGMCL -IRAMRALFMNDLNPEVLMQQVRTVEDFIQLQADLADEKLDANLWYSVHAGDTHRKSHISTREFVCACGNL -GCVVLGSLRALSASAELRRHEFYADVEEHAQARAPTAPTLEKELLLLDEMTKVYTENRRVIMVVYELTSV -PFANCLWDWVAAGLRLLGIGKTFLADVRMVAYEDMYFLVNHPRISQWLTLGAAEQAKYCGVLNREGTEAD -VSGGVFRNMLPTTRDHVRRVSIRMTPQLNDQLHRLWVGMQNGEGSELVKKWSGSLASRRLNLEVEVKRLM -LRFEALGQVAPVRARVTDLQGAVHEVVAPTTDAARLMALADGQCYLSAFEPRHVMGVRDVLKSRPRIQDV -RAMMAANPLIDHSVRFNLTRGISGHDEALWHLTRGVPGDEGTLTYSALLSRLDGLSGRLGSSSYTDLHAV -GRLIARVAHSANAVVFPNDLTVGGGNSPTWTPSWYGGDLIVVAPNIGATQFNRAPLLNFRAATTGTAVTT -CLPTMALAAVSAQVGAALSRACVGILDFARQNRADDYNAVFGLGSAPGVDLAQLQADLHELQDRVHHLDF -AGPSCLAHVFREIGVYVPHDVVRLSQVNAEQQRMLREVMDGAAGRPGRHLFIRYVAEDSRANHISWESQP -AFEIPGIGGRPPQQMPTMRAISFSELSTTLLNTRWYSWERFRAVDSTQNGVRIGHIEQYLLDFTGTLRGD -VTALQNSGVVPQDATVRKQLEEFAKRHLTQSGYLRTDVGVIRELWLTMGEIWNGGEDGEIKESDMFWPAF -VPGILQRVGALTSTITDKGKAITGTVVGAISQTTGAARSAAARTLDQLGLLREASPMGDLTRRVNLLHQA -IQERASMITLQGDVTGTANDVPGVLLTKIADGVLPTVPDAATLANIPTAADVSRWNNKMDPFKVANQDHA -AVQLAWSAGSSGLPSAGASLNVSYTAPDGAVTDADKARWDNKQDKFTLINNNAATAALSWTVSEGGVAGD -GAALLLDYHAPAGTGEVSFGTRYALANAICVNPGRPITARQRNACRVVLGNGTWRDEVIEVLRTLYSQTR -DVERDPLIGDVATMREWLNSGLVDGKGAVGYPETSDDDAAATRATMLLRLFHDGRVSPQTKIDMMVECYG -AQRASANELLRGWTKQ - ->YP_009227125.1 hypothetical protein [Rosellinia necatrix megabirnavirus 2-W8] -MDTIQFGELVVDLAAARERCNAQLQRASVPHQHGQATAAVHHTQRAKGPCAVYHAQWGCWTGLLRRGQRA -SCASKLMGPVKARELVGIVAKYRHNNLGHVRFAHSAGNVFHVVHTTRWQTEREARVYVDHWLRNNPEAIV -GMGITYRDAQIFAAAVEALSLLPSRQTFAAMSLTCGASTMPTCTPAWYPSDIFVADPNAQYGHRQLFAWT -IDKTRPTRNVVFVTDRVAQDFTASLHALMAELEATAVDVYRQIHGQGGRPNDSLIDRVQQLEQRRVGCLA -YVMATVVKAPITHNIRTFAAMRASDPQAHAALLRYLTPPAAGMPIDGQPIWFRRSAANDAQGNHLALHQD -VTIGLPNMSELRRDMVENALSDVEWWAAPHPHLEMTGFGGYHLLSHDHAARVVKGAAAYGLYDAGYCYLR -LVGDDELGAVILARLGYCPTLTIVAAWAAYNDIPLDRVTKCLRRSASGATWHVEPSGGLLHAISLEAALA -SDGGMDVVGGADTLNDWVEQLDPLAAAAGIQRTLTNPDRGQGRSGFGPTTRRQMLARFVRTLAADPTMSD -EELVGGAMGATLVGLARDRVIWRCLQLVNTTLREFLAHELRSVEADRLRCTTYASKAAFAERCALAGHAA -NLVATTFAEMPVMLAHEARRAGLSAADAMELARVVATGEPVRILTGANGLPANRPNGRVTREEFARCRPL -VAGSNGRVGFLPLIPFVLAPVAAVAAAFAGTAVATFTAAAGVGAVALGGIGAAAGGTALTIVVGQMAYNV -ARRAITTLTPGGREIGQDDLSRWLGGQVGQYISVLDEWFAHGRGAVFPETDTIPTGNPLYVRAGVEYEVC -EMREKALGRWSTIAVQTPTGMFTMRENGTLPLRVVDTREAGQTWEWATSARRRFTQAQVNAINGMIAAAQ -RIPGLKASIRQDVAPGASGADSAMAARVLALEQTRATQDDLAAAVARITALEARPTGDTDLRRDLDAATA -RITELEQRQPADLRPLEARVARLEEELREVRDRVHHIDGVGLSCLAHMTRDLGINVPHTVRTFRAMKGAV -GAVVWQQFLAAVRSSADVNGGRPIWYRLDPAQPRNNHISLVNEPVSVGFGGTQTMAMRQLSESEVEGQLM -DIEWFAWEPFVSTATTGQGVAAEVVKYLTEVTPAIRSELATCPTWGALGVESRTPSIGSRLDAIERVLGV -QTGQTPWRRNELRELWVAVDSIVTGRGLREFSTATIHWPAEFPSAVSSAGRSFGQPGMAGYGDLCTFAKT -WNNLAASIRNNTTVMLQRTAAGVLQWVPSAGNTGAATGMVLTREQQERLQGVFFPAAPAALRNGEHVIEV -TAGNITRLKRVQVPTVERAAAWDAKMDRFTVASANPAAGTLEWSDATDGVPAPGAQLVLNPLQPAGTGPV -SASTKYAMALAISSGTVQTAAHQAAARMVLGHGVWDDAHIRALMSLHNAVATEDLKLFDVDEARAYLNTG -IVRGVGDERGDDEDACNWRATAMLRGFARASPMARERALVILFGVSQASVNLTMRGWTIQ - ->YP_003288764.1 hypothetical protein [Rosellinia necatrix megabirnavirus 1/W779] -MGITYRDAQIFSACVEALSARNNRITLTSFPLTAGQGQAPTATPAWYPVDLFVADATAVYGRRQLFAWTV -DKVRPTRNVAFVTDRVAMDFSAALLSLMAELEAVAPDVYAAIHGGATPGADLGDRITQLENRRVGCLAYV -MATVVRAPITHNVRSFSAMLASDPQAHAALLAYLTPNSAGQLDGAPIYFRRSDVDLRNNHLALHAEVVPG -LPNMVPLTKAMVEVALANVEWWSDPLGYDSLTSFGGLELLSLCDALAVCELSVAYGLKESGYCYLRFAGG -CPLAEVILARLGYNPPLGVAVGWALYNGIKLDWYSKVISVGHNMRLHVCDTAGEANACLIDVLTGEYDGM -PVGGVDTVSCWVEQLDLLAAAAGVGRNLSNLHCGVQTPPRTINTTRRRLLASLVRTLIADPTLTDEELLH -GAVRGTLNGLPRDRALWRCLQVVNTTVREFLAQDLDAMVRDRRECTTYASRAAFAERCAMSGNASGLVGR -QYSDMPAALEGEARACGLSAIDAIEIVRVVASGEPIRVLLDQHGRPATRPNGRLTADELRRCRPLVVGQG -GQVGFLPFVPFIVGGVGATVAAASGLALATFATVTGAGAVALGGLGLGAGVAALSITVGQLSYQVTRRAL -TTILPGGREFGLDDLTRVLGGMVGRYISFVDTWFAHGRGDVFQETDAVPAGTVVFVLPNIEYEVLELRER -ALGRWSTLLVTTPNGVIAMRANGALPLRVVDAREAGQTFEWSTAARRRFTRAQANAINMMVTASKRVPGL -KGSIDAAPSQGTGGSGTDLAGILQRLSALEQTSVPRAEFDALQGRVAACEAKITELEADRVPRIDFTELR -DRVHHIDGIGLSCLAHLARDLGITVPHNVRTFRQMRANVGEVIWARFVDAVAESFSPMGGRPIFVRTDPA -QPRNNHVSLVDEPTTTGFNGTVTPAMRRLTVADLTGDLVDTEWFSWTPYDASGPLGGTIEGIEAYLTDFT -SKLKAELEATPTRTELGVAVGTRAPPLSDRLAAVERVIGMQEGNQVWRSNELRELWVAIDSIVTGRGQRE -FTTATIKWPAAFPSAVATAGRSFGQPGLAGYGELCTLARQLNALVAGVRNGVVSGMTRNGAGVLQLSTIS -SATGNLTSDQQAVLRACFFPATPRVGEYQIVYPVGGTMGLTRVDPSTNSSIGQYTRESLVAARNAMPRFA -VHTTTPDTVGVAWDNQSAAGLPMGAAPVLTVSVNQLSGVPVTEADKQRWDAKQDKFKIVNTDDRVAALSW -VDSVDGFAAPGSDMLLDYQAPAGTGSLPFGSKYAMAVAIGGSLGSQLSEAQVSAARVVLGNGVWRDAVID -VLRKLHNVMYGGKYGRIDDIAAMRSYLNDGTGLLPGSEPIVDVGGAEGNACARATILLRGFSSTMVGVDL -KIQMLVELYGAEPATAALLYRGWTMQ - diff --git a/seq/clusters_seq/cluster_1224 b/seq/clusters_seq/cluster_1224 deleted file mode 100644 index 508ee2e..0000000 --- a/seq/clusters_seq/cluster_1224 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009143528.1 putative coat protein [Sclerotinia sclerotiorum megabirnavirus 1] -MSSTRAPASYNTATAPKVQVTRESFFQNTKSNDYTFRFAKEDDAVEALAAQLEAGVVQHQTGDISYNAGG -MASFSTILSGSKRVTHQQVGAFIDQTGHGAEGSLYSTSSVKLYGTGKEVGDAISDVRNLLSGQYERGHAG -LQRLGQLASQYTDNAPTGMTMLFNRLIRGAMHTTSFNAHRINIAGRQGEDAMGYHSSQYYHDSRRIMPHR -LDALLPAAANQAVHASLINNAAENHFRDLNVGVTSELNRILLTISEIPSFRASELRNIIAVLDAVTKEHL -GFAPHADQMGEQSVYTKGVRRINDILIIDLANFYGRCVTNETAANDNGFQDPNEPAPQLVAPPNGSNVQA -GHTLWFGFAGNAANRTGVCDPTSWMNAIAFLLELEGGREACAVAMNDVVEQTTRFFGPNVTHLSAPVAVR -ANTDVFGAAHKVLRDRLAWMYTRVLRVANNAGNGYLDKQLTQWATHPKVGRRDDRAAVAGDGAGYANLIE -QTLANSLMVDVYGAGAAPGNVDDDAFNFIWANANQDGPGRAYLANNSGDVDPLNDQVVRKWGNYNPIAGH -HAGANPPALGDAVTIYEVDNYWYHFCLNWDLSSNKSVEDMMALLPSSVVSELLIWLTDIELAGGPGFAAR -WHLPAERQNRRTTLPIPDAHAHMRTPAHMRNWAWVNREEVHYASEFVGTINFAAYTGLAAFWNYVKDTRT -GQVTEDDKRLAARFRIMRSSKLMSLAFSLGGQMRAAADAMAVELQLSRSTLARMQGHILTDNAQLDAELE -GTDIVSAQLTMKSYRNQCLDRYDALVTGMGIYSMMTTYSKYVECDVRFNGEVWNLRRNRSTAFVAYRCLH -PMMFEYFCPGANVGGGMLQGQTMIERVESGNVKAFPALFKPEDDSINDLEIYAGAQAYAAIAGYHIKYAM -HTLISRKGDGTGSSFFTLFEDYLKAQMRLSDCGPGSTMLSVSPLLYYAGTFRVDLFEPEGSFQPALVDMA -QLTFVSHRKGWMANTCGDSNRPNMFPHGTARLANSDVGVNKRFAGTWGQHGLNKPTWTLTGVRRRNADDG -FDQVEARMFELRDIAGNMRPEYSVLTNAGFALVRADHTWATNVQSNRGLLFVQSYGETPHDQVDRSAAGF -KYARERITFRLAAMSDKYEFTMHPLAKAEINTGETLEPMADVWVGDGTTNVMVTSQRISGFVGALGGTLR -SGPMIPKGVGARRMVEKPMQKPIPGTVEQVSVESAPSTAVENADDANPPPRVRDPLAESKN - ->YP_009227123.1 putative coat protein [Rosellinia necatrix megabirnavirus 2-W8] -MVLNSYNTATVPKVVVNRHAFYQNTKAKDYSFRFAREDDAVNTLVAQLETGVVQHQVGDMNYNAGGLGSF -STILSGKKKVTHQQIGAFIDQTGHGAEGSIHSTSTVKQYGTGKEVAGAITDVRNMLGGVYDRKHPGVHKL -GTLAATYTDDAPDGLTPIFERLVRGAMHTTAYNAHRQPAPVAGVAPADPMGYTPKQYYHDSRRIMPHRQV -ALVPQAANVMPHASLINNSAENILANMDVNVTNELTRTLLTISEVPSFRAAELRDIVAVLDACTKANLGF -DVHADQMNEHSTYTHGVSRVHDFLILDMCNFYSRCFTDEAAANDNGFENLNDPAPNLIPLPANNGLQPGV -ALWFGFAGNAANRTGVCNPRSWMNAIAFLLELEGGREACAIAFNNVVEQTTRFFGPNVTMLASPPVVRAD -PDTFGSAHRVLRQRLGWMYCNIIRTPNSAGDAWLDKQHNQWPTHPKLGRYDDRAAAAGAGAANAATIDQT -LARNLRNELFNNAAAAAPGALENARFDFVWADVAHNGPGQAMLENMSGGVDPLNDQLVRRWGDYVPIFGT -GVAAANPGEQNTAPPLGPLTITEVDNFWWRFFLNVSLESDEVVEDFLRLMPSSLLSELLIWLTDSELRGG -DAFNVRWEVPAALQVVDGRRMTLPIPGNKQHYANPAHMRNFAWVNREEVHYASEFVGNICVPAYTGLAAF -WGYVKDTRTGRVTEDDERLAARFRVMTSDKLMSLAFALGGQMRCAADAMAMELSLCRSTIARMQGHILTD -NAALDAELVDTDVINAQQSMKGYRNTCLAEYDSLAVGMGIYTMMGTYSRYVECDVRFNGETWNLRRNRQT -AFAAYRCLHPLMFEYFCPGANMGGGMLAGQTQVERVESGCVKTFPALFKPEDDSIIDLEIYAAAQQYAII -AGYHIDYTMRSLVKRTGDGTGAQFYELFNPYLRHQIRTSDCGPGSPLLAVSPLSFYVSTFNVDLFEQEGS -FKVAKLDLAQQTFIHRTMGWFATTCGDAGRPNAFPHGTQRLANSDIGVNRRFGAAWGAHGTMKPTWGMAT -QRRRNRDDRFDLVATRVFEIQDATGQVRREYSVYTNQGFALVHAPHGWDTWVQSNRGLIFTQSYGAAQHQ -QVDRSASGFKFCRNRLTFRMAAMSDDYEFTMHPLAKAELMPVEKMEMTAVVTVGEGETQSRVSTSRMGSA -LSDMGGKRGDGGLRPRGPRTNVTPVEKPIPGTVEHLEVPLTAGTPASQAATLVPEQGDRVSDPLVPKN - ->YP_003288762.1 hypothetical protein [Rosellinia necatrix megabirnavirus 1/W779] -MSGDNGVYSGSAAYNTATAPKVPVSRATFFQNTKSKDFDFKFADGADAIANVLQQMEHGVAQHQLGDMNV -RTDGLATVSAVLNGRKRKIANQYMMHFDLFGRAARSTVRMESRIQSFGEGKDVDNFMAKFHNQLSGVYER -RSEGVANFGRILATDTDLGGTSGLSVVFNGLLRGLHHVSTVPTPNVANLPIRNNRDGAGAVVGRGDMPGR -EFMDSSRILPPRSSRWYGAPGQPIVPPAPNNPPAHVAPMETVMAGLQKTVMNELNRVIVSIADVPKLPAH -RIRNLIAVLAAVSKPNLGFDANRLEDHSCFTKGWLGFNDILLFPLTVDLFDRVVANEAGVNDAGFIVPNA -APPQFLQNTNQQVIDFRGVGVGQAGDIPALRLAQSWSDAIGFLLDTIGGEAQLAMGLNDMVAQCFHMHGA -QTTMLSTPIISRADFGVYHNVVTNMYRRLAYMYTRLIRTNAAAGGGAMLDRQHYQWPTHAKVGFHDDTAV -NAAAAAARIHDGLRQPLLDEAFGAGVVQPGNMDLVGAGIDFTRDLTSSLGKAYPEHRPIGADDNKRDLGD -FTAGTVDAAASGYEWDNYVYRLFGNMSAMRSKAEFDRLLATFPSSTLSELFIWMGNVGFADTWEERWGYD -AAPLCSIPIPAGHDRSMLRNWSWVNVHNVHSVTGTSENVVLAGYVGLSRTHDYIMDTRSTPATSQGRRLA -AMFYYTNADKMLSLTFGLAGQLRAAADTTVAKFQICPHTIARAQGYIMTDNDPLSDELKGTDFVTEQFSL -AGLTNLYLGYFDGLATRLGIYDLRYTYSEYAECRVELHGIQRNFLTDRLDAFVSYKCLHPIMFEYYMCGA -NISGGILNGDKAYEQVEMGNIRAYDAMFDTSAARDFNFVGVRGASQQIAAVGGFHIQYKMEVEIQRPGDG -TEASRFNVYERYLNNYLRMSDCAPTSVLNAVSPLFWMAGTTRVVLCEAANGYKPMAYDISQTSFWNRENG -LWAFTWGESEKTHRPNAIPHGTRRLGNSEVLMNSRFSKILDKKGITKLETRVGGRKRGDNNDDFVAADTR -MFIIQDVAGGEHAAYSSLRDPGFALVRAAHTWDTFVQNPRMLLLERGYGNTGFTDTYSAAGIRRTNGHIS -LRLSALTDDFEFTMHPLARAEYKETSRVSLTSMIYVGTAGKDLSLPTGTVEDIIGAVDGMRRVVRTIGGQ -TIKTAPVVPPTEQRDMVQEERVGTPVKNAGNANPAADSDNATEGVVEPKN - diff --git a/seq/clusters_seq/cluster_1225 b/seq/clusters_seq/cluster_1225 deleted file mode 100644 index 29062cb..0000000 --- a/seq/clusters_seq/cluster_1225 +++ /dev/null @@ -1,12 +0,0 @@ ->NP_077731.1 protein B2 [Nodamura virus] -MTNMSCAYELIKSLPAKLEQLAQETQATIQTLMIADPNVNKDLRAFCEFLTVQHQRAYRATNSLLIKPRV -AAALRGEELDLGEADVAARVRQLKQQLAELEMEIKPGHQQVAQVSGRRKAAAAAPVAQLGRVGVVNE - ->YP_004207811.1 B2-like protein [Penaeus vannamei nodavirus] -MQWTNVNIKMNANQSTYELVKQLPQDLGQVIQVVVRAINSLPACQDPRVIKDLDNYKGCLAKLETTCFRA -TDSLLSKPRVAAALKGEIVTPGMEDALGTAKQQILELSRLVEAMERPELPAIPPVADLISW - ->NP_919037.1 B2 protein [Macrobrachium rosenbergii nodavirus] -MQWTNVNIKMSATQSTYELVQQFPRCLSQVCQAVKTAIDSLPTCQDPKVAKDLSSYKACLSKMEATAFNA -TDNLLSKPRVVATLKGEAVNPGTEDVLSAAKQQIQQLTRLVEAMERPELPLLSEADLSDLITW - diff --git a/seq/clusters_seq/cluster_1226 b/seq/clusters_seq/cluster_1226 deleted file mode 100644 index b4d5ee1..0000000 --- a/seq/clusters_seq/cluster_1226 +++ /dev/null @@ -1,14 +0,0 @@ ->YP_009259326.1 nonstructural protein NS2B [Aedes flavivirus] -TSGRSAWFWTISCASAGAIWAAERADHPSAAAVLALVTIIAFLYMDQANVTMELEFLSTGDVPDGIALEE -DEGGNFRDLRGTYSDEGITIGQDMGSAQIPETMVIILIGCALTSASLFVGALYTILAISTNIPRNLFRLC -RLKINEHCR - ->NP_937776.1 NS2B protein [Kamiti River virus] -QQPTIAATLAFTMIVLFLFMDQSSVSMNLEFLSKGEIPEGVRIEDDEGRDLADLRGRYGDEGIVVGTQQT -SMHLPEMVIVVLVGCALTSVSFFLGALYVVVASSTNLPLHVVRYIRIRLSEQNR - ->YP_009259296.1 NS2B [Cell fusing agent virus] -DSGANLWFWTVSLASAGGIWAAEKAHQPTVAAVLAFTMIVLFLYMEQTNVSMELEFISAGETPEGVSTEN -DDGMNIPDLKGRYGEDGIVVGAASSSGHLPELVFVFLLGFAVTSTSYFLGALYLLIATSTNLPVTIIRML -RMKLTANNR - diff --git a/seq/clusters_seq/cluster_1227 b/seq/clusters_seq/cluster_1227 deleted file mode 100644 index 4ef4932..0000000 --- a/seq/clusters_seq/cluster_1227 +++ /dev/null @@ -1,17 +0,0 @@ ->YP_009259325.1 nonstructural protein NS2A [Aedes flavivirus] -TADFHRRNPRSGGGFDRSVLNLLCLAIALQLIGARTRATTWSRLILTTVAMLTFGLPNIFSSVGLSAWVL -LVASSAYQPIDVVMNLWIILQTGSSAVILLGFMVRRKLSIVLGHHHLMASICLQFLFWVVERQQRAFSVF -LEAVAAIVLIGAYRGMTQDLPPEILTFCLIMGWKTALALGTVALLTQGTSAFYKWTQKSLESKSSYR - ->NP_937775.1 NS2A protein [Kamiti River virus] -CSDFRSSNSRTGVGFDRSILNLLCLAVSLQLIGAKTRVSTLTRLVLTILAMLIFGMPNIFSSVGLSAWVL -LAASSSLRPRDVLMNLWIILQTGSSAVLLLGFMIRKRMSIVLGTQHMVALVCVHFLFSVVDRHQKTLYGL -LELSAAAILIGAYNGISQTLPPEVLVFCLVMGWKTSLAILTVVSLMFSLHACYRFVVKFHSTKNGYRDYG -ASSWFWIVSFASAGAIWAAERA - ->YP_009259295.1 NS2A [Cell fusing agent virus] -PEPNSKIFEEPIGEEPEPTVDDILKRYGKANAQSDFRRVSPRAGVGFDRSLLNLLCLAISLQLIGAKTRT -STLTRLFLTILAMALFGLPNLFSSVGLSAWVLLVASSSSQPQDLLMNLWIILQTGSSAVLLLGYMIRKKL -SIVLGVHHLVTLMCIQFLFSAVDRYQKYLYGLLELMASVVLLSAYKSVLQALPPEVLCFSLIMGWKTALS -LATVVSLIFSLNAMYKYACQYHNPRNGYR - diff --git a/seq/clusters_seq/cluster_1228 b/seq/clusters_seq/cluster_1228 deleted file mode 100644 index f53c5e4..0000000 --- a/seq/clusters_seq/cluster_1228 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009259656.1 membrane glycoprotein M [Chaoyang virus] -SVALAPHGTGNLHTGEAPMWKSHTDASKYLQRVERWALRNPGYLGILVAIGWLLGRTTAQRVIYITLLVL -IGPAYS - ->YP_009268587.1 membrane glycoprotein M [Lammi virus] -SVALAPHGTGDLHTGTAPMWKAHSSAHHYLQRVERWALRKPGYLAALVAIGWLLGKTKAQKIIYITLLVL -IGPAYS - ->YP_009268571.1 membrane glycoprotein M [Ilomantsi virus] -SIALAPHGTGGLHHGDAVTYKTNNAKRFLMRLENWALRNPGYVAVILILSWMMGNTNKQRAVYVLLMLMI -APVYG - diff --git a/seq/clusters_seq/cluster_1229 b/seq/clusters_seq/cluster_1229 deleted file mode 100644 index 67ec943..0000000 --- a/seq/clusters_seq/cluster_1229 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009259330.1 nonstructural protein NS4B [Aedes flavivirus] -WEMRLFPNIREDIKNIMATSNRMENQAPPTPTAWFTPTPWNGGANQLDTLQVFFCATIFLNKFAFWIQEN -WTSQMYVMKHPEMVGTVGGFRLDHIPFRAVIPSCFVITMTVGLPSVVIGAGAAALFMFITYHQNKWNATP -KIISALDARDQKHDRPTDIADRVSVDNTRAVMYSYCMVLSLLWVFCTRSPPDIPRTILVVCACLWQLLNP -RSHIHDVMDFGSMLSAIGLIDMNFLFYKFLHIAMRALGAMAPFSKFR - ->NP_937779.1 NS4B protein [Kamiti River virus] -WEMRLFPNIRGDIMEMASAMKEPQETQSQASTISGSFFTSRVRGERATMLDSLQVFFFVTVLMNEFIIWV -QENWIAQMYVMKHPEMVSTVGGFRLDRIPFRAVLPSGFAIVTTSSLSSSLVGLAASSLFLTIAYYQNKWN -ATPKIISAMDARDQKHDRPTDITNRVPLDNTRSVMYAFGMFFSMAWVFCTRSRWDAGLCTLVVIACMWQI -LHPRSRVHDVMDFGSMLSAIGIFELDFLFYKFIHIAARGLGGMPPFNQFRA - ->YP_009259300.1 NS4B [Cell fusing agent virus] -WEMRMFPNIRGDLMELVKAVKEPEEVVNSGPFFPSWEIARGKGATMLDSLQVFFFITVLSTKFLYWFQEN -WTARMYAMKHPEMVSSIGGFRFDEIPFRAVLPSGFAIVAIASLSSVVVGLLAAGVFMAIMYCQNKWNATP -KILTALDARDQRHDRPTEITSRVPLENTRSIMYAFCLIFSLFWAFCTRSPGDFLRGSLVVGASMWQILHP -RSKIHDVMDFGSMVSAIGLLEMNYLFYRFMHIAARALGAVAPFNQFR - diff --git a/seq/clusters_seq/cluster_123 b/seq/clusters_seq/cluster_123 deleted file mode 100644 index 969ef5d..0000000 --- a/seq/clusters_seq/cluster_123 +++ /dev/null @@ -1,374 +0,0 @@ ->YP_009551520.1 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 4] -MEYINRVLSYIFKPKFEEYNFIEVGRYSRPPHVPTQDTLSIEKHQNVMMHSMRKYLYPWEIEQIESYRRT -TTSESDIERDFFTGNVEHFDPPLDENFELGLADMLDAFRPPQKCRPCHINDIEHHYPFKWQVNAEAPFST -DDYFLDNRPKISELADSKYAFLDQRYVDRLLSKQTSEMNNPVPAKFGPMRHTVFNWTRRWHHVIKDGFER -HAHLKDNDPYFQNKYIFPMLLHAKTAIVKKDDPNKMRTIWGCSKPWIIADAMLYWEYIAWIKLNPGLTPM -LWGYETMTGGWMRLNAALFSSYLNKCYLTLDWSRFDKRAYFSIIRRIMFGILTYLDFDNGYVPNVNYPDT -KSDWSHLKSQRILRLWLWTLDNLFNAPIVLPDGRMYKRLFAGIPSGLFITQLLDSWYNYTMIATILRAMG -FNPRQCIIKVQGDDSIVRLYVLIPPAKHEDFLLRMQELADHYFKSVISVDKSEIGSSLNGREVLSYRNKN -GFPFRDEIKMIAQFYHTKARKSTPEIAMAQAIGFAYASCGNHDRVLSVLEDMYDHYLSQGYSPNPAGLTM -VFGNSPDTERPFIDMDHFPSISEIKRFLVCTDYRNDVQSRRTWPPDYFLHPPACKPE - ->YP_009665969.1 RNA-dependent RNA polymerase [Helicobasidium mompa dsRNA mycovirus] -MFVHTLISALTEPVQSTLSTTKAYVNRHIYEHNFQYQWTSVVSHTPARDEFQYQGYQDKVKEHLKRNLFG -PDYDYIVNKFHHPVATNEDITNTFKKGDLPDHPVPRDEFYLAAVTETTRRFAPPQLIRPVHFADLRRYQW -NWHPNVEEPYASNKELRSQVADAASAGLLDDARMSFGNLKNVVFHDVRTFLHRIKRNMVTSPSTLWPLIN -IHVKPALTHIDETKIRVVFGVSKRHVLPSAMFFWPLFFFYLKNRETSPLLWGNETILGGGLNLYMECIIP -RLYFSTFVMVDWSSFDLRSLFSIIRQDIFPNWRTYFDFENGYIPTNKYRESKADPAHLEALWNWVCEACF -QMPHRLPDGNVYKRLFRGIPSGLFTTQFLDSFYNMIMILTILGRMGFGISTVRIRVQGDDSLIRLIFHVP -ANMHAEFKRTFEVYAAYYFDSVARPEKTHITNNPNEINALGYDYPNGYPHRDWRKLLAQLLHPRSTAPRF -SLLKARTCGIQYASMYTSHEVTNVCKDIYNDLDRQGIVAQDLPVQRDVILHSLSDFTIPTDHFPTMNEVT -RYLRSPYQRTEADNEAYFPTKPSAALDPRAQFYFLSDH - ->YP_009345139.1 RNA-dependent RNA polymerase [Spinach cryptic virus 1] -MDYLTSAFNRITHWFTKPTNLEYIGDYSTPPSIIRVNEVAIANHKKTLRYAFEQYLYDHEYRMIVDEYRR -SDIDQESILADFFSGDIEPFDIPFDEHVEHGLRCMANAFRPPRPCRPAHILDVKHGYPYKWNVNAEPPFS -TDPYFLSQRKTFGEFIQMHEYEHIDKADFFRRHPNTESHDFLTTVVPPKFGYLKSMVFSWTRRWHHVIKT -GFQDLTGLENSGYLYNRFIFPMLLHTKTAIVKKNDPNKMRTIWGAPKPWIIAETMLYWEYIAWIKLNSGK -TPMLWGFETFTGGWFRLNNILFCGLIKQSFLTLDWSRFDKRAYFSLLRRILYTARTFLTFDEGYVPTHAA -PTHPKWNHQKALNLERLWLWTLENLFEAPIILPDGRMYRRHFAGIPSGLFITQLLDSWYNYTMLATLLSA -LGFDPKYCIIKVQGDDSIIKLTTLIPRDQHENFMVQLTALATTYFNATINVKKSEIRNTLNGCEVLSYKN -HNGFPHRDEITMLAQFYHTKARDPTPEITMAQAIGFAYASCGNNKRVLWILRDIYNFYKMRDVTPNRAGL -TLTFGDSPDVFMPEIPLDHFPTITEIRRYLTCSEYRNEAQDARTWPRSLFISGPAE - ->YP_009508058.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 3] -MKIFSTLYSSFASFAKWTGLTDPHGFEHNFYFTGYADKKIKVSINPRFQEVYDDYQSYVGKFIDKHLPGH -MAQKIKFGYHHPVASLPFMITNLKKGDLPDHPVPHDQHYTAARKAAADAFRPPRLVRPVHFADLRYYKWN -WHPNVEEPYYSDPKLQQYVEHCYALGLIDDARLSFGNLKDFVFMDTRHYLHLIKNGSITDNNQLWPIMKI -HVKPALTEPTETKIRVIYGVSKRHILAQAMFFWPLFRYYIEEHTSPLLWGNETFTGGMLKIHNLISVPRL -YSQTYLTVDWSGFDLRSLFTIQREIFDDWRTYFDFTAYIPTRTYPDSKTDPIRMERLWNWQRDACFKMPF -VLPDRTTYARLFRSIPSGLFVTQFLDSHYNLIMIYTILSAMGFDITNLMILVQGDDSLIHLKFFLPADQH -DAFKAEFERLAKYYFDHIARPEKTHVTNSPNEVEVLGYTNNNGYPSRDMTKLVAQLYHPRNVDKTSWKSL -LMAKVCGFAYASCYQDSQVIDLLRSIYNNLASKGFKPKSGRVMRDIILFGESEFEVPTDHFPTLNDVTKY -FRRPYVRTQRDADSYFPSWHFNDVF - ->YP_009508056.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 15] -MFSLLTWLSTKFNALFDSVYSTRFEHNFRFLYKAAPLTTPHRDETKYAEYQAYVKSTLDHVLLGPDAHHI -VNGYHHPIATLDAVINTFKKGDLPDHKIPKDLHYYSALLETTKRFAPPQKLRPVHFADLRFYKWNWHPNV -EEPFRSEAELQQAVEAAYHAGLLPDGRMSFGNLKNVVFIRVREWLHQIKRAQITNPNTLYPLINIHVKPA -LTTPDETKIRVVYGVSKLHVLPEAMFFWPLFRHYLDNRKESPLLWGFETILGGMAVLHNMMTIPRLYFQT -FVMVDWSGFDLRSLFEPIRTDVFPAWRTYFDFNNGYIPTRFYRTSKADPEHLKRLWEWTREACLRMPHRM -MDGSVYERLFRCIPSGLFTTQFLDSFYNMLMILTILSAMGIDISTVVIRVQGDDSLIMLQFFLPANQHEE -FKARFQALATYYFDHIARPEKTDISNSPEGVNVLGYTNENGYPIRDWRKLLAQLYHPRSQRPTLELLKAR -VCGIQYASMYRYPQVTEVCQTLWNRLEADGIKALNLAAQRDVVLHSHADFFIPTDHFPTLNEVTKWLRVP -YQRTAEDREEYFPLSHFLSYF - ->YP_009508053.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 13] -MLPIISQVTDYVYRKFIKPAPTQFKNNYLFQNWLSPLTTPHRDATKYAEYQAYLEKHIRSNLLGSDAEYV -IKRFHHPIATIDSVNETLQRGDLPDHPVPKDEHYYRALTETTKRFAPPQLIRPIHFADLRYYEWNWHPNV -EEPYVSNSQLKTAVQDAYHAGLLEDGRMSFGNLKNHVFMDVRHFLHRIKRGQISDPHTLWPLINMHVKPA -LTETDTTKIRLVFGVSKRHVLPRAMFFWPLFRYYLDNRDKSPMLWGFETILGGMMLLNSEMLLSRLYYQT -FVTVDWSGFDLRSLFSIIREDIFPAWRTYFDFNNGYMPTKFYKSSTADPDQLERLWNWTNEAVFKMPFRT -MDGATFLRLFRGIPSGLFETQFLDSFYNMLMILTILDAMGFDISTIYIRVQGDDSLLLLTFFLPADQHAE -FKAQFEALAAYYFDHVARQDKTDISNTSQNVAVLGYSNDNGYPSRDWRKLLAQLFHPRSQRPTLSLLKAR -CCGIQYASMYKYPQVTNVAKATFNQLDSEGVQPVKLAAQRDVILHSHKDFYVPTDHFPTLNEVTRYLRIP -YTRTEADSETYYPMSHFLSQF - ->YP_009508051.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 12] -MQTLLSAVYSIRDAIFGKTLSEPHGLNVNFHFDGYVTDEIKTSIPPRVEMWYSDYQKFLNPIIRANFTGA -EADKIINGYHHPVATIPFMVENLKKGDLSDHPVPHDEHYLRARKMAADAFRPPRPIRPVHFADLRFYNWN -WHPNVEEPYYSNKRAQEYVQAAHTLGLTPDARMSFGNLRDYVFMDTRHYLHQIKRNEISNPKTLWPLMKI -HVKPALTGTDEQKIRVIYGVSKRHVLPQCMFFWPLFRHYIENDTDPLLWGFETILGGMMKLNSLMHRLYF -QTFVTVDWSGFDLRSLFSIQREIFDDWRTYFDFSNGYIPTVWYPNSTADPIQLERLWNWQRDACFNMPFV -MPDKSVYRRLFRAIPSGLFVTQFLDSHYNYIMLLTILSAMGFDITIERIRILVQGDDSLKNLIFFIPANQ -HDNFKAEFQRLATYYFDHVARPEKTEIYNSPQGVTVLGYTNNNGFPTRDPIKLMAQLYHPRQVGERWKSV -LMAKCAGFAYASAYNYPKVTATLKTVYYKLAAKGFSPAALRTQRDIVLFGEAKFQVPTDHFPTAEEVQRH -LRVPYKRTEEDSESYFPMKHFLDFA - ->YP_009508049.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 1] -MDYLTGLFSRVLHISRKVTNFEFAGTYHYQPSIPQVNEVATENHKRTLRHSFRTYLTSDEYDKIVNGYKR -TNLDPSTITEDFFSGDIEDHPEPTDFKSQLSIEYGLQCMIDAFKPPAPARVCHLYDVQWHYPFKWQVNAE -APFSTEKYFLDLRKKFGDFFDPVTKLWTKYVNPLDALRRYGHTPPADTLNQVTPPKFGFMKNLIFSFVHS -WQHVIKSRFTSNAGITHSNFLRQRFLFPMLLHIKTAIVSFDAPNKLRSIWGVSKLWIISEAMIYWEYIAW -IKLNPGSTPMLWGYETFTGGWFRLWRDLHTPGEDVTYITIDWSRFDKRAYFWLIRKIFIRTRCFLDFTNG -YVSTKDYPTSPTDPDKLQALWEWTIEAFFDSPIVLPDGSMFKRLFAGIPSGLFITQLMDSWYNYTMLAAI -LHYMGYDPRRCIIKVQGDDSIIRLYIQIPLHEHDLFLLRMQEVSDHLFGAKISFEKSELRNSLIGSEVLS -YRNIQGLPYRDLIKMLAQFYHTKAKDPTPEITMAQAIGFAYAACGNDFRIHELLRSVYDYYKAQGFTPNP -AGLTVVFGDSPDRPDYPISLDEFPSQMDVQRFFLSTDYRNADQENRTWPSSHFLYAPCSRI - ->YP_009508236.1 RNA-dependent RNA polymerase [Chondrostereum purpureum cryptic virus 1] -MRFTEYILSGFKVLDSSMDRSRNYQFIGFDKPESQPPADATMHHAVQAPVKHAMRKILLHNEFVHITTKF -KRSTIDDSSITEAFFKGDLPYHKVPKDGSYQRALAATMQVFAPQVPIKPVHYCDLRYYPWKLRPSAELPF -TDDPALFKRVQHAAERKQIPDKRMSFGNLYNHIFEYLRPIIHYIKNGPFHLPFKTSWPQDDYLTPMRSHA -RSAIQPISDPNKVRFVYGYPKVGIFPQAMFFWPLFVYYHITGQSPLLWGYETMTGGWYRLNHELRSTPGI -SGSILELDWQGFDIHALFEVFDDIKNLSWSFFDCSHGYQPTKDYQGTSCDPDRLKNLWEWIWFSIKYTPI -RLPDGRLFRRSWRGVPSGLFITQWLDSVYNCIMIFTILDAMGFEITSDLIIKVLGDDSLTRLRLLIPYDQ -HEAFTIRFKHLAQYYFDAVLSDKKSRIHNTLNGVKCLGYRNNNGLPVRDRESLLTALLYPKARRPTFEQL -KARCIGIAYASAGNDPTTLEVCKDVYDYLDAKGIKADPAGLADLFDPNLGLDIDITFFPNILTIQRSLLI -LRDSREQAHRMWPTSHFLSPIPGLVDI - ->YP_009508048.1 RNA-dependent RNA polymerase [Flammulina velutipes browning virus] -MSDTLIDSFSRLTLSVKNFVFLGFTETQNYPQKSDSAILSHRKVVLNAFEKYLNPIEYNHVANEYKRSET -DLDSTKAAFFKGDIPDHEVPRDEHYNRAFSVIVSKFRPPEPIRPVHYADLRLYPWPLKPSAEAPFSNDKS -LLALLALRNRQGFLPNAKPNFHNLFNWVFGFNRQCVHLIKKGKDNLGPNEYWPAHGFLYPINIHTKSAII -GIHDPNKVRTIFGVPKLTVMVEAMFFWPLFRYYRFEQQSPLLWGYETMLGGWYKLNHELHLNPFYQGSIL -SLDWSFFDGRALFSVINDLYSDKGVKSYFEFNNGYIPTVDYPDSSTHPQKLHNLWDWMLTALKFAPCALA -DGTIWQRTVRGIASGQFTTQFMDSIYNGLMILTILSRMGFVIDETLPIKLLGDDSVTRLAVSIPASMHES -FLIEFQRLADYYFSHTINVKKSKISNTPHNVSVLSYANNNGLPVRSRTSLLCALLYPKSRRPTWEHLKAR -AIGVYYASCGIDRTVRLICKDIFDYLDSQGIQASSAGLQDLFDPNFKSGTIPLDVFPSVEQVTTNLRSFH -HLDNSDKERYFPTSHFLDTK - ->YP_009508046.1 RNA-dependent RNA polymerase [Carrot cryptic virus] -MDYLTTAFNRITHWFTTPTDFEYIGNFSLPPGLLRVNDTAISNHKKTLEHSFSKYLCADEIHLITKEYRR -SDIDLDSILDDFFSGDVEKFEIPFDEHVETGLRCMADAFRPPRLCRPAHILDVKHHYPYKWNVNAEPPFS -TDEYFLTQRKTFGEFIRMHEYEHIDKADFFRRHPNHESHDLLQTIVPPKFGYLKSTIFSWTRRWHHVIKD -GFKDSSGLQTNGYLYNRFIFPMLLHTKTAIVKHNDPNKMRTIWGASKPWIIAETMLYWEYIAWIKLNPGT -TPMLWGYETFTGGWFRLNHQLYCGMIKHSFLTLDWSRFDKRAYFPLLRRILYPVRSFLTFDEGYVPTHAA -PYHPQWNHEKALRLERLWLWTLENLFEAPIILPDGRMYRRHFAGIPSGLFITQLLDSWYNYTMLATILSA -LGFDPKQCIIKVQGDDSIIRLTVLIPQETHERFMAHLTHLATYYFNAIVNVKKSEVANTLNGREVLSYRN -HNGFPHRDEINMLAQFYHTKARDTTPEITMAQAIGFAYASCGNHNRVLWVLNDIYNYYKDQGYSPNRAGL -TLTFGDSPDLFVPEIPLDHFPTKKEIRRYLTASSYINEAQNARTWPRDLFINRPAE - ->YP_009329886.2 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 3] -MFYTTISTIKVFLKNLLFSDPHKFVNNFQFIGYASDRIRVSIPYRDEFQYERYQRTVRHALRRNLIGYDA -EYIIKEFHHPVANLDFMVDALRKGDLPDHVIPKDEHYSKAFAQAAEMFRPPQLVRPVHFADLRMYKWNWH -PNVEEPFYSDADLIRAVSMAAEAGLLPDARMSFGNLRNVVFIKARLFLHQIKRKQITNPATLWPMMKIHV -KPALTKVDETKVRIIYGVSKLHVMAQAMFLWPLFNYYINSDDDPLLWGFETILGGMQKLHNIMSIPRLYF -QTFVTVDWSGFDLRSVFSLQREVFDVWRTYFDFNNGYIPTKFYRTSVADPDHLEALWEWQREACFKMPFV -MPDRTMYNRLFRCIPSGLFSTQFLDSHVNLVMILTILDAMHFDISKIKIYVQGDDSIVMLIFHIPADQHI -KFKSDFEVLAKYYFDHVARPEKTDVYETPQGVEVLGYRNYNGYPERDWRKLLAQLLHPRGALSLETLAAR -CCGIAYASMYRNPEVINVCKDIYNYLTTKRNVVPGELRAQRDIILFGEHEFSIPTDHFPERDEVTRHLRI -PYVRTDSDKNDYWPSGHFLSLY - ->YP_009011230.1 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 2] -MNLYNRVSALFANWFSSPSNLEFVGSYHHQPGTVPPNPSTQEAHKRFLHNVFKQHLFTYELDYIENEHRR -SEATPEAIENDFFANDVEPHDIPFDVHVEIGLQCMTDAFRPPVPCLPAHLNDVEHHYPFKWQVNAEPPFS -TDSYFLDNRKLFSDYYDTESQTWRGYVDPFEANRRYQHTKDKEGFLNQTVPAKFGFMKDTIFSWTRRWHH -IIKEGFQTATNLSSTAYLRDRFIFPMLLHTKTAIVKKDDPDKMRTIWGCSKPWIIADTMFYWEYIAWIKK -HPGVTPMLWGYETMTGGWMRLNSQLFSSYLKKSYVTLDWSRFDKRAYFKLILAIMCRVRTFLDFDNGYLP -NVNYPDTRTDWSPNKAQRLERLWLWTLECLIKSPIVLPDGRMYIRHYAGIPSGLFITQLLDSWYNYTMIC -TILSSIGLNPKHCIIKVQGDDSIVRLGVLIPPEAHEAFLLALQSKADFYFKAIISVDKSELGNSLNNREV -LSYRNYNGLPRRDEIKMLAQFYHTKARNPTPEIAMAQAVGFAYASCGTHQRVLDALEHVYTDYKDAGYTP -NRAGLSLVFGNSPDIQLPHYDIDHFPSIEEIKRFLTCNSYDNSVQMAKSWPTSYFISEPCERM - ->YP_008719882.1 RNA-dependent RNA polymerase [Red clover cryptic virus 1] -MDYFISAFNRITHWFTTPTNFEYVGNYSLPPGLLRVNDVAIANHKKTLEHSFNKYLYSHEIKLITKEYRR -SPIDEDSILEDFFSGDFEYFEIPFDYHVEYGIQCMADAFRPPRLCRPVHILDVKHGYPYKWNVNAEPPFS -TDEYFLSQRKTFGEFIRMHEYEHIDKDDFFRRHPNSESHDLLRTIVPPKFGYLKSMIFSWTRRWHHIIKS -GFTESTGLETNGYFYNRFIFPMLLHTKTAIVKKNDPNKMRTIWGASKPWIIAETMLYWEYIAWVKLNPGV -TPMLWGYETFTGGWFRLNNELFCGLIKKSFLTLDWSRFDKRAYFPLLRKILHTTRTFLTFDEGYVPTFAA -PTHPQWNQEKAHRLDRLWIWTLENLFEAPIILPDGRMYKRHFAGIPSGLFITQLLDSWYNYTMLATILSA -LHFDPKHCIIKVQGDDSILRLTTLIPVDQHANFMSHVVRLASHYFNSIVNVKKSEVANTLNGREVLSYRN -HNGLPHRDEITMLAQFYHTKARDPTPEITMAQAIGFAYASCANHNRVLWVLHDIYNYYHDLGYRPNRAGL -TLTFGDSPDLFVPEISLDHFPTKSEIRRYLTALHYQNEAQNARTWPRTLFINAPGE - ->YP_008719880.1 RNA-dependent RNA polymerase [Dill cryptic virus 1] -MDYLTTAFNRITNWFTKPANFEFVGLYSLPPGLLRVNDTAISNHKKTLEHSFSKYLYEDEIHLITKEFRR -SDIDLDSILDDFFSGDVEPFEIPFDEHVETGLRCMADAFRPPRLCRPVHILDVKHHYPYKWNVNAEPPFS -TDEYFLSQRKTFGEFISMHEYEHIDKADFFRRHPNRESHDLLKTTVPPKFGYLKSVIFSWTRRWHHVIKD -GFKDDTGLKTTGYFYNRFIFPMLLHTKTAIVKHNDPNKMRTIWGASKPWIIAETMLYWEYIAWIKLHPSV -TPMLWGYETFTGGWFRLNNQLFCGMIKHSFLTLDWSRFDKRAYFPLLRRILYQVRTFLTFDEGYVPTHAA -PYHPQWDHEKALRLERLWLWTLENLFEAPIILPDGRMYRRHFAGIPSGLFITQLLDSWYNYTMLATILSS -LGFNPKQCIIKVQGDDSIIRLTVLIPHENHLKFMERLTELATYYFNAIVNVKKSEVANTLNGREVLSYRN -HNGFPHRDEINMLAQFYHTKARDPTPEITMAQAIGFAYASCGNHKRVLWVLNDIYNYYKDKGYTPNRAGL -SLTFGDSPDLFVPEIPLDHFPTTKEIRRYLTCSSYVNEAQNARTWPRTLFLKDPAE - ->YP_002308574.1 putative RNA-dependent RNA polymerase [Beet cryptic virus 1] -MDYLTSAFNRITHWFNVPSNLEYIGTFSLPPGILRVNEVAISNHKKTLEHSFNKYLYAHEIKLITQDYRR -SDIDEESILADFFSGDVEKFEVPFDEHVETGLRCMADAFRPPRLCRPAHILDVKHGYPYKWNVNAEPPFS -TDEYFLNQRKTFGEFIRMHEYEHIDKADFFRRHPNTESHDLIRTIVPPKFGYLKSMIFSWTRRWHHIIKE -GFTESTGLHTTGYFYNRFIFPMLLHTKTAIVKQDDPNKMRTIWGASKPWIIAETMLYWEYIAWIKLNPSV -TPMLWGYETFTGGWFRLNRDLFCGFLQRSFLTLDWSRFDKRAYFPLLRRILYTARTFLTFDEGYVPTHSA -PTHPQWDHTKAIRLERLWLWTLENLFEAPIILPDGRMYRRHFAGIPSGLFITQLLDSWYNYTMLATLLSA -LGFDPKHCIIKVQGDDSIIRLNVLVPQDQHQNLMDNLVQLAVNYFNAVVNVKKSEFGNSLNGREVLSYRN -HNGFPHRDEIMMLAQFYHTKARDPTPEITMAQAIGFAYASCANNKRVLWALKDVYDYYKDLGYTPNRAGL -TLTFGDSPDLFVPEISLEHFPTETEIRRYLTSTSYLNEAQNARTWPRTLFINAPAQ - ->YP_656506.1 RNA-dependent RNA polymerase [Raphanus sativus cryptic virus 1] -MSNLEYLGLDHHWPAIPRFAKSPNDWYFACQQLVRSSICLYSSLLGSNDTDTVLNGYYRSHADEDTAEQF -FMRYDVEPFDIIKDNYYSQAFDTVTEWFRPSAPIHPVHFTDVRWYPWKISTSAERPFTHDPLLKKKVQLS -KQLGLLDNARMSFHNCYNDIFTYCRHYTHEVKDARPVTLHHIDLHVKPALVRSGEPPKIRTVFGVPKSLI -FAEAMFFWPLFSDYFTNSETPLLWNYETLNGGWYRLNDEFYQQWQSFCTIFNLDWSEFDMRVYFSMLDDC -RDAVKSYFCFCGNYCPTRTYPTCRTNPQRLQNLWNWIGTAYKDTPCTTTTGKVYRRRFAGMPSGIFCTQF -WDSFYNCIMVVTTLEALGFRITDRYFLKVLGDDVIFGILKHIPISKWADFLQDFSTEARRRFNSKLNSKK -CGASSGIHGAQVLSYINWNGYPKRDSNQLLAQLLHPKSLRDTYPRLMARAIGIYYASCGDPKIRPICNHI -YSELKYAGFTPSSTGLHGLFDPNASIGFIELDHFPSENEVTCRLHRKSKRSAELQALYWPRDHFLEEAGS -SRNCPLSFQVETI - ->YP_272124.1 putative RNA dependent RNA polymerase [Vicia cryptic virus] -MDYLISAFNRITHWFITPTNFEYIGYFSLPPGLLRVNDVAIANHKCTLERSFHTYLFDHEIKRIMIDHRR -SDITEDSILEDFFAGDFPYFEVPFDEHVEYGLQCMADAFRPPRPCRPAHILDVKHGYPYKWNVNAEFPFS -TDEYFLTQRKTFGEFIRMHEYEHIDKDDFFRRHPNLESHDFLRTIVPPKFGYLKSTIFSWTRRWHHIIKL -GFTDTTGLDNNGYLYNRFIFPMLLHTKTAIVKKDDPNKMRTIWGASKPWIIADTMFYWEYQAWVKHNPGS -TPMLWGFETFTGGWFRLNQLLFCGLIRRSFITLDWSRFDKRAYFPLLRKIMYTVKSFLTFEEGYVPTHAA -PNHPQWNQDKTDKLERLWLWTLENLFEAPIILPDGRMYRRHFAGIPSGLFITQLLDSWYNYTMLATILHA -LGFNPSNCIIKVQGDDSIIRLNVLVPSERHDHLMSRIVELAEYYFNSIVNVKKSEIRNRLNGCEVLSYRN -HNGLPFRDEIAMLAQFYHTKARDPTPEITMAQAIGFAYASCATHTRVLWVLEDIYNYYRDQGYTPNRAGL -TLTFGDSPDLTMPEMPLDHFPTKSEIVRYLTCTNYRNEAQNARTWPRTLFINAPAE - ->YP_138537.1 RNA-dependent RNA polymerase [Amasya cherry disease-associated mycovirus] -MDHLTSLFELFAITPKTQNNLQFVGIYHRPPHSVRANLRNVEKHKITVAHAMHKYLYPHEIDFVINQMRR -SDVTEDAILADFFDNNVEPLEPVLDEHFERGLSAMLDAFRPPQKCLPAHIYDVQHHYPYKWQVNAEAPFS -TDSYFLANRPTFRAVFERLESLYTHLATDWHRRYGNKTDNDDFMNDHVPAKFGPMKETVFSWTHRWHHVI -KSNFTDTAGLSKDYYFKNRYIFPMLLHTKTAIVKKDDPNKMRTIWGCSKPWIIADTMLWWEYVAYAKLQP -GATPMLWSYETFTGGWLRLNHALFSSYIRHSYITLDWKRFDKKAYFCIIDKIFDGVETFLDFDNGYLPTK -DYPDTKSTWTQERSTRLKRLFDWTKENFYHAPIVLPNGHMYVRKFAGIPSGLFITQLIDSWYNYTMLATI -LSAMGFDPRSCIIKVQGDDSIIRLSALIPPDAHDSFLTKVQELADYYFQSVVSVNKSEVRNELNGCEVLS -YRHRHGLPYRDELAMLAQLYHTKARNPSPEITMAQSIGFAYASFGNHERVRLVLHDIHEYYKLQGYTPNR -AGLSLVFGNSPDLMIPHYTLDHFPSLREIKMFLTNAEYVNEETNSRTWPLNHFLHLPCHRT - ->YP_086754.1 RNA dependent RNA polymerase [White clover cryptic virus 1] -MDYLITAFNRITHWFLTPTNLEYIGSYSLPPGLLRVNDVAVANHKATLDRSFDKYLYEHEINLITKEYRR -SPIDEDSILEDFFSGDLPYFEIPFDEHVERGLECMAAAFRPPRPCRPAHILDVKHGYPYKWNVNAEPPFS -TDEYFLSQRKTFGEFIRMHEYEHIDKEDFFRRHPNIESHDFLRTVVPPKFGFLKSMIFSWTRRWHHIIKS -GFQDSTDLEQTGYFFNRFIFPMLLHTKTAIVKKNDPNKMRTIWGASKPWIIAETMFYWEYLAWIKHNPGA -TPMLWGYETFTGGWFRLNHELFCGLIQRSFLTLDWSRFDKRAYFPLLRRILYTVKTFLTFEEGYVPTHAA -PTHPQWSQENIDRLERLWLWTLENLFEAPIILPDGRMYRRHFAGIPSGLFITQLLDSWYNYTMLATILSA -LHFDPLHCIIKVQGDDSILRLTTLIPVDQHTNFMDHIVRLADTYFNSIVNVKKSEVRNSLNGCEVLSYRN -HNGLPHRDEITMLAQFYHTKARDPTPEITMAQAIGFAYASCANHNRVLWVLEDVYNYYRDLGYRPNRAGL -TLTFGDSPDLTMPEMPLDHFPTKSEIRRYHTETHYQNEAQNARTWPRTLFINAPGE - ->ADV15448.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 1] -MEYLTSLFSRVLSILPSKTNFEYVAQADFRPSLPQVNQVAIDNHKATLLRAFDIYLTSEETDTIVNGYKR -TTIDPDTILDDFFSGDIDPHPEPTDLKSQLAIETGLNCMFDAFKPPQPARPTHLYDVQHKYPYKWQVNAE -APFSTHKYFLDMRQKFEDFYDRASRTWSKYVNPLDALARYGPDPPSDTLSQVTPPKFGFMKGIIFSFVHS -WLHVVKSRFRDNAGYENSNFYRQRFIFPMQLHVKTAVVLTSAANKIRSIWGVSKAWIIAETQIYWEYIAW -AKLNRGATPLLWGYETFTGGWHRLYSELFTATPSTYLTIDWSRFDKRAHFWLLRKIFFKIRFFFDFTAYV -QTHRYPTNVSVNPDKIQALWEWTLENFFDAPIVLTDGSMYKRRFAGIPSGLFITQLVDSWYNYTMLAAIL -AYLGYDPKLCIIKVQGDDSIIRLNVLIPSHEHDIFMQDFQDAATHLFGSIVSIEKSEISNTLQNREVLSY -RNINGLPYRNLTKMLAQYYHTKALNPTPEITMAQAIGFAFAACGNDYRIHCLLKEIYDYYKDQGFTASRA -GLTIVFGDSPDLPYLDMPLDEFPTQQDVQRFFLSFDYKNEVNDEKTWPSDQFLFAPCSRPL ->AJE25830.1 putative RNA-dependent RNA polymerase [Rhizoctonia fumigata partitivirus] -MEYLVHAFKMFSLEPSVPQNLQMIGTYHYKPASPHVHTPHVEAHKKTVLKAMEKYLYPREINTIVNELRR -SDVNLESILDDFFDNNVPLHRIPFDEHVEYGLQCMADAFRPPRPARPCHLNDVEHHYPYKWQVNAEAPFA -TDKYFLDNRHKFRDFYDETTGTWKHADPIDMERRYGNRLDTVLDQITPPKFGYMKNAIFAWTRRWHHIIK -DGFTDLTGLVSSTYVRDRFIFPMLLHTKTAIVKKDDPNKMRTIWGCSKPWIIAETMLYWEYIAWVKLNPG -ITPMLWGYETFTGGWLRLNAALFSSLMKNSFVTLDWKRFDKRAYFELIYRIMLVARTFLDFENGYVPNVN -YSATHTDWSHTKAQRIQRLWEWTLDNLFNAPIVLPNGDMYKRNWAGIPSGLFITQLLDSWYNYVMLATLL -SALGHDPKACIIKVQGDDSVIRLAVLIPPQYHELFLTRLQDLADHYFGAVISIEKSEVRNELNGVEVLSY -KHIHGMPYRDEIAMMAQLYHTKARNPEPSITMAQAVGFAYASCGNHDRVYYALKDIYEYYASQGFAPNPA -GLTLVFGNSPDRFELDVPLDHFPTIHEIKKYLLNFEYRNEHQEHKTWPLDYFLNPPCSTL ->ADV15444.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 5] -MNTLITQFNRITNFFFQTSNLELVGSYHHHPHTNVPLESHHIAHRETLDHAFRKYLYPHEINHITQELRR -SEMTQDAILDDFFANDVEPHEIPFDTHVLKGLDSMERAFAPPVKCQPCHINDVEHHYPYKWQVNAEPPFS -TDQYFLNNRKTFGEYYDEDSGTFTKYVDPNDAQRRYGTRISANFLSALTPAKFGFMKGSIFSWTRRWHHI -IKSGFTDLTGLESTSYLKDRFIFPMLLHTKTAIVKRDDPNKMRTIWGCSKPWIIADTMFYWEYIAYLKLN -PGCTPMLWGYETFTGGWLRLNAALFSSYLQHSFLTLDWKRFDKRAYFKLIHAIMLRVRNFLDFDNGYVPN -TSYPSTSSDWSQSKAQKLQNLWLWTLECLYFSAIVLPDGRMYKRRFAGIPSGLFITQLLDSWYNYTMLAT -LLSALGLDPDACIIKVQGDDSIVRLGIFLPPDAHDAFLHKMQTLADFYFKAVISLEKSELRNELNHCEVL -SYRHVHGLPYRDEIAMLAQFYHSKAKVPTPSITMAQAIGFAYASCGNNIRVLLVLEDVYNYYKSQGYEPN -RAGLTITFGDSPDAVIPHYDLSHFPSQFEIKQFLLSYNYHNKEAAARTWPQTYFLNPPCVRTYV ->AHL25161.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 14] -MFSFVPKFVNHISDWFFGPQPDPHGFVHNYKFLGYAKPNPFQRRNETLYSNYQTVVYKALRENLLGYDCE -YIINGFHHPAATMDSVLDSMRKGDIPDHPIPMDDHFDRARLETFNRFKPPQLIRPVHFADLRKYNWNWHP -NVEEPFYSDQELRTAVQQAHAAGILPDARMSFGNLKNVVFIRVRELLHYIKRNQLTNHRLLYPIMNLHVK -PALTSVDDFKVRNIYGVTKIHVLPSAMFFWPLFRYYLDNRDDSPLLWGFETILGGMQMLNSMYLLSRLYY -STYVTVDWSGFDYRAYFSIINNVIQHDCRKFFDFHNGYIPTKFYHSSEADPEHLENLWNWIWTAINKMPV -RLPDGTLYQRIHAGIASGLFTTQFLDSWYNALMILTVLDAMGFDISTVSLRVQGDDSIIALIFHIPSSEH -IAFKEKFAALALYYFNHIARSEKNKVTNDTQGVEVLGYTNDNGYPRRDWRKLLAQLYHPRDNNPTFQRLK -AKVCGFAYASMYADREVINVLKSIWDYLDSIGIKADLLPLQRDIILHSEENFEVPTDHFPTMEEVTQYLR -VPKRRTAADSEAYFPMSHFMSHF ->AAU88207.1 RNA-dependent RNA polymerase [Radish partitivirus JC-2004] -MSNLEYLGLDHHWPAIPRFAKSPNDWYFACQQLVRSSICLYSSLLGSNDTDTVLNGYYRSHADEDTAEQF -FMRYDVEPFDIIKDNYYSQAFDTVTEWFRPSAPIHPVHFTDVRWYPWEISTSAERPFTHDPLLKKKVQLS -KQLGLLDNARMSFHNCYNDIFTYCRHYTHEVKDVRPVTLHHIDLHVKPALVRSGEPPKIRTVFGVPKSLI -FAEAMFSWPLFSDYFTNSETPLLWNYETLNGGWYRLNDEFYQQWQSFCTIFNLDWSEFDMRVYFSMLDDC -RDAVKSYFCFCGNYCPTRTNPTCRTNPQRLQNLWNWIGTAYKDTPCTTTTGKVYRRRFAGMPSGIFCTQF -WDSFYNCIMVVTTLEALGFRITDRYFLKVLGDVVIFGILKHIPISKSADFLQDFSTEARRRFNSKLNSKK -CGASSGIHGAQVLSYINWNGYPKRDSNQLLAQLLHPKSLRDTYPRLMARAIGIYYASCGDPKIRPICNHI -YSELKYAGFTPSSTGLHGLFDPNASIGFIELDHFPSENEVTCRLHRKSKRSAELQALYWPRDHFLEEAGS -SRNCPLFFQVETI ->ADV15450.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 1] -MEYLTSLFSRVLNFSSTITNFKLVDHVDNGPSIPYINEIAVDNHKRTLRQAFEMYLDSDEISFIIEGHKR -TDLSPETITDDFFSGDIPDHPEPTDLKSQLAIEYGLQCLVDAFRPPRPAKVTHLYDVQWHYPFKWQVNAE -FPFSVVPYFLGLRKTFNDFYDHATRTWSKYVNPLDAQQRYGSDPSPDTLSQVTPPKFGFMKDLIFSFVHS -WQHIIKSRFTSMAGYSHSNYIRQRFLFPMLLHIKTAVVKFDAPNKLRTIWGVSKLWIISEAQIYWEYIAW -IKLNPGITPMLWGYETFTGGWYRLYSTLWQPNENVTYITIDWSRFDKRAFFWLIRKIFIRTRSFFDFNNG -YVSTRDYPHSPTNPDKLQALWEWTIEAFFDSPIVLPDGRMYKRLFAGIPSGLFITQLMDSWYNYTMICSI -LYYMGYDPKSCIIKVQGDDSIIRLAVLIPQHEHDNFLSQMQKVADHLFGAVISLEKSELRNHINGCEVLS -YRHIDGLPYRNLLKMLAQFYHTKARDPTPAITMAQAIGFAYAACGNDFRIHRLLQEIYDYYHKLGYTPNP -AGMSLVFGNSPDRPDFPLPFDHFPEQSEVQQYFLSLDYRNAEQDAKTWPMSHFLSAPCT ->CDF65982.1 RNA dependent RNA polymerase [uncultured alphacryptovirus] -MDYLVSAFNRITHWFIKATNFEFVGMFSLPPGLLRVNDVAIANHKQTLMHAFKIYLTDEEIKLITEDYRR -SDIDYDSILADFFSGDLDHFEIPFDQNVENGLRCMANAFRPPRLCRPAHILDVKHHYPYKWNVNSEPPFS -TDEYFLSKRMTFGKFIQMHEYEHIDKEDFFRRHPNVESHDLLQTTVPPKFGYQKSTIFSWTRRWHHIIKD -GFRESTGLTTNGYFYNRFIFPMLLHTKTAIVKKNDPNKMRTIWGASKPWIIAETMFYWEYIAWIKQNPGK -TPMLWGFETFTGGWFRLNHALYCGLVQRSFLTLDWSRFDKRAYFPLLLKIMYTARTFLTFDEGYVPTYAA -PAHPHWNQQKADRLERLWLWTLENLFEAPIILPDGRMYRRHFAGIPSGLFTTQLLDSWYNYTMLATILTA -LGFDPEHCIIKVQGDDSIIKLCTLIPEESHSKFMNAIVETAEYYFNSVVNIKKSEVANTLNGREVLSYRN -HNGLPHRDEIAMLAQFYHTKARDPTPEITMAQAIGFAYASCGNNDHVLSALKNVYTYYKNLGYTPNRAGL -SLTFGESPDLVLPEIPLDHFPEQSEIRRYLVCSDYRNEAQIARTWPRTFFINGPAE ->AJE29742.1 RNA-dependent RNA polymerase [Rhizoctonia solani partitivirus SM03] -MFNTLYESIKRFFKFSDPHDFKYNFEFLGYAPNYIKVSPFHRNEYTYQHYQNIVRKALETELYGSDVIYI -TQAFHHPVATMDFVIESLRKGDLPDHIIPNDEHFRNGFKYAMERFRPPYRLRPVHFTDLVRYKWNWHPNV -EEPYYSNRQLERAVQTAHESGLIPDGRMSFGNLKNFVFMDTRHFLHQIKRDEVTSPSTLWPLMKIHVKSA -LTKTDETKVRVIYGVSKRHVLAQAMFFWPLFRYYIESDDDPLLWGFETVLGGMMKMHIAMSIPRLYWTTF -VTVDWSGFDLRSLFSLQRACFDEWETWFDFDRGYIPTKWYPDTTTDPIQIKRLWNWQRDACFRMPFVMND -HSMYSRRFRSIPSGLYVTQYLDSNVNLVQIYTILDAMGFDIRQQTRVLVQGDDSVIFLVFHIPADQHVEF -KSRFQALATYYFDHVARAEKNELYNEPNGVEVLGYRNYNGWPIRDWRKLLAQLYHPRGAPDEPTLMARCC -GITYASMYHPQVVAVCKNIYSYLYNKGVRAAQLKQMRDVILFGEAEYQIPTDHFPLEHEVHRHLHQPYIR -TQEDRDAYWPSDLFLDVC ->BAD32678.1 RNA-dependent RNA polymerase [Helicobasidium mompa partitivirus V1-2] -MSAFWNLEFHGMDPFAPNIPQFLLSPSQHYVSNHAIIKDQFHYQALDIVTEWFRPPHPIHPVHYTDLRWY -PWKLSMSAERPFTFMQSAKDYVKQRYEAGLIPNRSLKFGNLYTPIFEYCRHYHHNVKNGRSPILDLITLH -VKPALVLVSSGIDKVRTVFGVPKYLIFAEAMFFWPLFSIYFTSANTPLLWNYESLNGGWHRLDSEYHARY -TMYSPIFNLDWSEFDMRVYFTVWSDIIDRVSTYFCFCGRYCPTLPVLDEETGTQLHPPYSEPFTNPQRLR -NLWFWIRYAYFNMAAVTTTGKIFKRSFAGMPSGIFCTQFYDSFYNAVIIVTCLLALGYEVRHDHLIKLMG -DDALFGLLESIPIEHWSEFLDALAAEALFRFNAKLSATKCGYTRTIQGATVLSYTNWNGWPVRSAEDVLA -HLLHPKSLKDSAPRLMARSIGLYYASGANPRIRPICEHIFSTLKHQGFTVRKVNLAEFMDPRGLGTYNIE -VDNFPSPLEAMCRLSRPSTRSEEIQSQYWDRSHFEFDAGQVRNCQSSF ->AEX87909.2 RNA-dependent RNA polymerase [Heterobasidion partitivirus 9] -MEYLSNLFSRVLKITKTTNFEFVGTYHNQPSIPQVNQIAIDNHQRTIRVAMERYLTSDEFSLITTGYKRT -SLDPATITDDFFSGDIEPHDEPQDLASQLAIEAGLNAMQRAFCPPNPARPVHLYDVEWHYPYKWQVNAEV -PFSTETYFLKLRKKFSDFYDAASKTWTHYVNPLDALRRYGPEPPFDTLNQVTPPKFGFMKELIFSFVHSW -LHVIKSRFHSNAGYTHSNFLRQRFLFPMQLHIKTALVKADQPNKLRSIWGVSKLWIIAETMIYWELIAYM -KLNRGSTPMLWGYETFTGGWFRLNAELQSSHLRQSIITIDWSRFDKRAYFWLIRKILFRIRQHLDFNNGY -VGTKDYPSSPTDPDKLQALWEWTLEALFDSPIILPDGKMYKRRFAGIPSGLYITQLLDSWYNYTMLAAIL -TYLGLDPERCIIKVQGDDSIIRLYVLIPPSEHDNFLLKMQEVATHLFASRISDQKSEVRDDLNGAEVLSY -RNNRGLPYRDEIQMVAQFYHTKAKDPTPEITMAQAIGFAYAACGNNYRIHSLLEEVYNYYHEQGFTPNPA -GLSIVFGDSPDRPDYPIELDHFPTQQETQRFLLSTDYRNAEQDARTWPLLHFLHAPCSRS ->ALM62245.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated partitivirus 1] -GLVNTTRCYRTIHFYFLASQTKANCRSAPELVFTHTTTMEKVDSPIESLDTNFFLERTSKFRPHVPSIDP -FATAKHQKTIRKALERYCYPQQIEKIIHGHIRHEVTEELVLHDFFAGNVPDHPVPKDQHYENGLKLTADL -FRPPHPCRPVHLLDIQHHYPMKNKPNAEAPFSTEKKYLDMIPPDTKASTGNMKPIIFDYTRRWHHEIKNG -TEPEHRHLYYMLLHVKTALTTTASPAKARSIYGTPKPFILAQIMFHWALFAHYKSVKGSSPLLWGYETFN -GGWMRLNYELMHQYLRTSILMIDWKRFDKHALFTIMRDIFKVQRTYLDFSRGYIPTEDYPDTASDWTPEK -AQRLERLYEWTNYAFENTPVLLPNGEVYSRRHAGIPSGLYCTQYDDTMYNCVMLLTILYSLEIPLSKDMV -IKLMGDDSLIRLLIVIPPNQHDDFLLKMQDKADYYFKSIISVDKSKISNRPNGAEVLSYTNHNGLPHRDP -DTLLAQLYHTKARKPTPGKTMAQAVGIAYASCGFDKQLYRVCKDVYGYYHSQGHTPDKTGLALALGDDPF -GLDPNEIVVDRFPSYHEIQANLTTLDYVSSSVERFWPQGHFLCDY ->AIF33767.1 RNA-dependent RNA polymerase [Heterobasidion partitivirus 17] -MEYLTSLLSRAFSALSVTTNFQNVGYSEHKPSIPDVNSVAIENHQRTLRYVFRSYLSSDEYDAITNGYKR -TSLDPETITEDFFSGDIPDHPEPQDPQAQLAIQGALLDMYDAFKPPQPAMPCHLYDIQWHYPFKWQVNAE -YPFSVVKKFLNLRQHFGDFYDFATKSWLRYVNPADALRRFGPDPSPALLETVVPAKFGYMKNLIFSFVHS -WQHIIKSRFTSTAGLRDKEYINLRFLFPMLLHIKTAVVKFDAPNKLRSIWGVSKLWIISEAMLYWEYIAW -IKLNPGITPMLWGYETFTGGWFRLYRDLHQPGESVSYITIDWSRFDKRARFWLIKKIFAVVRTFLTFNNG -YVPTRDYPSSPTLPDKLQALWEWTIEAFFESPIILPDGSIWKRIFAGIPSGLFITQLMDSWVNYVMICAI -LRYLGYDPRQCIIKVQGDDSIIRLYFQIPYHEHNNFLLRMQQAAEYLFGTIISFEKSEIRNSLNGCEVLS -YRNIEGLPYRDLIKMLAQFYHTKAKDPTPAITMAQAIGFAYAAVGNEYRIHKLLEDVYQYYKDQGYEPNP -AGINIVFGDSPDRPYYPIELDHFPSQQEVQRFLLATDYQDAFTDERTWPRSHFLFAPCSRT ->AAK52739.1 putative RNA-dependent RNA polymerase, partial [Heterobasidion partitivirus P] -FPMLLHIKTAVVKFDAPNKLRTIWGVSKLWIISEAQIYWEYIAWIKLNPGITPMLWGYETFTGGWFRLYR -TLHTPGENVTYITIDWSRFDKRAYFWLIRKIFIRTRQFFDFENGYTPTKDYPFSPTHPDKLQALWEWTIE -AFFDSPIVLPNGHVYKRRFAGIPSGLFITQLMDSWYNYVMLCSILHYMDIDPKTCIIKVQGDDSIIRLAI -LIPKDEHDNFLATMQTVADHLFGAIISMDKSELRNSIENCEVLSYRHFMGLPYRDLLKMLAQFYHTKARD -PTPEITMAQAVGFAYAACGNDYRIHALLEDIYMFYKLQGYEPNPAGMSLVFGNSPDRPELPFNFDHFPSQ -NEVQQYFVSLDYKNEQQ ->ADV15443.1 putative RNA-dependent RNA polymerase [Heterobasidion partitivirus 4] -MEYLTTQFNRITNHFRANTNLELIGHYHYEPKPPRVNEVAISNHKRTLSVSFKQFLTSTEIKLITQDYRR -SEMTEDAILADFFANDVEKHDIPFDYHVERGLQAMADAFRPPQLCMPAHINDVEHHYPYKWQVNSEAPFS -TDKYFLDNRKPYGDFFDKESLSWKNYVNPEDMQRRIPVPSEQTLAQVTPPKFGFQKGQVFSWVRRWHHIV -KSNFTDLTDLASSSYIKDRFVFPMLLHTKTAIVKQNDPNKMRTIWGCSKAWIIADTMLYWELLAYYKLNP -GKSPMLWGYETFTGGWLRLNAELHNGLIRSSFLTTDWSRFDKRAYFSLIHRIMAIIRTYLDFNNGYLPNE -LYPDTRSEWSSDKAQRLEFLFLWTLENLFQAPIVLPDGRMYRRRFAGIPSGLFITQLLDSWYNYTMLATI -LSALGFDPKSCIIKVQGDDSIIRLYVLIPPHSHEEFLLKMQEIADYYFKSVISTQKSEIGNRLNGREVLS -YRNNNGQPYRDEILMLAQFYHTKAKDPSPEITMAQAIGFAYAACGNNRRVHDCLEDIYLYYKRKGYTPSP -AGLTVTFGDSPDLPNLPFNLDSFPKIEDVRAYFLHTSYRNEEQQRRTWPAYFSHPPCERP ->AFQ95555.1 polyprotein [Diuris pendunculata cryptic virus] -MEYLIADFSRITHFFRDTTNLTYSGTYHFHPRHPEVNLNAYEAHQRVLRSLMDTHLFPHEIQLITDELRR -SDMTIEAILADFFANDVEYHEIPFDSHIEYGIKCMLDAFRPPKRCRPVHLLDVQHHYPYKWQVNAEPPFS -TDTYFLDNLPTYRDFWNERTSSFDKYVDPEELNRRLRHRNIENLLDTKTPAKFGFLKNTVFSWTRRWHHI -IKDGFTDTTGLTSDAYLRDRFIFPMLLHTKTAIVKKLDPNKMRTIWGVSKPWIIAETMLYWEYIAYVKQN -TGATPMLWGYETFTGGWLRLNAALYTSHVRFSFLTLDWKRFDKKAYFPLIYKILLGVRDFLDFDNGYAPT -VDYPDTKSTWTPHKSQRLQNLWLWTIENLFNAPIVLPDGRMYKRRFAGIPSGLFITQLLDSWYNYTMLAS -LLSALSMNPKSCIIKVQGDDSIIRLGTLIPPSQHEAFLLKLHALADFYFKASLSLDKSEVRNSLDGCEIL -SYRHIRGIPYRDEITMLSQFYHTKARNPTPEIAMAQAAGFAYASCGHHRRVYNYLESVYNHYAVQGYTPN -RAGLSLVFGNSPDLILPHFELDHFPTISEIQHYYTSSVYRNESQMSKIWPLDHFLYPPAET ->AFX73021.1 RNA dependent RNA polymerase, partial [Grapevine cryptic virus] -SFFSRPRRWHHIIKSGFTDSTGLEHTTYYKQRFIFPMLLHSKTAIVKRNDPDKMRTIWGCSKVWIIADTM -IWWELIAWMKLNRGSSPMLWGYETFTGGWFRLNATLFSQYTQRSYVTIDWSRFDKRAYHWLMRRILLKNR -DYLDFSQGYLPNTSYPRSEGWNSNKSTALDRLYDWSQENLFNAPIVLPDGRMYTRNYAGLPSGLYTTQLL -DSWYNYTLLAIVLTYLGYNLEKSIIKVQGDDSIIRLAMLIPPLEHEDFLLRMQSTADTLLHAKLSLDKSE -IRNQLNGCEVLSYRNHHGLPYRDELSMLAQFYHTKAKDPTPEITMAQAIGFAYAACGHHRRIHEMLKSIY -EYYRKQGFSPNPAGLTIVFGDSPDRPSLPFTADRFPTLAETRAYLMDTDYRNAEQDAKTWPLTYFLYPPC -SRP diff --git a/seq/clusters_seq/cluster_1230 b/seq/clusters_seq/cluster_1230 deleted file mode 100644 index d77d9fa..0000000 --- a/seq/clusters_seq/cluster_1230 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009259359.1 nonstructural protein NS2A [Quang Binh virus] -LLQDFRDSLPVDGSSPLLVGVLLHLLTIRTRHRWGQRTIGTWLLFVVFGIPAGSWVGWSWMGLSYSLAAV -PNGSALLVHFWLALQFSTSHLFFLGWLLRKRVESSIEYALTVFAAEWVILKLQMLIKQASHLEHVLFPLY -VKLALSMRSQFAPVDSMIALNYVVTHPLMAALVSLGVALVIFVTHVCANWRRSPNLWKSGLR - ->YP_009259282.1 nonstructural protein NS2A [Mercadeo virus] -NQDFRLGRVDHISRMLVLSIALMCITARTRRKWLFRAVGTWLAFLLIGMPLLSDWQSWGWLILSQGIAGH -KGYTMWMCHLWMAIHTGTGHIWFLAMMWRRQMWAPLDVKCFVLILQWAYAIIAHKLGQFGSLLDAGLMIA -AGMGLSTAAANMGFHEWLISSTLLVASWQTAIFSVAIILAVITVRALKVSYQAHSSAWRNGLR - ->YP_009268606.1 nonstructural protein NS2A [Nakiwogo virus] -EKLQQFFRESPPRSASNAFLVGILLHLLTARTRHRWVARCVGTWIVFLTFGHPQASSVSSWLWLVLSSSL -AYVPGGTSLVVHFYLALRLSSAHLFYIGWLLRSGTLSTEIARIAHLLVQMCSRVLYSWNLNLSWVDHMIF -PLYAASVLVAHQQIKQFSEIALQGSIIGVHLFQYPFEGGLTLLFGSCFIWLLPSIKGWFTSPTLWASGLR - diff --git a/seq/clusters_seq/cluster_1231 b/seq/clusters_seq/cluster_1231 deleted file mode 100644 index 92a37a8..0000000 --- a/seq/clusters_seq/cluster_1231 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009259356.1 membrane glycoprotein M [Quang Binh virus] -ATEQPKADLLAEMEMVTFKTIRQNKTIFIIALLCVAVAKRWPTWVVIVLAIGTWSTVKG - ->YP_009268603.1 membrane glycoprotein M [Nakiwogo virus] -SVKDEEKTPEAKDLLTDAETLAYKTYQENKSVIFVGLLCIAIAKRLPLWVIVILTFGTWTTVRG - ->YP_009259292.1 M [Cell fusing agent virus] -SREPPKADLLAEMEIIAFKTIRENKTIFIVALLCVAIAKRWPTWVVILLAIGTWTT - diff --git a/seq/clusters_seq/cluster_1232 b/seq/clusters_seq/cluster_1232 deleted file mode 100644 index c0811a1..0000000 --- a/seq/clusters_seq/cluster_1232 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009259352.1 anchored capsid protein C [Quang Binh virus] -MKKKESGVVERGRGTRPPANRGGGAPPKKERDRGGQKVSLPGTSSSIGSDRGKRVKGLGGRKGLLGKLGL -GWGSFLQKDIIQAIMHLVLVLHALFMSIDQRFKALTRRVRALENRRSANPLLLATIICAVATLCGT - ->YP_009268599.1 anchored capsid protein C [Nakiwogo virus] -MDRKRGIPGGLGRFPAPSVNKGNKKVGREKVLTPSRREAKGENGKKQFVGGAQRKRAKQGAGNLMQRLGF -NWFDLLRIDLFEGIVVLALTMQNALISLTRRLRQLSKRVTALEKRRGVWSPTLFYITTLLIVGIFS - ->YP_009259290.1 capsid [Cell fusing agent virus] -MKRKDLEARGKAPGRDFSTPFWGREGRRKDKEKGGESPSNRQVTLNTPIQSGRRAGKRQRVGLLGRLGVG -WGSFLQEDIVQALIHMALVLHALFSSIDRRIRSLSRRVTALESRRTTGDPMTLAFILG - diff --git a/seq/clusters_seq/cluster_1233 b/seq/clusters_seq/cluster_1233 deleted file mode 100644 index 82a224a..0000000 --- a/seq/clusters_seq/cluster_1233 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009618371.1 hypothetical protein [Pseudomonas phage phiNN] -MDTTPIIIIIEESIMDTIFDAVKAPFSSESAAKTTTAKIAVVYGLIGLVGGLLLTK - ->NP_690830.1 membrane protein P10 [Pseudomonas phage phi12] -MDKILNPVLAPFSSDRASKTSPAGIAVAYGLIGLLVGVLVLKD - ->NP_620349.1 P10 protein [Pseudomonas phage phi6] -MDNILDPLKAPFSSEAAAKTTAAKIAVVYALVGLVGGLLLTK - diff --git a/seq/clusters_seq/cluster_1234 b/seq/clusters_seq/cluster_1234 deleted file mode 100644 index f496fff..0000000 --- a/seq/clusters_seq/cluster_1234 +++ /dev/null @@ -1,118 +0,0 @@ ->YP_009336942.1 hypothetical protein [Hubei toti-like virus 5] -MESHEGDASQLAGAGGGNNPSNQPEVQPIEPGRSEIVGEQEALAGRVSEPLITAGPATSQAHIAPSGSNV -IPGPSVGPEIITLGRPATSGRAGGTRVEQLPVSVDPTPVGMPAPGIHKEVLPRIEAGEPEAKGFTTSRQW -VALPSSCLLGQKKLETRLITAPPVSTFEGLEPKEEMCTLNTGDIITPEELISRYDARRRTLDLRNVVFGV -KKGILRTARVVGLVLRRTESRVRVLPGEHLAKPNELVVEPAYAAAFVNTTDENTVRARKALSSAFIDATS -LMTEVVEVCRHGREMLDAKAETTRFTMRLAAMVVETAIRTMCDGNRIAWEDNAPSEVWGCGTWHAFRSRV -CNDSESQLEHNYVPWYRMLAYVLPQELTYVRVLRVACSAKVQIGQVDEEFVSPICWPEIPNCVFYTSYPL -PREDAKTSRWFFSLNDVYVAARMWTYRYGSQAVLDQLIQLYLLMYWRVDGPRRGHLIFPCEQVSIRLPVA -RMRGFIMAPYLQYRDQTLQVSLAVGPTEMLSKIGETVMSGLLIGYAVDWCKWHLMDYAMGHVRMPREESR -TFATLVRGGSQWGNLWPIVQKWLVGCGHNADLGMYLSTMASGQRTARLGFDARSLVTHRICSMDLLGWFP -EVVIGSGAQGYLHPKPLPEEFARAGTVYMFSDLAAHPEMRSFLASINELQYVERIRGVQDRWLPRLKNVA -ELGNLDVSCGSGTIDHPFLAITLRDGSRVRWGYRLDLLGALASVDAQHDRYSLDWYVSKRLDTYIHYKPM -PGMREPKMPIGQGMGAAKQMLRHLQDDYSTEDEDDEMHLMRGEFTKSTFGGLIYKENESETGSQTTEVPA -VEQEDQEEQSHVELLDENETTTPGQQHLRDEDLYGEGEDNMDFFADETETEKVGGWQELYRRVAAKRSTG -GKLSKGKKKDDDVLWFDPLDPEATKNMMKFADTYSYWEERVCDNTDYTPLGSLKPDGRAAPNNRGREGSD -VLRSLIAAAKERDQRRSAAQKERGPATSQKKEQVAKKAQKKNGSCRAEADSKNQRRDPSPGGDSSGFGES -PPKAQRSGSGNVGTSTAVSTAQKSESGAGSGEKSEGSKGGGKKTAGRTYPGGISARQRKNIALAERHVGG -EELLEMERQPEQPELTSGERRHMVEARLNGAPLSECVAFVQERGSRVNIDSEKELYQWLDAVSIQMQHAV -PSVASELIERTSELTEPESLAARLRGQASAFLECMKWQCHTYTPEECELMERGLRDALLRPGATAAEVLQ -QVGKDACRRKVGVLLELAAAIGEWKSAVQMQWEARKQAGSMYGLENNYRLPITECNCLFADTSTGCQLRP -HRERVLGYQLGGCPRTLVQRYGGKWRGLKKEECHCQCPITGGRALAWYNSLLPRQQAKYLLQCHPAVAGP -EHYALMGAVVQVRPDGVGDEQTARWEEAVEEFMSDRKIAGDALYTAIGNVCKHVAGNDAEYQSNMERRKA -KGGFPYTYSAKMVLAAAPGIGPLVETLHNRSPESDESEVCGTAMAIASQHARVVRGILSSGWLEIPLVEW -HTKIKTILVGIRRAMQFWGAEQKEILGLRKLLNVTVRRSGDSDYELENYKRTALITRKHWYGLWPPEQGG -ESAFSRYEKIYRREATEIVRTCFQAMPSDIRKRTIDEFWSERAIRGASGASKSIKKMTIDIAELAGADRP -GKRLLVEMLENDALVHALNGEPTNRAYYFVKPEPGLKLRSLFASYDEEAFISAYANQSVENHMKSERGVM -VRQTPTDVVEWMAVSEGGLAGPEGAGAHWLSTDYADYNSEHTVWEMGTLDLVCGTVFEDRSVGYVNHEKA -AAHYWIAAGRKNTVVIYGQADKYAGKTGMLYNPEVGYYTPMINGLYSGSRTTARDNTWIHAVDLRIAKIM -NGALFEESGFRWSAVCGDDEDVAFASPMQAAAYFATLRPMGHNLNTYKQLAGRDTHEFLQLGTARGARVE -KPLCTLLATLATGNWYVQSGVWLQTTINGVMGNYWECFCRGMPLQLARRLAAYTLDLAMHSRKRSTEAGD -GEEEEVEVKELEWWKYRHAAGLPPLFRWNPGDRVEPMPRYEAVAEPEDSWPRKASEQYAKNQASVLALLP -KRIKDEFIGHMLQQTVGSALKVWQQTQARRWCWDHWPAREHQAMNILRREDQTDWETAEKDCVDLANFRF -GMPRAQTLLTEEAVCGRMGVPFFLARKLGGASRLGGKIPLEQWAQYQDTSTTFYPLSGDGYRLQMNLRAC -ASWATAPVHKVHGKRTEVSWKEVTYVYMGNGGGKTTFCRTHKQAQDIDQIWLDLYGAQRIRYSASAPSSF -FQNTWDTMTQILQGSAKRGPMLLGHVSPRFILEAGRRLGIKVRICYYDPGEDVRRSRLANRNWAQEKIER -RLRRAQEGYADAVAVGGIRLESYGDLAAEYSRAISIRMNANLGVDSCQKEPAKYVFDRSEAVTRRLEIDE -QMQRAAPIEAF - ->YP_009333465.1 hypothetical protein [Beihai sesarmid crab virus 7] -MSSSENMVNTESNSNIETSALDGSGVASTSSVVSAASASLAPAANQSADISGGDLSPPRTGAVAPLIAVA -AVPVAELPPPIPAGVNQATLPAIETKGEPQLRGFVSSRYWTAAVPQVVPGRQPLKIAFSDAVTPNSNTVV -ETGDGLCSVNVEDFLLPNEEIVEGLWRDRFSLHRWVFGNIKGIIRTLRPVEMNLSRFAGNVNVHNGEALS -RGIPLTVEPAWAPAFTIATDESTIKARRAMPQAFVNSEGTLTEIEDVARIGRSLLDGDVECTRFTARLAA -LYVEAKMLVKDASERVVVESPKRPGLIEFRSWDQFRRVVQSDNRSGRNIQYVPWMEMHGEALYSETTLVR -VLSFAASKKVSISKNSGRPMSREPYLWPEIGKAAIVTSVSFGSEERSLVRQEFFPADIWNAARMWCHRYG -DLDLFDEMVQYYSLLFWTRCKSGQCPLAIGLSNLTVPMPSSRMRAFILAPYLLGRNETSKVLASVGPEDT -AHRRAEILTTSILLGYANSIVRFDMLEGIIQTGSYNRAELEIAIKVIRASRGAVRPVAGLAQAVVNQMGY -SGKVGRWLSITGSRSTAKQFVHHLRSECGRRLFSHEMISILPKVAAGSPAQGWIAPVPLPTEFGIENQTY -FRGPLHTKFGPAAWPSLGELSGTTIIEAIEIRHPGGITMREVGPFKPAGHAWPKEGAWTSFYDRNGDRRR -YAYQLDTQAAMIACTPEHDRYHLEWYWIPHRRTAIPPAVKPMLGCSPDEIGKNKKIHPNNGESGRRRQSR -RQESGANRQNQLPYYQRLDGSFIQPAFEEKCEFSEEEFVSTTTDSSENILSDNDSSMNDEEKERYYEQKR -AEIAAQEASPSRDTLMVDTDFELFRLQEGDQKDKIYGSMARVASGNAHMEAVSRERARRRQRGSSPAGAG -DEKPASAGDRPRRPSRSVVKYRRKQGNQAVTSKKTQVIGRETAKKTPHVQPSEESIVKPNVPLDDEENHN -SAKHLGKPFKLAMTWSKLQKFTARFVQAMKTELSFHGLDLGFLPQIESIRSLRNYYLSLDKISRVALNQA -QKQWELGQLSPASLDKKSSIEVTQHFLRMELEFIPTFHESIKAVMEEMVSLVYIAGCLEKLAKVEDDWRE -WFSRLRLLKSADPVQTLISSGPRPDGDGHGSEDKKTEPNSKDVTENQGSSKEFGSGLTGDSGQGGSTSVP -THEYASGTIPLLKGGGENIQHKSSSKNRRSRRIVNRASVKQPEAVHRPAHSVGAELLIALSEKESMGLVD -DKEESQQLFDLLKAAFLECNQKQDFAAANEVLEHCCQLKYLEPDQQEILCQMGDALGMPMADRVDTEHRT -GLYENGRKKTATCENEVSLVECRELINEAAGVQRSCSWEHTFWQPRELDCARAAALAGLVIPNVPIVWRL -NQVLECAPKDDAIVVFGSRRFYALAHEALRWQSEILIPLNDQEKGLTDLEHISKWNDEIDVEKFVRGTSC -ECCFAHLQSIEDPFQRPPFWDLSWAEVSRKYRGIALAGGCFSLLLKQYGGKLAFGSKVYRKRDLRSNRLR -SHVCNIHIDRQILRTWYNSLAKEEKAMWMIVTQPGAAGAEHYQQLGSGGPIPLQGFARREEIIELAHEMR -HNRDLSGDNKFRYIGDLVDAIYPGMCDRRSDMQRRVAPGGGPVNYTVSMIKQACPGCDTLIEYLHNNSPS -SDETEIAGTALVLLTLPVNLFEEVVAHGWLSVPLSDWWTELSSQLTTVRRSMVLGKVTGGDTLLLRKLKN -VSIRVRGDSDVNRENFNRGAVVTRKHLWGQALVDRKSAFKKYEEMYRKTAELIVDQTFRSMPKDMIQRNI -DEYWSERALRGANGASKSIKRMDISQVGFSNSDRPGKKVLVELLDQQALRRILNEKPTNRGYYFVKPEPG -HKLRSLYGSYDEESFIASFANQGIENYMKANSGVMVRQTPADVVEWMAAGSGGRAGPEAAGCYWLSTDYS -DYNSEHTHFELVTLHLIGARLLMDKQRRYYNPSKALAHAWTAAGYARSSIIYGKAEKWKSMSCLQTNNCG -ERFLRVINGMYSGCRTTARDNTWIHKIDMDIARTIESSDGESEVFKWMGICGDDEDVAFQDEVAAARYYA -KLPASGHALNPTKQLGGRKNHEFLQLSYSDTHRIEKPLASLVATLATGNWYVQKGTWLQSAISSTAANYW -EAHCRGLPLQVARRLATLSLDRTMQIRDVFNDDGTQEVILLEWWKYRTAVGVAPLWAGTGSQVVAMPRVE -KLSEPQPEWPKLASRDYVGRFGKMLEQLPKRIKKEFIEAMQGCTVGASMKVDQQRRTRRWCEKHWPRREE -EDSMGYNEMSVSWAEAPHKLPELSEFRWGIPRKKMMLTEEAVAGRMGVPYFLARKFGGVANLGPHVTLEQ -WARYSDTTMQFYEISYAGHQLQNNLRALLAWSTSPTDDWHGDTISVKHRQLLYIFMGNGAGKTTYTRRNP -LASDLDSLWLSIYGAKRQRYDAVAPHSSFNGLLRNMSQIGEAAVKVGGVLLGQVSPRIMLKAMNGAGYDI -LLASWNPGDEIRIQRMMRRGWTRERCERRVERNAEGYQESAELGAREAHSESELEEIINQFLAKRQEREK -ISTTRGVKRPAEYIFDRQGALSRKLEIEKDADDDELGKRNQRKAIAGDNCLDTL - ->YP_009333409.1 hypothetical protein [Beihai razor shell virus 4] -MSTDEQSATAAPSEPQSIVVERVESLPPPPEMPSMSEVTSPSPVVDSVLEAPATSPVPVPLGTHQRLVGP -TTAHKVSKLKTYNSSRNFTAAVAAAHLPVARLQFSVVEKVDTETFTTETVGEGLACTLDMSNLLPKDEEL -GWRVKDERVDLRWLVYGTIKGVIRTGRVCGQYMRRDERTKISVVEGHDHSRNAIVIAPAYAPAVGLVTDE -QVLKGEKLIVSAFPDPGLPCRDLGRLSARCGELFERGVNAYRFVMRLAVLYVYARFSCLTPGRQVIMRMC -SEGPKTLDVSNFTNWSRRVTNPSKVYNYVPWVPARRRATPRDARYYQVLAMACAEAITLGTSAEMRLTPL -LWPAIPHIVLLGQFVPGTNSYFADVMAADAAFVHEVAVAWCTRYSSISYFKDCVRTVALLYWRDSYSNPL -VGAPRAEMSLPAADMSGFLLSPILEDSDKLSPLNLSDYTEHSHRMAYESALTACIIGFCRSYQQYQLLDG -ALEKGILPGTEAQETAYSLVAKNLPTHGPQIWGCIAAMLKKLGINGQIGLLIGSIGASSSIEEYTQFVKH -GAKFRPSSFDMIPFLGRIPVDTAAAGWLAPQPLKKEVVPGQEYLLEHLDEYGGADEVIRTLHEINDVIAI -VAIHSRTTSQITTRLAKWMRVDARGFPRDHQLLGWTLPCGGQVNYGLRVDSLEAVHMAMDEQHDRYKLVW -YHIPAVANKSLKIKPMDGVFADPAHPTMQFSTAYHEHREMKEHMQKQSEEIVSRLFGCEMPRLHLGTTRH -EEDDDDSEGVAGDRGVGAGRFRRGLARGQRGGDRRTSSPDRERSAAGGRRMTQPAGHGGMRFPVDYVEHV -MQEEEPPTEKPEDFPVDWLVDELPDKIRGMRQDQIKMLYEMDQATTGEASRDWLLRLGTSVANIDKHTRA -YSMGGRSLQPGVLGMDLDVVQKYTTSVPLAVKPQQRSAFLSTAARLLELTLGYYPNPTSTVAVERLIEGL -NQAAVHCAENPYLTPRGYLKATGCVEGNHEAAAILARDMKAKEVLELALQTNLPDRAGEYTVPKVRMRRE -LKVVLNGLDGLDNPYTKKDTPLLSALGQAEMEAYNALDMEEKHIVAQHVATINRRLKAMWEAEEEGTKAL -SHFIGTRGREDSDDDDAAASGVGQVTRRFHAMSVELAGTAKQRLESKGEQQQRASDEQGKKQNDDSGPPI -ICVGGHAPNETCLCGVRTESIVDAVVTEATAEVHEIHDVIVPVPDNQQASDAAENRKQSKKPKVSKRKSL -PQPSTSAGSIETTLSMESSSSSDEEAFAEVRRTATTAQQRTNVQLLYNVLISVPQKIFQTLDVTLQGIHP -GSIRLAKRTIVSCMHRYCVTKAEREIVKEVMKSCNLQTIREAVKIMTYHTRDNVLKAVSLFRKDCTEAKI -LPTLGDVCGALVNRTVLTTVGISNPGPDRISKKQVRALGHLMRGCACELQRRYGGVWPNLNRNHGRCERV -MNYSNAVMWWKSMSQEQKSRYMLEKQYASAGVEHFSFYCEYNLPYESENFSIPEWRKRHTWFYEKFSSGY -DCSGEQADALVNEALKTFYERDKLVWTKDFKPFKLQGGVPIVLTSAILAEHFPEYMPMLELLHNMSEESE -EYEVATTTFTLFNLPRDLLIEILCQQWFETPLDTWFKVYKEVLVRAKRSNIFGLVRGEQNLMLRKLLNVT -IRRKGEADFEVERRSRMYMCYPKEIFFCTADDRIQGLDPKKQYLEEFTSVCRSYWRILQPALSKINSDNT -IQKYWQERAVRAPTGASTIVKNYKMDELDARIRQDDRVTKKVTVELLDDDFLKRALELRPLNRASHFVKP -EPGKKLRALYASHDEEMFLGAYASDKIENLMYKIKGVMIRQTPADVLAWMAESQNGIGTACCEDAYWMST -DYSDYNSEHTMNEMAIIDATHAEMWEKLCGNVTNTTAFSDKAAAAKWISLSFRDSWIMWGTIKTEAGKSW -LTKGDTDLWHVTDGHRFSEGYESREEAAANIGAKIKMWSRTYNGLYSGSRDTARNNTFIHAVDMAIAVNN -LRRCGIPFRCLFQALCGDDEDNKFVTPLDAALYYNTLAPCNHQLNPAKQMAGAYNHEFLQLVASRTCRIE -KPMCALLATLGTGNWYVQLGQWIQTSVESCIANWWEAYCRGVPLGIARRACAAYLDRLMKIDAKHCEGTE -WEKEGKRLEWWSYRAQDNFMPLFANTSGITARIPIFESQPDVQASWPRRATDSYIQRQRRMLDKLPPKCE -KEFAEAVQLDTFGTCLKNWRQQTGKRWAAKHWPERFTGPDDTVFKDMRRVHQNVADIAKLHLSTPHRKRV -TNENTVFGQMGVNLFIARKLGGMRGLAKHLPLVQWKRAVNVERESYKGGFEYHELQVNIRAALATWKVPH -RLYHTSVTKTQKDNVTYIFMANAAGKSHFTRTLGGVDDLDELWCDLYGVFRDNYELACPKSSFSKVAKVA -RDILVRSAQSTGILLGQLQPEMVQRAMEELRWPIKTFYYDPGEGLRKQRMERRGWDAEKVGRRLQRARDL -YEEARKLGWTRLESESEMQQLVMRIAAEAKVPLREQFLVKPKKVMEYVFDQTELVTRQLKIAEENRMRRI -GNFAY - diff --git a/seq/clusters_seq/cluster_1235 b/seq/clusters_seq/cluster_1235 deleted file mode 100644 index 1bcc8e3..0000000 --- a/seq/clusters_seq/cluster_1235 +++ /dev/null @@ -1,41 +0,0 @@ ->NP_624325.1 RNA-dependent RNA-polymerase [Zygosaccharomyces bailii virus Z] -MGGSEVRAIDLYKNYGRFGGSRVDSILYMKNFDKKYPTLDLDWKSNKEWCFEIFGKVNHIKLFDMEYCLD -VPDVPSDTSPGITYKRLGFIKKADALPVCLSHLRDVLKKIEKHELQTLPEIAWCIAGRPKFTELNKAFQK -VYDRQSVGRSIWVSDMEEAILSRHFTRYIDKNITGTPTFHKIMINFDKVKDAKHLQNWVEQYDYIIEADY -SKFDSSVPACVVRKAFQIFKELFICFSIILPLLFMILIENFLNSKVDLSDGRLLQKFGGVPSGSGFTSII -GSICNYIMLDTCFMKMGLDKNRWSAIVYGDDCLIGIKKPLHKHEDSAFKIRKLMLKFMQLLFDITLDPKD -TKLVCEKYVSVIIPEYDEDTTNGTSLLKPSKITRIEKEPDTFLLSNSSSHRWWYSFEKTKFLGYSLTCDG -RLIRPTRDVLARIYNPEKPVKSWDEHVTLLKMAYLENYENAHTRNRIYHYLMDAWWVIHHGCPQRALPDV -DYTVSKGRCFHRYTXHYVHLRWEPSLQGFHNFFDNFDFNMLKLHIQVSLNEFYYSRVKRGRLSSSLFDMS -MRPAHYHSVAYLCKKLGMVTPQVTTAYTYSKEWFHSHPGMLKNIKKVLRKRMQFYHQDGGRKKFKKMLQN -FKKIRQGVFLFIS - ->ANN12897.1 putative CP/RdRp fusion protein [Zygosaccharomyces bailii virus Z] -MEKDGKSSTWSSFSTALSEIQKERVPGLEEKTSIDFEVDGKRYSLVSSRKPTDREVELKSLIPSAILTEQ -LLSNEHIGKYFERIRKALIVKNTQADLVELLNSFTTVLTNIHQVSLYIEHHPDTTAVAKSFENYKLKKDL -SKWKLDMLNSLSTVDGRVQIHVNEILAMFHGVKNAAQKDAQMKEEKARKLMKQQVELARQEFEERIKHVR -PFQGLMLLTGSFKEQSDRVHEMARQEWMSLPDTEKSSDYDAWAQAWWATRKDGEIFAVLESTVMTEEFLE -FFSQHWLFEADRLTWSRRFKQSVSRYGYGFNKEKKIDTKFKNLKKINNIQKHPPLVGRGPCQRNLFFENT -LRSMGGSEVRAIDLYKNYGRFGGSRVDSILYMKNFDKKYPTLDLDWKSNKEWCFEIFGKVNHIKLFDMEY -CLDVPDVPSDTSPGITYKRLGFIKKADALPVCLSHLRDVLKKIEKHELQTLPEIAWCIAGRPKFTELNKA -FQKVYDRQSVGRSIWVSDMEEAILSRHFTRYIDKNITGTPTFHKIMINFDKVKDAKHLQNWVEQYDYIIE -ADYSKFDSSVPACVVRKAFQIFKELFVSFDHTTATVYDFLIENFLNSKVDLSDGRLLQKFGGVPSGSGFT -SIIGSICNYIMLDTCFMKMGLDKNRWSAIVYGDDCLIGIKKPLHKHEDSAFKIRKLMLKFMQLLFDITLD -PKDTKLVCEKYVSVIIPEYDEDTTNGTSLLKPSKITRIEKEPDTFLLSNSSSHRWWYSFEKTWKFLGYSL -TCDGRLIRPTRDVLARIYNPEKPVKSWDEHVTLLKMAYLENYENAHTRNRIYHYLMDAWWVIHHGCPQRA -LPDVDYTVSKGRCFHRYTNHYVHLRWEPSLQGFHNFFDNFDFNMLKLHIQVSLNEFYYSRVKRGRLSSSL -FDMSMRPAHYHSVAYLCKKLGMVTPQVTTAYTYSKEWFHSHPGMLKNIKKVLRKRMQFYHQDGGRKKFKK -MLQNFKKIRQEFSFLYPNKPFTIHNPDIIAAL ->APG78182.1 RdRp [Beihai barnacle virus 14] -MRSSLQLGWKYKQSPVLQPLFQYAREIAGGFLSRTSSTYKRLMSLVQMTDHLLTRNSEGRNPYDLSRLCS -RNGIMEKISRCGYRQFDVGGVHHLKKMKYTFPARKGGGRGDFPQVNQFMVNTLWLSGGGDRRGLGYHLGH -YRCGGSRGDMLLGLKNFDRMPSNGAVTLTPAQIVERLKLPTGLSPLSVREAWPRAPFKRDANPGPLLTSL -GYLKKGNCEALIREIALTAEQKLVSGQGFPPIHFGMAGRPGLCDVRKINSRLSENLPFGRLIWVADGWEP -VISQKFLLPLMGVFRKNHPHIKIGFNKFNRDYVGEDGSRLWGYEWYINLDYSKFDASLPASVIGKVKSVL -NYMFDGKEGFVLDWLFDNLVNSRIVLPDGTVFQKHHGVPSGTGLTAVVDSLANAIMLLQFMEECPPDCMP -SDWHAEFQGDDNRLALSWPRSNESSRRFLAARFLTQLSKFVKLRYGMEIHPGKCASGPFLEIGYIQPILP -HFIRDGSRRVMNEWWKQEETRLGRPLRFEEKWQVLGREPDGPAGGNTHRWSYHYRDRVGFLCYYMKPNLR -ELVRPTREVITRLVNPESSPRNINDHIMSLVCCLIDNFDSAHCRNRIFFLMRDAMDMKLRGISLPSMARA -DTYLRVSEHRGFGYGPLDETSLCNRRQHSPRDLRQWFRRQQAVVDLRTVPREGPFLQLWERLLNKCSQIR -ERWGTLGLDSWMIRDEVRRANRGPRMAYARVEGLNEMLSEIERIRDDCHCMPGGTEYLLRDETALDLTRC -LERALSAIHRLEFSEGRRDSGPFPQDIITWEVPRILRSVYRDSCLRIWTNYKVGRVLQRNQTTV diff --git a/seq/clusters_seq/cluster_1236 b/seq/clusters_seq/cluster_1236 deleted file mode 100644 index c511596..0000000 --- a/seq/clusters_seq/cluster_1236 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_004869990.1 P0 [Brassica yellows virus] -MQFVAHDNFHTLEVRKVRFLHPRQVTFLLAGLLLNIEQFVKAIKERNNEFKIDIFIRSLLYQLPLLLGDH -VHDDVRKSILVPEPELCAWFSLQTGYAPASTSGRVNLHVPGTKTSRRRIIQRSLASNFSEKFKRFPECLF -VGLEHFQRFLSTWTRDAERRLFPGCREIPVGSHTLVELANVGELLRVMVADEQFHNSRLLSRLAVHCYKI -YGEDGFISFWRIANLDHFDCFLTPEEILFSSSVYTEMFV - ->NP_620484.1 hypothetical protein [Turnip yellows virus] -MQFLAHDNFHTLQVKKVRFLHPQQEVFLLAGLLLNIKQFVRAIKERNNVFKIDVFLRSLLYQLPFHLGSC -FHDAPRELIPATEPELCAWFSLQTGYAPASTSGRVNLHVPGTKTSRRRIIQRSFASDFSEKLKRFPECLF -VSLELFQRLLSTWTKDVERRIFFSCREIPLGSDTLMELANLGEFLRVMVVGEQFHNSRLLSRLAVHCYKI -YGEDGFISFWRIANLDHFDCFLTPEEILFSSSVYTEMFV - ->sp|Q9WIB9.1|P0_BWYVG RecName: Full=Suppressor of silencing P0; AltName: Full=Protein ORF0 -MQFVAHDNFHTLEVRKVRYLHSRQVTFLLAGLLLNIEQFVKAIKERNNEFKIDIFLRSLLYQLPLHLGDH -VHDDVRKSLLVPEPELCAWFSLQTGYAPASTSGRVNLYVPGTKTSRRRIIQRSLASNFSEKFKRFPECLF -VGFEHFQRFLSIWTRDAERRLFSGCREIPVGSHTLVELANLGELLRVMVASEQFHNSRLLSRLAVHCYKI -YGEDGFIS - diff --git a/seq/clusters_seq/cluster_1237 b/seq/clusters_seq/cluster_1237 deleted file mode 100644 index 5ba6b25..0000000 --- a/seq/clusters_seq/cluster_1237 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009508064.1 capsid protein [Heterobasidion partitivirus 8] -MASSATRIQTASRVSPVEIRTPPALAAIAKKFAPVVLQDDDTPVPPSNADYFGRMNLPTNVELDSGNYHN -IDVQFDTRFILNYIMYHVTALAPELNFKGHPYFSPLSYIGYCLHLLYALMLACDVTFRSDKSWHAARFMT -DQERKDLYEVLLNCHMPTFLSDLFLELSPVYDPRRNNLLFVPSLAGFSFEHDFGRTIPPSLFYAAHHMLA -STRTNKDPNDVIDDCMSLNVITAGATTYKVSNFLGTWYASGHHDNWLNRDFLAFFNPLVGRFLTQRPTFA -RIHTDTETLAIDGTGNPYTAYLLASDENTSVMTTLLTAMSTFILANDPKAPKLGSVLATLSGTLLLSYSI -EPPTLPTWTAATYTQETDPADVNDATFAREHNFLADEPVYTNDGEYPDDTTNGNMQLYHFAHGAPAHTAA -RTPYKHIVFNARYHLTPYVMYFQPYDVSPSSLGLTIAAGIKIEHGDIAGFAVNIEQPESSLDDNNAQILQ -SAIRLNKIIRVNANTTAGNNASRVVQRDHLDRTKQGIMTIFRSIAKSVFPYLDGSAIHYTPATLPRNLGL -TEEAGHYSLQQGFNVKAGTEGKFTAPDHSIYLWSSYRYVHKKKNPAPADISMLATLRTFYGSNVTLSRSK -NPVLMIPH - ->YP_009272946.1 capsid protein [Fusarium poae virus 1-240374] -MSQQNNARVSTASRTSSVELRTPAAIAAIAKRFAPVVFQTDDTPVPPSNADYFARMNMPSNIELDSGDYA -TIDVQFDTRFIMNYILYHATNRAPEMNFKGHPYATPLSYAGYCLTLLYTFMLAVDVTTRPEKSWHAARFM -SDAERKDLYDVLLSSRVPTWFVDLLIEFAPVFDPRRNNILMVPTLAGYIHNIDFGRTFPPSIFYSAHNLL -ASTRTNLDPDNVIDSLMGTPLINHGTNAYTVSNYLGTWYDAGHHPNFVNQDYMSFFNPLVGRFLTQRPTF -ARLPFSPEDLADNGIGNIYTTFLLASDENISLANTLYTAMSTFILSDEPNAPQLGSVLSSLSGSLLLSHS -IEPITLPTWTKATYVSDIDPSLVSDSKFVSDHNLFSRTPKYEKSTLFPNDGKTITSLYYLVTKKKHDAND -NPTSHLSFKGKDSVTPYVLYFQPYDVSPSSLGLTIAAGLKIELAEISGFTVPVEHPESSLLDNNSQYLQS -AIRANLIAPVNDRSTAAENSSLNLARSGLDSTLQGITASFISTCKSVLPFFDNEGNNLGDSTDLSKFGLT -PELHHVSPFAGFNVKAGTNGQLLSTEKSIHLWSSYRVVHTKKNPAARDISFIASFRPIYGTNVTLSRSKN -PSLIIPH - ->YP_227354.1 capsid protein [Pleurotus ostreatus virus 1] -MSSSAARIQTASRVAPAEIRTPPALAAIAKKFAPVILQDNDVPVPPSNADYFGRMNLPTNIELDSGNYHN -IDVQFDTRFILNYIMYHVMNLAPELNFKGHPYFSPLSYIGYCMHLFYALLLACDCTFRSDKSYHASRFMT -DQERKDLYEVLLNCQMPTFLSDLFLELAPVYDPRRNNLLFVPSLAGHSFEHDYGRTLLPSMFYAAHHMLA -STRTNKDPNDVIDDCMALHVITAGTTNFTISNYLGTWYATGHHDNWLNRDFLAFFNPLVGRFLTQRPTFA -RMHFETEVLPIDGTGNPYTAFLLASDENTSLATTVLTAPSTFVNANDPKSPKLGSVLASLSGTLLLNYAI -EPPTLPTWTAATYNQDDNPSDISDKTFATEHNFLVDESTHDKTLSYPDDDTGLNAAWYAIAKVKHTKART -PFKHVIFNVKDHLTPYVLYFQPYDVSPSSLDLTIAAGIKIEHGDISGFAINIEQPDSSLDDNNAQILQAA -IRLSKVIRVNAQTSAGDNRVSIAVREPLDRTKQGVMYIFRSLLKSVFPVLDNEDVKSTDTVLPTNVGLTI -ERGHYSFDQGFNVKAGSNGDIKTSDDSIYLWSSYRYVHKKKNPAPADISMLASLRPFYGNNVTLSRSKNP -TLLIPH - diff --git a/seq/clusters_seq/cluster_1238 b/seq/clusters_seq/cluster_1238 deleted file mode 100644 index 8276060..0000000 --- a/seq/clusters_seq/cluster_1238 +++ /dev/null @@ -1,39 +0,0 @@ ->YP_009507944.1 putative replication associated protein [Cryphonectria nitschkei chrysovirus 1] -MSISPNSRYAGGGGHGHKVGLAEKAARRLINRGKVTYGPKDFEVKDSDRNLIGVVIPSLLGKTTLANQFG -WLDVDDLTTDDKRNQLFATFSQRYAEDGWCAAMRPFNEECEASIDRMYFYSQTVILAHSVSSLAYLGVIP -SIRIAPSDDFAAKLLSLETGGSAAFAKANIEAVREEADSSPLVTVSSYDELSATAVQLAIRLGHDVDCKA -VSQDDAIDQYECGLIERDDADRLVREHGGLHRGFGRTRSGWARAVACIGCDNKPALSVTVDKEVLDKANC -INRAVVGRILTKSNKTSAHVQALLTFVVAFGKGQLLDVISNLLTTPESDWERVMRGISSLVKTSNSYMGY -DLSDDERRVMSELWLLGHQNRTSMAALMREWLLGCGGTYKSIPTSADIRKAADGAGLAGGRTVRQSLQQA -LKMWAETWRLSDKASLEALQGVDLAFGNASGTSWYKACRIIYLTYESDEIGEWLSKLVSVREQDVREVDW -KRRVESAVSSLLLNLLAGAIGGIEVEKHSCPVRASDCDALSAVILMMGIRQVERDTGGLTTWAAGRIAET -MTMMESKVVTAIEASLIMSSGDKAHELWANIWQRGAIYHMPGMMWMARHKFDHKRRSDGYIKAYLARLCS -PKSKGGSGRVSMTGSTFHPEQRWSGKHKQQGEWRRASGSTSLVELRGIEDVPTISCGEAIKSTKAVALGL -IGSCITQGLPAKKVCVVLGRLLAKRRQVLIGKVEKVEEPEQQL - ->YP_009507949.1 unknown [Verticillium dahliae chrysovirus 1] -MAPSGSNFFKNLWSDAAQPKTRRRKTRGEKKAAREIRRGVVPDTAGWVSEDRPSKANLVGIVIPSMFGKT -TTANKLGWIDVDDLITQEGRAQSMADFGSKYLSGGWIRAMDEYNRMCERALSLLTFSEATVILGHSVSSL -VAIGVAPAMRIIPSQKFFDRVVPTMDKDEEAFALANYNAVRLEDDSSPLVVVDSYETAGAVLAATTEKIG -QKLGKTYVDRDTIIADYNGGKITRVDADVYMRELGRPYKGFGRLAGSWARAVSHVGTQRLRPTKEQTPIT -PNLLNKAMAVDPELTKMVVGAKQFEPQTHVEQCLLLIISMEDLPDFREVVGSLLCTPLNCWVKVMRQVST -LARSSDNYLGFTLCGKERKMMSELWLLGNRHLRELDQLVMDRLHGCGGTYKPEPSTADVLDSMVWVGLKE -SGGTVREELTRRLRKWVGSWDVADSAGIDAINSASEALTEGKTPWHRTCGEVYHSVKSKEIGAWLFRLVK -ICQDDVVKVLWRERIEAALSKLLCYVLAAKASRTQLMKYSVPVMCCDDEAIAAAILSMGLRLEDKGDIGW -ASQGATAVAETVSCLESRVILVAEVAHTVASVERDKDLVANLWHRARASDLSGLTWILRREFDDNKDKVS -DSHIRRYIEHACTPKARGGRGLMSARGETFSPSNGGGLWVQVPSSGGRVRAVLNTRKAGTSLSVGDAKRS -GNAMSFGLIGSCLTKGMDREVLGLVVGRIASTKRKQITFDGAVPETWELEDLINDTLREALTVL - ->YP_052861.1 Hv145SV-protein 4 [Helminthosporium victoriae 145S virus] -MEAIGHLLKGVAKVNGDRRVDSTMGSMVEPTVNSRGGMRIERNSKVREVNLARAFRKSRITWKLPAEYRD -EESMNIDLRPNLYTVVMPAGCGKTTIANEFNCIDVDDLAGVDSRAELMGWLKEISDGTASEKSEWVRIVN -KALDKMVFEEPVVMLVHDHLTAKLVGSIRAGTIMTPKDQVKGMNKNRDKKWNDIFEVTWAMAHDSNVKRK -WYTPTTAECYRRLARVMANLHCEVPPPNVCFFDAGMYDMELFKGNENRIEELVELNEAGLCPSLAIHKCC -IKNGMRTEMPWVSYSKLAGRLANRKAAGVAGKKSGAIKKSLLWDKFNLGEHEDAVAIDKLLVKSSDAFSN -CVVLWWKTVMQEYDIANHIYKMILGVQEKEWRDVLTDIGQVLLTGAIGNVYVSPESANVVMSARAFSDSE -GVTKACDNWSLEMNFEETKSDVMVMIDRRVLDKVSCGDGEYMCAEAEWCRWKLDDCGLVRGVVNITNMEA -DDQYRVVRCIKAIEGGIQLEPVIGSDQYLAEMRNAREVERIVRMSAYKVSAMGTILTTDFEALPRTRCAL -EAMFEWTKWLSGNYEEYLYDSVRPTRVIKEICIPSSKLEMYSGLTTMFMMGDKEAAVVLAANAMRNRREW -TQDVEAYHMCEVRSTESMRLISKSFREAKGHIHRICSSRKKKDSVVWVEDSTMEDMIDEITVSIRETMLC -GDFDFTEVSSASG - diff --git a/seq/clusters_seq/cluster_1239 b/seq/clusters_seq/cluster_1239 deleted file mode 100644 index 33f0956..0000000 --- a/seq/clusters_seq/cluster_1239 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009513271.1 small hydrophobic protein [Human metapneumovirus] -MITLDVIKSDGSSKTCTHLKKIIKDHSGKVLIVLKLILALLTFLTVTITINYIKVENNLQICQSKTESDK -KDSSSNTTSVTTKTTLNHDITQYFKSLIQRYTNSAINSDTCWKINRNQCTNITTYKFLCFKSEDTKTNNC -DKLTDLCRNKPKPAVGVYHIVECHCIYTVKWKCYHYPTDETQS - ->sp|Q2Y2M0.1|SH_AMPV1 RecName: Full=Small hydrophobic protein -MEPLKVSGSGGIPMKTRLNIILEKSINKILIILGLLLIASTVITITLTVEYIRVENELQLCKMGAEVAKT -TLEPPAQPTKTTPTLTSTRSTTATFKTRPVSRTNHHTNPSCWREEEKCQNITAKWSNCFGTFLPVRVNCT -VLRELCDEQLGNHTTVQVSKRCTCIYALNWDCSYA - ->sp|Q6WB95.1|SH_HMPVC RecName: Full=Small hydrophobic protein -MITLDVIKSDGSSKTCTHLKKIIKDHSGKVLIALKLILALLTFFTITITINYIKVENNLQICQSKTESDK -EDSPSNTTSVTTKTTLDHDITQYFKRLIQRYTDSVINKDTCWKISRNQCTNITTYKFLCFKPEDSKINSC -DRLTDLCRNKSKSAAEAYHTVECHCIYTIEWKCYHHSID - diff --git a/seq/clusters_seq/cluster_124 b/seq/clusters_seq/cluster_124 deleted file mode 100644 index 39cf52b..0000000 --- a/seq/clusters_seq/cluster_124 +++ /dev/null @@ -1,1627 +0,0 @@ ->YP_001469634.1 polyprotein [Hepatitis C virus genotype 6] -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARP -SQGRTWGQPGYPWPLYGNEGCGWAGWLMSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVV -GGPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFILALLSCLTTPASALTYGNSSGLYHLTNDCPRS -SIVLEAEAMILHLAGCVPCVRAGNISRCWHPVSPTLAVPNASVPASGFRKHVDLLAGAAVVCSSMYIGDL -CGAVFLAGQLATFSPRIHDITQDCNCSVYTGHVTGHRMAWDMMMNWSPTTTLVLSSILRVPEIVLEVFAG -GHWGVLIAIAYFGMSGNWLKVIAVLFLFAGVEATTTVGRAAGRSAYLFTSIFSSGPNQKIQLINTNGSWH -INRTALNCIDSLQTGFLSALFYRSNFNSTGCSERLGACKPLEHFQQGWGPITHKSNITGPSEDRPYCWHY -APRECSVVPASSVCGPVYCFTPSPVVVGTTDRLGNPTYNWGENETDVFMLESLRPPQGGWFGCTWMNSTG -FTKTCGAPPCQLIPGDYNSSSNQLLCPTDCFRKHPEATYQKCGSGPWLTPRCLVDYPYRLWHYPCTVNYT -IHKVRMFIGGVEHRFDAACNWTRGDRCDLYDRDRIEMSPLLFSTTQLAILPCSFTTMPALSTGLIHLHQN -IVDVQYLYGVSSSIVSWAVKWEYVVLMFLVLADARICTCLWLMLLVGKVEAALERLVVLNAASAAGTAGW -CWTLIFLCCVWHVKGRLVPACTYTALGMWPILLVILALPQRAYAWDNSQAASLGVVALLVLTIFTLSPMY -KQLLTHAIWWNQYMLARAEAMIHDWVPDLRVRGGRDAIILLTCLLHPHLGFEVTKILLAILAPLYILQHS -LLKVPYFVRAHILLRACMFFRKVAAGKYVQACLLRLGAWTGTYIYDHLAPLSEWASDGLRDLAVAVEPVI -FSPMEKKIITWGADTAACGDILRGLPVSARLGDLVLLGPADDMRHGGWKLLAPITAYAQQTRGLVGTIVT -SLTGRDKNEAEGEVQVVSTATQSFLATTINGVLWTVYHGAGSKNLAGPKGPVCQMYTNVDQDLVGWPAPL -GARSLAPCTCGSSDLYLVTRGADVIPARRRGDTRAALLSPRPISTLKGSSGGPLMCPSGHVVGLFRAAVC -TRGVAKALDFIPVENMDTTMRSPVFTDNSSPPAVPQTYQVGYLHAPTGSGKSTRVPAAYATQGYKVLVLN -PSVAATLSFGAYMSKAHGIDPNIRTGVRTITTGGPVTYSTYGKFLADGGCSGGAYDIIICDECHSTDPTT -VLGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITETALPTTGEIPFYGKCIPLEFIKGGRHLIFCH -SKKKCDELSKQLTSLGLNAVAFYRGVDVAVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFS -LDPTFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRFVSQGERPSGMFDSVVLCEAYDTGCAWYELTPAET -TVRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWD -VMWKCLTRLKPTLTGPTPLLYRLGAVQNEIVTTHPITKYIMTCMSADLEVITSTWVIVGGVLAALAAYCL -TVGCVVICGRIVTSGKPAVVPDREVLYQQFDEMEECSKHIPYLVEGQQIAEQFKQKVLGLLQAGTKHAEE -LKPAIHSTWPRVEEFWRKHMWNFVSGIQYLAGLSTLPGSPAVASLMSFTASLTSPLRTSQTLLLNILGGW -IASQVAPPSASTAFVVSGLAGATVASIGLGRVIVDILAGYGAGVAGALVAFKIMSGECPSTEDMVNLLPA -LLSPGALVVGVVCAAILRRHVGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASNKVTQILSSLTITS -LLRRLHQWIHEDTSTPCASSWLRDVWDWVCTVLSDFKTWLKAKITPRIPGIPFISCQAGYRGVWAGDGVC -HTTCSCGAQIAGHVKNGSMKITGPRMCSNTWHGTFPINATTTSPSVPVPAPNYKRALWRVSAEEYVEVER -HGDRHYVVGVTADGLKCPCQVPGPEFFTEVDGVRIHRYAPPCKPLLRDEVSFSVGLLEFVVGSQLPCEPE -PDVTVVTSMLTDPSHITAETASRRLKRGSPPSLASSSASQLSAPSLKATCTANGDHPDAELIEANLLWRQ -EMGSNITRVESETKVVILDSFDPLVAEYDDREISVSAECHRPPRPKFPPALPIWARPDYNPPLLQKWQMP -GYEPPVVSGCALPPAKPTPIPPPRRKRLIQLDESAVSQALQQLADKVFVEDTSTSEPSSGLGGSIAGPSS -PDPTTADDTCSDAGSFSSMPPLEGEPGDPDLSTGSWSTVSEEDDVVCCSMSYTWTGALITPCAAEEEKLP -INPLSNSLIRHHNMVYSTTSRSAGLRQKKVTFDRLQVVDQHYQDVLKEIKLRASTVHARLLSTEEACSLT -PPHSARSRYGYGARDVRSHTSKAVKHIDSVWEDLLEDNATPIPTTIMAKNEVFCVDPSKGGRKPARLIVY -PDLSVRVCEKMALYDVTQKLPKTVMGSAYGFQYSPSQRVEYLLKMWRSKKTPMGFSYDTRCFDSTVTERD -IRTEEDIYQSCQLDPTARKAISSLTERLYCGGPMFNSKGESCGYRRCRASGVLTTSLGNTLTCYLKAQAA -CRAANIKNFDMLVCGDDLVVICESAGVQEDVVALRAFTDAMIRYSAPPGDAPQPTYDLELITSCSSNVSV -AHDGTGQRYYYLTRDCTTPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFSILQCQEQLEAA -LNFDMYGVTYSVTPLDLPAIIQRLHGMAAFSLHGYSPTELNRVGASLRKLGAPPLRAWRHRARAVRAKLI -AQGGKAAICGKYLFNWAVKTKLKLTPLAAASQLDLSGWFVAGYDGGDIYHSVSRARPRLLLLGLLLLTVG -VGIFLLPAR - ->sp|Q5I2N3.3|POLG_HCV6A RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PQGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASALTYGNSSGLYHLTNDCPNS -SIVLEADAMILHLPGCLPCVKVGNQSTCWHAVSPTLAIPNASTPATGFRRHVDLLAGAAVVCSSLYIGDL -CGSLFLAGQLFTFQPRRHWTVQECNCSIYTGHVTGHRMAWDMMMSWSPTTTLVLSSILRVPEICASVIFG -GHWGILLAVAYFGMAGNWLKVLAVLFLFAGVEATTTVGHGVARTTAGITGLFSPGASQNLQLIKNGSSWH -INRTALNCNDSLQTGFLASLFYVRKFNSSGCPERMAVCKSLADFRQGWGQITYKVNISGPSDDRPYCWHY -APRPCDVVPASTVCGPVYCFTPSPVVIGTTDRRGNPTYTWGENETDVFMLESLRPPTGGWFGCTWMNSTG -FTKTCGAPPCQIIPGDYNSSANELLCPTDCFRKHPEATYQRCGSGPWVTPRCLVDYPYRLWHYPCTVNFT -VHKVRMFVGGIEHRFDAACNWTRGERCELHDRDRIEMSPLLFSTTQLAILPCSFSTMPALSTGLIHLHQN -IVDVQYLYGVSSSVTSWVVKWEYIVLMFLVLADARICTCLWLMLLISNVEAAVERLVVLNAASAAGTAGW -WWAVLFLCCVWYVKGRLVPACTYMALGMWPLLLTILALPHRAYAMDNEQAASLGAVGLLAITIFTITPTY -KKLLTCFIWWNQYFLARAEAMVHEWVPDLRVRGGRDSIILLTCLLHPQLGFEVTKILLAILAPLYILQYS -LLKVPYFVRAHILLRACLLVRRLAGGRYVQACLLRLGAWTGTFIYDHLAPLSDWASDGLRDLAVAVEPVI -FSPMEKKIITWGADTAACGDILSGLPVSARLGNLVLLGPADDMQRGGWKLLAPITAYAQQTRGLVGTIVT -SLTGRDKNEVEGEVQVVSTATQSFLATSINGVMWTVYHGAGSKTLAGPKGPVCQMYTNVDKDLVGWPSPP -GARSLTPCTCGSSDLYLVTREADVIPARRRGDNRAALLSPRPISTLKGSSGGPVMCPSGHVVGLFRAAVC -TRGVAKSLDFIPVENMETTMRSPSFTDNSTPPAVPQTYQVGYLHAPTGSGKSTRVPAAYASQGYKVLVLN -PSVAATLSFGSYMRQAYGVEPNVRTGVRTVTTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTT -VLGIGTVLDQAETAGARLTVLATATPPGSITVPHPNITETALPTTGEIPFYGKAIPLEYIKGGRHLIFCH -SKKKCDELAGKLKSLGLNAVAFYRGVDVSVIPTSGDVVICATDALMTGYTGDFDSVIDCNVAVTQVVDFS -LDPTFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRFVSQGERPSGMFDTVVLCEAYDTGCAWYELTPSET -TVRLRAYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWD -TMWKCLIRLKPTLTGPTPLLYRLGAVQNEIITTHPITKYIMTCMSADLEVITSTWVLVGGVLAALAAYCL -SVGCVVICGRITLTGKPAVVPDREILYQQFDEMEECSRHIPYLAEGQQIAEQFRQKVLGLLQASAKQAEE -LKPAVHSAWPRMEEFWRKHMWNFVSGIQYLAGLSTLPGNPAVASLMSFTASLTSPLRTSQTLLLNILGGW -IAAQVAPPPASTAFVVSGLAGAAVGSIRLGRVLVDVLAGYGAGVSGALVAFKIMSGDCPTTEDMVNLLPA -LLSPGALVVGVVCAAILRRHVGPAEGANQWMNRLIAFASRGNHVSPTHYVPETDASKNVTQILTSLTITS -LLRRLHQWVNEDTATPCATSWLRDVWDWVCTVLSDFKVWLQAKLFPRLPGIPFLSCQTGYRGVWAGDGVC -HTTCTCGAVIAGHVKNGTMKITGPKTCSNTWHGTFPINATTTGPSTPRPAPNYQRALWRVSAEDYVEVRR -LGDCHYVVGVTAEGLKCPCQVPAPEFFTEVDGVRIHRYAPPCKPLLRDEVTFSVGLSNYAIGSQLPCEPE -PDVTVVTSMLTDPTHITAETASRRLKRGSPPSLASSSASQLSAPSLKATCTTSKDHPDMELIEANLLWRQ -EMGGNITRVESENKVVVLDSFEPLTAEYDEREISVSAECHRPPRHKFPPALPIWARPDYNPPLLQAWQMP -GYEPPVVSGCAVAPPKPAPIPPPRRKRLVHLDESTVSRALAQLADKVFVEGSSDPGPSSDSGLSITSPDP -PAPTTPDDACSEAESYSSMPPLEGEPGDPDLSSGSWSTVSDQDDVVCCSMSYSWTGALITPCAAEEEKLP -INPLSNSLIRHHNMVYSTTSRSASLRQKKVTFDRLQVFDQHYQDVLKEIKLRASTVQARLLSIEEACDLT -PSHSARSKYGYGAQDVRSHASKAINHIRSVWEDLLEDSDTPIPTTIMAKNEVFCVDPSKGGRKPARLIVY -PDLGVRVCEKMALYDVTRKLPQAVMGSAYGFQYSPNQRVEYLLKMWRSKKVPMGFSYDTRCFDSTVTERD -IRTENDIYQSCQLDPVARRAVSSLTERLYVGGPMVNSKGQSCGYRRCRASGVLPTSMGNTLTCYLKAQAA -CRAANIKDCDMLVCGDDLVVICESAGVQEDTASLRAFTDAMTRYSAPPGDVPQPTYDLELITSCSSNVSV -AHDGNGKRYYYLTRDCTTPLARAAWETARHTPVNSWLGNIIMFAPTIWVRMVLMTHFFSILQSQEQLEKA -LDFDIYGVTYSVSPLDLPAIIQRLHGMAAFSLHGYSPTELNRVGACLRKLGVPPLRAWRHRARAVRAKLI -AQGGKAAICGKYLFNWAVKTKLKLTPLVSASKLDLSGWFVAGYDGGDIYHSVSQARPRLLLLGLLLLTVG -VGIFLVPAR - ->sp|Q68798.3|POLG_HCVJL RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRQTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -QTGRAWGQPGYAWPLYGNEGCGWAGWLLSPRGSRPTWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVI -GGPLGGVAAALAHGVRAVEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAVNYANKSGIYHLTNDCPNS -SMVYEAEAIILHLPGCVPCIRTGNQSRCWTPATPTLAIPNSTVPASGFRQHIDLMVGAAALCSAMYLGDL -CGGVFLVGQLFTFRPRIHQTVQDCNCSIYTGHVTGHRMAWDMMMNWSPTATFVVSSALRAPQVLFDIFAG -GHWGIIGALLYYSTAANWAKVIIVLLLFAGVDASTYVASSVSQATSGLVSLFSAGARQNLQLINTNGSWH -INRTALNCNDSLQTGFIASLFYRNKFNATGCPERLSACKTLDSFDQGWGPITYANISGPAVEKPYCWHYP -PRPCEVVSALNVCGPVYCFTPSPVVLGTTDRRGNPTYTWGANETDVFMMSSLRPPAGGWYGCTWMNTSGF -VKTCGAPPCNIRPNPEENRTETLRCPTDCFRKHPGATYAKCGSGPWLTPRCLVDYPYRLWHYPCTVNYTL -HKVRMYIAGSEHRFTAACNWTRGERCDLADRDRIEMSPLLFSTTELAILPCSFTTMPALSTGLIHLHQNV -VDVQYLYGLSTSIVNWAIKWEYVVLLFLVLADSRICLALWLMLLIGQAEAALENLIVLNAASAAATRGWE -CFLLFMCWAWYVRGRVVPAVTYGLLNLWPLLLLVLLLPHRAYAYDGVQAGSIGAAVIAALTIFSLTPAYK -TLLAHFLWWTQYFIAHIEAKLHVWVPFLRVRGGRDAIILLTCVFHPSLGFEVTKILLALIGPLYLLHASL -LRVPYYVRAHALIRICALVQNVAGGKYVQAAILRAGSWTGTYIYDHLVPLRTWASDGLRDLAVAVEPVVF -SPMEKKVITWGADTAACGDILAGLPVSARRGNLILLGPADDVKDKGWSLLAPITAYAQQTRGLLGTIVTS -LTGRDKNEAAGEIQILSTATQTFLATCVNGVCWTVYHGAGSKTLAGPRGPVCQMYTNVDQDMVGWPAPAG -TRSYTPCTCGASDLYLITRQADVIPARRRGDNRAGLISPRPISTLKGSSGGPLLCPSGHVVGLFRAAVCT -RGVAKALDFVPCEAMDATTRSPTFTDNSTPPAVPQAYQVGYLHAPTGSGKSTKVPVAYASQGYKVLVLNP -SVAATLSFGSYLSRAHGIDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTTV -LGIGTVLDQAETAGCRLTVLATATPPGSVTVPHPNIQETALPLTGEVPFYGKAIPLEYIKGGRHLIFCHS -KKKCDELAAQLRTLGLNAVAFYRGVDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQIVDFSL -DPTFSIETTTVPQDAVARSQRRGRTGRGKPGVYRYVSQGERPSGMFDTVVLCEAYDTGAAWYELTPAETT -VRLRAYLNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDT -MWKCLLRLKPTLTGPTPLLYRLGAVQNEVTPTHPVTKYIMACMSADLEVITSTWVVAGGILAAIAAYCLT -VGSVVICGRITTSSRPAVIPDREVMYQQYDEMEECSRHLPYLVEGQQLAEQFKQNVLGLIQVTTKQAEEL -KPAVHSAWPKLEQFWYKHMWNFISGIQYLAGLSTLPGNPAVAALMSFSASLTSPLTTAQTLLLNVLGGWV -ASQLATPVPATAFVVSGLAGAAIGSIGLGKVIVDILAGYGAGVSGALVAFKIMSGETPSVEDMVNLLPAL -LSPGALVVGVVRAAILRRHVGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRAVTNILSSLTITSL -LRKLHHWITEDYATPCGSTWLRDIWDWVCTVLSDFRVWLKSKLMPSLPGVPFFSCQRGYRGTWRGDGICN -TTCPCGASIAGHVKNGTMRIVGPRTCSNVWNGTFPINATTTGPSIPIPAPNYKKALWRVSATEYVEVVRV -GDSHYITGVTAENTKCPCQVPAPEFFTEVDGVRLHRYAPECKPILRDEVTFTVGLSTYVVGSQLPCEPEP -DVLVVTSMLRDPDHITAEEASRRLKRGSPPSLASSSASQLSAPSLKATCTTHADHPDAELVEANLLWRQE -MGGNITRVESENKIVILDSFEPLKAEFDDREISVAAECHRPPRFKYPPALPVWARPDYNPPLLETWKAPD -YDPPVVSGCALPPQGLPPVPPPRRKKLVQLDDSVVGHVLAQLAEKSFPATPDQPQTNSDSGHGTNGAASL -PSAEDDDASDADSYSSMPPLEGEPGDPDLSDGGGSGSWSTVSSEETSVVCCSMSYSWTGALITPCAAEEE -KLPISPLSNTLIRHHNMVYSTTSRSAALRQKKVTFDRQQVVDQHYYDTLKEMKARASTVSAKLLSVEEAC -DLTPAHSARSKFGYGAKDVRGRTSKALNHINSVWEDLLEDNVTPIPTTIMAKNEVFCVDVSKGGRKPARL -IVYPDLSVRVCEKRALYDVTRKLPVAVMGAAYGFQYSPSQRVEYLLKIWRSKKTPMGFSYDTRCFDSTVT -ERDIRTEESIYQCCELDPVARKAISSLTERLYVGGPMYNSQGQSCGYRRCRASGVLPTSMGNTLTCYLKA -MAACKAAGLKNFDMLVCGDDLVVISESLGVSEDASALRAFTDAMTRYSAPPGDEPHPEYDLEHITSCSSN -VSVAHDHTGQRYYYLTRDPTNVLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFGILQPQEQL -HKALDFDMYGVTYNITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVGACLRKLGAPPLRAWRHRARAVRA -KLIAQGGKAAICGMYLFNWAVKTKLKLTPLRDAHRLDLSGWFVAGYSGGDIFHSVSHARPRVLLLCLLLL -TVGVGIFFLPPR - ->sp|O92532.3|POLG_HCVVP RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PIGRSWGQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVL -GGPLGGVAAALAHGVRAIEDGVNYATGNLPGCSFSIFLLALLSCLTTPASAIQVRNASGIYHLTNDCSNN -SIVFEAETIILHLPGCVPCIKVGNGSRCWLSVSPTLAVPNSSVPIHGFRRHVDLLVGAAAFCSAMYIGDL -CGSVFLVGQLFTFRPKHHQVTQDCNCSIYAGHITGHRMAWDMMLNWSPTVSYVVSSALRVPQLLLEVITG -AHWGVLGALLYFSMVANWAKVIAVLFLFAGADATTYTGSAVSSTTGAFVSLFSPGPTQNLQLVNSNGSWH -INRTALNCNDSLQTGFIAGLFARYKFNSTGCPERMSKCRPLHSFEQGWGPISYVNISGSSEDKPYCWHYA -PRPCGIVPARNVCGPVYCFTPSPVVVGTTDQRGIPTYTWGENVSDVFLLHSARPPLGAWFGCTWMNSSGF -VKTCGAPPCRIKPTINETDLVCPTDCFRKHPDASFVKCGSGPWLTPRCMVDYPYRLWHYPCTVNFTIHKV -RVFVGGVEHRFNAACNWTRGDRCELDDRDRFEMSPLLFSTTQLAILPCSFTTMPALSTGLIHLHQNIVDI -QYLYGVSTAVVSWAMKWEYVVLAFLVLADARVCACLWLMFLVGQAEAALENVIVLNAASAASCQGLLWGL -IFICCAWHVRGRAVPVTTYALLQLWPLLLLILALPRRAYAFDSEQAASAGLLVLGLITIFTLTPAYKQLL -ISMLWWIQYFIALTEAQLHQWVPSLLVRGGRDAVILLACLFHPQLGFEVTKILLALLGPLYLLQYSLLKT -PYFVRAHILLRACMFFRGMARGRYAQAILLRIGAWTGTYIYDHLAPLSDWACDGLRDLAVAVEPVVFSPM -EKKVITWGADTAACGDIIAGLPVAARRGNLLFLGPADDVKGKGWRLLAPITAYAQQTRGIVGTIVTSLTG -RDKNEVEGEIQVVSTATQSFLATAVNGVLWTVYYGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPAGARS -LTPCSCGSSDLYLVTRNADVIPARRRGDNRAALLSPRPISTLKGSSGGPMLCPSGHVAGIFRAAVCTRGV -AKSLDFAPVESMQSSQRSPSFSDNTSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGSYMSTSHGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTTVSGI -GTVLDQAETSGVRLTVLATATPPGSVTVPHPNITESALPTTGEIPFYGKAVPLEYIKGGRHLIFCHPKKK -CDELAKQLVSLGLNAVAFYRGVDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVTVTQVVDFSLDPT -FTIETTTVPQDAVSRSQRRGRTGRGKHGVYRYVSQGERPSGMFDSVILCEAYDTGCAWYELTPAETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAEENFAYLVAYQATVCARAKAPPPSWDTMWK -CLIRLKPMLTGPTPLLYRLGPVQNEVVTTHPITKYIMTCMSADLEVITSTWVLVGGVVAALAAYCLSVGC -VVICGRISTSGKPVLIPDREVLYQQFDEMEECSRHIPYLAEGHLIAEQFKQKVLGLIQSTSKQAEELKPA -VHAAWPKLEQFWQKQLWNFVSGIQYLAGLSTLPGNPAIASLMSFSASLTSPLSTHQTLLLNILGGWVASQ -LANPTASTAFVVSGLAGAAVGSIGLGRVIVDVLAGYGAGVSGALVAFKIMCGETPSAEDMVNLLPALLSP -GALVVGVVCAAILRRHAGPSEGATQWMNRLIAFASRGNHVSPTHYVPETDTSRQIMTILSSLTVTSLLRK -LHEWINTDWSTPCSSSWLRDIWDWVCEVLSDFKTWLKAKLVPALPGVPFLSCQRGFRGTWRGDGICHTTC -PCGSEITGHVKNGTMKISGPRWCSNVSHRTFPINATTTGPSVPIPEPNYTRALWRVSAEEYVEVKRVGDS -HFVVGATTDNLKCPCQVPAPEFFTEVDGVRLHRYAPRCKPLLRDEVSFSVGLSSYAVGSQLPCEPEPDVT -VVTSMLIDPSHVTAEAAARRLARGSPPSLASSSASQLSAPSLKATCTMHGAHPDAELIEANLLWRQEMGG -NITRVESENKVVILDSFDPLVPEFEEREMSVPAECHRPRRPKFPPALPIWATPGYNPPVLETWKSPTYEP -PVVHGCALPPSGPPPIPPPRRKKVVQLDSSNVSAALAQLAAKTFETPSSPTTGYGSDQPDHSTESSEHDR -DDGVASEAESYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSYSYSWTGALVTPCAAEEEKLPINPL -SNSLIRHHNLVYSTSSRSAATRQKKVTFDRVQLLDQHYYDTVKEIKLRASHVKAQLLSTEEACDLTPPHS -ARSKFGYGAKDVRSHASKAINHINSVWADLLEDTQTPIPTTIMAKNEVFCVDASKGGRKSARLIVYPDLG -VRVCEKRALFDVTRKLPTAIMGDAYGFQYSPQQRVDRLLKMWRSKKTPMGFSYDTRCFDSTVTERDIRTE -QDIYLSCQLDPEARKVIESLTERLYVGGPMYNSKGQLCGQRRCRASGVLPTSMGNTVTCFLKATAACRAA -GFTDYDMLVCGDDLVVVTESAGVNEDIANLRAFTEAMTRYSATPGDEPSPTYDLELITSCSSNVSVAHDG -DGRRYYYLTRDPVTPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFQILQAQETLDRALDFD -IYGVTYSITPLDLPVIIQRLHGMAAFSLHGYSPDELNRVASCLRKLGAPPLRAWRHRARAVRAKLIAQGG -KAAVCGKYLFNWAIKTKLRLTPLRGASALDLSGWFTSGYGGGDVYHSASRARPRFLLLCLLLLSVGVGIF -LLPAR - ->sp|O92531.3|POLG_HCVVO RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -SQGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVHYRNISGIYHLTNDCPNS -SIIYEADNIIMHTPGCVPCVKTGNKSQCWVPVAPTLAVANASVPIRGFRSHVDLLVGSAAACSALYIGDL -CGGVFLVGQLFTFRPRQHTTVQECNCSIYTGHITGHRMAWDMMMNWSPTVTFITSSLLRVPQLLLEIALE -GHWGVIGALLYYSMVANWAKVFAVLLLFAGVDATTHIGSSASATTNRLTSFFSPGSKQNVQLIKTNGSWH -INRTALNCNDSLHTGFIAGLLYAHRFNSSGCPERLSSCRPLHAFEQGWGPLTYANISGPSNDKPYCWHYP -PRPCDIVPARSVCGPVYCFTPSPVVVGTTDRKGLPTYTWGANESDVFLLRSTRPPRGSWFGCTWMNSTGF -VKTCGAPPCNTRPVGSGNDTLVCPTDCFRKHPEATYARCGSGPWLTPRCLVNYPYRLWHYPCTVNYTIHK -VRMFVGGIEHRFEAACNWTRGERCELDDRDRVEMSPLLFSTTQLSILPCSFTTMPALSTGLIHLHQNIVD -VQYLYGVSSAVVSWAVKWEYIVLAFLVLAVARVCACLWLMFLVGQAEAALENLIVLNATSAAGSQGWVWG -VVFICAAWYIRGRAAPITTYAILQLWPLLLLVLALPRRAYAYNGEEAASLGMLAIVIITIFTLTPAYKTL -LISTLWWIQYYIARAEAMLYVWVPSLQVRGGRDAVILLTCLLHPQLGFEVTKAILALLGPLYILQYSLLK -TPYFVRAHILLRVCMFLRGVAGGKYVQAALLRLGAWTGTYIYDHLTPLSDWACDGLRDLAVAVEPVVFSP -MEKKVITWGADTVACGDIISGLPVSARRGNLIFLGPADDIRDGGWRLLAPITAYAQQTRGLVGTIVTSLT -GRDKNEVEGEIQVVSTATQSFLATTVNGVLWTVYHGAGSKTLAGPKGPICQMYTNVDQDLVGWPAPPGAR -SLTPCTCGSSDLYLVTRNADVIPARRRGDTRAALLSPRPISTLKGSSGGPMLCPSGHVAGIFRAAVCTRG -VAKSLDFVPVENMQSTARSPSFSDNTTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSV -AATLGFGSYMSTAHGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLG -IGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITEVALSSTGEVPFYGKAIPLEYIKGGRHLIFCHSKK -KCDELAKQLTSLGLNAVAFYRGVDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVSVTQVVDFSLDP -TFTIETTTMPQDAVSRSQRRGRTGRGKHGVYRYVSQGERPSGIFDTVVLCEAYDTGCAWYELTPSETTVR -LRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHLLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDTMW -KCLIRLKPMLTGPTPLLYRLGAVQNEITTTHPITKYIMTCMSADLEVITSTWVLVGGVLAALAAYCLSVG -CVVVCGRISTTGKPVLIPDREVLYQQFDEMEECSRHIPYLVEGQHLAEQFKQKVLGLIQTTTRQAEEIEP -VVHSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSASLTSPLSTSTTLLLNILGGWVAS -QLANPTASTAFVVSGLAGATVGSIGLGRVLVDIIAGYGAGVSGALVAFKIMSGETPSAEDMVNLLPALLS -PGALVVGVVCAAILRRHAGPAEGATQWMNRLIAFASRGNHVSPTHYVPETDTSRQVMAILSSLTVTSLLR -KLHEWINSDWSTPCSGSWLRDIWDWVCTVLSDFKVWLKSKLVPALPGVPFLSCQRGFRGVWRGDGICRTT -CPCGADIVGHVKNGSMRISGSRWCSNIWHGTFPINATTTGPSVPIPEPNYKRALWRVSAEEYVEVARVGD -SHFVVGATNQDLKCPCQVPAPEFFTEVDGVRLHRFAPACKPLLRDEISFLVGLNSYAIGSQLPCEPEPDV -TVVTSMLVDPSHLTAEAAARRLARGSPPSCASSLASQLSAPSLKATCTTHCAHPDADLIEANLLWRQEVG -GNITRVESENKVIVLDSFDPLVPEYDDREPSVPAECHRPNRPKFPPALPIWARPDYNPPLLETWKKPDYA -PPLVHGCALPSPVQPPVPPPRRKSVVHLDDSTVATALAELAEKSFPTQPASTPDSDSGHPTTSKSSDQAD -EGEDTPSEAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSMSYSWTGALVTPCAAEEEKLPINP -LSNSLIRHHNLVYSTTTRSAAMRQKKVTFDRLQILDQHYNNVVKEVKLRASGVTAKLLSVEEACSLTPPH -SARSKFGYGAKDVRSHTSKAINHINSVWEDLLEDNQTPIPTTIMAKNEVFCADVSKGGRKPARLIVYPDL -GVRVCEKRALYDVTRKLPTAIMGDAYGFQYSPKQRVDQLLKMWRSKKTPMGFSYDTRCFDSTVTEHDIKT -ERDVYLSCKLDPVARKAIESLTERLYIGGPMYNSRGQLCGTRRCRASGVLTTSLGNTMTCFIKAEAACRA -AGLTNYDMLVCGDDLVVIAESAGVQEDASNLRAFTEAMTRYSAPPGDEPHPAYDLELITSCSSNVSVAHD -HTGQRYYYLTRDPTTPLSRAAWETARHTPVNSWLGNIIMYAPAIWVRMVLMTHFFQILQAQEQLDKVLDF -DMYGVTYSVSPLQLPAIIQRLHGMAAFSLHGYSPTELNRVGACLRKLGAPPLRAWRHRARAVRAKLIAQG -GGAAICGKYLFNWAVKTKLKLTPIPDAARLDLSGWFISGFSGGDIYHSVSRARPRIFLLCLLLLSVGVGI -FLLPAR - ->sp|O92530.3|POLG_HCVVN RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPQKRNQRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -QTGRTWAQPGYPWPLYGNEGCGWMGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVHYANKSGIYHLTNDCPNS -SIVYEAEDFIMHLPGCVPCIKSGNGSSCWLPATLTIAVPNASIPVRGFRRHVDLMVGAAAFCSAMYVGDL -CGGIFLVGQLFSFNPRRHWVVQDCNCSIYVGHITGHRMAWDMMMNWSPTATLVLSYVMRIPQVIMDIFTG -GHWGILAGILYYSMVANWAKVLCILFLFAGVDATTRTTGAQAARATLGFTGLFQTGAKQNIHLINTNGSW -HINRTALNCNDSLNTGFMAALFYLHKFNSTGCPERLSACKSITQFAQGWGPVTYANVSGSSEDRPYCWHY -APRPCGVVSARSVCGPVYCFTPSPVVVGTTDRRGVPTYTWGENESDVFLLESLRPPAGAWYGCTWMNSTG -YTKTCGAPPCHIGPPDQFCPTDCFRKHPEATYRKCGSGPWLTPRCLVDYPYRLWHYPCTVNYTIHKVRLF -INGLEHRFDAACNWTRGERCELEDRDRIEMSPLLFSTTELAILPCSFTTMPALSTGLVHLHQNIVDIQYL -YGLAPALVSWAVRWEYVVLAFLLLADARICACLWMVLLISQVEAALENLIVLNAASAASSQGWIYCLVFI -CCAWYIKGRVVPGATYAILHLWPLLLLVLALPQRAYAQDREQGASIGVVVIAAITIFTLTPAYKTMLVHF -LWWNQYFIARSEALIQQWVPSLRVRGGRDAVILLTCLLHPSLGFDITKMLLALLGPLYLLQVSLLRVPYY -VRAHALLRVCILVRRVAGGKYIQAALLKLGAWTGTYIYDHLAPLSTWASDGLRDLAVAVEPVTFSPMEKK -IITWGADTAACGDILAGLPVSARLGHLLFLGPADDMKSMGWRLLAPITAYCQQTRGLLGTIVTSLTGRDR -NVVEGEIQVLSTATQSFLGTAINGVMWTVYHGAGSKTLAGPKGPVCQMYTNVDQDMVGWPAPPGTRSLTP -CTCGASDLYLVTRNADVIPARRRGDTRAGLLSPRPLSTLKGSSGGPLMCPSDHVVGLFRAAVCTRGVAKA -LDFVPVENMETTMRSPVFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNPSVAATL -GFGSYMSTAHGIDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGIGTV -LDQAETAGVRLTVLATATPPGSVTVPHPNITETALPSTGEVPFYGKAIPLECIKGGRHLIFCHSKKKCDE -LAKQLRTLGLNAVAFYRGVDVSVIPTAGDVVVCATDALMTGYTGDFDSVIDCNVAVTQIVDFSLDPTFSI -ETTTVPQDAVARSQRRGRTGRGKPGVYRYVSQGERPSGMFDTVVLCEAYDVGCAWYELTPSETTVRLRAY -LNTPGLPVCQDHLEFWEGVFTGMTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDTMWKCLI -RLKPMLTGPTPLLYRLGAVQNEIITTHPITKYIMTCMAADLEVITSTWVLAGGIVAALAAYCLTVGSVVI -CGRIVTSGKPVPLPDREVLYRQFDEMEECSRHIPYLAEGQQIAEQFKQKILGLLQNTAKQAEDLKPAVQS -AWPKLEQFWQKHLWNFVSGVQYLAGLSTLPGNPAVASLMSFSAALTSPLSTSTTLLLNILGGWVASQLAP -PTASTAFVVSGLAGAAVGSIGLGKVIIDILAGYGAGVSGALVAFKIMSGEAPAVEDMVNLLPALLSPGAL -VVGVVCAAVLRRHVGPSEGATQWMNRLIAFASRGNHVSPTHYVPETDASRAVTTILSSLTITSLLRRLHE -WISGDWSAPCSCSWLKDVWDWVCTVLSDFKTWLRAKLVPTLPGIPFISCQRGFRGVWRGDGVNYTTCSCG -ANITGHVKNGSMKIVGPKMCSNVWNNRFPINAITTGPSVPVPEPNYHKALWRVSAEDYVEVVRVNDHHYI -VGATADNLKCPCQVPAPEFFTEVDGVRLHRFAPPCRPLMRDDITFSVGLSTYVVGSQLPCEPEPDVVILT -SMLTDPDHITAETAARRLARGSPPSLASSSASQLSAPSLKATCTTAGKHPDAELIEANLLWRQEVGGNIT -RVESENKIIVLDSFDPLIAETDDREISVGAECFNPPRPKFPPALPVWARPDYNPPLLQPWKAPDYEPPLV -HGCALPPKGLPPVPPPRKKRVVQLDEGSAKRALAELAQTSFPPSTATLSEDSGRETSTLSSDMTPPREEA -DRASDDGSYSSMPPLEGEPGDPDLSSGSWSTVSEDHDSVVCCSMSYSWTGALITPCAAEEEKLPISPLSN -ALIRHHNLVYSTTSRSASLRQKKVTFDRVQVVDQHYYDVLKEIKTKASGVSAKLLSVEEACALTPPHSAR -SKFGYGAKEVRGLASKAVNHINSVWEDLLEDNSTPIPTTIMAKNEVFCVDAQKGGRKPARLIVYPDLGVR -VCEKRALYDVTQKLPIAVMGAAYGFQYSPKQRVDYLLKMWRSKKTPMGFSYDTRCFDSTVTERDIRTEED -IYQCCQLDPVAKKAITSLTERLYCGGPMYNSRGQSCGYRRCRASGVLTTSLGNTLTCYLKAQAACRAAKL -KDFDMLVCGDDLVVISESMGVAEDASALRAFTEAMTRYSAPPGDDPQPEYDLELITSCSSNVSVAHDGAG -QRYYYLTRDPLTPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFAILQSQEILHKALDFDMY -GVTYSVTPLDLPYIIQRLHGMAAFSLHGYSPGELNRVASCLRKLGAPPLRAWRHRARAVRAKLIAQGGKH -AICGKYLFNWAVRTKLKLTPLRGAANLDLSGWFVSGGSGGDIFHSVSRARPRNLLLCLLLLTVGVGIFLL -PAR - ->sp|O39927.3|POLG_HCVEU RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRKGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PQGRHWAQPGYPWPLYGSEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMWYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASALTYGNSSGLYHLTNDCSNS -SIVLEADAMILHLPGCLPCVRVGNQSTCWHAVSPTLATPNASTPATGFRRHVDLLAGAAVVCSSLYIGDL -CGSLFLAGQLFAFQPRRHWTVQDCNCSIYTGHVTGHKMAWDMMMNWSPTTTLVLSSILRVPEICASVIFG -GHWGILLAVAYFGMAGNWLKVLAVLFLFAGVEAQTMIAHGVSQTTSGFASLLTPGAKQNIQLINTNGSWH -INRTALNCNDSLQTGFLASLFYTHKFNSSGCPERMAACKPLAEFRQGWGQITHKNVSGPSDDRPYCWHYA -PRPCEVVPARSVCGPVYCFTPSPVVVGTTDKRGNPTYTWGENETDVFMLESLRPPTGGWFGCTWMNSTGF -TKTCGAPPCQIVPGNYNSSANELLCPTDCFRKHPEATYQRCGSGPWVTPRCLVDYAYRLWHYPCTVNFTL -HKVRMFVGGTEHRFDVACNWTRGERCELHDRNRIEMSPLLFSTTQLSILPCSFSTMPALSTGLIHLHQNI -VDVQYLYGVSTNVTSWVVKWEYIVLMFLVLADARICTCLWLMLLISTVEAAVERLVVLNAASAAGTAGWW -WAVLFLCCVWYVKGRLVPACTYMALGMWPLLLTILALPPRAYAMDNEQAASLGAVGLLVITIFSITPMYK -KLLNCFIWWNQYFLARAEAMVHEWVPDLRVRGGRDSIILLTCLLHPQLGFEVTKILLAVLAPLYILQYSL -LKVPYFVRAHILLRACLLVRRLAGGKYVQACLLRLGAWTGTFVYDHLAPLSDWASDGLRDLAVAVEPVIF -SPMEKKIITWGADTAACGDILSGLPVSARLGNLVLLGPADDMQRGGWKLLAPITAYAQQTRGLVGTIVTS -LTGRDKNEVEGEVQVVSTDTQSFVATSINGVMWTVYHGPGFKTLAGPKGPVCQMYTNVDLDLVGWPSPPG -ARSLTPCNCGSSDLYLVTREADVIPARRRGDSRAALLSPRPISTLKGSSGGPIMCPSGHVVGLFRAAVCT -RGVAKSLDFIPVENMETTMRSPSFTDNSTPPAVPQTYQVGYLHAPTGSGKSTRVPAAYASQGYKVLVLNP -SVAATLSFGSYMRQAYGVEPNIRTGVRTVTTGGAITYSTYGEFLADGGCSGGAYDIIICDECHSTDPTTV -LGVGTVLDQAETAGVRLTVLPTATPPGSVTVPHPNITETALPTTGEIPFYGKAIPLEYIKGGRHLIFCHS -KKKCDELAGKLKSLGLNAVAFYRGVDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSL -DPTFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRFVSQGERPSGMFDTVVLCEAYDTGCAWYELTPSETT -VRLRAYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSHTKQAGENFAYLVAYQATVCARAKAPPPSWDM -MWKCLIRLKPTLTGPTPLLYRLGAVQNGVITTHPITKYIMTCMSADLEVITSTWVLVGGVLAALAAYCLS -VGCVVICGRITLTGKPAVVPDREILYQQFDEMEECSRHIPYLAEGQQIAEQFRQKVLGLLQASAKQAEEL -KPAVHSAWPRVEDFWRKHMWNFVSGIQYLAGLSTLPGNPAVASLMSFTASLTSPLRTSQTLLLNILGGWI -AAQVAPPPASTAFVVSGLAGAAVGSIRLGRVLVDVLAGYGAGVSGALVAFKIMSGECPSTEDMVNLLPAL -LSPGVALVGVVCAAILRRHVGPAEGANQWMNRLIAFASRGNHVSPTHYVPETDASKNVTQILTSLTITSL -LRRLHQWVNEDTATPCATSWLRDVWDWVCTVLSDFKVWLQAKLFPRLPGIPFLSCQAGYRGVWAGDGVCH -TTCTCGAVIAGHVKNGTMKITGPKTCSNTWHGTFPINATTTGPSTPRPAPNYQRALWRVSAEDYVEVRRL -GDCHYVVGVTAEGLKCPCQVPAPEFFTEVDGVRIHRYAPPCKPLLRDEVTFSVGLSNYAVGSQLPCEPEP -DVTVVTSMLTDPTHITAETAARRLKKGSPPSLASSSANQLSAPSLRATCTTSQKHPEMELLQANLLWKHE -MGSHIPRVQSENKVVVLDSFELYPLEYEEREISVSVECHRQPRCKFPPVFPVWARPDNNPPFIQAWQMPG -YEPPVVSGCAVAPPKPAPVPPPRRKRLVHLDESTVSHALAQLADKVFVESSNDPGPSSDSGLSITSPVPP -DPTTPEDAGSEAESYSSMPPLEGEPGDPDLSSGSWSTVSDEDDVVCCSMSYSWTGALITPCAAEEEKLPI -NPLSNSLVRHHNMVYSTTSRSASLRQKKVTFDRVQVFDQHYQDVLKEIKLRASTVQAKLLSIEEACDLTP -SHSARSKYGYGAQDVRSRASKAVDHIPSVWEGLLEDSDTPIPTTIMAKNEVFCVDPSKGGRKPARLIVYP -DLGVRVCEKMALYDVTQKLPQAVMGPAYGFQYSPNQRVEYLLKMWRSKKVPMGFSYDTRCFDSTVTERDI -RTENDIYQSCQLDPVARRVVSSLTERLYVGGPMANSKGQSCGYRRCRASGVLPTSMGNTLTCYLKAQAAC -RAANIKDCDMLVCGDDLVVICESAGVQEDTASLRAFTDAMTRYSAPPGDAPQPTYDLELITSCSSNVSVA -HEGNGKKYYYLTRDCTTPLARAAWETARHTPVNSWLGNIIMFAPTIWVRMVLMNHFFSILQSQEQLEKAF -DFDIYGVTYSVSPLDLPAIIQRLHGMAAFSLHGYSPVELNRVGACLRKLGVLPSRAWRHRARAVRAKLIA -QGGKAAICGKYLFNWAVKTKLKLTPLVSASKLDLSGWFVAGYDGGDIYHSVSQARPRFLLLGLLLLTVGV -GIFLLPAR - ->AJF19155.1 polyprotein [Hepacivirus C] -MSTIPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRSRRQPIPKARQ -QTGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTITCGFADLMGYIPVL -GAPLGGVAAALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVHYRNASGVYHLTNDCPNS -SIVYEAEMMILHLPGCVPCVRTHNTSQCWVPVSPTLAVPNASVPARGFRTHVDLLVGSAALCSALYVGDI -CGGVFLVGQLFTFQPRKHTTTQDCNCSIYTGHVTGHRMAWDMMMNWSPTTAYAVSALLRVPQLLYEIILE -GHWGVIGALLYYSMTANWAKVLAVLLLFAGVDADTHITGGIAARHTFGLANIFTPGARQNLQLINTNGSW -HINRTALNCNDSLQTGFIAGLLYHHKFNSSGCPERLSSCKDLRSFDQGWGPIQYANISGPSEDKPYCWHY -APRPCQVVPAREVCGPVYCFTPSPVVVGTTDRRGAPTYTWGENETDVLILQSARPPRGVWFGCTWMNSTG -FVKTCGAPPCRISPVAINNNTLVCPTDCFRKHPGATYARCGSGPWLTPRCLVDYPYRLWHYPCTVNFTIH -KVRLFLGGVEHRFDAACNWTRGERCELDDRDRVEMSPLLFSTTQLAILPCSFTTMPALSTGLIHLHQNIV -DTQYLYGLSTAVVSWAIKWEYVVLTFLVLADARICACLWLMFLVGQAEAALENTIILNAVSVASNQGLLF -GLALICIAWHIRGRAVPLFTYAYFQLWPLLLLVLCLPQRAFAYTNDEAASIGFLALAIITIFTLTPAYKQ -LLVSVLWWIQYFIARAEAVVHVWVPSLQVRGGRDAAILLTCLLHPQLGFEITKILLALLGPLYLLQYSLL -KTPYFVRAQILLRACLALRNIAGGKYAQAILLKLGACAGTYIYDHLAPLSDWACDGLRDLAVAVEPVIFS -PMEKKVITWGADTAACGDILAGLPVSARKGNLILLGPADDMRGLGWRLLAPITAYAQQTRGLLGTIVTSL -TGRDKDEVEGEIQVVSTATQTFLATSVNGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGA -RSLTPCACGSSDLYLITRNADVVPARRRGDTRAALLSPRPISTLKGSSGGPILCPSGHAVGIFRAAVCTR -GVAKSLDFVPVESMQSTARSPSFSDNSSPPAVPQSYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPS -VAATLGFGTYMSRAHGIDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTTVL -GIGTVLDQAETAGARLTVLATATPPGSVTVPHPNITESALPTTGEIPFYGKAIPLEFIKGGRHLIFCHSK -KKCDELAKQLTSLGLNAVAFYRGVDVSVIPTAGDVVVCATDALMTGYTGDFDSVIDCNVSVTQIVDFSLD -PTFSIETTTMPQDAVSRSQRRGRTGRGKHGEYRYVSQGERPSGMFDSVVLCEAYDTGCAWYELTPAETTV -RLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQQGENFAYLVAYQATVCARAKAPPPSWDTM -WKCLIRLKPMLTGPTPLLYRLGAVQNEIVTTHPITKYIMTCMSADLEVITSTWVLIGGVLAALAAYCLSV -GCVVICGRISTTGKPVLIPDREVMYQQYDEMEECSKHIPYLAEGRLLAEQFKQKALGLIQTTTKHAEELK -PAVHSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSASLTSPLSTSTTLLLNILGGWVA -SQLANPTASTAFVVSGLAGAAVGSIGLGKVLVDIIAGYGAGVSGALVAFKIMSGETPAAEDMVNLLPALL -SPGALVVGVVCAAILRRHAGPAEGATQWMNRLIAFASRGNHVSPTHYVPETDTSRQIMTILSSLTITSLL -RKLHEWINTDWSTPCTGSWLREIWDWVCTVLSDFKTWLKQKLVPSLPGVPFLSCQRGFRGVWRGDGICHT -TCTCGAQIVGHVKNGTMRISGSRWCSNIWHGTFPINASTTGPSVPIPEPNYKRALWRVAAEEYVEVVRVG -DGHYVVGVTNQDLKCPCQVPAPEFFTEVDGVRIHRYAPACKPLLRDEVTFSVGLSSYVVGSRLPCEPEPD -VVVVTSMLTDPSHITAEAAARRLARGSPPSLASSSASQLSAPSLKATCKTHGPHPDAELLEANLLWRQEM -GGNITRVESENKIVVLDSFDPITPEYDDREPSVPAECHRPPRVKYPPALPIWARPDYNPPLLETWKAPDY -DPPVVHGCALPPPKDIPIPPPRRKKTIQLNQSTLSLALAQLAEKSFPTPPSSSSCPDSGHPVSGGGSVVG -TDDVEVLSDAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSMSYSWTGAIVTPCAPEEEKLPIN -PLSNSLIRHHNLVYSTTSRSAAMRQKKVTFDRMQVVDQHYHDVLKEVKLRASQVTAKLLTTEEACDLTPP -HSARSKFGYGAKDVRSHASKAINHINSVWEDLLEDNQTPIPTTIMAKNEVFCMDVSKGGRKPARLIVFPD -LGVRVCEKRALYDITKKLPVATMGAAYGFQYSPKQRVERLLGMWRSKKTPMGFSYDTRCFDSTVTERDIR -AEHDIYQSCQLEPEAKKAITSLTERLYCGGPMYNSRGQLCGTRRCRASGVLPTSLGNTMTCYIKAQAACR -AAGLTNFDMLVCGDDLVVIAESAGVPEDAANLRAFTEAMTRYSAPPGDEPRPTYDLELITSCSSNVSVAH -DGTGQKYYYLTRDPTGPLARAAWETARHTPVNSWLGNIIMYAPAIWVRMVLCTHFFGILQSQEQLHKALD -FDIYGVTYSITPLDLPEIIQRLHGMAAFSLHGYSPGELNRVGACLRKLGAPPLRAWRHRARAVRAKLIAQ -GGKAAICGKYLFNWAVKTKLKLTPLRGAAALDLSGWFTSGYSGGDIYHSVSRARPRMLLLCLLLLSVGVG -IFLLPAR ->ABC40679.1 polyprotein precursor [Hepatitis C virus subtype 6e] -MSTLPKPQRKTKRNTTRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKVRH -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVI -GAPLGGVAAALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASALHYXNKSGLYXLTNDCPNS -SIVYEAPAVIMHLPGCTPCVKVGNHSTCWLPASPTLAVPNASTPLTGFRKHVDLMVGAAAFCSAMYVGDI -CGGLFLLGQVVTIRPRIHQTVQECNCSIYTGRISGHRMAWDMMMNWSPLVTLIASYAMRVPQLIVDIFVG -GHWGVLAGILYYSMVANWAKVIGILLLFAGVEAHTHVTGAVAGRTVGNIASLFSPGSRQNLQLINSNGSW -HINRTALNCNDSLQTGFIASLFYFNKFNASGCPDRMSSCKPLTYFDQGWGPISYANVSGSSEDKPYCWHY -PPRPCGVVPASQVCGPVYCFTPSPVVVGTTDKKGLPTYTWGENESDVFLLESLRPPKGGWYGCTWMNSTG -YVKTCGAPPCNIKPDASSSNTTLTCPTDCFRKHPEATYTRCGSGPWLTPRCLVDYPYRLWHYPCTQNYTI -HKVRMFVGGLEHRFQAACNWTRGAPCNLDDRDRVEMSPLLFSTTELAILPCSFTTMPALSTGLIHLHQNI -VDVQYLYGISPSITSWVIKWEYIVLAFLLLADARICACLWLMLLIGQAEAALENLIVLNAASAASIHGWG -WCFVFLCCAWYIKGRVVPATTYGLLGLWPLLLLVLALPQRAFAYDQEQAASIGAAVLVAITVFTLTPHYK -KMLIHLLWWCQYFIARVEAMLQVWVPSLSVRGGRDAVILLTCLLYPSLGFDITKVLLAIIGPTYLLQASL -LYVPYYVRAHILLRACLLVRRFCAGKYVQAALLRVGAWTGTYIYDHLAPLKTWASDGLRDLAVAVEPVVF -SPMEKKVITWGADTAACGDILAGLPVSARRGKLVLVGPADNMQDQGWRLLAPITAYHQQTRGLLGTIVTS -LTGRDKNEVEGEVQVVSTATQTFLATTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPG -ARSLTPCTCGASDLYLVTRNADVIPARRRGDTRAGLLSPRPLSTLKGSSGGPVMCSAGHVVGLFRAAVCT -RGVAKAIDFVPVENMETTMRSPSFSDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNP -SVAATLGFGSYMSKAYGVEPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTI -LGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNISEVALPTTGEIPFYGKGIPLEYIKGGRHLIFCHS -KKKCDELAKQLRTLGLNAVAFYRGVDVAVIPAQGDVVICATDALMTGYTGDFDSVIDCNVAVTQVVDFSL -DPTFTIETTTVPQDAVARSQRRGRTGRGKPGTYRYVSQGERPSGIFDSVVLCEAYDTGCAWYELTPSETT -VRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDN -MWKCLIRLKPVLTGPTPLLYRLGAVQNEITTTHPITKYIMTCMSADLEVITSTWVIAGGILAALAAYCLT -VGSVVICGRIVTSGKPAVMPDREVLYQQFDEMEECSRHIPYLAEGQQLAEQFKQKVLGLLQTTAKQAEDL -KPAVQSAWPKLEAFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSAALTSPLSTSTTLLLNILGGWV -ASQIAPPTASTAFVVSGMAGAAVGSIGLGRVIVDILAGYGAGVSGALVAFKIMSGEAPALEDMVNLLPAL -LSPGALVVGVVCAAVLRRHVGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRAVTNILSSLTITSL -LRRLHNWINGDWTTPCATSWLRDVWDWVCTVLSDFKAWLKAKLVPSLPGVPFFSCQRGFRGXWRGDGICC -TTCPCGAAITGHVKNGSMKIVGPRTCSNVWHGTFPINATTTGPSVPIPEPNYKRALWRVSAEDYVEIVRV -KDCHYVVGATADNLKCPCQVPAPEFFTEVDGVRLHRYAPACRPLLRDEVSFSVGLHSYVMGSQLPCEPEP -DVMVLTSMLSDPAHITAETAGRRLGRGSPPSLASSSASQLSAPSLKATCTIAADHPDAELIEANLLWRQE -IGGNITRVESENKIIVLDSFDPLMAETDDKEISVAAECHRPPRPKFPPALPIWARPDYNPPLLESWKAPD -YDPPIVSGCALPPKGLTPVPPPRRKRVVKLDESAAAEALAEMARKSFPLNPSEPEESGVGTSATSTDETP -TSDEPDAHSDAGSYSSMPPLEGEPGDPDLSSGSWSTVSEDGEAENDSVVCCSMSYSWTGALITPCAAEEE -KLPISPLSNSLIRHHNMVYSTTTRSATLRQKKVTFDRVQVLDQHYQDVLKEIKDRASNVSARLLSTEEAC -KLTPPHSARSKFGYGAKEVRSLASKAINHINSVWEDLLEDNSTPIPTTIMAKNEVFCVDARKGGRKPARL -IVYPDLGVRVCEKRALYDITCKLPVAVMGAAYGFQYSPKQRVDHLLRMWRSKKTPMGFSYDTSCFDSTVT -ERDIQTERDIYQCCQLEPAARKAITSLTDRLYCGGPMFNSKGQACGYRRCRASGVLTTSLGNTLTCYLKA -QAACRAAGLRDFDMLVCGDDLVVISESVGVSEDASALRAFTEAMTRYSAPPGDEPRPEYDLELITSCSSN -VSVAHDNNGQRYYYLTRDPTTPISRAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFGILQSQETL -HKVLDFDMYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVASSLRLLGAPPLRAWRHRARAVRA -KLIAQGGKAAICGKYLFNWAVKTKLKLTPIPGASSLDLSGWFVAGGRGGDIFHSVSRARPRMLLLCLLLL -SVGVGIFLLPAR ->ACI94949.1 polyprotein precursor [Hepatitis C virus subtype 6v] -MSTSSKPQRQTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRVGVRAVRKTSERSQPRGRRQPIPKARQ -PTGRRWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTITCGFADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGLNYATGNLPGCSFSIFLLALLSCLTTPASAVHHRNVSGIYHLTNDCPNN -SIVYEAESIVLHLPGCVPCVRVGNASKCWHPVSPTLAVPNTSVPITGFRRHIDLMVGAAALCSALYVGDL -CGGAFLVGQIVTMSPKHHIFYQDCNCSIYSGRITGHRMAWDMMMNWSPTVGYVISSVLRVPQMIIEIFSE -GHWGVLGAILYFSMVANWAKVAAVLILFAGVDATTXLVASLPAQXASGXTNLFTSGSAQNLQLINTNGSW -HINRTALNCNDSLNTGFLAALFYHHKFNSSGCXERLSACKPITYFDQGWGPLSYANISGPSNDRPYCWHY -APRPCTSLSAKEVCGPVYCFTPSPVVVGTTDRKGAPTYTWGTNETDVFLLESQRPPVGAWFGCVWMNXTG -FVKTCGAPPCKLTPGDGNTSLTCPTDCFRKHPEATYAKCGSGPWLTPRCMVDYPYRLWHYPCTVNFTKHK -VRMFLGGVEHRFDAACNWTRGERCELEDRDRVDMSPLLFSTTELSILPCSFTPMPALSTGLIHLHQNIVD -VQFLYGLSSNIXSWAIKWEYVVLSFLVLADARICAILWLMLLITQAEAALENLVVINAVSASSNHGWLWG -LLVIVAAWHIKGRAVPAFTYGILQLWPLLLLLLVLPQRAYAMDNEQAASLGIAVLATITIITLSPFYKTL -LVGLJWWNQYFIARLEAXLXEWVPSLRVRGSRDAVILLTCLFHPSLGFEVTKVLLAVVGSMYLLQESLLR -VPYFVRAHALLRVCALFRDLAGGKYMQALCLRLGALGGTYIYDHLSPLTDWAANGLRDLAVAVEPVVFSP -MEKKIITWGADTAACGDILSGLPVSARKGSLVLLGPADDMQAGGWRLLAPITAYAQQTRGLLGTIVTSLT -GRDRNEVEGEIQIVSTATQTFLATAVNGVLWTVYHGAGSKTLSGPKGPVCQMYTNVDQDLVGWPAPAGSR -SLTPCTCGASDLYLVTRQADVIPARRRGDTRAALLSPRPLNYLKGSSGGPLLCPSGHAVGLFRAAVCTRG -VAKALDFVPVESMETSMRSPTFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPASYASQGYKVLVLNPSV -AATLGFGAYMRTAYGIEPNIRTGVRTVTTGGPVTYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLG -IGTVLDQAETSGVRLTVLATATPPGSVTVPHPNITETALPTTGEVPFYGKAIPLEYIKGGRHLIFCHSKK -KCDELAKQLTSLGLNAVAFYRGVDVSAIPTSGDVVVCATDALMTGFTGDFDSVIDCNVAVTQTVDFSLDP -TFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRFVAQGERPSGMFDTVVLCEAYDTGLSWYELTPSETTVR -LRAYXNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFPYLVAYQATVCARAKAPPPSWDXMW -KCLTRLKPMLTGPTPLLYRLGSVANEITTTHPITKYIMTCMAADLEVVTSSWVLLGGVLAALAAYCLTVG -SVVVCGRIVTSGKPAVVPDREVLYQQFDEMEECAHNIPYLVEGQQIAEQFKQKVLGLLQTATRQAEDLKP -AVHSAWPKLEQFWHKHMWNFVSGIQYLAGLTTLPGNPAIASLMSFSASLTSPLTCNQTLFLNILGGWLAS -QLAGPVPSTAFVVSGIAGTAVGSIGLGKVLIDILAGYGAGVSGALVAFKIMSGEVPTMEDAVNLLPALLS -PGALVVGVVCAAILRRHIGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRNVMTILSSLTVTSLLR -RLHQWINEDWSTPCSGSWLRDIWDWVCTVLSDFKTWLKSRLVPSLPGVPFFSCQKGFRGEWRGDGICTTT -CPCGAQITGHVKNGTMRLHGPRTCSNIWHGTFPINASTTGPSVPVPTPDYNKALWRVSAEEYVEIRRIGD -SHFVVGVTNDNLKCPCQVPAPEFFTEVDGVRLHRYAPPCKPLMRDEVTFSVGLXTFAVGSQLPCEPEPDV -XALTSMLTDPSHVTAEAARRRLARGSPPSLASSSASQLSAPSLKGTCTTHRPHPDAELLEANLLWRQEVG -GNITRVESENKVIVLDSFDPIVPEYDEREISVAASCHRPPRPKFPPALPIWARPDYNPPLIESWKSPEYE -PPMVAGCALPPAKQTPIPPPRRKRPIRLDDSTVSQALAELAKKTFSRDSDTPDSGPDSGVPTTSTVASEP -DASDGAXSDVESYSSMPPLEGEPGDPDLSSGSWSTVSEEESVVCCSYSYSWTGNVITPCVPEEEKLPINP -LSNSLLRHHNLVYSTTTRSASLRQKKVTFDRLQVLDQHYHDTLKEIKLRASKVTARLLSVEEACDLTPPH -SARSKFGYGAKDVRSHSSKAINHINSVWEDLLEDTSTPIPTTIMAKNEVFCVDPAKGGRKPARLIVFPDL -GVRVCEKRALYDISRKLPQAIMGGAYGFQYSPRDRVEYLLRLWRGKKTPMGFSYDTRCFDSTVTERDIKT -EESIYQSCQIEPEAKKVIASLTERLYCGGPMFNSRGQNCGYRRCRASGVLPTSMGNTITCYLKATAACKA -AGLKDVDMLVCGDDLVVVCESGGVQEDVAALRAFADAMTRYSAPPGDEPHPEYDLELITSCSSNVSVAHD -DTGKRYYYLTRDPTTPLARAAWETARHSPVNSWLGNIIMYAPTIWVRMVLCTHFFSILQAQETLDRVLDF -DMYGVTYSVAPLDLPAIIQRLHGMAAFSLHNYSPGELNRVGACLRKLGAPPLRAWRHRARAVRAKLIAQG -GKAAICGKYLFNWAVKTKLKLTPLRAAAFLDLSGWFVAGYSGVDVYHSASQARPRMLLLCLLLLSVGVGI -FLLPAR ->AJF19160.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARH -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVI -GAPLGGVAAALAHGVRALEDGVNYATGNLPGCSFSIFLLALLSCLTTPASAAHYTNRSGLYHLTNDCPNS -SIVYEAETVILHLPGCTPCVKTGNKSVCWVSTTPTLAVPNASTPVHGFRKHVDLMVGAAALCSAMYVGDL -CGGVFLVGQLFTMRPRLHQTVQECNCSIYSGRITGHRMAWDMMMNWSPTTTLIVSYAMRVPQLLIDIFIG -GHWGVIAGLLYYSMVANWAKVIGILFLFAGVDAETTITGVQTGRTLKAVASLFQAGPSQKLQLINTDGSW -HINRTALNCDDSLNTGFITALFYQKGLNTTGCSDRMAACKNIRDFKQGWGPLTYANISGPQHDKPYCWHY -TPRPCSTVPATEVCGPVYCFTPSPVVVGTTDRGGTPTFTWGANKSDVFLLTSLRPPLGGWYGCTWMNSTG -FVKTCGAPPCCTRPDNNNDTLVCPTDCFRKHPEATYGRCGSGPWITPRCLVDYPYRLWHYPCTINFTIHK -VRLYVGGVEHRFDAACNWTRGERCELDDRDRVEMSPLLFSTTELAILPCSFTTMPALSTGLIHLHQNIVD -VQYLYGISPAVISWAIKWEYVVLAFLVLADARVCACLWLMLLIGQAEAALENLIVLNAASAASMQGMGWC -LLYLCCAWYIKGRLVPAVTYGVLQLWPLLLLVLALPQRAYAQDHEQAACIGISVILIITVFTLTPHYKQL -LVHLLWWXQYXIARMEAALQVWVPSLAVRGGRDAVILLTCLFHPSLGFDITKILLALLGPLYLFQASMLR -VPYYVRAHALIRALLLVRKAAGAKYVQMFLLKLGAWTGTYIYDHLAPLSGWASDGLRDLAVAVEPVVFSP -MERKVITWXADTAACGDILAGLPVSARRGKLVLLGPADDMKDMGWRLLAPITAYAQQTRGLLGTIVTSLT -GRDKNEVEGEVQVISTATQTFLATALNGVLWTVYHGAGSKTLAGPKGPICQMYTNVDQDMVGWPAPPGAR -SLTPCTCGASDLYLVTRNADVIPARRRGDSRAGLLSPRPVSTLKGSSGGPVMCPSGHVVGLFRAAVCTRG -VAKAIDFVPVENMETSMRSPVFTDNSSPPAVPNSYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNPSV -AATLGFGSYMSKAYGIDPNIRTGVRTVTTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLG -IGTVLDQAETAGARLTVLATATPPGSVTVPHPNITEAALPTTGEIPFYGKGIPLEYIKGGRHLIFCHSKK -KCDELSKQLRALGLNAVAFYRGVDVSVIPTAGDVVICATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDP -TFSIETTTVPQDAVARSQRRGRTGRGKPGVYRYVSQGERPSGMFDSVILCEAYDTGCAWYDLTPAETTVR -LRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQAKQGGENFAYLVAYQATVCARAKAPPPSWDNMW -KCLLRLKPMLVGPTPLLYRLGAVQNDIITTHPITKYIMTCMSADLEVITSTWVIAGGVLAALAAYCLCVG -SAVICGRIVTSGRPAVVPDREVLYQQYDEMEECSQRIPYLAEAQQLAEQFKQKILGLLQTTAKQAEEIKP -AVQTAWPKLEQFWSKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFTAALTSPLRTETTLLLNILGGWVAS -QLAPPTASTAFVVSGLAGAAVGSIGLGKVIVDILAGYGAGVAGALVAFKIMCGETPSAEDMVNLLPALLS -PGALVVGVVCAAVLRRHAGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASKXVTAILNSLTITSLLR -KLHEWINGDWSSPCATSWLRDVWDWICTVLADFKVWLKSKLVPSLPGIPLLSCQRGFRGVWRGDGICRTT -CPCGADITGHVKNGTMKILGPKTCSNVWRGSFPINATTTGPSVPIPEPSYKRALWRVSAEEYVEVVRVGD -SHYVVGATADNLKCPCQVPAPEFFTEVDGIRLHRYAPACRPLLRDEITFSVGLSSYVVGSQLPCDPEPDV -MVLTSMLTDPDHITAETAGRRLGRGSPPSLASSSASQLSAPSLKATCTTGGIHPDAELIEANLLWRQEVG -GNITRVESENKAIVLDSFDPLVAETDDREISVAAECHRPPRPKYPPALPIWARPDYNPPLVENWKAPGYE -PPRVLGCALPPKSAPPIPPPRKKRLVHLDESSAAAALAELARKAFPDNPPSIQAESGVGTSIQTSGDAPS -AESEEAASDDGSYSSMPPLEGEPGDPDLSSGSWSTVSDEGDSVVCCSMSYSWTGIPVTPCAAEEEKLPIS -PLSNSLIRHHNMVYSTTSRSASLRQKKVTFDRLQVVDQHYQDVLSEVKARARGVSARLLSTEEACSLTPP -HSARSKFGYGAKEVRSHSSKAINHINSVWEDLLEDNSTPIPTTIMAKNEVFCVDPQKGGRKPARLIVYPD -LGVRVCEKRALYDITQKLPIAAMGDAYGFQYSPKQRVERLLKMWRSKKTPMGFSYDTRCFDSTVTERDIR -TEGDIYQCCDLDPAARKVITSLTERLYIGGPMTNSRGQKCGYRRCRASGVLTTSLGNTLTCYIKACAACK -AAGISNYDMLVCGDDLIVIAESAGVQEDASALRAFTEAMTRYSAPPGDVPHPEYDLELITSCSSNVSVAH -DDTGKRYYYLTRDPTIPIARAAWETARHTPVNSWLGNIIMYAPAIWVRXVMMTHFFGILQSQEALHRALD -FDMYGVTYSVTPLDLPQIIQRLHGXAAFSLHGYSPGELNRVASSLRKLGAPPLRAWRHRARAVRAKLIAQ -GGKAAVCGTYLFNWAVKTKVKLTPLRGAANLDLSGWFVSGGSGGDIFHSVSRARPRIYLFCLLLLVAGVG -IFLLPAR ->AJF19157.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPVDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARP -PTGRSWGQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVL -GGPLGGVAAALAHGVRAIEDGVNYATGNLPGCSFSIFLLALFSCITIPASAIHVRNSSGIYHLTNDCPNS -SIVYEADTVILHLPGCIPCIKTGBESKCWVAASPTIAIPNSSVPVHGFRKHVDLMVGAAALCSSMYIGDL -CGGVFLVGQLFTFRPRVHQVVQECNCSIYAGHITGHRMAWDMMMNWSPTVGYVISSVLRIPQLLLEIFLE -GHWGVIGALAYYSMVANWAKVICVLLLFAGVDATTMVGSAVSENTRGLASLFTPGAKQNLQLINTNGSWH -INRTALNCNDSLQTGFLAGLFYAWKFNSSGCSERLSHCKPLRDFAQGWGPISYATISGPSDDRPYCWHYP -PRPCSVVPARDVCGPVYCFTPSPVVIGTTDARGVPTYSWGENQSDVFLLTGTRPPRGSWFGCTWMNSTGF -VKTCGAPPCVTRPGPDSDNRTLKCPTDCFRNHPEATYERCGSGPWLTPRCLVDYPYRLWHYPCTVNFTLH -RVRVFVAGVEHRFDAACNFTRGDRCELEDRDRTEMSPLLFSTTQLAILPCTFTTMPALSTGLIHLHQNIV -DVQYLYGVSTAVVSWALKWEYVVLAFLVLADARICACLWLMFLVGQAEAALENVVMLNAASAASCQGLVW -GLAFICFAWHIRGRAVPLFTYAFLQIWPLLFLVLALPRRAFAYEGTLPASAGLLVLGIITIFSLTPGYKK -TLITMLWWLQYFISRTEAILHVWVPSFRVRGGRDAVIVLACLLHPQLGFDVTKILLAMLGPLYLLQYSLL -RTPYFVRAHILLRACMFVRGLAGGKYVQAALLRVGACTGTYIYDHLAPLSDWACDGLRDLAVAVEPVIFS -PMEKKVITWGADTAACGDILEGLPVSARRGRLIFLGPADDVKGKGWSLLAPITAYAQQTRGVLGTIVTSL -TGRDKNEVEGEIQVVSTATQSFLATAINGVLWTVYHGAGSKTLAGPKGPICQMYTNVDQDIVGWPAPAGS -RSLTPCECGSSDLYLVTRNADVIPARRRGDNRAALLSPRPISTLKGSSGGPVMCPSGHVVGLFRAAVCTR -GVAKSLDFVPVESLQSSQRSPSFSDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPS -VAATLGFGAYMSTAHGIDPNVRTGVRTITTGAPITYSTYGKFLADGGCHGGAYDIIICDECHSTDATTVL -GIGTVLDQAETSGVRLTVLATATPPGSVTVPHPNITESALPTTGEIPFYGKAIPLEYIKGGRHLIFCHSK -KKCDELAGQLRSLGLNAVAFYRGVDVSVIPTSGDVVVCATDALMTGYTGNFDSVIDCNVSVTQVVDFSLD -PTFTIETTTVPQDAVSRSQRRGRTGRGKHGEYRYVSQGERPSGIFDTIVLCEAYDTGCAWYELTPSETTV -RLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENLAYLVAYQATVCARAKAPPPSWDTM -WKCLIRLKPMLTGPTPLLYRLGAVQNEIVTTHPITKYIMTCMSADLEVITSTWVLVGGVVAALAAYCLSV -GCVVICGRVCTTGKPVPIPDXEVLYQQYDEMEECSRHIPYLAEGQQIAEQFKQKVLGLIQTTTKQAEELK -PAVRATWPKLEQFWHKHLWNFVSGIQYLAGLSTLPGNPAIASLMSFSASLTSPLPASTTLLLNILGGWVA -SQLANPTASTAFVVSGLAGAAVGSIGLGKVIVDVLAGYGAGVSGALVAFKIMCGETPSAEDMVNLLPALL -SPGALVVGVVCAAILKRHAGPSEGAXQWMNRLIAXASRGNHVSPTHYVPETXTSRQIMTILSSLTVTHLL -RRVHEWINNDWSTPCSGSWLRDIWDWVTSVLADFTVWLKSKLVPSIPGVPFLSCQRGYRGVWRGDGICHT -TCPCGAKITGHVKNGTMKISGSRWCSNIWHGTFPINATTTGPSVPVPEPNYKQALWRVSADEYVEVRRVG -DNHYVVAATNDNLKCPCQVPAPEFFTEVDGVRIHRYAPEPKPLLRDEISFTVGLSSYVLGSQLPCEPEPD -VTIVTSMLRDPSHITAETAGRRLARGSPPSLASSSASQLSAPSLKATCTTHGPHPDVELIEANLLWRQEM -GGNITRVESENKVVVLDSFDPLIAEYDDREPSIPAECHRPPRPKYPPALPIWARPDYNPPLLETWKKPDY -VPPLVHGCALPPQKPAPIPPPRRKKVVRLDESSVSAALAELAEKTFPTPVTSSTGYGSGSPDHSXERGXH -SXDEDCASEAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSYSYSWTGALVTPCVPEEEKLPIN -ALSNSLIRHHNLVYSTTTKSAAARQKKVTFDRVQLVDQHYYDTLKEIKLRASQVQARLLTTEEACDLTPP -HSARSKFGYGAKDVRSHASKAVNHINSVWEDLLEDPQTPIPTTIMAKNEVFCVDAAKGGRKPARLIVFPD -LGVRVCEKKALFDVTRKLPLAVXGDAYGFQYSPQQRVDRLLRMWRSKKTPMGFSYDTRCFDSTVTERDIR -AEQDIYLSCQLDPEAQKAIKSLTERLYIGGPMYNSKGQLCGXRRCRASGVLPTSMGNTITCYLKASAACR -AAGLVDYDMLVCGDDLVVVAESIGVNEDIASLRAFTEAMTRYSATPGDLPSPAYDLEHITSCSSNVSVAH -DGDGKRYYYLTRDPTTPLSRAAWETARHTPVNSWLGNIIMYAPAIWVRMVLMTHFFSILQTQETLDKLLD -FDLYGVTYSITPLDLPAIIQRLHGMAAFSLHGYSPGELNRVASCLRKLGAPPLRAWRHRARAVRAKLIVQ -GGKAAICGKYLFNWAIKTXLKLTPLRGVSNLDLSGWFTAGYSGGDVYHSTPRARPRMLLLCLLLLSVGVG -IFLLPAR ->ACC78282.1 polyprotein precursor [Hepacivirus C] -MSTQPKPQRLTKRNTNRRPQNVKFPGGGQIVGGVYLLPRRGPRLGVRAVRKTSERSQPRGRRQPIPKQRP -PTGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVX -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTVPASAVHHXNKSGLYYLTNDCPNA -SIVYQAHDVILHLPGCVPCVKXXNQXRCWYPASPTLAIPBVSVPXRGFRRHVDLXVGAAAFCSSMYVGDL -CGGLFLIGQLFTFAPQHHQIVQECNCSIYTGHVTGHRMAWDMMMNWSPTVGYVVSSLLRVPQILMDIVLE -GHWGVLGALLYFSMVANWAKVAAVLILFAGVDAETYXTGAVAGRTAAGLTSLFNQGSRQNLQLINTNGSW -HINRTALNCNDSLQTGFLAGLFYRYRFNSSGCPERLSSCKPLTYFDQGWGKLTYANVTGSSQDRPYCWHY -APKPCTVISAAXVCGPVYCFTPSPVVVGTTDRKGXPTYXWGENKGDVFLLESMRPPAGGWYGCTWMNSTG -FTKTCGAPPCRIRPEXLTSPGTNTTLLCPTDCFRKHPDATYGKCGSGPWLTPRCLVDYPYRLWHYPCTVN -FTVHKVRLYVGGVEHRFDAACNWTRGERCELDDRDRIEMSPLLFSTTQLSILPCSFTTMPALSTGLIHLH -QNIVDVQYLYGVSSNIVSWAVRWEYLVLAFLVLADARVCAVFWLMMMVAQAEAALENLIILNAASAASNQ -GWVWCLLFLCCAWYIKGRAVPAFTYGLLQLWPLLLLLLALPPRAFALDGEQAATIGALVTLGVTIFTLSP -AYKSMLIHLIWWLQYFIARAEAIVQVWVPSLHVRGGRDGVIVLVTLLHPSLGFEVTKILLAILGPLYLLQ -GALVRVPYFVRAHALLRXCELVRGXLGGKYIQALMLKLGALTGTYIYDHLSPLSDWAASGLRDLAVAVEP -VVFSPMEKKVITWGADTAACGDILHGLPVSARKGSLVLLGPADDMRDKGWKLLAPITAYAQQTRGMLGTI -VTSLTGRDKNEVEGEVQVVSTATQTFLATAINGVLWTVFHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPA -PPGCRSLAPCTCGASDLYLVTRNADVIPARRRGDTRASLLSPRPLATLKGSSGGPVLCPSGHAVGLFRAA -VCTRGVAKALDFVPVENMETTMRSPVFTDNSSPPAVPSTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLV -LNPSVAATLGFGAYMSKAHGIEPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDP -TTVLGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITETALPTTGEVPFYGRAIPLDFIKGGRHLIF -CHSKKKCDELSNQLRSLGINAVAFYRGLDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQTVD -FSLDPTFSIETTTVPQDAVSRSQRRGRTGRGKPGIYRFVSKGERPSGMFDTVVLAEAYDTGLSWYELAPS -ETTVRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFSYLVAYQATVCARAKAPPPC -WDVMWKCLSRLKPMLVGPTPLLYRLGPVQNDIITTHPITKYIMTCMSADLEVITSTWVLVGGVLAALAAY -CLTVGCVTICGRIVLSGKPAVVPDREVLYQQFDEMEECSRHLPYLAEGQQLAEQFKQKVLGLLQSTAKQA -EELKPAVHATWPKLEQFWQKHMWNFVSGIQYLAGLSTLPGNPAIASLMAFSASLTSPLSTSTTLLLNILG -GWVASQLATPAGSTSFVVCGMAGAAVGSVGLGRVLIDILAGYGAGVSGALVCFKIMSGETPTMEDMANLL -PALLSPGALVVGVVCAAILRRHVGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDXSRQVIXILSSLTI -TSLLRRLHQWISEDCSMPCSTSWLRDIWDWVCTVLSDFKTWLKAKLVPSLPGVPFISCQRGYRGIWKGDG -INHTTCPCGANITGHVKNGSMRLVGPRTCSNVWQGTFPINATTTGPSVPIPAPNYSRALWRIAAEEYAEV -KRVGEYHYIXGLTTDNLKCPCQVPSPEFFTEVDGVRLHRYAPACKPLLRDEVTFTVGLNSYVVGSQLPCE -PEPDVAVLTSMLTDPSHITAEAAGRRLARGSPPSLASSSASQLSAPSLKATCTARHDSPDADLIEANLLW -RQEMGGNITRVESENKVVILDSFDPLVPEYDTREPSVPAECHRPPRPKFPPALPVWARPDYNPPLVENWK -RPEYSAPVVHGCPLPPPKETPIPPPRRKRLVRLDESTVAAALSELAERSFPTTSTGSDKTADSGVPTTGT -IPPTPPGDDETASDAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSYSYSWTGALITACAAEEE -KLPISPLSNSLIRHHNLVYSTTSRSAALRQKKVTFDRLQVVDQYYHDTLKEIKLRASKVSAGLLSVEEAC -DLTPPHSARSKFGYGAKDVRSHASKAVNHINSVWKDLLEDKTTPIPTTIMAKNEVFCVEPSKGGRKPARL -IVYPDLGVRVCEKIALYDITRKLPTAVMGAAYGFQYSPKDRVDHLLKLWRSKKTPMGFSYDTRCFDSTVT -ERDIRTEADIYLSCQLQPEARDAITSLTERLYCGGPMFNSKGQSCGYRRCRASGVLPTSMGNTLTCYLKA -QAACKAAGLKDFDMLVCGDDLVVICESAGVQEDVAALRAFTEAMTRYSAPPGDDPHPEYDLELITSCSSN -VSVAIDDTGKRYYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFSILQAQEVL -GNPLDFDMYGVTYSVTPLDLPAIIQRLHGMAAFSLHNYSPGELNRVGACLRKLGAPPLRAWRHRARAVRA -KLIAQGGKAAICGKYLFNWAVRTKSKLTPLRDASLLDLSGWFTSGFSGGDIYHSVSRARPRLLLLCLLLL -TVGVGIFLLPAR ->ABP88845.1 polyprotein precursor [Hepatitis C virus subtype 6p] -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQARGRRQPIPKXRQ -NQGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASALNYANKSGLYHLTNDCPNS -SIVYEADGMILHLPGCVPCVKTGDQTKCWLPASPTLAVQNASVPVRGIREHVDLLVGAAAFCSAMYVGDL -CGGLFLVGQLFTFRPRMYEIAQDCNCSIYAGHITGHRMAWDMMMNWSPTVTLALSYFMRIPQVIIDVFVG -GHWGVLAGLLYYSMVANWAKVVGILLLFSGVDAETHVTGAVTGHAMTGLTTLFTQGAKQNLQLINTNGSW -HINRTALNCXDSLNTGWLASLFYSRRFNSSGCPERLSSCKPIVSFSQGWGPITYANISGPSDDRPYCWHY -APRPCNXVPASSVCGPVYCFTPSPVVVGTTDRKGVPTYTWGENETDVFLLESLRPPKGGWFGCTWMNSTG -FVKTCGAPPCNIGPASNNSLKCPTDCFRKNPEATYAKCGSGPWLTPRCLVDYPYRLWHYPCTVNYTIHKV -RLYLGGLEHRFQAACNWTRGDRCELEDRDRFEMSPLLHSTTELAILPCTFTTMPALSTGLIHLHQNIVDV -QYLYGVSSSVISWAIKWEYIVLAFLVLADARICACLWLMLLIGKAEAALENLITLNAAAAASMQGWWWCL -AFVCCAWYIRGRFVPAVTYGLLQIWPLLLLVLALPQRAFAYDHESAASVGVLVLMAITVFTLTPHYKRML -VLSVWWIQYFIARAEAALQVWVPSLAVRRGRDAVILLTCLIHPALGFDITKVLLALLGPLYLVQASLLHV -PYFVRAHVLLRACLLARRLAGGKYVQACLLKIGAWTGTYIYNHLAPLSDWASDGLRDLAVAVEPVVFSPM -EKKVITWGADTAACGDILQGLPVSARLGRQVLLGPADGLEAKGWRLLAPITAYRQQTRGLLGTIVTSLTG -RDKNEVEGEIQIVSTATQTFLATTLNGVLWTVYHGAGSKTLAGPKGPICQMYTNVDQDMVGWPAPPGARS -LTPCSCGASDLYLVTRNADVIPARRRGDTRAGLLSPRPLATLKGSSGGPVLCPSGHVVGLFRAAVCTRGV -AKAIDFVPVENMETTMRSPVFTDNSSPPAVPSTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNPSVA -ATLAFGSYMSKAYGVDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGI -GTVLDQAETAGVRLTVLATATPPGSVTVPHPNISEVALPTTGEIPFYGRGIPLEYIKGGRHLIFCHSKKK -CDELAKQLRSLGLNAVAFYRGVDVSVIPTAGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDPT -FTIETTTVPQDAVARSQRRGRTGRGKPGVYRYVSQGERPSGTFDTVVLCEAYDVGCAWYELTPSETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDNMWK -CLLRLKPMLVGPTPLLYRLGAVQNEITTTHPITKYIMTCMSADLEVITSAWVLTGGILAALAAYCLTTGS -VVICGRIVTSGKPAVMPDREVLYQQFDEMEECSHRLPYLVEGQQIAEQFKQKVLGLLQSTAKQAEELKPA -VHSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSAALTSPLATSTTLLLNILGGWVASQ -LAPPSASTAFVVSGLAGAAVGSIGLGRVIVDILAGYGAGVSGALVAFKIMSGEAPAVEDMVNLLPALLSP -GALVVGVVCAAVLRRHVGPSEGATQWMNRLIAFASRGNHVSPTHYVPETDASRAVMAILSSLTITSLLRR -LHEWINGDWTTPCSTSWLRDIWDWVCTVLSDFKTWLKAKLVPSLPGIPFLSCQRGFRGVWRGEGICHTSC -PCGAMITGHVKNGTMKISGPKTCSNVWHGRFPINATTTGPGVPVPDPNYKRALWRVSAEDYVEVVRIHDC -HYVVGATTDNLKCPCQVPAPEFFTEVXGVRLHRYAPACRPLLRDDLTFSVGLNSYAMGSQLPCEPEPDVT -VLTSMLSDPSHITAETAARRLARGSPPSLASSSASQLSAPSLRATCTTARDHPDAXLLEANLLWRQEMGG -NITRVESENKVVVLDSFDPLVAEPDDREISVAAECHRPPRPKFPPALPIWARPDYNPPLLESWKAPDYNP -PTVSGCALPPQGVPPVPPPRRKKVVQLDESSVSQALAELAQKTFPSSSASSQGDSGAGPSVPTSTEAQSS -DDVETASDAGSYSSMPPLEGEPGDPDLSSGSWSTVSEDGDSVVCCSMSYSWTGALVTPCAAEEEKLPISP -LSNSLIRHHNLVYSTTSRSASLRQKKVTFDRVQLLDQHYNDVLKEIKARASGVKARLLSTEEACDLTPPH -SARSKFGYGAKEVRSHSSKAINHINSVWEDLLEDNITPISTTIMAKNEVFCVDPQKGGRKPARLIVYPDL -GVRVCEKRALYDLAQKLPEAIMGPAYGFQYSPKQRVDYLLKTWRSKKTPMGFSYDTRCFDSSVTERDIRT -EHDIYQCCQLDPVARKAITSLTERLYCGGPMYNSRGQSCGYRRCRASGVFTTSLGNTMTCYLKAQAACRA -AKLKNFDMLVCGDDLVVVAESGGVSEDAGALRVFTEAMTRYSAPPGDEPRPEYDLELITSCSSNVSVAHD -GSGQRYYYLTRDPTVPLTRAAWETARHTPVNSWLGNIIMFAPTIWVRMVLMTHFFGILQSQETLHRVLDF -DMYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVAACLRKLGAPPLRAWRHRARAVRAKLIAQG -GKAAICGRYLFNWAVKTKLKLTPLRDAANLDLSGWFVVGGRGGDIFHSVSRARPRTLLLCLLLLTVGVGI -FLLPAR ->AJO71610.1 polyprotein [Hepacivirus C] -MSTLPNPQRKTKRNTYRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARH -QSGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRARNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTVPASAQHYANRSGIYHLTNDCPNA -SIVYEAETVILHLPGCVPCVKVGNSSTCWVSAAPTLAVPNATTPIHGFRRHVDLMVGAAAFCSAMYIGDL -CGGIFLVGQLVTMRPRLHQTVQECNCSIYTGHITGHRMAWDMMMNWSPTATLIVSYAMRVPQLIIDIIAG -GHWGVLAGLLYYSMVTNWAKVLAVLFLFAGVDATTRTVGAATGQTTNSLVSLFAPGPQQNIQLINSNGSW -HVNRTALNCNDSLNTGFIAGLLYSRNFNSSGCLERLSACKPITHFDQGWGALTYANISGPQEDKPYCWHY -APRPCGIVPAANVCGPVYCFTPSPVVVGTTDKRGLPTYNWGENESDVFLLASPRPPAGGWFGCTWMNSSG -FVKACGAPPCALESSGNNTLTCPTDCFRKHPDATYARCGSGPWLTPRCLVHYPYRLWHYPCTVNFTIHKV -RMYVGGLEHRLQVACNWTRGERCELDDRDRADVSPLLFSTTELAILPCSFTTMPALSTGLIHLHQNIVDV -QYLYGISSSVVNFAIKWEYVVLAFLVLADARVCACLWLMLLVGQAEAALGNLITLNAGSAASMQGWGWCL -LFLCCAWHIRGRFVPAVTYSLVQLWPLLLLVLALPRRAYAQSEDQAACLGVSVIVAITIFTLTPHYKWLL -MRLLWWNQYFIARLEAILHVWIPGLSVRGGRDAAILLTCLLHPSLGFDVTKVLLALLGPLYLFQASLLRV -PYYVRAHALLRACLLVRQLAGAKYIQACLLRVGAWTGTYVYDHLSPLKDWASDGLRDLAVAVEPVIFSPM -EKKVITWGADTAACGDILAGLPVSARRGHLLLLGPADDAKGKGWRLLAPITAYCQQTRGLMGTIVTSLTG -RDRNEVEGEVQIISTATQTFLGTTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDMVGWPAPPGARS -LTPCTCGASBLYLVTRNADVIPARRKGDTRASLLSPRPLSTLKGSSGGPLLCPSGHAVGLFRAAVCTRGV -AKAIDFVPVENMETTMRSPVFTDNSSPPVVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSKAYGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGI -GTVLDQAETAGVRLTVLATATPPGSVTVPHPNITEVALPTTGEIPFYGKGIPLEYIKGGRHLIFCHSKKK -CDELAGQLRSMGLNAVAFYRGVDXAVIPTXGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDPT -FTIETTTVPQDAVARSQRRGRTGRGKPGVYRFVSQGERPSGMFDSVVLCEAYDTGCAWYELSPSETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDNTWK -CLIRLKPMLTGPTPLLYRLGAVQNEITTTHPITKYIMTCMSADLEVITSTWVIAGGVLAALAAYCLCVGS -AVICGRIVTSGKPAVMPDREVLYQQFDEMEECSQRIPYLAEGQQIAEQFRQKILGLLQNTAKQAEELKPA -VQSAWPKLEAFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSAALTSPLSTTTTLLLNILGGWVASQ -LAPPTASTAFVVSGLAGAAIGSIGLGKVIVDILAGYGAGVAGALTAFKIMSGETPAMEDIINLLPALLSP -GALVVGVVCAAVLRRHIGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASKAVTAILSSLTITSLLRR -LHEWINGDWSTPCATSWLRDIWDWVCTVLSDFKVWLKSKLVPSLPGIPFLSCQRGFRGVWRGDGMCYTTC -PCGAQITGHVKNGTMKIIGPKTCSNVWHGRFPINATTTGPSVPIPEPSYRRALWRVSAEEYVEVVRVGGH -HYVVGATADNLKCPCQVPAPEFFTEVDGVRLHRYAPPCRPLIKDDISFSVGLSSYAIGSQLPCEPEPDVM -VLTSMLTDPDHITAEAAGRRLARGSPPSLASSSASQLSAPSLKATCTTEARHPDAELLEANLLFEHLKRK -QEVGGNITRVETENEIITLDSFDPFVAEVDDREISTAAECHRPPRSKYPPALPIWARPDYNPPTLETWKR -PDYTPPVVAGCALPPKGGPPVPPPRRKRVVQLDESSASAALAALALKAFPPPQADSQGESSKGTPVSPST -DTSSPDDDGAASEAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSMSYSWTGIPITPCAAEEEK -LPISPLSNSLIRHHNMVYSTTSRSAATRQKKVTFDRLQVLDQHYQDVLKEIKARASGVTARLLSTEEACD -LTPPHSARSKYGYGAKDVRGHASKAINHINSVWEDLLEDSSTPIPTTIMAKNEVFCVNPQKGGRKPARLI -VYPDLGVRVCEKRALYDITQKLPVAVMGAAYGFQYSPKQRVEQLLKMWRSKKTPMGFSYDTKCFDSTVTE -RDIQTESSIYQCCNLEPAARKAITALTDRLYCGGPMYNSRGQSCGTRRCRASGVLTTSLGNTLTCFLKAS -AACRAANLKNPDMLVCGDDLIIISESMGVSEDVGALRAFTEAMTRYSAPPGDEPHPEYDLELIASCSSNV -SVAHDHTGQRYYYLTRNPTTPLARAAWETARHTPVNTWLGNIIMYAPTIWVRMVLMTHFFGILQSQETLH -KVLDFDMYGVTYSITPLDLPRIIQRLHGMAAFSLHGYSPGELNRVAASLRQLGAPPLRAWRHRARAVRAK -LIAQGGKAAECGMYLFNWAVRTKLKLTPLRGADNLDLSGWFVSGGRGGDIFHSVSRARPRSLLLFLLLLS -VGVGIFLLPAR ->AJF19154.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARP -SQGRHWGQPGYPWPLYGNEGCGWAGWLMSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASALTYGNSSGLYHLTNDCPNS -SIVLEAETMILHLAGCVPCVRENGTSYCWHSVSPTLAVPNASTSARGFRRHVDLLVGAAVLCSSMYIGDL -CGGAFLVGQMFTFAPRHHXLVQDCNCSIYTGHITGHRMAWDMMQNWSPTAALVLSSVLRVPEIVLEVFTG -GHWGIIIAVAYFGMAGNWLKVLAVLFLFAGVEAGNTYVGSSLARGASGLAGLFTPGAKQNIQLINTNGSW -HINRTALNCNDSLNTGFLASLFYAHKFNSSGCPQRLEACKPLEYFXQGWGSISYKHNITGPSDDRPYCWH -YAPRQCAVVPAAQVCGPVYCFTPSPVVVGTTDRLGNPTYTWGENETDVFMLGSLRPPKGGWFGCTWMNST -GFTKTCGAPPCQIIPGDYNSSSNELLCPTDCFRKHPEATYQRCGSGPRLTPRCLVDYPYRLWHYPCTVNY -TIHKVRVFIGGVEHRFNAACNWTRGDRCDLHDRDRVEMSPLLFSTTQLAILPCSFTTMPALSTGLIHLHQ -NIVDVQYLYGVSSSIVSWAVKWEYVVLMFLVLADARICTCLWLMLLVSSVEAAVERLVVLNAASAAGTAG -WWWAFIFLCCAWYIRGRLVPVCTYAALGMWPLLLVVCVLPHRAYAWDDSQTATLGATVLLVLTIFTITPT -YKQLLTQVIWWNQYMLTRAEAVVHDWVPDLRVRGGRDAIILLTCLLHPHLGFEITKILLAILAPLHILQY -SLLKVPYFVRAHVLLRACMLFRKVAGGKYVQAFLLRLGAWTGTYVYDHLSPLSSWASDGLRDLAVAVEPV -IFSPMEKKIITWGADTAACGDILKGLPVSARLGKMVLLGPADDMRRGGWRLLAPITAYAQQTRGLVGTIV -TSLTGRDKNEVEGVVQVLSTATQSFLGTTINGVMWTVYHGAGSKNLAGSKGPICQMYTNVELDLVGWPAP -QGARSFTPCTCGSVDLYLVTRGADVIPARRRGDNRAALLSPGPISTLKGSSGGPLMCPSGHVVGLFRAAI -CTRGVAKALDFVPVENMETTMRSPVFTDNSSPPAVPQTYQVGYLHAPTGSGKSTRVPAAYASQGYKVLVL -NPSVAATLGFGAYMSKAHGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPT -TVLGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITETALPTTGEIPFYGKAIPLEFIKGGRHLIFC -HSKKKCDELAKQLTSLGLNAVAFYRGVDVAVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDF -SLDPTFTIETTTVPQDAVARSQRRGRTGRGKPGVYRFVSQGERPSGMFDSVVLCEAYDTGCAWYELSPSE -TTVRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSW -DTMWKCLIRLKPTLTGPTPLLYRLGAVQNEIITTHPITKYIMTCMSADLEVITSTWVIVGGVLAALAAYC -LTVGCVVICGRVITTGKPAVIPDREVLYQQFDEMEECSRHIPYLVEGQQIAEQFKQKVLGLLQASAKQAE -ELKPAIHSTWPRMEEFWRKHMWNFISGIQYLAGLSTLPGNPAVASLMSFTASLTSPLRTSQTLLLNILGG -WIASQMAAPSASTAFVVSGLAGATVGSIGLGRVIVDVLAGYGAGVAGALVAFKIMSGECPSTEDMVNLLP -ALLSPGALVVGVVCAAILRRHVGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASKNVTQILGSLTIT -SLLRRLHQWIHEDASTPCASTWLRDVWDWVCTVLSDFKTWLKAKLFPRLPGVPFLSCQPGYRGVWAGDGV -CHTTCPCGAQXAGHVKNGSMRITGPWTCSNTWHGTFPINATTTGPSIPVPAPNYKRALWRVSAEEYVEVR -RLGDRHFVVGVTAEGLKCPCQVPAPEFFTEVDGVRLHRYAPPCKPLLRDEVCFSVGLSDYVVGSQLPCEP -EPDVTVVTSMLTDPTHITAEQAARRLKRGSPPSLASSSASQLSAPSLKATCTAHGDHPDAELIEANLLWR -QEXGGNITRVESENKVIVLDSFDPLVPEYDDREISVPAECHKPPRPKFPPALPIWARPDYNPPLLQKWQL -PGYEPPVVSGCALPPAKQPPVPPPRRKRLIRLDESAAAQALKQLADKVFAGDGSGQSPSSGFEGSVASPP -SSEPATADDACSDAGSYSSMPPLEGEPGDPDLSSGSWSTVSGEDDVVCCSMSYSWTGALITPCAAEEEKL -PINALSNSLIRHHNMVYSTTSRSAGLRQKKVTFDRVQVVDQHYQDVLKEIKLRASTVSARLLSTEEACDL -TPPHSARSKYGYGARDVRSHASKAVKHINSVWEDLLEDKTTPIPTTIMAKNEVFCVDPQKGGRKPARLIV -YPDLSVRVCEKRALYDVTQKLPKAVMGPAYGFQYSPSQRVEYLLKMWRSKKTPMGFSYDTRCFDSTVTER -DIRTEEDIYQSCQLDPTARKAISSLTERLYCGGPMTNSRGESCGYRRCRASGVFTTSLGNTLTCYLKAQA -ACRAASIKDFDMLVCGDDLVVICESSGTQEDAASLRAFTEAMTRYSAPPGDVPQPTYDLELITSCSSNVS -VAHDATGRRYYYLTRDCTTPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFSILQSQEQLEK -ALDFDIYGVTYSVTPLDLPAIIQRLHGMAAFSLHGYSPTELNRVGASLRKLGAPPLRAWRHRARAVRAKL -IAQGGKAAICGTYLFNWAVKTKLKLTPLAAAGQLDLSGWFVAGYDGGGIYHSMPRARPRLLLLGLLLLTV -GVGIFLLPAR ->AJO71614.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTNRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVX -GAPLGGVAAALAHGVRALEDGVNYATGNLPGCSFSIFLLALFSCLTTPASAAHYANKSGLYHLTNDCPNS -SIVYEADNLILHLPGCTPCVKTDNSSRCWVPATPTLAVPNASTPLTGFRKHVDLLVGTAAFCSAMYVGDV -CGAVFLVGQLFTMRPRMHQTTQDCNCSIYTGSITGHRMAWDMMMNWSPTMTLVMSYAVRVPQLIIDVFVG -GHWGVLAGLLYYAMVTNWAKFIMVLLLFAGVDATTYTTGAAAGRASAGXASLFSTGPKQRIQLISTNGSW -HVNRTALNCNDSLQTGFITALFYADKFNSTGCSARMSACKRITDFDQGWGPLTYANISGSQEDKPYCWHY -APRPCGVVXAREVCGPVYCFTPSPVVVGTTNRRGDPTYTWGXNETDVFLLESARPPRGGWYGCTWMNSTG -FVKTCGAPPCRTRPDGNNTLICPTDCFRKHPDATYAKCGSGPWITPRCLVHYPYRLWHYPCTVNFTIHKV -RMFVAGIEHRLEAACNWTRGERCELEDRDRSELSPLLFSTTELAILPCSFTPMPALSTGLIHLHQNIVDV -QYLYGISPAIISLAIKWEYVVLAFLVLADARVCACLWLMLLIGQAEAALENLIVLNAASAASLHGWWWCL -LYICCAWYIKGRLVPATTYCLLQIWPMLLLVLALPRRAYAYDQEQAASIGAGVLAVITIFTLTPHYKQLL -VQLIWWTQYFIARMEAELQVWVPTLVVQGGRDAIIVLTCLFHPSLGFEVTKVLLALIGPLYLLQASLLRV -PYYVRAHALLRVCLLVRKMVGAKYVQACLLKLGAWTGTYIYDHLAPLNTWASDGLRDLAVAVEPVIFSPM -EKKVITWGADTAACGDILAGLPVSARRGRLLLLGPADDMKGMGWRLLAPITAYAQQTRGLVGTIVTSLTG -RDKNEVEGEVQVISTATQTFLGTTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDKDLVGWPAPQGAKS -LTPCTCGASDLYLVTRNADVIPVRRRGDTRAGLLSPRPLSTLKGSSGGPVMCSSGHAVGLFRAAVCTRGV -AKAIDFVPVENMETSMRSPVFTDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSKAHGIDPNIRTGVRTVTTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGI -GTVLBQAETAGVRLTVLATATPPGSVTVPHPNITEVALPSTGEIPFYGRGIPIEYIKGGRHLIFCHSKKK -CTELANQLRALGLNAVEFYRGIDVAVIPTSGDVVICATDALMTGYTGDFDSVIDCNVAVTQIVDFSLDPT -FSIETTTVPQDAVARSQRRGRTGRGKLGIYRYVSQGERPSGMFNSVVLCEAYDVGCAWYELSPSETTVRL -RAYLNTPGLPVCEDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFAYLVAYQATVCARAKAPPPSWDNMWK -CLIRLKPMLTGPTPLLYRLGGVQNDITVTHPITKYIMACMSADLEVITSTWVIAGGVLAALAAYCLCVGS -AVVCGRIVTSGRPAVVPDREVLYQQYDEMEECSHRIPYLAEGQQIAEQFRQKIIGLLQSTAKQAEDIKPA -VQSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFTAALTSPLATSTTLLLNILGGWVASQ -IAPPTASTAFVVSGLAGAAVGSIGLGRVIVDILAGYGAGVSGALVAFKIMCGETPSVEDMVNLLPALLSP -GALVVGVVCAAVLRRHVGPSEGATQWMNRLIAFASRGNHVSPTHYVPETDASKAVMTILSSLTITSLLRK -IHEWINGDWTTPCATSWLRDIWDWICTVLADFKVWLKSKIMPALPGIPLLSCQRGYRGTWRGDGICQTTC -PCGAVIAGHVKNGTMKIVGPKTCSNVWRGTFPINATTTGPSVPVPDPSYKRALWRVSAEDYVEVLRVGSY -HYIVGATADNLKCPXQVPAPEFFTEVDGVRLHRYAPACRPLLREEVTFSVGLSNYVLGSQLPCEPEPDVT -VLTSMLTDPDHITAEAAARRLARGSXPSLAXSSASQLSAPSLKATCTTGANHPDVELIDANLLWRQEMGS -NITRVESETKVVILDSFDPLVAEYDDREISVPAECHRPPRPKYPPALPIWARPDYNPPLLENWKAPDYDP -PVVSGCALPPRALPPVPPPRRKRLVRLDESSAVAALAEMAEKAFPSSPSHDHTDSGKGASTLSSNDQPPS -DDDGNASDAGSYSSMPPLEGEPGDPDLGSGSWSTVSEEEDRLVCCSMSYSXTGIPITPCAAEEEKLPISP -LSNSLIRHHNMVYSTTSRSAALRQKKVTFDRLQVLDQHYQDTLKEVMARASGVSARLLSTEEACSLTPPH -SARSKFGYGAKDVRSHTSKAIXHINSVWEDLLEDNSTPIPTTIMAKNEVFCVDPQKGGRKPARLIVYPDL -SVRVCEKRALYDITQKLPTAVMGAAYGFQYSPKQRVDRLLGMWRSKKTPMGFSYDTRCFDSTVTERDIRT -EHSIYQCCQLDPTARKAITSLTERLYCGGPMFNSKGQSCGYRRCRASGVLTTSLGNTLTCYIKAHAACKA -AKLKDFDMLVCGDDLVIISESVGVQEDANALRAFTEAMTRYSAPPGDEPHPEYDLELITSCSSNVPVAHD -NTGKRYYYLTRDPTIPISRAAWETARHTPVNSWLGNIIMYAPTIWARMVMMTHFFGILQSQETLHKALDF -DMYGVTYSVTPLDLPQIIQRLHGLAAFSLHGYSPGELNRVASCLRKLGAPPLRAWRHRARAVRAKLIAQG -GKAAICGTYLFNWAVKTKLKLTPLRGAGDLDLSGWFVLGGSGGDIFHSVSRARPRILLLCLLLLTVGVGV -FLLPAR ->AII25857.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTQRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKVRH -QTGRTWGQPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVL -GGPLGGVATALAHGVRAVEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAVNFANKSGVYHLTNDCPNS -SIVYEAELIILHLPGCVPCVKTGNESRCWTAATATLAVPNSSTPIHGFRRHLDLMVGAAALCSAMYVGDL -CGGVFLAGQLFTFRPRVHQTVQDCNCSIYSGHVSGHRMAWDMMMNWSPTVTLVISSVLRIPQVLIDIFVG -GHWGIIAAVLYYSMAANWAKVLLVLLLFAGADATTTIGSSAGRTAGGLTSLFSPGSKQNLQLVNSNGSWH -INRTALNCNDSLHTGFLSALFYTKRSLNFTGCEQRVAACXDMGFFHQGWGPITYANISGPSAEKPYCWHY -APRPCEVVPAKDVCGPVYCFTPSPVVLGTTDRRGVPTYTWGENESDVFLMTSLRPPAGGWYGCTWMNSTG -FVKTCGAPPCNIQPAPNVSDPRTLRCPTDCFRKHPGASYAKCGSGPWLTPRCLVDYAYRLWHYPCTVNFT -VHKVRIYVGGSEHRFDVACNWTRGDRCELEDRDRVEMSPLLFSTTELAILPCSFSTMPALSTGLIHLHQN -VVDVQYLYGLSTSVVNWAIKWEYIVLAFLVLADARVCVALWIMLLVGQAEAALENLVVLNAASAVGHHGL -GWLLLFICWAWYVRGRMVPACAYGILQIWPLLLLILLLPQRAYAFNGDEAASVGLLVVGALTIFTLTPAY -KTLLAQFLWWTQYFIARLEAHLHVYVPPLRVRGGRDAIILCTCLFHPALGFEITKILLALIGPMYILQRS -LLRVPYYVRAHALLRVCMMARRLAGGKYVQAALLRVGAWTGTYIYDHLSPLSTWASDGLRDLAVAVEPVV -FSPMEKRVITWGADTAACGDILSGLPVSARRGNLIFLGPADDVKGKGWSLLAPITAYAQQTRGLLGTIVT -SLTGRDRNEVTGEIQVISTATQSFLGTTINGVLWTVYHGAGSKTLAGPKGPICQMYTNVDQDMVGWPAPA -GSRSYTPCSCGAADLYLVTRNADVIPARRRGDNRAGLLSPRPISTLKGSSGGPILCPSGHVVGLFRAALC -TRGVAKALDFIPVENMETSMRSPSFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPVAYASQGYKVLVLN -PSVASTLSFGAYLNKAHGIDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTT -VLGIGTVLDQAETAGCRLTVLATATPPGSVTVPHPNIQEEALPTTGEIPFYGKAIPLQYIKGGRHLIFCH -SKKKCDELAVQLRSLGLNAVAYYRGIDVAVIPTSGDVVICATDALMTGYTGDFDSVIDCNVTVTQAVDFS -LDPTFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRYVSQGERPSGMFDTVVLCXAYDTGAAWYELTPSET -TVRLRAYLNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWD -TMWKCLIRLKXTLTGPTPLLYRLGGVQNEITTTHPITKYIMTCMAADLEVITSTWVVAGGVLAALAAYCL -TTGSVVICGRITTTGKPAPVPDREVMYQQYDEMEECSRHLPYLVEGQHIAEQFKQKVLGLIQSTAKQAEE -LKPAVHSAWPKLEQFWHKHMWNFISGIQYLAGLSTLPGNPAVAALMSFSASLTSPLTTSQTLLLNVLGGW -LASQLSAPGPATAFVVSGLAGAAIGSVGLGKVIVDILAGYGAGISGALVAFKIMSGETPTVEDMVNTLPA -LLSPGALVVGVVCAAVLRRHVGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRAVTNILSSLTITS -LLRKLHHWITEDYATPCATGWLRDVWDWVCTVLSDFKTWLKAKLLPHLPGIPFLSCQRGYRGAWRGDGVC -YTRCSCGADIAGHVKNGTMKIVGPRTCSNTWQGTFPINATTTGPSVPIPAPNYKRALWRVSAEEYVEVVR -VGDSHYVVGVTADNIKCPCQVPAPEFFTEVDGVRLHRYAPQCKPLLRDEVTFSVGLSTYVVGSQLPCEPE -PDELVVTSLLRDPDHITAAAAARRLARGSPPSMASSSASQLSAASLKATCTPHGFHPDVELIEANLLWRQ -EMGGNITRVESENKVVILDSFDPIRSEVDEREMSVAAECHRPPRPKYPPALPVWARPDYNPPLLEAWKAP -DYDPPVVSGCALPPQGLPPVPPPRRKKVVQLSESAVAQALAQLAERTFPTTSDQPRTGSDSGHDAVATGP -SVPTEGDDTSDVDSYSSMPPLEGEPGDPDLSEGEDTGSWSTVDTEETTSVCCSMSYSWTGALITPCAAEE -EKLPISPLSNTLIRHHNLVYSTTSRSAALRQKKVTFDRQQALDQHYQDVLKEVKARAAXVSARLLTTEEA -CSLTPPHSARSKFGYGAKDVRSCSSKAVRHINSVWEDLLEDNTTPIPTTIMAKNEVFCVDPSKGGRKPAR -LIVYPDLGVRVCEKKALYDITRKLPVAVMGAAYGFQYSPSQRVEYLLKTWRSKKTPMGFSYDTRCFDSTV -TERDIRTEESIYQCCKLDPVAQKVITSLTERLYVGGPMFNSRGQSCGYRRCRASGVLPTSMGNTITCYLK -AMAACRAAGLKNFDMLVCGDDLVVISESAGVPEDASALRAFTDAMTRYSAPPGDEPHPVYDLELITSCSS -NVSVAHDSTGQRYYYLTRDPTTVLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFGILQSQEQ -LHKALDFDIYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVGACLRKLGAPPLRAWRHRARAVR -AKLIAQGGKAAICGKYLFNWAVKTKLKLTPLRDAHRLDLSGWFVSGYSGGDIFHSVSYARPRMLLLCLLL -LTVGVGIFLLPAR ->AJO71410.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPMDIKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -TQGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASALTYGNSSGLYHLTNDCPNS -SIVLEADAMILHLPGCLPCVKAYNKTPTCWHAVSPTLAVPNASTPATGFRRHVDLLAGAAVVCSSLYIGD -LCGSLFLAGQLFTFQPRRHWTVQDCNCSIYTGHVTGHRMAWDMMMNWSPTTTLVLSSILRVPEICASVIF -GGHWGILLAVAYFGMAGNWLKVLAILFLFAGVEASTNVGHAIGRQTSGLAGLFAPGASQNLQLIYSNGSW -HINRTALNCNDSLQTGFIASLFYVRSFNSSGCPARMAACKPLADFRQGWGRITYKENISGPSDDRPYCWH -YAPRPCDVVPALNVCGPVYCFTPSPVVVGTTDKRGNPTYNWGDNETDVFMLESLRPPNGGWFGCTWMNAT -GFTKTCGAPPCQIVPGDYNSSANELLCPTDCFRKHPDATYQRCGSGPWLTPRCLVDYPYRLWHYPCTVNF -TVHKVRMFVGGIEHRFNAACNWTRGERCELDDRDRIEMSPLLFSTTQLSILPCSFSTMPALSTGLIHLHQ -NIVDVQYLYGVSSSVTSWVVRWEYVVLMFLVLADARVCTCLWLMLLISNVEAAVERLVVLNAASAAGTAG -WWWAMLFLCCIWYVKGRFVPACTYMALGMWPLLLAVLALPPRAYALDNEQAASLGAVGLLVLTIFTITPM -YKELLTGFIWWNQYFLTRAEAMVHEWVPDLRVRGGRDSIILLTCLLHPQLGFEVTKILLAILAPLYILQY -SLLKVPYFVRAHVLLRACLLVRRLAGGKYVQACLLRLGAWTGTFVYDHLTPLSDWASDGLRDLAVAVEPV -IFSPMEKKVITWGADTAACGDILSGLPVSARRGNLVLLGPADDMQRGGWKLLAPISAYAQQTRGLVGTIV -TSLTGRDKNEVEGEVQVVSTATQSFLATSISGVMWTVYHGAGSKTLAGPKGPVCQMYTNVDKDLVGWPSP -PGARSLTPCTCGSSDLYLVTRDADVIPARRRGDTRAALLSPRPISTLKGSSGGPIMCPSGHVVGLFRAAV -CTRGVAKSLDFIPVENMETTMRSPSFTDNSTPPAVPQTYQVGYLHAPTGSGKSTRVPAAYASQGYKVLVL -NPSVAATLGFGSYMRQAYGVEPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPT -TVLGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITETALPTTGEIPFYGKAIPLEYIKGGRHLIFC -HSKKKCDELAGKLKSLGLNAVAFYRGVDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDF -SLDPTFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRFVSQGERPSGMFDSVVLCEAYDTGCAWYELTPSE -TTVRLRAYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSW -DVMWKCLIRLKPTLTGPTPLLYRLGAVQNEIITTHPITKYIMACMSADLEVITSTWVLVGGVLAALAAYC -LSVGCVVICGRITLTGKPVVVPDREILYQQFDEMEECSRHIPYLAEGQQIAEQFRQKVLGLLQASAKQAE -ELKPAVHSAWPRVEDFWRKHMWNFVSGIQYLAGLSTLPGNPAVASLMSFTASLTSPLKTSQTLLLNILGG -WIAAQVAPPPASTAFVVSGLAGATVGSIGLGRVLVDVLAGYGAGVSGALVAFKIMSGECPSTEDMVNLLP -ALLSPGALVVGVVCAAILRRHVGPAEGANQWMNRLIAFASRGNHVSPTHYVPETDASKNVTQILTSLTIT -SLLRRLHQWVNEDTATPCDTSWLRDVWDWVCTVLSDFKVWLQAKLLPRLPGIPFFSCQTGYRGVWAGDGV -CHTTCTCGAVIAGHVKNGTMKITGPKMCSNTWHGTFPINATTTGPSTPRPAPNYQRALWRVSAEDYVEVR -RLGDCHYVVGVTADGLKCPCQVPAPEFFTEVDGVRVHRYAPPCKPLLRDEVTFSVGLSTYAIGSQLPCEP -EPDVTVVTSMLTDPTHITAETAARRLKRGSPPSLASSSASQLSAPSLKATCTTSKDHPDMELIEANLLWR -QEMGGNITRVESENKIVVLDSFEPLTAEYDEREISASAECHRPPRHKFPPALPIWARPDYNPPLLQAWQM -PGYEPPVVSGCAVAPPKPVPIPPPRRKRLVHLDESTVSRALAQLADKVFVEGSSDPGPSSDSGLSITSPV -PPAPTTPDDACSEAESYSSMPPLEGEPGDPDLSSGSWSTVSSEDDVVCCSMSYSWTGALITPCAAEEEKL -PINPLSNSLIRHHNMVYSTTSRSASLRQKKVTFDRMQVFDQHYQDVLKEIKLRASTVQAKLLSIEEACDL -TPSHSARSKYGYGARDVRSHASKAVDHIRSVWEDLLEDSDTPIPTTIMAKNEVFCVDPSKGGRKPARLIV -YPDLGVRVCEKMALYDVTQKLPQAVMGPAYGFQYSPNQRVEYLLKMWRSKKVPMGFSYDTRCFDSTVTER -DIRTENDIYQSCQLDPIARRAITSLTERLYVGGPMVNSKGQSCGYRRCRASGVLPTSMGNTVTCYLKAQA -ACRAANIKDCDMLVCGDDLVVICESAGVQEDTASLRAFTDAMTRYSAPPGDAPQPTYDLELITSCSSNVS -VAHDGNGKRYYYLTRDCTTPLARAAWETARHTPVNSWLGNIIMFAPTIWVRMVLMTHFFSILQSQEQLEK -ALDFDIYGVTYSVSPLDLPAIIQRLHGMAAFSLHGYSPVELNRVGACLRKLGVPPLRAWRHRARAVRAKL -IAQGGKAAICGKYLFNWAVKTKLKLTPLASASKLDLSGWFVAGYDGGDIYHSVSQARPRLLLLGLLLLTV -GVGIFLLPAR ->AJO71604.1 polyprotein [Hepacivirus C] -MSTIPKPQRQTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKVRR -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASALQYXNKSGVYHLTNDCSNS -SIVYEAEDIILHTPGCIPCVXANNKSTCWLPVTPTLAVPNASVPIRGFRKHVDLMVGAAALCSAMYVGDL -CGGVFLVGQLFTFRPRXHNIVQDCNCSIYTGRITGHRMAWDMMMNWSPTAALILSYVMRIPQVIIDIFVG -GHWGIILGILYYSMVANWAKVFGILFLFAGVDADTYVSGAVAGRTLGTLAGFLSPGAKQNIQLINSNGSW -HINRTALNCNDSLNTGFIAGLIYVHKFNSSGCPERLSSCKPLAEFDQGWGPITYANVTGSSQDRPYCWHY -APRPCTIVPAKDVCGPVYCFTPSPVVVGTTDRRGDPTYTWGGNESDVLILQSLRPPEGGWYGCTWMNSSG -YTKTCGAPPCVIGSGGNNSLXCPTDCFRKHPDATYARCGSGPWLTPRCLVHYPYRLWHYPCTVNFTIHKV -RLFXGGIEHRFDAACNWTRGERCELEDRDRVDMSPLLFSTTELAILPCSFTTMPALSTGLIHLHQNIVDI -QYLYGXTPALVSWAIKWEYVVLAFLLLADARLCACLWLMMLISQAEAALENLXVLNAASAASCNGWLCCF -IFLCFAWYIKGRAVPVVTYGVLQLWPLLLLVVALPRRAYAYDGEQGASIGILVIVAITLFTLTPAYRQLL -IQLLWWNQYFIARAEAALQVWAPSLRVRGGRDAVILLTCLAHPALGFDITKVLLAILGPLYLFQASLLHV -PYYVRAHALLRACMLFRRITGGKYIQACLLRLGAWTGTYIYDHLAPLSTWASSGLRDLAVAVEPVIFSPM -EKKVITWGADTAACGDILAGLPVSARRGHLLFLGPADDMKSGGWRLLAPITAYHQQTRGLMGTIVTSLTG -RDKNEVEGEIQVISTATQTFLGTTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDMVGWPAPVGAKS -PTPCTCGASDLFLVTRNADVIPARRRGDSRAGLLSPRPISTLKGSSGGPLMCPSGHVVGLFRAAVCTRGV -AKALDFVPVENMETTMRSPXFTDNSSPPAVPQSYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNPSVA -ATLSFGSYMXKAYGVDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDIVICDECHSTDPTTVLGI -GTVLDQAETAGVRLTVLATATPPGSVTVPHPNIREEALPTTGEIPFYGRGIPLQYIKGGRHLIFCHSKKK -CDELAGQLRSLGLNAVAFYRGVDVSVIPTSGDVVVCATDALMTGYTGDFDTVIDCNVAVTQVVDFSLDPT -FSIETTTVPQDAVARSQRRGRTGRGKPGVYRYVSQGERPSGLFDTVVLCEAYDVGCAWYELTPSETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLKHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDVMWK -CLTRLKPMLTGPTPLLYRLGAVQNEITTTHPITKYIMTCMSADLEVITSTWVLAGGILAALAAYCLTVGS -AVICGRVVTSGKPVPLPDREVLYRQFDEMEECSQRIPYLAEGQQIAEQFRQKVLGLLQTTAKQAEELKPA -VHSAWPKLEQFWKKHLWNFVSGVQYLAGLSTLPGNPAVASLMSFSAALTSPLSTSTTLLLNILGGWVASQ -LAPPTASTAFVVSGLAGAAVGSIGLGKVIIDILAGYGAGVSGALVAFKIMSGEAPAVEDMVNLLPALLSP -GALVVGVVCAAVLRRHVGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASRAVTTILSSLTITSLLRK -LHEWINGDWSSPCADSWLWQVWEWVCTVLSDFKTWLKAKLVPALPGIPFLSCQRGFRGTWRGDGICHTTC -GCGAHIAGHVKNGTMKITGPRTCSNVWHGTFPINATTTGPSVPIPEPGYHKALWRVSAEEYVEVARVGDF -HYIVGATADNLKCPCQVPAPEFFTEVDGIRVHRFAPPCRPLIRDEITFSVGLTSYAIGSQLPCEPEPDVL -VLTSMLSDPDHITAETAGRRLKRGSPPSLASSSASQLSAPSLKATCTTGGVHPDAELLEANLLWRQEVGG -NITRVESENKIIVLDSFDPLVAETDDREMSVAAECHRPPRPTFPPALPIWARPDYNPPLLKPWQAPDYDP -PTVHGCALPPKGLPPVPPPRRKRAVQLDEAKAAQALADLARKSFPSDTSVSQEESGVGVSVASSDAQTPS -XEVDQASDAGSXSSMPPLEGEPGDPDFDSGSWATVSEEEGDSVVCCSMSYSWTGALITPCAAEEEKLPIS -PLSNSLIRHHNLVYSTSSRSASLRQKKVTFDRVQVLDQHYQDVLKEIKARASGVTAKLLSTEEACALTPP -HSARSKFGYGAKEVRSHASKAINHINSVWEDLLEDNCTPIPTTIMAKNEVFCVNPQKGGRKSARLIVYPD -LGVRVCEKRALYDVAQKLPTAAMGAAYGFQYSPKQRVEYLLKVWRSKKVPMGFSYDTRCFDSTVTERDIR -TEEEIYQCCQLDPAARKVIASLTERLYCGGPMFNSRGQSCGYRRCRASGVFTTSMGNTITCYLKAQAACR -AANLKDFDMLVCGDDLVVISESVGVAEDANALRAFTEAMTRYSAPPGDEPHPEYDLELITSCSSNVSVAH -DNNGQRYYYLTRDPATPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFGILQSQETLHKVLD -FDMYGVTYSITPLDLPAIIQRLHGMAAFSLHSYSPSELNRVASCLRKLGAPPLRAWRHRARAVRAKLIAQ -GGKAAICGXYLFNWAVKTKSRLTPLRGASQLDLSGWFVAGGSGGDIFHSVSKARPRMLLLCLLLLSVGVG -IFLLPAR ->AIO08086.1 polyprotein [Hepacivirus C] -MSTSPKPQRKTKRNTXRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -QTGRTWGQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVI -GAPLGGVAAALAHGVRAIEDGVNFATGNLPGCSFSIFLLALLSCLTTPASAVHYANKSGIYHVTNDCPNS -SIVYEASDIILHLPGCVPCTRSGNTSKCWTPATPTLAVPNASTPARGFRGHVDLMVGAAAVCSAMYVGDL -CGGAFLVGQLFMFRPRVHQTVQDCNCSIYTGRITGHRMAWDMMMNWSPTATLIMSYVLRVPQMIIDIFVG -GHWGVMAGLIYYGLAGNWLKVIGILFLFAGVDAETHVSGAITGRTLTSLSGLFTRGSQQQLQLVNSNGSW -HINRTALNCNDSLNTGFIAALFYTQKFNSSGCVERMSACRKLTTFSQGWGPITYANITGSSEGRPYCWHY -APRPCATVQARDVCGPVYCFTPSPVVVGTTDERGNPTYDWGTNATDVFLLASLRPPHGGWYGCTWMNGTG -FVKTCGAPPCRTSXEGNNTLICPTDCFRNHPEATYSKCGSGPWITPRCLVDYPYRLWHYPCTVNYTIHKV -RMFVGGMEHRFQAACNWTRGERCELEDRDRVNMSPLLFSTTELAILPCSFTTMPALSTGLIHLHQNIVDV -QYLYGVSPMITSWAIKWEYVVLAFLLLADARICACLWLMMLVGQAEAALENLIVLNAASAASLQGWWCCI -VFVCCAWYIKGRVVPGMTYILLQLWPLLLLIMMLPQRAYAFDQEQAASIGVVVLVAITLFTLTPHYKWML -VNFLWWNQYLLARAEAMLHVWIPNMTARGGRDAIILLTCLFHPSLGFDITKVLLAILGSQYLLQEALLCA -PYYVRAHALLRACMLFRRLCGGKYVQALLLRLGAWTGTYIYDHLAPLSTWASDGLRDLAIAVEPITFSPM -EKRVITWGTDTAACGDILDGLPVSARXGRLLLLGPADDVKDKGWKLLAPITAYCQQTRGLLGTIVTSLTG -RDKNEVEGEVQIVSTATQTFLATSINGVMWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGARS -LTPCTCGASDLYLITRNADVIPARRRGDTRAGLLSPRPLSTLKGSSGGPVMCPSGHAVGLFRAAVCTRGV -AKAIDFVPVENMETTMRSPVFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPASYAAQGYSVLVLNPSVA -ATLGFGAYMRTAYGVEPNIRTGVRSITTGSSITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTILGI -GTVLDQAETAGVRLTVLATATPPGSVTVPHPNITEVALPTTGEIPFYGKGIPLDYIKGGRHLIFCHSKKK -CDELAKQLRTLGLNAVAFYRGVDVAVIPADGDVVVCATDALMTGYTGNFDTVIDCNVSVTQVVDFSLDPT -FSIETTTVPQDAVSRSQRRGRTGRGKPGTYRYVSLGERPSGMFDSVVLCEAYDTGCAWYELTPSETTVRL -RAYLNQPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGDNFAYLVAYQATVCARAKAPPPSWDTMWK -CLIRLKPMLTGPTPLLYRLGAVQNEITTTHPVTKYIMTCMAADLEVITSTWVLAGGVLAAIAAYCLTVGS -VVICGRIITSGKPAVVPDRAVLYQQYDEIEECSRHIPYLVEGQQIAEQFKQKILGLMQSTAKQAEDLKPA -AHAAWPKIEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSAALTSPLATSTTLLLNILGGWFASQ -LAPPTASTAFVVSGLAGAAIGSIGLSKVIVDILAGYGAGVSGALVAFKIMSGECPTGEDIVNMLPALLSP -GALVVGVVCAAVLRRHIGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETNASQAVTAILSSLTITSLLRK -LHEWINXDWSTPCGSTWLRDIWDWVCTVLSDFKTWLRAKLVPALPGIPFLSCQRGFRGVWRGDGVNNTTC -PCGAAIVGHVRNGTMKISGPRACSNTWHGTFPINATTTGPSVPVPGPNYRRALWRVSAEEYVEVVRHNDC -HYVVGATADNLKCPCQVPSPEFFTEVDGVRLHRYAPPCRPLLRDEVTFSVGLSNYVLGSQLPCEPEPDTV -VLTAMLTDPDHITAETARRRLARGSPPSLASSSASQLSAPSLKATCTTGGPHPDAELIEANLLWRQEMGG -NITRVESENKTVILDSFDPITPEFDDRELSVAAECHRPPRPKYPPALPIWARPDYNPPLLESWKSPDYEP -PSVAGCALPPRGLPPVPPPRRKKLVKLDDSAAAAALAEMARKAFPPTPTAPSGDSGVAPSTGSSDAAVDQ -QEDDSISNPESYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVICCSMSYSWTGALITPCAAEEEKLPISP -LSNSLIRHHNLVYSTTTRSACLRQKKVTFDRVQILDQHYQDVLKEIKVRASTVTAKLLPVEEACDLTPPH -SARSKFGYGAKEVRAHASKAINHINSVWEDLLEDNSTPIPTTIMAKNEVFCVDPQKGGRKPARLIVFPDL -SVRVCEKRALYDITRKLPEAVMGPSYGFQYSPKARVDYLLKMWRSKKTPMGFSYDTRCFDSTVTERDIRT -EHDIYQCCQLDPTVKKVITALTERLYCGGPMYNSKGQKCGYRRCRASGVLTTSLGNTLTCYLKAQAACRA -AGLKDVDMLVCGDDLVVISESLGNAEDAANLRAFTEAMTRYSAPPGDDPRPEYDLELITSCSSNVSVAHD -AEGRRYYYLTRDPTIPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMILMTHFFSILQSQETLHKALDF -DMYGVTYSITPLDLPVIIQRLHGMAAFSLHGYSPGELNRVAACLRKLGAPPLRAWRHRARAVRAKLIAQG -GKAAICGKYLFNWAVRTKLKLTPLRDAANLDLSEWFVSGGRGGDIFHSVSRARPRVLLLCLLLLTVGVGI -FLLPAR ->AHH29574.1 polyprotein [Hepatitis C virus genotype 6] -MSTLPKPQRKTKRNTIRRPQDVKFPGGGQIVGGVYLLPRRGPKLGVRAVRKTSERSQPRGRRQPIPKERR -PTGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYLPVV -GAPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALFSCLAVPTTAINYGNKSGLYYLTNDCPNS -SIVYQAQDVILHLPGCVPCVKTGNTSSCWYPVSPTLAAPNASVPVRGFRKHVDLLVGAAALCSALYVGDF -CGGAFLMGQMFTFAPQHHMTVQECNCSIYTGHITGHRMAWDMMMNWSPTLTYIASSALRVPQLLLEVFLE -GHWGVLGAILYFSMVANWAKVAAVLVLFAGVDATTHVTGAAAGSTTSSMVGLFSPGARQNLXLINSNGSW -HINRTALNCNDSLQSGFLASLFYTHKFNSSGCPERLSSCKPLTYFEQGWGPITDANVSGSSQDKPYCWHY -APRPCGVVSALDVCGPVYCFTPSPVVVGTTDRKGNPTYNWGENETDVFILSSLRPPKGGWFGCTWMNSTG -YTKTCGGPPCNIYPGSTPQEGGNNTKLVCPTDCFRKHPDATYARCGSGPWLTPRCMVDYPYRLWHYPCTL -NYTRHQVRLFLGGIEHRFAAACNWTRGERCELDDRDRVEMSPLLFSTTQLSILPCSFSTMPALSTGLIHL -HQNIVDVQYLYGLSSAVVSWAVKWEYVVLAFLVLADARICAILWLMLLIAQAEAALENLVMLNAASAASC -QGWVWCILFVCCAWYVRGRAVPAFTYGILQLWPLLLLLLVLPPRALAHDYEQAATLGLLVTLLVTAFTLS -PMYKTLLANLIWWLQYFIARAEAMLQVWIPSWHVRGGRDAVILLTCLLHPSLGFEMTKILLALLGPLYLF -QACLLRVPYFVRAHALLRVCMAVRGIVGGKYVQALLLRLGAMTGTYIYDHLSPLADWACNGLRDLAVAVE -PVMFSPMERKIXTWGADTAACGDILQGRPVSARLGSLLCLGPADGLQDQGXRLLAPITAYAQQTRGLLGT -IVTSLTGRDKNXVEGEIQVLSTATQSFLATPVBGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWP -APAGCRSLTPCTCGASDLYLITRNADVIPARRRGDTRASLLSPRPLATLKGSSGGPMMCPSGHIVGIFRA -AVCTRGVAKALDFVPVENMETTMRSPTFTDNSSPPAVPSTYQVGYLHAPTGSGKSTKVPAAYASQGYKVL -VLNPSVAATLSFGAYMSKAHGIDPNIRTGVRTITTGGAXTYSTYGKFLADGGCSGGAYDIIICDECHSTD -PTTVLGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITEVGLSTTGEVPFYGKAIPLEVIKGGRHLI -FCHSKKKCDELAKQLTSLGLNAVAFYRGVDVSVIPTAGDVVICATDALMTGYTGDFDSVIDCNVAVTQVV -DFSLDPTFSIDTTTVPQDAVXRSQRRGRTGRGKPGTYRFVSQGERPSGMFDSVVLAEAYDTGCAWYELSP -SETTVRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFPYLVAYQATVCARAKAPPP -CWDTMWKCLIRLKPMLTGPTPLLYRLGPVNNEITTTHPIXKYIMTCMSADLEVITSTWILVGGVLAALAA -YCLTVGCVVICGRIVVSGKPAVVPDREVLYQQFDEMEECSRHLSYLAEGQQIAEQFKNKILGLIQATSKQ -AEELKPAVHSAWPKLEQFWQKHMWNFVSGIQYLAGLSTLPGNPAVAALMAFSASLTSPLRTGQTLLLNIM -GGWVASQLATPTAATGFVVSGLAGAAVGSIGLGRVLVDILAGYGAGVSGALVAFKIMSGEAPALEDMVNL -LPALLSPGALVVGVVCAAILRRHVGPAEGATQWMNRLIAFASRGNHVSPTHYVPETDASRNVTLILSSLT -ITSLLRRLHQWINEDWSTPCSTSWLRDVWDWVCTVLADFKAWLKAKLMPALPGVPFLSCQRGYRGVWRGD -GINTTTCTCGAQLTGHVKNGSMKLVGPRMCSNTWHGRFPINASTAGPSVPAPALTYTRALWRVSAEEYVE -VRRIGDSHFVVGATADNLKCPCQVPSPEFFTEVDGVRLHRYAPPCKPLLRDEITFLVGLNTYAVGSQLPC -DPEPDVVALTSMLTDPTHITSEAAARRLARGSPPSLASSSASQLSAPSLKATCTTHGRSPDAELIEANLL -WRQEMGGDITRVESKNKVVILDSFDPIVPEYDDREMSVAAECHRPPRPKYPPALPIWARPDYNPPLLENW -KSPGYDPPVVHGCSLPPAREVPVPPPRRKRLVRLDESTVSQALAELAELSFPKGLAMTDSTSDSGLPPAS -KASSEPVVESDAASDAGSYSSMPPLEGEPGDPDLSTGSWSTVSEEESDSVVCRSYSYSWTGALITPCVAE -EEKLPISPLSNSLIRHHNLVYSTTTRSAVLRQKKVTFDRLQVVDQYYNDVLKEIKLRASTASAQLLSIEE -ACDLTPRHSARSKHGYGAQDVRSHSSKAVNHIRSVWEDLLEDNVTPIPTTIMAKNEVFCVEPSKGGRKPA -RLIVYPDLSVRVCEKMALYDVTRKLPQAVMGAAYGFQYSPKDRVDRLLKMWRSKKSPMGFSYDTRCFDST -VTERDIKIEASIYQSCQLDPQARKAITSLTDRLYCGGPMYNSKGQSCGYRRCRASGVLTTSMGNTLTCYL -KASAACRAAGLKDYDMLVCGDDLVVISESAGVPEDEAALRAFTDAMIRYSAPPGDVPKPEYDLEHITSCS -ANVSVAHDDTGTRYYYLTRDPTTPLARAAWETARHTPVNSWLGNIILYAPSIWVRMVLMTHFFGILQAQE -ELGKALDFDMYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVGACLRKLGAPPLRAWRHRARAV -GAKLIAQGGKAAICGKYLFNWAVKTKLKLTPLRSAASLDLSDWFVAAYSGGDIYHSVSQARPHILLLCLL -LLSVGVGIFMLPAR ->ACD14090.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PAGRTWGQPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPNDPRRRSRNLGKVIDTFTCGLADLMGYIPVL -GGPLGGVAAALAHGVRVVEDGINYATGNLPGCSFSILLLALLSCLTVPTSALNYANKSGIYHLTNDCPNS -SIIYEAETAILHLPGCVPCVKVGNKSNCWTPATPTLAVQDASTPAIGFRGHVDVMAGAAVACSALYVGDL -CGGAFLMGQLFTIRPRRHQTVQDCNCSIYPGHITGPRMAWDMMMNWSPTTTYIISSILRIPQVLIEVFTG -GHWGIIGAVVYFSMVGSWVKVLLVLLLFAGVDARTTTVGHAMGHTVGRLTGLFTAGPSQKLNLVNTNGSW -HINRTALNCNDTLHTGFIAGLLYAHKFNSTGCPERLSHCKPLTHFHQGWGPISYANVSGPSEDKPYCWHY -PPRPCGVVQAKDVCGPVYCFTPSPVVVGTTDRRGTPTYNWGDNETDVFLLSSQRPPSGGRYGCTWMNATG -FVKTCGAPPCYIRPQQNDTDPAELRCPTDCFRKHPGATYARCGSGPWLTPRCLVDYPYRLWHYPCTVNFT -IHTIRMFVAGLEHRLKAACNWTRGERCELDDRDRIEMHPLLFSTTELAILPCSFTTMPALSTGLIHLHQN -VVDVQYLYGVSTSTTSWVIKWEYIVLLFLYLADARLCTCLWLMFLVGKAEAALENLINLNAAAAAGIHGW -VWGLVFICLAWHVRGRLAPAAAYGALQLWPLLLLVLALPRRAYAYDGEQAASLGAVVIVILTLVTLTPHY -KALLTGCLWWIQYFIARLEAELHVWVPPLSVRGGRDAVILLTCLLHPTLGFEITKILLALIGPLYLLQEG -LLRVPYYVRAHALLRLCLLVRRIAAGKYVQMLLLKVGAATGTYIYDHLSPLADWASDGLRDLAVAVEPVV -FSPMEKKVIIWGADTIACGDILSGLPVSARRGNLVFLGPADDVKGKGWSLLAPITAYVQQTRGLLGTIVT -SLTGRDKNQVEGEVQVLSTATQTFLGTTINGVLWTVYHGAGSKTLAGPKKPICQMYTNVDQDLVGWPAPA -GARSYTACTCGANDAYLVTRNGDVIPARRRGDSRAALLSPRPISTLKGSSGGPVLCPSGHVVGLFRAAVC -TRGVAKAIDFVPVENMETTMRSPTFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLN -PSVAATLSFGSFMSRAYGIDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTT -VLGIGTVLDQAETAGVRLTVPATATPPGSVTVPHPNIQEIALPTTGEVPFYGKAIPLEYIKGGRHLIFCH -SKKKCDELAGQLRQLGLNAVAFYRGIDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFS -LDPTFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRYVSQGERPSGTFDTVILCEAYDTGCSWYELTPSET -TVRLRAYLNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGENFAYLVAYQATVCARAKAPPPSWD -QMWKCLIRLKPTLTGPTPLLYRLGAVQNDITTTHPVTKYIMACMSADLEVITSTWVLAGGVLAALAAYCL -TMGSVVICGRIITSGRPAVMPDREVMYQQYDEMEECSSRIPYLVEGQHIAEQFRQKVLGLMQTTAKQAED -LKPAVTSAWPKVEQFWHKHMWNFISGIQYLAGLSTLPGNPAVAALMSFSASLTSPLATSTTLLLNVLGGW -VASQLGPAPAATAFVASGLAGAAIGGIGLGKVIVDILAGYGAGVSGALVAFKIMSGETPAVEDMVNLLPA -LLSPGALVVGVVCAAILRRHVGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRAVTNILSSLTITS -LLRKLHQWIHEDYASPCSTSWLKDVWDWVCTVLSDFKTWLKAKLFPALPGIPFYSCQRGYKGTWKGDGVC -HTTCPCGADIAGHVKNGSMKILGPRTCSNVWHGTFPINATTTGPSVPTPSPNYTRALWRVAAEEYVEVLR -VEDSHYVVGVTADNVKCPCQVPAPEFFTEIDGVRIHRYAPRCKPLLKDEVSFSVGLNTYVVGSRLPCDPE -PDVLVVTSMLTDPDHITAEAAGRRLARGSPPSLASSSASQLSAPSLKATCTTHCDDPDAELISANLLWRQ -EMGGNITRVESENKVVVLDSFEPLTAEYDDREISTAAECHRPRRPAFPAALPVWARPDYNPPLLEPWKAP -DYKPPMVSGCALPPTPSPPIPPPRRKKLIRLDESKVAQALAELASRTFQPSPEQAISKSDSGLGSGVGES -PDHDAEEETSDVDSCSSMPPLEGEPGDPDLSSGSWSTVSDDETSVVCCSMSYSWTGAPITPCAAEEEKLP -ISPLSNGLLRHHNLVYSTTSRSAPLRQKKVTFDRLQVLDSYYYDTVKEIKTLASGVKAKLLSIEEACDLT -PPRSARSKFGYGAKDVRAHASKAVHHISSVWEDLLEDSSTPIPTTVMAKNEVFCVDSSKGGRKPARLIVY -PDLSVRVCEKRALYDITQKLPAAIMGAAYGFQYSPNQRVEYLLKVWRSKKTPMGFSYDTRCFDSTVTERD -IRTEESIYQCCQLDPQARKAITSLTERLYVGGPMYNSKGQCCGYRRCRASGVLPTSLGNTMTCYIKAMAA -CRAAKLKNFDMLVCGDDLVVISESDGVQEDISALRAFTEAMTRYSAPPGDEPHPEYDLERITSCSANVSV -AHDQNGRRYYYLTRDPVTPLARAAWETARHTPVNSWLGNIIMYAPAIWVRMVLMTHFFGILQSQETLHQA -LDFDLYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVASCLRKLGVPPLRAWRHRARAVRARLI -AQGGKAAICGRYLFNWAVKTKLKLTPLADAHRLDLSGWFVAGYSGGDIFHSVSYARPRALLLCLLLLTVG -VGIFLLPAR ->ABX80375.1 polyprotein [Hepacivirus C] -MSTLPKPQRPTKRNTNRRPKDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRSRRQPIPKARR -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GGPLGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVHYANKSGIYHLTNDCPNS -SIVYEAKDIILHLPGCVPCVKTGNISKCWTPASPTLAILNASTPVRGFRDHVDLLVGAAVFCSAMYVGDL -CGGIFLLGQLVTFRPRMHQVVQDCNCSIYAGHITGHRMAWDMMMNWSPTATLITSYVLRIPQVIIDIFAG -GHWGIIAGVLYYSMVANWAKVFAVLLLFTGVDAYTHTIGGTTGQQAYGLASLFNPGARQNIQLINSNGSW -HINRTALNCNDSLKTGFMAALFYTHRFNSSGCPARLSSCKPLTAFGQGWGPITYANITGSSQDKPYCWHY -APRPCTTIPASEVCGPVYCFTPSPVVVGTTDRRGNPTYSWGENETDVFILDSLRPPSGGWFGCTWMNSTG -FTKTCGAPPCIIGPKDNNSLTCPTDCFRKHPEATYGKCGSGPWLTPRCMVHYPYRLWHYPCTVNFTIHKV -RIFLGGLEHRFEAACNWTRGDRCELDDRDRVEMSPLLFSTTELSILPCTFSTMPALSTGLIHLHQNIVDV -QYLYGLSPVYVSWVIKWEYVVLAFLLLADARVCACLWLMLLIGQAEAALENLIVLNAASAASKCGWGWCL -VFLCCAWYIKGRLVPAATYGLLQLWPLLLLILALPHRAFAFEDEQAASMGAAVMVAITIFTLTPHYKQLL -VQLLGWTQYFIARLEAMLHVWVPGLSVRGGRDAVILLTCLLHPSLGFDVTKVLLALLGPLYLFQASVFRI -PYYVRAHVLLRACLFVRRMACGKYVQACLLRLGAWTGTYVYDHLAPLSDWASDGLRELAVAVEPVIFSPM -ENKVITWGADTAACGDILAGLPVSARRGRLLLVGPADDAREFGWKLLAPITAYHQQTRGLLGTIVTSLTG -RDKNEVEGEVQVLSTATQTFLASTINGVLWTVFHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGARS -LTPCTCGGCDLYLVTRTADVIPARRRGDTRASLLSPRPLSCLKGSSGGPVLCSSGHVVGLFRAAVCTRGV -AKAIDFVPVENMETTMRSPAFTDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNPSVA -ATLGFGAYMSKAHGIDPNIRTGVRTVTTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGI -GTVLDQAETAGVRLTVLATATPPGSVTIPHPNITETALSTTGEIPFYGKAIPLDCIKGGRHLIFCHSKKK -CDELAKQLRTLGLNAVAFYRGVDVAVIPTSGDVVICATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDPT -FTIETTTVPQDAVVRSQRRGRTGRGKPGIYRYVSQGERPSGMFDSIVLCEAYDTGCAWYELTPAETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDNMWK -CLLRLKPMLTGPTPLLYRLGSVQNEITTTHPITKYIMTCMSADLEVITSMWVLAGGIMAALAAYCLTMGS -VVICGRIVTSGKPAVMPDREVLYQQYDEMEECSQRIPYLVEGQHLAEQFKQKIMGLLQSTAKQAEDLKPA -VHSAWPKVEQFWXKHLWNFVSGIQYLAGLSTLPGNPAVASLMAFSAALTSPLATSTTLLLNILGGWVASQ -LAPPSASTAFVVSGLAGAAVGSIGLGKVIVDILAGYGAGVSGALVAFKIMSGEAPAIEDMVNLLPALLSP -GALVVGVVCAAVLRRHIGPTEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRAVTTILSSLTITSLLRR -LHEWISGDWTTPCATSWLRDVWDWVCTVLSDFKTWLKAKLVPSLPGIPFFSCQRGFRGVWRGDGVCYTTC -GCGANITGHVKNGSMKIIGPRTCSNVWHGRFPINATTTGPSVPIPEPNYRTALWRVSAEDYVEVMRINDC -HYVVGATADNLKCPCQVPAPEFFTMVDGVRLHRYAPDCRPLIRDEVTFSVGLSTYAIGSQLPCEPEPDVV -TVTSMLVDPAHITAESARRRLNRGSPPSLASSSASQLSAPSLKATCTTAGVHPDAELIEANLLWRQEVGG -NITRVESENKVIVLDSFDPLVAEVDDREISTAAECHRPPRPKYPPALPIWARPDYNPPLLEVWKSPTYDP -PLVSGCALPPKGLPPVPPPRRKKVVQLDDSAAAAALAELARKSFPPEPLDSQGDSATSPSTPSSDAAPSQ -VDDDIESDAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVXCCSYSYSWTGAXITPCAAEEEKLPISP -MSNSLIRHHNLVYATTSRSAPLRQRKVTFGRVQLLDQYYHDTLKEVKARASQVEARLLSTEDACSLTPPH -SARSKFGYGAKDVRAHASKAINHINSVWEDLLEDSSTPIPTTIMAKNEVFCVDPRKGGRKPARLIVYPDL -GVRVCEKKALYDITQKLPKAVMGPSYGFQYSPKQRVDYLLGVWRSKKVPMGFSYDTRCFDSTVTERDIRT -EHDIYACCKLDPAARKAITALTERLYCGGPMFNSKGQACGYRRCRASGVLTTSLGNTLTCYIKAQAACKA -AKLENFDMLVCGDDLVVISESKGVSEDASALRAFTEAMTRYSAPPGDEPHPEYDLELITSCSSNVSVAHD -DRGQRYYYLTRDPTTPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFGILQSQEMLHKALDF -DMYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVASSLRQLGAPPLRAWRHRARAVRAKLIAQG -GKAAICGKYLFNWAVRTKLKLTPLHGTDRIDLSGWFVAGGSGGDVFHSAPRARPRMLLLCLLLLTVGVGI -FLLPAR ->ABX80370.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTTRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKVRH -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRVIEDGINYATGNLPGCSFSIFLLALLSCLTTPASAAHYTNKSGLYYLTNDCPNS -SIVYEAETLILHLPGCVPCVRVGNQSRCWVQASPTLAVPNASTPITGFRKHVDVMVGAAAFCSAMYVGDL -CGGLFLVGQLFTFRPRMHQVVQECNCSIYTGHITGHRMAWDMMMNWSPTVTLVLSYLARIPQVIVDVFIG -GHWGVLAGLLYYSMVANWAKVLGILLLFSGVEAKTYISGGIAAQQTCGFAWLFTAGSRQNIQLINTNGSW -HINRTALNCNDSLNTGFIASLFYANRFNSSGCPERLSACKPITDFSQGWGPISYANVSGPSEDRPYCWHY -PPKPCVVVPAQNVCGPVYCFTPSPVVVGTTDRRGVPTYTWGENESDVFLLASPRPPAGWWFGCTWMNSTG -FTKTCGAPPCTWHSSGNASLTCPTDCFRKHPEATYSKCGSGPWLTPRCLVDYPYRLWHYPCTVNFTVHKV -RMYLGGLEHRFTAACNWTRGDRCELDDRDRVEMSPLLHSTTELAILPCTFTTMPALSSGLIHLHQNMVDV -QYLYGITPAIVSLVIKWEYVVLIFLVLADARVCACLWLMLLIGQAEAALENLIVLNAASAASLHGWIWCA -VFICCAWYIKGRLVPAATYGLLQLWPLLLLVLALPQRAFAYDQEAAGSIGALVIPVITVFTLTPHYKWLL -VRLLWWNQYFIARAEAMIHQWVPGLSVRGGRDAVILLTCLLHPALGFDISKVLLAIMGPLYLLQASLLRV -PYYVRAHALLRVCLAVRRMAHGKYVQACLLKIGAWTGTYLYDHLAPLSDWASDGLRDLAVAVEPITFSPM -EKKIITWGADTAACGDILEGLPVSARLGNLILLGPADSMQDKGWKLLAPITAYAQQTRGLLGTIVTSLTG -RDKNEVEGEVQVISTATQTFLASTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDMVGWPAPAGARS -FTPCTCGASDLYLVTRNADVIPARRRGDTRAGLLSPRPISTLKGSSGGPVLCSAGHAVGLFRAAVCTRGV -AKAIDFVPVESMETTMRSPVFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNPSVA -ATLAFGAYMSKAYGVDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGI -GTVLDQAETAGVRLTVLATATPPGSVTVPHPNISEVALPTTGEIPFYGRAIPLEYIKGGRHLIFCHSKKK -CDELAKQLRVLGVNAVAFYRGVDVSVIPTAGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDPT -FTIETTTVPQDAVARSQRRGRTGRGKPGVYRYVSQGERPSGTFDTVVLCEAYDTGCAWYELTPSETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDNMWK -CLIRLKPVLTGPTPLLYRLGAVQNEITTTHPITKYIMTCMSADLEVITSAWVITGGVLAALAAYCLTTGS -AVICGRIITSGKPAVMPDREVLYQQFDEMEECSQHIPFLVEGQHLAEQFKQKVMGLLQATAKQAEELKPA -VHSAWPRLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLQTSTTLLLNILGGWVASQ -LAPPSASTAFVVSGLAGAAVGSIGLGKVIIDILAGYGAGVSGALVAFKIMSGETPSVEDMVNLLPAILSP -GALVVGVVCAAVLRRHIGPSEGATQWMNRLIAFASRGNHVSPTHYVPETDASKNVMTILSSLTITSLLRK -LHEWINSDWSTPCSTSWLRDIWDWVCTVLSDFKTWLKVKLLPALPGIPFLSCQRGFRGVWRGDGICYTTC -ACGASITGHVKNGTMKIVGPKTCSNVWHGTFPINASTTGPSVPIPEPNYRKALWRVAAEEYVEVLHINDC -HYVVGATADNLKCPCQVPAPEFFTEVDGVRLHRYAPACRPLLREEVTFSVGLNSYAMGSQLPCEPEPDVM -VLTSMLTDPDHITAEEARRRLARGSPPSLASSSASQLSAPSLRATCTAAGDHPDAELIEANLLWRQEVGG -NITRVESENKVIVLDSFDPLVAEVDDREISVAAECHRPPRPKFPPALPIWARPDYNPPLLETWKAPDYNP -PTVSGCALPPKGLPPVPPPRRKKVVRLDESSVSTALAELARKSFPPPSAQSQESSGLGSTAASSQSPESP -NEADTASDAGSYSSMPPLEGEPGDPDLESGSWSTVSEESDSVVCCSYSYSWTGALVTPCAAEEEKLPISP -LSNSLIRHHNLVYSTTSRSAAIRQKKVTFDRIQLLDQHYHDTLKEIKERASTVSARLLTTEEACDLTPPC -SARSKFGYGAKEVRSHASKAINHINSVWEDLLEDNATPIPTTIMAKNEVFCVDAKKGGRKPARLIVYPDL -GVRVCEKKALYDITRKLPQAVMGAAYGFQYSPKQRVDYLLKMWRSKKTPMGFSYDTRCFDSTVTERDIHT -EHDIYQCCQLDPVARKAITSLTERLYCGGPMTNSRGQSCGYRRCRASGVLTTSLGNTLTCYLKAQAACKA -AKLKNYDMLVCGDDLVVIAESGGVSEDVDALRAFTDAMTRYSAPPGDEPRPEYDLELITSCSSNVSVAHD -NTGQRYYYLTRDPTVPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFGILQSQETLHKALDF -DMYGVTYSITPLDLPPIIQRLHGMAAFSLHGYSPGELNRVAACLRKLGAPPLRAWRHRARAVRAKLIAQG -GKAAICGKYLFNWAVKTKLKLTPLRGAASLDLSGWFVAGGRGGDIFHSVSRARPRILLLCLLLLTVGVGI -FLLPAR ->AJF19150.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARS -QTGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVV -GAPLGGVAAALAHGVRAVEDGINYATGNLPGCSFSIFLLAILSCLTVPVSSLNYANTSGIYHVTNDCPNA -SIVYEAESVILHLPGCVPCIKGPNTSTCWVPVSPTLAVPNASVQFQGFRGHVDLMVGAAAFCSAMYLGDL -CGGVFLAGQLFTWQPRKHQTVQECNCSIYSGHITGHRMAWDMMMNWSPTVTLIVSSALRVPQLVIDVFVG -GHWGIIAGLLYYGMVGNWLKVVGILVLVAGTDATTHIAAGKAARDTYGFTSLFSTGAKQNLQLINTNGSW -HINRTALNCNDSLQTGFLAGLFYYHKFNSSGCPQRMSSCKPLRSFDQGWGPLAYANISGPSNDKPYCWHY -APRPCETVPAKEVCGPVYCFTPSPVVVGTTDRKGLPTYSWGENESDVFLLMSLRPPSGGWFGCTWMNSSG -FLKTCGAPPCTLGPGSSNNTLKCPTDCFRKHPDATYSRCGSGPWLTPRCLVDYPYRLWHYPCTVNFTIHK -VRLYVGGVEQRFSAACNWTXGXPCELDDRDRVEMSPLLFSTTELSILPCSFTTMPALSTGLIHLHQNIVD -VQYLYGXSPIVISWAVKWEYVVLAFLVLADARICACLWIMLMVSQVEAALENLIVLNAASAAGAQGWPWC -LAVVCLAWYIKGRLVPAGTYCALQMWPLLLLLLALPQRAYAYDGEQAASIGVLVIGVLTIFTITPLXXXM -LARLIWWNQYFIARAEAVLQVWVPPLQVRGARDSIILLACLLHPRLVFEITKIILAVLGPMYLLQXSLLR -VPYFVRAHALLRVCMLFRRLAGGKYVQALLLKIGSWTGTYIYHHLSPLSTWASDGLRDLAXAVEPVIFSP -MEKKVITWGADTAACGDIISGLPVSARRGNLVLLGPADNLKEMGWHLLAPITAYAQQTRGLVGTIVTSLT -GRDKNEVAGEIQVLSTATQTFLGTNINGVMWTVFHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGGR -SLTPCTCGSSDLYLVTRAADVIPARRRGDTRASLLSPRPLSTLKGSSGGPVMCPSGHAVGVFRAAVCTRG -VAKAIDFVPVENMETTMRSPTFTDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSV -AATLGFGAYMSKAYGIDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTTVLG -IGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITETALSSTGEIPFYGKAIPLEYIKGGRHLIFCHSKK -KCDELTKQLSSLGLNAVAFYRGIDVSVIPTTGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDP -TFSIETTTVPQDAVSRSQRRGRTGRGKPGVYRYVAQGERPSGMFDSVVLCEAYDTGCAWYELTPSETTVR -LRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDXMW -KCLIRLKPTLTGPTPLLYRLGAVQNEITTTHPITKYISTCMAADLEVVTSTWVIAGGILAALAAYCLTVG -SVVICGRIVTSGQPVLVPDREVLYQQFDEMEECSHHIPYLAEGQHLAEQFKQKVLGLIQTTTKQAEEIKP -AVTAAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMAFSAALTSPLATSTTLLLNILGGWVAS -QLAPPSASTAFVVSGLAGAAVGSIGLGKVIVDILAGYGAGVSGALVAFKIMSGEXPAIEDMVNLLPAILS -PGALVVGVVCAAVLRXHVGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASRTVTNILSSLTITSLLR -KLHEWINSDWSSPCSTSWLWEIWNWVCTVLADFRAWLKAKIMPSLPGVPFFSCQRGFKGVWRGDGVCHTK -CPCGAEITGHVKNGTMKIIGPKTCSNMWHGTFPINATTTGPSVPIPEPNYRKALLRVSADEYVEVLRVGD -YHYVIGVTADHLKCPCQVPAPEFFTEVDGVRLHRYAPSCRPLLRNEVTFSVGLTTYAIGSQLPCEPEPDT -VVLTSMLRDPDHITAETAARRLRRGSPPSLASSSASQLSAPSLRATCTTAGVHPDDELIEANLLWRQEMG -GNITRVESENKIVVLDSFEPLVAETDDREISVPAECHRPPRPKFPPALPIWARPDYNPPLLETWKAPDYD -PPVVSGCALPPQGLPPVPPPRRKGMVQLDDSTVSAALAQLAAKSFPPEHPSSEEADSGVTSTGATSAAAD -GPCSDASDDDSCSSMPPLEGEPGDPDLDSGSWATVSEEDDSVVCCSMSYSWTGALITPCAAEEEKLPISP -LSNSLIRHHNLVYSTTSRTASLRQKKVTFDRIQVLDQYYNDVLKEVKARAASVSAKLLSTEDACDLTPPH -SARSKYGYGAKEVRSHASKAINHINSVWEDLLEDNNTPIPTTIMAKNEVFCVEPSKGGRKPARLIVYPDL -GVRVCEKRALYDITRKLPLATMGPAYGFQYSPKQRVEHLLKLWRSKKTPVGFSYDTRCFDSTVTERDIRT -EGDIYQCCQLEPEARKVISSLTERLYVGGPMSNSKGQSCGYRRCRASGTLTTSLGNTLTCYIKAEAACRA -AKLKBFDMLVCGDDLVVIAESGGVSEDEAALRAFTDAMTRYSAPPGDAPQPTYDLELITSCSSNVSVATD -DTGRRYYYLTRDPATPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFSILQSQEILHRALDF -DMYGVTYSVTPLDLPEIIQRLHGMAAFSLHSYSPQELNRVAACLRKLGAPPLRAWRHRARAVRAKLIAQG -GKAANCGKYLFNWAVRTKLKLTPLPRAGDLDLSGWFVVGGRGGDIFHSVSRARPRLLLLCLLLLSVGVGV -ILLPNR ->AJO71609.1 polyprotein [Hepacivirus C] -MSTXPKPQRXTKRXTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPJGGVAAALAHGVRAIEDGINYATGNLPGCSFSIFLLALLSCLTVPASAAHYANKSGLYHLTNDCPNS -SIVYEADTVILHLPGCVPCVKVGNXSTCWLPVSPTLAVPNATTPVHGFRRHVDLMVGAAAFCSAMYVGDV -CGGLFLAGQLVTIRPRIHQTTQDCNCSIYSGRITGHRMAWDMMMNWSPTTTLILSYAMRIPQLVIDIFVG -GHWGVLAGLLYYSMVGNWVKVFAVILLFAGVDANTRITGTAVGQQMSGWTSLFTRGSQQNIQLVKINGSW -HVNSTALNCNDSLQTGFIAGLLYASKFNPSGCVDRMSSCSPLAAFDQGWGPVSYANISGPQDDKPYCWHY -GPRPCTTVSASSVCGPVYCFTPSPVVVGTTDEKGNPTYTWGDNKTDVFLLASQRPPNGGWYGCTWMNSSG -YVKTCGAPPCRFASSGNKSLTCPTDCFRKHPEXTYGRCGSGPWLTPRCLVHYPYRLWHYPCTVNFTIHKV -RMYVGGVEHRLEAACNWTYGQRYELEDRDRADFSPLLFSTTELAILPCTFTTMPALSTGLIHLHQNVVDV -QYLYGISTSIVAWAIKWEYVVLAFLILADARICACLWLMLLIGQAEAALENLIILNAASAASIQGWGWCL -LFLCCAWHIRGRLVPAATYGVLQLWPLLLLVLALPHRAFAQDQEQAACIGVSVILLITIFTLTPHYKWLL -MQLLWWNQYFIARMEAMLHVWIPSLSVRGGRDAIILITCLLHPSLGFDVTKVLLALIGPFYLLQASLLRV -PYYVRAQALLRCCLLVRQLAGAKYIQACLLRVGAWTGTYIYDHLSPLADWASDGLRDLVVAVEPVVFSPM -EKKVITWGADTAACGDILAGLPVSARKGRLLLLGPADDMRGMGWRLLAPITAYCQQTRGLLGTIVTSLTG -RDKNEVEGEVQVISTATQTFLASTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPSGARS -YTPCTCGASDLYLVTRNADVIPARRRGDTRASLLSPRPLSTLKGSSGGPILCSAGHAVGLFRAAVCTRGV -AKAIDFVPVENMETTMRSPVFTDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSKAHGIDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGI -GTVLDQAETAGVRLTVLATATPPGSVTVPHPNITEVALPTTGEIPFYGRGIPLEYVKGGRHLIFCHSKKK -CNELANQLRTLGLNAVAFYRGVDVAVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDPT -FTIETTTVPQDAVARSQRRGRTGRGKHGVYRYVSQGERPSGMFDSIVLCEAYDTGCAWYELTPSETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFAYLVAYQATVCARAKAPPPSWDTMWK -CLTRLKPMLTGPTPLLYRLGAVQNEVTTTHPITKYIMTCMAADLEVITSTWVIAGGVLAALAAYCLCVGS -AVICGRIVTSGKPAVMPDREVLYQQYDEMEECSQHIPYLAEGQQIAEQFKQKILGLLQNTAKQAEELKPA -VQSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSAALTSPLSTSTTLLLNILGGWVASQ -LAPPSASTAFVVSGLAGAAVGSIGLGRVIVDILAGYGAGVSGALVAFKIMSGEAPALEDMVNLLPALLSP -GALVVGVVCAAVLRRHIGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASKAVTAILSSLTITSLLRR -LHEWINGDWTTPCATSWLRDVWDWVCTVLSDFKTWLKAKLVPSLPGIPFLSCQRGFRGAWRGDGICHTTC -SCGANITGHVKHGTMKIHGPRTCSNTWHGTFPINATTTGPSXPIPEPNYRRALWRVSAXEYVEVARVNDC -HYIVGATADNLKCPCQVPAPEFFTEVDGVRLHRYAPPCRPLVRDEVTFTVGLSSYVIGSQLPCEPEPDVM -TLTSMLTDPAHITAETARRRLARGSPPSLASSSASQLSAPSLKATCTTDARHPDAELLEANLLWRQEVGG -NITRVESENKIIVLDSFDPMVAEVDDREMSTAAECHRPPRPKYPPALPIWARPDYNPPLIETWKKPDYNP -PTVAGCALPPKGLPPVPPPRRKKVVQLDESSASAALAALALKAFPPGESGSQDESGRGTSVPTSDDTPPP -DGEDSASEAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEETSVVCCSMSYSWTGIPVTPCAAEEDKLPISA -LSNSLIRHHNLVYSTTSRSASLRQKKVTFDRLQVLDQHYQDVLKEIKARASEVSARLLSTEEACSLTPPH -SARSKFGYGAKEVRSHASKAINHINSVWEDLLEDNSTPIPTTIMAKNEVFCVDPRKGGRKPARLIVYPDL -GVRVCEKRALYDLTQKLPVALMGAAYGFQYSPKQRVDQLLKMWRSKKTPMGFSYDTRCFDSTVTERDIRT -EHDIYQCCQLDPAARKIITSLTERLYCGGPMYNSRGQSCGTRRCRASGVLTTSLGNTMTCFLKASAACRA -ANLKNPDMLVCGDDLVIISESVGVSEDIGALRAFTEAMTRYSAPPGDEPHPEYDLELITSCSSNVSVAHD -HTGQRYYYLTRDPTTPIARAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFSILQSQETLHRVLDF -DXYGVTYSITPLDLPRIIQSLHGMAAFSLHGYSPGELNRVASCLRKLGAPPLRAWRHRARAVRAKLIAQG -GKAAECGKYLFNWAVRTKLKLTPLRGAGTLDLSGWFAPGGRGGDIFHSVSRARPRTVLLFLLLLSVGVGI -FLLPAR ->ABX80373.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PTGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTITCGLADLMGYIPVL -GAPLGGVAAALAHGVRAVEDGTNYATGNLPGCSFSIFLLALLSCLTTPASAVHYRNATGIYHLTNDCPNS -SIVYEADTMILHLPGCVPCVRAGNRSRCWVPVSPTLAVPNVSVPARGFRTHVDLLVGSAALCSALYVGDL -CGGVFLVGQLFTFRPRTHHTTQDCNCSIYTGHVTGHRMAWDMMMNWSPTAAYAVSALLRVPQLLLEIILE -GHWGVLGALLYYSMVANWAKVLAVLLLFAGVDAWTYTTGGTAAHTTYRFTSLLTPGARQNIQLVNSNGSW -HVNRTALNCNDSLHTGFIAGLFYHNRFNSSGCPERMSSCKPITSFDQGWGPITCANISGSSEDKPYCWHY -PPRPCDIVPAREVCGPVYCFTPSPVVIGTTDRKGLPTYNWGGNVSDVILLQNARPPRGAWFGCTWMNSTG -YVKTCGAPPCNIGPDTNTSLKCPTDCFRKHPEATYSRCGSGPWLTPRCLVDYPYRLWHYPCTINFTIHKV -RMFLGGVEHRFDAACNWTRGERCELEDRDRVEMSPLLFSTTELAILPCSFTTMPALSTGLIHLHQNIVDT -QYLYGVSTVLLSWAIKWEYVVLAFLVLADARICACMWLMFLVGQAEAALENLIVLNATSAVSNQGLLFGL -VFICIAWHIRGRAAPLFAYACLQLWPLLLLVLCLPRRAFAHTNEEAASLGFLALVIVTVFTLTPAYKQLL -VSVIWWLQYFIARVEAVVHVWIPSLQVRGGRDAVILLACLLHPQLGFEVTKILLALLGPLYLLQYSLLKT -PYFVRAHILLRACMTLRTIAGGKYAQAALLRLGAWTGTYIYDHLAPLSDWACDGLRDLAVAVEPVIFSPM -EKKVITWGADTAACGDILAGLPVSARKGNLILLGPADDMKGQGWRLLAPITAYTQQTRGLLGTIVTSLTG -RDKNEVEGEIQVVSTATQTFLATSVNRVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGSRS -LTPCACGSSDLYLVTRNADVIPARRRGDNRAALLSPRPISTLKGFSGGPVLCPSGHVVGIFRAAVCTRGV -AKSLDFVPVEGMQSTARSPSFSDNTSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSQAHGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTTVLGI -GTVLDQAETAGVRLTVLATATPPGSITVPHPNITESALPTTGEIPFYGKAIPLEFIKGGRHLIFCHSKKK -CDELAKQLTSLGLNAVAFYRGVDVSVIPTVGDVVVCATDALMTGYTGDFDSVLDCNVSVTQIVDFSLDPT -FSIETTTVPQDAVSRSQRRGRTGRGKHGEYRYVSQRERPSGMFDSVVLCEAYDTGCAWYELTPAETTVRL -RAYLNTPGLPLCQDHLEFWEGVFTGLRHIDAHFLSQTKQGGGKFPYLVAYQATVCARAKAPPPSWDTMWK -CLILLKPMLTGPTPLLYRLGAVQNDIITTHPITKYIMTCMSADLEVITSTWVLVGGVLAALAAYCLSVGC -VVICGRINTTGKPAVIPDREVMYQQYDEMEECSKHIPYLAEGQLIAEQFKQKVLGLIQTTTKRAEELKPA -VHSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSASLTSPLSTSTTLLLNILGGWVASQ -LANPTASTAFVVSGLAGAAVGSIGLGKVLVDIIAGYGAGVSGALVAFKIMSGETPAAEDMVNLLPALLSP -GALVVGVVCAAILRRHAGPAEGATQWMNRLIVFASRGNHVSPTHYVPETDTSRQIMTILSSLTITSLLRK -LHEWINSDWSTPCSGSWLRDIWDWVCTVLSDFKTWLKAKLVPSLPGVPFLSCQRGFRGTWRGDGICHTTC -TCGAAIVGHVKNGSMRISGSRWCSNIWHGTFPINASTTGPSVPIPEPNYTRALWRVSAEEYVEVARKGDS -HFIVGVTNQDLKCPCQVPAPEFFTEVDGVRIHRYAPECKPMLRDEVTFSVGLSSYVVGSQLPCEPEPDVM -VVTSMLTDPSHITAESAARRLARGSPPSLASSSASQLSAPSLKATCTTHGRHPDAELIDANLLWRQEMGS -NITRVESETKVIVLDSFDPIIPEYDDREPSVPAECHRPNRPKYPPALPIWARPDYNPPLLETWKAPDYEP -PVVHGCALPPQKAAPIPPPRRKRTIHLDQSTLPLALAQLAEKSFPTPSSSSTDPDSGCPVNDSGSSEAKG -DVETLSDAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSMSYSWTGAIVTPCAPEEEKLPINPL -SNSLIRHHNLVYSTTSRSAAMRQKKVTFDRMQVLDQHYHDVLKEVKLRASQVTAKLLSIEDACDLTPPHS -ARSKFWVWAKDVRSHTSKAINHISSVWEDLLEDNQTYIPTTIMAKNEVFCVDPSRGGRKPARLIVYPDLG -VRVCEKRALFDITQKLPLATMGDAYGFQYSPKQRVDRLLKMWRARKNPMGFSYDTRCFDSTVTERDIRTE -HDIYQSCQLEPEARKAITSLTERLYCGGPMYNSRGQLCGIRRCRASGVLPTSLGNTMTCYIKAQAACRAA -GLTNFDMLVCGDDLVVVAESVGVPEDAANLRAFTEAMTRYSATPGDDPRPEYDLELITSCSSNVSVAHDN -TGHEIYYLTRDPIVPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFGILQSQETLHKALDFD -MYGVTYSITPLDLPPIIQRLHGMAAFSLHGYSPGELNRVAACLRKLGAPPLRAWRHRARAVRAKLIAQGG -KAAICGKYLFNWAVKTKLKLTPLRGAASLDVSGWFVAGVRGGDIFHSVSQARPRILLLCLLLLTVGVGIF -LLPAR ->AHH29575.1 polyprotein [Hepatitis C virus genotype 6] -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARP -NTGRNWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGLADLLGYVPVL -GGPLGGVAAALAHGVRAIEDGVNYATGNLPGCSFSIFLLALFSCLTVPTSALTYGNSSGLYYLTNDCPNA -SIVYEAETIIMHLPGCAPCVRVGNKSHCWYPATPTLAIPNATVPVNGFRRHVDLIVGTAAFCSAMYIGDI -CGGAFLVGQLFTFRPRHHQTVQDCNCSIYAGHVSGHRMAWDMMMNWSPSVTLIVSSILRIPQVLLDIVLE -AHWGVLGAVLYYSMVANWAKVIVVLLLFAGVDATTTVGSALASQAYGIAGIFSPGARQNLQLINTNGSWH -INRTALNCNDSLQTGFIAALFYAHKFNSSGCPERLSSCRRLNDFQQGWGPLEYHNVSEPADQRPYCWHYP -PKPCDIVQAKSVCGPVYCFTPSPVVVGTTDRKGLPTYNWGDNDTDVFILSXSRPPRGGWFGCTWMNSTGF -TKTCGAPPCKITPGSYNQTNHLLCPTDCFRKHPGATYSKCGSGPWLTPRCLVDYPYRLWHYPCTXNFTRH -TVRMFVGGIEHRFEAACNWTRGERCELDDRDRIEMSPLLFSTTQLAILPCSFTTMPALSSGLIHLHQNIV -DVQYLYGVSTNIISWAVKWEYVVLLFLVLADARICACMWLMLLIGQAEAALENLITLNAVSAAGSHGLFW -GLLVICCAWHYKGRIVPMTVYTVLGIWPLLLLLLCLPHRAFAMDNEQAASVGGAVILIITVFTLTPAYKT -MLTQVVWWNQYFIARAEALIXQWVPSLHVRGGRDAVILLTCLLHPSLGFEVTKTLLAMLGPLYLLQYSLL -KVPYFVRAHILLRACMLVRNIAGGKYVQAVLLKVGAWTGTYLYNHLCPLSDWASDGLRDLAVAVEPVIFS -PMERKIITWGADTAACGDILAGLPVSARKGNLILLGPGDAMGDAGWRLLAPITAYCQKTRGLLGTIVTSL -TGRDRNEVEGEIQVVSTATQTFLATGINGVLWTVFHGAGSKTLAGPKGPVCQMYTNVDNDLVGWPAPPGS -RCLTACTCGSSDLYLVTRDADVIPARRRGDTRAALLSPRPISTLKGSSGGPLMCPSGHVVGLFRAAVCTR -GVAKSLDFIPVENMETTMRSPVFTDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNPS -VAATLSFGVYMSKAYGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSVDPTTIL -GIGTVLDQAQTAGVRLTVLATATPPGSVTVPHPNISEVGLTTTGEIPFYGKAIPLEHIKGGRHLIFCHSK -KKCDELAKQLTSLGLNAVAYYRGVDVAVIPTAGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSLD -PTFTIETTTVPQDAVSRSQRRGRTGRGKPGTYRYVSQGERPSGMFDSVVLCEAYDIGCAWYELTPAETTV -RLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQSGENFPYLVAYQATVCARAKAPPPSWDVM -WKCLIRLKPTLTGPTPLLYRLGAVQNEIITTHPITKYIMTCMSADLEVITSTWVLLGGVLAALAAYCMSX -GCVVICGRITTTGRPAVVPDREVLYQQFDEMEECSRHIPYLAEGQQIAEQFKQKVLGLLQASAKQAEEIK -PAVTSMWPKLEQFWHKHMWNFVSGIQYLAGLSTLPGNPAVGSLMAFSAAVTSPLTTSQTLLLNILGGWVA -SQVAPPSASTAFVVSGLAGAAVGSVGLGKVIIDLLAGYGAGVAGALVAFKIMSGETPSMEDMANLLPALL -SPGALVVGVVCAAILRRHIGPSEGACQWMNRLIAFASRGNHVSPTHYVPETDASRNVTNILSSLTITSLL -RRLHQWIMEDTTQPCATSWLWDIWNWVCTVLADFKVWLKAKLLPTLPGMPFWSCQKGYRGVWRGDGIMNT -TCSCGAQITGHVKNGSMKILGPKMCSNTWHGTFPINASTTGPSVPAPAPNYKRALWRVSAEDYAEVVRVG -DAHYVVGVTAEGLKCPCQVPAPEFFTEXDGVRLHRYAPPCKPLLRDEVTFSVGLNNYAVGSQLPCEPEPD -VVVLTTMLTDPSHITAETAARRLKRGSPPSLASSSASQLSAPSLKATCTVHADDPDAELISANLLWRQEM -GGNITRVESENKVVVLDSFEPLRAEYDDREISIPAECHRPPRPKFPPALPIWARPDYNPPLLQPWQSPDY -EPPTVFGCALPPPKLPPVPPPRKKKLIRLDEASAMEALTKMRKAAFPEALQSSGAGSDSGVPTASGSSLE -QPDDDSVISDAESYSSMPPLEGEPGDPDLSSGSWSTVDEEDSVVCCSMSYSWTGAIITPCAPEEEKLPIS -PLSNSMIRHHNMVYSTTSRSAXLRQKKVTFDRVQILDTHYQAVLQEIKLRASEVEARLLTVEEACDLTPP -HSARSKYGYGAKEVRSHASXAISHINSVWEDLLEDSQTPIPTTIMAKNEVFCVDPSKGGRKPARLIVYPD -LSVRVCEKRALYDITRKLPTAIMGSAYGFQYSPKERVEYLLKVWRGKKTPMGFSYDTRCFDSTVTERDIR -TEESIYXACKLDPTARKAITHLTERLYCGGPMYNSRGQSCGYRRCRASGVLPTSLGNTITCYLKAQAACR -AANLKDYDMLVCGDDLVVISESAGVPEDEAALRAFTEAMTRYSAPPGDAPRPEYDLELITSCSSNVSVAH -DGTGQRYYYLTRDPTTPIARAAWETARHTPVNSWLGNIIMYAPTIWARMVLMTHFFGILQSQEQLEKALD -FDIYGVTYSITPLDLPLIIQRLHGMAAFSLHGYSPGELNRVAASLRKLGAPPLRAWRHRARAVRAKLIAQ -GGKAAICGKYLFNWAVKTKLKLTPLPAASKLDLSNWFTAGYGGGDIYHSVSWARPRLLLLCLLLLTVGVG -IFLLPAR ->AJF96723.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARP -PTGRSWGQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGLADLMGYIPVL -GGPLGGVAAALAHGVRALEDGVNYATGNLPGCSFSIFILALLSCLTTPASAVHVRNVSGIYHLTNDCPNA -SIVYEADTMILHLPGCVPCIKSGNRSQCWLPVTPTLAIPNSSVPVHGFRRHVDLLVGAAAFCSAMYIGDL -CGGVFLVGQAFALRPSVHQAVQECNCSIYAGHVTGHRMAWDMMMNWSPTVAYVVSSALRVPQLLLEIFLG -AHWGVLGAVVYYSMVANWAKVIAVLLLFGGVDABTYITGSSAASSTSAFAKLFQPGAQQKLMLINTNGSW -HVNRTALNCNDSLQTGFLAGLFYSFKFNSSGCPERMSSCKPLHAFDQGWGPISYVNKSGPSEDXPYCWHY -APRPCXVVDARTVCGPVYCFTPSPVVVGTTDRRGNPTYTWGENETDVFLLASARPPHGYWFGCTWMNXTG -FVKTCGAPPCLTRPSEENNTLVCPTDCFRKHPDATFEKCGSGPWLTPRCLVHYPYRLWHYPCTVNFTIHK -VRTYVGGVEHRFEAACNWTRGERCELEDRDRFDMSPLLFSTTQAAILPCSFTNMPALSTGLIHLHQNIVD -VQYIYGVSAAIVSWAIKWEYVVLAFLVLADSRICACLWLMFLVGQAEAALENLVVLNAASAASCHGLFWG -LVFICFAWHVRGRLVPLATYAILQVWPLLLLVLTLPRRAYAQDTDQAVTAGLLALGLITIFTLTPAYKQL -LVGALWWNQYFIARAEAMLHDWAPSLRVRGGRDAVILLTCVLHPQLGFEVTKILLALLGSTYLLQYSLLK -TPYFVRAHILLRACMFFRGIACGKYAQAILLRFGAWTGTYIYDHLAPLSDWACXGLRDLAVAVEPVVFSP -MEKKVITWGADTAACGDIIAGLPVSARRGNYLFLGPADDVKGKGWRLLAPITAYAQQTRGLVGTIVTSLT -GRDKNVVEGEIQVVSTATQSFLATAVNGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGSR -SLTPCSCGSSDLYLVTRNADVIPARRRGDNRAALLSPRPLSTLKGSSGGPVLCPSGHVAGIFRAAVCTRG -VAKSLDFVPVESMQTTQRSPSFSDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYNVLVLNPSV -AATLGFGAYMSQAHGIDPNIRTGVRTITTGGKITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTILG -IGTVLDQAETSGVRLTVLATATPPGSVTVPHPNITEEALPTTGEIPFYGRAIPLDYIKGGRHLIFCHSKK -KCDELAKQLTTLGINAVAFYRGVDVAVIPTNGDVVICATDALMTGYTGNFDSVIDCNVSVTQVVDFSLDP -TFTIETTTVPQDAVSRSQRRGRTGRGKHGVYRYVSLGERPSGLFDTVVLCEAYDTGCAWYELTPAETTVR -LRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDVMW -KCLIRLKPMLTGPTPLLYRIGPVQNEIITTHPITKYIMTCMSADLEVVTSTWVLVGGVLAALAAYCLSVG -CVVICGRISTTGQPVLIPDREVLYQQFDEMEECSKHIPYLPEAQAIAEQFRQKVLGLLQTSAKQAEELKP -AVTAAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAIASLMSFSASLTSPLSTSTTLLFNILGGWVAS -QLATPTASTSFVISGLAGAAVGSIGLGKVIVDILAGYGAGISGALVGFKIMCGETPSAEDMVNLLPALLS -PGALVVGVVCAAILRRHAGPAEGANQWMNRLIAFASRGNHVSPTHYIPETDTSQKITNILSSLTVTSLLR -KLHAWINGEWSTPCSGSWLRDIWDWVCMVLSDFKCWLKTKLVPALPGVPFLSCQRGFRGTWRGDGICHTT -CACGAEITGHVKNGTMXVSGSSWCSNIWHGTFPINATTTGPSXPIPEPNYTRALWRVSAEEYVEVKRVGD -SHFVVGATGDNLKCPCQVPAPEFFTEVDGVRIHRYAPKCKPLLRDEVTFSVGMSTYTMGSQLPCEPEPDV -VVVTSMLTDPSHVTAETAARRLRRGSPPSEASSSASQLSAPSLKATCTTHGPHPDAELIEANLLWRQEMG -SNITRVESESKVVILDSFDPIYPEYDDREPSVPAECHRPNRPKYPPALPVWARPDYNPPLLESWKSPDYD -PPAVHGCALPPPATTPIPPPRRKRVVQLDDSNVSTVLAQLAEKTFPQPASSTTGYGSGQAAHSSGSGAQS -EDDGAVSEADSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSYSYSWTGALITPCAAEEEKLPINP -LSNSLIRHHNLVYCTSSKSASLRQKKVTFDRVQLVDQHYYDTLKEIKLRASQVQARLLTTEEACDLTPPH -SARSKFGYGAKEVRSHASKAINHINSVWEDLLEDKDTPIPTTIMAKNEVFCVDASKGGRKPARLIVYPDL -GVRVCEKKALFDVTRKLPAAVMGDAYGFQYSPKQRVERLLKMWKSKKTPMGFSYDTRCFDSTVTERDIRA -ERDIYLSCQLDPEAQQAIESLTERLYVGGPMYNSKGQLCGQRRCRASGVLPTSMGNTLTCFLKATAACRA -AGFSNFDMLVCGDDLVVVAESAGVNEDIANLRAFTEAMTRYSATPGDPPSPSYDLELITSCSSNVSVAHD -GDGKKYYYLTRDPVTPLSRAAWETARHTPVNSWLGNIIMYAPAIWVRMVLMTHFFQILQAQETLDKVLDF -DIYGVTYSITPLDLPAIIQRLHGMAAFSLHGYSPGELNRVASCLRKLGAPPLRAWRHRARAVRAQLITRG -GKAAICGKYLFNWAVRTKLKLTPLRGASNLDLSGWFAAGFSGGDVYHSASRARPRMLLLCLLLLSVGVGI -FLLPAR ->AFU34525.1 polyprotein [Hepacivirus C] -MSTLPKPQRQTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PTGRHWAQPGYPWPXYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVASALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVHFKNTSGIYHLTNDCPNS -SIVYEAETIIMHLPGCVPCTKSGNMSSCWVPVAPTLAIPNATVPVQGFRSHVDLLVGSAALCSSLYIGDL -CGSVFLVGQLFTFRPRMHNTVQECNCSIYTGHITGHRMAWDMMMNWSPSATFFVSSLLRVPQLLLEILSE -GHWGVIGALVYYSMVANWAKVLAVLLLFAGVDATTHVGSAVSHSARGLVSFFSAGPRQBIQLINTNGSWH -INRTALNCNDTLQTGFIAALFYRNHFNSSGCPERLSSCKPLHAFDQGWGPLSYANITGSSSDKPYCWHYA -PRPCGIVPATTVCGPVYCFTPSPVVVGTTDRKGIPTYNWGENATDVFLLQSARPPRGGWFGCTWMNGTGF -VKTCGAPPCNTRPEGSRNNSLICPTDCFRKHPEATYARCGSGPWLTPRCMVDYPYRLWHYPCTVNYTIHK -VRVFVGGVEHRFDAACNWTRGERCELDDRDRVEMSPLLFSTTQLAILPCSFTTMPALSTGLIHLHQNIVD -VQYLYGVSTNIVSWAVKWEYVVLIFLVLADARICACLWLMFLIGQVEAALENLIVLNTASAASSQGWVWG -LVFICFAWYIRGKAVPLATYTLLQTWPLLLLVVIMPQRAYAYNGEEAGSLGALVIVIITIFTLTPGYKPL -LISSLWWNQYSTARAEALIHVWVPPLQVRGGRDAIILVTCLLHPQLGFEITKILLALLGPLYVLQHSLLA -VPYFVRASVLLRACMTFRSIVGGKYVQVFLLKLGAWTGTYIYDHLAPLSDWACDGLRDLAVAVEPVVFSP -MEKKIITWGADTAACGDIISGLPVSARRGNLIFLGPADDMKSMGWHLLAPITAYAQQTRGLVGTIVTSLT -GRDKNEVEGEIQVVSTATQSFLATAVNGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGAR -SLTPCSCGSSDLYLVTRNADVIPARRRGDSRAALLSPRPISTLKGSSGGPMLCPSGHVVGIFRAAVCTRG -VAKSLDFVPVESMQTTARSPSFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSV -AATLGFGAYMSQAYGIDPNIRTGVRTINTGGAITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTTVLG -IGTVLDQAETAGCRLTVLATATPPGSVTVPHPNITETALSTTGEIPFYGKAIPLELIKGGRHLIFCHSKK -KCDELATQLRSLGLNAVAFYRGVDVAVIXASGDVVVCATDALMTGYTGDFDSVIDCNVSVMQVVDFSLDP -TFTIETTTVPQDAVSRSQRRGRTGRGKHGEYRYVSQGERPSGMFDSAVLCEAYDTGCAWYELTPAETTVR -LRAYXNTPGLPVCQDHLEFWEGVFTGLTHVDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDTMW -KCLIRLKPMLTGPTPLLYRLGGVQNEITTTHPITKYIMTCMSADLEVITSTWVLVGGVLAALAAYCLSVG -CVVICGRVSTTGKPVLIPDREVLYQQFDEMEECSKHISYLAEAQQIAEQFKQKVLGLIQTTTRQAEELKP -VVHSAWPKVEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSASLTSPLSTSATLLFNILGGWVAS -QLANPTASTAFVVSGLAGAAVGSIGLGKVLVDILAGYGAGVSGALVAFKIMSGETPSAEDMVNLLPALLS -PGALVVGVVCAAILRRHAGPAEGATQWMNRLIAFASRGNHVSPTHYVPETDTSRQIMTILSSLTITSLLR -KLHEWITNDWSTPCSGSWLRDIWDWVCTVLSDFKCWLKSKLVPALPGIPFLSCQRGFRGVWRGDGICRTT -CPCGADITGHVRNGSMRITGSKWCSNVWHGTFPINATTTGPSVPVPEPNYKRALWRVSAEEYVEVVRVGD -SHFVVGATNQDLKCPCQVPAPEFFTEVDGVRIHRYAPPCKPLLRDEISFSVGLNSYVIGSQLPCEPEPDV -SVVTSMLVDPSHITAEAAARRLARGSPPSLASSSASQLSALSLKATCTPHCNHPDVDLIEANLLWRQEMG -GTITRVESENKVVILDSFDPLTPEHDDREPSIPAECHRPKRPKFPPALPIWARPDYNPPLLETWKKSDYD -PPLVYGCALPPSRPAPIPPPRRKRVVRLDDSTVSEVLAELAHKSFPTPSAPTTDSGSGHPIISSGPDNPS -GGDDSTSDVDSYSSMPPLEGEPGDPDLSSGSWSTVSEEDDGVVCCSMSYSWTGAIVTPCAAXEEKLPINP -LSNSLIRHHNMVYSTSSRSATVRQKKVTFDRLQLLDQHYNDVLKEIKLRASEVTARLLPVEEACDLTPPH -SARSKFGHGAKEVRSHASKAINHINSVWEDLLEDNQTPIPTTIMAKNEVFCVDAAKGGRKPARLIVYPDL -GVRVCEKRALFDITRKLPIAIMGEAYGFQYSPKQRVDRLLKMWRSKKTPMGFSYDTRCFDSTVTEHDIRT -EQDIYLSCNLDPTARKVIKSLTERLYVGGPMFNSRGQLCGTRRCRASGVLTTSLGNTMTCFIKANAACRA -AGLTNCDMLVCGDDLVVIAESAGVQEDAAALRAFTEAMTRYSAPPGDEPRPEYDLELITSCSSNVSVAHD -HTGQRYYYLTRDPSGPLARAAWETARHTPVNSWLGNIIMYAPAIWVRMVLMTHFFGILQAQEQLDRVLDF -DMYGVTYSVSPLQLPAIIQRLHGMAAFSLHGYSPGELNRVGACLRKLGAPPLRAWRHRARAVRAKLIAQG -GXAAICGXYLFNWAVRTKLKLTPLPDAARLDLSGWFVSGFSGGGIYHSVPRARPRMLLLCLLLLTVGVGI -FLLPAR ->AIO08089.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTIRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKTRR -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVI -GAPLGGIAAALAHGVRAVEDGVNYATGNLPGCSFSIFILALLSCLTVPASALNYVNKSGLYYLTNDCPNS -SIVYEAPTLIMHLPGCTPCVQVGNQSTCWLAASPTLAVPNATTPLTGFRKHVDLMVGAAAFCSAMYVGDL -CGGLFLVGQLVTVTPRIHQTVQGCNCSIYTGRITGHRMAWDMMMNWSXTATLVVSYAMRVPQLIIDVFVG -GHWGVLAGLLYYSMVANWAKVIGILLLFAGVDAETYTTGGTVARNALGXASFLSSGPKQNLQLVNTNGSW -HINRTALNCNDSLNTGFIAALFYTNRFNSSGCPERMSACKPITHFDQGWGPITYANISGPSEDRPYCWHY -PPRPCGVVSASTVCGPVYCFTPSPVVVGTTDRRGRPTYNWGDNETDVFLLESLRPPVGGWYGCTWMNSTG -FVKTCGAPPCNIRPAASKGNETSTLTCPTDCFRKHPEATYSKCGSGPWLTPRCLVHYSYRLWHYPCTVNF -TIHKVRLYVGGIEHRFDAACNWTRGERCELEDRDRVEMSPLLFSTTELSILPCSFTTMPALSTGLIHLHQ -NVVDVQYLYGISPSVTSWVIRWEYVVLAFLFLADARICACLWLMLLIGQAEAALENLIVPNAASAASARG -WGWALVFVCCAWYIKGRVVPATTYGLLQLWPLFLLVLALPQRAFAYDHEQAASIGAAVIVGITIFTLTPH -YKWLLVQLIWWCQYFIARIEAMLQVWVPGLSVRGGRDAVILLTCLLHPSLGFDVTKILLAIIGPLYLMQA -SLLRVPYYVRAHAILRACLLVRRLAGGRYMQACLLKIGAWTGTYIYDHLAPLQTWASDGLRDLAVAVEPI -IFSPMEKKVITWGADTVACGDILAGLPVSARRGKLVMLGPADDMRGSGWKLLAPITAYHQQTRGLLGTIV -TSLTGRDKNEVEGEIQVVSTATQTFLATTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDMVGWPAP -PGAKSLTPCTCGASDLYLVTRNADVIPAGRRGDTRAGLLSPRPLSTLKGSSGGPVMCSAGHAVGLFRAAV -CTRGVAKAIDFIPVEHMETSMRSPVFSDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVL -NPSVAATLGFGSYMGKAYGIEPNIRTGVRTITTGGSITYSTYGKFLADGGCSGGAYDIIICDECHSTDPT -TILGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNISEVALPTTGEIPFYGRAIPLEFIKGGRHLIFC -HSKKKCDELAKQLRTLGLNAVAFYRGVDVAVIPTQGDVVICATDALMTGYTGDFDSVIDCNVAVTQIVDF -SLDPTFSIETTTVPQDAVARSQRRGRTGRGKPGIYRYVSQGERPSGMFDSVVLCEAYDTGCAWYELTPSE -TTVRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSW -DTMWKCLLRLKPMLTGPTPLLYRLGAVQNEVITTHPITKYIMTCMSADLEVITSTWVLAGGILAALAAYC -LTVGSAVICGRIVTSGKPAVVPDREVLYQQFDEMEECSQRIPYLVEGQQLAEQFKQKVLGLLQNTAKQAE -ELKPAVSSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSAALTCPLSTSATLLLNILGG -WVASQLAPPTASTAFVVSGLAGAAVGSIGLGKVIVDILAGYGAGVSGALVAFKIMCGEPPALEDMVNLLP -ALLSPGALVVGVVCAAILRRHVGPSEGANQWMNRLIAFASRGNHVSPTHYVPETDASRAVTTILSSLTIT -SLLRKLHEWISGDWTSPCGTSWLRDVWDWVCTVFSDFKTWLKAKLVPALPGIPFFSCQRGFKGVWRGDGI -CYTTCPCGGQITGHVKNGTMKIIGPRACSNVWHGTFPINATTTGPSVPIPDPNYKKALWRVSAEDYVEVI -RVKDCHYVVGATADNLKCPCQVPAPEFFTEVDGVRLHRFAPMCRPLLRDEVSFSVGLHSYVLGSQLPCEP -EPDVMVLTSMLSDPSHITAETAGRRLARGSPPSLASSSASQLSAPSLKATCTTAANHPDAELIEANLLWR -QEIGGNITRVESENKTIVLDSFDPLVAETDDREISVPAECHRPPRPKYPPALPIWARPDYNPPLIETWKA -PDYDPPTVSGCALPPKGLPPVPPPRRKRVVQLDEASAXAALAELARKTFQSESSSGQEESGVGASIPSSE -SGPISDEVDAQSDAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEDDSVVCCSMSYSWTGALITPCAAEEEK -LPISPLSNSLIRHHNLVYSTTARSASLRQKKVTFDRVQVLDQHYQDVLKEMKDRASKVSARLLSTEEACD -LTPPHSARSKFGYGAKEVRSHASKAINHINSVWEDLLEDNTTPIPTTIMAKNEVFCVDPQKGGRKPARLI -VYPDLGVRVCEKKALYDITCKLPIAVMGPAYGFQYSPKQRVDYLLKMWRAKKVPMGFSYDTRCFDSTVTE -RDIQTEHSIYQCCQLEPAARKAITSLTDRLYCGGPMFNSKGQACGTRRCRASGVLTTSMGNTLTCYLKAQ -AACRAAKLKDFDMLVCGDDLVIISESLGVSEDASALRAFTEAMIRYSAPPGDEPHPEYDLELITSCSSNV -SVAHDNTGQRYYYLTRDPTIPLSRAAWETARHTPVNSWLGNIIAYAPTIWARMVLMTHFFGILQSQETLH -KALDFDMYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPGELNRVASSLRKLGAPPLRAWRHRARAVRAK -LIAQGGKAAICGKYLFNWAVKTKLKLTPIPGAGTLDLSGWFTTGGSGGDIFHSVSRVRPRMLLLCLLLLS -VGVGIFLLPAR ->AJO71605.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPTARR -PTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVASALAHGVRAIEDGINYATGNLPGCSFSIFLLALFSCLTTPASAVNYANRSGIYHLTNDCPNS -SIIFEAPDVILHAPGCVPCVRVGNASRCWVPASPTLAIPNASVAVRGFRRHVDLMVGAAALCSAMYVGDL -CGGIFLVGQLFTFRPRTHWTVQECBCSIYXGKVTGHRMAWDMMMNWSPTXTLAVSYLLRIPQMAIDMFAG -GHWGVLAGLLYYSMVANWAKVIGILLLFAGVDATTYTTGGVTAAQAQSFTKLFTSGPKQNLQLINTNGSW -HINRTALNCNDSLNTGFLAGLFYYNKFNSSGCPERLAACKPITEFAQGWGPLSHANISGPSTEXPYCWHY -PPRPCDIEPAGQVCXPVYCFTPSPVVIGTTDRKGLPTYNWGENVTDVFMLASQRPPKGGWFGCTWMNATG -FTKTCGAPPCVLGPSSASNNNSLTCPTDCFRKHPEATYLKCGSGPWLTPRCMVDYPYRLWHYPCTVNYTI -HKVRMFVSGIEHRFDAACNWTRGERCDLDDRDRVEMSPLLFSTTELAVLPCSFSTMPALSTGLIHLHQNI -VDVQYLYGISPAITSWVIKWEYVVLAFLLLADARICACLWLMLLIGQAEAALENLIVLNAASAASMQGWW -CCLAFLCCAWYIKGRLVPAATYGLLQLWPFLLLVLSLPRRAFAQDQEQAASVGVAVLIALTIFTLTPHYK -WMLVRFLWWNQYLIARAEAVLQVWVPGLVVRGGRDAAILLTCLVHPSLGFDITKVLLALIGPYYLLQNAL -LCVPYYVRAHALLRLCMLVRRACGGKYIQACLLKIGAWTGTYIYDHLAPLSTWASDGLQDLAVAVEPVTF -SPMEKKIITWGADTAACGDILAGLPVSARKGRLLFLGPADDMKDKGWRLLAPITAYHQPTRGLIGTIVTS -LTGRDKNEVEGEIQVLSTATQTFLATAINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPG -AKSLTPCTCGASDLYLVTRNADVIPARRRGDTRAGLLSPRPLSTLKGSSGGPVLCSSGHAVGLFRAAVCT -RGVAKAIDFVPVENMETTMRSPVFSDNSSPPAVPQSYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNP -SVAATLGFGAYMSKAHGIDPNIRTGARTITTGGPITYSTYGKFLADGGCSGGAYDIIICDECHATDPTTV -LGIGTVLDQAESAGVRLTVLATATPPGSVTVPHPNITEAALPTTGEIPFYGKCIPLELIKGGRHLIFCHS -KKKCDELAKQLTSLGLNAVAFYRGVDVAVIPAAGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSL -DPTFSIETTTVPQDAVARSQRRGRTGRGKPGVYRXVSQGERPSGMFDSVVLCEAYDIGCAWYELAPSEXT -VRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENLAYLVAYQATVCARAKAPPPSWDI -MWKCLIRLKPMLTGPTPLLYRLGPVQNETTTTHPITKYIMTCMSADLEVITSTWVIAGGILAALAAYCLT -VGSVVICGRVVTSGRPAVMPDREVLYRQFDEMEECSHRIPYLVEGQQIAEQFKQKVLGLIQTTTKQAEEL -KPAVHAAWPKLEQFWHKHLWNFISGIQYLAGLSTLPGNPAVASLMSFSAALTSPLSTSTTLLLNILGGWV -ASQLAPPTASTAFVVSGMAGAAIGSIGLGKVIVDILAGYGAGVSGALVAFKIMSGECPSAEDMVNLLPAL -LSPGALVVGVVCAAVLRRHVGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRAVTTILSSLTITSL -LRKLHEWINGEWSTPCGTTWLREVWDWVCTVLADFKAWLKAKLVPSLPGIPFISCQRGFRGIWRGDGINN -TTCPCGAALAGHVRNGTMRXIGPKTCSNVWHGTFPVNATTQGPSIPIPQPNYRRALWRVAAEEYVEVVRV -NDCHYVVGATADNLKCPCQVPAPEFFTEVDGVRIHRYAPPCRPLIRDEISFSVGLSSYVIGSQLPCEPEP -DVMVLTSMLTDPDHITAEAAGRRLKRGSPPSLASSSASQLSALSLRPTCTTGGVHPDAELIEANLLWRQE -VGGDITRVESENKVIVIDSFDPLVAEPDDREISVSAECHNPPRQKFPPALPIWARPDYNPPLVETWKAPG -YEPPTVSGCALPPKNLPPVPPPRRKRVVQLDSSSVAEVLAKLAEKSFPPTPQLSEQNSGLAPPSGDSTAP -VASGEDDTTSIPESYSSMPPLEGXPGDPDLSSGSWSTVSEEXDGVXCCSMSYSWTGALVTPCAAEEEKLP -ISPLSNTLIRHHNMVYSTTSRSASLRQKKVTFDRVQVLDQHYHDVLKEIKARASTVTAKLLTTEEXCDLT -PPHSARSKYGYXAKEVRSHASKAVKHINSVWEDLLEDNSTPIPTTIMAKNEVFCLDPQKGGRKPARLIVY -PDLSVRVCEKMALYDITRKLPLAVMGESYGFQYSPKARVDHLLKMWRSKKSPMGFSYDTRCFDSTVTERD -IKTEHDIYQSSKAINHITLMTEHDIYQSCKLDPTASKVITSLTDRLYCGGPMYNSRGQSCGYRRCRASGV -LTTSLGNTLTCYLKAQAACRAAKLKDFDMLVCGDDLVIISESAGVDEDAANLRAFAEAMTRYSXPPGDDP -HPEYDLELITSCSSNVSVAQDHTGQRYYYLTRDPVTPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMV -LMTHCFSILQSQETLHKVLDFDMYGVTYSITPLDLPAIIQRLHGMAAFSLHGYSPGELNRVAACLRKLGA -PPLRAWRHRARAVRAKLIAQGGRAAICGRYLFNWAVRTKLKLTPLRDAARLDLSGWFTSGGRGGDIFHSV -SQARPRMLLLCLLLLSVGVGIFLLPAR ->AIO08091.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSEPRGRRQPIPKARR -QTGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASAFNYANKSGVYHLTNDCPNS -SIMYEAPTIIMHLPGCVPCVRVGNQSRCWLXASPTLAVPNATTPLTGFRDHVDLMVGAATLCSALYVGDL -CGGLFLVGQLFTFRPRIHQTVQDCNCSIYTGRITGQRMAWDMMMNWSPTVTLVVSYAMRVPQLVVDVFVG -GHWGVLAGLAYYSLNNNWAKVVGILLLFAGVDAETHTVGAVAGRQVGGIAGLFMPGAKQNIQLINSNGSW -HINRTALNCNDSLNTGFFASLFYINKFNSTGCPERMSSCKXLXHFDQGWGPITYANISGASDDRPYCWHY -PPRPCGVEQASKVCGPVYCFTPSPVVVGTTDRKGVPTYNWGGNESDVFLLESLRPPAGGWFGCTWMNSTG -FTKTCGAPPCKIRPAGDHNSNTTLTCPTDCFXKHPGATYAKCGSGPWLTPRCLIHYAYRLWHYPCTVNFT -IHKVRMFVGGLEHRFEAACNWTRGERCDLEDRDRVEMSPLLFSTTELAVLPCSFSTMPALSTGLIHLHQN -IVDVQYLYGISPSITSWVIKWEYVVLAFLLLADARICACLWLMLLIGQAEAALENLIILNAASAASVHGW -GWCLIFLCSAWYIRGRLVPATTYGLLQLWPLLLLVLALPQRAFAYDREQAASIGIVVIVAITIFTLTPHY -KQLLIQTIWWCQYFIARIEAVLHVWVPSLKVRGGRDAIILITCLLHPSLGFDITKILLALIGPLYLLQAS -LLRVPYYVRAHALLQACLMVRRLTCGKYAQALLLRIGAWTGTYIYDHLAPLQTWASDGLRELAVAVEPVI -FSPMEKKVITWGADTAACGDILAGLPVSARLGKLVLLGPADNMCEQGWRLLAPITAYHQQTRGLLGTIVT -SLTGRDKNEVEGEVQVVSTATQTFLATTINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDMVGWPAPP -GARSLTPCTCGASDLYLVTRNADVIPARRRGDTRAGLLSPRPLSTLKGSSGGPVMCSSGHAVGLFRAAVC -TRGVAKAIDFVPVENMETTMRSPVFTDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLN -PSVAATLSFGSYMSXAYGVDPNIRTGVRTITTGGPITYSTYGKFLADGGCSGGAYDVIICDECHSTDPTT -VLGIGTVLDQAETAGVRLTVLATATPPGSXTVPHPNISEVALPTTGEVPFYGKAIPLEYIKGGRHLIFCH -SKKKCDELAKQLRSLGLNSVAFYRGVDVSVIPTQGDVVVCATDALMTGYTGDFDSVIDCNVAVTQIVDFS -LDPTFSIETTTXPQDAVARSQRRGRTGRGKPGIYRYVSQGERPSGIFDTVVLCEAYDTGCAWYELTPSET -SVRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENLAYLVAYQATVCARAKAPPPSWD -TMWKCLTRLKPMLTGPTPLLYRLGAVQNEITTTHPITKYIMTCMSADLEVITSTWVIAGGIVAALAAYCL -TVGSAVICGRIXTSGKPAVMPDREVLYQQFDEMEECSHRIPYLVEGQQLAEQFKQKVLGLLQTTAKQAED -LKPAVTSAWPRLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSAALTSPLSTSTTLLLNILGGW -VASQLAPPTASTAFVVSGLAGAAVGSIGLGKIIVDILAGYGAGVSGALVAFKIMCGEAPALEDMVNLLPA -LLSPGALVVGVVCAAVLRRHVGASEGATQWMNRLIAFASRGNHVSPTHYVPETDASRAVTNILSSLTITS -LLRRLHEWINGDWTTPCATSWLRDVWDWVCTVLSDFKTWLKAKLVPSLPGIPFLSCQRGFRGVWRGDGIC -YTTCPCGGQITGHVKNGTMKIIGPRTCSNVWHGTFPINATTTGPSVPIPEPNYKRALWRVSAEDYVEVVR -VKDCHYVVGATADNLKCPCQVPAPEFFTEVDGVRLHRFAPECRPLLRDEVSFTVGLHSYVLGSQLPCEPE -PDTMVLTSMLTDPSHITAETAARRLARGSPPSLASSSASQLSAPSLKATCTVAADHPDAELIEANLLWRQ -EMGGNITRVESENKVIVLDSFDPLVAETDDREISTAAECHRPRRPKFPPALPIWARPDYNPPLLESWKAP -GYDPPKVSGCALPPKGLPPIPPPRRKRVIQLDESTASAALAELAKKAFPSDPPSDQAESGVGSSTYPSDT -TPPSDEADALSDAESYSSMPPLEGEPGDPDLSSGSWSTVSDEGDSVVCCSMSYSWTGALITPCAAEEEKL -PISPLSNSLIRHHNMVYSTTTRSASLRQKKVTFDRLQVLDQHYHDTLKEIKDRASKVSARLLSTEEACDL -TPPHSARSKFGYGAKEVRSHASKAINHINSVWEDLLEDTSTPIPTTIMAKNEVFCVDPQKGGRKPARLIV -YPDLGVRVCEKRALYDITRKLPTEVMGPAYGFQYSPKQRVDYLLKVWRSKKSPMGFSYDTRCFDSTVTER -DIQTEHSIYQCCQLEPAARKAITALTDRLYCGGPMFNSKGESCGYRRCRASGVLTTSLGNTLTCYLKAVA -ACRAAKLKDFDMLVCGDDLVVISESLGVSEDASALRAFTEAMTRYSAPPGDEPHPEYDLELITSCSSNVS -VAHDNTGQRYYYLTRDPTTPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFGILQSQETLHK -VLDFDMYGVTYSISPLDLPQIIQRLHGMAAFSLHGYSPGELNRVAASLRKLGAPPLRAWRHRARAVRAKL -IAQGGKAAICGKYLFNWAVKTKLKLTPLPGAASLDLSGWFVAGGSGGDIFHSXSRARPRMLLLCLLLLSV -GVGIFLLPAR ->AII25860.1 polyprotein [Hepacivirus C] -MSTNPKPQRTTKRNTNLRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKVRR -QTGRTWGQPGYPWPLYGNEGCGWASWLVSPRGSRPTWGPNDPRRRSRNLGKVIDTLTCGFADLMGYVPVV -GGPLGGLAAALAHGVRALEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAVNYANKSGVYHLTNDCPNS -SIIYEAEGLILHLPGCIPCVRTGNMSRCWVPATPTLAIPNASAPIHGFRSHVDLMVGAAAFCSAMYIGDL -CGGAFLVGQMFTFRPRVHETVQECNCSIYSGHVTGHRMAWDMMMNWSPTVTLVVSSALRIPQVVLDILAG -GHWGVIGALMYYSMVANWAKVIVVLLLFAGVDATTTIASGVAHSTYSLANMFTSGPQQNLQLVNTNGSWH -INRTALNCKDSLNTGFIAALLYRNKFNSSGCXERMSACKNIMDFDQGWGPITYANVTGSLTERPYCWHYA -PRPCGTVPASTVCGPVYCFTPSPVVLGTTDRQGAPTFTWGANESDVFLMSSLRPPAGGWYGCTWMNMTGY -VKTCGAPPCVTRPNGNNTLVCPTDCFRKHPDATYGKCGSGPWLTPRCLVDYPYRLWHYPCTVNFTLHKVR -LYVGGTEHRFIAACNWTRGERCNLEDRDRVEMSPLLYSTTELAILPCSFTTMPALSTGLIHLHQNVVDVQ -YLYGISTSIVSWAIKWEYVVLLFLVLADARICACLWLMLLISQVEAAMENLVRLNAASAAASQGWWWCLV -FICCAWYVRGRLVPGVTYGLLQMWPLLLLLLALPPRALAYDGTEAASIGLAVVTALTILTLTPTYKRLLT -WLLWWNQYFIARAEALVQVLVPSLQVRGGRDAVVLLTCLLHPSLGFEITKVLLAIMGPLYILQASLLRVP -YYVRAHAILRACILVRRIVGGKYVQALFLKVGAWTGTYIYDHLAPMSTWASDGLRDLAVAVEPVVFSPME -KKVITWGADTAACGDIIAGLPVSARRGNLIFLGPADDIKGKGWSLLAPITAYVQQTRGLLGTIVTSLTGR -DRNEVTGEVQVMSTATQSFLGTAINGVLWTVYHGAGSKTLAGPKGPVCQMYTNVDQDLVGWPAPPGARSY -TPCTCGASDLYLVTRNGDVVPARRRGDNRAGLISPRPLATLKGSSGGPLLCGSGHVVGLFRAAVCTRGVA -KALDFVPIENMETTMRSPSFTDNSAPPAVPQTYQVGYLHAPTGSGKSTKVPVAYASQGYKVLVLNPSVAA -TLSFGSYLSRAHGIDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLGIG -TVLDQAETAGCRLTVLATATPPGSTTVPHPNITETALPLTGEVPFYGKAIPLEFIKGGRHLIFCHSKKKC -DELASQLRQLGLNAVAFYRGMDVAVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDPTF -SIEITTVPQDAVARSQRRGRTGRGKPGVYRYVSQGERPSGMFDTAVLCEAYDTGAAWYELTPAETTVRLR -AYLNTPGLPVCQDHLEFWEGVFTGLTHVDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDAMWKC -LLRLKPTLVGPTPLLYRLGAVQNEIVTTHPITKYIMTCMAADLEVITSSWVIAGGVLAALAAYCLTTGSV -VICGRIITNGRPAVVPDREVMYQQYDEMEECAARLPYIVEAQAIAEQFKQKALGLLQTTAKHAEEIKPAV -ESSWPKLEQFWHKHMWNFISGIQYLAGLSTLPGNPAVAGLMAFSASLTSPLTTSQTLLFNVLGGWLATQL -APPSSSTAFVVSGLAGAAIGSIGLGKVIVDIISGYGAGVAGALVAFKIMSGESPSMEDVVNLLPALLSPG -ALVVGVVCAAVLRRHVGPSEGAAQWMNRLIAFASRGNHVSPTHYVPETDASRAVTNILNSLTITSLLRRL -HTWITEDHTTTCSTSWLKDVWDWVCTVLSDFKVWLKAKLIPALPGIPFLSCQRGYRGSWRGDGICHTTCP -CGAAITGHVRNGTMRVVGPRTCSNMWHGTFPINATTTGPSMPTPAPNYRRALWRVSAEEYVEVTRHHDFH -YVTGVTTDNIKCPCQVPAPEFFTELDGVRIHRYAPPAKPLLRDEVTFSVGLSTFAVGSQLPCEPEPDEMV -LRSMLRDPDYITSESAARRLKRGSPPSLASSSASQLSAPSLKAMCTTHWEHPDEELLEANLLWRQEMGGN -ITRVESENKVVILDSFEPLRAQVDDREMSVAAECHRPPRPKFPPALPXWARPDYNPPLIEPWKSPDYEPP -TVAGCALPPQGLPPVPPPRKKKLIRLNNSVVAQALAELAQKSFPTDLDNSPRNPDSGCSTTTTASRPHPD -NDTASDAGSCSSMPPLEGEPGDPDLLEEGSAGSWSTIEDEDSEQLMCCSLSYSWTGALITPCAAEEEKLP -ISPLSNSLVRHHNLVYSTSSRSAGLRQKKVTFDRNQVLDQHYHDVLKEVKQRASGVKARLLSVEEACDLT -PRHSARSKFGYGAKDVRSHASKAIAHINSVWEDLLEDNVTPIPTTIMAKNEVFCVDTSKGGRKPARLIVY -PDLGVRVCEKRALYDITCKLPVAVMGAAYGFQYSPSQRVERLLKMWRSKKTPMGFSYDTRCFDSTVTERD -IRAEESIYQCCQLDPQARKAISSLTERLYVGGPMFNSRGERCGYRRCRASGVLPTSLGNTMTCFIKASAA -CKAAGLKDPDMLVCGDDLVIISESLGVSEDAGALRAFTDAMTRYSAPPGDAPHPEYDLELITSCSSNVTV -AHDNNGQRYYYLSRDPTIPFARAAWETARHTPVNSWLGNIIMYAPTIWVRMVLMTHFFSILQSQEXLHKA -LDFDIYGVTYSITPLDLPQIIQRLHGMAAFSLHGYSPTELNRVGASLRKLGAPPLRAWRHRARAVRAKLI -AQGGKAAICGMYLFNWAVKTKLKLTPLRDAHLLDLSGWFVSGYSGGDIFHSVSRARPRIILLCLLLLTVG -VGIFLLPAR ->AIO08083.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTNRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -SQGRHWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVHYKNSSGIYHLTNDCPNS -SIVYEADDVILHTPGCVPCVKTGNTSRCWVPVAPTLAVPNVSASARGFRRHVDLLVGSAAVCSALYIGDL -CGGVFLVGQMFTFRPRHHTTVQDCNCSIYTGHISGHRMAWDMMMNWSPALTFVTSSALRVPQLLFEIVIE -GHWGVIGAVVYYCMVANWAKVVVVLLLFAGVEGTTNVGHSVGRTVNSFTGIFAQGPKQNIQLINTNGSWH -INRTALNCNDTLQTGFIAGLFYAHQFNSSGCPERLSSCRPLHAFDQGWGSLSYANVEGPSDDKPYCWHYP -PRPCNITPAQSVCGPVYCFTPGPVVVGTTDRKGSATYTWGENETDVFLLESARPPLGRWFGCTWMNSTGF -VKTCGAPPCNIRPSSNGNNTLLCPTDCFRKHPGATYGVCGSGPWLTPRCLVDYPYRLWHYPCTVNFTLHK -VRMYVGGVEHRFSAACNWTRGERCDLEDRDRIEMSPLLFSTTELAVLPCSFTTMPALSTGLIHLHQNVVD -VQYLYGVSSAVVSWAVKWEYVVLAFLVLADARICACLWLMFLVGQAEAALENLIVLNAASATSNQGWLCG -IAFICAAWYIRGRLVPVTAYVLLQMWPLLLLVLTLPRRAYADSGNEAVSLGLLAVTIITVFTLTPAYKSL -LVTTIWWIQYFISRTEAILHVWVPSLRVRGGRDAVILLTCLLHPELGFEVTKIILAIIGPIYILQYSLLK -TPYFVRAHILLRACLALRGVAGGKYAQAALLRLGAWTGTYIYDHLSPLTDWACDGLRDLAVAVEPVIFSP -MEKKVITWGADTAACGDILCGLPVSARKGNLIFLGPADDLRSGGWRLLAPITAYAQQTRGVVGTIVTSLT -GRDKNEVEGEIQVVSTATQSFLATAVNGVLWTVYHGAGSKTLAGPKGPICQMYTNVDQDLVGWPAPPGSR -SLTPCTCGSSDLYLVTRNADVIPARRRGDTRAALLSPRPISTLKGSSGGPMLCPSGHVVGIFRAAVCTRG -VAKSLDFVPVESMQTTARSPSFSDNTTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSV -AATLGFGSYMSTAHGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDIIICDECHSTDPTTVLG -IGTVLDQAETAGVRLTVLATATPPGSVTVPHPNITETALPTTGEIPFYGKAIPLEYIKGGRHLIFCHSKK -KCDELAKQLTSLGLNAVAFYRGVDVAVIPTSGDVVVCATDALMTGYTGDFDSVIDCNVSVTQVVDFSLDP -TFTIETTTVPQDAVSRSQRRGRTGRGKHGDYRYVSQGERPSGLFDTVVLCEAYDTGCAWYELSPQETTVR -LRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFAYLVAYQATVCARAKAPPPSWDTMW -KCLIRLKPMLTGPTPLLYRLGAVQNEVITTHPITKYIMTCMSADLEVITSTWVLVGGVVAALAAYCLSVG -CVVICGRISTTGKPVLIPDREVLYQQFDEMEECSRHIPYLVEGQQIAEQFKQKVLGLIQATTKQAEELKP -AVHSAWPKLEQFWQKHLWNFVSGIQYLAGLSTLPGNPAVASLMSFSASLTSPLKTSTTLLLNILGGWVAS -QLANPVASTAFVVSGLAGAAVGSVGLGKVLVDILAGYGAGVSGALVAFKIMSGETPSAEDMVNLLPALLS -PGALVVGVVCAAILRRHAGPTEGATQWMNRLIAFASRGNHVSPTHYVPETDTSRQIVTILSSLTVTSLLR -RLHEWINNDWSTPCSGSWLRDIWDWVCTVLSDFKVWLKTKLVPVLPGVPFFSCQPGFRGVWRGDGICRTT -CPCGADIVGHVKNGSMRISGSRWCSNIWHGTFPINATTTGPSVPIPEPNYKRALWRVSAEEYVEVLKVGD -SHFVVGATNQDLKCPCQVPAPEFFTEVDGVRIHRYAPPCKPLLRDEISFSVGLNSYAIGSQLPCEPEPDV -TVVTSMLVDPSHITAEAAARRLARGSPPSLASSSASQLSAPSLKATCTTHGQHPDADLIEANLLWRQEVG -GNITRVESENKVIVLDSFDPLVPEYDDREPSVPAECHRPPRPKYPPALPIWARPDYNPPLIETWKRPDYD -PPLVRGCAMPPPKQPPVPPPRRKKVVRLDESSVADALAALAQKSFPTSTPPTADSDSGHPTTSKSSDQAS -DEADAASEAGSYSSMPPLEGEPGDPDLSSGSWSTVSEEGDSVVCCSMSYSWTGALVTPCAAEEEKLPINP -LSNSLIRHHNLVYSTTSRSAAMRQKKVTFDRIQVLDQHYKDVVKEIKARASGVTAKLLTVEEACDLTPPH -SARSKFGYGAKDVRSHASKAVNHINSVWKDLLEDSQTPIPTTIMAKNEVFCVDASKGGRKPARLIVYPDL -AVRVCEKRALFDITRKLPTAIMGDAYGFQYSPKQRVDRLLKMWRSKKTPMGFSYDTKCFDSTVTEHDIKT -EQDVYLSCTLEPEAKVAIQSLTERLYLGGPMYNSRGQLCGTRRCRASGVLTTSLGNTMTCYIKAEAACRA -AGLTNYDMLVCGDDLVVIAESAGVQEDAAALRAFTEAMTRYSAPPGDEPHPAYDLELITSCSSNVSVAHD -HTGQRYYYLTRDPTIPLTRAAWETARHTPVNSWLGNIIMYAPAIWVRMVLMTHFFQILQSQEQLDRALDF -DMYGVTYSINPLQLPQIIQRLHGMAAFSLHGYSPNELNRVGACLRKLGAPPLRAWRHRARAVRAKLIAQG -GNAAICGKYLFNWAVKTKLKLTPLPGAARLDLSGWFVSGFSGGDIYHSVSQARPRMLLLCLLLLSVGVGI -FLLPAR diff --git a/seq/clusters_seq/cluster_1240 b/seq/clusters_seq/cluster_1240 deleted file mode 100644 index 1ca6267..0000000 --- a/seq/clusters_seq/cluster_1240 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009513270.1 matrix protein 2-2 [Human metapneumovirus] -MTLHMPCKTVKALIKCSEHGPVFITIEVDDMIWTHKDLKEALSDGIVKSHTNIYNCYLENIEIIYVKAYL -S - ->sp|Q6WB96.1|M22_HMPVC RecName: Full=Protein M2-2 -MTLHMPCKTVKALIKCSEHGPVFITIEVDEMIWTQKELKEALSDGIVKSHTNIYNCYLENIEIIYVKAYL -S - ->sp|Q2Y2M1.1|M22_AMPV1 RecName: Full=Protein M2-2 -MTLQLPCKIVQTLIKCGEHGLIFLKMKLDDMVWTKNELVDIISTEIVKVHANIFKCRLEDIEIIYVNTFL -S - diff --git a/seq/clusters_seq/cluster_1241 b/seq/clusters_seq/cluster_1241 deleted file mode 100644 index 845ef52..0000000 --- a/seq/clusters_seq/cluster_1241 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009513208.1 32 kDa protein [Beet soil-borne mosaic virus] -MADVEICRCQDSQEPLLNVTGYDLTSRVMFERIDIGPLGVLCNIGVLFHMSVIRRRDIFPWLNKITSINV -SVDVPVSSVSRVGQCRVVVFTCDRVGAFHVWQVIPGCFIGAPCYNGVNVVHDELVDVAIDNELAVFSCVF -SRAYDPMDLRILFLYSEDCYGWSDVTIDVDQYTLPSNENGCAYCSGICFYSDPRRYCGRPFRDPSTPPCF -RFIMVNDELFNNVATQRFVRGLVGADGFEQDNRTISKNGWRRFCYNLWCHDYGDVIHCTLARYMLFCFEQ -DFQ - ->NP_612622.1 31k protein [Beet necrotic yellow vein virus] -MADGEICRCQVTDPPLIRHEDYDCTARMVQKRIEIGPLGVLLNLNMLFHMSRVRHIDVYPYLNNIMSISV -SLDVPVSSGVGVGRVRVLIFTTSRERVGIFHGWQVVPGCFLNAPCYSGVDVLSDELCEANITNTSVSSVA -MFNGSYRPEDVWILLLTSSTCYGYHDVVVDIEQCTLPSNIDGCVCCSGVCYFNDNHCFCGRRDSNPFNPP -CFQFIKDCNELYGTNETKQFICDLVGDDNLDSVNTLTKEGWRRFCDVLWNTTYGDVESRTFARFLWFVFY -HD - ->sp|P19231.1|Y32K_BNYVG RecName: Full=RNA-4 uncharacterized 31.9 kDa protein -MADGEICRCQVTDPPLIRHEDYDCTARMVQKRIEIGPLGVLLNLNMLFHMSRVRHTDVYPCLNNIMSVSV -SLDVPVSSGVGVGRARVLIFTTSRERVGIFHGWQVVPGCFLNAPCYSGVDVLSDELCEANIINTSVSSVA -MFNRSYKPEDVWILLLTSSTCYGYHDVVVDIEQCTLPSNIDGCVHCSGVCYFNDNHCFCGRRDSNPSNPP -CFQFIKDCNELYGTNETKQFICDLVGDANLDSVNTLTKEGWRRFCDVLWNTTYGDVESRTFARFLWFVFY -HD - diff --git a/seq/clusters_seq/cluster_1242 b/seq/clusters_seq/cluster_1242 deleted file mode 100644 index 0b2d531..0000000 --- a/seq/clusters_seq/cluster_1242 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009362232.1 hypothetical protein [Iriri virus] -MVPSKTLGEKILTDLLEAYCLIIEDEWEEERVYTCEGPPDKVGDLYARTCVWLVNLEALGLITKNWELDH -EEPGRLVIKIYC - ->YP_009362147.1 hypothetical protein [Rochambeau virus] -MVPSKTLGERILTELLESHALLEEEEFEAERVYICRGNPDQIGALYARACVWLVNLEAINLLSSNWDLDH -EEPGKLVVTIFA - ->YP_009512982.1 hypothetical protein [Curionopolis virus] -MVPSKTLGERMLVDLLECHCLVEEEETENERLYICTGDPDAIGDLFARACVWLVNLEALGCFSPHWDLDH -EEPGRLVISVFP - diff --git a/seq/clusters_seq/cluster_1243 b/seq/clusters_seq/cluster_1243 deleted file mode 100644 index 134ad89..0000000 --- a/seq/clusters_seq/cluster_1243 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009362237.1 hypothetical protein [Iriri virus] -MIKIRKTQENRDEFSLYQRFGERLVSLFPDSFDFYIKREEGGTIALTLTWPPGLPVLIIPRRLKTSRRFI -VYRPGRDLFVIANLLYNVMGLKKSQIDMRYEMINEGKWAIVTLYG - ->YP_009362153.1 hypothetical protein [Rochambeau virus] -MIRLVKSKETMEDYLFYRNLGERLVDMFPELFFLSITRSDNGVVSLDLNWRKGTPILLIPRRLKTQRRFV -SYRPGRDIYLVSDFLYGKVGLKKSQIDCTYSLIMKGKAAIISIHG - ->YP_009512987.1 hypothetical protein [Curionopolis virus] -MIKIRKEGSSKDDFLFYQKVGERIQNIFPDAINLKVHRSQDGVFILDLTWPPGVSVLLVPRRLKTVRRFV -SERPGRELFLLGDLLYNKMGLKRSQIDTSYEMIHGGKWAIISIYG - diff --git a/seq/clusters_seq/cluster_1244 b/seq/clusters_seq/cluster_1244 deleted file mode 100644 index b16b658..0000000 --- a/seq/clusters_seq/cluster_1244 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009362231.1 matrix [Iriri virus] -MERLKRKGMELAKWTGGKKKKQGEVVPTAPQSLWVYGGNEDTDWNFGVSEPTEVLDASPVFKRIKGLWTV -SLKIFSSKGFNSLDEVTHQVSVLLDQYHGMNTNKRAYLIHLLALIPHLGGRRDNELDQYTYQSGYNEVID -FDFSHPDEPSEMPWAHTENCKINLGGLSARIEYSVKFSPSVRKPVNFKAIYYSPAAGGKIPPLEIYGRDL -SLSLDESVTPIRIL - ->YP_009362146.1 matrix [Rochambeau virus] -MNRLKRKGMELVAWKDKKDKKSHMELEKATAPLWVYGNPTEETEWDFGIRDTPTSAESTEESFTLIKGSW -TASLKIISNKGFGSLEEITHHVSMILDSYNGMNTNMRAYFVHLLPLIPHLGGRRDQTLDAYVYQGGYSEV -IEFLFSNPDSPSNRAWAHSQTTKLTLGSLTAKIEYSVKFEPSIRKAVSFKMIYYSPTNGGKIPPMKEYSS -DLSMSVDDSSDPVKIL - ->YP_009512981.1 matrix [Curionopolis virus] -MNRLKRKGMELVSWTGKKEKRSKEDALEASAPLWVYGGPGEEQMWDFGVESEESTAQSLSAKSYTRIKGK -WTASLKMVSNRGFSTLEEITHHIGALVDDYTGMNTNRSAYFVHLLALIPHLGGKRDEAIGGYIYQSGYSE -VLEFDFLNPNSPSDREWTHSQVLKISVGSLKAKIEYSVKYEPSVRKPVQFKMIYYSPAGGGKIPPMMTYS -EDFSLAVNDEVDPIKIE - diff --git a/seq/clusters_seq/cluster_1245 b/seq/clusters_seq/cluster_1245 deleted file mode 100644 index 104f725..0000000 --- a/seq/clusters_seq/cluster_1245 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009362230.1 phosphoprotein [Iriri virus] -MENLSELLKGYSDKGLKENFEDMSFLEDKLEEFEKEFGDDVPSPKVETSEKKNDWLEKFLEDSGSSVDDS -PAENRPQGSVLTMCGVPLKLRLDDIKKGRDLDTFYHLKRIFDHISHESGITVIGKPDWQDRTLSVEVYCH -HLNFNSRICSRPSDEYDFPPPPPELLNDADGSGEDQSLVPNEREVKSESTAEFLFIPKKEGKRDGKEYKV -KLEGFSPKSLEEVKFWLKKQGLLNRIMIYGDIKRWSLM - ->YP_009362145.1 phosphoprotein [Rochambeau virus] -MENLSDLLKSYSESGLKSTFEDMSFLEEKIEEFERELDSDVKSPIIKEEGSDWLEKFLKDDDQENEPKET -EKSPRKEDIENQWTDELDIQKSQPVLTVKIDDLKKGRDLDVFYHLKRILDHISHESGTTVIGKPDWGTRT -VDIQVYCHHLNYTNPHLSRSSDEYDFPPPPPEEELATLCPKESLIVEEEKKEMEAEKTESKTHLIIPAKK -GEKKPYRIDLHGFLPQTTDEVRFWLKKNGMLSRMTVYGDIPRWKTE - ->YP_009512980.1 phosphoprotein [Curionopolis virus] -MENLSDLLKNYSQEGLEKTFEDMSFLEEKLDEFEKEYETGESSPVIEGKKQSDWLEKFLSESEESEVCEV -KPKTADMSDELKEEDIMLDVRQPTLVIKFDDLKKGRDLDTFYHLKRVFDHIGHESGVTVIGKPDWIGRTI -GVQVFCHHLNYSKGMTSRPSDEYECPPPPPPEVLQSLIPSEEPELDPNQDEKKKDNNPEFLIIPNKPGKN -GGKEFKIKLDGFLPKSAEEVRFWLKKNGMLSRISIYGEIGKWKTQ - diff --git a/seq/clusters_seq/cluster_1246 b/seq/clusters_seq/cluster_1246 deleted file mode 100644 index df68a28..0000000 --- a/seq/clusters_seq/cluster_1246 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009362035.1 glycoprotein precursor [Kibale virus] -MFLQFLAISVLMGVNSRSITPIRDCETQVDRAFFSETDFNVTTIECTNRMTLGCYKSDLSHVRMKFDSTT -NKTNVDIVILKDIIINTDQNGPFTFLDVNCFGMIRRQVSVKKFCGIEILPTEGRVRLESNGANVAIYSIN -GIKPVTIMFTNAYEFDLGKAKGSINVVCGSSKLNDYYVIDREYLCKEYYSSYHYLPELFYKPMCKYPILF -LTLLILVICTVCIYLISSTPIGYLVYIIFYPVLKLYFYLVDKYMPKCKSCRLVIHPFTRCGSVCKCGELF -GNTQRLKAHNSGSVDCTRKIMIVYKTNISLKTVQIMMTLWLLVIVISYIPISLAHMSQDVEVIKSDVKYV -TIQDDKAISASVELDFKAIRNNKLLIQPVIKGEELAQIIIEVKDAYYTNTYNLQYTTGPILETHYVWSYS -CEEKGCSNEAYKDLGNMTRNRNATTFCYEFNQGSSGKGIEQCNWICFNRGHAYGICNTMIDFKWRTYKKE -TNLDKSVVLLDVQSDGTGVTYYLESDKGTYEFDKGTIDIKSQDVNVLDQKIVVDDAFQIFYENFNEIGQT -SNGCGKIQALPDGEVIGKKINDVQKTCTFLSAPKLKVNRCLDDTQNVCGLGPKYDLMQHTINYRDLGKIT -LNKTAYIGDAKLVLKLGDVLIKNNQGAKVLAAEIDCEGCYDCVVGSDCTLTYETTDEMYCDLNSNVTKDI -SRVYLKTGKDLLKFKIWVNFKDKIVNFKVCNIEINISPNLSEGETIYQLLHKNDNKVSVGEDVSHCNTIM -CHLKHEFIVIWDALGSAFTFFTTGFISYIFYFVILIALLVLVLACCQRRMRDEYIRYKQL - ->YP_009362028.1 glycoprotein precursor [Tai virus] -MNLNHNEMLFLAIFLIIGANARPITLMRDCDGQIEKAFYLGTDFNITTYECKTRMTEGCYKSDLNYARMR -LSHDTNKTILDVMKLKDIQIVPDQSGPFTYLDANCYGLIKKQVSVKNFCGIEILQMEGRIRLESNGANIA -IYSIDGKPPTMITFTNNYEFELGKTKGSISVKCGSTKLNDYFVIDREYLCQDYYSGYNYIPELFYKPMCK -YPIMFLTLLILVICSVIVYLIGSTPVGYLVFIILYPILKLYFKIIDKYMPKCRSCRLVIHPFTSCGSICK -CGENFGNTQRLKAHNSGVKDCSRKVMIVYKNNISLKTVQFLLTIWTLVIIISYIPITVGHLNQEPTVIKT -EIKYVTIQDEKAISASVELNFKAIRNNKLIIKPTVKGQELSHIVIKIIDAYYTNSYNLQYITGPIIDTHY -VWSYSCVEPKLTCSQEDYKDLGTSIEKLKNATTFCYDFNKGSAGVGLEQCDWVCLGQGHAYGICNTMIDF -KWRTYKKETNLDRSVLVLNIKSNDDDSNYYLESDKGTYEFSKGSVDIISQDVNVLDQKLVVDDSYQIYPE -NFNEIGQTSNGCGKIQYLMSGDMIGKKINDVQKTCAFLSSPKLTINKCIDDSMNTCGLGVKLDLMQHLIS -YKNLDKITINKTAYIGDAKLILKIGDVLIKNNLGAKLISADISCDGCYDCNSGSDCLLNYETTDDMYCDI -KSNVTKEISRIYLNSGKSDLKFKVWTRFQTTPIYFKICNIELNVVPNLVKGESVYQLLHKSENKISVGVD -VAHCSTILCHLQHEALVVYDSVKNMFRFLTTGFLSYVFYGILSVLLLLLLIFLFKRRVQDEYTRYKQI - ->YP_009507854.1 glycoprotein precursor [Herbert virus strain F23/CI/2004] -MLMKLIVISFLIRVNCRPITLMRDCDAQVTKAFFAETDFEATTLECENRMTPGCFKSDLNNVRMKWNDDT -NKTDIDIVKLKDIKVKVDLAGPFSYLDTNCYGMVKKQVSIMKFCGIEILTTEGRVRLESNGQNAVIYSID -GNNPKFMMFTNTFEFDLGKSKGSINVICGSNKLNDYFIIDREYLCHEFYAGFSYMPELFYKPMCKYPIMF -LTLFILVICSVCVYLISSTPVGYLVYIIFYPVLKLFFYFTDKYVPRCKSCRLIMHPFSKCGTVCKCGENF -GNTQKLKAHNSGSVDCKRKIMMVYKTNISLKTIQLLLTLWMVVLLISYIPVTFGHLSNDLKVVKTEIKYV -TIQDGKAISASVELDFKAIRNNKLLIQPTYKKSELAHIVIKVTDAYYTNNYNLQYITGPIVDTHYVWSYS -CTSPKLTCEQEDYSGLGDMTVNKNATKFCYDFNKGDAGSGLEQCDWVCLGQGHAYGICNTMIDFKWRTYK -KETNLDKSVVILDVKNGNKNTYYLESDKGTYEFEMGSIDIKSQDVNVLDQKIMIDDSFQVYAENFNEIGQ -TSNGCGKIQSLINGDVIGKKINDVQKTCAFLSAPKLKINRCLDDTMNVCGLGKKFDLMQHIINYGDLEKI -TLNKTAYIGDAKLILKIGDVLIQNNEGSKLISADVNCNGCYDCVVGSSCIIEYETTDEMYCDLLSNVTKE -ISRVYLKTGKSTMSFKIWTHYQARKIYFKICNIEVIVQPNLEKGETIYQLLHKEDKKISVGTDVSHCNTI -LCHLQHEAIVIYESMRGFLSFLTTGLISYIFYSILTLVLILVLISCFKRKIQDEYARYKQV - diff --git a/seq/clusters_seq/cluster_1247 b/seq/clusters_seq/cluster_1247 deleted file mode 100644 index e4592cf..0000000 --- a/seq/clusters_seq/cluster_1247 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009362025.1 nucleocapsid [Kibale virus] -MATGFVFEDNVTSSTFRGQTFYNKHVNDAFLKDPAKVKDMLAKGVQLKRAIQALGDGEGMTLRGYFVKKG -IDLVVYKGSIDLSDDLYTFSRLQGMCACAAYTNSQDYPDLVVAIAKANGFKWDPAYTLPQRSAYLSFTPG -AHLFSDVFDFWPVACALFEIKKNGKSSDSDLKVYQSRFRSRDESGPMIDKIADNAQLIKSTFSTIVGEGG -IRSVAIKSLINKIWSQ - ->YP_009362024.1 nucleocapsid [Tai virus] -MSNQFTFTNTVTSSTFKGDVFYTAHENDTFLAHPDKVKEILAKGVQLKRAVQKLDEGASLTMKGFYVKQG -VELTIYKGGPELNDEVYTFSRLQGMCACYVYINSIKFPDVVIAIARSNGFEWKQEYNDHQKCAYLSFTPG -AHLFSHIFGYWPIACALYEIMRDGKQSDKDLKVYQSRFRARDSEGPMMDKIEQNSRRIKATFESIVGEGG -VKSVAVRSLINKIWP - ->YP_009507853.1 nucleocapsid [Herbert virus strain F23/CI/2004] -MATNFEFNDNITSSSFRGETFYASHENDVFLRDVNKVKDILAKGVQLKRAIQALGEGENLVMKGYTVKAG -IELTIYKGGPDLDDNVYTFSRLQGMCACIVFMNSTKYPDLIVAIAKANGFKWESSYTGVQRSAYLSFTPG -SHLFSHVFEYWPIACALYEIKKSGKQSDVDLKVYQSRFRSRDETGPMLNKIDENTTLIKNTFSSIIGEGG -IRSVAIKSLINKIWPQ - diff --git a/seq/clusters_seq/cluster_1248 b/seq/clusters_seq/cluster_1248 deleted file mode 100644 index 058b486..0000000 --- a/seq/clusters_seq/cluster_1248 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009259645.1 N [Diachasmimorpha longicaudata rhabdovirus] -MELYNTIINGAKEIDIGGSNPMTGALSGWTDDSYKQLYGHVRITQLEVSFIPRILKAWFSTYYNHNIGNI -DLSSLMMSTLSLITVSSVSKGQTSQTPNIQEMISIAENLGKVESQLRKNSPLIDHDSEKEDILAGDHEEQ -HSIDSSDVMYLSMISDPDYQDTLINIASFQALVMLRHITKTNTSVDKYQRESLTRTLKSLCPNTLLTADI -PPPSAQFVTYFKLNCMKGNDVATSLLAYVMNLYMEARQSGATPLVDYLNAGCLTHLKGNGLALLELIFQV -HLCTKLKLSDLFDMTYSSQCAQSIDRAYHHFKEYAELKSNDGKIIRPAQVTWWWARLFNDKYFMDMSIRN -NYQYCLRLACILTCYQQQGSPLEAAGFGTVSAKELSKHNDFATKFVAHLTPDEDKFMGGALKILNTAVPG -PSGTSRPPLDIESE - ->YP_009336585.1 hypothetical protein 1 [Wenling crustacean virus 11] -MASGVASLERLIRSTDISLNSGRGITWNDQTLKKFWKRHYAVPNADLMKSIYTDFLSAYCGKFAGFQTGP -LIRAAILSTLTPPGDKHMFGYVFKGVVPIYDPSAPPPPRATPTAKTQVPQQSAIQTELSSANTYLAKDNH -DISSDDESDDEGDDEPITPPDIVGFQETMLKYIMIAPGNSYMVLGFLAMICFRIIVRDPKAIRLYFANQR -RILALISSCTGVVMRTGIPAPTAAFLDDLVVSIPKGQTIASEVLADMTYLLIKSGPASPVVRFLEGGCMV -HLSGNGLGLIDLIDRVANKYEVTIAMVLSLLLTSRSVKSVGRVVEFLVNAPKILSWKWSRAITDRAFQDL -RVQNNLTLTGYVVALAAEADAESEIWKIRALEDLPAATKAQAIAWAKQYLILRDKETKTSHFEASAAYRR -VAAGIESEEEESESDDGDISSDEDDAPWN - ->YP_009336508.1 hypothetical protein 1 [Wenling crustacean virus 10] -MTRKKIQDPCNMADPAYQALSGLPSRLVDVQDKGWSDDSLKKYIKSRYSLPGEDDRITLCKLFLQAYCYG -NWQNFKLSEIVHALLLITPTRTEKKYLALFACFKTKQSPLIDLSGAKVQPADDATVSDPKTSQKDDTNDL -QGLVGEHDWIDDDDNIVTGLPRNTSSFYKGMLEALDGDDKIAAIRFCCFLAWVCFRTVVKEVSSVRAYLG -RAENFSRLVTNLTDFELPEKVPLPSKIMLEKIAITMGKGTASVRELISWAVYVKVHSDNDDDVRMMEGGC -LTHLSENGIGLVGLVDKVAGVFSVSIEEILSLILTSHTMNSVIRLADFIKHVVPKVKSWKWSRVIDDQCF -SSLKILDNIVLSAYLVALVTEADAGNDMWNMVVFEQHLPNTTKNQALRWAKKYVVASSEHDQKTGAWVSE -KHRKIWAYGEDDDESDNEYSGLI - diff --git a/seq/clusters_seq/cluster_1249 b/seq/clusters_seq/cluster_1249 deleted file mode 100644 index 5efe530..0000000 --- a/seq/clusters_seq/cluster_1249 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009507938.1 movement protein [Lilac ring mottle virus] -MALTTFKKITYEGKDWDSLMGEISGVLRDNIVASTTFRGCVPVEAKISKGGAQAWDLCSKDFSVFATSWK -KKVRKLINVDHRNIYLCYVPRILRSTSCSDSCFLLNKATLEKIPLGVFPLNEMFFVRTGWPRSLMTKDVI -DGKGLCLTHQIIAPTLPVGCSAGRWLPFWEEDFGLKMTYQKDVPITFKTKSESLVKDAISEAVRDSLMAG -LVQNSSQVLLSDSKLLSPIPGNSPEVLIDFTTQKSEANEDVGSTLPRVLLQSNGASVVESVVEGRGTGNS -AQTPL - ->YP_001285480.1 movement protein [Citrus variegation virus] -MALSNFKSISVEQKDFTSLMNEVCGVMREHVADSSTFRGCQPKEALIKANSAWELVSKDFSVFATKWNRF -TKKMINVSHRNIYLIYIPRILHDTSCTDRCMLVNAATMEKKPVGVLPMNKMFILKTGWPRSLRVKDVLNH -KGIFLTHSFIAPTLPPDCSVGRWIPFWEEDFGLPMVYQKDVAASLSLRNEETVRDAISNDVAASLMNSLL -ERTLNAAKRACTTGLISSGEQPEMPDFTIEELPESDSGGMTANATGEKKSTVVSGNGVVETAMEAQSHNT -PK - ->NP_613279.1 movement protein [Citrus leaf rugose virus] -MALSSFKAISVEHKDFLSLMNEVCGVMREHVADSSTSGLSAKEALIKANSAWELVSKDFSVLATKWNKMV -KKMINVSHRNIYLIYIPRILSDTACTDRCMLINAATMEKKPVGLLPMNKMFILKTGWPRSLRVKDILAHK -GLFLTHSFIAPTLPPDCSVGRWIPFWEEDFGLPMVYQKDVTADMAIRNEESVRDAISNDVAASLMNSLLE -RTMNAQRRAIDVGLVSSGEEQMMPDFTTEIVKVTKDRIDAANTDNTFGKVISGDGRYEDLSTASKGRGQN -TPTP - diff --git a/seq/clusters_seq/cluster_125 b/seq/clusters_seq/cluster_125 deleted file mode 100644 index 0f28fde..0000000 --- a/seq/clusters_seq/cluster_125 +++ /dev/null @@ -1,337 +0,0 @@ ->YP_009346039.1 RdRp [Wuhan insect virus 22] -MAVRIEVEQRQDWVLPSHAPYTISSFEYPERPTIQESKIKIEDGELLPNGKGFPWRMEPPSVSFKVYRAM -VNHGLSDVANHVLRTKKRSYITPQAIWNGVLEFGEALPSKLRKLPEYLLALDELRIEIDTKDRITPFTME -EGAKTLPQGTSPGLPYINTHPGWKKGDISLKYMPAFNNYWDRVGQGLPVAPLPDCAAFARSHISSPDVNK -VRPVWAYPMMAIAQESRFVAPLLRDLIDQKIGLHTAYGMEMMKGGMTWLNGQAMIANARQPGVKYLMTDF -SGFDKSLPAWLIRDIFKIIEEKYQFNRSWNGKEEIPQYGAVERRKFRRLVNYFINTPIQNCDGRRFLKKH -GVPSGSMFTNIIDTFANFVMSRTIAKLSSNENPIFDVYFGDDAIMCFSGDTAVDVRKYSLAAKDLFGMTL -SPKKSYLTTRPDNIHFLGYFNYHGSPYKAAEELIASMLYPQYLKDDWAYCVSRALGCALASAGMQTDVYL -AAQAVFIFASRRENKFEEGLELLRTNPRAKRHMSQMGCSDLPLNESYFRDINALVPRMDCSKITKGVNIV -I - ->YP_009345133.1 RdRp [Wuhan cricket virus 2] -MATDPKHRLFSSMLEPLPAGEPFSLNYQPARIDRNALFALNAHFSPAAISAVRSKYHRAHLNFDDLKADL -LEYDCPKPPRGVEPSYYAAIASVREDLQLYNAKVVPLTTGAVANHPDFPGAKSPGLPYKTLGYSTKRDAV -SDPAVMDEIRKIWYAVEANQQVELPDVACYARAQICTRDTNKVRATWGYPLAVYMAEAAYFYPILDVLKD -KSKPHIAYGVEMANGGMQYLHRMAEVCGPRPILLGDWKRFDKTIPAWLIRDAFKIVAEAIDWEHVQDSEG -KIWKVREHRSKRRWRKLVQYFIDTPIRLSDGTRYMKHSGVPSGACFTNVIDSVVNMIIMRYLVYELTGDL -PVDDIYLGDDSTIVLPRLIDLDQLAELALSHFGMVLNTKKSTITYRPSNIHFLGYYNQGGHPYKPLDTIV -ASTIYPERTCRNKVETITRLIGQAFSVFDAHHATLFFRCAKMLADEEKISPEELEQYIHEHPYRYKYLMT -IGVDPTHVCFPDPSFDVPLLHTQPSNPRRVYTAAPNRNLEQLYALGLHWCGLE - ->YP_009342458.1 RdRp [Wuhan fly virus 5] -MRSRGPATRQCINVSPRRGTKTAITRLENKEGFVMNMTPAREDGKARLACELYFGKDITDKITSTLHRSR -LTYDSLLEDFMDYDRTHVPRISDPDAQIIYKCVLQSIKDDLGNVKLSPLTYQQVRDLPDFPGAKSPGLPY -KNLGFTKKSDVYDDPIYREHLTNLWRNIGHGKNVKLPDVCMFARAQVAKAPKNKIRATWGYSFDVYMEEA -RFFYPIQEFIKSHKHNLPIAYGLEMANGGMVAINDMLQRHRNSKYVISDWSKFDKTIPPWLIRDAFEILE -GLIDFSAVHRSAHEKRRFKKLVDYFVETPIRTCKGERFLVTGGVPSGSCFTNIIDSIINCIVTRFLVFQT -TNAFPVGEIFLGDDGVFIVDGFACLEDIASLAIKYFGMILNVDKSYVTTNPSNVHFLGYFNYSGYPFKNQ -DFLIASFIFPEHKRTRLVDACAAALGQMYSGFDPGYARNWLNIIHYLADLENSNPFSLNEVVLHLKNNEF -RHKYLAQVGISADSMTLPSRANSQILEVLPKTYCNITLPSRAYDFKDILSRI - ->YP_009342308.1 RdRp [Wuhan Millipede virus 4] -MERIVRKPVFRHYDSYEQPTTPEDPSERRERRDALRHRRFTSMLEPLPAREPYAMNYKPAKIDRNGLFAM -NTVFPPAAVSAIRTKYHRAALNFEDLKADLLEYGCDKPSRNVSPNYYAILESVRRDLALPKNSIIPLTTG -AVTNNPDFPNSKSPGFPYKAQGIRTKREAVDTPGVLDEIRRIWYDVEAGREVELPDVACYHRAQICTRDK -NKIRATWGYPLAVYLAEGAYFYPVLDHLKNLSSSPIAYGVEMANGGMQYVNAAVQHFPNQPMLVGDWSKF -DKTIPAWLIRDAFKIIEEGIDFSHVQDSDGKIWPVREERSRKRWRKLVSYFIDTPIRLSDGSRYQKHSGV -PSGACFTNIIDSIVNMIVMRYCIYEFTGQLPLFDMYLGDDSIIVLPELIDLGEFSKYAKEQFGMEFSDTK -SRITYRPDFVHFLGYYNQNGTPAKSLDTTIASMIYPEHTVRDKLETITRCVGQAYSCFEPYDATAFFLAA -KVLAEEEHLSREVVESVIRTHPMRFKYLMTIGVDPESIVFPDVQPNDLCLLTQPGQMRRAYRFRAYDFHD -LYTAGVSHFYYEDDDS - ->YP_009337885.1 RdRp [Hubei tetragnatha maxillosa virus 8] -MDVANERSKVLQDTRFFKPLPRGTGFPFNYQPSRLDRNALFALNRVYDPEKISEVRTKYHRAKLNMEDLR -TDLLEYSCAKVPRIQDDSYYMIFESVDKDIFGDMKVIPLTHGAVANHPDLPRQKSPGLPYKTQGYATKGE -ALDDPNTLKNIRATWYAIERSEDVTLPDVACYARAQICSRDKNKIRATWGYPLSVFLTEAQYFYPILKEL -KQRDKPKIAYGVEIGTGGMGFLNEMASYYKTNNFLIGDWRKFDKTIPAWLIRDAFRMIMRHIDLTKVQST -GGRIWPVRASKTKKRLAKLINYFIDTPVQLSSGERFVKHGGVPSGSSFTNLIDGIVNAIVTRYTVYSMTG -ELPYDDLYLGDDIVAVTKKPLDLHLFAEIAEKQFSMIFNPDKSYQTSQKENIHFLGYYNIHGMPYKPVDT -VIASSIYPERPCETKFETITRLIGQAYSCFEPEDAKRFFLAAQMLQDEVPGLTNQMITEFTKDHTHWFKY -LQTVGVSTRDGLTMPKVRTYDQIWITAPQAPRRKWSPVVHDLEQLAQLAYEKWKVEEEDNIDST - ->YP_009337870.1 RdRp [Hubei diptera virus 17] -MISRMTHFNKLPSAEGFTFNLRPAKIDAWAYEACSRHFGDQKIKGVVETLHRSSISYTAMVDDLLGYQVK -PSPRTELKSYHLAYASVRQDLNIDTPLIPLTMGAVPQREDFPGNKSPGLPYKQQGFKTKREVIDAGKLHE -INRDWQRIGFRKGKVELPDVCLFARAQIARKGKEKIRATWGYPLHVYLEEGRFFYPIMDWIKSREHDFPI -AYGFETANGGMSVISEMLARNPLCKYICTDWKTFDKSIPPWLIRDAFAILAECIDWSHVKDVEGKIWSVR -PGPSKIRWKRMIDYFVSTPIRTCKGERFLVSTGVPSGSCWTNLIDSIVNALVTRTCFYETTGAFPVDEIY -LGDDGVIIARGVIDLELLALAAKSEFGLILNTDKSYVTTNPSNVHFLGYFNYGGFPFKNQDFLIASFIQP -EHTRTSVVEACAAALGQMWSGFDPLYAVIWFNIICDLADRDNLTLEEVQLHMRSHPHRHKYLNHVGINPK -TITIPTPRDGLILDVLPSRSSTYTIKRRTYDVYKLWQSVGKYFN - ->YP_009333370.1 RdRp [Beihai barnacle virus 12] -MLSLQPGESFRQDFRNPGRDKLATEALNHAFGSDRVDKILATLHRAEKSLDELIADVMLFDRERVGPAAR -DDPVYQLALESVRQEFIPKETKVIPLTLGGVENRPDLPRNKSPGLPLNEHFKTKGEALSDPGVHADIHKK -WALIGKGYKQKLHDSALFQRAQICSTEKNKIRAVWGYPLEVFMEEARFFYPYMDYILSCAQNLPIGYQAE -MATGGMLYINDMIRSHPHATFAICDWSRFDKTVPAWLIRDAFQIILDSLDLSKVQGSDGAIWNVREEDSL -RRFKRVVSYFINTPIRSPDGSRFRKRGGVPSGSAFTNIIDSIVNAIVTRYLAYHCSGALPSADIYLGDDS -VCVVNGVVNLQDWADLAREKFSMELNVEKSYVTTNPCNVHFLGYFNLQGLPIKGQDFAMASFLYPERKSL -SPTITAARALGQMWSTMNPYAASNWHDVVTYIMDTQDVTLSQIQEHLRSQPEAFRYLRMMGLDVATLGLP -RKVDGLVLEVQPRSVSRKIYKVVTHDVTKLMSLAIDRIETDLQEQDDVPEEEDDN - ->YP_009333350.1 RdRp [Beihai partiti-like virus 2] -MSLKRLPPSSSFPVDTRPPPVDQIALKYARKWFGDGPVDKAIGSKHRAVASNEAIMDNIRGFDRRYAPRI -TDPVWESILQRVYEEIKPNELIIPYTTGGALKDPDFPMKKSPGLPWKLEGYGTKGDVCAIPENINKIKEP -WYKVGRGYHTSMPDCMVYYRSHICDTEENKIRAVWGYPLEVFTEEARFVYPFLQHLKTTPEDYPIAYGLE -MINGGMAYLEEAWQQTRAKFAIMLDWSSFDQSIPPWLIRDAFSILERCFDFSHVLASDGKIFPVDPDKTH -RRWKRLISYFINTPFRMPTGERFLKSGGVPSGSGFTNLIDSIVNILVVRYITYHNLGELPLHDMALGDDS -VVYTNGHPSLERMSELAQEWFGMTINMRKSYVTRCARNIQFLGYYNEYGMPFRDVQFLIASWIFPERFQT -PDPAFTAIRGLGQLWSTMNSTAAVFWHYAVSDIISDYNLPSNWIEDIREKYPNVLKFLSLYGITKISLPE -IDARASVFEVTPRIKPLRYPKRRDLDIRSCYQRGRDVVPLRD - ->YP_009329892.1 RdRp [Hubei diptera virus 18] -MRNVTKAIRELAPAQGYVMNMTPAREDGKARLACELFFGKDKVDKVTNTLHRSRLNYDSLIEDFLEYDRD -HVRRFEDPDTKLIYNTVLQSIIDDLGDQRIKPLTFDQVRNLSDFPGAKSPGLPYKNQGYRSKAEVYDCKE -NLEHLENIWDLIGNGKNVYLPDVCLFARSQIAKYPKEKIRATWGYSFDVYMEEARFFYPIQEFIKSHKHK -LPIAYGLEMAHGGMNSINDMLLRNRGCKYVISDWSKFDKTIPPWLIRDAFHILEKLIDFESLPRPAYQRR -RFKKIVDYFVETPIRTCKGERFLVTGGVPSGSCFTNIIDSIINCIVTRFLVYQTTNQFPIGEIFLGDDGV -FVISGFACLEDIASLAIKYFGMILNVDKSYVTTNPQNVHFLGYFNYSGMPFKNQDFLIASFIFPEHKRTR -LIDACAAALGQMYSGFDPGYARTWLKIIHYLADAENANPFNFHEIVLHLRNNEFRHKYLAQVGLTSDNMT -IPELHSSMILEVLPKSYCAISLPNRTYDYKSLYIRSLSSFP - ->YP_009329875.1 RdRp [Hubei partiti-like virus 11] -MEYLGKAEGFNMDLRPPRMDGITCGYMNDWFGDAYVDVVMQTYHRSQPSLELLKEDFMRYDRKYVAGTVR -EEQSYRMVLQSVRDQFVLGEKLIPLTLGAVFESNSMTTDKSPGLPWIQRGYKTKGDVFACHEARSEIFRT -WDRIGNGRGATLPDTCAFMRVQLAKEDKQKIRAVWGTPTDVIAEEARFFLPYMAKLKLSDAPIAYRAEMA -TGGMSLINDMCQSHPGAKYLMTDLSQFDKSVPPWLIRDAFGIVMENFDFTRVVGSDGKVWDVNPDRTKRR -ISRMIDYFINTPVRLCNGERYRKRGGVPSGSMWTNIVDTIVNAIISRYCIFNTSGRLPLADMYLGDDQFA -VVDGIINLNDIAKLMNESFGMVLHPDKCVYTENASNVQFLGYFNRNGLPWKGNMFLVASMIFPERPVNDN -MTRVSRAIGQMWSTLHGGQAVRWWNIVQAMLRDFGFTSKEVIENIQSRPGSFRYLRMLGIDISKIGIPTR -YGEYILGIDPPWKSLKSYRPVKWDIDDLDRRSQSIDMEEWHNFIQCMDDSDDE - ->APG78253.1 RdRp [Hubei partiti-like virus 20] -MAEISTTINPRYRTIDITSFEYPAVSLDVQFPAVNRGRILPKGKGFSFKLEKPSVSFKTYRAICEHGLKV -EADRVLRTRKRSFLTPDAIWAGVLAFASSTNKRTNFSGYAEALRKLEIELNINEKIKPITFEEATEKLPR -NTSPGLPYIQTHPGLKKGDILQLYHNSLSYYWHRVGSGDKVVPLPDCAAFARSHITASTENKVRPVWAYP -LYAISQEARFASPLIDSIRNQECLEHSAYGMEMLKGGMTWLNNQLHRARKRGATGYLCLDFSAFDASIPA -WLIRDVFSIIKKKFIMTDSDDRIFRVLINYFINTPIRNLDGRRFQKDHGIPSGSMFTNIIGTCINFVMMH -TILDSKFQLMFLNVFGDDSVAAVKGIININDLQDDFLRIFGVKINVKKSYSTSRIENVHYLGYYNFNGDP -IKPTTELLASMLYPQYLKDDWGYTIARALGCALASCGNNPNVFIAARACYIKGARENYENVPHALKLIIE -NGRMKRHLDVMGCGDWVFNESIFFRNENFFPRLDCTKLQKGILH ->APG78282.1 RdRp, partial [Hubei partiti-like virus 15] -MPFRSLTRGGGFSANLQLPVPDPIALQYALKWFGSGPVSKIANKYHRAQVSLDRLKDDIYEFSRSHVSRI -NDPSYDAIYASVLKEFTPSSPIIPWTNGKVFKSDKIPRSSAAGFPYHGKTKGDIVDDPIECNNIRRQWHA -VGAGYNVKFPDTKVYFRAQICDPDTEKIRGTWGYPSAVFFEEARFLYPYLEWLKERTDSFPLAYGLEMAN -GGMKYIHEMANLSPNSYFLMLDWKKFDKTIPPWLIRDAFSIFFHAFDLAHVLDSDGLMWEVDPEKTKNRI -KKLINYFINTPFQLSDGERFMKDGGVPSGSGFTNIIDSIVNALVTRYCIYHTAGSIWISDMYLGDDGVIV -LHGPLSIESMADFALQYFGMIVNTSKSYITNNPINIQFLGFYNMHGVPMRSQDFIIASFIFPERFYGTPD -PSFTAIRALGQLWSTLNPLQAVSWLNILENIITDYNIDFMATLT ->APG78247.1 RdRp, partial [Hubei partiti-like virus 21] -MAAKITVRRNENVQLPAWAPYTIESFTYPERPDIPIDDVTIFDGAILPSSTGFGWKMEPPSVSFKCYRAM -VNFGLSETANHVLRTKKRSYITPNAIWNGVLKFAGKVPRKLRGPEYESALNTVKKELGDEKIIPYTMDFG -FEHLPKNTSPGLPFLTRTPGLKKGEVYQRFRGGFHNHWNRVGLGLPVAPLPDCAAFARSHISSPDVNKVR -PVWAYPMLAVCQESRFAAPLIEQLTSQNIGHHTAYGCEMMKGGMTWLNGQCTLASARQPGCKFLMTDYSG -FDATVPAWLIRDCFALVEEKFDFSKETDGKQIFERDGAAERRKFRRLVSYFINTTVQNCDGKRFQKAHGV -PSGSMFTNIIDTIVNMVISRVLVSVCSGCESIFDLYFGDDGLICIPPYAAVELERYQQAAKELFGMDLNL -KKSYHTTVLSNVHFLGYYNYFGSPFKTNTELIASLLYPQYLRDDWAYCVSRALGCALASAGMSTEVYLAA -QAVFHFAIRRDGKFEEGMDLVLNNPRIRRHMLQMG ->APG78306.1 RdRp [Hubei partiti-like virus 1] -MPNETPVPNIDDTTFFKPLPPGDGFPFNYQPSRIDRSALYAINKIFDTSKVSEVRTQYHRAKINLADLRH -DLLEYQSPKVGRLEDPAYYTIFESVDKDVFGDCKAIPLTHGCVASRMDLPRQKSPGLPLKLQGYKTKGEA -LDDPEILNAIRKQWYAIERREDVTLPDVACYARAQICSRDKNKVRATWGYPLTVYLSEGQFFYPILDALK -SRPQPKIAYGIEIGTGGMQYINEMASHYHNANYLVGDWSKFDKTIPAWLIRDAFKMIARHIDFSQVQSPD -GQLWPVRPSKTKRRWAALIKYFIDTPVQLSSGERFIKHGGVPSGSCFTNLIDGIVNAIVTRLIVYSMTGK -LPLDDVYLGDDIFAITEGPLDLECFAEIAWEKFSMRFNPEKSYQTAKKENIHFLGYFNIHGVPYKPIDTI -IASAIYPERPTETILETAIRLVGQGYSCFEPRDATKMFLAARILLSELDDLTDDMVQEFMHDHQHWFKYL -NTLGINVRTLVVPKVKMHETTWLTLPGAPRRQWKPTHHDLTSLAVLAYHKWTLEEEDYAIYEDPSYA ->APG78265.1 RdRp [Hubei partiti-like virus 18] -MAFKSIDLKLKGCKYRVENPVYADPPVFKSEYDDIPFLNRLPSGKGFSFKMDPPYCDFKVITSMCRTGYE -GVLKKVLLSKRRSYISPDYIFEGLMGYTKDTAPRLDCPVFDQVLREARNQFTTSLTPLSLKEASDGIPQN -TSPGLPYINMYPGMKKGQVLDIAFPDISKYWDDVKDRKPVVALPDCAAFARSHIGDADKNKVRPVWAYPL -SIVCAEARFALPFINALKEQKIGKNTAYGMEMMKGGMEWLDIQVKEAKYIDPGCKFLFTDYTAFDSSVPS -WLIRECFKIVMDCFYKNLGPGDYQVFSKIVNYFINTPIRNSDGRRLRKLHGIPSGSMFTNIIGTMVNFIV -SRYIIKKMCCSDTLFDLYFGDDACIAVRNSTLLNIKDLNAAANYYFGMTINTRKSYWTTSVDNIHFLGYY -NFHGSPFKPTSELVCSLLYPQYWVDDWRYTLARTMGTLMAAGGNNKDIFLICQDLYVSATNRGVNFEDAH -DLITKSARMSRHAYNMGVDPYEMKPLMFLYYEYSMPKSDCTKLRLGIKLV ->APG78256.1 RdRp [Hubei partiti-like virus 17] -MDSRKGHPNNFTYELGIAKTEIVQHGRKHAIRHPEFPGRPEHKSDLDHSLARRTGLELELRSGFGFNMEP -PYPSFKTLRAAYNAGLGEIAEYVIRTKKRSWITNDAIWDGVLKFSYPTVKTHDCTVYRTVLRQLEKEFDI -ATKIPLVDYETSKRRMPQNTSPGLPYIQMKGFKTKGDVLDQDFKDFVEKWERVGDGHSIDIPACAAFARS -HIGNLDTNKVRPVWAVPVEVILQEGIFAYPIIDELTSQRIGKHTAYGMEMMKGGMEWLNGQVLNYRTKHP -GAQFLLTDYSAFDSSVPAWLIRDCFRILEKKIDFSKMQTPDGVKACNPIREKRKFWKIVSYFINTVITNP -DGRTYKKDHGVPSGSMFTNIIDTMVNFIVTRVSVRYKCGIYPTFDVYFGDDSIVGFPSNILINLDDIADF -AKRIFGMSINPTKSYYTDNHKNIHFLGYYNQNGTPKKTDVDLFASMLYPQYNKDEWSYSLSRALGCLLAS -AGANPNIFCICRTLFHMALNSKPGEAEVDAAITMIQTNPRMRRHLDTMGCGEIPLSRDFFTDYKMSVPAS -NCVKIMKAINLV ->APG78162.1 RdRp [Hubei partiti-like virus 3] -MKTKVTDDLQDRSFFEPTFPGEGFAFNYLPSRIDRNALYALNKVFDPQLVAEVRTKYHRAKLTIGDLRSD -LMGYSSFKGSRKHTTLYYSVMESVERDLFPEQDMKIIPWTHGSVACHPELPRQKSPGIPLKSQGYANKGE -ALDDPEVLRGIRKQWYSIEAGREVVLPDVACYARAQICTREKNKVRATWGYPLTVFLTEAQYFYPLIQYI -KASKSRLMAYGLEMGNGGMQYLDTMVKSHKGGNIIIGDWSRFDKTVPAWLIRDAFRMLSRHIDWTQVETV -KSGSKWPVKEQASKARWKKIVSYFIDTPIQLSNGERWIKRGGVPSGSCFTNLIDTIVNAIVMRYLVAEQT -GELPLDDVYLGDDSVCLTTKPINLEVLSEQAKEQFYMVFNPDKSYQTSNPDNVHFLGYYNSRGDPRKPVD -TIIASSIYPERPTRDKFETLTRLVGQAYSCFRPTDAMKFFKAARIMMEECVELEDSEVETYIRTHPHQFK -YLSTLGIKVIKGITFPQFKDWEIVNCTVPRSPRKKWEFRKHDLDELRNYEYKWN ->APG78307.1 RdRp, partial [Hubei partiti-like virus 8] -MLELQPLEGFPQDFRNPGIDRIALAYAEHWFGKHQVDAIRAKLHRSQITYQRLEEDLMGFNREFRHATVR -SDPVYQYALQTLRDDYLPRERLIAGTLGYAEKHPELPKDRSPGLPWKLKGYKSKRDCLEDPQARRIWHTK -WDRIGRGKQETLPDAALFLRAQIADLETDKIRSVWGYPIDVIIEEGRWFYPFIKWVRDGDNQIPIAYRAE -MARGGMSYVNDMLHSFPDSSYIVGDWSKFDKSIPPWLIRDCFQIVADSFDHSKVIDSEGLVWDVDPKRSL -RRFKRITRYFINTPVRTCSGRRFMKLGGIPSGSMWTNLIDSMVNTLVWRYLTYHTLGSFPQGELYLGDDS -VVVASGPVNLNDIAKLAFEAFGMVLNVKKSYVTTNPMNVHFLGYYNHDGRPYKAQDFLIASFIYPERNVD -DNLVRVSRAIGQMWSTLNGSAAVTWYKLASHMMSDFGYSCDEVTKHIKSKPGFFKYLRILGIDLSQV ->APG78257.1 RdRp [Hubei partiti-like virus 12] -MNIKPLPKGVGFSANFTRAPVDKTALASFVKVKGKDAALKILKTWHRPKPSLELVRESLLDFGNSTRPRI -NHGEYFAILKQTLSEFAPSKKIIPLTLGAAYLHPDFPRRKSPGLPWIQSVGQRGRDFLDKQSVWTDPSAQ -QKIRWTWDMIGHGRNITLPDCAAYNRVIASKQEKTKIRPVWGYPVDVILEEARFFYPLLEYIKCTTKKDH -SYGLGLENACGGQSYLMDMFMKTHHDYTTAFVGDWSRFDSSIPAWLIRDVFNYMSDWFDFGHVLDSEGKI -WPVNPDQSINRYNKLVQYFINTPIRLPNGERFRKNHGIPSGSMFTNIMDTFINGIVTRYLTYHCTGRLPY -GDVYYGDDSVIIVQKPFNVENFSKLAFDTFGMTVNVDKSYVTDEITNLHWLGFYCNYGTPIRNNEFLYAS -YIFPEHRVNNTLETATRCLGQLYSTLDPVQAVVWYKMLLEVIEYGKLQMDELVSYIRSDYRKSFKYLENL -GWKPDDITVPTLSVGLFEHIPSVTPLPCKRKFTKRIWDLQHILDRFPPEEDLPSEEQLEAPD ->AOR51389.1 RdRp, partial [Partitivirus-like 2] -KMSSADSNPIKSLPSAEGYPLDLRPPRWDSIAVKYSNLIFGSRVVSGVLKKYHRSRVSMDALQDDVFEYN -CKLPSRPNDDPIYIAALQSVRREFVPQIPIIPLTIGAASKVSTVPKDKSPGLPWKLMGFKTKEDVFADDD -AMRQIRKDWILIGRGYHVRLPDCLVYARAQICDPSTNKVRATWGYPTGVFIEEARFVYPYLDFLKNRRDD -YPLAYGVEIGKGGMGYVDEMFHRAGSGVKAVMMDWRRFDKQIPAWLVRDAFALLKECFRTDVVVDVEGKV -WPVNSHITNARWSKMVQYFINTPFRMPDGSRYMKSHGVPSGSCFTNIIDSIINAVVTRYCVYQTTGSFPE -YDLYMGDDSVFVTRGVVNLDDIASVAEETFGFTLNVKKSYVTSERTNVQFLGYYNDSGYPIRDQDFLIAS -FCLPERVQEPDPVFTATRAVGQMWSTLNGIAAQKWLLIIQGIENEYALSPQWFVDHMAECPNSLKFLRLH -GLDANSFPQPGNFDVVDAPMRPPFRPFRRNPVRRVTDVKDLYYQYLDDPIRVDDWIGLERDDIPPDIEDD -VLC ->APG78308.1 RdRp [Hubei partiti-like virus 9] -MIELKPLEGFPQDLRNPGLDDVAYDYAAHWFGYGTVDGIRLKLHRSHITFEKLEEDLMGFNRQFRNNDVR -ADPVYQYALQTLRDDYLPAEKLIAGTLGFAEKHPELPKDRSPGLPWKLKGYKTKRDCLNDPEARRIWHTK -WDRIGRGKDETLPDAALFLRAQIADVGTDKIRSVWGYPIDVIVEEGRWFYPFIKWVRDGENTIPIAYRAE -MANGGMAYLNDMLNCFHDSSYIVGDWSKFDKTIPPWLIRDCFQIVMDAFDHSKVVDSEGLVWNVNPARSI -RRFKRIVRYFINTPVRMCTGRRFMKLGGVPSGSMWTNLIDSMVNTLVWRYLTYHTLGQFPQAELYLGDDS -VVVGPGPVNLDDIAALAFQAFGMVLNVRKSYVTTNPKNVHFLGYYNHDGRPYKAQDFLIASFIYPERNVD -DNIVRVSRAVGQMWSTLNGGAAVSWHNLVSHMMTDFGYSADEINQHIRSKPGFFKYLRILGIDLSQVTLP -ERSYCWQPFIERVEAFPVPLKPYRRRKRWKQFQNPGSSDVFWKSCVQTIMFKTVF ->APG78276.1 RdRp [Hubei partiti-like virus 6] -MHKRSTFSSMLESLPPGESFPLNYSRANIDRVALHALNQVFPPQSVAAARTKYHRARLNFDDLKENLMKF -SSPKPPRNVEPSYLAAVNSVRRDLGLYNHKIIPLTTGAVAKHPDLPATKSPGLPWKLRGYKTKGEAVADP -AVLHEIRKTWYDIESNKNVELPDVACFARAQICPREKNKVRATWGYSLTVYMAEAAYFYPILDILKTHPE -PIIAYGLEMANGGMSFIHSAAQRHAGRPYLMGDWSGFDSTVPAWLIRDAFKLIEEAIDWDHVMDSEGKIW -PVRAYRSKRRWRKLISYFIDTPIRLSNGERFIKHSGVPSGACFTNIIDSIVNAIVMRYLTYELTGELPIF -DVYLGDDSVLILNKILDLGVLSDLAAKTFGMDFNRNKSSISYQSEHIYFLGYFNHQGQPRKPLDTIVAST -VYPEHTVRDKIETISRLVGQGYSCFEPTDALAFFKAAHIVATEEDIERSDVETFIHNHPHRFKYLQTIGV -DPKGVSFPLLDGDGVLLITQPSNPKRVYQQRSYDVDALYEEALVSLSHLFNLEFEDDTQNSLLDEEYDPG ->YP_009182157.1 66 kDa protein [Penicillium aurantiogriseum partiti-like virus] -MSRLRFLRKVSRFPARNETHFDPYVHAALNAVSGPNVKEYVSTIQSEWHRPSGDNAILEDNLMDYGDILP -RRSFDGAYLAILKKTLDELKPAEPIIPLTLGAAEKHPRMPSSTSPGFPWTTKGYRTKRDVFEDKSATGMI -HRAWDSIGRGIAWQLPDCLGFHRTVASVKEKSKIRPVWGFPTDVIVEEARYFFPLWEELKLINNERDTFY -GTGMETMLSGHQHLARNFDTPSVKYVLNSDLSRFDAHVPSWVIRDVFSHISSWFDFSRVRDSEGKIWNCN -TQQTCRRWKAMVSYFINTKVRMPSGLRFQKSQGVPSGSMFTNLIDTCVNAVQFRTALYHAYGELPIKDYY -YGDDSCIFLREIPDLEAIAKVLLRQFGAELNVDKTILSDNPDNIHWLGYYYRSTGPRRSLDFIIASSLYP -DREIESPLDSAARLLGQLYSCMDPKVAVTFYDCITWLQCTFNITTSDLNSYVASLPSKAMKYLNTLGLET -SEISLPRCAADPFGGRYIPDLLPHPCARNFFRFRDRHLPRFAFCAEAYQNRSLRQRIFKDLDKYSSTFNQ -HFDYDLDAQYFTD ->APG78278.1 RdRp [Hubei partiti-like virus 14] -MEELPPAEGYALDARPPRWDYEAVKACNRHFGKTVVRQVLEKYRRAHVTLEALIEDVMEYNITPVPRLRD -DPVYIACYESVRREFVSQVPIIPTTLGAVGTSSQTPKDKAPGLPWKNQGYKTKQDVLDDPLAMQSIRKDW -ILIGKGYRVTLPDCLVYARAQICAKDKNKVRATWGYPTGVFCEEARFVYPYLDFLKKRRDDYPLAYGVEI -GKGGMGYIDDMFTRSGLKSRAVMMDWSKFDKQVPPWLIRDAFSIMYESFDMSHVVDSEGKVWPVNPDISK -ARWKKMVDYFINTPCRLPNGNRMRKHAGVPSGSCFTNIIDSIINALVTRYCMYHTTGSLPEYDIYMGDDS -VVITRGIVNICDIADVAKKAFGFELNTNKSYVTSDRSSIKFLGYYNNFGYPIREQDFLLASFMLPEHVNE -YDPLLTTARAVGQMWSTFNATAAIRWYELVDDLERQFGLEDDWFTKYMEEHPNRLKFLRLHGLEPTQFPR -PRRFTVFDAPMAPPPQPSKRRPVRRRTDVEALYHSFLDDPPTELGTVVVEDTPPDCIADDITA ->APG78183.1 RdRp [Beihai partiti-like virus 1] -MPLKLLKSCKGFALDLRPPRPDPVAIKVASEVFGASEVDRVRSKFHRSFVTSERLMEDLFDYDRVYANAD -VRDEPIYQLALQSVREQYTPQERLVPLTLGAVEQHPDLTRDSAPGLPYSQEGYRTKADVLNAGISGRWHK -LWDVVGKGKPAQIPDSQHFFRAQTVDDPDVHKIRTTWGYPFDVLIEESRFFLPYIKWLKTTDQVPIGYQV -EIATGGMHYIDDMLKSHPRATFGMLDWRKFDKTIPAWLIRDAFQIMYDVYEMGKVQCSEGKLWPVNSQHS -QRRFRKIVNYFINTTIQTHIGLRFRKTGGVPSGSMFTNIIDSIVNAIVMRYLIYSYAGEFPLADIYMGDD -SLLVLKDPINLDFLGELAEKKFSMILNTKKSYLTSNPNNVHFLGYYNCDGRPGRNTDFLISSFCDPERTV -RDPHITLVRALGEMFATCDHFKAVDWYEILIRLLMQTGITAYEFNSIVSHKKRILKHLKTYGWDLSKISV -PHPHEIYCAVWPVMVPMAPRRPYIIKTWNYPALMRANKEYWTTRTSIL ->AOR51388.1 RdRp, partial [Partitivirus-like 1] -MHRSTISYEAMLQDLFAYDREPTVCNRDDVYFAVLNSIRQDLESFPTIVPLTMGAVPQRPDFPGQKSPGL -PYKLQGFRTKQEVIDAGLLHEINRDWQSIGFKKRRVDLPDVCLFARAQIARRGREKIRATWGYPLSVYLE -EGRFFYPIHDAIKARHHNFPIAYGYEMANGGMEVINELLGRNPDSKYLCVDWKQFDKTVPPWVIRDAFSL -LAEKIDWGHVRDVEGKIWPVNPVPSKRRWKCMVDYFINTPIRTCKGERFLVSQGVPSGSCFTNLIDSLIN -ALYVRYTIYQTTSSLPVDEMYLGDDGVVVVNGIINLENMAEVALEKFGAILNIEKSYITTRKDNVHFLGY -FNKAGFPFKNQDFLIASFIQPEHTRKDALDACAAGLGQLWSGFDAVYARVWHRVILDIAAMDGIAKDEVV -FHLRATAHRHKYLMHIGLDPRTLSLPVPNENGLILDVQLK ->APG78227.1 RdRp [Hubei partiti-like virus 10] -MIRLPKLEGFPQDLRNPGTDEIALSYANNWFGCKRVSRVLDNIHRSQISLEALRNDLLEYDIAYAHPIRD -DDVYQLALNSVRRQFVPEEKLIPLTLGGAEAHPDIPKDRSPGLPWKLKGYKTKGDCIADPIARKTWHTKW -DNIGRGRKETLPDAALFLRAQMAKIGENKIRAVWGFPIDVIIEEARMFYPYIEWIKRTANLPVAYQVEMA -TGGMAYVNQMIDSFPMGNYVVQDWRKFDKRVPPWLIRDVFSIILDSFDLGHVLDQEGKIWPVRPERTSKR -FSKVVSYFINTPVRLSSGERFRKNGGVPSGSMWTNIIDTLVNLVITRYVYFQCTGAFPDAELYLGDDSFV -ISNGVVNLDDMAALAEKAFGMIINTSKSYVTRTPHNVHFLGYYNRRGMPFKAQDFLIASFILPERNVDDN -MMRVTRAVGQMWSTLDGAQAATWHDLVSDMMNDFGYSSEDVLSHIRSKPGFYKYLRILGINIREITIPEM -SQCGKHGRFLWQIEAPTTCKRRFQRVEWDYSSLLEPT ->APG78230.1 RdRp [Hubei partiti-like virus 7] -MNENSVKLSASGRFKGGLNVRCLGRSSGFSVDLRPARVDTMAHEITKNVFGPVVDELVEAYHRSPMSMEE -LMQDLVGYERAHVPRLKDPVYHMVLETVRQDFAGLKVVPYTFEKVVELPDFPRQKSAGLPYIQQGIRKKI -DAINNGALADIKKLWIGAGQGKCVHFPDACLFARSQIAKKPKTKIRATWGFPLSVYMEEARFFYPLQEKL -VARAHKFPIAYGYEMATGGMYAIHEMLTRRENAVYSMTDWRQFDKTIPPWLIRDAFRILEELIDFEQVVG -LDGVPIKVRAADQRARWQAMVKYFINTPIRNNRGLRFRVDGGVPSGSCWTNILDSIINALVTRYCVYHNT -GALPEEEMYLGDDGILVTKGLDLVAIASIALQCFGLELNTEKSYSTQLTENVHFLGYYDYGGVPWKPQDM -LIAQFVMPERKRKDYLETATAALGQMLAGFDGHYASKWYEVITAIAERETRAPFTLDDIVEKVRVEKSRF -KFLRILGIDSPRISLPTPHGGFVVGVEPPYPSRMIDTRCYDLTEIKAKIS ->APG78275.1 RdRp [Hubei partiti-like virus 13] -MEYDIRPKERVKDDPIYIAAFQSVRREFVPQTPIIPLTIGAAATSSTVPKDKAPGLPWRNEGFKTKKEVF -ESEDAMKRIRRDWILIGKGYRVVLPDCLVYARAQICSKDTNKVRATWGYPTGVFIEEARYVYPYLDFLKN -RRDDYPLAYGIEMGNGGMGYIDDMFTRCGNGARAVMMDWSKFDKMVPAWLIRDAFLILKECFNMSYVRDS -EGKIWPVNPEISAKRWAKCVSYFINTPFRLPDGSRFKKRSGVPSGSGFTNIIDSIINAIVTRYCMYNTTG -ELPRYDMFMGDDSVVMTTRKVNLEAIAKLALRKFGFILNINKSFVTTNRCNIQFLGYFNNFGYPIRDQDF -LIASFMLPEHVNEPDPLFTAVRAVGQMWSTFNGAAAVRWYEIVHDLEEKYCFDPNWFTNYMTEYPNRLKF -LRLHGLEASKFPNPTRFNALNAPMMPPPQPARRRPTRRVTQVEDLYYQFLDDPPYDPDEIEIDEPPPLDV -DPPDDLLC ->APG78301.1 RdRp [Hubei partiti-like virus 16] -MPFKELSLGGGFSANYQLPTPDPIALKYLTKWFGSGNVGLITKKYHRAKVNLERLSDDILEYDRTYTSRI -KDPSYDAIYMSVLKDFTPDEPIIPLTNGAIYTDSRTPRSSAAGFPYSGMTKGEIFDNPEITKSITSDWQA -VGSGYPIKFPDTKVFFRAQICDPEKHKIRGTWGYPVSMFCEEARFVYPYLDWLKTRTDEYPLAYGLEMAN -GGMEYINRMSNLVPNSTYIMLDWSKFDKTVPAWLIRDAFSIIIHGFDLSHVKDSEGIIWEVDPSKTKRRI -QKFIKYFINTPFQLSDGRRYMKDGGIPSGSAWTNLIDTVVNCLVTRYCFYHTFGGYWISDLFLGDDGFIV -AYGPINLDKMAQFARTCFGMELNLDKSYQTSNPINIKFLGFNNFQGKPGRSQDFLIASLLFPERFYGDPD -PGFTCVRALGQLWSTLNPAQAMPWYRIVLDIQQDYNIDVLNTLQELSKRKMFKFLLTLGFTLDELTLPEV -VNGVIPAVMPKSGCARAPKVYHGDLSSLYNQLQDIDIWYPM ->APG78252.1 RdRp [Hubei partiti-like virus 5] -MNMQRRSHFESLPAREGFPFNYERAQPDRNALFALNEVFGRAPVAAVRTKYHRARLTFSDLLQDLMEYDV -DRPPRQHQPSYFAALESVRRDIGIPARSIVPLTTGAVAKHPSVPLSKSPGLPYKQRGYATKGEALADPAT -LRHIRQLWYDIEANKPVELPDVACYARAQICPREKNKVRATWGYPLDVYIAEAQWFYPYLDVLKNQENPI -IAYGVEIATGGMSFINRMATAYPGHPYLLGDWSKFDKTIPPWLIRDAFRIVEETIDFSQVEDVEGKRWNV -RDFRSKRRWRRMVNYFINTPVRMSDGRRFLKKGGVPSGSCWTNVIDSIVNAIVMRYLVYEATGSFPCADC -YLGDDSVIVLPGLVNITDLANMAKQEFGMLLNPEKTSQTRDERGIHFLGYYNLSGHPTKALDTIIASTVY -PERTVLSKVDTIARLVGQAYSCFDTESATGFLRCAHILLKEENLSPEAIEDYIHANPSRFKYLQTIGIAP -QSITIPVIDDLHPTWRTLPSAPRRMWRPRYYDPGALYNAGVFWYGAFDTCQVSEEDFDSLSLDSGVVV ->APG78224.1 RdRp [Hubei partiti-like virus 4] -METLPPGESFLFNYQPSRIDRNALYAMNQVFSKDKVSEVRTKYHRAKLNLEDLKSDLMEYAVPKAHRTHT -NAYYAAVQSVIEDLEPSERLIPLTHGAVTKHENFPSTKSAGLPYKQMGIPTKGDAISNPDTLLEIQKEWY -AIEAGHDVELPDAAVFARAQICSRDTNKIRATWGYPLSVYLTEGQYFYPILEHLKNLPNPIIAYGVEIGN -GGMEFINSMTEVKGSYLIGDWKRFDKTIPAWLIRDAFKVLETWIDFTKVRDSEGNIWPVREYRSRRRWRK -LVDYFIMTPCRLSNGERFRKIGGVPSGSCFTNVIDSIINAIVMRYLVYQLTGDLPLADIYLGDDSCLFLA -QPINMDEFAELAKEQFSMIFNVDKSYITTNPANIHFLGYLNFQGYPAKPADTIVASTIYPERPVRNKLET -ISRLIGQAYSCFDPHYASCFFKAANILIDEEAFELSFVESIIHDHPQWFKYLQTLGIDPRTIRIVRPKHD -DLVLITSPGPCRRKWKFRMRDPHALFLQFQDREWDEEDDAPDSNESGYVSQ ->APG78217.1 RdRp [Hubei partiti-like virus 22] -MRTMNNMNSRSGSRDSREPIVRTYDRDHPFHFEWREPPSVKPEDLKVGPSFHQMEKRSPFSACYLPPRVS -VNACYIFDRSNMRQERIELLQKYHRSWITKDGLRAATLGYSRVQYAQKFDDEILRESILSVRDEFRDKLL -KPLKSWTVSKVVEKGSLPQNTSPGLPYMQQFYKTKRDAWNDHQDTILQSHSAVRKGHRVTFPDCAAMSRS -VVSTKDKNKVRLVWAYPLDMILLESKYVQPLLEAMIDQKIGTSVAYGAETQRGGMKWLNKQLTECPNMSY -VCLDFSNFDQTIPPWLIRTAFDILEECFDIHTVEGPDGERKTDATMVSREWRAIRDYFINTPLRMEDGTR -FLKKGGVPSGSCFTNLIDSIVNLIVVRYCLKATTTYYPSFLCVLGDDSVSAVLGKVNIDNIAKCAKEKFG -MVVNVTKSYWTTNPQNVQFLGYYNYYGYPSRDEEPMLAGLLLPDSSVDESLGLTAARFLGITQAMCGSSA -KVDYLCKRLFVELQVKGVGVTEDAKKLYHIRKMHGWLPAAGEDPEPLPDPNSLLLTSLPKDTCQKWVMNI -DIKR ->APG78350.1 RdRp [Wenling partiti-like virus 1] -MEPLISLDLNLNHPVNFHTRNASRNKPFEVLPPGPAFPMNYSTARRDNNALYATNKVFGKEVIAAVRSKY -HRSPLSLDALKEGLMKYEVPRVGRSHTNAYYMVLESVRRDLGLHDADLKPLTHGAVIQSPEVPNQKSPGL -PYKLEGFKSKSDALADSRVCSEISQQWYDIEANKDTICPDAMCFARAQISTRDKTKIRPTWGYPLMMYIQ -EAAYVYPILRFLNKKPSRLLAYGLEMNRGGMAYLNSIKDTHPQSTTFMGDWSSFDTTVPAWIIRDAFRLL -SEAFDLSHVESSDGVVWPVREQRSKRRWNRIIAYFIDTPIRLSTGERFIKHGGIPSGSCFTNIIDTIVNA -IMVRYLIYNITGELPIEDVYMGDDSIAFVRHPFSLDTFASLAREQFGMNLNISKSLLSNRSDSIQFLGYY -NNSGTPTKVLDTILASTVYPERTVIDPVETVARMIGQVYTCFDPQDAKSFLRCADMLREERQLSTDDIEK -IIHDNPLRFKFLMTIGVDPTTIAYPKHSIDVPFLGTQVQTQHRKYTPRTTYDLEHLFFYGVRRFGDTSA ->APG78260.1 RdRp [Hubei partiti-like virus 19] -MALKSLEIRFTTNVDRPSIGVFNPIYCGRPQFESRHEMSDLRMKELPRAKGFSFNMEPPYVNFKTLRSML -NGGCGEMATRVVATKSRSWITPDFVYDNLLNYCYPTKGRFSCPVYDEVLQELEHQFVPSERVKPLPFREA -ARTIPQSTSPGLPYIIQNPGSKKGDILDSQMERIEKFWVDVGEGRKSFLPDCAAFARSHIGDAGANKVRP -VWAYPLDVVAAEAMFVQPILDQLKDQNIGRNTAYGMEMMKGGMEWLHHQSLHAKYQDPGAKFLMMDFSSF -DSSIPAWLIRDCFKVLFKAFDLSVNGKYYYHVYKRLVSYFINTPVRNSDGRRLLTDHGVPSGSMFTNIIG -TMVNFVITRYAVKRMMNCDPLFDIYFGDDSFVCLRSECLVDLGALKEFMYDAFGVTLSDKKTFWTNRVEN -IHFLGYYNNSGTPYKSSEELFASMLFPQYNKDDWSYTMARAMGCLMASAGNNFDVYMAVLSVYNRAQHHE -GAIEAALDLIRNNARMRRHMLNMGLDPGEISYRYLADYRLVVPRSDCSKILNNIVLC ->APG78155.1 RdRp [Hubei partiti-like virus 2] -MNVDTKFFKPLTPGEGFAFNYTPSRLDRNALFALNRTFDPDKISKVRTKYHRAHLNLDDLRSDLMEYSRP -KVSRQVDDAYAAVFESVDQDVFGKTMIKPLTHGAVASHPGLPKQKSPGLPLKTQGYRTKGEALADPKVIT -AIRKQWYAIERQEDVELPDVACYARAQICSRDKNKVRATWGYPLTMYLTEGQYFYPLLDVIKEKAQPTIA -YGIEIGNGGMQYIQEMLRYHGKKNYVIGDWSKFDKNVPAWLIRDAFKMMARHIQWDVVVDVEGKEWPVNP -AKSKRRWERMVNYFINTPIQLSSGERFMKYGGVPSGSCFTNVVDGIVNALATRYLVYHMTGSLPLDDLYL -GDDSIVITDKPLNMDVFSEKADEWFSLIYNVDKSYQTANPQNVHFLGYYNMTGVPFKPVDTTIASSVYPE -RMPRNKFETAVRLVGQAYSCFEPTDAKNFFRAAKILVNEMEGANLDMIKEFTADHPEFFKYLQTIGVSTK -EGLSVPDCSSWDLVLLTLPHAPKKKWRPRHYTIQDMLQLAQEAIDSESH diff --git a/seq/clusters_seq/cluster_1250 b/seq/clusters_seq/cluster_1250 deleted file mode 100644 index 25e2fc5..0000000 --- a/seq/clusters_seq/cluster_1250 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009508531.1 U1 protein [Beatrice Hill virus] -MEQSWMLTCKVELRSSVMFELDYDNTVLNLLQSLPTNLNNPAKFIINSLTIPLAVQDAFRTMDFWKDPTG -AKGEAHLVIKVILDGLNNPESDWADSITCHWLDQRSGFYVMVDVTFVGHKLSGDIMCTVDETICECIEKF -ANIIPAKYNYFHHTKYHFYIQKYKVNISIG - ->YP_009362247.1 hypothetical protein [Sweetwater Branch virus] -MDQTWMVTVTVEVRSSCLFSLKLDDTILNLIQCLPTNVVNPSKFIINSLIIPLAMRDCFNKMNCWQDPTG -SKGSHTAVIKVRLDGLNNSEHDWAESLTCHWLDKSTGFYVMADVTFVGHKLECEVDCSMTETDCDMISKF -ASFIPINYNKFHHSKYTLIIEKYRVNIKAP - ->YP_007641371.1 hypothetical protein [Tibrogargan virus] -MEQTWMITCKVEFRSSVMFELDYEDTVLNLLQALPTNQTNPAKFIINSLTIPLAVQNAFQNKDFWRDPTG -SKGEFHLVIKVILDGLENNENDWADSITCHWLDQRSGFYVMVDSTFVGHKLSGEIMCTVDEKICECIEKF -ANIIPNKYNYYHHTNYRFHIQRYRVNISIG - diff --git a/seq/clusters_seq/cluster_1251 b/seq/clusters_seq/cluster_1251 deleted file mode 100644 index 826eeaf..0000000 --- a/seq/clusters_seq/cluster_1251 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009508524.1 phosphoprotein [Kanyawara virus] -MEFNRSKIHSAASRLSWSAVNTNLGGLEEDAEEIILENSSSVKEADQSAYSSWANNPVIETLDEIFSEDS -DTDTEKQSSSQNSKSTEDIVCKESNSTEDVIESLKKEDERQRAGKKDKRSITLIIPNISRIHNQRMLDDV -IKEITNNLVEQLGFQTYPDLMEINDRSLKLYVSADNDVATSASKVSLDSKPTISTLPQNSPESLIDRFRT -GITFQKRRGGDLKITLSSGRITELMILDCYSAAANEDDAIRTILKKANLYTTLTLLTNYK - ->YP_009362240.1 phosphoprotein [Mount Elgon bat virus] -MENSRERILKAIRRVPWSNINQNLENLDDDAEEKVMNQVDMEKSISNSDSSDWEKNPVLEISDDDFSEDS -NDDEKSGNEDPVVLPSIESKPSEEIEEQAKFLEPIEQTIRDLSREESRKKILRSKLTKIEVYRPHLDDIR -SQENLDSICKQLGYQLLINLGYEVRPDLIDVRGNGLLFYVEKNTKYDPPSIPELTKINQGISVEASSEDL -SLFDEVLKTLKAGIKLERKRGGNITVDLTTADFNECLIKEVCSVSVDVDDALRKLFKRTKIYTSLTLMTK -YK - ->YP_009305099.1 phosphoprotein [Wuhan Louse Fly Virus 5] -MDVNRAKLHETIKKIPWTNVNQNLIGLDEDAEEEIMRGKNLECKLSSSDSSDWECNPVLEISDDDQSEES -DENENSGNEDPKLINESKLDGGNRSESIEELEEQAGFLEPIEKTILEMSKEENRRRVARSRQKNVIIPRI -HIGEIKYQGELDNLIKDVAQKVLCQLGMDVKFDLSEVDGESILVYYGDKHQNKAPPLSPQLNKINMGRSH -EKLTIDDNLEQEVLRSLTEGICYKRKRGGMVKIDLTTNGITEDLVKQCCSISEEKEECLRMIYKRANIYT -MLTLITDYK - diff --git a/seq/clusters_seq/cluster_1252 b/seq/clusters_seq/cluster_1252 deleted file mode 100644 index eba1d08..0000000 --- a/seq/clusters_seq/cluster_1252 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_006522428.1 hypothetical 6-kDa protein [Cucurbit chlorotic yellows virus] -MGLKIVLYYFNCGFSIYYCSDNRLFEGDFETIYTEDFKDLLEVVTNYPFVKLQW - ->YP_003002359.1 unnamed protein product [Lettuce chlorosis virus] -MGLKIVLYYFNCGFSLYYCSDNRLFEGQVDTIYTEDIQELIEIINNFPFVKTQW - ->YP_001816776.1 p6.3 [Bean yellow disorder virus] -MGLKIVIYFHNCGLIIYYCADNRDFLGDFEVVRTEDFSELSDIITNFPFVKTSW - diff --git a/seq/clusters_seq/cluster_1253 b/seq/clusters_seq/cluster_1253 deleted file mode 100644 index 1902042..0000000 --- a/seq/clusters_seq/cluster_1253 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009182175.1 capsid protein [Red clover powdery mildew-associated totivirus 2] -MDSMVFDTCVDNVTSLLYNLLRMYYILENKNLSVKKTDSYYDDGHLSVDMGDVFGVGERSKRITDVRFNP -CRAHRYDAETYFLNFSGEPVLHMANANALSSGILATALGEWSSETPYAISHPSPALAQNIIINTRGGVSQ -DEIESFNQITTNDVLKVIKDIVESNRYHAQFELAYLMLAQLLFTLKPRSAEALIWMHGTPLMQLPKFMTF -RGLVNETVSLAPYVPFPERLDTYGTWLANKEAIYYHSLLINEVVMTYAYEAITTNDITRLLLRQIGGIFS -AEPGLMADMSCFAQVLQKEVLLPFETNGGLDRFTWINCLDGEIGMQVKVNDPNAQHHYRLDTLELDDGVI -RHTLRLDSFVPYVYPVLLYGYAPSTYYQNDCVREVEMKIDSKKERMITESVDDFSKVMNILRMAGYDATG -GSCYEGDKVKNWADNSSGHFLYTRSAEAPDDDIFYVDLGLEERSKSWVDEPRWSEKACLRSEVKITAFTM -FKDGVMYKNITGVLKKYSFKQPSLEITSKHTREVKVTLRPRPHIKAGFRMARIDDRTILHPVTNTLFGDL -AQSDEQLDWYDQDQEDGTGA - ->NP_042580.1 capsid [Saccharomyces cerevisiae virus L-BC (La)] -MSSLLNSLLPEYFKPKTNLNINSSRVQYGFNARIDMQYEDDSGTRKGSRPNAFMSNTVAFIGNYEGIIVD -DIPILDGLRADIFDTHGDLDMGLVEDALSKSTMIRRNVPTYTAYASELLYKRNLTSLFYNMLRLYYIKKW -GSIKYEKDAIFYDNGHACLLNRQLFPKSRDASLESSLSLPEAEIAMLDPGLEFPEEDVPAILWHGRVSSR -ATCILGQACSEFAPLAPFSIAHYSPQLTRKLFVNAPAGIEPSSGRYTHEDVKDAITILVSANQAYTDFEA -AYLMLAQTLVSPVPRTAEASAWFINAGMVNMPTLSCANGYYPALTNVNPYHRLDTWKDTLNHWVAYPDML -FYHSVAMIESCYVELGNVARVSDSDAINKYTFTELSVQGRPVMNRGIIVDLTLVAMRTGREISLPYPVSC -GLTRTDALLQGTEIHVPVVVKDIDMPQYYNAIDKDVIEGQETVIKVKQLPPAMYPIYTYGINTTEFYSDH -FEDQVQVEMAPIDNGKAVFNDARKFSKFMSIMRMMGNDVTATDLVTGRKVSNWADNSSGRFLYTDVKYEG -QTAFLVDMDTVKARDHCWVSIVDPNGTMNLSYKMTNFRAAMFSRNKPLYMTGGSVRTIATGNYRDAAERL -RAMDETLRLKPFKITEKLDFRVAAYAIPSLSGSNMPSLHHQEQLQISEVDAEPINPIGEDELPPDIE - ->sp|P23172.2|RDRP_SCVLB RecName: Full=Probable RNA-directed RNA polymerase; AltName: Full=Gag-Pol protein -MSSLLNSLLPEYFKPKTNLNINSSRVQYGFNARIDMQYEDDSGTRKGSRPNAFMSNTVAFIGNYEGIIVD -DIPILDGLRADIFDTHGDLDMGLVEDALSKSTMIRRNVPTYTAYASELLYKRNLTSLFYNMLRLYYIKKW -GSIKYEKDAIFYDNGHACLLNRQLFPKSRDASLESSLSLPEAEIAMLDPGLEFPEEDVPAILWHGRVSSR -ATCILGQACSEFAPLAPFSIAHYSPQLTRKLFVNAPAGIEPSSGRYTHEDVKDAITILVSANQAYTDFEA -AYLMLAQTLVSPVPRTAEASAWFINAGMVNMPTLSCANGYYPALTNVNPYHRLDTWKDTLNHWVAYPDML -FYHSVAMIESCYVELGNVARVSDSDAINKYTFTELSVQGRPVMNRGIIVDLTLVAMRTGREISLPYPVSC -GLTRTDALLQGTEIHVPVVVKDIDMPQYYNAIDKDVIEGQETVIKVKQLPPAMYPIYTYGINTTEFYSDH -FEDQVQVEMAPIDNGKAVFNDARKFSKFMSIMRMMGNDVTATDLVTGRKVSNWADNSSGRFLYTDVKYEG -QTAFLVDMDTVKARDHCWVSIVDPNGTMNLSYKMTNFRAAMFSRNKPLYMTGGSVRTIATGNYRDAAERL -RAMDETLRLKPFKITEKLDFSCSSLRDTKFVGQQYAILTPSGTTTDIRSGRGTNQSYRRGRTSTGYRIGV -EDDEDLDIGTVKYIVPLYLNGDNVAQNCLEATHVLIKACSIANRIVDDGEGHCFTQQGLAQQWIFHRGEM -IFVKAVRIGQLNAYYVDYKNVTNYSLKTAAQVGATISNNLRHGFVDNQQDAYTRLVANYSDTRKWIRDNF -TYNYNMEKEKYRITQYHHTHVRLKDLFPSRKIVKLEGYEALLAMMLDRFNNIESTHVTFFTYLRALPDRE -KEVFISLVLNYNGLGREWLKSEGVRAKQAQGTVKYDMSKLFELNVLENGVDEEVDWEKEKRNRSDIKTVN -ISYAKVLEHCRELFIMARAEGKRPMRMKWQEYWRQRAVIMPGGSVHSQHPVEQDVIRVLPREIRSKKGVA -SVMPYKEQKYFTSRRPEIHAYTSTKYEWGKVRALYGCDFSSHTMADFGLLQCEDTFPGFVPTGSYANEDY -VRTRIAGTHSLIPFCYDFDDFNSQHSKEAMQAVIDAWISVYHDKLTDDQIEAAKWTRNSVDRMVAHQPNT -GETYDVKGTLFSGWRLTTFFNTALNYCYLANAGINSLVPTSLHNGDDVFAGIRTIADGISLIKNAAATGV -RANTTKMNIGTIAEFLRVDMRAKNSTGSQYLTRGIATFTHSRVESDAPLTLRNLVSAYKTRYDEILARGA -SIDNMKPLYRKQLFFARKLFNVEKDIVDNLITMDISCGGLQEKGRVSEMVLQEVDIENIDSYRKTRMIAK -LIDKGVGDYTAFLKTNFSEIADAITRETRVESVTKAYNVKKKTVVRAFRDLSAAYHERAVRHAWKGMSGL -HIVNRIRMGVSNLVMVVSKINPAKANVLAKSGDPTKWLAVLT - diff --git a/seq/clusters_seq/cluster_1254 b/seq/clusters_seq/cluster_1254 deleted file mode 100644 index 175c02b..0000000 --- a/seq/clusters_seq/cluster_1254 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009507787.1 sigma C [Pulau reovirus] -MSSFTTHHSLNPQQRREVIALIMTMTQSINASRSDLSAIRSELMSLRSTVGDLSSQFASTSSGLAQLSTQ -CNAFSVEIASHSNAIATLVSTATSHSDKMSEFTTSLTDASSKLSVVSDSVDQLSLNYKTLETDVLNAKSS -LSSLAAQVNSLESRLNDTTQTVPKQVLSPLTINEGALTLNMNPRFCSDSAGLASYSSQTLQTFTANLASS -IPDTNLAATIIVHSHGSVSTFNLTSKHAFSPSTEKTQLTLDIRQFQPSPTDWSVLLAQPAFQASDFLGYA -WASIAGIWSPITLVGRVSSNPKLITLQLGTNPMDRITGLVLTFSIDT - ->YP_009110701.1 sigma C capsid protein [Cangyuan orthoreovirus] -MSSFTTHHSLNPQQRREVIALIMTMTQSISASRSDLSAIRSELTSLRSKVDDLSSQFASTSSALAQLSTQ -CNAFSVEIASHSNAITTLVSTVTSHSDKMSEFTTSLTDASTKLSVVSDSVNQLSLNYKTLETDVLNAKTS -LSALAAQVNSLESRLNDTTQTVPKQVLSPLAINEGALTLNMNPRFCSDNAGLASYSSQTLLQTFTANLSS -SIPNTNLAATIIVHSHGSVSTFNLTSKHAFSPSTEKTQLTLDIRHFQPSPTDWSVLLAQPAFQASDFLGY -AWASIAGIWSPITLVGRVSSNPKLITLQLGTNPMDRITGLVLTFSIDT - ->YP_007507328.1 sigma C [Melaka orthoreovirus] -MSSFTIHHSLNPQQRREVIALIMTMTQSISASRSDLSALRDELASLRTKVTDVSSQLALASSTITQLSTQ -CSALSAELASYSDTLSTLSSTIASHTDKLSELSTSLTSTSSRLDATADSVTQLSSDYASLRTDVTNLKSS -LSTLAAQVNSLETKLNDTTQTVPKQVLSPLAINDGTLTLNMNPRFCRDSAGLASYSSQTLLQTFSANLAS -SIPNTNLATTIIVHSHGSVSTFNLTSQHAFEPNAAKTQLTLDIRQFQPTPTDWSVLLAQPAFQASDFLGY -AWASVAGIWAPITLVGRVDSNPKVITLQLGTPSMDRITGLVLTFSIDT - diff --git a/seq/clusters_seq/cluster_1255 b/seq/clusters_seq/cluster_1255 deleted file mode 100644 index 62d1931..0000000 --- a/seq/clusters_seq/cluster_1255 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_009507766.1 polyhedrin [Choristoneura occidentalis cypovirus 16] -MPYEKDCLNNDVRLRAQNLRTHEINLAPYVPGATLRADIIAKYPDGRYKVFDFTAPNFIKGVISYKEFAW -HYGHTYDNDDQLDDHNANTFNNVTLANSGSTNPPRDITYPETVMIVLNGNFNIAQCRAFPVNQYGLTHKD -WRLSRNNAPDPIHNCQWTPIGCYSNFFVMKFNQREGLRFILPACSDSAYNQYNSGTLAEIIWETVKHKTV -KWFTGERQAINWFTQENLAYPDSANFIASRAEANYIGNGNFSDPSRYY - ->YP_009002596.1 polyhedrin [Inachis io cypovirus 2] -MPYDVYQNRDDDLRLRQQHLRDREIEVAPTVPGASIKALIILKYKDGRYKIYNLTSTAFINVWLGYDDLV -WYNNHSYCKPVHGSKHNMQAFNNIDMDYSGEGSPPYDITYPYEAMVILNGNYNIDEADVFACDEDGQTHR -DWHLSRDIAPGAISHNQWKQLGMHSWYTTVSLCQDWTLEVVLPVKDDKAYSLANPRTIFRTLIRNVVKSV -IRRCTGEREVIDYCRSKKLNYPVAQLSLLPAVEQACMATSICTTKCLEYAITTSTSQHGYGSYSYLFYSF -SFSFINIFIIIIYPSYYSYHMFIHYFGKNHLI - ->sp|O01999.1|PYHD_CPVCS RecName: Full=Polyhedrin; AltName: Full=C-polyhedrin -MPYERDCLDNDVRLRAQNLRTHEINLAPYVPGATLRADIIAKYPDGRYKVFDFTAPNFIKGVISYKEFAW -HYGHTYDNDDQLDDHNANTFNNVTLANSGSTNPPRDITYPETVMIVLNGNFNIAQCRAFPVNQYGLTHKD -WRLSRNNSPDPIHNCQWTPIGCYSNFFVMKFNQREGLRFILPACSDSAYNQYNSGTLAEIIWETVKHKTV -KWFTGERQAINWFTQKNLAYPDSANFIASRAEANYIGNGNFSDPSRYY - diff --git a/seq/clusters_seq/cluster_1256 b/seq/clusters_seq/cluster_1256 deleted file mode 100644 index d68cb67..0000000 --- a/seq/clusters_seq/cluster_1256 +++ /dev/null @@ -1,59 +0,0 @@ ->YP_009507765.1 unknown [Choristoneura occidentalis cypovirus 16] -MTSDSTVRIQNLILALSGSSSATSGDYENIVSWFRNHQQISNALPNIFQNKRHSERAQSLLHQHINETQL -LPIVYEQSITDYDLLCSHTPDGDRIEAKYICMKIRLSLSDLLNTIDRDDSLTHILTFRISDNDVEGYDHN -ITITNRSINQNDANISATFSLIWIEDRNTICNTTFTLNLLDIITITLIDYALPRIGTTTNAPSDNNLPLI -NFSGHMTYQLDRYVDILRMNPSDDVYRAPHKQSIMAITDTVIIPNPNTLLTNFYLNARHISTESTFTDSP -FMIALHLPSSLIDTFRLHEIPNQSIAGELYDKLTYEDKFLMLKLQMDFYESEFIRQLDELEEFASSESMH -LEAMVINNTSTLATLMNSVAQYEEEEFKIEPLRGICQSQLSPVTLFNISNATFVIPQATGSAATSYLSDQ -GARVNSGFNQAFTMNATYTPLGSNQVTTYHDIFMSNEILITAQITSERVLHPTNLPGNVSAMGDLTKGYL -LPRLIHEIVPANNWQSRIIQTPVGTSQNILNMETGGALVPNVREFWYTQRLDRIIISSRLGTSMPIDVDM -QFNGSFNALSKSTNISVSSGDIQVGRYDSIQHGPLYAVFLPLNIVATLDSKDEPSLETFFDTRVASEGAS -VPEQWQGIVPIGESKTFDRLAQAGIGILSATLTGNSALTFHLNISHPSLDRMGCLSCENFQRFTSYNGVN -IVEDQGNAPFYRRNMIALLRSMIIIDTLEFKWRVAKPPGNLGIRTMASEFLTNLEYISLSIQSDLEELTE -QFLDLEFRVSDLERQFELLLQSFETTIWDNILSAITDLIMGFLPIGAGMIAGALFKQVRKSSGYALRVLK -NSIGGARNSKSIMATMKGTSIGQDSLASSMSIIDTVLRSQGNSRRRIVESRPDATYLDRPLLQNIDGSNK -LDALNHYFTNGSRVPTIVSGAPDLRLIPELNNHSFNSRPLPVLETYYRPLATLPKPLGNMAHSVTNVNKL -RLAYAKNHVDVSCRKPSHAFTVMNDYQVHSPNRYSHQLTYVGIGELNPSGKPTGDLGAGIGGVTLTYDIT -SMTNVNGKRVYRKTLQPHTASGYSDEQVRNLYKTLYGKDAPDRTPESLWISIQEGVSTKIHTSDLVDKFV -VPNKYAGQSIREMAYNPPDFKYNLLNRNCQTFATDIRNYLASGVLSNQWDTRVHDRLMRGKTISIQNDIG -SSETR - ->YP_009158922.1 VP2 [Lutzomyia reovirus 1] -MEAQRYRRLIALINTTQVASNDNPNIQHLLDDYKQRQRLSEITDQLWIQQPLMLKAESYLRTHLQDISLF -PPRQTYSIEEYEEQVLHEYMENVDYRYHIIQIQINPLLHLIGDSPQSHIIKINHSLSQTQALNTAYYNII -LDNNINRDTAQGSITITVYDDQHHAITETQDVQFKPYDVATLQLTYECDRRLIYDDDLLAVYPDDYDGLG -LPIVTHHLTDPSTRITFTLVTCHGRNEKHTLQEPKVSEHTLFNLHTKQMTWLDEHTTINADSTLGISINP -GYRRNAMLNDIIEIGGDIIAGQRWDTMYYHYLLLPIYYQENVDAIRDELISETTPMATSSANIINSVATL -ESLVGQVTQWKERIYATTSTQVWYELKNVPWTSQTGSPIVLRSKSYARNAIVTMPPQFSFYPGSSPRHEP -TEFTTPCAYTTQVVLPGKPTYEIATVNIMENSEVIVLARLIIASEITNINQSEASSLAPLIGEVQALGSR -PYLYEYLNLHSWPGWMLGYYRPAYTLTVTVDAVFIGLKNARITPSAISIDPFTMRITNWTDTRTINFSSL -SEGEYIFNGTRYHGIFSEANETIKWEYTDMPYMYTHDNQRWSSEYGDRYSYGLIGSDREFNITRPLYPFS -DTTFTINGGYYENDGNGLFYINPSVSCPIHTSSRYNALPNSNITRTHADMIIKAFNITTNIRDIDQIAAS -MAYGSLHIETPVITFKVLVPTDPITAGITRSVNQSIDLANTEIDLLYGLYDTLREDIQDINIRLNEITDQ -INRMLEGPPESNIWLDMLLGFMQDLIIGLTFGAVSVLVKQLTIVLTKTINASVRVTKNMIQLLSPSVQRN -IQYMTSTGGAQIVRGMNETRIMVNSLLRIRNKKLNDKLKPQNNQISWRDNDQYVHLEPTLHLEFINDFSK -LGYRGSDLHTKPLNLGLNMVRNVEFKSRGSIYFRPLASLPTGIGNYAHRKITLSSVHANGKAAQLAQLKT -TYPSHAYCVFQEPRRIDGKFKLDEHIIGIGELNIQGTPTGDTNAGIGAFRIRHDIIGADISQGMEKARLI -TRLTPYTESGYTDDQMRKIYKVWMGNRTDLTLSPAEMYRRLSIYADCMRLNSTNTQQFNLPDTSRLNALQ -LLMHDPPKWKYNIFNRNCQNMAYELRNYVLFGETNKTWTAELAGKLQTYRTNLLLENIGESPV - ->YP_009002587.1 VP2 [Inachis io cypovirus 2] -MTEFSRREAQSLILALSSGDAYTDPKLREIIKWYTTQQRINDALPNIFRNNTFSQNANDRLREIMSNIPL -IPIHYFMNGREYESLKTQIPDNRDVDCSTILIKLTLSYRDIMSEVIEANDDSGEFIIRFGRKSNAITDIG -KYSMKLKINNTIQSEEDINTAISLTLTKDNEKLCHVDGVVSVLDMIQWTCLVEASSTDRLGPWIPSGHDL -RDLQSVTTTTLIKVHNRLTIANNNLSKDEYKKCSFIDSTHSNIMSYTDRYLDGARVLLSSVFDENPFDIG -LMMPDYITLNTTEDASRFTTLLDSHLDKLRYELEYAMTVSQMDYYNALFIEELEALAEDAENESAYLAAM -TINNTSTLAKLLESVAQYVPFEFEVRGIKAICQSGLSPITCVSDNNLSLQFSSMNDGDQRNSYISDTHPS -VQPNFVNNYLTTCNFNDIGNNTLQTFHQIFMNEDLIVFADITSTRVIHNENLSGNVWAETQNLYMPRFIH -NGPTDSFYRRIISQATGTQMRFINIIIGGKIVPKVRKWEYGQTLNRIIICSRNSTSLPIDVTLSLTGDFG -LYGRTVSFARSTGDILTGDFDTVNHGRLPSIFVQVNQTLTVTSEEGGHMHFYLDTDVLPQSHHINTAIKR -TFTNTTPFTENFPDYSAAVNGTFSIGFEANTTNIRMSMTHDDVDAMSCVNTTPRVTSVLGVNLISDVLSP -PTDRQNYIAMLRSVIAIDFTLGWRVEIPPGELGLRTMASEFLTNLEQITLSLQSDLQELQYQFENLEARL -TNLELQFEALIDAMTPSVWDQILGMIVGIIEGLLPMAAGAVVGLMFQALSRNSGQMLRILRNALGGSKTA -IPLTNTMKGSSMGQHSLAAAMTMVDGVLRGRNTTRERLVGSGDHTYRTRPILQNIDGASKYDSLMHVFTN -DNRVGSASNGSIRLDLLPELNVHNHNSKPLPVLETYYRPLATLPSPLGNLAHKLTNVNRLRTKFSSNQVS -VSCRKPSHAFSVLNNYEVVSATQYKQQVTYIGIGELNPSGRSTGDLGAGIGGITIDYNINMKMNHKGVPT -YVKELAPWTSSQYTSEQVSNLYKTLFGKQHPVGMDTSAQWRAISNGIYTKVHTSDLVDKFVVPNKYAGQS -IREMAYNPPDFKYNLLNRNCQTFVTDLRNYLANGTLSNRWDTRAHDRLMRGQTIAITNDISSSDTFLAML -T - diff --git a/seq/clusters_seq/cluster_1257 b/seq/clusters_seq/cluster_1257 deleted file mode 100644 index 03d1f97..0000000 --- a/seq/clusters_seq/cluster_1257 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009507758.1 NS22 [Scophthalmus maximus reovirus] -MGNTISSTFQYTVLQIDRSCCIKTSLTATSEATSWAIPPLAICCCCCLCCTGGLYLVHSGRIPSISRRLD -VLRDTRSASEYKVRSNRNPKSRVRRVSISDSSDSSSLSDLELSRHRSHPLAHSFRPESYSQRPHSPSQAQ -SSIILPLVPVHSRTSLDDGVIRSQPSRYQGPHQQFEDWLQQAHLLRPGDVSRDTNPFR - ->YP_009351842.1 NS22 [Fall chinook aquareovirus] -MPCGDTIATTFQYTVLQVDRSCCISTSVTATSEATSWALPPILACCCCVCCCAAAGYAISRGSCPCITRR -LDVLRGTGFTTEHSLRANRKSISRSHHSRSSDSRSTSHHPALELPGYRYTDVSYAHRSESDSASELSSAE -SEVITYQPRPFITHLESQSSCGTCLDHQQNGIQERSPNCVSPSRHDRIPRSGHPTYRCDLRPGSTLL - ->YP_009259502.1 putative non-structural protein 23 [Etheostoma fonticola aquareovirus] -MGNTISNTVQYTVLQIDRSCCIKTSLTATSEATSWAIPPIAICCCCCLCCAGGLYLVHSGRLPSISRRLD -VLRDSRSTPEHKVRRDRFTKPRIHRPRLSSTSDSSDLTDLELSWDGFDPLAYPHWSAVNSPRARPAPSVH -SQGLIPLVTLQPRAGLDNGVVHSQPSRSPRPHQRFEDWLQQAHLLRPGDVPRDTNPFRRPYPRRDTEH - diff --git a/seq/clusters_seq/cluster_1258 b/seq/clusters_seq/cluster_1258 deleted file mode 100644 index 914d0f7..0000000 --- a/seq/clusters_seq/cluster_1258 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009507757.1 VP7 [Scophthalmus maximus reovirus] -METKPILPTIATQFCDSLVRGHLSGAHIDGQWSTHLDDPELLTDGGYIICACCFKVLLNWDGPTAYITHE -CHDSHGARRTGRHLAGNLLRMQDTIQRTVQDGFLALRSRDSLAKAASGAGNEMAAEAFEDIKETIKKART -GKVAKVMSLDRICGAVNCDRALTFYGKDLADHPLVTGATALKTELEEVTGEKLARVRQTVVGGPVDVIVQ -TDAMPIPILYRPAMEMIEPVVSKGARAIWAHAILNQSCTKLSTACKQRAYGRVGVNLANLSLSSANKSSA -TRCFKGCTPELFAPVGED - ->YP_009259506.1 putative major outer capsid protein [Etheostoma fonticola aquareovirus] -METKPIHPTIATQFCDSLVRGHLSGSYIDGSWAADIPDPELLTDGAYILCACCFKVLLNWHGPPTYIAHE -CHESSSARATGRNLAGNLLRIQDTIQRTVQDGFMALRSRDSLAKALSGAGNRMTQDAFADIKATIKKARS -GKVAKVMSLDRICGGINCDRALTFYGKDLADHPLTTGATSFRTELEELTGEKLARVQRAADDDPTDVIVQ -TDAMPIPVLYRPSMEMIEPVVSKSARAILSHAMLNHSCTKLSTACKQRAYGRSGLNLAHLSLSCANKSSA -TRCLKGCTPELFVPVDED - ->YP_398637.1 putative outer coat protein [Chum salmon reovirus CS] -METKPIHPTIATQFCDSLVHGHLSGARIDGQWSNDARDPELLTDGSYIVCASYFKILLNHYAPPTHITHQ -CHDSLASRQMGRSLASNLLHIQDTIQRTVQDGFLALRSRDSLSKMLSGAGNQMTEEAFADIKATIKMAGA -GKVNKVMSLDRICGAVNCDRALAFYGRDLASHPLVTGATSFRTEIEEITGEKLARVQLAIPSGPPDVIVQ -TDAMPVPILYRPAMEMIEPVVSKGARAIWAHAMMNQSCDKLSTACRQRAYGRGGLNIANLSLSCANRSSA -TRCLKGCTPELLVLEDED - diff --git a/seq/clusters_seq/cluster_1259 b/seq/clusters_seq/cluster_1259 deleted file mode 100644 index b42fb47..0000000 --- a/seq/clusters_seq/cluster_1259 +++ /dev/null @@ -1,11 +0,0 @@ ->YP_009509002.1 putative NS4A [Theiler's disease-associated virus] -SVTETSSGPILLAGLALAAAAAIADYTGTLVVVGTFDVRPGGAPRPPQSRDLPGGLSSGQPQSDGEGPPP -PRRTDQLTDSQTLDALQDV - ->YP_009227299.1 putative NS4A peptide [Human pegivirus 2] -GYGPILLAGAALAASFAFAGATGALVPSAVWSVEVRPAGVT - ->YP_007905980.1 putative NS4A protein [Rodent pegivirus] -NAVTSHPLALKMAAALGDTSYHDTTIGPLLLAGAAVAAAAAIADSTGCLVVTAVWEVGSGGSPLWPGSKT -ADERGDVQGGEAPPGAQPPKVTPGEVKAAKDTAEVISEVATSL - diff --git a/seq/clusters_seq/cluster_126 b/seq/clusters_seq/cluster_126 deleted file mode 100644 index 3c5f882..0000000 --- a/seq/clusters_seq/cluster_126 +++ /dev/null @@ -1,344 +0,0 @@ ->YP_002302219.1 NSP1 [Rotavirus A] -MATFKDACFHYRRLTALNRRLCNIGANSICMPVPDAKIKGWCLECCQIADLTHCYGCSLPHVCKWCVQNR -RCFLDNEPHLLKLRTVKHPITKDKLQCIIDLYNIIFPINDKVIRKFERMIKQRKCRNQYKIEWYNHLLLP -ITLNAAAFKFDENNLYYVFGLYEKSVSDIYAPYRIVNFINEFDKLLLDDINFTRMSNLPIELRNHYAKKY -FQLSRLPSSKLKQIYFSDFTKETVIFNTYTKTPGRSIYRNVTEFNWRDELELYSDLKNDKNKLIAAMMTS -KYTRFYAHDNNFGRLKMTIFELGHHCQPNYVASNHPGNASDIQYCKWCNIKYFLSKIDWRIRDMYNLLME -FIKDCYKSNVNVGHCSSVENIYPLIKRLIWSLFTNHMDQTIEEVFNHMSPVSVEGTNVIMLILGLNISLY -NEIKRTLNVDSIPMVLNLNEFSSIVKSISSKWYNVDELDKLPMSIKSTEELIEMKNSGTLTEEFELLISN -SEDDNE - ->sp|O40626.2|NSP1_ROTH6 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYQYKKLNKLNNAILKLGANDVWRSSTLTKRKGWCLDCCQHTDLTYCQGCLIYHVCEWCSQYS -RCFLDDDPHLLRMRTFRNEVTKSDLENLINMYDTLFPINQKIVNKFTNIIKQHKCRNEYLTQWYNHFLMP -ITLQSLSIELDGDIYYIFGYYDDMHKINQTPFSFANLINKYDVLLLDSINFDRMAFLPLTLQQEYALRYF -SKSRFITERRKYIETLHFSDNILNNLHNPNFTLQVIRNCSNMSVEWNKACNLIRNISDYFDILKSSHTES -YNISPRCRMFTQYKLKIASKLIKPNYVASNHNSLATEVHNCKWCSINNNSIVWTDFRIKNVYNDVFNFIR -ALVKSNLYVGHCSSEERIYESIKDILNVCKENEWNMLVTEIFNQLDPIKLNEDSYVLLNYEINWNVMNVL -INSIGKIPKILTLNDVISILRIIIYDWFDIRFMRNTPMTTFTVNKLKQLYEKDKTAEYDSGISDVE - ->sp|Q6YLT2.2|NSP1_ROTRH RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRRVTKLNRELLRIGANSVWTPVSSNKIKIKGWCIECCQLTGLTFCHGCSLAHVCQWCIQ -NKRCFLDNEPHLLKLRTFESPITKEKLQCIINLYELLFPINHGVINKFKKTIKQRKCRNEFDKSWYNQLL -LPITLNAAVFKFHSRDVYVFGFYEGSSPCIDLPYRLVNCIDLYDKLLLDQVNFERMSSLPDNLQSIYANK -YFKLSRLPSMKLKRIYYSDFSKQNLINKYKTKSRIVLRNLTEFTWDSQTDLHHDLINDKDKILAALSTSS -LKQFETHDLNLGRIKADIFELGHHCKPNYISSNHWQPASKISKCKWCNVKYAFRDMDWKMESMYNELLSF -IQSCYKSNVNVGHCSSIEKAYPLVKDILWHSITEYIDQTVEKLFNTMNPVQVNEQQVIKFCWQIDIALYM -HIKMILETEALPFTFTLNQFNSIIKGIVNQWCDVAELDHLPLCTEQTDALVKLEEEGKLSEEYELLISDS -EDDD - ->sp|B3SRV2.1|NSP1_ROTHP RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKRINKLNHTVLKLGVNDTWRSSPPTKYKGWCLDCCQHTDLTYCRGCTMYHVCQWCSQYG -RCFLDNEPHLLRMRTFKNEVTKDDLKNLIDMYEILFPMNQKIVCRFINNTRQHKCRNECMTQWYNHLLLP -ITLQSMSIELDGDVYYVFGYYDNMNSINQTPFSFTNLVDIYDKLLLDDVNFARMSFLPASLQQEYALRYF -SKSRFISEQRKCVNDSHFSINVLENLHNPSFKVQITRNCSELSFDWNEACKLVKNVSAYFDMLKTSHIEF -YSVSTRCRIFTQCKLKMASKLIKPNYITSNHKTLATEVHNCKWCSVNNSYTVWNDFRIKKIYDNIFNFLR -ALVKSNVNIGHCSSQEKIYEYVEDVLNVCDDERWKTSIMEIFNCLEPVELDDVKYVLFNHEINWDVINVL -VHSIGKVPQILTLENVITIMQSIIYEWFDIRYMRNTPMVTFTIDKLRRLHTGLKTVEYDSGISDIE - ->sp|B3SRU4.1|NSP1_ROTHL RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYQYKKLNKLNNAVLKLGANDVWRPSTLTKCKGWCLDCCQYTDLTYCQGCLIYHVCEWCSQYS -RCFLDDNPHLLRMRTFRNEITKDDLENLINMYNTLFPINQKIVHKFANIIKQHKCRNEYLTQRYNHFLMP -ITLQSLSIELDGDIYYIFGYYDDMHKINQTPFSFTNLISKYDILLLDSINFDRMAFLPLTLQQEYALRYF -SKSRFITEKRKCIKISHFSDNILDNLHDPNFTLQVIRNCSNMSVEWNEACNLIRNINDYFDILKSSRTEF -YDISPRCRMFTQYKLKIASKLIKPNYVASNHNSLATEVHNCKWCSINNNSIVWDDFRIKNVYNDIFNFIR -ALVKSNLYVGHCSSEEKIYESVKDVLNVCKENEWNILVTEMFNQLEPIKLNDNNYILLNYEINWNVMNVL -INSIGKVPKILTLSDVILILRIIIYDWFDIRFMRNTPMTTFTVNKLKQLYEKDRPAEHDSGVSDVE - ->sp|B3SRR2.1|NSP1_ROTH7 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKKLNKLNNLVLKLGANDEWRPAPVTKYKGWCLDCCQYTNLTYCRGCALYHVCQWCSQYN -RCFLDEEPHLLRMRTFKDAVTKEDIEGLLTMYETLFPINEKLVNKFINSVKQRKCRNEYLLEWYNHLLMP -ITLQALTINLEDNVYHIFGYYDCMEHENQTPFQFINLLEKYDKLLLDDRNFNRMSHLPVILQQEYALRYF -SKSRFLSKGKKRLSRNDFSDNLMEDRHSPTSLMQVVRNCISIHINDCEWNKACTLIFDARNYISIMNSSY -TEHYSVSQRCKLFTKYKFGIVSRLVKPNYIFSSHESCALNVHNCKWCQINNHYKVWEDFRLRKIYNNIMD -FIRALVKSNGNVGHCSSQESVYEYISDLFLICKTGKWNEAVEMLFNYLEPVDINGTEYVLLDYEVNWEVR -GLVMQNMDGKVPRILNMNDTKKILSAMIFDWFDTRYMRETPMTTSTTNQLRTLNKRNELIDEYDLELSDV -E - ->sp|B3SRX6.1|NSP1_ROTWI RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYYYKRINKLNHTVLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCRGCTMYHVCQWCSQYG -RCFLDNEPHLLRMRTFKNEVTKDDLMNLIDMYDTLFPMNQKIVDKFINNTRQHKCRNECMTQWYNHLLMP -ITLQSLSIELDGDIYYVFGYYDDMNNVNQTPFSFINLIDICDKLLLDDVNFTRMSFLPITLQQEYALRYF -SKSRFISEQRKCVSDSHFSINVLENLHNPSFKIQITRNCSELSSDWNGACKLVKDTSAYFNILKTSHVEF -YSVSTRCRMFTQRKLQIASKLMKPNYMTSNHRASATEVHNCKWCSTNSSYIVWNDFRVKKIYDNILNFLR -ALVKSNVNVGHCSSQEKIYECVENILDICDNEKWKTSVTEIFNCLEPVELNAVNYVLFNHEVNWDVINIL -VQSIGKVPQILTLNDVVTIMQSIIYEWFDIRYMRNTPMTTFTVDKLRRLCIEPKTVDYDSGISDVE - ->sp|B2BRG2.1|NSP1_ROTW3 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKKLNKLNSLVLKLGANDEWRPAPVTKYKGWCLDCCQYTNLTYCRGCALYHVCQWCSQYN -RCFLDEEPHLLRMRTFKDVVTKEDIEGLLTMYETLFPINEKLVNKFINSVKQRKCRNEYLLEWYNHLLMP -ITLQALTINLEDNVYYIFGYYDCMEHENQTPFQFINLLEKYDKLLLDDRNFHRMSHLPVILQQEYALRYF -SKSRFLSKGKKRLSRSDFSDSLMEDRHSPTSLMQVVRNCISIHINDCEWNKACTLIVDARNYISIMNSSY -TEHYSVSQRCKLFTKYKFGIVSRLVKPNYIFSSHESCALNVHNCKWCQINNHYKVWEDFRLRKIYNNVMD -FIRALVKSNGNVGHCSSQESVYKYIPDLFLICKTEKWNEAVEMLFNYLEPVDINGTEYVLLDYEVNWEVR -GLVMQNMDGKVPRILNMNDTKKILSAMIFDWFDTRYMRETPMTTSTTNQLRTLNKRNELIDEYDLELSDV -E - ->sp|A2T3T1.1|NSP1_ROTTU RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRRITKLNRELLRIGANSVWTPVSSNKIRGWCIECCQLTELTFCHGCSLAHVCQWCIQNK -RCFLDNEPHLLKLRTFESPITKEKLQCIIDLYNLLFPISPGIINRFKKAVKQRKCRNESDKSWYNQLLLP -ITLNAAVFKFHSREIYIFGFYEGSSACIDLPYRLVNCIDLYDKLLLDQINFERMSCLPDKLQSIYANNYF -KLSRLPSMKLKQVYYSDFSKQNLINKYKTKSRIVLRNLTEFTWDSQIDLHHDLINNKDKILAALSTSSLK -QFETHDLNLGRVKADIFELGHHCKPNYISSNHWQPASKIFQCKWCNVKYAFRDMDWKMESMYNELLSFLQ -SCYKSNVNVGHCSSIESVYPLVKDMLWHSITKYIDQTIEKLFDAMNPVQVNEQLVINFYWQIDIALYTHI -KMILKTEALPFTFTLNQFNSIIKGIINQWCDVAELDLLPLCTEQTDKLVKLKEEGKLSEEYELLISDSED -DD - ->sp|A2T3M0.1|NSP1_ROTAP RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYRKISKLNSSILKLGANDEWRPAPITKFKGWCLDCCQYTNLTYCRGCALYHVCQWCSQYN -RCFLDEEPHLLRMRTFKNTISKEDIENLLNMYDTLFPIHEKIVNKFINNVKQRKCRNEYLLEWYNHLLLP -FTLQALTIQLENNTYYIFGYYDCMEQENQTPFNFVNLVSNYDKLLLDDKNFNRMAYLPPILQQEYALRYF -SKSRFLDKPNRGLKRNDFSDNLMEDRHSPTSLMQVIRNCVAKHLDDSEWNKACTQVTNAKNYMEVMNSAY -TEHYSVSQRCKLYTKNKLNTLSRLTKPNYILSNHETCALNVHNCKWCQITSCYKIWEDFRIKKIYNNVLD -FIRALSKSNGMAGHCSSQERIYRYIPKLFLIYDEEQWTESVNSLFKCLEPVEISEVEYVLFDHEINWEVR -GLILQCMNGSIPRILNLSDVRLILSSLIYDWFDIRYMRDTPMITSTTNELRKLNKKNELIDEYDLELSDI -E - ->sp|B3SRS0.1|NSP1_ROTAD RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDTCYYYKRINKLNHAVLKLGVNDTWRPSPPTRYKGWCLDCCQHTDLTYCRGCTMYHVCQWCSQYG -RCFLDSEPHLLRMRTFKNEVTKNDLMNLIDMYDTLFPINQRIVDKFMNSTRQHKCRNECITQWYNHLLMP -ITLQSLSIELDGDVYYVFGYYDSMSDINQTPFSFTNLIDMYDKLLLDNINFNRMSFLPVTLQQEYALRYF -SKSRFISEKRKCVSDLHFSVNVIENLHNPSFKIQITRNCSDFSSDWNGVCKLVKDVSAYFNVLKTSHIEF -YSISTRCRVFTQHKLKIASKHIKPNYVTSNHKTSATEVHNCKWCSINNSYTVWNDFRVKKIYDNIFNFLR -ALVKSNANVGHCSSQEKIYEYIKDVLDVCDDEKWKIAVTEIFNCLEPVELNNVKYALFNHEVNWDVINLL -VQSVDKVPQILTLNDIVIIMKSIIYEWFDIRYMRNTPMTTFTVDKLRRLCTGVKTVDYDSGISDVE - ->sp|Q3ZK61.1|NSP1_ROT41 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRRITKLNRELLRIGANSVWTPVSTNKIKGWCVECCQLTELTFCHGCSLAHVCQWCIQNK -RCFLDNEPHLLKLRTFESPITKEKLQCIINLYNMLFPINSSIINKFRKTVKQRKCRNEIDRSWYNQLLLP -ITLNAAVFKFHSREVYIFGFYEGSSSCVNLPYRLVNCIDLYDKLLLDQINFDRMSSLPANLQSIYANKYF -KLSRLPSMKLKQIYYSDFSKQNLVNKYKSKSRMVLRNLTEFTWDSQLNLHYDLLNNKDKILAALSTSSLK -QFETHDLNLGRIKADVFELGRHCKPNYISSNHWQPASTISQCKWCNVKYAFRNMDWKMESMYNELLSFIQ -SCYKSNVNVGHCSSIERVYPLVKDILWHSITKYLDQTIEKLFNAMNPVQVNDQKVISFHWQIDIALYTHI -KMILKTEALPFTFTLHQFNSIIKGIVNQWCNVSELDDLPLCTEQTDTLVRLEEEGKLAEEYELLISDSED -DD - ->sp|Q8JZ13.1|NSP1_ROTB4 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKKLNKLNSLVLKLGANDEWRPAPVTKYKGWCLDCCQYTNLTYCRGCALYHVCQWCSQYN -RCFLDEEPHLLRMRTFKDVVTKEDIEGLLTMYETLFPINEKLVNKFINSVKQRKCRNEYLLEWYNHLLMP -ITLQALTINLEDNVYYMFGYYDCMEHENQTPFQFVNLLEKYDKLLLDDRNFHRMSHLPVILQQEYALRYF -SKSRFLSKGKKRLSRSDFSDNLMEDRHSPTSLMQVVRNCISIHIDDCEWNKACTLIVDARNYISIMNSSY -TEHYSVSQRCKLFTKYKFGIVSKLVKPNYIFSSHESCALNVHNCKWCQINNHYKVWEDFRLRKIYNNVMD -FIRALVKSNVNVGHCSSQESVYKYVPDLFLICKTEKWSEAVEMLFNYLEPVNVNGTEYVLLDYEVNWEVR -GLVMQNMDGKVPRILNMNDTKKILSAMIFDWFDTRYMRETPMTTSTTNQLRTLNKRNELIDEYDLELSDV -E - ->sp|Q86194.1|NSP1_ROTH3 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYRRLNKLNNLVLKLGANDEWRPAPVTKYKGWCLDCCQHTNLTYCRGCALYHVCQWCSQYN -RCFLDEEPHLLRMRTFKNVMTKEDIEGLLTMYETLFPINEKLVNKFTDFVKQRKCRNEYLLEWYNHLLMP -ITLQALTVKLEDNIYYICGYYDCMEHENQTPLQFINLLEKYDKLLLDDRNFNRMSYLPTILQQEYALRYF -SKSRFFSKKEKRLSRNDFSDNLMEDRHSPISLIQVIRNCISTHMNDSEWNKACTLVVDPKNYIDIINSSY -TEHYSVSQRCKLFTKYKLGIVSKLVRPNYIFSSHESCALNVHNCKWCQTNNHYKVWADFRLKKIYNNMMD -FVRALTKSNGNVGHCSSQESESKCIPDIFLICEMEKWNGPVRVLFRYLEPVDINGEEYVLLDYEVNWEVR -GLIIQNMDGRVPRILNMDDVKKILSAIIFDWFDVRYMRETPLTTLTTNQLRALNRKNELIDEYDLELSDV -E - ->sp|Q76VV3.1|NSP1_ROTBU RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKKLNKLNSLVLKLGANDEWRPAPVTKYKGWCLDCCQYTNLTYCRGCALYHVCQWCSQYN -RCFLDEEPHLLRMRTFKDVITKEDIEGLLTMYEILFPINEKLVNKFINSVKQRKCRTEYLLEWYNHLLMP -ITLQALTIKLEDSTYYIFGYYDCMEHENQTPFQFINLLEKYDKLLLDDRNFNRMLHLPTILQQEYALRYF -SKSRFLSKGKKRLNRNDFSDNLVEDRHSPTSLIQVVRNCISTHPNDYEWNKACTFVVDARNYINIMNSSY -TEHYSVSQRCKLFTKYKFGIISKLVKPNYIFSSHESCALNVHNCRWCQINSHYKVWEDFRLKKIYNNVMD -FIRALVKSNGNVGQCSSQESVYKCIPDIFLICKMEKWNEAVEVLFNYLEPVDINGTEYVLLDYEVNWEVR -GLVMQSMDGKVPRILNINDTKKILSTIIFDWFDVRYMRETPMTTSTTNQLRTLNKRNELIDEYDLELSDV -E - ->sp|Q65709.1|NSP1_ROTBB RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKKLNKLNGLVLKLGANDAWRPAPVAKYKGWCLDCCQHTDLTYCRGCALFHVCQWCSRYN -RCFLDEEPHLLRMRTFRNEINKEDVEGLINMYNIIFPINERVVDKFINNVKQRKCRNELLIEWYNHLLLP -ITLQALSIELEGDVYYIFGYYDCMGKENQTPFHFVNMINRYDRLLLDDKNFDRMMHLPVALQQEYALRYF -SKSRFISQIKREMNRHDFSDNLMEERDNPMSFMQVTRNCVSAHMNDNDWNERCKLIGDARNYMELMKSAY -TEHYSISNRCKLFTIYKLNIISKLVKPNYIFSNHGLCALDVNNCKWCKIDNHYEIWNDFRLRKIYNNMMN -FIRALVKSNTNVGHCSSHELVYKCISSVFIVWKIEKWNDSVRTLFEYLEPVEINHVEYVLLDHELSWEMS -GVIMQIMNGKVPRILSFDDVKKIMGAIIYDWFDVRYMRETPVIVSTTNELRKLNKDNNLMDGYDYELSDI -E - ->sp|Q9YKL8.1|NSP1_ROTD9 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRKITKLNRELLRIGANSVWIPVSSNKIKGWCIECCQLTELTFCHGCSLAHVCQWCIQNK -RCFLDNEPHLLKLRTFESPITKEKLQCIIDLYNLLFPINPGIINRFKKIVNQRKCRNEFEQSWYNQLLFP -ITLNAAVFKFHSREVYVFGLYEGSSSCINLPYRIVNCIDLYDRLLLDQINFERMSSLPASLQSVYANKYF -KLSRLPSMKLKQIYYSDFSKQNLINKCKIKSRIVLRNLTEFTWDSQISLHYDVINNREKILTALSTSSLK -RFETHDLNLGRIKADIFELGHHCKPNFISSNHWQPASNVSQCRWCNVKYVFRNMDWKMESMYNELLSFIQ -ACYKSNVNVGNCSSIENAYPLVKDMIWHSITKYIDQTIEKLFNVMNPVEVDGQQVISFHWQIDVALYIHI -KMILKTETLPFALTLYQFGSIIKGIVNQWYDVTELDYLPLCTEQTDKLVKLEEEGKISEEYELLISDSED -DDQ - ->sp|Q9WC80.1|NSP1_ROTRA RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRRVTKLNRELLRIGANSVWTPVSTNKIRGWCVECCQLTELTFCHGCSLAHVCQWCIQNK -RCFLDNEPHLLKLRTFESPITKEKLQCIIDLYNLLFPINSSIVNKFKKTVKQRKCRNEFDKLWYNQLLLP -ITLNAAVFKFHSRKVYVFGFYEGSSPCVNLPYKLVNCIDLYDKLLLDQVNFERMSSLPSNLQSIYANKYF -KLSRIPSMKLKQIYYSDFSKQNLINKYKFKSRIVLRNFTEFTWDFQLSLHYDLFNNKDKIFAALSTSSLK -QFETHDLNLGRVKADVFELGRHCKPNYISSNHWQPASTISQCKWCNVKYAFRDMDWKMESMYNELLSFIQ -SCYKSNVSVGHCSSIERAYPLVKDVLWHSITKYIDQTIEKLFNVMNPVQVNNQKVISFHWQIDIALYTHI -KMILKTERLPFTFTLDQFNSVIKGIVNQWCNVNELDNLPLCTEQTDTLVRLEEEGKLAEEYELLISDSED -DD - ->sp|Q9QNA9.1|NSP1_ROTHK RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKRINKLNNTVLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCRGCTMYHVCQWCSQYG -RCFLDNEPHLLRMRTFKNEVTKDELKNLIDMYDTLFPMNQKIVCRFISNTRQHKCRNECMTQWYNHLLMP -ITLQSLAIELDGDIYYVFGYYDNMNSINQTPFSFTNLVDIYDKLLLDNVNFVRMSFLPASLQQEYALRYF -SKSRFISEQRKCVNDSHFSINVLENLHNPNFKIQITRNCSEMSFDWNEACKLVKNAGAYFDILKTSHIEF -YSVSTRCRIFTQCKLKIASKLIIPNYITSNHKTLATEVHNCEWCSVNNSYTVWNDFRIKKIYDKVFNFLR -AFSKFNINIGHCSSQEKMYEYVEDVLNVCNDERWKTSIIEIFNCLEPVELDDVKYVLFNHEINWDVINVL -VHSIGKVPQILTLENVITIIQSIIYEWFDIRYMRNTPMVTFTIDKLRRLHTGLKTVEDDSGISDVE - ->sp|Q65696.1|NSP1_ROTBA RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKKLNKLNSLVLKLGANDEWRPAPVTKYKGWCLDCCQYTNLTYCRGCALYHVCQWCSQYN -RCFLDEEPHLLRMRTFKDVVTKEDIEGLLTMYETLFPINEKLVNKFINFVKQRKCRNEYLLEWYNHLLMP -ITLQALTINLEDSAYYIFGYYDCMECENQTPFQFVNLLEKYDKLLLDDRNFHRMSHLPAILQQEYALRYF -SKSRFLSKGKKRLSRHDFSDNLMEDRHSPTSLMQVVRNCISTHMNDCEWNKRCHVIVDAKNYISIMNSSY -TEHYSVSQRCKLFTKYKFGIISKLVKPNYIFSNHESYALNVHNCKWCQINNHYKVWEDFRLRKIYNNIMD -FIRALVKSNGNVGHCSSQESVYKYIPDIFLICKKEKWNEAVKMLFNYLEPVDINGTEYALLDYEVNWEVR -GLVMQNMDGKVPRILNMNDTKKILSAIIFDWFDTRYMRETPMTTSTTNQLRTLNKKNELIDEYDLELSDV -E - ->sp|P87724.1|NSP1_ROTHU RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKRINKLNQTVLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCRGCTIYHVCQWCSQYG -RCFLDDEPHLLRMRTFKNEVTKDNLKNLIDMYNTLFPITQKIIHRFINNTRQHKCRNECMTQWYNHLLMP -ITLQSLSIELDGDVYYIFGYYDSMNNINQTPFSFTNLVDIYDKLLLDDVNFVRMSFLPTSLQREYALRYF -SKSRFISEQRKCVNDSHFSINVLENLYNPNFKVQITRNCSELSVDWNEACKLVKNVSAYFDILKTSHVEF -YSVSTRCRIFTRCKLEMASKLIKPNYVTSNHKTLATEVRNCKWCSINNSYTVWNDFRIKKIYNNIFSFLR -ALVKSNVNIGHCSSQEKIYEYVENVLNVCDDKRWKTSIMEIFNCLEPVELNDVKYVLFNYEINWDVINVL -IHSIGKVPQILTLENVITIIQSIVYEWFDITYMRNTPMVTFTIDKLRRLHIGLKTVDSDSGISDVE - ->sp|O56831.1|NSP1_ROTF6 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRKITKLNRELLRIGANSVWIPVSSNKIKGWCIECCQLTELTFCHGCSLAHVCQWCIQNK -RCFLDNEPHLLKLRSFESPITKEKLQCIIDLYNLLFPINPGIINRFKKIVNQRKCRNEFEQSWYNQLLFP -ITLNAAVFKFHSREVYVFGLYEGSSSCIDLPYRIVNCIDLYDRLLLDQINFERMSSLPASLQSVYANKYF -KLSRLPSMKLKQIYYSDFSKQNLINKCKIKSRIVLRNLTEFTWDSQVSLHNDVINNKEKILTALSTSSLK -RFETHDLNLGRVKADIFELGHHCKPNYISSNHWQPASKVSQCRWCNVKYVFRNMDWKMESMYNELLSFIQ -ACYKSNVNVGNCSSIESAYPLVKDMLWHSITKYIDQTIEKLFNVMNPVKVDGQQVISFHWQIDVALYIHI -KMILKTETLPFAFTLNQFRSIIKGIVNQWYDVTELDYLPLCTEQTDKLVKLEEEGKISEEHELLISDSED -DD - ->sp|O41178.1|NSP1_ROTPY RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKRLNKLNHAVLKLGVNDAWRPSPPTKYKGWCLDCCQHTDLTYCRGCSIYHVCQWCNQYG -RCFLDDEPHLLRMRTFKNDITKEDLANLIDMYNVLFPVNQKIVNKFINNTKQHKCRNELVPQWYNHLLMP -ITLQSLSIELSGDIYYIFGYYDDMKNVNQTPFSFVNLIDIYDRLLLDDVNFNRMSFLPLVLQQEYAIRYF -SKSRFISEEKRQINHSHFSINILENLHNPNFKIQITRNCSTMFGKWNEACTLVKDIGTYFEILKTSHVEF -YDVSPRCRMFTQHKLKAVSKVIKPNYATSNHRALATEVYNCRWCSVNTSFIVWNDFRLRNICDNVLNFIR -ALVKSNTRIGHCSSQEQIHSYIRDVFDVCDENKWNTSVSGIFNCLESVELDGVHYVLLNHEVNWDVANVL -IQNIGKIPQILTLNDVITALHSMIYDWFDIRYMRNTPTTTFTVDKLRQLCARRKIADYDSGLSDVE - ->sp|O40627.1|NSP1_ROTHJ RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKRINKLNNNSLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCSGCTMYHVCQWCSQYG -RCFLDNEPHLLRMRTFKNEVTKDDLKNLIDMYETLFPMNHKIVCRFINTARQHKCRNECMTQWYNHLLMP -ITLQSLSIELDGDVYYVFGYYDNMNNINQTPFSFINLVDIYDKLLLDDVNFTRMSFLPASLQQEYALRYF -SKSRFINEQRKCVNDSHFSINVLENLHNPSFKIQITRNCSELSFDWNEACKLVKNVSAYFDMLKTSHIEF -YSVSTRCRIFTQCKLKMASKLIKPNYITSNHKTLATEVHNCKWCSVNNSYTVWNDFRIKKIYDNIFNFLR -ALVKSNVNIGHCSSQEKIYEYVKDVLNVCDDERWKTSIMEIFNCLEPVELDDVKYVLFNHEINWDVINVL -VHSIGKVPQILTLENVITIMQSIIYEWFDIRYMRNTPMVTFTIDKLRRLYTGLKTVEYDSGISDIE - ->sp|O39822.1|NSP1_ROTEL RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRKITKINRELLKIGANSIWTPVRSDKIKGWCIECCQLTELVFCSGCSLAHVCQWCVRNK -RCFLDSQPHLLKLRTFEAPITKEKLQCVISMYNMLFPINENIINRFKKNVKQKKCRNEFNATWYNQLLLP -ITLNAAVFKFQSRIVYVFGFYEGTTACGYLPYRMVNCIDIYDRLLLDSVNFDRMSALPSDLQALYAQKYF -KISRLPSMKLRQVYYSDFTKQNLITKYRTKTRITHRNVSKINWDTDIELHNDLMHNKHRILTALTTAEEK -QFEVHDVNLGRIKADMFELGHHCKPNYISSNHWQPASRVSLCRWCNIKYAFRNMDWRMESMYNELMSFIQ -SCYKSNANVDHCSSIESVYPMVRNVFWHSTTKYIDETLEKLFNMMNPVNIDNQKVISFHWQIDLSLYLHI -KMILKTEALPFMLKVHEFQSIVKGIINQWCDFSKVSELPICVESTDTLLRMYEQGELSEEYELLISDSDG -DE - ->sp|O39821.1|NSP1_ROTEH RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRKITKLNRELLRIGANSVWTSVQSNKIKGWCVECCQLTELTYCSGCSLAHVCQLCITNK -RCFLDSQPHLLKLRTFESPITKEKLQCVINLYDKLFPINNTIINKFKKSTRQRKCRNGLNETWYNQLLLP -ITLNAAVFKFKTRTVYVFGFYEGSVSVENLPYRIINCIDIYDRLLLDQINFERMNSLPVSLQSIYAQKYF -RVSRIPSMKLRQIYYSDFTKQNLITKYRTKSRIVHRNISKINWNTEIELHNTLTHNKNKILEILSTSIER -QFLVHDINLGRVKADMFELGHQCKPNYVSSNHWQPASKISVCKWCNIKYAFKDMDWRMESMYNELMSFIQ -ACYKSNTNVDHCSSIESIYPIIRNVYWHSTTNYIDETLNKLFSMMNPVCIDSQSVINFHCQIDLSLYLHI -KMILEMEVLPFILNVNQFKDIIKGIMNQWCNFSKLSELPLCIESTTTLLELEKQGKLSEEYDLLISDSDD -D - ->sp|Q84940.1|NSP1_ROTP5 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYYYKRINKLNHAVLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCRGCTMYHVCQWCSQYG -RCFLDNEPHLLRMRTFKNEVTKDDLMNLVDMYDTLFPMNQKIVDKFINNTRQHKCRNECVNQWYNHLLMP -ITLQSLSIELDGDVYYIFGYYDDMNNVNQTPFSFVNLVDIYDKLLLDDVNFTRMSFLPVTLQQEYALRYF -SKSRFISEQRKCVSDSHFSINVLENLHNPSFKMQITRNCSELSSDWNGACKLVKDTSAYFNILKTSHVEF -YSISTRCRVFTQRKLKIASKLIKPNYITSNHRTSATEVHNCKWCSINSSYTVWNDFRVKKIYDNIFNFLR -ALVKSNVNVGHCSSQEKIYECVENILDVCDNEKWKTSVTKIFNYLEPVELNAVNYVLFNHEVNWDVINVL -VQSIGKVPQILTLNDVTTIMQSIIYEWFDTKYMRNTPMTTFTVDKLRRLCTGSKTVDYDSGISDVE - ->sp|Q84939.1|NSP1_ROTPG RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYYYKRLNKLNHAVLKLGVNDAWRPSPPTKYKGWCLDCCQHTDLTYCRGCSIYHVCQWCNQYG -RCFLDDEPHLLRMRTFKNNVTKEDLANLIDMYNVLFPVNQKTVNKFINNTKQHKCRNEYVPQWYNHLLMP -ITLQSLSIELDGDTYYIFGYYDNMKNINQTPFSFVNLIDMYDKLLLDDVNFNRMSFLPLILQQEYALRYF -SKSRFISEEKRQINHSHFSINILENLHNPNFKIQITRNCSTMSVRWNEACKLVKDVGTYFDILKTSHVEF -YDVSPRCRMFTQHKLKAVSKVIKPNYVTSNHRALATEVHNCRWCSVNNNLIVWNDFRLRNICDNILNFIR -ALIKSNTGIGHCSSQERIHIYIRDVFDVCDESKWNASVVGIFNCLEPVELGNAHYILLNHEVNWDVANVL -IQNIGKIPQILTLNDVITALHSMIYDWFDVRYMRNTPTTTFTVDKLKQLCARRKTVDYDSGVSDVE - ->sp|Q83444.1|NSP1_ROTME RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MAAFKDACFHYRRITKLNRELLRIGANSSWTPAPPSNIRGWCLECCQLTNLTYCYGCSLHHVCQWCVQYG -RCFLDDEPHLLRLRTVKSPITTEKLASIVKMYQLLFPINHSIVKKFVKSTKQHKCRNDFELSWYNQLVLP -ITLTAAAVHCDDCIYYIFGHYEGKANQSNLPYRFVNCVDEYDRLLLDDVNFDRMAFLPGRLQKYYAKRYF -IASRIPSAQPAKLTYSDFSVKTLINSGAYARRRIIYRSVTNFHWQSHEDPLNDLLLDKDKILAALMTNER -RPFLTHNLNFTSLLHELSELVHHAKPCYLHSFHVQPASKVHCHSCSVAFDFHTVDWRIRRIYDDVMYFLR -ACCRSNVSSGSCSSLDPMDAVVKAALLEMFTESFKHHAHLLFHCFDPVQIDDVSYILFNYPVNYDIYDFI -IRTLATERLPFTLSYKQFTTILFALVERWYDLSQIERLPLSIAPTNRLIELQERGNLAEEFDLLLSSSDS -EED - ->sp|Q82045.1|NSP1_ROTHT RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYYYKRINKLNHVVLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCQGCTMYHDCQWCSQYG -RCFLDSEPHLLRMRTFKNEVTKNDLMNLIDMYNTLFPINQKIVDKFINSTRQHKCRNECMTQWYNHLLMP -ITLQSLSIELDGDVYYVFGYYDSMSDINQTPFSFANLIDIYDKLLLDNINFNRMSFLPVALQQEYALRYF -SKSRFISEKRKCVSDLHFSANVIENLHNPSFKIQITRNCIELSSDWNGACKLVEDVSAYFDMLKTSHIEF -YSISTRCRVFTQHKLKMASKHIKPNYVTSNHRTSATEVHNCKWCSINNSYAVWNDFRVKKIYDNIFNFLR -ALVKSNANVGHCSSQEKIYEHIEDVLDVCDDEKWKTAVTEIFNCLEPVELDAVKYVLFNHEVNWDVINLL -VQSVGKVPQILTLNDIVIIMKSIIYEWFDIRYMRNTPMTTFTVDKLRQLCTGVKTVDYDSGISDVE - ->sp|Q82044.1|NSP1_ROTHM RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDTCYYYKRINKLNHAVLKLGVIDTWRPSPLTKYKGWCLDCCQHTDLTYCRGCTMYHDCQWCSQYG -RCFLDSEPHLLRMRTFKNEVTKNDLMNLIDMYDTLFPINQRIVDKFMNSTRQHKCRNECITQWYNHLLMP -ITLQSLSVELDGDVYYVFGYYDSMSEINQTPFSFTNLIDMYDKLLLDNINFNRMSFLPVALQQEYALRYF -SKSRFISEKRKCVSDLHFSANVIENLHNPSFKIQITRNCSDLSSDWNGVCKLVKDVSAYFNVLKTSHIEF -YSISTRCRVFTQHKLKIASKHIKPNYVTSNHKTSATEVHNCKWCSINNSYTVWNDFRVKKIYDNIFNFLR -ALVKSNANVGHCSSQEKIYEYIKDVLDVCDDEKWKIAVTEIFNCLEPVELNNVKYALFNHEVNWDVINLL -VQSVDKAPQILTLNDIVIIMKSIIYEWFDIRYMRNTPMTTFTVDKLRRLCTGVKTVDYDSGISDVE - ->sp|P35425.1|NSP1_ROTSP RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRRLTALNRRLCNIGANSIWMPVPDAKIKGWCLECCQIADLTHCYGCSLPHVCKWCVQNR -RCFLDNEPHLLKLQQLKHPITKDKLQCIIDLYNIIFPINDKVIRKFERMIKQRECRNQYKIEWYNHKLLP -ITLNAAAFKFDENNLYYVFGLYEKSVSDIYAPYRIVNFINEFDKLLLDHINFTRMSNLPIELRAITQEYF -QLSRLPSSKLKQIYFSDFTKETVIFNTYTKTPGRSIYRNVTEFNWRDELELYTDLKNDKNKLIAAMMTSK -YTRFYAHDNNFGRLKMTIFELGHHCQPNYVASNHPGNASDIQYCKWCNIKYFLSKIDWRIRDMYNLLMEF -IKDCYKSNVNVGHCSSVENIYPLIKRLIWSLFTNHMDQTIEEVFNHMSPVSVEGTNVIMLILGLNISLYN -EIKRTLNVDSIPMVLNLNEFSSIVKSISSKWYNVDELDKLPMSIKSTEELIEMKNSGTLTEEFELLISNS -EDDNE - ->sp|P35424.1|NSP1_ROTHW RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYYYKRINKLNHAVLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCRGCTMYHVCQWCSQYG -RCFLDDEPHLLRMRTFKNEITKDDLKNLIDMYSTLFPMNQKIVCKFINNTKQHKCRNECMTQWYNHLLMP -ITLQSLSIELDGDVYYVFGYYDNMNSVNQTPFSFTNLIDMYDKLLLDDVNFVRMSFLPTSLQQEYAIRYF -SKSRFISEQRKCVNDSHFSINVLENLHNPSFKVQITRNCSELLLGWNEACKLVKNVSAYFDMLKTSRIEF -YSVSTRCRIFTQHKLKMASKLIKPNYITSNHRTSATEVHNCKWCSVNNSYTVWNDFRVKKIYDNIFSFLR -ALVKSNVNIGHRSSQEKIYEYVEDVLNVCDNEKWKTSIMKVFNCLEPVELDNVKYVLFNHEINWDVINVL -VQSIGKVPQILTLKNVITIIQSIIYEWFDIRYMRNTPMVTFTIDKLRRLHTELKTAEYDSGISDVE - ->sp|P35423.1|NSP1_ROTHD RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYQYKKLNKLNNAVLKLGANDVWRPSTLTKRKGWCLDCCQHTDLTYCQGCLIYHVCEWCSQYN -RCFLDDDPHLLRMRTFRNEITKSDLENLINMYNTLFPINKKIVHKFANTIKQHKCRNEYSTQWYNHFLMP -ITLQSLSIELDGDIYYIFGYYDDMHKINQTPFSFTNLISKYDMLLLDSINFDRMAFLPLTLQQEYALRYF -SKSRFITERRKCIEILHFSDNILDNLHNPNFTLQVIRNCSNMSVEWNKACNIIRNISDYFDILKSSHTEF -YNISPRCRMFTQYKLKIASKLIKPNYVASNHNSLATEVHNCKWCSINNNSIVWNDFRIKNVYNDIFNFIR -ALVKSNLYVGHCSSEEKIYESIKEVLNVCKENEWNMLVTEMFNQLEPIKLNENNYILLNYEINWNVMNVL -INSIGKIPKILTLSDVILILRIIIYDWFDIRFMRNTPMTTFTVNKLKQLYEKDRTAEHDSRISDIE - ->sp|P30212.1|NSP1_ROTHI RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACYHYKRINKLNHTVLKLGVNDTWRPSPPTKYKGWCLDCCQHTDLTYCRGCTMYHVCQWCSQYG -RCFLDNEPHLLRMRTFKNEVTKDDLKNLIDMYETLFPMNQRIVCRFINNTRQHKCRNECMTQWYNHLLLP -ITLQSMSIELDGDVYYVFGYYDNMNSINQTPFSFTNLVDIYDKLLLDDVNFTRMSFLPASLQQEYALRYF -SKSRFISEQRKCVNDSHFSINVIENLHNPSFKVQITRNCSELSFDWNKACKLVKKISAYFDILKTSHIEF -YSVSTRCRIFTQCKLKMASKLIKPNYITSNHKTLATEVHNCKWCSVNNSYTVWNDFRIKNIYDNIFNFLR -ALVKSNVNIGHCSSQEKIYEYVEDVLNVCDDERWKTSIMEIFNCLEPVELDDVKYVLLNHEINWDVINVL -VHSIGKVPQILTLENVIAIMQSIIYEWFDIRYMRNTPMVTFTIDKLRRLHTGLKTVDYDSGISDIE - ->sp|P15687.1|NSP1_ROTS1 RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MATFKDACFHYRRLTALNRRLCNIGANSICMPVPDEKIKGWCLECCQIADLTHCYGCSLPHVCKWCVQNR -RCFLDNEPHLLKLRTVKHPITKDKLQCIIDLYNIIFPINDKVIRKFERMIKQRKCRNQYKIEWYNHLLLP -ITLNAAAFKFDENNLYYVFGLYEKSVSDIYAPYRIVNFINEFDKLLLDDINFTRMSNLPIELRTIMQEYF -QLSRLPSSKLKQIYFSDFTKETVIFNTYTKTPGRSIYRNVTEFNWRDELELYSDLKNDKNKLIAAMMTSK -YTRFYAHDNNFGRLKMTIFELGHHCQPNYVASNHPGNASDIQYCKWCNIKYFLSKIDWRIRDMYNLLMEF -IKDCYKSNVNVGHCSSVENIYPLIKRLIWSLFTNHMDQTIEEVFNHMSPVSVEGTNVIMLILGLNISLYN -EIKRTLNVDSIPMVLNLNEFSSIVKSISSKWYNVDELDKLPMSIKSTEELIEMKNSGTLTEEFELLISNS -EDDNE - diff --git a/seq/clusters_seq/cluster_1260 b/seq/clusters_seq/cluster_1260 deleted file mode 100644 index b2f3548..0000000 --- a/seq/clusters_seq/cluster_1260 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009045178.1 NS4A [Simian pegivirus] -DAGPILLVGLAVAGGMIYAHYTGSLVVVTDWEVKGGGHPLHNKQPIISTTVQGTRHPGSET - ->NP_803214.1 putative NS4A protein [Pegivirus A] -LAGPVLLVGLAMAGGALLAHWTGSIVVVTTWEVNGGGNPLLYQTRRGVPTSGSPVVVVPPCEGGER - ->NP_803206.1 putative NS4A protein [GB virus C] -DAGPILMIGLAIAGGMIYASYTGSLVVVTDWDVKGGGAPLYRHGDQATPQPVVQVPPVDHRPGGES - diff --git a/seq/clusters_seq/cluster_1261 b/seq/clusters_seq/cluster_1261 deleted file mode 100644 index 2888f90..0000000 --- a/seq/clusters_seq/cluster_1261 +++ /dev/null @@ -1,11 +0,0 @@ ->YP_009508926.1 3A [Turkey avisivirus] -GAIFESDEVEVIPEQGPGSVNKSTVTKLKNWINSLIDRAKSFFERNKCWFYLGSALATLATLVTTALPAA -RNYLS - ->YP_009055021.1 3A [Chicken picornavirus 3] -GARCDLESDEVELIPESGPGSVNPKTMDKVKNWLNSLLSDALSWWERNKQWLLLVSALSTLASLAIGAIP -AYRAIQN - ->YP_009055010.1 3A [Chicken picornavirus 2] -GPSLFECEEEEDEAPYSEQTKVRVSSWLRQLLDKARGFFERNKCWLVGISAVATLLSVAVSVAPKLFGNS - diff --git a/seq/clusters_seq/cluster_1262 b/seq/clusters_seq/cluster_1262 deleted file mode 100644 index f59a4c7..0000000 --- a/seq/clusters_seq/cluster_1262 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009505584.1 membrane protein [Fathead minnow nidovirus] -MSSANQTILDGLFHFSDIGFSHGNTSIFTALLTFNLLWASIFLMGFHILLATLMYYLPILTRVPLVQTVN -NLAWFTACLLVTLVIYVAADSLIVKIFGAVFILLLLISAVLCVASVAQICMSFYYHKDMLLATKGTHVLS -INQTYYPLTHAPPAIIINTHNGVSYFQGHNLGAVAADSMSVVLFSGKYRQEFVVNRNCPKKLSVLVNEVP -HNFTLYHPEAKPTNV - ->YP_009130644.1 membrane protein [Chinook salmon bafinivirus] -MASTGTNITAEDPNIGFHLQLNDVLNTLDISGFSISNSHLMSAMLTLNLLWSAIFLVSFYLILDMVMYYI -PLLNKIPLLPTIKNLCWFISTLLVAVSIYASGTLLIIKIFGGVVLLLAFLGLVKTFYMFIAMALSYYRHR -NIAVALNGPNVVMVNGTAVHSDFIPTSVTLVKKDGELYFQGTKVPGNNLVDATVKIFTFNESFECLPIAL -SRYTKGSYARFELKSTNTHYELQTFYPSQTETKSV - ->YP_803216.1 hypothetical protein WBV_gp4 [White bream virus] -MSANQSQSISGALSQLTSSNSFYGGNVDILSSLLQFNLMWASVFLLAIHLLVNTLVYYIPFVGRLPMVGT -INNLIWFVATLLLIILVYVASDNIIVKAFGGILVLLLLLSVIILLYKSTMFFITLYYHQSFMVAARGPTV -LSVNGSHYSLDFIPSAVIITTRQGKCFYNGHDLGSCSADSCSVILFSGRYRSEFEVHRNSPKKLTVDVCG -YTTLLTVYTPKASKTDV - diff --git a/seq/clusters_seq/cluster_1263 b/seq/clusters_seq/cluster_1263 deleted file mode 100644 index 213a985..0000000 --- a/seq/clusters_seq/cluster_1263 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009440976.1 C' protein [Vesicular stomatitis New Jersey virus] -MIIWILPCRMPMNLKKDERINISKTSSSKIKEINQLRHIIRKKNRQIQILTIMLNILRCCRRMKE - ->sp|Q86609.1|C_VSNJO RecName: Full=Protein C' -MIIWILPCRMPMNLRKDERINISKTSSSKIKEINQLRHIIRKKNRQIQILIIMLNILRCCHRMKE - ->sp|P0C2X5.1|C_VSNJM RecName: Full=Protein C' -MIIWILPCRMQMSLKKEERINISKTSSSKIKEINQLRHIIRKKNRQIQILTIMLNILRCCRRMKE - diff --git a/seq/clusters_seq/cluster_1264 b/seq/clusters_seq/cluster_1264 deleted file mode 100644 index fb56e55..0000000 --- a/seq/clusters_seq/cluster_1264 +++ /dev/null @@ -1,11 +0,0 @@ ->YP_006495804.1 PIPO, partial [Apium virus Y] -NLSERIGHFMVRLKLVWKVASNAILTSMAQVLFKNVKPSRNARYKRQIQYLIGVITWRNPEVCFNKECRD -MSEMEFNNKHFKAKGFLKIPKFVCRSTTKNFQCRQHANSNEFAT - ->YP_006423944.1 PIPO, partial [Freesia mosaic virus] -NICRRIRQGVERLKLVGKILFNHFLEEITTFFFTATAQYKVRRYRRQIRRLTRLVTWKDETEVVWHSHWC -YPTDGGDTWFLQA - ->YP_006395327.1 PIPO, partial [Yam mosaic virus] -KLCGSFGAGVERTKLVGKMLFNMAVAKTCLAIFHYISPSKNRRFRRQVQRIGFLCTWKDG - diff --git a/seq/clusters_seq/cluster_1265 b/seq/clusters_seq/cluster_1265 deleted file mode 100644 index 2b7766c..0000000 --- a/seq/clusters_seq/cluster_1265 +++ /dev/null @@ -1,59 +0,0 @@ ->YP_009428583.1 structural protein P4, partial [Grapevine Cabernet Sauvignon reovirus] -NQIIALLSNPIQFQYHYNKVTGTHSLKLQGLWASFYPCSLDMSQPLFEKLRNPNEEIVIEELIRLLKEMQ -LSITLPVPTHPMEHGFRYCYMLAWVARAYEYTGHVWAEILKRPEILCYNPDQNQKPLDEFMNLCDAAQTF -TRVFGLKAYLLPGEVGVDLGSYTSVSASMSKKRVAWVRAIDFFNDFSYNHIPTNCWCAIHQTWAYDTPHF -ILFNSKQTFADYLIQKIRENRICFKEFYDGLGGQMNRVTEAVAVFCKNDFQLLKLARIQHNQKDIEAFLT -CHGAKSGAEYLQSIELSRPSVEDIGFNGAYFDVTQAMYQSLISSNRPMWLNINIIPNEIAQEWVRDEIIL -GEGTPNEDVIKWAELPLGEQHAFDSQSSQVIARLTSEFCPMSTLLHSVSVLPDDQGEIQLEIGRGVIQLP -KVEQRIVDEDERTGAQEIYDTKIEDKLWQIRQTGRVFGLTSRAISELRTQIKEARFGKVPAYSCVHLLQN -LLFSDPDTIVGEYIAKPSIRARNERLNSSSLKLLSVFLFLCRSILNLTDDMFDLTRQNQIPLISKILIGG -AIDDPFVRCLKKTYPNIKIMGFGLDAISPNIRMSVEGSSFKNMSCGILISDIDQAMYDTFDEMVNSTCEH -VIAFVSWASMGAIKINHPSAYLFNTIQDRLDQMDQRVYIFPFQVTYQNIFTPECFLMFHSTNRFHNGEVK -NYFSSQDNIFEMKAKWLSASNKNYQLPFANNFNRVLTKRQLSVESVAPCGYFSITVPFMLAPTAVEYLLE -VCNQVTSWKVERGTDVVNLFGRISKDRMALTQRTERSIQLFDSKSYKWTAKSFGTTSKKQNFSAMISMPW -YTVIAEAARLMMVDITEKIFDEGITKYCAIGSRNLTESYALRKYPVRCFDEYYANGQRVKEVYDIDYCQR -AYRYGREPIIDREGIMANFCLMSSLKPDSTVADSEAGDQCNKISSMVNAMKAQNFLQSCFMCSLYLNSLP -EELDYGSENLPLGLKFVAPDRITFGDYLPISSITLDQLAEVLGDDCSYVRYAMVSFDWVLKACNLHGWVP -SVAGASGLTLSEKLVVLIFVSPYFDVSDDASRANLVKPLPREVLRVGNGASASSNQAGPSSTQGTNAQAT -RRSDDKPAFQSWVDQSSEPREYTRKMAFPSHPPIAADSKISVPQRLKLSMDENFDRGISVAGPSHQLEHM -SLDSARVESIIHPRVRRSVSRTHSESSETSNPDLHPSLILRSSHRLSDQ - ->YP_003934921.1 structural protein P4 [Raspberry latent virus] -MEYNAPKFLIDQRLLKDPHPNQVSQLLKNPIQYDYSYHEITNQHSLRLRGIWGGYYPTTLDLRSNLFETL -RSENSEIPRERMIQMLRELQLSIKLPLPKIKDASFSSMKYCYLLGWIARAFEYHGPVWGRIGSDPTIVCY -RTASNVHPLQRFMELCDREASSVQLYGCRAYYLPGEQSPDLAQYQSINQVMADRYVAWMHPEDWRRNFSY -LHVPPTALCAIHHTWMYDLPHMTFFSNRIKMVEYLLVKVSENHKCFRKFNDGQGEEIDRVSEAVGTFRKN -DTPFLILHLLQNDQLLADSFLQNYPKITADEFLQMWPQFGIDTSKRKIEPHFIQITSTMKRCIAQSRRAH -WCYESLLNDEEVIPILDGIPTVGGAVVSDDLVIWSDIPLGPPLQLLSGRTFSAHEPLSSLLYTMSTVPSQ -SGQIHLQVGRGICELPTLANRQRSENEIASARNTFLTPLPDVIWQLLQTGRINPMMFHAVKKMRQQFTNG -GFGRVEVYSTLHLLQNLLFDDPDLTFGEVIPKPSVKAKPTRLNQNSLKLMSVFAYICRSILDLNQDHFDL -TQQDKIPIVSKIVIAGAVDDPFASCLRKTYPNINVIGFGTDAVSPNLRMSVEGASSRNMSCNILISEIGQ -ENKGTFEQMIEGVCEHVVAFVSWASLGAIRLSYPSTFLFNSIRERLDAMDQNVHVFPFQVTYQDLFTPDC -YLMFHRTNRFRNGTTAKYFDNSINMFEKKHSNLYKPSNDYVLCKPVRMSTVFTKRQLDLDTVPNCGYFAL -TTPWGNAAEVVNLLLESCEQVSTWKGERSGTLVNVVGRISKFRMALTQRNSADLGLTDGARMLSYNSFGV -SNRRMEFSSLLSIPWYTIVAEASRLMMVDIIEKVLPNVITQYCAIGSRNLTECYAVRKYPLRCFDEYYAN -GPQIQEAYGIEYLQRAYRYGEEALLAGEAVMANFSLMSSLQPDVTTQDAEADDQYNKILGMINSIKTRND -PTACFIASVYVNGLRNELDYGSDNLPLGMTFTAPNKLTFGGYVPVSTIDMDALVDTLREDCEFVRYAMVS -LDWILKACNTHGWVPSASGSSAIATVERVVMILIISSDFPNTPEAKRRNLVMPLPGSERFARAVDPPPPA -DQLLYRAVNVPAPPEIIQPVINTTIRNPENPNPESSSSNERPKRSLGLAFHPSVQLRNEHELRSSEV - ->NP_620515.1 P2 [Rice ragged stunt virus] -MTEYTNLPVKWTNGIVISDERMMLDPLPSEVSAVLDAPINYSYRYNELRRTHSLKLFGVWSGYIPSHADK -HLMIFQLLQQEKELTGDEIRLALRQMQGSIKLPINDKLLDSLDRYSLIFAWIAYAGCLDSAFWQQVCADP -KCLVYNPDDNLKFSLMFSLARQYSATTRICSADGLRIPSQISPDLGQLAFDLVFNSSVRQTVWFHANDFP -AFDFYTKDVGTMVTFHVNFLYNMTHCVPFKTKQSCAEYLIQKAHEAWSVYCGVLNDTIRHRLRLVEGVGI -VTLDVDLQILAAVGWYLPLLVYTIRSVSGSDISEWLNVARREFRDLNCSSMINGEGYVGVPEQFWTIHAT -SKARMWPRVKKYTCDLTDLYNGDLSSTVLGEGASETGTVKWFDVPLGPKVENFRVVGTRIGALSRANVIY -NYEDPSDNCDLARAIGSFVPSLPTSGTRDTNGDLEDAKKMFDYRVSQNVYSICQKGKISSLVSRSVKNLR -ASLMNGELRVYKGSRLWALRAMLFSDKLRYKSDGQVIDPYESHRGKITVRLNNSSLKMLSAFVTLIELAM -AQSSGVEDNMLNGRGLSPLQVRSDREVSRVVIAGAINEPLVGCLRRMYPKLSVIGFGMDAVGENERLTVE -GASQRNLACDMLISDIDQTFYSDFTKMCNVTVKHALAFSSWSDYVLMKVNYPSSHLLNEIKQALLSRGFS -RIVLPVVMCGQNSFTSEVFVYIGRAGVGGHVDFKNNWFTKNDILMRRYRHMKAPLITIPQVVHSVVSKCV -TKHDTELFANPGSIVALTVEYASAREVVSLISEVCSPVWTWRTGAGANKFVNIVGMPSKARAALTRRTDE -HYYLKAFERNIVGTSFGMYKGIPRIDALNCVSWVTIFGAAMRECLYWIVDTLKVQYNEVISIGARNMTDI -EFIKPSVKLTCYDEYYANPQDLATHYNVNYENKYFNWLSPTLVNDSVYVANFVIMAPTEGSESPSATEQL -DRIDSVAGAMAKSSITRMTFVGNLYDSRFLADIALSSLPPEGLKVNDTRTTVQIGKYPPCAAVKPSAFLE -RMKKYKGVLSYHVYPLGYDRVLKTCADNLWIPDVAGSPMLAFCQGLSYAFYITKPAIPDEGIDQFMLDDM -PDDGSGSVTPTQSPSPSPSPSAAANTEASTVVEPIDNLNVVSPTVPGQPSQTPVNPNQSTELQSVAKPGI -VR - diff --git a/seq/clusters_seq/cluster_1266 b/seq/clusters_seq/cluster_1266 deleted file mode 100644 index e730a48..0000000 --- a/seq/clusters_seq/cluster_1266 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009336644.1 hypothetical protein 2 [Hubei tombus-like virus 22] -MFDVSRANFSCKHQREMHLVEDHVLRQERFAFHNCAPNELDSLMRRHVIPQVSPSQEYIRFADKELRALW -RSIGAPRLSPLDDESLLSTRSRHVQRRWKRIAEEAATRPFSPKEALVKAFIKYEKYGLEHLDINKPPRAI -QARGPLFTFRLAKYLVPIEHKMWNWKPKWNHGLRVFAKGRNAAERAHDLLKLSQVYGSATAMIGIDHSKF -DSRVHVEHLKISHRFNARFYRGQDGRDLSRLMRCQLKNKCVTENGIFYVVEGRRMSGDIDTAKGNCEINL -TVLRYILRGLRGAIYLDGDDSVVACHPDDVDEVVRRVKSGAGTGMQSTVEVFDRFSKVEFCQARPIYTNG -KWMMMRNPVKAISNMCLMMKLPEEGVATRLATIGEGELHASSGCPTIYPFAKKLRRHGNVDEAYFEYRHK -LNRDLIPKEPDHEAEASAWDAWDLPPYYNRLSV - ->YP_009345007.1 hypothetical protein 3 [Wuhan spider virus 8] -MFVKMAKTIGRQPKASWKQMVASRMSKRRRRYALGAQYYWEQGVTRQDAHLSAFLKLEFYKEEKLVEKEC -RTIQHRRVAYNYALLRWLCNIEHSMYSKLRNVDDSPRILKSVPPPMRAFMVWRAWESLGPTVRCYCLDHS -RFDAHVVRDWLEMEHDFYKMCSESRRELAWLLRMQLRAHGRTAGGATYVQDGKRSSGDVNTASGNSADND -AMLLAHMPDNVCEIVAEVCVAAGFPDLASRASDYRFAVALLLQLLEVELTPKVGEEPPDPVEGTPLRVIT -YCDGDDGLVLSNFKLDFFFALFGFSTEITVATSLEQIEFCQSKLIMTSGGPAFARDPAKVRNMVMHCRNL -PYHQREGVLKASAFTEYIAQQGVWHNQMLAYKCYKACGSASPIFLNKDLAWRCAALEPVEITEPKWDPIA -IASFERAWQFTLEEALFFDPVLRTATEGVVKKSSTLDDGTEQKECFLPDHGWPDLVDPTVCWRCWAEQKP -EAAAAEETTRCCRHSCDSNCGGWGGDG - ->YP_009333294.1 hypothetical protein 3 [Sanxia tombus-like virus 7] -MLSPLVHKLKSANWKPATGSRFDLSRANFTCDHKREMSLVVDHPLREERFAFHKCLVNELDSLLRRHVID -QISPSASYIAFARKELLTFYHTLGSPTLSPLDPEALIATRSSHVQKRWRRIADQAMGTLFRRGEALVKTF -IKYEKYGADVLMSGKPPRAIQARGPLFTFRLARYLVPIEHLMWNWRPASNYHLRVFAKGRNARQRAADIR -RMELWSDTKFVLVDHSKFDSRIHTEHLKLSHYFNSLFYRGTDRAELRNLMDCQLRNRCVTEKGVFYEVDG -RRMSGDIDTAKGNCEVNYTVLRYLLRGVPSCIYLDGDDSVIAVPARYVDQVVSAVKTCRDTGMESTVEVA -QHFHQVEFCQSKPIFTNGAWTLMRNPIKAISNMCLMMRQPIEGVKERLATVGAGEMHASSGCPAIYPMAK -KLAGAGKVNAALFEYRHKLNKAIRPMEPDDDAKATAWMAWNLDLADQQHDCTLCFGNAT - diff --git a/seq/clusters_seq/cluster_1267 b/seq/clusters_seq/cluster_1267 deleted file mode 100644 index f319842..0000000 --- a/seq/clusters_seq/cluster_1267 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009407915.1 virus coat protein [Rice virus A] -MKYIVEFPDSSDQIVVHNSGGQLSSAGTFRGAAGGGIGGAIKEGLRDVIMTGGKKLAAKAADNAVDYVVA -KLPKKKQPKKVSGKLGRRAGTQQERAIVIAPRASKPYVTTTAPVSYGATLAGARTIARKTRQGHIVQGRE -FLGAAYDTKLVTTWSMCFGAPLTPVSFVDSILRQYGAMYNYFRWRKLTVHYVTTSPTSTAGSVMLYYNKD -RASTFINQTSPNLMPFVLSDPHTTIAPQWQNFSVVLETDSEWKRLDYGLTDDSTHYTAGEVFLLSKTAAS -TDSPGILLMEYEIEFKDENLTPRLLLWPQPTINFVPYTFTIPTATAGNPVNMLLGGTPIMGSNTNLVQPG -GIYKVIMDVSNSDFTGTTPALTATNIWKYPAGTGTYNASLVDGSTLYAVNGPSNVQFFTTLADAYVNNSP -VQWQTTITASTGRLFMWFSLIGYVGSSSINPNM - ->YP_009337126.1 putative capsid protein [Changjiang sobemo-like virus 2] -MAKTKKTQKSKKTKKQAQKQKVAVPQHGAVSTMSSAPVAIGNSVRGVTSQVIQTQNGVKVVGRDYCFAAS -PSPQTAFWGIVGGTPITPAAFSGSNLRAYTQYYAKFKVRKLVFHYITSSGTDSRGDVAFYYSKNRNSVFL -SPSSPSFLPMLMTDSNTVLGPQWVNHSIAINVTSNWLSTDYGMNSDVEKYAAGDIFLLYNGPKGESCGYV -LMDYEIDFAQHQLMPRSLALPIPRIQWWQTCIGVVAGAVTANTTAWFSLRDKNLAGVDKARPPGWTTGDV -YKVILNISDSAASAWAPVSVTPNNLVRYNLSSGIPGAAAVDPACPLIDGTTLYATTFTTPSSGSDPVFIF -YPTVEAAMLGSSDQALIWDASNTITVLIQVWLSFVGSVNTLSNTPNY - ->YP_009336813.1 hypothetical protein 3 [Beihai sobemo-like virus 25] -MAKKRVVRMARRKMAAPGGFGPVSTINTAPVSVGNSVRGSAPRVTQTTDGARVVGRDFAFALSGTSAAVT -NWEIIGSMPITPAVLPSSILRNYCQMFNKFKVNSVTFHYITSSPTSQAGDVMFYYEKDRLAPSPDYSNSS -FLPFVLSDPHTVIGPQWTNHSIRLTPTKDWKTTLFANQSDINEDAEGTIYFFSKTNSANSPGYLLMDYDI -QFKELSVNPRAGTLPVARGQSTFVSLTPTTSTPTVGSAAQFAWNAGKTIANVTSTIPNGASQGDIYKCVI -QWTASTLNNTFTGSVLPISDNILRYPSDNNITLDDGFTCYASYLGSNIRLFATLEAAVVNASGALEWATT -STGFYVALCADIMLVRQTESGTQSSY - diff --git a/seq/clusters_seq/cluster_1268 b/seq/clusters_seq/cluster_1268 deleted file mode 100644 index 9c36ba0..0000000 --- a/seq/clusters_seq/cluster_1268 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009389544.1 hypothetical protein CGV06_s2gp1 [Motherwort yellow mottle virus] -MSFINTLDISEEEAEQRQIVLNSRFRCVVSTDLLTIPACVALDFRKVVNQNQSYSYLRVEWKHNFPQPFG -FHVLPSGNWNVSGVSFSGALFKRASRLETAIKLLEENKASAAGSSSTSKLRKQVSDLEAELELLKEENIK -LKASAAKAKAKLHKLREEIADSVKSSRAGDDPEQNPKSTSPQQQELPEKSNQDLFKSWAGDGNVD - ->YP_009389542.1 hypothetical protein CGV09_s2gp1 [Lettuce necrotic leaf curl virus] -MNFINALDISEKEDEELTALRHSKFKCNAVSKLASVPARVQLDFRRTNEKGNTYSYLSVSWSHSIPQPFG -LHIIPNGQWDISKVTFGGALFRKACRLHTAIDVLERNKHSLTDPKKLAELQALVEAKDEIITQKDLELQA -KEAEIERLSASAAKAKGKLHDLRKIFADAQALATPIDESSESRQEDEAGPSNKPSNNDALFKSWVGDGDV -D - ->YP_009104370.1 ORF1 [Carrot torradovirus 1] -MSFVNDLDISEEESEFQRVIASSRFKCIVSTELLSLPAKVVLDFRRITETGTQFSILRVEWRHTFPQPFG -FHVLPSGNWNVSGVRFSGSLVRKACRLQTVEKVLESNKSQLSGGSSKSKVAVLEQQVKQLTADLEEARKS -AAKAKAKLHELRNTITTAKEQAESLDASPNALPDPVTPSSRNNNNGGNDSLFKSWADTGNAD - diff --git a/seq/clusters_seq/cluster_1269 b/seq/clusters_seq/cluster_1269 deleted file mode 100644 index 4be5c70..0000000 --- a/seq/clusters_seq/cluster_1269 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_009389243.1 fifo [Karumba virus] -QDFQMGPGEGTFARLALLALALHLISQNTRHGWITRVACAAMLFSVTGLPYHLRYWWPVIGFSVLKNASG -QSLLVSLWLALHTTGGHLVCLGSLLRQTRWCYRLQSLMMLVASLSLYRFRGITWLMNIIDLVAPLISISF -LYKARTLLLGEVVLIGSGLALSWGNALLTLGVLFGTHMVIASLRKTANAFEPGLRANISLCNFPQQVVRD -MWTVLKNWRRAPAPWRSSGRTHISQLAVYLLLLGLAYAFHLLNLEVLAGACVLIGFLWILMGEMLTSGEL -ELRRVSALEIPQGLE - ->YP_009389242.1 NS2A [Karumba virus] -QPVTPPPVMKTMGAEATQDFQMGPGEGTFARLALLALALHLISQNTRHGWITRVACAAMLFSVTGLPYHL -RYWWPVIGFSVLKNASGQSLLVSLWLALHTTGGHLVCLGSLLRQTRWCYRLQSLMMLVASLSLYRFRGIT -WLMNIIDLVAPLISISFLYKARTLLLGEVVLIGSGLALSWGNALLTLGVLFGTHMVIASLRKTANAFEPG -LRANISLCNFPQQVVRDMWTVLKNWRR - ->YP_009305406.1 NS2A [Anopheles flavivirus variant 1] -AQDFQQGPGEGTFVRLALLAIALHLISQNTRHGWITRVACASLMFSITGLPYHLRYWWPVIGFSVLKNAS -GQSLLVSFWLALHTTGGHLVCLGSTLRQTRWCYRVQSLMMLLASLSLYWFRGVTWLMKTVDLIAPLVSMY -FLCKARALLLGEIVLIGSGLVLSWANALLTLGVLFGIRMAIALLRRKANVFEPGLRDNISLCNFPQGVVK -DMWIVLKNWRKVPVPWRSSGR - diff --git a/seq/clusters_seq/cluster_127 b/seq/clusters_seq/cluster_127 deleted file mode 100644 index d6ddbd0..0000000 --- a/seq/clusters_seq/cluster_127 +++ /dev/null @@ -1,280 +0,0 @@ ->YP_009329882.1 RdRp [Wuhan insect virus 23] -MSYIGKKNGFGQRFGPARPSPYLDFLAPLAGKFERSGLSLALVRDDIKKNMSSKSSEPTDLLYQEAKRRT -LRAFMLDKPVPMIHLNDVFQEDLPIWSSSPGLPWMQCGYRTKNDIRRDPDAINRIRHFWHRIKCFEEVHL -PDCCAYVRTHIVERGETKVRAVWGYPATVTFGEAVFALPLIQAYKKGGYPIAYGFETGNAGCKKMFHGMK -GNHYLGIDFTSFDKTLPPWLVSTAFDILAYNIDFLHYRDHGIARVKSMVHMFNVIKDYAINTKIRMCNGE -RYIKKSGLASGSYFTQLVGSVCNYLLLTYAMLQLNVEINDILVFGDDSILAVNTAVHPDEVQEVLLPLDM -TVNVKKSGSSKYISNLTFLGYKICDGIPTRDREKQFAAIVWPERPDKCWDDVASRCFGIMYANFGVDPVV -DFWCRRVVNFRPFDLHMTRDQQRFLDLLGLKPTTVPTMHDLSQRIGFLAV - ->YP_009329869.1 RdRp [Beihai barnacle virus 13] -MFSLPRGKGFGQYHAGERRQTPYLPEITDIPYHRSAVTLSAIRSDLKQFGTTQKIEYTPTLKAAIADAYK -EFGIGDVKMLHLNDVMTYKGVDIWNRSPGLPWRQHGYKTKGEVVSDMDAQRSIRWFWHRIKDGAQMRAPD -CSAFTRSHVCKVGEEKVRAVWGYPMTITMGEAVFAIPLIEAYKARGSPIAYGYEISVGGARKIFRDMQTS -EFKAALDLESFDKRVPRQLIEHAFDILAQNINFMEYRDRGIADVRRNIRMWEYLKQYFLDTPVRLCTGER -YLKRGGVPSGSYFTQLVDSIVNYILVVWLAYELTGKKPRYLKVMGDDSIAGLDSPFHLHEADALLRTVGM -KLNVPKSILSKDVADLTFLGYKINNGIPSRPFDKWIASLVYPETEDESWDDVATRALGLLYANCGVDSSF -DRLCRHIINFKPFDLHISRSLSRLLWSLGVTGLDKAVPSSLDFYLRLL - ->APG78248.1 RdRp [Hubei partiti-like virus 44] -MLRRLSSKSGFAQRHYASTYPSPYLKFLPAPTDLWRSPVTLPLIKRDLFEFVNNSKIDSTNVPSKMRQAI -KLAEQAFRIPKQPMLHLNDVMAKSLPIWSRSPGLPWTQLGYTTKRQVRDDPEAVSSIRKFWHYVKRGKVA -YAPDSCAFVRSHVAAIGEYKVRAVWGYPMTMTMGEAVFALPLIEAYQLYDSPIAYGFETLTGGHHRLTQL -FRDQHYYAGLDFSKFDKTVPPFLIEIAMAILAKNIDFGVYRDHGVADAELNFAMFKFIKDYLIATPIRLC -NGERFRKDTGIASGSYFTQLVGSIVNFILVNWLYLELTGRPPRVIKVLGDDSLTASDSKLDLTLASQLLG -LLGMALNEGKSCQSVYLADLDFLGFKINSGEPGREVSKWMAALHFPERPDKSWDDVASRCVGLLYANSAV -CSEFDGLLRWLIKLNPFRLKLSHSITKMLMMLGIKSVSTTLPSERDFFRRLRIM ->APG78249.1 RdRp [Hubei partiti-like virus 36] -MYIGRARGFGQKFEPPQPSPYLEHLLPYCNGIQRSPVTLSLIREDIKDNLKSQNNVKPTNDYYLDEAIRR -TREAFLLPEKQPLIHLNDVFDQDLPIWSSSPGLPWTQYGYKTKGDIKRDPSAIQRIRWFWHRVKCGKKVG -LPDCCAYVRSHLVKPGETKVRAVWGYPATVTFGEAVFAIPLIRAYQKHRTPIAYGYELGIGGMKKLYQQF -GKHKYFLGIDFKKFDKTLPPWLIHIAFDVLAQNLDFGKYQDRGTPRVGCVLRMFNAIRKYAICTTIRMCN -GERYRKKAGLASGSYFTQLVGSVCNHILLTYAALRNNIKIEDILVFGDDSLVAVARPLTPDDVADALERF -GMVVNVGKSGFSKNISSLTFLGYSINAGIPKKSTDKSAASLVWPERNDYTWDSLASRALGILYANLGVDN -LIDHWCRTIVQFKPFDLVLTRDQQRYLAMLRLEPGGTLPTAFDFAFRLHGIG ->APG78313.1 RdRp [Hubei partiti-like virus 43] -MLKVLPRKNGFSQRHQSGGTKTPFFPINDDFGYHRSPVTKDLIRKDVLEFARNPVVCKDLEMKLAINQAR -QAFSLSTPVPMLHLNDVFDYDLKIWSSSPGLPWIHYGYKTKRDVINNELSRKQIRWFWHRVKRNEPVSPA -DCCAYVRSHLCELGEEKARAVWGYPATITLGEAVFAIPLIEAYQKGKYPIAYGYDTAIGGCAKARARFSS -GYISALDYSKFDKTVPAFLIEAAFDILLTNIDLGKYRDYGTADVLQLHRMFDYIKRYFIQTPIRLFDGTR -YRKQSGIASGSYFTQLVGSIVNYILLQWLFLKQHETPPEDCIVFGDDSLVRSKSEFSILRAQEHLKILSM -RLNIQKSAVSTDISDLSFLGYNIGDGIPNKPYRNWMASLIHPEVRDKSWDDFATRALGLAFACSGQNDAF -DSLCRAIVSRRHFELSMSKSFRRFLASQGIDEISKKIPSKLEFLIRLKVL ->APG78238.1 RdRp [Hubei partiti-like virus 41] -MVTRLPRADGFSQRHNVQPGVTKYLKALDAGYNYWRSPVTLPLIRDDILSFSNDFSGPVPPLLQRAIGYA -YRAFRLPKPVQMLHLNDLFTYPLKIWPRSPGIPWRALGYKTKREVADSAACRKSIRWFWHRVKNGERIGA -PDSSAFVRSHLAPFGENKVRAVWGYPMTVTMGEAVFSVPLIEAYQSCNSPIAYGYETAIGGMKRLTAEFN -SKFYVALDFKSFDKTVPAFLVKAAFDILSSNIDFENYRDYGVADIRKTSRMWEYIVRYFIHTPIRLANGE -RYRKHSGVASGSYFTQLVDSIVNYIIVVWCCLELEGCVPFDIKVLGDDSIFGTRHALVLSDFADIVSRIG -MTINVKKSSSSRRLGDLEFLGYTINDGTPSKPHDRWMSALLYPEFPDRKWDDVASRAYGLYLANFAVDYQ -FHALCLEICSFRRFAFRPSAGLTRMLDVIGWSEFPGRPPDLWDFFRRLQVL ->APG78299.1 RdRp, partial [Hubei partiti-like virus 55] -MVQISGLERFNVRPYRNKRLRTYCKNGMTDKLKIQYDDNVGDVLRAQGYHVDESPRSIYKTDKLFEALAK -YAPGRVSDPVRDRHLDAGIALARTCFQRRSIEERLSPLPFTPATIVQVTSKPSSSAGLTMYGCSKGEAQT -RALERGLQTLRSEKAPEPCIAFSRTQFNDKTRLVWGYPYSETAIEGMVAHPLIEMFKGLNSPMAFGIYTC -ALGSKLRVAAYNNRFAYSIDMSSYDASISGFLIGKAFEILHSWFDLNEVEPVSGLTVREIFQHIEKYFVC -TPIVMPDGNLYLGKRHGVPSGSYFTQLVDSIVNVIIAGTIASRFNMHVDRRGIFVLGDDLLMWSDRELDL -KVLAKYASEQFGVIFNAEKSARFRAGEAIHYLGRDWVSGIPTLNEMGILQRMVYPERFRRYPKDPAERKR -QVKLLILSFAAVYYNAYPIMRKCLGAWSWRETPGAVEVSAYYHNGRECEPDTDFLTGLQRYRREYVFPGS -CGSSLAIQA ->APG78274.1 RdRp [Hubei partiti-like virus 49] -MWETNWVRVNPTPKLVQSKIDKYFTRMPSSLRGSVYLRALRKARSDFTLPSPVRVRHIDDVIKTYPNPDK -SPGLPWTRMGYRRKDEVPSYYIRRACHQIKTRRITQWNVPCNGVAKTMVAPEPKIRLIWVYPCEMTYIEG -MFAMPLIEHFNTFFPNNCYAIWVKYGKGHMKYIQAQKKSGKWLGMDWSSFDSNVPSWLIHDAFSILARNI -DFQGPYEGYGRPNEQDSFCNLWRCIIKYFIYTPIKCPDGTVRVKKHGVPSGSYFTNLIDSIINAIVVHYL -LDHCRIGFHLFMGDDSLVLIESGDITLESLANTAKKKFGFVLNTDKSEYSDTPKFLGFGIDPRGCPVSDY -EKLVAQVLLPSYPDKHEHDFYTRVRAIQLSSLGGNFRFHTECNQILAQYAGDFTLHSKSDLQYKLQAIGI -SIHTNMEVLLSAVR ->APG78203.1 RdRp [Wuhan fly virus 6] -MKYIGKVPGFAQRTYAHVYQTPYLPEYKTGKWRSPVTQTLIKHDLLEFGNSTKMPDNVNLKYAISLAFND -FALPERVKMIHLNDIFQQDLDIWNKSPGLPWRDIGYKTKGDIRKDPEAIRKVRWFWHRIKGGEDIRPPDC -LAYVRSHVANIGENKVRAVWGYPATITFGEAMFAIPLIRAYQKYRRPIAYGYETALGGALKITERFSPYQ -NFAGLDFKKFDKTVPRWLIEVSFDILASNIDFVHYEDYGVADARRNIIMYEYIKSYFINTTIRTANGLRF -KKSSGIASGSYFTQLVGSVANYILCQWMCLEQHGHFAKDILVQGDDSLFATSAVFKLDHGFEMMSSIGME -LNREKSQITTELGSMKFLGYKIGFGVPSKTREEWMTALLFPETPDLSYDHLQSRALGLYYANMCVDRQFG -ELCKDIVNSRPYDLILSRDFERRMKYIGISLDVLKTGYMPTEMEFARRMR ->APG78322.1 RdRp [Hubei partiti-like virus 34] -MLRLPSKQGFGIQPNLALPSPYAEALFGCGLDLMLRSKMTMALMKKDVMEMSQNMRIINDPDFKFACLCA -FDAFKFRNKVIPYHLNDVFFLDLPIRTSSPGLPWQPDYKTKGEVMDCSLARNSIRWYWHAVKRGDEMIPS -DTKVLYRSHLTDDVPKIRAVYGYPVTMTLGEAQFALPLIEEFKKGQTPIAYGFDMVTGGAMRLRRALLKF -KHYNCIDFKRFDKTVSKQLIEIAFNILEQNIDFTSYRDHGIPDARRLYRAWNHCIDYFINTIIRLPNGDR -FKKSAGIPSGSYFTQIVGSIVNYICLVYACVKITGKVPLYIKVFGDDSVFATDVGIDVFDFYREVYKLGM -EINLKKTVITADVDKVDFLGFCIAGGFPQRSTRKWMSALFYPEYPDKTFADFQSRALGLLYANHGVNPDF -DKIARCIIGVSPFSVCLSRDFARYLRNIGIDPGGLSTLPPSRREFLFSLLG ->APG78250.1 RdRp [Hubei partiti-like virus 47] -MAWNAAVPFFPKTTWIRTTPRPWHIMSKLRAYHEKLPSSFDDARLQRAILATKRALKIPKVRPLHINDAI -KQYQHPDRSPGLPYTTQGYRRKDEVDPNIIKQYIHNLKYNIYSKCKTPCNAIGKSMVGPKPKCRLVWCYP -AHMTFAEGMFAMPLIRALIAKRGMYGGWIQYSKGDMRLLMSRRCRPLWLGADWDAFDSRVPAWLIRHAFN -ILKEYIDFDHYEEWGSPTHPETLPRLWNRIVHYFINTPYKQPDGVVSVKHQGVPSGSFFTSLIDSITQAI -AVHYALDRAPRDMWVLGDDLLVSVDRSFNVEEFGDLIGKKFGFKLNLDKTEVGSHVSFLGYKMTPEGRPA -ARYHKLLAQLLLPSAPDQSLMDFVSRGRALQLSCFGLGCIEFTQQVQAFIDRLDIRVDYHLSKRDELRTK -LENLGLGHWPPLIRVMQVV ->APG78293.1 RdRp [Sanxia water strider virus 18] -MSVVYLGKGRPFSQRHFTWTKTPFCPPEAILHAGNLRRSPVTLASVRNDIFQFGGPSVPEPRDPLYWDVL -RKAAQAWRLDKPVNPIHLNDLPQYRLDTDSSSPGLPWKNDGFKNKRQVMDDPIAFGSIRSFWHRVKYLKA -GKPADCAAYLRAHLVEEGDLKVRAVWGYPATIGFQEACFALPLIDAFKKARFPIAYGYETATGGCRRLRD -RFHTHRNLLTVDFKSFDKFLPAWIIRHAFSILCLNIDFTRYKTRGIPDALALYNAWNYIVDYFINTPVRL -CNGERYRKRRGVASGSYFTQLVDSIANYIMIQYAVARCGSSIKDILVLGDDSLVATTGKVELTEMSHHLR -MFGMTLNLKKSSTPGCIYDATFLGYQIRNGVPLKPEAEFWAALVHPEHPDQSWDEYATRACGLVLASFAQ -HDRFYDILAKQLKDQPFKPTLKPGLRRYLQTIGYTTVPSVLPSKLSLALMSER ->APG78332.1 RdRp [Wuhan house centipede virus 8] -MMKYIGIVPGFAQRTYAHILQTPFLPSYKTNKWRSPVTQSLIKHDLCEFGNKNKMPDNMLLRHAINLAFN -DFALPEKVKMIHLNDIFQQDLDIWNKSPGLPWRDIGYKTKGDIKKDPEAIKKVRWFWHRIKDGEDIRPPD -CLAYVRSHVVDIGEYKVRAVWGYPATVTFGEAMFALPLIRGYQKYRRPIAYGYETALGGARKITNRFSPY -QNFVGLDFRKFDKTVPVWLIEVAFDILASNIDFVRYDDYGIADARRNVKMYEYIKHYFINTTIRTANGLR -FKKSSGIASGSYFTQLIGSIVNYILCQWMCLELQGVFCKDILVQGDDSLFATSTVFDLQKAFKMMESIGM -EINREKSQVTTELGTMKFLGYQLGFGVPRKPRDEWMTALLFPETPDITMAHLQSRALGLYYANMYVDRQF -AELCKEIIQKCPFDLVIPRDFERKLKYIGLSLEVLKTGRLPTEMEFARLMI ->APG78331.1 RdRp [Wuhan house centipede virus 7] -MPLRYIGKTTGFPSMTFDEIYPSEFVLPFLREIPNKRRSPVTQQLIIDDLKQFNNTTTRPIDPVLDLAIA -HATRKFMLPTPVRMLHLKDVFRQTSDIWSRSPGLPWTRYGVKTKGQIRDDPDKINEVRKFWHFVKVGKEI -NFPDCCAFVRAHVCDLDEHKVRAVWGYPATITFGEAVFAVPLIRGYQSLPINNTPVAYGFETALGGMRKM -KDRFCTVGLSYAGLDFSSFDKTVPAWLVRVAFDILRCNIDFTYYEGHGVADARRMIYMYERIVDYFINTS -IQTARGLRFRKSSGIASGSYFTQLVGSIVNCILLHYACLKTIGRMPFDSIFLGDDSFLSTSIPLDITAIA -SCLLPFGVVINVKKSQVSSCIDSMKFLGYYINHGIPRKDAVDLLTSLTFPERPDKSWDEAASRALGIYYA -NFGVNREVSEICWKMVTLKEFTLTYGRGFERMLKHIGYSDLDVRLPTPVEFLRRLAIFA ->APG78254.1 RdRp [Hubei odonate virus 13] -MNPKYLNSSKGFGLKPTTDTLSPYALAILPEHIINNLTKRTQLRYSLIVKDMMKMGCNKTFIKDQMYDYV -VGKAYRAFQIKTVRPKHLNDVMNDKTLDIRRSSPGIPWQPMYKTRGEVFDSGIARHSILKFWGRVRKGDK -LQPPDCKVLYRAHLEKEDGSPKIRAVYGFPTTITVGEAQFALPLINGYLKDTTTPMAYGFDMATGGAHRL -RKQISPYSCYGCFDFKDFDKTVSKQLITDAFKILLNNIDITAYEGWGTPDGFKLLKQYKYIIDYFLNTPL -RMPNGDRYMKFAGVPSGSYFTQMIDSIVNWILLNYAFLTRYNRMPEFVKVFGDDSVIADKHQFNKYAICQ -VLDEKCGMMINPQKCLYTTNVDDVEFLGFKITGGFPKRKFNKWVSLLANPEWPDQDWDDFATRAMGLFYA -NVGQNAEFDDLCRKICGAIPFRAKFSRSIMRLINILGLTQDDMKTPLPCQTEMLIRAMR ->APG78244.1 RdRp [Hubei partiti-like virus 29] -MAFIGKGRGFCQRHFPQTKSYYCPNWAIENAGDLVRSPVNLDLIREDVRLFNRACVARFSDPLLDKVISD -AFYKFSLPQKVNPIHLNDIPKLQLENWGSSPGLPWKEYGYASKRDVANDHKAFNNIRKFWHLIKEGKKIS -PPDCAAYVRPHLAQRGEVKVRAVWGYPATISFQEACFALPLINEYKKGKHPMAYSFETARGGCRKLFHRF -HPHRNFLSSDYSKFDKTIPSWLIYVCFDILLANIDYRYYQQEGQPNCEKLYRAWRFIVHYFINTPIRLCN -GERFRKKSGIASGSYFTQLIGSIANWIVTQYCIREQGNKVLDHLVLGDDSLVAMAKRLDIDRFVRTARLF -GMIINADKTQTADRIHDIKFLGYHINNGNPTRPQKELCAALAYPERPDQDFSEFATRALGLLIANFGHNI -QFDNCCRSILEVEHKTRYTPSLVRYLRVLGIEELPKKPPDLTTLLLWRYRT ->APG78213.1 RdRp [Shuangao partiti-like virus 1] -MPLRFLNRSTGFAQRTQATTEQTPFLPQVNTGLWRSPVTQADIRRDLEEFSNSMTRPKDRLLDEAIRITA -RAFALPKLVDMIHLNDVFQEDLDIWSKSPGLPWKELGYKTKGDVRNDPHAVTQVRKFWHFVKEGRKVSPP -DCLAYVRAHTAPIGEIKVRAVWGYPATMTFGEAVFALPLIYAYQKRDGPIAYGYETATGGMKKITKRFGH -HTNFTGLDFSKFDKMTPTWLINIAFDILMLNINFVNYRDYGVADARRTLRMFEFIKEYFVKTTIRMANGA -RFRKTSGIASGSYFTQLVGSVCNHILCTWMNLQQFGRPPLDILVLGDDSIFATSKPFDLNAGGDLAKGIG -MKLNGIKSQTTTSLMTLKFLGYRIGKGIPSKDHDEWLTALLYPEMPDKSFDCLQSRALGLYYANMCVDRR -FSQICLDIIKSRPFDLILPRDFERRLKYIGIAIDVIEKGELPSETEFALKMI ->APG78243.1 RdRp [Hubei partiti-like virus 46] -MPLRFIGYSTGFAQRTYTHTEPTKYLKDIFSTEKHRSPVTQSGIRDDLFQFQNSTSQPDCPFLRLAISRA -YSAFRLPQPVKMIHLNDVFCQDLDIWNKSPCLPWSSLGYKTKGDIKNDPEAIRKVRLFWHRVKAHEDLRP -PDCMAFVRPHVCLKTEKKLRAVWGYPATVTFGEAVFALPLIRAYQTHRRPIAYGYETALGGMRRIYQRFM -GKKFYYGLDFSKFDKTVPTWLIHAAFSILASNIDFLNYEDHGVADVRRMYHMFRYIENYFVNTPIRMADG -LRYRKSSGIASGSYFTQLVGSIVNHILIEWACISLVGKFPDDVIVLGDDSLFTLPVAVPLDDFEALFSTV -GMHLNLSKSQITTELDTMTFLGYKIGRGIPSKDHDDWITALLFPETPDRCFADLQSRALGLYYANMCVDQ -RFASITAGLVKMKAFDLNISRGLERHLKFIGVALDVLQRKHLPSAFEFAKLMI ->APG78200.1 RdRp [Wuhan insect virus 25] -MYLGRAKGFGQRFELPEYSPYLTEILPQCSKYTRSRVTLQLIRDDIRENRINEKAVRPDDPILDEAIRRT -CRAFQLPEMVKPLHLNDVFKQELPIWSSSPGLPWTQHGYKTKGDIRKDVDAINRVRHFWHRVKTGQRMNM -PDCCAFVRSHICERGEAKVRAVWGYPATVTFGEAMFAVPLIRAYQTYRTPIAYGYETGVGGMRRIFQQFK -GKHFLGIDFSKFDKTLPAWLIRVAFDILAYNVKFHEYQDYGVPVAAALIRVWRVLTDYCINTRIRMCNGE -RYIKKAGLASGSYFTQLVGSICNHILLTYVVLKNEVTLRDILVFGDDSIIGVDERLTPDDVQATLAPLGL -VVNVAKSGCSTNISNLTFLGYQINDGFPKKAREKAIASLVWPERNDRCWDDLASRALGILYANLGVDPYV -DRICRTIVELKPFDLALTRDQKRYLGMLKLEIKKDPPSLMDFLLRLQ ->APG78281.1 RdRp [Hubei partiti-like virus 42] -MYQLTPRPGFGQRHISRPRPCPYLTYDALNKYTRSPVTIKLIRDDLLQFGNTTSRPVDCMMDLAIAQARR -AFSLPEPVKMIHLNDIFSLDLPIWSSSPGLPWSQLGYRTKNDIRHDVHAIKKIRYFWHRIKYGEKLSFPD -SCAFVRSHLVEYGEHKVRAVWGYPATVTFGEAVFAIPLIEAYQNGSYPIAYGYETAVGGARKLLNELKGE -FHHAFDFKSFDKSVPEWLIRIAFKILLQNINFCEYRDYGIANAERNFVMFQSIVDYFINTKIRMCNGERF -LKRGGVASGSYFTQLIDSIVNYILITWINLKIANCLPQYIKVMGDDVIFSGRKVDINEAQDLLATIGMRL -NIQKSAISRDPSDLTFLGYELNHGAPSRPFDKWMAALLFPERPDKCWDDVASRAVGLLYACAACDSKFDG -LCRTIINLRPFDLQLPLGMRRMLNMIGVFDIKKDPPPPLEFYKRMGII ->APG78266.1 RdRp [Hubei diptera virus 19] -MSYIGKSRGFGQRHFTITESPYCPNLAIQKTEDLVRSPVTLDLIRRDVVSFKSPSPCRPENELFDAVVRD -AYNAFHIPAVKPIHLNDLPLLNMDTDSSSPGLPWREMGYRTKKDVLNDKAAFQSVRRFWHRIKEGENINP -PDCAAFLRAHLVERGEQKVRAVWGYPATISFQEACFAKPLIEGYKNQGPIAYGYETAKGGCRRITNKFCH -FKEFISSDYKSFDKTIPSWLIRIAFDILCSNIDFRAYEAAGVPNSRKLHNAWLYIVDYFINTPIRLCNGE -RYRKRKGVASGSYFTQLVDSIVNWIVTTYAIRKQNITVHKLLVMGDDALAAVSQRIDLNAFMDAAAECGM -IINVKKTQVSDCLPEMKFLGYKLNNGAPVKDPKELWAALRFPERPDRTFDDFVSRAYGLMYANFGNDIEF -DNCCRYFLEMPYKLNISPSMKRFLKILGIDQLPAEPPSRFRLQLLRY ->APG78261.1 RdRp [Hubei partiti-like virus 38] -MSFIGKSKGFGQRFTPPAITPYLQVLAPHAGEYVRSNVSLSLIREDVKRFKPHGTEPVNDPYMKIAIAKA -TNAFRLPQPVKLLHLNDVFKRDLPIWSSSPGLPWNQQGYKTKGEIRDDPDAIKRVRWFWHRVKEGKSVYR -TDCCAFVRAQLAKVGEFKCRAVWGYPATMVFGEAVFALPLIDAYSKISSPIAYGYETGTGGMHKLYHEIK -GAHYLGIDYKNFDKTVPEWIIRVAFDILFLNLDMLGYKDYGVTRVDCIMRMWNYVIDYFINTPIRLCNGE -RYQKRGAIASGSYFTQLIGSIVNYILMTYVSLREDNRILHIKVLGDDSVTAFSRPIYPSDVAKYVEPLGF -TINTTKSGSSKYLSDLSFLGHQINCGYPLKPRSKVIAGLLYPERPDSCWDDVASRALGILYSNLGVDEHV -DFWCRRVVKFRPFDLALTRNQEKLMRVLKIPIPDVTEPPDLLAFHRRLMGAS ->APG78345.1 RdRp [Wenling partiti-like virus 3] -MRYGTSLPTRYATTDSKHWRSPVSLDGVREDVLSYHNTTVKPNCRHLDDAILAARRAFKIGERVNPIHLN -DMLQTASFTDASSPGLPWRLYGYHTKKEVRSDERAVQSIRYFWHQIKCGKDINPADCCAYVRSHLTVYPK -EKIRAVWGYPYTVSVQEACFAVPLIDAYKKRGPIAYGWEMVKGGMRKVEALLSQAHFKYALDFSSFDKTL -PSWLIREAFDIIIANYDFSRYQDAGIPHTDALHRVWDYIVNYFINTPIRLSNGERFLKDSGVASGSYFTQ -IIDSVCNFILIEYICRKFGIYPSGIKVLGDDSIFCSNNYVSLDSMQPVFADLGMKLNVEKSIVTESLFDI -TFLGFRFENHLPVKPTEDWLAALHHPEHTDKSFDDFASRALGILYANCGVNLDVDQYCRAIIKSSPFRIK -LSRSFRRYLRAMGVERLKKEPPPPTTLCLNILFG ->APG78330.1 RdRp [Hubei partiti-like virus 45] -MLRFKGRFKGFAQRTHSIVEPTPFLPSDRCPHDLWRSPVDQRLIREDLFEFFNDTTEPDSPLFRLAIHDA -RDAFHLKSKVRMLHLKEVFEQPLEIWSRSPGLPWRDLGYKTKDDVRRDPHAILKVRKFWHLVKHNDAIDP -PDSCAFVRAHVVQRPELKVRAVWGYPATVTFGEAVFALPLIRAYQRGGSPIAYGFETGAAGFSKLRSVLK -GNSYCGIDFSKFDKTVPSWLIRLAFYILADNIDFKNYEGYGVAEPTCMWRMYEYIMDYFVNTTIRLANGD -RWQKSSGIASGSYFTQLIGSVCNYILLRWFCYEAGVTVDGILVFGDDSLLATRTPIDLDVFASMAEKVGM -HINLEKSGVSQFLDRLRFLGFYVNHGMPLKPRNEWLASLYFPENPDKTWDDVASRALGLYYANQGVDEYI -SDLCASIVHLRPFDVQLSHSMRKMLSVCGHTLDKIGPDLPSKIEFLYRMKVL ->APG78342.1 RdRp [Wenling partiti-like virus 2] -MPDCAAYMRAHVVKLGEQKVRAVWGYPATVSFMEACFALPLIEAYKEYSTPMAYGYETARGGVRRLIGEL -GNGTCFGCDYKDFDKTVPPWLIRIAFKILASNIDFRCYLHKGIPMSSKLYHAWKALIEYFIKTPIRLCNG -ERYKKNRGVASGSYFTQLVDSIVNWIVTVYCLRVQGLEPNKIKVLGDDSVVNVSHPVDIEKFSESADSLG -MTVNQKKTDQADDCSKIKFLGYYLNTGIPRRPVEELWAALRFPERPDRSYDDFATRAMGLLVANFGKDTE -FDLTCRECLKWPFKIKPNPSFTRFMAVLGIDVPLQAPSTFQLGLMCS ->APG78277.1 RdRp [Hubei partiti-like virus 31] -MDVDAFRSIRTVWHLVKEGKRVNLPDCAAYVRPHLVEKGEKKVRAVWGYPCTVSFQEACFALPLIEAYKQ -AKTPIAYGFETARGGCRKIFLRFGKYSNFLSSDYSRFDKTIPAWLVRVAFDILFANLDLTEYQDYGIPDT -LKLYRAWKKIVEYFIKTPIRMCNGERYRKAKGVASGSYFTQLVDSIVNWIVTQYCIRKMGIKIHDHLVLG -DDSLVATNRQVDPHLLTSYAGRFGMIINPEKTQLTSRIQEVKFLGYYINNGGPSRKREELCAALLHPERP -DRDFNDFATRTLGLLIANFGHDIEFDSCCRMILSVPYKVQYSPSMTRYLRVMGIDQLPKEPPDLFQLQLW -RYRQ ->APG78199.1 RdRp [Wuhan insect virus 24] -MFIGREKGFGQRFDPPEHSPYLTSLLPYCGEYTRSRVTLKLIRDDIKENRLNEKATRPNDYLLDEAIRRT -RHAFQLPELVKPLHLNDVFQQDLPIWSSSPGLPWTQYGYKTKGDIRKDPDAINRIRHFWHRIKTGHNIRL -PDCCAFVRSHICKSGEAKVRAVWGYPATVTFAEAMFALPLIRAYQTYRTPIAYGYETGIGGMRKIFQQFK -GQYFLGIDFTKFDKTLPAWLIRTAFDILAYNIDFTKYQDHGVPFAPALLKMWNLLSDYCINTRIRMCNGE -RYMKRAGLASGSYFTQLVGSICNHILLTYAALKRGVEIRDILVFGDDSILSTDDSLTPDDIQDALEPFGL -VINVAKSGYSKNISNLSFLGYRINDGFPKKAREKSFASLVWPERNDRNWDDLASRALGIMYANLGVDPVV -DYVCRRIVEFRSFDIVLTRDQQRYLSMLKLEIGRDVPTMLEFMLRL ->APG78218.1 RdRp [Hubei partiti-like virus 48] -MAWNAAVNLLPSTDWTRYRPKPRDIAGKVQTYFDSLPSRPHGPRYEQALAQTRADFKVPKVLPFHINDSI -KHFQHPDRSPGLPYTKQGFKRKDEVDPNIIKQYVHNLKYGIYNKCTTPCNAVAKSMVGKSPKFRLIWVYP -AHMTFAEGMFAMPLIRAYQQLRGSYAIWVRFAKGDLRFLKSLRHPDNTWLGLDWSAFDSRVPAWLIRDAF -AILRDNLDFSRYDTWGKPTDGHTLDRLWKQVVDYFINTPVKLPSGRVLKKKQGVPSGSYFTSLIDSVCNS -IVIHYLLAETSYARDHLWVLGDDCLVELSSSVNVSEMANVAEQVFGFTLNIDKTEKGDNVSFLGYKMSPE -GYPKASFDKLLAQLLLPASPDRSLQEFAARARALQLSCFGHGSLRFTHMVQNVMDELGCTDIDPSFLHRR -DELSGKLEQLGLAHWPPLKDVMILV ->APG78294.1 RdRp [Sanxia partiti-like virus 1] -MMRSIKHPFTTNWNRTPPTPRHLYECYTKYMHSKPPRPNDIYYQEALRQARADFTTRKINPMHVNDVIRH -YKNTDRSPGLPYTTQGYKRKDEVDPNKIKWAVHALKYGIWNKCKTPCTASGKSAVSQAADKVRLIWVYPS -HMTFAEGMFAMPLIHELKQQISHRYGLWIDYLNGHMRLMLSLKPKGYTWLSADWKNFDSSIPSWLIRDAF -SILRENFDFSNYQVHGSPTDPDTLPRLWKQIVHYFINTPLKMPDGKILIKNRGVPSGSYFTSLIDSVCNT -IVMHYLMIRMKVSYSQKAFWVLGDDVLMAIHGSLDVNELSAIALDTFGMMLSETKTEIGEFPSFLGFGLH -YSGVPQAKYERLMAQLCLPQRPDRDIFEFAARVRALQLASFGGCKKFLYETQCYLESIGLPNPPFKLSKR -SELYVKLESLGLSHWPPLSRVLTL ->APG78233.1 RdRp [Hubei partiti-like virus 39] -MIRLPSRHGFGQRHSNNPLPTPYLKELWPLTSLQRSPVTLSGIRKDLLQFGLNKHSACNDYYMRFSINLT -TNAFCKEQTKLLHLNDVFRRKDLAIWKSSPGLPWTTYGFRNKGEIRDSPESINQVRWFWHRIKHFEKINP -PDCCAFVRSHLVEYGETKMRAVWGYPATITFGEAVFALPLIDLFQKGNSPIAYGYETAVGGMIKLRRELG -IYKYYAALDFKSFDKTVPAWLIDVAFDILSLNLDFVHYQDRGITSVKSMMVMYDYIKNYFINTPVRLCTG -ERYRKSAGLASGSYFTQLVGSVINHIIMTYVSLRLTGSQPLYLRVLGDDSIMATVSKLDLDDVASILEEL -GMELNLKKSISTTNIENLTFLGYEMNGGLPSRPYDKWIAALLFPERNDRSWDDVASRALGLLYACATVDD -RFDALCRTLIRIEHFDLYISRDMQRFLRLVGVYQIEKEPPDRLIFLRRLGVI ->APG78222.1 RdRp [Hubei partiti-like virus 33] -MPVKFLSKCRGFGCRPNITHISPYAVAFFGETDVPMRTRLRFELIKRDMLEMGSNEHIIDTTDVCWRMAY -TQAVKEFSFPRQVQPYHLGDIFKMPLECRRSSPGLPWREWYRTRGEVMDDKAATNSIRWFWHRIKNGEVV -SPPDCCVLYRAHIESDDATPKIRAVYGYPTTITLCEAQFALPLIKGYQKYKTPIAYGYDMILGGALKLRR -ELCFYKHYGCFDFSKFDKTVSEQMIDAAFSILFSNIDFFKYATTGIPDAIRLVRAWDYLIDYFKNCTLRL -SNGERWLKSGGVPSGSYFTQLVDSIVNYLVIVYSWLKVYKRPPQYIKVFGDDSVVADDQPISKYAIAECV -EGLGMIINPQKSLCTDSVDKVEFLGFCIRGGFPHRSHKKWLSSLYHPEFDDRSIADFQSRALGLYYANHG -VDTEFSSMCRYVIQSGPFVINVSRDIRRFLLSIGIDPDHISPNLPSDHEMMFRLMR ->APG78231.1 RdRp [Hubei partiti-like virus 37] -MYRGKSTGFPQRFTYPHASPYLEWLIPLCGGRKRSHVTISHIREDVKRFNRGVLPQPDDPDLKEAIRRTA -LAFKLPRTVKMLHLNDVFKQKDLQIWSSSPGLPWTYHGYKTKADIRDDPSAIQRVRWFWHRIKAGEDLSL -GDCCAFVRSHLVKQDENKVRAVWGYPATVTFGEAIFALPLIEAYQEADAPFAYGFETGCGGNKRIYRTFK -GKHFLGVDFTMFDKLLPKWIIDIAFDILAINVDFGNYQDYGIARFDSMVRVFHCLRDYCVKTKIRLCNGE -RFEKKVGLASGSYFTQLIGGICNYLLLTYSSIKNNVRIVDIRVLGDDSIIGTDKVLSIHDVARALEPLGM -EVNTEKSSASDYISNIKFLGYQINDGKPTRDRDRAIAGLVYPERPDRDWDDVASRALGIYHANFGVDEVV -DAVCRSIIEKRPFNIQFSRDQQRFFWIHRIEVDETLPTMYDFMRRLNVI ->APG78343.1 RdRp [Wenling partiti-like virus 4] -MAWNAASIHFPPTSWVRVTPRPADVRQKVDAYFASLPPRPSGLRYERAYLRVKRKYTIYKSNPLHINDVI -RQYPHPDRSPGQPWTSYGFRRKDEVNPLYIKQYVHNLKYGVYSSCKTPCTAATRSHVAKTPKFRLIWAYP -VHITMAEGMFAVPLIRAFQASRSHYALWVNYAKGDLRRIMVSKPKGYQWLSTDWRAFDSRVPAWLIRDAF -AILRENLDFSRYQEYGKPTHPESLPRLWKAVVRYFINTPIRLPSGEVKVKHQGVPSGSYFTSLVDSVCNA -LAMEYLLDGSEYRAGCDLQLGDDSLYAVRGKLSIQRLDYEAGKVFGFQLNKDKTERGNYVSFLGFRMSPQ -GYPLVGYDKLMAQLQLPAKRDQFLGDFVSRARALQLCCFGHGCKRFVEEVQCWLDTIPSVEYHLRPRDDL -RVKLEALGLDHWPPLHRVMQVV ->APG78310.1 RdRp [Hubei partiti-like virus 40] -MLPIRLPKAQGFPYRFGQLQGCSPYLQHLEDDLLGNSERNHRSTITLKLIREDILRFSNTTKDLTSDTLF -QLAIHKVCDDFRLPSRVPLIHLNDIFSKKLAIWKSSPGLPYRQMGFRTKGEVRDDFHQRNNIRLFCHKIK -TGQRVQLPDCCAYVRSHLAPPGEEKVRAVWGYPMAVTMAEAIFAIPLTDAYRQHQRPIAYGFETAMGGTR -RVLKKFGQLSNISALDFKCFDKTVPKWLVDAAFYVLEQNLDFTKYRDYGTPNVVGILRLWETLKNYFVNT -TIRMANGERYKKRNGIASGSYFTQLVGSVANAILMNYVSIKLSNKWPNDYIVFGDDSLVSFPKYLSMQSI -AMVLAEFGLTINFRKSSQSDNIADLNFLGYGLEGGLPRKEHSQWIYALLYPEHPDLSWDECASRALGLYY -ANLGVDGKFHELATRVITHQSFDLHLSRSLEKMLRMAGLLGEVCRTRHLPTAFEMFSKYIYR ->APG78333.1 RdRp [Hubei partiti-like virus 35] -MKYLGKASGFGQLFKPPEPSPYLAELLPLCGDLQRSPLSLALIRDDVKKFVTSHSTKPTDILFTEACRQT -VRAFMLPSRVKMLHLNDVFKKDLPIWSSSPGLPWMNHGYKVKRDIQQDPDAIQRIRWFWHRVKAGENIAF -PDCCAHVRTHIVGKGETKVRAVWGYPATITFGEAVFALPLIEAYSRGDYPIAYGYETGVGGTKRIYHEFE -GNNFLGIDFKSFDKAIPPWLLNIAFDVLAYNIDFGNYEEYGVARYRSMLHMYNRLTDYCINTKIRLCNGE -RYQKDVGLASGSYFTQLAGSVVNYILLTYACLKLKAQVRKLLVFGDDSLLATDVTITPHDIAQVLEPLGM -TVNTAKSGASRYISDLTFLGYKINAGICSRPRDKQFAGLVFPERPDKSWDYAASRALGILYANFGVDPVV -DYWCRKIVEFRPFDLVLSRDQQRFIDMMKIGKITTKAPTPEELARRIGYL diff --git a/seq/clusters_seq/cluster_1270 b/seq/clusters_seq/cluster_1270 deleted file mode 100644 index 6881886..0000000 --- a/seq/clusters_seq/cluster_1270 +++ /dev/null @@ -1,144 +0,0 @@ ->YP_009165593.1 polyprotein [Opsiphanes invirae iflavirus 1] -MNPFKVPSRALTLNRVPASRRQTPASGNNKANSPASAYNKANKDILAPDNNRASSSSSLQEDHIDDSVIN -SNTFTAQEFKLSAEQLLRQEGYAQQTKDIDNYFERILNPQDKKSDGIITAEMITNPQVVAAYMKFKEEGN -DLLKKMKRVKEFKDLDTDTEIVNLEVEVDKYKFINIKSYKHIIENFLHTLTQNNIPLWTYGPVFQSDTPL -IHFIKTEFGFYKIGTISKSTNMRILWGQALLSLLMLEFIDTVQRYSVIDFQMESPKQPVEDTLPETEVTK -VAQNTIITQEQDDTTNVHIEENSNLLQEISTTEQLSNLAPIAERFVRIFDSTQVFNITDTFNQQIFSLTI -PNGLYAHLDSTIVSALRSFTLLKTDVELLVKINANQAQCGRYIMSHFPRYDMINFTNTLYDNVLRMVQRD -HAVVDISKSDDISYTIHYENTIPWLPIQTDEVGTVTGGSFATVRMRCLSPLRIADSGNPICPFQVFARLK -NTVLSGMRYPLPLSTPGTTIGRNETAITFQMENPSKTENILKNTVSVIKEIPVIGNLFGIAGRIMGRGSL -ALAHMFNVNQEKIKLAEDRLKYIGMINKDRPIDISHPQPLLPMPVHCYSHGRGPYSSKKLRLQPEATTPH -LEPHKTVNDTTNINELAQIPGLVGRFMVNTTNKQGDLLYELPACPFDPRYINLYPAVGSVNFQPPPVTYF -SFMYNFYSGSLVYEFVPVKTSAHNFSIQVGFVPFNGTPDTVTEAQLQSCRWKVLDFRTSQNGQFTTPWLS -NRVMRNKPISTISFASSDNDFHNTFQGVRNVNLRFKDPGKVVVRLVNELNPTPIVSPTIEVLVFVKAHPN -FRYFSPTNMLPASQVFNPTITQFLREFGTPPNFGNAVIPTYNIGNFDQNMIRYEMETSKLQDGDEQTLYG -DSLRDAPGPQVQGMEDHGNILDICRRFYLHATYTGIFSRREGAETTLPYPPFSCMPLTINAYQPLPAFST -PNQTRTSNMPNPRDSILACFRWFRGSMNYVIHSLTDIPLEVTYLPAVDFPYSISSVRTENVDNVFHTSNT -DIPIFATPINIGLPTEVIEPRLNPILTVEVPMYNANNYIDVLGAFSGDAFWSQNVRNRRYNEINNLTSLY -LGNLVIRGINGQVLPLNQAMNQNFKVRVLSAFGDDAQFHHFIGCPPLNRNAVGLRWDVVGTTTTFFNENK -EIDTKDRVRSSQEIETQLIAEGIEANPGPVCSKISDFFSKGLTNTFSSIAGLSSDLKNLISQPKHLASTF -EDAKIKGLTMLEQIKQVLHLYTNGIDTLQITSLALTLISVFKDSSNIYSLLSALCQILSITGVFHVEAVA -NAMSKFSLLFSNNDENKEEAKHQMDNQSWSTVAAIFSSILLETFFAYRSVNQSKFNVDESYVKQVMIQTF -KNFNVMRSGALCILMSRVCKALEFLYTNARKWIRGLEKYDILADDPKFIQNFMIDYEFFMDERNLSSQSM -IQRHRDRFWTTVLTAYYLKSVLATVDKKYINTTLQAAVREVILKANSLKSHMSAPPVRYEPFCVWYYGEP -GTGKTTMMQNHLIDMTKNINCTYNADPIYVRSPHSQWWNGYDNQPIVMIDDANGVNDPTILGRMVSEFQA -MKTSAKMRLEMPRLEEKNAEMTSIVLGICSNVKEWTSTMIVDQQAFRRRRDIVVEVIYSSKAKEFFNKQP -NLMKAVSSLPKALVDANEHVKFKVLHNPSSGQENGIEMEYEEFCKHLLSIHEKYHTQEIEKMFARYQKSL -TLSKTLAGDIMDQSSLKTALLAVILGCESTEVMAETMKRQLYELKQVTPERFNALPKHTQSLLNKMSTLP -VHQAPAVANSADLHQNYNNSSHWFKNEFMPNFQIGNFYQRIKQRYAPWSYNDIMTVDTDMITSPCAVCNS -LPSNQNHLYKMCGNSVEENQHWICTECAQGFDLQNSINCPVCREEKLVQFNNDQRHWRWYAKLQHIAHEV -VKDFKTPLVMTTKILWENARTIMLTSLIFGLLARSLVLTYQMIKEIQLESIAEQQVQRFVGVFGVLPNSV -RYIGDTIYLTAGQNTFVERNENGVYSYSIVNDSVYYEMGKKSKSEPRPTISSIPDVKYYSAASSDEEEKM -PSIPLKFEPLNIDVMKLLPPVQCTEVHGETSLDVLRNPNLKYNVGYSDKKFIVNIRETGKEVTTLELPYT -RCVEANCKFNGIMNKVAQKYYLARYKIWQDAMLEGEDISDIEDEVPQEMVHAVEEMVDRPLETPKPLYLR -FIDYQKSLLLALKGILMSWYQTIYDAICNKWKYILGILTLAFATYFGIKYYYSDEDGITLEPEHQGSGEH -KTGRNHSKLNTARTRTLVRAKRTQHEMKISLSTQVPINIQNIRDLIFKNRFVMSCCGTNVYSFAIMNNYG -LLPRHAFYYFAKLQEMGQPIVFQQGDVKEIIKDRITLYCVEDNVLGEYVIFQFKRLIGKDIRHHFYSTIH -EEITYPSYAYGIDLKDQVARPVQVVDVKQYDLDKNPLEHAPTVSTTWTTNVEGKEEDFKYESKLTTYLII -SNFYGDGKCGSPIVNSEGKIIGIHFAGQYIAGNSYGYSYPVFTDDFMGLTVMGTTQENKVEHQMESFPNL -EFYSHYPNAPFHTDTSRIHPSAIAERAWESVTEPCIQSNSDPRYVHGNSPLYDGATTIGAVTNPPIPDIL -NEAIHAVSQELIQNMPTPAMKVPVSQEEAVNAANHQFVRAMNLASSAGLPLIVDNPGKNLKSDYIENKIN -SDGTSTVTFTPEFQKLYDINWSMRLAGTVPEDPYWAHLKDERRKPEKARSFGGTRVFSVSPLELVVSSRR -VLLPMMDAFHSDPIRLHHAIGLSTESIAWSEMIETLRAKSHLIIQLDFSKFSDSMPWEFVQGAFQVISNY -YETYGLLTPEIENLLKTLEHNITRSLICIGRYIYRVKNGVLQGHPLTSLINSIVNLIEQTYVWIKITGLT -GSEFFRYCGIVVMGDDVVISVPRQILKRYNGKTIANAFLEMNIVVTDENKNKEEIIPYQNINRFDFLSCS -YKLHPYRYLYLAPADISSIFDTALWISRKDGPFFDATLENAEQSLNNSFGHGPCIYEIYRAVLQFLTGSR -FRSWFELDMIFYGSKGLPERSIIATNLGIVTGTSPKVLELLGAGTGRIERASKSERDSQYWNADFLSVAL -LDTQGIVTGKYRCDCRFPFGSIVRHARNCYWEIPM - ->YP_004935363.1 polyprotein [Spodoptera exigua iflavirus 1] -MESNETPPIISDERRMKSINKAVKYFGNMMTPALKFLESRFDVDMLFTNDYVLTISESEKMNHAVIRELK -PVAADFLNTLVSCGIRIVINGPLCYSTLPMYYMSSLDPFNVLKTTVVATSETERRLWLTVVHAIRLYRAL -RYQPIQHEMDTREGPATQEMEDQLISDEVSRKNENTEITKEVDDSTNAHIDDSVDLQMIATTENFAALKE -LTTRNIRLVFDEPLQFSTTDVADQNILALDVPNGLYRFIDTTIATALRNYTLIKTDIEFTFKVNANQAQC -GRYIVGHYPCWDQVPAPQDNVYRILQRDHAIIDISKSNDVVYTLRYENLRPLLPIQSNELGDITGGSFAR -VFLKCLSPMRIADTGNPICPLQVVARFVNPMLTGMRFPLPVDVENRARTRNEIETELLAQGIEANPGPSH -VIQHEALGPITRPGKEKIVSAVKNVNSALGSIPVFGSIWKWLGSALGNTAVAVTKVIAKDQTFISNFENN -LKYVGMINKDRPVDISHPCPLLPQPVHSYSYGRGAYASKKLRVEPQSTTPHLPGHDTIQSTQSVLDLARI -PGLWTTFTLSTSNVQGDTLVELPAIPYDPRYRMQLPRLGTSNGLPIVQLPPVSYFSGMYQYYAGSITYEF -IPIKTASHNFSIQVAFVPFNGTPGAITEEQLQSCTWKIIDFRTSTEGTFDVPWLSTSIMRQWPIPISGVL -SSNNAASFGINTGIGNGSRTMKDPGKVVVKLVNQLNPTPIVTPEIEILVMMRAHENLRFMSPCQTRFPPA -KTQNLSWITRDLSMAPAITRSPLLASVDTPPLALEMGEIQYGDEMTLPDDNLRQTFGPQVQTMEKHDNIL -DISRRFMYHTTVTGTRYFGSNNSVAIDTPYIATIPITVYSLNTYSGETSNDDFNSGFYSRSIPPPSTRDL -FAMCFRWGRGGQNFVLHVLNDYPVEVTYIPPVDRPYHISGGLGNVLALKNTNENFITSRNAYPIYAMPSA -TGYPNEVIEPRVNPLLTIENPMMNINNYMDLQAPLWSFTDSIPNLNNYPQQDLVSLTNGQLLIRQLANVS -TTDPSVASVSTNAFRIRVQSALADDFMFYHFMGVPPTMLTSVNFWNMTQTNNRMLTRVLTRGEIENQLLA -AGIESNPGPVMSSFFSMCNSGVQSLTNKVAIIKDIKEFIQSSTSINNRFGEIKEKGFSLLEEFKVILAAY -VEGIDICALTALALTLTAAMQKGASRYVICSAVLQLFTVIGIFHTKALSSAMDSLLSIAGFSKDDEPGHE -MDFDSGNAIAGTIASLFCESVSAFSTLTEKPALSISYVTRTISSIFKNFNFARTGAMCLFFTRMCYAAKA -LYTNARSWILGSAKYDLLANDPTFIQSFMNDYDFFMNELNVSQQTYVRRHRDRYWTTVITAYYLNNVLAT -VKDSKLRNPTLVNACKDVIRRANDLKSCMLAPPVRYEPFVVWMYGPPGTGKTTMNEQLTVDMAEAIGLQT -SGEPHYVRNPCDDFWNGYTGQPIVLIDDAGAVDDPQIMGRALLEFQALKSSAKMRLNMAALNDKQTEMTS -ILVGVCSNFRDWPTEMTKDKNAFKRRRDILVKVDFSPQAKTWFLQNNSMQVASKLPANLRENNAHLVFEI -ATDPTKNEATNRPYCSFPEFHNTIITRFKAYHETERVRVLERYKKSIRLAQSAANRVMDEHSLKVALETV -MLGSMTDEAQHEAVKRAYLELKQVTPERFKELPKHSQYLLEKIKNHNIGFDDSYISPSIAHVAHLASPWF -KENVAPLYFAHSPDMFEFIRARYMPWKDEERRKFDSAGNVTSPCVACQEVQSDTRGIAFICASSTLTSQH -WICTQCKNNYVQHDADPATCPTCRTPEAFLEVHTAVTSWKFYHKVSHVLSTMKDQIKHGVRASFDTLQQR -ASLIIAASYCIFLIAMFKKVNEQMTDDDDRDNSLRADIDAFIDYMGVFPDDSYIKSDGTTIFVLYGQLYD -MSSGAPVLCSPKHEGPGSETSTSEKDFHSPEHSDDGNNDVPTYVMVKGKPVLKEKKKGKKSKTSSPPPDN -PKPKTDTPVVPETPVVPSTSTADVPPTPELPAVPSSSKTKDVFPQCKDIDAAYVLFPKVDHETCSVNHGP -EELYMKIREHTIRLDCGCGPKRQCFSLANDAPHLTLEHCIETNSWNFTANIRDENNEFRSYSCPFMRCEN -FKCSRSIAVNQLLNYFMTQHGNDVPEGPLPPVLQSHFRPETPPPEPTPWYRWAIDYQAALVNDLCSVFLS -WIKKAYEYLYERWYWLFSAIIAMYCAWKGYRYYFDDTCGIEIKHDSPGDAKVQHAKQNRHAATRTYHRSQ -QSPSHDMNLSMNKRIPPQVDNIAQLILKQRFSITCGPMIAHGFVIKTGFGVFPRHAAHLISDCQSDGVTA -TMKLTDGTDILLKESIRDLVVKDGYNAEFVVIRHKRITGRDIRGHVIAPVSDDVVYPVAGYAVDIQDGYT -IPIHVDHVYQLREDLGEVPEKIETHRIVNDKHYVYESYMTDYIKVFGLQGTGKCCSPLIDPSGKIYGLHF -AGTSVCDVKIGYSAPIFKEHFIDLDEPVAHDSLATEGLRFYSHYPNPPYHTDKSGIEKSAIHDYAWDSLT -VPCIQSHKDPNYVGNSTPLLDGASTIGARTEKPDPIVLSCATSAVADELFRYMPKPAMVPPVSLKEAVLA -ENHHNVEPMRLSTSAGLPLVADMRVHTQKSQYVLVTEQSDGRRKVELEPNFRTVYQSHWQGRKNGVPPRE -PFWAHLKSERRKPAKAYSFGGTRVFNVAPLELVLSSRRVLLPFMDAFHSDPVRLHHAIGISPDSITWTHL -VETLRLRGNRLIQLDFSKFSDTLPWDFVYAAFSIIKEYYRRHNALTADVSLIIDTLCYEITASLVCIGNE -VYELVNGVLQGHPLTSIINSIVNLIEQVYVWMITTKLPGTDFFKHCGIVVMGDDVVISLPEFLLPIYNGI -TIANAFNDMKIKVTDENKDPNNIQRCQKFEEFSFISRTYQLHPYRECYLAPSEIDAIFDSPLWIRGRDGP -NNEKTIENVEQSLMLMYGHGPAMYEMYRALLQHLVPDLSLRSWFDLDYIFFVDTNMFDAMLYNRGLRQLK -PGSYNLLEAKLGRTGSSQNSTNRPLEAGIGRTAFSQSPDTTANQYHDVDFLNNVKLDTHGTVIGSYKCRC -RYRCEEELMKRVAQVEERTKTPKEQFLPFTSQEEGTRILGARIAIAKGGEARGYPSIIAPGVGREEIHAV -SF - ->NP_620559.1 polyprotein [Infectious flacherie virus] -MQDSSCEDPGSSPPNQSVALPRESILSGVLRKNNVAECDVPKSKSILKNKTTMLITMDPDTPFSKYVENA -QRLVNSMVQYGYDYTVESHVRFIATRTTVTLKNGIFKYVIVCDGLRNVKEVNQFVNVAILVAMKKYLLYD -VKRYTQPQGPPETTNTTNPEDIVNQTEISQQSENTEITQAVDTASAPFLLTTHVLQHQSTTEPKISLNEL -TERYIVIANGVLTSGDTRGQVKFSLDLPAAIYGNLDSSTSTALRPFTLMKTDLEITLKINSNQAQAGRYV -LASYPCRRQAFGVADSVFQQIQREHVEVDVSTSADAILQIKYENLRNFMPILTNEVGETTGESFTTLTLT -CLSPVNVVAGAVDVVPYQVIARFVNPFLTGMRYPLPVQGQGPEFPISTTRTREKVIETVRDVNNVLGAIP -VFGALYKTVMLAGASAVTSVTRFVAPEPYTKVLEDTLVYVGMRNKDKPVDTRPYSVLQPVSQKALCHGLG -PIGATKMRLEMSVNTPHLPDMETVQTSESYSELAQIGGVQEIFTVSTTTPNGRLLIDLPVAPFDARYLPN -TVISGTGEGASRVLPPVTYISQLFQGYTGELEYEFIPVKTAAHNFSILVAFVPFDGEPGNTTFAQALSCH -YKIIDFRTNSAGVFTVPFVSNSMFRSYTGTESSFMFARNLNTTTYTSPTIGGGLTVGPFAAWKDPGKVSV -FMLNPLNPTPIVAPEIEIIVKLRGAKNIQFTIPSQPRFRTAYTTDSYVFAPIISGVTNANHWGTVPQGPV -QTMNDDEVPGEPTVPLIGPQMTHMEMHDDILNVNRRLMHYARLTTDGLYYVDGSGATVYAPMSGSSACIP -IVLSDPVFQNFGNNGQGSVNSQEMTPRNIRDATASLFRFGRGSVILSILNHSQNNLVISFLPPFQRPMYF -SAENGTTTSVFSLGVARTSIGLSAPDSQGYASVRNVPSVNPVTDIEIPFYSSAVYMDLQARNGLGAALAI -MGNSQSMARGPLYDVVTRTLGTLKIQTELYPFPATAENLARLQSTVTIRMGLSDDFKFHHFMGTPPVIAN -YPLVPSIGNVARTLTRAEIEDELIRAGIESNPGPVVKDVGTEPQGMWDFLNDLVSAPTELKRVREQGDYF -KEVIGSLKEKIVRSFNISVTQAAALASTMLAAYMNPSPYTVVSAVTNLLVALNIVDDTFLMKVMLGCNEP -QNVKGQGPLDDDKRESPNWIVPLLVSGFVEYCCSAMQKQDIALDPSNIDERTVFMKLTEALTGVNWFRVS -AVMVVTSRLVMAFKWLFEKVQSWLRPSTSYLLLKHNPKFIENFMNDYTFIMNELNKNSIIGIRQCRDRFW -TTLLSAYYLKGIMAKERIRNPTLLNTVNDIIKKANELTSILSAPPVRFEPFVVWIFGPRGVGKSTLLQQQ -LIDILEQHKGPGKFEHPNPVYTRNPTSQWWNGYNGQPIVLYDDIGAVSSAEIDPIVAGELMAIKSCAIMP -LEKPRIEEKESLMTSVIVGIASNHPCLKSSVIRDVGAMDRRRDILARCEFTPEVEKYIKSKKLLNVASAL -PEEMTRNNKHLRYGVFKDPTKVRPNTQDVRVETSTEPDMWLTYDEFMEYSIDVFTRYYTKELEKMTKRYK -DMLRLCPTYAKGLTDEASVRMAIMQMILKVEESKSTTTMDTLRYLIQKLEQTTPEYYKTLHKTTKEQLET -INKVNTQPEGKFIIDKNKFDPGHWALSQVGGSRLVDYAKYIYDTLIPWHAPIYGKETVEFKDDTKCVFCK -EKIDMVTYVCNTAKFNEHLACTCRAAAVVNDTNVVCVYCDTPMVPVFNRQPQSIYNWMAALLSAAKDVLY -DPINSLPETWNKEKFRTNMRMLAISAVTALVMYTSINFADIVFKKSTYNAFYKYVGELPHKVLTVGEQVY -LMTKSGVKFLLCRNQDTEFFIPAKDDVENAQGEFDVLPIEPGEIMDIELGGGSGFKVVGHSKKASRKAQK -INREEFSKISDTASTSSDESDASAHKHEKHGVEFFSWLRVGEREKCQHDGAIIEKILRKRMSELSCTLDG -KLVLYAVEEDETEIVLVVEKCCSACLLLDDRIVLKISDFFNQNSPIWKQHIDEGLLEYVPELYVKFLMEC -RNGANVERTVHNEKYSKWQNQILSQITTKFMEAVHVVWVFLTENYKMFLSGCAIIFCAYKGYKWYKNDEG -IIEPVDPQGSYVSASQRTIRSGITRTINNSYLKGTQAHGPYLKEPNQAVLARAARIRKNYITMQLNSIPI -WGIGLYGHTVLMTRHNWHWLKFAARTMNLDALTIMSRGSDNVVVKFSEVLEVLDLPEVEHVLIELPKKGL -QCFSNIRTYFTKGLDEKGEATNPQLDELAYYIDVLDGLTLKSTAISAIGKGEPVQTTFTINSKTEDYLNS -IPSQYDWIITPTQAKGMCLTAFLDKQGNILGFHVAGNARLRQGYVAPIFRELIPDAGIASVVTEPEGPST -ENLTYMYSVIDAPYHTFQSKIVPSVLQSEAYDPEKEPCIQSRTDPRYTHGADPLTDGVATIGQPTKPLND -EILMLAYESVRDELLGVLPPPYVQPPVSIREAITAERAPISSSMNLATSAGYPLAGRGSREKKKSDYILG -GQLKASVHNKINKAYVQRTNGIRPPCVYWAHLKDELRPSEKLRRFGGTRVFSVPPLELVLNSRRFLLPFM -DAFQSFPIEAHHAIGLNPNSGDWRRLRDTLLEKGPCLLQMDYKNYSDAIPKECVAKAFHIIVDYYRKWHC -STVEIENALKTLFLDTADAELLVYGDVFKVNNGVLAGHPMTSVVNSVVNLILMNYMWIKITRRRASEFFK -LTYIIVMGDDVVISLPKQLTEEFDCRKICAEFAKYDIKVTDSEKNLTGEPKPYDSFDKFEFLSRGFSDCD -AYPDITFAPVKTIALFDCPLWISKGQDEEEQTIQAIQAGLLLAFDHGPEFFGKYKQLLLEGTSVPTYNYF -TWSELYSLFHGDVYSSGEQSVQTNNEHGIVLKKIPMVLSYIYADSMCIGSDAEIGEEKGALEAQAMFQKK -QLRAINISKQAEHYLTAAEDIYDSLDKVKNLEKWRCGCKYDCMREVERRMLEKVGKSMNALLEENAKEPL -IEMLE - diff --git a/seq/clusters_seq/cluster_1271 b/seq/clusters_seq/cluster_1271 deleted file mode 100644 index 420feb1..0000000 --- a/seq/clusters_seq/cluster_1271 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009352887.1 ORF0 [Tobacco virus 2] -MFVLTPTGSIAFDETKKLTKLVFVNLVIGFPTLLAQAHILFHYNYEQIYNISRSVFYLLPLLSRCKGWIS -SSGLSISRHWHSQCLKWGLLCGTHPAIQIGHTSLTIKIGEPSTAAAYRRELSRIDSNSYVQNARGLYNSW -GQDMATFVKNAVCFLEIRKRCYPKRGLNATLDYNFNLVRSLLDACQDTGHLFVDVQYTVLLHNLAVFYNQ -LDFQGCEILFRELTGLPIYVPSEAYLEGSFLQKELQR - ->NP_056746.1 P0 protein [Potato leafroll virus] -MIVLTQSGTLLFDQRFKLSKFLFVVIATGFPLLLQQASLIYGYNHEQIYRICRSFLHVLPLLNCKRGRIS -TSGLQLPRHLHYECLEWGLLCGTHPAIQIVGLTIVIKLDDPTTAAAYRSELLRVSSSSYIQNAAGLSNGW -GHDMEAFVRNAICLLELRERSIPQSGLRDLMGNYQHLVRSLLDACKVDHFVPLDFQHRSLMLNFARLYNQ -LDLQGRAKSFRALTGFPVYVPSEDYLEGSFLQKELQE - ->sp|P11621.1|P0_PLRVW RecName: Full=Suppressor of silencing P0; AltName: Full=28 kDa protein; AltName: Full=Protein ORF0 -MIVLTQSGTLLFDQRFKLSKFLFVVIATGFPLLLQQASLIYGYNHEQIYRICRSFLYILPLLNCKRGRIS -TSGLQLPRHLHYECLEWGLLCGTHPAIQIVGPTIVIKLDDPTTAAAYRSELLRVSSSSYIQNAAGLSNGW -GHDMEAFVRNAICLLELRERSIPQSGLRDLMGNHQHLVRSLLDACKVDHFVPLDFQHRSLMLNFARLYNQ -LDLQGRAKSFRALTGFPVYVPSEDYLEGSFLQKELQE - diff --git a/seq/clusters_seq/cluster_1272 b/seq/clusters_seq/cluster_1272 deleted file mode 100644 index 9f1c949..0000000 --- a/seq/clusters_seq/cluster_1272 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009351919.1 VP2-3 [Mogiana tick virus] -MPNTKTALLLVTLVGLASGGNDKPDWDKVKLLGQQLQSGIATKNAVKVKYFAELIISEMPTDETTYYAGL -RTGQEVALTVGSAKSIVGETLNLRINQWTRAVGEYLMIDRHIPGLTLLITGEDVLSDLMEFGWSYRLNPS -GYHPVYAATKTIPGKGGRYTGGKTYTDRYAAFYDGHVKDHMVAIHPHQADIERMTKYEPINLVYADLTPR -NVGGRLEHVLVTKGHYTIQAGKITHVWGDKKDCETCDPDYQVFSVEGGHAQPVPPRSRKTTPTGREGWIP -DWMTIAGDYFKRLQGKANVMGEGFDIILDEARDLFPSTKEFALPGLIRQYLERRDVPLDSAFFLDVFLPL -VLMALAITTNRWTRMALAVGVYLTGYYYVAIMLAATSVVSLAFKAFAPREHKRGDVVIENGRLSVLGLTV -VAVAATVGIHYYQPSPSLIFAVASLAGFAAILMALPTIQYHGATDVAKMMIAVLLFAGVIYIASSFDVDK -DQLYLFVKTGTPTYHIPRGERENAVKMDQVYNLARYYARYPADLRARFVTEEAIWEWDPGATWTVAEMDY -MATILHAVIFSLAVYTMLTDSGETDRVLGDIKMRILEKIKTTELFGDVTMAKITLAVSRVEWLVVIAGNL -LHTYFALGLPGVAMEILLAAGLMVPTYHLWSRTFRVMSYLRGTNGYRQPAEGLLPAPTIRSTQTQYTAGL -ATIVGIAAVFVNLYYYLTGSNPYYVAHSVLVFAGTFVMVQDNDQLNGYPLLMLMAYTFNSPSLALIGSIY -KKCLGRVLWSRTT - ->YP_009029997.1 putative membrane protein [Jingmen tick virus] -MRPGLPGFSVEGGHAQPVPPRSRKTTPTGREGWIPGWMTIAGDYFKRLQGKADIMGESLDIILDEARDLF -PSSKEFALPGLIRQYLERRDVPLDSAFFLDVFLPLALMALAITTNRWTRMALAVGVYLTGYYYIAIMLAA -TSVVSLAFKAFAPRKYERGDVVIENGRLSVLGITAVAVAATVGIHYCQPSPSLIFAVATLAGFAAILMAL -PTIQYHGATDVAKMMMAVLLFAGVIYIASSFDVDKDQLYLFVKTGTPTYHIPRGERENAVKMDQVYNLAR -YYARYPADLRARFVTEEAIWEWDPGTTWTVAEVDYMATILHAVVFSLAVYTMLTDSGETDRVLGDIKMRI -LEKIKTTELFGDVTMAKITLAVSRVEWLVVIAGNLLHTYFALGLPGVAVEILLAAGLMVPTYHLWSRTYR -VMSYLRGTNGYRQPAEGLLPAPTIRNTQTQYTAGLATIVGTAAVLVNLYYYLIGSNPYYVAHSVLVFAGT -FVMVQDNDQLNGYPLLMLMAYTFNSPSLALIGSIYKKCLGRVLWSRTT - ->YP_009029996.1 putative capsid protein [Jingmen tick virus] -MPNAKTALLLVTLVGLALGGNDKPDWEKVKLLGQQLQSGIATKNAVKVKYYAELIISEMPTDETTYYAGL -RTGQEVALTVGSAKSIVGETLNLRINQWTRAVGEYLMIDRHIPGLTLLITGEDVLSDLMEFGWSYRLNPS -GHYPVYAATKTLPGKGGRYTGGKTYTDRYAAFYDGHVKDHMVAIHPHQADIERMTKYEPINLVYADLTPR -SIGGRLEHVLVTKGHYTIQAGKITHVWGDKKDCETCDPDYQVFQ - diff --git a/seq/clusters_seq/cluster_1273 b/seq/clusters_seq/cluster_1273 deleted file mode 100644 index e160cf3..0000000 --- a/seq/clusters_seq/cluster_1273 +++ /dev/null @@ -1,155 +0,0 @@ ->YP_009336519.1 hypothetical protein [Hubei picorna-like virus 54] -MSHNRTTITTGSLLGTRAQLQQPTITPELITQEFNSNDFISRLLGDDDFEGLTHPDLKLKDASSTLITVN -DIPTTAGVPITQAGHLTYLMDKLIQSYNRLPTMRTTVDAVLCKLSQMKTSLLTFSRETVIANILKGSDPL -TRLFFERAVENHPYLRSLSNVDKIHTLKVILHTINVALLLINLITMFSQSSTKAVISLQIASIITSITIF -LIELYSHYKRVPYMFNVEEMLTELIHSKLDSHFISRYDSRRNQILVDLFTNYGKRFDLPSEVLNVARDKL -SGETADIIVCPEGSQYFDYAISVAEKTAQLLAKYPGIRHMNIINRIRFDPQIGDGLHNAFAHFTCVQELH -PDNLKQLNLLEDDLAYWKLIIYHIKMKTPYKDLSMEGFPTLTHQFGSIGLHGWTIHTSQAEEASILPQIG -KAACTLLALVSASLTTLTGNKSITSIFKKIKSFFKDWKDSKSLITGFKAAFIGLVYDMFGWDIGNLHAIK -THAKEIQKNLLQCSTLSPHVISCNPILKSRIEGYQIEATQILLDLKDFDSEDLKDMIRDLQILIANVSKI -LADSHVVLSTSTLRPIPVALYLGGHAGSGKTHFAQHILGPYLQDKLNISLPVEFSVDASGHFNLINPMEA -DHLFTDEVAASIDDPLVPCLNKLISETMFSAPGAFSKDIKLAPKIVTLCNNEEEITNASSKPKFLQALTS -RLTQFRVTHNEYRPDGSQDRSSLTRMPNMENVTFQRIKTDIQGKTIETQEKLTAHEVCELVYKLIVAEQK -AFERKQERRRKRTCETQGPFDFSLLDFSQSSALYKKYVHENLHILFALTKEFSSPNDLYPLSEYLKTQIC -VHLDNDEIKRIGSGSDVLCCKQVERNGKFDYLANKHLTTSQACIQQEKETLFLHIVGKPGTGKSTLIREH -LTMLSEATSYEAFYATAFSVDVIKKDVISAKSPIILALDDYIMLKPADFQGLYDHIPKGSIVIFNSNIQF -RDCSFLTKLRYRMNSLKYIDFNKSDPQPPIGWYRRLNLPFPILAMGQIVRPNYSTQIINIDRLEPALSTE -KFKAWTEGDFFKHIVKPMNSRVTIKYSVLESEMPKPSTEVYLRFKNEAAFRSIMSSDVQAAISFKMALSG -FNNKLEIKVGTLPTPEDLDSVEFPSFTGQSSLETYLLGLFPVFQKIFPRAQGATIKIDKRIEATLLNGSI -FTTAANPLLQEGIEFHTDGIRVTRGDDNDFFTLDEVLSVISKQLTDITQIQTKHKPWSVLILLCNKSQIR -QRFIQLGLVANSTYEINMEDPIVSKFKEIISSTPFKIFCGIFITIFTLITATSLVTLAYGVYKLFSGDAK -DITVDQDDVFFTAQGKMDFDWNDDVDEAIEQGLLPKLGTPKQFPKYEQDISTYTIDYSSKEQLPDNMYGS -PQEEKRTKKKAVSKKYGGTGWTTHLNALMKAKNRRLTPQEEKLAYEVWYMVEEDRHRRCTEEFETEEKHR -FMEENDWALGYMSNNNPKLVETKIKANEIKKKHVNRYVSQMMNFEAATGQTTVHDFKINKLLAAQCLVQS -SWGGLMGAFIGENYVATCSHIMSKEAFKEKKPLYVTEIINGQEKVWQAYACYHGFRTNFKNKYTDLLIAY -IPDKNFQPKPQLLKYMKTVEEFVNHQTQKDILNTAVCYTTKDGLKREVLLQSKVLDTEIYSYDAKGNCDV -HFNSPITSHEINSNTGITQLYNSTFVPGSCGLIVFTREEPSGSNWIVGMHASMNMRTKDIEAFPLYRDQI -VYIIKKHKAELAKIGSLTPEDYINSFILAGIEPPPIELQKHLFYIGENQIACCKCFAKLNLKNYKIHECG -QHRSQANVIVNYEFAGAKLTCDVPDWWKEILTDLIEKPRQLPECAIMGDEIVNTSSLKYLGKSWFKMPEN -IKPRYKLAPYVDQIPYPILKKPALLHPSQANQNTYDKLDNVYNVVYEGNKIVKTGIRGKSIQSSQIKLMT -GGTTDELCKQFFGQHNFVKARAMFSDRFHKIVKQNHPNLKYRFLSLDEVINGITNQNHPLSKHIYNMDLD -SEAGPTCHLLGDNIKGIKRDFFIQKGKNRDGSDRYELTPGALKYWARVRDNAKKGIVTFAPDHLKLKNEN -LKVEKADQGKTRAYVSRDMFGMMLEKTAFGFLQGLFHLDGCQNFSAIGCNPITDYPQLIQRFKNQNCTNL -ICYDVSRWDKNTLRILLEECFKAILEYLEYEFNITENQINTLKVAFAQILTTYFITGNEFYENGRSMPSG -TYVTALMNTCLNEYLLCLFVAYKAEQEKRNPDAIMKLIASCFMGDDGVVAFPDSLIEFFDPIELQRIYKE -MNVELTSTTKDGSDIGIVGLLDFEFCSRTVKLHQTSKGIITTFPLKEISVKSDSHWLTSNSTETKLSICR -TKLYEACLHGLEFYESEVKCIKIILDTLPLDAQREIRIPSWEEQLDIVLFSASTELKGALQQEKTHQSQG -YILTTAVQPLSPVKRFIMGNVSDSKFVFAGEEVPESYYSLSKLNTTTPEIDYISRVQEICQSQKLQVPEY -LFQRLPSPDHIPEFACSAILEDKAGNSHYIIQESSTKKKAKVMVAKEFYHLLTSSRRSQMDATKPAATAV -QAGDASFESMLTTAPTAAPTEIIQPSLEQLTDVLNYGDPRELIDVIKTQIFPIRDITFNAAATPGSIIAK -IPYGPEYLPTVMAEYFAKHKYFKGMFYHTLVLYSNATVTGAIRISHVKDIDKDVYTRTELVETNHSELVQ -LNQISMTANTARNWFIDYAAQADKVLPTKEILEGTYKWVPSRPGIIVTMEVPVQQIYGQNLNLTLQHYTG -WGNLVVSTPILTPASSGIKPGTRTMLEAMQGMSLNTIVSSLEPGLLDGDIELTTDGCAYPSTIFTKTREY -STGGIEIGRPAQIEIICQTGVKLKDEDPWYRVEPKLANACMSTAELKSGSGTVYARLFLLEGEAPIKFEN -IKDHDSFEKCLTTGYRTATKTGVAFPFPDKDFANTDSTLASIQQAFIDTYNERCTTSLQTFSSNPFKLSG -IKTVIFDKNDTLREGAFDMTKRAHNIATKAIIFNIGTLSFRLFVMDVDFEVVSGDWMFGYNYSDGKYVIH -DFFTVDYTPPTTGVSTIPLVSSWQNFSRLPSGCKTFMLVNPKTSAITTPNGNEGLPTVMDTKFTTAFMQF -LDINTPAGQLCRMTIMQSGTGRVVATLYYSTEYKQLFIYAPTTATQYAAYIGQPSSSYVISNVSFQSPSE -SFISTDDSSWSNRQVTPSQRKFYRSQMMLATALQAGLGAVGGIASGINAAVQQQQDFKRQQMLQQERLDY -MNRWNELSANTQMKTNEITNATNLAMNQGSNLTTLQVSQGNNANAYAIAQLQNGNRIDLAKISQDTSLAG -FANQKDIALTQQATQLGAKAVDLQIAQGNNATTIEAANIASETATVGNVLNFTGGLANTGVGIAKVVTDY -LQGDKERENRLDQIHVRGDETRKTIDHNLAMRGGNIAASQ - ->YP_009315907.1 polyprotein [Riptortus pedestris virus-1] -MYEMAPITGAPKGLSASVIRESEINKPFQFTVPLYKNRHQFRLTADQKPCFISNPFEKSAVTPQQPSYTG -SASPKEATSSCRCTTEAGACSLAVPSSSRLIAPCLCKETDTPNSQLIAKTPVLVPAYSGPNILPKVEVTV -EDTPLAYSQTAVIPTPIPFPVIPTTPPSVSYRIADEGQELRLFDPATEKWQHLEGNYLTEPIVKPRTTSL -LKKVFTDALYKLPQIPNQLASALIRGYNICTVPFNQPLPELMAVLQRSDPVTATLALAICNRMKLDASII -NPTITLHLILGIIQIILLSTSLALVLTDKGGRLLTGLLVSSLVTSVIQLVTSLKLAGTISTSFPIEDLVK -ITHGLTERVVNNEGVEPSDARRNDVLVMLAQSYGLSPHHLTPVQEDVELTTSFLPAGSQWKTYDMRLKQR -LHQFQIKYKALKTYNLYGIRKPPTELGDHLYKVFAQFRAFDSLKVEEMLAYPANIQKDPYQFLLKLAHHI -YQETGVNLANETWPVSHDKDVYLANNRPAFQPQSGTVPSGIMKGIALLLGVITLVTGKKITLFEHLARSL -TKLPGLYKDAHTALWAAASQCSSYLYEIFGCDFLDLQAKKYRAASLLDTIRPLRMLHLDNLSQIPNVKKS -LTLFLHEGELLLKDEFSGLSKEELQELRKALDDFARFISTLPERPPDRFRQVPVALYLRGHPGSGKTYFV -THQLNAYLQRELEFTPIQSLSKDSSGHWVEIAEGKHSAFLIDEAGASTEDSTIQDYTKMISEDPFFLPGA -YKKNQPFAPELLVFCNNEQGLSSKNMTESAKQALASRLFEFEVTHEDYNPHMDQTRDSLARNNFENVYAK -RVVRTLSGKKVERQEKIKLMDILPLIKAEITKKREEYQNKLAFELDQGTHMCSTDSTSIVHKANLTYLLA -NAPLPTDGNTHLYTQMKEEKPLSIFSPHQLRYLGEVLGTTLCVHQGSDIQIVHKGIGKICAAIKPTGPLK -SPEVYFSRPTVVAQAGAQDFLTWHVSGPAGVGKTTVLKELGYKLAEVLKFNFFLVNSATTDATLAEVYTS -KAPCVILMDDFCTSEPGRFQAFYDQIPHKSILIITSNLKFKKLPWWVRSMHLGACTPTHRVVYPGIEKFP -VGWFRRLGYPSTILDSQGNPVPPATKYTLFTEITNAGTLNSTYTTKFLPLLFEYQALEDLAIQYEPRPPI -ATLPTFQFENFDTFYEIFKNEASIEFGLGKAVLGLHSRVKVSNLPKTVRDVRASLNTLVTTRDENPLDVI -TRIARATIHSFDFPVVWKFTKEGRYVAVQNGKITHNFPSESCVETFQFFNEGLLYTNLMGEVTFIDYNDL -GSYLNGKVTSPLHYALQANKKKIEEEAAKRSYKAIEYTLDDFQRAREQCKGIITSLLTSPWFIIGSIVVA -LALGIACIVKLFNFFTSDTREITVPKIPEPPKAETQGDWAEEVEETYPELNPRYITESRVVERSPEQADN -YNGSLRKTKRPMKARRAGLAGFIARRQAMVNVGKTDPELYKVALEKFAEHQTSKTEASKNISEALQTATP -AIPILSAPGQLTIVPSDDTTPTTVKKVPRARYQYGIEGKGTPLNVHTLNKATCTLTLNGKRMQGLFVGGK -VIASCSHLYTHNEFATQELATVHEVVDGAVKTWKARPYFYGVNSKDQQTATELLLLVVEDKTFPSKKDIT -NLFYSAQQMEDFKNLSYTLEGSTYYTVNGVTYMPTLEVNIQAHITMVGQEDSTLPDISIKDPIYAHVVYA -PQDINKCFYPGSCGTPIFNPTANPGTPCIYGLHTLYTASQTSISFPVYRETLQELLKISIPKAAPQLGAA -IPSMHTSFGNKQYEIIVSQAWKDAMATAPSPPVYMSEAALNEEKNDKRAGEKLSYVGSIPYGITRPPSQK -YKSTGVGHLAPYAPPKIPAPLSPNTLPDSALPEARSKFTVEWKEGKATPTKRGPHIPSKQLCMMDEGISN -SEFINKYQKYFPEVNLLFRDRLNAIIQQRKFEKNLKKEGCYKWRLLTDDEVINGITDPTNPLRDGVSAIE -TESGAGPTMNLFGIMQKKEMFKVEGQNLDGSPKMHLVEDVQQVYNDVKEMLQKGQIPFLPDQAKLKSELL -PPEKVEAGNTRLYISRDAIMMMFEKKVFGMLQALFHKSAGRHFSAIGLDPYNGFRVILEQFKQKGLAKIL -TADAKRWDKRTLRAIVEQVLLAIKAEAPFQNAEKSSNMFDAVCATILDTYFVCETELFVNNGGVPSGTYI -TTLLNCCVQEWILCLLLVVHRPSNVSVEKIFRHLLEKFMGDDLFIGVSKMFMKWFPAELIQKFYLSCNVL -LTNPKKTSSTITYEDWSEFEFCSRTFKVHKVNGRQVWAFPLKLISVRADSHYSTSTTKETIISICRTKLI -EASLHGPEIYAEESAFVEAALRYIGAPGAICIPSWEDKLQSVVPPPLSAIPQGGITPGNTVMTFRISTMS -ASREVIESLARLVEMGVLPRRVLSLESSTLTVSPDSILFSNDDSLTPLHIEIPVVGDILSSIRRIPSYVE -HMALRSLRRMTGRYVAREDLARSIEAPSDILETLVTDHYVTTMAFSFKPQMERSETPRTTATLSSAPMGG -ATATGTMAYEPAPLQTMGEPPQIFAQPNSESLLPLGDFGDPRNIFDVVSSQKFTTDFVQVDASQSNGTVL -MRVPYGFESAPQSVLTILRMHKYFKGPIEHYFVVRGAGATGSVRISHVKDITQDTYTLAQLQETNASFVV -DLSQVSQNQSFAIVIDYAHISQKVLPVEPILDGTFDWNPTRPGIVITVNTPVQNAFNPVNPWIEVSCFYG -HLAYTTPIVTPNQPPSPGNKSVLTALAGSRMGSFLQAFSGSTITNLALMTDGIYGPDPGLNRLLDGTFEG -LDLQNNVTQVTGSIEYELGGEGTVPNNPSNIACVLTRTKTKSTARVVLLDGSAPFDPSAQLSSNLEQVLS -TSIVTDKAPEFPFPSLDGGDRATYLATLTSSIRSRLPSAAVIATVTPATDPIKLVSVQTLFAKSDGVIDS -SKTKQLDVAANPPKKTTTVIRVQSAQFSFKLWIIDLEFTEAAQDVFEPHTSVVSDNIFPVRTDVTLIFTP -SSSYSVTPNVLSRNYLTQLPPTCANIRFAVPSGSVTTTAGANAGLPTITSEVTSSALRFVLNSLLSGQKA -EFVLFSSATGKVAAIVYFSANFQAIYIKSKSGKTYQQWNLEQLSTLIIQSVTVKNESETSQETDDSTWLN -RETITPQITEVVRVKARPQAMVAGMIGAQALGGLFSGISEAVAQQQKQQFEAKQKELDRQNLIKQTAMNN -GTQLQLATQNNLSSMQRAELNAGTNQYVARLNNSTTFGVAKLNQATALGTARLNNKAAVDVAMIGAQNNR -TTNETNKSIAELNNAASQANSQRQLEGTKFSSSASLAGSLASSGTALTGQLMNTFVNAPADRENALKKIE -EQGEQQRKTLQFQQQLLAGANPSNNQ - ->APG78365.1 hypothetical protein [Hubei picorna-like virus 54] -MSHNRTTITTGSLLGTRAQLQQPTITPELITQEFNSNDFISRLLGDDDFEGLTHPDPKPKDASSTLITVN -DIPTAAGVPITQAGHLTYLMDRLIQSYNRLPTMRTTVDAVLCKLSQMKTSLLTFSRETVIANILKGSDPL -TRLFFERAVENHPYLRSLSNVDKIHTLKVILHTINVALLLINLITMFSQSSTKAVISLQIASIITSITIF -LIELYSHYKRVPYMFNVEEMLTELIHSKLDSHFISRYDSRRNQILVDLFTNYGKRFDLPSEVLNVARDKL -SGETADIIVCPEGSQYFDYAISVAEKTAQLLAKYPGIRHMNIINRIRFDPQIGDGLHNAFAHFTCVQELH -PDNLKQLNLLEDDLAYWKLIIHHIKMKTPYKDLSMEGFPTLTHQFGSVGLHGWTIHTSQAEEASILPQIG -KAACTLLALVSASLTTLTGNKSITSIFKKIKSFFKDWKDSKSLITGFKAAFIGLVYDMFGWDIGNLHAIK -THAKEIQKNLLQCSTLSPHVISCNPILKSRIEGYQIEATQILLDLKDFDSEDLKDMIRDLQILIANVSKI -LADSHVVLSTSTLRPIPVALYLGGHAGSGKTHFAQHILGPYLQDKLNISLPVEFSVDASGHFNLINPMEA -DHLFTDEVAASIDDPLVPCLNKLISETMFSAPGAFSKDIKLAPKIVTLCNNEEEITNASSKPKFLQALTS -RLTQFRVTHNEYRPDGSQDRSSLTRMPNMENVTFQRIKTDIQGKTIETQERLTAYEVCELVYKLIVAEQK -AFERKQERRRKRTCETQGPFDFSLLDFSQSSALYKKYVHENLHILFALTKEFSSPNDLYPLSEYLKTQIC -VHLDNDEIKRIGSGSDVLCCKQVERNGKFDYLANKHLTTSQAYIQQEKETLFLHIVGRPGTGKSTLIREH -LTMLSEATSYEAFYATAFSVDVIKKDVISAKSPIILALDDYIMLKPADFQGLYDHIPKGSIVIFNSNIQF -RDCSFLTKLRYRMNSLKYINFNKSDPQPPIGWYRRLNLPFPILAMGQIVRPNYSTQIINIDRLEPALSTE -KFKAWTEGDFFKHIVKPMNSRVTIKYSVLESEMPKPSAEVYLRFKNEAAFRSIMSSDVQAAISFKMALSG -FNNKLEIKVGTLPTPEDLDSVEFPSFTGQSSLETYLLGLFPVFQKIFPRAQGATIKIDKRIEATLLNGSI -FTTAANPLLQEGIEFHTDGIRVTRGDDNDFFTLDEVLSVISKQLTDITQIQTKHKPWSVLILLCNKSQIR -QRFIQLGLVANSTYEINMEDPIVSKFKEIISSTPFKIFCGIFITIFTLITATSLVTLAYGVYKLFSGDAK -DITIDQDDVFFTAQGKMDFDWNDDVDEAIEQGLLPKLGTPKQFPKYEQDISTYTIDYSSKEQLPDNMYGS -PQEEKRTKKKAVSKKYGGTGWTTHLNALMKAKNRRLTPQEEKLAYEVWYMVEEDRHRRCTEEFETEEKHR -FMEENDWALGYMSNNNPKLVETKIKANEIKKKHVNRYVSQMMNFEAATGQTTVHDFKINKLLAAQCLVQS -SWGGLMGAFIGENYVATCSHIMSKEAFKEKKPLYVTEIINGQEKVWQAYACYHGFRTNFKNKYTDLLIAY -IPDKNFQPKPQLLKYMKTVEEFVNHQAQKDILNTAVCYTTKDGLKREVLLQSKILDTEIYSYDAKGNCDV -HFNSPITSHEINSNTGITQLYNNTFVPGSCGLIVFTREEPSGSNWIVGMHASMNMRTKDVEAFPLYRDQI -VYIIKKHKAELAKIGSLTSEDYINSFILAGIEPPPIELQKYLFYIGEDQIACCKCFAKLNLKNYKIHECG -QHRSQANVIINYEFAGTKLTCDVPDWWKEVLTDLIEKPRQLPECAIMGDEIVNTSSLKYLGKSWFKMPEN -IKPRYKLAPYVGQIPYPILKKPALLHPSQANQDTYDKLDNVYNVVYEGNKIVKTGIRGKSIQSSQIKLMT -GGTTDELCKQFFGQRNFVKARAMFSDRFHKIVKQNHPNLKYRFLSLDEVINGITNQNHPLSKHIYNMDLD -SEAGPTCHLLGDNIKGIKRDFFIQKGKNRDGSDRYELTPGALKYWARVRDNAKKGIVTFAPDHLKLKNEN -LKVEKADQGKTRAYVSRDMFGMMLEKTAFGFLQGLFHLDGCQNFSAIGCNPITDYPQLIQRFKNQNCTNL -ICYDVSRWDKNTLRILLEECFKAILEYLEYEFNITENQINTLRVAFAQILTTYFITGNEFYENGRSMPSG -TYVTALMNTCLNEYLLCLFVAYKAEQEKRNPDAIMKLIASCFMGDDGVVAFPDSLIEFFDPIELQRIYKE -MNVELTSTTKDGSDIGIVGLLDFEFCSRTVKLHQTSKGIITTFPLKEISVKSDSHWLTSNSTETKLSICR -TKLYEACLHGPEFYESEIKCIKIILDTLPLDAQREIRIPSWEEQLDIVLFSASTELKGALQQERTHQSQG -YILTTAVQPLSPVKRFVMGNVSDSKFVFVGEEVPESYYSLSKLNTTTPEIDYISRVQEICQSQKLQVPEY -LFQRLPSPDHIPEFACSAILEDKAGNSHYIIQESSTKKKAKMMVAKEFYHLLISSRRSQMDATRPAATAV -QAGDASFESMLTTAPTAAPTEIIQPSLEQLTDVLNYGDPRELIDVIKTQIFPIRDITFNAAATPGSIIAK -IPYGPEYLPTVMAEYFAKHKYFKGMFYHTLVLYSNATVTGAIRISHVKDIDKDVYTRTELVETNHSELVQ -LNQISMTANTARNWFIDYAAQADKVLPTKEILEGTYKWVPSRPGIIVTMEVPVQQIYGQNLNLTLQHYTG -WGNLVVSTPILTPASSGIQPGTRTMLEAMQGMTLNTIVSSLEPGLLDGDIELTTDGCAYPSTIFTKTREY -STGGIEIGRPAQIEIICQTGVKLKDEDPWYRVEPKLANACMSTAELESGSGTVYARLFLLEGEAPIKFEN -IKDRDSFEKCLTTGYRTATKAGVAFPFPDKDFANTDSTLASIQQAFIDTYNERCTTSLQTFSSNPFKLSG -IKTIIFDKNDTLREGAFDMTKRAHNIATKAIIFNIGTLSFRLFVMDVDFEVVSGDWMFGYNYSDGKYVIH -DFFTVDYTPPTTGVSTIPLVSSWQNFSRLPSGCKTFMLVNPKTSAITTPNGNEGLPTVMDTKFTTAFMQF -LDINTPAGQLCRMTIMQSGTGRVVATLYYSTEYKQLFIYAPATATQYAAYIGQPSSSYVISNVSFQSPSE -SFISTDDSSWSNRQVTPSQRRFYRSQMMLATALQAGLGAVGGIASGINAAVQQQQDFKRQQMLQQERLDY -MNRWNELSANTQMKTNEITNATNLAMNQGSNLTTLQVSQGNNANAYAIAQLQNGNRIDLAKISQDTSLAG -FANQKDIALTQQATQLGAKAVDLQIAQGNNATTIEAANIASETATVGNVLNFTGGLANTGVGIAKVVTDY -LQGDKERENRLDQIHVRGDETRKTIDHNLAMRGGNIAASQ diff --git a/seq/clusters_seq/cluster_1274 b/seq/clusters_seq/cluster_1274 deleted file mode 100644 index 4584e9b..0000000 --- a/seq/clusters_seq/cluster_1274 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009345136.1 hypothetical protein [Wuhan cricket virus 2] -MDAYLNRVSNMDKDELHSHLRRDYHHPDQLWPDYLDYLDEWKFEYRHKLSVTRKDFIYRFLAVRNQKIQC -GDHYHQFSTEPSSNELSVHFPRTDTFISPTACWYKTILKMEDELSSDELISASTFTTTELTFLHRFASVE -MVKQGLTPTLLSFEDFKNHWWGQESTALPSIEELKIDLPAGEPQWLLTEDSPVENVQTSELTSQDHGLHT -LLATAPEPYLVFKTINKIRDVTPDPDLVTPSQLATAMENCNQCSGNYPTVGPTHPGVYYAPPGNGKTTAA -RHNVFCGVDTDWLLKMSDFNTIIAPFLAMDLPVITNQYNLATNSGEKFIGNFNPHALRTAPTGKAYTSSR -EIQAAKKTYGSDLTISLQPLFFSQASLHVQRLLHVYNTTRNQFLPTKWSKLPKPSKRPQYSSWCNLKYAI -EHWPDPYRGKNYQQNLRKRRKKK - ->YP_009342309.1 hypothetical protein [Wuhan Millipede virus 4] -MELNEYYSKIESFSKDEMDSHLRTNYREESQEWPDYLDYVEEWQWHFKEKMSQTRKDFIYTYLSKRRVRI -QCDDHTHTFSDSPIGGENVVHFPSPDAYVNPSACWYKRLLAAEDELSSDDNPTGPARLTIPEATVLKRLT -GIRLVRENNTPELLDFSTFKRMWWSNPTYEKAVQLLEASKLPPLKHSTPSVPASDVTEIIMGAREDLPLA -PANWIGKHANGPDVIMFDPNSIEAQHGLHLLLLGRRDWEAIINTINRIRQTVREDSVLTSDGIFRHMDRF -CRHCDFFKDKNAARARRDDPGWETAVNQHLRPSPVGVYYSPPGNGKTTAMDRGLFIGIDTDWLIHSSDFN -TVVAPFLNMGLPVLTNQYDLATNSGEKFFGTFNPNHLRTDEFGRVYTSLEEIEAAKKLFGDDLHIVQTNQ -YFSHTLPMLFRAQYVYNRTRELMLDKKPPKRLFTTELNQPTSYEELLDQLRTNSVARAETKLRKKRKKSR -QRRKRKPLSSFDS - ->YP_009337886.1 hypothetical protein [Hubei tetragnatha maxillosa virus 8] -MLEDKDYESVYDWLNDYTTSIEKMDAVERRQETREDYREESQIKADYLDYVDEWKWHNKEQKHQLLEAFL -YSISQTHQIVRCNCGNTHTFTTMAQREEGEIAIDEPTILIFTQCWYIHISNQISIALDSLTEEQIRQPTY -VEDVLGYVAKWKVTHNLTPMLPLDVFKKIWWDKTLKNKRSTDAIELEQKIPSNDRTIIHAKQKEYDAPIR -HEGHRVLLHFVHNEHSFHNLYHALSKADFLIVLKFMNTWRTKIGRSSDKIDLTDIEASVMKCERCMEIKT -RVKLTLPGVYYAPPGVGKTTAMESGQIVGVDTDWIGVGPTWRDYSTIFKMKIPIVTNQYTAFVGCGYKVT -GVVKSSIRKDSEGKPFTTKQQLLKYAKSHPVDVHFIVSSEKKFFQDHVLRLQIIQQMHYLIANYSMNQMP -FYRTEQSEEWAKTYPKLLRKEKFIKLYNINR - diff --git a/seq/clusters_seq/cluster_1275 b/seq/clusters_seq/cluster_1275 deleted file mode 100644 index 280a4df..0000000 --- a/seq/clusters_seq/cluster_1275 +++ /dev/null @@ -1,94 +0,0 @@ ->YP_009345053.1 hypothetical protein [Wuhan spirurian nematodes virus 1] -MDKQNMVSYKAKSGSAPANKRSWTKGPAKGTRETLVPRRRLGRKTIVPTHSGSGAHGSGETSDHELQEQF -PVFGGRQSYEKSDATEFNSCDISLQPEITPSEEEYQTMFDTPDSDSEVLQELTDTPVTYTRSRPKDTSVD -EFYPTGNIEFSNAEALIVEKRPHNRCDQMVVDFSRPKQEYGLDENIVYLQNYLNTHVFRPQFVEDDEFIQ -EFHGLEREPEIDDYNAQKEKPVYFDQCPYPHYGYEYFAPVCNHNHDRNALWYYQGCGPTNVWVQTQQQQG -SDLKIPVLLDGIYRKFDLAITHKDSIQFIGDNEFAVHIYYSQYHVYLSQTIWGLVLRCDKISDIKYEKGR -MFDPWNVTAFQDYHSTPDRKMLCDVVYDDIDRAFRKIVTTAQHPDYYEFDVKPIKETFVSFQKGGEIAAN -RAQTKPMNPGLEVRYYVITPDMFPSIYSECVERSGDDSMWRKVVIGFKDCIRGVMENERIHDFEDSLWKF -SHDKPVAIQDHHEIDVAFRSYYESYRRVPNPVPFDENKPQYFVPIGQAEEDGSGLLTDDDLQFSEDIERA -VYDLVSVVSALKTAEISTIEEQEECDFMPVSGMACFADVMPKMKFEGAGDVFHSRCVKVAYATEEVTRDY -KINQADAQFGMLMNDSIVVCTVYANSEFVKKRQQLFEEWERRVPTLVRDFELPYLPILKPYNFAQMFFEA -QRDLQEQWREWHNRWCELTPTLSKADEIPYISLHIVRKTRNEKIEQCKNWTKILKTYRNKQLVEERRTLE -PSGITLLEHYEYIAIVTRTHPQVLQGFRRHYGEESLVDERSRIESELVRSGVERNPGPLFAIKHEIDPDV -WTSIRTAFGEVQNGIDSGITNVLDYIDRKLDDLCAKLAPYYAAGALDSIITEVVQVAAGLYLFLKATSAT -EQFVILAACPQIINFWNNCGSALLASSFGQTCEDLAGVLSDGIISGVNYIRQIFQSDEPDDAAPETLARF -LIDMISTMWFRGMIVAAEKYAKITSAVRNFLALPRDLISFVKLFVEAAMVVVDSFWEYFFGYPFTEQGIQ -KRLAAMSVEWVTRAREILAAPNNYWASEESFLKLVDVYNSGYKLVAATQRPAKLVNDLLARISMLYERLA -ITRSVPPVRQCPTVLSLIGETNQGKSTLVSYLVNDVIHDLELDYAQNMQIYTDDNNEDHFFNGYFGQFCY -LNDEMFSTTNPDKIFEEATQFFSLVNVAPMMTNQADIENKGRVPFTSLMVLLTTNRRNWTNEQGFARTDP -NALLRRFDVMVEVTLDRAKIPADLSINTDAWSFRLWQGGQPTQVSFNYKRLKELVLEAYKRHAMVFGRSM -EAVFRASASYTSYLASRGGFNDLSSRLDRILTMSRTNQTPEVSHTTPAAELGIPGMARYYYNTIRDQFSR -YVADTVQEVNHYDESSISSPGWFDTTFKKVAVISTALATGGILVYFLRMRSIVSTMGEGMFQSHDPTSSA -KRKRKQGHQQKRGGKRVYRLDSDDDVETVSSDECGDSDKTVISTLTEANPVEQWLEKYSKSNICSVIYTD -NMNSVRKAYWLWVCPNLFIANRHVVYGLPENTVFVVKFVFHDTLSIKMRYSDISWTYTQGSDVVFGRIDS -NLEGVKTIKNFMPSRKFSPGYSTVYTVRVRLDEDGKYALKSMSYDSATLVAKPYKFCKREFNANSVYMAQ -GRSKAGDCVMPYCAMVDGKPTFLGVHFGLDGKFIVFAKVTQEHVDDASTGFQSFSRKISVPPSIFVREVD -QQEVVYMPRRSVLMPLFEDRTLIRMAGDKQRRMPACLVPRNGVSPLEKAIAKFDEPDVSIPRNVITQFPD -INGHRLLSWREAMFGIKEPILPWETKIMPMEMLTSAGYPWCVGHKGKYYLYPPDSLGERHPLPNFEEKYL -RMEKDLEKGAVKILALDYLKDELRSAEKVASVSTRIISVLPLEFNLIFRRYFGSIIGSMMVSDSHCRVGV -NPHGPGWKEIYDKIFQYPNVYSGDFSGFDRTIPSAFAAEFAEIDLVVERDIPWSEEEVKQNYELQKDVTE -RAKKLLALRQVEYKRQKVVRKNIMSSLMSVIHRADNVEYSAGHGNCSGQPATTVFNSFVNNNITAWALRK -MGYEVGVNCDFVTYGDDNLIATRDPLDTERFSQLILQCGMRITFDKNQTGTFLKRGFRVTREGVFAPLDK -RVIADMLLWYRKADITFEQNVRQRVLASLVEMFHYGPEEFNHWQKFVNRILIKAGLGTVHEEYAKYFARW -RADALEDGFEDEEAGGIVIREYVKSTTSGIYVRSYLDEQRREAAQVFQGDDNEQVKLSKTEPSPPGTSQE -VDLSTFTEPPGEVQKPRIEDRVVPIVEVSEYYQQLQRPYVIGVYTWTSTQAINTELTTYLSFPDALIHQP -RLASLLSRYRFFRADVELEFRINSNRFQYGALIAGVMPCMPMYTLVTEQSDWLNFQTPLKPTSHTMRPTY -GNPGSRWSNLTQMSQMEHVIISCQNNPVAKIVIPYRFPLDWIDISKCQPSSTAHKWYKESIGCCWIKVLS -PLTSASPTPVLFCYVQVIARFVNIKVQGPSIDDWYSDQTFQAHDPLSEEEVRAIQESETNSEDGIFEPSD -YTGRKLGQQDESLLSKVARMSKPFLTAGRKVIHTLSYLIPFGQIVDASAQLAGAVFGFSKPNVPPGAVNV -YNNMALDLPHANGLAFAPFLGLNPSEDSYMEDCFWGEQVYDSISGMAAIKQYVTQVAANTTISIDTTLFQ -APCAPFPICNSGVQWNIGATTAIEANHTYGSYYAGFFEYWTCEKIVWTVHFYASAYHQARFLLYWVPNNR -TNPFEPTTATGGYGDLLTQQVVIEDGEVSVEFEVPWCADIRWKKTWLAGYRQNFSDFFSLVNPSTINKAS -PSLTSWVGFDGFSVGNFGIVCMNPPVLASTSDLSPVNIVVYQHFVGLKFSQYLGPSCANGFPCETFQSYT -DDVCDNVRSLGHRYHNVLPTTVNVSMSMTQASSTQFSPTISPAIFPYSNNWVRRCYAGDWNKPGGLWLNT -GTWTATSSTLYQFEDNNYIYGIAAAYWANEQWVRLSVPFWSDPLRTLFAPFRFWRGTMRYKYLLRSEEAN -RAHICQSPQHTTMSALADGSYPASRGALVVDTGIWAYLEWEIPYNSNLRFGIIDAVELYTDSGATNDSSS -IWGVNSWLLRWQKSPNTGSIVDEVNPLFYSIGDTFQLGGFSPLPVCNMCVINQVNMSTTPLGTAAVGAIQ -PADSDMVFSTMIGQPDS - ->YP_009345046.1 hypothetical protein 2 [Xinzhou nematode virus 2] -MSILEQPFISNNTTTTTIRDHSSQIVQPNVHVVKTLPQDDPTLENHVDQTLTETSKVDNTEILEVGVVET -AGLKLKKLPPTIPVSSLQEQLSRRYIVGNFKWNISDPFSTLLATYTFPRDLLMQPRVRQLLSRYQFFRAD -VEVEIRANANRFQYGSLIVDYLSMAPQQTTVFQTASIGAGAMTAGYRMEDVYQSTLNPHLIVSAQANETA -KITIPWECPFEWVNLGLIGMNTDNVSKLNVDSITSEISRAAIGVANIRVLAPLATVVEDGATHCYVQVLA -RFVNVQVNGPTMTTWGEPPAEVTYQALETRDIQPILASMKPNEIPDLFRIVTDPIGSLIDLGLGCIENTF -SKIWDFFGFSKPSKITEIQNRNLTLQNDLSSIVGQDISSSLSLMPDASVTNATELFHPHSTLLKELVAEE -TLLTMVPILPSQEVGTEVFWCPVLPAFSNCQSKVQVETSNTISNQAGKLIMPPHQVQEIMGGWAATYSNT -FQAWRGDVVFRFHFYASAYHQARILIVWTPTPLHTSPMTLGYGDLLSKQVIIQDGEVDVEFCVPYCQDVN -FLYTTACLKDKIPYVASDNMSYDPHGGIGSTQRTGYNGMANGYISVWIANTPVVMQTNSHAPIHMMVYQR -FERFVFEHYQSLADSVPSFARKSVIAPLPPPIGPPPTMVEYQAGEETEPDLFLNYGDQPTDLLSLTHRYS -TGRIQVSPLEANGKSVSAHLPSLSKIVSQTFDIALFEYNARAVLGIDSSGYISQLQLLFLPYRFYRGSTR -YRIVGQLGNDIPELSMATLATQFHNPGVFDPNQVGLYTSNGTRWMYSSYYPSMEISSPYYNRFLYSYLWL -QSPPATKVLLPTADIGYVYDEVPSIHLLNTYKTSAPSSISFMMATGDDVRFSGKCAPPYLTYYSIRDPKV -FAIYSYNSPP - ->YP_009345045.1 hypothetical protein 1 [Xinzhou nematode virus 2] -MTYTQMRNKFMTSRCVSQELKNIRSFLIDDSNRRNLMVEESIARSAGCPFHCRTCRMWRGAEYCQDRCAV -CRVHFSLPVEICQFGCGYCAENLRCSSQCVICRLTKAETHMKEITYKPIWCYGQFVERDTVSVLIRSRIR -ARKIMDWMHNPTNCWFYDIYEANVEGFLLQPRSLHHSSILAVLGMDSHYFIQRLGSYRSLLEYYQQSFKM -DLGFICAPFLQHLHLSPVYVRNSSDLYAAICFLDNKLSAWTRSQDVVTPFQFLQEVIVILVNLVRILNPV -VWDYAGVYTERLFVGADDYRAPILRAFLLDPKCMQIHINRLGISDTFVPFFYFWNKAMGDKHRFYYRGVL -DQLAPFDIESAMEAFAKYRLLFDIETNPGPWFNIGHTINMDQSVVSSMEQILDKMKEFLVQSCDYTVHSA -KEAVSATADVIKQHVNTMAEDALKIVARIVRAACCLLLFMSGTPMQKALTAVVIATDLYDSYQEQTSSVA -PLEVVEYQAGEDNIESTLGFLMKSLFHYNDMGFIRRHANDFRSITFAQNTVNSFKNSVVDAIMLVIKVFK -IFYHLFTGMPYDITPTQKQMLSYIAQIQKEMSDLMALADENFMQVGTFGYTLEVIKLAKQVRSIIFAKVK -EYSVAGTTFLATLALLERRVAVISSAMSQEPNRRQPVSIILSGGTAVGKTTLVPIIANAVALKLDMKYVT -PSTVCYQHNNNQNDFFDGYRNQPFYWADEWLSTDDAETNYAEVTRWFKIANISPYPLNMADLESKGNTYF -TSDFFIATTNRQRWNNAYLGKRESSEAFFRRIDICVEVKLDKIKIPESGEINPDAWSFEVFEYDGKTLAK -TSQVINLDTLVAKICACYHHYGLNKRRMNEFIATLWQQEGRAAEVEMETDLRRQMQQQGPASLSDELTLI -ARHLAQESTPVTYQAGDPSETPILEDAEGPRAVTEYRVEYDNFIQEVNIMPYRRICEMARKIKFGIWSFQ -IPSWTESLIKAIRSAWEFINNKWTLFAVGALASIVALYTIFRKTEKGVELHSGETEHTQRRIVLSNHVEA -QGTIDESNLTWIEKFSATNIVLLRALNQTGTTWSEYGVFVDDKTLVTNYHFISGSERAIRMLFADGSYSS -FDCDNVTIRTCHERDLAIISFKGAMQGVRKITSKLLRNIDLCVNFGTALVIRPADNHVPFNFEVKYVPPL -KIADNIVGPGEVRHRLTYASSFDSEAGQCGLPYFVISKGALFIAGIHFGMARSLQKSLMTTIVKEDFLDV -VEKQMLMIHGPSEFREEELPNEESVYISRKSNIRPSVLQEEARQWALEENIVIDRAPAIMRPTGGVDPMQ -VAVSKFDVPDVPDAGDFAPFLTRAVDYLAEKHQMPKKATVELTWEEACYGVDSDEREKYLLKHIDSINMS -TAAGYDYRAIHGKGKKKLLDAEGHFIPEVLTNALRVESNWEHGTCNSDVVAVDCLKDELRSSAKRSKCET -RIISVLPVHYNIAVRKHTAPLTAWLMEHCTQWEMAVGINPHSLDWKCLYDRLRKHDFAIAGDYSGFDRTI -PTSIGNLFVDYLVKTTHQPGKRELFKAIVNEMQHMPHIAGNCKYYPTHGNPSGQVLTACFNSFVNMTFCL -ATFLYAGRNESTPYNTTDYENHVAMTVFGDDHVMSVDRSCDLFNMLSIMQAAQQLGMKYTDNKKNKDLEK -FLQWDDVTYLKRRFIRKDDEVYAPLERSVIFDMLSWVRDSESITTEEALQARAYNAVMENFHYGKEAMDQ -FRGRLNELLVSNDIAPISLSWEYVYRAFREGTIEYDTSDSFVKIWIPSEFVTHIPEHQIDPVWYNFRDEP -INQEERVICSFGPPESVTHFPTGEGWNEPLRDFWDFS - diff --git a/seq/clusters_seq/cluster_1276 b/seq/clusters_seq/cluster_1276 deleted file mode 100644 index dda6878..0000000 --- a/seq/clusters_seq/cluster_1276 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009345004.1 hypothetical protein [Wuhan insect virus 9] -MPKYQKTITFVNKKYKNRNALPMKPRRSRSRTPSVRRSRSRSRSRTPKRTTIKYGVVDGFINRIMKKFSS -ILVDPYTYIGLALMSLLMYIHYFHIDDSAVNSIVKTLKKNDSTKAFADWVEGNINKIFAIVMLLYHSLQI -PIKYRYFTILLSMITVFLMPSLSVVTYSATIFGVVMYHKMKKRTDKFIILLFYIIIMSWFYYDEIIKYHS -KTTRVKRETEEQQV - ->YP_009337903.1 hypothetical protein [Hubei Wuhan insect virus 9] -MPKFQKSITFVNKKYKKQNALPMRPRRSRSRTPSVRRNRSRSRSRTPKRTTIKYGVVDGFINRIMNKLSS -ILVDPYTYIGLALMSSLMYIHYFHIDDSAVNSIVKTLKKNDATKAFAEWVDGNINKIFAIIMLLYHSLQI -PIKYRYFTVLLSMITVFLMPSLSIVTYSATIFGVVMYHKMKKRTDKFIILLFYVAIMSWFYYDEIIKYHS -KTTRIKRETENEQV - ->YP_009337900.1 hypothetical protein [Hubei Wuhan insect virus 9] -MPNTRKTLVFTNKNYKNNNVNTIQRGRKFNKQRRSRSRTPRRSISRSRSRSRTSIRKNIKYGPIDGFLHR -IWSKSTSLIIDPYTYIGIIIFSILIYIHQFHIDDSAVNSFIKTVKKNDSLKPFADWFENNINKFFALIMV -LYQSLQISPKYRYFTTLISFMLILLLPTLNVATYSMALFGSILYHKMKYKMDKIVILSIFLLAAIWFYYE -DLMKYHNKNINPSVRPKRDADDVIEA - diff --git a/seq/clusters_seq/cluster_1277 b/seq/clusters_seq/cluster_1277 deleted file mode 100644 index 3b74069..0000000 --- a/seq/clusters_seq/cluster_1277 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009345003.1 hypothetical protein [Wuhan insect virus 9] -MCYFILILYLTHIAHGAPVNTKTTTLRNLNITFPGIFQHNFLDTIDFYPSNKYTQFIAPKFQSKCMYASV -ENFLTESNYVMPQECGLVATMTIHDSMFTKSIVDVGTFACTQYHRNKCNPPMHLYTLNYTEDAFVNDIIT -YYSKETITFRYTLDTTLINYIIVLKDEYTGMFYWITSYCLKYMTVTSDNKFVHLGPGIHHYDAATKQFCI -TDYSNMCTPVGPFKTKIDFILSLPLEITISSDRPYHKYFEKHTYDDTVKHTIPYDDNNRFEFDKNDHFVY -VAGNIRSMQPNYQCVKYHYVPMSSIFHIDIIIDSIEKKMTQYFHLLTNFINDNVHIIVEHIENIVLVTCS -YVVKKILYLITRILAYSYIMEAFAITMYMMITNYNYTTIACVVATFCILKKWLFMW - ->YP_009337902.1 hypothetical protein [Hubei Wuhan insect virus 9] -MCYFILILYLTHIAHGAPVNTKTTTLRNLNITFPGIFQHNFLDTIDFYPSNKYTQFIAPKFQSKCMYASV -ENFLTESNYVMPQECGLVATMTIHDSMFTKSIVDIGTFACTQYHRSKCNPPMHLYTLNYTEDIFVNDILT -YYSKETITFRYAIDKTLINYIVVLKDEYTGMFYWITSYCLKYMTVTSDNKFVHLGPGIHHYDAATKQFCI -TDYSNMCTPVGPFKTKSDFILSLPLEITISSDRPYFKYFEKHTYDDTVKHTIPYDDNNRFEFDKNDYFVY -VAGNIRSMQPNYQCVKYHFVPMSSIFHIDIIIDSIEKKVTQYFHLLANFVYENIHDIVEYVENIGLLVCS -YIVKKIIHLITRIAAFSFLTEAFIIAIFMMATNYNYTAIICVVVTFCTLKKWLFMW - ->YP_009337899.1 hypothetical protein [Hubei Wuhan insect virus 9] -MYRSYILIAKIFILINLSCSVPINQYQYDTTLNHVYDIFDETFENQISDNLIAPLNFFTMFVAPVFHPKC -MYATIENILTESNYHMPADCGMPLTVTVHDSTFSKSIVDIGTQACSQYNRKKCNPPLHRYKFVEDFKGKM -ILSNNIQYDKYGGSVKFYLDTSILNEIIVLKDEMTDELYWITKYCSKFMTVTSDYRLYVLGPGIHYYDAA -NKQFCITDYSNMCKPVGPFKHVTPFYRSLPIYVTIYTSMPINHTFAVNKDLTYSMPYDDHNSILVDSSLR -FTTVTGSIRKPQPTYQCVTYHTVPLSSVFHLDAIIEVIETKFILYYHSIQNYFTDLMSYFYSWVLTKFLK -IFRISSFLFFDIIILFFYLIIYNNNYYTVGLVIAIHATFKYILYM - diff --git a/seq/clusters_seq/cluster_1278 b/seq/clusters_seq/cluster_1278 deleted file mode 100644 index f90341e..0000000 --- a/seq/clusters_seq/cluster_1278 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009344993.1 coat protein [Solanum nodiflorum mottle virus] -MVKRRPRLRQLTVMERVTERNPPARKSRPRRRRKGGQTTMVTAPIAGTMVYRRNPILMNGRRGITVCHSE -VVLAVQSTATAFSATSVTLAPNTFSWLSVMGALFSKWRWISLRATYVPETATTTPGIVAMAFQYDNTDTL -PVGNAGMSSLYGYVSGAPWAGFEGSKLLTEKPTTPIPSGAIATQLDCTNFGLKWYQYKAVMPAGDSGNLY -VPAQLIVGNLGANNPLRYGEVHIQYEVEFIEPIPPTSNALRSVTDEILKRERRELCVNEPPAVVVNTEVL -LPSKETEIDKAELIP - ->YP_003896040.1 capsid protein [Velvet tobacco mottle virus] -MSKKLTKNQVKQMIQATLPKEQTSARSRRRRRRRSTQQGQSSTVMAPMAGAVIYRKRPMLINGRSGVTVR -HSEVVLVVQSGTTNFSATSVTLAPNTFTWLAVQGSLYSKWRWISLRATYVPETASTTPGTVAMGFQYDNT -DVLPTGTAGMSSLHGFVSGAPWSGFSGSKLLAESPTTPIPAGAIATRLDCQNFGLKWYQYKSVIPAGDSG -NIYIPAQLIVGTLGTGSTLRYGEVHIQYEIEFIEPLPPSVNTLRDIYSRMILSSASDERKGEKSSQTLTD -R - ->NP_044744.1 capsid protein [Leek white stripe virus] -MTTSKKSNNNNKSGKSTPKPNKNRRQSRKGQMVLQTIVEPSARGSIYRNVIPPGIFNRDSVNLLSHTELF -VGVDSGALGAFVLNGGAIIPSQMTNCNRHAVNFSKYSWKYLEFIYIPFVATTFPGQVVLAPNFDRSDANP -TSIASLEQYDYAVSTPIWGGSEGSRRMHSNAACSAVNVIIETDNFDKVVYPYKTLANFTGLPAVDQNIFA -PATMFVATQGGNNVAIQLSVGKLYCKYVIEFFNPIDPASNM - diff --git a/seq/clusters_seq/cluster_1279 b/seq/clusters_seq/cluster_1279 deleted file mode 100644 index 3512493..0000000 --- a/seq/clusters_seq/cluster_1279 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009344815.1 hypothetical protein [Kibale red colobus virus 1] -MLAQIGAFLDSFAINLIYVFLCVVAVYSAWSIMDFHRRRKRQFRHCISQSVDILGTTPTADHQSQLRG - ->YP_009067074.1 ORF5a protein [Southwest baboon virus 1] -MLQELGAFLDAFAINFILVYLLVAVYLCVIKRSKLDQLHPDGSYERHF - ->YP_009067060.1 ORF5a protein [Mikumi yellow baboon virus 1] -MLQELGAFLDAFAINFIFVYLLVAAYVLTIRYGRQRNQSRPSDSLERHF - diff --git a/seq/clusters_seq/cluster_128 b/seq/clusters_seq/cluster_128 deleted file mode 100644 index dcebe35..0000000 --- a/seq/clusters_seq/cluster_128 +++ /dev/null @@ -1,592 +0,0 @@ ->YP_009380531.1 RdRp, partial [Mamastrovirus 2] -KRETGPETAGPEIHTLDAWESLRLPPRRRLVPEEYPVICNLPINRPIFDTKVADDPLLGLLPPCDPDLPF -GPAVWGPEAYAKSFDKFKYAEPSKFWELYPEECAFADKMWRKHYNFLEDSRVIHITSTDKNMDSTPGFPK -CEEYESERDYLETNGWGPYVREFKRVDSGEKPRVLWYCFLKKEILKKEKIKDSDIRQIICPDAIYSRIGA -ALEQHQNNLMKKHTEDSSGQCGWTPFYGGFERKMRRLDRNKIIEFDWTRFDGTIPRALFKHIKDLRWEKM -NKTHRERYRHVHDWYVENLLTRYVLMPSGEVTIQRRGNPSGQISTTMDNNMINFWLQAFEFAYLNKGKDI -EALWKEYDTIVYGDDRLSTTPCLPDDYVPRVVQMYKEVFGMWVKPEKVKVTDTVKGASFCGFTVGDNYQP -IPSNPDKLWASLVTPCQKLPDVTALCGKLLSYKILLHNMEDHPFKEYVEKCLAALEDGQTIPRLTDEQLD -RLWRGGPKISSNG - ->YP_009380530.1 nonstructural protein [Mamastrovirus 2] -MSLYLNRADEVLAQTDGTQLKPRFDARPWFGELRKIGGNKPWYITYKPRMYFFPTLKPDRILTASTVTEG -EWRTFILDMCCGEPVAIEVNHIEDHQPTILTAALMEDRVEKQREISQLRLDNELLRGQLAYMRREQTSTP -KIAFSWSTLFALVFIGFSLCWHSANGLTTTSTTQSMDPIGILKLNAWLEEFVEKAKKVVYTHHYTVVNTV -KESPSWIVAQAITPYLWHAVTLFLSIMTVYKAEQRIISLLFLAAASLSGGDWIFLASASYQTPISAVIQI -VCVMISHMDPIGAICTSALAMFVTFMASMCTTNTTFVQQSRAASINTAVLTLSIVLRTLKLPAMPIAIGL -AIIRAYSILTTPSAATIEVRSEDGKVLTKEPVKPGLLFRFKQKMRKFVQLRSEMPPLVRVNPTAVVRIET -PDGVGTGFFCANYIVTAGHVLGPHKVVSICVGSSKIQASLARHVEGKDVALLKIPPQLQNYPRLKIAPKI -DPAWLCVYSPDGEGAIVQSVVPGHQVEDCLDYATPTRDGMSGAPVVNPDGRVLGVHLTNTGYTGGAQIIT -QTDITDPPKSTPTEEKLRAELEDLKRQLAGFKQSSTQEDIIGLIREAMAREMKILRTELNKELASVTQTQ -QDEFAQTKKGKTKKGRGRLKLRLAGAKKRKQRGPVFTEEEYQRLLDEGLTPDEIRDMVDELYEEEAAGFP -EWEEMSDGYDPDEDWEFESDSDFGQKKIKVPAFNQYLNRHYNAKDVQSMLDSLTPADIEAVGPVYPLIIK -CSNPELCSALLCYLDRYAALNGLSPPTQGLTYTQRRIPKNEKRAQKQRAQKSTH - ->YP_009480536.1 ORF1ab [Sichuan takin astrovirus] -MFFYPTLKPDRVLTASTVCEGEWRTFVLDVENGLHKAIEVNHIPDHQPTILAAALMHDRSEKAREISDLK -LENEILRGQLAVYRQEHKTTPRFALSWTTLFALVFLGFSLFWHSAHGLTTTSTTESVNPMEMLKLNIWLD -NFVSEAKRIIHTHRTTVMDAVRTSPSWLIASTLIPHLWTIVTIVLGIMSVYRSERRVLSICFLAAATMSG -GDWLFLSSASSQTAVSAICQIACVLVSHIDPLGAICLSSLIMFGTFLASMCCTSTEFIQHSRAASINTAV -LVVSIVLRTMQLPAMPIALALAAVRAYTIITTPTGATIEVRSDDGKVVSKDPVKPGILFRFKQGLRKRFA -QLRSSMPPLVRVNPTAVVRVETPDGIGTGFFCANYIVTAGHVLGPHKVASICIGVAKYQTTLVRHIEGKD -IALLKIPQQVQNYPRLKIASKIETEWVCIYSPDGEGAIVQSVVPGHQVDDCIDYAVPTRDGMSGAPLVNP -DGRVMAVHLTNTGFTGGAQILTQADVTDPPKSSTNEDKLKQEIEELKKQLAKCNQSSTQADIVGLVRAAM -AREMTILREELNKELRSAPQYDQAKGKTKMKARRLRMAGSKPRRQRGPVFTEEEYNRLLESGLTPDEIRD -MVDRLYDKEVAGFPEWDPMDDGYDPNEDWTFESDSNFGQRQVKVPSFNQYMEREYDVKDVENMLQSLTCA -DVDAIGPLYPITAHCIGSPLCPAMLCLVDRYAASRGLSPPSFGLPYTQRRVPKNREEGPEPAAPEIHKLD -AWEALRLPPSRRVVPDDYPVVCNLPINRPIYEEKLVDDPLLGLLPPCDPDLSFAPAVWGPQAYTKSFEKF -TYAEPSRFWELYPKECAFADKQWRKHYNFLEDTRVMHITATEKNIDSTPGYPKCELHESERDYLECNGWA -PYIREFKRVDSGARPRVLWYCFLKKEQLKKEKIKDGDIRQIICPDVIYSRIGAALEQHQNNLMKKNTDTS -SGQCGWTPFFGGFAEKMRRLDKNKIIEFDWTRFDGTIPRALLKHIKDLRWEKINKQHRERYRHVHEWYVQ -NLLTRYVLMPTGEVTIQRRGNPSGQISTTMDNNMVNYWLQAFEFAYLNQGKDIETLWSEYDTIVYGDDRL -TSSPSVPEDYVQRVVTMYKEVFGMWVKPEKVKVTDSIVGASFCGFTVGKNYQPIPSNPEKLWASLVTPCQ -KLPDEFALYGKLLSFKILMHNSEDHPFKDYIEKCIAALEHGRSLPKITDEQLDRLWRGGPKTNPNG - ->YP_009480537.1 ORF1a [Sichuan takin astrovirus] -MFFYPTLKPDRVLTASTVCEGEWRTFVLDVENGLHKAIEVNHIPDHQPTILAAALMHDRSEKAREISDLK -LENEILRGQLAVYRQEHKTTPRFALSWTTLFALVFLGFSLFWHSAHGLTTTSTTESVNPMEMLKLNIWLD -NFVSEAKRIIHTHRTTVMDAVRTSPSWLIASTLIPHLWTIVTIVLGIMSVYRSERRVLSICFLAAATMSG -GDWLFLSSASSQTAVSAICQIACVLVSHIDPLGAICLSSLIMFGTFLASMCCTSTEFIQHSRAASINTAV -LVVSIVLRTMQLPAMPIALALAAVRAYTIITTPTGATIEVRSDDGKVVSKDPVKPGILFRFKQGLRKRFA -QLRSSMPPLVRVNPTAVVRVETPDGIGTGFFCANYIVTAGHVLGPHKVASICIGVAKYQTTLVRHIEGKD -IALLKIPQQVQNYPRLKIASKIETEWVCIYSPDGEGAIVQSVVPGHQVDDCIDYAVPTRDGMSGAPLVNP -DGRVMAVHLTNTGFTGGAQILTQADVTDPPKSSTNEDKLKQEIEELKKQLAKCNQSSTQADIVGLVRAAM -AREMTILREELNKELRSAPQYDQAKGKTKMKARRLRMAGSKPRRQRGPVFTEEEYNRLLESGLTPDEIRD -MVDRLYDKEVAGFPEWDPMDDGYDPNEDWTFESDSNFGQRQVKVPSFNQYMEREYDVKDVENMLQSLTCA -DVDAIGPLYPITAHCIGSPLCPAMLCLVDRYAASRGLSPPSFGLPYTQRRVPKNGKRGQNQRPQKSTN - ->YP_009448179.1 nonstructural protein, partial [Rodent astrovirus] -AAVNAGNYATRLQLSEQHLYKLRQIPEHMLNAPWSIHSPPRTMIYPGPDAYPPVRVTTASSVTVDNEWVT -YVLDGDDWVQCVTAPDDQNVILVCALLNDNNRLKREISGLKVDLQNERAQAEVYRGFYEKHQPKPRSYKG -VILALLILFIALFVPTANAEITTQTTHARNPEDLVRLNDQLESFIKVALERNYTKQVTDYFNQVYKTSVE -AWYMKIWRHVELRYSNIQTTPYREAIIQATSMLAPRAWQIVAVILSLVTVWKAVNPIPTLLYLAAATITD -MDFALVAIAPLQTAYTSMVVVFLACNYFVDPLVSVALSILHLAAFTIIGLFLADVDYILNLRAACATTFV -FTGFWVLNTLQIPTAAVTGIVVAWRIWRLASTLPPNVIEVRDTTGKVVTKVAQGPGYLMRFKQAFRNPLY -KLRQLRKTTVPLARVNPAALCHISVTDTTGTSKGTGFFCGNYIVTAAHVIGTAASVNINYNGHNYTTTVK -KKGTKDYACLNIPAALNNCPRLKISKKHDCTWVCLCAPDGSGAYLTSVVEGFEHDGAYSYPCPTRDGMSG -APLLDVDGHVLGLHQTNTGYTGGAIRLDLEDIQDQPKENPKVNALEAEIAALKAQLAAAENATQARLRAI -QDRAQTQPVQQKPPLPAPAKPPTPTPSPPKPAPSPAPKAPERKLEQSMTESDIVGLVRAAISREVQILRD -ELDGFQQKKKGKTKHGRGRKHVGGAGRSRQRGPVFTEEEYEELQNEGWEADEIRAMVDAMYDEMYGGYGY -PEYDDPDSEDDREIEEAWFGGRGDGNDHGYRQRHMNPLKFYIEKIWKKESVDEMLQSTCPLEEKLCAKEL -EAVKKAKEDQLPVCLAALDRRALDCGLAPFSDGLEFKQRKQQPKNREAGGSAPQAGSSGLADWKQLAGPP -FRMLVPSDYPVLCSLPLDRPIYDYDHPDDPLLGYLPAVDCDDTYEPTTWGREAYAKSFEKFHYATPCDFA -RDYPTETAFADWAWRRHHSYLEDTRVIHITATEKNTDSTPAYPKMLDYATEEDFLSENGWGPYIKAFKAI -DAGEEPPVLWYLFLKKEILKTSKIKDADIRQIVCADPIYARIGACFEQHQNQLMKKHTETHSGQCGWSPF -EGGFERICRRLTSKTGKFVEFDWTRFDGTIPTQLFMRIKRMRFQFLDPKQQDRYEHIYRWYCKNLVRRYV -LLPSGEVTKQTRGNPSGQISTTMDNNLVNYWLQAFEFCYFFGPNKELWEDYDTICYGDDRLSRYPQIPYD -YQKRVVDMYRDIFGMWVKPEKVKVSDTLVGLTFCGFRVGPNFQPYPADEHKLFSGLVKPAKKLPSMEALH -GKLLSLQILMHNHPPSAFKDYIEMCLMRTAILCPRLPARLTDRQLDWLWRGGPKPSPNG - ->YP_009448180.1 ORF1a, partial [Rodent astrovirus] -AAVNAGNYATRLQLSEQHLYKLRQIPEHMLNAPWSIHSPPRTMIYPGPDAYPPVRVTTASSVTVDNEWVT -YVLDGDDWVQCVTAPDDQNVILVCALLNDNNRLKREISGLKVDLQNERAQAEVYRGFYEKHQPKPRSYKG -VILALLILFIALFVPTANAEITTQTTHARNPEDLVRLNDQLESFIKVALERNYTKQVTDYFNQVYKTSVE -AWYMKIWRHVELRYSNIQTTPYREAIIQATSMLAPRAWQIVAVILSLVTVWKAVNPIPTLLYLAAATITD -MDFALVAIAPLQTAYTSMVVVFLACNYFVDPLVSVALSILHLAAFTIIGLFLADVDYILNLRAACATTFV -FTGFWVLNTLQIPTAAVTGIVVAWRIWRLASTLPPNVIEVRDTTGKVVTKVAQGPGYLMRFKQAFRNPLY -KLRQLRKTTVPLARVNPAALCHISVTDTTGTSKGTGFFCGNYIVTAAHVIGTAASVNINYNGHNYTTTVK -KKGTKDYACLNIPAALNNCPRLKISKKHDCTWVCLCAPDGSGAYLTSVVEGFEHDGAYSYPCPTRDGMSG -APLLDVDGHVLGLHQTNTGYTGGAIRLDLEDIQDQPKENPKVNALEAEIAALKAQLAAAENATQARLRAI -QDRAQTQPVQQKPPLPAPAKPPTPTPSPPKPAPSPAPKAPERKLEQSMTESDIVGLVRAAISREVQILRD -ELDGFQQKKKGKTKHGRGRKHVGGAGRSRQRGPVFTEEEYEELQNEGWEADEIRAMVDAMYDEMYGGYGY -PEYDDPDSEDDREIEEAWFGGRGDGNDHGYRQRHMNPLKFYIEKIWKKESVDEMLQSTCPLEEKLCAKEL -EAVKKAKEDQLPVCLAALDRRALDCGLAPFSDGLEFKQRKQQPKNGKRGAQPPKPAPRALRTGNSSQDRP -SGC - ->YP_009162618.1 ORF1a [Dromedary astrovirus] -MSLYLNRADEALASNDVNQLKARFDARNWFDELRKIGPNKPWYISYQPRMFFFPTTKPDRVLTARFVCEE -EWRTFVLDMCCGVPTAIEVNHIGDHQPTILAAALIDDRAAKARLISELRLDNEILRGKLAVMQREYSETP -RLALSWTTLFALVFLGFSLFWHSANGLTTTSTTQSVDPTGILELNKWLDNFIRKAKHIIHTHHYTVVETV -TKSPSWLLATTITPYLWQIVTLMLGTVTVYKAENRVLSILFLAAASLSGGDWVFLASASYQTHFSAAIQI -ICVLISHLDPIGAICLSALAMFGTFMASMCASSTTFIQNSRAASLNTAVLVMSIVLRTMRLPSMPIALAL -AVVRAYSILTMSTGATVEIRSEDGKIINKETLKPGLIFRFKQRLRKFAQLRSDMPPLVRVNPTAVVRIET -PDGIGTGFFCANNIVTAGHVLGPHKVVSVCVGSSKFQATLARHIEGKDIALLKIPPQLQNYPRLKIAPKI -DTAWLCVYSPDNDGAIIQSVVPGHQVDDCLDYATPTRDGMSGAPVVNPDGRVMAVHLTNTGFTGGAQVLT -QADVTDPPKVTPTEEKLRQEVEELKRKLENYTQSSVQGDIVGLVREAMAREMTILRKELNKELSNMVQEH -QESFSQTKKGKNKRGRGARLMRLAGAKKRKQKGPMFTEEEYNRLLEQGLTPEEIRDMVDELYEEEVAGFP -EWDEMSDGYDPNDDWEFESDSDFGQKKMKIASFNQYLERYYNPKDVRSMLDSLTPADIDAIGPLYPITIK -CSNPELCSALLCLIDRYAALNGLSPPTQGLTYTQRRVPKNPKRAQNQRAQKSIN - ->YP_009162617.1 nonstructural polyprotein [Dromedary astrovirus] -MSLYLNRADEALASNDVNQLKARFDARNWFDELRKIGPNKPWYISYQPRMFFFPTTKPDRVLTARFVCEE -EWRTFVLDMCCGVPTAIEVNHIGDHQPTILAAALIDDRAAKARLISELRLDNEILRGKLAVMQREYSETP -RLALSWTTLFALVFLGFSLFWHSANGLTTTSTTQSVDPTGILELNKWLDNFIRKAKHIIHTHHYTVVETV -TKSPSWLLATTITPYLWQIVTLMLGTVTVYKAENRVLSILFLAAASLSGGDWVFLASASYQTHFSAAIQI -ICVLISHLDPIGAICLSALAMFGTFMASMCASSTTFIQNSRAASLNTAVLVMSIVLRTMRLPSMPIALAL -AVVRAYSILTMSTGATVEIRSEDGKIINKETLKPGLIFRFKQRLRKFAQLRSDMPPLVRVNPTAVVRIET -PDGIGTGFFCANNIVTAGHVLGPHKVVSVCVGSSKFQATLARHIEGKDIALLKIPPQLQNYPRLKIAPKI -DTAWLCVYSPDNDGAIIQSVVPGHQVDDCLDYATPTRDGMSGAPVVNPDGRVMAVHLTNTGFTGGAQVLT -QADVTDPPKVTPTEEKLRQEVEELKRKLENYTQSSVQGDIVGLVREAMAREMTILRKELNKELSNMVQEH -QESFSQTKKGKNKRGRGARLMRLAGAKKRKQKGPMFTEEEYNRLLEQGLTPEEIRDMVDELYEEEVAGFP -EWDEMSDGYDPNDDWEFESDSDFGQKKMKIASFNQYLERYYNPKDVRSMLDSLTPADIDAIGPLYPITIK -CSNPELCSALLCLIDRYAALNGLSPPTQGLTYTQRRVPKKPEAGPKPAGPEIHKLDAWESLRLPPRRRLV -PEEYPVICNLSINRPIFDTKLADDPLLGLLPPCDPDLPFAPAVWGPEAYTKSFAKFSYAQPSKFWELYPE -ECAFADKQWRKHYNFLEDSRVIHITSTDKNMDSTPGFPKCEEYESERDYLETNGWGPYIREFKRIDSGEK -PRVLWYCFLKKEILKKEKIKDNDIRQIICPDAIYSRIGAALEQHQNNLMKKHTEDSSGQCGWTPFYGGFE -RKMRRLDRNKVIEFDWTRFDGTIPRALFKHIKDLRWEKMNKVHRERYKHVHEWYVENLLTRYVLMPSGEV -TVQRRGNPSGQISTTMDNNMVNYWLQAFEFAHINKGKDINTLWNEYDTIVYGDDRLSTTPVLPEDYVPRV -VQMYKEVFGMWVKPEKVKVTDTVKGASFCGFTVGDNYQPIPSNPYKLWASLVTPCQKLPDQIALCGKLLS -YKILMHNSDDHPFKEYVEKCLAALEEGQIIPRLTDEQLDRLWRGGPKTSSNG - ->YP_009154705.1 nonstructural protein [Astrovirus Er/SZAL6/HUN/2011] -MAGSGAFYASKVDAAMNLGSFAARSRITTSMQQELRKLYHDQNVPWTFHHVPKLLIYPAPTNDYHKRVVV -ASAVDFENEWCTYVWDDDWKPIPPSVPVPPGALVGVMLNQKARDKEQILALKQELSEQRVQNGWLVHQLA -RYRQASHPTPPRSGLSKATLALCVLIFFMSFWLSTNATETEEQKPARSQRYEEIQERIEQLLNQRIRNME -IANYYNTWVGYAEDQWRILVEKLYDSGDWYFVLFLDGLKWTLENHIWHMVSVVMAVLSLWKGTNPRLAVL -YLAAATISTMRFAMLAIAPWHTPTSATVCTVVALIYMMFSINSPSHSWAPGHRRCSFLGCVLPDASYIQH -MKAHTVTAIVFLAAHAFVTVGVSPSYIGFALVLYRCYTLLPATAGATIEVRDVSGKVTHKVNTPPNAVFR -FAQKAKAVVSKMARFSQLRTGVSPMVRASPGALVIIETKDGKGSGFAAGNYIVTAEHVVGTAQSVQVHYN -GASYPTTVHRTFPGRDIALLKMPHPLQGAIQRLKIAKTPKYDWVCVTAPTPEGALLTSVTPGMCHGTTIS -YATPTIDGMSGAPVVDENGHVLGVHQTNTGYTGGAVVIRPEDLLDAPKENPQVRALQEEIAALKAQLQQC -QVPATKPDLDIVALVREAMQREMQILREELADELGDEFNQGKKSRTAGRKKNKRGRGRMKTQPGYHHAAR -RRQRGPMFTEQQYQEMLDSGMTVDEIKALAEYLYEQQNEEAGFPEWSDYEASDDDWQFGEDDYNDEYSRR -TGKRDRMAKDMGLDPSDLEGQPGWNENPASVIRVGSKGVWNSTFGMKQCKTPPVVCDDVPKYEDYPEFDV -TSVGRACCETTLAERKLLGAEILNVMKAAANGDLTRAVYDIDRKAQELGLEKFSQRLKPKAKQQPKNGGK -GTQDSSKQQGPQNPSTSQAPPSPKKAQKKTN - ->YP_009041463.1 NSP1a [Bovine astrovirus] -MTPRFDAREWFDELRKIGSNIPWYVTYQPRMFFYPTLKPDRVLTASTVCEGEWRTFVLDVEHGLHKAIEV -NHIPDHQPTILAAALMHDRSEKAREISDLKLENEILRGQLAVYRQEHKTTPRFALSWTTLFALMFLGLSL -FWHSAHGLTTTSTTESVNPMEMLKLNIWLDNFVSEAKRIIHTHRTTVMDAVRTSPSWLIARTLTPHLWTI -VTVVLGIVSVYRSERRVLSICFLAAATMSGGDWLFLSSASSQTVVSAICQIVCVLVSHIDPLGAICLSSL -IMFGTFLASMCCTNNEFIQHSRAASVNTAVLVTSIVLRTMQLPAMPIAFALAAVRAYTIITAPTGATIEV -RSEDGKVISKDPVKPGILFRFKQGLRKRFAQLRSSMPPLVRVNPTAVVRVETPDGVGTGFFCANYIVTAG -HVLGPHKVASVCIGVAKYQTTLVRHIDGKDIALLKIPQQVQNYPRLKIASKIETEWVCIYSPDGDGAIVQ -SVVPGHQVDGCIDYAVPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQILTQADVTDPPKGSANEDKLK -QEIEELKKQLAKCNQSNTQAEIVGLVRAAMAREMTILREELNKELRLAPQYDQAKGKTKMKTRRLRMAGS -KPRRQRGPVFTEEEYNRLLESGLTPDEIRDMVDRLYDKEVAGFPEWDPMDDGYDPNEDWTFESDSNFGQR -QVKVPSFNQYMERDYDVKDVENMLRSLTRADVDAIGPLYPITAHCIGSPLCPALLCLVDRYAASRGLSPP -SFGLPYTQRRVPKNGKRGQQQRPQKSTN - ->YP_009041462.1 NSP1ab [Bovine astrovirus] -MTPRFDAREWFDELRKIGSNIPWYVTYQPRMFFYPTLKPDRVLTASTVCEGEWRTFVLDVEHGLHKAIEV -NHIPDHQPTILAAALMHDRSEKAREISDLKLENEILRGQLAVYRQEHKTTPRFALSWTTLFALMFLGLSL -FWHSAHGLTTTSTTESVNPMEMLKLNIWLDNFVSEAKRIIHTHRTTVMDAVRTSPSWLIARTLTPHLWTI -VTVVLGIVSVYRSERRVLSICFLAAATMSGGDWLFLSSASSQTVVSAICQIVCVLVSHIDPLGAICLSSL -IMFGTFLASMCCTNNEFIQHSRAASVNTAVLVTSIVLRTMQLPAMPIAFALAAVRAYTIITAPTGATIEV -RSEDGKVISKDPVKPGILFRFKQGLRKRFAQLRSSMPPLVRVNPTAVVRVETPDGVGTGFFCANYIVTAG -HVLGPHKVASVCIGVAKYQTTLVRHIDGKDIALLKIPQQVQNYPRLKIASKIETEWVCIYSPDGDGAIVQ -SVVPGHQVDGCIDYAVPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQILTQADVTDPPKGSANEDKLK -QEIEELKKQLAKCNQSNTQAEIVGLVRAAMAREMTILREELNKELRLAPQYDQAKGKTKMKTRRLRMAGS -KPRRQRGPVFTEEEYNRLLESGLTPDEIRDMVDRLYDKEVAGFPEWDPMDDGYDPNEDWTFESDSNFGQR -QVKVPSFNQYMERDYDVKDVENMLRSLTRADVDAIGPLYPITAHCIGSPLCPALLCLVDRYAASRGLSPP -SFGLPYTQRRVPKNREAGPTTAAPEIHKLDAWETLRLPPSRRVVPDDYPVVCNLPINRPIYEEKLVDDPL -LGLLPPCDPDLPFPPAVWGPQAYTKSFEKFTYAEPSRFWELYPDECAFADKQWRKHYNFLEDTRVMHITA -TEKNIDSTPGYPKCELHDSERDYLECNGWAPYIREFKRVDAGAQPRVLWYCFLKKEQLKKEKIKDGDIRQ -IICPDVIYSRIGAALEQHQNNLMKKNTGTSSGQCGWTPFFGGFAEKTRRLDKNKIIEFDWTRFDGTIPRA -LLKHIKDLRWEKINKQHRERYKHVHDWYVHSLLTRYVLMPTGEVTIQRRGNPSGQISTTMDNNMVNYWLQ -AFEFAFLNKGKDIETLWNDYDTIVYGDDRLTSSPSVPDDYVPRVVAMYKDVFGMWVKPEKVKVADTIIGA -SFCGFTVGKNYQPVPSNPEKLWASLVTPCQKLPDEFALYGKLLSFKILMHNSEDHPFKDYVEKCIAALEH -GRSLPKITDEQLDRLWRGGPKTNPNG - ->YP_009010981.1 ORF1ab [Porcine astrovirus 4] -MVDRLRCLPGHRPNSPWDIRTVPRHMIYPTLSIDPRDRVIIASSVTTDNEWVTYVLDGNSWQQTICAQDE -QVVILVCALLNDKDEREKELATLKLENDLKAQQCAYLRQQIPPKNPGAKWSWLSIFALLVLTLATLKGAM -ALETKSTSHGVDYDRIVQLNEELDVFIQNALQKNWTKHVTETFVYHHKTQGLDWKAKIMSSLSDWYNSKK -PQTSHLVDSVFCAIGLILPWIWEVCVLVLAIFIIFKSKRRALDCFYLAAASFSNLKFSFVAVAPIQTTHT -IYVACALSVIHWCDPIAAALISLVYLIGFSVIGMFMSDVEYIKQLRAVLSLAMIFSFHWLCDLFGVPPII -FTVLCFCWRLVRLLTALPGHVIEIRDPTGKVTQKVSSFDGPLFKFFQGLKAKLKQVRSTTVPLVRINPAA -VCHISTGDGSKGTGFFCANYTVTAAHVLGNHKVATICYQGKNYQANVKKTSEKDMIFLEIPAALQGVPRF -KLSKKYNCDWVCVLAPSGEGAFVTSVVEGKAHEGTFSYACPTRDGMSGAPLLDMDGHVLGIHQTNTGYTG -GAVRLEHEDVIDPPKENTQVAQLKKQIEELKMQLAQKEATPTTTPPPKPQRPPRSKDMQQCTMEDSEIVE -LIRIAMQREMGILREEINDSLLQKKKGKTKHGRGRKHGGGRKRKIGRMFTEEEYQEMLDSGLEPEQIRAI -AEDLYDQQHDFPEWSDPEDDDEVNEWWFGGDHSGNVHEDDDEIPSYYQKKRKPLGDYLIKEWTQESIDEM -MASLSPLEMKKAKPLLQHLKCDDPLKKTVIVAMLDRVLTANGLSPVSEGLDFQQRVKPKKRQAGAPRSPE -ADLGAWKKSAVKPRRHLVPNGYPVYCNLPVNRPICDYNIPDEPLLGILPPAQSDFEYAPTVWGPEAFAKS -FEKFDYAPYCDFEKEYPECTQFADWAWRVHHNYLEDTKVIHISATEKNLDSTPAYPKMLDYETEEEFLDE -HGWDPYVSAFSAIDRGYDPDALWYCFLKKEILKKKKIEDSDIRQIVCADPIYARIGACFEQHQNHLTKLH -TETHSGQCGWSPFNGGFTRLCQRLESKPGVFVELDWTRFDGTIPAPLLKRIKKLRFSMLCTEHQERYQHI -YKWYVRNLLNRYVMMPSGEVTKQTRGNPSGQISTTIDNNMVNIWLQAFEFCWFFGPDKELWRDYDTIVYG -DDRLTRYPILPENYRERVIALYKDVFGMWIKPEKVKVSETLEGLTFCGFTVGPDRLPYPTDEEKLYAGLV -TPARKLPDVTALHGKLLSLQLLMHNHPDSAFKDYINKCLAETARHAEDLPARLTERQMDRLWRGGPKHKP -NG - ->YP_009010982.1 ORF1a [Porcine astrovirus 4] -MVDRLRCLPGHRPNSPWDIRTVPRHMIYPTLSIDPRDRVIIASSVTTDNEWVTYVLDGNSWQQTICAQDE -QVVILVCALLNDKDEREKELATLKLENDLKAQQCAYLRQQIPPKNPGAKWSWLSIFALLVLTLATLKGAM -ALETKSTSHGVDYDRIVQLNEELDVFIQNALQKNWTKHVTETFVYHHKTQGLDWKAKIMSSLSDWYNSKK -PQTSHLVDSVFCAIGLILPWIWEVCVLVLAIFIIFKSKRRALDCFYLAAASFSNLKFSFVAVAPIQTTHT -IYVACALSVIHWCDPIAAALISLVYLIGFSVIGMFMSDVEYIKQLRAVLSLAMIFSFHWLCDLFGVPPII -FTVLCFCWRLVRLLTALPGHVIEIRDPTGKVTQKVSSFDGPLFKFFQGLKAKLKQVRSTTVPLVRINPAA -VCHISTGDGSKGTGFFCANYTVTAAHVLGNHKVATICYQGKNYQANVKKTSEKDMIFLEIPAALQGVPRF -KLSKKYNCDWVCVLAPSGEGAFVTSVVEGKAHEGTFSYACPTRDGMSGAPLLDMDGHVLGIHQTNTGYTG -GAVRLEHEDVIDPPKENTQVAQLKKQIEELKMQLAQKEATPTTTPPPKPQRPPRSKDMQQCTMEDSEIVE -LIRIAMQREMGILREEINDSLLQKKKGKTKHGRGRKHGGGRKRKIGRMFTEEEYQEMLDSGLEPEQIRAI -AEDLYDQQHDFPEWSDPEDDDEVNEWWFGGDHSGNVHEDDDEIPSYYQKKRKPLGDYLIKEWTQESIDEM -MASLSPLEMKKAKPLLQHLKCDDPLKKTVIVAMLDRVLTANGLSPVSEGLDFQQRVKPKNGKPGRHDPQK -PTSARGKSQQ - ->YP_009010978.1 ORF1ab [Porcine astrovirus 2] -MSLYLNRADEALATFDGTQLKPRFDARNWFDELRRIGPNLPWYITYQPRMMFFPTLKPDRVLTASTVCEG -EWRTFVLDMCCGVHVATEVNHIPDHQPTILAAALIADRAEKARMISDLRLDNEILRGQIAVLRQEQVTTP -RLALTWPTIFALVFLGFSLFWHSANALTTTSTTQSVNPMKILELNKWLDDFIDKAKNVIHTHHYTVVNTV -RQSPSWLLATTIVPYLWQVVTVVLSVITVYRAEHRILSLLFLAAATISGGDWVFLASASFQTHASAIIQI -ACVIISHMDPIGAICVSALAMFGTFIASMCASSTTFIQHSRAASLNTAILVLSIVLRTMRLPSMPIAIGL -AVIRAYTILTTPSGATVEIRSDDGKVLAKESLKPSLLFRFKQKLRKFAQLRSSMPPLVRVNPTAVLRIET -PDGIGTGFFCANYIVTAGHVVGQHKVVSACVGNAKYQAPVVRHVENKDIALLKIPQPLQSYPRLKIASKI -ETEWLCVYSPDGEGAIVSSVTPGHQVDECLDYATPTRDGMSGAPVVNVDGRVMGVHLTNTGFTGGAQILT -QADVTDPPKSTPTEDKLRAELEELKKQLATFTQSSTHDDIIGLIREAMAREMKILRAELNKELAIITQDQ -QDDFSQTKKGKTKRGRGRIKLRLAGAKKRRQKGPVFTEEEYQRLLDEGLTPDEIRNMVDELYEEESAGFP -EWEEMSDGYDPDEDWEFESDSDFGQKKIKVPSFNQYLERHYNPKDVRNMLDSLTPADIEAVGPLYPLTIK -CSNPGLCSALLCCIDRYAALNGLSPPTQGLNYTQRRVPKKREAGPEPSGPEIHKLDAWESLRLPPRRRLV -PDNYPVVCNLPINRPIFDTKLADDPLLGLLPPCDPDLPFGPAVWGPEAYTKSFEKFYYAEPSKFWELYPE -ECAFADKQWRKHYNFLEDSRVIHITSTDKNMDSTPGYPKCEEYESEREYLEENGWGPYIKEFKRIDAGEK -PKVLWYCFLKKEQLKKEKIKDGDIRQIVCPDTIYSRIGAALEQHQNNLMKKHTEDSSGQCGWTPFFGGFE -RRMRGLDHGHIIEFDWTRFDGTIPRALLKHIKDLRWEKMNKTHRERYKHIHNWYVENLLTRYVLMPSGEV -TVQRRGNPSGQISTTPDNCMVNYWLQAFEFAYLNKGKDINELWKDYETIVYGDDRLTSTPCIPDNYVDRV -VEMYKTVFGMWVKPEKVKVTNTIKGASFCGFTVGDNYQPIPSNPDKLWSSLVTPCQKLPDELALYGKLLS -FKILMHNSEEHPFKEYVEKCLAALETGRTLPKITDEQLDRLWRGGPKTASNG - ->YP_009010979.1 ORF1a [Porcine astrovirus 2] -MSLYLNRADEALATFDGTQLKPRFDARNWFDELRRIGPNLPWYITYQPRMMFFPTLKPDRVLTASTVCEG -EWRTFVLDMCCGVHVATEVNHIPDHQPTILAAALIADRAEKARMISDLRLDNEILRGQIAVLRQEQVTTP -RLALTWPTIFALVFLGFSLFWHSANALTTTSTTQSVNPMKILELNKWLDDFIDKAKNVIHTHHYTVVNTV -RQSPSWLLATTIVPYLWQVVTVVLSVITVYRAEHRILSLLFLAAATISGGDWVFLASASFQTHASAIIQI -ACVIISHMDPIGAICVSALAMFGTFIASMCASSTTFIQHSRAASLNTAILVLSIVLRTMRLPSMPIAIGL -AVIRAYTILTTPSGATVEIRSDDGKVLAKESLKPSLLFRFKQKLRKFAQLRSSMPPLVRVNPTAVLRIET -PDGIGTGFFCANYIVTAGHVVGQHKVVSACVGNAKYQAPVVRHVENKDIALLKIPQPLQSYPRLKIASKI -ETEWLCVYSPDGEGAIVSSVTPGHQVDECLDYATPTRDGMSGAPVVNVDGRVMGVHLTNTGFTGGAQILT -QADVTDPPKSTPTEDKLRAELEELKKQLATFTQSSTHDDIIGLIREAMAREMKILRAELNKELAIITQDQ -QDDFSQTKKGKTKRGRGRIKLRLAGAKKRRQKGPVFTEEEYQRLLDEGLTPDEIRNMVDELYEEESAGFP -EWEEMSDGYDPDEDWEFESDSDFGQKKIKVPSFNQYLERHYNPKDVRNMLDSLTPADIEAVGPLYPLTIK -CSNPGLCSALLCCIDRYAALNGLSPPTQGLNYTQRRVPKNGKRAQNHRAQKSTN - ->YP_009010952.1 nsp1ab [Bovine astrovirus B170/HK] -MSRYINRADEALASHDRAQLKPRFDAREWFDEMRRIAPTVPWYVVYKPRSYFYPTRTDVPEPQAVLTAST -VCEGEWRTFIMDTQSGRPVMIEVNHILDHQPTILAAALIHDRAEKSKTISELRLDNELLRGQIAFMRQEY -ATTTPRFTMSWATLFALVFLGFSLFWHSAHGLTTTSTTDSVNPMEMLKLNIWLEDFISAAKRTIHTHHCT -VVNAVKASPSWILATAVMPYLWQVVALVLGVVSVYRAERRVISILFLAAATISGADWVFLASASLQTVPS -ALIQVACVIISHFDPIGAICVSALAMFGTFLASMCATNTTFVQHSRAASANTAILVLSIVLRTLGLPSMP -IALALAIVRAYTILTTPLGATIEVRSEDGKVLSKDPLKPGILFRFKQGLKKFAQLRTSMPPLVRVNPTAV -VRIETPEGVGSGFLCANYIVTAGHVVGQNKVVSICVGSAKYQAPVARQLDGKDVALLKIPQQMQGYPRLK -IAQKVDPEWICVYSPDDDGAIVQSVVPGHQVDECLDYAVPTRNGMSGCPVVNPDGRVMGVHLTNTGFTGG -AQIITQADVIDPPKVSTNEDKLRQEIDDLKRQLAKCSQSSSQNEVVELVRAAMAREMKILRAELNKEIYT -QAKGKTKGKKRLRMVGAKTRRQRGPMFTEEEYQALLEKGMTPEEIRDMVERLYEKEAAGFPDWDPMDDGY -DPDEDWAFESDLNFGQRRVVVSSFNQYLDRDYDAKAVEDMLRSLTHADVEAIGPLYPITAKCIGTPLCSA -ILCLVDRYAVSSGLSAPTQGLPYTQRRLPKNREAGPPTTAPEIHQLDSWESLRLPPSRRVVPDDYPVVCN -LPINRPIYEDKLADDPLLGLLPPCDPELAFAPATWGPQAFTKSFEKFSYAEPSRFWEFYPDECAFADLQW -RRHYNFLEDTRVVHITATEKNVDSTPGYPKCELHDSERDYIERHGWAPYIREFKRVDSGERPRVLWYCFL -KKEQLKKEKIADGDIRQIICPDVIYSRIGAALEQHQNKLMKQHTDDSSGQCGWTPFFGGFARKMRRLDKN -KVVEFDWTRFDGTIPRTLLKHIKNLRWEKINAEHRKRYQHVHDWYVQNLLHRYVLMPTGEVTIQKRGNPS -GQISTTMDNNMVNYWLQAFEFAYLNKGKDIHALWDDYDTIVYGDDRLTSTPCIPDNYVERVVTMYKEVFG -MWVKPEKVKVTDTVVGASFCGFTVGPDYQPIPANPEKLWASLVTPCQKLPDEFALYGKLLRFKILMHNSG -DHPFKEYVEKCLAALEHGRSLPKITNEQLDRLWRGGPKTVPNG - ->YP_009010953.1 nsp1a [Bovine astrovirus B170/HK] -MSRYINRADEALASHDRAQLKPRFDAREWFDEMRRIAPTVPWYVVYKPRSYFYPTRTDVPEPQAVLTAST -VCEGEWRTFIMDTQSGRPVMIEVNHILDHQPTILAAALIHDRAEKSKTISELRLDNELLRGQIAFMRQEY -ATTTPRFTMSWATLFALVFLGFSLFWHSAHGLTTTSTTDSVNPMEMLKLNIWLEDFISAAKRTIHTHHCT -VVNAVKASPSWILATAVMPYLWQVVALVLGVVSVYRAERRVISILFLAAATISGADWVFLASASLQTVPS -ALIQVACVIISHFDPIGAICVSALAMFGTFLASMCATNTTFVQHSRAASANTAILVLSIVLRTLGLPSMP -IALALAIVRAYTILTTPLGATIEVRSEDGKVLSKDPLKPGILFRFKQGLKKFAQLRTSMPPLVRVNPTAV -VRIETPEGVGSGFLCANYIVTAGHVVGQNKVVSICVGSAKYQAPVARQLDGKDVALLKIPQQMQGYPRLK -IAQKVDPEWICVYSPDDDGAIVQSVVPGHQVDECLDYAVPTRNGMSGCPVVNPDGRVMGVHLTNTGFTGG -AQIITQADVIDPPKVSTNEDKLRQEIDDLKRQLAKCSQSSSQNEVVELVRAAMAREMKILRAELNKEIYT -QAKGKTKGKKRLRMVGAKTRRQRGPMFTEEEYQALLEKGMTPEEIRDMVERLYEKEAAGFPDWDPMDDGY -DPDEDWAFESDLNFGQRRVVVSSFNQYLDRDYDAKAVEDMLRSLTHADVEAIGPLYPITAKCIGTPLCSA -ILCLVDRYAVSSGLSAPTQGLPYTQRRLPKNGKRGPQQRPQKSTN - ->YP_009010949.1 nsp1ab [Bovine astrovirus B18/HK] -MSLYINRADEALASNDGTQVKPRFDAREWFDELRRIAPTVPWYITYQPRMYFYPTLIPDRILTASTVCEG -EWRTFVLDMEHGLHKAIEVNHIPDHQPTILTAALLHDRSEKAREISDLKLETEILRGQLAFARQEYKSVP -QFKMSWTTIFALVFLGFSLFWHSAHGLTTTSTTDSVDPMGMLKLNIWLENFVSEAKHVIHTHRTTVMDAL -RSSPSWLIASTLVPHLWTIVTIVLGVISVYKSERRVLSICFLAAATLSGSDWLFLSSASAQTAVSAVSQI -ACVLVSHVDPLGAICLSSLMMFGTFLASMCCTNTEFIQQSRAASINTAILVASIVLRTMQLPAMPIAIAL -AAVRAYTIITTPMGATIEVRSEDGKVMSKDPVKPGILFRFRQGLRKRFAQLRSTMPPLVRVNPTAVVRIE -TPDGIGTGFFCANYIVTAGHVLGPHKVASICVGVAKYQTSVVRHIEGKDIALLKIPQQIQNFPRLKIAPK -IETDWVCVYSPDGEGAIIQSVVPGHQVDDCIDYATPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQIL -TQADVTDPPKSTGNEDKLKQEIEDLKRQLAKCNQSSTQEDIVNLVRVAMAREMKILREELNKELKAVPQY -DQAKGKTKMKAGRLRMAGSKPRRQRGPVFTEEEYNRLLESGLTPDEIRDMVDRLYDKEVAGFPDWDPMDD -GYDPNEDWTFESDSNFGQKQVKVPSFNQYMERDYDVKDVENMLRSLTCADVEAIGPLYPITAHCIGSPLC -PALLCLVDRYAASRGLSPPSFGLPYTQRRVPKNREAGPTTAAPEIHKLDAWETLRLPPSRRVVPDDYPVV -CNLPINRPIYEEKLVDDPLLGLLPPCDPDLPFAPAVWGPQAYTKSFEKFTYAEPSRFWELYPDECAFADK -QWRKHYNFLEDTRVMHITATEKNIDSTPGYPKCELHDSERDYLECNGWAPYIREFKRVDSGAQPRVLWYC -FLKKEQLKKEKIKDGDIRQIICPDAIYSRIGAALEQHQNNLMKKNTDTSSGQCGWTPFFGGFADKMRRLD -RNKIIEFDWTRFDGTIPRTLLKHIKDLRWEKINKQHRERYKHVHDWYVHNLLTRYVLMPTGEVTIQRRGN -PSGQISTTMDNNMVNYWLQAFEFAFLNKGKDIETLWNDYDTIVYGDDRLTSSPSVPDNYVPRVVAMYKDV -FGMWVKPEKVKVTDTIIGASFCGFTVGKNYQPVPSNPEKLWASLVTPCQKLPDEFALYGKLLSFKILMHN -SEDHPFKDYIDKCIAALEHGRSLPKITDEQLDHLWRGGPKTNPNG - ->YP_009010950.1 nsp1a [Bovine astrovirus B18/HK] -MSLYINRADEALASNDGTQVKPRFDAREWFDELRRIAPTVPWYITYQPRMYFYPTLIPDRILTASTVCEG -EWRTFVLDMEHGLHKAIEVNHIPDHQPTILTAALLHDRSEKAREISDLKLETEILRGQLAFARQEYKSVP -QFKMSWTTIFALVFLGFSLFWHSAHGLTTTSTTDSVDPMGMLKLNIWLENFVSEAKHVIHTHRTTVMDAL -RSSPSWLIASTLVPHLWTIVTIVLGVISVYKSERRVLSICFLAAATLSGSDWLFLSSASAQTAVSAVSQI -ACVLVSHVDPLGAICLSSLMMFGTFLASMCCTNTEFIQQSRAASINTAILVASIVLRTMQLPAMPIAIAL -AAVRAYTIITTPMGATIEVRSEDGKVMSKDPVKPGILFRFRQGLRKRFAQLRSTMPPLVRVNPTAVVRIE -TPDGIGTGFFCANYIVTAGHVLGPHKVASICVGVAKYQTSVVRHIEGKDIALLKIPQQIQNFPRLKIAPK -IETDWVCVYSPDGEGAIIQSVVPGHQVDDCIDYATPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQIL -TQADVTDPPKSTGNEDKLKQEIEDLKRQLAKCNQSSTQEDIVNLVRVAMAREMKILREELNKELKAVPQY -DQAKGKTKMKAGRLRMAGSKPRRQRGPVFTEEEYNRLLESGLTPDEIRDMVDRLYDKEVAGFPDWDPMDD -GYDPNEDWTFESDSNFGQKQVKVPSFNQYMERDYDVKDVENMLRSLTCADVEAIGPLYPITAHCIGSPLC -PALLCLVDRYAASRGLSPPSFGLPYTQRRVPKNGKRGQQQRPQKSTN - ->YP_009010946.1 nsp1ab [Bovine astrovirus B76-2/HK] -MSLYINRADEAIASSDRTQITPRFDAREWFDELRRIGSNIPWYITYQPRMFFYPTLKPDRVLTASTVCEG -EWRTFVLDVEHGLHKATEVNHIPDHQPTILAAALMHDRSEKAREISDLKLENEILRGQLAVYRQEHKTTP -RFALSWTTLFALVFLGFSLFWHSAHGLTTTSTTESVNPMEMLKLNIWLDNFVSEAKRIIHTHRTTVMDAV -RTSPSWLIASTLIPHLWTIVTIVLGIMSVYRSERRVLSICFLAAATMSGGDWLFLSSASSQTVVSAICQI -VCVLVSHIDPLGAICLSSLIMFGTFLASMCCTNTEFIQHSRAASINTAVLVMSIVLRTMQLPAMPIALAL -AAVRAYTIITTPTGATIEVRSEDGKVISKDPVKPGILFRFKQGLKKRFAQLRSSMPPLVRVNPTAVVRVE -TPDGVGTGFFCANYIVTAGHVLGPHKVASICIGVAKYQTTLVRHIEGKDIALLKIPQQVQNYPRLKIASK -IETEWVCIYSPDGDGAIVQSVVPGHQVDDCIDYAVPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQIL -TQADVTDPPKGSANEDKLKQEIEELKKQLAKCNQSSTQAEIVGLVRAAMAREMTILREELNKELRSVPQY -DQAKGKTKMKARRLRMAGSKPRRQRGPVFTEEEYNRLLESGLTPDEIRDMVDRLYDKEVAGFPEWDPMDD -GYDPNEDWTFESDSNFGQRQVKVPSFNQYMERDYDVKDVENMLRSLTCADVEAIGPLYPITAHCIGSPLC -PALLCLVDRYAASRGLSPPSFGLPYTQRRVPKNREAGPTTAAPEIHKLDAWETLRLPPSRRVVPDDYPVV -CNLPINRPIYEEKLVDDPLLGLLPPCDPDLPFAPAVWGPQAYTKSFEKFTYAEPSRFWELYPEECAFADK -QWRKHYNFLEDTRVMHITATEKNIDSTPGYPKCELHDSERDYLECNGWAPYIREFKRVDSGAQPRVLWYC -FLKKEQLKKEKIRDGDIRQIICPDVIYSRIGAALEQHQNNLMKKNTDTSSGQCGWTPFFGGFAEKMRRLD -KNKIIEFDWTRFDGTIPRALLKHIKDLRWEKINKQHRERYKHVHDWYVHNLLTRYVLMPTGEVTIQRRGN -PSGQISTTMDNNMVNYWLQAFEFAFLNKGKDIETLWSDYDTIVYGDDRLTSSPSVPDDYVPRVVAMYKDV -FGMWVKPEKVKVTDTIIGASFCGFTVGKNYQPVPSNPEKLWASLVTPCQKLPDEFALYGKLLSFKILMHN -CEDHPFKDYIEKCIAALEHGRSLPKITDEQLDRLWRGGPKTNPNG - ->YP_009010947.1 nsp1a [Bovine astrovirus B76-2/HK] -MSLYINRADEAIASSDRTQITPRFDAREWFDELRRIGSNIPWYITYQPRMFFYPTLKPDRVLTASTVCEG -EWRTFVLDVEHGLHKATEVNHIPDHQPTILAAALMHDRSEKAREISDLKLENEILRGQLAVYRQEHKTTP -RFALSWTTLFALVFLGFSLFWHSAHGLTTTSTTESVNPMEMLKLNIWLDNFVSEAKRIIHTHRTTVMDAV -RTSPSWLIASTLIPHLWTIVTIVLGIMSVYRSERRVLSICFLAAATMSGGDWLFLSSASSQTVVSAICQI -VCVLVSHIDPLGAICLSSLIMFGTFLASMCCTNTEFIQHSRAASINTAVLVMSIVLRTMQLPAMPIALAL -AAVRAYTIITTPTGATIEVRSEDGKVISKDPVKPGILFRFKQGLKKRFAQLRSSMPPLVRVNPTAVVRVE -TPDGVGTGFFCANYIVTAGHVLGPHKVASICIGVAKYQTTLVRHIEGKDIALLKIPQQVQNYPRLKIASK -IETEWVCIYSPDGDGAIVQSVVPGHQVDDCIDYAVPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQIL -TQADVTDPPKGSANEDKLKQEIEELKKQLAKCNQSSTQAEIVGLVRAAMAREMTILREELNKELRSVPQY -DQAKGKTKMKARRLRMAGSKPRRQRGPVFTEEEYNRLLESGLTPDEIRDMVDRLYDKEVAGFPEWDPMDD -GYDPNEDWTFESDSNFGQRQVKVPSFNQYMERDYDVKDVENMLRSLTCADVEAIGPLYPITAHCIGSPLC -PALLCLVDRYAASRGLSPPSFGLPYTQRRVPKNGKRGQQQRPQKSTN - ->YP_009010943.1 nsp1ab [Bovine astrovirus B76/HK] -MSLYINRADEALASHDRAQLKPRFDAREWFDEMRRIAPTVPWYVVYKPRSYFYPTRTDVPEPQAVLTAST -VCEGEWRTFIMDTQSGRPVMIEVNHILDHQPTILAAALIHDRAEKSKTISELRLDNELLRGQIAFMRQEY -ATTTPRFTMSWATLFALVFLGFSLFWHSAHGLTTTSTTDSVNPMEMLKLNIWLEDFISAAKKTIHTHHYT -VVNAVKASPSWILATAVMPHLWQVVALVLGIVSVYRAEKRVISILFLAAATISGADWVFLASASLQTVPS -ALIQVACVIISHFDPIGAICVSALAMFGTFLASMCATNTTFVQHSRAASANTAILVLSIVLRTLGLPSMP -IALALAIVRAYTILTTPLGATIEVRSEDGKVLSKDPLKPGILFRFKQGLKKFAQLRTSMPPLVRVNPTAV -VRIETPEGIGSGFLCANYIVTAGHVVGQNKVVSICVGSAKYQAPVARQLDGKDVALLKIPQQMQGYPRLK -IAQKVDPEWICVYSPDDDGAIVQSVVPGHQVDECLDYAVPTRNGMSGCPVVNPDGRVMGVHLTNTGFTGG -AQIITQADVIDPPKVSTNEDKLRQEIDDLKKQLAKCSQSSSQGEVVELVRAAMAREMKILRAELNKEIYT -QAKGKTKGKKRLRMVGAKTRRQRGPMFTEEEYQALLEKGMTPEEIRDMVERLYEKEAAGFPDWDPMDDGY -DPDEDWAFESDLNFGQRRVVVSSFNQYLDRDYDAKAVEDMLRSLTHADVEAIGPLYPITAKCIGTPLCSA -ILCLVDRYAVSSGLSAPTQGLPYTQRRLPKNREAGPPTTAPEIHQLDSWESLRLPPSRRVVPDDYPVVCN -LPINRPIYEDKLADDPLLGLLPPCDPELAFAPATWGPQAFTKSFEKFSYAEPSRFWELYPDECAFADLQW -RKHYNFLEDTRVVHITATEKNVDSTPGYPKCELHDSERDYIERHGWAPYIREFKRVDSGERPRVLWYCFL -KKEQLKKEKIADGDIRQIICPDVIYSRIGAALEQHQNKLMKQHTDESSGQCGWTPFFGGFARKMRRLDKN -KVVEFDWTRFDGTIPRTLLKHIKNLRWEKINAEHRKRYQHVHDWYVQNLLHRYVLMPTGEVTIQMRGNPS -GQISTTMDNNMVNYWLQAFEFAYLNKGKDIHALWDDYDTIVYGDDRLTSTPCIPDNYVERVVTMYKEVFG -MWVKPEKVKVTDTVVGASFCGFTVGPDYQPIPANPEKLWASLVTPCQKLPDEFALYGKLLSFKILMHNSG -DHPFKEYVEKCLAALEHGRSLPKITNEQLDRLWRGGPKTNPNG - ->YP_009010944.1 nsp1a [Bovine astrovirus B76/HK] -MSLYINRADEALASHDRAQLKPRFDAREWFDEMRRIAPTVPWYVVYKPRSYFYPTRTDVPEPQAVLTAST -VCEGEWRTFIMDTQSGRPVMIEVNHILDHQPTILAAALIHDRAEKSKTISELRLDNELLRGQIAFMRQEY -ATTTPRFTMSWATLFALVFLGFSLFWHSAHGLTTTSTTDSVNPMEMLKLNIWLEDFISAAKKTIHTHHYT -VVNAVKASPSWILATAVMPHLWQVVALVLGIVSVYRAEKRVISILFLAAATISGADWVFLASASLQTVPS -ALIQVACVIISHFDPIGAICVSALAMFGTFLASMCATNTTFVQHSRAASANTAILVLSIVLRTLGLPSMP -IALALAIVRAYTILTTPLGATIEVRSEDGKVLSKDPLKPGILFRFKQGLKKFAQLRTSMPPLVRVNPTAV -VRIETPEGIGSGFLCANYIVTAGHVVGQNKVVSICVGSAKYQAPVARQLDGKDVALLKIPQQMQGYPRLK -IAQKVDPEWICVYSPDDDGAIVQSVVPGHQVDECLDYAVPTRNGMSGCPVVNPDGRVMGVHLTNTGFTGG -AQIITQADVIDPPKVSTNEDKLRQEIDDLKKQLAKCSQSSSQGEVVELVRAAMAREMKILRAELNKEIYT -QAKGKTKGKKRLRMVGAKTRRQRGPMFTEEEYQALLEKGMTPEEIRDMVERLYEKEAAGFPDWDPMDDGY -DPDEDWAFESDLNFGQRRVVVSSFNQYLDRDYDAKAVEDMLRSLTHADVEAIGPLYPITAKCIGTPLCSA -ILCLVDRYAVSSGLSAPTQGLPYTQRRLPKNGKRGPQQRPQKSTN - ->YP_006843890.1 nonstructural protein [Murine astrovirus] -MALRKEYTSLVDQAVDAGNYLARCQLPTTAILLLRNMPDHYPNRPWSVHSTPRHLVYPSTTDDPKTRVIT -ASSVTVEDEWVTYVWTGARWQQVATAPDCGKTILVCALLNEHKRLKDENASLKLAKANLEVDNTTLRVAS -AAITNSAPRRSRLPWILALLAVLFSLLTTSAAFETSSTSRSYAPEDIARHSEDLNTFIENALRVNHTRSY -TEYTYQLYATHAQTFLDRMALTFNTWQAYDPHFFAKTPLQSALLSVLQYVTPWTWEIALTGLVLALMLAE -NSSPWSLLYLACATLTRTRFALLAVAPFQTRYTTAVTVAASVLYALDPLVAVACLVLHLFLLAVVGLFME -DTSYVQNLKGAFLLLCAFFGHALCALFGVSSAPVTTLAVAWRIWRLLSRAGTTGTVEVRNEEGKVVSKQT -TQPNFLFRFKQALRRMRQLRTTQTPLARVNPDALCHISVAGAKGTGFFCGNYAVTCAHVVGSETVVNLCY -KGRNYQAPVKKILEQKDVALIPIPAGITPPRLKISKKHCCDWVCVCAPDGDGAYLTAVTEGCEHDGHYSY -ACPTRDGMSGAPLLDIDGHVLGIHTNNTGYTGGAQRLDLEDIVEAPKPNPKQLALEREIEELKKQLAALQ -PEPPRPEPVAAPPSPVQPGPLVVPTTCPPPAPPAPTVAPAPVAPSPVAHYVVKPTQIPPMQQSLTTSDVV -DLVRAAMGREMQILRDELNLMNQAKGKTKRGRGKKHTIGARVGGRRRQRGPAFTEEEYKEMLDQGIDPDE -IKRLAEDLWEDQTGFPEWSDPEFSDEDDGWTPKTHDWLDFDYEDDLEQTYVPGPWAQKCKIPLVDYVKKI -FDKGSVDEMLQNLAPLEKKLCRKQLEAVRQAKTDIELSVALGALDRRAADVGMQPFTPGLEYKQAVPKNA -KGPRKGAKDQGSKTGKN - ->YP_006843891.1 RNA-dependent RNA polymerase, partial [Murine astrovirus] -RQGPPQGGKRSGLEDWKELRQPPFRLLVPQPYPVVCSLPLDRPIYDNDEPKDPLLGVLPHVDYEGNFAPT -TWGGAAYAKSFEKFTYAQPVDFEKHYPVETQFADWAWRVHHAYLEGTRVCHIMSTEKNTDSTPAYPKCLD -YSTEADYLEEHGWEPYVNAFRAIDSGERPQVLWFLFLKKEILKQEKIRDSDIRQIVCSDPIYARIGACFE -QHQNHLMKQKTETHSGQCGWCPLKGGFEAMCHRLASKQGVFVEFDWTRFDGTIPVQLFRRIKKLRWSMIC -PEHQQRYGHMYQWYVNNLLHRYTVLPSGEVTIQTRGNPSGQISTTMDNNMVNYWLQAFEFCYFFGPDKDL -WRQYDTVCYGDDRLTRYPVLPPHYIERVVAMYKDIFGMWVKPEKVRVSDTLVGLTFCGFRIGEHYLPYPA -QEDKLFAGLVRPVRKLADFKTLHGKLLSLQLLMHFHPPSPFKDYLEMCLANTAKYCPELPARFSERQMDK -LWRGGPKAVHG - ->YP_005271208.1 ORF1b, partial [Astrovirus wild boar/WBAstV-1/2011/HUN] -WSFTSVRWPRIPTEDEAKKRQAGAPRSPEADLGAWKKSAVKPRRHLVPDGYPVYCNLPVNRPICDYNIPD -EPLLGILPPAQSEFEYAPTVWGPEAFAKSFEKFDYAPYCDFERDYPECTQFADWAWRVHHSYLEDTKVIH -ISATEKNLDSTPAYPKMLDYETEEDFLDEHGWDPYVSAFSAIDRGYQPDVLWYCFLKKEILKKKKIEDSD -IRQIVCADPIYARIGACFEQHQNHLTKLHTETKSGQCGWSPFNGGFTRLCERLESKPGVFVELDWTRFDG -TIPAPLLKRIKKLRFSMLCEEHQKRYQHIYAWYVKQLLNRFVMMPSGEVTKQTRGNPSGQISTTIDNNMV -NIWLQAFEFCWFFGPDKELWRDYDTIVYGDDRLTRYPILPENYKEKGTIHLYKSVFGMWNKTRKVKTSET -LEGLTFCGFTVGPDRLPYPTDEEKLYAGLVTPARKLPDVTALHGKLLSLQLLMHNHPDSAFKDYINKCLA -ETARHAEDLPARLTERQMDRLWRGGPKHKPNG - ->YP_005271207.1 ORF1a [Astrovirus wild boar/WBAstV-1/2011/HUN] -MVDQLRCLPGHRPNSPWDIRTLPRHMIYPTLSTDPRDRVIIASSVTTDNEWVTYVLDGDSWRQTICAPDE -QSVILVCALLIDKEEKENEIATLKMENDRKNQQCAYFSQQIPPKTTGAKWSWLSILALLVLIHATFKGAV -AWVTQSTSHGVEYDKTIKLNEELDEFITIALQKNWTRSVTEGSAGHYVTSGLGWKGKSGEGAIGRYGSRK -HRLHADTVYKAIPSSGTEWSRERRGGMCTWQERCTIAEGNGRKVCGCLNRAAGCVSQLRISVTVLAPLQT -IYTIYLTCGLAVIYHFDPIATSLISVVHLVTFSIVGMFLPDVDYIKNLRAVGSVTVIVLAHGFVNFLESP -PLCSTAVCFVWRLVRLLQAMRGQVIEIRDSSGKITQKISSLEGPLFKFFQGLKAKLKQVRATSVPLVRIN -PAAVCHISTGDGSKGTGFFCANYVVTAAHVTGNHKVATISYQGKNYQSNVKKTSEKDMIFLEIPPALQGV -PRFKLSKKYNCDWVCVLAPSGEGAFVTSVVEGKAHGDTFSYPCPTRDGMSGAPLLDVDGHVLGIHQTNTG -YTGGAVRLEHEDVIDPPKENTQVAALKKQIEELKMQLAQKDAAPATPPPKPQRPPRIKDMKQCNMEDSDI -VELIRIAMQREMGILREEINDSLLQKKKGKSKHGRGRKHGGGRTRKIGKMFTEEEYQEMLDSGLEPEQIR -AIAEELYEQQHDFPEWSDPEDDDEVNEWWFGGDHSANVNDDDNDIPSYYQKKKKPLSDYLIKEWTKESID -EMLTSLSPLEMKKAQPLLQHLKCEDPLKKTVIVAMLDRVLTSNGLSPVSDGLEYQQRMKPKNGKPGRHDP -QKPTSARGKSQQ - ->BAS29622.1 ORF1ab [Bovine astrovirus] -MSLFLNRADEALSSNDPVQLKPRFEARDWFGELRSIAPNVPWYITYQPRMYFYPTTRPDRVLTASTVCEE -EWRTFVLDVQAGKHVAVEVNCISDHQPTILTAALMHDRTKNKSTISELRLENEILRGRVAAMQRDVPITP -RFTLSWATIFALIFLGFSLFWHSANALTTTTTATTPSFNPMEVLELNVWLEDFIRNAKDVVHTHHVTIVE -AVKNSPSWIIANTILPHLWPATMVLLGLVSVYKAQHRVLSILFLAAATLSGGDWVFLASASTQTLPSAIT -QIACVLISHFDPLGAICASVVVAFVTFLASMCASNSTFIQHSRAAAMNTGILVISLVLRTLHLPALPIAL -ALAAIRAYSILTTTTGATIEVRSEEGKVLSKEPLKPGILFRFKQSLKRFAQVRSNMPPLVRVNPTAVVRI -ETPDGIGTGFLCANYIVTAGHVLGPHKIVSICVGQAKYQSSLARHLPGKDIALLKIPQQIQNYPRLKLAQ -KIDTEWVCVYSPDQDGAIVQSVVPGHQIDDCIDYAIPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQV -LTIADVTDPPKNNEDRLRQEIEDLKKQLAKCNQSSTTEDVVALVRAAMAREMKILRTEINAELQKSGQTF -DQAKGKTKGRRKLRMNGARPRKQRGPVFTEEEYQRLIDQGLTPDEIRDMVDRLYEKETAGFPDWDPMDDG -YDPNEDWVFESDSNFGQRQVRVKAFDQYLERDYDAKEVENMLRSLTCADVDAVGPLYPIAAHCVGTPLCP -AILCLLDRYAAYSGLSPPSHGLSFVQRKLPKNREAGPKPAGPEIHSLSAWEDLRLPPSRRVVPDDYPVVC -NLPINRPIYDDKLADDPLLGLLPPCNPDLQFAPAVWGPQAFTKSFEKFKYAEPSKFWELYSEECRFADYQ -WRKHYNFLEDTRVIHITATMKNVDSTPGYPKCELHDSERDYLERHGWAPYIREFKRVDAGDKPRVLWYCF -LKKEQLKKEKIQDGDIRQIICPDVIYSRIGAALEQHQNNLMKRSTDESSGQCGWTPFFGGFEKKMRKLDK -NKIIEFDWTRFDGTIPRALLKHIKDLRWEKINKEHRERYRHVHEWYVENLLTRYVLMPTGEVTIQRRGNP -SGQISTTMDNNMVNYWLQAFEFAYINKGKDIHSLWDEYETIVYGDDRLSSSPSVPDDYVDQVVKMYKDVF -GMWVKPEKVKVTDSIIGASFCGFTVGQNYQPVPTNPEKLWASLVTPCQKLPDEFALYGKLLSFKILMHNY -DEHPFKEYIEKCLAALERGRSLPKITDEQLDRLWRGGPKDIPNG ->BAX00240.1 ORF1ab [Porcine astrovirus 4] -MARKGFTSKVDLAVNSGNYNARLQAGEMIDRLRCMPGHRPNSPWDVRTLPRYMIYPTLSMDPRDRVIIAS -SVTTDNEWVTYVLDGNSWQQTICAQDEQSVILVCALLNDKVEKEQEIATLKMENNLKTQQCAYLRQQIPP -KTPGAKWSWLFVFAFLVLVFSTLKGAMAIETQSTSHSVNYERIMKLNEELDDFIENAMRTNWTKHVTETI -SIHYGTQTLGWRSRILTRLSEWYESKKPQTSHMVEGIMYLTGALIPWLWEICVVILAIMIIAKSKRRTHD -CFYLAAASFSNLRFSLIAIAPLQTLHTIYLACFFSVVYVLDPIATALLSMMYLVVFSIVGMFLADVDYIK -QLRAVLSLTVVFATHWVCELLGFPPIILTAFCFCWRLMRLLTAMPGHVIEIRDPTGKVTQKISNFDGPLF -KFFQNLKAKLKQVRTGTVPLVRINPAAVCHVSTEDGCKGTGFFCANYIITAAHVTGTHKVVNVNYQGKNY -QSSVKKTSTKDLVCLEIPPALQGVPRLKISKKYNCDWVCVLAPSGEGAFVTSVVEGKAHGDTFSYPSPTR -DGMSGAPLLDIDGHVIGVHQTNTGYTGGAIRLDHEDVIDPPKETTQVAQLKKQIEELKAQLSQKESKATV -SVTPPPKPQRPPRTKDMQQCNMDDQDIVGLVRIAMQREMEILRDEINESLLKQKKKGKTKHGRGRKHGGG -RRRKIGRMFTEEEYQEMLDSGLEPEQIRAIAEELYDNQQGFPEWSDPEDDDDVDEWWFSGDHSGNVNEDE -DEIPSYYQKKKKPLNHYIIKEWTQDSIDEMLSSLSPLELTTTKPLLQHLKCEDPTKKSVIIAMMDRVLAA -NGLSPVSEGLDFQQRVKPKKRQAGAPRSPEADLGAWKKSAVKPRRHLVPDGYPVYCNLPVNRPICDYNIP -DEPLLGILPPAQSEFEYAPTVWGPEAFAKSFEKFDYAPYCDFENKYPECTQFADWAWKVHHSYLEDTKVI -HISATEKNLDSTPAYPKMLDYETEEDFLDEHGWDPYVSAFSAIDKGYQPDVLWYCFLKKEILKKKKIEDS -DIRQIVCADPIYARIGACFEQHQNHLTKLHTETKSGQCGWSPFNGGFTRLCERLESKPGIFVELDWTRFD -GTIPAPLLKRIKKLRFSMLCEEHQKRYQHIYAWYVKQLLNRYVMMPSGEVTKQTRGNPSGQISTTIDNNM -VNIWLQAFEFCWFFGPDKELWRDYDTIVYGDDRLTRYPILPENYRERVISLYKDVFGMWIKPEKVKVSET -LEGLTFCGFTVGPDRLPYPTDEEKLYAGLVTPARKLPDVTALHGKLLSLQLLMHNHPDSAFKDYINKCLA -ETARHAEDLPARLTERQMDRLWRGGPKHKPNG ->BAS29598.1 ORF1ab [Bovine astrovirus] -MSLYINRADEAIASSDRAQITPRFDAREWFDELRKIGSNIPWYITYQPRMFFYPTLKPDRVLTASTVCEG -EWRTFVLDVNHGLHKAIEVNHIPDHQPTILAAALMHDRSEKAREISDLKLENEILRGQLAVYRQEHKTTP -RFALSWTTLFALVFLGFSLFWHSAHGLTTTSTTESVDPMEMLKLNIWLDNFVSEAKRIIHTHRTTVMDAV -RTSPSWLIASTLIPHLWTIVTIVLGIMSVYRSERRVLSICFLAAATMSGGDWLFLSSASSQTVVSAICQI -VCVLVSHIDPLGAICLSSLIMFGTFLASMCCTSTEFIQHSRAASINTAVLVVSIVLRTMQLPAMPIALAL -AAVRAYTIITTPTGATIEVRSDDGKVVSKDPVKPGILFRFKQGLRKRFAQLRSSMPPLVRVNPTAVVRVE -TPDGIGTGFFCANYIVTAGHVLGPHKVASICIGVAKYQTTLVRHVEGKDIALLKIPQQVQNYPRLKIASK -IETEWVCIYSPDGEGAIVQSVVPGHQVDDCIDYAVPTRDGMSGAPLVNPDGRVMAVHLTNTGFTGGAQIL -TQADVTDPPKSSTNEDKLKQEIEELKKQLAKCNQSSTQADIVGLVRAAMAREMTILREELNKELRSAPQY -DQAKGKTKMKARRLRMAGSKPRRQRGPVFTEEEYNRLLESGLTPDEIRDMVDRLYDKEVAGFPEWDPMDD -GYDPNEDWTFESDLNFGQRQVKVPSFNQYMEREYDVKDVENMLRSLTCADIDAIGPLYPITAHCIGSPLC -PALLCLVDRYAASRGLSPPSFGLPYTQRRVPKNREAGPATTAPEIHKLDAWETLRLPPSRRVVPDDYPVV -CNLPINRPIYEEKLVDDPLLGLLPPCDPELPFAPAVWGPQAYTKSFEKFTYAEPSRFWELYPEECAFADK -QWRKHYNFLEDTRVIHITATEKNIDSTPGYPKCELHDSERDYLECNGWAPYIREFKRIDSGARPRVLWYC -FLKKEQLKKEKIKDGDIRQIICPDVIYSRIGAALEQHQNNLMKKNTDTSSGQCGWTPFFGGFAEKMRRLD -RNKIIEFDWTRFDGTIPRALLKHIKDLRWEKINRQHRERYRHVHEWYVQNLLTRYVLMPTGEVTIQRRGN -PSGQISTTMDNNMVNYWLQAFEFAFLNKGKDVETLWNDYDTIVYGDDRLTSSPSVPDDYVPRVVAMYKEV -FGMWVKPEKVKVTETIIGASFCGFTVGKNYQPVPSNPEKLWASLVTPCQKLPDEFALYGKLLSFKILMHN -CEDHPFKDYVEKCIAALEHGRSLPKITDEQLDRLWRGGPKTNPNG ->ADP21510.1 RNA-dependent RNA polymerase, partial [Porcine astrovirus PAstV-2/2007/HUN] -WGPYIREFKRIDSGEKPRVLWYCFLKKEILKKEKIKDSDIRQIICPDAIYSRIGAALEQHQNTLMKKHTE -DSSGQCGWTPFRGGFERTVRRLDRNYVIEFDWTRFDGTIPRALFKHIKDLRWEKMNKVHRERYRHVHDWY -VENLLTRYVLMPSGEVTIQRRGNPSGQISTTMDNNCVNYWLQAFEFAYLNKGKDIDALWGYYDTIVYGDD -RLTRYPILPENYKERVIDLYKRVFGMWIKPEKVKASETLEGLTFCGFTVGPDRLPYPTDEEKLYAGLVTP -ARKLPDVTALHGKLLSLQLLMHNHPDSAFKDYINKCLAETARHAEDLPARLTERQMDRLWRGGPKHKPNG ->AFX61314.1 ORF1ab [Porcine astrovirus 2] -MSLYVNRADEALAQMDGTQLKSRFDARPWFDELRKIGGNKPWYITYQPRMLFFPTLKPDRILTASTVTEG -EWRTFVMDRCCGEPIVIEVNHIDDHQPTILTAALMEDRAEKSRTISELRLDNELLRGQLACLRQEQSTTP -KLSFSWTTLFALVFIGFSLCWHSANALTTTSTTHSVDPMGILKLNAWLDEFIDNAKKTIHTHHYTVVNTI -KESPSWLIATAITPYMWHVVTVVLSIITVYKSERRVLSLLFLAAASLSGADWLFLASASLQTPLSAIIQV -VCVIISHIDPIGAICISALAMFMTFVASMCASNTTFIQQSRAASANTAILVLAVVLRTLRLPALPIAIGL -AIIRAYSILVTPTAATIEVRSEDGKVLSKEPVKPGLLFRFKQKMRKFAQLRSSMPPLVRVNPTAVLRIET -PDGIGTGFFCANYIVTAGHVVGQHKVVSACVGNAKYQAPVVRHVENKDIALLKIPQPLQSYPRLKIASKI -ETEWLCVYSPDGEGAIVSSVTPGHQVEECLDYATPTRDGMSGAPVVNVDGRVMGVHLTNTGFTGGAQIIT -QADVTDPPKSTPTEDKLRAELEDLKKQLATFTQSSTHDDIIGLIREAMAREMKILRAELNKELAGIAQDQ -HGDFSQTKKGKTKRGRGRIKLRLAGAKKRRQKGPVFTEEEYQRLLDEGLTPDEIRNMVDELYEEEAAGFP -EWEEMSDGYDPDEDWEFESDSDFGQRKIKVPSFNQYLERNYNPKDVRSMLDSLTPADIEAVGPLYPLTIK -CSNPGLCSALLCCIDRYAALNGLSPPTQGLNYTQRRVPKKREAGPEPSGPEIHKLDAWESLRLPPRRRLV -PDNYPVVCNLPINRPIFDTKLADDPLLGLLPPCDPDLPFGPAVWGPEAYTKSFEKFYYAEPSKFWELYPE -ECAFADKQWRKHYNFLEDSRVIHITSTDKNMDSTPGYPKCEEYESERDYLEENGWGPYIKEFKRIDAGDK -PKVLWYCFLKKEQLKKEKIKDGDIRQIVCPDTIYSRIGAALEQHQNNLMKKHTEDSSGQCGWTPFFGGFE -RRMRKLDHGHIIEFDWTRFDGTIPRALLKHIKDLRWEKMNKTHRERYKHIHDWYVENLLTRYVLMPSGEV -TIQRRGNPSGQISTTPDNCMVNYWLQAFEFAYLNKGKDINELWKDYETIVYGDDRLTSTPCIPDDYVERV -VEMYKTVFGMWVKPEKVKVTDTIRGASFCGFTVGDHYQPIPSNPDKLWSSLVTPCQKLPDELALYGKLLS -FKILMHNSEDHPFKEYIEKCLAALETGRTLPKITDEQLDRLWRGGPKTASNG ->BAS29631.1 ORF1ab [Bovine astrovirus] -MYLNKVDETINAGVYANRTQAVDYHQILNAIHPNRPWDIRRHHGLLTASTVLEGEWVSYELSNGLVIPLD -DPTPPNPRVALVALLLDERDELKRQNADKDLQFSLLRHEYERVRPAPPPRFNLPWYVIFLLCLLGFSLVL -PGANAQPHIDTLGHLYDDMQRGVYSVFAELGPIFRDSVVSQVYSVLPHAWHAVVVAIAIATAFKSDRRIV -SLVWLVLANMSSGTWLYMVTAPYQTLASVAFHTGVVVISYVDMGLAISLAVVAPLAMAVGAAFFSDITYI -QNLKASVMNLVLFFVSLVAKILRVDTLPIAILASLIRAYYLVRSTQHATTVEIKDNTGKVLVKEAAQPGL -LFRFAQKAKSLFPQRIRHTQAPLVRVNPGAVCVIETPEGKGTAFFCANYVVTAAHVMGRHQVATLIVGPQ -RVQAHLARVVPGKDIALLKIPSTLQNAPRLKIAKNKDVTWVCVYTPGEGGSIVQSVVPGNIIQDNVDYAI -QTIDGMSGGPIVNPDGHVIGVHQTNTGYTGGGELLNHDDVIDPPKDDEKAKLKAELEELRKQLKQCSGPN -EANVIALVREAMGREMQVLRSELDAALMKFGQTKKGKTKKRRAVLRGAKPGRRQRGPLFTEEEYQAMLDE -GLTREDIKRIVDEIYLKEEAGFPEWEFMDDDYDPNEDWVFESDTPYGQKTTVIPSFRQYCRRDYDSTDVD -DMVRQLSAADLEALGPLVKVIINTSKNKNPLGPIMCMTDRYAAYNGLPPITDGLPYKQRSKPKNLQGGGQ -APPKASSLGYWKTLALPPRRDVTPQNFPVVCNLPINRPLYEDRGKRDPLLGLLPPCDENLEFGPATWTPR -AITKSFEKFEYAEPSQFWKLYPNECAFADFQWRKHYNFLEGSRVIHMCATEKNVKSTPGYPKCELFQTEE -EFMDRHGWAPYLEEFKAIDAGERPVVLWYCFLKKEILKKSKIRDEDIRQIVCADAIYARIGCCLEQHQNK -LCKENTENSSGQCGWCPFYGGFRDTMRRIEKGKYKIEFDWTRFDGTIPRQLLKHIKDLRWEKMCPEHRER -YRGVRDWYVANLLTRHVLLPTGEVTVQRRGNPSGQISTTIDNNMVNYWLQAFEFAYINKGADIYALWEEY -DTIVYGDDRLTATPILPPDYVPRVIQMYREVFGMWVKPDKVKVSDTVVGLTFCGFTVGPDYLPYPTQPEK -LWASLVDPVSKLPDVDSLCGKLESFRILMHNHPDHPFKEYIDKCLAALEDQRTMPVITNEFLEYLWRGGP -KMSNHNAT ->BAS29616.1 ORF1ab [Bovine astrovirus] -MDQLFRRGDPFARRWVESRPEVYKAMLALFSDNAVVSITRSRHNSAFAPVASSVDGDSEWVSYRYDGNDW -VQVDEVPIDPQAALVTVSLIENARLRERVKELQKMNSQAALEYSLLRHAYERERKPPAPTKSWGKILVYA -FIFGFLLAALPTTNAWPDGDPAKSVNHPAAGSLRPHPADADYQQSSFTFDQLRELLVDWNKNAFNYTQYR -DTLFREYLTIKARVLTYESSPIIKLFLLVRPYWSLWFFSSLTFLYQSYGTSNWLVAGLIVLLSLKTGDRT -LGLLPVPWMTTLGAWVHFALMLVSLFDALGAFFLSLLFLCCAPLVFLWQPDDTFFVTVRSSTLVALTNLV -TVLTRNLPGCDILCLGLVVFWRVYQAWHAVGATRLEIKAADGKTTRVVSLAPSFFQRARRRLRQALKTFR -APSFPVPPNCLVHITSDQGSGTGFRTGNFLVTARHVIAGTSSVEVSVGSLSTTLTSAEWMPLGDRDIVKA -KLPAIFQHLSSVRVAEKSSNDWIALLTFAANGAYYQLAVGDGLWFDDTLTYALDSENGSSGSPVIDRTGK -VVAVHTMSTGYTASGQRLTREDVENVSKVQEKDREIERLKAEIESLKACEKMQQCETGQELVDLVREAVK -QEMVRLRLEFAQAKGKNKRGRGQKHNLASAARRIGKQFTEQEYRKLQEEGWTKDELREMALQLWNDAQAA -NAGYGDWSDPELSDNESLGSDFYEEVIDFSQKKKPGPFQQCQLSARDPMLYDVDTAEYKVLARSMHEISR -YVTELQNLSPEDYNRRAVYYSDALRDAWDQLNAECVRNDIKPFTQRRVRHPRPRQQPKNSRRTPQAGVEK -KISLHFWLSMLQEPRQRFLVHPDFPVIGFLPINRPLYDASRPRDPLLGLLPPIKVDTGYAPATWGPQAFI -NSFEKFQYAPPPDFVEKNPDAHAFALRALRDHYSFLQGTAITPITATDKEVRSTPAYPKNLVFKKEQDFL -DFFGWGAYVDELKHLAYKPVLWFLFLKKEILKESKIAASDIRQILCADPIYGRIGLMFDQHQNVRVKERT -DDKSSQVGWTPFFGGFQARIRRLASTGARYWVEVDWTRYDGTIPQQLLRIVREVRWEFLDDVYKTPANRK -LYDWYVHNLLNRYVLLPSGEVTYQQRGNPSGQVSTSVDNGMVNYYLQAYEHYVLHGPDGWDRTDTLIYGD -DRLSVTDFPPDPDQLITFYRDYFGMWVKKENIKIQETPIGLSFCGFTITEGLLPRLQRPLKLLASILTPV -AKLRDPEVLYGKLLSMLILSHNDPPDSPLRCYVRRCVDVLRARVGSDLPVFSERILSYLWGGGPKRNDGQ -SE ->BAX00186.1 ORF1ab [Porcine astrovirus 2] -MSLYVNRADEALAQMDGTQLKPRFDARPWFDELRKIGGNKPWYITYQPRMLFFPTLRPDRILTASTVTEG -EWRTFVMDRCCGEPVVIEVNHIDDHQPTILTAALMEDRAEKARTISELRLDNELLRGQLACLRQEQSNTP -KVSFSWTTLFALVFIGFSLCWHSANALTTTSTTQSVDPMGILKLNAWLDEFIEHAKKTIHTHHYTVVNTI -KESPSWVLATAITPYLWHVATIVLSIMTVYKSERRILSLLFLAAASLSGADWIFLASASLQTPLSAIIQV -VCVIISHIDPIGSICVSALAMFLTFVASMCASNTTFIQQSRAASANTAILVLAIVLRTLRLPALPIAIGL -AIIRAYTILTTTPTAATIEVRSEDGKVVSKESVKPGLLFRFKQRLRRFAQVRSSMPPLVRVNPTAVVRIE -TPDGAGTGFFCANHIVTAGHVMGPHKVAAVCIGNSKYQAPLTRHIDGKDIALLKIPQQLQNYPRLKIAPK -IETEWLCVYSPDENGAIIQSVVPGNQVDDCLDYATPTRDGMSGAPVVNPDGRVMAVHLTNTGYTGGAQII -TQADVTDPPKSTPVEDKLRAELEDLKKQLATFTQSSTHADVVGLIREAMAREMKILRTEINKELAEITQT -QQGEFAQTKKGKTKRGRGRIKMRLAGAKKRKQRGPVFTEQEYQELLDQGLSPDEIRDMVDQLYEEEVAGF -PEWEEMSDGYDPDEDWEFESDSDFGQKKIVVSSFKQYLDRSYNPKDVRSMLDSLTPADIDAIGPLVPLTI -KCSNPGLCSALLCCIDRYAALNGLSPPTQGLNYTQRRIPKKREAGPEPAGPEIHKLDAWESLRLPPRRRL -VPEEYPVVCNLPINRPIFDTKLADDPLLGLLPPCDPDLPFGPATWGPEAYTKSFEKFTYAQPSRFWELFP -EECAFADKQWRVHYNFLEDSRVIHITSTDKNMDSTPGYPKCEDYESERDYLETNGWGPYIREFKRVDSGE -KPRVLWYCFLKKEILKKEKIKDSDIRQIICPDAIYSRIGAALEQHQNTLMKKHTEDSSGQCGWTPFRGGF -ERTVRRLDRNHVIEFDWTRFDGTIPRALFKHIKDLRWEKMNKTHRERYRHVHDWYVENLLTRYVLMPSGE -VTIQRRGNPSGQISTTMDNNMVNFWLQAFEFAYLNKGKDLDTLWREYDTIVYGDDRLSTTPCLPDDYVPR -VVQMYKEVFGMWVKPEKVKVTNTVKGASFCGFTVGDNYQPIPTNPYKLWASLVTPCQKLPDQSALCGKLL -SYKILMHNSDDHPFKEYVEKCLAALEDGQTIPRLTDEQLDRLWRGGPKTSSNG diff --git a/seq/clusters_seq/cluster_1280 b/seq/clusters_seq/cluster_1280 deleted file mode 100644 index f994e0c..0000000 --- a/seq/clusters_seq/cluster_1280 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009342462.1 hypothetical protein [Wuhan house centipede virus 4] -MSTAEQLRRELQALTDKMNNLSVAKKRKRSRRKKTSGIAPVPGTSAVAQVQSLGKKARRRRGQPSAVGEG -EIVFSREELIRTLTLPVGKATVTDYIDIIPVNFRFLKSIGAVFERIQWLSLQVYWKPAVGTTYGGLVTYG -VDWDFQGGTSLTRAQISCYTPTVSHALWQDAQRTPMVLPPARLKGRNWYTPDSGDDVDKGPGRILIAADG -QSSTVGSVPLGEIWACYKVKFSGTRSAXQLQSVQLNVQHQMTTAYHTVSLRAVPRGPLYIWTKSDPAPLN -VWTSVEEASTTGYHVATVGSPNSLLLEPMTIRDSSKQGAFSFERARVDDAIFQISTYSLPYGAYMLTLDV -ELTPWGSEVMPTWDFSKPWTVELVPAAGMKILTDWIIHRLQWNANSPQVRLIARAMISVTSAYLPRVEFG -CRAAVDSPADVIFGFRFEAYWATGYVRRPRYHSSEAVLVQADEGAPSLDEDEWQLVKA - ->YP_009342448.1 hypothetical protein [Wuhan heteroptera virus 2] -MVRSRKKTKPTSKLVAEVAAATKAVQALKIGTPARKRKPRSRVPRQRGAGNVYSQANDATVVFTRSEMLE -AITVSDQGTYLKHFKITPATFPLLSKIAQHFDQSQWLAVEVYWTSDVGTTTGGSITYGFDWDPTTAESKN -KTRAAITALTPSHTGAVYRQTDTAPLKAPKNQLDTLKWYKHNDATASADSNLIAPCTVSVMASSTPKLAI -GVLWCRYRIRLRGTTSXRQLYQLQTLSSVWTHRDTQSAAWNTRVWETDELAPSKPRVYAYKAATRPVELP -VRSEPTKRDLSVQEVKQKDNMDNVWSFNAGRLPTPHVIWTCQLYVPVSFQPLAGTIADICFDMQLVHSHD -RLPTDMTITLAPQALLESGYVQESTPSMYLGGRAMTVFSMLIGKLMDWTKEMSVLIGFEFDFNAFDSSAT -QSLTFSYNVTVRLTSDGASKASDQQQLICKSCQGVAEIVPSRPSSPGIPFYGGVDPGYISNGDFVFVLPD -GSELPSRPPIN - ->YP_009337365.1 hypothetical protein [Hubei permutotetra-like virus 7] -MVRRRRTRAKPATPAAMQAATVMALAKKMSAVTVTGTKRRRRRRPRSGIPSGVSGGIQRSAMEGSTRLKR -HEMLTSLTISKNSTHLVKTIAIQPSSFSLLKGIAKSFERTVWHSLTIFYKPAVATTFGGLIAYGIDWSSK -VGTDADRTKVCSLTPVLTHAAWMDSERAPLRVPKKLLQSRRFYINGADADDAGPGNIVVSIDITASSADV -VVGELWCQYDVEMTGTVVP - diff --git a/seq/clusters_seq/cluster_1281 b/seq/clusters_seq/cluster_1281 deleted file mode 100644 index 4758090..0000000 --- a/seq/clusters_seq/cluster_1281 +++ /dev/null @@ -1,70 +0,0 @@ ->YP_009209482.1 polyprotein [Thelephora terrestris virus 1] -MLDEDFVRTARLNPHRFKLWRKVALRRRHEKAGPSATGAALLPVSHAAYVSELSKRPRVPFSETGSNGLM -STLHLVGTGVDVKGLGVVGAGRGEGIGWQYAGRGIGSQERAPVATPRQLGFQGTGPSQGLSSQVHEVVNY -NFVPGTVGTRPPIRDTARDRQTGSLRVGRNPQPPRGATWEALSKLACKGDRKYVIIIPSGEGKTTLTAEC -PELFTDHDTLEDWAGVAKPLFDEALLTGNFNALNEYHALTSRRAKTPILLTWGHDTFDRTMYTDLGAYLL -LEGTGIRVNEDNRAGLISSGDFVFCHGFVERNAAIKTAIDKRQRTYAYSELPSMPSSVSIFSKETLCRYD -ILCRAALGISRAPVIDKHYYSIVLENYDAFLRDCLNKTVPLSDCLAFHKLAFNGQIPDIVYDLIDREDPS -LLPDAEELDLKRNSQPRKGTYHGVHIWDKETQFRANQGKRRASEYGSVTFDWIAEQARYRSVVLCGPPAC -GQWVYQAPIRCFDPKNPVPGVFVSAQRIESDDKVLALGYSYWLLLCHFRRSKVPGNRAKKVARSWYETTK -DLQTFGLQGETTGTPGRRRKLEPGQAARRAALDNIRFDTALSARVDEITDVQTKIRSDRTTRLKREREID -AYYLPGRLNDSALQEQILNQIATVLSDMEDEGQDGYNAFTALYPFRDEELLARTRVDHMLAYRLVCRLGK -KYGIEYAALFLKGTGGLGLQGVAGFALYALAGTDTATYVSVLAHNSVLGSGIKKVTKYTKALHTISRRLG -RLPNLLPKAWPACAPIPRNLFNPAPERILYVNLLVGRAQDEILGFDGFVEKRNGAEVIQQAVSTTERDGE -RARVWDRLSSNAMRRMAVTTTQVLDRARGSTGIGDLDPTSFLAHFVSIAPRGSIGIGKDRLKTFGIPLHN -AHKRLWLDTLTPDDLANITDRPAEVLTNAQVKTESGLRLRQIIPGEIHQWLIESIAMYLIEPALFKSRSE -YTLGSSPTANMFSDLKRWWRVKKHRYTLATDYADFNYLHTIQDMKKFWRIVVLEPARELEREGDWNGTNY -AGMVARCAEWQIHALDSLYVREVGSDGIYRHVTRSLWSGWRTTTMINNTMNLVYNEINRRIFESELGFDP -IRNGSVNGDDGDFETRSLADALFYLRHLDLEKLDVQASKQMLSDRHAEYLRIDYTPEKIQGSLARTCASL -IGGDLQDPVIDVTPDYVRGTSTAITVMIRRGFDLYEGEWVRDLICGYYAYIKFQLFDGTQRCVELTDKRK -LYAPFREGGFGLVRYGSVPMFTLATRRKWPAGKGSWTLDKVPHHGVGAAVRSAIGRFKSRGMDFAHPEAL -YRIYVSLASQGVDNLANKTWQDIDRRGIAQHIEWLNKVDIVHKAPKYNISELQRDKVQKSIDRILATDPE -LLQSVELPSMQGALADYSSRLLGLASVGPHLLRDIIDSGTGRRLSLEEIGARFGQEQNDRYKFFGHYPTN -FIDLVLDHGYEAPKPQGGVIPDYLLPLVDSIISEVILAEPCAYQDTNKQKQYYDELITGSIWCAEQYMLQ -HYRHLTHV - ->YP_003541123.1 putative RNA-dependent RNA polymerase [Phlebiopsis gigantea mycovirus dsRNA 1] -MSPDEGRAVAHQTDVAARGMDRSAASHLNLEVSGGSENEHTTALPRSLVWAELIDKLGKDGQRYAIAIPS -GEGKTFLKNTCPALFTDHDDLEDQRIAGPLYRAAVASGDYSALNAYHHSTSLSARTPVLLTWGKETCAPG -MFTFLGAHLLLESTGIRENAGNREGLIRSGDFVYSVSFESRDALIAKSLKTKRRPYLWDLPSSVVSRHPL -FSALANKKYPQLIAAAEEIARAGDMIHKGAYAVVKEYYDMFLRDVVSQVLSFNDGVVLHKLAFNGQLPDV -MYQLYDKHAPEQLPDYSDAKAVLDKGAPKGTYHGAHVYDAKTLFRGVQGKRRAHEYGSMSFQDISDLART -NAVVLVGPPATGQWLYAAPIRRYNPAFPDLPGIFVSPSTPPASNSVVPIGYSYWLLYSYFKRAGLTAARA -KREAHREYALTTGLKKIGLQGETTGAPTKRRKWETGQARRSRLVRANAALVETVDDIQTKVRASRTEALK -RERALDEPYLIGYQSNPNVQTHLRNQIERLLLALGVDDALAVDLLRELYPFKDEELLARTRVDAFLVVKL -LERLSIKYGVGYVKGVLVGTGGLGLQGIAGFALYALSSADAATYVAVLSHNHILCHGIKPATQFLKAVQT -VTRRIQRLPSYFPHSWPDYSPLPRAQFNPSAVSILYVNLLVGRAQDELLGFDGYVEKRNGADWIQKSITT -SSDPKKRAKVWDQLSTAAMRRMAVHTASALNQARNPDGVGDLTPSGFLAHFVTVAPRGSIGTGKDDLRPY -GIPLHNAHKRLWLDSIPPDQLPQVIERPAEVFTNAQVKTESGLRLRQIIPGEIHQWLIESIAMYRIEPAL -FKGISSFTLGSTPVSMMIADLKRWWRTRHGRYTLATDYADFNYLHTLEDMKRFWRIVVLEPALTLAGPGD -WDGVNYAGFVAKCAEWQIDALDKLYVREVGSDGMYRRVTRSLWSGWRTTTMINNTMNLVYNEINRSVCET -ELGYDPIYDGHVNGDDGDFEVRCLSDALFYLRHLDIEKLDVQASKQLLAASHAEYLRIDYTPQGIRGSIA -RSCASFVGGDLQDPVIDVGPDYVRGTSEAINVMIRRGFDPFEGEWLRDTVCGYYAYIKVQLMDGSERVSR -LTDTRKLYVPYSDGGYGLVRYATPSSTRLATTRKWPSARGSWTLDKVPHHGVGAACRAAIGRFARRGMQF -AHPEVLYRMYASLASQGVDNVTNKWWQDLDRAQLADHLDWLNKVDVVYREHVSSLSSRQVDLVKSAVDRV -LTRDPYESHIYEIPSIKQALAEYSSRLLGLASVGPQLLQDIVDTSTGERLTVAQIAQAFGEEMNERYKFF -GFYPATFIDLILDHGYELPVTTLGVCPDYLLPLLDLIVSDVTNAYEPQLEGVQQLKDYYDRLLRDAITTA -ELYLYQTYPHLLKT - ->BAG71788.2 putative RNA-dependent RNA polymerase [Lentinula edodes mycovirus HKB] -MQDPDQNIACIDNLRKDCWAILARGRAMAWSGPLPVGQAGRSLPKKVSAANSSGISINTPGTSSLLSNPS -SSRQIAVVREDNSGDSEVVAYDQDIRETCGLNRVVELPLNTHNQGLGSLEQHTVAVELTETTLAELHNVD -DRRELLTTQPPRGEQWTPLLAAKEFDRYIAGTSKGTDIKTFMKLFSPAARTKLPGLISAGYMVSTQNIFR -GDILLNAFDEYSKMFTLYEDRIITALDMEMIHETVWLGHRSDRFYSLIDRYEPERLEQNADALDILDKAL -TGHTENTLHMLHVYDEKTLFKSGKFVRERRQYGSVTLASLVQESIEHLVVIIGEPNVVQWLIGGRVRLLD -LSSTKHKKHLPEYGLYYVLPDQHALIRQGMTLYAITNFATYLYLRKSHDNRYAKKFTHRYRSIIRLLQAR -GINIRNFGLQPETSGGIKTKNRKWAFGRQMQQRELLDQAITGGSALLYELRDKQTTARLRKIERAKIDRS -RDPERIQRHLGDTQVQDEIHRSLNTILESLRNQDSVELAVTCLSNLYPYLDDDMLKRTRMQWPQVYILLR -LIYINEGHELTKQLLYKTGGVGLQAFANICLAVYADSDLKYAIMTALDYDIAAMGLARWNKFWKAAHEVT -RRVYNTPWFLRDLDVPEYLRHGGLVKLAAYMTDHNKLLYIHLLSGRASDLLLADDDYAVKRSKVPEQHRL -AEIYPDLDRESLKWDHYVDDEMAKYKPALDAVFSRDKDITPQEVHADFISLAPTGALGEGKADLVNVIAD -THRANKRTWLDNITPEQMVDLVNSEPLLKAEAQIKVETGLRSRQIVPGPTKHWMNETIVMRNLEKAIYRS -NPEFTLETSEWDILVDHLERMYRTSDMHGGATLASDYADFNFLHTIPDMQKFWRMIRASAEQFTGPGEWA -GTNYAGHVVKLCVWLEEALFSMYVRETSTTGTFIHLLRGLWSGWRTTSVINNVFNEVYGKVLSRVCKDIL -GYDPIELARRNGDDEDARAKSVVASLLYLAMMTYSRLDAQPAKQKIGFTESEYTRVTYAKGVAYNPIARG -IASFTSSDLQAPVIDVSPEYVRGTNEALHALIRRGADEERIEMLRTELLMTFSQQSYMEAGIKHVVTLRN -WRMLYVPVEQGGFGVTRYGSSEPYKLKTAIPWDTVRPLWELPGSMHHGIASLENHLKDKFTKARITHEHV -ETVVVDATSIASQNVDTGHNEQWVEYARKQRADHLVKLNAAQCYPTSTSSDYSTTIPGLNALVYKCIDEI -LSLHPDKVRSYKAPNLEKTLDSYVGKALGLASVTRDILYDLRDVSTNIKLKPWDALARLTGSSVASQQVV -NQIGVMTASLSGNYPEALVEAFINRRLKWNRNTYGVMPNFCLPIVDHVHREVLRNYFSGQHTTHEAVDAM -LQLITHTNVVIASVWKQHYAQKFKTI diff --git a/seq/clusters_seq/cluster_1282 b/seq/clusters_seq/cluster_1282 deleted file mode 100644 index 8677c18..0000000 --- a/seq/clusters_seq/cluster_1282 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009342300.1 hypothetical protein 3 [Wenzhou tombus-like virus 14] -MPKKQSLKNATPTKPPKKTATPVPKKKAPTKVVMAPTSISIRTNRKNNPAISRSSNGKITITHREYVADI -ASTTSSFSVTSYPINPGLNRSFPWLSSVASAFESYRFQRLTYEYQPLCPTSTAGKLLLTIDYDAADVPPT -NKVQASAYQSAAGCSVWDKIQHHSNASNLHKLGPTKFTRTASVPTNADVKTYDAGNLYVCTSNTAASTTS -LGELWVSYTVTLTTPQITNVVGDLVQTNPIPNAPSTFGKMKFQAGVANVVWEYGSMLMMAMINIRNTSTT -RVITFGLNPKISNKAIANIFSTIGGSSLCYNSANLLGLAPYKLANSGQEMLWDNNAYNTSNQESFWIIPS -APGPVQPYTSKYPPQFMYNIPVAGLPEGGEVLFAVNTTDIGPSFQLSPTTEGVQTTITPIDPIIIEWDKF -TSDSKQLVLQTFDTVTGTSTISHITKADADLIFSDYSLVDEVDRMSIMSKDSSVGINNCKYNLRNRTQ - ->YP_009336785.1 hypothetical protein 3 [Beihai tombus-like virus 13] -MSTTTTTITRKTTPKAATPKKKKQPMQPNTMFVVKEAPISKSVRVKKANPSITTTSNGSSITIRHTEYIA -DISSTGASFGITRYSINPGLNANFPWLSNVANNYESYRFNRLQFIYKPICPTTTQGKVILGVDYDASDST -PNSKLILNSFESSVSCSPWDSVTHTSTTKNLHKFGTQRYVRPGTQPTGTDIKSYDIGNLYVGTSNTPSAA -TTLGELYVSYEIQLFTPQLVVPLTIASNENTQSSSFAQQIGTITVSQAGAISVAAEYYNQLMWYVYQTQI -IGGNTIVDIIVNPNLTKPIRFDAFGALGSTLGRASDYPATATFGLDYLTTGLNIFSPNTSNFAASWVTRP -SSNIIGANTSKVSMYRLSLTPNQTFRLNSFALEGFPPLNATTLPGIAGPSLPNIDSIVFNWINPPPLSSQ -IYIKKEGSQVTITGDDASALNLADLVADAATQQQ - ->YP_009336611.1 hypothetical protein 3 [Hubei tombus-like virus 25] -MNVTIQQKKGSTSKNKQRSKKKRNNKTSRNPLSAKASKGTAKANTAPVSINVTTKKGIPAIKSTAGGITV -THKEYIGDFTSNGASFALNNYNVNPGLSGTFPWLSAIANRYESYLIDDLHFIYEPICPTTTPGSILMAMD -YDAADSAPSNKVTIMAYSSAVRTSPWNRTVFAARRSDLHKFGVQRYVRSTVPPTNTDVKTYDVGNFFLAS -QGTPAGPTPLGELYVSYTIRFLTPQVGTGILAPSTVMAAESQSTILRIPAGVAAVNLSGEYRGSGVNPLA -WLEPLAATTPDPVLLLNLNNANNMLLSFRAENGWGGSAAGWNPLAFFQNMRMGTSVTDIGVSWAVKQLAF -GFAEKNDPNSLFQTYLIQPTMDALSKSQGGIFPLILKRPATGTYQMAVALMPVAANYSGNIPQITQMITD -WAFPQLYIPTFNASLLTKAGMRASFTDTLNTIHRADPQDTVSMIDDLETKVKTKLKW - diff --git a/seq/clusters_seq/cluster_1283 b/seq/clusters_seq/cluster_1283 deleted file mode 100644 index a5b2cf5..0000000 --- a/seq/clusters_seq/cluster_1283 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009337418.1 hypothetical protein 2 [Changjiang tombus-like virus 9] -MPPVVAAVKAGELRQQKYNMVKKANKKMKKTIKVAKKKSEITELGRVLRTLGGIGGGFAGGMIGQGAAGS -AVGTGLGAAVSRWLGQGDYSVTSNSVLRAATTVPSMHKNDQTVVVRHKEFVGELRGNQNFTVAYRYSLNP -GIALTFPWLHSIAAQYSEYRIRGMVFHYVPTSGASVASSNTALGSVMFQTSYRASENPPGSKIEMMNEYW -ASEGRPCDEFCHPIECDPKENPFNVQYVRTGGLPAGENLLMYDLGTTTVAVTGMQTTGTVLGDIWCTYEV -ELKKPKLTGLNTEATRSLYSNSSTGITNANPLGSGPVLSTIDGVSAVGSQITFPGNLDGKYVVVLTISGF -TAAGGVTVMTSGPATLTSLAQAQGSASLSYVAILEFTGSVNTTLLTISLTTLTGATLSTLRITEWNADFA - ->YP_009336686.1 hypothetical protein 3 [Hubei tombus-like virus 31] -MTKKSKVKFTRNKKKAATTAATKTENRSLLQKLGALTLRGAGAGIGYAMGNPAGGYSAGAGISRYLGFGD -YTVSQNSLVDKSSNSVPMMHSVGTSVTVRHKEYLGEISTSPNSKAFKSTAYPINPGVPQTFPWLSGIASA -YTEYDFKGLVFHFQTTSGSYSSSGDVALGSVMMATKYRSTDPPFTNKLAMLNEFFSTSCKVSESVAHAVE -CAPNQTVMGSRYIRSSPADLVGDEKFYDMGEFNVATVGITGGNLIVGELWVTYEVVLRKPRLTPFSSVYT -AHYIGNTCNATFPLGQTGLTKQYDSIGLTISQTNRQITFPSTIFGRFMIMCVYDNSTNVVTNGCTFLQGA -SSVDIFRGVGSINCGSTAGTSDNVFAQFVDIQNNGQLCTVQVNNFTTYIGPGLIDIYVFILAPDTV - ->NP_995579.1 coat protein [Sclerophthora macrospora virus A] -MAKKRKTKPKRVRVAKTSPPAKQLTLLGQALRSLGGLGGGAVGGLLGNPLVGANVGSSLGAAISRWLGSG -DYKVSQNSLVSRASTSIPMMHKADQKIMVRHREFVMEVRSAINFSVQRTFPLNPGMSQSFPWLAKLASSF -QQYSIKGMVFHYVPTSGSAISGTNNALGSIMLQTSYRANDSTPQSKVECLNEYWACESVPSETFAHPIEC -NPKENPFQVQYIRTGAVPAGDNVLLYDLGLTSIAVSGCQVDGVTLGDLWVTYEVELSKPIMDSTAVTQQL -VTASWINANVVTATYLGTGVATRGNIPVAYNGRIITVPVGYYGTYNVSVYFFSNAVVSGFNCAGNPAVTN -ANIVPLVVDTGVQFGPAILANESGSANSAYTYAFAFAKASRDVVTTLTLPTIELTGTLTMVAVSILGSTD -LS - diff --git a/seq/clusters_seq/cluster_1284 b/seq/clusters_seq/cluster_1284 deleted file mode 100644 index 0859dce..0000000 --- a/seq/clusters_seq/cluster_1284 +++ /dev/null @@ -1,38 +0,0 @@ ->YP_009342288.1 hypothetical protein [Wenzhou tombus-like virus 15] -MGKIVAKVMRTYTYHLIKQTDQGAVTKYMDENAPHFPFNVKKYQPILTDPEVTNVDYADLLFLDMRENFA -REKTRVQTTKPEHKTVRSAVSNLYGTDTPNSEQHRQFKSKARGGKSKGRSRTFCKTTQQQHNNTYNKQNN -RLQNVPQTSTRGLPTINAIVNDIIDRHTRPMSRSPVSSGRTTPSSTATATSVDTPKSTTSSIKSTYTTGT -DPVPTSNRFIYLDYDNNENISEDERAYYGTRAIDRCDAACGTDGLLIEAEIQTEEDDLMIAGIKAKEKPA -KYQSLHGDIEIRDFKQDIPYKLNALDNHECFSQFKNDKLGSDFIFEPLYTYLVRHSCAKYATRDDKIAHY -NKLRMRFINEHLNHKDYKFLREPTKQQEILLHHTVQRCADNNELDWIYESTKPPREGSLRSIWRSVRRIP -TRITRVFTSTIAESGAVENNVTSTIENIPKYCYWSGSHPTQEDNTNHQLKEHAIWKEGDVQPSKDKSPCE -VKHYKRMFNIPGYDDKPVVMNNCKCNEVEALHNRYLKDTGFYQPDKIDWDIIDGLVQVLAGKLLKLSDSK -NPAGLLEPNTVEEFISEKSGALKNRYCEAATNLIANGVDKNIGTVDAFIKNEKYSEIKTPRAIMGRNPQF -NLLYQRYITKAEKLFMQLPQVAKGKNFIDRGKQFSKILGDWILENDYSKFEGSQRTPVLMRIEYRLLCLL -YGQSEDLDNIYQTKLYKKGRFPEGTKFCFKGCRGSGDMDTGFGNTVLNYIATQYFRLKNAKYVTDKDKFV -VDGDDSYQQLDKGVTANKLVNTYEYFGFETKLMIRKDPHDVEFCSSKFLEYKPGEYVQALSLKKLLNNIQ -YLNQTQHEHSADVYYASLGIMYRRIYGDIPIYSHLAEYLMTASGQYSYELIRTVNASYIDHVKDLNGDLK -CDQSLTKAGITLCFGISQEEQINLIRFFQNNTLSLPEEYCHRYRAPKNKYKLENIPLSLIDSMGCVKPVI -QTQGGPIPIIPEFDMIIEATTTNAPPIVNAEKIDAALKNRSITKRIRHLFKRSQPSSGDGNVEINEVD - ->YP_009337052.1 hypothetical protein 2 [Changjiang tombus-like virus 19] -MVEQRPHICYGNCFHNVVRSFNGRYFKPSPRPRRGDIDWGLVHKIVDDLSDRIIKNAICDNDGPNESQKT -GYLFNAGKGGVMNFINDAPGKNKRRYRSALDQILAKGFTPLKHSRMSVFVKRESFYKEGKAPRLIMGRDP -RFALFYNTVIEPFDKPFFNLPQCTYNDNYDSLGHKFGELVGQWFCENDFSQCESSIQPFWLDLQLRCMNK -VLTAIKQQANLKMAWAMKIVKDGQWREYITFKFQECTGSGDRDTSSLNGFVNWVSSRYFLIKNIEPNCKV -SSDCAGCSTDKFLLKGDDNVMRWPRGVTEFKNTYTLFGLDAKLIIRKTPEEVEFCSGHFIETTPGNYLYV -QKLVKLIDSLQRCLNSDALKRGWVAQYYKSLGLMYKVLYRDIPVYSEIAEFLLNTNFDGGINANLINSWN -LTNSFKQSDGCVRRVDQSLCELSVAMANGLSIPEVERIKDWFSTNALHFPASMTKRCNLRACKTTPKVTE -VEQEIFNSCFANAWDTLTKDKRFAKIKQRYNRVLKG - ->YP_009333161.1 hypothetical protein 2 [Hubei tombus-like virus 32] -MQNIPVETSARHSKCNSESFLTVFHLLDVPSGRTGLTAGSPDQITLPLLESQTTTVMSNCYHNQFDSFTN -RYLKKTPILVPRNLNYGLILRIVKHLVSQVKQFYKPEFSFNKYVQSKPGGSRRRFLRAYSQLLKGTNELP -KISKITAFVKNERYFDESKSPRMIMGRDPRFNILYARFIARIEDAFFQLEQVANACDFHKCGQKFKNLIG -KSKSMFENDMSKYEASQREFALAIEFLVYAETVGESEIDDLATLFAVKMVKSGHTGEGLKFYFLHCRGSG -DMDTGLGNGVLNYITTMYFKIINFCPLNTDCHMDQKCCQFDAFVLKGDDSYGTVPEGVDFNMVKNTYEWF -GFEAKLIHRPDGRLTEFCSGNFIRVAGGDYYYVQNLRKLVTSLTTCLNPDVIREGWVAHYYRSLGDMYAV -LYKNIPFYEDIAGFLQTASSKLRINVNLVQESYGHAMAFSHFNRNVETIDARSETILDISDCSGLTFPEL -DALRTYFTSSKICLPPQYNRRCNIRATKRAWVDLDEDIVYNINKSSLTKEMKGFRKTLLRCLREPLETLG -QLAQSGTVS - diff --git a/seq/clusters_seq/cluster_1285 b/seq/clusters_seq/cluster_1285 deleted file mode 100644 index 236fb6f..0000000 --- a/seq/clusters_seq/cluster_1285 +++ /dev/null @@ -1,57 +0,0 @@ ->YP_009342257.1 hypothetical protein [Wenzhou tombus-like virus 16] -MVEEFLAAQAEKDANIDDKYVVPWLLTQSTGYYTRQVDGEVVYYFLDGPENQHYGLKGVPIGFVYNMLPK -HNFVCRLLYEGGKRVLLKDHSEVGRVLGKDWAAEEGAQDVWLDKAVEAVIPHVVGSKFDSETHSLTNRAL -VYLSDTIKPWPKAREQIAQRLRSPSFMDKVVQYHRERRVLAPAVVEARLNVLGGSILASDGDIEEALKRI -KNEKKMRNHYLAYFLLFLFVVNIFFELYLHAFWVLVVLGVLVFLMTRRRKYDESKVAVLVESFNQGGSRA -RFASVLSLAPSCSGLLRQEPGLVNGEGGYKVKVKFAPGLEPCRDLKPYTPYGSIITAAPMVVPACCHHDI -NNAAAIRFLFPREQRTDYVRAVIGHAQEWLLPLFQRNKSQWWYVSRAEWLSHLQGKRRSMLVNEPVAVNL -WGHNPIDAFVKREAYCGKTPESFKPRMIMGRKLGYQNVVGHYMYSVSKFVGRVLNINTDTFYVSGNSPAE -VGEFATKLFSRKKYVYESDVSNWDGSLHPFWRDFEIWFIENCVPVECPRWAEVKAMWKNTVVQGPKGFQM -KTNFCRHSGDMWTSFMNSIINLAIVKFVAPDALAGVLGDDNFWGTDSEISGEEVVSMYQKIGMKVEIIKR -PSIEQLEFCSGRFYLTDKGYVWGVKPFRLLAKFGLNLNRRRNHRELLYGTALSMLPVANHVPLIGEVLRG -IVASGCRERLVADYSDGWTGDWRMRDTEVFDPSPASYAQFQTLYSLTHNEMVDLFNVVQIISKMGLDAFP -VRLEGMTWLKGFDVDCGTQSSRLVAMQPLRDETPFVSEPIPWVPLLVAPVLEESLKNCWPWLAIVFGVLE -RRWANLALHCVTAAVGKFSLPLAVGLHALFNYRVWRNNVDYDRRMQSNYADTVRSLLTEGGLFRPMLDCS -PSLNTKDNKLLVSAFSGWNTLCKSFSFKNTKKKGTKPRKNNNPSRKKQKGSLGRSLLTGGLGALGGLLGP -AGARVGASLGDWGSTMLGMGDYSVDKNSLDHGQGVPVMHNGHKSMRISHRELLTDITGSTAFASRKFVIN -PGASQTFPWLSGMSKQFQSYKIHGLAFEFVSTSADALNSVNTALGSVIMATQYNVSLPDFTSKAQMAQYE -FSCTTRPSRSLVHLVECDPKLQVMDHLYTRDGALPAGADYQFYDWGNFYLATVGMQAAATIGELWVTYDI -EFYKPRIDGSGSYPGDFTRISNGPYSLANPLGDIQRDPVGNLGVTIASSGAGFGRIYFPPTISAGRYMVS -VVWKYTSGSLVLAGSSQTNLTGQPTWELGQATYELAYGSTRTIWTTMYTVDTYSPVGSYVDLNITSLPVS -PATVDVIVVALPLRDAAF - ->YP_009337312.1 putative capsid protein [Changjiang narna-like virus 2] -MPRDCTALSDHSALSDVQSVPSGWIQYTDKPKMTKRNASSSRARSANNRTRRLRGKGDYSEDIRNETNVA -TRLEKKIDHLERSLVHATPSIAKGASIAGRALGSLLGQGDLGAMAGEGLSKLFGFGDYRVAVKGNSLMAG -TTSTPVPKFSGDGRRGTRITEREFIGNVLTGSVVSGSSVFNNAVYPVNPIDSNTFPWLSRIAQQFDQWEP -HGIVFEYRTTSSTFNGTSQALGAVIMATDYDSLDAAFRDKQQMENADYACSTVPSQSLLHGLECDPRERP -LEVMYTQTRAGNQNFSSLGNFQIATQGCSTAGTTLGELWISYDITFYKKVLPEHSESSPFVNINNKAIAL -SQLFKPNSATIAKDITVALIPGYGTRFVFPPSQSSGRYLFLAYMSEFLSGDLGQIVPFGHKNCEQVSGYA -GTNTGIGTPGVSSSVINITGPGAWFHTGLKQGTPPQEANAKMILIPIDFDTNWDVTPPV - ->YP_009336758.1 hypothetical protein [Beihai tombus-like virus 14] -MATLQYYSANRGYTIMLNNSFQVLSELEDSDVYEEKEAFVSATEVARYDSAAMLDTCDLDSLKSAPMTTG -AEQVVNSRYGSTCVVCDSDDDGTMPCYGCAVPIHASCFAEMASKMGRKQGGPCPNCFTDPMIECKYDPLD -HLSPSDADEEFVDHTQYHVTEDTRGNCDFLLTAECVVKPRDKSEKKRGASPTKAIKKWPKKKSKRTPSSS -SKKTSSDNETIGLPPPASNPAVGTASAEQSYTDEKQISLESKKINLKFLQRDLDRLENSNYHNIESFASS -IGCTVALNPNAFLFTYDSKNVNMVSANSDYSVYNIDKSNVVFTSIDGGIDAKFSVVGTYATWDRKSIVRR -VSSCLCFLLVQSHDSETDPSTQGSFHPLPEYTLGQLFGVGVDVRVEFSCQSVIPRIGDTKGTDLPRLFNL -AMTHYKRFYAVYPSLDFHVATVLASDAFWDAVEKFYDDLAERWKHRHKTELLSQASDRGYTAEEIEEIYQ -RYRKWATIKQVAVKTMCAAVPVVGWAAGAYFFSKGFFKGVAGRRREAIQGVADYLSPGGPPISIMTCNTI -DIEKFSGSDRPYPEQWSEMVLEPPEPPSPTERKEFLQVYGATMPIPVAIPVNDNRNLYDALRHRVVFDRP -VKKDNQELVESFIAASKATIDKMPDFEVDYEGNREHLRSTYGVKKGDRLYAMAEEPLDYKDTNSELFVKA -EPYFKTDPKSRMIWNRSERMLGHFSVIFKKLARQMKAYWNERGHMKYVSGDTPDSMGSYVEYADIFPFHF -MSDVSSWDGSLQDYILGLELYFLENKVHGISEGDMQFLKDNWFRIRGCSKDRRVRVSGHHGRRSGDLWTS -LFNSMINWLIHLWAYDLKVGHYALMILGDDSTVSIAEKPDMNLVKKKYEALGMTVDIIECEEARWFEFCS -GYFYPVDGRLRWGVKPFKVLAKLGMNFGKHDPKLYKSLLYGTATSLLPIAGHVPIVGAVCRAITRTAKEA -GIKMRKDNRFENPYRPGGGVVLTPGPDTFSWFADKYGISEKRLREIDSWLEARLHINDFPLYLDDPIFTD -GAGVDLGVERFDDPRTVIVPRQSQEYIEEVDKLRGVNSLFGAIRSGWRAGEVENRLAASKGIHIDHRAHH -AVFSAVSYLKLDYGVALHRKYNEWVRSRRPDVFRSPPIECNKKKLQNTKKPKKRMTVKKGGKGSAALRKL -AKVAKQVAGAAIEAGKHKAMEGLVSVLSGVGDYTSAGAVIGGVTPTFQGNNGEMVLTFSEYLGPIYSSTV -FTTRRLYLNVGDANTFPFASSIALNFTLADILECVFMYKSLSATALNSTNTALGSVMMAVQYDADEAPFG -AKLQMMNHYFATESVPSRDMIMAVECKNSFEPLHARYVSPGPDGSDPRFSNLGVLTIATQDSQAASNIGD -LWVSYKIKFMLPKLSIGPRISGIYHRWRGDGTTGFSTTNFPGEACLHGGNVDVINAANGITFPYLPAGRY -YMTLNIRNYLTVAGFSAVFSLGAKLATSGWRFNSDSWGNTSSSGNTTYANDFVFDCDGSPTSQVIFSFTA -SGTQGGTPYFDIYLFSGPPPDGWPVAASGSLSSLSSQQHSLIAEAERRNKRVRIRDLAQELDDTRRIQSL -IGNQSLYTSEDEKADIELLRSFTLM - diff --git a/seq/clusters_seq/cluster_1286 b/seq/clusters_seq/cluster_1286 deleted file mode 100644 index 01e4892..0000000 --- a/seq/clusters_seq/cluster_1286 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009342253.1 hypothetical protein [Wenzhou yanvirus-like virus 2] -MSHTGLKSLDEIAQTICLPNERAPVRLPTYPSIDKTATFRYRYQNTQSLRDEADPSDQNVLGRKRFLVTR -DPAAPLLLDTVHTLQKAISGNPSIYTGSVLARTEPVNINTADELTTATVTLSKMYPWEFYNRLPSGEYDS -KQWFVVPKTLDSYGRASGFLGHLGVALFVTDIAPQTQPIVTYKPGDPVSEIPVGALVLDYTLTIEIVDST -GVAGSMICDLDYTKTREAPGTYTGFFIIDPNAALVRIQSLSYTGPIKMKQSNGTLTVIPERNVYPTILLT -EKGSHPPGATKTFRCLSYPATSVNPEYYNSVAPFRSTRLNASALLLTNVTKVLNKEGTVESSRVIFNPDS -GRTFMNADVPTVSTSNPETRYFGALEKGAYTFTAPDQESLVFTTPYETVRVNDALIGHGGGSVPIFVPER -LAERPVMNLNPRYMNAIILTDLDMTDDTQLALTLDTHWEFRTISTLYQLDYSRMPIETYHAAMLAVMRAG -LFYENNTHQRILQAVGKGLKFAAPLIPGGNMLQLAHSAAKAVADSRGKKKTPTANSSKRTKDSKQTKGSM -KQKGLK - ->YP_009337317.1 hypothetical protein 2 [Hubei yanvirus-like virus 1] -MISEPFWLASLCFSTTTAEMPLTKVRNATSGVSDIAKAIAIPQEHRPIRIPTYPSLERSAVLHLQQTRTL -KVGAAGYFDAMLFRSPVHPLWGTYQPSTSNAAVYCSWNYTASTILTTTTSMPINAGVFGTPQGVGVGGPD -WAAVTPVGYGKDGLPYMYIPANVLFQATLNSTATFTTWEMDLECYYGTDDSSERLSIMLTGSGVFGNGLS -INANAWVRPVALRFTAAPTVAGNLTYVNIGFFGGGSFNAPNAPIVASFFPLFPVPEIQNSVTPYYSTRCT -AAAVLFSNVTAVLQKEGTVQAGRLNVSSGYPYDPSFSFGVLTSLHPQEKYFGKLEDGLYAFSLPSAESDT -FRDCVSLSAIASKPFPLFHIEGFGYYQHMRFSDLDATSATQLAITLDYHLEFRSSSMLFNLGYSSLPLEA -YHEAQLALVKMGTFFENPKHISQITKKVLNSLPKVRAPALVVMKVTKGAGRPDGSKGGKKDNAPKQNGGK -GKKSKKGKDKK - ->YP_009337286.1 hypothetical protein [Wenzhou bivalvia virus 2] -MTFLSAVLLPVRAKERTARVMSHTGLKSLDQVAQTICLPNERAPVRLPTYPSIDKTALFRYRYQNTESLK -DDKLVPPGDLDTLNIPGRKRFILSRDPAAPMLLDSVHLLQHTWGLDPGAFDGTIFIRGETVYLVDSASGP -STVHSVDFDKCYPIEYYRTLPAGRLDGKEWFMVPRVLDSRGKSQPFLNVLCVGLITVDGPWPFAANPLGK -GLIRTYHSDASVTSLNASNGELCLDYTITIEAMSGDGAVQELVMSYDWSNWDYTGSFDLHPDVAMVRIKS -LTYRGLVRLVDNNLPGADVPMKGCYPVLGLSRSGAHIPAGSPPTTFRCLSLPPTAVNPEYYNSVAPFQST -RLNSSAVLLTNVSKVLNKEGTVQSSRLLFNPNAGQTVHHADVVSVSTSNPDTRYFGALEKGAYTFTAPDQ -ESLKFVTPYVTVDVNDAGTGEGNIAILTLVSTKNVVRPVLDLGAKYYNCIICTDLDSTDDTQLALTLDTH -WEFRTISTLYTLDYSRIPMEVYHAAMLAVVKAGFFYENNNHAAILRMLSAGVKFAAPLIAGYAARIPAGF -LQAQATSALAKGAVNLALHGAKKAHAAYRTHQNNKQKQKPKNKERGNMRQKGLR - diff --git a/seq/clusters_seq/cluster_1287 b/seq/clusters_seq/cluster_1287 deleted file mode 100644 index 3a710cc..0000000 --- a/seq/clusters_seq/cluster_1287 +++ /dev/null @@ -1,31 +0,0 @@ ->YP_009342262.1 hypothetical protein [Wenzhou yanvirus-like virus 1] -MQQKTSILPSTHPVRSLAGLARQIALPHEFAPERFPSFPALERTAVMGFNVPSTWDLPAASTVKAILTRQ -ATFPFWFEKSTSGATFRVTWRFPRAPGSNTTAYDPVINAAGTGNVAQTINQVGVTNGNNGGIASYPILGL -DGMGTCPYMYIPDNWTALMVVSLDVAPATAIDCTVIYERWNSPGQASVNSVVAGSTIAGNAGCSIPISMP -SSESTWIRIVSVSLSTSTAIALGATNVTVVASSGTLAYTPGVLAGNVQVTPAATVALLPGAYPVEFANSQ -LPWYSTRTTAAAVLCTNVSQVLNKGGTVLAGRIAPQVVNPWNVSETYINGLHPAEKAFLPLETGLYSYCP -PSTDLVDFWDYTLNSTPFFTLPATPLYRLDNTSLVNVMFVTASAVAETLAVNVDWHIEFRTSSSLFPIGL -SAVTLETLHQAQLALVSAGFFFENPEHKSVLSKVMTAVKRYGPTALGAVNPAAGRVARTMIQLSSKPASR -MKPTSASASGFNGNKKPPRPTPKKGKATSGKKKK - ->YP_009337304.1 hypothetical protein [Shahe isopoda virus 3] -MPSSKPSQLDLVSLPTLCKTISLPGDYPPVRLPTYPAVERTSVLPFNATLTTTVPSEGMRGMLIKSSTMP -LWTDAPVVAPYSYTMGWDISPTVGTPAATSSIWPIMPDAKGAGGGSFSADVRNGLEVIGSNPPPGGYPIV -GELDLKAYIYLPKGARVLITAFGPSVPDSGANLTLEQAELGGTIASENYALNVGAGATASYKGYFREFTI -PKSFFARPLSLSINRAWYGFTLNITVMNKVPIMNASTGNMTLTWSPTEPSIPLMVPAIKPPALDISAIPF -SNTRVTALAALFTNVTKALNKEGTVMAGRFNPATLDALDLTMADYSQLAPCEKYFFGLEKGFYTYIPLQT -DVGEFADDVWDAPQISVTGGVRIPWLHLGRTALVNTFRFDDPDGGTSLAVNLDWHVEYRNSSVLWPVAVS -ALSLEEAHRAQLVCLEAGFFFDNVDHKFILSMVSKGLGMVAPMLKNRAPAIASAGMAARGYIRSYLGESS -HPKPSSLQVATAPRVKVRHPKDKKAKAAPRKPKSDKKKKKK - ->YP_009333173.1 hypothetical protein 3 [Hubei tombus-like virus 34] -MLEWGRPRRETSDSDLRRKIETMATNLARAIALPGKHAPLRFPSFPALERTAVMAFNASMPFSIGADSTK -VILTRQAALPLWGETKAPGGENAALTYGVVYSCTGVSAVNTQGGIYTEAVDAIGGWHQSVTYGLSAPVMA -VPGGTWPTSYPVVAFDAATGDLPWVYCPNGGKVLITSNAMAFAHNDNIQLERWTGPGQSEPITLTAAHTA -SLNLGGGSYFDVTANAWYRFRSSSIQDTTTGSVLKFTPVYSLGVLLSDQAPTFTGAAVNGTWAIPTTNDQ -RRFLLPLTVSPEFSNSHLPWAATRLTAVGALFTNTTKVLNKEGTVLWGRINPVVYDPFIVTSTTIQNLHP -AEKAYLDLEHGTYAYNPPSTDLADFTPYILRLLPNSASNSAIWPVYRLDNRAFAAVGFFNDPDGGTNLAV -NLDYHIEFRTSSTLFQIGVSTMPLEALHAAQIVLLKAGFFFHNEDHGAVIARIVKFMAGMHPLLSMAVPI -ANGLIGASSYALSSKPNQSHTPAATSGQGAGMVSVAAPPRPRRAAARRPPARARPRPRRAAPPPPPPPQK -KKGKLASGLDMYLSRKKR - diff --git a/seq/clusters_seq/cluster_1288 b/seq/clusters_seq/cluster_1288 deleted file mode 100644 index 064efa5..0000000 --- a/seq/clusters_seq/cluster_1288 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_009342252.1 RNA-dependent RNA polymerase [Wenzhou yanvirus-like virus 2] -MSITTHKKKCGSHPDVKRGAEQVLFQKYHGKYGRSRRSYLKRGFGPDGGPDRAVALVHRLYAGLRGAGRY -PVPQNPSDILNHLLATNVIDGRYRDEEKGGLGLPCSLTAFATAFPNQRHICLFYEKLCEQGLHEENSRGP -SGGMTLTGMVSALQEWEAGIIADPKPKVVRPRGMDLHQVNCRGGTFLSYDVVHRTLLRDGAREQIIVYIN -DESCNFGHFCAVSSLNEDAMKREIAKGKRRAEYADMVAADSDQVPKEVAPKAISGDHIVPATTSEPGPSG -INQEAETHHSQELDALLEEAIAEMQGMDPPQPPPPEPNDNGPGGNIGGEGDGPDEPPIIKEEVPPPPPPP -PPEPKPIPVSRRTLRYLREKLEFIKTHSATPILDHRPVRAYACAGLVSEGCVEHEDASHEGGVGLTELHP -EVLARFNFTRRSYYYVTAGVEPDALDGRFLTNGQYNPAWVASIQFEDTAFTLEFVGNKGPCDYYRLYKAA -TLGAKKGFFSCPDFINEALDDVSAWAAEIGLITQPQATIKHVTNLPGRGLRPRSLKGVAGASRAQWAMHA -RHAPEDLRGPYHSLFIENADDSNSDPNEVLKALKYEKAMMEKEHGKRGYCAPKMSTPKTCASCGVSPPKG -KYKWKHRQCKDCQQKLKTCGAVSTMGQQIQQNLTVAEGHPGCVHLNSSTLPPKKEKWAKVHIPKGAIKVH -KSDVPWLRHADWGGSKMHSVEKEDLSKIDTTLERPKRECVLAGIGVSGCYPMVTRKGFYARMQALIGRAY -LQKPESSPAAWEAMNQMKDCLLPNDALDGEKFSIDFWLTTMPARRRRALERAHKEYKESGGLRDKDLTFS -AFVKQELLAGYKKFGWADAKPLAESIARMIMAPKDKAHIVAGPVIKPKLERLKRHWGPDNWLFYGATTPE -KLQSWLDSCITGCEDGEVFAFWCDYSMFDCTHSAESMELVESFYSEMRTDPEFARLINAWRAPRGKMGEL -SYRAAIMLASGRDDTSLMNAILNGLVMGLSVAAAVAGVELESLQKEHLRFAEAYIRISICGDDTLGFLPK -HLWPDRARIMRDIQTNIARFGLVPKLDCTNFLGSAVYLGMRPYDVPTPTGRKWLWGRTVGRAAYKFGWML -DPSKGDAAAWATGVADSVVRTQPYVPILSDLARQTLKLREGARRTPVAFEAGKPWTHWTVREEIKNLTYD -RKTIEALVKSYSTPTLYGGDEPICVPSVEDFYRTIATIQAIPCLPYVIDDLALRFMCVTDDC - ->YP_009337316.1 RNA-dependent RNA polymerase [Hubei yanvirus-like virus 1] -MQVWEWICTMPSRRRKQLVRAFKAREELGEDSPEYEIIKAFVKTELLPYFGVADGDYSVFQVEYVARLIQ -APHDETHLDAGPYLKPLVQRLKETWSWEHWLFYASVSPEKLDKWLNKHRGATSWFWSDYSSFDSTYSAHT -WRMIERFYQQIYPEAPESLWKALNAWRKPHGKVRSHRDDAKLEYFAPIMNASGRDDTALANALVNGIVLA -LSFTAVLAGKSIESLEEADVLWASRQFSIAVVGDDSLVACSFDVRPLVGAIEGKIRRFGLVAKCFSSEEL -CDVTFLGMMPYPVAGDLYWGPTIGRRLYKAFWQADPKGNLPAWTLGVAKQLALYQCVPVLSEIANRVCTL -LDGGKITRQAVDENRVWSARSSATPPYDYSTMVWMCKRYREQGLVPRAMAADVETVERIDRLPAIVRLLT -VDASLLVDDL - ->YP_009337285.1 RNA-dependent RNA polymerase [Wenzhou bivalvia virus 2] -MTDAKVKRPAGRQCNNWQPVSHPRKGKGHVANRSNSGLSRFYCGIKGENEANIAVETISLLDQINLDWKS -VVKRHALPDGYPCALVAFAACLPFEEHIEYFYKQLMLNGLLARNEQGPPGGMSLVGMLKALNTWEQAVRN -KRFRTDKLRGMDVHHITLKGSKIVDFSIYPTGLKGSNVETIIIFIEGDGREGHFFSANKMWPGQHYRMKQ -LAEQNRLIEEAAQTTSNVPTPSSEPRVTVTTPESRDIETVTWLGLTPDELNIVEASVGDMDPEPEESREE -IAGQGIMIEPYEPYHLNGKVINTASDDLPSLIPGQPAMPRPRVGRRLSYDGTMVVWSPDPPDPPSPPPEE -IRPPSPPPSPMLEFVPDESIEFIKTNVTVPRFTHALRGYAVGDLLPDGAVGHTDASCENACGATELHPAV -QEKFGFTRRSLYYVSADAGVNPLDAKYLTNGQYNPAWLTSMVFGNTSYVLEYVGIFSDCHFFRLAETATI -VPKSRKFFSRLFSGLGAPAVGTLVCKGAALLKVLGVAASVGAVGTVSGPIIATAGLAYAAFPDAVPTLTH -RLVGVLRERGFISELPAKCFHAQKKLPAADLSTFDGHRRAEWTVMRQYVREDLVPAFHSLQVRNGDHDVD -PEMALEGLQTLKHRLETKRGTRGYKVFQTSGPKHCKSCGKPPPPAGVKYKWKHRVCTDCDKTLGLCGAIT -PMGRDIQANCAVADGPPGRVHMYSSTLPPKKKKWEQVEVPPGAITIRASDAPWMAGVRTMAKVLEVTKED -IFKIDTSLEKRRQECVLAGIAISGCYPMVTQKGLYSRMQALLGRAFLKKPQSCPRAWKKMEDLKHLILPK -GALDGPQMDVEEWIASMPGRRKRALKRAYKQFMEDGLILEKDLTFSAFVKQELLAAFEEYEGPVSKELEE -TIARMIMAPQDKAHVIAGPVIKPKLMRLKDHWHHDNWLFYGATTPKNLQSWLDKAVGICADGEVFTFWCD -FSMFDCTHNEYSMKLIESYYSEMGTSPLFKMIIDAWRVPAGTMGELKFRLHQIMLASGRDDTALMNAMYC -GFVMGLAVAAAVRNKPLEDLDSGDILFAAAYVRISICGDDTLGFLPKNLWFRRAQIMASIETNLSRFGLV -SKLDCSNYLGSAVYLGMRPYNVPTPFGRQWLWGRTIGRAAYKMGWMLDLSKGDAAAWAYGVADAIARTQP -YVPLLSDLAKKVVELSQGYKRTPVLEDPNKPWTHWTPHENLGQLTYDDQTLECLVLSYDTPTHHGASQPV -SPTIHDLHRSVRNIQKIDRLPYNLEDYALQCYCNRDDK - diff --git a/seq/clusters_seq/cluster_1289 b/seq/clusters_seq/cluster_1289 deleted file mode 100644 index db6ee5c..0000000 --- a/seq/clusters_seq/cluster_1289 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009342065.1 hypothetical protein [Wuhan arthropod virus 1] -MSVQIEQKDPMPISAITVADGIGGKIPITDNESPSLISTGTGTTFVLRKAIPPVNMVKTGSEAGNTLFIE -NLDGLHGEPILTSLKTMFMKYRYVDLAIEYQCVAPFATASGSAQTFINPDPENPITTKPDDNLKTVMRLF -GSKQLTARGEGGMHVEKLALSGILDDWRYCKPATTSNIRMEEFGQVGLIVRAPPAIGDGTNWSVTLVATV -QFKDATFNSTSAQFRTDIEVAITTGSTKTVEVTNTGNYMLTIQSTILPVAGINTKSAGRMIFNEQLLTYV -TLADSNNQDTLPLSLHDCDYVITATEATFLLPVMGNSHIPLVEPITIKSIGFSSFKGIGYFTDTVVAPSR -ILNYPIARKSQDPDIMMNAISSSFPQNIVSKLIKSIYHKENNDSINFD - ->YP_009337282.1 putative structural protein [Wenling hepe-like virus 4] -MADREISIATAQTLDQKNFTQKAGSSGTFEVDENLSQRFGTTTGTTVYAARTALSSIKIESAQASGALLF -SKQLDSKISEDVRAFSDRFVYYTIDQVTITLRCTAPWSTASGSAQLFISPDPENGPNTKNAENLELAMNL -LDSQQVSSKGEAALSAKSAQLSNQVIGKWRYCKTGSTSVPRLESMGWIGLVVRGAPALGDGSTWAATLAV -TYIFSHRTMNVPTTTSITQCSFTSDTNNLMLSGFGTAYAGFSGPYHSPDLSGTFISLEPVNMQVSVCEKS -NTKDCFWVDTSFNSCFCVVSGGTIAIYVLISVLDISSMANPIITGSTVNEFTGYISYDVQPSDQALVAIS -RSVRDVRQNKACFSRALKSFGMFDSKKSALLNSSFK - ->YP_009333472.1 putative structural protein [Beihai sea slater virus 4] -MEKTELATAQVSTPKVVPIGNNEAIQIDEFAKDAIVDTHGSTTYPFRLSLPPVKMTSTDLAGASLFEKTL -DVNITTTTQELGKQFIYYKYVSLAINLRCTAPWSTASGSAQIYFNPDPENPIPSDRSAAMDFVMRLFGSK -QLSSKGEITAEFPVSNFAAPMFGKWRYCRAGENSQPRLEQFGTVGAIVRGSPTEGDYAIWTMTMTGAIQF -RAATINSTISTREFHYQSTSVASDWSIIDVQNINQPLLRYSKAFQGPDSAGMFYSDDADTVYVIVSQDGQ -SAHFAVDTLSANYVMSGGIMNIYFGFIDDTSSIDFDDLDSLDFVLTTLDISGVCKYEFDSSPTYRRLVRR -VDPATIPQRYSTFKRVLTEMRLGHATTQNALCRHSARKQ - diff --git a/seq/clusters_seq/cluster_129 b/seq/clusters_seq/cluster_129 deleted file mode 100644 index ad9de0c..0000000 --- a/seq/clusters_seq/cluster_129 +++ /dev/null @@ -1,365 +0,0 @@ ->YP_009553260.1 hypothetical protein 1 [Yongsan tombus-like virus 1] -MRALQVELQHLRRANSALQARVRDLEGLITRNTSAPLGGSGPITTIPRGSRRSTLVRASGVASTPNLNSS -PEPRSQRPVPHVNTTPPVSRRQSSTSPRVGTPSRPQSVTALTRPVTPASAGQAAEHSTVSTQLSSGPALS -PAPETTASKTVVSHPYAGAILEATRAKGELAHDERPVYVADHAIDRSLRESVGITRRAVNKMTAVDEEEP -KGMWRYLPKVRSPALRADKYLLFFLLNKFAFIERSPDTMRRMWESLNQVMRDFDTRNNTIEEIYLLKHQA -VRAAMVPPAEELRTRKLLQEAPNLDKHAKFTKDGHLGHKLEASLSTIGNLFQPTKSLPTKK - ->YP_009553261.1 hypothetical protein 2 [Yongsan tombus-like virus 1] -MSLNDKVLPGSCLSAGACDHVCKRYTQQMFTIQGFTSEVWTHGSCVCNEVIALKNRHQLCDGSAYNSTVD -LRRPLRKLITNLEPCSEQTVIAHADGSRKKLLQRAADSLSTHGLEHKDAWVKMFLKDDKYHTPEYKAPRC -IQYRNKRYGLRLATFLHPIEQRVITLKHNGTHVFAKGRNMKQRGRDIAAKLLPNWVAVSMDHSKFDSHVN -EHLLRLEHWYYNQCINDPELKWLLECQLRNKGFTKNNTRYTTRATRMSGDQNTGLGNCIINYAMTKAMLD -HLDIPHNLYIDGDDFIVFVHRKHKHLIDPNWYKQFGMKTTTDQITDVLEHIDFCQCRPVYDGDSYTLVRN -PARLLARLPWLVGPINGRSPWNIVASAAQCEISLGYGLPIGQYVGHNVFLYASNKGGRFKSNCVAEWRHK -MERMKAGNLKPVDCAPGVRASYERAWGISIPQQYIIEHATIAPPFEEEWDHTPFDRKTY - ->YP_009336880.1 hypothetical protein 3 [Hubei tombus-like virus 19] -MTPFEAKKVTLKKWPVERVIADYVGRMAFRYKKALRTLVEQGIPTSPYTVRMFVKSDKETGELKPGRAIN -ARIPERALLMAQYTKPLEAWFYKLEDWTGSRVVGKCDMYTLAGMIEIKWKSFANPVAIMLDASKFDTCVP -IEFLRAYAKSISRKFPHESRTILALWKCTYVNRGVSSKGVKFKTSGTRMSGDMDTGFGNSVLMWLLLTEW -IRIQNVKASILVNGDDSVVFCERKDLPKLRNLQFFKDAGFNMKFEHTDVFSHVEFCQARPVETDYGLAMA -RNPHRALARFGWGTVRRKNVRDFAYTLGQCEMASSKGVPILGPLAEHLVARFKGKLKFQDTWQMETYRNR -NKLIHELKPTYSMRTRQSYEDAWGVPIWQQLEIEDAFDAVAGHLPDMDQIIHLQEISGVFGN - ->YP_009345892.1 hypothetical protein [Jingmen tombus-like virus 1] -MWPLCFGTWPRGVGSARRERRPWIESWLGPLLTSCVDAKGTYAGSIGEALIWHPCARRGSPSGSRDLPLR -FADYNEIFGEPTTNRNLNGSSRLHTASLGPSLTGTTNDWRLHIHSGDWDDEGPNGIEDLFPPTPSTHAYK -RRDSGSWADWDEGMMHSLALFAEDTCLSAPPPTRGRRTGALRRRLRRAAGASNSQEALRAREESRERRYS -TSPGVPEARALQRVQELTNMATDLLAEGIEVDTDALEARRAAADLGLNELQDRRNSTANAAALRGVPHEP -LETVHHRLDTGSDQRSRSANSEGVEDVFSMSSSGGRFSPEMRVLDTGVQASELDALPCVEDPDITLPSTD -GWPTPDDFEEHWDLRPATNWMTRMGAIPFRANAKQDNDDKKGLPIHLLDFNSMCRPCKIAQWKIPYRLNR -RQAGALALVLGGNRTDWTVRADAPYHDHPACALVRLVAYVAALRFLDGYRVLDIGGSVQRAVAAQARNMH -CCMPTLDRADAVRRVTQRMGMAVKNINVKEECAFEELVVTACRNRVEDCKAEADAFLCVDSIYYLGEPYI -INLMRRHELGVILYHSFEHSCDHYLGEARVRLIDKDGVQFVAMWVEGNSEPYIHPNMNIHSNSESQIYRR -EGKPLRRFHIASWGWYHMVVYCWGDLPVGHPARPWGSFMPRWTPDKRGIAAPPGIPIRTDLANLSTKSVI -VRIMGIDRTGVAITAMVDHLVATFSSKLHNLAGVDQLLGLMRMSYLDQMRKVNAPDGYVAIYEPGTVYVA -AYELLKREVATRGGIHTGSFWRSCWKNLLARLLGTRGAPCLPFDEVYTPDCWSSLTPTTPPGPGVANFDL -VAELASNGSVPVFRGAAAVGWFGFIRTGTAAAAWVKKSGRNVLGVCCKGVSRCKPRIPAQVCLPGEVKPT -MTKILGIPVPPCPVPRMCICNEWVSIHSRVMGFQGFEIEPKDVAALTWAVSAIARDAGPVLRPMSFDEWL -RSCKAYTEARRAELKRAHVSLITRPVEHKDAMIETFLKYEKLTSHGDPRVIQPSRDRYLVALAPLVKSVD -KALCAGHYEFTRGLDYRQLGELFSEHVTLYKDPVCVSADYSRFDAHVSVPLLAVEHLVYLSTTGVLEMAP -LLLWQLRTVGATRSGIRYVREGGRCSGHPNTSCGNGIINLAIQRVVLYRAGVQASVFVNGDDTLIILERD -QAGLITPNCYEPFGMEVELVVSELAFAEYCSGRFMPNGKGSYYFVRDLPKALLKIPWVLKTIGPCAVQER -RHDVMHAELSQAPCVPIYTAFCAYWHGVCTGKPPARALPWRLQEVDLTISLEEVSVASRSWFELVYGVSV -AEQVLAERAISDSGWHPIIKDLALRAYEPDMRVLSAAHDSIFNEDISTRLESLDKCTNPTRYKTGEWDLK -FHRFQADWAGLELELELRKPWKPQLLSRPVGFRSDTLHSPWAASRRGVEPPLSAPLDTEASRAYLASLDR -YTKKSYSSTIYQMARKKSQQVKSGRGAATTSGPAPAPQIAVAVAPTRQLARVTQGERMTLKDSEMLTRID -DPRFVFVFPLLPGRTSAPKLDSMAGHYAWAEWAASPILRYKSLASAASEGVWYYKVGHDMSYHDVLDPAR -WCVDAKSFTAQKAGLDWNVKVPLRALTSVKCSPHNTERGTFILCAYRGASVAKGEFWLDYSVRLGGVAAA -TAPSVSYKVANGIFYDPVDGKPINGLPLSDVGHSSHYHFAPPLPGRKIAQDFVKLHGGVPTAIVRADRAP -IIKDEAEVTEIVATHPKEQKAHYANSVWDAVGQVGNQIATAGPLVTNVVRKIAQGAGWLARLVGWAAASR -DSSPCLNGPFGRYSGHVIVRHGSYSTSELSNLASLLPIFSTEEDGTRLATLPFFVAPMLSSLASDVLSSA -VRRAFQQPKLVHKGVDALSFVYDGTSAGTTGQWPDLDNDANGGVGGIDPEYPSNPLPEVPDFDEAPGAPN -VYIPWETTNRHISGFVVDANGTPVVRWLGASYGKMPLHIAAPGARYYHMDKMPWDSTSKRYLGTYVEIDR -ETAGCYLLVQCVNWGDDGAGHWMRNLTGATYFGTVARFAGVAESRSVIRVDDVASWNKNPIHFLIGQSTQ -KYFSADQGRACIFHLTIGAVLDAGVAKKFLEAFETGPGKTLINVSLVKGYGQKTGELGSLTDATLRARTS -IPAELEPLAPGFRDCYKAFMTGRTTRELPVAIEAGPFRGMVLGRVDEANGWWQDVPYCAYSYTSLSARWT -VQPLMGEHGTDSTYTKATETAGSQVGVVRITAPSILCKDAYMVAEVYTLSGNAGYRAHVCEAGQINNRAQ -FMVSSPGPMSLTRFRTNMSVSSIDIYVVGDTSRPTTAVDGKSGDEYVVVSYCVYIVKSADVARNWVYHEM -DYVSSQSADVVASTNDSDSGTALTSNGLVMYHCERNDTGCYLPVQTPTWTCYSSDWRVTKNAPLNAACPL -YSERGRALPGAQFCGKPWELPLHEASEESVTSEPTDLDDNDQIGDVQAVEPCAIPAAVSASA - ->YP_009344999.1 hypothetical protein 2 [Wuhan spider virus 9] -MVWCPRSAGYKFTHKSDVLQSKFGKSRLPGILPSCGAQCIYPSTHRLCLPIQGSITPKHDICRSRTRLKI -VHRTGLEYEVGLHSSCSCNELVSLTERHLAAVIQPCVEDIKRAGRASRKFYNVVLEPTSYNNVIAAYSGT -KRAIYIKALESLRSKGLQDWHRRVKMFIKLDKMPLETVKTKPPRAIQYRAPEFNLKFSRFIKAFEHYYYE -NLTYGTTSRVVAKGLNQVERAQLLIQKAEQFVKPIYLLLDHTAFDASIGTHWLKQTHRKYLKSMPYKKLH -KLLKAQLVNFGYTKFGHQYMVKGTRMSGESDTGCGNVVVNLDAIYYVLMQSLIKKFEILVDGDDSIVIIE -EKDLKLLRMEIFERLGFKTKLEIAYTLETAEFCQSRIVFTDPPKFVRNPCRAVSHATCTPHTYSRDKYDE -WMNAVGTCEVSLNSGVPVLQTFGQSLITGDNLFWTQELRERMSLETVRGAEPITDQVRMSFYRAWGISPE -LQRELETVITYRAFKRFTNSDKNDESIQRARSLLERRFESGSSCWWCGCKGRGKSVSELGELTTIKPV - ->YP_009342265.1 hypothetical protein 3 [Wenzhou tombus-like virus 12] -MTTCFKIGLGDITSFHRCSSCEYNSITKRHVVPQIPCKEYAVRAMYESSWAIIEAIKVLGITAWSRAEVV -ATRPARMKKRYNNILHNDIHPGLGKAFIKFEKKELDDFYGFKECIPRMIQYRGSSYTLELARFTVPMEKA -LTRLGRDCMFEQNYNFPFIAKGLNPLERGQLLHRMWNKFVHPVAHLIDHSTFDSMVNRYHHVLEKHIMIQ -CFPNKVLSWLYSQQVHNKFVTQNGIKYEFTYRRCSGDANTSLGNSLINYCILRACYPDAIILVDGDDSVV -ITEGDRPVPEFSDYGMKTKYDKTFEFQHIEFCQSRPVETPLGWVMCRNPMRAIRRMNMRLGKQPNLRSWF -RTVGIGEGLCSAYMPIISIFAKRFRKAGEGGKFSPWMLESGERYRMVTSMYSRTFFYPTDEVRASFAIAW -GILPDEQRVIEQKLGTAVLQ - ->YP_009342051.1 hypothetical protein 2 [Wenzhou tombus-like virus 11] -MKGRPISDRQLPGSSLRTGECVHSCRRYTRKMFDYDTDFGGSDSVVKTHLSCVCNEVLALKNRHQLDDGA -RYTFKGDLTKWVRRRVKPMTPWSEDMVIEHAIPSKKKLLMSAKESLKQYPLTEKDAAIKMFLKDDKYHGE -MKDPRCIQYRNKRYNLRLGTYLHPLEEYVMSWTHRGTHIFAKGRNMRQRGRDIAAKFNGIEDCVVLSIDH -SKFDCHVNRQLLEAEHWFYNQCFGSEELAFLLQLQIRNKGTTKNGTRYTTINTRMSGDKNTGLGNSLINY -MMIKQVLMELAIKHNYYIDGDDSNVFVQRRFAHLVKAELFSRFGMVTKIENVADVIEHIDFCQCRPVFDG -SGYTMVRNPERMLARLPWVVGPIEESRALDITYATGQCEIAQGLGLPIGQYIGQRMCELGGKMVRLRHRA -WLEKMKPGKLQPIEPAAGVRESYALAWGLSVADQLAIEQTRLVQPEELYTPGTLEWL - ->YP_009342050.1 hypothetical protein 1 [Wenzhou tombus-like virus 11] -MSQPGSRGQSRGAGRQTPNSGHRGRDGPRRPTGDDRQPNQRARGQHPSGNARGPQWQTVGRRPRAANPEA -LMRRIHALEQINKDLLIQLGRINPGEGSSGSTSRGSTSGKATPEKPTPAPRKGPESRNPTPVHQASTATP -RGENQASRTSKGRQRCRTLSNSHLPRVTTPNPFELLEEEFPHLSPGTLALAASVGSSGACTPTVKTKSGA -PAGPSRRGRSPPSTQRASSLPALNAQHRAPRAGGQQPSRTFVTSSTPTQGTSSQRPGATAEPKVAPNQAG -SDPAPQPRVRFRPGDFGWRGAAQHGVFHSPTVASPRYAGACLEASNKKGPLANDSNPVFILKPEVRTDVE -CGVGSTRANLARLLDLKEMSLDSLYQDSGLDPNSWKDLVSFTIDKAKALVVDEFMYYELVSRFPFTVRTA -DLARKMFNHLNILMKQFDTRMYTAKQLYRIKQATVRAALLPPAEELLTRKLIQQESKEMKKYNAFAEKGN -AGSVFAPGAGIVAAATSLAQTRVGLSPDKK - ->YP_009337762.1 hypothetical protein 2 [Beihai tombus-like virus 11] -MEEGGTIKCLCFDSDLSAINRKDMQIYAPHSEGCIHKRFLSKIVDPNLNLPPIYADQGCIKNEYYCLLNR -HLIPMMPTSVDVLKTCCSILIEISKELSVSKMSSKELIDSRPVRMKRRYRKALSLDLKRVHSRVNLFIKF -EKKSDPDKSPRAIQYRATPYTARLAKYIVPIEKALYKLRSRLNHYHPMVAKGMNALERGEALWNMYQHYE -RPYVYLIDHSKFDSRVNKDLLQMEHSFYLDCYKGDRWLSYLLKQQIYNVGHSRNGLRYKCTARRMSGDAN -TALGNCLINYAILRAKFGPEAIIYLDGDDSVVFMPRKVDVDFSDTGMESKVEIVREFSQIEFCQSKPVLT -THGWLMCREPLRAISRAIYKLGAKPINWRDYLTTIGIGEGLCSPQMPIISKLAALFRSAGGEYKWYFSEY -RLGVQKCVNRFENPNAVSRVSFNDAFGIDCYSQLLMEEAIAASVLNWHFQH - ->YP_009337329.1 hypothetical protein 1 [Sanxia tombus-like virus 6] -MSSAKVVYKPSGHCNESRTAFTVIAALPSYRVAVHKNCICNELVSLHNRHLRQQAPGNQPYTAAALSMWA -PVYDVPRANIWDIVKGYSGGKRKAYARAAINLQQPFQRHWAYVSAFIKPDKIPVDEIADKAPRLIQYRSK -EYNLLLATYLKPLEDAMFDETDPTGTLIFAKKRNPQQRAADLLAKMAAVEDALVICADHSKFDSSVRKQH -LRHIEAEYRRSYPRSKLLKRLLRLRHVNKCRTRNGIKYQSKETVQSGDYDTGGRNSRLNAKVLTSYCILA -GATTYGLYIDGDDSVVIMPSALYNEKAFKDHCSKLGFQTKCQAYRDLAEADFCQAHCIRSDPPTMMRDPL -RAAAHFNISVRNYNGPAWPRLVEGKLMCEEAAGRGCPMMGKLARRLRTGIKPIFDPEDLEKWKLVKDLPQ -ATITMQARLDVYTAWGFSVQDQHNFESMPRHCVVPEIRSRRNYDAESLHRVFEAWSAMGATRSESSWYRG -T - ->YP_009337190.1 hypothetical protein 2 [Wenling tombus-like virus 2] -MVQRVDQISPLPTYDYQLDVQKWSMHQVVKKYEGPQRAKMVRALYYTRQLTITRWHSRVKMFIKQEKVLD -PQKPPRAIQYRTAEYNLMLGQYLKPIEKALYMYRDSHGLPVFAKGYNVNERAWIVKDKYELFDDPVIYQV -DFSKFDAHVTKQHLEAEHAVYLKAFPGSHTLSYLLKQQLANNGITQNGIKYHTNGKRMSGDLNTGLGNSI -IHHLLAMQVAPKFVYFIDGDDGLWVGPRSAHIDLMLVRRFGFDLKIDKQDSLSGTSFCSTRLMLSTMKFV -RPPWVILSKHAMTYRNLPPNKNAQLLRAIGECELACNSGIPVIQEFAKRLMTLSDKPLYDPELAWKRQNL -ESKEALITDEARIEYASIFDMSIAEQVCLESAFQTPSSEVQIHYGDSSKRAPSTSPGDSSSCPQRPESCE -TGIQLDESSAPTATEPTWDWQAF - ->YP_009337188.1 hypothetical protein 2 [Sanxia tombus-like virus 5] -MGSNPIARKVICHQSCVNNEIIGLSNRHIVDRSYIKFDREYFLRMAKATRQELVDAAQSEKVSKLNVVYG -YSGGKRAMYLRAADVPFRVAHSSITAFVKVEKGYEEDIHAKAPRMIQYRSPVYNLNLATYLKPLEHALYA -NLLNENGVPVIAKGKNPRERAEHIKACFDDKPYVLAMDHSKFDSTIRVEHLRAEHKIYNRVFKSKFLSKL -LDLQIDNRGYTRNGLKYRVRGTRMSGDFNTGLGNSVINYIVLKSFLTMNNIKGHIYLDGDDSLVFIDPKH -RDLDFSHFEKCGFETKREESDCLADVDFCQAKYMESTNLMVRNPVRAISRSLVQVRRSAFFRIRAGEGIG -MTRTHPGVPVLYPLYKQMGVDEKPIFLKWYPLIDDREVKITDAARVEFWHLWGISPDEQLALEESRSCGH -CGTLHHVEFLCPSYKNAQSVSTTWSGLG - ->YP_009337096.1 hypothetical protein 2 [Hubei tombus-like virus 13] -MPVLGCAMPNKKLAEGCAVTFVPNNLKREVTRRVGTVFARPTVCEKEFGLHTHTVKDELQTICNRHLMET -PQPVEDSLAWRTFKKIMRELSRQIGKVRSAPLSAVLKGKTGRRRKRFHNGAAKLRLEGVTRKDARITEMQ -KLEMYEVNKIPVKEDRGIQYRSVKYNVALAKELHNIEKRLIGLHEDGFHPVMKGATPQQRAERIALASLK -YKCPLYLLLDHSRFDAHVCYLLLKEEIAFYKRCRKDSRYLEFLLNLQLKNFGVSKGGIRYKTKGKRMSGD -INTGVGNTVLNLAIIKAWLRVSGVKGTIFLDGDDSVVIIEKSDFEKLLPVEEFMLQLGMVTEMDVTDCFW -KAEFCQSRPVLVDNTVRYVRNPHKVLATVGQTAENVGKEVIEEVVRASCMCELALNGNCPVISPYCRRLM -GEAKTENTRFNPSQLWKAEQYGTKFEVPEENEPTVESRVSFWRAWDIDPSMQHAMENQHIIVGDAQGSAK -KRKSKPIKPDEELLEEWSGYNEPECGCGDCPTYGSEEAYSAARLWLK - ->YP_009337078.1 hypothetical protein 2 [Hubei tombus-like virus 15] -MFLKADKAHVFTDGNPDFGAPRCIQYRNKRYCLRLATYLHPVERELYKKLDISGTPIFAKGRNLVQRGQD -LWAKFQHFRNPTIICMDHSKFDAHFGVELLRLEHKFYKSMFPKCDREELSRLLEMQINNKGSTKHGTKYR -TRGTRCSGDQNTGMGNSLGNYCMLKDFADHNGWEACFYVDGDDSVMIVEGDVEVDPKFFKQFGMATKVDV -VTKEFQDMEFCQTRAVFDGVSWRMVRNPARLLARLPWAVQQVTPQCRGKYLRSVGLCEIALGVGLPIAQH -IGEKLSKLGKGYMVTGNHYMASKEYIRPTRARLIEPTMEARMSYARAWGISVEEQLRIETVEIELPELGD -LRTFDEVPYRTLS - ->YP_009337034.1 hypothetical protein 3 [Hubei tombus-like virus 20] -MGGKVDCLCAGGRLDNIKANNFKIFAKNAETCRHSRQMHRPFIPDVCLPPLYGDHGCSANEFRSLTGRHL -TQTRETEREHLNGYHNILRSLASRIPQVSKLSSRELIRSRPQRMRKRYIKGLMLDLKPTHGNVNLFVKFE -KKDDPGKAPRAIQYRATPFTARLAKYIVPIEKAISKVVDGENGGFPFVAKGYNSLERGNILYEMWVSKDN -PVAYLIDHSKFDSCVNTDLLKMEHEFYKTLFPNDKYLSFLLNQQLVNKGRTRSGIKYWCKGRRMSGDPNT -ACGNCVINYAILRHAFGPEALIFVDGDDSVVITNGEQRVDLSGSGMDSKVNIAKEFCKIEFCQCQPVYTP -NGWLMCREPMRALNRACYIMGKVPTNLPDYLATIGMGEGMCSPYMPIISVLADKFRSYGGSYKWYFTEYR -PNAMNVGIGYKMPTDVSRVSFDSAFEIDAHTQRLIEQKLQGMVLKLHYQH - ->YP_009336965.1 hypothetical protein 3 [Hubei tombus-like virus 14] -MKTPDPQVNSAEWKQFRKVLRELSDEVGSVPKATPTTVVNHRNSMKKRRFGKGMEIYLREGVAAKHSYIT -MMPKLEFYDSEKIPLKEDRGIQYRSPVYNAALARHLHHVEEQLYKTIKNVDGTPVFAKGYSPMERALIID -AMASRFKEPMFLLADHHRFDAHVNGPLLDEEHRFYLRCRRWNLELRQLLEWQKKNKGVSHGGGRYRMRAK -RMSGDLNTSLGNSVINYGVLKAFCKHFNIDASMFIDGDDSIMIMEKQHLPDLVAFAEKFGLVTEVEVVHD -IRHAEFCQSRIIYLEKGPIMVRNPWKIMDCMCKSPRKLRPEQARGVLAATALCELMQSPGVPVIAPCASA -LLTYAGGKPMFITPTAWGKFQGWQTDQIVDIVDESARADLEFAWGMTISEQLMMEEHYKHYAREGVTIQM -PNPKSKPKEIEFEIWDAYQTQYQPTEVRRWWRDRWEISQYLPPLDPEAVYYAELLG - ->YP_009336894.1 hypothetical protein 1 [Beihai tombus-like virus 10] -MSQPSNQQTLRKQVVDYLKAHNIEPVHYLVTFLVSAYKANDVDTASRVLSTYINVPLLPKKSENKGKQPK -NGGTRADKVSRPESRQSPPRASRRSTDSIPTHQPAATPASATVPTDTIGTTSKHSVSTQSDGPHGRHDEA -NAGDAKPAVAAKGGKSTTPSPQPGTSTDSIQCPGQRGVEPPRVGPEPSAPSGSTTEGSRCGSPGTSAAAQ -AEERNGGDVETEVQLAPGLGVSGKYDGAQLELVFTSDVSEPHSIFMCHKGFKRMLTSFPGNKREVTCRIK -SKTAVKMTFHVRKGSDITLKEMMSRKSTGVRTTAVVVFKVRKPFQPEEAPEPVSSSLPNTPTMPPGAARQ -PITYSSVDSEGYGYYASDEASIKPTGEKLDAKMRSKVRREFKAAGDLGYRIKMDIMSSPNYPCNDTMSAA -LAKATALLKTEYNTSKFSQKDVLDIARQAALVANTPDATDHAMRNYFKGEEVNDKMHKLHSFNSEGKAGH -TWSLFGKKAHKIPLKKKLIVXDSVVATEALCTPLTPRHSHDEGCAFNGPQPTYCCHRRKLYHFRPLVGNT -MPEVYHPEVHSSCVWNEIVSASNRVMMKTPDESSEYVDGIRHFAKLIAGKVGVISPWTPEQVLKHYSGAK -RKRYARAYEMLDKGSLHKKHAFVSAFVKPDKYDEVGIKAKDPRMIQYRTPQYCARLAQHLKPIEAKLYDV -TGSGQINDLPPTRCVAKGLNHRQRAQLLIDKLARFEDPVILCLDAHRWDAHLKKRKLEAEHLVYVLCSAF -YELAELLRMQLSNKCRTRNGVKYRTKGKRMSGDLNTALGNCLINILTILWVFMGIIIDFMVDGDDGIVIM -ERKDWIKFKDQINERYGKTGFVMKMEVVDRLEDVEFCKSRVIRTHLGPRFVVYPKHVLSGSTVGVRPRHG -TYWVKRMRADAIGLYALYQGVPILQDYALATLEATQQVVPADLTGEDAWFQFRLHPAYNYMVNLKKIDIS -KLDQFISPQSRVDFERAFGISIGQQMLIEHQLRQAHKGYLCEVAYLKKHPFLFTVEQTRHLLLKLW - ->YP_009336849.1 hypothetical protein 2 [Beihai tombus-like virus 9] -MWHFRPLAGNSIPGLYHPFSHSNCVKNEITAAANRVMMETTEGTDHYYVFINQLADKIGKELGHCDAWED -ERVIAHYSGRKAARYARAARNLAVRGFKTKDARITGFIKPDKYEFDVCLVKDPRMIQYRTPEYSFLLAKF -LKPMEKRLYGYVGSGKPGDFPNTPWVAKGLNNETRAELLHAKAGKFKDPVFICADASRFDAYVKKRKLQA -EHRVYRRQNRSGLLKRLLKLQLNNKCKTRNGVKYKTVGKRMSGDQNTALGNCIVNGLSIVYVNRHWVSDF -MVDGDDSVIIMERDDYDADRLQTLFDSTGFKITWELADHITKVEFCSSKIIPFVGGYKFVNMPWRTLSNG -TVGSRPRPHTYWVHRMRADAIGLYSVMKGIPILQEYALTWIQATNGIKPLDLSGEAEWHKFRLHTDYGLH -AKMKWLNVDILRLSIMPETRVAFERAFGISIGLQCLTEQLISTCYKDYVFKLQQQIGTINIHSHRLDHNH -LMTAW - ->YP_009336696.1 hypothetical protein 3 [Hubei tombus-like virus 18] -MFIKPDRYKVEECLNKAPRAIQFRSRMFNLKMATYLKPVEQHFCKYFKPYGTRVCAKGLNLRERGRLIME -KIRKFHNPCYINIDHSKFDSTVNLDHLKNLHKLYRRVCGKTIQKYLKYQYKNRCYSKNGIKYQTEATRCS -GDFDTGLGNTIINAACLMYVFRNIKVEFILDGDDAVVILEKADLNNVKFDDFEKFGFETTMEIVYDKYKI -EFCQSRLVYNRGWMLSRNPFRAISHQSHTRSRIGPKGLVRYLSGVGKCELACSAGIPLLDKFANMLMLSS -DRPLLDVESLGKMSILGWAEESLDVRWNTRISFWKAWGVPPDVQEWLETLLLPPYAYSGVTESKKVQGLH -QVIKAKNSSFHYASESLLGAWQRMAGLGCAGTAGWWLSGE - ->YP_009336659.1 hypothetical protein 2 [Hubei tombus-like virus 17] -MVSHGNQVHFNTSECVVIAPSIWTYQVRVHAQCACNQYESLIHRHLASKEVDLDIGLWRRLADRWLHIFQ -ADLAKLSFKDVISHFTGKRKKRYSSAFTHYCESGYQSKIKMFIKQDKYPLDTIYDKSPRAIQYRSPEFNL -AFMQYIKPIEDWVYNNVTYAVVSDTPTIAKAMNPYQRAEIFRYKVSWFKNPKFYLIDHSAFDSCISKYHL -KTTHKKYFKFFDNFEFRKLCQAQIHNSGKTRSGIKYKVEGTRMSGDADTALGNCIVNLDCITAVLCLSGI -SKYDIMVDGDDSIVIVEQEAVIEESWFKKLGFITKISSTTNINEVEFCQSRLCFDGSRYAFVRNPMRMLA -HYSICNKKYSLKQINHWLKGISMCENSLAGQYPIYNAIVKAFDVSDRFIIDEELEQRMKGLSFSPLCRSI -TTAARKSFEEVWGIDHITQELIEKDILGFKGFSKVNGKYDEPIQRAWQRYQLCHESSSSCWRDCSEGRYG -SVGTVVDPTDDPPDTTTKSLPNGTVGGCPARKGAA - ->YP_009336653.1 hypothetical protein 2 [Hubei unio douglasiae virus 2] -MFLKDDKYHEWKPVAPRCIQYRGKRYALSLACYLHPIEQMVYGWTDLSGTPIFAKSRNLTQRGHDIADKM -SYFQNPVAISLDHSKFDAHVNMSLLDLEHWFYKQCNRSPKLKMLLHWQRVNHGQTKNGTKYVTRATRMSG -DQNTGIGNSIINYAMTKALLEKLKIRHCLYIDGDDFLVICERIDAGKFDPSLYKQFGMATKLDSITGVIE -HIDFCQCRPVFNGSGYTMVRDPYRMLQRIQWAVGKKHPRHVVNYLTSIGKCMIALGMGLPVEQYIGSTLS -NLGGKYITTEQTMMANKMFMRPSNARVVECCEASRASYEQAWGFTVGQQLILERLSIGPPVLEDLVPFLQ -YGSEETVTEGIRPFSSSPIGSVY - ->YP_009336606.1 hypothetical protein 2 [Beihai tombus-like virus 16] -MLALQNALKNHPNFVCGKTIEETAQAIYEDSQTSQTGQVYECDFTAFDSSQSTEILQILYDKCYRKLFGH -APELYKALNAQLQSKCTITDNFGRRITSFTKRGGRCSGDTDTYIGNTILNYILHRCFAKTKSLVGRFSGD -DSVVFDYNADVADFASLGFAAKIRRSSSVWDATFCSSLVYPTARGFTLLRNPNKSIVRHCYSPYYLSPKV -ARIRYKEKLTAEAYANNGIPVLGCLFYTLATKHGFRLPQPTNRESRDLLIRCNGKFTYLQPTQDARELFE -KHFGIPLDLQQEIENKIRRSPRTAFDMSPIWEHIISMSALYF - ->YP_009336526.1 hypothetical protein 3 [Beihai tombus-like virus 12] -MFIATRAAGCCRHSRCLLALRQRAKASSIHDNRFHSPQYAFHCCVANEYLSLRDRHLVPMLPMHADAYMF -MCLMAKRWAHKLRFAQRAHPLEWISLRCSRVKRKYRDIWPSARLDRTPIKMFVKFEKTGAAKIAKPPRAI -QAYTPTYNCLLSDYLLPIEALVMMRHSAVNGGLRLFAKGRNAKQRAADILAMAVWPDTVYVEADHSRFDS -RISFEHLLVEFGFYLELNGDPEFASLLSRQLAGSGFSAGGLKYYCRGRRKSGVVNTGLGNSVVNYLILSY -VLAGVPHRLYIDGDDSVITVPRGYYEEINFQRFAEAGMDTEYKPVTLQQLRFCQAAVLHLPDGPCMVREP -ERALSRGAYSAAANVPSDYYRMMATCEARVNNGVPMLAPYFRANMGPYKCMRFDLVGYNAARDYYCRPEE -TLAITEAVRFEFAEVFGVSPEEQRAFEAKCGQWLPPCECQRRVATSALTVEDALQHSRSLE - ->YP_009337267.1 hypothetical protein 3 [Sanxia water strider virus 14] -MPKSKGHSLLPNCSHRAKTSDCRCSRATYKLFDYSLEHLNESVVWTHRGCVCNELVALRERHQLDTGARY -SAPINAVSVNVDELVMANAGRFPELKRNAFSVVISHYSGGKRAEYERARESLLIDPLVERDSRLRMFLKD -DKYHTWDFKAPRCIQFRSKRYGLTLSSYLQPIEEFTYELRDTTDSHVFAKSRNLDDRAADLRLKWDSFID -PIAYCLDHSKFDCHVNVDLLKQEHRYYRQFYPRDAGLRKLLSQQINNRGSTKNGTTYKTCGTRMSGDPNT -GLGNSILNYGMLREAFKKVRAAYYIDGDDSVVIIESADQVNVNVGCFERFGMKTKVEVATIFEHTEFCQC -RPVYDGLKWHLVRNPYRTLARLPWIVKKNHLSCKARYIKSVGLCELALNMGIPVLQQIASLMVERGEGKY -IKTDRHFMAKRATIKPWHARKVPIREVTRESFEIAWGISREEQLELENVTLSLPHGDAESLFYTHLAPGV -THCEC - ->YP_009337225.1 hypothetical protein 3 [Wenling tombus-like virus 3] -MDVHHNCVGNAYIALRDRHMVPFIENMYLDDGSISPDRSSPPYVALLNESRRLARRWKRECVPERLTLKQ -ALDHFLPKKGAVYRTAYKSLINKPLEYSDSFVSMFVKDEKVPNIAKPPRAIQYRSARYNLELGRFLIPVE -DCYGSGCFSPVGERVFSKGLNRASLSILFSKVLSKFKDPVVIENDYSRYDSRINRALLMTEHAFYLELFG -LHRKLAKLLNWQLNNRGRHRDGVKYRTKGRRMSGDYNTGLGNSILNLLILRMWMFESGIEKYHLIVDGDD -SLLVIERGDVSRVFPDYSYVMFGIPAETKIVEPYGCSFCQMFWDGHWFVSDFRRRLHRLSYVIRPSPPVP -YLNTIMLGTLYSEFAHEFLVLFAKDWLSRYASHGFDIEAVDYRFSDSVALSDIPLATVWDAARQHGFNPI -WDAYFMRASLRKFVYKFAHGNRTFVELGRTNRISDFCKLAQDLYNHAA - ->YP_009336978.1 hypothetical protein 2 [Hubei tombus-like virus 21] -MNTVKGSTFQIMTTGAKPCRHTRMLTRHYHGHPLMEQQHIFQSCSSNEYRGLKERHVIEQLGCSKDFVKW -AHQFIAKLDLPSIEPMSDEELIASRPPRMKKRYASGLKVPFEGKIHARVSCFIKNEKMAIEKPKPRCINY -RNSIFTAQLAKWTVPIEKLLAHWPLPDNGGVPFMSKGRNAMDLGQMLNQAYTLAGKKYIHLVDHSAYDGS -INVEHIKLERKWYQKMSQNDSSLYDLITLQLENKIVSRNGVKAKCKGVRMSGDANTSLGNSVINYIMLRY -QYPDSIIIVNGDDSVIFSDLVEPAHSWEEVGVNSKVSVVQEFTDLEYCQSRPVHTEKGWVMMRDPIRSLS -RMAYRLTHGKDSDWFYTLGVGELHSNPFDPFMQAMAEGFIKRGKGGKFRSHLREYRHAVGWTKDVERATH -YSTINWQTTFNIDSAMMKSMVDMVLRQCCYASTTSNYCA - ->YP_009333221.1 hypothetical protein 2 [Shahe isopoda virus 5] -MAMNRVNTTSGSGRKSTVSRSTSRPRWSVMLSKGPALKERRTRRVQHCSGCNRSHPFLPFEPDCLRRSGR -RQDLPPHATGTSLREVASRGSSRSLGQSRRDSVRSGGVAAARGPVLGQHSRSDGLNKLHNSSRSATSTQS -LPAFSGEGTGRRTTGRLSSSGPSPWETERVGAGFMWRETPPSSRVELGQALPQPAKQGGEEKRRARATMP -SGSRKSSFCSSREESLAVGQTDGSSTSPIQSVTEQGSISPPISSSRKGGAYAGPSRGEGPAPEHEKPVKA -KCDETFVPDRRVRILGNKNLVGRGARKKIRREVPCVDDELLGHLLRKFAFVPRTAELMVVMSRTAQAFYK -GFDLSEWTELELYKTTVMTITAAMDIPDEEEACRQHLKSKSLGEAREAHAKMMKGHLGNVRNIFGVGRTA -VLPGKGSGXDRLRITAHCQREVDLTNNRLPGCVSRAGPQPCATKRSTSRLVSPVEGWEGVIVTHSDCVCN -EMRALHHRHQKTAPAPTREGLAMLKKKVHELFVVEGVPGVIPKPREAVLEHYSGRQLAEFTRALRSLGER -PVCARDADVKMFLKDDKYVPEYAQIKAPRCIQYRDKRYCLELARYLQIIEGRVYGAEDAFGHRLIAKGRN -LAQRGADLWAKANEFADPLFLLLDASNFDAHVATGLLKIEHSTYIKNTKKSARHMLRWLLKQQLINRGRT -KNGTTYLTPGTRMSGDMNTGLGNSILMAGMLECYLEKCGIKGAVYVDGDDSVVVVERQQQHKLLPVAPFF -LQFGMEMKYEATNEFSQVDFCQCRPVQVDGKWVLSRDPKRVLTRPLWTTREMGDKLAGRYLKGLGLGEIA -VNWGLPLGSVLGARLYEIGEGKPWSYEFHPGMKAREYGRIDTPQPSWATRMSFFEAWGISPEEQEAIERS -IRSIHRTPTLPQDLLEGDTLGPGFDRR - ->YP_009333172.1 hypothetical protein 2 [Hubei tombus-like virus 34] -MPTEKLYDERDGTNWDSTMQEPHMRFEASVYSLFDAVIGQRHLQRSTHCKGTIATRDYIIKYVTRWKRLS -GDWNTSVGNSIISMAICITAILSLPSHLRPHRVAAFFHGDDYLAIYHYHRSPPPQVLNTALADLEKSLGI -TPVRGVFRDPLLVEYISMSVWPCYDGTYFFAPKLSNLFVRLFFSTRPLSQHTADDVCATIAALAPHFVGC -EPAERFFAAHRRAWIARRRGIKHAGNQFRDCHSLEKLEIDHTPKVHWAYGFAHKYHMPITALHVEVECGS -AALLRHPAYDHLFECEHLDPDVRMGASATRDI - ->YP_009333374.1 hypothetical protein [Beihai horseshoe crab virus 1] -MRPSQTNTAGPEWDRYLTELGRLATAIGRVPKASTKAILAGLKGRRKRRYHQGFSTLIAKGIGRRDAYIK -SMQKLELYEVEKLDSKENRAIQYRSVIYNAAMARELHCFSKRLAVVHSLNDTNTPITTKGMTPRQMAEKI -VFEWSTYEDPVALLLDFSRMDGSYTIDTIRAEHAVYKYCRPSKRLSWLLKQQERSYGVTEGGVKYVTYGK -RSSGDYNTSDGNTITNYAATSSWCGSVRRSFTGIGDDNVVIMERNSYYSLPPVEGFFLELGFVVEPVIAE -RVCDIEYCQSKIMFDDQGPIFVRDWKKVVETVKLSPVHYDARLCKQVALANLLCQLAIYNDIPIIGPYCY -RNLKHYDGVTPRLPEYLDFKMNYIKRVDISKLEVHEPKIRDTVRMSFHRTFGVDPTMQMWLEESLDYCPV -ENNAKQPKIKVTKSLEEIVDFEENFTVEPCDCGECPDYSWNEELLGHLREC - ->YP_009333284.1 hypothetical protein 1 [Sanxia water strider virus 15] -MSNYAINILECNSLPSSPVGHMETAKWYDCMRMFRAPRRWTRSLKAPAAPKWVKPKWSSLAKPFKRVKTP -KREPGKVTEESPYPANLSPESRTWLTANPTGVTAAIRVLNAPSKDAQPKYLKSALMYDRLCIGHDRLIPN -TTEFRWPNERVRLCGLIPVHRIAKLHNHKHEDLYQYLRIKSMYAMRSPLLLKQLKQHALQWLEGFDTSEY -TPAGLADLISRTCAQAYAGDAFDITLETYMAERQGLHPSPSSFHHRPYSWRRVYAWLRIMKLELSSAVFK -RIPPLVCNNRTMYLDLHSHSFGYRVGLHSSCICNEIIAISNRHCIENPLRTSRQFDVYAEESVKFFNFTI -QKISKEEVISRYTGLKKSRYIAACTTLREQGWHKFNTHISSFVKVDKAPYGKLFTKAPRLIQGRTPEYNL -CYLQYVVPMEHTLYENLTYGSHSNLRCVAKGLNPQERAELYLGKVASYIDPVVYSGDHKTFDAFITVHHL -KAVHRKYRKMCGRGIKRYCHAQLHNKCKTVNGIRYNIQGTRMSGDADTGLGNTIISLDLAYATLRSNNIE -KYDLLCDGDDFMIIVERGTILKGDMFLPFGFRTELTQTEVDMVEFCQCRIVDTGTRQVFVRNPERMLSNS -RICRKAYTVQLYKEWLNSVGLCEATLYGDIPIYSTYSWSQITDRTACIKDPDMLRRMEGMPIKPIDTPVT -DEARVSFYKVWGVPPEIQIELESQLTCTNIFSKRTIKSRYGPCSGQKEQPLAELSCSSWWSRCECGH - ->YP_009333253.1 hypothetical protein 2 [Hubei tombus-like virus 16] -MFVKPDKIEAGEIQDKAPRAIQYRGPAYNLEMLRYIKPFEHKIYDELHLGNYSRTRAIVKGLNNYQRAEL -FFEKLQNFQQPAFLLIDHSKFDSTVRVEHLRSTHRKYMKAFNSKHLWQLCKVQISNKCYSKNGIHYTSRG -TRMSGDPDTGCGNSVINGDCLHEVLRVSGIAKYEIMLDGDDSIVIIEKGALSAFKYDHFERLGFETKMSV -VYDYHEVEFCRSKPMMHPRPCFIRDPKRTISNTMMCLKHYAERDYKSWLAAVGLCELACNDGVPVISVLG -RTLSGFSKRKLFDEDTLWKMGNLAGGKYDTPITTESRLEYAMTWGVDCEVQLLLEEEFKTSIDDSFHYCK -RIPRVKQRTNIKSTRENFNGRTTVSVWTAASAYQSLDQFSSSSWWCSG - ->AOC55063.1 RNA-dependent RNA polymerase [Pinela virus] -MPVRGCLMPNVELEHGCKILEVPADLEREVTCREGTVMVMGEHPEKEFGLHKHRVVDELQTICNRHLKAT -PPVELDSLAWKLFHRRMCTTSRLIGRVPTATIACVLEGKSGRRRKRFFQGIKELGESPVCKKDAAITEMQ -KLEMYESCKLEVKEDRGIQFRSVKYNVALARHLHNIEKRVIGMHPDGYHPVMKGATPQQRAERLAIGASS -FRRPVYLLLDHSRFDAHVNVALLREEHKFYLRCRGKNAELARLLKMQIVNRGRSKGGVKYRTLGKRMSGD -INTGLGNTIINYCIIMSWLDASSVKGHVYLDGDDSVVIIEKEDECKLVPLENHMGALGMVTIMDRTEDFW -RAEFCQSRPVLVDGTVRFIRNPQKVLATVGRSAERLDPSTAAEVLRASAMCELAMNGNAPVISKFCRRIL -EHLGDGRVVFSNTQLWKAEQYGIRFKIPQGGEVDPESRYTFWRAWDIDPGMQEAYEQQDLIWSAFMSEAK -RRRTRVKVDLADDPDEFWLGRVIPSCDCGSCPEYDSQVAYDHARLWQ ->AOC55070.1 RNA-dependent RNA polymerase [Renton virus] -MASGEFYPTFAICLKESSDVQPLKFAGTIKSVAPYACQKRNISFNLLTHGLGYSVNLHNNCQCNEAISLC -NRHGVVPDVKFDNHLWRNITRETEQFYTEHLEPSSYSELVSRYSGNKRRRYEKAQINLLCNAYENRYNYV -EAFIKLDKMPTNKIKTKPPRMIQCRAAEYNLSFSRFIHPFEKTYYPKLIYGDVSGTRIVAKGLNPQERAE -LLLHKSKHFKRPRFFSGDHKTFDAYVNKYHLKSTHRKYKKHFNSKALHKCCKTQLLNKGLTRNGIRYNID -GTRMSGDPDTGLGNTLVNSDAIYGVLRQRGITKYSILLDGDDFVVILEDGEEITGSDFIPFGFKTEIEDS -LLLSAVEFCQSRIVMTPERLTFVRNPERMLSNSRVCRKVYRPDEYVHWLASVGMCENSLYGDMPIYSAYA -QSLLKFGYPSLIDRDMQRRMEGTMMDDRNKIVHPSTRVSFYEAWGVPPSVQIEVEQYLTSACMLDLDCFG -HNDKPLQAAETTRRLLAKCSSSRWWYGG ->APG76308.1 hypothetical protein 1 [Hubei mosquito virus 4] -MSQHGARGQPRGAGRPTPTSGHRGKDGSKEPKGDDRQRNPRSAKGKPSGNARGPQWQTVGRKPRAANPEA -LLRRIHALEQANRNLLLQLGRVNAGASGSGSTSRGSTSGRTNPASPARPKPAPRTKAPAPAPRTKALAPP -QRPKGPRKPPVRGPGNRTVSSSHLPAVGTKNSFQLLGEEFPHLSRGTLALAVSAGLSGVCTPTATIANGV -QAEASTSGRSPRSTRRANSSPELNVRRPAPRAEGQRRSPASRTNSTPTQGSSSQHRAATAEPKVAPNPAG -SDRVPQPRLRMKPGDHGWKGAGPPGVFHSPTVVSPRSAGVCLEVSAKKGELVENTNPVFVHKATVRKDVE -CGVGTTRANLAKLLTTKEVSMAELYRDATVPMSSWKDTFGITIDAAKALVVDEFLYYELVSRFPFAVRTA -DLARKMFHHLNTVLAQYDCRLYTAKELYKLKQATVRAALLPPAEELLTRKLIQQEASQMEKYNKFADKGD -AGSVCRPGSATASAFSSLLQSRVGLRPTPKXGSHILQGICMKGRAISSSQLPGSSLRTGECGHSCKRYTR -KMFDYDTDFGGSGSVVKTHLSCVCNEVLALMNRHQLDDGARYTFTGDLTKWVRRRVRPMYPWSESMVIEH -AIPSKKKLLISAKESLSKYALTASDGAIKMFLKDDKYHGELKDPRCIQYRNKRYGLRLATYLHPLEKYVM -SWTRNGTHIFAKGRNMRQRGRDIAHKFNRYEECAVVSLDHSKFDCHVNESLLRAEHWFYNQCYGSEELEF -LLELQVRNKGMTKNGTKYTTRATRMSGDQNTGLGNCLINYMMTKQLLSKLGIGYDLYIDGDDFLIFMEKR -HVPLIDPSAYSVFGMSTKLESVAYTIEHVDFCQCRPVFDGVGYTMVRNPERMLARLPWIVGPVEDSRAND -IVFSTGQCEIAQGLGLPIGQYIGQRMCERGGKMVRIRHRAWLEKMKPGKLQPIEPMGGVRESYERAWGLS -IADQLLIEQTSLVEPEEHFAPGILQW ->AMO03229.1 putative polymerase [Corseley virus] -MELDPVYTHCGCVCNEVIALQSRHQLDDGYRFDQELLPLLEKVWMKDSGNVAPQLEPISKAEVVNKYSGR -KRIIAQRALESLKVTALTNEDAKLKMFLKDDKYSSYDVKAPRCIQYRDKRYALTLAQFTYPIEDYLYETV -DKYGVKPYSKKLDVNSTAVNLRQAWDSFESPVALLLDHSAFDAHWNSCLQQLATKYNSRFFRKCGKEMLV -RTLMQWQENNLGITKNGTRYRTKFTRMSGDQNTGLDNSKGNLSMIQLALQLHKIDASIIVNGDDSVVVME -SGDIWKTKGLVDTFARMGQKTKLEWAHIFEQVEFCQMRPVAINGSWRMCRNPIRVLTRMRFTVKKLTHNV -IKPYIKAVCQAESALNEGLPVMGPLASKWSRIRARVYRGDLDQDIHLKLMKKNRLTTYESKVTDDTRESY -YLAWDISPKEQEQLETLTMLLEFTGPTLEQATALGMCPQ diff --git a/seq/clusters_seq/cluster_1290 b/seq/clusters_seq/cluster_1290 deleted file mode 100644 index 834d984..0000000 --- a/seq/clusters_seq/cluster_1290 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_009329857.1 replicase [Beihai hepe-like virus 4] -MLILYLYLLLLLPYGSSNYEKPILTDTPDCIIHYPTTKGRKCITSSRLYLLGFFPLLFLTTATFMAHPTA -LFLLIPHFALFSFDVNLRHLLLLAGDIESNPGPGVGPLHVPKYSSEIIQKKYAESFIDSIADNRINDAVP -IDFNLHDDQVGTLLNDYGLLVKPNTRAPYENHGMCKTLTAYAAEVVNRKTDNLDFIEVAPDFANLKSNRH -YCTLLSSDASDRARANRVLSLRPNSNRAEELRNVLNGTPSDLMCTHGFQNCRHQSNIGVAKMVHDIDPEM -LPGIMSRHGMNFLFVTMFLPPELYAKTPIDEGDLGYRLAYDSDKAIMTFYDGEIGYTHNYTNWRKWFQPA -FTDGTTIVKIEVSKRLGPVVVLECSRTFGMLTAVRAVGGSEDNVPIPIWVNHFTDMLDKANHMFFSMLKG -SCYRRWVDKTLETLEKVTIPRKMLDRVFLFNLCREDTAIKRQSSHAYIRAINSKISIGATTIQRETNVPT -RHIQPLLTQLFVMGCVLRARDTKFSGVALNALKGSHDGGHWYEYISDAITVLTGQPPAKSRNPHRAGLVV -EYLCDVTVPTTLTTHVALEVPVKDNIMSVRREGEHVKFVDDDNKCLGHSMSVLIHGNSLHTDHYHDLVKG -DVAIAESIQPGISAFLHVRGQHVEPVVNLAEYCAHSVRYYITDLAIKDVLCIPAREYFANISVGEPIFSQ -GYHVPSCLTFVEKFRSYLRNYCNGEIAIYKQQSATTITSSKLDNYKATPEVVHSKYSFWKTSSASELLDS -VAANVNIYKARLHLIALQNALPTEDVEKKTKFSAVFNCSKRRFIMKQPSLAILCASPGNDLQYLFDISNV -NEVCVTPGIDSTRVLKLCESKGVKVLQNFNAACQNCVDKIESNYVYADLGGENDTQTCVSTVFACLNSLK -TKTFVLKWQKGMQAIAERTPGTAQVFDLCILNRFTIYNIPEVETTELWITNSKLAVSISGPSIDLGPVSN -FFRLHLDAAKYWTQHEWIRFEGGANMSAEEIELACFKNPDWRNWEPSAPPAHLMPPAPGPQAVYDDVWRP -GLPALWEDVVARGVSTTSVGVGNDDLTKYLEHFRSPTKVLKVDASTCTDNVKEMPEVGVQTELVVPKTTA -EFSTQCDLDVSVGTSIKPKPFVPYEMSIIIEDTDTEPESDYSDEYEQVRDFITKVTSVTTSASIDEALNE -ADDTCSLFDEEDDFDDRLNEIISKPPVRPPRRPKAPPSPPPTVTDIARQMAPKGVVPAVVPSVVETKPEP -VTKVQTVKPKKPNNAREWKQTHQAIIITESKLISNAHDVYQLDFNDAGLLDMLRPIAKKSSNDIVFVAPV -ITNEIKYMAAKASNELKRECFYLEQSKFVCIACQSDAGIRTKDPVHPKVGTTRFSVDSKQCRIINEAFQK -ELGVMTLPFTAALKQKYVAIQPFEGEVEYVHGVAGSGKTRQAVTQLELDEWTAVSPFKRNLDEFTKGGQY -PANSWTFHQALLKYDGMHNLLVDEAPCFNPYVLASLVNTAMSNGKRVMLLGDPKQIRGVDASNYYKGLDS -TDFYHGTLYGTHSFSVAPEAAKWLRRLKIPITTDGCARMIMHKPGDTSSITGEAFCVTKQMAAAKNRHGS -STWACLTSTQGTRPEVMHVYFEEQGLPLLKAQGWALLYVALTRATKEVHVYASPAFLSKLGFQQLKKHTH -NMPKQVGNIDHNTPAVADTNDDLKELEPTAEEAAEYAALTEKSRFIRRDATEARFQFTDVEIGKIEEDFR -LGHSQSGKIKRTLLSRGRKSCWPLPSLRKYPNGEKPSLPCMELTKSTWVLALNDRTKKPAKPTSTSQTIT -IHKEKPSRTFYRSSLKNTLSQLHQTSSQKLPTLNSMITCQEQGPSCRELTPTSLTKSYPSSTKQAPTLMT -YSPALRSEVLRRIERSCVSQTIKPRLGMQKFSTYRRFNAHLYGRKSTASSDNILDTLLKRFDNDGMSFTQ -SAREVGTLLLNSFMEIVEQVTPITLEQVMLKLAESFQRVKIKNDVIHAMEFDPDIYNSFIKISSFNKAQT -KVKMAEESYLQTEGGVLKAGQPISAQPKFMTHLASAFNSAIEENIRNDLKEGFYLGYGMNERELDLLVRS -RVPTEYSFMSVESDITQMDSVRGQATNQNFMAKVYDLYGMPAICHKVADALNMKWVADANIVSLLVKGRF -HSGRFDTLSSNTIVNLALSNYAFKIERPHLVLAMGDDFAAIAERITLVNDFEFLKVNFTDVPEFTNNLIG -DRLYPSIVKKAGKLLNREFRDAQDLEAYRFAVKDWIKNYRDTLSMHVICAVNSYKYGIDVEQVQALWSFL -RNFADAKIVSSFDDSSLIKYSEVPSLLIENQEFKTR - ->YP_009329826.1 unknown [Bivalve hepelivirus G] -MDVSHTKSMLELELELFGMLGVNQKIIDFYATMRTKWCNLYQCREGIAMLHAWYMQHSGQPLTLTGNTLL -NMAVIGFAYRIVGMLYGAFKGDDSHMRAKKISTVPGRTTQAYADYGYKLKISFEKVSEFIANFVTPYGFF -PDVVRRSVKAVSKIYEDEESWEESRVNLKEVLSVVNSADKYKIGIDCAYLHYRDKGVAITREQVSVLYQY -LIQLSNTKYADAEFIQSEDRLTYSDNYQSR - ->APG77858.1 hypothetical protein [Beihai hepe-like virus 4] -MPGVDAYVADEILSQQYQTSSDPYDLFSGFTFRSFKENREELRIKDDDIPLGMQRFSTYRRFNAHLFGRK -STASNDNILDTLLKRFDNEGMGFAQSPKEVGTLLVNSFMEIVDQITPITLEQVMLKLAESFQRVKNKNDV -IHAMEFDPDIYNSFIKITSFNKAQTKVKMAEESYLQTEGGLLKAGQPISAQPKFMTHLSSAFNAAIEENI -RNDLKRGFYLGYGMNEKELDLLVRESVPVDVPVICAESDITQMDSVRGKATNENFMAKIYDLYGMPHICH -KVADTLNAKWVADANTVSLLVRGRFHSGRFDTLSSNTIVNLALSNYAFKIEKPYLVLAMGDDFAAIADNI -TLVNNFDFLKINFTDIPEFTNNLIGDRLYPSIVKKAGKLLNREFKDAQDLEAYRYAVKDWIKNYRDTLSM -HVICAVNAHKYGIAVEQVQALWSFLRNFADAKIVNTFEDSSLIKYTEVPSLIVDDQPLK diff --git a/seq/clusters_seq/cluster_1291 b/seq/clusters_seq/cluster_1291 deleted file mode 100644 index 1bf2df6..0000000 --- a/seq/clusters_seq/cluster_1291 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009175042.2 alpha-delta fusion protein [Le Blanc nodavirus] -NKHRTHKSNRRGKPVKQPHSRRSNRNGNTAPVARANPLRMTTSNSIILKGCDRIVTVVDASTLSAGDPLV -KLMITPDIAYRLGATAKTFQRIKYKTMRFRVNAQCATTTAGGYVAGFVKDAGDELPTGDRAVPYLMSNTG -SFTQPWWKSTVHNVKLPQKMFYTEKPAVGSDAVREYSPGTFYVVVDSKPSQVCPVTVDLEWAVELHDATY -REVEAVTTISGISADYSLNVFGIPATSVLAGRILITPKGSNPRPLTIERFRTFFGFAPDLMFCVRLPRPE -DVVLTGDNVYQGVKATHFMAYTADGLLDFHLAAFNDVTQSIIPVRPSDWRVYSVDGSSSTPFTSAIYENT -TWSSDDKFVPVTISDVPIPGAVFRFLYPSVGYSLPSSNLGWGNVVQLTEPNLLFRTWFENQQLVLGLPSE -DYATWFARAMIASLQAAEIHLGRPTASYEQWFNETIGTTLTKLADNSDRMIELVEKLSSCVVDEQLAITT -RETLKVEVENKVPVTVENTPRVHVDNEVDVTVKNEALRVRNYMLKLEEIEFPEPLFVLGAEKDIEGHPKK -FECEVINEPTVKLAGGTSIDTNVVNTVDCNIVNEPIVRLADDSHVDAVVTGTVNCNVLNEPTVHLAANSR -VDAFVGNTVFTSVVALPEVHVGSLPGVDVIALPPVSLSANPLPVTVTGGTLTIDQPVSVIAEVPLEVYMS -NEVAVKVIEVEGTVDVAVKNRFSDPIPVCQLTRTLVPAPPATNNYSGQGVFRELSQSHPLPMYQVGPDNG -ISHTMGPQNTTFVRDVSEDGYVWSDCQNSRLPDVYKHEFEGEDRRRTVLAVVAEAHS - ->YP_009173860.2 alpha-delta fusion protein [Orsay virus] -NKNNTHKSNRKGKPVKQPSSHKRNNNPSRSVAPVAKANALRTTSSNSILLKGCDRIVTVVDASTYDAGSA -IVSIPITPDIAYRLGSTARTFQRIKYRSLKFRVNAQCATTTAGGYVAGFVKDAADVLPTGTASIPYLMSN -TGSFTQPWWKSTVHNVKIPQKLFYTEAPTRGADAVREYCPGQFHVLVDSKPSQICPVTVDLEWVVELHDA -TFRKESDQTAISAIVADHTLNVYGLPATSNRVGHILISPIGQTPKDLTPTRFATFFGFLPDDKFCVRIPT -PVDVVLTGDNVYQSVEATHIRAYLVNGGLGIDFHLAAYNDTTHTIQPIIPTLWNVYDVTGAVTAPFTSAI -YDNHVWTHKDKFVPVSFQDEPIPGTVFDYLYPRSYSLPSSNLGWGNVVRQTEPDDLYTTWLSQQAFQLGM -PSEDYAIWYARATIAALQAAEYRLAMPSASYTAWFTDAVSDKLDKISESLNTLVECVIDKRLAVSVPEPL -PVRVENKVQVEVEDEVRVRVENKVDVEVKNEAVAVKNHMLHLEEVEFPEPLFVLAAEKDVLGHKKKFECD -VVNEPTVKIKEQVKVEIAESTPLDIRSLPMVHLSPDTKVEATITSPVDVEVKGTTHVVVDGVPTVQVNGI -VYTVLATPDPVNVVVRGPVTLAEPVEINVSPSKPLPVVQMLRNLSPSQSDVQHQIGGQGTFRELSIANPV -PHTIVGPDNGARFSFNPQLGAFPREVSEDGYVWADVQNARMVDVHVHNYGIVDELYRTVLATRND - ->YP_004221744.2 protein delta [Santeuil nodavirus] -NKNNKKNISRKLKPAKQQPPRKRNTQRRSALAPIARSNQLRQTNGNSIMLKGVDRIVTVKDATTLTPGKS -VVSLLITPLIADRLRAASQMFQKIKYHKLVFRVNSQCSTMTAGGYVAGFVKDPADEIPSESVAVQYLMSN -AGSYTQPWWRSTVHNIRASQRMFFTDKPALGADAIREYSPGRFYVIVDSTPSQTCPVTVDLEWTVELREA -TFKLPDTTTVISSIRPELSLSTYGMPNTSPMAGHVVVKPSGETPRWLTPARFQTMFGFAPDEDMCATIPT -PVQCVLTGENAYQTVTATHFSAVLTDSAVQSFMLSAFNDVTQDIQPINHTWQIMDALGQIVTPFIAVIYD -SAIWSATDSYTITTRTGKPVPLDVFRFLHPNATVLPPENLAWGNVVQQTGPNELYIQWISHQVFQSQLTR -PSNDYDAWYIRATMAALQASVLTQGRPSDDYTAWFNETVGPKFDELITQIKIIADCVSDNQIRAKLDQVV -KVEVENEVPVRVEHEVKVHVENEYLPVRNRYIHLEEVEFPEPLFVLAAEKDVSGHSKKFECEITNEPKVH -IEGQPLQVDIANQPIRVTGDEPGSNHVIIDNFPEVQHVILDNHVVIGNPDPITVTIDPESTVNVVGYVDA -NIINSVVLPVDVVKPISVDNFPTTVTVDNGFSDPIPICQLIRTLEATDMPANNVGGTGVFRELSTQHPLP -SYQVGPDNGEHHLMAPQGAVFPRAVTTDGYVWADSQYARLPDVYLHEVIGGGHRTVISVKDQPHE - diff --git a/seq/clusters_seq/cluster_1292 b/seq/clusters_seq/cluster_1292 deleted file mode 100644 index bfa875b..0000000 --- a/seq/clusters_seq/cluster_1292 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009337851.1 hypothetical protein 1 [Sanxia water strider virus 10] -MPPKSVNPIKERICPYCGSKFMGKRAMMTHLLSAHPGSSQTKNRAQAAPKPQRVKAKGPSGSNVARVASG -RDIIATVSVASTKSVGYNVVELFVNPRLLPSTRLCQESKMWSRWLPVTLMVEIRTSSPKIIGGMYIAAWT -PDSKENLPSGADAVRRLATWPRSLERHVSTDSQFQIPVDASRKWYTFDSGDQTDTTHGIFVLLLSAPISG -LTGDIQFSVHLHWTIKFDGPELEMSGPQPGDFIYADSGYTPYFSDSSNDWWGGKYLSLKHTNGGSMVPFP -TAKHDVVYKCTEPIGYYKSNGTLHNASYGVLAKNYTSYNIMALFVSKEDAFKYVKDGGETGLLQFYSDGP -WVSPPNPAWETVPVPPTTIEVPGFTNRRIALDRVDRDILLETLFQRISSLEERIRSLQVTPASSTSSSMI -VLHEPPVEEESLNRPPGPDS - ->YP_009337765.1 putative capsid protein [Hubei permutotetra-like virus 10] -MATEAQKAKRRLKRKRQRERKRMEKNLSKMSVKSEKAQAAGTAGYIFKGTDLVASVTVPQTAATGTIIAR -LDINPAKFAGTTLAKQASLWSNWIPRKLQVEVQPSAGTTTSGTYLVGWTLDSALDIPSGVNAIRAVSAFE -KPEKPRIFDKVKYNISCKMVQKMLFCDGSREDSDQGTLYIVLSSGIGNLVAGSVLTFNVYLNYDIEFYNR -LATPASEEVLIYPQAGYENYFTDSSSDWAGGTKLSMKQKEGGALVPWDGAVPEAIYQFKGTSLQYYDAES -ALKPITHAVRIRDRGDGALAVFESLAKAQAYATTGDEVNCLTYKQAGPVVTPAGAPFMLVNPPPIFSNSL - ->YP_009329863.1 putative capsid protein [Beihai permutotetra-like virus 2] -MVKTEQQRAKQRERRRRQRQNARLRKEMNQEAPRPLPQRQRGRMGGIKRNCFDGNDLISSVDVPATAKSG -TVIARVDICPSKFPGTSLWRQAQLYANWKPARKLKIVVQPSAGTTTSGSYIMGWTMDSKLDIPSGDNAVR -TVATFERRQTAKIYEKKELNIPLKTVQALLFTDSSKEDSDQGSFYLVLSSGIGNLTTGSVVSFNIYIDYD -IDFENRLATPDTSSSFIYPDPGYESYFTDNGDGITKLSLKHAEGGALVPFHQSIPEAVYEFVGESLKYCK -TGETSATATGIVTHAVRIRGRPDGALYVFENNTKASAYASTGDEDNCITYVAAGPWVSPTGAGFKQISSP -SALYSNRLN - diff --git a/seq/clusters_seq/cluster_1293 b/seq/clusters_seq/cluster_1293 deleted file mode 100644 index 1565b2f..0000000 --- a/seq/clusters_seq/cluster_1293 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009337781.1 hypothetical protein 1 [Beihai sobemo-like virus 13] -MVVIMTHFYQNLTYFLNTDLAVGYIIGLIVFLLLEYRPKIFGPHRMPSLFYNLKLLFWPSSVALLRLAAI -ALLWILQPYALTNVYFEEALLYLPQGTEGAFFGFRFFFLMAGIVWTVKTAYVSLRILVGGLEVAAYYSSS -VDLSVPAQITVSSDKSRSSVAVGVYVDGDFESNGQATCVAVESRREDGIADEFITATHVVTDLDLSNLVI -WRAGVALRVQSVLQPDPNGLHDIAILRVRHGASRLGVRPADLGLFPQTTGTASLHCVQREVIAKTVGSVT -PGSTRYSNYAFTGNTKAGWSGAGLYDVTGRFIGMHTCFLGDTSKMVNGGYNLVPIMDHVLRYKPLNKNCA -SCDSKLTMLRIREGLMEDFVTVNPKSKDYGVCNECSGLEKKKGKNKKKRRTGGTWGWLDEKILSGKAKIR -KVPWGLGEWLYEVETEDGTVETLTEDEAVNRLSKAACRKLGIFKSDRPTDEDEYQEERNDFHDYMDRERF -DPWAHLERQKNQKNLSDSKKSSQSQQEAGKGSPTIKPLPRPSAPVALPSLDEARRAVEENEEVVRQVSVV -IRALAEAQLRARRAWEADESEDHWKAILQLSVDTHALQNFLADVKDSNQDITKLWNQISQGSEIDVSLHK -RVERRRTKTKEKPPPSPEILQMLGRADTVHASALTTLVNIPKRLRPTAGQENLSGDMIQYLGKYGVKIAD -DPFNTPEDSLNSVRAATGGPQQPEVSKPSTSTSQSESTRTTRSNSSQGKKKKKTSQDTSLKTSKTVEDSS -NPQKLEIPKLLKPAFESILSINKEQQEQLNRQLQEIAPELLEEFSHFFGRFEDT - ->YP_009337144.1 hypothetical protein 1 [Beihai sobemo-like virus 12] -MAAQQVDTFFQQWMQTSWKVLEQDVWSSYIIYLRVVYSSSSFLMDVIANFCHYLGDRPGIMNFLGFLLAV -LIYLVLNLPIRKNLSSLKNRYKDSFLGSWICFFLSGFIMITKYAVISLLVMILWLLLTRIILTTALLRTG -FPRAVVDFVVGAYAAGDVIVTYKFITLFVLGYKVARASFEVKIQADESDSIKIPGTTEIDMNSCKSAVAV -GTIKNGKFNIVGQATCINAHCLGIDGVADTFLTATHIVKAFPIDDLYIWRNGVAVKVGLGLQCDPDGLFD -IYLLRVRDGASKLGVSPAKVANFPLGKCAGTLFSISTEGLLQSVGMIKIATDQEDSNYTFIGNTIEGWSG -SGLYVSGALVGMHTVRLGTDKKLNAGYDISWVPHLVRSTLPLQHRCKQCNEEIHHFDISEGFDIPKKQGK -KGEEICLSCDNENEHSYPFEKKGKNKSSISDTWDYLDRQLKQDSTKVKKTKWGLENLYAITLEKGKVYRF -TDDELDTQLGAERAKVIRARAEGYSSNSRSDYFGESKFEGKGPAQIDSKRRPIAPVDLHHLQLARLWIQN -IENTQSQVSGLIRALSQLMITERRNWEASESEEDMGKIIQTQVDIRSLQLFLEQIAEYNFEATELYQLVE -IGSNVDITLKNRVERQKKSYLKEHPLPTTSPDIDLTIDNADRNLTEGLEILRNIPKRLTPEDTASVEAAF -GPVIAEILKRRGIVAQLPMDWENNDGMDPEFDDDDEEQDFQDPRSTEQPAPSKGKKAALGQTTKGKTTSA -KSPATSTKQSMSQERKPRSLSRGNSQRTQSMSNLRKLEKEKQLKAQYESILSYTQKQKKELESLQMEISD -GLLKESSAYFRKQQTK - ->YP_009330053.1 hypothetical protein 1 [Beihai sobemo-like virus 14] -MWWARSLYLTIFGLIVQVSRSGPSQSLVSFLETGSYKSFWKVPGYQEVEYSTDNNTKSVCSVGLMPEGPR -GSFYHLGCATCVLTQVKRDGVLADEFLTATHVTEDQKDLYVWRNGRVAKVKQFWQPAGQKCFYEIMILRV -VSGASLLGCKPAKTVSSFKDDNIVNLYAAVEGRLIKTTGTIASMGKSAMLGIAHTANTLPGWSGAGIFTP -SGLVAFHHHGIKKEAKDGKGCINTGYEIGSIINLLRRSAEARPKLNQLVTHDNQLSSECESVDTWNWFKD -MVEAGKMEMTVTPWGDEQLYTFTHSGKRWMYTSDELPDDFLDEIEEYADDSLIDEEDDYHQRSRGKRTSS -RYRDELGRCSDDDGYGEAPDDDYPEIYSDFECVSSKEKLDPLGMPMTPIDLEAVEALREAIDEVDIQKAK -VTAFLRALSEAQIKARRAWEADETDAKFDVILQASADIDCLQAFCERFAAERESFTAKYNEVMQKSDVDS -TLDRRVARKKKGKEKLPPLPYTSGLRDDAVRSIDVCRAVVDSIPMRNRASAAGVEVQVSQPEGLYTDEGR -IVLAEVYGVKVKSDIKRDIKGPLNYKGAPQRLEMSPDGDSQRDSSRASEKVQKSSLITSTIQSKENQENT -QMSRISSGNCSQQQTNLLPPRRRGTGERLKSHSESILSEHGKPVIAASHLIELYREPLSKEFQSYLREPR -TVSSEQERRKKKIKNVRDVANPRASSKNFLSSAAPSVSRAGFRGPVANKRC - diff --git a/seq/clusters_seq/cluster_1294 b/seq/clusters_seq/cluster_1294 deleted file mode 100644 index 6436e7e..0000000 --- a/seq/clusters_seq/cluster_1294 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009142786.1 coat protein [Rottboellia yellow mottle virus] -MTKRRTRARRKATKTSNRTPNERRTMESGTRSVSRVSAPATAGALISYRGGISWSPAAGTTIVTNSGSLA -VVQSSATAGAEVTGTVNFNVRNATELPWLSTFGPAFSKYRVKSASFTWEPVVGTSTNGEICMALLYDQAD -PAASSLSIRRLMQTSRSEWGPIWARSKQPVVVDISRMSLKWYLSGATAGVAAGNFQTPFALAYAAQSSTT -STNLGRIMVQYVVEFIEPVDPTVNS - ->YP_007438855.1 capsid protein [Ryegrass mottle virus] -MARKKGKSASQVIVLKEKSRKKRQKSRGQQPTRQVTPVSAPAAMGTQITYRGPQVVTQYGDITPAKNSGS -LVRVTSSATAGTEVSGTVLFNVRNATELPWLSGQGSRYSKYRVRYAHFTWEPIVGSNTNGEVAMAMLYDV -ADVTSITIERLMQTRGGTWGPIWSPTRKRLSYDPEHASLPWYLSGVSSGAAAGNIQTPFQIAWAAQSSLV -STTLGRIMAEYLVELTDPVDVTINQ - ->YP_006331063.1 capsid protein [Artemisia virus A] -MTKKKQTXSNNGNGQRRRRRTRPIPLPSGAELGSVVRAPSAMSHNVRKRNQIIRQSTLGEMCIGTESTPL -LATHATANTEVIGFVNIDVRNSTQLNRLSIIGSAFSKFRVLKMTAVYSPEIATNISGAIAMALIYDSADV -VAANWNIQRILATDKAVKSNLWTMSPPIRYDNRLAAKPWYISGTTAGVGAQNEQTPVTIVYGYYSNSTNI -PTGRIDVHYEVELVQPIAPLANT - diff --git a/seq/clusters_seq/cluster_1295 b/seq/clusters_seq/cluster_1295 deleted file mode 100644 index 36deb48..0000000 --- a/seq/clusters_seq/cluster_1295 +++ /dev/null @@ -1,126 +0,0 @@ ->YP_009336810.1 hypothetical protein [Shahe picorna-like virus 13] -MSNNENSSPAQNSTTSTPITAMVPETIVPVTSAGSSALGTSISSPAQGLTVNQGTSNLIDPLLGNQIHTE -TNNIKLMNESVGISNMNTQTTMDRRSLIASRDWLTNAPAGKQIFKDQFPYCLFSSPSFPGAFALGIHEYV -NASAVEFTLQVSASPFSVGILQMIWSPSHTPDLNKFGAATETFSDRDSTNPDNMIDTYSMLLNIGEGNTA -TLTVPLINALSILRTGTSRIPWSGTTAQTNFKASNFNFWGNIAVNVFNKLEGITADEDQNLSVKIWARLI -EPQTSLLRPYRNPIATNALSTQGAMMSVAAAVGSALASAAPGLAVGAATTVVGAAAQGIAHKVGKKCTYY -TEIGEDPMCINMALTNDHRSLLSIGYDIKDFADSTVDLSDSPAETDFRAICARRSRIFNTPWTASAALDA -PILKFPLHPMTGLSLRTGTVNNIISPSNLAFSALNFAYWRGSLTYTFEVVGNSYTRGALMVVYQPADDFN -TLTDTSLASSYPHAVINIAETRKFSLRVPYNSQTPWSRTPVVSSLNGGLSLIALDTLGTLSVMILNPIRT -NSASTLTSLDLNVYVHSTDIDFRTPYLNGGIAPTSFIYKNPIVEFDEADRLELQGNLEVDPLPGAEVFGP -GSDPSPTNLMPHSHTDIRQLLTRRVPIASGNLVSNYSLITVPLPNIGIRHSSDAAADVRQYPVSSFYNLL -SGCYAWQTGSQVVSMMFGNATNRSVNVVAQVVYNGDENWVPEFSRGFSVVPSTAQAFANVSENDASLLFN -VALNTRREIGIPWYNMVSQLLSGPAYIRSDDDSTAIPTYMPYAFLKIYVQQAGNLALPFNLTQNVGPNFR -FSEFLGAPRFILYDPNEISPPAASAAGRVRLPRGLTTFPSTEEDLVLEMDRLRVLTNVQKCSLCSSKPSS -PIAFIKHLQSSHPRDYADIPVPCPFCRKSGLLADWSEHNPECKMFDRLECPVCKIAVTDGLKLNHHLENA -HPSLPLSLSKPKKTVSVDFRNKISKIGPASCSQDLHLQMFSTPHSLVQLGAAAERFTSILPSENIQSSLD -ALTSAATNSSAGLINSLAGIDQATTKLKTTTEGLSEILPSVQEFFDSGTDSFKKMNDIFTSLQGAFSTVA -THTQTEPETLKNRTRIFASAARAVRDKDWEPIFTEIFLEMFDRFTLVDPMLMTVGLKAILALISPTSRMH -SILNATILAKSSLIVEKMIPDDWKNIFGSVFQSAEDDAITFGKAILLLFSFGGVVLSGLQSPISFFKDFA -KNFSFLNLGRSASSLSVLVDSINQLRKRIQEQFFGRSVWEGYDWLLKNRELIGGFQADYFEYLEYPINKI -LNSSLLRSRAIKIGETAKLIAANLAKIRVGSNEITSLQKQADYFIQLAKQSRSVPPGQIRVRPTVVTFQG -DSQCGKTFLASTAMPHYINELMKWPQEPVFMVSSATEDFMSGYSQQMITMIDDLLQMKEGKDLTGFVNMI -GNAPYRVNMAALEEKGTQFLSEVVIVTMNQPHPKVDKFVSHPPAIYNRLYDFYFHVVPKPAFNRGGRLDV -AKVRDLGLKCPDDYLDFYRQKYVDEERVPPIENALAGEKLSFFEIVSLIVQNIRDEELTVENHSEETVSS -VFPQFPQNFLPSDWETHFQAVSGTKTRAGRILKIVQELAKIDGVRLTQQPFERLKDIQYLINEDYSDNIL -MGLNWDVVFGETCYGRTLYLHESQDAAHLAAQTTPPTKFWHKIWTCQPHIQQYVKFSLGAAGSGNPVFDF -IWKSWRKMSQTYTSWYYAYPVLMNLLKWYAISIALNFAIMGVAGVATWWSTTSLSEDSSDEEPTMTRREE -EQDQMASTFFAQESQASWYNSGSPTSGARGKVKVARPSGVKRGVGKKATQSDIPPMFPLLKRNTVRLTAC -GFSIRALGWKKDILLINRHFMEAIPDGATITMERWTPNTATSRQESFAIKYQVSDTVDFNYEDDQQMDLV -MWRTGWKTGTFKDISNHFLREDDLDRVTGQDGYRISDVITNFSNFAYTESELPFNAATMTTTKIPFALIA -KGSGERGICGSPWIVTNPCYFGSLGKICGIHAFGGKGIVGAIPITIEALESVETGFSEPSFQPSLVTMQM -AVDDDADTSLVYHDFHGRLAPSDAFVQPRRTEIQPSPIFGEICDVTHQPAVLSNRDPRLTSPATFDQDLV -KKTDRLSSWFKKESEVDGAVSAIIDEINTLPIKLTPRLLSLDEAVNGVDGPPFMAETGLEMRNSPGYPWN -KKSQGKGKYPYFTERPQIEGERLKYDLSDPTLIARVNERMTLAMQGKIPDNSIWLDVMKDELRPEAKCAS -GATRIINAPPLDLMICMNVLFGAFRIFFMDPDLVGLPLESALGVDPRASWPAFGLLYKQAMSLFGVDFSK -FDSSQLAEFYRQIAKIINAWYREFQADQTHIDQECLARETVAFEIGHTLHLFGATLYTDDHGLPSGVPGG -FTTIFNIMVNMILARITFMRTGLHVSTYRIHTRNIFLGDDGLHAVLKSEDPKINEALLKYNRIELANVAR -EIGMTVTMPDKVSDLTPFDAFEDISFLKSSFVDQVIPGFYLPGMDKKTIGNLLNWYRPRKNPDQFRTNVQ -EALKFAAPHGREYYNELVNDLRQNGKMQVLYPGHELTQLLQPFEATFYSTYLPFGLDGVETTPLFGFPFE -I - ->YP_009333344.1 hypothetical protein [Beihai paphia shell virus 4] -MNSNTKNNLYTPTPTQTSQTDTGDVSSPADGLIQDSGTSHIVDPLLTTQEQVPDVEITKEFSSMGIANMD -SSNVMQRKSLISTFNWTSSQSTSGFIKEMTLPHDLVKSPGFPGRNALDFHEYFGARRLRFYIMVSCPPFA -RGAIQVIWIPTIGNNLANPSLGSSVNEALSSIDPDSMIDTTSVIINVGEGNAATFTVPITNAIETLRMPL -PDVPSRIDDPIPDYERFHQWGKLFVKVFNKLDGVADDDKFVNVNIYAELEDPQVSLLRNSIPLETQGGVL -GTMSAAAITGMTAGAAETIIEKVGTNCTEFAISHDEFTLNAISTGDARPVETLAFVPEDMCTLNNNFSEQ -PDEMNLINTINKRSRIDVISWADHATQNTVLSNQSLHPLMGITLHGQTQDDALLKMSNLAATASMFTYWR -GSISLTIEVVGNSYLRGSFLAVYVPQGKDLPTDYMELTALPYVSLNLEETRTVVLNIPYNQITDWSNVPL -IEIEKTQPIVWKTHVKQTLGSVGIIVQNPLRATNTETIGPVYVNVYVHSKDMQFRVPVSNPSLSPVLRTI -FPEERNLRTEGDSASAAISSEKGATEIPIFGMGSDPGPTNMMTNDHMDIRQLCTRREHVLTVKRDQVVDP -YAKVSLPIPLVARKEFKALFNYPVATPFNMLASRFAWMTGSHIISILQDGTSIGSVSAVFRATFNNEYDF -RSVYSRNIKVEQSSYDEYINALASDSALITQRSVAPRTEVQIPWYTIWKNLPSTMMYMHELFSPGASEPA -FQAYAVLEIYLHFSEYTENVSCAIFQNVGPGFTFSQFLGTPYYALNTALPSVRRILKQEPYTEELKEVLK -NQKKRQRPDNLFKTQGKNEPREYIKFYMAVGYQHLNTFAKEIKEVIADIGSGYALMVVSKKKPIGFEQLT -DKNKLPYLELKTKWNGFAFLSETMMNTRPWDFAKTCAVLQKILPEKQLIIRGETAWIVADHTPAPSNEVV -QALWWDKCGCIIEDPEVTCECVPAQYQSKIPSAGFKPKILNMVECPMTPCSIVCTSFEGMISHVNNSHQN -EYDMCCLMECPFCGHKGPIFNWPSHNEACPSLNFGPCKCGEKCKSYSKLIRHHKYCHSDFSHTTTRSSGS -SRIVTMPRNPRKRFLKTQGDEEDLHNMAAAIGNVPSLADFKIDDSPKEPTSLLGKTKATVDNFGKMAKEM -PTEEVRSAASSVKHAASKLKELPDEIRKIGKVVNKMSSKFEGTNEHLKETLDSFKQSSNKINEAVGDWQT -MIKGLIDSMKKTFRLEKKGNDKLTTGVLSRIIRACYRCNEMNSSIPLLEDLFFYLLDVIPNFLAQTALVT -VLKIVLTFFAKTSMIHQTINSAIVALGTEAALGVVHGIAKYFTQSESDSSAGGLIKGIIIIIGFIFSVGA -GLVSPLKFVQKFMSNFDLDKLGRTGAGFTTIVKSITDVFTWIQKKFMRTDLQEAHHFFIKEKKKVNDFIT -RVHELKALPHTKVMTDFKVRQDWIYLHDDAALIQRQIAYLEKRDAAMSELYKLTELVIANSLLAKKAPAK -AIRSRPPVLTFIGRPQCGKSTLTSGIVPKLIYKMMDWKDYKPPYVYSAGDKFFSNYNADKILVFDDYCQS -KNEEQFSIFTTLISDVPAQVPMADLVDKGTLFNTNAVLMTMNADPPKIDKYVFEPNALYARMYENAYHVE -ARAQYADQYGKLDYERLVSLGIDHDPDMYLDFYPIRWSLAAGRVPASGVDPETKTTFRSLMKDYVEKIKN -SEQRSEIASKNMRSDVGQKLNMDEFTNAQPVTLPVYTPSQNAQHASQPSTRSRSRGNRNLRTQGFSSFGK -TEIKRNELRYARDTIQDVLDGSTVRNPVEAVATFVKYEEYNDEGLFFLYPRLEEFVFKTNEMNFPKVLPF -SKDLETRLLMIKSENFGYDNWVTDLIIHYGWKMFADGSQIEFWTPEESLKGSDEYVQNDDDSPAESMFKR -VLKSAYKAIKKFLTWKKVVWFGLAGVGAVICWLEFAAIQAAARFGWHMLKGFLYGIPAEENIGCVDRETK -QKIVGYDRENEEYQLADGTKKHGAPKWRPTPYIPSSDELKQIYANNFGIRPRFVQDPEPDLEQTQGSFYN -KGQPTDNYRPVNRAQPPKVAPRNVPKTEGGESLGMIPAIRKNIGIVKYNERGDFVRVLGIKNKVFVMSLH -QLDRLMNKTVKIVRPGLDQAVEYSFPVTEENVRRIKDNNDLTIDLAFVDVGFTGPNFKDIIRHILPNKDL -YRLHDQDGMRYVMHPDNMIEANPVQRAQLEKEVPVLDDHQGEILFPLVVTASGRSSPGHCGSPIVMMNPK -IFGDTGKISGIHSFGGAQTGFTPLIKETCEAVIESFTTPVFDGEYPDSTPLVSKYNYYTDFSVIEDGQYL -SRKTEIHKSPMHGKVFPVTHEPAVLSPTDSRLDDEKRETFTEDLIGKTNKPVGWFKNHDEVNNAVQDMID -TLTDNEIISQRVDARLLTYDEVINGSWTNEWTKELGLVMATSAGFPWNKKPGKGKSAYFDEHTDMISGLI -TRTFKEGTGLMERIEYRLKLAKEGKIPSDSVYLDCMKSELRPKAKIKAGKTRIINAPPLDLMVLFGVYLG -AFREFFMDPRNVGENIESALGVDPKIFFPKFALHFRNAIGIFGVDYSAYDSTIPAECYKIQAKVINAWYR -KYNTTRTPEELDQDCKVREVFFAEVANTQHLYGNYLYRDYHGLPSGVPGGFTTICNILTNMFLSRVAFQR -TGLPMALFRKYVRAVFMGDDNIQLLLRSGIPKIDEKLKLYNRVTLADVAAEINMKVTMPDKSENLTPFDN -FRDVSFLKCRWGDCVIPGLYLPLMDWETIGNLINWYRPESNKYQFEVNVLEALKFAAAYGRTDYNDLRAR -LIHAGVEKHIQAPLREVLPTFDEIFYETYADESQ - ->YP_009143521.1 polyprotein [Ampivirus A1] -MKMSNNQNSSPAQMTSTPIVEAVPESTVPVTASGSSAMGVSISSPAQGITVNQGTSNLIDPLMGNQIHTE -TNITKRQNESVGISNMNTQTTMDRRSLIASRDWLTAAQPGTQIFKDQFPYCLFASPSFPGAFALGIHEYV -NSTAVEFTMQVSASPFSVGILQLIWTPSHSSDLNKFGTAADSFSARTTMNPDNAIDCYSMLLNIGEGNTA -TLTVPLTNLLSILRTSTYRTLWNGTNPQINFTPENFNLWGSIAINVFNKLEGITADEDQNLGVKLWARLI -EPQTSLLRPYRDPITLASSNTQGAMMSVAAAVGSALAAAAPSLAVGAATTVVGAAAQGIAHKVGKKCTYY -TELGEDPLCVNMALTNDHRSILSLGYDTKDFADTTTDLSDSPAELDFKGIASRRSRIFRVPWEASSTLDR -PLLSFPLHPMTGTNLVTGNINNILNVSNIAFSALSFAYWRGSLTYTFEVVGNSYTRGAIMVVYQPKGDTT -PVNDAVAATAYPHSVINIAETRKFSLRVPYNSQTAWSRTPLIRPLNGGMQLIAPDTLGTLSIIILNPIRT -NTASTLTALDTNVYIHSVDIDYRSPYLNGSIAPTSFIFELPPPEFESDLPMIETISLAPEESNFETEAGN -LEVDPLPGAEVFGPGSDPTSSSLMPNSHTDIRQLLTRRTAVASGNLTNEFTLITIPLPNIGLRHPSASGL -PASRQYPVPSFYNLLSGCYAYQTGSQVVSIITGNNTGQVVTAVAQIVFNGDENWTSEFTRGLQITATDAA -NYAAVLENDASLVFNLALNSRREIGIPWYNMVSQLLSGPIFNRSDDDSTAIPTYMPFAFLKIYIQSPVET -DYLITQNVGPNFQFSQFLGAPKFILYDPNEINPPPTRSGRVNFPRVTRSLRSIDDGEILETEAGFSSSSD -SVFRLPNPPSRPPSRIGRVALPLVQSTASSKVPKLCQMDTIKVLTNVLTCTLCPAKPTSPVAFIRHLQSS -HPREYVNIDVPCPFCRKSGLLANWAAHNPDCKMFDKLQCPKCPVIVANGIKLNHHIVNAHPDSAPLDFQN -KILTVGSACSQNFETQMFAPHALVQLGQAAEKLTSILPNENIQVSLDHLTTAATCSSASVIEALAGVNNM -TQKLSTATGEFANLVPTINEFFDVGTESFKKMNEIFTSLQGAFSAVATPTTDENQILKNRTRIFASTARA -IRDKDWEPIFTEIFLEMFDRFTLVDPILMTVGLKAILMLIDPTSRMHSILNSTILARSSLFVEKLIPDSW -KDMFGTVFQSAEDDHITFGKAILLLFSFGGVVLSGLQTPTAFFRDFAKNFSFLNLGRSATSLSVLVESIN -QLKKWIQERFFGRSIWEGYDWLLKNRETIGAFQADYFEFLEYPLNKILNSSLLRSRAIKISETAKLIAGN -LAKIRLGSNEISNLQKQAEFFIQLAKQSRQVPPGKIRVRPTVVTFQGDSQCGKTFLASTALPHYINQLMR -WPQEPVFMVSSATEDFMSGYSQQMITMIDDLLQMKEGKDLTGFVNMIGNAPYRVNMAALEEKGTQFLSEV -VVVTMNQPHPKVDKFVSHPPAIYNRLYDHYFHVVPKPAFNKNGRLDIVKIRERGIVDPDDYLDFYRQRYT -DEVRIPAVENPLAGEKLSFFEIVSLVVQSIRDEEITVEMHAEESPAPTFAEFPDTHLPDHWDTQFQAPAR -YATRAAKILTIVRNLAKIYQGSTYVVPQDQTFKDIQYLIQEDYSDNILMGLDWNYIFAQTCYGKTLYLHE -SQDAAHLLAQTTGLPTRFWHKIHMCDPHVIRYVKISLGASGSGNPAYDFIWKSWRKMSQTYTSWCSSYPI -LMHVLKWYAISFAVNMAVLGGAAAYNWWTTSPLEVPNETEDREEIQKRKDQEDMASLLFPQGTQASWYNA -GSPSSGARGKVKVARPTGIKGQAPRVAPTQTQSDIPPLFPIIRKNTVRLSSCGFSIRAIGWKKDIILLNR -HFAQTIPDGATVTMERWTPNTATSRLETFQLKYNVANTAFFIYTDDRPIDLVMWRTGWKTGSFKDISNHW -IRESDLDRVTGKNGYRVSDVITNFSNFAYTDMEIPFDRASMSPIVIPLAIVARGVGSPGICGSPWVVTSP -RYFGTMGKICGIHAFGNEEIVGAIPMTIEGLESTELVLPGTSFQPSQVTFQMAVDEDADTQLVFHDFHGR -VGPRDAFVQPRRTELQPSPIFNEVCDVTHEPAVLSNRDPRLTNPADFDVDLCRKTDRKSSWFNKESEVTM -AVNAIIDDLTVLPINLVPRLLTLDEAINGVDGPPFMAETGLEMRNSPGYPWNKLSNGKGKFPYFEERPQE -DGERLKYDMKDPTLIARVNERMTLAMQGRIPDNSIWLDCMKDELRPKAKCASGATRIINAPPLDLMIAMN -VLFGAFRIFFMDPDHVGLPLESALGVDPRTVWPDYGILYRQAMSLFGVDFSKFDSSQLAEFYRQITKIIN -AWYRMFQVDKTHIEQECLARETLAFEIGHTLHLFGATLYTDDHGLPSGVPGGFTTIFNIMVNMMLARITY -IRTGLHVSTYRTHTRNIFLGDDGLHAVMRSEDPRINEALLKYNRIELARVASEIGMTVTMPDKISALTPF -DTFEEISFLKSSFLDNVIPGYYLPGMDKKTIGNLLNWYRPRKNPDQFRTNVQEALKFAAPHGKAYYNELL -SDLRNNGKMQVLYPGNELQALLQPFETVFYSTYLPFGLDAPETTPLFDFPFDN - diff --git a/seq/clusters_seq/cluster_1296 b/seq/clusters_seq/cluster_1296 deleted file mode 100644 index f007ec4..0000000 --- a/seq/clusters_seq/cluster_1296 +++ /dev/null @@ -1,74 +0,0 @@ ->YP_009337663.1 RNA-dependent RNA polymerase [Hubei zhaovirus-like virus 2] -MLPQEGNNDGRDADKVANTTFAAACTCLRKDKQDRNGRSRSAKGADQEQSRQSHQCDSLNKQKKRKPVVY -KTVNKEQHYLYTCEKRRNRNLLDPDNLGDRGKPVAPSLNNDDNAGIHQETRRDLMSMAKQNKQNGRDPKS -WSYTKTKNGQEIFSPPSTNNCCAFFAISAYMGFPLKDPQAAYDTVRTNIETFGPNKLDIHFDPTNFSGCV -LEDIQKWCEMLGVYFHQQTFDSLTQLSQEKRLSGVYLVLNKHFFNGHCYVILPPQARNPIYFASAGARSG -LLNSHEHDDDMEIEEEQTKNCVDVIQEKSDHMSVHNDSRTEPDDADRQSDDDATKWSYVNTLQGVVDDYE -IKTYGGKGGNDDVVVSRPGDDENTRYSRPRLAVSGEYRIIVSAMVNFQFTNVMERNFSDLVNLSQCSNQF -RAGFTNRPKDNNTKSHSFVRSANDFMKLIAAQRVHRGNIVIDIGSKYVQTARMFHDQRVYAIRDKINQYD -DDYIKQNAVPKNVIHRLVTLQGNMIHVPTTEFEKMKNNFRDTEIWTNKNTIFANDCVYYPGVADSIHNSI -VKGSAIEAYVIFTVHPCADGVYQSYTNYASGKEVKDQPETPDYVVAVDKGQCVYVPRGGGQVYRHAAFHW -NSHACEDRYQTTSHLTYPHSRTSRWSEIASIQTGPKMRMVLVHVKRYTQGNIQNPRFLHQPYSDQNQFVL -DSCAMCVPAQNNPNWDGSHHVCRAFSSPLLNVLAGIQSAPRRQIALKSDTDYVLGEYELHRSDRIDKIKT -RLHSNFAYYLTQTESRTSSNYASPLTEHEMQRCDNAFNIAMERMYSIQCDSLQKQSSYVHQYIIGQAPYR -RAWQDCKSLAYCSYTNMLVIVAIICSIAPWSALAFVVVACFLFTCILRYKVRKGHIVANNTETERMRMLQ -NSAIRGGGLYSPFSSTNYSNQIGDQPVSNAHITEARFQSDVNKGGKSCVQSKQGVQIDSETYRKLSMMCT -CKERQNKFVQSGPTIYVGPNTIHSITWANCPINRQYALNGRQGGTRTQPDPHVLKDFQAFAKRRVGQLAS -SALPYIMTMDLSLDEYMSRFPKTKRDMYMKGYFEAVDKQQIRNRMTLNVKKEQVCLDEEGASSERNRAIW -SQDPTMMLLAGYFNAHLIKSLKPVLPSFIHGLSCEGLEDKLKTQVPLRYVQFAADTSGHDSSQYTSLITS -VDNYYIQLVSAAIITKMRKYVHISALMEQSLVKAMTLTNVPYIVRDKKGKIYEKGKIDGTVRSGDATKTT -FGNTLRVSMYLEYAMLGAPYDFIVWVSGDDASVWFEQQHEEDVLHRMFTRAYVRQPDTTGSLGQCAKMAE -INHETVSFLSKAIVKSYRGYTVGRLPLRALMQGRYTDGQLTAEQHQQARMHSIQAWGHSFDIFNKFIPRK -NIESLKMTGEQLLRFNRQHPSNINHDPTDPHAMNRFYKRELQENYADWFLSDPYDKHIVLSSQLKKCHRT -NPNEK - ->YP_009337645.1 RNA-dependent RNA polymerase [Hubei zhaovirus-like virus 1] -MNSWLIIAWCSLLLLQLAVLIQQRTQRRIVSQTKRLYKSGAKKGVQAPKHTKGSVSKDKPQVRKTQNQTP -VYKKESKKPTQQQDNVYKKESYIKGPKQNVASVIKAVIDSSKYEETFPTVKTNKTIELLSNLDKNINDFV -LQMTGKPVSFKVRIDIDGLLDYDNKSFDGYCQPIALYIACNWDMIQKNNSTVITDHFVKWIVNVMTNYAN -IHEGFDSDYKEYFYFGYTEIFEELATYCKVSLVRLVGVKEEPNQSMFVSGLRQCGVQHEHTKRAIIFMST -DKNASVGHMRAYVPLIGQGCQPNSLMTDKDFQPPQIKIEEKPVEQIVEKIETINQWIGEEKTAFQKFLKE -FNIPQVECAKLYTQAGIDYAWFFVVPAVVGDYASKDEDITVENDHTSLNIQSLYFKVNVNQIHWDNFCPD -ERNISVSDIYYTMKFAQEQPLTRRRLGPNLSVVRVQLSNYRYGFPRCGSVPSTDVVQLVTTFNCHACSVL -SRAIGYKRTKIQRYTGSRYDFSLKTFDGKNHDCHRLSDKYTIIKEEEAYMKQYLDKDKSEKSLQSNIGYI -ESGMAYYRYLFQDIGHNNSIRPLGLLERQRFCQLYYHQFVLNIDNKTLYSDIMDSKVKTLDRFPYIWKVA -ARTINIWLQRLFGVLSLLYVIYGLFVMSFPGFAVQAMSNIGDSEWYAWYEDQFRDVFHPENNNGAVVYDE -WEQLFKGQGTREQLLKQDLYTMILTFFGWLSPFIDKDSARMLHSLAYNILNFLLNSNNLFFQAIFGLLKI -YQLLVVIVNVLCFGVDPMGPYVSSLVLIASHVLTILLIRYIFRAFYNIMIKRQFYKMLVCIFKDFVAFFF -DRDFDDDDNDLHGGAKEKHSFNYPKFNNKITQSVSKLNNYQNQIIGNDVDVVHTEKTRFEQDLSKKGKSL -VEASKDTSLKRDEKLWAKLMSVCNCEHKNTLKQWKLTGQVAYENGQKIQSCTFSNCLSNLYYAVHARQGG -SRSSPDPYVLKMFQKYSRKQIAIMNEKVKKRYPSFTFNLKSFLDNLPAQKRALYLRGYNKALNEHKIEHT -MTAMVKQGEVHRVDNNGEASDRPRVIWNPSETVQLFVTAFNSVLIQMLKTQIPGFVHGLNKGDLQDKFNA -EIPKNRVFLSCDTSGHDASQYDSLIQCCDNYFIETHYDKIVDILRDEGNGLDIDHNMSKQLKKYILSLKT -NYMMKYMNTIIERGTIKGTVFSGHATRTTFGNSLRVYMYISFALSFLTDIQGYFNIYVSGDDVLIACREQ -DVQKIQDQLYRYVYLKKEGVGALGQVCKSVIYQKSSYSFLSCCGVVSSSGHSITRQPKRALLSGLNTRKS -ISVKDHYNSRVYQILSWCKDLLPFYMLTLKNQDSEDDIVKTMDYSWKMHQNKRPIDKYAVFQQWIVELQD -QAYTFFDIDFNQPTFNLVAGSVCILRFPNNEQCVVG - ->YP_009337419.1 polyprotein [Beihai zhaovirus-like virus 1] -MLQKKKKYSKKSKDQRGWHKNVWIKPNEDSYDSLLEEYQKLPILPEYKKNHSKPVPLKEFEKFKDFEMGN -IISAPYMGGICFRYAFQVLVGDGVAHNIANDHKLIAKAFSTLIAKFDVPETLKMETGDYTKKQVKELCKY -VGLECSLLETRGELAQATKKGIYLLRSGTDIFHTCYIAPSIDQDELDMIQQLPDNEKESVVKGLNKKDEN -ESQIPVQRNHVFKKEDSFEEGHMVYQDLNASQRSFKKQDYPIILNSEDGSKSSSVHIPNTQGHNVSKAIT -DKAKTPQLFGRYAIKRVQKSNGVTVNHVTLPFNHAFGKDGTEMIKNFLKARKYSLHSDQFHARRQNHIPH -PVMRSINNYFNYRGVSKMKGCVVDIGSKYAIMSSYTDSLIAYRPILQSYDESYVEMHPLKDEVNGVNFST -NTIVYHTVVSKKLADTVYPSIVTINANDCIYYPGVADYIVKMISSGKAGYAMVNFIAMPSYDGDFAAVDN -EAIASIRNGVVSFQAAGNEYTYNHNVFQWDNTAPQVRACGDTVWDEVDRFQVSDKLALVSVRVSLNAARA -RQINVKLNDIVNTKFQKVYQIVSRNEEAYRTQKQTFYSKALPMEMVDFAYGKIMQEEREVKFKVEKLART -VRHHWKIKQYSQNQVMPEWEDTQLEDCFSAAMVRYSGYLDRMETQKQTPMMKRIIDQTSEPWSWASLQTH -YNLIKIVIFVMMSVILSLSSTHVVYPVVLMLLWNYYYNIQRAYEQLIRKTDAGNNYIRGCIAIGTLFAVW -ELYRWQGVCLAIVSYFTSFRDDDENKKVRGFKRIHVDRERFNSDVLKPGEGCVRETKTQLVYDETLYDYL -LQHCTCDRGKKYTRTGLKHRTVAGVTQAYAYESCPINLVYGLRGRHNGSRLRPEMTHLRQFAAMTSTKLA -KLVDQMNFEEIQSFESYLSKFDMVKRSRYQKAFDQFCYDGEVDLRLEVITKTGEVNYTNDGXYTARPRNI -FNPSYTVKAVGGWINSIVIEKLKPLMPGFIHGLNCHQLQDVITEAHRELKDPTIVAYDGKQHDSNQHACL -IKLVDVFFFKLVLKKLFPALMIPDTLYDKVESILCSIELPYYMRTKQGIIEKGIVNGTTFSGHPTRTTLG -NTLRVLMYIDHICSLSNIPKNEIRAFASGDDSFVFVERGKRDDFVKGLWRVYHATSSATHGLGQQVKEVF -QTDTTVDFLSKTIVLTPYGFQITRQAQRALVSGTYTKKVGKNMTAEQHREMILCGIYSWGKTAPIFENYK -PKQYKKQEDYAFKLNVNDMDNLHYPTFQLEYERQLGADYIQHDTQFDGHLVKGFEPAQQKSIKNIRKSNI -TTRNRFMQVQAQKKNRKGKNKNIKNKSMKEQQKKVYQEAIQKLRNDPKAQKAIVKAGERLTKSGINYLAS -VIYPEQYCQARIPTPFPTSSATQHFYQQQTLYSNGNGQSLVLFTPQTQSGVTLWQYHDPELNLDTGVTPG -SVPLNSSNGAYAQVQNASSYRIVSCCMKVTFTGNIAQNQGLMGGAYIGEAANAVVPKITRSFIDKYPNSQ -RGRADEGIRIVWYPRDPADHNYQLQVQQIKSLLAVYVTAMVPNEAIGVIETWTNIEYYPALGYQDIVDRT -SIASDSAALQAMERVVSSKPELFRAPQDVAQRVASGIRRAQRSVEVVQQVLSAAEAMPVVGSMAKFGGQI -IKGAKLAGAFGGDPTQW - diff --git a/seq/clusters_seq/cluster_1297 b/seq/clusters_seq/cluster_1297 deleted file mode 100644 index 699748c..0000000 --- a/seq/clusters_seq/cluster_1297 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009337651.1 hypothetical protein [Sanxia permutotetra-like virus 1] -MPNNKNMNKRGQKPQAKKQKLPRKTVAGQPKAVNLLMGVPVTGREVTAILTASANKTSVSYNNTFNIASA -SPMLKKYAAIYESYRIKSVSYRFIPDESGLSSGNISLGIDYGKMSGTLTREQISRLNPHYSGPIRKITPW -VTIHPKFVNKDTVRYSGDDSLLSAPFTLALVATCEGKSVDRVLGCVEIQYTLEFQGILP - ->YP_009337337.1 hypothetical protein 1 [Hubei permutotetra-like virus 2] -MPNNKNMNKKGQKKPQKQKQKVKPQLQSNRSANRKPQAVNLLMGVPVTGREVTTTLTAAANKTSVSYKNT -FNISTASPLLKKYATIYESYKIKTVSYRFIPDESGLSSGNISIGIDYGKAPGDLTREQISRLNPHYSGPI -RKITPWITIHPRFVSTDTVRYSGDDSLMSAPFTLALLATCESKPADRTLGCVEIQYTLEFQGILP - ->YP_009337301.1 hypothetical protein 1 [Hubei permutotetra-like virus 1] -MDKRKMRNQKSRSKAPQPQQRRKQKQKPRVVNITAGAPVTGKETLLALKVAANATTSSYIKTFTLVDASP -ILKKYATIYDSYKIKSVAYRFVTDEASTKSGNVSLGIDYGKPPSSLSRDSVCKLTPHYTGPIKKNSPWVE -VSNRFFNPNLVRYVSDTDTNTAPFSLCILLSCEPTGAERTLGALEIKYSLEMLGLQP - diff --git a/seq/clusters_seq/cluster_1298 b/seq/clusters_seq/cluster_1298 deleted file mode 100644 index 5b57e70..0000000 --- a/seq/clusters_seq/cluster_1298 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_009337124.1 replicase [Changjiang sobemo-like virus 2] -MIVPKNKFCQSDDYDYCSRDKCWVCLIPLRCSDLIEKYISYDPYEAFGSEYESIVLPCERLAALCYYESL -WTEVRRSSTEWSIARWYSTAVDHRGRPRAFRIVGTNLHDLAGVAAMPYPPTMSEMEYILKEVPHDDIPGE -VFKYLGGRTKLSFTLKLFLMFGVLSGTIFSVEASDQYTSDLVNTGELAREITLWPVNESFRMLEHTTIST -TQCHYENGTCVEYPNLVNQVFDWLRVRVRNLCYLLDESTLLARHSSSVAKERTRFYKNIIVKDDYFRAEY -DDISTAENELYNFMMLFLSFLYGINSGFWSLCILFINFLYFMRFAHNFVVSTPLGFWIYTKVVWVLGIWL -GYYVTYHALVVLLAASISYLHSKMIELAVVVVMAPYHIWSRFRRTGVKGFVREMILPGSKIEKFIGAKQP -AVAALVVEVDGKWHYSAMCFRYENHLVSAFHPFAKHMSVGRRMGVAPNLLCKKRWTHDFGAIQVIDPSNY -IVDFERHDVFMLHMSGEFWDRVRLPEPKKSVSNSFNDSATVFSYMAGTLHTSNGVLTSHTNVDYPPNNFM -AHTCSTLPGFSGTPVFAANGAVRGVHIGSDNYEERNIMFRIDHIKYYLNKNKVTREDSSDFQKKVLRSMK -RRKGKEAVYYFDDFDEDNSVAYDIRTGQIERVPRTEEEDEEFWRVEMQKPVGLWGDYEDAPISKEEEIDE -VEPSQFFEVLDMRKKLHSPTPDTKHAETTEILEPFMDKFKELGYVEGMFEYPAAGHKMDTKSCVNAIIQH -GTNVSECPVPITPDEEDRVVDAVSAMVQEFSFVVDPNYKSLEYFESIINTNQIEDRKSPGMPYLEEGMTT -NAQVLDKLGVTWLYNKMIECWNMSILYRMFLKYEPTKKKKIDNWMARVILSFPLHKTLQNIVLFKNLLST -DAVHKGSKFVWYTFNPKIPGHIASMARRFLNLVTFSSDKETWDLSVRIEFYRMLARIVQNLCKKHPDMTV -EAYKQVLLDIYDTIMQVGAEGEYRLNNGYVLKAVYAGYLKSGWYLTIIANSIMQVVVHVLACFRCGMSFE -EIIDLPFVAGGDDVIQHLPDHIVDRYIEAHASFGIKLKLERHESFEGSEFFSNRFFLDSKGKWYFVPQRF -CKHIESLLHMKPKDLFQGLSSKLDDWCFKDEVSQVFEDIMSVIRTKYKHLYVPSVDKTRREAINQARGYE -DLPINAETAIACDSQTQTDEKVEDGGDFRAEFEAIKKEMRQMMHTYRMQIDALTSTKNKLQKKVLHLETQ -LVTAKLKNKGVSNNIGKRKKDVNSQSSDPVLRSPKSPVGATVPTVDQVVQPQSGGEVFPPLPRDC - ->YP_009336811.1 hypothetical protein 1 [Beihai sobemo-like virus 25] -MDSMGPRWRSKQQYVDFDDDRYHRHSYENASIAGSVTRRRRSSKKERQRPATKDRPSEVHYKIVGKGKPV -HGPSAPKMQPEALQVIEDHKEEIVALGFEEGVFAYPDMSPDTERKSLEAHLNLFGERTRTITHPPTDDEH -QRCSRLVAEMMQSATFVPKSDYREVSGVLDIINSSIIDPKKASGYPYCLEGKPTNGQVLEQYGVRGFAQH -VLNTWEDLPFQVKNFLKGEPTKKTKLDKGMPRCIEGFPLHVTVKHASVFSQLAMTLVKQWKHIPVKYAFS -PANPGHIEHLKECLPGKVWESDKTNWDYLMYLWIANVVRDSIKKLVIKPAEWTEEQYSIYLSDIDGCFKQ -VFEEASYRTSDGHIYQSNEPGIMKSGWFMTIGANSIAQVAVHVMTCIRLGMSDDDILSTPIVAGGDDVNQ -APVPAGKAAYLEEAQKLGVSMEIHERGDLYESEYFSSDLRLGVEGPEFFPKRWTKHIEHLKTVKRENLAD -ALVSHMENYRHHVDKFNLLVKLYLSLEEKYPADFPKSKLVSRSLLRARQYGYEHALLC - ->AHA86920.1 gp1 [Carascovirus SF1] -MWPFATVQTQRWADCEDVGFPISGLPTINTLRRGDDAMTSVYLPDSVVELILEYLDGARRGWRHVTPFGG -FITAHEVAREHYMECLEFEDFSQWLTIEGGVSNAVAVTGVTPAEYQLINAFDKSWWFFNQSEFSNFGLDS -LPEEMLAQVGANHTNLDVLGIFRAAPKWCEVESMLARVGRDRFRSARNRFDFIDRLFRDVFNRRALIFAL -KADLCLRIIQGRITMAAAEALDRDPNKIASSERLPEFMVRVLERQLKWLLTTFGVIIEIKNTFAFVVTKF -RVILVDSIDWELVLSLVLYVALMVAVGKLVVMVLTPIYRTTKMIGTKVTRLVCGFTLAPTEAPVPANDQI -LITDAKDGSVSIALAKTLPIRVEEMAMAGSALCASAYRPVGAVMVVFEGSELRLIGCFFRYRNYMVTARH -VANLVQAGVSEVYLVGVEKTPRGTVFLKTSKPYHVDPELFDLDQNEFTCESLDVYARKLGASAWGAVGLQ -QVSTKKPSAHRLNVNSCGFVNGVMQTGCGTTMKSKGQALELGHTASTQSGFSGSPVFGGGSVVGMHVAGQ -PDHNVMVRIEAITHFLPREESRGPDDVEYEEKYKYQGEPEDYYSEHGVRVGVTANGRARFVSEEELARNG -YDSTDRVLRADFVSKTGRNWADYSDDDDDDYRIMRRRKENAVGPTRILSEKVSSLEEPKKTAAAPPVVET -IIKRCEDVSPVHCGKTPAENQEVVEYFAGKEEEIAKLGYVAGEQTYPVINMQTEKVSGIKHLELFEENVK -KCVEPPTPAEIDRTVNLLENMMEENKFEPKKGYRSPENITRIIDSNLVNERKSAGSPHQQMGLSTNGDVL -RKLGKTGVAELVEREWSAALRLKLFLKAEAAKRKKLTKGMPRCVTGFPLEKMIKNQALFREMLDVSVANW -KESPVKYAFSPGNPGHCEHLSALFKGKKVVECDKSNWDYNMFGYFFVILEELVVRLAVQPADMDDEEFAQ -YIRDARGAIREVAEGAQFVFTNGEVYEVTVAGIMKSGWLLTIFGNSVSQIALDVLVKIRMGLTDAEILDA -GNTNVAGGDDTLQAFTDKVHLDVYKQKAAALGFEVDFKVHDKFVGSEFFSNVFRDVGGVIGFEPVRTTKH -IEKLKRVKAADLPMALSSAMINYCWVDKHFNFFNTMYKHFRKANPTLYPLSLCKTKQYLRYKCKGFESGD -AEEDVRFEMLDDVLDRLVEDQA diff --git a/seq/clusters_seq/cluster_1299 b/seq/clusters_seq/cluster_1299 deleted file mode 100644 index 02d0a69..0000000 --- a/seq/clusters_seq/cluster_1299 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_009336957.1 hypothetical protein 1 [Beihai sobemo-like virus 3] -MGATIAETLCIVFATLVLTRLSVDYVTDLYGRVYSALAYNAKRVRACMKLMYCPFRRVEKRDVFPSTEGF -FMHPDSSGVLQAYYRFPAEYGGFLQRLERNGGYGLGGEYVPLWKEAVFNKVGCGESAIAGAAYERTVDQK -LWKGTFKVNGETGVYDSMGLNIAGCAVITAHALLHKEAVVLTGGNTVNVGVRVETSRFLKPEKCYTGSAF -DFAVAPLTANEWSAIGAKALSSKDFAPVMPGPIDMCFGQGVEGSLFVSKGQLEPQVTRAEKAGIIVASIS -TEPGASGSVYRRFVGGVPKYTGFHVSRPGERMSQLVGKYNIGIDFGVIFSFMRKNDLYFDTTYSVLRKLA -GLSVGESFDYDFDKNCKEPLDYDEIERNYLDSEEMWQTTQDEITKMIYGDRYDPVDMHVGKRYGRRTRDQ -MPDEHWYGESCEPPVLTVAPGLKLDPVIESTDDDCFDDACSEPGTCDDVAVSSPSFAEDDELVQCSPKPL -SSVIARAAICASAASAVALSLDFSDVKRQVLNSDFSFVGKLDEAVDKYGADAVHEYVVSTPAFATYRDYH -NVTMFTETSSEETLPDMNGNTFFTKIGEYRIDGKRAPTTPERRKKPPKNLSDAAKAREAAVRELIKDLSY -DGEDWVTPENSRKNILDSMRAHAGLACVESPPATEDDWNRALEKGMEVFDCSPIESHAERGFEGWYKQAA -TLQDSSSGVSARFRSLSKRQWVQDPQMFQVLIDLVQCRLVLMLIHSRFVQDYSPETVVKYGLKDVLEVTV -KPESHKPEKAKLGRWRLIWICSVIDCLVQKLLHKAVNARDIENYQCGKTLHSAAGMGHHDEGIKQLCRTL -KELFGDATELITCDASMWDFTMDKQAHINAAKRRVACCKSEPVARLIMTLGHVNYKHVCECKGDIWRCEK -EGVNGSGQCSTTSDNTFSRVGQARASGADRVIANGDDMAADLGFDPEAAKAFGTRSRDVVVQDANLVPFT -SHHINRTTCTASYDRPLKLAFNLLSNCRSEDFGMRVDALMYVVRNTPDALAKFKKHLGPHAKGTDTCCVE -MLWGA - ->YP_009336900.1 hypothetical protein 1 [Beihai sobemo-like virus 5] -MALLLSRVQGADTKAVKKVVTSFGLEPGAARAYLHLGLLFGIYKLVCARKELCPPIARACLRVLYYVVKM -SSPGVSLVSWLKERLRRGRIADCVQGGPPTKHGYFRWNGKDFVRHSDESISRVKMLRGTTTIDAYGQEST -AYNWEILEPARPKDLSPGESALEGLSSNARPSPLEKGHVLVHDSILNLFSTGLVLNNILIMSRHKTASLT -HLVVRGTNTTAVAGRQSGVRISLDRAYYLDDFGAPKWDEKRHTCTFLDFMAIPLDKDEISMIGVKSLKDK -DITRNYELERGTITYATDDFGNVVKEEGSIPEAELHIHNLGLALAHIVSQPGASSSGVGVVQNGYKLAGM -WLGQPAHSLKKHRGKANLFMHTDAIMANLEQMGLYKHPLIERIQQWSDSLQTPTSLPEAPGESRESKKER -AARKWFEYYQEYKDEPYEAMEEDEEEEYEKVYGRSGHARTQPAVALPKAVAAPGPRIPPRARHPGESAAE -RALEITRQNMLLDIDRNVKSWKYGILHEEAPRVLREISYYREEATADVRERLKAVLATPSNALLRDYMKK -VSPMWSPGEEGEEILDRDGNPYFRRVGSYEPTRTAKAKKYREEETDLQKRLRELANRYYADKLHGCKKGE -YRIPTSSKKNIDRSLKAQAALAVAGPPQLTSEQEEEFEAAVRLVREKYKAGIGDEPIKTYLEEGDYGFLK -TFLGFEDKSSGVSARFRNMKKAAWVKAHPEEVVDLALSRLILIAVAGDQLKDLNAIELIQYGCADVKDIF -MKPEEHSPQKIAEGRFRLIWISSLIDLTVQALLHKADNAAHVDAYQAGHLTCAALGMGHSPEGLQHLVRA -FEREGVAERNVSSDASAFDLSIDGSFIHADGERRADNCADEDVARLVKRYAHILCSHVLNNHGEVWLCLK -YGVTSSGQLSTTTQNTFSRSVMAAYGGCLGWTCAGDDLVGDENFDHNRLLHFGVRSRDVELHVGEADFTS -HLINTSTATAAFGNVEKMLWHLYDTCTDVSTNRERFGSSLYILRDTPGVLEDVTAITNDFGINTDGYVAE -SSLIRDLA - ->YP_009336876.1 hypothetical protein 1 [Beihai sobemo-like virus 4] -MRLEPSGGSGFDEDFRVNWRWSEPQPTTCGESALPDARVSRSLDQTLWKGVFRVNTSSGVYDSMGLNIAG -CALLCGHSVRGKDFVVLSGGNSKAAGVKIAVSRFSIPLSYVEGGATDFAVARLTGNEWAAIGAKALSSKD -FASPNAGSIDIQYGQGSEGILFVSEGRLPQQAKAVERAGLVFHQVSTQPGSSGGALRRFVGGVPKYVGFH -VARPQGKFVDLTGKYNVAVSFDIIFSYMRSVGLYYDSVFAAVMKAAVGESLDYDNDNVRDPLDFHRVSQE -CYEDDELWEAAQDRIISEIYGDRGQAYEGHIGRRFRRRGDDEPVGESLPVLVENDDEYEDVEEALADSST -VSHDGPPAQPSLLPPKSIGESAISLSTVAEETADAAAVCLPLALDLADVKKQVINGDFSFAPAVRSAVEL -HGVDAIHEFVMSSDAFSVYRSYMDVVQPTWFPFGEVMPDENGKAFFEKVGEYRVDGVKSATTPDRKKKSK -PLSDVAKERAAAIKALLKDLGCEDDEWVTPENSRANISASMRAHAKLASVDPPAASAADWERALEAGVAD -FDTTVLKSHAQQGFEGWYKLAATLADTSSGVSARFRRQNKRQWATDPELLLAMIDLVQCRLVLMLIHADS -VSGYTPEQAVKYGLKDVLLLSVKQEPHAPKKAKQGRYRMIWISSLIDSFVQKLLHKALNARDIDHYQSGE -RYHSAAGMGHHDEGIKHLCSAFDAVFGDEEFLLTCDASMWDFTMDKQAHLNHAKRRCMSCDDPAVSSLIM -TLAHLNYKHVCENKGDVWRCNKEGVNTSGQSSTTADNTFTRHSQAKVCGARKFVGNGDDMVADVGFDPEA -AKKFGTKSRDVVIQPAGVVPFTSHHVDRKHCTASYDRPEKLAWNLFANCTSNDFGLRVDAMLSVVRNSPD -SLSKFKKHLGPFAQGTDTCNKDLLWAI - diff --git a/seq/clusters_seq/cluster_13 b/seq/clusters_seq/cluster_13 deleted file mode 100644 index 2a1a5b8..0000000 --- a/seq/clusters_seq/cluster_13 +++ /dev/null @@ -1,372 +0,0 @@ ->YP_010087746.1 triple gene block protein 2 [Euonymus yellow mottle associated virus] -MPLTPPPDHSTSLKHLAIGVAIAITIYTASRSNLPHVGDNIHSLPHGGSYRDGTKQILYCSPGSVNSIST -LAKLFRSTPFVAFLLAAVLPAIIYVSSSFPGLHRSCNHPSHTHH - ->YP_010087334.1 putative triple gene block protein 2 [Ambrosia asymptomatic virus 1] -MPLQPPPNYIPVLVPIAVGIGLALLVVTFRSNQLPNQGDNIHSLPHGGRYRDGTKQVFYNAPQQFQHTHA -TPAWALLATVSLALIITCLSRCRHNPVCCTSGPRARVYHIH - ->YP_009553673.1 ORF3 [Potexvirus sp.] -MSSGSWPQGFRLTPPKDYTNSALALALGIGTALVIHFTRRSSLPFAGDLTHSLPHGGCYRDGTKSVTYNR -PSTDHQPTFIISTLAILLPALIYLSSRFSSMFNSPKCAHCRAHMPN - ->YP_009552764.1 TGBp2 [Turtle grass virus X] -MSLSHATGSSATSAPLQLQRPPDNTKSYLVLAIGVATAVVIYMLTRSTLPHVGDNIHSLPHGGNYCDGTK -SIAYNKPARNFPSSNLLGFAPVLLALALFAVTCPLVTQGPASYTRRISICHQCGTAPSNSSSPHS - ->YP_009552759.1 triple gene block protein 2 [Panax ginseng flexivirus 1] -MSFQQPADWSKNLRPLLIGGGVALILFFFRQNNLPHTGDNIHSLPHGGQYQDGTKRINYCGPKKNFPGPG -ILSIGSSSTAFVILIVLVALIYASERFTARIVRRCPCVPGTCASR - ->YP_009664753.1 triple gene block protein 2 [Banana virus X] -MSLRQPENFSGKIVPVCVSVTIGVILFFLTKSNLPHVGDNIHSLPHGGTYIDGSKKINYCSPQKNFPGNN -LLRTTGSLFHPAILVFLLILAIYASSRLGNRRVIIGTCNSPHCQQHN - ->YP_009664742.1 triple gene block protein 2 [Helleborus mosaic virus] -MPLSPPADRTGVYLAGVVGVGVALALFVLTRSSLPHVGDNIHHLPHGGRYRDGTKSVDYCGPATRYPSSN -LLSNRGGFGLLLFVVFLIFLIWLSDNFGNRNRCARCNTIH - ->YP_009664736.1 triple gene block protein 2 [Cucumber vein-clearing virus] -MPLTPPKDNSAAFSILAAGIAVGLVSYCLTRYSLPIAGDHQHRFPFGGCYQDGNKKAAYFPHRSHSQNGF -LSFGKLEAFITAIAILATLLIISRQNAHQCQFCGRSHN - ->YP_009664730.1 triple gene block 2 [Lagenaria mild mosaic virus] -MSGRQGFLTPPPDHSKTFLALAVGVALALVIHSLLSYRLPTPGDNIHSLPFGGSYIDGTKRIFYNSPRAQ -SPSSKIWALGCIAVCLSLLHVFKTGDRTRSSSSCNCTCCT - ->YP_009505628.1 triple gene block protein 2 [Melon yellowing-associated virus] -MSLTPPADYSKSLLAIAIGSGVALTIYTITRSTLPHVGDSQYSLPHGGTYCDAAKKVIYGSPQRGTFDWL -YTSGSYSFMIPLILCLTSVIVTLSFSDRKIVCPRCGVSHS - ->YP_009448189.1 TGB2 [Babaco mosaic virus] -MSELPRSLTPPSDNSSAILAVAVGIGLALFTFTLLSYKLPVPGDNIHSLPFGGYYRDGTKSISYNSPRSQ -ASASKSVPALLVLALVAAIYGITWGDKNRARGVRACPCHLHSPQ - ->YP_009389481.1 triple gene block protein 2 [Vanilla virus X] -MPLIHPPDHTNAIRIAAVFLGVTLTIYALRVNTLPHVGDNIHALPHGGRYQDGTKTIFYNSPFRSQVPST -LPAILALILPAIIYALSRHHRTRVVTAWADTHHHHHHPA - ->YP_009389475.1 triple gene block protein 2 [Vanilla latent virus] -MSFAPPPDHSKTYTALAIGAGAAVILFVLRQNTLPHVGDNIHHLPHGGCYQDGNKRITYGRLGNTSTHSW -HVLLLIFLLSAAIYISSHRRFRVELHCAHCHR - ->YP_009362670.1 TGB2 [Alfalfa virus S] -MSFAPPPDYTKVFLAATIGAALALTTLFATRNTAPHVGDNIHHLPHGGLYQDGNKRIAYAGPGTGAHPRQ -HFLPAIAVVLLTLGIIISERFKRPTRSCRC - ->YP_009357232.1 TGB2 [Pepper virus A] -MPLQAPPNYQNAVIAVAVGVGLSLIIFTTNRSTLPIAGDQYHRFPFGGCYKDGTKAASYFAPTGKTYGDN -PSYKFVAFVSALLLTLVILVRTPDTRAPCNLCQRNHA - ->YP_009328894.1 TGBp2 [Arachis pintoi virus] -MSFTPPPDYTKVWIAAAVGGSIAFISFILVQDRTPHVGDNIHHLPHGGSYQDGNKRVLYQGPAHRGANKS -SWAPAAAVLLLSLAILASELSKRRRRVHHCVTCTTR - ->YP_009270632.1 TGB2 [Senna mosaic virus] -MSEHLHSLTPPPDHSRSVLAVAVGLGVALVVFSFRNYSLPTPGDNIHHLPFGGSYRDGTKSVHYSGPRGG -QTTFWAWPIVAIPALSLLIYVLSQRSRDKSGGVHICPCCSYMVELRH - ->YP_009224948.1 triple gene block protein 2 [Elderberry carlavirus D] -MPLSAPPNRTNLYLAIAIAVCVVGSLYTLTRSSLPHVGDNIHSLPHGGRYRDGTKQVDYCSPGRRYPSSN -LLAQRSNIWVLALIIALIAGIWCTTPRTHSHTCSGCSRTA - ->YP_009224936.1 triple gene block protein 2 [Elderberry carlavirus B] -MPLSAPPDRTRVYLCAGIAICILGTIFFLTRSNLPHVGDNIHSLPHGGRYRDGTKSVDYCSPRTRLPSSN -LLTSGVSNFWVLALICVLIGFIKLSARTPSCQCGHCPTRA - ->YP_009224930.1 triple gene block protein 2 [Elderberry carlavirus A] -MPLSAPPDKTKLYLYLGIVICVVGSLYFLTRNNLPHVGDNVHSLPHGGRYRDGTKSVDYYSPKRHNPSSN -LLKSVGGNYWVAITIALLITAIHLSGRVNRCQCGNCPRMN - ->YP_009215376.1 triple gene block protein 2 [Asian prunus virus 3] -MPSLTPPPDNTKVLLPIAVGLGVGVVIWCLTRSTLPSVGDNVHSLPHGGNYMDGTKRINYCGPRDSFPSS -NLFKGGTFSAICIVVLLVFAIHVSELLNRPNRRTCGCGSAAHS - ->YP_009204563.1 triple gene block protein 2 [Asian prunus virus 2] -MPSLTPPPDNTRVLLPIAIGLGVGVVIWCLTRSTLPQVGDNIHSLPHGGNYLDGTKRISYCGPKNSFPSS -NLFKGGAFSAICVVVLLVFAIHVSELFNRPNRRSCGCGSASHA - ->YP_009186836.1 triple gene block protein 2 [Actinidia virus X] -MPGLTPPTNFEQVWKIAAIGLLTCGSIYALRANHQPHVGDNLHALPHGGLYRDGTKQITYCSPQKTHAYN -HKWTAAAAIAILSLLIYAQSRFSLGNRPDPVRVCRHCPQAGNDVHGHHHG - ->YP_009174683.1 triple gene block 2 [Nerine latent virus] -MPLTPPPDHSNSYFAIAIGLGIGLFVFLLTRNTLPHTGDNIHHFPHGGRYKDGTKKIDYCGPSNKPDFYS -NSKSIPFLVVLVLVATILFLSKDFANFRRRRICLICSQNCQHPST - ->YP_009158848.1 triple gene block protein 2 [Pea streak virus] -MPLIAPPDNSRSFLALAIGAGIAIIIFTLRSNQLPHVGDNIHSLPHGGFYKDGTKTIQYHSPARVSNNWF -KGPNNIQALALVLLIIGLIHASSLRTNKGCNCHK - ->YP_009126733.1 TGB2 [Alfalfa latent virus] -MPLIAPPNNSNSYLALAIGAGFAIIIFTLRSNQLPHVGDNIHSLPHGGFYRDGTKVIQYNSPVRTPNNWF -KGPNNIQALALVLLVIGLIHASSVKISRGCSCSK - ->YP_009110670.1 TGB2 [Garlic virus B] -MSFTPPPDNSKSILAIAVGAAAALIVFTIRTNHSASVGDNTHRLPYGGQYRDGNKLVNYNGPHTGASPPS -ILWPALSAIILTLVIHFRSGTRRRICIHCSESTSAHQS - ->YP_009094349.1 triple gene block protein 2 [Asian prunus virus 1] -MPSLTPPPDNTRVLLPIAVGLGVGIVIWCLTRSTLPSVGDNVHSLPHGGNYLDGTKRISYCGPRDSFPSS -NLFKGGTFSAICIVVLLVFAIHVSELFNRPNRRTCGCGSAAHA - ->YP_009091816.1 triple gene block 2 [Yam virus X] -MPLTPPPDHSTTFRIAAATVGLALIFFTLTRSTLPHVGDNIHHLPHGGCYRDGTKTIKYNSPSANANNTP -AWALPAVLILSALIYATSRLASCSVTSTRYCIRSDNNL - ->YP_009046884.1 TGB2 [Pitaya virus X] -MSGAPLRLSPPPDYTKVVFPLTLGVGIALIAFTLTRPTLPTVGDSQHSLPHGGWYRDGTKTVAYNSPHYY -SSGFIPFLAVLGLTLLIYVSSLCSGRGATRSCPHCCGQH - ->YP_009046481.1 TGB-2 [Cherry twisted leaf associated virus] -MSLTPPTDFSRPLLFAAAGISLALLCATFKANYLPTVGDNIHSLPHGGSYRDGTKAVNYNGLNCIERSSI -SPLYSSHKFLAFCLVCLLSFLIYVFSKCNGRSNRIQHHCLHHHVV - ->YP_008855208.1 TGB2 [Garlic virus D] -MSFAPPPDYSKVYITLAGGLATGILIYTLRSNHLPHVGDNTHNLPHGGRYCDGNKQIHYYKPNSGGQHGG -SFVPLFFVFLLSLAILLLSCPRRRLCVRCSEPH - ->YP_006860591.1 triple gene block protein 2 [Apple green crinkle associated virus] -MPFSQPPDYSKSVFPVAVGVAVAVVLFTLTRSTLPQVGDNIHNLPHGGNYQDGTKRISYCGPKDSFPSSS -LISSGTPLIVGIIIFLIFAIYVSEKWSRSGNRRCICCIPGSPACTATSHE - ->YP_007761584.1 triple gene block protein 2 [Cherry rusty mottle associated virus] -MSLKPPTDWSKPILFASVGVAASLVCFVFKADYLPRVGDNIHSLPHGGSYRDGTKSINYNGLKCIENSSV -DPFHHSGKFLAFCSVIVLSVLIYVCSKYSNRSNRIHHFCVHHHNHQ - ->YP_006905863.1 TGB-2 [Rubus canadensis virus 1] -MPLTPPKDYTGAAISVVIGLCIAFAFHSLTRSNLPHAGDNIHHLPHGGFYKDGTKVVAYGGPQSRFPSSN -LFSSSFSSLSVLCVILLLSGLIYASNKFGGGGAGQCVCGSRAHNNR - ->YP_006589921.1 TGB2 [Sweet potato C6 virus] -MALTPPPDHSKSLLAICVGIAIALVLYSLTRSNLPHVGDNLHSLPHGGCYQDGTKSISYNSPARTYPAST -LLAKSAFNPFLIVLLLSACIFILSKNQSHSCRSCRGYC - ->YP_004936161.1 TGB-2 gene product [Garlic common latent virus] -MPLLPPPDNTKAILAVAVGCSIGLALFMLTRSTLPHVGDNLHSLPHGGSYRDGTKSINYCGPRKNYPSSN -LLTNSTAFVPIIVVALTGAILLLSRGSSRCVACGRSHA - ->YP_004849316.1 tgb2 [Tamus red mosaic virus] -MSGRQVHLTPPVDHSNSVLALVVGVSIALVVFSITRNTSPHVGDNIHSLPHGGCYKDGTKSIYYGKPGNS -VRQPARGAALVLVIFLPILIYAIHKFESRRTRVSACGHIACPSGGATQH - ->YP_004659202.1 TGB-2 [Blackberry virus E] -MSFTPPPDFSKVYLAAVAGMCATLAIHIQISSRRPHVGDNIHHLPHGGHYQDGNKRIIYAGSHYSNQLQT -GDHWAAILVAVLILAILVSERCLRPSNRSCPHHH - ->YP_004089621.1 triple gene block 2 [Apricot latent virus] -MPFSQPPDYSKSVFPIAIGAAVALVLFTLTRSTLPQVGDNIHNLPHGGNYQDGTKRISYCGPKNSFPSSS -LISSGTPMIIIVILLTAAIYVSERWFGSGHRRCSCCLPGAPACTATNHE - ->YP_004035880.1 triple gene block 2 [Cowpea mild mottle virus] -MPLSPPPDHTKSFLALAVGIGLSLVVWALNRYTYPVAGDSQHRFPFGGCYRDGTKSAIYHRPSIPTSSGF -GFGKLEAFLSSLLLGLLILCLSSRKNLNCHVCGRSHCICHNSQHINTSFNKSRFL - ->YP_003324583.1 triple gene block protein 2 [Butterbur mosaic virus] -MPLAPPPDNTKTLFALAIGVGLALILFVATRSTLPHVGDNIHHLPHGGRYRDGTKSIDYCSPGNKSPSSS -FRGEWYALIVVFGISFYLFLDYNSKICVRCGTSHRCSHTS - ->YP_002776349.1 TGB2 [African oil palm ringspot virus] -MSLRPPTNYTNAILAASIGVAVGLLIHFVRRNELPHSGDNIHHLPYGGQYMDGTKCINYNRGFGGRNFSL -LGTSSNSGIWLLLLTTGLLLYVTRSCFARGGYIYHSNCHIHRTV - ->YP_002647029.1 tgb2 [Allium virus X] -MSSAPIHLTPPPDHSKVFISVVVGVSIALCVFLLNKNYLPHVGDNLHSLPHGGTYCDGTKSINYRGPSHH -TSSTTPLWAVIATLTLPLAIFLLNARRTSHHSTLRCGHAACASGLDSTNP - ->YP_002647023.1 triple gene block 2 [Red clover vein mosaic virus] -MPLTPPPDYSKAVLSICVGLGLAVVLFTATRSTLPHVGDNIHHLPHGGLYKDGTKSIAFGRPGGGNLTRD -TFVRPPAPLFLVIGLVLLITWVSIRDSRVNRGHCSCVRS - ->YP_002574616.1 triple gene block protein 2 [Helleborus net necrosis virus] -MPLSPPPDHSRSFLALAVGLTCAICLFALTRSTLPHVGDNLHSLPHGGCYRDGTKQIYYGKPASRVPSSN -LFGGFGFTTAVLIAVILAGLTIYKGRRTVVCHSCRCSH - ->YP_002341561.1 triple gene block protein 2 [Schlumbergera virus X] -MSGAPIHLTPPPDQTKVIFPLTVGLGLTLVVYSLTRSTLPHVGDSTHSLPHGGTYRDGTKSVNYYQPSHP -VSNHLPLIFVLGLIVAIYVSTLFSNRSRGRHCNHCGAKHA - ->YP_002332931.1 12K protein [Potato virus X] -MSAQGHRLTAPVNSEKVYIVLGLSFALVSITFLLSRNSLPHVGDNIHSLPHGGAYRDGTKAILYNSPNLG -SRVSLHNGKNAAFAAVLLLTLLIYGSKYISQRNHTCACGNNHSSH - ->YP_002308466.1 13 kDa protein [Hosta virus X] -MSSPHRLTPPPNYTPVLLAVVIGVGLAVVTNQLTRSTLPHVGDNIHSLPHGGNYKDGTKSVIYRGPAPFQ -RSHSTAPPFNAVLLLTFAIWFLSCRTRRAAIGIHVCHTCSQTREQQ - ->YP_001960942.1 triple-gene-block-protein 2 [Lettuce virus X] -MPGLTPPPNHEHTFQIIAIGFLCCGIIYALRTNHAPHTGDQQHSLPFGGHYQDGTKRVIYNSPSYPNVRC -SKLLALAAILGLSAFILGNQWYSRRSVTRIHTCVHCSNVPPSL - ->YP_001718501.1 triple gene block protein 2 [Lolium latent virus] -MSSTSEPTYQLAPPDSLKQVYLTLAAGFAVGLGIFLLRTNTLPHTGDNIHHLPHGGCYRDGTKSIRYNSP -GVATSSNIFLPAVAVLCILALLHVPFFQPDRVRRRCCRFYWCADPHHPTV - ->YP_001715614.1 Triple gene block protein 2 [Asparagus virus 3] -MPGLTPPTNYESVFKILAIGALSCLSIYSLRTNHLPHTGDNIHHLPHGGNYADGTKRVQYFRPSAPVHGS -SKFTAACAILFLTLLILAQSQWPARAVRCSVRVCGHCHPDSTMPSNSDR - ->YP_001655012.1 triple gene block protein 2 [Phaius virus X] -MPLQPPTDYTRIYSFLVIGVSCVTILYLLTRSTLPHVGDNIHHLPHGGCYRDGTKSIYYGSAKRGSHPYP -DKLWAFLAISGLVFAIYWTRPRRACPCTCPRCVEPSAVPNNN - ->YP_001497155.1 triple gene block protein 2 [Peach chlorotic mottle virus] -MPLAQPPDFSKSVFPLAIGLAVGIVIFALTRSTLPHAGDNIHHLPHGGSYVDGTKKINYCGPKESFPTPG -VLGLKFYAFCLACCILAYLHATFRGNNSSVRCPTCINNPQHCVRS - ->YP_001430023.1 triple gene block protein 2 [Coleus vein necrosis virus] -MPLLPPPDNTKSILAIATGAGLALVLYTLTRSTLPQVGDNIHSLPHGGFYKDGTKTISYCSPSKTFPGSI -LAVTGNLWPFVAVVVLTCLILLTNRKEMRCTRCLQTHS - ->YP_842440.1 triple gene block protein 2 [Narcissus symptomless virus] -MPLTPPPDYSTSYFAIATGLGIGLSVFLLTRNTLPHTGDNIHHLPHGGRYRDGTKRIDYCGPSSKPDFYS -NSKNIPFLIVFTIVAVILYLSRDSWNTKKRKICLLCSQNCQHPST - ->YP_667846.1 ORF 3 [Malva mosaic virus] -MPGLTAPTNYEQVYKILAIGVLSCLSIYALRASHLPHVGDNLHHLPHGGNYVDGTKRISYFKPHTSHGAN -YKWSAACAIGFLSLLIFAQTRFNSRPVSTSVRVCAHCTSNSSVPSDNHR - ->YP_459946.1 triple gene block 2 protein 12k [Alternanthera mosaic virus] -MSGLPHSLTPPADHSKPVLAAVVGVSLALVINSFLVYRLPSPGDNIHQLPFGGSYRDGTKSIHYNSPRAQ -SQISGASPFLIILILSALIYALSCRGGHHRARLHRCPCCS - ->YP_446994.1 Triple gene block protein 2 [Nerine virus X] -MPLTAPPDYTHILPIAIVSIAVALSLYTITRNTLPHTGDNIHHFPHGGRYRDGTKSITYCPPQRNLSPFN -STGYSIIPTALAILLPAAIYLSSKCFNSRTHPHSCSHCQPNSATMRGTS - ->YP_319829.1 triple gene block protein 2 [Alstroemeria virus X] -MPGLTPPPNYENVYKLVALGFICVGIILATKSNHNHHTGDQQHSLPFGGIYRDGTKTVSYFRPSDPITHS -NKWLAFSSVITISLIIWLCSKFSPGDRRPLPPVCSHCTSH - ->YP_263305.1 triple gene block protein 2 [Lily virus X] -MPLTPPPDYTKPFIAVVVGGTLAAFVLLLTRNTLPHTGDNLHSLPHGGTYCDGTKRIRYGGPHRSHVPEL -PAKSWALITVVAILIALHFSCLRTHRVHRCVLCHTTSG - ->YP_224136.1 TGB 2 [Mint virus X] -MPLAPPPDYSKAVLAVAVGLAAALLVHQLTRSTLPHSGDNIHSLPFGGSYVDGTKRIHFGGPSRGSSGHL -WLPALIVAILPGIIWLGRPRAAHVCRCPLCAAARPP - ->YP_224086.1 triple gene block protein [Hydrangea ringspot virus] -MSSPLRLTPPPDPTKALIPAVVGVSVAAAIFLLTRSTLPHAGDNIHSLPHGGRYRDGTKAVDYCSPHRDP -FKPTQLHAALLVLALLALIHLSGCLHRRPRPDIPCSCPAHPPPP - ->YP_054409.1 triple gene block protein 2 [Opuntia virus X] -MSGHPLRLTPPPDYSKAVTSLTIGLTLVLAVYAVTRSTLPTVGDSSHSLPHGGFYKDGTKTVKYLGPSDN -HSKHIPLLAVLVIIALIYASSFLSSGRRTGTCSSCGTAHG - ->YP_054404.1 triple gene block protein 2 [Zygocactus virus X] -MSGVPLRLTPPPDYTKTLLPLTVGLGLTLVIFSLTRSTLPTVGDSSHSLPHGGWYRDGTKLVHYHKPQSP -GTSWTPILLVLGLSAAIYVSHLFSTRSCARHCPHCGAKHTESA - ->NP_958173.1 triple block protein 2 [Poplar mosaic virus] -MPLSPPPDHSKTFLVAAAGLSLVLCLYTLTRSTLPGVGDNIHSLPHGGQYRDGTKSINYCSPGKSYPSSN -LLRGGHFPALCAILLISGAILISYRFQPGALSRCGVTH - ->NP_663726.1 TGB2 protein [Pepino mosaic virus] -MPGLTPRADLTDTYKIIAIAFLLSACIYFQNSHYQPVAGDNLHRLPFGGQYQDGTKKISYFPQQQSYFHS -GNKLNVLILIFILTLGIVLTNKFSFSFSRTTHQHSCYNTHSATNNTQPLSGHH - ->NP_659012.1 TGB2 protein [Garlic virus E] -MSFAPPPDHSKVYITLAGGAALGIFVYTLRSNQLPHVGDNTHSLPHGGRYCDGNKQIHYFKPNAGGQSGS -SHLPLYAVFFLTLAILLLSRPRRRLCVRCSESH - ->NP_620838.1 12K triple gene block protein [Plantago asiatica mosaic virus] -MSGAHHLTPPTDYGKPVLAASIGISLALLVYTATRSTLPHVGDNLHALPHGGRYVDGTKSISYFSPSASK -TRDPFPFAFLLILTLSGLILLLSRRRSNPHSCPSCGTPHA - ->NP_620644.1 13K triple gene block protein [Strawberry mild yellow edge virus] -MPLTPPPDHSITYRILAVGLCSCCAIYAATRSTLPHTGDNLHSLPYGGKYSDGTKSICYSGPGPTPDIPT -HLPALLVLVLVVAIYASSRLDFSVNYRCSCRVHNRSGQ - ->NP_620650.1 membrane protein [Shallot virus X] -MSFAPPPDYSKIYLALGCGLGLGFVVYASRVNHLPHVGDNTHNLPHGGQYCDGNKRVLYSGPKSGSSPTN -NLWPFITVIALTLAILLTSCPRRRVCIRCSQHH - ->NP_619748.1 12 kDa protein [Potato aucuba mosaic virus] -MPGLTPPKDYTASVKVLAIAVLRFATIFAIRANHQPQVGDSSHSLPFGGHYKDGTKEVLYYPQNSKVALK -GFDNKIVAFIVCLSILIYVSLPRLFASNNVCRTCNSSTVAQ - ->NP_619561.1 TGB2 protein [Garlic latent virus] -MPLSPPPDHTRTYFAGAIGVCVALCLYTLTRSTLPHVGDNIHSLPHGGCYQDGTKRIFYNRPAKNFPSSN -LPLSFTSPVLLLGILIGLIFLSEKIRSSSRTRMTCSCNHS - ->NP_604466.1 ORF3 [Apple stem pitting virus] -MPFAQPPDYSKSVFPIAVGIAVAVVLFTLTRSTLPQVGDNIHNLPHGGNYQDGTKRISYCGPRDSFPSSS -LISSGTPMIIGIIIFLIFAIYVSEKWSRSGSRRCSCCVPGAPACTATVHE - ->NP_570728.1 triple gene block protein 2 [Asparagus virus 3] -MPGLTPPTNYETVFKILAIGALSCLSIYALRANQLPHTGDNIHHLPHGGNYADGTKRIQYFRPGGNLHDH -SKFTAGCVVFFLTLLILVQSQWPSRTIRSSVHVCTHCPQTPTLSGDHHR - ->NP_569128.1 11kDa protein [Garlic virus A] -MSFAPPPDYSKAYITLAGGAALGILVYTLRSDHLPHVGDNTHHLPHGGRYCDGNKQIHYFKPNSGSPSGG -SYIPPLIIFTLTLAILLLSRPRRRICIRCSESH - ->NP_569134.1 11kDa protein [Garlic virus C] -MSFTPPPDYNKVYLTLAIGAAVGILVHTLRSNHLTHVGDNTHHLPHGGRYCDGNKRIHYNGPQAGSTHVS -SVLPFFAAITLTLVIHFISCRRRRVCIRCSEPH - ->NP_203555.1 12 kDa triple gene block protein [Indian citrus ringspot virus] -MPLQPPPDHTWAVRIIALGLAVTALIFTSTRDTSRHVGDPSHSLPFGGHYRDGSKVIHYNSPRSSKPSNH -TPYLLFAPIGIILLIHALHRLGNSAHICRCTHCMPHSQT - ->NP_148782.1 12 kDa protein [Cactus virus X] -MSGAPLRLTPPPDHTRTLFPLTLGLGLSLVVFALTRSTLPSVGDSSHSLPHGGWYRDGTKTVFYSGPKKT -AINWSPPIFVLFLTLALYVSYLFESRSRAGSCSHCGSNHT - ->NP_112031.1 triple gene block protein 3 [Banana mild mosaic virus] -MALSRPPDYTKVLLVSSLAVGTAIIIHFLRRSELPHVGDNLHHLPYGGSYCDGTKRINYGGSHNKHSLIT -FNPLLLVFILSALIYVLSKRDSMSVGVHNCGAGCHVHIRRNR - ->NP_077081.1 '12 KDa' triple gene block protein [Clover yellow mosaic virus] -MSGAPIHLTPPPDHSKTLFAAVVGVSLAVLVFTLTRSTLPHTGDNIHSLPHGGHYRDGTKVIGYNSPNGT -RSGPQKTIPLVLAIILPAIIYALSHRRSPHPCSNPLCPALHNQG - ->NP_059940.1 12 kDa triple gene block protein [Cherry necrotic rusty mottle virus] -MSLRPPTDYSRPLLCAVIGVSLAVICATFKASYLPSVGDNLHSLPHGGSYRDGTKAINYNGLNCVGGASV -NSQFLPSKIVAFSFVCVISLLIYACSGPTHRPISVQHYCVHHRSI - ->NP_047283.1 12.8 kDa protein [Grapevine rupestris stem pitting-associated virus] -MPFQQPANWAKTITPLTVGLGIGLVLHFLRKSNLPYSGDNIHQFPHGGRYRDGTKSITYCGPKQSFPSSG -IFGQSENFVPLMLVIGLIAFIHVLSVWNSGLGRNCNCHPNPCSCRQQ - ->NP_054027.1 hypothetical protein CymMVgp3 [Cymbidium mosaic virus] -MPGLVPPPDHSKSLFVLAIGITVVSALFVLKSHTFPIAGDNIHRFPSGGQYKDGTKQINYCPPTHARYPK -YPDYKWLAATAAIVIPLCLYISYHPGNNIRRICPCCNTYHHP - ->NP_044573.1 12 kDa protein [Garlic virus X] -MSFTPPPDHTKSFLALATGAAAGLLIYTLRSNQLAPVGDNTHQLPHGGRYRDGNKFVSYNGPNTGASSHS -IWWPAITAITLTIVIHFLSSTRRRVCVRCSESTLPH - ->NP_044332.1 triple gene block protein 2 [Papaya mosaic virus] -MSSHQNFLTPPPDHSKAILAVAVGVGLAIVLHFSLSYKLPSPGDNIHSLPFGGTYRDGTKSIIYNSPHRG -PGQSGALPIITVFAIIECTLHVLRKRDNPVRPQHSDCPNCS - ->NP_042697.1 triple gene block protein 2 [Cassava common mosaic virus] -MSGHHHLTPPTDYSKAVLAAVIGISSSIFIHTITRSTNPHVGDNIHALPHGGYYRDGTKTVYYGGPGPTA -VSASAGKFWAFLCIFTISGFLFFSNRHRTNSSCSCRPNLGAN - ->NP_042585.1 hypothetical protein BaMVgp4 [Bamboo mosaic virus] -MDQPLHLARPLDNTRAYLVLAIGVASALFLYTLTRNTLPHTGDNIHHLPHGGRYVDGTKGILYNSPTSSY -PSSSLPFSMVIALATTLFLITRNILNPAPTTPRIYAPLCLHCHRNHPPC - ->NP_040990.1 11K triple gene block protein [Foxtail mosaic virus] -MSLSQGTGAPAISTPLTLRPPPDNTKAILTVAIGIAASLVFFMLTRNNLPHVGDNIHSLPHGGSYIDGTK -SINYRPPASRYPSSNLLVSLHQYSPQYSFSSHSHI - ->NP_040780.1 14K triple gene block protein [Narcissus mosaic virus] -MPGLTPPVNYEQVYKVLAIGFLLCASIYCLRSNHLPHVGDNIHSLPHGGNYADGTKRVQYFRPHSSTSTN -HKYTALCAVLTLSLLIFAQTRLAAGNRITSVSICHHCSSQGSLSGGNHGRVSGHSELPTT - ->sp|P22593.1|TGB2_PVXCP RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 -MSAQGHRLTAPVNSEKVYIVLGLSFALISITFLLSRSNLPHVGDNIHSLPHGGAYRDGTKAVLYNSPNFG -SRTSLSNGKNAAFAVVLLLSLLIYGSRCLSQRNHLCACGNNHSSH - ->sp|Q07633.1|TGB2_PVXHB RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 -MSAQGHRLTAPVNSEKVYIVLGLSFALISITFLLSRNNLPHVGDNIHSLPHGDAYRDGTKAILYNSPNFG -SRTSLNNSKNAAFAAVLLLSLLIYGSRCLSQRNHLCACGNNHSSN - ->sp|P22170.2|TGB2_FXMV RecName: Full=Movement protein TGB2; AltName: Full=12 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 -MSLSHGTGAPAISTPLTLRPPPDNTKAILTIAIGIAASLVFFMLTRNNLPHVGDNIHSLPHGGSYIDGTK -SINYRPPASRYPSSNLLAFAPPILAAVLFFLTQPYLATRRSRCVRCFVVHGACTNHT - diff --git a/seq/clusters_seq/cluster_130 b/seq/clusters_seq/cluster_130 deleted file mode 100644 index 12af341..0000000 --- a/seq/clusters_seq/cluster_130 +++ /dev/null @@ -1,350 +0,0 @@ ->YP_308871.1 nucleoprotein [Influenza A virus (A/Korea/426/1968(H2N2))] -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAIASGYNFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPAFSVQRNLPF -DKPTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEMSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->YP_308843.1 nucleocapsid protein [Influenza A virus (A/New York/392/2004(H3N2))] -MASQGTKRSYEQMETDGDRQNATEIRASVGKMIDGIGRFYIQMCTELKLSDHEGRLIQNSLTIEKMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVDGKWMRELVLYDKEEIRRIWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMVKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMVDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACA -YGPAVSSGYDFEKEGYSLVGIDPFKLLQNSQIYSLIRPNENPAHKSQLVWMACHSAAFEDLRLLSFIRGT -KVSPRGKLSTRGVQIASNENMDNMGSSTLELRSGYWAIRTRSGGNTNQQRASAGQTSVQPTFSVQRNLPF -EKSTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->NP_056661.1 nucleoprotein [Influenza B virus (B/Lee/1940)] -MSNMDIDSINTGTIDKTPEELTPGTSGATRPIIKPATLAPPSNKRTRNPSPERTTTSSETDIGRKIQKKQ -TPTEIKKSVYKMVVKLGEFYNQMMVKAGLNDDMERNLIQNAQAVERILLAATDDKKTEYQKKRNARDVKE -GKEEIDHNKTGGTFYKMVRDDKTIYFSPIKITFLKEEVKTMYKTTMGSDGFSGLNHIMIGHSQMNDVCFQ -RSKGLKRVGLDPSLISTFAGSTLPRRSGTTGVAIKGGGTLVDEAIRFIGRAMADRGLLRDIKAKTAYEKI -LLNLKNKCSAPQQKALVDQVIGSRNPGIADIEDLTLLARSMVVVRPSVASKVVLPISIYAKIPQLGFNTE -EYSMVGYEAMALYNMATPVSILRMGDDAKDKSQLFFMSCFGAAYEDLRVLSALTGTEFKPRSALKCKGFH -VPAKEQVEGMGAALMSIKLQFWAPMTRSGGNEVSGEGGSGQISCSPVFAVERPIALSKQAVRRMLSMNVE -GRDADVKGNLLKMMNDSMAKKTSGNAFIGKKMFQISDKNKVNPIEIPIKQTIPNFFFGRDTAEDYDDLDY - ->NP_040982.1 nucleoprotein [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MASQGTKRSYEQMETDGERQNATEIRASVGKMIGGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVNGKWMRELILYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELVRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQKAMMDQVRESRDPGNAEFEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFRLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVLSFIKGT -KVVPRGKLSTRGVQIASNENMETMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DRTTVMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKAASPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|B4URE0.1|NCAP_I06A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIGGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVNGKWMRELILYDKEEMRRIWRQANNGDDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELVRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQKAMMDQVRESRNPGNAEFEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFRLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVLSFIKGT -KVLPRGKLSTRGVQIASNENMETMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DRTTIMAAFNGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKAASPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|A8C8J8.2|NCAP_I07A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGRMIGGIGRFYIQMCTELKLNDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWVRELVLYDKEEIRRIWRQANNGDDATAGLTHIMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVLELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQKAMMDQVRESRNPGNAEIEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGVDPFKLLQTSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -RVLPRGKLSTRGVQIASNENMDAIVSSTLELRSRYWAIRTRSGGNTNQQRASAGQISTQPTFSVQRNLPF -DKATIMAAFTGNTEGRTSDMRAEIIKMMESARPEEVSFQGRGVFELSDERATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|A3DRP4.1|NCAP_I96A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIGGIGRFYIQMCTELKLNDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWVRELVLYDKEEIRRIWRQANNGDDATAGLTHIMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVLELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQTSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -RVLPRGKLSTRGVQIASNENMDSIVSSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIKMMESARPEEVSFQGRGVFELSDERATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|A4GCH9.1|NCAP_I83A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIGGIGRFYIQMCTELKLNDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRXLVLYDKEEIRRIWRQANNGDDATAGLTHIMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVLELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQTSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -KVIPRGKLSTRGVQIASNENMDTMVSSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIKMMESARPEEVSFQGRGVFEFSDERAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|A4K147.1|NCAP_I54A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDRKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -KVIPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIRMMESAKPEEVSFQGRGVFELSDEKAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|A4U6V6.1|NCAP_I45A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMISGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELILYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEFEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -KVIPRGKLSTRGVQIASNENMETMGSSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DRTTIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|A4GCL2.1|NCAP_I43A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIGGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELILYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEFEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGKLSTRGVQIASNENMETMGSSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DRTTIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|A4GCM3.1|NCAP_I35A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIGGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVNGKWMRELILYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEFEDLTFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFRLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -KVVPRGKLSTRGVQIASNENMEAMESSTLELRSKYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DRTTVMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKAASPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P16982.2|NCAP_I83A8 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYKRVDGRWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFSARSALILRGSVAHKSCLPACV -YGPAVSSGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRLLSFIRGT -KVSPRGKLSTRGVQIASNENMDNMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -EKSTVMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q08028.2|NCAP_I75A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFSARSALILRGSVAHKSCLPACV -YGPAVASGYNFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRLLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPAFSVQRNLPF -DKSTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPVVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P06827.2|NCAP_I72A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRGAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRLLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPAFSVQRNLPF -DKSTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q3YPZ1.1|NCAP_I71A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTTRSYEQMETDGERQNATEIRASVGKMVDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRVDGKWIRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRGAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALVLRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSKYWAIRTRSGGNTNQQRASAGQISVQPAFSVQRNLPF -DKPTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P21433.2|NCAP_I60A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNANEIRASVGKMIGGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRNAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -KVIPRGKLSTRGVQIASNENMDTMGSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -DKPTIMAAFTGNAEGRTSDMRAEIIRMMEGAKPEEVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q09159.1|NCAP_I77A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTVERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYKRVNGKWMRELVLYDKEEIRRIWRQANNGDDATRGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFSARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRLLSFIRGT -KVCPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -DKSTVMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q07552.1|NCAP_I80A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRVDGKWMRELILYDKEEIRRIWRQANNGDDATRGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFSARSALILRGSVAHKSCLPACV -YGPAVSSGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRLFSFIRGT -KVCPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -EKSTVMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q07531.1|NCAP_I73A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATRGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEYEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRLLSFIRGT -KVFPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPAFSVQKNLPF -DKSTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P16986.1|NCAP_I76AA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMINGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEMRRIWRQANNGDDATAGLTHLMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPAFSVQRNLPF -DKPTIMAAFTGNTEGRTSDMRTEIIRMMEGAKPEEMSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26090.1|NCAP_I83A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRVGGKWIRELILYDKEEIRRIWRQANNGDDATRGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFSARSALILRGSVAHKSCLPACV -YGPAVSSGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRLLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -DKSTVMAAFTGNTEGRTSDMRAEIIRMMENAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26073.1|NCAP_I68A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERPNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMGSSTLELRSRYWAIRTRSGGNTTQQRASAGQISVQPTFSVQRNLPF -DKPTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEMSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P18073.1|NCAP_I77AB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVLELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYEFEKEGYSLVGIDPFKLLQTSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -KVIPRGKLSTRGVQIASNENMDTMGSSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIKMMESARPEEVSFQGRGVFELSDERAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P18072.1|NCAP_I77AH RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATRGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFSARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRLLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -DKSTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26072.1|NCAP_I57A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVNGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -KVIPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIRMMEGAKPEEVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P03467.1|NCAP_I68A6 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKGEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVLSFIRGT -KVSPRGKLSTRGVQIASNENMDAMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPAFSVQRNLPF -DKPTIMAAFTGNTEGRTSDMRAEIIRMMEGAKPEEMSFQGRGVFELSDEKAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P16314.1|NCAP_I79A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYKRVDRKWMRELILYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRSAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRLLSFIRGT -KVSPRGKLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -DKSTVMAAFTGNTEGRTSDMRAEIIRMMEDAKPEEVSFRGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26069.1|NCAP_I40A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMISGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVGGKWMRELILYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -KVVPRGRLSTRGVQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIRMMENARPEEVSFQGRGVFELSDERAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P18071.1|NCAP_I50A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGDRQNATEIRASVGKMIDGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELVLYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEKEGYSLVGIDPFKLLQNSQVYSLIRPNENPAHKSQLVWMACNSAAFEDLRVSSFIRGT -KVIPRGKLSTRGVQIASNENMDTMGSSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIRMMESARPEEVSFQGRGVFELSDERAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26070.1|NCAP_I47A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGKMVGGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRVDGKWMRELILYDKEEIRRIWRQANNGDDATAGLTHIMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFKLLQNSHVYSLIRPNENPAHKSQLVWMACNSAAFEDLRLSSFIRGT -KVVPRGRLSTRGIQIASNENMDTMESSTLELRSRYWAIRTRSGGNTNQQRASAGQISIQPTFSVQRNLPF -DKTTIMAAFTGNAEGRTSDMRAEIIRMMENARPEEVSFQGRGVFELSDERAANPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|O36433.1|NCAP_INBP9 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSNMDIDGINTGTIDKTPEEITSGTSGTTRPIIRPATLAPPSNKRTRNPSPERATTSSEADVGRKTQKKQ -TPTEIKKSVYNMVVKLGEFYNQMMVKAGLNDDMERNLIQNAHAVERILLAATDDKKTEFQRKKNARDVKE -GKEEIDHNKTGGTFYKMVRDDKTIYFSPIRITFLKEEVKTMYKTTMGSDGFSGLNHIMIGHSQMNDVCFQ -RSKALKRVGLDPSLISTFAGSTLPRRSGATGVAIKGGGTLVAEAIRFIGRAMADRGLLRDIKAKTAYEKI -LLNLKNKCSAPQQKALVDQVIGSRNPGIADIEDLTLLARSMVVVRPSVASKVVLPISIYAKIPQLGFNVE -EYSMVGYEAMALYNMATPVSILRMGDDAKDKSQLFFMSCFGAAYEDLRVLSALTGIEFKPRSALKCKGFH -VPAKEQVEGMGAALMSIKLQFWAPMTRSGGNEVGGDGGSGQISCSPVFAVERPIALSKQAVRRMLSMNIE -GRDADVKGNLLKMMNDSMAKKTNGNAFIGKKMFQISDKNKTNPVEIPIKQTIPNFFFGRDTAEDYDDLDY - ->sp|P13885.1|NCAP_INBAD RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSNMDIDGINTGTIDKTPEEITSGTSGATRPIIKPATLAPPSNKRTRNPSPERATTSSEAIVGRRTQKKQ -TPTEIKKSVYNMVVKLGEFYNQMMVKAGLNDDMERNLIQNAHAVERILLAATDDKKTEYQKKKNARDVKE -GKEEIDHNKTGGTFYKMVRDDKTIYFSPIRITFLKEEVKTMYKTTMGSDGFSGLNHIMIGHSQMNDVCFQ -RSKALKRVGLDPSLISTFAGSTLPRRSGATGVAIKGGGTLVAEAIRFIGRAMADRGLLRDIRAKTAYEKI -LLNLKNKCSAPQQKALVDQVIGSRNPGIADIEDLTLLARSMVVVRPSVASKVVLPISINAKIPQLGFNVE -EYSMVGYEAMALYNMATPVSILRMGDDAKDKSQLFFMSCFGAAYEDQRVLSALTGTEFKPRSALKCKGFH -VPAKEQVEGMGAALMSIKLQFWAPMTRSGGNEVGGDGGSGQISCSPVFAVERPIALSKQAVRRMLSMNIE -GRDADVKGNLLKMMNDSMAKKTNGNAFIGKKMFQISDKNKINPVDIPIKQTIPNFFFGRDTAEDYDDLDY - ->sp|P13884.1|NCAP_INBAC RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSNMDIDGINTGTIDKTPEEITSGTSGATRPIIKPATLAPPSNKRTRNPSPERAATSSEADVGRRTQKKQ -TPTEIKKSVYNMVVKLGEFYNQMMVKAGLNDDMERNLIQNAHAAERILLAATDDKKTEFQKKKNARDVKE -GKEEIDHNKTGGTFYKMVRDDKTIYFSPIRITFLKEEVKTMYKTTMGSDGFSGLNHIMIGHSQMNDVCFQ -RSKALKRVGLDPSLISTFAGSTLPRRSGATGVAIKGGGTLVAEAIRFIGRAMADRGLLRDIRAKTAYEKI -LLNLKNKCSAPQQKALVDQVIGSRNPGIADIEDLTLLARSMVVVRPSVASKVVLPISINAKIPQLGFNVE -EYSMVGYEAMALYNMATPVSILRMGDDAKDKSQLFFMSCFGAAYEDQRVLSALTGTEFKHRSALKCKGFH -VPAKEQVEGMGAALMSIKLQFWAPMTRSGGNEVGGDGGSGQISCSPVFAVERPIALSKQAVRRMLSMNIE -GRDADVKGNLLKMMNDSMTKKTNGNAFIGKKMFQISDKNKTNPIEIPIKQTIPNFFFGRDTAEDYDDLDY - ->sp|P11102.1|NCAP_INBAA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSNMDIDGINAGTIDKTPEETTSGTSGATRPIIRPATLAPPSNKRTRNPSPERATTSSEADIGRRTQKKQ -TPTEIKKSVYNMVVKLGEFYNQMMVKAGLNDDMERNLIQNAHAVERILLAATDDKKTEFQKKKNARDVKE -GKEEIDHNKTGGTFYKMVRDDKTIYFSPIRITFLKEEVKTMYKTTMGSDGFSGLNHIMIGHSQMNDVCFQ -RSKALKRVGLDPSLISTFAGSTLPRRSGTTGVATKGGGTLVAEAIRFIGRAMADRGLLRDIKAKTAYEKI -LLNLKNKCSAPQQKALVDQVIGSRNPGIADIEDLTLLARSMVVVRPSVASKVVLPISIYAKIPQLGFNVE -EYSMVGYEAMALYNMATPVSILRMGDDAKDKSQLFFMSCFGAAYEDLRVLSALTGTEFKPRSALKCKGFH -VPAKEQVEGMGAALMSIKLQFWAPMTRSGGNEVGGDGGSGQISCNPVFAVERPIALSKQAVRRMLSMNIE -GRDADVKGNLLKMMNDSMAKKTNGNAFIGKKMFQISDKNKTNPIEIQIKQTIPNFFFGRDTAEDYDDLDY - diff --git a/seq/clusters_seq/cluster_1300 b/seq/clusters_seq/cluster_1300 deleted file mode 100644 index fedab7a..0000000 --- a/seq/clusters_seq/cluster_1300 +++ /dev/null @@ -1,41 +0,0 @@ ->YP_009336704.1 hypothetical protein 1 [Beihai sobemo-like virus 16] -MQLFTLSLGLTFSLWLLTRTAAATLQNERFYSSTDSFDVDPVMVAYFHYAFLFFFLVTMIGMTVCFILCP -IFLWISRTIGYCLLGTYLPGFKLELLNEMSVREKKTDFIVGAPLPDCQVIVYRRLNDDYVTAVGQGFFVR -DGEIVTAQHNVETAGEYYVAKGHTELKFKVTGDRELEGPQIDLVVLQVPKGVMQKMHASPAKLQSRVLNN -QGITIHAGGLRDDDTVLSSNGTIAAMSGREGVLTCGHTADTLPGFSGAPIFLGRKVVAIHMTSIPSKVNS -GSWIWPALGDYFHPVLSRRETAAFSSSTSRKSSDIDQFMEYDEDVYIRSRSGQLRKMKAEDFFEYAEPTF -LRSYEENQELLEQGASWAEVSKWADEDQLAQRETNKTPVSDTDSDDSDSTSSFVSTKSSSSSYASCRPEP -LARRENLDPIPLPTENLRPQAVLDAVTEYAIILRRYNELKVMIDILNHASNHSLALRDQQSNFQLSSDID -VLRRELENASAVLKESNLKLSHIMQKSADDAQVARNWQRYRHSHADVRPPVSQQTQDLLAAARMEIDAAS -QRLQAIPERPRQEPFAVRESVEADAVISRYLPGFFNRGAHPAGPSVSKPSNQTIQAFLPEKDVNPQGNKL -SSAQPNGSDKAPPPTPDDSLLLPPPLKDSSPPTTPIRSTTVAPTTPSTAAKSSPKRRRRSRNSSRRRKTT -SNPQNPSTPQPSAPHSASTRESLVPPGVDATLQIEKLSLAQRKSLMKDLLRDPDAYLLVGPGENSPSSPP -SSPSEVSSPPL - ->YP_009336639.1 hypothetical protein [Beihai sobemo-like virus 15] -MSFNGVLPNQFHLEEHLSPYTNYQGSAPPMDFHPLGIKTIELVHILLASLILLTMGLMCALLRDWFATTP -LRDLLSRKRRPKHNIPDATEDEEEEQPLVVDECPKCRPQQSPQPESNDYFTKCKLPKEQVILWRTCCKSD -DPAKPPLTTHVGQAFFIAKDTLLTTAHSIKPGEKYVISKSQIGRAFPVLSVQYPTGPAKDVIYLKVENRA -FTQLEASVAKIASEYLPGDTVSVCGGGLEPQESSDRALQSIGNLEPWEGAAGILISAHNCNTLKGFSGAP -IFCGNKVVAMHNQTQTDMFNIATNLWPVLGTKCQISPQFESSEMDANFFRHDDTRILEYDDMVYVSKAGR -FYNIDRQVYQKLKQKMPNTTDSLYVPPKENAWADGGEEIDFNSPLVFESSNALPTPLTPLNVDAVRQANV -AITQVNNAYFALRTMAMTTSRLLNLALSQKDEEGAKSLANNFTYLSKQLAPESFKQTIRDAIDNFSYATM -LSEQDEKLAKKWKKRRDAGDPDAEFKQITDGYIAEIDTYSKALAGIPKRLNKNDLKIQVPPEPEFNDREN -AFFLKYGINFANPEEILKAPAIELPKKPEESEPKVILAPQRPTQEIRNKTTKGKLSKTPNTSSVQVLNTP -SAPSKEMEAKLVDHEEKLKSAKQSKLQEECSGNCLTAQTGLESSIAQLTSLIQLLTTTIAASQLTSPPQT -PSSKLSPTTQKKPQGMSSLQAQPTQKQSQLVSECTLSTIDEPRKDLKSLMQLQSEGCRRDMLSNLLRPGV -QCIKITGETIEPCQDSKGSEPSSLKTTTDAMC - ->YP_009330051.1 hypothetical protein 1 [Beihai sobemo-like virus 17] -MISYEQLTGYYYVASAVCSRVLEAFLEAMLNWLLSPYGRGVTFFLILQLAVFSAVLAYAFTYYAAKRVWT -LVKYVVFIFLAPSYYVYERYIKGFELIYPRNLFVQESINYLQRVKALPQCQVILCTRSDESLFRKSYRVI -GQAFFIGINQGGPDTLMTAAHNLVGQDKEYFITRDMKTFHPVLSNEQDSGSPVIDVVLLKVPQGTGTKLR -AAPASTQCWCPNSSAVEVYAGGMAEGGEPVKSVGQVTSIEGPNGIFTLAHSASTDGGFSGAPLFQGNKVV -GMHLASLQNQVNLGVWLWPILGEVKEVTPRGFGDFSQESGEFSLEHSEDGFAWMKDEPPFELSVRGDRAY -VRTSDGRNYNYEATDHHLRLLRSKYSQFRKSYDDFIDYDQVERDSNYHTAVGKAWEVDEPDFDEVIQWEN -AKKMENESFLQWIKQQIDPKNLVWESKPRPMENLEPTHVLTGLRAFSRILHDANEAKVAMEMMCRTGNLN -LARRDKEASFQQAADASLLADTMRVISTKLAEASDLFEQVVQKSDKDAAQSTNWANYRHKHRDDMPPPQA -QTRAHVDRWLRNIETQLIVLRAVPKRQTPTSISQTAEPQVVQERLSDLSDMFETFGLNFEETEDGQDFRL -GAPQKEDGAPDNQKAELSSQPSLCSEEQSSETIQKKKKVSWAPNLETPSETRNSRHQNTSRKPSQEKNNM -GGPRKPRNPRRFEKRSNFTQESMMPPDNGVEELLKKVSMAALKPFFEATLRQLDNSQ - diff --git a/seq/clusters_seq/cluster_1301 b/seq/clusters_seq/cluster_1301 deleted file mode 100644 index 34f4ed6..0000000 --- a/seq/clusters_seq/cluster_1301 +++ /dev/null @@ -1,73 +0,0 @@ ->YP_009336669.1 hypothetical protein 1 [Hubei toti-like virus 21] -MIKKATVAMRYDKSLSETPPEIPTTSQNSESRQTSSSIQTETEDALISEKELNSLLGSIPATNQDKMADT -NKGLIFSGDIEQCWQGIYDKASVDPKHLSNYELEIRSLQWGWVHCVTDAPSSLINRRIDSSTEANCRAIV -AQGKGLRDNMGLKEISVPIPAGGKGVVPVNNTAKIKRREDGLSARARKIADDLRNNPARIRGFLAHGHAN -RKWTTAVCRYLRAGKGIAPETNEITSTINSGDKTAGKKLSSTLNSATKLLVDAWATKDVGPIMEKKDSKE -DAGILDDDIVDEANNEYHAGAVYASARTAGILSAVHNAKVCIHCLLANTAAPLPTTEGRFSHLNLDELRN -LNLQRMLKSDAVGYLQACAAIHNREQHASNGNIHFTAHAGMVRFDVGLMARQKADTLKLVMDTERPTPAA -LLNVCENNIAKANFYTENSKHSVLPSAQGTLYQYTYDPVIWDDVLALAKNTNVTDNNLLDGFAEIEGWKK -VVALGARSNCDSSDEYSSITLDAIIEAANEQTSLEIPHHLKPKYVSVHTLPSHRADQLGLTAAATERIAS -SSAFASGGIGINDQRGYNIMNYVSGANLLLGSALKMATTDNLDWREVGIKAAILEACREPSMTWPTGQDW -AIPKRAVPQTRYWPTVDKHIKAVVVSINYIDACLRENNGLKVSVDGVIDHWNLTDANLKVVSLSEDTNTT -ELTRSLRTILALPFPVVNVIDEYQIKYLGDKSDVYSRKCFYRNASLVAMGDKVDRIVFIVPDKSQTVVKL -GGVYRKVACPTRFNAIESVPDVWPAHETILRMIHTLMGCDRNLRVVCNEYMRKYSEAPLNWNEVQAICGA -LITRFPRQPHVYISYVNEDDEAPEHQYSYGLPKKMLSAYGLRGFTPQDENDARFFGHEEAVTCFSQVLID -TLQQCQDTCHLSLGRWSNYAELLMAASVVTLIPGTALGSDNTKLGLCATGLDTLNKISLYRRGTEEWASA -SGMSEYVLNPRLYSRSAGNIEAYITGGGNDSRIVTLQVWASTCIGGASLSWYWSSNYEKSVPLAPNHRRL -GSGLYQTDYTLCLRGLDLPPRNFVSNTTIGSRGYGWAHLRGLEPVDDYEIEKFVSRLDFHKVNEWGYLQW -PDGNIKREGSYRVTSTTTAGLASLAGWRGFNDSYKGVWGWGVDYMKTDLKFETMATLALRPTTLSRVSAS -VFVPGLWQSIGIGLDASVETTGSMIDRMRGFSLAAQPPDGSFLSQLERVLSLHLPTRSDGVTSTTSMAQL -VEQANRTEAASIESFHGAIPSPLPSSNPEVIPKTGTSQNSTPQSMEDQVMINRAIPPTHKIGTDGAGGVT -LDQVARTDVN - ->YP_009336641.1 hypothetical protein 1 [Hubei toti-like virus 20] -MAGNAGQIHTIDPTVQGGVDRDDEGGNEGSTNRKPRRITAADLAPLEITMPHIPCYAEDAKSMTIALDDC -PEKTKEYDIVMIEKFYKTAPCHPTCKHPSEGRMFRHRYTTVVGSQFSITEHRLSHGPPQSRAPDVTPLLE -SAEDVAFFTACLGDDGYFNHDKFITTCLNNQLDELQRFTLHRRLSKAIDAHICALRQARDVEPQEVSYFC -SLTAEPTTGIVLKRRQRTNVPVTDSDMGGIKGDAEGPAHEGESSDKCDIPAVAPEPEEPGQTKAIGSSPK -SYLMKIAGRAKRTVSRKHPKENSNNGNVETIETVHSPTPPPAPVAPVANVPTNTTTPIIVSTDKIADEGI -DLVFGGDVEECWQGIYQKASRDPKELSAYDIEVRSLQWGWVHCVTDAPATVINRRVDSSTEAACRSLVDQ -GKGLRDQLGLKEISVPLPPSGKGMVITNTAKIKRREDGLSARARKIAEELRNNPARIRGFLAHGHTNRKW -TTAVCRYLRTDKGIGPETSEIKTSVNDPVGTKNKASSALNAATKQLVVAWATKDVGPILEKKDSSENAGF -LADEIIDEANDEQHSEAVYTSARSAGILTAVHHARVCIHCLLANTSSPTQAKEGKYSKLTLSELRSLNLQ -RLLKSDAQGYLEACAAIHNREQHALNGNIHFTAPAGITRFDAAFLAKEKAGALKQVMDTDRPTPAALLNV -CENNLSKANFYTDGAKHSVLPSAQGTLHQYAYDPAIWEGILSLARDTSVTSEQIKNDRPDLDIWKRRVIL -DARAACTSSDDYATISQTTLLSAANNQASLDIPHYLRPRYISVHTLPSQKSDQLGLTMAATERIAASSAF -ASGGIGINDQRGYNIMNYVSGANLLLGTALQMATTDNLDWREIGLKAAILEACRESSMTWPTGQDWAIPR -RAVPKTSYYPAIEAGIKAVVVSLNYIDACLRENNGLKVRVDGVLDHWNMNDANLRVVSLGEDTSSVELTR -SLRTILALPFPVVTVMEEFELKYLGDRTDTYTTRQFYRNASLISMGDKVDRLVFIVPDKSQTSVKIAGTF -RTVACPTRFNVADAVPDVWSADETLLRMLHTVMGCGRNLKVVCNDYLRKYSEAPLNWGEVQTMCGILTTR -FPRQPHVYRSYASEDDENADQLQSYGLPKRVINAYGLRGYQPQDESDARTFGHSEAVTCFSQILLPDLRT -CHNTCHLSIGRWSNYAEVVLTACVALFTPGSSLGSEFSNSNLRITGLDTLNRVSLLRRSVEEWASATGMA -EYILNPQLHPRSTGCVEAHIVGGGNNNKIVTLRAWAATCVGGAGVSWYWNSNYERSAPLAPNHRRLGSSM -YQTDYTLSFRSLDLPPRNFVSNNTIGSRGYGWAHQRGMEPSDDYEIEKFVSRLDFHKLNDWGYLQWPDGN -VKREGTYRVTSTTTANLASQAGWRGFNDAYKGNWGWGVDYMRTNLETETLATLALKPATLSRVSATVFVP -GLWQSIGIGLDTSVETTSSMIDRMRGFSLAAQPKDGTLMSQLEQILAVHLPTQTDGVTSSQSTTQLLDQA -SKAEATGIHSHHGTLPSPLPSSNPMAMPNTGTSQNTGPSDTTDQVTVNRAVPPTLKPGTDGIGGAMLDQV -NRIDVN - ->YP_009333169.1 hypothetical protein 1 [Hubei toti-like virus 19] -MTGDENCQNSIVYGIRAIEGRHGLSYGLASDLVAGGSQAGTAANYHRLSDFEGGEISADSGTPVTDLSLT -DISDLIKEMANDPLLEGDIEILDKTLVQGKKGLFHRIKCCYAKCLKLPNLKNLKKRTESPVMAPLSRTPS -AVEILAMSDDLTKDLDKLFNYCAPGGVFQPDIFGEIAMHAGWNQMKLSQMMVRLETRIDKSREEEERRLA -KEESSESEDEEIEVEGDNYTLWVPASIANATKPPPEKDDRSTRAENKKPALLMGGDVHEAISKMWTMVSK -DPAGLDHKGLNKRRIRWGVVNAAAEVPLRVINERVNDAVEEGFSGDYKEAETADTIAIKPRGGFEVTQPG -RVILKDKPVRKGNLTKRAKEMAEKIKGDAIRIRGFFAMGHHNKKWACAVARYLSKGDYRSTHNTDATQMT -PGDTKAMGRATVDLVTAWASKDVGPLVETKGKFDAQSFQEEIDEPVFKDHTTRVVRAFCSSGLTPAIHDC -NVCVYCVLEQIGVEGTATEEGDYARMTIDDLRKVSLDRLMKTHSSGYLAALAAIHNREQHASNGNIEYTV -QATTSRADAYSAAVVRAWDVDPVRTHLETDIAMLCMEADNRMSKVNFLEQGTVTSVSFGANGTAHQFMFG -KEELNSAKVQNAERARLEKEISAAGEIEVEAELKVMREAGGDAAALKAKEAAIRAKIKDQIRKIDTSSLS -HSVSDTSLDLVRGLDGVAQGQLFIGIGSVRRYEHGFTALTQTGQQLITDSKNTELKGIGINDTRSYNVAR -FAVGSNITLSGIAESSNAERVDLRSLSIKAALYSSMSQNIFTQPTGQDYAIACNGFCDTKYRLPVRDIQA -VFVSTRFLDASFRKGDYITTTFGGRQTRWSLLDSSTTVVALDDSCTDNALAWALWTLCHLEMGDVEVYDV -FNIRKLGRTESEQEAFIRNACNVVTGNNIKKIIFLVPDENRMTISLEGIDYDVSRADRFALAAPAARHDV -SGLIQLMMHRVINSTHNLVSVFDNYIRKYIVGGHINWGEIHMLVSVLITRFPRKPEVQIAKDGTVIKKQM -HFLPRYCYDELKKDIPPGRVPEYADESSTYDHKGAVSAFGHVYASRRIARDNPALILGAWSNIAEVGIFT -GILLYDPCNSETDYCVNTGINGTNLDAIDRAAFFRRGTEEWGEATGVGDVVTNPGVFTKYRSLIDKAIVT -SSQIVTLKHSACNAVGGETLDWSWSINYKAPRAGSFTSRRLHPNMYRSVLNRDTKAKIALASRNYLYDNT -TGSPTLDKIVQDERGADDEVMERLFMRINYLATDDWCYKTWPNGGYNKEGSFGIHSTRYGDLATEAGWDG -FSTGETATWGWGMAQRVKDYTSDTYKTLGITNTLNSSMNTEIPGVGVWYSMGPEQVELPTRRNVYMEKEE -GFRLVASAAAEDGVRKGVPPGTDPAKVAIADPGKILSRDGSLSEHKKRMMEKIVPTGDSARPDTLRNYIN -TELSAPEASTAGETKKAADAKGKPKEPEKKKEPEKKVEKKEPEKKLEEKVVKAPAIEKPGTTKEEAERSS -DHREMPEAT - diff --git a/seq/clusters_seq/cluster_1302 b/seq/clusters_seq/cluster_1302 deleted file mode 100644 index 166f480..0000000 --- a/seq/clusters_seq/cluster_1302 +++ /dev/null @@ -1,80 +0,0 @@ ->YP_009336569.1 hypothetical protein 1 [Changjiang picorna-like virus 14] -MNSKHDNLVYTTNSARPQKLYKGRGEAQRRRVLRDHLFSHRLHTLSKLYKGRPLPIFESSSNLLRVKVDY -FSTEFELLMSRDDPWTNKWLQTLRGFSKSMDYQFSLVGSAVGAIFGAFGMSSYMSSVFNTFFKNLGGTAI -SIMLALGILLKSDNMFVQCQAMTLIITNLGIGFHLLSNIAWPLMPTRLFFQADEGRPFSWFPSAIAVLLT -ILMGGASIAQYVGIFSRTATLGYSMGSIVGLHRILTDSIKELLPYIYKLITGNDWTVEQLATNLSSFTEF -IASVEDFEQHRLSSLEFDWNAQLEVYELQQKYKNLQLEAQRLGLAKSLTPVVQAYWTKIASWVKRVAASG -ILLAGQRAEPVSILISGKPGMGKSYMVNALVRDIGGEDIPWGEIPGEGITNHIYTRNPQEKYWSGYRGQF -CTLYDDFGQIADTEASPDVEFCEVIQAVGDNAFKIPMADIEEKNRGYFRSPLLIATTNLKHFTSVSVKSI -RSPEALARRFDIHVELIKKGNERMYQLMLDNTEKDVVTYPELVNICRSFYRKKQDKFKDRAALGSDKQSK -VEHACVATRLLYVSGPSSIAGTELRRRGHSASNPDHSYCHPSLSCRQQRQSIWDWFMPTDNSQQEHSIHF -VHWLWDPRIENYFTPKNPEEWEELKEVFRLNTPTLDTESDEFMRYGLRNTQEALQGLDSVPYLHRLMARK -ITVLSSKAQKNIIDGLLASSTEDLAELGLIPGTSLIEYWAGVIGRAMMKLFSKVQGIFVGIVQFLEDTLG -NNPGLFIGMLTMLPPMILASAMVFVDLLKDSRQKYENPEQEEKLLKELHKRFLAKRDVSQFESRDMKGAQ -KSNKARSFHMTRESRNEQGAQKSNKSASIKMKFESMPPVHLLEECEVYGMKYVCVRKDLVNFAMKEGGEQ -VDDLIRVAFLQYAELLIEATKGGGLPGDCDTLVLNDRLVEFYNSAVRATSYEAVMNSLDATPTEKKMVHE -GDVQANFQKWLKHQDFKLEYQGSSDQNADGISKAASHNLLDIKAKGALGKASQIFFVSARIAWCNRHTYE -LLKNGDFTITRYKKDNTPDHLDFTWQDCKVVVHPELDIVLIQFPKTLTPYPTFMKHIISDKDLDFKMLPA -GRIVTRREGVMTYIQSPSPAVIEKATIQEGELIPAGSAIGYTNMNTIVGDCGAPFVILDPTRQRKICGLH -FLGNSFGTGQSVLVTQELLQSMMDAGDFVEDLQYQHSFTGVTGETIVDLPIGQIPTPFEPTKTKVRHSII -HGMVSEPITAPSILRVSAVCDPMERGVKELHKKKHIVPQTFVEQAQQVLTRYTSGEVQIARTLTLDEALS -AKGIVGLEPIDISTSAGLPLCLEPDAKGKSKWINLEREPTQEFREMMDCFIGELKSGELKDIPIFKETLK -DERVKKAKANINDPTKIKTRLFSASPLKLLVALRMYYGAFMAHVVRNQIRNTSTTGTNPHGPDWQMIADW -LHEVSTKVDDGDYSCFDTTQPSGFLQAVYDAIRQWYNMNGGTEEDDKIRRQLAELCYHPYRSARGVVYRT -NGSLPSGLFGTTPINSGVNLVAFFFAFKKLYPLATSRDFLENVRSVSHGDDVLFSVSKEFEGFTSENIGK -ALAEVGMIFTPADKGGVASYARPIEETTFLKRGFKKIAGIYRAPLETTSSLEMANWITKTADPTKATIDN -CEAAFRELAISENDTVIQERIRDAVYRTTNGRHTLQLVTQEEAIAALFKSF - ->AOX47510.1 polymerase polyprotein [Kuiper virus] -MQNNHKYFHKALKTLSRIIIKTQEPKMNSKHGKVNHVLDTPRPQKPYKGQGEAHRRRVLRDHLFSHRLIS -LANLYKGKPLPVFESSHRLIRMEVDFFGRKFELLMSLDDPWTRPWMDTLRRFTRSMDYQFSLVGSAVGAI -FGAFGMTAYMTSVFNTFLKTLTASALSTLLAIGILLKADNPFIQCQAMTLIITNLGVSFTTLANIAWPLA -PTNMIFQSNTTEKPFTWVPSAVALLLTILMGGATAGQMVGIFSRTSALGYTMSTIVGLHRILSESLKDLV -PYVYKTITGKDWHVEELATNMTKFTDFVTSVEEFERDKLGSLDSDWAVQLEAFSLQTKYKELLIEAQRLG -LSRTLTPIVSGYWNKINSWIKRVSASGILLAGHRAEPASILISGKPGMGKSYLVNELVRDVGGDDIPWGT -IPGETIANHIYVRNPQEAYWSGYRGHFCTLYDDLGQMADTESAPDPEFLEIIQAVGDNAFKIPMADIEDK -NRGYFRSPLVIATTNLDRLSSTTVKSIRHPTALARRFDIHVEMVKKGKERMYYMMQDGSPAELVTYEELI -NLLRAKYRMKQDKFAQRSQQAQVRESNVQHVCVAHRLVYLSGPSSIVGTELKRRTHNANNPDHSYCHPSL -HCRQRQQGIWDFFFGSTTATADRTIYFCQLLWDPRIKTYLNLDEQTQQDILDWEIDTQEALQEAGLDGEI -NSTNWIENGLRQNTIAWNYLVENRKDLLAKAIRVKICTTQSNIVDGLTSSVLEDIASDGILPVTYLIESW -GAFLFAKIKKTFSYLGGVFTSVITYMSDLTFNHPQLLLSFLAFAPLFINTATKCFAQMLGPTTTPTPNDD -FLANELARRFQEKKQQAGTLNSHESRDMKGAQKSNKARSLHMTRESRDMIGAQKSNRTATLKMRFESNDR -VEFLQEYHHGTFSYVGVREDLLNFACKMGGENVADLLRFAFIQYTDLLIEATAGGGYTRTQMIDRMKDLY -RQAAKVTSEELVLEALDATQKEKRLVLESENSNSFDNWIKMQDVSFEYQGSADQNADGVSKAMTGNIFDI -KCAGSLSKASQIFFYTSRTAWCNKHTYDRIKDHDFTISRYKKDNSTEDFEFKWRDCQVVRHPELDVVIIR -FPKTLTPLPSMKKHLFNDKDLDFKMLPACRLVTRRDGEVVYMQTSSPFLIEKASMEAGDLVPACSSIGYT -NMNTIVGDCGAPLLALDPTRQRKICGMHFLGNSFGSGQAVIITLDLLESMESCGEMTEELEYQYKFSGCE -VDTAVEIPLGMTRTPFEPTKTKVRRSAIHGEVSEPITRPSILRVTAECDPMTRGIKELHGPRIIVPQSFV -DEAQQVLIRYTSGEVMDASTLTIEKALTAEGIRGLEPIELSTSAGLPLCLETDARGKRKWITDERQPTPE -FRKMMTDFIDEIKNGQLEDIPIFKETLKDERVKLAKADYDHPDKIKTRLFSASPLKLLVALRMYYGTFMA -HAVRNEIRNTCTSGANPHGPDWQMIADWLHEVSDKVDDGDYSCFDTSQPSGFLKAVYDSIRAWYRRNGGS -KEDDLIRERLAELCYHPFRSARGVVYRTNGSLPSGMFGTTQINSGSNLVAFYYAFRYLYPESTSEDFLAS -VRTVTHGDDVLFSVSDAFKGFTSENIGRALTTVGMTFTPADKGGTATLARPIEQTTFLKRGFKKICGIYR -APLATSSSLEMCNWITKSADPLQATIDNCKAAFRELAVSEEDTTLQEQIRDAIYRKTNGRHLLPIVTQKE -AINSFLKHF ->APG78064.1 hypothetical protein 1 [Shahe arthropod virus 1] -MATTKVNNVSESSKLVQSAPQKVYNGPTDQAHQRKILRTHLFSGRMFKLASLYDGKPLPLFEKSSRLFNQ -SINFHGKRFTIKLSIDDPWTDAYVTKLRRFEDIQNGRHHQEYQSLTENVIGMALGGMGFTTSILKSAVGG -FTRSLLTSMTSSMCAIGILLKTNDTFICCQAMTILITNLGGSFDILSRIAWPLATAGMVFQANTTFSWIP -SAIAVVLTLIMGAANAGQLFGIFTKSAALGYTMSTIVGTHRIMSESMKELVPFVYEQITGREWLGETILS -NFTTYQDFIKRVDLFEATKLKDLDVNASYQQEVYEMVAMHRALLEESDKLKLRTNLTPLLAGYQRKLTEW -TKLVQASGLLLAGVRQEPICILLSGQPGIGKSFIVQQLVKDIGAEKIPWTNVAGETISNHIYQRNVAVAH -WDGYKQQFCTLYDDFMQVADSATKPNPEVAEMINVAGCNAFHLPMAELAEKKQGYFRSPLVIATSNLSSM -SSTVVKSIISPAALERRFDIHVRLVKRGTQMVYEIMVDGDKNQEIDYDLLVMLARAKMDVKHQAYLKRVR -DSQTKESSIPNLCVARLLHLTSAPSTVRETAMKRQVADLNNLDHSYCHTNSPCKQEHQAMLDWIFGTRDS -VNNLTYYRRNASFGQYLWDPAFKNYSQCWDEAEEAKLLRLKDDLDIALAGTEWEPFFDLIVNHDYGRVPL -YDREGLFQFLNANHRDLLFTTIQRRVSQTQSNILDDCLQDSAIDMSVAGITTPADRIMCYAKILWSVVKK -AFSFLTGAITTALTKIFDLEMQYPFLTVTLLTVSCKCFYLISDYFQDSTDIFNEDVEADNAREALLATFF -EAQESQRQKSESRDEKGAQKSHNVGRMKFESHCFNHLEVTTYGTPPISYDWIHIKDQICNDVCDQKPGAA -AIFREASRQFNDLFILTARTKKIKYSSVLSEMSKMYKYIEAKGVTKDQIAKAMGADKETVRYLESSNKTP -MADAVFESFLMNYESVREEFQGSADQNADGISKKIASNLCDISRRGGSRISQVWFYGGRRCWVNSHTMAL -LEDATEITLTRYNKAGPVETHFRMEDIKVVKHPKLDITLMQFPITFSPFPVCRDLIATDSDMKFVFLPGG -RLVTRRSSELCFLNSGRVKRLDTAYATPNGEQAAFTGLGYDNMHSEFGDCGAPFVAIDNTRNRKIMGFHM -MGNSAGAGTSVIVTQELIQDMEKHSDFAPELTIARQEFQDSQCYDAVLAPVAVIPTPFEPTKTKVRRSII -HGDVTEPTTRPSILRPYDDFDPMHRGLAAMQHSRPNIPMSFVRSAADVMSRYISGTPVVTRVLTLEEALS -GKDIPGVEPMDRSTSAGLPLCLDPMAKGKKKWISEDYQPSDELRVLVDDFEKELASGHLSAPPVFKATLK -DERVKLAKADINVPEKVKTRLFAASPMVLSIVLRKYFGAFFGHLVENRTRNTCTTGVNPMGADWQQMADF -LHEVSPLVNDGDYSTFDVDQPAGFLLVPLQAAIFWYKINGASAEETLMREYLITLIIHAHICAKGTVFRL -EGTLPSGVFGTTAINSGVNLTAFYYAFKRIYPMASSLTFLEDVRTLTHGDDVIFAVSDRLPLYTATNIGT -ALKEAGMTFTPALKGDKMFEARPIEEVTFLKRSFKKIGGVYRAPLATESSAEMCNWITKSNDPIIATEDN -IKAAFRELAISEDDYTLQEAIQQAFYKRTGILIPLVRREELLCEFTKHF diff --git a/seq/clusters_seq/cluster_1303 b/seq/clusters_seq/cluster_1303 deleted file mode 100644 index c5dd638..0000000 --- a/seq/clusters_seq/cluster_1303 +++ /dev/null @@ -1,41 +0,0 @@ ->YP_009330040.1 hypothetical protein 1 [Hubei sobemo-like virus 2] -MMWTATLENSAIASRQLQLSAVELWEDYSYLLNYFFLLGVASVLAVVAIRAAVNYYEELRLDSLVRELPT -PPEDPVLDGHLEFCPNNGYVMRVTTVIDDEQHSFLVKVTPSQVTDMLQGSSKSTRVKEARGSSGVVPPGP -RAWDAGNSTVPPAVHLNGIPSAEEHDFDLRVVRALVRMGVETRENIQALGWDFPEITASDPQTPTTERLL -SETATSQELEMAQPSSVVVPAQEAFTKKILKITDREGNVRGLGFRWENYLVTAYHVYESVKNEECDVSPV -PSTQGFPLSQFSALTYSPKLDFIVLKVDKPEMVWAKIGVSKMSLKTPAPNTPFKVIGKQNNSWNSSTGFL -RAAHGDLKIKHNATTTKGYSGSPLLSDGKVVGLHLGAANPTNYCLDLSFLPLLFQEGVESEEKQGRYHKF -VDSREYQDHADFKVEWEERRKEERDEDYTYGLVHNKRFIEMRYRKSFGDATIVNASEETTRNFFNNKALP -AGVRWADEDDYEAVMPDFVHASKKPQLRGTETTCGMTQKSSGPPPLVTSAPASVSSSSEKAKPSAKPTSP -PTSILKPSPKLSSNDQSSKPSVVFKEVEMQKNSPSTSKPASSGQPKSRKSKKKEHSQAFSAIIQNLESLS -DSEVRDLKSRIG - ->NP_042510.2 hypothetical protein MBVgp3 [Mushroom bacilliform virus] -MSKYLATSVRLCLMVCIVGWLLMPSYKELDGWCSSLSSLERDKSNWLLTGLSTWFCIVPSGTDQSSLVSY -FSPLEKLSKFVQDLDLDFVKLWWLETITLINTLNTTEKLLSGVTFSVVLWYPRILVTVLMLVWKLWFPVR -FLVVASSLLCLRILVWPFEVIADVILETCAWFTRKYHKLMDVIEDLMMIPQRVMEWCSGNTAKMVVPTVA -SCVSESIESKLDRILMALGRKGTVLEAAQPGSDFVECEQWPNGLVAIRRHDGRIVGMGFLVVLNGKWRLV -TAAHVARECKRGIMLSAGIDSKTVTFQDLDVVLQTQVDACIMNVPAGTAASLGVRKVVINRTPSESKVVR -TYGYNSGKFCMSEGLVGTTSANMGFRHGCSTLRGWSGTPIYRDNKVVGIHSRCNGIYENFGLSLDLLVGR -LESEETDRYARTMEEFNTEDRPVTPPMEFSWEFEEKFERVRSTRKSFARIESEVATFTATKLSGFDWTDD -APMDFDELPVFESTMVSVFSGAPSWGASYFKWQQGRGEEDNVRGVGTFEIFNPGGGKTHAPSKEEQEEVE -ELRNWSWPRGTIAATKQAFLTHTRRLRTGFITPCLAAIDWICAQIMDSGLYPKTGAPTWFYGWSKQREGG -FSDFGSEIFRLRALPPLKAEIFRGIREVVEYNITGDSHPGYPWCKLGSDNKAVLTGFGDLIWDEVAKRFN -NMLGYGDAIFSMTPSELVQNGICDAVKVFIKQEPHSLEKVNAGRLRIIAAVGLVDQIVTRLLCMKQNNAE -IDCWESCPSAPGMGLNDEGLRTLYSTAQVMAEHGTICETDISGWDWSVQQWELDSDARLRTQLAGEEIGG -YLNFFLRVHAYVVGHSVFVMPDGEMLEQTVPGGQLSGDYNTSSSNSRMRVIATMFARYLAGQVSGFPLLG -IKAMGDDSFEIWFKGLEEYLGKMGHTVKMCVQRPGLVGFEFCSQVFLGLGIAYPVDFSKTLYRFLSHHPA -DPKYSEYRAQLMYYFRHLPSSTLQKVIRLAGARVERAQKLATSSN - ->NP_042509.1 hypothetical protein MBVgp2 [Mushroom bacilliform virus] -MSKYLATSVRLCLMVCIVGWLLMPSYKELDGWCSSLSSLERDKSNWLLTGLSTWFCIVPSGTDQSSLVSY -FSPLEKLSKFVQDLDLDFVKLWWLETITLINTLNTTEKLLSGVTFSVVLWYPRILVTVLMLVWKLWFPVR -FLVVASSLLCLRILVWPFEVIADVILETCAWFTRKYHKLMDVIEDLMMIPQRVMEWCSGNTAKMVVPTVA -SCVSESIESKLDRILMALGRKGTVLEAAQPGSDFVECEQWPNGLVAIRRHDGRIVGMGFLVVLNGKWRLV -TAAHVARECKRGIMLSAGIDSKTVTFQDLDVVLQTQVDACIMNVPAGTAASLGVRKVVINRTPSESKVVR -TYGYNSGKFCMSEGLVGTTSANMGFRHGCSTLRGWSGTPIYRDNKVVGIHSRCNGIYENFGLSLDLLVGR -LESEETDRYARTMEEFNTEDRPVTPPMEFSWEFEEKFERVRSTRKSFARIESEVATFTATKLSGFDWTDD -APMDFDELPVFESTMVSVFQERPLGGLPISNGNKAEEKKITSEALEPSKSSTPEAAKHTRRRRRNKKKSK -NSETGHGPEEQSQQQSRPSSPIPDDSAPVSSPPVSPPSTGSVPKSWTQAYTQKLVLLLGSMDGQSKEKVD -LAILEAKSFASALFPPSKPKSSEESEK - diff --git a/seq/clusters_seq/cluster_1304 b/seq/clusters_seq/cluster_1304 deleted file mode 100644 index d7cf2a4..0000000 --- a/seq/clusters_seq/cluster_1304 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009336551.1 putative glycoprotein 2 [Wenling nido-like virus 1] -MRKVSTMTRLHLLTVFLSLASAIRVYEEVRPPAQRRTSALTPGGSDLLRYTTTIFKTPTKGDVVTDSYLS -HSTKIPLDSKLDYVVTGKTSTGTDFGIRFSYNKVDLQTTNTLAYAAPIYNDGWNRKTVQMVWHSGWGVDM -PVACKKYANKQYEFFECMRERNEVTDMNANDDKNCRTSPTTFGSPTHPNSTVLNTRGLCLGAENPEDWWM -RRIFTNDYKTSFFWINAIDQYTLSDCGTGSCTPSGQIRTFEKEWPSGTNTALFFNPGPIQPDYELLIAPE -FGCDFQPCTLTKPGESCKISDVDTVTIVRDSLEDASNKNIMILASCDGGACQAMEVFNYRDTLGLTSEFP -YMKWLQAPGPEAVRQASTLNNLFSPEVRLRGDWMLSQGYDQERAQYSHFSNKQYCRRTAPQIVQDIKSFR -SRGDPIKRCGSVTLEYGNVTCHSLKIGSGFSCSKYDYLTSRQMCSYKPSELTAKYDGCTSGELTLKLDIQ -SEEVVVDPPPGVSLTGCTIALDGFYGMDNGAVLVIGGCSQSGPSKLVLTQLDLYFLGDSKTYTTVLGLGE -TKITFTAMTFYNELILTEQFSGEVVTIPNTLANSDDAFTPDIIPPGQESGGSFFDFFKTGFGSIFAVILI -VSAVLILGVVLCSCVGANKGSVDKKQ - ->YP_009333334.1 putative glycoprotein 2 [Beihai Nido-like virus 2] -MALHAKFLLLVLILLCDYARSIEIVGELRNLDPGTVKGLAARGSQLTRYTNFVQVSRPTQGTVREDHYVP -HYVDIPLDTDLDYVVTGTSSLGTDFGFRVKVDDVNVEVENELIYTAPFYRDSHEDNLHQMVWNWDGSSLT -HGVHIPTVCAGLSNVDYSFFECLRTRDEVAQLSQKDRECRYAPLGNEYLSVGNYTVKNPRGTCLNAESQS -WWGRPFFTGLYKTGMPWIRAIDQWNLADCGTGSCTPSGQIRVLQRKWISGVNTAMFFKSTGKAIANYTIS -VSPEYGCDFDRCELHGPGDKCTLSVAGDTMSITGNDLETTTRMNIMVIAACGDYSGCQPVAVYRWPDDLY -LTNAFPYLKWRSVPGPVYADEGKTKFQADAKFFPDVHINEEFCLDLGYRQDEGIAQYTHSSNKDGDANKP -ACRKKAPIISQSQREFEERGLSIDRCGDIRLEYVGDIDMVTLKYGKGYDGKDCEDLKKVNPHPYRYPLSA -VKAYYNGCTGGVARAYFDIKSTEIVIDPPDGVDLSVCQIKMEGYYGLDNGITLTIGGCTVSGPVRLQLPQ -LDAYFYGDSKTLTTVLGASESRITITMLSYYDVLLIAEVFSSTITEVPVTALNADDAYTPDVIPPPSNDG -GGFFDFLNNMFGNTFSIILIISAVILVGFILVSCCASARGGVKKESA - ->YP_009333327.1 putative glycoprotein 2 [Beihai hermit crab virus 4] -MANFRLPVVRCTLVVTVCLLAQSGHSLLLVSRQYSGQLSRPSSVYTSVGATDQTTELLPVTFHASKARVG -EFRTDNFATANVKIPNAEDFTYLVTGETTSGTEFAIRLSYTRANYNASYELKYAFPILSTSFQSDFQLCW -TDGPDLTEECGGDINNIPDLELWDCLRKYVKGGYHTNEFCSNDNVCKPEDDPLPNKDATSRVQKLTPREY -HHYNTTGKIKEYLGYKENYHYVIPKSGGCLGNSHQTWWKKRLLNGEYRQGHFQANVLDHKTTQNCGTGSC -TPQAIARSHFQEWVVGGINTVVIAELVEDFTDFELQVSPEYGAAFETCYITPNSNTCDFGEDASITFTKA -VRPSRKGIYAIMLNCGTATCTLHDVARWVYDGNLDDEWPILKWQGMPGPGCAKLGSDTWFSPPYYLKSHD -AFETNHEGLRFGNDKTSDGDCGWDRTRILQTAEQLLDGITTLDDCKPRSITYPPLTEVHRPVSENYACEP -NQYVENGGYYSQPFQAEFYECDGVENEFRIRIATGEIIVTDPPGVDISLCEYSITGFYGIEEGVTLKLSG -CRPLADPYNDYEFIKLNIITIGPSFAGGLTSRQTTYSTVDTNSILMNMPDALNALIIEEQYSQTRLTIDA -TNILSGDDIFGPGVDNNSSGGDGGFWHTVFGRFFSILLICIAIVLLFMAFSGCLKIYADRSVKIKSD - diff --git a/seq/clusters_seq/cluster_1305 b/seq/clusters_seq/cluster_1305 deleted file mode 100644 index 24ed02f..0000000 --- a/seq/clusters_seq/cluster_1305 +++ /dev/null @@ -1,65 +0,0 @@ ->AIU36191.1 polyprotein, partial [Calhevirus NYC-D3] -SRKLKKIDTSTSAGAPWCDYGVDKKYFIDDGSHDWLRCKPHLIKLLDEVEAKFKSGDVAGFTATANNKDE -LRDIDRVKLKKTRLFCATPMHHNIMFRKYYGRWISAFKSLDFKVGMHAMGSDVFGEDWNDLYNYLMSPPS -NDPAHAEPVFLAGDFSRFDTSHSGWKMNLAFKVAAVCNADQDMSEILARSISRFAVRFRDREFKVPAGLP -SGCQMTTPINCILNTLLWVTVWRRLTGHNLASFMENCRLIVYGDDVVLGIDRHNPYFKFLTPKRIQEVMQ -DLGYGLESADSKELRWQPITEVTFLKRRFVPDPINPNLVHAPRPLDEVYTQLMWRRSEPTLASQQCCFYA -FAAELGQYPP ->BAP81885.1 putative nonstructural protein, partial [Calhevirus-2a] -TTAIAIENFVDFVMKKLSYTRWRAQATCRAMYMAAHDSGLVEILVNEDTGRTPFEIVTDVVTNPVRELRA -FLRSLACSADNAYALFDVSKVDLIDPASNRRTTPSRILSRATYNFISSLAHRSASDVASIFGIVLGAVAS -KTSYTAMGATLLSSIALIMNPQTSVMFTARKAALYNLSRMVNLRQTTRFAMFAAILLFAWKTSKKVFSNI -MALFRMSPVEQHAPTSTIASVVTVILGVLITWLTGHYKASFITDFLRTSSLIGGSNFVFNTLNDCIVFVT -RLISDFATWVGFHKLSQPLNAFLAKKALDTYTRRGGELSDLLPRISSFLAARPIGYSGPGTQAFVEEGRS -LIHRLDECIKDVTAVDGAGHVLNSLTRMRMNVTAAIETSLFTMENSAERCEPLFVLFSGGPRMGKTMFVN -TLISALRMRFASMEGTDGQMRQTSILAQENRWVYSRNTDDQFFSGYNKQCLIFYDDLFTANNSKETTPAG -QRHELELSEIQKLVSSQPYAPPTPEVGAGVPCPKGTYINPAFVVATSNFALANSGCRGSDVIDDRIGELI -LVTVDPNVQKDSSFSHLRFWRLITKVSRFRDLCEARGVWQNPYNVQKQFPYTHDEFSEWKMRDQFREVNP -QELLDIFVDGHERRLVELQIRRQGASSIVLGEQHDTIDDLETSYRAICDRYDLQPVGDFRARCMAYGLAK -TLEGTRTFDGNRLRMDPNGAIRFHLVEGALRSECPGKERTCVCPGGHVSMVTCPDFNKLVLTFAYASSRD -ASPAAPVPPYIVFGANIVRSRTPIPTDVPFWETVFQSLARAYGGLPPISMERFGEPISLDFGGVAPVVMD -GLVRGLACVFPEVAEVAYVNQEGLVRKCSRAFVMEPVATVQHTVQWNCGDVLRHVLEMRTKSDAPEPTQE -EIDAVVWACAQLYVNPKIRLDKKLTDKLRSDLEFMQRPSVPTEENPSWNMIWLINFRQAVTDSCERQNLT -IPRFSEMLDSEFFTFYALSSLYYGYFAWRYLSHMLLQAIINHQEINCPLYVQMVRQPDGLHGDKVVVQIK -HHDHPNGYVMCRDVGGKPFDMQLITYQLRDTCGMSTKQIMDNLNEIGSLVTFADALIGVDELTWFERLKN -ALSSMWDLLRINSKTVITCLAAAAGAFAVGAAGMILSDVFTRQKKHSVVEHSYPFHRPRAENVYNWPDCY -QRLIRLCEHPNASLCKDQRGRTFCKLCSNFSSVTHSNWDRKKEETIVHELYKRNKRHPNVKNYLLAMLRF -NERCLPLLQSNDMAYVQRALHDMASDHRVPFTASGMTRHLYVFRAWNCMATRERALASIRAQSDVLRSYL -GNFHGEPMYQVQLDFCRSCEIPSEPVECCVEISKCKHYQEHAYYLPDSTVRFSATDKAIAVASSGMGFLM -SDGMVRGRGAIIGDGVIVTAAHVVRSSIESETEWYWRGQTKFTFYLNRMNVVIVPETDIALIKVQGDELP -AAVYENIWSCDKPTPGTKVSLISLSHDLRKTPIVATVLSRRIAAMTEHYFIVDKAMEPGDSGSLVIQGGR -IVGHYNGMLDGKYGIVCHWPSSMLKAVKCLRRSDLFDSRFIQYIDEEHGFAVLDEFDTKTADSSPPSAER -KTELFDAFHQMGIESVKFPASQSLEALTNSFEKFSHVTENFCADYAIAADELASHLKTHILLEHGELQPF -DTWDEAINGHAQGESRQLKKIDTSTSAGAPWCDHGVDKKFFIDDQNHDWLKCKPHLVKLLDEVEAKFKSG -DVAGFTATANNKDELRDPQRVKEKKTRLFCATPMHHNILFRKYYGRWIAAFKSLDFKTGMHAMGSDVFGS -DWNDLYNYLMSPPSNDPKFSEPVFLAGDFSRFDTSHSGWKMNLAFKVAAACNADQEMSEILARSISRFAV -RFRDREFKVPAGLPSGCQMTTPINCILNSLLWITVWRRLTGHNLASFMENCRLVVYGDDVVLGIDKHNPY -FKFLTPKRIQQVMNDLGYTLESADSKELRWQPIEEITFLKRRFVKDTLNPNLVHAPRPLDEVYTQLMWRR -SEPTLEAQQCCFYAFAAEVGQYPPEMQQKVYRRLLEAIKRSKSAFMKEAISTISFEAMMDRAWKKQLLIE -DIARFRSMFWKLW ->ADK97705.1 non-structural protein [Calhevirus 1] -MENSAERCEPLFVMFSGGPRMGKTMFVNTLISALRMRFAAMEGTDGQMRQTSILAQENRWVYSRNTDDQF -FSGYNKQCLIFYDDLFTANNSKESSPAGQRHELELSEIQKLVSSQPYAPPTPEVGAGVPCPKGTYINPAF -VVATSNFALANSGCRSSDVIDDRIGELILVTVDPNVVKDASFSHLRFWRLITKVSRFRDLCDARGAWQHP -YGIEKQFPYTHEEFGEWKMRDQFAEVNVQDLLEIFIEGHERRLVELQIRRQGAQNIVIGSQCDTIDNLET -SYRAICDRFDLQPVNDFRARCMAYGLAKTLEGTRTFDGNRLRSDPNGSVRFYMAGGVLTSEDPGEERKCA -CPGGHVSMVTCPDFEKLVLCFSYSSSRDASPVKPVPPFIVFGANIVRSRTPIPSDVPFWSTVFQSLALAY -GGLRPISMDVFGEPISLDFGGVAPVVIDGLVRGLACVFPEVAEVAYVNQDGQVRKCSRAFVREPVEMVPH -SLHWNCGDVLRHVMEMRTKSDAPEPSQEEVDAVVWACAQIYVNPKLKLDKRLSDKLRSDFEFLKRTSVPT -EEGPTWNMIWLVNFRQTVTESCERQNITIPRFSEMLDSEFFTFYAFSSMYYGYFAWRYLSHMLLQAMINR -AEINCPLYVEMVRDASDKVVVQIKHHDYPDSYVMCREVGASQFDMQLITYQLRDACNMSQQQIMDNLTEI -GSLVAFADALIGVDELTWFEKLNNALSFMWDVLRADAKTVVTCLAAAAGALAVGAVGMMLKDTFVSKKKP -SYTAHSYPFHRPRADQVSAWPDCYQRLIRICDHPTTTIVNDRRGRRFCPLCSNFSRITPSNWDKTKEKII -VDDLYKRNQRPPHLKNYLLAMLIFNERCLPLLPSDDMEQVQRTLKRYALNHEVPYAAARMTRHLYVFPAW -NCMLTRKKALAAIRAQSDVLRSYLGGFNGEPMYQVQLDFCRSCEIPSVEVECCEQVATCKHYQQHAYYLP -ESTVKYSATDKAIAVASSGMGFLMSNGLVRGRGAIVGDGVIITAAHVIRQDIEMSTEWFWRGQTKFSFFL -NRMNVIIVPETDIALIKVQGDELPAAAYENIWSCDKPTPGTKVSLISLDHDLRKTPIVATVLSKKTAAMT -EHFFLVDKAMEPGDSGSLVIQGGRIVGHYNGVIEGKFGVICHWPSTTLKAVKCLRRSELFDSRFVQYIDE -DHGFAVLDEFDTSIADSSPPSAERKTELFDAFKDMGIESVKFPASQSLEALSNSFEKFQHVTETFSADYA -IAAEELASHLKTQILLEHGELQPFETWDEAINGRAEGESRQLKKIDTSTSAGAPWCDYGIDKKYFIDDTN -HDWLRCKPNLVELMDKVEAKFKTGDVAGFTATSNNKDELRDPERVKQKKTRLFCATPMHHNIMFRKYYGR -WIAAFKALDFKTGMHAMGSDVFGTDWNDLYNYLMSPPSNDPKYSEPVFLAGDFSRFDTSHSGWKMNLAFK -VAAACNADKEMSDILSRSISRFAVRFRNREFKVPAGLPSGCQMTTPINCILNTLLWVTVWRRLTGHNLAS -FMKNCRLIVYGDDVVLGIDKHNPYFKFLTPRRIQEVMRDLGYELESADSKELRWQQIHEVTFLKRRFIRD -KINPNLIHAPRPLEEVYTQLMWRRSEPTLESQQCCFYAFAAEVGQYPPEVQRNVYGRLLEAIRRSKSELM -KQAISTISFEAMMNRAWNKQLLIEDVARFRSMFWKLW diff --git a/seq/clusters_seq/cluster_1306 b/seq/clusters_seq/cluster_1306 deleted file mode 100644 index 67fa9d3..0000000 --- a/seq/clusters_seq/cluster_1306 +++ /dev/null @@ -1,40 +0,0 @@ ->AQM49944.1 replicase [Agaricus bisporus virus 14] -MKLSRVHFHSGLKTFVGSLDRQLRTRKRRALQHDDLKQKTSTAPIRTWTPRFSIPSNLPSTSIRLPSPPS -DPSSSDSSRFDSTRSPSPNASMDNHAAVHESDNLIPSSEESRLVLEYKLSGILRKVIVGYELGFPFPSPP -NYAPSPSPPTLLYRLCKLPAELFNLILRMKDEETTPEQRFYESMIDRVLIQSDIAPSADADKRTNGFEEV -AAKKSQLASTIPYRSDPLYPSDFRRANERVHDIGRAIETAYFHWQRVEDTSALDVRLDSLLKSRQHFLDI -SFDIFAQMIGAKDPNYEGLVTFNKKEADRALEQAIGSISKSNDQPEVFVYPGCIPLDFNDDIDAARGFSL -YLGRKGYSNVDPRASREETEAFAERVGTPLIPPSDPQYAPSKTNALILLRRVCEVMFKPHAPTHYYERPP -NSGKSCLEVPLSKGGKRSAIYQRKCVNGDINHVRADTILSAGKFRTITVSSAYYSKYSWLNGYMFSRLRK -CKWMVSGKTVDAWVESIGDMDGEWFCSGDGKACTDYFDSDYCDVVLNYLAKTFDLSLEELQSFTTHAVIE -VNGRFILQQRGQLMGSDFSFPILCLLSLFAHLIGEDLVDIWIERPDRELYLTVLDYHGCGVNGDDIVSWG -DSSSPSRWLRGFNVIGGVANSAKSPVSREYFTINSQLWRRAKNESRPHKIGAILPAMLLGICGKAHLAPD -ESWADLLSSPLLTPESIKRSQIDLVLLPDLPRSLGGLGTDFSPISSKLLKRRYLWALASRKRNWHSLVDF -SSDHVDVSLGRVSVCSDHKERSKIPPVSGWIRTSEKKRLAEEAFGSPRGLFWTDPDGKSSSPFWIRRWVY -HKSQQYCDLKFIHELMIREHQGWSHVRSVHPYATEVQDPKPAKPAFYLLEPRSVQEEVKSFVERETFKKR -LGENPRRGRFNSVLTLPST ->AQM49945.1 replicase [Agaricus bisporus virus 15] -MGILPDGSRGRTIATTMFSMKALRRQAFLFGMESIKSGSILCRGISRVMKLDSKLDTEKPKQSLTPIIAF -PSPSSIEPPPSIDNTDQNSDQTLDEARSPSPNASMDNHAAVHSSSFSSDQLPAEPLPIDQKYHIEPFLFY -IERVRDRLAPLLPPLPPPLSLLELFCKLPAEIQSIIRSYVDAMLDPSVKFYQSMVDRIIVQQEMHRSHGF -KDASETKKKLALRIEHRSDPLQPSDFRRANEQVHALGSQIESVYFKWQNSEDTTSLDIQMDRLLAERQLW -MDRSFDMFVDMIGARDPAYIGLVSFNKQEADIALEEAIGSIDRHRDAPGVFAFTGCLPLDFSNDDDAARG -YSIYLGRKGYSNVDPRQAREETEKFAERVMNPPPPLSPADRTEKNQALCLLRRVCQVLFTPNKPKEFYQT -APNSGKSCLEVPLRKGGKRAAIYLHKNVSGSDHVRADTILSAGKFRTITVSSAYYSKYSWLNGYMFSRLR -NCRWMVSGKTVDSWVASIGSIEADWFCSGDGKACTDYFDSDYCDEVLNFFAEVFDLDLEELQSFTTQAVI -EVNGRFILQRRGQLMGSDFSFPILCLLSFFAHLIGEDKVKVWLKLNDQDLEYVIREYGNCGVNGDDIVSW -GDSRSSSRWLHGFNIIGGVANAAKSPMSREYFTINSQLWRRKGKDLVKIRSVLPAMLLGICGKAHLAPDE -SWADLLSSPLLSRDALARSQLDLVLLPELPRSLGGLGIDFRRIPHYLLRNRYYWALASRKRNWNALADFS -DEHIQIQHGHVQILEDEVPKDRSQHVSGWIRTSEKKKIAMETFGSPKGLRWTDTNGKSASPFYIRQWIYF -KSLERADVSWIHDWREREYTGWSFVRSVHPAITDVQSPGPVIPKFHMLEPRSVQEEISSMVKRKAMKAHV -EEKNRKRRSNFCGKLTTTAI ->ALD89132.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani ourmia-like virus 1] -RVTRPPPPSPNRARTDAMLACLRRFTRLHLVPSHTYTPPVPCSGKSCLERSRKLGGKRAALFEGDEPVLD -HVRPQTIFSGGKPRTICIHSYATEEFAFLNSLLLDKLRGAAWIVTGRTVAQWVSQNQDRWTAASEWAEEP -YEFASGDLKAATDNFSGEFAEAVLEILAPHIQRWHGHSLDYILSNITRANLRIPPPPDDPEAEPTYAPQK -RGQLLSSDASFPILCLVGVLIAMETSDVIDELMYMDDEDFVAAFLGYDAAGINGDDIVIYGPAGTAERWA -SVVPLTGGVPEPTKSPCDPEFFTINSQLWRRPPSGRPYEIATVLPAMLVSLSSKAAKAPHESWVSLLSSP -LLTDSASELLGLDAILFPELPVSWGGLGYCDLARGISDEVLLRRALFCRESRGITWIDATPSDVSRIQAP -YYRPGPSRSSFGISLSAPVSTRKVSGLLPLATVRSVRQAQFSDPGIVRWTSLGGSSDTYAEIAFRVRAHL -IADKSRLLRYVRREFNYHSSIERDRMVYVDDFDLPDSEPDPIPHDPPPSTLMRSKVRDFDPGDRTARACQ -LLYWAGRNKGYGSVARKNHYYRLWASAHEEEMKKTVEERGYSLKRRLALWAGAKLT diff --git a/seq/clusters_seq/cluster_1307 b/seq/clusters_seq/cluster_1307 deleted file mode 100644 index 3289c8b..0000000 --- a/seq/clusters_seq/cluster_1307 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009330263.1 Cypovirus VP10 [Hubei lepidoptera virus 3] -MHGLDDAQFLQQKAHNKRVSEFRNSSNSAINVTIVLKYTNGVVQIYTWQGTEIVAGKLERQLMKFPNYMN -PDKYGRTEWPGEGLEHQHGLIRSNGGNGSYDIGAGDPYAMQFIVQGNVDWNATRLRFFSADCSRWLPDDQ -GGASARDGLLNSAEDIINSKMQPLYYCDRMAGKSYYVRFDDKYAPRFPSIGFEVYRYRVGATNEATGESA -RTAIASLHSFPTFSSVYVNEKTAIEQYFQPRELVYQNSYGMTV - ->YP_001883314.1 polyhedrin [Cypovirus 5] -MHGLDDAQYLQQKAHNKRISEFRSSSNSGINVTVVLKYTNGVVQVYNWQGTEVIAGSLNRQLMKFPNYMN -PDKHGRIEWPGEGVEHQHGLIRSNGGNGSYDIGAGDPYAMQFIVQGSVDWNATRLRFFGPDGSRWMPDDQ -GGASVRAGLLNAAEDIINSKMQPLYFCDRMAGKSYYVRFDDKYAPRFPTIGFEVYRYRVGATNEMGGESA -RTAVASLISFPTFSTAYVNEKVAVENFFQPRELVYQNSYGYTV - ->sp|P36326.1|PYHD_CPVES RecName: Full=Polyhedrin; AltName: Full=C-polyhedrin -MHGLDDAQYLQQKAHNKRISEFRSSSNSGINVTVVLKYTNGVVQVYNWQGTEVIAGSLNRQLMKFPNYMN -PDKHGRIEWPGEGVEHQHGLIRSNGGNGSYDIGAGDPYAMQFIVQGSVDWNATRLRFFGPDGSRWMPDDQ -GGASVRAGLLNAAEDIINSKMQPLYFCDRMAGKSYYVRFDDKYAPRFPTIGFEVYRYRVGATNEMGGESA -RTAVASLISFPTFSTAYVNEKVAVENFFQPRELVYQTAMGTPFEVRLVPMDRFVTETGI - diff --git a/seq/clusters_seq/cluster_1308 b/seq/clusters_seq/cluster_1308 deleted file mode 100644 index 3ae827a..0000000 --- a/seq/clusters_seq/cluster_1308 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009310049.1 HAM1-like protein [Euphorbia ringspot virus] -ALEDNDDSIEINFVTGNKNKFAEVAAITNGTGIVLVQTPLNLTEVQGTRQEIIMCKAKLAFQKLQTPVLV -EDTSLELIGCNRMPGPYVKFFSNETIIDMVTCSEKTAAQAICTFALYDGKTMEIVEGISNGDIVYEERGH -NGFGWDCIFQDKQTGKTYAEMSPLEKNQVSHRAAALKRLQEVLRRKGETQTEVRHQ - ->YP_007032446.1 HAM1-like protein [Cassava brown streak virus] -VVDRPQSSNMTKREEEVTSKIRMGIEAPITFVTGNAQKLKEVKQIFGPTIPIISRKVDLPEPQGTVEEII -KEKVRVASELIGGPVLVEDTSLCFDALNGLPGPYIKWFMEGIGLEGLYKLVEPYQNKMASALCVFAFVNK -VGDDPIIFKGVLRGEIVMPRGPNSFGWDPIFQPLNWRKTFAEMMAEEKNMISHRFRALSLVRDFLKDSSY -FHFAKGVDRDLFIDVQ - ->YP_004063983.1 HAM1-like protein [Ugandan cassava brown streak virus] -QMKFPVTFVTGNLGKLAEVKSILGISSDVMARNIDLPEVQGTPDEIVIKKAQLAVKMTNSPVLVEDTCLC -FNAFNGLPGPYIKWFLKELGLEGVVKMLSAFGDKSAYALCTFAYVHNELSDPVVFKGVVNGEIVPPRGNN -GFGWDPIFKPDECSCTFAEMPSSIKNDFSHRRRALEKVKLFLDNLVVKQEKKKAGVALTIDVQ - diff --git a/seq/clusters_seq/cluster_1309 b/seq/clusters_seq/cluster_1309 deleted file mode 100644 index 89fca73..0000000 --- a/seq/clusters_seq/cluster_1309 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_009310040.1 P1 [Euphorbia ringspot virus] -MACKIANSTQSLRFGDFTVPYFWTKDNAFHTKPAIIETTVLKPATNVITSNTCDKQVIVTEPPFKQASEQ -NPWVYDPMSKVKVTYDKFNKSMIVEKQDGSFHTETRTEEQMNAYLQLLSENAQAEEEFQKADPSKIFKIS -IGGGKMATESVAESTIKKPLHTTPSMKNKIFFKPIYLENCQILQICHALGNIARKTGAEIEVIDKTAVKG -VFKHTIKGKFLQLGVKHLRGEYTPFDIAVSHEQIKIINHVAKVVTSRAMLTADDIKPGTSGFVLHKHNLR -VPAESVHGDIFIVQGMMDDVLVDARVYRNHRTRKLIQYY - ->YP_063386.1 P1 protein [Hordeum mosaic virus] -MAQNTFLQFGSLNTGLVMTGNNWIVKPYDQETEKRAIAREWHAYYLNAGRELTTPTKAFEAFDAKMREKE -SVELPVVQHDADETTPECTLTDDKGWFVQKVSRANKHKKPKHTGDASNLIRAVLNVSKKTKIPIEIIGKR -RTTLRFKNTHGKKLLHAVTQHEMKRIRRTDLQCDAFANATLNLVKQTNHVTPIASIREIKPGHSGAILKD -AYNDIFIVRGISNNMLVDARTHVIGNLNAIEHF - ->YP_054392.1 P1 protein [Agropyron mosaic virus] -MAQVSFLQFGETGTGITFDQHTWHVLPYDKEAHERSVAKKWHAYYLQAGEELSRQSHALQKFDSVFYKRR -ALIGIEEPVDITDWMADDDDGHEIVSEFKVIPKQRRNKLPRHTGIAENLTRAILNIARKTHVEIEIVGKK -RNQMRFKNTHGHELLHVKTQHEFKKLVRTDLKTDEFLTEILRIARHTEHVKPITKVGEIKPGHSGAVLAT -EADQLFIVRGIAETMLVDAREHFKGDLKRIQHF - diff --git a/seq/clusters_seq/cluster_131 b/seq/clusters_seq/cluster_131 deleted file mode 100644 index 15036d0..0000000 --- a/seq/clusters_seq/cluster_131 +++ /dev/null @@ -1,374 +0,0 @@ ->YP_009094072.3 HN [Avian paramyxovirus penguin/Falkland Islands/324/2007] -MDSSQMNILDAMDRESSKRTWRGVFRVTTIIMVVTCVVLSAITLSKVAHPQGFDTNELGNGIVDRVSDKI -TEALTVPNNQIGEIFKIVALDLHVLVSSSQQAIAGQIGMLAESINSILSQNGSASTILSSSPEYAGGIGV -PLFSNKLTNGTVIKPITLIEHPSFIPGPTTIGGCTRIPTFHMASSHWCYSHNIIEKGCKDSGISSMYISL -GVLQVLKKGTPVFLVTASAVLSDDRNRKSCSIISSRFGCEILCSLVTEAESDDYKSDTPTGMVHGRLYFN -GTYREGLVDTETIFRDFSANYPGVGSGEIVEGHIHFPIYGGVKQNTGLYNSLTPYWLDAKNKYDYCKLPY -TNQTIQNSYKPPFIHGRFWAQGILSCELDLFNLGNCNLKIIRSDKVMMGAESRLMLVGSKLLMYQRASSW -WPLGITQEIDIAELHSSNTTILREVKPILSSKFPRPSYQPNYCTKPSVCPAVCVTGVYTDMWPISITGNI -SDYAWISHYLDAPTSRQQPRIGIANQYFWIHQTTIFPTNTQSSYSTTTCFRNQVRSRMFCLSIAEFADGV -FGEFRIVPLLYELRV - ->YP_009553494.1 hemagglutinin-neuraminidase protein [Avian metaavulavirus 20] -MSTADILSHIENYGQKNTWRSAFRITSLILLLLITGMTIAGLVIASNQSCATSEELADPITEKLITSIRD -LLTVSNNQINDIFKIVALDIPLQVSSIQQDISSQFNKLMEGITSLIGYNNSAGVISTIDPSYSGGIGVPL -FTTSGNSTLQIHPVSLIEHPSFIPNPTTNRGCTRIPTFHLSRTHWCYSHNVIAKGCADFGKSGMYISLGV -IKTAELGTPVFLTTASQYVDDGVNRKSCSIAATRFGCDILCSIVTEKENDDYASDPPTEMVHGRLFFNGT -FSDSNLDVKNLFRDFSANYPSVGSGEVIGDIVMFPFYGGVRQRTSLFDQLSQFGLFVHNNRYTCEQNWTM -TTVKQSYLPPKISGRFWAQGIVKCRLVLQTLANCSISVFNSSKVMMGAEARLMLVGTTLYMYQRSSSWWP -LGLTYILGPMHSYLVNEGLISQVTLIAHSKFPRPSNKAGYCARPSVCPAVCATGVYTDLWPITESSNASN -IVWVGQYLDAITERKYPRIGVATQYDWIHQSNLFSSNTVSSYSTTTCFKNTRLNRSFCVIIAEFTDGLFG -EYRIVPQLIELRVK - ->YP_009513218.1 hemagglutinin-neuraminidase [Avian metaavulavirus 2] -MDFPSRENLAAGDISGRKTWRLLFRILTLSIGVVCLAINIATIAKLDHLDNMASNTWTTTEADRVISSIT -TPLKVPVNQINDMFRIVALDLPLQMTSLQKEITSQVGFLAESINNVLSKNGSAGLVLVNDPEYAGGIAVS -LYQGDASAGLNFQPISLIEHPSFVPGPTTAKGCIRIPTFHMGPSHWCYSHNIIASGCQDASHSSMYISLG -VLKASQTGSPIFLTTASHLVDDNINRKSCSIVASKYGCDILCSIVIETENEDYRSDPATSMIIGRLFFNG -SYTESKINTGSIFSLFSANYPAVGSGIVVGDEAAFPIYGGVKQNTWLFNQLKDFGYFTHNDVYKCNRTDI -QQTILDAYRPPKISGRLWVQGILLCPVSLRPDPGCRLKVFNTSNVMMGAEARLIQVGSTVYLYQRSSSWW -VVGLTYKLDVSEITSQTGNTLNHVDPIAHTKFPRPSFRRDACARPNICPAVCVSGVYQDIWPISTATNNS -NIVWVGQYLEAFYSRKDPRIGIATQYEWKVTNQLFNSNTEGGYSTTTCFRNTKRDKAYCVVISEYADGVF -GSYRIVPQLIEIRTTTGKSE - ->YP_009513198.1 hemagglutinin-neuraminidase [Avian orthoavulavirus 1] -MDRAVNRVVLENEEREAKNTWRLVFRIAVLLLMVMTLAISAAALAYSTGASTPHDLAGISTVISKTEDKV -TSLLSSSQDVIDRIYKQVALESPLALLNTESIIMNAITSLSYQINGAANNSGCGAPVHDPDYIGGIGKEL -IVDDISDVTSFYPSAYQEHLNFIPAPTTGSGCTRIPSFDMSTTHYCYTHNVILSGCRDHSHSYQYLALGV -LRTSATGRVFFSTLRSVNLDDTQNRKSCSVSATPLGCDMLCSKVTGTEEEDYKSVAPTSMVHGRLGFDGQ -YHEKDLDTTVLFKDWVANYPGAGGGSFIDDRVWFPVYGGLKPNSPSDTAQEGKYVIYKRHNNTCPDEQDY -QIRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGKDPVLTIPPNTITLMGAEGRILTVGTSHFLYQRGSSY -FSPALLYPMTVNNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCITGVYTDPYPLIFHRNHTLRGVFGT -MLDDEQARLNPVSAVFDNISRSRVTRVSSSSTKAAYTTSTCFKVVETNKAYCLSIAEISNTLFGEFRIVP -LLVEILKDDRV - ->YP_009512955.1 hemagglutinin-neuraminidase protein [Avian metaavulavirus 8] -MSNIASSLENIVEQDSRKTTWRAIFRWSVLLITTGCLALSIISIVQIGNLKIPSVGDLADEVVTPLKTTL -SDTLRNPINQINDIFRIVALDIPLQVTNIQKDLASQFNMLIDSLNAIKLGNGTNLIIPTSDKEYAGGIGN -PVFTVDAGGSIGFKQFSLIEHPSFIAGPTTTRGCTRIPTFHMSESHWCYSHNIIAAGCQDASASSMYISM -GVLHVSSSGTPIFLTTASELIDDGVNRKSCSIVATRFGCDILCSIVTEKEGDDYWSDTPTPMRHGRFSFN -GSFVEAELPVSSMFSSFSANYPAVGSGEIVKDRILFPIYGGIKQTSPEFTELVKYGLFVSTPTTVCQSSW -TYDQVKAAYRPDYISGRFWAQVILSCALDAVDLSSCIVKIMNSSTVMMAAEGRIMKIGIDYFYYQRSSSW -WPLAFVTKLDPQELADTNSIWLTNSIPIPQSKFPRPSYSENYCTKPAVCPATCVTGVYSDIWPLTSSSSL -PSIIWIGQYLDAPVRRTYPRFGIANQSHWYLQEDILPTSTASAYSTTTCFKNTARNRVFCVTIAEFADGL -FGEYRITPQLYELVRNN - ->YP_009512949.1 hemagglutinin-neuraminidase [Avian metaavulavirus 6] -MASSSDMRQSQATLYEGDPNSKRTWRTVYRVITILLDITVLCVGIVAVVRMSTITTKDIDNSISSSITSL -SADYQPIWSDTHQKVNSIFKEVGITIPVTLDKMQVEMGTAVNIITDAVRQLQGVNGSAGFSITNSPEYSG -GIDTLIYPLNSLNGKALAVSDLLEHPSFIPAPTTSHGCTRIPTFHLGYRHWCYSHNTIESGCHDAEESIM -YVSMGAVGVGHRGRPVFTTSAATILDDGRNRKSCSIIANPNGCDVLCSLVKQTENEDYADPTPTPMIHGR -LHFNGTYTESELNPGLFNNHWVAQYPAVGSGVVSHGKLFFPLYGGISPKSKLFNELKSFAYFTHNAELKC -ENLTERQKEDLYNAYRPGKIAGSLWAQGVVTCNLTNLADCKVAIANTSTMMMAAEGRLQLVQDRIVFYQR -SSSWWPVLIYYDIPISDLISADHLGIVNWTPYPQSKFPRPTWTKGVCEKPAICPAVCVTGVYQDIWVVSI -GSQSNETVVVGGYLDAAAARQDPWIAAANQYNWLVRRRLFTSQTEAAYSSTTCFRNTKQDRVFCLTIMEV -TDNLLGDWRIAPLLYEVTVADKQQGNRNYAPMRRMGTDKFQYYTPGDKYTPQH - ->YP_009508521.1 HN [Antarctic penguin virus C] -MLSQSTDQLYRQDPGPPGRRTWRMIFRLTCLAMLVTILSLNAVLVVRTSGGYDFSTLETGLVGIGSTITS -QDKTLKGIDEGVGKIYKQTVLDTPLALNSFETNVMQGLSSISYQIHIAQNGSNCDTPIHDTAFSGGINTQ -LYVGQGLTNTDFSLSKFLEHVNFIPAPTTGRGCTRIPSFHIGPTHWCYTHNVILNGCADHSTSHQYLAMG -TIRLSQSRHVYFSTIRSVNLEDGVNRKSCSIVATKFGCDMLCSVVSERESADYNSPDPTPMVHGRLDFGG -VYKEEYLPVNQLFQDWAANYPGVGSGEIYNGRIYFPVYGGVKQDSPTYRRNQDRYAIYGRYNNTCPDPLE -TQVRNAKASYTPAIFGRRMVQQAVISLDLDLPLGKNALLSVADNNITLMGAESRIINIKGKLYLYQRGTS -WYPVCTMYPLAITNGTVKFSTPHTFDTFTRPGVNPCSAASRCPNSCVTGVYTDGFPLVFDNSGNVLAVYG -MYLSDKTQRLGPKAGIFFRHSMTNVTNVSTPPHKAAYTTSTCFQDVNSRRTYCISIAEIGNSIFGEFRIV -PLLVEVNFNKRSDKASSFTLNTTLPMD - ->YP_009508515.1 HN [Antarctic penguin virus B] -MQICPTFPHRSTMPSINTRQSSPSMEQLTYRYADTDGKNTWRNAYRALSIMMLTTVLGLAVAIFCKIPSE -DPVSVVTTALMPLTLLVKGIQDKVVEVLEVESKIYRQSVLETPLQLSAMQTSIMQSLSALSYQISLETNG -TNCGVPIHDQAFAGGINTPLFSGNFTSASQLYVGKYLEHLNFIPAPTTGRGCTRIPSFSLSASHWCYTHN -VILAGCQDHSASHQYLSLGTLKISPSNRIYLSTLRSINLDDGINRKSCSIAATKYGCDMLCSIVTESEVQ -DYASPNPTEMIHGRLDFGGVYKEASVGASSVFKDWAANYPGVGSGVAYKGKVVFPVYGGVKSGTPTYKAN -EGKYAIYTRVGDPCPDSNQTQINNAKASYIIPYFSNRMVQQGILSIEERPELGMGPTLHVASNDVALMGA -ESRVLEIDGRLFLYQRGTSWFPAAVIYPVEDKNGTLSLKPPYIYNNFTRPGTGVCSSYSRCPNVCLSGVY -TDAYPIVFSASKAPLGVFGTYLDHRFDRKGPKSAVFFRTGMSNVTNVSTPPVGAAYTTSTCFRLTSLGKH -YCISIAEIRNTVFGEFRIVPLLLEVFTKPSK - ->YP_009508509.1 HN [Antarctic penguin virus A] -MLRAEELPFHLDQVSHSYTTISAMPSIMTSASSQSREHLASRDDDDDSKCTWRLVFRVSAIALLLTILGL -SIATFCKIPSKDFEPIIEEAVHEITSILTPLGAGITAILDYCQKIYRQSVLETPLQLSAMQTSILQSLSA -LSYQISLEANGSNCGAPIHDEAFAGGIETPLFSGKFTNGKQFRVSKYIEHLNFIPAPTTGRGCTRIPSFS -LSTSHGCYTHNVILDGCADHGASHQYISIGTLRVSPSGRIYFSTLRSVNLDDGVNRKSCSIAATRYGCDL -LCSVVTETERSDYASNPPTRMIHGRLDFGGSYSETDINSQVIFADWAANYPGVGSGVAVDDRILFPIYGG -LRAGTPSYNKNYGSYAIYQRSGDVCPDNNATQVRNAKASYIVPLFSNRLIQQAILSIRLDPGLGKDTTLH -ISSNNVTLMGAEARLVAIDGQVYMYQRGSSWFPAAVLYPIHRKNGTFTFGRPYIYDNFTRPGTGFCSAAS -RCPNTCITGVYTDAYPIIFSADKKPIGVFGTYLNHRSDRQNPRSAVFFDVTMSNATNVSTPPVGAAYTTS -TCFKMTSTGRRYCISIAEIRNTIFGEYRIVPLLVEIEQV - ->YP_009508503.1 hemagglutinin-neuraminidase protein [Avian paramyxovirus UPO216] -MERGISEVALANDRTEEKNTWRLIFRITVLVVSVITLGLTAASLVYSMNAAQPADFDGIIPAVQQVGTSL -TNSIGGMQDVLDRTYKQVALESPLTLLNMESTIMNAITSLSYKINNGGNSSGCGAPIHDPEYIGGIGKEL -LIDDNVDVTSFYPSAFKEHLNFIPAPTTGAGCTRIPSFDLSATHYCYTHNVILSGCQDHSHSHQYIALGV -LKLSDTGNVFFSTLRSINLDDTANRKSCSISATPLGCDILCSKVTETELEDYKSEEPTPMVHGRLSFDGT -YSEKDLDVNNLFSDWTANYPSVGGGSYIGNRVWYAVYGGLKPGSNTDQSQRDKYVIYKRYNNTCPDPEDY -QINKAKSSYTPSYFGSKRVQQAILSIAVSPTLGSDPVLTPLSNDVVLMGAEGRVMHIGGYTYLYQRGTSY -YSPALLYPLNIQDKSATASSPYKFDAFTRPGSVPCQADARCPQSCVTGVYTDPYPLIFAKDHSIRGVYGM -MLNDVTARLNPIAAVFSNISRSQITRVSSSSTKAAYTTSTCFKVIKTNRIYCMSIAEISNTLFGEFRIVP -LLVEILSNGGNTARSAGGTPVKESPKGWSDAIAEPLFCTPTNVTRYNADIRRYAYSWP - ->YP_009508497.1 hemagglutinin-neuraminidase protein [Avian paramyxovirus 14] -MEGSRTVIYQGDPNEKNTWRLVFRTLTLILNLAILSVTIASIIITSKITLSEVTTLKTEGVEEVITPLMA -TLSDSVQQEKMIYKEVAISIPLVLDKIQTDVGTSVAQITDALRQIQGVNGTQAFALSNAPEYSGGIEVPL -FQIDSFVNKSMSISGLLEHASFIPSPTTLHGCTRIPSFHLGPRHWCYTHNIIGSRCRDEGFSSMYISIGA -ITVNRDGNPLFITTASTILADDNNRKSCSIIASSYGCDLLCSIVTESENDDYANPNPTKMVHGRFLYNGS -YVEQALPNSLFQDKWVAQYPGVGSGITTHGKVLFPIYGGIKKNTQLFYELSKYGFFAHNKELECKNMTEE -QIRDIKAAYLPSKTSGNLFAQGIIYCNISKLGDCNVAVLNTSTTMMGAEGRLQMMGEYVYYYQRSSSWWP -VGIVYKKSLAELMNGINMEVLSFEPIPLSKFPRPTWTAGLCQKPSICPDVCVTGVYTDLFSVTIGSTTDK -DTYFGVYLDSATERKDPWVAAADQYEWRNRVRLFESTTEAAYTTSTCFKNTVNNRVFCVSIVELRENLLG -DWKIVPLLFQIGVSQGPPPK - ->YP_009380508.1 hemagglutinin-neuraminidase [Avian paramyxovirus 15] -MNSSYSQDNLYTNQTAAQPRGTWRVLYRAVSLIFQILIFSLVLTNVIQYSNLHSPSVSEISAATTTETID -GLKPHLETPLNQINDIFRLTALDLPIQMNTMTREITSQLNILTSGINELVTSNNSGRLLQTTDPAYTGGI -GVFVLNNYLDYPPNLQNMSLLEQPNFVPGSTTTGGCTRIPTFHLSSTHWCYSHNIIEKGCHDAGHSSMYI -SIGVVQVSSRGVPVFLTTQSVIVDDETNRKSCSIVSTEYGCDILCSIVTERESDDYKSDPPTRMLHGRLL -FNGSYVEAAVKFTNDINKFSANYPGVGSGILLGNKILFPLYGGIKQSTDLFNYLHNRTAQVSNNKTVCST -GYDKKKLEAAYRPPLIGGRFWAIGIVICKFSINSLGDCRYKIYDSSVVMMGSENRLMKVGNQVFLYQRSS -SWWPIGLTYILNSTDLLNTDSDIVSSIIPIYHTKFPRPTYDRNACTRPNVCPATCIEGVYADIWPLNNPA -EPSKIIWVSHYLNSEVGREFPAIGVANQYEWVKEFRPLPPTTGAAYATTSCFKNTISNRIFCVSVAEFKD -NLFGQFRIVPLLYEIKVIN - ->YP_009255225.1 hemagglutinin-neuraminidase [Avian paramyxovirus goose/Shimane/67/2000] -MQPGISEVSFVNDERSERGTWRLLFRILTIVLCLTSIGIGIPALIYSKEAATSGDIDKSLEAVKTGMSTL -SSKIDESINTEQKIYRQVILEAPVSQLNMESNILSAITSLSYQIDGTSNSSGCGSPMHDQDFVGGINKEI -WTTDNVNLGEITLTPFLEHLNFIPAPTTGNGCTRIPSFDLGLTHWCYTHNVILSGCQDYSSSFQYIALGV -LKISATGHVFLSTMRSINLDDERNRKSCSISATSIGCDIICSLVTEREVDDYNSPAATPMIHGRLDFSGK -YNEVDLNVGQLFGDWSANYPGVGGGSFLNGRVWFPIYGGVKEGTPTFKENDGRYAIYTRYNDTCPDSESE -QVSRAKSSYRPSYFGGKLVQQAVLSIKIDDTLGLDPVLTISNNSITLMGAESRVLQIEEKLYFYQRGTSW -FPSLIMYPLTVDDKMVRFEPPTIFDQFTRPGNHPCSADSRCPNACVTGVYTDGYPIVFHNNHSIAAVYGM -QLNDVTNRLNPRSAVWYGVSMSNVIRVSSSTTKAAYTTSTCFKVKKTQRVYCLSIGEIGNTLFGEFRIVP -LLLEVYSEKGKSLKSSFDGWEDISINNPLRPLDNHRVDPILISNYTSSWP - ->YP_009094482.1 HN protein [Avian metaavulavirus 11] -MDRSRSLDYLADSPEIKNTWRQFFRVVLIILQITMLCISISALAITIQVRDQHLPSLIKENPKTTSSLIS -SELNPLLSYLPGINREVQLNIPIQLDKIQQSATSEINRLTAAINQMAFGTLSPGLLLKNSKDYVGGINKP -LIPSDKLNWTNATISGFIEHPSFIPGPTTKKGCTRIPSFHLAESHWCYTHNTIASGCEDHGVSSMYISGG -ILYKGSNKEPSLLTTVSILLADELNRKSCSIIASSYGCDVLCSLVTESESQDYKSVNPTPMVHGRLFFNG -SYSEQELDPRIFGDLWTANYPGVGSGILLKDRLVFPIYGGLDETKLNLTSYLNHPLYTKNEWVSCNKSYD -EVVQTLRAAYRPSWFAGRVVTQGVMVCHYDRELLGRCLIARFNTSTVMMGAESRLVMQGDSLLLYQRSSS -WWPVGIVYLVPESIISINETNSVFDLSPIPLSKFPRPTNKKGYCERPAVCPAVCVTGVYQDLWPLSPLAI -ENRTATNPTFAGAFLNAFTTRTAPYFGVAGPNKWARSVQLFTDQTPASYSTTTCFKDTITTQTYCLIIIE -LQENLLGTWKIVPLLVKVSLVYS - ->YP_009094363.1 hemagglutinin-neuraminidase [Avian orthoavulavirus 9] -MESGISQASLVNDNIELRNTWRTAFRVVSLLLGFTSLVLTACALHFALNAATPADLSSIPVAVDQSHHEI -LQTLSLMSDIGNKIYKQVALDSPVALLNTESTLMSAITSLSYQINNAANNSGCGAPVHDKDFINGVAKEL -FVGSQYNASNYRPSRFLEHLNFIPAPTTGKGCTRIPSFDLAATHWCYTHNVILNGCNDHAQSYQYISLGI -LKVSATGNVFLSTLRSINLDDDENRKSCSISATPLGCDLLCAKVTEREEADYNSDAATRLVHGRLGFDGV -YHEQALPVESLFSDWVANYPSVGGGSYFDNRVWFGVYGGIRPGSQTDLLQSEKYAIYRRYNNTCPDNNPT -QIERAKSSYRPQRFGQRLVQQAILSIRVEPSLGNDPKLSVLDNTVVLMGAEARIMTFGHVALMYQRGSSY -FPSALLYPLSLTNGSAAASKPFIFEQYTRPGSPPCQATARCPNSCVTGVYTDAYPLFWSEDHKVNGVYGM -MLDDITSRLNPVAAIFDRYGRSRVTRVSSSSTKAAYTTNTCFKVVKTKRVYCLSIAEIENTLFGEFRITP -LLSEIIFDPNLEPSDTSRN - ->YP_009094216.1 hemagglutinin [Avian paraavulavirus 3] -MEPTGSKVDIVPSQGTKRTCRTFYRLLILILNLIIIILTIISIYVSISTDQHKLCNNEADSLLHSIVEPI -TVPLGTDSDVEDELREIRRDTGINIPIQIDNTENIILTTLASINSNIARLHNATDESPTCLSPVNDPRFI -AGINKITKGSMIYRNFSNLIEHVNFIPSPTTLSGCTRIPSFSLSKTHWCYSHNVISTGCQDHAASSQYIS -IGIVDTGLNNEPYLRTMSSRLLNDGLNRKSCSVTAGAGVCWLLCSVVTESESADYRSRAPTAMILGRFNF -YGDYTESPVPASLFSGRFTANYPGVGSGTQLNGTLYFPIYGGVVNDSDIELSNRGKSFRPRNPTNPCPDP -EVTQSQRAQASYYPTRFGRLLIQQAILACRISDTTCTDYYLLYFDNNQVMMGAEARIYYLNNQMYLYQRS -SSWWPHPLFYRFSLPHCEPMSVCMITDTHLILTYATSRPGTSICTGASRCPNNCVDGVYTDVWPLTEGTT -QDPDSYYTVFLNSPNRRISPTISIYSYNQKISSRLAVGSEIGAAYTTSTCFSRTDTGALYCITIIEAVNT -IFGQYRIVPILVQLISD - ->YP_009094172.1 hemagglutinin-neuraminidase [Avian orthoavulavirus 12] -MESATSQVSFENDKTSDRRTWRAVFRVLMIILALSSLCVTVAALIYSAKAAIPGNIDASEQRILSSVEAV -QVPVSRLEDTSQKIYRQVILEAPVTQLNMETNILNAITSLSYQIDASANSSGCGAPVHDSDFTGGVGREL -LQEAEVNLTIIRPSKFLEHLNFIPAPTTGNGCTRIPSFDLGQTHWCYTHNVVLNGCRDRGHSFQYVALGI -LRTSATGSVFLSTLRSVNLDDDRNRKSCSVSATPIGCEMLCSLVTETEEGDYDSIDPTPMVHGRLGFDGK -YREVDLSEKEIFADWRANYPAVGGGAFFGNRVWFPVYGGLKEGTQSERDAEKGYAIYKRFNNTCPDDNTT -QIANAKASYRPSRFGGRFIQQGILSFKVEGNLGSDPILSLTDNSITLMGAEARVMNIENKLYLYQRGTSW -FPSALVYPLDVANTAVKVRAPYIFDKFTRPGGHPCSASSRCPNVCVTGVYTDAYPLVFSRSHDIVAVYGM -QLAAGTARLDPQAAIWYGNEMSTPTKVSSSTTKAAYTTSTCFKVTKTKRIYCISIAEIGNTLFGEFRIVP -LLIEVQKTPLTRRSELRQQMPQPPIDLVIDNPFCAPSGNLSRKNAIDEYANSWP - ->YP_009094159.1 hemagglutinin neuraminidase protein [Avian metaavulavirus 5] -MDKSYYTEPEDQRGNSRTWRLLFRLIVLTLLCLIACTSVSQLFYPWLPQVLSTLISLNSSIITSSNGLKK -EILNQNIKEDLIYREVAINIPLTLDRVTVEVGTAVNQITDALRQLQSVNGSAAFALSNSPDYSGGIEHLV -FQRNTLINRSVSVSDLIEHPSFIPTPTTQHGCTRIPTFHLGTRHWCYSHNIIGQGCADSGASMMYISMGA -LGVSSLGTPTFTTSATSILSDSLNRKSCSIVATTEGCDVLCSIVTQTEDQDYADHTPTPMIHGRLWFNGT -YTERSLSQSLFLGTWAAQYPAVGSGIMTPGRVIFPFYGGVIPNSPLFLDLERFALFTHNGDLECRNLTQY -QKEAIYSAYKPPKIRGSLWAQGFIVCSVGDMGNCSLKVINTSTVMMGAEGRLQLVGDSVMYYQRSSSWWP -VGILYRLSLVDIIARDIQVVINSEPLPLSKFPRPTWTPGVCQKPNVCPAVCVTGVYQDLWAISAGETLSE -MTFFGGYLEASTQRKDPWIGVANQYSWFMRRRLFKTSTEAAYSSSTCFRNTRLDRNFCLLIFELTDNLLG -DWRIVPLLFELTIV - ->YP_009094059.1 hemagglutinin-neuraminidase [Avian metaavulavirus 7] -MESIGKGTWRTVYRVLTILLDVVIIILSVIALISLGLKPGERIINEVNGSIHNQLVPLSGITSDIQAKVS -SIYRSNLLSIPLQLDQINQAISSSARQIADTINSFLALNGSGTFIYTNSPEFANGFNRAMFPTLNQSLNM -LTPGNLIEFTNFIPTPTTKSGCIRIPSFSMSSSHWCYTHNIIASGCQDHSTSSEYISMGVVEVTDQAYPN -FRTTLSITLADNLNRKSCSIAATGFGCDILCSVVTETENDDYQSPEPTQMIYGRLFFNGTYSEMSLNVNQ -MFADWVANYPAVGSGVELADFVIFPLYGGVKITSTLGASLSQYYYIPKVPTVNCSETDAQQIEKAKASYS -PPKVAPNIWAQAVVRCNKSVNLANSCEILTFNTSTMMMGAEGRLLMIGKNVYFYQRSSSYWPVGIIYKLD -LQELTTFSSNQLLSTIPIPFEKFPRPASTAGVCSKPNVCPAVCQTGVYQDLWVLYDLGKLENTTAVGLYL -NSAVGRMNPFIGIANTLSWYNTTRLFAQGTPASYSTTTCFKNTKIDTAYCLSILELSDSLLGSWRITPLL -YNITLSIMS - ->YP_007008151.1 hemagglutinin-neuraminidase protein [Avian paramyxovirus 4] -MQSDMEGSRDNLTVDDELKTTWRLAYRVVSLLLMVSALIISIVILTRDNSQSIITAINQSYDADSKWQTG -IEGKITSIMTDTLDTRNAALLHIPLQLNTLEANLLSALGGNTGIGPGDLEHCRYPVHDSAYLHGVNRLLI -NQTADYTAEGPLDHVNFIPAPVTTTGCTRIPSFSVSSSIWCYTHNVIETGFNDHSGSNQYISMGVIKRAG -NGLPYFSTVVSKYLTDGLNRKSCSVAAGSGHCYLLCSLVSEPEPDDYVSPDPTPMRLGVLTWDGSYTEQV -VPERIFKNIWSANYPGVGSGAIVGNKVLFPFYGGVRNGSTPEVMNRGRYYYIQDPNDYCPDPLQDQILRA -EQSYYPTRFGRRMVMQGVLACPVSNNSTIASQCQSYYFNNSLGFIGAESRIYYLNGNLYLYQRSSSWWPH -PQIYLLDPRIASPGTQNIDSGINLKMLNVTVITRPSSGFCNSQSRCPNDCLFGVYSDVWPLSLTSDSIFA -FTMYLQGKTTRIDPAWALFSNHAIGHEARLFNKEVSAAYSTTTCFSDTIQNQVYCLSILEVRSELLGPFK -IVPFLYRVL - ->NP_872277.1 hemagglutinin-neuraminidase [Goose paramyxovirus SF02] -MDRAVNRVVLENEEREAKNTWRLVFRIAVLLLMVMTLAISAAALAYSTGASTPHDLAGISTVISKTEDKV -TSLLSSRQDVIDRIYKQVALESPLALLNTESIIMNAIPSLSYQINGAANNSGCGAPVHDPDYIGGIGKEL -IVGDISDVTSFYPSAYQEHLNFIPAPTTGSGCTRIPSFDMSTTHYCYTHNVILSGCRDHSHSHQYLALGV -LRTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTGTEEEDYKSVAPTSMVHGRLGFDGQ -YHEKDLDTTVLFKDWVANYPGAGGGSFIDDRVWFPVYGGLKPNSPSDTAQEGKYVIYKRHNNTCPDEQDY -QIRMAKFSYKPGRFGGKRVQQAILSIKVSTSLGKDPVLTIPPNTITLMGAEGRILTVGTSHFLYQRGSSY -FSPALLYPMTVNNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCITGVYTDPYPLIFHRNHTLRGVFGT -MLDDEQARLNPVSAVFDNISRSRVTRVSSSSTKAAYTTSTCFKVVKTNKAYCLSIAEISNTLFGEFRIVP -LLVEILKDNRV - ->sp|Q91UL0.1|HN_NDVB1 RecName: Full=Hemagglutinin-neuraminidase -MDRAVSQVALENDEREAKNTWRLIFRIAILFLTVVTLAISVASLLYSMGASTPSDLVGIPTRISRAEEKI -TSTLGSNQDVVDRIYKQVALESPLALLNTETTIMNAITSLSYQINGAANNSGWGAPIHDPDYIGGIGKEL -IVDDASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDHSHSYQYLALGV -LRTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKATETEEEDYNSAVPTRMVHGRLGFDGQ -YHEKDLDVTTLFGDWVANYPGVGGGSFIDSRVWFSVYGGLKPNSPSDTVQEGKYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRIQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRILTVGTSHFLYQRGSSY -FSPALLYPMTVSNKTATLHSPYTFNAFTRPGSIPCQASARCPNSCVTGVYTDPYPLIFYRNHTLRGVFGT -MLDGEQARLNPASAVFDSTSRSRITRVSSSSIKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKDDGVREARSG - ->sp|Q9Q2W5.1|HN_NDVK RecName: Full=Hemagglutinin-neuraminidase -MDRAVSQVALENDEREAKNTWRLIFRIAILLLTVVTLATSVASLVYSMGASTPSDLVGIPTRISRAEEKI -TSALGSNQDVVDRIYKQVALESPLALLNTETTIMNAITSLSYQINGAANNSGWGAPIHDPDFIGGIGKEL -IVDNASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDHSHSHQYLALGV -LRTTATGRIFFSTLRSISLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSAVPTLMAHGRLGFDGQ -YHEKDLDVTTLFEDWVANYPGVGGGSFIDGRVWFSVYGGLKPNSPSDTVQEGKYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRIQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRILTVGTSHFLYQRGSSY -FSPALLYPMTVSNKTATLHSPYTFNAFTRPGSIPCQASARCPNSCVTGVYTDPYPLIFYRNHTLRGVFGT -MLDSEQARLNPASAVFDSTSRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKNDGVREARSG - ->sp|P35743.1|HN_NDVL RecName: Full=Hemagglutinin-neuraminidase -MDRAVSQVALENDEREAKNTWRLIFRIAILFLTVVTLAISVASLLYSMGASTPSDLVGIPTRISRAEEKI -TSTLGSNQDVVDRIYKQVALESPLALLKTETTIMNAITSLSYQINGAANNSGWGAPIHDPDYIGGIGKEL -IVDDASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDHSHSYQYLALGV -LRTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSAVPTRMAHGRLGFDGQ -YHEKDLDVTTLFGDWVANYPGVGGGSFIDSRVWFSVYGGLKPNSPSDTVQEGKYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRIQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRILTVGTSHFLYQRGSSY -FSPALLYPMTVSNKTATLHSPYTFNAFTRPGSIPCQASARCPNPCVTGVYTDPYPLIFYRNHTLRGVFGT -MLDGVQARLNPASAVFDSTSRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKDDGVREARSG - ->sp|P35742.1|HN_NDVJ RecName: Full=Hemagglutinin-neuraminidase -MDRAVSRVVLENEEREAKNTWRFVFRIAVLLLIVMTLAISAAALVYSMGASTPRDLASISTAISKMEDKI -TSSLSSNQDVVDRIYKQVALESPLALLNTESIIMNAITSLSYQINGAANNSGCGAPVHDPDYIGGIGKEL -IVDDTSDVTSFYPSAYQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDHSHSHQYLALGV -LRTSATGKVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYKSVTPTSMVHGRFRFDGQ -YHEKDSDRTTLFKDWVANYPGVGGGSFIDDRVWFPIYGGLKPNSPSDIAQEGKYVIYKRYNNTFPDKQDY -QIRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTVPPNTITLMGAEGRVLTVGTSHFLYQRGSSY -FSPALLYPMTVYQQTATLHSPYTFNAFTRPGSVPCQASARCPNSCITGVYTDPYPLVFHRNHTLRGVFGT -MLDDEQARLNPVSAVFDNISRSRVTRVSSSSTKAAYTTSTCFKVVKTSKAYCLSIAEISNTLFGEFRIVP -LLVEILKDDRV - ->sp|P35741.1|HN_NDVH3 RecName: Full=Hemagglutinin-neuraminidase -MDRAVSRVALENEEREAKNTWRFVFRIAILLLIVITLAISAAALVYSMEASTPGDLVGIPTVISRAEEKI -TSALSSNQDVVDRIYKQVALESPLALLNTESVIMNAITSLSYQINGAANNSGCGAPVHDPDYIGGIGKEL -IVDDASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDISATHYCYTHNVILSGCRDHSHSHQYLALGV -LRTSATGRVFFSTLRSINLDDNQNRKSCSVSATPLGCDMLCSKITETEEEDYSSVTPTSMVHGRLGFDGQ -YHEKDLDVITLFKDWVANYPGVGGGSFIDNRVWFPVYGGLKPNSPSDTVQEGRYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTIPPNTVTLMGAEGRVLTVGTSHFLYQRGSSY -FSPALLYPMTVNNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCVTGVYTDPYPLIFHRNHTLRGVFGT -MLDDGQARLNPVSAVFDNISRSRITRVSSSRTKAAYTTSTCFKVVKTNKTYVLSIAEISNTLFGEFRIVP -LLVEILKNDGV - ->sp|P35740.1|HN_NDVC RecName: Full=Hemagglutinin-neuraminidase -MDRAVNRVVLENEEREAKNTWRLVFRIAVLLLMVMTLAISAAALVYSMGASTPRDLAGISTVISKTEDKV -TSLLSSKQDVIDRIYKQVALESPLALLNTESIIMNAITSLSYQINGAANNSGCGEPVHDPDYIGGIGKEL -IVDDISDVTSFYPSAYQEHLNFIPAPTTGSGCTRIPSFDMSTTHYCYTHNVILSGCRDHSHSHQYLALGV -LRTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYKSVTPTSMVHGRLGFDGQ -YHEKDLDTTVLFKDWVANYPGVGGGSFIDDRVWFPVYGGLKPNSPSDTAQEGKYVIYKRYNNTCPDEQDY -QIRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTIPPNTITLMGAEGRVLTVGTSHFLYQRGSSY -FSPALLYPMTVNNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCITGVYTDPYPLIFHRNHTLRGVFGT -MLDDEQARLNPVSAVFDNISRSRVTRVSSSSTKAAYTTSTCFKVVKTNKAYCLSIAEISNTLFGEFRIVP -LLVEILKDDRV - ->sp|P12554.2|HN_NDVA RecName: Full=Hemagglutinin-neuraminidase -MNRAVCQVALENDEREAKNTWRLVFRIAILLLTVMTLAISAAALAYSMEASTPGDLVSIPTAISRAEGKI -TSALGSNQDVVDRIYKQVALESPLALLNTESIIMNAITSLSYQINGAANNSGCGAPVHDPDYIGGIGKEL -IVDDTSDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHCYTHNVIFSGCRDHSHSHQYLALGVL -RTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSVIPTSMVHGRLGFDGQY -HEKDLDVTTLFGDWVANYPGVGGGSFIDNRVWFPVYGGLKPSSPSDTGQEGRYVIYKRYNDTCPDEQDYQ -IRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTIPPNTVTLMGAEGRVLTVGTSHFLYQRGSSYF -SPALLYPMTVNNNTATLHSPYTFNAFTRPGSVPCQASARCPNSCVTGVYTDPYPLVFHRNHTLRGVFGTM -LDDEQARLNLVSAVFDNISRSRITRVSSSRTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVPL -LVEILKDDGV - ->sp|P12558.1|HN_NDVU RecName: Full=Hemagglutinin-neuraminidase -MDRAVSQVALENDEREAKNTWRLVFRIAILLLTVVTLAISAAALAYSMEASTPSDLIGIPTAISRAEEKI -TSALGSNQDVVDRIYKQVALESPLALLNTESTIMNAITSLSYQINGAANSSGCGAPIHDPDYIGGIGKEL -IVDDASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDHSHSHQYLALGV -LRTSATGRVFFSTLHSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSAVPTSMVHGRLGFDGQ -YHEKDLDVTTLFEDWVANYPGVGGGSFIDNRVWFPVYGGLKPNSPSDTAQEGKYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRVLTVGTSHFLYQRGSSY -FSPALLYPMTVSNKTATLHSPYTFDAFTRPGSVPCQASARCPNSCVTGVYTDPYPLVFYRNHTLRGVFGT -MLDDKQARLNPVSAVFDSISRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKDDGVREARAGRLSQLREGWKDDIVSPIFCDAKNQTEYRRELESYAASWP - ->sp|P12553.1|HN_NDVTG RecName: Full=Hemagglutinin-neuraminidase -MDRAVSQVALENDEREAKNTWRLIFRIAILLLTVVTLATSVASLVYSMGASTPSDLVGIPTRISRAEEKI -TSALGSNQDVVDRIYKQVALESPLALLNTETTIMNAITSLSYQINGAANNSGWGAPIHDPDFIGGIGKEL -IVDDASDVTSFYPSAFQEHHNFIPAPTTGSGCIRIPSFDMSATHYCYTHNIISSGCRDHSHSYQYLALGV -LRTSATGRIFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSAVPTLMVHGRLGFDGQ -YHEKDLDVTTLFEDWVANYPGVGGGSFIDSRVWFSVYGGLKPNSPSDTVQEEKYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRIQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRILTVGTSHFLYQRGSSY -FSPALLYPMTVSNKTATLHSPYTFNAFTRPGSIPCQASARCPNSCVTGVYTDPYPLIFYRNHTLRGVFGT -MLDGEQARLNPASAVFDSTSRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKNDGVREARSG - ->sp|P13850.1|HN_NDVQ RecName: Full=Hemagglutinin-neuraminidase -MDRAVSQVALENDEREAKNTWRLVFRIAILLSTVVTLAISAAALAYSMEASTPSDLVGIPTAISRAEEKI -TSALGSNQDVVDRIYKQVALESPLALLNTESTIMNAITSLSYRINGAANSSGCGAPIHDPDYIGGIGKEL -IVDDASDVTSYYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDHSHSHQYLALGV -LRTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSAIPTSMVHGRLGFDGQ -YHEKDLDVTTLFEDWVANYPGVGGGSFIDNRVWFPVYGGLKPNSPSDTAQEGKYVIYKRYNDTCPDEQDY -QIQMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRVLTVGTSHFLYQRGSSY -FSPALLYPMIVSNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCVTGVYTDPYPLVFYRNHTLRGVFGT -MLDDKQARLNPVSAVFDSISRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKDDGVREARSSRLSQLREGWKDDIVSPIFCDAKNQTEYRRELESYAASWP - ->sp|P12557.1|HN_NDVM RecName: Full=Hemagglutinin-neuraminidase -MDRTVNQVALENDEREAKNTWRLVFRIATLLLIVMTLAFSAAALAYSMEASTPGDLVGIPTAISRAEEKI -TSALGSNQDVVDRIYKQVALESPLALLNTESIIMNAITSLSYQINGAANNSGCGAPVHDPDYIGGIGKEL -IVDDASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDHSQSHQYLALGV -LRTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSVTPTSMVHGRLGFDGQ -YHEKDLDVTTLFGDWVANYPGVGGGSFIDSRVWFPIYGGLKPNSPSDTAQEGRYVIYKRYNDTCPDEQDY -QIRMAKSSYKPRRFGGKRVQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRVLTVGTSHFLYQRGSSY -FSPALLYPMTVNNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCVTGVYTDPYPLVFHANHTLRGVFGT -MLDDERARLNPVSAVFDNVSRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKDDKV - ->sp|P12556.1|HN_NDVI RecName: Full=Hemagglutinin-neuraminidase -MDRAVGRVALENEEREAKNTWRFVFRIAIFLLIVITLAISAAALVYSMEASTPGDLVGIPTVISRAEEKI -TSALSSNQDVVDRIYKQVALESPLALLNTESVIMNAITSLSYQINGAANNSGCGAPVHDPDYIGGIGKEL -IVDDASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDISATHYCYTHNVILSGCRDHSHSHQYLALGV -LRTSATGRVFFSTLRSINLDDNQNRKSCSVSATPLGCDMLCSKITETEEEDYSSVTPTSMVHGRLGFDGQ -YHEKDLDVITLFKDWVANYPGVGGGSFIDNRVWFPVYGGLKPNSPSDTAQEGRYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTVPPNTVTLMGPEGRVLTVGTSHFLYQRGSSY -FSPALLYPMTVNNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCVTGVYTDPYPLVFHRNHTLRGVFGT -MLDDKQARLNPVSAVFDNISRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKEDGV - ->sp|P12555.1|HN_NDVD RecName: Full=Hemagglutinin-neuraminidase -MDRAVSQVALENDEREAKNTWRLVFRIAILLLTVVTLAISAAALAYSMEASTPSDLVGIPTAISRTEEKI -TSALGSNQDVVDRIYKQVALESPLALLNTESTIMNAITSLSYQINGAANSSGCGAPIHDPDYIGGIGKEL -IVDDASDVTSFYPSAFQEHLNFIPAPTTGSGCTRIPSFDMSATHYCYTHNVILSGCRDRSHSHQYLALGV -LRTSATGRVFFSTLRSINLDDTQNRKSCSVSATPLGCDMLCSKVTETEEEDYNSAIPTSMVHGRLGFDGQ -YHEKDLDVTTLFEDWVANYPGVGGGSFIDNRVWFPVYGGLKPNSPSDTAQEGKYVIYKRYNDTCPDEQDY -QIRMAKSSYKPGRFGGKRVQQAILSIKVSTSLGEDPVLTVPPNTVTLMGAEGRVLTVGTSHFFYQRGSSY -FSPALLYPMTVSNKTATLHSPYTFNAFTRPGSVPCQASARCPNSCVTGVYTDPYPLVFYRNHTLRGVFGT -MLDDEQARLNPVSAVFDSISRSRITRVSSSSTKAAYTTSTCFKVVKTNKTYCLSIAEISNTLFGEFRIVP -LLVEILKDDGVREARSGRLSQLQEGWKDDIVSPIFCDAKNQTEYRRELESYAASWP - diff --git a/seq/clusters_seq/cluster_1310 b/seq/clusters_seq/cluster_1310 deleted file mode 100644 index ecd791c..0000000 --- a/seq/clusters_seq/cluster_1310 +++ /dev/null @@ -1,22 +0,0 @@ ->YP_009304977.1 putative X protein [Wuhan House Fly Virus 1] -MKKTTKIHSTSSISIAETTDMKRIALLILHLFSGCCVSVVLKIPCSVSEETLSAYNTVVTEFQGTVNLSD -PFSISQKVVLEFTNGSKTYLYTQVCETDSSEIQISHFIDTDTASNIYNKLVHKAPIVNPDNHYKPLPDLQ -VSQTDATTSTTTTPDPRYALGIHLSDEWDNAVEPHVTTTPSALQNVSIKVSNTFTRHSMGRELERDLRNL -SPNFYDDEDEIPIAYQPKGSYFYNVQDSIRRFTRSTSESNKNLDQVSKNLLRYSTAKQILAKRLFKMEKQ -EEQLITLFYASMLMAVRSPPHRCVNIMVYTQVVECYAEILSRPNGRRSHNRLLRYIFIEINVLQGRIASE -TELYDRYLWRINKTSQLA - ->YP_009304653.1 X protein [Wuhan Fly Virus 2] -MKKSNTPSTMYEAIILILLALASASGRVLEIECNSANATQDGPYDVLSTEYHGWIKLNDPFYVEQTINIH -AVYNTTHNMTYTQICETNDKDFQTPGFIDPFKLHEIHRKVVTKISGFLYSNEENKDDETKGGQPDVFEEE -DESPNQTFDFIPMPPPTTSPRPQRSLNAAITVRNIHKMKRTYPELRRDLALVDDDEVVPEAYIPPRRKSY -IRYVYNRLISLAKSKHPVKTTIKEEKKEILRARIFKLERQRDQVALLFTIQVLYSVKNPSSYCQKIKSVR -AAIKCFAHHYDELMDSPDYSTAAHYFHELINLEAKVASESKLFYTYLDSHIPLTT - ->YP_009300666.1 X protein [Shayang Fly Virus 2] -MNSIIIILLICVLSARARILEIECSSANATSEDPYDVLSTEYHGWIKLNDPFYVEQTINIHAVYNTTHNL -TYTQTCETNDKDFQTPGFIDPGKLQEIHRKVVTKISGFLVSTIDNVIPGKGKINEEDSAEDEVTPNLLFD -FIPLTTTTTTTTPRPKRTLEAKVTVRNWHRMKQVFPDIRRDLALVEDEDEMPEAYVPPKRKSYTRYVKAR -LASLVKSNHPLRKPTDREAKKDILRARIFKLERQRDQVALLFSIQILYAVKEPSPLCQKLKSVRAAIKCF -AHHYDELMESPDYHNTAHYFHELTNLEAKIAAESKLFYIYSDEPTDIMT - diff --git a/seq/clusters_seq/cluster_1311 b/seq/clusters_seq/cluster_1311 deleted file mode 100644 index 689ce88..0000000 --- a/seq/clusters_seq/cluster_1311 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009268860.1 putative 36.9 kDa movement protein [Yacon virus A] -MALVNVNSFLAKAESKDLKIDAVRSSELYKDATMLNPSVLNCIKRFETNVKVYAQDGQDLCFTDFQLFDK -DEIESIRGMSGKYKYVHVGVILIAIRAMFPNYKGKGGRVIVYDGSCIDDDKSQGFIAADEFTFTDDTCYF -AIRPSHIFSTTDAHIADLLRFSIDLDCPKYKDDRELIALDIGVAYRMCNASRFLDTKGGANNWSHQAIHG -CSALEYGPDIERVLSRPRIPMEVRDRGSNIFERKRIFGKNELRRSRNIEARRFGRGDEARFRSGSIRIDR -YSKDEFGERYSSDESTQGYSIPVGELHRQRKEVEEGTSKSRQP - ->NP_044336.1 36K protein [Apple stem grooving virus] -MAIVNVNRFLKEVESTDLKIDAISSSELYKDATFFKPDVLNCIKRFESNVKVSSRSGDGLVLSDFKLLDD -TEIDSIRKKSNKYKYLHYGVILVGIKAMLPNFRGMEGRVIVYDGACLDPKRGHICSYLFKFESDCCYFGL -RPEHCLSTTDANLAKRFRFRVDFDCPQYEQDTELFALDIGVAYRCVNSARFLETKTGDSGWASQAISGCE -ALKFNEEIKMAILDRRSPLFLEEGAPNVHIEKRLFRGDKVRRSRSISAKRGPNSRVQEKRGFRSLSARIE -RFGKNEFGRRASASEAPPGRSISMEDSHRPGKGTSDGSSP - ->sp|P0C1U3.1|MP_ASGVK RecName: Full=Putative movement protein; AltName: Full=36 kDa protein; AltName: Full=ORF2 protein -MAIVNVNHFLKEVESTDLKIDAIASSELYKDATFFKPDVLNCIKRFESNVKVSQGQGDGLVLSDFKLLDD -TEIDSIRKKSNKYKYLHYGVILVGIKAMLPNFRGMEGRVIVYDGACLDPERGHICSYLFRFESDCCYFGL -RPEHCLSTTDVNLAKRFRFRVDFDCPQYEQDTELFALDIGVAYRCVNSARFLETKTGDSGWASQAISGCE -ALKYNEEIKMAILDHKSPLFLEEGAPNVHIEKRMFRGDKIRRSRSISAKRGPNSRSKETRGFRSLSARIE -RFGKDEFGRRASTSEAPPGRSVSVEDTDRPGKGNSDGSSP - diff --git a/seq/clusters_seq/cluster_1312 b/seq/clusters_seq/cluster_1312 deleted file mode 100644 index ad5a8a8..0000000 --- a/seq/clusters_seq/cluster_1312 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009252406.1 P2 [Sclerotinia sclerotiorum mycoreovirus 4] -MADSTPLDPLQSSDLKKEEEKANPREPTEAWESFGRILRDDILTANSISAVFNQLAATRELSRIHANSSL -PLSTPAFSIRQDAFSLSAVKVVEHVAIATPEHVPHFYALRPDLDMPKGDVFGLSFLNXGPVAVDSPMMFP -FEQPTIAKTCHGLNLIGVVIDVSHPGITMRVNPYDLSDTVIRADGIEEIDMGQYSGGLVTQNDMNGRFGL -SWFLGKLFDLIGVSFRFGRFLMVSKMDEKDHCLTGRFNMARPDEYELVSGQLMKDDYERYPHYPEVIVMN -HHLDWVRSEVRDHYQPRKLGTVSEGVNLHANRKLSQICYTRSDTLTKRANFEFLTEMRGFQLSPDAYRDL -LGVLSVLRQDIGGRNEMLRFRKYDVVLDTFGREAESFAIFMYNQQIRLACDFTLLYSAQFRQDFRVIVEG -LATSVLRTTVIGTPATLNANMTKTRDSHNVINTTYGQVGFELIGLSIDTSIERVARCLALELMPDWYDIS -TPRSTDYGTIDSRKFAHVLLATKIAFLMNPLAYDRNRHVKATILLDFIRTFLPDVKNELFRALPWGYRSN -GTPVMLEERVATRRISSNIERFTLLEPIPPRNALDPAGWNLMTRVLAFLNAPGLLFDVQRDPTRYSRQFT -MRIPGRVMTPLDENAQEYPVARELTPAFALVTIFDRYISRLGRQVSKTTAANPAWRTALYMFVESFSEWF -HTIYCFAAYCASLLVGVTLPYTNLPQPGVDWRSYPSMCEDPDALLRLNVRGAPRVYPTVATFPHDDLFLP -ILPSEGLYVALFFHGVYSRYFCGALNPSATGVDWDNVRNYYFGTDPRDLVDTYRSILQVGHVCGETIDLV -GRVSTRSIFRGRIRQIVDVIMNSSVLTAKSYAMLAKHLGFLGADRGLGKTSNMLDDLHEDPRVYAPMIGF -ATMGDPILINPVRIGQMCRPAILTVYRLLVDAVFSPELGVLPVLDDVNVSYRRTRSLQYDSHHAEDPFVV -TIKPQTYTQLNVSHVSGIRVFSADVSWMDNGGVWHRHPGIVVFETVEDLLREISVISDLPCSGATVRIDE -RVTYNEIVIDMILQGLKDHYFVLSLPNRRHFVQYAAMMPHHVTSLARAEEALIRQLMSRQTEIGCWRITA -VDTNVLKGRMEDPNLRKPILSADVTGPRILVDGWNTADARVDGQAPDFASYFPDVLEGDDGRGEAMVNGR -RQDAYTAFPFGFVAPYRVYTQSPVRIPRFEMTEICD - ->YP_001936005.1 VP2 [Mycoreovirus 1] -MSTSAKKTPESKTEDKIEPVIEQTSNDKPEPPPNKVDSVITVPTSLINQVIQTASLQENGIVALLNHARY -ISDINNIHVQSAETHPVPAVGLLGSPSLVSLKYTSTHSSVEFPTTTPHFYAARPIPSDNTSELLRIPPVN -VVCDPFPFIPGMAKQYSVSNYNVRLMIDVSHPGIEMIIDPFSISNTKITVDGIQAFDINAFSGGLITDAN -SGFGSRGMPYIFSLISGLLAVSRKIGRFVMIDNIGNEKNRVLTDRIECPTVPGLSHMRGRFLKDDYERYP -HVFEAIPLVTNLPWFRREIEDNVTTVPFGTNHSIPPPNVSNMKVAEYVYCGTDVTMAQFHSNFLDEVSSL -RFSHVQYIRLLNSLVVNRNEITGYTTLLQFREYSAAHVPLQLSALDYSTFPYDRRTSDCLRFMVHYSAAF -RVAFSDFTSSLISSIIQQSEIKTAADFNATHTGMGNTINNSTTSFHEVLRGFLENIRPTTSHDITRALSL -EFFPSFYDLAPTSTHYGAVMATTFLTDLLTLAMTFVMHPVTYSYNPHLKAYAILEFCQAYFNQEVETLLQ -QSGWGTNVTGIVITDVPSIAPSRIESGVYSFTLLQPVNLQPLVPPPMWNLFTRTLAFLNAPFQTYTVSRP -AIAYAQARNVVIPGVPIVPSGPYSRAPPVATYLLSVIQLATTYTTISKQVGLGMSTSKLAAAMTSWRVVV -RAMILNFGEWFHGVYCFAAYNAALLYRLIMPYDNTPPTNWQAFPSVQEDLARLNLHNIHNAPRIIPTQAM -FPHDDTRTVLGPKHMHSILALYHTPSSAHVCGKLNADKTVADWPDLVSFYYGIDPQEIVEIYKTVLNLAS -SFGESIQLSSEMSTGDLLSPDLRVLLRSGIMAKVFSARTFQLFAKFLGVGVTDGSIMSQSGSLIDMFNTD -PRIYFPNTGMTTVFTDQLIQSNPVGDMIRPAVRNNFRILLDSVFGPEGMMPITSGFTASLEMHSNLRFSL -THSIRQVEIIFGTGHQVQYDDGLRGLHIFSCTANQIDATGQRVPIGNMIAFAHIEQLIDNLSTIVGYSVV -RPIAIVPEINQLPAVLVEDIITAFLGNVICLSFPEHRVVRSYAYLSPASVVAATQLERNLVREILEDRTV -THCHHIRIFDTEIIQGVFETTMRKPLLPQRPKCPVELTTHLHTPNARVGGTPINFDRFLPLVEVDASGRL -LATNQRGVKRDAITTRPYALRTPLLVWMQSPYQKLEGTVHSQTVRVGI - ->YP_392476.1 hypothetical protein [Mycoreovirus 3] -MADSTPLDPLTSTPPNDEQTTSHPEKSAEAWESFGRILKDDILTANSISAVFNQLVATRELSRIHANSSL -PLTTPAFSVRQDAFSLSAVKVVEHVAIATPEHIPHFYALRPDLDMPSGDVFGLSFLNPGPVAVDSPMMFP -FEQPTIKKTCHGLNLIGVVIDVSHPGITMRVNPYDLSDTVIRADGIEEIDMGQYSGGLVTENDMNGKFGL -SWFLGKLFDIIGVSFRFGRFLMVSKIDDKDHCLTGRLNMARPDEYARISGQIMKDDYERYPHYMEVIARN -HDLDWVRAEVRDHYEPRKLGTVSEGVNLHANRKLSQICYTRSDTLTKRANFEFLSEMRGFRLSPDAYRDL -LGVLSVLRQDIGGRREMLRFRKYDVVLDTFGREAESFAIFMYNQQIRLSCDFTLLYSAQFRQDFRSIVEG -LAASVLRATVVGTPATLNANMTRTRDSHNVINTTYGQVGFELIDLTINTSIERVARCIALELMPDWYDIS -TPRSADYGTIDSRKFAHVLLATKIAFLMNPLAYERNRHVKAAVLFDFIRTFLPDVKNELFRGMPWGYRSN -GTPVMLEERVGTRRISSNIERFTLLEPIPPRNAIDPAGWNLMTRVLAFLNAPGLSFDVQRDATRYSRQFT -MRIPGQASTPLAENSQEYLVVRHLTPAFALMSMFDKYVGRLGRQVSKTTAAAPAWRTALDNFIHSFGEWF -HTIYCFAAYCASLLVGVTLPYDNLPRPGADWRSYPSMCEDPDALAALNVHGAPRVYPTVATFPHDELFFP -ILPSEGLYVALFFHGVYSRYFCGALNPSATGVDWDNVRNYYFGVDPRDLVDTYRSVLQVGHVCGETIDIV -ARVSTRSIFQGRIRQLVDVIMNSSVLTAKSYAMLAKHLGFLGVDRGLGRTSNMLDDLHEDPRVYAPMIGF -TTMGDPTLTNPTRIGQMCRPAILTVYRLLVDAVFSPEIGVLPVLDDISVSYRRARSLQYDSHHAEDPFLV -TIKPQTATQLNTSRVSGIRLFSADVSWMDDDGTWHRHNALVIFEMVEDLLREISRISGRECNGTTVQIDE -RVTYNEIVVEMILQGLKDCYFVLSLPNRRHFVQYAAMFPHHVTQLARSEEVLLKQLMSRHTEIGCWRVMA -IDTNVLRGRMEDPNLRKPILSADATGPRILVDGWNTADARVDGQPPDFASYFPDVLEGDDGRGEVVVHGR -RRDAYVTFPFGFVAPYRVYTQSPVRIPRFEMTEISD - diff --git a/seq/clusters_seq/cluster_1313 b/seq/clusters_seq/cluster_1313 deleted file mode 100644 index b227ea5..0000000 --- a/seq/clusters_seq/cluster_1313 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009249806.1 P0 [Maize yellow dwarf virus-RMV2] -MGGVPKASSDFMRCEVNFTGSLSYTEIPYHYGRRLAEFTASLQTIAFCILNPAYDAEALFRSVLLVLPLM -LRDLPYTRGFPRDVWSAFARHAIRTGGNISPPPECFPTLQISPRARFMYRDFLQRIITGDSATRLAGSPF -DFLGGLESFKLKLEGFCRRVEHLGGETCTRHIGVLSASLRLRRELRVGASRFMSRRDNLHLELLHLISLL -GGDFHAATLHPTRLIDRCSVYLHNALGPAGHKDFWRLAGYDDLLDWDFDIRFFQDSIVQREFHQL - ->YP_009246450.1 PO protein [Barley virus G] -MRCAINFDGTLEFSDIPRDLSVRLAEFVSATITIAFCALQPEYDAEALFRSVLTVLPLMLRPSPYTRGIA -REAWSSFARHALRTGGRISLPPEFNDNSDEPAALFRRFLQRVDARAYAHALKGREENFFTSLRSFQLKLE -SFCRRAEDPDRYPQRRHIEVLSGIVREAHNNGESIYRHFPDADNIHLELLDLGRSLEFDFPHQAVHPSDL -ISRLFVYMHNALGPFGLQDFWRVADLSDFFVIHSDVQYLQDSYIQKELADV - ->YP_008083738.1 PO protein [Maize yellow dwarf virus RMV] -MRCEIHFDGTLTFAEIPRDLTIRLLEFQTALRTIACCVLNQRYDAETLVRSAFTILPLMLRELPFTWEFP -SAVHAAFAKHALRTAGRIPPPMELIFHGDTPKHMYRDFLYRVVTRDIAASLQRSHENFICGYKRFQLRLE -SVCRRVELLGDTFAPKHIEELSWSSRTRGGRGAGARRRLPARCNLHLELLDIAALLGCYNPGMQIYVPDV -IDRLSLRLYNALGPAGFKDFWRVAGYDHLADWDSDIEALSGSVIQKELNLL - diff --git a/seq/clusters_seq/cluster_1314 b/seq/clusters_seq/cluster_1314 deleted file mode 100644 index a7aa76c..0000000 --- a/seq/clusters_seq/cluster_1314 +++ /dev/null @@ -1,33 +0,0 @@ ->YP_238303.1 env [Ovine enzootic nasal tumor virus] -MPKHRAGFRKGWYARQRNSLTYQMQRMTLNETTNGLPTQRQVEALMRHAWNEAHVQPPATPIKILIMLLL -LLQRIQNGAAAAFWAYIPDPPMIQSLGWDREIVPVYVNDTSLLGGKSDIHISPQQANISFYGLTTQYPMC -FSYQSQHPHCIQVSADISYPRVTISGIDEKTGKRSYRNGTGPLDIPFCDKNLSISIGIDTPWTLCRARIA -SVYNINNANTTLLWDWAPGGTPDFPEYRGQHPPILSVNTAPIFQTELWKLLAAFGHGNSLYLQPNISGSK -YGDVGVTGFLYPRACVPYPFMLIQGHMEITLSLNIYHLNCSNCILTNCIRGVAKGEQVIIVKQPAFVMLP -VEITEEWYDETALELLQRINTALSRPKRGLSLIILGIVSLITLIATAVTASVSLAQSIQAAHTVDSLSYN -VTKVMGTQEDIDKKIEDRLSALYDVVRVLGEQVQSINFRMKIQCHANYKWICVTKKPYNTSDYPWDKVEK -HLQGIWFNTNVSLDLLQLHNEILDIENSPKATLNIADTVNNFLQNLFSNFPSLHSLWQSIIVIAIIVFIV -IVVILLVPCLTRGLIKDFLQMRIELIHMKYRYMLQYQKLMDFVKKREGSCGGQPAEG - ->NP_862834.2 envelope protein [Enzootic nasal tumour virus of goats] -MFVFFHRMPKHRVGYRKGWYARQRNSLTHQMRRMTLSEPTSELPTQRQIEALMRYAWNEAHVQPPVTPSN -ILIMLLLLLQRMQSGEAAAFWAYIPDPPTIQSLGWDKEVVPVYVNDTSLLGGKSDIHISPQQANISFYGL -TTQYPMCFSYQSQHPHCIQVSADISYPRVTISGIDEKTGKRSYRDGTGPLDIPFCDKHLSIGIGIDTPWT -LCRARVASVYNINNANTTFLWDWAPGGTPDFPEYRGQHPPIFSVNTAQVYQTELWKLLAAFGHGNSLYLQ -SNVSGSKYGDVGVTGFLYPRACVPYPFMLIQGHMEITLSLNIYHLNCSNCILTNCIRGVAKGEQVIIVKQ -PAFVMLPVEITEGWYDETALELLQRINTALSRTERSVSLIVLGIVSLITLIATAVTASVSLAQSIQAAHT -VDSLSYNVTKVMGTQEDIDRKIEDRLSALYDVVRVLGEQVQSISFRMKIQCHANYKWICVTKKAYNASDF -PWDKVKKHLQGIWFNTNISLDLLQLHNEILDIENAPKATLNIADTVDNFLQNLFSNFPNLHSLWQSILAV -IIIVSVIIIVICLVPCLLRGLFRDFLHMRAELLHLKYRHMMQYRQLMELLGNKERGAAGDNP - ->NP_041188.1 envelope protein [Jaagsiekte sheep retrovirus] -MPKRRAGFRKGWYARQRNSLTHQMQRMTLSEPTSELPTQRQIEALMPYAWNEAHVQPPVTPTNILIMLLL -LLQRVQNGAAAAFWAYIPDPPMIQSLGWDREIVPVYVNDTSLLGGKSDIHISPQQANISFYGLTTQYPMC -FSYQSQHPHCIQVSADISYPRVTISGIDEKTGKKSYGNGTGPLDIPFCDKHLSIGIGIDTPWTLCRARVA -SVYNINNANATFLWDWAPGGTPDFPEYRGQHPPIFSVNTAPIYQTELWKLLAAFGHGNSLYLQPNISGTK -YGDVGVTGFLYPRACVPYPFMLIQGHMEITLSLNIYHLNCSNCILTNCIRGVAKGEQVIIVKQPAFVMLP -VEIAEAWYDETALELLQRINTALSRPKRGLSLIILGIVSLITLIATAVTACVSLAQSIQAAHTVDSLSYN -VTKVMGTQEDIDKKIEDRLSALYDVVRVLGEQVQSINFRMKIQCHANYKWICVTKKPYNTSDFPWDKVKK -HLQGIWFNTNLSLDLLQLHNEILDIENSPKATLNIADTVDNFLQNLFSNFPSLHSLWKTLIGLGIFVIII -AIVIFVFPCVVRGLVRDFLKMRVEMLHMKYRTMLQHRHLMELLKNKERGAAGDDP - diff --git a/seq/clusters_seq/cluster_1315 b/seq/clusters_seq/cluster_1315 deleted file mode 100644 index 1c9f06e..0000000 --- a/seq/clusters_seq/cluster_1315 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009237280.1 P8a [Raspberry leaf blotch emaravirus] -MSEIGITIEDILENLWIELFNAISEYAKVGEYDDLINLKDELLDGGEAESFSKPGLKVIFKFNKLAFICT -QIVDYDNILYRKFKNHFDKFGIKMLNKDYTLRYRNPIGLAREIFNHVNFKMDLSDVEYWNGFFITITGEL -NKTKSIMEGDYIVRCENQLKIIHKLDGIIRTIQKKSKEYDSSTIKNYIDFTMYLDDCSYVVSSSQVRRND -EDCAETVIDENQDKLNIAESDAT - ->YP_009237279.1 P7 [Raspberry leaf blotch emaravirus] -MSSINKIIMGVWSELFDELSKHISWREVNEIRDIKEQLMNGVSVDSLTVKNHNLLYKLNKLLYLCTQIVD -YDSIIYSKLKVYLDLFDISIVNRDFNLRHRNLKDLSRLIATVIDINHERLNTILWERKLEAIANRMNENC -QENEINLISKCENYLSVINNLEKTISNINSVMERHNKSVNDNNEIE - ->YP_009237278.1 P6 [Raspberry leaf blotch emaravirus] -MSVFSKVIIEVWYELFENLIGYIDRDDYEDMKKMRKLLLDNGEIDPEVVSSIKSVSKFNKIVYLCTQIVD -YDSCIYNKFKKHLEMFDIKMMKKDFNLRYRNKAVLSRMIAESIDLNKEDIDMTLWDEKLTIISKKLRDYS -YKTEIDLMATCTEYMKIINKLQETLDAVSGAINNHNDSFNSPLWKVNI - diff --git a/seq/clusters_seq/cluster_1316 b/seq/clusters_seq/cluster_1316 deleted file mode 100644 index 03fa7e9..0000000 --- a/seq/clusters_seq/cluster_1316 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009222002.1 GP4 protein [Kafue kinda chacma baboon virus] -MQKGSSFKCYMCVLFSCFIIGAGSNNTSTQPPTTTNSMSTTNQATLGQTCFQCAFQIVNNSTQNFTVTFA -YHENCHLSFRTHTEALSVSTISHYHHHDCWVSALRAVYQGYNVTINQTHYCYLPNVETGINPAVVRLACA -VVLLVKLAQFWT - ->YP_009067072.1 GP4 protein [Southwest baboon virus 1] -MRNLQLYTFALLVFTGQLRPGLGNNTSTAAPVTTANNTTTKPISSASPTNGTCYRCCHHVNNTSVNRVPV -SYVYHENCALHALDHFENITVNTSGDYCMQDCWLNALKKVYQGYNVTINQTHYCYKPYSTREFTPAVTKW -ATAIVVFVKLTQFLQNNE - ->YP_009067058.1 GP4 protein [Mikumi yellow baboon virus 1] -MSPLQLFFPALLVLTGLIRPLLGNNTSTATPATTTHNPTTKSISFASPMEGTCYQCCHSIVNGTVMNVSV -SYVYHQNCKLHALDHLERINVTTNSSYCMHDCWLNALKKVYQGYNVTINQTHFCYKPYSTREFTPAVTKW -ATAIVVFVKLTQFLQNNE - diff --git a/seq/clusters_seq/cluster_1317 b/seq/clusters_seq/cluster_1317 deleted file mode 100644 index 07d5f9f..0000000 --- a/seq/clusters_seq/cluster_1317 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009222000.1 GP2b protein [Kafue kinda chacma baboon virus] -MPFNKLYTSLLCQSLTLLYTSLLFCSRCSLAKVSDLPSGPFSGVLDVPLQDLSSLRQCLQLRHGFYEVSP -LSDPVHLPQVHTNRNGVTLTLTSKCATHHYVQLTRQLQSYFSHLPQCHSLQLRPQHLILLSHPQWVRVET -LLKVALSLTLLLTVLSCL - ->YP_009067070.1 GP2b protein [Southwest baboon virus 1] -MLVFFITHYRLPSTSLLCLSWISLFTLCSLFSPSLSAKGSGSSSGHSFRAPLWLSLANLTSLRECLQTKQ -GFYEFGPIDDVINRAIPRVNYTFNGVHLQLTASCHRHHYALAERTLHTFFAHQLTCSSISLIPAQSIFFL -DYEGRKALTLTQVAFSLTLATSFRSLYLRVTNW - ->YP_009067056.1 GP2b protein [Mikumi yellow baboon virus 1] -MLVFSIMLCKQLYMSLLCLQWTSSFILCLYFLPFSLAKESGSSSAHSSLAPLWLSLENMTSLRECLQTKQ -GFYEFSPIDDVINQVIPHTNYTLNGVPLQLSAACTKHHYPVAERVIHEFFTHQPTCSSIHLLPAQSVFFL -EPEGRKALTLTQVAFCLILLTSCRSLHLALTKRSS - diff --git a/seq/clusters_seq/cluster_1318 b/seq/clusters_seq/cluster_1318 deleted file mode 100644 index c1d59dd..0000000 --- a/seq/clusters_seq/cluster_1318 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_009220473.1 3B [Tupaia hepatovirus A] -SLETTGVYHGVTKPKNVVKLDAIPVDSQ - ->YP_009164964.1 3B [Phopivirus] -GVYHGVTKPKNVIKLDALPSDAQ - ->NP_740557.1 3B (VPg) mature peptide [Hepatovirus A] -GVYHGVTKPKQVIKLDADPVESQ - diff --git a/seq/clusters_seq/cluster_1319 b/seq/clusters_seq/cluster_1319 deleted file mode 100644 index 3d9faac..0000000 --- a/seq/clusters_seq/cluster_1319 +++ /dev/null @@ -1,13 +0,0 @@ ->YP_009220470.1 2A [Tupaia hepatovirus A] -SSVDDFKTDEEKKFEEELSNEILPLEKIPRNKFPYRSLRMKVGEQRLKYAQEELKNGLFSQGNLVVDFAI -YEKDIGNYTFRGFGFKGKVCRFTTPSWIEKNVKIKSGTFCLENIEGWEEVQSLPSLVAVLQRMVNIPDWL -NARFPYCAIQNSDFFDQVKKEPIFSKLDLAEIEILFNSLIPTQNSTIRKIARETGVTKVSSE - ->NP_740553.1 2A mature peptide [Hepatovirus A] -SHIECRKPYKELRLEVGKQRLKYAQEELSNEVLPPPRKMKGLFSQAKISLFYTEEHEIMKFSWRGVTADT -RALRRFGFSLAAGRSVWTLEMDAGVLTGRLIRLNDEKWTEMKDDKIVSLIEKFTSNKYWSKVNFPHGMLD -LEEIAANSKDFPNMSETDLCFLLHWLNPKKINLADRMLGLSGVQEIKEQ - ->sp|P0C5S8.1|POLG_HAVSJ RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein VP1-2A; AltName: Full=VPX; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Assembly signal 2A; AltName: Full=pX -ETMLDRIASGDLESSVDDPRSAEDKRFESHIEQGKPYKELRMEVGKQTLKYAQEEL - diff --git a/seq/clusters_seq/cluster_132 b/seq/clusters_seq/cluster_132 deleted file mode 100644 index 80a5342..0000000 --- a/seq/clusters_seq/cluster_132 +++ /dev/null @@ -1,492 +0,0 @@ ->YP_008470970.1 RNA-dependent RNA polymerase [Ageratum latent virus 1998] -MDTVKSELILMFLSNRLRFYQSFEIEQADMKDWVKIFLFKFVIEHTAKFDFATVFQTFEMVLGNLGYVVD -EVEEDDGYVRDIDPFYLPYDDLDVDYTSMRCEISDDIPDLPSSSDVENYVENISHIPEGTSWASVSDTLE -EFREEYQDLKTNSVSFEIPNDIVLNFDDDDGQINDLEIIDAGESMAPEVSADADIRTSCFVSYITGSDLK -SKWRPKVTQVRPDPSIIQDAVDELFPNHHCVDDRFFQEWVETHDIDLEVTNCNLDMSNFNDWTKGSDTRL -CPTLQVGGLSHRVPTQREALVAIKKRNMNVPELQSQFDHNTVLNTCVQRFLTHVIDKTRMSKLMPISGEE -LYYFNQYIENKNPPLSEYKGPIPLVALDRYMHMIKTTLKPVEEDSLHIERPVPATITYHKKGVVMMTSPY -FLCAMVRLLYVLKSKFTIPTGKYHQIFQMDPKRLKNSKFFKEIDFSKFDKSQGHLHHDIQWKLLSYLGMP -EHVIDTWFNAHEVSHIYDQNCGIGFSVDFQRRTGDACTYLGNTLVTLSVLSYVYDLSKPDILFVTASGDD -SLIGSLSELPRDREDLCVSLFNFETKFPHNQPFICSKFLLVVDCDDGSEEVLAVPNPLKLLQKLGPKNLQ -VTVLDDYYQSLCDILWVFEDADICKRVADLAEYRRFKGLHKCQFLESALLSLPSLVANRLKFIRRTVNLE -SSKVCIRNDVYSDLVTSLDSRAKCRTYDADGSNRSRKREPWKGGETRTRGRAEPGGNCKNNRRVSSNVTI -PNESNIGRKKPSRKGGFKLH - ->YP_009551615.1 unnamed protein product [Apple necrotic mosaic virus] -MDPLYHLVKHAPSAVRCALSKRAELELIREQQSLEFRDHWVEAARRMGDVRIFNDLVVGYVMVEFFRPKF -ERIFKQFVGTEAGPWIVDRWAMVFDIPTPPIEIEYTQQSVSMYEQYAYIDEEYEEILRLEALELAEAQGQ -EHNGVPMYHTESLGESIFDRDYENEDVLTPPCGAELDSPTDVNDPTIEVETDMHALDDEVPALPKSSLQR -VTVSALPLVPMIESFETNQLMLVKEIVVDKFEMLLESHDRVLARNDWRSLAMYIPNIQVPDCSDILEVPV -RHTQPEFIQMAIDELLPGVADVDDRFFQELVETDDICLELDRATIDQSVFNDWVPKSDKKLQGVFRTGST -SKRVPTFREAALAIKKRNLNVPDLKQVMFDDDEARKIANRFINTVIDPNKLAQFPGYISEGEVGYYNKYL -TGKNIDPDLFVDPCALVSMDKYRHMIKTQLKPVEDVSQVFERSLPATITYHDKGKVMSTSPIFLMMCNRL -LLCLNDKISIPSGKYHQLFSLDPFAFEMTREFKEIDFSKFDKSQQRLHHLIQFHIFTALGADHEFLDMWF -GSHEISHIRDGPCGIGFSVNYQRRTGDACTYLGNTIITLSALAYMYDLLDPNITFVIASGDDSLIGSVKP -LNRDTEFMFTTLFNFEAKFPHNQAFVCSKFLCLLPTKSGGKKVLAVPNALKLNIKLGVKDLAPEAFDAWY -ESWLDLTWYFKHYLVVSMMRDYISHRYARKQTLFQEGAMLAYGTIFANKEKCLKSVFGITSKDLEKMKLK -SRCGEVRTQRGKTDVSKTPRKWADVVEYTESPNRDDSDERITRSDPIPIYEEGKNLLPVNRSRRPSKKNR -SIAKKTG - ->YP_009551568.1 RNA polymerase [Tea plant line pattern virus] -MDVKSWLSSLSSSSLDPLREALLCDDDMPIDKVEENTHISRFIGWVILRKVFFSEEFASDEYYFYSDCLR -LRFFELFSVPMELYDANDSGSTNVVFVESDASYYKEMEDLDLAFSFPSEDKRGDVNDSSPDSVELTEVSQ -VEVEPYLDFKLEVCTLPDDEGLCRQLDWKAQTHVVVENVLRNSSIEIINSMRTYNANCIQDAIDEVFPHH -FSVDDRYFQTFVETSDIDLELDAAHIDMSKFPKKFDIHFDWEPKLSTGLTSTRYNSFREAALAIKKRNLN -VPDLTADLDVDSLVPKVMERFLKILDLGKLSGFPDDSHDVGCFLLDYLSRKGLSYDVLEPGCMVSLNKYR -HMIKSQLKPVEEDSLHVERPLAATITYHGKEKVSVTTPFFLSLAAKLLCVLSDKVVIPMGKEHQLFDINV -DVFRKIKFWKEIDYSKFDKSQGKLHHEIQRAIFRDLQCDEGFLSTWFHSHEFSTIFDSEAGIGFSTDFQR -RTGDACTYLGNTLVTLATLSFVYDLDSPDVGLIVVSGDDSLIGTFHSELPREREILCSTLFNFETKFPHN -QPFICSKFLVEIETTDGRGKVVAVPSPIKLLMKLGPKSMNKQLFPAWVESIKDYAAPFRNAFVAEQVCNL -ASYRFGRRCFTFLLPAISEVLWMLSNPNVKLKEKLFLQNSSYLSNHCHDRREKEKKRNRPRARSKR - ->YP_009551513.1 RNA-dependent RNA polymerase [Cape gooseberry ilarvirus 1] -MDKIKSELILMFVNDRVKFFHSMGVMHDDFMDWSSLFLFNFVVEHTAKFDFATVYMTLEMVLSRMGCQVP -DDFEEDDGVSHTIDPFYLPYDDLDVDYTSMCCEVHEESQPIPSVSDFNEFVVNISHIPEGTHWGSVSDDS -EEFREEIQDIRGVEYSLEIPEIQIIKDDDDGEVFLPVLVDAGDSLAPDVSADADVRNSTFVSYKTGSEYT -SKWRPKVTQTRPDPSIIQSAVDELFPNHHSVDDRFFQEWVETHDIDLEVSSCGLDLSNFSDWTKGTDTRL -VPNLNVGGLSHRVPTQRESLLAVKKRNMNVPELQSQFDFDRVLNDCVSRFLTHVIDKKRLAKLMPISGEE -LFFFNQYVREKNPPLSTYKGPIPLISLDRYMHMIKTSLKPVEEDSLHVERPIPATITYHDKGVVMMTSPY -FLCAMTRLLYVLKSKFTVPTGKYHQIFQMDPMRLTTSKFFKEIDFSKFDKSQGHLHHDIQHKIFLMLGMP -QHVITTWFNAHEVSHISDKACGLNFSVDFQRRTGDACTYLGNTIVTLSVLSYVYDLSSPNILFVAASGDD -SLIGSITELPRDKEDLCVSLFNFETKFPHNQPFICSKFLLVVECDDGSKEVLPVPNPLKLLQKMGPKNLQ -VTVLDDYYQSLCDIVWVFEDMDICRRVAELAEYRRFKGLHKCLFLESALLSLPSLVANRLKFLRRTINVE -CSRNCIRDDVYIDLVSHFDSRCTVRVDESKPERRRSASKAGNSERKSSGEVKPRGVRNRCCGLRCSGAVS -PRITDGRKKSTWKGGGKLH - ->YP_009508870.1 replicase [Tomato necrotic streak virus] -MEIITNVLDLVSTVSLRTSLQVHASFDLPLSIEQSFMIRLWLVRDVVIPTMKVYWDADALLGRLETVFST -DPEPVVMRNSTFHDIVPEYVDEFGEVPEEAYLSPEEREEIHKATFLKHEHWGSESSGSSFLDEIECLYDE -TVKDDVMQDVCTDMPKDYEIMWNDGAVDAVWSSRFEVEEPPKPKFRPQMTDKVCDPVIIQDAINDIFPFH -QDMDDTYFQTWVETQDISLEVSKCWLDASNFKDFTKGQQTYAVPTYQSGATSKRVNTQRETLLAVKKRNM -NIPELQATFDLDAEVATCFKRFCTHVVDIPRLKRLPPMMGTETEFFHRLFGWYNPPLSEYRGPLTLQSLD -KYMHMVKTIVKPVEDNSLKYERPLCATITLSKKGIVMQSSPLFLSAMSRLFYVLKSKIHIPSGKWHQLFT -LDATAFDAAQWFKEVDFSKFDKSQGELHHKVQKLIFDLLKLPPEFVEMWFTSHERSHITDRDTGVGFSVD -YQRRTGDANTYLGNTLVTLICLARVYDLCDPKITFIIASGDDSLIGSVEELPRAPEHLFTSLFNFEAKFP -HNQPFICSKFLVSVDLADGGREVIAVPNPAKLLIRMGRRDCQFQSLDDLYISWLDVIYYFRDSRVCEKVA -ELCAYRQTRRSSMYLLSALLSLPSCFANLKKFKLLCYNLTEEECLKKTKLTRDTHGHVEKEQRVNGCRNK -GIVQEGTAIPAKNGRRVQETSTRPNSGFRAYPQRKSRGCWIPHISKVFQAPDQMGGCSCAVTRKSFSSIR -SAVDVSGFPPAGPPSRYAESGEFGDGTRTGSSYAYKNSRPRNRLRAQGVHQSRCSNTEYIPSNNRRST - ->YP_009507940.1 polymerase [Lilac ring mottle virus] -MSLLIPDQEVRPEPIVCEPEPEPVIEIDGRVFLCKEVDQESLDFNLEVYVDYLSVKVAACNSWASCSDDT -CSTTESFPGDIPNLEISNDSFIDDKKFLEISEEICDPSFVEIDVPLQKIVSEFTVDDECVEHISDRFDTL -IPEYEQVSRDNGVFSCWSLSFDNEEPYIPKFVPKDVVAHCDPSVIQDAVNDIFPYHHLVDDKYFQAWVEA -SDISLEVSKCWLDLSNFKDPLKGSQCYAEPLFQTGATSRRINTQRETLLAAKKRNMNIPELAQIFDMERE -REVCFQRFLLNVVDKTRLGKLEPMMTTEVKFFNDYLVGKNPPLSEYQGPLNLCSLDKYMHMVKTTIKPVE -DNSLIHERPLCATITYHKKGIVMQSSPIFLAAMSRLFFVLKSKILIPSGKFHQLFNLDPLSFESARWFKE -VDFSKFDKSQGELHHLVQKDIFMALGLPPDFVDVWFSSHERSHIVDRDTGVGFSVNYQRRTGDAVTYLGN -TIVTLICLARVYDLNSSDITFVVASGDDSLIGSLHELPRDSESLFVSLFNFEAKFPHNQPFICSKFLVAV -DVEGGRREVISVPNPAKLLIRMGKKDCQYQDLGELFISWLDVVKYFRDSEVCRKVADLCAYRQKRGPSMY -LEAALLALPVCFSNRKKFLNICYSLTNDDCLKYSDLRIKKKKSDDYQTHLKQNDDYRSRKQDRRYRAMCA -PWFGKIFQEGTVCDEKRTHTHSFHSQSDHYRSICGRSPTVVSSTDGNRECRGSREAGSYGRENSRLKYRP -RDRGVHQSSRFDPNSVPPNSR - ->YP_009337236.1 hypothetical protein [Wenling toga-like virus] -MSALNAAEVRFAHECGGSIRPVHGDYIVARTFLAAFGLEFNDVPAFGALVRARADTRDLSGLRVYADVFG -VVTRVHVITDAAKFDAASYFTVGLAGPTARLIFDVDGATGRVSHARVVSSASADNRTATRDRFQRLMDAA -LRASSDPLSRSVPSPFGLCSVAPDWSLSLVVTVGAAVSDAEMDIVRTSFPSLEVKFARSNQTPHALARAM -TDCYRRLHDVFVRKHTHAGSRIVECGSGGDIGTNELPTTVTAPVLSARDVCRRDNAVAAMLNRLRRRLDT -TPDAIVTIARLFAGLALMDPLAHQVVRDAVAAQSDERQVAVSRAVGELCADPEGVMPGTVRSDVIILFHV -AYYFSPRDLFEHMVSSPTATAYVTLHYMPHLAIVDSAYDPLTGHTATVLGSDVVFGFDGDHAGHYRCPLD -NYLAWVTLPLTTEFRGHVFHCEPVLSVGSIVTFRVRRTNTDIVTVERGLRRLFPGFNRLIVAPIAVNGIR -RTHAVGLSHEEYTSLAMAAASFRSRPSRDEISRMLRSRMRRIKVNNTIVSDERFSSLRLEDHECITQYLQ -TTTIDLPCAAPVDPFAERVSPLGLLGAAVVGAAAAATVAGVYRYHAGSVVRKTSALQNYVADCERYVYDY -TSTYPPALLSPPAPPFVGVPAVPVPALTRNLGARLRARVYTWLGRHACDAYVIPSLRALHEFVPAWPWTD -VQLSGWPMPAFTVHRAVWATVSSRLTWLAAAAPAGLAVFAMANRLLTRHTRAVIDRSEFLRERFNSFARP -AVPSHSLLYGAAFLVGTVAPFCPATAAAVTATGLIVGGVAAFMDWVRRWQNTRLVIERDPTTASERFLKI -ATQFSDAVVPQVLDVDALFVDEKLVSGLGATRRTARAEPKPTPLSAGERDTLGELLRRFLSAEAIQPTLP -VEILDVAERARLLDPPGLPPAAEPWFDNAMDRLLSFVDGAPVEWLRCDDLRFATAVERPSRGDAAPVLLQ -VDTGCTAVTVCSNDLQRAVVEERSAWFLYGPLFEAGRPTDLVPGAFIYTYEGGYVSLEYRPPFATSPAPV -VMVEPNDVFDDLAGLDAPVGADPPAAAPQQTERSRPAPVPLPAQQRPPARAVLPAPAIRPAPVVSSGPRR -VRPDVSISEAHRRGRRRAAVAAPRPSSGAVAAALPAAAHAAPQRYRPPAVRRAPDTEGDVFRELYVPAKT -GRVHKHRGAAATAAMCAVYRRDPHARIITVGLFGSSDTASSVLRLFSSARLVVIEENGGSARGHVIHPIA -ALSRVAAAGDRLAADTYVICCLTGLPNSAVAELHRLFSDQPNVIVTGSSGLPWQLGGHELLLPYSAAPLR -THIGEFPLPRIIDVAAVDRCAHCHTLVALLESIRRSPDAFGGLLARCQLYTLRAPPVARSCVAPRLPTAV -APAPALLRDSLAAQARVADQLGALLDTAYAPTRVSAASRVEPQYLPRVIVPHIVVAENADAVIGVAADFF -TRETAAVYRAVADIVEEFGFSVPTVPDVTKGIDLEEPAEFYVRDYKLAHVSTVAGTKESVRTSGSLQYFH -ALHVVSAQAERGRVALHCNVERVVHFDGVAGCGKTTKIKALVAKYGRSHVRVFTQSTAGRDELGAEVGAR -TIASALVNIISVCGSADVVIFDEASMMHPGVVVFIAALYGARVVILFGDSKQIPFISRDPHWLQPVPPLP -FNAVVVNLRHTYRMPLDAAAAASTVYRSAVTTSNAIDCSMSFEYGQPFSAINRGIPGVVYLTITQQEKVA -VAEVVRPAVVRTVHEAQGLSTPDVCLVRSFEKNVPLFEPEADGVMNAHMLVAITRHTRKFWYVSVIPKTA -DTLGAAIERACATGRASRAPAEGDMFDSSIPAYEMREVVRADISTASPLNQFEISTVRGGASGRGSRRRP -AAVAPVDRVTAWPWPAGDVKLVISSEITQLRFSQMSTNDGILHPPDQRVTVRTSRAPAAGQNDCWYTALR -PLFNAMGATTISAAARRIGIRVGDAPYLSDVLRRVAVELGVPYASAACDVSEYSHCLRVHTKPDRSCDRC -IGLTVTHGAVGHVEVVPRHAAQYLVCYRAVSVLDVPVGRSARPLPDATGDGLDFPVGSLMTTGSVAARVP -AAGLLDAVAWLDPAGASVEGTRAELVELLSISDQLSESLVTPIYVPAREEQRYDVCRRLVRTGDVDHLQE -VYNTFVPRVAAVDPSFDDFVNATSPISVTNGNLRLTEVAFCDSIRPPADRNPSVLRTGCYPDKAVTASEL -LLACNERNWNVPALISPASRAVFSVTHVREFFDAYCVGATSAMVAQFRASPIATDYAFVSGWVHKLTTDK -LHRYADLRPLADADRSYELSLRPGCKVTGTDSILRKVPKGQVLNVMAPEFVAYFSPRFQQILTRIRAVLR -PSVVLAIGYNQAHMSAFAGAFLDPQVQYEHYECDMSAFDKSLERTMFSGVLELYRSFGLSDADCELWEIL -HRLTLSRSRAGISFYTSYQTKSGDPATALGNTLATMIALARVMHAVSPQLSLFMGDDSLLFSEKAVPMAA -FSFDMAMRTNFTAKMLRPPLGGFCGGFFVSDGVTWRFMTDPIKRIERLGRQDNPDIDVLADRFVSLGDLV -RDYSSVVHNVALSRGLAARYRVRADLSDALVTLFNLGSDFTAYLSLFGVELSEARRVDVLRRAIVRFAAR - ->YP_009165997.1 replication-associated polyprotein 2a [Privet ringspot virus] -MDSFKNDLITYFLCKRLDFYQSFEIMDEDYTEWVKLWLFQNVVEHTAIHDFPLFVTLVMVLCRLGAEYYP -EVEEEEWQSPPIDPFYLPYDDLDTDYTMLSYGSENKDAEKSFDVLDCDDSTQLVLDNFMENVSYIPEGTS -WASVTDGSFVEHLEDIQDIPVLPKCDVPVDTIPFDDDGCLYTLEQCEAEEPCDSDVCADSDMRNSGYMNY -ISGTTRPSRWRPKVTQVRPDPSIIQDAVDELFPHHHEVDDRFFQDWVETHDIDLEVSNCDIDMSNFNDWT -KGSGSRLIPTLSVGGLSHRVPTQREALLAVKKRNMNVPELQSQFDHDSVLNRCVSRFLTHVVDKTRLSKL -LPISGEEVHFFNQYVENKNPPLSEYKGPVPLVALDKYMHMIKTTLKPVEEDSLMIERPIPATITYHKKGV -VMMTSPYFLCAMVRLLFVLKSKFTVPTGKYHQIFQMCPTRLKESKFFKEIDFSKFDKSQGRLHHDVQFKI -LQILGIPDHFVTTWFNAHEKSFIRDRDCGLGFSVDFQRRTGDACTYLGNTIVTLSVLSYVYDLSNPNILF -VAASGDDSLIGSLEPLPRDNEDLCVSLFNFETKFPHNQPFICSKFLLVVECDDGTEEVLPVPNPLKILQK -MGPKNLQVNVLDDFYQSMCDILWVFEDAEVCRRTAELAEYRRYKGAKKCLFLESALLSLPSLVANRLKFL -KRTINLESSRACINNENYNLLCDALVSRNVRGVDAGGKSTNCVKRTKSKFGFEAKRTVREPESGRNVARV -RNRSGQRTNSHGFHDGRKEPTRKVGFFMH - ->YP_009104368.1 RNA-dependent RNA polymerase [Lilac leaf chlorosis virus] -MEFVSLLSDTSFFSSNADVSDFQRDFIEMQRLNREAEELRDSKKKVTDLVTGLALAVFFRPHFERIFNQF -LDTEFAPFVEDRWRQLFDLEERDWQYLFEVEERVTFADIYANDSYYGYNDEDFVVEIDSSVLEPYTPVDE -VELESEPTGGVTEDFVNEGSLTERPESFESPSTSDEVVEEVKPPMSMVVVSQTATNWADDEVSEDFDMHK -YDDVRPCIGNKMLKLVERPNTMVPEVLPFETNQLLLVKEIRVDPFAGLLEHHEHVLARNDWTSLAMYLPM -MEVPHCGELVTLPVRHMPMELIQSAVDELLPGVADLDDSFFQELVETSGVALELDRVSIDTSVFRDWTKP -SKNLQSKLNTGAISKRVPTFREAALAVKKRNLNVPNLQQVLLEDEEARKIANRFINTVLDPNKLAQFPGY -ISEGEKGYFEQYLIGKKIPENAFVDPCALVSMDKYRHMIKTQLKPVEDTSCLFERPLAATITYHDKGKVM -STSPIFLMMANRLLLCLNDKITIPSGKYHQLFSIDPFAFEMTKEFKEIDFSKFDKSQQRLHHLIQFHIFT -ALGAPREFLQMWFGSHEVSHIRDGICGLGFSVDYQRRTGDACTYLGNTIITLSALAYLYDLLDPNITFVV -ASGDDSLIGSLKPLDRTNEFQFTTLFNFEAKFPHNMPFVCSKFLCLVPTLDGGKKVVAVPNALKLFIKLG -VKDMKPEIFDAWYESWLDLLWYFDNYFIVSTMRDYISHRYLRKHTYFVEGGMLAFRTIFSSKTRCLQSLF -GISPKDLPTADVQKAVTKPKPKVERPKGKGRVTKNVR - ->YP_008519305.1 replicase P2 [Blueberry shock virus] -MAFLSSMFPSDSFLDRDWEVPLLLDERPRTEVEQVSNEEVDTNALFLKSYLLTLFLSPKFKYIFEDFLGT -EFACYVKPRWAIVFGCEVDYEVHELVNDELPLHLDTYEPWEGVDDEPCLGIDDLLDRDASPVERDDVLFC -LEDESDGTTSLVLPTQTGIDDDVDSDTSLKVFESTEDLEDVKPTLKSSDLVLRTINDVDTVIPVVEKFDT -NRLHLVKEVMMDGFESLLIAHERILSRNDWASLAMYCPDMEIPTCGDLLEIPVKHTDIEFIQMAVDELLP -GVCDLNDSFFQELVETGDIALELDKAKIDRSVFNDWSKSKKCISSRLNTGNISKRVPTFREAALAIKKRN -LNVPDLQQVFFEDEEARRIANRFINTVLDPNKLAQFPGYISEGEIGYYNKYLTGKAIDPDMFVDPCALVS -MDKYRHMIKTTLKPMEDCSGLFERPLPATITYHDKGKVMSTSPIFLMMANRLMLCLNDKISIPSGKYHQL -FSVDPFAFETTKEFKEIDFSKFDKSQQRLHHLIQFHIFTALGASSEFLQMWFGSHELSHISDGPCGIGFS -VNYQRRTGDACTYLGNTIITLSALAYMYDLLDPNVTFVIASGDDSLIGSIKPLNREDEYKFTTLFNFEAK -FPHNMPFVCSKFLILAPTNDGGKKVVAVPNALKLFIKLGVKDLSPYVFNEWYSSWLDLIWYFDNYHVVST -MRDYLSHRYKRGTTFYQEGGMLALRSVFSSKTKCLKVLFGLEPKDIEEPKPKKVSFNQIERLNFREKENK -VPNKDRSKRPNKSSRMNSDLK - ->YP_002455929.1 polymerase [Asparagus virus 2] -MDAHYFLSELCDIRKFVSTRVIEQSFDIKDDETTSYLQAWMYSRILVPVMKFHLCGEVLLDKIEDVFRET -LGCEAEPNDDGYMPVFIHLDDDDDEPPPEAYLPKEEREAIHAATFLGHEHWASVTSGSDFYDDIEMLADE -TIYDDLQTDKCAEMPADYQIMWNDGAIDAVWSSQFECEEPPKPKFKPQSVDKGCDPVVIQAAIDDIFPFH -HEMDDRYFQTLVETQDISLEVSKCWIDASNFRDFVKGQDSYAVPVIQSGATSKRVHTQREALLAVKKRNM -NIPELQSTFDLDAEVNTCFKRFLTHVVDVPRLRRLQPMTGVEVEFFNQYLLGKNPPLKEYQGPLPLCSLD -KYMHMVKTIVKPVEDNSLKYERPLCATITYHKKGIVMQSSPLFLSAMSRLFYVLKSKIHIPSGKWHQLFT -LDAEKFDAAKWFKEVDFSKFDKSQGELHHKVQEKIFECLKLPPGFVEMWFTALERSHIVDREAGIGFSVD -FQRRTGDANTYLGNTLVTLICLARVYDLCNPNITFVIASGDDSLIGSVDELPRGPEDLFTTLFNFEAKFP -HNQPFICSKFLVSVDLADGGREVIAVPNPAKLLIRMGRRDCQYQALDDLYTSWLDVIYYFRDSRVCEKVA -SLCAFRQTRRSSMYLLSALLSLPSRFANKKKFKWLCYHLTEQECLKITKQPGSSHYTKKNECSKESVKNF -PHRRSSCGRWEFIPKIKSDDDYRIFPNGDLSTIRGSSVSKTDCGYGKCSDTASSIGNKNTRPRNRFRAEG -VHQPSGCYSNRLPPNHRRSS - ->YP_002308570.1 p2 protein [Blackberry chlorotic ringspot virus] -MDYVKENLIVYSLRSRLEFIQSFGVEPDMYKEWVSMFLFKFIVEHTAKFDFATIDCTFGMIVARLIPGYS -DLDDEDEEHSPREIDSFYLPYDDLDVDYTTIDYRRDDVEVPTNEVLNLVSNASYVPEGVSWGDVTDSSFE -DHLEEIQELPTFNVDEPVVSSSEAGLPDDDGTIQDVVWVDQSDPIVSDVVADADLRNCGFVDYQSGSIKT -SKWKPKVIQVRPDPSIIQNAVDEIFPNHHHVDDKFFQEWVETHDIDLEVTNCDLDMSVFNDWTKGSDSRL -MPAFSVGGLSHTVPTQRETLLAVKKRNMNVPELQSQFDHNDVLNRCVNRFLTHVVDKTRLSKLMPISGEE -VHYFNQYVENKNPPLSEYKGPIPLVALDKYMHMIKTTLKPVEEDSLHVERPIPATITYHKKGVVMMTSPY -FLCAMVRLLYVLKSKFVVPTGKYHQIFHMDPERLEVSNFFKEIDFSKFDKSQGRLHHDVQFKLFLMLGIP -EHFVTSWFNAHELSHIRDRDCGVGFSVDYQRRTGDACTYLGNTLVTLSVLSYVYDLSNPNISFVAASGDD -SLIGSLQPLPRDKEDLCVSLFNFETKFPHNQPFICSKFLLVVECDDGTKEVLAVPNPLKLLQKLGPKTMQ -VTMIDDYYQSLCDILWVFEDADVCRRVAELAEFRAFKGRKKCLFLESALLSLPSLVANRLKFLRRTINLE -SSKACIRNDVYSNLVDALEPMCARRLDASPRRSEICSGNEKVRIGSRNSRCGSWRKEYRAGDKIETPRIC -EGVHGRGKREPSANPQRISFRGKELTWKGGNKLP - ->YP_001285483.1 putative viral polymerase [Citrus variegation virus] -MDAYCLLSDLCDIKIFASTFNVEQCFEISEAVATNYLQVWMFSRILVPVLKFHLSGEVLLDRITDVFREV -LGCEDEPNDDGYTPTFIRYDDDDDEPPPEAYLPIEEREEIHAATFLGHEHWASVTSGSDFYDDLETSFDE -TINDDMQKDVMSNMPADYQIMWNDGAVDAVWSSRFECEEPPKPKFKPQSTDKGCDPVVIQAAIDDIFPFH -HEMDDRYFQTLVETQDISLEVSKCWIDASNFRDFVKGQDSYAIPAIQSGATSRRVHTQREALLAVKKRNM -NIPELQSTFDLDAEVNTCLKKFLSRVVDLPRLRRLQPMTGIEVEFFNQYLLGKNPPLKEYQGPLPLCSLD -KYMHMVKTIVKPVEDNSLKFERPLCATITYHKKGIVMQSSPLFLSAMSRLFYVLKSKIHIPSGKWHQLFT -LDAEKFDAAKWFKEVDFSKFDKSQGELHHKVQEKIFECLKLPPGFVEMWFTAHERSHITDREAGIGYSVD -FQRRTGDANTYLGNTLVTLICLARVYDLCDPNITFVIASGDDSLIGSVNELPRGSEDLFTTLFNFEAKFP -HNQPFICSKFLVSVDLADGGREVIAVPNPAKLLIRMGRRDCQFQALDDLYTSWLDVIYYFRDSRVCEKVA -SLCAYRQTRRSSMYLLSALLSLPSCFANKKKFKWLCYHLTEQECLKITKQPGSAHVIKKNECSNESVKNK -IFPRRNRGCWEFVPKVKTDDNYRYIPVGDLDVNRSPSVSNSDCGSGKRSDTAGSINSKNTRPRNRFRAEG -VHQPSGRYSNRLPPNNRRST - ->YP_941472.1 RdRp [Strawberry necrotic shock virus] -MDYVKENLIVYSLRSRLEFIQSFGVEPDMFKEWVSMFLFKFVVEHTAKFDFATIDCTFGMVVARLIPGYS -DLDDEDEELSRHEIDPFYLPYDDLDVDYTSNTVDCNDVTIPTDEVYNLVSNASYIPEGVSWGDVTDTSFE -DHMETIQDIPTLNVESPSVSHVEFMTDDDGLIQDHRWIDANESIAPDITADADLRLCGHVEYCSGSIGVS -KWKPKVTQVRPDPSVIQNAVDELFPNHHSVDDKFFQEWVETHDIDLEVTNCDLDLSVFNDWTKGSDTRLM -PTLSVGGLSHRVPTQRETLLAVKKRNMNVPELQSQFDHNDVLNRCVNRFMTHVIDKTRLSKLLPISGEEV -HYFNQYIENKNPPLSEYKGPIPLIALDKYMHMIKTTLKPVEDDSLHIERLIPATITYHKKGVVMMTSPYF -LCAMVRLLHVLKSKFTVPTGKYHQIFQMDPTKLEVSNFFKEIDFSKFDKSQGRLHHDVQFKLFLMLGIPE -HFVTTWFNAHEVSHIRDRDCGVGFSVDYQRRTGDACTYLGNTLVTLSVLSYVYDLSSPNILFVAASGDDS -LIGSTQPLPRDKEDLCVSLFNFETKFPHNQPFICSKFLLVVECDDGSKEVLAVPNPLKLLQKLGPKTLQV -TMIDDYYQSLCDILWVFEDADICRRVAELAEFRCFKGRRHCLFLESALLSLPSLVANRLKFLRRTINLES -SKACIRNDVHSNLVAALEPMCARGLDTPPRDSGKFARRKDFQVDKGNSRDYSWREEPSTGDKVKTPRIGE -GIHRRGRRGSNAISQRNQVGRKESTWKGGNKLH - ->YP_611151.1 polymerase P2 [Prune dwarf virus] -MDSLLEFLLPSHDEDAIELVDTNSVKPSFSLSDSSGDVSPIYRSLCKFFGFLMIRDTVVRPDKFTGEFIT -LPFVIDRLRMTFDLEDDYAFPVDDCSYDLELTDAQLDYAEVLQQQKDFFSESLGKVVVDYDFSLDSEDPS -IKDVVKIPDEISEDFPQENVPAEIVADVPQIVSDDVEEQVVAGELIPSCETVNENVCVEVKFPTHLAPKF -LATHLPDVDRVILVDGDTVDGFDKLISRNDWFFLKKLLPNIPLPSDGDVLLSGIKPTSPGVLQFALDELF -PYHNLVDDRFFQELVECNDIALELDDCSFDLSHFVSWDKGKSGVDSTLMTGQTSNRQSTFREVALSVKKR -NMNVPILNTVLNVEDVSNKIVNRFFETVVDINLLTTLPDVISIGEVNYFSDYLKGKAINDDELYVDPICL -VSMDKYRHMIKSQLKPVEDNSLMFERPLAATITFHDKGKVMSTSPIFLAAATRLFACLNEKISIPSGKYH -QLFSLDAERFDSVRFWKEVDFSKFDKSQQELHHEIQRKIFLRLGVPQEFVNTWFTSHCRSHISDASGLRF -SVNYQRRAGDACTYLGNTIVTLAALCYVYDLRSSNVAMVVASGDDSLIGSYSELDRSFEHLFSTLFNFEA -KFPHNQPFICSKFLLTMPTKGGGKQVVAVPNPAKLLIKLGVKSMTVDKFDDWFQSWLDLIHYFDDYYLIE -VVCSMTSYRYIRRPSQFLVSAISSLKSLFANKKKCKDFLFPGLRDKNPDLSMRLDTPIKIRDVTSKRTRG -KKKMKENSHFQIDGKVGG - ->YP_164802.1 RNA-dependent RNA polymerase [Fragaria chiloensis latent virus] -MFCPKILSFVPSSEPWVGSESLDASGDIPTIDDWYLLTNTVLAERLVIERRRQLEKVVGQYKTLLHFVGW -CYLEQVVFKTSKYKGEFNTFPFLVERFFAAFDLEAPQYEVLPMQSDCLSDFFDVGCVDLPLPIEEDTISL -PSDFHRSISSLSYPSCGSSSMESGRTSSGGPESTSSDVCHEIYNAGPVDPMPQCSRRWSDYTSEEDECEL -VPLSGDTLPRGEIPNEIVSVSATVNRCLESSYMSTDIPDLGRFIEEPVEFDGFDRVVAVNDWWSGMKLVP -NLGIPDDSDVIFSGILSSAPEVMQSAIDEFLPLHHQIDDRFFQEIVETSDISLELENCSFDLSSFKSWSS -HESGCCSSLNSGMTSTRTNTFREAALAIKKRNMNVPAISSSCDIGKVSDDVVEKFFSRIIDVDKLIGLPI -IGHGELAWFADYLKGKPVNEDMFVDPICLVSMDKYRHMVKSQLKPVEDNSLAFERPLPATITYHDKGKVM -STSPLFLALMNRLLMSLKSKISIPTGKFHQLFSLDAQVFDSVLEWKEIDFSKFDKSQQELHHEVQKKIFL -RLGLPRDFCDTWFTSHVRSHISDPSGLRFSVNFQRRTGDAVTYLGNTVVTLAVLSYVYDLSDPNVLMVVA -SGDDSLIGSYRPLDRSREHLCSTLFNFEAKFPHNQPFICSKFLLTMPTKSGGRRVVAVPNPLKLLIKLGI -RNLQEDQFDAWYTSWIDLIHYFNDEHLISVVAEMCSYRYLRKPSMFLKPAMCSFNNVFANKTKLMKFLFP -SMVLRKDKRKRPPRKR - ->YP_054423.1 p2 protein [Humulus japonicus latent virus] -MASFKDIVNALFASAQQKLGLSVPLLTGDKIIVNMPSNQKKKMELVAVPLLRENVRFSRETLVVFLRHPC -LANMLNQWEFWAWEFNEELLPYGCSVTRVKDICVDGPYEDKSGYFHGSYTYAENSVYVDDYQVELCPLDD -NMPPESVYEEGECSSKYQEVPPCEDVNLDGEEGENVGLLDMPEKDFQSLMHTRLQHLRDVGCSINLSGRG -IPVIPIVAGGFSETTRLNPRHAESERVFKDRLEGPELKWAGPVFKDNLRAHSVDDMDVREWVAINRPIPK -KKLPMKISGSIDAPLLNVREVSPVLNLVNNYIPKYQMLDWHALAKFINNYQAKEKPMDIKITSRGFANRH -VFQQALDEILPHHHKFDDSFFQTMVECDDISIELSRCRLDLSVFNSWEKGSNKVKPMINSGVANRRFSTQ -REAMLAVQKRNMNVPNLAHCTDIPAVVAEVVDKFFKVIIDPDKLSQFPGFISEGELAYFEDYLRGKQPDP -EMLKDPLCFQRLDKYRHMMKNNMKPVEDHSLNSERALMSTITYHEKGTIMSTSPIFLMAANRILMCLSDK -VKIPTGKYHQLFSLDAHVLKHVKHWKEIDFSKFDKSQGELHHYLQREIFIRIGVPMNFIDAWVPQVTRSF -ISDPSNGLFFNVDFQRRTGDACTYLGNTLVTLCVLCHVYDLGDANVLSVVASGDDCLIGSYEKLDQSREY -LCSTLFNFEAKFPYNQPFICSKFLIIAEMMDGREEVIAVPNPIKLLIKLGSKLNPEDFDEWFLAWRDHIH -YFQNAHIVDQIVDLVEVRTGVRNTQCLRLALESFPHVFRSKKAVMREFFCMEEIESIERNRQIKAIKALN -KKFKLPRSTTHVC - ->YP_053235.1 89.7 kd protein [Alfalfa mosaic virus] -MFTLLRCLGFGVNEPTNTSSSEYVPEYSVEEISNEVAELDSVDPLFQCYKHVFVSLMLVRKMTQAAEDFL -ESFGGEFDSPCCRVYRLYRHFVNEDDAPAWAIPNVVNEDSYDDYAYLREELDAIDSSFELLNEERELSEI -TDRLNALRFFPVSKTEALPVANVQEVKLISETYQLLMTFINYSDENIPSEMPAPLLDELGMLPEELGPLN -EIEDIKPVAAPITLLSEFRASDNAKPLDIVEIIPDVSPTKPYEAVISGNDWMTLGRIIPTTPVPTIRDVF -FSGLSRHGSPEVIQNALDEFLPLHHSIDDKYFQEWVETSDKSLDVDPCRIDLSVFNNWQSSENCYEPRFK -TGALSTRKGTQTEALLAIKKRNMNVPNLGQIYDVNSVANSVVNKLLTTVIDPDKLCMFPDFISEGEVSYF -QDYIVGKNPDPELYSDPLGVRSIDSYKHMIKSVLKPVEDNSLHLERPMPATITYHDKDIVMSSSPIFLAA -AARLMLILRDKITIPSGKFHQLFSIDAEAFDASFHFKEIDFSKFDKSQNELHHLIQERFLKYLGIPNEFL -TLWFNAHRKSRISDSKNGVFFNVDFQRRTGDALTYLGNTIVTLACLCHVYDLMDPNVKFVVASGDDSLIG -TVEELPRDQEFLFTTLFNLEAKFPHNQPFICSKFLITMPTTSGGKVVLPIPNPLKLLIRLGSKKVNADIF -DEWYQSWIDIIGGFNDHHVIRCVAAMTAHRYLRRPSLYLEAALESLGKIFAGKTLCKECLFNEKHESNVK -IKPRRVKKSHSDARSRARRA - ->YP_006447.1 p2 protein [Parietaria mottle virus] -MDQIRINLIDSFLSSRLCFNATFGVYHDDYMEWVKLFLFKHVIEHTAKFDFATIHMTLEMVLCRLVPGLG -DALYPEEENEVDGLSSREIDPFYLPYDDLDVDYTALCRERDDSIPTIPPEDELSVIVKNMTYIPEGTSWG -DVSDSSFQEHLEEIQPVPLGSDVGHTIPNEHIPFDDDGQLVDVQWSDAIPSLATDVTCDADYRECGFVSY -SSGSQHSSRWKPKVSQVKPDPSIIQSAIDELFPHHHSVEDRFFQEWVETHDIDLEVSDCNLDMSVFNDWS -KGTDTRLVPQMCVGGLSHRVPTQREALLAIKKRNMNVPELQSQFDHEDVLNRCVNRFLTHVVDKTRLGKL -MPISGEEVYFFNQYLENKNPPLSEYKGPVPLLALDKYMHMIKTTLKPVEDDSLHIERPVPATITYHKKGV -VMMTSPYFLCAMVRLLYVLKSKVFIPTGKYHQIFQMDPVRLKNSKFFKEIDFSKFDKSQGRLHHDVQLRL -FLHLGIPQHFVDTWFNAHERSHIRDRDCGIGFSVDYQRRTGDACTYLGNTLVTLSVLSYVYDLSKPDILF -VAASGDDSLIGSLNPLPRDKEDLCVSLFNLETKFPHNQPFLCSKFLLVVECDDGTEEVLAVPNPLKILQK -MGPKNLQVTVLDDYYQSLCDILWVFEDADICRRVAQLAEYRQFKGKRSCVFLESALLSLPSLVANRLKFI -RRTINLEGSKACIRNDAYSNLIACNVSRAKCGRDAAGSDSVNSARESVPTRTPKEWGGSRKRNPGCAVRR -EGVREGDRSEFNGTGSHRTDYGRKKPSRKGGFKVH - ->NP_733824.1 polymerase p2 [Prunus necrotic ringspot virus] -MNPLNQLLSHGCSAISSALHRDVAYTQISDECFDSHFTQISTEYSLTTSVRKLFEAYMVTMFLKKHMSYV -FEDFIGSEMGPYVQTRWRIVFDLDEVEEEYVYKPDFYHYDVYDYEMGVDIPASIMDDIPFCEDAEAVTCR -NCGSFDEMETVYQAATSSDESRANVCDVDAPHDTIKWSDEPDLTVDDPSKLHDLDDEVPQLQLETVGRVV -QSVEPLVPDINSFETNQLHLVKEVVLDRFESILECHEKVLARNDWKSLAMYVPTGEIPSVSDILEIPVKH -TQPEFIQMAIDEMLPGVSDFDDRFFQEIVETSDINLEIDRASIDMSVFNDWTPKSGRLNGLFQTGNISKR -VPTFREASLAIKKRNLNVPDLQQVLHEDDEARFIANKFINTVIDPNKLAQFPGYISEGEMGYFNKYLSGK -TVPDDAFVDPCALVSMDKYRHMIKTQLKPVEDTSQLFERPLAATITYHDKGKVMSTSPIFLMMCNRLLLC -LNDKISIPSGKHHQLFSLDPFAFEMTKEFKEIDFSKFDKSQQRLHHLIQFHIFTALGAPKDFLDMWFASH -EISHIRDGPCGIGFSVNYQRRTGDACTYLGNTIITLSALAYMYDLLDPNVTFVIASGDDSLIGSIKPLDR -SEEFKFVTLFNFEAKFPHNQPFVCSKFLCLLPTVSGGKKVLAVPNALKLNIKLGVKDLAPCVFDAWYNSW -LDLIWYFDNYLVVSTMKDYISHRYLRRQTCYQEGAMLAYRTIFSSKEKCLKSLFGITSKDLEAMLPMSKN -GKGSNRAECSKNNKTYRPPKSTRCRNSAN - ->NP_620754.1 putative polymerase p2 [Tulare apple mosaic virus] -MATHVLDLVSTCVLRDALQLHASFCLDPTPELNFYFRLWVLREVVVPTMKVFWDADALLGRLETVFSLEE -PCFPNPIVYDASMPLLSYDDEFGEVPDEAYLSPEEREEIHKPKFLTHEHWGSESSGSVSLEDVKCLYDES -VFDDIMQDVNSDMPKDYEIMWNDGAIDAVWSSRFEVEEPPKPKFRPQLTDKVCDPVIIQDAINDIFPFHH -EMDDTYFQTWVETQDISLEVSKCWIDASNFKDFTKGQSTYAVPTYQSGATSKRVNTQRETVLAVKKRNMN -IPELQATFDLDAEVRACFKRFCTHVVDIPRVKRLPQMMGTEIEFFNAYLQGKNPPLSEYRGPLTLQSLDK -YMHMVKTIVKPVEDNSLKFERPLCATITYHKKGIVMQSSPLFLSAMSRLFYVLKSKIHIPSGKWHQLFTL -DAACFDAAQWFKEVDFSKFDKSQGELHHKVQKLIFDLLKLPPEFVEMWFTSHERSHITDRDVGVGFSVDF -QRRTGDANTYLGNTLVTLMCLARVYDSCDPKITFVIASGADSLLGSVEELPRTPEHLFTSLFNFEAKFPH -NQPFICSKFLVSVDLADGGREVIAVPNPAKLLIRMGRRDCQYQALDDLYNSWLDVIYYFRDSRVCEKVAE -LCAYRQTRRSSMYLLSALLSLPSCFANLKKFKRLCYNLTEEECLKKAKTGRNTRDNVERDKHVRGCRIEV -AQNKDGKCPRRKSRGCWKYIPKFSVQDRSGTVPDTASLTGIRGKVDVDKIPTSPRCGDTGSREFGSSSRT -RSSIGSEDSRPRHRFRAKGFHQPSSCHTGHLPPSDRRST - ->NP_620768.1 putative viral polymerase [Tobacco streak virus] -MDLVIKNLIVYHLRRRIDIGTSFGIEPADYIDWVKVFLLKFIIEHTARFADFATIHTTMLLVLGEDDPNY -VEKDTPIMEIDPFYLPYDDLDVDYTSLRVCGDEDQSCSDRDELSDFISNISHIPEGTSWGSESDTSFVEH -LETIQDIPTKCEIADKPVEEIPFDDDGKVVNDVWVDAELSNAPEISCDADIRACGFVSLRLLESSRGYPK -WTPERVSSGLNPDLPVNSKPAVDEIFPHHHSVDDRFFQEWVETHDIDLEVTSCDLDMSTFNDWTKGVDTR -LVPNMSVGGLSHRVPTQREALLAIKKRNMNVPELQSNFDHDDVLNRCVTRFITHVVDKTRLSKLNPISGE -ELHYFNQYLENKNPPLSEYKGPVPLVALDKYMHMIKTTLKPVEEDNLHIERPIPATITYHKKGVVMMTSP -YFLCAMVRLLYVLKSKFVVPTGKYHQIFQMNPELLKHSKEFKEIDFSKFDKSQGRLHHDVQFRLFLALGI -PEHFVTTWFNSHEKSYIRDRDCGIGFSVDYQRRTGDACTYLGNTLVTLSVLSYVYDLSNPNILFVAASGD -DSLIGSIEPLPREKEDLCVSLFNFETKFPHNQPFICSKFLLVVECDDGSEEVLAVPNPLKLLQKLGPKNL -QVTVLDDYYQSLCDILWVFNDADVCRRTAELAEYRRFKGTKKCLFLESALLSLPSLVANRMKFVRRTINL -ESSRACIRNDVYSDLVPHFDSRVSRCDDSDGVRTSTFDDRKSSKHASDKLRKTECYGEARCRIKPRRNRK -SESGAVQYSQSSGIETGKANSSRKGRIKLH - ->NP_620678.1 putative polymerase [Spinach latent virus] -MDSYHFLSQLCDLNVLTHALYVNQVFEIVDQSTTSYMQMWLYSRVIVPVLKYHLSGDIILDKLHDVFLGT -FRSMMVPMIMLNRLSFVVKMTTMNHHRKAYLPLEEREKIHAATFLGHDHWASVTSGSSFYDDLEILADET -VNDDLHFDKESSMPDEYQIMWNDGTIDSVWSSQFECEEPPKPKFIPRPSDKVCDPVVIQDAVNDIFPFHH -EMDDRYFQTLVETQDISLEVSKCWIDASNFRDFVKGPNSYVEPVFQTGATSKRVHTQREALLAVKKRNMN -IPELQSTFDLDAEVNTCFKRFLTHVVDLPRLKRLQPMTGVEVEYFNQYLLGKNPPVKEYQGPLTLTALDK -YMHMVKTIVKPVEDNSLKHERPLCATITYHKKGIVMQASPRFLSAMSRLVYVLKSKIHIPSGKWHQLFTL -DAGKSDAVKWFKEVDFSKFDKSQGELHHKVQEKIFNALKLPPGFVEMWFSAHERSHIVDREAGIGFSVDY -QRRTGDANTYLGNTLVTLICLARVYDLCNPNITFVIASGDDSLIGSVEELPRGPGEFIYNPVNFEAKFPH -NQPFIWLKVLVSVDLEDGGREVIAVPNPAKLLIGMGRRDCQYQALDDLYQSWLDVIYYFRDARVCEKVAD -LCAYRQTRRSSMYLLSALLSLPSCFANKKKFKWLCYRLREQGCLKKDIYPVAKPISAHNIRKEDSNGCDV -NQGTDDSFSRKKNRGCWEYVPKMLQTDVSVPVTDKSFHSNRGSSVSPTDCGDGGCSRSASSIGSKNTKPR -HRFRAAGVHQPSGRDPDRIPPNHRRSA - ->NP_619575.1 polymerase [Elm mottle virus] -MDSHLLLSSLCKLDVYTQHAEVSTSFDIADEATDFYMQVWMFSRVIVPVCKYHLAGFTIMDKLHDVFLEP -FVVRDADDDDYVQVFSHVESDDDEPPPEAYLPLEEREKIQAATFLGHEHWASVTSGSDFLDDIEMLADET -INDDVQVDKLAVVPNEYQLMWNDGAIDAVWSSQFECEEPPKPKFKPQQTDKVCDPVVIQAAIDDIFPFHH -EMDDRYFQTLVETQDISLEVSKCWIDASNFRDFVKGQDSYAIPVIQSGATSKRVHTQREALLAVKKRNMN -IPELQSTFDLNAEVDTCFRRFLTHVVDVPRLKRLQPMTGTEVEFFNQYLLGKNPPLKEYQGPLPLCSLDK -YMHMVKTIVKPVEDNSLKYERPLCATITYHKKGIVMQSSPLFLSAMSRLFYVLKSKIHIPSGKWHQLFTL -DAEKFDAARWFKEVDFSKFDKSQGELHHKVQEKIFECLKLPPGFVEMWFTAHERSHITDREAGIGFSVDF -QRRTGDANTYLGNTLVTLICLARVYDLCDPNITFIIASGDDSLIGSVAELPRGPEDLFTTLFNFEAKFPH -NQPFICSKFLVSVDLADGGREVIAVPNPAKLLIRMGRRDCQFQALDDLYQSWLDVIYYFRDSRVCEKVAE -LCAYRQTRRSSMYLLSALLSLPSCFANIKKFKWLCYHLTEQESLKITKQSGSYPKFEDNGCSKKVDKNVK -CSRKQRGCWEYVPKVKADVNYQHVPVDVSSVSRGSSVPNTDCGYGKCSGTASSFVNKNTRPRYKFRAEGV -HQSSGRHSDRLPPNNRRCA - ->NP_613281.1 RNA-dependent RNA polymerase [Citrus leaf rugose virus] -MDTLLHFVKFSKVLSFLDVNATFEIPLSDEQIKYIQLWMLRDVVIPAMKFSWCGFILLDKLEMELERIYQ -NDYSPPILMHVPSQLVTDELADFIDEFGEVPEEAYLSPEEREKIHEPTFLNHEHWGSESSGSSFLDEIEM -LYDETVKDDVVQDVSADMPKDYQIMWNDGAVDAVWSSKFEVEEPPKPKFKPQQTDRICDPVIIQDAINDI -FPFHHEMDDTYFQTWVETQDISLEVSKCWLDASNFKDFTKGQQTYAVPAYQSGATSKRVNTQRETLLAVK -KRNMNIPELQSTFDLDAEVATCFKRFCTHVVDIPRSKRLPQMLGTEIQFFSDYLAGKNPPLSEYQGPLTL -QSLDKYMHMVKTIVKPVEDNSLKFERPLCATITYHKKGIVMQSSPLFLSAMSRLFYVLKSKIHIPSGKWH -QLFTLDAAAFDAAKWFKEVDFSKFDKSQGELHHKVQKMIFDLLKLPPEFVEMWFTSHERSYITDRDTGVG -FAVDFQRRTGDANTYLGNTLVTLICLARVYDLCDPKITFVIASGDDSLIGSVEELPRAPEHLFTSLFNFE -AKFPHNQPFICSKILVSVDLVCGGREVIAVPNPAKLLIRMGRRDCQYQALDDLYTSWLDVIYYFRDSRVC -EKVADLCAYRQTRRSSMYLLSALLSLPSCFANKKKFKLLCYHLTEEECLRKAKPSSITNGHVEKEQRVNG -CRDSRIIQARSEIPAEESRRIQKNSPRPDKGFQAYPRRKSRGCWMAYVPKILQAHDSSGRIPDAVTRKSF -SSIRGSLGLEPDPSCGIGVGARTTSSFSGKNTRPRHRFRVEGVHQSSRGYPADLPAYNRRSS - ->NP_602313.1 putative polymerase p2 [American plum line pattern virus] -MDFLRVPLIENYLSFDGVVRSTLEKLLPYEPPVVVNPLAQRVLLGMNCLLGCYRANADKPIMFWIVPMLR -LATVSRGFKSITVCFQNWWNIMTILQLRLESWRRCSSHKTVWDTYDVAVANMNFTPEFADMFFFSLEVDL -CDDECIEVEDGGHPETVDVLPCEMPLFEADTSSLGVGREKRVVSVPEPCWFEETFSLDRDVQVSSLNLQF -DNTVVKQVVKYVPDNSPVLGSPFQGFNSGCVSDAIEEVLPHHTLSDDRFDQVWVEACDIYLDFQGSLDLS -KFNDVDPNLNCWEPVYNTGLPSTRYTSQREAVLAIKKRNLNVPTLTDCCDVDALSTEVVDKFFSTFIDLE -KFASFPNDLLGVGWFLDDYLKRKQVPEDLYLQELSMLSVDKYRHMIKSQLKPPLEDVLHLERALPATITY -HTKDKVAASTPFFLAISAKLLNVLNSKVVIPSGKDHQLFSIDPYIFKEVKFWKEIDYSKFDKSQGRLHHE -IQRKLFRRLHSNPDYDNFIETWFSAHMRSKIYDRDAGVGFSTDYQRRTGDACTYLGNTLVTLCTLSYIYD -LTSPNVGLVVASGDDSLIGTIKHLLPRDKEQFCSTLFNFETKFPHNQPFICSKFLVLDQLENGGERVNAY -PDPYKLLIRVGVKFMTNTKFESWAESFFDSFSCYSTIESVSKVVEMAGYRYIRNSPKFLLPALHHLKYLC -RSRDALRAGLFGKEYSSAHCRNSRTRSDTTTKTVKKRGKR - ->ACU44509.1 p2 protein [Parietaria mottle virus] -MDQIRINLIEYFLSSRLNFNSTFGVRHDDYIEWVKLFLFKHVVEHTARFDFATIHVTLEMVLCRLVPGLG -NALYPEDSFEVDGLSSREIDPFYLPYDDLDVDYTALCHERDESVPTIPPEDELSVIVKNMTYIPEGTSWG -DVSDSSFQEHLEEIQPVPLHSDIGQIPSDEQIPFDDDGQLVDVHWSDAVPSLASDVTCDADYRECGFVAY -SSGSQHTSRWKPKVSQVKPDPSIIQSAIDELFPHHHSVEDRFFQEWVETHDIDLEVSDCKLDMSVFNDWS -KGTDTRLVPQMRVGGLSHRVPTQREALLAIKKRNMNVPELQSQFDHEDVLNRCVNRFLTHVVDKTRLGKL -MPISGEEVYFFNQYLENKNPPLSEYKGPVPLLALDKYMHMIKTTLKPVEDDSLHIERPIPATITYHKKGV -VMMTSPYFLCAMVRLLYVLKSKFFIPTGKYHQIFQMDPIRLKNSKFFKEIDFSKFDKSQGRLHHDVQHRL -FLHLGIPQHFVDTWFNAHERSHIRDRDCGIGFSVDYQRRTGDACTYLGNTLVTLSVLSYVYDLSKPDILF -VAASGDDSLIGSLNPLPRDKEDLCVSLFNLETKFPHNQPFLCSKFLLVVECDDGTEEVLAVPNPLKILQK -MGPKNLQVTVLDDYYQSLCDILWVFEDADICRRVAQLAEYRQFKGKKKCIFLESALLSLPSLVANRLKFI -RRTINLEGSKACIRNDVYSNLIACNVSRAKCGRDATGSGPTNAARENVPTKPLAERGGSRKRNPGRRAVR -REGIREGDRNEHNGADSHRSGFGRKKPSRKGGFKVH ->AGN29708.1 RNA-dependent RNA polymerase [Tobacco streak virus] -MDYVIKDLILYFLRCRIDVGTSFDIVPADYQDWVNMFLFKFIVEHTAKFDFATIHMTMVMLLGSDDDVEE -KTTPEYVPEIDPFYLPYDDLDVDYTSLHVCMSDDQQSISDRDEMTDYVSNISHIPEGTSWGDESDTSFVE -HLEEIQDIPTKVFDISNPIEDIPFDDDGKIIEEIWIDSGPSVAPDVSCDADIRSCGFTSYAFEEKLSKWK -PKAQQVKPDPGIIQDAVNELFPHHHSVDDRFFQEWVETHDIDLEVTNCDLDLSTFNDWSKGVDTRLIPNL -NVGGLSHRVPTQREALLAVKKRNMNVPELQSSFDHDEVLNRCVSRFITHVVDKTRLSKLNPISGEELFFF -NQYLENKNPPLSEYRGPVPLVALDKYMHMIKTTLKPVEEDNLHIERPIPATITYHKKGVVMMTSPYFLCA -MVRLLYVLKSKFVVPTGKYHQIFQMNPNFIKHSKEFKEIDFSKFDKSQGRLHHDIQYRIFLALGIPEHFV -STWFNSHERSHIRDRDCGLGFSVDYQRRTGDACTYLGNTIVTLSVLSYVYDLSNPNILFVAASGDDSLIG -SLRPLPRDKEDLCVSLFNFETKFPHNQPFICSKFLLVVECEDGSEEVLAVPNPLKLLQKLGPKNLQVTVL -DDYYQSLCDILWVFNDADICRRTAELAEYRRFKGEKKCLFLESALLSLPSLVANRLKFVRRTVNLDSSKV -CIREDVYSNLIPYFDSCVSRPDDSEGCGTTASRRSKGYVPCRTTEVASNRLGNSEYSGGSRVRGDARGKL -KPRRNCESERRPDSKHNSIGIEIGGKEPTRKGRVKMH ->AGV15825.1 P2 [Alfalfa mosaic virus] -MFTLLRCLGFGVSEPTDTSSSEYVPKSSVEEISNEVTELDSVDPSFQCYERILVSLMLVRKMTQAAEDFH -KSFGGELDSPCCRVYRLYRHFVNEDDAPAWAIPNVVNEDSYDEYAYLQEELDAINNSFELLNEERELSEI -TDRLDALRFSPVSKTEALPVADVQEVKFISENIPVIDDLYYYSDENIPSELPPPLLDELGKLPEDLGPLN -EIEDIKPVAASITLLSEFKASDNAKPLDIVEIIPDVSLTKPYEAVISGNDWMTLGRMIPTTPIPTIRDVF -FSGLSRHGSPEVIQNALDEFLPLHHSIDDKYFQEWVETSDKSLDVDPCRIDLSVFNNWQSSESCYEPRFK -TGALSTRKGTQTEALLAIKKRNMNVPNLGQIYDVNSVANSVVNKFLTTVIDPDKLCMFPDFISEGEVSYF -QDYIVGKNPDPELYSDPLGIRSIDSYKHMIKSVLKPVEDNSLHLERPMPATITYHDKDIVMSSSPIFLAA -AARLMLILRDKITIPSGKFHQLFSIDAEAFDASSHFKEIDFSKFDKSQNELHHLIQERLLKYLGIPNEFL -TLWFNAHRKSRISDSKNGVFFNVDFQRRTGDALTYLGNTIVTLACLCHVYNLMDPNVKFVVASGDDSLIG -SVEELPRDQEFLFTTLFNLEAKFPHNQPFICSKFLITMPTLSGSKVVLPVPNPLKLLIRLGSKKVNADIF -DEWYQSWIDIIGVFNDHHVIRCVAAMTAHRYLRRPSLYLEAALESLGKIFASKTLCKECLFNEKHESNVK -IKPRRVKKSHSDARSRARRA ->ACJ38088.1 2a protein [Tobacco streak virus] -MDSVIKNLIVFHLRRRIDIGTSFGIEPADYIDWVKVFLLKFIIEHTARFADFATIHTTMLLVLGEDDPNY -VEKDTPIMEIDPFYLPYDDLDVDYTSLRVCGDEDQSCSDRDELSDFISNISHVPEGTSWGSVSDTSFVEH -LETIQDIPTKYEITDKPVEEIPFDDDGKVVDDVWVDAELSNAPEISCDADIRACGFVSYASGSSEVSKWT -PKVSQVKPDPSVIQAAVDEIFPHHHSVDDRFFQEWVETHDIDLEVTSCDLDMSTFNDWTKGVDTRLVPNM -SVGGLSHRVPTQREALLAIKKRNMNVPELQSNFDHDDVLNRCVTRFITHVVDKTRLSKLNPISGEELHYF -NQYLENKNPPLSEYKGPVPLVALDKYMHMIKTTLKPVEEDNLHIERPIPATITYHKKGVVMMTSPYFLCA -MVRLLYVLKSKFVVPTGKYHQIFQMNPELLKHSKEFKEIDFSKFDKSQGRLHHDVQFRLFLALGIPEHFV -TTWFNSHEKSYIRDRDCGIGFSVDYQRRTGDACTYLGNTLVTLSVLSYVYDLSNPNILFVAASGDDSLIG -SIEPLPREKEDLCVSLFNFETKFPHNQPFICSKFLLIVECDDGSEEVLAVPNPLKLLQKLGPKNLQVTVL -DDYYQSLCDILWVFNDADVCRRTAELAEYRRFKGTKKCLFLESALLSLPSLVANRMKFVRRTINLESSRA -CIRNDVYSDLVPHFDSRVSRCDDSDGVRTSTFDDRKSSKHASDKLRKTECYGEARCRTKPRRNRKSESGA -VQYSQSSGIETGRAKSSRKGRIKLH ->ANS71063.1 ORF 2a, partial [Viola white distortion associated virus] -TWLFVYKIFIMESLLERLLPTTVVDAIKSNFEPGDFELDDSVEREVEKDLFKDLEPSQWKRIPIIRDVKS -YWSICRFFGFVALKETVIRPDTFKGEFLSLPFIIDRLRMVFEIEDDYSYAMDSSESCFELVMDAQLDYAE -AMCDISHISVEAELPTDTVESIPAQEVHLNDVPEVFENYSSTCGSTDADDSTEDVKELLLGGELIPESEL -LNEDSEVQIIPCTELACQYKSDDIPDVDRMVLVDGDNVMGFEKLISRNDWFFLKKLVPNIPLPDDGDVVL -SGIKPTSPGVLQFALDELFPYHNMVDDRFFQECVECDDIALELDDCSFDLSYFTSWDKGKSGVDSTLLTG -QTSTRQSTFREVALAVKKRNMNVPVLNTVLNVEDVSNKIVNRFFETVIDKGYLTTLPDVISVGEINYFSD -YLKGKAITDDELYVDPICLVSMDKYRHMIKSQLKPVEDNSLMFERPLAATITFHDKGKVMSTSPVFLAAA -ARLFACLYDKISIPSGKFHQLFSLDASVFDSVVHWKEVDFSKFDKSQQELHHEIQRKIFLRLGVPQDFVD -TWFTSHCRSHISDASGLRFSTNFQRRTGDACTYLGNTIVTLAALCYVYDLKSPNVAMVVASGDDSLIGTF -EELDRSFEHLFSTLFNFEAKFPHNQPFICSKFLLTMPTKSGSRQVVAVPNPAKLLIKLGVKSMNAEKFDD -WFQSWLDLIHYFDDHNLIEVVCAMTSYRYIRRNAVFLVSAVSSFKSLFANKKKCKDFLFPGLSDKTVKSN -MKIVRNVSDNPLIRDKPRIRGKKNKGKEKMLC ->CCC54906.1 polymerase [Apple mosaic virus] -MDPLFSVFRNVDFSVKKMFTFDHEGDLVEPLLQLKHRTLTGVSKTDEYAKLKQEYDECVTEEARQEALAV -LNEYTRNHHDRVIGFTNLFIGMALVKFLGPKMQRVFTQFIGTEMGPLLQTRWGGLFDLELESDYFIEVPN -FDDYYVRDYEPYVEIDESVLLPEEIEITSTEKSSEDVTSEETVEIDLTEPAMNSDLVTIRRSFEIWADGS -EFGEELPPIPSDFIGTVKEGDDGSRDETEDSFDINALDDERPALENPGKIVKTPLPLIPDVGRFETNQLM -MVKEVVPHKFESILECHEKVLARNDWKSLAMYFPIGDIPTCGCIDLMAFPVKHTSPEFIQMAIDEMLPGI -SDIDDSFFQELVETSDIALELDRATLDTSVFNDWTKSSGPKLNGLFRTGNMSKRIPTQREAALAIEKRNL -NVPDLQQVLFEDDEARKIANRFINTVIDPNKLAQFPGYISEGEMGYFNKYLSGKNIPEDAFVDPCALVSM -DKYRHMIKTQLKPVEDCSQIFERPLASPITYHDKGKVMSTSPILVMMCNRLLLCLTEKISIPTGNISLFS -LDPFASEMTKEFKEIDPSTFDKSQQRLHHLTHYHTPTALGAPADFIDMWFGSHEISHIRDGPCGVGFSVN -YQPRTGDACTYLANTIITLSALAYMYDLLDPNITFVIASGDDSLIGSRVPLDRDDEFKFTTLFNFEAKFP -HNQPFVCSKFLCLVPTTDGGKKVVAVPNALKLNIKLGVKDLSPLTFDSWYESWLDLIWYFDNYLIVSTMK -DYLSHRYLRKPTMFQEGAMLAYGSIFANKEKCLRSVFGISSEELKRMVRKLQPEKKRAPINQITMEKPIG -DNLIVRKGFVEKELVDRKPRLGKKERKELEKRRLKT ->AFV34764.1 RNA-dependent RNA polymerase, partial [Grapevine virus S] -MDYFKECMIVKTIISQIDFYQSFGEKHDDFDDWVKLFVLNYIVEHAAKYSDFSTVHDTFVMTVSRLSPSY -VVDTVDYNGPSHEIDPFYLPYDDLDVDYTTTDYSNREDYCLPPPTLSTYVQNACYVPEGTTWGDVSDGSF -VEAIQDIPNFIHTDVDIPVYDELDDDGQLKEVEWICDAGPSSMEEVTADADVRYTGFYDYRSGSIFESKW -KPTVSQVKPDPSIIQFAVDELFPNHHHVDDKYFQEWVETHDIDLEVSKCDLDMSVFNDWTKGTDSRLIPA -LNVGGLSHRVPTQREALLAIKKRNMNVPELQSSFNHNEVLERCVSRFRSQIVDKTRLMKLIPISGEELHF -FNQYIENKNPPLSEYKGPLPLVALDKYMHMIKTTLKPVEDDSLHIERPIPATITYHKKGVVMMTSPMFLC -AMVRLLFVLKSKIFIPTGKYHQIFSMEPSRLENSKFFKEIDFSKFDKSQGRLHHDIQKRIFLMLGLPQHF -VDTWFNAREISHIRDRDCGIGFTVDYQRRTGDACTYLGNTIVTLSVLSYVYDLCDPNILFVAASGDDSLL -GSIKELPQDKEDLCVSLFNFETKFPHNQPFICSKFLLVVECADGSKEVIAVPNPLKLLPKLGPKTLQVTM -IDDCYQSLCDILWVFEDADVCRRTAELAEFRRYKGTKHCLFLESALLSLPSLVA ->AEP04407.1 replicase P2 [Prunus necrotic ringspot virus] -MNPLNQLLSHGCSAISSALHGDVAYTQISDECFDSHFTQISTEHFLTTSARKLFEAYMVTMFLKKHMSYV -FENFIGSEMGPYVQTRWRIIFDLDEVEEEYVYKPDFYHYDIYDYEMGVDIPASIMDDIPFCEDAEAVTCR -NCGSFDEIETVYQAATSSDESCANVCDVDAPHDTIKWSDEPDLTVDDPSKLHDLDDEVPQLQLETVGRVV -QSVEPLVPDINSFETNQLHLVKEVVLDRFESILECHEKVLARNDWKSLAMYVPTDEIPSVSDILEIPVNH -TQPEFIQMAIDEMLPGVSDLDDRFFQEIVETSDINLEIDRASIDMSVFNDWTPKSGRLNGLFQTGNISKR -VPTFREASLAIKKRNLNVPDLQQVLHEDDEARFIANKFINTVIDPNKLAQFPGYISEGEMGYFNKYLSGK -TVPDDAFVDPCALVSMDKYRHMIKTQLKPVEDTSQLFERPLAATITYHDKGKVMSTSPIFLMMCNRLLLC -LNDKISIPSGKHHQLFSLDPFAFEMTKEFKEIDFSKFDKSQQRLHHLIQFHIFTALGAPKDFLDMWFGSH -EISHIRDGPCGIGFSVNYQRRTGDACTYLGNTIITLSALAYMYDLLDPNVTFVIASGDDSLIGSIKPLDR -SEEFKFVTLFNFEAKFPHNQPFVCSKFLCLLPTVSGGKKVLAVPNALKLNIKLGVKDLAPCVFDAWYKSW -LDLIWYFDNYLVVSTMKDYISHRYLRRRTCYQEGAMLAYRTIFSSKEKCLKSLFGITSKDLEAMLPMSKN -GRGSNRAECSKNNKTFRPPKSTRCRNSAN diff --git a/seq/clusters_seq/cluster_1320 b/seq/clusters_seq/cluster_1320 deleted file mode 100644 index 1b32dea..0000000 --- a/seq/clusters_seq/cluster_1320 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009182194.1 capsid protein [Red clover powdery mildew-associated totivirus 7] -MNTFSEYINAFASIDALPAGEFALRTKLRLDCSGLGKPEKKIGDTDEARVTGDGHVIGDTTLPSGETYQA -RRETAVLSKATVYGPQAVVRLSGTMNSLSGLAVGALTDSGEINYAWLRSEFRLVNPSVDLKEARTNQLLT -AWLERGIYDNAIPLLVKLLQKLRIAQIYELSDYSNEVFRFGSWFARTHYTKRDAALATAISNYMRNVNTA -QRIGVCLTDLHENEWVDKVGEWLDSQPPPFMEGDNGEKIDNPKCGASPLELPMAVMTCHTYDDGHSSSGS -TFMSESGFVNGRFKVPVYMNKIRCTETVVKNVNYYECNDDAAVKRLVGTEHHINVSGLTAKELSLLNFCL -SDNVRRTPLLVDQVIDFKLREGSVALYNAVEMQDFEEARFSAKEMLVLTQKLVRNHNWYEDLRSAILFQR -YWLAQPSTETVESHWWVNMQRVANLPKLGLMRAAIPQLVSTVGAQISAEGLNSMQIIGKMDLSEFVNSVF -LNTVWYWGEYLAIYSARNNEHLVNKMRSAIVDNVREEDRHVVLPSAVLGKSVPRSACKLVFVAIAGGVRS -QGSRVTAFGKIDIPNLDERGYSVNGTDVCANCVVEPGCLGLVTGLAGTLIRNTPYSSAFSVNSSALVRAW -KGVRVSYNWHDLWALCVVARWQGYDVVYRTSVSSVRRYRSYAANMVGIAAPPVKMSEATGVGRFVVDGVL -ERGNVFGSDIASQHSMLRSFTWHRSQSVVLAEPKINAFEALAEEVIHIPIEHYITVNPGATNYVGYILAD -YDHDTSDFRVEEMRTAIPFATDSNNLGLRETVLEEAEPDVE - ->YP_009182189.1 capsid protein [Red clover powdery mildew-associated totivirus 6] -MSFYTLFNTSATFPKMDHGKFGMLNRLNLRLAAIEKKKISEAGYDGTREEAIAAPSGKTSTLANEITDEL -GFEIDHRAPTNTLAEGTIYGRNGTWRALGRENTTSGLNPLSLNESGAIDHKWLRAQIRELNPYDENREAR -LNSFTTSWLTSVCYDNATALLLALGQRLVIARAAELAGTKVVRLVILESEYKANGLATLQNMVNHISEKL -PTLFNGGIDIANHDDLISHLAKLLITKFKKLPPLTEAEPKRAAYEDVRYFECNEFDDGHSRSGPTFGDVY -GFSRNRALVPLKAFFAQYGDESVFKDEGYLSVQDNEHEQLFFNARGHVNLGTLTRSEIVFLDSVIRGNTR -QSPLMVDQTFSLLPEGSYLMAYNSTTTRIEDEAVVTSTLIRSVIRKLVNNHNWYEDARTANLLLQQWSAQ -PATESVESHWWPSLERDLRVPTLGLGRAAIPGLIGKYGVNVSKQALIEGQDLYGDDDSKFVKGLIFNTLW -YWGEYLTIMNSSTMKQLADKLRFGGKEWLSEQDRSYAMISAISGMAVDAPASNISSTSIVGGIESQLRNR -VSFGYIDTSSIVRHGYSVSGSSLTYNRLVQPGSTCLIVGLNGTLIRSTPYSSVFCLGAASSVDVKWRTTM -AYTYNDMWALGVVARWQGYDVDYRLPDQSETSNRLHAANDSSVAYPPLVPTLAQRRGFYPIVDIKPRKRC -FGTDTASLHTYKRTYVWTRDTPTALEQCRLDARLVGSEPPSAAHALMVDSTTIATTSKKYYIRSDYDLSM -SGFHVTELATAITLPDATVALESTRLESIDDLPVDQHEN - ->YP_009182187.1 capsid protein [Red clover powdery mildew-associated totivirus 5] -MEYTRGLFNVDGMIESFGDGLIYHKTRLALNIADYNRADFGTGDIQMNRHSTVNVRSDRVSALAKLSESN -GEKFKFRRLTNCLSQSTVYGVVNTLRSTTPQHSYLGMSKKYLTNEGLPNEQIIIKRLRELGMHHDVKEAR -FGQFYNMLFTKDYYDNMTALFAKLHLFSTRLSIQERMGVHDHDVVISTASLTKMVGLANDDERLAYFKSL -LSSGTGRLGFYEQDYNLETMLQNSIAWFNVNKNDPAAREYRQTVKVWHMYKYDDGHNVSGRSFGSEFGFD -GGKFLIPECHNTINYIDGVKLVKSRDNWFSDENIDKFGSRYAGYLNLSGMTELEIAIVNHILLNTDRMTP -FLCDQELALCSDSDKFLALASGEISTKQTVVSSKQVRTVMSKLAMNHRAQEDMLCAVRSCKYLLAQPANE -TAESHWWNVLPKIVDFPKMGLKRAALHFMLEDEGVCISVDSIKYAREYLSKCDRAILESVFVNSCWFWAE -YLTIYNKTNSYDLAAGLLRGNDSWLVERERPDIMVSALIGRPVPIAVHSCCTTQWAKPLSELARLKVCFG -TISLTGRDDYNYTLSDKDVIFNSVVPPSGLALVTGLGGSLIQGTPYGSMFGINQAVKVRKGMRTITSLNY -NDLWALGVVSRFNGYDLKYKCPSSENVHTIYAANNVSIANPPVLFDPEASNLSYAIRSITERDIVFGSDT -TTLLSSKSVFYWNRFESTTLESPKWSAGIISNDVEEAVLIRGFECYLDETKKYTVAISAEYDVEVSDFHV -AKLTAGIGLPTLDGGLLLDAENPAEQTTELDMPVLEDSALGAGS - diff --git a/seq/clusters_seq/cluster_1321 b/seq/clusters_seq/cluster_1321 deleted file mode 100644 index dfa66e0..0000000 --- a/seq/clusters_seq/cluster_1321 +++ /dev/null @@ -1,122 +0,0 @@ ->APG79336.1 RNA-dependent RNA polymerase [Wuhan ascaridia galli virus 1] -MSTSSSESDKESFDESFSELIVDESDERFSSIADGRNYLSLINDDPSWSGIRACCVRGRLSNVHAKEILN -KIWAVGPAYFKTLDCYVNFMNTIYSSLSVNDRANADAASLFLKIRHDYFCYTFLKKALNEEVQRTDVSFS -EMFGLDCNQTPDYMVVHNETIDNVEVFHLRIFEFAVTSSSDFADSKKGAPNDSKYQEPIEELRNEGYVVS -YYPIILRLDKKYDDNQAEWAFYGYDIDLSDMHAEYKILSDQFYMNFHHLQAFKERDFEDERINSIYNELP -YNRNKFFKTNLLNKMDVVNSYKNRLLHLINTFKESNDKITFVYNANTGTLNAYKTDHGMYARDLEDWINS -DLDVDKIVNYIRFEYKKTGFETQQFEITTQRHKRNCFATAFKTHTTSIDISDNNYNERLEALGLDLITEF -RAESVGPETFMEGVPAPFDFDETLENAIKMIENPITDTDMLYTYENSPRRRNEILVALDNEVNKINSSQE -VVYKPKSSFLCFIKPAGEVSQKNYQITTHEANQYLAYVKEQTSTLLKLLRNDHVVEPKTFANLSMMEPIR -RQLEDLVQANREAKSQWVQTPECVQCYRGIIDFKDVKTKEAELLRSTTRAIQNFKAAHSKAVRTINAEEM -HIRLPVPTYVSKDIKQELSRDHNMSDRHCTADCKALDIQWDVDDLFNEYRDFLNELFCYTDSVCNFKLQF -EGYSNSGRFLNELKEIAVNNIKKWEDYLSHTALGSTLEFNCKLFKSIAFMSTIPMKGKLVCIESAGLKDT -ILLIKGGKANQHASKAFKVITTIHPKSVNFYTCYNDPNSNWHVFIKDGKTFIETPWMRYQSGLIEHFHLL -KYKLCNFMICMSEETKITEIKLNKFALPIIASLNGRRKLEVLLHGFRQLVMNFRAQYANITKLFSGVVEA -STDYTYYLVVQYILKVLRNFNKNLSVYLDPLTNESELNVTGWTIQMYCSRLLPKAAVDFKSELRNDIVNF -FKTFNDCGIRNDTRMSDFEVSFDESPYNHDLCFSPQLAWLVGCYISDMIKDTSSESALYKDFKKVYNKNI -FQSANNRGCRLDPDNDKVLNVDLQTYMSQTSSDDLRKLKQKIKECNVPQRKLELMAEYSRLQVEVADREE -DYIFGRKGYQAYLDTFRAQDYDKLVAALNAPGPVSASSMLRSNKTSLYSYYRKNCNGLITETPTFSIHNK -LQWGGPREIFAATVKTKITQQLAEGIFHSLCKQLPNEMISIPSNKRILWLHSTIHQRVDKDTYMVSLDYR -RWGPHANFLKYWYMVLGMIDILPPSFVVFFQQLTELMERKRVIIRRDDFKAVFNHAIVRDVLNHANLTFY -ENYVMIQEPHSFVMGIYNYLSSLLHSGSQLLFRHLLHISELASVDQKLDFYAIAHSDDAQGMIKCSTQNM -CERVIKAYETYGKYLNHMQSNKKSQVDKRSSEVISILRIDRVIVSMLAKFAAGFSVSPSYKGYVNEVKDL -TSKVIELVSNGATFNQAYSCYRMMVHYWSYYIYHFNKPVYNYPIEALGTPDEYPLLQLLYGTRVNFLSNY -FYYRHENCKFQEYVKVSKNIDLIEGLKYNANARPIHKIRDDDYTSNIPIALDDLLKSDTILMCNFNTDCL -LVLQIIARMRDPNFMAAMSGGHLSSGLSYLLKNNVKFVYSLFDDAYVPWAAREELIKKLDSMDNISISSS -PFDSILDDLKLFNHLPSRFEWVDTPTSLKPCTLAYEVATVRGLRSLSYRKMHCYLNEPQFRNFIELTPSE -RGQIELFNVISEGLSSREKDILAQAVCQEAAHTTYFYASLPTDKRSINNKSDLGNLISYNTLRGKFIPQV -NEYTLPERMTDMEARCNLEAALLIFEMLQKIPEVKKASFLQNYSIKYKGEDLKIAEAIRKAGNDLNPYAQ -VLAYQLSFICRKPKIFPSLPLIHYTKRQMGVGSVWFGEGRIRLLTRESDINIAFMHEKVKSIHLNCNCSI -EDLQYLMNELVLTGIQNHFYEKILSPKNDEHCIGFDDPSDMIPKIGRANMFRFISPDVYFHSHSINYPNL -TSLDIRKVKDQKGNIYYIRLSKNYRLGVMLNTLNIESNKKWMEENINLPLPWFDPKRVKITLDKRPHVGS -VVELGYSYVFNKNSRPSAMGGRTFDVRKYVPGISDGIVECILENAPESIRVPEENKMWQMLCKQYPTSFL -RTIHRARIEERNSELNNYLHRLSVKDPKYVHATKTYLSDCMSIMMHEDMPRRANAWIMMNEFKINFSNYM -IETIVSLVESSKLTELFNINVNTLFQLICLNRNQPTIMAFAREVINDLRNVNDEEYHQMQTSIGRPWSLL -PKDPILITYVVIQCCLNFEIGMDNKLRSLHPDWDNYRGYTKQSLKRKLLNSVTSKLLDLMQVTEYDVEKK -RYKTTRVYTEISIGKSTGERTVVHFDRFRYTSLYSGDIPRFNDLHNTNYYTDPDEFYDDTLDEEINGGNP -RWSYERRLQFKQEKGRRIIDTLILGDTFRQVDPEMAINVLSSTPYLHYIIKSKEILEPYSDWPTFQLVIH -PDHLTYLISVEKGPKFVTHNGIYVEWKEYKEICVAVNGLITDAGDFRVIGVQPPDALPPVSGQLVQNIKN -VIEAKDDIEIIKIAGTNTEVSTLLLNKIMTSDTAIQEKQFKIYEPLGTFITDNALQALFDAAFPKTYLPL -LKGTIKIPKSFLMPVKRLINDLPVEKQRIAARVLRSISITNSESEIPSDELQTSFDFWSEYCKFLDESAE -AEPDEFNEDAFDIENVPGTDLTLSQQHLRYEYEGFNLDLGD ->APG79255.1 RNA-dependent RNA polymerase [Jingmen bunya-like virus 1] -MIDDNPSWSGISACCVRGRVSNENAKDILNRLWAKGPEFYSTSDCYCNMMSTIYSSLSVNDRANADAASL -FMKIRHDYFAHCFMRQIKGINIPKTDMSFEEVLGLECKLTPDFIDVDGFDVNIFEFAVTSFSDFADSKKG -APSDSKYQEPINMLRENGLNVNYYPIILRLDKKYEDNKAEWLNYGFDVDFEALHRDYKILSDQFYMNFHH -LQAFKERDFEDERFNNLCAEMPHLRTHYFKTNVLDKVQVVKNYKNRLLHLIGMFAETNDKISFVYNATAN -TINAHKTDAGMYAKDLEDWLNSDLDLDKIINYIHFETRKTSYETKEFEITDSRHKNNVFATHYKLHTTSV -DICDNNFQERMETIGLTGDYEMREDSVGPSEFFEGIPAPFDFSKVVSDVIEVIEDPPTIPDMLYTYRNTP -EKRKEIVIDLKKKLHEVNTADELRFRPKTAFCCFIKPPGDVHSSNYQITIQQAQPYLTKVSDTTAAILKL -LKYDHIVEPKTFSNLAMMDPIRKKMEKLVEEHKVAKSNWVKTEECMRCYRGLTKMKDVKTPEAERLRNAA -KEIQDFKKRYSKVLRTINADEMNIRLGIPSYVSKEIKAELSRDHNLSDWHCTGGYKYMEQEWDIDELFTT -YRSLLNELLTYTDGICDFKLQFNGHSESGAFFNKIKTMASEKIAEWENYLSHTALGSTLEFNCKLFKAIV -FMSTIPMKGKLVCVESAGLKDTILMVKGGKANQHSSKAFKVITTILPQAAEFYTCYGDLNSNWHIFKMDG -KTYIETPWLRYQQGLIEHFHLLKFKLCNFMMCMTEEIGSDKIQLEKFALPIIAALNGRRKLEVLLHGFRQ -MVMNFRAEYANITKLFEGVIEASRDYTYYFIANYIMRVLDAFTKNPNVYHDPITGSKEMNVTAWTIQMYC -PRLLPKAAVDFKTELRNNITEFLKTFEDCKIGKDTTLEQFEINLGANPYTHDLNFSPELCWLTGVYISDH -IKDTSSESTLFKDFKKVYNKNIYKTANNRGCRLNPNYDKRLDIDLYTYVTETSTEELQDLKKKIRECKNP -VIKEQLKMEYQLAAARSEERDMDYIFGRKGFQAYLDTFQNPNYNKLIEALEAVGPVSASAVLRKDRTTLY -NYYSRDKGGELVEVPVFSIHSKIQWTGKREIFAAVVTTKLHQQFAEGIFHSLCKQLPNEMISIPSNRRTL -WLHSTIHQRVDDDTYVVSLDYRRWGPHSNFRKYWYMILGMIDILPPSFVIFFAQLKDIMEYKKIIIRRQD -FKAIFNHAIAKTVFEKAELDFFENYVMIREPHSFVMGIYNYLSSLLHAGSQLLFRHLLKMSNLCKEDKKL -DFYAIAHSDDAQGMIKCSTTTMCERVLKSYETFGKYLNHMQSNKKSQADKKSSEVISILRIDKVIISMIA -KFTANFSVSPSYKGYVNEIKDLTSKVIELITNGATFNQAYMVYRSLVYYWNYYIYHFNYPRYDYPVEALG -TPDEYPLLQLLYGTRVNFLANYFYHKPQNIRFQEFVRVNDNMDLIEGLKYTSNARPLMKIDKEKYLSDIP -QRLHELANSDTILMCNFNTDCLLRLQIMARMRDPSFMASMSGGHLYTGLAYLLKNNIKFVYSMGDDAFVP -WAARIELFRKLDEMQQPEITEGPIDSILDDLKLFNQLPHNFQLRKTPTLLKPCTLNYEVATVRGLRTLSY -RKMHCYLNEPQFRNIIDISPTEKNQIELFNVISSGMTPRQRDILAQSICQESGYNIYFYASLPTTKRIVC -TKSDLGNLISYNTFRGYLIPQVNEFTIPERMTDIESRCNLEAAALIHEMLQRLPHKYKADFLDKYKIRYK -HRELTIPEVIDTASRELDPYAQVLAYQLSVIAGNPKVYTSVPLISYTKRQKGIGSVWFGEGKIRLLTRQS -DINVSFTNEEVKSIHINVDSTVEDLQYIMNELVLTGISNHFYHKIMSPMTDTWAIGFEDHNDMQPSIGKA -NMFRFISPNVTFHEGATHYPEILPLDMRKVKDKKGNIYYVRLSKGYRLNVLLGTLDIESNRQWMEDNINL -SLPWFDPKKIEVRLKERPHVGNVGGLGFAYVFNKAEPPSDLAGPTMDVHKYIPGISDGILECILANMPDQ -LRVAEENKMWLMLSKQYPTSFIKTIYKSRMEERTKELNVYLKRISDSDPRYVHATKTYISDCMAIIMHEE -LPRIENLFIMLKTYVREFTPYLVETLLMFIENSRLLGRFNMTPNTLFYLLCLENNHPILCAFSNEVQNDF -ENVTETEYLGMQQRIGRPWSLLPRRPKMLALLVVQTALLFSEALYNKINTIEPNYRNLKETIKKRIKKKV -LADIDERLISYHSMVPEQGEQLQHTRVHTEVTFGQCTGEWYRTDLNSFHYTTVCTGAEPRMTDFCMTRWY -TDVREFQEDALDEQEEGGKLRRTVDERYEDAKRKGRAKPIADTLRLGKNDTPVDAEQCLNIMYSTEYLNY -LIKTKYALRPVGPWPTFQVVLHPDMFVFLVSVQCGPEFRTHNGVLLDWNDYRDTIDTLWGQIDKEGEILV -SGRRRPEIEAPVTPRFQAYINSIIRAEDETRIIKILGKEKEIGNQLIKAIMAGETHKRDEYHKIYEPLGS -FIVDAKLEALFKAAFPQSYIELIKGTIKIPKSYLIGIRHLINQMDVEEKRVAGRILRSVSVVADHTEIGS -NELQLTTAFWEEFNKFLDKSDQAEEEKFEFDEDVFKLSGDTPAYLGIAEEHIQLVYKKDDESDEELNL ->APG79357.1 RNA-dependent RNA polymerase [Xingshan nematode virus 3] -MSTESDDDYSECSQAPEVIQEDVYPAPNNKQYLAFVDGRPVWSGVAACCVRGSISNINAKQLLNRLISQK -LDYCETLQCYKNFMITIFGSLDLSDRSNSMAADIFMKYRHDYFCLAFLQQRLGYRITRTDVSFKDAVGLD -SNRTPDYIHVDEFNIEIYEFAVTNSSDFADSKKGTPSDSKYQAEIVALKTEGYEVNYYPIILRLDRSYEE -VAKEWSLMGFQVNLQELYDVYKQLSNQFYRNFSGLFNVKEAYFVSETSEELWKTMPFKRRTFFKCNIRDK -LEIVRTYRMRFLEILNSFDNKEDRIMFVYDVDRGNLNYYKSDEGMFVNSFAEWVKNEDSDDKVIKYIQLK -QLGTSFETKEFKLTDKILKENTFDKELFDYHDKSLTITDGNFSSLIESLRLDMLSDSLYEPVPLDATFAD -GIPAPFDFNRVLNEAIELVEKTNYTDTMLASYRNTPDFKSTVLTECVEHIINVNTVSQDKFNYPKSPFTA -LIKPAGSVTTRQRLNYADLAEIMNKTTLNTCSILRLFKHFPLIEPKIASAFSELEPWRQQHQTLVNNYNL -AKSEWLKTENSKKVYRCLLKLKDDDSPEGVKLKQTRDSLLQFQRKHSTALRTFNVDEVCTRLPVPKDVSK -AIKNELERYHNSKGYHGTGKYKNAELIWDVAELKQTFLELMNDLVATQVQSNEFKISFDGYIKTCPFFDS -LKDFALSEVKNYEVEMQHTALGSTLEFNSILFKSVLFLSSCPFKSKLIVVENAGLKDTILLVKGGKNATA -CSKAFKVITTILPSAAKFYTCNFDGRSSWHIFEHAGKTYLETPWLRYKTGLCEHLHLLKYKFSSFYLVMS -EELHLSEFNLMKFTLPLLASLNGRRKLEVLLGNFRQLVMNFRADYANITKLLQGSVEYSRDYTYYLCANY -IIEVLQHLQRNPYEFVDMLTGVVNTDVVSWTLNMYAARIMPKAAVDYTVELRNDIIAFFETFNDCQVNPD -TELRDFLIDFADDPYTNDLNFNPKLSWLVGCYVSDYLKLSGAESDLYKGFRKVYNTNIYSTANNRGCRLD -PQLDAEITGITLQDYLKLPSEDELKELRLKISKSFGKEKEALQNQLAEKTQMLKTEKEDNIFGRKGFEAY -LDTFPGVNYNAIEEALHAAGPVSTAAALRKDNTTLYNFFRKVMNSEAPAVYASIHAKIQWLGNREIFALT -VKSKIMQQMMEGCFKVLCKHLPNEMISIPSDKRILWLHSTIHQRVQPGTKLISLDYRRWGPHSNFLKYKY -FMMGLIDVIPPSFYEIFVKVADSMEQKHVIIRREDLQAICNHETVIQALKRCKLRFFKDYILVHEPHSFI -MGIYNYLSSLFHAGSQLLYRHLLHMSQLKEIDNTLDFYAIAHSDDAQGMFNCVDHLLTTKIIASYETFQK -HLNHMQSNKKSQVDKKSSEIISILRIDKKIISMVAKFSSGMNFAPSYKGYVQECKSLTSKITELMTNGAT -FNQAYKMYRVSVYYLNYVIYHFNHPIYQLPIELLGTPDDYPLLQLIYGTFSNFLTNYMYYKDMNLKAQTF -CELGKITLVEGLLYNSHTRNLKRNIINKYQLGLNKEILKFYDSDTLIMNNFANDSLAKMQIVARIRDPNF -AAAMAGGHTFSGLSYLFKNNSKFAYSLADDMLVPWKARLRILEMVDQCKPANNENLLRTLNYLAFDFKVF -NYLPAKLELLNSNVALKPCLLNMDTTTVRGLQGISYRKIHCYIKEPDYRNFLDLTNTEINQLKLFEAITE -DLEIDQKDLVAQSICENAFRQIYLYATLPTDKRTIENKSDLANLICYNCFRGKAIKGITERSIPESLSDT -TKRSYLEAASVIKEMCNSLYLEKRPSFLQNYKMLFEGEELKLKEIIKRCQDVLDPYKQVLGYQLTNLLGL -YQTNWKCLPLFKFIKRQHGSGSIWFGEGRLLFLTRQSKIYCILTNCNVKMMYINLHTNVEDLNYFINEII -RIGVRNPFYLKVISNKTEQPCIGFDSVHDMSAKITTCNNCNYVYPNTIFLDEPIEYPKVLSLDVRKVRRI -NTTEIYYIRLSKDYTYSSMLDSINLQANVDWFKNNLNIDVPWFKKSDVTYELLQKPNVNNVIDLGFDYVF -KYDRKTSKLAGGLFSIRTYRAGISNGITECIMHNRPDYLRSPYQSKLWLELSRRYPGSFIKNIYRERILE -RQILLERYLFKLYSGVTEFLAGTETYLSKVMDVQEFKDMALPANAQVMIDNYKNEFVPYLIITLLDLLEN -VKIFKRYNIEKEELYYRLLFEKEWTIMMLFFYEIKNKFKSMEHQDYIKLQKEVGRPWSLLPFDQHIILFF -ITQLVRFYDHSYKHILDQVLNDPAASSKNQAVLNKVKRRILLNIDPRLVVYDEFKLGKVKDLKFLIKTNL -GECSGLVKWKTSARLEYHADVTYFTTNEDQNLNYYIDDDSYYDDLLDETVENGLARKPLVVNHRGRTLQL -DTALLGTITHPLDHKEATVSLYDCSVEHVSFFVKDYVQYKNRFPYTVIIYHPKAAYIYVSTKKDIEVIDT -NGVRINPADYEEVLDSYYSRMNPDGTFMKSGVQYKHDPTRINEQVAELIKGIIQPVDDIATLEFLDQKIV -LNQSTLNALMEPERFSKDEPYDEMSGKVYEPLGTYMLDKGLETLMTTAFPNTYEALLKGKVKIHLSILSS -LKPIYKSLDTQGKKIMSRLLRSISVVPDNCILTNYEKSLAYDFHKELSAFLTPPEELDVFEEEEFIEAVE -SASDVTLGDVNPAKVVMYIQGKRTKRPDED diff --git a/seq/clusters_seq/cluster_1322 b/seq/clusters_seq/cluster_1322 deleted file mode 100644 index 2950a3a..0000000 --- a/seq/clusters_seq/cluster_1322 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009182152.1 nonstructural protein [Maize rough dwarf virus] -MTKFPLVSQKSKTECSHQRKCVCLILSETLADWELTTTTVTCQMLSHQERNCITIIPVRFDSEISDILSL -VNILDVRHVATITELASNFPCVNWDTMRQQLKRYDPTQLLVNLEQNFNHLQRDDRLRFNSCYNYPNSHIL -NRILNTKKDVIPFIFKYHDDFNSKIMSEISVNGSSAYFQHVFEKTNIVKTLLFGSTLGVMLHL - ->YP_009112558.1 P5-2 protein [Rice black streaked dwarf virus] -MRRIPYHPVPVEVSLFVPTYDLLDQTTFMTKFPLVSQRNKMGCSHQRKCVCLILSENLADWELTMTTVNC -QMLSHQGKNYTTIIPVRCDSEISDILSSANILDVRHVVTITELASSFPCVNWDIKRQQLKRYDPTQLLVN -LEQNFNRLQRDDRLCFNSCYDYPNSHIINRILNTKKDVIPFIFKHYDDSNSKPISDINVTNSPSYSNHVF -EKANIIKTLLFGSTLGVMPHL - ->YP_004021928.1 hypothetical protein SRBSDVs5_gp2 [Southern rice black-streaked dwarf virus] -MSMTRCPIVSPRNRTGCSHQKKCACLIISDHLEKWELTIATVNCHMISRQEKSCTTIIPVRFDLEISDIL -SLENILDAKYVATITELALNFPCVNWDIKKQQLKQFDPTQSLVKLEQNFNQLQRDDKLHFNCCYNYPTLH -ILNRILNVSKDVIPFLFDHNHNANNKTMSDLSSTLNNCRDMKVSERHHLLGTLLFGSTLGVILHV - diff --git a/seq/clusters_seq/cluster_1323 b/seq/clusters_seq/cluster_1323 deleted file mode 100644 index a74b0e9..0000000 --- a/seq/clusters_seq/cluster_1323 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009179399.1 hypothetical protein [Wuhan flea virus] -MGDMGIRSLRGCARGVRLGFLLKPPEEHKRGLAGNRRERDPWELDMTDEQLDEADRRGEELAKEREQENI -RSMRRARDFNRFQALVRPWVPTHLNTVVHQLVYQHLEGSEHAHLIGATALVIIYITIAHLLHRVCSMLPP -IFYVANICYFPESRGLAIALGVIWFVRKVMNVREKPKDTGFWEGFNERHYQPRNWQWLETYLALGILIGM -TYLINNYSYGRSFEGVWILSVVILLARVVPGVGANSVMGVSVVFLFVIIGVMTIPDIMRMSLMALKETLK -AEATEYQPVVEPWYEYTGHMDWQLKWLRLGIGINNIWDLLRKAMGYVPVIWTILDSFLGPGEAISTASAT -TSRREVKFEGIAAIYSDSWWLILVSEVFLSWWIGSMITLLLITVVVVGVYLLWNCVGRREWIGRGQGVTM -TNTRAGYLMVFGQGPVALRQLIVKISACIAWACLLITHTSNIVALASLSLVFCLQSERATSVMLSIAAMN -PALFYRAIRQPRPFTESLINVMKDAYTPGKEDYDPP - ->YP_009179387.1 hypothetical protein [Wuhan aphid virus 2] -MDMTKKDMERAQERAKILEKDRKRKMKEFQESQKMKGKFKGRVRFWFDERSYVVVRDILLSPVFNLVGDK -AHMMLVGGYLGAVLGVAFLAGRIWGWLVPMLYMGLIYSDDTNAYIAKCLLTIWLVSKVVKCVFKKKEDTY -HHAMMNVYHKPTDWMAIGNGIGFYVEIGIIIGLTYLVYGDGYGHAFHGVWVITNIMILSRRIPGVGGNSN -LGLITLMCLVVIVVALLPEVYGVLLRTTVKTLEPKRMAEEGIGMAAPIGHLAGSFSRPMDYIFGLSTTSF -LDVVRASCGNFLTGWLIFDDWFGAGHALTTATIIKMKGKEVTVPGSAGLYGGLGAFWIAGDIFLSATSGA -VLRVIVTVMSIAFSFWMWNWTGSKVWSGRGKGVMLTEARANFGFVFGNGPVGMRIAVLRACLFVATMCYA -LKSDGNIATGLILMMTITMGSERAMTIMLGCMTMHLSLFFQGIGKNKPITESLRESTVDAYSHFDGNASA -GTEPG - ->YP_009179383.1 hypothetical protein [Wuhan aphid virus 1] -MVYGFSVKKDEAEKQTSEIENRIPLTRIETPVQPKEEPPVGNQAKASVPIWEEGLTEDMKRRGKQQYQER -KEKEEEMFARRQANERHFKRHAYRLLDRWSYIWGRDIINPYLVDQGYDGREVTPYMVIALYSGTLLFLAY -LLAKIWFMLPMILYAALILVDTEVGWIAQALFGLFLTTKIIKKCFLKTPEDVKLHEQLYHYPFRNTQSVP -AASLKISESLFYIDMILLIALTWASHEYPSLHSLKGAQVIFTVVFLARRIPGAGGNSTVGLMTMAMIGLL -ALMMIPEVFDTMLSILIRRINPPQRPVHMNPCAGPQAVLKDVRLPWDFYLGIRDDSFTDLIRVALGYFVM -AWMIFDDWKGPGVATAAFVEIRKKGLTTLAQASGGLYTANWWILLTMDVALSVYTASVQRLLTCVISIGI -AYVMWKTFGAQVWVGRGQGANVTDGRRGYGMIFGQGPIGHRIFVARIALALSVLCVLFGSRSNLMVTTAI -LGIIGIMNERFMTITFSLLTLNWAYLVEAWRSPYPLTQQLRHSNEDVGQEIGEHSGPS - diff --git a/seq/clusters_seq/cluster_1324 b/seq/clusters_seq/cluster_1324 deleted file mode 100644 index cd68737..0000000 --- a/seq/clusters_seq/cluster_1324 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009179397.1 putative glycoprotein [Wuhan flea virus] -MVMPTNEFVYLGNDDPYCYIEVSYDTWWLQSEGIKEHCLQEFKKMECTYLHSKRVSSETELFQTKIVEDC -IGIKGSRTEIRHGKDGWYRILTTDPPVITPHGKLHLVMKRDGFYQLHEMFKWYGLTLKGNAFKNWELIDG -IRKWIQPHWLLVTKAATMKDLEQKLVLLDDGENSAHRIQREEGNGKCDTLLNILGENFTWYGEMATSSIR -GDGHVTLSCAEKMCDCDTVPLSFLRVQQVEHLTTINREESWYGKLFNELTSGVVTLLTNMLRSTFGQEWQ -MELIVALLVYYVTSQITKSVPAGIGLAFIIVWNMFLNR - ->YP_009179393.1 putative glycoprotein [Shuangao insect virus 7] -MPWPVSCILFSLWLQCAGIGYALEFEEPHEIPIIYAENKIYLVGEQSREPPHGVWKAIDRWIYLGTEDPY -CYIEVAYDTWWLQAANIKQHCLQEFNRMQCILHTATQLSSTTGWFQVARIEDCLGIRGTVKRIMNERDNW -HRLHYYAGTGSKTPGGVLHAVMVKGKAIQVHADYTSMSLWYDSNGFHNADLVRGLRAWIDPGWVQLGRAN -TLKDLVRSRTWITPTKLRVSAATRIRKHPCNCVLTNGTKIRVGNFVYQTSLRLGEYQLGCDGHVCECESV -HYESLISSRAEPMQSIDREQGIISKVFSLFGSFISNGFNTLLNAIFGTEVFNTIICIALVFYVSSRILQS -LIAGALTSALAYMYVLKD - ->YP_009179385.1 putative glycoprotein [Wuhan aphid virus 2] -MVSTDERKDVPSWDWCLSSIRYAFASAALRGFWNIPVWINTIVLGMRGFGYSSSVRLASKQEDVLTSWLG -IIICYCFWSEGVLADLVGASDPAMYISPGSSFSIHIRHQINDLGTNLTLTAGDPTDNWIYTGTEDPYCYA -EITYDTIWFESKNAFKHCSEQVSSQGCSLVDYHGVSALSVFQNENTLTCIGLKTTVSSVLAGMDPNWDRV -FSLPLDNMFGKVHYIYKDKKYYFINPYYFSKTLHYFKDSFYNLRLVNSKRVWLSWDLLVYHVGDNLKDML -IQFPLVNIVEKEENPKKYSIIKPSSKLENKQCTVAYGPVHSPNFLRGAPLLSQVSSVENEKYYKYPGIRM -GTPNSLALCGITTIDALASATPQKLVIVDESLPWYIKLRDWLIRLVSKLVDGTLSTVVGKNWQGRLTVAF -LTYYLSSTLTKSVGAGVFVSFVIVYNLFFR - diff --git a/seq/clusters_seq/cluster_1325 b/seq/clusters_seq/cluster_1325 deleted file mode 100644 index cd6f84c..0000000 --- a/seq/clusters_seq/cluster_1325 +++ /dev/null @@ -1,26 +0,0 @@ ->YP_009094445.1 W protein [Porcine respirovirus 1] -MDQDAFFSEESMEEQKEGRSTTSTLTSAVGLIDIILASEPTDIRKDRKYLCEPITAWGKSEASKISKDAV -CEENPRTEREDYGQSEESGILRESNKFEAKVSFRETHSSDTSWRAWRRSSADSILENMGNGSDSYGNEIT -GNGRGNQRQSLEAKVGEMDPSSNTRRKDKTERLPEEIRGGSPIPNDRESRRNNNGGSLESVSTYNPRVEN -NIMDPTHHLEEEVLKRNKPREMNATSQWPGGHKTDQQDGRHESTTNLISSNQNRPQGTKKGKGKESAVKP -KIRKSKMSFEDTRNTDHIYEDSQDHIRRKQTDNEPSQKIGKKGAQKRIPYIQKR - ->YP_009094444.1 V protein [Porcine respirovirus 1] -MDQDAFFSEESMEEQKEGRSTTSTLTSAVGLIDIILASEPTDIRKDRKYLCEPITAWGKSEASKISKDAV -CEENPRTEREDYGQSEESGILRESNKFEAKVSFRETHSSDTSWRAWRRSSADSILENMGNGSDSYGNEIT -GNGRGNQRQSLEAKVGEMDPSSNTRRKDKTERLPEEIRGGSPIPNDRESRRNNNGGSLESVSTYNPRVEN -NIMDPTHHLEEEVLKRNKPREMNATSQWPGGHKTDQQDGRHESTTNLISSNQNRPQGTKKGKGKESAVKP -KIRKSKMSFEDTRNTDHIYEDSQDHIRRKQTDNEPSQKIGKKGHRREYLIYRRGDQIVSESWCNPICSRI -QPIPKRMPCICETCPQFCELCRNDS - ->YP_009094443.1 phosphoprotein [Porcine respirovirus 1] -MDQDAFFSEESMEEQKEGRSTTSTLTSAVGLIDIILASEPTDIRKDRKYLCEPITAWGKSEASKISKDAV -CEENPRTEREDYGQSEESGILRESNKFEAKVSFRETHSSDTSWRAWRRSSADSILENMGNGSDSYGNEIT -GNGRGNQRQSLEAKVGEMDPSSNTRRKDKTERLPEEIRGGSPIPNDRESRRNNNGGSLESVSTYNPRVEN -NIMDPTHHLEEEVLKRNKPREMNATSQWPGGHKTDQQDGRHESTTNLISSNQNRPQGTKKGKGKESAVKP -KIRKSKMSFEDTRNTDHIYEDSQDHIRRKQTDNEPSQKIGKKGTEENTLYTEEVIKLLVSLGVIPSAAAF -NQSRNVCHVFAKRVLNSVNFAEMTANMCGLLLSVEKSVSDRIEENKTLINQIISDLSTGREVQKRFTEYQ -KEQNSLIMSNLATLHIITDRGGKNNSVDTGERKPSIRTKGKELTQKTQRFDPSMEFTEEMKYKPDLYRED -TLRQRITNPVLDESADKIDNSNAARLIPCKEKSTLRSLKLIIENSNLSKADKIAYIRSLSKCKDDKEVES -VMKLFEEDIESSNE - diff --git a/seq/clusters_seq/cluster_1326 b/seq/clusters_seq/cluster_1326 deleted file mode 100644 index 0d547a7..0000000 --- a/seq/clusters_seq/cluster_1326 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_009177206.1 phosphoprotein [Yata virus] -MERIDIKSCTKSYNLDNLMSNIHDQLDDPFDEPDQPQTSHKMLKNPLFETDFEETLIAGGGNTLDGPLVE -SRKDWGDQVSELTQMYQSIENQQATEEEELRIKEKADDSLEDEQEKVSKEWGPMTDFIPPPTHKNKIMSW -DTLMTLATNNIPDECAKLSAVLSLFNIHEGVDYSLIKGTTAVTIHKISKDRGSPEGEKSDPRGPVTYTFE -ELDEDALEDKNIRAEVVGILKNGVRFKKLRGKGHVLIEWGQKGINAELIDCLDWGLISSVEEGLKIILKG -AKLYKALARVADLNNPIY - ->YP_009177194.1 phosphoprotein [Koolpinyah virus] -MEPYKLSEKMTGYDLVKLREVLNEVDEEIEDMKQDEPIRNINPLLEDPRRGGDSDEEWGEIISNMSNNVC -SVNEIIKHGKSKTEETSEGAKRDMRKNVKSEDSTSSLPLVWDNNWVMLCDDISCEMSKAHSLLSLFNLKE -NVDYKFLVDNQTLTVQKLEPDCEDEMREASNVTYKSVGGSKFDVPEEPPMKYKIHHKLDEGIRFKKLKGK -GYTRVSWFTDGVYQTILDDIDWSDVKTEDDAIIKVLKLSKLYKMIKKTCEL - ->YP_006202619.1 P gene product [Kotonkan virus] -MEPYKLSEKMTGYDLVKLREVLNEVDDEPEDTKVDDVTQSPNPLFETSMKGNDSDEEWGEIISNMSNNVC -SVNNIINKKSDNDAPEGRNDVIKKGRMASEESNSNLPLMWDNNWVMICDDISCEMSKAHSLLSLFCLKEN -VDYKFIVNEQTLTVQKLKFNSDDNPQEASGVTYKTANYSRFDEPEESSLKYKIHTRLDEGIKFKKLNGKG -YIRVSWFTDGVYQNILDDIDWNNTKSEEEAVIRVLKSAKIYKMIKKTCEI - diff --git a/seq/clusters_seq/cluster_1327 b/seq/clusters_seq/cluster_1327 deleted file mode 100644 index 0ae8249..0000000 --- a/seq/clusters_seq/cluster_1327 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_009175040.1 RNA-dependent RNA polymerase [Le Blanc nodavirus] -MKELYSDFLTPFQLYVVYFSELLECDFWSWFPQVKEYIDLARQMYRSWICHRVPEHLWTNPLIQKWECDV -IVYPETWHLRRTIAAIYAFDWWFASHVFLVLLIGIPVYFLIHFFQTRKEFLRRDCWIGFRWWMCWLTNAT -VEGTRFCPSEFGGEADGYRWSTSSDGIITWFDGTTTSSMKRLPVANRTCLIAMLPTKIETIRTGYFIQQK -WTPVGFSEPRMEAPVLATGEYLTAMETDNEITTVYCSRANTHSGAALTASTWDTVMNALKSAARDTTPYS -VGAIVRRFYPQINKDRLSEYQSVIAAKWNAEKCPDYVLNETLPEQTLQFVGDRRDDPDAPWKATGRGVMP -PFCTEPDTMPAKGYQAGISAVTSRLDNCRNPVRHYQQVVLTYCAEFVDRVVRRRIQPWTLDDVIDHQDGK -LQKIRNAIAKWVAFSWLPTTEVKAMVKIESLANHNFIRNISTLPAEFNLSLGSYMLAAAEYMKAEFEWYG -AGKTPRAIAERICDMADGAPMDEHRIQWLCAADVSKMDAAKNPSLTAWLTTRIYTRLFGLEDDELITLRK -AEASASAATAEGIPYNVGASQLSGSACTTIDNTITNAFISYVAHRIQGLTEDVSFQSLGIYVGDDSVSYN -TKESVEEAGRVLGYKIEAEMIRETDNIPFLSRFFYDAWEGGTSSVQDPVRLLRKLHLSISPTEITTQQAA -ANKARGIHELDPSIDFYRELYITIRRITGKTGDAMEGLGWMTRQFVSMGGWPTDGRANEMWDHYTSFNQS -VYIDWLAGVRTWTQFMKGPSQLVFTESKRKAPIMVNPLDPTDQLPDEAATDDPPPPQATVGENAEDRPGA -SDAARMEKSAVAQIDSMVTEERRQEARAAGAQQPLVEEERTPAPPPAQTRRERRFGRPAPNPVPPELDPF -QNMGTFRPAPARGGFNQRRWAARGRPRGRGGR - ->YP_009173859.1 RNA dependent RNA polymerase [Orsay virus] -MRIIGLPAPVAALVAITVWPVLMTAASNYLLDILYDLVGLQQLDLPVFILKTGSRLALLYIISLVLSLIV -RWKNINREVLDAAAAAGSWKSLNIVIALLLHGRITIKTFRPLKPGNTTPSKSWCTIGDAIILTTDRATKR -YHNFMLWNGPLLAFETCLGITYVEAIDVRSRRTSDEWVEMTFSSATSDDSVAAMSLLCHPHANYVMRAEH -AADGTTYVYIAHSGGQTTITLTQTVWNTIYEQLNVTKKASTSYTIANLLSTTTSLSVAERLLYAGLIASY -WNDHRFNTLVEERAVHRNVLQFVGDRRDDPHAPYKATGRTVGPILVTNPNKMPMKGHQNDLSAIHYRLDS -VRNNVAEFESKVWLCMSEFVALLDPTPLTRWTTEQVIENQSKPLQIARNRLAEQAIGWMDAAWKCAVQAM -IKVEPVANSGPVRNISTVRPEFNLVYGTYMLPAAEWLKANTKWYTAGKTPGHIATRILDIVEQSRQLASA -RGTMDVCCADVSKMDAAKHPLLTAHLTTAIYMKMFPDYLNEMHAIREAEATASARTDTGLPYRIGCSQLS -GSACTTIDNTITNAFISFLAYRLEGLDQYQSWKRLGVYVGDDSVSLNNPDSIAKAGAMLGYKIVADMVSP -GQRIPFLSRQFYGAWEFNESSYQDPLRLLAKIHLSIAPDNIPDDIAFLNKMRGLHELDPTVPLYHLLYYK -MVELTGSLGATSTDMPWYISQFDAESWPTDITAGDLWTGETGVTAEQYSKWLSGVSTYDELMRSKPPLAH -NEAAPKVPLTVDPSEPGAEAPNRNGPPPASSTVGEISPETKDKMDEVEETARLNIEAVAVAATLQARERS -RQERKAKADARQKDQAQKKEARLANTKMPEIGNWRPPGADKKSPPKPPKPAKTPSANKNDPGPDLRTLPK -LMAKRLKPHNQTHGKSGGPPSAPIGST - ->YP_004221742.1 RNA dependent RNA polymerase [Santeuil nodavirus] -MESSPITPSRKGFLAKTQDAATTFIRHSVPIPVLGMIRALEVLTGSKVAAVLLTPTSLIAAVNQLYRWIA -YLAAKRTGVKIPKVFSFGIHAFSLYLVYKFARIVYHWVFNKDLAENAIRQRDNWTAISLWAGVQIHGEVK -ARMFRPLLPANHTTHRSWTTVEDTIVLTTNDQTTHHPNLIAWNGEIVLTQDICFPLHVSCSRRPGDTLFI -DYVFKRSSNIAPHSITGTLFSTDLMSNNQYSCRSEWHGGALNVYLAPARSYTTFSTTRKNWETIMHQFTV -NGAKAQNYTVMNLLQTLIPTSQQADRLFAGGLIASYWNRCADMDVIEERVRHRGEIQFVNDVRDDKFAPW -KLTGRTVGPHFVTTPNTMPYKGHQNDLSAIEFRLDAKRNPHETLSQNVHAKMDEFVKLLNPQKIHRWTHR -QVIENQTKPMQIRRNEEAAKVIGWLHALKCKVKAMLKVEAINQSGATRNISTVGAEFNLEYGSFMLPAAE -WLKQNTKWYTAGKPPKEIAIRVRELATQARTRNAEPYLCCADVSKMDAGKHVEITAYLLSVIYFSMFPDD -IDTLANLRTTEATAEAHTNTGMKYKIGGSQLSGSASTTIDNTVTNAFISYYAHRVEGRCPTEAYAALGIY -VGDDSVSHNTTESIEQAGRDLGYTLTSEIIKEGEPVPFLSRYFYQPWEQGLSSFQDPMRLLSKLHLSIAP -MYIPDATAFLNKMRGLTELDPAIFLYKALYDKMQDLVGIVGTSNSDDTPWYTRTFGAGESWPTDHEAQIR -WEAIVGIAPNTYATWLLKIHTYEQLMTIAPPKADNTAKPKLQHSLDPTVPGVEAPPSTDPAPDHATIGVS -QSSAIDLMNAIEDKVEFAIANVASRMSRGLPPAPRSLLAPQTDRQPGENQDCLFGPNEKKKKKKSGPPTA -KHRAAPAVTPTAPPAEEEPTTAPSAPAPAPIPIEDLMAMADAGNLRRPRRSTVPNPNASHPRGRPPPPSG -VT - diff --git a/seq/clusters_seq/cluster_1328 b/seq/clusters_seq/cluster_1328 deleted file mode 100644 index 24d43dc..0000000 --- a/seq/clusters_seq/cluster_1328 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009167369.1 helicase motif A-C [Tomato necrotic dwarf virus] -GKSTAMRLFINDVLDRMGEPKLNRLYAVSKRDAYWSNYAHQTAVLMDDMGALRDGAGQCQDIKDLIDIKS -TQPAPLPMAAVEDKGRHFTSKYIFATSN - ->YP_003097234.1 RNA-dependent RNA polymerase [Tomato chocolate spot virus] -QWFGASGCGKSTSMRLFINDVLDRMGEPKLNRLYAVSKRDAYWSNYAHQTAILMDDMGALRDGAGQCQDI -KDLIDIKSTQPAPL - ->YP_001976154.1 helicase [Tomato marchitez virus] -GKSTSMRLFINDVLDRMGEPKLNRLYAVSKRDAYWSNYAHQTAILMDDMGALRDGAGQCQDIKDLIDIKS -TQPAPLPMAAVEDKGRHFTSKYIFATSN - diff --git a/seq/clusters_seq/cluster_1329 b/seq/clusters_seq/cluster_1329 deleted file mode 100644 index 8a939c3..0000000 --- a/seq/clusters_seq/cluster_1329 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009167336.1 protease [Bellflower vein chlorosis virus] -DKVKQLGILPGESESKGPLSSIAKGNMVAGESQAAAGELLVGDPDFLRNSEVQDMLSKMICIDTDEFSAL -IQDGTQNVVTKQAHVGDYGLTRDVNMVELLQTHVSKMSCTILRVNEDKCVSYGVLRLKGTVVLAPAHYFE -EFSEEDKLYFVCPNKVVQIPFVPANVSLVSDIQDLVVWNLGNTVPPSVDFTCHIPTTEDWKHFRKCSGAL -SLTKYNQKMALQVIHALDTIELTSADVEVPTGSYAMYGSTHAVIMGLRYRVHCMPGFCGSAIVRADTRAI -RKVIGMHVAGHKQKGVGYAETLSLEPILE - ->NP_734455.1 3C-like protease [Maize chlorotic dwarf virus] -VWQQYVVDKGIRPAEHTTDFRLFSAIADQEQEDPEEINMASGETMKFDENKYNEIVQVVKGISPTKSDIV -TMTTKGAHHTAIKQVRIGYKSLDKDPNMVSILSNQLTKISCVILNVTPGRTAYLNVMRLCGTFVVCPAHY -LEALEEDDTIYFISFSVCIKLRFQPDRVTLVNTHQDLVVWDLGNSVPPAIDVLSMIPTVADWDKFQDGPG -AFGVTKYNARYPTNYINTLDMIERIRADTQNPTGIYKMLNSDHTITTGLRYQMYSLEGFCGGLILRACTR -MVRKIVGLHVAASANHAMGYAECLVQEDLKHAINKLS - ->NP_734462.1 3C-like protease [Rice tungro spherical virus] -DKVKATGVIAARQLEASGSLKKIHNLNSRRTSSHVMPGLVVHDGAFERSDEVDAELHRITIDEVKSCPKM -IKEGVSTLSVKKASVGMLALQKAESQLSFPFTSRAGVDRDLSMTNLIDTHMAGMSCIIISELGNVFRTFG -VLRLCGTYVCMPAHYLDEITSEHTLYFVCPSKITQIQLERHRVCLVNGFQETVVWDLGPSVPPSRNYIDF -IANADDWKNYKATSGALVMSKYSVDSMLQCVHFLDSIELTEANVSVPTSYYEANGGIHTIISGLRYRVHC -MPGFCGRAIMRADATCFRKIIGMHVSGLRNKCMGYAETLTQEHLMQ - diff --git a/seq/clusters_seq/cluster_133 b/seq/clusters_seq/cluster_133 deleted file mode 100644 index f57b459..0000000 --- a/seq/clusters_seq/cluster_133 +++ /dev/null @@ -1,102 +0,0 @@ ->YP_010088122.1 6K1 [Dendrobium chlorotic mosaic virus] -AKSPYEQGLEKTVGIFALVAMIFDTARSDAVFRILSKLKTVFSLIDDKVHHQ - ->YP_009667136.1 6K1 [Paris mosaic necrosis virus] -GKTAVQLQFEKIVAFMALLTMCIDAERSDAIFKILSKLKTVFTTMGEDVKVQ - ->YP_009458612.1 6K1 protein [Saffron latent virus] -AKTEKQRQLEKIVAFMALLAMVIDSERSDAVSKVLSKLKSVFITMGEEVRVQ - ->YP_007001297.1 6K1 [Blue squill virus A] -AKTAVQLQFEKIIAVLALLTMCIDAERSDAIFRILSKIKTVFTTMGEDVKLQ - ->YP_006405407.1 6K1 protein [Basella rugose mosaic virus] -SKNPFERNLEKVVAMMALFAMVFGSDKSSAVFNVLRNIKTVFGTLEDGVRYQ - ->YP_006395355.1 6K1 protein [East Asian Passiflora virus] -AKTPVQCQFEKIVAFMALLTMCIDTERSDAIFKILNKLKVVFSTMGEDVKIQ - ->YP_006390070.1 6K1 [Cyrtanthus elatus virus A] -HKRKSENDLERIVAVIAMIMMVFDSSRSDAVFKILNKLKAVFGTFNERVQFQ - ->YP_006390060.1 6K1 [Hippeastrum mosaic virus] -SKSKQEHIFEQIIGFLLLATLMFSPARSDVVFKVLNKVKSILTSTAPDCRFQ - ->YP_004940322.1 6K1 protein [Yambean mosaic virus] -AKTATQVQFEKIIAFMALLTMVIDTERSDAIFKVLGKLKTVFSTMGEEVRVQ - ->YP_004564599.1 6K1 [Hardenbergia mosaic virus] -AKTAVQLQFEKIIAILALATMCIDAERSDAVFRILSKIKMAFSTVGEDVRLQ - ->YP_004306483.1 6K1 protein [Passion fruit woodiness virus] -AKTQVQLQFEKIIAVLALITMCIDAERSDAIFRILSKLKMVFSTVGEDVKVQ - ->YP_003587812.1 6K1 [Freesia mosaic virus] -GKSPTEKSLERTVAMVALLAMVFDTERSDAVFKILSKIKSVFSTLGDEVKYQ - ->YP_003208054.1 6K1 [Canna yellow streak virus] -KKGSTELQLEQAIAFATLLTMLFDADRSDAVFRILQKIRSCTQIIGTTVEHQ - ->YP_002321502.1 6K1 [Zantedeschia mild mosaic virus] -AKTAAQLQLEKVVAFFAILTMCFDTERSDAVFKVLNKLRAVFLTLGEGVRVQ - ->YP_001974439.1 6K1 protein [Fritillary virus Y] -SKEAIQLQFEKIIAFMALLTMCIDTERSDAIFKILSKLKTVFNTMGEDVMIQ - ->YP_001816829.1 6K1 protein [Telosma mosaic virus] -GKTGTQVQFEKIIAFMALITMIIDLERSDALFRILSKLKTVFGTMGEDVRAQ - ->YP_001718525.1 6K1 protein [Banana bract mosaic virus] -SKTVFESGMERVVAVFALLAMIFDTSKSDAVFRILQKFKTCIASINNRVGFQ - ->YP_001019191.1 6K1 protein [Narcissus degeneration virus] -YKKKNENDLEKIVATIALIMMIFDTDRSDAVFKILNKVKTVFSTFGERVQFQ - ->YP_842353.1 6K1 protein [Wisteria vein mosaic virus] -AKTKLQLQLEKIVAFMALLTMCIDNERSDAIFKILSKLKTIFGTMGEDVKAQ - ->YP_331417.1 6K1 protein [Shallot yellow stripe virus] -AKSQSEVTLEKIVAYVALFAMLFNSEKSDGVFKILSKLKTIFSTTDVHYQ - ->YP_077270.1 6K1 protein [Watermelon mosaic virus] -AKTATQLQLEKIVAFMALLTMCIDNERSDAVFKILSKLKTFFGTMGEEVKVQ - ->NP_954622.1 6K1 protein [Beet mosaic virus] -ANKVYEKKLEKAVALMALFTMIFDTEKSGAVFSILRNIKSVFSTLGEEVKYQ - ->NP_871741.1 6K1 protein [Onion yellow dwarf virus] -AKSKSETTLEQIVALCALMAMFFNTEKSDAVFKILSKIKNVFSSTDFPVQYQ - ->NP_734392.1 6K1 protein [Cocksfoot streak virus] -AKTHAEAKLEQIMARMALAAMMFDAQRSDAVFKVLSKIKTVLTSAGQSVHHQ - ->NP_734352.1 6K1 protein [Bean common mosaic necrosis virus] -AKTQTQLQLEKIVAFMALLTMCIDSERSDAVFKILQKLKSVFGTMGEDVRPQ - ->NP_734322.1 6K1 protein [Ryegrass mosaic virus] -AKDDTNVWIEKCIATIVLMMMAIDSNKSDKLYQILCKLRTVFSTMGQTVVTHQ - ->NP_734412.1 6K1 protein [Peanut mottle virus] -AKTETELGLEKVVAYLALIAMIFDGERSDAVFRALSKLKTVFGTLGETVRYQ - ->NP_734086.1 6K1 protein [Sorghum mosaic virus] -AKSNFETNLEQAMAVGTLLTMVLDPIKSDAVFKVLNKIKTCINTYEQSATFPTVNFSSLLGTQVTHQ - ->NP_734106.1 6K1 protein [Dasheen mosaic virus] -AKTALQLQFEKIIAFLAIVTMCVDAERSDAVFRLLSKLKTVFATVGEDVRIQ - ->NP_734186.1 6K1 protein [Zucchini yellow mosaic virus] -AKSAVQIQFEKIIAVLALLTMCFDAERSDAIFKILTKLKTVFGTVGETVRLQ - ->NP_734201.1 6K1 protein [Soybean mosaic virus] -AKTATQLQLEKIVAFMALLTMCIDNERSDAVFKVLSKLKAFFSTMGEDVKVQ - ->NP_734422.1 6K1 protein [Pea seed-borne mosaic virus] -AKKHGEIRFEQTVALMALLAMMFGSDRSDAVFSTLSKVRTIFTTMAQEVRCQ - ->NP_734382.1 6K1 protein [Cowpea aphid-borne mosaic virus] -AKTHSQVHLEKIVAFMALLTMCVDAERSDAIFKILNKLKSVFGTMAEEVRVQ - ->NP_734116.1 6K1 protein [Bean common mosaic virus] -AKNATQLQFEKIVAFMALLTMLIDTERSDAIFKILSKLKTVFNTMGETVQIQ - diff --git a/seq/clusters_seq/cluster_1330 b/seq/clusters_seq/cluster_1330 deleted file mode 100644 index 17071b3..0000000 --- a/seq/clusters_seq/cluster_1330 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_009167334.1 coat proteun 3 [Bellflower vein chlorosis virus] -GFGRTLTCNGLMGGGFNDWCEIPSVLWKFTLDAGLKNALHLMVAPSYRSMPPCTTSLSWLAQLFVEWSGS -LVYTLRAHSQAKQITSFVRIWYDSNGSTQSENESEFLSNVDPPAGVKVHYWRPGEQDKIVITVPFCARTP -KLLLPKSRYEPTVDDWFQFYNGSLVIDLEGKKEVDIEMSISAGPNFEMYEQTVAPKCGNVTTSFTFLSYQ -KHLQDITRFPMNVGRLGGPVNKAQVTPNPFTPKEPVASPSGKRKMAGPK - ->NP_734453.1 coat protein 1 [Maize chlorotic dwarf virus] -VSLGFSLQSGRNIGVGFSDLLKRWAHLLTLHFDENNEKSEEKVGSYIVTVAPSYRAFPQHNTLLSWFSQL -FVQWQGSLCYRLHVDSQERRYGGYLRIWHDPNGSLDEGVEFAMSTNLEPPPGAFVKYWNYNEQSEFEFVV -PYTARTPRLFVPKAMIPTDSKSWILNYNGTLNFDYRGVDDFNVTVDISAGDNFEFSVRTVAPKAGKVNES -FTKLSYSNELVDIKKPLTAAGRLKGPFNLNTLKTAVPKETPKESSDDKDKSNQKRKGAMDSLLNAVAQ - ->NP_734460.1 coat protein 3 [Rice tungro spherical virus] -DFGRSLSCSRLLGNGFKEWCSRESLLMRVPLKSGKKRAFKYAVTPRMRTLPPEATSLSWLSQIFVEWRGS -LTYTIHVQSGSAIQHSYMRIWYDPNGKTDEKEVKFLDSAHPPAGIKVYHWDLKIGDSFRFTVPYCARTEK -LQIPKAYASTPYEWLTMYNGAVTFDLRSGADMELFVSIAGGDDFEMFEQTVPPKCGSVSDSYTVLSYADD -VKSVTEVPNKTTYLADEQPTTSAPRTSIVNTEDDPPTEGEIARTTNGTLVQYRGGAWKPMVERTPTMSKK -QVGPELTVSDPQ - diff --git a/seq/clusters_seq/cluster_1331 b/seq/clusters_seq/cluster_1331 deleted file mode 100644 index 2d1ce0c..0000000 --- a/seq/clusters_seq/cluster_1331 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009167333.1 coat protein 2 [Bellflower vein chlorosis virus] -SSATLSNLAGIESFESIVAADKWSTTSPTNLLELTVHPTACHVKDGLVTQTSLSVVSSLFNRWRGSIKYR -IIFGASMFVKGKMAVSAVPVVFRNRKMSVEEICAFPCLICDLSSQNREFTFEVPYVSIGTDSYVVRDALY -DTSSYSAKFVVSRLHFVVLDPLVMNANASNSVSFIVTQSPGKDFQLSQLSGVKAEFVDRRLKPQ - ->NP_734452.1 coat protein 3 [Maize chlorotic dwarf virus] -MLVDKLTNLGEMGCVVATGTWSTTSSLNLLQLNVHPTACFISDGLVTQTPLSVIAHAFARWRGSLKFTIT -FGASMFTRGRVLVAAIPVAKRKETLTIEEISGYHNVMCLLNGERTSFELEVPYHSVGEDSYVCRDALFDV -SSYAQNFMITRLHMVVIDTLVMSSNASNTISYCVMMGPGKDLELRYLNGVHAQRNVRELKAQ - ->NP_734459.1 coat protein 2 [Rice tungro spherical virus] -SGGFEESQDLGDLQAIIATGKWSTTSDKNLMEIIVHPTACYVSEKLIYQTNLSVVAHMFAKWSGSMRYTF -VFGASMFDRGKIMVSAVPVQFRNSKLTLSQMAAFPSMVCDLSMETREFTFEVPYISIGKMSLVCKDYLFD -ISSYNADLVVSRLHVMILDPLVKTGNASNSIGFYVVAGPGKGFKLHQMCGVKSQFAHDVLTAQ - diff --git a/seq/clusters_seq/cluster_1332 b/seq/clusters_seq/cluster_1332 deleted file mode 100644 index 8b55c2e..0000000 --- a/seq/clusters_seq/cluster_1332 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009141016.1 nonstructural protein [Bhanja virus] -MDDSRSIQFRLSGEVSPGSLIIGGYWRMSVANIRIRDVHTLYPPVPRELADLFQGTAAIQTLFLDGGHMV -RSLPRPLYLLGTMEEILPKGRPMTKQERSSLRWPQNVASVSWISLALKVYGNGTPLERCFSWKALVKLLI -RSTPESCRESAIADRLYDLDQKANIRAQRLGVFSALSGTPILMKVGFLQALSYLRMAAKDLELLGISNSG -LVDVQVLQALSWFYPVKLPRPIRKSVDDYIRIEASLGSNTRILLLETFDSRDRKKVNKGPPFDEATHLSK -LSCEESINYFLETQETFRLHYFCTKFTSDWPTP - ->YP_008719919.1 nonstructural protein [Razdan virus] -MDDSRSIQFRLSGEVSPGSLIIGGYWRMSVANTRIRDVHTLYPPVPRELVDLFQGTAAIQTLFLDGGHMV -RSLPRPIFLLETMEDILPKGRPMTSQERSSLRWPQGVASISWISLALKVYGNGTPLERCYSWKALVRLLV -KSTPESSSESTIADRLYDLNQKADRRAQRMGVLGVLSGSPILMKVGLLQALSYLRTAAKDLELLGISTSG -LVDVQVLQALGWFYPVKLPRPIRKQVDEYIRIEASQGSNTRILLLETFDSRDRRKVNKGPPFDEASYLSG -LSCDESITYFLETQETFRINYFCTDFTSDWPTP - ->YP_008003510.1 nonstructural S protein [Lone Star virus] -MDDSVPKLPTLFEPVDDNLLIFGGYWRASKARAREGILKTLFRSMGVAYPSLSGTFFSHCYFVDGSSIFR -QMPKPYLLISAIMSCIPHGLGWTQEQRSSLRWPTGKATTSWMSLAVRIFNFETPVEQCLSWKAFFKLLKR -STWDAPPGCTTADRIIILYEKCFRRAKQLGICPTSIPTDSIIYYVAALQYLSFARAATRDLFMVPYCSVG -PSVYEILQTLCWFYPRKLPKRMRRDVDEYLRVKEDDPFDPYSSLLMTYHSGDRRRVSRPPGDQKEFLETL -SSTESLLFFLETEDSFRLNYFSTSFGGDWPALPAAT - diff --git a/seq/clusters_seq/cluster_1333 b/seq/clusters_seq/cluster_1333 deleted file mode 100644 index 95e61b3..0000000 --- a/seq/clusters_seq/cluster_1333 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009116629.1 hypothetical protein [Rubus yellow net virus] -MQPHEISEFEAESSSWERSERAYRQDFSFRNLRTYPRWESNQRTPSLEFPCYHYNTTTGPPVHRTLLRQG -DGKDLPYLVNTLFDLNITEIHNQAILDDKISRLTQYLTTKVGSLPTIPEDSPLLDQIALSLDLQALKADL -KEIKATQSAQKLAFSQLQEAVQLFIARENDPKPIEAATAQVAEQLRKQLIEVKTVLEETKKIARSLSPDG - ->YP_006495797.1 hypothetical protein [Gooseberry vein banding associated virus] -MRKHTALEFEEKAEAWERTERAARHDFVFRNLKTYPRYDTNQRFPSCEIPCYHYNTGAGTKVCHATISKE -GGNQHFVLNSLFDLEVTQIHNQAVIHDCLTQFIQYSIYKRRKKLRKSRLPTIPEERPLATSEVLKVDPEQ -ISQISSQLTAVKADLREIKSQQSQLKTGFNQLRDSIQELLSRESEPKPIEAATAEVADQLKKQLKEVKAV -LEDTKKIVRSLTPE - ->YP_004732981.1 hypothetical protein [Grapevine vein clearing virus] -MQSIEQQQFEAEIESWERSERTPLHGYRDLVEYPRYERNQHFPSAKFPCYHFVAEKDNVHATYTKGDRIP -QLLNTLYDLQVNQCHNQAVIYDRIQLLSRYTVRKGKPLPAIPEESVLKEPEESSTELKHQIELLRADLRE -IKANQSSLRLAISEIRESITDLTARESAPKPIEAETAYLTAQLKVQVQEIKTALTEIKTFARTLVPER - diff --git a/seq/clusters_seq/cluster_1334 b/seq/clusters_seq/cluster_1334 deleted file mode 100644 index 634f814..0000000 --- a/seq/clusters_seq/cluster_1334 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009109693.1 protease [Baboon endogenous virus strain M7] -TLGEDSEQGCQGSGAPPEPRLTLSVGGHPTTFLVDTGAQHSVLTKANGPLSSRTSWVQGATGRKMHKWTN -RRTVNLGQGMVTHSFLVVPECPYPLLGRDLLTKLGAQIHFSEAGAQVLDRDGQPIQIL - ->NP_955590.1 p14 PR [Moloney murine leukemia virus] -TLDDGGQGQEPPPEPRITLKVGGQPVTFLVDTGAQHSVLTQNPGPLSDKSAWVQGATGGKRYRWTTDRKV -HLATGKVTHSFLHVPDCPYPLLGRDLLTKLKAQIHFEGSGAQVMGPMGQPLQVLT - ->NP_955578.1 protease [Feline leukemia virus] -NLGDQESQGQDPPPEPRITLKIGGQPVTFLVDTGAQHSVLTRPDGPLSDRTALVQGATGSKNYRWTTDRR -VQLATGKVTHSFLYVPECPYPLLGRDLLTKLKAQIHFTGEGANVVGPKGLPLQVL - diff --git a/seq/clusters_seq/cluster_1335 b/seq/clusters_seq/cluster_1335 deleted file mode 100644 index 6e7275e..0000000 --- a/seq/clusters_seq/cluster_1335 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009104376.1 putative movement protein [Cassava Ivorian bacilliform virus] -MALTRSFSSRSLAKLDNSVNEYLGSKEFEEDMRQQAGYGRWKGLKVGGGERSFVLVPENSYSKLSSLFKA -DYEKGLIPSKGYMHLKWVMVFLVSHVPKSSAGSVTLALKDPGWSLTDPLPDTSFEMSLSQLPRVCLLTTD -YDLPLGKKTIKLGDRGEMRRMFLLSAKVSGLVGAGDALSLFPIWDCDFRSSCNNYEVVPCTSVPISRCIR -SDVLSCVAELNRYVKGTLLSLPRSSVSGTRFAAPELINQNESEPDSNAAVASESAGVVVPGSAVQTTDPT -LSGGSVEVRPALFNGVEEKVVP - ->YP_006576520.1 movement protein [Amazon lily mild mottle virus] -MSFALTRSSSSRSLTKLDNSVNEYLGSKEFEEDMRQQAGYGRWKGLKVGGGERSFVLVPENSYNKLSGLF -KADYDKGLVPSKGYMHLKWVMVFLVSHVPKSSAGSVTLGLKDPGWSLTDPLPDTSFEMSLSSLPRVCLLT -TDYDLPLGKKTIKLGDRGEMRRMFLLSAKVTGLVGAGDALSLFPIWDCDFRSSCNNYEVVPCTSVPITRC -VRSDVLSCVAELNRYVKGTLLSLPRSSVSGTRFAAPELINQNEFDADSSTAVVPESAGVKLAAPQSSFSQ -APALFNGVVENKDTDP - ->NP_619772.1 putative movement protein [Pelargonium zonate spot virus] -MSLIRRSTSHQLNALDNSLQSYLGSQEFKEDMREQAGFGRWKRVKASAGEKPIVLVPDNSYSALKALMKS -EYEKGLIPSKGYMHLKWCLVFIVAHVPKETMGEVCIELRDPGISTADPLPGCQVVCALSDLPRAVMLVPD -YDMPLGKSKLRLGNQEMRRMFFLHTKVSGFTGQGVAISLFPVWDCDFRGTCNNYVKVPAVSVGIDRTERT -SLLNCVKQLKQYAENALLTMPQSISGGTSFARPSHLSLNESKTLPSTSTTEAEGSERRIHIGAPSNEDLY -EVKSAGTTGGPVSLVNGVSVGASTQSAFF - diff --git a/seq/clusters_seq/cluster_1336 b/seq/clusters_seq/cluster_1336 deleted file mode 100644 index 5bf851e..0000000 --- a/seq/clusters_seq/cluster_1336 +++ /dev/null @@ -1,14 +0,0 @@ ->YP_009094432.1 beta protein [Malakal virus] -MEFSRCKLSLQIINFKPVEIERRALLGLLAVKSIKTLHRSNQMITRLSEILIPSAIMNGEFVVRNDKKNG -YWIFVGEAYGAIDSDDLNGVREKVVKISRTIPLIITGEEYGAIDLDITLENSEIIFLKRRNELDICDLPR -KIRFLH - ->YP_009094136.1 beta protein [Berrimah virus] -MDFIRCHVAIQIINFRALEIDKRSLLEILVIKSIKNLHRSNQVLTRLSDLMIPSAIHNGEFVMRNDKSNK -LWIFVGESWASLDFEDLSGVRERISSINKTVPLLIQGEEYGAIDISMNIEVRGIRFLKRPNEMDICDIPR -KLRVISA - ->NP_065407.1 beta protein [Bovine ephemeral fever virus] -MDFIRCHVAMQIINFKALEIDKRSLLGILVIKNIKNLHRSNQLLTRLSDLMVPSVIHNGEFVMRNDKSDK -LWIFVGESWASLDLEDLNGVRENVFNISKTVPLLIQG - diff --git a/seq/clusters_seq/cluster_1337 b/seq/clusters_seq/cluster_1337 deleted file mode 100644 index 1c2c63f..0000000 --- a/seq/clusters_seq/cluster_1337 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009047189.1 VP1 [Drosophila immigrans Nora virus] -MNQDEQKKKGAQLKRVHTVGTQVVDTCNRRRQKGTKMEVNFTVKRNNADEEQKFFVTERVDHKLEKQVKA -EAKQNHTFIKPKLNFVDKVEVEKVKVTSRGKERAANFAFFKELVNSNKVEQKWNVETEKEIDEVDLFFMK -KKTKPFSGFSIKSLGDSLKVMPDEKHISPQTAMSSINENVATDAVVDVIALTAQLNQLIKRVEALELDNK -QLVEMNNQLRQDNEKLVNEGITSIKNDKKEKKNSENKIEQAISKTASCMFKIVAEKKRVTQVTPIVGEEQ -CLELQSTIKRKYKEKPKLPSVPSKKEKKSIQQTKIRQWYNFNKQTIEEHQKEVLSSVQTDVTFAEKVKEN -GIPKQKLRYTVSTTVEKQPKKSIHHYGYKPAGVPNKIWWKWVTTGTAAEAYKKAQTFLFKMFKREMIVFR -QKWICHTKEFNPYLSEPKMVWLENTNEYPYDVNVLFEFIKKWRMLVTSYKPGKPITNDWYKNKQN - ->YP_009047185.1 VP1 [Drosophila subobscura Nora virus] -MSTNKTNKKGAQLERVQIASTQVVDTRSKRRQRGTKLDIDYTVKKNNAEKEQKFLDTEVVDTKLDKQILY -EKRQNHTFIKPKLSMVSREDKIVKNKVLRGNERASAYKFMKEMVNSNKVQSSWNVERVKEVDEVDLFFRK -KKTKPFSGFSIGELRDSLIVPSDDKNIVPPIVMSSTNEIITPSEEISVSAILKQLAALTAKLDKIEKQNE -ELIKENNNLKMEREQFLENVHKEVELETKPQEKKTLNTKKTQQKSLGVNLKITKTKIIGQEESLQQKFVD -KPNKPLKPNKNMLGDKLKKNIREWYNFDSSKLEQHKKEVLSSVITNATFAEKIRETGVPKQKIRYTSPVT -LKEDKKSIHYYGYKPSGIPNKVWWHWVTTVTSVEAYEKAERFLYNQFKREMFIYRTKWVKYSKEFNPYLS -EPKMVWMEHTSDFDIDVSVAYAFILKWRKLVQTFKPNKPINSDWYKSTQQQ - ->YP_004849307.1 ORF1 [Nora virus] -MINNQTNKKGPQLERVHFGSTQVVGKSTKRRQRGTKLDIEYTVRRNDAPKEQKFLISEIFDEKLDKQIKY -EKKQNHTFIKPKLNLVIKEEQHITKKVLRGKERAATHAFMKEMVESNKIQPSWNVEYEKEIDEVDLFFMK -KKTKPFSGFSIKELRDSLIVQSDDKNMAQPTVMSSIDEIVTPREEISVSAISEQLASLMERVDKLEKMNA -ALEEENKQLKKEREATIKSVKKEAKKIKQEKPQIVKKTQHKSLGVNLKITKTKVVGQEQCLEIENTQHKK -FVEKPSMPLKVSKKMTEHQLKKTIRTWYEFDPSKLVQHQKEVLNSVVTNTTFADKVRETGIPKQKIRYVA -KPPAEEKRSIHFYGYKPKGIPNKVWWNWVTTGTAMDAYEKADRYLYHQFKREMMIYRNKWVKFSKEFNPY -LSKPKMVWEENTWEYEYKTDVPYNFILKWRQLVQTYKPNTPIQADWYKISQKQQC - diff --git a/seq/clusters_seq/cluster_1338 b/seq/clusters_seq/cluster_1338 deleted file mode 100644 index 099cfc4..0000000 --- a/seq/clusters_seq/cluster_1338 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009046480.1 ORF-2a [Cherry twisted leaf associated virus] -MLAFLGLPIHLVFLLLCMVCLAAGNQLLSKVCLILRSLVLSLTVLLDPQILLGVVLKKLYNHYNRDSTFS -TSIFLDLLTRVLICYFPILIRTSASHLLLISLIVVLIGLVLRFVNTLTY - ->YP_007761583.1 hypothetical protein [Cherry rusty mottle associated virus] -MLTLSALNFHLVFLLLCMVCLVAGNQLLLSVYWIVRTFTLSLTVLLSPQIWLGVVLKKLYSLYNPDSTFL -TSICLDLLTRVLICCFPILIRISANHLLLISSIVLLIGLVIRFVNTLTY - ->NP_059939.1 unknown [Cherry necrotic rusty mottle virus] -MLTFLELAFPLAFLLLFTVSLVAENQLSSSLYLIVRSLVLSLTVLSDPQILLGVALKKLYNLYNPDSTFL -TSICLDLLTRVLICFSPTLIRISANHSLLISLTAILIGLVFRFVNSLIN - diff --git a/seq/clusters_seq/cluster_1339 b/seq/clusters_seq/cluster_1339 deleted file mode 100644 index 79c5a30..0000000 --- a/seq/clusters_seq/cluster_1339 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009044206.1 nucleocapsid, partial [Sierra Nevada virus] -QLYKFHKQSLGDTEVAETIRAPVYQRPLSALCAGGLRILKATDPEESYGVGLSCVLRCFPEVIVVCDLSA -IVTEVVPNPETLLEEGSAEDKPMMVQFGAYVCLATLCYLVKPKTAENTAYVKNRWQALVGTRGFTDLITG -NQGLDTRAMDMADVDARRISATTLKAQLVRAIRDYPDGTLGPTLQALISQVRMVYKGHGMAMLSEMVYLI -KTQPMRRVLLQSTVADEAVKLDKAIEEAKKKHGEDFEYLGALGISEESLHHKNFPNLYYAAKALAQGENR -ISQGMQFSSLPTGVDKEVLRKEALRTIQTKKEVTPELIEKLKRLGHDVTGVTLKEARKKRRAADSDEEGM -SP - ->YP_002905342.1 nucleocapsid [Nyamanini nyavirus] -MEEAGTSRSTGLRRELEELYKFHKQERLEVIGAEVRRAPLFARPLSALAANDNALLSTVEERELEGIGSS -CIVQCFPELLKLPGWEEAMSKLERNAVVEIEGTPVEKRPKMVQIGAYITLCTLCFIVKPLTNLNSEYIKK -RWSALLGSRNIQNLLSEDPGLENKLMSLALVDAKRIAGLKLKAEIIRAVRDYDDSTLGPTLQALIAQVRM -VYKGHGMTMLSEMDLLVKVQPMRRVLLQSAVAEEALKFEESYQKAKERHGKDFEYLGALGISDETLHHRQ -YPNLYFAARAVAQTEKRVGTGMQFSELPTNVNQEILRTDSLKTVKTKREITRDLVEKLRLLGHDITGLLK -KESKKRKRDESSEEEEEDWD - ->YP_002905336.1 nucleocapsid [Midway nyavirus] -MEGGKSARSTGLQRELEELYKFHNQEQIEVIGAEIRKAPVYTRPLSALAANNNALLSTVEEQELEGIGIS -CTVQCFPELLKVPGWEELVTRVVNNKGVEIEGAPVERRPKLVQIGAYITLCTLCFIVKPLTNQNSEYIRK -RWSAMLGSRGIQNLLSEDPGLEQKLMSLSLIDSKRIAGLKLKAELIRAVRDYEDSLLGPTLQALIAQVRL -VYKGHGMTMLAEMDLLVKVQPMRRVLLQSAVAEEALKFEEAYQQAKERHGKDFDYLGALGISDETLHHRQ -YPNLYFAARSVAQTEKRVGAGMQFSDLPTNVNQEILKAEALRTIKTRREITEDLVKKLGLLGHDITGLLK -KGGKKRRREDDSDGDDSSAD - diff --git a/seq/clusters_seq/cluster_134 b/seq/clusters_seq/cluster_134 deleted file mode 100644 index f52d7c1..0000000 --- a/seq/clusters_seq/cluster_134 +++ /dev/null @@ -1,956 +0,0 @@ ->YP_010087858.1 1b, partial [Malus domestica virus A] -DTYTLVLDSVPLMCTTAKPSLSSVFAVNDYMNLVFPEMSGYDFITRTLRFEFSGWDLPALEDLKLIPSKS -KAYTPQSVCLPMLMGKGERARPNTWKQVILSLSKRNFAAPRINEDHDISRSADVLFKSFLNCLDERRSSE -YFEVLEPDFNKINSWLTNRDGAKYKSILASFDGRTWQESLNNLRLMVKGDLKPKMENSGLETYTPPSNIV -YYENIVNMYFSPIFLEIYHRINYCMKSNIILYSGLNLDELGELVKSKLKFPLNQYHYTEIDFSKFDKSQG -HIIKLYEELVYKFFKFSPNLYDNFKLSEYFVKASSTAGVQLDLFCQRRTGSPNTWLSNTLATLAMVLTVY -NVDDIDLILVSGDDSLILTRNPIPNLTDRLNVDFGMEAKYLKSPVPYFCSKFLVELNGIIKVVPDPVRFF -EKLSVPITVQDRHCGTVLRERFTSYRDLLKDYFDDNVINNVEYLTSIRYNTPSGSGYAAFAYIHCLLSSF -KNFEKLFDDSSIIEI - ->YP_010087857.1 1a [Malus domestica virus A] -MSSVSSIVNSFLSINNKTQKYRPLNFSITPLSEYGSVYHKQISLELAHLNSSSWGNTLLPKVPLNGLCWK -FRYTPRKPPRRRGKSITLCSSSLSASSKVERKRMIGLLRSALGLRSCSFKRIPRQILYEKKSYSSTFLFD -LLYTPVGDAGSGPQKPSILGYCSRRQIPTLHSNCAERYSSSHMSGISSELQVDFNSGHFSLQISQAGSSG -GFSPLFMSGRIRPNTDKGTVSVPVRYYVRSTTRKGEYSIQSYSMYFPSDQLQQLMTSVVTGYFLKYPDLK -YFFSCRNVRKFILPDNRFTTIMGKLLKYCGASDVDESVILDHNLKGGSLPRNDDKLPSSGFNAVKVGVSY -KAVLQKPVSQVRQERAPVAEMEAYTVRDVAPVSERKHSHMRVWIKKEDATKNVSSGDKVQHVVSAKKEQP -SPGVVRSGVTESKGMAYPGLDRFVKPLEIKEVVMNPNHKVSVVTLKGLGVLEKHYIKGVLKHYIFNKLTG -KTFKVFNTPSAARTLFNLTLLNGRFHVDMDALTPSGKAFRTYGRGFCWLDAFAKYGKVIPASVPFSQACD -IGTMLSAGIPRSFLKHVRKNAEGLLHFDEKTTSFVRIENFYQKVGMDDLENKVNLNCQNFDVVFEQMSKN -VLNKATPRSDNVTFTSVLQQAANKINDWTDRKADLSIYTSLTTKQKRIISDLYPHMKFKFVDTSYSSHPV -FTVMRRVTNFSLYKLVGFNNFVDFGGHIPTLIESGATNMHCCSPIVDSRDAKRHVDAGLFLNQSVDIPSQ -FTICNKLAQECDVKFNRAIMVEVYDMSLVDIAKAMLAHDSLRCDFSLLLPGELLTEFTTFNVLDNGCRIV -KRGDLVDYYLGESAEAYSHVLSNLIHIMTDNLVVVDGIAFKKTLENSYGPFRHYSVTKLESFPKGKTRFS -TMYDLSNKNLMMVKIPDTNVNGGVSFVDFLVDKSMLMNMIEYSANCVENFNRKGFEHVFSQYRSRKNYVI -YNGKVIHEQVDIPPNLVAGFISVIIAEGIRMSEKTHYLAKYTYYSYYAPGIFNCLFYSLKAVWLKAKSCA -YDKVLRFLEVIFGEWITKAARESGSRIFEVNPYVFTEQEIHVTNDGEVHQVLTNTFQKFVETNVKLEQTM -EDAVDLQKLDEAVNSGGAGRVNFQILSKIFYWVRVLFGPTVQVVKLGTRMFSAFRHFAASATKNLQAAIR -SIGRCLGQVPGLLLSILVSPVKFLYYLTTSPVATIKSIFSWLGSLFSSSKEELIKQETAELEEDKLWATT -IINAFSSDDVDEVLKVQMNNLILPEHVMPKHVYEYVGIVHEMERVISEDLYHHICLDDTVNSGGGSLNFN -FKRFSSKLEHFLTVCEYWAFRSIGCSNPKVYALVKNEQMKMRLTKLKILRDKLNYIDLDTEVTTHNKFFK -KLVNYFRKVWLKFLNRVDQIKLMTLPLSKCMSYRCSRIYRRITSDDFKNKLLELFFDGAISMFCTLISQA -YSMKFNPIKIVLSPILSTFLKYTCHLFLGTSDPIVPLIASSLIMGESEVSKNKLALVVGSYFQFKTGLCE -TFSRTNTFRGAVNDYIAKHNLFSITKYILKVLTTEFGIFCVCIIGFFNLNPQYAMLLVVALLVYQRFYSK -LVNISNVAISLNQSVSSLQPIGNLKKALKTVNQMKFKNDNITRPSTSEDDPPPPIRDVIVNKKDTKMVAI -ADSIDFIVDESDIAAKRMKYLAMRHKYITEKLQCHDAQVPFCPDEQLSQVKKESDVDIVTPKEYLCYQDD -LSALEENMDVLVKDRQSLEKYRLAFGVKTRVDMPTPNSLKKPEYKVRKPIWDLDEEFKTVPLDENCHEGF -DGTSQQQPNNGKGKCKIVELDAEEPVRLSNPPITDPSAGSSFTPRAENVQIAKGELPGSIVVPPKYRDVF -CDFLNNYPVSRSSSFVNGSDDVRNSILEFAHIETANLSNNLGKLERAIHLYGLGHVELRALQVQVNDSEL -YFYPGFGEWRVLSPAAYTAPKAVNYCVDLEKVLQTFPRDGHKVLFSSKDFEVGYSNMKLKSLDRFKFNFS -PISNDRLVQCELINKPPGSGKTTEICDRMVNMINSNRTVLALTVTRVGKAELIAKLKEKNVPRCDSLVKT -LDGFLVSKRVCAVEHLFVDECYMAHSGEILAVISMIDSRRISLYGDVNQIPYICRLPHFQARYANTVFKR -MTPRYDAISYRCPADVCMILSTTKDSTGRLIYPNGVKAVKNERLRTMNNVPIHGIESIPKDDDATYVTFT -VSERDELNKFFKWSKVKTANEIQGGTFSKVYLVRLRTYNNPLYEDVNQFVTSISRHTETFNYYVPYNVMG -DKVSAITSGLNNIADHVIAQFGFRQCV - ->YP_009666138.1 RNA-dependent RNA polymerase [Cordyline virus 2] -MWKITPFHSLGSSSAFDVYAWESSPLIFPTLVSRPPLTHFYDVNEFMCLTFRNLTAYDFVHRTMEFEFNN -IELPILENLKMRHSKSKELRGVECILPKVYGKGERSRPNTIRQVLYSLSNRNFAAPDINQDHSVTDSANR -LFRGFMNCLDVRKLLSFNEVVESDLNRIDKWLSTRDARKYKALLGCLNYNPWTENITNLKLMVKGELKPK -TDCSGFGKYAPTANIVYYEHVINMFFSPIFLEIFSRISYSLRSNVVIYSGMNLDELANVIQSRLRFPLDS -YHCCEIDFSKFDKSQGVIMKVYEEIVYKLFKFSPNVYDNFKISEYFVNARASCGVNVDLFAQRKTGSPNT -FLSNSIVTLGLLSNYYNFDDFDLILVSGDDSLLLSRNPIANNTFLMNKDFGMEAKFLDHPTTYFCSKFLI -NDGKNIKILPDPVRFFEKLSVPVLASNLENTSLLRERFVSYRDLMKDFFSESNIVKIDMLMQIKYGIPYF -SSYSALSNIHILLSSFKNFLKIFEGGDRCVI - ->YP_009666137.1 polyprotein, partial [Cordyline virus 2] -THTLPELCRIPEAKVYNGRSFSFYANSFCWVKAFAAQNKKIPTGLSFMPLVRVAVLLSFGLAPSFLRHVT -RTGNNLLHFDGTYNNNKHIDVYKYFVGATTFNNTGNDEGENYDIIVDEMMKKVFDKCAAKSDNVTLSNVL -GRCSQKMNKWFDRVPNIKVNVCLNSKQKRHMSELFPDLKIEYIDHGYSSHALFTAVREASNYVLFPKVGF -RNFIDFGGNVFTHSLANNSDIHICAPCVDVRDAKRHTDVALSLERSLGLFDQINVCTNKAQDCCVIKDRA -IAVEVYDMTLNDMADAMIAHGCKKLSFSLLLPGELLDDFDEIRLFDNTCKIVRNANVVSYFYGSSAESYD -HNLNDLREIMTNQVVVRKGKIFKKTLEKSRGPFRFYSLVLCSTMPSGLHELKTIYDCSQSSKVTVRVPVS -DINGRITQHDIMIDKSFVVNMVEYAANCVDNFNRKGFEYIMSHYRSRKSYVIYNGQVINEAVNIAKNLPG -LLAVMLSEGLRTAERTNFLARMVYYQYYAPSLLRCIIQGLTNLFFGFKSYCYQSSISILKILFGDWIVKA -YENSGSQIRYINESIEVTQVIRISTSGDYVDILDTTFQKSVKACGDMAEFFSRYDEEYDSSSDTISEAVN -AGGGKPSNGLSKGEKFLKSPCLKMIYLRLCDLLCSKLKIFSYCSLNNLKKILDPSQNLLSFIKTLIETNL -NDLSLSPVSEVNNFISGCLLNVKTGIILGLKYIFNEIISKGFDWFRKILLQAYQHLKSLMIRVGTRFCLS -YIDPLIDNDEIAIFENIKSESQSMSHCDRELMLEGSPLGNGCDGFDDITHILQDLDDLICSGGGFAGISF -SKIIIKSLEKLKCIVIQSLSKSLTFAKLILTKVRQAICSLKTFVASGAHSLPTLKNFFKNEEELDYILVD -SSDEDESIDDSTTYFTPDEESKEELLEKFLFNSTSKRDDSYALRLLRRVLTFSEKYLPSCFTRILDEIIS -VVEVNLSILAFEKTFMNKQMNRENSRIRRCLSHLRNAVKFFLLTGNLGFQTLYTVLNKLLSSMVNKVRLF -LYRPEVKQILFENIFETAVVYTSALALSLFGLSFSVTKLALIPPVYLISKKAFKYYFKTEEPLIPMVYTT -LMGANLPVSLASTAISTASVMISTAKLITYCENSNLCKTQLREVVAKHTIYSHARFIESVFSNRWFALIF -ISLIHLIVRSANLTMAIIVLCFASKFYGKFIIYSANVANLSIALNQDIRDLNLTGNLRKKIYEFSKRKFK -TSNITTDKNDVNIQSEGFMADCPENLKTDEKVGVKQPVRHSVIIEELGDNENVRQDSTYTFGSSSQVNNQ -LYNKNKLVNFEAKMIPTNKSRVCKELLAYPDKNHFTFIQTSHDLVNSLREYIYLERTTLLNNIRKIERAA -DFYRSGVKRVKDLEIILDDRSIYTYNGVGDWVSLSTKTKRSISDVRFYITPESEIRDSKDLMSYGCFTTD -DLAAGYTNNRLIALDAEDVENSFIDYDILNKVVLTNKPPGSGKTTAVVDKIIEDISHGGHVLALSVTNVG -KEEILSKLKQRGVSRSGLVMTVDGFIMSNMKYRVNTLLIDECFMTHCGSIIHIFKNIIFDKCFLYGDVNQ -IPYICRLPHTVVNFSQVVFDRVNKEYDCFTYRCPLDVCYILSNLTNEIGEKIYKKGVFSKKNLTLRSLDV -VGINTFEEIPFKKGDIVMTFTQAEKNEISKFNNTLTVKTVNEIQGATYSKVKLVRTKVYANEIYDDINQI -VTAISRHTDMLVYYTPYACLNDKVSSIIKDTNNVEDYAISQFGFKQRV - ->YP_009664824.1 RNA-dependent RNA polymerase [Cordyline virus 4] -MDLIFPNMTTYDFCYRTASFEFENMELPIIENVKLARDRSKVYSPLPCTLPKIFGKGERNRPNTWKQVLI -SLSNRNFAAPDINKDHDTVTSCNILFKGFLKFMDQRKISEYFDVIESDLNKIDEWLTSRDTRKYKNILTS -VNHESWISSLNSMKLMVKGELKPKLDNTVLRKYSPPANIVYYEHIVNMYFSPIFLEIFHRISYCLRPNCV -IYSGMNLDELGDAIKSRLRFPVDYYYCCEIDFSKFDKSQGIIVKMYEEVVYKFFKFSPNMYDNFKMTEYF -SKVRSTCGVNVDLFAQRRTGITTLGILGNYYDLNDFDLVLVAGDDSLLLSRCPIENVTSRINKDFGMEAK -FLEQPTSYFCSKFIIELDGKIKVIPDPVRFFEKLSVPIPDDFASNGAVLRERFISYRDLLKEYFCENNIV -EIDKKISLKYKTPYFSSYDSCAYIHNLLSSYKNFLKIFLDGDKVLI - ->YP_009664823.1 polyprotein, partial [Cordyline virus 4] -INDEDSGRKMFNATLCESGFYVDSYCRTASGKILGSYSSGFCWLDAFYYVGKTIPRGFRFMPLVRLSTLF -RFGLNKKILRHVKRTSSNLLHFDPSYENQRWVDDFNQFVGSRNNTDQEANDINDFNLVVKEYIEKTIEKN -SVKSDNVALNNILSRGSNIINSWFDREDDCSVNFKMGNKEKKIVTDLFPELKIKFLDRSFSSHPIYSAVR -HLSNFVFSKRLNSRSFLDFGGSIIAHCSNLNSDVHICSPIVDVRDDKRHMDQSLQLNRLFGANEGITLCC -NRAENCDVKYDNAIAVEVYDMSLEVMAKAMLSHNCKRLDFSMLLPGEILLDFNEISLFNDSCRIVNESGK -AKYFYGDAAESYDHSLEILRDIMRLQVIAVDGHIFKKTLEYSRGPFRFYSLCICQTFNSGISTFTTRYDC -YESRKVAIRVPVSDIHGLISYDEIVVDKSFYINMVEYAANCIDNFNRKGYEHIMSHYRSRKSYTIYNGQV -INEAVDIAPSFLPGFIAVVLAEGIRTAEKSNYLSRFVYYQCYAPTVLRCIYTGILNVYLRIKLRCYELTI -SLLKSIFGDWVVDLYSKCGSRVTFYKEEVECRQTVNIKASGDYNDVLEQSYESYLRRSEELNDVFEDKSK -ARSAVLQDLDETCSLGSGGGSASPIFEKIFTISFRYLLKLNKCKKYTMDKLVCVTKNLSETIYGLYENIS -NVEFIDFLKRAGKKSFDASKVFLRSKSIHGFIRFVAESLLTGCNNFVILCKAFKEYATSNVRKLSNKFEE -NVVMTMSKFFSIDEMLCVFEECELDFGEEVKGVEPDTPNERLIVLEEPNCKTESIEDENPTEESDAEIAN -RLADAISIGGGSSGTGFPFHHIVQKLNCRSLMVIVRRINLVFGKLLMKIKKFLKLGGLCFSVCFPKTAKY -VRDVVNRFVELNHFYSNLYSTLYTDRPSLILYNNNSSLYTKFQLSRNFMEFYLNRIHGLFESALVTSTSV -MNDGMRFICIVVLSDQNTQFIFEYTIDVIVVLTTVTTSGLLIGGLSKGALIGAFVSYPFVSLWFKKFLKF -REPIFPIVSTSLISLCSKFGLPSIILITATALFSKRRILEILDNKNIVPKHTTDYIAKHNLFVVTRAMSD -LISNKLFFWVMIVITYSFSNSFCCYLFVAYLVYSANTFSNFFSTFVNFRNLSIVYNEKISVMSPIGRLRN -KIRELNFMKIKNTNIKGKKLEAPDENFHGFESDDDELDVDVSKSTWNFEKTEDFEQLCKETTSNFNSTFI -NVPPCPPVSDARSKTFQSSVTDQIYEHNKLFFPNIVCDENIKGEVCADLASCHVSVPDSFIQTTNNLLNS -IREFLYIERLNLRLNIAKLEKVVGLYNSSDKSFKNLTLKMDDRTVYMFTKEKGWRSLSNIPGNKLTQVVF -SVNEQNILSDFNPGKDEVAFTTEDLAGNYVNKRLIGLERNILNIDTSFALVDKHVKLINKPPGSGKTTSI -VTKMKQAIDKNRSTLAITVTKVGKKEIITKLSNFGIRDCNKFCITLDSFIMNDKLVNVDELYIDECFMAH -SGALIYCLNRSKFKFCEFYGDVNQIPYICRIPHFSAIYQKEIFGHLILEFDNSSYRCPADTCYLLSTLTD -DCGTAIYPNGVYAKRNTRVRTMELKPINGCEDIAFSKDTQYITFTHNEKNELLRINPNLRVCTVNESQGG -TFKVVALVRTKTYANEIYSDINQIVTAISRHTDQFTYYNQYSTLNDKVSGMINGLNSVADFVISQFGFKQ -QV - ->YP_009664814.1 RNA-dependent RNA polymerase [Cordyline virus 3] -MIESHQKFRRTEILVITLSPNLGLDSAFDTYFWQDSSLIFPSYSSRPSLSHVESVNEFLGVCFPNYTGYD -FLYRTLFFESGNLELPILENLRFNPNKTKQYEPRSCILSKIFGKGERPRPDTFKQILLSLSNRNFASPEI -HRNIDVGDAVNRLFKSFLNALNPAKVLEFDDCIEPDLNKIDDWLTTRDGRKYKNILNSIDYNPWANKVDR -LKLMIKGEVKPKLDTSCYKKYSPAANIVYYEHIINMYFSPIFLEIFHRVSYSLRSNVVIYSGMNLSELGD -AIKSRIKFPLDSYHTCEIDFSKFDKSQGVIIKLYEEIVHKMFRFSPNEYDNFKASEYFVKATSHSGVIVD -LFAQRRTGSPNTFLSNCLTTLSILGNYYNFDDFDLILISGDDSLLLSRSPIENYSTKMNQDFGMEAKFLD -NPTTYFCSKFIVEFDGHIRILPDPVRFFEKLSVPILEGNLVNDGLLRERFISYRDLLQDYFDESLINLVD -LKLNKKYSTPIGSSYSALCYIHCVLSSFTNFRKLFESGYKCLI - ->YP_009664813.1 polyprotein, partial [Cordyline virus 3] -LLKHCGLGQNNEFSFIFSSVLVQENLNKSVITLYNKGSDPITMSFNNFDLSEKYFLAFNQSKKKKNKTFL -SFLSLYIPSRLFYALYSAFSVKQIGAPITGRRKKDKFLKNPSFSRSLLEGDHVRKTLKVHRRGSKCHDHS -LFKKREKYYSPFITTALSKLGLVLSKSNVKFFKRLARSAFGSTYCSFKKIPRDFVYLNKKNSFENFIEKL -LSKSTNTQSSNKADFLGAAIKNKIRKTSRMGENVHVYFDFEHDKSEFFLTGYHSQSVDGTPLFKLWGKGY -DGLKKNKRDFYISGHWVGGLTVFIETNVNYWFYQPKTPVEKFVTKYILSNYASDNVVADFLNFNFNKVLT -FEKGEYHTLLRFFKAEANTLRSKMWKRQADQQATQVKNSAECDGEPESKRENPVDAVQDGLRDQEKIIYF -GPFKTMLNEKPSPVVGTIPQGVRNSEKPALEDKPTAEKVEKKIPSGKPEARTANKVTIFSKKIKYFGSIE -KEVVDGKVVKYTMKKANGPTYSFLNDTDAVRKMLNFSLIGGKYYIDVDARTSCGKPFGSYSSGFCWMDAF -AYNNRTIPKSLRVVPIVRISVLLKYGLGRGFLKHVKKVGNNMYHFDERIINMTFIDVDKNFLGMTSQNNH -DFQDLNDLDVLVENYLNKMLEKNTVKSDNVTLNNILSRASNRINQWYDRAHDFGVRVRLSIKEKKILTDL -FPELKIEFMDRTYSSHALFTAVRELSNYHFSKINNFGGFIDFGGNVLTHVSLVNHDVHVCNPIVDVRDSK -RHVDLALRLQRVMIDNDNITLCTNKAQDCFYKSDRAIAVEVYDMSLRDMAKAMISHECKRLDFCLLLPGE -ILVDFTEIQLFNGSCVIKRENDFVNYFYGDSAESYTHDLKNLRSIMTDQIVFLDGKVFKKTLENSRGPFR -YYSLVVCQCYPSGVHDFKTIYDFYEKQKLTVRVPVSNRCGMVEYHDVVIDKAFLINMIEYAANCVDNFNR -KGFEYIMSHYRSRKSYTIYNGQVINEAVNLDINYLPGLLAVILAEGLRLSEKTNFLARFVYYQHYAPSIF -KSIILGLNNLFIRLKLSCIDLVMKLTGKILGDWAVSAYRSSGSRINIITTSIEVTQKIFVRGEGDYVDVL -NTSFKDLITRTDDFELELNGNKDTFNDVHDNLASCADLGGGDATVLNKFYTIFETTFNLLMSLRVVFSKG -LMKVGVLALKLSEIIFFIITRNKIVMDFVVNNVFCVSFKNFVKNLKENFCVSALYNLLKHVLAFSKQKFL -NFVMILYKNFRDLISILHESLLDLVGQVLFNDVDVGGFIAQLLEENYSVETPNDDALNEDVETLVEREPE -TEYETLSKPNDINQSLTSVLCVGGGGDDLYVVKVFKELLLVMPKAFGSNDDFLQFVVKTISFIRCFPNIT -RKFLKSSVKNFLDFLKTSFGIILSPLTVTLLPMLKYSFSFIIRKVGILKRYIPSFIPSYISSVTESYKFL -RNHSLVQSKHLNTGLKFVDTPYNFLLETIVSSRIVCEVLFVDGCRLVNGFIRFYVRIKNDDGLQQSCFNF -IIEVSTSILSSFILSIFFVRFPSKRLMFSPFYYFGLKTFCERYLNFKEPILPVVLTTLFTNNVQPTLPSV -VLTTAASLTVKPKLLQYLGKDKDPNHLANNYIANHYLFSFTRMICNMFSDKYLLILIPIIFLLSDAKSSF -LLCLTMIYFSCDTYKNFLNGIVLFKNLSIAMGENFSFCTPIGRLAKKVNELRNKKMKNDNITRKDKEVDY -PTEETKSEEDTFVNEVIRKFNERGNQGDSTQPPEPTRVSSCEINEEMPRYTSCEDDVNRNYKSPIQSLYE -KKKTSNVLMRVSDDVKQDSCSLLRFYPNKSEVEFLQVEDNILNSLIEFVYFENKTLLTNLRKIERVISLY -NAGYKSQKDLSRFVNDRTIHMFEPIFGWTTLFSSKTEAINDVYNSATSDNVLIKHSPRESSVCFTTDDLA -AGFSNKKLKAIEMRFAGCDFKNILDLNKIKVVNKPPGSGKTTTICDKMVQIIKRKSSVIALSITKVGRKE -IISKLKTLGIHNASKICFTLDGALINNVDVNVAHIFIDECYMEHSGAIVAILSRSKFDVCELFGDVNQIP -YICRIPFETSSLSQEIFKNCETEFDNGSFRCPLDVCYTLSNLVSNDGSRLYPNGVYSKKNKLVRTMDVCP -INGPEDIQFSKDCQYICFTQNEKNELNRHFKITTVKTVNEIQGSTFEKVALVRTKIFANEIYNDVNQFVT -AISRHTKDFRYYVPFSCLNDRVSSEISKNRNISDYVISQFGFRQCV - ->YP_009507961.1 ORF1b, partial [Tetterwort vein chlorosis virus] -DTYTWVVDVQTHGQTFSRPSASHLQSINDFMSLVNTNLSAYDYIHRTLLFEYHDFDLPHLDDVDISLDKS -KTYLPGDYIVSNLLGKGERARPNTWKQALISLSKRNFSAPRVNEKMDILATAERLCAGVFKAFNFSKLLE -NYDPVLPDIFKIDDWFGSRDGNKFGRIKRSMNHTLMVDQFQPMKFMIKGDMKPKMDTSSYATYDPPSNII -YYQHIINVYYSPLFLEIFDRITYCLSHKVILYSGMNLQDLASLISSQLKMPLDYYHTTEIDFRMFDKSQG -VLFKTYEELIYKCFKFSEDLYENIKMTEYFTRFKGENGVSGDLGAQRRTGSPNTWLSNTLVTMGILLSQY -DLDDIELILISGDDSLIFSKNPLPNVTAEINRDYGFEAKMMMNSVPYFCSKFILQDGGKLKVVPDAQRMF -EKLSTPIRLRDFEEGTVLRERFTSYKDLMVEYDSDTTCLLVDSLMAKRHGIPPMSSYAALCFIHCMFANV -VAFKRLFDDRFSLNI - ->YP_009507960.1 ORF1a polyprotein [Tetterwort vein chlorosis virus] -MASTIVSRTHPLLPRGMAIPPCGVAYFLKCVPSYWRTSIKQNKQNYKKSKNLKTNKTRRVTQTKLSRTTP -AAPFATHNIVLKRSFKERLVYPGINPVNVKILDNGNAVFIPISRVDVNRRLNVLRQLCNIPHCTFKRLPR -AVVYKLGYNVDKINETIDDFLNREVGALPSETVEPDTVIQGKTRFNDGYKLFHFISKSYLDIYFLFNNYC -DSTSHLKFRMQYKQRPDGTIAGTKVSTLIHGIAAGSHLYCDDFNLKARLDNRQFQAKMILLSILDRIPDY -AEKFQVYLGAEFRKRVPRARDIIFATGRRMEEAGKRRMRNSAVGAEPKRVSTTDTKKTTVQVIWSADKRQ -REFFVVKIEGKEDIKIYNNKFCIANMYNATLNKGKFFIHPKCYTPFLTRFGEHTREYCWINAFALANMQM -PRELVPYPTLKYGYLLGCGLGKVLRGRVMVIGPNLCHFDKSFNIKNATIPYFMSMGVKLENDVDGWSKNI -EVLFDDISAGIITQTNLRSENRLMDTITARLSDRINQQCSKKKDLIISTCLSSKDKKELAEIFPEINFDF -TDSNFSSHALATAMRHSENYLMAKRHNFNSFVDIGGDVVHYLHELVSNVHVCSPVVDIKDAHRHMTRSNQ -LDRMKGMNESITLCDNLAQNCCIEMQNLIAVQVYDMTLVDMAKALQSHKSKRLDFSVIIPPEIVEDDCDV -SIFSDSVRVTCEGERVRYDYGDAGESYYHDRDNLIDILKTQIFEVNGVVYKKTLECSRKQLHFFSVVPCL -DIANGKYKVTSHYSKSETDKLLVRVPVENEDGEKEHIKIKMDKAAFHHLVEYSMNTVLRLDEKAFEYLLS -QYRARKSISIKGGRVTQMSGDLPPKVVSGFIGAVIGYGLRLREHAHKSAKLSYHEFYTPSIYRILCKIIA -RILQRLYNWTHDKLISVMKCCLNKDFFNEVTVNQCGVYEFQGEYSFTQHVNITGQDGNSRILSSSFERFK -KYNETMYDNLDAITADNQQLFSKDPNEILSELFELGGGRTNDRFIKKGCVYISELMYIKIHKFIFNCTKS -LKSAKTYTNFICGAFEFFKRHSISIVGFVKDFLVSLFRSITSGVKQFSKGQFDAVKSAALATKDFLKNLN -ADWEEAVNVALKKERTDSYTNPSDISKSEGSSVESHEEMQRTVEEDLASLGGGGRTIVDRGINWCRRTKF -FSNLLRSIKSKLLNLLRDISKFFSNMRINARWLKNRLYSMIERLFDEENFSVVISGVCFTVTSLLFMVLT -GGYNPLRFFIALFFLMSVRIFGYEKAITGGGIGTSIICHSLAGFGWLGPMTIPLKAVVFKCLESRLKRYV -RRFKCLERTSDLMVAKDVLSRRYYNFLTIQNVRLLCIFLICRATIPPRFSLVMLMIVIGCYDYAQYLSTY -CVKANVFISYQSRLMRTTPSKRYKVLKEIFVSKFNRNNIKVEEEKVEDSDVDFASSAQMMKVGSRMRDSD -VEVEFDYDGSYVEKSKIHNTWGSECSTSKAADRVDGLIFSTTRPTEDRTQINCVVHFPISHTMLDYPMTN -TFDFNPTGVDEIDCVCEFYYLEAKKLHTEIGKLDNAVRICLENQLQEKRTRDVVWHLRNMCDDASLYVSN -GGDEWYRLKKGDKGSCVIEGQCKITLDNALVDFNTRTTGLQLCSDEMIGLFANKKCLALEQIIKRNRDKF -TDVRNRDVVFFNKPPGAGKTTTIVRNMLDDVKARVTSIALTYTSNGKKEIIDKLKKQGVPNAQTMVFTYD -SVLVNGTEAVVDKIYCDEIFMVHAGEWIAIMSLFKTKFVRCYGDRNQIPFINRVPHTLCVRHKDIYLTFK -TIDDNVSYRCPVDVCHLLSTLTDAKGDLLYPKGVYAAGENRKILRSIEVEPFNSIDELDHDFEGKSITFT -RPEREDVNVSCQKMTRKQISVQTVHEVQGGTFPKVYLYRLRKYDNPLYEDINQFVVSISRHTELMRYRVL -SDKMFDKISTSIGALNKVQDYVLKEFMFKQRV - ->YP_009507950.1 polyprotein 1a/1b [Diodia vein chlorosis virus] -MSIKKTLMRSFIPTADIEFSSLLNKLIQVESNKLDRTRLDILRESFNLPFCRFSKLPVPIINKFGRDFQA -ISNFVDDRLSTRIGMTNNVQQDVLEEVSGKTYWGDGYKVRAWRNPLMIDVYISFGRYYNLDCDFKFRLKF -IKNKKSKRILTFVDTYICGDNGYSEPYCQQFRLFDDLDIKKFRVQCLLLSVVEKIDSFIQVTNYGINMCF -RQPMTDFRGKLMNELKGFNKKVVKIKESKQPLIIFGSVPVDILESEKKGSTSETKIGADLNKNSTTRSYR -DVVVKSKPVERKTPSPDKDAGKKVSEKSVAVKSNVAKVANKKAADPFCDVRFHTDNEGKEYFTVRYRDGK -TKYINNGCTAVVDMFNATLGEGQYTIHPKCLTPSGRRFASYPNSYCWLDAFCLAKKKIPAECVPYPSLTY -GYLLRCGLAKVLRGHVTLHGNGYGHFDARQYYKYSKPHASLKVGVKLEDGINVNVKNADVFFDDIFASVL -NQPNLRTENDVMSNVMRRLSHKINESLERKKDINISVCLSTNEKKMLSDIFPELCLNFSESSHSSHPLFT -AMRDCENYHFAKKMKFRDYIDAGGNICDVVRKNLKDVHVCSPVVDVKDSQRMMARSNMLDKTSGFDESVT -MCTNLCQECHHESQNIIAVQVYDMTLMDMGKAILSHKAKRFDFSVIIPPEIIEEQCQVKMFGDSLIVKSD -GRKCTYYYGSSGECYEHDVENLRQILSTQIFSVDGVLFRKTLEDSRGGLHFFSVVPCLGMKNGKYTLKSF -YPKTEMDKVLMLVPVKNKFGIVENVRVKTDRSIVYHLLEYVMNTAQRIDDKSYEYLMSQFRARKSITIKG -GKVIQEPFELPLESYPGYLGIILGEGLRLREKTLSMAKMSYWKHYLPTIYRIIISFLHKMLSKSKELMYG -YALKGLRLVMSDEFIEDLTNGDRRIFDVKETYEFVQEVNIVGQEGECYVINESFSRFIHESKQNIEKLDE -PISRLEDYLEEDDLDRVKEMALSGGGSFDVLSNTGYRYYEKIYNMISLVCIDKEKVHKISGVVSMVFFYL -VKCGKSALSQIKDVLKKLISMLFSGVKGIGESFKNFIKGIKDIMKKKIIEKNDALFDEFLKAFENYESDD -IIGNGKSVDLINTTGVEEEKLEIDTDGNSGDVYNLNKEITLSGGGCKFCITLDGWTFWLKEKFYTSYFYQ -PDLLKKLKEKLAKFLDFLLTTNLLVEEIKEVFVEYKNKFIQWVLSEDCVSITIDTLSFSFVNFVIHLLTN -QSITLRQILCNIIFVAIRSSGLGRKYLGPVFVTAQVANFISLVNPANLTAYLIRSLGMQMTSHFLKKKAQ -YIECLKPVATDIIAKDILGIKWYDKVSYKKLRSVSYVLLAMALFYPRAVIILSLITLIIYENKIYLDSCV -IQANVKLAFSSVLSKVNRSNRLQALKQIAAKKFSGSKYFVDNDDKEGCQIAGDVERDNQNDDEPVVEFDY -DGSYLEKETPKSKRLIDFIQEGLPEKINWADEAEEAENRENMTGLNFSILEPTINRRFKVSNVDCKLSNV -LLTYPHSYNYSPRVTGDMNKDAITEFYYLEGRKLNTEMGKIDNVIGKFECLCGKLKTFKQVVWDLRNYID -DRTLYVSDDGVKWFRLYNSEEPPVTLEGKCKFDEKCVLKNFNTEIDGFQVTSDEFLGLYTKNRCVALEKF -CNDLGEFTVIDQERDIKFFNKPPGAGKTTTIVKSILMDVEKRQNPLALTCTSAGKNEIIDKLREKGLRNG -ANYVKTYDAFMMRMSEVRLDKVYCDEIFMVHAGQWMACLNLMKCDVIRCYGDKNQIPFINRVPNSVCKFS -YEPYESYKIFHDNISYRCPVDVCYLLSSLQTPDGKKLYPNGVYPAGKNKNVLRSMQVEPIHSVNDLKPVD -GVKTIAFTQFEKDDVSKRLSSKSNESRTCNTVHEVQGGTFPKVDLVRIRQYDNPVYNSINQFIVSVSRHT -EKLNYKVLNNKMNDFTGEKISALNTVADYVIKEHHFKQCVDIIEMTVDEIIFPKTFSRPSSSHQKAINDF -MMLINPELSAYNYINRTLLFEYEQYELPLVGEVSVKLSKSKPYSPGLYIIPDILGKGERSRPNTWKQVML -SLSHRNFSAPRINENCDTLKSAEILAKSIMKAFDFSKLAENFDSILPDIWSISKWISDREPEKVRKLKRS -FSHELMVSQFNHMKLMVKGDMKPKMDTSSYTTYSPPANIIYYEHVINMFYSPMFLRIFDRIVYCLNSKVV -MYSGMNLETLASLVQAKLPLPIDQYKTTEIDFSKFDKSQGVIFKMYEELIYKFFKFDSDTYESMKFSEYF -CRARSQCGVTTELPAQRRTGSPNTWLSNTIVTMAMLLSQYDLDDIDLLLVSGDDSLIFSRKDLGNKANEI -NRDFGMEAKFITNSVPYFCSKYIISDRGEIKVLPDPVRFFEKLSVPMPLADYEGMTMLRERFTSFKDLMQ -EYNNDTVCILVDNLISMRYGTPRMASYAALCYIHCLCSNVLAYKKIYNDSFTVEI - ->YP_009506344.1 RdRp [Cordyline virus 1] -MISSSHNSILNSAFDTYLWESSPLRFPTIYARPPLTHFYDVSDYMCIVFRNISAYDFVHRTMEFEFNNIE -LPILDNLKIKPSKNKDFKGVACVIPKILGKGERSRPNTIRQALISLSNRNFAAPDINHDHDLVQSANILF -KGFLKCLNMQKVLEFDDVVESDLNKIDKWLSTRDTRKYNALLASLNYEPWNKDISNLKLMIKGELKPKTD -TSGYIKYAQPANIVYYQHVINMFFSPIFLEIFSRISYCLRPNVVIFSGMNLDELAEVIQTRLRFPIDSYY -CCEIDFSKFDKSQGVIMKMYEEIVYKFFKFSPNVYDNFKISEYFVKARSASGVKVDLFAQRRTGSPNTFL -SNSIVTLGLISNYYDLDDFDLVLVSGDDSLLLSRKPIPNQTNFMNKDFGMEAKYLDHPTTYFCSKFIFND -GENIKILPDPVRFFEKLSVPILEENLENQSLLRERFISYRDLMKEYFCESYIVKIDLLLMKKYGIPYFSS -YSASSNIHILLSSFKNLLKVFEGGDRCMI - ->YP_009506343.1 ORF1a [Cordyline virus 1] -MAFRSSPLIKRKQTKQTNHNQTSLNHRKRVKKMKNLRKTKEYKISKINNKITSITSALIEQRRRVYIHED -TPLFDPPKSDKDWEIFSRFNMVHYTDMGHNFSFVGDEHYYVIMPPATDGHYVNNVTTVNDSTLKVLKFRK -ARQCFSLKAVLNRIGLELTKPQIKYLKKNLAVKLGYSHCSLKFLPKNVVYTYKRHTDNHILNLCAQGRND -NKVQCGRTYLGAGAHKATNLKINFMYNHQAEYKDNEDATMADVRFLNTIKGITKCSLIVKLTHRFRKDNS -YLGLSINAHYPAVGQTHKALDLEYLFYNPTTPIQTLTTKFVLAGLGYNDHTAWFWNYYPSKRFFFAKGEY -NALREVLDKTTRITQTTLKSTKVAHNEGTTKAVATKNPPVVKEVPKIKTSATVQNRADTNRPKVKPAVVV -NTTIPTNKPTPIAITKVDKPSFIQFGSLPSTHSFAVKEQAVKQPAQKPKPQSKPTSVNSTAQLVKPPLAK -EQPKVAQKGWVNVTRRKVVTQPQPQKVEPVKKVEQQPVRDGVELFKTKQGMLFKTFRSGGKIVKFVFRLS -DESEYTILNDNDAARKMYNLTIKNKAFYIHPECKVYNGRPFAFYKDAFCWVKAFASQNKKIPNNLQFMPM -IRVSVLISFGLSPVFLNNVVITGKSLLHFDMKVKNTKHINCHNYFLGAETTTIPNADSHENYDVVLDNML -KKIFDKCSAKSDNATLNNILSRCSQKLNCWFDRTPNLSLSVCLSVKQKKFFSELFPELKIDYLDKTFSSH -ALFTAVREASNYTYFKEMEFKNFLDIGGNLFTHVRAGTTDVHICTPCVDVRDSKRHMDVALSLDRKLGEF -DSYDICTNKAQDCHVSYDRAIAVEVYDMSLADMADAMIAHDCKRLNFSLLLPGELLEDFSTIYLFDQSCK -ITKDGGVVSYYYGNSAESYDHSLEKLRDIMINQVVIRSGKIFKKTLEKSRGPFRYYPLVLCSTMPSGIQK -FKSLYDCYQSNKVIVKVPISDINGRITTQEIMINKSFVVNMVEYAANCVDNFNRKGFEYVMSHYRSRKSY -VIYNGQVMNEAVNIDLKYLPGLLAVMLSEGLRMAERTNYLARLVYYQYYAPTLLRCVLQGLSKLFFKVKN -YCYQYTLETMRYLFGDWVVEAYKSSGSQIRYVSECIEVYQTIELNTSGTFVDILDTTFERSVKDCGDMAD -VLKDNFPEMKDDDDLVNEALFSGGGKLTKTNALYFLYIKMVNFLCFKVKMFNVNNRHHIERILKFSSKIL -DFFSIVYQVTIADPMWKVSNNLAIFFKKCVDAIRGGIRGVTNFIFDEVVSRGFTLFKNTLKQCRDYILDT -IMRVGLKVIMSRFDPISELDECVNILDDVKVNSNEKTTVINNMDDFDDITHILNDIDELMTSGGGRRNIK -VFQLIQETLKFFRDCLLKSLTKFAKALAKYVYLCVKAAVASLTSFLKSPFDRLSDLKNVINVEDELRFSL -KDDSSDESSTSDDESQQSEGILTRHERLCIFLATEKLEPSGSRLLRMLRKFLSIARKFLPNCFMKTIEEI -LALVETNLIILRFEKSELQNGIDKSHCKIVLYLLKLKNAVVMMFKTGKVCFETLFIVFNKLVNILFRNFV -KYFSKPELKQILFNNTFELAITGVSAISLAVLGFNINVVRIIAIPPVYFLTKRAFAWFYKTEEPPIPMIY -TTMMGAGLSTSLPSALISAATVMVCKSRMVESCGRMNVFKDQSREFVARHSLYNHARFINSIFLNRWITC -FVFIVVYSFSSSLQLTFSVMVLVIASKYYNAFMERAISLANLSIALNEDVRNLSFTSGLRKKVRTLTMQK -FKNSNISNKNQGDLNVQRETEKKIESSTALKPEDFKSGKANSHVKLSEIEEEIPSNDNYDNARTSSNETT -NQLYQKNKMVSYEASVNIINKTKVCRDLLTYPNSSNFDFIQTSDFLVNALREYIFLEKKNLMLNIKKLER -VCDIYRSGTVKQKDIATLVDDRAVYMYQPSGLWFCLSNKIVKPLSEVKFFITADAEIKNSQKDIREGCFT -TDDFSIGYTNNRLFALEGEHLDKTYLSSDILSKITLINKPPGSGKTRAIVNTMVKLIDNKQSVLALSVTR -VGKDEIVEKSRAHGINRTDCVNTVDGFIMRNVKYCVSKLLIDECFMAHCGSIVHILKNVTFDSCDMYGDI -NQIPYICRIPHINVEYSKTLFEMVSVEHDNSTYRCPLDVCYTLSQITDAMGRKIYKGGVYSKLNTKVRSM -NCVGIHAFEEIPFKNGDIVMTFTQNEKNEIEKFNKTLFVKTINEIQGATYKDVKLVRSKVYADEIYDNVN -QIVTAISRHTDSLTYYTPYSCLNDKVSTMIKDTRNVNDFIISQFYFKQRV - ->YP_009140432.1 RNA-dependent RNA polymerase, partial [Areca palm velarivirus 1] -DRFELHLDATPLSLGKARPSLSNIYAVRDFMDLVFPQMPAYNFIHRTLSFEYGDFSIPFCEDLRVRESKS -KAYRPNTYLLPNLLGKGERSRPNTWRQVLLSLSNRNFAAPNINENLDVEHISKILFTAFLKCLRKDRSSE -YFESIVPDIHKIDEWLTTRDSRKYANVLKSLDTTPWPTLIQNMKLMVKGDIKPKLDVGHFGKYSPTANIV -YFEHMINMFFSPIFLEIFGRIKYCLNDNIILYCGLNLDDLSKLIKSKLRQPLDTYHFCELDFSKFDKSQG -QVIKFYEALVYKFFNFSGNEYDNFALSEFFCNATASCGVNVDLFCQRRTGSPNTWLSNTITTLAMLASVY -DFADFDCILVSGDDSLIISKDPIPNKSLDLNEMFGMECKYLPNPTPYFCSKFIIQVDEEIVIVPDLVRLY -EKLSNPISTFDKEHPTLLRERFTSYRDLLDAYFDDTTYLKMDSYLTKRYNIPEFSGYAAFCFVHVLFSSV -KNFTKLLYSDHEVLI - ->YP_009140431.1 polyprotein [Areca palm velarivirus 1] -MSNGRSYASGTGGLCWVNAFAYYNVKIPKTLPFVPKLFATQLIKAGLPVNFLRHCRVVSNNLLHFDNKYV -QNAKIQLHNVSVGAEQSNTHYYVNLTSKIRLLIKINIKTKTFSGCFQDISDATSRDFLHFSSRHLYSRNP -NYVRIALDCHLLRWMDKPSNKKYSYQFYNPNSLLSELITSFICHVALKNKLLRSILNYYPSRKFEVNQMK -AWQLNKIFLDTPIPTQQPTTRRLQQTPEPSGVQRFTQRRFQLGPNKYLLQTKFIGGRATEFRVFRNAETP -VIVKNQPGTARIFFNNTLINRQYFIPNEALMSNNKPYSSGKGGLCWVNAFAYFNKTIPKSLPFVPKLYAT -QLIVAGLPAQFLKSCRMVERNLLHFDSKIIHNKWYNLNNVVVGASSSEIDEDSLQCNYDFTMQDLVQKLL -LKTQPKADNLTFTAILNKAVEQLHDWTRRQTDVSISVCLSINQKKIVAELFPEFKISYLGVSYSSHSLFT -AVRELENYCIFNRFKGKSFIDFGGNVLSHYRMGCKDTHICCPIVDIKDSARAIDRTLQLNNTVLHDVGIT -LCDKLAQKCNAQADRGCMVEVYDMSFVDIAEAMISHGIKQFFFYICAPGELLSDFDTINLYNGACTIVRT -GDRVTYYYGGSTEGYTHNLTKLRELMSRHFIKINGHCFRKTLELSRGPFQLFSIVLVPSIPAGTYNFTTR -IANHQVSKILVKVPVTDKFGNITLTDLIVDKSLVINLVEYTANCIEGINKKGFEHLMSQFRSRKAFKIYN -NKVINEEVEIPLELLEGFLATIMATGMRINDKTCYLARFVYNTYYCPTIIKVILFGLKSVFSKFSQACYK -KIIALLRWLLGNWVVEYADINDGKFFDFNSSYVINEQVIITNKDEVQSSIVQAYEEALGRGKEFVAHADM -EDLTDLTSETCDMGGGRMKFYTDWDIRVLGQLFEHPLFPPVAHNLTDIIYKILMVSRVLTQMFKDLNISK -IFMKCCKDTFNQIWSFISCADILAWFKNLYVMCKTNVKKLVLFINKCYTDLRQYIRNLRISTFQTFFSKV -ESQMDEIDEMDSIDLAEFGKWLVLNHHDFDEFKSLSFDEQSMLISTFKLISEGQVGVAQDDEDTFEDIPL -DDDRKSVNIIDSNHPTSIFVIRTNSQLYNKFKLSAEDMEIIQSTVESGGGHKRTNFRKCLQIINADIYLL -TNSVIVFFGNKFKVLKTFFREGYLVIRDLFRFSDENLIRSTFYSLPEVYSNDHSLFKWYSMRHIYKNIIN -SSITIFINLSNVFKTYVKFLADKEVVQQIFDEVSLLKTSFCSTSLQMIMTGTLNLRTICFFPVAYSIARY -IGHKSSYSKSSPITDILTSAITTGSALSPNNLMLAGANSLIVKTRWMKFATSSEWFAELVQDSLASFILE -GPTKTILDWFLTQYGVTLLITILFLCGGNVAYGIYLLLAIKYFTTFYSKVVPLCNIAISTGCNINNLTTS -SAIKKACKIVQERKFGRNARPTGLKMQNNGKAEINDQTDDEFVTDNHSEHSEQPVDKQDHPRPHFERGES -SKTPTQRTVSEHLQPLAISTLNESEISVDLKDENLTPSEQLIKDRFTQSFRVLCKNPDIKRCTVFKHYPN -TITTVMQNSWEPLTQCFNEYLFIESQTILQHLGKVSTLANVIRQGFVTIPEIKKMLNDSSLYIKLPNSSW -ESLGRKQVFLKKDPVFCFSPDVTHTENYLDKDSILISTSEFEVGFANQRLVKMEAMLETTLMLPTADSVA -ELVAFNQPPGSGKTTNIAQHMVEAMRKNRLCLAVTATKVGCSELRNMLSKLLKVSRVNNVHTIDSFVLHG -QRRTVDLLLIDECYMAHSGQLCYLLANVTAMQIEFFGDRNQIPYTCRIDDFVARHASSLFKYVKIVDDDK -SYRCPADVCYLLSQLCDDQGNRLYSKGVYAAKNPRLRTMNVKEINGIADIEFDKDTTYITYTQAAKAELN -NAFNIDTVRTVNEIQGATRPHITLVRLDNFENPLYRDTHQFVTAISRHTETFTYLTTPVNVNDTVGGAIN -SLTTIADCVIAQFGFKQCV - ->YP_006522423.1 ORF 1a/1b fusion polyprotein [Cucurbit chlorotic yellows virus] -MAVTSPQTHPLLPRGCAVPPCGLAYFLRCVPRLWYASLTHPNKTKNNIKQNKKQLKQTRLGPVQTGGKVA -PPTSVYKVSFKRRLVSPGPNPVKIQILSNGNALLIPQPRSYYKTRLNVLRSMCNIPYCKFNRMPKAVISK -FGYDVNKINEIIDQTLNSKVGGTETPVRQLDETIQGATKSGDGYKIYNFNNKRVVEIFVCFNSYVKDIYM -CKFVLELKYNKNGRPVDVLVSLIKSYGKTPGQHFCSPFLLSSRLDTRQFSAKVLLASILDKVPDYSYFYG -LYLGKLFSQRLTVARSTVFAACQQYLNYMRSKVGSTVSSPVKSKVNKISRPIHKYSVMHFVTRTGFEYFV -IRFNDGREVRINNSRFAIKEMYNATLDRGSFYIHPKCYTPNWERFESCGNRYCWIPAFGKANMRMPRDLV -PYPEMNYGYLLQCGLEKVLAGRLMRVRDDYFHFDVNYNIKGSMVRYGSKIGVKLDNDFDGIIKNIEILYD -DISAGIISGSNLRSDNPLLNTITTRLSNQINDQCNKAKDLVIPTCMTSRQKRELSELFPEINFDFTESTF -STHALATAMRHAENYLLARKCGFRDFVDAGGDITHYLGKIVSGVHVCTPIVDVKDAHRHMTRSNKLDKMI -GVCEKADMCDKLTQNCRVEKPNIIAVQVYDMTLEDFARALVSHKAKRVDFTMIIPPEIYDEDCDVSLFDD -SIKVKCEGNKVQYSYGDSGEIYQHDRENLKRILTTQIFEVDGMIFKKTLETSRKQLHFYSVVECSDMMNG -KYITETHYTRSELDKLCIRVPVENEARVVEHMKIKMDKSCFHNLVEYAMNTVLRLDEKAFEYILSQYRAR -KSISIRGGKVTQVGADMHPKAVAGLIGAVAGYGLRLREQSHKSAKVAYSEYYTPSLFRMLCKIVSYVMKR -LCSWTHEFLNEVLKYVTPKYIYSEVTANQCGVYEYIGEYRFKQTVNIVGKRNERRILSDSYEKFKKYSEK -VYENLDTHTSDKEELFTQDIQKTLNDIFDLGGAGKSMPTPSVNIQMLPFNVYNKIYSLLLGWLKDDKRTA -RYTNYICGIYDYYKNFSHSTYDFVVNILKEIFLAIKNGVVNFSQKVAGNLKRAASTMKNLIKMKNTNWEK -DLDDMLKKTDEMFGVDTHEQRNDCSSVSNGGIYEGLDKLFDIGNEGGSGRVSSKIGVVCDKLILKANQCL -LNVKTFCTAFVESLISMSGRCAVKTKEFKVFVGKIVEQLMETSSLIRIVDGVAFTFVNLFMALVLGEINF -IRLFLATCTFLYLRHSKAGVKWVGNYVLGDAASALIANPGYAGLIMAPVTLIAGRVVGLELKSKCTRFIK -DEEKALNMVASEVNNKYYFDWLRPEIVKSLIGFSVILMLLAPRYGISMIIFFMLLNDYVKYLRSYCVRAN -IMLSYGSVLKRTIPSGRYKALKNIFVKKFDTSIFSAKKEEGVNGGDEDDNNDVICRVGMKPDDDDVEVEL -GYDGKEIVNESKHANWGSESNSTESPECVDGLNFSLLKPSTDRTQVNCSVHFSLSHALLDFPLTNYHDFV -PTGDDFIDCVSEFYHLEAKKLHDELGRVNNAVRVYFEHSLEKKSVGEAVWAMRNYFNDSSVFINLNGLHW -HRLGKGDKKVTNVEAMCKYTIDNDLMDFTQKYSGVVFTSDEFMGMFSNKRCLALESILRTNLNKVKAIRG -RDVVFYNKPPGAGKTTAIVSSMVADVKGGIISVALTHTASGKKEIVHKLKQQGVSAAQKMVYTYDSVLMA -NTEAKVDKVYCDEIFMVHAGEWLAVMSLFDTNTIRCFGDRNQIPFINRVPHTICRYHKDMYLSFPTEDDN -VSYRCPVDVCYLLSTLTDEAGNLLYPNGVYPAGSNRNVFRSMEVEPINSVHDITHDVNGKCISFTRPERE -EVDMSVQKSGINGMSVQTVHEVQGGTFPTVYLHRLRKYDNPLYENINQFVVSISRHTEKMKYRVITDKMH -DKIGERISAISTVQDYIMKEYMFKQRVSTYNLRVDHPKSASCFSRPPASHFQAINDFMNLINPNIGAYEY -IHRTLLFEFHDFELPYLEDVDVKMNKGKVYTPGEFIITNLLGKGERARPNTWKQALISLSKRNFSAPRVN -EKLDVLTTAEKLCQGLFRCFNFSKLCEHYDPVVPDMNKLGEWLASRDGSKFGKLKRSLNHTLLVDQFQPL -KFMIKADMKPKMDMSSYSTYDPPANIIYYQNVVNLFYSPLFLEIFDRITYCLSHKVIMYSGMNLDDLSDL -IAANLTLPLNCYHTTEIDFRMFDKSQGVVFKVYEEMVYKTFKFSEEMYDNFKFTEYFTRYKGDCGVSGEL -GAQRRTGSPNTWLSNTLVTLGILMAEYDLDDIELILVSGDDSLIFSKRPLPNVTSEINRDFGFEAKFIMN -SVPYFCSKFIYLDGGRVRVTPDAQRMFEKLSTPIRRRDFEEGTLLKERFTSYKDLMIDYMKDTTCLHVDH -LLSIRHNIPPMSSYAALSYIHCMFANMVAFRKLWDERFSVNI - ->YP_004935919.1 RdRp gene product, partial [Grapevine leafroll-associated virus 7] -DIYELCCDRVNFPTISSRPSLSNVYSVNEYMNLVFPTQSAYDFIHRTLSFEQTDFDIPVLDNAKVSMSSS -KDYVGKTYKLPLLCGKGERARPNTWRQVLISLSHRNFAAPQINEEHDQEFTSSVLFESLMNCMKLEKISE -CFDVVEPCLNKIDMWLTTRDSRRFKGILNNLSYDVWVEQVTNLKLMVKGDMKPKLDESHYDKYAPPSNIV -YYQQMINMFFSPVFLEIMGRIKYCLKDNIVLYGGMNLSELGSVIKSKLTDPLPSYNYTELDFSKFDKSQG -SIIKMYEEMVYKFFKFSPNTYDNFKLSEYFCQASSNCGVKVDLFSSRRTGSPNTWLSNTLCTLAILSATY -QLEDYDLILVSGDDSLLVSKNPVLNKAYEINKNFGMECKFMEHPCPYFCSKFLVEVDDNCVIMPDLVKVF -ERWSNPIPTDMMENDGVLKERFTSYKDLLQGYFNDNISFHLDILLSKRYFHPEGASYAAFCFIHVVLSNF -RNFKEIFDTSSEVII - ->YP_004935918.1 unnamed protein product [Grapevine leafroll-associated virus 7] -MALLCSCFYLPSGSSTLKIKRSITYLTKQLKHRFGITLRHTSDSYIRHSLLRVPEHKKFYVSRLIKLVIS -MKEELKSRLSVKTSVVTGRDRVLCLINQNKTKTIVKYRVKLLRSFFNLPRCSFKSIPKEIKRKYKNFTLG -MFDLIFNNLHDHHLGGSRLDSSSSKSKSDIIHLNNEGKNFAVNFSFSDISSQGSLTLTIIVSSAEKHRYN -FNIKRYISRCGNYCHYRASLNNSNVKEVQEIFLRPINLFHPTNGLSLCLTTAVMQMFNENRGLGRIIGIF -PSQRFLNMYSTVNRFCVDYIKRVASVGKERTPIQTSNPKGNRTNSSTTIRGYVKKSVLNDFNHSKLESGG -VFIPKKEIHYLKPNTKSGEVVRGGEKVVDKQNSVSKTTPLPSSTILFGTMTSDVVKQSVQSVVGKTGGTT -EIKGDRVILSRKYLDPKIFVQKMVVKGVVEKYLITKPDGSKTEIINNKDAVRNLFNTTFNGQRYFVDDDA -LTPSGKKFSSVKIGYCWLDAFNLSKKAIPDWLVPLPFIPLYLIFKCGVPKTILRMIRRTGNGVCHFDRRY -AHAHSIAKMTDLLGATNTLSPLGMGTNEYEVGLDCLVERVMKKLTPRGDLDTVTQLFNAAANRFDDWYKR -GDDVVVKTYVTGADKKIISDLFPDLKIKYEGTTNSSHPLFTVIRQLENYLMFRINNFKNFSDFGGNILTH -ISMGVKNSHVCAPLCDVKDVNRRVKLYCNISKEIHSMSDNAMCTNKAQDCNHNSKTAVMVEVYDMSIYEV -ARSMLKREIIKVDASMLLPGELLEDFDVVDLFDKRCIITKVGEKVQYRYGVNGEVYEHDLKPLKEWMSVG -FFSVDGVLFKKTLENSRGPFQHYSIVVVEDVQAKTLVVETQYQTNSNNMVEIVIPTINSDGVVSKEKLRV -DKSFLTHLLEYASNCVETFNRKSFEHLMSQYRSRKGFVVYNNKVIQESVHIPHRLLDGFLAVVWAHGMRM -AERSRYLAKMVYDSYYTPSIFQLLKRAFEIKFKRFKLCVYENILKLLRYILGGWVCDDMGTIEGRIVELN -RVITVRHTLHVTCKNQPTSEFQEIFNSYVEESNDFIEKLSERLKTTTSNDDVLESGGGDAYWKTYKRILE -QVAKFKSYFKDVIFLTNVIFDIYVSSKMKMHYQVVNQWVSTLPRRMFEEWKSIISQFTLTGCANKVLKFI -FNLKSNFSSIWNGFLLSINKIGLKVKNLLNGESFNRFLGKDNLMLSMNNVLEQYTLIQNAIEVLRSSGVS -DEVIENSDYSQIMILYTHFMHEKYERKNEETVEEFSEFEDVLLSGGGSRLSLSQFGCKLEEKIKEYICLF -HRLSKITKNAKYTKLILKVVYKLVCGVLKALGFRVQDVFETFLSIHNDFVTFFDENNVCLDIGLHKVNKQ -IKNGCGYFTTLSNKVRERIDGFPTSCSLNLQTKLAEFKERLAEQLFFFGNWVTKQDGDESDDDFFDCLES -GGGSNHIYVILFERVRRFLSVFLTNFSIYKKTLFLELGNVKCLFRLFQNSSIENFTLLRSWFFNRLQSVK -DNGSEIVCKGEKFLCDYYRYLQESDLFRFTINVAAQLPFHVLNCVLSAGNPLPILCEWVGSIVISFYGRL -KFVKDDIFVNWIGCLLLTPMTLSVMSPMTLLSTLMVLKTILLTKSMKFQRLRDSVVDYYASLNLDLIHSV -MNNDYASKVALIVVLPLSLFMGSSLFFAIINAVVVYKYYHYHHHCVLVSNVSIASKIDFQDLEIDGRLKR -ACIEINKRKFKNDNIKTTNDAIENESDTHKVGGEQSHFDGVADSRGHSEIHSPNDSDGEERGCSEDNLQK -YNYVREKLQIERSDRMESGKISSEKNPALCLMYNNYPSSSYITFKQTKNDLINSINEMYFIENQTALLNI -GKMEHTINKYNLGYTDEKKLCSIVNDSNIYMWTKFRGWVSLGNNSSTEEIDPKFIFLKNKKIVDKIPNDC -DVAFTTNELVVGYSNEKLKNIEKDGIFGIQLPIVDDKVLVPKVDAIVKSASLVNKPPGSGKTTEIVRKAT -TLAKMRNDVLILSVTRGGKDEILDKLSQSEFVNGSITVRTIDSFIINNANKKFDCVFVDECFMAHGGLVL -YALCKLNCDNITLYGDINQIPYICRLPHFHCRFSETLYRLVTTTFDNVSYRCPADVCYLLSSERDGRGNL -IYPNGVKAMKNEKVRTMNLVPIKGINSIPVDVSKDVAYITFTQHEKHELNRYLNTNTVKTVNEIQGHTVK -NVNLVRMRVHANEIYSDRNQFITAISRHTETFNYYYASNATKDKVMNAVGSLNTIEDYVLANFCFKQCV - ->YP_003204952.1 ORF 1a/1b fusion protein [Tomato infectious chlorosis virus] -MVSFQVEDNKLPLYFTNSFDSKSICQVTYNELNKTKRKITSLSKCILGYPLQLVGQSFKKGALKYLRQYY -QLPGCNFNKIPLKLARSFRSPMLELVNRVNEYKLGLVGEDSSAPALSEWKIQGSTGVNSDYVLWYNDDVE -AVDVMARNVDVNKSTVAIEWRLRWNGRLNKGWGNYEVDYTRHFNRPVNNKVVGSFALFNPKSVADKKAQC -CLMYLLSYDRTLVNKMGIYINIKLSEWGKSELKRVMNMERMTGANTNPNKPVTAEQKTILRRGRNQYKNG -FFEVALVRDKQKKETFVINSNDGREVRVLNDRNAVKNVFNATLHNKSYVLHPMSFTPSGKRVFQEKEGYC -WLDAFSEGGRRIPDNVIPFKHLRVHVLLSCGLGHLLKKHMRKTGNMMYHFEIEPTNKPVTMEYMGFLGAG -AMFNGDDDTKDLNLRVDGFIDKVLENTAIRGDNVLMNNILHRASERLNIEATKSKDVQIRVCLDNNEKRM -LTRLFPEMSMDFLDSSSSSHALFNAMRQCENYYFHKMMGSRDYIDAGGDIIQNLSEHSNNIHVCSPLVDV -RDAKRHMDKSIILDKMRGFSEKLFMCDKLTQDCDHKATNIVAVEVYDMTLQDIARSIQSHGAKRFDLSCI -IPPEIVNDDCDVELFDGRLRVTVSGGLAEYFYGNTGETYTHNVHTLRDIMKNQIFVVDGLVFKRTLEKSK -DQLHFFSIVPCYDFPSGDYMVSTHYHRSELDKVYVNVPIKDAYGVTTFLKFKEDRSFVHSMVEYVANTSI -RVDDKTIEWAISQYRARKTVVIKAGKVSQKESCVPLDLLPGFLATIIAEGIRVREKTHHLARMSYIRHYI -PSIVDIVFMLIKTFFTHVIKQTHQLFVDVLRYFFTDKLVDALMNVEGRIEDPPKTMVFQQSFSVFTDTRS -KNHILMNSFNHFLDDQIEQPESVADDKEWGEFDDVSLEGEVSELINSGGGANSDFFFYCRMSKLVRTFLP -VNQSTKVIDLIMKAYIGIKSKFTALKRKLIDALRYIKSTYMTGLGAILSLLLRELRKPIDWASKKLSNML -KRKIDDCIDDLSSNGISSDPFENPMFSDSSDEELPDLEDIESESNGVISEVQKPRTCYWKNLKQWMKITY -QNIVAWFKDKRKNNTFAKGYNQAVSTLTLILSDVDAFNNVYNLIVSCGVNAALSVVFGNFYIPYYMLQCG -VNATLRTGVFGKMSETTILAADTIMSGLADVRFLHPNYMTLKWGANKMLATKGKIKLKSVPQLKEVCEES -IKKDLIGSELICSLPLKAYIWILYALLFIWFLYPAQTTCLLFIAYPLYDYRKYITNMVYPSNIMASYPRA -VNRFKNTYNMKQLRKAIREKFSDTKTGNDDQGETEKHPFEAYEGTYEHQTDGKEEIINEGNKPDLIEEQV -GKENVGVNSNNFDSKKPTLFKGDRLRNSDIKLCKFLQFYPLSVCASFSLTNDKVVDSIEEFYFLERKKLE -IELGKVKNTLHMIQTHGNMISSLRKFTNDKTVYVSSGNNNYYRMSFRDQHPSKEDFKVKFNSAMEMIPGN -VITSDVCVTTDEFRGMYSNARCLAIESMFDENNNLVKRPNLESMTFFNKPPGAGKTTEIVNLMDHDLKLG -LKTMAFSATKMGCNELKTKLANKGVSQVDKLVRTYDSLLMNTPNVIELDKAYFDEAYMIHSGQFLVCAAK -LKYNNLYCYGDVNQLPYINRNPYVTDYHSFSIFEDVELNHDDKTFRCPADVCYLLSNLKNDAGKPLYPRG -VKNSNPGSKVIRSCEVEGVNGVNQIEIQKDVKYLTFTQDEKIELQHHIARSGGCEHEVNTVHEAQGCTFP -SVALVRLRGHDNPVMSNINQIVVAMSRHTKHFKYFVLHSKLDDKVSSHVKTLKTVADYILKDFMFKQSVD -TYSLKMEEWTYPDTLSRAPSSNYHCVSDFMDLCFPGVKAYDYLHRTYMYEYSDYYLPPCEDVNITMSKTR -PYRSGKYVVPRIIGKGERNRPDTWKQVLLSLSHRNFNSPMINHRVDVNLSANILLASLKGCLDEEKFGEW -YEPILPDLHKMDDWLKSRDGNKYRRLNSKLDYTILRDKFSKLNLMVKGETKPKMDTSTYESYNAPANIIY -YQQVVNLYFSPMFLAVFDRLTYCLNDKIILYSGMNTDTLAKLIESKLSADLNDYNTTEIDFSKFDKSQGT -IFKIYEKLVYELFKFDQDTYKNIEMSEHFCRVSSVSGIDLELGSQRRTGSPNTWLSNTLATLGMLMSFYK -LEDIDLILVSGDDSLIFSRRKLPNVVGEINKCFGMEAKLIENSVAYFCSKYIISDKGKIKVVPDPVRFFE -KLSTPVRLDEIDSKLSLRERYISFKDLMRGYDLDGTVMMVDALVCYRHKLPVGASYAALTFIHCLLSNFM -SFMRIYELGETVDI - ->YP_003002352.1 unnamed protein product [Lettuce chlorosis virus] -MAVMSHSLQLNDCVVPLVGPALDSACVPQLWSAGAVSCSPKNPNNNNNNNKNQKWLNKNQTRLGHVKTSE -VSPASCVRSKVAFRRRLVSPGISPVEIQILSNGNALLVPQPRSFYKTRLDMLRKICNIPHCRFNRMPQAV -INKLGYDVDKINEDIDQALNSKVGGTPENIRQPDETIQGSTKYGDGYYVYNYDNKSNVDILICFDSLVKN -IYMCKFNLQLKYNKQGQAVDVLVSLIKAYGKTQGQHFCKPFLLSQRLDTKQFYARVLLASVMQRIPDYSA -FYGVYMGKLFCQRITVARSVVFTACQQYLNFMRSKVGVTKPELKVTQVPKKNNTPQSCSVKHFVRRSGYE -FFVIKFSDGSEHRINNSRYAIKEMYNLTFNNGFYYIHPMCYTPNYDRFGSCKNFYCWIPAFSKAKLRMPR -DLVPYPELNYGYLVQCGLEKVMRNRLRRVKDDYFHFDVNYNEKPHFLKFGSKIGVKLENEADGIIKNIEV -LFDDISAGIISGTQTRSDNPLLTTITSHLSNEINKQCNKPKDLVIPTCMSSKQKKELCELFPEINFDFTE -SSYSTHALATAMRHAENYLLSKKYGFKSFVDAGGDITHYLHKTVEDVHVCSPVVDLKDAHRHMTRSNKLD -RMTGMCEKVDMCEHLTQECNVERPNIIAVEVYDMTLEDFARALRSHKAKRVDFTMIIPPEIYDEDCDVSL -FDDTVNVKCEGNIVKYTYGDSGEVYSHNRDNLKQILATQIFEVDGVIYKKTLENSRKQLHFYSVVPCSDM -MNGSYVTESHYSRSEMDKLCIRVPVENELKTIEHMKIKMDKACFHNLVEYAMNTVLRLDEKAFEYILSQY -RARKSISIRGGKVTQVGADMHPKAVAGLIGAVAGYGLRLREQSHKAARVAYLEYYTPSLFGMICKIIAYV -LKKFSNWTHDFLMDVLKYLTPKSILSEVTSNQCSVYEFIGEYRFKQHVTIIGTKSKRSILTESFEKFKKF -SDKNYENLDMYTAERGDLFTPDIQQTLNDIFDLGGGVSTKLVKGHDFWQTPFSTYMKLYLVINCWLKDEK -RSVRYTNHICGIWDYFKNFGLSTIEFVKSILVELMTAIKNGFTNLSSCVVSNLQRAARTMYNLTKRRNSD -WEDQLSKMLDDTDKLYGSTLREDTKKPDVKYEHIETMSLDQLFDIGNEGGSGKLGSFIYNHNNVLVRKVN -QYYYGTKAMVQWIVESIFKFVEWTKTSYQSLKAFVKYTVEKMLETDNLTMIIDSAAFTFTNLLMAVMTGD -LNLIRVFVSSCLSAYLRFSKTGVKWFGSNAVGDAVSTLITNPGFNGIVTAPIVLVGSRVFAKETKLRCAS -KIKDEEKSLALVANEVSTKYYFNWFTPEVLRSLIGMSTIILLMCPKLGVCLIITLILLNDYVKYLRSVCV -KANIYLSYGSVLKRTIPSGRYKKLKEIFSSKFDKSLFTSKNRGNDHAEGESEGGEEICRVGRTEKASDVE -VELGYDGKEVNKRQKHVNWGSEVSSANASDFVDGLQFSMLKPCVDRTQINCAVHFPISHALLRYPMTDCH -DFIPTGDLLLDCVSEYYHLEAKKLHDEMGRVNNAVRVYFEHQLETKSVKDAIWAMRNYFNDSSVYMNINS -KAWYRLAKGDKGPSTIEAICKYTLDNDLIDFNTNHLNVVVCSDEMMGMFSNKRCLALESILKTSQEKIKT -MRSKDIVFYNKPPGAGKTTSIVAAMAEDVKNGIVSVALTHTSNGKKEIISKLKAKGVSAAQKMVYTYDSV -LMNDTESTVDKVYCDEIFMVHAGEWLAVMSLYKTNFIRCYGDRNQIPFINRVAHTVCRHHKDIYLTFKTI -DDNISYRCPVDICYLLSTLTDEAGNLLYPNGVYPAGDNRNVFRSVEVEGITGIHDITFDSQSKCISFTRP -EREEIDAAFQRSGVQGLSVQTVHEVQGGTFPSVNLHRLRKYDNPLYENINQFVVSISRHTERMKYRVITD -KMFDRIGERISALYNVQDYIIKEHMFKQRVSIYNLRIDSPRSKSCYSRPSASHFQAINDFMNLINPNIGA -YEYIHRTLIFEYHDFDLPYLEDVDVKFGKWKAYMPGEYIVTNLLGKGERARPNTWKQALISLSKRNFSAP -RINEKLDVLATAEKLCQGLFRCFNFSKLMEFYDPVVPDLNKLGEWLASRDGSKYGKLKRSFNHTLLVDQF -QPMKFMIKSDMKPKMDMSSYSTYDPPANIVYYQHIVNLFYSPLFLEIFDRITYCLSSKVILYSGMNLETL -ADLIAANLTMPINCYKTTEIDFRMFDKSQGVLFKVYEEMVYKTFKFSEEMYDNFKFTEYFTRYKGDCGVS -GELGAQRRTGSPNTWLSNTLVTLGILMSEYDLDDIELILVSGDDSLIFSKHDLPNVTAEINRDFGFEAKF -LMNSVPYFCSKFIYCDNGRVRVTPDAQRMFEKLSTPIRRRDFEEGTILKERYTSYKDLMYDYMRDTTCIH -VDQMLSIRHNIPPMSSYAALCYIHCMYANMVAFKKLWDERFSVNV - ->YP_001816770.1 ORF1b [Bean yellow disorder virus] -MCRTISLRSTCLNSAFSVYTLWIDHPKAASCFSRPSASHFQSINDFMSLINNNLGAYEYIHRTLLFEYHD -FELPYLEDIDIKMNKGKMYLPGEYIVSNLLGKGERARPNTWKQALISLSKRNFSAPRVNEKLDVLATAER -LCQGLFRCFNFSKLYENYDPVVPDLNKLGEWLASRDGAKFGKLKASLNHTLLVDQFQPLKFMIKGDMKPK -MDTSSYSAYDPPANIIYYKNVVNLFYSPLFLEIFDRICYCLSHKVIMYSGMNLETLADLIASNLTMPVNC -YHTTEIDFRMFDKSQGTLFKVYEEVVYKTFKFSEEIYDNIKFTEYFTRYTGDCGVSGELGAQRRTGSPNT -WLSNTLVTLGILMTEYNLDDMELILVSGDDSLIFSKNPLPNVTAEINRDFGFEAKFLMNSVPYFCSKFIY -QDGGRLKVTPDAQRMFEKLSVPIRRRDFEEGTLLKERFISYKDLMRDYMKDTTCIHVDHMLAIRHGIPPM -SSYAALCYIHCMFANMVAFRKIFDERFSVNI - ->YP_001816769.1 ORF1a [Bean yellow disorder virus] -MVSRSSHPLLPKGMSVPPCGLDYFLRCVPRLWYASQPTLPYIQKQYYNNLLTLQNETRLSHSRPSGSAAK -TTTLSKTSFRKRLVSNGHNPVEIQIDSNGNALMIPLSRASINKRLDIIRFLCNIPNCRFSRMPISVVRKL -GYNVEKINEKIDQALHSKVGGTPNPVSTPDETIEGSTRSGDGYFVNNFSNFNHVEVLISFGRSVNNVYMF -KINLDLIKNNKNMIVDVKVSAIKPYGNTVGQNFCDPFRLGQRVNNRQLFVKVLLASVFNKIPDYSKFYGI -YLGRLYANKIKTARSVIFMSCFNYKEMMKMKVGGNKTSTNTGNQNKNPTKSIPITSDNQRPLYKNVVGTK -VRNTVPRPTVVTPPPRSHVNVTHKVNRRGFEWFVVRFNDGKEFIIQNNRFAIREMYNLTLNNGKYFIHPK -CYAPNWTTFESNSNRFCWISAFAKANIKMPRDLVPHPELNYGYLLNCGLGRVLRGRLQLVGNGYYHFDVN -YNCLPFSINWGSKIGVMLENDADGIVKNIEVLYDDFCAGVIKGSNLRGDNPLLNTMTTRLSDMINKQCSK -PKDLQIPTCLTGKEKRELSELFPEINFDFQDSSYSSHALATAMRHAENYLLARRCQFSNFVDAGGDVVHY -LSKLVDNVHVCSPIVDVKDAHRHMTRCNKLDKMKGMCENVDMCENLTQNCDVKYPNIIAVQVYDVSLEDF -ARALKSHEAQRVDFSMIIPPEIYDEDCDVDLLDGSINVKSEGDYVRYTYGSSGEIYQHERKHLKDILSIQ -IFEVDGIIYKKTLESSRKQLHFYSIVPCVGIQSGRYSVETHYARSELDKLVIRVPVEDENKSSYHMKVKL -DKSCFHNLVEYAMNTVLRLDEKAFEYILSQFRARKSISIRGGKVTQIGTELHPKAVSGLIGAFAGYGLRL -REQAHKSAKASYHEFYTPSVFRLLCRVLAFILKKLNNWTHEFMLSVLEFITPKSMFTELTTNQSGIYEYT -GDYRFRQNVNVVGKPGKRKLLSDHLETFKKFNEGLYDNLDNHTKENDKFFTQDIQETLNNIFELGGGGSY -LNESGEKVFMLPFSIYNKIYCMIDSWTNDEKRASRYTNYICGIWDYFKQFGVSTLGFVKNLLVEILKSIR -SGFTNLKENVVILLKKASTTMKHIIHCRNTNWEDQLKDALDKTDEIYFKKFRAQRDHVCEKPSDVKLLNI -EELFDICNEGGSGRVVMSPIVWLNSPMVTSELHYYALKKFLSKFVNYLMNLINKPKEVYKSLKAFILNKV -NTLFTDDNISIVIDGISFTASNLILSGLMGNVQFLRVFISGMSKLYLQITKNDKKCFGSEMVSDSVVSLM -ANPGLDGLCAIPSKLVLSRYISSKLKIDVAKHLLPEETVTNIVARDVNKKYFFTWYDTRMMRTLIGISTI -VMLMHPKLGLSMVIFFALLNDYITYLRTHCVNASIMLSYGSILKRTIPTARYTKLKSIFVNKFNKTIFSK -NVEDNASEDEIHDDDSVRPRVGVKPQDNEPEVVLGYDGKEIKNSTKHATWGSECSSVGAPGHVEGLNFSY -LTPCTDRTQINCSVQFPLSHALLSYPLTTDHDFVPTGHEILDCVKEFYHLEAKKLHDELGRLNNAVRLYF -EHHLQTKSVRDAVWALRNYLNDSSVFVNLNGKSWYRLAKGDKHDTRVEAVCKYTIENTLIDFTQNYNGVV -FCSDELNGMFCNKRCLALESVLKRDVVDFERLRGRDIIFYNKPPGAGKTTEIVNNILQDTKDGIVSVALT -HTSNGKREIIHKLKERNITAANKMVYTYDSVLMTAIGANVDRVYCDEVFMVHAGEWAAVMSLFNTNYIRC -YGDRNQIPYINRVAHTICRFSKDLYLSFKTIDDNISYRCPVDVCYLLSTLKDEAGNLLYPRGVFPAGDNR -NVMRSMDIEPISSVYDIHHDITGKCISFTRPERDEVDASMQRAGIIGQSVQTVHEVQGGTFPRVFLHRLR -KYDNPLYENINQFVVSISRHTEKMKYRVITDKMFDRIGERISAISNVQDYIIKEYMFKQRV - ->YP_293695.1 RNA dependent RNA polymerase, partial [Tomato chlorosis virus] -TYRLTIEGCYIPDTFSRPASSHLMAVNDFMSVVNPGLAWMQFLHRTILFEYGDFDMPPVEKMVLDFSKYK -PYVAGEFVVSKILGKGERTRPDSMKQGIISLSHRNFSAPRINERLDVYKTAERLCQNLVRSFDFSRLYEN -YDVILPDMFKIDDWLQDRDGSKFGRIKRDMDHKLLVEQFESLKFMIKGEMKPKMDMSSYTAYNPPANIIY -YNHLVSMYYSPLFLEVFDRISYCLSKKIVMYSGMNLETLGTLIGSKLQKPLTSYHTLEIDFSKFDKSQGI -LFKVYEGMIYRFFKFSEDYYTNIEATEYFIKYRGRCGISGELGAQRRTGSPNTWLSNTLVTMGIILSVYD -LDDIDLFLVSGDDSLIFSSKPLKNKTDEINRDFGFEAKMIENSVPYFCSKYIISDRGKIRVVPDPVRFFE -KLSVPIRVQDFMSDTLMREKFRSYKDLMKDFDYDTTCVLVDALVCYRYNLPPMCSYAALCYIHCLCANFT -TFRRVYESDLTVVI - ->YP_293694.1 1a polyprotein [Tomato chlorosis virus] -MDSQQNLVSFNVDFTEKKIKDTFRVVKRHISNKYNKSFKKRLFLCSCDLNVSIAANSVSTAQGCGVRARI -KTRMNVLRRLCGIPHCNFNKLPVSVYRKFGHDFHRINSAIDRYLESSVGSTGEKSLEEIERIDGYTDHGD -GYRVKLYSDVNICDVFVKFNADVATGHDLKIRLQRQKNRITGQVRTVVHTAKNGFGLDFSLWCDSFLLTD -SKTSGQKMVMDMIAAVAMKVPDVLPGFGRLYSRVFSKNFKQIRSAFIRECKKYMQTSCDKANASHREKVT -LKVKPSVAVKNVPDVTHKVGPDGAESFVVTYSDGKQRIIVNDDGAVRNLFNATLTNGKYFIHPKAMIPDK -SFFSSKTTEYCWLNAFAAVNKKIPDFVVPYPCLRMRVLYNCGLGSVVEKHCKFVKAGLYHFDLRYCAPEK -PINLNGYVGSKVDTDIPSLGKNINVIFDDLIGHYVQGTNLRSDNLLSSNIVNRLSDRINTMFSKPKDLSI -ATSLTASEKRKVIDMFPELCLNFTDTSYSSHPIATAIRCCENFIMAKRCGNEDFIDAGGDVVHYMLESVK -NVHVCTPIVDTKDAHRHISRSAMLDTMWGLKDKVSFCEYKTETCTVEKTNIVAVEVYDMTLKQMAQALLS -HKAKRFDFSLIIPPEVCDPVCDVYLLNNSLHVTNNGDKIEYAYGDFGESYFHDRENLRDILRTQMFVYNG -VVFKKSLECSRDNLHFFSVVPCLGIKPGVYTLSTHYKKSESDKIEMTIPVREKLGQVVDKRTSVDRALTY -SLIEYVMNTAARVDEKSSDYLVSQYRAKKSMTIKGNKVVPNDCDLPVELVPGYLAIILAEGLRLREKIQY -FAKISYHRHYSPSILKIFSLLIQEFVTFAKAKCYDGFVWFLRKCLSDKVLDKVIFGERRIHDCQFIMRFK -QEVTVVGESGKYSILGDSIHAFTEASERAETGLADFVDGRPDLFKPDDYEKLYDLVHSGGGNAGFFSKTA -NYYSPFSLYYSIYNFFSNFTSSASRISLYTNFFISVIDWMRYHSISCFEYFKEVVKVIVCAAMGKLKSGM -QSLWDKLKRCAKEMKGLVNSDRLSLEKKLDDIFSADDEIAEALSSDVQSIVTEAVLSEQLISSGGGGVIS -RPMKCVREMYDHSRRSLRWFCTWFLQKFKLYKRNILYIPEFLRESFDEIFEALKMKILSNDFIEMTIQGM -SFFVVNLTTSLFFGKIGLGLSAVSTVFYLSIKYTGLERRFLGTSFVNEHLSSAITTGGFSHPYMIPVRSL -VMKSCQNWMKQKLLRYETVSPIVTDLIAKDVLNASVYQYVTPYRVRMGLYFSILLALLKPTFAGVLLVCI -LLVAEHAKFYRTVVVQANVHLSFASRLRRLNPTSKARAIKQLLVSKFDRKRFSKDNSDDKFCDTFEDFDC -GPTEFGINSKDLVGAGEASTSELPVVELDYSGNEIKGKCWGDVTDDSISEPDIVDRPDGLVFSSLEITNQ -RERFLCDSSLLLSDALLQYPVVDVTTNVLTGDNIVDTFSEFFFLEKKKLHVELGKINNVVDFYKSSLTGK -NAFYNKVWSLRNKFDDSSLYVSENSKVWYKLKQGEKGHVQLEGVCKYTLDNKLVPFTYFYDDFQVTSDEL -MGMFSNRRCLALQSITPRESGFDLNSMLENVTFFNKPPGAGKTTTIVRNMVRDIKGNVRCLALTCXNAGK -KEIIHKLRKEGVNNAFSLVMTYDSFLINGGKMDTDMVYCDEIFMIHAGLWVALLSMLQFKKMECYGDKNQ -IPFINRVPNTLCQYSQKIFFLFRMIHDNVSYRCPPDVCYILSNLRDAAGNLLYSNGVKAMGPNSNLLRSM -FVVPLRSAXEVPYSPDVKMIAFTKPEKDDIMRHGRTADGKTNSAQTVNEVQGGTFPKVELYRLRQYDNPI -YNDVNQFVVSISRHTEVMKYRVLSTKMHDTVGQHISSLDKVADHIIRECAFKQQV - ->YP_227356.1 ORF 1a/1b fusion polyprotein [Blackberry yellow vein-associated virus] -MPLKGKLTLSPYAPYYYCKLNFVENQKLKINKKFDSSRLNFLRSYFNLHNCSFKRMPVPILNKFGTDFYR -INKFIDESMNSLVGTESPKSKVLEETITGTTSFGDGYCVKRYSNPLLIDVFICFGRYFEHSFDFKFRVFF -QKVKNSKRVTNLVHSAFGKNTGSEITWCTPFTCFTRLDNQQFQAQCLLLSVVEKIDEFGELLNYYKNPVF -RNPVNRVRAMLSKKYTALVKIYEQSCKVGQRRPVKKAPVKPVEKKPFCIVTHAVGYGGVESFCVKYRDGG -VKTLPNDKFAVRNLFNLKCGEGGYTIHKSALTPDGSRFFNHDNEYCWLDAFFLAKKKIPDFTIPYPAISY -RHLLSCGLGKVLADRVKFYPNGKAHFTAKTIQKGQKSNWSALVGVKLDEDSGMSLKNVDVFFDDICSGIV -NQTALRSDNDLMTNVVRRISDQLNSISSRAKDLKISVCLSSADKKKLCEVFPDLSLEFTDSSFSSHALFT -AMRSCENFCMSKRNNFCNFIDAGGDVVHYVQKRCADVHVCCPVVDIKDAQRHMTRSNLLDRTQGLQESIT -ICANLCQDCKVAKQNIVAVEVYDMTLNDMAKAILSHKAKRFDFSLIIPPEITECSCEVKMFGDQLTVKSD -GEKVKYYYGSSGECYSHSVDNLRHILKTQIFSFEGVVFKKTLEQSREGLHFFSLVPCPNILNGRYEISTH -YRKSEMDKVMMIIPVRNKFGIIENVKVKVDKSIVYHLLEYTMNTALRVDDKAIEYLISQFRARKSVSIKG -GKVIQVPFDLPVDLYPGFLGVILGEGIRMREKTHYMAKMSYYKHYLPTIFFVIGAYINRCISYCREYTYN -KMIQGLKWIMSESFIEEMINGDKRIFDVIEVFEFTQTVNIVGEENHRNVMNESFSTFLNESNKKMTELDK -TFKSIEDEFKDTDLDILRDTVMAGGAGNSWRSMFNFNVYNKVYSFFNFFVSCKNKVVKFTNFVFNVIMYL -VGKGKDAFVTAKEALKSLMYSLIGKGKNVCKDTVKLFRRASDLMTSKFSASNSKWIEELEALIDEDEKAE -TVTQAEMVEMLPLSFSPEDEEKFVAETAASIERMVVDICTSGGGVRTPNCIKVLCSVPRKISERVKLCFK -TLREHVRVVVNWIVLRYFDIIEIPSLIKEYYEYAFNVSKAFILSPDGLSIIIQGSAFCLTNMLFMAIAGD -FSMVGLVLSGLVLIGIKTLGWEKKYLGNGVVSSQIASSLCWTFPFGVMSIPVRALLSNFIESKMKTHMLT -NDTLKEPVRDLVAKDVITYKAFEKVTFSTIRQCIYAVLLGMIFAPSFCMTILICLLFVNEHKKYLNSVVL -QTNIRLSFAEVLRKTAKSDRLNTFKSLAIRKFKNSNIKDDSDLHAADTRVAGEGKKFVDDMSDVEVEFDY -DGSYKEKSKILSEKRSSSSKPSTSQKIVWADTSETSSLSENAESLKFSHLTPSTDRRYINCHVSFGLSNI -ILTYPCSSLLQLIETEDIRLNSISEYYYLEAQKLCIELGKIDNAVRIFNSKHSDRKTFKDVVWDMRNMID -DATTYISENGKKWYRLKRGDTSAKALEGMSKFSLDQHLTDFNSDVKGVQFTSDEFLGMYTNNKCLGLEKF -LDEEGKFVLKEEHKNVRFFNKPPGAGKTTTIVENIVRDVNSGRKCLALTCTSAGKKEIIHKLRAKSVVSP -QNFVSTYDSLLMNGNSPVIENLYCDEIFMVHCGQWLACLNQVECSYVECYGDKNQIPYINRVPNTISRYS -FQHFMDYPMEHDNKSYRCPQDVCYLLSNLKDVTGELLYPRGVYAAGKNADVNRSMFVESMHSLDDVKFDK -DCKYIAFTQPEKEEISRSASNAFKESFSANTVNEVQGGTFPEVNLLRIRQYDNPIYSNINQFVVSISRHT -DRMCYRTVPSKLNDFVGEKISALSTVSDYVIKEYKFRQRVDSYILEVDSLKTALTYSRPSASHHRAIGEF -MMLINTNLSAYDYIHRTLLYEFEDYELPVVEDLELSISRSKAYQPGEYIIPDLLGKGERSRPNTWKQVIL -SLSHRNFSAPRINERLDVTSSAERLVQSLMKCFELSKLAENFDLIVPDLYRIDKWLETRDGEKIRKLKRS -FSHSLMISQFESMKLMIKGEMKPKMDTSSYSTYNPPANIIYYEHAINMFYSPMFLEVFDRITYCLSNKII -LYSGMNLETLGSLIRSKLPLPLDEYKTVEIDFSKFDKSQGVLFKVYEEIVYKFFKFSEELYENIKMTEYF -CRAKSRSGVSLELGAQRRTGSPNTWLSNTLVTLGIILSTYKIDDIDLLLVSGDDSLIFSKEDLPNLANQI -NQDFGMEAKFIVNSVPYFCSKFIIEDRGEIKVVPDPVRFFEKLSVPIRLEDFLSETLLKEKYTSFKDLMV -FFDSDTVCVLVDRLICIRYGIPEMSSYSALCYIHCLLANVLSFKRLYTDAMTVVI - ->YP_025082.2 ORF 1a/1b fusion polyprotein [Strawberry pallidosis-associated virus] -MSVRSKLVSPYTPSADIEFSSFLNRVIDYPIKSIDLNRLDFLRQYFNIPLCRFNKLPKPIVYMFGRDFQA -ISNFIDQKMSLKTGNSPNPGNEVVETIEGKTAFGDGYFVRVWEKPLVIDVFVSLGRYHSPDYDYKYRLNF -RRHPNNKRIYTSVMCNICKADKVSKAFCENYNLFSVLNPTQFMTQCLLLSVMEKIQEFKTLVNYHVNSSF -RQPLKSYQEKLAKYNEEYRKTKEGDTLIGVKSNVKGTETKKSLIPQPFLLKKRRAPFASVRHHVDVRGRE -YFTICYTDGSVKYVPNHRNVIVNMFNLTLGGGTYVIHEMCLTPDGSRYGMHKNGYCWLEAFCMANRFIPR -HTVPFPELKYGYLLSCGLRDIMKGRIEDYGNGLGHFSRELTSSKREVYLTTHIGIKTDGEGDISLKNADV -FFDDLFSGIVNNTNVKSENDVMSNIVRRVSNKLNETFNRKKELNISVCLSSNEKKELCEVFPEICMEFSD -SSFSSHALFTAIRECENYSLSKRNNFCDFIDAGGNVVKYIRENVKDIHICAPVVDIKDSHRMMTRSNILD -KTAGLSETITMCDKMCQVCDVQKQNIVAVEVYDMTLSDMAKSILSHKAKRFDFSVIIPPEVYEENCDVSM -FGGGLRVKGNNLTCSYYYGKSGECYTHDINNLRDILKIQIFSVDGVIFKKTLESSRRGLHFFSIVPCLGM -KNDTYTLKTYYPKTESDKVLMLVPVKNKFGIVENVRVKTDRSIVYHLLEYVMNTAQRIDEKSYEYLMSQF -RARKSINIKGGKVIQEPFDLPLDLYPGYLGVILGEGLRLREKTLQMAKLSYFKHYLPTILRIMIHFMYRT -LAKTRQAMYHGAIHCMKWIMSEEFIEEVINGDRRIFDIQETYEFSQVVTITGEEYNNYAINESFNVFVRE -SEKNLRAIDSELLPFDDSFETTELDRFKDLLTGGGGGFSCFSKTAYKIYERIFRLFSWTTLTPKKVHDLT -GVLTNLIFYMLRCGSYTFETMKKYCKMVFNSITGIGCGLSVSIKTFLKDVVSLMRRKIVERNDKLYDEFM -DNFKSDDELELSSMDSQTTLDANICSEEEVSSESDEVEVLCHDSQLSTLSFRRPIRCFISWRSLKSYLDS -IFRYPYELIENIRFAIKSYAYKIYRLYLNSIEVAEIIKETFNELKDAFFGWLTSEGGLEFIIDGVSLSIV -NTIFYGLMGRFSLMSNLAVLLVYSSLKLSGIGKKYLGHESIVVQLADFLNVGCFLNVQHPFGFLIMPVRH -LAMKCISNKLKKKAATKESLKTSATSLIAKDFVSLKFYDKFSYKALRQAICILLIFIVIFPKLVLVSILS -LLLIGEHKKYLNSTVLQANLQLSFASVFKKTSKSNRMIVFKKLIAEKFSRKKTLEEDEEIQQSLDIAGKI -RDGDCVDDDIEVDFDYDGSYVERKGKMTEGLLARIPEVSKKDIPWGDRSEHSMNSAEYENLNFSSLTPTT -DRHYIKCEVNLKLSNAILLYPNSGNCVPTVTGNMEVDAISEFYYLESRRMNIELGKLDNAIRVFASKYHT -VKKFQDIVWDMRNNLDDSTLYMSNNGNSWYRLKQSDGKSMTLEGACKMTVDSDLVSFTKNVSGYQFTSDE -LLGMFTNNRCMGLESFKDDKGDFHVNRIMNDVVIHNKPPGAGKTTTIVKNILDDLKNRETCLAFTCTSAG -KKEIVDKLKKFNVPNAYQYVTTYDSYLMKNKKNKIQKVYCDEVFMVHAGEWIACMNLIENDYVRCYGDKN -QIPFINRVPNTTCHLSFDLYLKFQMIHDNVSYRCPVDVCYLLSNLTDPIGNKLYPNGVYPAGDNSKILRS -VDVVGINCAEDLKPDEKKKYITFTQYEKEEVSKITGKKMKVSGTANTVNEVQGGTFPKVELIRLKQFDNP -IYTNMNQFIVSISRHTEKLDYKVVSSKLNDFVGEKISALNTIADYVIKEHNFKQCVDVYEMVVEDLCLPA -TFSRPPSSHHRSINEFMCFINPGLSAYNYIHRTLIFEYEQYELPVVGEVDLVLSRSKPYNPGLYVIPDLL -GKGERSRPDTWRQVLLSLSHRNFSAPRVNENCDTLASAEILAQSLMKAFDFLKLSENFDTVLPDIWSITK -WIEDREPNKVRKLKRSFGHDLMNSQFSRMKLMIKGEMKPKMDMSSYGTYGPSSNIIYYEQIVNMFYSPMF -LRIFDRIGYCLNSKVVLYSGMNLETLGKLIRSKLDFPIQEYRTTEIDFSKFDKSQGVIFKLYEEIIYKFF -KFDSKTYEAIKFSEYFCRAKSSCGISVELGAQRRTGSPNTWLSNTLVTLAMILTHYDLDDIDLLLVSGDD -SLIFSRKDLGNKANEINRDFGMEAKFIMNSVPYFCSKFIIEDRGEIKVVPDPVRFFEKLSVPISLQDFQV -GDLLRERFVSFKDLMIGYDSDAVIILVDNLISIRYDIPRMTSYAALCYIHCLTSNVLAYTKLFIEGFTVA -I - ->YP_054415.1 polyprotein [Potato yellow vein virus] -MSLINNHCGKVGNSTPLSGKLSLYHYTPIGKTIKKYLKCNKISTRNFHDVERLKVLYSYFNIQIRKYKRM -PAVIRHKFGADYLSINKFIDSLMQTEVGSKEKSEPVVNEVISGTTVYGDGYKVIRYGNPLFVDVFIYFNR -YDDDNPDFKYRILFSNKDKNNNRRNEVMCSVDHKVGEIKEFCRKFTLFSSLSSNQFQAQCLLLSVVEKIP -QFKSLVRYHENSVFKTNMKYIREKFKRLSDDMKSLLDRGVKVGKKNSPKREVQTPYKADYPYDVKLTISD -CGKEQFVIKHKSGEEKLVRNDGNSLKNLYNATLYQGYYILHEAARTPFGSRFKSHQNEYCWMDAFAVDGR -KIPDRVIPYPRLKLRYLLSLGMGHILRGKMEVISDKIVHFDPRISRNTKKVNPEFMVGMKLDGSAGISTG -NLDTFFDDICDGIMQQTTVRAENDLTTNVIRRVSNMLNEKLSVKRELIVKVCLTSAEKKMLCEVFPDIVI -GFEDKSYSSHALFTAMRDCENFYTSKKFSCKNFIDAGGDVVRYLKTDARHVHVCAPVVDIKDSARQMRRS -SQIDNLRGVTETITMCNKLCQDCDVESQNIVAVQVYDMSLNDMADSIRAHKSKTFDFSIIIPPEIMEEDC -DVNLFNGSLRVKSEKDKIHYLYGKAGEVYSHDIQPLRDFLQTQIFCRHGVIYKKTLEMSRNGLHFFSVIP -CENFKPGTYSVSTYYSKSETGTVLMSIPDKDRFGETVNHKVRVDRSIVTHLIEYVMNSALRVDDKAVEYL -ISQFRSRKNISIKGGKVVQIPFDLPMNLYPGFLGIILGEGLRLREKSMYFAKVSYYKHYMPSIFVVIMAL -LNRLINTARRNAYKVCLSVLRSFCSEDFINDMIFGDKRIRELDEIVEFKQTITVIGEKGVQNVMKNSLDR -FIKESDERIFDVDKNLYPIDEKFSHDEYEVMRELISSGGGASEGFQFIDFSAYSKIFNCVSKVFSTPKAV -SDVAGLISKLISYLLTKGLNTLTGIKELIISLIKMIRKGVSSGSEALKGVINRCKNRVNNMVKNKEDDIL -NKLEKFLEEDDEVDNTKSSLVRRRVKTPRNSVSNSKELTNSTVLVSNLLYKDGKYLLGTYITQTPKWFSF -DIPSVNKFEEEQTESLLDDYLNTSFDLVSLGGGSKISPIGKFLQKLRILLKKLKLRVIQMYRRSFDIFDG -CKEICVDGMNLLKLFFLDTDTIDLLIKGSSCILSYVITSLIFGGFKISKVLFMTLFVFSIKLSGIEKKYI -GYDWITSQLSDNLFAGHLSNLSYIPTKFLFRRYIESKLKVSGSKSAIISEQCKDLIAKDLGYRKYAEYVT -PNMIKIIGMISLMFSLNFPIFTLNMIIILTLLNDLSKYLKTVVVNMNIHLSYASILEKSNSSERFRKLKN -ILRHKFETKKKEDSMRDEDGFDPQTRVGFKQDSNDEDIEVVFDYDGSYTETRKPTTCNAASTSGKIDWAD -LENDSVESLDDEKLNFSKLVPTSDRSRICPKTQVGLSNVILTYPSSASVMFLESTNEVTNCLNEFYYLET -QRLSIELGKIDKILSRTFNSIYNESMKFKDAVWHLRNRMDDSTIYISNNGKEWHRLKRGDVDFKLLEGST -KFDLNQNMIDFEEKTPGVQITSEEFLGLYTNNKCLGIESIMSSPGKFPGLKRRDNVIIYNKPPGAGKTTT -IVNNLLRDINNKKRSIALTSTSAGKREIAQKLRLRGVRQAHSYVRTYDAVLIKVGRMKLGVLYCDECFMI -HSGQFVAVTEIITADRMELYGDKNQIPYLNRVPNTTSLYSFNHFLQYDMVHDDKSYRCPPDVCYILSNLR -NSSGELLYPKGVKSVNKNANILRSMEVLKFDSVNDLDGEAGRKYVTFTQPEKEEISRIVSRNKKESDTAN -TVNEVQGGTFKTVELVRSKQYDNPIYSDINQFIVSISRHTESMRYRTIHSKLNDFVGEKINALSTVSDHV -IKEYCFRQRVDEYRIEVDVCRFGNTLSRAPSSHFVAVNEFMELINPGLSAHDYLYRTLLYEYEQYELPFV -DDLTLDITRSAPYTSGDFLVPKILGKGERTRPDTWKQVLISLSHRNFSAPRINERFDNVKTAEILSKNLI -GCMKLERLYENFDPVLPDLRRVDKWLISRDPNKLRRLYRSFSTDLLVNKFNDLKLMVKGSMKPKLDTSSY -SMYTPPSNIVYYEQVVNMFYSPIFLEVFERIRYCMNDKIVMYSGMNLETLSEIISCKLTMPLNEYYTTEI -DFSKFDKSQGVVFKLYEEMVYKFFKVSEDVYENIKFSEYFCRVRSRSGIQTELGAQRRTGSPNTWLSNTL -TTMAVVLSSYNLDDVELFLVSGDDSLIFSKYPLDNRTQRMNVDFGMEAKFIENSVPYFCSKFIIQDRGRI -KVIPDPVRFFEKLSVPVSYQDYENWNMIRERFISYKDLMVEFDYDTSCMLVDVMVSKRYSLPNMASYAAL -CYIHCLLANAQSFKRIYLDSILVSI - ->NP_940785.1 ORF 1a/1b fusion polyprotein [Beet pseudoyellows virus] -MPSINRTITGTELSNNLSLKGKITVFPLDPSIEEKFNFLKEKNFNLKKVFCAHRLQVLVDHFNLHGCSFK -RMPVPLRNAFGYDFLKINQFIDQKLSVKIGMETIKNTSVLEDITGKTGFSDGYLVRKYEDRRHADVFISF -GRYFKYDHDIMFRLTFAKTHNRRRLRTIVETSLGRMEDRGEVYLKPFTLFDRLPPKAFEMQCLLLSVIER -CDDMCEIVNYYKNTKFSQPVKKIREKFYRRCTVTMIGVNQSRPPVQMVPRMTPVKPTFKVTPYASTQHII -RDDGEEEFVVRLRSGKVIVVRNDRRAVANLFNATLGEGTYKVHSEAFTPNGKRFKHYKRAYCWLDAFLLA -RKKIPLETVPHPCLSYGYLLRCGLEKVMRGRITMVSATHGHFTVERVTETRNARRDTYVGVRWDDGAEVS -LKNISNVFDDICSGILSQTTLRAENDVMTNIVRRVSDKINSVNSMEKELTINVCLSSSQKKKLCVLFPEL -NFDFSETSYSSHALFTAMRQCENYVMAKRMGFSNFIDAGGDIVSVLHKKLNNVHVCSPLVDVKDAHRHMT -RSNQIDNMIGLSETATLCNDKCQDCKVERQNIVAVEVYDMTLHDMAKSLLSHKSKRFDFTVIIPPEVCEP -ECDVRLFDDSLRVHSDGNTVTYFYGDCGESYAHDAQTLRDILRMQIFSVDGVVFKKTLECSKEALHFFSL -VPCVGMPPGRLTFSSYYSRSEQDKVLMVIPSRDKFGGISNVKVKVDKSIVYHLLEYVMNTALRVDDKAIE -YLISQFRARKSISIKGGKVIQTPFELPLDLYPGFLGVILGEGIRLREKTHYIARMSYYRHYLPTIINIMI -AFMNRTFTKARQFCYESIVDMFRYVMGDSFINDAVNGDKRIFDIMEVYDFRQTVNIVGDGQKRDVINESF -QKFLNESKENLRTLDDKFCELDEMFTDSESDKLKDLVLSGGGASNQYVFISFDLYKRIHGFVSRLVSKKS -LVDKLVVMISKVITYLLNKFKKMSQSVVTIISDVIRAIIDYVRTGDSRLLKVFKTAGERVTGKISDVNNS -WMDELEKVLDDEDQLISRSEIETVDNSDQIDDIRPEGVEMSVIQSAENIVLAGGGAHSFNLQTLLKLFPI -SGVFRIKSTWKSLIVSVRSFLKRIVELYHDVKEVPGLILDFVKAGLSVVKDYVTSSEGLETLIQNTSLTI -TNLIVSIFTGNVGVLGIMLSDFLFLGLKIFGIEKKYLGCNTVTAQVANVFLPPTYFGPLAFPIRGLLSKV -VETKLKMKCSKISQLKPAATDLIAKDVTLIRFYDKFSPSALRVCIYISIIFVLFSPRLMLTLGMCCLLIG -EMKKFLSTTVLQTNISLSYASVLKKTQKSQRMKRFKSILAEKFLRSKTDNQQSNDDEPQMIAGSQIDSDV -DVEFDYDGSYVEKSKPTQPLVQERKMKDKPVTGNWADVSDSSSVGSIMEFLNYSNLRESTDRRFLKCDVT -FGLSDIILKYPISSLQVCVTGADSRINALAEYYYLESQKLCIEMGKLDNLARMFNSKTSETKCFKDTVWD -LRNNLDDSTLYISENGDAWYRLKRGDLKKTKLEGVSKMTLDQSLINFDRSHKGVQFTSEELLGMYTNNRC -LGLEKFIDKTTGFKVEPSTDKIKFFNKPPGAGKTTTIVNSLVKDLSSRTTCLSLTCTNAGRKEIVSKLKA -RGVTSPHLYAMTYDSLLMKGKEFTITNLYCDEIFMVHCGEWFACLNLIKCQSIECFGDKNQIPYINRVPN -TVCHHSYSEYMTFPIEYDNISYRCPPDVCYILSSLTDPVGNPLYPGGVYSAGSNCDNLRSLSVEPMNSPD -EIKFRDEDKHITFTQPEKEEVSRAISKQLKDSKSANTVNEVQGGTFPTVMLFRNKQFDNPLYSDVNQFIV -SISRHTDRMCYKTISSKLNDYVGEKISALNTVADYIIKEYKFKRRVDTYDLKIDCLRAPSTFSRPSASHH -RAINEFMTLINPGLSAYNFIHRTLLFEYEQFELPPVENAKLVLSHSKPYAGAEFIVPDIMGKGERSRPNT -WKQVMISLSHRNFSAPRINENLDTTKTAERLMSGLMTGLNPLKLSENYDTILPDMYSIDKWLNTRDGDKY -RKLKRSFSHTLMIQQFENLRLMVKGDMKPKMDMSSYSTYSPPSNIIYYEHVINMFFSPMFLEVFDRLTYC -LADNIVLYSGMNLDTLGELISAKLQYPISEYNTIEIDFSKFDKPQGVVFKLYEEIVYKFFRFSEDIYENI -KLTEYFCKAKSRSGVSVELGAQRRTGSPNTWLSNTLVTLGIVLSTYDLRDIDLLLVSGDDSLIFSRKSLK -NQVNEINRDFGMEAKFIENSVPYFCSKFIIEDRGSIRVLPDPVRFFEKLSVPMSLSDFESGVMLRERYTS -YKDLMVGYNLDTNIILVDALISVRYSIPLMSSYAALCYIHCMCSNITAYKRIYHDSFVVII - ->NP_851566.2 polyprotein [Cucurbit yellow stunting disorder virus] -MSSSLVAADNQTNVALTSTVNVKGSFYQHHNLHKHGNKTNKAIKRVKRQNKTQTSAMSYSLAGRGSPKTF -RNRLVAPGLNPHDVIILSNGNAQLIPIKKSFVKNRLNILRSICSIPTCNFNKMPKPVIYKFGYDVDRINR -AIDSYISSKVGTTNEKPRAPTEIIRGVTKYGDGYRLSHYEETGTTKISVCFDRLVKGKYMFEITLTEKFN -KSKVFEDFRVEAKKLYANTTGQSYCQPFDLHGRANPLQYHVKVVLASVLQKIPDYQYYFGTYFGNKYAKT -IKSCKHLVYVSCYNFFQFCISKVGVNASARPVRLVDKGNLKTHFAKIDLKIDLNGREYFVVTNVRGEVYN -IPNDRQAIRNMYNATLDNGKYVIHGECITPYGTRYKSHPNQYCWIKIFSRAKIAMPRELIPFPELNYGFL -VSCGLGQTMQGRLKTTGPGLLHYDGNLHGGKQVIRYGSKVGVRIEAESDHLIKNIEILFDELCTGVVSTS -TLRGDNPLMNNLTNRMSDMINKQCAKNKDIVISTCLNNRQKRELTELFPEINYEFQDSSYSSHPLATAMR -HTENFLLARSCNNRQFIDAGGNVVYHLKKMVADVHVCSPVVDVKDAHRHMTRSLQLDKMKGIDERVTMCC -NLVQDCDVVYPNIISVQVYDMTLHDMASALVSHKAKRYDFSMIIPPEIYDDNCDVLLFDDSVRVRVIDNK -VKYEYGDSGEAYYHDRENLKNILATQIFEVDGIIYKKTLENSRKQLHFYSLVACVDMPTGIYRLETHYNR -SETDKLQIRVPVEGYDKQVTMEIIKVEKSTYHHMIEYAMNTVLKLDEKAYEYLLSQHRARKSVSIRGGKV -TQITCDMNPKAVAGFIGALAGCALSLREQAHRSAKLANLDFYAPSLLRYIAKVICVILKRVNNWTKKYFE -SVLKFLTPESVLEQVNSESCGVYAQEGEYNFVPTVNVINEGKRKNVLSESIQKFKKFEEKMVDNLENKTA -EHQQFFNPDMQDVMNDILNLRGGNSSPTPSLELMYITSYRFYQRIYEVLSWFCKDNKKCGKFCNFICAIW -GYLREKGLLTFSIFLNLVMDVINFLKSGVNKLPGQIGLRFKRIFELVSNKFSSTNRSFEEKLDKLLKEEE -HMYVLRDTSKISETYHLETDEEDVDLNALFDLASIGGGSKYFRFYTLNLKSVFPRFKKFLYHLNRLKYKC -CSIIEKFLNMCKVGGTRVKEFCKFYFDLIFEEDGLNYIIKNVSFNAVNIFVALMVGDVSIFRTLISSIYY -AYMSCYGRESKLIGGESMSRSIGFMLMTPSLPGIITLPIKLAAELNLGSSIKLYFSDKERFKPAATNLIA -KDVLLKDQVVKLTSMTTQRLCSISLILMLIDIKWGLSFIITFYLLTSFARYVKSICVGANILISYGKELD -RLNPTMKYRKLKKLFVERFDKSRFLKPNNKPEDESEDFSDRAEICRVGNEQCNSDVEVELDHKGKVVYDK -SRDLSTLSNFQSNCAEDRVDGLNFSLLNPTLDRTQIECNVSFSLSHALLIFPKSDGLDFVQTGNDLLDSV -KEFYHLEAKKLHDEMGRLNGAIRKYYELNLETKSVRDAIWHLRNYLDDSSLYAMLDSRSWYRLGKKDHGF -TTLEAECKYTHENELVDFDTVKSGVQICSDELIGMFANKRCIALESVIRTKADNIASIRNKDVIFYNKPP -GAGKTTEIVNNLIEDLKNKKISVALTHTSNGKREIVQKLRSRGVSGASKLAFTYDSILMKNEVNKIDKLY -CDEIFMVHSGEWLSVCALYDCNTIRCYGDRNQIPFINRVANTICRYHKDIYLTFKTIDDNISYRCPVDVC -YLLSNLKDDTGNLLYPNGVYPVGDNRNVLRSMEVEPITSVDTYGFDGNGKNISFTRPEREEIDQAIQKAR -LTGMCVNTVHEVQGGTFPHVYLYRLRKYDNPIYENINQFVVSISRHTKCLRYRVITDKMFDKIGERVSAI -NSVQDYVIKNTCLNSMFSIYNLNLDFVKTFKGFSRPPASHFFAINEFMSLVNPNLSAYEYIHRTLLFEYH -DFEMPYLEDVDIKLNKTKIYQPGEYIVSNLLGKGERSRPDTWKQALISLSKRNFSAPRVNEKLDVLKTAE -RLSHSLFKAFDFTKLFENYDPVLPDLNKLGEWLTTRDGMRYGKLKRSMNHRLVVEQFQPLNFMIKGDMKP -KMDMSSYSQYDPPSNIIYYKNCINLFYSPLFLEIFDRIVYCLKGKIIMYSGMNLMTLADLIGSTLPMPVE -AYHTTEIDFRMFDKSQGVLFKVYEEIIYKVFKFSEEMYDNIKLTEYFTRYTGTCGVSGELGAQRRTGSPN -TWLSNTLVTMGILLSQYNLDDIDLMLVSGDDSLIFSKKPLPNVTAEINKDFGFEAKFLMNSVPYFCSKYI -FTDDGKVRVVPDAQRMFEKLSNPIRRSDFEEGTILKERFISYKDLMYYYRFDTTCLAVDRLICKRHGLPE -MSSYAALCYIHCMFANVVAFRKLFDERFSVNI - ->NP_689396.1 polyprotein [Sweet potato chlorotic stunt virus] -MANVCSTMINSGSLALNATKTGFFDPFLNQHINVFQSKTTNASPPSKTEQMAVKNNRFGRMGPTVPKIPF -KVRLALFPNNPTVEVVNSNNEVSYVNKRRVDVEDRLDVLRKLCNIPYCKFNRLPKAVIKVLGYNFYDINK -AIDNVLYGKLGHNNNTPIIPDEEISGCTKNKLGYKLKYFEDRDRIDIFFKFGSYVSDSYDFKINMQALYS -QGRTIRDFRVTAHVCKSKKVTAPFCSSFNLNDRLNYRQFSTKVLISSVIGLFEDYVYLTNLYFGKKFRDF -YPSAIKSVTENHKKYCDYVSRLSTKGAKIGTDSTKDCLDKNKTSYKAVVNSPDLTFGSLKGVKLINNSTA -VPSKPKSNIPLVTFVVDDVGHESFIIKEKNGFTKKITNDERALVTLFNATLTDKKYNIHPKCKVGKHGRL -EDFPNDFCWIDAFACAGKKMPVDLKPYPQISVAYLFRCGLARVIQKHSCETGWRLFHFDRRQVVNLKRLP -HGAVLGVKGDMNIPNITRNIDILFDDLVGNVIEQTSLKADNLLLSNVVNRLSDRVNRMCERTKQLTVKTT -LDSNQKRKLTKLFPELTMNFEDSTYSSHPLQTAMRTCENFLMDEKCGGREYVDVGGDVASLLTKESRDVH -ICCPVVDVKDAHRHITRSSIIDRMKGFNESITICDKLTQDCDVPYPNIVAVEVYDMSLEDMARSILSHKA -KRFDLSLIIPPEICDNYCDVMLFDDSMRVFVRDGVVNYEYGSSGECYKHNLKTLQDILRVQLFVVDGVVF -KKTLECSREQLHFFSIVPCVNMKPGVYNLTSHYSKSRNDKLEMILPVRGFDGGLRNVRVSVDKYVTMHLI -EYAMNTTLKVEDKAAEYLIPQFRSRKSVSISGGKVVQKEFDLPDELHAGYLAVIMAEGARRREKVVNLAR -VSYFKHYAPTVIQVLFNIFFELYGNFKRICYKAFISAMSLVMSEDFLEKVVVGERRIFDVQEVVHFEQEI -VLVGKQGDMNVLRESLHKFTVENEKRADDISQIVYANRESFADDELDVMKQLFQMGGGSKFRGFTNNLDR -STFGFYSKVWSFVNYYVKDVKRVRLVANFFVSLCDFFRQCGMSTWEYVNSVFKEFVGFVKCGLKGSLPNM -WSELKKAAKFTKEKFNKQSEDLKSLLHDFYRRQTGLDDEGNELFWQDMTYDFGTRRMFSEEKKNRFVVEL -KKTYHNLVSKKDKLISRIMTIYNYMKFIYAFIREQLKGEDLINTVDHIIKGASFFFVHALSLCAMGSFSL -TGLVAAVSTYLGLKFTGIEKKYLGSSVVSQHLISACSVSSPAALMTLPVRSAVTKCVEIGLKRKLSKCEG -LASTMQGVIAKDAIDMRWYSYVTPYRVRMTIYISLVLVFFFPRLAIALMLTTFLVAEHKKYFEKFAVVAN -VDLSFASVLNRTQPTKRMATLKRLLKDKFTAAKVKEQKDDDTSTVDAEPSEVAMLGGDISLRDEEVDVEF -DYDGSYVERHGKLSTSKLVVEEDLKPSAADGLNFYQLKISGRGNFMQFNVPFKVSAAMTQYAYRDIPTIV -YTGDEKLDTLNEYYYLEKKKTFMELGKLDNVVDLYLSQLENKSSFEKVVFHLRQRFDDSTLYVSEDGACW -HKLKIGSKSLVSLDHKCKYDCNRVLMAFDSECKDFQVTSDELAGMYSNERCLALEYLTVDKIPQLARDLT -KKVTFYNKPPGAGKTTTIVNEFSRLHNEGKRCLALTCTKAGKVEIAEKLRARGIKSVYSNCLTYDAFLMK -NTFRDVDYVFCDEIFMIHAGLWLAISCNLEFGVMGCYGDVNQIPYINRVPNTICTKSMNHYYQYEMFHDN -ISYRCPVDVCKLLSTLTDAKGQLIYPKGVYPAGDNANVLRSLQVVPIYSPEDAMDDQDVKIVTFTQPEKE -EMIKATRMRNGVSSSVNTVNEVQGGTFSKVDVYRLKPYDNPIYSDLNQFVVSVSRHTQLMRYRVVSSKMS -DKIANNISSMDNVSDFILKEFAAKRCVDVYNLQVEDFFLPQTFSRPPASTLVAVNEFMSLINPGIIDHDF -LHRTMISEYSMFELPPVGDLTIDLSKSKPYISGDFVTSGVLGKGERSRPDTWRQAIASLSHRNYSAPRVN -ERLDTFKTAELLCESLLRAFDMSKLFENYDYIIPDIYRIDQWLSDRDVSKFNRIKRDMNHNLMYEQFSTM -KMMIKGDLKPKMDLSCYTTYAPPANIIYYKHIVSMFFSPLFLEVFDRITYCLSNKVVMYSGMNLETLSRV -VKSKLPLPLDSYYTLEIDFSKFDKSQGCVFKLYEGMIYRFLKFSEEAYLNIETTEYFCRFKSASGLTGEL -GAQRRTGSPNTWLSNTLVTMGMLLNVYDLDDIDLMLVSGDDSLIFSKKQLENKTNELNINFGFEAKFIEN -SVPYFCSKFIVDDRGSIKVVPDPVRFFEKLSVPVRLSDFLAETTLRERFTSYKDLMSEYDNDSVCILVDS -LISKRYSLPLMTSYAALCFIHCLCANFSSFRKIFDEFFVVDI - ->NP_619692.2 polyprotein [Lettuce infectious yellows virus] -MALEVESAFSLSYLLKENKQTSNNLFSLIQVPYTKMTHAKGKKTHLLRCILGSPFETLTKSSIRRSLKNL -REVCNLPYCNFKKIPKNISYKYQNPFLKLYEINNNFINCKVGATGNTNSTYDWRNLSTIGNGWSVTSYHN -DSVSRLHVSMVNNIPNSNTKKLSWWVTRVRSKNPWKRPYKVIYQRDFRDDGIKPYVSEFILYAPKNRADL -EMQSILLSSVECFQDIKYALNYWTNLKFKEICNSALKRFTQTNIIITPVKPRVVSVNKAREVSSSVEVKL -QRYEREGEVFVVSKAGKEDTRVLNDDNAIRNLFNATLNGGKYKLHPEAKSATGKRFKYYKDGFCWLDVFA -DANRRIPEWVKPHCLLTGSVLMSCGLWDFAKRKMVSVSHGLLHYDRKLERSSARAGVRDFVGASNEAVQR -EDFRDLDLRVEEFAERVLETANLRSDNRLIDNILTRASDYINKKSKESKELDINVCLSMDEKKMITNLFP -DIQMSFNQKSYSNHGVFNAMRACENFYFSRKFKNSDYIDAGGDVVSTLRSKNHNVHICSPRLDLKDAARH -IQRATVIDGLKGYGETISFCTNKTEDCAVNRDIIIAVEVYDMTLRDMAKAMLSHGSRKFEFSCIIPPEIF -TKECNVELYEGRLKVTRIGDNVEYYYGSNGETFSHSCQTLKDILSVQVFQFGGRVFKKTLEHSRGQLHFF -SICICEKIEPGSVKLKTYYQRSELDKVTLRIPVKDSFGVVTHYIIKEDREFVSSMIEYVANTGIKIDDKM -VEWTYSQYRAKKTVTIKSGKVTQKETRIRKELIPGFIAIIMSEGIRAREKTHYLAKMLYTSHYKPSIVNI -IFRLIMHFLGGTKRFIYESLVDCLKFLTNSDYIDTIVNTESRIEDLDKWFVFEQNVTITTDAEDQPSILE -QSVKTFLSKYSEDVYERGDRSEFELEEFSSEQDLVDQLLNSGGGSKEDEWFYSYIFSIVRTKCTLSLAWR -WTNRIFNFITSCRAKGKDFVKYIYEIIKIIINKPWEALCHYLVQGYKTVLKIPMTCVDSVNYLKRKSLEK -ILSYFSHERADDEYIFPGYDSDFSEESGDYGDENTELDSRSRCTVIKSKVEMLFRNIKGHIEKFLQRCGI -IDQYNKIYHWFKSILTDVDCFNSVLNFITHTGCDALLAVLTGHFSIASCALKFMTDVVIEKNLSEKHHTT -TKLIAGNLTQCIYKLDFIHPIWIPIRWGVKEISKIHIKKKLLNWRSTKEVTNESICKDLVHKSYIKYFDI -KKAVWLLWFSLLIIFLHPTLGFFILFSIYPAIEIKRYYNNVVCFNNIKMSYPHVIDRLGGTYNFAKLKKA -VREKFSENKSQGVKISEIPAEKDEDVMPPLEEVETPKRSVGRPSSKQDDIEDECNIKEDPTESLNFSNIE -ATSNRYDTLRVGNSKLCKFLRFYPKSKCYANIQTGDLIRDSIEEFYHLERSKLDIEISKMQRVVEIMNDT -GRMLDNVRRMIDDRSMYVTLDGVSWYRLGCKDKNPAKEDFKAIFDHNFNIMEGNAKVKEFAVSSDEWRGM -YSNERCRAIEQLFNDNNEMVRRPDVNGLKFYNKPPGAGKTTTIAKLMSKDLKNKVKCLALSYTKVGRLEL -IDKLKKDGIEKPEKYVKTYDSFLMNNDNILEIVNLYCDEVFMMHAGHFLTLLTKIAYQNGYCYGDVNQIP -FINRDPYTPAYLSREFFRKQDLNYDTYTYRCPLDTCYLLSNLKDEMGNIIYAGGVKNVNEVYPTIRSLNL -FGINVVGEVPVEYNAKYLTFTQDEKLNLQRHIDSQGGCRNAVSTVNEAQGCTFSEVNLVRLVQFDNPVMS -DINQFVVAISRHTTTFKYFTPHSRLNDRVSNAISSLQSVSDFVLKTIILDSAFDVYTLNLTHRDFKLPLC -RPPAARYESIIDLMDIISPGVAFYNYLHRTLIFEYSDYYLPPCEDLRITLSKSKPYHPGAYVVSKILGKG -ERNRPNTWKQVIQSLSHRNFNAPIINHKLDVKRSAQILYDSVVKSLRQDRLTEWYEPILPDLFKIGKWLD -DRDGSKYRMLNRRLDFASLADKFKTLNLMVKGETKPKMDLSTYDSYNAPANIVYYQQIVNLYFSPIFLEC -FARLTYCLSDKIVLYSGMNTDVLAELIESKLPLGLNAYHTLEIDFSKFDKSQGTCFKLYEEMMYKMFGFS -PELYDRDFKYTEYFCRAKATCGVDLELGTQRRTGSPNTWLSNTLVTLGMMLSSYDIDDIDLLLVSGDDSL -IFSRKHLPNKTQEINKNFGMEAKYIEKSSPYFCSKFIVELNGKLKVIPDPIRFFEKLSIPIRQEDFVNGS -VVKERFISFKDLMKEYDNDVAVIRIDEAVCYRYSIPVGCSYAALCYIHCCMSNFVSFRRIYDNCEIVWI - ->NP_045001.2 polyprotein [Little cherry virus 1] -MAFLFSNVVEVTLFTCISPNIKISKTKVPTPEYLKLMKREIEKSLALANARSSPRISVPVAGPITPTAMM -TFSESSDISSRLIGKLPKFILKNSREAVLDKQMHLTRNPENATGFFKCLPKLASKPTSTIRSKTPAVRTA -KLSFNDVFSDAGSINKPAVSKLELSAQLAALFSPEARVGAAPVQQSSLHWTLNADIIRSNLPRGLVCEIY -VSENLNKLLIKLVSSFDKNFPELLIAEVNIPKPNNSGKVHPTVNFVIAPSAGVDSKLPRSYYLYNPHGHF -ESLLSFLIIHNTRPFEDIVDALNLFKPDRFHLALHYRDFCINHLIDVGNNILFNSGRTLRRPASTGYVRK -PVLRAHQAAQTAKIFSSFSEFSIALEKQKAASTASAIKFGDFEVFDLREPDNVIKSYAQALTSNLPVDDR -ILKLNIPVSSEVKTECPSTMNPSNPKSEIAKRAVELSSRATSDSSGEIYSKTFNQLLNIPTGGTIIRHFI -NGVCRSFTLNRSDGRVFNILNNRNAAKIMFNLSLKHKKFYVDGYCRTPSGKLFSEYDNGFCWLQAFAMFG -KIIPTFVEFIPNLNVSCLLQAGLPKSCLKYMHKTGNALYHFDPNKINNIYHANLNFLVGSAMNENKDILE -SDNIDLIVEGFTKQVIAKAYPKSDNQAVTNILNRVGCRINTWCDRKNDLMIFVHLSTRQKKIVCDLFPHL -KFEFKETTQSSHPVFNVVRTVSNFVLYKMQEGRHFVDFGGNIGTVINSECDDVHICNPVADSRDAKRHVD -NGLFLAKSVGVSNNISVCNKLAQHCNHKSDRAVMVEVYDMTLTEMCQAMLAHGTIRLDFILLLPGDLLED -FNTITIFDGGCKITKDDDKVYYYYGDAAEAYTHDLNNLRNIMTDNLVCVDGTAFKKTLETSYGPFRHFSL -TKLETFPSGKIEFLTMYDKCEKNKMLVKVPMRNINGMVTLHDLIVDRSMLMNLIEYCANCVENFNRKGFE -HIMSQYRSRKNYVIYNGKVIHDQVDIPLDLLPGFIGVCLSEGIRLAEKVHYLAKYSYYQYYAPGIFNCMI -YSIRQLFLRFKTVCYEKVLDLMDFLFGEWITTTARNSGTKIFDISASVVVKQSLLISADGDVHSIFTENF -EKYQQRCADLEQHASEYDTASELSDAIDSGGMGVSDLKIFEKFITKLAELGFGERNVLRFSAKLYSCFDW -LRRQSNNDSVLRRVIGYFKSLFSLSTLKNILISPYLLIKWICDCGKASFKTFVSGLLNVFQTFSDRINFL -VKIALDSDEDFQNEVVAAFRNHDLEAFDDTVGKVFTKNFDVVVSDDFTKKKIISIIEDELSYERGIDVDV -QDVKKEILEIASSADTPTITKVNNLGHLDDALENDVRHLVLDIQLRKEVLRMVDEDLNEALESGGAGKSK -LCDKIFNYILDRLNLFKHYITSKFGWDFYDILHESFEEVKVRKSAILCAYHDDLSDIYTDNGLVLKPLNK -SAREVLYARLIAKMKFIYRNFMTTFIPSMRFLSGIKNKIMSHICDAEKRQAIFDKCLDATLTVSCTATAQ -LFGGNFCLIRLILSPILSAYLKKSWTYYFGEHDGIISTIIASTLLGGGNYSVNKMAIVSGSYFHFKPYFC -NKAMKYNSFKQYVTDYIARHNFFTTAKTTVGLITSPVGVAVLTVGALSGINPFAAMFFFAAASHYKNFYD -GIVRVSNIAIATGDTLLHLKPVGALKKAINKVKRMKFKNSNITSNSTRVNEVNNDGHIANDTDNKNSETS -NIAYEEVEEQSDDDEIVDIYMKRVKKDKMPIKEYIDQSQEPGVKQALTNQLLKDSYNDPNASSSYSTGAV -DHLPVDGKYQSVFDIRKNHRGPDISVQLCEELPMCEIMRNYPASKNIVFQQTVNEVENSIQEFVQIESLT -LLLNFKKLETTLDKFDSGMKTYRQLQTLVNDSTQFMYVKGQDWVPLSLIHSDVPQTVEYSVTSSRKLARF -DSNGDEVQFTTTEFAAGFSNKKLISMDKFRQSANIIDSDRLSTMKIVNKPPGSGKTTQISQMMFDCHIRG -KTCLALTSTKVGKNELIEKLKNLIVGAKPKNVKTVDAFNMHGKKAIVDELYVDECYMSHSGAILMALSNV -DFTNCKFFGDENQIPYSVRLTGFEALFATTVFKYCHVTHDSISYRCPADVCYLLSHATDRAGNKMYTNGV -YAVGNQNIRTMHLNALTGVANIPIREDTVYAAFTQGEKDELNRFAKKNIAKTVNELQGGTFKNLIVFRLR -LHNNVLYNDTRQFITAISRHTETFNYFCPSNAMNDSISNAINKLNTIEDNVLPNLGSDSVFDEYSLVMED -RPFNVFPARPSLSSVHAVNDFMDLTFNGLSSVDYLTRTLRFEYSDIELPCLEDLLLTPTKSKPYQAVNCK -VPILIGKGERSRPDTWKQVILSLSKRNFAAPRLNEDISIDETANRLLTSLMRCMEPSRLAEYFDVVEPDV -NKINSWLLTRDRRKYGNVLRGFDGNDWTTKLFNLKLMVKGDMKPKLDMSGVSEYSPASNIIYYQTMINMF -FSPIFLEIFGRIKYCLGDKVILFSGMNLDEMGDLLESRLCYPLNSYNFVEVDFSKFDKSQGHVIKLYEEL -VYKIFKFSPNLYDNFKLSEYFCRASATCGVNLDLYCQRRTGSPNTWLSNSLATLAMLASVYDLDDIDLII -VSGDDSLIISRKEIENKCLEINNDFGMDAKFLANPVPYFCSKFIIQVDNRIRLVPDPVRFFEKLSTPVTL -VQLEHPTLLRERFTSYRDLMGSYFDENVIIAVERFVSLKYNTPMGSGYAAFCYIHCLLSSFKNFLTIFGD -DTSIQL - ->ACG69815.1 polyprotein [Little cherry virus 1] -MAFLFSDVVVVPHFTCISPNIKISKTKVPTPAYLTLMKREIEKSLALANARSSPRISVPVAGPITPTAMM -TFSESSDISSRLIGKLPKFILKNSREAVFDKQMHLTSNPEKATGFFKCMPKPASKPTSIIRSKIPCCSYS -KLSFNDVFSDAGSINTPAMSQPKLSAQLAALFSPEARVGAVSVRQPSSHWTHDADIIRSNLPRGLVCEIY -VSENLNKLLIKLVSSFDKNFPELLIAEVIFPKPNSSGKVYPTVNFVIAPSAGVDSKLPRSYHPYTHMAIL -NLLLSFLIIHNTRPFEDIVDALNLFKLIGFHLALHYRDFCINHLIDVGNNILFNSGRTLRRPASTGYVRN -PVLHAHQAAQAAKTFSSFSEFSIALEKQKAASTASAIKFGDFEVFDLGEPNNVKKSYAQALTSNSSVDSR -ILKLDIPVSSEVKTECPPAMSPSNPKSEIAKRAVELSSRATRNSSGEIYSKTFNQLLNIPTGGTIIRHFI -NGVCRSFTLNRSDGRVFSILNNGNAAKIMFNLSLKHKKFYVDGCCRTPSGKLFSEYDNGFCWLQAFAMFG -KIIPTFVEFVPNLNVSCLLQAGLPKSCLKYMHKTGNALYHFDPNKINNIYHANLNFLVGSTMNENKDILE -SENIDLIVEGFTKQVIAKAYPKSDNQAVTNILNRVGCRINTWCDRKNDLMIFVYLNTRQKKIVCDLFPHL -KIEFKETTQSSHPVFNVVRTVSNFVLYKMQEGRHFVDFGGNVSTVINSECDDVHICNPVADSRDAKRHVD -NGLFLAKSVGVSNNISVCNNLAQHCNHKSDRAVMVEVYDMTLTEMCQAMLAHGTIRLDFILLLPGDLLED -FNTIIIFDGGCKITKDDDKVYYYYGDAAEAYTHDLNNLRNIMTDNLVSVDGTAFKKTLETSYGPFRHFSL -TKLETFPSGKVEFLTMYDKCEKNKMLVKVPMRNINGMVTLHDLIVDRSMLMNLIEYCAICVENFNRKVFE -HIMSQYRSRKNYFIYNGKVIHDQVDIPLDLLPGFIGVCLSEGIRLAEKVHYLAKYSYYQYYAPGIFNCMI -YSIRQLFLRFKTVCYEKVLDLMDFLFGEWITATARNSGTKIFDISASVVVKQSLLISADGDVHSIFTENF -EKYQQRCADLEQHASEYGTASELSDAVDSGGMGVSDLKIFEKFITKLAELGFGERNVLRFSAKLYSCFDW -LRRQSNDDSILRRVIGYFKSLFSLSTLKNILISPYLLIKWICDCGKASFKTFVSGLLNVFQTFSDRINFL -VKIALDSDEDFRNEVVATFRNHDMEVFDNAVGKVFTKNFDVIVSDDFTKKKIISIIEDELSYERGIDVDV -QDVKKEILEVTSSADTPIVTKVNDLGNLDDALENEVRHLVQDIQLRKEVLRMVDEDLDEALESGGAGKSK -LCDKIFNYILDRFNLFKHYITSKFGWDFYDILRESFDEVRVRKSAILCAYYDDLTDIYTDNGLVLKPLNK -SAHEVLYARLIAKMKFIYRNFMTTLFPSMRFLSGIKNKIMSHICDAEKRQAIFDKCLDTTLTVSCTATAQ -LFGGNFCPIRLILSPILSAYLKKSWTYYFGEHDGIISTIIASTLLGGGNYSINKMAIVSGSYFHFKPYFC -NKAMKYNSFKQYVTDYIARHNFFTTAKTTVGLITSPVGVAVLTVGALSGINPFAAMFFFAAASHYKSFYH -GIVRVSNIAIATGDTLLHLKPVGALKKAINKVKRMKFKNSNITSNSTRVNEVNNDGHIANDTDNKNSETS -NIAYEEVGEQSDDDEIVDIYMKRVRKDKMPIKEYIDQSQEPGVKQALTNQLLKDSYNDPNASSSYSKGAV -DHLPVDGKYQSVFDIRKNHRGPDISVQLCEELPMCEIMRNYPASKNIVFQQTVNEVENSIQEFVQIESLT -LLLNFKKLETTLDKFDSGMKTYKQLQTLVNDSTQFMYVKGQDWVPLSLIHSDVPQTVEYSVTSGRKLTRF -DSNGDDVQFTTTEFAAGFSNKKLISMDKFRQSANIIDSDRLSTMKIVNKPPGSGKTTQISQMMFDCHVKG -KTCLALTSTKVGKNELTEKLKNLIVGAKPKNVMTVDAFNMNGKKVIVDELYVDECYMSHSGAILVALSNV -DFTNCKFFGDENQIPYSVRLTGFEALFATTVFKYCHVTHDSISYRCPADVCYLLSHATDRAGRKMYTNGV -HAVGNPNLRTMHLNALTGVANIPIREDTVYAAFTQGEKDELNRFAKKNIAKTVNELQGGTFKNLIVFRLR -LHNNTLYNDTRQFITAISRHTETFNYFCPSNVMNDSISNAINNLNTIEDNVLPNSGSDSAFDEYSLVMED -RPFNVFPARPSLSNVHAVNDFMDLTFNGLSSVDYLTKNSPVLSIPDIELPCLEDLLLTPTKSKPYQALNF -KVPILIGKGERSRPDTWKQVILSLSKRNFAAPRLNEDISIDETANRLLTSLMRCMEPSRLADYFDVVEPD -VNKINSWLLTRDRRKYGNVLRGFDGNDWTTKLFNLKLMVKGDMKPKLDMSSVSEYSPASNIIYYQTMINM -FFSPIFLEIFGRIKYCLGDKVILFSGMNLDEMGNPLESRLCYPLNSYNFVEVDFSKFDKSQGHVIKLYEE -LVYKIFKFSPNIYDNFKLSEYFCRASATCGVNLDLYCQRRTGSPNTWLSNSLATLAMLASVYDLDDIDLI -IVSGDDSLIISRKEIENKCLEINNDFGMDAKFLANPVPYFCSKFIIQVDNRIRLVPDPVRFFEKLSSPVT -LVQLEHPTLLRERFTSYRDLMVLYFDENVIIAVERFVSLKYYTPMGSCYAAFCYIHCLLSGFRNFLTIFG -DDTSIQL ->ABQ41107.1 polyprotein [Cucurbit yellow stunting disorder virus] -MSSSLVAADNQTNVALTSTVNVKGSFYQHHNLHKHGNKTNKAIKRVNKTEQDSNKRDELFAGRVAVSPKT -FRNRLVAPGLNPHDVIILSNGNAQLIPIKKSFVKNRLNILRSICSIPTCNFNKMPKPVIYKFGYDVDRIN -RAIDSYISSKVGTTNEKPRAPTEIIRGVTKYGDGYRLSHYEETGTTKISVCFDRLVKGKYMFEITLTEKF -NKSKVFEDFRVEAKKLYANTTGQSYCQPFDLHGRANPLQYHVKVVLASVLQKIPDYQYYFGTYFGNKYAK -TIKSCKHLVYVSCYNFFQFCISKVGVNVSARPVRLVDKGNLKTHFAKIDLKVDLNGREYFVVTNVRGEVY -NIPNDRQAIRNMYNATLDNGKYVIHGECITPYGTRYKSHPNQYCWIKIFSRAKIAMPRELIPFPELNYGF -LVSCGLGQTMQGRLKTTGPGLLHYDGNLHGGKQVIRYGSKVGVRIETESDHLIKNIEILFDELCTGVVST -STLRGDNPLMNNLTNRMSDMINKQCAKNKDIVISTCLNNRQKRELTELFPEINYEFQDSSYSSHPLATAM -RHTENFLLARSCNNRQFIDAGGNVVYHLKKMVADVHVCSPVVDVKDAHRHMTRSLQLDKMKGIDERVTMC -CNLVQDCDVVYPNIISVQVYDMTLQDMARALVSHKAKRYDFSMIIPPEIYDDNCDVLLFDDSVRVRVIDN -KVKYEYGDSGEAYYHDRENLKNILATQIFEVDGIIYKKTLENSRKQLHFYSLVACVDMPTGIYRLETHYN -RSETDKLQIRVPVEGYDKQVTMEIIKVEKSNYHHMIEYAMNTVLKLDEKAYEYLLSQYRARKSVSIRGGK -VTQITCDMNPKAVAGFIGALAGCALRLREQAHRSAKLAYLDFYAPSLLRYIAKVICVILKRVNNWTKKYF -ESVLKFLTPESVLEQVNSESCGVYAQEGEYNFVQTVNVINEGERKNVLSESIQKFKKFEEKMVDNLENKT -AEHQQFFNPDMQDVMNDIFNLGGGSASPTPSLELMYITSYRFYQRIYEVLSWFCKDNKKCGKFCNFICAI -WGYLREKGLLTFSIFLNLVMDVINFLKSGVNKLPGQIGLRFKRIFELVSNKFSSTNRSFEEKLDKLLKEE -EHMYVLRDTSKISETYHLETDEEDVDLNALFDLASIGGGSKYFRFYTLNLKSVFPRFKKFLYHLNRLKYK -CCSIIEKFLNMCKVGGTRVKEFCKFYFDLIFEEDGLNYIIKNVSFNAVNIFVALMVGDVSIFRTLISSIY -YAYMSCYGRESKLIGGESMSRSIGFMLMTPSLPGIITLPIKLAAELNLGSSIKLYFSDKERFKPAATNLI -AKDVLLKDQVVKLTSMTTQRLCSISLILMLIDIKWGLSFIITFYLLTSFARYVKSICVGANILISYGKEL -DRLNPTMKYRKLKKLFVERFDKSRFLKPNNKPEDESEDFSDRAEICRVGNEQCNSDVEVELDHKGKVVYD -KSRDLSTLSNFQSDCAEDRVDGLNFSLLNPTLDRTQIECNVSFSLSHALLIFPKSDGLDFVQTGNHLLDS -VKEFYHLEAKKLHDEMGRLNGAIRKYYELNLETKSVRDAIWHLRNYLDDSSLYAMLDSRSWYRLGKKDHG -FTTLEAECKYTHENELVDFDTVKSGVQICSDELIGMFANKRCIALESVIRTKADNIASIRNKDVIFFNKP -PGAGKTTEIVNNLIEDLKNKKISVALTHTSNGKREIVQKLRSRGVSGASKLAFTYDSILMKNEVNKIDKL -YCDEIFMVHSGEWLSVCALYDCNTIRCYGDRNQIPFINRVANTICRYHKDIYLTFKTIDDNISYRCPVDV -CYLLSNLKDDTGNLLYPNGVYPVGDNRNVLRSMEVEPITSVDTYGFDGNGKNISFTRPEREEIDQAIQKA -RLTGMCVNTVHEVQGGTFPHVYLYRLRKYDNPIYENINQFVVSISRHTKCLRYRVITDKMFDKIGERVSA -INSVQDYVIKNTCLNSMFSIYNLNLDFVKTFKGFSRPPASHFFAINEFMSLVNPNLSAYEYIHRTLLFEY -HDFEMPYLEDVDIKLNKTKIYQPGEYIVSNLLGKGERSRPDTWKQALISLSKRNFSAPRVNEKLDVLKTA -ERLSHSLFKAFDFTKLFENYDPVLPDLNKLGEWLTTRDGMRYGKLKRSMNHRLVVEQFQPLNFMIKGDMK -PKMDMSSYSQYDPPSNIIYYKNCINLFYSPLFLEIFDRIVYCLKGKIIMYSGMNLMTLADLIGSTLPMPV -EAYHTTEIDFRMFDKSQGVLFKVYEEIIYKVFKFSEEMYDNIKLTEYFTRYTGTCGVSGELGAQRRTGSP -NTWLSNTLVTMGILLSQYNLDDIDLMLVSGDDSLIFSKKPLPNVTAEINKDFGFEAKFLMNSVPYFCSKY -IFTDDGKVRVVPDAQRMFEKLSNPIRRSDFEEGTILKERFISYKDLMYYYRFDTTCLAVDRLICKRHGLP -EMSSYAALCYIHCMFANVVAFRKLFDERFSVNI diff --git a/seq/clusters_seq/cluster_1340 b/seq/clusters_seq/cluster_1340 deleted file mode 100644 index 0cd1502..0000000 --- a/seq/clusters_seq/cluster_1340 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_007697648.1 unknown [Alphamesonivirus 4] -MLDLVVGRKYSAHVYVSGLGPNRRFLYIRYARPGTSRTFKLNNITLEESSPLNENNV - ->YP_007697635.1 ORF4 [Alphamesonivirus 2] -MLESMLDLVVGRKRIAHVYVSGLGPNRRFLYIRTQGLEQADHSCKLTSLEQSCTTE - ->YP_004598987.1 hypothetical protein CAVV_gp7 [Cavally virus] -MLESMLDLVVGRKRSAHVYVSGLGPNRRFLYIRTQGLEQADHSCKITSL - diff --git a/seq/clusters_seq/cluster_1341 b/seq/clusters_seq/cluster_1341 deleted file mode 100644 index db78e9a..0000000 --- a/seq/clusters_seq/cluster_1341 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_006666647.1 CP [Caladenia virus A] -SGIDSGGVVQPPIPPTPTNGGQQPQGQISQSNQLANLLPTQAPAMVRRLYTPPPLRAAMVNPTLAKRMGS -YTPPAQLISDQASTLKQLETWMVTIAEELEITIEQFSTDVLPFFIYWCIVNGASDKHGTKTEWKKANYQI -TNEGIFGELDEVAPQTVYPLEPFIRAAKPTLRAIMRHFGPLAYKWVKASVQNGQVIKPANATHAGLDDPR -YFPCCVDFVTADILTTEEVSVRNQVINARTMGARNVLFRHATAPGAVAQDTNLRLPTDSNYGRTQIGGFQ -FDQTD - ->YP_006423942.1 coat protein [Sugarcane streak mosaic virus] -GEEALIYHAAVGEQGTQPGLNQSTSASTETSTSATTTSQAGSQTTGNLTNTVSQTMRSLYVPPLVKSLKT -EAKAKQMMRYTPPQALISSSAASIRQFNDWANTAAEGYGKTIQQFTDEILPFWIYWCVVNGATEENKTKP -KWTKAVLNLDGADGTEITVDENGPQVEFEMGPMYRNAKPGIRAIMRHFGELAYKWVQFSVRSGKPIIPHN -AVKAGLTTPEFYPCCIDFVMVNILSPAEIDVRNQVINARTPRMGKPLFRHALRAGGDEDTDLRREDDANY -GRTQIGGAHFGRAQH - ->YP_002956096.1 coat protein [Triticum mosaic virus] -GADQSGVVKDQTGDKAEGSGTKTEDPPNQTTDPVNNPSNGGNKDAPQNLNATVVTKSYTYIPPIMKSLVT -IDTAKKMADYTPPDALISTQACTLEQFGRWANAAANGLGLSMQAFQTDVVPYWIYWCIVNSASDEHKKLS -SWTKVNMTIDDATGQINLNEGEAQTIYEMSPMFDEAKPTLRAVMRHFGALAYRWVKFSIAKRKPIIPHNA -IKAGLMDVTYFPCCIDFVTVDQLSPQEQNVRNQVINARVSDTPRALFKHAQRAGAGEEDTNLRRDDDANY -GRTRVGGAMFGTR - diff --git a/seq/clusters_seq/cluster_1342 b/seq/clusters_seq/cluster_1342 deleted file mode 100644 index d78a9ab..0000000 --- a/seq/clusters_seq/cluster_1342 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_006423946.1 PIPO, partial [Bidens mottle virus] -KLSRSLGRVMERVKLAGKISINLACTKIKSAFHRKFETRKGRRFQRNVRYITTCIFHKSFEPYTGSHQNH -KTSNFSIRR - ->YP_006395333.1 PIPO, partial [Chilli veinal mottle virus] -NLQGGFKSTMVRVKLVGKIAIQITCTKIKKAVYSRFARDNLRNFERSITLFHKICTGEDSINTNTTSLRI -W - ->YP_006393318.1 PIPO, partial [Pepper mottle virus] -KLSRPLTRPMERIKLAGKISLLLVLKKAKDSFAVKYQKQKFARCQRNIQFITETIYGKGFLPHESRSSVH -QARN - diff --git a/seq/clusters_seq/cluster_1343 b/seq/clusters_seq/cluster_1343 deleted file mode 100644 index c68cd06..0000000 --- a/seq/clusters_seq/cluster_1343 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_006395322.1 PIPO, partial [Oat mosaic virus] -SHRKLRTICLTPYSARFQDRIWVKGFLLYRTLRFATALKTETLWYHTKGVFLGKKQGAPTIHYTKVDEVY -ARKLYRFLRSGYPFHA - ->YP_006393469.1 PIPO, partial [Barley yellow mosaic virus] -SRRRLRTICLEPHRATFQDRIWAKWYILWRTCCYATRLKTLYLWYRTKRVFVGENQKALTVHNPRVDHAY -AHRLFLVLSSRYPYLT - ->YP_006393467.1 PIPO, partial [Wheat yellow mosaic virus] -SRRKLRTLCLTPHRARFQDRIWIKGYLLWRTLRFAARLKSMRLWYRAKRVFVGENQKALHVHYPRVDRTY -ANRLFHAVSSRYTYLS - diff --git a/seq/clusters_seq/cluster_1344 b/seq/clusters_seq/cluster_1344 deleted file mode 100644 index c0c1e46..0000000 --- a/seq/clusters_seq/cluster_1344 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_063391.1 6K2 protein [Hordeum mosaic virus] -SKEAIAQKLQLKAKYDNRLVTTDILLALGTLAGGSVLLYKYSTSALDEQVSFE - ->YP_054397.1 6K2 [Agropyron mosaic virus] -SRDEMIQKLQLKAKYDNRLIATDLALTAGTLIGGGVMLYKYVMNAVDEQVTFE - ->NP_734324.1 6K2 protein [Ryegrass mosaic virus] -SKQEIIRNLKLKAKYDQTLIASDLLLGTAVLIGGGAMLYKTFMTETNTRVHLE - diff --git a/seq/clusters_seq/cluster_1345 b/seq/clusters_seq/cluster_1345 deleted file mode 100644 index bbe370b..0000000 --- a/seq/clusters_seq/cluster_1345 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_006347731.1 proteinase [Grapevine deformation virus] -EGPSQGQGEHEELVTEFYYYSDGVKKLISTCWFKGRSLLMTRHQALAVPVGTEVEIIYADGTVKKLVWPG -RQEDGNCKGFVEFPENELVVFEHPHLLTMPIKYEKYFVDDADRQISPNVAVKCCVARLEDGIPQFHFWNK -YATARSETHTLKDEGGGNVYQNKIRRFIVYAHEAKKYDCGALAVAVIQGVPKVIAMLVSGNRGVTYSSVI -PAYSSSYLR - ->NP_734037.2 protease [Grapevine fanleaf virus] -GEGPSKGQGEHEELVTELYYYCDGVKKLISTCWFKGRSLLMTRHQALAVPIGNEIEVIYADGTTKKLVWP -GRQEDGNCKGFVEFPENELVVFEHPHLLTLPIKYEKYFVDDADRQISPNVAVKCCVARLEDGIPQFHFWS -KYATARSEVHTLKDEGGGNVYQNKIRRYIVYAHEAKKYDCGALAVAVIQGIPKVIAMLVSGNRGVTYSSV -IPNYSSSFIR - ->YP_054442.1 cysteine protease [Arabis mosaic virus] -DGPAQGQGDHEELVTELYYYFDGVKRLISCCWFKGRSLLLTRHQAMAIPIGNEIQVIYADGTERKLVWPG -RQEDRSCKGYIEFPDNELVVFEHARLLTMPIKYEKFFVDDPDHQISPNVAVKCCVARLEDGIPQFHFWNK -YASARSDVHTIKDEGGSAVYQNKIRRYIIYAHEAKRNDCGAIAVAEIQRTPKVLAMLVSGIGNVTYSSVI -PSYSSSFVR - diff --git a/seq/clusters_seq/cluster_1346 b/seq/clusters_seq/cluster_1346 deleted file mode 100644 index 68c7130..0000000 --- a/seq/clusters_seq/cluster_1346 +++ /dev/null @@ -1,9 +0,0 @@ ->YP_006347730.1 VPg [Grapevine deformation virus] -SEPRMEERYPPRNRFVSRISKIRG - ->NP_734036.2 VPg [Grapevine fanleaf virus] -SEPRLEERYSPRNRFVSRISKIR - ->YP_054441.1 VPg [Arabis mosaic virus] -SEPRLEEGYIPRNKFVSRISRTRG - diff --git a/seq/clusters_seq/cluster_1347 b/seq/clusters_seq/cluster_1347 deleted file mode 100644 index ee7a68f..0000000 --- a/seq/clusters_seq/cluster_1347 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_002790889.1 non-structural protein [Homalodisca vitripennis reovirus] -MDLKSLFNIFVTSEIDYKSFDPLPESEELSGAVQLDKLECAILPLAINPGIINIIHDAIEELDNLDKMKA -RFGSSLGLRIYNAYKSQNVEAKQCPIIGIPLMGSTCAGLFRNGGHLLGYKGESYKDTYPVTIKRFLSNDH -DLKTNRVSCFQAFAWMCFTNVFAERFQYETKNYEATYAAFSNPNTYARNSIFWDLKDDGAHSMMSMIHVI -NKSVSQALYYAVMASAVADANADLNDCVEESKVFDGQAILRDLAALVEKTVMTPSLYALIAYIWLSTPAD -TEAGAVVSALLWNDDVEFISHYLHTFTHPTATSTSTKKIKEIETGYTCVVNNGYTSYITVLMGVADSESF -LKSDLSQYGLLKFKTSTDSICLFMFENVDVKTGKTMTMIDMSKTTFQTIVNVTGIDTYVLQNSKNYCIDW -IRCVSILNYGNLTFTADDYNWISKMSADVVPWAHGDLSDCNVHGDAYFALLKRMVGFSASTESVREILLA -SKDLVCKLTPVARGVLTFIISRKA - ->NP_620533.1 nonstructural protein [Rice dwarf virus] -MDTETLCLITADSGKVYGILKAIFGDESEIVKKLIDFDVSIRVVPLNLGLLNIFRDNAADLDNADLMKRR -FGNTMGSRIVEAYRRSQDSKYKRNVCKTTGLLVCLFGGGLGLSREADKHKKFVEGKSHNILSVEMLKRAL -SIGGQNVDANKISSFWFATYTIFTTVYSPRLRYQAGSSKRIIALSESRNQYRSNLFWDLRDDSSHEVMSM -VHVLSALFASALTAYISTRVRHELTQGNDERESLNNVLVWLKTLTFEPSTIALIAYIWLVSPTDAQATIT -IGSVMESESSDDFPDIVKILSYTSNTMLPVQLLEDGRTAYCSVADGYTRHTTALTLITDYNSSHMSDKFG -VLINIVKFEHAYALHYVHHKPRDGKEMTITSPSSEMMFTSVVVTPLSSYPLIHARNAVIDWLRTFVHMFP -DSGSLVIPADSYTWIHNLAQDMFPWVQLSTTLDIRDDHYFQVLCDCLSLGRDSRNHAKVEKLIKYMKASV -YNFTSEARGNMLLAITVYK - ->sp|P29249.1|MVP_RDVA RecName: Full=Movement protein; AltName: Full=Non-structural protein 6; Short=Pns6 -MDTETLCLITADSGKVYGILKAIFGDESEIVKKLIDFDVSIRVVPLNLGLLNIFRDNAADLDNADLMKRR -FGNTMGSRIVEAYRRSQDSKYKRNVCKTTGLLVCLFGGGLGLSREADKHKKFVEGKSHNILSVEMLKRAL -SIGGQNVDANKISSFWFATYTIFTTVYSPRLRYQAGSSKRIIALSESRNQYRSNLFWDLRDDSSHEVMSM -VHVLSALFASALTAYISTRVAHELTQGNDERESLNNVLVWLKTLTFEPSTIALIAYIWLVSPTDAQATIT -IGSVMESESSDDFPDIVKILSYTSNTMLPVQLLEDGRTAYCSVADGYTTHTTALTLITDYNSSHMSDKFG -VLINIVKFEHAYALHYVHHKPRDGKEMTITSPSSEMMFTSVVVTPLSSYPLIHARNAVIDWLRTFVHMFP -DSGSLVIPADSYTWIHNLAQDMFPWVRLSTTLDIRDDHYFQVLCDCLSLEHDSRNHTKVEKLIKYMKASV -YNFTSEARGNMLLAITVYK - diff --git a/seq/clusters_seq/cluster_1348 b/seq/clusters_seq/cluster_1348 deleted file mode 100644 index f9ce10b..0000000 --- a/seq/clusters_seq/cluster_1348 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_001950229.1 protein 3A [Human TMEV-like cardiovirus] -SPGNDMDYVLTCLRQTNAALQDQIDELQEAFNQAQERQNFLSDWLKVSAIVFASIASLSAVCKLVSRFKN -LVCPAPVQIQLSEGEQ - ->YP_001816889.1 protein 3A [Saffold virus] -SPGNDMDYVLTCLRQTNAALQDQIDELQEAFNQAQERQNFLSDWLKVSAIVFASIASLSAVCKLVSRFKN -LVCPTPVQIQLSEGEQ - ->NP_740432.1 protein 3A [Theilovirus] -SPPDWQHFENILTCLRQNNAALQDQVDELQEAFTQARERSDFLSDWLKVSAIIFAGIVSLSAVIKLASKF -KESIWPTPVRVELSEGEQ - diff --git a/seq/clusters_seq/cluster_1349 b/seq/clusters_seq/cluster_1349 deleted file mode 100644 index dbcce4c..0000000 --- a/seq/clusters_seq/cluster_1349 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_001950227.1 protein 2B [Human TMEV-like cardiovirus] -GGVLTKSQAPMSGLQSMLLRAIGIEADCTEFTRAVNLITDLCNTWESAKTTLSSPEFWTKMVMRIVKMVA -ASVLYLHNPDLTTTVCLSLMAGIDVLTNDSVFNWLSTKLSKFFHTPAPPIVPLLQQQ - ->YP_001816887.1 protein 2B [Saffold virus] -GGVLTKSQAPMSGLQSMLLRAIGIEADCTEFTRAVNLITDLCNTWESAKTTLSSPEFWTKMVMRIVKMVA -ASVLYLHNPDLTTTVCLSLMAGIDILTNDSVFNWLSSKLSKFFHTPAPPIVPLLQQQ - ->NP_740430.1 protein 2B [Theilovirus] -GAVLTKSLAPQAGIQNILLRLLGIEGDCSEVSKAITVVTDLVAAWEKAKTTLVSPEFWSELILKTTKFIA -ASVLYLHNPDFTTTVCLSLMTGVDLLTNDSVFDWLKSKLSSFFRTPPPACPNVMQPQ - diff --git a/seq/clusters_seq/cluster_135 b/seq/clusters_seq/cluster_135 deleted file mode 100644 index 3cf6cec..0000000 --- a/seq/clusters_seq/cluster_135 +++ /dev/null @@ -1,518 +0,0 @@ ->YP_010087807.1 replicase [Epiphyllum virus 4] -MNRLTYMTVKINIPTYMSRVYHGLFDTGANICVCKTKVLPPEQWKQFSGTVITGFSDDKNIATYKAENIK -VMIAKEAFIIPYIYAMDNIAADIIIGATFYVKYSPITIDIHNGLIKFTKDKNLYPNYLVKYPKLKKLEPW -KKGNPSITQPLENLVNMVKEDTLENKINKVLGSDVFGEHPLQGWEKHKTFAKIELKNDDEEIYKPPLHYQ -PSDLPEFKMHIDEMLRDDYIEEKTNFENKKYSSPAFIVNKHSEQKRGKTRLVIDYKDLNKKAKIIKHPIP -NKDILIIRGIKANYFSKFDCKSGFYHIKLDKESKKYTAFTVPQGYYQWKVLPFGYHNSPSIFQQFMDKIF -RPYYEFILVYIDDILIFSHTEEDHLKHIKIFKECILQNGITLSNKKSELGKTTIHFLGVIITHGGIELQP -HIIDKILEKHIKIKNKTELQSILGLLNQIRNFVPNLAKLLKPLQKRLKIKPEEQWLWTTEDEGQIQLIKS -YCKDMQIKMQYPTEEKDMNWKIEVDASKTSYGTCLKYKPHKSRTEYICRYNSGTFKQHELNYDVNRKELV -AILRGLQNYSTFTTEGHKLIRTDNSQAYYWIKNNKANNAIEMRNIKGILAKICMYDFEIEIIEGKTNIIA -DYLSRYNIQDGTTITASYEQTG - ->YP_009553669.1 polyprotein [Pinus nigra virus 1] -MASKFLRSFSTIDKRSISEVENRSVESDLNEHEQVEDPENWNPPALDTKEIYPVPMMFKFSKKYYFKEVE -VKIRIKGPHVQIIGLNIFSEDEIKKFRKYAKEGRFHYLHFGAIRIGLAPLFRHGLNTPCIAELFDSRHNE -YDHARIGTILGNLMSGCQYGTIYPDYAISLTDVHLKECWKALIGVQGLSMADESEFLSAIVQTSFQLTNT -VHPKLKQPVLKDCVTVGIGNAQIEGVHYDKETLPDHWYIQYKSLASDNATSKVKRLALEDGGVKIIPNQS -IHPRIPKPIVLPEKHVLPIESTVQSGRKSFYRQLSIPEEPSTSSNTPRSENQLYIPEEKPFLAVNKMFRT -YVHKYPPMEEYQFYNKRFVKGGKWPPKTKFCVSSSMSKQPSTRADCAPLLEHNSQDINDMDQVYQVGNYL -TTWCSHAEEVLDDLGQQAELINANLKLLYEEQQEEKREREERRKRKMKKKAKKVPKFHVDMSAPNYWDLE -EEEEREAAETSAGEGTSRDTGKLTSESSKTFKAEKPFESKKEVMTLEQAAIKFPSFIMPSIKNQNKAHIL -KETVKYPRIEEDLFASNSSGYILDLDNSSNPGESIRIWIGALYQMQVTTKLDNISIMILAEKRMAGIVFD -WWMGGTEAERLAVMEAGLATLEMLLKTQFMPEPKDEKKQLLTDLSRMELRDLKYLDQFGKDFMAKVFKAN -LFNDLAQKVSYLSKLPGNLGDLIMKDLEVQMKSLDQVYWVDLLFRVSEKVKYLCWQKKAHDITPSSDVCK -TVLPWTPFKSKKKKYKRYGRYKPKRVANPKRPFRKYRFLKKRTAPRNNNCCFICKKEGHFARKCPQKSSS -KLKACVDIEEFQDDWSVVESDEEVSDVYILTEASDNEEENHVQKMNICSNCSDDDFSSERHYSEIESSST -IDSSSETEDDSDDESEESELSEIPDRGKQPAKILPQFNSLCPFEKKIAPSTSIPQPEVTRNEQNTLLKKI -VKTDSLIYIPVQVQVKNEWVSVDAFVDTGGSNNLARPSLFKPLWKPLKNILVSETIGGSVQLTHYVDNIS -LKVGGSIVKISAIQHYDPSASLMLGMPFINSVLPVTISQDKLIINMKKKAISVPRLSIANSEARRENSQK -KVGTRRPSKDSNDWQEVLQIYEARTEKTNKQAACIDTNWSIEQKDIYQRLLKSCSDNPQQFWETESPMQE -IVTLHDNGVKGKVIPCTPADEQEMRNQIQELLKIQLIEPSESHYACSAFLVRNHSEIVRGKPRMVINYKP -LNAITQNFNYPLPRPEVIMQKIQHSKVFSKFDMKSGYYQIQIQPEDRHKTAFICPAGFYQWKVVPFGLKN -APAFFQRRMDYIFAKYDFIVTYIDDILIHSPDVQNHLKHLEIFLEEVKKHGIVLSERKMSLFQDNIDFLG -INVANGSIQMQPHVLTKLTQFPDKLKDKKEIQRFIGVLNYLHKYIPNLSEKTAPIRRHNNGGWSDEATAA -VKKLKEECQHLPKLQPPGDGLLILQTDASLDFWSAVLLEQRRNNEGEIEENLCGYASGEFTESQKNYFIA -EKETLAIFNGIQRFEVYLTPVKFLIRTDSKNFKYFLSAKISRQLAKGRILAWQIWFQQFDFEVEWIPGNS -NVLTDVLTRDMSKMYITCKYSIFEIPGKSPADDSFATFVDRFRILENYATALTDEIKKVVGLRLRRNWWI -RRCQAWQSKNLGISLGLDENLVRVYSLPNMKVKLYFRSLSNLWSSMYTPKMIWTLRILNYYQIIGGVDFA -FTLFTGAKVLDLEEIPIEEPMIKYLVSLKIPDIFEEIESAYLQRIDENYANTDSFPQYEIFENFADWKIQ -GGECRKIQVTRVSQCIQRTVQYLKTYAYAWDFHSLRMRDNIEPNPFSQVFSHEKIGTIETDNNQEVIKQH -AEWFHEFGNLRLVEKIQILRKMDDLQGHPKALKFAINQKALITISVLSSNWNNNVIHIISLMISKRICTS -IIFELGWQQKDCLNDNCRLWIQHAIESSTNMFQIFKWELLDGIELQVQRSPATRNAFKDSFLDIEVSALP -FLNKWEKQEMMYTAQQPGLHKIKTNIKKGESSSTASNRAIMPPKEKEEMTDAQDPNEGIEEQYDRLMNRY -HKQLIDEYETSTGSSEEDLWETRSPMGKW - ->YP_009666503.1 reverse transcriptase [Angelica bushy stunt virus] -MTDLIKFMFWKKQKHQVKKKGLQNQNHHQPKMSQIEEQYDELVMNITNPSSIYIQGTLWFSGYKKINLHC -YVDTGASICLANKYVIPPEHWINAERPIKVKTANGIITIEKVCKNLDMIIEGEKFHIPTVYQQDAGMDFI -IGNNFCLLYGPCIFHQKQIVLHTLEKQPVWVNKVFRAEQKGLKGFLESRKLGSNKRAPDPINISSQKILL -LERGREKLEAFRKQKIEKHLVFFINLKTEIDNLLEKVCSDNPLDPSRTKHWMTASIKPIDPRKVCKVKPM -KYSPQDREEFAKQIKELLEMKIIVPSKSAHMSPAFLVENEAEKRRGKKRMVVNYKRMNDLTVGDGHNLPN -KDELLTLIRGKKVFSSLDCKSGFWQVLLDEDSQLLTAFTCPQGHYQWRVLPFGFKQAPSIFQRHMQNALN -SYEKFCCVYVDDILIFSDNEEDHQKHLAAVLKKCEQLGIILSKKKAQLFKTKINFLGLEIDQGTHRPQNH -ILEHIAKFPDKIEDKKQLQRFLGILTYASDYIPGLASKRAPLQVKLKKDISWNWTASDTSYVAKLKKGLN -NFPKLYHPLPDDKLIIETDASQDYWGGILKAANTNGEELICRYASGSFKSAETRYHSNEKEYLAVIRVIK -KFSIYLTPVHFTVRTDNKNFTHFMNLQIQGDNKQGRLVRWQQWLSHYSFTVEHIEGSKNVFADFLTREFY -SSK - ->YP_009507248.1 ORF B [Trichoplusia ni TED virus] -MIPARSSKLVRIPINATDGEVLVEEQMFCNCIVHECVTMVKDGRGYVELENPTPNDVIFYLDQPASAELF -NIKCTQVEQSQRVDDVLSRLRTDHLNEEEKANLLRLCSRYSDVFYIDGEALTFTNKIKHRIRTTDEVPVY -TKSYRYPFIHRQEVRDQITKMLDQGIIRPSDSAWSSPIWVVPKKIDASGKQKWRLVVDFRKLNEKTIDDK -YPIPNISDVLDKLGKCQYFTTLDLASGFYQVEMDPQDISKTAFNVEHGHFEFLRMPMGLKNSPSTFQRVM -DNVLRGLQNNICLVYLDDIIVYSTSLQEHLENLERVFQRLRESNFKIQMDKSEFLKLETAYLGHIISRDG -IKPNPDKISAIQKYLIPKTPKEIKQFLGLLGYYRKFIPDFARLTKPLTQCLKKGSKVTLSPEYVNAFEHC -KTLLTNDPILQYPDFTREFNLTTDASNFAIGAVLSQGPIGSDKPVCYASRTLNESELNYSTIEKELLAIV -WATKYFRPYLFGRKFKILTDHKPLQWMMNLKDPNSRMTRWRLRLSEYDFSVVYKKGKSNTNADALSRVEI -HTTEIDEIDSVIENIKELSSMINNPSETSRPQRQTENTDEIRQNSTTDTVHTSDEHPILEVPITNEPLNR -FHRQIHLTVVGDIKRRPIVTKPFESHTRIAIQLSESNLEQDVISAIKEYVNPKVKTALIINPPLKMYSII -PIIQKTFRSSSLNLVLTKVELENVKEYLRQQDIIRHYHDGKTNHRGINECYLALSKRYYWPRMKDQITKF -INECTICGQAKYDRNPIRPQFNIVPPATKPLETVHMDLFTVQNEKYITFIDVFTKYGQAYHLRDGTAISI -LQALLRFCTHHGLPITIVTDNGTEFSNQLFSEFVRIHKIIHHKTLPHSPSDNGNIERFHSTILEHIRILK -LQHKDEPIVNLMPYAIIGYNSSIHSFTKCRPFDLLNGHFDPRDPLDIDLTEHILQQYAQNHRQQMKQVYE -IINETSLANRTALIESRNKTRESEVEYIPQQQVFIKNPLASRQKVAPRYTQDTVLADLPIHIYTSKKRGP -VAKARLKRVPKGNTLLQDSAATDNTCDASSRDKT - ->YP_009254008.1 putative aspartic protease [Water chestnut soymovirus 1] -MNRSSTFIRVRIGRKTILAYVDTGATICIIKPELLDKENWTDLKNPVQVKIANNKTIEIKNKAYNIGMLI -ENYQFMIPSIYGFDTGLPLIIGNNFLKLYQPFIQTVETVTLKCPKLKGQKSKLVRTKIYNTSFILMGGGV -IKQFCFTIQEELVLRLEEQVWNQIDEMSSDNPLDPVKNTNSELVEIKLKDPKQEVNVVNRIPYTEQDVLE -FVEETSMMLEKGIIEIANSPHSAPAFYVNNHAEQKRGKRRMVINYKAMNNATVGEAYKLPRQDYLFERIK -DADWFSSLDAKSGYWQLRLAENTKPLTAFSCPPQKHFQFKVMPFGLKQAPSIYQRFMDKTLKGLESNCLA -YIDDVIIFTRGNKIEHLKVVSGILKQIKLAGLILSKKKCQIGKEEVQFLGMKILKKGKIKPQEHLLEKLQ -DFSDQLEDRKQIQKFLGCLNYLCDKGFIKNIVEKKKILQDLLSTKKLWKWETEHTEAVKFCKNAVKTLPE -LYLQKEKDLLILTTDASHGCWSAVLQALPARYDSVIKDALMSQKDALMSQSVHSQVKLEEMGKTVFSTKQ -VQQFLKEHAKELQIVKWANGTFSPTQTNYTTHEKETLALIKSLRKFKIDLLPKTFIYQTDSTYTKDFLKY -KNPFHYNQGRLLRWALEAQQYSFIPLHIAGTDNIFADLLTREWSISGSGE - ->YP_009182100.1 polyprotein [Blueberry fruit drop associated virus] -MSGFKLSSPSDEDLNRWLTDELDRVQNKIIGKVKDVDPDHVAMAEPGENHLRNGKVLIHQMNRMFATINT -MFEKQLWFEQRQKVLFQNLWDFLNSYDENQKAQQKALKDSQDNIALATRNIARMLEQVKGIEEVINTVFQ -TIEGILNVNKQFMTAEKQREQILQSQLKQIKDQLAEPVVLSKPVSDPALEGHLKSINRGVKNLVERAELL -IDYEELAAKIGKNISTQGIAVSERSKSFMSFDHPKPVESVLPKARMFSMFAGGKPKSSIVRSLELEVEEQ -PKVLKDYKVPKLSVKQLYEQGSMMQRNAYRIKQIERKIPYDPNTKVIHLVDKGEMDRMKAKGYEYVHFGA -VQVVFRLLARRDLNCSVLSICRDNRLKSLQKGLLGALQASLNNQVAYFVCIPNFSCTIEDAHEALVLCVQ -THGTDFKEGYTDLAIEYIVTYKFMTSDMEAMSKLKATEGFNSMVISDRNNHTISHTKKIDWKELNQSEFP -KEWSINSEEPPEAKSSSVITGIYQHENGNISMRFHQAGEGSSHSKAQTHPVHIFDDEPIQLDVSKSGRPK -ARMIRASTRKEVVVELHDINSIREISDEKLDEIYATTSDPQYFNYTVLPEMQRRINQGISVRAISQSVNR -PSTSGDSKEVPITSEVPSSSTLEGKGKTKKFTPAGSSIKLPLITGVPTGKPNEFNTERYKPRIEPNPAVS -PNGVWLDIDNNPDPRGNIDEWVNTMNMLIVSETGKAWSPDEARTYYACTFKGIARKWFDKYKETDEYVLW -LSTIERSKSPSDFATCLYKQFCREQDPSKKEKDLEILKGKLVNLTISDLKYFLSYVNEYNLAYYNLGIFN -NNTYRDMFIQKLPDPWKEYLTNERAKEAGNEEWTLGNLIEFVKTKLADLAIQAWRAKRMQKLYSKSGLSF -CQHLIDIPTQWGGRNKELKHKKKGKKIKSFKRYKFRKSKKKGFEKSKINPQKNFKRRFKQKSGKEIEDRK -KQSKSKPTSSKGVANVCWNCNQQGHFSRECPRKNARLIELQEAADTWEIPLMRIEEDDDYSDTSSIYSLE -TESDSESSDSDQESEDEDTVRMIKTQFGIKTIRLNNWPHDTQEIGDVVSFEAILEYEKFECDFCKTSADQ -YTPVIQRSDLSKEGTISYHTWCFTSLVMRETKNAEVPQLVQREVDLAKLRDAKKKFEQAQLKEEQERLRA -TRRPVILEVYDDAEELEEMSKIGGHLEELSIKLRRPVAASPGATSSNPLEENVDTPKDLKGQILLCPIFK -GPIPKRTEADWKREEEERNRKLVESYRKLKLFERYKEVRNEVLQQIPQHQIPINTIITVKSSPTEMLADI -EKLMEEVKKLQQKESTPEDKPDATPEFRHKDNSIYISAAFRFKGYEEYHLHCLVDTGASITLATQWAIPE -EKWITIPHGNEIKITVANGETCKIDKYATNVPIYISGIKFTIEKVLQFNKQGCDFLIGNDFFMKYAPYTQ -YPDRISIAKNGISYITNVVERPVSVAYRKEFLERRKIPDHQQSHKVKCGENYKVQKQAQENDFTIEDYGT -TDSEAEEPIYDAIYDKGFQPIESDDEPNDEIQPINDEPNEEVRPGFQPIESNDEPNNEIQPSNDEPNKEV -RPKPTKDIRPKPITEEDFQAELRLVEAHYEKQARPKQRPNLNVLEEQISRCSIEELTKQLEPNISDNPIH -KWDVTQTYADIQLKDPYALIRVKPMPYSAEDEAEFERQLEEQLKLELIQPSKSPHSSPAFCVRNHAEIKR -QKARIVINYKELNRVTKDDGYFLPNLETLVYQVAEARVFSKFDCKSGYWQIKLTPESIPLTAFSTPKGQY -EWKVLPFGLKNAPNIFQRRMDKIFKDCYQFCGVYVDDILVFSKDKEEHLLHLDIIINKIVQNGIIIGRTK -YELVRESIDFLGVTICKGKIKLQPHILTHLMEFPDKLKDRLQVQQFLGCVNYAGKFIQRLAELCSVLHTK -LKKDHKWKFTEDDVKRVQLIKKVCANLPELTLPPPTWKIVLQTDASDHHWAGTIGAISPDKKEEVICAYR -SGTFKPAEQNYSTQEKEVLAIIRTIQKAKIFVLKPFLVRTDSKFAALFLDKKINESLARGRLIRWQIMLR -QYYLDIEHIPGVSNYLPNALTREMAGCNIIYQIKMVNHGKAPRMDLRDVITSKARARENQQLENEDIHWK -QHLASTSRSFAKRKDVPMPSPIPPPFEYKTYRRLTEEQKSQLDWLTSSWHTVDQDTFFDVLKAMAKEFEG -LNKLKNGNPAKRVKTSREAKLARWKAQPKKFTFFEEWRTILLRRLRNWTNGATIMDEELYQRIINTPCRA -QLQWWSQLNKLWQYQPPEQSFICKATCFDNNHTMLKLKCPPINKLTPEFMDWFENGFIQSFALKRTSDYS -LLPTDFIKVIEQIWAIKDIGNTLFIMMYSAPGEWNYKDCSYYYPYHLVRIYDRVPSVTRDTILEGEIFPH -LRSQEQIQRDEEMGMYEPLYCPIDDWLSRDPRHVSKWRAFALMEIQDLINEDKGFLPRWHYIHSNQRFIF -EVEGISMTRACESYSLFQSLSLKVPMNYDTQLEIDCIQTLDAAQSEDPCDEPYDESDSYWQDMDDETWHN -IENMMEG - ->YP_009165750.1 ORF5 [Atractylodes mild mottle virus] -MDLLQKVQIQNNHQQLSLNATNTSSIYISGKLKFQGYKQLDLHCFVDTGASMCVASKHVIPEEHWENSSR -QLLVKTANGMITINKVCKNIDIMIADTIFHIPTIYQQEIGIDLLLGNNFLLLYGPFTQYTDRIILFKENQ -PVIIGKVSKAYLHGMPGYLDSMKKNSRNPVPPPINITSNKIEDSKRFSVLKLQLLERGREIINRRLFLTQ -QDQLSTIEKLLDEVCSENPIDPHKSKKWMTASIKLKDPNTLVQVKPMQYCPEDRKEFAVQIKELLDLKII -IPSKSPHRSPAFLVENEAERRRGKKRMVVNYKKLNEVTLGDSHNLPNKDELLTLIRGKTIYSSFDCKSGF -WQVLLDQESQLLTAFTCPTGHYQWKVVPFGLKQAPSIFQRHMNNAFREFEEFCCVYVDDILVFSKNETEH -RKHVIAILEQCKNLGIILSKKKAHLFKTKINFLGLEIDQGSHKPQNHILEHIHKFPDRLEDKKQLQRFLG -ILTYASDYIPKLAQIRKPFQAKLKKDVTWSWTDSDTSLMIKIKKGLKSFPTLYHPKEEDNLIIECDASDS -FWGGILKAKTIDEDKEYICRYTSGSFKQAELNYHSNEKEILAAMNTIKKFSGYLTPVKFLIRTDNKNFTF -FLNTNHKGDYKQGRLIRWQMWFSRYSFTVEHLPGNKNVFADFLTREFNN - ->YP_008992015.1 RNase_H [Citrus endogenous pararetrovirus] -ILQTDASDQYWSAVLLEEHNGKRHFCAHASGQFKHSEKNYHVIYKEILTVKYGIKKFEFHLISHTFLINM -DNSSFPRIFDFKNKLLLDKQLLNLKTWFAKYDFTVQHIKGNQNLIPDFLTRPAI - ->YP_008992013.1 polyprotein [Citrus endogenous pararetrovirus] -MASTSSSNLSTPLTLSSTLSLPKSCTSHTANKIENLVEYSYIPESAQISESTYPLISPYHLYKRPNSFTR -SIRTLISTKRPHPKEYIQSSRLDQCALKATSAEQYVTLEIPSELISNWKREGYTHLHLGGIRLILTLHGR -KGLPVTARVALLDTRFKQFQDAVIGTVLTTLHAGSVLLTFYPNFNLSLQDPNLPTTLKVQVQIQGAEQIS -TAKIATLHHQLVYRLQNHALDLPTPEHHSDTLMVLAESDQIPTIIQIPRQIPRHELLKLMPLEWISNYEQ -FHNNTAPVQTSESMFERRPDGTVRMTFKPPPSAPQEPPRLSFTYSSMITAVQTAQENLPITGFTSNGFPV -YPAKQNGHFLWDVPGSGHCDPDCPCWDDWEEDNDYVTKKKKKPKKKSHASCHHSTSRPPQDPPPPSAPLH -LYKKELQWLAKRCTSTISSPVPDPSPPLSCMMFSSASSDYSSYFPPLDTHTDSQRNVVSKPFIPSPITSA -GHLEPPKPFESVLNWQTQNARAQNDTLLNINSKVEKISLRTEHLETKVDSISAQLQQIHQNLQSRIAQLD -SELRTMLAQRYHGPEFDQKEREIRHLKAELAQIESDKQKPSLFTSSPPIPSIGPTYHPFASMLSLIRQYE -PSKLFGMTHTLFRDNPLPPPPKSKPKPKPQPRPVTINPSSTIIPYQQSPGYTPASPSPPEPSKSQPPTAS -KDKEPMHQFSAHTIDHPSSTDDQTSDSNLAVSDSHTEIDTESSASTSDSEKSYADITRILMAQPDPQTSR -TEPYVNIPSDVEEEMPESSATNQPPPAQTTSSSQKSSNGPWFTYDDIPSYKWRDRLNEMSAWIDLQMLRS -GATTESVLREFATRFTGALRDWFDSLGPYRQLQFVQLPEVSSALAILHDQFLGDPAAAFEAARRDYLSMK -CCSLDAKDLDFHYKRMSLLFYKLNGFNEPTLKHVFLASLPEELQPDIQRQLTASNLVIDNISLGKIFQLA -KTCLDKLCEQKQFFKDLLKDKEPFRSACKKPYLQIKCKQKKDCDCSPKKKRHFRKFKSPEFSSRPRRSRK -PYRFFRKRSSSSKDSKRRKSSRCFICRKKGHFAKDCPNKRAKSIRLVEHLQATTDYSPQQDELEFYFSEQ -DEPNDETVFALQQSSDDSDSDQSQVIFHQQLLSLDTTVPIPSIKLQILPSKFQRPIPAIGLIDTGAQRSM -INPHILPPDSWTQSEEHFKAVNGKLFTTSLITKKPIGIQIFPNCVIWTKVIGSTLPNKDILLGFDILHQI -KHLQIIPHGIRVKSMFKPFTDVLKLYNLSETPPSYQDVSTKLLSFCPESHSEFTHPNPLWKNQSFFVKLP -FKLNEDINPTKATHPGMSPSDLLLAQQECSQLLAQGLIEPTTSQWACQAFYVEKHSEIVRGKKRLVIDYQ -PLNMFLQDDKFPLPRRQSMFTFLKNAQIFSKFDLKSGFWQLGIEPSERYKTAFCIPNAHFQWTVLPFGLK -TAPSIFQKSMIKIFQPLLSNALIYIDDILLFSGSHDDHHRLLNQFYDIVHSHGIMLSAKKSTIATDTIEF -LGMIIKDGHYQPGKHVAQELLHFPDQQLSKKQVQQFLGIINYIRDFIPHVDHYTRHLSALLKKKPPEWND -DHTNAVTTLKKIAQNPPPKHQTHPTKKILQTDASDQYWSAVLLEEHNGKRHFCAHASGQFKHSEKNYHVI -YKEILTVKYGIKKFEFHLISHTFLINMDNSSFPRIFDFKNKLLLDKQLLNLKTWFAKYDFTVQHIKGNQN -LIPDFLTRPAINKPSLISSIQTIPVIALNRQLPFKALTQRHFPMNISFQSAYQLQDFTKKFL - ->YP_007761644.1 reverse transcriptase [Rose yellow vein virus] -MNQTRFEDDPIEHDLNAQSWIKWFHLMRRQDTGYQWHKSLSEQEIINEARIRAFITVIPRPIVTEPINNG -QTSNQPQSPTETIEEIDLQIQLLELKLKKVKLQKKLKSIPPPPLPNPDRIETVEESSEEEIEETEASSSS -SEQEESSDESIAETLSSNESFEIIPEDKNEENNAVEIIQSLSTLRYIDLEFQLEGYSEYRIRSFYDTGAS -LMLARYHIFPPELWIKQTPKLVSYANQSTGSIMYKAVQVPFKLGYKTYSFDFWCHDAMSHDIIVGNPFWI -YLQQSFMSITGNTVHTKDNSFVFYEHKNPARETPWQSEFTHAERGGHITQVSENSETKHWKMQVEEILLD -TFSDNPLALYNPRHPKCQIELLPQDQLDRLKLKCPVRCKPIGANPIDMEEFHNQINELLRLKLIRKTNSP -WSFQAFMVRNHAEIVRGKARMVINYKPLNLRIRKNAYRIPNKDSLFLAIRESQFYSKFDCKSGFYQVPME -QDSIQLTAFSTPIGSYEWLVMPFGLATAPSIFQAKMDNVLEDHHDYCLVYIDDIIVFSRTLEEHKIHVIT -IAKTLKKNGIVISKKKMELGLTKINFLGCEIENGRIILQNHVLENLSKFPSEIKDKKELQSFLGIINYAA -SHYSIEVTKLRVPLQKKLKKNYIWSWTEQDKQIVEQIKTICQNLPALELPKNGDKLVLTTDASDKHWAGV -LQFYRKIEQEVFEKDLRVSRYCSGTWNQTEQNWSTFGKELRAIKLALQKFKLFLFEPFTLYSDNLAVINF -LKKDLNEKRSQREIRDKLDILQYQGWMTLKHIPGTKNVLADALTRGLSN - ->YP_006907834.1 polyprotein [Horseradish latent virus] -MKPQPLKTMEHLLQKTQIQTEQVMNITNPNSIYIRGRLYFSGYKIVELDCFVDTGASLCIASKHVIPEER -WEAAPRKINVKIANESTITLDKICRNLDIIIAGERFHIDVVFQQESGIDFIIGNNFCQEYSPFIQFTGHI -VFTMKDQYQVPITKLRRAFKRGIPGFLESMKKRSTAQQPEPLNISTNKTVSLSRGRRFGEKLRITQERMV -KIEELLEKVCSENPLDPEKSKGWMQASIKLSDPTKVIKVKPMKYSPMDREEFEKQIQELLDLKVIRPSKS -PHMAPAFLVNNEAEKRRGKKRMVVNYKAMNDATVGDAYNLPNKDELLTLIRGKKIFSSFDCKSGFWQVRL -DEESKSLTAFTCPQGHYEWNVVPFGMKQAPSIFQRHMDEAFKVFRKFCCIYVDDILVFSDNEQNHQLHVA -MILQKCYQHGIILSKKKAQLFKERINFLGLEIDQGTHRPQSHILEHIQKFPDIIESKLQLQRFLGVLTYA -SDYIPKLAQIRKPLQAKLKENVQWRWTPEDTLYMKKVKKNLNGFPPLHHPLPEEKLIIETDASDNYWGGI -LKAIHIDLSTNESIELVCRYASGSFKPAEQNYHSNDKETLAVIRTIQKFSIYLTPVRFLVRTDNTHFKYF -LNINYKGDSKMGRNIRWQGWLQNYVFDVDHIKGTNNCLADFLSREFNGA - ->YP_006732334.1 polyprotein [Dahlia mosaic virus] -MLSQQMMNHQEQNKKEILFKAIMAKIRQRKHQCLSLQTVDNGLCLIANRTSPNSIYIKAKLSFKGYKKFD -LHCYVDTGAGLCLASKHVIPDELWENAPRTIEVTVANQEKVQINKVCKNLILQIVGEEFVIPTIYQQETG -IDILLGNNFCQLYNPFIQWVDRIAFHKNEEIILVPKVRKAMRKGYEGFLKTMERDSKSQPTPGTNITQEV -IDEENNFIIDISRYQEIQELLKRVCSENPIDPQKSKGWMTASIKLADPKSVVKVKPMVYSPQDRKEFEIQ -IKELLNLKVIIPSKSQHMSPAFLVEKEAEKRRGKKRMVVNYKKLNEVTIGDSHNLPNMQELITLLRGKSI -FSSFDCKSGFWQVFLDQESQKLTAFTCPQGHFQWRVVPFGLKQAPSIFQRHMQNALRGLEDYCLVYVDDI -IVFSNSEKEHYDHVLSVLRRVESLGIILSEKKANLFKEKINFLGLEIDRGTHTPQNHILEHLHGFPDRLE -DKKQLQRFLGVLTYADSYIPKLAEKRKPLQVKLKKDQVWSWSQSDTDYIKKIKKGLINFPKLYLPKPEDS -LIIETDASDNFWGGVLKAQTTEGQELICSTVQEHSNQQKPITIVMKKNY - ->YP_006607892.1 reverse transcriptase [Soybean Putnam virus] -MNMNTMFLSSLKNPQIQMEQLSIHLQNHQVQIQNNSEMNYLNSTNPNSIYVKGILKFSGYKQLELHCFVD -TGASMCVASKHVIPEEHWENSSRHISVKTANGLVTLTKVCKNVKIMLAGELFHIPTIYQQEVEIDLILGN -NILQLHEPFVQFTDRIIIHKDGEPVTIGKVFKAYYHGKPGYLESMKKNSKKPVPSPLNITPVKIEEQETL -RLQLLRRGREIVTQKLFSIQQDHLSKIHNLLDRVCSENPIDPEKSKRWMTASIKLKDPNTIVKVKPMQYN -PDDRMEFSKQIKELLDLKIIIPSKSPHQSPAFLVENEAERRRGKKRMVVNYKKINEATIGDSHNLPNKDE -LLTLIRGKTIYSSFDCKSGFWQVFLDQESQLLTAFTCPSGHYQWRVVPFGLKQAPSIFQRHMQNAFRSFE -KFCCVYVDDILVFSDNEQDHHRHVMALLQRCNQLGIILSKKKAQLFQEKINFLGLEIDQGSHKPQNHILE -HIHKFPDKIEDKKQLQRFLGILTYASDYIPKLAEIRKPLQSKLKKDVPWTWTHDDINYMSKIKKNLKSFP -TLYHPKLTDTLIIETDASGSFWGGILKARTENSDSELICRYTSGSFKAAELNYHSNEKEILAVMNTIKKF -TGYLTPVKFLIRTDNKNFTHFLKINLKGDYKQGRLVRWQMWFSRYVFEVEHLSGDKNVFADFLTREFHY - ->YP_004347415.1 replicase [Sweet potato collusive virus] -MNRMTYMTVKITIPTYMSRIYHGLFDTGANTCVCKTKVLPPEKWIEIDNKVLTGFSEEKNVIKYRADNIK -IMIAKKEFIIPYIYAMDNINADIIIGATFYNKYSPITIDMEKGIIKFTNKGEVYPNYLVKYPRKKVLIPW -EKGNPSIIQPLQNEQINNINEVEELNNILGEDIYGDNPLKHWEKHKTYAKIELKNPDDHIYKPPINYQES -DYKEFKMHIDEMVKEGFIEECKNLENKKYSSPAFIVNKHSEIKRGKSRMVIDYKDLNKKAKVIKHPIPNK -DILINRGIKANYFSKFDCKSGFYHIKLEEDSKKYTAFTVPQGYYVWIVLPFGYHNSPSIYQQFMDGIFRP -YYDFILVYIDDILIFSKTYEEHKIHLEIFRNIIIKHGIVLSKKKAEIGKQKIEFLGVKIEQGGIELQPHI -IDKILEKHIKIKSKKELQSILGLVNQIRNFLPNLSKILLPIQKKLKIKNEEVWEWTKEDEQNIIKLKDYC -KDNVIKMTYPVEEKDMNWIIEVDASKEYYGNCLKYKKDKIEYICRYNSGTFKEHEKNYDINRKELIAIYK -GLEHYAIFTTQGKKLVRTDNSQAYYWIKNSKIKNSIDMKNVKGILAKIIMYDFDIEIIDGKTNIVADFLS -RNGTDDATITG - ->YP_004300274.1 replicase [Sweet potato vein clearing virus] -MKIHILVKVICEGYKSRYYTPMIDTGAEISICKMNCLPDEYWKETRNDLIVRGFNNEGSVIDRKASNISI -QIWDKIVKIDHIYQFEIQGKDIILGMNFIRMYLPHKISKDFWYLTTPCGKMIGAKIVENKERFKCEWEKG -DKTLNQKLRNVYDFSLVRNQIKTLFSENPLEFWDKHRTEVKIELINPDSIVYQKPLRWNFEDIEEFKLHI -DELLKGGFIRPSNSKHSSPAFIVNKHSEQKRGKSRMVIDYRNLNAKTKTYNYPLPNKILRVRQVQGYNWF -SKFDCKSGFYHLKLTEESKHLSAFNVPQGFYEFNVLMFGYKNAPGRYQCYMDSYFSKLENCIVYIDDILL -YSKTKDEHETLLKKFYHIVKEAGVSLSEKKAIIGVNQIEFLGIEIDKSGVKMQNHIVTKIVQCEEVLDTK -KKLQSFLGLINQVREYVPNIAKELLFLQKKLKKDVEYHFDSQDQEKLKKIKEKCSNLPKLLFPDETKQFD -WIVETDASEISYGGVLKYKYHQDKIEYHCRYYSGTFKDNEKNWEINRKELLSVFKCLYAFEPYIVYNKFI -LRTDNTQVKYWLTGKLDNSVTTKEIRRLVVKINCYNFDVVVIKSKDNCFADYLSREVKK - ->YP_002519387.1 putative enzymatic polyprotein [Rudbeckia flower distortion virus] -MTKSKITLLMNLNLKTSGKSTKTNPYSTYVTVGFYFNGYKGFHLHAYVDTGATSCTASPHIIPTELWEEL -PKPILVNIANDTNIEIRYVTRNLKVSMTDTNGNKHTFIIPTVYQQNTGMDFIFGQNFLKLYRPFTQDLYE -IYLTPKLLKFKIQQRAFKQASPGFLDSIRKQKRGEIISKHATNPINITKLEDNLRIVETILEDSNSKTLS -EVSDSKTLSEDSDDYFVYSIQRHNTIVNDLLTQACSENPLDENKNHNGLLAEIKLINPATTVNVKSMAYS -PDDAVEINKQIQELLEIKVIRPSRSPHSSPCFLVQNHNEIKRGKKRLVINYKALNAATISDGYLLPNKET -ILTAIRGRKYFSTLDCKSGFWQIRLNENSKPLTAFSCPMGQYEWNVVPFGLKQAPGLFQRFMDNSFKEYS -AFCAVYVDDILVFSKTLDEHYDHLETVLRKCIETGIILSKKKAEVAKTKINYLGFTISNGEIELQSHILE -NIKLFPSRIPDKKSLQRFLGILTYADQYIRKLAEWRKPLQRKLKKDTVWEWNDSDTQYVEKFKRNLKEFP -KLHHPLPDEYLIIETDASHEHWAGVLKSRGTDNLERLCRYTSGSFKPAEINYHSNEKEVLAVKRTITKFK -GYLASSEFLVRTDNKYFTYFLRTSIKDDYKQGRLIRWQQWFSHYKFNVEHLAGTHNFTADSLTREFANKP -P - ->YP_001931967.1 replicase [Eupatorium vein clearing virus] -MSKRNLTNPNSIYILGTFQFPGYKIIKIHCLVDTGASMCIASRYIIPPELWKPTKKVHKVKTANGQVTID -KVCLSQVITVAGKEFLIPTIYQLNTGIDMVLGNNFLNLYGPFIQFTNCIILHLRDNPKTSVRVTKVTKAY -AYGKPGFIQSMKKGSKVKPPTPENISRLTDKIVSFSGGESSEDTTKTNFENDFLKTENIFEIQSTSEIEE -LLELACSENPLDPEKSKGLLTASIKLIDPNKIIRVKPIPYPPNIRQEFDIQIKELLAMNLIVPSKSPHMS -PAFMVNKGAEQRRGKMRMVVNYKALNDATIGDAHNIPNRDSLMALISGKRIFSSFDCKSGFWQVLLDKPS -QELTAFTCPQGHYQWLVMPFGLKQAPAIFQRHMQIALNEHSAYSCVYIDDILVFSENEKDHEIHVSKVLN -RCINLGIILSKKKSQLFKETIDFLGISIDKGTHSPKPHILENIHNFPERFKDVNQCRKFLGIITYAMRYI -PELSRKRMFLQDKLKKNVPWTWTSEDTRLLQKLKLSLKEFPKLHIPQPGQQLILETDASQKYWGGILKAE -VIHSNNEITEEICCYASGTFKQAELNYHSNEKEILAVIRSIQSFPVYLTPVEFIVRTDNKTMEHFLTSKF -ELGTKSGRLVRWQMWFKHYNFKVEHIKGTSNFLADYLSREYNEI - ->YP_001931961.1 replicase [Lamium leaf distortion virus] -MSTSQRSLSSTQIYPIRKALMNRVKNLINNQIYSGDVTNITNPHSIYIKGRLKFPGYKIMNLDCFVDTGA -SICLCSKYVIPEEHWKDAERSIKVKIADDSVIEINKVCENLNMYIAGECFHIPRVFMQESGIDFILGNNF -CQNYEPLKQYTDRIILTLENREIIIGKIRRAHRVGVPGFLESLKKKSKLTMQKGTNIAPSKTSFDKRGEL -GYTLGLKKLFEEDLKKKSIIEKLLDQVCSENPLDPLKTKKWMKASIKLIDPKTVVKVKPMRYNPQDVEEF -AKQIKELLELKIIIPSKSPHQSPAFLVENEAERRRGKKRMVVNYKAINTATIGDAHNLPNKDELLTLIRG -KSIFSSFDCKSGFWQVLLDEDSQLLTAFTCPQGHYQWIVVPFGLKQAPSIFQRHMNNAFRDFASYCCVYV -DDILVFSNNIKDHYAHVAQVLRKCAELGIILSKKKAQLFKCRINFLGLDIDEGTHRPQNHILEHIHKFPN -KIEDKKQLQRFLGILTYASDYIPQLASMRAPLQEKLKEDVPWNWKHSDTEYVEEIKKSLTDFPKLHHPAT -DEKLIIECDASGKYWGGILKAIHQSEERICRYTSGSFKKAELNYHSNEKEILAVIRVIAKFTIYLTPLEF -LIRTDNKNFTFFMNTNVKGDYKQGRLVRWQQWLSRYSFKVEHITGVKNIFADFLTREFQSKNSIEL - ->NP_861410.1 putative multifunctional pol protein [Cestrum yellow leaf curling virus] -MKSKGNPNATFITVKINDVFINAYVDTGATICLADPKIKLKWVKMEKPIKISIADKSVQEIWHRAEMVEI -WIRNYKFVAATVCQKSSGMDFVIGNNFLRLYQPFIQGLNYIKLRAPLDKDINQPSKMIYIPVTTPSKILQ -FAILEKLQDILFELHVQENSKTPLELKVSSTLEEVCDENPLDVKNTNTELVKIELINPEKEVNVPNNIPY -SLRDINEFSQECADLVRKGIIEESKSPHSAPAFYVENHNEIKRKKRRMVINYKALNKATIGNAHKLPRID -SILTKVKGSNWFSTLDAKSGYWQLRLHPQSKPLTAFSCPPQKHYQWNVLPFGLKQAPGIYQNFMDKNLEG -LENFCLAYIDDILVFTNSSREEHLSKLLVVLERCKEKGLILSKKKAIIARQTIDFLGLTLQENGEIKLQP -NVLEKLELFPDAIEDRKQLQRFLGCLNYIADKGFLKEIAKETKNLYPKVSITNPWHWSDLDSKLVNQIKK -KCKDLSPLYFPKPEDYLIIETDASGDTWAGCLKAAELLFPKGTKNKVVERLCKYTSGIFSSAEQKYTVHE -KETLAALKTMRKWKAELLPKEFTLRTDSSYVTGFARHNLKANYNQGRLVRWQLEFLQYPARVEYIKGEKN -SLADTLTREWKQQ - ->NP_659397.1 hypothetical protein [Mirabilis mosaic virus] -MKRNTLFKWGEFSPNKFCNLANKTNPNSIYIEAKVKFKGYQTLSLHCYVDTGASLCLASKHVIPDDFWEN -APRSIPVKIANQSSITLNKVCRGLKINIAGNEFFIPTIYQQETGIDILLGNNFCQTYQPFIQWVDHIAFH -IKENNEEKRVLIPKVRIAMRKGHPGFLEAMRKGSGKKPVPGTNITQEVIDDEEKGFIEISKFREIEQLLE -KVCSENPIDPEKSKGWMKAEIKLIKPETVVRVKPMVYSPEDRKEFEIQIKELLDLKVIIPSKSQHMSPAF -LVEKEAEKRRGKKRMVVNYKKLNEVTIGDSHNLPNMQELITLLRGKNIFSSFDCKSGFWQVLLDDESQKL -TAFTCPQGHYQWRVVPFGLKQAPSIFQRHMQDALRGLEEFSLVYVDDIIVFSDNKNDHQDHVMKVLRRIE -SLGIILSKKKANLFKEKINFLGLEIDRGTHTPQNHILDHIHTFPDRIEDKKQLQRFLGVLTYADSYIPKL -AEKRKPLQVKLKKDQVWIWTQSDTDYVKKIKKGLINFPKLYLPKKEDSLIIETDASDHFWGGVLKAQTTE -GEELICRYSSGTFKPAELNYHSNEKELLAVKQVITKFSIYLTPVCFTVRTDNVNLLKGFMNKKITGDSKQ -GRLIRWQMWFSHYTFKVDHLKGEQNVLADYLTREFHNGNVIHDD - ->NP_619548.1 unnamed protein product [Figwort mosaic virus] -MTSSSLFREGELGHFCLNKQEMLHLNVTNPNSIYIEGKLSFEGYKSFNIHCYVDTGASLCIASRYIIPEE -LWENSPKDIQVKIANQELIKITKVCKNLKVKFAGKSFEIPTVYQQETGIDFLIGNNFCRLYNPFIQWEDR -IAFHLKNEMVLIKKVTKAFSVSNPSFLENMKKDSKTEQIPGTNISKNIINPEERYFLITEKYQKIEQLLD -KVCSENPIDPIKSKQWMKASIKLIDPLKVIRVKPMSYSPQDREGFAKQIKELLDLGLIIPSKSQHMSPAF -LVENEAERRRGKKRMVVNYKAINQATIGDSHNLPNMQELLTLLRGKSIFSSFDCKSGFWQVVLDEESQKL -TAFTCPQGHFQWKVVPFGLKQAPSIFQRHMQTALNGADKFCMVYVDDIIVFSNSELDHYNHVYAVLKIVE -KYGIILSKKKANLFKEKINFLGLEIDKGTHCPQNHILENIHKFPDRLEDKKHLQRFLGVLTYAETYIPKL -AEIRKPLQVKLKKDVTWNWTQSDSDYVKKIKKNLGSFPKLYLPKPEDHLIIETDASDSFWGGVLKARALD -GVELICRYSSGSFKQAEKNYHSNDKELLAVKQVITKFSAYLTPVRFTVRTDNKNFTYFLRINLKGDSKQG -RLVRWQNWFSKYQFDVEHLEGVKNVLADCLTRDFNA - ->NP_612577.1 Enzymatic polyprotein [Contains: Aspartic protease; Endonuclease; Reverse transcriptase] [Carnation etched ring virus] -MSLRNRTNPNSIYVKGILKFPGYQTNLDLHCYVDTGSSLCMASKYVIPEEYWQTAEKPLNIKIANGKIIQ -LTKVCSKLPIRLGGERFLIPTLFQQESGIDLLLGNNFCQLYSPFIQYTDRIYFHLNKQSVIIGKITKAYQ -YGVKGFLESMKKKSKVNRPEPINITSNQHLFLEEGGNHVDEMLYEIQISKFSAIEEMLERVSSENPIDPE -KSKQWMTATIELIDPKTVVKVKPMSYSPSDREEFDRQIKELLELKVIKPSKSTHMSPAFLVENEAERRRG -KKRMVVNYKAMNKATKGDAHNLPNKDELLTLVRGKKIYSSFDCKSGLWQVLLDKESQLLTAFTCPQGHYQ -WNVVPFGLKQAPSIFPKTYANSHSNQYSKYCCVYVDDILVFSNTGRKEHYIHVLNILRRCEKLGIILSKK -KAQLFKEKINFLGLEIDQGTHCPQNHILEHIHKFPDRIEDKKQLQRFLGILTYASDYIPKLASIRKPLQS -KLKEDSTWTWNDTDSQYMAKIKKNLKSFPKLYHPEPNDKLVIETDASEEFWGGILKAIHNSHEYICRYAS -GSFKAAERNYHSNEKELLAVIRVIKKFSIYLTPSRFLIRTDNKNFTHFVNINLKGDRKQGRLVRWQMWLS -QYDFDVEHIAGTKNVFADFLQENTLTNYV - ->NP_569141.1 polyprotein [Tobacco vein clearing virus] -MKIYILAKIIVEGYYNRYYTPMIDTGAEANICKYNCLPTDKWEKLKTPMVVTGFNNEGSMINYKARNVKI -QIWDKILTIEEIYNFEFTTKDMLLGMPFLEKLYPHIITKTHWWFTTPCKNKVGAKRVNNKQRKTTEWIRG -SEKITQKLENINKNTTTQLEIIIFTIDKVKIIQKKLEKLYNDNPLQGWEKHKTKVKIELIEENSIITQKP -LKYNFNDLTEFKIHIKDLLDNKYIQESNSKHTSPAFIVNKHSEQKRGKSRMVIDYRNLNAKTKTYNYPIP -NKILKIRQIQGYNYFSKFDCKSGFYHLKLEDESKKLTAFTVPQGFYEWNVLPFGYKNAPGRYQHFMDNYF -NQLENCIVYIDDILLYSRTQDEHIKLLEKFAHIIENSGISLSKTKAEIMKNQIEFLGIQIDKNGIKMQTH -IVQKIINLDENIDTKKKLQSFLGIVNQVREYIPKLAENLKPLQKKLKKDVEYSFDEKDKEQIKKIKILCK -KLPKLYFPDENKKFTYIVETDSSNYSYGGVLKYRYNKEKIEHHCRYYSGSYTEPQEKWEINRKELFALYK -CLLAFEPYIVYTRFIVRTDNTQVKWWITRKVQDSVTTKEIRRLVLNILNFTFTIEIINTNKNVVADYLSR -QSYPN - ->NP_395469.1 putative reverse transcriptase [Blueberry red ringspot virus] -MNQNNTFIKITISQKTILAYIDTGASLCLLPEYNLPKQLWKELKKPITIRVADKRELQINKVALMITILI -EKRKFLVPTIYQFDSGVPMIIGNNFLRLYYPFCQYLSYITLRCPKMINQKQEVIKIPIHHSSQLIKAKLL -NLVTNIEEQLLMEQVNKILQERFSLDLLGEKNKNKELIEIKLKDPNAEIFVPNNIPYTQRDIEEFKEDME -DLINKGLIRPSKSPHSAPAFYVENHSEIKRAKRRIVINYKAMNEATIGTPKTLPRADYIMNRLKGKIWFS -TLDVKSAYWQLRLTEESKPLTAFSYPPPKHYEWNVLPMGLKQAPGIFQEFMNRSLHNLEHICLVYVDDII -IFSEKDKNDHLSKVLQVLKRCEDEGIILSQPKAKIAHKEIDFFGLHISEGEIILQPHILEKLVLFPDEIE -NRKQLQRFLGNLNYISEKGFFKDFAKYRKELQKKVSEKVPWKWTSYDTTQVQALKTLCQRLPKLYNAKES -DLLIIATDASNGHWGAVMTAVTPVHITNYGISLEDLFPKEQHTAQALSSQYQFFGTKDFVQKELLTKYAS -GTFTDTEKRYPIHELETLAVLQTFRKWKVDLLSKPFILKTDSKYVTGFLRYKIKANYNQGRFIRWQLELS -QFNYRTFYIKGSENYGPDTLTREWKEL - ->NP_127504.1 ORF I polyprotein [Petunia vein clearing virus] -MTSPSDYQSNSSLATTYSNAPKLSKALSNKYDYLYEVDILKENQKISDTYLPLLNPYSAFAKRSVTPWSQ -IRSLVQSKPRHVKEYVAASKLDQHPVFATGEEQFVTLHIPEEFASHWKSHQFTHIHFGAVKIALTYHGRK -GQPVVARLALLDTRYLEYQHANLGTAEITLNAGTVFITLFPNFTMSLSDANLSTALKIQVQIQGAPLTKD -SIQATLHYQIAWRVQNHAMDLTLPGGEEALFLKIDAGSGATQCTQVPRQLSKEDLIKILPDSWVTNYEKL -REPEEPLRSTEVSMSKRHDKSVAISFDHSHYKKLRNTHHFMGMISDDVIVLDDPETFSKTLPSLMQTHDW -IHHFQLDGRAVSWYKDPFDGHCPWDIDCQCYSCLYSEDEEDFEDGFPTKYKGIPRPGSIAERKMQEEANL -KKLYEEKDPFVGSLSRPGKYEYLVRYDAPSWAKDPHLTVEPTGWDSDEPIPPKQPFTTRNTLPKIYMFNP -LNYENNFPPLSSFSKDGADHTPKIPKRNVVLPSGAKDPTGDLEATVNWQTENALAQNRMLTTIDRTLKET -VTKVDRVTDQSSKNQGLIKVLEQQLQDLNKRICPPGTSLFHFFDQQKSEMASLKEQIRLLKEQPQKNETD -TPSYQSSYQPFHSFSSPYMPSNPPNSPFTTFANTPQPQPSLFSQYPIQPKSPNTFDLAKLVWEKKDAIAA -EKRAKKKLQKDEVKQKTSLPPESKRPDPQSSSHLGDQFMISDPALPKVYALNEPSVPSEDTSSQSYISTE -ESVEDTDSFSVVSEESTQLSQLSSSSNDSPENNENTLPQTFMVRPTEPEISEVEDEVDGMTEEPIPERRP -EITPPKMVGTGFHTFSLDDISITKWPERIQDFHTWMLTKQLVEREPFLILSEFTARLSGTLREWWNSVGP -DDKNRFLTSQDFTWNIRILYSYFCGDQSQNKEELRRQIFEMKCLSYDRKKIDRHFQRMIKLFYHIGGDIS -LKQAFISSLPPILSERISALIKERGTSVTQMHVGDIRQTAFYVLDDLCSKRKFFNQMKKMSRDLEKACTK -SDLIIKGDKGCSGYCNPSRRRKYKRFKLPSFKERDGRQYRKRRRFFRRSKTSKAMRQKPRSCFTCGKIGH -FSRNCPQNKKSIKLISEIQKYTGIDIEDDLESVFSIEDEPSEDTLFSLEFYEEYAGEQYQITSYEAPKTE -NPPLPKIHTIVEIPQTEVKIYTSKWDKPISVIAFYDTGAAYSIMDPAILPSEYWIPHFRHFGTADDGILT -TTVKTKHPITIEFFPGFKYTTKLLGSDIPGKDLLIGFDIYRQLNNKLRIGADGIRWKNQFKRYTEIPRLF -QLTTSNELQQLEDVIKNQLCADSHVDFLSKCSHPLWLNQDFFIQLPFKKNENINPTKASHSGMNPEHLQL -AIKECDELQQFDLIEPSDSQWACEAFYVNKRSEQVRGKLRLVINYQPLNHFLQDDKFPIPNKLTLFSHLS -KAKLFSKFDLKSGFWQLGIHPNERPKTGFCIPDRHFQWKVMPFGLKTAPSLFQKAMIKIFQPILFSALVY -IDDILLFSETLEDHIKLLNQFISLVKKFGVMLSAKKMILAQNKIQFLGMDFADGTFSPAGHISLELQKFP -DTNLSVKQIQQFLGIVNYIRDFIPEVTEHISPLSDMLKKKPPAWGKCQDNAVKQLKQLAQQVKSLHIPSE -GKKILQTDASDQYWSAVLLEEHNGKRKICGFASGKFKVSEQHYHSTFKEILAVKNGIKKFNFFLIHTNFL -VEMDMRAFPKMIRLNPKIVPNSQLLRWAQWFSPYQFEVKHLKGKDNILADFLSRPHEFSQRLKNSPKVLM -FQRRTRSSSTKSKADSSQSTGSSYKLSHNLPENPPEVFNLDYPWDTSVFLERRTFYELQVFKKYGGSILR -PFGVDPEYPFAHIFIPNPTDFSEDLLWMFWYLLNHFHILMKFRCSKFSKIDQVNPWMLKFLLWFNNHNYW -ASLFKCMKGIKKYVVIWFYRPVNYYQGKLCALPHSSIVKWNHVSVLNDEDEYSELQRFIFQENKCIPKEI -WPGSSGSWNYGNSDHPHGQWIRDALREYREMNDYFQDAQDPYPAYSKVDLTQEELNTLRITRSYGSSSED -ADMVKRSIYTVQSNIVKDSPRKRKGKAKSRSSTRSEKRRAKNKCKYRSLHGEDWWIELGYSTKPSTPSWT -QDSSSEPCV - ->NP_068729.1 putative reverse transcriptase [Soybean chlorotic mottle virus] -MNTEIVQKHRVLTKGNPNVTFIKVSIGKRNFLAYIDTGATLCFGKRKISNNWEILKQPKEIIIADKSKHY -IREAISNVFLKIENKEFLIPIIYLHDSGLDLIIGNNFLKLYQPFIQRLETIELRWKNLNNPKESQMISTK -ILTKNEVLKLSFEKIHICLEKYLFFKTIEEQLEEVCSEHPLDETKNKNGLLIEIRLKDPLQEINVTNRIP -YTIRDVQEFKEECEDLLKKGLIRESQSPHSAPAFYVENHNEIKRGKRRMVINYKKMNEATIGDSYKLPRK -DFILEKIKGSLWFSSLDAKSGYYQLRLHENTKPLTAFSCPPQKHYEWNVLSFGLKQAPSIYQRFMDQSLK -GLEHICLAYIDDILIFTKGSKEQHVNDVRIVLQRIKEKGIIISKKKSKLIQQEIEYLGLKIQGNGEIDLS -PHTQEKILQFPDELEDRKQIQRFLGCINYIANEGFFKNLALERKHLQKKISVKNPWKWDTIDTKMVQSIK -GKIQSLPKLYNASIQDFLIVETDASQHSWSGCLRALPKGKQKIGLDEFGIPTADLCTGSSSASSDNSPAE -IDKCHSASKQDTHVASKIKKLENELLLCKYVSGTFTDTETRYPIAELEVLAGVKVLEKWRIDLLQTRFLL -RTDSKYFAGFCRYNIKTDYRNGRLIRWQLRLQAYQPYVELIKSENNPFADTLTREWSKPSSS - ->NP_043933.1 hypothetical protein [Strawberry vein banding virus] -MENNLQLLEELNHLEEGWEMLTDEDFKLQQNEDEEQLLESVDSEERFSTLTKTNPNSIYIRGNFYFKGYK -KYSLDLYVDTGASMCTANKHVIPEEFWVNAKNPIRARIANDSIMTFNKVAELMQVQIADETFIIPTLYQA -TTKGDITLGNNFCRLYEPFVQYKDMITFHKDGRAVSTKKVTKAYFHGLPGFLESKKVGSSTSTPNPENIT -PVTINQDNISKIFKGEEIGESEQLFSTISAYTEVEKLLDSICSEHPLDSRINKGKFEAQIALLDTNKIIK -CKPMQYSPQDREEFKTQIEELLKLGIIRPSKSPHSSPAFMVRNHAEIKRGKARMVINYKKLNDHTKGDGY -LLPNKEQLLQRIGGKTFYSSFDCKSGFWQVRLAPETIQLTAFSCPQGHYEWLVMPFGLKQAPAIFQRHMD -ESLSNMYPQFCAVYVDDIIVFSKTEEEHLGHVKIVLNRCKALGIVLSKKKAQLCKTTINFLGLVIERGNL -KVQSHIGLHLVAFPDQLSDRNALQRFLGLLNYISAYFPKIANLRSPLQVKLKKEITWSWTEKDTETVRKI -KSLVKTLPDLYNPSPEDKPIIECDASDDHWGAILKAKLPEGKEVICRYASGTFKPAEKNYHSNEKEILSI -IKAIKAFRAYILPYKFLVRTDNTNAAYFVRTNIAGDYKQSRLVRWQMALREYSFDIEHVSGQKNVLADIM -TRELAGKT - ->NP_056848.1 aspartic protease/reverse transcriptase [Cassava vein mosaic virus] -MNKITYMTIKISIPKYMSRIYHGLFDTGANICICKKKVLPDELWHKTENLVLRGFNDEKHVAEYRADNIT -IMIAKEKFIIPYIYAMDEMSPDIIIGATFYNKYSPIELDIGKGIIKFTKNNEKYPNYLVKYPKKRKLVPW -TKGNPSVTETMENIGINQIESRNPIEEEINQILGTDIYGENPLEKWEKHKTLAKIELKNETDNIYKPPML -YQETDLPEFKMHIEEMIKEGFIEEKTNFEDKKYSSPAFIVNKHSEQKRGKTRMVIDYKDLNKKAKVVKYP -IPNKDTLIHRSIQARYYSKFDCKSGFYHIKLEEDSKKYTAFTVPQGYYQWKVLPFGYHNSPSIFQQFMDR -IFRPYYDFIIVYIDDILVFSKTIEEHKIHIAKFRDITLANGLIISKKKTELCKEKIDFLGVQIEQGGIEL -QPHIINKILEKHTKIKNKTELQSILGLLNQIRHFIPHLAQILLPIQKKLKIKDEEIWTWTKEDEEKIKLI -QDYSKNLVIKMKYPINKEDMNWIIEVDASNNAYGSCLKYKPKNSKIEYLCRYNSGTFKENEQKYDINRKE -LIAVYQGLQSYSLFTCEGNKLVRTDNSQVYYWIKNDTNKKSIEFRNIKYLLAKIAVYNFEIQLIDGKTNI -IADYLSRYNSSDTDGRYDEANT - ->NP_042513.1 reverse transcriptase [Peanut chlorotic streak virus] -MSSKNSSFIKVKLFNKYLYAYIDTGATICLAQAKILPIKYWKKMIKPIKVRIANNKVIHIWYKAVDLVLL -LEGKRFPLPSVYQQDAGLPLILGNNFLKLYNPFIQTLETISLRCPQLEKQPSSLITTKIYNTFSLFGGVI -VNILKQQIYIAIEDEVTQLLEAICSQNPLDPQKNRNQIIVHIDLIDPTKEVNVPNRIPYTQKDIDEFREE -TSKQIELGILRQSKSPHSAPAFYVENHNEIKRGKRRLVINYKMNKATKGDAYNLDRLYLTDRESNWFSTL -DAKSGFLQLRLDEETKPLTAFSCPPQMHLEYNVMPMGLKQAPSQFQRFMDNNLRGLEDISLAYIDDIIVF -TKGTKDYHLKQVARVLIQLGNHGVILSKEKAKIAFEEIEFLGLKILKNGFIEPQKHLLEKIAEFPDQLQD -RKQIQKFLGCLNYIGEKGFFKELAKERKVLQKMLSEKLPWKWNDLATLAVKRLKQVCKNLPRLYVAKPSD -LLILTTDASDTTWGAVLMAVPNAYEEFLSFVTNNRPSDLKTFLKQKEGSSRYAEKSVPEKLNSMHDKSHG -EKAEKSVSRQTSQGRSSSQSQQSYIGSFMITKWSSGTFKPAEENYTVHEKELLAFVNALKKFQIDLRPVK -FIFQTDNSWVQGFLVNKLKETYNRGRLARWSMFIQQFDFISLHIAGKENYLADTLTREWKTSSR - ->NP_056728.1 reverse transcriptase [Cauliflower mosaic virus] -MDHLLLKTQTQTEQVMNVTNPNSIYIKGRLYFKGYKKIELHCFVDTGASLCIASKFVIPEEHWVNAERPI -MVKIADGSSITISKVCKDIDLIIAGEIFRIPTVYQQESGIDFIIGNNFCQLYEPFIQFTDRVIFTKNKSY -PVHIAKLTRAVRVGTEGFLESMKKRSKTQQPEPVNISTNKIENPLEEIAILSEGRRLSEEKLFITQQRMQ -KIEELLEKVCSENPLDPNKTKQWMKASIKLSDPSKAIKVKPMKYSPMDREEFDKQIKELLDLKVIKPSKS -PHMAPAFLVNNEAEKRRGKKRMVVNYKAMNKATVGDAYNLPNKDELLTLIRGKKIFSSFDCKSGFWQVLL -DQESRPLTAFTCPQGHYEWNVVPFGLKQAPSIFQRHMDEAFRVFRKFCCVYVDDILVFSNNEEDHLLHVA -MILQKCNQHGIILSKKKAQLFKKKINFLGLEIDEGTHKPQGHILEHINKFPDTLEDKKQLQRFLGILTYA -SDYIPKLAQIRKPLQAKLKENVPWRWTKEDTLYMQKVKKNLQGFPPLHHPLPEEKLIIETDASDDYWGGM -LKAIKINEGTNTELICRYASGSFKAAEKNYHSNDKETLAVINTIKKFSIYLTPVHFLIRTDNTHFKSFVN -LNYKGDSKLGRNIRWQAWLSHYSFDVEHIKGTDNHFADFLSREFNKVNS - ->sp|Q6XKE6.1|POLG_PVCV2 RecName: Full=Genome polyprotein; Includes: RecName: Full=Aspartic protease; Short=PR; Includes: RecName: Full=Reverse transcriptase; Short=RT -MMTSPSDYQSNSSLATTYSNAPKLSKALSNKYDYLYEVDILKENQKISDTYLPLLNPYSAFAKRSVTPWS -QIRSLVQSKPRHVKEYVAASKLDQHPVFATGEEQFVTLHIPEEFASHWKSHQFTHIHFGAVKIALTYHGR -KGQPVVARLALLDTRYLEYQHANLGTAEITLNAGTVFITLFPNFTMSLSDANLSTALKIQVQIQGAPLTK -DSIQATLHYQIAWRVQNHAMDLTLPGGEEALFLKIDAGNGATQCTQVPRQLSKEDLIKILPDSWVTNYEK -LKEPEEPLRSTEVSMSKRHDKSVAISFDHSHYKKLRNTHHFMGMISDDVIVLDDPETFSKTLPSLMQTHD -WIHHFQLDGRAVSWYKDPFDGHCPWDIDCQCYSCLYSEDEEDFEDGFPTKYKGIPRPGSIAERKMQEEAN -LKKLYEDKDPFVGSLSRPGKYEYLVRYDAPSWAKDPHLTVEPTGWDSDEPILPNQPFITRNTLPKIYMFN -PLNYENNFPPLSSFSKDGADHTPKIPKRNVVLPSGAKDPTGDLEATVNWQTENALAQNRMLTTIDRTLKE -TVTKVDRVSDQSSKNQGLIRVLEQQLQDLNKRICPPGTSLFHFFDQQKSEMASLKEQIRLLKEQPQKNET -DTPSYQSSYQPFHNFSSPYMPSNPPNSPFTNFANTPQPQPSLFSQYPIQPKSPNTFDLAKLVWEKKDAIA -EEKRAKKKLQKDEVKQKTSLPPESKRPDPQSSSHLGDQFMISDPTLPKVYELNEPSVPSEDTSSQSYIST -EESVEDTDSFSVVSEESTQLSQLSSSSNDSPENNENTLPQTFMVRPTEPEISEVEDEVDGMTEEPIPERR -PEITPPKMVGTGFHTFSLDDISITKWPERIQDFHTWMLTKQLVEREPFLILSEFTARLSGTLREWWNSVG -PDDKNRFLTSQDFTWNIRILYSYFCGDQSQNKEELRRQIFEMKCLSYDRKKIDRHFQRMIKLFYHIGGDI -SLKQAFISSLPPILSERISALIKERGTSGTQMHVGDIRQTGFYVLDDLCSKRKFFNQMKKMSRDLEKACT -KSDLIIKGDKGCSGYCNPSRRRKYKRFKLPSFKERDGRQYRKRRRFFRKSKTSKAMRQKPRSCFTCGKIG -HFSRNCPQNKKSIKLISEIQKYTGIDIEDDLESVFSIEDEPSEDTLFSLEFYEEYAGEQYQITSYEAPKT -ENPPLPKIHTIVEIPQTEVKVYTSKWDKPISVIAFYDTGAAYSIMDPAILPSEYWIPHFRHFGTADDGIL -TTTVKTKHPITIEFFPGFKYTTKLLGSDIPGKDLLIGFDIYRQLNNKLRIGADGIRWKNQFKRYTEIPRL -FQLTTSNELQQLEDVIKNQLCAESHVDFLSKCSHPLWLNQDFFIQLPFKRNENINPTKASHSGMNPEHLQ -LALKECDELQQFDLIEPSDSQWACEAFYVNKRSEQVRGKLRLVINYQPLNHFLQDDKFPIPNKLTLFSHL -SKAKLFSKFDLKSGFWQLGIHPNERPKTGFCIPDRHFQWKVMPFGLKTAPSLFQKAMIKIFQPILFSALV -YIDDILLFSETLEDHIKLLNQFISLVKKFGVMLSAKKMILAQNKIQFLGMDFADGTFSPAGHISLELQKF -PDTNLSVKQIQQFLGIVNYIRDFIPEVTEHISPLSDMLKKKPPAWGKCQDNAVKQLKQLAQQVKSLHIPS -EGKKILQTDASDQYWSAVLLEEHNGKRKICGFASGKFKVSEQHYHSTFKEILAVKNGIKKFNFFLIHTNF -LVEMDMRAFPKMIRLNPKIVPNSQLLRWAQWFSPYQFEVKHLKGKDNILADFLSRPHEFSQRLKNSPKVL -MFQRRTRSNSTKSKADSSQSTGSSYKLSHNLPENPPEAFDLDYPWDTSVFLERRTFYELQVFKKYGGSIL -RPFGVDPEYPFAHIFIPNPTDFSEDLLWMFWYLLNHFHILMEFRCSKFSKFDQVNPWMMKFLLWFNNHNY -WASLFKCMKGIKKYVVIWFYRPVNYYQGKLCALPHSSIVKWNHVSVLNDEDEYSELQRFIFQENKCIPKE -IWPGSLGSWNYGNSDHPHGQWIRDALREYREMNDYFQDAQDPYPAYSKVDLTQEELNTLRITRSYGSSSE -DADMVKRSIYTVQSNIVKNSPRKRKGKAKSKSSTRNEKRRAKNKCKYRSLHGEDWWIELGYSTKPSTPSW -TQDSSSEPCI - ->sp|P03556.1|POL_CAMVD RecName: Full=Enzymatic polyprotein; Includes: RecName: Full=Aspartic protease; Includes: RecName: Full=Endonuclease; Includes: RecName: Full=Reverse transcriptase -MDHLLQKTQIQNQTEQVMNITNPNSIYIKGRLYFKGYKKIELHCFVDTGASLCIASKFVIPEEHWINAER -PIMVKIADGSSITINKVCRDIDLIIAGEIFHIPTVYQQESGIDFIIGNNFCQLYEPFIQFTDRVIFTKDR -TYPVHIAKLTRAVRVGTEGFLESMKKRSKTQQPEPVNISTNKIAILSEGRRLSEEKLFITQQRMQKIEEL -LEKVCSENPLDPNKTKQWMKASIKLSDPSKAIKVKPMKYSPMDREEFDKQIKELLDLKVIKPSKSPHMAP -AFLVNNEAEKRRGKKRMVVNYKAMNKATVGDAYNPPNKDELLTLIRGKKIFSSFDCKSGFWQVLLDQESR -PLTAFTCPQGHYEWNVVPFGLKQAPSIFQRHMDEAFRVFRKFCCVYVDDILVFSNNEEDHLLHVAMILQK -CNQHGIILSKKKAQLFKKKINFLGLEIDEGTHKPQGHILEHINKFPDTLEDKKQLQRFLGILTYASDYIP -KLAQIRKPLQAKLKENVPWKWTKEDTLYMQKVKKNLQGFPPLHHPLPEEKLIIETDASDDYWGGMLKAIK -INEGTNTELICRYASGSFKAAEKNYHSNDKETLAVINTIKKFSIYLTPVHFLIRTDNTHFKSFVNLNYKG -DSKLGRNIRWQAWLSHYSFDVEHIKGTDNHFADFLSREFNRVNS - ->sp|P03555.1|POL_CAMVC RecName: Full=Enzymatic polyprotein; Includes: RecName: Full=Aspartic protease; Includes: RecName: Full=Endonuclease; Includes: RecName: Full=Reverse transcriptase -MDHLLLKTQTQIEQVMNVTNPNSIYIKGRLYFKGYKKIELHCFVDTGASLCIASKFVIPEEHWVNAERPI -MVKIADGSSITISKVCKDIDLIIAGEIFKIPTVYQQESGIDFIIGNNFCQLYEPFIQFTDRVIFTKNKSY -PVHITKLTRAVRVGIEGFLESMKKRSKTQQPEPVNISTNKIENPLEEIAILSEGRRLSEEKLFITQQRMQ -KIEELLEKVCSENPLDPNKTKQWMKASIKLSDPSKAIKVKPMKYSPMDREEFDKQIKELLDLKVIKPSKS -PHMAPAFLVNNEAEKRRGKKRMVVNYKAMNKATIGDAYNLPNKDELLTLIRGKKIFSSFDCKSGFWQVLL -DQESRPLTAFTCPQGHYEWNVVPFGLKQAPSIFQRHMDEAFRVFRKFCCVYVDDILVFSNNEEDHLLHVA -MILQKCNQHGIILSKKKAQLFKKKINFLGLEIDEGTHKPQGHILEHINKFPDTLEDKKQLQRFLGILTYA -SDYIPKLAQIRKPLQAKLKENVPWKWTKEDTLYMQKVKKNLQGFPPLHHPLPEEKLIIETDASDDYWGGM -LKAIKINEGTNTELICRYASGSFKAAERNYHSNDKETLAVINTIKKFSIYLTPVHFLIRTDNTHFKSFVN -LNYKGDSKLGRNIRWQAWLSHYSFDVEHIKGTDNHFADFLSREFNKVNS - ->sp|Q00962.1|POL_CAMVN RecName: Full=Enzymatic polyprotein; Includes: RecName: Full=Aspartic protease; Includes: RecName: Full=Endonuclease; Includes: RecName: Full=Reverse transcriptase -MMNHLLLKTQTQTEQVMNVTNPNSIYIKGRLYFKGYKKIELHCFVDTGASLCIASKFVIPEEHWVNAERP -IMVKIADGSSITISKVCKDIDLIIVGVIFKIPTVYQQESGIDFIIGNNFCQLYEPFIQFTDRVIFTKNKS -YPVHIAKLTRAVRVGTEGFLESMKKRSKTQQPEPVNISTNKIENPLEEIAILSEGRRLSEEKLFITQQRM -QKTEELLEKVCSENPLDPNKTKQWMKASIKLSDPSKAIKVKPMKYSPMDREEFDKQIKELLDLKVIKPSK -SPHMAPAFLVNNEAENGRGNKRMVVNYKAMNKATVGDAYNLPNKDELLTLIRGKKIFSSFDCKSGFWQVL -LDQESRPLTAFTCPQGHYEWNVVPFGLKQAPSIFQRHMDEAFRVFRKFCCVYVDDIVVFSNNEEDHLLHV -AMILQKCNQHGIILSKKKAQLFKKKINFLGLEIDEGTHKPQGHILEHINKFPDTLEDKKQLQRFLGILTY -ASDYIPNLAQMRQPLQAKLKENVPWKWTKEDTLYMQKVKKNLQGFPPLHHPLPEEKLIIETDASDDYWGG -MLKAIKINEGTNTELICRYRSGSFKAAERNYHSNDKETLAVINTIKKFSIYLTPVHFLIRTDNTHFKSFV -NLNYKGDSKLGRNIRWQAWLSHYSFDVEHIKGTDNHFADFLSREFNKVNS - diff --git a/seq/clusters_seq/cluster_1350 b/seq/clusters_seq/cluster_1350 deleted file mode 100644 index ef21692..0000000 --- a/seq/clusters_seq/cluster_1350 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_001950226.1 protein 2A [Human TMEV-like cardiovirus] -NPISMYRVDLFINFSDEIIQFTYKVHGRTVCQYEIPGFGLSRSGRLLVCMGEKPCQLPVSTPKCFYHIVF -TGSRNSFGVSIYKARYRPWKQPLHDELYDYGFSTFTDFFKAVRDYHASYYKQRLQHDIETNPGPVQSVFQ -LQ - ->YP_001816886.1 protein 2A [Saffold virus] -DPISIYRVDLFINFSDEIIQFTYKVHGRTVCQYEIPGFGLSRSGRLLVCMGEKPCQLPISTPKCFYHIVF -TGSRNSFGVSIYKARYRPWKQPLHDELYDYGFSTFTDFFKAVRDYHASYYKQRLQHDVETNPGPVQSVFQ -LQ - ->NP_740428.1 protein 2A [Theilovirus] -NPASLYRIDLFITFTDELITFDYKVHGRPVLTFRIPGFGLTPAGRMLVCMGEKPAHSPFTSSKSLYHVIF -TSTCNSFSFTIYKGRYRSWKKPIHDELVDRGYTTFREFFKAVRGYHADYYKQRLIHDVEMNPGPVQSVFQ -PQ - diff --git a/seq/clusters_seq/cluster_1351 b/seq/clusters_seq/cluster_1351 deleted file mode 100644 index a018108..0000000 --- a/seq/clusters_seq/cluster_1351 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_001950221.1 leader peptide [Human TMEV-like cardiovirus] -MACKHGYPLMCPLCTALDKTSDGLFTLLFDNEWYPTDLLTVDLEDEVFYPDDPHMEWTDLPLIQDIEMEP -Q - ->YP_001816881.1 leader peptide [Saffold virus] -MACKHGYPFLCPLCTAIDISADGSFALLFDNEWYPTDLLTVDLDDDVFHPPDCVMEWTDLPLIQDVLMEP -Q - ->NP_740423.1 leader peptide [Theilovirus] -MACKHGYPDVCPICTAVDATPDFEYLLMADGEWFPTDLLCVDLDDDVFWPSDTSTQPQTMEWTDVPLVCD -TVMEPQ - diff --git a/seq/clusters_seq/cluster_1352 b/seq/clusters_seq/cluster_1352 deleted file mode 100644 index 489f0b1..0000000 --- a/seq/clusters_seq/cluster_1352 +++ /dev/null @@ -1,18 +0,0 @@ ->NP_940829.1 NIa-Pro protein [Oat necrotic mottle virus] -GARSLNHIHQNQVILVEDNQQLNGLIVGNILLAPFHFTRGMRDREEKEARMLTQFGTYNLGKLTDKHVTK -FTMLDLVALTLPPTFQARRKLKCFRIPVEGERAILVTMHFDKTGWVGKQSAETPITPYGDRHDGLWKHRV -TTAPGDCGSTIVAVSDLKIVGFHNLGGKGENYFTPITEEIMNFLSDKSVEPLVPWKFSEEQVDLCGLVEA -NGADKFPFAKTISELVNWQ - ->NP_734260.1 NIa-Pro protein [Brome streak mosaic virus] -SKALYGGPRCYEHITNNQVLLAGPSGYLNGLITGSKLLAPYHFVKDISSDSQDPSRMIARFGTYNLGNIL -NLQVVKFTMIDLIGLDLPVEFQPRRTLKCFRVPVIGEKAVLVLSRYSKEGWKSCVSAETEITPYGENEEL -LWRHRITTEVGDCGATMVALSDQKIVGFHSLGGISMNYFVPVTQELLDFLSSKTEKPLVPWRFSEDQVDV -GGLYIHNDFDKFPFVKTIQKLVGFQ - ->NP_734272.1 NIa-Pro protein [Wheat streak mosaic virus] -GARSLDHIHQNQVILVEDNQQLNGLIVGNILLAPYHFTRGMRNREEKETRMLTQFGTYNLGKLTNKHVTK -FTMMDLVALTLPPTFQARRKLKCFRPPREGERAMLVTMQYEKAGWVAKQSAETTITPFGDRHDGLWKHRI -STGPGDCGSAIVAVADLKVVGFHNLGGKGENYFTPITIEVMDFLAEKSVTPLVPWKFSDEQVDLCGLIAA -NGADKYPFTKTISDLVSWQ - diff --git a/seq/clusters_seq/cluster_1353 b/seq/clusters_seq/cluster_1353 deleted file mode 100644 index 0addb66..0000000 --- a/seq/clusters_seq/cluster_1353 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_001686788.1 putative coat protein [Rose cryptic virus 1] -MASSKRTPPLVAQQPKKRRFETVFDLGPSLGSTSLAMVHVPQPTPMLTDEAEQMAELLFRERSRIELDIN -PAYVSELELRREVGFSPMIANKTLAFTTYDLRVVEFRRCITAVLTGALKARYFDRSNLRQAEITAEINTI -VPLTVSACMCALYSKLRSIHRTHGRLSARYAAAPHYNKDIELPLPLAVAIQEFGIFKTESMIQNRIMAPT -YPEATQYEGRAQDNFNITDYQTYIPTFKDLGIPCKSVDPHIKSGSAWWTYKLNNAHGTTDLVCTIPPTNY -SDLGVALRSLFLATAADSDECSEIIAWPEGTADFGTNLRENPPNSNIRAFLALCHGPLEEWSNGHA - ->YP_001686785.1 unknown [Raphanus sativus cryptic virus 2] -MDTAQTDADKAAGKRGATVPPEGEPAAKTMRFSDAIVPAGPSKDASTVATAALPKDLIQWPIERLATQVN -QVFLDTTIQNGEGGYEVPDDQIVPTLRVYEINVTNLRNAFRSVLYTILRAKFIERGDRTVTAATTLATNV -SNLTADACMAALYAKLRSLHRQIYTHKGRFTDQPTYTKDVELPLPFAVAIDGIGMFRTSAMSTRFNVVPV -YPENTKNEGRSHDSINFLEYKSYLAYFAEIGIPTRTIDTRVTPGNAWWTYRTSYDGDVYDLKINFPPLHF -NDHLANLAIMFLGNSGADRNNASIITTKTDDQDYGWYVKDIREGEQARAFAALCQWDPRYWNEKNNV - ->YP_001274392.1 putative coat protein [Fragaria chiloensis cryptic virus] -MASPRDSMMTTAQDAKKRKVSHILSPIRMIEPAAQSLAIIPVVPQVQMDDAEAMAELLYRERARIELDIN -PAYISRLESRREIGFYPPVASKNVPFTTFNIDVAEFRRCITHVLTAALKVRYYDRSNLRNAEINTEINTI -VPRTVSACMCAVYAKLRSIHRTHGTLRNRYVTTPTYNKDIELPLPLAIAIQGLGAFRTESILANRVIAPT -YPEATQYEGRAQDAFNMTEYQNYIPTLKDLGIPCKSVEIHDKKGTAWWSYKIHNDHGTSDLVCLLPPTNY -SPLAVATRMLFLATQDDSDECTDFIEWPEDLGDYGTQLKEAPPNSNVRAFLALCHGPLEEWSNGHA - diff --git a/seq/clusters_seq/cluster_1354 b/seq/clusters_seq/cluster_1354 deleted file mode 100644 index d4e57ed..0000000 --- a/seq/clusters_seq/cluster_1354 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_001686787.1 putative coat protein [Rose cryptic virus 1] -MDKDAAAAQTEQSKITESHGSSPEKNAAAHTADQKAAVGSTFQLQSRKPTEPPTVPSAFAQSTALNVSVG -NADYIAEQRDEGKYGLFLPRDRAHREPEPVTMIVGNFFDGIVRTTERAMVYLLQKKSEFNDIDVLQRVHA -VAEQLAIGAMLATYFKLRALIYLDLPDRYTLGSLKRPKAIADLPVIAPFAFAIQQLGYVNVANLTRERRF -VPVFPEDDHTFGVPLEHHWNPNLYSQAVDYARTLGLHFNVVDYKKKQGTAWWLLRQHYEDHVFELQVPLP -EVNFTSAMAITHTLFLEGEAADPSNAVFDLTPVGADTYGYILREPHFGINVTTYQVIDGSAQEIVSNV - ->YP_001686784.1 unknown [Raphanus sativus cryptic virus 2] -MAFESKTSASESTVNKDAAPAPSSASVKSPVKPSSSGLGRAKTETPHLPASNYEIPRYTRDTQPVVGNKN -YEFSLRMDGRLGHSMDRSKTARPEVNCSLNRANFHEGVLKVLRQILSEMARLKQDLSDAEIQERVESVSL -TLAYGVATMAYLKLRAINLFRPNEASKFLTKPKVPDHFEIPTPFAFAISQLGVVEVSSLSRRMICYPTAD -LADASNHLVGNKRNWSQTAYAEAVRYAKYLGMSFSTVDLDIKVGSSWWLFKPDVTDGLLSIRCPLPEDNY -TLAGATVFMLFYHDVGSDPAIDLFNIDSLGNDDYGSFIRNPRDGFNASSYYAISSEGTDEMWKSSA - ->YP_001274393.1 putative coat protein [Fragaria chiloensis cryptic virus] -MATDNSDAKTEQSKVTESKGSSPTKSLPAHAADEKAAVGATFKLQSRQPTEPPAIPSVFAQSQSLNDSVG -NPDFIAQLRDEGMYGLFLPRDRARRTPTPVTLRPLTYFQSIERTISQSMFHLLQTKTEFNNDDAIQRINT -IAEQLAIGACLATHMKLRALIHLDFPDRYNIGSLKRPKTITDLPVVAPFAFAIQQLGYVNIANLTEERRY -VPVLPETGHTFGIPTGHNWNPNLYAQAVDYARKFGLHFNVVDYTKKQGTAWWLLRQHFEDGIFELQLPLP -EVNFTSSMALTLSLFLNAEEVNATSEIFDLTPVGADIYGYIMREPHLGINVSTFEVIDESAKEIVSNV - diff --git a/seq/clusters_seq/cluster_1355 b/seq/clusters_seq/cluster_1355 deleted file mode 100644 index dee0c8e..0000000 --- a/seq/clusters_seq/cluster_1355 +++ /dev/null @@ -1,24 +0,0 @@ ->NP_057859.1 MOS [Moloney murine sarcoma virus] -MAHSTPCSQTSLAVPNHFSLVSHVTVPSEGVMPSPLSLCRYLPRELSPSVDSRSCSIPLVAPRKAGKLFL -GTTPPRAPGLPRRLAWFSIDWEQVCLMHRLGSGGFGSVYKATYHGVPVAIKQVNKCTEDLRASQRSFWAE -LNIAGLRHDNIVRVVAASTRTPEDSNSLGTIIMEFGGNVTLHQVIYDATRSPEPLSCRKQLSLGKCLKYS -LDVVNGLLFLHSQSILHLDLKPANILISEQDVCKISDFGCSQKLQVLRGRQASPPHIGGTYTHQAPEILK -GEIATPKADIYSFGITLWQMTTREVPYSGEPQYVQYAVVAYNLRPSLAGAVFTASLTGKALQNIIQSCWE -ARGLQRPSAELLQRDLKAFRGTLG - ->sp|P00537.1|MOS_MSVMM RecName: Full=Serine/threonine-protein kinase-transforming protein mos -MARSTPCSQTSLAVPNHFSLVSHVTVPSEGVMPSPLSLCRCLPRELSPSVDSRSCSIPLVAPRRAGKLFL -GTTPPRAPGLPRRLAWFSIDWEQVCLMHRLGSGGFGSVYKATYHGVPVAIKQVNKCTKDLRASQRSFWAE -LNIARLRHDNIVRVVAASTRTPEDSNSLGTIIMEFGGNVTLHQVIYGATRSPEPLSCREQLSLGKCLKYS -LDVVNGLLFLHSQSILHLDLKPANILISEQDVCKISDFGCSQKLQDLRCRQASPHHIGGTYTHQAPEILK -GEIATPKADIYSFGITLWQMTTREVPYSGEPQYVQYAVVAYNLRPSLAGAVFTASLTGKTLQNIIQSCWE -ARALQRPGAELLQRDLKALADSIEPM - ->sp|P07331.1|MOS_MSVMH RecName: Full=Serine/threonine-protein kinase-transforming protein mos -MARSTPCSQTSLAVPTHFSLVSHVTVPSEGVMPSPLSLCRYLPRELSPSVDSRSCSIPLVAPRKAGKLFL -GTTPPRAPGLPRRLAWFSIDWEQVCLMHRLGSGGFGSVYKATYHGVPVAIKQVNKCTKDLRASQRSFWAE -LNIARLRHDNIVRVVAASTRTPEDSNSLGTIIMEFGGNVTLHQVIYGATRSPEPLSCREQLSLGKCLKYS -LDVVNGLLFLHSQSILHLDLKPANILISEQDVCKISDFGCSQKLQDLRCRQASPHHIGGTYTHQAPEILK -GEIATPKADIYSFGITLWQMTTREVPYSGEPQYVQYAVVAYNLRPSLAGAVFTASLTGKTLQNIIQSCWE -ARALQRPGAELLQRDLKAFRGALG - diff --git a/seq/clusters_seq/cluster_1356 b/seq/clusters_seq/cluster_1356 deleted file mode 100644 index d7d4903..0000000 --- a/seq/clusters_seq/cluster_1356 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_460029.1 VP4 [Liao ning virus] -MSVFDYSLQTKVLDFGLTGMDNYAPDSSLASALKPRPLISNEREHANVVRIDRIEHELVKDRMQEEADRN -VLKDDITMFATSLKTIVDFDNHTEFVLNNLNSSISKAEQSRVKDENFLLAAINENVDAVNDIITNQQALT -AAEKADLIMEQVINSARAVAHTSAAVADGVGVIPIFGPNVASGAKVLSDTAEAIADTTAAIKASQISQQL -STAFSALRTVHEHPNKFIEAAVTTIKTGEDLVPKLKQIVDRSRRANELTFSRVTVPGSIAHSYAYVSVLD -DYDVLMVYHIKPSTGAYGLKASIGSRRVSYSLHGLFGELDSFGFGPEIMSSGVVSLPPIGSRQVEFNTVV -NADRCRAMLMALQSPGLSLSDEEYVGYFEQYLCRAIEPTNKTVLSALHSAFDSIHSDSMSNDMHSDHIAM -LGHLDTHRQKHKDSLEAELPGSSNILVPIGHVSRANSTHTLALKSTFRIGEFRVYDYFIGPFTHSGKFVI -GVVIDSMYVNSNTDNRRFVYSQYSGHEGQRINSVVNSSESPIRLELTLDVRSDLTIEGVEGDRAVLRIYG -VDLHSVRIITGPSEGSEAMIQPPMTTSDHKELGFDYGFMRRHIDPKIINNSIDLGYHDWEQYHGNGNWTN -IKPSEN - ->NP_694472.1 VP4 [Kadipiro virus] -MSTMNYSRPNAISALSASGFRGYVPDNSVSSALIPRLVVTNEREHVLAGRLKRIEDNIAKNKLTEHDDIE -VVKSDYESLANNFEEQIKFDNATDRALHDFSTQIKIVDSEREKEMTVLLGAVNDNSQAINDIIKDVTVID -NIEKANLILEKVIAVSRATAKTAEIAADGVGVVPVFGPSIANGAKIAAHTAEMVANTSEAVKASGILNDL -NETFKAITSVHSRPNDLIKSAVKSTNNAVDLAAKLKVMIDSARRKNDIGLSKISIPSVVVKSTVEWPRVI -DAYDTHCIYKLHPTNGSVGLIVEVKNTLISYQVKKQYGKLDSDGFGDTIISGGRIVGIAGAKRVVDFHLS -VDAAHSLAMVMALQSLSNRLDSTSIVGYFEEYFELGMRVEKPEVDNAFSNHLHDLTVDTSYIDWHKYETE -MLNTHNERKSVLINEVNETLGAGSSVHMVPEGFITLETTPTIIELTPVMKIGAYSVYEHLFGPFVNTGTY -TYNLVVDVIGQPTKWYTQLVDVRGQVVSSSSLDALPTQPVDLVVGTKGSMSTIPGSSGLLVDRRLLRIYV -KQPKCVRVFCGWKSGVSSFRFSPKTMEEQKAFSHEFSRLHRFLDPNAALAITEGSHVWEAYAKGNYNNPI -D - ->NP_694477.1 VP4 [Banna virus strain JKT-6423] -MAWVTQAYSSGLSQNSIISLTGNDRTVADGTFNSMIMPRAVIANEREHFMKTRIDKIEHDLSRNAKQEMM -DRQSLAEDYSALNLAVGQEIKLDIATQHQLNRLGSSMYKADHEREAELTDLINRIRENEVTVNGILENQK -AITAAERADLLLEVVASTAKSVSAAGRAAADGSGVVPVFGPSVANGIKVGIDIADSVAEAAIAVKESGII -TQLNDVYHAFQSVHVAPNDIIKPAAVVAGTSTELIGNLQAIYSRLRSHSDIGFKKATVGDVIPHSYMVKP -VNSTEYASWQLYVIHPVQGSLGLVVQVMGDALTYNVFAQYGTTSASEFGKTVLTGGATNTALEGTKVKFQ -TKVTAQQALALTMALKDAASMLSQGELIGYFEQYINLALEPDNLSLQDNMHKYHHLLTSQNSPIDWNYHD -EEMHKWLDSRKITNYDTMKQKDGVVIADIHIPKVFNDLRNTTLHCKLEGKQNIAGYTVYEYLIGPWAHYG -DIDYSVVVDTLNEETKWYCEIIGIDGHLIIEKSVQHKPEKILELTVNDDGLTSFKGKNHDRLKLKVYVKD -SLAVKVFRNWIGINGT - diff --git a/seq/clusters_seq/cluster_1357 b/seq/clusters_seq/cluster_1357 deleted file mode 100644 index 78aff54..0000000 --- a/seq/clusters_seq/cluster_1357 +++ /dev/null @@ -1,39 +0,0 @@ ->YP_460028.1 capping enzyme [Liao ning virus] -MLRFQDDNSIPSKFISEVNGTVLRYVTIDHDGTGFRKSYPNINERLYYALGDAYEAGVEQRPLLITNEET -KYFRNIGFLEVGEESTDSKTAYLRRAFVRDYNDIIGVEPNGLKALSKSRNGLNIYDMYDYITTFDPISDF -KRHCVQRWKLSYPPDLESIQYKLATSYDLNYKRMFNENITLEETKIESQLDKFTQCTYEKTSYHMNGNNI -KTEGLFGFSPKLSFAQQNGRLKNEYVTKGLIMTHHKYIFIGDSPGPHYNEYSKILNPNNCISYDPRDLSY -SSLTTHYKQMFTYADIPRVVGFCNSAHAQGLNVLVRIDIRSDKPKMSKGELNSEWESGVQADNELTASLI -NALPINVTIVAKLRPSYIVGNEAPYLNKRFRIPPLPFLTHTTAEFSIFVPRGSLTKMSLTNSYKYDDLKS -MTSKVCALKRTCGSLYNMFLCDLYLNLGVTIKQATVSNNSLALFSLSNVNNPMPELTSVKNYLLTFPYTS -IVNTQSTRITHGRTYVDNAFNMFHEYHCRDSLVIPLAALPVSSHNISSDFTNVVVTDNFDVIRMTQPIEQ -VSTHMVKLVNFALKRVCRSVGINFTELNKRLRREALEEFVTRNPSYVMFDNETAYSSTGRMTVSGHMMYI -LLGSMLGVPYGLVRYLREIEINIMKPSVSYERGFGSRVWHGYHSHRMAVDVAVKVMTSLAIVDRVHIAQL -LEFANWYKSRLDGLAVKYGRRYTDVDETDHILTLN - ->NP_694471.1 VP3 [Kadipiro virus] -MSFVEDDTSCVREFDRLLKVLEFGYSVSEDGTLPKTYNNMNDRIYYSLGDMFQSNYNNTRYVIPHGTNAL -FQKVGYFKIGTERANRTAVLRSAAASFANIIGVEPNGIKAISFKGNGFRLYDGYIYSCSNSPINDFVDHC -SRRWKYDFDDERKIMLEQLVMRYKRNYMRMFDKINHINSTDGLFIAKIENDHFTSMFTSPRHVKLVDNDV -IYSGHLIRAMAGSASIDDGRLKNQLSTQGLLMTHERYFLIGEAPGNHYSLYKRFMNKENCLLIDPRDVHS -SVVDIVRHEKRFFTRADIMRIAEIAASNQHINFLLRIDIRNDKPHKGDGAYNSKWEDMVQYDNELTAELI -NSMPMNVTISAKLRPSYNTNNVMPILTRKFRVVPLPYLKKSTAEFHLFVPRHDLLNGTEIDDVSYETLIN -MSYEVCALKTLFGKPYNTYLMDMTLGLGVMNKFVKPMSNSIALYSYSNSSNSRYNSEEFKFIRDYLYTYP -YSSLIDKTFNQVTHGRSYSDNTVNIYDECSDEAPLFIPIYSLPLNAKINYYDMRTVCVMDKDGLAKIGFK -FSQPDNQMSTQIVKLVSFILKEICEKRNLSHKHLDDEIRSQVLENYFKDKSGIDYNIVGTTVMINDRLVS -VSGHMQYILIGSVLGLPYGIKRYIMEIESNILNPKAGYERKSGSRVWHGFHSHYLAVDSALLYLTTLMNF -KVEQFDMIKTSFNWIKIQLLDLASKYSNYLLVDERSLIS - ->NP_694476.1 VP3 [Banna virus strain JKT-6423] -MELFSDSGSIVENFKERINKLVFDYSLNHGGFRKTYKIQRDRVYYMLGDAHHANLSGKCLMLYNSEKDIF -EGLGFKVKGSRINVSKTQILRNYEINFETIIGVEPNGLKTISTAKDVKKLYDIYSYKSSLHPFDDFMAHC -INRWGMSIPASLERIIKSEIIKVRSGVLNRNSELYNYIPTVDASFSEMSRGPANVILTDGKLVPDGTCFG -PILSKSVEDPRLKNEFRSKGLIMVHDYFILIGESPGPHYKKYTKMTKDNTIFWDPRRTDHKFNNVVSYFK -KENIRDVVEYTTDALNRGLKPLVLIDIRKDKPKNLNTPEGAIEWERMVHDDNNLIIDMVNALDKRVTVCA -KLRPAFMQVGSMRKLLRPVRILPLPYLRRSTAEFNMFVPNEALMNGNEIYDVTYDDLVRMSSEVFVLKNI -IGGLYNMYLKDMHLNLGVVNKSVSLSDGSSAIWSLSNINNERISNFNFNNFLYAAPYSDFATSSVKRHFK -GRNYSDWCLNILDEVNLKDGVYLVPLYAIVGGGQITSHDFVNAIITDQEQLIDFTQSERALSTQVVKLVS -FILKDSFTAKGLNWTEIDNEIRNRRLSSLSGVGFTVTKMLDGKVLVDGKVVTVSGHMLYILLGSILGLPY -GIKKYLKEIELNILKPGSSYERGVGGRVWHGLISHYLAVDCVIDVIDEYMVCTYEDRSKLNVVLRYVKSK -LLELGSKYDVYLSVDERLVL - diff --git a/seq/clusters_seq/cluster_1358 b/seq/clusters_seq/cluster_1358 deleted file mode 100644 index f659026..0000000 --- a/seq/clusters_seq/cluster_1358 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_460027.1 VP2 [Liao ning virus] -MSSRNRRSNMKKNKQNAAKKEENVVEDSGSVSHSRLMSVMGKEAFDSLMAALAIREVPRNTDPILGSIAT -SSIQYKDVAGPQPADRDNAVKEGNLPPAELKIPADTTVVAGRLATMVTDPMTTKNQTEVVDKLRAAMSDG -MAKDMPTREVQVDISRGVDQASIDAQHQVLMAMTRQTPNVIKELKKDTRKIRKLEIGPTNLEIITSSLGR -ESIILERFPFIEILNSVELTVQLAMLASRLIRTYQLDDPVVLDSQGNYVTQPFEPGTKFTKDGLSYSQIV -DALNAKRNYSNEARLMPAEVMAIMRSALIVDNRDGRSVTSCFDGRIKNSIIQLGARVWGRNIIKLTDYME -LLRAEIPANYRLKEYSGLELHRGYVYYQPRHGSLRVLIELLKRECSEYFLPLITRELAIVKSEIVTTGSI -QQLISSDNNVSISRSSYANQLLASLDLSSNEKVRTYIIMASMFKNFKLDVEYGQIPNIIDCMAATFYLLF -IGEDNITDSSYTSSLLTIASFIKNRPIDALPWTDPAQLRNELRMASWPFVERNTPVQFRVRYSPIMSDGE -PGLYNGAFNCNSDRQHTMRDLSNIISNMSNNRWRVGNSNQLGTLMSTTISSAYTLIMKSVSSYNDVISRV -RGGLPNALATGLDYSRGGYKVSSIQVVSYFLSLSPLSMTPSLYDSIDTMAWENLKQYEDLANDIDRTLIM -ARSIMRVERPEEAPIMSYVNGMSRVIDECWNDSSKYNYISRTMESLLAAAQLSGKEGYKHLLYEMIRKQQ -HDVINEPLAEITDGVINILRNNLGAFGITYSILIYPSNAGIVRDARFDNVFRRSPFVATMPVETYPSITY -HELMLSRDFARQISRGVIVTGVPFQYTLEFKNGNFNSYLKNEVISVTKSGPVINKIEFVIGFNDEPQIEA -EYADILDRNYGIAIPTPPNIDLIVDYNRSLVRYDNADRNALYIEDHTLVYTRP - ->NP_694470.1 VP2 [Kadipiro virus] -MPNKRSNKGKASKDVKVTSSDTKTINGGSLNDEKANSILDEAFNSRQVNDSGDRLITSSSKIVNNVLPGP -ETFAEIRDSKKDGTVVRGENQAILIDVNNDVSGATAEAFSKSTTQPLAAANTRELLEQSRLAASEGLRKV -IPTKELLVAAYLGPDADRYDADLDALSAALIVGQDEVRKIRSENLEIRKIGIGSPNLEVITSNKGRTAKC -AIIRENVGFMKIKTGINKNIQCRKLAKALLDRYGLTSPFVIDISNMVETVVYDNSSYSTAGYDYKQIVEA -LNATRRFRGMPELQPRELTEIRSDLLVTDGPDRTSISTLYDGTIKAQIIKAAAYEWEQDIISLPEYIRRI -KLSIPEGYRIKEYSPKEMRYGKVYYRFRQASLRMLLDMLGDECSDFFIKRLYLDIKHKLPATASSTTSVV -AAIAPDVRVSSTTTSLANSFLSALKLTDIENVRALSILCAVFKNLQIEINFTKRSGNAGIMDMIAATFAL -LFFPDHSISDALYLSILKVIASFLGKRAIQDYRDYNSVQMRDELIGLNWPFINRCTPEQLELEGSPFFSN -GEIGRYDIPLNINSRGKHVLGDISRELRAMSRERWRDNNSSVGLLVSSVLKFAISACYDLFDTHIDVVAH -IRAMVPNEVAEMLDHTRGTYQIEPSSLVSYIMHIGKWEITDTFVDEIDVMAEKRLSEYHQLALDISEAIN -ITRTIISETKPTNARRLAYTNAVVRYVDHMWGKESADGKQGISSTLGLLLGAAAGADLKSGYKRFLNDLC -TRNDATPADEPLYRIMESVTKIVESNLSAFGITEEILICPKRHRIETDARFNGIFRQSPFVATMPVEYRR -VHYTDLLKMGALEAAKVISVGVIVYGVPFNYEYRIHSGDSSTVSEKQFVNLDTNGVTLGELEWDIYFDNG -HNVVAECDDIFGLGYKTMIVTPPNLQPIDNYNEYKVKYTSADDEAIYINDHIYMYKRT - ->NP_694475.1 VP2 [Banna virus strain JKT-6423] -MPRKKEQASKSENEKQTSNVQAQDFKTAIQPDTATAQLIKTYSNPKQRGDKGEIVYDGGQSSKLAEVVDQ -TTEPHNADGAVKDGRIAPVKLDLEKQKLDKLKLFEASPFDPLTVKNNQDVVDKLYATQSSSIQEVVPTKT -FATELQFGVTSEDMAKIYGAVVAVSKNVNSSVTYEVKRGTHELIKVPTIPHNLVLIQSDNGKHALIKEDL -GQWPVETGVSLVNQAGVFAVQLANKLGIDKPFVLDAGSNYFTDTSFIDTRKYCTDGLSPREIQKALNRQR -AYYDRPELTIAENRTLLSQSIVYPDVDGNDVSVIFSGAMSHAIFTYAQSQWSKNIIRLDDYIREITLTVP -KHYRPRRFKEIEHTHGYVYRELNQGSLLPLVDANLKEASSYYFKKLMPSISNVPVDARTLQSATSALAAD -TGLVDRAPHVSMLTNRLTTANASSVRAITVLTCMFKQFRIGMTYSPDPNIMDVAAATCMLLFRPAQSISD -EQYRYCLQTMAVFLTNTTYDIVNNDTVDVLKTKLRNQGWPLVERYNAIEVDMSVEPLRSPGQVGRYYNPF -NIDPLTKKHVEDRLEEFPNQVQVGRFRNVSNNAVGAALAAFLRACRDKTSANWKGYSILVSRYRSLIPNE -LFESLRNISGEYNINPQDEHSFFFALAQINADEEFTGVIDKESAEYLDEYATLAREISNSLTLVKAAFGP -LERTSGSILNHANNLNKVINRVFADKPLISETMLKILTIDGTTGKDGYRNWLDKLVGHNYPVYVEPVVNI -VNFISARFIADSSYFGYTNEIMIMPNHITVPVDDRFGFRDSPFCMSLPRTIMGNEVRRISYSVFSMMEDI -DEVISEGFILYDAYFNFTYDIMTTDGVTRLKEDILVVTDTGNDIKPIHFYIYFENKNDKKLRYESKMNVN -YRLYIKTPACLLPLNDYMRAQHEYVSPSSSRVYIKDPAVVYTRS - diff --git a/seq/clusters_seq/cluster_1359 b/seq/clusters_seq/cluster_1359 deleted file mode 100644 index 108f7c9..0000000 --- a/seq/clusters_seq/cluster_1359 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_392511.1 nonstructural protein [Rotavirus C] -MSDFGINLDAICDNVKKGQTESRTGSQLSNRSSRRMDFVDDEELSTYFNSKASVTQSDSCSNDLEIKHSI -ITEAVVCDESAHVSADAIQEKDETVPQMDHRIMKWMLDSHDGVSLNGGINFTKAKSKLKETENEITEMKS -KTNLLVNASVGINSNVGAFNPINQTIKTEAVSDMFEDEDIEGCICKNCPYREKYRKLRSKMKNVLIDMIN -EM - ->sp|P36358.1|NSP5_ROTPC RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSDFGINLDAICDNVKYKSSNSRTGSQVSNRSSRRMDFVDEEELSTYFNSKASVTQSDSCSNDLAVKTSI -ITEAVICDESEHVSADAIQEKEESIMQVDDNVMKWMMDSHDGISMNGGINFSRSKSKTGRSDFTESKSET -SVSAHVSAGISSQLGMFNPIQNTVKKEAISEMFEDEDGDGCTCRNCPYREKYLKLRNKMKSVLVDMINEM - ->sp|P34718.1|NSP5_ROTBS RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSDFGINLDAICDNVRRNSSNSSIKSQVSNRSSRKMDFVDEDELSTYFNSKTSVTQSDSCSNDLNVKHSI -IAEAVVCDESAHVSADAVQEKDVVVPKMDESVMKWMMDSHDGICVNGGLNFSKLKNKSNEHETKVTSETN -VSAHVSAGINSQLGMFNPIQHKIKKEAIPEMFEDEDTDECTCRNCPYKEKYLKLRKKLKNVLVDIITEM - diff --git a/seq/clusters_seq/cluster_136 b/seq/clusters_seq/cluster_136 deleted file mode 100644 index 378f30c..0000000 --- a/seq/clusters_seq/cluster_136 +++ /dev/null @@ -1,473 +0,0 @@ ->YP_233102.2 2a protein [Cassia yellow blotch virus] -MSRFINGEEYEIPSFQWLIDESLERLGNLPAEISTDVVDEPRVEITAEGTLDSFLHAVKPLVISGEYQPP -FDQARWASCCQQVYELNEAFGHKGLIPPPEMARMLYLDIEGSFINEEECDDWMPVDTDDGYLDYTSKKDL -QTVNTEENDLAEMPELEECSDSSKSDVVEFTLADRFQVTSGEFFDLENSNYQLTLDLQNPMMQMVEGLED -TLPETEPDEQLTLAPRFTERVSCEALEGAGHRMLPTHAYFDDSHMQALEEIADYNLDFERIKISQSGVDW -YKDPDKYYEPKMQIGSFSRRVGTQKTVLTALKKRNADVPELADNVDIQSVAAEVSQRFFETFLNPGCENL -FRGDLNVMAKAFEYEKKWSKHKDLVDVNVMSERNLQSYKHMIKTDVKPVINDTLHLERAVPATITFHGKA -VTSAWSPFFTSCFENLILHLRSRFIVPVGKLSSLEIKNMVLNDKYFLEADLSKFDKSQGELHLEFQREIL -LKLGFPAPLANWWSDFHRQSYLSDKNAGVGIPVSFQRRTGDAFTYFGNTLVTMSMMAYCYDLSKMEYCFF -SGDDSLLISREKHSFEPLLFQSLFNMEIKVMEPSLPYICSKYLLETTGGRVVSVPDPVREIQRMSKRKIV -KDPSVLRAHYVSFCDRMKFLKKLDEKSLSLLCKYCCLRQRKPDIEMDVRIAVSACGYYAENFARYAELFV -TNGAEVFMKKNPCKPELPERSDGSWFRDWRNTVFPRLADSYVRFFGRYSVDHTGDYESVVKSCKAKAVKK -LWSDSYKAALDRRDDLNEKIRKDLASFEA - ->YP_003208108.1 2a protein [Melandrium yellow fleck virus] -MAFEIEYEVPSFQWMLDTSRLDNYSLNNGEPSNIIPVDDSIEIVADGTLSSYLHAVKPLEIGGSYEPPFE -QSRWAQCCENAINVSKMKGVILKPLPEVARILYLDLDEPIVDESEVDDWRPIDTSDGYDPETSDWDPTYL -DREADAKAYGHLLPSYQQKEIAVSDVETDRQNQDVKNVLLNPDPLPAREFTLGDRFMPIEEEFGGTGADY -DVTLNLINPVESRVARVVDTLGGLESGVTLTSPLFLERVSLNRVDAVGHHMLPTHAYFDDTYYQALEENA -DYSSDFNKLKIKQSDVDWYRDPDKYYEPNLTIGSFQKRIGTQKTVLTALKKRNADVPEMSDAIDVKRVAR -EVADKFISSYLNVDGKDCFINGLNVMAKGLDYYKKWKDHRELSGVTIATGENLQRYQHMIKTDIKPVVTD -TLHLDRAIAATITFHGKGVTSCFSPFFTACFENFSMALKSRFVVPIGKISSLEIPNVSLNNKWFLEADLS -KFDKSQGELHLEFQREILHAIGFPVHLSNWWADFHRESYLNDPNAKVSLPVSFQRRTGDAFTYFGNTIVT -MAMMAYTFDMNLPELAIFSGDDSLLVLNDKPSIDTDIFQRLFNMEVKIMEPSVPYVCSKFLLETELGSVV -SVPDPLREIQRMSKRKILKDPEVLRAHFTSFKDRMRFLDVLDDRMISILCRYVSLKYLKPGLEQDVREAL -ACFSYYSESFFRFAELYAQDGKFVYQVKDPISHHRGDEILGSREKDGDYFHNWHNPVFPRILDKAVRVFG -KYSTDYSSENYKKKSMKCEEDKLFRKSLSLAYDQRDLLKNKLKHDRLLDETASSSFSK - ->YP_009551509.1 2a protein [Sambucus virus S] -MEHIENYEVPSFQWMFSSAARSDYNLESSEVSQVDPLPSSSEQELVLDGSEASFVAAIKPLEKDGFYDPA -FDSARWIEACEHAFCVSTTFKDRPLKPMPEMARIIYLDLDDSLVDESEIDDWRPEDTSDGYDPETSTWSP -TELDREADRKAYEASAELALRVVEEKQDRQCQDVNNVQVIPDVPPYELTLGDRYMPIEESFQTIDSDYEI -TLNLNNPVERRVANVVDTFGGALDEKSISSPAFLERVSLNTVDAVGHSMLPTHAYFDDTYYQALEENADY -SLDFNTLSLRQSDVDWYRDPDKYYQPKLTVGSFQKRIGTQKTVLTALKKRNADVPEMGDAINVRRVARDV -AEKFFKAFLNNDGRDLLNESINVMAKGLEYHKKWKDHRELAGVTYAGEHNLQRYQHMIKTDIKPVVTDTL -HLERAVAATITFHGKGVTSCFSPYFTACFEKFSLALKSRFIVPIGKISSLEIPETRINGKWCLEADLSKF -DKSQGELHLEFQREILSSIGFPAHLSNWWADFHRESVLSDPHAKVAMPVSFQRRTGDAFTYFGNTIVTMA -MMAYTFDMNLPSLAIFSGDDSLLLCDEKPVIDAEIFSSLFNMEVKIMDPSVPYVCSKFLLETELGSVVSV -PDPMREIQRMAKKKILKDTDALKAHFTSFADRMKFLKVLDEKMITVLSRYVVLKYQKPQLESDVRTALAC -FAYYSENFLRFSELYYTEGKHVYQLKDPVLHFNGESEDHRLKDGDWFHNWNNKSFPGVKDKICRVFGKYS -SDYDESRRKEKAYMHDRGRLVRKSLLLAYDRRKFIELKRRLLEH - ->YP_009104375.1 putative polymerase [Cassava Ivorian bacilliform virus] -MDVFALFNGGFLSADNVDIFRFVKLGFRPEDFDQSDFSTKVEMAEILSPELGVSVDRLLVGLINGEDLAD -IEPEEITEESLGEFVSDNVVSFDDLFTRFSSVSESTVETELSAKAEVSVSDGLSSVVNLESLVEGSDRLM -ETGYGDHFTLVQEEFVEEIPMASLNIAPVVPLEQIFSCDIEQPIPLTKGRSDRVCLEKLQATVDSILPTH -SRFDDTFHQAFVENSDINLDFERIKLKQYTGDWYRDPDRFYEPALKSGGCARRVGTQKEALIAIRKRNAD -VPELAGSVDIDKTAKWVADVFFKSYVSSLKPLEQVMSRMKAYCDKWKDRVDPLAVLSGTNLQRYQHMIKT -DVKPVVADGMNLERAVPATITFHDKITCANFSPWFTALFDGFQKSLIDRVRIPSGPISTLEMNYGFKNKY -YVEIDLSKFDKSQGELHLEFQRLLLLRLGLPAHLVNWWCELHIKSFISDPNAGVAFPCAYQRRTGDAFTY -FGNTLVTMAEFACCFDCSQFEIMLFSGDDSLAVSNNPIAGDTDLFTSLFNMESKSMEDPVPYICSKFLIE -DSFGRSFSVPDPIREFQRLGKKKILIQPNCDPLFEQYQGFRDRMKYLRHMDDFMIDQLKVYFDMKYKKGK -DCIDDFLGGCIYYADNFQHFKELFFTPQAIEVKALVNLVDKGCLGLPSRF - ->YP_006576519.1 replication protein 2a [Amazon lily mild mottle virus] -MADCLVDLGIPKLKLVAALFRGEDISDISPEVVTEVCDGSFVSYHCLDSSVSPFDVCVDILDTIKNDVSV -VDDCVVTTSDEEVTSERLERVKRTLDLEDCVVNSCSVCTEGNERLMESSYGNHFTLVGEEFIEECPAAAI -NVASVVPIDQQFECDLTAPLPVLKGRSDRVTLEKLQTTVDAILPTHARFDDTFHQAFVENADVSLDFERI -RLKQYAGDWYRDPDNFYEPVLKSGGCSRRVGSQKEALIAIRKRNADVPELAGSVNIDQVAEWAADNFMKS -FVVNVSPLVQVMSKMKAYMEKWSDRVDPLMVLGETNLQRYQHMIKTDVKPITNDGMNLERAIPATITFHD -KMVCSNFSPWFTALFDGLQKSLNSRVRIPSGPISTLEMHYGFKNKYYVEIDLSKFDKSQGELHLEFQRLI -LLRLGLPAHLVNWWCELHIRSFISDPTAGVAFQCAYQRRTGDAFTFFGNTLVTMAEFACCFDCSQFEMML -FAGDDSLAVSNSPITGDTDLFTSLFNMESKSMANPVPYICSKFLIEDCFGNSFSVPDPIREFQRLGKKKI -QIQPRADALFEQYQGFRDRMKYLRHLDDFMIDQLKVYFDIKYNKGKDCIDDFLGGCIYYADNFKHFCELF -VNHSHAIEVGALVNFVDDKPLGIPSRL - ->YP_002640501.1 2a protein [Gayfeather mild mottle virus] -MASTDVIFSLENLLNGSYGVVTPEDDALFRQRSMYDASVSDDSIITDDDDAVAFTCDDVSADGHGESNPS -DPCSEDGCQFNDNPVLYDIEEYQALSDSELFALFDTIVKPIKFGSILTPEFSRPRFYTALSLAKSVSVIS -NRPSTFGEVSPELIKAIYIGEPITSVSGAHAVGDTPDDCEGYVFVPSEPTSNFEPPPICEECGLAAYHCP -HFDFNALKETHNDHTISHDYEIETLTGVIDDATLLMNLGPFLVPIQCEYSKTFESKHATKPSLARPTDRV -DVDVVQAVCDSMLPTHVNYDDTYHQVFVEDSDYSVDIDRIRLKQSDLLPKVSDDGHMHPVLNTGSGHKRV -GTQKEVHTAIKKRNADVPELGDSVNLTRLSEAVAERFISSYMNVNALVSSNFINVVGNFHAYMQKWHSSL -SYDDLPDLNAENLQFYEHMVKSDVKPSVTDTLNVDRPVPATITFHKKQITSQFSPLFTALFERFQRCLRS -KVVLPVGKISSLELEDFSVIGKHCLEIDLSKFDKSQGELHLMIQEQILNRLGCPAHVSKWWCDFHRQSYI -KDKRAGVGMALSFQRRTGDAFTYFGNTLVTMALFCWCYDTEQFDRMLFSGDDSLAFSSIAPVGDPSKFTT -LFNMEAKVMEPSVPYICSKFLLTDEFGQTFSVPDPLREIQRLGSKKIPYSDDDSFLHAHFMSFVDRLKFL -ERMSQFTIDQLTLFYEMKYKKSGADASLVLGAFKKYTTNFNAYKELYFSDRHQCDLINSFSIGDFVIKLY -VEKPARR - ->NP_689393.1 2a protein [Spring beauty latent virus] -MSKPYVPDFEYQIPSFQWLIDDSLKREPEVALDVETGMDVNKVYLPESGFVADGSLASYILAVQPVVKGE -GSFEPPFDQARWGVCCEHAASAAEIFTDRHLLPTAEIARMLYLDIPGSFIDESEEDDWVVEDTTDGYGEY -RHSDEDAIQSPLFSAELTQEIAEEKPSLSMDLTDENHDERQLSSGPSELTLGDRYVVTNEEFKILDSDYG -VTLNLLNPVENRVGLVEDTLPTLDSDSFKEGPKYLERVSLPRLEAAGHTILPTHAYFDDSFYQAIEENSD -MSLDFNRINLKQSDVDWYRDPDKYFEPHLNIGSFQRRVGTQKTVLTALKKRNADVPEMGDVVDIKSVAAD -VARTFLKTFLNKDGKDCLLASMDVLAKGLDYHNKWKTHRDLQGVTLCTEQNLQRYQHMIKTDIKPVVTDT -LHIERAIAATITFHGKGVTSCFSPFFTACFEKFSLALKKRFIVPIGKISSLELKNIGLNNKWFLEADLSK -FDKSQGELHLEFQREILLSLGFPAPLTNWWSDFHRSSYLSDPHAKVSMPVSFQRRTGDAFTYFGNTLVTM -AMIAYTVDLSEATAIFSGDDSLIISDKKLELDTEVFSSLFNMEIKVMDPSVPYICSKFLVETENGNLVSI -PDPLRELQRMAKRKILKDKEMLKAHFTSFCDRMKFIEHINEKSIEMLCKFVSLKYKKSIDYDVRVALAAF -SYYSENFLRFSECYVTEGSNVFLKKDPIRNVLGEEEPDYHDKDSSWFRDWRNTSFKPSRLLNKLGLYSVE -DPLKNVKSDRRVMEKCMNTSLKLAYDNRSLQRMRLKLDYLKDGIGDINSVEVLKNTFVGRT - ->NP_658999.1 2a protein [Broad bean mottle virus] -MSKFVAADEYIVPSFQWLLGPTSSQVESFDSSVAGWIKRYEDESKRPESTVDGSCESFVLAVKPVMIGGQ -CEPAYDQAKWAETCLNVTNLASGLTGVRLIPLPEMARMIYLDEEDSFVDESEVDDWYPEDTSDGFEYLSA -DGSDYHQNSEPEEEVLDKSNGTLESEEARHETDVKSICSFRDIPVETTMGHRYLALSEEFASIEVDYQVS -SIVTPLNKGSIYMTLDVHEETHPKSGIQDKASIERLEAAGHNALKTHAYFDDSYYEGFEESADFSSDFQR -LKIKQSHVDWYKDPDKFFEPVLNFGASSRRVGSQKTVLTALKKRNADVPELSDVVDATEVPICLRQSYLN -IYGADCLFESFNIMAKGLDYHKRWKSHKELQGVTLLCETNLQRYQHMIKSDVKPTVTDTLHVERDVPATI -TFHGKGVTSCFSPFFTACFEKFSLALRESIYVPIGKISSLELKSKALNNKFFLEADLSKFDKSQGELHLE -FQRLILINLGFPVPLTNWWCDFHRSILSRWTLRPGVSIPLLSKTNRGCFHLLWEHFSDNAMMAYCFDMST -AELAMFSGDDSLVICGSKPEFDPGVFQSLFNMEVKVMDPSLPYICSKFLLESEFGDVFSVPDPMREIQRL -QKRKIPKDVQVLRADFDSFCDRMKFLDRLSELSLSVLCRLTALKYCKPGIEGDVRAWLSGFAYYRENFLR -YSECYVTDGIHCYRRVDPMSRFKPIDKFQRSDKEWFHDWRNNEFPKKPIDKMARMFGAYKGPVNNDRVER -KAKYKVNAAMHDPFALAYERRYVQELELKNDKGNCSVLRE - ->NP_620761.1 2a protein [Tomato aspermy virus] -MSTFSLENCLNGSYGVDTPEEVTFIRRRDANPSAFHGEETVPSNTTEVHSESVSYSSDFEGYSCDSDFTG -DNQYSSFDGADSLLLSYDIDEYIDLSESELRVLFDEMVQPIHFGMVLSPTFSRNRFLSCLSLAKSVVVAP -LYDPERTLRPFPELVKNLYSGVHDIYLSDSEEAAISSVTDTIEGYTFSPRDVVDNYEPPPLCSVCGLIAY -QCPHYDINSLRKNCAEMTIAHDYPIEGLCGLIDDATLLKNLGSFLLPIQCEYTKLPEPTLITPPELTRPT -DRVTVDLLQAICDSTLPTHVCYDDTYHQTFIENADYSVDIDRVRLKQSDLLAKVIDEGHLKPVLNTGSGQ -KRIGTTREVLCAIKKRNADVPELCGSVNLKRLSDDVAECFMLSFMNGDKLCSSNFINIVSDFHAYMSKWQ -SVLSYDDLPDLNAENLQFYEHMVKSDVKPSVTDTLNIDRPLPATITFHRKQLTSQFSPLFTALFQRFQRC -LTKRVILPVGKISSLEIKDFSVLNKFCLEIDLSKFDKSQGELHLMIQEGILNRLGCPVHISKWWCDFHRM -SYIKDKRAGVSMPISFQRRTGDAFTYFGNTLVTMSMFAWCYDTSQFDKLIFSGDDSLGFSIKAPVGDPSL -FTSLFNMEAKVMEPSVPYICSKFLLTDDFGNTFSVPDPLREIQRLGSKKIPLDEDDRSLHAHFMSFVDRL -KFLNHMNQTSMTQLSLFYEMKYRKSGDDILLVLGAFNKYTANFNAYKELYYSEKQQCALINSFSISDLIV -GRGKSSKASRRKAVESNGKHRDPSTRDHSKVGTDESKETSTEETTQTEPQGAGSQKSK - ->NP_620043.1 2a protein [Olive latent virus 2] -MAGVLYDEEYVPQEPDYLYCPYEWEGEDPTQCDANVPSVSYLVEAVKKSFTEGNHDMLISCESYHAECCE -GPLMNKGLTLSTYFMSYPGISLPAFGGGVIHVTSARVCMQAFYAVTPGRCSCSCGTQFIYRAKGGTGLTF -DATNTISSAFKFEDGKVKWLTEDLGLALLAGCFLKYVPIPHEIEIKIERKVEPVSALDFWEPEGLISDVH -FVHPQERALAPEPPMDVEMVRLVRTELFEHFNPTLLLNARSDFSGEKLKEVELPRAQSEPLVPRVCLPRG -DDALIDQFFIDKAPEHFNREPATDQWQVESDDFTVDFPTQVRLRERDEDWFRRKPDFAVSSIRTGLEMPR -IATKKEMLMAFSKRNVGAPQMVEVPQEIHVKRLADRFFRTFLKEGGWDKGDGHYWDDFFHRKGRKVFSLE -NCYDDPLSSYLVMLKSAGKFSLDLNFPVRSVPQTITYHEKWVTEVFSPMFLQVMARFFSRLQDWVVVPAG -PMADFSRVWPSFEGKCLTEIDLSKFDKSQGKLLHDVQREIFLRLGFPPIWCDWWFKFHESSYLNDKNLGI -AFSVDYQRRTGNTATYFGNTLVTMIMMAEVYELDSLPFCGLFSGDDNLIVTDRPLEGRVSLFPNMFNMEA -KVMRPGQNYMCSKYLCTVDGRVTPVPDPFKLLKKAGASCPLEHLDDFYESFLDYTKYLVRDEVRTLIPRL -MAHRYQVSMDEAGVALDQIMSWRKSKTQFFKHFQYRKPIKDSLEAVKSDFLSAFGKVDDRIRVWRGRRTN -HKKEVDALKVPLVSFRE - ->NP_619771.1 putative 2a protein [Pelargonium zonate spot virus] -MAAFTFENFLSGAYTGLPIDKFRALGLNTEDYDEQRWEMLVKCVDSGLMQFSCSRDEALVLLWNEEELPK -DDDDEVPYEVPCWTPDTDATVIDDVSEWLAEKTSVRDTVVVCSDYDAVSETPVEVLSVELEEDSEEDTIA -DVCLDARRKSFRDYFTIVEEEFVEEDPLISLNDGNVCPVRTHEVTSLEKPVMLDVGRRCDRVNLESLQGA -INMNLPSHAYFDDTWHQYFVEGSKLDVDFDNIRLRQSEVFCDRDLDRYYQPELFAGASSRRIGTQKEALV -AIRKRNADVPELADSVDVERLSESVAKKFLSSYVCDLKPVVGVMEKMRAYHQKWGDKIDPMFLLKEHNLQ -RYEHMIKTDVKPTVAHSMHVERAIPATITFHGKSICAGFSPSFTALFDEFQKSLDERVVIPSGPISTIEM -DFDIRNKYYLEVDLSKFDKSQGLLHLEFQRKILCKIGLPAHLANWWCDFHYKSFISDPRAKVSFNCSFQR -RTGDAFTFFGNTLVTMAMFSFCYDTRQFEKMLFAGDDSLAISSSPIVGCSDYFVSLFNMEAKIMDPGVPY -ICSKFLVSDELGRCFSAPDPIREFQRLGKKKISADNDDALFEQYVGFKDRMSHMRNFSEYEIQQLKIFFN -LKYKQSGEVIEDYMGACMFYSDNFKNFKTLFTKTCAPLVAALNKRVKDKPFRLPPSL - ->NP_613275.1 2a protein [Cowpea chlorotic mottle virus] -MSKFIPEGETYHVPSFQWMFDQTLESDSHHDEAIFVTESINESGVDTSVEITADGTLASYMHAVKPLVED -GLLNPPFDQARWGLCCKNVVDVYDGLLGYRLIPMAEAARMLYLEIDGSFVDESECDDWRPVDTSDGFTEA -MFDVMNEIPGEETKNTCALSLEAESRQAPETSDMVPSEYTLADRYVTTREEFASVDSDYDISLNLVSPVE -FRVGVCEDTYRHSEADDPTMPQYHDRISLKSLEAAGHHMLPTHAYFDDTYYQALEELGDYNVDISKLSVR -QSDVDWYRDPEKYYEPELSIGSFQRRIGTQKTVLTALKKRNADVPELADSVDIKRVACEVAEKFKRAYLN -HSGIGLLGQSMDVMSRGLEYHKKWKDHKDLTGVTVLSEINLQRYQHMIKSDIKPVVSDTLHLERAVAATI -TFHGKGVTSCFSPYFTACFEKFSKALKSRFVVPIGKISSLELKNVPLSNKWFLEADLSKFDKSQGELHLE -FQREILLSLGFPAPLTNWWCDFHRESMLSDPHAGVNMPVSFQRRTGDAFTYFGNTLVTMAMMAYCCDMNT -VDCAIFSGDDSLLICKSKPHLDANVFQSLFNMEIKVMDPSLPYVCSKFLLETEMNNLVSVPDPMREIQRL -AKRKIIKSPELLRAHFESFCDRMKFLNKLDEKMINLLCKFVALKYKKPDVENDVRVAIAAFGYYSENFLR -FCECYATEGVNIYKVKHPITQEWFEASRDRDGDWFHDWRNPKFPTALDKVWRFFGKYARDDPMKHIEERD -RRHRLNRAMNSSLKLAYDRRSLSKDKETVAWVRKTLSK - ->NP_049332.1 2a protein [Peanut stunt virus] -MATFSLESLLSGAYGVDTPEEVALIRERDLLFASGVDTGESVPSSPDISESDSCFEHDDAPSTSATGSLE -RVSFLVEDLNAMSDVEIRTVFENLIRPTYVGCIEVPEFCRNKLTLSVSVARNLISTASRYPRKLKAYSDL -VASIYNGADIFDDAPVDETVSDEVPGYVFCPVERPDGYERPPLCSDCGLIGYQCACHDLAGRLAAFAEMT -ISHTYELESLSGVIDDATLLTNLGSCLLPIHCEYSRVDPSLSSFHLTQPQLTDRVSVESLQAVCDTSLPT -HVCYDDTYHQVFVENSDFTTDIDHLTLKQSDLIPRADGGNYLNPVLTTGSGSKKLGTTKEVFTAIKKRNA -TVPELSGSVDLTRISKAVARRFKESYLNTNALAQSNFLNVVGNFHAYMQKWAASGLTYDDLPDLHAENLQ -LYEHMVKSDVKPVVADTLNVDRPVPATITFHAKGITSQFSPLFISLVERFQRCLRGRVVVPVGKISSLEM -DNFSVLNKHCLEIDLSKFDKSQGELHLMIQEEILNLLGCPVDISKWWCDFHRYSYIKDKRAGVSMPISFQ -RRTGDAFTSFGNTLVTMALFSWCYDTDQFDRMLFSGDDSLAFSLNPIEGKSDWFTSLFNMEAKNMDPPTP -YICSKFLLTDELGNTFSVPDPLRELQRLGTKKIPDGGLDESYLKAHFMSFVDRLKFLPRMTHYTVAQLTT -FYEMKYKKSGAECHAMLEGFAKYSTNFSIYKELYISDQKRLSLINSYSDANFVVERKPILKSKKVKNFKK -DVECRANSREAPKTSGKTEVSAQTSPTKQEGPWTQESERVEASGTPRDSISPSPASTGPPPRRR - ->NP_049324.1 RNA-dependent RNA polymerase [Cucumber mosaic virus] -MAFPAPAFSLANLLNGSYGVDTPEDVERLRSEQREEAAAACRNYRPLPAVDVSESVTEDAHSLRTPDGAP -AEAVSDEFVTYGAEDYLEKSDDELLVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSHRT -MKCFEDLVAAIYTKSDFYYSEECEADDAQIDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTIAIPPDLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKSITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKNKHCLEIDLSKFDKSQGEFHLLIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDALTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSLLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMSQSCIDQLSIFFELKYKKSGEEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFCSTEFRVERVN -SNKQRKNYGIERRCNDKRRTPTGSYGGGEEAETKVSQTESTGTRSQKSQRESAFKSQTIPLPTVLSSGWF -GTDRVMPPCERGGVTRV - ->NP_041197.1 RNA-dependent RNA polymerase [Brome mosaic virus] -MSSKTWDDDFVRQVPSFQWIIDQSLEDEVEAASLQVQEPADGVAIDGSLASFKLAIAPLEIGGVFDPPFD -RVRWGSICDTVQQMVQQFTDRPLIPQAEMARMLYLDIPGSFVLEDEIDDWYPEDTSDGYGVSFAADEDHA -SDLKLASDSSNCEIEEVRVTGDTPKELTLGDRYMGIDEEFQTTNTDYDITLQIMNPIEHRVSRVIDTHCH -PDNPDISTGPIYMERVSLARTEATSHSILPTHAYFDDSYHQALVENGDYSMDFDRIRLKQSDVDWYRDPD -KYFQPKMNIGSAQRRVGTQKEVLTALKKRNADVPEMGDAINMKDTAKAIAKRFRSTFLNVDGEDCLRASM -DVMTKCLEYHKKWGKHMDLQGVNVAAETDLCRYQHMLKSDVKPVVTDTLHLERAVAATITFHSKGVTSNF -SPFFTACFEKLSLALKSRFIVPIGKISSLELKNVRLNNRYFLEADLSKFDKSQGELHLEFQREILLALGF -PAPLTNWWSDFHRDSYLSDPHAKVGMSVSFQRRTGDAFTYFGNTLVTMAMIAYASDLSDCDCAIFSGDDS -LIISKVKPVLDTDMFTSLFNMEIKVMDPSVPYVCSKFLVETEMGNLVSVPDPLREIQRLAKRKILRDEQM -LRAHFVSFCDRMKFINQLDEKMITTLCHFVYLKYGKEKPWIFEEVRAALAAFSLYSENFLRFSDCYCTEG -IRVYQMSDPVCKFKRTTEERKTDGDWFHNWKNPKFPGVLDKVYRTIGIYSSDCSTKELPVKRIGRLHEAL -ERESLKLANDRRTTQRLKKKVDDYATGRGGLTSVDALLVKSHCETFKPSDLR - ->sp|Q96711.1|RDRP_CMVKO RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MASPAPAFSLANLLNGSYGVDTPEDVERLRSEQREEAAAACRNYRPLPAVDVSESVTEDAHSLRTPDGAP -AEAVSDEFVTYGAEDYLEKSDDELLVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSNRT -MKCFEDLVAAIYTKSDFYYGEECEADDVQMDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYENCPTPTLAIPPDLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKSITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKNKHCLEIDLSKFDKSQGEFHLLIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSLLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMSQSCIDQLSIFFELKYKKSGEEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFCSTEFRVERVN -SNKQRKKYGIERRCNDKRRTPTGSYGGGEEAETKISQAESTGTRSQKSQRESAFKSQTVPLPTVLSSRWF -GTDRVEPPCERGGVTRA - ->sp|Q83262.1|RDRP_CMVII RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MAFPAPAFSLANLLNGSYGVDTPEDVERLRSEQREEAAAACRNYRPLPAVDVSESVSEDAHSLQTPDGAP -AEAVSDEFVTYGAEDYLEKSDDELLVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSHRT -MKCFEDLVAAIYTKSDFYYSEECEADDIQMDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTIAIPPNLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKSITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKSKYCLEIDLSKFDKSQGEFHLLIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSLLPPVGDPSKFTTL -YNMEAKVMEPSVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMSQSCIDQLSIFFELKYKKSGEEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFCSTEFRVERVN -SNKLRKKYGIERRCDDKRRTPTGSYGGGEEAETKVSQTKSTGTRSQKSQRESAFKSQTVPLPTVLSSGWS -GTDRVVPPRERGGVTRA - ->sp|Q66145.1|RDRP_CMVMB RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MAFPAPAFSLANLLNGSYGVDTPEDVERLRSEQREEAAAACRNYRPLSAVDVSESVTEDAHSLQTPDGAP -AEAVSDEFVTYGAEDYLEKSDDELLVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSHRT -MKCFEDLVAAIYTKSDFYYSEECEADDVQMDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPPIAIPPNLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPIPATITYHKKSITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKNKYCLEIDLSKFDKSQGEFHLLIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSLLPPVGDSSKFTTL -YNMEAKVMEPSVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMSQSCIDQLSIFFELKYKKSGEEAALMLGAFKKYTANFQSYKELYYSDRHQCELINSFCSTEFRVERVN -SNKQRKKYGIERRCDDKRRTPTGSYGGGEEAETKVSQTKSTGTRSQKSQRESAFESQTVPLPTVLSSGWS -GTDRVVPPCERGGVTRA - ->sp|Q66117.1|RDRP_CMVIX RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MAFPAPAFSLANLLNGTYGVDTPEEVERVRSEQREEAAAACRNYKPLPAVDVGASVTEDAHSLRTPDGAP -SEEVSVEFVTYGAEDYLEKSDDELFVAFETMVKPMCIGQLWCPAFNKCSFISSIAMARALLLVPNTPNRT -MKCFEDLVAAIYTKSDFYYDDECEADDVQINISSRDVPGYSFEPWSRTSGFEPPPICEACNMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYKQYPTPTVAIPPSLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLPRLSKAVAERFFIAYINGNSLATSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKGITSQFSPLFTALFERFQRCLRER -VILPVGKISSLEMSGFDVKNKYCLEIDLSKFDKSQGEFHLMIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFPGDDSLGFSVLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMTQSCIDQLSLFFELKYRKSGAEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFSCVELRIERSS -FIKQRKKKDGIERRRNDKRRTPTSPHGGGEETETKVSQEESTGTMLQKSQRESAFKSQTIPFPTVLSSRR -FGIDRDVPPRECGGIVRV - ->sp|O40977.1|RDRP_CMVNT RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MAFSAPTFSLANLLNGSYGVDTPEEVERVRSEQREEAAAACRNYRPLPAVDVSESVTEDAHSLRTPDGAP -SEEVSVEFVTYGAEDYLEKSDDELSVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSHRT -MKGFEDLVAAIYTKSDFYYDEECEADDIQIDVSSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTVAIPPSLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLSTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKGITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKNKHCLEIDLSKFDKSQGEFHLMIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSVLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMTQSCIDQLSLFFELKYRKSGAEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFSCVELRIERSS -STKQRKKKDGIERRRSDKRRTPTGSYGGGEKTETKVSHEESTGTRSQKSQREGAFKSQIVPLPTILSGGW -SGTDRAPPCEHGGIIRI - ->sp|O39436.1|RDRP_CMVAS RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MAFPAPAFSLANLLNGSYGVDTPEEVERVRSEQRDEAAAACRNYRPLPAVDVSESVPEDAHSLRTPDGAP -SEEVSVEFVTYGAEDYLEKSDDELLVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSHRT -MKCFEDLVAAIYTKSDFYYDDECEADDVQIDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVNNATLSSNLGPFLVPVKCPYEKCPTPVGEIPPDLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKGITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKNKHCFEIDLSKFDKSQGEFPFKIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSVLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPCSDNDEFLFAHFMSFVDRLKFLD -RMTQSCIDQLSLFFELKYRKSGEEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFSCVELRIERSS -STKQREKKDGIERRRNDKRRTPTGSYGGGEEAETKVSQAESTGTRSQKSQREGAFKSQAVPLPTILSSRW -FGTDRDVPPCEHGGIVRV - ->sp|Q86783.1|RDRP_CMVK RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MAFPAPAFSLANLLNGSYGVDTPEEVERVRSEQREEAAAACRNYRPLPAVDVSESVPEDAHSLRTPDGAP -SEEVSVEFVTYGAEDYLEKSDDELLVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSHRT -MKCFEDLVAAIYTKSDFYYDDECEADDVQIDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTVANPPSLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKGITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKNKHCLEIDLSKFDKSQGEFHLMIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSVLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMTQSCIDQLSLFFELKYRKSGAEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFSCVELRIERSS -STKQRKKKDGIERRRDDKRRTPTGSYGGGEEAETKVSQAESTGTRSQKSQREGAFKSQAVPLPTILSSRW -FGTDRDVPPCEHGGIVRV - ->sp|Q66136.1|RDRP_CMVB RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MAFPAPAFSLANLLNGSYGVDTPEDMERLRSEQREEAAAACRNYRPLPAVDVSESVTEDAHSLQTPDGAP -AEAVSDEFVTYGAEDYLEKSDDELLVAFETMVKPMRIGQLWCPAFNKCSFISSIAMARALLLAPRTSHRT -MKCFEDLVAAIYTKSDFYYSEECEADDVQMDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKPCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTIAIPPNLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKSITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMAGFDVKNKYCLEIDLSKFDKSQGEFHLLIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSQLPPVGDSSKFTTL -YNMEAKVMEPSVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMQFVDRLKFLD -RMSQSCIDQLSIFFELKYKKSGEEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFCISEFRVERVN -SNKQRKKHGIERRCNDKRRTPTGSYGGGEEAETKVSQTESTGTRSQKSQRESAFKSQTVPLPTVLSSGRS -GTDRVIPPCERGEGTRA - ->sp|P28727.1|RDRP_PSVJ RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MATFSLESLLSGAYGVDTPEEVAFIRERDRVFASGVDTAESVPCSPDVSESDPCFEHDDIPSTSATCPLE -RVSFLVEDLNAMSDVEIKTVFENLIRPTYVGCIEVPEFCRNKLTLSVSVARNLISTASRYPRKLKAYSDL -VASIYNGADIFDDAPVDETVSDEVPGYIFLPVERPDGYERPPLCSDCGLIGYQCACHDLAGRRAAFAEMT -ISHTYELESLSGVIDDATLLANLGSFLLPIHCEYSRVDPSLSSFHLTQPHPTDRVSVESLQAVCDTSLPT -HVCYDDTYHQVFVENSDFTTDIDHLTLKQSDLIPKADGGNYLKPVLTTGSGSKRLGTTKEVLTAIKKRNA -DVPELSGSVDLTRISKAVARRFKESYFNTNALAQSNFLNVVGNFHAYMQKWAASGLTYDDLPDLHAENLQ -LYEHMVKSDVKPVVADTLNVDRPVPATITFHAKGITSQFSPLFISLFERFQRCLRGRVVVPVGKISSLEM -DNFSVLNKHCLEIDLSKFDKSQGELHLMIQEEILNLLGCPVDISKWWCDFHRYSYIKDKRAGVSMPISFQ -RRTGDAFTYFGNTLVTMALFSWCYDTDQFDRMLFSGDDSLAFSLNPIEGKSDWFTSLFNMEAKNMDPPTP -YICSKFLLTDELGNTFSVPDPLRELQRLGTKKIPDGGLDESYLKAHFMSFVDRLKFLPRMTHHTVAQLIT -FYEMKYKKSGAECHASLGGFAKYSTNFSIYKELYISDQKRLSLINSYSDDNFEVERKPILKSKKVKRNRK -DVECRANSYEAPKTSGKAEVSAQTSPTKQEGPWAQKSERVEASRTSRDSVSLFPASTGPPPRRR - ->sp|P06012.1|RDRP_CMVQ RecName: Full=RNA-directed RNA polymerase 2a; Short=protein 2a -MISPPPTFSFANLLNGSYGVDTPEEVERVRREQREDAEAALRNYKPLPAVDVSESVPRDEPIVSQTVTAA -PVTSVDDAFVSFGAEDYLEMSPSELLSAFELMVKPLRVGEVLCSSFDRSLFISSVAMARTLLLAPLTSTR -TLKRFEDLVAAIYLKTDFFLEDDGPQTDVSQSDVPGYIFEPGQHSSGFEPPPICAKCDLILYQCPCFDFN -ALRESCAEKTFSHDYVIEGLDGVIDNATLLSNLGPFLLPVHCSYSKTEDPDFVVDPSLARPTDRVDVHVV -QAVCDTTLPTHGNYDDSFHQVFVDSADYSTDMDHVRLRQSDLVAKIPDGGHMLPVLNTGSGHQRVGTTKE -VLTAIKKRNADVPELGDSVNLSRLSKAVAERFRLSYMNVDALAKSNFVNVVSNFHAYMQKWPSSGLSYDD -LPDLHAENLQFYDHMIKSDVKPVVTDTLNVDRPVPATITFHKKTITSQFSPLFISLFERFQRCLRERVVL -PVGKISSLEMTGFSVLNKHCLEIDLSKFDKSQGEFHLMIQEHILNDLGCPAPITKWWCDFHRFSYIKDKR -AGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFDRLLFSGDDSLAFSKLPPVGDPSKFTTLFNM -EAKVMEPAVPYICSKFYSLMSLVTRFQSPTIREIQRLGTKKIPYSDNNDFLFAHFMSFVDRLKFMDRMSQ -SCIDQLSIFFELKYKKSGNEAALVLGAFKKYTANFNAYKELYYSDRQQCDLVNTFCISEFRVIRRTTVKK -KKNGCVDSSGVDRRPPLSQFAGGETSKTKVSRQKPASEGLQKSQRESAIYSETFPDVTIPRSRSRGLVS - ->AAR89466.1 2a protein [Peanut stunt virus] -MATFSLESLLSGSYGVDTPEEVARVRARDAAARAFFTDVGTVESVTIPSDVRNSDGPQFTRADSEISESF -SSSSPAVEATTSDSLERVTFLVEDLNAMSDDDIRSVFENLIRPTYVGCFEVPEFSRNKLTLCVSVARNLI -STHSRYPRKLKSYPDLVASIYRKESDIFEDAPIVEIDSDEVPGYKFAPVEPTDGYEQPPLCSDCGLIGYQ -CACLDLAGRRTAYAETTISHTYELESLTGVVDDATLLSNLGSFLLPIHCEYSRVDAPLESFRMDKPHPTD -RVSMEVLQAVCETSLPTHVCYDDTYHQVFIETSDYVTDIDHLTLKQSDLVPRVDGGNYLKPVLNTGSGSK -RLGTTKEVLTAIKKRNADVPELSGSVNLTRVSKAVARRFKESFFNANALARSDFLNVVGNFHAYMQKWAS -SGLTYDDLPDLCAENLQFYEHMVKSDVKPVVADTLNVDRPVPATITFHAKGITSQFSPLFVSLFERFQRC -LRGRVIVPVGKISSLEMENFSVSKYCLEIDLSKFDKSQGELHLLIQEEILNLLGCPVHISKWWCDFHRYS -YIKDKRAGVGMPISFQRRTGDAFTYFGNTLVTMALFSWCYDTDQFDRLLFSGDDSLAFSLKPIVGNPDLF -TSLFNIEAKNMEPPVPYICSKFLLTDELGHTFSVPDPLRELQRLGTKKIPDDDSDDSFLRAHFMSFVDRL -KFMPRMTHHTMNQLSIFYEMKYKKSGAECHAMLGGFVKYSTNFSIYKELYVSKSQRISFINSYSTDFIVE -RKSVKSKRGRPKRVKDAECGIPSKAPKVGGETQELTQASQDQPQIAWSQKPERAKTKRASRNAISLSPAP -DGATS ->CAP19050.1 2a protein [Peanut stunt virus] -MATFSLESLLSGSYGVDTPEEVERIRARDAAPLSFLSDEGTVESVPIPSVPEETVGPREAREEPSTGCSS -DSLERVSFLVEDLNAMSDDSVRTVFVELIRPTYVGCTEVPEFSRNKLTLSVSVARNLISTAFRYPRKLKE -YPDLVASIYTKSDIFEEDAPVEETVSDEVPGYTFFPVERTDGYERPPLGSDWGLIGYQWACHDLIGRREA -FAEMTIAHTYELESLSGVVDDATLLSNLGSFLMPIHCEYSRVDPSLKTYHLTQPHPTDRVSIESLQAACD -TSLPTHVCYDDTYHQVFVENADFTTDIDHMTLKQSDLIPRVDGGNYLKPVLNTGSGSKRLGTTKEVLTAI -KKRNADVPELSGSVDLTRISKAVAEKFMKSYLNGNVLAQSNFLNVVGNFHAYMQKWAASGLSYDDLPDLH -AENLQFYEHMVKSDVKPVVSDTLNVDRPVPATITFHAKGITSQFSPLFISLFERFQRCLRSRVIVPVGKI -SSLEMDNYSVLNKYCLEIDLSKFDKSQGELHLMIQEEILNLLGCPVPISKWWCDFHRYSYIKDKRAGVSM -PISFQRRTGDAFTYFGNTLVTMALFAWCYDTDHFNRLLFSGDDSLAFSLNPIEGRSDWFTSLFNMEAKNM -DPPTPYICSKFLLTDELGHTFSVPDPLRELQRLGTKKIPDGGVDDSYLRAHFMSFVSRLKVLPRMTHSTI -EQLKVFYEMKYKKSGDECYALLERFTKYSTNFSVYKELYVSNQKRISLVNSFSGSDFFVERKPVLKSKRP -KKLKGDVECKADLKTPNVGAEGEKRKGSPQGEPKVTRTQESERIEASKTEGDFVSPGSTTAGAS ->AGN55941.1 2a protein [Cucumber mosaic virus] -MAFPAPAFSLANLLNGTYGVDTPEEVERVRSEQREEAAAACRNYKPLPAVDVSESVTEDAHSLRIPDGAP -SEEVSVEFVTYGAEDYLEKSDDELLVAFETMVKPMCVGQLWCPAFDKCLFISSIAMARALLMAPISSNRT -MKCFEDLVAAIYTKSDFYYDDECEADDVQISISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTVANPPSLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLAASNFVNVISNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKGITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMLGFDVKNKHCLEIDLSKFDKSQGEFHLMIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSTLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLFAHFMSFVDRLKFLD -RMTQSCIDQLSLFFELKYKKSGGEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFSCVELRIERSS -FIKQRKKKDGIERRRNDKRRTPTGPHGGGEETETKVSQEESTGTMLQKSQRESTFKSQTVPLPTVLSSRW -FGTDRDVPPRERGGIVRV ->AAA86113.1 2a protein [Broad bean mottle virus] -MSKFVAADEYIVPSFQWLLGPTSSQVESFDSSVAGWIKRYEDESKRPESTVDGSCESFVLAVKPVMIGGQ -CEPAYDQAKWAETCLNVTNLASGLTGVRLIPLPEMARMIYLDEEGSFVDESEVDDWYPEDTSDGFEYFSA -DESDYHQNSEPEEEVLDKSNGTLESEEARHETDVKSICSFRDIPVETTMGHRYLALSEEFASIEDDYQVS -LNLTHPVEQRVSILHDTWNGFTEETHPKMPIYRDKASIESLEAAGHNALKTHAYFDDSYYQGFEESADFS -SDFQRLKIKQSHVDWYKDPDKFFEPVLNFGASSRRVGTQKTVLTALKKRNADVPELSDVVDIHKVATEVA -NMFRQSYLNRDGADCLFESFNIMAKGLDYHKRWKSHKELQGVTLLCETNLQRYQHMIKSDVKPTVTDTLH -VERAVPATITFHGKGVTSCFSPFFTACFEKFSLALRERFIVPIGKISSLELKSKALNNKFFLEADLSKFD -KSQGELHLEFQRLILINLGFPVPLTNWWCDFHRQSFLVDPQAGVSIPVSFQRRTGDAFTYFGNTLVTMAM -MAYCFDMSTAELAMFSGDDSLVICGSKPEFDPGVFQSLFNMEVKVMDPSLPYICSKFLLESEFGDVFSVP -DPMREIQRLQKRKIPKDVQVLRAHFDSFCDRMKFLDRLSELSLSVLCRLTALKYCKPGIEGDVRAWLSGF -AYYRENFLRYSECYVTDGIHCYRRVDPMSRFKPIDKFQRSDKEWFHDWRNNEFPKKPIDKMARMFGAYKG -PVNNDRVERKAKYKVNAAMHDSLALAYERRYVQELELKNDKGKLLLGPARVEDWVSL ->BAW81815.1 2a protein [Cucumber mosaic virus] -MAFPAPAFSLANLLNGSYGVDTPEDVERVRSEQREEAAAVLRNYEPLPAADVSESVTEDAHSLRIPDGAP -AEAVSVEFVTYGAEDYLEKSDDELLIAFETMVKPMRIGQLWCPAFNKGSFISSIAMARALLLVPNTSNRT -MKCFEDLVAAIYTKSDFYYDDECETDDNQTDISSRDVPGYSFEPWSRTSGFEPPPICEACDMIMYQCPCF -DFNALKKSCAERTFADDYVIEGLDGVVDNATLLSNLGPFLVPVKCQYEKCPTPTVTNPPNLNRATDRVDI -NLVQSICDSTLPTHSNYDDSFHQVFVESADYSIDLDHVRLRQSDLIAKIPDSGHMIPVLNTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSKAVAERFFISYINGNSLASSNFVNVVSNFHDYMEKWKSSGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNIDRPVPATITYHKKGITSQFSPLFTALFERFQRCLRER -IILPVGKISSLEMPGFDVKGKHCLEIDLSKFDKSQGEFHLLIQEHILNGLGCPAPITKWWCDFHRFSYIR -DRRAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFEKLLFSGDDSLGFSVLPPVGDPSKFTTL -FNMEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREVQRLGTKKIPYSDNDEFLYAHFMSFVDRLKFLD -RMSQSCIDQLSLFFELKYKKSGEEAALMLGAFKKYTANFQSYKELYYSDRRQCELINSFCCLELKIERSE -SIKQRKKKNGFERRRDDKRRTPTGPYDGGEEAETKVSQAESTGTGLQKSQREGAFKSQTVPLLTILSGKL -FGADRTDRAWPPCKYGGVARV ->AHG25372.3 replicase [Pelargonium zonate spot virus] -MATFTFENFLSGAYTGLPIDKFKALGLNTEDYDEQRWELLVKCVDSGLMQFSCSRDEALVLLWNEEELPR -DDDDEVPYEVPCWAPDTEATLIDDVSEWLVGKTSVRDAVTACSDYVAVSETPVEVLSVELEEDSEEDTIA -DVYLDARRKSFRDYFTIVEEEFVEEDPLISLNDGNVCPVRTFEVTSLKKPVMLDVGRRCDRVNLESLQGA -INMNLPSHAYFDDTWHQYFVEGSKLDVDFDNIRLRQSEVFCDRDLDKYYQPELFAGASSRRIGTQKEALV -AIRKRNADVPELADSVDVERLSESVAKKFLSSYVCDLKPVVGVMEKMRAYHQKWGDKIDPMFLLKEHNLQ -RYEHMIKTDVKPTVAHSMHVERAIPATITFHGKSICAGFSPWFTALFDEFQKSLDERVVIPSGPISTIEM -DFDIRNKYYLEVDLSKFDKSQGLLHLEFQRKILCKIGLPTHLANWWCDFHYKSFISDPRAKVSFNCSFQR -RTGDAFTFFGNTLVTMAMFSFCYDTRQFEKMLFAGDDSLAISSSPIVGCSDYFVSLFNMEAKIMDPGVPY -ICSKFLVSDELGRCFSAPDPIREFQRLGKKKISADNDDALFEQYVGFKDRMSHMRNFSEHEIQQLKIFFN -LKYKQSGEVIEDYMGACMFYSDNFKNFKTLFTKTNAPLVAALNKRVKDKPFRLPPSL ->APG77778.1 RNA-dependent RNA polymerase [Cucumber mosaic virus] -MTSPPPTFSFANLLNGSYGVDTPEEVERVRREQREDAEAALRNYKPLPTVDVSESVSRDEPIVSQTVTTA -PVTPVVDAFVSFGAEDYLEMSPSELLSAFELMVKPLRIGEVLCPNFDRSLFISSVAMARTLLLAPLTSTR -TLKCFEDLVAAIYQKKTDFFLEDDEPQTDVSQSDVPGYTFEPGQHSSGFEPPPICAKCDLILYQCPCFDF -NALRESCAEKTFSHDYVIEGLDGVIDNATLLSNLGPFLLPVHCSYSKTEGPDFVVDPSLARPTDRVDAHV -VQAVCDTTLPTHVNYDDSFHQVFMDSADYSIDMDHVRLRQSDLVAKIPDGGHMLPVLNTGSGHKRVGTTK -EVLTAIKKRNADVPELGDSVNLSRLSKAVAERFRLSYMNVDALAKSNFVNVVGNFHAYMQKWQSSGLSYD -DLPDLHAENLQFYDHMIKSDVKPVVTDTLNVDRPVPATITFHKKTITSQFSPLFISLFERFQRCLRERIV -LPVGKISSLEMTGFSVLNKHCLEIDLSKFDKSQGEFHLMIQEHILNDLGCPAPVTKWWCDFHRFSYIKDK -RAGVGMPISFQRRTGDAFTYFGNTIVTMAEFAWCYDTDQFDRLLFSGDDSLAFSKLPPVGDPSKFTTLFN -MEAKVMEPAVPYICSKFLLSDEFGNTFSVPDPLREIQRLGTKKIPYSDNNDFLFAHFMSFVDRLKFMDRM -SQSCIDQLSIFFELKYRKSGNEAAQVLGAFKKYTANFNAYKELYYSDRQQCDLVNTFCISEFQVIRRTTV -KKKKNGCVDSSDVDRRPPPSPSAGGETSKTKVSRQKPASEGLQKSQRESAIYSETFPDVTIPRSRSRGLV -S ->AEI54609.1 2a protein [Cowpea chlorotic mottle virus] -MSKFIPEGETYHIPSFQWMFDQTLESDSHHDEAIFVTESINESGVDTSVEITADGTLASYMHAVKPLEED -GLLNPPFDQARWGLCCKNVVDVYDGLLGYRLIPMAEAARMLYLEIDGSFVDESECDDWRPVDTSDGFTEA -MFDVMNEIPGEETKHTCALSLEAESRQAPETSDMVPSEYTLADRYVTTREEFASIDSDYDISLNLVSPVE -FRVGVCEDTYRHSEADDPTMPQYHDRISLKSLEAAGHHMLPTHAYFDDTYYQALEELGDYNVDISKLSVR -QSDVDWYRDPEKYYEPELSIGSFQRRIGTQKTVLTALKKRNADVPELADSVDIKRVACEVAEKFKRAYLN -HSGIGLLGQSMDVMSRGLEYHKKWKDHKDLTGVTVLSEINLQRYQHMIKSDIKPVVSDTLHLERAVAATI -TFHGKGVTSCFSPYFTACFEKFSKALKSRFVVPIGKISSLELKNVPLSNKWFLEADLSKFDKSQGELHLE -FQREILLSLGFPAPLTNWWCDFHRESMLSDPHAGVNMPVSFQRRTGDAFTYFGNTLVTMAMMAYCCDMDA -VDCAIFSGDDSLLICKSKPHLDANVFQSLFNMEIKVMDPSLPYVCSKFLLETEMNNLVSVPDPMREIQRL -AKRKIIKSPELLRAHFESFCDRMKFLNKLDEKMINLLCKFVALKYKKPDVENDVRVAIAAFGYYSENFLR -FCECYATEGVNIYKVKHPITQEWFEASRDRDGDWFHDWRNPKFPTALDKVWRFFGKYAKDDPMKHIEERD -RGHRLNRAMNSSLKLAYDRRSLSKDKEAVAWVRKTLSK ->AMN92171.1 2a [Cucumber mosaic virus] -MTSGSTFSLAGLLAGTCGVDAPEDVDRIRREQRDAAAEARRNFAPPPLHPPTVDVGESVTEDPDSSTPPH -EAPTDVVCDGCVSYGAEDYLEMSSEELLVAFETLIKPLRVGSVLCPDFDRNHFISCIALARALPVTTHTS -NRTWKAFPDLVAAIYTKDCFFCDSDSDPPADVLSRDVPGYSFEPQAHSSGFEPPPICRECDMILYQCPCF -DFNAIRESCSERTFSDDYVIEGLDGVIDNATLLSNLGPFLMPVHCTYEKTPPPQFTTNPEMTRPTDRVDV -DLVQSVCDTILPTHSCYDDTFHQVFVDMADYSIDLDHVRLRQSDLIAKIPDSGHLLPVLSTGSGHKRVGT -TKEVLTAIKKRNADVPELGDSVNLSRLSRAVADRFLSSFMNGNALARSNFINVVGNFHAYMEKWRSQGLS -YDDLPDLHAENLQFYDHMIKSDVKPVVSDTLNVDRPIPATITYHKKTITSQFSPLFTALFERFQRCLRER -IVLPVGKISSLEMSDFNVQGRHCLEIDLSKFDKSQGEFHLLIQEHILNGLGCPAPITKWWCDFHRYSFIR -DRRAGVSMPISFQRRTGDAFTYFGNTIVTMAEFSWCYDTDQFDRLIFSGDDSLGFSKLPPVGDPSKFTTL -FNMEAKVMEPSVPYICSKFLLSDEFGNTFSVPDPLREIQRLGTKKIPASDDDSFLFAHFMSFVDRLRFLD -RMTQSTIDQLSVFFELKYKKPGDEATLILGAFKKYTANFQSYKELYSSGHRQCELVNSFYCSELRIEKPA -SASIKEKSKEKRHGREVIRGGERSPAARPSTRCAATPEEVPRNEQAGAWAQESQRKSSLEPLVLEKFTVP -PSRCGGLVRA diff --git a/seq/clusters_seq/cluster_1360 b/seq/clusters_seq/cluster_1360 deleted file mode 100644 index f2850ac..0000000 --- a/seq/clusters_seq/cluster_1360 +++ /dev/null @@ -1,15 +0,0 @@ ->NP_940828.1 NIa-VPg protein [Oat necrotic mottle virus] -GKAARNQQAKRQAARDRKVDRGSEYTYYDGGDTLYDGVQEYTNNSPDWTDRIRKKTQEHTMQFGREVPQS -EKQRDHVFWHFYGFDPKTYDTVEFKDITANFSVHQDAQSMDLQKAFSEMVDNRWNDEDFWDDKQPKQIMA -YFRKGDRVREVPMAPHKPNQVNKRSLPVGYAAHRGEWRQSKPSVEREVSYENKSLYE - ->NP_734259.1 NIa-VPg protein [Brome streak mosaic virus] -GKASRVKASKQKAFDDKMARADNYTYYETTDELHNHAREWNDYPTDWVDKVRKKANVHAMQFGREAPRRD -VRNDRPFFNFYGIDEKLYDTVTFHDMAASFSVEQPITAMEVEEAFEKIYLNRQEDEAFFDHPMPKKILAE -FKGKDGKVINVEMEPHNPRKANRRGLPVGYADHRGEFRQAKPAEEGPIKFERKALNPKATPYAVFE - ->NP_734271.1 NIa-VPg protein [Wheat streak mosaic virus] -GKAARNRSAKRQSARDQKMERGNEYTYYDAGDTLYNGVQENMNHAPDWTDRIKKKTHAYAMQFGREVPKT -ETQRSSQYWHFYGFDPKMYDSVEFKDIAANFSVHQDAKAMDLQKAFTEMVENRWDDEDFFDEKIPKRVLA -IFRKGDKVREVALAPHKPNQVNKRGLPVGHADHRGEWRQTQPSFEKEVSYENKSTFE - diff --git a/seq/clusters_seq/cluster_1361 b/seq/clusters_seq/cluster_1361 deleted file mode 100644 index 579d373..0000000 --- a/seq/clusters_seq/cluster_1361 +++ /dev/null @@ -1,18 +0,0 @@ ->NP_919031.1 matrix protein 1 [Hirame novirhabdovirus] -MSDNEGEQFFDIPKNALDRVEARTMCPREDGKVVRKQAPLKEEPRLEAEQKRSPKKQEKPRGMLPLEQLV -LKYVVVVCSLDALREFGGLIAQIRQSHQADMTRHLEAVATEHRANLQALTKSQQEHEKVSKEILSAVISI -RSNLNENSSPRHKPLDLDQVNAERALGFGVGYRTALNVFGKLRGITPEEAGSQEVKNMAIREAEEDEYEG -SRSFFKKVLDMVKKTMR - ->NP_042677.1 polymerase-associated protein [Infectious hematopoietic necrosis virus] -MSDGEGEQFFNLEGEDILRLESRLKTPRNDGQIGKNPRRRKEDQAPQEEPKKTTRRPDKNKGLSQLEQLI -LKYVEEESCQDALKDFGGLIANIRQAHQAEMTSHLEKVATEHRANLQALTKSQQEHEKVSKEILSAVIAI -RSNLNENHSPLPKPLDPDQVKAARALGFGIGYRTALNVFDRIKGVTPDNAGSQEVKNLAIRAAEEDEYEG -SPTFFRKVIDAVKKRMKQGQ - ->sp|Q08454.1|PHOSP_IHNVO RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSDGEGEQFFNLEGEDILRLESRLKNPRNDGQIGKNPRQRKEDQAPQEEPKKTTRRPDKNKGLSQLEQLI -LKYVEEESCQDALKDFGGLIANIRQAHQAELTSHLEKVATEHRANLQALTKSQQEHEKVSKEILSAVIAI -RSNLNENHSPRPKPLDPDQVKAARALGFGIGYRTALNVFDRIKGVTPDNAGSQEVKNMAIRAAEEDEYEG -SPTFFRKVIDAVKKRMKQGQ - diff --git a/seq/clusters_seq/cluster_1362 b/seq/clusters_seq/cluster_1362 deleted file mode 100644 index 010ef14..0000000 --- a/seq/clusters_seq/cluster_1362 +++ /dev/null @@ -1,10 +0,0 @@ ->NP_862838.1 p6 product [Pea stem necrosis virus] -MACCHDAPRDTLVPFLAIIICILLILISFLGQQERSYHHIDNSSIKTQYVGISTNGK - ->NP_862837.1 p7 product [Pea stem necrosis virus] -MSSPEIKVNLKERSRSVESKERTGKQSMGRKVANDAISAKNQGMMGASVYYADEIVVNINFNF - ->NP_041228.1 protein 14 [Melon necrotic spot virus] -MDSQRTVELTNPRGRSKERGDSGGKQKNSMGRKIANDAISESKQGVMGASTYIADKIKVTINFNFXCMAC -CRCDSSPGDYSGALLILFISFVFFYITSLSPQGNTYVHHFDSSSVKTQYVGISTNGDG - diff --git a/seq/clusters_seq/cluster_1363 b/seq/clusters_seq/cluster_1363 deleted file mode 100644 index a82fb1f..0000000 --- a/seq/clusters_seq/cluster_1363 +++ /dev/null @@ -1,21 +0,0 @@ ->NP_777504.1 nonstructural protein NS4B [Classical swine fever virus] -AQGDVQRCVEAMTNYAREGIQFMKSQALKVKETPTYKETMNTVTDYVKKFMEALADSKEDILRYGLWGTH -TALYKSISARLGSETAFATLVVKWLAFGGESIADHVKQAATDLVVYYIINRPQFPGDTETQQEGRKFVAS -LLVSALATYTYKSWNYNNLSKIVEPALATLPYAATALKLFAPTRLESVVILSTAIYKTYLSIRRGKSDGL -LGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIEASEQKRTLLMKVFVKNFLDQAATDELVKESPEK -IIMALFEAVQTVGNPLRLVYHLYGVFYKGWEAKELAQRTAGRNLFTLIMFEAVELLGVDSEGKIRQL - ->NP_777543.1 nonstructural protein NS4B [Border disease virus] -AQGDIQRCAEAMVGYAQQGVQFIKTQALKVQENHVFKDSADTIVEYVDKFMKAIAESKDDILRYGLWGAH -TALYKSIGARLGYETAFATLVIKWLAFGGESINDHVKQAATDLVVYYIINRPQFPGDTETQQEGRKFVAS -LLVSALATYTYKSWNYSNLSKVVEPALACLPYASQALKLFAPTRLESVVILSTAIYKTYLAIRRGRSDGL -LGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIESSEQKRTLLMKVFVKNFLDQAATDELVKESPEK -IITALFEAVQTVGNPLRLIYHLYGVFYKGWEAKEVAEKTAGRNLFTLIMFEAVELLGVDSEGKMRRL - ->NP_776269.1 nonstructural protein NS4B [Bovine viral diarrhea virus 1] -ASGDVEKIMGAISDYAAGGLEFVKSQAEKIKTAPLFKENAEAAKGYVQKFIDSLIENKEEIIRYGLWGTH -TALYKSIAARLGHETAFATLVLKWLAFGGESVSDHVKQAAVDLVVYYVMNKPSFPGDSETQQEGRRFVAS -LFISALATYTYKTWNYHNLSKVVEPALAYLPYATSALKMFTPTRLESVVILSTTIYKTYLSIRKGKSDGL -LGTGISAAMEILSQNPVSVGISVMLGVGAIAAHNAIESSEQKRTLLMKVFVKNFLDQAATDELVKENPEK -IIMALFEAVQTIGNPLRLIYHLYGVYYKGWEAKELSERTAGRNLFTLIMFEAFELLGMDSQGKIRNL - diff --git a/seq/clusters_seq/cluster_1364 b/seq/clusters_seq/cluster_1364 deleted file mode 100644 index 7577f0d..0000000 --- a/seq/clusters_seq/cluster_1364 +++ /dev/null @@ -1,9 +0,0 @@ ->NP_777503.1 nonstructural protein NS4A [Classical swine fever virus] -STAENALLVALFGYVGYQALSKRHIPVVTDIYSIEDHRLEDTTHLQYAPNAIKTEGKETELKEL - ->NP_777542.1 nonstructural protein NS4A [Border disease virus] -SAAENALLVALFGYVGYQALSKRHVPMVTDIYSIEDHRLEDTTHLQFAPNAIRTDGKETELKEL - ->NP_776268.1 nonstructural protein NS4A [Bovine viral diarrhea virus 1] -SSAENALLVALFGYVGYQALSKRHVPMITDIYTIEDQRLEDTTHLQYAPNAIKTDGTETELKEL - diff --git a/seq/clusters_seq/cluster_1365 b/seq/clusters_seq/cluster_1365 deleted file mode 100644 index cb43830..0000000 --- a/seq/clusters_seq/cluster_1365 +++ /dev/null @@ -1,9 +0,0 @@ ->NP_777500.1 nonstructural protein p7 [Classical swine fever virus] -LPLGQGEVVLIGNLITHTDIEVVVYFLLLYLVMRDEPIKKWILLLFHAMTNNPVKTITVALLMVSGVAKG - ->NP_777539.1 nonstructural protein p7 [Border disease virus] -INLGQGEVVLIGNLITHEDHEVVVYFLLLYLIVKDEPVKKWILFLFHAMTNNPVKTISVGLLMLSGLVKG - ->NP_776265.1 nonstructural protein p7 [Bovine viral diarrhea virus 1] -IQYGSGEVVMMGNLLTHNNIEVVTYFLLLYLLLREESVKKWVLLLYHILVVHPIKSVIVILLMIGDVVKA - diff --git a/seq/clusters_seq/cluster_1366 b/seq/clusters_seq/cluster_1366 deleted file mode 100644 index ae394ef..0000000 --- a/seq/clusters_seq/cluster_1366 +++ /dev/null @@ -1,15 +0,0 @@ ->NP_777497.1 structural protein E1 [Classical swine fever virus] -LSPYCNVTSKIGYIWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMGGHLSEFLLLSLVVLSDFAP -ETASALYLILHYMIPQSHEEPEGCDTNQLNLTVELRTEDVIPSSVWNVGKYVCVRPDWWPYETKVALLFE -EAGQVVKLALRALRDLTRVWNSASTTAFLICLIKVLRGQIVQGVIWLLLVTGAQG - ->NP_777536.1 structural protein E1 [Border disease virus] -QSPYCNVTRKIGYVWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMRGHISEFILLSLVVLSDFAP -ETASTLYLVLHFALPQTHEVPSVCDTNQLNLTVSLRVDDVIPSSVWNLGKYVCVRPDWWPYETTMVLLFE -EAGQVVKLVLRAIRDLTRVWNSASTTAFLICLVKVLRGQVVQGLVWLLLVTGAQG - ->NP_776262.1 structural protein E1 [Bovine viral diarrhea virus 1] -ASPYCDVDRKIGYIWYTKNCTPACLPKNTKIVGPGKFGTNAEDGKILHEMGGHLSEVLLLSLVVLSDFAP -ETASVMYLILHFSIPQSHVDVMDCDKTQLNLTVELTTAEVIPGSVWNLGKYVCIRPNWWPYETTVVLAFE -EVSQVVKLVLRALRDLTRIWNAATTTAFLVCLVKIVRGQMVQGILWLLLITGVQG - diff --git a/seq/clusters_seq/cluster_1367 b/seq/clusters_seq/cluster_1367 deleted file mode 100644 index 2ecbd5c..0000000 --- a/seq/clusters_seq/cluster_1367 +++ /dev/null @@ -1,18 +0,0 @@ ->NP_777496.1 structural protein E-rns [Classical swine fever virus] -ENITQWNLSDNGTNGIQHAMYLRGVSRSLHGIWPEKICKGVPTYLATDTELKEIQGMMDASEGTNYTCCK -LQRHEWNKHGWCNWYNIDPWIQLMNRTQANLAEGPPAKECAVTCRYDKDADINVVTQARNRPTTLTGCKK -GKNFSFAGTVIEGPCNFNVSVEDILYGDHECGSLLQDTALYLVDGMTNTIENARQGAARVTSWLGRQLST -AGKRLEGRSKTWFGAYA - ->NP_777535.1 structural protein E-rns [Border disease virus] -ENVTQWNLSDNGTTGIQLLMFQRGVNRSLHGIWPEKICTGVPTHLATDAELKGIQGMMDASEKTNYTCCR -LQRHEWNKYGWCNWYNINPWIWLMNKTQANLTEGPPEKECAVTCRFDKEADINIVTQARDRPTTLTGCKK -GKKFSFAGMIIEGPCNFNVSVEDILFGDNECSSLFQDTALYVVDGVTNTVENARQGAAKLTSWLGKQLGI -MGKKLEHKSKTWFGANA - ->NP_776261.1 structural protein E-rns [Bovine viral diarrhea virus 1] -ENITQWNLQDNGTEGIQRAMFQRGVNRSLHGIWPEKICTGVPSHLATDIELKTIHGMMDASEKTNYTCCR -LQRHEWNKHGWCNWYNIEPWILVMNRTQANLTEGQPPRECAVTCRYDRASDLNVVTQARDSPTPLTGCKK -GKNFSFAGILMRGPCNFEIAASDVLFKEHERISMFQDTTLYLVDGLTNSLEGARQGTAKLTTWLGKQLGI -LGKKLENKSKTWFGAYA - diff --git a/seq/clusters_seq/cluster_1368 b/seq/clusters_seq/cluster_1368 deleted file mode 100644 index e2e7c39..0000000 --- a/seq/clusters_seq/cluster_1368 +++ /dev/null @@ -1,12 +0,0 @@ ->NP_777495.1 structural protein C [Classical swine fever virus] -SDDGASGSKEKKPDRINKGKLKIAPKEHEKDSRTKPPDATIVVEGVKYQVKKKGKVKGKSTQDGLYHNKN -KPPESRKKLEKALLAWAVIAIMLYQPVEA - ->NP_777534.1 structural protein C [Border disease virus] -SDDNKSEKTNEKKPDRVRRGAMKITPKESEKDSRSKPPDATIVVEGIKYQVKKKGKVKGKNTQDGLYHNK -NKPPESRKKLEKALLAWAIIAIFMWEPVAP - ->NP_776260.1 structural protein C [Bovine viral diarrhea virus 1] -SDTKEEGATKKKTQKPDRLERGKMKIVPKESEKDSKTKPPDATIVVEGVKYQVRKKGKTKSKNTQDGLYH -NKNKPQESRKKLEKALLAWAIIAIVLFQVTMG - diff --git a/seq/clusters_seq/cluster_1369 b/seq/clusters_seq/cluster_1369 deleted file mode 100644 index d570fd9..0000000 --- a/seq/clusters_seq/cluster_1369 +++ /dev/null @@ -1,15 +0,0 @@ ->NP_777494.1 N-Pro [Classical swine fever virus] -MELNHFELLYKTNKQKPMGVEEPVYDATGRPLFGDPSEVHPQSTLKLPHDRGRGNIKTTLKNLPRKGDCR -SGNHLGPVSGIYVKPGPVFYQDYMGPVYHRAPLEFFNEAQFCEVTKRIGRVTGSDGKLYHIYVCIDGCIL -LKLAKRDEPRTLKWIRNFTDCPLWVTSC - ->NP_777533.1 N-Pro [Border disease virus] -MELNKFELLYKTSKQKPVGVTEPIYDSAGNPIYGERSTIHPQSTLKLPHERGVAEVVTTLRDLPKKGDCR -SGNHRGPVSGIYIKPGPVLYQDYKGPVYHRAPLELFVETQFCEVTKRIGRVTGSDGRLYHLYICSDGCIL -LKTASKTRSAVLKWTRNILDCPLWVTSC - ->NP_776259.1 N-Pro [Bovine viral diarrhea virus 1] -MELITNELLYKTYKQKPVGVEEPVYDQAGDPLFGERGAVHPQSTLKLPHKRGERDVPTNLASLPKRGDCR -SGNSRGPVSGIYLKPGPLFYQDYKGPVYHRAPLELFEEGSMCETTKRIGRVTGSDGKLYHIYVCIDGCII -IKSATRSYQRVFRWVHNRLDCPLWVTTC - diff --git a/seq/clusters_seq/cluster_137 b/seq/clusters_seq/cluster_137 deleted file mode 100644 index 0bb2b6a..0000000 --- a/seq/clusters_seq/cluster_137 +++ /dev/null @@ -1,511 +0,0 @@ ->YP_009551623.1 VP2 [Guangxi orbivirus] -MATNAQAVDESNVNRDERVGNRGVSDLESGRGTKQVSAKKGIESNPYLSGTELKTDNGPLLSIFALQEIL -DKVRETQIRSQIAGAEIEAGTPDVKDLLTKLLSLKDIKGYKIVKKLPESFRYIPAQSEERVFRVNCFKEK -ISSIGENVTSDDAAALLEVVLQRVKFLREEGSFVLYDLETHYTNGNEIVNSDSLGLDVKGLFSSLTPTRR -YALQMQLEDFLVMNQWNERPLMDMFNGACDDALYRIHEALMAYLENGQIHAFKESLNWLRLYSESKNVTY -DAGYLTDIFSSESVYCLSYTLPANPEVIWEVPRSSISNLILNAALGFPTGAYVAPTARIASVTVTSRITT -NTPFAQLQSMVPTEATMSDVRKIYFALCFPNQVVLDVRTEPGHQIDPIIQAVAGVFGKLMFSYGPRLFNI -TRRTAQLLDRGTAHYLQMMADDRRTITRGQSGDPLDFIIAQGARQFNCNQLSADADTGRGYNNSRTDSVR -RRDTPYSHVSRRICYLGYDSEEVLDERYTGADYSFHLFEVLLEALLRAGHVAEKNYLQLINQHHVVRFAY -INQIINRDLLSAFTMPDDKFVALADAIPNDIFTPEGPVVLDISYLSIWFAFKMRFLPSDRPMLMIQQPLI -ESVYASHLSLVKLAAKELMQFVDANPDNFYHIKASDVWKIVMKEMPESIYSVLDMIGQRNFITMRDVSNW -IDSNLVQRSLLYECDVKAWEALGSPNDIMFVRDVFVHLEDIPEPVIDDIERFRREAYYYTNIMDALPPVE -SRVYMNRASMLVRAGEGRLKSAIRNMMDAGDYVKIGNSLRPLVLKFFESMPPQEVREAMPFIYNIEKTEG -PMTKVSIVLGTKITGYVLLYSVTREYTPDQFVSYLSSKNLTNVVMNPLPFERVEANTALNVTNKTFMAYR -KKVRIIDLTESLQSGTQLAVLAVTETV - ->YP_009665177.1 VP3, partial [Wongorr virus] -PFSQLHATTATEAQMADVRKIYLALMFPNQILLDIKGEPGHAVDPVVRSVRGIIGKIMFSYGPRIFNITP -RTARLLDRAASDYLTSLTDERRTIRRGRTGLPLDFVIEQGGRTFDANQLRMDPATGAGYNGWQCADIQRR -QTPYPHVTRRVCYVGYDPEDILDERISGMDHEYAMFNLIMEALLRSGHAQERNYMNLMSQHHVVRFAYIS -QIINRDLLSAFTLLDTAFDELSDKIPLEEITPNGPIILDISYHSIWHAFRMRFLPTEQSPLVLYQPLIET -IYSSQLAIMKLNANMLRDFTTANEESFPNMSIMDVWKVVCEELPPVMKTLLDLTGQYWFVNVRDSFQWIR -EPSTQNSLPMMLMEAAWECALEPSSIMFIRDAYVYRDPIPEPHVEDIELFRREAVYYTNIMD - ->YP_009507724.1 VP3 [Orungo virus] -MAHADAKGSDPEREALRKNPYLDGDVLGTAGGQVLSVFALTEILQKVRQTQAELAAHAIDLDGPVPEITG -VIKKIAELVDTVPYEVRDSPPISYKTLSMQSRDFVIQVDQYYEQISQIGGDVGEDEPMEFYKTMLEKVRY -LRKSGAFILRGIKTRDYRGNEVAEPEALGVEFREVLSNFIAADRQVIQNALDAAIVENGQVGDRAVDVYM -AAVSEPWYRIYNRLQAYIEVTQLRELRRAMEWLNALGRRKRVEYDDSFLTDFRARDTVWVQTQQLPVNAR -VIWEVPRSYIANLMMNIALCLPLGDFIAPNPRIGSITITQRITMTTPFGMMSGMTPTSMQMDDVKKIYLA -LLFPGQIVLNIKPDPAHSIDPVLRMVSGVLGHLMFTYGPRFTNITATTSQLLDSALSDYFLYMQNSRVPV -VYGPTGLPLDFRIGGRQGYDCNNLRGDPQTGRGYNGWGVVDVDRRAPSPYDLVQRFIRYCNIDSREIIDP -RTFGFNMNYPLYMEMIRALVAGGKDQEAAYLRQMLPFHMIRFARINQIINEDLLSAFSLPDEDFDALFFN -MLRGEYGKAEPIVLDISWASIWFAFNRYFDPVQRSDLLSVAPMIEAVYASEISILQLDMINLQQLRARAP -DMLINATPSQFWKAALASAAEPVVELMNLSQSFRFINVRDIIQWTRTREVQPSLALTLEREAWAVAADFE -ELMLVNHVYFHRSVVPEPQLDDIDEFRRQGFLYTNLLEAAPPRLLITNYDYNVALLQANLGQFKSALRRI -LDDGGWVMFGGMLRNVRLKIYDSKPGEDVLSELPYLYSEKVENGLRFVSLKYARRATIYFLMYKVEYSNT -PDALISVNPTYTMTKIYTNKRLVRKVRSPDVLNIVNKRVVAYKTKMRLIDITSALRLGVKLAAPTV - ->YP_009507713.1 VP3 [Lebombo virus] -MPKVKDKDDKAKKKEDPEEKEVKGGKKEKRSKNKEKKKEDSSSSSEDEADKKEKPKEKKDLKTKDADRDK -SASSSKKKEDEESKYDGGVNKKHAPYLDGDKVSEDSGPVLSVFALTEIMQKIRSNQAEMATEAPSIDGAI -PEVASIISGIKGLLENKHYEVKEAPPTSFRTLGMQSHDFVLQVDKYYEEMSEVGGVVDETKPHEFYGMIL -EKIRHLRKTGSFVIRGIKTRDYRGMEIAETEALGVDFTGTLSHLIAADRQVIQNALDGMIVENGQVADRA -VDVFLCAMSEPVYRIFNRLQAYIEGVQVHDLRVAMEWLGALGRRKRIEFSESFLTDFRRRDTVYILALRL -PINPRVIWDVPRCYIANLIMNVALCLPIGEYVTPNPKISSITITQRITQTNPFAMMSGMTPTAMQMDDVR -KIYLALMFPGQIILDLKADSSHSIDPVVRMVGGLLGHLMFTFGPRFTNITSTMARHLDNALSDFFSYMYT -GRIPIYYGPTGQPLDFRIGLRNPYDCNGLRGDPQTGRGYNGWAIVDVVHGPMSPYDTVQRLIRYCDIDAR -EIIDPRTYGTNMQYPMYTEMVRALVAAGKEQEAAFLRCMLPFHMIRFARVNQVINEDLLSAFSLPDDQFN -ALLPNMLRGVYEGAEPLVLEVSWASVWFAFNRRFEPIQRNELLPYGPVIESIYASEISVIQFDVSQLRML -RNRFPDTLINATPSQFWKAALKIAPTPISDLMNLSHSFLFVNVRDVIAWSESNQRQPSLALTLEREAWAI -AADIEELMLVDHVYFHRTMLPEPRLDDIDEFRREGFYHTNAMNAPPNILDITNYDYAVSLLQANLGQFKS -ALRRILDAGGWVRFGGMLRNIKIQFFEARPDDSILTELPYVYDEREEQGLRFVSLKYAKTATLYYLVYKV -EYSHTPDALVNLNPTFTMTKIFINKAIVRKVRAPDMLNVVRRRVVAYKRKMRLMDITEAMKLGTPLAKPT -A - ->YP_009507697.1 VP3 [Eubenangee virus] -MAQAVQDSKVPKTAPYLDGDVVANDSGPLLSLFALQEIMQKVRENQAEYLAAARETDLAAPDVQAIITGI -KSLADETHYEIRSEPVTSFRHIVMQSHEHFLRINTYYERMSEIGKSIDEEDPQQTYETILEKVRAIRKEG -SFILHGIKTRDLRGVEIADSSILGVDISNVLPMLTAEYRLMVQNVLDGVIVENGNVADRDVDVFMGAMSD -PIYRIYNALQGYIEGIQRYQLHMSMEWLERLGRRKRIIFSQEFLTDFRRADTIWILALQLPVNPTVIWTV -PRCDIANLIMNIATCSPTGDYISPNPRITSITLTQRITQTGPFSILAGATPTALQMNDVRKIYLALMFPG -QIVLDIKNDPAQRSDPTVRMVAGVVGHLMFTFGRNFTNLTLNMARQLDMALADFLQYMYNARIPINRGAS -GEPLDFRIGRAQYDCNQFRGNPQTGQGYNGWGIADVEIREPSPYDHAQRFIRYCDIDSREIIQPTTFGIN -MQYYAYEEMLRMLVAAGKEQEAAYFRAMLPFHMVRFARINQIINEDLISAFTLPDDAFNTLLPNMIQGIQ -GRHTPIVLEVSWMSIWFSFNRAFDPTNRSELLTLTPLIESIYASELSVMKTDMRAMATLRTRFPDTLIGA -RPSHFWKAVLNVSPEPVKNLMNMAHAFDYVNLKDIMEWVNEPSMQPSMKLTLEREAWVVANDFEDLMLVD -QVFMQRTILPEPRLDDIRLFRRDGFFFTNMLEGPPRINDVIQYTYEVARLQANMGQFRAALRRILDDDGW -IRFGGALRSVKIKFFDARPPESILQEVPFSYETSEKGGLFYATIKYATEATVYYLIYNVEYSNTPDSLIL -INPTYTMTKVYMTKRIVERVHAGQLLSVLNKRFVAYKGKMRLMDITTALKAGTRLAVPTQ - ->YP_009507688.1 VP3 [Equine encephalosis virus] -MEVKGKEFDKEKTYAPHLEGDKLGSENGPILSMFALTEIIEKIRENQRISTATGPDVDGPTSDISNILTS -IKALLDEKHYKIVSEPPASFRCIGMQSTEYVLVVDKYFEEISAVGDDIDENEPEKFFEAMLKKVRFIREK -GAFIIQGVPTRDYRGHEVVSSKALGIEFEHVLSHLTAPDRQVIQQAQDAIVVENDFQGDRPTDSFMVAMS -DPVYRVHCTLQGYIERIQVNVMQQSINWLDRLGRRKRFSYSNKFLTDFRRSDTIMIITTPLPFDTNMLWA -VPRCHVPNLLMNIATCMPEIEFLNANSRIASITITQRITQNNPFSIISGMTPTSQQLDDVKKVYLALMYP -NQIVLDLRVDPTHVVDPVLRMIAGVLGHIMFTHGPNFTNITVNMARLLDQALEEYLTYAYNARTPIVYGA -SGQTLDFHIGQRNPFDCNQLRSDPLTGRGYNGWNVNDTVRRQPAPYDHVQRWIQYCNIDSREIIHPQTYG -HNMSYPMVDLLIRALVAANKEQEAAFIKQMLPYHMTRFARINGVINDDLLSGFSLPDEQFDAVLPDMLQG -NHTDRSPIVLEVGWASIWFAYNRRMEPTQRNSMLCEAPLIEATYASHLAVLQHDMRQLDLLRARAPEMVL -ESTPSQFWRAALSVAPEPIKQVMNLVSSFRFVNIRDIMRWVRRRERYETMLMELEEVAWAVATDLENLMI -TDRIYMHRDILPEPPVDDVVEFRRQGFYHTNQIVAAPPLDRINYYSYEVALMQANMGRFKLAIRQILDRD -ETILFGGALRSIKLQIFESKPPDEILTQLPYQYEEQEEEGLRYVKLKYAVKSTLYVLIYKAEYGDTPDRL -IGVNPTYTMTQIHLTKQIVRKVRSPDILGNVNRRVVGYKGKMRVMDITSALRLGARLAVPTI - ->YP_009507730.1 VP3 [Warrego virus] -MATKQEGAQKTIRVKEEKNEREEEKARVTKVQDSDVPQTSPYLVGNEVATDSGPLLSLFALQEIMAKVRQ -VQTNYLTESRVNDLEIPEVQEVVAGIKALLDEKLYRIVEKPETYFRYIVMQSNEQFLRVNTYYEKMSEIG -DPLKEDDPMAFYTTILKKVRHLREQGSFLLYNISTHDLRGMEVADPDIIGIDISNVINHLTAEHRAMIQH -IMDGFIIENGNVAMRDVDTYMVAMSEPIYQIHTALQGYIEGVQQREIRQAFEWLGILARRKRIDLSNDFL -TDFRRRDTIWVLSLLLPINPNVIWEVPRCAIANLIMNIALCMPMGDYLLPNPRIASITLTQRITTTGPFS -IMAGATPTALQMGDVRKIYLALLFPGQIIIDLRVDPTQRVDPTTRMVAGVVGHLMFTYGPRFTNITAHMA -DALDRALGDFLLYMYGTRTPVAFGPTGQPLDFHIGRNQYNCNNFRGDPATGRGYNGWAVQDVELREPSPY -DTVQRQIRYCNIDSREIVDPTTYGINMEYHVYTEMMRMLIAAGKDSEAAYLRQMLPFHMVRFARINQIIN -EDLLSAFSMPDNIFDTLLPNLINGVMGDNDPVILEVGWMSIWFAFNRSFEPNARSDMLNQVPLIESIYAS -ELSVMKHDMMAMSTLQARFPDSLIGARPSHFWKAVLNASPSAVKDLMNLSSSHSYVNIRDIMRWTRSDAY -QNSMKLTLERRAWEIANDFEELMLVDRVYMHRSMVPEPRLDDINLFRRDGFFYTNMMEGMPELERLSVYT -HEIARLQANMGQFRSELRRIMDNDGWIQFTMMLRSVHVKFFEQRPPDEILNAVPFEYTVSEKGGLSYATI -KYGKEATIYYLIYKVEYSDTPDSLVLLNPMYTMTKVYMTKRIVERVSVNQLLSLVNKRVVAYKKKMRIMD -ITEGLKAGVRQAIPTLQG - ->YP_009507675.1 VP2 protein [Corriparta virus] -MDKLKTNKSKESRNVDKDKGKDKDKETEKDDAGKKITSSKQNSPQNEKLSAPLQELKKPAIESAPYLRGD -ELHRDAGPLLSIFGLQEILSKIRETQIRMKMVNDEPEISPPDVQELFKSLNAMSELNDIRIINRLPTYYR -HVTVQSDEKFFQVNTFCERISQIGVDKDITNPQELMEVVLDRIRFIREEGSFMLHGVETHYVDGFEVVSP -ETLGLDVDPVLKVLKTDDRRHVEQQLNFFRITNTDVVRTQVDQFQAAMPEPIYRVHSAINTYVFAGQQDA -FRRSLEWLQRYGEAKDMDFDKELLTDVYHSDTIYMLSYTIPANTSVVWEVPRCHISNLMLNASLGLPGGT -YILPNAKISTVTITSRIITSTSFSQMDHSTATEPQIADVRKIYLALMFPNQIILDIRGEPGHAVDPISRG -VAGVVGKLMLSYGPNIFNITSRTARLLDKACAIFFQKIDDSGGVLRMGPTANPLDFRVERGARNYDCNVL -ASDPNTGRGFNGYNVNDVRQKETPYPHVRRRVCYTGYDAEEVLDERYSGEDYTYAMYDTMVDALQRTGHT -QEANYLRYLRQHHIVRFAYLSQVINRDLLSAFSLPDDQFRELAARIPNDEFNQNGPVVLDISYASLWHAF -KMRFLPTDRPQFLSLQPLIESVYASQMSLLKFTARSLQDFVAANQNSFSTQKGMDIWKVVTSRLPEPLKH -IFDIIGQKWYINMADVGKWLGTAAIQQSLPLLCETSAWLSAHEPQSIMFARDIYVHRTSIPEPFIEDIDQ -FRREAEYFTNMIQHQPPIDQLVVLNRAAAIQRAGEGRLKMALRQWMDGDLYIRIGDNIRPIVLSFHTGLP -SDDILKSVPYTYNPHKSDGPVAKFSFGMCNKVYGFVIFYAAEDHSMPDELTNINPTYSNSVVVMNPMPFS -REDHDTIFAVANKNLFSIRKKTRVYNLTPALVAGTRHALPLTE - ->YP_009345881.1 VP3 [Orbivirus SX-2017a] -MAMGELSGAEVPKTSAYLKGDELSTDSGPLLSVFALQEIMMKVRQAQSDYVAAARDVDMSVPDVTKIVDG -IKALSEVKLYEIVKKPPTSYRHVVMQSKDKFLRIDTYYERMSEVGDATDEEKPELFYQTIIKKVRHLCKE -GSFILHDIPTHDHRGLEVADAEILGVDFKNILPMLTAEHRAMMQNLLDGAVIENGNVATRDVDIYQGACS -EPVYRIYNRLQAYIAGVQGDDLRRAIEWLTRLGRRKRITFSQEYLTDFRRGDTIWILALQLPINPMVIWD -VPRSGIANLIMNIATCAPTGEYISPNPRISAITLTQRITTTGPFAILTGATPTAQQLNDVRKIYLALMFP -GQIILDIKVDASERMDPTVRMVAGVVGHLMFTAGRNFTNITQHMARQLDMALQDFLLYMHTARVPVNYGP -TGEPLDFQIGRNRYDCNVFRANFQTGTGYNGWAVADVEVREQGAYDHVQRFLRYCNIDSRELINPTTFGI -GMQYHVYNQMTLMLVAAGKDAEAAYLRQMLPFHMVRFGRINQIINEDLHSAFSLPDDQFQALLPNMLQGI -HDDFDPIVLDISWISIWFAFNRCFEPTKRNELLEVAPLIESVYASELSVMKVDMQHMALLQRRAPDTLIK -ARPTHFWKAVLDVSPEPVKELMDLSHAHHFINLRDMMRWMNLPALQDSLKLVLEREAWAVAADMEELMLV -DQVYMHRDALPEPRLDDIERFRQEGYYYTNMLDAPPHIHRVVQYTYEIARLQANMGQFRSALRRIMDDGD -WVRFGGVLRTVRVKFYDARPPEEILHKLPFDYTTNDKGGLAYATIKYATEAVAYYLIYNVEFSNMPDSLV -LINPTYTMTKVFLNKRVVEKVTVGQVFTLLNKRFIAYKGKMRIMDITQALKMGTKLAVPTQ - ->YP_009163949.1 sub-core-shell protein [Fengkai orbivirus] -MAEPSGAEVPKTSAYLKGDELSTDSGPLLSVFALQEIMMKVRQAQSDYVAAARDVDMSVPDVTKIVDGIK -ALSETKLYEIVKKPPTSFRHVVMQSKDKFLRIDTYYERMSEVGEATDEEKPELFYQTIIKKIRHLCKEGS -FILHDIPTHDHRGLEVADAEILGVDFKNILPMLTAEHRAMVQNLLDGAVIENGNVATRDVDIFQGACSDP -LYRVYNRLQAYIAGIQGDDLRRAMEWLARLGRRKRITFSQEYLTDFRRGDTIWILALQLPINPAVIWDVP -RCGIANLIMNIATCAPTGEYISPNPRISAITLTQRITTTGPFAILTGATPTAQQLNDVRKIYLALMFPGQ -IVLDIKVDASERMDPTVRMVAGVVGHLMFTAGRNFTNITQHMARQLDVALQDFLLYMHTARVPVHYGPTG -EPLDFQIGRNRYDCNAFRANFQTGTGYNGWAVADVEVREPGAYDHVQRFLRYCNIDSRELIHPTTFGIGM -QYHVYNQMMLMLVAAGKDAEAAYLRQMLPFHMVRFGRLNQIINEDLHSAFSLPDDQFQALLPNMLQGIHD -GFDPIVLDISWISIWFAFNRCFEPTKRNELLEVAPLIESVYASELSVMKVDMQHMALLQRRAPDTLIKAR -PTHFWKAVLDVSPEPVRELMDLSHAHHFINLRDMMRWMNLPALQDSLKLVLEREAWAIAADMEELMLVDQ -VYMHRDALPEPKLDDIERFRQEGYYYTNMLDAPPQIHRVVQYTYEIARLQANMGQFRSALRRIMDEDEWV -RFGGVLRTVRVKFFDARPPEDILHKLPFDYTTNDKGGLAYATIKYATEAVAYYLIYNVEFSNMPDSLVLI -NPTYTMTKVYLNKRIVEKVTVGQIFTLLNKRFIAYKGKMRIMDITQALKMGTKLAVPTQ - ->YP_009158902.1 inner core protein [Chobar Gorge virus] -MAEQAAAADQRRRNPDPTAAPYLRGEEVRDDNGPLLSVFALQEIINKVREAQTRLASSVAETPTASPQVE -AVLKGISDLDSVKGYTIQNTVPISYIHEAVQSDERFFRIDRHMLETSAVAADVDCDDPQGLITAIVKRIH -YYRDKGSFLLWNTPTHFIEGREVVDQAALGVDIDSLLRAVHPRERHRIQRELEMHLVMNAEVRGVQVDTY -TTALPQTVYEVHRQLTEYVLEGQRGVFHESMRWLQMYGEFKDLEFSEELLTDIYCTDTIYCMSYHLPVNP -TVIWEVPRCGVANLLMNAALGIPTGRYINPSPKIASITVTSRVTTTSAFSQMQSIVPTEAQMNDVRKIYL -ALMFPNQIVIDVRAEPGNTVDQVVHAVAGVVGKLMFSFGPNIFNITARTARLLDRACSMYIRMTTDDRRT -IRHGRTGDPLDFLIIQGQRQVDCNQLANDPHTGAGYNAWRVDDLGDRECPYPHVRRFIKYLGYTPEDIID -ERFTGQDHRFPLMDLLGNVLAVAGHTNERNYLEGMLQHHVVRFAHISQICNRDLSSAFSAPDDRFAQLGE -QIGNGWIAGDGPLVLDVSYHAIWFAYKMRFLPISRPDMQLTQPLIESIYGSQISIAKYQAQRIDDFVREN -PESFPGIRPMDVWKCVVSELPEAIRHVLELVGQHAFINGRDINLWKYTPAMQESLPLLCERAAWDAFRGP -EAVMFTREVYLHREIIPEFTLEDVEKFRRDAEYYTNIHLNTPPADERVYLNRSSMLQRAGEGRLNIAMRR -YLDDGLYVQVGNILRPLRFQVYKALPPLDVREALPYTYTRIKNDGPTARVNVSLSKSVHGFLMLYTADEQ -AFPDEMTTLVPAVCLTYIKTPDMPFQRVTIDDALGVVMRDVMAVRGKIRIHDLTAALKAGSKFASPQLD - ->YP_009158883.1 inner core protein [Wad Medani virus] -MGTQKPETQYPATNTQPLSAAYLQGDELKHDTGPLLSIFALQSVLEKVRAAQTALRNEGAEPDPVLPAID -TIFSALSDLANERGYRVEKHVPCSYRFHRTQSDTYFFQVDQMFEKIAAPRSYSLDTSPELLSLVSDRVRQ -LRDAGSFILHAVPTRYIQGREVVGMDALGVDVDGLFKILDPRLRYQLQERLDQLIVLNQDFHDIFVDTYM -AACPAPVYEVHRAVMGFVMRDHRQYYHRVMEWLNDYGEFKRVHHTRELLTDVFAPDTVYVQSFDIPADPN -IIWEVPRSGIANLIINAALGMPTGAYIAPNPRLATVTIASRVTTTTPFGTILSMTPTEAQMNDVRKIYLA -LLFPNQILLEIRPEPGHQTDAVASAVAGILSKMMFSYGPRLFNITPHAARMIDCACASFLQMAGDGRRVI -RRGPTREPLDFQIVEGHAAFDANVLRADPATGRGFSSWHADAVGQGNTPYPHIRRRIFYLGYDPEDVIDE -RFSGDDFRYPLHDIICEALRISGHVAERNYIEAMRQHHVVRMAYVNQVINRDLVSAFSLPDDRFDGLGAN -IPRDAKNADGPVVLDVSFLSIIHAFRLRFLPLSRPQRVIEQPLLESVYTSYLSVAKEAARSLQAFQNANN -ESFMDARPMDVWKAVYPRIPEPIRKILEMTGQHSFITGRDVDVWMGSQLMQPSLLLAAEQTAWRLAMDPQ -VIGFSREVYLHREIIPQHTLEDVAEFRRAAVYYTNVMDGRPPNDRRVVLSRNIMARNAGAGRLKLMLKGM -LDNGMFVQTGAALRPLILSIHAGLPPHDVLSALPYVYRRATAEGPTARVELSLIHPAQIYYILFEADELS -FPDELTSLTPTYSLVKVALDEPPVRRVDFECALAAINRDFHSIRSKVRILDLAGVLRSGSQFSIPSTVL - ->YP_009158879.1 inner core protein [Chenuda virus] -MANLPNREPTPAEQTRNTPYLQGNELQQEDGPILSLFALQEIVQKVRQAQAALRNEGREVEAITPELEGI -LRSLIDLRSERGYRVERRVPTYYRFVASQSRERFFRVNAHFEAIAGVSDSSDLQDPVALMSMVLSRVAQI -REAGSFILHDAPTHFVDGREVIDQDALGMEIEALLGMCEPRDRHILCQRLDQLVVQNQDFQRTLVDVYPA -AVPGAVFQVHQALTAHVLQNQRVEFRRSLEWLQAYGTFKRVDFSPELLTDIFAPDTIYVQSFNIPANPAL -IWEVPRSGVPNLIINAALGVPRGAYILPNPRISAVTIASRVTTTTPFGQILNTVPTEAQMNDVRKIYLAL -QFPNQILIDVRPEPGHQIDEIVRAVAGILGKLLFSYGPHLYNITPHAARMLDQACASFLQLATDDRRTIR -RGPSGRPLDFTIVQGQRHFDCNVLAAAPARGNGFNSWNADTVGQQETPYPHVGRFVQYLGYRPEEILDER -FSGDDYRYPLHDVICEALAISGHVHERNYLEAMRQHHVVRLAYISQIINRDLISAFTLPDDRFAALAAGV -PQDARGADGPVILDVSYHAIIHAFRLRFLPVARSDRLVTQPVLESVYASYLSVAKFAASRLQAFVDGNAE -SFPTARPLDVWRSVYRLIPAPVRSIIELTGQLSFVTGRDVIPWIRSPVMQESIPLLCERVAWGQIEDPSV -IGFSRDVFLFRERLPEYPLEDVEEFRREARFYTNHLDHRPDNDRFVLMDRANLHQFAGEGRLRIVLRELL -DDDLYVQVGNVLRPLVLRIFHGLPPSDVLLDTPYEYVRASSSGPLARVRLTMVRRTHLYYTLYTADELSF -PDELVSLTPNYSLTHVFLPFSPVERVDVNTALNVITRDLISIRARMRIMDLAAALEAGSQYAAPTPAP - ->YP_009047259.1 subcore shell T2 protein [Umatilla virus] -MDGQPARQTPAEQTRNSPYLRGDEVRNDSGPLLSVFGLQEILAKVRDAQTRLQMSAGEAPPTPPDIQTMF -DNLHALANERPFRIERQPMSYYRHQAVQSDERIFQINRFYEQISAIGADIEGDDTQQLLETVLRRIQFVR -DQGSFIIHDIPTYFENGIEIISRSALGLDVEEALTALNNRERAILDAQLRTYRIITRPVQNVYYDLFNAA -MPEPIYNVHQSLTNYVVNDQREDYRRAQAWLRLYSDNKGVTFDRNLQTDIFSPDTMYILSYTLPPNPSII -WSLPRCGISNLMLNAAIGLPMGQYILPNSKIASVTITARITQNSPFSQVQATTATEAQMHDVRKIYLALM -FPNQILLDIKTEPGHSVDQVVNSVAGVIGKIMFTYGPRLFNITSRTAHILDRACSDFLTSYSQQNVRFNI -RSRAPFDWTATLRTETFDGNRLRMDPVSGRGFNGYRANDLRNEPTCYPHVSRRVCYTGYDSTDILDERFS -GSEHMYPTLQEIMTALRVTGHTQERSYLYAMSQHHIVRFAYLNQIINRDLLSAFSLPDDLFHELMDRIPL -DELTANGPIILDISMHSIWHAYKMRFLPTEHSTFQMIQPLIESIFSSQISVMKLNAIELRNFTIANPDSF -PNLKAMDVWRAVYANVPESIKAMMDLAGQHWFITAYDCNRWMNNPEVQVSIPYRCMSAAWRCLDDANTIM -LTRDVYIHRTSIPELAIENIEEFRRRAEFFTNVTSDQPPAHQRVYLPVQAAIHRAGEGRFKIYLRSLLDE -GYYVRVGSGVRPLVLEIHNKLPSREILERLPYSYQTTKSDGPVAKFSFSTTGRVNGILIMYSADDHSTPD -EMVNVNPTYCNTLVILKEMPFSRVETSSILNVVNRDLVAIKKKTRIYDLTEALTAGNRQAIPSND - ->YP_008719921.1 inner layer core protein [Changuinola virus] -MATTESTEETKRNPYLKGDVLSNDSGPLLSIFALQEIMQKVRSVQNEYLTSMKESDLTIPEVQKIIDGIK -SLEDQKMYEVRDKLMTSFRHIVMQSQTYFLRVDTYYERMSDVGETMTEDDPSKFYATIMSKIRHLRKEGA -FLLHHLPTHDHRGNEIVNPDALGVDIANVVPHMTAENRALVQQILENIIVENGNVAQRDVDIFNGAMSDP -IYRIHQRLQGYMEDAQLDDLRNAMAWLARLGRRKRITYTNEFLTDFRREDTIWIITLNLPVNVNVIWAVP -RCAIANLIMNIALCVPMGDYVLPNPRITSITLTQRITTTGPFSLMAGTTPTAVQMDDVRKIYLALMFPGQ -IILDLKNDPTQKSDPTMRMVAGVVGHLMFTYGRRFTNITNNMARQLDIALNDFLLYMYNTRIPVQYGPTG -EPLDFLIGRVQYDCNQFRADARTGQGYNGWGVIDVENRDPSPYDHVQRQLRYCDIDSREIIDPTTYGINM -RYRAYDEMMRMLVAAGKDQEAAYLRQMLPYHMVRFARINQIINEDLLSAFSLPDRFFDALLPNMLNGIRD -VVEPVVLDISWMSIWFAFNRSFEPTSRSDMLNAAPLIESIYASELSVIKEDMRHLANLQARFPDTLINAR -PSQFWRAVLEVSPEPIKLLMDLAHSFDYVNVRDIMRWVREDTFQRSLALVLEREAWAISYDLENLMLVDQ -VFMHRNILPEPRLDNIQLFREEGFYYTNMLDAPPPVRDAVQYTYEIARIQANQGQFRTALRRIMDDDGWI -RFGGMLRTVKIKFFETHPPEDILSELPFKYDVTERGGLFYAKIQYATEVTAYYLIYNVEFSNTPDSLVLI -NPAYTMTKLYMSKRIVQRVLPGQLLAVINKRFLAYKGKMRIIDITGALKQGVRLAAPTANG - ->YP_008719913.1 VP3 [Mobuck virus] -MANAPDDQQNVEANQAGPSRQVDRQRRRNVPSSPYLSGVDVKDDSGPLLSIFMLQEILDKVRETQIRTLA -AGQEIEAAPPDVKNLLTNLLSLKELKGYKILQCPPTCYRFISAQSETRLFRVNTFKEKISLIGENVACDQ -AGDFLEVILQRVRCVRDEGSFLLYDAPTHYINGNEVVDAKAIGLDTNSMFASLSPSNRFNLQNQLDGFLV -TNQWNELPMMDIYNGACDDAVFGVHQALMAYIDEGQTQEFRESMAWLQQYGEVKNITYDARFLTDVFSNE -NVYCLPYTIPVNPQIIWEVPRSAISNLILNASLGFPTGSYIAPNARIASVTVTSRITTSTPFAQIQSMVP -TEATMDDLRKIYFALAFPNQVLIDIRHEPGHQIDPVIQAVAGIFGKMMFSYGPNLFNITRRTANLLDRGC -AHYLQMMTDNHRVTLRGQTGAPLDFTINQGGRVFDCRRLENDPDTGQGYNSWRTNSVQIRETPYPHVSRR -ICYLGFDSTDILDERFSGMDFSYPLHDLLMEALARAGHTAEKNYLQLMLHHHVIRFAHINQTINRDLLSA -FSMPDDMFNGLGDAIPQDIFSPDGPVVLDVSFLSIWFAYKLRFLPTDRPALMIQQPLLESVYASHLSLVK -LAARELLQFVNANPDNFTTLKAMDVWKVVIKEMPESLHRILEMIGQHNFITMRDISFWIESPLVQNSLLY -VCDLRAWRCLNSPSDLMLVRDVYVHSENIPEPVVEDIEMFKREAYYYTNMRSSLPPPDQCVYMNRNTMLI -RAGEGRLKSTIRRMLDDGDYVKIGNCLKPLVLKFFETMPGQDVRESMPFDYKVMKGDGPLTRISVTLGSK -VIGYVILYTVDKDFMPDEYVDYLPSKNLTNVVVNPMPFERVDVTTALNVTTRVFQSYRKRIRIVDLTECL -EAGAQMASLAGADA - ->YP_008658418.1 inner core [Wallal virus] -MTEPAEAKPLPRTAPYLVGEQVSTDDGPLLSLFALQEIMQKVRQNQAEYLAAARETDLSTPDVQKIIDGI -KALIEIKFYRIEKKPPESFRHIVMQSYENFLRVDTYYERMSEIGETIDEEDPIKTYTTVLAKIRYLRDQG -AFILHDVDTKDLRGMEIADPEILGVNIKDVMRVMTAEDRFMVQSVLDGSIIENGNVGDRDVDVYTGAMSD -VIYRIYNRLQGYIEGVQLQELRNSIDWLARLGRRKKIAYERDFLTDFRRRDTIWIQTMTLPINVNVIWSV -PRCGIANLIMNIATCVPTGEYVMANPRITSITLTQRITTTGPFSLMAGTTPTAMQMGDVRKIYLSLMFPG -QIILDIKNDPAQRSDPTMRMVAGVVGHLMFTYGRRFTNITTNMAQQLDIALNDFLLYMYNARIPVTYGQT -GLPLDFRIGRIQYDCNQFRANAQTGTGYNGWAVNDVELRDPSPYDHVQRFIRYCDIDSREIIEPTTFGVN -MQYHVYNEMMRMLVAAGKDQEAAYFRAMLPFHMVRFARLNQIINEDLLCAFSMPDDQFNALLPNLVQGIH -DRTDPIVLEVSWISIWHAFNRSFDPTNRSELLPMVPLLESIYASQLSVMKIDMRNLALLQRRFPDSLLSA -RPSHFWRVVLDNSPEAIRSLMDLSHSFSFVNVRDIMEWVNEPSLQDSLQLTLEREAWAIANDFEELMLIS -DVYMHRDMLPEPRLDDIKLFRREGFYYTNMLEGPPRIDQVVEYTYEVARLQANLGHFKSALRRIMDDNGW -VRFQGALRSVKVKFYDARPPEEILSQIPYEYNVAEKGGLFYASIKYAKEATIYYLIYNVEYSNTPDHLIL -INPTYTMTKIYMGKRIVERVRPGQLLAVLNKRMIAYKGKMRIMDITGALKVGTRLAAPT - ->YP_003896059.1 VP2 [Great Island virus] -MANPQNRVQTERQQSNSSPYLRGDEVHDDPGIALSVFALQEIIRKVRESQTSLRNEGREVNPAPPEIEQI -FSALSDLRDERPYRIERRLPTYYRFESSQSQERFFRVDSHFERIAAPVDNTTLEEPARLMSLVLARVDTI -REAGSFLLYNAPTHFIDGREIIDADGLGVDVSGLLRLVEPRVRHLLQRQIDQLTVLNQDQEDTMVDTYPG -ACPDAVFRVHRALTAHVVHHQRDDYRNAMQWLQAYGEYKRIDFSRSLLTDVFSPDTVYIQSYQLPANPQL -LWEVPRCGIPNLVLNAALGVPQGTYITPNPRITSITIASRVTTTTSFAQLLGTIPTAAQMDDVRKIYLAL -MFPNQILLDIRSEPGHQVDVVAQSVAGVLGKLLFSYGPALFNITPHTARALDRACASFLQMATDDRRTIR -HGPSGRPLDFVITQGQRAFDCNQLAQNPARGNGYAGWGVDAVGDHPTPYPHVRRRIQYLGYVPEDVIDER -FCGDDLRYPLHETMCEALAISGHVNERNYVEMMRQHHVVRFAHLSQVINRDLVSAFSLPDERFNMLAAGV -PRDATGPDGPLVLDISYMAIIHAFRLRFLPVSRPERIVYQPMLESVYASHLSLAKLHANNLQTFVTANSE -SFVEARPLDTWRAVYPRLPEPVRQIFDLTGQHSFVTGRDIGLWLRSPLVQDSLFLLCARTAWQAVDDPAD -IGFTRDVYIHRQPAPEYPLEDVRQFRRDAVYFTNMLEARPANGNRVILDRVIMQQRAGAGRLRMSIRELL -DDGLFVQIGSALRPITLSIHHGLPPEETLRALPFEYRRESANGPTARVTLQMLRPVSAFFMLYNADEQAF -PDEMIDLVPKMSLVSLYVQQPPVERVSYDTALSVINRDFVSFRSRVRLMDLSAAFDAGSQYALPSNAM - ->YP_003240110.1 VP3 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MADPPDANVPKTSPYLKGDELSSDSGPLLSIFALQEIMQKVRQAQSEYVAATKDVDLTVPDVQKIIDGVK -ELASETIYKIVQKPIISYRHVVMQSRDRFLRVDTYYERMSEVGDKIDENEPAKFYETVIKKVRHLRTEGA -FILHNIPTKDHRGMEIADPEILGVDVKSILPVLTAEHRAMIQHVLDGAIIENGNIATRDVDVYLGACSES -VYRIYNRLQGYIEAVQLEELRAAVTWLERLGKRKRMTFSQEFLTDFRRVDTIWVLALRLPANPRVIWDVP -RCSIANLIMNIATCLPTGEYVSPNPRIASITLTQRITTTGPFAILTGSTPTAQQLDDVRKIYLALMFPGQ -IILDLKIDPGERMDPAVRMVAGVVGHLMFTAGPRFTNITQNMARQLDIALADFLLYMYNTRIQVQYGPTG -EPLDFRIGRGQYDCNVFRANFQTGTGYNGWGLVDVENREPAPYDHAQRYIRYCNIDSRELIHPATFGIGM -NYHCYNEMLRMLVAAGKDTEAAFFRNMLPFHMVRFARINQVINEDLHSAFSMPDDQFNVLLANMVVGQQE -RVDPVILDISWISIWYAFNRSFEPIRRNEMLESAPLIESVYASELTVMKTDMQQMALLQRRFPDVLIEAR -PTHFWKAVMEVSPEPVRAIMDLAHSHSFINIRDMMRWIGLPSMQNSMKLVLEEEAWAVANDFEELMLTDQ -VYMYRDMLPEPRLDDIERFRQEGFYYTNMLDGPPAIDRVVQYTYEVARLQANMGQLRAALRRIMDDEGWV -RFGGVLRTVRIKFFDSRPPEEILQALPFDYQTNEKGGLTYATIKYANDTTIYYLIYNVEYSNLPDSLVLI -NPTYVMTKVFMNKRIVERVRVGQALAVMNKRFIAFKGKMRIMDITQALKVGTKLAAPTV - ->YP_002925133.1 VP2 [Stretch Lagoon orbivirus] -MDGQPARQTPAEQTRNSPYLRGDEVRTDSGPLLSVFGLQEILAKVRDAQTRLQMSAGEAPPTPPDIQTMF -DNLHALANERSFRIERQPTSYYRHQAVQSDERIFQINRFYEQISAIGSTLEGDDAQQLLETVLRRIQFVR -DQGSFIIHDLPTYFENGIEIISRSALGLDVEEALTALNNRERAILDAQLRTFRILNRPIQNVYYDLFNAA -MPDPVYNVHQSLTNYVVNDQRDDYRRAQAWLRTYSDSKGVTYDRNLQTDIFSPDTMYILSYTLPPNPSII -WSLPRCGISNLMLNAAIGLPMGQYILPNSKIASVTITARITQNSPFSQVQATTATEAQMHDVRKIYLALM -FPNQILLDIKTEPGHSVDQVVNSVAGVIGKIMFTYGPRLFNVTSRTAHILDRACSDFLTSYSQQNVRFNI -RSRAPFDWTATLGTETFDGNRLRMDPISGRGYNGYRANDLRNEPTCYPHVSRRVCYTGYDSADILDERFS -GSEHMYPTLQEMMTALRVTGHTQERSYLYAMSQHHIVRFAYLNQIINRDLLSAFSLPDDLFHELTDRIPL -DELTANGPIILDISMHSIWHAYKMRFLPTEHSSFQLIQPLIESIFSSQISVMKLNAVELRNFTIANPDSF -PNLKAMDVWRAVYANMPESIRAMMDLAGQHWFITAYDCNKWMNSPEVQISIPYRCMSAAWRCLDDANTIM -LTRDVYIHRTSIPELAIEDIEEFRRRAEFFTNVASDQPPAHQRVYLPVQAAIHRAGEGRFKIYLRALLDE -GYYVRIGSGVRPLVLEIHNKLPSREVLERLPYSYQTTKSDGPVAKFSFSTTGRVNGILIMYSADDHSTPD -EMVNVNPTYCNTLVVLKEMPFSRVETSSILNVVNRDLIAIKKKTRIYDLTEALTAGNRQAIPSTE - ->YP_460039.1 VP3 [Peruvian horse sickness virus] -MATQTGIDATAKFEIKTQEKAENTEPKGKKIESSPYLSGDQIKNDDGPLLSIFMLQEILDKVRETQIRTQ -AAGQELEAAPPDVKNLLTRLLGLKDLKGYNIISKLPVSFRFISAQSENRLFRVNNFKERISEIGKDSKCE -NPLELLNVVLQRVKYVREQGSFILYDVPTHFVNGNEVLDHDALGMDVTSMSSSLSPANRFMLQNQLEEFL -ISNQWNEKTLMDVFNGACDDAIYKVHTALMSYVENGQLHNFRQSLNWLQAYGECKNITYDSHYLTDVFSS -ENLYCLSYKLPVDPNVIWEVPRSSISNMIMNAALGFPTGAYVSPTARIASVTVTSRITTNTPFAQLQSMV -PTEAVMADVRKIYFALCYPNQVLIDIRQEPGHQIDPIIQAVSGLFGKMMFSYGPRYFNITRRTAGLFDRG -CAHYLQMMTDDRRTIQRGQSGEALDFMILQGGRQFDCRRLGNDPDTGRGFNNWRVDSLRRRDTPYSHVSR -RVCYLGYDSEEVLDERYSGSDYTYPLHELLMEALLRACHVAEKNYLQLVLQHHVVRFAYINQVINRDLLS -AFTMPDDKFTEQGDAIPRDIFTADGPVVLDVSYLSIWFAFKLRFLPTDRPALMIQQPLLESVYASHLSLV -KLAAKELMSFVSSNPGNFTTLKASDVWKIVMKEMPEVLHDILDMIGQRNFITMRDVNSWIESDLMQESML -LTCDLEAWKCLSTPNDIMFVKDVFIHAENIPEPVVDDIEVFRREAYYYTNMRDSLPPNERCVYLNKSSML -SRAGEGRFKSSIRSMIDDGDYIKVGNSLRPLVLQFFESMPPQNIREALPFTYNVDRTSGPLTRVVVSLTE -KIIGYVLLYTVDKDFMPDEYVSYLPSKNLTKISLQSLPFSRVDASTALDVTSRVFQSFRKKVRIIDLTES -LEVGAQLASLASLTV - ->YP_443926.1 VP2 [Yunnan orbivirus] -MANNSDDAQAQAQIQTSDAANIQIVNRKKEEKKQDDKKERKPKREDSVNPFLSGTELKNDDGPLLSVFAL -QEILDKIRESQLRGQIAGQEIETAAPDIKNLLNKILALKDIRGYKILKKPPVSYRYISAQSESRLFRVNN -FKERVSVIGENAKCEDPIEFLNVILERVKFIRDEGSFILHDVSTQYLNGEEVVTSDCLGIDTANMFTSLN -PMNRNDLQNQLNSFLVMNQTNDRPLMDIYNGACDDALYKVHSTLMSYIETGQTAAFRESLTWLKTYSHYK -GIMYDQSYLTDIFSSESIYCLSYTLPTNPKVIWEVPRSSISNLVLNAALGFPTGIYISPPARIASVTITS -RITTNTAFAQLQSMVPTEAVMADVRKIYFALCYPNQVVLDIRPEPGHQIDPVIQAVSGIFGKLLFSYGPR -LFNITRTMAQLLDRGTANFLQMLTDDRRTIIRGQTGEPLDFVVSQGGRQFDCNQLANDPNTGRGFTSSRV -DSVGVRVTPYDHVSRRICYLGYDSEEVLDERYTGSTYTFYLHDLLMEALVRTGHVTEKNYLEAIKQHNVV -RFAYINQIINRDLLSAFSMPDDQFREMADRVPNNVFGPEGPIVLDVSYLSIWFAFKLRFLPTDRPALMIQ -QPLLESVYASHLSMMKLATRRLKQFVDANPDNFTTLKAMDIWKVVLKEIPEPLRDIIDLVGQKHFMSMGD -IAIWVNSDQKQDSLLYHCDEVAWQCLDTPNDLMFIKDVYVHSQNIPEPIIDDLDTFRREAFYYTNMSDSL -PPHDRIVYLSRGSMLVKAGEGKLKSAIRQMLDAGDYIRIGCSLRPLVIRFFESMPPQEVREALPFVYSVD -EKKGPMPQITVRLQNKVLGYVLIYNVTRDYLPDQYVSYLPAKNLTEVIVNPRVFERVEVNNALDVTFRVF -QSYRSKVRLVDLTDSLQAGTQLASLASTEA - ->YP_052962.1 capsid protein 3 [African horse sickness virus] -MQGNERIQDKNEKEKAYAPYLDGADVSTDNGPILSVFALQEIMQKIRQNQSDMAAHAPDVDGAIPEVMTI -ISGIKGLLEEKDYKVINAPPNSFRTIPMQSTEYVLQVNTFYERMSEIGGPVDETDPIGFYALILEKLKFL -KSEGAFILQGIATKDYRGAEIADPEIIGVSFQNALSHLAAIDRQIIQDTLNGMIIENGLVADRNVDVFRA -AMSDPIYRIHNVLQGYIEGIQYGELRESVNWLMRLGLRKRIEFANDFLTDFRRADTIWIISQRLPINANV -IWNVPRCHIANLITNVALCLPTGEYLMPNPRINSITITQRITQTNPFSIISGLTPTAVQMNDVRKIYLAL -MFPNQIILDIKPDSSHAVDPVLRMVAGVLGHVMFTYGPIMTNITPTMAELLDAALSDYLLYMYNNRIPIN -YGPTGQPLDFRIGARNQYDCNAFRADPQTGRGYNGWGVVDVQRVQPSPYDHVQRVIRYCDIDSREIIDPR -TYGMNMTYPIFREMLRMLVAAGKDQEAAYLRQMLPFHMIRFARINQIINEDLLSAFSLPDQNFDVVLHNL -IQGNFGETDPVVLEVSWASIWFAFVRRFEPIARSDLLEAAPLIEARYAAELSTMQMDVQQLRMMRARVPD -TVINATPSQCWKAVLKNAPEPIKNLMNLSHSFSFVNVRDIVRWSQQRDIQESLAYVLNREAWAIANDFED -LMLVDHVYIQRTMLPEPRLDDINEFRRQGFFHTNMIDGAPPIGDVTHYTYAIANLQANMGQFRAAIRRTL -DDNGWIQFGGMLRNIKIKFFDSRPPDEILTAMPYVYTEEERDGVRMVAFKYATTATAYFLLYNVEYSNTP -DTLITVNPTFTMTKIHMRKKIVRRVRAPDVLSQVNKRLVAYKGKMRLMDVTKCLKTGVQLARPTI - ->YP_052943.1 VP2 [St Croix River virus] -MEIPVKGSTRVLNDDGVFEAQSAQPLASDIYKSVIELLSSTRTSAIVAPATSASRVGADFESICAGFKDL -ASYRPFRVEREPPVLYGFERTSTGSKFYSTDKLALMLSQVGTLHSSSTGLDVLTLVSDRVDKLVDLGSFI -LSDPPTHTHHGVSVLNPDAVGIDYSALLGCLDPQNHYAVTSDVERNVILDADRFGGLVDMARVAMPDIIY -RIHTAVTNDILSANDVKWRESREWLTTLALFKNMAIPPLLDVSGPEMIYVLGTQLPPMPSVIWSVPRCQK -PNIMMHAALTSPLVVFTKVGNHASLYSLNASISRIDSFSAMNDDPLTGPIRDQLALIAYGVFYPNEFVIN -IPPPTGIDRDLKIRDTFAIYSRLIFTFGPDIFNIDSATAEAIDLALDGFIRMYASSKIVPHENSSPPLNF -SVGGYDASRLRHDPRTGAGFVGMGVKTIFRDATPYPHVTRSVHYGGFEPILAPSSDDPPDHSLPILTELI -KLLDAMGMRQVINYLNAMFPHIAERLRTAGYYASRITVSAFSPPDYIFFNLGQSVVTYDREVYPVQIQVS -QRSIAHFFRTSTTIHSQSPWLSVAPVVESVICDQLSAAIVRVSHMADFSNQYRGAMGDVSLGNIWKHTLG -TLPKCLKDMFDLFHRSSSMSVGEIRNWISDGVRRETLTTKLMGYAWEFAWDIRNIMLTDVVYIVQSEVTF -PDIDLKVTSANFNAEMYSRSNIYTPTQATIAADPFSPSDFELLASRGGLTVHIARILRQGKAIKCTEVIR -AVRFTTCEGMPSNSLTGQIPYEYKPPSQARLGLSITVTPVREVTAYLARLVLGPGAHPDAYASLLPPRQL -MEIDIPTPYHAIGWRSALALVFRNAYFLKKQVTVVDLTATLDMGSPHQIE - ->YP_052934.1 inner capsid (core) protein VP3 [Palyam virus] -MDAQRTKDENKKDEPGAPYLDGDVLSRDNGALLSIFALQEIMQKVRRNQQELATHAPEIDGTIPEVALII -PGIRDLLKTKAYRVEKKPLKSFRAVSMQSTDFFLRVDTYYERMSKVGEMVDETKPEAFYETILKKIRHLR -QEGAFLLQDIETHNHRGAEVAALDALGVEIDNLMPNMTAVDRQIVQDMINGMIIENAQIADRNVDVYMCA -MSDPVYRVHNRLQGYIESVQQAVIQRAIQWLVRLGQRKRIQFNTDFMTDFRRADTIWILTHELPPNANVI -WEVPRSYITNLIMNIALCLPHGEYLAPNPRITSITITQRITQTNPFSLISGMTPTAQQMDDVKKIYLALM -FPNQIILDLKADPNHAIDPVIRMVSGVIGHLMFTFGPRFTNITQTMATLLDSALNDFLLYMYNNRVRVDY -GASGQPLDFQIGGRAQYDANRFRGNIQTGSGYNGWGLVDVEFRQPSPYDLVQRHIRYCDLDSREIIDPRT -FGVNMNYPNYREMIRILTAAGKEQEAAFFRAMLPYHMIRFARLNQIINEDLLSGFSLPDRLFNAMIGNMQ -QGVFEEVEPITLEISWASIWFAFNRRFEPIARSELLNIAPMIESIYASELSIIQLDVRQLRLMRARVPEV -VVNASPSQFWRAAIKVSPEPIRALLNLSQSFSFINVRDIIRWCDSRALQRSLAYELEREVWEIAGDFQEL -MLVNDVYFHRSVIPEPRLDDINEFRRIGFYTTNMLDAPPPLLATTLYTYEAAMLQANMGQFKAALRGILD -DDGWVRFGGMLRNVTIEFFSSLPDASWLQSLPFEYKEEERDGLRYASIKYGSTAKVFYIIYNVDYSNTPD -SLISINPVYTMTKIYMNKRIVSKIRVPDALSCVNKRVVSYKKKMRLMDVTEALKMGVQLAAPTV - ->YP_052959.1 hypothetical protein BTVs3gp1 [Bluetongue virus] -MAAQNEQRPERIKTTPYLEGDVLSSDSGPLLSVFALQEIMQKVRQVQADYMTATREVDFTVPDVQKILDD -IKTLAAEQVYKIVKVPSISFRHIVMQSRDRVLRVDTYYEEMSQVGDVITEDEPEKFYSTIIKKVRFIRGK -GSFILHDIPTRDHRGMEVAEPEVLGVEFKNVLPVLTAEHRAMIQNALDGSIIENGNVATRDVDVFIGACS -EPIYRIYNRLQGYIEAVQLQELRNSIGWLERLGQRKRITYSQEVLTDFRRQDTIWVLALQLPVNPQVVWD -VPRSSIANLIMNIATCLPTGEYIAPNPRISSITLTQRITTTGPFAILTGSTPTAQQLNDVRKIYLALMFP -GQIVLDLKIDPGERMDPAVRMVAGVVGHLLFTAGGRFTNLTQNMARQLDIALNDYLLYMYNTRVQVNYGP -TGEPLDFQIGRNQYDCNVFRADFATGTGYNGWATIDVEYRDPAPYVHAQRYIRYCGIDSRELINPTTYGI -GMTYHCYNEMLRMLVAAGRDSEAAYFRSMLPFHMVRFARINQIINEDLHSVFSLPDDMFNALLPDLIAGA -HQNADPVVLDVSWISLWFAFNRSFEPTHRNEMLEIAPLIESVYASELSVMKVDMRHLSLMQRRFPDVLIQ -ARPSHFWKAVLNDSPEAVKAVMNLSHSHNFINIRDMMRWVLLPSLQPSLKLVLEEEAWAAANDFEDLMLT -DQVYMHRDMLPEPRLDDIERFRQEGFYYTNMLEAPPEIDRVVQYTYEIARLQANMGQFRAALRRIMDDDD -WVRFGGVLRTVRVKFFDARPPDDILQGLPFSYDTNEKGGLSYATIKYATETTIFYLIYNVEFSNTPDSLV -LINPTYTMTKVFINKRIVERVRVGQILAVLNRRFVAYKGKMRIMDITQSLKMGTKLAAPTV - ->sp|Q65750.1|VP3_BTV13 RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -MAAQNEQRPERIKTTPYLEGDVLSNDSGPLLSVFALQEIMQKVRQVQADYMTATREVDFTVPDVQKILDD -IKTLAAEQVYKIVKIPSISFRHIVMQSRDRVLRVDTYYEEMSQVGDVITEDEPEKFYSTIIKKVRFIREK -GSFILHDIPTRDHRGMEVAEPEVLGVEFKNVLPVLTAEHRAMIQNALDGSIIENGNVATRDVDVFIGACS -EPIYRIYNRLQGYIEAVQLQELRNSIGWLGRLGQRKRITYSQEVLTDFRRQDTIWVLALQLPVNPQVVWD -VPRSSIANLIMNIATCLPTGEYIAPNPRISSITLTQRITTTGPFAILTGSTPTAQQLNDVRKIYLALMFP -GQIILDLKIDPGERMDPAVRMVAGVVGHLLFTAGGRFTNLTQDMARQLDIALNDYLLYMYNTRVQVKYGP -TGEPLDFQMGRNQYDCNVFRADFATGTGYNGWATIDVEYRDPAPYVHAQRYIRYCGIDSRELINPTTYGI -GMTYHCYNEMLRMLVAAGKDSEAAYFRSMLPFHMVRFARINQIINEDLHSVFSLPDDMFNALLPDLIAGA -HQNADPVVLDVSWISLWFAFNRSFEPTHRNEMLEIAPLIESVYASELSVMKVDMRHLSLMQRRFPDVLIQ -ARPSHFWKAVLNDSPEAVKAVMNLSHSHNFINIRDMMRWVLLPSLQPSLKLVLEEEAWAAANDFEDLMLT -DQVYMHRDMLPEPRLDDIERFRQEGFYYTNMLEAPPEIDRVDQNTYEIARLQANMGQFRAALRRIMDDDD -WVRFGGVLRTVRVKFFDARPPDDILQGLPFSYDTNEKGGLSYATIKYATETTIFYLIYNVEFSNTPDSLV -LINPTYTMTKVFINKRIVERVRVGQILAVLNRRFVAYKGKMRIMDITQSLKMGTKLAAPTV - ->sp|P56582.1|VP3_BTV1S RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -MAAQNEQRPERIKTTPYLEGDVLSSDSGPLLSVFALQEIMQKVRQVQADYMTATREVDFTVPDVQQILDD -IKALAAAQVYKIVKVPSTSFRHIVTQSRDRVLRVDTYYEEMSQVGDVITEDEPEKFYSTIIKKVRFIRGK -GSFILHDIPARDHRGMEVAEPEVLGVEFKNVLPVLTAEHRAMIQNALDGSIIENGNVATRDVDVFIGACS -EPFYRIYNRFQGYIEAVQLQELRNSIGWLERLGQRKRITYSQEVLTDFRRQDMIWVLALQLPVNPQVVWD -VPRSSIANLIMNIATCLPTGEYIAPNPRISSITLTQRITTTGPFAILTGSTPTAQQLNDVRKIYLALMFP -GQIILDLKIDPGERMDPAVRMVAGVVGHLLFTAGGRFTNLTQNMARQLDIALNDYLLYMYNTRVQVNYGP -TGEPLDFQIGRNQYDCNVFRADFATGTGYNGWATIDVEYRDPAPYVHAQRYIRYCGIDSRELINPTTYGI -GMTYHCYNEMLRMLVAAGKDSEAAYFRSMLPFHMVRFARINQIINEDLHSVFSLPDDMFNALLPDLIAGA -HQNADPVVLDVSWISLWFAFNRSFEPTHRNEMLEIAPLIESVYASELSVMKVDMRHLSLMQRRFPDVLIQ -ARPSHFWKAVLNDSPEAVKAVMNLSHSHNFINIRDMMRWVLLPSLQPSLKLVLEEEAWAAANDFEDLMLT -DQVYMHRDMLPEPRLDDIERFRQEGFYYTNMLEAPPEIDRVVQYTYEIARLQANMGQFRAALRRIMDDDD -WIGFGGVLRTVRVKFFDARPPDDILQGLPFSYDTNEKGGLSYATIKYATETTIFYLIYNVEFSNTPDSLV -LINPTYTMTKVFINKRIVERVRVGQILAVLNRRFVAYKGKMRIMDITQSLKMGTKLAAPTV - ->sp|Q65749.1|VP3_BTV11 RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -MAAQNEQRSERIKTTPYLEGDVLSSDSGPLLSVFALQEIMQKVRQVQADYMTATREVDFTVPDVQKILDD -IKTLAAEQVYKIVKVPNILFRHIVMQSRDRVLRVDTYYEEMSQVGDVITEDEPEKFYSTIIKKVRFIRGK -GSFILHDIPTRDHRGMEVAEPEVLGVEFKNVLPVLTAEHRAMIQNALDGSIIENGNVATRDVDVFIGACS -EPIYRIYNRLQGYIEAVQLQELRNSIGWLERLGLRKRITYSQEVLTDFRRQDTIWVLALQLPVNPQVVWD -VPRSSIANLIMNIATCLPTGEYIAPNPRISSITLTQRITTTGPFAILTGSTPTAQQLNDVRKIYLALMFP -GQIILDLKIDPGERMDPAVRMVAGVVGHLLFTAGGRFTNLTQNMARQLDIALNDYLLYMYNTRVQVNYGP -TGEPLDFQIGRNQYDCNVFRADFATGTGYNGWATIDVEYRDPAPYVHAQRYIRYCGIDSRELINPTTYGI -GMTYHCYNEMLRMLVAAGKDSEAAYFRSMLPFHMVRFARINQIINEDLHSVFSLPDDMFNALLPDLIAGA -HQNADPVVLDVSWISLWFAFNRSFEPTHRNEMLEIAPLIESVYASELSVMKVDMRHLSLMQRRFPDVLIQ -ARPSHFWKAVLNDSPEAVKAVMNLSHSHNFINIRDMMRWVMLPSLQPSLKLVLEEEAWAAANDFEDLMLT -DQVYMHRDMLPEPRLDDVERFRQEGFYYTNMLEAPPEIDRVVQYTYEIERLQANMGQFRAALRRIMDDDD -WVRFGGVLRTVRVKFFDARPPDDILQGLPFSYDTNEKGGLSYATIKYATETTIFYQIYNAEFSNTPDSLV -LINPTYTMTKVFINKRIVERVRVGQILAVLNRRFVAYKGKMRIMDITQSLKMGTKLAAPTV - ->sp|Q65748.1|VP3_BTV2A RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -MAAQNEQRPERIKTTPYLEGDVLSSDSGPLLSVFALQEIMQKVRQVQADYMTATREVDFTVPDVQKILDD -IKALTVEQVYKIVKVPSISFRHIVMQSRDRVLRVDTYYEEMSQVGDVITEDEPEKFYSTIIKKVRFIRGK -GSFILHDIPTRDHRGMEAAEPEVLGVEFKNVPPVLTAEHRAMIQSALDGSIIENGNVATRDVDVFIGACS -EPIYRIYNRLQGYIEAVQLQELRNSIGWLERLGQRKRITYSQEVLTDFRRQDTIWVLALQLPVNPQVVWD -VPRSSIANLIMNIATCLPTGEYIAPNPRISSITLTQRITTTGPFAILTGSTPTAQQLNDVRKIYLALMFP -GQIILDLKIDPGERMDPAVRMVAGVVGHLLFTAGGRFTNLTQNMARQLDIALNDYLLYMYNTRVQVNYGP -TGEPLDFQIGRNQYDCNVFRADFATGTGYNGWATIDVEYRDPAPYVHAQRYIRYCGIDSRELINPTTYGI -GMTYHCYNEMLRMLVAAGKDSEAAYFRSMLPFHMVRFARINQIINEDLHSVFSLPDDMFNALLPDLVAGA -HQNADPVVLDVSWISLWFAFNRSFEPTHRNEMLEIAPLIESVYASELSVMKVDIRHLSLMQRRFPDVLIQ -ARPSHFWKAVLNDSPEAVKAVMNLSHSHNFINIRDMMRWVLLPSLQPSLKLALEEEAWAAANDFEDLMLT -DQVYMHRDMLPEPRLDDIERFRQEGFYYTNMLEAPPEIDRVVQYTYEIARLQADMGQFRAALRRIMDDDD -WVRSDGVLRTVRVKFFDARPPDDILQGLPFSYDTNEKGGLSYATIKYATETTIFYLIYNVEFSNTPDSLV -LINPTYTMTKVFINKRIVERVRVGQILAVLNRRFVAYKGKMRIMDITQSLKMGTKLAAPTV - ->sp|P33474.1|VP3_EHDVA RecName: Full=Core protein VP3 -MAEPPDAATPKTSPYLKGDELSSDSGPLLSIFALQEIMQKVRQAKSEYMAATKDVDLTIPDVQKIIDGVK -ELASETIYKVVNKPLISYRHIVMQSRDRFLRVDTYYERMSEVGDKIDENEPAKFYETVIKKVRHLRTEGA -FVLHNIPTRDHRGMEIADPEILGVDVKSILPVLTAEHRAMVQHILDGAIIENGIVATRDVDVYFGACSES -VYRIYNRLQGYIEAVQLEELRAAITWLERLGRRKRMTFSQEFLTDFRRADTIWVLALQLPANPRVIWQVP -RCSIANLIMNIATCLPTGEYVSPNPRIASITLTQRITTTGPFAILTGSTPTAQQLDDVRKIYLALMFPGQ -IVLDLKIDPGERMDPAVRMVAGVVGHLMFTAGPRFTNITQNMARQLDIALADFLLYMYNTRIQVQYGPTG -EPLDFRIGRGQYDCNAFRTNFQTGAGYNGWGLVDVENREPAPYDHVQRFIRYCNIDSRELIHPATFGIGM -NYYCYNEMLRMLVAAGKDTEAAFFRNMLPFHMVRFARINQIINEDLHSAFSMPDDQFNVLLANMIAGAQE -RMDPVVLDISWISIWYAFNRSFEPTRRNEMLESAPLIESVYASELTVMKIDMQQMALLQRRFPDVLIEAR -PTHFWKAVMEVSPEPVRAIMDLAHSHSFINIRDMMRWIGLPSMQPSMKLVLEEEAWAVANDFEELMLTDQ -VYMFRDMLPEPRLDDIERFRQEGFYYTNMLDGPPTIDRVVQYTYEVARLQANMGQLRAALRRIMDEEGWV -RFGGVLRTVRVKFFDSRPPEEILQALPFDYQTSEKGGLTYATIKYANDTTIYYLIYNVEYSNLPDSLVLI -NPTYVMTKVFINKRIVERVRVGQALAVMNKRFIAYKGKMRIMDITQALKVGTKLAAPTV - ->sp|P27281.1|VP3_EHDV1 RecName: Full=Core protein VP3 -MADPPDANVPKTSPYLKGDELSSDSGPLLSIFALQEIMQKVRQAQSEYVAATKDVDLTVPDVQKIIDGVK -ELASETIYKIVQKPIISYRHVVMQSRDRFLRVDTYYERMSEVGDKIDENEPAKFYETVIKKVRHLRTEGA -FILHNIPTKDHRGMEIADPEILGVDVKSILPVLTAEHRAMIQHVLDGAIIENGNIATRDVDVYLGACSES -VYRIYNRLQGYIEAVQLEELRRTVTWLERLGKRKRMTFSQEFLTDFRRVDTIWVLALRLPANPRVIWDVP -RCSIANLIMNIATCLPTGDMFRPNPRIASITLTQRITTTGPFAILTGSTPTAQQLDDVRKIYLALMFPGQ -IILDLKIDPGERMDPAVRMVAGVVGHLMFTAGPRFTNITQNMARQLDIALADFLLYMYNTRIQVQYGPTG -EPLDFRIGRGQYDCNVFRANFQTGTGYNGWGLVDVENREPAPYDHAQRYIRYCNIDSRELIHPATFGIGM -NYHCYNEMLRMLVAAGKDTEAAFFRNMLPFHMVRFARINQVINEDLHSAFSMPDDQFNVLLANMVVGQQE -RVDPVILDISWISIWYAFNRSFEPIRRNEMLESAPLIESVYASELTVMKTDMQQMALLQRRFPDVLIEAR -PTHFWKAVMEVSPEPVRAIMDLAHSHSFINIRDMMRWIGLPSMQNSMKLVLEEEAWAVANDFEELMLTDQ -VYMYRDMLPEPRLDDIERFRQEGFYYTNMLDGPPAIDRVVQYTYEVARFEANMGQLRAALRRIMDDERWV -RFGGVLRTVRIKFFDSRPPEEILQALPFDYQTNEKGGLTYATIKYANDTTIYYLIYNVEYSNLPDSLVLI -NPTYVMTKVFMNKRIVERVRVGQALAVMNKRFIAFKGKMRIMDISQALKVGTKLAAPTV - ->sp|P20608.1|VP3_BTV1A RecName: Full=Core protein VP3; AltName: Full=Major inner capsid protein -MAAQNEQRPERIKTTPYLEGDVLSSDSGPLLSVFALQEIMQKVRQVQADYMTATREVDFTVPDVQKILDD -IKALAAEQVSKIVKMPSISFRHIVMQARDRVLRVDTYYEEMSQVGDVITEDEPEKFYSTIIKKVRFIRGK -GSFILHDIPTRDHRGMEVAEPEVLGVEFKNVLPVLTAEHRAMIQNALDGSIIENGNVATRDVDVFIGACS -EPVYRIYNRLQGYIEAVQLQELRNSIGWLERLGQRKRITYSQEVLTEFRRQDTIWVLALQLPVNPQVVWD -VPRSSIANLIMNIATCLPTGEYIAPNPRISSITLTQRITTTGPFAILTGSTPTAQQLNDVRKIYLALMFP -GQIILDLKIDPGERMDPAVRMVAGVVGHLLFTAGGRFTNLTQNMARQLDIALNDYLLYMYNTRVQVNYGP -TGEPLDFQIGRNQYDCNVFRADFGSGAGYNGWATIDVEYREPAPYVHAQRYIRYCGIDSRELINPTTYGI -GMTYHCYNEMLRMLVAAGKDSEAAYFRSMLPFHMVRFARINQIINEDLHSVFSLPDDMFNALLPDLIAGA -HQNADPVVLDVSWISLWFAFNRSFEPTHRNEMLEVAPLIESVYASELSVMKVDMRHLSLMQRRFPDVLIQ -ARPSHFWKAVLNDSPEAVKAVMNLSHSHNFINIRDMMRWVMLPSLQPSLKLVLEEEAWAAANDFEDLMLT -DQVYMHRDMLPEPRLDDVERFRQEGFYYTNMLEAPPEIDRVVQYTYEIARLQANMGQFRAALRRIMDDDD -WVRFGGVLRTVRVKFVDARPPDDVLQGLPFSYDTNEKGGLAYATIKYATETTIFYLIYNVEFSNTPDSLV -LINPTYTMTKVFINKRIVERVRVRQILAVLNRRFVAYKGKMRIMDITQSLKMGTKLAAPTV - ->sp|P35934.1|VP2_BRD RecName: Full=Structural core protein VP2 -MANPQNRVQTERQQNNSSPYLRGDEVHDDPGIALSVFALQEIIRKVRESQTSLRNEGREVNPAPPEIEQI -FSALRHLRDERPYRIERTLPTYYRFESSQSQERFFRVDSHFERIAAPVDNATLEEPARLMSLVSRRVDAI -RDAGSFLLYNAPTHFVDGREIIDADGLGVDVSGPASPRRAEGASPATRQIDQLTVLNQDQEDTMVDTYPG -ACPDAVFRVHRALTAHVVHHQRDDYRNAMQWLQAYGEYKRIDFSRSLLTDVFSPDTVYIQSYHVPANPQL -LWEVPRCGIPNLRAKRVLGVPHGTYITPNPRITSITIASRVTTTTSFAQLLGTIPTAAQMDDVRKIYLAL -MFPNQILLDIRSEPGHQVDVVAQSVAGVLGKLLFSYGPALFNITPHTPGPLIVHAPLSCKWQPMIRRTIR -HGPSGRPLDFVITQGQRAFDCNQLAQNPARGNGYAGWGVDAVGDHPTPYPHVRRRIQYLGYVPEDVIDER -FCGDDLRYPLHQTMCEALAISGHVNERNYVEMMRHDHVVRFAHLSQVINRDLVSALSLPDERFNMLAAVF -PRDATGPDGPLVLDISYMAIIHAFRLRFLPVSRPERIIYQPMLESVYASHLSLAKLHANNLQTFVTANSE -SFVEARPLDTWRAVYPRLPEPVRQIFDLTGQHSFVTGSDIGLWLRSPLVQDSLFLLCARTAWQAVDDPAD -IGFTRDVYIHRQPIPGYPLEDVRQFRRDAVYFTNMLEARPANGNRVILDRAIMQQRAGAGRLRMSIRELL -DDGLFVQIGIALRPNYFEHPSRLPPEETLRALPFEYRARARNGPTARVTLQMLRPVSAFFMLYNADEQAF -PDEMIDLVPKMSLVSLYIQQPPVERVSYDTALSVINRDFVSFRSRVRLMDLSAAFDAGSQYALPSNAM - diff --git a/seq/clusters_seq/cluster_1370 b/seq/clusters_seq/cluster_1370 deleted file mode 100644 index 1a222fa..0000000 --- a/seq/clusters_seq/cluster_1370 +++ /dev/null @@ -1,21 +0,0 @@ ->NP_620676.1 movement protein [Sweet clover necrotic mosaic virus] -MAVQVENVQDLAKTNKGEEVLKNRYTDWKCRSGVTEAPLIPASIMSKITDYAKTTAKGNSVALNYTHVIL -SLAPTIGVAIPGHVTVELVNPNVDGPFQVMSGQTLSWSPGAGRPCLMIFSVHHQLNSDHEPFRVRITNSG -IPTKKSYARCHAYWGYDVGTRHRYYKSEPARLIELEVGYQRTLLSSIKAVEAYVQFTFDTSRMESNPQLC -TKSKVNIVPPKVETHPIRGIAPPLSVGKSQRRKSEVSKPKGGNDNETTKLSASGPSLRPGSALSMKQGSR -RNTAYTSTPIKRNQDDNWLGDHLSNKGRDIRPKAGETLDEPVKLDA - ->NP_620546.1 movement protein [Red clover necrotic mosaic virus] -MAVHVENLSDLAKTNDGVAVSLNRYTDWKCRSGVSEAPLIPASMMSKITDYAKTTAKGNSVALNYTHVVL -SLAPTIGVAIPGHVTVELINPNVEGPFQVMSGQTLSWSPGAGKPCLMIFSVHHQLNSDHEPFRVRITNTG -IPTKKSYARCHAYWGFDVGTRHRYYKSEPARLIELEVGYQRTLLSSIKAVEAYVQFTFDTSRMEKNPQLC -TKSNVNIIPPKAETGSIRGIAPPLSVVPNQGRESKVLKQKGGTGSKTTKLPSLEPSSGSSSGLSMSRRSH -RNVLNSSIPIKRNQDGNWLGDHLSDKGRVTDPNPERL - ->NP_613257.1 CRSV movement protein [Carnation ringspot virus] -MAVHVDNVKDLAKTNEGVSVILNRYTDWKCKSGVTEAPLLPSNLGTRITDYAKTTLKGDSVALNFSDLVL -SLGATVSVGTPGSVLVELINPNMDGPFQLVQGQSLSWSPGSGRPCLMIFSIHHQLTADAEPFRIRISNNG -IPTKKTFARCHAYWGFDLSPRMRYYKNEPAKRIDLDVGFYKTHLSNMKQVRDYVQYTFDNSRMDGNPQLV -AKSTMNVVPRIADVPKYVGIAPPSRSGNHQEATPDDWLKQYVDKDSETNKLSDVESSSDSSSLLSMRARS -RRYTKNYKIPIKRHPQATGEVGTT - diff --git a/seq/clusters_seq/cluster_1371 b/seq/clusters_seq/cluster_1371 deleted file mode 100644 index 53ad6d3..0000000 --- a/seq/clusters_seq/cluster_1371 +++ /dev/null @@ -1,21 +0,0 @@ ->NP_620538.1 nonstructural protein [Rice dwarf virus] -MFKSGSGSLKRSGSISSVKSFSGDSEKGLPPISRGSVSITSQNYEPLIVPANSSSFAAASDFVPEKTKSE -GNLKNKSSVITGNFESSGPTNAHYNQNADGDRLVENLLLKEIAKGRGPSTSDARHTATDSRLSQEVKQPF -SEENAGGNDLNTGRGSHGTGDGIEQYHKSDCEERMSAYHKRVVDTFFKYFEYSAEDGHSTLYSDVAFLFG -CGDLDLLVMSRYQEVMTLRARSAIYGIFCYLQALTAYLTYLGAKVGQVIMLDEELEKYEIRLDVAQDDDP -IVFQITTGVFTSGVAHDLRKLTQILEAFSLER - ->sp|Q86780.1|NSP12_RDVO RecName: Full=Non-structural protein 12A; Short=Pns12A -MFKSGSGSLKRSGSISSVKSFSGDSEKGLPPISRGSVSIASQNSEPLIVPASSSSFAATSDFVPEKTKSE -GNLKNKSSVITGNFGSSGPTNAHYNQNANGDRLAENLLLKESSKGRGSSTPDARHTATDSRLSQEVKQPF -SEENASGNDLNTGRGSHGTGDGVEQYYKFDCEEGMSAYHKRVVDTFFKYFEYPAEDGHSTLYSDVMFLSG -GGDLGLLVMSRYQEVMTLRLRSAIYGIFCYLQALTAYLTYFSAKVGQAVMLDEELEKYEIRLDVAQDDDP -IVFQITTGVFTSGVAHDLRKLTQILETFSLER - ->sp|Q05054.1|NSP12_RDVA RecName: Full=Non-structural protein 12A; Short=Pns12A -MFKSGSGSLKRSGSISSVKSFSGDSEKGLPPISRGSVSIASQNSEPLIVPASSSSFAATSDFVPEKTKSE -GNLKDKSSVITGNFGSSGPINAHTNQNADGDRLVENLLLKESSKGRGSGTSDARHTATDSRLSQEVKQSF -SEENAGGNDLNTGRGSHGTGDGVEQHYKFDCEEGMSAYHKRVVDTFFKYFEYSAEDGHSTLYSDVMFLSG -HGDLGLLVMSRYQELMTLRVRSAIYGIFCYLQALTAYLTYFDAKVGQAIMLDEELEKYEIRLDVAQDDDP -IVFQITTGVFTSGVAHDLRKLTQILEAFSLER - diff --git a/seq/clusters_seq/cluster_1372 b/seq/clusters_seq/cluster_1372 deleted file mode 100644 index b532435..0000000 --- a/seq/clusters_seq/cluster_1372 +++ /dev/null @@ -1,24 +0,0 @@ ->sp|P00532.1|RAF_MSV36 RecName: Full=Serine/threonine-protein kinase-transforming protein raf -EKNKIRPRGQRDSSYYWKMEASEVMLSTRIGSGSFGTVYKGKWHGDVAVKILKVVDPTPEQLQAFRNEVA -VLRKTRHVNILLFMGYMTKDNLAIVTQWCEGSSLYKHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNII -HRDMKSNNIFLHEGLTVKIGDFGLATVKSRWSGSQQVEQPTGSVLWMAPEVIRMQDDNPFSFQSDVYSYG -IVLYELMAGELPYAHINNRDQIIFMVGRGYASPDLSRLYKNCPKAIKRLVADCVKKVKEERPLFPQILSS -IELLQHSLPKINRSAPEPSLHRAAHTEDINACTLTTSPRLPVF - ->sp|P00531.1|MIL_AVIMH RecName: Full=Serine/threonine-protein kinase-transforming protein mil -PTMPVDSRIIEDAIRNHSESASPSASSGSPNNMSPTGWSQPKTPVPAQRERAPGTNTQEKNKIRPRGQRD -SSYYWEIEASEVLLSTRIGSGSFGTVYKGKWHGDVAVKILKVVDPTPEQFQAFRNEVAVLRKTRHVNILL -FMGYMTKDNLAIVTQWCEGSSLYKHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNIIHRDMKSNNIFLH -GGLTVKIGDFGLATVKSRWSGSQQVEQPTGSILWMAPEVIRMQDSNPFSFQSDVYSYGIVLYELMTGELP -YSHINNRDQIIFMVGRGYASPDLSKLYKNCPKAMKRLVADCLKKVREERPLFPQILSSIALLQHSLPKIN -RSASEPSLHRASHTEDINSCTLTSTRLPVF - ->sp|P27966.1|RMIL_AVEVR RecName: Full=Serine/threonine-protein kinase-transforming protein Rmil -MEAVIKDLIRDQGVRGEGGSTAGLSATPPASLPGSLTNVKALQKSPGPQRERKSSSSSEDRNRMKTLGRR -DSSDDWEIPDGQITVGQRIGSGSFGTVYKGKWHGDVAVKMLNVTAPTPQQLQAFKNEVGVLRKTRHVNIL -LFMGYSTKPQLAIVTQWCEGSSLYHHLHIIETKFEMIKLIDIARQTAQGMDYLHAKSIIHRDLKSNNIFL -HEDLTVKIGDFGLATVKSRWSGSHQFEQLSGSILWMAPEVIRMQDKNPYSFQSDVYAFGIVLYELMTGQL -PYSNINNRDQIIFMVGRGYLSPDLSKVRSNCPKAMKRLMAECLKKKRDERPLFPQILASIELLARSLPKI -HRSASEPSLNRAGFQTEDFSLYACASPKTPIQAGGIGEWAVHLLKGLLLGLVVILLLVVCLPCLLQCVSS -SIRKMIDNSLGYREEYKKLQEAYKQPERRA - diff --git a/seq/clusters_seq/cluster_1373 b/seq/clusters_seq/cluster_1373 deleted file mode 100644 index d425fd6..0000000 --- a/seq/clusters_seq/cluster_1373 +++ /dev/null @@ -1,31 +0,0 @@ ->NP_613265.1 hypothetical protein CHVgp1 [Cryphonectria hypovirus 2] -MSKQSQPQWKPGTLPRNERRQKRSGMPREPCSRSWICSCGFKCSEGIRYWFDDMWHCPCCKNRHLELLRE -TEDQEFEDVFPGLWKPASQLNPSADEFKPAVKATLRSEPRFRSISDCIAETKRRNAGQSSREDGGLRAVR -MFCSRQLRYYAVDDVIAGRNGIRTQAILDAKTQRGTPESRRQECLRAPKVVVVGWYNRHLLRPALAGKTK -EQRAAAWNRIETDVLKGKPKQTGRVTVSEHPTAVSWPDSKSLKEKKSALEANAVYEPGIKPGRKNVARSI -SHALELNPANEKKRQSGNIAVAMFDYHNARKELARLENRTLRSEMTRSTNWIWSHSPSSIQDALNLTAIK -DKMAFTRLHGYVMANVAEGFEEFKTRLRTVRSSIGKLGGESIREGHMPFFNPPSFKDANKRLASKKTSFK -SHDIKAGARHKVHKILIN - ->NP_041090.1 hypothetical protein [Cryphonectria hypovirus 1] -MAQLRKPSQSLVLSESVDPTTVDPFVSVRTEEVVPAGCITLWEYRDSCGDVPGPLSHGDLRRLRTPDGVC -KCQVHFELPTVLKSGSTGTVPEHPAVLAAFIGRPRRCSLEQRTKELDSRFLQLVHGGLPARPSYMIARPP -RPVRGLCSSRNGSLAQFGQGYCYLSAIVDSARWRVARTTGWCVRVADYLRLLQWVGRRSFGSFQIEKSAV -DHVYHVVVDAEYQSEQDGALFYQAILGLAEKDPLARIGGRLNPLAAEFAPGSALRVEPVTPQVTRRKGST -RMTGRDPTIVSVGKVGMAITSIQDALVATELRNVNFGRRDTEAECRRLWARYEVNDYFRRHKAELLKFDA -RLRSRMAKKPASSRARPSDAKIQCIGWRDRHLLPQRLAGLSKQGRSLVWSRFATSNIRRKTPPCVVNPSA -DPVVHNWKDSAALAVKKIAEARRRQEIRAAAYAERAKARGQTNVVASISEAIETTLRRNKTRFALDGLHL -AASAIVTTRLRSWNQEEIRAGREFRKSTTSWIWRHVPSSIQDALNLTSVRDKLDPGRAFGYVQAAVAQGM -SDFRRAKRALAIVAKPVIRNIRDPYEHGFVKRDGKLRHSRDAFNKKLRTKAVAATKVHKIKF - ->sp|Q9YTU3.1|POLA_CHPVU RecName: Full=Polyprotein p69; AltName: Full=ORFA polyprotein; Contains: RecName: Full=Papain-like protease p29; Contains: RecName: Full=p40 protein -MSCLRKPSQSLVLSESVDPTTVDPFVDVRAEEVVPTGCMTLWEYRDSCGDVPGPLSHGDLRRLRTPDGVC -KCQIHFEFPTVLKSGSTGTVPEHPAVVAAFMGRPRRCSLEQRTKELDFRFLQLVHEGLPVRPSYMIARPP -RPVRGLCSSRDGSLAQFGQGYCYLSAIVDSARWRVARTTGWCVRVADYLRLLQWVGRRSFGSFQIEESTV -EHVYHVIVDTEHQSEQDGALFYQAVSDLAARDPLARIGNQLNPLAAEFCPRSARRVEPVTPQVTRRKGLA -RMPGRSPTVVSVGNVGMAITSIQDALVATELRNVNFGRRDTEAECRRLWARYEVNDYFRRHKAELLKFDA -RLRSHMAKKPVSVRTRSSDAKIQCIGWRDRHLLPQRLAGLTKQKRSLIWSRFATSNIRRKASACTMTPSV -DPMVHTWGDSAALAAKKISEARRRQEICAAAYAERAKARGQTNVVASISEAIETTLRRNGTRFALDGLHV -AASVIVTTRLRSWNQEEVLAGREFRKSTTSWIWRHMPSSIQDALNLTSVRDKLDPGRAFGYVQAAVAQGM -SDFRRAKRSLAIVAKPVIRNVRDPYDHGFVKRDGKLRHSRDVSNKKLRTKAVAATKVHKIIF - diff --git a/seq/clusters_seq/cluster_1374 b/seq/clusters_seq/cluster_1374 deleted file mode 100644 index 7a16783..0000000 --- a/seq/clusters_seq/cluster_1374 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_612621.1 25k protein [Beet necrotic yellow vein virus] -MGDILGAVYDLGHRPYLARRTVYEDRLILSTHGNVCRAINLLTHDNRTTLVYHNNTKRIRFRGLLCAYRV -PYCGFRALCRVMLCSLPRLCDIPINGSRDFVADPTRLDSSVNELLVSNGLVTHYDRVHNVPIHTDGFEVV -DFTTVFRGPGNFLLPNATNFPRSTTTDQVYMVCLVNTVNCVLRFESELVVWVHSGLYAGDVLDVDNNVIQ -APDGVDDND - ->sp|P19229.1|Y25K_BNYVF RecName: Full=RNA-3 uncharacterized 24.7 kDa protein -MGDILGAVYDLGHRPYLARRTVYEDRLILSTNGNICRAINLLTHDNRTSLVYHNNTKRIRFRGLLCAYHR -PYCGFRALCRVMLCSLPRLCDIPINGSRDFVADPTRLDSSVNELLVSTGLVIHYDRVHDVPIHTDGFEVV -DFTTVFRGPGNFLLPNATNFPRPTTTDQVYMVCLVNTVDCVLRFESELTVWIHSGLYTGDVLDVDNNVIQ -APDGVDDDD - ->sp|P19230.1|Y12K_BNYVG RecName: Full=RNA-3 uncharacterized 11.6 kDa protein -MGDILGAVYDLGHRPYLARRTVYEDRLILSTHGNICRAINLLTHDNRTTLVYHNNTKRIRFRGLLCALHG -PYCGFRALCRVMLCSLPRLCDIPIRLVDDDD - diff --git a/seq/clusters_seq/cluster_1375 b/seq/clusters_seq/cluster_1375 deleted file mode 100644 index 0cf6a0d..0000000 --- a/seq/clusters_seq/cluster_1375 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_056913.1 hypothetical protein STLV2gp10 [Simian T-lymphotropic virus 2] -MPFFSPLSRFRTEPPLWIPSLRVWRLCSSRLVSRFRWALFHPPAPACPSRHLPGAPAHLGPHRWTRCRLS -SPIPYPSAPLLPHPENLQDPQGPHSPYHSCLPQDSTRLFPIHA - ->sp|P0CK17.1|P30II_HTL1C RecName: Full=Accessory protein p30II -MALCCFAFSAPCLHLRSRRSCSSCFLRAKSAAFSSARFLRRAFSSSFLFKYSAICFSSSFSRSFFRFLFS -SARRCRSRCVSPRGGAFPPGGPRRSRPRLSSSRDSKPSSTVSSSSLSFNSSSKDNSPSTNSSTSRSSGHG -TGKHRNSPTDTKLTMLIISPLPRVWTESSFRIPSLRVWRLCTRRLVPHLWGTMFGPPTSSRPTGHLSRAS -DHLGPHRWTRYRLSSTVPYPSTPLLPHPENL - ->sp|P0C214.1|P30II_HTL1A RecName: Full=Accessory protein p30II -MALCCFAFSAPCLHLRSRRSCSSCFLLATSAAFFSARLLRRAFSSSFLFKYSAVCFSSSFSRSFFRFLFS -SARRCRSRCVSPRGGAFSPGGPRRSRPRLSSSKDSKPSSTASSSSLSFNSSSKDNSPSTNSSTSRSSGHD -TGKHRNSPADTKLTMLIISPLPRVWTESSFRIPSLRVWRLCTRRLVPHLWGTMFGPPTSSRPTGHLSRAS -DHLGPHRWTRYRLSSTVPYPSTPLLPHPENL - diff --git a/seq/clusters_seq/cluster_1376 b/seq/clusters_seq/cluster_1376 deleted file mode 100644 index 41585e8..0000000 --- a/seq/clusters_seq/cluster_1376 +++ /dev/null @@ -1,36 +0,0 @@ ->NP_056883.1 gPr73 [Mouse mammary tumor virus] -MPKHQSGSPTDSSDLLLSGKKQRPHLALRRKRRREMRKINRKVPRMNLVPIKEKTAWQHLQALISEAEEV -LKTSQTPQTSLTLFLALLSVLGPPPVTGESYWAYLPKPPILHPVGWGSTDPIRVLTNQTMYLGGSPDFHG -FRNMSGNVHFEGKSDTLPICLSFSFSTPTGCFQVDKQVFLSDTPTVDNNKPGGKGDKRRMWELWLTTLGN -SGANTKLVPIKKKLPPKYPHCQIAFKKDAFWEGDESAPPRWLPCAFPDQGVSFSPKGALGLLWDFSLPSP -SVDQSDQIKSKKNLFGNYTPPVNKEVHRWYEAGWVEPTWFWENSPKDPNDRDFTALVPHTELFRLVAASR -HLILKRPGFQEHEMIPTSACVTYPYAILLGLPQLIDIEKRGSTFHISCSSCRLTNCLDSSAYDYAAIIVK -RPPYVLLPVDIGDEPWFDDSAIQTFRYATDLIRAKRFVAAIILGISALIAIITSFAVATTALVKEMQTAT -FVNNLHRNVTLALSEQRIIDLKLEARLNALEEVVLELGQDVANLKTRMSTRCHANYDFICVTPLPYNATE -NWERTRAHLLGIWNDNEISYNIQELTNLISDMSKQHIDAVDLSGLAQSFANGVKALNPLDWTQYFIFIGV -GALLLVIVLMIFPIVFQCLAKSLDQVQSDLNVLLLKKKKGGNAAPAAEMVELPRVSYT - ->sp|Q85646.1|ENV_MMTVC RecName: Full=Envelope glycoprotein gp70; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 52; Short=gp52; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36; Flags: Precursor -MPNHQSGSPTGSSDLLLDGKKQRAHLALRRKRRREMRKINRKVRRMNLAPIKEKTAWQHLQALIFEAEEV -LKTSQTPQTSLTLFLALLSVLGPPPVSGESYWAYLPKPPILHPVGWGNTDPIRVLTNQTIYLGGSPDFHG -FRNMSGNVHFEEKSDTLPICFSFSFSTPTGCFQVDKQVFLSDTPTVDNNKPGGKGDKRRMWELWLTTLGN -SGANTKLVPIKKKLPPKYPHCQIAFKKDAFWEGDESAPPRWLPCAFPDQGVSFSPKGALGLLWDFSLPSP -SVDQSDQIKSKKDLFGNYTPPVNKEVHRWYEAGWVEPTWFWENSPKDPNDRDFTALVPHTELFRLVAASR -YLILKRPGFQEHEMIPTSACVTYPYVILLGLPQLIDIEKRGSTFHISCSSCRLTNCLDSSAYDYAAIIVK -RPPYVLLPVDIGDEPWFDDSAIQTFRYATDLIRAKRFVAAIILGISALIAIITSFAVATTALVKEMQTAT -FVNNLHRNVTLALSEQRIIDLKLEARLNALEEVVLDLGQDVANLKTRMSTRCHANYDFICVTPLPYNASE -SWERTKAHLLGIWNDNEISYNIQELTNLIGDMSKQHIDTVDLSGLAQSFANGVKALNPLDWTQYFIFIGV -GALLLVIVLMIFPIVFQCLAKSLDQVQSDLNVLLLKKKKGGNAAPAAEMVELPRVSYT - ->sp|P03374.1|ENV_MMTVG RecName: Full=Envelope glycoprotein gp70; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 52; Short=gp52; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36; Flags: Precursor -MPNHQSGSPTGSSDLLLSGKKQRPHLALRRKRRREMRKINRKVRRMNLAPIKEKTAWQHLQALISEAEEV -LKTSQTPQNSLTLFLALLSVLGPPPVTGESYWAYLPKPPILHPVGWGSTDPIRVLTNQTMYLGGSPDFHG -FRNMSGNVHFEGKSDTLPICFSFSFSTPTGCFQVDKQVFLSDTPTVDNNKPGGKGDKRRMWELWLHTLGN -SGANTKLVPIKKKLPPKYPHCQIAFKKDAFWEGDESAPPRWLPCAFPDKGVSFSPKGALGLLWDFSLPSP -SVDQSDQIKSKKDLFGNYTPPVNKEVHRWYEAGWVEPTWFWENSPKDPNDRDFTALVPHTELFRLVAASR -HLILKRPGFQEHEMIPTSACVTYPYAILLGLPQLIDIEKRGSTFHISCSSCRLTNCLDSSAYDYAAIIVK -RPPYVLLPVDIGDEPWFDDSAIQTFRYATDLIRAKRFVAAIILGISALIAIITSFAVATTALVKEMQTAT -FVNNLHRNVTLALSEQRIIDLKLEARLNALEEVVLELGQDVANLKTRMSTRCHANYDFICVTPLPYNATE -DWERTRAHLLGIWNDNEISYNIQELTNLISDMSKQHIDAVDLSGLAQSFANGVKALNPLDWTQYFIFIGV -GALLLVIVLMIFPIVFQCLAKSLDQVQSDLNVLLLKKKKGGNAAPAAEMVELPRVSYT - diff --git a/seq/clusters_seq/cluster_1377 b/seq/clusters_seq/cluster_1377 deleted file mode 100644 index 06c8447..0000000 --- a/seq/clusters_seq/cluster_1377 +++ /dev/null @@ -1,18 +0,0 @@ ->NP_041195.1 coat protein [Alfalfa mosaic virus] -MSSSQKKAGGKAGKPTKRSQNYAALRKAQLPKPPALKVPVVKPTNTILPQTGCVWQSLGTPLSLSSFNGL -GVRFLYSFLKDFAGPRILEEDLIYRMVFSITPSYAGTFCLTDDVTTEDGRAVAHGNPMQEFPHGAFHANE -KFGFELVFTAPTHAGMQNQNFKHSYAVALCLDFDAQPEGSKNPSYRFNEVWVERKAFPRAGPLRSLITVG -LLDEADDLDRH - ->sp|P03591.2|CAPSD_AMVLE RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MSSSQKKAGGKAGKPTKRSQNYAALRKAQLPKPPALKVPVVKPTNTILPQTGCVWQSLGTPLSLSSFNGL -GARFLYSFLKDFVGPRILEEDLIYRMVFSITPSHAGTFCLTDDVTTEDGRAVAHGNPMQEFPHGAFHANE -KFGFELVFTAPTHAGMQNQNFKHSYAVALCLDFDAQPEGSKNPSFRFNEVWVERKAFPRAGPLRSLITVG -LFDEADDLDRH - ->sp|P24264.2|CAPSD_AMVYS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MSSSQKKAGGKAGKPTKRSQNYAALRKARLPKPPALKVPVAKPTNTILPQTGCVWQSLGTPLSLSSFNGL -GVRFLYSFLKDFAGPRILEEDLIYRMVFSITPSHAGTFCLTDDVTTEDGRAVAHGNPMQEFPHGAFHANE -KFGFELVFTAPTHAGMQNQNFKHSYAVALCLDFDAQPEGSKNPSYRFNEVWVERKAFPRAGPLRSLITVG -LLDEADDLDRH - diff --git a/seq/clusters_seq/cluster_1378 b/seq/clusters_seq/cluster_1378 deleted file mode 100644 index 6043db9..0000000 --- a/seq/clusters_seq/cluster_1378 +++ /dev/null @@ -1,12 +0,0 @@ ->NP_040943.1 trans-regulatory splicing-like protein [Caprine arthritis encephalitis virus] -MVLMAKVYSYYSSRIIRMHSDKSCDMCMSTSCADIQNSKYPDIPTGHSHHGNKSRRRRRKSGFWRWLRGI -RQQRNKRKSDSTESLEPCLGALAELTLEGAMEKGPAEAARPSADDGNLDKWMAWRTPQK - ->sp|P33460.1|REV_CAEVC RecName: Full=Protein Rev; AltName: Full=Rev-C -MDAGARYMRLTGKENWVEVTMDGEKERKREGFTAGQQDIQNSKYPDIPTGHSHHGNKSRRRRRKSGFWRW -LRGIRQQRNKRKSDSTESLEPCLGALAELTLEGAMEKGPAEAARPSADDGNLDKWMAWRTPQK - ->sp|P31628.1|REV_CAEV RecName: Full=Protein Rev; AltName: Full=Rev-C -MDAGARQIRFTGEKNWMEVTMEEEEKGKRKGCIERQQDIQDLKYPNLPAGHSHHGNKSRRRRRQSGFWRW -LRGIRRQRDKPKGDSEKGLGSCVGALAELTLEEAMAEEPADAASPTADDGHLDKWTAWRLPQK - diff --git a/seq/clusters_seq/cluster_1379 b/seq/clusters_seq/cluster_1379 deleted file mode 100644 index 3fdc00c..0000000 --- a/seq/clusters_seq/cluster_1379 +++ /dev/null @@ -1,15 +0,0 @@ ->NP_040844.1 rev protein [Visna-maedi virus] -MASKESKPSRTTRRGMEPPLRETWNQVLQELVKRQQQEEEEQQGLVSGLQASKADQIYTGNSGDRSTGGI -GGKTKKKRGWYKWLRKLRAREKNIPSQFYPDMESNMVGMENLTLETQLEDNALYNPATHIGDMAMDGREW -MEWRESAQKEKRKGGLSGQRTNAYPGK - ->sp|P21280.1|REV_VILV RecName: Full=Protein Rev -MASKESKPSRTTWRDMEPPLRETWNQVLQELVKRQQQEEEEQQGLVSGLQASKADQIYTGNSGDRSTGGI -GGKTKKKRGWYKWLRKLRAREKNIPSQFYPDMESNMVGMENLTLETQLEDNALYNPATHIGDMAMDGREW -MEWRESAQKEKRKGGLSGQRTNAYPGK - ->sp|P16903.1|REV_OMVVS RecName: Full=Protein Rev -MASSKNMPSRITQKSMEPPLRETWQQVVQEMVMRKQRDEEEKQNLVTGLQASSGDPIYTGNSSDRSTRGP -GGKTKRRKGWFQWLRKLRAREKNIPSQFYPDMEGNCAGLENLTLGEGMEENPIYDSTAATNTANMDGRNW -MEWR - diff --git a/seq/clusters_seq/cluster_138 b/seq/clusters_seq/cluster_138 deleted file mode 100644 index cb849ea..0000000 --- a/seq/clusters_seq/cluster_138 +++ /dev/null @@ -1,1466 +0,0 @@ ->YP_009552767.1 polyprotein [Culex Flavi-like virus] -MIATFHMWPRTSRELGLVTSXXXXXXLRRMRGXEKRVXXLEARRKTRGGVGGMAVMTLFIATVTAFTLDY -TQTRWGPNLIGPQYNYTSDIHSFKLPTDMCQRGMLVEKKCVKTDDLKTIDGIDCASTRFEFRVRYRRCVP -KTRTRREKVTETVRDDVRSNLHDFEAAASQWLKAHTFTVMIFIVCVGVFMKWPAWIVAVLLMGCWSAVIA -EHAEPFLTIDGKETSLIKVQLYPGEETTIMTKAGLIGLSTSAFTISNGQYIKTLHNDCQVNATYSTDCCP -MGCDIDMDKLSKPGRVCVERNVQRGWSSKCLEFGLGIVATCVEISCNTDTNVYSFAERHVRTNITGRFHS -ESINATLSPSTQHSLTFGNLGYLTISCSLGMTLIADTYVLEKDDTRALFPKGVIDVWSGMYSVGVEMQGG -QSAVLWGQVLPNEVLVRSIIEPTISWETGIDITRGIHQGMTMSCDVVVSSLVSGSGQDCSGIANVKFHQD -QIGRSGRLIIMLSNATSYDCIVAVESSAASFPASKAFFGKGTSNSSIGMTCFESQAXITAGKKXFTVKCR -QSIIMSFWDTSVHATQRYTKYGVDGIKHTLLDLTGLSNFAWGRWWLEALILIIGCICFLDKRAIFVVVVV -LYFGSVKADYGCGIDTQRKTFSCGEGLFVWRSLWSWPSADESVEIDNYGIFEGYVKEQFKSHNKVCIVCE -DVLQCAAAKSAVEAYVYQHDEVKGNYSLANDRYFPHVAKNITRIRIGDVEAQLSIMEQAGEVDPSILVKN -ENVTDKVIRVITSGANATGLCSKAVAFQYDFTGFRRRLYGSSLGVAITATTKKTCPMYMAGLVVKNNETI -YTDGSFWMRSIHNETYSIRELSMTQSHRCIWPMRFTAEPTIPTDNTLFVPPVWGAPISAANHIPGYHTQT -GFPWHKYPIELVWGEVPGTTVKITNHCQGRGEATKVDAKAFPNWCAHKEVKILYFKVAEEFYYPMEIRAY -TGAGGEQKVEEEHIEEVKSDQINENLIELGKQTSTYAPPSAANAVPPLPITDRGLGHHGMCDEIGRTLML -SIVFTALTAKSRGKWKMRTILTFLSFAITGWPTATSYRTWAWLVLSQAVAGHSVYTQWMVHLWVAVLNGS -GSFFYIAMLWRRRIPTTLPTKVLILVMQWAHAKIHRMMDSLGEHLELALMATTIAIILXSGIRFGVHDLV -ISCVLLALSWKTALTLAMLSSLLLVCLLGYARYNSVAKNWHSGLRGATSLRDLLELLILFFKELCTCGAR -YTRWLRRQAPEETFESWKQIGRRGWMLERSYKRLTRSFADGLSNLSNWRWSALVCILIIIVVWGFEQAGQ -PRLGVSLVVVICLWYFFTSATSGRSLELRAISGETCEPVAVNHFDXPEHLEATRGIDGVKLHGVTDTDDI -SLNMWVLAGLIGMSVVNWVLALVLSIWYILSGTRVTLPQILKSVFRWNLRTTDFFPMDSTKDEEQLTTHP -EFDYLPQGQYYIYNNTRFGSKIIGSGYAQGNVFHTLHHITHGDPIKWRGRYVHASGGSVYRDTICYGGAW -NIGLTDSTTYTVKACLPNDTVEIYTFERVDLEVDNEKVPIIPKDFGFGSSGSPIFSDSGSVVGLYGYGFY -YNQQYYSLITTDVVEEKQEPPQALAGESRIFIDWHPGKGKTRRVIVQEVEKARDESKRVLVLAPTRVVKN -EIIAAIRDQVPGVRVGESIPGRITVVTVACHATFTKHMYTCGERSIKYGTIIMDECHFLDPMSIAARGIM -EKFHERSIRIVYMSATIPGRAPARGSNWHIEDVAVDFPAKRMTEEFINQQGEGRTVVFLPTKKECDRLKT -LIPNSVSIHRDSFEQDAAKVLDEDVRVILTTDISEMGANYNVDVVIDNCLTIKPLYLTENLVELKLVGAP -ISSQTQRRGRTGRRRPGKYVYPTRATIDSKEADWVCWREAQMILDQIDCRAMPEESEYFQTPGTFKLQED -QQKMFFSMLDNTAVPVWLAWNWAYHRDNLDDILFKGTVDGGFRVNLRQGNQVYKPKYVDNRFEDEPTATK -QATLAKLMNTRSLITVAXXXKAIYHYFASGAASAKFHEVLESAYVAFRVGEDSVPAVKVEKMLTVYFAFA -IGFGLATALVCCCSLFCRRGKKRSTADLFDQAPGTSKLDPIYMGTALYFGIPFGMLFAVWAAFATIRTVI -GNNVTRSTEVLSISKWIVATALGVCTLIAWENEMFPRIKADIVSVLSLTTGGALPQPAYEAASWRQETWC -FEFLLVTYFIVVSSNQIYLMLRENRKVGDYIADLKAHAVGGVAISGLPYYAFLPALPAVVYGTSNVAKVT -GSLAAFFIVSLFWQEHWYQLGGKILQGIAAERQKRDADPILIRDSADKRRWLFAGLMAGSACLWAYLSLN -LESTITAVLVTAHSLSEWLHPGARWHEHFELGHILLLVGVVQAQFSTLYVGCFVVRVLCNMIVLEKRGGQ -RASYKTDTGGAGFRWKRTLNSLTLEEFKTYKTRGVNETERGDYVSRGGLKMTELIEKHGITPNGVAVDLG -CGRGGWTQRMVADPRVTRVEAFTLGGAERENPQKFLTTGYNLAVFKSGVNVYNLPPKPVNTVVCDIGESD -AKPEVERSRTLKVLNMFEMWLEQNPQAVFACKVLAPYHTEVLRKLEGLQHKYNGRVVRLTLSRNSTAEMY -YVSGHRTNIAGNVXATVATLSRRFKMDDKPYQLEPPTLKQGTRKDPTSKIKNINERAVQRRINRIKDENL -KTWFVDENNPYHSFKYYGSYVTDARNPGGQTVNPMVKRTMWPWDEHHKTTAFMMTDVSTFAQQKVLREKV -DTFTPEPPEKIIRLNRLIMMYFVKMFKRRGLKPRILGPHDYANNVQSKASIGAWSREIPWKDVNSALADA -KFWDMVDRERELHLRGDCEMCVYNTMGKKEKKPTVAGMAKGSRTIWFMWLGSRYLEFEALGFLNADHWVS -RENFPCGVGGVGVNYFGYYLEEIARHGTYLIADDVAGWDTKITQSDLDDEEWFVLKLIKDPYHAKLVKAL -YANAYRHIVALFPRDHPRFGSGTVIDVVVRTDQRGSGQVTTYAMNTITNGKTLVGRAIEADGMLDASADE -IWXWLDRNCEKFFAGLVVAGDDAVVATNNSKFINSLSYINTAGKIRKDIGIDSPSRFSTNWEEVEFCSHH -FHKLMLKDGRSLIVPCRDQHEVIGRSRIQKGGVVSLAASACLAKAYAQMWALYFFHRRDLRMGFAGISAS -VPKDWYPTGRTSWSVHQNNEWMTTTDMLVVWNSVWILNNPWMEDKTGVYEWSDIPYLHKKQDIKCGSLIG -TAERVSWAKNLPETVRRTRKVLEFENGPQEFPDALNILQRYQPELLGLF - ->YP_009551951.1 polyprotein [Lumpfish flavivirus] -MSYRGIMRLAGTPFRVARRVTQGFGGTKRAIHHARQSAGRGLRHVQRGRGKIQQTFEILLKRAAVILRRI -WNKPPQIPKNINGVRRLRKMITNLNSVQQAIKGPTKRKKKSSGMGTAGLLTLLMIFFATQVIPGGTSTSP -TPSPTTPTDISPMVIACVRKDKTSSMDLLLVNEEGLFAKMDNTKDPELFIRNNQTYGIDLTECSTGFQPD -TNYSSPELKYDEHDCALIRAGVLPTAADTCFGTTSLRQRHIHSTLYQDLSRKTRNRRDLSRDTIQKYEIH -TNQPSEGWMKTLSTWETKVLSNTDITIALLALVMAYFKVPTVHLLLIILFSKVYLGVADSTSSTTEMSGK -TLCSDPEEMSTMTGVAHTSVSLRMDYKHCYQIQPVGMDTITARMSYPYIDNALTGYKISWLDISSNSVSS -DRCPGDGEPACPKSGPYSICARGYHDRGWTTGCFLFGQGPVCTCAELALKHPIQVYTIDPTKMGARLTVS -FGRTENQNYYNMDTNTGSFDITDKADSSSRVRVTCQLPHAELATKYLIKMAPNDGESYLVDRLAFESMKL -PWSVWTTSIPTSLSKIEDGNVHDAETYITWRDTTLHYVRFSEAHNVESMVKNFLDKNGKIIIAEMAKGSG -RASPGTKMPLDISPTDCSFMLNSLKIHTTSVKDCADTTNYAFKLIEGSRILTTHDGVVTFNLDMAVPSQC -RLVVKGRSRSQVGSGYTSNYCTLFDQAHIVTSTKEVLKMHCPPGEHEIKLGNNKHQVNVPQMGITGWLSR -WTTNVLEVKDRITIDGAVKRPVLDMFKNFFAKGAGFLGSLFGMTSTIMMAVTGGAIVWLGTLMTGNMKNL -TVIIGLILMAPLVITEVEAEKYGCVADTDEWSMECGSIKDVMFDSSIKLYVPQLGDSYNIDLDLMDGLTI -LRMPNREQIQKAAKALGSVLHKVYTDEGEECATDGTDHDVNDTTSWDQTSRKLKDDGKQGALITCHNSEK -HCKNCREIFLEVNEVDDVDGWMYDTKELETTTSTPPVYYKGEERPESYLKDLTVDCRRAGKHYKCSAHGV -TWFGTIHTDEEKEYCLTHKVEGTVTQIFGAKRRSWRSCGISCSSLCERVNTTTKGHIWPKRFGGPECNFN -CWPITKKQNEEDEKKEMSAAENAYRGGIILRKEASERLLKRSLYKDRALTFNSTWCKQKKCNTTVIKAND -GDEEIDEGFTPVDKEEEHDHDEDFISGKTSLATAMQENGHNQATIDAATGFYKMQRATGTKAVNQLINEL -VKASGGERTASNDNFINSPATLKSEMELLSYSPTRIEKALRSYKKGTEAKGTKQIRNLIRELLTDGEQPL -TNGASELTTTATVVLGFPLASFAFEPATEAFEWLLKTFLTLTTLIFNHGFRVRPVGAKTQITIFATALLH -HLTPLSMEPISQFGWYAVQTAIDSPTRFIISLLITLEVILIFMFPKPIYNMTRWYWSSYKKESIMQMVAG -GAICLALAQAPKNLSYILFPVAALYILMRRGATVRTRHLTSQLLVPIDKDDDPPTGVGTITLKEGKLQYD -MSSLHDHRVVTDSTRVFVVVLIAIFGLLDYRAGIAALILAFGYFKNAKADLFDTFNIAGPERVEKDTFSG -PEGIYEVYNRTPLGDEHLGYGYNKNNIFHTMYHITKGLPLEFEDEVTTPYDYSDELDWITYGGPWAFAKR -EGRDLFVYLHREHRLRPLKISSEDDKVSLGTTRTIAFGDSGSPVLARDADGTLTPVSLAGHTVPLQDPTY -EAMILPTPTTGMDLSKFQRKFDTRGPGWNPIVLRCGAGKTRHVLRRAVVRSTETGRNCLLLAPTRTVAGE -MYEALKDLDVGIDITGKVERRNKRNLIMCHSTAVNRLLHHSLNIHNYKNVFVDEAHMQDPMTIALLGFLE -ERTRDTSNDIQVFPMTATWWDHYETETNFPVIDTKLNDEKMIVDAIKDSLSKNRRSITFVPTIKKAEELY -TSVGEGERIILNRYTYRSEISKIKTDKDKPIAIFATNIAEVGLNANLDDVFDLQQQIVFKESVAGVITRE -TSGASKASTTQRRGRVGRVRSGTYQYLDQAATHNIMHDSDIIRKEAAIVLKMLGVDSESTRFCQLPQIPP -ISLSKKKGIMRLTNDNDNITIYLAHYVTDDSGIEVDWTTGVHPCSCNDCKTANNKFMIVDPRSHSYMMHK -QNGEPLIKGDTRIYELRGSSTITGSILRYIKASANPTSRLMMSVFAVEVDWTWADPYVTSFNQGYIAIIK -QLPIWIGVHLKIERVAAAILWLLIWKIITYIFGGRSNDSKVVINNGNMSSLPGLAILATGIALHFVDKFN -SGHLPVALVSVGCIYILGVLYYSQRQVSYKTTASEAAFYLFAVFATLVGLFIYILRSHRDVFDDFTSPRK -RYYHTEEDKKEHEPITEAPPLSISKGFTFFLGLVPLINLLAETMTQSKGLQNMNVNTLRHSLSGGAEVKP -PVLITIFTVFAMFQGSSLTDCIVTLCLSVLYYLFYFGHSAHVNTKSAVTASPGNTTGDSLGFSNAPLKED -GVIKVFTGLVLLTDALLRQEMTVALALNAFFTLIPLFLSKGEEPSYAFYMIIQAGLEQELLLVIGSVATA -LFCRSISRTRKTGGAVLSMSFNSVVGRHKNLEPGFQDYKTRMNGLGKNTFYNLRKHMVPVHDKGTVCSRG -YHKMNELLRYHDLEISGKILELGCGSGGFTQRMVLEKKVDRIDAISWGPDKKDHNLFELFKKSTPGHGKV -RYSVGEAFTNRDFENYDWVVMDIGEQSPDMARERTRDLWRLDWITKKVIPHAKVIMKILSPTDIDVLRAI -PPGYRIVRLYHSWNSNFEMYMIPGDPSDNITRMNQLLKNLNIRLQQTLEGEHVELGAEQVKLPSVVEKIG -TNLGREYEDWCLAERLEQLPALTQVERPKLDHFQYLASFFTEARKLPGTCSNPIIMTFWNGLTKLIPGAG -GFTMTDTTHDGSYKMFQKKMDNPPSTDQTYIPELHRVFDKMSDFLFKRNKPRRLTREEIIQIVRNDAAVG -NIHPDIIWPTALEALESESFWKVVEEETHLHSQGKCRYGIFNTMGKREKKDTDGERSGSRIICYLPLVER -VIEMDVLGFLNKDHIAGPESLPCGVSGVSPYHYPDIFSKKAGLDPETGDMTKAVIQDDTAAWDTRVRKDV -LHMERDFILKHTTDPYHVNLIKTQYKIYGEPILTITRPFTVDRSVVDVLQGYGQRCSGTVVTYAMNTITN -ACVQTLRFWEAMDVDLEEVLNDMPHILNSMMISGDDMLFMVEPWLAKKLSSSLKVINSLGFPRKGLTTWQ -ESPIITQFDKVYFCSHKFTIARVAGERRAFLDKDLFEIIGKTQLVLGGLHSPHEIAGHAKSVAIYMFVTF -FHNRAVRKVALAILSALPDELVPMGNVANPFFLDHSWISNEDLITIFNNVHGTHARSYTDIGYTYKTLAK -IRGDPLDHEERTTWNKDLKRKIESISTHYNSGITNGSFWHEQLRTNVSYHSLF - ->YP_009553010.1 polyprotein [Culex theileri flavivirus] -MHLVLVLHALFASFDRRIRTLARRVSALEVRRSANNPLYMTIVLSFLMVLCGSVIIDMQVSTAKGIQIFE -GKVNRTEHLHLFKLPADGCWNGILVSKKCPRVDNLSKDLESVDCGSTWIEFTLRYHRCLPKKRVKRAPEK -PKTDLLAEVEMVTFKTIRENKTIFVIGLLCVAIAKRWPMWIVILLAVGTWTTVKGEFVEPLYTLKAEKMT -MLQTIIRPEEGYVIATPNGLLEFKTGAAEIYGGQWLRELLADCYVNATYSTDVCPGGSQLNMAEIMAKER -VCTTQPYNRGWGTGCFKWGIGFVGTCVELHCEKGYNVSSIARSAIVMNVTASFHSVSNTQQMTGDIPVTF -RFAKLGNAAMTCRLESEQLLLDYYHVSGDVHEGLFLRSQIDSWPGVHSTAGGRFGLEKVVVWGDTKSNEV -LVKNIVEPHLTWDDAIATHDGFRDISFACQIMLDKLVSGVFKDCQGLKSSMFVQDGFGYSGVVITTLTHA -TNESCSVSLVCHGCYLQSTKLVFSPGSTSARAFVGCGNHSGTLVVGNGILPINCVMNPISQGWRLAKHVM -DKYKRFGVPGVAGVWQDFFGKFSLGALFSNTTILIIIAVAFIVDKRVAFLLVLLGYLYFARADVGCGFDT -ERKIVSCGSGGFVWKNIAQWPTGDHSVELEDLEVVSAMITEQLRRTSKVCLICEDVLQCAAARSIAHSIT -KVDNDLVYTNDTMSHGRTFPRLRKAVHGVKIGDVTMQLALAAVNGSLDAEQFGTLDSGFFTYTKVNETDS -HKVVRVVTSGSPYKHVCAQAFALQYGFVRFTRKVYGSNIVVKPVEQPSEICPTYLAGSYVKNDIAAYTDG -MMWMRSRKVNGTWELFELELTQSHQCIWPREYTFDATAFNDSSLFMPAVYGAPMSRANHIPGYKTQTEFP -WYKADIQLHSGPVPGTEVEASASCDNRGSAVKVEPTVAKKWCCKTCLRNGREPIHLKVDGAYFYPMEIRP -VTSHSTLIIEKGGQTIDDMDMMFNNTVSAIPGTGAFPDFQNSLPVEGMSPLLVGVFLHFLTLRTRNRWMQ -RTCGTWLLFLVCGIPSDGWRGWSWIGLSYSLAAVPHGTTLLVHFWLALQYSASHLFFLGWVIRRRVESSH -EYALTVFAAEWLLSRVQELLPSTSLLDHMVFPIYVTLALNMKSQFVPVDTIVLLNYVVAHPLTALMTVVV -GALATFIIHVYRNWKCSPNLWRSGLRASKSSILLGLYYVILYVLCAFLEGIGMPRTAQVVFLGGLLLGIV -TRLAPPMRLDLVPVHGNDVPRDCEEQPTRLPPGLEGSYGADGVEFANLTDVGSITMGILIYVGCLGVMVL -NTPLGVVLMSVCWWTKAPLWLPRYLVGATAYRAGVNDLLLAPPVYADEVDVETDFGHIPDGTYQVVGTTL -CMKFHVGAGQVKDGVFNTLWHVTSGGTVHWQGRAVRAHSADVYKDMVSYGGPWNIADSQEEAVVVRVVQR -DGSVSCEKLSTALVTVNGNPVRVVGKDFGRGSSGSPIHALDGRVVGLYGYGFYVGWNYFSLVTSGSVTEN -AVEEEDRSTRKFVDWHPGRGKTRKVLVEEAKTHIANAKRLLILTPTRVVKDEVILAINEACPGVVIGSNL -AMYRKNAVTVVCHATLTQYVMEKGVDSIKFSTIIMDECHFLDPLSIACRGIMDHHNTKGVNITFMSATPP -GMPGNNNSNREIEDVAIAFPRELTASWIRKHADGKTIVFVATRHQAMSLARDLKGVALTRETFDTAIAKA -RRPETEFIVSTDISEMGANLGVRTVIDTRVAVKPVMSEGCVMLEKVGITQSTAIQRRGRTGRREEGKYIY -PLGVELEYTPNNWACWSEAQMVLDQMMCGPMREEAEYFQPIGHYLLEQKNRLRFVELVKKDIPVWLAWHW -AKSFDHRHTILFQGTEKTKLKVRTEAGDHYYAPRFHDDRFEKCQELEKRTKLSIFLKQRSGANFDALGVI -HGLYIAITSINLDMLSASFRGAVENLHVIASVDDPYVSNVTKVQSIQAWVTVTMGVALGVLVILLFIAVV -KFTCWCFGGKKAPFEHVTSQPFVSYASGGLSQLGSIALAVGPMCAVVVGIPPVFVFVAVVGLFVIVCCNS -SNVHRAYTGDTVTITVIAVCVCIMGVVAWELNLLPNVRRDLTTLIQNMRVEPATPAPPVATLPNPLELLQ -ITSLPGALTVSLAVAGIGGVISNFITDNTFLRGLFSNEAHSASVIGGGQIALIAWETMVPVAFAAFFATT -FVTKIYGGMIGVVYLVLAHFDRKYALAVKAVKVLIARTSKKDVDDDISGSSGVSWARPTFYMLQIAVSIL -WTLTAPSLVHAGVTIAICIFTFLMFRRPQHHLLTLFDYASILFIMMIVLEAGQVIFVGPSLLFWYVVHPD -RGGLRSLVKTDACGLGYRWKEILNSLDKPSFDQYRVRGVNETDKGDYVSRGGLKMDEVLRKYQWEPKGRV -VDLGCGRGGWTQRLVMDTRVNSVVGYTLGGNNRENPQPFRTKGHNLAVLKAGVDVYREQPQDCNTIICDI -GESDARPDVERTRTLKVLDLLERWLSHNPHAAFCCKVLSPYHIEVLRKLESLQHNYEGRLVRLSYSRNSS -AEMYYISGTRANIVGTVYQVLGALIGRFRRNDPVVRETPPRLEVGTRSDPRSKVKGQDPTLVARRVGRLQ -RENGRSWFFDREHPYQSFNYHGSFATDDISPGGQTVNPLIRKIMWPWDFLNRTTTFMMTDVSTYSQQKIL -REKVDTLTPEPDKRTQDVNRLITKHFSAMFKRRQLKPRVLSPAEYVRNVKSGAAVGGWSEDMPWNGVREA -LDDPLFWSMVDRERALHLRGDCELCVYNTMGKKEKKPSSFGEAKGSRIIWYMWLGSRFLEYEALGFLNED -HWVARENFPCGVGGVGVNYFGYYLKEIAQRGRWLVADDVAGWDTKITEADLQDELWLLLDQVQDPYHAQL -IRSVFKFCYMNMVALFPRNHPQFRSGTVFDVVSRTDQRGSGQVTTYALNTVTNGKNQVGRLLEAEGLLHA -PLEQIDAWLTLNLEQALSGMVVAGDDVVVATNNENFHTSLEYITSTSKIRKNLGPTEPSPRHTSWEQVEF -CSHHFHPLTLRDGRETKAQVTTEQEIKPPHLIKKGGIVNMSAARCLAKAHAQMWGLYYFHRRDLRLGFAA -IVSAVPSTWIPTGRVSWSIHQHAEWMTTQDMLEVWNTVWVKNNPWMDVKDPVNAWSDIPYLPKSKDIACG -SLIGEKDRAAWSKNLVSTIDTTRKIIEQESGAQKFTDGLKILGRYRVTKDPIFG - ->YP_009352228.1 polyprotein [Ochlerotatus caspius flavivirus] -MHRWRDLLPDKGKKNRSPAVRRQGGITKGVDRKIIPPSGSKERKTGTERKVKKGVARMKPNWLSFGTGNQ -RSMWRQIFSVDLMEGLLLLIALMSNLYERVQRDIADLKRRVTRLEKERSHPRKVPIMLLCGLIVVSGLSI -ELALDENGDVEMWADNQNITNYAHLIKVPADVCLAGMVITKHCPKVEKMTDLSDIDCGSTWVEFILTYTR -CMVLGRETRQKVDDKSPLTKFKEDLSTLETEAFQLLKRHAFSTILALLTLAIVLKWPIWVVVLLGFLAWN -VVKGEFVEPFLVLKHDHNTMLTTRLYPGEIAHIATPTGLLDIRVGNAGIFGGQLFRELLRDCKVNASYST -DICPGGSQLNMEAIQGPGRVCFTAPYNRGWGTGCFKWGIGAVATCVELNCSSSMGVHLLTGSSVVANVSV -GFHSTNDTKMLVPDAPTTLKFGKLGTVTMNCRLGNDRIATSYYHVTDGLATGLFLKAQIDAWSGPFRMAG -LTGGFDKIVKWGQVTPNEVKVKRISEMELDWDKAITTHDGFVNTYFWCQIAVNKLVVGTFSSCKSEAQTV -FSQSPWGFEGIAEITLKEAQKSICSLPLSCVGCSLLSSKVVFLETTTKAAIHVGCGNGTSVLTVGTTPVS -IDCVVTPLSQVWRLVSHVTGRYTKLGFGGIGGVIHDILQACGWVFTWDSWKVILILGGLVALFIVFDRKT -VLVVIIASAVVYVKADVGCGIDFDRKTYTCGRGLFIWKGIGTYPASDHSVEFESYDFLAAYLKEQFKAER -KVCIVCEDLVQCEAARKAASAVYRDLGHPFVYVNVSSSYGRSFAEVPKRVHTVSVGVDVVEMAMMVSNNK -PSGPFGDLPTSIVSWSTAPENEDHLVLRVVTSSTPYRQVCGKAIGFQYDFVGFRRAVYGSNVQLKISKKV -SIECPTYLAGIAVKNDRTVFTDGMFWMSSRKANDSYAIDELEMEQSHRCVWPNQYTPDMVQDPRDNDLFV -PPEWGGPRSKANHIPGYKMQISFPWDKAPIKLVDGSVPGTIVTQISKCEGRGIPVMVDPAVNPNWCCKSC -TRIFHFEVDGKLYYPMEIRPDVKEAKNKRSPVIEEPLGDDTAETVTDWLSKTYRVPSANAEDFLNPKLIL -TKANAMVGEVVNLLCLALAMQVVTHTWRHKSLARFHLCCLLFLLFGVPTVFGFVGIYTWMNILPISHGSA -RMCNLTIHLWAVLQHRSSGMFLWGQTLKLQFQTSLAGQMLLLTMQMLHQAIYAHSSMLGWGIEVFLSVIV -MQNLYTVVDHIHPRLIAYCLLFGWRTGLCIGCGFLLMYLTKRWMTIVAASPSAGGWRSGYRALCSSTLTL -LFTAVGVVGVIASDYGGYPGASAAIAACLIAGIKMTDFLATRLSLEFVSTGQFPEGTTIEKEKETYDGTF -RASFTVEGIKLLDHTEPVPLVFAISYVALGAVTCKIHPGLGVIYAVAMVATNLPSLLQVYVLSICTNAFR -SDELIGTAIASVEPELSKDFGNIPDGIYRVNNHGIAFKSHRGVGIVKNGVFHTLMHITMNEPVTWQNKLV -GPYMGNSLKDYLCYGGNWQLPSFDPTDEVGIMVCKSDRSVEYKRHEVGKIDVDGVPHMYFTKDYGRGTSG -SPIFVNGEPVALYGFGFFLYNTYRSLVVPVPRADIEAGESAEGLVEENSSIVNKFFVDWHPGKGKTRKVI -VKHVLEAIRDSRRIVILAPTRVVMAEIMKALEESTHKAISKSMSHTSHNGVTVACHATFTDFILAHGLSR -FKAQEVIMDECHFLDPRSIAARGILEHLAQKRGVKVVFMSATIPGREPSIGSNYEIAEQALQFPREVTSR -WIGDVSEGKTVVFVPSHKVGDKLALGSPQSISLHRNNFNTNYSIARSDDIKYVYTTDISEMGANFNATTV -VDFRVAIKPKILNDCEVILAPTPITRSSMVQRRGRVGRQSPGRYIYPANKGTEEAANDLACWTEAQMILD -QLDLTMMAEEAPYSNHPGAYKLVGKSFQVFKKLLEDKDDVPIWLSWKWADNAEHQYAALFEGERLENVPR -MVNTREYSSIEYKPKFIDARFERLSWEQRKLAIQFYMGTRSFLPVGLFSKVLTQIIQAGVVNTAWKKIGD -VGLVFMEGGDPHSKDESIVAWTILVGGMLCVIILMICVWALRIVMRMVFGSREKHSSVPTLLADSQPYLV -CLVPVATHLAGVPVPVTIVVFVILFLTFPLIYRSAGQRSYVDIDLVKWILVGGGFIIGVICWELRLLPNI -TSDLQSIAHKRTRTDSSGFQQESDWGFSWFDFAQPVPTSVELTSVVITTFTMALFLNQIVGWSYEADWLK -SYFDHKGVGHIMGGFRLDTISWGSALSSLLGTLSYASWGAIIIGMGGAMIYFFFMVKMLKWNFTGGSTIG -LENNAMRQDRETGLNRRPFHDSRRSLLYGVVIFECALWVFCFMSVFDLVIASCVSTYCVWIIFNASSEHH -RNVDLGSVCSFVGLLYATCPSQKCVQVLIRFALSRLNITTRSLEKSATGGLGHRWKKILNAMSQDEFNAY -RLYGVDETDKGDYVSRGGLKLRELTLKYGWKPEGICVDLGCGRGGWSQHLAMDPRVTRIEAYTLGGTTRE -NPQPVKTLGHNLIRFKTGVNVYNMAPTFANTIVCDIGESDPKTEVEASRTIRVLSTLGSWLEKNPNAEFV -CKVLCPYPVEVLRLLETFQHKYGGRIVRSTFSRNSSAEMYYISGGRNNIVKVVFTTLHALIARLRTKPDK -IVREPIRLPVGTRSDPGHKVKDMNPKMIATRVEKLRNEHKDTWFIDSNHPYQSFRYVGSYVTDDINPGGQ -TVNPLMRKMMWPWEMVGGVVNFMMTDVSTYAQQKVLREKVDTLSPEPPKNIKAINRLITEFQIRSYLKRG -LRPRILTMEQYAENVKSSAAIGSWSSDVPWNNVRAALSDANFHALVDEERRLHLAGDCRMCVYNTMGKKE -KKPASMGVAKGSRTIWYMWLGSRFLEYEALGFLNEDHWVSRENLACGVGGVGVNYFGYYLQEISKKGKFF -IADDIAGWDTRINESDLADEEHLIMSMISDTRHRALATAIFKFAYQNIVALFPRNHPGFGSGTVMDVVAR -TDQRGSGQVVTYALNTITNAKIQLGRMIEAEGLLGAPESVIVKWLNDNGEDRLSAMVVAGDDVVVATNND -KFSHSLDYLNLNGKIRKDIDPSLPSRVQTNWETVEFCSHHYHLMTLRDGRRIIVPCRDQNEVIGRGRIQK -GGLVTIADSACLAKAYGQMWALYFFHRRDLRMAFMAITSSVPVDWFPEGRTSWSIHQNKEWMTTEDMLRV -WNTVWIHDNNWMEDKTEVTAWKDIPYLPKSIDIKCGSQIGSKDRATWSRELPSTVMAIRKILDHETRMEN -VYKDFLSGMGRFREDNDPMAAGVRF - ->YP_009268614.1 truncated polyprotein [Hanko virus] -MHRLRDLLPDKGKKNRSPAVRRQGGIAKGVDRKIIPSSGSKERKVGTERKAKRVVARMKPNWLSFGTGNQ -RSMWRQIFSVDLMEGLLLFIALMSNLYERVQRDIADLKRRVTRLEKERSHPRKVPIMLLCGLIVVTGLSI -ELALDENGDVEMWADNQNITNYAHLTKVPADVCIVGMVVTKHCPKVEKMTDLSDIDCGSTWVEFILTYTR -CMILERETRQKVDDKSPLTRFKEDLSTLETEAFQMLKRHAFSTILALITLAIVLKWPIWVVVLLGFLAWN -VVKGEFVEPFLVLKHDHNTMLTTRLYPGEIAHVATPTGLLDIRVGNAGIFGSQLFRELLRDCKVNASYST -DICPGGSQLDMEAIQGPGRVCFTAPYNRGWGTGCFKWGIGAVATCVELNCSSSMGVHLLTNSAIVANVSV -GFHSTNDTKMLVPDAPTTLKFGKLGTVTMNCRLGNDRIATSYYHVTDGLSTGLFLKAQIDAWSGPFRMAG -LTGGFDKIVKWGQVTPNEIKVKRISEMELDWDNAITTHDGFVNTYFWCQIAVNKLVVGTFASCKSEAQTV -FSQSPWGFEGIAEITLKEAQKSICSLPLSCVGCSLLSTKVVFLETTTKAAVHVGCGNGTSVLTVGTTPVS -IDCVVTPLSQVWRLVSHVTGRYTKLGFGGVGGVFHDLLQACGWVFTWDSWKVILILGGLVVLFIVFDRKI -VLVVMIASAVVYVKADVGCGIDFDRKTYTCGRGLFIWKGIGTYPTSDHSVEFESYDFLAAYLKEQFKAER -KVCIVCEDLVQCEAARKAASAIYRDLGHPFVYVNVSSSYGKSFAEVPKRVHTVSVGVDVVEMAMMVSNNK -PSGPFGELPTSVVSWSTAPETEDHLVLRVVTSSTPYRQVCGKAIGFQYDFVGFRRAVYGSNVQLKISKKV -SIECPTYLAGMAVKNDRTVFTDGMFWMSSRKDNDSYAIDELEMEQSHRCVWPNQYTPDMVQDPRDNDLFV -PPEWGGPRSKANHIPGYKMQISFPWDKAPIKLVDGSVPGTIVTQISKCEGRGIPVMVDPAVNPNWCCKSC -TRIFHFEVDGKLYYPMEIRPDVKEAKNKRSPVIEEPLGDDTAETVTDWLSKTYRVPSTNAEDFSEPQIDF -DEGKRNGGGSGKPSLFSVGYASRDSHMETQILGSFSLVLLVVSTVWCAHRLWLRRDLYMDEYSSDQPWFS -PDVQPDYTSVGCTATPELKHVSVGTDTEIAISDILGWTDATAYHANAASGHLRALINVRMGYRSVLISYC -YAELVHRGRPYSPEIDRVLSAIRMEDGTVHRMRFLVDILDEEMDDYRSSVTERGRVEVWISSLVFVHPDS -FIYRCRRCGSHRIGLRWISGCVCGHRSLLNSRNQDD - ->YP_009259489.1 flavivirus polyprotein [Hanko virus] -MHRLRDLLPDKGKKNRSPAVRRQGGIAKGVDRKIIPSSGSKERKVGTERKAKRVVARMKPNWLSFGTGNQ -RSMWRQIFSVDLMEGLLLFIALMSNLYERVQRDIADLKRRVTRLEKERSHPRKVPIMLLCGLIVVTGLSI -ELALDENGDVEMWADNQNITNYAHLTKVPADVCIVGMVVTKHCPKVEKMTDLSDIDCGSTWVEFILTYTR -CMILERETRQKVDDKSPLTRFKEDLSTLETEAFQMLKRHAFSTILALITLAIVLKWPIWVVVLLGFLAWN -VVKGEFVEPFLVLKHDHNTMLTTRLYPGEIAHVATPTGLLDIRVGNAGIFGSQLFRELLRDCKVNASYST -DICPGGSQLDMEAIQGPGRVCFTAPYNRGWGTGCFKWGIGAVATCVELNCSSSMGVHLLTNSAIVANVSV -GFHSTNDTKMLVPDAPTTLKFGKLGTVTMNCRLGNDRIATSYYHVTDGLSTGLFLKAQIDAWSGPFRMAG -LTGGFDKIVKWGQVTPNEIKVKRISEMELDWDNAITTHDGFVNTYFWCQIAVNKLVVGTFASCKSEAQTV -FSQSPWGFEGIAEITLKEAQKSICSLPLSCVGCSLLSTKVVFLETTTKAAVHVGCGNGTSVLTVGTTPVS -IDCVVTPLSQVWRLVSHVTGRYTKLGFGGVGGVFHDLLQACGWVFTWDSWKVILILGGLVVLFIVFDRKI -VLVVMIASAVVYVKADVGCGIDFDRKTYTCGRGLFIWKGIGTYPTSDHSVEFESYDFLAAYLKEQFKAER -KVCIVCEDLVQCEAARKAASAIYRDLGHPFVYVNVSSSYGKSFAEVPKRVHTVSVGVDVVEMAMMVSNNK -PSGPFGELPTSVVSWSTAPETEDHLVLRVVTSSTPYRQVCGKAIGFQYDFVGFRRAVYGSNVQLKISKKV -SIECPTYLAGMAVKNDRTVFTDGMFWMSSRKDNDSYAIDELEMEQSHRCVWPNQYTPDMVQDPRDNDLFV -PPEWGGPRSKANHIPGYKMQISFPWDKAPIKLVDGSVPGTIVTQISKCEGRGIPVMVDPAVNPNWCCKSC -TRIFHFEVDGKLYYPMEIRPDVKEAKNKRSPVIEEPLGDDTAETVTDWLSKTYRVPSTNAEDFLNPKLIL -TKANAMVGEVVNLLCLALAMQVVTHTWKHKSLARFHLCCLLFLLFGVPTVFGFVGIYTWMNILPISHGSA -RMCNLTIHLWAVLQHRSSSMFLWGQTLRSQFQTSLAGQMLLLTMQMLHQAIYAHSSMLGWGIEVFLSVIV -MQNLYTVVDHIHPRLIAYCLLFGWRTGLCIGCGFLLTFLMKRWMTIVAASPSAGGWRSGYRALCSSTLTL -LFTAVGVAGVIASDYGGYPGASAAIAACLIAGIKMTDFLATRLSLEFVSTGQFPEGTTIEKEKETYDGTF -RASFTVEGIKLLDHTEPVPLVFAISYVALGAVTCKIHPGLGVIYAVAMVATNLPSLLQVYVLSICTNAFR -SDELIGTAIASVEPELSKDFGSIPDGIYRVNNHGIAFKSHRGVGIVKNGVFHTLMHITMNEPVTWQNKLV -GPYMGNSLKDYLCYGGNWQLPSFDPTDEVGIMVCKSDRSVEYKRHEVGKIDVDGVPHMYFTKDYGRGTSG -SPIFVNGEPVALYGFGFFLYNTYRSLVVPVPRADIEAGESAEGLVEENSSIVNKFFVDWHPGKGKTRKVI -VKHVLEAIKDSRRILVLAPTRVVMAEIMKALEESTHKAISKSMSHTSHNGVTVACHATFTDFILAHGLSR -FKAHEVIMDECHFLDPRSIAARGILEHLAQKRGVKVVFMSATIPGREPSIGSNYEIAEQALQFPREVTSK -WIGDVSEGKTVVFVPSHKVGDKLALGSPHSISLHRNNFNTNYSIARSDDIKYVYTTDISEMGANFNATTV -VDFRVAIKPKILNECEVTLAPTPITRSSMVQRRGRVGRQHPGKYIYPANKGTEEAANDLACWTEAQMILD -QLDLTMMAEEAPYSNHPGAYKLVGKSFQVFKKLLEDKDDIPIWLSWKWADNTEHQYAALFEGERLENVPR -MVNTREYASIEYKPKFVDARFERLSWEQRKLAIQFYMGTRSFLSVGLFYKVLSQIIQAGVVNTAWKKIGD -VGLVFMEGGDPHSKDESIVAWTILIGGMLCVIVLMICVWGLRIVMRMVFGSREKHSSVPTLLADSQPYLV -CLVPVATHLAGVPVPVTIVVFVILFLTFPLIYRSAGQRSYVDIDLVKWILVGGGFIIGVICWELRLLPNI -TSDLQSISHGRTRTDSSGSRQESDWGFSWMDFAQPVPTSVELTSVVITTFTMALFLNQIVGWSYEADWLK -SYFDHKGVGHIMGGFRLDTISWGSALSSLLGTLSYASWGAIIIGMGGATIYFFFMVKMLKWNFTGGSTIG -LENNTMRQDRETGLNRRPFHDNRRSLLYGVVIFECALWVFCFMSVFDLVIASCVSTYCVWIIFNASSEHH -RNVDLGSVCSFVGLLYATCPSQKCVQVLIRFALSRLNITTRSLEKSATGGLGHRWKKILNAMSQDDFNSY -RLYGVDETDKGDYVSRGGLKLRELTLKYGWKPEGICVDLGCGRGGWSQHLAMDPRVTRIEAYTLGGSTRE -NPQPVKTLGHNLIRFKTGVNVYNMVPTFANTIVCDIGESDPKTEVEASRTIRVLSTLGTWLEKNPNAEFV -CKVLCPYPVEVLRLLETFQHKYGGRIVRSTFSRNSSAEMYYISGGRNNIVKVVFTTLHALIARLRTKPDK -IVKEPIRLPVGTRSDPGHKVKDMNPKMIATRVEKLRNEHKDTWFIDSNHPYQSFRYVGSYVTDDINPGGQ -TVNPLMRKMMWPWELVGGVVNFMMTDVSTYAQQKVLREKVDTLSPEPPKNIKTINRLITEFQIRSYTKRG -LRPRILTMEQYADNVKSSAAIGSWSSDVPWNNVRAALSDANFHALVDEERRLHLAGDCRMCVYNTMGKKE -KKPASMGVAKGSRTIWYMWLGSRFLEYEALGFLNEDHWVSRENLACGVGGVGVNYFGYYLQEISKKGKFF -IADDIAGWDTRINESDLADEEHLIMSMISDTRHRALATAVFKFAYQNIVALFPRNHPGFGSGTVMDVVAR -TDQRGSGQVVTYALNTITNAKIQLGRMIEAEGLLGAPESVITKWLDDNGEDRLSAMVVAGDDVVVATNND -KFSHSLDYLNLNGKIRKDIDPSLPSRVQTNWETVEFCSHHYHPMTLRDGRRIIVPCRDQNEVIGRGRIQK -GGLVTIADSACLAKAYGQMWALYFFHRRDLRMAFMAITSSVPVDWFPEGRTSWSIHQNKEWMTTEDMLRV -WNTVWIHDNNWMEDKTEVTAWKDIPYLPKSIDIKCGSQIGSKDRATWSRELPSTVMAIRRILDHETRMEN -VYKDFLSGMGRFREENDPMAAGVRF - ->YP_009259320.1 truncated polyprotein [Aedes flavivirus] -MNRMLLKKGPSGQTSGRPSTRPPDPAEKRVKRAAGNKTSKNQRPSTRGATPSSLLTSLFGRRKGGEWLRI -LQLDIGASVLWLALAVGSLGQQLWRQITMLKRRVNRLEAQRSHSPVFFVCLAVLFGLALSETLRYGTDGN -GKLTLETEDGTAIDSINYKLPADACLVGLPIEKHCPLVSKLADLDNIDCGSTTEEFRLKYNRCQVTPRKR -RSSQPRPASHTGLIEEVELLTFKFMREHMPIFVVCLLVVSVARKWPMWSVVAISVLTWNVVRATSIEPLY -TITATGTSMSHTRILSNEMYSISTTRGLIHLDIHNITVQGERPFKTLLTSCEIVESVSEDTCPGGSHLEM -AKLRRANRTCKVDAFNRGWGTGCFEWGLGQVATCVEVQCLTMVNVSVLVDSVIQATASMELHGHQDSRAV -LRDVPTVFHFGDIGTITLTCGATTDRLASQHYHVRDSSKSGLVLKEAVDAWPGVIRLGEYTSGMEKIINW -GVTTANEIKVDSVNNPQIDWKGSVIQDIRSVSFTCEMIFENVTFTSLPLCVGNLSGVFVQNGYGRDGVVS -IILEESTPQACSIPISSRGCTVVGSTVIVAAKSQSGRAYVMCGNGTGHVELADSVVPVDCLVTPVAQAWR -LMTHVAGRYSKHGMAGIGSVWEDLVRNFHFSWSWIPGGWLVPVVLIVLSIVFLGRGVTLVIVVVITSMYI -RRVAGDIGCGIDTTRRTISCGQGAFVWKQLGSGPMKDHSVELDDYAFTNLYIRDMFDGTNKPCLICEDTL -QCAALRRAAVAARIAMHPGVMYVNTTLSYNRTFVETRKRVLTVTLESLEYKVGSYVTHGRLEGDMGYLPT -SFGSHPERETDKVLRIVAARPDIRRMCGKAIAFQFTFTGFRRSLYGSNVQVAVSKHVTRHCPTYLAGVAV -KNDRTIITDGMFWMESEIVNGTQRIVVLEMLQSHRCLWPSSYTPDALLDPTDMNIFVPPAWGGPISKANH -VPGYKMQTDFPWSSPEISLHQGPVPGTQVTIDPKCDGRMQAKPVDPESNVTWCCKTCDAIIHFRVGEEFF -YPMEIQPGTMASRENPRPKIVETPLDGEEEPLMDDILGRYGKADATADFSPAEPTLRRGVRQIRFKPPVS -RNRLAAYWGPHTSDDVVTVDSYNCRDAYFWPTQHFFLGGLVGMGVVSCVIGISTYRRRHEPLDNPPDREL -GCNSTRVHGSTQTVNSFGPSPPYGLNLSPVSVLGCGTSATSLLRIPRSRSCDSADWGLSWDDSGPSTRDP -HVLLDNGMENGIGARYRCLTDARYERFLQVDAEITRVQKLLQDLWQERLVLDHFLCERGRHLGRGTGRPP -VGSGRSRPSNNHSLSIHGPSQCDHGA - ->YP_009259274.1 truncated polyprotein [Mercadeo virus] -MSSKEALKKKGISLGGGGKRGFEMKKAPQHNNSNRQLRRSLFRMDVGRALELMLASIVNAIRGLIQRVSR -LETRVGLLERKKTRSIYYSLPMFTLLSLACCLTLTFSPGKSGNQLIGGGRNYTGLMNLFKLPEDMCPRGI -HVEKRCPKVEDLSTVDGIDCASTYIEFTLAYTRCEERVRRRRDTPKATETVKDRLKENMADLEASAFTWL -KKNTFTTMVFIIVVGIALKWPLWIVALLVAACWSTVLADHTEPFMTMDGRVQTLIKTQVYPHGSVSIMTR -AGLLDIRAGAFFVSEGQGVKSLLSDCHVNASYSTDCCPMGCDIDMDALNAVGRVCVERTLQRGWASGCLE -FGMGAVATCIEVSCSRELRVSSFGEKNIKVNVTGSFHSETTNLTLIPAAVRTLSFGDLGYATISCGLGLS -DALNMYIVAGEKHKVLLPKGTIDVWTGLFKVGAVIHGADTAVLWGKTTPTEVKVKAIIDPSVAWEEGINI -EKGLSDGMFLKCDIVVDKLLVETTRNCDKVGELIFTQDQIGTSGRVQVTLSAPAGADCVVVLTCEGCSLP -AASVFFAKGTQISSTSVVCDETSAKISAGKKVVYVKCKVSRMISAWNIVLNTADRYQRHGVEGIKHSFFD -LTGGWGLHLFSGWWVQIAIVLIGLTLLVDKRVLVLLVLVGYIVYVKADYGCGVDTQRKTFTCGDGLFVFR -SLFNWPTAEEQVEIDNYGLFEGYVDNMFKKYNKVCVLCEDVLQCAAARAAAEYYVYNHAGVHYNLSLSHH -RHFPSVEKSIVRVKIGDVETQLAVREQDREVDAEQLGFLKKPLWQYGHKPENVSDKVIRVVSSGKARTKV -CQKSVAFQYDFTGFRRRLWGSSLGVSISSTMRRTCPMYLAGLVVKNNQTIYTDGSMWMRSEFNKTYTITE -LSMQQSHSCIWPPRFTAEPLDWTDKRLFVPPAWGSPLSAANHVPGYLTQTDFPWDKYPIEMIKGAVPGTT -VEMTSKCKGRGKALKVEANAFSKWCCKTCLEEGNVFHFRIGTDLYYPMEIRTYDQPESAKTEKKTVLVEE -EVVATVSQDDIQTELPKTWDAGFSQTYAEASPLKMSNQDFSAWPRRSYKPHVSVVNSAHVHHGTHETEVA -LPSCRYMVGFPFNWYAFAIGLAIMGLAHLVTRDCGTQRVHHVDVPPLDGHSHRDRSHMVSRDDVETPDVG -SAGCQMLRVDSPMGVCDNSTQTWSIWLPLGCWAHDCGRDGSIDGSREHGISRMADLVHSLGCILANRDLF -CSNHIGSDHSESVKSLLSSALIRVEKWTEECHDPMWAAAGPATQYPHAPAEWPLASTWMLVGAVTGLVTS -GERFDDSTLCSHFRNDMLGLLGETTVVLRSWVDRLDISMQIRRRIWNRYSRRLAARRSSAP - ->YP_009259258.1 truncated polyprotein [Parramatta River virus] -MSGLGGLLPLRGKKKKAPVIQSQGRVLPKSDWKGAPKQDLNKKKAKKDETKGQNWPRRINPRTGQWSAIE -GSGARLWRSIFSTDLIGGLLLLIAILSNLYEKVRRDITELKRRVTRLEKSRASLILTPMVLLCLAILAAG -VTIQVVVTTDARIELWSDRKNFTAHAHLVKVPTDVCNDGVFVTKHCPKVEKLSDLGEIDCGSSWSEFTLT -YTRCVTLERASRAEEKGKTMLGQFKEDLSTLETEAFLLFKKHAFSTILVLLVLAIVMKWPVWVVVILGIL -AWNVVKGEFVEPFLVLKHDHSTMLMTRLYPGEIAHVATPAGLVDIRVSHAQIFGGQRFRELLSDCSVNAS -YSTDICPGGSQLDLESIKGPGRVCMTAPYNRGWGTGCFKWGIGAVATCVELNCTRETKVDLLTNSAIVAN -VTVNFHSTNDTKLLVPDTPITLKFGKLGTMTMTCRLGNDRIANDFYHVTDNIASGLFQKALIDAWEGPSK -MANHISGHEKVVKWGHILPNEIKVSKIIEMELDWEKAITTHDGFSNTYFWCQVAVNKLVVGSFASCKSGA -KASFIQSSWGFDGVVEVTLDEATKTICSLPLTCTGCSLLATKVVFLEGSQRAVGHVGCGNGTSMLTVGTT -KVGIQCVVTPVSQIWNFVTHASGRYAKLGFGGVGGAFHDLLVKVGLTFTWDSWKIITVLSGLVVAFAIFD -RKLVILIIILCGIAYTRADIGCGIDFDRKTYTCGSGLFVWKGLGKYPTADHSVEFASYDFLSAYLQEQFK -SEKKVCIICEDIVQCEAARKAAAAVYKNLGHPFVYVNTSDSYGKVFAEIPKRVHTVSVGVDVVEMAMMTR -ENKPVGPFGDLPRSMVSWKSIPETEEHPVLRVLTSSSDYQKVCGKAIGFQYDFVGYRRTMYGSNVQLKIS -KKVSIECPTYLAGVAVKNDRTVFTDGMFWMSSKRENGTYAITELEMEQSHKCIWPDQYTPDATLTPRDNE -MFVPPEWGGPMSKANHIPGYKMQTGFPWNKAPIRFVEGSVPGTIVTQISHCDGRGIAAEVNPATQPNWCC -KSCTRIFHFEVDGKLYYPMEIRPDPKGGEQQKVPVVETPIGDEETETVGGWLGRMYNIPGAEGSYADFST -PQVAKFKTKRNGGEFGQSIMLDVFNSDSYQDYAGKNAYAFLSLLLGFYVLWNANFVWIKWVFGVDDDSTN -LTQLGNNVQPNRASVGCTAKPELGDVSVGPNAPIPDTEVYRWTDATLYHADVASRHLRALLGVRVGHRSM -LVSRAYDELVNRHRYGSPETDRILALLRMENGHVRSVRLVIDILNQEMELYSRRGSGRGRVEVRVSNNDV -LQFDCYLYQCRNCRSYRFGLWRLSGCGCGDCSIVDNGNQNV - ->YP_009164031.1 flavivirus polyprotein [Mercadeo virus] -MSSKEALKKKGISLGGGGKRGFEMKKAPQHNNSNRQLRRSLFRMDVGRALELMLASIVNAIRGLIQRVSR -LETRVGLLERKKTRSIYYSLPMFTLLSLACCLTLTFSPGKSGNQLIGGGRNYTGLMNLFKLPEDMCPRGI -HVEKRCPKVEDLSTVDGIDCASTYIEFTLAYTRCEERVRRRRDTPKATETVKDRLKENMADLEASAFTWL -KKNTFTTMVFIIVVGIALKWPLWIVALLVAACWSTVLADHTEPFMTMDGRVQTLIKTQVYPHGSVSIMTR -AGLLDIRAGAFFVSEGQGVKSLLSDCHVNASYSTDCCPMGCDIDMDALNAVGRVCVERTLQRGWASGCLE -FGMGAVATCIEVSCSRELRVSSFGEKNIKVNVTGSFHSETTNLTLIPAAVRTLSFGDLGYATISCGLGLS -DALNMYIVAGEKHKVLLPKGTIDVWTGLFKVGAVIHGADTAVLWGKTTPTEVKVKAIIDPSVAWEEGINI -EKGLSDGMFLKCDIVVDKLLVETTRNCDKVGELIFTQDQIGTSGRVQVTLSAPAGADCVVVLTCEGCSLP -AASVFFAKGTQISSTSVVCDETSAKISAGKKVVYVKCKVSRMISAWNIVLNTADRYQRHGVEGIKHSFFD -LTGGWGLHLFSGWWVQIAIVLIGLTLLVDKRVLVLLVLVGYIVYVKADYGCGVDTQRKTFTCGDGLFVFR -SLFNWPTAEEQVEIDNYGLFEGYVDNMFKKYNKVCVLCEDVLQCAAARAAAEYYVYNHAGVHYNLSLSHH -RHFPSVEKSIVRVKIGDVETQLAVREQDREVDAEQLGFLKKPLWQYGHKPENVSDKVIRVVSSGKARTKV -CQKSVAFQYDFTGFRRRLWGSSLGVSISSTMRRTCPMYLAGLVVKNNQTIYTDGSMWMRSEFNKTYTITE -LSMQQSHSCIWPPRFTAEPLDWTDKRLFVPPAWGSPLSAANHVPGYLTQTDFPWDKYPIEMIKGAVPGTT -VEMTSKCKGRGKALKVEANAFSKWCCKTCLEEGNVFHFRIGTDLYYPMEIRTYDQPESAKTEKKTVLVEE -EVVATVSQDDIQTELPKTWDAGFSQTYAEASPLKMSNQDFRLGRVDHISRMLVLSIALMCITARTRRKWL -FRAVGTWLAFLLIGMPLLSDWQSWGWLILSQGIAGHKGYTMWMCHLWMAIHTGTGHIWFLAMMWRRQMWA -PLDVKCFVLILQWAYAIIAHKLGQFGSLLDAGLMIAAGMGLSTAAANMGFHEWLISSTLLVASWQTAIFS -VAIILAVITVRALKVSYQAHSSAWRNGLRSATTLCGLLRALQRNTRMLLLNGPWLVLGCLLVLSRDWSLA -ANGLMTQRYVPTFAMTCLAFLARPLSCCVRGSIDWIYQCKFVAGSGIVIAAVWLLEEAQRPELAMAILVL -ATAVWFYFSMTAKVSLELVQIPGDSCTIGETKQFSPERRLTGTRGHHGVEVHSEQEKNGVIMNICVGVVL -LLVFCFNWQVGIVGALWYVFSGSNKFIPALANAVFRWNLRSEDFFLSNSFEEEQLQVHTTFDYLPQGAYY -IKSVTPFSSVICGSGFAKEGVFHTLFHVTKGNPVKWRGRYVSLSGGSVTRDTACYGGPWKLKFEESDVYT -IKACLTDGTVQFDTYERQTINIDGEEVPLIPTDYGNGSSGSPVFSRSGEAVGLYGFGFYVSGQYYSLVST -DQVLRDDEMPQILDSTSRVFIDWHPGKGKTRGVVVDEAKKAVLGNHRILVLTPTRVVKNEVIKVLKERLP -DLKVGDVIGHPMNIVTVACHATFAQHAFSDGLKKFKYSTIIMDECHFLDPMSIAARGIMESLLQRNTRLV -YMSATIPGRSPAIGNNYAIDVDHVNFPREMTSQFVMEHAGAKTVVFVPTRKDCDRLHHATPNSISLHSDS -FEENAPKAVNDDVKVIYTTDISEMGANFNVDTVIDTRTTIKPVLARINFVDLEKVGAPLSSRIQRRGRTG -RRDKGRYVIPIDDPVPSDCLKWICWTEAQMILDQVGCGPMPEEATYFNVPGSYTLPEKQRRLFLELSEKP -VTYWLAWHWVSNLHDLDGILFQGDTDGVLKIPTSHGIQRYRPKFVDRRFEDAPDDMKIASITKLLKCRSV -TVVDFVMAVQHFIVSGAFKQKFFEVLESAYIATRLGDDDIPTMKKDKMISALMAIWMGGIFAVILYLVIL -LFLSLGKYITRDKNPQVINQYDEIPKAWGTCWALLSYHMGVPFAMIFVIGGAITLIKIIAGNNTTRSIEY -VVITRFIVTGMIVILGLICWEKELTPNIKRDLTHMLATVSGGSLPISPTAKPAWNLPTWSHEFLINVYAV -VVGLNQVVITLTEHDVAKKYIADAARSAAVGGMRLSNIPFYAVVPLLPAVFYGTTLISQVVGWVTGFFVL -ALFSAEHKYNLTDKVLNNFNAEKQKREVEALIGRDQRDRRRNMFAAVMAGLAVIWAFLVQDLASLFVCIA -VCIHSLWVVLDPRNPNHPDMELGHILLFFGVIRMEQTTLYVACLLLRILLGGLEKSMRTGGGLNSINKAP -VGGMGYRWKRELNKKSLSEFNDYRSRGVNETERGDYVSRGGLKMDELITKFGWEPKGRVVDLGCGRGGWA -QRLVADRRVTKVNAYTLGGAERENPQKFTTTGYNLATFKTGVNVYAMNPQSANTIVCDIGESDPKPEVEF -SRTMKVLNLLEDWLDKNPQAAFVCKVLAPYHLDVLRKIEGLQHKFDGRLVRLSHSRNSTAEMYYISGTRQ -NPVAAVYAVLAVLCRRFTINDPEFRIDPPKLSQGTRCDPSSKVKELDEDKVSTRIRRLKDENARTWFYDE -EHPYNSFKYYGSFAADTRSGGGQTVNPMIRRVMWPWEEQKRTTSYMMTDVSTYAQQKILREKVDTLTEEP -PANIKRVNRLIMKYFVRMFKDRGLKPRILTAADYARNVQSHAAIGAWSKEIPWTSVNEALADSEFWNMVD -RERAKHLAGDCEMCIYNTMGKKEKKPTVAGLAKGSRTIWYMWLGSRFLEYEALGFLNEDHWVARENFPGG -VGGEGVNYFGYYLEEIAKKGKYLVADDVAGWDTRITQADLDDEEYFILEQITDPYHRKLVKALFMFAYKH -IVALFPRDHPRFASNTVMDVVVRTDQRGSGQVVTYSMNTITNGKTLVGRELEACGLLEASDEEIWRWLLL -YCVAFLSRSVVAGDDAVVATDNPQFMSSLKYITLAGKIRKDIDLETPSRFSTNWEEVEFCSHHYHRLHMK -DGREIIAPCRDQFEIIGRSRIQKGGVVSLGDSGCLAKAYAQMWALYFFHRRDLRLGFAAITSCVPKDWVP -TGRTTWSVHHGSEWMTTEDMLSVWNRVWIENNKWMSDKTPVCHWGEVPYLHKKQDIACGSQIGSHARSVW -SRDMESHVERVRKTVVLEKGHQEFPNALGMFARYAKPEVSLFR - ->YP_009164029.1 flavivirus polyprotein [Parramatta River virus] -MSGLGGLLPLRGKKKKAPVIQSQGRVLPKSDWKGAPKQDLNKKKAKKDETKGQNWPRRINPRTGQWSAIE -GSGARLWRSIFSTDLIGGLLLLIAILSNLYEKVRRDITELKRRVTRLEKSRASLILTPMVLLCLAILAAG -VTIQVVVTTDARIELWSDRKNFTAHAHLVKVPTDVCNDGVFVTKHCPKVEKLSDLGEIDCGSSWSEFTLT -YTRCVTLERASRAEEKGKTMLGQFKEDLSTLETEAFLLFKKHAFSTILVLLVLAIVMKWPVWVVVILGIL -AWNVVKGEFVEPFLVLKHDHSTMLMTRLYPGEIAHVATPAGLVDIRVSHAQIFGGQRFRELLSDCSVNAS -YSTDICPGGSQLDLESIKGPGRVCMTAPYNRGWGTGCFKWGIGAVATCVELNCTRETKVDLLTNSAIVAN -VTVNFHSTNDTKLLVPDTPITLKFGKLGTMTMTCRLGNDRIANDFYHVTDNIASGLFQKALIDAWEGPSK -MANHISGHEKVVKWGHILPNEIKVSKIIEMELDWEKAITTHDGFSNTYFWCQVAVNKLVVGSFASCKSGA -KASFIQSSWGFDGVVEVTLDEATKTICSLPLTCTGCSLLATKVVFLEGSQRAVGHVGCGNGTSMLTVGTT -KVGIQCVVTPVSQIWNFVTHASGRYAKLGFGGVGGAFHDLLVKVGLTFTWDSWKIITVLSGLVVAFAIFD -RKLVILIIILCGIAYTRADIGCGIDFDRKTYTCGSGLFVWKGLGKYPTADHSVEFASYDFLSAYLQEQFK -SEKKVCIICEDIVQCEAARKAAAAVYKNLGHPFVYVNTSDSYGKVFAEIPKRVHTVSVGVDVVEMAMMTR -ENKPVGPFGDLPRSMVSWKSIPETEEHPVLRVLTSSSDYQKVCGKAIGFQYDFVGYRRTMYGSNVQLKIS -KKVSIECPTYLAGVAVKNDRTVFTDGMFWMSSKRENGTYAITELEMEQSHKCIWPDQYTPDATLTPRDNE -MFVPPEWGGPMSKANHIPGYKMQTGFPWNKAPIRFVEGSVPGTIVTQISHCDGRGIAAEVNPATQPNWCC -KSCTRIFHFEVDGKLYYPMEIRPDPKGGEQQKVPVVETPIGDEETETVGGWLGRMYNIPGAEGSYADFRL -PKLPNSRPSAMVGSLVNLLCLMFSIQIVTKTMRARTLMRFYLCCLVFMFFGMPTLFGLSGFLAWMMILPI -SHNSVTMCNLTVHLWAVLLNQSSAMFLWGLTLRSQIQRSTAGQMLLFTMQMLHHAIYAHSWVFGWVIEVC -LSVGLMMNLLTVIDTVHPKLIAYLLFFGWKTGMCVVCAWLLIYSIRRWNSIVAAAPAAGGWRSGYRTMMS -SSLIVIFISVGIAGVIASDYGGYPAAAAVTAALLIMGIKMFDFLTTRLSLEFVSAGMFPEGVEKAFEPDS -VSDLFRASFTVDGIKLQDHVEPIPILFAILYIFIGAVACKVNPALGIVYAIAMFATPLPELMRLYMMTIY -SSTFRTDTLLGMAIPETEPELSQDFGPIPDGIYRVNNHGFSVKSHRGVGIVKNGVFHTLMHVTLNEPLAW -QNRLVGPSMGHSLKDYVTYGGNWQLPDFDIVNEVGIMVCGRDRSIQYKRHEVGTIMIDDKRVMYFTHDYG -HGSSGSPIFVNGEPVALYGFGFHLYNRYRSIVLPIPREEVLDGASNEGIPAEHGTMRNKFFVDWHPGKGK -TRKVIVKEVLNALNLARRIVVLAPTRVVLAEITKAIGENTSKTPSKNLAFTGHNIVTVACHATFTDYVLR -HGLSKFKAHEVIMDECHFLDPRSIAARGILEHLATKRGVKVTFMSATIPGREPSLGSNFEISEQALQFPR -DVNQRWIETVARGKTVAFVPSHRVGDKLARGCPQAISLHRNNFDTNYSTAMDESIKFIYTTDISEMGANF -SADTVIDFRVAIKPKISSEFAVTLEPTPITRSSMIQRRGRVGRQRPGTYIYPVDKGVEDASDQLACWTEA -QMLLDQLDLTMMAEEVRQSNIPGAYKLVGRSLDIFRKLLEKDDIPIWLSWKWADSVQQQYSILFEGERQE -NVARVVNTRDYASLEYKPKFVDARFERLGWDQRKLSIQFYMNTRSFITFATLSHVISQVIEAGVVNSAWK -RIGDVSIIFTEGGDPHAKDETIMAWTILVGGVLGALGFLIVAWGMKAVLRVIFGSRDKHLSVPTLVADFQ -PYIVCIVPIALHLAGVPIPMTIVFFAMLFLTYPLMYKSAGQRSYVDIDLVKWILLGGCIVTGVICWEMRL -LPNISSDISAILNRQRQREDTPTFDASPPWEWLDLAQPVPHNVELTSVVITTFTTCLFLHQIVGWSYESE -WLKSYFDHKGVGQIMGGFRLDTISWGSALSGLLGTATYASWGAILTGLGGAVVYFFLMVSMLKWNFSGGA -TTGLENNVMRNDRETGLGNRPANDNRRSLLYGVVAAECLVWLFCFRTATDAIVVACLVSYCLWIINNPAS -PHHKNTDLGSACSFIGLLYCTCPTQKCIQVLMRFALARLNMNTRSLEKSATGGLGHRWKKLLNAMTLLEF -NAYRSCGVDETEKGDYVSRGGLKLREITMKYGWKPEGICVDLGCGRGGWSQHLAMDPRVTRVESFTLGGT -ARENPQPIKTLGHNLIRFKSGVNVYNMTPTHANTIVCDIGESDPKPEVETSRTLRVLKTLELWLARNPNA -EFVCKVLCPYPVEVLKCLETLQHKYGGRIIRSTYSRNSSAEMYYISGGRNNMVKVIFTTLHSLISRIRTR -PEKIVKESVSLPVGTRSDPGHKIKSMDPKMIATRVEKIKKEHADTWFVDNNHPYQSFRYVGSYVTDDVTP -GGQTVNPLMRKMMWPWETVGGVVNFMMTDVSTYAQQKVLREKVDTLSPEPPNDIQRVNRWITEFLCASFM -RRGLKPRILTMEQYINNVKSSAAIGSWSSDVPWSSVREALADKRFHQMVEEERKLHLAGDCRMCVYNTMG -KKEKKPSAMGVAKGSRTIWYMWLGSRFLEYEALGFLNEDHWVSRDNLACGVGGVGVNYFGYYLQEIARKG -KFFIADDIAGWDTRINESDLADEEFLIMSLICDPYHRSLAKAVFRFAYQNIVALFPRNHPGFGSGTVMDV -VARTDQRGSGQVVTYALNTITNAKIQLGRMLEAEGLLDAHEHVIKKWLNDNGEEALSGMVVAGDDVVVAT -NNGNFSRSLRYLHLNGKIRKDIDPSLPSKVETNWEVVEFCSHHYHVMTLKDGRRIIVPCREQNEIIGRGR -IQKGGLVTLAESACLAKAYGQMWALYFFHRRDLRMAFLAITSSVPIDWFPEGRTSWSIHQNKEWMTTEDM -LRVWNTVWIQDNPWMEDKMEIENWRDIPYLPKSMDLKCGSLIGTKERAAWSKDLPSTVTAVRKIIDQDTK -TENVYQDFLGGMGRFQTYTDPMAT - ->YP_006491221.1 truncated polyprotein [Quang Binh virus] -MKKKESGVVERGRGTRPPANRGGGAPPKKERDRGGQKVSLPGTSSSIGSDRGKRVKGLGGRKGLLGKLGL -GWGSFLQKDIIQAIMHLVLVLHALFMSIDQRFKALTRRVRALENRRSANPLLLATIICAVATLCGTMVID -MQVSSAKGVQIYEGKTNRTDYLHLFKLPKDGCWNGVLVSKHCPKVGDLAKDLEATDCGSTWSEFTLRYNR -CVGKKRVKRATEQPKADLLAEMEMVTFKTIRQNKTIFIIALLCVAVAKRWPTWVVIVLAIGTWSTVKGEF -VEPLYTLKAERMTMMQTIVRPEEGYVIATPNGLVELRTSAATILGGQWLRELLVDCQVNATYSTDVCPGG -SQLNMAEISSKERVCTTQPYNRGWGTGCFKWGIGFVGTCVELHCEEGYNVSSIARSAIIMNITASFHSVS -DTQQLLGDIPVTFRFAKLGNAAMTCRLESEQLLLDYYHVTGNKREGLFLRSQVDNWPGVISSSGGRAGLE -KVVVWGDTKANEILVKHVMEPQLEWDNAIPTHAGFRDVAFVCQIMLDKLVSGAFRDCQGLKSSVFVQSGF -GYSGVVITTLLQPTNESCSLNMVCHGCQLLSTKMVFGAGKPTSRAFVGCGNHTGSLLIGGASVPIECVMN -PISQGWRLTRHVVDKYRRFGVPGVAGVWRDFVGGFSLGNLITNSTLLVLLAALAFLDKRVVVLLLVCGYF -YYTRADVGCGFDPDRKIMSCGTGGFVWKSISQWPVNDHSVQLEDDAVVVSLVTEQLKTTNKVCLMCEDVL -QCAAARSVVKRITHVNNELVYANTTLSYGRVFPRVKKSVHGVKIGDITMQLALATLDGTLDDAELGHLTS -GFFSRGKQNETVDHKVVRVITSGSEYKKVCSQAFALQYGFVRFSRKVYGSNVVVKPVEKPSEACPTYLAG -SYVKNDVGGYTDGMMWMRSRKVNGSWEMFELETTQSHQCIWPQEYTFDLTTHNDSTLFMPAHYGAPMSKA -NHIPGYGTQHEFPWYKAEIKLRQGPVPGTEVESMDSCDGSGSAVKVDPAIAKKWCCKGCLANDRKPIHFI -VDGDFFYPMEIRPMTAHSKLIIENEGVEIDEMDQMFPKTVSPIPGAEALLQDFPGQPASRWIFSFTGGRA -STPANNSDSTPMGPTHNRYLAAIRSFWYTCWKLGRLVVDGLVLLARRCAQWKRTSCAFLACFAVLNITFV -FPRLVVAQTRRVIYRIRINRVRRRMGNFEITNVDQTSIPPRARPVPSVREVGTQYEIPVCPCGQHDCPEL -RGDAPVDGGPGEPRCCPGDLCYTRLRELEAVSKLVEEWTSGFEAISSIGRVLCSVVRGFSLTAIHGSGEL -CRRCVPGGSADRTSDQAGSSDEICTCPGAGYERAEQLRRIIHKTTARIRRCLRTQWSGIPELH - ->YP_006491219.1 truncated polyprotein [Kamiti River virus] -MNRGSLKKGPPGPTSNRPSVGPPDSAGKRVKQVAPPPTRGHMERGLSLLTKNDNVSRQAKRQRNPRQQLS -GIFGGGVGAWLRVLHMDIGTAILWLALATASLGQRMFKQLNSLRKRVKRLEKQRSGPNLVMIIGLLALGL -CYGEMLRYLSDGKGALRLEREDGSIVDVAFYKLPSDACPGGTVIEKHCPLVIRMSDLENIDCGSSVEGFH -LRYHRCVPQVRRRRAPQPQVSNQVGLLEEVEMIGFRFFRENVPVIVVCLLVAAVAMRWPMWSVVLISVLT -WNVVKASSIEPLYTISATGTSLTHARLLSNEIYSISTERGLIHLDIHNVTVRGERPFKTLLTKCDVVESF -SEDTCPGGSHLDMARIRRHNRTCKVDSFNRGWGTGCFEWGLGQVATCVEVSCASHTNVSVLVDSIIQAKV -SMEIHGHQDTQSIVRDVPTQFQFGDVGTITLTCGVTTDRIAGHYYHIREGVKAGLVLREAVDSWPGMIKM -GGFTSGMDKIVRWGITTANEIKIDGLINPQIDWQTSVLRDIRSISFTCEMIFDNISFSSLPLCAANASGT -FIQNGYGQDGVVAVSLEEVMEQACSFPLKCKGCTLTCPSLVIAEKSQVGRAHVICGNGTSHVEFGTTVVP -VECLVTPVTQAWRLLSHVTGRYTKTGVTGLGSVWEDLLGNLRFSWSWFPSSWLVMAFIGLALFLVFGRVG -SFVVFVVIIAVYVRSVSADVGCGVDTTRKTISCGSGAFVWKQLGLGATKDHAVELDDYEFTDLYIKDMFD -GTNKPCLICEDSLQCAALRSAAYSAAFRMSPALVSVNDTLSRTRQFIETRKRTMTVTLNLVEYKIGSYVT -HGRLEGDMGLLPTLFGSFPEKPSDKVIRIVASRPDIRRLCGKAVSFQFQYTGFRRALYGSNVQVKVSKNI -TNHCPTYLAGVVVKNGRTIITDGMFWMESENRDGVKQIVSLEMTQSHRCVWPEEYTPETLQDPRDMNIFI -PPAWGGPISKVNHIPGYKMQTDFPWNASDITLVEGPVPGTEVKVDARCNGRMRAKVVDPKGNGSWCCQSC -NRIVHFKVGDQLVYPMEIQLGTMPEIPKPKPKIVEEPIGDEYDPEVDDILHNYGKAHACSDFSLVELTDR -SWVRQVHSESPVSRGVSSVDRSENTGFYTDAPGSHHPSHAHIWNAQHIFICGAFCLGLVGSIIIFAAARR -PHESVDHSTNRELSSTPAGVHDPEENVHRARDSTHGSPSVCAFPLLSGRSASENTLWLARTVSGSDSNRG -LQRNISDTPTGSLGILPRDGLEDVPGHSHRCVSDVQFARMLQVRREISQHEKRVQGLWGEFVVLDCLLRE -RWGDLGRGKSAATNNSSDSSLYHDSSVSVHGPVQREYES - ->YP_003084122.1 anchored capsid protein C [Aedes flavivirus] -MNRMLLKKGPSGQTSGRPSTRPPDPAEKRVKRAAGNKTSKNQRPSTRGATPSSLLTSLFGRRKGGEWLRI -LQLDIGASVLWLALAVGSLGQQLWRQITMLKRRVNRLEAQRSHSPVFFVCLAVLFGLALS - ->YP_003029843.1 flavivirus polyprotein [Aedes flavivirus] -MNRMLLKKGPSGQTSGRPSTRPPDPAEKRVKRAAGNKTSKNQRPSTRGATPSSLLTSLFGRRKGGEWLRI -LQLDIGASVLWLALAVGSLGQQLWRQITMLKRRVNRLEAQRSHSPVFFVCLAVLFGLALSETLRYGTDGN -GKLTLETEDGTAIDSINYKLPADACLVGLPIEKHCPLVSKLADLDNIDCGSTTEEFRLKYNRCQVTPRKR -RSSQPRPASHTGLIEEVELLTFKFMREHMPIFVVCLLVVSVARKWPMWSVVAISVLTWNVVRATSIEPLY -TITATGTSMSHTRILSNEMYSISTTRGLIHLDIHNITVQGERPFKTLLTSCEIVESVSEDTCPGGSHLEM -AKLRRANRTCKVDAFNRGWGTGCFEWGLGQVATCVEVQCLTMVNVSVLVDSVIQATASMELHGHQDSRAV -LRDVPTVFHFGDIGTITLTCGATTDRLASQHYHVRDSSKSGLVLKEAVDAWPGVIRLGEYTSGMEKIINW -GVTTANEIKVDSVNNPQIDWKGSVIQDIRSVSFTCEMIFENVTFTSLPLCVGNLSGVFVQNGYGRDGVVS -IILEESTPQACSIPISSRGCTVVGSTVIVAAKSQSGRAYVMCGNGTGHVELADSVVPVDCLVTPVAQAWR -LMTHVAGRYSKHGMAGIGSVWEDLVRNFHFSWSWIPGGWLVPVVLIVLSIVFLGRGVTLVIVVVITSMYI -RRVAGDIGCGIDTTRRTISCGQGAFVWKQLGSGPMKDHSVELDDYAFTNLYIRDMFDGTNKPCLICEDTL -QCAALRRAAVAARIAMHPGVMYVNTTLSYNRTFVETRKRVLTVTLESLEYKVGSYVTHGRLEGDMGYLPT -SFGSHPERETDKVLRIVAARPDIRRMCGKAIAFQFTFTGFRRSLYGSNVQVAVSKHVTRHCPTYLAGVAV -KNDRTIITDGMFWMESEIVNGTQRIVVLEMLQSHRCLWPSSYTPDALLDPTDMNIFVPPAWGGPISKANH -VPGYKMQTDFPWSSPEISLHQGPVPGTQVTIDPKCDGRMQAKPVDPESNVTWCCKTCDAIIHFRVGEEFF -YPMEIQPGTMASRENPRPKIVETPLDGEEEPLMDDILGRYGKADATADFHRRNPRSGGGFDRSVLNLLCL -AIALQLIGARTRATTWSRLILTTVAMLTFGLPNIFSSVGLSAWVLLVASSAYQPIDVVMNLWIILQTGSS -AVILLGFMVRRKLSIVLGHHHLMASICLQFLFWVVERQQRAFSVFLEAVAAIVLIGAYRGMTQDLPPEIL -TFCLIMGWKTALALGTVALLTQGTSAFYKWTQKSLESKSSYRTSGRSAWFWTISCASAGAIWAAERADHP -SAAAVLALVTIIAFLYMDQANVTMELEFLSTGDVPDGIALEEDEGGNFRDLRGTYSDEGITIGQDMGSAQ -IPETMVIILIGCALTSASLFVGALYTILAISTNIPRNLFRLCRLKINEHCRSDDLLGFGGESTQKIETSF -GDLPNGIYRINVRSFMENRQRGVGVAKNGVFHTLMHVTRGEPLKWREKLVSLHSGSALRDVVSYGGPWQL -ENPTVAEEISLMACKPDKTVEYHKYKPGVVKLDNENVMFISVDFGKGSSGSPFFVNGEVVGFYGFGFYVN -GVYRSIVAGGRPGDIAPNEVADSTRKFITWHPGKGKTRRVIVSEVKANFDAGLRTIILTPTRVVMAEVME -ALGQAGITCDRNLMYCRRNLVTVACHATFTKFVLSHGVKKIGVALIVMDECHFMDPMSIAARGVMEHLHE -KGTKLMYLSATPPGHSPDGGSNFPIHDQAIAFPSWMTPAWINSVRKSRNSKKAIMFVPSHTQANSLAATI -PGAVPLHRANFTANYARASSDETSLVVSTDISEMGANLGVDMVIDTRKVLRPLVASENRIKLTETNVTTS -SMIQRRGRTGRRGPGSYVFPVDSQTEENPVGWACWPEAQMLLDQIGMTFMPEEAMYSQPPGRYTLVGEDL -LRFMKFLDKDDIPTWLAWHWAEAADRRHSALFQGNSTGQMLETRYGRMEYRPMYVDDRFENIEWDQRRLS -IEMYINTRSSASLYDILFSFDWHGIWKKTTSSLWDLRDIVSGDLHDQILAEQSLTSGMAFMLGCAIAVAL -LMFLWVFTCLVSYSRSGKNSFEPMPVSDPLGGGFFLTSPGVLHYFGVPLGFCVIIFLAMFIVYPVLYKAA -GNRSYLDSDLVKWVILGSCVMCSVLAWEMRLFPNIREDIKNIMATSNRMENQAPPTPTAWFTPTPWNGGA -NQLDTLQVFFCATIFLNKFAFWIQENWTSQMYVMKHPEMVGTVGGFRLDHIPFRAVIPSCFVITMTVGLP -SVVIGAGAAALFMFITYHQNKWNATPKIISALDARDQKHDRPTDIADRVSVDNTRAVMYSYCMVLSLLWV -FCTRSPPDIPRTILVVCACLWQLLNPRSHIHDVMDFGSMLSAIGLIDMNFLFYKFLHIAMRALGAMAPFS -KFRALEKSTTIGLGIKWKFMLNALDKDAFERYKVRGVNETGKGDYVSRGGLKLDEIIRKYQWTPMGHVVD -LGCGRGGWSQRVVMEETVTSVHGFTIGGNDKENPQRFVTRGYNLTSLKPGVDVYKLEPKRCDTIMCDIGE -SDPNLTKERTRTLKVLQLLETWLSINPEAQFVCKVLSPYSLEVLRKVESLQQKYKGKLVRLAHSRNSTAE -MYYISGNRSNAVRDAYMTLAALIGRFSRHIDSVFLPEPTLPRGTRADPAASVSAMNVDDMVDRVRKVQQE -NSATWFIDPEHPYKSFRYFGSFVTDDVKVGGQAVNPLVRKIMWPWETVTSVVSFLMTDVSTYSQQKILRE -KVDTVIPPHPPQIRMVNSLITKHFIRIFKRRGLTPRIMTKEEFIANVRNDAAIGSWSRDVPWRDVQAAVQ -DQGFWNLVGHERALHLQGKCSMCLYNTMGKKEKKPSVAGEAKGSRTIWYMWLGSRFLEFEALGFLNADHW -VSRENFPGGVGGVGVNYFGNYLKEISLKGKYLFADDIAGWDTKVSSEDLEDEETLLTELTANPFHRALIA -ATMKLAYRNIVAMFPRTHTRYGSGTVMDVVGRTDQRGSGQVVTYALNTITNGKVQVARTLESEGLLYADT -QVIDRWLSKNLDDVLSCMTIAGDDVVVSTMNRSFASSLDYLELTGKTRKNVPRGAPSKMESDWQKVEFCS -HHYHELSLRDGRILIVPCRQESEVVGRSRLQKGGIVTMAESACMAKAYAQMWALYFFHRRDLRLGFAAIT -SAVPSNWFPVGRTSWSVHQFHEWMTTSDMLRVWNDVWIYNNPWIRVKDPIGSWDEIPYLHKRQDIACGSL -IGSKERAVWAREIENGVLSIRKILNAESKCDNVYNDGLVIMSRFRKSNDVI - ->YP_002884239.1 flavivirus polyprotein [Quang Binh virus] -MKKKESGVVERGRGTRPPANRGGGAPPKKERDRGGQKVSLPGTSSSIGSDRGKRVKGLGGRKGLLGKLGL -GWGSFLQKDIIQAIMHLVLVLHALFMSIDQRFKALTRRVRALENRRSANPLLLATIICAVATLCGTMVID -MQVSSAKGVQIYEGKTNRTDYLHLFKLPKDGCWNGVLVSKHCPKVGDLAKDLEATDCGSTWSEFTLRYNR -CVGKKRVKRATEQPKADLLAEMEMVTFKTIRQNKTIFIIALLCVAVAKRWPTWVVIVLAIGTWSTVKGEF -VEPLYTLKAERMTMMQTIVRPEEGYVIATPNGLVELRTSAATILGGQWLRELLVDCQVNATYSTDVCPGG -SQLNMAEISSKERVCTTQPYNRGWGTGCFKWGIGFVGTCVELHCEEGYNVSSIARSAIIMNITASFHSVS -DTQQLLGDIPVTFRFAKLGNAAMTCRLESEQLLLDYYHVTGNKREGLFLRSQVDNWPGVISSSGGRAGLE -KVVVWGDTKANEILVKHVMEPQLEWDNAIPTHAGFRDVAFVCQIMLDKLVSGAFRDCQGLKSSVFVQSGF -GYSGVVITTLLQPTNESCSLNMVCHGCQLLSTKMVFGAGKPTSRAFVGCGNHTGSLLIGGASVPIECVMN -PISQGWRLTRHVVDKYRRFGVPGVAGVWRDFVGGFSLGNLITNSTLLVLLAALAFLDKRVVVLLLVCGYF -YYTRADVGCGFDPDRKIMSCGTGGFVWKSISQWPVNDHSVQLEDDAVVVSLVTEQLKTTNKVCLMCEDVL -QCAAARSVVKRITHVNNELVYANTTLSYGRVFPRVKKSVHGVKIGDITMQLALATLDGTLDDAELGHLTS -GFFSRGKQNETVDHKVVRVITSGSEYKKVCSQAFALQYGFVRFSRKVYGSNVVVKPVEKPSEACPTYLAG -SYVKNDVGGYTDGMMWMRSRKVNGSWEMFELETTQSHQCIWPQEYTFDLTTHNDSTLFMPAHYGAPMSKA -NHIPGYGTQHEFPWYKAEIKLRQGPVPGTEVESMDSCDGSGSAVKVDPAIAKKWCCKGCLANDRKPIHFI -VDGDFFYPMEIRPMTAHSKLIIENEGVEIDEMDQMFPKTVSPIPGAEALLQDFRDSLPVDGSSPLLVGVL -LHLLTIRTRHRWGQRTIGTWLLFVVFGIPAGSWVGWSWMGLSYSLAAVPNGSALLVHFWLALQFSTSHLF -FLGWLLRKRVESSIEYALTVFAAEWVILKLQMLIKQASHLEHVLFPLYVKLALSMRSQFAPVDSMIALNY -VVTHPLMAALVSLGVALVIFVTHVCANWRRSPNLWKSGLRASKRSALLGVYFVVLYVVSVLLRFTGLESS -AVAVFLGGLLIGLVTRLVPPTKYVLVPVPGTSVPSNCEESFTRLPPGLEGVYGPSGVEFLNYTDVGLVSV -AILMFTGCLGVTLMNPLLGITSMLFCWFTEAYVWLPRLIFGTSNRRSGVNDVLLAPPVYADEIDVESDFG -HIPDGTYRIVAHCTFFKYHTGAGYAKGGVFHTLWHVTSGACVYWEGRAVRMHSADIYRDMASYGGPWNIT -ESNEASVIVRVVESDNSVSCVRCSTAQLKINGETVSVIGKDFGRGSSGSPIHSLDGRVVGLYGYGFHIGW -EYFSIITSGEVAMAAEIAEEAGSSRSFVDWHPGRGKTRKVLVAEAQEHIKQTKRLLILTPTRVVKDEVIR -AIGEACPGVVIGSNLAMYRRNAVTVACHATLTQYVMEKGIDSIKFSKIIMDECHFLDPLSIACRGIMDYH -NAKGVNVTYMSATPPGYPGSNGSNFNIQDVAMKFPRDITAAWIRKNAEGKTIVFVATQHQATSLARDLKG -VALTRETFDSAIAKARNPETEFVVSTDISEMGANLGVKTVIDTRIAVKPVMSEGGVMLEKVGITEASAIQ -RRGRTGRREEGQYIYPIGVELEHEPANWACWTEAQMVLDQMMCGPMREEAEYFQPLGHYLLEEKGRTRFV -ELVKKEIPIWLAWQWAKAFDHRHTILFNGPQRTKLKIRTEAGDHAYAPRFHDDRFEKCNELEKRTKLSIF -LKQRSVLNFDVLGVAHGLYVALTSINMEMLGNTFRDTIENLHVISNVDDPYVSDFTMGQSLNAWTAVLIG -AAASTILLLTVVGMYKIVCWLFGRRPDQPSSAPPTIITYAQGGISQLGSMAIAIAPMCAVLVGIPPVFVF -IAVVGLFVIMSVNATNVHRAYTGDTITIIIIMVCLAVMGIVAWELELMPNTRRDLTRLTHYLMERRPAAT -GGVPRAPAAPTLPFSITSLPGALCVSYAIAGIGGVFANCWSDGHFLRGLFANEAQSASVIGGVQVSAIAW -EIMIPVAFSAFFATTFVTKIYGILIGAIFLILAHFDRKHAFSNRAVKVLVARTSKKDVDDDISGRDGLSH -ARPTFFMTQVLSSALWAITAPSSLHIMVFFAICCFTFLTFRRPNNYLLGLLDYTGLLLVLMIVVDPVLLM -FVGAALVFWFIVHPNRLATRSLVKTDTCGIGYRWKEILNSLDKNAFDQYRSRGVNETDKGDYVSRGGLKM -DELLRKYQWEPKGVAVDLGCGRGGWSQRLVMDSRISAVHGFTLGGNNRENPQPFRTKGHNLATLKAGVDV -YAEPPKDCNTIVCDIGESDSRGDVERTRTLKVLGLLEKWLEHNPNAAFACKVLSPYHIEVLRKLETLQHK -YGGRVVRLSYSRNSTAEMYYISGVRANIVGSVFQVLGALLGRFRRNDQVIIDSPPKLELGTRSDPRSKVK -QQQPQLVARRVQRLRNENLHTWFVDREHPYQSFNYHGSFATDDISPGGQTVNPMMRRIMWPWDFLTRVTT -FMMTDVSTYSQQKILREKVDTLTVEPDTRTRSLNRLIMKHFSRLFKKRGLRPRILAPSDYVNNVKSGAAV -GGWSSEMPWNGVKEALDDPVFWSLVDRERALHLSGDCELCVYNTMGKKEKKPSSFGEARGSRIIWYMWLG -SRFLEYEALGFLNEDHWVARENFPCGVGGVGVNYFGYYLKEIAQKGQWLIADDVAGWDTKITEADLEDEL -WLLLDMVQDPYHAKLISSVFKHCYMNMVALFPRNHPKYRSGTVFDVLSRTDQRGSGQVTTYALNTVTNGK -NQVGRMLEAEGLLDAPLPVIDSWLDSNLEHVLSCMVVAGDDVVVATNNDRFHTSLTYITITSKTRKNLKP -TEPSPRYSSWEQVEFCSHHFHALVLRDGREIIAPCRDQHEIIGRARIQKGGVVDMSAAGCLAKAHAQMWG -LYYFHRRDLRLGFAAIVSAVPSNWVPTGRVSWSVHQHAEWMTTQDMLEVWNTVWIVNNPWMRTKDLVKAW -TDIPYLPKSKDIACGSLIGERDRASWSKNIVATVETARKIIEQENGSQKFPDGLQILGRYKVHQDPVFG - ->YP_899469.2 polyprotein [Culex flavivirus] -MGKDDGKKKKGPGSSGWLLPPGRAGLGRKEEKKKKEKRGVRSTSQPVSGGAQHRRGGGTGPRAGGLLGRL -GAGWGSILQEDIVHALMHLVLVLHSVFIAIDRRLRSLTRRVTALEAKRSAKNAVRIAFMLTGVMMVLGAV -VIDMQVSTVKGTQIFEGKTNRTDHVHLFKLPTDGCWSGTLVMKKCPKVADLAKDLEGVDCGSSWTEFTLR -YHRCVPKKRERRVASTNQKLDFLAEVELVTFKAIRENKTIAIIVLLCVAIAKRWPMWVVILLAIGTRTTV -KGEFVEPLYTLKAEKMTMLQTIMRPDESYVISTPNGLLEFRTGAAEIYGGQWMRELLADCHVNASFSTDV -CPGGSQLNMGEINGKERVCSTQPYNRGWGTGCFKWGIGFVATCVELHCGEGYNVSSIARSSIVMNITAKF -HSVDDVQQLISDVPVTFRFAKLGNAAVTCRLESERLLLDYYHVTGKHHEGLFVRSQIDEWPGAHATASGR -AGLERIVVWGDARANEVLVKNILEPQLVWDNAIATQDGFRDVGYSCQIMLDKLVSGSFKDCPGIKSSVFV -QSGFGYDGVVMTSLSKATNESCSVGLTCHGCYLLATKMVFGPGTSTARAFVGCGNHTGTLVVGGTTIHVE -CVLNPISQGWRMAKHVVDKYRRFGTAGVGGVWHDFVGKFSLFSLLSSSTILVGLAALVFLDKRIVFLLLL -CGYFVYTKADVGCGFDPERKVVSCGSGGFVWKSLSQWPTREHSVELDDQHLITALVTEQLKKTNKVCIIC -EDVLQCAAARSAVGEITHVDNEIVYVNTSLSFDRTFPRIPKKVHGVKIGDLTMQLALASVGGAVDASQYG -ELSSGFLSRTKIAETSEHKVIRVITSASPYEKICEQAFALQYGFVRFTRRVFGSNVVVKPVAKPTDYCPT -YLAGSFVKNDIGAYTDGMMWMKSRKVNGTWTLVDLELTQSHQCIWPQAYTFDLTAFNDSSLFMPAQYGAP -MSKANHIPGYKTQTEFPWYKADIVLREGVVPGTQVEESPSCDNRGSAVKVDPAIAKKWCCKTCFSADKRV -FHFKVDNDYFYPMEIRPAAVQPEVTIDADGEDMDEMTSMFGTMKAVVPPVEGSYPDFRLSPSVEGVSPLL -VGALLHLLTIRTKHRWAQRTCGTWILFLLFGVPSNTYVGWSWIGLSYSLAAVPNGTALLVHFWLAVQFSS -SHLFFLGWALRQRVRSSAGYALTVFFAEWLLLKLRQLWESTYLLDHVLFPMYVMLAFNLKSQFVPVDSMV -LLNYVVTHPAVATAMVTGGALVIYSIRVYKSWGCSPNLWRSGLRASRRSLVAGMCLAGLYVLSTCLELYQ -MPTTASVVFLGGLLIGIVTRMAPPAHLELVPVAGMGVPLDCEEEPTTLPSGLEGTYGPDGVEFTNLTDNS -RVSTGLLVYVGCMGIMAINTYVGVILMCACWWTNAPEWLPLYVAGVSVFRSNEVNDVLITPPEYEQEAQL -SNDFGHLPNGTYRVVARSLFMTSHVGAGYAKDGVFNTLWHVTSGGSLTWQGRNVRLHSGDVYRDMASYGG -SWNIADSLEDSVVVRVVQNDGSVLCARSSTASISIDGKRVQVIGHDYGKGSSGSPVHALDGRVVGLYGYG -FFIGWKYHSLITSGEVTAEENFEEDTVSRRFVDWHPGKGKTRRVLVEEAKSHIAKEKRLLILTPTRVVKD -EVVRAIAEACPGVVVGSNLAMYRRNAITVACHATLTQYLMEKGIDSIRFSTIIMDECHFLDPLSIACRGV -MDYYNGKGVAVVYMSATPPGCAGNNGSNHPIDDVATQFPRELTASWVRGQANGKTIIFVPTQHQANTLAS -ELGGVSLTRESFDVAIGKARKQETQFIVSTDISEMGANLGVQTVIDTRVAVKPVISEGSVMLEKVGITQA -SAIQRRGRTGRREAGKYVYPIGSELENEATEWACWTEAQMILDQMACGPMREEIENFQPQGRYLLAPESR -PRFINFIKKDVPVWLAWHWANAFEHKHSVLFQGQNATSLKIRTEAGDHKYAPRFHDDRFEKNNELDKRSK -IMLYLKQRSNFNFDVGGVLYGLFVAFRDTNLERLGTSYRSAIEILHEISNVDDPMVSNVVMGKSLQAWAA -VIIGMVTGIVLLVMFVVVCRIVKWLFGGKTTAQQSPPYSSFPTLQTAGFCQFGSMVMAIGPLCAVVAGIP -PAFVFVAVLGLFVIMCCSANNVHRAYTTDTVTLVVIGVCVCVMGVVAWEMDLLPNIRRDLGYILERIAAR -QEPDIPQASFARPEVLELHITSLPGALVVSFAIAIVGGVIVNCLSDSGFLRKLFSNEEQSATVIGGIQLA -LISWETMVPIAFAGFFATTFVTKIYGCMVGGIYLVLAHYDRKYAFTVKATKVLIARTSKKDLDDEITGRD -GVTRGRPTFYALQICCSLLWTVTSPSLKHAVVSVVVIVFAFLTFRRPNNRLLVTFDYSSVLLVLMIFAEP -GQVFLVGASLLFWFVAHQSRMALRSLVKTDACGLGYRWKEMLNALDKNAFDKYRSRGVNETDKGDFVSRG -GLKMDELIRKFQWEPKGAALDLGCGRGGWTQRLVMDTRVNSVTGLTLGGANRENPLPFKTKGHNLAVLKA -GVDVYATEPRDCNTIVCDIGESDPRPEVEKTRTLKVLTMLEKWLVHNPGAAFCCKVLSPYHLEVLRKLEM -LQHKHNGKLVRLSLSRNSTAEMYYVSGPRANIVGSVYHVLGALIGRLKRNDPVQQDAPPKLEMGTRSDPR -AKVKLQDQTIVAGRVKRLREENASTWFVDREHPYQSFNYHGSFVTDDISPGGQTVNPMMRRIMWPWDFLS -RVTTFMMTDVSTYAQQKILREKVDTLTLEPDQRTRAINRLIMRHFSAMFKRRGLAPRILTPVEYMNNVKS -GAAIGGWSKEMPWNKVQEALADPVFWRMVADERARHLRGDCELCVFNTMGKKEKKPSSFGEARGSRIIWY -MWLGSRFLEYEALGFLNEDHWVARKNFPCGVGGVGVNYFGYYLQEIMQKGKWMIADDTAGGDTRITEADL -EDELWFLLDQVTDPYHAQLIRVVFKFCYMNMVALFPRNHPQFRSGTVFDVVSRTDQRGSGQVTTYALNTV -TNGKNQVGRMLEAEGLLDAPLGMIDGWLGSHLEEILSGMVVAGDDVVVATNNENFHTSLRYITAASKTRK -NLQPTEPSPRYTNWEHVEFCSHHYHPLVLQDGREIIAPCRDQHEIIGRARIQKGGIVDMSAAGCLAKAHA -QMWALYYFHRRDLRLGFAAITSAVPVNWIPTGRISWSVHQHAEWMTTQDMLEVWNTVWIVNNPWMAVKDP -VKTWSEIPYLPKTKDINCGSLIGERDRAAWSKNIVATVSTTRRIIEQEAGSQKFTEGLRILGRYRAPTDD -VFW - ->NP_891560.1 flavivirus polyprotein [Kamiti River virus] -MNRGSLKKGPPGPTSNRPSVGPPDSAGKRVKQVAPPPTRGHMERGLSLLTKNDNVSRQAKRQRNPRQQLS -GIFGGGVGAWLRVLHMDIGTAILWLALATASLGQRMFKQLNSLRKRVKRLEKQRSGPNLVMIIGLLALGL -CYGEMLRYLSDGKGALRLEREDGSIVDVAFYKLPSDACPGGTVIEKHCPLVIRMSDLENIDCGSSVEGFH -LRYHRCVPQVRRRRAPQPQVSNQVGLLEEVEMIGFRFFRENVPVIVVCLLVAAVAMRWPMWSVVLISVLT -WNVVKASSIEPLYTISATGTSLTHARLLSNEIYSISTERGLIHLDIHNVTVRGERPFKTLLTKCDVVESF -SEDTCPGGSHLDMARIRRHNRTCKVDSFNRGWGTGCFEWGLGQVATCVEVSCASHTNVSVLVDSIIQAKV -SMEIHGHQDTQSIVRDVPTQFQFGDVGTITLTCGVTTDRIAGHYYHIREGVKAGLVLREAVDSWPGMIKM -GGFTSGMDKIVRWGITTANEIKIDGLINPQIDWQTSVLRDIRSISFTCEMIFDNISFSSLPLCAANASGT -FIQNGYGQDGVVAVSLEEVMEQACSFPLKCKGCTLTCPSLVIAEKSQVGRAHVICGNGTSHVEFGTTVVP -VECLVTPVTQAWRLLSHVTGRYTKTGVTGLGSVWEDLLGNLRFSWSWFPSSWLVMAFIGLALFLVFGRVG -SFVVFVVIIAVYVRSVSADVGCGVDTTRKTISCGSGAFVWKQLGLGATKDHAVELDDYEFTDLYIKDMFD -GTNKPCLICEDSLQCAALRSAAYSAAFRMSPALVSVNDTLSRTRQFIETRKRTMTVTLNLVEYKIGSYVT -HGRLEGDMGLLPTLFGSFPEKPSDKVIRIVASRPDIRRLCGKAVSFQFQYTGFRRALYGSNVQVKVSKNI -TNHCPTYLAGVVVKNGRTIITDGMFWMESENRDGVKQIVSLEMTQSHRCVWPEEYTPETLQDPRDMNIFI -PPAWGGPISKVNHIPGYKMQTDFPWNASDITLVEGPVPGTEVKVDARCNGRMRAKVVDPKGNGSWCCQSC -NRIVHFKVGDQLVYPMEIQLGTMPEIPKPKPKIVEEPIGDEYDPEVDDILHNYGKAHACSDFRSSNSRTG -VGFDRSILNLLCLAVSLQLIGAKTRVSTLTRLVLTILAMLIFGMPNIFSSVGLSAWVLLAASSSLRPRDV -LMNLWIILQTGSSAVLLLGFMIRKRMSIVLGTQHMVALVCVHFLFSVVDRHQKTLYGLLELSAAAILIGA -YNGISQTLPPEVLVFCLVMGWKTSLAILTVVSLMFSLHACYRFVVKFHSTKNGYRDYGASSWFWIVSFAS -AGAIWAAERAQQPTIAATLAFTMIVLFLFMDQSSVSMNLEFLSKGEIPEGVRIEDDEGRDLADLRGRYGD -EGIVVGTQQTSMHLPEMVIVVLVGCALTSVSFFLGALYVVVASSTNLPLHVVRYIRIRLSEQNRSDDLLG -IGVSGGPELLTSFGELPNGVYRITVRSLFGTRQRGVGFAKNGVFHTLMHVTRGEPLNWRGKAVIPHSGSA -LRDVVSYGGPWQLETPTATDDIVLMACRPDGTVEYRRYRPGLMSIDDESVMFVSDDFGKGSSGSPFFING -DPVGFYGFGFYVDGIYRSIVAGGKPTDVNEPIGDDATRRFITWHPGKGKTRRVIVEETKQNYAANLRTII -LTPTRVVMAEVIEALKNYDIKCDKNLSYCTRNLVTVACHATFTKFVLSHGVKKVRVALIIMDECHFMDPM -SIAARGIMEHLHGLGTKLMYLSATPPGHTPDSGSNYPICDQAINFPAWLSAAWVNNVRKTTGSKKTIMFV -PSHNQANALAAAIPGAVPLHRANFSANYAQASSEETTLVVSTDISEMGANLGVDLVIDTRKALRPMVDSA -TRVKLEETPITTSSMVQRRGRTGRREPGMYVYPVDSRTEENPVSWVCWPEAQMILDQLGMTFMLEEATFS -QPPGRFTLVGEDRLRFSKLMDRDDIPIWLAWNWAEAGDRRHSALFQGKSTGQILESRFGKQEYKPQYVDD -RFENLEWDTRKLSIEFYLNCRSSQTFYDLFKSIDWANIWKKTLSALWDIRDTFSGTLKDQVMAERSLTTG -MAFSLGILLVLAVIVVVWILGFLVAMLKPTKMSYESMPSGDPFNGGMVLTAPSVLHYMGVPLGFCVIIFL -AMFIVYPVLYKAIGNRSYMDSDLVKWVIIGSCFVCGVLAWEMRLFPNIRGDIMEMASAMKEPQETQSQAS -TISGSFFTSRVRGERATMLDSLQVFFFVTVLMNEFIIWVQENWIAQMYVMKHPEMVSTVGGFRLDRIPFR -AVLPSGFAIVTTSSLSSSLVGLAASSLFLTIAYYQNKWNATPKIISAMDARDQKHDRPTDITNRVPLDNT -RSVMYAFGMFFSMAWVFCTRSRWDAGLCTLVVIACMWQILHPRSRVHDVMDFGSMLSAIGIFELDFLFYK -FIHIAARGLGGMPPFNQFRALEKSTAVGLGIKWKTLLNSLDKDTFDKYKVRGVNETERGDYVSRGGLKLD -EVIRKYNWKPNGRVVDLGCGRGGWSQRVVMEESVSSVYGYTVGGQEKEVPQKFLTKGYNLTNLKSGVDVY -RLPPTRCDTIMCDIGESDPNPAKEKTRTLKVLELLENWLSVNPSAEFVCKILSPYSLEVLRKIETLQQKH -KGRLVRLTYSRNSTAEMYYVSGSRSNAVRDVYMTLAALVARFSRHLDSIVLPPPDLLKGTRADPTASVAS -MDVSDMEDRVKRIKHENQTTWFVDPEHPYKSFKYFGSFVTDDVKVGGQAINPLVRKIMWPWEAITSVVGF -LMTDVSTYSQQKVLREKVDTVIPPHPPQVREVNKLITQHLVKLFRSRNLQPRILSKEEFIANVRNDAAIG -SWSKNVPWRDVQDAVQDQAFWNLVGQERRLHLQGKCEMCLYNTMGKKEKKPSLAGEAKGSRTIWYMWLGS -RFLEFEALGFLNADHWVSRENFPGGVGGLGVNYFGYYLKDIAGRGKYLFADDIAGWDTKISSEDLEDEET -LLTELTANPYHKALIRATMKLAYQNIVAMFPRTHSKYGSGTVMDVVGRRDQRGSGQVVTYALNTVTNGKV -QVARVLESEGLLNADINVIDKWLTKNMENVLSNMVIAGDDVVVSTDNREFSSSLEYLELTGKTRKNIPQG -APSRMECNWEKVEFCSHHYHEMYLRDGRNLIVPCRHEDEIVGRSRLQKGGVVSLAESACMAKAYAQMWAL -YFFHRRDLRLGFVAITSSVPTNWFPIGRTSWSIHQHHEWMTTCDMLRVWNDVWIHDNPWMTMKEPINAWE -DIPYLHKKQDIECGSLIGSKERATWARDMENSVLSVRKILDSETGTNNSYKDELSVMSRFRKGNDVI - ->YP_009344962.1 polyprotein [Palm Creek virus] -MNQERGILRGMGRFPPPPVKKGNKNSVAVARVPPQQGGKAREKNRERIKAPGARHGVAGKMKSLMGELGF -GWIDLLRVDLVEGIMMMVFVIQRAFAQVHRRIRGLSRRVRALEKKRDGRAAMFIWTILAMLFGVMGVVVI -DMRVTYDGQVQIYRDGENMTDRVALFKLPTDGCSVGLPVSKMCHKVDKNMKEGLADTDCGSTWAEFRLRY -QRCQVKTRAKRVAPDGPKQDFLAEVEIVAFKAIRENKSVLLVVVLCVAIAKRWPLWVLILLSIGTWTTVR -GEYMEPLYVLKADQMTMIQTTLRPEEGYVTATANGLFEMKTGRAFIYGSQVVKTLVTDCEVNATYSTDIC -PGGSQLSMQDIQAEGRACASEPYNRGWGTGCFKWGVGFVATCAEVDCTTSVKVSSVARSTIKMNVTATYH -SVKSVQSVISDVPVTFQFGQLGIASMTCRLESDRIAQSYYHVEGNKKEGLFMKEQIDGWNGATLAAGKIA -NTEKIVIWGDVKPNEILVKAVSEPQLEWTNAIATHDGFRDVGFVCQIMLDKLVTGVFKDCKTPKTSTFTQ -SGFGFDGIITTTLAVAQTEACSISISCKGCTLLATKAVFSAGDIESKTWVRCGNESGTAIVGGQEVAVAC -ATNPITQGWRLVKHATQRYRKFGMPGVGGVFHDLVGTLNPWSFFSTTTLVFMAVVLFIVDKRILILGIAC -YMFYFVRADFGCGFDPDRKVAQCGSGSFVWKSLARWPMADHAIEFEDSKVMVTYLTDLLMRKNKVCIVCE -DVLQCAAARGVVEQITSINGIPIHHNMSLSHGRYFPRVVKKVHNVKVGKAMLRLAMATYAGAMPESGLGV -LKTGYFSRGEVQETWDDKVLRVLTSAINAEEVCQTAVTFQYEFVRYNRKVFGSNIVLRPSAFTSKACPTY -LAGAVVKNDIATFTDGMMWMRSRKVNETWELFELETTQSHQCIWPYAYTIDLATPTDKRLFMPPQYGGPI -SFANHVPGFQVQEDFPWQKANILMRQGPVPGTTVVQDPHCDDRSAAVPVEPTMQAWCCKTCFDRGVKPFH -FVVDGKFFYPMEVRPMKLEQDAVVIETDDGEFERSEHESLFEGKAKWTSPLPMGETAKIQNFFVTSPPKP -ESSLLLVGVLIHMLTTRTRHRWATRCAGTWLIFLVFGHPVVSSVQSWAWLFMSAALASVPGGSSLVIHFW -IGLQISSAHLFYLGWLMRKRLLITEMSRVAHLIAQLCSFETYAWAPILKVLDHLLFPLYTLSVFVVHQQF -QVFHDLWLQSAVVMAHLLQHPLSGLITLSLSVGLIQLIAPMKRWFCSPVIWGDGLRAPRPHWTALTYFIV -LYLAAAGMETVGLHTSGMTVMLGGMLLWVVLQLMPPTALELVRLPGQSLPDGCEEEASTSLPEGMSGHYA -PDGVELVNYTDAGTVSANLVVFVGCAGIMTMNIYVGLVITALAWVTDAPMWIPRLIDGAMSQRANSELLL -PSPPLEIHKTEDSFGYIPDGTYHVLASSWMSKKPVGVGVVKEGVFHTLHHVTKGANVTWAGREVRMHSGD -VRRDIAAYGGPWNISGSLEDVVVVKAVNKDGTVTCCRITTAKLDIEGTTVMAVERDFGFGSSGSPIYAPD -GRLIGLYGYGFYYGTYFSIVSTGEGVEAPPEEVEVSTREFVDWHPGRGKTRTILVEQALKHIADGKRLLI -LTPTRVVKDEVQRAIKEAAPQAVIGSNLSIFRKNAVTLACHATFTQYVMEKGIESVKFSTIIMDECHFLD -PMSIACRGIMDFHNSRGTKVIFMSATPPGRAGNAGSNFTIEDRAIKFPKELTASWIKDKSIGKTIVFVPT -ITQAVRLAKELGGVALTRDTFNDAMGKARSPETMFIISTDISEMGANLGVTTVIDTRTVIKPLVSDKGVS -LERVGVTPASIIQRRGRVGRREPGVYIYPLDVEPEEQPENWVCWVEAQMILDQLGCHPMREESEFFRPQG -TYRIDDVEQRRFLGLIKEKLPIWLAWTWASSHANKHQMLFQGNAPNTGRTLKIKTPSGSHIYAPKVTDDR -FEKEPEIVKVAAIGFFLKQRSLYFDLPGLLTGLYTVLTTAGLDALGNSFKRSVDTLHDIGNAVEGEFSAI -QMGRILQMWSALFIGVTLGVVLMGAGFVVVKAFRGLFGTRQQHTTVCVSEGGSFQKVATVLMSVGPLCAV -FGGIPSIFVFIVTVALLIVLCVGGGGSQRGVLDSDLIRWVMVLAMMTIGVTAWELELLPNVRRDVIQLTR -YLFASNPAVVGAVFNAGNIGLGVSLPGTLMMSYAASGTLAPLIGAWAEGNFLGKLFGSEVLPAQAIGGFQ -VTAIPWGSMVPVIAGCFLATNTLSKVFGAGITTVFLILLYFDKKHAFTNKAVKVLLARTNRRDMEEEITT -RDAESRARQLFYGLQLAVSLLWVLSHPVLENFVPFFAVCGYTFLSLLRPNHQLHAALDYTLVVLLLQVVE -PGNIMYVGGCVLLWYVLNPTRLGVRSLVKSDTGGLGFRWKKALNSLSERQFAIYKVRGVNETDKGAYVSR -GGLKMNEIINKHAWEPRGVVVDLGCGRGGWSQRLVMDYRVAEVRGYTLGGKERENPQPFQTKGYNLANLK -AGVDVYKMEPVNCNTIICDIGESDPRPEVEKTRTLKVLGMLEKWLEVNPNASFCCKVLSPYHLDVLRKLE -SLQHKYNGRLVRLSYSRNSTAEMYYVSGKRANVVASVYFMLGSLVGRLRRHEPSIIDPPPVLEMGTRSDP -RAKAKAQDFEMIRRRVERLRGENRKTWFVDNEHPYVSFNYHGSFVTDEVTAGGQTTNPLIRRVMWPWDFL -SRVTTFMMTDVSTYAQQKVLREKVDTVSEEPDERMKAINRLIMTHFVKMFKRRGLKPRVLTPQDYMNNVQ -ANAAIGGWSEVMDWQNVRDALADQRFWDMVDNERALHLRGDCELCIYNTMGKKEKKPSAFGTAKGSRTIW -YMWLGSRYLEYEALGFLNEDHWVARENFPCGVGGVGVNYFGYYLKEIAGGGRWLIADDVAGWDTRITQGD -LDDELFMLTELAPTTYHKKLITATMTLAYKNIVALFPRNHPMYRSGTVLDVLSRTDQRGSGQVTTYALNT -VTNGKCQVGRTLEACGLLDAPLTTIDSWLTANLERVLGAMVVAGDDVVVATDNEEFHTSLRYITATSKIR -KNLGVSEPSPRFTSWEDVEFCSHHFHPLTLRDGRVLIAPCRDQNEIIGRSRIQKGGIVDMASAGCLAKAH -AQMWALYFFHRRDLRIGFAAITSIVPINWVPTGRISWSIHQNAEWMTTEDMLTVWNNVWIRDNPWMRGKE -RVTSWTDIPYLPKGVDIKCGSLIGDSDRASWSKTIPLVVEKTRKILEQERGTLKFYNGLSILGRYVHHVD -PVFN - ->YP_007877501.1 polyprotein [Mosquito flavivirus] -MKKKESGVVERGRGTRPPTNRGGKVPPKKERDRGGQVVSRSGNPSSTGLNRGNQARGSGGRKGLLGKLGL -GWGSFLQKDIIQAIMHLVLVLHALFMSIDQRFKALTRRVRALENRRSANPLLLATIICAVATLCGTMVID -MQVSTAKGVQIYEGKTNRTDYLHLFKLPKDGCWNGVLVSKHCPKVGDLAKDLEATDCGSTWSEFTLRYNR -CVGKKRVKRAAEQPKTDLLAEMEMVTFKTIRQNKTVFIIALLCVAVAKRWPTWVVIILAIGTWTTVKGEF -VEPLYTLKAEQMTMMQTIVRPEEGYVIATPNGLVELRTSAAIILGGQWLRELLVDCQVNATYSTDVCPGG -SQLNMAEISSRERVCTTQPYNRGWGTGCFKWGIGFVGTCVELHCDEGYNASSIARSAIVMNITASFHSVS -DTQQLMGDIPVTFRFAKLGNAAMTCRLGSEQLLLDYYHVTGNKREGLFLRSQVDNWPGVSLSSGGRAGLE -KVVVWGDTKANEILVKHVMEPQLEWDDAIPTHAGFRDVAFVCQIMLDKLVSGAFRDCQGLKSSVFVQSGF -GYSGVVVTTLLQPTNESCSLNMVCHGCQLLATKMVFGAGKSTARAFVGCGNHTGSLVIGGAAVPIECVMN -PISQGWRLTRHVVDKYRRFGVPGVAGVWHDFVGGFSLGNLLTNTTLLVLLASLAFLDKRIVVLLLVCGYF -YYTRADVGCGFDPDRKIMSCGTGGFVWKSISQWPVNDHSVQLEDDAVVVSLVSEQLKTTNKVCLMCEDVL -QCAAARSVVKRITHVNNELVYANVSLSYGKVFPRVKKSVHGVKIGDITMQLALATLDGKLDDEEFGHLTS -GFFSRGKQNETADHKVVRVVTSGSEYKKVCSQAFALQYGFVRFSRKVYGSNVVVKPVEKPSEACPTYLAG -SYVKNDIGGYTDGMMWMRSRKVNGSWELFELETTQSHQCIWPQEYTFDLTTHNDSALFMPAHYGAPMSKA -NHIPGYGTQHEFPWYKAEITLRQGAVPGTEVESMDSCDESGSAVKVDPAIAKKWCCKGCLANERKPIHFI -VDGDYFYPMEIRPMTAHSKLIIENEGVEINEMDQMFPKTVSPIPGAEALLQDFRDSLPVDGSSPLLVGVL -LHLLTIRTRHRWGQRTIGTWLLFVVFGIPVGGWLGWSWMGLSYSLAAVPNGSALLIHFWLALQFSTSHLF -FLGWLLRKRVESSIEYALTVFAAEWVILKLQMLIKQASHLEHVLFPLYVKLALSMRSQFAPVDSMIALNY -VVTHPLMACLVSLGVALVIFVTHVCVNWRQSPNLWKSGLRASKRSVLLGVYFVVLYAVSVLLQFMGLESS -AAAVFLGGLLIGLVTRLVPPTKYVLVPVPGTSVPSNCEESFTRLPPGLEGVYGPSGVEFLNYTDVGLVSC -AILLFSGCLGLTLMNPWLGVTSMLFCWFTGAHVWLPRLIFGTSNRRSGVNDVLLAPPIYADEVDVENDFG -HLPDGTYRIVAHCAFFKYHTGAGYAKGGVFNTLWHVTSGACVYWEGRAVRMHSADIYRDMASYGGPWNIT -ESNEASVIVRVVESDNSVSCVRCSTAQLKINGETASVVGKDFGRGSSGSPIHSLDGRVVGLYGYGFHIGW -EYFSLITSGEVAMAAEVAEEAGSSRSFVDWHPGRGKTRKVLVVEAKEHIKQAKRLLILTPTRVVKDEVIR -AIGEACPGVIIGSNLAMYRRNAITVACHATLTQYVMEKGIDSIKFSKIIMDECHFLDPLSIACRGIMDYH -NAKGVNITYMSATPPGYPGSNGSNFNIQDIAMKFPRDVNAAWVRKNSEGKTIVFVATQHQAMSLAKDLKG -VALTRETFDSAINKARNPETEFVVSTDISEMGANLGVKTVIDTRIAVKPVLSEGGVMLEKVGITEASAIQ -RRGRTGRRDEGQYIYPLGIDLEHEPTNWACWTEAQMVLDQMMCGPMQEEAEFFQPLGHFLLEEKGRTRFV -ELVKKEIPIWLAWQWAKAFDHRHTILFNGPERTKLKIRTEAGDHAYAPRFHDDRFEKCNELEKRTKLSIF -LKQRSAFNFDVLGVAHGLYVALTSVNMEMLSSTLRDTIENLHVISSVDDPYVSDFTMGQSLNAWTAVLIG -AVASTVLLLTIIGMYKIMCWIFGRRYDQLTGVPPTIVTYAQGGISQLGSMALAIAPMCAVLVGIPPVFVF -IAVVGLFVIMSVNATNVHRAYTGDTITIIIIMVCVVVMGVVAWELELMPNTRRDLTRLAHFVMERKLTPS -GEAAREPAGPTLPFSITSLPGALCVSYAIAGIGGVFANCWSDGHFLRGLFANEAQSASVIGGVQISAIAW -ETMIPVAFTAFFATTFVTKIYGIIIGVIFLILAHFDRQYAFSDRAVKVLVARTSKKDVDDNISGRDGTSH -ARPTFFMTQVLASALWTVTAPSSLHIVVFFTICCFTFLTFRRPNNHLLGLLDYTGLLLVLIIVVDPVLLL -FVGAALVFWFIVHPNRLATRSLVKTDTCGIGYRWKEILNTLDKKSFDQYRSRGVNETDKGDYVSRGGLKM -DELLRKFQWEPKGVAVDLGCGRGGWSQRLVMDSRVSAVQGFTLGGNNRENPQPFRTKGHNLATLKAGVDV -YAEPPKDCNTIVCDIGESDPRGDVERTRTLKVLGLLERWLEHNPNAAFACKVLSPYHIEVLRKLETLQHK -YGGRVVRLSYSRNSTAEMYYISGARANIVGSVFQVLGALLGRFRRNDPVIVDCPPKLELGTRSDPRSKVK -QQQPQLVERRVQRLKNENSHTWFVDREHPYQSFNYHGSFATDDISPGGQTVNPMMRRIMWPWDFLTRVTT -FMMTDVSTYSQQKILREKVDTLTMEPDTRTKDLNRLIMKHFSRSFKRRGLRPRILTPSDYINNVKSGAAV -GGWSSEMPWNGVNEALNDPAFWSLVDRERALHLKGDCELCVYNTMGKKEKKPSSFGEARGSRIIWYMWLG -SRFLEYEALGFLNEDHWVARENFPCGVGGVGVNYFGYYLKEIAQKGQWLIADDVAGWDTKITEADLEDEL -WLLLDMVQDPYHAQLISSVFKHCYMNMVALFPRNHPKYRSGTVFDVLSRTDQRGSGQVTTYALNTVTNGK -NQVGRMLEAEGLLEEPLPVIDTWLDNNLEHVLGCMVVAGDDVVVATNNDRFHTSLTYITITSKTRKNLKP -TEPSPRYTSWEQVEFCSHHFHALVLRDGREIIAPCRDQHEIIGRARIQKGGVVNMSAAGCLAKAHAQMWG -LYYFHRRDLRLGFAAIVSAVPSNWIPTGRVSWSVHQHAEWMTTQDMLEVWNTVWIVNNPWMMTKDLVKSW -TDIPYLPKSKDIACGSLIGERDRASWSKNIVATVETARRIIEQENGSQKFPDGLQILGRYKTHRDPVFG - ->YP_009351861.1 polyprotein [Menghai flavivirus] -MEQNGKFSRPGGGRARPREGLGKKRTGSVVGKSSTRSTTKNVAAQKPLSRASLPATSMQTKAQRNIGKLS -KVLGTRYGWKDFLQADLIQALWMIFTVITQSIKDLYAKVGRLNKRVVKLEKKRSGKASLLTLIFMTMAIL -LVTSGHPHGKTHERTTIEIRTTAEHQQLVFKNNQPYDLDASKFKIPGDTCPQGVLITKLCPRVKKISDLG -ATDCGSTELEFEIQFTRCRQEVLTRTKRSAPEQKTNAGKSMGLLEEVELIAFKFVRENTKVGIIVLLCLG -IRFKWSVWAMLAIGILTWNVVKADNIEPLYMLRQERMTFLETRLFPGEVSGIATPHGVVQVSLGNSYVVG -GKDYKTLLTDCLVSQSHSTDACPGGSQLNLAEIKSENRTCQILPYNRGWGTGCFKFGLGMTATCVELECS -TEYDVKLLSTAGIVSNMTITYQGKNDTKAMANNVPLTFKFGNLGHMVVSCQLENDRLATLYYLVTGNLGT -GLFLRRLIDDWPGVFRTRGHMIGMDRIVNWGSPGPNEIPVLSVDSPKLDMKAAKVVGTEQVDSIFWCRLV -LDKLTVGDFPSCNLQIEGLFAQGGYGNEGVVAIKAASGPTETCTVKLECVGCELTASKMVFQPGVVEMKA -HVLCGNGSGVLLAGNISVAIECKTTPLTQAWRLVTKVADRYQRHGVPGVGGVFRDLGRKLHFSLPLFDQA -KIWIVAAIGLLFLVRVDRTMLVVMLILASAWYVKGDLGCGIDTGRKSIVCGGGLFVWKGIGQYPTSDHSV -ELASYELVTRYLVEMFKETKKGCIVCEDKLQCEAARSVAYHSYTRVGHPNVHLNVTDSYGNVFDELPKRK -SLVTLGTETVEMAVFLYDGQPKGDFGVLPTRFLKGEQESTEHYVLRVLTASEKVQKVCGKAIAFQYDFLG -YRRTLYGSNVQLKISKKTSKECPTYLAGLAVKNDRTIFTDGMFWMSSKRNDNNSEYKIDEFETSQSRKCI -WPRQYTPDEVKETTDTGLFMPPTWGGPMSRANHVPGYRVQTDFPWHMAPIRMVTGPVAGTHVKVDPKCTG -RSSSQVVNPAEDAEWCCRSCTEPIHFVVGPSATLYYPMEIQRVNRITPAEREPMKVVETPLSDDPEPTVE -ETLRMWQPHVQASYAPWIANGLAGTVFHTNGVEFRKGHLDDNIVSFLCLVATMQMITRTYPRRSLFMRLL -LSWLAFFLWGLPSIFSTVGFGLWTILLHSSTHSLNLSKLIIPLWMLLYTQEPIMFMIGYLIELKLGYVGT -KMTAGMEHVLAMSASCLMWGVQRASLLLSVVVNAFGILVLSAMGKLIAQEMPHSAIAFCVLIGMKSIIAL -GAAIAAGLCIKSFHDTWKLRPTSGSGLRNAQGSFIMMLLIFVSLFAIWACEKAECQTAAAVLAIILIVTV -VVLDHNNQKLYLEYVGPAQMPEGVALEVDVDAANSPGLRGTYGEDGIEIQGYNDVISLPESLTLLSLGVI -LFSIHWIVGISYFVGVLMTPLKDYLIHIMRAASQFTLRSDDILGTWEDVNITEVQTHFSDLADGVYRVKA -KGVAIDRQRGAGVVRNGTFHTLWHVTKGDVVHWRGKAVSAHSGNVTKDVLAYGGTWNLPEPDVSQEVDIM -ACCPDGTVEYHKYKPGILMLDGLKTPYISKDFGHGSSGSPFFQDGVPVGLYGYGFVFANTYRSIVTSYLQ -DPNQINTSFQQPQPQGPTQSRKFIDWHPGKGKTRSYIVKETLDNVSKGKRTLILTPTRVVLEEVRKAFGT -QDFSIGTSVAFCSKQLVTIACHATFTNYVMAKGWKGIRVHTIMMDECHFLDPLSIAARGIMDHMNVPENA -GVNVVYLSATPPGHPPSTGSNYPIQEIGVPPPRQINSTWVEKMVRDVGAQKTIMFVPSQEMAQNLANTTN -QGVALHRDNFETNYKTALDENTRVIYSTDISEMGANYNVDLVIDLRKVVKPVLGGDCDIILRREPITTSS -MIQRKGRTGRVWIGSYLFPIDADTSENPYGWACWTEAQMILDQIGVSLMAEEATFGQPPGTYRLIGNNRD -RFFRLMGRDEIPIWLAWKWAENFDLQHDILFAGRHLEKNEHVDAGTGRMVYRPKFIDQRFERLPWSTRQA -GIKFFLATRSDFLTALMSISWRKVVKEVLSSLYTIQDITDETLPDYNVDKTVANWTSLLIGAGLVIGILG -VYQVLAFIFYMLFLRNKPKDTSRAAVTNYMGIQKPNRGLLWFLPGFLGFFDVAISIICVLMVFIYCFECI -MEAREAQRSYGDMDLLKWLVVVCLALLGLFAWELRAFPNVASDISAFFNVAKIEHETNIRTPFFDMSFVP -GSVLNLMGVLQVFFFLTVLCVKFVTWSTESAMLASYVSTHPAMQQWVSGFRVDHLQWRSLIPSLMAISLT -GNAISMIIGLVVTGVFFILHSGMQRWNLCDRVVTAMEARDQKHSNATNLVDRTPHDNTRVYMYTYPIVII -SLWILATRNILDVVTGVPVIAYCVWHLYHPKSQMHQHLDFGAMVSFVGILYMTHIPDRAICVFTRFGLGL -IPDPSKTRALEKTSASSMGVRWKRLLNELTHEQFQSFKSRCVDETPRGDYVSRGGLKMTELINKHGWKPE -GKVVDLGCGRGGWTQRMVMEDRVTHVDGYTLGGEERENPQKFTSFGYNLATLKGGTNVLSLEPYHCNTVV -CDIGESDPDFRKEKTRTLVVLNLMDKWLEENPGAQFCVKVLCPYAIEVLRRLETLQHRYGGRVVRLALSR -NSTAEMYYISGHRSNIVRDVYMTLGSLIGRFRERGEPVIGLAPQLRTGTRADPQAKLKDMDHSKTESRVA -RLRNENERTWFVDNNHPYQTFKYHGSYVTDDVPTGGQTVNPLVRKIMWPWEAVGGVTNFMMTDVSTYAQQ -KVLREKVDTAVPEPNEQIKMVNRKIMKQMVRMFRAKKMVPRMLSPEEFKKNVRPTPPSDPGAKMFLGEKV -NEALQSPEFWELVDRERSLHLKGDCEMCVYNTMGKKEKKPTVAGEPKGSRTIWYMWLGSRYLEYEALGFL -NEDHWVARENFPGGVGGQGVNYFGNYLKEISRKGKYFVADDIAGWDTRISQADLADEEFFILSMIQDPYH -RALAETVMRFAYQNIVALFPRAHKKFGSGTVMDVVSRSDQRGSGQVVTYALNTITNGKVQIGRMLESEGL -LEADGDVIERWLERNMEQRLSCMVIAGDDVVVATDRLEFAKALTYLNETGKTRKNIKADKPSNVETIWER -VEFCSHHYHPLTLKDGRELIVPCRHEDEIVGRSRIQKGGLVSFAESACLAKAHGQMWALYFFHRRDLRMG -FAAINASVPINWVPEGRTSWSIHQQYEWMTTEDMLEVWNRVWILNNPWMRDKTPLDSWQDVPYLHKKQDI -ECGSQIGEKSRATWSKDLLETVKTVRRILDAETRCRNTYADGLEVIGRFQAVSDVF - ->YP_009389296.1 polyprotein [Mac Peak virus] -MQVKRMKTRGGGGGKLGVKGMTRSTLGRALKMVSGDLSETLLKVVVAVIVAWNSMFRGFQSLGRKARREA -RQRTGGNKHVMACFIIGLMAVFGCAKTMNGVMDNGKHVWEADWDVDFGAVKLPTDYCGTGMRVEKMCPQV -DNLRDATVDCAGKHEAFAINYTRCVAKTRVKRDQEGKSMAHATSTWTIAFSDELNDMIERVSGLLTSNRL -VATVIICLVGAVKKWPTWLVVMLVLLPWAVVKASLADPFLVLPKGDGLVKTRLYPGQVSSISTDVGVLDI -SYMTATIAAGRTVERLMSRCEVNGTYSQDCCALGCNLDLSKLNGRNRACQTATYNRGWATGCPVFGLGSV -ATCVEVACSDSTEVSELTSQNIQIPLSLRLQHEELNVTMTTEAPVTSKFSLHGVVSISCRVGNPGFLAQQ -YVLSDGKHKAMFPISAVTAWPGIREVDGMYRNVEGSLKWGHVEANEIKVAAVYSDSIQWKTGIPIKAGIG -DPLYLYCEVSLTELVFRKPKPCDLPVEVEFTPGPTGLDGRLEIGLEGYSNKTCSVTGSCEGCVLPQPTSM -IPTSDKKGHMHVECRAGTFVVSFGKQKFPFTCRSSYFKTMWATTTQAIKKYQRFGLEAAGGPFLDLWNKI -GPNFSRIEVIGVIVVAALLIDKRLLJLLAVFGYVTYVRADVGCGIDLSRKTFSCGEGIFLWNDLSSQTWV -YGVEVIETRLLEAYIGQMVNERTKVCLLCEDILQCSAARRLASMVVKNNPEVYYNDSQSYGTVFPLRKKG -QVTISADGRSAVVATFEIEGKVVKEALGMLQWNMWNPRPAEESAEDKVIRVMTTGSNITAVCEKAVGFEY -AFDRFTRKMYGSSVLVKSTSHISHTCPTYLAGAAIKNNRTIHTDGFLWMDSALQANGTYRITSLTMLQSH -ECEWPTTHTINPTDAKDXALFMPARYGAPASHANHVPGYRTQTTIPWNKAPIELVYGVAPGTTVQEMPTC -GDRGEAMPIYAQETREWCCKTCLSKGTPPIHLVVGETLYYPEEIRPIPIPSKVTESNAQAVETPPIMRTM -GADATQDFQQGPGEGTFVRLALLALALQIISQNSRYGWVTRVACAAFLFSVTGLPYHLRYWWPVIGFSVL -KNASGQSLLVSFWLALHTTGGHLVCLGSILRQTRWCYRMQSLTMLLASLTLYWYRGVTWLMNTIDLIAPL -ISMCFLCKARTLLLGEVVLIGSGLVLSWANALLTLGALFGIHMAIALLRKTANAFEPGLRASSSSCDFPQ -QIAKDIWIVSKNWRRVPVPWRSSGRAHISQLAVYLLMLGLAYGFHLLNLEVLAGACVLIGFLWIIMGERL -TAGDLELQRVSALEVPQGLEKTIVDRDFQAESGRFTEAGVKLDNFNDETNVKFSVLSLGFVAALVAVNPV -CGVAIGIILWVLTACPLARDLASAASCFRSDDDFAVLMPGLKEVTVGTRFFSLPDGVYSVCRRSWNGINH -VGAGVAKNNVFHTLYHVTRGEAISWASETVGPTNGNVLRDVVTYGGDWQFPLVTEDEAVVKIVNSDQTVS -HCYTNVLGLNVQGEEYGVIGHDFLEGSSGSPIFSTRGEMMGLYGYGFYDRDNRYNSLVSYMKVEXGEXRA -EAVEQPSSRGTRTFIDWHPGKGKTRKVILEEAVRHVNENKRLLILTPTRVVMNEVLQALAGNLPFGVRVG -KHLSRSRTYQVTVACHATLTSHVLQHGLKTSFSTVIMDECHFLDPMSIAARGIMEHMHSKGAALMYLSAT -PPGKAPQAGSNHTILDIPSAPKELDRAFVMANAGAKTIVFVPTIVQARKLSDQIPGSVVLSRETFDVNMA -KAADMNTKVVISTEISEMGANLGVDTVIDTRLCVRPVVDGRSRVRLERVPITHASAVQRRGRTGRRHPGK -YVYDAKIEPSDECSRWVCWKEAQMLLDQLDMTPMPEEAENFDPPGHYKLLSDQLGQFMDLLTTEMPIWLA -WNWANSSASRHAVIFGGSEVTDKKTPVIVTSAGKQLYNPQFVDDRFEVDDLTKFHATVQKYLRMRTSINW -EGLAQGWWHVVTKSDASLLKQAFFNTLERLHDLSRWDDSALRSSDMTESVGTWIIVAMTAVVTLCASLLL -LVCCRCCRTNKTTRSQEVVYTTAVAERGVSGMWSSMTVPXLGWVAGIPGPILFIVAVCLGLVFAFMCHSS -TRSYVDHTLSWWVLVLSCVVAGLVAFELDLMPRTFAVVSRVISAGAAQSGEPVMGPFISTGRGVTIELWT -VILAMYLSALVVAPILKSRIQGTSIAAVFANEPLATAHIGGLRLTTLHALQATICLGLFYFHANMPTCVV -GGALSLAFLMIYALDVKYAFTPAMVRALEAKTNKRETDRPSIEREEDTKGRQLYFAMSVITVGLWVTVVR -DQLTFVTAAGVGLHALMCLIAPDHPFHRNINQGLIVMLFGFLVEPVKWTFIAGFCAWGVMHYSRPSTYRS -STKGDALNVGMKWKRLLNSLTQKQFEAYKSRSVDETGRGDYVSRGGLKMREIMEVHGWEPNGRVVDLGCG -RGGWSQHLAMDKRVTEXKGYTLGGSSRENPETFMTYGYNLCTLKPMVDVYRLEPFVTNTVICDIGESDPS -AAVEKTRTLKVLSLLESWLAVSQGANFVCKVLSPYHSDVLKKLETLQHAYGGRVVRLRLSRNSTAEMYYI -SGPRASIVKAVYTTLRALIGRLSLQDTPIENIAPTLPTGTRADPKAKAKAADYSLLARRIRKLQEENQHT -WFHDQENPYASFSYHGSFVTDPVTGGGQTVNPIIRRLMWPWEQVSKVTGFMMTDVSTYAQQKVLREKVDT -YVEEPDQRMRQINRQLVLFIASLYKRQKLRPRILSRDDFIANVRSDAAVGGWSGEMQWPDVEQALADPAF -WSLIDRERQLHLAGDCELCVYNTMGKKEKKPALLGKAKGSRTIWYMWLGSRFLEYEALGFLNQDHWVSRD -HLPCGVGGVGVNYFGNYLKEIASKGKWLIADDVAGWDTRITEADIEDERALILSLVTDPRHRALIDSIFT -MAYRNIVALFPRNHKRFGSGTVMDVVSRTDQRGSGQVVTYALNTITNAKVQLGRSLEAAGLLEADDRTIQ -AWLHHHGENSLAGMTVAGDDVVVATDSEAFHKSLHYLNRNSKIRKDIGILEPSRRCDNWEEVEFCSHHYH -SINLQDGRALIVPCREQNEIIGRSRLQKGGIVSESDGACLAKAHGQMWALYFFHRRDMRLAYAAITASVP -SHWFPKGRTSWSVHQKHEWMTTADMLDVWNDVWIYNNPWMKEKEPVTSWGMIPYLXKKQDIACGSRIGTP -DRTLWAKDMPVLVQKLRHVLDKREGPQQYTDGLAILGRYQMASESPIDICG - ->YP_009388577.1 polyprotein [Karumba virus] -MQVKKIKMRGGGGGKLGIKGIKTSSLRRALNMVSGDLNETLLKLVIAMIAIWNELLRNLRSLGRRVRKET -RQRTGNNKHVVACFIIGLMAALACAKTMNGIMDKGEHVWKADWNVDFTNVKLPKDFCGSGIHVEKMCPQV -DSLQDATIDCAGRHDQFLLSYTRCAAKNRVKRGEPGVVEPKVTNIWTITFNDELNSIIERVSGMLKNNRL -MATAVICLVGAFKKWPTWLVVLLVLLPWTVVQASLADPFLILPKGDGLVKTRLYPGQISSISTHVGVLDI -SYMAVDIEEGRLVERLMSHCEVNGTYSQDCCALGCNLDLSKLNERNRACQTATYNRGWATGCPVFGLGSV -ATCVEVACSDSVEVSELTSQNIRIPLSLRLQHEELNVTMTTEAPVTSKFSHHGVVSISCQIGNPGFLAQQ -YVLSNGKHKAMFPISAVSGWPGIREIGGQYRNVDGSVKWGHVEANEIKVAAVYSDSIQWKTGIPIKTGIR -DPLYLYCEVSLTDLTFKKIRACESPVEVSFMAGPTGLDGRLEIGLLEQVNKTCSITGSCEGCTLPHPTSV -ISTSDKKGHMHVECRTGTFTAIFGKQKFSFTCRTSYLRTIWATTAQAIGNYRKFGLDASGGPFLDLWNKI -GPNFSRLEVVGILVVAALLIDKRLLLLLAIFGYVTYVRADVGCGIDLSRKTFSCGEGIFLWNDLSSQTWA -YGVEVVETKLLEAYISQMLKERTKVCLLCEDILQCSAARRLAAVVAKSNPDVYYNDSLSYGAVFPMRKKS -QITITADGRSAVVASFVIEGMITNSALGKLQWNVWNPRPTAEAMDDKVIRVLTTGSNITSVCSKAIGFEY -VFERFTRKMYGSSVLVKSTSHISHTCPTYLAGAAIKNNRTIHTDGFLWMDSALQANGTYRLSSLSVLQSH -ECEWPVTHTINPTDPKDRSLFMPARFGAPASRANHMPGYLTQRTIPWDKAPIKLIYGVAPGTTIKEFPTC -DDRGDALPILSQETREWCCKTCLSKGTPPFHLVVDGSLYYPEEVRPIPIPTKITESVAQPVTPPPVMKTM -GAEATQDFQMGPGEGTFARLALLALALHLISQNTRHGWITRVACAAMLFSVTGLPYHLRYWWPVIGFSVL -KNASGQSLLVSLWLALHTTGGHLVCLGSLLRQTRWCYRLQSLMMLVASLSLYRFRGITWLMNIIDLVAPL -ISISFLYKARTLLLGEVVLIGSGLALSWGNALLTLGVLFGTHMVIASLRKTANAFEPGLRANISLCNFPQ -QVVRDMWTVLKNWRRAPAPWRSSGRTHISQLAVYLLLLGLAYAFHLLNLEVLAGACVLIGFLWILMGEML -TSGELELRRVSALEIPQGLEKIVIDRDFQSEYGRFTDAGVKLDNFNDETNVKFSLLSLGFVAALITVNPV -CGLTIGFLIWIFTSCPLAKDLAMAASCFRSDDGFAVLIPGVKEVAIGTRFFTLSDGVYSVCRRSWNGLSH -VGAGVAKNNVFHTLYHVTRGEAISWASEKVGPTSGCALRDVVTYGGDWQFPLVTEDEAVVKIVNSDLTVS -HCYTNVLSLNVQGEEYGVIGHDFMEGSSGSPVFSSQGEIMGLYGYGFYDRENRYNSLISYMKMEKEEEKV -KTTEEQPTMRGTRTFINWHPGKGKTRKVILEEAVRHVNENKRLLILTPTRVVMTEVLQALTGNLPFGVRV -GKHLSKSRSFQITVACHATLTSHVLQHGLKINFSTVIMDECHFLDPLSIAARGIMEHMHSKGAALMYLSA -TPPGKAPQAGSNYPIIDVPSMPRDVDRNFVTSQAGDKTIVFVPTIAQAQKLSDQIPGSVVLSRETFDVNM -SKAANPETKVVISTEISEMGANLGVDTVIDTRLCVRPVVDGRFKVRLVKVPITHASAVQRRGRTGRRQPG -RYIFDDKVEPSDECSHWVCWREAQMLLDQLDMTPMPEEAENFDPPGHYKLLSDQLKQFMELLTTEMPIWL -AWNWANASSSRHTVIFGGSEVTDKTTPVITTPSGKQLYNPQFVDDRFEADDLTKFHATIQKYLRMRAHIN -WEGLAQGLWHVVTKSDSSMFKVAFQNTMERLHDLSRWDDDSLRTSDMTESVGTWIIVMLTAVSTFIISLL -IFACCRCCKSSKTTRSQEVVYTTTVAERNVSGLWSSMTVPVLGWVAGIPGPILFVVAVCLGLVCAFMCNS -ATRSYVDHTLSWWVMVLSCIVAGLVAFELDLMPRTFAILSRVAATGARFDTGPSVEPFIVTGRHVTVELW -VVIMAMYLTALIIAPILKSYIQGKSIAAVFANEPVASAYIGGMRLTTIYALQATICVGLFYFHANLPSCV -VASVASFLFLMVFAFDVKYAFSPAVVRALEAKNNKRDTDRPSLERDEETKGRQLYYTLSVVMVALWVTIV -RDQLTFVTAAGVGLHALMCLIVPDHPFHRNINQGIVTMLFGFLVEPVKWTFIVGFFLWGVMHYTSPNSYR -SSNKGDAMNVGMKWKRLLNSLNQKQFDAYKSRSVDETPRGDYVSRGGLKMREIMEVHGWEPNGKVVDLGC -GRGGWSQHLAMDRRVTEIKGYTLGGSNRENPEVFMTYGYNLCTLKPMVDVYKLEPFVTNTVICDIGESDP -SAVVEKTRTLKVLTLLENWLTVSKEANFVCKVLSPYHTEVLKKLETLQHVYGGRLVRLRLSRNSTAEMYY -ISGPRSNMVKAVYATLRALTGRLSLYDTPFESLPPTLPTGTRADPKAKAKAADFSLLARRIQKLQQENQH -TWFHDKENPYTSFSYHGSFVTDAVSGGGQTVNPIIRRLMWPWEQVAKVTGFMMTDVSTYAQQKVLREKVD -TYVEEPDHRMKQINRQLALFIADLYKKQGLRPRILSKQDFVANVRSDAAVGGWASDMPWADVEGAITDPV -FWDMVDRERQLHLGGDCELCVYNTMGKKEKKPAVLGKAKGSRTIWYMWLGSRFLEYEALGFLNQDHWVSR -DHLPCGVGGVGVNYFGNYLKEIAEKGKWLIADDVAGWDTRITESDIEDERSLLLSLVKDPYHKALMDSIF -TMAYRNIVALFPRNHKKFGSGTVMDVVSRTDQRGSGQVVTYALNTITNAKVQLGRSLEAAGLLEADDRTI -QVWLRNHGEETLRGMTVAGDDVVVATNSDSFHTSLHYLNRNSKIRKDIGPLEPSRRCDNWEEVEFCSHHF -HPITLQDGRVLIVPCREQNEIIGRSRLQKGGIVSESEGACLAKAHGQMWALYFFHRRDMRLAYAAITACV -PSHWFPKGRTSWSVHQKHEWMTTVDMLEVWNNVWIHDNPWMTNKEPVSSWSMVPYLPKKQDIACGSRIGT -TDRTLWAKEMPELVSKLRRVLDKHEGPQQYTDGLAILGRYQQSPGNTADIYV - ->YP_009350102.1 polyprotein [Xishuangbanna aedes flavivirus] -MEQSRKFSRPGGGRAGPKEGLAKKRTGSVVGIRDAQKVNKQTVVAQKPSQRASLPTTKNKNTRKQIGHMR -RFFGVEYGWKDFLQADLLQAFWIAFAVISTALKDIYTKIGKLNKRVMRLERTRSAGMNVGAMALTLFVIL -LAMAQAATKGGLRPVLEVRTTADLQQKVFKDNQQFDAKPEVFKLPSGTCPEGTFVTKRCPKVRKMGDLGA -TDCGSTAMEFEVQFFRCKTPTGARTKRSAPTLHAAPTKGLGLLEEVELIIFKFVRENTKVGIILLLCVGI -RFKWSVWAMLAIGILTWNVVKAESIEPLYLLRQEHMTFLETRLFPSEISGIATPQGVIQVSLGNSYVVGG -KDYKTLLSDCYVSQSHSTDACPGGSQLNLSEIRSENRTCQILPYNRGWGTGCFKFGLGMTATCVELECDT -EYDVKLLSNAGVVSNLTVGYQGKNDTKMITNNVPVTFKFGHLGQMVVTCQLENDRLASLHYAVVSEQGTG -LFLRRLVDDWPGVFRTRGHMIGMERVVNWGAPGPNEIPVTSVDSPRLEWTAAKVVGTSQVDSVFWCKFIL -DKLTTGDFPTCSFSPSGTFAQGGFGSEGVVVASTATAPDETCVVKLECVGCGLTSTKMVFQPGVTTMNAH -VLCGNGSSVLFMGNVSVPIECKATPLTQAWRLVNRVMDRYTRHGVPGVGGVFHDFVGKIHFGIPSFLQGR -WLLGVVVAALLFVRIDKTMLVVLLVVGAAWYVKGDLGCGIDTDRKTLVCGGGLFVWKGIGKYPSSDHSVE -LASYELVNRYLVEMFKETKKGCIVCEDMLQCEAARSVAYHSYTRLGHPTVYLNVSDSYGNVFDELPKRKS -RVTLGTETVEMAVYMYEGQPKGDFGVLPARFLKGEPETTDHYVLRVLTASEKVAKVCGKAIAFQYEFVGF -RRTLYGSNVQLKIAQKTSKECPTYLAGLAVKNDRTIFTDGLFWMSSRASDNGTDYSIGELETSQSRKCIW -PRQYTPDEVKDTTDINLFMPPTWGGPMSKANHIPGYRVQNNFPWQMAPIKMIVGPVSGTHVKVDPKCTGR -SEAQMVNPAEDTEWCCQSCTTPVHFIVGPGNDLYYPMEIQRIRKQTETKQEPVRVIETPLSDDPEPTVEE -TLKMWKPHAHATYAPWAIRGMAGPVFYMHGVEIRKGHLEDNVVSFLCLVVTMQFLTRIFPRRSSCTRLLL -SWLAFFLWGLPSIFSVAGFGMWMVLLQASTQSLSLSKLIIPLWVLLHTQEPIMFLIGHMIERKLLRMGTG -VVVGLENVLAMSSSCLMWAFHKASPIWSIAINAMGVLVMAAMGNLICQEVPYAAIIFCSFVGLNSAIAIG -AALLAGILMKCCRNTWRKMPGCGSGLRGVKGKYIPTLLTCASLFAIWACERAECQLVAATLAVLLIISVI -ALDHNNQKLYLEYIAPAQIQEGVALEEDGEDVTISEDLRGTYGEEGIEVVGYNDIVSLPESLMLISIGAI -LFAIHWIVGVAYFVGVVMTPLKDYIIHITRAATQFSIRSDDILGTWEDVEVKEVVTRFTDLTDGVYRIKS -KGMAIDRQRGVGIVRKGTFHTLWHVTKGDVLFWRGKAVSAHSGNVTKDVVSYGGPWNLTEPKLSDEVEIM -ACCPDGTVEYHRYKPGIITLDGVKTMYISRDFGHGSSGSPIFVDGEPVGLYGYGFMFGDVYRSIVTSFHQ -DPDQISPPPVGVPLGSNPSRRFIDWHPGKGKTRTFIVKETVDNVAQGKRTLILTPTRVVLEEVRKAFGEQ -DFNIGTNVAFCSKQPVTLACHATFTSYVKARGWKGIRVNTIMMDECHFLDPMSIAARGIMDYLNENAGVN -VVYLSATPPGHPPSVGSNYPIQEMAINLPRIMSSTWVEKVIRDVGGEKTIVFVPSQDMAQNLANSTNHGV -ALHRDNFETNYRVALDEATRVIYSTDISEMGANYNVDLVIDLRKVVKPIVAGDHDIVLSREDITTASMIQ -RKGRTGRGSEGVYVYPVDAGTREEPHNWACWIEAQMVLDQMGVSLMTEEAGYGQPPGTFRLIGPNRERFF -RLLDREEIPIWLAWRWAENFDLRHDILFGGRILDKAEYIDAGTGRMLYKPKFVDHRFERQPWSTREAGIK -FFLSTRSDFLTAIMSISWKKVVKDVLSSLYTIQDITDETLPDYDVDKTVANWTSLVLGACLVLAGLILYH -IIAFACHLLFAGSKGKDSYRSAMASYASYQKPMSRGLFWFLPGFLHYFDVAISIICVLVLFIYCFECIME -ARESQRSYGDMDLLKWLVVICLLLLGLFAWELRTFPNVASDIAYMFKAATMEHETSIKTPFFNMAYVRGS -VLNLMGVLQVFFFVTVLGIKFVSWSTESAMLSAYVATHPAMQQWVSGFRVDHLQWRSLVPSLLSIYLTGN -AINIIVGSLVAAVFFVLHSGMQKWNLSDRVVAAVEARDQRHSVATNLIDRTPHDNTRGYMYVYPIVLVVL -WVLASRNILDVATGIPIIAYCTWHLYHPKSQMHQHIDFGAVVSFVGIFYLTHIPDRAVCVVFRIVLGMIP -DPSRVRSLAKTSASSLGVRWKKALNALSQVQFQEYRSRGVDETPRGDYVSRGGLKMTELIEKYGWQPEGK -VIDLGCGRGGWSQRLAMEERVTRVEGFTLGGEERENPQRFTTFGYNLVTLKGGTNVLNLEPSHCDTVVCD -IGESDPDFRKEKTRTLVVLNLLEKWLAENEGAQFCTKVLCPYAVDVLRKLETLQHRFGGRMVRLSLSRNS -TAEMYFISGQRSNIVRDVYMTLGSLIGRFRERMDSIIRAEPKLRTGTRADPQAKIKDMDHTLTESRINRL -KNENRHTWFVDDNHPYQTFKYHGSYVTDDVPTGGQTVNPLVRKVMWPWEAVGGVTSFMMTDVSTYAQQKV -LREKVDTAVPEPNDHLKMVNRKIMRQMARMFRRRRLVPRILSQEDFIRNVRPDAAIGSWSQDVPWRKVNE -ALQSPEFWDLVIRERNLHLTGDCEMCIYNTMGKKEKKPAVAGEPKGSRTIWYMWLGSRYLEYEALGFLNE -DHWVSRENFPGGVGGQGVNYFGNYLKEIAGKGKYFIADDIAGWDTRISQADLADEEFLILSMIDDPYHRA -LAEAVMRFAYQNIVALFPRSHKKFGSGTVMDVVSRSDQRGSGQVVTYALNTITNGKVQIGRTLESEGLLE -ADEEVIERWLIKNMEHRLANMVIAGDDVVVATDRIEFAKSLTYLNETGKIRKNIKVDLPSNVETIWERVE -FCSHHYHPLVLKDGRELIVPCRHEDEIVGRSRIQKGGLVSIAESACLAKAHGQMWALYFFHRRDLRMGFA -AINAAVPINWVPEGRTSWSIHQKFEWMTTEDMLDVWNRVWILNNPWVMDKTPLYSWGDIPYLHKKQDIEC -GSLIGTKSRATWSRDLPETVKVIRKILNAETGTMNTYAEGLDIIGRFQVVSDVF - ->YP_009305197.1 polyprotein [Anopheles flavivirus variant 1] -MQVKRVKNREGGGGKPGIKGKTGSLLGRALKMVSGDLSETLLQLIVAVVAMWNNLLRRVQALERRVSRET -RQRTGDDKHALVCLILGFMVVFGCAKTMNGYMDKGEHVWKADWNVDFGTVKLPKDFCGKGVHVEKMCPQI -DLLHDAEVDCAGKHDHFIVNYTRCAAKTRVKRNEARPFEDRVLNVWTITFNEELNGIIERVNDILRNNRL -LTTVAICVIGMGKRWPTWLVVILVLLPWTVVQASLADPFLILPRGDGLVKTRLYPGQISSISTHVGVLDM -SYMAIEVEEGRPVEKLMSHCEVNGTYSQDCCALGCNLDLSKLNERNRACQTATYNRGWATGCPIFGMGSV -ATCVEVTCSDSVEVSELTSQNVRIPLSIRLQHEELNVTMTTDSPMTSKFSHHGVVSVSCRIGNPGFLAQQ -YVLSNGKHKAMFPISAILAWPGIREIDGVYRNVEGSVKWGHVEANEIKVAAVYSDSIQWKTGIPIKAGIG -DPLYLYCEVSLSELTFRNLTPCELPVEVTFTPGPTGLDGRLEIGLLGVSNKTCSVTGTCDGCILPHSTSH -IPATEKRGHMHVECQAGTFTVIFGKQKFSFVCRASYFKTVWATTAQAISNYRKFGIEASGGPFLDLWNKI -GPNFSRLEIMGAIIVAALLIDKRLLLVLAVFGYFAYVRADIGCGIDMSRKTFSCGEGVFIWNDLSSQTWT -YGVEVVETELLEAYIGQMLEEHTKVCLLCEDILQCSAARRLAASVSKNQQGVFYNDSLSYGNVFPLRGKR -QVTITANGHSMVVATFEIKGEVMESLLGKLQWNMWNPRPADESVDDKVIRVLTTGSNITAVCNKAVGFEY -VFDRFTRKMYGSSVLVRSTSQISYTCPTYLAGAAIKNNRTIHTDGFMWMDSVLQANGTYRLSALSIRQSH -ECDWPITHTINPTDARDRALFMPTKFGAPASRANHMPGYRTQITFPWHKAPIELIYGVAPGTTLKEMPNC -ADRGDALPIYSQETRDWCCKTCLGQGTPPFHLLVENVFYYPEEVRPIPVPTKVTESDSIPVQAPPIMKTI -GVDAAQDFQQGPGEGTFVRLALLAIALHLISQNTRHGWITRVACASLMFSITGLPYHLRYWWPVIGFSVL -KNASGQSLLVSFWLALHTTGGHLVCLGSTLRQTRWCYRVQSLMMLLASLSLYWFRGVTWLMKTVDLIAPL -VSMYFLCKARALLLGEIVLIGSGLVLSWANALLTLGVLFGIRMAIALLRRKANVFEPGLRDNISLCNFPQ -GVVKDMWIVLKNWRKVPVPWRSSGRTHISQLAVYLLMLALAYGFHLLNLEAMAGACVLIGFLWILLGDTL -TAGDLELRRVSALEVPQGLEKIVVNREFQGEHGRFTEAGVKLDNFNDETNVRFSVLVLGFVAALITVNPV -CGVSIGVIIWVLTTCPLARDLAMAASCFRSNEVFAVLMPDVKDVVVGTRFHSLPDGVYSVCRRAWNGLNH -VGAGVAKNNVFHTLYHVTRGEAISWACEKVGPTSGSALKDVVTYGGEWQFPLVTEDEAIVKIVNSDQTVS -HCLTNVLGLNVQGEEYGVIGHDFLEGSSGSPVFSSQGEVMGLYGYGFYDRENRYNSLISFMKADESTPNI -DVVSDHPTPVGTRTFIDWHPGKGKTRKVILEEAIRHVNENKRLLILTPTRVVMNEVLQALSGNLPFGVKV -GKHLTKSRSFQITVACHATLTSHVLQHGLKISFATVIMDECHFLDPLSIAARGIMEHMHSKGTALMYLSA -TPPGRAPQAGSNYPVLDIPATMKEVDRSFVAAHAGEKTIVFVPTIAHAIKLSDQIQGSVVLSRQTFDANM -EKAADPGTKVVISTDISEMGANLGVDTVIDTRMCVRPVVEGRMRVRLERVPITHASAVQRRGRTGRRQPG -KYVYDARIEPSDECSTWVCWKEAQMLLDQLDMTPMPEEAENFDPPGHYKLMSDQLRQFMELMNTEMPVWL -AWNWANSSASRQKVIFGGSEVVDKKTPVIVTPAGRQLYNPQFVDDRFEIDDLTKFHATVQKYLRMRASVN -WEGLIQGWWHVATKSDFSLIKQAFFNTMERLHDLSRWDDDAMRSSDMTESVGTWLVVAVTAVTTFAFSIM -IFWCYRCCRSSKATRSQEIMYASAVSERGVSGAWSSMTVPVLGWVAGIPGPILFIAAICLGLVCAFMCNS -STRSYIDHTLSWWVLILSCAIAGVVAFELDLMPRTFAILSKIATVGVATSGEPEMGSSLGTGRVTVELWI -VMMVMYMTALVIAPIFKARIQGKSIAAVFASEPLASAHIGGLRLTTMHAMQAAICLFVVYNYANLPTCVV -AGALSVAFLVVFSLDVKYAFSPTMVRALEAKCNKRETDRPTLEWEEDTKGRQLYFTMSVIVVGLWMTIVH -DQLTFVTAIGIGLHAIMCLIAPEHPFHRNINQGLITMLFGFLVEPVKLTFILAFCAWGVMHYMKPSSYRS -SNKGDALNVGMKWKRVLNSLTQKQFEAYRSRSVDETPRGDYVSRGGLKMREIIEVHGWEPNGKVVDLGCG -RGGWSQHLAMDRRVTSIRGYTLGGLSRENPEVFMTYGYNLCTLKPLVDVYTLEPYVTNTVICDIGESDPS -AVVEKTRTLKVLTLLENWLAVSKGANFVCKVLSPYHSDVLKKLETLQHAYGGRLVRLKLSRNSTAEMYYI -SGPRANIVKAVYITLRSLIGRFSTHDTSFEALAPVLPTGTRADPSAKAKAANQKLLARRIQRLRHENADT -WFDDVENPYNSFSYHGSFVTDAITGGGQTVNPIIRRLMWPWEQVAKVTGFMMTDVSTYAQQKVLREKVDT -YVEEPDHRMKQINRQLALFIAGLYKKQGMRPRRLTRQDFINNVRSDAAVGGWANDMTWSDVEAAITDPLF -WEMVDRERQLHLSGDCELCVYNTMGKKEKKPAILGKAKGSRTIWYMWLGSRFLEYEALGFLNQDHWVSRD -HLPCGVGGVGVNYFGNYLKEIAGKGRWLIADDVAGWDTRITESDIEDERALLLSLVTDPYHMALIDSIFT -MAYRNIVALFPRNHKRFGSGTVMDVVSRTDQRGSGQVVTYALNTITNAKVQLGRSLEAAGLLEADDKTIQ -IWLHNHGEEALSKMTVAGDDVVVATDSDSFHTSLQYLNRNGKVRKDIGLLEPSRRSDNWEEVEFCSHHFH -PVNLQDGRVLIVPCREQNEIIGRSRLQKGGIVSESEGACLAKAHGQMWALYFFHRRDMRLAFAAITASVP -SHWFPKGRTSWSVHQKHEWMTTNDMLEVWNDVWIRNNPWMREKDPVSSWSLVPYLPKKQDIACGSRIGTP -DRTQWAKEMPELVMKLRRVLDKNEGPQRYTDGLAILGRYHATLPPATGICV - ->YP_009268598.1 truncated polyprotein [Nakiwogo virus] -MDRKRGIPGGLGRFPAPSVNKGNKKVGREKVLTPSRREAKGENGKKQFVGGAQRKRAKQGAGNLMQRLGF -NWFDLLRIDLFEGIVVLALTMQNALISLTRRLRQLSKRVTALEKRRGVWSPTLFYITTLLIVGIFSLNVV -DFKMDFTGKTEIFIGEKNITDQLSKFKLPSDACPSGTMVSKVCHKIPKDIVDGLRLTDCGSTWMEFRLKY -SRCVQKNRKQRSVKDEEKTPEAKDLLTDAETLAYKTYQENKSVIFVGLLCIAIAKRLPLWVIVILTFGTW -TTVRGEFMEPLYVLKTDKMTMLQTTLRPEEGYFASTANGLLSFETERAYIYGEQQVKTLLTGCEVNATHS -TDVCPGGSQLAMHEIQAIDRVCHSEPYNRGWGTGCLEWGIGFVATCVELTCAKQLSVFTMVRSSITMNVT -ATYHSISNTQMVTCGVPVTFQFGRFGIAAMTCRLESDRLSQSYYHVTDGLKEGLFLKEQLEDWEGAILSF -GRVSNAERIVVWGDVTPNEIKIKNVLDPVIEWENAITTRDGFRDAGFVCQIMLDKLVTADFEPCKNFESA -YFTQSGFGFDGILTATLEKPQTDACSLSIVCKGCRLATKKIMFSPGSIFSRVWIGCRNDSSVVLVGNKLF -PVKCVLNPVTQGWRLLKHTAQRYKSFGVAGVGGVWHDFVGSFNPWKLLSTSTILFAAVVLFIVDRRLLFL -IMAAYLFYTVRADFGCGFDTDRKVAQCGTGSFVWKSLAQWPTADHAVEFQDDGTLKSFLADLLMRKNKVC -IVCEDVMQCAAARSLVDEITFINEIPIHRNKSMSFGRRFPHILKKVHNVKVGENEMKIGMASQINHNVSK -PLGMLKTGYFSCQTRPETWEDKVLRVVTSDEVRDAVCEVAVAFQYAFVRYKRKLIGSNIILKLAPYVSNM -CPTYLAGTVIKNDEAIFTDGMMWLRSSMKVNGTWVITELETTQSHECLWPKGYTLDLTSHDDEKLFMPPK -YGGPMSFANHIPGYKTQHQFPWTKTNILLKHGPVPGTTVVQDPRCEDRGSSIVVDPSMQSWCCKTCLDKG -VEPFHFIVDGSYHYPMEIRPLSRMKNPKVETDEGYYEESEYFDIWTGKEKWTSTPPPSGAEKLQQFFSGE -PTKIRFECVSGGHSASPAHCSHETSLGCSLRRNMDSVPNVRSSSSVFSIILALAGVVLVTCVCARWHIFS -RTFLLGVATFIGSSVLYRLVTQKRYFIDGDSEDSSPAGADVQSGPLLLESKPELGGSHDFSPLRRFSAGS -TPANQAVQRDSLAGVNHRRSLIPVPVRRRVDPSFWELFHLATSQHQRLVHVTDIMGEWLEKAEASFDHLC -VFYQYLRDSYMDGVYGPPEHGTTSGHGRDKPLDSNTATPAKHAGTGTSSRTGSARRMRRGDLNAPSP - ->YP_009259488.1 flavivirus polyprotein [Nakiwogo virus] -MDRKRGIPGGLGRFPAPSVNKGNKKVGREKVLTPSRREAKGENGKKQFVGGAQRKRAKQGAGNLMQRLGF -NWFDLLRIDLFEGIVVLALTMQNALISLTRRLRQLSKRVTALEKRRGVWSPTLFYITTLLIVGIFSLNVV -DFKMDFTGKTEIFIGEKNITDQLSKFKLPSDACPSGTMVSKVCHKIPKDIVDGLRLTDCGSTWMEFRLKY -SRCVQKNRKQRSVKDEEKTPEAKDLLTDAETLAYKTYQENKSVIFVGLLCIAIAKRLPLWVIVILTFGTW -TTVRGEFMEPLYVLKTDKMTMLQTTLRPEEGYFASTANGLLSFETERAYIYGEQQVKTLLTGCEVNATHS -TDVCPGGSQLAMHEIQAIDRVCHSEPYNRGWGTGCLEWGIGFVATCVELTCAKQLSVFTMVRSSITMNVT -ATYHSISNTQMVTCGVPVTFQFGRFGIAAMTCRLESDRLSQSYYHVTDGLKEGLFLKEQLEDWEGAILSF -GRVSNAERIVVWGDVTPNEIKIKNVLDPVIEWENAITTRDGFRDAGFVCQIMLDKLVTADFEPCKNFESA -YFTQSGFGFDGILTATLEKPQTDACSLSIVCKGCRLATKKIMFSPGSIFSRVWIGCRNDSSVVLVGNKLF -PVKCVLNPVTQGWRLLKHTAQRYKSFGVAGVGGVWHDFVGSFNPWKLLSTSTILFAAVVLFIVDRRLLFL -IMAAYLFYTVRADFGCGFDTDRKVAQCGTGSFVWKSLAQWPTADHAVEFQDDGTLKSFLADLLMRKNKVC -IVCEDVMQCAAARSLVDEITFINEIPIHRNKSMSFGRRFPHILKKVHNVKVGENEMKIGMASQINHNVSK -PLGMLKTGYFSCQTRPETWEDKVLRVVTSDEVRDAVCEVAVAFQYAFVRYKRKLIGSNIILKLAPYVSNM -CPTYLAGTVIKNDEAIFTDGMMWLRSSMKVNGTWVITELETTQSHECLWPKGYTLDLTSHDDEKLFMPPK -YGGPMSFANHIPGYKTQHQFPWTKTNILLKHGPVPGTTVVQDPRCEDRGSSIVVDPSMQSWCCKTCLDKG -VEPFHFIVDGSYHYPMEIRPLSRMKNPKVETDEGYYEESEYFDIWTGKEKWTSTPPPSGAEKLQQFFRES -PPRSASNAFLVGILLHLLTARTRHRWVARCVGTWIVFLTFGHPQASSVSSWLWLVLSSSLAYVPGGTSLV -VHFYLALRLSSAHLFYIGWLLRSGTLSTEIARIAHLLVQMCSRVLYSWNLNLSWVDHMIFPLYAASVLVA -HQQIKQFSEIALQGSIIGVHLFQYPFEGGLTLLFGSCFIWLLPSIKGWFTSPTLWASGLRKPRPHLITCV -YFTSIYVTATWMESMGLQNTGLLVVMGGISLWILTQLLPPNTLELERLHGQDLPDGCEEEISTPLPRELS -GKYATDGVELIGHTDASTVPANLFVLVGCAGIMAMNLYVGMFLTVFALATDAAIWIPRLIAGEFAQRSSS -ELLLPYPISRLVQAEESFGYLPDGIYHVLGGSFMTKKAIGVGVAKDGVFHTLHHVTRGANVMWEGKEVRL -HSGDVRRDLAAYGGAWKISSTPEKTVIVKAINRDGSTSCAKITTTTLDIEGTEVMAVERDFGFGSSGSPI -YSVDGRLIGLYGYGFYYGSYYSIVSTGAGMEALPEEAMEGDRTFIDWHPGKGKTRTVLVEQAQKHISEGK -KLLILTPTRVVKDEVQRAIKDHSPQAVIGSNLAMYKKNAVTLACHATFTQYIMEKGIDSVSFSTIIMDEC -HFLDPLSIACRGIMDHLNSKGVKVVYMSATPPGRAGNTGSNFEIEDRAIQFPRELTASWIKTKASGKTLV -FVPTIAQATKLAKELGGVALTRDTFNESMGKARSLETPFVVSTDISEMGANLNVVTVIDTRTAIKPMISD -DGVSLEKVSVTPSSLIQRRGRVGRKEPGVYIYPIDVEPEERPENWICWVEAQMILDQFGCHPMKEESEYF -RPPGTYRLDGEEQRRFLGLMKEGIPIWLSWTWASSHASKHKTLFQGKKPLAGRQLRIKTASGLHVYAPSI -IDERFEKESDVVKSAAINFFLKQRSVLPFTDLLGIFTGLYTMTKTAHDNFFSNSLTKTVNALHEIVDAQA -NGYPAEEMERIFLVWVAVGIGVASMLLLFVGYKLAGSACRAMFGSRTPSSAHGPVYVTETNCLQRVITPL -FSVGPLLAVFGGIPPVFVFIVTVSLLIILGLGVGGSQRGILDSDIIKLVLFLAVCTIGIAAWELQLLPNV -RNDVISIGKYFSKPKPPLVGEVFNIGNISLGVSLPATLLVSFACSGTLAPMMSAWAEGNFLGKLFGGEVL -SAQVLGGFQVTSIPWGSMIPVIACSFVATNTLSKIFGFGITTIFLVLYYFDKKHAFTNKAVKVLIARTSR -KDIDEEVTNRDAESRARQMFYGLQLIVALLWVLSHQCMTNGVAFLVVCGYAFMSLLRPNHPIHGHVDYTL -IILLLQMTEPGNIAYVGFCLLIWYGLNPSRLSVRSLVKSDTGGLGFRWKKSLNQLSERQFMAYKVRGVNE -TDKGDYVSRGGLKMNELINKHGWEPRGTAVDLGCGRGGWSQRLVMDHRVAEVKGFTLGGNNRENPQPFQT -KGYNLANLKAGVDVHALKPFSCNTIICDIGESDARPDVERTRTMKVLNLLEQWLNENPGAAFCCKVLSPY -HLEVLRKLEALQHKYDGRLVRLSYSRNSTAEMYYISGKRTNIVAAVFFVLGSLVGRFRRNEPTITESPPV -LEKGTRCDPRAKAKAQDYEMIRRRIDRLRSENRSTWLVDNDHPYVSFNYHGSFVTDAINAGGQTTNPLIR -RVMWPWDFLSRVTTFMMTDVSTYAQQKILREKVDTVSDEPNEHLKGINRLIMRHFVKMFKKRSLKPRILT -PQEYANNVQSRAAVGGWSEEMSWEDVKSAIADPKFWDLVDRERKLHLQGDCELCVYNTMGKKEKKPSAFG -AAKGSRTIWYMWLGSRFLEYEALGFLNEDHWVARDNFPCGVGGVGVNYFGYYLKEIAARGKWMIADDVAG -WDTRITQADLDDEMFFLTELAETPYHKRLIEATFNLAYKNIVALFPRNHPKYRSGTVLDVLSRTDQRGSG -QVTTYALNTITNGKCQLGRVIEAHGLLDAPLSTIDKWLEEHMEEELKGMVVAGDDVVVATNNDAFHTSLK -YLTATSKIRKNLKPNEPSPRFTNWEDVEFCSHHFHQLVLRDGRMLIAPCRDQNEIIGRSRIQKGGIVDMS -AAGCLAKAHAQMWALYFFHRRDLRLGFAAITSIVPSNWVPTGRISWSIHQNAEWMTTEDMLVVWNNVWIR -DNPWMKTKERVFSWSDIPYLPKGVDIKCGSLIGEADRASWSKAIPSTVSQTRKILEHENGTLRFHDGLSI -LGRYVQRVDPVFS - ->YP_009259257.1 polyprotein [Cell fusing agent virus] -MKRKDLEARGKAPGRDFSTPFWGREGRRKDKEKGGESPSNRQVTLNTPIQSGRRAGKRQRVGLLGRLGVG -WGSFLQEDIVQALIHMALVLHALFSSIDRRIRSLSRRVTALESRRTTGDPMTLAFILGFLTVLCGCVVID -MQVSTAKGTEIFEGKTNRTDYLHLVKLPADGCWSGILVTKKCPKVTDLAKDLESTDCGSTWTEFTLRYRR -CAVKKREKRSREPPKADLLAEMEIIAFKTIRENKTIFIVALLCVAIAKRWPTWVVILLAIGTWTTVKGEF -VEPLYTLKAEQMTMLQTIVRPEEGYVVATPNGLLEFKTGPAEIYGGQWLRELLADCHVNASYSTDVCPGG -SQLNMADIMAKERVCSTQPYNRGWGTGCFKWGIGFVGTCVELHCDRGFNVSSIARSAIVMNVTASFHSVS -DTQQMVGDIPLTFRFAKLGNAAMTCRLESEQLLLDYYHVTGSSHEGLFLRSQIDSWPGVHSTASGRHGME -KVVVWGDARSNEILVKNVIEPSLSWEDAIATHDGFRDISFVCQIMLDKLVSGAFRDCPGPKTSTFSQDGF -GYSGVVITTLTASSNETCSLSLTCHGCLLQSTKMIFLAGKTTSRAFVKCGNHTSTLLVGSTSVSIECALN -PISQGWRLARHVVDRYRRFGVSGVAGVWQDLVGKFSVGAFFSNTTLLVIFVLAALIDKRIAFLLVLGGYF -YYVRADLGCGIDTTRKTISCGSGAFVWKHLGVGISNDHAVELEDYSFTDLYIKDMFSWTTKPCLICEDAL -QCVALRRAAFSAVGSMGPEQVYVNDTLARTFKFSETPKRTISVTINLIQYKFSSYVAHGRAEGDLGHLPT -MFGSYPEKEADKVIRIVASRPDIRRLCGKAVSFQFKFTGFRRGLYGSNVQVEVSKNSSTECPTYLAGVVV -KNGRTVITDGMFWLESTVLDGVAQITSLEMRQSHRCVWPREYTPDTLSDPSDQALFIPPAWGGPISRVNH -IIGYKTQTDFPWNVSDITLIEGPAPGTKVKVDSRCHGRMHAQVIGPNDTESWCCQSCTRIVHFRVGDLLY -YPMEIQLGTMSEAPEPNSKIFEEPIGEEPEPTVDDILKRYGKANAQSDFRRVSPRAGVGFDRSLLNLLCL -AISLQLIGAKTRTSTLTRLFLTILAMALFGLPNLFSSVGLSAWVLLVASSSSQPQDLLMNLWIILQTGSS -AVLLLGYMIRKKLSIVLGVHHLVTLMCIQFLFSAVDRYQKYLYGLLELMASVVLLSAYKSVLQALPPEVL -CFSLIMGWKTALSLATVVSLIFSLNAMYKYACQYHNPRNGYRDSGANLWFWTVSLASAGGIWAAEKAHQP -TVAAVLAFTMIVLFLYMEQTNVSMELEFISAGETPEGVSTENDDGMNIPDLKGRYGEDGIVVGAASSSGH -LPELVFVFLLGFAVTSTSYFLGALYLLIATSTNLPVTIIRMLRMKLTANNRSDDLLGLGGPIETDLQTSF -QDIPNGVYRIVVRSLFGDRQRGAGFSKNGVFHTLMHVTRGEPVKWRGRVVVPHSGSALRDVVSYGGPWQL -DTPTTTEDLVLMACKPDKTVEYHRYRPGVMSIDGEPVMFISDDFGKGSSGSPFFINGEPVGFYGFGFYVN -GIYRSTVAGGKPTDVTENLDCDSTRRFVTWHPGKGKTRKVIVEETKKNYDSNQRTVILTPTRVVMAEVVE -ALKNSGMRSDKNLSYCTRNLITVACHATFTKFVLSHGAKKVRVAMIIMDECHFMDPMSIAARGIMEHLHS -QGTKLIYLSATPPGHAPDTGSNYAISDQSISFPTWLSPAWIGNVQKSVGAKKTILFVPSHNQANTLASAI -PGSVPLHRANFSTNYAQAGDAATALVISTDISEMGANLGVDLVIDTRRALRPLVDSATRVKLVETNITTS -SMIQRRGRTGRREPGTYVYPIDSQTEENPVSWACWPEAQMILDQLGMTFMLEEAAYSQPPGRFTLVGEDR -MRFSKLMDRDDIPIWLAWHWAEAGDRRHSALFQGEGTGKIIENRFGKQEYRPQYVDDRFESVEWETRKVS -IDFYMNCRGGPSLYEFFTLIDWTDIWRRTTSALWDLSDVMNGELRDRSTTERSLTVLMAFVLGVSIVLSC -FIAVWALCFLFSLFRPRKVTYEQMPSSDPLSGGVLVSTPSLLYYMGVPLGFCVVISLAMFLVYPVLYKSI -GNRSYMDSDLVKWVILGSCLICGVLAWEMRMFPNIRGDLMELVKAVKEPEEVVNSGPFFPSWEIARGKGA -TMLDSLQVFFFITVLSTKFLYWFQENWTARMYAMKHPEMVSSIGGFRFDEIPFRAVLPSGFAIVAIASLS -SVVVGLLAAGVFMAIMYCQNKWNATPKILTALDARDQRHDRPTEITSRVPLENTRSIMYAFCLIFSLFWA -FCTRSPGDFLRGSLVVGASMWQILHPRSKIHDVMDFGSMVSAIGLLEMNYLFYRFMHIAARALGAVAPFN -QFRALEKSTTIGLGMKWKMTLNALDGDAFTKYKSRGVNETERGDYVSRGGLKLNEIISKYEWRPSGRVVD -LGCGRGGWSQRAVMEETVSSALGFTIGGAEKENPQRFVTKGYNLATLKTGVDVHRLTPFRCDTIMCDIGE -SDPSPIKEKTRTLKVLQLLENWLLVNPGAHFVCKILSPYSLEVLRKIESLQHLYNGRLVRLSHSRNSSAE -MYYISGARSNVVRTTYMTLAALMARFSRHLDSVVLPSPVLPKGTRADPAASVASMNTNDMTDRVERLMNE -NRGTWFEDQQHPYKSFKYFGSFVTDDVKVGGQAVNPLVRKIMWPWETLTSVVGFSMTDVSTYSQQKVLRE -KVDTVIPPHPQHIRRVNRTITKHFIRFFKNRNLRPRILSKEEFIANVRNDAAVGSWSRDVPWRDVQEAIQ -DQCFWDLIGKERALHLQGKCEMCIYNTMGKKEKKPSLAGEAKGSRTIWYMWLGSRFLEFEALGFLNADHW -VSREHFPGGVGGVGVNYFGYYLKDIASRGKYLFADDIAGWDTKISAEDLEDEEALLTALTEDPHHRALMA -ATMRLAYQNIVAMFPRTHSKYGSGTVMDVVGRRDQRGSGQVVTYALNTITNGKVQVARVLESEGLLHADE -SVLDAWLEKHLEEALGNMVIAGDDVVVSTDNRDFSSALEYLELTGKTRKNVPQGAPSRMESDWEKVEFCS -HHYHEMCLKDGRILIAPCRHENEVLGRSRLQKGGVVSISESACMAKAYAQMWALYFFHRRDLRLGFIAIS -SAVPTNWFPLGRTSWSVHQHHEWMTTDDMLRVWNDVWVHNNPWILNKESIESWDDIPYLHKKQDITCGSL -IGVKERATWAREIENSVISVRRIIDAETGVLNTYKDELSVMSRYRRGNDVI - ->YP_009256193.1 polyprotein [Culiseta flavivirus] -MKKVTQSKKGNTLGGGGKRGTQMMGPQTKQNGNKNERRPSRSILKMDIGKALELALAMLISMVRGLIARV -SQLETRVGRLERKKTRSARSTLPFLTLISLTCGLTLTYSPGKYGDQLIGGGKNYTGIMHQFKLPGDMCHE -GIHVEKHCPQVTSLDAVDKIDCASVYTEFVLAYERCEHLKRHRRNAPSAPVATGGIKDKIADVESLVTKW -LQENKLTMTVVVVATGIVLKWPLWIVAIMVITCWGTTLAEHAEPFLIMDGREQTMVRTQLYPHGSIAIMT -RAGLFEIKAGAFSVSEGQGVKTLLSECHVNATYSTDCCPMGCDIDMEKLNLVGRVCTERSIQRGWASGCL -EFGMGAVATCVEVACSHQLKVSSFGEKNIKVNVTGYFHSETVNVTLIPSAGRNMVFGELGSAIIICNLGM -SDTLNTYVVSGEKHKVLLPKGTIDVWTGLFRVGSETHGAETAVLWGRATPTEVKVKAILDPKISWEEGVN -VEKGLSDGLFLNCDIVVDKLLVDTTRKCDQVADISFTQNQIGTGGRVQVTLQAPASAECVVNLSCEGCEL -TSPIVFFSEHSKTSATALACEAPVASIKADKKVVNVKCKVSRMISAWNTLLHTTERYQRYGIEGVKHSVW -DLMGGFNLGRLSSWWAQIAIALIALTFLVDKRIIVLGLLGGYFVYANADFGCGVDTQRKTFTCGNGLFVF -RDLFSWPTSEQHVEIDNYGLFESYIENMFVKYDKVCILCEDNLQCAAARAAAEDYGYNHVDIHYNVSLSY -HRYFPAIEKSIVKVKIGDVETQLAVQEQDHDVDSSALGTLRKPMWQYANKAENVTDKVLRVVSSGHATEK -VCQRSVAFQYDFTAFKRRAWGSSLGVAISSVMRRTCPMYLAGLVVKNNQTIYTDGSLWMMSEYDGENYTI -SELNLYQSHQCIWPPRFTADKWAHDDKRLFVPPGWGAPQSAANYIPGYISQTNFPWDKYPVEMVKGPVPG -TTVEMTPHCKGRGKALKVEAKAFSKWCCETCLEQGNIFHFRVGTDLYYPMEIRTLEAADAKKPTVTIEEE -VIATVSEDDVQNELPKTWNEGFSQSYAQASHMNQDFRIGQADHLSRILVLSIGLMCLTARTRRKWMFRAT -GTWLILLFIGLPLLSDWHAWGWLALSQGLAGHTGYTMWMCHLWMAIQTGTGHVWFLAMMWRRKLWATLEV -KCFVMILQWAHAAVIQHLGLIGTLFDIGLLIVTGLAMSTASENMGFHEWLISLILLVSSWQIAMYSTCAV -LVILLGQASWRLLTSSSTGWKSGLRSVARPSGNFHHTNAWSCLWNGSRLTLGFLLARCLVFLPVSTDLIT -PGCAPFLVVAFSIYFTTHIRSQERGGINWFQQSKFVAASGVIIAAVWLLERAQRPELAVLIFVLAGCCWA -FFGLTAKVSLELIQIPGDACEHGEPKDVDLGDVTGTRGPHGVQILGVDDVGSTTVNVYAFVGLLGVFAIN -WPAGLVGVLWYVASGSNRFIPALIDSIFRWNLRSDVSTDFFQTNSFEEEQLQVHATFDYLPQGAYYIHSV -TQFSSTICGSGFAKEGVFHTLYHVTQGNPLKWRGKYVSMSGGSVIRDTACYGGAWKLQFEDSETYTIKAC -LPDGTVQFDTYKRKIMKVDGEDVPVIPYDYGNGSSGSPIFSQGGEAVGLYGFGFYMHDRYISLITTDVVS -AEAESIMPRESVGRVFIDWHPGRGKTRRVVVEEAKSAMLGNHRILVLTPTRVVKNEVLKVLREKLPDMRL -GDAIGHTMNTVTVACHATFTQRAFSSGLKNLKYSTIIMDECHYLDPMSIAARGVMEALHQRNTRLVYMSA -TIPGRPPTTGSNYEISVEITRFPVELNAQFVSNHAGAKTVVFVPTKRECDKLHAATPQSISLHSETFEEN -APKAMRDNIRVIFTTDISEMGANFNVDTVIDTRTTIKPVLAGENQVALVKVGAPLSSRIQRQGRTGRRAS -GRYVMPVLDPPPSDCLNWICWMEAQMILDQIACGPMPEEATFFNVPGSYTLPDRERRLFLELSEKPVTYW -LAWHWVQNLHDVDTIIFKGEHDGELRISTSHGMRHYKPKFVDRRFEDAPDDMKVASITKLLKCRSTSIMD -FYNALSYFFTSGAAQAKFFEILESMYVVSRLDEDDVPAVTKDRMVTVLMAVSMGSVGMLLLWFMFCILRS -MGSMLLRSKNAQTVVTDEIPKAWGTMWALASYHLGVPFAIIFVIGGAVSLIRIVAGNNTTRSIEYAVITR -FIITGMVFVLGLICWEKELTPTIRRDLTHMFSTVSGGSLPIDATAKPVWNLPTWGHEFLLNAYVVIVGLN -QIVLTFLENNIAKQFMGDMGRASAIGGIQLSTLPMYAIVPLLPAAIFGTTHVAKILGWVAGFFVLLIFMA -EHRFKMSEKVLSNFNAEKQKREVESLLGREQRDRRKNVFAGIMAALSIMWAFLVQDWAAFLVCTAVCLHS -IWVVRDPKNPNHAHFELGHILLIFGVARMQDTTLYVSCLLLRCLSGGLAKSLHGPGLNSINKTPVGGMGY -SWKRELNKKSQEDFNTYRSRGVNETDKGDYVSRGGLKMEELINKFGWEPKGRVVDLGCGRGGWTQRLVAD -KRVTKVNAYTLGGQERENPQPFTTTGYNLATFKTGVNVYTMERQNVNTIVCDIGESDAKPEIELSRTIKV -LNLLEEWLEVNPQAAFVCKVLSPYHTDVLRKIETLQHKYNGRLVRVSHSRNSTAEMYYISGIRQSPVAST -YAVLAVLGRRFTVDDPVFKTDPPKLAKGTRSDPSSKIKSLDDSKVTTRIRRLKDENARTWFFDDENPYNS -FKYFGSFVTDTRSAGGQTVNPMIRRVMWPWEELKKTTSYMMTDVSTYAQQKVLREKVDTLTEEPPANIKR -VNRLIMKHFVKMFKEKRLRPRILSSREYAENVQSHAAIGAWSKEIPWKNVADALSDSNFWDMVDRERTKH -LAGDCEMCIYNTMGKKEKKPTVAGLAKGSRTIWYMWLGSRFLEYEALGFLNEDHWVARENFPCGVGGEGV -NYFGYYLEDIAKRGKYLVADDVAGWDTRITQADLDDEEFFILELIDDPYHRKLVQSLFTFAYKHVVALFP -RDHPKYASGTVMDVVVRTDQRGSGQVITYAMNTITNAKTLVGRSMEANGVLDASDKEIDAWLKEWCLDFL -QRSVIAGDDAVVATNNPKFMTSLQYITLAGKIRKDIGLNAPSRFSTNWEEVEFCSHHYHKLHMKDGRDLI -GPCRDQFEVIGRSRIQKGGVVSLGDSACLAKAYSQMWALYFFHRRDLRLGFAAVNSCVPSEWMPTGRTTW -SLHHGSDWMTTEDMLSVWNRVWILDNKWMLDKTLVTSWSDVPYLHKKQDILCGSQIGTHARSVWARDLES -HIEKIRKTVVLENGHQEFPDALNIFTRYAKPELSLF - ->YP_009041466.1 polyprotein [Nienokoue virus] -MERKKGVNYGRREAIPPPPIKEKKDRKKKRDDVKKGLVLRGTKPIRRKFGLGTKTEWENWIGLLRSDVTT -GVVYLAMVLLMMLKQLRDRVWGLSRRVTRLEQRRGAQRGLQGIGLLTLIMVTFAAVVDVNMSLDGTVQLF -MGGKNVTDHRRVFKLPTDICPNGVLVEKQCPKVASDMDKNLDAIDCGSTWIEFTLRYYRCVVRRPVRRDV -TPAASKKMDFLAELEIIAFKTIRENKTIVCVILLCVAIAKRWPIWLVILFSIGTWTTVSGEYLEPLYTLK -AEGMTMIQTTLRPQEGYATSTINGLLEMQTERAFVYGGQQQRLLVGDCHVNATYSTDICPGGSQLNMPSI -SGPGRVCSSQPYNRGWGTGCFKWGIGWVGTCVELSCADQYNVSSLSRSVVTXEVTARYHSINNTQAVVSD -VPVTFTFGKLGHATMTCRLESERLMTDYYYVTGEKHEGLFLKSQIEDWPGMSNVNGRYVGGEHVVVWGDV -KPNEILVKHVLDPGFEWEKSITTHDGFLDTGFVCQIMLDKLVTGNFVDCPSLRSSVFVQNGLGYSGVVIT -TLNQPTNSTCSVPLKCDGCHLMASKMVFMNKHAEARAWVGCGNRTATLTVGTSTLQVECKFNPITQAFRL -TRHLYNRYTRFGYDGIGGVWHDLVGKISMWKLMMSPIVLVCLAGLVFVDRKILVFLALLGMIYYVRADVG -CGFDPDRKIVSCGSGTFVWKSIAEWPTRDHAVELEDRYLVTSLLESLLEVNSKVCILCEDVLQCAAARSL -VEKIPAVGDGLVYANVSSSYERVFPQMEKNVHVVRLGDSTMKLAMIKIEGDVQESALGELPTSMWSRACV -NETKSDKVIRVLTSGQDPRQVCEVAVGFQYEFVHYSRKMFGSNVVVKPSEVPSAFCPTYLAGVAMKNDRA -VFTDGMMWMSSRRAGNGTWKLDQLELTQSHQCVWPMAYTVDAATPKDKRLFMPPLYGGPISFANHIPGYK -TQDEFPWDKASVQLRFGPVPGTTVEQDPHCGDRGSAMRVDPLLVKKWCCKTCLAFLTEPIHFEADDFLYY -PMEIRPKATESAEKVLLSDEPEDEIPRVDDLLDKMEEKAHKTTSRWTQPIGGAEATQSFFPQGPPRDETN -LFLVGLLLYVLTARTRHQWIARVIGTWGIFILLGSPVFSAYLSWWWLACSSALGYVPNGSSMLVHFWLGM -RLTSTHLFLTGWEIRKRTGSLKLLLVQWLTMWIQFLTPWLMTIVDNIVFPLYVTSAFGVFRVMESHRAGL -IDVLVILRHLINHFLWGSLTLIIGTCLLLFWPCVERYVYSGTLWKSGLRSITSWAMRCMYFLTLYVVAAS -LEYIGLGSTGVVVGVGGLVAWLLITMGPPGGLELVSVEGDGIPTGCDEEEPKPLPENLSGRFAPEGVELI -GYTDAGTVSAGVAILALSIGLMAINVYVGGTVLILAWVTRASIWVPRLISGSTAQRSDLLLPRIVLPELN -LHPTFGHLPDGVYQVVANSLFHRRAVGVGVAKGGVFHTHLHVTGGANLKWQGRMVRMYSGDVRRDLAAYG -GDWNIAGSSEPEVVIRAVQPDGSVSCARIGVVTLDVDGQHVMAVEKDFGFGSSGSPIYSLDGRLIGIYGY -GFYFGNRYYSLVSNGDGLVEVGEVEDVSREFIDWHPGRGKTRTVVVEKAKEHIAAGKRLLVLAPTRVVKD -EVARAIKENCPNVVIGQNLAMYRTNAVTVACHATLMQYILEHGLDSVKFSTIIMDECHFLDPLSIACRGV -MDYHNGKGVRIVYMSATPPGLPGNSGSNFPIEDVAVKFPAKDVDARFIIGKAEGKTVVFVPTISRAVTLA -KELGGVALTRETFDAAMPEARKPDLRFVISTDISEMGANLGAQTVIDTRQAVRPILSDEGVVLRRVGITR -SSAIQRRGRVGRRQPGTYIYPVDVELEEDPATWVCWTEAQMILDQLSCHPMREEREFFEPLGTYKLDAGA -VKRLVDLMKQQVPVWLAWNWAKSHEIKHSVLFQGRRLGQCLTIRTPSGSHAYAPKYYDERFEKEKDEDKK -ARIGFFLKQRSLFIDFPGLMSGIYTVLTSTSASIFKDSVKDALDELHDISRVTDPKVPRQVVGDSLKAWM -AVLIGAVAGVIALVFLVLLWRGLRFIFSGKKAAVPAMCSHETAYFQQVATVVMSFGPICAVFSGVPPVFV -FIVTVALVIILCFGAANSQRGFAENDVVKLVILLAVVASAVVAWELNLLPNVRRDLISVATYMATRRDDA -VIGSVFNFGNDMLGLSMTGTLLVSYAGSGVFGPLVSAFAEGCWLARVTNEPGASETIGGFQLTMIPWTST -IPAVVGVFLATNTLSKVFGGGLALTYLVLSVYDKKYAFTARPVRVMLAKSTRREVDXEITARDGISRCRQ -LFFGLQAFVALLWMLSNPCWQNLVPFIFVGVYCFLVLIKPNNPIHNAIDYTFVTLLLVCFEPGNLMFGGG -CLILWFILHPDRLDMRRSLMKTDACGLGYRWKTLLNGLSSAAFEKYKSRGVDETEKGDYVSRGGLKLDEI -IKRHGWEPKGLVYDLGCGRGGWTQRLVMDQRVSKVLGFTLGGRSREDPQRFMTRGYNLAVLKSGVDVYGL -TPKECNTIVCDIGESDSSPHIEKTRTLKVLGLLENWLKLSPRAAFCCKVLSPYHLEVLRKLEALQHEYDG -RLVRVSLSRNSTAEMYYISGKRSNIVAAVYFLLGSLLGRFRRDEPAIVGDGPTLEKGTRSDPAAKIKKQD -MSVIQRRLKLLERENKATWFVDKEHPYQSFGYHGSFVTDDISPGGQTVNPLIRRVMWPWDFLSRVTTFMM -TDVSTYAQQKILREKVDTLTEEPSMEIKALNRLIMRKIVELYRKKHLKPRILTPCEYLANVKNDAAIGGW -MKSLDWPSVQEALADPRFWELVDRERKLHLQGDCELCVYNTMGKKEKKPSVCGEAKGSRTIWYMWLGCRF -LEYEALGFLNEDHWVSREYLPCGVGGIGVNYFGYYLEEIARKGHWLVADDVAGWDTRITESDLEDELFFL -LELAQDDYHRKLMSSVYKMAYRNIVALFPRNHPKYRSGTVLDVLTRTDQRGSGQVVTYAMNTITNAKNQV -GRVLEAEGLLDAPLNTIETWLDKNLVETLSAMVVAGDDVVVATNNDAFHTSLKYITATSKIRKNLNLTDP -SPRYTSWQEVEFCSHHFHPLTLSDGRSLVVPCRDQNEILGRSRVQKGGIVSMSAAGCLAKAHAQMWALYF -FHRRDLRLGFAAITSIVPKHWVPTGRVSWSVHQKKEWMTTRDMLEVWNDVWIRDNPWMKTKERIDSWKLI -PYLPKTTDIKCGSLIGEKDRAAWSRELPNTVERARQIIENEQGPQKFMEGLDILGRYRQPIPRMFD - ->sp|P33515.1|POLG_MCFA RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Capsid protein; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=NS5 -MKRKDLEARGKAPGRDSSTPFWGREGRRKDKDKGGESPSNRQVTLKTPIQSGRRAGKRQRVGLLGRLGVG -WGSFLQEDIVQALIHMALVLHALFASIDRRIRSLSRRVTALESRRTTGNPMTLAFILGFLTVLCGCVVID -MQVSTTRGTEIFEGETNRTDYLHLLKLPADGCWSGILVTKKCPKVTDLAKDLESTDCGSTWTEFTLRYRR -CVVKKREKRSREPPKADLLAEMEIIAFKTIRENKTIFIVALLCVAIAKRWPTWVVILLAIGTWTTVKGEF -VEPLYTLKAEQMTMLQTIVRPEEGYVVATPNGLLEFKTGPAEIYGGQWLRELLADCHVNASYSTDVCPGG -SQLNMADIMAKERVCSTQPYNRGWGTGCFKWGIGFVGTCVELHCDRGFNVSSIARSAIVMNVTASFHSVS -DTQQMVGDIPLTFRFAKLGNAAMTCRLESEQLLLDYYHVTGSSHEGLFLRSQVDSWPGVHSTASGRHGME -KVVVWGDARSNEILVKNVIEPSLSWEDAIATHGGFRDISFVCQIMLDKLVSGAFRDCPGPKISTFSQDGF -GYSGVVITTLTASSNETCSLSLTCHGCLLQSTKMIFLAGKTTSRAFVKCGNHTSTLLVGSTSVSIECALN -PISQGWRLARHVVDRYRRFGVSGVAGVWQDLVGKFSVGAFFSNTALLVILVLAALIDKRIAFLLVLGGYF -YYVRADLGCGIDTTRKTISCGSGVFVWKHLGVGISNDHAVELEDYSFTDLYIKDMFSWTTKPCLICEDAL -QCVALRRAAFSAVGSMGSERVYVNDTLARTFKFSETAKRTISVTINLIQYKFSSYVAHGRAEGDLGLLPT -MYGSYPEKEADKVIRIVASRPDIRRLCGKAVSFQFKFTGFRRGLYGSNVQVEVSKNSSTECPTYLAGVAV -KNGRTVITDGMFWMESIVLDGVAQITSLEMRQSHRCVWPREYTPDTLSDPSDQALFIPPAWGGPISRVNH -IIGYKTQTDFPWNVSDITLIEGPAPGTKVKVDSRCHGRMHAQVIGPNDTESWCCQSCTRIVHFRVGDLLY -YPMEIQLGTMSEASEPNSKIFEEPIGEEPEPTVDDILKRYGKANAQSDFRRVSQRAGVWFDRSLLNLLCL -AISLQLIGAKTRTSTLTRLFLTILAMALFGLPNLFSSVGLSAWVLLVASSSAQPQDLSMNLWIVLQTGSS -AVLLLGYMIRRKLAMVLGVHHLVTLMCVQFLFSAVDRYQKYLYGLLELMASVVLLSAYKSVLQALPPEVL -CFSLVMGWKTALSLATVVFLIFSLNAMYKYACQYHNPRNGYRDSGANLWFWTVSLASAGGIWAAEKAHQP -TVAAVLAFTMVVLFLYMEQTNVSMELEFISAGETPEGVSTENDDGINIPDLKGRYGEDGIVVGAASSSGY -LPELVFVFLLGFAVTSTSYFLGALYLLIATSTNLPVVIIRMLRMKLTASNRSDDLLGLGGPVETDLQTSF -QDIPNGVYRIVVRSLFGDRQRGAGFSKNGVFHTLMHVTRGEPVKWRGRVVVPHSGSALRDVVSYGGPWQL -DTPTTTEDLVLMACKPDKTIEYHRYRPGVMSIDGEPVMFISDDFGKGSSGSPFFINGEPVGFYGFGFYVN -GIYRSTVAGGKPTDVTESLNCDSTRRFVTWHPGKGKTRKVIVEETKKNYDSNQRTVILTPTRVVMAEVVE -ALNNSGMRSDKNLSYCTRNLITVACHATFTKFVLSHGAKKVRVAMIIMDECHFMDPMSIAARGILEHLHG -QGTKLIYLSATPPGHAPDTGSNYAISDQSISFPTWLSPAWIGNVQKSVGAKKTILFVPSHNQANTLASAI -PGSVPLHRANFSSNYAQAGDAATALVISTDISEMGANLGVDLVIDTRRALRPLVDSATRVKLVETNITTS -SMIQRRGRTGRREPGTYVYPIDSQTEENPVSWVCWPEAQMILDQLGMTFMLEEAAYSQPPGRFTLVGEDR -MRFLKLMDRDDIPIWLAWHWAEAGDRRHSALFQGAGTGKIIENRFGKQEYRPQYVDDRFESIEWETRKVS -IDFYMNCRGGPTLYEFFTVVDWTDIWRRTASALWDLSDVMNGEVRDRYTTERSLTVVMAFVLGVSIMLSC -FIAVWALCFLFSLFRPKKATYEQMPSSDPLSGGVLVSTPSVLYCMGVPLGFCVVITLAMFLVYPVLYKSI -GNRSYMDSDLVKWVILGSCLICGVLAWEMRMFPNIRSDLMELVKAVKEPEEVVNSGPSFPSWEIAQGKGA -TMLDSLQVFFFITVLSTKFLYWFQENWTARMYAMKHPEMVSSIGGFRFDEIPFRAVLPSGFAIVAIASLP -SVVVGLLAAGVFMAIMYCQNKWNATPKILTALDARDQRHDRPTEITSRVPLENTRSIMYAFCLIFSLFWA -FCTRSPGDFLRGSLVVGASMWQILHPRSKIHDVMDFGSMVSAIGLLEMNYLFYRFMHIAARALGAVAPFN -QFRALEKSTTIGLGMKWKMTLNALDGDAFTRYKSRGVNETERGDYVSRGGLKLNEIISKYEWRPSGRVVD -LGCGRGGWSQRAVMEETVSSALGFTIGGAEKENPQRFVTKGYNLATLKTGVDVHRLTPFRCDTIMCDIGE -SDPSPIKEKTRTLKVLQLLENWLLVNPGAHFVCKILSPYSLEVLRKIESLQHLYNGRLVRLSHSRNSSVE -MYYISGARSNVVRTTYMTLAALMARFSRHLDSVVLPSPVLPKGTRADPAASVASMNTSDMMDRVERLMNE -NRGTWFEDQQHPYKSFKYFGSFVTDDVKVGGQAVNPLVRKIMWPWETLTSVVGFSMTDVSTYSQQKVLRE -KVDTVIPPHPQHIRRVNRTITKHFIRLFKNRNLRPRILSKEEFVANVRNDAAVGSWSRDVPWRDVQEAIQ -DQCFWDLVGKERALHLQGKCEMCIYNTMGKKEKKPSLAGEAKGSRTIWYMWLGSRFLEFEALGFLNADHW -VSREHFPGGVGGVGVNYFGYYLKDIASRGKYLIADDIAGWDTKISEEDLEDEEALLTALTEDPYHRALMA -ATMRLAYQNIVAMFPRTHSKYGSGTVMDVVGRRDQRGSGQVVTYALNTITNGKVQVARVLESEGLLQADE -SVLDAWLEKHLEEALGNMVIAGDDVVVSTDNRDFSSALEYLELTGKTRKNVPQGAPSRMESNWEKVEFCS -HHYHEMSLKDGRIIIAPCRHENEVLGRSRLQKGGVVSISESACMAKAYAQMWALYYFHRRDLRLGFIAIS -SAVPTNWFPLGRTSWSVHQYHEWMTTDDMLRVWNDVWVHNNPWMLNKESIESWDDIPYLHKKQDITCGSL -IGVKERATWAREIENSVISVRRIIDAETGVLNTYKDELSVMSRYRRGNDVI - ->AOR51360.1 polyprotein [Anopheles flavivirus variant 2] -MQVKRVKNREGGGGKPGIKGKTGSLLSRALKMVSGDLSETLLQLIVAVVAMWNNLLRRVQALERRVSRET -RQRTGDDKHALVCLILGFMVALGCAKTMHGYMDKGEHVWKADWNVDFGTVKLPKDFCGKGVHVEKMCPQI -DLLHDAEVDCAGKHDHFIVNYTRCAAKTRVKRNEARPFEERVLNVWTITFNEELNGIIERVNDILRNNRL -LATVAICVIGMGKRWPTWLVVILVLLPWTVVQASLADPFLILPRGDGLVKTRLYPGQISSISTHVGVLDM -SYMAIEVEEGRPVEKLMSHCEVNGTYSQDCCALGCNLDLSKLNERNRACQTATYNRGWATGCPIFGMGSV -ATCVEVTCSDSVEVSELTSQNVRIPLSIRLQHEELNVTMTTDSPMTSKFSHHGVVSVSCRIGNPGFLAQQ -YVLSNGKHKAMFPISAILAWPGIREIDGVYRNVDGSVKWGHVEANEIKVAAVYSDSIQWKTGIPIKAGIG -DPLFLYCEVSLSELTFRNLTPCELPVEVTFTPGPTGLDGRLEIGLLGVSNKTCSVTGTCDGCILPHSTSH -IPATEKRGHMHVECQAGTFTVIFGKQKFSFVCRASYFKTVWATTAQAISNYRKFGIEASGGPFLDLWNKI -GPNFSRLEIMGALIVAALLVDKRLLLVLAVFGYFAYVRADIGCGIDMSRKTFSCGEGVFIWNDLSSQTWT -YGVEVVETELLEAYIGQMLEEHTKVCLLCEDILQCSAARRLAASVSKNQQGVFYNDSLSYGNVFPLRGKR -QVTITANGHSMVVATFEIKGEVMESLLGKLQWNMWNPRPADESVDDKVIRVLTTGSNITAVCNKAVGFEY -VFDRFTRKMYGSSVLVRSTSQISYTCPTYLAGAAIKNNRTIHTDGFMWMDSVLQANGTYRLSALSIRQSH -ECDWPITHTINPTDARDRALFMPTKFGAPASRANHLPGYRTQDTFPWHKAPIELIYGVAPGTTLKEMPNC -ADRGDALPIYSQETRDWCCKTCLGQGTPPFHLLVENVFYYPEEVRPIPVPTKVTESDSVPVQAPPIMKTI -GVDAAQDFQQGPGEGTFVRLALLAIALHLISQNTRHGWITRVACASLMFSITGLPYHLRYWWPVIGFSVL -KNASGQSLLVSFWLALHTTGGHLVCLGSALRQTRWCYRVQSLMMLLASLSLYWFRGVTWLMKTVDLIAPL -ISMYFLCKARALLLGEIVLIGSGLVLSWANALLTLGVLFGIRMAIALLRRKANVFEPGLRDSTSLCNFPR -EVVKDMWIVLKNWRKVPVPWRSSGRTHISQLAVYLLMLALAYVFHLLNLEAMAGACVLIGFLWILLGDTL -TAGDLELRRVSALEVPQGLEKIVVNREFQGEHGRFTEAGVKLDNFNDETNVRFSVLVLGFVAALITVNPV -CGVSIGVIIWVLTTCPLARDLAMAASCFRSNEGFAVLMPDVKDVVVGTRFHSLPDGVYSVCRRSWNGLNH -VGAGVAKNNVFHTLYHVTRGEAISWACEKVGPTSGSALKDVVTYGGEWQFPLVTEDEAIVKIVNSDQTVS -HCLTNVLGLNVQGEEYGVIGHDFLEGSSGSPVFSSQGEVMGLYGYGFYDRENRYNSLISFMKVDESTPNV -DVVSDHPTPVGTRTFIDWHPGKGKTRKVILEEAIRHVNENKRLLILTPTRVVMNEVLQALSGNLPFGVKV -GKHLTKSRSFQVTVACHATLTSHVLQHGLKISFSTVIMDECHFLDPLSIAARGIMEHMHSKGTALMYLSA -TPPGRAPQAGSNYPVLDIPATMKEVDRSFVAAHAGEKTIVFVPTIAHAIKLSDQIQGSVVLSRQTFDANM -EKAADPGTKVVISTDISEMGANLGVDTVIDTRMCVRPVVEGRMRVRLERVPITHASAVQRRGRTGRRQPG -RYVYDARVEPSDECSTWVCWKEAQMLLDQLDMTPMPEEAENFDPPGHYKLMSDQLRQFMELMNTEMPVWL -AWNWANSSASRQKVIFGGSEVVDKKTPVIVTPAGRQLYNPQFVDDRFEIDDLTKFHATVQKYLRMRASVN -WEGLIQGWWHVATKSDFSLVKQAFFNTMERLHDLSRWDDDAMRSSDMTESVGTWLVVVITAVTTFTFSIM -IFWCCRCCRFSKATRSQEIAYASAVSERGVSGVWSSMTVPVLGWVAGIPGPILFIAAICLGLVFAFMCNS -STRSYIDHTLSWWVLILSCAIAGVVAFELDLMPRTFAVLSKLATIGVATSGEPNMEPSLGTGRITVELWI -VMMVMYMTALVIAPIFKARIQGKSIAAVFASEPLASAHIGGLRLTTMHAMQAAICLFIVYNYANLPTCVV -AGALSAAFLVVFSLDVKYAFSPTMVRALEAKCNKRETDRPTLEWEEDTKGRQLYFTMSVVVVGLWMTIVR -DQLTFVTAIGIGLHAIMCLIAPEHPFHRNINQGLITMLFGFLVEPVKLTFILAFCAWGVMHYMRPSTYRS -SNKGDALNVGMKWKRVLNSLTQKQFEAYRSRSVDETPRGDYVSRGGLKMREIIEVHGWEPNGKVVDLGCG -RGGWSQHLAMDRRVTSIRGYTLGGSSRENPEVFMTYGYNLCTLKPLVDVYTLEPYVTNTVICDIGESDPS -AVVEKTRTLKVLTLLENWLAVSKGANFVCKVLSPYHSDVLKKLETLQHAYGGRLVRLKLSRNSTAEMYYI -SGPRANIVKAVYITLRSLIGRFSTHDTSFEALAPVLPTGTRADPSAKAKAANQKLLARRIQRLRHENADT -WFDDVENPYNSFSYHGSFVTDAITGGGQTVNPIIRRLMWPWEQVAKVTGFMMTDVSTYAQQKVLREKVDK -YVEEPDQRMKQINRQLALFIAGLYKKQGMRPRRLTRQDFINNVRSDAAVGGWANDMTWSDVEAAITDPLF -WEMVDRERQLHLSGDCELCVYNTMGKKEKKPAILGRAKGSRTIWYMWLGSRFLEYEALGFLNQDHWVSRD -HLPCGVGGVGVNYFGNYLKEIAGKGRWLIADDVAGWDTRITESDIEDERALLLSLVTDPYHMALIDSIFT -MAYRNIVALFPRNHKRFGSGTVMDVVSRTDQRGSGQVVTYALNTITNAKVQLGRSLEAAGLLEADDKTIQ -IWLHNHGEEALSKMTVAGDDVVVATDSDSFHTSLQYLNRNGKVRKDIGLLEPSRRSDNWEEVEFCSHHFH -PVNLQDGRVLIVPCREQNEIIGRSRLQKGGIVSESEGACLAKAHGQMWALYFFHRRDMRLAFAAITASVP -SHWFPKGRTSWSVHQKHEWMTTNDMLEVWNDVWIRNNPWMREKDPVSSWSLVPYLPKKQDIACGSRIGTP -DRTQWAKEMPELVMKLRRVLDKNEGPQRYTDGLAILGRYHATLPPATGICV ->APX56318.1 polyprotein [Mosquito flavivirus] -MKKKEFKAQGRAQVPWPLGRSREGEVKKKKEKERDTAERRQVPNKRANQRVGLVANAGRRGGLLGRLGIG -WGAFLQEDIIQAIMHLVLVLHALFASFDRRIRTLARRVSALEVRRSANNPLYMAITLSFLMVLCGCVIID -MQVSTAKGMQIFEGKVNRTEHLHLFKLPADGCWNGILVSKKCPRVDNLSKDLESVDCGSTWIEFTLRYHR -CLPKKRVKRAPETPKTDLLAEVEMVTFKTIRENKTIFIIGLLCVAIAKRWPMWIVILLAVGTWTTVKGEF -VEPLYTLKAEKMTMLQTIVRPGEGYVIATPNGLLEFKTGAAEIYGGQWLRELLADCYVNATYSTDVCPGG -SQLNMAEIMAKERVCTTQPYNRGWGTGCFKWGIGFVGTCVELHCEKGYNVSSIARSAIVMNVTASFHSVS -NTQQMTGDIPVTFRFAKLGNAAMTCRLESEQLLLDYYHVSGDLHEGLFLRSQIDSWPGVHSTAGGRVGLE -KVVVWGDTKSNEVLVKNIIEPHLSWDDAIATHDGFRDISFACQIMLDKLVSGAFKDCQGLKSSMFVQDGF -GYSGVVITTLTHATNESCSVSLVCHGCYLQSTKLVFAPGSTSAKAFVGCGNHSGTLVVGNGILPINCVMN -PISQGWRLAKHVVDKYNRFGVPGVAGVWHDFFGKFSLGALFSNTTLLIIIAVACIVDKRVAFLLVLLGYL -YFARADVGCGFDTERKIVSCGSGGFVWKNIAQWPTGDHSVELEDLEVVSALITEQLRRTSKVCLICEDVL -QCAAARSIAHSITKVDNDLVYTNDTMSYGRTFPRLRKAVHGVKIGDVTMQLALAAVNGSLDAEQFGTLNS -GFFTYTKVNETDSHKVVRVVTSGSPYKHVCAQAFALQYGFVRFTRKVYGSNIVVKPVEQPSEICPTYLAG -SYVKNDIAAYTDGMMWMRSRKVNGTWELFELELTQSHQCIWPREYTFDATAYNDSSLFMPAVYGAPMSRA -NHIPGYKMQTEFPWYKADIQLYSGPVPGTEVESSDSCDNRGSAVKVEPTVAKKWCCKTCLRNGREPIHLK -VDGAYFYPMEIRPVTPHSTLTIEKGGQPIDDMDLMFNNTIPAFPGTGAFPDFQNSLPVEGMSPLLVGVFL -HFLTLRTRNRWMQRTCGTWLLFLVCGVPSDGWRGWSWIGLSYSLAAVPHGTTLLVHFWLALQYSASHLFF -LGWVIRRRVESSHEYALTLFAAEWLLSRVQELLPSTSLLDHMVFPIYVMLALNMKSQFVPVDTIVLLNYV -VAHPLTALVTVTVGALATFFIHVYRNWKSSPNLWKSGLRASKSSMLLGLYYVMLYVLCAFLEGIGMPRTA -QVVFLGGLLLGIVTRMAPPMRLDLVPVHGNDVPRDCEEQPTRLPPGLEGSYGADGVEFTNLTDVGSITMG -ILVYVGCLGVMVLNTPLGVVLMCVCWWTKAPLWLPRYLAGSTAYRAGVNDLLLAPPVYADEVNVETDFGH -IPDGTYQVIGTTLCMKFHVGAGQVKDGVFNTLWHVTSGGTVHWQGRAVRAHSADVYKDMVSYGGPWNIAD -SQEETVVVRVVQRDGSVSCEKLSTALVTVNGSPVRVVGKDFGHGSSGSPIHALDGRVVGLYGYGFFVGWD -YFSLVTSGNVTANAVEEEDRSTRKFVDWHPGRGKTRKVLVEEAKTHIANARRLLILTPTRVVKDEVILAI -NEACPGVVIGSNLAMHRKNAVTVACHATLTQYVMEKGVDSIKFSTIIMDECHFLDPLSIACRGIMDHHNT -KGVNITFMSATPPGMPGNSNSNREIEDVAITFPRELTASWIRKHADGKTIVFVATRHQAMSLARDLKGVA -LTRETFDTAIVKARRPDTEFIISTDISEMGANLGVKTVIDTRVAVKPIMSEGCVMLEKVGITQSSAIQRR -GRTGRREEGKYIYPLGVELEYSPNNWACWSEAQMVLDQMMCGPMREEAEYFQPIGHYLLEQKNRLRFVEL -VKKDIPVWLAWHWAKSSDHRHTILFQGKDKTKLKIRTEAGDHYYAPRFHDDRFEKCQELEKRTKLSIFLK -QRSGANFDALGVMHGLYVAITSINLDMLSTSFRGAVENLHVIASVDDPYVSNVTKGQSIQAWVTVTMGVA -LGVLVVLLLIAVVKCTCWCFGGKKAPFEHVTSQPFVSYASGGLSQLGSIALAVGPMCAVVVGIPPVFVFV -AVVGLFVIICCNSNNVHRAYTGDTVTITVIAVCVCVMGVVAWELNLLPNVRRDLTTLIQTMKEKPATPAS -PAATPPNPMDVLQITSLPGALIVSFAVAGIGGVITNFMTDNTFLRRLFSNEAHSASVIGGGQIALIAWET -MIPVAFAAYFATTFVTKIYGGMIGGVYLVLAHYDRKYAFAVKAVRVLIARTSKKDVEDDISGRDGTTRAR -PTFYMLQIAVSILWTLTAPSLVHIGVTVAICSFTFLMFRRPQHHLLVLFDYASVLLILMIVLEMGQVIFV -GPSLLFWYVVHPARGGLRSLVKTDACGLGYRWKEILNSLDKPSFDQYRVRGVNETDKGDYVSRGGLKMDE -VLRKYQWEPKGRVLDLGCGRGGWTQRLVMDTRVNSVVGYTLGGNNRENPQPFRTKGHNLAVLKAGVDVYR -EQPQDCNTIICDIGESDARPDIERTRTLKVLDLLERWLSHNPHAAFCCKVLSPYHIEVLRKLESLQHNYE -GRLVRLSYSRNSSAEMYYISGTRANIVGTVYQVLGALIGRFRRNDPVVLETPPKLEVGTRSDPRSKVKGQ -DPTLVARRVGRLQRENGRSWFFDREHPYQSFNYHGSFATDDISPGGQTVNPLIRKIMWPWDFLARTTTFM -MTDVSTYSQQKILREKVDTLTPEPDKRTQDVNRLIIKHFSAMFKKRQLKPRVLSPAEYVRNVKSGAAVGG -WSDDMPWNGVREALDDPVFWSMVDRERALHLRGDCELCVYNTMGKKEKKPSSFGEAKGSRIIWYMWLGSR -FLEYEALGFLNEDHWVARENFPCGVGGVGVNYFGYYLKEIAQRGRWLVADDVAGWDTKITEADLQDELWL -LLDQVQDPYHAQLIRSVFKFCYMNMVALFPRNHPQFRSGTVFDVVSRTDQRGSGQVTTYALNTVTNGKNQ -VGRLLEAEGLLHAPLEQIDAWLTLNLEQALSGMVVAGDDVVVATNNENFHTSLEYITSTSKIRKNLGPTE -PSPRHTSWEQVEFCSHHFHPLTLRDGREIIAPCRDQHEIIGRARIQKGGIVNMSAAGCLAKAHAQMWGLY -YFHRRDLRLGFAAIVSAVPSTWIPTGRVSWSIHQHAEWMTTQDMLEVWNTVWVMNNPWMGVKDPVQAWSD -IPYLPKSKDIACGSLIGEKDRAAWSKNLVSTIDTTRRIIEQESGAQKFTDGLKILGRYRVTRDPVFG ->BAR88121.1 polyprotein [Mosquito flavivirus] -MKKKESGAVERAREVRLSANRGREGFAKKGKDRGGRQILRSDGFNPGGQDRGKQIKGSGRRRGLLGKLGL -GWGSFLQRDIIQAIMHLVLVLHALFMSIDQRFRALTRRVRALENRRSANPLLVATLVCAAVTLCSTMVLD -MQVSSAKGVQIFEGKTNRTDYLHLFKLPKDGCWSGVLVSKHCPKVGDLAKDLEATDCGSTWSEFTLRYNR -CVGKKRVKRAVEQPKTDLLAEMEMVTFKTIRQNKTIFIIALLCVAVAKRWPTWIVIVLAVGTWTTVKGEF -IEPLYTLKAEQMTMMQTVVRPEEGYVIATPNGLIDLQTSAAMIMGGQWLRELLVDCQVNATYSTDVCPGG -SQLNMAEISSKERVCTTQPYNRGWGTGCFKWGIGFVGTCVELHCDEGYNVSSIARSAVVMNITATFHSVS -DTQQLVGDIPITFRFAKLGNAAMTCRLESEQLLLDYYHVTGSKQEGLFLRSQVDSWPGVISSAGGRAGLE -KVVVWGDTKANEILVKHVIEPQLNWDESISTHAGFRDVSFSCQIMLDKLVSGVFRDCQGLKSSVFVQSGF -GYNGVVITTLLQPTNESCSLNMACHGCQLLSTKMVFGAGKSVARAYVGCGNHTGSLVVGGTAVPIECVMN -PISQGWRLTRHVVDKYRRFGTQGIAGVWHDFLGGFSISNLITNSTLLVLLAALAFLDKRVVVLLLVCGYF -YYTRADVGCGFDPERKIMSCGTGGFVWKSISQWPVNDHSVQLEDDAIIVSLVTEQLKTINKVCLVCEDVL -QCAAARSVVNRITHVNNELVYVNTSLSFGRVFPRVKKTVHGVKIGDITMQLALASLGGKLDESEFGHLAS -GFLSRGQKNETNDHKVIRVVTSGSEYQKVCEQAFALQYGFVRFSRKVYGSNVVVKPVEKPSEVCPTYLAG -SYVKNDIGGYTDGMMWMRSRKINGTWELFELETTQSHQCIWPQEYTFDLTTHNDSSLFMPAHFGAPMSKA -NHIPGYGTQDEFPWYKAEITLRQGPVPGTEVESMDSCDGSGSAVKVDPAIAKKWCCKSCLANSRKPIHFI -VDGDYFYPMEIRPMTAHSKLVIENEGVEIDEMDRMFPKTVSPVPGAEALLQDFRDSLPVDGSSPLLVGVL -LHLLTIRTRHRWAQRTIGTWLLFVVFGIPVGGWYGWSWIGLSYSLAAVPNGSVLLVHFWLALQFSTSHLF -LLGWLLRQRVESSVEYAFTVFAVEWLVLKLQRLIKQASHLEHVLFPLYVKLALNMRNQFAPVDSMVALNY -VMVHPLMAFLVSMGVALVIFVTHVCVNWRRSPNLWKSGLRASKQSALLGVYFALLYVVSVLLHLAGLENT -AAAVLLGGLLIGLMSRLVPPTKFVLVPVPGTSVPSNCEESYTRLPPGLEGVYGPNGVEFLNYTDVGLVSV -SILMYTGCLGLTLVNPWLGVVLMLFCWFTKAHVWLPRFLFGTSNQRSGLNDVLLAPPIYADEIDVESDFG -HLPDGTYRIVAQYLCFRYHTGAGYAKDGVFHTLWHVTSGACVYWEGRAVRMHSADVYRDMASYGGPWNIN -ESSEASVVVRVVESDNSVTCVRCSTAQLKINGENVAVIGKDFGRGSSGSPIHSLDGRVVGLYGYGFHIGW -EYYSLIISGEVAMAAEIAEAAGSSRNFVDWHPGRGKTRKVLVAEAQDHIRQGKRLLILTPTRVVKDEVIR -AIGEACPGVVIGSNLAMYRRNAVTVACHATLTQYVMEKGIDSIKFSTIIMDECHFLDPLSIACRGIMDHH -NAKGVNVTYMSATPPGYPGSNGSNYNIEDIAIKFPREITAAWVRKHAIGKTIIFVATQHQATTLAKELNG -VALTRETFDTAINKARSPDTVFVVSTDISEMGANLGVKTVLDTRIAVKPVLSEGAVMLEKVGITEASAIQ -RRGRTGRREEGQYIYPLGVELEHEPTTWACWTEAQMVLDQMLCAPMREEAEYFQPIGQFLLEEKGRVRFA -ELVKKDIPIWLAWQWAKAFDHRHTILFNGAMRTKLKIRTEAGDHMYAPRFHDDRFEKCNELEKRTKLSIF -LKQRSILNFDVLGVAHGLYVAVTSINMEIFSTSFRDTIEHLHVISNVDDPYISDFTMGQSLNAWTAVLIG -AVASILLLSVMIAMYKVVCWVFGCRSSQVSTPQPTIINYAQGGISQLGSTALAIAPMCAVLAGIPPAFVF -IAVVGLFVIMSVNATNVHRAYTGDTITIIIILVCLMVMGVVAWELELMPNTRRDLMRLVHFLFEKSVKPA -SGASRVPDPPSLPFSITSLPGALCVSYAIAGIGGVFANCWSDGSFLRGLFANEAQSATVIGGFQVSAIAW -ETMIPVAFAAFFGTTFVTKIYGTLIGVIFLVLAYFDRKYAFTNRAVKVLVARTSKKDVDEDISGRDGLSH -ARPTFYMTQVLSAALWALTAPTGMHIAIFGSICFYTFLTFRRQNNHFLALLDYTGLLLSLMVVYEPGLVL -FVGPALLFWFVAHPNRLATRSLVKTDTCGIGYRWKEILNSLDKKSFDQYRSRGVNETDKGDYVSRGGLKM -DELLRKFQWEPKGAAVDLGCGRGGWTQRLVMDSRISSVQGFTLGGNNRENPQPFRTKGHNLAVLKAGVDV -YAEPPRDCNTIVCDIGESDSRGDVERTRTLKVLALLEKWLEHNPNAAFACKVLSPYHIEVLRKLETLQHR -YGGRMVRLSYSRNSTAEMYYISGPRANIVGSVFAVLGALLGRFRRNDPVIVDSPPKLELGTRSDPRSKVK -QQQPQLVARRVQRLKNENAHTWFVDREHPYQSFNYHGSFATDDISPGGQTVNPMMRRIMWPWDFLNRVTT -FMMTDVSTYSQQKILREKVDTLTIEPDAHTKDLNRLIMKHFSRMFKRRGLRPRILTPADYISNVKSGAAV -GGWSVEMPWNGVNEALNDPLFWNLVDRERALHLSGDCELCVYNTMGKKEKKPASFGEARGSRIIWYMWLG -SRFLEYEALGFLNEDHWVARENFPCGVGGVGVNYFGYYLREIAQKGEWLIADDVAGWDTKITEADLEDEL -WLLLDMVHDPYHAKLISSVFKHCYMNMVALFPRNHPKFRSGTVYDVLSRTDQRGSGQVTTYALNTVTNGK -NQVGRMLEAEGLLHASLPIVDAWLESNLEHILGAMVVAGDDVVVATNNDRFHTSLNYITSTSKVRKNLKP -TEPSPRYTNWEQVEFCSHHFHALVLRDGREIIAPCRDQHEIIGRARIQKGGVVDMSAAGCLAKAHAQMWG -LYYFHRRDLRLGFAAIVSAVPSNWIPIGRVSWSVHQHAEWMTTQDMLEVWNTVWITNNPWMMTKDLVKTW -TEIPYLPKSKDIACGSLIGERDRAAWSKNIIATVQTARKIIEQESGGQKFVDGLQILGRYKIQQDPVFGQ diff --git a/seq/clusters_seq/cluster_1380 b/seq/clusters_seq/cluster_1380 deleted file mode 100644 index 60dc066..0000000 --- a/seq/clusters_seq/cluster_1380 +++ /dev/null @@ -1,45 +0,0 @@ ->NP_056903.1 envelope polyprotein [Equine infectious anemia virus] -MVSIAFYGGIPGGISTPITQQSEKSKCEENTMFQPYCYNNDSKNSMAESKEARDQEMNLKEESKEEKRRN -DWWKIGMFLLCLAGTTGGILWWYEGLPQQHYIGLVAIGGRLNGSGQSNAIECWGSFPGCRPFQNYFSYET -NRSMHMDNNTATLLEAYHREITFIYKSSCTDSDHCQEYQCKKVNLNSSDSSNSVRVEDVMNTAEYWGFKW -LECNQTENFKTILVPENEMVNINDTDTWIPKGCNETWARVKRCPIDILYGIHPIRLCVQPPFFLVQEKGI -ADTSRIGNCGPTIFLGVLEDNKGVVRGDYTACNVRRLNINRKDYTGIYQVPIFYTCTFTNITSCNNEPII -SVIMYETNQVQYLLCNNNNSNNYNCVVQSFGVIGQAHLELPRPNKRIRNQSFNQYNCSINNKTELETWKL -VKTSGITPLPISSEANTGLIRHKRDFGISAIVAAIVAATAIAASATMSYVALTEVNKIMEVQNHTFEVEN -STLNGMDLIERQIKILYAMILQTHADVQLLKERQQVEETFNLIGCIERTHVFCHTGHPWNMSWGHLNEST -QWDDWVSKMEDLNQEILTTLHGARNNLAQSMITFNTPDSIAQFGKDLWSHIGNWIPGLGASIIKYIVMFL -LIYLLLTSSPKILRALWKVTSGAGSSGSRYLKKKFHHKHASREDTWDQAQHNIHLAGVTGGSGDKYYKQK -YSRNDWNGESEEYNRRPKSWVKSIEAFGESYISEKTKGEISQPGAAINEHKNGSGGNNPHQGSLDLEIRS -EGGNIYDCCIKAQEGTLAIPCCGFPLWLFWGLVIIVGRIAGYGLRGLAVIIRICIRGLNLIFEIIRKMLD -YIGRALNPGTSHVSMPQYV - ->sp|P22430.1|ENV_EIAV5 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 90; Short=gp90; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 45; Short=gp45; Flags: Precursor -MVSIAFYGGIPGGISTPITQQSEKSKCEENTIFQPYCYNNNSKNSMAESKEARDQEMNLKEESKEEKRRN -DWWKIGMFLLCLAGTTGGILWWYEGLPQQHYIGLVAIGGRLNGSGQSNAIECWGSFPGCRPFQNYFSYET -NRSMHMDNDTATLLEAYHREITFIYKSSCTDSDHCQEYQCKQVNLNSSDPSNSVRVEDVTNTTEYWGFKW -LECNQTENFKTILVPENEMVKINDNDTWIPKGCNETWARVKRCPIDILYGIHPIRLSVQPPFFLVQEKGT -ADTSRIGNCGPTIFLGVLEDNKGVVRGNGTACKVSDLNINRKDYTGIYQVPIFYTCNFTNITSCNNESII -SVIMYETNQVQYLLCNNNNNSNNYNCVVQSFGVIGQAHLELPRPNKRIRNQSFNQYNCSINNKTELETWK -LVNTSGITPLPISSEANTGLIRHKRDFGISAIVAAIVAATAIAASATMSYVALTEVNKIMEVQNHTFEVE -NSTLNGMDLIEQQIKILYAMILQTHADVQLLKEKQQVEETFNLIGCIERTHVFCHTGHPWNMSWGHLNES -TQWDDWVSKMEDLNQEILTTLHGARNNLAQSMITFNTPDSIAQFGKDLWSHIGNWIPGLGASIIKYIVMF -LLIYLLLTSSPKILRALWKVTSGAGSSGSRYLKKKFYHKHASREDTWDQAQHNIHLAGVTGGSGNKYCKQ -KYSRNDWNGESEEYNRRPKSWVKSIETFGESYISEKTKGEISQPGAAINEHKNGSGGNNPHQGSLDLEIR -SEGGNIYDCCIKAQEGTLAIPCCGFPLWLFWGLVIIVGRIAGYGLRGFAVIIRICIRGLNLIFEIIRKML -DYIGRALNPGTSHVSMPQYV - ->sp|P22428.1|ENV_EIAV2 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 90; Short=gp90; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 45; Short=gp45; Flags: Precursor -MVSIAFYGGIPGGISTPITQQSEKSKCEENTMFQPYCYNNDSKNSMAESKEARDQEMNLKEESKEEKRRN -DWWKIGMFLLCLAGTTGGILWWYEGLPQQHYIGLVAIGGRLNGSGQSNAIECWGSFPGCRPFENYFSYET -NRSMHMDNNTATLLEAYHREITFIYKSSCTDSDHCQEYQCKKVNLSSSDSSNSVRVEDVMNTTEYWGFKW -LECNQTENFKTILVPENEMVNINDTDTWIPKGCNETWARVKRCPIDILYGIHPIRLCVQPPFFLVQEKGI -ANTSRIGNCGPTIFLGVLQDNKGVVRGDYTACNVSRLKIDRKDYTGIYQVPIFYTCTFTNITSCNNESII -SVIMYETNQVQYLLCNNNNSNNYNCVVQSFGVIGQAHLELPRPNKRIRNQSFNQYNCSINNKTELETWKL -VNTSGITPLPISSEANTGLIRHKRDFGISAIVAAIVAATAIAASATMSYVALTEVNKIMEVQNHTFEVEN -STLNGMDLIERQIKILYAMILQTHADVQLLKERQQVEETFNLIGCIERTHVFCHTGHPWNMSWGHLNEST -QWDDWVSKMEDLNQEILTILHGARNNLAQSMITFNTPDSIAQFGKDLWSHIGNWIPGLGASIIKYIVMFL -LIYLLLTSSPKILRALWKVTSGAGSSGSRYLKKKFYHKHASREDTWDQAQHNIHLAGVTGGSGDKYCKQK -YSRNDWNGESEEYNRRPKSWVKSIETFGESYISEKTKGEISQPGAAINEHKNGSGRNNPHQGSLDLEIRS -EGGNIYDCCIKAQEGTLAIPCCGFPLWLFWGLVIIVGRIAGYGLRGLAVIIRICIRGLNLIFEIIRKMLD -YIGRALNPGTSHVSMPQYV - diff --git a/seq/clusters_seq/cluster_1381 b/seq/clusters_seq/cluster_1381 deleted file mode 100644 index ca725d3..0000000 --- a/seq/clusters_seq/cluster_1381 +++ /dev/null @@ -1,18 +0,0 @@ ->NP_056664.1 matrix protein M1 [Influenza B virus (B/Lee/1940)] -MSLFGDTIAYLLSLIEDGEGKAELAEKLHCWFGGKEFDLDSALEWIKNKRCLTDIQKALIGASICFLKPK -DQERKRRFITEPLSGMGTTATKKKGLILAERKMRRCVSFHEAFEIAEGHESSALLYCLMVMYLNPENYSM -QVKLGTLCALCEKQASHSHRAHSRAARSSVPGVRREMQMVSAMNTAKTMNGMGKGEDVQKLAEELQNNIG -VLRSLGASQKNGEGIAKDVMEVLKQSSMGNSALVRKYL - ->sp|P06816.1|M1_INBSI RecName: Full=Matrix protein 1; Short=M1 -MSLFGDTIAYLLSLTEDGEGKAELAEKLHCWFGGKEFDLDSALEWIKNKRCLTDIQKALIGASICFLKPK -DQERKRRFITEPLSGMGTTATKKKGLILAERKMRRCVSFHEAFEIAEGHESSALLYCLMVMYLNRGNYSM -QVKLGTLCALCEKQASHSHRAHSRAARSSVPGVRREMQMVSAMNTAKTMNGMGKGEDVQKLAEELQSNIG -VLRSLGASQKNGEGIAKDVMEVLKQSSMGNSALVKKYL - ->sp|P13879.1|M1_INBAC RecName: Full=Matrix protein 1; Short=M1 -MSLFGDTIAYLLSLTEDGEGKAELAEKLHCWFGGKEFDLDSALEWIKNKRCLTDIQKALIGASICFLKPK -DQERKRRFITEPLSGMGTTATKKKGLILAERKMRRCVSFHEAFEIAEGHESSALLYCLMVMYLNPGNYSM -QVKLGTLCALCEKQASHSQRAHSRAARSSVPGVRREMQMVSAVNTAKTMNGMGKGEDVQKLAEELQSNIG -VLRSLGASQKNGEGIAKDVMEVLKQSSMGNSALVKKYL - diff --git a/seq/clusters_seq/cluster_1382 b/seq/clusters_seq/cluster_1382 deleted file mode 100644 index 59ca944..0000000 --- a/seq/clusters_seq/cluster_1382 +++ /dev/null @@ -1,15 +0,0 @@ ->sp|P0C747.1|HBZ_HTL1L RecName: Full=HTLV-1 basic zipper factor; Short=HBZ -MINFVFVGPFRCLPVPCPEDLLVEDLVDGLLSLEDELKDQREEEESVLDGVLSLEEESRLRWGPVGEEAP -PRGETHRDRQRRAEEKRKRKREREKEEEKQIAEFLKRKREKEAWRRRRAEEKAADRARRKLEEEERRERK -WRQTEQGAKQRSARKEKMTELGVDGYARQLESEAESLEAERGRLLQENDDLMGEVNYWQRRLEAMWSQ - ->sp|P0C745.1|HBZ_HTL1C RecName: Full=HTLV-1 basic zipper factor; Short=HBZ -MVNFVSVGLFRCLPVPCPEDLLVEELVDGLLSLEEELKDKEEEETVLDGLLSLEEESRGRLRRGPPGGKA -PPRGETHRDRQRRAEEKRKRKKEREKEEEKQIAEYLKRKEEEKARRRKRAEEKAADFARRKQEEQERRER -KWRQGAEKAKQHSARKEKMQELGVDGYTRQLEGEVESLEAERRRLLQEKEDLMGEVNYWQGRLEAMWLQ - ->sp|P0C746.1|HBZ_HTL1A RecName: Full=HTLV-1 basic zipper factor; Short=HBZ -MVNFVSAGLFRCLPVSCPEDLLVEELVDGLLSLEEELKDKEEEEAVLDGLLSLEEESRGRLRRGPPGEKA -PPRGETHRDRQRRAEEKRKRKKEREKEEEKQTAEYLKRKEEEKARRRRRAEKKAADVARRKQEEQERRER -KWRQGAEKAKQHSARKEKMQELGIDGYTRQLEGEVESLEAERRKLLQEKEDLMGEVNYWQGRLEAMWLQ - diff --git a/seq/clusters_seq/cluster_1383 b/seq/clusters_seq/cluster_1383 deleted file mode 100644 index 74f86f2..0000000 --- a/seq/clusters_seq/cluster_1383 +++ /dev/null @@ -1,84 +0,0 @@ ->AJG39240.1 RNA-dependent RNA polymerase [Jiangxia Mosquito Virus 1] -MFDTLENLRMEIKNIEDTRKPEDIPLIRMKLDQLMSEMTINGLDVDDQVNIENVMDLAYSVHEFSDTQMF -NITNNDRLPPRSNITNVRDQLSEYHNSLSRPRNNDDMPSIQLRDPMSDKCKIRLDSGIVKLVGEYTIEIG -HTNAKFDLDLDLRADPSVFMSESLPLAGSCLTSRSKSSSPSQILHNTVVFSLAKSILNHEMMIERQAKYT -PDILIRHNKGDLSIDVTGSSTRSKLVKISGYYSGIVIVINKYCFLSKIVDHNIIMLARWSKVVDQCDTEY -IYQRVCGQSKHFGRKPLVSIKTMNFFKSGTDPIGRSICEHYTSAVRQLTIRANKPVDQKLDVKVDFSPII -EVLEIIHNNPKRCEQYFPYASYQLSVHDGCRNAIFDPSTFNKVIEPENITSTVLDYKLDLIWDGMDKDLD -DYVNKKQLFELISSISVHEEAYKAAMDYASLKQSNDVGSAKFMLFLSHILGGVPMAVRSFFMSRVSTHTN -YYMDGQGNIKLKTRNLEAQRKLNPCPNVKGIKFEMRQLRVGALSVDPDHHNMCQKMDAKAALAHLAMSTI -YHNLRFKARIATAILLYHKPDKCMSVSHYNNDYIHAEVKISGLVLDSDRGVCMVSYFHRNALIRTEKWRL -SDIENFSINHHRYVSMVISLYKQKIARETRIEMCDLYGALLLENSWGISKFYKVFKYITYGSIAGSANSE -TAIKKLKSQIDESFKGKLSSYILSCILLNRIKNNKIEKGKSILFGLDFNLMSYELYLVNLCPQNTYGRKK -HLTDTMEELVEETKLYEDNFAMVQESYNLFDDLLESRDLDLAYENYFNQIDCLSDATSGRFTGTPLSIVL -MNDEIESLDFRRLDIISQLPHLSELLTARASYDPVNMSCSFAANTIAKLSLEFNTDSTSLLALYLLDNRR -LIDITMRMFDKNQVGGNREISILSNEFRILQVVAESFFKGIGMLTDNEMLNRKERYDDMMKIFEKSIDSD -SKIMCSIDQTRWGPNFNTSLFGLMALSMSRFTTEAYIPSLVCFLSEFKVFEIPPWLHRLTSSLTSSYSLP -GVLGRSHMAQGIFHNTSSVYHSLLTSMFGKMAIESSKIDNPEVVPEGFGLRFDSLITSDDCSMTFSVLLP -LRYRMEKLVHNKHNPYLEDLLTSTHRSLLNCVQNYGKIVKYIGIKTSEYKNIVSDDFLEFNSLYLSRSGI -SEPNLKFLYALVEPQTTGNFLQDYSNVLNGYYTALNCGVGETDAILICYSNYLRFCRQWLIRADITGFPS -IESMRYGLMPSLLKSGIDRTHMNLMRTRSYLRHKNRKIFEDVKGFNSTTLSEAYVDLAIRAIDGSRSQSA -HRSCITHYQGNRHLLTDSIMYQMFGAYGESFISFLKNNNMDPTHALRCYNNEVEIPYFYASENYITDPKK -KFTKIHLKKTRMSNLSIDSLLASLEPAKSTVKCTDTNDDFMRHMIRDTWIGEIIDPIITEQCKGLALSEQ -VMLLTSLLNNLYMNVGTCSRHIFNPTGKSIGYKCVIIAPPITQVDFDFTLSSFIDKSLPNLHGNVANTKD -YCSLLIVASNGSLSQRETVGNYQYDNTVRTPVFISAPSLDDILGSVCMRYVEVNRKYIKPGNKYYLNLLV -NTTTFNKSNKDKSASLFVDVPDNDEDFLKMFEDDPYGGANNNLFEFIGKFDDCERTDDQPEEEVDDEKIV -CAGATSIVQSMMSDTMIHMSPDNPLYKMVSLEVHQDAVMYTEGYGLCRLITNWFLNELLDLSVLQSSSKS -RGYILELIRSGEMKIYCTDKELPHKINQMVRHMSSRFQIEFSNSISDVKNFLYSLASGEPLVDEQSNIVH -KAPLMLCSSLYTISTRPEGSLRSKDEIIDIILGENFLPVLFR ->APG79236.1 RNA-dependent RNA polymerase [Beihai barnacle virus 6] -MTTRNDDRNVQDAYLDTLDPVDRHKVEQEIQNRPIHDNRFKQSIQHLSTKLNQITEYQPISESDNKLSSL -NLADLPIHFLNLTSGERLHVTEVTKCNRLLIKLNSGKITHNDILIGHYKYSSAAVLDKMLSATLILDHDV -NNMLELSSSSISSKTNHNSPSLVLHQLVLFSISRSFNASAKIEEPLGTYTPDLTVVNMKFDDQSNRKGFT -ANKMLIDITSMETLNKLRKMPRTILSLSLNKYYIAGIIGADPHLISLSYFNDSKDTSWIYQKLQIQKKHV -RPGPDFPSKTVIDHIIKRRPVANLTFNQFSELCRRRYINHIMQETSNQSNNKHQLDKPQLRQQIKKLFTA -IVTKHKFTHTRMKERDCHLFMNHSVPELYHEELKTVELEIDENRMTAMRELNDVSPYIVKSGLLKHIKET -NSHQESHVKLFTKTRFISIDIRAYERVLEYRYGKYIKDLRMGVKLIDIANQMRDLTGAYRMYCYETIRKR -LNLEISKFHSEIPEGKAHKLLKEPKKLKPVEEFPSRNYDKSTVYLKYRERIDPTHIKIIQESGLSDTMQS -TLINILEKSRNYSDLRFRHRVAIAIRNVRRGGTCFEVSHWFRADRLARVYISGMILQKDKGNCTVCYFDK -DRLCRTELWRLPDIENYSINFHRLVAMLVSLAKNTEDKSRLATYELIVGRMLNENSWGLSKFYKVYKYLA -TGICMGSVYIDKTVDKLIKTLDDSILSKPSLFFLAAVLKKNYTDDNINLDRTPLLSLPFELMGYESTLVN -VCPKNTYGKLKHLKDTLTELQDEIILFNRNLNNIMPLHTHFLEILTCSDNSQVSSMIDKHLQMMDRLSVV -TKDRFVFSPISAYIISRSVDDLMTNNKLVSGNLPHSSKILTAKASFCPRKYEDTMAVVSISNLKKSLGTD -NISLCALKLLTSQNPIDLYMRMFDKEQVGGNREISILSSDLRILQVVVEYFCRNISSFSNIDMLDKADKF -KNIIKTVNTSPNRILSLKGTFDQTRWGPNFNTLSFAYMLALMCKFTTEAYIPMAICILSEYKIFETPVYV -PELVLNADSKYSCLGILGRSHMGQGIFHQTSSIYHSFVISTINNIVEERIDNILSTKNLIDIEFRTHAFT -TSDDLCTVWNLDRIVYKGRKKKNLEEGEESNKRVKVTENRDDEEHEENSNLILDTDVIADIKFECMRWLN -NLQLIMRPFCIKTSEYKNILSESYLEFNSLYLGNGSIAAQYTKFLYSLIEPTTTGNLVEDINNSLDSYYQ -GRQDLLGDSDSLIISKMNIVKTLLQYKVDSAVCGLPSDNLIRLGPTRFMNVLGATEDDPRTLETRSHLKY -KTRGLLESCKMEATNRPNVDVSMLNVLNAEVIDSIAKSRGIQNYRSILSYDKSDKITIISDYLKTYDATS -ISYTMFLHLLFKERTFLHEFVNHSIQKPYIGVMNHRKANAAEYMYVTLKQTKGYKNTNESLCVSSFDRVP -FINRNSSHQDICLHLLRHKHTTTRPNIPNLLNTQSYVLRYDMIQEFMSDIRVRKAGCHTILTRKSNPTGQ -YKRLVVVPPAEMSVYKCTMNLNAITTTDHNMLDVKRGYVSSSSSLINVLRYDFVKNKFLQTEGIVGRQYE -LQGMSVASFNVEEVVDVMSQYDIANIIKMDYRMAKKYYDFCYLNFCLRGTDATKPKPTVVKPSTEEDMRI -MLLDDEELFGPLSGVIEEMCDAMHETGIMDVLNEGEQREMRNTEDDEMYGMIDYADHTDISENVIFSVKV -PAWMCIFLDRYVYKRMVNLSILELAECRRCCKTNIRLYEDMAKLLGYVFNRDVATKFNDFAARVVTTYSF -YLPEVESMWFNLLIAYLNNSLAFEDVSMEMLAHNSRKFRVSRKPMGKVLRRDHLERTLFRKNVEDLIPIP ->APG79360.1 RNA-dependent RNA polymerase [Beihai blue swimmer crab virus 2] -MTKVAETFRIYKIADLYEEVRSFKKSINALSYNREVWVNYFNFRLKNPDGLLRKLSMVKDNTWIKSNQKF -TFEFKNNVQELREKLPENYYFSNMTSDNLHISQCNKCNSILLNKTLIIGKHYKEINLTFKELNPEDVKEC -RSVLSSQLSQNSKTLSPGKRLVHLSQLLMSKSLIDYRVVIGVNDNEPHLTVFNGNQKYEFQFTSSKTIHS -DSNPDKIILDKYAIVNMLKNQINEVFCLSFFSEKKDVSWLMGLIDKQSAYVKRSPMMTENIISFFLNKKD -SLGRSIEEHKKILHKVMKEDFKNKMEEGKLNEDKAKKDELYQNKLKEIIDDSKSYLDKSRMLVEEKGHYF -IPKDIKGVNDFNYVFCNIEKVDYDEMLNKKEKSDDLEELIVNGIARIQKIVESGGQIADSNYTDENEVFM -RQTDDELQRDYETRSVGSRKQLYFEIDSVSVHPKTHEAYMNYSHEKNERILDKYLIYSSLREHVRSLDEI -MKHNLFNKLNREGIYYKDDEIFFKGKNFRLNEVTVPTKVRKMEVFNSKTSGLLETDEMSIDPDHIKVINK -MNLSDERKKEVFKHLAHSRDYQELRMRHRIAVAISLKHTPDSCIRVNHWLYKNFHAEVLCKGMVLIKDGG -IATVSYLHNMEVTRTEKWRSVDIENYSVSHHRLISVVLGYLDKMSKEQVDMNFLLTFYGRIISENSWGLS -ISFKPFRYLNYGVLVGSPKIDESYKKFTKTLEEKTLKKTSMLMLLEKFQSIEKYKTIILRENFENLGFDS -FLINLCPSKTYGQKMHMYGAMTDLYSELELFDSLREDVKSIYDDFTRILSTKSDDLEKAYREHLSKQDRL -SKKTNGRYTFTPATMILLLDELKKLNYNLFSSKATIRQMITSKASSDTFTSRNMMVGISMNMIAKHFSTS -SLPDLFFKLLNKYGALDLIMRMFDKDQVGGNREISILTNSFRTLQYEVESFFREACHAMPNEYLDKKTKA -KNLYDTATTSIESEKSFMASIDQTRWGPNNSTNMFGILALLMSDKNSESFLISAVCFISCFKSFEVPFQL -PDLLNKVNSTYSMLGMVGENHMGQGVFHYASSFMHALVTKTFQKIHREIIYESISVDPLITFYQESFVTS -DDKSVIEFVRLPAFHVVAKSVKREEFITASDKMDSLKTTAKAIIGLLWSLYGVYHENIMRFGIKTSNYKN -IYSSNFVEFNSIFVSEKGIGSNDLKFLYSLIEPSTTGSFLRDYSFSLARFHDAINNGCTPNSSFLISRLN -YLKMLRQWNIDSDLVGLPGDEVIELGVLPSMKINNPDKEDRRESILQTKSYLRFKTRKGLFSDFDDMDEI -GKEFLRQSVKKLIGTRSIQPHKSIITYHKGEKVICNRSKYLLKFNILGISYEYFIFKQNSDSFYYKKIMN -TDSEIPLSQDFKTVVADNKIFQRQVRKTSTIESIKPLMLVLSSEPCKYYLDPGDRGLDAIYYHLLRSQRI -GSINESCKHLIKGETFIEKVNNSYNLYSIIMDYKAQTIHMRFNPERHTETYMQQIIKLPVPIKVLNLELR -TIIPGAQLDSAKHYNCSKDPVYKISASGMGKMFSTESKGDLEFIYNNTTHYELLEPEILEMRKHITKEFL -IHELKYLKSPNVFLNLRLEKLIIDKMKKKKFYKKKNRESQDIPHDNSVTKEKHLGQNYAITFDQVECMTM -EQLINMDFILTDDMMNTFNDVLKEAEDQDYYMDAKDKEEMMEILNNDDIYKFVSVPIHRDYCIYATDYYR -RNAFTIASTNTLESVKSIQSQSRGHHKTLFLNLVDKRTKFNSSYYCFDSRLMSKINMMNAEYYKNLNSII -GRHEVEWEIMIKEILNGSDQVLIGEQLNHIIPLLVESNKYDISIGAKGEIITSGDALDLLK diff --git a/seq/clusters_seq/cluster_1384 b/seq/clusters_seq/cluster_1384 deleted file mode 100644 index 3fff308..0000000 --- a/seq/clusters_seq/cluster_1384 +++ /dev/null @@ -1,30 +0,0 @@ ->APG78170.1 RdRp [Beihai picobirna-like virus 5] -MKVKTMPLSKLRYSPDVNRKLQSYKEREDEGNDIDYRSNWYSEPHGSGKSPAKNSRISGVSGRVPMPSKE -IFAMLEKTGYWKELSKEHNDVMLFEKEFENKTDSPSSIVLPFSDSIEGVQKYYNDTIMHTDKVKFAKAVK -LVTDLYSKVMPKNSLSPITLLKSLERMPSTTNWCAPYFIPGKEMSYSDEGQITEVKDNSGKYLIVAKSVR -DAILSGEDPKVEDMAFWLLWSGQEGPDGSTKQSSTFGEGHGVTMMGGQLYPFIDAEAKLSFSAAMVDQKI -VDKNISEALEAGKCLYGFDASAFDTNLSAELLKAGSEMMLNSFSLSKDELKMLSWYFNKHIPTSPLITPG -GLFTGRTSSMPSGTTFTNSLDSICNLMAWAYVCVELKIPFEVIQVQGDDAVFVVPTSDTKMLDQIAEQYA -KVGFVVNSEKQWLSPESVSYLKRLHVKGSKSSYKSINWSVVGMANMERPKAWHEAMYSARLMMQASQMSP -EFYGYDTMMQFVAKGDMPYHLGLNSKAGTLGVLQAAGGAKKVANRLGFNSFSGNQYGVTSSNKSSDVSIG -VPDLNVARWVSGNSGSATSA ->APG78169.1 RdRp [Beihai picobirna-like virus 4] -MKWCLNSWRILWSMGEDSMSVKVVPVKNLRFSSDVHRSLSAQAEREMEGSNIDYRTPLFAKPSGSEGATP -TENTRISGVTGLVPVTSESIWENLSSTGVFKKLLKDDKTGNMLKFEKGFFDYVGSSRSIKAPFAESLSKL -NEYFGVPNFKPNRKAFEDAVKQTSLLVHKHIPKSSLRTVSIKEAINRLPKTTGWGAPFFLKGKEMTYDDN -GKQISIVDNTPMYGKAAELIQQAILKGEEPSWELTVNQLLWRGQEGRDGKTKQSIIWGAAHGVTIMGARL -FHSWIAKEMNLPWRKSLVQQEEVDKAMAVAFKNSEVMYGFDASGFDFHMHSEMMDAAAKIILDCFNLSDS -DLKIFKWYFKQFKTSSIITPTGVMQGSEHNVPSGDQWTNTIDSLVNLIGANYMSLRTGVTLSDFQITGDD -AVYGLTKAGEHFPTKAEAAYAELGWEVNSNKQWYDTNSVTYLKSLHLSGKEASYKSYTWTLISCMNMESP -KGWKWPMYSARNMVMTSNVSPAYGGYNDFMAFMAAGDKYGLGLKSKEGSLGMLRLAGSEQELIKRLDFQS -FAANQQGMDKTASGVSIDVPNLNTAKWVAAKQSVPSVKG ->APG78167.1 RdRp [Beihai picobirna-like virus 6] -MDHHMNEKETHKNQNLMSESIMSKIKVVPVSQTSLSKNPSVKKKLNAYSEREIDGVNIDYRTPFYAVPHG -SGSPVVNSRISGVPGLIPVDSNELYNKLAETGVFEELKSKEHPDVMEFEETFKPKVPSSSSIKLPWDASV -EKLTKYFDTVGYKPEKSAMNEAIRDFEAEVRKVMPAKSLSLMSLEAAMDTLPSSSNWGAPFFLPGKEISY -NDKGEEIATMDNSKRYLVRSKEIWKTLAQGQIPKLEDMCARCGWSGQEGNSKDGLSATKQRIMWECAHCI -TLINSSIQPYLDAFNLKMKWKAGLSDQSEVDASVSAELEGLGNDESLYGFDASGFDFHISHELIRAVVDV -ILRSFQLSKEEYKVLSYTLYNMFVSMPIITPNGIWEGATDNVKSGDKMTNNIDSSMNWIGASYVKIRTKL -DRFDTIQNVGDDSVIKTSFSDLKVLKRVSEAYSELGWEVNVDKQWFKPESVSFLKRLHVKGSKTSYRSYT -WVFIGLCNMEYPKGWSLAMYSASAIMQTSNLSPEYAGYDTFMQFAAAGDPMKLGLKSKGGVLDVLRAAGS -ADKVAERLDFQSFVANQNGIKKKGSNVSISIPDLNTAIWVSKQQKSKPTSVMK diff --git a/seq/clusters_seq/cluster_139 b/seq/clusters_seq/cluster_139 deleted file mode 100644 index 45b4e83..0000000 --- a/seq/clusters_seq/cluster_139 +++ /dev/null @@ -1,269 +0,0 @@ ->YP_009345118.1 RdRp [Xingshan nematode virus 5] -MCPPWRHKFSRSPAGPRARTQESATETPGFEEVGWSYGVYSRMREPTINPLMEEALRPYAELFQYTGQYA -WPPRGPEAERVSLRVHARLRAEILAGMQEPTHDEEEWLVAQTVKAYRPARWEIPDDFLSWTHFMRVVARL -ERNSSPGWPYCRQYGTLKLWIGEILDPDEAGIRMLWDLVQGRLRGDEAHPIRLFVKPEPHKISKLQEGRY -RLISSVNVVDQVIDHMLFDMQNDREIAVHLDIPSKPGWSPARGGWRMLQQFETAVSCDKSLWDWGMPWWV -ARLDLKVRASLCMNMQTAWMELAERRYRELYQEARFITSDGQIFAQKTGGLQKSGCVNTISTNSRGQYLL -HMLACLRSGEDHTQCFWAMGDDTLQERASEKYCAELGKLCRLKEVTPGIVFAGTRLDSEGVHPEYCSKHY -FTLLHKSQEAVPDTLSSYVLLYARDQRLEALLEVARRLVPGVWRSAVELRGWYDGLID - ->YP_009345116.1 hypothetical protein 2 [Wuhan pillworm virus 3] -MRDSDPPSKDIAREALFRTLSDFRHLQRDCDPEWYSDENMDRVINSLNRNASPGNPFCWVANNGQLIDKH -RELLKTLVRQRLNGASAYPIRLFVKPEWHKKSKIEEGRYRLIWSVSVVDQIIDKMLLGDYLDQEPDNWIF -HPSKVGWSYLKGGWKYVPKGVGTDFSAWDMTAQQWLLDLLCEFYINQHRNPTAYWISWVRRRFGELYHGG -ALVQLSDGTLLEQLFGGIQKSGTLYTLSGNSIMQVILHHVICLSLNYDSVPWLWVLGDDRLQERVDKEYA -DALKRWVVLKDISYNEFCGMHYGSTIEPAYRSKHLLNLAADPTPERLMSYQLLYRHSKFYPALVRFIRSL -NVQPAHEIWVDEVWG - ->YP_009337911.1 RdRp [Hubei diptera virus 13] -MGETPVPNGSISEPFRRVLEKRAKGYGWPRFGHAAEVKSLAQHAGARRGLRQDPMTRFDEEKLLGIATDA -HKAARWSVPEDFLTKEHYLRVVDKLEWKSSPGYPYCVRNPTNKDLFKVNESGVPDPSRVDEMWDIVNHRI -AALLRGERTADPIRLFVKPEPLKEKKLRDSKFRLIASVSVVDQIIDHMLFDDMNETMYAQWDMVPSKVGW -SPYVGGWKCMPRKKWLAIDKTSWDWTVHLWLIDLVFKVRQRLCRNMNSTWKRLAEMRYRMLYIDALFVTS -AGVVLRQKYPGVMKSGCVNTIADNSIMQFLLHIRVCLEIGEAIGVVMAMGDDTLQEKPKDLKKYLETLGQ -YCIVKKADEGNEFAGMLFAGMRVEPLYAGKHAFSILHAKKEVLPDVAAAYSLLYHRSQYRQWFRRMFTES -GFEIPTIGELDAIYDGGE - ->YP_009337873.1 hypothetical protein 2 [Hubei diptera virus 12] -MEARNCFSSLSWTIPEDFCTFTHYLRALDRLEMSSSPGYPYMRRAPTNRDLFKVDDVGNKNSDVVLIFWD -IVRRRLEELGDADPIRLFIKQEPHKQKKLDDGRFRLISSVSVVDQIIDHMLFAEMNDQMTQNWIRNPIKI -GWTPVKGGWRAFPPGKRVAVDKSHWDWTVSLWMLDCVLQLRGELCVTRGELFDKWLLLAKYRYSELFDGP -LFITSGGVLLRQKVPGVQKSGCVNTLADNSLMQWILHARVMLEHGLNPHDVDMWVMGDDTSQSAIVKDYI -DWLGEYCVVKESQIAPEFCGFRFMEAGRVEPLYKGKHAFNLLHMDDKYATDIANSYSLLYHRSTHRDWMR -NLFISMGINIYPSYYLDTIYDGVE - ->YP_009337249.1 hypothetical protein 2 [Shuangao sobemo-like virus 1] -MRSIAAHAGLREGLSDGPCNSIRTKMIQTVIERNMAAQWEIPPDFLERTHFDRVVAAIDMTSTPGYPYIR -SATTNRQYFNADDPVKFRETCDAIYETVCAKIDGRMGADHIRLFIKGEPLPQHKVEEGRLRLISSVSVVD -QIVDAMLHGACNEALKEKHAYVTPKVGWSPYGGGWKIIPKEGWMALDKKAWDWSVKPWILEAELEIRIGL -CTNINEKWLTLARKRYEQLFVEPIFVTSGGLLLQQIEGGIIKSGMVCTITTNCFGQDITHVTTCYTNGLL -ADWLYSMGDDTLQPPLEEPERTEYLETLSQYCHIKPGVGTNEFAGFRYGRGIEPLYRPRHAYQLLHFDDR -YKEQLAASYTLLYHRSSCRNWMEKFFTELGISFPARNIRDIIWDSEE - ->YP_009336914.1 hypothetical protein 2 [Sanxia sobemo-like virus 5] -MSYEHYERVVQDLDWTSSPGYPYLLNAPTNGSFFQVQNGIPSEEAKHRVWQLLTQRLLDRSCDPIRLFIK -PEPHKVAKIETGRFRLISAVSVVDQIIDSMLFGEMNKNMVRHCLEIPSKFGWSPYVGGWKVVPQKGLAID -KSSWDWTMQGWLFQIILELRSRLCLTVGKRHKLWQELASWRYEALFGSPEFILSNGLVLQQSQPGVMKSG -CVNTIADNSIAQVVLHLRVCLEMGIPAGWIWSMGDDTLQEPLRDEGSYLASLSRYCIVKESTHLIEFAGH -QFRKDSIEPSYFQKHCFNLLHADSDVVADLAVSYALLYHKSKKRDFIHHVIRELGGIPASPRMIAQIYDG -PW - ->YP_009336906.1 hypothetical protein 2 [Sanxia sobemo-like virus 3] -MNAVEVFSSLRWEIPDDFMTYSHFLRVLDKLDMASSPGYPYMRRAPVNKILFRVDEEGAMNPSSVDYIWQ -TVSQRIAEFGDADPVRFFIKQEPHKLKKLVDGRNRLISSVSVVDQIIDHMLFDSMNENMVDNWNYNPIKI -GWSPVKGGWKAFPTGKRIAIDKSAWDWTVQMWMLELCLTIRLALCRTDGPNRDLWLKIAIYRYKQLFLHP -LFITSGGLLLRQQQEGVQKSGCVNTLADNSLMQWILHARVCLEHGVDIEDDEMWVMGDDTSQSAVPDGYE -DWLREYCIVKECQPAREFCGFRFDRIHVEPLYKGKHAFNLLHLDEKNAAEVATSYALLYHRSRHRDWMRN -LFESMSLNIFPLWYYDAIYDGVE - ->YP_009336857.1 hypothetical protein 2 [Sanxia sobemo-like virus 4] -MRSLAYHSVLRRDTRTDGPSGNAKTAILNGVLNEFMDAQWEIPTDFMTYEHYERVLSKIDWTSSPGYPYM -RRCPTNKEFFRAVDGQPSLLRKNQVWEMVQRRLRERDADPIRLFIKPEPHKLQKLEDGRYRLISSVSVID -QIIDHMIFGEMNEKLVQNWNYVPPKIGWSQYNGGWRSIPTERQMALDKKCWDWTVQIWVMEFILEVRMRL -CKNLTDEWKDLATWRYKSLFVDPVFITSGGLMLRQRNPGVMKSGCVLTIGDNSIGQVLMHHRVCFENDIP -PGTIMCMGDDTLQSVPENTRAYLDTMASFCKVKDPIYQSEFAGMRFYPGGRVEPLYKGKHAFTLLHVDPK -VLPSLADSYALLYHRSSYRDMFEDLLLKMGQKVVDRESRDVIYDGF - ->YP_009337735.1 hypothetical protein 2 [Wenling sobemo-like virus 2] -MEIPDDWRSDVRIDQAIDSLQGNSSPGVPFAHVPSNNILREKYRGQLVTLVKQRLDGNLPAFPIRLFVKP -EWHKIEKLRQQRYRLISQVSVVDQVIDKLLVASYYDYENSHYIRQPGKVGWSYVGGGYKLVPRGWGYDRK -AWDWSVPSWLLEDYEFFLENQITSTKDHKDWLRKRFAELYERPILQLSDGTLLQQLVSGVQKSGAYYTLN -GNTTMQVLLHHATTLESGEDSTPMIALGDDTVQPLASPAYLEVLQRWVTLKEAVPNEFCGMSYSGENVEP -VYQDKHAMNLAADLSQDTLLQYHLLYGQSTKLPKLRRLNALAGHPPVHQLWLSTIWG - ->YP_009333609.1 hypothetical protein 2 [Beihai shrimp virus 5] -MGRELETRSDGSGTTNGPNKFRACLDTTHQGSVFSTFGNSKIGVSNLGHAVSPAKEESGVLDSRGSGNVD -PWSATQRTTGSICVFDGNYGGCETSTSGTYREQQRIVYESGTIGKRKIERFESCERRAGTSQDNELKNSE -NVGETCDGRQIPTRWFGKSETDEPTSSGQIGNASSCEESSESFGTRTTERRNDGCQSTGCASIAQETTTQ -QETEFVSDCSSERRSSIGSPCGFAPAGDGEPIFARRDQPTMGRFAWLHEQAVSAGCEPIADKSTSSAFEW -PPYGGDSEYQSLCAHAKLRADAHSNARTPTKLERSAAIAATYRANSKFSKWSLPVDFLSRAHLVRVIRAL -DFTSSPGLPICKYSPTISDFLRVDPVTGLPDREREDRLIEEVYRWLDEGDIQYYIRLFIKYEPHKLSKIS -AKRFRLIWSIPLVHQIVDHLLFDAQNDREVQTSSLSEFKGGSSFAKGGCLDYFSHTPGWHLSADKSLWDF -TVPAWACEDDLEFRSMCCLDSALNPEKFKIWLECAQRRYNEMFNLDYDVKSKPTLIQLSDGRRFLQLTKG -LMKSGSVITLSANSHMQSFFHRIAWSRVSDVPAPLIWSMGDDTTLRVSRDFPTELYLRETSELGAIVKFA -NLTVNEYEFAGMIIKQPMCLIPSKNDTHYYKLLFETDERLLEMLHSYQFLYAADNRLKTIQYVLSLLPGG -SERIETPMYLNQWLTEPGSS - ->YP_009330132.1 hypothetical protein 2 [Hubei sobemo-like virus 22] -MLETAYESYKTATWAIPDDFLSREHYLRTLARLDLRSSPGYPYMLSAPTNRDWFRVSKDGTWDTGRVDQM -WKIVQGKLAGQGADPIRIFIKPEPHKRKKIEEGRFRIISSVSVADQIVDHMLFAECNDALINNWQFVPNK -AGWTHLMGGWKMIPAASWIAADKSAWDWTAKYWLFELVLSLRIMLCSNMSPEWMERAVRRYRELFEHPLF -ITSGGQLLRQKHTGIMKSGCVNTISDNSIMQYFLHLRVCLELGIEPSTLFAMGDDTLQEPVVGAEYFEYL -GQFCRLKDWQRANEFAGFRFHGRTVEPLYKGKHAFNLLYMDPDNLSQMSDAYSLLYHRSRFGGWVKDIFN -KMGVGVRSNEWFDLVFDGY - ->YP_009330124.1 hypothetical protein 2 [Hubei sobemo-like virus 23] -MASDFTWPLLGGDAELRSLLTHVQMSEGLREDGPSHQIKTKLLDIAEDMFKPARWRIPDDFLSFNHYMRT -LNQLVWTSSPGLPYMRTYPNNGGMFGVVDGVPNPEKCRYWWTIVQQKIRDQFSDYIRVFIKQEPITRKKF -EQNRFRLIFSVSVLDQIIDHMLFGDFNDLVIENWYNLPTKVGWSPLGGGWKIIPKSKCHAIDKRAWDWTV -KMWLIELEFMLRVRLCDNMNPLWRALAFWRYKSLFMDAKFVNSGGLVFKQKFIGVMKSGCVNTIITNSIA -QILLHLRICLELGLDISWIFSLGDDTLQELLSDPRYLELLKQFSLVKECVIANEFAGMRYAGGVVDPLYK -GKHAFNILHVNPKFGQEIAEGYSILYHRSPRGSFIKQIFKDMGYTIPSDDALDLIWDGEE - ->YP_009330120.1 hypothetical protein 2 [Hubei sobemo-like virus 25] -MPPPDQRSRILRRLYMLYSDVTWSIPSDFLSRDHFRRVVLNLDYTSSPGYPYMRSYPTNRDMLKPDEDGN -PDPIREELLWQMVQTRLQEGDMDPIRLFIKPEPHKLKKLEGHRYRLISSVSVVDQVVHAMLFGVLNDKMS -DNWPYIPNKSGWSPHYGGYRNIPLTGWMATDKSGWDWSAQPWTFEMVFTLRKMLCQNLEEHPLWEKLAAR -AYQQLYTDPVFINTYGHLLQQKKPGVQKSGCFNTLADNCIQQVIIHYRVCDDLGLAPGQMMCMGDDVYQQ -TPPDKDRYLEKLGEYCRLKEAAEVPEFAGYRFGHRRIEPLYKGKHAFVLLHLNEQLAQDTLDSYSLMYHE -SRDRDFVRALIRIMGYETRPLEWADEIFHGH - ->YP_009330115.1 hypothetical protein 2 [Hubei sobemo-like virus 28] -MGEAIIDRAVQQHRSARWVIPEDFISRAHFDRVLQKLDMQSSPGYPYCVRIPVNAHLFGVNADGEKDPDA -VERVWEAVQHRLGKLLDGEEDCDPIRLFIKPEPLKEKKLTEHRYRIISSVSIVDQIIDHLLFDDMNETMY -ANWHNVPSMVGWSPFVGGWKVMPKLKWLAIDKSSWDWTVHKWILDQCLELRTRLCDNMNAAWLKLARARY -HLLYDNPVLVTSGGVLLRQKTPGVMKSGCVNTIADNSIAQYLLDLRVCFEIGLTPGYLMSMGDDTLQQKP -RDLQKYLDALSSFCIVKQATEANEFAGMLFNGRNVEPLYHGKHACTILHAKPEILEELADAYTLLYHRSK -FRNWFENLFTAMGIDFHPRWKRDAIYDGED - ->YP_009330090.1 hypothetical protein 2 [Hubei sobemo-like virus 35] -MPQRYDWGQDVSYLEELSKEYSWPSFGHTAEIHSLRWHLPLRDRVEQTPPQDKEAILGETTKYVTDAMAF -IPEDFMQYSHYVRVVKELEWDSSPGFPYMYEYPNNRAFFRVKDGLPDPERVDACWAMVQERLKNRDSDPI -RLFIKPEPHTKKKIEEKRFRLISSVSVVDQILDQMIFGFQNQAFLDNHHHTPVRVGWGWMKGGWNSVPKS -GMVACDKTGWDWTVSAWLVDLELELRSRLIFGTEKSLWEDLARFRYHELFIKNEFMTSGGLVFRVRQPGL -MKSGCVNTIVSNSLMQLLLHVRVSIELGEQIHNIWAMGDDTIQDFMPFLPEYAELLGRYCILKQVSGDSE -FAGFRWDGDYIEPLYPEKHAFQILHVKEKDKKVFSLSYNLLYWKSRFLPYIQRLMPVPDIGFTRIWDGE - ->YP_009330086.1 hypothetical protein 2 [Hubei sobemo-like virus 30] -MKNLLSAFESVKWSIRKDFLSFDHFSDVVRNKIEWTSSPGYPYLLRATSNGIYFNVKNGIPDENRLLEVY -QVVMSQINDRSSDPIRLFIKQEPLKHEKLDSGRYRLISSVSIIDQIIDHMLFDDMNDMITDDWMFVPPKI -GWSAFTGGWKFMPKLSEQPWALDKSSWDWTVNLWLFDIVLDMRIQLCKNLSREWVDLALWRYSQLYIEPI -FVTTGGLLLKQITPGVMKSGCVNTIVDNSIMQVILHLRVCFEEGIRPDWLFSLGDDTLQKKPAEPERYLR -AMSKYAIVKQAHDVTEFAGHRFDGIHVEPVYRSKHSYILLHMDPANLEAMANSYCLLYHRSVYRDVIRDL -FAQMGAKLPNLRELDLIFDGM - ->YP_009330084.1 hypothetical protein 2 [Hubei sobemo-like virus 29] -MSGGEPVRFDGFPEEYREQFEKAARGYGWPKFGHAAELRSLHYHASLRDKTRSDGPSREAREAIVQGVAE -QYSSATWSIPEDFLQFSHFERVVKNLDWTSSPGYPYMRRAPTNRILFNVNSIGVPDPDKVSWMWEVVQNQ -IRDRVSDPIRLFIKAEPHKLKKLEDGRYRLISSVSVVDQLIDHMLFGDMNESLVENWLNVPSKIGWSHLW -GGWRSIPRDWVAVDKSSWDWTMQSWVCEMVLEVRLRLCSNPSQEWLDLAQWRYRELFLNPLFVTSGGWIL -RQMRPGVMKSGCVNTIADNSIAQSILDVRVCYELGLSPEPLMSLGDDTYQKKRAAMGEYLERLSQYAILK -QVVEAPEFGGFRFLGPKQVEPLYKGKHAYILLHVDPEVLPELAPSYQLLYHRSAYRDMMEDIFLRMGQEI -VPRRTRDLIFDGY - ->YP_009330073.1 hypothetical protein 2 [Hubei sobemo-like virus 24] -MKSLAIHSAMHKGLRTDPPSDVQEDEILEWMARIYPRWEIPSDFMQYTHYQRVLRGLDWTSSPGYPYLLT -SPTNSQLFQVVDGEPAEAPSRAIWEIVQKRLVERDADPIRLFVKPEPHKLKKIEEGRFRLISSVSVVDQI -IDHMLHDDYDQVMIENWATVPPKAGWTPLLGGWKTVPNFLRPLAVDKKAWDWTVRPWLLSLSLRHRIRQC -SNMCPLWEDLAEWRWRMLYAEAVFVTSGGILLKQKEPGVRKSGCVTTLSDNSLEQDILHRRISLDMWRKN -PDLHIPPLWGSMGDDTIQEEPDNLDEYLGYLSQYCIVKEAVRSPDFAGFSFHGRDVKPLYLGKHAYQLLH -VDPAVKDGVARSYALLYHRAPAARWISRMCRMLGDVPTEVRLDSIYDGE - ->YP_009330071.1 hypothetical protein 2 [Hubei sobemo-like virus 27] -MQTAVAKHEAARWDIPDDFLEETHFLRAVEEVDYKSSPGYPYMVRNPSNSDFFGVVDGVANPARLKEIWE -IVQIRLHKLLLGEDDCDYIRLFIKAEPIKEKKLRDHKYRLISSVSVIDQIIDHMLFDPMNHRLYDNWHMV -PSKVGWSPYKGGWRIFPTQPLLAIDKSSWDWTVCGWLIEQIVQFRIMLCNNINDSWIKLATQRYKCLFLN -PLFLTSGGLVLKQKEAGVMKSGCVNTIADNSIMQLLLHLRVSLERGGDVDGLFYTMGDDTVQDEPNDLDD -YLSRLNQFSIVKQATNRTEFAGMHFNYSQVEPMYGGKHAFNLLHLDPENEEQVCSSYMLLYHRSKFRGWF -ESLFEAMNIPVPHRLVRDHIFDNS - ->YP_009330069.1 hypothetical protein 2 [Hubei sobemo-like virus 33] -MPGKMDLIHIEEILLNRWKAARWRIPDDFLERTHFERVVLEIDWTSSPGYPWLLQHTTNSAFFEVKDGKP -SKAALDRVWTIVQQRLQSRECDPIRLFIKPEPHKQKKLDSKAYRLISSVSVIDQIIDALLFGEMNQRMIE -RYLDVPGKVGWSPYVGGWKIMPAYGNVSLDKSAWDWSVNAWIIQCILNMRMQLCDNVSESWIDLACWRYN -ALYNSPLFVTSGGLLLRQLQPGVVKSGCYNTIADNSIAQDLLHVRVCVELDIECGDLMSMGDDTTQRWFS -EFPDYVERLSQYCHVKGSVNGTEFAGHRFTSCSVEPLYKGKHAYNIMNMNPKYGEETAASYALLYHRSVH -SNYIRALLERMGYTLPSRQTLDVIYDGEV - ->YP_009330060.1 hypothetical protein 2 [Hubei sobemo-like virus 31] -MRGERAELTSLLYHAQLRDDLVKSITEHEKGILLNKLEMQFRHAKWTIPSDFMKRSHFDRVLKNIDMTSS -PGYPYLLVHTNNASFFNCKEGVLDVERADQIWNRVNSYIENKLSDPIRLFVKPEPHNERKMRSGRYRLIS -SVSIIDQIIDAMLFGEMNEIMIHNWPMLPTRVGWSPYYGGWKQMPYAKTQMAIDKTAWDWSVQGWLPEIV -LELRKRLCRNLTSDWERLANWRYKALFGNPTLVTSGGLFLRQKQSGVMKSGCYNTIIDNSIMQIVLHDLV -CQTLNIPIGSIIAMGDDTLQDALFGEERERYLEELSRYCNVKVCNVSNEFCGMHFNIDGRVEPVYRAKHA -YKILYMDDSISDSMADSYTLMYYRSRLKDLIDSLFRKMGCEVRSDEYRDAIFG - ->YP_009330033.1 hypothetical protein 2 [Hubei sobemo-like virus 20] -MRALQRLDLNSSPGYPYLRQATNNRQLFTDVDGNWLPDRVEWCWDLVSRRLGNLSSADPIRLFVKPEPHS -LKKMHDKRYRLISSVSVVDQIIDHMIFGDLNDLLIKNWHVIPSKPGWSFLHGGWKMMPPKGKWVAIDKSS -WDWTVQLWLLEMVLEARLRLCRTTGELRNRWFKYAYYRFEQLYLKCVFITSGGHLLRQLRPGALKSGCVN -TIADNSLMQYLLHLRVVFQLGYSPGIIMSMGDDTLQQEPEDLQRYIQELQTFCIVKQYERLVEFAGFRFD -GMQVEPSYRAKHSFNLLHVDDAVLPDVAVAYSLMYHRSKYRVWIRSLFEEMGLDLPSLEYFDAIFDGEV - ->YP_009330012.1 hypothetical protein 2 [Hubei sobemo-like virus 34] -MSYNHYVRVVSELEWNSSPGVPYCHQFTTNRILFGVKDGQPSQERLKMVWEIVSKRLQDQDSDPIRLFVK -PEPHKKSKIDNKAYRLISSVSVVDQIIDHMLFDHMNKKALDNWWNNPVKVGWSPVVGGWKFIPTNYISTD -KSSWDWTVGMWLIELVFELRKDLCVTKGPLYDKWLRLAIFRFTKLFYDFQLVTSGGYKLRYKGHGIMKSG -CVNTLVDNSLMQLLLHVMVSFRLNKSPVDIMAMGDDVIMEFIDYLEEYLAETKKHCIIKEVNFQTEFAGL -RYKKNGIIEPLYTGKHAYQLLHMPNKFKNEIAASYSLLYHRSTHRDWIRQKLESIGCNLPPLYELDAIFD -GEC - ->YP_009329990.1 hypothetical protein 2 [Hubei sobemo-like virus 36] -MRSLLFHMKRHIDISDLAMDLETRTRVVNKILLDLKPARWEIPEDFLSFSHFYRVVKDLDYTSSPGYPYM -RTATNIRDFLKVDSSGEPHPEKLNYLWSLVKNQIATKKADPIRMFIKPEPISLTKVKDKRYRIISAVSLV -DQIIDHMLFANFNHKLVENCQRTPVKTGWTPIQGGWKVVPRLGMVSTDKSSFDWTVRPWMLDVELEIRTR -LCNNVTEEWKQMAKWRYEQLFNRPLFINSAGIVFRSNISGIMKSGCVNTISTNSIIQLIIHYRVSAELNQ -PFPYIWAMGDDVIQEDSGSDYFTHLERYCILKEKTTHVEFAGYRYNGYNVEPLYFGKHAYVLLHQKLQYI -TETANAYALLYHRSKRASKIKTYLRQLNPELISDDVLDDLWDNES - ->YP_009329970.1 hypothetical protein [Hubei sobemo-like virus 26] -MRQAVLDASCQAYEAARWRIPSDFLQRSHFDRVVQNLDWTSSPGYPFLLRAPNNKVLFGTDDQGCVDEAK -ASIIWQIVQDRISGKEKAGYIRLFIKPEAHTAKKLADERYRLISSVNVVDQIVDHMLFGDMNGTCIANWP -FIPSKPGWSIAKGGWRFMPSEVWMATDASAWDWTVRPWLLEMALELRMLLCDNLSEQWSTLATRRYRELF -TQPEFVTSGGLVLKQKTPGVMKSGCVNTISDNSIMQFILHARVCLELGLPVTPMFSMGDDRLQVPVRDQK -SYVGLTSQFCILKSVQLKNEFAGFEFRGSVVDPVHKGKHAFNILHMDDEVAESMANSYVLNYHRSPWRNW -MERLFNGMGIELIPRTARDVIFDGF - ->YP_009329968.1 hypothetical protein [Hubei sobemo-like virus 18] -MRTMIMQNFLDLHRDARWDLDEDFDSFEGFMRSLKRIDLSSNPGYPYMRGCTSNRQMLCYKNSDQFDPNK -VSILWDIVRQKLNGSGADPIKLFVKPEPHKKSKIEEKRYRLISSVSLADQLVDHMLFGKMNDKLIASWPY -LPSKVGWSFIGGGWKTMPKGGSWLAIDKSSWDWTVQLWLLDLVLQARYELCSTRGEVVDRWLKMASMRYR -QLFKEPIFITSGGLLLKQKRHGVQKSGCVNTIADNSMMQSLLHIRVCLETKQSVGVLYSMGDDTLQQAPE -RQNDYLSVLSKYCIIKQADALVEFAGFRFDGMRVEPVYHGKHAFNLLHADPKVLSDLAKSYPLLYHRSSN -RAWFRELFLDWHGEVPSIDYLDSIYDGFE - ->YP_009329966.1 hypothetical protein 2 [Hubei sobemo-like virus 19] -MRGSTTNRQLLCYKNSDVFDPQKIDRMWEIVRQKLGGSGPDPIKIFVKPEPHSLKKISLKRYRLISSVSL -ADQLIDHMLFGEMNDKLIAGWPYLPSKVGWSFIGGGWKAMPKGGSWLAIDKSSWDWTVRPWLFDLVLEAR -LGLCRTRGEVRDRWSKLAVMRYQQLFGNPIFITSGGFLLKQKRPGVQKSGCVNTISDNSMMQSLLHIRVC -LETNQPIGVLFSMGDDTLQQAPPLLDEYLATLSKYCIVKQAGDEVEFAGFRFDGMLVEPVYHGKHAYNLL -HADPNVLTDLSKAYPLLYHRSSNREWFRNLFLDWHGEVPSLDYLDCIYDGFE - ->YP_009330000.1 hypothetical protein 2 [Beihai sobemo-like virus 26] -MGLAAAAKSRFRAPGVGGSVERKSLELHASLMSCDRPVPARSLQERAFNWALRELAPVCCELPPDFFERS -HFDRVLNSLNMQASPGYPLMRVAPTVGSYLKRPDGSWRQDRVEQLWASVLQRVDSDPDLIRVFVKQEPHR -IAKVREGRMRLIQAVSLVDQVVDHLLHDHLQQREIELFGKVPVMTGWAPVHGGWRHLHADWWGYDRSAWD -WTVPAWLLRLEWRLREALTINPTRLWLSQMQKRYDELFVCPEFVLSDGMVVKQCIEGVMKTGSVVTASAN -SHMQLLLHAVAAFAAGEEPGPLIAMGDDTMQPLGSEAYLQELRKYVNVKEPELGEFCSRVIQGSRVEPQN -ILKHVANLITAPPEFLDDMLLSLQVEYARSRWLRLWQLTARRLGCRVRPQIWLLDVYDEA - ->YP_009329988.1 hypothetical protein 2 [Hubei sobemo-like virus 32] -MVCDRMVSCKWRIPSDFLTRGHFDRVVRELEWTSSPGYPYCVRHPTNAQFFEVVDGQPSQIALDRVWYMV -VQRLRDQTSDPIRLFIKPEAHKMSKLLEHRYRIISSVSVIDQIIDHMLFQEFNDRVVENVHYVPAKVGWT -PYIGGWKMIRPHGMVSADKTAWDWTVPMWMLDWEFQVRVKLCENMTEQWLDLAAWRYNKLFVDVTLVTSG -GLLLKQRLPGAMKSGSVNTIVSNSIMQDLMHCYICNKLDIPVGHIWTLGDDTIQEDPGEEYFEELKKLCV -IKEIVRGTEFAGHTFDGMHVSPNYVGKHAYTLLHVDLRHAKEICMSYALLYHRAKPSDKELIYTALRSLG -ETLPSEAKLDLVFDGSE - ->APG75951.1 hypothetical protein 2 [Hubei tetragnatha maxillosa virus 6] -MTFEYFTKIVSFVDMTSSPGYPYIYHHTNNASFFHYKDGVVDPDRLKVVWEMVINQIQERRSDPIRIFVK -PEPHSYKKLQSGRYRLISSVSIIDQIIDAMLFREMNAQMIAQWPLLPTRVGWSPYYGGWKMMPYVREQMA -IDKSSWDWTVQPWIVEAVLQIRTEMCANLTPQWEELARWRYKELYGSPLFVTTRGSIFKQQTPGVMKSGC -YNTLMDNSIMQLILHALVTQKLNIPFGQIIAMGDDTLQDALPEPILTDYLDQLSMYCNVKLCEKKREFCG -FNFNVNGVVEPIYRGKHAYKMLHMPIDIMQSMADSYTMMYHKSRYSPLIDRFFRKIGCEVSPRPYRDLVY -G ->APG75719.1 hypothetical protein 2, partial [Hubei sobemo-like virus 21] -METAVRKFEPLQWEVPEDLYSREHYLRVLADLDMQSSPGYPLMRMGPTNAVIFKYKNGIFDEGRVEFVWQ -LVRNRIANLSEADPIRLFVKPEPHKVKKLEEGRYRLISSVSLVDQIIDHMSFDAMNSLIPANWLFQPIKC -GWSPLGGGWKFLPPPPAVAIDRSSWDWTVHSWLLELSFEVRARLCQTEGELYNEWFRVASYRYQQLFGNP -LFITSNGILLRQKEPGVMKSGCVNTIADNSIQQWLLHCRVMYEHNLSVEDTMWCMGDDTLQHSVPAEYET -WLSKYSIVKERINKVEFAGFEFNGNVVEPVYFGKHAFNLLHVDDKVAPDVARSYALLYHRSENKE ->APG75899.1 hypothetical protein 2, partial [Hubei sobemo-like virus 17] -MDSSPGVPLLHVAPSNGALLRCDCGQHDRYEPSKVDLLYSMVQEQIRSGSSHPIRVFVKKEPHKQAKMDL -KRFRLIYSVSLVDTMIDRMLFGSFMDRLSELMIKLPVMVGWSDNYGGWKYISPSGLGYDKTAWEYTFKSW -MADILCDLIIGLAVDPPPNWAALVRWRFGKLFAGSVLQTSCGRQYEQIHPGVMKSGSLLTILANSISQLV -VDAAASYQAGELPDPSIKAMGDDTIQSQPISPKKFELIRSYGVLLKEPVVGEFCG ->AOC55075.1 RNA-dependent RNA polymerase [Sherman virus] -MNPTIRAKVVQRIVDELEPARWSIPEDFLTFSHFERVVKELEWNSSPGYPYCITTPTNRILFGVEEGIPS -QQSMNRVWEMVQRQIRERMADPIRFFVKPEPHSNRKLKDERYRLISSVSVIDQIIDHMLFDDMNHALIAN -CDRTPIKAGWTPMKGGWRWIPKHGVESTDKQAFDHTAMGWQFEVELDIRKKLCNNMNPLWEELALWRYSM -LFMEPIFVTSGGLLLKQRRPGIMKSGCVNTITTNSIIQLIIHYRVALELGIDLRFIWAMGDDVIQEKQEQ -LFYDLLSQYVIVKEKSSHVEFAGYRYNWRTIEPLYRAKHAFNLLHQKVSYLKETADAYALLYAKSSSSGL -MRKVLSEIHPSLATQEFLDALWDEA ->APG75878.1 hypothetical protein 2 [Sanxia water strider virus 11] -MTYDQFLRELENVDMSSSPGYPLMLRYSVNRDFLKNADDSWNMKNVRSLWENVRRSLVDKLSDPIRVFVK -KEPHKMSKIDSSRYRLIYSVSLVDTMIDRMLFGDFSRKLLENWLSTPSMIGWSPYRGGWKLLPHKAVGYD -FSAWEYTVRDWLISDFKTVLMDLCYEDVQTPYGSWKDLVEFRFRQLFSESILHFSNGRRLKQKIPGLMKS -GTFLTIIGNTVMQVLLYLTACYQAGETPGEILAMGDDSLYRRKLSPLVLFLYKIWGFVVKGFAENEFCGT -TWNSGFVEPVYRGKHLARLARLTDDLAKETLQSYQVVYGASKWLGRLRDIISRTYPHALLPVRLITDIYN -GFT diff --git a/seq/clusters_seq/cluster_14 b/seq/clusters_seq/cluster_14 deleted file mode 100644 index 295fbdd..0000000 --- a/seq/clusters_seq/cluster_14 +++ /dev/null @@ -1,2681 +0,0 @@ ->YP_009553176.1 replicase [Chrysanthemum virus R] -MALTFRSPIADVITNFDPSINSIIATTAAEHYKRLEEENFALFNYAMSPQTTQHLIDAGIYLSPFSGVPH -SHPACKTLENYILYIVLPQYIDNSFYFVGIKDFKLNALKLRHKHTNMVQCINRYVTSQDKMRYPSDFVIR -YSKPHEGLSRHRMSLESHTLRDLVPELMSRSCKKIFLHDELHYWKIDELCTFLEVVQPKVVIGTIVYPPE -LLKGSKKSLNNWCYTYEVKGRALRFYPDGVRGEGYEQPLNGGFLLECGKITLYDGTVYMVDILCSKFAHH -LVALTRGVAAGPTMRSFGPFEATACNGLDPLTRDVTCSFPIPYEVVSKVYRYLKTLRKPDEQSSMAKLTQ -LLPCPTGEEIVFVQEFSSLVISTNTIKTMISADRLKVFLGKYLSKLPKILAERFETVKELSLHEFIKNLE -PFTVDVQLKELKWNNVWTLELRDDGMADELLDPSRLMEEKYTHGVYNAVPDRRSAGYYVRKEMLERMRGP -LIEIERNVLKNTLAAICYKSLSNSDGTLASINEIGAFFRMICARPFLRPAKYKVHDLYGSNGAIGLQIYM -RSRWARLVKFYWANIGILWFRSNHKFYQKYLCSVPDGIQTYRTFTQPWKTVVHEVVCVKHTLKKTGNYSW -LRCARVAQKHGGTNEEPIRADRSTETAGGGSQFNEARTDQDNKTNGGDAPNEGEWTPPQGSKYEEMPPPP -QRQGSQREEQRAIKCTCNLVLPISTDEATRHHKFLTPDRLKNRCAGFYSKNKEGYTYNGGSHQSLGWPGW -INAWMRLIGIPEFYNCCLVQRYDEGAKLGLHSDDEECFVQGSPVFTVNIEGHATFLIACKNSPERRVTRS -IVGPGDLFEMPGGFQETHKHGVCETEKGRLSVTFRVMKKNPHSGEEKDHGASEGHQNGTENVNDQSESET -HEEKPQQEGVKERESVQESGEGISEEHKQEQSDCPNNGTAGAGTEAFSRHFNGCKVSVRKEELAHIYSTT -DCGGGGNCFWLCLATEMGCDPFRGKKLALEYDLGSAGANESARSCAGEGVYAVDEAIACAASVFRVVIKI -YQPELNVMTTFEPAKGERVLHLELEGEHFRLMRIINGCVIRAISSALNRREGEVMHVIEENCDPTMITDL -WKGAGVDLSVFHSLLELFSIKALIFEGSREVLYNSEGRFEASFEIKGDHIEHVLRKKGACNVLFEECGKT -FEVKAESLELLNRAGTLLKYKSTLARAKRLADSLCSGTTGVVSSSLFNKKPNLAGQFREKTESLDRDVLA -VIGTFGSGKSTILKNFFKINLGRKVLYVSPRRALLNEFQRSACGDFKDKKERKNARKKGQENWDFMTFET -FILKCSSLPSGMAVVLDEIQLYPPGYLDMLCYLVKEGVHLIVAGDPAQSDYDSEKDRAWLHGVEPDHSKL -LTGNEYKYVTLSKRMQNSMFEGRLPCTLKVAKNADTNEDFEIRGGVEIIHKLDSKWRECFLVSSFEEKRL -IEYYQTESSKDKECILTFGESTGLTFDYGSILITQSSEKTNEQRWITALSRFRKSVCFINATGMTLHELL -LVYKDRALGRFLGKRASVEDLKKFLPGSPQFAEEYVPSMGAECGSREEKLAGDPWLKTMIDLLQIEDVEE -EVGVVEEIAREEWFKTHLPQEELESVRARWVHKILAKEAREVRMGDIVSEQFTDEHSKEKGKQLTNAAER -FETIYPRHRSSDTVTFIMAVRKRLRFSKPTVEMPKLRAAKPYGPMLLKKFLKHVPLKPNKDPILMARAKM -EFEEKKVSKSAATIENHSVRSTRDWLVDVGQIFSKSQICTKFEKRFSAAKAAQSIVCFQHSVLVRFAPYM -RYIELKLRESLPDRFYIHSGKGLDELDSWVRSHGFSGMCTESDYEAFDASQDQYIVAFEIALMKYLGLPQ -SLIADYEFIKTHLGSKLGSFAIMRFSGEASTFLFNTMANMLFTFMRYDINNKDCICFAGDDMCASRRLPL -SKEYESFLSKLKLKAKVQFTEKPTFCGWNLSPDGIYKKPQLVLERMCIAKETNNLHNCIDNYAIEVSYAY -KMGEKAVNRMDEEELAAYYNCVRIIIKNKHLLRSNISEVYSNRAW - ->YP_009552757.1 replicase polyprotein [Panax ginseng flexivirus 1] -MALHHRSAAMEVIARLTSEEQSRISAPTVSSLSKAEETADGFFNYAMPQKAQERLETAGIYLSPYSYRVH -SHPVCKTLENHMLYNVLPSYIDNKFYFVGIKQFKLDFLKVRNKRLNLVEVINRYVTSLDRSRYSNDLTYR -SSAEDLHLNRKMGFGEVPCLNDLIPALLKKAARHIFLHDELHYWSVNDLITFLEVIRPEKILATVVIPPE -ILGKACESLNSWAYSFEISGGKLMYAPDGNWSEMYVQPLASTYLLKTKTIELQDGSFYSLDILSSKFSHH -LICITKGRSIQQEERCFSGFDAIGLGSLGSLSDSIRHCFPIHIDVVTKLYLYLMTLKKPDEESAEAKLRQ -LVSEPTGREIKFAESFTKLILRCKKEGSLFSVNHRKNFLNFMISLFPSCVGRLFSIFEEKCLADFIYDLK -PFKFTVKTVAVKRDFFDELHFLSVTDPNPDYDLIAKLDDDWVFGVDKSADRIAQRYCLNSQFWRISRLRF -YSKFECSHAVYSICLDHFAFIDCPGLNFEIVINFIRSVLKARKLDPQLCYVINDDFFDVFSRKLYSRKLR -DRLNKVDARVDLCWFLKLNRVNSKFISFNTGKENGLDRTSQSRYNAVISDLTSRKDFNLKRVYWGFDKLA -KSKDVRVSINGDSELNDSPTHSLKSEDLVSSNFQNEEVLITEQNGSVKGDCSIREDSGEDKSFEVEGFNI -KVHAEPDLAQFASLLSFPDQLKGRKAGFFAVPEVSHYQYNGGFHENRGWPPFMSNILKICGGFGHYNSCL -AQLYDDGSDLKMHRDNEKCYNENHKVLTVCLRGSCTFNFCSTGKSKSRGDWVSIEMGPFEWFEMPRSFQA -KMLHGVTNCKGERISLTFRRHIVQDNETLQIPNLNKNLAAFERVKALDVKVWNLGKKMLADLLKTKRSCF -ISDLCACFSCSNFDKFSADLSKVSKALGAEGVAAEDRIILFDQTAKPEIFRDALSRPVKIFVLEGEQRVS -DSEDLLILKSMQCGVVRNFSLSKATCQLGFIAFREKISSRGSLRVHIEECRFSGSSEVLLGCPMLACADY -QIDDFNAFDVPADGNCFWHSVGHLMNCDGMVVKSGLGEHFRASDCESKALDEQMKEGVWAENESVAYFCI -MHKRQLIVITPEYEVCWKFGLDDWPLLGFLCLINGHYQPCAPINGCMIRAVAEALGKRDSDVLNFLCKPE -TRHIFEELCRGFGLNLLYLEQVFLAFDICAKCDFGDKVETYNQGGSIVALFDISNDHIRCVQKISDKPAS -IKNDLMRQVRKSEVDILALNGTWINYSPSVQRAELLENCLLAGLTGVLCDKRFNNQKPWLESVSKDQRKE -RSVLAIMGTFGCGKSHLFKEFMSKSIGKFVTFVSPRRQLADSIKQDLGIMFNSSGRRIRNKGQEGWDVVT -FETFLKSLHKLKKGHLVILDEIQLFPPGYLDLCLLCIDSSCTMAVAGDPCQSTYDSKEDRNHLGTMDPDI -FELLRNKEYSYNIESRRFKNPIFEKRLPCEFLRGSLHLKIEDYAIWNSMADFIYAKKEKPDVYLVSSFEE -KRIVSSHAGSSVKCLTFGESTGLNFNQGVILITYDSIHTDDRRWLTALSRFRMNVSFINLLGMPLSGALH -HFAGKPLYHFLTESSGQSVILDMLPGSPSFFSGFKISVGKDEGVKENKVAGDPWLKTMLFLGQGEDMEVE -HMEKEMSSEDWFKTHLPISSMENCRARWVGKLALKEAREFRIGMEVSEQFKDDHHDFRGERMTNACERYE -SIYPRHKGNDSVTFLMAVRKRLRFSSPIVETELLRKAMPFGHFLIKTFLKRVPLRRIHDPSMMEKSVAEF -EEKKTSKSAATIENHSGRSCRDWLSDVALIFMKSQHCTKFDNRFRDAKAGQTLACFQHSLLVRFAPYMRY -IEKKVMEVLPKNFYIHSGKGLDSLNSWVKENGFTGICTESDYEAFDASQDHYILAFEICLMRYLGLPNDL -IMDYEFIKTHLGSKLGNFSIMRFTGEASTFLFNTLANMLFTFLRYDLNGSEAIAFAGDDMCANSRLKISR -SYEDFLKRIKLKAKVQYVANPTFCGWCLFEHGIFKRPQLVLERINIAREMNNLDNCIDNYAIEVSYGYNL -GELAGAAMNDEEMEAHYNCVRFLVRYRHKMRCDIGKLFT - ->YP_009664751.1 RNA-dependent RNA polymerase, partial [Banana virus X] -DWDVKEAFIFMKSQLCTKYEKRFCDAKAGQTLACFSHIVLCRFAPWIRYIEKKVNEVLPKNYYIHNGKNF -DELNDWVIRQRFKGLCTESDYEAFDASQDVNIMAFEVALMRYLLLPEDLIEDYIFIKVNLYSKLGNFAVM -RFTGEAGTFLFNTLANMTFTFLRYKLNGKESICFAGDDMCANQALIVKTDFEDLLSKLKLKAKVEIKREA -SFCGWVLTEHGIYKKPQLVLERFEISKERGTFDDCLENYAIEVSYAYKLSENGILLMSEEEQASQYLCVR -TVVQNKCKLKSWVREVFESVK - ->YP_009664740.1 replicase polyprotein, partial [Helleborus mosaic virus] -AGDDMCASRKLRTTTEHEGFLSKLKLKAKVAFTKTPTFCGWNLTHVGIFKKPQLVLERICIAKELNNLGN -CIDNYALEVAFAYKLGESATCLMNEEELENHYQCVRTIVQNKHLIKSEVIKVYEKSQC - ->YP_009664734.1 RNA-dependent RNA polymerase, partial [Cucumber vein-clearing virus] -AELELPVWQIAFMQDQLGXYYQSYSISMPNFKEVMDKDSEPKKVKVHCILGTFGSGKSTFFRRLCAQGHG -RKFDVVSPRKALLNDIAELLNFQPTHRLRKKQGQENWKLSTFETFLKRAQFLSAGQMVFIDEMQLYPPGY -LDLIFSLNKVEVNWVLLGDPCQSGYHSDKDFPFLGNLPGNVESLLRGNTYNYNILSRRFRNSNFASRLPC -SFATDSLSMQEPYDLREGLEQLTNLESHYQRVILVSSFEEKKIVGTYCPKANLVLTFGESTGLTFDYGTI -LITLIAERSSEKRWVTALSRFRKNICLINSTGYSLDSLLTSYKNRFLFYFLTGTANSQLLIPHLPGNPNL -VEGFLPSSGKDELDASLPPMESSVPLDPSINIGRDEGVREEKLLGDPWLKSMIDLFQLEDIQEIEMQEVE -EALVNFKIHLPREEMESTRARWVHRILAKEFREVRFGSIVSNQFTDDHSKQVGGMQLTNAAERFETIYPR -HRANDTVTFLMAVKKRLRFSKPHIEKAKLNMARPFGRFMLNTFLEKVPLKPNRDLRMFEKARQDFFDKKT -AKSAATIENHNMRSCRDWLIDMAQIFSKSQLCTKFDNRFRVAKAAQSIVCFQHSVLCRFAPYMRYIEMKL -KEALPERFYIHSGKGLDELNAWVIKNKFDGVCTESDYEAFDASQDQYMVAFELEIMRYLGLPNDVLQDYE -YIKTHLGSKLGSFAIMRFTGEASTFLFNTMANMLFTFLRYNLKGNESICFAGDDMCSSKRLSIKKDQEGF -LSRIELKAKVQHTANPTFCGWHLSPYGIFKKPQLVFERMCIAKELNNLHNCIDNYAIEVSYAYRKGELIS -SRMDEEELGAYYGCVRTIIKYKHLLKSDVRLLFEESLD - ->YP_009664733.1 RNA-dependent RNA polymerase, partial [Caper latent virus] -SGVRAITDEPAKSGGVELTNAAERFEAIYPRHRASDTVTFLMGLRKRLRFSNAAKEMGKLHNARLYGRYL -LGEFLKKIPLKRELDHEMMQEAKREFEEKKTSKSAAVIENHAGRSCRDWLADVAQIFSKSQLCTKYDNRF -RDAKAAQTIVCFQHAVLCRFAPYMRYIEKKLHQALPDRFYIHSGKGLEELDAWVKSGCFEGVCTESDYEA -FDASQDQYIMAFELEVMKHLGLPNELIQDYIYIKTHLGCKLGNFAIMRFSGEASTFLFNTMPNMKFTFLR -YDIRDIEAICFAGDDMCASRSLRIKNEHDQFLNKLRLNAKVQFANKPTFCGWHLSPDGIFKKPQLVHESI -CMAKEKNNLVNCIDNYAIEVAYA - ->YP_009508317.1 replicase [Atractylodes mottle virus] -MSLTYRSPLEENLGAYDSTVQAAIASTSANHYREAEAANFQYFNFHLRPEAKKHLIEAGIYLSPFSAVPH -SHPACKTLENHLLYNVLPKLIDNRFYFVGIKNHKLDLLKTRNSSLSTISQINRYVTSADKARYGSDLVRV -SSDEHVCIARHKFELSKPTLQELVPRLQLVNHDYLFLHDELHYWSPKALITFLEVLKPKVMYATLVYPPE -LLAGSKYSLNKWCYTFKVFRRDLLFYPDGVMCEGYQQPLKGGYLLRANKIILNNGDVYMVDVIHSKFAHH -LVSITRGELAANTVRSFGPFEATSTRGLEPLLRNVQHCFPVSYSVVSKIYRYLNTLKKPDAQSAMAKLSQ -LLEEPSGLEMKFLKDFANLVINTSGINTMIKPERLRMFFGKWLKELPTIISSQLSLVQTVSLDDFVTYLA -PFTFEVQLGEVHYDHKEILSMFDMAECAEEMDVPDLMDRFTLGKSAMLADRVPQPVSADLFNVFRKTSTL -LKVPLPIFARYLVCEITYMLDPLCRVVSLSVIREAIALRLIRGSPMILPLQQLLNLIRSGPLLRDCVNKV -ISHYARLLTVFWHEDWFNWCIDPNRHNLRFLTAQPDHVSTFRSITQPFRFVVDEVSNFCKSSLRKRRMPA -PYCFAELAGTDRQRGSVIERKENDYGGTQEASGKHTYVGSIPISIGHVPATIPVNDTIECELPTLQIVRQ -VGAFSCPCSLDIPIMTMPLPDNHNFKSPDLLKGRRGGWYTKRGNVPYTYHGGKHQDLGWPRWLPDWMLCN -GIDLDYYDCVLYQKYEKHGRIGLHSDDEAIFDPNGRVHTCNLSGSVEFTITCGKGFTSASLEPGTQFTMP -AGMQQTHKHAVMGASAGRESLTFRKLAVKVESPPEIPTVDVRSGGEPEATHGPEVSVPEQQKEPLERAGD -FDIAGGRLSIVAVDAVLEQINYRCHAVPGDGNCFWYSIEKALGFEAMQFKSKCSQVIFNQDGGAIKKLSQ -QMQPQAFAEDEAIMAAAICSKHCIRILCPDEKLSYTYTPKTDIIGCVHMRLSGEHFEHIEPVNKCLVTAI -AAALGRSETAIMNVLEQQEQGAYQANIWAGDGIDIQDLEFYFTLFDIQAVIFVGDQTIKYNEGGHVPACF -NLDDGHISFCRKNCPVNVEVLKGEASTLSVSDKSVFELATLCTQISYTASHARAKVLADSLESGCTGITL -SKLFNDAHNLMPEHEQGEVTATLNCLFGTFGCGKSTLFTKFTQKNPGKGVFYVSPRKALAVEHERKCIGG -QRTDVNDAAGRKKNRRPPHGKNWHTLTFEKFLKQVHLVKPRMALIIDEIQLYPPGYLDLALLLIAKGVHI -FIGGDPCQSDYDNEKDRAWLGTMGSDVDRLLGDQTYKFNTCSQRFKNSNFVGRLPCALFENKNPEPQSEE -AHLLFTGCDELVQLEPEYCEVFLVSSFEEKKIVETHFPNVANKAILTFGESTGLNYKKGTILITNVSSYT -NERRWVTALSRFSSNVCLVNLVGVDWNGIAMAYRGRVLGRFLARTAKTLDLCELLPGKPEFVMGFQSHVG -KDEGVREAKLEGDPWLKCMIDLGQTEDVEEVEDLQEVMQEEWFKTHLPQAELESVRARWVHRFLAKEKRE -VRMGSMVSEQFTDEYAKEGGLILTNAAERFEAIYPRHRANDTVTFIMAVKKRLRFSRPAVEQAKLNEAEL -YGEFLLREFCKKVPLNGMKDERMMEQAKRAFEEKKVSKSAAIIENHAGRSCRDWLLDIGQIFSKSQLCTK -FDNRFRVAKAAQSIVCFQHAVLCRFAPYIRYIEAKLNEALPKNFYIHSGKGLEELQEWVIKGKFSGICTE -SDYEAFDASQDQYIVAFEVAVMRKLGLPESLISDYKFIKTHLGSKLGNFAIMRFSGEASTFLFNTMANML -FTFLRYDLKGREYICFAGDDMCASERLPLRHEHDGFLKKLKLKAKVFMVEKPTFCGWHLCPDGIYKKPQL -VLERMLIAKEKNNLANCLDNYAIEVAYAYKLGERAVNRMDAEELEAAYNCVRIIIKNKKLLKSDILHFYS -NLESKM - ->YP_009505626.1 RNA-dependent RNA polymerase [Melon yellowing-associated virus] -MALTFRSPAEEALTSFASVEQSMVCKKALDNFVNIENREHLFFNYALNVHAKERLTKAGVYLSPITPIPH -SHPACKTLENYMLYKVLPSLIDNNFTFVGIKENKMTAFKSREPKLDMVTCINRFVTSHDKNRYFSWRAAK -SEVTEFGEDIKGSATLRDLVPEVLLRRAKNLFLHDEIHYWSLNELIKFLEVAKPDRMIATMVFPPEILAG -SKVSLNRWCYEYELVENAPSFVNPTLNFRNLFGCKSKKKILFYPDGVRSEGYEQPLDCGYLLKAKRIICD -DGTVFCVDVVCSKFAHHLISITRGDFVTRSTRTFGDFEAISMKGLNRVTANVKDCYPICYSTVNRIYRYL -RSLVKPDIQSXVSKLSQTVSEPSGPEIKFVEEFAKLVVNTGSTSTMIQKEDFKVLQGLVAGAFPRFIAQK -FQTFRSLSVDEFIRELEPFSFMVRLSDLDCNSYFDFPFVTREFGHADDVDVVDLMESNFLFGGMTIRVAQ -PYCVLTPSVHVLEVPNGDLLPFFRIFVLSVLGLDWSNYDEGNLGYVTSIFKDCIRKNRILGLTHIYLDTC -GARKTFYRIRARKDCIRYLYFQRDGLIWFEYRCKPRSGMVARWVSCNTKYLTGVSTTMTTLSVEAISAWS -IVCSEISVGPQLNVEPNSEELSFGDSIQKQVTFSPMQGLQPLDESMETVDERGGEVNDNDILQDILQVIR -TPCPLITTIQLSGYSIDINQARECGTFEGALPDRIGNRRAGWYNRGDLVDYKYANTKHKSLGWLDFFDRI -LLLNGLDADYYDCVLVQEYDDGGRIGYHADDEAIFDEGTKVATFSIKGSCNFGFKDRNEEVHFFVQERSF -FVMPSGFQKKVKHTVYNCQGGRLSLTFRRLKRSEEIKTRDVNELHKGGGMEEIEREAIHEEMGDLKPSKI -NTTKFKDDEGQGEDSQRGSEEEEIESCSTSDDEIAFETYKIMNMQVKVEKHNKESFEGIMKYKKEITTVG -SEGLFRIFASEIGVNVDYVNSKVEHFSSVIDIKAQNDIQNLIDTFGLSLNFPCLVAISKMFSICVSLYIP -EIQLIIEINGGSTVPIAICEGNFGMSLSLLRNKNDCCIRAVAEALDRPMPQVLEAAQRKVGKSLINELEN -GEGIDIEMFEKLLESFDICGVCIGEYCDTLNPNGALTKHFIFDKDHVEFFVPANNLNEKKMIPLQNREDL -LDLSFTKKMVPQTSISGREYVRGSKMGQKFLRKNLKSLTRAMTKVQVSSDENRYELIRKSMLDGNTGVLF -DKNFDFKGQLVNRNWEGWTCEIGLILGTYGCGKSSIFKQVVKDNPNLAIHIVSPRKSLALEMEDSIGTPS -EGSQLKRKVRVHTFEKFIKNILKKTFKSNLILIDEIQLYPPGYLDLVLSLAKPESGELYVLGDPCQSDYD -SLRDRLTFSQTRSDIDTILGNLAYKYNFLSFRFRNKNFIGRLDCEIAEDNLIIDEPYLISSSIEESRLVP -SKYLNTFLVSSFEEKKIIKVEFGEGVEVLTFGESTGRNFDYGTILITGISIHVNEKRWITALSRFAFNLN -LLNLTGYSIDTVCQMYSERCLSRFLMKGASHTFLMKNLPGKPILQKGFLQKYGKDFQVKELKLQGDPWLK -TMINLGQLEDFQEEEMQEIVHQVESTKTHVPLSHLEGIRATWVHRFKAKEDREFKIKNLVTNQFADEHSK -NNGFKLSNAAERFAAIYPRHHGNDTATFLMGVRKRLRFSKPAQECAKLRDSACFGPFMLREFLKRIPIKR -ERNAIFFEKAKADFEDKKTSKSTATIANHSGRSNTDWKTDVGLVFMKAQNCTKYENRFRDAKAAQTIVCF -HHIVLARFAPFIRYIEMKVNEVLPDKFYIHSGKGLDELNQWVIDNNFSGNCTESDYEAFDASQDSYILAF -EICLMEYLGLPKDLIEDYKYIKTHLGSKLGNLAIMRFSGEASTFLFNTLANMLFTFLKYDLNGSESICFA -GDDMCANRKLRLKTEHDGFLSKLKLKAKVDFTVHPTFCGWNLTKYGIYKRPELVFERLCISKENGNFREC -LDNYAIELSYAYKLGEKAVGLMDSDAVSCYYQCIRIIVKWKHLLRSSVALDFVANVA - ->YP_009357230.1 RdRp [Pepper virus A] -MALTYRTPMEDIVASFEPAVQATIANTAAVSYKNMESSNFSIANFHLDAVAKQELSRAGLYLSPYSAVVH -SPPVCKTLENYMLYSVLPSYIDNKFFFVGIKDHKLHLLKQRHQDISLVTAINRYVTSRDKVRYGNEFVIR -STLPHQGLNRQKGCLEDVTLRDLVPELITRGSKYLFFHDELHYWKKKDLITFLEVLKPEVMLGTIVYPPE -LLIGAKSSLNPWCYEYEIKGQLLLFYPDGVHTEGYEQPLHGGYLLKCNTVVLPDGTVYKVDLLCSKFAHH -LVSLTRGEMENTKYRSFDKFQAIAFDSLADLTAEKLPYYPISYSVVSKLYRYIKSLKKPDAQSAMAKLSQ -IVPEPTAFEIKFVQEFSALVMDAKGLRSTICPEVWRSFMKDCYSGVLPSWLSRQLDVVKEVSLDRFVSSM -RPLCITVKLEECNAKSVDLIFEWDQLFVEEGVDIVDALGRFNGESLDPAKLWPHVGAPYVGLAPIAERPK -KCLLHVPAANLKVALKRVVLKCYAPSAVNDGTDGEVLELILTLLSKSAFLSMRALRASGEEHSDLVRTVS -YAVKFVTTRRCAASFFNLGLAWFCKVKRGNMHYLDNNSTGAAVPMVIKQKWSSVIAQIRDRGFDGGSQVS -QATTGSVSKEFKTRGESDAPLCNSVGCPTMPGGTVSDARIGQSYVIGVCSHQWEVKRELPGVWGEDGNAF -LRYVGATQLNQASMLIRDVENLPDYALASKCKSYEWPEWLDIWSELSGITARFDRCVIQQCFGHKCGPAT -HAINHVLRSGATEIYAFAIKGDVVISLACCGQESDLLINEGHILKLGSVASPESLALKHTGSSTLGLLYK -VVKLSVVAAENDEACEDEDRDGHNVPEQTPEPSPQTGEINPNTIPGSRLEIGQCIGASEGPGQIGTNQSA -TGVSGPSICPDKSLRFKITADVELSAQTSVNCAPTGYSGSLFGALAKGLGLRENTVVQFVNEGLEFLATQ -QVELEGSILTNLDNARQSVLEVCALVTMYTTCVVLIIDEQDVSLRVGPRESTGKVWPSCYIRLGGTSSGA -VFCLEYPRNQCVLVAMAQALQRDVSELRTVLVRDGYDRINESLHSGLGLELTDIEQLCCIFSINAIIDAQ -GRRLVLNPQGKTHAYFTLDNEHLTYCEKVKTHAFNQLTDLAVSNAISDSAFAEVATVASILTFDVSTARA -KLLADSFHEGSTGVLMSELFNSEHNLREHIQSESELDLKMQVYGIFGTFGAGKSRIFKNLCNKAGGKRLF -YVSPRQALLKEVKADIDFEPDMKLRLRKGQQNWYLLTFERFVQRARTLCTSDVVVIDEIQLYPPGYLDLV -ICLMPAGVRLVVMGDPCQSDYDNEKDRNNFVGIPDCATSLLQKQEYKFNIESRRFKNANFIGRLPCSISE -MQCSINEEYIIREGLDHLVDLDQKYLEVILVSSFDERKVVRSYLGPDCNVQTFGESTGMNYKNGSIVITG -VSVKASDRRWVTALSRFSENVAFCNATGLNLDTLMQVYKDRCLNKFLSGRAAVSDLKLYLPGTPIFKTGF -YKVGKDEGVREEKLSGDPWLKGMIDLGQTEDLQEEELQAIEEAQESFKIHLPREELEGTRTRWVHRILNK -EIREHRIGTLVSDQFTDDHSKQRNAIQLTNAAERFETIYPRHRASDTVTFIMAVKKRLRFSKPAKEMGKL -HNARPFGKFLLNQFLDKVPLKPHMDHAKMDLARNNFFDKKTSKSAATIENHSNRSCRDWLADVGMIFSKS -QICTKFDNRFRMAKAAQSIVCFQHAVLCRFAPYMRYIEMKLQEALPERYYIHSGKGLEELNEWVIKGNFS -GLCTESDYEAFDSSQDQYIMAFELELMKYLRLPLDLIKDYEYIKTHLGSKLGSFAIMRFSGEASTFLFNT -MANMLFTFLRYHIRGDEFICFAGDDMCSSKVLRKKSEHEGFLNKLSLKAKVQHTSTPTFCGWHLCPDGIY -KKPQLVFERMCIAKETNNLANCIDNYAIEVSYAYRLGERITSRMDEEELGAYYGCVRTIIKYKHLLKSDI -KHLFEEQ - ->YP_009288956.1 replicase [Ligustrum virus A] -MALTYRSPMEEIVTCYESSVQSSIASASANFYKEVEAGNFQLFNYHLSPEAKRKLSIAGIYLSPFSAMPH -SHPACKTLENYILYKVLPSYLDNRFFFVGIKQFKLELLKKRDPNLDLITSINRYVTSADKSRYGSDFVRL -SSPEVLGLKRHASCLADSTLRDLAPALAARKGKYVFLHDEIHYWTTRDLLTFLEVLAPEQVLATMVYPPE -LLVGATSSLHSWCYTFDVQGKTLYFYPDGVRSEGYEQPVQGGFLLRTKKLHLPDGTTYCVDLLHSKFAHH -LVSITRGDSCTPKARSFGPFEATGCKSLSLLTTTPNCFIPVAFEVVSRLYRYLRSLKKPDQQSAMAKLSQ -LLPEPTGTEIKFTHDFAKLVMKTGEVESMLGTQHLKLWMGKWLSRLPNVLARQFTLAKEVALDSFISELA -PFCFTIKLEDVNWTTQYLQELLEPVDYEEDVDLVQELDRLYDGGKSPEWDRQRTPYSLLLSLTNQRQSIL -ALNAASFSKGLAQVYTKMCTSDCTRRISRFEVHSVLSNLILDGSHLLPKAACLRELAKSEYFDAIVRKIV -ALVTQKVRLYFHEIGILWFRSNFRGFTNYIGMQGESGTTFKDLHKSYQSAVVDFFRFGVIPPLKKCRYVH -DVSYNRVSPLTTEQREQVSEPIDVPVQEEQPLIVRDIGSANCSCGIIFQIDSLVYQDHNFIATDNLRGRR -AGWYTRDCNVDYKYNGGSHISRGWPDWLRLWCEVNEVDLKYDSCLFQEYSFGASIGFHADDEPIFARGEE -ILTVNLCGTADFCIRGDGCNGVIELQGPAKFTMPHNFQESHKHSVRNCSERRQSVTFRVLKKEAEIILKI -QEDCEIEIEPRAGFERSFCEIDVKISELDTEMEYKEVNVPGDGNCFWHCMGHALCIDATVLKKRALNVEL -DTPELCARLKEQGGIDCFAEDEAIMACAIESRTAIFVHCPEQDICVRYCPKVQKSRVQLMLVKEHFTLLL -PKNGCVVEAIANAIGRNPTEVLHVINEQCDESITKEIWAGDGVSKLDLECLFTLFGIEAQLFEGSNVKVL -NQGGRNRACFELEKDHISYSPRRKAVAALVLRDAGFSSRISDTQFEFLSNAGTQLFYLASKSRAELLSQC -LLDGSTGIISSSLFDGKKNLLRNSEERQTVPRDVVGIFGTFGSGKSTMLKKFFKANPRKMITYISPRKAL -AEEFKKMLSLNVRNQQTRKKLGQEHWTVSTFEKFLQNAELTKSGCVLIFDEIQLYPPGYLDLVSYLVPAD -VRIVVAGDPCQSDYDSEKDRLFLGMIEADFKRLLTGASYNFVIQSKRFINPNFIDRLPCSFGNLGGTKDK -EEYLMYDGVDGLAKIPEQFLEAILVSSFDEKKIVYSYIGQDSNVMTFGESTGLTFDYGTVIISSVSSMTS -EQRWVTALSRFKKNICLVNLTNVLWSQLALDYRGKVLHKFLTGTASVTDLSAFLPGEPKFTHSYDLNVGK -DEGVKEEKLAGDPWLKGMIFLGQAEDCEEIQEIATEVKEQWFKTHLPQADLEGVRATWVHKIMAKEMREV -RLGYLVSDQFTDEHSKQLGKQLTNAAERFETIYPRHRANDTVTFIMAVKKRLRFSNPAKEMGKLGQAKLY -GKFLLDEFLKKIPLKRQHDKVMMDAARQAFEDKKTSKSAATIENHSNRSCRDWLIDIGLIFSKSQLCTKF -DNRFRVAKAAQSIVCFQHEVLCRFAPYMRYIEMKLHEVLPSNYYIHSGKGLEELNDWVLRGKFEGVCTES -DYEAFDASQDQYIVAFEMAVMKYLGLPQSLINDYVFIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLF -TFLRYEIKGNEFICFAGDDMCASRRLPIKKEHDGFLSKLKLKAKVAFTNKPTFCGWNLTPDGIYKKPQLV -MERMCIAKETNNLANCIDNYAIEVSYAYRLGEKALNRMDEEEAAAMYNCVRIIIKNKHLLKSDVATLYTT -KSGFSSLA - ->YP_009275350.1 replicase [Jasmine virus C] -MALTYRTPMEDIVSAFEPAVQAAIASTAGSRYKQMEENNFDLFNFHMDAVAKQKLSRAGVYLSPYSAVVH -SHPVCKTLENYILYKVLPSYLSNKFVFVGIKNNKLSILKARNPKLDLVQAVNRYVTSADKSRYGNEFVYR -SSKPHEGLLRHARNLSSVTLKDLVPPLMVQGCKELFLHDELHYYGVNDLCTLLEVLRPNTLLGTVVYPPE -LLVGVKTSLNKWCYDFEIVGSDLMFYPDGVRAEGYMQPLSGGFLLKSNKIELQNGDVYCVDILCSKFAHH -LVAITRGDDVVPKYRAFSPFDAVGQKELQPIMTTKMPCIPVSFELVSRIYRYLRTLKKPDKQSAMAKLSQ -ICVEPTGFEISFVQEFADLVIGTGSIRMNIDAERVKGFFGRLGLKLPGGLASLFGVAKEVALESFIERLT -PYTIGIKLRTVTWGTDLLEMLLPDVEAEPMFDVVDEYNVQFSQGRISGVYDRVSQPYYGVCGVETRCGSA -YTFFSEEQVLHFLPALVVKVLGIDKCRGCASPDVEVAIRELEKKGTILGFKVLQLIGDVSSICIETAARV -RRMVQRKTTNTIFDLELKWFFSGDAQDVYFLENVANDIPRSSGYSQQWKNVVQEVLHEGVRLRKTRNTRA -YAHLFQNQDHHRNARKLEVRVPEHIDVAEKECKGVSSTGCNLCDSCMRISPLKFAEHLFTARDPLKGRKA -TWYSKDFSEYRYNGGXHKSAGWPEEMDLWVQANEIPVKYDCCLVQQYADGAKLGLHADDEEIFEPGESIL -TVNLSGSATFLIKCGGKLRKIHCDGPGMFTMPEGFQFEHKHGVQNTAGDRWSATFRCLKKAVPEHGSPDG -RVVEPVTLTQEGTSITGALEGFETNVAGCKRSIVDWKFGASFGVIETPGDGSCFWHAMSHFLEISSSQIK -MASVXFQGLPTPLQNELVIEAKEGEFASDASIYATAIVHGVRISVLDARDQKLHIFEPSKLRTDAFLYLR -GFHFEPIVLKNGCVVKAIASLLERREQDVLAVIERRAAEGIREEIWRGLGLCLSTIQVLFELFDVRGVVK -TEDSEVVINAKGRITGFFELNNDHMTHIKRKKDAATSELQVAKNYKLFTPEDLMECFSMGTKIGYMIRLP -AATILADSFNCGSTGVLLSDIFNDRPNFKFEFVQMNRTVVDVNVVCGTFGSGKSYAFKQILKKASGKIVD -YVAPRRALKDAMSKEVGHERKERKAGQENWNFTTFEKFLDRCKHLIPGQLVCFDEFQLYPPGYFDLVFAL -APAGVNYLLLGDPCQSDYDCERDRSNFIGSTRNIDHMLDGQTYKYIIRSKRFVNATFCGRLPAVVDGGGL -SVEEPYSIREGVETLPEVVSQFGEVVLVSSFDEKKVVQSYAPEAKALTFGESTGMTFEQGSILITAVSER -SSEVRWLTALSRFRRNLCFVIWSPCHLEGLKISYRGRFLWKFLTQSASVDDLKPYLPGSPVFLEEYTSRI -GKDEGVREEKLLGDPWLKGMVDLMQQEDVEEVVVLDEVCEEEWFKTHLPRAELESVRARWAHRFLLKELR -EHRIGCLTSEQFTDEYSKQIGGVQLSNAAERFEAIYPRHRASDTVTFLMAVKKRLRFSKPATECAKLANA -RNYGKFLLSKFLEKVPLKRAHDQMAFECARQEFFTKKVSKSAATIENHAGRSCRDWLIDVGLIFSKSQLC -TKFDNRFRVAKAAQSIVCFQHEVLCRFAPYMRYIEKKLHEALPERFYIHSGKGLEELDEWVRKGNFGGLC -TESDYEAFDSSQDQFIVAFEIELMSYLGLPRDLINDYIYIKTHLGSKLGNFAIMRFSGEASTFLFNTMAN -MLFTFLRYELRGNEYICFAGDDMCASKRLTIKREHEQFLGKLRLKAKVQFTEKPTFCGWHLCPDGIYKKP -QLVMERLCIARENNNLGDCIDNYAIEVAFAYRMGERAVNRMDEEELDAFYNCVRLIIRNKHLLKSSVRDT -FERGEQ - ->YP_009224952.1 replicase polyprotein [Elderberry carlavirus E] -MALTYRSPMEEIVGSFEPAIQTAISSVAANAYKEMEETDFHLFHYALPAIAKERLSKAGIYLSPYSGVPH -SHPVCKTLENYLLYKVLPSYIDNKFCFVGIKSFKLNVLKVRAEKNKAKIQKLDLIDSLNRYVTSADKLRY -GNDFVTTSSIQSPTLKRHAGLMHVDALKTLIPECLKRRSKYLFMHDELHYWSPDDLTGFLEVIQPETLLA -TIVYPPELLMGASSSLHKWCYTYELKGSNLLFYPDGVRSEGYEQPAKGGYLLRTNKIELPCGLTYCVDII -HSKFAHHLVAITKGEAITNTYRSYGPFDAVSCTGLSMISRDVRNCFPVTFETVSKIYRYLRTLKKPDIQS -AMAKLSQLIPDPTGPEIKFLEEFSKLVIKTGTVSTQIDAELLKVFMGKLVGCTPTNFSRLFGISKTIGLD -TFIAALEPYSFTLKLQPLKSLRNFHLSLFNFGEQEGGEDLEAALEHGMYSPANWSLVRVPSPYVGLTSAA -RRKPHPILYCPERHTIRGLALAVHRSHYGGATVGVTEDYLIHWLCAVKKRGNMHAKALISSVLGDPAMIN -RIRRIICSLGAKFARKKRCALLMSTEWVASANNATKKAYTRLINEFVAAGGLRAQRWDVRELEIIEDVTP -VSPLAPHESQPISSKPPPVETETQAASQFLCSCGVDLKAGILPRANLVHDHFPDQLNGRAAAWYSKDGTP -YAYTGFTHESLGWPDWIDKWAEINNLDIAHYDCLLAQKYTHNSGIPLHADDEPIFDRTPILTVNAVGNAN -FTVIGRNCTASLHCTSGSFFTMPPGFQSTHKHKVDRCTAGRISLTFRKLKAKLATRELSPLSPEVIEGEG -KTDAPNEARTSIDYELGGVDVSIIPRPILPENFTRTKTVGDGNCFWYALEHFLGVRMGRLKEKIRGRASG -PQNYKERLWAQLTDGTMAEEEAISSAASVFSLKIHIFDEAQQCAFIYGNLDATASAFLYLANEHFEPAMP -SNDCLIIALSSTIDRQPCDILHALEKTIEPESFLELWCNEGVDIVLLPGIFELFEINALLIADSKEIFIN -PDGEYKAVYQISNGHIEHMKKRKMPVHPVLNTNVGCTGVSEESVLALSSCGTTLDYAPSELRAKRLAINL -HQGRTGAISSELFNDKPSLEPLVSAGNTGSRTLHIILGTFGAGKSTLFKKFMQANKGRCVTYVSPRRGLA -DEFAADMELHKRSKGKRLASQNWAVFTFERFLEAAQLAGPGTAIIIDEIQLFPPGYVDLVCTLLARDMHI -FLVGDPCQSDYDSEKDRTAYAFELSDIEHILGSREYKYNLLSRRFANRMYANRLPCSMPANQLKIDEPYL -LIEGLDLLHELPKEYQSVVLVSSFEEKKVVTSYFNNCLALTFGESTGRNFIRGTILVTEASRHTSEKRWV -TALSRFSENLAFVNATGNSFDMLNVIYKGRALSDFFCAKASREFFIKTLPGTPKFTEGFHSAVGKDEGVK -EAKLIGDPWLKTMIDLLQIEDVEEAEIDDEACQTEDAKTHLPQCELESVRARWVHRILAKEHREKRIGSI -VSEQFTDEHSRDLGQRLTNACERFETIYPRHRANDTVTFLMAVKKRLRFSNPSKERAKLQSASIYGKFLL -DQFLSKIPLRKQLEPELMARAKLDFEEKKTAKSAATIENHAGRSCRDWLADIGLIFSKSQLCTKFDNRFR -SAKAAQTIVCFAHSVLCRFAPYMRYIEYKLKAVLPDRFYVHSGKKLEDLCEWVKRGEFEGLCTESDYEAF -DASQDQYIVAFEVSLMRYLGLPADLINDYVYIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRY -ELKGSERICFAGDDMCANSRLVVKKEHEGFLAKLKLKAKVMVTTKPTFCGWHLCADGIYKKPQLVFERMC -IAKETNNLHNCIDNYAIEVGYAYRLGERAVGRMDEEELESYYNCVRVIIKNRHLLKSDIAHLFRSSDI - ->YP_009224946.1 replicase polyprotein [Elderberry carlavirus D] -MALTYRSPIEEILASFTSVEQSSISAHAVNGLRKVEQDNYELFNFALSAKAKEKLSRSGIYLSPFSAMPH -SHPVCKTLENYMLYKVLPSYIDNTFFFVGIKNSKLEYLKSRDSNLGLLKSINRFVTSKDKLRYGSDFVKY -DGTRASHPKYMSECEPTTLSGLLPPVIQNKARNLFFHDELHYWSSDDLINFLSVVRPQTVLATLVYPPEL -LVGSKCSLNRWCYEYEVEDDELRFFPDGVRSEGYSQPKSGGYLLRTSKIKLDDGEVYCVDLLHSKFAHHL -VAITRGNANVTRTRHFGNFEAVSTTGLRKHCKNVGDTFPICASLVSKIYRYLRTLQKPDIQSAMAKLSQI -QPEPTGFEIKFVQEFSKFVIECANHKSILNPEFINGLKIKGYNLLPNLLIRNLKVIKEQSLDEFVSGLGD -FTFKVTLVELRRGFNVKIDLLDFLDALEESITWRSASSDPVGAVLCSARIPREYRYIQGSVGRDTVHSLL -THSSPFTKQFAAFAFSSMRANRYSTVNVEEVRAALLTVVPMTDLVRGGIIAIALRGGLGGAINRCNIEAA -RTLKFLYYHSPRLWFTIEARLRFNVAYLADEPVQTAARKNWACVVADMQAFTARPDALLVRGELSRVRVT -PWVGCSEERAAEPGFTCPEPSLCSAQLEVDPSDPKGKCVAAEPDQEEDLLKLSCSCGLGFPQGALLRAVA -CHLPMPDQLKGRRAGWYTKTGQPYTYTGASHRCLGWDPSLDAVLQAVPLPVDAYDCVLIQEYSEGGSIPL -HADDEEIFDQRFPILTVCLKGRCLFEIRGSGNGSASCGGVCVFDEGRYLLMPEGFQQSHKHGISVCSAGR -ISLTFRTLKKKGLPELEEGAQSVDSLDVMGQDELLEEYDISGVTKRTYNGEMSRNFRRVFSSGRGDGAIE -CAGFALQVEPEFFVKCFKERASGTMPEPTLPAWEQAIFELSMWQNVKIVVNNVDSMLTEVYNREKFDWCL -YLCKEENQWHYLLPKNGCVISAIAKSLERDERDVIKVLSSRGNEGLLAELCEGEGLSADNLEGALRCFNI -RGIIEWDGELHTLNQAGRDERCFEIKDNHMLSVEKISTLGFKVASRNGPTIYPKSALAVLENAGSVINYE -GAVNRANLLAECLLDGATGAISSSLFNEAQDLGKYVGMVNVLQRVVFIMGTFGAGKSTLFKSFLSKCPGK -CVTFVSPRRSLAEEIKQDVQELWSGSKNAKEASDAAKNIHVYTFEVFLHRAPKLKKGQVVVIDEVQLYPP -GFLDLLAHMGDGKELKLFAAGDPCQSDYDSSKDRGRFLGFESDVLRALNGCSYKYNVMSRRFRNSNLLGR -LPCRMSETSTGPEEEYVMCSNFDELDGLSSEFRRVFLVSSFEEKRIVRARYPECKNCLTFGEATGRNFEY -GTVIITTSAAYVSERRWLTALSRFSMNLCFLNLLEISFEQLLFVYGDRSLGRFLKGSASSKDLLALLPGN -ASFTNGFGAKIGKEMGEKELKLAGDPWLKTQIFLGQSEDSVEPEVCQPFMQEVYFKTHLPRYDAEPIRAE -WLHRMLSKEIREKRVRGLVTEQFPDEHSKNRGERLTNAAERYEAIYPRHRNSDTATFLLTVRKRLRFSSP -AVECAKLIEAQPFGEGMLQLFLKHVPLKPKHNPEFMCAALKAFEDKKCSKSAATIENHSGRSCRDWLADV -GLIFMKSQHCTKYEKRFIEAKAGQSIVCFQHSILCRFAPYMRYIELKLMEVLPKNFYVHSGKGLEELNDW -VIGGGFNGVCTESDYEAFDASQDQYIMAFELKLMEYLGLPRDLIADYKYIKTHLGSKLGNFAIMRFSGEA -STFLFNTMANMLFTFMRYDINGSEHICFAGDDMCASKRLRVSNEYEDFLCKLKLKAKVDFTRTPTFCGWN -LTPFGIFKKPQLVYERMCVARETNNLAQCIDNYAIEVSFAYKLGEQAVNRMSEIELNNHYQCVRTIIQNK -HLMKSSIVDVFRNQDVWLAE - ->YP_009224940.1 replicase polyprotein [Elderberry carlavirus C] -MALTYRSPMEEIVGAFEPAVQSAISSVAANAYREMEEHDFQLFNYALPAIAKERLTKAGIYLSPFSSVPH -SHPVCKTLENYLLYKVLPSYIDNRFSFVGIKDFKLSVLKKRNEKKGVKNGNMIEVINRYVTSADKTRYGS -EFVVASSKYEPNLQRHAGLCTSAPLKDLIPAVLKRNAKNIFLHDEIHYWSAADLSTFLEVVEPENMLCTL -VYPPELLMGSPTSLHKWCYSYEIKGQTLLFYPDGVRSEGYEQPLSGGRLLLTKHIKLKNGVHYCVDVICS -KFAHHLVSITRGVAITKKYRSFGPFDAVTAVGLNKISRGVGNCFPISFEIVSRVYRYLRTLKKPDVQSAM -AKLGQLLHEPSGAEIKFLEEFAKLVIKTGTINTCIDADSARLFLGRMLNCGPSVFAKQFGAAKEVALDAF -VASLEPYSFTIETVELSNTAIGYFEILNDWGCAATIDPCRALEDGMYLPKDYTICRSRAPYVGVLDGANR -ERRTLLAIEEQTIVRGIATILHKSHSGGSCAGISAGYLIRWITSLLKRVNILAKAILIEVLGDEAMMVRI -RKIVASLGRRSALNCKTMRICATEARASASRSAHKNFEVVLRELIVVRASHARGVTVKPEGAARTVDTRA -QVEGKNANLTPQAPPTSTVFEVIQSGPHLTCSCRTAMPTTPLPYAGLIHDHFPDQKKNRECAWYSVVPVH -YEYNGGSHNSLGWPSWIPKWAEVNDLDISGYDCMLAQKYRKGAGIGLHADDESIFEPHSPILTTNICGTA -VFSVAGKCCHGSLLLEGGVSFTMPAGFQESHKHAVADCSAGRISFTFRRLASGARGPVNEGTTISDITEA -PQRLDCSLEKAEELLEALPACAPLEVATQPHLSSKSAELVAQVEADMATPPKTLEYLHGSSNVTITPYRI -DPGKFIRTSVLGDGNCFWYSLETAVGVSISRQKELTRTYATGPPEFLKRLENQLGDHVMAEEEAISCAAE -ALKVEINVYDAAQHCLFTYTPTSSYRSAWLYLEDEHFEPARPANDCLIVAISEAVSRPSSDVMHVMEKNL -GPDYITELWDGDGVDVSFLPTIFELFGIQALLLTDEGEIRCGAADGVGACFQLRNSHITFLRKEKVVAHK -ALNTKANLTAVTEESVELLRGCGTLITYQPDQGRALRLAKNLHGGQTGAISSGLYNDKSDLSELVVGSSQ -AARALNVILGTFGAGKSTLFKKFMHANKGRCITYVSPRRGLAEEFASDMQLDRMRRGKGKSKAECQNWQV -CTFEKFLERAMKIRTGSAVIIDEVQLYPPGYLDLVLHLLHEGVHIFLLGDPCQSDYDSEKDRHSFAFELS -DICKILENKEYNYNILSRRFVNRNYEKRLPCTLDESKLAIDEQYLLLDRLEEVEALGGEYRKVALVSSFE -EKKIVDSYFGPETLCLTFGESTGRNFKYGCILITDVSRHSSERRWITALTRFSHNLCLVNATCTQFEMLN -LQYKNRALNYFLTGTSTPDFIKTLLPGTPKSRLGFGEKIGRDEGVKEAKMVGDPWLKTMIDLLQLEDVEE -AEVDEEEMQNEQFRTHLPRCEMESVRARWVHKILAKEHREKRVGNLVSEQFTDEHSRNDGEHLTNACERF -ETIYPRHRANDTVTFLMAVKKRLKFSTPCKEKAKLHEATPYGPFLLKEFLKRVPLKPQHDRELMARAKSD -FEEKKTAKSSATIENHSGRSCRDWLADIGLIFSKSQLCTKFDNRFRSAKAAQTIVCFAHSVLCRFAPYMR -YIEYKLKESLPPQYYVHSGKKLEELSAWVVDGTFEGVCTESDYEAFDASQDQYIVAFEVALMRYLGLPPD -LVNDYIYIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYELKGSERICFAGDDMCANTRLKLK -HEHAGFLGKLKLKAKVQFTDKPTFCGWHLCSDGIYKKPQLVYERMCIAKETNNLHNCIDNYAIEVGYAYR -MGERAKERMDEEELEAYYNCVRVIVKNRHLLLSNIAALFHQTDLN - ->YP_009224934.1 replicase polyprotein [Elderberry carlavirus B] -MALSYRSPLEDALTSFTSTEQSTIAVTAINRYRELETENFNLFNYALSPTSKEKLSRCGIYLSPFSAMPH -SHPTCKTLENYLLYKVLPSYLDPSFFFVGIKKQKLEFLKKRDPKLNLISCINRFVTSKDKLRYGSEFVIY -DGIRGNSDPNARCSYSQTTATLIPEVIKGKARSLFLHDELHYWKVGDLIDFLTATKPKVLLGTLVHPPEL -LIGAKQSLNRWCYEFDIHEDELLFYPDGVREEGYNQPLNGGYLLKTSRIHLDDGSIYCVDILCSKFAHHL -VAITPGNSATPKKRCFSNFEATSSRGMALHYVDGLCALPIDPSVISKVYRYLRTLQKPDVQSAMAKLSQI -VPEPTGFEIKFIQEFSKFVIETSNHKSLINVELIKTLKLTGFSLLPDFLTKHVGIVKEASLDEFIGNLCE -LSFMVELSELRRDTILIGALKGILGFDDASRAPPAVPCKLNYGILHKRESMPYSYAPDRTRGCAYLLEIP -DCRVLGVMNTIARGCTLRDGGSIDFPTVRLKVVTASKSSFFSGFLSNFLEGDYLIRTIKRAEAHIVRLRT -FYFFEVSPFTWFCGDRDTRCSTKYIEGPIAKHLMRVPWGACVEEIKKKEAQLYIKGTLKLKHAFLNGEVS -GPRGHADNTCLSDANTTAKEDLAKTGQHAFDPIGCITSSLMQGEECNEPLAVEPSTRGQTDEELEDMLSL -KCSCGISLAQVAAIDFTYPNVNYTEQLRGRVAAWFSKTYSEYTYSGGKHSSQPWNSIFDDIIRKTGCGAL -GFNSVLVQKYSAGASIGFHNDNESLFKRGAPVLTVVECGNCLFSVRNAHGRRCGGSCVLSGPSYMLMPSG -FQDSHLHSIEMCTEGRVSYTFRVLEKMEDDEEELAPNDSTSSTTPTGSDFSEDGFDLDEGRVSVTSGTSG -YDYSFGQSTIASNDLIECASHYLGVSNEHLRDSYGKCGDLIIFDALPEWADSTCINFALWQNCSIVVLNL -DKQLTFCVEPFDFSYKLVLAVKCGKYLIGTPRNGCVITAVAEALDRRTIDVQKVLNTRANCHLAEALDSG -EGLDFHVIEDVLACFDVNARVLTHEGAIEMNPSGKLLKTFSIIDNHMSYVPESKTFETRLLRPQSRHCIP -KGALNLMTNAGTKIRYCVNVDKAENLSRCLIEGVTGAISSNFFNGAKDLLPAGQCTAASKDLVVIAGTFG -AGKSTLFKKFVNLCPGCPITFVSPRRGLADEISECILGSRTISRSKKTKDSKNWKVLTFELFLKKARGLV -RDGVVVVDEMQLYPPGFIDLISILIPKETHLYLIGDPCQSDYDSEKDRGRFLNFKPDMEEILTSQHYNYN -LCSRRFRNSNFLGRLPCRMPEVANCPREDFYMCSSFEEVDEIGDDYNKVFLVSSFVEKRIITSRYPKGVC -CMTFGESTGRNFLRGTIVVTHSAAYTNEKRWLTALSRFSENLCFLNLLEATFEQLLFIYDGRFLASFLRG -DATHKQLKSILPGTPCFTNSFSEKIGREFGEREFKLLGDPWLKTSIFLGQSEDQEIAEFSEVSMQEPWFK -THLPRYDAEPIRAAWLNRMLAKEKRERWFKGNVTNQFPDDHSKNRGIQLTNAAERYEAIYPRHRNSDTAT -FFMSVKKRLRFSKPAVECAKLNEAEPFGESMLKLFLKHVPLKKEHNRGMMEKAVLDFERKKCSKSAATIE -NHSNRSCRDWLIDVGLIFMKSQHCTKFEKRFCEAKAGQSIVCFQHSVLCRFAPYMRYIEYKLNEALPKKY -YVHSGRGLEELNEWVLKGGFNGVCTESDYEAFDASQDQYIMAFEIEVMKYLGLPRDLIADYRFIKTHLGS -KLGNFAIMRFSGEASTFLFNTMANMLFTFMRYDLNGSEHICFAGDDMCASRRLRVSSEYEAFLSKLKLKA -KVDFTAKPTFCGWNLTPDGIFKKPQLVYERMCVAKETNNLAQCIDNYAIEVSFAYKLGEKAVNRMSEEEL -NNYYQCVRCIVQNKHLMKSSVVDVFRNSVI - ->YP_009224928.1 replicase polyprotein [Elderberry carlavirus A] -MALSYRSPLEDVLTSFTSTEQSTIAVTAVNRYRELELEHFNLFNYALSPVAKEKLSKAGIYLSPFSAMPH -SHPTCKTLENFLLYKVLPNYLDSSFFFVGIKKQKLDFLKSRNSSLGLISCVNRFVTSKDKMRYSNDFVRY -EGHLAHNSSKIKDCFSATTGTLIPKVIENKARSLFLHDELHYWKPGDLIDFLTAVKPKVLLGTLVHPPEL -LLGATQSLNRWCYDFEVCGDDLLYFPDGVREEGYVQPRNGGYLLRTNRIILDDGATYCVDVLCSKFSHHL -ISITQGDAVTPKTRCFSNFEATSSKGLALHYRDGLCALPISHTIISRVYRYLRTLQKPDVQSAMAKLSQI -VPEPTGFEIKFIQEFSKFVISTHNHSSLINVELIKTLKIAGYSLLPDFLGKHVKVMQESSLDEFIGNLCG -LSFIVELVELRRDSIILGAIKNALGFDEATRALPATPCKLNICILQGRVPSPYNLNMRGEAQCALLLEIT -PKTAVTGLVRVARLCCLREGSVIDQDVYITKIKCAAQKGYFAAFVKNYLDTASVELVIGKANALNKRLQT -FVYLGASDLAWFLRQANRRFNTKYIDAPCARNFCGSIWARCVEEIVGSSFELYIQGTLIKRKVMFSCEPE -GCAELVEPQDEHSRIPLDKLNENLIGNTQKVDFNPLKCIEASISKGKEEKEPAAKVDGEIGQGKCTSELE -DFLNLACSCGIRLKQVATQNLEFPVIHFTDRLRGRTAAWHSRVYTDYTYNGGKHSSQPWLKTFDAMLKQL -DMDEMGFNSVLIQQYSEGASIGFHSDDESIFLRGSPILTLVQRGNCLFSVRNKVGKRCGGSCILTGPCYM -LMPGGFQESHLHSIEMCTEGRISYTFRVLSRTVIEEEDVKLPVESPGQLSEDALSDGFDLEECRVSVHER -ISSFDYSFRENSSCSQSFLQCASNYLEVSLEHLEESFHKLGPNIIIDCLPSWADLEVINFSVWQNIHIII -LNLDLNQVVEYEPCDPALKLVLGYKDHKFMIGLPRNGCVIEAIAESLERNVLDVHKILNMKANRHLSDAL -DAGEGLDLHVIEDVLACFGICARVATEESTFEMNPSGNTLKNFQIIDNHMIYLPELKTSETKQLKARPRH -CIPKGALLLMRNAGTEIEYSSNNLKAENLSRCLIEGVTGAISSSFFNGSKDLLPAGLTSPQIRKIVVIAG -TFGAGKSTLFKKFVKLCPGCPVTFVSPRRGLADEIAHSILGKSNRKCTYQTKEEASRNWKVMTFEVFLKK -ARTTVKDGVVVVDEMQLYPPGFIDLVSLLLPRETHLFLIGDPCQSDYDSEKDRGRFLNFKPDMEELLGDR -SYNYNLCSRRFKNSNFLGRLPCRMPELVSAPREDFYMCSSFGEVDELEDEYHQTFLVSSFVEKKIITARY -PQGVCCMTFGESTGRNFTKGTIVITHSASYTNERRWLTALSRFSENLCFLNLLEASFEQLLFIYEGRFLA -SFLRGDSSFKQLGSVLPGNPKYTNAFSEKIGRELGEKEFKLQGDPWLKTAIYLGQSEDQEIEMVQEQFAQ -EPWFKTHLPRFDAEPIRAAWVHRLLAKERRERWFKGNITMQFPDDHSKNRGAQLTNAAERYEAIYPRHRN -SDTATFFMSVKKRLRFSKPAVECAKLLEAAPFGESMLKLFLKHVPLKSAHNKEFMSKAVEDFERKKCSKS -AATIENHAGRSCRDWLIDVGLIFMKSQHCTKFEKRFCEAKAGQSIVCFQHAVLCRFAPYMRYIEYKLNEV -LPKKYYVHSGKGLEELNSWVIGGDFTGMCTESDYEAFDASQDQFIMAFEIEVMKYLGLPRDLIADYKFIK -THLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFMRYDLNGSESICFAGDDMCASRRLRLSTEYEDFLGK -LKLKAKVDFTRKPTFCGWNLTPDGIFKKPQLVYERMCVAKETNNLEQCIDNYAIEISFAYKLGEKAVNRM -SEEELNNYYQCVRCIVQNKHLMRSSIVDVFKNSVI - ->YP_009215374.1 replicase [Asian prunus virus 3] -MALTYRSPIEEVLNRFTSEEQSRVSSTSVSRLTQFEVNNHKLFSFAMSEKAKEKLIKIGVYLSPFSFEPH -SHPVCKTLENHILYNVLVKKLDNSFYAIGIKGSKVNFLKSRSRNLSMLEYVNRVVTSADKYRYGSDFTTL -SSKQINNLCSRQSLEQCGTLRELVPLVKMSKKRNFFLHDELHYWSPHDLMLFLDSTTPDHLLATVVFPPE -ILAGAKESLNPWCYSFQRHGSKLTFFPDGVQSESYTQPLSAGYILQASKIVCPSGQVYSVDLVYSAFAHH -IVSITRGDLPGLERRFFSNFEATGVKHLSGLSYSVRDCIPVSAELISKLYRYIRTLKKPDLQSCMAKLSQ -IIPNPTGVEIKFTEDFARLTMDVQPMRSMLMPETAKLIGALFASLLPFKMARFFECYKACSLDKFIHDLE -PFSFSVETKVINCRFSQTFEAVTLGETMGPEEVMQIIERMELSAPVRLENLTGKFKYASEAYHGLHHVLD -GCGPHVFRRLVRKIADSFCNEFGVYRKSSYVSSVAINVLQRKTNSNLSFIFGADLNPMVIGFVRNYFSSK -KASLLIWFSDRPSSWFQFSRLRANQKFLRSPIFPDQMGLSDFRIQKSITAEVKEFDRKLGLRKLGSFNLS -RPYQVQDQLPPLKSVNEANCVSGDIEQGSNPEVCFAMEMEFGRRSPSMSGTSEILAPNLAAELAVKEVSD -STAPERKHEGLVFGCIPDSSTGAFAFCSANQHENTFAAVVNENITDVGVLPPTELVLPEDAEATIVKGRQ -CFFFTRCECIDYGHNKIRYKPNRWFSGLDALLKDEGTYYNACLLQVYEAGAGIGFHSDNEKVYYRSPIKT -INFCGEAEFLVRAKGRRDFGVSATCQMKPGQFFTMDSNFQSYYQHSVQNCSEGRVSLTFRYHVNNIAGLP -IKHTCGEFGDTESLFDVLIRRNFSYSAKNFHTFPVPGDGSCFWHSLGALLGVDGEELKKISSREIQKNEM -LSLNSSLRKQMEGKQYAERESIAAFCRIQSVHLVVLLPDHNFSYEFLPTLSSEVTQLFVKLSGEHFEPAL -PVNGCVVKSIAETLGQTEAKILSVVGRPTNRLILEGLVEGDGLNIEDLEAAFAIFGICARVSTERGVFTL -NKDGNLHANYELKSGHIMYLRKAPNSQFAPSNPIQNFNGQGPELFLRGIVSEVDYKPSWERARNLEESLL -NGTTGILCDRTINLQKNWLTHDRKLNDEVRSLGVVLGTFGSGKSTLFKRFIVKNPSRSIVFVSPRRSLAD -QIKEDLGLKTRKGKSLRVRVLTLESFIKAIFTFKAASVVLDEVQLYPPGYLDLMMFCLSPNCQIYLSGDP -CQSDYDSAKDRALFDGLRGDIFEVLEAKKYKFNASSRRFQSKMFIGRLPCRMDTKAMTEDESFHWLESIE -AAAEVSNTEFDVVLVSSFEEKKIIWAHLGRDVEVLTFGESTGLTFNRGIILISHESTLTSERRWITALSR -FRLNIIFVNLIGNCAEDVCQVFHGRTLFRFLTKTATIANIVDQLPGYPELTNDFGDKVGRSEGVMEAKLT -GDPWLKTEIDLLQDEDQEMEELVEEVKNEPWFKTHLPLFELESIRAGWVHRIMNKEYREVRCGSETTAQF -PDDHPNRSKITLANAAERFEAIYPRHRGSDSVTFLMAVKKRLSFSRPSVESAKLNRAKPYGKFLLNEFLK -RIPLKGGLDSALFSRAKREFEEKKTSKSAAVIENHSGRSCRDWLADVGFIFMKSQFCSKWDNRFRDAKAG -QTLACFHHSVLCRFAPYMRYIEHKLQAALPDNLYIHSGKNLEDLNEWVIRSGFKGMSTESDYEAFDSSQD -HYILSFEIEVMKHLQLPWDLIEDYVYIKTHLGSKLGNFSIMRFTGEASTFLFNTMANMLFTFLRYDLNGS -EAICFAGDDMCSNRRLRVSKKHEGFLSKLRLKAKVQFTEKPTFCGWNLCLDGIFKRPQLVLERLCVAREK -DNLENCLDSYAIEVGYAFALGEKVLQYMDEEALQNHYSCVRFIIKHSHMLKSSVRDLFLGSM - ->YP_009204561.1 replicase [Asian prunus virus 2] -MALTYRSPIEEVLNRFTSEEQSRVSSTSVARLTQVEVSNHKLFSFALSEKAKEKLIKVGIYLSPFSFEPH -SHPACKTLENHILYNILANKLDNSFYAIGIKGSKVNFLKSRSKNLSMLEYVNRVVTSADKYRYGSDFTTL -SSKQIDNLCSKKSLSECGTLKELVPLVKMSKKRNFFLHDELHYWSPQDLMLFLDSVNPDHLVATVVFPPE -ILAGAKESLNPWCYSFHRQGSKLTFFPDGVQSESYTQPLSSGYILKASKIVCPSGQIYSVDLVYSAFAHH -VVSITKGNLPGLERRFFSNFEATGVKHLSGLSHSVRDCIPVSTDLISKIYRYIRTLKKPDLQSCMAKLSQ -IVPNPTGVEIKFTEDFSRLVMEIQPLKSLLMPDTAKLIGALFASFLPFKMARFFDCYKSSSLDKFIHDLE -PFSFSVPTEVINCNTMPAFEAISLGESMSPEEVIQILNRMEMGAFAGSCNLVGKFKYSNEAYHCLHHVLD -GCKPEVFKRLIRRVAGSFCNEFGVYRKSSYVASVAINVFQRRSNLNLDFILGSDLNQKVVCIVRNFFSSK -KSSQLIWYSTNSMAWFRFSKLRANQKFLRSPIFPASMNCKDLSTRKNLILDFEVFGFCAGLRKLGSSGVS -SVVPPKPVLGGFEFVPPGEEAHEDDIEVKSNTMAKMFDPSPQAVLGIEGPAAGVHEIDPQDQTLSPKAAD -LEERNGLIFGCVPEPSLMNFSFSSANQGDRLFANIVNENIVDVGILPPTELKIPLDAKVTQINGRDCYFF -TRCSCIDYGHNKIKYTANNWFSGLDQFLSNEGTYYNACLLQVYRAGSGIGFHSDNEKVYHRSPIKTVNLC -GEADFLVKAKGRRDLGTVARGHLFPGHYFTMDSNFQSYYQHSVQDCSEGRVSLTFRHHVNNVTGLPIKHT -CGEFGDTEILFDVLIRRSFTYAAKNFHTFPVPGDGSCFWHSMGALLGVDGEELKKISAREIQKNEILLSN -LSLRQQMANKQYAERESIAAFCRIQSIHLVVLLPGNNFSYEFLPSQNPEVTQLFVKLTDEHFEPALPVNG -CVVKSIAETLNQTEAKILSVIGRPTNRMILDNLVEGEGLNLEDLEAAFTIFGICARVSSENGVFTLNRDG -NLHANYELKSGHIMYLRKVPNSQFAPSTPIQNFNGQGPDLFGKGARNLEESFLNGTTGVLCDRTVNLQKN -WLTEGRRLVKDERNLGVVLGTFGSGKSTLFKRFIVKNPSRSIVFVSPRRSLADQIKEDLGLNSKRGRSLR -VRVLTLESFIKAVFTFKAASVVLDEVQLYPPGYLDLVMLCLSGNCQIYLSGDPCQSDYDSAKDRALFDGM -KGDIFEVLEGKSYKFNASSRRFQSQMFVGRLPCRLDSKDMVGDEDFHWLESIEAAAEVSNTEYDVVLVSS -FEEKKIIWAHLGRDVEVLTFGESTGLTFNRGIILISHESTLTGERRWITALSRFRLNIIFVNLVGNNIED -VCQVFHDRTLFKFLNRTATIGDIIKQLPGNPELTNDFGDKVGKSEGVMEDKLAGDPWLKTEIDLLQDEDQ -EMVELAEEVKNEPWFKTHLPLFELESIRANWVHKIMNREYREVRCGTEVSTQFPEDHPNKYKVTLANAAE -RFEAIYPRHKGSDSVTFLMAVKKRLSFSRPSVESAKLNRAKPYGKFLLHEFLKRVPLKTGLDSALFARSK -REFEEKKTSKSAAVIENHSGRSCRDWLADVGFIFMKSQFCSKWDNRFRDAKAGQTLACFHHSILCRFAPY -MRYIEHKLQEVLPANLYIHSGKNLEDLNRWVIENSFTGMCTESDYEAFDSSQDHYILSFEIEVMKHLQLP -WDLIEDYVYIKTHLGSKLGNFSIMRFTGEASTFLFNTMANMLFTFLRYELNGSEAICFAGDDMCSNRRLR -VSNKHEGFLSKLRLKAKVQFTVKPTFCGWNLCLDGIFKRPQLVLERLCVAREKDNLANCLDSYAIEVGYA -FALGEKVLQYMDEEAMQNHYNCVRFIVKHSHLLKSSVRDLFLQNM - ->YP_009174681.1 replicase [Nerine latent virus] -MALTYRSPIEDVISSFTSSEQSLITTKAVSAYKGKEDELFDFFNYALPIKAKEKLINAGIYLSPYSGMXH -SHPVCKTLENYMLYVVAAPLLDQSFYLVSIKKNKLSLLKRRCKSLETVEYLNRFVTSKDRIRYSNDFVGV -DAEMPSTSYDACERRRNIMFPRNFQGKSRKLFFHDEIHYWSRKDLIYMLEQFRPECILATLVCPPEILAG -SKESLNKWCYEYKIDGGKLHYYPDGVHSEGYTQPLDSSFLLKTKKIQLDDGETYCVDLIHSKFSHHLISI -TKGDAITDDVRTFGNFDAVSAGHLKPLGRNIGQAFPISINVISKIYRYLRTLQKPDVQSAIAKLGQLLVD -PTGFEIKFIEEFAPLVIETGKMRSILMPDLLKIFKADXYRVLPDFFARMLKETSEVSLDKFIANLESFNF -QVKLITLDSKIPIFLQFQEEFSXESASHEPLIDDTFNGTISYNLKKIKXAEELERSKFLGSRHSIRLASD -LAAKLFAFIFYRSFSDSDGRIKSCWSKIGGTYKALQKSKFGFLMKEATFLDARSKIEWRLRSLNFRYGKI -YFSENNLRWFLEKVRRFNQKSLCNAECGLLPCSKKAYTQVLADICKLRKKEDHKKIDLDDGEKQTXEGEQ -WYIEADNEKFGEAMKLCEMAYNFDEHQGDPSLETLSTFSDIISGETHSXFICFQPVNNIDLFDKFFFFLD -FTQNFMGACFEGFKMYKFLPNSVTLSDCANLILCENLVEAVAPAKISVDESXAEGEVREEKEAEVEIMET -NNCEADXNEPTFLPSCFLTLSSNREYLQEFKVVKTVGDGDCFWQSLGYFLNQDGLALKKYVFSKVDTCSE -DKELMRQKGDKVYAEQEAIGLAAKVLRIDIKIICPEMPFDIKVMGEESENLATLLLLKGHYDILLPKEDC -AIVAVSETLNRRYQDVYRVLNREENSYILREMEEEKGVNLTTFERILSLFSIKGFCTVDGEIVVLNDGGN -FEAYYCISEGHISKIKKQKFYDSQKQYPKLCNKLTSAMSKELEKIADIIPFTPSSXRATKLAQSFHEGRT -GVLCSEIFNGMRKKEVSQIVPKXQNLNVILGTFGSGKSSLFVKVIQNESEKAISYVSPRRSLADEFDKRV -RKNGKSKYKAFTVFTFEQFVKKMTRLRKGQTVIIDEIQLYPPGYLDLVLICTQHLELTYYITGDPCQSDY -DSELDRGFFLGDPSDIDEVLKGKEYRFNAMSKRFRNKNFQKRLPCKMRELTIDDDFIILDGMKEFEELEL -KYKKVILVPGFEDKRIFSYNDSCEEVLTFGESTGRNFTWGCVVITHSSIQVSEKRWITALTRFSDGISLL -NLTGENSANLEKIFDGRVLRKFLLQSANIEDFKKMLPGSPIFVENYGEKLGKNFMIKEEKVRGDPWLKGM -LDLMQDEDQLEPEAMEIIMQKESCKTHVPRSNIEHIRSFFLQKLKAKEYRECMFRNNITNQFTDKYEKGN -FKRLTNASERFEAIYPRHKGDDTATFLMAVKKRLRFSKPAQEVAKFRAAEPFGEYMLGVFLKHIKLNKNH -EPQKMADAKREFEEKKTSKSAAVIENHSGRSCRDWLIDLGFLFIKNQLCTKFEKRFADAKAAQTILCFQH -EVLCRFAPYVRYIEKKLNDALPAKYYIHSGKNIDDLNDWVKDNDFSGICTESDYEAFDASQDHYIMAFEV -AIMKYLGLPRDLINDYIFIKTHLGSKLGSFAIMRFSGEASTFLFNTMSNMLFTFMKYEINGSESICFAGD -DMCASKKLRLSDEHSSYLEKLRLKAKVCFTTRPTFCGWSLNRLGIFKKPQLVYERMCIAIEKNNLQNCID -NYAIEVSYAYLMGENALVLMDEEEIHNHYMCVRTIVQNKNLLRSDIYKVFQQA - ->YP_009158846.1 replicase [Pea streak virus] -MALTYRSPLEEVLGSFSSSEQSLIAIPAIANLKGVEQDNHNLFNYALSPMAKQKLISNGLYLSPFSAMPH -SHPVCKTLENYLLYKVLPNYIDHRFYFVGIKESKINFLRSREKKLNLCEVVNRYVTSADKARYGSEFTIR -RTLESDKEIKSKYGRLPPNLVDLIPQFSSKQGLHLFLHDELHYWSRADLRLFLTACNPIKMLATVVIPPE -LLIGATESMNKWCYTFNIEDGEMFFFPDGVTTEGYSQRADCADLLNLAKIILDDGTTYCVDILCSKFSHH -LIAITRGDAIVPKTRTFSNFEAVGTKGIADLMEGDIQCLPISYTTISKIERYLMTLNKPDVQSAIAKLGQ -IVQEPSAFEIKFVREYAALHISKRGLNSLILRDNWSRIKADFLKLLPKIFYGKFDTILKVALEDFESNLA -PLTFRVRLQEYGSESLTNRLDSFIYRTGGIAAVVNGLIELFGPINKSDRKSSPYSDFYCAELFVVNSGTL -RRSIIDMLKRSYSLNALMELNPYSFYALLVERAEGNLVARYVLSGLQAEDIDTYIMRALSELQEEEEEQI -LDKKMLTYLDLAVKEEEEQTEEIEEVVEEIETTKTFNREVSHLNCDCGMSFECGKTILVDQARVNFLDDL -GNRSATFFSKCQVEYKYNGGAHEAIEWPAWMDMLLECNGFDPEEYDCVLVQIYSGGSRIGFHADDEAIFP -KDGKILTMTTGGSADFSVRCSRGGFTFNFGADTYLIMPEGCQNGHKHAVSNCTHGRISYTFRQLKQCREY -LERECEVESLCDQSESEESVEIITLHDVEIKQGKDVDTQFLDVIEVPGDGNCFWHSLGYYFGLEGKALKE -ISAARLEEVDWNLEPLKTQMSGYAYCEFESIVAAARLHSVQIEIIDLNSSIVWVFTPKTDLRQCARMQLI -GEHYSPVIPKEVCVLRAIAESLGKTLQDIHRCLTKAENRNLLDLVESGEGLEVFLIEPFMMLFGIRAVID -NEGDVIILNPKGALERFFQNYGNHLVHVDKNKIIGLERFGEVEGLGVERNSLAALKAAGTELLYEAKKDR -ADILCESLHSGLTGVISSKLYNDQPLIQNPGPGSVSRNVTVVLGTFGAGKSTLFIKFLKANEGKRVHFVS -PRKVLAEEIRANMAKALGYESGRMGKSGKLKSKNWFVHTFEVFLLKIQSLKAEDCVVMDEIQLFPPGYLD -CVTYQLNGKCELVALGDPAQSDYDNEKDRNILCYLGSDLERALQGVDYDYTIGSYRFQNRNFLRRLPCAF -MQQSLEIDEPYLIYEGVSEFLKIASEYRAVVLVAGFTEKKLVRAYYGQDCKVLTFGESTGLTFDRGLIIL -SADSLKVNEKRWVTALSRFRQNLAILNLTGDTLENLVLRKSNSVLADFLKGSSSTDKLKEILPGNPKFKQ -TFIGKIGKDQGLVEEKLGGDPWLKGELFLGQTEDVEVALINEFMEQDQWFKTHLPRCEMEGVRSRWLHLL -LEKDAREYRFRDMVTEQFTDDHDRGCGERLTNAAERFEAIYPRHRASDNLTFLMAVKKRLRFSKPHIEEA -KLNEALPFGKFLLDEFLKKIPLRASHRPDLMAEAVQDFEEKKRSKSAATIENHAGRSCRDWLADVGLVFS -KSQICTKFDNRFRCAKAAQSIVCFQHSVLCRFAPYMRYIEKLLGEALLKTNYYIHSGKRLEELDDWVIRG -NFTGVCTESDYEAFDASQDHWIMAFELTLMEHLGLPRDLIADYRYIKTHLGSKLGSFAIMRFSGEASTFL -FNTMANALFTFLRYDTRGDEFICFAGDDMCASKHLKVSDKFEDFLSKLKLKAKVQFTKNPTFCGWSLLPF -GIFKKPQLVYERICIAREKKNLHNCIDNYAIELSYAYRRGELAVNAMNEEETDAFYNCVRVIIKRSHLLR -SDVKTVFLNAKSLMESLH - ->YP_009134730.1 replicase polyprotein [Yam latent virus] -MALTYRTPMEDIVIAFEPQTQALIASSAAQIYKKMEEGNCSFFNFHLPAIAKRKLSQAGIYLSPFSAVVH -SHPVCKTLENHLLYTVLPNYVNSKFFFVGIKERKLQLLKSRSGALESVQCINRFVTSADKLRYTNDFVCY -QSRASDELFKHNPGLAEPALRSLVEPLRRHKARYLFFHDELHYWNSKTLASFLQVMEPEVVLATIVYPPE -LLFKQKKSLNEWCYTFEILGDSLMFYPDGVRSEGYQQPLIGGYLLECNKIVLRDGTTYMVDILCSKYAHH -LVAITRGEAESVKNRSFGPFEATASKTLARLCPEYPICLPISCEVVNKVYRYLRTLKKPDVQSAMAKLSQ -IVAEPTGQEIDFIEDFSKLVIQNESFNLSIAPERLKVFVGTWLGWLPGVLSDKMPSVQALSLGDFIKGLE -PFNFTVKLRTLRWDTLYADVLNWSLEGEGDPELVVRQLDRKWVNAPYEAMPQRVSAPYFGMVELIDAPWK -PLLEGLEELLLPFVRRAFLNSQCNQFTRTISRAAVSTYVLRLTERCSILRRKVRVGRESRACTPAELLGL -GDMAQLTRQLVVRLQQRARFTFWELGISWFVRFDTLNVRYLSDVPMYAKAHKDTMRMMGEVVKDILKKGP -RWKIDAPKRYACRGEVKVVDAPSDAAGKEEKGEDFKCLCGLVMPVTKLEYPELSLGAPDSLRGRKAGWYC -KTGQVYRYNGDVHDAQGWPEELMLWCEANSIPPMYDCCLYQIYDEEASIGYYADDEELFEVGSSVLTVNL -HGTAQFSIKCAKGSISRHLAGPTMFTMPQDFQLSHKHSVHGCSSGRISLTFRCLRKDLATGGVSDRMIEK -LEVEESAQQVVELGGVGITLRERDPTSKYVVRQVPGDGSCFWHALGELLDASPNELKRACTKYEFADPAL -NVELHECAKDGAYVTDAGIIAACLCLQARIVVWKEEVGQIQEFVPQSSTCVLNLRLIGEHFEPIYIKNGC -VISSIGLAMGRKECEVLEVLQRRCDDQILKEVWAGEGLSPSTMELVFKCFDVAAHVEEKGQVSLYNPEGS -AQVSFTLEDGHLSFNPRKKDPSTMMRSGTTCQKLFPSATLNVLKQNATMITYRPDATRAGLLADSLHDAC -TGVLGSALFNNKCNLRTQFCSVDGELVIHMIVGTFGSGKSTIFRELMKRAHGKIFDYVSPRRALAEDFKK -LIGMSCGQAGGKRKVGQENWTVSTFEKFLERVPFLVEGQVLVIDELQLYPPGYIDLVLMCKKVDVELFLV -GDPIQSDYDSEKDRVALAPLEENCEVLLRGTTYKYNITSKRFRNSNFIGRLPCTILADECTIDEPYELRL -YIENLKEIEEKYQKVVLVSAFDEKMAVQAYLPKARVLTFGESTGLTFPYGTILITAISERVNDRRWITAL -SRFSLNLCFVNCSGMSYEQLAMKYRGRALGKFLCKGATKEDLLGELPGEPQFISSFECKIGRDEGVREEK -VAGDPWLKTMVQLMQSPDVQEEEIVEAVVSDEWFRTHLPRDELESVRASWVHKILAKESREVRIGDMVSN -QFTDDHSNQVGGRQLTNAAERFETIYPRHRANDTVTFIMAVKKRLSFSNPARERGKLSRALPFGKALLNK -FLQHVPLRPAHNHRMMEEALWEFEEKKLSKSAATIENHSGRSCRDWPIDMAMLFSKSQLCTKFENRFRVA -KAAQSIVCFQHAVLCRFAPYMRYIEKKVHEVLPSRFYIHSGKGLDELNDWVIRGKFDGLCTESDYEAFDA -SQDEFIMAFELELMKFLGVPHGVIEDYKFIKTSLGSKLGNFAIMRFSGEASTFLFNTLANMLFTFMRYDI -KGNEFICFAGDDMCASKALSVVNTHKSFLDKLKLKAKVQVTSKPTFCGWHLCPDGIYKKPQLVLERMCIA -MELNNLANCIDNYSIEVGFAYKLGERAVNRMDEEEVSAFYNCVRIIVRNKHLLKSEVRSVFEESKELLTM - ->YP_009126731.1 RNA-dependent RNA polymerase [Alfalfa latent virus] -MALTYRSPLEEVLGSFSSSEQSLIAIPAIANLKGVEQENHSLFNYALSPIAKQKLISNGLYLSPFSAMPH -SHPVCKTLENYLLYKVLPNYLDHRFYFVGIKESKINFLRSREKKLNLCEVVNRYVTSADKARYGSEFTIR -RTLDSDNEIKSKYGRLPPNLVDLIPQFSSKQGLHLFLHDELHYWTRADLRLFLTACNPIKMLATVVIPPE -LLIGATESMNKWCYTFNVEDDELFFFPDGVTTEGYSQRADCADLLTLSKIILDDGTTYCVDILCSKFSHH -LIAITRGDAIVPKTRTFSNFEAVGTKGIADLMEGNVQCLPISYTTISKIERYLMTLNKPDVQSAIAKLGQ -IVQEPSAFEIKFVREYAALHISKRGLNSLILRDNWARVKAEFLKLLPKAFYGRFDTILKVALEDFESNLA -PLSFRVRLEEYGSESLTNRLDSFIYRTGGVAAVVNGLIELFGPINKSDRKSSPYSDFYCAELFVVNSGTL -RRSIIDMLKRSYSHNALMELSPYSFFNLLIERAEGNLVARYVLSGLQPEDIDAYIMRALSELQEEAEEQI -LDRKLLTHLELSVEVKADPEKSDEEEVEEVGEEKPFERAVHHIDCECGMKFECGKTMLVDHARVNFIDDL -GNRQAVFFSKCQVDYRYNGGAHEAVEWPEWLNMLLECNGFDPEEYDCVLVQIYSGGSSIGFHSDDEAIFP -KDGKILTMTTGGDADFSLRCKEGGFTFRFEANTYLIMPEGCQISHKHAVRDCDHGRISYTFRQLKQCREY -MERECEVTSSIDPSDDDQSVEIITLHEVEIKRGRDADKQALEVIEVPGDGNCFWHSLGYYFGLEGRSLKE -ISFSRLEEMDWDLEPLKKQMSGYEFCELESIVAAARLHSVEIEIIDHNSSMVWVFTPKNNLRQYARMQLV -GEHYSPVIPKEVCVLRAIADSLGKSLQDIHRCLTKAENRHLLELVESGEGLEVFLIEPFMVLFGIRAVID -NDGDLIVLNAKGALERFFQNYGDHLTHVSKDKIVGLERFGEFEGQGVERNSLAALKSAGTELIYEAKKDR -ADILCESLHSGLTGVISSKLYNDQPLIQNPGPNSICRSLTVILGTFGAGKSTLFIKFLKANEGKRVHFVS -PRKVLAEEIRSNMARALGYESGRMGKSGKLKSKNWFVHTFEVFLLKIRSLKAEDCVVMDEVQLFPPGYLD -CMTYQLNGKCELIALGDPAQSDYDNEKDRNILCCLGSDIERALVGNEYDYTIGSYRFQNRNFLNRLPCSF -MHQSLEIDEPYLIFEGVGEFLKVFTEYRSVVLVAGFTEKKLVRAYYGQECRVLTFGESTGLTFDRGLIII -SADSLKVNEKRWVTALSRFRQNLALLNLTGDTLENLVLRKANSMLANFLKGSSKVENLKEILPGVPKFKQ -TFIGKVGKDQGLVEEKLQGDPWLKGELFLGQTEDAEVIQINEFMEQDQWFKTHLPRCEMEGVRSRWLHLL -LEKEAREYRFRDMVTEQFTDDHDRGCGEKLTNAAERFEAIYPRHRASDNLTFLMAVKKRLRFSKPHIEEA -KLNEALPYGKFLLDEFLQKIPLRATHRPDLMAEAVQDFEEKKRSKSAATIENHSGRSCRDWLADVGLVFS -KSQICTKFDNRFRCAKAAQSIVCFQHSVLCRFAPYMRYIEKLLGEALKKTNYYIHSGKRLEDLDDWVVKG -NFSGICTESDYEAFDASQDHWIMAFELTLMEHLGLPKDLIADYRYIKTHLGSKLGAFAIMRFSGEASTFL -FNTMANALFTFLRYDTRGDEFICFAGDDMCASKHLKVSNKFEDFLSKLKLKAKVQFTKNPTFCGWSLLPF -GIFKKPQLVYERICIAREKKNLHNCIDNYAIELSYAYKRGELAVNAMNEEETDAFYNCVRVIIKRSHLLK -SDVKDIFLNAKSLMESLN - ->YP_009094347.1 replicase [Asian prunus virus 1] -MALTYRSPIGEVLNRFTSEEQSRVSSTSVSRLTQFEVNNHKLFSFAMSEKAKEKLIKVGIYLSPFSFEPH -SHPVCKTLENHILYNILVNKLDNSFYAIGIKGSKVNFLKSRSKNLSMLEYMNRIVTSADKYRYGSDFTTL -STQQIDNLCSKQSLEKCGTLRELVPLVKMSKKKRNFFLHDELHYWSSHDLMLFLDSINPDHLLATIVFPP -EILAGAQESLNPWCYSFQRHGSKLTFFPDGVQSESYTQPLSAGYILQASKIVCPSGQVYSVDLVYSAFAH -HIVSITKGDLPGLERRFFSNFEATGVKHLSGLSYSVRDCIPVSSELISKLYRYIRTLKKPDLQSCMAKLS -QIVPNPTGTEIKFTEDFARLTMDVQPMRSMLMPETAKLIGSLFSSFLPFKMARFFDCYKACSLDKFIHDL -EPFSFSVETKVINSRSNPMFEAVTLGETMSSEEVLKILAKLDMGAPTSLEGLTGKFKYSSEAYHGLHHVL -DGCGPHVFRRLVRKIADSFCNEFGIYRKSSYVSSVAITVLQRKTNSNLSFIFGTDLNPMIIGFVRNYFAS -RRSSLLIWFHDRPESWFQFSRLRANQKFLRSPIWPNDLGLVEFRMRKNLALEISNFDRNSNLRKLGSFQT -APTNSKSNTSSSEGASNHQREQRDQCDEATLQVNFQREIEFCMGSLPEANSFKSFTPCVEAVAETSYEVQ -PLEPEPEANGLVLGCIPDASTPAFTFNSANQKDRLFSSVVEENITDIGILSPMELVLPSSAEATPLNGRQ -CYFFTRCGCIDYGHNKIRYKPNKWFSGLDSILKDESTYYNACPLQIYEAGAGIGFHSDNEKVYHRSPIKT -INFCGEADFVVKAKGKRDVGVNATCHMKTGQFFTMDSNFQSYYQHSVQNCSEGRVSLTFRYHVNNIAGLP -IKHTCGEFGDTESLFDVLIRRSFSYSSKNFHTFPVPGDGSCFWHSLGALLGVDGEELKKISAREILKNEV -LSRNLSLSAQMENKQYAERESIAAFCRIQSIHLVVLLPDQNFSYEFLPMQNAEVTQLFVKLSGEHFEPAL -PINGCVVKSIAETLNQTEAKILSVIGRPNNRLILEGLVEGEGLNIEDLEAAFSVFGICARVSTERGVFTL -NKEGNLHANYELKSGHIMYLKKASSSQFAPTNPIQNFNGQGPEIFLRGIVSEVDYKPSWGRARNLEESLL -NGTTGILCDRTINLQKNWLTVDRKLNDNSRNLGVVLGTFGSGKSSLFKRFIVKNPSRSIVFVSPRRSLAD -QIKDDLGLNTKRGKSLRVRVLTLESFIKAVFTFKAASVVLDEVQLYPPGYLDLVMLCLSLNCQIYLAGDP -CQSDYDSAKDRALFDGLKGDIFEVLSGKKYKFNVSSRRFQSEMFVGRLPCRMDTKAMTENENFHWLESIE -SAAEVSNTEYDVVLVSSFEEKKIVWAHLGRDLEVLTFGESTGLTFNRGIILISHESTLTSERRWITALSR -FRLNIIFVNLVGNCLEDACQVFHDRTLDRFLTKRATIANIVDQLPGLPELTNDFGDKVGRSEGVMEAKLS -GDPWLKTEIDLLQDEDQEMEELAEEVKHEPWFKTHLPLFELESIRASWVHRIMNREYREVRCGSETTTQF -PDDHPSGAKITLANAAERFEAIYPRHRGSDSVTFLMAVKKRLSFSQPSKESAKLNRAKPYGKFLVSEFLK -RIPLRGNLDPILFAKAKRDFEEKKTSKSAAVIENHSGRSCRDWLADVGFIFMKSQFCSKWDNRFRDAKAG -QTLACFHHSILCRFAPYMRYIEYKLQAASPSNLYIHSGKNLEDLNEWVIRNKFSGMSTESDYEAFDSSQD -HFILSFEIEIMKHLQLPWDLIEDYVYIKTHLGSKLGNFAIMRFTGEASTFLFNTMANMLFTFLRYDLNGS -EAICFAGDDMCANRRLRVSKKNENFLGKIKLKAKVQFTEKPTFCGWNLCMDGIFKRPQLVLERLCVAREK -DNLANCLDSYAIEVGYAFALGEKILQYMDEEALQNHYNCVRFIIKHSHLLKSSVRDLFLSGM - ->YP_009046478.1 replicase [Cherry twisted leaf associated virus] -MALHTITPAENVLAQFSSEEASRIGASAISNFSKLEADYHNLFHFHLPAYAKKKLSERGFYLSPFSYETH -SHPVSKTIESHLINIKLPNYINEDFLIVGIKDNKLSVLRKEKKLRFLEAVNRCVTSHDIQRYGPSFHFEK -AKSNWKTDFSEVNLSAGVQSLLPRVLFDKGRIQDAQIFLYDELHYWSMRDIVDFLEITRAKTLIGSFVFP -TEILAGSDRSLNPWAYDFKIQGDKLIYAPDGVWAESYEQPLAAGQILKYNKIITQQGVYSVQVRDSIYSH -CLVIINRDNLLNEEFRVYSEFDAVSIRRLNYLGGNSDDIIPVRYEVILSVFKYIRTLKKPDLQSGMAKHR -QLVDEPTGFEVRFIEDFVQFILENHEKFNLIGQKFSNFLSSACIEVLPRYMQRFFKSFKGYSLGKFIEEI -EPFSFTVKCRTYSRFSFKNSFIEEEEARERDGDPIYKQFSKSQSFNSTAYPDCLFHASQSVFSNPHPNLI -RRLVTLFISTWVGKTEADYYTSLLSLKKSLSQKGLKLFRLHDDRYFSLTRLANLMDSFSFKATLKNEVMK -RLRLGGSLRGLLRYDPISENPSDKKDQRARSNFSSVVSELLSISSECPPSSTLTKTSGLQQELWKMKKEV -VTKQAPVLNEEQKPYKIPSEREKCSTQAIEKNEEPKSEVVSTKGETPPETSKTTSKFGELLATPEATAVS -EPTDNVLASSDLFISSIIKTGPFGDHGVIEFIRSLSFNDGHSHNGRKALFFSRGGFAYGFNSVTYQSSGW -PSAFEEIYGDRFNSCLVQKYEKSAKLGLHKDDEDCYDDEHEVMTVNLFGTATLIFTADGAKGLERADPSK -FLEITLSHGEYLLMPNGFQKKFKHGVSCTSAGRISLTLRKQARSMSGATLHAGADGGNNNGSGNEEDDSY -YEEMNKCSISSAPDSAKCSLSVFPVKADGDCFWHAVSSIFGLDALELKNLVKERAIEEGCVDQKHMKDFL -HEMEAKVYASNASITATCFLMNIKLIIKLVESKHSGWVVVEPLNSSNEKISLGYLVLNQRVQHFDLAVPK -EGCVIRAISEFLKQNPTKVLSVLSANCSKELLHELMSGLGIQEFFLEEIFKVFDICAEVSDGEKSRILNA -NGSRSAKFTVEQDHFSFSPGVKASTNLGSFKAPSGGQTIPIEQYETFLRGNANVIPFTPSLIAAKKLANS -FLSGQTGVINSKIISGQYDWLADTNKLCFDERKIGAIVGTFGSGKSHNVIELLRHNLGYQNLIISPRRSL -KEQFINMLDLVQARSKGKKASTEVATFEVALKKTGMLKKVRIFIDETQLLPPGYLDLVCLIAGPDASILV -MGDPAQSSYDSADDRMAFIGDRGCLDVLLDNKRYVYLSESKRFRNPMFLGRLPCTFDQSRMTLEKEEYAV -FSSFKDFKNDYLSPKIKTFLVSSFTEKTVVKANMGRNVLVYTFGKSTGMNFDYVCVLLTQDSMLVDERRW -VVALSRAKINMSFVNLSGLSLPEFCTQMVGGVVHKFFTGTATFNDLRSLLPGDPIFSKKFQRLGSDEVDR -EARLSGDPWLKTKVFLGQREVRPVEEPISVENLKDIKIKVHCPVGSMGATFAEVQSKLKVKEAREHRIDT -IVTEQFAEVHKGRGKILTAAPDNFEAIYPRHKAGDTATFVMAARKRLKFSLPAKEKQKFMSAIPYGDTML -KVFLNKVRLKPNFDHRLFEEARNDFEEKKLQKSMATLENHSGRSDPDWEIEKALIFMKSQLCTKFDNRFR -DAKAGQTLACFHHNVLCRLAPYIRYIEKKVFDALPRNLYIHSGKNFDDLRDWVINSNFSGMCTESDYEAF -DSSQDANILAFEVSLMNYLNLPRDLIEDYKHLKFNTHSKLGQFAVMRFTGEAGTFLFNTLANMVFTFMRY -ETNGRESICFAGDDMCANKLLRKKKEYEHVLDRMTLKAKMQHTTEPTFCGWRLGPFGIIKRPQLVQERIP -IALEKGNFNECIDNYAIEVSHAYNLGDRLISIMSEKELDAHYFCVRTFLQHKSLFSSNALEFFSEGESCK -SPDRNFG - ->YP_009022064.1 replicase [Gaillardia latent virus] -MALTYRSPLEENVAAYDSNVQSLIASTSAHYYKEEEESNFKFFNYHLTPVAKQKLITSGIYLSPFSAMPH -SHPVCKTLENYFLYIVAAPLLDSRFYFVGIKNHKINLLKTRNSDLSMVSKINRYVTSADKVRYGSDFVTK -PIYTGECLARHRSCLEGATLKDLVPALKEGKAKHVFLHDELHYWSPRDLITFLELVKPEIMYATLVYPAE -ILAGAAFSLHPWCYTFQIIGGKLIFYPDGVQTESYEQPLSCGYLLEAKRIITRHSIYMVDLVQSKFAHHL -IAITRTEALSPNYRSFGPFDATSSSALSPLMRTENNFIPVSFEIVSRVYRYLRTLKKPDVQSAMAKLSQL -LQEPRGVEIKFIEDFSSLVINTGTVRSIIKPELVKLFLGRWLGRLPHALAVKFSGVSEVSLDDFVSQMEP -YTFQIKLADVDWNYHHRWDLYSKAEEDYGVDASKLLEESFVANRLYEFGDRARAPYYSVKGQKNWHHILE -LRSDFDEMYFISTCLRELFNPTLSGISFYEVLGVLTGKLQASKSILISTKSSIRQMQEPGRYNVYMRAVS -RYAPKHEREWASSGAKWFFVSDRHNQQYLCDQPDGIAVPKAFKKVFGTVVGDILAGVKLRKRRVVGFRLA -EQPTPETTSGEGEGGMRHDPKPQNDAEPIREEEAVPVVVQPEQLCPAYCACGIELERSELIATDLHAFRA -PDNLGNRSGGWYSATGVGYKYNGGKHGSLGWPKWLGVWMQLNGIDSDYYNCCLYQVYKEGARIGFHSDDE -AIFEEGASILTCNITGSADFSFKCTVGGNSQFLDGPMSILMPEGFQESHKHSVSRCSKGRASVTFRRLAG -EMIGEQPIEELRKVCVAEQREQGPESSELPERSGSEQPGEFTQRNCIGVVNHRHGIPNMNYNLIDVPGDG -NCFWHCLSKAVSMGVKELKQACTRIDFKDEELNTRFEHQMQPRVFAEEEAIMAAAAILRAKIIVIAPEGP -QVSEFTPHGELLQVHYLEMKANHFQLIELKNSCVIRAIASAMNRECHEVLKVLEESGDLEEGSLLLSGLG -VELAELEHFFSLFGIAALVEAGGTEHRLNETGPVPAYFKLENAHIEHIRKNCSVKSELLRGENLGLNITN -RSLLYAEQCGSYLKYKPNESRAKVLADCFLAGRTGILNSKLFNNSGNLFDEINHNGEHEESVLGVFGTFG -CGKSTAFRQFFHLNPGKGVFYVSPRKALAEEFRSKTLSRQVGRLDKIKEKNWLLCTFEVFLKKHHLVKPG -MAVIIDELQLYPPGYLDLTMLLCPKGVLFLVGGDPCQSDYDNEMDRPWLGSMESDCERLLKGQKYKYNGL -SRRFVNKNFSSRLHCQFTEDRLTEEEPHLLYTGLDEMVQIEDEYKEVFLVSSFEEKKIVSAHFDVKSEAI -LTFGESTGLNFKRGVIIITNVSALTSEKRWVTALSRFSTNVCLVNLVGVDWSTLAKVYVERTLANFLCAQ -SHQGKLLELLPGEPHITAGFPDKIGKDEGLREEKMQGDPWLEGMIDLFQIEDVEEVEEQFEELQXEWFKT -HLPREELESVRARWVHKLLAKEFREVRMGYLTSEQFTDEYPKDAGVNLTNQAERFETIYPRHRANDSVTF -LMAVKKRLRFSRPAKEKCKLIEASSYGRFMLNEFLDKVPLKRKHDPIMMAQAKKEFEDKKTSKSAATIEN -HSGRSCRDWLIDIGLVFSKSQLCTKFDSRFRVAKAAQSIVCFQHEVLCRFAPYMRYIEKKLHEVLPERYY -IHSGKGLDELNDWVKKGRFSGICTESDYEAFDASQDQYMVAFEVEVMRYLGLPNDLIEDYKFIKTHLGSK -LGNFAIMRFSGEASTFLFNTMANMLFTFLKYEIKGHEFICFAGDDMCASERLALKKQHESFLGKLKLKAK -VFMVDKPTFCGWNLCPDGIYKKPQLVMERMCIAKEKANLANCIDNYAIEVSFAYKLGERALNRMDEEEAA -AFYNCVRIIIKNKHLLKSDIRTLYEENKLT - ->YP_006860589.1 replicase [Apple green crinkle associated virus] -MALLSRTAAEEVIASFTSEEQSRISTQAVLALTNVEKDKHDLFNYALPELAKMKLFNSGIYLSPHSYRPR -SHPVCKTLENNILFNVLPSYLDNSFYLVSIKKNKVDFLKRRHPDLQMVETINRYISSIDKTRYGGFFHVS -PSKISAKFKCDRRTGFENDAPLVDLIPGCMEGARKRFFFHDELHYWTKEALITFLDHVKPEVMLASIVFP -PEILAGAKESLNPWCYTFKILGKDLVFFPDGEQSEAYIQPVSGSYLLRTGKITTPSGDIFQLDLLKSSFS -HHLISITKGEAIGQKMRFFNGFEAVSMKGLNPLRRKVESCLPISKSTILKIYRYLGTLKKPDLQSAMAKL -SQVCKDPNGYEIKFFEEFSKLCLKCETLNTNMLPDMKRIVQGFFLKLFPNPISRNFKVVQQLHLDNFIET -LEEFNFSINTEDLSLNWKDDLEFINLTFGDIDFNVEESFASAWGTRKDVVDITTVHHSPYLVSKFDSYDH -QFHSILSTNSTTALTKIAKIVLSLYDPCVVGAFSECRVSNLAVNVIIAANLRACFAVTDLWKTFEGILLK -ECKKAQGKMRKRFYFELGIRWFLFVDVSNQWYLPPCRDGLISRSVLFDQFIKGCQRHNSFHNGRMALRQV -FKKFKLQALLSESELSVVHMVEIRTVPEVEPTVEDEQHLTAIPSEVVPITTVGCNVVPCKCNLNCFIQQA -DVSSLHGNLIFLDFIGGARGRGASFYSRNLKGYSYTGFSHVSRGWPTFLDKFLDDNKIPQKFYNQCLVQE -YSTGHGLSMHKDDEEIYDINHQVLTVNYSGDAIFCIECSGSGFEVPLSGPQMLLMPFGFQREHRHGIKSP -SKGRISLTFRLAKEDDHQVPIQEVVAIEHGDSDDRAALKQMEKKGFFDGGKPPTDSGEQTREKVTGDPCG -SAPVQEFMIQIDSSILEYALKSLSGLSKDVLNCDMCLCNSPWLKNEELKFSEALRDLAFASGFNPTDRFS -LAKGIEGVRGMNRIINELPTHVFPLRGTMHIVDLDDKSIKGDVKEGSFSGFRRWKITSCSTDLIVLAFLK -PKMTLGGELRSHEDECELSDLTEKLHGCSVILSRKFEPDLFHSFDVEADGNCFWHSVGPLIGVDGEYLKK -ILHDQALKDGVKCPRLSKQLEGNTWAEREAVAYFCSHYGIRLNVLYTREECTWIFKPHEVLKAATLVCQD -NHFKPCMPVNGCVIRAIASALSRREVDVLAVLGKPAHEDLFEEVAEGRGFSIFDLTRLFEIFSICGSVDT -GGELIMVNENGRIPAEFSLEKEHLAHIPTLSRRKFSPIVSDLNRVSNSAMRFLAINGAEIDYRPSIDRAS -TLLDSFELGATGVLCQGIKEAQKGLAAKLVPESVHDRKLIMILGTFGCGKSSLFKKFIEKSPGKAITFVS -PRRSLAESINHDLGLSLVGGKKTGKCKDLKNVRVKTFELFILHLDSIKEGHTVVIDEIQLFPPGYIDLII -LGLNLKVNIIIAGDPCQSDYDSSSDRHIFAGSESDIMRTLSGRSYKFNILSQRFRNPIFYGRLPCNLNKT -RLTLDEEEYTLWDSIQEFSMMGRKDCPVVLVSSFEEKKVVAAHLGLKMKCITYGESTGLNFQKGAILVTY -ESALTSDRRWWTALSRFSHDIHFINGMGVTWDNAITHFVGKPLHKFFTKRACNDDIIDLLPGRPELIEGF -QSQVGADEGVREAKLVGDPWLKTKIFLGQNVDMEPELIEEVEVAEDWFKTHIPIMGLEAVRAQWVDRILS -REVREFRIGDITTEQFTDDHSKNRGQELTNAAERYEAIYPRHKGTEFALDLGAVKKRLSFSSPAAENAKL -RRARPFGKFLLDTFLKRIPLNCRHNESMMSEAVHAFEEKKLSKSMATIENHSGRSCEDWPVDKALIFMKS -QLCTKFDNRFRSAKAGQTLACFQHSVLCRFAPYMRYIEAKVFEVLPKNLYIHSGKNIDDLAEWVTVHKFN -GICTESDYEAFDASQDHFILAFELEVMKFLGLPPDLIADYTFIKTHLGSKLGNFAIMRFTGEASTFLFNT -MANMLFTFLRYDLSGKEAICFAGDDMCANSRLKVTNANSKFLDKIKLKAKVQFTATPTFCGWGLCEHGVF -KKPDLVLERLQIARETRNLENCIDNYAIEVSCAYKMGENLNLYLSPQEVDAHYNCVRFIVQHNHLLKSNI -RDLFRGEAAVGIK - ->YP_007761581.1 replicase [Cherry rusty mottle associated virus] -MALHTITPAEGVLAQFSSEEASRIGASAISNFSKLESEYHSLFHFHLPAYAKSKLSNRGFYLSPFSYETH -SHPVSKTIESHLINVKLPNYITEDFLIVGIKENKLSVLRKDKKMRFLEALNRCVTSHDIQRYGPSFHFEK -ARSNWRSDFSGVNLSAGVQSLLPRILFDKGKMFDSQIFLYDELHYWSMKDIVDFLEISKAKTIIGSFVFP -SEILAGARTSLNPWAYEFKIKGDKLIYAPDGVWSESYEQPLSAGQLLKFNKIMTRNGSYSVQVRDSIYSH -CLVIINRDELLCEEFRVFSDFDAISIRKIGYLGGNADDIIPVRHEVVLSIFKYIRTLKKPDLQSGMAKHR -QLVDNPTGFEIRFIEDFVQFILEHHEKFNLIEQKFSNFFSSACINLLPRYMQRFFNSFKGYSLGKFIEEI -EPFSFTLRCSTYSRFGFKTSFIEEEEAAVADKDPLCLTLKLANNKPSCFESYPDLIFNAHTLVFASSHPS -ITLMLVKSFINMWVGKTNDRYYQSLVALRQALNQKGAKLFMLHNESYNSLVILANLMDSHLFKNLLRNEI -RKRLRLRNSVRGLLRNDLPPSCPDAKREVRFISCYKSLLADFKKMSEECSPILTLIATGGPFEQLNAMKK -DFVLINQPSVGKFEKVKKCISKSTDASPDGGKEHKLEPQHSEGVNAKTDEVIPKRVDQPEPKTEEGKTGS -SDQFISSIIKVGPFKEPSTISFVEGLDFSKGHNHKGRKSLFFSEGGFSYGFGSIVYPSQGWPNAFKELYG -DRFNSCLVQKYNADATLGFHADDEQCYDQDHEVLTINLFGSATICFTKGDFSALNTSNPKLYLEVGLDHC -DWLLMPRGFQRNYKHSIKGTSEGRISLTFRKQRRTLEGSLIQSRAESGDSNSDDGEGGFYFEEINKCSIT -SAPDSVKCSLSVFPVKADGDCFWHAVSSIFGLEAKELKQLVHDRAIAEGCIDKCHMKDFLHEMEPKVYAS -NASLAATCYLMNLKLIIKLTGLEDDSWVVVEPLALSNERASIGYLVLNQKCHHFDLAVPKEGCVVRAVSE -FLKQNPTKILSVLSANCSKDLLHELMSGLGIQEFHLEEIFSIFDICAEVSDGASSRVLNKKGSRSAKFIV -DKDHFSFCPGTKASTNLGVFKAPSGCPMIAIEKYDEFLRSSANVVPFTPSLPLAKKLADSFLSGQTGVIN -SKIVAGQYDWLANTNKLCFEERRVGAIVGTFGSGKSHNVIELIRHNLGYQNLIISPRRNLKDQFINMLDL -VNARSKGKKTSTDVVTFEVALKKNGLLKKARIFIDEAQLLPPGYLDLICLIAGSDSSILVMGDPAQSSYD -SAEDRMMFAGDKGCLDRLLEGKKYVYLSESKRFRNPMFVGRLPCTFDSSRLTLEKEEYAVFDSFKAFKAD -YLSPKIKTFLVSSFTEKTVVKANMGRNVSIFTFGESTGMNFDYVCVLLTQDSMLVDERRWVVALSRAKIN -ISFINLSGLTLPEFCTQMMGGVVHKFFTSTATFNDLRELLPGDPIFSKRFQRLGKDEVDREARLLGDPWL -KAKVFLGQREEKIESIHVNDEGLKDIKVKVHCPIGSIGSTLADIQAGVRVKEAREFRIDNLVTEQFSEVH -KGKGKVLTAAPDNFEAIYPRHKAGDTATFVMAARKRLKFSFPARERQKYMAAIPYGVSMLQVFLKRIKLQ -SNFDHRLFEEARADFEEKKLQKSMATLENHSGRSDPDWSVEKALIFMKSQLCTKFDNRFRNAKAGQTLAC -FHHDVLCRLAPYIRYIEKKVFKALPSNLYIHSARNFDDLRDWVIKNNFTGVCTESDYEAFDSSQDVNILA -FEVSLMEYLRLPRDLIEDYKYLKFHTHSKLGQFAVMRFTGEAGTFLFNTLANMVFTFMRYEINGREAICF -AGDDMCANKLLRKKSEFEHILDRMTLKAKVQHTTEPTFCGWRLGNFGIVKRPQLVQERILIALEKGNFHE -CIDNYAIEVSYAYNLGERLISIMSEKELDAHYFCVRTFLQNKKLFSSNALEFFSESEGCLSPERNFG - ->YP_006905861.1 replication-associated polyprotein [Rubus canadensis virus 1] -MALSFRLPVSDVLNGFTSEEQSIISRTAVKKISEFESHNYEIANFSMPDFAKSKLSQAGIYLSPYASMPH -SHPISKTIENHILYRVLPNYVDNSFYFVGIKEGKLNFLKRRNKDLSLVSLVNRLVTSRDCVRYPSDFHAI -KTHKIEGLVPRTSNFFEHADSLKDLIPKMIREGSKKMFFHDELHYWSKRDLVTFLDAVDPSLLICTIVYP -TEILVKSRVSLNSWCYTFELIGKDILYSPDGVASESYLQPISGGFLLKTNQIETPNGSVYAVDLLYNCFS -HSLISLTKTNKLPPKLNFFSNYEAISTTSINSLGPKLGDCYPISFDLISKIYLYLRTLKKPDLQSGMAKL -RQMVSNPSCFEIKFVEDFCRLVIEVPPQKSLLIPDASKIFLHSLMSIFPSSWVRNFNSFQEITLDNFISS -LAPLRVRVELKKLDFSDDLFSDLGLSHEEEFPSIPFDPEQLMESFSIGRSKKAIPDRVPSPYNNLIFHGA -EPIVDTRDKSVLIQMYHCVFNAFSNSDGFYVSSRTFFSVADGLYARNSIFSNKALRLAFISMCSGRLVKD -VYLLNIRRKIFFVRSPTSGAQIRTANLQWFFLKKRGNQKFLRDSAFSDESNLETKVFTSWMKVVDEVLLI -NSSSSLGMRSTLRDSGLRNLWEYNCSTTSYPAPSATESVEKVEEIEEESVATHENVPILKRDVSSFLKIA -FNVPTVQEEVVEVKDVVETLGTEEEFEAPALEKFGDAGIVFGRVKDMTLVGCLDMVFNENLHDVLHLKGR -SAVYFTRCPCLRYGHNGLQYLPQKWPIEFDWFLGENLGKYNSCLVQRFEVGAKIGFHSDDEKHYSSDNDI -YTVNLMGNAQLSIRPKGDKRKANEITRALVSQDSYLMPSGFQDKFEHSIRSMTEGRVSYTFRKVVHDSLG -QEIVHDSICLLDCFSENLFDVKISASQDLDFKNFQTFPVPADGDCFWHSVGYLFGTNGIDMRKTCSDAMY -DLGVDSNGSLVLQMIGFNWAEREAVALFARVMGVEVTVFYIEEGVNWTFTPLEIDSSKKCYLVCKGNHFE -PCLPKNGCVVRAVAACIGKKEIDVLSYLGRGEFTDIYNQIMSGNGLSILDFERAFSMFGIKAFLETDGKV -LEINSNGSIEGSFRLTDDHLEFLPFKSVKHLSSLPVSNLIPVAKDQLEVISKCCTEVEYTPSFDRANTLS -ESLLNGTTGVLCSRNLNNQVDFLEGNEKLCKEKRNLMILLGTFGSGKSFFFKKFIKDNSMRKVIFVSPRK -ALADIILQEISGIKGKKNWGSAKGNKVKCKKGYEVLTFEVMLKRIRSSFLKGTVVIVDEVQLYPPGYVDL -LCMLISSDCKVFLTGDPCQSDYDSDKDRMIFYGMEPDIMHILNEKSYNFNVESRRFVGSIFRGRLPCEFL -NGTACFNPKNYEMMGHIKSIDKNLIKSVDVILVSGFEEKRICWSHLGAGNKCLTFGESTGLTFESGIILI -TSDSFLCGKKRWITALPRFRKLLVFSNLTNLSYEDIAQKAFGEPLYKFLTEKASTDDLLEILPGKPNFVK -GFGFVGKSEGEKEVKLQGDPWLKEKIFLGQSEDIQEEIQIYEEIKNEWFKTHVPLSEQESCRIQWLNRLL -SREAREKRMGSEISTQFAEEHHPGQGSALSNAAERYEAIYPRHKGGDSVTFLMAVAKRLTFSRPAVESAK -LRRAENFGKMMLDKFLKHVPLRRAHNQNMMAEAVAEFEEKKCSKSAATIENHSARSCNDWLLDIANIFMK -SQLCSKFDNRCIDKAKAGQTLACFQHSVLCRFAPYVRYIEKKLFEVLPKNLYIHSGKSLEELEKWVMNGS -FNSVCTESDYEAFDASQDHFIVAFELELMRYLGLPNDLIEDYRMIKVKLSSKLGNFAIMRFTGEASTFLF -NTMANMLFTFMKYDLSGKEFICFAGDDMCANKRLRLSSEYDGFLSKLKLKAKVQFTKSPTFCGWCLSEFG -LFKRPQLVWERICIAVERGNIAECLDSYAIEVSYGYKVGESLAGKMSSVELDSHYQCVRFIVKHQNLLKS -NIKDLFAS - ->YP_006589919.1 replicase [Sweet potato C6 virus] -MALSYRSPIEEVLTNFTTSEQSLVNQSALKTLQEKEARDQKFFNFHMSPTGKQKLIASGIYLSPFSFEPH -SHPICKTLENFMLYKVLPNIIDPSFFFVGIXNSKLDFLKQREKSLDLLTCINRYVTSADKIRYSNAFVVN -SAKPIDCLHRQRDFNFDPSLRDLLPKLITSKARNVFLHDELHYWPIKTLLNFLDVLKPNKLVGTLVFPPE -LLIGSKKSLNPWCYEFEKSGPDDFSFFPDGVREEGYHQKISCGFLLRASKLITASGDVYCVDLLCSKFAH -HMVSITKGEALVSPVRFFGNFNAVSSDDFGRLNKFSDSIIPMSFDVISRIYRYLRTLLKPDQESAMAKLS -QLVPEPTGFEIKFVQDFSKLVIQTQKLNTFFDDNLMGDFLAFMQKFLPGPLARGCKASRVRSLDAFVENL -KPLSFKVATKSFAYSDDLSWSTLRNLEAESSVDLPYLLDNFSSSNTAESRRTPYFIEAYADTIDFNETRM -LNLLMELMLIAYLRGRTIKPTLEDAKISIRRVIKDLARNKNHWFLSLFLNLSEAMQDHLLLRAYCMGLAR -TYHAKGFRKQKRVFLLTNYSEGNHLQRRESCLYAKCIGEILGGGLGSFMRYDSTKRKFLSDSTTSDSSED -LSEQSTSEKEIEKEREPEKSQHALLVDIAPLPFSKLSLEKRPKSPTLSLDCACGVSRLISKVKLVDSLNL -VERKRTDHNLYHWFSRFLMNLLLGSQELQPSKWPSFLQLICKASGIDDMDYSGALIIDFSGGENLDLDDI -IFEPYLSKGNMIIAHLGGGIDISFKGKNCSSSIVLSEPSFIELFTQKSEDHSIQLKPLSPQSCVLILISS -LFQQRRKVVSEASSSFSNCQEECKQESEPEIKQVSGEDPLIFEPKGDDVIEYEYLDTTVEVTAGSPVGKY -KLVPTKGDGNCFWHALAFFLDLDGKQLKEVAKKRAAENNLIDDSVMQQLEPDVYAEIESVSIAVSFFGWN -IIVFNQKDREIWKVTKKANDKFLSLNLSNEHFEPIVPINDCLLKSIAEALGRPFRDVLRVVSTKGNSMIY -QDLINGDGVPKWLIERCFELFGIKGLVNWDGELTVVNPAGKFEHSFDLTGDHIEYVKASRRSIGGLARNR -LKPSSLKANDMILKQAGSTLQFKLDFARATILSQCFYEGVTGAISSNLFHDQGNLIEQSINPDGVEREIT -WILGTFGAGKSYLFKKFMVQNPGKEIIFISPRRALANEIKRDVAKAISFGGEKLQSKVKELSKNWSIMTF -ETAILSANRIKSTSCIILDEVQLFPPGYLDLLVALTPLESSIFAVGDPCQSSYHSSKDEIYLGPLEGDIM -LQLNGKSYKFNAQSLRFKNPDFVGRLPCTFGSLSDLSHNSILIFEGLDSFLILPQKYKDVFLVSSFDEKK -IPETYVGNDAKLLTFGESTGLNFEFGCILLTGIGESVSENRWITALSRFSRNLALINLTGHSLDHIKAVY -ADRTLGKFLNKTFQKSDFLNFLPGNPSFTSSFNSSVGASHGVKEEKVRGDPWLKGMLNLAQDPDVQDIEV -QKVANYSQSSKTHVARATSEVVRTSWVHKIREKFSREKRIGHLVSNQFAEEHSKNNGIIMTNAAERYESI -YPRHKGSDTVTFLMAVKKRLRFSNPRVEAGKLNTASLYGKFMLNKFLSKIPLKTHLDHDKMDRANREFEE -KKTSKPAATIENHNARSCTDWYADLAQVFMKSQHCTKFENRFRDAKAAQTIVCFHHSVLCRFAPYIRYIE -MKVKEVLPSNFYIHSGLSIESLDDWVRSNDFNGECTESDYEAFDASQDEYTLAFELALMEYLKLPKDLIS -DYRFIKLHLGSKLGMFSVMRFTGEASTFLFNTLANMLFTFLRYDLRGNESICFAGDDMCANRKLKLNLDY -EDFLKRIQLKAKVQFTRKPTFCGWNLNSFGIYKKPQLVFERMKIAQETNNLHNCLDNYAIEMSFAYSLGE -VATALMDDEELNSYYCCIRLIILNKHHLKSSVRLLFEKLRF - ->YP_006522434.1 RNA-dependent RNA polymerase [Potato virus H] -MALTYRSPLEDIVAAFDPAVQSSISNTAAQSYKEYEQSNFELFNYALSAKAKERLSTSGVYLSPYSAVPH -SHPVCKTLENYFLYKVLPSYLDSRFYFVGIKNFKLELLKKRSKNLDLVSSINRFVSSADKLRYTSDFVRL -QSSKSASLVSKRVVLEDVTLRDLLPAAMARSAKYLFLHDELHYWSKRTLMNFLSELQPEVVLATVVIPPE -TLAGAKESLNRWCYEYEVSGSKLYFYPDGVRAEGYEQPLGASYLLKCGKLLLDDGQVYCVDVVCSKFAHH -LLCISKGDAVVPTRRSYGPFDATSARGLSNLTASLKKYIPVNFEVMSKVYRYLRTLKKPDAQSAMAKLSQ -ILPSPTSAEIKFVSEFADLVIETKGIHSMICPARLTLWLGKVGNVLPPCLRERIATVKEVSLDEFVASFE -PYTLNVEVVDVSTSSVEYIVELFTAEVPVTETDLLKAMSRFNSGESVVLDRTPQRYTGMAPLSGKVEFSL -ELNYDALPRFCADNFIKSYSRFDEPKPAIESIKVVIQHFSRVSLAFPMRKVGVLFGQAKFWRRVMLSVHA -KLARYSRASYPKDGVGWFQARRRANQRYLCGTGDHPSVVHAVRSAWTMVVQEVTAKGDADLPWIEHASEA -AGCHITGSNSKAQPDEVSVCGEHALCVKMKVVCTAHSGLNGFDIGAASRSGLSYIAFGRHTASKDALKSC -GFYVESEWPAWLDQWLEVVVLDAAYNVCVVRMNQVTGGGAQMCFENLGKLSTENTALVCLSGKASLANCR -DNCDRAMEVTEGGTLRLEGEARTLGEVGVKCANAMYVDVVMLRLVETTASVELVEAPTEVRSDRGEPALC -YDSLGAQVTIVSEASMPKGVLRDVPGDGDCYWHCLAEVLDMSAKVIKKLCCERLLSVAPKLSEEVMRQVE -DGESACDELIAISAALFGLCVIIHNEQRGDERTFKGHSATIQIKLLLKGEHFQLILPRNNCVLRAIGQAL -KRSEVEVLKVVNVKCTEALVGKIWEGEGVGLDELDLVFSCFDIRAIVHRGNEQFVLNEQGKVVKSFSATD -DHLEYLERKRTPSAELLMGHKKCREVDQRAVNELAMAGTSLVYEISATRARVLAECLLEGLTGAFSSELF -DGKRSLMPTGTDVEAPRCARVHVIMGTFGAGKSTLFKKFMSKCSGRKVTYVSPRKVLADSFKSMMGLGRT -KGESALVGAENWSVRTFEAFLHTAFTVEKGEALLFDEIQLYPPGYLDLVCCIVPPTAHLFLIGDPCQSEY -DNEQDRAVFSDSQTDIMRLLDRSEYRYTVGSYRFLNPKFANRLPCSFLNFNEEDEEDLYVLREGVERLAE -VEEKYKEVFLVSSFEEKKLVKCYSSMDANVLTFGESTGSTFNYATLLITRASAAASERRWLTALSRARRG -LYIINATGLGMEGLIMSYSQRSLGGFLTGRSKKEDLLKLLPGKPIFQEVFYPSLCKDEGIMEEKLQGDPW -LKTMIDLLQMPDVEEAEELAEVMQEEWFKTHLPQCELESVRARWVHKILAKEAREKRMGMLISEQFTDEH -SKNKGMQLTNACERFETIYPRHRANDTVTFIMAVKKRLRFSSPAKEKAKLHEASNYGRYLMEIFLKKVPL -RREHNTELMAQAKHEFELKKTSKSAATIENHSGRSCRDWLVDIGQIFSKSQICTKFDNRFRDAKAAQTIV -CFQHAVLCRFAPYMRYIEKKLHEVLPKKYYIHSGKGLDELNNWVIEGQFEGCCTESDYEAFDASQDQYIM -AFEIEIMKYLGLPRDLIADYQYIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFMRYEIKGNEYIC -FAGDDMCSSKRLQVSRVHEAFLGKLKLKAKVQHTSKPTFCGWNLCADGIYKKPQLVLERMCIAKETDNLR -NCIDNYAIEVAFAYKLGERAVNRMDEEELEAFYNCVRIIVKNKHHCRSNVVEVFSSANV - ->YP_006297586.1 unnamed protein product [American hop latent virus] -MALTYRGPIEEIVGCFEPAVQSAIASTAANGYKTLEEENFHAFNLALSAIAKEKLSKAGIYLSPYSGVPH -SHPVCKTLENYMLFSVLPSIIDNRFTFVGIKNFKLDFLKARVEKKGKRNVNLIESINRYVTSADKIRYGN -DFVIASSKPCKMLKRHAPLLGKAALRELIPECVQRRAKHLFMHDEIHYWDARDLCGFLEVVRPETLLATL -VYPPELLSGAKESLNRWCYTFEIKDSNLLFYPDGVRSEGYVQPLRNGFLLKANRIVLADGTVYGVGLICS -KFAHHLVAITKGSELNVDYRSFGPFEAVACNGLSKMARKVNGCFPVSFDLVSRVYRYLRTLKKPDVQSAM -AKLGQLVPEPNGAEIKFVEEFSKLVIRTNTINSTICADHAKLFLGNMLGCLPGIFLQTFGVAREVNLDSF -VAALEPYTFTLKMTTIDDNYKEVIDFLNFGECEEGYDLVSAMDSKLYGPRIGVLNRTQQPYVGLAPVRDE -KKVLLQFTKEQALRALVFILHKSFNDSYQIGISKPLLKRWLREVRSKQRNLWCKHIDELLVDEAELTRVC -KRVLAKGIPKNRPYMPKRLEAVPTRCAVPREAKLAYSCVVSDILRRGAKWGAEELAKKLKIETPLVTESA -HNIPPPEPVEAEVVHEPLVCGCNEDLSYRTLPHAGLIHEYFPDKLRNREAAWYAKNGTCYRYSDITHQCL -GWPNWIEKWAEVNDLDISGYDCLLAQRYREGGAIGFHADDECIFETGGSILTVNIKGRALFSLAGNVCRK -VWELNDGECFTMPCGFQQTHKHAVSGCSEGRISFTFRKLKTTCVTDKPAPETPQDNASDDGANGSQSIEK -PVLDADTQMSDSSDSGGELDSVASNREPSLTYEVGCVEFTWQPAELSCNNFKFVKVNGDGNCFWYTLEHF -TGVNQRRIKEAARTGASYDSEFSVRLAEQLQDRVMAEEEAISAASYALRARLVIYDFEKQCIVSVGNEVF -KQTYFIGLSNEHFSPVLPVNDCLAVAIAAHLDRKVEDVINVLSKQMDEASFMDLWCGKGVDVSFLPLIFE -MFNIEALVTGKGEDILINAGAEFKGVYDIVEEHITYLPERKVPHHQVLNTNVGTKGVNKGSLQLLRGSGT -MLDYAPEMSRALRLAKNLFQGRTGAISSGLFNDKASLEPDVHNGDFSSRAVCVVLGTFGAGKSTLFKKFM -QANKGKCVHYVSPRRGLADDFASAMGIERGPRKKLNVASQNWRVNTFEKFIAVIKSIGSGAAVIIDEIQL -YPPGYLDICLALLERDVHIFLVGDPCQSDYDSEKDRASYAFELSDIEMVLKEREYRYNIMSRRFQNANLV -GRLPCYIDQERIKIDESYLIYDRVEDLADLPDEYRDVILVSSFDEKKIVESYTPDAKQILTFGESTGRNF -RCGCILVTEASKYTSEKRWITALSRFSRNVAFVNATSTSFEQLLLVYKGKVLADFLCKRSTEEFFTQILP -GKPVFRKGFSNAVGKDEGIMEEKLQGDPWLKTMIDLLQIEDIEETLIDQEEMQKEWFKTHLPQCELESVR -ARWVHKILAKEHREKRIGCMISEQFTEEHSKEQGVRLTNACERFETIYPRHRANDTVTFLMAVKKRLRFS -DPNKERAKMHEAGNYGRFLLKEFLKKVPLRQKHNKELMAQAKADFEAKKTAKSAATIENHAGRSCRDWLA -DIGLVFSKSQICTKYDNRFRSAKAAQTIVCFSHAVLCRFAPYMRYIEYKLKEVLPEKYYIHSGKGLEELN -DWVIKGRFDDVCTESDYEAFDASQDQYIVAFEIEVMRYLGLPADLIADYLYIKTHLGSKLGNFAIMRFSG -EASTFLFNTMANMLFTFLRYEIKGRERICFAGDDMCANTKLVISREHEWFLGKLKLKAKVENTKRPTFCG -WNLCSDGIYKKPQLVLERMCIAKETNNLHNCIDNYAIEVSYAYLMGERAVCRMNEEELEAYYNCVRIIIK -NKHLLKSDVREVFQEVC - ->YP_004936159.1 unnamed protein product [Garlic common latent virus] -MALTYRSPLEEVLTSFSASEQSLIAEPAITSYRGLERDLFHFFNYNVGPYAKEKLIGAGVYLSPFSGVPH -SHPVCKTLENHLLYRVLPSILDNSFLFVGIKESKLNFLRARHQNLSMVELVNRYVTSADRTRYPNDFHLS -ITAEQCFNRYDGFKHLGPGLRKLLPHCITVKPRRLFLHDELHYWCERDLVTFLSAVKPEKVLGTVVYPPE -LLKGVKFSLNKWCYDFDVEGDDLIFYPDGVRTESYTQPLSGCFYLKVGTLKLLDGSVYHIDVVHSTFCHH -LISITAGEAVRAPTNSFSNFDATTCRGLPNIAFRSLGPCIAVPYPVISRVYRYLRTLQKPDLQSAMAKLS -QIMPEPTGYQIKFMTEFSKMVIDTPVGASFFQVAIFEKFKGFLTTFFPKYVAARFDVARIRSLDEFVEHM -CPYTFKIKAVVHDMRLHQLLFPRDEEMPRDEDFDTIRALEMQWGGGANGERIGAAYTVGPFCDYPVIDAQ -KVFQLLRVISREWSFSFSMVQYCERGDYTEFMQASKLIWFSSKLAGWDVCLATYNKYVHMRHSDWKRRLK -SFRSIGCAWFCMRVRSYERYICAYPDQAKSYKALDEAYSTVVADLLGSLARNKLIISAAAPKFDKTSKVP -REGVAEEIPAHCARTSAAFASAPCGSTASSECCVFELNPPAQIADLSCSCDRTLAVLNVLAYEALELEFP -DQLPGCRAGWYVKGPQVADVGGFLGCQQLRWLDFFDSFLELHGAQPGSYNSCFAQVFDLDSTFEYHSGDG -GVFEEGAPAYLFQTRGTSRVHVQGLGCCGERALLGPMCFEMPASLLLEHRWKISKSSTGCTIMTFRKLRQ -GEPPVVETTAPTVQKGVGVDSSFTWEQYGVTILSSHMSKAPDGRIEPQRGDGSCFFHCMEPFTNLEASLL -RRTIAKEMARDSKVLESDLVECQGNGPVSDGVIAYTIRFLGLKVRFFSPELNEVRKFSTCTATNFWVDVL -HHGNHFDLLYPTNDCVLIALEQGLGRKRGDILKVLSRPQHSDIFQIATGGVGLTLELLEPIFQCFQIDAR -VLCGSEVFTYPTSGTFALDFELADGHLSFKSARRKETVSSCLKVVEASPHGRLVLNCAGTGVLFEICSNT -AHKLAESLFDGRTGIVSSKLFNNRERFEVSSTSLLPRTLNVICGVFGCGKSTLLCKALEKGLGVCIFVTP -RRSLAEQMTQLVQSVETSTSVTILTFEKFLHQMVNVKEGSTIIFDEFQLYPPGYFDLVCSQLTDGISLHL -LGDPCQSDYDNAKDRGVFEGLLPDHQRILQGIQFRYATRSYRFSNPNFVGRLPCAISNTNEDDFEDFEIL -EGIEQVQEIDVECYLVSSFIEKQAVRALVGLDKVVQTFGESTGLTYDCVAVVVSEASKLASERRWITALT -RARKRVTFITNLGCSKHLIAEIFSNRALGRFLSCTASIDNLRCLLPGEPNFVEELVPTIGANLGVVEEKV -SGDPWLKTMLFLGQVADVADEIDVDEALQIEPFKTHVARSNLEGVRALWHDKIRLKEHREKRMGYLVSEQ -FTDMHSKNMGKKLTNAAERFETIYPKHKGSDTVTFIMGARKRLRFSKPAVEARKLMDASNFSEFMLQEFL -KHVPLKKPHNQAFMDASLADFEEKKTSKSAATIANHAGRSCRDWLIDTGLVFMKSQHCTKFDNRFRDAKA -AQAIVCFQHAVLCRLAPFVRYIERKIAEVLPEKFYIHSGKGLEELNAWVTRGRFEGVCTESDYEAFDASQ -DQYILAFELHVMKYLGLPRDLIEDYKFIKMHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYEIKG -HERICFAGDDMCANARLRHRLDQEKFLGLLKLKAKVSFTQKPTFCGWNLCSDGIYKKPQLVLERLCIAKE -TNNLACCIDNYALEVAFAYKMGERAVLRMDEEELQSHYNCVRIILQNKNLIKSNVLTYFSA - ->YP_004901680.1 RNA dependent RNA Polymerase [Mirabilis jalapa mottle virus] -MALTYRSPVEEVLTLLEPSAQSIIAATATTSYQQSEKENFEWFNYSLGAIAKEHLSRAGIYLSPYSGYPH -SHPVCKTLENYLLYKVLPGIINNSFYFVGIKACKLNFIKRRFKNLSLVSSINRYVSSADKIRYGNEFVVR -TSTEVRALRRHAGFENSATLADLVPNIKSYANLFLHDELHYWSKDDLITFLEVCKPSVMLGTVVYPPEIF -IGATRSLNPWCYEFEIHKGKLLFFPDGVRAEGYEQPLNGGYLLQANKIRLPDGSIYCVDVICSKFAHHLV -SITRGDMVTPSYRSFGPFEAVHTSPLNKMSRAVKSSFPVSQHTILRVYRYLRSLKKPDAQSAMAKFSQLC -HEPSGEAIKFMEEFSHLVINTNSIRTILQPELIKTFFGKLGRALPAPLASKISYTRSICLDEFIACLKPL -SVELTLVQLSVNTAYRILVEQDDVEEDFELHEALEDNWVGLPALERDRAPYIGLAPLFDAPSKWKLVSSE -AYIMQYILRLYIQSNFNPTTGFSVSMRDYVQSMRKCASLTGRAILMSLGTQVLDDHEFYALQVTARLALW -SEDPVLWFACRRAQRANLFALEEPAATFAADSFEAFTFKKQSWGSQYKFMRCDDPQMLYEEYFNCDYSST -PTLASPAVKVQVKQHAPSSEMGLQAVSCSCGLAMPVERLLVQEDLPIDFSDTLPGRSACWYSSNGLGYDY -AGGQHGSKGWPRWLQLWMQANQIDGKYDCMLAQVYQEGARIGFHRDDEAVFEEGESICTVNLNGVAEFGV -KCGAHDSWSVLAGNCVFTMPKGFQQTHRHSVRNTSEGRVSLTFRVLRKKKAEVLPVQVMLNDEKVRVRYE -PLGEGMDYIVEPNSGGGDCFWLALSSYTGMSVTAMKQAVMKARRPTEGEPLWQQLQPTIWADDTSIIACS -EVLAYDIVVYDKQQGQRVSYEQAANGKTAFLQLDGSHFEAVMPKSGCTFKALAKCLGRREQDVVATLSKQ -LGQDFIDDTMKGQGLSLAQFSDMLKGLGISALVEVNGQHFQLHSEGAIKGAFRLVDGHATFLEGKAVSLI -PETNIYKEDVCVNEEEVLPIYSAASFVKYTPEVGRARLLSGSLVAGSTGVMCSEVFNDQPDLCPAEPHLT -ERDIAIILGVFGCGKSRLIKGTLRRAKRKSIVYISPRKFLCEDFKTYVVQLKESGGAESVRNFKCYTFER -ALLQCNTFALGSLVVLDEIQLFPPGYLDLLLLRLGTPMRVALFGDPCQSDYHSNKDDYVLGSLASDITHI -LRGEKYLYNTLSLRFKGAAFRGRLPCNHGEADLPEEKLILIEGLENVDSCAPTSKVCLVSSFEEKKIVNA -FFGPNCVVHTFGESTGANFQQGSVLVTGVSIHTNERRWLTALSRFRERVVLVNASGSCWEILLKAYYGRA -LQRFVQREAAVTDLLPLLPGEPQFREGFKQERFGACEGQREEKLAGDPWLKTMIDLLQIEDVQEVEQAQA -LLQDEWFRTHLPQCELESVRARWVHKFLAKEHREKRMGYLTSEQFTDEHSKQLGRQLTNAAERFEAIYPR -HRAADVVTFIMAVRKRLRFSHPVKEASRLMQAMPYGPFLLSEFLKRVPLKPMHNRSMMEEAKHDFEEKKV -SKSAATIENHSNRSMREWAVDIGLVFSKSQLCTKFDNRFRDAKAAQTIVCFQHAVLCRFAPYMRYIEKKL -NEVLPRKYYIHSGKKLEDLNEWVMEGRFDGLCTESDYEAFDASQDQYIVAFEICLMRYLGLPNDLIEDYK -FIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYDLRGDEYICFAGDDMCANKKLHVSKKHEGF -LGKLKLKAKVAISTSPTFCGWNLSSDGIYKKPQLVLERLCIAKETNNLVNCIDNYAIEVSYAYKMGEKAL -CKMNEEEVEAFYNCVRIIVKNKHLLKSDIIDVYRTGLVQ - ->YP_004089619.1 RNA-dependent RNA polymerase [Apricot latent virus] -MALLTRTAAEEVIASFTSEEQSRISAQAVLTLANVEKDRHDLFNYALPELAKVRLYNSGIYLSPHSYKPH -SHPACKTLENNILFNILPSYLDNSFYLVSIKKNKVDFLKRRHPDLQMIETINRYISSLDKIRYGSFFHVS -PSKVSLKFKCDRRTGFETDASLVDLIPGCMEGARKNFFFHDELHYWRKEALITFLDHVKPEIMLASIVYP -PEILAGAKESLNPWCYTFKIIDKDLVFFPDGEESEAYIQPLSGSYLLKTSRIVTPSGQVFNLDLIKSSFS -HHLISITKGEAIGQKMRFFNGFEAVAMKGLNPLRRKVESCLPISKSTILKIYRYLRTLKKPDLQSAMAKL -SQVCKDPNGYEIKFFEEFSKLCLKCDTLNTNMLPDMKRIVQGFFLKLFPNPIARNFKVVQQLHLDNFIET -LEDFSFCVPTEELTLDWKDDLEFVNLTFGETDFDLDESFDSTWGVKKDHVNITVVHHAPYFMSKFEAYEY -QFHSLLNVDSTAALTRFAKIVVSLYSVSLVESYDCKSLCSLASEVIVSANLRACFTVVDLWKTFRAILIK -EGNRSKGRMRKKYFFELGIKWFLFTNAANVKFLTPGRDGLFVRSLNFDRFIKNCQCNLSFHRGRMALHQT -IKGERLESLLDEYELNSHKKFVEKQDVRTVEEIEKEQDPHTFENHENSSPATVGCSLVPCACSTNCFVQN -AKVDALHNNLTFHDFIGGARGRGATFFSRDLKGYSYTGFSHESRGWPRFLDDFLDDNIIPKHFYDQCLVQ -EYSTGHGLAMHRDDEEIYDPNHQVLTVNYSGSAIFCIDCDGTDFEVKLNGPQMLLMPFGFQKDHKHGIKN -PSKGRISLTFRLSRSASNQVPVQEVVKVDQDGAVKGASLEKLPSQQTQDESMLGTGVSSVFDEGFSADSS -GSSPVQEFMIQIDSSLLEYADKSLSGSSKDLINCDLCLCNSPWLKNEELKFSEALRDLAFASGFNPTDRF -SLAKGVSGVRGMNRIISELPSHIFPLRGSMSILDIDDKTVKGNVKEGSFSGFRRWKIVSCSTDLVVIAFL -KPKMTQGGELRTHEDECELSDLTEKLHGCSVILSRKFEPDLYHSFDVDADGNCFWHSVGPLIGVDGECIK -KILHDQAKRDCVKCPRLSKQLENNVWAEREAVAYFCSHYGIKLNVLYAREECTWIFKPHEVLKAATLICQ -DNHFKPCMPVNGCVIRAIASALNRREVDVLAVLGKPAHEDLFDEVSEGRGFSIFDLSRLFEIFSICGSVD -TGGELIMVNEGGRIPAEFSLEKEHLAHIPTLSRRKFSPIVSDLNRVSNSAMRFLAMNGAEIDYRPSIDRA -STLLDSLELGATGVLCQGIKETQKNLSSMLVPEAVHDRKLVMILGTFGCGKSSLFKKFIEKSPGKAITFV -SPRRSLAESINNDLGLSRAGGKKSGKSKDLKNVRVKTFELFVLHLDSIKEGHTVVIDEIQLFPPGYIDLI -ILGLKQNVNIIIAGDPCQSDYDSSSDRHIFAGSESDIMRILSGRTYKFNILSQRFRNPVFVGRLPCNLNK -SRLTLDEEEYTLWESIQEFSMMGRKDCPVVLVSSFEEKKIIAAHLGLKMKCITYGESTGLNFQKGAILVT -YESALTSDRRWWTALSRFSHDIHFINGMGVTWDNALTHFVGKPLHKFLTKRACNDDIIDLLPGRPELIEG -FQSQVGADEGVREAKLVGDPWLKTKIFLGQSPDFELDDFEEVQAAEDWFKTHVPIMGLEAVRAQWVSRLL -AKEDREFRIGDITTEQFTDEHSKNRGLELTNAAERYEAIYPRHKGTDTATFLMAVKKRLSFSSPAAEHAK -LRRARPFGKFLLDTFLKRVPLDRKHSSEMMEFAVHAFEEKKLSKSMATIENHSGRSCEDWPIDKALIFMK -SQLCTKFDNRFRSAKAGQTLACFQHSVLCRFAPYMRYIEAKVVESLPKNLYIHSGKNIDDLASWVRIHKF -NGVCTESDYEAFDASQDHFILAFELEVMKYLGLPADLISDYTFIKTHLGSKLGNFAIMRFTGEASTFLFN -TMANILFTFLRYDLNGKEAICFAGDDMCANSRLKITNKNSKFLDKIKLKAKVQFTVTPTFCGWGLCEHGV -FKKPDLVLERLQIARETRNLENCIDNYAIEVSCAYQMGENLNLYLSPHEMDAHYNCVRFIILHNHLLKSN -IKDLFRGEPTIVTL - ->YP_004035878.1 RNA-dependent RNA polymerase [Cowpea mild mottle virus] -MALTYRTPMEDIVSSFEPAMQSAIANKAAIGYKQLEEANFQIANFYLKAEAKQKLSAAGIYLSPFSAVVH -SHPVCKTLENHFLYTVLPNYINNKYFFVGIKNSKINLLKSRNSKLDLVQVVNRYVSSKDKCRYSNDFVVR -SSAPIEGLDRHKATLAECTLRDLVPNISRVKAKHLFLHDELHYWREKDLITFLEVINPEVLLGTVVFPPE -LLVGAKRSLNPWCYHFEIEDGKLLFFPDGERSEGYEQPLRGGYLLTTNEIRLPNGQVYCVDLLASKFAHH -LFSITKGPAVTNTCRSFGRFEAVSFSGLLDLSPLNQPFFPVSFDIVSKLYRYLRSLKKPDHQSAMAKLSQ -IVQDPTAEEIKFTQEFADLVINCGTVNSLLSVNRLQIFITRVYKTVLPKFVSDKMKLVKELSLDDFISRL -KPYSFTKKLHHIDLTFQLDFNFWSEFQPTEDVDIVRDLESLYNGKHTGAGSSLIPNLHQFSSPYVGMVPL -TEGSGRFTLELPSPDCLLKCFAKRVMDALPLDCTDALTIGQINQIIKSCTETSSIVVNWSIVREHSFQKK -VLGKVKATLIKEATKIATGLEWFLTRASWRKNTRFISNFEESPKHSFPNSCLWASVTSELIKCNSKLQQR -WDSKELTGKGNLLNAASGQSAKGKEEGILLTEGVLEAFGLENLGTRKVEELLLGLTGEAECHIDNEEDSM -QTLDSDSNLGFESEEYILESVPTVRPPQNEVNELVSWPVKDLQGYRTLRTYSSIPEAIAFKIGFDHSKVL -ERLADQKLNIHTLDLNSGEALKLLFEKVATLFKLRLEVVAESDLGTFKVNYLRKSSSLTFEHSLTVFVGK -GEVEELHLKNLCVVSAVAEALSRTEGDVIEVLKRPDYQDLAESVMCGQGVRVEDLESIFRVFSIKALVSF -GDKDLILNPDGNCCACFKLENNHLSYLSRKVNQVGCIISSGLKEDDSFERGVLMQIETIGSVLDFRAKIS -RANLLADCMHDGSTGVILSELYNEREHLLKKRESQSDAASSEDFTHKVHAVLGTFGVGKSSLFRRLMSKA -RGKTFDFVSPRRSLLEEVKSDLNFGKDKKARSMRGQQNWFFETFEKFLLRVNKLKKGQLVFLDEIQLFPS -GYLDLIFYLAEVDFHLIVLGDPCQSDYDNEKDRAVLGNITTNVDALLKGRVYKYNVKSYRFLNPNFIGRL -PCEFAPELMHKESSPYLIYNDLEQLSFLPFEYGQVILVSSFEEKKIVSSYYPECKNVLTFGESTGLNFHY -GTILITMISERAGERRWLTALSRFKNNVALVNATGYSIESLNMMYREKYLGRFLTGRAKVSDLEKYLPGK -PEFKEGFDSSVGKDEGIREEKLVGDPWLKSMIDLFQIEDVNVEELQEVVSQVEEFKIHLPRDELEGVRTR -WVHKILNKEFREVRMGTIVSDQFTDDHSKQRGGTHLTNAAERFETIYPRHRANDTVTFIMAVKKRLRFSK -PHVEAAKLNQAKLFGRYLLQEFLKKIPLKRTHNTQMMEQARQDFFDKKTSKSAATIENHNIRSCRDWLAD -IVQVFSKSQICTKFDNRFRSAKAAQSIACFQHSVLCRFAPYMRYIEKKLHEVLPARYYIHSGKSLEQLNE -WVLKGQFNGVCTESDYEAFDASQDQYIVAFELELMKYLGLPNDLIQDYTYIKTHLGSKLGGFAIMRFTGE -ASTFLFNTLANMLFTFLRYEIKGNEYICFAGDDMCSSKRLVIKKEHEGFLKKIKLKAKVQHTSKPTFCGW -HLSPDGIYKKPQLVFERMCIAKETNNLHNCIDSYAIEVSYAYKMGEKVTQKMDEEEISAYYSCVRTIIKY -KHLLKSEVKALYESLE - ->YP_003324581.1 replicase [Butterbur mosaic virus] -MSLTYRSPIEEALTSFTSAEQSLIADSAVKHYSDIERAEFKLFNYAIPAYAKEKLLTAGIYLSPFSGIPH -SHPVCKTLENYILYKCLPPLLDNTFYFVGIKDAKLNFLKQRHKDLGMINLINRFVTSLDCLRYPNAFVNT -QSQCINSAPNTRFTDSSDTLRDLLPNCIKLKARKLFLHDELHYWSIKELRVFLSALKPEVVIGTVVYPPE -LLVGSKSSLNPWCYTYEIKGNNLIYSPDGVMSESYEQPVNGGYLLKTAKIHLPTGETYCVDLLASKFSHH -LIAITRGDRKTKRWANFNNFDATTHTGLVRTSRGLGPCLAIPYPIVNRLYRYLRSLLRPDVQSAMSKLSQ -LMPEPTAFQIKFTQEFSQLVIKTNPLNSMIDAKCFDAFKNFLLKSFPAWFAKMFSVNKAFALDDFVSSME -AFHFSVELDILRDDHGFSFDFTTDYFQSCEETELLDLMQRFENGNSNASRDRPTHRYEVGKYVFNIPGAH -EFCMSIFKALGTSYFASPGLRVLVASEVGEMIAEFCAAHKLLKLLWSPKKTPVYSHTLCEFLNSNLSKCR -VRKTFQELGIAFFIGPYRRNQYYIDSQAHNPKVYLCPRVATLYDSLLRELDLSSVNLKVARTTNEPVKVQ -DGCATKRKTHTVMKEQPTETTIDNSVSVGDARELIASVSGSSEPRIESQIESPFVTWTHGCGLKIPVYVA -HGLEGLDLSAPDKLGNRAAGWYTRDGTTSYTYTGGSHHSLGWPMWIDLMLEAHNVNPELYDSFLYQEYDS -NGRIGFHADDEEIFLLGGSVHTFSWDGSCYFSFSCSSSITAHEINGPVHFQMPLGFQIDHKHSVSQCSRG -RKSMTFRKLSTPSGQGTDEKESAGSDGNSSIGNSMDDAQKFEDADPLNFTMHGSDVTVTKFDVNGLKGIE -KQVPGDGDCFYHCVGLKLAMQGHELRALMREKFRRSGVQDPNLERQLQPCIYTELEGISFCAAMTGLNID -VYNVDSGDLFSFKANGLGSGISIKLSSEHFTYLEPYNNCYLKAIASHLGRSEFEVELAIYGAGLHSIQKS -IIDDQGLDYEQLEVCFDLFSIQAFCTTPEGPLVLNPSGKIKGFYRLSRDHVEFDPKAAKDYKSKHLAVGH -SRVGQSLGLTTTLATLGTKVNAELRFEFAKTLEQSLRLGTTGVISSELFSTFPGFKFTKEDESVEVKLTV -IVGTFGCGKSTALVKILSHLSDSPLLIISPRRKLCENLESNLCKALGPAKGKTVEKLRQLDPNWAIMTFE -RALMHVGNLGVGTTIILDESQLYPPGYIDLLHYLGQDNQHLILLGDPCQSDYDNELDRATFADKEADICR -ILNGVTYKYAVLSKRFSNPELEGRLPCKIELNAGGFEVAPFVLHGLESLISEAEPVIMLVSSFVEKKAAS -AYLPHGSEVYTFGESTGMTIETGYILISAASQACSEKRWITALSRFRFGPIFVSSMECSESNIILSFRDR -ALGRFLTKTASLDDLLGLLPGTPEFVDNLMPRVGKNEGLAEEKLRGDPWLKAELFLGQVEDEQVLEDVIE -LVQEPYFKTHIPRCDMEGVRAHWAHKILAKEHRELRIGYLVSNQFADDHHKGQGASLTNAAERFEAIYPK -HRASDTVTFIMAARKRLRFSNPRVECRKLNEAKTYGQFMLKEFLKRVPIKKSHNKGFMDRAKAAFEEKKL -AKSSAVIENHSNRSCRDWLADTGLVFLKSQHCTKFDNRFRDAKAGQSIVCFQHSVLCRLAPYMRYIEMKV -NEVLPENFYIHSGKGLDELSTWVRKYSFEGVCTESDYEAFDASQDQYIMAFELALMKYLLLPEDLINDYI -FIKTHLGSKIGNFAIMRFSGEASTFLFNTLANMLFTFLKYDLKGNEAICFAGDDMCANSHLRSSDEHSSF -LKKLKLKAKVQYVSKPTFCGWNLCSDGIYKKPQLVFERLCIAKETGNLKNCIDNYAIEVSYAYRLGERAL -QRMDEEETRSYFNCVRVIIKNKHHMKSNVRDLFTALE - ->YP_003075957.1 RNA dependent RNA polymerase [Kalanchoe latent virus] -MALTYRSPIEEVLTLLEPAAQSLISTTATTSYQQNEKENFQWFNYSVTPIAKEHLSNAGIYLSPYSGYPH -SHPACKILENYMLYKVLPSIINNTFYFVGIKQFKLNFLKKRHKNLSLLTAINRYVSSADKIRYGNEFVVR -ESSESRLLKRHCGVHESATLSALVPNVKTHANLFLHDELHYWSKDDLITFLEICKPNIMLGTLVFPPEIF -IGATTSLNRWCYDFEVRKDKLFFFPDGVRSEGYEQPLNGGYLLQTNQIELPDGTVYCVDVIASKFAHHLV -SITRGQLVVPTYRSFGPFEAVHVGAMKDISRGFKECIPISIHTVLRVYRYLRSLKKPDKQSAMAKFSQLS -HEPSGMAIKFMEEFSNLVINTESIRTMVQPELIKTFFGNLGRKLPSSLAAKISVTRSVCLDEFIGFLKPL -CVDLKLKSMSRSTLLDFFPLVCEEEEGVDLVDAYDBNWNGAGMFAREPRPYIGMAPIIDNLEKFRLSLNL -DAMLQGLMDLHLKANYCRCTGFTTTLWDYIESLRRNATIIAQAFLHVLSRAAIEKADKWLHAVYVREVGF -HELKHKWFSQRTSRSCMKYLACPTEESYVRQFESTTHMKPPMKGVVLRKFSLDDFDEDLYYNLSNDLSTP -SLVQRQSLGPSDPVSPPRFLGPTTHAHFKAYSIDELDEDQYYNFEKHQITPVRVTPQEVRCEALIPAKQA -EQNRSLTCKCGINLPICKLKFNEELPFEFTDQLKGRSGAWYSLGNVDYSYNGGAHKSKGWPQWLALWMKV -NSIDEKYDCVLVQQYAANCAIGLHSDDEKIFRRGESICTVNLIGSAQFGISCGVVTWHEVVQGDVFTMPM -GFQENHKHSVQHTTAGRVSLTFRVLATAQSLPRIMPHVESSSSDHEGPSTEAPNQMGTKFEMDGVTISCR -KLRVHPKCKVIKNSGGGDCFWLALEYFTGVTMKDMKQAIKQNMCDGNSERLEAQMGPQVYAEDEAICAAC -KQFGFDIVVYDHMQGGVITYSSVDNSKCALMKLAGSHFEALVPIETCTIKALAECLKRREIDILTLVAKA -MGHEFLESLLAGTGLTIDQFSCMATLLGINSVVEMNGEVFRFNVEGNICGLFRFENGHATFVQKRPTAAH -VHTNVAVHGLEITDRELLPITSISSKVEYTPDISRAQLLSDSLNQGTTGVLCSELFNEQGVLMPANPTRV -KRRVNVVLGVFGCGKSHSIRQVLANSPGRAIIYVSPRKFLNTQYESYLTSLKEAKGGEHVKNFKSFTFEK -AILKSSAFLPGALVVFDEVQLFPPGFMDLCFCRMAENIHVLLLGDPCQSDYDSEKDRNILSALGPDISNI -LKDQTYNYNIVSQRFLRDCFRGRLPCKFGVELKKGEQLQLIEGLDSIDASKSYAKVCLVSSFEEKKIASA -YLGAKCKIYTFGESTGANFDEGCILITSVAIFTSEKRWVTALSRFRIKVALINASSTSWDILCKQYEGRT -LGHFLSKTAKPEHLQSILPGKPLFTEGFLIERFGSDEGKREEKLQGDPWLKTMVDLLQVEDQEDIEEAKV -ILHDEWFKVHLPQCEMEGVRARWVHKIMAKEFREKRMGFLVSEQFTDEHSKQKGKELTNAAERFESIYPR -HRAADTVTFIMAVRKRLRFSDPIKEAAKLNQAMPFGPFLLKEFLARVPLKPMHDARMMAEAKFDFEEKKT -SKSAATIENHSNRSMREWAIDIGLVFSKSQLCTKFDNRFRDAKAAQTIVCFQHAVLCRFAPFMRYIEKKL -NEVLPEKYYIHSGKGLEELNAWVIRGEFKDTCTESDYEAFDASQDQYIVAFEVHLMKFLGLPNDLIEDYK -FIKTHLGSKLGSFAIMRFSGEASTFLFNTMANMLFTFLRYDIKGAERICFAGDDMCSNTRLHVSSKHEGF -LKKLKLKAKVAHTVNPTFCGWNLCSDGIFKKPQLVFERLCIAKETNNLVNCIDNYAIEVAYAYQMGEKAV -ARMNEEEVDAFYNCVRVIIKNKHLLKSDVRLIYESGKIQ - ->YP_002985636.1 replicase polyprotein [Hydrangea chlorotic mottle virus] -MALTYRSPVEEVLTLLEPASQSLIANTATTSYQKAEKENFSWFNYSLPAIAKEHLSRAGIYLSPFSGYPH -SHPVCKTLENYLLYRVLPDIINNTFYFVGIKGFKLNFLKKRFDKLSLVSAINRYVSSADKIRYGNEFVVR -ASSESRLLKRHCGLFDSPTLRDLVPNVKTGSNLFMHDELHYWSKNDLIAFLEICKPEVLLGTLVYPPEIF -VGASYSLNPWCYEFEVRNNKLFYYPDGVRSEGYEQPLEGGFLLQTSKIRLADGVVYCVDLICSKFSHHMV -SITRGELVVPTYRSFGPFEAIKSDGLKDISRGEVCFFPISHPMLLRVYRYLRSLKKPDKQSAMAKFSQLN -HDPSGLAVKFMEEFSDLVISTGSIRSMLNAEHVKLFFANLGRKLPSVLSAKIKATRMVCLDDFIANLKPL -CVDVKLREIQRSSKFDFKFFHEEEIEDDFDLEESIELSWRGCGVLDRVSMPYVGTSTHREQCVTWDGDFN -KAALLRKLKDLYVHAFCGETHTALIDVASYVALLRGKCNLLASTVLASLSQADLFRVHNHVRNTHHILFG -WHGERVKWFLRPNNQKAYMRYLGSNVPLGCTHHMEMVTHGFMGKRRPVRYNWLNTCGEDTVERSVLYEPV -VVRESVAPQGTMHEEVAAATDRDVLKRPTDLCTVQCACGLSMAVRSVPYADLRQDYYPDKLKNRQCAWYS -VGEVNYKYNGGDHVSRGWPEWLTLWMQVNEIPDKYDCMLAQKYEEGAQIGFHADDEGIFESGESILTVNV -MGSACFKIKCGAGCSAFELTDAIQFTMPENFQCTHKHAVEVKSAGRISFTFRVLRKQDVTVKAAHALNEQ -LGHTTIMCGVTIAVEPCQKFSSISYDVIRNRGGGDCFWLAMEYYTGVNVQTAKAGLLNVEWDEDYKPRLL -KQLLDKAWAEDEAISAACKHFGFNIVVYDIPQNCRLRYSTSANKRTAMLKLDAGHSRRLEPVELCTIRAI -SQAVKRTDKDVLVVLLQSLGDNFEKELLEGKGVQMDMFLQMLEVFDIAGMVESQAGTHLYNAQGAIKCNF -KCSGNHIEYVKDKMFSSLEHFNVSDGNLGVEEEKLSSLYEKSNAIEYYPDIARAKILADSLHEGTTGVLC -SELYNGAGNLLDSAKVDESKKVVHAFIGTFGCGKSRLFTELLERMRGKALCYVSPRRALCGIFEEVIQRT -VKTIGKSGAQHFKCYTFEKFLKRLNKLTADAVVVLDEIQLYPPGFLDLVLLLLSVKTRLFVLGDPCQSDY -DSEKDRAILGPLQPDIKRLLEGRTYRYNISSYRFQSAVFEGRLPCAFASDLDQSVRKLKLLEGLDAIDAR -ANYAKVALVSSFDEKKIVQAYFGSECQCLTFGESTGLTFQEGCIMVSDLSARTNEQRWLTALSRFRRNVV -LINATSTNWHVIEKQYQKRALGRFLSRSAKQEDLLELLPGEPIFTKGFEGEHYGADEGKREDKLAGDPWL -KTMIDLLQVEDMMEVEMIQEIVENVWFRTHLPQCELESVRASWVHRILAKEFREKRMGYLVSEQFTDEHS -KQPGKILTNAAERFETIYPRHRASDTVTFIMAVRKRLRFSSPMKESAKLSQAMPYGPFLLREFLKRVPLK -PMHNKDMMAQAKFEFEEKKTSKSAATIENHSNRSCKDWLADVGMVFSKSQLCTKFDNRFRDAKAAQTIVC -FQHSVLCRFAPYMRYIEKKLHEVLPEKYYIHSGKGLEELNSWVQIGRFDSLCTESDYEAFDASQDQYIMA -FEICLMRYLGLPNDLIEDYTYIKTHLGSKLGNFSIMRFSGEASTFLFNTMANMLFTFLQYDIKGDERICF -AGDDMCSNKKLHKSEKHEGFLGKLKLKAKVCHTSSPTFCGWNLSPDGIFKKPQLVLERMCIAKETNNLAN -CIDNYAIEVSYAYLMGERAKERMSEEEVDAFYNCVRIIIKNKHLLKSDVRHVYETNIDL - ->YP_002776347.1 RNA-dependent RNA polymerase [African oil palm ringspot virus] -MALHYISAPEQVVSLFSSEAQSRISAMALQNFSSFEEEAHAAFNYHLEAYPKSRLCKKGVYLSPYSFVSH -SHPVCKTLESYIINKKIVNYIDDKYIFVGIKDSKLAALRVNKKLRMAEVINRYVSAHDIQRYGADAYFNC -AKMAWRGRKVGLPLVDLVPRVLTSGKRRIFLYDELHYWTTNDLIDFIDITNPEVIVATFVYPKEILVGCK -ESLNPWAYKFEIFGSTIKFAPDGVWSESYEQPVEAGDILRLNKLVTKHGIYSIQVRDSIFCHSVVIISKG -DLVTEKRRIFSDFDAIRIGELRPYRGRVDEILPVRHDIVLSIFKYLRTLKKPDLQSAMAKHRQICDEPTG -FEVRFIEDFSAFLLANNCTHDLIERGFGALLKGAVFNLMPDYMKPFFSSLKEMSLSRFIEQVKPFEFYLD -CETGRRSFDIPGWCYSVIESSIESTKNILDEKILEVACKIPSPKATSSNPYDFSAYDCVLNIWQDAKPSL -SIARAMLERLMAGAVNVCSLSAASNLFGDGETEFKRKLKGNLLGFYLSNRKALQPLLIQVLAQIRREAKM -IKPLRLLKQESYSDELSRSSPALKKAKCGVQYFKELFQLCTIEILSSVNPAEVSAEGEGLRGTSKPERGA -VSVEPTCLASPAGNVNETEEIRADTGELPSDVSCSTPLHQEPTTNEPNPDKSSNVSDSSLSANNPHSKMG -DEWEGKPKPDCHSEDSKERVTEFLLNCSILRTRDCSSIPKVAIFPVPADGDCFWHAAGSVLGVDAGKIKE -RLRELAADIEDSDIKRKVLFQLSEREWAADESIAFFCDTFNVQTTAFVCDKDPLVFTSVVTFCPMSGDSD -SFQNLMVKFNSVTQHFDLALPKNGCVIRAIAEFLNQKPAKVLSVISKECSKELVEDIMSGLGVQPVHLKE -LFSFFDIAAEVRENGNSRLINAKGSRSATFQISDDHMTFLCSGRSSACGSLSLQPRIVSMGPEADYESFT -KEGSGLIQYEPSFERASRLAKSLLQGSTGVFSSKLVWKRYDWLQDKSKLQFGKRGISFCVGTFGSGKSSS -AIRYLKDHLGYKNTVVTPRRFLCNQIKEQLGFKEGKIRRGVQTNVLTFETALAKIAQLKNSRFFIDESQL -FPPGYLDLLCLILPRESTIFLMGDPAQSSYDSEADRHIFSDVSNDLDVLLDKSNYQYLVYSHRFKNPVFN -KRLPCEFHEGSFNLVSEGWTFYSSWEEIKLAISKKNFSCDAFLVSAFAEKKLVQFMMGKQTKVYTFGEST -GMNLKHACVLLTYDSQQADEKRWLVALSRSSEGISFLNLSGRTMQQFADDMSPSVFTKFVSSTAKLEDLR -CLLPGKPSFVKKFQRIGSDEVDREERLKGDPWLKTKIFLGQRAEVPVEPQESEEPPPVLMKTHCPLGSLG -LLQASHDGHLKAKERREKRVDFDLVTDQFTEEYSKGFSHAPAPERFEAIYPRHKAGDTATFVMAARKRLF -FSRPNVEAAKLRAALPYGDMMKDIFLSHVPIKHNWDQRLFEESKADFERKKLEKSAATIENHSGRSNPDW -SIREALIFMKSQICTKFDNRYRDAKAGQTLACFHHNVLCRFAPYIRYIEKKLFQALPNQFYIHSGKNFDD -LNDWILNADFSRVCTESDYEAFDSSQDSNILAFEVSIMKFLHIPVDLISDYIYLKQNTVSKLGAFATMRF -TGEAATFLFNTMANMVFTFMRYSISKFDKIAFAGDDMCANRNLPVTNAFEEILSRMKLKAKVLRVRNPTF -CGWCLTKFGIYKRPQLVAERLIIAIERGNLADCIDNYAIEVSYAYNLGERLVSIMSEKEVESHYFCVRTI -IKNSKLCKSNISQLFNEGRSVSPGKLF - ->YP_002647021.1 RNA-dependent RNA polymerase [Red clover vein mosaic virus] -MALTYRSPIEEVLTSYTTAEQSEIASTSMRTYKEIEIANHQWFNFHLSPVAKQRFFNAGIYLSPFSVLPH -SHPCCKTLENYLLYRVLPSYLDATFFFVGIKECKIKALKAMNKKLEFCELINRYVTSADQWRYPTDTFVV -NGGAPAQSISTLRSIFGSGDADSTKTLIPKVLTVNRRNVFLHDELHYWTPQELGGFLDATGVNKMLATVV -IPPELLIGAKGSMNKWCYEFEITGETLRFYPDGVAAEGYEQPMSCTYLLKTKQIITRGGNIYSVDLLCSK -FSHHLIAFTRGDLKTKTYHVFSDFEAVSANFLKPILGQEYSCFPISYTNLSKIYRYLCTLSKPDVMSAVA -KLGQIVPEPTAFELKFTMEFAKLVIKVGTKNSLILPDLWEDFKVFSLSFLPAVVSKQFSCFVKSTLDDFV -SNLCPLRFDVQLEVVDCRNIIADLVDKGIAIIDGLDPEPLPRPSIDPTEPQVSLDDRESALYFNAHVETY -WEVFEVDEFIKLLGLWLSNLFSFPEICVLEFDGLERFAHQHFGSETINNLIRTQDRFHFWDSVFIISAKL -SKQRSGITSLPQGRFLTYHSVATLQPLSKEPTVAIVGELPIEEVKREDENFALLEYPPLSCACGLSIPCS -EALSLNFDFITFRDALRNRVAAYFSRDGSSYSYNGGSHASQGWPVELDDLLLVHGENPVAFDSVLVQRYN -QGGGIGFHSDNESIFQEGGSICTFQFGGSCIFSFSCSLGVHHNVLKGDCKFTMPAGFQETHKHKVSECTE -GRVSFTFRRLRIRESGLLEEIKPDGRGPELIEELKMEGDSTSSEVIIFDVEITAIDLTPEHLISIEVPGD -GNCFWHSLGYFLGVEGPELKRIARKRFKNELGLCNDDFPNAQLEGDNFAELEVVCACSKLFNIEFIVCSL -EGRESMRFMPPGEINICVLLGFGHAHYKPMVPRNDCVPRAIAEALGRSLREVFGVLSRKENSSLYALLGG -SGGVDIDDLEDFFNLFDIRAYVDLDGKWYSLNPKGHLERHFNLNDGHMTFKPKDGVELFKTKKACVLQKG -KNKKLPEDCALKLRLSGTVLPYNWDARRANALLGSMHVARTGVLSSELFNGVALRTVQGPSKQENQQREV -CAILGTFGAGKSSLLSRLFPRLKGCAIHFVSPRKGLATDMRGLMSSALNCTGPETKMKKAIRKANWEVST -FEIFLLNIDRIKDGTTVFLDEIQLFPPGYLDTVCGLLSDGCEIFVIGDPCQSDYDSEKDRSILTELGSDV -DRLLLGKDYKYVSTSRRFGNARFEGRLPCFFLTGTLTFDEPFVCQNGLNGALEVDRKFREVVLVSSFMEK -HVIQAIYGESQEVLTFGESTGRTFNFGTLLISESSILTSEKRWLTALSRFRKNICFLNALESSFDEIAKR -FSGKVLGSFLSKQAKVDDLLKMLPGNPKFVESFVQPIGKSFGVFEEKLMGDPWLKSELFLGQDEEMADEQ -MAEFIEQQSWFKTHLPKCERETLRARWVHRIMAKEDREFHFKDLRTEQFADDHGKNRGARLTNAAERFES -IYPRHKASDTLTFLMAVKKRLRFSKPHVECAKIKQADMFGPFLLKEFLKKVPLPNRGRPELMAEAVRDFE -LKKISKSGATIQNHAGRSCKDWLIDLGLVFSKSQLCTKWGNRFIKAKAAQTIVCFQHAVLCRFAPYMRYI -EKNPSEALPRNLYIHSGKSLEELNEWVKVAKFEGICTESDYEAFDASQDHYIMAFEVSLMRYLGLPVDLI -EDYKFIKTHLGCKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYDLNGSEYICFAGDDMCASKRLRVSDK -FEGFLSKLKLKAKVDFVASPTFCGWNLVNFGIYKLPQLVFERMCIAKERNILADCIDNYAIEVSYAYKLG -ELATNHMDEEEMEAFYNCVKVIIKNMHIMKSDVANIFRNNPC - ->YP_002574614.1 putative replicase polyprotein [Helleborus net necrosis virus] -MALTYRSPIENVLTTFTTTEQSSISAPAVKWYKDHEAEHFALFNYALPESAKQRLWQSGLYLSPFSALPH -SHPVCKTLENYMLYTVLPTYVDNTFYFVGIKNHKLEFLKQRRKHVNLVESLNRYVTSADKFRYPNDFVIA -RSEEICGLKRHQRFLSNPSLKTLLPDVVAKSQKNLFFHDEIHYWDYDSLTTFLEIVKPNKLVCTMVYPPE -LLVGAKESLNPWCYHFEVQGDSFRFYPDGVRTEGYTQPLAGGNLLKANSIVLADGTTYGVDLLCSKFAHH -LIGLSKGESKFPVDRAFGDFDAVSAEGLKKICARVQGAIPISYGTISRIYRYLRTLNKPDTQSAMAKLSQ -LLPEPSGYEIKFVQDFSKLVINTPVTQTMFTNNYCEAMLQSLIASAPPILGKYFSKFAALTLDKFIEELK -PLTFNLKLREYKSTSNCNIDYFDFAADLEPQTVLQLLDDGFQSSRMFYGERTRALYYCNEPSFSCFDFDD -SVLERTLTAYFVNAHGSESQVRFSLGRLKHFSCAFLRKCSLTAQACWGVTTEMLLRIRKRVEAILVRFYN -FSFFLEPSVWFAETKRRRSVRYINATDEIPTGLFCRNDLHKSVIGELILKRQARTPAEIPIAPVNENHTE -ALTMLELEPDSDSDDGVALPETIQSFTCKCGCILPFFNVIGADLCILPTPDKLKGRTAGWYSKDGRPYSY -NGGAHKSLGWPIWLDTFLELNAHGYGPFDSVLVQEYSNDSGIGYHADNEEIFKPEGAILTMSIRGSASFG -LKCSAGECGFEHLECTSFVMPSGLQQTHKHRVFGTKGNRISLTFRMLRDSAYLSSDGSDNGLGSDHDEED -HADFTESSETFGVKLDLRKTHPLNAFKPIEVPADGDCFWHAVGYLIGLNGSEVKKAVAERSKVELKANAE -LTAQMGNKVFAENEAFAAACVLLDISITFIISDEGHSVIFNKEGSTLMLYIKLQNTHCSPLLPRNDCVIV -AGASALSRPVKDVYKVLMRREHSLIFKQLCAGEGLSLMLLGQFFSIFDIHAYINFEGEHMEVNESGSLKR -CFTVEQEHIAHNVKMGCSAIAPTMTGSNNAVSLKSLKVLKACGTELVYTVEEERARRLADSLHSGTTGAV -CSSLFNGTPNLLQGLNPDWEARNVVAILGTFGSGKSTLFKDLFKRNPGKLICFVSPRRSLAQEIKESVFG -KCTSPDGKFKIVRNKKNCNFNVCTFETFLKLAKGLKAGHTLVLDEIQLYPPGYLDLVACLVPRSCHLFVV -GDPCQSNYDSEGDRSIFSGAASDIHKMLANAKYKFVTRSRRFFNKTFSGRLPCAMDDSKLVVSEEYFMAR -SFEEVRSFSKVFTEVFLVPGFDEKKIIKAHFPNCENILTFGESTGRTFEYGSILITQSGFSVCEQRWITA -LSRFSRNLLFLNLTEASFEAIAENMDDRALGKFFTQSASIDDLRKILPGEPHFADYYVPKLGKDHCVRED -KLAGDPWLKTMVFLGQEEDVEKSEIEEAILAEPWFKTHLPLCEMESVRASWVQNFKAKENREVRKGYLVS -DQFTDEHSKNRGKILTNAAERFEAIYPRHKNSDTVTFLMAVKKRLRFSKPMVECAKLNEARGYGKYLLDN -FLKFVPLKAQHNPEFMARSVRDFEEKKTSKSAATIENHSGRSCRDWLADVGLIFMKSQICTKFDNRFRVA -KAAQSIVCFQHSVLCRFAPYMRYIEMKLQEALPKNFYIHSGKGLEELNKWVLGGNFRGICTESDYEAFDA -SQDQHIVAFELACMEYLGLPKDLIADYCYIKTHLGSKLGSFAIMRFSGEASTFLFNTMANMLFTFLRYEL -TGKEFISFAGDDMCASKRLRVSVEHESFLGKLKLKAKVNFTTNPTFCGWCLTTDGIFKKPQLVFERLCIA -KETNNLANCIDNYAIEISYAYKLGEAAVRHMSIEDMESYYNCVRIIIKNKHLLKSDVAKTFANGSS - ->YP_002308447.1 RNA-dependent RNA polymerase [Hippeastrum latent virus] -MALTYRTPMEDIVSAFDPATQAAIANTAAVNYKNMEENLFSHFNFFLSPVAKQKLSNAGIYLSPFSAVVH -SHPACKTLENYILYKVLPTYLDSRFTMIGIKNNKLNSLKSRNPKLQMVELVNRYVTSADVSRYGSEFVCR -RSEAHVGLKRHARELCGPTLHDLVPHMMKVTNKYAFIHDELHYWKPQELCTFLEVFSPEVLLGTVVYPPE -LLIGSTSSLNKWCYEFEIVGSDFMFYPDGVRSEGYLQPINGGYLLKSNKISLASGDIYCVDVICSKFAHH -LISITKGDRITPSYRAFAPFDAVSYSALQSISRTARPCIPVSFDIVSRIYRYLRTLKKPDKQSAMAKLSQ -ISPDPSGVEIKFVQEFAELVIDTETIRSTLNPQRLRNFFGKLGQKLPGVLASKIDAVKEMCLDSFIETLE -PFTIGIKLKALSHLENFEAWSALDAEAEDDFELPENIEMQFIRGRTRAVPERVARGYVGLPMDCGRGSCR -STWVSRELVLEYGSAAVTRGMSVVEREQCTASLVQEKCRSMFKTSLYFGLAAIELVGDLDELSVEMAKRI -QRKARGPKRCDIYTMGLRWFFSGDAADTKYLTQWGEHVAVVPEIGRKWKMCVNEVCSSKFKLRKTKNTRT -YTQLLKHVKVDNQESEHARDGNPTEAGSSLADCRANVCTQCVGMDALRSLAYTDHMFKMPCETEYGVVGW -YSRGEVICALDGHEYKSLGWPEWLQLWCAANDVAQRYDCCLVQKFAAEKDIVGSMVSAEQVEDAEGALWV -NLQGTLKFGMNCTSGKFELGLKEFECLEVGKRSFEGHSIGSAMCTGNSLSARFMCLKTANRVDTIAMEQH -EASGAQPEVDKNMAIQPVPRPLYSTELEKVGECTRTANKWLYGSNFKVVETPGDGSCFWHSLSYFMGVAA -ELIKSRAVKHSFDSEVLNKELGISARENAYATDASIFAAALVHRLEIRVLEVSCGILHCFTVDNPRQICL -IRLNGQHFEPILLKNGCVITAVAKLLGRRDMDILAVLEREASRELCFEIWRGAGVSMASIQSVFAIFDIR -GVVKGQENTTVLNAQGAFEGLFSLKDDHLEYVGRKKDPCCEPLLVTNNAKVFRAADLDKLMQGGTMIEFK -IEHARAKILADSFHSGGTGVLQSEIFNAKANFAERFKRPDGTLVEICVICGVFGSGKSHGFLELMQRSSG -KIVDFVAPRRALSDEMQKKLEIPKTKRKAGQENWRICTFEKFLDRCAYLIPGQLVCFDEFQLYPPGYFDL -CLSLSVPGVHFVLLGDPCQSNYDNERDRGNFIGMRSNVDKILGGREYKYVVLSKRFLNANFLGRIPAEIA -AEQLCLTEEHVIRQGVEYITDVCADFDNVVLVSSFDEKKLVYSYVPLAKVYTFGESTGMTFKRGCILVTS -VSERTSELRWLTALTRFRENISFVLCAPLHLQNVMLSYRGRCLAKFLAKTAKVEDLLQILPGKPDYQMSY -SRLIGKDEGVREEKLAGDPWLKGMVDLMQAEDQEDVEVLQEICAEEWFKTHLPRDELESVRARWVHRIMA -REFRECRMGHLVSEQFTDEYSKQKGGMELSNAAERFEAIYPRHRASDTVTFIMAVKKRLRFSKPAVECAK -IMKAQQYGKFLLDEFLKKVPLRRARDTVMLEQARQEFFDKKTSKSAATIENHSGRSCRDWLIDTAQIFSK -SQHCTKFDNRFRVAKAAQSIVCFQHEVLCRFAPYMRYIEKKLHQALPDKFYIHSGKGLEELDCWVKKYRF -DGVCTESDYEAFDASQDQYIVAFEVAVMNYLGLPRDLVRDYLYIKTHLGSKLGNFAIMRFSGEASTFLFN -TMANMLFTFLRYDIRGDEAICFAGDDMCASRRLRIRDEHSQFLGKLRLKAKVQFTNKPTFCGWNLCPDGI -YKKPQLVLERMCVAKENNNLANCIDNYAIEVAYAYRMGERAINRMDEEEAASFYNCVRIIVRNKHLLRSN -IRNVFELNTLV - ->YP_002302557.1 RdRp polyprotein replicase [Potato latent virus] -MALTYRSPLEDIVGAFEPSVQTAIANTAANHYKQLEEEKGILFNYALPPEAKQRLIGAGIYLSPFSAVPH -SHPVCKILENHLLYVELPSRLDNSFMFVGIKNFKLDLLKRRHANLSMIQTINRYVCSLDKIRYGSNFVVR -SSASYPELCRRKGELDGAVLRELVPELMIKSAKNLFLHDELHYWTHRDLITFLEVLKPDKLLGTLVYPPE -LLKGAKKSLNSWCYTYRVDKDKLFFYPDGVHSEGYEQPLSGGYLLETSRITTRDGEVYCVDVVCSKFAHH -LIAITRGDLIVPTQRSFAPFEAITSKGLNNLVRGKVECFPVCASVVSKLYRYLRTLKKPDEQSAMAKLSQ -LQANPTGEEIHFIQGFAKLVINTNKIDSLINCDSIKVFMGKLFGPLPNWLTKRLRSVQEVSLDEFVHYFE -PYVVNVPTKHVNYGFEFNYVMEDFRYEQGENDLVDMLDNFDGSTKMSTSLCMMTPYVGLAPLTTRRKFLC -HIEENSIFRVVSRNIFCSYTNSYKVAVSTEGVVRILEIYSKVSVDLLGAYLGKLLKKTEFIERVRSRVKA -IAIKHLKYTWQQVGLAWFTSGRRGYTKYIDCSPYTMNTFKELKERWGVIVRELSKQQDNAEAAKNIPEQE -IQTTSKPSCVEKRNYFSNTLMTSFAASGVKGKEQVSSEIAKGRGERSELRATKLMGSCSTLGKVAHIASR -KGSMGVLECVARVIKLDFQTALKFLRVKGVWMQEYESIGELEEEQIQSPAYDFCAAIAMTFNVEIELTFP -GSELVYHFAASSTVSCIRLSIWEGVVTLMRAENDCVITACAQALAREPEDVVKVLKDRLEESILEEIWNG -EGVPFERMYLFFEALDIQAHCNRDGAQLVLNGGGKLARCFVIKEDHIEFAGEKKVSRVLELQDARTSSKA -DPELVKEFQKAGTVVEYVAVQSRAQVLAKSLVDATTGVCSSTIFNDCESLERSFRFTESELARNVCCVIG -TFGAGKSTLFKDMMRQCLGKGVHYASPRKVLANELKASLGLAKGKRNRKVGTENWNVHTFESFLKKAKNV -AEGELVIIDEIQLYPPGYLDLLLYLIPEFTSVFAVGDPCQSDYDNEKDRHVFLGVETDVCRLLSGREYEF -NVLSRRFHNLGFESRLPCRFKFPAGAVKEEYLFVNSMTEANELDSAYKSVYLVSSHLEKKIVEYQSDVKT -PNVLTFGESTGCTFKYGCILITQVSSACSERRWITALSRFSHNLCFINATGVPIENVAKSYKDRSLGKFL -CGTAVEGDIKSMAYGTPIFKEQFIAGVGRDEGVKEDKVTGDPWLKTMLDLMQLPDVEEAEMMQEEMQEEW -FKTHLPQEEIEGVRCRWIHKMLAKEARECRMGSIVSDQFPDDHSKQEGKQITNAAERFEAIYPRHRANDT -VTFIMAVKKRLRFSRPSVEKAKLTQAECYGTYLLKNFLDVIKLKPAHDEAMMIRAKEDFEQKKVSKSAAT -IENHSGRSCRDWCIDIGQIFSKSQICTKWDNRMRVAKAAQTIVCFQHAVLCRFAPYMRYIEMKLKEVLPA -RFYIHSGKGLDELNSWVKEGRFEGVCTESDYEAFDASQDQYIVAFELALMRYLRLPNDLIEDYKYIKTHL -GSKLGNFAIMRFSGEASTFLFNTMANMLFTFMRYELHGDEYICFAGDDMCSSKRLRIKKTHESFLGKLKL -KAKVQHTEKPTFCGWNLCADGIYKKPQLVFERMCIAKELNNLHNCIDNYAIEVAFAYKLGELAVNRMDEE -ELGAFYNCVRLITKFRHLLKSNVVEVFKRK - ->YP_001798592.1 replicase polyprotein [Hop mosaic virus] -MALTYRTPMEDIVISFEPQTQAVIAEKAAKIYKQLEEDNCHLFNYFMPPLAKKKLSQAGIYLSPFSAVVH -SHPVCKTLENYILYTVLPNYIDNRYFFVGIKNRKLQLLKSRKKNLDNVSCINRLVTSADKLRYSNDLISY -QSVSHADLRRHGAGLDEPALRGIVGPLSRHKAKYLFLHDELHYWNSKTLCTFLNVMQPEVLLATVVYPPE -LLFKQTKSLNSWCYTFDVIGDTLMFFPDSVRSEGYQQPLNGGYLLSCNKIILDNGVTYMVDVLCSKFAHH -LVAITRGEAESCKHRAFGPFEATESRQLAKLCPGYPICLPLPCDVINKVYRYLRTLKKPDAQSAMAKLSQ -IVAEPSGEEIDFIEDFARLVIKNESFCSTIVPERLKIFAGTWLGRLPSVLADKFKVVNEVSLTDFIKGLR -PFNHTLELKQIRQFSLWDDVCNWVALESDPESPILREFSSPVYPGCYLGRVSEPYSGMCGLTERAWEPLL -HGLSELLPMALIKLYAREKFSPLCRTISISELEGFMSYRIGRCLLFAGRERKGHESTRIPLASVLGLEKL -SDLVRYLRERWISKLRYTIWEMGVCWFGMYDRYCARYLDRCESTPGRFKSLGTLWEVVCAEVVSKASRRN -QFRGTEGPGLGGSPVAPAVLVDLGASTEGGGFERSLNCSCGVEMQLTTLTYPEHPFIAPDQLRGRRAGWY -SKGGVDYTYNGGSHKSLGWDELIELWCEVNGLDEKYDSCLYQVYQAGGRIGYHADDEAIFEPGESILTVN -LSGSATFGVRCAAGHGECVLAAEEMFTMGKGFQANHKHSVFGCTGGRESLTFRCLKKVATSPLPPILAPA -CDLNLGDSDSATPNDLTGYTTEVSAVHLTVEPIPASAAYLVKPVPGDGNCFWYSVAELQGLDALEFKRLC -MMHEFDEAHWTTELRGCKPAGAFTTDAGIVAAAARLHARINIWNIDTGYIHVYSVDNYEASLNIILRGVH -FEPMRLKNGCVITSVADGLGRKESEVQAVLEQQAAPSLLDEIWSGLGLTQIGMELVFKCFDIAAHVVEEG -KVALYNESGSLHLMFNMESGHLSFNPRKKDPPGLLLTNVPSSKKFPSCVLESIREGGTKLELKARLVDAG -LLADSMHSAQTGVLGSALFNNRPNMRDYFQSELVSQRTCLILGTFGSGKSSIFKKLLEHGHGKVFDYVSP -RRALADEFKNYFGISKRKKVGQENWCVSTYESFLCRAEFLVEGQVLVLDEIQLYPPGYVDLLLAVIKVPL -ELFLVGDPVQSDYDNEKDRLLLSVLESNCDHLLNNLNYKYNIRSRRFKNSNFIGRLPCEIEPEGCSIGEP -HVLRQYLENLGDLESEYSKVVLVSSFDEKMIVHSYQPKARVLTFGESTGLTFTYGTVLITPVSERSNEKR -WLTALSRFSMNVCLVNGSGLNYDHLAVKYRGRALSRFLSKTAKVTDLSEHLPGEVIFTRSYLPRVGKDEG -VREEKVIGDPWLKTMVSLMQAPDVSEEELIESALPEEWFRTHLPREELESVRAGWVHKILAKEDREVRMG -DLVSSQFTDEHSKQDGGRQLTNAAERFETIYPRHRANDTVTFIMAVKKRLSFSNPARERAKLFKANQYGK -ALLKKFLKHVPLKSAHNTRFMEKAVWEFEEKKLSKSAATIENHSGRSCRDWPIDMGLVFSKSQLCTKFDN -RFRTAKAAQSIVCFQHAVLCRFAPFMRYIEMKVHEVLPSQYYIHSGKGLSELNDWVKRGSFAGVCTESDY -EAFDASQDEYIMAFELELMKYLGLXHDLVEDYKFIKTSLGSKLGNFAIMRFSGEASTFLFNTLANMLFTF -MRYDIKGNEFICFAGDDMCANKELKVTGAYKGFLDKLKLKAKVQMTGTPTFCGWHLTPDGIYKKPQLVLE -RMCIAMELNNLHNCIDNYAIEVAYAYSLGEKAVNRMSEEEVGAFYNCVRIIIRNKHLLKSEVRGVYEESK -ALL - ->YP_001661446.1 replicase [Ligustrum necrotic ringspot virus] -MALTYRSPVEEVLTLLEPTAQSLIASTATQCYQNSEKENFAWFNFSLPAIAKEHLSKAGIYLSPYSGYPH -SHPVCKTLENYILYKVLPGIINNTFYFVGIKDFKLNFLKKRHKNLDLIKAVNRYVSSADKIRYGNEFVVC -GGPDLRAMNRHQSDLERPTLSSLVPNVKTGSNLFLHDELHYWSKSELIAFLEIVEPKTMLGTVVYPTEIF -AGARSSLNPWCYEFEIKGDKLIFYPDGVRAEAYEQPLYGGYLLQANKIRLPSGKIYCVDVIASKFTHHLV -AITAGDAVVPTNRSFGPFEAVCSGELQKIGSGKTRFYPVSHSVLLRIYRYLRSLKKPDMQSAMAKFSQLC -NEPSGAAISFMEEFSHLVIHTGGIRTVLAPESIKSFFGNLSLALPGPLAAKINSARAVSLDLFISTLKPL -SVDLKLVQLEEYLSLPNFDFDSTLVENDADVVEQMESWAGTATSRVSQPYVGMVDLVYRRHKWELDFNKE -AFLKGFADFYYVATTCAGGKPSMSISQFVALVYPHVALLGRVCLSTLGASDLESISQYCEYLKLPKIELE -EGLTWFLAHKKCRRPTMYLESKNWHDYTGMHESETFKPVKPRWQFIPYNSEEELFKSELEPVPAHESQIP -MAQERPAPEMPSASKSRANLIECSCGTSITVYDLPFASFHGLEFVDQLSTRKASWYSKESQPYKYNGGCH -ESLGWPEWLSAWCDINEIDGKYNCLLAQEYQQGGKIGFHSDDEAIFETGESIYTVNVEGEADFGFKCNGE -TWFHLQKAQGFEMPKGWQETHKHAVKQCSKGRISMTFRVLKRACNSPEAATPEEQEHDIESDSGHNPQDH -EEVSYTEGNVKITTKPMPENLKFNVIPNSGRGDCFWHALSYFTGATVEAMKSGVLQNSLIAKTSRLVQQL -EPHIWAEDEAICAACTHFNVNITILDFGQKSVLRYTVEDPLDGVQIKLENSHFEALQPVSACSLIAISEL -LQRSLVEITKAAIRNMGAAFEEELFKGKGVDLPTFTRLLQIFGIRGFLVKENETVEVNAEGTIPGKFKIE -GDHISLILDRGKPRHKQTRVEQPNLAVGAEQLLKFKEMCSETKYTPDPQRGMLLAQSLKEGRTGVLCSEL -YNDVDSLYPASPQEGSVNLMLLLGTFGSGKSRCVKKLLEQIPGKSALYVSPRKSLCQAFEKDLKGMRDKI -GKVGTKHFTSATFEKALLQMEHVIPGTLVLIDEVQLYPPGYIDLLLLKRGHDLKFVLLGDPCQSDYDSEK -DRVLFGAMKADIEIILDGCEYKYNVESHRFTEQLYLNRLPCSHSAGSFTASKGLKLKLIEGLESLESLTD -LRDVCLVSSFEEKKIVNAYFGASCACYTFGESTGMTFKNGSVLITGVSAHTNEKRWLTALSRFRNGIALV -NATGSSWNILARSYANRVLGRFLDARAAISDLKDMLPGKPKFEHGFCMNTYGADEGKREEKLGGDPWLKT -MVNLFQREDMEEIEMLREVLDDEWCKTHLPQCELEGVRARWVHKILAKERREKRMGYLVSEQFTDEHSKQ -KGAQLTNAAERFECIYPRHRASDTVTFIMAVRKRLRFSDPMRENAKLMQAMPYGPYLLKEFLKRIPLKPM -HNVRLMEEAKFDFEEKKCSKSKATIENHSNRSCKDWLMDVGLVFSKSQLCTKFDNRFRDAKAAQTIVCFQ -HSVLCRFAPYMRYIEKKLMEVLPRQYYIHSGKGLDELNAWVKEGKFEGVCTESDYEAFDASQDQYIVAFE -VNVMRYLGIPNDVINDYVFIKTHLGSKLGNFSIMRFSGEASTFLFNTMANMLFTFLRYSIKGNEYICFAG -DDMCANTRLHISKEHESFLGKLKLKAKVDNTNHPTFCGWNLSAHGIFKKPQLVFERLCIAKETNNLGNCI -DNYAIEVSFAYKMGELAIQQMNEEEVDAFYNCVRVIIKYKHLLKSDVVNVFSSGLM - ->YP_001552317.1 replicase [Phlox virus B] -MALTYRSPLEENVAAYDASVQSTIASTSACYYKDTEADKFRFFNYFVNPTAKKKLIEAGLYLSPYSAMPH -SHPVCKTLENYFLFEVIPSKIDNRFFFVGIKNEKLSMLKARNTHLSTVEKVNRYVTSADKVRYGSDFVVR -PTEKIPGLIRQRPCLDGVTLKDLVPELMRKSAKHLFMHDEIHYWSARDLITFLEVIQPEVMYATMVYPPE -LLVGSKFSLFKWCYEFDVIGNDFFFYPDGVRTEGYLQPLKNGYLLKAKRILLPDGGVYHVDVVQSKFAHH -LIAITRGESMGPNVRAFGPFDATTCQGLEPLTSDVSHCFPVSFEVVSRVYRYLRTLKKPDVQSAMAKLSQ -LIPEPSGVEIKFLQDFASLIISTNTIKSILCPSRLPLFLGGWLRKLPKPLAQRFKTVAEVSLDDFISIME -PFSFRQELCDVDWNYFHTFBFFEFTEADFGIEMAALMDHKFXYGKVASLLERQSQPYSGLVNGVKQPLLE -CPTECILKGFTTAIYKDLIDVYAKDVISCWVAVDVEHRLRNNTNYCVDIGAFKKLLLEPNHVNSTIRSLV -NKALRSRLSSWFEIGPAWFLKAGRAHTRFLTSYPHDAIVYKEAKQGWSHVLKELAGGSRSLKHREMDYWF -EKDRSSKDSGGTVENDEDDKGEPEGVVSSSSDDGSDDKSSQEYIEVDTKVVRRMTCSCGVSMEIKTLLGS -DMHAFKAKDRLKGRVGGWYSKDGTPYLYTGGSHISQGWPDWLELWMQINKVPDKYNSCLYQIYEEGASVG -YHADDEPIFKKGEEIHTCNLSGKAVFEIKCRNGAGGEYLEGPMQFTMPMDFQGTHKHSVSGTTKGRESVT -FRVLRACETAQEKEVDAEQEPESPPDTDEENELDKEKLSEDEAGGSEEKEVEAEPCGSSDQSGECGGSEA -SRDETLAVDMECCTIEYKEMPKGIKYNKVDVRGDGNCFWYALECALGLDPMEMKRLCKQVKYKDPTKQRK -LNDQLQTGAYAEDEIISAATHIFNFQLICLSAGDTGLVVYSPKREFSQVVYMHIENQHFNWVEPKNNCLV -RAIAVSLNRKTSEVLKVLEEGSCVGSVGTLWRGEGVALEDLDFYFDRFGINAMVEFEGKAYNFNETGHLP -AAFTLKDGHIEFIGRNSPSTVPMMRGRVNTLTVSPNSLLIIKAAGSTIEFRPDYSRAKCLADCFHTGCTG -VMNSKIFNNSEHLLAHVNINDRPNTVQINSVLGTFGSGKSSLFRRFFDANPGKGVFYVSPRRALADEFRQ -KLENAVVRTKRKKGASSKNGAGASTGPDPNSQAERMKRKNWLVCTFEIILKKIHLVKPGMALILDEIQLY -PPGYLDLICALCAEGVHIVIGGDPIQSDYDNEKDRNWLSNLPPCIDSVLEGAEYKYVIKSRRFKNGNFQG -RLPCEFGTQMEGQATEEHLLYSGLEHLHVIPQEFSKVFLVSSFEEKKIVEAHFPGSNPTVLTFGESTGLN -FKYGTIIITNVSAHTSEKRWVTALSRFSENICFVNLVNLSWSELARMYATRVLGRFLGKRAKLSDLLEHL -PGVAVFTDSYDENIGKDEGVREEKVQGDPWLKGMVDLFQIEDVEEEEEQLEEMQTEWFKVHLPQAELESV -RAKWVHKILAKEFREVRIGHLVSEQFTDEHPREQGKQLTNAAERFEAIYPRHKANDTVTFMMAVKKRLKF -SRPATEKAKLVEAMPYGKFMLKEFLKKIPMNKSRDTKMMEQSKLEFEEKKLSKSAATIENHSGRSCRDWL -IDIGLIFSKSQLCTKFDNRFRVAKAAQSIVCFQHEVLCRFAPYMRYIEKKLHQALPGNFYIHSGKGLEEL -NEWVMRGRFDGVCTESDYEAFDASQDQYIMAFEIEMIKYLGLPADLISDYEFIKTHLGSKLGNFAIMRFS -GEASTFLFNTMANMLFTFLRYEIRGNEFICFAGDDMCASKRLPLSRAYEGFLSKLKLKAKVFFVKSPTFC -GWHLSPDGIYKKPQLVMERMCIAKEKGNLIDCIDNYAIELSYAYKMGELALCRMDEEETEAFYNCVRIVV -KNKHLLKSDIKNLFTTRALEE - ->YP_001497153.1 replicase [Peach chlorotic mottle virus] -MLKATSGPISHGSHSQNASRGGNRPFTSEEQSRVSSTAVISLTEVEKSKHRLFSFALPDLAKERLSGAGI -YLSPYSYQVHSHPCCKTLENNILYNVLPSYLDNSFYMVSIKKNKVDFLKQRHKDLQLIECINRYVTSLDK -VRYGSEFHISPSKKNPHFNCARKVGFENDPSIKDLLPQCVSDSSRRFFLHDEIHYWSKEALINFLVSVQP -EMILATVVYPPEILAGAKESLNPWCYKFQINGDDLIYAPDGCMQEAYIQPLSGCYLLKTSGIELPDGSFY -SLDLVKSTFSHHLICITKGKLINQKMRPFSGFEATSSKGISPLMRKVGSCFPIHPNLILRIYRYLRTLKK -PDLQSSMAKLSQVLPNPTGPEIKFFEEFSKLLLNCETVNTKLLPNVWKLISNFFIGLFPDSVARNFKVFQ -QMSLDNFISEMEEFNFSVQTSTISLSWLDDIRFVDLNFDIKPVDIDLMFQKGWGLVKEVTILERSREPYS -FYKFDSYDAQFTSLVPSTNFEGFAKALISYIIRSFKPLLPCSWYFENVRDMAIGVLVKGGLGKSVSISCF -TADLRALFDSSVKPKLHQPPPLIFWEVSVLWFFKRNRINCHFLREYSEDYCRADFRSFKIFKSRKFLNEV -SNGSKLLNHCTSPIFFGLESSSTLSTITDEVPIPCTPKSVTPDALSDSNFPTFIHSCGNLRCAKLRSDCT -AIPLNLDFPDKINNTRVACFYSRRGDGYSYTGFSHKSMGWPDFLDKFLLDNQIALENYDHCLVQKYSQGA -ALGWHSDNEDCYDLDHQILTVNLCGEAKFAVKCGAGSDQVDLLPWDAFIMPHGFQVSHKHCILDTSEGRV -SLTFRKSKACLNGISRTLVQGAQGPGIPTPSDASHSLAHDLQVDVDGSVVELIRGKVGGKFGKGYQSDLC -CCNMSWATDEDEPILETLRSLSFACGFSNVDRVLISDVNSITTLSSLLEVEMGTLWCISGFILVKSEGGE -VKIGEMMASKFMKMSIIGWSKDFLSLFFYKPRLGKGMQLRTHNEECELSDFTEQLFGCTVRLSRKFNPDE -FHVFDVPGDGNCFWHSVGPLIGVDGILLKGILRERCGRNGITHKELLRQMSGDTWAEREAVAFFCSEYSI -QLHVLSISEGVTWIFKPAKVVKSSTLKCQDNHFMPCLPVNGCVVRAIASALGRREIDVLAVLGKPEHRDL -YEDACSGNGFCVYDLERLFEIFSIRARLDHQGSLICLNEDGKISAEFSLEKEHLIHLKELSLKKFSPVIS -DLHTVSKSALKLLMINGSEISYSPSMDRAQTLANSLHAGTTGVMCAETYNSRRHIMDGLEANIAERKLCT -IIGTFGCGKSTLFKKFISKSPGKAITFVSPRRSLADEIKCDIGLTGANKKIGKGKDLKNVRVLTFELFLM -GIASIKKGHTVIIDEIQLFPPGYLDLILVCTSSDINLVLAGDPCQSDYDSKDDRHLFANSDSDIIHILNG -KSYRYNILSQRFRNPVFSSRLPCSICPKRLTMDEEEYTLWDSITQFELAGGKNFPVVLVSSFEEKKVVAA -HLGLKMKCLTFGESTGLNFQKGAIFVSYESSLTSERRWLTALSRFSHEIHFINGLSIEWSNVMSLFHGKA -LNKFFSKRASHDDVVDLLPGKPEFIEGFQVNIGRDEEVREPKLSGDPWLKTAIFLGQQPDIEEEEMAEEI -IQEDWFKTHIPVFPLEAVRARWVHKILAKEAREFRVGHEVTEQFIDEHSKNPGKQITNAAERYETIYPRH -KGTDSVTFLMAVKKRLSFSQPSIESAKLRRAMPFGKFLLQTFLKYIPLCGKHDSDLMSKAVNDFEEKKLS -KSAATIENHSGRSSRDWPVDKALIFMKSQLCTKFDNRFRSAKAGQTLACFQHSVLCRFAPYMRYIEAKLF -NALPDRFYIHSGKNIDDLGNWVKKQNFSGECTESDYEAFDASQDHFILAFEIEIMRHLGLPEGLINDYIF -IKCNLGSKLGSFAIMRFTGEASTFLFNTMANMLFTFLRYELSGHESISFAGDDMCANRRLRVRSTYKSFL -EKIRLKAKVQFTNFPTFCGWGLCPEGVFKKPDLVLERLQIAVETNNLQNCIDNYAIEVSYAYSMGESLSK -YLSEEEMDAHYNCVRFIVKHSHLLKCSVSDLFRSI - ->YP_001430021.1 replicase [Coleus vein necrosis virus] -MALTFRSPLEEVLTNFSSTEQSLISKTAINHYSNLESSLFNFFNLNVDAYSKEKLINSGIYLSPFSGVPH -SHPVCKTLENHILYRVLPSLIDSTFTFVGIKEAKLQFLRQRHSHLNLVQLINRYVTSADRLRYPSEFHIT -PSKAIEVCEKWGRFGKSDSLRELLPACITHKPRALFLHDELHYWNLKELKVFLLAVKPEKLLGTLVFPPE -LLQGVHESLNPWCYTFEVDDKWLHYYPDGVKTEGYTQPRNSGYLLRLSKIHLSDGSTYCVDLVYSCYSHH -IIALTLGDAVRKPFNAFSGFDATTFQGLKKLDLRGIGPCLPISFSVVNRIYRYLRTLQKPDLASAMAKLS -QILPEPTSFQIKFIREFSELVIKTETCSNLFQNNVLLDIKNFFASQLPKRLAATVDAYKISSLDEFLEKM -EPYSFTIKLVEATALMDIIFNFDRDIEGFEHEVDIVAELEAFANPKNPHAHNAKTTPYFCEPYCDAVEFC -VQKMAKLVLVKAAGLLYHGMPVMGGHQAHDIFLDVARRIPLVDYCCGFGLEEEIRLVFIEKFIGLVRKHS -RSNVLEIPTGLDWFMFPRRRNVFYLTCTPEAIVATKLMRGMWDNVVNELAASSALIKRIGITLSDRNTPV -APLEVVLPPAPEPIKQEGSNGSVGVSNELAEDAIANFTPPAFIRNFSCSCGLEMPISSVVGGDFVYFDLP -DVLPGRRAAWFTKDGSTAYTYKGGKHASMGWDERLDLLLEIHGFEGSLFDSALVQEYEQGARIGFHSDDE -SIFKVGSEILTMQLKGTSRFAIQGSRCYGSSALLGGCHFTMPAGFQETHKHSVAECSSGRTSITFRVLKG -TEQPSAPLHPTNEKVEPGGGAVSSRLGAPEELSAFDYQIGPVRVENVGGPRAGSYTLTDVPGDGSCFFHA -VGLSFNLTGLALRRALLDAAPEIGGMFPAEAFAELRGGGAVSDVCVCYVAHALKSSIAVISHHEGCMRVF -CPDNWENKIDLLHKDGHYNVVNYKNDCALLAVAETLGRTKREVTEVVCKAKHGGLLRSMRTGEGLKVELL -GELFKIFSIKALVKCNGQLHRLNPEGKILGHYRLEDGHIQVDKGLIKQLGSAPVKVIEHPVHGSGMVLIA -AVATQLSFEINAEIGDKLAECLVSGRTGVISSQLFNGRQKLTIPAGSDGKSRAVNLITGVFGCGKSTLMK -RSFESGLGSKCYFVTPRRSLADIFSDELTSGRIKTKTTVVVQTYEKFLRMLESVEPRDVVIFDEMQLFPH -GYFDLTFSIMSQEVPTVCLGDLCQSDYDCATDRSELGCYQSDMQRLLQSAKYTYYTRSHRFQNSNFAGRL -PCQFQPEYFTNHEDFTILHGFESLNDIAGLDCILVSSFNEKTAVKALTFGRVSVQTFGESTGLTFNSGAI -FISEVSKLASEQRWLTALSRFRMNLTFVSDLGCDSSMLAEVFSGRVLGRFLSGKANVCDLRGLLAGSPDL -QEDFPTTVGKNQGLVEEKVVGDPWLKAMLFLGQETDEQEVAPEVAEVALEVFKTHAPRCELEGVRARWHE -KIQAKEFREKRMGYLVSEQFTDEHSKNNGKKLTNAAERFETIYPKHKNSDTVTFIMGARKRLRFSKPLVE -ARKLQDAKVYGEFMLQNFPKYVPLRKQHNKGFMDKALRDFESKKVSKSAAIIANHAGRSCRDWLADVGLV -FMKSQHCTKFDNRFRDAKAAQSIVCFQHAVLCRFAPYMRYIEMKLQEVLPSNFYVHSGKGLDELSEWVKK -GKFEGICTESDYEAFDASQDQYIMAFELKVMEYLGIPKDLIADYVYIKTHLGSKLGNFAIMRYSGEASTF -LFNTMANMLFTFLRYDVKGNEFICFAGDDMCANTKLRKVDTHESFLSKLKLKAKVGFVNKPTFCGWNLCS -DGIYKKPQLVLERLCIAKETNNLTSCIDNYAIEVSYAYRMSEKATMRMSEEELDSHYNCLRIIIKNKHLM -KSEAANIFKASDVGLGI - ->YP_001429585.1 replication polyprotein [Potato virus P] -MALTYRSPVEEVLALLEPSSQSLIAATATQNLQQSEQELYSWFCYSMPALAKEHLSKAGIYLSPYAGYPH -SHPVCKTWKITLLYSVVSNLVNPSFYFVGIKESKLNFLKKRRKNMSTVTAINRYVTSADKIRYGNEFVYY -ASSEIKGLKRHRELLDSDSLRALVPNVKEGCNLFFHDELHYWSKEDLSTFLEVIKPQCVLATVVFPNPEL -FVGSKRSLNPWCYEYEVHKGRFNFYPDGVRAEGYEQPLNGGYLLKANYIELPNGDVYSVDIVCSKFAHHL -VSLTRGRLVTPKRRSFGPFEAIDSKGLRSLDSKGQVFFPVSLNVVSRLYRYLRSLKKPDKQSAMAKFSQL -VPDPSGEAITIRVKNVSSLVIGTDTLRTALCADKLTAFFGNLGAALPPAMASRISSARSVCLDQFVFSLR -ALTVELDLVSLKKGASFFEWDVFNAEPEEHEMDLLCRMDNGWGGQSLERTRSPYVGLLKMGSYRHAIYVD -FDVKYFAGRVVALYLDTYAPTHDEIGGTFIQFITKLKACCGLLGHLLLSNLDCRTLKWMKNWAEMQHEVK -IQFKRGELSWFLEKRRRSYVHRLCTEEQLDWWGEWECPVLEVHDGVARCDIFGAKEESAGPGFCMFEEVF -ALSDHEALPTFTVPEIEPNYGFNCCNKVKLRGPFSTIGEHAFMEEVVTQGVGPGVWYARVGKEMLGRMML -VQEWPKWLDMYLELHRSELSEYDVAVIKNLAEGALVTVRSILQGQVDEGSVLEVYVLNGEVNLVAKCAGE -GLYTLKEGHVLTVEDFQAHEMGIVPTAEGSRILIVGKMASVGQVGNGTDGESKEVTTEISAELEETGVVI -THELKPDVGKVRRHKNPGGGDCFWYALGHFTGLGVQAMRSSLKRAQIGNAKFKEELDKQLVGNAWAQCEA -IVAACAHFGLDIHVYDVKQEHMVRYCREGNDGSCMLWCEGWHFEAVEMVEACVLRAISELLGRRIQDVQK -VVHGKLGKDFEEWLLSGEGIDSGRLPQIFECFDIKAFVYDGETALVMNEGGTTPGVFELSDKHLSLIKDT -LEAKHASLTREMNPWRLSLLKLRDLYNISSSVSYKPDEGRGRLLAKCLVEGNTGVLCSSLFNGEGSLYPE -QPNLSSVRLHFILGVFGCGKSTLFKKFLIQAVGKSLIYVSPRKALCLDFTKLIGDLKKKRGEGSTKYFSS -STFETALKKADRYRGGSVIILDEIQLFPPGYLDLMLMRIQEECTLFCIGDPCQSDYDNERDRATLGAIPT -DVMHVLRDAGYRYNILSHRFRNEDLNGRLPCLMEMKGGLHKKLRLIEGLDCMEELSDVKSVCLVSSFEEK -KIVAGFFGSECKCYTFGESTGVTFDRGCLLITAASATASERRWVTALSRFREEIVLVNAGTAAWPLIQLT -YAKRVLGRFLCKSSKVDDLIALLPGKPDLVRGFDIEKIGADEGKREDKLAGDPWLKSMINLFQTEDQEEV -EVVKEVLEDEWFKTHLPTCEMEGVRSRWVHKILSKEVREKRMGYLVSEQFTDEHSRQLGKQLTNAAERFE -TIYPRHRAADTVTFIMAVRKRLRFSDPVRENAKLKMAMPYGPFLLKEFLKRVPLKRMHNREMMASALHDF -EEKKTSKSAATIENHSNRSCRDWLADVGMVFSKSQLCTKFDNRFREAKAAQTIVCFQHSVLCRFAPYMRY -IEKKLHEVLPKKYYMHSGKGLEELNDWVLKSKFTGVCTESDYEAFDASQDQYIVAFEICLMRYLGLPNDL -IEDYKYIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYDLSSSACICFAGDDMCSNQNLRVSK -EHEKFLGKLKLKAKVQKTTNPTFCGWSLTKDGIFKKPQLVYERMCIAKETNNLHNCIDNYAIEVSYAYKL -GERVKEYMDEEELEAMYNCVRVIVKNKHLLKSDVSSVFSRGLVE - ->YP_001086452.2 polyprotein [Chrysanthemum virus B] -MALTYRSPMEENVGAYDSSVQAAIASTSANYHREAEVSNFQFFNYYLRPIAKRHLIEAGIYLSPYSAVPH -SHAACKTLENHLLYNVLPPLVDNRFHFVGIKQSKLEFLKLRNSKLSTISKINRFVTSADKARYGSDFVKK -VSKPHVGFKRHELQVETPTLRDLLPCLKEREAKYLFLHDELHYWSKEDLISLLEVLQPEMMLGTMVYPPE -LLFGSNRSLNPWCYAYEVKKKNFLFYPDGVRSEGYEQPLNGGYLLECGKITLVDGTVYKVDILCSKFAHH -LVSITRGEAAGPTMRSFGPFEATACNGLDPLTRDVSCSFPIPYEVVSKVYRYLKTLRKPDEQSSMAKLTQ -LLPCPTGEEIVFVQEILKSCDRHQHSENHGLVQTGLKIFMGQVTLELSCPKILADVFETVLEISLHEFIK -NLEPFTVDVQLKELKWNNVWTLELKDDGLADEFLDPVRMIEERFGRGEYVAVPDRTSAGYYLAKPSLAKL -RGPLIEIEQRVLRITLAAICYKSLSNSDGTIASINEIAAFFRMICAKPFLRPAKYKVYDLYGEGGAIGLQ -KYMRSRWAHHVRFYWANIGLLWFRSNYKFYQKFLTAQPDGHGTYKSLMHPWSKVMHEVTNHQFHSSKTSN -YSWLRCERSTDKPTETCGVHGGARSEAVGGGRKKAESKGSAGVEDPKSDQGEGKDQGEERPVDEAAHGEL -PFHRPQAYFNEEKGCTHGGSGPCSVVLSIRNDGATRLHKFPNAGPAQNRYAGFYSKNGVGYSYNGGEHQS -LNWPGWISVWMRLVGIPEIYNCCLVQRYDENAKIGMHSDDEECFVTGGPIYTVNIEGRATFMTTCKEGKT -KEITSFELGPGDLFEMPGGFQETHKHAVFETSKDRLSVTFRLMKQICQDEQKDHEETDTSGGKKAGEAEE -TEQPNTEKENPDEEGAGNEEGEHGSSNSRNKEREQGNDGSDCTNTAESGAEAFTRHFNGCKVSVHAEKLP -YTYNTTDCGGNGNCFWLCLANELGLCPFRGKKLALEYDLGSAGANETARSCAGEGVYAVDEAIACAASVF -RVAIKIYQPELSVMTVFEPTKGERVIYLELSGEHFRLMRIINGCVVKAMASALNRKESEVMHVIEENCDP -MMVTSLWNGEGVDLGVFHSLLELFSIKALIFEGSREVLYNREGRFEASFEIKGDHIEHVHRKKGACNALF -EECGKTHEVKAESLELLNRAGTLLNYESTLARARRLADSLCSGTTGVVSSSLFNKKPNLFPVQFREKNES -LSRDVLAVIGTLGSGKSTILKNFFKINLGRKVLYVSPRRALLNEFQRGVCGCSRNQEERKAARKKGQENW -DFMTFETFILKCSNLPSGMAVVLDEIQLYPPGYLDMICYLTKKGVHLIVAGDPCQSDYDNERDRAWLSTM -RSDVEQLLEGQSYKFNVQSHRFNNENFRGRLPCEITEKNVAEPRLEEHLLYTGCEELVLIMDEYSKVFLV -SSFEEKKIIETHFPVCEQRKVYTFGESTGLNFRVGTIIITNVSAATSEKRWLTALSRFSDNVCFVNLLGT -DWNGLFGLYKHRALGHFLSKRAQLKDLLDHLPGQPQFQNGFSTLIGKDAGKREEKLIGDPWLKCMIDLGQ -TEDVEEVELLEEVMQEEWFKTHLPQADLEGVRARWVHKIMLKEAREVRMGDIVSEQFTDDYPKELGTNLT -NAAERFETIYPRHRASDTVTFIMAVKKRLRFSRPAVEKAKLQEAKLYGQFLLNEFLKKVPLKQAHNTTMM -ERAKFDFEEKKTSKSAVIIENHAGRSCRDWMIEVGLIFSKSQLCTKFDNRFRVAKAAQSIVCFQHAVLCR -FAPYMRYIEAKLHEALPSRFYIHSGKGLDELNEWVIKGKFEGICTESDYEAFDASQDQYIVAFELALMEY -LGLPRDLIEDYAFIKCHLGSKLGNFAIMRFSGEASTFLFNTMAIMLFTFLRYNIKDSEHINSIGDDMCAS -EPPCIKKEHEGFLSKLKLKAKVFFLAKPTLCGWHLCSDGIYKKPQLVLERMGSAKEKNNLANCLDNYAIE -VSYAYNLRERAVNRMDEEELEAAYNCVRIIIKNKKLLKSDILSFYSSIEKQI - ->YP_001165301.1 replicase [Phlox virus S] -MALTYRSPLEENVAAYDTSVQSLIASTSASYYKDFEANNFQFFNYYLNPVAKQKLITAGLYLSPYSAMPH -SHPACKTLENYFLYTVIAPLLDNRFFFIGIKNSKIDLLKSRNSNLSMINKLNRYVTSADRVRYGSDFVIK -SIYMGEKLARHESCLEGATLKDLVPKLCEGKAKHIFLHDELHYWKPRDLITFLELVKPDVMYATLVYPAE -ILGGASFSLHPWCYKFNIVGGKLIFYPDGVQSESYEQPLSSGFLLEAKRVVTKSAIYMVDVIQSKFAHHL -VALTRTDAIGPTYRSFGPFDATSSTALNPLMRGNNCFIPVSFEVLSRVYRYLRTLKKPDVQSAMAKLSQL -LQEPKGIEIKFVEDFARLVIGTNTIRTLIKPEVIKQFLGRWLGKMPHVLAVRFSSVAEVSLDEFVSQMEP -FTFQVKLVDVDWNYHHNWDLFSKAEEDYGVDVCDLLNEGFIAGVSREVGARRRAPYQSIKGTGGFCDLLT -VSEGFEHWFCIKICLTEVFSPTMEGAHFYVAQEHIRKKFLASMTNMLNVKGFLKQLEDSRWFGAVYMKAL -DRYARFREECFLQIGVKWFFSNAQHNVRFLTNQAETPSMPRAFMRAFSEVMSDVTKRPTLLRRRRAGTPS -TQGVDREMPAPVKVEEPVEKNAPREVDPDESGNEKSVPVEEFSSELCPAFCKCGLDLELRALLGTDLHGF -RAPDDLGNRKGGWYSTTGVDYKYNGGHHKSQGWPKWLTVWMQLNEIDCNYYDCCLFQVYNENGRIGYHSD -DEPIFETGASILTCNLKGQADFSFRCDEGSSMQVLNGPMSILMPEGFQLSHKHAVCNCTAARESVTFRRL -AAVKPKAEEPEKPGASFDEAEVKSNDSHTGEHEDDVEVETEVTIKTAVGTIKHSKIPPMSYSLQDVPGDG -NCFWHCLSKSSGLAFKELKEACRRIKYGEAERDEALSSQLKPRIFAEEEAILAAAVVLRAKIIVLSPEWP -QQSEFTPIGSLEQVHYIEMANNHFQLVELKNACVVRAVASALNRRCCEVLKVLDDAGDLGEDSPLLSGQG -VELAELEHYFALFGIAALVVTHTTSHQLNVQGAVPATFRLHDGHIDHVKKNCQVDNGLLKGENIGLTITS -RSKLYVEQCGSLLPYAPDEARAKVLADCLLAGNTGVLNSSLFNNCSNLMGSVDLNVEHENEVACIFGTFG -CGKSTVFKKFFNLNPGKGVFYISPRRALADEFKGKVMAKKVGRFDKLRQKNWSICTFEIFLKKRHLVKPG -MAVILDELQLYPPGYLDLVMLLCPKGVYFIVGGDPCQSDYDNEMDRPWLGSMISDCDRLLGKATYKYNML -SQRFKNENFLSRLHCRFIKEQMNEKAEHLLYSGLNEMVMIDKEFQEAFLVSSFDEKKIVSAHFDIPEHRI -LTFGESTGLNFKMGTVIITNVSSLTSEKRWVTALSRFSNNICFVNLTGLDWQTLAKVYVGRSLSTFLCAC -SKPEDIVNLLPGKPIFMDGYSIVVGKDEGLREEKMQGDPWLKGMIDLFQIEDVEEVEEQFEELQEEWFKT -HLPREELESVRAKWVHNILAKEFREVRMGFNVSEQFTDEYPRDLGQNLTNQAERFETIYPRHRANDTVTF -LMAVKKRLRFSSPAKEKCKLLDASVYGKFMLDEFLSKIPLKKQHDRQMMEQAKKEFEDKKTSKSAATIEN -HSGRSCRDWLIDIGLIFSKSQLCTKFDNRFRVAKAAQSIVCFQHEVLCRFAPYMRYIEKKLHEVLPERYY -VHSGKGLEELNSWVIKGGFGGVCTESDYEAFDASQDQYMVAFEVAVMEYLGLPRDLIEDYKFIKTHLGSK -LGNFAIMRFSGEASTFLFNTMANMLFTFLKYEIKGHEFICFAGDDMCASERLATKHKHVGFLSKLKLKAK -VFMVSKPTFCGWNLCPDGIYKKPQLVMERMCIAKEKANLANCIDNYAIEVSYAYKLGEKALNRMDEEEAA -AFYNCVRIIVKNKHLLKSDIRNLYEQNELA - ->YP_842438.1 RNA-dependent RNA polymerase [Narcissus symptomless virus] -MALTYRSPIEDVITSFTSSEQSLITTKAVSAYKSKEDELFDFFNYALPIKAKEKLINAGIYLSPYSGMVH -SHPVCKTLENYMLYVVTAPLLDQSFYLVSIKKNKLSLLKRRCKSLETVEYLNRFITSKDRIRYSNDFVGV -DAELPSTSYSADERRRNIMFPRNFQGKSRKLFFHDEIHYWSRKDLIYMLEQFRPECILATLVCPPEILAG -SKESLNKWCYEYKIEGNKIHYYPDGVHAEGYTQPLDCSFLLKTKKIQLDNGEVYCVDLVHSKFSHHLTSI -TKGDAITEEIRTFGNFDAVSASHLKPLGRNIGQAFPISINVISKIYRYLRTLQKPDVQSAIAKLGQLIVD -PTGFEIKFIEEFAPLVIETGKMRSILMPDLLKIFKADIYKVLPDFFARMMKETSEVSLDRFIANLESFNF -QIKLITLDSKIPIFQQFQEDLLNECAREEIILEQEFDGTRNYLRKQVRAQEDKERAKNLGIKYSLRLDID -FACKIFASLFYKSFSDSNGRISRCWSKINRMSEMIKKKNFGFLLTEAMYLEARNKIERRLKSLNVRYGKI -YFFENNRKWLQEKVYRYNQKYLCDAERGLSTEVKQRYALIVAQIGKGKKSEEHPESEKEREKDEEKETKD -KNFEKVEGNVWNEALKLCEMAFLMEEENKGISAETLEIFSEILQGETGKKIICYQPKKVKSLRKNFSFVQ -TIFFIGAAVDKFCMHSFCVDTLTDELDDRILLCEGFTEESIGEDKEEDSGAGAIQEVLDLVGEKKVETEP -EKPATTESTFLPANFVTLNSNKEYLHEFKIVKTMGDGDCFWQCIGYFLGQDGITIKEHVFSKVTKEQENE -EIIRQRGNKVYAEQEAVALTANVLHLDIKIICPEMPFDIKAMGEDSNMLVTLLLHHGHYDILLPKEDCAI -IAVSETLNRRYQDVYKVLNREENSSILREMEEEKGVSLTTFERILKIFSIKGFCIVDRETVLLNEGGNFE -AYFCISEGHIEKVKKQKFYDSQKQFPKICSKLTSVMSKELEKIADKIPYTPSSTRAAKLAQSFHEGRTGV -LCSEIFNGMRKREVDQIIPRKQDLHVILGTFGSGKSSVFVKVIKNESEKSICYVSPRRSLADEFEKRVRE -DGKRKYKAFVVFTFEQFIKKMTKLRKGQTVIIDEIQLYPPGYLDLVLIITQHLELTFYITGDPCQSDYDS -ELDRGFFLGDTTDIEEVLKGKDYKFNVLSRRFKNKNFQKRLPCKMRNTIIEDDFIILDDMLDFKGADLSY -KEIILVPGFEDKRIFSYNESCKEVLTFGESTGRNFSRGCVVITQSSINVSEKRWITALTRFSDGIALLNL -TGENSANLEKIFDGRVLRRFLLQSATLEDFKKMLPGRPIFVEGYGERFGKNLYVKEEKVRGDPWLKGMLD -LMQDEDYLEPEAMEIIMQKESCKTHVPRSNIEHIRNFFLNRFKAKEFRECVYRNNITNQFTDRYEKGDFK -RLTNASERFESIYPRHKGDDTATFLMAVKKRLRFSKPAQETAKFRAAEPFGEYMLGIFLKHIKLNKNHEP -LKMAAAKREFEEKKTSKSAAVIENHSGRSCRDWLIDLGFLFIKNQLCTKFEKRFADAKAAQTILCFQHEV -LCRFAPYVRYIEKKLNDALPAKYYIHSGKNIDDLNDWVKANNFSGVCTESDYEAFDASQDHYIMAFEVAV -MKYLGLPRDLVNDYIFIKTHLGSKLGSFAIMRFSGEASTFLFNTMSNMLFTFMKYEITGKESICFAGDDM -CASKRLRLSTVHSSYLEKLRLKAKVCFTSRPTFCGWSLNRLGIFKKPQLVYGRMCIAIEKNNLQNCIDNY -AIEVSYAYLMGENALVLMDEEEIHNHYMCVRTIVQNKNLLRSDIYKVFQQA - ->YP_717533.1 polyprotein [Passiflora latent virus] -MALTYRSPIEEVLTYLEPAAQSLIATTATTSYQQNEKENFEWFNYSMTALAKEKLSNAGIYLSPYSGYVH -SHPVCKTLENYILYKVLPGVINNSFYFVGIKQFKLNFLKKRSKHLDMLQCINRYVSSADKIRYGNEFVVR -RSTESRALRRHSLVEQSDTLRDLIPNVPGYSNLFLHDELHYWSKEQLITFLEICKPQVMLGTLVYPTEIF -AGSKNSLNPWCYEYEIKKGRLLYYPDGVRSEGYDQPLEGGFLLTANKIQLLDGTVYCVDIVCSKFSHHLV -SITRGDFVVPSYRSFGPFEAVHSGPLKDISRAAGECFPVCYHTVLREYRYLRSLKKPDIQSAMAKFSQLS -HEPSGAAIKFMEELSKLIIETGDVRTVIRPELVTTFFGNLGRALPAIIASKIKSTRSVCLDEFIGTLRPL -SVDLKLITVTHATEIRVGLDECEAEEGVELVEMIDERWEGRGSALFERARSPYSSMVPLYDCPKRWKVAC -ARTWLLKLYWKRYVSACFSEQVGFSMSVGEYVGAALNRAKMIGLAPLLLATRALGKRRGNRRDWPRPKPT -LPYDCSVQCLLRLSANGAWIAFLEWHGHGERKVPNTPGRGMIRGGIRMTFFARIATFSKNCTLRMPIKQP -LRAAQGPYHFQRILVFGETGEERKCSGEVIECLCNSHIPVNSLLHDGDCSVEFPSKLEGREVAWFSKGAV -PYRCAGSELKSLGWPLWLTPWLELNGLPTKYDCMLAQKYVEGAETGFHRDDGTLFKVEESVLTVNLLGTA -EFGIECKNGAVWRNLGHGDYFQMPAGFQGSHRHAVRNTSAGRISYTFRVLNELKSGEIGEACRPGGETKN -EGGEQFEASIDGVKVTYRPLSRTRKYRIIGNSGGGDCFWLAMSYFTGINVLDMKESILSSTSQHDKRLRE -QMGLKAWAEDEAILATCKKLGYDIPMIDEAKSRDVVRANGGNTLLATLRFTGNHFEAIMPIEGCTIKALA -DCVKRQEKEVFSVLAHSMGREFLREVLEGKGVSLEQFATMCNTLDVRAVLELEEGTMLVNEVGRIEGYFS -LLEGHAVFKKGKPLARHTQTNVHDKELALTDEELLPISTVSTRIEFKPSKVRAGLLSDCLHQGSTGVLCS -ELYNNRKPLMPEEPRLGAREIHVVLGTFGCGKSTLIRKVMCKARSKAIIYISPRKFLCDDFQRSIEEMKI -EFGRKAGELFRSLTFEKASLQASKVIPGALVMLDEIQLFPPGFVSLLCCLLHEEVKLALFGDPCQSDYDS -EKDRAVLGALESDIVDIIGDRSYNYNTTSRRFRGELFRGRLPCDHAEPPSTSSKLVIIEGLDNVDCTAES -SKVCLVSSFEEKKIVQAYFGAKCKALTFGESTGANFDHGTILVTHISVHTNERRWVTVLSRFRESVVIVN -AVGISTELLAKVYDGRSFGHFLKSSAKVEQLLPLLPGKPVFTHGFIANRFGADEGKREEKLQGDPWLKTM -VDLLQIEDLSVVEEAKVVLESEWCKTHLPQCDLEGVRARWVHKILAKEFREKRMGYLVSEQFTHEHSKQL -GKHLTNAAERFETIYPRHRASDTVTFIMAVRKRLRFSNPVKEAAKLNQAMPYGPFLLSEFLKRVPLKPMH -DSRMMAEAKFDFEEKKTSKSAATIENHSNRSMKEWAIDIGLVFSKSQLCTKFDNRFRDAKAAQTIVCFQH -AVLCRFAPYMRYIEKKLNEVLPEKYYIHSGKGLDELNEWVKRGGFGRVCTESDYEAFDASQDQFTVAFEI -SVMRYLGLPPSLIEDYKFIKTHLGSKLGSFAIMRFSGEASTFLFNTMANMLFTFLQYDLRGDERICFAGD -DMCSNKKLHVSDKHSSFLKKLKLKAKVAHTDRPTFCGWNLSPDGIFKKPQLVLERLCIAKETNNLINCID -NYAIEVAFAYQMGERAKNYMTEEEVEAFYNCVRIIVKNKHLLKSDVLQVYQTDLVM - ->YP_699983.1 RNA-dependent RNA polymerase [Narcissus common latent virus] -MALTYRTPIEDIVNFFEPQTQAVIANSAAAIYKQLEEVICQYFNYYMPAMAKRRLSTAGIYLSPYSAVAH -SHPVCKTLENYILYTVLPTYINNKYFFVGIMERKLQLLKNRNSHLDSVQCINRYVTSADKMRYGNDFVVF -NSHPNESLGRHGKGLTAPTLKDIVEPLQRRKAKQLFLHDELHHWSSKNLVDFLTHLRPDTLLGTLVYPPE -LLFKQTRSLNEWCYTFEIIGGTFFYYPDGVRSEGYQQPLAGGFLLKTSKIVLEDGDCYMVDVLCSKFSHH -LVSLTRGEAINCTHRSFGPFEATASEELTALSPEYPICFPVSYDIVNKIYRYLRTLKEPDEQSAMAKLSQ -IVAEPTGAEIDFVEGFARLIIRQSSICSTILPDNLKLFLGYWLSKLPPILAAKIQNVREFCVNQFIKSLR -PYNFTAKLCEVKWYDNWENSFDWLMDTSTNADIVSLLDSSFTSGAREAVPRWVGAPYTGLAPVHERAWRS -LLKIPARHLFACIRRMYYHEVFCEHTRHISLSFVEEFVHSKLRSSFLIAKERRIHNSKTHVPIFELLGFA -TKRHLFVALRSSCARKMRSSFTEAGLDWFSDLRRCNQKFVSNVPDATGVHPALTKPWKVVIRDVLEMPRF -KNSNIKQGASTPASSSSIPPKSITAPPEQKQQCAQSALQLACACGLSLPVRTLLFADHALKAPYDLKGCT -AGWYCKEDVQYNCPSGSHASLGWPTFLDTWCEVNEIPAKYNSCLYQVYKAGSSTALHSDDLGMFIPEEGF -LTVNLGGSATLSTECSGSVRSVVLDGAVFFEMPHGLQSTHKYSVSDCSPGRASLTFRCVSMPPPAQLAGS -GPQSPTHEAATQCTSGGAKAQELTIGGVKLTTVTQPTKANYRVHSVAADGSCFWHSLSMLSGLESQQIKK -LCMSHSFSDLALNAELAENAKLDAYTTDVGICAASIKLRTEIRVWNMSTGLIHLFVPRKSTAIMNVRLSA -AHFEPIFLRNGCVVQAIAAALGRREAEVLKVIETKGTTELCQQLWVGDGLDLLLVELAFKCFSIVAHVNF -GETESILNSDGTAHYQFSCSEGHITYCGQKKDPGATLLAKTPHNKSFSPAALEMLKSSGLVIEYMPQIER -AQVLADSLFRASTGVLQSALFNNKQNLRGRFRQVHAIPVCVIAGTFGSGKSTLFKRLLEHGSGKIFDFVS -PRRALADDFMAQVGLSKGRKNIKVGQENWRVHTFERFIDRVPYLNEGQVLIFDEMQLYPPGYFDLIFHLA -KVDVHCFLVGDPCQSDYDSERDRLTLSALENNVSQLLDGREYPYKITSHRFLNRNFIGRLPCRIVEADCT -LDQPHELRMHLENMPKLEHKYLEVFLVSSFDEKTIVYSYLPDATVLTFGESTGLTFSYGTILITSISERA -NDKRWLTALSRFRLNVCFLNCCGYDYNARAVRYKGRALAQFLCKAASEEHLLTLLPGTPQFTEVYGCKIG -KEEGLREEKLLGDPWLKPMINLYQAPDALATELPEEVLQEQWFKTHLPREELESVRAQWVHKILAKEFRE -VRMGDLVSNQFTDEHSKQLGARQLTNAAERFETIYPRHRANDTVTFIMAVKKRLSFSHPGKERAKLHNAM -NYGRALLKEFLKRVPLKPNHNKRFMDEALWNFEEKKVSKSAATIENHSGRSCRDWPIEVAQIFSKSQLCT -KFDNRFRVAKAAQSIVCFQHAVLCRFAPYMRYIEMKVQEVLPRNFYIHSGKGLDELNAWVRRGKFDGVCT -ESDYEAFDASQDEYIMAFELELMNFLGLPHDLIEDYKYIKTSLGSKLGNFAIMRFSGEASTFLFNTLANM -LFTFLRYEVKGHEFICFAGDDMCASAHLRKTEKYSKFLDKFKLKAKVQFTAKPTFCGWHLSPDGIYKKPQ -LVFERMCIAMELNNLSNCIDNYAIEVTFAYKLGERALNRMDEEEAGAFYNCVRLIIRNKHLLKFDVRTVF -EVSDCT - ->YP_595727.1 replicase [Daphne virus S] -MALTYRSPMEEIMTVYDTSIQGAIAGISANHYKDCETRDFKFFCYALEPEAKKNLTNAGIYLSPFSAMPH -SHPVCKTLENYLLYKVLPSVVDNSFLFVGMKQFKLELLKARNSNLSMVEVINRYVTSADRVRYDSTFVIR -RSKPIGGLKRHAPGLESAPLKELVPEIMARSAKHLFLHDELHYWSHRDLITMLEVLKPDKLLGTLVYPPE -LLCGSTSSLYPWCYDFEVLGKDFFFYPDGVRSEGYLQPLKGGFLLSTSKIHLSTGEVYCVDVIQSKFAHH -LVCLTKGDAIALKFRSFGEFAATGCKGLSPMTKGLGSFIPVSYPIISRIYRYLRSLKKPDVQSAMSKLSQ -LVPEPTGIEIKFIQDFANFVIETSTINSMIVPSRLKLFMGKWLKNLPGFIAQRFETARGVCLDDFVMSMA -PYTYTCRLTEIDWSTEYYLELAGRCEAEFEQDVPENMDRKYLYGPEGAKDRRGAEPYTGLAKWADGRSPV -ICVHKSHLTSMMARKTIESFLCERERKVSRKRVVAELRTWLCDIRTSLIFPITHTLEMLDDVLQVDSLIR -KILMLQRRRASLLFVDIGALWFLSNFRGFQLKLTNYSEAKVLPREAGELWAVVVAEIGNGDLRKGLKRKY -YYHASAHAGKQGRTQDAVQETWSEGASSSCGECNVQKYVSCACGVTMELKSPVETLDHLFQAPDPLRGRR -AGWYSRDGHDYNYTGASHKSLGWAAWMDEWLKVLDLDPNYYNSCLYQVYEADATLNWHSDDESLFEKGGR -IATVNLSGSAVFHVKCMNGCRANELVGFGVFEMPADFQSTHKHKLSNPSNGRESVTFRRTAQQSVTTGSE -NFSNHEGGESNQEVVDVRVADEFTMGVERSDGSSASSSEGVKNDELIFKRHYAGVNIESGICHDDRMKKI -IKVPGDGDCFWHCVSRVMSNELRLTKEKAGRVDLGSESLNDALQHQMGEQVYAQDEAIAATSVCFSARIN -VYQPAECVLTKFIPTSGVKHEINLINEFDHFSIVEPANCCVPRAIAAAYKRSLSEILAVIREHCDESVSS -EIWNGEGVNEMHMLLLFECFDIRADVVQQGVSTVFNEAGRVRFSFHLEDKHLTFLEKDKLASAPSLRYER -PELSLPLASQHVLAQIASKLTYKATELRAKVLSRSLFEGTTGVISSNLFNGRLDLMQHGETPPKEKSRDV -YCLMGTFGAGKSTHLANFFKMNKGRMITYVSPRKVLAEDFEKRIGLERKEKGQGREAAGQRKKLGQEHWR -VKTFEQALLEHTKIREGSCLILDEIQLYPPGYLDLMCYLVPDRTIIAVAGDPCQSDYDNEKDRFIFSAME -ADFMVLLKDQEYKYMVRSLRFQNQIFSGRLPSKLCIPQSAGVIEYGLYTGVEAFGYEDVIGARNYLVSSF -EEKKIIETFCVKQVNVLTFGESTGMTFDGVNIVLTSASFGASERRWLTALSRSRKIINFINLGHFDWNAL -ATCYKGRFLASFLAGTAKVEDLLQYLPGKPIFVDNLDGKIGKDEGIKEEKLAGDPWLKGMIDLGQTEDIE -EIELEEAILQEPWFKVHLPRAELESIRARWEHRFKAKEHREVRMGYLVSEQFTDEHSKQKGKELTNAATR -FEAIYPRHKASDTVTFIMAVKKRLRFSQPAKEMGKLLEARLYGKFLLEQFLKHVPLKKAHNPEMMAQAKA -AFELKKTSKSAATIENHANRSCRDWLIDVGLIFSKSQLCTKFDNRFRDAKAAQSIVCFQHEVLCRFAPYI -RYIEMKLNEVLPDRFYIHSGKGLEELNDWVLKHDFSGVCTESDYEAFDASQDQYIVGFELAVMEYLGLPR -DLINDYIYIKTHLGSKLGSFAIMRFSGEASTFLFNTMANMLFTFLRYEIHGNENICFAGDDMCASKRLQV -KNIHAKFLSKLKLKAKVDFTSHPTFCGWNLTKYGIYKKPQLVLERLCIAKETNNLINCIDNYAIEVSYAY -KLGELALCEMNEEEAEAMYNCVRIIVKNKHHLKSSISDLFQEIP - ->YP_277428.1 RNA-directed RNA polymerase [Potato virus S] -MALTYRSPIEEVLTLLEPNAQSLISNVATSSFQESEKDNFAWFCYHVSASAKEHLSRAGIYLSPYSGYPH -SHPVCKTLENYLLYKVLPPLVNNTFYFVGIKEFKLNFLKKRIKQMSMIQAINRYVSSADKLRYGNEFVIK -FGAASPELKRHHGYSLDPALRDLLPNIKRDSNLFFHDEMHYWEKNQLIHFLEQCRPNTCLCTIVYPTGIF -VGARRSLNPWAYEFEIKRDKLLFYPDGVRSEGYEQLVNCGYLLRTRKILLRDGTMYSVDLVCSKFAHHLI -AITKGDLITPTYRSFGPFEAIKSAGLQGISKGRPKFYPVPCHMISRLYRYLRSLKKPDKQSAMAKFSQMC -PEPSGDMIRFIEELSDLIINTGTLRVMIDAELCKNFFGNLGLALPATLASKIKSTRAVSLEAFIASLEPL -VVDCELQTISWAVPLAQLLFSESPDDPPEDMIEAMDRRWVSSSTMLCDRVPAPYRGNMWSETSRAMSFWS -IDFQRIKFLRGLMELYVDSMCTEGLATSVTFESYVAQIASSCSLLGLALIKCLTAAEYAEVARIVSNTRL -IDVLFTAEDLRWFHATRHSRHNVKFLDETADWARYKSEFECATYAKPKGTGHVGYLQNTVYSFHGVGARW -SFDPSYSCESDSEATHSDYSVVEYPKAAPIHSERTFPRGRDVEGVGCACGLQSATRVLEFPTEHGFNLEK -GVPGKRAAWYCRGQIDYISGAIRLENLGWPRWLSQWMELHEIDETYYNSMLAQEFPAGGTLECEVGDGGQ -FIPGSNVAIAEVGGQSQVSIGCMAGTGQLLLELGDFIEVPGPCWSKHHLHMCCSEVRGVTFIFRRIKVPD -PVVNAPAVQIAAPATTPGAGSSKPNENDAHHTREGVAVHASGKCPAAKKFHRVPNAGGGDCFWLAISHFT -GVSVQDMKQGLQQLDWESDAFSAELALQLKPQAWAEEEAIIATSKQYRYRIVVLSADKEQTVIYSPKCEA -VQSMVLYHAGAHFEAALPRNDCVLVAVASVLRRRVEEVLSILGAQLGNEFLQDVLKGEGIDRDKLAVVFK -LFDICAHIHAEGEVFVINSEGRLPGTFNLSKDHIEHCKSKPMGITKFTSVHDASCEIKQETLSMLKAMCT -LLPYNPCELRAKVLADSLNAGSTGVLCDELFNKVGNLLEANEGRLRESVREVGCLLGTFGAGKSMVFRKV -LSSNLGKSIIYVSPRKHLADSFNELVKSIKQQEGQRVCKVSHFHVRESTTEVRAIRPDATIIIDEIQLFP -PGYLDLFSMLAPAGVHMFLVGDPCQSDYDSEKDRSLFQAMKSDINLLLDDADYDFNCRSRRFKDKLFDGR -LPCSMGPMEGESSKFTIIEGIENCKAIHSQAEVCLVSLFDEKKIVQTYFPSSCHCFTFGESTGMTYKSGV -ILITDTSQYTSERRWLTALSRFSHSIAFVNATGGNIQLVTRLYQNRVLGRFLLKTAKIDDLKMLLPGRPR -FKEGFGGERIGADEGKREFKLEGDPWLKTMLDLLQKEDQEEVEEAVVELGEEWFRTHLPQCELEGVRARW -VEKILAKEVREKRMGLLVSEQFTDEHSKQLGKQITNAAERFETIYPRHRAADTVTFIMAVRKRLRFSDPI -RDAAKLRAAEMYGPFLLKEFLKHVPLKPMHDTRMMAEAKFDFEEKKTQKSAATIENHRNRSCRDWLADMG -MVFSKSQLCTKFDNRFRDAKAAQTIVCFQHSVLCRFAPYMRYIEKKLNEVLPARFYIHSGKGLEELNKWV -IESKFDGLCTESDYEAFDASQDQYIVAFELALMKYLGLPNDLIEDYKYIKTHLGSKLGNFAIMRFSGEAS -TFLFNTMANMLFTFLRYKLKGDERICFAGDDMCANRALFIKDTHEGFLKKLKLKAKVDRTNRPSFCGWSL -CSDGIYKKPQLVFERLCIAKETANLANCIDNYAIEVSYAYKLGERIKERMSEEELDAFYNCVRVIIKHKH -LLKSEIRCVYEDV - ->YP_164258.1 RNA dependent RNA polymerase [Sweet potato chlorotic fleck virus] -MSLTFRSPAEEALSSFSSVEQSQITRKALATFISIEEREHTFFNYAVKIHAKERLTNAGIYLSPVSPVPH -SHPVCKVLENYLLYKVLPSIIDNSFFFVGIKDNKFAAFKTREPKLDIVTCINRFVTSHDKNRYFGWRSAK -CSVSEFSTTVDKSPCLKDLVPQVLSQRARNIFLHDEIHYWSRRELITFLEVAKPERVIATLVFPPEILAG -SKVSLNRWCYEYEIRECGRNSANGVFNFRAAFRGDSGKTLFFYPDGVRAEGYEQPLDSGYLLKTRRIVCN -DGTVYSVDIVCFKFAHHLISITRGSFVGRSSRSFGDFEAITTRGLSKVSSNMKDAYPICYTTVNRIYRYL -RSLVKPDVQSAVSKLSQTVGEPTGAEIKFVEEFSKLVISTHSVNNLIGKDDFKVLQGLVAGTLPRMFAQK -FKEFRAISLDEFICELAPYSFNVDLVDLKSDTCLDFIFYDVTYGSVTKDPAVLMDKVFTEGAEGVRLNGL -YYCDEPHTHVLELSGAAATGLFSRFIRAYLGNLQHQYSMENLKECICNFKRWFKISKILGLSFYSMEEET -AFRVYRRVRAGKFIRREVMYQRDGLIWFLNRDPKKRGKWYSGNTKFITGDDRGIAKVDVDVLRIFRRVLI -EFTETSKKLIQVEPDKDLPCPLSKSAPQDGIDEALENSKDSGASIGPLLGTTLSGTAINPEGGDVEEERA -FRDEATRRIKEMMSVQATVISEIRFEGLKIPIYKLRTLGPLTFNLTDNLGNRVASWYTKGKSVAYSYKGG -SHEAKDWLDMFNNLLILNGLPTDYYDSVLVQEYRAGGGINFHKDDEEIFERGAKVLTVNLNGQCYFSFSS -PKETVSFELVEDSYFEMPRDFQEKYYHGVQGCSMGRISMTFRRLSSTNESGVTKPQSTVPIEQSGNPTET -QNDNKGDSESRDTEASGNSEGGGDDAIEVGQFGYDKHKLANLNLVVKKYCESEMSRMLLSKKVVAECNYE -GLNRICAYELGLNEQYVKEKADHFEQVLPIKSAEMISDFISDHNLDACLYNLMGVTKMFNLQVVLFIPTH -CLMIQVEGNNGRVIELAWDVSAQSIKLLRCANDCCIRAVADALERPTEQVIEVLRCKGGTDLMEEVTSGQ -GLELEHFEQVLTLFDICGVCFGDFDTVLNEGGRLLFYFYVEDGHVEHFVKNRSSSKDKIEALKNREDLLD -LKRQDGTIGARGGGTFKFRRGRKGFDSKNNYILDLSAIKPASTSLKFISTEQRFSLIKNSLLVGDTGVLF -DKNFDKIGLLESRGWNEWSGMIGVILGVYGCGKSTLFKKLVSKNPRAYIHIVSPRKALASEMKLALEESS -DAQVRRRGHNTTVSTFERFVQRALQSKFRCDALLIDEIQLYPPGYLDLVVNLVNPKEGTVFLIGDPCQSD -YDSLRDRLTFSNIKPDIEMLLEDKEYKYNFLSRRFRNKNFIGRLNCVFDESLMNFEEPYVICNSFEECRA -LAPKYHETFLVSSFEEKKVVSVEFPNCEQILTFGESTGRNFRYGTLVITGTSRYVNEKRWVTALSRFSHN -LAFLNLTGYGIENVCQQYSGLALNRFLTAKAGPNELMESLPGKPVLTKGFTSRMGKDYQVKELKLQGDPW -LKTMINLGQLEDFQEEEMGEIISQIESVKTHVPLSYLEGVRATWNDRFKAKEDREFKIKGLITDQFADEH -SKNNGFKLTNAAERFAAIYPRHKSGDTATFLMGVRKRLRFSKPAVECAKYRDAACFGPFMLKEFLKRVPI -RPGRSSVFFDKAVQDFEEKKTAKSTATIENHSGRSNLDWKIDTGLVFMKSQNCTKFENRFRDAKAAQTIV -CFHHIVLARFAPFIRYIEMKVNEALPKNYYIHSGKSLDELNNWVIGNDFTRVCTESDYEAFDASQDAYIL -AFEICLMEHLCLPRDLIEDYKYIKTHLGSKLGNFSIMRFSGEASTFLFNTIANMLFTFLKYDLNGSESIC -FAGDDMCANRRLTLKTEHDGFLSKLKLKAKVCFTSSPTFCGWNLSFYGIYKRPELVFERLCIAKENGNFK -ECLDNYAIELSYAYKLGEKVLPLMDESAVQSYYQCIRIIIQWKHLLKSKIALDFANSVKA - ->NP_958171.1 replicase [Poplar mosaic virus] -MALTYRSPIEEMLNSFTTIEQSLVSATALQGIRKEENNNYENFSYALPVKSKEKLVRSGIYLSPYSAMPH -SHPVCKTLENYMLYRVLPGYLDSSFYFVGIKNSKLDFIKQRNSTLSMTIAINRYVTSADKLRYGSEFTCV -RSKQSGGLLAKHGGFSSETLSTLVPSLISVKARKLFIHDELHYWSKNDLRCFLQVVKPEMVLATLVYPPE -LLAGSKISLNPWCYEFDIYKDRLLFYPDGVRSEGYNQPVGGGYLLKTSRIVLPNGDVYCVDLIHSKFAHH -VIAITRGDRVVPCTRTFGDFDAISVESLVGVCGNVQACYPISYSTVSKIYRYLQTLVKPDGQSAMAKLGQ -IVAEPTGHEVKFVQDFSRFVIGTHSISSIIMPDYKKKIAGFLAGLLPHFASRMFAAYREVTLDNFISTLR -PFSFIQPLSSLDESLWDELHFYDMSFDIEAHMDTPSKMDESFKKDGKKAIPDRDGEPYSMLVDAQSGHVD -YIELEIRNLVAVLARYIARSFGNSQVCEFSFDMVADACKNVLLRSQGLGWLLLHELGREVIEMIQRKAGA -YCAHLRVTFSSGSSDLTWFLSRKRGCRLYIKDYYHGAYFDHSDAFSAVLEDIQRWKIPAIKEGLPLVREI -EVVAPTDAEMCKLLEERLKDPNMPPTAVSAEACACGANLGLGVFEGAEFVNILMPDKVGSRKCGWYSMDG -SPYSYNGGSHTSQGWPEWIDVLLTLNGVGQVGYDCLLAQEYAQGGKLGFHRDDEPNLDVGASIFTVNLYG -EATFMLKGKGHLTKLHLRPSQCFTMPHGFQESHKHAVEGCSKGRVSLTFRVLKKRGLALVRRLSSPEPAN -GPEGDDSSTASSAEADDGAKMVSAAGAHSRVPTVRSPMEVNYDLYGVPIEAETFEGLDRFKRVQVPGDGY -CFWHSIGFLMGLEGTELKKICKRLSKDLIESDLSLAKQYEGATFAEAEVIASVVHHYDFSIQVYYPEDNV -LWNLGVLAEKRSTLLFVKVCTLSPWFPKNDCVITATVEALGRSYADVFGVLSRVSNSHILAEVNSGEGVS -SFILEEYFKLFGIQASIIWDGELICLNEIGREKKAFEIVEGHMTHLPARKAQNMPQLLSKNVEVFSRRAL -SILRKAGTEIHYNVSRRRACELADSLLQGSTGAICSATFNLCGSLIEHVEVKDHAREVTAVLGTFGAGKS -RLFKEFISKSPGRCVTFVSPRKALAEAVKTEIFGESREGKKKGRNGKRDGKSANWNVFTFEVFLKMAAKS -KPGQVVIIDEVQLYPPGYLDLALTLMRSDVNVFVVGDPCQSDYDSEKDRAVFEGVPSDISRLLANQTYKF -VCRSRRFKNEIFIGRLPCKLQSSDCELREEYYCCSNFEDVDSLAEPYRKVFLVSSFDEKRIIRAHYPDPG -VQCLTFGESTGMTFKYGTILITPGASKVNERRWLTCLSRFAYNVAFLNMSGVSYPTLVDMYSNRALGKFF -CGRAGLDDLLEHLPGDPNFEDSFQHKIGKAAGLKEEKVAGDPWLKCMLDLSQEEDMEAEEVYEICCAEPW -FKTHLPRSELESIRAGWVHKIMEKEAREVRIKDLITNQFTDQHSKNHGVKLTNAAERFETIYPRHRASDT -ATFLMAVKKRLRFSKPHIEMAKLREAELFGESMLKLFLKHVPLKEGHNCEFMERANRDFELKKTSKSAAT -IENHAQRSCRDWLADVGLVFMKSQLCTKWDNRFRNAKAAQSIVCFQHAVLCRFAPYMRYIEMKLNEVLPP -NFYIHSGKSLEELDAWVKRYKFSGVCTESDYEAFDASQDQYIMAFELAVMKHLRLPADLIEDYKYIKTHL -GSKLGSFAIMRFSGEASTFLFNTMANMLFTFMRYDMNGSEAVCFAGDDMCASKHLRVQSEHDKFLDKLKL -KAKVQFTEKPTFCGWNLCPDGIYKKPQLVLERMCIARETNNLANCIDNYAIEVAFAYKMGERATNRMSEE -ELNSHYSCVRTIVQNKTLIKSDVWGVFSRGSE - ->NP_932788.1 RNA-dependent RNA polymerase [Lily symptomless virus] -MALTYRSPVEEVLTLFEPAAQSLVAATATASYQQNEKDNFEWFNFSMPAIAKERLSTAGIYLSPYSGYPH -SHPVCKTLENYILYKVLPSIINNSFYFVGIKQFKINFLKKRFKHLSLVHAINRYVSSADKIRYGNEFVVR -ASSESRLLKRHRSIEQSCTLSSLVPNIKTGANLFLHDELHYWSKDDIIDFLEVCQPEIMLGTVVYPTEIF -AGAKHSLNPWCYEFEVKGRVLIYYPDGVRSEGYEQPIDGGYLLQANRILLPNGITYCVDVIASKFSHHLV -SITRGDLVVPKYRSFGPFDAVRARGAADIARKNTLFFPVSHLTILRVYRYLRSLKKPDKQSAMAKFSQLC -HDPSGEAIKFMEEFSTLVMETDNTRTVLRPELIKSFFGNLGRRLPSCFAAMFARTCSMCLDEFITFLEPL -TIDVTLQTLSKNSLYYALIDQGEAESFVDPFEELECAWGGRDSFLLDRPSAKYAGLLPLTDCKGKWALPF -NMEKLRYGLLKLYMEASQSCYTGRSWTIQDYINSILTYTTVIGRAFLKSLTPELLDWLQYNADCLEPKPA -LWYDCGVRWFIHGTRNSAKIAFLESSAQIDARAQHESCGYMTPGRTRIFKLIPTLTDTEIYTFYEPEIIR -CSPPPLEPSGALQGTPLTVGNNVADSLQQPIPIAGALTCDCGIIMNLKDVLGHTERIDEFADNLRGRQAA -WYSIDGRPYKYNGGDHVSKGWPNWLQMWMALNGVDKKYNCMLAQKYQVNSCLGFHADDEALFVAGESILT -VNITGEADFKVTCPNGAGELRLQEAQMFEMPPGFQQTHKHAVANCTAGRISYTFRVATTMAPELPVAPSD -EEDDGRKGYTDGAVEVSYQQLEGANFQYRIIKNQGGGDCFWLALEHYTGVKTRDMKKALLAACKPIPGSA -LAEQLRPTVWASDESIKAVCTHLGWDITIIDEMLNTKVVYINPGNENMAIIRRKRWHFEAIEPLAACTIK -ALASCLDRRFTEVSSLLYKRLGTDFMDNALAGQGMELETFREMLVELQICAVVEQAGGTIILNDKGQTKG -IFKFLDGHAEHVKEAAFAPHEQLNVYKADLECTPEMYLPIREACTAMSYTPDVARATLLASCLLNGSTGV -LCSELFNDRGTLMPTEPCTSEREMMLLLGVPGCGKSRAIRETLPRARGRALLYITPRRVLLDEFEEHLNS -LRKRMGAGACRNFKALTFEKALINGEKFSPGALVVLDEIQLYPPGYLDLLCCRLNPTIRLVLLGDPCQSD -YDSKKDRNVLGAIPSDILNLLEGTKYKYNVLSRRFQSEIFISRLPCTLQSNLQYKGSLKIVEGLDAIDLR -ARSSEVCLVSSFDEKKIATAYFGVQCKTMTFGESTGATFTTGSILVTSVSQHTNERRWITALSRFRRNIT -IVNATGVSIEIVQKTYANRAFGRFLCRSAKNSDLLTLLPGEPEFTVGFTCEKYGADEGKREEKLQGDPWL -KTMVDLLQVEDIETIEEAKEIIEDEWCKTHLPQCELEGVRARWVHKILAKESREKRMGHLVSEQFTDEHS -KQPGHRLTNAAERFETIYPRHKAADTVTFIMAVRKRLRFSHPVKEAAKLNQALPYGPFLLKEFLARVPLK -PAHNARMMAESKFDFEEKKTSKSAAIIENHSNRSMREWAIDIGLIFSKSQICTKYDNRFRDAKAAQTIVC -FQHSVLCRFAPYMRYIEKKLNEALPAKYYIHSGKGLDELSAWVKIGGFGDVCTESDYEAFDASQDQYIMA -FEICLMRYLGLPHSLVEDYKFIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYDIKGNERICF -AGDDMCSNKRLFVSSKHADFLGKLKLKAKVAHTKTPTFCGWNLCPHGIFKKPQLVFERLCIAKETNNLVN -CIDNYAIEVAFAYKMGERARERMDEEELEAFYNCVRIIVKNKHLLKSDVRNVYEEQLD - ->NP_624313.1 RNA polymerase [Sugarcane striate mosaic-associated virus] -MAILTFKPPKQLLIAQLSSEEQARIRSSVTRKLAQEEENDQGLFSYHVSPYCAEKLIKSGVQLCAYSSDV -HSHPCCKMLENHILYRVLPSYVDSDFILVGIKHSKLAFLKPRGAKKNVDLSTVKVLNRFVTSLDRSRYGK -EFNHLNIMSTFTPEPKGSSSYTPELKSLIPNLERFKGHKLFFHDELHYWKDESIIKMLSSLEPSVVYATV -VCPPELLIGAKQSYNDWLYTFEIIGDQILFAPDGKMNSAYFQPVNCARLLRAKRIWLDKHNYYDIDLVYS -NFSHHLLCFSKGSNLKNQDLRAFSDFDAIKLNYISELMVNAESCFPISWTVVKGLYDYLESLTKEDYRSG -KAKQTHYMPNCSGKQLKFCTDLIKLYLEAPHIKTMIGSSPVHNFKVLFTRMFPACIKKNFSFYKGKLLKS -LFEHLAPLAFHVKTYDLHLGFVFKFQELKNVTDLMEDVHEFEVDFVREYDPFQMRSTPYVGLAPLRDESY -YKRMKCGEAFFDNMIGQIMRSHLSGYGTNTNPAELFLRINLTIVGRVEFLVNGHHDFVIFYINKHFKVKK -DPRYKNLYFANMTLELKLRWLSCRRFRKYQKFLPCGLVSLAEVQILWRNIARSIQSGSHEAQGQNEQVDS -HGDGVAIEEPERKGNTLEKLNEATLVTHLQHYLKNPVVDDFSRCAFDVDSKTLSNLIDCLKQICDTSINV -AIGCDCSNSDLNLLKISKMASSVASYVNLDNVKSVKINWTEAGEILVGLEDQPLFVVVLGKDINSITLAR -DHVMQFPELEENSAILIERNNISKISVSGPSALMIFPKDQNLLDCHLIGCDQIPPAKIDFIDGYIKTTVD -PSLDSRLTCINVPANGDCFWHSVSLYLSVEAKMIKDAPVLRNRNPQNARLVEPMGDKVWAENEAILNCAC -FYNLNIRIVFEHELHTFRPHDLNEDSTEVWLKNLDHMHFCPAKFINSCVMEPISKAVDIPPLNVQRMISS -QESLHSEYERLSSGKSFDLLGLESLMTFFNIGAEVQENGHKFILNENGSRARSFILENNHIEFVRGDKKD -LRKEGIGFVKLMNANQSKSVLSLACSKVKYTPSYDRAFKLSKAFANGYTGIMLSSEKFGEIEINGKNDER -EINVMMGTFGAGKTRVFVDYIQAYSGRGLFYVSPRKNLKELFDNSAIQAVQGFKTKSSDKKGSVKSFHSS -FTFETAIMKAGQIGDDSILIIDEIQLYPPGYLDLILLLIPSSCMVICAGDPVQSDYDSDGDRLYFLNDKP -DIERLLEGQSYKYAIKSRRFKSSLFIGRLPCDMPGLQGMGMDTYGIYESISSVPQDLIKRSFFLVSSFDE -KKIIKANFKETHNKILTFGESTGSTFQEIVILITTSSEKASERRWVTALSRASTSISFLNLTGIGIQELI -STTYFGRFLQKFLSAECKREDIKPYLYGEPKFVDDFRSIGRRSAEEKEVKVDGDPWLKCILHFFETPIPD -EVECVKVDMVEQKAKTHLPVSSFEVLWAHFNEKLLLKEIRERRIDDLVSDQFAWDHRSQGLAINNAATRY -ESIFPRHHHNDKVTFLMAVKKRLTFGSPAKNGRMLREAMPYGEILLKEFLKRVPIKNDRNDHLFLECLMD -FEEKKTSKDSATIANHCIRSQNSWPIDIADIFMKSQLCTKMESMFCEAKAGQTLACFHHVVLARFSPWVR -YIEKKLVSSLPDNYYIHSGKNFDQLNAWVKANNFVGECTESDYEAFDASQDHYILAFELALMKYLGLPNE -LIEDYKYIKIHLGSKLGDFAIMRFTGEASTFLFNTMANMLFTFLVYDIKGNESICFAGDDMYANTSLKTC -SKNKSLLKKFTLKAKVQVTESPTFCGWHLTEDGIYKDPILVSFRFMIALEKGILHECLESYSIECSYAYN -MGSAVSRHMDQLSLAYHYCCVRFILKNSKLLRSDVKKFFKDGLQLTQ - ->NP_619559.1 RNA-dependent RNA-polymerase [Garlic latent virus] -MALTYRSPVEEVLTSFTTSEQSEIARGAVQGLLKLEEFNHNLFNFALSPIAKQRLCGSGIYLSPFSYVPH -SHPACKTLENYNLYQVVCPLIDHRFYLVGIKQSKLCFLKGRNRKMDMVECINRMVTSRDKLRYGNDFVVQ -AGQPGTVKKNSRTVTFYPELASLVPHEIKFRSRALFLHDELHYWSKESLAIFLDQCSPELMLATVVIPPE -LLLKAKESMNKWCYEFEVKGPDLYFYPDGVRAEGYIQPAGCVYLLTTNKMITPGGQVYCFDIISSKFAHH -VIAITRGEALVKKENSFSNFEAIGSSFASKLLHDEYPCFPISYEVVMKLYTYLSSLNSPDVKSAVAKLRQ -LVEHPTAFEVQFTIEFANLYIRCGVNLDIFTPSTKTLLLKSLAVLMPALLTKYSKKLMRVSFTSFVSHLK -PLSFNVKCETIDLKTHAKPCYDRLIPPGIDSLMNCLEFGKITSGKENFSREPAPYSFSLNETCHLHCAPE -ELLIANMKFLGDLESFTFSSTDQVLAGAATVFRPLYQFLFSILGEYCVDYCLMQAARKQRIEKNERTAAK -VLALPWFSNESYGDVTVYPAPVFNCLEHEEENWGAADTVDDIEPMLLNLFNCVCGAGIQWTVAFHVELLD -VSIDDRLLNRRAAFYSKGCFGYSYNGGNHVGHEWLDNFDSFLAINGHDLNYFNCVLFQQYDGGHGIGFHS -DDEELFEKNSKILTICIQGDCEFRFRCATGETGFFMEAPKQFMMPEGFQESHKHAVRGCSPGRISATFRR -AKVPPVDDFLTSENGKVDSENGVDDGGESECLSFIDNLGVSHTVQKNVNIAPFVVREVSGENNMCFWNCL -SFLLNLDALPLKNNLSKGLEKLRGTTIHATLAEQLAPGAMAEDDVISLCCQIFSLEIVVHSLSLGCTTNF -ATEDAEKRIDILHDNEHFSLLFYKNDCFVSAVAQTFSRDTNEMYRVLADKKFDELTELLRLGCGLTIEDL -EVGFKLLNIKAHIMKDGEYLQINDSGEINGFYSLTDEHLVACPPFSKSLFATKTILNSNEHLAVTAIKML -REVGSELIYPASLDRASNFERSLLKGCTGIRSSTLFAGLSSRLKEGHNDHERSLTTVLGTFGSGKTSLIK -RAVVNFKKSGRVVHFVSPRRSLADALIQSLGLTDRSGTCIDGKGGSKRKGKKSSQNTFVRTFETFLIQNS -RVGGNDLVFFDEIQLFPPGYLDYTPMLLPATVQFFILGDPLHSDYDSMNDRHVFLGYESDICNLLKGREY -KYNILSRRFSNKMFASRLPCSFKSECFTTDEPYVMLQGFGANLDEIKNFSEVYLVASFVEKNFVKAHLGE -NVKVLTFGESTGLTFERGSVFITESAFKTSECRWVTALSRFSRNLILINMLETTFESLASQRSTTVLGRF -LRGTADPSHTLENLPGKPIAQYGFTHCVGRNLGVREAKLQGDPWLKSEIFLGQEDDMQEIEEVAELVQKE -IFKTHLPRCDLEGLRAQWNDKILSKEAREFKFRNLVTEQFTDCHSKQKGKILTNQAERFETIYPRHRASD -TLTFLMAVKKRLRFSKPHLECAKLKEAEPYGKFLLDEFLKRIPLKPHHRHDLMSEALHDFEEKKVSKSAA -IIENHANRSCSDWLADVGLVFSKSQICTKWDNRFRSAKAAQTIVCFQHSVLIRFAPYMRYIEKKVLEVLP -KKYYIHSGKGLEELNQWVCDNKFHGICTESDCEAFDASQDQYIMAFEIALMEYLGLPRSLINDYKYIKTH -LGCKMGALAIMRFSGEASTFLFNTLANMLFTFLRYDLNGQESICFAGDDMCANRRLRVNLKHEDFLGKLK -LKAKVAFTKRPTFCGWNLTHFGIYKKPQLVFERMCIAKETNNLHNCIDNYAIEISFAYVKGELAVCHMDK -EELDAFYNCVRVVVKSKHLLKSNVRELFKNEVET - ->NP_612579.1 polyprotein [Blueberry scorch virus] -MALTYRSPVEEVLTLFEPTAQSLIASAAVSAFQRHEKDNFEWFRYSVPAFAKEHLSKAGIYLSPYAGFPH -SHPVCKTLENYILYVVVPSIVNSTFFFVGIKDFKINFLKSRFDKLNMISALNRYVSSADKIRYGNDFVIR -AGVEHRALKRHRGLVDSPTLKALMPNVKSGSKLFLHDELHYWSKEELIGFLEICEPEVLLGTVIYPPELL -IGSDCSLNPWCYEYEVKKKKLLFYPDGVRSEGYEQPLSGGYLLQTSRIKLPNAGIYCVDLLCSRFAHHLF -SITRGDLITPDNRSFGPFEAVHSGALAGISRGKPNFYPVSQHTILRVYRYLRSLKKPDKQSAMAKFSQIV -HEPCGRAVKFMEEFSDLIINTGTLRTVINPEQVKLFFGNLGRCMPPCFASKLKGTRTVCLDEFISMLRPL -SVDVTLETISMHSMTMVVTTWSQEAEEGVDLPKIFEEKWEGKQSLDRTEAPYLGLAPFVDYKIQWRLQFN -IPKFLNQLAELFVNSCSVNGGVRSMSIPAYLRRLATCRSCVGRAMLCCLTEVDIASLRVVVRNRYPYTED -FYRCRRRWFLRIGAQRRPSFYIEDAKHLERLGQFEEEQFQRPMSRRSLYTLASVSMNGTDDPFCSDCFYD -PVPVARAKIVPTPTVIVERALEPLAIDTGTTSDAPCDAPGATCLRGAQAVVCACGLSMAVSAVPYAELKM -DFYPDALKGRDAAWYSKEDREYKYNGGSHLCRGWPKWLQLWMQANGVDETYDCMLAQRYGAQGKIGFHAD -NEEIFMRGAPVHTVSMDGNADFGTECAAGRQYTTLRGNVQFTMPSGFQETHKHAVRNTTAGRVSYTFRRL -AKKDESRVIEEVVEVETKDMGFSSSLFGVQIIVDEPCDGVEETFNVQCVPGDGNCFWHSLGSFTGLTVEC -MKAGIKNFACGPEGAEKLSRQLEPNVWAEDEALCAACAHLGVDLVIFDEDQGFKMLYRYPGNKREALLRL -KGSHFEPLEPKEMCVVKAIAQAVKRSPMDVLRVALKKMGEDFKEQICRGKGVMLDVFMVLAKIFDVSACV -LQGTEQIMINPKGRIKGLFRMTTDHLSYDGVPDKVKHSEVNVYKHDVALQIEDLIELRELSSLVEYTPSF -SRAKLLADCLHDGSTGVMCSELYNDKGHLCPEGRETTRVTIGVLLGTFGCGKSRLFKEILFKLCGKSVCY -ISPRKALCDSFDDEIRKARGNMGERGIKHYKSLTFEKAILQASKLHKGSLVIIDEIQLYPPGYLDLLLLL -AGPTMKYFALGDPCQSDYDSEKDRTILGSVRSDVFELLDGIEYKFNILSRRFQSSLFRGRLPCLMYEEDL -EAGAPLRLIDGLESIDTSAAYSRCCLVSSFEEKKIVNAYFGERTKCLTFGESTGMTFDVGCVLITSISAH -TSEQRWITALSRFRKDIVFVNAASVAWDTLQSVYANRWLGRFLNRSARQEDLRRMLPGTPLFVEGFQKNL -LGADEGKRECKLEGDPWLKTMVDLLQVEDMEDIEIAKEVLQDEWCKTHLPQCELESVRARWVHKILAKEF -REKRMGCLVSEQFTDQHSKQMGKHLTNSAERFETIYPRHRAADTVTFIMAVRKRLSFSCPIKESAKLNQA -LPYGPFLLKEFLKRVPLKPMHDRKMMEQAKFDFEEKKTSKSAATIENHSNRSCRDWLIDVGLVFSKSQLC -TKFDNRFRDAKRAQTIVCFQHAVLCRFAPYMRYIEKKLNEVLPSKYYIHSGKGLEELNRWVIEGRFEGVC -TESDYEAFDASQDHYIVAFEICLMRYLGLPNDLIEDYKFIKTHLGSKLGNFAIMRFSGEASTFLFNTMAN -MLFTFLQYDLKGNERICFAGDDMCANGRLHVSSKHKNFMSKLKLKAKVSNTMNPTFCGWNLSSDGIFKKP -QLVLERLCIAKETNNLANCIDNYAIEVSFAYLMGERAKQRMDEEEVEAFYNCVRIIVKSKHLLKSDVATI -YQTARVD - ->NP_604464.1 RNA-dependent RNA polymerase [Apple stem pitting virus] -MALLSRTAAEEVIASFTSEEQSRISTQAVLALTNVEKDKHDLFNYALPELAKMRLFNSGIYLSPHSYRPH -SHPVCKTLENNILFNILPSYLDNSFYLVSIKKNKVDFLKRRHPDLQMVETINRYISSIDKTRYGGFFHVS -PSKISAKFKCDRRTGFEDDASLIDLIPGCMEGARKRFFFHDELHYWTKEALITFLDHVKPEVMLASIVFP -PEILAGAKESLNPWCYTFRIVGKDLVFFPDGEQSEAYIQPVAGSYLLRTGKITTPSGDIFQLDLLKSSFS -HHLISITKGEAIGQKMRFFNGFEAVAMKGLNPLRRKVESCLPISKNTILKIYRYLRTLKKPDLQSAMAKL -SQVCKDPNGYEIKFFEEFSKLCLKCDTLNTNMIPDMKRIVQGFFLKLFPNPISRNFKVVQQLHLDNFIET -LEEFNFSINTESLSLNWKDDLEFVNLTFGDTDFNVEDSFAEAWGTKKDVVNITTVHHSPYLVSKFESYDH -QFHSILSVKSISALTRIAKIVLSLYDPCVVEAFSESRVTNLAVNVIIAANLRACFAVTDLWRIFEGILLK -ECKRAQGKMRKRFHFELGIRWFLFVDVSNQWFLPPCRDGLIARSVSFDQFIKGCQRDNSLHNGRMSLRQV -LKGPKLQALFDVSELSIIHNVEMENAPEAGSTLDAGIKPTSSPLEVVPIENARCNLAPCKCDLNCFIQPA -DVNSLHGNLVFLDFIGGSKGRGASFYSRDLKGYSYTGFSHVSRGWPAFLDKFLSDNKIPLNFYNQCLVQE -YSTGHGLSMHKDDESIYDINHQVLTVNYSGDAIFCIECLGSGFEIPLSGPQMLLMPFGFQKEHRHGIKSP -SKGRISLTFRLTKEGDSQVPIQEVVTICDHGDSDDRAALKALERRSHQSGGRPAVELEGHEREKVNSDSS -DSAPVQEFLIQIDSSLLEYALKSLSGLSKNVVNCDMCLCNSPWLKNEELRFSEALRDLAFAQGLIQLIDF -LCLKVLRCAEVNRIISELPTHVFPLRGTMHIVDLDDESIRGDVKEGSFSGFRRWKVMSCSTDLIMLAFLK -PKMTLGGELRSHEDECELSDLTEKLHGCSVILSRKFEPDLFHSFDVEADGNCFWHSVGPLIGVDGEYLKR -ILHDQAKKDGVKCPRLSKQLEGNTWAEREAVAYFCSHYGIRLNVLYTREECTWIFKPHEVLKAATLICQD -NHFKPCMPVNGCVIRAISSALNRREVDVLAVLGKPAHEDLFEEVAEGRGFSIFDLTRLFEIFSICGSVDT -GGELIMVNENGRIPAEFSLEKEHLAHIPTLSRRKFSPIVSDLNRVSNSAMRFLAINGAEVDYRPSIDRAS -TLLDSFEIGATGVLCQGIKEAQKDLASKLIPELVHERKLIMILGTFGCGKSSLFKKFIEKSPGKAITFVS -PRRSLAESINHDLGLARVGGKKTGKSKDLKNVRVKTFELFILHLDSIKEGHTVVIDEIQLFPPGYIDLII -LGLKPNVNIIIAGDPCQSDYDCSSDRHIFAGSESDIMRILSGRSYKFNILSQRFRNPVFYGRLPCNLNKT -RLTLDEEEYTLWDSIQEFSMMGRKDCPVVLVSSFEEKKIVAAHLGLKMKCITYGESTGLNFQKGAILVTY -ESALTSDRRWWTALSRFSHDIHFINGMGVTWDNAITHFVGKPLHKFFTKRACNDDIIDLLPGRPELIEGF -QSQVGADEGVREAKLVGDPWLKTKIFLGQNPDFEIEIADEVEAAEDWFKTHIPIMSLEAVRAQWVHKLIS -REDREFRIGDITTEQFTDDHSKNRGQELTNAAERYEAIYPRHKGTDTATFLMAVKKRLSFSSPAAEHAKL -RRAKPFGKFLLDTFLKRVPLNSSHDEKMMQEAVHAFEEKKLSKSMATIENHSGRSCEDWPVDKALIFMKS -QLCTKFDNRFRSAKAGQTLACFQHSVLCRFAPYMRYIESKVTEVLPKNLYIHSGKNIDDLAAWVTTSKFN -GVCTESDYEAFDASQDHFILAFELEVMKFLGLPSDLIADYTFIKTHLGSKLGSFAIMRFTGEASTFLFNT -MANMLFTFLRYDLNGREAICFAGDDMCANSRLKVTNRFSNFLDKIKLKAKVQFTATPTFCGWGLCEHGVF -KKPDLVLERLQIARETRNLENCIDNYAIEVSCAYKMGENLNLYLTPQEVDAHYNCVRFIVQHNHLLKSNI -RDLFKGESLPASS - ->NP_116487.1 polyprotein [Aconitum latent virus] -MALTYRTPMEDIVISFEPQTQAVIAQSAAVIYQKLEESNCRYFNYYLTAIAKRKLSCAGIYLSPYSAAVH -SHPVCKTLENYILYDVLPHYVNSSYFFVGIKDRKLKLLKSRDRRLEGVQLINRVVTCADKLRYSNELVQY -NSLPHEGLEGHRIALEGDTLKRLVGPLLRHKAKHLFLHDELHYWSSDELCTFLEVMQPETMLATLVYPPE -LLFKQTKSLNEWCYTFEIIRNTFFFYPDGIRSEGYQQPLNGGYLLSTNSIKLQDGTVYGVDILSSKFAHH -LVALTRGKAVTSTHRSFGPFEASTASGLSRICPSYPICLPIPCEVISKIYRYLRTLKKPDVQSANAKLSQ -IVAEPTGREITFVEEFAKFVIKTDSICTTFSFDEMEKFFRAVLGKLPAVFARQFASVRELGLHEFIEGLE -PFNFTTKLNVLNQGDIWQNLYKWLEDGSDCEDLPGLCDGTFMFGVKSGLRDRRAQPYHGTIALSVQSCGA -LLVIPKDKLRNHLIGLYMRERCDGLTRKVSHSAVLAYCTERLAHSILLPKVRRRGCSTTRLSTLEILGVC -ELTPLIRRIIRYVNCKSRTTFGESGIEWFLLHKTFRARTLFLNSVPDAKRVVNPIAALWGMVVSEVVSSG -CRWSHKTEEFCEPAPTRASFIMPPLKVNSVACAKDQLASTSSQMVAYSKVDDDELHIPFICKCGMSMPIT -DLVLPEHPFDSPDTLRNRRAGWYSRGNISYSYNGGSHQSLGWSNYITTWCEVNGVEGKYDCCLYQVYEAE -AAIGFHADDETIFEPGESVLTINLEGRASFGISCAKGDSFRVLNGPLQFTMPMGFQADHKHCVRGCTAGR -ASLTFRCLKRTQLPQAIEVSATKQSQSGDDSEESDDQKGADYSCPGVKYSEKGLPKDSNYTTGESCPGPN -SFWRSLSALTRYNLSEVKRLSLMHIFGDARCDQAIKLYQSTGTILGDSCIAAAAIRLGATITIWNMELEL -QQIYAPESPRMALHLEYTAGMYKPIFLKNGCVIVAVAEAIGRTNAEVQQVLNDCCCDGLLKEIWRGEGLQ -RNDMELVFKAFDIEAYVTENDDTRVYNASGTLRKNFKLVNSHLSYESRAKDPCSTLLAGLVDSKCFPVEV -LDSLRSKGFTRKYVIDSVRAGMLADTFHKAQTGVLQSELFNKKTNLREHFRESHEVDVTVIVGIFGSGKS -HIFKMMLDQARGKVFDFVSPRRALADAFTKLAGVKRGRRDRIKLGQENWRINTFEKFLDRTQFLHEGQVV -ILDEFQLYPPGYLDLIMSLIKVDVHLFLVGDPAQSDYDCEKDRLALQSLPDVASTVLLNVTYPYNGLSLR -FQNRNFLGRLPCNLDESTVTMDEPHELRAGLDVLERLEEHYSALVLVSSFDEKTIVLSYLPKAQVLTFGE -STGLTFDYGTILISGISERANERRWYTALSRFRKNICLVNCTSSSYENLVVSYRKRALGKFLSQTANLDD -LRVVLPGNPEFRNGFTERTGKDEGVREDKMVGDPWLKTMINLYQGAEMQDEEIAEAIIQESWFKTHIPRE -ELECVRARWVHKILAKEDREVRMGHMISEQFTDDYSKQAGGIRLTNAAERFETIYPRHRANDSVTFIMAV -KKRLSFSNPARERAKLFNAQAFGRPLLKEFLKRVPLRPAHNHEFMARALWDFEEKKLGKSAATIENHSGR -SCRDWPADVAQIFSKSQLCTKFDNRFRVAKAAQSIVCFQHAVLCRFAPYMRYIEMKVHEALPKNYYIHSG -KGLGELNDWVKRGKFQGVCTESDYEAFDASQDEYIMAFELELMRYLRIPGDLIEDYKYIKTSLGSKLGNF -AIMRFSGEASTFLFNTLANMLFTFMRYEIKGNEFICFAGDDMCAPTHLQTTGRHKSFLDKLKLKAKVQFT -GKPTFCGWHLCPDGIYKKPQLVLERMCIARELNNLANCIDNYAIEVAFAYQLGEKALNRMDEEEVGAFYN -CVRIIVRNRHLLKSDVRFIFERGLGD - ->NP_112029.1 RNA-dependant RNA polymerase [Banana mild mosaic virus] -MAFHHRTGAQVLLNSLNSDEQTKVLKEAVTALKNYESQNLSVSPYCMSDKARLLLDESGIPLSSTPFVSH -SHPVCKTLENHLLFNVLPMYIKDNSFVIISMKQEKFNLFSARNKLPFLDLVNRFVTVKDVIRYSNDFVVH -SSKEGFNYRSKDNFLSANSLIPVLKKKVELDPEKRKKSLNIFMHDELHYWGYLELSSFLDLYKPNVIIGT -HIFPKEIMKGYTKSVNPTVYQFEIDGDNFHFFPDGKRTESYTQKISSQFLLRARKIITKGGQVYTVSVPY -TIFAHSIIVIKRGDFETENVRFFDQSECLDLHDICKFGTNFSKGVAISTELLTNMISYLKSLKKPDVQSA -IAKLRMFKDDVTGEEIQFITEFTTMLIKNHESNKLITNDWMNNKMADLLDLAPPGIRKFFKCYKQSKLAD -LLNGLGRVIVRIQTVIFDKEYKKEEKTLVVLGEIKKINQSDRRLLCWRNHTQYSVSDCKKVEKLMLSGLG -REQRIARKNEHILKSNCSVTDACKCYLKNQAFPGFDPRGLSKGTLNDVNSEGLKILVDQTISRARGNHLY -LTDYSCARVTAHYSSKTIKTKKEELRIEDDFVDVVDMDFLELAYEKEKYKQFLLEENNVKVENGDKEDDE -THIHKAVNTSMLPSPELSKEQLLPTNRKGNEGKQWKKRGSGWGRSNSLFQYEEFSVGDEKYVPIEKFNKV -NVNGDGNCLFHCMAIKSGFSVDQLKKLIRNSIEEMSLDDVQRDLLTRELNVEELPGSLSIGAISHVLEIG -IQVIEYEDGVMKCSQVNEEPYVNLFLQNAHFQLLEIKNICVVKCISKIIKRPCFYVMRRIYNACRHIYHE -LQEGHGLDITFLGELFNSLGLHVQVHIDGDIFEFGSVGPISEVIIENNHMSILEKPSLVDGSHSPKVERN -TLVPEDKIKSLTILNKRYIIPSEARVSRLYESFIDGYTGVIASEIFKNRAVKFDYSERLVSFHVGIFGSG -KSRNFVNFCKANQGYAILVISPRKELASDLQKKMDLSRKTSIKICTFETAMSFMPWSGNLVIIDELQLCP -PGYLDFLLAISNKETKFIATGDPCQASYDNETDRMIFDEVPTDFEYHMMGEEYSYNATSHRFINTNFRSR -MPANLRFTESVSEPWLVETDINEVRMSEADVVLVSSFGELNYYKKMFKGKRVITFGQSTGLTFDYVAVVV -SRTSFSTDEKRWLVALTRSRKTILFFFEETLSPETVLEIDPDHLLSLFLTERASVDTLFEKNFLNSKAKI -VNGFKIGADEVDREERLQGDPWLKSMIDLAQRTFVSEEEMVEAGIKENFGKVHVPIEEREVYRSKIVDLF -TPKERREMRIRNMQSQQFSDREEPRNKNLITNQAQKFESIYPRHRNSDTVTFLMAVKKRLSFSQPRKEMQ -RYLMNKRKGEEMADAFEKFVPIKSNFSREKFIEAKMEFESKKLEKSKATIENHAQRSNREWKIDEAMIFM -KSQLCTKFEKRFVEAKAGQTLACFSHIVLCRFAPYVRYMEKIVNENLPKNFYIHNGKNFDDLNDYVKKNN -FSGRCIESDYEAFDASQDSQILAFEVAIMRRMNMPQEFIDDYVWLKCNLRSKLGNMAIMRFTGEAATFLF -NTMANIVFTIMAYDLKGDECILFAGDDMCMNTVRRANNSYTHILKNLKLKAKVGITEEPTFCGWRLTIHG -IYKRPQLILERFMIAIENGNLENCIDNYAIECSYAYKLGDRLVSMFSEEENCAHYILVRYIVKKRHLLKC -SIRELFKNCDEQLVGKLKAVD - ->NP_066258.1 polyprotein [Hop latent virus] -MALTYRTPMEDIVISFEPQVQSLIANSAAELYKNLEKENCKYFNYYLPAVAKKKLSAAGIYLSPYSAVVH -SHPVCKTLENYMLYSVLPNYLDGKYFFVGIKNKKINLLKSRNKKLESVICINRLVTSADRLRYSNDFVTF -ESVSHEDLRRHGPGLSEPALQGIIEPLKRRKATHLFLHDELHYWGSDDLCNFLQVVRPETLLATIVYPPE -LLFRQNRSLNEWCYTYEVRGQNLFFFPDGVRSEGYEQPLNGGYLLGCNKVVLKDGSVYMVDVLCSKFAHH -LVAITRGAAVTSMHRSFGPFEACSSDSLAKLCPDYPVCFPVPHDVVNKIYRYLRTLKKPDVQSAIAKLSQ -IVSEPSGREIDFIEDFSKLVIKNESFNITIVPERLRQFVGTWLGRLPSALASKLEQVQSLCLSEFIKSLK -PHNFTIKLEALKYNHLWNDVRLWVSEEGLEDYSIEGIDAKFTTGSHVPPRVASPYQGLAHFCDRAWKPLL -LVDKKMLSSVLKRLAVQRFRGEHRRRISTAELESFVDSLLERAKLIQKQNRKGSFRERIAAHHYLNYDTI -RDAARAIASLCMRWVRALFSELGPRWFLRFNRSNLMWLPAPSDAILLSREAEQNWAEVVRSICTQLGKSS -YSWGQLTRTTPAEELPRATDTSGMLPVAASVGLAELSRAGTLGCSCGVAMDISSISVAEHPFVARDFLKG -RKAGWYSMGDVPYTYNGGSHKSQGWDSLLQMWCEANAVDPKYDSCLYQIYTEGAALGYHADDEDLFEQGE -SILTLNLSGAAEFGVKCKNGKGSVHLRGPQQFEMPAGFQVTHKHSVWGCSRQRESVTFRCLRKSRAIAQS -VPASAAPELLYEHAVEAQQVLVEKAERNADVVAYKLGSVDVNVCEALWETVSYAALDVPGDGSCFWHSVG -LLLGLNSLELKRRCVGYRFHAEGLDAELDKAAQDGAYADDTCVAATVAVINVQIRIWNKDVDKLFTFSKA -DVDKVIDLQLEGEHYMPMLIRNNCVVRAIADGLNRLECEVQHVLERKCAPVLLEDLRKGRGLEPMNLELV -FSCFGVCALVDVGGRTVVYNEGGGTHMQFTLYNEHLSYNPRKKKPSLDLVAGAKHGKLFAASVIESLQAM -GTMIARKPDITSAGLLADSFFEASTGVLRSSLFNDQSNLRKAFIENAGEESKPICTILGTFGSGKSYIFK -KLLSGGAGRAFDYVSPRRALADEFKRSVGLVKKKGSQKVGQENWKVSTFETFLDRASHLLEGQVVVLDEV -QLYPPGYIDLILCLLHVPVHLFLIGDPVQSDYDNEKDRAVLSCLAECCTALLRDETYKYNMRSKRFCNRN -FIGRLPCTILESDCTTEEPHVLRAHIDNLADLEPAYTEVILVSSFDEKVVAQSYQSKARVLTFGESTGLT -FDYGTILITSVAERVNEKRWVTALSRFRMNLCFVNCTSMSYEQLAVRYKGRFLCKFLCRGARTEDLQQLL -PGKPQFTEEYSKAIGKDEGVREAKVAGDPWLKTMVNLLQAPDMQEEEIAEMALPEEWFRTHLPREELEGV -RSRWVHKILAKEAREVRLGDIVSEQFTDDHSKQIGGRQLTNAAERFETIYPRHRANDTVTFIMAVRKRLS -FSNPARERAKLHDACVYGRALLDVFRKHVPLRPEHNHKFMEAALWNFEEKKLSKSAATIENHSGRSCRDW -PIDMAQIFSKSQLCTKFDNRFRLAKAAQSIVCFQHAVLCRFAPFMRYIEMKVHEVLPARFYIHSGKGLDE -LNSWVKGGKFDGVCTESDYEAFDASQDEFIMAFELELMKFLRLPHDMIEDYKFIKTSLGSKLGNFAIMRF -SGEASTFLFNTLANMLFTFMKYEIKGDEYICFAGDDMCASRELKVVGKYKKFLDKLKLKAKVQMTQKPTF -CGWHLCPDGIYKKPQLVFERMCIALELNNLANCIDNYAIEVAFAYRLGERAVNRMDEEEVGAFYNCVRLI -VRNKHLLKSDVRAVFEESPVS - ->NP_059937.1 replicase [Cherry necrotic rusty mottle virus] -MALHTITPAESVLAQFSSEEASRIGSSAIANFSRLEADNHNLFHYHLPAYAKKKLSARGFYLSPFSYETH -SHPVSKTIESHLINIKLPNYISEDFLIVGIKDNKLSVLRKEKKLRFLEAVNRCVSSHDVRRYGPSFHFEQ -AESNWKNDFSDLNLAPGVQSLLPRILFDKGKTRDAQIFLYDELHYWSMKDIVDFLEISRAKTVIGSFVFP -TEVLAGTYKSLNPWAYDFKIKGDKLIHAPDGVWAESYEQPLAAGQLLKYNKILTRLGPYSVQVRDSIFSH -CLVIINRDDLITENYRVYSDFDAISIKRLNYLGGNADDVIPVRYEVILSIFKYIRTLKKPDLQLGMAKHR -QLVDDPTGFEIRFIEDFVQFILENHERFNLIGQSFSNFLSAACIERLPGYMQRFFSSFKGYSLGRFIEEI -ESFNFTIRCKTYSRFGFKESWSELEEEECSKLDPFFSQLSLRREMSSDYPTFINQAVLSIFSEPHQNLIK -RLVTCFIAAWVGKTDEGYYTSLFSLKKSLSQKGHKLFLLHDDKYSSLVILANLMNSFIFKLSLKNEVSRR -LRLRGSIRGLIRCDLPMENPADKIQGRVINNYAKVVSELLAISNEGAITCILLETSWLSEQLLNLTNQFL -SPSAQKKNVQKQEEVIKKEQSEIKKKEAQRKKRDREDSKDSKNAEEKEEGITFKDKSSFASSQMEMDSLI -DGNYLKQRALPCDTFISSMIKVGPFSDSNVIEFVRNLSFEQGFQHSGRKALFFSEGNFSYGFNSVKYESH -GWPIVFKELYGDRFNSCLVQHYTKAATLGLHKDDEDCYEKDHEVMTINLFGKATLFFTSDSSEKIDRNDP -KKFMEITLSHGEYILMPRGFQQSYKHGVKDTQAGRISLTFRLQSRDLAGKKIPTLNNSNLEPDDDNEGGS -YYEEMNKCSISAAPSSMQCSLSTFPVKADGDCFWHAVSSIFGLEALELKQIVKERAAAENCIDAVHAQDF -KNEMEAKVYASNASVTATCFLMNIKLVIKLVGLDCDSWVVVEPLASSKEKTALGYLILNQKSHHFDLAVP -KEGCVIRAVSEFLKQNPTKILSVLSANCSKDLLHELMSGLGIQEFFLEEIFSVFDINAEVSDGEKTRNLN -PKGSRYAKFLAEQGHFSYCPGTKVSSNLGSFKAPSGGQMIPDDQYENFLRGNANVIPFTPSLPAAKKLAS -SLLSGQTGVINSKIISGQYDWLADSNKLCFDERKVGTIVGTFGSGKSHNVIELLRHNLGYQNLVISPRRS -LKEQFISMLDLVKARSKGKKASTEVVTFEVALKKSGLLKRVRIFLDEVQLLPPGYLDLICLIAGPTASIL -VMGDPAQSSYDSADDRMAFIGDKGCLDTLLEGKRYVYLSESKRFRNPMFLGRLPCTFDSSRVTLEKEEYA -VFDNFKAFKGDYLAPKMKTFLVSSFTEKTVVKANMGKNVSVFTFGESTGMNFDYVCVLLTQDSMLVDERR -WVVALSRAKMNISFINLSGLSLSEFCTQMVGGVVHKFFTGTATFNDLRELLPGEPIFSKKFQRLGNDEVD -REARLSGDPWLKTKIFLGQRSVPVLHEKVEDEGLKEIKVKVHCPVGSMGGLFSEMQSKLKAKEAREHRID -SIVTEQFAEVHKGRGKIMTAAPDNFESIYPRHKSGDTATFLMAARKRLKFSFPANERQKYLAAIPCGDTM -LKVFLEKVKLKPAFDHLLFDEARNDFEEKKLGKSMATLENHSGRSDPDWEVEKALIFMKSQLCTKFDNRY -RDAKAGQTLACFHHNVLCRLAPYVRYIEKKVFKALPRNLYIHSGKNFDDLQDWVIQNNFVGTCTESDYEA -FDSSQDANILAFEVSLMNYLNLPRDLIEDYKHLKFNTHSKLGRFAVMRFTGEAGTFLFNTLANMVFTFMR -YETSGRESICFAGDDMCANKLLRKKGDFEHVLNRMTLKAKVQYTTEPTFCGWRLGSFGIVKRPQLVQERI -LIALEKGNFIECIDNYAIEVSYAYKLGERLISIMSEKELDAHYFCVRTFLQNKNLFRSNALDYFSESGSC -SSPDRNFS - ->NP_047281.1 replicase [Grapevine rupestris stem pitting-associated virus] -MALSYRPAVEEVLAKFTSDEQSRVSATALKALVDLEESQHNLFSFALPDRSKERLISSGIYLSPYSFRPH -SHPVCKTLENHILYNVLPSYVNNSFYFVGIKDFKLQFLKRRNKDLSLVALINRFVTSRDVSRYGSEFVIS -SSDKSSQVVSRKGIGDSNTLRRLVPRVISTGARNLFLHDEIHYWSISDLINFLDVAKPSMLLATAVIPPE -VLVGSPESLNPWAYQYKINGNQLLFAPDGNWNEMYSQPLSCRYLLKARSVVLPDGSRYSVDIIHSKFSHH -LLSFTPMGNLLTSNMRCFSGFDAIGIKDLEPLSRGMHSCFPVHHDVVTKIYLYLRTLKKPDKESAEAKLR -QLIEKPTGREIKFIEDFSSLVINCGRSGSLLMPNISKLVISFFCRMMPNALARLSSSFRECSLDSFVYSL -EPFNFSVNLVDITPDFFEHLFLFSCLNELIEEDVEEVMDNSWFGLGDLQFNRQRAPFFLGSSYWLNSKFS -VEHKFSGTINSQIMQVILSLIPFSDDPTFRPSSTEVNLALSEVKAALEATGQSKLFRFLVDDCAMREVRS -SYKVGLFKHIKALTHCFNSCGLQWFLLRQRSNLKFLKDRASSFADLDCEVIKVYQLVTSQAILPEALLSL -TKVFVRDSDSKGVSIPRLVSRNELEELAHPANSALEEPQSVDCNAGRVQASVSSSQQLADTHSLGSVKSS -IETANKAFNLEELRIMIRVLPEDFNWVAKNIGFKDRLRGRGASFFSKPGISCHSYNGGSHTSLGWPKFMD -QILSSTGGRNYYNSCLAQIYEENSKLALHKDDESCYEIGHKVLTVNLIGSATFTISKSRNLVGGNHCSLT -IGPNEFFEMPRGMQCNYFHGVSNCTPGRVSLTFRRQKLEDDDLIFINPQVPIELNHEKLDRSMWQMGLHG -IKKSISMNGTSFTSDLCSCFSCHNFHKFKDLINNLRLALGAQGLGQCDRVVFATTGPGLSKVLEMPRSKK -QSILVLEGALSIETDYGPKVLGSFEVFKGDFHIKKMEEGSIFVITYKAPIRSTGRLRVHSSECSFSGSKE -VLLGCQIEACADYDIDDFNTFSVPGDGNCFWHSVGFLLSTDGLALKAGIRSFVESERLVSPDLSAPAISK -QLEENAYAENEMIALFCIRHHVRPIVITPEYEVSWKFGEGEWPLCGILCLKSNHFQPCAPLNGCMITAIA -SALGRREVDVLNYLCRPSTNHIFEELCQGGGLNMMYLAEAFEAFDICAKCDINGEIEVINPCGKISALFD -ITNEHIRHVEKIGNGPQSIKVDELRKVKRSALDFLSMNGSKITYFPSFERAEKLQGCLLGGLTGVISDEK -FSDAKPWLSGISTTDIKPRELTVVLGTFGAGKSFLYKSFMKRSEGKFVTFVSPRRALANSIKNDLEMDDS -CKVAKAGRSKKEGWDVVTFEVFLRKVAGLKAGHCVIFDEVQLFPPGYIDLCLLIIRSDAFISLAGDPCQS -TYDSQKDRAILGAEQSDILRLLEGKTYRYNIESRRFVNPMFESRLPCHFKKGSMTAAFADYAIFHNMHDF -LLARSKGPLDAVLVSSFEEKKIVQSYFGMKQLTLTFGESTGLNFKNGGILISHDSFHTDDRRWLTALSRF -SHNLDLVNITGLRVESFLSHFAGKPLYHFLTAKSGENVIRDLLPGEPNFFSGFNVSIGKNEGVREEKLCG -DPWLKVMLFLGQDEDCEVEEMESECSNEEWFKTHIPLSNLESTRARWVGKMALKEYREVRCGYEMTQQFF -DEHRGGTGEQLSNACERFESIYPRHKGNDSITFLMAVRKRLKFSKPQVEAAKLRRAKPYGKFLLDSFLSK -IPLKASHNSIMFHEAVQEFEAKKASKSAATIENHAGRSCRDWLLDVALIFMKSQHCTKFDNRLRVAKAGQ -TLACFQHAVLVRFAPYMRYIEKKLMQALKPNFYIHSGKGLDELNEWVRTRGFTGICTESDYEAFDASQDH -FILAFELQIMKFLGLPEDLILDYEFIKIHLGSKLGSFSIMRFTGEASTFLFNTMANMLFTFLRYELTGSE -SIAFAGDDMCANRRLRLKTEHEGFLNMICLKAKVQFVSNPTFCGWCLFKEGIFKKPQLIWERICIAREMG -NLENCIDNYAIEVSYAYRLGELAIEMMTEEEVEAHYNCVRFLVRNKHKMRCSISGLFEAID - ->NP_047278.1 RNA-dependent RNA polymerase [Cherry green ring mottle virus] -MALHTITPAESVLAQFSSEEASRIGASAISNFSKLEADNHSLFHYNLPAYAKSKLSERGFYLSPFSYETH -SHPVSKTIESHLINIKLPNYINESFLIVGIKDSKLSVLRKDKKLRFLEALNRCVTSHDIQRYGPSFHFER -ARANWRRDFSEKDLSKGVESLLPRVLFDKGRTKDAQLFLYDELHYWSMKDIVDFLEITNAQTIIGSFVFP -TEILAGVRNSLNPWAYDFKIKGDKLIYAPDGVWSESYEQPLASGQLLKYNKIKTRVGDYSVQVRDQIFSH -CLVIINRDSLVNEEYRVFSDFDAVSISLISFMGGNADEVIPVRQEVILAVFKYIRTLKKPDLQSGMAKHR -QLVDNPSGFEVRFIEDFVHFILEHNEQFNLIEQSFKNFLSAACVEKMPKFLRRLFSKFKGHSLGKFIEEL -QAFNFTIRCQHYSRFGFKNTYAEEEEEELNKNDPMISTLKKSSLGQLNVDTVAYPCLVYDGCCCVFTGIH -VNLIGHFVKSFINAWVGCSNLGYYEALIALAASIHQRGKKLFLLHDEKFMKLAILANLVNSLAFKMALKV -EVTRRLRRRNAIRGLLRFDRLSEHPEDKRERAVTLNFSRVVSEVLELATECPVTSILAETHYITKLMGFR -SNGNVAVGASEEGGSVQELKIPFHPENLQTGEICVDEKVKGERAPEVTKEEPPIGPDENLHSRFISSIIK -VGEFKDNAIRKFASDLTFENGYKHKGRTALFFSRGSFSYGFNSVTYPSQGWPAEFEEAYGSRFNSCLVQI -YEFGSKLGFHSDDESCYDDDLEVLTVNLFGEACIAFRRVDNVEVLKEQVNETSQGPENYVEVKLSDGEFL -LMPKGFQQGFQHSVKYASNNRVSLTFRLQSRDLNGKPIQCGPIQDSAKKKGVEEQYYEVMNGCSISKSKP -GDICSLSVFPVKADGDCFWHAVSSIFGLEAMELKSLVHERALSEKCIDKICEKDFKEEMQPKVYASNASV -TATCFLMNIKLIIKLVGADDEGFVTVEPLTESKDKISIGYLILNQRCHHFDLAVPKEGCVIRAVSEFLKQ -NPTKILSVLSANCSKELLAELMSGLGIQEFHLEEIFRVFDIKAEVCDGSELRIINKKGSREARFAVENDH -FSYCPGVKAATNLGAFRQPHGNSIIPEDRYNDFLKSNANIVPFTPSLSIAKTLANSFLSGQTGVINSRIV -AGQFDWLADTNKLCFDERKIGVMVGTFGSGKSHSVVELLKANLNYQNIIISPRRSLKDQFIGMLDLVSKR -KKGKKISTEVATFEVALKKNGVLKKTRIFMDETQLLPPGYLDLICLVAGRDSSILVMGDPAQSSYDSAED -RMVFVGSEGNLDRLLKEKRYVYLSESRRFRNPMFVGRLPCTFDAGRLTLEKEEYAVFDNFKDFKADYLSP -KIGAFLVSSFTEKTVVKANMGRNVDVYTFGESTGMNFDYVCVLLTQDSMLVDERRWVVALSRARINISFV -NLSGLSLQEFCSQMVGGIIHKFFTSTANYNDLRALLPGDPMFSKKFMRLGSDEVDREARLAGDPWLKTKI -FLGQRIARPEEIEVDDCNPPDLKIKVHCPVGSMGSTFAEVQSRVRAKENREHRIDSLITDQFAECHKGRG -KILTASPDNFEAIYPRHKAGDTATFVMAARKRLKFSIPAKEGQKFKAAIPYGESMLQIFLKRVKVQPNFD -HKLFSEAVSDFEEKKLTKSMATLENHSGRSDPDWENEKALIFMKSQLCTKFDNRFRAAKAGQTLACFHHN -VLCRLAPYIRYIEKKVFSHLPDNYYIHSGKNFDELRSWVLRNSFTGMCTESDYEAFDSSQDANILAFEVS -LMRYLRLPRDLIEDYKYLKFNTRSKLGQFAVMRFTGEAGTFLFNTLANMVFTFMRYDINGKESICFAGDD -MCANKRLRKKSEFEHILDRMTLKAKVQYTTEPTFCGWRLGDFGIVKRPQLVQERILIALEKGNFHECIDN -YAIEVSYAYNLGERLISIMSEKELDAHYFCVRTFLQNKCLFHSNAIKFFKEGEGCISPDRNFS - ->NP_056767.1 polyprotein [Potato virus M] -MAVTYRTPMEDIVNCFEPATQAVIANSAATLYKNFEEQHCQYFNYYLSPLAKRKLSMAGIYLSPYSAVVH -SHPVCKTLENYILYSVLPSYINSSFYFVGIKERKLQLLKSKCKNLDSVQVVNRYVTSADRMRYTNDFVPY -GSYEHECLVHKGVGLDNEALRGLVGPLRRHKAKNLFFHDELHYWSSKVLIDFLDVMRPDKLLGTVVYPPE -LLFKPTRSLNEWCYTYDIVGDTLMFFPDGVQSEGYQQPLKGGYLLGARSLKLPDGTVYMVDVLCSKFPHH -LISITKGEAAAPTHRAFGPFEAVASEALKATLSPDYPCAFPVSYEVVNKIYRYLRTLKKPDEQSAIAKLS -QIIAEPSGREIDFVECFARLVIHNSSMCATIMPEQLKEFMGNWLGKMPSVLARRFSSVRAVCVNKFIRGL -KPYSFTLRLNEITWWNIWENSYAWFFDTDAEVDVPEKLDSLFMGEGAGLVAHITSRPYVGTVPLADREWN -ALLCMDSQKLLHAMRRMFMRGAWGAHMCVISREFLLKYVEARLKSSCLIAKARRRGQHKEKLEAWEVLGL -KSSDALFRAMTYLCNARLEPMFSESGLRFFLTRGRNNLYGLTNYTEGKRAVTGVQNLWSNVVHEVSTKRH -KGMIRLEKARVTEQPRSEFASCVLEPEVWRDVEAALDIELGEVACACNARFVQGVVLSNQAGLNVREQVA -GASVGLYTKDRSNLKWGNSELLSNGWGRSLSVWMEINSVSQKFDVAVRLSYSKETQMNVLLPSLDGIERG -AGATVVNLRKCGAFIVRCARGWRLALAWMDHICLEVMANVAYGHECYMRSWGTMDVVVFLKRATVSEQVT -FESAQEVGPIEGKSDSGAPGVGVNLDLGGVVGSEYPANGAERYKRVSGPGDGCCCWHSFAYLVGMHHMEL -KRLCTSHVFENAALNVELEQCKASGAFVTHAAILATALRLRAEIRVHNAGTGRVHRFAPKQKNMALDLWL -ESEHYEPQVLRNGCVIESVAQALGTRNADILAVVEERCCEEVVESVQAGLGLNLHHVEIVLQCFDIVGHC -NLGDKEITLNAGGKMPFCFDISDEHMSFCGRRKDPICKLVSGALHGKMFAESALLDLENCGLKIDFEPNW -NRAGMLADSMYQGATGVLGSALFNNKRNMREKFVRNVSLSLHAIVGTFGSGKSTLFKNLLKYGAGKSLDF -VSPRRALAEDFKRTVGMNERGGRAKAGQENWRVTTLETFLARVEFLTEGQVVILDEMQLYPPGYFDLVVS -MLKVDVRLFLVGDPAQSDYDSEKDRLVLGAMEENMSVVLGAREYNYKVRSHRFLNCNFIGRLPCEINKDD -CTIDEPHIMRMHLENLLDVAEEYKSVVLVSSFDEKMVVCAHLPEAKVLTFGESTGLTFMHGTIYISAVSE -RTNERRWITALRRFRFNLCFVNCSGMDYQQLAGRYKGRVRSKFLCKTAIPDDLNSMLPGQALFKSEYPRL -IGKDEGVREEKLAGDPWLKTMINLYQAPEVEIAEEPEVVMQEEWFRTHLPRDELESVRAQWVHKILAKEY -REVRMGDMVSEQFTHDHTKQLGAKQLTNAAERFETIYPRHRASDTVTFLMAVKKRLSFSNPGKEKGNLFH -AASYGKALLSEFLKRVPLKPNHNVRFMEEALWNFEEKKLSKSAATIENHSGRSCRDWPTDVAQIFSKSQL -CTKFDNRFRVAKAAQSIVCFQHAVLCRFAPYMRYIEMKVHEVLPKNYYIHSGKGLEELDAWVKKGKFDRI -CTESDYEAFDASQDEFIMAFELELMKYLRLPSDLIEDYKFIKTSLGSKLGNFAIMRFSGEASTFLFNTLA -NMLFTFMRYNIRGDEFICFAGDDMCASRRLQPTKKFAHFLDKLKLKAKVQFVQFVNKPTFCGWHLCPDGI -YKKPQLVLERMCIAKEMNNLSNCIDNYAIEVAYAYKLGEKAVNRMDEEEVAAFYNCVRIIVRNKHLIRSD -VKQVFEVL - ->sp|P22657.1|RDRP_PVSP RecName: Full=RNA replication protein; AltName: Full=152 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -MYGPFLLKEFLNDVPLKPMHNTRMMAEAKFDFEEKKTQKSAATIENHSNRSCRDWLADMGMVFSKSQLCT -KFDNRFRDAKAAQTIVCFQHSVLCRFAPYMRYIEKKLNEVLPATFYIHSGKGLEELNKWVIESKFEGVCT -ESDYEAFDASQDQYIVAFELALMRYLGLPNDLIEDYKYIKTHLGSKLGNFAIMRFSGEASTFLFNTMANM -LFTFLRYKLKGDERICFAGDDMCANRALFIKDTHEGFLKKLKLKAKVDRTNRPSFCGWSLSSDGIYKKPQ -LVFERLCIAKETANLANCIDNYAIEVSYAYKLGERIKERMSEEELEAFYNCVRVIIKHKHLLKSEIRSVY -EEV - ->sp|P27328.1|RDRP_LSV RecName: Full=RNA replication protein; AltName: Full=ORF 1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -ARERMDEEELEAFYNCVRIIVKNKHLLKSDVRNVYEEQLD - ->sp|P37987.1|RDRP_CVB RecName: Full=RNA replication protein; AltName: Full=ORF 1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -PYMRYIEAKLHEVLPSRFYIHSGKGLEELNEWVIKGKFEGICTESDYEAFDASQDQYIVAFELALMEYLG -LPRDLIEDYAFIKCHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYNIKDSEHICFAGDDMCASER -LCIKKEHEGFLNKLKLKAKVFFVDKPTFCGWHLCPDGIYKKPQLVLERMCIAKEKNNLANCLDNYAIEVS -YAYKLGERAVNRMDEEELEAAYNCVRIIIKNKKLLKSDILGFYSNIEKQI - ->AOW71822.1 RNA-dependent RNA polymerase [Potato virus S] -MALTYRSPIEEVLTLLEPNAQSLISNVATSSFQESEKDNFAWFCYHVPANAKEHLSKAGIYLSPYSGYPH -SHPVCKTLENYLLYKVLPPLVNNTFYFVGIKEFKLNFLKKRIKQMSMIQAINRYVSSADKLRYGNEFVIK -FGTASAELKRHHGYSLDPALRDLLPNIKRDSNLFFHDEMHYWEKNQLINFLEHCRPNTCLCTIVYPTEIF -VGARRSLNPWAYEFEIKRDKLLFYPDGVRSEGYEQPVNCGYLLRTRKILLRDGTVYSVDLVCSKFSHHLV -AITKGDLITPAYRSFGPFEAIKSAGLQGISKGRPKFYPVPCHMISRLYRYLRSLKKPDKQSAMAKFSQMC -PEPSGDMIRFIEELSDLIINTGTLRVMIDADLCKNFFGNLGLALPAALASKIRSTRAVSLEAFIASLEPL -VVDCELQTISWAVPLLPLLFSETPDEPPEDALETMEQRWEGRVGLLSDRIPAPYRGDMWSESTRQMSYWR -IDHQRVKFLRGLMRLYVDSMCTEGLPITTTFEAYIAKLISCCSLLGLTLIKCITTAEYAEVSLIVESTRL -LDVLFAIGDLRWFLATRHSRYNAKFLDETADWARYKSEFECATYEKPRGLGHTGYLQTSVYSFCGVSTRW -SFNSNYLGEEDLGINTFDCGTMGNSEHQPATALECAPQGLTMGDSLSCACEARLSIRVLAFPTEHGFNLE -RRGSDDRATWYCKSHLDYVHKDTHYENQGWPTWLSKWMELHDIDEAYYDSMFAQELPAGGTLEHEVSAEG -LFAPDSRIAIAEVGGESLVSIECALGKRTRMLRLGEFLEMPKLCRSSHRLHMHCCASSGVTFTFRQISSL -DSAVGIDVGQSLAPPPPVMSEAPKANPNDVHHTREGVVVHASGKCPNSEKFHRVPNAGGGDCFWLAISHF -TGVSVHDMKQGLQQLTWESEAFDFELSQQLKPKAWAEEEAIIATSKQYRYRIVVMSADKEQTVTYSPKLE -AVQSMVLYHAGAHFEAALPRNDCVLVAVASVLRRRVEEVLSILGAQLGSEFLQDVLKGEGIDRDQLAAVF -KLFDICAHIHAESEAFVVNPDGRLHGTFNLSKDHIEHCKSKPIGVTKYTSVHDASCEIKPETLSMLKAMC -TMLPYSPCKLRAKVLADSLNAGSTGVLCDELFNKVGNLLEANEGRLQEGPREVGCLLGTFGAGKSMVFRK -VLNNNLGKSILYISPRKHLADSFNELIKAIKQKEGATSVQGFRTFTFERAILKSPQFRPDATIIVDEIQL -FPPGYLDLFALLVPGGVHIFLVGDPCQSDYDSEKDRSLFQAMKSDINLLLDDADYNFNCRSRRFKDKIFE -GRLPCTMGSMEGEASKFTIVEGVENCKAIHPNAEVCLVSSFDEKKIVQTYFPNSCHCFTFGESTGMTYKS -GVILITDTSQYTSERRWLTALSRFSHSIAFVNATGGNIQLVTRLYQNRALGRFLLRTARVEDLKSLLPGR -PNFKESFEGERIGADEGKREFKLEGDPWLKTMLDLLQKEDQEEVEEAVIELGEEWFRTHLPQCELEGVRA -RWVEKILAKEVREKRMGLLVSDQFTDEHSKQLGKQITNAAERFETIYPRHRAADTVTFIMAVRKRLRFSD -PIRESAKLRAAEMYGPFLLKEFLKHVPLKPMHDTCMMAEAKFDFEEKKTQKSAATIENHSNRSCRDWLAD -VGMVFSKSQLCTKFDNRFRDAKAAQTIVCFQHSVLCRFAPYMRYIEKKLNEVLPARFYIHSGKGLEELNK -WVIESKFEGVCTESDYEAFDASQDQYIVAFELALMRYLGLPNDLIEDYKYIKTHLGSKLGNFAIMRFSGE -ASTFLFNTMANMLFTFLRYKLKGDERICFAGDDMCANRALFIKDTHEGFLKKLKLKAKVDRTNRPSFCGW -SLCSDGIYKKPQLVFERLCIAKETANLANCIDNYAIEVSYAYKLGERIKERMSEEELDAFYNCVRVIIKH -KHLLKSEIRSVYEEV ->AAY18409.1 replicase polyprotein [Blueberry scorch virus] -MALTYRSPVEEVLTLFEPTAQSLIASAAVSVFQKHEKDNFEWFRYSVPAIAKEHLSKAGIYLSPYAGFPH -SHPVCKTLENYILYVVLPGIVNSTFFFVGIKEFKINFLKSRFDKLNMISALNRYVSSADKIRYGNDFVIR -AGVEHRALKRHRGLVDSPTLKALMPNVKSGSKLFLHDELHYWSKEELIGFLEICEPEVMLGTVIYPPELL -IGSDRSLNPWCYEYEVKKNKLLFYPDGVRSEGYEQPLTGGFLLQTSKIKLPSGQTYCVDLLCSRFAHHLF -AITRGELVTPENRSYGPFEAVHSGALACVSRGKPNYFPVSQNTILRVYRYLRSLKKPDKQSAMAKFSQIC -HEPCGRAVKFMEEFSDLIINTGTLRTIINPEQAKLFFGNLGRCLPPCFAARLKGTRTVCLDEFISMLRPL -SVDVKLETISMHSMTMVVTTWSHEAEDHVDLPKILDDKWVGVKVLERPESPYSGLAPFVEHMTQWRIHFN -IQKFLEQLAKLFVESCSVDGGVRTMSIPAFLKRIGTCRGLVGRAMLCCLTEVDIAKLRLIVRNCYPYSLD -FYRDRRRWLLRRASHRRPTYYIEDALHLNRLNQFEEELFEAPKRRMGLYTLVSVVATGSTDPFLSDCYYD -PVPVRQPVGAQALELGRKMEANRSSVEKAVGSTTVLELSPIAPSGDNTRAVACACGLTMAIFDAPHAALK -MDYYPDILKGREAAWYSKGDREYKYNGGSHVSRGWPRWLQLWMQANGIDETYDCMLAQRYRANGKIGFHA -DDEALFMKGAPVHTVNMDGDADFGVECVAGQKFTHLSGNVQFTMPCGFQESHKHAVQKTSEGRISYTFRR -LAECSGDDSIIEPTAKEVENSDFDSSLFGVQMSVGEPCGVVEDAFRVQSVPGDGNCFWHSLGAFTGLSVE -CMKAGLRNFTCGPEGAEKLKKQLEPNVWAEDEALCAACAHLGVDLVVYDEGQGHKMLYRRPGNKREALIR -LKDQHFEPLEPKEMCVIKAIAEAVKRTPMDILAVALKRMGENFKEQICGGRGVMLDVFMALAKIFDVNAC -VLQGTEQLMINPKGRIKGLFRQTADHMSYDGVPEKIKHGEVSVYKHDVALQAEDIVELRELSTLVEYTPS -ASRAKLLADCLHDGSTGVMCSELYNDKGHLYPIEKESTRATIGVLLGTFGCGKSKLFKEILSKLCGKSVC -YISPRKALCDSFDDEIRKIRGCIGERGIKHYKSLTFEKAILQASKLHKGSLVIVDEIQLYPPGYLDLLLL -LAGPSMRYFALGDPCQSDYDSEKDRSVLGSVRSDVFELLDGIEYKFNVLSRRFQCSLFRGRLPCVMYEED -LEPGAPLKLIDGLESIDTSAAYSRCCLVSSFEEKKIVTAYFGEKTKCLTFGESTGMTFTVGCVLITSISA -HTSEQRWITALSRFRAEIVFVNASGVAWDTLQSVYANRWLGRFLNRSAKLEDLRRMLPGNPVFVEGFQKN -LLGADEGKREFKLEGDPWLKTMVDLLQVEDMDEIEEAKEVLQDEWCKTHLPQCELESVRARWVHKILAKE -FREKRMGCIVSEQFTDQHSKQMGKHLTNSAERFEAIYPRHRASDTVTFIMAVRKRLSFSCPIKESAKLNQ -ALPFGPFLLKEFLKRVPLKPMHDKRMMEQAKFDFEEKKTSKSAATIENHSNRSCRDWLIDVGLVFSKSQL -CTKFDNRFRDAKAAQTIVCFQHAVLCRFAPYMRYIEKKLNEVLPSKYYIHSGKGLEELNRWVIEGSFEGV -CTESDYEAFDASQDHYIVAFEICLMRFLGLPNDLIEDYKFIKTHLGSKLGNFAIMRFSGEASTFLFNTMA -NMLFTFLQYDLKGNERICFAGDDMCANGRLHVSSKHKNFMSKLKLKAKVCNTLNPTFCGWNLSSDGIFKK -PQLVLERLCIAKETNNLTNCIDNYAIEVSFAYLMGERAKQRMDEEEVEAFYNCVRIIVKNKHLLKSDVAS -IYQTARVD ->AGG13270.1 Replicase [Shallot latent virus] -MALTYRSPVEEVLTSFTTSEQSEIARGAVQGLLKLEEFNHNLFNFALSPIAKQRLCSSGIYLSPFSYVPH -SHPACKTLENYNLYQVVCPLIDHRFYLVGIKQSKLCFLKSRNRKMDMVECINRLVTSRDKLRYGNDFVVQ -AGQSGTVKKNSRTVTFYPELASLVPHEIKFRSRALFLHDELHYWSKESLAIFLDQCSPELLLATVVIPPE -LLLKAKESMNKWCYEFEVKGPDLYFYPDGVRAEGYIQPASCIYLLKTNRMITPSGQVYCIDIISSKFAHH -VIAITRGEALVKKENSFSNFEAIGSAFASKLLHDDYPCFPISYEVVMKLYTYLSSLNSPDVKSAVAKLRQ -LVEHPTAFEVQFTIEFANLYIRCGVNLDIFTPSTKTLLLKSIAVLMPALLTKYSKKLMRVSFTSFVSHLK -PLSFNVHCETIDLKVQAKPCYDRLIPSSIDSLMNHLEFGKNVCGKEILSREPAPYGFALSEMCHLHGAPE -DLLIANMKFLGDLESFAFSSTDQVLAGAATVFRPLYQFLFSTLGEYCVDYCLMQATRKQRIEKNESAASK -LLALPWFTNEAREEERMYPKPVFNCLEHEEENWGAAEAVDDMEPMLLNLFNCVCNAGIQWTVAFHVELLD -VCMADRLLNRSAAFYSKGSFGYSYNGGNHIGHEWLANFDSFLALNGHDLNYFNCVLFQKYDGGHGIGFHS -DDEEIFEKNSRILTVCIQGDCEFRFRCAAGETGFFLEAPKQFMMPEGFQESHKHAVRGCSPGRISATFRR -AKVLPVDECVFLENENVDSENGTDDGSRLEGLNFIDNLGVSHTVQTNVDISPFVVREVSGENNMCFWNCL -SFLLNLDAHLLKNNLSKGLEKLKGATVHATLAKQLAPGAMAEDDVISLSCQIFSLEIVIHSLSSGCTTTF -ATEDAEKRIDILHNNEHFSLLFYKNDCFISAVAQTFSRDVNEMYKVLADKKFDEITELLRLGCGLTLEDL -EVGFKLLNIKAHINKDGEYLQINDSGEINGFYSLTDEHLVACPPFSKNLFATKTILNSNEHLAVTAIKML -REVGSELIYPASLDRAANFERSLLKGCTGIRSSTLFAGLTSRLKDGHKDHERPLTTVLGTFGAGKTSLIK -RAVVNFKKSGRVVHFVSPRRSLDDALIQSLGLTDRTSACVDGNRGGKRKGKKNSQNTFVRTFETFLIQNA -RVGGNDLVFFDEIQLFPPGYLDYASMLLPDAVQFFVLGDPLQSDYDSMNDRHVFLGYESDICNLLKGKEY -QYNILSRRFSNKMFASRLPCSFKSECFTTDEPYIMLQGLGANLDEIKNFSEVYLVASFVEKNFVKAHLGE -NVKVLTFGESTGLTFERGSVFITESALKTSECRWVTALSRFSRNLILINMLEITFESLANQRSTTVLGHF -LKGTADPSHTLENLPGKPIAQYGFTHCVGRNFGVREAKLQGDPWLKSEIFLGQEDDMQEIDEVAEIVQKE -IFKTHLPRCDLEGLRAQWNDKILSKEVREFKFRNLVTDQFTDCHSKQKGKILTNQAERFETIYPRHRASD -TLTFLMAVKKRLRFSKPHLECAKLKEAEPYGKFLLDEFLKRIPLKPHHRHDLMSEALHDFEEKKVSKSAA -IIENHANRSCSDWLADVGLVFSKSQICTKWDNRFRSAKAAQTIVCFQHSVLIRFAPYMRYIEKKVLEVLP -RKYYIHSGKGLDELNQWVCDNKFHGVCTESDYEAFDASQDQYIMAFEIALMEYLGLPRSLINDYKYIKTH -LGCKMGALAIMRFSGEASTFLFNTLANMLFTFLRYDLNGQESICFAGDDMCANRRLRINLKHEDFLGKLK -LKAKVAFTKRPTFCGWNLTHFGIYKKPQLVFERMCIAKETNNLHNCIDNYAIEVSFAYVKGELAVCHMDN -EELDAFYNCVRVVVKSKHLLKSNVRELFKNEVET ->AEI55831.1 RNA dependent RNA polymerase [Potato virus H] -MALTYRSPLEDIVAAFDPAVQSSISNTAAQSYKEYEQNNFELFNYALSAKAKERLSTSGIYLSPYSAVPH -SHPVCKTLENYFLYKVLPSYLDSRFYFVGIKNFKLELLKKRSKNLDLVSSINRFVSSADKLRYNSDFVRL -QSSKSASLVSKRVVLEDVTLRDLLPAAMARSAKYLFLHDELHYWSKRTLMNFLSELQPEVVLATVVIPPE -TLAGAKESLNRWCYEYEVSGSKLYFYPDGVRAEGYEQPLGASYLLKCGKLLLDDGQVYCVDVVCSKFAHH -LLCISKGDAVVPTRRSYGPFDATSARGLSNLTASLKRYIPVNFEVMSKVYRYLRTLKKPDAQSAMAKLSQ -ILPSPTSAEIKFVSEFADLVIETKGIHSMICPARLTLWLGKVGNVLPPCWREKLAAVKEVSLDEFVASFE -PYTLNVEVVNVSTSSVEYIVELFTAEVPVTETDLLKAMSRFDSGESVALDRKSQRYTGMAPLSGKAEFSL -ELNYNALPRFCADSFIKSYSRFDEPKPTIESIKVVIQHFSRVSLAFPMRKVEVLFGQAKFWRRVMLSVHA -KLARYSRASYPKDGVRWFQARRRANQKYLCGTGDHPSVVHAVHLAWTMVVQEVTAKGDADLPWIEHASEA -AECHITGSNSKAQPDEVSVCGKHALCAKMEVVYTTHSGLNGFDVGAASRSGLSYIAYGRHTTSRDALKSC -GFHVESEWPAWLDQWLEVVVLDTAYNACVVRMNQVTGGGMQMCLENLDKMSTENTALVCLSGKASLANCR -DDCDRTMEITEGGTLRLEREVRTLGEVGLKCANAMYVDVAMLQLVETTASVESAEVLTEVRSDRGEPALC -YDNLGAQVAIVSEAPMPKGVLRDVPGDGDCYWHCLSEVLDMSAKVIKKLCCERLLSVAPKLSEEIMRQVE -EGESACDELIAVSAALFGLCVIIHNEQRGDERTFKGQSATIQIKLLLKGEHFQLILPRNNCVLRAIGQAL -KRSEAEVLKVVNVKCTEALVGKIWEGEGVGLDELELVFSCFDIRAIVHRGNEQFVLNEQGKVVKSFSATD -DHLEYLERKRIPSAELLMGHKKCREVDERAVNELAMAGTSLVYEISTTRARVLAECLLEGLTGAFSSELF -DGKRSLMPTGTDVEVPRCARVHVIMGTFGAGKSTLFKKFMGKCSGRKVTYVSPRKVLADSFKSMMGLGRT -KGEGMLVGAENWSVRTFEAFLHTAFSVEKGEALLFDEIQLYPPGYLDLVCCIVPPTAHLFLIGDPCQSEY -DNEQDRAVFSDSQTDIMRLLDRSEYRYTVGSYRFLNPKFENRLPCSFLNFNEEDEEDLYVLREGVERLAE -VEEKYKEVFLVSSFEEKKLVKCYSSMDANVLTFGESTGSTFNYATLLITRASAAASERRWLTALSRARRG -LYIINATGLGMEGLIMGYSQRSLGSFLTGRSKKEDLLKLLPGKPIFQEVFYPSLCKDEGVMEEKLQGDPW -LKTMIDLLQMPDVEEAEELAEVMQEEWFKTHLPQCELESVRARWVHKILAKEAREKRMGMLVSEQFTDEH -SRNQGIQLTNACERFETIYPRHRANDTVTFIMAVKKRLRFSSPAKEKAKLHEASNYGRYLMEIFLKKVPL -RREHNTELMAQAKHEFELKKTSKSAATIENHSGRSCRDWLVDIGQIFSKSQICTKFDNRFRDAKAAQTIV -CFQHAVLCRFAPYMRYIEKKLHEVLPKKYYIHSGKGLDELNNWVIEGQFEGCCTESDYEAFDASQDQYIM -AFEIEIMKYLGLPRDLIADYQYIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFMRYEIKGNEYIC -FAGDDMCSSKRLQISRVHEAFLGKLKLKAKVQHTSKPTFCGWNLCADGIYKKPQLVLERMCIAKETNNLQ -NCIDNYAIEVAFAYKLGERAVSRMDEEELEAFYNCVRIIVKNKHHCRSNVVEVFSNANV ->ANI85508.1 replicase [Asian prunus virus 3] -MALTYRSPIEEVLNRFTSEEQSRVGSTSVSRLTQFEVNNHKLFSFAMSEKAKEKLIKIGIYLSPFSFEPH -SHPVCKTLENHILYNVLVKKLDNSFYAIGIKGSKVNFLKSRSRNLSMLEYVNRVVTSADKYRYGSDFTTL -SSKQINNLCSRQSLEQCGTLRELVPLVKMSKKRNFFLHDELHYWNPHDLMLFLDSTTPDHLLATVVFPPE -ILAGAKESLNPWCYSFQRHGSKLTFFPDGVQSESYTQPLSAGYILQASKIVCPSGQVYSVDLVYSAFAHH -VVSITRGDLPGLERRFFSNFEATGVKHLSGLSYSVRDCIPVSAELISKLYRYIRTLKKPDLQSCMAKLSQ -IVPNPTGVEIKFTEDFARLTMDVQPMRSMLMPETAKLIGALFASLLPFKMARFFECYKACSLDKFIHDLE -PFSFSVETKVINCRFSQTFEAVTLGETMGPEEVMQIIERMELSAPVRLENLTGKFKYASEAYHGLHHVLD -GCGPHVFRRLVRKIADSFCNEFGVYRKSSYVSSVAINVLQRKTNSNLSFIFGADLNPMVVGFVRNYFSSK -KASLLIWFSDRPSSWFQFSRLRANQKFLRSPIFPDQMGLSDFRIQKSITAEVRKFDRKLGLRRLGSFNLS -RSYQAQDQLSPFKGVNEANCVNEDIKPGLNSEVSFAMEMEFGRGSPSLSDSPEIMAPNPVSELAVREVSD -STAPERKHEGLVFGCIPDSSTGAFAFSSANQHERTFASVVNENIMDVGVLPPTELVLPNDAEATIVKGRQ -CFFFTRCECIDYGHNKIRYKPNKWFSGLDALLKDEGTYYNACLLQVYEAGAGIGFHSDNEKVYYRSPIKT -INFCGEAEFLVKAKGRRDFGVSATCQMKSGQFFTMDSNFQSYYQHSVQNCSEGRVSLTFRYHVNNIAGLP -IKHTCGEFGDTESLFDVLIQRNFSYSAKNFHTFPVPGDGSCFWHSLGALLGVDGEELKKISSREIQKNEM -LSLNSSLRKQMESKQYAERESIAAFCRIQSVHLVVLLPDHNFSYEFLPTLSSEVTQLFVKLSGEHFEPAL -PVNGCVVKSIAETLGQTEAKILSVIGRPTNRLILEGLVEGDGLNIEDLEAAFSIFGICARVSTEKGVFTL -NKDGNLHANYELKSGHIMYLKKAPNSQFAPSNPIQNFNGQGPELFLRGIVSEVDYKPSWERARNLEESLL -NGTTGILCDRTINLQKNWLTHDRKLYDEVRSLGVVLGTFGSGKSTLFKRFIVKNPSRSIVFVSPRRSLAD -QIKEDLGLKTRKGKSLRVRVLTLESFIKAIFTFKAASVVLDEVQLYPPGYLDLMMFCLSSNCQIYLSGDP -CQSDYDSAKDRALFDGLRGDIFEVLEAKKYKFNASSRRFQSKMFIGRLPCRMDTKAMTEDESFHWLESIE -AAAEVSNTEFDVVLVSSFEEKKIIWAHLGRDVEVLTFGESTGLTFNRGIILISHESTLTSERRWITALSR -FRLNIIFVNLIGNCTEDVCQVFHGRTLFRFLTKTATIANIVDQLPGYPELTNDFGDKVGRSEGVMEAKLS -GDPWLKTEIDLLQDEDQEMEELVEEVKNEPWFKTHLPLFELESIRAGWVHRIMNKEYREVRCGTETTTQF -PDDHPNRSKIALANAAERFEAIYPRHRGSDSVTFLMAVKKRLSFSRPSVESAKLNRAKPYGKFLLNEFLK -RIPLKGGLDSALFSKAKREFEEKKTSKSAAVIENHSGRSCRDWLADVGFIFMKSQFCSKWDNRFRDAKAG -QTLACFHHSVLCRFAPYMRYIEHKLQAALPDNLYIHSGKNLEDLNEWVIKSGFKGMSTESDYEAFDSSQD -HYILSFEIEVMKHLQLPWDLIEDYVYIKTHLGSKLGNFSIMRFTGEASTFLFNTMANMLFTFLRYDLNGS -EAICFAGDDMCSNRRLRVSKKHEGFLSKLRLKAKVQFTEKPTFCGWNLCLDGIFKRPQLVLERLCVAREK -DNLENCLDSYAIEVGYAFALGERVLQYMDEEALQNHYSCVRFTIKHSHMLKSSVRDLFLGSM ->AAF97924.2 RNA dependent RNA polymerase, partial [Verbena latent virus] -EKLQGDPWLKCMMDLLQMPDQEECEILQEEMQEEWFKTHLPRCELESIRARWVHKILAKEFREKRMGSMV -SEQFTDEHSKSPGIKHLTNAAERFETIYPRHRANEFDMFIMAVKKRLRFSNPAKEQAKLFGAKPYGRFLL -DEFLKRVPLKRSHNEEFMSRAKADFESKKVSKSAATIENHSGRSCSDWLFDICQVFSKSQICTKFDNRFK -VAKAAQSNVCFQHSVLCRFAPYMRYIEMKLQEVLPKNFYVHSGKGLDELNQWVKENKFDGVCTESDYEAF -DASQDQFIVAFELALMEYLGLPRSLIADYVYIKTHLGSKLGSFAIMRFSGEASTFLFNTMANMLFTFLRY -NLKGHEAICFAGDDMCANSHLRLKRDHEDFLGKLKLKAKVQFTQFVAIPTFCGWNLTPDGIFKKPQLVLE -RICIAKETGNLHNCIDNYAIEVAFAYKLGEKAVNRMNEEELEAFYNCVRIIVKKKHLVRCVCADVFRML ->CAK19323.1 RNA-directed RNA polymerase [Lily symptomless virus] -MALTYRSPVEEVLTLFEPAAQSLVAATATASYQQNEKDNFEWFNFSMPAIAKERLSTAGIYLSPYSGYPH -SHPVCKTLENYILYKVLPSIINNSFYFVGIKQFKINFLKKRFKHLSLVHAINRYVSSADKIRYGNEFVVR -ASSECRLLKRHRSIEQSCTLSSLVPNIKTGANLFLHDELHYWSKDDIIDFLEVCQPEIMLGTVVYPTEIF -AGAKHSLNPWCYEFEVKGRVLIYYPDGVRSEGYEQPIDGGYLLQANRILLPNGITYCVDVIASKFSHHLV -SITRGDLVVPKYRSFGPFDAVRARGAADIARKNTFFFPVSHLTILRVYRYLRSLKKPDKQSAMAKFSQLC -HDPSGEAIKFMEEFSTLVMETGNTRTVLRPELIKSFFGNLGRKLPSCFAAMFARTCSMCLDEFITFLEPL -TIDVTLQTLSKNSFYYALIDQGEAESFVDPFEELECAWEGRDSFLLDRPCAKYAGLLPLTDCKSKWALPF -NMERLRHGLLKLYMEASQSCYTGRSWTIQDYINSILTNTTVIGRAFLKSLTPELLDWLQYNADCLEPKPA -LWHDCGVRWFIHGTRNSAKIAFLESSVQIDARAQFESCGYMTPGRTRTFKLIPTLTDTEIYTFYEPEIIR -RSPPPLEPSGALQGTPLTVGNTVAETPQQPIPIAGALACDCGIIMNLKDVLGHTERIDEFTDNLRGRQAA -WYSMDGRPYKYNGGDHVSKGWPNWLQMWMALNGVDKKYNCMLAQKYQVNSCLGFHADDEALFVAGESILT -VNITGEADFKVTCPNGAGELRLQEAQMFEMPPGFQQTHKHAVANCTAGRISYTFRVATTMAPELPVAPSD -EEDDGRRGYTDGAVEVSYQKLEGANFQYRIIKNQGGGDCFWLALEHYTGVKTRDMKKALLAACKPIPGSA -LAEQLRPTVWASDESIKAVCTHLGWDITIIDEMLNTKSFISTPGNENMAIIRRKRWHFEAIEPLAACTIK -ALASCLDRRFTEVSSLLYKRLGTDFMDNALAGQGMELETFREMLVELQICAVVEQAGGTIMLNDKGQTKG -IFKFLDGHAEHVKEAAFAPHEQLNVYKADLECTPEMYLPIREACTAMSYTPDVSRATLLASCLLNGSTGV -LCSELFNDRGTLMPTEPCTSEREMMLLLGVPGCGKSRAIRETLPRARGRALLYITPRPGLLDEFEEHLNS -LRKRMGAGACRNFKALTFEKALINGEKFAPGALVVLDEIQLYPPGYLDLLCCRLNPTIRLVLLGDPCQSD -YDSKKDRNVLGAIPSDILNLLEGTKYKYNVLSRRFQSEIFISRLPCTLQSNLQYKGSLKIVEGLDAIDVR -ARSSEVCLVSSFDEKKIATAYFGAQCKTMTFGESTGATFTTGSILVTCVSQHTNERRWITALSRFRRNIT -IVNATGVSIEIVQKTYANRAFGRFLCMSAKNADLLTLLPGEPEFTVGFTCEKYGADEGKREEKLQGDPWL -KTMVDLLQVEDIETIEEAKEIIEDEWCKTHLPQCELEGVRARWVHKILAKESREKRMGHLVSEQFTDEHS -KQPGHRLTNAAERFETIYPRHKAADTVTFIMAVRKRLRFSHPVKEAAKLNQALPYGPFLLKEFLARVPLK -PAHNARMMAESKFDFEEKKTSKSAAIIENHSNRSMREWAIDIGLIFSKSQICTKYDNRFRDAKAAQTIVC -FQHSVLCRFAPYMRYIEKKLNEALPAKYYIHSGKGLDELSAWVKIGGFGDVCTESDYEAFDASQDQYIMA -FEICLMRYLGLPHSLVEDYKFIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYDIKGNERICF -AGDDMCSNKRLFVSSKHADFLGKLKLKAKVAHTKTPTFCGWNLCPHGIFKKPQLVFERLCIAKETNNLVN -CIDNYAIEVAFAYKMGERARERMDEEELEAFYNCVRIIVKNKHLLKSDVRNVYEEQLD ->AHA59506.2 replicase [Cherry rusty mottle associated virus] -MALHTITPAEGVLAQFSSEEASRIGASAISNFSKLESEYHSLFHFHLPAYAKNKLSSRGFYLSPFSYETH -SHPVSKTIESHLINVKLPHYINEEFLIVGIKDNKLSVLRKEKKLRFLEALNRCVTSHDIQRYGPSFHFEK -AKSNWKSNFSDVNLSAGVQSLLPRVLFDKGRLFDAQLFLYDELHYWSLKDIVDFLEISRAKTVIGSFVFP -SEILAGARTSLNPWAYEFKIKGDKLIYAPDGVWSESYEQPLAAGQLLKYNKIMTRNGSYSVQVRDSIFSH -CLVIINKDDLINEEFRVFSDFDAISIRRIGYLGGNADDVIPVRHEVVLSIFKYIRTLKKPDLQSGMAKHR -QLVDNPTGLEIRFIEDFVHFILEHHEKFNLIEQKFSNFFSAACIEMLPKYMQRFFNSFKGYSLGKFIEEI -EPFSFTLKCRVYSRFGFKTSFTDEEELINARNDPMCLLIGNSKGLGGFNCDYSDSIFNAHISVFANAHPT -LMRTLVKSFINVWVGKTEDSYYKSLFALKKSLNQKGSKLFMLHDERYNSLVILANLTDSYLFKNLLRNEV -STRLRKSGCIRGLLRNDLPPSCPDSKREVRFLSCYNYLISDLKRISEECSPIAALTQTAGLDAQLIEMKR -PFGVVKQKCLHKETAPKPLLKEIAEHRAKSDGDVTFESAIASESDSREKLLHSQLAEGGSIEVPSAVINS -CSSDAFISSIIKVGPFKDTATMSFVEGLDFSTGFKHKGRTALFFSNGGFTYGFNNVLYKSNGWPAVFREL -YGERFNSCLVQRYDDSATLGFHADDERCYDQDHEVMTINLFGSATISFSKGSFPPPSLSNPELYFEMKLD -HGDWLLMPKSFQRSYKHSIKNTTVGRISLTFRKQARSMDGSAIASISPENGHNNGEGEHNFYFEEINKCS -IVAAPETVKCSLSIFPVKADGDCFWHAVSSIFGLDAQELKQLVNERAIAEGCIDQQHMRDFLHEMEPKVY -ASNASLAATCYLMNLKLIIKLTGLDDDSWVVVEPLALSNEKASIGYLVLNQKCHHFDLAVPKEGCVVRAV -SEFLKQNPTKILSVLSANCSKELLHELMSGLGIQEFHLEEIFSIFDICAEVSDGVSSRVLNRKGSRAAKF -VVDKDHFSFCPGTKASTNLGAFKAPSGCAMIAIEKYEEFLKANANIVPFTPSLSLARKLADSFLSGQTGV -INSKIVAGQYDWLSDTNRLCFDERKIGAIVGTFGSGKSHNVIELIRHNLGYKNLIISPRRSLKDQFISML -DLVSARSKGKRASTEVVTFEVALKKNGLLKKARIFIDEAQLLPPGYLDLICLIAGSDSSILVMGDPAQSS -YDSAEDRMMFVGDKGCLDRLLEGKRYVYLSESKRFRNPMFVGRLPCTFDSNRLTLEKEEYAVFDSFKSFK -ADYLSPKISTFLVSSFTEKTVIKANMGRNVSIFTFGESTGMNFDYVCVLLTQDSMLVDERRWVVALSRAK -INISFINLSGLSLSEFCSQMMGGVVHKFFTSTATFNDLRELLPGDPIFSKKFQRLGRDEVDREGRLSGDP -WLKAKIFLGQREEKVESIAVDDEGLKDIKIKVHCPVGSLGSTLADIQAGVKVKEAREFRIDNLVTEQFSE -SHKGKGKVLTAAPDNFEAIYPRHKAGDTATFVMAARKRLKFSFPAKERQKFMAAIPYGESMLQVFLKRVK -LQPNFDHKMFEEARSDFEEKKLQKSMATLENHSGRSDPDWGVEKALIFMKSQLCTKFDNRFRNAKAGQTL -ACFHHDVLCRLAPYIRYIEKKVFKSLPRNLYIHSARNFDDLRDWVISNNFTGVCTESDYEAFDSSQDANI -LAFEVSLMEYLRLPRDLIEDYKYLKFHTHSKLGQFAVMRFTGEAGTFLFNTLANMVFTFMRYEINGRESI -CFAGDDMCANKLLRKKSEFEHILERMTLKAKVQHTTEPTFCGWRLGNFGIVKRPQLVQERILIALEKGNF -SECIDNYAIEVSYAYNLGERLISIMSEKELDAHYFCVRTFLQNKKLFNSNALDFFSENEGCSSPERNFG ->AHG23050.1 replication polyprotein [Cowpea mild mottle virus] -MALTYRTPMEDIVSSFEPAMQSAIASKAALSYKALEEKNFQVFNFHLKAEAKQKLSAAGIYLSPFSAVVH -SHPVCKTLENHILYSVLPNYVNNRFFFVGIKNSKINLLKSRDPNLSMISAINRYVTSKDVCRYGNDFVVR -SSKEINGLSRHRATLAEATLKDLVPNLLNIKAKHLFMHDELHYWREKDLITFLEVVSPEVLLATVVYPPE -LLVGAKQSLNPWCYDFDIKGGKLLFYPDGVRSEGYEQPLKGGYLLTAKEIHLPNGDIYCIDLIHSKYAHH -LISVTKGAAVTCEYRSFDGFEAVSFGGLVNLSSSSNPFFPVSFDLVSKMYRYLRSLKKPDLQSAMAKLSQ -IVPEPSANEIKFVQEFAELVMSCSNVSSLLSVNRLRLFLCRVYQKTFPAWFLNKFTSIKELCLDDFISQL -KPFTFTKKTKIINLSYVEDMLDWIEFDVEEGKDLPNSIDEGFFHDSVFSSSKSSASCFLSQPYVGLAPLS -DSSDHERRIFSVPEKTLIKGLVRAIMNSLPDQALWEITNDQVKEVLITLLKGRSVLLNSKMCLQDELINQ -MITLIRARLVRFASKVRFEAGLLWFQSFKYHRVNVKYLTNYSEGLVLPRDASSAWNSLIGELRSCSSKVR -FIRLEESCNSNQINEGGGDGEKGVEASAHRDLEIAHQFNLLNVDSSILDKFGLAGLSPDELKSVGLLLPS -TSNTKAVDFLGTKDEEEEGGIETTESLTSSLEEVEAENADGAQREGNGSKANRVSLSIDNMQNYSVIQED -SDLFKLISNDLGYLRSEVVKRLENFGLFDLSTAPSNDDQVFELIEAINLLFSINLIIILKSPFGKNWRML -TLERADLNEAGKTLWIQEDGAGFRKVVPKNLCVVHAISKALRRRPDEVISVLSREDYRDLYAELMQGQGL -ELARLELIFDIFSIHAFVQIGSELLEMNKEGNCCACFDLREQHIEYLGASLNQIGCSLTSGSSSRHDFES -KVLIHLQNVGTELHFKAKTSRAKLLADCLHSGNTGVISSEIFNGKPHLLNSLKEEVGCPSSSTKLVLNQK -IIAVLGTFGVGKSSLFKQMTSGARGQTFDFVSPRKALLEEIKFDLKVGEDVKLKKRRGQQNWFFSTFEKF -LSRCKKLKEGQMIFIDEIQLFPSGYLDLILHLIQIPVNLVVFGDPCQSDYDNEKDRDLLGTISSNVEALL -KDRDYKYNVLSHRFKNGNFLGRLPCLMNEENFDADNLNYLIYNDLEDLAHLPLEYAQVILVSSFEEKRVV -SSYIPEVKRVLTFGESTGLNFEYGTILITMISERTNEKRWVTALSRFRRNLALVNSTGMSIDDLALTYKD -RFLGRFLCGKADPEQLRVLLPGRPIFTEGFPSKVGRDEGIREEKLVGDPWLKSMVDLFQIEDVQLEEVQE -ISQQVEDFKIHLPREEMEGTRTRWVHKILNKEFREVRMGSIVSDQFTDDHSKQRGGQQLTNAAERFETIY -PRHRANDTVTFIMAVKKRLRFSKPHVEKAKLSAALPFGRFLLNKFLDFVPLKRAHNVVMMEAARQEFFDK -KTSKSAATIENHNIRSCRDWLADVVQVFSKSQICTKFDNRFRVAKAAQSIACFQHSVLCRFAPYMRYIEK -KLHEALPRRFYIHSGKSLEQLNDWVLSAGFDGLCTESDYEAFDASQDQYIVAFEVELMKYLSLPNDLIQD -YLYIKTHLGSKLGSFAIMRFTGEASTFLFNTMASMLFTFLRYQIKGNENICFAGDDMCSSKRLTISNEYD -NFLKKIKLKAKVQHTVKPTFCGWHLSPDGIYKKPQLVFERMCIAKETNNLQNCIDSYAIEVSYAYKMGER -VTARMDEEELGAYYGCVRTIIKNKHLLKSDVKALYESLE ->AFV34742.1 RNA-dependent RNA polymerase, partial [Grapevine rupestris stem pitting-associated virus] -NNRICIAVIFREYNCNAMALSYRPAVEEVLAKFTSDEQSRVSATALKALVDLEESQHNLFSFALPDRSKE -RLISSGIYLSPYSFRPHSHPVCKTLENHILYNVLPSYVNNSFYFVGIKDFKLQFLKRRNKDLSLVALINR -FVTSRDVSRYGSEFVISSSDKSSQVVSRKGIGDSNTLRRLVPRVISTGARNLFLHDEIHYWSISDLINFL -DVAKPSMLLATAVIPPEVLVGSPESLNPWAYQYKVSGNQLLFAPDGNWNEMYSQPLSCRYLLKARSVVLP -DGSRYSVDIIHSKFSHHLLSFTPMGNLLTSNMRCFSGFDAIGIKDLEPLSRGMHSCFPVHHDVVTKIYLY -LRTLKKPDKESAEAKLRQLIDKPTGREIKFIEDFSSLVINCGRSGSLLMPNISKLVISFFCRMMPNALAR -LSSSFRECSLDSFVYSLEPFNFSVNLVDITPDFFEHLFLFSCLNELIEENVEEVMDNSWFGLGDLQFNRQ -RAPFFLGSSYWLNSKFSVEHKFSGTINSQIMQVILSLIPFSDDPTFRPSSTEVNLALSEVKAALEATGQS -KLFRFLVDDCVMREVRSSYKVGLFKHIKALTHCFSSCGLQWFLLRQRSNLKFLKNRASSFADLDCEVIKV -YQFVTSQAILPEALLSLTKVFVRDSDSKGVSIPRLVSRNELNELAHPANSALEEPQSVDCNADRVQASVS -SSQQLADTHSLSSVKSSTEAANKAFNLEELRIMIRVLPEDFNWVVKNICFKDRLRGRGASFFSKPGISCY -SYNGGSHTSLGWPKFMDQILSSTGGRNYYNSCLAQIYEENSKLALHKDDESCYEIGHKVLTINLIGSATF -TISKSRNLVEGNHCSLTIGPNEFFEMPRGMQCNYFHGVSNCTPGRVSLTFRRQKMEDDDLIFINPQVPIE -LNHEKLDRSMWQMGLHGIKKSISMNGTSFTSDLCSCFSCYNFHKFKDLINNLRLALGAQGLGQCDRVVFA -TTGPGLSKVLEMPRSKKQSILVLEGALSIETDYGPKVLGSFEVFKGDFHIKKMEEGSIFVITYKAPIRST -GRLRVHNSECSFSGSKEVLLGCQIEACADYDIDDFNTFSVPGDGNCFWHSVGFLLSTDGLALKAGIRSFV -ESERLVNPDLSTPAISKQLEENVYAENEMIALFCIRHHVRLIVITPEYEVSWKFGEGEWPLCGILCLKSN -HFQPCAPLNGCMITAIASALGRREVDVLNYLCRPSTNHIFEELCQGGGLNMMYLAEAFEAFDICAKCDIN -GEIEVINPRGKISALFDITNEHIRHVEKIGNGPQSIKVDELRKVKRSALDFLSMNGSKITYFPNFERAEK -LQGCLLGGLTGVISDEKFSDAKPWLSGISTTDIKPRELTVVLGTFGAGKSFLYKSFMKRSEGKFVTFVSP -RRALANSIKNDLEMDDSCRVAKAGRSKKEGWDVVTFEVFLRKVAGLKAGHCVIFDEVQLFPPGYIDLCLL -IIRSDAFISLAGDPCQSTYDSQKDRAILGAEQSDILRLLEGKTYRYNIESRRFVNPMFESRLPCHFKKGS -MTAAFADYAIFHNMHDFLLARSKGPLDAVLVSSFEEKKIVQSYFGMKQLTLTFGESTGLNFKNGGILISH -DSFHTDDRRWLTALSRFSHNLDLVNITGLRVESFLSHFAGKPLYHFLTAKSGENVIRDLLPGEPNFFSGF -NVSIGKNEGVREEKLCGDPWLKVMLFLGQDEDCEVEEMESECSNEEWFKTHIPLSNLESTRARWVGKMAL -KEYREVRCGYDVTQQFFDEHRGGTGEQLSNACERFESIYPRHKGNDSITFLMAVRKRLKFSKPQVEAAKL -RRAKPYGKFLLDSFLSKIPLKASHNSIMFHEAVQEFEAKKASKSAATIENHAGRSCRDWLLDVALIFMKS -QHCTKFDNRLRVAKAGQTLACFQHAVLVRFAPYMRYIEKKLMQALKPNFYIHSGKGLDELNEWVRNRGFT -GVCTESDYEAFDASQDHFILAFELQIMKFLGLPEDLILDYEFIKIHLGSKLGSFSIMRFTGEASTFLFNT -MANMLFTFLRYELTGSESIAFAGDDMCANRRLRLKTEHEGFLNMICLKAKVQFVSNPTFCGWCLFKEGIF -KKPQLIWERICIAREMGNLENCIDNYAIEVSYAYRLGELSIEMMTEEEVEAHYNCVRFLVRNKHKMRCSI -SGLFEAID ->AGU09694.1 RNA-dependent RNA polymerase [Apple stem pitting virus] -MALLSRTAAEEVIASFTSEEQSRISTQAVLTLTNVERDKHDLFNYALPELAKMRLFNSGIYLSPHSYRPH -SHPVCKTLENNILFNILPSYLDNSFYLVSIKKNKVDFLKRRNPDLQMVETINRYVSSIDKTRYGGFFHVS -PSKISAKFKCDRRTGFESDASLVDLIPGCMEGARKRFFFHDELHYWTKEALITFLDHVKPEVMLASVVFP -PEILAGAKESLNPWCYTFKIVEKDLVFFPDGEQSEAYIQPISGSYLLRTGKITTPSGDVFQLDLLKSSFS -HHLISITKGEAIGQKMRFFSGFEAVSMKGLNPLRRKVESCLPISKSTILKIYRYLRTLKKPDLQSAMAKL -SQVCKDPNGYEIKFFEEFSKLCLKCETLNTNMLPDMRRIVQGFFLKLFPNPIARNFKVVQQLHLDNFIET -LEEFNFSVGTEDLSLSWKDDLEFINLTFGETDFNVEASFSEAWGLKKDCVDIITVHHAPYSVTKFEKYDH -QFHSLLSVDSTLALTKIARIVLSSYQACTPESFSEARVSNLAVNIIIAANLRACFAVTDLWTTFEKILIK -EGKRAKGRMRKKFFHELGVRWFLFLDSANQKFLPSGRDGLVSRLASFDRFIKGCQRFNLLHEGRMSLRQT -FKNFKLQPLLTDVDLPRVEVVETLDSHHTNPGDDLSRKEAHRDLEVVPIENVGCSVVPCNCPLNCFVQSA -DVNALHGNLVFLDFIGGARGRGASFYSRDLKGYNYTGFSHQSRGWPDFLDKFLDENKIPRKFYNQCLIQE -YSTGHGLSMHRDDEDIYDANHQVLTVNYTGEAIFCIDCQGAGFEVKLDGPQMLLMPFRFQRNHKHGIKSP -SKGRISLTFRLSKDDSEQVPITEVVSIEHGDSDDRAALKQMERKAGKNGGRPDNDSSETPAGHAKMIEDS -SGSAPVQEFLIQIDSSLLEYALKSLSGLSKDVLNCDMCLCNSPWLKNEELKFSEALRDLAFASGFNPTDR -FSLAKGIEGVRGVNRIISELPTHVFPLRGTTHIVDLDDKSIKGDVKEGFFSGFRRWKITSCSTDLIVLAF -LKPKMTLGGELRSHEDECELSDLTEKLHGCSVILSRKFEPDLFHSFDVEADGNCFWHSVGPLIGVDGEYL -KRILHDQARKDGVKCPRLSRQLDGNTWAEREAVAYFCSHYGIRLNVLYTREECTWIFKPHEVLKAATLIC -QDNHFKPCMPVNGCVIRAISSALNRREVDVLAVLGKPAHEDLFEEVAEGRGFSIFDLTRLFEIFSICGSV -DTGGELIMVNENGKIPAEFSLEKEHLAHIPTLSRRKFSPIVSDLNRVSNSAMRFLAINGAEIDYRPSIDR -ASTLLDSFELGATGVLCQGIKEAQKDLASKLVPESVHDRKLIMILGTFGCGKSSLFKKFIEKSPGKAITF -VSPKRSLAESINHDLGLSRVGGKKTGKSKDLKNVRVKTFELFILHLDSIKEGHTVVIDEIQLFPPGYIDL -IILGLKPNVNIIIAGDPCQSDYDSSSDRHIFAGSESDIMRILSGRSYKFNILSQRFRNPVFYGRLPCNLR -VLNKTRLTLDEEEYTLWDSIQEFSMMGRKDCPVVLVSSFEEKKIVAAHLGLKMKCITYGESTGLNFQKGA -ILVTYESALTSDRRWWTALSRLNHDIHFINGMGVTWDNAITHFVGKPLHKFFTKRACNDDIIDLLPGRPE -LIEGFQSQVGADEGVREAKLAGDPWLKTRIFLGQSADIEPEFVEEVEAAEDWFKTHIPIMSLEAVRAQWV -DRILSREAREFRIGDITTEQFTDEHSKNRGLELTNAAERYEAIYPRHKGTDTATFLMAVKKRLSFSSPAA -EHAKLRRARPFGKFLLDTFLKRVPLNGRHNESMMSEAVHAFEEKKLSKSMATIENHSGRSCEDWPVDKAL -IFMKSQLCTKFDNRFRSAKAGQTLACFQHAVLCRFAPYMRYIEAKVFEVLPKNLYIHSGKNIDDLAEWVT -AHKFNGVCTESDYEAFDASQDHFILAFELEVMKFLELPPDLIADYTFIKTHLGSKLGSFAIMRFTGEAST -FLFNTMANMLFTFLRYNLNGREAICFAGDDMCANSRLKVTNRFSKFLDKIKLKAKVQFTATPTFCGWGLC -EHGVFKKPDLVLERLQIARETRNLENCIDNYAIEVSCAYKMGENLNLYLTPQEVDAHYNCVRFIVQHNHL -LKSNIRDLFKGEPSPASA ->CBX24840.1 replicase [Apple stem pitting virus] -MALLSRTAAEEVIASFTSEEQSRISTQAVLALTNVEKDKHDLFNYALPELAKMKLFNSGIYLSPHSYRPH -SHPVCKTLENNILFNILPSYLDNSFYLVSIKKNKVEFLKRRHPDLQMVETINRYISSIDKARYGGFFHVS -PSKVSAKFKCDRRTGFENDASLVDLIPGCMEGARKRFFFHDELHYWTKEALITFLDHVKPEVMLASIVFP -PEILAGAKESLNPWCYTFKILGKDLVFFPDGEQSEAYIQPVSGSYLLRTGKITTPSGDVFQLDLLKSSFS -HHLISITKGEAIGQKMRFFNGFEAVAMKGLNPLRRRVESCLPISKSTILKIYRYLRTLKKPDLQSAMAKL -SQVCKDPNGYEIKFFEEFSKLCLKCETLNTNMLPDMKKIVQGFFLKLFPNPISRNFKVVQQLHLDNFIET -LEEFNFSISTENLPLNWKDDLEFANLTFGDTDFNVEESFANMWGTQKDVVDITTVHHSPYMVSKFDSYDH -QFHSLLSVNSVTALTRIAKVVLSLYDPCVVGAFSECRVSNLAVNVIIAANLRACFAVTDLWQTFEGILFK -ECKKAQGKMRKRFHFELGIKWFLFMDVSNQWFLPPCRDGLISRSVLFVQFIKGCQRHNSLHNGRMALKQV -LRNFKLQALLDESELSVIHVVEIEKAPEVKLVTENEPNSNSISPEIIPITTVGCNVVPCRCDLNCFIQQA -DASLSLGNLVFLDFIGGSKGRGASFYCRDLKGYSYTGFSHVSRGWPAFLDKFLSDNKIPLNFYNQCLVQE -YSTGHGLSMHKDDESIYDINHQVLTVNYSGDAIFCIECSGSGFEVPLNGPQMLLMPFGFQRGHRHGIKSP -SKGRISLTFRLAKGDNCQVPIQEVVTLEHGDSDDRAALKQLEERSRLDGGKSVGESIEQTREKITGDSSG -SAPVQEFLIQIDSSLLEYAMKSFSGLAKDVINCDMCLCNSPWLKNEELKFSEALRDLAFASGFNPTDRFS -LAKGIEGVRGVNRIISELPTHVFPLRGTLHIVDLDDKSIKGDVKEGFYSGFRRWKITSCSTDLIMLAFLK -PRMTLGGEIRSHEDECELSDLTEKLHGCSVILSRKFEPDLFHSFDVEADGNCFWHSVGPLIGVDGEYLKQ -ILHDQAKKDGVKCPRLSKQLESNTWAWKEEAVAYFCSHYGIRLNVLYTREECTWIFKPHEVLKAATLICQ -DNHFKPCMPVNGCVIRAISSALNRREVDVLAVLGKPAHEDLFEEVAEGRGFSIFDLTRLFEIFSSCGSVD -TGGELIMVNENGKIPAEFSLEKEHLAHIPTLSRRKFSPIVSDLNRVSNSAMRFLAINGAEIDYRPSIDRA -STLLDSFELGATGVLCQGIKEAQKDLAAKLVPESVHDRKLIMILGTFGCGKSSLFKKFIEKSPGKAITFV -SPRRSLAESINHDLGLARVGGKKAGKCKDLKNVRVKTFELFILHLDSIKEGHTVVIDEIQLFPPGYIDLI -ILGLKPNVNIIIAGDPCQSDYDSSSDRHIFAGSESDIMRILSGRSYKFNILSQRFRNPVFYGRLHCNLNK -SRLTLDEEEYTLWDSIQEFSMMGRKDCPVVLVSSFEEKKIVAAHLGLKMKCITYGESTGLNFQKGAILVA -YESALTSDRRWWTALSRFSHDTHFINGMGVTWDNAITHFVGKPLHKFFTKRACNDDIIDLLPGRPELIEG -FQSQVGADEGVREAKLGGDPWLKTKIFLGQTADVEPELIEEVGAAEDWFKTHIPIMGLEAVRAQWVHRLI -SREEREFRIGDITTEQFTDDHSKNRGQELTNAAERYESIYPRHKGTDTATFLMAVKKRLSFSSPAAEHAK -LRRARPFGKFLLDTFLKRVPLNCRHDETMMSDAVHAFEEKKLSKSMATIENHSERSCEDWPVDKALIFMK -SQLCTKFDNRFRSAKAGQTLACFQHSVLCRFAPYMRYIEAKVFEVLPKIFVSSPLENIDDLQRGVTQINV -IVCAQNLIMKHSMLHKTISSCLRIGDHEVLKMPSDLIADYTFIKTHLGSKLGSFAIMRFTGEASTFLFNT -MANMLFTFLRYDLNGREAICFAGDDMCANSRLKVTNRFSKFLDKIKLKAKVQFTVTPTFCGWGLCEHGVF -KKPDLVLERLQIARETRNLENCIDNYAIEVSCAYKKGENLNLYLTPQEVDAHYNCVRFIVQHNHLLKSNI -RDLFKGESLPASS ->AHJ80314.1 replicase [Cherry twisted leaf associated virus] -MALHTITPAESVLAQFSSEEASRIGASAISNFSKLEADHHNLFHYHLPAYAKKKLSERGFYLSPFAYETH -SHPVSKTIESHLINIKLPNYITEDFLIVGIKENKLGVLRKEKKLRFLEAINRCVTSHDIQRYGPSFHFEK -GRANWKTDFSEVNLSAGVQSLLPRILFDKGRVQDAQIFLYDELHYWSMKDIVDFLEISRAKTVIGSFVFP -TEILAGSEKSLNPWAYDFKIQGDKLIYAPDGVWAESYEQPLAAGQILKYNKIITQQGVYSVQVRDSIFSH -CLVIINRDCLLTEEFRVYSEFDAVSIRRLNYLGGNSDDIIPVRYEVILSVFKYIRTLKKPDLQSGMAKHR -QLIDDPTGFEVRFIEDFVQFILENHERFNLIGQKFSNFLSSACIDMLPRYLQRFFKSFKGYSLGKFIEDI -EPFNFTVKCRTYSRFSFKQSFIEEEEERGKTGDPIYAQLQRSGTFKSTEYPTSLFHASLSLFSNPHANLM -RQLVLSFINTWVGKTEEDYYTSLLSLKKSLSQKGFKLFLLHDARYYSLIQLANQMNSFGFKATLKNEVAK -RLRLGGSLRGLIRYDPVSENPSDKRDQRVHQHFLVAISELLNITSECPPSSVLTRTTGLQNELAKMRKGF -VRDQVPIPKNLHGEEKDNEEKKECKSAEREKEIEKTDQGTSSFSGEEKQTRDDSQLSNDHEQLTHQEETI -ENKGVVVKHSSSETFISSIIKTGPFSDSSTIEFVRGLSFNDGHTHNGRKALFFSRGNFSYGFNSTIYKSS -GWPSVFEDLYGARFNSCLVQKYEKSARLGLHKDDESCYDEDHEVMTINLFGTASLVFTSDDSNGLEKQDP -SKFLEINLCHGEYLLMPSGFQKNFKHGVSHTSAGRISLTFRKQIRTMDGTILEKNENHGDSSEGKNNDGD -HYYEEMNKCSISAAPDSIKCSLSVFPVKADGDCFWHAVSSIFGLDALELKGLVKERSLAEGSVDQAHMKD -FLHEMEPKVYASNASVTATCFLMNIKLIIKLTESEHSGWVVVEPLASSTEKTSLGYLVLNQKVQHFDLAV -PKEGCVIRAISEFLKQNPTKVLSVLSANCSKELLHELMSGLGIQEFFLEEIFKVFDICAEVSDGEKSRII -NSKGSRSAKFTVEQDHFSFCPGVKASTNLGSFKAPSGSQTIPIEQYEAFLRSNANIVPFTPSLTAAKKLA -NSFLSGQTGVINSKIISGQYDWLADTNKLCFDERKIGAIVGTFGSGKSHNVVELLRHNLGYQNLVISPRR -SLKEQFISMLDLVQARSKGKKASTEVATFEVALKKTGMLKKVRIFIDETQLLPPGYLDLICLIAGPDASI -LVMGDPAQSSYDSADDRMAFIGDKGCLDTLLENKRYVYLSESKRFRNPMFIGRLPCTFDQNRMTLEKEEY -AVFNSFKDFKGDYLSPKIKTFLVSSFTEKTVVKANMGRNAMVYTFGESTGMNFDYVCILLTQDSMLVDER -RWVVALSRAKINMSFVNLSGLSLSEFCTQMVGGVVHKFFTGTATFNDLRSLLPGDPIFSKKFQRLGSDEI -DREARLAGDPWLKTKIFLGQREVKPTEEVMPEGSLPDIKVKVHCPVGSMGTTFAEIQSRLKVKEAREQRI -GSIVTEQFAEVHKGRGKILTAAPDNFEAIYPRHRAGDTATFVMAARKRLKFSLPANEQQKFLSAIPYGDT -MLKVFLNKVKLKPNFDYRLFEEARNDFEEKKLQKSMATLENHSGRSDPDWEVEKALIFMKSQLCTKFDNR -FRDAKAGQTLACFHHNVLCRLAPYIRYIEKKVFNSLPKNLYIHSGKNFDDLRDWVIKSNFSGMCTESDYE -AFDSSQDANILAFEVSLMNYLNLPRDLIEDYKHLKFNTHSKLGQFAVMRFTGEAGTFLFNTLANMVFTFM -RYETNGRESICFAGDDMCANKLLRKRKEYEHVLERMTLKAKVQHTTEPTFCGWRLGPFGIIKRPQLVQER -ILIALEKGNFNECIDNYAIEVSYAYNLGERLISIMSEKELDAHYFCVRTFLQHKNLFSSNALEFFSESEG -CKSPDRNFG ->CAH55773.1 replicase [Poplar mosaic virus (ATCC PV257)] -MALTYRSPIEEMLNSFTTIEQSLVSATALQGIRKEENNNYENFSYALPVKSKEKLVRSGIYLSPYSAMPH -SHPVCKTLENYMLYRVLPGYLDSSFYFVGIKNSKLDFIKQRNSTLSMTIAINRYVTSADKLRYGSEFTCV -RSKQSGSLLAKHGGFSSDTLSTLVPSLISVKARKLFIHDELHYWSKNDLRCFLQVVKPEMVLATLVYPPE -LLAGSKISLNPWCYEFDIYKDRLLFYPDGVRSEGYNQPVGGGYLLKTSRIVLPNGDVYCVDLIHSKFAHH -VIAITRGDRVVPCTRTFGDFDAISVESLVGVCGNVQACYPISYSTVSKIYRYLQTLVKPDGQSAMAKLGQ -IVAEPTGHEVKFVQDFSRFVIGTHSISSIIMPDYKKKIAGFLAGLLPHFASRMFAAYREVTLDNFISTLR -PFSFIQPLSSLDESLWDELHFYDMSFDVEEHVDIPSKMDESFKKDGKKAIPDRDGEPYSMLVDAQSGHVD -YIELEIRNLVAVLARYIARSFGNSQVCEFSFDMVADACKNVLLRSQGLGWLLLHELGREVIEMIRRKAGA -YCAHLRVTFSSGPSDLIWFLSRKRGCRLYIKDYYHGAYFDHGDVFSAVLEDIQRWKIPAIKGGLPLVREI -EVVAPTDAEMCKLLTERLKDPNMPPTAVSAEACACGANLGLGVFEGAEFVNIFMPDKVGSRNCGWYSMDG -SPYSYNGGSHTSQGWPEWIDVLLTLNGVGQVGYDCLLAQEYAQGGKLGFHRDDEPNLDVGAPIFTVNLYG -EATFMLKGKGHLTKLYLKPSQCFTMPHGFQESHKHAVEGCSKGRVSLTFRVLNKRGLALVKRLSSPEPAN -GPEGDDSSTASSAEADDGAKMVGVTGAHSRVPTVRSPMEVNYDLYGVPIEAETFEGLDRFKRVQVPGDGY -CFWHSIGFFMGLEGTELKKICKRLSKDLIESDLSLAKQYEGATFAEAEVIASVVHHYDFSIQVYYPEDNV -LWNFRCAGGEKVYTIICEGMHFEPLVPKNDCVITATVEALGRSYADVFGVLSRVSNSHILAEVNSGEGVS -SFILEEYFKLFGIQASIIWDGELICLNEIGREKKAFEIVEGHMTHLPARKAQNLPQLLSKNVEVFSRGAL -SILRKAGTEIHYNVSRRRACELADSLLQGSTGAICSATFNLCGSLIEHVEVKDHAREVTAVLGTFGAGKS -RLFKEFISKSPGRCVTFVSPRKALAEAVKTEIFGESREGKKKGRNGKRDGKSANWNVFTFEVFLKIAAKS -KPGQVVIIDEVQLYPPGYLDLALTLMRSDVNVFVVGDPCQSDYDSEKDRAVFEGVPSDISRLLANQTYKF -VCRSRRFKNEIFIGRLPCKLQSSDCELREEYYCCSNFEDVDSLAEPYRKVFLVSSFDEKRIIRAHYPDSG -VQCLTFGESTGMTFKYGTILITPGATNVNERRWLTCLSRFAYNVAFLNMSGVSYPTLVDMYSNRALGKFF -CGRASLDDLLEHLPGDPNFEDSFQHKIGKAAGLKEEKVAGDPWLKCMLDLSQEEDMEAEEVYEVCCAEPW -FKTHLPRSELESIRAGWVHKIMEKEAREVRIKDLITNQFTDQHSKNHGVKLTNAAERFETIYPRHRASDT -ATFLMAVKKRLRFSKPHIEMAKLREAELFGESMLKLFLKHVPLKEGHNCEFMERAKRDFELKKTSKSAAT -IENHAQRSCRDWLADVGLVFMKSQLCTKWDNRFRNAKAAQSIVCFQHAVLCRFAPYMRYIEMKLNEVLPP -NFYIHSGKSLEELDVWVKRYKFSGVCTESDYEAFDASQDQYIMAFELAVMKHLRLPADLIEDYKYIKTHL -GSKLGNFAIMRFSGEASTFLFNTMANMLFTFMRYDMNGSEAVCFAGDDMCASKHLRVQSEHDKFLDKLKL -KAKVQFTEKPTFCGWNLCPDGIYKKPQLVLERMCIARETNNLANCIDNYAIEVAFAYKMGERATNRMSEE -ELNSHYSCVRTIVQNKTLIKSDVWGVFSRGSE ->BAF95196.1 replicase [Chrysanthemum virus B] -MSLTYRSPLEENVGAYDSSVQAAIASTSANYYREAEVNNFQFFNYYLRPIAKRHLIEAGIYLSPYSAVPH -SHAACKTLENHLLYNVLPPLVDNRFHFVGIKQSKLEFLKLRNSKLSTISKINRFVTSADKARYGSDFVKK -VSKPHVGFKRHELQVETPTLRDLLPSLKEREAKYLFLHDEIHYWDPKQLITFLEVIRPEVVYATLVYPPE -ILSGATMSLHKWCYTFKIVGKDLLFYPDGVLSEGYQQKIKNGYLLKARRIVLPNGDVYMVDLIHSKFAHH -LISITRGELLSTDIRSFGPFEATACSGLEPLVRNMSNCFPVPYSVVSRTYLYLSTLRKPDIQSSMAKLRQ -LVDEPTGIEMKFVKDFAALVISASDIQTMICPQRVKLFFGRWCKQLPKFIATKLEVVKTVSLDDFVTFMA -PYCFDVQLETVDFNYDGFFPLYDVAEADFGVALPELIDETFTMGNVAVLCDRITRPYVGRHDRAIVEKKE -TLLKIREASLKRLVINLIIGNCFSNGIYQISASKTTVYIFHIFENCSNLLIPLKEVFRKLNNKEWVSEAI -QIAISHMARRVKITWFWSTLLWFLSETRLNVWSLDDKPEGKAMPRDFILQFATIVSDITGSCNRQKLIKR -GCPPQLRYCRAKLGECDKCKGTDGKRNVENGASEGATPEQHANNPEATETSEGNEMPDSPADQGDGSCPP -AAEEHKTSGFEEVVELPPLLCICGLCIGVSTMRLPSGHNFKAPDQLKKRKAGWYSLGGVPYHYNGGSHTS -LGWPDWIRDWMFCNGIDSNYYNCCLYQVYEKGGAIGFHQDNESIFEVGSMVHTCNLDGHASFGIMCTKRA -TDYDLKPGTHFTMPENFQLTHKHALLNCSANRASLTFRRMKTSGSGHGDSAQKGTTNGGVAEDEEGKTSS -GKDEPPVDNSSSFGEETEDVPNGRTAETPGMTRPTQVNGNGKTGGGASSDVLHELDLELGHLKIRACPAA -LNLDNFVRHCVAGDGNCFWYSLEKATALQWNSVKEIAARCMFTQNELQEKKEAQFHEGAFAEDEAIICAV -ATLKKNIIIYVEEQRAVYEYAGICSNADSIYLLLRNEHFEYIEPKNSCLLHAIAHALNRQFHEVLTVVER -VLGSCLSGETWVGQGIDVADLEFYFGLFDIRACVISGPSAATYNEEGRVVANFILSDGHITYSSKNPSVD -IKILKGEQTGLSVSNTSVIELNANGTMLTYRAELGRARVLADSLEAGSTGIISSKLFNQRPNLGAQIRTE -GGFKDSQVCCILGTFGAGKSSLFTEFTKKNPGKGVFYVSPRKALAQEHESKCMSLGKRRAGTFGRETKER -GNRERLGVAKHWKTLTFETFLKQVHMVKPGMALIIDEIQLYPPGYLDLVCLLLVEGVHIYVGGDPCQSDY -DNERDRAWLSSMRSDIEQLLEGQNYKFNVQSHRFNNENFRGRLPCEIVSKDVAETLSEEHLLYTGCEELV -QIMDEYSKIFLVSSFEEKKIVETHFPMNEQRKVYTFGESTGLNFKVGTIIITNVSAATSEKRWLTALSRF -SENVCFVNLLGTDWNGLFGLYKHRALGLFLSRRAQLKDLLDHLPGHPQFQSGFSTFIGRDAGKREEKLIG -DPWLKCMIDLGQTEDVEEVELLEEVMQEEWFKTHLPQADLEGVRARWVHKIMLKEAREVRMGDIVSEQFT -DDYPKELGTNLTNAAERFETIYPRHRASDTVTFLMAVKKRLRFSRPAVEKAKLQEAKLYGKFLLNEFLKK -VPLKQAHNTNMMERAKFDFEEKKTSKSAAIIENHAGRSCRDWLIDVGLIFSKSQLCTKFDNRFRGAKAAQ -SIVCFQHAVLCRFAPYMRYIEAKLHEALPSRFYIHSGKGLDELNEWVIKGKFEGICTESDYEAFDASQDQ -YIVAFELALMEYLGLPRDLIEDYAFIKCHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYNIKDSE -HICFAGDDMCASERLCIKKDHEGFLSKLKLKAKVFFVDKPTFCGWHLCSDGIYKKPQLVLERMCIAKEKN -NLANCLDNYAIEVSYAYKLGERAVNRMDEEELEAAYNCVRIIIKNKKLLKSDILSFYSSIEKQI ->ALJ56053.1 putative viral replicase [American hop latent virus] -MALTYRGPIEEIVGCFEPAVQSAIASTAANGYKTLEEENFHAFNLALSAIAKEKLSKAGIYLSPYSGVPH -SHPVCKTLENYMLFSVLPSIIDNRFTFVGIKNFKLDFLKARVEKKGKRNVNLIESINRYVTSADKIRYGN -DFVIASSKPCKMLKRHAPLLGKAALRELIPECVQRRARHLFMHDEIHYWDVRDLCGFLEVVRPETLLATL -VYPPELLSGAKESLNRWCYTFEIKDSNLFFYPDGVRSEGYVQPLRNGFLLKTNRIVLADGTVYGVDLICS -KFAHHLVAITKGSELNVDYRSFGPFEAVACNGLSKMARKVNGCFPVSFDLVSRVYRYLRTLKKPDVQSAM -AKLGQLVPEPNGAEIKFVEEFSKLVIRTNTINSTICADHAKLFLGNMLGCLPGIFLQIFGVAREVNLDSF -VAALEPYTFTLKMTTIDDNYKEVIDFLNFGECEEGYDLVGAMDSKLYGPRIGVLNRTQQPYVGLAPVRDE -KKVLLQFTKEQALRALVFILHKSFNDSYQIGISKPMLIRWLREVRSKQRNLWCKHIDELLVDEAELTRVC -KRVLAKGIPKNRPYMPKRLEAVPTRCAVPREAKLAYSCVVSDILKRGAKWGAEEPAKKLKIETPLVIESA -HDIPPPEPAEEEVVHEPLVCGCSENLSYRTLPHAGLIHEYFPDKLRNREAAWYAKNGTCYRYSDITHQCL -GWPSWIEKWAEVNDLDISGYDCLLAQRYRGGGAIGFHADDECIFETGGSILTVNIKGRALFSLAGNVCRK -VWELNDGECFTMPRGFQKTHKHAVSGCSEGRISFTFRKLKTTCVTDKPAPETPQDKASDDGANGFQSIEK -LVLDADTQMGDSSDSGGELDSVASNREPSLTYEVGCVEFTWQPAELSCNNFKFVKVNGDGNCFWYTLEHF -TGVNHRRIKEAARTGASYDSEFNVRLAEQLQDRVMAEEEAISAASYALKARLVIYDFEKQCIVSVGNEVF -KQTYFIGLNNEHFSPVLPVNDCLAVAIAAHLDRKVEDVINVLSKQMDEASFMDLWCGKGVDVSFLPLIFE -MFNIEALVTGKGEDILINAGAEFKGVYDIVGEHITYLPERKVPHHQVLNTNVGSKGVNKGSLQLLRGSGT -MLDYAPEMSRALRLAKNLFQGRTGAISSGLFNDKASLEPDVHNGDFSSRAVCVVLGTFGAGKSTLFKKFM -QANKGKCVHYVSPRRGLADDFASAMGIERGPRKKLNVASQNWRVNTFEKFIAVIKSIGSGAAVIIDEIQL -YPPGYLDICLALLERDVHIFLVGDPCQSDYDSEKDRASYAFELSDIEMVLKEREYRYNIMSRRFQNANLV -GRLPCYIDQEQIKIDENYLIYDRVEDLADLPDEYRDVILVSSFDEKKIVESYTPDAKQILTFGESTGRNF -RCGCILVTEASKYTSEKRWITALSRFSRNVVFVNATSTSFEQLLLVYKGKVLADFLCKRSTEEFFTKILP -GKPVFRKGFSNAVGKDEGIMEEKLQGDPWLKTMIDLLQIEDIEETLIDQEEMQKEWFKTHLPQCELESVR -ARWVHKILAKEHREKRIGCMISEQFTEEHSKEQGVQLTNACERFETIYPRHRANDTVTFLMAVKKRLRFS -DPNKERAKMHEAGNYGRFLLKEFLKKVPLRQKHNKELMAQAKADFEAKKTAKSAATIENHAGRSCRDWLA -DIGLVFSKSQICTKYDNRFRSAKAAQTIVCFSHAVLCRFAPYMRYIEYKLKEVLPEKYYIHSGKGLEELN -DWVIKGRFDDVCTESDYEAFDASQDQYIVAFEIEVMRYLGLPADLIADYLYIKTHLGSKLGNFAIMRFSG -EASTFLFNTMANMLFTFLRYEIKGRERICFAGDDMCANTKLVISREHEWFLGKLKLKAKVENTKRPTFCG -WNLCSDGIYKKPQLVLERMCIAKETNNLHNCIDNYAIEVSYAYLMGERAVCRMNEEELEAYYNCVRIIIK -NKHLLKSDVREVFQEVC ->ABA60784.2 RdRp polyprotein replicase [Potato virus P] -MALTYRSPVEEVLALLEPSSQSLIAATATQNLQQSEQELYSWFCYSMPALAKEHLSKAGIYLSPYAGYPH -SHPVCKTLENYLLYSVVSNLVNPSFYFVGIKESKLNFLKKRRKNMSTVTAINRYVTSADKIRYGNEFVYY -ASSEIKGLKRHRELLDSDSLRALVPNVKEGCNLFFHDELHYWSKEDLSTFLEVIKPQCVLATVVFPPDYC -RLKRSLNPWCYEYEVHKGRFNFYPDGVRAEGYEQPLNGGYLLKANYIELPNGDVYSVDIVCSKFAHHLVS -LTRGRLVTPKRRSFGPFEAIDSKGLRSLDSKGQVFFPVSLNVVSRLYRYLRSLKKPDKQSAMAKFSQLVP -DPSGEAIKFVEEFSSLVIGTDTLRTALCADKLTAFFGNLGAALPPAMASRISSARSVCLDQFVFSLRALT -VELDLVSLKKGASFFEWDVFNAEPEEHEMDLLCRMDNGWGGQSLERTRSPYVGLLKMGSYRHAIYVDFDV -KYFAGRVVALYLDTYAPTHDEIGGTFIQFITKLKACCGLLGHLLLSNLDCRTLKWMKNWAEMQHEVKIQF -KRGELSWFLEKRRRSYVHRLCTEEQLDWWGEWECPVLEVHDGVARCDIFGAKEESAGPGFCMFEEVFALS -DHEALPTFTVPEIEPNYGFNCCNKVKLRGPFSTIGEHAFMEEVVTQGVGPESMVCQGWERNVGENDASAG -TGQKWLDMYLELHRSELSEYDVAVIKNLAEGALVTVRRVLQGQVDEGSVLEVYVLNGEVNLVAKCAGEGL -YTLKEGHVLTVEDFQAHEMGIVPTAEGSRVLIVGKMASVGQVGNGTDGESKEVTTEISAELEETGVVITH -ELKPDVGKVRRHKNPGGGDCFWYALGHFTGLGVQAMRSSLKRAQIGNAKFKEELDKQLVGNAWAQCEAIV -AACAHFGLDIHVYDVKQEHMVRYCREGNDGSCMLWCEGWHFEAVEMVEACVLRAISELLGRRIQDVQKVV -HGKLGKDFEEWLLSGEGIDSGRLPQIFECFDIKAFVYDGETALVMNEGGTTTGVFELSDKHLSLIKDTLE -AKHAITNKRNESLAVEPAELRDLYNISSSVSYKPDEGRGRLLVKCLVEGNTGVLCSSLFNGEGSLYPEQP -NLSSVRLHFILGVFGCGKSTLFKKFLIQAVGKSLIYVSPRKALCLDFTKLIGDLKKKRGEGSTKYFSSST -FETALKKADRYRGGSVIILDEIQLFPPGYLDLMLMRIQEECTLFCIGDPCQSDYDNERDRATLGAIPTDV -MHVLRDAGYRYNILSHRFRNEDLNGRLPCLMEMKGGLHKKLRLIEGLDCMEELSDVKSVCLVSSFEEKKI -VAGFFGSECKCYTFGESTGLTFDRGCLLITAASATASERRWVTALSRFREEIVLVNAGTAAWPLIQLTYA -KRVLGRFLCKSSKVDDLIALLPGKPDLVRGFDIEKIGADEGKREDKLAGDPWLKSMINLFQTEDQEEVEV -VKEVLEDEWFKTHLPTCEMEGVRSRWVHKILSKEICREKRMGYLVSEQFTDEHSRQLGKQLTNAAERFET -IYPRHRAADTVTFIMAVRKRLRFSDPVRENAKLKMAMPYGPFLLKEFLKRIPLKRMHNHREMMASALHDF -EEKKTSKSAATIENHSNRSCRDWLADVGMVFSKSQLCTKFDNRFREAKAAQTIVCFQHSVLCRFAPYMRY -IEKKLHEVLPKKYYVHSGKGLEELNDWVLKSKFTGVCTESDYEAFDASQDQYIVAFEICLMRYLGLPNDL -IEDYKYIKTHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYDLSSSACICFAGDDMCSNQNLRVSK -EHEKFLGKLKLKAKVQKTTNPTFCGWSLTKDGIFKKPQLVYERMCIAKETNNLHNCIDNYAIEVSYAYKL -GERVKEYMDEEELEAMYNCVRVIVKNKHLLKSDVSSVFSRGLVE ->AGG13282.1 Replicase [Garlic common latent virus] -MALTYRSPLEEVLTSFSASEQSLIAEPAITSYRGLERDLFHFFNYNVGPYAKEKLIGAGVYLSPFSGIPH -SHPVCKTLENHLLYRVLPSILDNSFLFVGIKESKLNFLRARHQNLSMVELVNRYVTSADRTRYPNDFHLS -ITAEQCFNRYDGFKHLGPGLRKLLPHCITVKPRRLFLHDELHYWCERDLVTFLSAVKPEKVLGTIVYPPE -LLKGVKFSLNKWCYDFDVEGDDLIFYPDGVRTESYTQPLSGCFYLKVGTLKLLDGSVYHIDVVHSTFCHH -LISITAGEAVRAPTNSFSNFDATTCRGLPNVAFRSLGPCIAVPYPVISRVYRYLRTLQKPDLQSAMAKLS -QIMPEPTGYQIKFMTEFSKMVIDTPVGASFFQVAIFEKFKGFLTTFFPKYVAARFDVARIRSLDEFVEHM -CPYTFKIKAVVHDMRLHQLLFPRDEEMPRDEDFDTIRALEMQWGGGANGERIGAAYTVGPFCDYPVIDAQ -KVFQLLRAISREWSFSFSMVQYCERGDYTEFMQASKLVLFSSKLAGWDVCLATYNRYVHMRHSDWQRRQK -NFRSIGCAWFCMRVRSYERYICAYPEQAKSYKALDEVYSTVVADLLGGFAQNKLVISAAAPKVDKTSKVP -EEGVAEEIPANCARASTTLGPTPCDSTAPSEVCDFELNLPVQVADLSCSCDCTLSVLNVLAYEALELEFP -DQLPGCRAGWYVKEPQVTNVGGFLGCQQLRWLGFFDSFLELHGAQPGSYDCCFAQVFDLDSTFEYCDRGG -GVFEEGAPAYLFQTCGTSRVHLQGSGCCGERALLGSVCCEMPASLLHEHAWKISKSSAGCTIITFRKLCQ -GEPQVVETAAPTVLNEVSVDSSFTWEQYGVTILSSHMSKAPDGRVEPQRGDGSCFFHCMEPFTNLEASLL -RRTIAKEMGRDSKVLESDLLECQGSGPVSDGVIAYTIRFLGLKVRFFSPELNEVRKFSTCTATNFWVDVL -HHGNHFDLLYPTNDCVLVALEHGLGRKRGDILKVLCRPQHSDIFQIATGGVGLTLELLEPIFQCFQIDAR -VLCGSEVFTYPASGTFALDFELADGHLTFKSARRRETVSSSLKVVEASPHGRLVLNCAGTGVLFEICSNI -ALKLAESLFDGRTGIVSSKLFNNREKFEVSSTSLLPRTLNVICGVFGCGKSTLLCKALEKGLGVCIFVTP -RRSLAEQMTQLVQSVETSTSVVILTFEKFLHQMVNVKRGSTIIFDEFQLYPPGYFDLVCSQLTDGVSLHL -LGDPCQSDYDNAKDRGVFEGLLPDHQRILQGIQFRYATRSYRFSNPNFVGRLPCTISTTNEADFEEFEIL -EGIEQVQEVDVECYLVSSFIEKQAVRALVGLDKMVQTFGESTGLTYNCVAVIISEASKLASERRWITALT -RARKRVTFITNLGCSKHLIAEIFSNRALGRFLTCTASIDNLRSLLPGEPNFIEELVPTIGANLGVVEEKV -SGDPWLKTMLFLGQVADVADEIDVDEALQIEPFKTHVARSNLEGVRALWHDKIRLKEHREKRMGYLVSEQ -FTDMHSKNMGKKLTNAAERFETIYPKHKGSDTVTFIMGARKRLRFSKPAVEARKLMDASNFSEFMLQEFL -KHVPLKKAHNQAFMDASLADFEEKKTSKSAATIANHAGRSCRDWLIDTGLVFMKSQHCTKFDNRFRDAKA -AQAIVCFQHAVLCRLAPFVRYIERKIAEVLPEKFYIHSGKGLEELNAWVTRGGFEGVCTESDYEAFDASQ -DQYILAFELHVMKYLGLPRDLIEDYKFIKMHLGSKLGNFAIMRFSGEASTFLFNTMANMLFTFLRYEIKG -HERICFAGDDMCANARLRHRLDQEKFLGLLKLKAKVSFTQKPTFCGWNLCSDGIYKKPQLVLERLCIAKE -TNNLACCIDNYALEVAFAYKMGERAVLRMDEEELQSHYNCVRIILQNKNLIKSNVLTYFSA ->AQM49940.1 replicase [Agaricus bisporus virus 12] -MTSEAVTNSYSPVGGVAPTSEELFSDGYLTINYDYIPACVPESALATASFLDVGCAYPDESMYCYMSAFE -GGWHEELDEEFGESADFADLCRWWMRKRIEGFVEADEYFNSKRALILLRRWQDCAHPTAEDGAPILEVHG -PMDFSRFEGTSWGEAVHQDAAGRTRAFETEMHERGAAAVPKQLSPLLTSLGVPHTTSDLRGHAHGAHSAI -SAHVLLDKVFPKLVGDVLVVNAKKAKLEKYQGCAPNARLFLENPRFEMKDEDRYRGTAAWLGDCPAPTGV -WLECAQFVTDLQIGRALAASPACEQLLITGIACPEVTKDVSSLWPEIAELRYESEGRATYLLEGLVDGQY -PVHKSRELNTLQPRDVCVVGPDYCVRFKYAVVESVMNHVVILVRPIRDASHAALVLPTFRYSRVGWTEIP -DVFVSGSAAHSNRGRLLLPTNLLLDVINYLPTLNEKTQNVALHAKIDNMKKSPQYAAFPGEMWERLYHVG -VALRSYKFGDAGESLFDVGVLKAILLPIIYGFGRWCWKQKWHLLESAVLAALPHMMPGRVLRMLAHVAVA -AKQVFEVWNGDLAATKLALAKGLAWEFLPKLPVLLIELGLVAGTAIGWRLYARRAKLDLYMQMVANEWAC -VYEPCQRVVLDGEFQTASNLLDFTESRDISEDPSDFDAAKDQPPYINDYVSRSLPVDAQRLSASAYADEL -AAQARAETMRKAKEAVRANSDQIAQSKESEVAEVGALSSDEAAGLEDVAEQSTSASKGKERATGGDDEKV -EIENSDEDSEERTIPTKKVKYAYDAWAKTPASWHTEGQERVDEIVAQESAAEEERANEVGTSRRTESTGE -VEQSETVVVVVEDADGELMTETQSLAPLGEVETEVVPPAPIPAEVPAELDSEMIDSEYTLPPADPLRPFN -SVRVPGTDANMPDSERVAHTVSFQYDGEFGGVSAIEEDRGKAISLPVLFGIAASLFLNATQAWCVMKPGV -LGEPPYTGQCAVRAFAVLVGRKPEGVWADLCDLVPCATLEDWTEHGAPRAAFELMGVLHGVKLDLLGANG -LSRQTLGSAKARSSVGAITYDKVNKHYVVSAINQKPRVPKLQGPPKPRAPPRAKLSAFLKAMDAFKDEHG -EPVPGEWKTYTADPKRAAQFMKELADGRVGLMSKLEGERYVKDLAKKLEKTVKSSGARSAQVRMITGYNG -CSKTSPIAAFLATRAQDAKEALWMTVAPRVPIREDLYKKIGPRGEPSRHNTFENGLTRYSEFCLIDELAL -FPPGYLDAMILLQTAKYFFIAHDPSQCLYNNPNPLTQLNSMVAEGLYVAKKLGSEYWYWSHRTPQILSIA -SGVPSSNPEQGFVQRITGAYQNMPMICSTTARVNQKRSEKYDAYSCSGIQGREYPYIQFLLDTVMLTTVS -ARDIWTACCRVTKGIYLIAADASATNANIQSHVVFGALFKAMSGQASFSYEKLAGESLRGLTIVRRDEMV -KRVRRARYESLTEETRGKSEDLPVHPAEKMPMAMRSLYHPVALKEFGHVDPVENLAAIAEGVCVDLPIMD -DDVLKASITPEFAARAEREFINHLGMSKCYDDVAIPDEDAYLFPRMRSNDGAFAAATFEKRIKASTVLAN -EKAYLNGKETGVDLFLVTQRALGVPVQLDFDEDLWYQCLDEQITARIEGRASGLIEQYEERSVAWLKDFS -AKVRVKGQLKAKLEALAYPEPKAGQTINVLPEWVIATFGVWCRYILAQIRRTQTNPHVHIFGGESLSDFS -QRVRKHWRKDPNLTADQLRGCTINDFTAFGSSQGGESVTMDLCWFRWCSMPESLCDLYVGFKTKLLALGA -IKVICRDDGEPGTYLFNSLYDIGNTAAKFSPMALWLGYWLFGGDDMATDHIVAEHPTWHTIWKRRVRTIS -KLQYVDEADFCGWILLEDHGILRDPLVIYFKIRGRDAHGFERSDYLPGYAAELRYTYWAVQHGAVLAPLT -LVVLQRVISLIHKLFPVLSALHYAAGSMSDRLAALRRRIEYWETHSFKGRKAVLKVARAAEKRETRGFRV -DLPVLTSRSKFIETHFNPLSHTNMPADLATTAVVAKTATDANVVTAPASVIGDSWDGNVRVFAIKMGSLT -LKDSDKDRTLLTFTQSQRLHSATHIANYIQFSPRAVVTGARFEVQFGRLCKDADVKITTAVYSADDTAPA -TESDFFNLASCHQELLAPRAAGGVPPSYARDLFPSIGSYGVSRQLKPRPVVSGLPIVVINYAVSIDAPLG -KDKVGLNLGNAVLYVEVTRF ->ABV56203.1 RNA-dependent RNA polymerase [Apple stem pitting virus] -MALLSRTAAEEVIASFTSEEQSRISTQAVLTLTNVEKDKHDLFNYALPEFAKIKLFNSGIYLSPHSYRPH -SHPACKTQENNILFNILPSYLDNSFYLVSIKKNKVDFQKRRHPDLQMVETINRYISSLDKLRYGSFFHVR -PSKVSPKFRCDRRTGFEADASLVDLIPGCMEGARKKFFFHDELHYWKKEALKTFLDHVKPEIMPASIVYP -PEILAGAKESPNPWCYTFKILDKDLVFFPDGEESEAYIQPLSGSYLLKAGKIITPSGDVFQLDFLKSSFS -HHLISITKGEAIGQKMRFFNGFQAVAMKGLHPLRRRVESCLPISKNTILKIYRYLITLKKPHLQSAMAKL -TQVCKDPNGYEIMFFEEFSKLCLRCQTLNTNMLPYMKSIVQGFFLKLFPNPISRNFKVVQQLHLQNFIQT -LEEFNFSINTEDLSLNWKEDLEFVNLTFGDMDFHVEETFAETWGTKRDIVHIITVHHSPYLVSKFQSYHH -QFHSLLCSNSTTALTQIAKIVLSLYHPCVAQAFSQSRVSNLAVNVIIAANLRACFAVTDLWKVFQGILLQ -EGKKAQGKMRKRFHFELGIRWFLFVDISNQWFLPPCRDGLIARSVSIIQIVKHCQFHNSLDNARMSLRQV -LKGPKFQALFHVSRLSVLHNSSMENAIKAGTSLAPSMHPTSRSLQDASASNASSHVTSCIWGLLCLAHPA -KVNTPQLNLAFHVFIKGFNHRGVSLYSRDLSQYSSSGYLQVSKEWPKLLGKIFSENIIPLKFYKQCGNEE -YRSGDGGSIQNYDNFIFANSRNAFTVNYSGDAIFCIECLGSGFVIRMSGPQMLLIPLGFRKNHRLRIKSP -SNGRTASTFRLTHASDNEVPNQEVVTICDHVDSDDSDALKAFEIRSRQCGGRPAEQLEGHQREKVNHVSS -DSAPVQEFLVQIIFSLYEYGLKSLSGPPMDDIICHMCSCYSPSFKNEEFRFSQILRDLAFAQGLIQLIDF -LCLKVLRCAEVNRIICELPSHVLPLRGTRSIVDLDDGSISKDVNVSFFSGSRRWKVTKSFSDLIILALLH -PKMTLGGELRSHEYEWGVSNFTEQLHRFSIILSRKFEPHFSNSFALDGKRISSWPSMGPLIGVDGEHLKR -NLHHQAQKTRGKGPTLSTQFGGNSSAEREAVAFFSSHYWLRLNVLYTREGFTRILKPHEVFRIATLDCQD -NNFQPSMPRNGCVHRAASSGPGRRAADLLAVLGNPAHEKIFEEVADGRGFSIFDLTRLFEIFSICGSVDT -GREFIKFNENGRISAELSFEKEHLARVPTLSRRKFNPIMSDLTRVLNRAMRSVVINGAQADHRRSNERAS -TLIDCFQIHATDHFWPLIQEARKDLASKLIPELVHERKLIMISGMLGCGKSSLFKKFIEKSPGKAIAFTA -PRRSLAESINHDLGLARVGGKKTGKSKDLKNVRVKTFELFILHLANLKEGHTVVIDEIQLFPPGYIDLII -LGLRPDVNIIIAGDPCQSDYDSRSDRHIFAGRQSDIMRILSGRSYKFNILSQRFRNPVSYGRFPCYLNQT -RLTLDLEELLFGDSIQEFSMMGRQDCPVELVSIFEEKQIVAAHLGLQIKCITYGDSTGFDLQKGAILVTY -QRALTRNLRWWTAFSRLRKEIHFDHGMGGTWDNASLNRVGQPLHKQYTKSACHDHGGVPHPRWRELSQGF -QSQLGADEGSMGAKAEGETWLKTKAAHGQNPHIQIQIVSQVQAAEARFTTHIPTIRLEALRARWVHKLIS -RADREFHIGNSTTDDYADDHSCNRGLDSTHPDQRSCAIYPRHNAMDPATFLMAVKKRLSFSSAAVEHAGD -RRAKPRCKSLPGAFLKRVPRSRSHHQKIMQEAVHAFEERELSKSIASIGDHSGRSCQDWPVDKALIFMKS -QLCTKFDKRSTRAKAGQTLACFQHSARCRFAPYIRYIESKVTEVLPKNLYIHSGKNIDDLAAWVATSKFN -GVCTESDDEAFDASQDHFIMVLELEVMKFLGLPSDLIADRTFIKTHLGSKLGSFAIMRFTHDSNTFLFNT -MANMLVAYLSGDLNGREAIRFRGGSMCANSRLKVTNRRSNYLDNPKLKAKWQFITIPTFYESGLIEISTF -KIPDRVLERGQIASHVRRLEICIPNYAREVSCAYKMGDHLNLYLTPKTVDAHYNCVRFIVQHNHLLKSNI -RDLFRGEVVPASS diff --git a/seq/clusters_seq/cluster_140 b/seq/clusters_seq/cluster_140 deleted file mode 100644 index 33f888c..0000000 --- a/seq/clusters_seq/cluster_140 +++ /dev/null @@ -1,330 +0,0 @@ ->YP_308850.1 hemagglutinin [Influenza A virus (A/Korea/426/1968(H2N2))] -MAIIYLILLFTAVRGDQICIGYHANNSTEKVDTILERNVTVTHAKDILEKTHNGKLCKLNGIPPLELGDC -SIAGWLLGNPECDRLLSVPEWSYIMEKENPRYSLCYPGSFNDYEELKHLLSSVKHFEKVKILPKDRWTQH -TTTGGSWACAVSGKPSFFRNMVWLTRKGSNYPVAKGSYNNTSGEQMLIIWGVHHPNDEAEQRALYQNVGT -YVSVATSTLYKRSIPEIAARPKVNGLGRRMEFSWTLLDMWDTINFESTGNLVAPEYGFKISKRGSSGIMK -TEGTLENCETKCQTPLGAINTTLPFHNVHPLTIGECPKYVKSEKLVLATGLRNVPQIESRGLFGAIAGFI -EGGWQGMVDGWYGYHHSNDQGSGYAADKESTQKAFNGITNKVNSVIEKMNTQFEAVGKEFSNLEKRLENL -NKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRMQLRDNVKELGNGCFEFYHKCDNECMD -SVKNGTYDYPKYEEESKLNRNEIKGVKLSSMGVYQILAIYATVAGSLSLAIMMAGISFWMCSNGSLQCRI -CI - ->YP_308669.1 hemagglutinin [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MEKIVLLLAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLNGVKPLILRD -CSVAGWLLGNPMCDEFINVPEWSYIVEKASPANDLCYPGDFNDYEELKHLLSRTNHFEKIQIIPKSSWSN -HDASSGVSSACPYHGRSSFFRNVVWLIKKNSAYPTIKRSYNNTNQEDLLVLWGIHHPNDAAEQTKLYQNP -TTYISVGTSTLNQRLVPEIATRPKVNGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSELEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNTPQRERRRKKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLE -RRIENLNKQMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKC -DNECMESVKNGTYDYPQYSEEARLNREEISGVKLESMGTYQILSIYSTVASSLALAIMVAGLSLWMCSNG -SLQCRICI - ->sp|P11135.2|HEMA_I83A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKIVLLFAIVSLVRSDQICIGYHANNSTKQVDTIMEKNVTVTHAQDILEKTHNGKLCSLNGVKPLILRD -CSVAGWLLGNPMCDEFLNVPEWSYIVEKDNPVNGLCYPGDFNDYEELKHLLSCTKHFEKIRIIPRDSWPN -HEASLGVSSACPYNGRSSFFRNVVWLIKKNNAYPTIKRSYSNTNKEDLLILWGIHHPNDAAEQTKLYQNP -TTYVSVGTSTLNQRSIPKIATRPELNGQSGRMEFFWTILKPSDTINFESNGNFIAPEYAYKIVKKGDSAI -MKSGLEYGNCNTKCQTPIGAINSSMPLHNIHPLTIGECPKYVKSDRLVLATGLRNTPQRKRKKRGLFGAI -AGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQRAIDGITNKVNSIIDKMNTQFEAVGKEFNNLERR -IENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDANVKSLYDKVRLQLKDNARELGNGCFEFYHKCDN -ECMESIRNGTYNYPQYSEEARLNREEISGVKLESMGIYQILSIYSTVASSLALAIMIAGLSFWMCSNGSL -QCRICI - ->sp|P87506.1|HEMA_I87A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MERIVIALAIINIVKGDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKEHNGKLCSLKGVRPLILKD -CSVAGWLLGNPMCDEFLNVPEWSYIVEKDNPVNGLCYPGDFNDYEELKHLMSSTNHFEKIQIIPRNSWST -HDASSGVSSACPYNGRSSFFRNVVWLIKKNNAYPTIKRTYNNTNVEDLLILWGIHHPNDAAEQTKLYQNS -NTYVSVGTSTLNQRSIPEIATRPKVNGQSGRMEFFWTILRPNDAISFESNGNFIAPEYAYKIVKKGDSAI -MKSELEYGNCDTKCQTPVGAINSSMPFHNVHPLTIGECPKYVKSDKLVLATGLRNVPQRETRGLFGAIAG -FIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGITNKVNSIIDKMNTQFEAVGKEFNNLERRIE -NLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKCDNEC -MESVRNGTYDYPQYSEESRLNREEIDGVKLESMGTYQILSIYSTVASSLALAIMVAGLSFWMCSNGSLQC -RICI - ->sp|P03451.1|HEMA_I57A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MAIIYLILLFTAVRGDQICIGYHANNSTEKVDTNLERNVTVTHAKDILEKTHNGKLCKLNGIPPLELGDC -SIAGWLLGNPECDRLLSVPEWSYIMEKENPRDGLCYPGSFNDYEELKHLLSSVKHFEKVKILPKDRWTQH -TTTGGSRACAVSGNPSFFRNMVWLTKEGSDYPVAKGSYNNTSGEQMLIIWGVHHPIDETEQRTLYQNVGT -YVSVGTSTLNKRSTPEIATRPKVNGQGGRMEFSWTLLDMWDTINFESTGNLIAPEYGFKISKRGSSGIMK -TEGTLENCETKCQTPLGAINTTLPFHNVHPLTIGECPKYVKSEKLVLATGLRNVPQIESRGLFGAIAGFI -EGGWQGMVDGWYGYHHSNDQGSGYAADKESTQKAFDGITNKVNSVIEKMNTQFEAVGKEFGNLERRLENL -NKRMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRMQLRDNVKELGNGCFEFYHKCDDECMN -SVKNGTYDYPKYEEESKLNRNEIKGVKLSSMGVYQILAIYATVAGSLSLAIMMAGISFWMCSNGSLQCRI -CI - ->sp|Q9IFF7.1|HEMA_I60A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MAIIYLILLFTAVRGDQICIGYHANNSTETVDTILERNVTVTHAKDILEKTHNGKLCKLNGIPPLELGDC -SIAGWLLGNPECDRLLSVPEWSYIMEKENPRNGLCYPGNFNDYEELKHLLSSVKHFEKVKILPKDRWTQH -TTTGGSQACAVSGNPSFFRNMVWLTEKESNYPVAKGSYNNTSGEQMLIIWGVHHPIDEKEQRTLYQNVGT -YVSVGTSTLNKRSTPEIATRPKVNGLGSRMEFSWTLLDMWDTITFESTGNLIAPEYGFKISKRGSSGIMK -TEGTLENCETKCQTPLGAINTTLPFHNVHPLTIGECPKYVKSEKLVLATGLRNVPQIES - ->sp|Q6DQ18.1|HEMA_I02A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -AIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRDCSVAGWLL -GNPMCDEFINVPEWSYIVEKASPANDLCYPGDFNDYEELKHLLSGINHFDKIHIIPKSSWSNHEASSWVS -SACPYQGKSSFFRNVVWLIKKNSSYPTIKRSYDNTNQEDLLVLWGIHHPNDAAEQTRFYQNPTTYIGVGT -STLNQRLVPKIATTSKVDGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAIMKSELEYG -NCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNSPQRERRRKKRGLFGAIAGFIEG -GWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLERRIENLNK -KMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKCDNECMESV -RNGTYDYPQYSEEARLKREEISGVKLESIGTYQILSIYSTVASSLALAIMVAGLSLWMCSNGSLQCRIC - ->sp|Q8QPL1.1|HEMA_I00A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKIVLLLAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRD -CSVAGWLLGNPMCDEFINVPEWSYIVEKASPANDLCYPGDFNDYEELKHLLSRINHFEKIQIIPKSSWSN -HEASSGVSSACPYQGKSSFFRNVVWLIKKNSAYPTIKRSYNNTNQEDLLILWGIHHPNDAAEQTKLYQNP -TTYISVGTSTLNQRLVPKIATRSKVNGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSELEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNTPQRERRRKKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLE -RRIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKC -DNECMESVKNGTYDYPQYSEEARLNREEISGVKLESMGTYQILSIYSTVASSLALAIMVAGLSLWMCSNG -SLQCRICI - ->sp|Q6J8F6.1|HEMA_I03A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKIVLLFAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRD -CSVAGWLLGNPMCDEFINVPEWSYIVEKANPANDLCYPGDFNDYEELKHLLSRINHFEKIQIIPKNSWSS -HEASLGVSSACPYQGKSSFFRNVVWLIKKNNAYPTIKRSYNNTNQEDLLVLWGIHHPNDAAEQTRLYQNP -TTYISVGTSTLNQRLVPKIATRSKVNGQNGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSELEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNSPQRERRRKKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLE -RRIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKC -DNECMESVRNGTYDYPQYSEEARLKREEISGVKLESIGTYQILSIYSTVASSLALAIMVAG - ->sp|Q67333.1|HEMA_I57A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MAIIYLILLFTAVRGDQICIGYHANNSTEKVDTILERNVTVTHAKDILEKTHNGKLCKLNGIPPLELGDC -SIAGWLLGNPECDRLLSVPEWSYIMEKENPRDGLCYPGSFNDYEELKHLLSSVKHFEKVKILPKDRWTQH -TTTGGSRACAVSGNPSFFRNMVWLTEKGSNYPVAKGSYNNTSGEQMLIIWGVHHPNDEKEQRTLYQNVGT -YVSVGTSTLNKRSTPDIATRPKVNGLGSRMEFSWTLLDMWDTINFESTGNLIAPEYGFKISKRGSSGIMK -TEGTLENCETKCQTPLGAINTTLPFHNVHPLTIGECPKYVKSEKLVLATGLRNVPQIESRGLFGAIAGFI -EGGWQGMIDGWYGYHHSNDQGSGYAADKESTQKAFDGITNKVNSVIEKMNTQFEAVGKEFSNLERRLENL -NKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRMQLRDNVKELGNGCFEFYHKCDDECMN -SVKNGTYDYPKYEEESKLNRNEIKGVKLSSMGVYQILAIYATVAGSLSLAIMMAGISFWMCSNGSLQCRI -CI - ->sp|P03446.2|HEMA_I76A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKFIILSTVLAASFAYDKICIGYQTNNSTETVNTLSEQNVPVTQVEELVHRGIDPILCGTELGSPLVLD -DCSLEGLILGNPKCDLYLNGREWSYIVERPKEMEGVCYPGSIENQEELRSLFSSIKKYERVKMFDFTKWN -VTYTGTSKACNNTSNQGSFYRSMRWLTLKSGQFPVQTDEYKNTRDSDIVFTWAIHHPPTSDEQVKLYKNP -DTLSSVTTVEINRSFKPNIGPRPLVRGQQGRMDYYWAVLKPGQTVKIQTNGNLIAPEYGHLITGKSHGRI -LKNNLPMGQCVTECQLNEGVMNTSKPFQNTSKHYIGKCPKYIPSGSLKLAIGLRNVPQVQDRGLFGAIAG -FIEGGWPGLVAGWYGFQHQNAEGTGIAADRDSTQRAIDNMQNKLNNVIDKMNKQFEVVNHEFSEVESRIN -MINSKIDDQITDIWAYNAELLVLLENQKTLDEHDANVRNLHDRVRRVLRENAIDTGDGCFEILHKCDNNC -MDTIRNGTYNHKEYEEESKIERQKVNGVKLEENSTYKILSIYSSVASSLVLLLMIIGGFIFGCQNGNVRC -TFCI - ->sp|P13103.3|HEMA_I77AF RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MALNVIATLTLISVCVHADRICVGYLSTNSSERVDTLLENGVPVTSSIDLIETNHTGTYCSLNGVSPVHL -GDCSFEGWIVGNPACTSNFGIREWSYLIEDPAAPHGLCYPGELNNNGELRHLFSGIRSFSRTELIPPTSW -GEVLDGTTSACRDNTGTNSFYRNLVWFIKKNNRYPVISKTYNNTTGRDVLVLWGIHHPVSVDETKTLYVN -SDPYTLVSTKSWSEKYKLETGVRPGYNGQRSWMKIYWSLIHPGEMITFESNGGFLAPRYGYIIEEYGKGR -IFQSRIRMSRCNTKCQTSVGGINTNRTFQNIDKNALGDCPKYIKSGQLKLATGLRNVPAISNRGLFGAIA -GFIEGGWPGLINGWYGFQHQNEQGTGIAADKESTQKAIDQITTKINNIIDKMNGNYDSIRGEFNQVEKRI -NMLADRIDDAVTDIWSYNAKLLVLLENDKTLDMHDANVKNLHEQVRRELKDNAIDEGNGCFELLHKCNDS -CMETIRNGTYDHTEYAEESKLKRQEIDGIKLKSEDNVYKALSIYSCIASSVVLVGLILSFIMWACSSGNC -RFNVCI - ->sp|Q67282.1|HEMA_I78A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MTITFLILLFTVVKGDQICIGYHANNSTEKVDTILERNVTVTHAKDILEKTHNGKLCRLSGIPPLELGDC -SIAGWLLGNPECDRLLSVPEWSYIVEKENPANGLCYPGNFNDYEELKHLLTRVTHFEKIKILPRDQWTQH -TTTGGSRACAVSGNPSFFRNMVWLTKKGSNYPVAKGSYNNTSGEQMLVIWGIHHPNDDTEQRTLYQNVGT -YVSVGTSTLNKRSIPEIATRPKVNGQGGRMEFSWTLLETWDVINFESTGNLIAPEYGFKISKRGSSGIMK -TEKTLENCETKCQTPLGAINTTLPFHNIHPLTIGECPKYVKSDRLVLATGLRNVPQIESRGLFGAIAGFI -EGGWQGMIDGWYGYHHSNDQGSGYAADKESTQKAIDGITNKVNSVIEKMNTQFEAVGKEFNNLERRLENL -NKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRMQLRDNAKEIGNGCFEFYHKCDDECMN -SVRNGTYDYPKYEEESKLNRNEIKGVKLSNMGVYQILAIYATVAGSLSLAIMIAGISFWMCSNGSLQCRI -CI - ->sp|P04661.3|HEMA_I56A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKTLLFAAIFLCVKADEICIGYLSNNSTDKVDTIIENNVTVTSSVELVETEHTGSFCSINGKQPISLGD -CSFAGWILGNPMCDELIGKTSWSYIVEKPNPTNGICYPGTLESEEELRLKFSGVLEFNKFEVFTSNGWGA -VNSGVGVTAACKFGGSNSFFRNMVWLIHQSGTYPVIKRTFNNTKGRDVLIVWGIHHPATLTEHQDLYKKD -SSYVAVGSETYNRRFTPEINTRPRVNGQAGRMTFYWKIVKPGESITFESNGAFLAPRYAFEIVSVGNGKL -FRSELNIESCSTKCQTEIGGINTNKSFHNVHRNTIGDCPKYVNVKSLKLATGPRNVPAIASRGLFGAIAG -FIEGGWPGLINGWYGFQHRNEEGTGIAADKESTQKAIDQITSKVNNIVDRMNTNFESVQHEFSEIEERIN -QLSKHVDDSVVDIWSYNAQLLVLLENEKTLDLHDSNVRNLHEKVRRMLKDNAKDEGNGCFTFYHKCDNKC -IERVRNGTYDHKEFEEESKINRQEIEGVKLDSSGNVYKILSIYSCIASSLVLAALIMGFMFWACSNGSCR -CTICI - ->sp|P07976.3|HEMA_I83A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MERTVIALAIISVVKGDQICIGYHANNSTKQIDTIMEKNVTVTHAQDILEKKHNGKLCSLKGVKPLILKD -CSVAGWLLGNPMCDEFLNAPEWSYIVEKNNPINGLCYPGDFNDYEELKHLVSSTNLFEKIRIIPRNSWTN -HDASSGVSSACPHLGRSSFFRNVVWLIKKNNVYPTIKRTYNNTNVEDLLILWGIHHPNDAAEQAKLYQNL -NAYVSVGTSTLNQRSIPKIATRPKVNGQSGRMEFFWTILRPNDTISFESTGNFIAPEYAYKIVKKGDSAI -MRSELEYGNCDTKCQTPLGAINSSMPFHNVHPLTIGECPKYVKSDKLVLATGMRNVPQKKKRGLFGAIAG -FIEGGWQGMVDGWYGYHHINGQGSGYAADKKSTQKAIDGITNKVNSIIDKMNTQFEAVGREFNNLERRIE -NLNKKMEDGFIDVWTYNAELLVLMENERTLDLHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKCDNEC -MESVRNGTYNYPKYSEESKLKRKEIDGIKLESMGTYQILSIYSTVASSLALAIMVAGLSFWMCSNGSLQC -RICI - ->sp|Q2F4V2.1|HEMA_I05A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKIVLLLAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRD -CSVAGWLLGNPMCDEFINVPEWSYIVEKANPANDLCYPGNFNDYEELKHLLSRINHFEKIQIIPKSSWSD -HEASLGVSSACPYQGSSSFFRNVVWLIKKNNAYPTIKRSYNNTNQEDLLVLWGIHHPNDEAEQTRLYQNP -TTYISIGTSTLNQRLVPKIATRSKVNGQSGRMDFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSEVEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNKLVLATGLRNSPQRERRRKRGLFGA -IAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLER -RIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKCD -NECMESVRNGTYDYPQYSEEARLKREEISGVKLESIGTYQILSIYSTVASSLVLAIMVAGLSLWMCSNGS -LQCRI - ->sp|O56140.2|HEMA_I97A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKTVLLLATVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILERTHNGKLCDLNGVKPLILRD -CSVAGWLLGNPMCDEFINVPEWSYIVEKASPANDLCYPGNFNDYEELKHLLSRINHFEKIQIIPKSSWSN -HDASSGVSSACPYLGRSSFFRNVVWLIKKNSAYPTIKRSYNNTNQEDLLVLWGIHHPNDAAEQTKLYQNP -TTYISVGTSTLNQRLVPEIATRPKVNGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSTI -MKSELEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNTPQRERRRKKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIINKMNTQFEAVGREFNNLE -RRIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKC -DNECMESVKNGTYDYPQYSEEARLNREEISGVKLESMGTYQILSIYSTVASSLALAIMVAGLSLWMCSNG -SLQCRICI - ->sp|Q6DQ20.1|HEMA_I02A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKKIVLLLAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRD -CSVAGWLLGNPMCDEFINVPEWSYIVEKANPANDLCYPGDFNDYEELKHLLSRINHFEKIQIIPKSSWSN -HEASSGVSSACPYNGKSSFFRNVVWLIKKDSAYPTIKRSYNNTNQEDLLILWGIHHPNDAAEQTKLYQNP -TTYISVGTSTLNQRLVPKISTRSKVNGQSGRMEFFWTILKPSDAINLESNGNFIAPEYAYKIVKKGDSAI -MKSELEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNTPQRERRRKKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIINKMNTQFEAVGREFNNLE -RRIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKC -DNECMESVKNGTYDYPQYSEEARLNREEISGVKLESMGTYQILSIYSTVASSLALAIMVAGLSLWMCSNG -SLQCRIC - ->sp|Q6DPZ9.1|HEMA_I03A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKIVLLLAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRD -CSVAGWLLGNPMCDEFLNVPEWSYIVEKANPANDLCYPGNFNDYEELKHLLSRINHFEKIQIIPKSSWSD -HEASSGVSSACPYQGRSSFFRNVVWLIKKDSTYPTIKRSYNNTNQEDLLVLWGIHHPNDAAEQTRLYQNP -TTYISVGTSTLNQRLVPKIATRSKVNGQSGRIEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSELGYGNCNTKCQTPIGAINSSMPFHNIHPLTIGECPKYVKSSRLVLATGLRNSPQRERRRKKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLE -RRIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHRC -DNECMESVRNGTYDYPQYSEEARLKREEISGVKLESIGTYQILSIYSTVASSLALAIMVAGLSLWMCSNG -SLQCR - ->sp|Q80A28.1|HEMA_I01A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -SLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRDCSVAGWLLGNP -MCDEFINVPEWSYIVEKASPANDLCYPGDFNDYEELKHLLSRINHFEKIQIIPKSSWSNHEASSGVSSAC -PYLGKSSFFRNVVWLIKKNNAYPTIKRSYNNTNQEDLLVLWGIHHPNDAAEQTKLYQNPTTYISVGTSTL -NQRLVPKIATRSKVNGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAIMKSELEYGNCN -TKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLTTGLRNTPQRERRRKKRGLFGAIAGFIEGGWQ -GMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLERRIENLNKKME -DGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKCDNECMESVKNG -TYDYPQYSQKAGLNREEISGVKLESMGTYQILSIYSTVASSLALAIMVAGLSLWMCSN - ->sp|Q6J8E7.1|HEMA_I02A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEKIVLLLAIVSLVKSDQICIGYHANNSTVQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRD -CSVAGWLLGNPMCDEFINVPEWSYIVEKASPANDLCYPGDFNDYEELKHLLSRINHFEKIQIIPKSSWPN -HEASLGVSSACPYLGKSSFFRNVVWLIKKNSAYPTIKRSYNNTNQEDLLVLWGIHHPNDAAEQIKLYQNP -NTYISVGTSTLNQRLVPKIATRSKVNGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSELEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNTPQRERRRKKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLE -RRIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKC -DDECMESVKNGTYDYPQYSEEARLNREEISGVKLESMGTYQILSIYSTVASSLALAIMVAGLSLWMCSNG -SLQCRI - ->sp|P16060.2|HEMA_I66A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MERIVIALAIISVVKGDQICIGYHANNSTKQVDTIMEKNVTVTYAQDILEKEHNGKLCSLKGVRPLILKD -CSVAGWLLGNPMCDEFLNVPEWSYIVEKDNPTNGLCYPGDFNDYEELKHLMSSTNHIEKIRIIPRNSWSE -HNASSGVSSSCPYNGRSSFYRNVVWLIKKNNSYPTIKRTYNNTNVEDLLILWGIHHPADAAEQTRLYKNS -NTYVSVGTSTLNQRSIPEIATRPKVNGQNGRIEFFWTILRPNHAISFESNGNFIAPEYAYKIVKKGDSAI -MRSELEYGNCDTKCQTPVGAINSSMPFHNVHPLTIGECPKYVKSNKLVLATGPRNVPQRRKKRGLFGAKA -GFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTHKAIDGITNKVNSIIDKMNTQFEAVGKEFNNLERRI -ENLNKQMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKCDNE -CMESVRNGTYDYPQYSEESRLNREEIDGVKLESMGTYQILSIYSTVASSLALAIMVAGLSFWMCSNGSLQ -CRICI - ->sp|P13101.1|HEMA_I84A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MDIQAVALLILTSTCVQADRICVGYLSTNSSEKVNTLLENDVPVTSSVDLVETSHTGTYCSLDGISPVHL -GDCSFEGWIVGNPACASNMGIREWSYLIEDPSAPHGLCYPGELDNNGELRHLFSGIRSFSRTELIAPTSW -GAVNDGVSSACQDRGASSFYRNLVWFVERGKQYPVIRGTYNNTTGRDVLVMWGIHHPVSTDEARKLYVNN -DPYTLVSTSSWSRKYNLEIGIRPGYNGQKSWMKIYWYLMHPGESISFESNGGLLAPRYGYIIEEYGKGRI -FQSRIRIAKCNTKCQTSVGGINTNKTFQNIERNALGDCPKYIKSGQLKLATGLRNVPAISNRGLFGAIAG -FIEGGWPGLINGWYGFQHQNEQGVGMAADKESTQKAIDQITTKINNIIEKMNGNYDSIRGEFNQVEQRIN -MLADRIDDAVTDVWSYNAKLLVLLENDKTLDMHDANVRNLHDQVRRALKTNAIDEGNGCFELLHKCNDSC -METIRNGTYNHTEYEEESKLKRQEIEGIKLKSDDNVYKALSIYSCIASSIVMVGLILAFIMWTCNSGNCR -FNICI - ->sp|P11132.1|HEMA_I83A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MEEIVLLFAIVSLARSDQICIGYHANNSTKQVDTIMEKNVTVTHAQDILEKTHNGKLCSLNGVKPLILRD -CSVAGWLLGNPMCDEFLNVPEWSYIVEKDNPVNGLCYPGDFNDYEELKHLLSCTKHFEKIRIIPRDSWPN -HEASLGVSSACPYNGRSSFFRNVVWLIKKDNAYPTIKRSYNNTNKEDLLILWGIHHPNDAAEQTKLYQNP -TTYVSVGTSTLNQRSIPKIATRPKLNGQSGRMEFFWTILKPSDTINFESNGNFIAPEYAYKIVKKGDSAI -MKSGLEYGNCNTKCQTPIGAINSSMPFHNIHPLTIGECPKYVKSDRLVLATGLRNTPQRKRKKRGLFGAI -AGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGITNKVNSIIDKMNTQFEAVGKEFNNLERR -IENLNKILEDGFLDVWTYNAELLVLMENERTLDFHEANVKSLYDKVRLQLKDNARELGNGCFEFYHKCDN -ECMESIRNGTYNYPQYSEEARLNREEISGIKLESMGIYQILSIYSTVASSLALAIMIAGLSFWMCSNGSL -QCRICI - ->sp|P09345.2|HEMA_I59A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MERIVLLLAIVSLVKSDQICIGYHANKSTKQVDTIMEKNVTVTHAQDILERTHNGKLCSLNGVKPLILRD -CSVAGWLLGNPMCDEFLNVPEWSYIVEKDNPINSLCYPGDFNDYEELKHLLSSTNHFEKIQIIPRSSWSN -HDASSGVSSACPYIGRSSFFRNVVWLIKKDNAYPTIKRSYNNTNQEDLLILWGIHHPNDAAEQTKLYQNP -TTYVSVGTSTLNQRSIPEIATRPKVNGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSGLAYGNCDTKCQTPVGAINSSMPFHNIHPHTIGECPKYVKSDRLVLATGLRNVPQRKKRGLFGAIAG -FIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGITNKVNSIIDKMNTQFKAVGKEFNNLERRVE -NLNKKMEDGFLDVWTYNVELLVLMENERTLDFHDSNVKNLYDKVRLQLKDNARELGNGCFEFYHKCDNEC -MESVRNGTYDYPQYSEEARLNREEISGVKLESMGVYQILSIYSTVASSLALAIMIAGLSFWMCSNGSLQC -RICI - ->sp|Q6DQ15.1|HEMA_I02A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -VLLLAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCDLDGVKPLILRDCSVA -GWLLGNPMCDEFINVPEWSYIVERASPANDLCYPGDFNDYEELKHLLSRINHFEKIQIFPKSSWPNHEAS -SGVSSACPYQGRSSFFRNVVWLIKKNSAYPTIKRSYNNTNQEDLLVLWGIHHPNDAAEQTKLYQNPTTYI -SVGTSTLNQRLVPKIATRSKVNGQSGRMEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAIMKSE -LEYGNCNTKCQTPMGAINSSMPFHNIHPLTIGECPKYVKSNRLVLATGLRNSPQREIRRKKRGLFGAIAG -FIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGVTNKVNSIIDKMNTQFEAVGREFNNLERRIE -NLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLRDNAKELGNGCFEFYHKCDNEC -MESVKNGTYDYPHYSEEARLNREEISGVKLESIGTYQILSIYSTVASSLALAIMV - ->sp|Q82509.1|HEMA_I61A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MERIVLFLAIVSLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCSLNGVKPLILRD -CSVAGWLLGNPMCDEFLNVPEWSYIVEKDNPINSLCYPGDFNDYEELKHLLSSTNHFEKIQIIPRSSWSN -HDASSGVSSACPYNGRSSFFRNVVWLIEKNNAYPTIKRSYNNTNQEDLLILWGIHHPNDAAEQTKLYQNP -TTYVSVGTSTLNQRSIPEIATRPKVNGQSGRVEFFWTILKPNDAINFESNGNFIAPEYAYKIVKKGDSAI -MKSDLEYGNCNAKCQTPVGAINSSMPFHNIHPLTIGECPKYVKSDRLVLATGLRNVPQRETRRQKRGLFG -AIAGFIEGGWQGMVDGWYGYHHSNEQGSGYAADKESTQKAIDGITNKVNSIIDKMNTQFETVGKEFNNLE -RRIENLNKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRLQLKDNAKELGNGCFEFYHKC -DNECMESVRNGTYDYPQYSEEARLNREEISGVKLELMGVYQILSIYSTVASSLALAIMIAGLSFWMCSNG -SLQCRICI - ->sp|Q67018.1|HEMA_I88A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MAIIYLILLFTAVRGDQICIGYHSNNSTEKVDTILERNVTVTHAEDILEKTHNGKLCKLNGIPPLELRDC -SIAGWLLGNPECDILLTVPEWSYIIEKENPRNGLCYPGSFNDYEELKHLISSVTHFEKVKILPRNEWTQH -TTTGGSQACADYGGPSFFRNMVWLTKKGSNYPIAKRSYNNTSGEQMLIIWGIHHPNDESEQRALYQNVGT -YVSVGTSTLNKRSSPEIATRPKVNGQGGRMEFSWTILDIWDTINFESTGNLIAPEYGFKISKRGSSGIMK -TEGKLENCETKCQTPLGAINTTLPFHNIHPLTIGECPKYVKSERLVLATGLRNVPQIESRGLFGAIAGFI -EGGWQGMVDGWYGYHHSNDQGSGYAADKESTQKAIDGITNKVNSVIEKMNTQFGAVGKEFSNLERRLENL -NKKMEDGFLDVWTYNAELLVLMENERTLDFHDSNVKNLYDKVRMQLRDNAKELGNGCFEFYHKCDDECMN -SVKNGTYDYSKYEEESKLNRTEIKGVKLSNMGVYQILAIYATVAGSLSLAIMIAGISIWMCSNGSLQCRI -CI - ->sp|P07977.2|HEMA_I83A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -DQICIGYHANNSKKQIDTIMEKNVTVTHAQDILEKKHNGKLCSLKGVKPLILKDCSVAGLVLGNPMCDDF -LNAPEWSYIVEKNNPINGLCYPGDFNDYEELKHLVSSTNLFEKIRIIPRNSWTNHDASSGVSSACPHLGR -SSFFRNVVWLIKKNNVYPTIKRTYNNTNVEDLLILWGIHHPNDAAEQAKLYQNLNAYVSVTSTLNQRSIP -KIATRPKVNGQSGRMEFFWTILRPNDTISFESTGNFIAPEYAYKIVKKGDSAIMRSELEYGNCDTKCQTP -LVAINSSMPFHNVHPLTIGECPKYVKSDKLVLATGMRNVPQKKKRGLFGAIAGFIEGGWQGMVDGWYGYH -HINGQGSGYAADKKSTQKAIDGITNKVNSIIDKMNTQFEAVGREFNNLERRIENLNKNLEDGFIDVWTYN -AELLVLMENERTLDLHDSNVKNLYDKVRLQLRDNAKEWGNGCFEFYHKCDNECMESVRNGTYNYPKYSEE -SKLKRKEIDGIKWESMGTYQILSIYSTVASSLALAIMVAGLSFWMCSNGSLQCRICI - ->sp|P04662.1|HEMA_I75A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MEKLLLFATIILCVKADEICIGYLSNNSTDKVDTIIENNVTVTSSVELLETEHTGSFCSINGKQPTSLRD -CSFAGWILGNPQC - ->sp|P03447.1|HEMA_I75A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MERVVLLLAMISLVKSDQICIGYHANNSTEQVDTIMEKNVTVTHAQDILEKTHNGKLCSLNGVKPLILRD -CSVAGWLLGNPMCDEFLTVPEWSYIVEKDNPINGLCYPG - ->sp|P04659.1|HEMA_I60A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MEKTLLFAAIFLCVKADEICIGYLSNNSTDKVDTIIENNVTVTSSVELVETEHTGSFCSINGKQPISLGD -CSFAGWILGNPMCDDLIGKNSWSYIVENQS - ->sp|P04660.1|HEMA_I78AE RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKKILLFTVIFLYAKADEICIGYLSNNSTDKVDTIIESNVTVTSSVELVETEHTGSFCSINGKQPISLGD -CSFAGWILGNPMCDDLIGKTSWSYIVENQS - ->sp|P03445.1|HEMA_I76AH RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKKVLLFAAIIICIRADEICIGYLSNNSTEKVDTIIESNVTVTSSVELVENEYTGSFCSIDGKAPISLGD -CSFAGWILGNPMCDDLIGKTSWSYIVENQS - diff --git a/seq/clusters_seq/cluster_141 b/seq/clusters_seq/cluster_141 deleted file mode 100644 index 6faf8fd..0000000 --- a/seq/clusters_seq/cluster_141 +++ /dev/null @@ -1,1074 +0,0 @@ ->YP_164823.1 VP3 [anativirus A1] -GVPTFQVPGSQQFMTTLRNDGFPVMPDFEKTHSFNLPGRVRNLLEVAQIPTLVTGLKEGTEGWHRPWLVN -IEQSMNSGAQIFQMDMSLMSVNFESTYLGQLARMYAQYRGDVIITLTFCGTAMTTGKILIAYTPPGANPP -ADRTEAMLGTHVVWDIGLQSSVTMAIPFISTVQARYTGVEESTLSYCGYITAWLQTKLVHPAGVPTTSPI -VVYASASNNFTFKCPIDSAYFQGLGDQITSQVE - ->YP_164335.1 polyprotein [anativirus A1] -MASSTSFSCYKNNVTKNECTSFHAPVEMMPRCMQHSAVNHYYCARHYGKRPNVCCACESIMCGRDVSWAF -IKKQARENFYKAYCSEKMKRVPEEVQFQGRLPDCDVTDCLHRVCDHHEGRAKIGDLKTCCFCSRYGEFSD -PYDWMVANRRYEWVACNGRQFPVSQHPACIPDGKVHMRCPLHAGRKSACCTCEHLKMESAIRKTGNTEVK -CAEKQGLKSPGSNEPVNVLVGKFHCTDMKYIAPYQIRLHCCDTGDHGICGKHFNNTICCRCLKHLNSTSK -WDTNQHCCADHEVTKTERRMQIFKTPHCKVVPYMKVVDEEKEMSSSEPMESNWTCVGKYQQSYDFVKQKV -SVTKDVDVPDTTREDLFRYGEAIDFPCYFTGRRKSCGPQWKCYHPPGSKRLHWYCSGHYDERPRRYACCA -CWDDSANVNKDTVKEMWYAFKRNKIACAEKQGQVQSNQTGNSPNVTPVNATNGSQVTTINYYGAQYAQAY -NPSSQTMDPSQFTEPMVSLTSAATGIPMLQNPSAEECGYSDRMMQLTAGNSTLITQEAAAGAVIAYGRWP -GDTDYSGAAVDLPTRPGPSCDRWYTEDTFYWSKTGLNTTSGGEATGHVVCGGLPGVLIKQGVFGQNCAFH -YLWRGGFAVHVQCNASKFHQGMLMVVAIPELEMKNMKPEYFYRWNNYQENPGTTEQAFVPTQLNVFPHQY -INLRTNNSATLVLPYVNLCPQSCPVIHSPWVIAIAVMVPLDYSTGATTEVPITVSICPMESEFGGLRNAT -ILQGVPTFQVPGSQQFMTTLRNDGFPVMPDFEKTHSFNLPGRVRNLLEVAQIPTLVTGLKEGTEGWHRPW -LVNIEQSMNSGAQIFQMDMSLMSVNFESTYLGQLARMYAQYRGDVIITLTFCGTAMTTGKILIAYTPPGA -NPPADRTEAMLGTHVVWDIGLQSSVTMAIPFISTVQARYTGVEESTLSYCGYITAWLQTKLVHPAGVPTT -SPIVVYASASNNFTFKCPIDSAYFQGLGDQITSQVEGLMNSIGGALQLPSTTPGVPQISAPPPSNNEGLT -ITEGGSGNLTAIETGVAQTSAADVQMATRVTKATFSAQDTDVEFFFSRYMLIGSVGSRQGTQDFAKFDMS -FEAIKGASRAIRTKFQMFTYMRFDLDVVLEPLEEIPYQVMYCPTGSVVPANSIDNWNTTCNAVITQRPGK -NVSFRVPFTSPGNFFATAYNGYNTFDLTGGYAQPPNGKLGTVCIRKMKTTQDTFSFLVYVRPVNIEVFCP -RPIVTYNTQVAVTRSKYRIVSVPEESPEASRMHTTVFAHKQGPVTFQGLGDWLKNLVSSGGEAFSDGLTR -GITSALDDYNAKSSEVTPRTAKWVKTILKWLTRIISSMVIAVRSGGDPGIMAALGVSLGIDILTTDPFDY -MKQMILANFGWAHKQGPSDWLKDFNAAINAVKGLDWIATKIQEFIKWLAGVESEVKEKSEKLQNVLDAIP -LYISEWDDYEKAKFKYREQSVLDLAEKILESKRVLLEMQKTDNRIYLTLVKYAEKASKFIQNSRKRPFEP -IGMLVHGKPGCGKSLLTSILGRQLCKMMGKGEPYSIPPDPDHFDGYTGQEVVIMDDLGQNPDGKDCALLC -QMISTTEFIPPMASLEEKGIPFTSKFVLASTNLENLAPITVSEPRAIQRRFQLDLEMTVCDEYKTASGHL -DVAKAMKPCEEHESMHFKFCTPFICGKACKIYNRREKKFTTPDLLIGKLTGYRREKINTNDMVDALFQGP -RKVVSLKPTLKFLDDEELKTPVKLMPECIEDLIRSVHDQRIIDWCEDQGYIFEPHMQHFIVERESKWLKT -VIKTTVTSLAVLGAVCGLIYAAWRLWPTSEQGAYTGNQRAVLKRPELRTVQVQGPGTHPDLQYIQALLNN -NIFPIETGSGPYTALGIFERWFVLPKHAVVEPMMVGGKQIDCDDIVELRSNGKMLELVALHCPTLNEFRD -IRKHLPESIHGEDGCYLVMNSSVYPRMNTSVGRVSVFGLLNLDMQMTHNTLTYAYPTKTGQCGGVICKAG -QVLGIHIGGDGSNGYAASLKRSYFASLQGQIVAERPARKSVNVRSTTSLQPSVWHDIVPGEKEPAVLSKF -DKRCEVDFEKALFSKYEQNVAVPENENVRTATLHYLEQIRPLMPENVTEPLPLEDVVYGMEGLEALDLNT -SAGYPYCTMGISKKSLIPPKGEPLTRLQEALDLHGTRLPFVTFLKDELRPKDKIKVGKTRLIEASSLNDT -IHMKTHLGRLFAVFNQNPGTTTGSAVGCNPDTDWSKFVNMIGHDNICCFDYKNFDASLGSVWFEEVKFIL -RSLGFDPQITDSMIDHICNSTHIYRNKEYDVEGGMPSGCSGTSIFNSIINNIIVRTLVLDTYKGIDLDQL -RILAYGDDLLVSYPFPLDPEALARAGKVYGLKMTPADKSEHFDGPKKIWEVTFLKRGFKPDSRYPFLIHP -VYPMDQVYESLRWTRKPSETQQHVRSLCELAWHNGEEEYNNFLSIVRSTPVGRALTLPAYQVMCQKWYDS -F - ->YP_010084736.1 polyprotein [Rabovirus D1] -MVAIKDNRVVRFEKFLSKNIFYFEEIFMVTGYTAFILKRRVVFRRNVKPKARECGAAASVPQNGNKPQDA -FIHGSNNTQFQINYYGSDYATSMSGATTQMDPNAFTKPVADILSGPALKSPTVEECGYSDRIMQLTMGNS -TITTQEAANAVVAYGVWPGFAPGLGEAVDQSTTPGTAVDRFYTLESVGWTANNFNGICYRLPGVLSDMGM -FGQNLRFHYLMRCGFICHIQINATKFHSGCVMVVAIPECEYIDDSVTGLDDXYTPGSKWKEAFPIHQLTL -FPHQFINLRTNNSATIILPYVNACPMENAASHNYWTLCILPITPLNYAAGATTEIPITTSIAPMYVQFNG -LRAPITTAQGVLVAQTPGSGQFITTIHNSGFPVYPDFQPTPEPDIPGRVTNLLQVCRVDTMVNFGTKAQP -LTALRVPTATAPGANIFSWRMSPGEEWASESYLSRLSKFYVNFRGSIRLTFTFCGNAMQTAKFIICYTPP -GTAVPTSRRDAMLGTHVVWDVGLQSSASLVVPWISQTQYRYANQDNKMGFAGYVTMWAQTAVVTPPNGTV -TSYLIGFISATDDFQFRIPTDNAYYQGLSDDVGKVLGEIKDVTESVTSMRPKPPSAPTAAVGETPALTAT -ETGAVGGSEAELLMETRATAPSFSGMETDVANFMCKHAIIQVQRATFGFLPTGPSIATGTCFSIPITVDS -IQATRALRTKYGMFTYCRFSLEVTLLVDIVKTKVNAGSDLGTVSDSVPHRLQAIYCPPGLALPPLQFNDI -LNDDSKWYMPTTPSVYFSINDPPATMRIPFVSVASAYSIVYDGYSNFDTDTARYGDFPGNGLGTICIRPL -WRPYDSKDGAIYVDVRAFMKPMQFKAWIPRPIVPHDNASATRTFVHEVMPKSRPGHRAGRKHHKGYPKWC -KDVAKRLPRIMGEDDNMMHVIPVAEGFAIAPYHWWLSDSKIKAKLPLVQEDPAHDMVLVKYETGTIPKLC -DCATGICSMNRAIFYQTVVYEKTGWSAVIEVGSDTVGDHDQEDLITGRGEIPQGWCGSPLFCKHGICGMA -TASSDNVAYYTHLASVPMLWDYFPGNSKWNDAEEQGPADWFTGIANALGQSFGNGFTQEIKQKVKEVAER -VETPTNQITKMCIQFLVKAISASVLIARSERPLESAACVAAMLGVDALVGTPFDWLKQRISELLNLGAEE -QGPLEKSFVEWVKDFNACAAAAKSLEWIGEKISKFIDWVQSLVKRQEPARKAFMEQLEHLPELMESIDKV -RCERGKFSDEQVEKLIKNIECLKRGADMYGAERNFATCQIVKYYNYAMALKKTSTGTRVEPVAVCFHGTP -GAGKSLATNILGRLLCQYHGGKPYSLPPDPNHFDGYTGQPVVIMDDLCQNPDGEDMKLFCQMVSSTEFIP -PMADLADKGQPFKAKYVLASTNNMVLAPPTVSEPSAISRRFYLDCDIMIAKDYQTKGKLNARKALTRCKD -HDPKVFKWCCPLICGKAVIFNERNTHTQMSLDDIGLACLEELDRRESTGDLIEALFQGPQCPGGFCKDNE -GHHQPSKPDPVVWLTSDWDEEGCVLKTIEEQRKSGIPVEKPAPQEVVDLLRAIPRPEIIEYCEKQGWIIP -QPFKYKLARTSARSTINMVADVLAILTSIASLAGFVYLMYTIFAGAQGPYTGGQPKVTPKPTPKVVVQGP -NVQYSQSLIRKSLFEVQCSNGPFTGLGLFGKWMLLPRHAEVGDKLLVEGVEYSVLEGCVLETREGPLELR -CVKIDRPVNFPDVRQRFPEKFAEEEDCCLAVNSGQYRGLLCPVGKVKRWGALMLSGRATYRVCKYSYPTK -TGQCGGVIAACGKIIAMHVGGDGSNGYGTIILRSYFDTLDKASEQGHIVDVKTNATPIHHSTRTKLQPSV -WHDVITGSKEPAALRESDPRLKVNLTEAMFSKYDNKETDLEMTENMKEAVDVYAGRLKSILPPDVTEPLT -LEEAAYGFENLEGLDLNTSAGYPYVILGIKKRQILNAETRDVTKLKDCLDKYGVDQPYVTYLKDELRPRP -KIEQGKTRLIECSSMNDTIRMKMMFGRLFSFFHANPGITTGCAVGCNPDVHWTKFRAELDGEIIAFDYSN -YDASLNKVWFECLKEVLRKIGFHDLGPIEHIVRSRHLYKGIEYTVEGGMPSGCSGTSIFNSIINNLIIMT -LVLDAYKGVDLESLKIIAYGDDVIVTYPHELDAALLAYCGKQYGLKMTPPDKSAEFKKMTWDDVTFLKRK -FKPSKRYPFLIHPDFDYQEIMESLRWTRNPAHTQEHVRSLAELVWHSGRKKYEEFCQVVRSTDVGKCCIL -PPYRSFKRMWMDSF - ->YP_010084727.1 polyprotein [Rabovirus B1] -MMAVLSETRVSVVKFLSKEHKAIYEMFFLICNGAFLLKRRLVHRRNVKPKVTQRGASVSTPQSGNKPQEN -MIRGSNNHVINVNYYGSDYASAHSSATTQMDPNAFTKPVADVLSGPALKSPTVEECGYSDRIIQLTMGNS -TITTQEAANAVVGYGVWPEPAPGMGEAVDRSSEPGPSVDRFYTLDSVNWTASFKGRCYRYPLCLSDYGMF -GQNIRFHYLMRGGLIAHVQINATKFHQGCVLVVAIPECQFTDSSITGLDAEYDITDHWLEQYPIYQLTLF -PHQFINLRTNNSATLILPYVNSSPMENAASHNYWTLAIVQVSGLTYSTGASTVVPITLSIAPVATQYNGL -RAAVATAQGVIVANAPGSGQFITTIHNSGFPVYPFFEPTTPHDIPGEVVNLLQTSRIDTLVNWGTGQAPE -VTKTISVNQAGGTQIFVWRMDLDETWAMTTYLARLSKFFVNYRGSIKLTFTFAGTAMQTAKILLAYTPPG -NAAPTTRREAMLGTHVVWDIGLQSSATLVIPWISQSQFRYTSTTDKLSFSGYVSGWFQTSLVTPPGGTTS -GKLYAMLSATDDFQFRIPTDNAFYQGLSDDVGRTLDSVEKVTEGLINMKPSENKAEAATTGESAALTATE -TGATGGAEATHLMETRKTVVGFSGLETDIDNFLSKHALIKKSRATYGLNVDGTKYGQALKVPITVETLQS -TLALQTKYEMFTYYRFNMELTVLVMVHQGAGDVGLQQGEVTQSFNAKFQLLYCPPGLEGPPLAVGDIWND -DARWCMPTTPSVYFSSNDPPATLTIPFIGVCSTYTTFYDGYSNFDDATAEYGQFPGNGLGDIYIRPLYHP -RAWQDGLLSVEYMVFARPTHIRAWIPRPILQRDVPQTQREVVHNVGPRKNHKHRAGKSHKKSYPKWCRKV -AEKLPKAYDSEFQGVHLIPMDEEHAIMPYHWWKSDKILHDGLPHVAHDPLHDLVLVKFKSNYVPKLCDCT -EGIATVNWALFYQSIAYQKTGWKAQEEIGSESVGDHWQEDLISAPGEIPSGWCGSPLFCKHGICGVATAA -SSKTSYFTHLASATVCWDYMPVEEQGPTSWFGGLAEQLGASFGNGFSTTVKNKIADVVKGVKDPTNELIK -KIISWLVKAVTASVLIARSEKPLEAAACVATLLGVDMLMGTPFDWLKDKITSLLGLAEEQGPMGNFGEWV -KEFNACATAAKGLEWVGEKILKFVEWIKNLIKKEEPTRKIFMEQLSHFPALMETIDKIRANRGSYKDEDV -EKVAKNMECLKRGADLHGVERNFMTSQIVKYHGYVQQLVKTSTTTRVEPVAVCIHGSPGTGKSLATTLLA -KLLCTYVGGKPYSLPPDPKHFDGYCGQKVVLMDDLNQNPDGEDMKLFCQMVSSTEFIPPMADLSDKGSPF -KAKFVLASTNVLVLAPPTVMEPAAIHRRFFRDLDIIIEKDYKVNGKLDATAALTKCAHDACIFTKCCPLI -CGLAAKFKDRNTNKTYTLDEIAGQLLMEMDRRENTGDLIEALFQGPCPTQMCEDDTGHHKEDKKDEVVWL -TSDWDLQSCTLLTLDEQRQKKIPCERPAPQEVVDLLKAVPHPEIIQYCEDQGWILPQPFKYNLVREKVND -TLATISAVLGILASVATLAGFIYVMYSIFADRQGPYAGNQPKQISKPVVRVQVQGPNVQYAQSLIKKSLF -EVQCTNGPFTGLGLYGQWILLPRHAKPGETIILNGDGYTVLKDVVFDSQQGNLELRAIRINRPVNFPDIR -QKLPEKFREEPDACLAVNSGQYKGMICPVGKVQQWGALMLGGRPTYRTCMYKYPTKTGQCGGIVCTTGKI -ISMHVGGDGQRGYGTILIRKYFEDLDGVGEQGHITSVQTTKNQVHMSSRTKLQPSVWHSVVPGTKEPAAL -RQNDPRLEVNLFEATFSKYENKEEPMEMTNNMREAVNWYISKVKPLLPDNVTDQLTLEEAAYGIENLDGL -DLNTSAGFPYNIKGIKKRDILDPITRDVSRLQKCMEVYGVDLPFTTYLKDELRPLEKVRKGKTRLIECSS -MNDTIRMKMTYGNLFAFYHSNPGPYTGCAVGCNPDIHWTKFRAEMDGQIVAFDYSNYDASLHVVWFECLK -EILKGFGFKELRPIDHIVNSRHIYKGIEYTVEGGMPSGCSGTSIFNSIINNVIIMTCVLDVYKGINLEEL -RIIAYGDDVICTYPYQLDAKLLAEAGSKYGLKMTPPDKSAEFKPMTWEEVTFLKRKFRPAKHYPFLIHPE -FDWQEIMESLRWTRNPAHTQEHVRSLADLVWHSGRTKYNEFCEIVRSTDVGKCCILPPYESMKRMWLDQF - ->YP_009118268.1 polyprotein [rabbit kobuvirus] -MVTTERHIDMAFQFVSVRSFECYILKTNHQSIMYWISIINNNGKLVAVINLSRRRRVNQKAKQTGANVSK -PSSGNVPMGNHVQGMGNVVTNMHLNYYGTNYANASSTATQVMDPDKFTKPVVDVLNGPALKSPNVEELGY -SDRILQLTMGNSSITTQECANAVVAYSHWPSKSKGMGEAIDKSTEPGPAASRFYTLDSHEWTAGGFSGVA -FRFPECIQNLGMFGQNIHYHYLYRCGYLVHVQVNASKFHAGALLVVAIPECETTGSMAAVYNINDQWLLD -NPISQLTVYPHQIINVRSNNSATLILPYVSPNPMENAAAHNPWTVAVIPIVHLNYATGASPSVPITLTVA -PMECQFNGLRSSAVFQGFPVTTTIGSGQFITTVRDNGIPIYPEFQADICHDIPGEYKNFLEVARVGTFMK -LSQNLLGVSITPSFTAGQKVFGFPIDFDNDAFRPTYVALLSKFYINWRGSLKLTLTFAGAAMCTAKLVVC -YTPPGTQEPADREEAMLGTSLIWDVGLQSSCTLVIPWISETQFRLTTGQTRASATGFVTCWMQTRLVNPP -SLPGGTSIFATLSAGDDFCFRIPSDSGYFQGPNGDTGRDVTGKVSTNKQLPVVDETTTSAPVAQVGTSPA -LTAVETGETPQVSAASVMEVRQSSVHFSALATSVENMLSRYAMIYEGDSNVYVSSDANTLHGVAFSVPLT -FDSIQATAAVRAKFSMSTYLRFDLDVVMMVEIHNFGDSEINRGTYAQDAQPWTFQAIYLPPGMPEPPLQL -AQIDRASPQWCYPTTPSIYWKNTDPPATFRVPFVGLGSAYVVAYDGYPNLDGQGTYGEYPGNTLGRICVR -PCYKLAIQQSGSYRYNIKIFSRPVNVRSWMPRPIKTYKTQGRVRAMEVMPRRRVEEKRVSEIKDWMVWET -QKLPVIETADGPMHVIPISEELVVAPYHWVLADWHNNQRELVWADSDRDVVCFKHKTTHQPKLCTCKRGY -WTANMCMFNTTKRARFSTWVEGFEVESETRGDHIQLDAIQISKPIPEGWCGSPLFCEHGIVGMATASTDS -SSFFTHIASMPFVKFPGTSRWDAEEQGPKEWFSGIVAEMGAVFGDGFGDSVKEQVKGFCGKVKEPKDSIV -KTCVTWLIKAICACVLISRSWNPGETAACVGVMMGVDLLAGSPFEWVKNQIKHALGFNEVEEQGFVDWVK -DFNACASAAKSLEWIGNKIEEFIDWVKKLLQKESPARKRFNQRIEAFPDLMCSIDKIVENRGKYPDDKIQ -KVIAEMKNLKKAADYYGLKENSFATRQIIHYYKIACDLEKGITKNRVEPVALCVHGGPGSGKSLATQMLG -RVICQHYCGKPYCLPPDPKHFDGYDGQPVVIMDDICQNPDGEDMKLFCQMVSSTEFIPPMAELGDKGKHF -TSKFVLCSTNMNQLFPPTVAEPEAIKRRFYLDLDIQIKQDFKSDQNRLDASKALEQCTGCAKPNVFQRCC -PLICGKAVVFKDRNSNITYNLDQVASMLMRERHKRETVGDKLEALFTKPVDDIDAYCYAWKQGGDEEEES -HGCEICPKDTFHHKKIHVDEMAWLESDFDKEACILKTYDEMAREGMKNRAPCPKEIQDLLRAVPDPKILS -YCEQQGWIFPEDMKRLHVKRECNEWAVWALRGLSALAAISSIVGTIYLIYRLFASQQGPYAGPTQKVLRP -PVPRTVVAQGPDYDFATSLHKKSLFPIRCVNGPFTALGLKGKWLVLPRHCVVGEEITLCDQEFKVLDNVE -LECKEGKLELRCVKIDRPINFPDITKRIPKKFVETPDCILCINSEKYPTMTCPVGKVKNWGKLVLSGNVT -CRTLKYNYPTKSGQCGGVVTKCGQILGIHIGGDGANGYATAIYQHYFDKLDEAEEQGHIINIQQTKTPVH -VSTKTKLQPSVWHDIIPGKKEPAALNQKDKRLEVDLDTAVLSKYDNDDYEIGVSKHMKEAVAEYTERLKS -IMPEDVTEGITLEEAAYGIPDLEGLDLNTSAGYPYTLNGIKKRDILDPETKDTKKLQECLDKYGVDLPFI -SYLKDELRPLEKIKKGKTRIIECSSMNDTIRMKMMFGKLFQTMHRNPGTITGSAVGCNPDTDWTKFRAEM -HDSIIAFDYSNYDASLNKVWFKCLKMVLTNLGFKDLGPIDHIIYSKHIYKNIEYDVEGGMPSGCSGTSIF -NSMINNIIIRTLLLDAYKGIDLEQLKMIAYGDDVVATYPFPIDAASLADCGKRYGLKMTPPDKGSEFNNV -TWENVTFLKRRFKPAKHYPFLIHPVFEQQELLESLRWTRNPAATQEHVRSLCELAWHSGRKSYEEFCNLI -KSTNVGKACILPTYISLKRMWLDQF - ->YP_009552136.1 polyprotein [Marmot sapelovirus 1] -MRLIPTTEHMTALQLLLCRNAKVGGGTDYFEVSVFLLFSYLLFMETRRVSKMMCPVVSQKPILEVFLSKD -GAQRQNVFVFGNKIVKKKTLSWQRSKTQRATQRGLSQSKPYTGNKPQGSYTNGNNNLTYQVNYYGHDYAS -ASSGATTQMDPSAFTKPMADILAGPALKSPTVEECGYSDRIVQLTMGNSTITTQEAANAVVAYGEWPEFC -DGLGEAIDRSTVPGPAVDRFYTLDSISWTQSFPGACYRFPLCLSELGMFGQNVQYHYLMRSGFIVHVQAN -ATKFHQGMLMVVAIPECEFEDSTANPPVDGLTDFYQVNDKWNRLYPRFQLTLFPHQFINLRTNNSATLVL -PYVSNCPMENVLSHSYWTIAIIPVVNLSYASGSSTNVPITVSAAPMYAQFNGLRAPVTQGVMTAQTPGSG -QFVTTIHNSGFPVYPEFESTHGQHIPGMVSNLLQVARVDTMVNFSTSGGPTNQLLLTSSSAAGSNILTWE -MDLTQRWAEPTYLGRLAKWFVNYRGSLKLTFVFCGSAMHTAKILICYTPPGVAAPTTRKDAMLGTHIVWD -IGLQSSATLVVPWISQTQYRYTEVDNKFSMSGYITMWYQTALVNPAGVNNSAYIVGFLSASDDFQFRIPT -DNAYFQGLNSELGRDITGHTEKQIEDVTSMKPGSGDTPSVTTGESAALTAVETGATGGAEANLLMETRLT -KPSFSGMASDVSNFMAKYALIYENSSHFGRWQSSGGVYEGNAFNVALSLDTLEATAALRTKFEMFTYTRF -SLDVVIIMDAYPTSKSGETDNIIQQGTFNFQALYCPPGLQLPPLTMQEMATNDKRWYMPTTPSVYFKNDQ -PPATMRIPFISVASAYAVFYDGYANFDSTANYGDFPGNGLGNICIRPLWRPETANVGAIRCTVRVFAKPM -QFQAWIPRPIRPNNTGTTRRIMAMNVMPKRNHSSRRPRRQVTPNWIKKVMEQLPILHTQSGGMHVIPIAD -DKVILPLHWYVADRLPLQLLWVDDKHDLVCCRMYTNDQPKLCDCTEAYYTCNRAMFGRSIKYESSSWTSV -IDVDSETVGPHEQEDLISCKGEIPFGWCGSPLFCKHGICGIATAATSDESHFTHLASVDKITILPEPSKW -SSIGNVAEADEQGPCDWAAGIAEQLGSAFGGGFTDKVSQQVRETVKKIPNPSDGMTKKCISMLVKAICGA -VLISKSYDASATAACVGVMLGIDLLVESPFDWLKKELRKILGIREPEEQGFVDWVKDFNACATAAKSLDW -IGEKIQKFIEWIRKLFEKESPARKRFNEQLHRLPDLMKSIDRALANRGLFSDEQLLRLIQNMETLKLGAE -VYGVERNFATTQIVRYYNKCQELRKSLASNRVEPVAVCFHGTPGSGKSLCTQILGRALCEHHAGKIYSLP -PDPKHFDGYAGQPVVIMDDICQNPDGEDMKLFCQMVSTTEFYPPLASLEDKGTPFKSKFVLCSTNQGMLT -PPTVAEPEAIARRMFIDCDIHIAKEYKVAGKLDAAAALKPCKDHVPTVFRKCCPLICGRAVNFRARNSTV -TYTLDQIASEVLRERAKRETTGDLLEQLFAVEQGPSLDEGQCPDCPQGICPKGQSKLHHAKMIPDPEEWL -QSEWDEESCVLLTMEEQKKAGLVKEQPCPKEIADLLRAVPNQEVIDYCISQGWVIPDKQKYKLVRESVWT -WTNRVAGVLSILAAVSSIAGTIYLLYRLFADNQGPYSGTQPKQTLRAPVPRVVVQGPSCDYAQSILRKSL -FETNLKNGPFTAVGLFNNWLCLPRHAEVGDEIQLDGTPFKVIDQVFLETAEGELELQCLKINRPINFPDL -RPKLLTKMEDVNDCWLSVNAGHFKGMVCPVGKLKRWGRLVLSGRNTTRTAMYSYPTKSGQCGGLVTSCGK -VIAMHVGGDGTRGYGTYLLRHYFDGLDKATEQGHIVEQKPSKVQIHHSTKSKLRQSVWHDVVPGSKEPAA -LSQKDPRLEVDLVSAMFSKYSQNEEEIEITPHMKEAAKYYAAKVKPILPDNVTDQISLEEAAYGMENLEG -LDLNTSAGYPYVTMGIKKTQILDKETRDTTKLQRVLDEYGVDLPFVTYLKDELRPIAKVKAGKTRLIECS -SMNDTIRMKLTFGRLFATYHQNPGPATGSAVGCNPDIHWTKFRAEMEGEIVAFDYSNFDASLNKCWFECL -KIVLKEFGFSDMRPIDHIIRSRHLYKGEEYVVEGGMPSGCSGTSIFNSIINNIIIMTLVLDAYKGIDLDQ -LRILAYGDDVIVTYPYALDASLLAGCGKKYGLKMTPPDKSAEFKSLTWDDVTFLKRGFKPDARYPFLIHP -TFDLNEIFESLRWTRDPAHTQEHVRSLAELAWHSGREEYQKFLDTVNLTNVGKACILPPYETFDRMWLDS -F - ->YP_009345901.1 polyprotein [Bat sapelovirus] -MVEQTPNQGNGTVLRIPNVQLRFLLALPGVGCGRGTPHIGLYCLIGSRLADHALYSQLILTMVFFFKTAT -QPSIVPRFVRVSMEYSDGIRQINWSGGMIQIWKWWFYWMPNNPMFREEVPCIMASGKKSRACYRVIHYSL -GGKEIASTGVIDMLDEETKYTDDVNEDRAIQLGQVNSAMTGNKPNFAHAGGNITQINYYGTDHTQAYNPT -QQTMDPGQFTKPIADVAASMAGPTLKSPTVEEMGYSDRLMQLTSGQTCITTQEAATAIVAYGQWPRELNN -TGEAVDKPTRPGPACDRFYTLDSFNWTKTSDSWALPLPGAMSDIGIFGQNLKYHYLYRSGFCVHVQVNAS -KFHQGSILVAMVPEFQQPNPLSDGVNVDPTLFAKSYPIAQMTLFPHQIVNLRTNNAATIIYPFTNPTPSA -FGLTHNFVTLYIKVLVPLNYNTGATPSVPITISIAPMESQFSGLRNSISAQGFPVWQLPGSRQFCTTVRN -AGIPIYPDFSKTDSFKNPGRVRNLLEVAQVGTFATVSNDSTSAYTLNIDVSAPSIANGIAAPIAVWDMSL -NATFMRSTYLSMLSQLYTQYRGALKLHFMFCGSQMATGRILIAYTPPGGSAPQTRKEAMLGTHMIWDIGL -QSTASFTVPFISASQFRNQNQQNSILSYDGYITVWYQTQVVVPPGAPSTCQIMVLASAASDFCFRIPSDS -AFFQGLGDDLQGFIKDSINNALESATSTKAIENPKGLEGGLAIKEGEAPALTAAETGTTDTNPGEGQMEL -RDYNNQYSTAETDLEYMMSRYFVYTSFNIGFTQGTGTGTQGNYTMFKSFVVDFNKIVATSTAARSKWNSM -TYWRFDVDFVFVFSSLQNGTSYSNPTFQVMFCPVGSTVPSLVDSSLWDNPTNPSVYVRLNDPPSSFRVPF -MSPANYYAAWFDGYSNFSKETNSVYGQFPGNQIGTIAIRYLTNPYNSTTNHCVNVKVLCRPINIEACMPR -PLASYKQNPTTAAQPKGRTVYTDSATIRLGPPMHLKKMAIWSTPEWSDSSADMFVDDLFTRFSIPFVGEN -GDFTAWMWNKDWCVVSAHAFNDWRFATKFGPGFLRFKTGHDKWMFTPPIQDYVTEYSVIEHMDLCFFKCS -LTFKKGIRDFCYRSYTYHDTHTNIIVNSGHFPMQYQVTGGYHYRPNIRSENNKIQVDLIGVDFDGERGFC -GGLLVDPTGKKVLGMITAKCMTGYGDTLGLKRWVTYSTMLLKTTRKEPPAPHNGGEACIQLGPVYQGIRD -TILSAFGSAGSALGDGFGEQLEERLSAVADRVESKLVRAQHVLDNKFVMSSFKAVVKVISALIILLNTPE -WNRLSTGFALVALVGVDFLDRDPFQWLREQIWPNEYIVEEQGFTDWMKDFNAACTAAKGLEWICDKFMQF -IEWCKKVFKKSKEDEKRKAFLDILKCWPDMMKAWDEMETTRKGTDTERRELAEVILKMKQSADVYGVERN -FATCQIVKYAARASKYLQGLSKTRFEPVTVCIHGSPGTGKSLATALIGKAVAMKTDGKAPYSLPPDPKYF -DGYTGQNVVIMDDLGQNPDGEDMSLFCQMVSTVPFIPPMASLEDKGVPFLSEFVLASTNQLELKPPTVAE -PQAIKRRFHLDLDILVADDYIKAGSDLSKPQLDVAKCKTCAHPPQVLPVYFKKCNPLVCGEAIRLKDRKT -GNVYTVDDVVGEILRERKDRSSVLNIVDGLFQGGVDKKDVEFAERIVRPKEVKKVDRVLPEDVAKILEFH -TDEVLINKLVDEGYIIPQKVTYERERKKVMDYINMCANVLAAVAVLASSGALIYFLIKAFAGSQGPYEGA -AKKTLKKPERRVVEVQGPDNEFINRLYKSSIFQVVTTRGPFTGLGLYDNWMILPRHSEPGETITISKKVY -KVLDVVALESTKGNLELVMVQIDRTEKFRDIRKFIPSSITMHKDAWLVMDSEQFPRTLIPVGTVTPFGFL -NLSMRATYNTLTYAYPTKSGQCGGVVVKAGAIIGMHIGGDGANGYAAAFKSSYFSGIQGVIKSVERAPKP -VNVKSSTSLFPSVFHDVFPGTKEPAALSKNDPRLEVDLNEAMFSKYKGNVDVSIPPETFVAIDHYVEQIR -PLMPPDLTEPLPLEDVVYGIQNLEGLDLNTSAGFPYNTMGIKKKDLIPERGEPLDKLVDALDLHGYGLPY -TIYMKDELRPLEKIKKGKTRLIHCSSVNDTIRTKRIFGKFFQTFHQNPGTVTGSAVGCDPDIHWSRFAVE -LGWDNVCAFDYSNWDGSLSPFWFDALKIFFLKLGYSEKDIVVIDHLYKNKQIFKNDLITVEGAMPSGCSG -TSIFNSVINNIVVRTLVLQAYKGVDLDQLRILCYGDDLLVTYPYPLDPSVLADFGKKLGLFMTPADKSDV -FDGCKSLSEVTFLKRSFVPDEEFPFLVHPVYDVKEAAESLRWTRCASTTQEHLRSILELVWHSGEDVYQD -FVEKIRSVPIGKALVIPTYSYFRATWLDKF - ->YP_009337745.1 hypothetical protein [Sanxia picorna-like virus 10] -MFVPMQPESKPVAYRRKRFAKDGRTPTSRNVGDNSSPPISQVLPPIEGSMPKPLDFFLDGPKRDPKLDTN -AEFPHELGEALGTSLIEQQAHEADCDSHCSSLSPQLDELEDISVFLPANAMTGYPGPKEELREEASRSKF -FFNATIVFFLLYYSLRDFAVSTFCSAYVRSLPRRVVLVIVLPYLFIPFSPPSFVWAVPYIQVAILLHFKL -RKDVYFEAKIAACGKVPANHIDDLSLAGTLATSSSSIESDPFLEMVLDTSSAPTKRVGALATFYEVLGEH -SSAKTLAYFLSGLFTAPSYKTDPVKCVIFHTRNFSSLFTDLKTNYGSRISQLLDIIENEFQNMFQMCFWG -ESSSDEAQPHETWMSLFAEGFEKLERFQETPMGAVLSAGVSLISSLPIMISKCYTAENWVKQWKILCAAF -KTTGGSLSTILKSFLAVHHSVVQTDSCWGSSSILGRILDCTPFYKEYALCRERFRKASLGVISLDSVTAE -SFLHRLARLEKMATNRLTSNSSAYDISIAKDCTELYSSMVTWVMRNTSRTVPFTIFYDGVPGTGKSTLVE -TIDKSLHAWRGISDIRDTAVISLDGKTDEITTNRTTSIIIDDYGNKKGPARQSGTSLTNFCIGNMNSTYM -SVLKADLPDKGKHVYRNTFLHVIDNDAHRGVSEDLRCEFAFKRRAENSVEIKCRPEFSNPSGGLDLAAAR -NTTGYGEHLLFRPYSWEKIGNETPNMKNVFNGDGFVGHAAFYEWLKSTMVRHFELQESMLKRKADSAKLP -LCNVCGILPHMYCACGAEDHCFLDAHCESVFEALTFSPSVQGYLDMITVRIRLYSASTNSFFVRSYAWIM -EAPVVHVAFSFSAVLVWYLLQQGYREASFVVLVLFSWLVYVVSKLKRAWARYRMLALDELLESHTAFLRR -HSTRFGMLMAALSVLIAAPRVLNAIISILPDKGVHPQTAMLSKPRHDGDSVLTEQQDVKPEPIWRKVVRV -PVISDPKARTITESDLMRLCQLNTLKVRVRQPSTGETMPVYLFFISSEIAVTVAHAFVFDSKVGPVDILV -DGRKPLPVQTHRMYISSGKDLMFLYLGDFFSQKRNLSSYLAMNAPPKQVLLKMVPNSGGWETERIESVFI -DTDYNLSSVQGVVFQKQGVYRSIGHSLETGVGQCGLPYVGGSTSRTIASIHVAGNKHTTSYSLPILKCDY -DLAVQQLTATGFKYPLHVNPYAMQSGKASPESEPDPKHPVHFLQGEGEEIRVEKWVLKNFTPISSIRLNP -YLSSAIKHLGLRLHKDKPVMKIRQSAHKVLTSLTEDKQFGNVDLMVAASRLFIEQYVVPVLADVSVEEHM -RSPLSMSQVLNGIPGFVPSVCLSTSSGIGGDKSLYVEGEVGARKLVPSMEQDVENIMQILKDGGNPWIPA -VACLKDEARPPGKLQRLFYNPVMPQFLILSQYLRVPLDVITSNPQVFSTAIGLDPVGEEWEKVVEKFSSP -EFRENCFDLDYEAFDTNQSEFMRNLVDNVFLEIASSLWSDKEHLFMLKKILSWANDTPVDMIGAVMSLKW -LMLSGLLFTAHKNGLVTLHVVAADFIRFWKSKGKDPFSMSMNDYVRVVTLGDDTVASVSDVMRDCGWTQE -HLVATASGYGLKLTTAAKDHDFKFKSYTQCEFLKRYYSYNSDLQRNVGVLSPKSYLQPFHTYTPSKEMTL -EEYQFQQAETVLLEAMYDGRLLFEEWKTRLRNYFQETPFAIPHCVEWSYDALIESRSSSQRQKQLFPIEN -KGLALLREYTLEGPTVVEKRHIFRAESEPTERVGIHTLEGEVQDDAGYTNVNAVASRLPLDTITQPESIL -HRRQILRVVQLPLANVESFCPMLEMASQTIFRTRANHTAFRATGIKLTFVTSCPSTVSGCLIAAVVLPMY -DDLSTAAAISTKSMNGMSLLSQLQHVIIRLGETSNVWHLTVPFISPNHAETTYDDVPSDKPMLYMVPIVP -VTTSAADGPTITIRIYGEFEGLKLFGATAAVNPSRAFGQSQPESQVKHTWSLTEDHVDSENIDSCLESCR -IEWSTFEANNPWTASDPVIPPLPHVTPEEPGYCYLHGFPPHLRDLASAYLGAFPLGCQILTCPVTEPLLL -CFAFSVSGWPTLKCRQFSRNVFHVYPSSSSSLTLVDICWLHFNRKLGMDGAIGAQEKLSTRVLKVSNLVR -QIGEEMPLLNLNRPSEVVRMVGNALERFGFSKPITASATRPSLFFPNAAGEDSADVLAVDPNCEVAPGLP -TSIEDEMTFAAVSRKWTFVSLLAIPPSAPKGTEIYHINVTPHILVEGRPACCAAPGLFHELWRGSMEYKL -EIYAPMLISVRLGVFFDPLGPNTPELLGGIREEVEDFQENVILDSSAMQVCEFCVGYSSCIPSLKVAPAQ -ELQTIAQHNAWFSSASSYSMTGHNGHLKIRVIDYVNGGAAASMPIYAMLWARAGSDMQWGCYTGTLPMGL -KISPPFIGDLITVLDDPCTQVPLTLVPPCGESQPPISVPAPSSQTPVPAPVVAQTQAPTKSSFFPTLFGG -APSAAPAASTPAPTQKAPTGVPTKATSAPSARPSSAKPSTSPSFRPSTSVPTTMKPSTGMPTSGKPTPII -CPTGYTLESVYGRGFWISPQSWSKADGTLSAHSIEYIGLGDDTPQILRIGSYCAESGFYFRVPISAIAEE -AWTLIDGNDTVNLDSLASVQSLPSPDPLYRGGYYAVNKVPIGPVPEIQQFGLKNNVAGSKLYIERTRMGG -VPAGYAWSHWTIDDPYPEVPHCWVYSSLHPEANGGKTPATIVTINGMRASGPTTPTKITIRLRDWFGWLS -VPFGLDDKYLIQIMFKGTISIPEANNWSQSKPTIQEALLVVPLKFGIVCSAENPIFRISVLSPWDPSSGN -PRLGDWVDQNAFPKAGRRLKEDAFFAETGEISPSENVSTVKTHHFGAGLDNSEFFVRMLVGEQSLSFRPL -LKIPNLVATIEPPSQGAFWVASEVPQSSIEGNVTRSLFGFLSGMFLAWRGGFIYHYVVYGNGAVEISRVT -RDQTGLDYKVSSTARGIAFADSRVCPRVSVKIPWQESTMFAYSGFDSFTPINMLKVVKIDQGFCQVKEFR -STAEDFSFHYFRGMPSFVP - ->YP_005351240.1 polyprotein [Canine picornavirus] -MSELFLSKETTLFCRIRKIVLFWNGRLKVLRRIRIPVTSNDQTKRRINCGAAVSKGETGNKHQVAHAGGN -ITQINYYGSDYAQAHTAAQNNMDPGKFTKPLTDLALATRGPTLKSPNVEECGYSDRIMQITSGNSTITTQ -EAVQAIVAYGEWPEYEVGIGEALDKQSEPGPACDRFYTLDSWQWTNSSRGYGYDLPGCLTDIGIFGQNCT -YHFLMRSGFCIHVQLNASKFHQGMMLVCAIPECQSSSGERSNSIFQLQERDFADYPLAQLTLFPHQLINL -RTNNSATLILPYVNASPSENPLSHNFWTVFITPVVPLSYNTGATTSIPVTVSIAPMYTSFSGLRNSVPVV -NREATSQGVPVFGVPGSNQFVTTIRNAGFPLLPDFEETPMHKIPGEVNNLLEVLQVDTFCTVSENANTFL -NLDVTQQSDFGGRIAVWDMSLNSNFFASTYLARCTRFFSNYRGSVKLTLTFCGSAMATGKFLLAYTPPGG -NAPTTRKEAMLATHIIWDVGLQSSVDFVVPWISQTAYRFAHTPGNVLSYRGYITMFYQTQVVVPPGAPST -CQITCMAAAAKDFVLRCPTDSAYFQGLGDDIGKIVNDTLSNAIQTLDIKPVQGKPMPDQLTVTSGDAAAL -TAPETGVTSETEPANVMETRGLATTFSGRETDISNFMSKYALFHKTALATKASGTATFVNIPLYFSDAAN -TQLAVRAKYRMFTYLRMGFDINMVLSIDPASRSRNNVPNLTDKVTVQILYSPPGCPKPNAYDSQEWYLPT -TPSVFQEANTTPASVRLPFMGPASVYASFYNGYRNFNPETAGYGQFPGNYIGDISLRIVENFRSSDNTSD -VIVNVLCYARPTNIRAFCPRPIVTQKSVSMLSKSKGRVEFVETDEEECFQLVQEADGTERRVMCGRRAQR -KRKLKQTINAPMWAQDVMHFLWLAWDMEDGNQFHIIPIDRYHAIMPSHLYSESLYFSRSIGHKYEYFYYE -KVWEECLNDIVCVKFDIPMFRDIPPFCNDCFPKNTWTICKNGLYHAAKWMGDLTYEDAIWVDGLEEYEEN -TVMGPHWQQHVLRSGNNYINQGWCGSPVVCKNGICGYASVSDCATECFFVCFPMIRSYQKHCPVFQGPEE -EGLLSDGLRLAEYERRCADWSMPMDLGIGYDQPEQGMKEFQGVKEWCQDIAAGAGLSFGTEAMNGIVKEA -EKMMVNAALGQINWKTEMAKKIIAIIIKTICAIVLIAKSDDKTSTAIAVGTILGVDLLLEDPFEWLKIKV -YKALGIPVAQNQGVSEWIKEFNAACTAAKGLEWIGVKIQTFIQWVKDLFKREDPRRRKFLNQLEDLPILM -EHIDKIMVARGKYPDDQIVKVCNSMRTLKRGADIYGVERNAATTQIVAYYKKAMSILQSMSNGRVEPVGL -LIHGSPGSGKSLATEIIGRCLTEKHGGNRPYSLPPDPKHFDGYAQQPVVLMDDLGQNPDGEDCKLLCQMI -SSTEFVVPMAALEEKGMNFTSKFVLASTNAADLKPPTIMEPKALARRFFLDLHIEIQKEYNMNGKLDASS -ALNMCQHPSTNFKHCCPMICGKAVLFKDIRTGIKYTLDDIVSKLQREYQARSSCGSKLDAIFQGSDDEWF -ETDYDKAPHVLKTFDEMKESGIELPMPREIADLLEAVNTPEVVSYCEQKGWIIPQKVKIERVRSDIKKWA -AWITTGLSVLASIVSLGSFIYMIYKVFAKSEGPYNGFSQQPLKKPELRRVAKAQSPDMEFINKLFKQSLF -EVKTEKGLFTGLGLYDTWILLPKHSRPDGDILLDGNKFEIKEVVEIENKQGSLELVVVNIDRPVKFRDIR -KYLPDHFSKEKDCFLVMNTALFPKLWCPVGEVSSFGFLNLSHHATYNTCRYHYPTKSGQCGGVICKSGKI -IAMHIGGDGKNGYGAILTKKIIGVLEQGEIVKMKKAPKPINVSCKTQLQPSVFHEVFDGDKEPAVLNPKD -KRLEVNFEEALFSKYKGNKNFEVTKNMDVAVDHYYSQMVSAMPENLTEPLSLEDVVYGVEHLEGLDLATS -AGFPYVVQGIKKKDLIPARGESLSKLQDALALNGYDLPFVTYLKDELRPKEKVKQGKTRLIECASLNDTI -RMKRIFGRLFQFFHANNGILTGSAVGCNPDIDWSRFYAEMGERPLCAFDYSNYDASMDPAWFECLKRLLR -KFGYEEYQVRLIDQICNSKHYYKDKEYEVVGGMPSGCSGTSIFNSMLNNIIIRTLVLDAYKGINLDELKI -LAYGDDVIVTYPFPLDASILAEIGKQYGLTMTPPDKGSAFNEITWDNVTFLKRKFVSDSSFPFLIHPVFP -MKEIHESARWTRSAATTEEHIRSLCHLAWHNGEGDYNEFLSQIRSVPVGRALNLPEYSVLYRQWLDSF - ->YP_004934011.1 unnamed protein product [Feline picornavirus] -MAFKFTSRNPTTEVYRAHGIFHVFHTQGRTCTYTRVTPSALKQRGRAYNVGLAMSTNSTGNKPQVAQAGG -NVYQINYYGSDYAVAKGEATTQMDPEKFTRPVADILASKGTALKSPTVEECGFSDRIMQITSGNSTITTQ -EAVNAVVAYGCWPSFDSGAGEAIDKLTDPGPSVDRFYTLDSIEWSTTTQGYYYNLPGCLTNLGMFGQNCA -YHYLMRSGFCVHIQVNASKFHQGTLMIVAVPECQFPGEPTAEFGTIPDTLRTEFWKQYPRSQLTIFPHQF -INLRTNNSSTLILPYVNATPAENALTHSYWTLLLVPLVPLEYSSGATTRIPVTISIAPMCSSFSGLRNRI -PLAQGIPTFQVPGSEQFVTTLRNPGYPLYPEYQKTPPHHIPGRVTNLMEVAEVDTLCNLSDTEVLYINAT -GSAPLGENLGTWDLSLQGNLLSPTYLGRLSRFYTHYRGSINLTFMFCGSAMATGKFLISYTPPGGDAPRT -RQDAMLATHVVWDVGLQSSCSLVIPYISQSQYRFSNISGNKLSYDGYITLWYQTAVVTPPNCPNQCALVC -FASACKDFEMRLPVDSAYFQGLGDDLSKVITSVTKDITQPLMEPVTGTVASLPQQLSLQVGDGSALAAPE -TGVSATTEPEQMMETRVSTVLYSKYETGVEYFMSRYAKFSQIELKRASVYHDKIPLYFNDTRATQRAIRT -KYRMFTYVRCDYDVVLLASTNRVDGSGTENQVNTDHEFKLQAMFCPPGSPQPTNFDSPEWACPTNPSIYF -RLKAAPASFRIPFMGISSAYASFYNGYSTFTPQAAKYGEFPGNYLGDLWVRLVADNSRPGSASTVVVNVQ -AFCRPVNFEGYLPRPIVSLKPNVRVGPSRGRVEFVETGVSMEIGVGPFGADARPCNAGPRRSGLIPDRLE -RTYEKVWLAHHPKGFTFNVVPLHSDIVLIPFHLFSTNLMFSHGAVYHFSEYKPVWMSMTYDVAVLKLEKP -TFHCSPPICLHGCRSGWLKCVTREICMSMRVQGFDLDTLEVGETEWVGAHTQRGLISSQGPVPYGSCGSP -VICEHGVCAITTATNRKQSFFTKMSEIPYFQAMEQGPGDWLAGIFENMGGAFGEGLIQPIKDKLDDIRAN -LTPNTIRSDLTKTTITTLVKIICAMVLISKAYDKVETAALVGTMLGVDFLSKDPFEWLKERIAGPQEQGW -ASDLSNWIKEFNAACTAAKGLEWIGQRLSQFVDWVKSFFKKEDRRRTHFIKGLEKLPMLMETFDKISTSR -GQYSPETVKRVCTAFRELKRGADIYGGERNFATGQILSYYKRAMAMLKSMSSGRIEPVAILIHGGPGKGK -SLITETMGRQICKVMGSSLPYSLPPDPKYFDGYTQQPVVIMDDVGQNPDGEDLKLFCQMVSSTEFQVPMA -DLEDKGLLFTSPYVLATTNCDRLAPPTIAEPKALERRFVFDLDILLEKDYTDRAGRLAADEALTQCSHPA -TNFKRCCPLICGKAVKLKDRKSGITYSVDDLLTCLYRESARRQRCGDKLDALFQGIEDEIRMLPDAYRQK -MKVKHQIHDGDLGFERVQMVPDPEEWFETDWDKMPHILKTIDEQVKEGIIQDKPMPNEVADLLRALRGDE -KVVKYCQEQGWILPPDLTQIRVERDVKVTIEQVATGLSILASIATLASFIYLAYRMFASRQGPYSGESPA -PLKAPVPRRVVVTQGPDSEFALKLMSTNLLDVLTAKGHFSGLAVCDTWILLPMHSDPGDVVSVEGKEMDV -LERVDLNNEQGALELTLIRINRPTKFRDIRKFFPPAFSAERDCTLVVNNKNFPRVMLPVGAVTAFGFLSL -SFLPRYNTCTYRYPTKMGQCGGVVLKAGKIVAMHIGGDGLNGYGAILTRKHFAFMEGAIVSTSQAPRPIN -LNTKTTLRPSVFYDTFKGEKEPAALHVKDRRLEVDLEKAMFSKYKGNLDVEFPPELSLAVDQYVEQIRPL -IPPDVTDPLSLEDVVYGIDNLEGLDLNTSAGYPYVTMGVRKKDLIPERGQPLGALVEALDLHGYGHPYVT -YLKDELRPIEKVKLGKTRLIECSSLNDTIRFKTVFGRFMQVYHRNPGTITGCAVGCNPDEHWSQFYHEFK -QEPIMAFDYSNYDASLHPIWFDALKMIFRKLGYSENDLGLIDHVCFSKHIYKSTLYEVEGGMPSGCSGTS -ILNSIINNLIIKTLVLRTYKGVDLDQMKILAYGDDVIVTYPFQLDASIVAEEGKLFGLIMTPPDKTSTFN -ETTWDTVTFLKRKFVPDHQFPFLIHPVFPMSEIYESIRWTRNPQQTNEHVDSLCRLAWHCGEEEYNDFIA -KVRTVPVGRALSLPTYRVLRAFWLDLF - ->YP_004935357.1 polyprotein [Quail picornavirus QPV1/HUN/2010] -MDSTVSFPAYTTYFPHRMARMHRIATSVQRRLNDWGSWELRKLYVWDGYKSERKIEFHEYCRDQSKECSA -FKRHEIRPQCVMGLDHDQEPYRHFVCGRHEFAETPMCCGCVARDEGPAIFGYNLSTHEMLNLPGHALYKH -RIRNIPIFGGCKDISGWHYVCMVHIQKCKEEDRCCCCDYRQNTFECAGCVYQLDNAELTNHRQQPGEPEW -SMSDYNRLLQFYPPSRIEVKENGMLRVIPEGTLQGAQCKKLKACRPKMYCDQGYCHASCRRHRSALRCCL -CSKAYEEEDLKVEALEYPCYGGRHQILKKEGGLHWQCDGHYGDDDCCCCRDDSDDVHTMLVPYDQVKSDK -DWPCYGDRTQIYLGDRKMHWICDAHLGCTTHCCCCDGQFQGQLSSTMSGNKHIGASGGSTVNYINYYGAE -YANAANAPTQNLDPAAFTDPVTELASSSFVPDLQSPSAEQCGYSDRILMVTAGNSCMITQEAASGAVVAY -GRTPEYSPNQGNAVDMPTRPGVSCDRFYTLESIYWNKTGFNGAPTGGNYVRVRLNLFWALQKLGVFGQNC -QFHYLCRSGFLVHVQVNATKFHQGCLGVYAIPEDQYWKMGPNGEIIPNEANVAGDLASWTYETMPDDGEW -HQWNIYPHQLINIRTNNSATLVLPYLNITPACLPKIHCPWSLNLIILADLDYAAGAATTIPITVSVAPQC -SEFAGLRSAAALEGVPTFQVPGSGQFVSTLRNAGYPLYAHFEPTHSFENPGRFVNLLEVAMIGTFADVGQ -TQAASEEIPDGTAITTKMNAVVGNLVTKTKVFSLDISLSAKYLANTYVGMLAKMFQQYRGSLKYTFTYCG -SSMVTGKLLIAYTPPGGTEPTDREQAMLGTHMVWDIGLQSSVSFTVPYISVSQYRMTSGENTAISVCGWI -SMRYQTSFVYPPGTPTTSQIITTVAAGEDFQFRVPMDNAYFQGPIKIESAVQQLVSEAMTLKGPATAVNP -HANDNVTTAEPSELTDAAPALTATETGKTQIDQGDSFAVQPSRISFSRQDTDVEYLLSRYHYVASFSLKN -EQNTATVFELPIDFSMISNGTNMARTMYCMATYYRCDFDFVFIPVATNPGAGIMDATIQYMFSPNGSVAP -PDTESQWATSLNPVTTFRLQQNWSSVRIPFLSTAHYFSTFFNGYGTFDKNNYGTNPCNAIGSFYFRLLDQ -LGVSTVSIQVWVRPVNLKVYCPRPIIRFSHAELESASRHRIVVVDENGKIPNSILRQGEKQGPCARKQGL -VDKIRTTMQQAASEMGTNIGASLSGNLIKGIEKTILAFEPEDDNHGVLDVLEWVTKLVCATTIIVRGHHD -PAIVTSCCVMLGVDILTSDPIDYMKRKICQYIGVKYWEHKVKSCLKQGPDGMRDLNTALNIGKGIDWLIH -KIKELIDWVKDLVVKMKHQETMADELKFYLNEWFLYQKDPSKFTRGSVIKLCDKLLEIKDRVQEEAEVPK -ILFQQLVRIETDIRREVSHSRCRSFEPVALVIRGSPGQGKSLVTGVIGKALSKFYRVQEPYSLPPDPKYF -DGYKGQMVTIMDDLGQNPDGADFQFLCQMISTVDFYPPMADLADKGAPFISDFVLASTNLRTFNPPTISD -VGAINRRFFIDTTIDLSKDYCNAAGKLDVQKALAKCDHEVDVLYTKHCCPLLCGKAVKLVDKKGNKFSVN -EVIGLLVSEAERKRKVGINVDGLFQGPTAPKPILETVTVSGSALPEDVADALMANQAGDNLIDQLEQAGF -IVPVSVKDRCLVRQVSHWRRILKASVIGLGVLATIGGLVYAFSHKFVPQGPYEGATKTQLQKPVVRTVNV -QGPGAPNPDFQFAQKIQKKSLLPLVTGAGPYTAVGIFGRTVLLPKHAAVEPYKLNGVELKVMDSYELVLD -GGRNLELVCVEFENINEMPDLRKFLPDRIDSYKDVMLVLNSDLISAIVPVGRVTPLSSVCLSGDIVNRSL -TYRYPTKSGWCGGLLVKAGQIIGLHVGGDGYNGYASVLLAKYFVQKQGKIIKEEPAIWGNKTMSVHMPSR -TQLLPSVYHDIVPGTKEPAVLSDKDKRFNGNLKETVLSKYKGNVFPEQLVKKGLLSINLDEVRTAVQHYV -EQLRPLLPEDVTEPLTLDQAIEGYHGLQKLDSATSAGFPYNTMGITKKQLMANDRKLLLEGLDLHGYGLP -YTCYLKDELRSKEKILTGNTRVIEASSINDSLHARQVFGRLYSFFCENPGTATGMAVGCNPDRDWTRFQA -EFDSSYVISFDYKNFDASLSPMWFAALQGVLQGLGFDENDVEFIIDHMCFSVHLWGDTFVHIEGGMPSGC -SGTSIFNSMINNIILKTIIPMAYKGIDLDALKILAYGDDVLVGYPFPLNGEPIAKIGALFGLTITPADKG -EIFTDGGPLELHTFLKRGFKRDDEFDFLVHPTMDKTAIYESLRWTRDPKDFQDHVRCLCELMWHHGKEEY -EEFRNTLKKSHIYRALNIPPYEYLRRKWLDLF - ->YP_004782529.1 polyprotein [Bat picornavirus 2] -MCFFFHTKTNDISPMTRKTSVERLMSPGPKCLDMGEELLIVYDYYMLFIRQNPKVVPRIECPVNAEHKRT -INCGAAASRTSTESHKNQSATAGGNITQINYYGSDYASAHGAAQTRLDPDSLARPLANLADMTGPALKSP -TVEEAGFSDRIMQITSGNSTITTQEAANAIVGYGVWPEIDQGVGQAIDMQTIPGPSCERFYTLDSLKWTR -TGLGYGIKLPAALTDLGVFGQNCQFHFLCHTGYCVHVQVNASKFHQGMLLVCAIPDCKVDGRDNATVYNL -EAKDLEDYPRFQLTLYPHQFINLRTNNSATLILPYVAASPAESPLTHNNWTVYVTYIVELSYMNGSSTEV -PITVSVAPMSCSFSGLRSKVTRPEHQGVPVFEVPGSGQFVTTIRNSGFPILPDYEETPNHGIPGRVRNLM -EVCGVDTFCNCATSTTTDEQLSFSVSINDSGDGPIMEWDMDLSAVLFNTTYLGRCSRWFSQHRGSIRLTF -MFCGSAMTTGKLLLAYTPPGGAAPATRKEAMLGTHVVWDIGLQSSITMVVPWVSQTVYRYNHPKEENVLT -YRGFLSVFHQTALVIPASVPHSAEICVLCSATSDFVMRCPTDSAYYQGLGDDLGRVIQDQVVASATTAFA -PAITGTSVPPTLSIQVGEAAALTAPETGATAATEAPAVLETRNLALSFSMLETDIENFYSKYAFFFKMDF -SPDKGAAKWFKINMEFDDKETTQKAAISKYNMFTYIRCAFDLVIVTSVNGSSGAKNGLPMVQALYCPPGC -PTPTNQDTMEWYLPTTPSVYQHVGDKPISLRIPYMGPASAYATSYDGHSDFNANNAKYGLFPGNEIGVLA -VRLVAAGTSAGQLDSWVRVSCFARPVFVEAYCPRPIVTKKTSSKANVTRGRIVYVSDGPAEVHHIPEKDR -VMNMGPKHKNGRRLAVTSCPPHLIKYFEDCYQCLNEDDGFSFHCFPLNPRECLIPGHLYVADLKFQRTNG -AYPHEIPYRKKWADWTMDLVCLQLDRDFFETRVHLCKRQCCKNTWTVCDTTEHNVAIRCGDATFQKDVWV -DGPVTSSGHWQRNLLRVEIPIRPGHCGSPLICKHGICGMASVSDEQSVSWFTCVWMADEYEDEDLYPFAE -EQGPDADEQGLRDIMNNVAKELGHSFGASTMDGVADVIEDAVKKYTFDKANAQYTYVKLVLKWLVKTITA -VTMIARSDPDRRIEVASGLGVIFGIDLLTTEPFEWLKSKVLDCLGYKNASEQGMNDFVDWIKDFNAACTA -AKGLEWIGQKIMQFIDWLKTLFKKENPARTKFMQQLEDLPLMMKHIDSISAARGKYPESEIKKVCEAIVD -LKRGADLFGVERNTATTQIVKYYQKAQNILSSMTKGRTEPVAVLIHGAPGLGKSLVTEVVGRQLSRYYGA -GRPYSLPPDPKHFDGYAQQPVVIMDDLGQNPDGEDCKLLCQMVSSTEFITPMASLDDKGMPFTSDFVLAS -TNLSALKPPTVSEPNAIRRRFFIDAAIEPSKDYLKPSGHLDADTSLTQCDHAAVNFAKCCPLICGKALVL -KDLRTGVKYSVDEVVTKLKRERETRHACGSKLDAIFQGPYETCPSYESWLQTQYDRMPPVLKTFEEQKNE -GILRPTPKEVIDLIRAVPTQEVIDYCKSQGWLLPDDVVSIRVEKDINKMVTNITKGLSIIASLCAITGFV -YLMYTVFAKGQGAYSGQPTTPLKKPELRRVAKTQGPDMEFVNKLFKQSIFSVKTKKGWFSGLGLHTQWLL -LPKHSEPEDVIEMNDVEYKCLDIVILENSQGSLELVAVKIDRPVNFRDIRKYIPEHFQRESGCFLAVDNP -HYERMFAPVGTVSMFGFLNLSYKATYNTCHYHYPTRSGQCGGVICKAGKIIAMHIGGDGISGYGAILTKR -IVAAIEQGAITKMEETQTTPINMSTKTKLHPSVFFDVFPGEKEPAALHPKDKRLEVDLEQAMFSKYKGNC -SVTRPTPNMLVAVDHYVSQIQPIMPTNLAEPLSLEEVVYGIENLDGLDLATSAGYPYVVKGVRKRDLIPP -KGEPLTKLVSALDLHGYGQPFVTYIKDELRPKKKIALGKSRLIECSSLNDTILMKRVYGRLFQTFHANPG -TITGSAVGCNPDVDWSKFYAEMAGRPLIAFDYSNFDASLSPVWFECLKLVLSKLGYKDDPKICEKINADV -LPSWIIDHVTFSSHLYKNKYYEVEGGMPSGCSGTSIFNSIINNIIIRTLVLDVYKGIDLDQLRMIAYGDD -VIATYPFQLDAGALAEAGTTYGLQMTPPDKDSDFNDTTWDNVTFLKRTFVPDSEFPFLVHPCFPMKEVFE -SIRWCKSAAATEEHVQSLCYLAWHNGEHVYNDFLDKIRSVPVGRALKLPTYRVLRQKWLDSF - ->YP_004782528.1 polyprotein [Bat picornavirus 1] -MVFFFASTKTNETAESKDKVPKPRLMSQGPLCLDMGEELLIVTHYYILFLKENPLIIPRIHHPGNTEPKR -VRNCGAAASRTSTESHKNQSANAGGNITQINYYGSDYASAHGLASTQMDPEKFTRPLADMAAAAGPALKS -PNVEELGYSDRIMQLTAGNSTITTQEAASAVVAYGVWPTHDVGVGEAVDMQTTPGPAVERFYTLDSWYWT -KGSAQEGRAYKLPAALTDLGVFGQNCQFHFLCRSGFCVHVQVNATKFHQGMLLVAAIPDCQVTEHGMNSA -YPLSAVDFVNYPKHQLTLYPHQLINLRTNNSATLILPYVSASPAESPLTHNNWTIYITPVVPLDYVTGSS -TNVPVTISIAPMAASFSGLRNAVQPSFSVHTQGVPVFEVPGSSQFVTTLRNSGYPMLPDYEETPSHHIPG -RVTNLLEVMQVDTFCNPVNSTNAAVSFTLDVSNNSVSNAAIAHWDMDMGSVLFSTTYMARCAKWYSQHRG -GIRVTFMFCGSAMATGKLLLAFTPPGGSAPATRRDAMLGTHEIWDLGLQSSITLVVPWISQTAYRFNQPS -TSADGNVLTYRGYISVFYQTAVVVPVGAPSTCQIAVLASVTKDFVMRCPTDSAYFQGIGDELGKVITEAA -QHALQPLDVKPVNGPSVPAGLSIQVGDASALTAPETGATAATEAPSTLETRNVPVTYSARETEIENFFSK -YALFYDGMIDYNEAKILKVPLRFSEEATTQLAVISKYRMFTYLRCGFDIVINVCEIGSAGPKSAHATAQV -LYCPPGCPVPADHTSAEWFIPTTPCVYQKSSADAPISLRLPFMGPASAYCVTYDGYPNYRIGQKYGEFPG -NDFGTICFRFINLTGGNQVQDIKHRILMLARPTQVSAFCPRPIVSLAQHRPAAVTNGRIAYVRDGPDDVV -PEGDGTDRVLRAANCGPRLKVGKRMNPECCPPHLYRFFEDCYQCWDVDNEVSFHCFPLSPRECLIPAHLY -VADLVFSKHIAGPRAEIPYRKKWADYTMDLVCLELAEDYFQTIVTLCERHVCKNTWTVCDTTEHAVAIRC -GETFFQKDLWVDGKTDDEPGHWQRNLLRVNAPIQPGHCGAPLICKHGICGMATVSDEQYTSWFTCVWMAD -EFGTTVDPIAFEQGPDAEEQGLRDMMNDVARELGHSFGDSTMTGVANVIEDAVKQYTFNKANAQMSYVKQ -IIKWLVKVIASVTMIARAAPDRRIETAAGLGVIFGIDLLTTDPFEWLQDKIMNCISLRSARAQGFSDGLS -NWIKEFNAACTAAKGLEWIGIKLSQFIDWLKNLFKKENPARTKFMQQLEDFPLMMQHLDKIAESRGKYPE -EQIRKVCEGIKQLKQGADIFGVERNAATVQIVKYYQKAQSILQSLTKGRTEPVAMLVHGTPGSGKSLATE -IVGRLLSQRYGGSRPYSLPPDPKHFDGYAQQPVVIMDDLGQNPDGEDCKLLCQMVSSTEFIVPMAALEEK -GLAFTSDFVLASTNLNQVRPPTVSEPQALKRRFFVDVNIEVQKDYMVQNGKLAADVALTPCNHQAANFKV -CCPMICGKAVLFRDVDSGVRYSLDEIVSKLIRERDARKSCGSKLDALFQGPNDYQTCVSDVWLESDYDRM -PPVLKTYEELRKEGIERPAPKEVLDLVRAVPTPEVFDYCRVQGWMLPVEVRHIEIKRECSEMVSHIAKGL -SILASLCAISGFVYLMYTVFAKGQGAYSSAPKPELKKPELRRVVKTQGPDMEFVNKLFKQSIFSVKTEKG -WFSGLGLHSQWLLLPKHSEPGYEIEMNGVIYKCLDIAYLENGQGSLELVAVKIDRPVNFRDIRKYLPEHF -QRESGCFLAVDNPHFERMFAPVGTVSMFGFLNLSYKATFNTCHYHYPTRSGQCGGVICKAGKIIAMHIGG -DGQSGYGAILTKRIVAAIEQGEIVKMEKTETSPINLSTKTRLHPSVFFDVFPGEKEPAALHPKDPRLEVP -LEEALFSKYKGNCDVKEPTPNMLIAVDHYVSQIQPIMPENLTEVLTLEEAVYGIEKLDGLDLATSAGYPY -VVKGIKKKDLIPPRGEPLDKLVEALDLYGYGKPFVTYIKDELRPKKKIAAGKSRLIECSSLNDTIRMKRV -FGHLFQTFHANPGTVTGSAVGCNPDVDWSKFYAEMAGRPLIAFDYSNFDASLSPVWFKCLKLVLLKLGFK -DDIDKYIEFKSVPSWIIDHITFSSHLYKDKYYEVEGGMPSGCSGTSVFNSIINNIIIRTLVLDVYKGIDL -DQLRMVAYGDDIVATYPFQLDAAALAEAGKVYGLQMTPPDKDSDFNDTTWENVTFLKRTFVPDEEFPFLI -HPCFPMKEIYESIRWSRSAAATEEHVQSLCYLAWHNGRSVYEDFIEKIRTVPVGRALKLPSYSVLRQQWL -DQF - ->YP_004782204.1 polyprotein [Bat picornavirus 3] -MPVVVNSVYLFEVFLSKEGRRRLNVFIYTHNKAAWIVRSKVLVSSSNNIQKISNRGIAASKSDVGDRHEL -SSGGGNITMINYYGAQYSQAHANPSVQMDPEKFTKPLTDLALAGTGPALKSPNVEEAGYSDRIMQITAGN -STLTTQEAAQAIVGYGVWPDYDVGVGHAIDKETKPGPAVERFYTLDSIYWNQDWKGRVIRLPGALTDLGM -FGQNCQFHFLMRSGFCVHVQVNASKFHQGMLLVAMVPEAQHPTIGTNDNAALSDETLAEIPVPQLTLFPH -QLINLRTNNSATIIYPYTNCTPAENALTHNFVSLYIVPIVPLKFEKGASTTIPVTVSISPMFAEFSGLRN -RVVAQGVPTFQIPGSGQFVTTIKNDGFPALPHYQETPLHFIPGEVTNLLEVCQIDTFANLGTSELSFTID -VSNQTSVGGKIKDFDMSLNSTVLSTTYLSKCARWYTHYRGSVNLTFMFCGSAMATGKILIAYTPPGGDAP -ATRKDAMLATHVVWDLGLQSSVTFTVPYISQSQYRYNNIDGNIFSYDGFISMFYQTNIVVPPGAPSTCQI -VLLVSAAKDFTLRLATDSAYFQGLGEDLGNLVNGSVQTTAQQVLRPATGLASEVPNTLSIHTGESGILTA -PETGASSTTEAGAMIETRSVSTMYSAAETSIEAFMSRYAMFSAFDLRTGSTAANGEYRILDLRFSEESST -HKALVAKYRMFTYLRMGYDVVAIVSPKANIRDRVHMSSPPKFQMMFIPPGCPAPRLVDGPEWYLPTTPSV -YFSVDQPPASLRLPYMGVMSTFASRYDGFYSFQTTNPHSYGYFPGNDIGKLAIRAVTDGVTANSDLVDYR -VVIFARPTMIRAWLPRPIKSLKTQVSVSRSKGRVIFTDDDDADQLLIGQGYMGTDLKVSNRGPHPTRRKI -THIPRFAREALQTTWLAWDTELRFSFHIFPVSRTDFIAPFHLFNNRLVFAPYGDDDFLQIPYTAVRCDLE -HDLVLGRMGKPIFTRTIRLAKPEQAMWFACDNSDHSYGEKHSSWNFVSEIHVDEPFPHTQHDLISFPHPI -PFGLCGSPLLTRDGIVAMATAGSDSVSYFTNLHLVEWLNVEPLAEEQGISDWFSDFASQLGSAFGEGAAE -QVADKVQGMISTSGIAQLPNAACKDIMVLLTKILCACVIISKSEDVLASAVSVGVMVGVDFLTTSPFTFL -RQKVAEMCGLVYAEEQGPSDWIKEFNAACTAAKGLEWIGDKLSKFVEWIRKMFEKEDRHRKKFMKQLEDL -PLLMESIDKIMAARGQYKDEDVRRICGKMRQLKLGADIYGVQRNQATHQIVKYYQKAMSILQAMTQGRSE -PVALLVHGTPGTGKSLATEIIGRALTQKLGGNRPYSLPPDPKHFDGYAQQPVVIMDDVGQNPDGEDLKLF -CQMVSSTEFVVPMAALEEKGMSFTSKFVLASTNCNMLSPPTIAEPAALKRRFFLDLFIEVDTDYKRNDKL -TANTALDRCNHPATNFKHCCPLICGKAIRLKDMRTMKMFTLDEVVSMLYAEHLNRQGCGSKLEAIFQGPG -TNDIISCEWNAEAKRRGVALVTEDEWFETDYDKEESVLMTIEEQRARGIEQPKPAPKEIADLLMAVPTEE -VIKYCKAQGWLIPAGVTIKRTKASTMDWIKKLSYGLSILSSLTAVCGFIYMLYKIFASVQGPYTGTAQKT -LKKPELKRKAVAQGPDLEFAQKLMNSNVIPVETQTGAYSALAMYDTWLLLPKHSQPGETVSVDGQTHDVL -DMVELENTQGSLELVAVKIDRPTKYRDIRRYIPDHFSTESDCMLVVNNKNFSRMFCPVGRVTAFGFLNLS -CKPTYNTCTYRYPTKSGQCGGVVCKSGKIIGLHIGGDGLNGYGAILTKRIVGAIEQGAVVRKEKTPFKPI -NLNSKTKFHPSVFFDVFPGTKEPAALHPKDKRLEVDLDEAMFAKHKGNTDIPIDEELGIAIDHYTEQIRP -LMPINLTEPLTMEEVVYGTDNLEGLDLSTSAGFPYVIKNTRKRDLIPERGQPLTKLLDALDLYGYDLPYV -TYLKDELRPIDKVRKGKTRLIECSSMNDTIRMKTTFGRLFQTFHANPGTVTGSAVGCNPDLDWSKFYAEM -GDNPLIAYDYSNFDASLGSVWFEALKQVLRKLGYNEKQLKCIDHVKNSTHLYKDIVYEVEGGMPSGCSGT -SIFNSIINNLIIRTLVLKSYKSIDLDRLRIIAYGDDVIISYPHQLDAAALAECGKDYNLTMTPADKSDTF -NEVTWDNVTFLKRRFVPDKHFPFLVHPVFPMEEIMDSIRWTKSAANTQQHVTSLCLLAWHNGQEVYEDFV -EKVRSVPVGRALHLPPYSALYREWLDQF - ->YP_004564616.1 3A [Pigeon picornavirus B] -GPILKKVSQKGKKPPQEVLDLIKEDTNPSRPMLQYALDLGFEIPDELMDDFVYQQIDMTTTNWKNWAICG -TMLVTLLTTLYFIWKSLPANPQ - ->YP_004564600.1 polyprotein [Pigeon picornavirus B] -MVFAKRVMPDSGVISVANVTQPFMTSCRKGKHIPPVIYCKEHEKPECVIPEDMTTACSTYLRPCRKMIRC -VNNGVHYMCRWHDIXHRAETRCGYTGPHRCCACHKQRFLEPQGAAMSMPATGNHTSESIATGGSSITTIN -YYGTNYAQSYAPNTQHTDPEAVASGVSSITGPMSTFMASPTVEELAGDTSDRLFQLIAGNSSLITQESAA -GAVVAYDKLPCYLENEGNTVDLMTRPGPSCDRIYTLDSFDLDNSTPAIVVPLPGVLADKGVFGQNLQFHY -LYRCGWMAHVQINATQFHAGAWLCALVPECVVKGTDYDSTHFFESHEQAAFLETQYHQLTLYPHQIINLR -TTNAATIVVPYTNIAPASFYTCHNTWRLIIIPLVKLMSPPSYSGTVSCTISVQPICSQFNGLREAVVAQG -VPTFDIPGSGQFCTTLANTGYPAFPIWTPSEGFPLPGRMTNLISVARIPTFLQGTGGNTYGFSVQNAIPA -DNTTLYSLDVSLLATEMEKTYIGRLARMFAFYKGSIVLRFTYTGPKQSSGKLLIAYTPPGGARPTSREEA -MLGTNIIWDFGLQSTCTFVIPYISISSRRFANTTGTIFSYDGYVTVWYQTAVVFAPNCPSSAVVLVTAAA -GEDLEYTGFMDTAYYQGLGDDLSSVIQSTITNAVSQSVGQLTSVPNQHHEAGTANPVNVGAVPALEAAET -GVASVVGADVSSTIEPGASKLTNSSETSVVNMLSRYWYLNQLTLNHGANASSADSGVIQLDLSPGGPNAN -VMSKLLRVLLSSATYWRFDLDLVVVPVHLEGTQAVQYMVEFCPVGSFASSTSHGYDAKNKGANPKIFTTT -DKPPASMRIPFMCPASYFCSSYDGFKTYDGQHYGACPSNTFGNLIIALPNGYSTQPRIKFYLYVRPVNIE -AYMPRPLDQGDTRPATLSRGRLEVGDIENFTNAKPLITVEEQGGDWNDELPLQYPEPNLSNEQIQMTVCY -REFGRDFITPQMVCRFFFPRLGYGTNFSRPGGPYYRGYALRSPDWFERNAYVFQSLYTGVLELAHADVLV -RWVDLEAVRADIDRYINSPHPLAGLMQNLVARLELNEMIYQQRIAAILERAVDDSDDEGSESDASDSGYY -SLDSMGLSDLTSDSEFGSDVEYQGPIDIVANLAANIARPTIDVATDSMSEALDKAFNKAVRSVDQTIETH -RRSWWKQVLGIVTKLVAVVTAITRSGGDPVILASMGAMLSVDLMNACPFEWAKQQVAQLMEVENIQEQGP -SDWLKSFNSAITASKGLEWVVGKLWDLINWVREKLLPKAQARERDATIVNNLVKHLREWRACQLNPHIYT -PESISELAKAIIDIRDILETRCPGHPVLRSIAPTVAACAKHLSNSKKRPHEPIGLLIHGAPGTGKSLATK -LIGTKLAKNLGNMEPYFMPPDPKYFDGYAGQPVVVMDDLGQNPDGEDMKLLCQMISCAEFYTPQADLPDK -GKPFTSSFVLASTNNMTLTPPTIAHPKALNRRFQLDLNIEVQQDYKVDGKLDIDKALAPCSHTSKSFGKC -CPFICGRAVRFYDVKGRFVMTLDEVVIELQKIKTHKTDVSNKLDIYLQGPILKKVSQKGKKPPQEVLDLI -KEDTNPSRPMLQYALDLGFEIPDELMDDFVYQQIDMTTTNWKNWAICGTMLVTLLTTLYFIWKSLPANPQ -GAYDGIIQKNLRVPEKRKIEVQGPDPDTQYALSLMKHNLFPISTATGEFTALGIHGKNFIIPKHAAVEPY -LVAGKEITVDSEVELVNKGGCLELVMVSSSDLQDFRDLRPHMLDSFQPMSDCLLAVNSPKFPRTIVPVGK -VHVYGSINLKLDTVKRVIYYHSPTKQGYCGGVILKAGKIIGMHIAGDGANGYASLLLANYFKIEPQGVKI -DLGPAPRPCNVNKKTRLEPSVWHDKIKVTKQPAVLKDGDPRCTVQFSKHLFDKYRGNYEGQEPEELEIVI -EQYAAQLQPLLPKDVHEPMTLQQVVEGYGRLDGLDLNTSPGYPYCTQGVTKRKLVANGYSKLIEGLDLHG -YGLPYVTFLKDELRPIKKVEAGNTRLIECSSINDTCRMKMKFGRLFEALLCNNGVASGVAVGCDPEIDWT -RFATELGDNVFAFDYKNFDASLSPMWFKALDKLLQRLGFDTEDLIIGLCNSTHIYENQLYRTVGGMPSGC -SGTSIFNTLINNMILKTAALRAYRGLDLNSFKILAYGDDCIMSYVYPLDPEHIARTASMWGLQITPADKG -EHFQPPGPIEGVTFLKRGFKKHPSTDILYHPIFDEDEIYQSLAWTKKPSETQNHILSLCHLIWHNGEESY -NKFIALVRSEPVGRALQLPPYKLLEREWLDNFY - ->NP_937970.1 VP2 [Simian sapelovirus 1] -KPDTEESGYSDRLLQLTAGNSCITTQEAAKAVVAYGMWPTYNIDAGEALDLDTRPGPSCDRFYTFDSLNW -QNTQYGEWTCPLPGALMDTGVFGQNLRFHYLYRSGFCVHVQVNASSFHQGCLLVAMVPEHQTPKQQNNDF -QYYETKEFCGTEYPTEQLTLFPHQFLNLRTNNSVTIVYPYTNCTPSGFGLSHNFVTLVIRIVVPLSYSTG -ASTQIPITVSVAPMCSSFAGLRSAVKSQ - ->NP_758809.1 polyprotein [Simian sapelovirus 1] -MAIYEDDSSESITCCNWIPRNRRNKVRKQKPRSKASRVEMSPGPLVTLADDGYLYVHKHKGETKFVRRDE -ILTKYGRCIVEMEEVFQCGQVQSNQTGNKPSSGFIYGTGNSLTNINYYGSDYSQAYNPSQQQMDPSQFTK -PVTEIAGSLKGPALKKPDTEESGYSDRLLQLTAGNSCITTQEAAKAVVAYGMWPTYNIDAGEALDLDTRP -GPSCDRFYTFDSLNWQNTQYGEWTCPLPGALMDTGVFGQNLRFHYLYRSGFCVHVQVNASSFHQGCLLVA -MVPEHQTPKQQNNDFQYYETKEFCGTEYPTEQLTLFPHQFLNLRTNNSVTIVYPYTNCTPSGFGLSHNFV -TLVIRIVVPLSYSTGASTQIPITVSVAPMCSSFAGLRSAVKSQGIPVRQIPGSSQFLTVQRDAGVPLYPE -FQKTARFTLPGRVTNYMQVARVPTLMKMPNTMSDGTYLAVDVTQNIRTQAIGKWDMSLIGDLFKPTYLGR -LAKMYANYRGSVIIEFMFCGSKMATGKFLVAYTPPGGSSPATREEAMLGTHVIWDIGLQSTCKFVIPYIS -TSVYRSSMLTNTTLSYDGWITMWYQTAIVVPPNCPSTCQIIGLVSASDNFVFRIPSDNKYYTNNVNISKS -ATVQGESDSIPSALNAHIEQAVTSALNTRPQHSHDNGLEIIQGDAPALTAAESGETSDSSGQTSMELRES -NAIFSIRETDIEYLYSRYFMFYEQDIVITTADLNKEMINKSFTFDAVAASDPATTRWRAFTYWRFDLDVV -VVIYPQIHNGTSPQSMTFQMMFSPPGCEVPEDYSSKVWLSPINPTCITKIQDPPTSFRIPFLSVCNYYTS -RYDGDGTFDPSDKQYGVNPGNFIGNLTIKMINNVQPNFTGSYGVRIYVRPVNIEAYMPRPLLAYREGKVV -EDYSRYTTGGARNLGLEYDVDIKSPIGDMWNVDDFDFLNMSPTDVLLERCFDVLSFSAQFDKGPFTAWWW -KDDIIITSYHACNDWYAYLERKNPVFRYRISMSTKRWWKNPYLVEAVGVKQVWIDSFRDIAFIKVIPSSR -IKARPGLLGENRVRHKNERKFEHCLCVTNSVVFPVRYTEYRFPQYCELLNFRDHQQRDLWSCVGDAEPGF -CGSMLFSEGKVIGMLTAKSECTYADGQKKMTTFFTQLDTASFYRCVEITKKDCFYAVQHEPAEQCGLEDP -FRCNTGYPDDLSEEEEEEDTPLTFPEAHYQGLYDWIHDMGISFGDGAGESFQRNVDEAIEKITPIVSQFK -GGVEGFLKDKLFNSLFSILVKAIGSLVIYINAKDECKLSTLLALGSMLGVDFMSKDPFTYLYEKFTGCVQ -MQGPSDWLKDFNIACNAFKGLEYIYQKLSTFFDWIRGLVKKSEDPARAEFHRMLEDWPEVMEKMDLIERN -RKNYHDADVLEVCEFIVTLKKLADSYGVERNMATTQIVRYHAKAQKLAQSIRSSRYEPVALCIHGSPGTG -KSIATEIISRSLAQATDTQMPYSLPPDPKYFDGYSQQNVVVMDDLGQNPDGEDMSLFCQMVSTTAFIPPM -ASLEEKGMYFTSNFVCASTNCTSLKPPTVMEPAALHRRFFLDCDIIVNKDYAIDRKLDMSKCQKCLNCDK -PENFKHCNPLICGKAILLQDRRTNVRYTLDKVVTIMLAESRRRRNILNVVDALFQGPSYEKPKLIRKQVV -DKLLPEEVVEVLKEVPSEELIAKLKEKGYVFPIEVEVIRERSKVQKYLNWFTTVMSTLTTIVSFSTVVYM -LVSVFAGKQGAYTGNIKPVMQKPVLRKAVVQGPDLEFAKSLMKSSLFPVCTSTGSYTALGLFDQWLVLPA -HSNPKDSIVFKGESVKIVDSMLLESSRGNLELVVVKLDRTEKFRDIRKYLVENFHTEKECWLALNSDQFR -DVYVPVGSVSLFGFLNLSMTPTYNTLKYNYPTKVGQCGGIVVKAGKILGMHIGGDGVSGYAAMLKKSYFS -VCQGEIVHKESTKERGVKSINVKTKTGLYPSVFHDVFEGTKEPAALRPGDSRLKVDLNEALFSKYKGNKH -ISIPPETFIAIDHYVEQIRPLLPENLTEQLELEDVVYGIENLEGLDLNTSAGYPYNTMGIRKRDLIPHRG -EPLTQLQKALDLHGYDLPFSTYLKDELRPKAKVEAGKTRLIECSSLNDTIRMKRIFGRLFQTFHSNPGTC -TGSAVGCNPDYHWSQFASEIGMDNICAFDYTNWDASLSPFWFDALKVFLVKLGYGEGAIDAIDHICYSSH -IFKDQYYVVHGGMPSGCSGTSIFNSIINNLVVRTLVLKCYKGINLDLLRILAYGDDLLVSYPFPLDPAVL -ADAGKELGLTMTPADKSDSFSGCSKLTEVTFLKRSFVFDEQFPFLCHPVFPMSEIHESIRWTRSAATTQE -HVTSLCLLAWHNGKEVYEEFCEKIRSTPVGRALSLPSFEVLRYNWLDLF - ->NP_653145.1 polyprotein [Porcine sapelovirus 1] -MESTTTLSFCNWIPKKQRARVYLTTSVTHEKSIGPYTYMVSDMIMKENSRTSLAMAYVEGKTLVFNNGTQ -LGQVHSSNTGNKPQGAYNHGSGSITQVNYYGSDYSQAWNPTQQQMDPSQFTKPVTEIAGMVAGPTLKAPD -KEEEGYSDRLMQLTAGNSCITTQEAAKAVVAYGQWPSYNIDAGEHLDLATTPGTSVDRFYTFDSLQWTDT -QIGEWSLPLPGGLMDTGVFGQNLRFHYLSRMGFCVHVQCNASKFHQGALIIAMIPEHQTPTQVSNGFEYR -NGVTYQGNNYPTEQLPIFPHQIINLRTNNSATIVYPYTNCTPAGFGLAHNFVTLVIRVLVPLKYNTGAST -FVPITVSVAPMCSQFAGLRSAVSRQGFPIRQIPGSQQFMTTHRDNGIPIYPEFQKTHNFKLPGRVTNLLQ -VAQVGTFLKFSNNVNDSSRIYLNLDITQGNQTARIAAIDVSMVSAHLSTTYLSRLAQMYANYRGSVVFEF -MFCGSQMATGKLLIAYTPPGGSSPTTRTDAMLATHVIWDIGLQSTCKLVVPYISSSQYRQNNLTRTTLSY -NGWVTVFQQTALVVPPGAPTTCQLVVTVSAADNFVLRIPTDTAYFADYQGDVKDVVQASINTTLQNALNT -RPQQEQSSNGIMINQGDAPALTAAETGESDTNSGGSTMELQATNCVFSLRETDLEYLMSRYSLMYEGTLD -YTNASGRRHLRYDLSFRTIGKSGSDITKFKAFTYWRFDLDVVVMILEDKPAAVRNLMFQILFTPHGGAIP -STHNSQIWNAPNSTSIYTRVGNCPASFRIPFMSVCNYYTSFYDGDGNFDQNGAAYGINPGDFIGTISIRM -ANDFFTADTTGSFRAKIFLRPVNIEAYMPRPLVSYKANGDARQDSSMYYPATQTGPYEVCQTKNATELTE -TKWANYSCSVRFDRGSFTAWFVGEDLLLVPYHAANNWSQTTHVYLWRAWEKDWRDHPELEMKVPIEDMWT -DSTRDLTFLKISYATPHWLEMPTKGSAIGEYTVVINSAHFPWMQYTGPKPFRYPYLHIGQHTQYRLWMAE -GDADNGFCGAGLISKGKLYGIVTAKTESKSGKTYVAYTELDEDSFLQTQQRCFDFGMDTHFNLGMHDWVQ -GLGQVFGEGVSGEVKKQVEDYLGQIKPIIDAGTNKVKDVIKDEMVSASMSLLVKVVASLVLYMNSKDECK -MSTLASLGALLGVDIFLTDPIMYLYSKITGEPHKQGPSDWLKDFNIAINSLKGFDFLCSKLMQLIEWIKQ -FFQRVEPEYKYFKELLESWPKVCAKVLEFKNCKTTLGQEDICQIKVYIDKLIELGNKYGHKFNLQMSQLL -QCSNIINKAYSNMTRSRHEPVAMLIHGAPGTGKSLATEIIGRAIADKLDNQRPYTLPPDPKHFDGYNQQK -VVIMDDLGQNPDGEDCKMFCQMVSTTTYIPPMASLDEKGLPFISDFVLASTNQHALTPRTIAEPDAINRR -WFMNVDIHLKKEYKDDRGRLDMSKCLPCKDCKPTNFRKCNPLVCGKAIILLDRKTQKNWTVDSAVTHLLE -ESERRKGFLNVVDAIFQGPVQIPECVREDEVKKKKVNSERDVPHDVMELVRCTKSPIIIDELEKAGFIIP -VEAEVIRQTNNVNNVTQIVSATLASLAAIISVGTVVYLMVRLFSTKQGAYSGAPRPETRKPVLRKAVVQG -PDMEFAKSIMRSNLCQVTTSVGPFTGLGIYDNIIVLPRHAYVSGNIVLDGVDVPVVDAVELEAEEGNLEL -VQLTLKRNEKFRDIRKFLSNGFHSENDCWLCINSEMFSNVYIPLKNVSAFGFLNLSMTPTYRTLVYNYPT -KMGQCGGVVLKAGKILGIHIGGDGTRGFAALLKRDYFVNKQGLITEKYTPSKPINVRTKTAFHPSVFHDV -FPGSKEPAAMSTNDPRLEVDLHEAIFAKYKGNVDATLPEEALIAIDHLVSKFKAIVPDDLTEKMSLEDVV -YGTDNLDGLDLTTSAGYPYNTMGIRKKDLIPPKGQSLSPLLKALDLYGYDLPFTTYLKDELRPKEKVKMG -KTRVIECSSLNDTIMMKQTFGRLFQVCHKNPGTYTGGWVGCNPDVDWSKLREIGDAYVCAFDYTNWDASL -SPLWFDALKLFLSKLGYSGRDLVLIAQLCYSNHIYKNEGYKVAGGMPSGCSGTSVFNSIINNIVIRTLIM -LVYKNINLDELLVLCYGDDLLVAYPYELDPNVLVPLAKSYGLTITPADKSTTFQTGTKLTDVTFLKRGFK -FDEEYPFLCHPVFPMEEVHESIRWTKNASYTQEHVTSLCLLAWHNGEEVYEEFCTKIRSVPVGRALILPP -YSQLRRSWLDMF - ->BAS29593.1 polyprotein [Bovine picornavirus] -MSTSVTKQIEAIEVYRNTYINVGQHCITVVRIVNDVGHIVLKRIVKFRNTGKNGLHSFRGVSNSKASSGN -RSDLITAEGQNVNITNINYYGSNYAAAKGEAELSMDPEKFTKPITDLAAGPALKSPTVEECGYSDRVMQL -TAGNSTITTQEAVQAVVAYGVWPEYKPGPGNAVDSPTKPGPSVQRFYTMDSVEWSSNWKGVGYKLPGCLT -DMGLFGQNCQYHFLMNSSYCVHIQCNATKFHQGMLLVVAIPEMQYKNSSGPLDNVFEVEDGIYRHYPLHQ -LTIFPHQLINLRTNNSATLILPYVSANPSENAFTHDIWTVLIVPICPLNSSGGTTTIPVTATFGPMESSF -SGLRGNNVLQGVPTFQVPGSGQFITTLRNTGYPIIPHFSESEGHKIPGQVKNLMEVAQVDTFCAIGDSSQ -PYFNVTPGKRDTPIKQWDMSFLDTVFGSTYLARLVKFYSQYRGTVNCTLTFAGPAMATGKLLIAYTPPGT -DPPTDRTNAMLGTHVIWDIGLQSSIKFSIPYISQTQYRFANQQRTTLSYAGYISIFYQTGIVVPANTPNI -CPITLMCSAGDDFVMRVATDNAYYQGLGDETGKVITNAVKTKIESAITEAVTGPGLPSQLSLQTGDASAL -TAAETGASSSAAPSILMETREISTTFSREETSINSFMSRYARFHSATLNMNSNGASMIRVPIYFNQETTT -QLAVRAKYRMFTYIRCHYDIVVICHNEEVARSSGLVVTTPQPYNFQILFSPAGSPQPAQWDSAEWAMPTM -PSIFFKSTDPYCSFRIPFLSPSQAYCSFYDGYTDFNPEHARYGEFPGNYIGDLFVRSMSKYSTNDGVSGK -WKMVFMARPVDVQVWCPRPIVSLKEASYIDTSTQRRILCVDEETTEQILIGQGDLGADLRQQRGRRAKKG -FTRTTCRTPYFMPNIPNIRQTFDHDNEFHAIPVAKQLWLIPYHLFSVCMEYKKGTEWVPLKWELVKMDVT -HDAILLKVEPECEPVKIAEMQDRMITTCRTVNYNYSIKFRYPTIANRITVEATDEIPEHFQYHLIQCEAD -IPPGWCGSPLYHEGGIVGMATAGGNGMGDFTYISKIPWIGQYLPQAEQQGPVEWAKSMVSELGSAFGTSV -VDSANQTIRTAVSNMQQVDTSVPVKTIVSLLVKVICAMVLIARSEDRTVTATAVGIMLGIDILTTSPFTW -LKNKIREMLGVAHKQGLSDWIKEFNAACTALRGLDWIGEKIMKFIEWLKKIFKKEDPERKKFMKQLEELP -DLMVKFDEVRKDKSKFPPDYINSLCENFKVLKKGADRFGVERNFATTQILQYYVMAIQLQQSISRSRAEP -IAILIHGGPGSGKSLATEVLGRRISQYFQCERPYSLPPDPKHFDGYTGQPVTIMDDVGQNPDGEDLKMFC -QMVSTTEFHVPMASLEDKGKPFTSHFVLCSTNMNELRPPTIAEPAALKRRFFLDLEIEVQEKFKRSGKLM -AFEALEQCTDVHVSHFRKCCPFVDGKAVLFKERGTNVRYSIDGLFKILITEHEARMACTNKVDALFQGGD -EWEDLEFVHNLQIRKPIEPKPMPKEVMDLIRANPKEEIIQWCADQGYLLPPEQAVSLTRDKVRTIVNDLA -IGLTIISSLVGIATALYFVFKAFASKQGSYETDMVKTPLKKPIKRIVLEQGPLDEFSASIMKRSLFRVTT -EKGKFTGLGLFDKYILVPKHATPTSKVSLDGKEFIVLEHIDLHNVSGQLELTVLKIDRPVNFRDVRKFFP -SHFSSVKAKLLINSDKFPEMVLDVGRVTMHGYLNLSFKPVYNTCTYLYPTRVGQCGGVCVSEGKIVAMHI -GGDGVNGYGAVLTANMFTKIQGHIVEEKPARAKVNLPTKTKLHPSIYYDVFEGNKQPSVLHEKDPRLSRY -VDFDKTLFSKYKNSEKKLQPTQHMLTAVKHYADQVKPIIPPNVTEPLALDEVVYGIENLEGLDLDTSAGF -PYVTMGTTKKDLIPPRGQPLTKLQQALDLHGTGLPFVTYLKDELRPEEKVKFGKTRMIECSSLNDTIRMK -RVMGRLFQAYHANPGTVTGSAVGCDPDEHWSKFYAEMAPNPLVAFDYSNYDGSLHPVWFECLKIFLNELG -FGKEAEECIDYICNSVHIYKDKEYNVEGGMPSGCSGTSIFNSIINNIIIRTLILDVYKNIDLDYLRVIAY -GDDIIASYPFPLEASCLAEAGSDYGLVMTPPDKSSEFKQIEWDEVTFLKRKFMPDERYPFLIHPVFPMSE -IYESIRWTKSAANLQNHVTSLCHLAWHNGKVIYDDFIGKLRSRPIGRLLTIPSYEVLEQKWLDKF ->AIF74258.1 polyprotein [Bat picornavirus] -MVTTMAVITAPVARPMLAISKVGRMRKFFLLTDKAVLLRTRFHPLTNVRKPNVRVQCGVAASKTSSGNKH -QVANAGGNITQINYYGSDYSQAHAAAQNNMDPEKFTKPLADMAAGVALKSPSVEEMGYSDRIMQITSGNS -TITTQEAVNAVVAYGVWPGDEYEVGEAIDKPTIPGPACDRFYTLDSLSWTNTWKGNGYELPGCLTDLGIF -GQNCYYHFLMRSGFCVHVQCNASKFHQGMLLVVAIPECQSSSGERSAQDYQLTDQDFRDYPVAQLTLFPH -QMINLRTNNSATLILPYMNASPSENALSHNYWSIWVLPIVPLSYSSGATTVVPITISIAPMNSMFSGLRN -SVPIPRHQGVPTFDVPGSGQFMTTIRNAGFPTLPDFEETPAHHIPGEVNNLLEVMQIDTFCKPSDDANYL -TIDVSAPTDLGSRIASWDMSLNANLLSTTYLARATRWFSNYHGSLNLTLMFCGSAMATGKLLIAYTPPGG -AAPTTRRDAMLATHTVWDIGLQSSINFVIPWVSQTVYRFGHTEGNVLSYRGYITVFYQTHIVVPPGAQNT -CQIVVLASAAQDFVCRLPTDSAYYQGIEDEIGKVINGAITTSLQTLDIKPIQGTSVGDKLSVTSGDSAAL -TAPETGASATTEAASVMETRSVNATVSGRETTISNFLSKYAMFNQGRMTASSSSSFKVIPLYFSDSANTQ -KAVRAKYRMFTYMRMGFDVVIVITFGPGSLDTHNKAQSIPALTVQAMYCPPGCPTPTTSHSPEWFLPTTP -SVFQRTSEPNICIRIPFVGLASAYTSFYNGYANFNPAAANYGKFPGNFIGNIALRIFSSGIENAVTSDVK -VDYVMYARPTGVRAWGPRPIVTLKEASAIGMSRGRIEFVNTDSDYYLMQEEDGTEVRVHRGPKRKVKKTR -AQKKREPKATYSQEVPDYAKDLLEQMCVAHNPEDETSFHILPVAPRICVFPNHLYMPFLLFSHSMYHAPQ -FIRHRVLWTSEDADLICVELERDFFDVFPKICTSTTHHGAWCMIKTDLYQQARFMGELESVSHIEVIRAD -DPTMTETRIQHYVLEAFGNGVEYGFCGCPVICPHGICGITTAGSISDQGIQVADFIHLAMVPEFQAQTQG -PAEEQGLKDWCADIAKEAGTQFGLGTSEGIASQLEEFLLDHQLAHINWKTEVAKKIIANLIKLICGMTLI -AKSEDKMSTAAAVGVMLGIDILLADPFDWLKSKIYAALGRGAHAQGPSDWIKEFNAACTAAKGLEWVWQK -VSQFIDWVKALFKKEEPRRKKFMQQLEDLPLLMEHIDKIAASRGQYSDEVVKKVCNSMRALKRGADIYGV -ERNAATTQIVKYYQKAMTLLQSMSKGRVEPVAMLVHGSPGTGKSLATEIVGRVLTQKLGGHRPYSLPPDP -KHFDGYAQQPVVIMDDLGQNPDGEDCKLLCQMVSSTEFVVPMAALEEKGMAFTSQFVLASTNLGQIRPPT -VSEPKAIQRRFFIDVNIEVQKDYEKDGKLAADSALSSCSHPAVNFHRCCPMICGKAVLFKCNKSGIRFTL -DDIVSKLLRERKARNDCGSKLDALFQGNDDDDWFETDYDRAPNVLKTIDEQIAEGIQNPPPREIADLLKA -VNTPEVLSYCESKGWIIPAKVQYQRTRNDVKHWINWITTGLTVLSCVASLGGFFYLMYTIFASSQGAYSG -QPTTTLKKPELRRVAKVQGPDMEFANKLMNQSLFNVQTQKGIFTGLGIHGKWLLLPKHAQPDDKITLDGK -EFDVEDCVVIENGSGSLELVAVKINRPVDFRDIRKFVPDHFTQERECMLVVDNELFPRMFCPVGTVSMFG -FLNLSNSATYNTCQYRYPTRSGQCGGVVCKSGKILAMHIGGDGANGYGAILTKKVLAVATEQGQIIELKK -TTKKPINLNSKTKLHPSVFHDIFPGTKEPAALHPKDKRLEVDLDEALLGKYKGNVQMDINEHMMTAMHHY -LSQIKPLLPDNVTEPLSLEEVVYGTENLEGLDLATSAGYPYVSLGIKKRDLIPPRGQSLSKLTDALDLYG -YDLPFVTYIKDELRPAEKIKVGKSRLIECSSMNDTIRMKRTFGRLFQVFHQNPGIATGSAVGCNPDVDWS -RFFAEMGGQPLVAFDYSNYDASMNPKWFELLKLFLLEIGYTQEDVKLIDHINYSTHLYKDKEYRVDGGMP -SGCSGTSIFNSINNNHILRTLVLDVYKGIHLDDLRIIAYGDDVIMTYPFQLDAGLLAEAGKSYGLTMTPP -DKGMDFNETTWDNVTFLKRTFKPDEDYPFLIHPVYPMKEVYESIRWCRSAASTQEHVRSLCQLAWHNGQE -EYEGFLAKIRSVPVGRALLLPSYQVLRQEWLDQF ->CBY02484.1 polyprotein, partial [Pigeon picornavirus A] -AEFYTPQADLPDKGRPFVSSFVLASTNNMQLTPPTVAHPKALARRFQIDVNIEVQQEFRLNGKLDVDKAL -TPCPHGSKSFGKCCPFICGKAVKFVDVERRYVMTLDEIVIEMQRVKADRTTVNNKLDIFLQGPILKKQVI -EKKKPPKEVLELIQSDPAPGRPMLQYALDLGYEIPDDIMDGYIHKEIMNTTSNWKNWAIVAGTLLTLLTT -CYFIWRSIPAKPEGAYDGIVQKNLRVPEKRKIEVQGLDPDTQYALSLMKHNLFPIQTATGDFTALGIHGK -TFVIPKHAAVEPYVVAGKEITVEHEAELVHKGGHLELVIVTSQDLQDFRDLRPHMQDSFVPTSDCILAIN -SPRYPRTLVPIGKVHAYGSINLRIDNIHRVIYYHSSTKQGYCGGAIVKAGKIIGIHIAGDGANGYAALLL -ANYFRPQFQGVKVDQGPAPRPCNVNKKTRLTPSVWYDKINVTKEPAVLKDNDPRCQVQFSQQLFSKYKGN -FEGEDPPELDVAIKQYAAQLRPLLPNDVHQPLTLPEVVEGYARLEGLDLNTSPGYPYCTMGLTKRKLIAN -NYSKLIEGLDLHGYNLPYVTFLKDELRPVEKVKAGNTRLVECSSINDTIRMKMKFGRLFESIISNNGVGT -GIAVGCNPDVDWTRYATEMGENVFAFDYKNYDASLSPIWFKALDKLLKELGFDTEDLIHNLCVSKHIYEN -QMYITIGGMPSGCSGTSIFNSMINNLILKTAALASYKGLQLDQFKILAYGDDCVMSYPHPLDPEHIAKTA -SRWGLMITPADKGDTFKDPGPIESVTFLKRGFSRHENTILYHPVFDENEIYQSLAWTKDPSQTQVHVLSL -CQLIWHNGKDAYEKFVRLVRSTPVGRALHLPPYELLLREWLDNFH ->AET06138.1 polyprotein [Feline picornavirus] -MAFKFNTNQPKTEVHRAHGIFHVFNTIGRTCKYTRITPSALKKRGCAYNTGVAFSSNSTGNKPQVAQAGG -NVYQINYYGSDYAVAKGEATTQMDPEKFTKPVADALMAKGTALKSPTVEECGYSDRIMQITSGNSTITTQ -EAVSAVVAYGCWPAFDSGAGEAIDKLTDPGPSVDRFYTLDSIEWSTTTEGYFYNLPGCLTNLGMFGQNCA -YHYLMRSGFCVHIQVNASKFHQGTLMIVAVPECQFPGEPGAESGVIPDALRVEFWKQYPRSQLTVFPHQF -INLRTNNSSTLILPYVNATPAENPLTHSYWTLLLVPLVPLQYSAGATTRIPVTISIAPMCSSFSGLRNSI -PLPQGVPTFQVPGSEQFVTTLRNPGYPLYPEFQKTPSHHIPGLVTNLMEVAEVDTLCTLSNNEVLYINAT -GTAELGRNLGTWDLSLQGNLLASTYLGRLSRFYTHYRGSINLTFMFCGSAMATGKFLIAYTPPGGDAPTT -RQNAMLATHVVWDVGLQSSCSLVIPYISQSQYRFSNISGNKLSYDGYITLWYQTAVVTPPNCPNECALVC -FASACKDFEMRLPVDSAYFQGLGEDLSRVITSVTKDITQPLMEPVTGTVSSLPQQLSVQVGDGAALAAPE -TGVSATTEPEQMMETRVSQVLYSKYETGVEYFMSRYAKFSTIELRRSSVYHNKIPIYFNDTAATQRAIRA -KYRMFTYVRCDYDVVLVASTNRVIGSQQENAVNTDHEFKLQAMFCPPGSPQPTNFDSPEWACPTNPSIYF -RLKAAPASFRIPYMGVSSAYASFYNGYSTFTSQAARYGEFPGNYLGDLWVRLVADNSSSGSASTVVITVQ -AFCRPVNFEGYIPRPIVSLKQNVRVGESRGRVEFVETGSLMEIGTGPYGADVKPRNAGPRRSGIIPDRLE -KIYEKVWLAHHPAGFTFNVIPLHSDIVLIPYHLFSQNLMFSHGCTYYFSDYKPVWMSMVYDAAILKLEKP -MFHCRPPICLRGCKSGWLKCVTREICMSMRVQGFDLDTLDIGETEWVGAHTQRGLISSQGSVPYGSCGSP -VICEHGVCAMTTATNRKQSFYTKMSDIPYFQAMEQGPGDWLAGIFENMGGAFGEGLIQPIKEKLDDIRAN -LNPSVVRSDLTKTTITTLIKIICAMVLISKAYDKVETAALVGTMLGVDFLSKDPFEWLKEKIVGPQEQGW -ASDVSNWIKEFNAACTAAKGLEWIGQRLSQFVDWVKSFFKREDKRRTHFIKGLEKLPMLMETFDKISTSR -GQYSPETIKHVCTAFRELKRGADMYGGERNFATGQILSYYKRAMAMLKSMSSGRTEPVAILIHGGPGKGK -SLITETMGRQICKAMGSSLPYSLPPDPKYFDGYTQQPVVIMDDVGQNPDGEDLKLFCQMVSSTEFQVPMA -DLEDKGLLFTSPYVFATTNCDRLAPPTIAEPKALDRRFVFDLDIHLEKDYTDRSGRLAADEALTQCSHPA -TNFKRCCPLICGKAVKLKDRKSGITYSVDDLLTCLYRESARRQRCGDKLDALFQGVEDEIKMLPDPYRQK -LKVKHQIRDGDLGFEHVKLSSDPEEWLETDWDKMPHILKTIDEQVKEGIIQDKPMPREVADLLRALRGDE -RVVAYCQDQGWILPPDLTQIRVERDVKVTIEQVATGLSILASIATLASFIYLAYKLFASRQGPYSSESPA -PLKAPTPRRVVVAQGPDSEFALKLMSTNLLDVLTAKGHYSGLAICDTWILLPMHSDPGDVVSVEGKEMDV -LERVDLNNEQGALELTLIRVDRPTKYRDIRKFFPPAFSAERDCTLVVNNRNFPRVMLPVGAVTAFGFLSL -SFLPRYNTCTYRYPTKMGQCGGVVMKAGKIIAMHIGGDGLNGYGAILTRKHFAFMEGAIVSTSQAPKPIN -LNTKTTLRPSVFYDTFKGEKEPAALHVKDRRLEVDLEKAMFSKYKGNMDIEFPPELSIAVDQYVEQIRPL -LPPDLTESLPLEDVVYGIENLEGLDLNTSAGYPYVTMGVRKKDLIPERGQSLATLVEALDLHGYGHPYVT -YLKDELRPIEKVKQGKTRLIECSSLNDTIRFKTTFGRLMQIYHRNPGTITGCAVGCNPDEHWSQFYHEFK -QEPIMAFDYSNYDASLHPIWFEALKMILKKLGYPEHSLELIDHVCFSKHIYKSTMYEVEGGMPSGCSGTS -ILNSVINNLIIKTLVLRTYKGIDLDQLKILAYGDDVIVTYPFQLDASIVADEGKSFGLTMTPPDKTSTFN -ETTWDTVTFLKRKFVPDHQFPFLIHPVFPMSEIYESIRWTRNPQQTNEHVDSLCRLAWHCGEQEYNDFVA -KVRSVPVGRALSLPTYRVLRAFWLDLF ->AIF74253.1 polyprotein, partial [Bat picornavirus] -GQNLHFHYLYRSGFCVHVQCNASKFHQGCLMVAMVPEFQMPNHTDGDGIVEITDWSQWPKEWPIGQLTLA -PHQLINLRTDNSATIVYPYTSPVPANYGLSHNHVSLVIVPMVPLDYTQGASTNIPITVSIAPMCSQFSGL -RSSVQQQGFPVFQVPGSGMFMSTLRNSGYPLYPEHQDTHGFVNPGRTVNLLEVAQIGTFVDFRQTATGFV -YTVDVNNTKTGSALFSWDLSFLSTQFLTTYVARLARMYNNYRGSINFEFIFCGSGMATGKLLLAYTPPGG -DKPPSRTDAMLGTHMIWDIGLQSTCKFTVPYISTSQYRYNGIDNNILSLDGFITCFYQTAIVVPPGAPST -CQLLCKMSAASNFCMRVPTDDSYFQGLGDDIGKVVQDSISTAIQSVSEMPAVSGGNPPGLTLTSGDAPAL -TAAETGASTDADGAASMEVRQSDVTFSAAETDVEYFYSRYIKLCELGIGSTNYTYCTLDMNRAFFLAATD -KYSNVALQTKYRLFTYFKFDLDVVFVPLMSNSQDDGTYQFLFVPYGSSLPNDSSSKLFDFTNNPSVFWTK -GQPPASIRIPYISVASAFAAQYNGYSKFGPHQSQDYGFFPANYFGTIFARKTTVPSGGQHGFQVYIRPVN -VQAFMPRPIVSYKQGARASEHSRFRARFVDETPDMQAAANDIQPLGVKSWSPSESMYDWRSPVDQWEEEL -QQCCYPVETQAGPFTMWRVAGDTFILPGHARPEQGPMKVWGPAGPYMDCLIQEPREMQAQGLQWIIGEDL -VVFQLKGLPKGPGIRKYLVSSAPEEIHRVLRITINSPEFPNCIGDAETYTTKHPPYLSAGIRQWNAYVTQ -YNCEHGHCGGILHESGKVYGVLVAGLPAKQLGLFSILTKRMFTKNGHLRTFNAAPLMSGRVDDARPYLRT -GPITWMQDTMRDLGRQLGSGFSEQVADKVDPVLDQIRATVGEVTEESFMGTVLKMVIKIVCSLALYLHSY -DKGLALVAITGLLCIDMVTVNPFEWLKKKVSGLVGRQNYIEYYDDDELVRVETRRVQAQGPVQEQGAHEW -FKEFNAACAAAKGLDWLCGEVSKFFDWLRKLWKKEDATHKRFIKLLDQWPEIMVQMDVAEMSPSAITDAG -KQRLCEKVLMLKMLCDKFGVERNFQTTQVIKYATKARKMMSCMRQQRHEPVAMCVHGGPGTGKSLATEIV -GRSLSKCYDGQMPYSLPPDPKHFDGYCQQDVVIMDDVGQNPDGEDLALFCQMVSSTMFVPPMADLESKGQ -PFTSKFVLCSSNCEELKPVTVAEPKALKRRFFLDADIVVPKNYQKNGKLNLEDASVKCLNCEKPDNFKFC -TPLLCGKALRLKDRSNGVEYSLDTVVTLLKAEQQRRSKCLNLVDALFQGPQPDPVEWLMSDWDKEQAQSN -LPEPRPCPKELVDCIRATKSQQVIDWCVEQNILVPVQALAEVERHKVNWFVKHKTDILVGLGMVASLATT -IILLVKVVGSFQGAYSGRQQTELKKPVPRQVEVQGPDTEFINKLMQTNLLSVVTAKGPFTGLAIKDNLLI -LPTHSQPGDTILLKDQQVEVEDAYELHTKNGPTEILCVKVRKTEKWRDITKFLPECLSTERGCWLAMDSQ -MYPRMIFPIGTASPYGNLNLSGRGVTNVLCYGYPTRTGQCGGVVCKAGKIIGIHIGGDGFNGYCAGLKRS -FFAEQQGQIVAERPARASIHVSQKTSLFPSVFHDVFKGTKEPAALSTKDKRLEVDLEQAMFGKYKGNVEV -ECPELEVAVSHYVSQIQPLIPPNIAEPLSLEEVVYGVENLDALDLTTSAGFPYVTQGIKKKDLIPPKGEP -LTKLCDALDLHGYGLPYVTYMKDELRPLEKVKKGKTRLIEASSLNDTIQMKMMYGRLFQTFHANPGTVTG -TAVGCNPDEHWSKFYNELGEENIVAYDYSNYDASLSPMWFQGLKKVLEGVGLDSRLIDHVCNSTHIFKDR -EYDVEGGMPSGCSGTSIFNSIINNLIIRVLVLRSYKNIDLDKLRIIAYGDDVLFSYPFPLDPALLARCGK -EFGLTITPADKASDFDGVKKITEVTFLKRGFKPDEQYPFLIHPVFPLQEIHDSIRWTRSAAHTQEHVRSL -CELLWHSGKAEYEEFVRRVRSVPVGRALNIPSYEYLRQRWLDLF ->AFK85008.1 polyprotein [Ia io picornavirus 1] -MAFLFSCNKTETKTAVTSKPRHYTHWSQGPVCSHVHDVCVLDHQGKVSFSLPHAIQISSNRLHRRRFVRC -GAAASRADNKVQKDQTASAGRDIYQINYYGAEYSSAHPQAATHMDPEKFTKPIADLATGVGPALASPSVE -ECGYSDRLAQLTAGNSTITTQEAASAVVAYEVWPEYTPGVGESIDRQSEPGPAVDRFYTLDSVEWSNSVK -GWFISLPGALGDLGVFGQNCAYHFLMRSGFCVHVQINASQFHQGMLMVAAIPEAQSSSGERSHDFGTLTD -ADFRDHPVAQLTLFPHQLINLRTNNSATLVLPYVNASPSESALTHDYWTIFIVPVVPLSFNSGATPTIPI -TVSIAPMKSQFSGLRGSKKIGDGWATFQGIPTFSLPGSGEFVTTIRNADFPALPDFEETPLHKIPGEVTN -LLEVAQIDTFCTVSNNSNVLNLDVTAQGAGERIAAWDLSLDSNFLSSTYLSKVTRWFSNYRGSLNITFMF -CGSKMATGKLLLAYTPPGGDAPTSRREAMLATHMVWDLGLQSACTFTVPWISQTAYRMGHTEGNVLSYRG -YVTVFYQTHIVVPPGAPSTCQIVVLASAASDFVCRAPTDSAYYQGLKNQIAEVVNTSLQTKPVTLDIPAV -TGPSVPDNLSVQTGDAPALTAPETGASATTEASTTMETRDLATRFSARETQVTNFMSKYALFKKGTIRAG -SSQQSSILKVPLWFSDTDSTQKAVRAKYRMFTYIRCGFDVNVVISLSADGRSNNPVRPAPTVQMIYCPPG -CPTPTDAKSPEWYLPTTPSVFQKAGETISLRIPYMGMASAYASFYDGYANFSPTAAQYGQFPGNYIGTIC -IRFAQDDVTAESSEAIASCFCYARPTNVRAFCPRPIVTLKERTVLGESRGRVLCVSDDDPSGTHYLKQCE -DGTERRMISFGLGSSKPIVTGSKIAINKAPEHVQQFFDLCYLAKHLDGTTYHIFPLNPFTALMPDHLFRY -SMSFKKNQVDVAVNIPFKLLWRDATMDLACFRLDKPFFEDSICVCTDCDRKNLWTVCRSSLFNFAMFCGD -AERVGDQFVYDSDEDQIGHIQRNLIEVKAVIQPGHCGSPLICEHGICGMCTAGDGETGSWFTEFYLADEM -SSNFQGPEEQGIKDYCADIAKEMGAAFSASTMDQMAGRFKNAIEGVVLDKVNVKSLVVKKIIQLVVKLIT -VITMIARSEKKIETAAGLGVLLGIDLLSTDPFVWLEERVIDMLGLDKKRHRCATQQGIVEWIKDFNAACT -AAKGLEWLGEKIWKFIDWFKKLVKKEEPERRKFIQRLEELPLMMEHIDKLMQGRGKYPEDQIKKVCEAMI -ELKKLADIYGVERNAATVQIVKYHTKALDLLQSLSAGRTEPVALLIHGSPGTGKSLATEIIGRALSERMG -ANRPYSLPPDPKHFDGYAQQPVVIMDDLGQNPDGEDCKLLCQMVSSTEFIPPMASLEDKGMAFTSSFVLA -STNLQELKPPTVTEPKAIKRRFFLDLHISLQKDFDKQGKLSASSALTQCSSLHCTNFKKCCPMICGKAIL -LEDKTTGVRYCVDDVVSMLVREHESRKGCGSKLDALFQGMSNEDCNTVEMKPVDEWLESDHDKLPQQLFT -YEELRQRGESIPCPQLIADLVRAVPTQAVLDYVKSKGWMVPAPVEKIVVQKAAYDWISIITATVTIMSAV -GSLLGFIYIMYQVFAFPQGAYSGNPTPQPKKPELRRTAVTQGPDIEFVNKLFKQSLFDVETPKGHFSGLG -LYGKWILLPKHAQPDDVLKIEGASFDILDCVDIENKQGSLELTAVKVDRPVDFRDIRKYLPEHFTREKDC -FLAVDNEYYPRMFCPVGTVSLFGFLNLSHKPTYNTCTYPYPTRTGQCGGVICKAGKIIAMHIGGDGSNGY -GAILTKRIIGVLEQGSMVGLQKSSVSINMNTKTSLHPSVFHDVFPGEKEPAALSPRDPRLEVDLEAALFS -KYKGNVFVDVPEGKPLPENIQIAADHYLEQIKPLMPANLTEPLSLEEVVYGIDKLDGLDLATSAGYPYCT -KGIRKKDLIPPQGEPLTKLQDALDLHGFDLPFTTYIKDELRPKLKVKAGKSRLIECSSLNDTIRMKRTFG -RLFQVFHSNPGTVTGSAVGCNPDTDWSKFYTELGCQPLIAFDYSNFDASLHPIWFTALSYILAQLGYSSK -DLDAIGHITYSTHLFKDKVYNVIGGMPSGCSGTSVFNSIINNVILRTVILDVYKHIDLDLFRMIAYGDDV -VAVYPYQLDAKELAKAGSAYGLQMTPPDKDSEFSDTNWDNVTFLKRRFVPDEEFPFLVHPVFPMKEVFES -IRWTRSASSTQDHVHSLCLLAWHNGEKEYNDFISKIRSVPVGRCLKLPAYSVLRKAWLDSF ->ALR74732.1 polyprotein [anativirus B1] -MDCITFPRFTTYFQHRPARMLKMATWLKRKLQDWGSYELRKLYVWEGYNPYRNNFFHQYVKEQARECNGF -DRHEVRPQCRIGVEHDGQDYRHFVCKRHSHAESPVCCGCACREHGPAIYGYNLSTHEMMNLSGHYLIKKK -IRNYPIFQGCSDVSGWHFVCAQHEDKCAADNKCCCCDYRQNTFECAACVLNEDLSDQRSHYRLPNFPSFP -VEDLHRLLQFYPLDRIALNEEGRLEVVPQGVLQGPSCQKLKPCKPKRFCDPGHCHAVCRRHRGLEKCCLC -SQIPVEVDECMDVEQLEYPCYGRKTQCIGTNGLHWQCEGHEGMDECCCCKYEDEVEMCNPELPVKSVRQL -YPCYGDRQPISMGDGRFHWVCEGHNGIQMHCCCCDGLLQGQITSSITGNKQLGAYGGSTINYVNYYGTDY -ANANNNPAQNLDPASFTEPITDIAKDTMIPDLQSPSAEQCGYSDRIMMLTAGNSSMITQEAACGAVVAYG -VTPSYSENQGNNVDLPTRPGVSCDRFYTLDSIYWTKTGFSNNNSGTGTPTVRAKLNIFWALQELGVFGQN -CAFHYLCRTGFVVHVQVNATKFHQGCIGVYAIPEDESWNKGENGEILPQAPVWAARGNWQFQSLSEDGEW -HQWNIYPHQMVNIRSNNSATIVLPYLNITPACLTKIHSPWTLNLLVLADLDYSAGAATTIPITVSIAPQY -SEFAGLRAAASLNGVPTFDVPGSGQFVSTLRNSGFPLYSQFETTHSFSNPGKFTNLMEVCMIGTFADVGQ -TPEGTNGAVNTTKMNAVVANNAADREIFKLDVSLSADYLQNTYVGRLAKMYQQYRGSLKYTFMYCGSSMI -TGKILLAYTPPGGSEPTTREEAMLGTHIIWDIGLQSSISFTVPYISVSQYRMTSGENTAISVCGWITAWY -QTSFVYPPNTPTSSQIVTTVSCCEDFVFRLPMDNPYYQGPTAIRDAVQQLVSDAMSLKGPATAVNPHAND -SVTTADPSELINAAPPLTAMETGKTQIDTGDTFAVQPSRMTFSRQDTDFEYLFSRYHYVASINLKSDASP -PVPQVMEVPIDFTMIANGTNMARTLFCMATYYRCALDFVFIPIATNSSAGILDATIQYMFSPSGSIEPNT -TESTWASSINPVTTFRIQQNWSSVRIPFLSSANYYSTFFNGYGVFGGQSQYGINPCNTVGSFFFRLLDQE -AAEQVSLQIWVRPINLEVCCPRPIVRFSQTELTSISRRRLVVPSARDPELGTNSILKAGIMQGPLSSEKQ -GVFDRLKTTMNEAANQMGSEMGSAISSNLIKGIEKTLMSFEPEDDNHWVLDVLEWITKLVCAITIMVRGS -HDPAIIASCCVMLGIDILTSDPVDYIKRKICQYVGCKYWEMKVKQCLNPCKQGPDWMRDLNTALNIGKGF -DWMIGKIKELITWIKELVAKMKHQETMADELKFYLNEWFTYQKDPSKFTRGSVNKLCETLLSIKDKVQEE -AEVPKFLFQQLVRIESDIRKELSHGRSRSFEPVALVIRGSPGQGKSLATSVIGRALSKFYRVAEPYSLPP -DPKYFDGYKGQMVTIMDDLGQNPDGADFQFLCQMVSTVDFFPPMADLEDKGSPFISDFILASTNLRTFNP -PTICDVSALNRRFLLDLTIEVSKDFQNSAGKLDVQKALQKCDHEVDVIYTKHCCPLVCGKAIKLVNKKGD -KFSLNEVIGILISEAEKKRKVGVSVDGLFQGPSKVRPLLEPVTVSGSSLPEDVADALMAIKPDDELIEKL -EQQGFIVPVSVKNKTLVRQVSHWRRILKASVIGLGVLATIGGLIYAFSHKFSHQGPYEGATKTQLQKPEI -RTIQIQGPHGLNPDLQFAEKIRKKNLFSIKTGAGVYTGVGVFSRTILLPKHAAVKPYFLGENELEVEDEW -ELVLPGNRNLELVCVSFKNINEFCDLRKYIPDKIDSYKDAILVMNSDIISAVVPVGRVTPLSSVCLSGDV -VNRTLTYRYPTKNGWCGGLIVKAGQVIGLHVGGDGYNGFASCLLANYFSQKQGLIVKEEKAEWKGEKVTS -YMPKKTQLLPSVYHDIVPGEKEPAVLSNSDPRFKGDLKQSVLSKYKGNTFPHELVKKGLLSVNLDDITTA -VQHYVEQIKPLLPDDVCEPLTLDEAIEGYKGLQKLDLSTSAGFPYNVQGISKKQLMANNRKLLLEGLDLH -GYGLPFTCYLKDELRSKSKVLTGNTRVIEASSINDSLLARQVFGRLYAFFCDNPGTATGLAVGCNPDRDW -TRFYTEFSSSYVVSFDYKNFDASLSPMWFAALQEFLQQLGFDSDNVEFIVDHMCNSVHLWGDSFLHIEGG -MPSGCSGTSVFNSVINNIIMKTIIPLAYKGIDLDALKILAYGDDLLVGYPFPLNGEPIAKVAQLFGLNIT -PADKGEHFKDGSSIEDHTFLKRGFKPDETFPFLVHPTMDKQAIYESLRWTRDPKDFQDHVRCLFELIWHH -GEEEYEEFRSTLRKSHIYKALNIPPYQYLRRKWLDLF ->AIF74261.1 polyprotein [Bat picornavirus] -MGNVQTNSAGSNTASISAGGGVTMNFYGSTHSNAYNEAKSQLSGFLDKTDVKSKEKKSKNGKTILGSLLD -KIPKIPKLLDPTVEEMGYSDRLLQLTKGNSTVTTQEAADALVAYGEYPSKHTTGEAIDMETYPGVAVERF -YVIGTYEWTNVLAINSYWSFPLPKTIANTGIFGQNLSFHYLYKSGFVVHVQVNASKFHSGCLIACMVPEN -ENTTNTPASTYVPGKQTVQIFKKVNQLPVFPHQYINLRTNNSATIVFPYVNAFPSDFGSHNFVSLVLAVV -SPLQYSTGAAPTVCITVSIAPLETSFSGLRNFLSFQGVPVQGVPGSNEFVTTVRNEGIPVYPEFERVEGF -KCPGKVDNFLQILQRPTMCTFNDTTPYIKVINQQFSKSPIATIKVGLLDNQVLDTYLAGFARNYCSYRGS -LIYNFMFVGTSMATGKFLICYTPAGVPEPTTRADAMLGTTFVWDIGLQSTAHFVVPYMSTTQYRYVNDAD -SVLGQNGYLTIFYQTALVYPPGNPSESHIVVTLSAGDDFRLRMPFTSNVFQGEVEDGVPALDAIETGVAN -TNVEGTVQLRPGVPEFSIRETDVEYFYSRYFQIYSEKTNTGLITAPIKFGHKNFKGTKLIETIFNLFTYA -KFDLDFVVKVSGPLSDYQVIYSPPHTTPPQSLTDGLWGSALTPSVFSNNWNPPASIRVPYPSAAAYLTSF -YDGKLEFNTSASTNYGVNPGNDLGIISVKIAAGKDYTVSIYLRPVNVEMCCPRPIQSYKNVAAMKIRHRP -LKEGEGPMAHVMKPSEIPSFDHPMEIMKPNIGHLEIREENGWPNGKYKQWQLETVNIWFDEANPKIKTNC -FKTFYPVETHTISSGVFIAKNVMVMPLHCFGPNLTIHTRYSTYRPKILKKVERPNQDLVFLTLNFHSSYM -QVGVGMPDPMDSQILHVSPLVGEHSNTAHSPVYMHAIYTTKMVSNLIETNNLSQRGFCGSILISAGKVYG -IQVAGDDTHSDFAIITQIMSGNVARREAHGLRQKHGIRDYFVSMGDGIGEGMKKQLEDLISSFENRAVGA -VERSVLNKCLKLLVKTISSLCIVANSKDVMASSVALAAIFSIDFVSVDPLFYLKRKISELLHFEKEVQPQ -GPVDWLKDFNVACNAAKGLDWIWKQLSVFIEWFQDKVKVEGPRRQRFNQLLSEWPEMMEEMDLVTLNRGK -YTEESVVELCNKVTELKQLCDVYGVERSFSIGQVLKYNQLAMKWMSNLGKSRIEPVAVLIHGGPGQGKSI -ATELIAKAICKKLNAQLPYSLPPDPKHFDGYTQQEVVIMDDVCQNPDGEDIKLLCQMISTTQFIPPMASL -EEKGMPYTSKFFLASTNQHKLRPITVSEPKALDRRFFLDLTIELQDGYKKDDGTLNFPAAVKRCKHESNN -FSCCMPIVCGEAILLVDKNKEEYTIDEIVTMMLQEEDRRSSCLGSLEALFQGRLQMVKKENKGPLAREVL -DCIRSIGDDAIIAHFVNEGYIIPDDYLKEIERKKIKNYLDYSLSIGSAIAIVASISVSLYLIYKIFASRQ -GPYSGSTNEKLKRPTPREVITVQGPNEFNTKLMKDSLYDFETDVGHFTAIGLCDHWFLVPSHSEPGDTVN -LNGKQINVLEKKDLQVEKGLTEITVVKLDTPERTRDIRKFIPEKLTSYKQSWLLVNNANFPRMMFPTGNV -TPYGMIALSGNFRQNVVQYPYPTRSGQCGGVVTAENKLVAMHIGGDGLNGYGAALKRSYFDFLNVSQGEI -VKIEKSDTTINVNTKTQIRPSVFYGVFPGKKEPAVLSKFDKRCEVDFDDTLFQKYKGNKEISKTENMQLA -VKQYVSQLKPLMPTNLCERMSLDEVVDGVEGLEALDLTTSAGFPYVTMGIKKKDLIPERFCDKTDLKKVL -DKYGIFLPYTTYLKDELRSIEKVKAGKTRLIEASSLNDTIHARQVFGRLFQVFHTNPGVVTGSAVGCNPD -TDWTSFYNMLGVENICEFDYSNYDASLHPVWFDLLKDVLLGLGYSEDDCKIIDHMCNSTHLFRNIIYEVA -GGMPSGCSGTSVFNSIINNLIVKTLILDSYKGVNLDFVRIIAYGDDVLVSYPFPLEPGLLAVAGQRYGLT -MTPADKQGEFRVKTIYEVTFLKRKFVPDEEFPFLIHPVFPLEEIQESIRWTKNASATQEHVYSLLSLLWH -SGRSNYEEFIEKCRTIRIGMALHYFDYDVLRRQWLDKF ->ANM72883.1 polyprotein [Sapelovirus A] -MESTTTLSFCNWIPKNQRARVYLTTSVTHEKSIGPYTYVVSDMVMKENSRTSLAMAYVEGTTLVFNIGTQ -LGQVHSANTGNKPQGAYNHGSGSITQVNYYGSDYSQAWNPTQQQMDPSQFTKPVTEIANAMAGPSLKAPD -KEEEGYSDRLMQLTAGNSCITTQEAAKAVVAYGQWPSYNIDGGEHLDLATTPGTAVDRFYTFDSLQWTNT -QVGEWSLPLPGGLMDTGVFGQNLRFHYLSRMGFCVHVQCNASKFHQGALIVAMIPEHQTPTQVSNGFEYR -NGVNYQGNNYPTEQLTVFPHQIINLRTNNSATIVYPYTNCTPAGFGLAHNFVTLVIRVLVPLRYNEGAST -YVPITVSVAPMCSMFAGLRSAVARQGFPVRQVPGSQQFMTTQRDNGIPIYPEFEKTHGFKLPGRVTNLLQ -VAQVGTFLKFRNNVNDASGVYLNLDVTQGNQAARIAAIDVSMISEHLVTTYLSRLAQMYANYRGSVVFEF -MFCGSQMATGKLLIAYTPPGGSSPTTRNDAMLATHVIWDIGLQSTCKLVVPYISASQYRQNNVNQTTLSY -NGWVTVFQQTALVVPPGAPSTCQLVVTVSAADNFVMRIPTDTAYFANYQGDVKDEVQAIVNKTLQNALNT -KPQKEQSSNGIMINQGDAPALTAAETGESDTNSGGSTMELQATNCVFSLKETDLEYLMSRYSLMFEDKLD -YTNNQGSRHLRYNLDFRTIGKSSSDITKFKAFTYWRFDLDVVVMLLEDKPAAVKNLMFQVLYTPHGGVVP -DRHNSRVWNAPNSTSVYTRVGDCPASFRIPFMSVCNYYTSFYDGDGNFDRNGASYGVNPGNFIGTIAVRL -ANDIVTAEVSGSFRVKIFLRPVNIEAYMPRPLIAYKANGDAVQDSSTYYPATQAGLFYPAIQLGPYEICQ -TRNASELIDTKWARYSCSVKFDRGSFTAWFVGEDLLLVPYHAANNWSQTTHVFLWRAWDKDWRNHPELEM -KIPIVDMWTDSTRDLTFLKIAYATPYWLEMPAKGSAIGDYIVVVNSAHFPWKQYTGPKPFRHPYLHIGQH -TQYRLWMHAGDADNGFCGAGLISRGKLYGIVTARTEAKSGGTFVAYTELDEDTFLQTQQRCFDFGMDSHF -NLGMHDWVQGLGQVFGEGVSGEVKRQVEDYLGQIKPIIDAGTNKVKDVIKDEMVSASMSLLVKVVASLVL -YMNSKDDCKMSTLASLGALLGVDVFLTDPIMYLYSKITGEPHKQGPSEWLKEFNIAINAFKGFDFLCSKL -MQLIEWIKQFFQRVEPEYKYFKELLESWPKVCAKVLEFKNCKTTLGQEDICQIKAYIDKLIELGNKYGHK -FNLQMSQLLQCSNIINKAYSNMTRSRHEPVAMLIHGAPGTGKSLATEIIGRAIADKLDNQRPYTLPPDPK -HFDGYNQQKVVIMDDLGQNPDGEDCKMFCQMVSTTTYIPPMASLDEKGLPFISDFVLASTNQHALTPRTI -AEPDAINRRWFMNVDIHLKKDFKDDRGRLDMSKCAPCKDCKPTNFRKCNPLVCGKAIILLDRKTQKNWTV -DSAVTHLLEESERRKGFLNVVDAIFQGPVQVPECVREDEMKKKRVNSERDIPHDVMELVRCTKSPIIIDE -LEKAGFIIPVEAEVIRQTNNVNNVTQIVSATLASLAAIISVGTVVYLMVRLFSSKQGAYTGAPKPETRKP -VLRKAVVQGPDMEFAKSIMRSNLCQVTTSVGPFTGLGIYDNILVLPRHAYVSGNIVLDGVDIPVTDAVEL -EAEEGNLELVQLTLKRNEKFRDIRKFLSNGFHSENDCWLCINSEMFSNVYIPLKNVSAFGFLNLSMTPTY -RTLVYNYPTKMGQCGGVVLKAGKILGIHIGGDGTRGFAALLKRDYFVNKQGLITEKYTPSKPINVRTKTA -FHPSVFHDVFPGSKEPAAMSVNDPRLEVDLEEAIFAKYKGNVDTTLPEEALIAIDHLVSKFKAIVPDNLC -EKMSLEDVVYGTENLDGLDLTTSAGYPYNTMGIRKKDLIPPKGQSLSPLIKALDLYGYDLPFTTYLKDEL -RPKEKVKMGKTRVIECSSLNDTIMMKQTFGHLFQTCHKNPGTYTGVAVGCNPDVDWSKFAAEIGDAYVCA -FDYTNWDASLSPLWFDALKLFLSKLGYSGRDLVLIDQLCYSNHIYKNKGYKVTGGMPSGCSGTSIFNSII -NNIVIRTMIMLAYKNINLDELLVLCYGDDLLVAYPYELDPNVLVPLAKSYGLTITPADKSTTFQTGTKLT -DVTFLKRGFKFDEEYPFLCHPVFPMEEVHESIRWTKNASYTQEHVTSLCLLAWHNGEEVYEEFCTKIRSV -PVGRALILPPYSQLRRSWLDMF ->BAS29596.1 polyprotein [Bovine picornavirus] -MRKLRAVPTTLVFLCFLYFILNFYGDNSWKIRVIMPVLCVPIRSVLEIFNVTSRRGRIITRRIYVYVRGS -IGKIVYEAVVFVSGNKRLNSRRHNTGLTVSKPSSGNRTENITAASGAHITNINYYGTSYAAARGDASTQM -DPEKFTKPVMDLANQTLGPSLKSPTVEECGYSDRIMQLTAGNSTITTQEAACAVVAYGEWPNGKISIGQA -VDKPSTPGTSVERFFTFDSIDWTTSYKGQLFHLPGCLKNMGVFGSNMTYHYLMNSGFVAHVQLNATKFHQ -GAILVCAIPECQLEQEPTADVEEIPENFHQTYPKAQCTIFPHQIINIRTNNAATIVMPYFNCVPSENGLT -HNYWTLAIIPLVPLQYSAGASTQVPITVTIAPLNSYFSGLRNQVTGQGVPMFEVPGSGQFITTLANTGYP -ALPFFEPTHGLHIPGEFVNFMEVCQIDTFCKAGDSELYFGVGQGRYTNNKPLKSWDMSLMSNLFTETYLS -KFAKFYSQYRGSIKLTFLFTGSALTTGKFLIAYTPPGGDAPPDRESAMLATHAIWDIGLQSSFSFIIPWI -SATQFRFSNQSGNVYSYAGYITFFYQTALVTPPSTPQSSNILVMASACDDFEFRVATDNAYYQGLGDKLG -KIISESVDTTMEKVVTKALEAPKMDAGLTIQEGEASALTAVETGASASTESTQLMETRDCSLTFSRFETS -VTSFYSRYAKFFAETLDMSADKQSMLVTELYFNKEKSTQLAIRTKYRMATYFRCHFDVVIVVHMSEMLDK -SSTQPYVFQAIYVPPGASVPGTWASPEWSVPTTPSCYFKSTDPPASLRLPFISPSHAYASRYNGYSNFNT -QSAQYGEYPGNFIGHLAIRTLSHHTTNTAVSGKFNVLMFARPVDVKVWGPRPIISLKRSSRVARSTGRVE -IVSLEEDGDILHHHASHDGSDLRRKQTGPKRRNKKKRQQPGVAKTPDYNPKLPTFRYPYDLIHMFHGIPV -TKRAVLIPFHLLQYDLLLQTMGGFTYEPYDVFVDDIDYDCAALVFKRDLFEPVQLCDPCDDYIYYSTCRT -VNYDYTLKFTKYYELDNVVMDATPDVPEHVQYSLVEVNYPIPDGWCGSALYCRAGVIGIATGTSEEAGTF -TYIKRIPWINELINGPKQQQGLAEKQGITDWFSDTAKNMAKAFGTSLTDTMIEEIKDASDGLDFKADIVK -TLLLWLVKAVCACVIISKAEDKISACVAVGCMLGADIISMSPFDWLKQKICSHFKWNHEQGVSEWIKEFN -AACTAAKGLEWIGDKILQFVNWIKKIFARENKHKTKFMKQLEKLPELMENIDKIFAHRGAYSNEQIAKVC -KQMRLLKMGADIFGVERNHATNQIVKYYNKTLTLVNMLQRPRSEPVAILIHGAPGTGKSLATEALGRLIS -KRFGVEQPYCLPPDPKHFDGYCQQPVVIMDDVGQNPDGEDVKLFCQMVSTTNFQVPMAALEDKGICFTSH -FVLASTNCQDLKPPTISEPKALERRFVLNLDVEIQEKYKVQGKLNASAALDKCSDVHTSVFLKCCPLFCG -KAILFKDRKSGIRYSLDGIAKVLLDIHNSRHKCLDKLDAMFQGDPDCVCGGAMHHEMEPDSEEWFQTDFD -EEPNVLKTYEEIAEETNVTLKPLPREVADLIRAIPDERIIKYCAQKGWLLSPETSLTIVRNDVRRMVNDI -ALGLTIVSSIAAIGMFVYFLFKLFSNQQGSYESIQKTLPKPPQIRRMVVEQGSNEFERSLLKRNLFPTVT -EKGGFSGIGLFDKWMMLPTHAGPTNVVEFENRTYSVLDCVVLHNEKGPLEVMLVKLDRPVNFRDIRKYFI -TSFSSVKANLVVNSPRFEDKIYNVGRVTAFGFLNLSFHPVYNTCTYLYPTQIGQCGGLIVSGSSIVGMHI -GGDGANGYAAIVTQKMFKAVEQGEIVKVERSPKMVNVTTRTQLHPSPFFDVFPGTKEPAVLSEKDPRLSR -YVNFEDTLFSKYFKNKDCKDGPTQNMVVAVKHYASQLKPLLQSTAKLSLEEVVYGTTNLEGLDLKTSAGW -PYVTMGIKKKDLIPEKGQGLHNLIQALDLHGYDLPFVTYLKDELRPLEKVEQGRTRLIECASLNDTIRMK -TVFGNLFRMMHANVGVATGSAVGCDPDVDWSVFYRQFKDLYLIGFDYSNYDGSLTSQWFMCLIMLLLELG -YTEEDVKCIEHIVNSKHVYKDLLLTIEGGMPSGTSGTSIFNSMINNIIIRTLVLDVYKGIDLDHLKILAY -GDDVMVGYVYPLDASLLAEQGTNYGLVMTPPDKSACFNEINLENVTFLKRRFKPDETFPFLIHPVFPMEQ -VFESIRWSRNDNTLQEHILSLCQLAWHNGREEYDDFIVRCKSIPIGKMMRYPEFDVLRQNWLDKF ->AIF74251.1 polyprotein [Bat picornavirus] -MANGNKKNRIEAQRDAYIINWYGTEYTKAYQKGELNMDPEKFTKPVTDIAAATMGPALKSPTVEEAGYSD -RIMQLTSGNSTITTQEAALAIVAYAQWPEYDNGVGEAIDAPSKPGPSADRFYTLPSIQWTQTFPGAWWRF -PYALTDQGVFGQNCAYHFLMRSGFCFHVQCNASAFHQGALLVVAIPECQVDGVRDFPRHTVLLDEATRNI -FLKQYPLAQLTLFPHQVINLRTNNSATIVWPYTNSTPAENALSHDFVTLVAIPLTPLGFNEGATPYVPIT -VSVCPMASQFSGLRNQVVLARPIADAQGLPVFQTPGSEQFVTTLRNEGYPMYPDFEPTPNHGIPGRVKNL -LEVAQVDTFCLASSSDNSGLAFHLDVSQQSAPGGKIADWDLSMQARFFSTTYLARLAKFFVHYRGSIKLT -LMFCGSKMATGKLLIAYTPPGGNPPPGREQAMLATHVVWDVGLQSAVSFPIPFISQSQYRYNNTAGNTMS -YAGYISVFYQTNIVVPPGAPSTCPIIVMVSACDDFLLRQPTDNAYYQGLGDDIGKVVSGHVKTTVENVMN -RPAAIGSGEGEQGLTVTSGESAALTAPETGATATANPDTTLETRFLSQTYSGAATDVSNFMSKYGLVGRE -TLRASAGAGFAFKRIPLWFNDMKTALATKTKYRMFTYVRCHFDLVMVIAPGSSYDATKSDPSGDAAIQYL -FCAPGTPAPTGPDSPEWYLPTTPSVITKATSPPGSIRVPFMSVCSAYATRFDGYPTFQVNQTNEVNYGNF -PGNFIGDLYVRVMPSGANSQQHLNDSMIYGVYLYARPVDFEGYMPRPIVSLKTTATIAGSRNRVEFVDVV -NGTQRTDNIRELVIGGNPDGSDYEKPRKKKNTGPSCISYPKKRWDHAPGYVKKAACLPQAINMEKLFTFQ -VLPLHENLILLPLHLLTETLWFRQDPDSPPFETKYTVVRKDPQHDLALIQTDERFNPVKLCRKLAPPDRA -WFTMRNSTAEYVRRVKRCHYEAVVDDIANYDDPSKAHEQSDMFGVDFSIPEGSCGSVLVCPDGVCGIASA -SDEDNGWFTALGHVPWLNAYKQGIYDWIHDICQDLGSAFGAGVSDEVKNEVQEAYLRVCKGSSVRMEMGK -EMINWLIKAICATVMIARAEDKAATAATLGVMLGADLLLKSPFDWLQDKVNQVLGYAASAVAQGPVDWLK -DFNVVCTSFKWLDWIAAQISKFVDWLKKLLAHEDPRRRKFMTMLEDLPMLMEHIDKIAVQRGKYDPELIK -KVCNNMRALKRGADIYGIERHHATLQITKYYNKAMQILASMTKGRTEPVAMLVHGTPGAGKSLATEMIGR -RLTELIGGNRPYCLPPDPKHFDGYTQQPVVIMDDVGQNPDGEDLKLFCQMVSSTEFIPPMAALEEKGLAF -TSDFVLASTNCQHLAPPTIVEPKALMRRFHLDLNIVIRPDYTIGNNKLDASKAFNTCNHKAENFRKCCPM -VCGEAIAFVDRRTKEEHSMDDVVGLLMLEHMRRRATGDALEAFFQGSDDDDWLQSNYDKLPAVLKTLDEQ -VAEKLIPPKPLPKEIADLITAVGYKEEIFDYCKKQGWVVPAAVEIVRTRATVKEVVSWFGTSLSILASTL -SIAGLVYWMYTIFASKQGAYSGESKKTLKKPELRRSAQVQGPDMQFATKLMETSLFDVKTDRGCFTGLGI -YDNWMMLPGHAEPGEFLYLEDERFEVLDIVDLNNAQGNLELTLVQIDRPIKFRDIRKFFADHFTAEKDCH -LIINNSKFRRMYCPVGKVSMFGFLNLSHQPVFNTCHYRYPTKSGQCGGVVVKAGKIIGMHIGGDGYNGYA -AILTKAHVAKAQGQIIEKRKTTACSINVNSRTALHPSVFHDVFPGSKEPAALHPKDKRLEVDLDEAAFSK -YKGNKKLESYDYPELLGPDYGYAGTEAKLDFPPETMEAIDQYVEQVRPLLPHNLTDPLDLEEVVYGIENL -DGLDLSTSAGFPYVTKGVRKRDLIPERGQPLTKLQEALDLHGYDLPFVTYLKDELRPLDKIRKGKTRVIE -CSSLNDTIMMKRTFGRFFQTWHANPGTVTGSAVGCNPDYHWSQFYVQMGDQPLVAFDYSNFDASLHPCWF -QALALFLQKLGYSKHDLRLIKQLCNSKHYYKDIYYTVEGGMPSGCSGTSVFNSIINNLIIKTLVLRCYKG -IDLDQLKIIAYGDDVLVSYPWQLDAGVLAEEGANFGLTMTPPDKGDCFNEITWDNATFLKRGFRKDEQFP -FLVHPVFPMSEIHESIRWTRSAAATQEHVASLCRLAWHNGRETYEDFVKAIRSVPVGRALSIPSFRTLER -EWLELF ->AIF74260.1 polyprotein [Bat picornavirus] -MDCLGLCVFSKQTTKKMTHHQYHGAEYQIKITKKQRAILKSLGPIYLPRYGVTIENDKVTFHPKHLFPSN -AETSKEEFVDLGQVQSHSTGNTSSGMRAQAGGNINYINYYGSNSATAWNPSAQYMDPEKFTKPIADVAQA -AAGPALKSPSVEECGYSDRIMQLTAGNSSITTQEAANAVVAYGRWPEYYEAAAEAIDLPTKPGVSCDRFY -TFDSFTWTLTSKAWIIHFPSALAEYGVFGQNLNYHYLYRSGFCFHIQCNASKFHQGTLLVAAIPEMQMPN -HNHGHGIVEINDWADWPVEWPIGQVPLAPHQLINLRTNNSATIIYPFTCPTPASFGLSHDHVSLVIIPIV -PLSYTTGASTNVPITVSVAPMCSQYSGLRNSLETQGVPVFEIPGSRMFSTVLRNSGFPLYPEFDETHGFE -NPGRVSNLLEVAQVGTFVQFQQGSENKFYGTIDVTNTGGTAAIFHWDMSFVYEAFLSTYLCKFARLYNSY -RGSINFEFIFCGSAMATGKLLLAYTPPGGSQPPTRKAAMLGTHLIWDIGLQSTVKFTVPYISTSQFRYNA -IDTNVLSLDGFISMFYQTAIVVPPGAPTTCQLICKMSAASNFCFRIPTDDAYFQGIGDDLQLAIQHATST -ALQSTTLPAVNGTGTAPGLALTSGDSPALTAAETGSSTTADGSATMEVRQVDITFSAKETDLEYLYSRYF -YMKSVRITNGSASDQSALQSHVVLTFNDLFRSDNTTLKSKFRMFTYWKFDLDVVIVPAYTDGTSTYPFEV -MFVPYGSSLPANNSSALWNTTANPVFIWTPGSPPLSFRIPFVSCASAFASQFNGYSKFGEKLEKDYGFFP -GNYFGVVFFRSYGGISPNNVVQFRVYVRPVNIKAWMPRPLISYKPSSQQNVRGPSQHRKVFVDEGIPEDL -QDLGIRGYSPFDLMCDFRSPVDDWEERLNCDCYPVDADTGPYTMWRLKGNRFILPAHAMTEMRKVRAYLT -TLYSTEKPTVVEDTALDVERIPGEDLVVFTLPAMPKGRGIMRYLPKFASDQILRFLRITINSPAFPLHFG -TTDSYSLFQQPYKSSGKDQWNAYRTVYNCQQGHCGGLLHEDQKVHGILVSGCPSKQIAIFSILTRPMFTK -SGHVKQATIPTFSPSGVFQPLGPISWFTDTTRAFGQHMGDGFVSEVEEKLNPIMQRIERNLQSTVSESFC -GQIIKLIVKIVCGVAIIQQSYDRTGTIIALTAMLGIDLVTTDPFEWLKTKIIRSAKYGTIDDDNEIVEQG -FTDWVKDFNAACTAAKGLDWLVEKISKFFDWLKSFCKKESAEHKKFTKLMDQWPEIMVQLDVLEMDPCAM -TDYGRMRLCEKVLMLKTLCDKFGVERNFATSQVLRYAVKARKILSSVRNSRHEPVAMCVHGGPGTGKSLA -TEIIGRALAKMHDGQRPYSLPPDPKHFDGYTQQDVVIMDDVGQNPDGEDLSLFCQMVSSTNFIPPMADLE -SKGVSFTSKYVLCSSNVETLRPPTIAEPKALARRFFLDADIVLGQAFTKGGKLDVERAARKCTNCDKPDN -FSFCTPMLCGQAMRLKDRANGVEYSLDKAVTLLKAEQSRRSRCLNFVDALFQGPGSNSTRPITEEEAITW -LMSDWDLEQEAAGRQQPAPIKACPKEIIDLIRATRNQAVIDWCVSEGYMVPTKVLADVERKKVNWFIAHK -SDILNGLAFAASLAAVVILLVKMVGTFQGAYTSNPQAELKKPLPRQVQVQGPDTEFINKLMASNLLQVTT -TKGPYTGLAIKDNILVLPVHSGVGESILIKGDKYEVEDAYELCTQNGPTEILVVKVKKTEKWRDITKFFP -DCISTEKACWLAMDSELFPRMLFPVGTCSPYGNISLSGRGVVNVLCYAYPTKTGQCGGVVCKAGKIVGMH -IGGDGFNGYCAGFKRSFFSEFQGQIVSKKPAAKSIHISTKTSLFPSVFHDIFPGEKEPAALSKNDKRLEV -DLEEAMFGKYKGNVEIEAPEIDIAVSHYVEQIRPLMPQNLAEPLTLEEVVYGTENLDALDLDTSAGFPYV -TIGVKKRDLIPPKGEPLTKLQEALDLHGTNLPFVTYLKDELRPIEKVKKGKTRLIEASSLNDTIQMKMMY -GRLFQVFHKNPGTVTGSAVGCNPDEHWSRFYAELGEDNIVAFDYSNFDASLGPMWFDALKKVLAGLGLDP -VLIDRVCNSTHIYRNIEYEVIGGMPSGCSGTSIFNSIINNIIIRTLVLRTYKYIDLDKLRIVAYGDDVLV -SYPFPLDPALLAEEGKKFGLTMTPADKASDFDGVKKITEVTFLKRSFVPDEDFPFLIHPVFPEKEIFESI -RWTRSASHTQEHVRSLCELMWHAGRAKYEDFIQKVRSVPIGRVLALPSYDYLRNRWLDLF ->AIF74249.1 polyprotein [Bat picornavirus] -MGKVQTNSAGSNEARINAGGSVVMNFYGSTHANAYNEAKSQLSGFLDKTDVKGNNKDKKEKTILGTIMSK -LPKIPKLMGPTVEEMGYSDRLLQLTKGNSTVTTQEAADALVAYGEYPKRHTTGEAIDMETYPGVAAERFY -VIGTYTWGNTQATNHSWVFPLPRSISDMGIFGQNLSYHYLYNCGFIVHVQINASKFHSGCMLVSMIPEHE -NTTQAEAAATPFTVGEQVSLPFKKINQVPIFPHQYINLRTNNSATIIYPYTNSYPSDFAGTHDFVTLMLS -IVAPLQYSTGAATTVCMTVSVAPLEASFSGLRHGVRLQGIPAMGVPGSNEFASTVRNEGIPVYPEFEKVQ -GFVNPGRVENFLQVLQRPTLCTFDGVPYLKVTNKAYEDKAIVAINVSLLATQFADTYLANFVRNYSNYRG -SLILNFMFVGTAMATGKFIICYTPAGSDQPATRKNAMLGTHLVWDIGLQSTAHFVVPYISTTQYRYINDA -DSVLGQDGYVTMFYQTALVYPPGNPNESHIVVTLSAGEDFRLRMPFTSNIFQGETEEGTPALDALEIGIT -EPSVNSTIQIRPNLPQFSLKEMDVEYLYSRYFQIFKDEVTTGYKVITLKFGHKHFKMKSGIQTLFDLFTY -FKFDLDFVIKIVGGLTDYQVAYNPPHTTPPNSATDSLWDVILSPNIINSNWQPPSCIRVPYPSVAAYLSS -FYDGRLSFNTAASSNYGDNPGNNLGIISVRALTAPSKAYTLMVYMRPVNIEVYCPRPIINGKSSINEVRE -VHGLVNGFYEKFELKNPFDYMDDKDLQNDQFSYKSFFPIRTDKTITSGVFIKPNMLVIPLHCYGGNLIMI -NENGEKRHLNVIEIFKKHESDLAFITVDYRSEHVIPIGRGMPIGKGFVLHISPLVGHHSKRIKQYNYHET -IDTGEDVHNIIEVPAPSQPGYCGSILVAGGYVHGIQVAGNENFSCFSSFVRIVSGSVVRREAHSVRDYFV -NIGDGLGEGFKKQLNEILDRIESRMMSSMEQTVVEKCIKLLIKVISSMCIIMNSKEPITSGVALAAMFSS -DFITMGPIMYLKEKISKLLGFSTEIEEQGLVDWMKDFNVACNAAKGLDWLWKQISIFVDWITNKIKVEAP -KRRRFNELLIEWPSMMEEMDAVSLNRGKYTEESVIGLCKKVAELKQLCDVYGVERSFSIGQVLKYNNMAM -KWMSNLGKSRIEPVAVLIHGGPGQGKSVATELIAKAICRKLDAQLPYSLPPDPKHFDGYTQQEVVIMDDV -CQNPDGEDIKLLCQMISTTQFIPPMASLEEKGMPYTSKFFLASTNQNRLRPVTISEPKALDRRFFLDLDI -IVDAKYQKDDGTLNFFQSVQKCSHDSVNFACCMPVVCGEAIRLYDKVNKKNYTIDEVVTTMLAEEGRRSA -CLNSLEALFQGNLKLQCNAPNKYPPKEVIDCIRSIGNEQIITYFVNQGYIIPDECVKAIEIEKIKTYLDY -SISIVGALSVVASLAATSYLIYKIFASKQGPYNGSTTEKLKRPVPREIVVAQGPNEFNNKMLKDSLYDLG -TENGNYSALGLFDNYYVIPTHAKPGQIVKLRGADVKVLRAIDLHVHNGPTELTLLQLDTKERTRDIRKFI -PKTIQTHKQSWLLVDNLNYPRMMFPTGTITPYGMINLSGNFRHNIMQYPYPTRSGQCGGVVVSDNKIIAM -HVGGDGLNGYGAALKQSYFDFLIYEQGAIVKVERNLETINVNTKTKLRPSVFYGVFDGEKQPAVLSKFDN -RCEVDFEQHLMDKYKGNKELNITENMKLAIDQYAAQLRPILPDDLCVYMEIDEVVDGVEGLEPLDLDTSA -GYPYNTMGIKKRDIIPERFADKTKLREVIDKYGIMLPYTTYLKDELRKPDKIKTGKTRLIEASSMNDTIH -ARVVFGNLFRTFHLNPGVVTGSAVGCNPDTDWTSFFNMLGVENICEFDYTNYDASLNPVWFECLKLLLIK -LGYKQEALNIIDHMCNSTHLFRNVKYVVEGGMPSGCSGTSIFNSIINNIIIKTLILDAYKGINLDFVRIL -AYGDDVLASYPFPINPGELAKCGVRYGLTMTPADKAGEFSVKNIYEVTFLKRRFVPDEVFPFLIHPVFPI -QEIEESVRWTRNATATQEHIYSLLSLLWHSGEQQYNQFVDKCRTVPIGRALHYFDYNVLRHQWLEKF ->AGJ03164.2 polyprotein [Pigeon picornavirus B] -MVFARRVMPDFGAISVANVTLLFMISYRKGKHIPPVMYCKEHEKPECVIPEDMTTACSTYLRPCRRMIRC -VNNGVHYMCRWHDISHRAYTRCGYAGPRRCCACHKQRFLEPQGAAMSMPATGNHTSESIATGGSSITTIN -YYGTNYAQSYAPNTQHTDPEAVASGVSSITGPMSTFMASPTVEELAGDTSDRLFQIIAGNSSLITQESAA -GAVVAYGKLPCYLENEGNTVDLMTRPGPSCDRIYTLDSFDLTNTTPAVTIPLPGVLADKGVFGQNLQFHY -LYRCGWMVHVQINATQFHAGAWLCAMVPECVVKGTNYDSTHFFEMNEQAGFVETQYHQLTLYPHQIINLR -TTNAATVIVPYTNIAPASFYTCHNTWRLVIAPLVKLQSPPSYNGTVTCTVSVQPVCSQFNGLREKVVAQG -VPTFDIPGSGQFCTTLANTGYPAFPMWTPSEEFPLPGRMTNLISVARIPTFLQGTDNNVYGFTVQNVIPS -NQTLLYELDVSLLAVQMERTYIGRLARMFAFYRGSIVLRFTYTGPKQSSGKLLIAYTPPGGSRPATREEA -MLGTSIIWDFGLQSTCTFVIPYISISSRRFANTTGTIFSYDGYVTVWYQTAVVFAPGCPTMAEVLVTAAA -GEDLEYTGFMDTAYYQGLGDDLSGVIQSTITNAVSQSVGHLTSMPNHHHQEGSINPVNVGAVPALEAAET -GVASVVGADVSCTIEPGATKLTKSSETFIPNMLSRYWYLNQLTLNNGVAGSSFDSGVIQLDLSPMGPNAT -VMSRLLKVLLSSATYWRFDLDLVIVPVHLVEGTQSVQYMVEFCPVGSFASSTSHGYDAKNKGANPKIFTT -TDKPPASMRIPFMCPASYFCSAYDGFKTYSGENYGVCPSNSFGNLIIALPNGYGSQPKIKFYLYVRPVNI -QAYMPRPLDQGNRNASTLSRGRLEVGDIENFTNAKPIVPVEEQGGDWNDELPLQYPEPNLSNEQVQMTVH -YREFGRDFITPSMVCRFFFPRLGYGTNFSRPGGPYYRGYALRSPDWFERNAYVFQSLYTGVLELAHADVL -VRWVDLEAVRQDIERFINSPHPLAGLMQNLVARLELNEMIYQQRIADILERAVDDSGSEDSEDDASDSGY -CSLDSVGLSDLTSDSDFGSDIEYQGPIDIVANLAANIARPTIDVATDSMSEALDKAFNKAVRSVDQTIET -HRRSWWKQVLGIVTKLVAVVTAITRSGGDPVILASMGAMLSVDLMNACPFEWAKQQVAQLMEVENIQEQG -PSDWLKSFNSAITASKGLEWVVGKLWDLINWVREKLLPKAQARERDAAIVNNLVKHLREWRACQLNPHIY -TPESISELAKAIIDIRDILEIRSPGHPVLRSIAPTVAACAKHLSNFKKRPHEPIGLLIHGAPGTGKSLAT -KLIGTKLAKNLGNMEPYFMPPDPKYFDGYAGQPVVVMDDLGQNPDGEDMKLLCQMISCAEFYTPQADLPD -KGKPFTSSFVLASTNNMTLTPPTIAHPKALNRRFQLDLNIEVQQDYKVDGKLDIDKALAPCSHTSKSFGK -CCPFICGRAVRFYDVKGRFVMTLDEVVIELQKIKTHKTDVSNKLDIYLQGPILKKVSQKGKKPPQEVLDL -IKEDTNPSRPMLQYALDLGFEIPDELMDDFVYQQIDMTTTNWKNWAICGTMLVTLLTTLYFIWKSLPANP -QGAYDGIIQKNLRVPEKRKIEVQGPDPDTQYALSLMKHNLFPISTATGEFTALGIQGKNFIIPKHAAVEP -YLVAGKEITVDSEVELVNKGGCLELVMVSSSDLQDFRDLRPHMLDSFQPMSDCLLAVNSPKFPRTIVPVG -KVHVYGSINLKLDTVKRVIYYHSPTKQGFCGGVILKAGKIIGMHIAGDGANGYASLLLANYFRIEPQGVK -IDLGPAPRPCNVNKKTRLKPSVWHDKIKVTKQPAVLKDGDPRCTVQFSKHLFDKYRGNYEGQEPEELEIV -IEQYAAQLQPLLPKDVHEPMTLQQVVEGYGRLDGLDLNTSPGYPYCTQGVTKRKLVANGYSKLIEGLDLH -GYGLPYVTFLKDELRPIKKVEAGNTRLIECSSINDTCRMKMKFGRLFEALLCNNGVASGVAVGCDPEIDW -TRFATELGDNVFAFDYKNFDASLSPMWFKALDKLLQRLGFDTEDLIIGLCNSTHIYENQLYRTVGGMPSG -CSGTSIFNTIINNMILKTAALRAYRGLDLNSFKILAYGDDCIMSYAYPLDPEHIARTASMWGLQITPADK -GEHFQPPGPIEGVTFLKRGFKKHPSTDILYHPIVDEDEIYQSLAWTKKPSETQNHILSLCHLIWHNGEES -YNKFITLVRSEPVGRALQLPPYKLLEREWLDNFY diff --git a/seq/clusters_seq/cluster_142 b/seq/clusters_seq/cluster_142 deleted file mode 100644 index 835f8c8..0000000 --- a/seq/clusters_seq/cluster_142 +++ /dev/null @@ -1,330 +0,0 @@ ->YP_010085009.1 nucleocapsid protein [bank vole virus 1] -MSGVLSALKEFKDAKLRPKHDGLTRGAITSLKHKVAVIVPGQEGSKVRWQLLKLLIGVAWSDAANPSVQT -GVMLSLISLISESPANMVRRLNNDPDLAITIVEFTINSEGELRFASRGMSYDDQMSTYLRMRDNAPQAAQ -GEHPFEESDAWNQDDLPMDEYMIANTTVQVQLWNLLIKAVTAPDTARDSEQRRWLKFVQQRRVEAFYKLH -SIWADKVRNLLAADLSVRRYMIRTLIEIQRMGQTKGRLLEVIADIGNYIEESGLAGFQLTIRYGIETRFA -ALALNEFQGDLATIERLMKLYLEMGPTAPFMVLLEDSTQTKFAPGNYPLLWSYAMGVGSALDRAMSNLNF -NRPYMDYGYFRLGYKIVRQSEGSIDSRMANELELSPQDQARLRALVSGIGGREDVENIEARGGNFQIADI -ETTEGEELAGDEAQELPRTRRTRRARSARSSRAQAGAGDGININSYSRAVEEALRGSLTDREDQSGDQLY -NSPDYTREMETEEEDEDEGQDNPLGLRYNDESLLR - ->YP_010085016.1 N protein [Pohorje myodes paramyxovirus 1] -MVSNNILGIRFLLSREGIGVGIMSKLNNILDEFRDFKNNPVRKGALSTTLQGLRRKILVPIPMMKDYRKR -FMFMVFCLQLAWSGSASAALATGAYISLLAIFADNPGGMIRALFNDPDVEVQLAEVVDIAQDGIKLATRG -KSMDMQEQEMWRSSQSGPYTGSSTNPFVARNIDEIAPRSSEDLQIAIQTVTAQIWILLTKAVTAIATAAE -SENRRWQKYEQQRRADADYRLSDNWRNIARNKIAGDLCVRRFMVEILIDANRAPHPKARILELICDIGNY -ISEAGMAGFFLTIKYGIETKYPALALNELQADLATVLNLMKNYTTMGERAPFMVILENAEQTKFSPGSYP -LLWSYAMGVGANLDRAINNLNYTKSFLEQQFYDLGASMVAKMEGSVSKAMADELGLSSDQIEQVKSLVQT -DGSSSSKFKRSADKGRANAGSFNPSSAEDVMGSDEDDDDDNPGDNWTGFPNQYPGDDPKLHWTQSKQPKP -DSSRAPGSNMLNFDKGAMKNELDAILGAKSKKQKNKSPDSTSDRGGAEYSDDIRAMDN - ->YP_009666848.1 N protein [Mount Mabu Lophuromys virus 2] -MSRLNSVLEEFREFKNNPPKRGLISSALHGLKKSVIIPVPTFVDPIQRWYFMVFMLELAWSNVASGSIMT -GALLSLLSLFAENPGAMVRSLLNDPDLDVQIAEVSRASKDEIKLATRGKNMEDYEKELIRIAGYGPYQGT -KTDPFPFVKKDQDKMITKTTEDLQLAVQTVTIQIWILLTKAVTATDTAKESENRRWVKYLQQRRADRDYK -LEDTWLNLARARIAEDISVRRYMVEILIETNKMAGVKTRIVEMISDIGNYISEAGMAGFFLTIKYGIETK -YPALALNELQADLSIILSLMKTYTSLGEKAPYMVILEDAIQTKFSPGNYPLLWSYAMGVGSVLDRAVNNL -NYTRPYLEHSFFQLGETMVEKMEGTVNNRIAAELDLSPEQVQSIRSIVKLDQATSGPRVSGPQQKTSGPR -PFELYNAGDIIPEIEEEVSDEDKKDTYDVSKVVPVPNANSIFYDKDNQKDKRNLPKPPKPSRSGKKVNNL -KESLNDALEDFVRDESMTQKLNKYDEDDVSYSTDQSPATMSDLDALRS - ->YP_009666840.1 N protein [Mount Mabu Lophuromys virus 1] -MSNLGIKSVLLGLGFGTLKMSRLNQALSEFREFKNKSTVKGNLNTALGGIRKKVVILVPNTQSPVKRWRI -TLFLLKLAWSAKASGSVITGAFLSLLTIFAEHPAQMIRALSNDPDLEAQIVEVKDTNDDEPALATRGNRM -DKEEQVYKEIAKAGPSGQGDSFPFARGKEDELVVKTTEDLQIAIASVTLQIWVLLTKAVTAPDNAPDAEN -RRWVKFLQQRRVDRDYQLSSGWLSFARETIAGDLSIRRFMVEILLEIRRSTGVKSRIIQMIEDVGNYIQE -AGMAGFLLTIKYGIETRYPTLALNEFQGDLSTVLELMKAYKDFGERAPFMVILEESAQTKFAPGNFPLLW -SYAMGVGSALDASVSNLNYMRSYLMMNYFRLGQDTVIKMEGNLDPLTASELGVTPEQAAAVRNVLSKEIS -AKAAGSMPNKSFVVSDLEVPEEDEDDDEKRFRENLERVIEAEKKKIREDEEREKAEMAIKARSPQKIQEN -RSGREGFRPIPTAVPRENQAQKDDFASKLGKLLPMSRKVKPEYDPTTAGENTQPPRPDTPAPGRRNFNIT -PGEGTPKSDLEVMNEQ - ->YP_009512957.1 nucleocapsid protein [Feline morbillivirus] -MSSLLRSLAAFKRHREQPTAPSGSGGAIKGLKNTIIVPVPGDTVITTRSNLLFRLVYIIGNPDTPLSTST -GAIISLLTLFVESPGQLIQRIADDPDAVFKLVEVIPEAGNPGELTFASRGINLDKQAQQYFKLAEKNDQG -YYVSLGFENPPNDDDITSSPEIFNYILASVLAQVWILLAKAVTAPDTAAEAENRRWIKLMQQRRVDGELR -LSKGWLDLVRNKIASDITIRRFMVALVLDIKRSPGTRPRIAEMICDIDNYIVEAGLASFLLTIKFGIETR -YPALALHEFSGELATIEGLMKLYQSMGEMAPYMVILENSIQTRFSAGSYPLLWSYAMGVGVELERSMGGL -NFTRSFFDPTYFRLGQEMVRRSSGMVNSSFARELGLSDHETQLVSQIVNSGGESGIPKFDGFRANPTTFL -GTKDNINDRGEDQSNSISGLPGPLLPSRDLNLSGDSYGINSGVKNVSDKLNEGVGPDHDVSSSAMEELRR -LVESTNRIDTKQPEASGVTNHYNDTDLLK - ->YP_009177597.1 nucleocapsid protein [Phocine morbillivirus] -MASLLKSLSLFKKTREQPPLASGSGGAIRGIKHVIIVLIPGDSSIVTRSRLLDRLVRMVGDPEVSGPKLT -GVLISILSLFVESPGQLIQRIIDDPDISIKLVEVIPSINSTCGLTFASRGASLDAEADEFFGTMDEGSKD -HNQMGWLENKDIIDIEVNDAEQFNILLASILAQIWILLAKAVTAPDTAADSEMRRWIKYTQQRRVIGEFR -MNKIWLDIVRNRIAEDLSLRRFMVALILDIKRSPGNKPRIAEMICDIDNYIVEAGLASFILTIKFGIETM -YPALGLHEFSGELTTIESLMVLYQQMGETAPYMVILENSVQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSTFAAEFGITKEEAQLVSEIVSRTTEDRTTRATGPKQSQITFL -HSERNEAPNQRLPPITMKSEFQGGDKYSNQLIDDRLSGYTSDVQSSEWDESRQITQLTQEGDHDNDQQSM -EALAKMRQLTKILNQSDTNGEVSPAYNDRDLLS - ->YP_009094332.1 nucleocapsid protein [Salem virus] -MSTVLSTLKASKHGKLTRVEAATSGIHMLGLKSSLLIPVPGVKGINLRWKLLLKLLRIISNSKSSSSIVT -GAIMCVLVLFAESPGALIQRIVDDPDVSIRLVDVIEDKDGELTFASRGLDLAGKANDYFALGRRYYAGEE -LKSPFLNPDRIPREIEDPDEFNLILASVCTQIWTLLAKAVTAPATAQDSEDRRWTKYTQQRRVHSDIRLS -KEWTDTVRAKISQSLSLRRFMVNLMIEVKKTPGHKPRLAELIIDVDNYIEEAGLAGFILTVKYAIDTKYP -AIALHEFQGELTTLEHLMKLYLEMGQHAPYMVILENSLQNKFSPGNYPLIWSYAIGVGVELEKSMAGLNY -NRPYFDMSYFRLGQEMVRRFAGVVSHRVAEELNISEEEREILKEVSRAAGTHKSTTKEGPRQSSLGYLGT -DPERMTTDEQLTRGVSRSNAEDDRESVNLTLMDDSDYDDDQYEEGPSQSYRVDDSSKKGKDTGMRARGLE -YLRSLMVGTKEPKDREEISADKTTNPTSSGKSDIPTANQALDGETEKSTLDL - ->YP_009094088.1 nucleocapsid protein [Mojiang virus] -MYSTLKHETERFREYQANLGRQGKPLASTATLTTKIIVYNPSNKGPALRWEITKFAMRLIWSPAASHSVK -VGAALTLLSAHAENPGAMIRSLVNDPDIEVVITDISEFDHGVPRLERRGEKAEQQMESYKRILNRTPQEN -LFYNPEIDDLEILDSGTFLFAIATVLAQVWILVAKAVTAPDTAEDSENKRWAKYVQQKRVNPDYLVSNRW -ITAMRSLISMDLSVRKYMVEILIEVKKSGVSRGRLNEMIADIGNYIEETGMAGFFLTIKYGLEMKFPVIV -INEFQADLLTLQTLMRTYMDLGPRAPYMVLLEDSIQTKFAPGNYPLLWSFAMGVGTTLDRSMGALNINRS -YLEPIYFKLGQNAARKNAGSIDRKLAEELGISPEQADEIKEMMQEVTTQRHETNVQAREGKFNIAVGGIE -SLLTDEDDDITNSRYMSETTDTMFNLVRPTRDYESSKVSPKESNKNKSDMSVRDRLLMNLREEEARKSEA -QMLGIMDLAFKKTSAGQTPTSSTAATAQADSSVDISASNIVNDLDALDN - ->YP_009094144.1 nucleoprotein [Salmon aquaparamyxovirus] -MAGIFESVKDLENHKIRLEAPRGGSRLLVGKKDSTPICIPNFDDQEGASAVAFMLRMLTICITSTVNMTV -RGAALISAMLSNSEQPSVMMTNYALDQDKTYVQYFVTSMLSEFPFMEITNRGIKLENMMTWYGKMVTEND -YDQLFPSSQNDACSLVSAELKNSGLNMVLGTLFIQIWICLTKAVTNPDVGEVAFNKRMTKLIQQKRVCPK -LVMSQSALKAIREVLARQQNVRAFMVNFLIDLQGSGTTSSSTERMVIDIGTYISEAGMAGFMNTIEYGLK -TRYPALATAELRPSLEKMESLITLYKSKGPLGPYMNILEDPDAVKFAPGAYPLLWSYAMGVAVATNKDMT -GLVVERSFLSGRWFRAGQDIVRSQALRYNKDMIQQLSLDDSDIDELNMVINGEHSKTGEFIKAKGTHAGV -EGEDDIEGEEGREVLRREESEFVDMPAGSSIRGYASGYGKEIDRASVGNSHPQAVFRFGDRSQHKTVSDP -ARRDQLKDILKRKLAEEMGEPVPENLDADASSDAMLLKGI - ->YP_009094110.1 nucleocapsid protein [Tailam virus] -MSRLGNALEEFRSFKNNPPKRGALTTALQGIKKNVIVLVPTMKQPAKRFQFMTLILQIAWSAKSSAAFIT -GAFFSLLSMFADNPGAMLRALVNDPDIDVQIAEVSDVNDSKMTLATRGRGMDRYEEEITQMIETPPKGRD -KPYPYAEPDYMKIMPRSTEDLQIAIQTVTAQLWILLTKAVTAIDTARDSENRRWIKYEQQRRADADYRLD -EGWLNFARVRIASDLAVRRYMVEILIDANRAPSPKARVLELICDIGNYISEAGLAGFHLTIKYGIETRYP -ALALNELQADLGTILSLMKCYVELGERAPYMVILEDSIQTKFSPGSYPLLWSYAMGVGAMLDRAVNNLNY -ARNYLEQPFYNLGVGMVEKMEGSVNRHMAEELGLTNEQISQIKDLVKQEADHSGASTKHASRTGVGSAKF -NPASVDELIPSDEDENEADLDDQSYAKPYRPKIELAERPNVIMNDENKRTMYTDVDSNEMREQIAGILKR -NKKKKRPTAVGEDQVFTNTPTSTVGDLSVIDS - ->YP_009094081.1 nucleocapsid [Cedar virus] -MSDIFNETQSFRNYQSNLGRDGRASAATTTLTTKVRIFVPANNNPNLRWRLTLFLMDVVRSPASAESMKV -GAGISLVSMYAEKPGALVRALLNDPDVEAIIIDVYGFDEGIPIMERRGDKATDDMDSLRKIVKAAHDFSR -GRSLFVDQRVQDIVMSDMGSFVNAITSIETQIWILIAKAVTAPDTAEESEGRRWAKYVQQKRVNPLFLIS -PQWINDMRSLIAASLSLRKFMVELLMEAKKGRGTKGRIMEIVSDIGNYVEETGMAGFFATIKFGLETKFP -ALALNELQSDLNTMKSLMILYRSIGPKAPFMVLLEDSIQTKFAPGSYPLLWSFAMGVGTTIDRAMGALNI -NRSYLEPVYFRLGQQSAKHQAGNVDKEMAEKLGLTEDQIVHLSANVKDASQGRDDNQINIREGKFTNVVD -DIQDHAQSSSEDYNPSKKSFSILTSITSTVDSADSRSAMNESMTTTSLLKLRQRLAEKKGDSKNSQDTPP -KPPRAKDQPTDEVSFMDSNI - ->YP_009091833.1 nucleoprotein [Ghana virus] -MSSLFSYTEDFRAYQTKLGRDGRPSAATNTLTTKVRVFVPVTDEPELRWRLTTFLLDVIRSPIAPDDLRM -GASMSILAMYAERPGALIRGILNDPDIEVIIIDVSDFEGEVPVLERRGNRATEEMAALTRVVAAARETMR -GRTPFIDPRAYTMAIHDVSTLISAIVTVETQIWILVAKAVTAPDTAEESENRRWNKYLQQRRVNALFELN -QGWLTIMRNLIAGSLSLRKFMVELLIEAKKGSAVKGRAVEIIADIGNYVEETGMAGFFATIRYGIETRYP -ALALNEFQGDLNIIKNLMEVYKTLGPRAPYIVLLEDSIQTKFAPGSYPLLWSFAMGVGTTLDKAMTALNI -NRGYLEPVYFRLGQRMARNRVGTVDTRMAAELGLTSDQLSDLSTAIVESNVGKQELTSATREGRFASSAP -NIIEVDEESEDEQPQPRQGQQAQFRAQPTGIQGRETQTTSGVGKSIQELRSRLQGNRGLNTSKVQQRNQI -DDQTPVQSSKDDNRKSMDLEFIDG - ->YP_006347582.1 nucleocapsid protein [Nariva virus] -MAGVLSALKDFKEAKLCIKTDKIAKGAITAIKRKVAVIVPGQEGSKPRWKLLKMLLGVIWSDEASPSVIT -GALLSMIALMSENPASMVRRLCTDPDLSITIVEFTLNAEGEPVFASRGVNYQEQMAQYMRMANNPPGRAQ -NDVPFEDEDAWEQDDIPMDEFMVANMTVQIQLWTLLIKAVTAPDTARDGELRRWIKYAQQRRVVSFYKLN -TIWLNITRGHLAADLSVRRFMVRTLIEIQRMGGNKGRLLEAIADIGNYIEESGLAGFHLTIRYGIETRYS -ALALNEFQGDLATIERLMKMYLEMGPSAPYMVLLEESVQTKFAPGNYPLLWSYAMGVGAALDRAMANLNF -NRPYLDHGLFRLGQRVVRQMEGSVDQHMARELGMTSEDHGRLQRMIQGMGTRGAGDIDEYQQGEFQVASL -DDDEPKSGQSAKQVQSQRPTLLRTPIQQHSNTAAETGEDEDDADDFAAAIQAAFDYDPPTNRPMTPHASL -PERPTGYRGPTRVLSHPDLMRYNDQELMR - ->YP_512244.1 nucleocapsid protein [Beilong virus] -MSRLGPALDEFRSFKNNPPRRGALTTAIQGIKKNVIVLVPTMKQPAKRFQFMTLILQIAWSAKSSAAFIT -GAFFSLLSMFADNPGAMLRNLVNDPDIDVQIAEVSDVNDSRITLATRGRGMERYEEEIVQMVETPPKGRD -KAYPYAESDYVKIIPRSTEDLQIAIQTVTAQLWILLTKAVTAIDTARDSENRRWIKYEQQRRADADYRLD -EGWLNFARVRIAADLAVRRYMVEILIDANRAPSPKARVLELICDIGNYISEAGLAGFHLTIKYGIETRYP -ALALNELQADLGTILALMKCYVELGERAPFMVILEDSVQTKFSPGSYPLLWSYAMGVGSMLDRAVNNLNY -ARNYLEQPFYNLGVGMVEKMEGSVNRHVAEELGLTGEQIAQIKDLVKQEADHSGAVPKHTSRTGVGSAKF -NPASVDELIPSDEDNQDDDDATTSYGKPYRPQIELAEKPDSVPINEHLARKYADADTDAMRGQVAGILRK -ERKKKKHSKENAPGVVLPDSQSTGGDLSVIDS - ->YP_338075.1 nucleocapsid protein [J-virus] -MSKLNKVLDEFRDFKNNPPKKVGPVTALQGFKKNVVVPVPMMKDTVKRFHFITFCLQLVWSDLSSGAFIT -GAFLALLSIFAENPAAMLRSLLNDPDIDVQLAEIADIDNDKLKLATRGKEMSRYENDMMRMASAGPSKGA -SPYPYVRQEYQELCPKSTEELQLCVQSITTQLWILLTKAVTAIDTARDSEKKRWGKFLQQRRALEEYQLV -DAWLDRARVRVASDLAIRRYMITILLETGKMTGPKPRVVELIADIGNYISETGMAGFFLTIKYGIETKYP -VLAMSEFAADLATVLSLMKMYTTLGEKAPYMVILEESIQTKFAPGNYPILWSYAMGVASVLDRSVSNLNY -TRPYLENAFFRLGESMVQNMGFTVNKAVAEDLGFSEEQVAAVREILKSENANMSNGPQGPKANQSSTKSL -DIKNADSIIPESDDETDAPEDVKARLDAEKEASRKKREASMRKRQSKQDKSRADSTIRDIEDELNDIISK -TKNLSSKPKGSSNTTDTPKQSDEMSDLSAING - ->YP_087120.2 N protein [Rinderpest virus (strain Kabete O)] -MASLLKSLALFKRAKDKPPLAAGSGGAIRGIKHVIVVPIPGDSSITTRSRLLDRLVKMVGDPDISGPKLT -GALISILSLFVESPGQLIQRITDDPDISIKLVEVVQSDKTQSGLTFASRGTSMDDEADRYFTYEEPNDGE -ERQSYWFENRDIQDIEIQDPEGFNMILATILAQIWILLAKAVTAPDTAADSELRRWVKYTQQRRVIGEFR -LDKGWLDTVRNRVAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTIESLMNLYQQMGELAPYMVILENSIQNKFSAGAYPLLWSYAMGIGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSNLASELGITEEEARLVSEIAAYTSDDRNNRTSGPKQAQVSFL -RTDQGSEAQHSASKKDEARAPQVKKETRTSSKSDKHKEGTDKEPVSSSAMTLIDVDTTLEADTDPLESKK -SAEALLRLQAMAGILGDSTLGNDSLRAYNDKDLLN - ->YP_133821.1 nucleocapsid protein [Peste des petits ruminants virus] -MATLLKSLALFKRNKDKAPTASGSGGAIRGIKNVIIVPIPGDSSIITRSRLLDRLVRLAGDPDINGSKLT -GVMISMLSLFVESPGQLIQRITDDPDVSIRLVEVVQSTRSQSGLTFASRGADLDNEADMYFSTEGPSSGG -KKRINWFENREIIDIEVQDPEEFNMLLASILAQVWILLAKAVTAPDTAADSELRRWVKYTQQRRVIGEFR -LDKGWLDAVRNRIAEDLSLRRFMVSLILDIKRTPGNKPRIAEMICDIDNYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTIESLMNLYQQLGEVAPYMVILENSIQNKFSAGAYPLLWSYAMGVGVGLENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSVIAAELGITAEEAKLVSEIASQAGDERTARGTGPRQAQVSFL -QHKTGEGESSAPATREGVKAAIPNGSEERDRKQTRSGRPRGETPSQLLLEIMPEDEVSRESGQNPREAQR -SAEALFRLQAMAKILEDQEEGEDNSQVYNDKDLLG - ->NP_958048.1 nucleocapsid protein [Mossman virus] -MSGVLSALREFKDARLASKGEGLTRGAITGIKQKIAVIIPGQEGSKVRWQLLRLLLGVIWSQEASPGVIT -GAFLSLISLISESPGNMVRRLNNDPDLAITIIEFTIGPDSEYKFASRGMSYEEQMAHYLHLRDTPPQSAP -DDFPFEESEAWRQDDMPMDEFLVANMTVQVQLWTLLIKAVTAPDTARDGEQRRWLKFVQQRRVESFYKLH -TVWMDRARMHIAASLSIRRYMVKTLIEIQRMGQGKGRLLEVIADIGNYIEESGLAGFQLTIRFGIETKYA -ALALNEFQGDIATIERLMKLYLELGPTAPFMVLLEDSIQTRFAPGNYPLLWSYAMGVGSALDRAMANLNF -NRSYLDYGYFRLGYRIVRQSEGSVDTRMARELGITDEEQQRLRRLVADLGNRGDSEAAAYQGGAFQLANI -QDFENDDAFAANPQQAPRNNRNRRRRGQPDQGDDDDSDEGDDQGGSGYAAAVHAVLHSSGDGGDDLTSGA -DGSIDPPTSGLGANYRYSPGLNDSKPKFNDADLLGLGD - ->NP_945024.1 nucleocapsid protein [Dolphin morbillivirus] -MATLLRSLALFKRNKDRTPLIAGSGGAIRGIKHVIVVPVPGDSSIVTRSRLLDRLVRLAGDPYISGPKLT -GVMISILSLFVESPSQLIQRITDDPDVSIRLVEVIQSEKSLSGLTFASRGANMEDEADDYFSIQAGEEGD -TRGTHWFENKEIVEIEVQDPEEFNILLASILAQIWILLAKAVTAPDTAADSETRRWIKYTQQRRVVGEFR -LDKGWLDAVRNRIAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFSGELTTVESLMNLYQQMGETAPYMVILENSIQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSSLAAELGITAEDAKLVSEIAAQANDDRANRAIGPKQNQISFL -HPDRGDASTPGNILRANEGDGSTRMKRGGNIATPKGTSIDQTSTTLSKDTLDIDEQSDNTDDPISIQKSA -EALAKMRAMAKLLENQGPRDVTAHVYNDKDLLG - ->NP_899654.1 nucleocapsid protein N [Fer-de-lance virus] -MELFDIADGFADHQINLRSSKQATGSLSAIKDQILVLIPGTQDSDILSNLLIALLSLIFNAGCPEPICAG -AFLSLLVLFTNNPTAALGTHAKDSDTVISTYTITEFSGMGPVLMNRDQVEEFMTNKLNDLIRVIKFPDLF -VRSNKADALFNGPVEFKVALNSILIQVWNLLAKSITASNTAEGSEDRRTERFEQEGRIASIYELSKNMKK -RLRETLRKHMIIKKTMVSIMQESVAMGSNGGELVKTIAVISHYVVNAGLTGFFQTIKYGINTRSAALAVS -DIQAELGKIKALMQLYKKKGENAPYMVILDDSDASYFAPAAYPMIWSFAMGYGTAVDTALAGVNYNRSFL -DSKWFAIGQKMAVESGSKVNVGMLKTLGLTEQQGQTLATILEESKDARAAMISGISGSSNIKDGESFELE -PNMSPSIYSESTTTKLDRIKKLAKEVRETAITAEDNIGSLDDESKVIAGMS - ->NP_112021.1 nucleocapsid protein [Nipah henipavirus] -MSDIFEEAASFRSYQSKLGRDGRASAATATLTTKIRIFVPATNSPELRWELTLFALDVIRSPSAAESMKV -GAAFTLISMYSERPGALIRSLLNDPDIEAVIIDVGSMVNGIPVMERRGDKAQEEMEGLMRILKTARDSSK -GKTPFVDSRAYGLRITDMSTLVSAVITIEAQIWILIAKAVTAPDTAEESETRRWAKYVQQKRVNPFFALT -QQWLTEMRNLLSQSLSVRKFMVEILIEVKKGGSAKGRAVEIISDIGNYVEETGMAGFFATIRFGLETRYP -ALALNEFQSDLNTIKSLMLLYREIGPRAPYMVLLEESIQTKFAPGGYPLLWSFAMGVATTIDRSMGALNI -NRGYLEPMYFRLGQKSARHHAGGIDQNMANRLGLSSDQVAELAAAVQETSAGRQESNVQAREAKFAAGGV -LIGGSDQDIDEGEEPIEQSGRQSVTFKREMSISSLANSVPSSSVSTSGGTRLTNSLLNLRSRLAAKAAKE -AASSNATDDPAISNRTQGESEKKNNQDLKPAQNDLDFVRADV - ->NP_054690.1 nucleocapsid protein [Tupaia paramyxovirus] -MADLFSKVNDFQKYRTNLGRQGGLTVKLVGVRSTVVVLVPSTKDHRLRWKLIRLLTLAVYNDSLPDSISI -GALLSLLAISFEQPAAVIRGLLSDPDLEVQMIEVSLDDQGEIRFAARGDILTRYKDAYFEKIRDFPNPDD -DLAIFEDPELGDYSDITQDEYQAMITTITIQLWILLTKAVTAPDTAHDSEQRRFIKYLQQRKAYAAFKFT -TIFTERVRRKIAQSLSIRKFMVSIMLEVRKSGSAKGRISECIADVSAYIEEAGLSGFILTLKYGIGTRFP -VLALNAFQSDLSVIRNLIDLYKSMGTIAPFMVLIEDATQVKFAPGNYSLLWSFAMGVGTALDHAMNNLNI -NRDYLEPSYFRLGQEVVRLSESTVDRSMAQELGIDPTSEDLIMRAVQAAGVGSRDPDAARRTGRFQVADI -QIDEGPVDLATEAEDQTTKDNEQRIKVPDPRGSIGQSNAQFQPPKPQLRGRVMPPERKPTDQQKNLQDQR -PRPSATPRRLTKDAEDNIDQLFAQYDSGVAAPEDVTLVTSDSTSPARSSGTGSDMDLINQSP - ->NP_047201.1 nucleocapsid protein N [Canine morbillivirus] -MASLLKSLTLFKRTRDQPPLASGSGGAIRGIKHVIIVLIPGDSSIVTRSRLLDRLVRLVGDPKINGPKLT -GILISILSLFVESPGQLIQRIIDDPDVSIKLVEVIPSINSACGLTFASRGASLDSEADEFFKIVDEGSKA -QGQLGWLENKDIVDIEVDNAEQFNILLASILAQIWILLAKAVTAPDTAADSEMRRWIKYTQQRRVVGEFR -MNKIWLDIVRNRIAEDLSLRRFMVALILDIKRSPGNKPRIAEMICDIDNYIVEAGLASFILTIKFGIETM -YPALGLHEFSGELTTIESLMMLYQQMGETAPYMVILENSVQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSALAAELGITKEEAQLVSEIASKTTEDRTIRATGPKQSQITFL -HSERSEVANQQPPTINKRSENQGGDKYPIHFSDERLPGYTPDVNSSEWSESRYDTQIIQDDGNDDDRKSM -EAIAKMRMLTKMLSQPGTSEDNSPVYSDKELLN - ->NP_047106.1 nucleocapsid [Hendra henipavirus] -MSDIFDEAASFRSYQSKLGRDGRASAATATLTTKIRIFVPATNSPELRWELTLFALDVIRSPSAAESMKI -GAAFTLISMYSERPGALIRSLLNDPDIEAVIIDVGSMLNGIPVMERRGDKAQEEMEGLMRILKTARESSK -GKTPFVDSRAYGLRITDMSTLVSAVITIEAQIWILIAKAVTAPDTAEESETRRWAKYVQQKRVNPFFALT -QQWLTEMRNLLSQSLSVRKFMVEILMEVKKGGSAKGRAVEIISDIGNYVEETGMAGFFATIRFGLETRYP -ALALNEFQSDLNTIKGLMLLYREIGPRAPYMVLLEESIQTKFAPGGYPLLWSFAMGVATTIDRSMGALNI -NRGYLEPMYFRLGQKSARHHAGGIDQNMANKLGLNSDQVAELAAAVQETSVGRQDNNMQAREAKFAAGGV -LVGGGEQDIDEEEEPIEHSGRQSVTFKREMSMSSLADSVPSSSVSTSGGTRLTNSLLNLRSRLAAKAIKE -STAQSSSERNPPNNRPQADSGRKDDQEPKPAQNDLDFVRADV - ->NP_056918.1 nucleocapsid protein [Measles morbillivirus] -MATLLRSLALFKRNKDKPPITSGSGGAIRGIKHIIIVPIPGDSSITTRSRLLDRLVRLIGNPDVSGPKLT -GALIGILSLFVESPGQLIQRITDDPDVSIRLLEVVQSDQSQSGLTFASRGTNMEDEADQYFSHDDPSSSD -QSRSGWFENKEISDIEVQDPEGFNMILGTILAQIWVLLAKAVTAPDTAADSELRRWIKYTQQRRVVGEFR -LERKWLDVVRNRIAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTLESLMNLYQQMGETAPYMVILENSIQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSTLASELGITAEDARLVSEIAMHTTEDRISRAVGPRQAQVSFL -HGDQSENELPGLGGKEDRRVKQGRGEARESYRETGSSRASDARAAHPPTSMPLDIDTASESGQDPQDSRR -SADALLRLQAMAGILEEQGSDTDTPRVYNDRDLLD - ->sp|B8PZP3.1|NCAP_MEASW RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MATLLRSLALFKRNKDKPPITSGSGGAIRGIKHIIIVPIPGDSSITTRSRLLDRLVRLIGNPDVSGPKLT -GALIGILSLFVESPGQLIQRITDDPDVSIRLLEVVQSDQSQSGLTFASRGTNMEDEADQYFSHDDPISSD -QSRFGWFGNKEISDIEVQDPEGFNMILGTILAQIWVLLAKAVTAPDTAADSELRRWIKYTQQRRVVGEFR -LERKWLDVVRNRIAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTLESLMNLYQQMGETAPYMVILENSIQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSTLASELGITAEDARLVSEIAMHTTEDKISRAVGPRQAQVSFL -HGDQSENELPRLGGKEDRRVKQSRGEARESYRETGPSRASDARAAHLPTGTPLDIDTATESSQDPQDSRR -SADALLRLQAMAGISEEQGSDTDTPIVYNDRNLLD - ->sp|P04851.1|NCAP_MEASE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MATLLRSLALFKRNKDKPPITSGSGGAIRGIKHIIIVPIPGDSSITTRSRLLDRLVRLIGNPDVSGPKLT -GALIGILSLFVESPGQLIQRITDDPDVSIRLLEVVQSDQSQSGLTFASRGTNMEDEADQYFSHDDPISSD -QSRFGWFENKEISDIEVQDPEGFNMILGTILAQIWVLLAKAVTAPDTAADSELRRWIKYTQQRRVVGEFR -LERKWLDVVRNIIAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTLESLMNLYQQMGKPAPYMVNLENSIQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSTLASELGITAEDARLVSEIAMHTTEDKISRAVGPRQAQVSFL -QGDQSENELPRLGGKEDRRVKQSRGEARESYRETGPSRASDARAAHLPTGTPLDIDTASESSQDPQDSRR -SAEPLLSCKPWQESRKNKAQTRTPLQCTMTEIF - ->sp|P37708.1|NCAP_RINDL RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MASLLKSLALFKKNKDKPPLAAGSGGAIRGIKHVIIVPIPGDSSITTRSRLLDCLVKMVGDPDISGPKLT -GALISILSLFVESPGQLIQRITDDPDISIKLVEVIQSDKTQSGLTFASRGASMDDEADRYFTYDEPNGGE -ERQSYWFENREIQDIEVQDPEGFNMILATILAQIWILLAKAVTTPDTAADSELRRWVKYTQQRRVIGEFR -LDKGWLDTVRNRIAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTIESLMNLYQQMGELAPYMVILENSIQNKFSAGAYPLLWSYAMGVGVELESSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSNLASELGITEEEAKLVSEIAAYTGDDRNSRTSGPKQTQVSFL -RTDQGGEIQHNASKKDEARVLQVRKETWASSRSDRYKEDTDNEAVSPSVKTLIDVDTTPEADTDPLGNKK -SAEALLKLQAMASILEDPTLGNDSPRTYNDKDLLS - ->sp|B1AAA7.1|NCAP_MEASS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MATLLRSLALFKRNKDKPPITSGSGGAIRGIKHIIIVPIPGDSSITTRSRLLDRLVRLIGNPDVSGPKLT -GALIGILSLFVESPGQLIQRITDDPDVSIRLLEVVQSDQSQSGLTFASRGTNMEDEADQYFSHDDPISSD -QSRFGWFENKEISDIEVQDPEGFNMILGTILAQIWVLLAKAVTAPDTAADSELRRWIKYTQQRRVVGEFR -LERKWLDVVRNRIAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTLESLMNLYQQMGETAPYMVILENSIQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSTLASELGITAEDARLVSEIAMHTTEDKISRAVGPRQAQVSFL -HGDQSENELPRLGGKEDRRVKQSRGEARESYRETGPSRASDARAAHLPTGTPPDTDTAPESSQDPQDSRR -SADALLRLQAMAGISEEQGSDTDTPTVHNDRNLLD - ->sp|P26030.1|NCAP_MEASY RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MATLLRSLALFKRNKDKPPITSGSGGAIRGIKHIIIVPIPGDSSITTRSRLLDRLVRLIGNPDVSGPKLT -GALIGILSLFVESPGQLIQRITDDPDVSIRLLEVVQSDQSQSGLTFASRGTNMEDEADQYFSHDDPSSSD -QPRFGWFENKEISDIEVQDPEGFNMILGTILAQIWVLLAKAVTAPDTAADSELRRWIKYTQQRRVVGEFR -LERKWLDVVRNRIAEDLSLRRFMVALIQDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTLESLMNLYQQMGETAPYMVILENSIQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSTLASELGITAEDARLVSEIAMHTTEDRISRAVGPRQAQVSFL -HGDQSENELPRWEGKEDMRVKQSRGEARESYRETGPSRASDARAAHLPTDTPLDIDTASEPSQDPQDSRR -SAEALLRLQAMAGISEEQGSDTDTPRVYNDRDLLE - ->sp|P26029.1|NCAP_MEASI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MATLLRSLALFKRNKDKPPITSGSGGAIRGIKHIIIVPIPGDSSITTRSRLLDRLVRLTGNPDVSGPKLT -GALIGILSLFVESPGQLIQRITDDPGVSIRLLEVVQSDQSQSGLTFASRGTNMEDEADQYFSHDDPSSSA -QSRFGWFENKEISDIEVQDPEGFNMILGTILAQIWVLLAKAVTAPDTAADSELRRWIKYTQQRRVVGEFR -LERKWLDVVRNRIAEDLPLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTLESLMNLYQQMGETAPYMVILENSIQNKFSAGSYPLLWSYAMGVGVELENSMGGL -NFSRSYFDPAYFRLGQEMVRRSAGKVSSTLASELGITAEDARLVSEIAMHTTEDRISRAVGPRQAQVSFL -HGDQSENEPPRWGGKEDMRVKQSRGEARESYRETGPSRASDARAAHLPTDTPLDIDTASESSQDPQDSRR -SAEALLRLQAMAGISEEQGSDTDTPRVYNDRDLLD - ->sp|Q08823.1|NCAP_PPRV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MATLLKSLALFKRNKDKAPTASGSGGAIRGIKNVIIVPIPGDSSIITRSRLLDRLVRLAGDPDINGSKLT -GVMISMLSLFVESPGQLIQRITDDPDVSIRLVEVVQSTRSQSGLTFASRGADLDNEADMYFSTEGPSSGS -KKRINWFENREIIDIEVQDAEEFNMLLASILAQVWILLAKAVTAPDTAADSELRRWVKYTQQRRVIGEFR -LDKGWLDAVRNRIAEDLSLRRFMVSLILDIKRTPGNKPRIAEMICDIDNYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTIESLMNLYQQLGEVAPYMVILENSIQNKFSAGAYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSVIAAELGITAEEAKLVSEIASQTGDERTVRGTGPRQAQVSFL -QHKTDEGESPTPATREEVKAAIPNGSEGRDTKRTRSGKPRGETPGQLLPEIMQEDELSRESSQNPREAQR -SAEALFRLQAMAKILEDQEEGEDNSQIYNDKDLLS - ->sp|P41359.1|NCAP_RINDU RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MASLLKSLALFKKNKDKPPLAAGSGGAIRGIKHVIIVPIPGDSSITTRSRLLDRLVKMVGDPDISGPKLT -GALISILSLFVESPGQLIQRITDDPDISIKLVEVVQSDKTQSGLTFASRGTSMDDEADRYFTYDEPNDGE -ERQSYWFENREIQDIEVQDPEGFNMILATILAQIWILLAKAVTAPDTAADSELRRWVKYTQQRRVIGEFK -LDKGWLDTVRNRIAEDLSLRRFMVALILDIKRTPGNKPRIAEMICDIDTYIVEAGLASFILTIKFGIETM -YPALGLHEFAGELSTIESLMNLYQQMGELAPYMVILENSIQNKFSAGAYPLLWSYAMGVGVELENSMGGL -NFGRSYFDPAYFRLGQEMVRRSAGKVSSNLASELGITEEEARLVSEIAAYTGDDRNNRTSGPKQAQVSFL -RTDQGGETQNNASKRDEARAPQIRKEARTSSKSDKYKEDTDKEPMSPSVKTLIDVDTTPEVDTDPLGSKK -SAEALIRLQAMASILEDSTFGNDSPRAYNDRDLLS - diff --git a/seq/clusters_seq/cluster_143 b/seq/clusters_seq/cluster_143 deleted file mode 100644 index c37a324..0000000 --- a/seq/clusters_seq/cluster_143 +++ /dev/null @@ -1,772 +0,0 @@ ->YP_010087332.1 putative RNA-dependent RNA polymerase [Ambrosia asymptomatic virus 1] -MSQVRATLDSLNDITVRSNIQQTYAEGIKREFKAAARISHYNMPIEAATKLESFGIITNPYSIALHSHAA -AKVCENKLLDTVGHILPKERVTFLFMKPAKRNMLRRSPHLDDYFINQHLEPRDISRYGYESSYDVIPAIP -TRVAYMSDTLHFLDVNYVANMFRNSPALDILYATMVLPVEAKFKHPSIHPEIYSINYSHGGFQYIPGNHA -GGAYHHEFKQLDWLSVGRIKWKELTDWEEQHCITVQMLEVLGANILYVFKRGNLLTPAVRTFQVDQYVVL -PRVFHPQEMNSSRPIKHTLAMQLLLYIKTIGTPTSKDVYGKIRQLVKTSELNYLDPTELIHLTNYYYFIS -KLNSITCYEDILSKNILSGVLLPAKQWLISTWEKVKGKQPFNQLLQALQWETFTYSLKVEDVETTLQAPD -GNYAKRERMPLFNDGGFKTFEVLSEDESDTSSSSGESIVVEEAPEGTPVDSTPTRAGVLKCGCGMDFEVY -DESITRLDLLNRIETPDQLRGRTAGFYSKSMEPYEYTGAKHESLGWPQWLEDFVTTNSINSAMDHCLIQI -YDEGAGIPFHKDDEKCYPKDNPILTVNLTGTAEFKIRPAKRWNRTCTHLEHKLGPDSALVMPAGAQKSHQ -HSVVRCSAGRTSLTFRSTSPIETVWENKLPDQVEVDVPIYTSEAGDPPTDITNAGPAQNKPDEVAQQPPV -PPQPEHQISSDDKTDRSRRGDHRDSNQGAKGKEPINEPSDAGPSHTKQEHEQSDDQDQVDDLRWKHWLPI -LNRCGFKGTELQHDPEGNLISPIEHHHKLEKRPFPPECPKALANSLTEMNRQPVLVSLDHRRATCVSADV -KYGKFGKLLADQDRAWKEKFHYNTQQNKRTKEVVVIHGAGGSGKSKVIQDFLKTVSVEESYKYTIILPTN -ELRQDWLTKVRNLEEKSFKTFEKAMLQPCGDIVIFDDYGKMPPGYIEAFAAQQMNGVLYILTGDSRQSVY -HESNCDAAIAQLSSNVEEFEQHCRYYLNATHRNRRDLANKLGVYSERDGTTTITHGSTILPGAPVLAPSL -MKKSLLGDGGHRSMTYAGCQGLTAPKVQILLDNNTPLCSDKVMYTALSRAIEHVHFINSGASSSGFWDKM -GTTPYLKTFLRLEREEKLREEEPPEAPEPAEPVVRTHLPVDDAAGIFEDLMAKQGEKYEREIYSQAHGHS -NCIQTQDQFTQIFQHQQAKDETLYWATIEKRIAISSEYENEKEFRLKADIGDVLFDNYKRAMCLPDDPIP -FSEELWNGCAREVQNTYLSKAVHLIKNGEDRQSPDMDLAHIKLFLKSQWVTKMEKAAAQVKPGQTIAAFA -QSTVMLYGTMARYMRRIRESFQPPNIMINCEKRLEDLSEFVKTRWRFDKVASSNDYTAFDQSQDGSMLQF -EVLKAKHCGVPPEIIEGYIQIKTRAHIFLGVLNIMRLSGEGPTFDANTECSIAYVHTKYHVPAGCNQLYA -GDDSAMDDVLEEKPSFANIRAQMKLEEKLQVHAQVKGYFAGFCGNLITPHGLVKDPVKLLAGWKLKKSRG -ALGTVVDSYTLDARHAYQLGDRVHDIFTEDEMSCHQNLIREIHKVGKGHLLL - ->YP_009553670.1 ORF1 [Potexvirus sp.] -MARVREVMSALTDPSLKAVIQEEAYKSIKLSLEATKHTNPFAQTNESADVLENLGIMTNPLAVLPHTHAA -DKALENDMYDVVSNYFDRSEPITFYYMKPGKLGRFRRGPQQRNYFINTIFEPKDVVRYPEATIYKSFHDQ -PCTTEKAFMGDTLHYWDPDHLAQLFMNSPKLNQLYATMVLPAEAYYKIDSLYPQIYTLKYVKEHFMYLPG -GHAGGAYCHHRRQLGWLRIGKISRFGGRLTLTLQILESKAAHHLFLITRGDLQTPLYRTFTQSTPYVLLP -RIFLPARYNCRTPIPLELASKMFMYCKSLGEVKMRDLYAKLRQMLPSKELVKYRGDDLVFITNYFYLISR -LDSMTCFDQILSGNLLQKALRPVRAWFQEVAMKIFGRHEFTQLVEALQWTPIDLTFEVTIDQPSDWFTNM -LNSTFGPPLPNELNPSPKTPPGFETEDPTTEAANLFEAWDRINKIHGPPAPTTFPEEGTTTVMQEDTPSE -THAEPAAPKELDAEATAAVQDDDEERPKPSWASEVSEEIPVQVQPEGTPASLPIKVSKPYPTEVYNAVHQ -LVMDTLPMPDKLKGRRAGLFSRNSKVKEYSYGKIKHVSSPWRDSLDQIGKMLDLDLSSFDHCLVQFFEDQ -ALIPPHADNESLIQKHSRILTVSLGSALLTIQPRLSSSNAPPVSIPLEGCTVYVMEETCQDDFLHGIKAL -ESGRLSLTFRRSVHFEKESFDHSLPWAAWIPILRSLGFRGNERQINPGDQSLIMPISEINNGLPKASCPT -KEWTELLSRLHRLPVPFHPSLERAKAFGSDIKNCRIGKLLTHQPEVWRNAFGRRTEEQPRELALSLIHGA -GGSGKSYAIQDFLRAHPDINVTIILPTNELRLDWVSKLPATPLANIKTYEKALLSNVHEVVILDDYGKLP -AGFVEALVCVSPALKLIIATGDSQQSVHHESNENSSIYKLPPLIQIVQPLCRYYINATHRNRKQLANMLG -VYSENVGDFRITHGTSPIQGVHLLVPSIFKKTAFAEMGHKVSTYSGCQGITAERVQILLDQDTHLCTKQV -LYTALSRAVHSIHFIDTGTKLNSFWEKLDATPYLKTFLRTIREEKLKEMEVVEPEIAEPAPPNTHFPVEN -SKNFFDNVTENMGEKFEREIFKADTGFSNCVQTEDQLVQMFAHQQAKDETLFWATIEARLTITNPKVNFT -EFYNKKKIGEILFENYKRAMQLPNDPVAFSRELWDVCADEVQKTYLSKPLHMIKNGQNRQSPDYPKNFIT -LFLKSQWVQKLEKLGLPKIKPGQTIASFHQITVMLYGTMARYLRRTRETFQPANIFINCERTPEDMSKWV -REHWNFTRTSYANDFTAFDQSQDGAMLQFEIMKARFFNIPEEVIEGYIDIKCNAEVFTGVLSIMRLTGEG -PTFDANTECNIAFTHTKYNIPKECAQLFAGDDSALDFSPEVKPSFRLIETELSLTAKPVLKMQIQGQWAE -FCGMLITPLGVVKDPIKLWASWVRARQTGKLKEVADSYELDLCLAYQHRDLLQEVFDERQSEAHFNTVRE -IILYTKGRRLATYNG - ->YP_009552762.1 RNA-dependent RNA polymerase [Turtle grass virus X] -MAIEAVFDQVTDPSLRSIIQEEAHKNIKILFKDTASVNPYATPQSSRKLLEKYAIPYNPYATKLHTHAVA -KAFEVSLYETATHYLPVDERGAKLPVTFLFMKPAKLRFFKRRGDRDHFINAHIVPRDLARYPRSTVYATI -PPIQTSHAFIGDTIHHFDRPFVEHIFRSSPALQTLIATMVLPPEAILRTRSLFPEAYDLHYCSDRFIYRP -GALSGGEYEHTYESLDWLTVGHIHSGDLWITAERLESKAANHLFIFQRGKLTTPKYRTFDLPEPLVMLPK -VFRPGKYNVQKPIPRAKANAWLMYVKSVGNVTIRDVWAKLRQTIANADLHNYEATELVHLTNYFMLVGRL -ESCNSFDQVLADSLLMSWFRPLVAKLTEFSHKVFGAHSFMQLCEAVSLKEVSLCFRVDETTYKAPKLPTE -HFSELEELLSRGLAEEPTQTVKTESPTPSLYMHYDGDCEDIAGSGQDTNTPHSSQSEPHTATPATGECSH -THDQSQASTSGTKQERSLSEDADTLPWAPWAEHLKRLGFQGTERQLDADGELIHPIARVTKLPVYDMDDQ -LAQLLRRLNRAPTLYTPDTGRAQTYARDLIAGKTGARLRQESFEWKEALKKKTKESPKQVALSVIHGAGG -SGKSRAIQEFMAENKDYPLTVVLPTNELRADWKRKLPAHEPDTFMTYETAMLTPRHHTMVLDDYTKLPNG -YIEALIQNSPALGLLVLTGDPMQAEHHESSDGNEINGLTPASAIFSKYCRYYINATHRNPQRLANALGVY -SEVHRDFKVSYSRHIRDGYHNLVPSQLKMRNYGSLGHKSSTYAGCQGITAGRVQIILDNDTSFCTRQVMY -TALSRATTEIVLCNTMPNEKTFFDKIEATPYLKAILALHKELPVRTAEPEEEEPTEPPPPPTHLPVSNPV -ELTERLVEPLQEKHDREIYSPTTGHSNCVQTQDPYIQAFQHQQAKDETLFWATIDKRLRTSTLKDNWAEF -KTKRPLGDVLWLAYRKAMGIPSDPLKFDPDLWWACADEVQKTYLSKSHQQLRNGMMRQSPDFGSNKMQIF -LKSQWVKKADKIGKNEVKAGQTIAAFYQPTIMLFGTMARYMRRIRDTLQPKNILINCERSQEQIERWTKE -HWDFKCRAYTNDFTAYDQSQDGAMLQFEVLKALHLGIPEEVVELYIQLKLDSKMFLGTLAIMRLTGEGPT -FDANTECNIAYTHARFEIPDGCAQVYAGDDCAIDCEPTERQSFLPLVEKFTLQAKPQHFAQNIGSWPEFC -GNLITPMGYLKDPIKLQACLALAARKPKNSPGSLADVADSYAIDLLPAYKLGDGVYEVFNEAQLHCHYQS -IRTLITSAHTTRLSRLHALYHADSLF - ->YP_009664728.1 RNA-dependent RNA polymerase, partial [Lagenaria mild mosaic virus] -EAFCLLFSNVELIIITGDSKQSVHHEGNEAANTSTLESFVPEANKICRYYVNATHRNKKDLANKLGVYSE -VTGITQVSISSTPIPGLHLLVPSLFRKKAFSEMGHKTSTYAGCQGITAPKVQILLTEETPLCSQEVLYTA -LSRAVHSIHFVNSSPNNPSFWAKLESTPYLKAFLSTLREESATEAKPREEGPTPVQPPKTHIPIDSALPL -YDTIIEQMPEKHEREIFKEGKGHSNCVQTEDKFIQMFSHQQAKDETLLWATIEARLVISNPKANWQEYLE -KRPIGDVLFESYKRAMQLPAQPIPFENKLWESCIHEVQKTYLAKPENMLKNGASRQSPDYDPNLISLFLK -SQWVKKIEKLGAIKIKPGQTIASFHQATVMLFGTMARYMRRMREIFAPKEIMINCEVTPENLSSWAAGDM -GCWTFKGPSLANDFTAFDQSQDGAMLQFEILKAKHHSIPDDILDAYLTIKTNSKIFLGTLAIMRLTGEGP -TFDANTECNIAFTHTKFDIPKGVAQLYAGDDSAIDCLPRERPSFKLIADKLKLTSKPVISLQQKGDWAEF -CGFRITPKGLIKDPMKLHASLMLEIKKKNVKNVLRSYELDLALAYQHKDALHELLSEEELRLHYDTVRTI -VKSGGGDFLKTYLTKDESLY - ->YP_009448187.1 RdRp [Babaco mosaic virus] -MANFRAVMDQLNDPSLRAVVQEEAYREIRKTIEVTKTYNPYAQSSLAADSLEKLGIETNPLAVRAHTHAA -AKSIELDMYKIASHYLPKENPVTFLFMKRAKLQYFRRGPQQNDVFLNAHVEPKDVVRYDPDDLFDADTTP -KIQTSVAFMGDALHYFPLSVINKIFTASPKLKTLYATLVLPAEAAHRMHSLHPSIYELQFHEENFLYKPG -GHAGAAYCHTYKQLEWLQVGRFRWETASGEERNVTSQILETKGANHLMVFQRGLYNTPTLRCFGVETKYV -TMPPIFLPAKFNARLPIRKTVAQQLFLYVKSVKSVTERDIWAKIRQLIKTADLQEYSSKELTLLVNYFFL -ISKLKSETCFDSILSGGMIKRVFKPLISWINEKKGFIFGKDQFIQLMEALEWVEIDLTYKTKTFDEPNDK -SSFNPMGYLPLKDEEGLPGLQESSSDQPQATEEDQVAYDKYLKALSRLQASDESEERESGPPPSNSESEA -SSSGGNQAEETNSCPPNSTEKSRGLDAILCPCGLSIPLGKAKFPEIPVLEHPERLKGRDAFFFSKDNKPY -SYTGGSHHSRGWPSWLDSILAAVEVQETMPNFNQCLVQKFSARSSIPFHRDDEKCYPKGHQVLTVNLKGT -CTFKICCDRGVGTANLEEGTYHLSPPGFQENHRHAVEGCSAGRVSLTFRSTAIQEQHSEGLVAAPLDSLP -WKAWIPKLQELGFQGRQLQYDCNGALISPIEQIQSMEKTRPKGVPASLLTCLEKIARAPTHFSPSPIRAK -AYSSDVKNARIGAMLKQQGKDWGHRFDALVEAGKRSLHISVIHGAGGSGKSRAIQNYIKEHTKEPVQVIL -PTNELRIDWMRKVPTLKETMCKTFEKALLAPPTPVVIFDDYGKLPAGYIEAFCFFFSTVELVILTGDSKQ -STHHESNENAMTNLIEPFTSEASKLCRYYVNATHRNKKDLANKLGVYSEVSGFTEITHSSSPIPGLHILV -PSLYKKQAFSEMGHKASTYAGCQGITAPKVQILLSEETTLCSKEVLYTALSRAVHSIHFINTSPNNQSFW -KKLESTPYLKAFLSTLREETAQESKPAQGDPTAVDPPKTHIPVDSALPIYENILDSMPEKHEREIFSKSH -GHSNCVQTEDSFVQMFSHRQAKDETLLWATIEARLVVSNPKSNWQEYLEKKPIGDVLFESYKKAMKLPAE -RIAFEPQLWESCIHEVQGTYLKKSEDMIKNGQARQSPDYDPNLISLFLKSQWVKKMEKLGALKIKPGQTI -ASFQQATVMLFGTMARYMRRMREVFMPKNILINCETTPESMSSWAAGEGGCWSFKGPSLANDFTAFDQSQ -DGAMLQFEILKAKHHSIPEDILDAYISIKTNSKIFLGTLAIMRLTGEGPTFDANTECNIAFTHTKFQIPD -GTAQIYAGDDSAIDALPVVRKSFKQIENKLTLKSKPLVALQQKGDWAEFCGYRITPAGFIKDPKKLHASL -MLEVKKKNIKNVRRSYELDLALAYQHRDRLHELLSEEELRFHYETVRTLVKSGGGEVLKTFLPKDESLY - ->YP_009270630.1 RdRp [Senna mosaic virus] -MANLRNVLDQLNDPSLRAVIQEEAYREIRKSLEETKAYNPYAQALPAADSLEKMGILTNPLAVRAHTHAA -AKSIELNMYSIVATYLPKENPVTFMFMKRAKLQYFRRGPQHNDIFLNAHIEPKDVARYPLGELFDPHRTP -KIQTSTAFMGDALHYMEPEVIPKLFTTSDTLQSLYATLVLPPEAMHRMHSLHPSIYELEFHKEHFLYKPG -GSSGAAYVHTYNQLVWLKIGRFKWKDDQGRSWVVTAQVLETKGANHLMLFQRGNLLTPELRSFGVETKFI -TIPPIFLPEKYNARLPIKKNCAQQLFLYVKSVKGVTERDIWAKIRQLIKTSELQDYSAKELTLLVNYFYL -ISRLKSENCFQDVLSGGIINRLCKPLIAWYQELKMKMLGKEEFVQLMEALEWVDVNLTYEVFDFEFGSTY -KDLSNGRNWLHGEDLPEKAEEPEDQEPSDPDWYQKYIQLLENTNSRVGGQEQGSQSSQSGVTEDEEAKPG -NETPGETGTDSLGAPENSESSGKKNTTLQCPCGLELPIAEANFPEIPVLEHPDRLKGRLAYFFSKDNKPY -SYTGGSHASRGWPNWLDSILATVGKSMMLPEFNQCLVQKYERGASIPFHRDNEPCYPKGHQVLTINLEGQ -AEFSVSCKSGNATTRMEKGTYFLSPPGFQESHQHAVKSLSASRISLTFRCTQIQNVFGEGLSSEVIDQLP -WKAWLEKIRHLGFQGTSLQYDYNGALISPIERVQSLPKVFPKGAPGDLLSTLDKWSRAPTPYCPSKLRAK -AYSSDVKNLRVGALLRQQGKEWAMRFDSLVESGERELAISVIHGAGGSGKSKLLQCYIQDNPETNITIVL -PTNELRIDWLKKLKEVRESKFKTFEKALLSPPTPVVIFDDYGKLPAGYIEAFCLYFSTVELIILTGDSKQ -SVHHEPNENAMTSQLEPFVWEAEKFCRYYINATHRNKRDLANRFGVYSEVTGVTEITHGSTPIPGRHMLV -PSIYKKLAFTEMGHKVSTYAGCQGITAPSVQILLTEETALCSKEVMYTALSRAVHSIHFINTSPNNKAFW -SKLECTPYLKAFLSTLREETAVEDKPKGAEPTPVEPPKTHIAKDSAMPIYENIIEEMPEKHEREIFSNSH -GHSNCVQTEDPFIQMFSHQQAKDETLLWATIEARLKISNPKANWQEYLEKKPVGDVLFESYRQAMKLPDQ -PIPFSEELWESCMHEVQATYLKKPEVMIKNGMGRQSPDYDPHVISLFLKSQWVKKMEKLGAVKIKPGQTI -ASFHQATVMLFGTMARYMRRMREVFQPRNIKINCETTPEELTEWLAPDRGGWTFKGPSLANDYTAFDQSQ -DGAMLQFEILKAKHHSIPEDVLNAYLDIKLNSKIFLGVLSIMRLTGEGPTFDANTECNIAFTHTKFQIPE -GTAQIYAGDDSAIDAVPPIRPSFKLVEQKLTLRSKPVVAMQERGDWAEFCGYRITKFGFIKDPKKLHASL -MLEIKRGNLKNVKDSYEIDLGLAYYHKDRLHEIMSEEELRCHYETVRTLVRSGGGKWLKTFISKDESLY - ->YP_009046882.1 RdRp [Pitaya virus X] -MARVREVFSSITDSSLKAVIQEEAYKTIQLQLRAALSINPYSQPADTADTLENLGIITNPLAIEAHTHGA -AKAIENDMYNIVANYLPKENPVTFYYMKKGKLGKFRRGPHQNDKFINSHFEPKDIARYPEETVVEHLEKT -PCTTKLAFMGDTLHFWSPTQLLTLFKTSPTLKTLYATIVLPVEAINQLPSLHPTIYTLKYHGDFFMYLPG -GHAGAAYTHHTKQLAWLLMGRLNDGDVTLTMQVLESKGANHLVIIQRGKFETPPLRVFGSDVPYVRMPKI -FLPSQHNMNQPIPTVFAMKMFMYCKSLKEVTPRDIYAKMRQLLPDKELAKFSPNQTVHMMNYFFLIGKLD -SVNTFEDVLSGSAVRRTFKPVIVWWQHFKQKLFGENDFLKLMKAVQWKPIDLTYKVEEFTEFSWRNFFLG -KRVQPEPQDGDEDDFWDPKSYNPLDKGPEMTAEQLKAWDFLQQQKQGSSPSPEFHEDPLDPKSATQNTES -AASEAAQETQPKGRGEGKTSQPPVEEQRSQSGGPEQGEASEASEDSTSRAHFSTGEPFVTKHGVIIHRRD -PDHDPETSWKDFVARNLRFIDQVGKRQVTLYSRHSSIKTYSYGSVTHKAQPWPEALTAIAQAFNIPEEHD -HCLVQKFEKSAAINYHADDEALILPGSQITTINLGHAELRTRRNSDGEVLFQALFGACSYIMPPGFQSNF -KHAVASLEDGRISITFRTSVHHKTEDTLPWKAWVPILNAAGFKALDRQVNPNDGSLILPILDIQKLPKVQ -AACPGLQGVLKAIHREPTPFTPDPLRAKAFGSDVKNLRIGALLRHQSTEWLETFARKTEMEPRSVGLCVI -HGTGGSGKSYALQDFLRNNPEERITIILPTNELRLDWVRKLPNAHPSTLKTHEKSLLAPASSTVIMDDYT -KLPAGFIEAYINTNQGLETLILTGDPKQSFHHEPNDNAMTAKLAPFSEIAKNYCRYYLNATHRNKKDLAN -MLGVYSEVEGTTNITMSSSILPGRHLLVPSMYKKQAYGEMGHKVSTYAGCQGITAPEIQILIDSDTPMCS -QQVMYTALSRAVHAIHFVNTGVTNDAFWEKLAATPYLAAFLRLVREEKTKEPTPTEDKATEPAGPATHFP -VEDGKNFFDHITDNMPEKHERELHSNRDGFSNCVQTEDPVVQMFPHQQARDETLFWATIEARLKITEPEK -NFEEFVSKRHIGDVLFENYKLAMGLPKEPIAFDEKLWEVCADEVQKTYLSKPLHMLKNGEGRQSPDFDPK -LIALFLKSQWVKKVEKLGQPRIKAGQTIASFQQEAVMLYGTMARYMRRVREVFQPKNIMINCERTPEELS -AWALENWNFKRNSYANDYTAFDQSQDGAMLQFEILKARHHSIPEVYIEGYLDLKCSSKTFLGILKIMRLT -GEGPTFDANTECNIAFAHTKLKIPIGTAQLYAGDDCAFDFVPEDKPSFKKIETQVSLKAKPVIKRQIRGE -WAEFCGMLITPLGVIKDPVKTWASLELAARRGELMNLRDSYERDVALAYQHKDRLHEIFSEEQSTAHQLT -VRKIVRAKGGKVFASYD - ->YP_004849314.1 replicase [Tamus red mosaic virus] -MSRLRGALEQFTDPAQKIVIQNEQYQHLRKTLSHTATTNPYAIAESAANVLEDLGINSNPFATTAHTHSA -AKAIENDLYFSASSLLPKENPVSFLFMKPAKLRFFHRGPQHNDHFINKVVEPKDVARYDPDTLVSSLPTL -STSHAFMGDSLHFLPFSFLVRLFSDNVQLKTLVATMVLPAEAKFNLASIYPNIYTLQYHRCKKGKVTHFS -YAPGGHKGAEYVHELKQLDWLSVGRLTDGVTTITAQKIETKAANHLFIFQRGSLMTPCLRNFSTETKFVT -LPLIFLPEKFNARQPIRKTLVMQMFLYVKGVKQVSERDIWAKWRQLIKTEDLQDFSAIELLHLTNYFVFV -GKQSSINCFDDVLKGGFLTRWFKPIKNWFEMVRQKIFGEAEFVRLVRALEWTEVDLKLDTKNYDFKRREG -NGFLSLSKVAAGPDDSEDVFHLPQEESLFSQMEWLGEFWNFIKGENGKKVAEPCPNEPVCETNVDEDQSA -HEWLLKLEQTRVVEETPLEQPTEVSTTLDATLTMLPDNSQMSEDEKVVAEGAITESEQAPEEKAEELEQV -DSSPLQAEVAQIRFGTAPTKAEVAALIRTAMKQKQISLEPLEATAGCEQEMMEGSSSAAVLEDPPATTSD -DTAEDDSGLTSDEREMKKFADEATQQVIDMSKGEFEKKPWAKWMSILENAGFKGTTCLLDANNYLIQPIL -GVSKLTARAWPRELTPEVDLFDRLKAIHRHPCAYTISNLRARAYASDVKNDRTGSFTRSQDLAWKEAFTM -ICESPRQREVALTVIHGAGGSGKSFALQKYLEDCPDAEVKIVLPTNGLRMDWLAKLPKFPLTSVQTFEKA -LXSQTAKVVIFDDYTKLPNGYIDAYLSLRTTVVWAILTGDSRQSSFHEMNEQALINGLTPAADHFSDYAR -YYLNATHRNKQDLARMLGVYSERTGLTTVSMSSRPLKEVHMLVPSLIQKKTYTELGYKTSTYAGCQGITA -PKVQVLLNSDTTLCTDKVLYTALSRAVHSIHFINTSASSAAFWDKLNATPYLKTFLSTVREQSLASAKPR -EEGPTPVAAPKTHVPVENSNLCLEGAVEKLLEKHDREIFRADHGHSNCVQTDNPTIQLSSHQQAKDQTLL -WATIEARLKISTPERNLAEYLMKREVGDVLWLHYKNAMNLPEEPIPFEQTLWDTCAEEVQKTYLSKPMHM -LKNGELRQSPDFHKNTIALFLKSQWVKKMEKLGADKIKPGQTIASFQQAAVMLYGTMARYMRRMREVFQP -THVFINCEQSPEGMSDWIKNEWNFKGNAYANDFTQFDQSQDGAMLQFEILKAKHHSIPEWVLEGYLDIKT -NAHVFTGTLGIMRLTGEGPTFDANTECNIAYTHLRFNVPKGTAQAYAGDDSVLACIPEERESFKMWKNKL -ELTAKPEYFPQMQGEWAQFCGFLFTPLGALKEPLKMLAVVELAKQRGELKKNMRSYALDVLLNYQHGDAL -QHVFSEKQLEAHQITVRNLIKFGGSSILENC - ->YP_002647027.1 replicase [Allium virus X] -MARVREALSNFTDPAVKVVLQNDHYQEFKKTMARTKLSNPYALKETEADTLENLEILSNPFAIETHTHAA -AKAIENDLYFVASHQLPAEPITFLFMKRNKLAQFRRGPQQGDIFLNKIVEPKDIARYPEDTLIDSLHVNT -EVAFMGDTLHFLSFHFLGRLFHLNKNLQTLVATMVLPAEAKYRMASLHPNVYTLSYFGEHNFIYAPGGHH -GAEYVHDFKQLDWLRVGRVRYKNQIITAQRIESKAANHLFVFQRGNLNTPPLRGFGTCKKYVTLPRIFLP -KKFNTRVPIEKTLVMQIFTYVKGVAKVTERDIYAKVRQLIKTDQLQGFDASELVHIVNYFCFIAKQDSMT -CFSDILSGSLFKKLFQPLAAFLAECKHFIFGEPDFKKLLKALQWQDITMVVTVADINLDSQLHDIAMNGF -QALGPDVSTPERQMLPGEDDDRFAEHYPDILGFLLGKRDLLSYKPQTLQLSHPHKFLHSRNWRRRGWNNK -GLSIFSKLHDANQPPKNQKTSPSTPPCLSLSKRPRPPHSQMTTWETMQNTRIRYPSPHALLHHNTKTLVL -SDNSSEHGSNPFGQLHARLREPVAPIPTPPPTPTSTHEPHNSECQNPGCILDTLSVDESFVELLESLPFQ -HADKIRTRRAFFFCTQPWGYGHDAIHYRTFKMPLFLSNFIQEHSLDVNSALVQCFTLNARIPFHKDDELC -YSLVHPVHCVSTGLAKLELKRADQRSMSYHLQGPCSYTLPGNSQQAWRHAVTALSQNRISVTFRKQLKPV -FNTSFSTLRLLEPFMEQEAATYRTASPAPIQSDCMTGIASSGEPTSVHSTPDLEFATLSDTTSEGNSHEA -DSTDGTETSVRDASGFHVAPPPENPAPPLPWEQWIPKLNALGFSGLARISDPQDKDQLICPITCIKSGFG -IFLPEDTDTKPFTDLLTTMHRMPCAYKVNNSRAKAYASDVENSRTGLLLRSQPDHWKEALTMQAETADHT -IALSVIHGAGGSGKSHALQQLIRENPELNFLVVCPTNELRLDWLAKLPKVELHRIKTFEKALADSTAATI -IFGDYSKLPAGYIECYLAARPTATLAILTGDPRQSSYHESNEGAMISQLAPSTSEFGQYCRYYINATHRN -KQDLARMLGVYSERTGLTSISMSSRPLTDTHLLVPSIIKKRTYMELGYKTSTYAGCQGITAPRLQILLDC -DTTLCADEVLYTALSRAVHGIHFINTGATSGAFWDKLNATPYLKTFLDFTREERLKESQPREQEPTEPEG -PTTHFPVENEKFVLEEQVEELLEKHDREILRPDHGHTNCVQTEDAVIQLFSHQQAKDQALLWATIEARLK -ISNPKANIAEFNAKKEIGDVLFEHYHQAMKLPDKPIPFMEDLWVACAQEVQGTYLSKPINMLKNGEYRQS -PDFDKNTIALFLKSQWVKKMEKLGAPKIKPGQTIASFQQSAVMLYGTMARYMRRMREAFQPPEIMINCEK -DPQTLSHWIQNYWKFNSYAYANDFTQFDQSQDGAMLQFEILKAKHHSIPEWVLEGYLDIKTNAKVFSGVL -SIMRLTGEGPTFDANTECNIAYTHLRFDVPKGTAQLYAGDDSALSCLPPERPSFADFKQQLTLTSKPALF -AQKRGFWAEFCGYLITPLGIMKDPAKLAATVVLAQKQNKLHECWRSHALDLKLAYVHRDALTEVLSEKQQ -ELHQLTVRTLVKSGASEILDS - ->YP_002341559.1 replication-associated protein [Schlumbergera virus X] -MARVREVFSSLTDSSLKAVIQEEAYKTIQMQLRLASTINPYAHSSDSADHLENLGIITNPLAIELHTHGA -AKAIENDMYNIVANYLPKDNPVSFFYMKKGKLGKFRRGPHQGDRFINSHFEPKDIARYPMETVVEHLEKQ -PCTTKLAFMGDTLHFWSPTQLLTLFKCSPTLQTLYATLVLPIEAVDQLSSLHPSIYTLKYHGDFFMYLPG -GHAGAAYTHHKRQLAWLFLGRLTDGQTTLTMQILESKGANHLVIIQRGHYETPPLRTFGSDIPYVKLPKI -FLPSQHNMNQPIPTTFAMKMFMYCKSLKEVTPRDLYAKMRQLLPDKELAKFSPTHIVHLMNYFFLIGKLE -SVNSFEEILSGSAVRRTFKPVIVWWQHFKQKIFGQDDFLKLLQALQWKAVDLTYKVEEFNERSWFDFLKE -KIEKVAPVGDEDDDFWDPKSYNPLDTTEAPSIEQLKAWDYLRNRGENKAPEDFHPDPLENAPKEKTKEID -QTETQENGKQENSEDLSYKASSSSSAEKRPDQDEPLPPNSEVNACSTTAHGVPLVRFNPDRNCEETWAQF -IKSHLRLVDTVGSRRVTLYSRNNKIKTYNYGSVTHKAQPWPEALTAISQHFNIPIEHDHCLYQVFKKQAS -INFHADDEALILKDSVITTLNIGHAELRTKRIADGDLSFTSLTGVCVYTMPQGFQSTHKHAVTSLEEGRI -SITFRTSVHHAETSVLPWQAWLPILNVAGFKGTQSQVNPNDGSLISPILDIKKLEKTPASHPGINEVLTC -IHRLPTPFTPDPLRAKAFGSDVKNLRIGALLKHQSKEWLEAFSRKTEMPPRTIGLSVIHGTGGSGKSHAL -QDFLRNNPEERITVILPTNELRLDWQRKLPQAHPNSLKTFEKSLLVGASSVVIMDDYTKLPAGFIEAYIN -TNSGLETLILTGDPNQSFHHEPNENALTSKIAPFSEIAQSFSRYYLNATHRNKRDLANMLAVYSEVEGTT -NITMSSTVLPGRHLLVPSMYKKQAYGEMGHKVSTYAGCQGITAPEIQILIDNDTPMCSQQVMYTALSRAV -HAIHFVNTGVTNDAFWEKLAATPYLAAFLRLVREEKTRQPSPTEDPPAQPPAPETHFPVENPKNFFDKII -ENMPDKHSRELFSEKDGHSNCVQTEDPIVQMFPHQQARDETLFWATIEARLKVSSPEKNFEEFVSKRHIG -DVLFENYKLAMGLPKEPIQFDEGLWNVCADEVQRTYLSKPLHMLKNGEGRQSPDFDPKTIALFLKSQWVK -KVEKLGQPRIKAGQTIASFQQEAVMLYGTMARYMRRVREVFQPKDIMINCETTPEALSQWALENWNFNRT -SYANDYTAFDQSQDGAMLQFEILKARHHSIPDVYIDGYVELKCCSKTFLGVLKIMRLTGEGPTFDANTEC -NIAFAHTKLKIPIGTAQLYAGDDCAFDFAPEEKQSFKKIETQISLQAKPTYKRQIKGEWAEFCGMIITPL -GVMKDPIKTWASLELAARRGEIKEVKDSYARDVALAYQHKDRLHEIFSEEQSMAHQLTVRKLIKVGSGRI -FSTYD - ->YP_002332929.1 replicase [Potato virus X] -MAKVREVYQSFTDSTTKTLIQDEAYRNIRPIMEKHKLANPYAQTVEAANDLEGFGIATNPYSIELHTHAA -AKTIENKLLEVLGSILPQEPVTFMFLKPRKLNYMRRNPRIKDIFHNVAIEPRDVARYPKETIIDKLTEIT -TETAYISDTLHFLDPSYIVETFQNCPKLQTLYATLVLPVEAAFKMESTHPNIYSLKYFGDGFQYIPGNHG -GGAYHHEFAHLQWLKVGKIKWRDPKDSFLGHLNYTTEQVEMHTVTVQLQESFAANHLYCIRRGDLLTPEV -RTFGQPDRYVIPPQIFLPKVHNCKKPILKKTMMQLFLYVRTVKVAKNCDIFAKVRQLIKSSDLDKYSAVE -LVYLVSYMEFLADLQATTCFSDTLSGGLLTKTLAPVRAWIQEKKMQLFGLEDYAKLVKAVDFHPVDFSFK -VETWDFRFHPLQAWKAFRPREVSDVEEMESLFSDGDLLDCFTRMPAYAVNAEEDLATIRKTPEMDVGQEV -KEPAGDRNQYSNPAETFLNKLHRKHSREVKHQAVKKAKRLAEIQESMRAEGEAEPNETSGGMGAIPSNAE -LPGTSDARQELTLPTTKPVPARWEDASFTDSSVEEEQVRLLGEEAVKTATQQVIEGLPWKHWIPQLNAVG -FKALLIQRDRSGTMIMPITEMVSGLEKEDFPEGTPKELARELLVMNRSPATIPLDLLRARDYGSDVKNKR -IGAITKTQATSWGEYLTGKIESLTERKVATCVIHGAGGSGKSHAIQKALREIGKGSDITVVLPTNELRLD -WSKKVPNTEPYMFKTYEKALIGGTGSIVIFDDYSKLPPGYIEALVCFYSKIKLIILTGDSRQSVYHETAE -DASIRHLGPATEYFSKYCRYYLNATHRNKKDLANMLGVYSERTGVTEISMSAEFLEGIPTLVPSDEKRKL -YMGTGRNDTFTYAGCQGLTKPKVQIVLDHNTQVCSANVMYTALSRATDRIHFVNTSANSSAFWEKLDSTP -YLKTFLSVVREQALREYEPAEAEPIQEPEPQTHMCVENEESVLEEYKEELLEKFDREIHSESHGHSNCVQ -TEDTTIQLFSHQQAKDETLLWATIDARLKTSNQEANFREFLSKKDIGDVLFLNYQKAMGLPKERIPFSQE -VWEACAHEVQSKYLSKSKCNLINGTVRQSPDFDENKIMVFLKSQWVTKVEKLGLPKIKPGQTIAAFYQQT -VMLFGTMARYMRWFRQAFQPKEVFINCETTPEDMSVWALNNWNFSRPSLANDYTAFDQSQDGAMLQFEVL -KAKHHCIPEEIIQAYIDIKTNAQIFLGTLSIMRLTGEGPTFDANTECNIAYTHTKFDIPAGTAQVYAGDD -SALDCVPEVKHSFHRLEDKLLLKSKPVITQQKKGSWPEFCGWLITPKGVMKDPIKLHVSLKLAEAKGELK -KCQDSYEIDLSYAYDHKDSLHDLFDEKQCQAHTLTCRTLIKSGRGTVSLPRLRNFL - ->YP_002308464.1 replicase [Hosta virus X] -MARLREVFSSFTEPNLKTIVQQETYKLAKAELKTIQTYNPYAQTKDAADLLEDLGINTNPHAVTAHTHAA -AKSIENDLYGITSHYLPKTPITFLFMKRGKLQFFKRGPQHNDLFFYTTHEPKDVIRYQSEDQTADMFRVP -TSTGFIGDTLHFLSLKYLHRLFLKNPNLNTLYATMVLPPEAMYRMASIYPEIYQIQYQEDGFLYIPGGHG -GAAYFHTYDTLTWLRVGQFQAKEFTAHLPKVGDKGANHLFIIQRADLKTPKYRTFVPRRKWVTLPNIFLP -STQANHLFIIQRADLKTPKYRTFVPRRKWVTSNIFLPKHTNARKPILKQTMMQLFLYEKSVKEITFRDVF -AKIRQLIQTKDLEQFDPDELVRLANYVMHTSKLLEKDPYELIEGQGKLQDLVNPIKTWVSEKWQNWFGWK -DYTRLIRALKWVDVDLVLRVMNTRSTPTGIQTSELLPDEAGPPKSKKKRGGKKIPSPEPSRNCRSKSKRT -RGNRAQREKEPHRRKLRWQKENFQRVTVQVHQAPKGDPSPLARFSQSLKELPRRSQPRRLSKFQDFLMSS -TQTRFQIPSSLNRRAGHWRPKQQGTPPTTQEAGTEGPPTTQPGKPTASSPRAAPQPTANAETMEKGSQAS -SATTRGRDPVTDRTREQAPTNLTPEEEALPWKHWLKQLKAVGFKGNETQMDGDGTSISPIEQIKSCPGKP -KSVSKEILETLRSGHAPNFWKPDASRARAYTSDIKNRRTGAAVHMAPQAWKETMDFIAENAERTLHILRH -PWRRRFREEQMSSRDAHKFHFLFDETLVVCPTNELRRDWIDKLPLSEPGSVLTFERALMNPAKGTVIFDD -YTKLPAGFIEAYSICQPNVELVILTGDAKQASHHESNDNAMIAGLDPAAFEFSKFCRYYLNATHRNPRNL -ANALGIYSEKPGNLKVTFTNHLLPEMHILVPSLLKKATLEELGHKCSTYAGCQGVTLSKVQIYLDSNTTL -CSNEVLYTALSRAVEQINFVNSGPFNGPFWAKLEATPYLKTFLRLTREEKINEITPEEPKPKEPEPPKTH -FPVETSAHLYSSITEEMPEKHAREIYNKTHGHTNCVQTDEPLVQMFAHQQAKDEALFWETIEARLRITTS -EANVQELNEKRDIGDLLFHAYHKAMGLPKDPIPFENDLWETCAQEVQQTYLSKPINLIKNGEKRQGPDFD -KNAIMLFLKSQWVKKMEKLGAPTIKPGQTIASFHQITVMLYGTMARYMRRIRDRFCPKHILINCEKTPTQ -ISDFVKAQWDFSDFAYANDFTAFDQSQDGAMLQFEIIKAKFHNIPEDIILGYMDIKTNAKIFLGTLAIMR -LTGEGPTFDANTECNIAYTHLRFNVPENVAQVYAGDDSALSKVCPEKDSFKQFADRLTLKSKPQVFPQTQ -GAWAEFCGLLITPRGIIKDPVKLHASWVLATKLGTLQQIKCVNSYGEDLKLSYDLGDHLQELLSESQCRT -HQVTVRELVKFAGKVEKHQAEIRSVANGNIRQLPFFY - ->YP_001718499.1 replicase [Lolium latent virus] -MTTLALNLAQYNDPITKGIIAQESLRRVRPDLKAVVNVNPYAIPTSAALVLEKLGIGTHPMSLAVHPHAP -CKAIENQILNTVGHLLPKEQPVTFMAMKKSKLNMLRRHPSRADTFINPIYHPRDNVRYGLDPDPDQDASI -TASFLEVKTSTAFMQDTLHYLTPEDLLDIFETSPKLENLIASFVLPVEATRNMKSLYPDLYSIHYTHGGF -QWAPSGHLGDAYFHEPWQLYWLRCGSLTRLIEEETTTTVQPPPGFEGEAYTRVRKEVRELKIYAERVTSI -GAHHLFIFSRNAKATPRVRSYSQNGKWVTLPRIFRPVSHNVQTPLKQEVANSLMLYTYAVRPSLKDVAAK -VRQKFDEKDLAEHSPLEITHLINYIYYIDQRAYLTNDDDILSDNLLKRWIFTPIQAAYKKAKGFLLGPDD -FQKLLKALEWQPVTFDYAVDHYKSNPWRIHASRTGAKMRQLKNFLSRTTGLCEAVEDDALEGSELLKELE -GNMWFRNPSEVEKEVFDAIVADLPPDSQRSELILEDPNSNARDYLPIQQDQPSVTQGPDEAAQTQTPTAT -SMVTNSVAARMPPPVPRTLREHHEQFPTTVRASFEQPSSTRAPETSENNTPAESVTPSPRAIYVGDFAIM -DANASTSRASSPSPRRTVVSPRQPEAQNETLGRQIVSRVSPAHGCQMSPYAAQLAHQLSEQTAYTDVIGQ -RMVAFYSQHSRSYKYGRHEHRSQSWLPVIDSLQVALGLDESYDHCLIQRYRKHARVGLHADDEECYEPDS -TIVTLNLYGNADFLIERNTDKASETITLQHNDMLFMPSGMQVTHRHAVCSLYEGRVSITFRNKTKDYLRK -SAPDMNPVEQPGASAGQLTGPLDHRPEELPWEHWIPRLNRLGFTGLQKQTDPEGKLIYPITEIRQDMVYV -PFPNCCPGPLRKDLEAMGRRPVRYTVDTGRALTLASDIKNNRVGALLQNADLTWKTLLVEYCRMEPTSVP -MTVIHGAGGSGKSKLLQDHLNRAELNVVIIVPTRVLQQDWRNKMTEFPSFLVQTYEAAMMESAPQMVVFD -DYGKLPHGYIDLFCQFHPSVEYVILTGDARQSTYYEYNSDAGIRNLPTNIEVFKQYCGYYINCTHRNKQD -LANMLGVYSEKMGSTHFTFGNTCETGSLLLVPSGTQKTVMGEAGHKTETYAGCQGITADKVQIMIDHDTH -KSADSHMYTALSRATEHIHFYNSIAGLNTARFHAKLNLTPYLKTFIQVITERAAAETEPAEYTVQAPTAR -THIPVENCSTFLEKDLEEQRAKEDREVYTQAGATNVFQTNSPIVQCFQHQQPKDGALSIITHAKRLQYAS -AEANQAEYRAKLQIGAALWENFKTAMEIPDEPVPFIRDLWEQSEAEVLSTYLSKSEMAIKNGKNRQDPDW -QDERMFVYLKAQWVTKASKFNLPTAKAGQTISAFKQAVVMKFGAMARYLRRITPKPDNIRINCEMQPQDI -SKWALGLDSHNRPTKQKWNFERPAFASDFEAFDQSQDGAMLHFEALWARHFNVPSSLIEEYLFLKMHAQA -PKGYLTIMRLTGEGPTFDANTACSIAYNHTRYEIPKSCMQLYAGDDMLLDQVPVEKTGFKNIAAGLKLTA -KTEIFEQKRGKWGEFCSWWMTPYGLVKDPITLYHRILLASEIGDLSKKIDAYAIEAEPAYALQGRLFDCF -NEEQMTAHYGTIRRLIIEGKTNFSLDDQAPRHQDLQGILNCMDAKFMYH - ->YP_459944.1 replicase [Alternanthera mosaic virus] -MAHFRSVLDQMNDPSLRAVIQEEAYREIKKTIAETKQYNPYAQDPAAADSLEKLGIESNPFSVRAHTHAA -AKSIELDMYKITGYSLPKENPITFLFMKRSKLQYFHRGPQHGDLFLNAWIEPKDVTRYDLEDLFKPSITP -EIQTRVAFIGDTLHFLPLGAIREIFTNSQKLHTLYATMVLPPEAMHRMHSIHPSIYELEFHERNFIYKPG -GHAGASYCHEYSQLQWLKVGKFEWCDKKYQKHYVTSQILETKGANHLFVFQRGNFATPTYRTFGVETKFV -TLPPIFLPKKYNARYPIKKTVAQQLFLYIKSVKTVTERDIWAKVRQIIKTAELQSYSAKELALIVNYYLL -ISKLDSVTCFDNVLTGGALKKLFKPIVAWWSTFKGKIFGKEEFEQLMEALEWVDVSLSYRVETYSQANPN -NQPKVMFGYEWLSTETGTPGTEEDVAPETPQEDEDPHEKYIQALQILTKALDQESAPPPTEPAQSSSIND -PQQEEHLRSSHDLHTDESPSCSGSLSSYACHCPCGTELKIFSAEFPPIPPLSHGDRLKNREAFFFSRDGT -PYSYTGGSHVSRGWPAFLDQILATAELVRPLPHFNQCLIQKYQRGASIPFHSDNEPCYDVDHQVLTINLT -GEAEFKTSCKAGSGSCTLVENQFHLSPPGFQKTHKHSVVSLSAGRVSLTFRSTVKQGVTSEEGDYVEPDN -LPWKAWLEKLRNLGFRGTQLQYDPNGALISPIESIKSLPKCSPEKVNPSLLKMLNSQARAPTPFSPSPIR -AKAYSSDVKNSRIGALLRQQGKDWGHRFDSLVENGQRQLAISVIHGAGGSGKSRALQMYLKDNPDADVTI -VLPTNELRLDWLKKLPTFPADQIKTFEKALLAPTKPTVIFDDYGKLPAGYIEAFSFYMSSAELLVLTGDS -KQSVHHESNENAMSSLIEPFTLEADKYSRYYINATHRNKRDLANKLGVYSEVTGITSITQGNHPVPGLHL -LVPSLYKKQAFSEMGHKASTYAGCQGLTAPRVQILLSEETSMCSREVIYTALSRAVHSIHFVNCGPNNQA -FWAKLESTPYLKAFLSTLREDAAPVVKPKEEAPAPVDPPKTHIPVDSAMPIYEDLLDQMPEKHEREIFSE -KHGHSNCVQTEDTFVQMFSHQQAKDETLLWATIEARLVISNPKANWQEFMEKKPIGDVLFGFYREAMGLP -TEPIAFEPQLWESCIHEIQRTYLAKPINMLKNGQARQSPDYDPNMISLFLKSQWVKKMEKLGALKIKPGQ -TIASFHQATVMLFGTMARYMRRMREIFQPKNIAINCEMTPEDLTDWAVGPAGQWKFAGPSLANDFTAFDQ -SQDGAMLQFEVLKAKHHSIPEDVLDAYLHIKTNSKIFLGTLAIMRLTGEGPTFDANTECNIAFTHAKFQI -PKGTAQLYAGDDSAIDGNPTVRESFRLVEQKLKLRSKPAIAMQEKGDWAEFCGYRITPKGFIKDPKKLHA -SLVLEKKKGNLRNVLRSYELDLALAYQHRDELHELLSEEELRLHYDTVRTLVKSGGGEVLKTFLPKDESL -Y - ->YP_224084.1 viral replicase [Hydrangea ringspot virus] -MSRVSQVLASISDPSLKAVIQEEAYKSIRTTLQAAKHLNPYAHPSETAEFLERQGIISDPYAVAAHTHAA -AKCLELDLYASVSHYMPKENPVTFLFMKRAKLQYFRRGTQQGDVFVNTLFEPKDAARYPLDTICSAIPPI -QTQMAFMGDTLHFLEPAFLTDLFDRSPHLNTLYATMVLPPEAKHKLRSLHPLVYTLQYLKDHFIYLPGGH -AGASYCHTYSQLQWLDVGHIVSPSGNCITAQRIETKAANHLFVFIRGRLNTPPMRSFAPMRAYCTLPAIF -LPTEFNSRTPYTKTFLQQMFLYLKSIKKPTETDLYAKFRQLTKTDDLQELDPKRSHYGELLPPHLEARFS -HLLRCILSGSALRRLLKPLICWMEKMRQTIFGREEFVRLMKALEWTDITFTEQVMAYHTGSTWERFLGGS -FKALPLGNELGPGLPEELRAEVARYYGNALLTGLDETDPKAEAFALLCNNPHGTTLFAPHTTAPEEDITH -RVLALKVPRGSPPPGFEGPSSSAQPEQEKEVAKPATPPEQEKQPEGQKMITRPVSALENALPWNKWTPIL -RGLGFQAREIQRSPHDNSVIMPITNIVSGLPISQIQGHELVMGVLEKLSRKPTPWTPCPLRARSYTSDIK -NHRTGALLLKESATWKETQTLKAERLEKTVGLSVIHGCGGSGKSFAFQELLRQHPDVDLQVVLPTNELRL -DWMAKAPKVRPEKIKTYERAFTGVSSPVVLFDDYGKLPAGYIEAYVAVQTRVELVILTGDARQSTHHENN -EQAMISQLAPATEVFQPLCRYYINATHRNKRDLANKLEVYSEKEGETKITMGFQPIKDLHLLVPSLLKKS -AYGEMGHKVSTYAGCQGITAPKIQILLDNDTVMCSKEVLYTALSRAVHSIHFINTGPTHSSFWEKLEATP -YLKAFLSTVREEAIAAHQLPSADPRPDPEPKTHFPVENTSCALGAYMEKVPEKFEREIFTEDRGHTNCVQ -TQNPLVQLFPHQQAKDEALLWETIKARLTISSPEANWEEFYKKKDIGDILWLHYKKAMTLPEEPLPFSED -LWRLSAAEVQNTYLSKPMNMLKNGERRQSPDFEKHQILLFLKSQWVKKMEKLGGPKIKAGQTIASFQQHA -VMLYGTMARYMRRFREALGPNHIKINCEATPKDLSRFIQNYWDFKPASYANDFTAFDQSQDAAMLQFEIL -KAKHFNIPGEIIQGYLDIKLNAKIFLGVLGIMRLTGEGPTFDANTECNIAYTHTRFDIPPGTAQLYAGDD -SAIACVPPERPSFKLIAKSLALEAKPVYAPQVAGAWAEFCGYLITPKGLIKDPAKLFASLKLLQATSGSK -KELVEAAGNYERDVGLAYALKDSLYEILSPEQAELHQETVRTLVKLTKGAYLATLE - ->YP_054407.1 replication-associated protein [Opuntia virus X] -MARVREVYSSFSDPSLKAIIQDEAYKAIQTQMRQATVVNPYALSTDAADALENLGIITNPYSIQLHTHAA -AKAIENDLYNTVAYHLPKEPVTFYYMKPGKLGKFRRGPQHKDKFINSLFEPKDIARYPEETVVKHLEESP -CSTSNAFMGDTLHFFKPEQLMTLFRLSPKLKTLYATLVLPAEAMHELPSLHPHIYSLKYTKNHFIYMPGG -HAGASYVHSREQLAWLLIGKLTQGNMQLTFQLLESKGANHLFIIQRMNMQTPPLRTFGVGAPYVLLPKIF -LPSEHNMQQPIPTAFATKMFLYCKSLKEVSTRDLYAKVRQLLAEKDLEKYSPRQMVHMINYFFLIGKLDS -VTCFESTLTGSALRQFFKPLIVWWQYFKQKITGDHEFVQLLKALEWRKIDLTYNVTECNTNQWWQLLRGK -EPTIATDNEAFSDFWDPNSYNPLDKVDELSTEQLKAWDFLQKQRNPTQEEFHKDPLETPTEGLEQHDSTT -HEFAQADANPQTDQDSASNGLKQEESSADTATTGAADTPETSAGASQGTEKPKGPIVNAHGVIIEKFDCD -KDPEYSWTDFLLFNLRKVDKVGKRWVTLYSKRPEIKSYSYGNITHSAQAWPEALTAVCQNLSVDDFFDHC -LFQVFEASASIGLHQDDEALIAPDTEIVTLNFGSADLTTLDIKTGSKCFTPLNGTVKYSMPPGFQKTHKH -AVKSNSAKRISITFRKSVHCTEDLALPWTKWLPILKGAGFQGNKGQINPNDGALILPIMSIQKLPTLECS -IPSLNQTLKQIHRLPTPFLPDPLRAKSFGSDVKNLRVGALLKHQSKEWLDTFGRKTEIEPRQVALSVIHG -VGGSGKSHAIQEWIRQNPEEEIVVILPTNELRLDWTRKIPKAPVYMFKTFEKALLQPAPSVVVIDDYTKI -PAGFIEAYIVTNPSLHTLIITGDSRQSFHHETNEQALTAKLAPFSEIAQQYCRYYLNATHRNKQDLANML -GVYSEVAGTTSITMSPTVIPDRHLLVPSLYKKMAYSEMGHKVSTYAGCQGLTAPSVQILIDNDTPMCSQQ -VMYTALSRAVHAIHFINTGVDNDAFWTKLAATPYLAAFLRLVREDALKESKVEEPQPQADPNPPTHFPVE -NETTFSDHIVDNMTDEHSREIFSQSTGFSNCVQTEDPVVQMFPHQQAKDETLFWATVEARLKITSPEKNF -TEFISKKHLGDVLFENYKLAMGLPKEPIAFDQKLWEVCADEVQKTYLSKPINMIQNGQNRQSPDFDPKAI -SLFLKSQWVKKVEKLGQPRIKAGQTIASFQQEAVMLYGTMARYMRRVREVFQPANIFINCERTPEDMDRW -AREHWNFNRLSYANDYTAFDQSQDGAMLQFEVLKARHHCIPEEFIEGYIDLKCSSKTFLGILKIMRLTGE -GPTFDANTECNIAFAHTMLRIPKNAAQLYAGDDCAFDISPDQKPSFKKIETEISLKAKPSFKRQIKGEWA -EFCGMLITPLGVVKDPIKTWAALQLAKRLGQMKDVRDSYERDVCLAYQHKDKLHEIFSEEQSKAHQLTVR -EIIRHKGGKVFSTYD - ->YP_054402.1 replication-associated protein [Zygocactus virus X] -MARVREVFSSITDSSLKAVIQEEAYKTIQTQLRLAASINPYAQPADAADVLETLGIITNPLAVEAHTHGA -AKAIENDMYNIVANYLPKENPVTFYYMKKGKLGKFRRGPQQGDLFVNSHFEPKDIARYPEETIVEHLESK -PCTTSLAFMGDTLHFWSPKQLLTLFNASPKLKTLYATIVLPIEATHRLPSLHPSIYTLKYFGDFFMYIPG -GHAGAAYTHHQKQLAWLFAGRLNGFGITLTIQFLESKGANHLLIIQRGKLLTPPLRTFGSDTPYIQIPPI -FLPKDHNMTQPIPTVFAMKMFMYTKSLKEVTPRDLYAKMRQLLPDKELAKFSPAHIVHMMNYFFLLGKLD -SVNHFENLLSGSIIRRTFKPLIVWWQHFKEKIKGPEDFTKLCKAIQWKPIDLTYNVEEFTLSLWDNFFKK -QITPEGSDAEDFSDFWDPNSYNPLDKVDELDTEQLKAWDFLRAQQQPNPQPERFHDDPLEGKESAEAPSA -AAGGDKGQAQAPQTNPPNPPATHKESTPEASSSNQAWSSGPKTLKTPHGVIIRPFSTDHNCEQTWEHFIL -SNLRLVDKLRGRRATFYSRNAAIKTYSYGNITHQALPWPESLTAITQALDIPNEHDHCLFQVFDKQSSIQ -FHADDEALIRPNSIITTVSIGHCELLTKEKSSGSVQKQILSGPVVHTMPEGFQSTHLHSIKSLQEGRLSI -TFRTSIHCDRSTDLPWANRIPILKSAGFQGTQSQVNPNDGSLILPISDVKKLEKVSAGHPGLLKQLSSLH -RAPTPFTYDPLRAKAFGSDVKNLRIDALLRHQPKGWLEAFSRKTEKEPRSIALTVIHGAGGSGKSQVIQD -FLRNNPDEHITVVLPTNELRLDWVRKLPNAHLSTLKTFEKSLLCPASSTMIMDDYPKLPAGFIEAYIANN -PGLEWLIITGDSKQSHHHEPNDGAMTSKIAPFTEIASGFCRYYLNATHRNRKDLANMLGVYSETEGRTVI -TMDSTILPGRHLLVPSMFKKQAYGELGHKVSTYAGCQGITANEIQILIDSDTPMCSQNVMYTALSRAVHA -IHFVNTGVNNDAFWNKLSATPYLAAFLRLVREERVSEHKPTEDPPSQSAGPDTHFPVENEAAFFDHITDA -MPEKHERELFSNRDGFSNCVQTNDPIVQMFPHQQAKDETLFWATIEARLKITSPEKNFAEFISKKHIGDV -LFENYKRAMCLPKEPIAFDEALWNVCADEVQRTYLSKPLHLLKNGEGRQSPDFDPKAISLFLKSQWVKKV -EKLGQPRIKAGQTIASFQQEAVMLYGTMARYMRRVREVFQPKEIMINCELTPEELSKWALEHWNFNRNSY -ANDYTAFDQSQDGSMLQFEILKARHHSIPEVYIEGYLDLKCSSKTFLGILKIMRLTGEGPTFDANTECNI -AFAHTKLKIPIGTAQLYAGDDCAFDYVPEEKPSFKTIETEVSLKAKPVIKRQIKGEWAEFCGMLVTPLGV -IKDPIKTWAALKLAEKKGEMKDVRDSYERDVSLAYQHKDALHTIFSEEQSMAHQLTVRKIIKVGGGHVFS -TFD - ->NP_702988.1 RNA-dependent RNA polymerase [Tulip virus X] -MSNLRNVFAQLTDPSLKAVIQDEAYRVMKKELTATRHSNPFAQSPAAADTLELLGINSHPLAITPHTHAA -AKAIESDLYEVTSHYLPKENPVTFLFMKPSKLRYFHRGPQHSDIFLNAYIEPKDVPRYPTDTVIENISEI -TTPLAFMGDTLHFLEPSFITSLFARSPKLQTLYATMVLPPEALYRLRSLYPEVYTLTYSDDGFMYIPGGH -AGASYYHKYDQLQWLTAGYLISESTTVTAQRLETKGANHLFIFQRGRYLTPERRTFTTATSYVTVPEIFL -PSSANARTPITKTLAMQIFFYIKSVKECRERDLWAKFRQLISTKELQHFQPDEATLLVNYFDFVANLDSA -TCHEDILSGSLLTRLLRPARVNWQALVRFFKGSPDHTKLIKALQWQPVLLEFKVSTVLVHKRTPPGFRHP -LCRPLPVNEVDALTTAACANQSLPLDNLSEDQLEALHVLGSLRTDGESLPSPPKAPLETGPHPTPTISAA -GPTKTVPTPNVEVSANPLTGTPLPDPDTLPWKAWLPHLRALGFEASEIQTDPRDHSTIMPITDIQTLPHE -TFDEYDSTSSPFDKVVITLKQLNRSPTPWTPDRLRARAYASDIKNSRTGKALHKESQNWKETQTRLTETS -ETRLGLSVIHGAGGSGKSQALQTMLRNHPDLPVEVVLPTNELRXDWLRKLPHNPPEQFRTFERAFVSSTA -PVVIFDDYGKLPQGFLEAFALCRPGLKLAILTGDPRQSTHHESNEQAMIAQLEPATKIFSALCRYYINAT -HRNVKELANKLGVYSSNPSPLHVSYGFRPEPGLHLLVPSLVKKAAFADAGHKVSTYAGCQGITAPKVQIL -LDSDTTMCSSEVLYTALSRAVDSIHFINSNAQSSAFWEKLEATPYLKTFLSLVREHKLEEFTAVEDPPQE -VAPPVTHFPVENASHTLGLIQDSLADKYDREILNTNHGFTNCVQTEDLTVQLFSHQQAKDEALLWETIDA -RLRLSTPEANLAEFHAKKDLGDVLWENYHRAMKLPKEQPDFSPELWERCAAEVQQTYLSKSLQQIKGGER -RQSPDFPENKILIFLKSQWVKKMEKLGAPKIKPGQTIASFQQAAVMLYGTMARYMRRYRDALGPNNIMIN -CERTPADLSRWVRNHWDFTKPXYANDFTAFDQSQDGAMLQFEILKAKFFNLPEWVIEGYLDIKLTPQIFT -GTLAIMRLTGEGPTFDANTECNIAYTHTRFHIPDHVAQLYAGDDCAIAEVCQEKPSFALLKNRIALQAKP -AYAPQTRGAWAEFCGYLITPKGLIKDPLKLHSSLELAKALRKQGRKDAITNVVANYSLDAKMAYSLGDDL -QDLLSPEQAHLHQVTVRDLTKFGGSPFLNSD - ->NP_620836.1 replicase [Plantago asiatica mosaic virus] -MSNVRNVFSQLTDPSLKAVIQDEAYRVLKRELAQTRHTNPFAQSPEAADALEALGINSHPYAITSHTHAA -AKAIESDLYEVVSHYLPKENPVSFLFMKPAKLRFFHRGPTHGDHFLNAHVEPKDVPRYPQETIINRLADI -PTQIAFMGDTLHFLSPSFLTALFAHSPRLQTLYATLVLPPEPSTSRSLYPQIYTLTYSEDGFMYIPGGHA -GASYFHKYDQLEWLTVGHLTAPGCPTVTAQRLETKGANHLFIFQRGNYITPERRTFATNDQYVTVPHIFL -PENYNCRTPISKTTMMQMFFYIKSGKEAQREGHLGQVQQLISTKELQHYQPSEITLLVNYFEFTATLDSH -TCFEDVPPKLAPKTTPAPPLGISRILQFFRGRPSFVKLIKALDWQPITLEFPVRDVRTTVRGPPGFKNPF -TQPQPVNPEDADISSRFQDFSRATNSTPKPTVEAVLEASAESPDHHTQLKVLCSALSEGQLEAIHALGHS -RCGGPLLPELTAKSTPVEQCPDLPPCPTKSQASPASSNPSGPDAQPTAQNPETLPWVAWLPKLNALGFEA -LEVQHDPSTGEMIMPITDTQELPRATLPAHWQGHNLERILSTLRSLNRFPTPWSPDRTRARAFTSDVKNS -RTGKALHRESQTWKETQTMLTENTQTELAISVIHGAGGSGKSQALQTLLRQDHSLPIEVVLPTNELRLDW -LKKLPHNPPEQFRTFERAFVSSHSPVVIFDDYGKLPQGYLEAFALTHANLELVILTGDPRQSTHHESNEE -ALISKLPPATTIYSAFSRYYINATLRNSKFLANKLGVYSANSCPLNVTYGFQPLPGLHLLVPSLMKKAAF -TDAGHKVSSYAGCQGITAPKVQILLDNDTTMCTKEVLYTALSRAVDSIHFVNTNAQSSAFWEKLEATPYL -KTFLSLVREDKITEFQAPADAPKPVTPPVTHFPVEEPATTLDDFKEELRDKFDREIFTSDRGHTNCVQTD -DPTTQLFSHQQAKDEALLWEMIRARLKISTPEANIEEFRAKKDLGDILWVNYHRAMGLPKEQPDFSEDLW -NRCAEEVQNTYLKKTMAQLKGGERRQSPDFHEHQILIFLKSQWVKKMEKLGAKEIKPGQTIASFQQHAVM -LYGTMARYMRRFRDALSPNNIMINCEKTPQDLSRWVLNYWDFSKPSYANDFTAFDQSQDGAMLQFEILKA -KYFNLPEWVIEGYLDIKLSPKIFLGTLSIMRLTGEGPTFDANTECNIAYTHTRFDIPEGTAQIYAGDDCA -IAQVCPEKPSFALLKNRIALQAKPAYTPQERGQWAEFCGYLITPKGLIKDPLKLHASLELAKAQKKAGVR -DAITNVVANYSLDAKLAYSLGDDLQDLLSPHQAHLHQVTVRDLVKFGGSPFLNSD - ->NP_148778.1 RNA-dependent RNA polymerase [Cactus virus X] -MARVREVFSSITDSSLKAVIQEEAYKTIQTQLQLAATINPYSQPTDAADVLENLGIITNPLAIEAHTHGA -AKAIENDMYNIVANYLPKGNPVTFYYMKKGKLGKFRRGPHQNDRFVNSHFEPKDIARYPEETVVEHLEST -PCTTKLDFMGDTLHFWTPKQLLTLFNFSPKLTTLYATIVLPIEATHRLPSLHPAIYTLKYFGDFFMYIPG -GHAGASYTHHQKQLAWLFAGQVSGLGIKLTIQILESKGANHLLIIQRGDLLTPPLRTFGSDSPYVQIPPI -FLPKDHNMSQPIPTVFAMKMFMYTKSLKEVTPRDLYAKMRQLLPDKELAKFSPSHIVHMMNYFFLLGKLD -SVNHFENLLSGSIVRRTFKPLIVWWQHFKEKIKGPADFTKICKAIQWTNLDLTYKVQSFTLSTWENFFSK -QIMPEGKNDEDFSDFWDPNSYNPLDSVDDLNAEQLKAWDFLQQRNTGAYPEPKFHDDPLENKSNKEKCTA -EQRNFTEGPQTSQASCSTPHNDSQAPNEEKPETQPHITPPKVFTTPHGVVVRPFTADRDCEETWEHFIMG -HLRLCDKVGQRQVTLYARNGKIKTYSYGNVTHKAQPWPAELTAIAKALDVPEEHDHCLFQVFQQGAAINF -HSDDEPLIQPGSPITTLSIGHCELLTRDKSNKKIHKQLLSGPIIYTMPSGFQETHQHSVRSLQKNRLSIT -FRTSVHCNQEDTLPWHKWLPILSAAGFKGNQRQVNPNGGSLILPISDIKKLPTIETGDPGLLEKIKKIHR -SPTPFTYDPLRAKAFGSDVKNLRVGALLRHQSKEWLESFSRRTEKDSRSLALTVIHGAGGSGKSQALQDF -LRANPDNRITVVLPTNELRLDWVRKLPQAHPSSLKTFEKSLLTPASSTTIMDDYSKLPAGFIEAYIANNP -GLECLILTGDSKQSHHHEPNDGAMTSKLSPFTETASLHCRYYLNATHRNRQDLANMLGVYSEVEGRTNIT -MDTTILPGRHLLVPSMFKKQAYGELGHKVSTYAGCQGITANEIQILIDSDTPMCSQQVMYTALSRAVHAI -HFVNTGINNDAFWNKLAATPYLAAFLRLVREEKTKEHQPTEEGPSAPPAPETHFPVENESTFFDKVTDSM -PEEHEKELFHTSDGFSNCVQTEDPIIQMFPHQQAKDETLFWATIEARLKITSPEKDFTEFISKVHIGDIL -FQNYKLAMGLPKEPIAFDERLWNCCADEVQKTYLSKPLHMLQNGQARQSPDFDPKMISLFLKSQWVKKIE -KLGQPRIKAGQTIASFQQEAVMLYGTMARYMRRVREVFQPKNIMINCERTPEELTSWAAEHWNFNRNSYA -NDYTAFDQSQDGAMLQFEILKARHHSIPEVYIEGYLDLKCSSMTFLGILKIMRLTGEGPTFDANTECNIA -FAHTKLKIPIGTAQLYAGDDCALATVPEDKPSFKMIETEVSLKAKPVIKRQVQGEWAEFCGMLVTPLGVI -KDPIKTWAALKLAEKKRRHERHEGQLLKEMLGLVYQHKDPLHSIFSESNPWPTKLTVRKIIKAGGGRVFS -TFD - ->NP_077079.1 replicase [Clover yellow mosaic virus] -MARVRASLSQFTDPSQKILIQSDQYENVKKTLGTYKLTNPYAHSESTADLLEDLGIATNPFAAEPHTHGA -AKAIENDLYYIASTRMTKEEPVTFMFMKRAKLQYFRRGPQQNDTFINQIVEPKDVARYDEDTLHSTIPTI -ETKTVFIGDTLHFLPPSFLTKLFARNPKIQTVLATMVLPTEALYGLTSLYPNVYSLSYHKPSKFRRKALF -SYAPGGHKGAEYVHELKQIDWLRFGHIIDGKVCITAQKLETKAANHLFIYKRGKYFTPEIRNFNTQTKYV -TLPKIFLPAQFNHRVPIKKTLVMQLFMYVKTVSAAKERDIWAKIRQLIKTEDLQEYSPTEIVHLVNYFLF -IAKTSAVTSFDSMLDGSIIKKIFRPVLAWFKSGYQRIFGLSEFNQLLEALSWDEIDLTLDVLPVKTHDWR -RMGVADSNDPFTYPIEEEKKNPKWIHDFVSHFFGVNKAAQEDSPPEDNNELHYQHYLKLLEAQTLTAEEE -AALQVLNRVIEEGESQEEDDKPGTDVPEQGNPQNLAQTQHPPAIQKNTISPEEMSPTQLLSISGLCSMSM -DYAALEREQAAWKAAEEEIQRQQKPQLAVPPPPQTIIKAQELAAQIKQTELIPTKEPIHELTQPALTEDL -ELDLEALQHITEEVSSSSVNRKTTEVEGLQQLSSNCPTGRLEEPVTIQTVLTDILKGRKAAFHSRGGEPY -SYTGFTHQAQPWNQTLDQIIQSAGFQPTDFDHCLIQRYQNGYHLRPHSDNEPCYPEANPILTINTEGQAE -FIISRGEVKTSYRLGPNSWLLMPSGLQETHKHEVIAMSEGRTSLTFRSTKPLTLPKRIIEPDTKQETPEL -PWKLWLGVLNSLNFKGNQRILDGNGQLIFPIKDNKSLPKYEGRLPEPHLLEQLKEASRYPCPYRIDHKQG -RAFASDVKNNRTGSLTTKQPDEWKEAFTRMAESPEQRTVALTVIHGAGGSGKSQLLQRYLMENPECKINT -ILPTNELRNDWLTKLPKMPLTAIQTFERALISPQKPVVIFDDYSKLPAGYIDAFLSLHKNVEWAILTGDP -RQSTFHESNEQAMINHLSKSTEHFSQFSRYYINATHRNKQDLARFLGVYSEKTGLTSISMSSRPEPDWHL -LVPSLIKKRSYTEMGYKTSTYAGCQGITADRVQVLLDTDTTLCSDQVLYTALSRAVHAVHFINTSATSSA -FWDKLNSTPYLKTFLSTLREDRLREEGPKPETPEEPKPATTHFPVENENLSLEDKVEELLEKHERELYSS -DHGYSNCVQTDDPVVQLFSHQQAKDQTLLWATIEARLKISNPKANMEEFRMKKNVGDVLWLNYKRAMKLP -DKPIEFSHELWHACAEEVQKTYLSKPLNMIKNGENRQSPDFDKHTISLFLKSQWVKKLEKLGAPKIKPGQ -TIASFQQATVMLYGTMARYMRRIRQAFQPPNIQLNCEQTPQQLTTFIKDQWSFDQPAYANDFTQFDQSQD -GAMLQFEVLKAKHHNIPEAIIQGYIDIKTNAKVFTGVLAIMRLTGEGPTFDANTECNIAFNHTRFHISDD -TAQLYAGDDTAFSKIPIEKVSFQGVAKQLALTSKPLFYKQKKGEWAEFCGYSITPLGLIKEPKKLQANLT -LAIKQNKIKDTVNSYSQDVALAYQHKDELYEIFDENQMRDHQTTVRTLVKFGGNEILANY - ->NP_044330.1 replicase [Papaya mosaic virus] -MANLRSVFEQLNDVSLRAVIQEEAYRDIKLTIKETKTYNPYAHPVAVADSLEKLGIETNPFAVKAHTHAA -AKTIELDMYKIVSFYLPKENPTTFMFMKRSKLQYFRRGPQQKDVFLNAHIEPKDVARYDVDTLFDKNVTP -QITTNTAFMGDTLHFLPLTAIERIFKSSPKLQTLYATMVLPPEALHRLHSLHPGIYELEFHQEHFIYKPG -GHAGAAYIHKYEQLEWIKVGRFKWADEKGLTHMVTSQILETKGANHLFIFQRGRFLTPELRCFSTETKYV -TMPPIFLPKQFNARLPIKKTTAQQLFLYVKSVKNVTERDIWAKMRQLLKTSELQDYNPREVTLLVNYFLL -IARLRSETCFDNVLSGGMFKKLFKPFIAWWEIQKHKIFGNEEFEQLMEALEWVDVTLTYPTKTFDNRGWV -VKLEARRGYEWFADEMHKPKGPELNLEEKKTDPDAASYEKYLKALSLLQKEPEVMEAKEAEATDEPQRPE -VKEEQAEASTSGRAEEIQEDPATKKGKEEPNPNRDLLCPCGLHLKIKNAEFPELPVLDHPDHLTGRKAWF -FSKDGKPYSYTGGSHASRGWPNWLEKILAAIEIKEPLPEFNQCLVQQFKLQAAIPFHRDDEPCYPKGHQV -LTINHSGECLTQIACQKGKASITMGFGDYYLSPVGFQESHKHAVSNTTGGRVSLTFRCTVQQNKFNDDGS -MEALDNLPWKAWIPKLQNLGFQGRQLQYDPNGALISPIEEIRSMPKCKPEGVPEVVYKTLDGLARAPTPY -SPNPIRARAYTSDVKNCRIGALLRQQGKEWGCRFDALVEAGKRELAISVIHGAGGSGKSQALQTLIKDNP -ELDITVVLPTNELRLDWLRKLPKAPQEKFKTFEKALLAPPTPIVIFDDYGKLPAGYVEAFCLYFSTVQLI -ILTGDCKQSVHHESNENATTSSIEPLVKEASELCRYYINATHRNKKDLANKLGVYSEKTGLTEVTHGTTP -IPGLHMLVPSLYKKQAFSEMGHKVSTYAGCQGITAPKIQILLTEETSLCSREVLYTALSRAVHSIHFVNA -SPNNQAFWKKLECTPYLKAFLSTLREEVAQPIEEKKAEPTPVEPPRTHIAKEDAMVEYENVIEKMPEKHE -REIFSEKHGHSNCVQTEDPFIQMFSHQQAKDDTLLWATIEARLVISNPKANWQEYLEKRPVGEVLFESYK -RAMHLPKMPIPFEEDLWNSSMHEVQKTYLSKPENMIKNGMARQSPDYDPNVISLFLKSQWVKKMEKLGAI -KIKPGQTIASFHQATVMLFGTMARYMRRMREVFQPAHIRINCEMTPEDLSSWAAGEGGHWKFKGPSLAND -FTAFDQSQDGAMLQFEILKARHHSIPEDILDAYLTIKTNSKIFLGTLAIMRLTGEGPTFDANTECNIAFT -HTKFNIPEGTAQLYAGDDSAIDGLPALRPSFKMIEQKLTLRSKPQVALQQKGDWAEFCGFRITPKGLIKD -PKKLHASWMLEKKKGNVKNVLRSYELDLALAYQHKDSLHELLSEEELKYHYETVRSIVKSGGGGVLNTYI -SKDESLY - ->NP_042695.1 RNA-dependent RNA polymerase [Cassava common mosaic virus] -MALVRNVFSQITDPSLKAVIQDDSYRTIKAELNQAKIINPYAQTGEAADLLETLGVITHPYAIATHTHAA -GKALELDLYHSVSHYLPRKSPVTFMFMKPAKLQYLRRGPQHRDHFVNSWVEPKDLARYPEQTVVDGIPPI -QTSIAFMGDTLHFLTPKFVGTLFARSPKLKTFYATMVLPPEALHKMNSLYPQIYTLQYKEKGFIYTPGGH -AGASYYHEYSQLGWLDVGLIEYFEENAPDGSEYLGTKWAVTAQRIETKGANHLFVFQRGRLQTPQMRSIA -TNTQYITVPAVFLPKKFNSRQPLKKTIAQQMFLYIKSVKQVTERDIYSKLRQLIKTSELQEYSPDELVLL -ANYFMLISELSSKASAEEVLAGSLFKKLFRPIKSKVTAFIHKLFGQPEFAQLLEALLWTNVDLILPVRYV -KILSCLGGWDNEMPFKFRTLPGESDLSNDELGPQLSHYDTSPKPAPSAIEASEEAAALLLKTRGLVGKRR -VARLRSEGDPEVAACLKIKGEPTTTQSPSSSGLSVLEKELDQIATQQTKLIVQEEEREDFIKTVLNKRRK -ACEDKPTLPLSPELEKRHEALKSKAESSEASKTEPRKDENYTEMVNKTLIENAKEAGLPWAVWMPKLNAL -GFEAKEKQISPITKSMIMPITDIKTGIPVHRETKAPQELLDLLKALSRMPTLWTPDTLRAKSFTSDIKNG -RTGKCLQQESQSWKEAQTLKVDHNIRPVPLSVIHGAGGSGKSAALQDLLRDHPELPIQVILPTNELRMDW -LSKLPRNRPENFRTFEKAYLQTNAPSIIMDDYGKLPQGYVEAFAVTHPNVELIVLTGDNKQSVHHETNEQ -AMIAQLEPSTQIFSQFSRYYINATHRNAKKLANMLGVYSDNNKELKITHGFQPIKDIHLLVPSLIKKAAF -SEAGHKTSTYAGCQGITARKLQILLDSNTPMCSQEVLYTALSRAVDSIHFINTAPTSSNFWEKLEATPYL -KTFLSMVREDKILEWRPKEPEPAKEEPPKTHFPVENGNTILEEFTEELAQKHEREIFNESHGHTNCVQTD -NKIIQTLSHQQAKDEALLWETIKARLVISHKQHNWTEFVKKKDIGDILWLNYKRAMHLPEDPIPFEELWE -LAAREIQHTYLSKSTQMLKNGERRQSPDFGEKDILIFLKSQWVKKMEKLGAPKIKPGQTIASFQQSAVML -YGTMARYMRRIRDIYMPEHIMINCERTPTDLSYWALNYWNFDKPSYANDFTAFDQSQDGAMLQFEILKAK -HHNIPEWVLEGYLDIKLDSRIFLGTLSIMRLTGEYQTFDANTECNIAYTHTRFDIPEGVAQDSAMDATCL -EKPSFKLIESELSLKLYAGDCKPCLKAQIPGEWAEFCGYLITPKGLIKDPLKLAASFRLAKERGKRELDN -CLENYARDMKLAYDLGDDLLELLTPEQAQLHQVTVRDMIKLGASDILNL - ->NP_042582.1 replicase [Bamboo mosaic virus] -MALVSKVFDSITDPSLRAVLQEEAHSQVKQVLKDTALYSRYALLPTAANTLERYAIPHNPFSTKLHTHAA -AKALENDLYRTASYLLPKERVSFLFMKPSKMQYFRRRGDVDTFINADIVAKDLARYPLETIYPRLPEITT -KMAFIGDSLHYFQPEVLEHIFTSSPQLETLLATIVLPPEATLRLKSLYPEIYTLHYMPQKFLYKPGGLSG -GEYEHDYKDLTWLKIGHVTTASMCLTVDRVESKAANHLLLIRRGRLKLSTYRSYDTPEPLVVVPKIFLPP -KYNAQKPITKTKANSWILYVKSAGEPKIRDVWAKLRQTIANSELNQYEPAELLLLTNYFYVLGKLDSITS -FETLLGDNILKRLFRPAIAKIQELRHWVSGPTAFMQLYKALQLVDVDFTFEVTKNWETKQENHSEPELPK -DAIDVLVQSLIGINYTDAATTEAANPKQQESGPKPYTNLPQPLQQTKCPEDTEVSASPECKAAETAQETH -KAGPSSNPALNTDQEAAPSEPTDSEERKCPTLRELPWKAWLKLLRGLGFQGDLEQLDVEGDLIYPIKHIR -HLPVEDFSGPITATLRKMNRMPCKYSPDIERAAAFARDVMSNKTGAILPKQSHEWKTTLKRKCKLAPRQT -LISVIHGAGGSGKSRALQEYMRNNKEDPIVTILPTNELRADWKAKLPAHDPDMFMTFENALLIPKGNVVI -MDDYTKLPRGYIEAYVQNSPMLDLLILTGDPRQSEHFESAEGNTINDLSPSPVVFSAYARYYINATHRNN -QDLANALGVYSEKQGKTRISVSNHIEDGRHTLVPSQIKQRNYVSMGRKTSTYAGCQGITASRVQVIIDND -TAKCSHQVLYTAFSRAADEIHFCNTMTDEKQFWAKVKCTPYLQAILNLTKEHSLPDPKPEPDEPLEPKAP -VTHIAVENVVPLVEEITEPLPEKHDREIYSESTGHSNCIQTENEFIQAFQHQQAKDETLFWATIEKRIVT -STPESNWTEFKTKRPLGDILWLAYKEAMCLPAEPIKFDPDLWWKCADEVQNKYLQKPLHMIKNGILRQSP -DFGERHIALFLKSQWVKKNEKIGTVNVKAGQTIAAFYQSTVMIFGTMARYMRRVREKFCPKNILINCEID -QPTASKWVDTHWKFDRLAYTNDFEAYDQSQDGAMLQFEVMKARHHDLPADLIESYIELKLNAKIFLGTLG -IMRLTGEGPTFDANTECNIAYTHARFHIPRGTAQLYAGDDCALNCEPEERESFKPLAAQFTLKSKPVTIR -QGKGSWPEFCGNIITPYGYLKHPRKTWAALHKAKLQGKDELKKTATAYALDVLPTYELGDAIHDIFTDNE -LTFHYQTIRTLHTEAHTRVFANHDAIFGEEGLFSS - ->NP_040988.1 replicase [Foxtail mosaic virus] -MSIEAVFDQVTDPSLRAVIQEEAHKQIKDLFKETTRCNPYSIPQAGRKVLEKYAIPYNPYSLKLHPHAAS -KAFEVSLYEAASNYLPSTSSTPVTFMFTKPGKLRFFRRRGHVDKFVNADIVPRDLARYPRDTVYSYLPEI -TTTHAFIGDTLHHFGEDFLVEVFSRSPKLEVLLATMVLPPEAFYRMESLHPSVYTLLYRDDRFLYLPGGL -SGGEYEHRYKDLNWLTFGTVTHGGITITGERIETKAANHLFLFRRGRLATPKFRSFDMPEPMVLLPKVFR -PAKYNVQKPIPREKANKWLMYVKSIGNATIRDVWAKLRQTIANADIGLFSPTELVHLTNYFLLLGRLDSH -NSFDQVLADSVLKAWFRPMVAKLQEIKHKLMGQTQFMQLCQALEMTEVDLVFEVRDSKTPHKQAVPLDRE -IENVLLEGVSSEPTYTETEGVADGPLPPPMQTAAEPSATSDEPESSSSREIEHQPAPEITLDEEEPQRDD -LPWDAWRTQLRALGFEASERQYDPDGELISPILSTRRLPKTPIDTTLYATLDKIARCPTFYKPDTDRAQT -YARDVMAGKTGAILKQQPFEWKTTLKRKTKEEPKEIHLAVLHGAGGSGKSYALQEFMRNNSDTPITVILP -TNELRADWKKKLPAHDKDTFMTYENALLCPRGDIFIMDDYTKLPRGYIEAFVQNAPALSLLILTGDPNQA -EHFETTEDNEINSLAPASVVFGKFSRYHINATHRNPRNLANALGVYSETPGEVKVLYTRNIKTGYHNLVP -SQMKMRNYASLGQRASTYAGCQGITAPRVQIILDSDTPRCTRQVMYTALSRATTEVVLCNTMPDEKSFFQ -KVEATPYLKAILNLNKEIKVTEGDLTEEPPREPAPPTTHLPVENRIILNEALVEPLPDKHDREIYSNSTG -FSNCIQTQDPYIQAFQHQQAKDETLFWATVEKRLAASTPKDNWTEFKTKRPLGDVLWLAYKRAMVLPDEP -IKFNPELWWACADEVQKTYLSKPIHALKNGILRQSPDFDWNKLQIFLKSQWVKKIDKIGKIDVNAGQTIA -AFYQPTVMLFGTMARYMRRIRDTYQPGEILINCEKNQKHISKWVESNWNHRLPAYTNDFTAYDQSQDGAM -LQFEVLKALHHDIPHEVVEAYVALKLNSKMFLGTLAIMRLTGEGPTFDANTECNIAYTHARFEIPKNVAQ -MYAGDDCALNCRPVERQSFLPLVEKFTLKSKPKVFEQKVGSWPEFCGNLITPRGYLKDPMKLQHCLQLAQ -RKKPSEPGSLKDVAENYAMDLLPTYELGDALYEIFDERQMNAHYQSVRTLITCAHTKVLRVAQALQEDCT -FFSSI - ->sp|Q07630.1|RDRP_PVXHB RecName: Full=RNA replication protein; AltName: Full=165 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -MAKVREVYQSFTDSTTKTLIQDEAYRNIRPIMEKHKLSNPYAQTVEAANDLEGFGIATNPYSIELHTHAA -AKTIENKLLEVLGSLLPQEPVTFMFLKPRKLNFMRRNPRIKDIFHNVAIEPRDVARYPKETIIHKLAEIK -TDTAYISDTLHFLDPSYIVETFQNCPKLQTLYATLVLPVEAAFKMESTHPNIYSLKYFGDGFQYIPGNHG -GGAYHHEFSHLQSVKVGKIKWRDPKDGLLGHLNYTHEQVDTHTVTVQLQESFAANHLYCIRRGNMMTPEV -RTFGQPDRYVLPPQIFLPKVHNCKKPILKKTMMQLFLYVRTVKVAKNCDIFAKIRQLIKSSDLDKFSAVE -LVYLVSYMEFLAALQATTCFSDTLSGGLLTKTLAPVRAWIQEKKMQLCGLEDYAKLVKAVDWRPVDFSFK -VETWDFRFNPLGMWKAFQPSELSDVEEMNNFFDDGDLLDCFTRMPAYAVNAEEDLAGMRGDNQGETSTAP -REPEGDKKEYVNPAETFLDKLTRKHNRETKSRAAKKAKRLAEIQDSINRDQTEEESQGAPNMGEAPSNAE -LPGTNGAGAGTTFPTLKALPQKWEDASFTDSSMTDQMEIMPGKEAVEVATQKVVDELPWKHWLPQLNAVG -FKALEIQRDRNGTMIMPITEMVFELDKEEFPEGTPEALARELKAMNRSPTTIPLDLLRARDYGSDVKNKR -IGAITKTQAASWGEYLTGKIESLPERKVAACVIHGAGGSGKSHAIQKALREIGKGSDITVVLPTNELRLD -WSKKVPNTEPYMFKTYEKALIGGTGSIVIFDDYSKLPPGYIEALVSFSTKIKLIILTGDSRQSVYHETSD -DASIRHLGPATEVFAKYCRYYLNATHRNKKDLANMLGVYSERTGTTEISMSSEFLEGVPTLVPSDEKRKL -YMGTGRNDTFTYAGCQGLTKPKVQIVLDHNTQVCSANVMYTALSRATDRIHFINTSANSSAFWEKLDSTP -YLKTFLSVVREQALREYEPVEAEPIREPEPQTHMCVENEESVLEEYKEELLEKFDREIHSEAHGHSNCVQ -TEDTTVQLFSHQQAKDETLLWATIDARLKTSNQESNFREFLSKRDIGDVLFLNYQRAMGLPKEPIPFSQE -VWEACAHEVQSKYLSKSKCNLINGTVRQSPDFDENKIMVFLKSQWVTKVEKLGLPKIKPGQTIAAFYQQT -VMLFGTMARYMRWFRQAFQPKEVFINCETTPEDMSAWALSNWNFTRPSLANDYTAFDQSQDGAMLQFEVL -KAKHHCIPEEIIQAYIDIKTNAQIFLGTLSIMRLTGEGPTFDANTECNIAFTHTKFDIPAGTAQVYAGDD -SALDCVPEIKQSFHRLEDKLLLKSKPVITQQKKGSWPEFCGWLITPKGVMKDPIKLHVSLKLAEAKGELK -KCQDSYEIDLSYAYDHKDSLHDLFDEKQCQAHTLTCRTLIKSGRGTVSLPRLRNFL - ->sp|P22591.1|RDRP_PVXCP RecName: Full=RNA replication protein; AltName: Full=165 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -MAKVREVYQSFTDSTTKTLIQDEAYRNIRPIMEKHKLSNPYAQTIEAANDLEGFGIATNPYSIELHTHAA -AKTIENKLLEVLGSLLPQEPVTFMFLKPRKLNFMRRNPRIKDIFHNVAIEPRDVARYPKETIIHKLAEIE -TDTAYISDTLHFLDPSYIVETFQNCPKLQTLYATLVLPSEAAFKMESTHPNIYSLKYFGDGFQYIPGNHG -GGAYHHEFTDLQWLKVGKIKWRDPKDGLLGHLNYTHEQVDTHTVTVQLQESFAANHLYCIRRGNMMTPEV -RTFGQPDRYVLPPQIFLPKVHNCKKPILKKTMMQLFLYVRTVKVAKNCDIFAKVRQLIKSSDRDKFSAVE -LVYLVSYMEFLAALQATTCFSDTLSGGLLTKTLAPVRAWIQEKKMQLCGLEDYVKLVKAVDWRPVDFSFK -VETWDFRFTQLGMWKAFQPSELSDVEEMNNFFDDGDLLDCFTRMPAYAVNAEEDLAGMRGNNQEETSTAP -REPEGEKKEYINPAETFLDKLTRKHNRETRSRAAKKAKRLAEIQDSMNRDRTEEGSHKTPNMGEAPSNAD -LPGVNEVEAGTTFPTLKALPQKWEDASSTDSSTIDPTEIIPGEEDDKAATQKVVVGLPWKHWLPQLNAVG -FKALEIQRDRNGTMIMPITEMVFGLDKEEFPEGTPEALARELKAMNRSPTTIPLDLLRARDYGSDVKNKR -IGAITKTQAASWGEYLTGKIESLPERKVAACVIHGAGGSGKSHAIQKALREIGKGSDITVVLPTNELRLD -WSKKVPNTEPYMFKTYEKALIGGTGSIVIFDDYSKLPPGYIEALVSFSTKIKLIILTGDSRQSVYHETSD -DASIRHLGPATEVFAKYCRYYLNATHRNKKDLANMLGVYSERTGTTEISMSSEFLEGVPTLVPSDEKRRL -YMGTGRNDTFTYAGCQGLTKPKVQIVLDHNTQVCSANVMYSALSRATDRIHFINTSANSSAFWEKLDSTP -YLKTFLSVVREHALKEYEPAEAEPIKEPEPQTHMCVENEESVLEEYKEELLEKFDREIHSDAHGHSNCVQ -TEDTTIQLFSHQEAKDETLLWATIDARLKTSNQESNFREFLSKRDIGDVLFLNYQKAMGLPKEPIPFSQE -VWEACAHEVQSKYLSKSKCNLINGTVRQSPDFDENKIMVFLKSQWVTKVEKLGLPKIKPGQTIAAFYQQT -VMLFGTMARYMRWFRQAFQPKEVFINCETTPEDMSAWALSNWNFTRPSLANDYTAFDQSQDGAMLQFEVL -KAKHHCIPEEIIQAYIDIKTNAQIFLGTLSIMRLTGEGPTFDANTECNIAFTHTKFDIPAGTAQVYAGDD -SALDCVPEVKQSFHRLEDKLLLKSKPVITQQKKGSWPEFCGWLITPKGVMKDPIKLHVSLKLAEAKGELR -KCQDSYEIDLSYAYDHKDSLHDLFDEKQCQAHTLTCRTLIKSGRGTVSLPRLKNFL - ->sp|P09395.1|RDRP_PVX RecName: Full=RNA replication protein; AltName: Full=165 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -MAKVREVYQSFTDSTTKTLIQDEAYRNIRPIMEKHKLANPYAQTVEAANDLEGFGIATNPYSIELHTHAA -AKTIENKLLEVLGSILPQEPVTFMFLKPRKLNYMRRNPRIKDIFHNVAIEPRDVARYPKETIIDKLTEIT -TDTAYIGDTLHFLDPSYIVETFQNCPKLQTLYATLVLPVEAAFKMESTHPNIYSLKYFGDGFQYIPGNHG -GGAYHHEFSHLQWLKVGKIKWRDPKDSFLGHLNYTTEQVEMHTVTVQLQESFAANHLYCIRRGDLLTPEV -RTFGQPDRYVIPPQIFLPKVHNCKKPILKKTMMQLFLYVRTVKVAKNCDIFAKVRQLIKSSDLDKYSAVE -LVYLVSYMEFLADLQATTCFSDTLSGGLLTKTLAPVRAWIQEKKMQLFGLEDYAKLVKAVDFHPVDFSFK -VETWDFRFHPLQAWKAFRPREVSDVEEMENLFSDGDLLDCFTRMPAYAVNAEEDLAAIRKTPEMDAGQEV -KEPAGDRNQYSNPAETFLSKLHRKHSREVKHQAAKKAKRLAEIQESMRAEGEAESNEMSGGMGAIPSNAE -LPSTSGARQELTLPTTKPVPARWEDASFTDSSVEEEQVKLPGKEAVETATQQVIEGLPWKHWIPQLNAVG -FKALEIQRDRSGTMIMPITEMVSGLEKEDFPEGTPKELARELLAMNRSPATIPLDLLRARDYGSDVKNKR -IGAITKTQAASWGEYLTGKIESLTERKVAACVIHGAGGSGKSHAIQKALREIGKGSDITVVLPTNELRLD -WSKKVPNTEPYMFKTYEKALIGGTGSIVIFDDYSKLPPGYIEALICFYSKIKLVILTGDSRQSVYHETAE -DASIRHLGPATEYFSKYCRYYLNATHRNKKDLANMLGVYSERTGVTEISMSAEFLEGIPTLVPSDEKRRL -YMGTGRNDTFTYAGCQGLTKPKVQIVLDHNTQVCSANVMYTALSRATDRIHFVNTSANSSAFWEKLDSTP -YLKTFLSVVREQALKEYEPAEAEPIREPEPQTHMCVENEESVLEEYKEELLEKFDREIHSESHGHSNCVQ -TEDTTIQLFSHQQAKDETLLWATIDARLKTSNQETNFREFLSKKDIGDVLFLNYQKAMGLPKERIPFSQE -VWEACAHEVQSKYLSKSKCNLINGTVRQSPDFDENKIMVFLKSQWVTKVEKLGLPKIKPGQTIAAFYQQT -VMLFGTMARYMRWFRQAFQPKEVFINCETTPEDMSAWALNNWNFSRPSLANDYTAFDQSQDGAMLQFEVL -KAKHHCIPEEIIQAYIDIKTNAHIFLGTLSIMRLTGEGPTFDANTECNIAYTHTKFDIPAGTAQVYAGDD -SALDCVPEVKHSFHRLEDKLLLKSKPVITQQKKGSWPEFCGWLITPKGVMKDPIKLHVSLKLAEAKGELK -KCQDSYEIDLSYAYDHKDSLHDLFDEKQCQAHTLTCRTLIKSGRGTVSLPRLRNFL - ->ACS28233.1 replicase [Alternanthera mosaic virus] -MAHFRSVLDQMNDPSLRAVIQEEAYREIKKTIAETKQYNPYAQDPAAADSLEKLGIESNPFSVRAHTHAA -AKSIELDMYKITGYSLPKENPITFLFMKRSKLQYFHRGPQHGDLFLNAWIEPKDVTRYNLEDLFKPSITP -EIQTRVAFIGDTLHFLPLGAIREIFTSSQKLQTLYATMVLPPEAMHRMHSIHPSIYELEFHERNFIYKPG -GHAGASYCHEYSQLQWLKVGKFEWCDKRYQKHYVTSQILETKGANHLFVFQRGNFATPTYRTFGVETKFV -TLPPIFLPKKYNARYPIKKTVAQQLFLYIKSVKTVTERDIWAKVRQIIKTAELQSYSAKELALIVNYYLL -ISKLDSVTCFDNVLTGGALKKLFKPIVAWWSTFKGALFGKEEFEQLMEALEWVDVSLSYKVETYSQANPN -NQPKVMFGYEWLSTETGAPGTEEDVAPENPQEDEDPHEKYIQALQTLTKALDPENAPPQTEPAQSSSIND -PQQEEHPRSPHDLHTDESPSCSGSLNSYACHCPCGIELKIFSAEFPPIPPLSHGDRLKNREAFFFSRDGT -PYSYTGGSHVSRGWPAFLDQILATAELVRPIPHFNQCLIQKYQRGASIPFHSDDEPCYDVDHQVLTINLT -GEAEFKTSCKAGSGSCTLAENQFHLSPPGFQKTHKHSVVSLSAGRVSLTFRSTVKQGVTSEEGDYVEPDN -LPWKAWLEKLRNLGFRGTQLQYDPNGALISPIESIKSLPKCSPEKVNPSLLKMLNDQARAPTPFSPSPIR -AKAYSSDVKNSRIGALLRQQGKDWGHRFDSLVENGQRQLAISVIHGAGGSGKSRALQMYLKDNPDADVTI -VLPTNELRLDWLKKLPTFPADQIKTFEKALLAPIKPTVIFDDYGKLPAGYIEAFSCYMSSVELLVLTGDS -KQSVHHESNENAMSSLIEPFTLEADKYSRYYINATHRNKRDLANKLGVYSEVTGITSITQGNHPVPGLHL -LVPSLYKKEAFSEMGHKVSTYAGCQGLTAPRVQILLSEETSMCSREVIYTALSRAVHSIHFVNCGPNNQA -FWAKLESTPYLKAFLSTLREDAAPVVKPKEEAPAPVDPPKTHIPVDSAMPIYEDLLDQMPEKHEREIFSE -RHGHSNCVQTEDTFVQMFSHQQAKDETLLWATIEARLVISNPKANWQEFMEKRPIGDVLFGFYREAMGLP -TEPIAFEPQLWESCIHEVQRTYLAKPINMLKNGQARQSPDYDPNMISLFLKSQWVKKMEKLGALKIKPGQ -TIASFHQATVMLFGTMARYMRRMREIFQPKNIAINCEMTPEDLTDWAVGSAGQWKFAGPSLANDFTAFDQ -SQDGAMLQFEVLKAKHHSIPEDVLDAYLHIKTNSKIFLGTLTIMRLTGEGPTFDANTECNIAFTHAKFQI -PKGTAQLYAGDDSAIDGNPPVRESFRLVEQKLKLRSKPAIAMQEKGDWAEFCGYRITPKGFIKDPKKLHA -SLVLEKKRGNLKNVLRSYELDLALAYQHRDELHELLSEEELRLHYDTVRTLVKSGGGEVLKTFLPKDESL -Y ->BAJ12046.1 RNA dependent RNA polymerase [Potato virus X] -MAKVREVYQSFTDSTTKTLIQDEAYRNIRPIMEKHKLANPHAQTVEAANDLEGFGIATNPYSIELHTHAA -AKTIENKLLEVLGSILPQEPVTFMFLKPRKLNYMRRNPRIKAIFHNVAIEPRDVARYPKETIIDKLTEIT -TDTAYISNTLHFLDPSYIVETFQNCPKLQTLYATLVLPVEAAFKMESTHPNIYSLKYFGDGFQYIPGNHG -GGAYHHEFAHLQWLKVGKIKWRDPKDSFLGHLNYTTEQVEMHTVTVQLQESFAANHLYCIRRGDLLTPEV -RTFGQPDRYVIPPQIFLPKVHNCKKPILKKTMMQLFLYVRTVKVAKNCDIFAKVRQLIKSSDLDKYSAVE -LVYLVSYMEFLADLQATTCFSDTLSGGLLTKTLAPVRAWIQEKKMQLFGLEDYAKLVKAVDFHPVDFSFK -VETWDFRFHPLQAWKAFRPREVSDVEEMESLFSDGDLLDCFTRMPAYAVNAEEDLAAIRKAPEMDVGQEV -KEPAGDRNQYSNPAETFLNKLHRKHSREVKHQAVKKAKRLAEIQESMRAEGEAESNEMSGGMGAIPSNAE -LPSTSDARQELTLPTTIPVPARWEDASFTDSSVEEEQVKLPGKEAVETATQQVIEGLPWKHWIPQLNAVG -FKALEIQRDRSGTMIMPITEMVSGLEKEDFPEGTPKELARELLAMNRSPATIPLDLLRARDYGSDVKNKR -IGAITKTQAASWGEYLTGKIESLTERKVATCVIHGAGGSGKSHAIQKALREIGKGSDITVVLPTNELRLD -WSKKVPNTEPYMFKTYEKALIGGTGSIVIFDDYSKLPPGYIEALICFYSKIKLVILTGDSRQSVYHETAE -DASIRHLGPATEYFSKYCRYYLNATHRNKKDLANMLGVYSERTGVTEISMSAEFLEGIPTLVPSDEKRRL -YMGTGRNDTFTYAGCQGLTKPKVQIVLDHNTQVCSANVMYTALSRATDRIHFVNTSANSSAFWEKLDSTP -YLKTFLSVVREQALREYEPAEAEPIREPEPQTHMCVENEESVLEEYKEELLEKFDREIHSESHGHSNCVQ -TEDTTIQLFSHQQAKDETLLWATIDARLKTSNQETNFREFLSKKDIGDVLFLNYQKAMGLPKERIPFSQE -VWEACAHEVQSKYLSKSKCNLINGTVRQSPDFDENKIMVFLKSQWVTKVEKLGLPKIKPGQTIAAFYQQT -VMLFGTMARYMRWFRQAFQPKEVFINCETTPEDMSAWALNNWNFSRPSLANDYTAFDQSQDGAMLQFEVL -KAKHHCIPEEIIQAYIDIKTNAQIFLGTLSIMRLTGEGPTFDANTECNIAYTHTKFDIPAGTAQVYAGDD -SALDCVPEVKHSFHRLEDKLLLKSKPVITQQKKGSWPEFCGWLITPKGVMKDPIKLHVSLKLAEAKGELK -KCQDSYEIDLSYAYDHKDSLHDLFNEKQCQAHTLTCRTLIKSGRGTVSLPRLRNFL ->BAU45640.1 RNA-dependent RNA polymerase [Hydrangea ringspot virus] -MSRVSQVLASISDPSLKAVIQEEAYKSIRTTLQAAKHLNPYAHPSETAEFLERQGIISDPYAVAAHTHAA -AKCLELDLYASVSHYMPKENPVTFLFMKRAKLQYFRRGTQQGDVFVNTLFEPKDAARYPLDTICSAIPPI -QTQMAFMGDTLHFLEPAFLTDLFDRSPHLNTLYATMVLPPEAKHKLRSLHPLVYTLQYLKDHFIYLPGGH -AGASYCHTYSQLQWLDVGHIVSPSGNCITAQRIETKAANHLFVFIRGRLNTPPMRSFAPMRAYCTLPAIF -LPTEFNSRTPYTKTFLQQMFLYLKSIKKPTETDLYAKFRQLTKTDDLQELDPKEITLVVNYFLLISRLDS -VTCFDDILSGSALRRLLKPLICWMEKMRQTIFGREEFVRLMKALEWTDITFTEQVMAYHTGSTWERFLGG -SFKALPLGNELGPGLPEELRAEVARYYGNALLTGLDETDPKAEAFALLCNNPHGTTLFAPPTTAPEEDIT -HRVLALKVPRGSPPPGFEGPSSTAQPEHEKEVAKPATPLEQEKQPEGQKMITRPVSALEDALPWNKWTPI -LRGLGFQAREIQRSPHDNSVIMPITNIVSGLPISQIQGHDLVMRVLEKLSRKPTPWTPCPLRARSYTSDI -KNHRTGALLLKESATWKETQTLKAERLEKTVGLSVIHGCGGSGKSFAFQELLRQHPDVDLQVVLPTNELR -LDWMAKAPKVRPEKIKTYERAFTGVSSPVVLFDDYGKLPAGYIEAYVAVQTRVELVILTGDARQSTHHEN -NEQAMISQLAPATEVFQPLCRYYINATHRNKRDLANKLEVYSEKEGETKITMGFQPIKDLHLLVPSLLKK -SAYGEMGHKVSTYAGCQGITAPKIQILLDNDTVMCSKEVLYTALSRAVHSIHFINTGPTHSSFWEKLEAT -PYLKAFLSTVREEAIAAHQLPSADPRPDPEPKTHFPVENTSCALGAYMEKVPEKFEREIFTEDRGHTNCV -QTQNPLVQLFPHQQAKDEALLWETIKARLTISSPEANWEEFYKKKDIGDILWLHYKKAMTLPEEPLPFSE -DLWRLSAAEVQNTYLSKPMNMLKNGERRQSPDFEKHQILLFLKSQWVKKMEKLGGPKIKAGQTIASFQQH -AVMLYGTMARYMRRFREALGPNHIKINCEATPKDLSRFIQNYWDFKPASYANDFTAFDQSQDGAMLQFEI -LKAKHFNIPEEIIQGYLDIKLNAKIFLGVLGIMRLTGEGPTFDANTECNIAYTHTRFDIPPGTAQLYAGD -DSAIACVPPERPSFKLIAKSLALEAKPVYAPQVAGAWAEFCGYLITPKGLIKDPAKLFASLKLLQATSGS -KKELVEAAGNYERDVGLAYALKDSLYEILSPEQAELHQETVRTLVKLTKGTYLATLE ->AFI57885.1 RdRp [Zygocactus virus X] -MARVREVFSSITDSSLKAVIQEEAYKAIQTQLRQAASINPYAQPADAADVLETLGIITNPLAVEAHTHGA -AKAIENDMYNIVANYLPKENPVTFYYMKKGKLGKFRRGPQQGDLFVNSHFEPKDIARYPEETIVEHLESK -PCTTSLAFMGDTLHFWSPKQLLTLFNASPKLKTLYATIVLPIEATHRLPSLHPSIYTLKYFGDFFMYIPG -GHAGAAYTHHQKQLAWLFAGRLNGFGITLTIQFLESKGANHLLIIQRGKLLTPPLRTFGSDTPYIQIPPI -FLPKDHNMTQPIPTVFAMKMFMYTKSLKEVTPRDLYAKMRQLLPDKELAKFSPAHIVHMMNYFFLLGKLD -SVNHFENLLSGSIIRRTFKPLIVWWQHFKEKIKGPEDFTKLCKAIQWKPIDLTYKVEEFTLSLWDNFFKK -QITPEGSDAEDFSDFWDPNSYNPLDKVDELDTEQLKAWDFLRAQQQPNPQPERFHDDPLEGKESVGASNA -TAGGDKGQAQAPQNNPPXPPAAQEESTPEASSSNQAWSSGPKALKTPHGVIIRPFSADHNCEQTWEHFIL -SNLRLIDKLKGRRATFYSRNAAIKTYSYGNITHQALQWPESLTAITQALDIPSEHDHCLFQVFDKQSSIP -FHADDEALIRPNSIITTVSIGHCELLTKEKCSGSVHKQILSGPVVYTMPEGFQGTHLHSIKSLQEGRLSI -TFRTSIHCDKSTDLPWANWIPILKSAGFQGTQSQVNPKDGSLIFPISDVKKLEKVSAGHPGLLKTLSSLH -RAPTPFTYDPLRAKAFGSDVKNLRIGALLRHQPKDWLEAFSRKTEKEPRSIALTVIHGAGGSGKSQVIQD -FLRNNPDEHIAVVLPTNELRLDWVRKLPNAHPSTLKTFEKSLLCPASSTTIMDDYSKLPAGFIEAYIANN -PGLEWLIITGDSKQSHHHEPNDGAMTSKIAPFTEIASGFCRYYLNATHRNRKDLANMLGVYSETEGRTVI -TMDSTILPGRHLLVPSMFKKQAYGELGHKVSTYAGCQGITANEIQILIDSDTPMCSPNVMYTALSRAVHA -IHFVNTGVNNDAFWNKLNATPYLAAFLRLVREERVSEHKPTEDPPSQSAGPDTHFPVENEAAFFDHITDA -MPEKHERELFSNRDGFSNCVQTNDPIVQMFPHQQAKDETLFWATIEARLKITSPEKNFAEFISKKHIGDV -LFENYKRAMCLPKEPIAFDEALWNVCADEVQRTYLSKPLHLLKNGEGRQSPDFDPKTISLFLKSQWVKKV -EKLGQPRIKAGQTIASFQQEAVMLYGTMARYMRRVREVFQPKEIMINCERTPEELSKWALEHWNFNRNSY -ANDYTAFDQSQDGSMLQFEILKARHHSIPEVYIEGYLDLKCSSKTFLGILKIMRLTGEGPTFDANTECNI -AFAHTKLKIPIGTAQLYAGDDCAFDYAPEEKPSFKTIETEVSLKAKPVIKRQIKGEWAEFCGMLVTPLGV -IKDPIKTWAALKLAEKKGEMKDVRDSYERDVSLAYQHKDALHTIFSEEQSMAHQLTVRKIIKAGGGRVFS -TFD ->AMN10083.1 RNA-dependent RNA polymerase [Plantago asiatica mosaic virus] -MSNVRNVFSQLTDPSLKAVIQDEAYRVLKRELAQTRHTNPFAQSPEAADALEALGINSHPYAITPHTHAA -AKAVESDLYEVVSHYLPKENPVSFLFMKPAKLRFFHRGPTHGDHFLNAHVEPKDVPRYPQETIINRLADI -PTQIAFMGDTLHFLSPSFLTALFAHSPRLQTLYATLVLPPEALYRLRSLYPQIYTLTYSEDGFMYIPGGH -AGASYFHRYDQLEWLTVGHLTAPGQPTITAQRLETKGANHLFIFQRGNYLTPARRTFATSDHYVTVPQIF -LPEDYNCRTPISKTTMMQMFFYIKSVKEAKERDIWAKFRQLISTKELQHYQPSEITLLVNYFEFTASLDS -HTCFQDVLSGNLLQRLLRPLRADFQRVLQFFQGRPSFVKLIKALDWQPITLEFPVRDVRTTVRGPPGFKN -PFTQPQPVNPEDADTSRGFQDFTRATTPKLQPTVEATLEATAESSDHHTQLKVLCDTLPEKQLEAIHALG -HLRCGGPLLPAPTQSCSPAEPCPDLPPSPVKSTASPASPDPNVLDAQPTTQAPETLPWVAWLPKLNALGF -EALEVQHDPSTGEMIMPITDTRDLPRATLPPHWQGHDLESILTTLRSLNRFPTPWSPDRTRARAFTSDVK -NSRTGKALHRESQAWKETQTMLTENTQADLAISVIHGAGGSGKSQALQTLLRQNPSLPIEVVLPTNELRL -DWLKKLPHNPPEQFRTFERAFVSSHSPVVIFDDYGKLPQGYLEAFALTHANLELAILTGDPRQSTHHESN -EEALISKLPPATTIYSTLSRYYINATHRNSKYLANKLGVYSANSCPLNVTYGFQPLPGMHLLVPSLVKKA -AFTDVGHKVSTYAGCQGITAPRVQILLDNDTTMCTKEVLYTALSRAVDSIHFVNSNAQSSAFWEKLEATP -YLKTFLSLVREDKITEFQAPEDAPKPVTPPVTHFPVEEPATTLDDFKEELHDKFDREIFTSDRGHTNCVQ -TDDPTIQLFSHQQAKDEALLWETIKARLTISTPEANIDEFRAKKDLGDILWANYHRAMGLPKEQPDFSED -LWTRCAEEVQNTYLKKSLAQLKGGERRQSPDFHEHQILIFLKSQWVKKMEKLGAKKIKPGQTIASFQQHA -VMLYGTMARYMRRFRDALGPNNIMINCEKTPQDLSRWVLNYWDFSKPSYANDFTAFDQSQDGAMLQFEIL -KAKYFNLPEWVIEGYLDIKLSPKIFMGTLSIMRLTGEGPTFDANTECNIAYTHTRFDIPEGTAQIYAGDD -CAIAQVCPEKPSFALLKNRIALQAKPAYTPQERGQWAEFCGYLITPKGLIKDPLKLHASLELAKAQRKAG -VKDAIANVVANYSLDAKLAYSLGDDLQDLLSPHQAHLHQVTVRDLVKFGGSPFLNSD diff --git a/seq/clusters_seq/cluster_144 b/seq/clusters_seq/cluster_144 deleted file mode 100644 index 5c53139..0000000 --- a/seq/clusters_seq/cluster_144 +++ /dev/null @@ -1,325 +0,0 @@ ->YP_010087186.1 envelope glycoprotein [Mengla dianlovirus] -MALAKKYLMRIFIISHYFLSYNYGFFLPIVKLDIQPENEIIKSPCNGVVKKTEDIHLMSLTLTGQKTASD -PMAASKRWAFKEGVLPKTVAFTEGEEAKDCFNISIVDLQGRSLLLEAPSGIKDYPRCKSIHHIQGQNPHA -KGNVLHLWGAFFLYDRIASTTMFKDKVFAEGNVAALVINKTVHHQIFKINKIPQNETIGSCNHTYWRSDA -GDGFNTTLAVTNLAYVLSANESTKIFKQMQDDLRKRIENMTSSNLTTLSPEAISNSSDANSSATIAYGTS -GASISATTRSATSTLTITTDGQMSPPPTPAPPSPSLKPSTDDIKTPTVLSTTSSSTRSKRSVTVAKQSSA -NPTGKQRGRGRKGPSTGTLTEEQIERVRMLIQKNVGRVNYNNLPLIAGLTALKKKRQVLETFSGEIELEE -LIGDEKLDSRFRENLKMILETLRNESYSHFELDIPKTAALKSPEETDPRIHMEYLGSGEEPIQSNGQTDT -DCDPSLRIWTPNEEKLAAGVSWIPYFGPGAEGLYTMGLMQNQNDVVCRLRRLANQTAKSLDLLLRVTTEE -RTYSIINRHAIDFLLARWGGTCRVLGPECCIGVEDLTKNITDQIQQMRRDEQDEYEGWGKGGNWFNSQFG -NWLNLGILIFLFLGIIIALSCVCRLFMNLTVY - ->YP_003815437.1 second secreted glycoprotein [Bundibugyo ebolavirus] -MVTSGILQLPRERFRKTSFFVWVIILFHKVFPIPLGVVHNNTLQVSDIDKLVCRDKLSSTSQLKSVGLNL -EGNGVATDVPTATKRWGFRAGVPPKVVNYEAGEWAENCYNLDIKKADGSECLPEAPEGVRGFPRCRYVHK -VSGTGPCPEGYAFHKEGAFFLYDRLASTIIYRSTTFSEGVVAFLILPETKKDFFQSPPLHEPANMTTDPS -SYYHTVTLNYVADNFGTNMTNFLFQVDHLTYVQLEPRFTPQFLVQLNETIYTNGRRSNTTGTLIWKVNPT -VDTGVGEWAFWENKKLHKNPFK - ->YP_003815435.1 spike glycoprotein [Bundibugyo ebolavirus] -MVTSGILQLPRERFRKTSFFVWVIILFHKVFPIPLGVVHNNTLQVSDIDKLVCRDKLSSTSQLKSVGLNL -EGNGVATDVPTATKRWGFRAGVPPKVVNYEAGEWAENCYNLDIKKADGSECLPEAPEGVRGFPRCRYVHK -VSGTGPCPEGYAFHKEGAFFLYDRLASTIIYRSTTFSEGVVAFLILPETKKDFFQSPPLHEPANMTTDPS -SYYHTVTLNYVADNFGTNMTNFLFQVDHLTYVQLEPRFTPQFLVQLNETIYTNGRRSNTTGTLIWKVNPT -VDTGVGEWAFWENKKNFTKTLSSEELSVIFVPRAQDPGSNQKTKVTPTSFANNQTSKNHEDLVPEDPASV -VQVRDLQRENTVPTPPPDTVPTTLIPDTMEEQTTSHYEPPNISRNHQERNNTAHPETLANNPPDNTTPST -PPQDGERTSSHTTPSPRPVPTSTIHPTTRETHIPTTMTTSHDTDSNRPNPIDISESTEPGPLTNTTRGAA -NLLTGSRRTRREITLRTQAKCNPNLHYWTTQDEGAAIGLAWIPYFGPAAEGIYTEGIMHNQNGLICGLRQ -LANETTQALQLFLRATTELRTFSILNRKAIDFLLQRWGGTCHILGPDCCIEPHDWTKNITDKIDQIIHDF -IDKPLPDQTDNDNWWTGWRQWVPAGIGITGVIIAVIALLCICKFLL - ->YP_003815436.1 small secreted glycoprotein [Bundibugyo ebolavirus] -MVTSGILQLPRERFRKTSFFVWVIILFHKVFPIPLGVVHNNTLQVSDIDKLVCRDKLSSTSQLKSVGLNL -EGNGVATDVPTATKRWGFRAGVPPKVVNYEAGEWAENCYNLDIKKADGSECLPEAPEGVRGFPRCRYVHK -VSGTGPCPEGYAFHKEGAFFLYDRLASTIIYRSTTFSEGVVAFLILPETKKDFFQSPPLHEPANMTTDPS -SYYHTVTLNYVADNFGTNMTNFLFQVDHLTYVQLEPRFTPQFLVQLNETIYTNGRRSNTTGTLIWKVNPT -VDTGVGEWAFWENKKTSQKPFQVKSCLSYLYQEPRIQAATRRRRSLPPASPTTKPPRTTKTWFQRIPLQW -FKCETSRGKTQCRPHPQTQSPQL - ->YP_009513279.1 second secreted glycoprotein [Bombali ebolavirus] -MILQVPEKRHQRTVLFIWLVILFQRAVSVPLGVIHNSTLQVSDIDKFVCHDKLTSTNQLRSIGLNLEGNG -IATDVPSATKRWGFRAGVPPKVVGYEAGEWAENCYNLEIKKPDGSECLPMAPEGIRGFPRCRYVHKVSGT -GSCESGFAFHKEGAFFLYDRLASTIIYRGTTFAEGVVAFIILPKAEKNFLQPPLTQGPTNTTNDPSSMYH -STTLEYETTRFGTNRSAFWFKVDNLTFVQLESRFTPQFLVELNETIYIEGKRSNTTGRLIWQVNSRVDTD -VGEWAFWENKKPQKELST - ->YP_009513278.1 small secreted glycoprotein [Bombali ebolavirus] -MILQVPEKRHQRTVLFIWLVILFQRAVSVPLGVIHNSTLQVSDIDKFVCHDKLTSTNQLRSIGLNLEGNG -IATDVPSATKRWGFRAGVPPKVVGYEAGEWAENCYNLEIKKPDGSECLPMAPEGIRGFPRCRYVHKVSGT -GSCESGFAFHKEGAFFLYDRLASTIIYRGTTFAEGVVAFIILPKAEKNFLQPPLTQGPTNTTNDPSSMYH -STTLEYETTRFGTNRSAFWFKVDNLTFVQLESRFTPQFLVELNETIYIEGKRSNTTGRLIWQVNSRVDTD -VGEWAFWENKKTSKRAFHVKSCLSQLYQEQLTLNTTRTRRSIHQAQTPTPQSMTTPNWLQKIPLTWFNCN -VRDGKRYCRPQYPKP - ->YP_009513277.1 spike glycoprotein [Bombali ebolavirus] -MILQVPEKRHQRTVLFIWLVILFQRAVSVPLGVIHNSTLQVSDIDKFVCHDKLTSTNQLRSIGLNLEGNG -IATDVPSATKRWGFRAGVPPKVVGYEAGEWAENCYNLEIKKPDGSECLPMAPEGIRGFPRCRYVHKVSGT -GSCESGFAFHKEGAFFLYDRLASTIIYRGTTFAEGVVAFIILPKAEKNFLQPPLTQGPTNTTNDPSSMYH -STTLEYETTRFGTNRSAFWFKVDNLTFVQLESRFTPQFLVELNETIYIEGKRSNTTGRLIWQVNSRVDTD -VGEWAFWENKKNLKKSFPREELSLTAVPRAADSEHDAHPPEYTPGPDSNPTINDNTELVTEDPAHLVQLQ -RQGRKEILPTTIPQAIEREPPAAQHDNPRNSPTPPSPIESDITDSTQAEDLTHTDDPSTINSATEEPLPE -VGETTQVPRDPEGPRRTQPTPPTGQPEQPSDNTMTPGQIHSESAAPMGERSIDGPGLLTNTLAGVARLIT -GAGRAKRESPEIRGAKCNPNLHYWTTHEESAAAGLAWIPYFGPAAEGIYTEGLMQNQNELICGLRQLANE -TTQALQLFLRSTTELRTFSILNRKAIDFLLQRWGGTCRILGPDCCIEPHDWTKNITDRIDQIIHDFVDKP -LPDQSNNDNWWTGWRQWIPAGIGVVGVIAAFIALICICKIIC - ->YP_009055225.1 glycoprotein [Marburg marburgvirus] -MKTIYFLISLILIQSIKTLPVLEIASNSQPQDVDSVCSGTLQKTEDVHLMGFTLSGQKVADSPLEASKRW -AFRTGVPPKNVEYTEGEEAKTCYNISVTDPSGKSLLLDPPSNIRDYPKCKTVHHIQGQNPHAQGIALHLW -GAFFLYDRVASTTMYRGKVFTEGNIAAMIVNKTVHRMIFSRQGQGYRHMNLTSTNKYWTSSNETQRNDTG -CFGILQEYNSTNNQTCPPSLKPPSLPTVTPSIHSTNTQINTAKSGTMNPSSDDEDLMISGSGSGEQGPHT -TLNVVTEQKQSSTILSTPSLHPSTSQHEQNSTNPSRHAVTEHNGTDPTTQPATLLNNTNTTPTYNTLKYN -LSTPSPPTRNITNNDTQRELAESEQTNAQLNTTLDPTENPTTGQDTNSTTNIIMTTSDITSKHPTNSSPD -SSPTTRPPIYFRKKRSIFWKEGDIFPFLDGLINTEIDFDPIPNTETIFDESPSFNTSTNEEQHTPPNISL -TFSYFPDKNGDTAYSGENENDCDAELRIWSVQEDDLAAGLSWIPFFGPGIEGLYTAGLIKNQNNLVCRLR -RLANQTAKSLELLLRVTTEERTFSLINRHAIDFLLTRWGGTCKVLGPDCCIGIEDLSKNISEQIDKIRKD -EQKEETGWGLGGKWWTSDWGVLTNLGILLLLSIAVLIALSCICRIFTKYIG - ->YP_004928139.1 GP2 [Lloviu cuevavirus] -MPLGGSSACVSSIPLLGSVSNNSSIQELETSSKSATELTTPINHSQSLQLASVTNTPTPTTQSKSWTVDY -NNTTPTMDPTTILTTPDTATIPPNNSSDHNATTTSKTRRRRQVNPVPPTITQQTSTSINTSHHPNMTTQL -ARHPSVQTRMQNPSCNPNLRYWTSREMSNAGGLAWIPWIGPGIEGGITDGIMEHQNTIVCQLRELANTTT -KALQLFLRATTELRTYSILNRHAIDFLLQRWGGTCRILGPNCCIEPHDWSANITAEINHIREDILNHHEI -QPSQDPSFWTGWQQWIPTGASALGIILAILALICLCRITR - ->YP_004928138.1 GP1 [Lloviu cuevavirus] -MVPTYPYSSLLDWRPPPNTLPWILNLVVFYTIAWLPGGVSGIPLGLLGNNSITQTVVDNVVCKEHLATTD -QLQAIGLGLEGLGEHADLPTATKRWGFRSDVIPKIVGYTAGEWVENCYNLEITKKDGHPCLPSPPTGLLG -YPRCRYVHRAKGAGPCPGGNAFHKHGSFFLYHGMASTVIYHGVTFTEGTIAFLIVPKDAPRLKAGLGTGF -SHQAENQNPNNQFRTTTLDYDVMSPWMDNATFFFRAREDTSMLIQTRYPPANLELVQERLANLTGDQADP -SKMEEIVAEVLTLELGDWSGWTTKKTAVQTIRLRNPSPASGSTKDKTGQKPMTDHQEFILQPHSAVGQPC -LWNILRTPGRNPARRHRRETPPTMSITAAPGSGYKPYIQAIPLVKFRCHWEGLRHVCRRYPSWVQ - ->YP_003815428.1 second secreted glycoprotein [Tai Forest ebolavirus] -MGASGILQLPRERFRKTSFFVWVIILFHKVFSIPLGVVHNNTLQVSDIDKFVCRDKLSSTSQLKSVGLNL -EGNGVATDVPTATKRWGFRAGVPPKVVNCEAGEWAENCYNLAIKKVDGSECLPEAPEGVRDFPRCRYVHK -VSGTGPCPGGLAFHKEGAFFLYDRLASTIIYRGTTFAEGVIAFLILPKARKDFFQSPPLHEPANMTTDPS -SYYHTTTINYVVDNFGTNTTEFLFQVDHLTYVQLEARFTPQFLVLLNETIYSDNRRSNTTGKLIWKINPT -VDTSMGEWAFWENKKLHKNPFK - ->YP_003815427.1 small secreted glycoprotein [Tai Forest ebolavirus] -MGASGILQLPRERFRKTSFFVWVIILFHKVFSIPLGVVHNNTLQVSDIDKFVCRDKLSSTSQLKSVGLNL -EGNGVATDVPTATKRWGFRAGVPPKVVNCEAGEWAENCYNLAIKKVDGSECLPEAPEGVRDFPRCRYVHK -VSGTGPCPGGLAFHKEGAFFLYDRLASTIIYRGTTFAEGVIAFLILPKARKDFFQSPPLHEPANMTTDPS -SYYHTTTINYVVDNFGTNTTEFLFQVDHLTYVQLEARFTPQFLVLLNETIYSDNRRSNTTGKLIWKINPT -VDTSMGEWAFWENKKTSQKPFQVKSCLSYLYQKPRTRSLTRQRRSLLPSPPTTTQPKTTKNWFQRIPLQW -FRCKTSRERTQCQPQ - ->YP_003815426.1 spike glycoprotein [Tai Forest ebolavirus] -MGASGILQLPRERFRKTSFFVWVIILFHKVFSIPLGVVHNNTLQVSDIDKFVCRDKLSSTSQLKSVGLNL -EGNGVATDVPTATKRWGFRAGVPPKVVNCEAGEWAENCYNLAIKKVDGSECLPEAPEGVRDFPRCRYVHK -VSGTGPCPGGLAFHKEGAFFLYDRLASTIIYRGTTFAEGVIAFLILPKARKDFFQSPPLHEPANMTTDPS -SYYHTTTINYVVDNFGTNTTEFLFQVDHLTYVQLEARFTPQFLVLLNETIYSDNRRSNTTGKLIWKINPT -VDTSMGEWAFWENKKNFTKTLSSEELSFVPVPETQNQVLDTTATVSPPISAHNHAAEDHKELVSEDSTPV -VQMQNIKGKDTMPTTVTGVPTTTPSPFPINARNTDHTKSFIGLEGPQEDHSTTQPAKTTSQPTNSTESTT -LNPTSEPSSRGTGPSSPTVPNTTESHAELGKTTPTTLPEQHTAASAIPRAVHPDELSGPGFLTNTIRGVT -NLLTGSRRKRRDVTPNTQPKCNPNLHYWTALDEGAAIGLAWIPYFGPAAEGIYTEGIMENQNGLICGLRQ -LANETTQALQLFLRATTELRTFSILNRKAIDFLLQRWGGTCHILGPDCCIEPQDWTKNITDKIDQIIHDF -VDNNLPNQNDGSNWWTGWKQWVPAGIGITGVIIAIIALLCICKFML - ->YP_001531156.1 glycoprotein [Marburg marburgvirus] -MKTTCFLISLILIQGTKNLPILEIASNNQPQNVDSVCSGTLQKTEDVHLMGFTLSGQKVADSPLEASKRW -AFRTGVPPKNVEYTEGEEAKTCYNISVTDPSGKSLLLDPPTNIRDYPKCKTIHHIQGQNPHAQGIALHLW -GAFFLYDRIASTTMYRGKVFTEGNIAAMIVNKTVHKMIFSRQGQGYRHMNLTSTNKYWTSSNGTQTNDTG -CFGALQEYNSTKNQTCAPSKIPPPLPTARPEIKLTSTPTDATKLNTTDPSSDDEDLATSGSGSGEREPHT -TSDAVTKQGLSSTMPPTPSPQPSTPQQGGNNTNHSQDAVTELDKNNTTAQPSMPPHNTTTISTNNTSKHN -FSTLSAPLQNTTNDNTQSTITENEQTSAPSITTLPPTGNPTTAKSTSSKKGPATTAPNTTNEHFTSPPPT -PSSTAQHLVYFRRKRSILWREGDMFPFLDGLINAPIDFDPVPNTKTIFDESSSSGASAEEDQHASPNISL -TLSYFPNINENTAYSGENENDCDAELRIWSVQEDDLAAGLSWIPFFGPGIEGLYTAVLIKNQNNLVCRLR -RLANQTAKSLELLLRVTTEERTFSLINRHAIDFLLTRWGGTCKVLGPDCCIGIEDLSKNISEQIDQIKKD -EQKEGTGWGLGGKWWTSDWGVLTNLGILLLLSIAVLIALSCICRIFTKYIG - ->YP_138523.1 spike glycoprotein [Sudan ebolavirus] -MGGLSLLQLPRDKFRKSSFFVWVIILFQKAFSMPLGVVTNSTLEVTEIDQLVCKDHLASTDQLKSVGLNL -EGSGVSTDIPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKPDGSECLPPPPDGVRGFPRCRYVHK -AQGTGPCPGDYAFHKDGAFFLYDRLASTVIYRGVNFAEGVIAFLILAKPKETFLQSPPIREAVNYTENTS -SYYATSYLEYEIENFGAQHSTTLFKIDNNTFVRLDRPHTPQFLFQLNDTIHLHQQLSNTTGRLIWTLDAN -INADIGEWAFWENKKNLSEQLRGEELSFEALSLNETEDDDAASSRITKGRISDRATRKYSDLVPKNSPGM -VPLHIPEGETTLPSQNSTEGRRVGVNTQETITETAATIIGTNGNHMQISTIGIRPSSSQIPSSSPTTAPS -PEAQTPTTHTSGPSVMATEEPTTPPGSSPGPTTEAPTLTTPENITTAVKTVLPQESTSNGLITSTVTGIL -GSLGLRKRSRRQTNTKATGKCNPNLHYWTAQEQHNAAGIAWIPYFGPGAEGIYTEGLMHNQNALVCGLRQ -LANETTQALQLFLRATTELRTYTILNRKAIDFLLRRWGGTCRILGPDCCIEPHDWTKNITDKINQIIHDF -IDNPLPNQDNDDNWWTGWRQWIPAGIGITGIIIAIIALLCVCKLLC - ->YP_138524.1 small secreted glycoprotein [Sudan ebolavirus] -MGGLSLLQLPRDKFRKSSFFVWVIILFQKAFSMPLGVVTNSTLEVTEIDQLVCKDHLASTDQLKSVGLNL -EGSGVSTDIPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKPDGSECLPPPPDGVRGFPRCRYVHK -AQGTGPCPGDYAFHKDGAFFLYDRLASTVIYRGVNFAEGVIAFLILAKPKETFLQSPPIREAVNYTENTS -SYYATSYLEYEIENFGAQHSTTLFKIDNNTFVRLDRPHTPQFLFQLNDTIHLHQQLSNTTGRLIWTLDAN -INADIGEWAFWENKKISPNNYVEKSCLSKLYRSTRQKTMMRHRRELQREESPTGPPGSIRTWFQRIPLGW -FHCTYQKGKQHCRLRIRQKVEE - ->NP_690583.1 spike glycoprotein [Reston ebolavirus] -MGSGYQLLQLPRERFRKTSFLVWVIILFQRAISMPLGIVTNSTLKATEIDQLVCRDKLSSTSQLKSVGLN -LEGNGIATDVPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKSDGSECLPLPPDGVRGFPRCRYVH -KVQGTGPCPGDLAFHKNGAFFLYDRLASTVIYRGTTFAEGVVAFLILSEPKKHFWKATPAHEPVNTTDDS -TSYYMTLTLSYEMSNFGGNESNTLFKVDNHTYVQLDRPHTPQFLVQLNETLRRNNRLSNSTGRLTWTLDP -KIEPDVGEWAFWETKKNFSQQLHGENLHFQIPSTHTNNSSDQSPAGTVQGKISYHPPANNSELVPTDSPP -VVSVLTAGRTEEMSTQGLTNGETITGFTANPMTTTIAPSPTMTSEVDNNVPSEQPNNTASIEDSPPSASN -ETIYHSEMDPIQGSNNSAQSPQTKTTPAPTTSPMTQDPQETANSSKPGTSPGSAAGPSQPGLTINTVSKV -ADSLSPTRKQKRSVRQNTANKCNPDLYYWTAVDEGAAVGLAWIPYFGPAAEGIYIEGVMHNQNGLICGLR -QLANETTQALQLFLRATTELRTYSLLNRKAIDFLLQRWGGTCRILGPSCCIEPHDWTKNITDEINQIKHD -FIDNPLPDHGDDLNLWTGWRQWIPAGIGIIGVIIAIIALLCICKILC - ->NP_690584.1 small secreted glycoprotein [Reston ebolavirus] -MGSGYQLLQLPRERFRKTSFLVWVIILFQRAISMPLGIVTNSTLKATEIDQLVCRDKLSSTSQLKSVGLN -LEGNGIATDVPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKSDGSECLPLPPDGVRGFPRCRYVH -KVQGTGPCPGDLAFHKNGAFFLYDRLASTVIYRGTTFAEGVVAFLILSEPKKHFWKATPAHEPVNTTDDS -TSYYMTLTLSYEMSNFGGNESNTLFKVDNHTYVQLDRPHTPQFLVQLNETLRRNNRLSNSTGRLTWTLDP -KIEPDVGEWAFWETKKTFPNNFMEKTCISKFHQPTPTTPQIRARRELSKEKLATTHPPTTPSWFQRIPLQ -WFQCSLQDGQRKCRPKV - ->NP_066246.1 spike glycoprotein [Zaire ebolavirus] -MGVTGILQLPRDRFKRTSFFLWVIILFQRTFSIPLGVIHNSTLQVSDVDKLVCRDKLSSTNQLRSVGLNL -EGNGVATDVPSATKRWGFRSGVPPKVVNYEAGEWAENCYNLEIKKPDGSECLPAAPDGIRGFPRCRYVHK -VSGTGPCAGDFAFHKEGAFFLYDRLASTVIYRGTTFAEGVVAFLILPQAKKDFFSSHPLREPVNATEDPS -SGYYSTTIRYQATGFGTNETEYLFEVDNLTYVQLESRFTPQFLLQLNETIYTSGKRSNTTGKLIWKVNPE -IDTTIGEWAFWETKKNLTRKIRSEELSFTVVSNGAKNISGQSPARTSSDPGTNTTTEDHKIMASENSSAM -VQVHSQGREAAVSHLTTLATISTSPQSLTTKPGPDNSTHNTPVYKLDISEATQVEQHHRRTDNDSTASDT -PSATTAAGPPKAENTNTSKSTDFLDPATTTSPQNHSETAGNNNTHHQDTGEESASSGKLGLITNTIAGVA -GLITGGRRTRREAIVNAQPKCNPNLHYWTTQDEGAAIGLAWIPYFGPAAEGIYIEGLMHNQDGLICGLRQ -LANETTQALQLFLRATTELRTFSILNRKAIDFLLQRWGGTCHILGPDCCIEPHDWTKNITDKIDQIIHDF -VDKTLPDQGDNDNWWTGWRQWIPAGIGVTGVIIAVIALFCICKFVF - ->NP_066247.1 small secreted glycoprotein [Zaire ebolavirus] -MGVTGILQLPRDRFKRTSFFLWVIILFQRTFSIPLGVIHNSTLQVSDVDKLVCRDKLSSTNQLRSVGLNL -EGNGVATDVPSATKRWGFRSGVPPKVVNYEAGEWAENCYNLEIKKPDGSECLPAAPDGIRGFPRCRYVHK -VSGTGPCAGDFAFHKEGAFFLYDRLASTVIYRGTTFAEGVVAFLILPQAKKDFFSSHPLREPVNATEDPS -SGYYSTTIRYQATGFGTNETEYLFEVDNLTYVQLESRFTPQFLLQLNETIYTSGKRSNTTGKLIWKVNPE -IDTTIGEWAFWETKKTSLEKFAVKSCLSQLYQTEPKTSVVRVRRELLPTQGPTQQLKTTKSWLQKIPLQW -FKCTVKEGKLQCRI - ->sp|P0C772.1|VSSGP_EBOSU RecName: Full=Super small secreted glycoprotein; Short=SsGP; Flags: Precursor -MGGLSLLQLPRDKFRKSSFFVWVIILFQKAFSMPLGVVTNSTLEVTEIDQLVCKDHLASTDQLKSVGLNL -EGSGVSTDIPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKPDGSECLPPPPDGVRGFPRCRYVHK -AQGTGPCPGDYAFHKDGAFFLYDRLASTVIYRGVNFAEGVIAFLILAKPKETFLQSPPIREAVNYTENTS -SYYATSYLEYEIENFGAQHSTTLFKIDNNTFVRLDRPHTPQFLFQLNDTIHLHQQLSNTTGRLIWTLDAN -INADIGEWAFWENKKKSLRTTTWRRAVFRSFIAQRDRRR - ->sp|P0C771.1|VSSGP_EBORR RecName: Full=Super small secreted glycoprotein; Short=SsGP; Flags: Precursor -MGSGYQLLQLPRERFRKTSFLVWVIILFQRAISMPLGIVTNSTLKATEIDQLVCRDKLSSTSQLKSVGLN -LEGNGIATDVPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKSDGSECLPLPPDGVRGFPRCRYVH -KVQGTGPCPGDLAFHKNGAFFLYDRLASTVIYRGTTFAEGVVAFLILSEPKKHFWKATPAHEPVNTTDDS -TSYYMTLTLSYEMSNFGGNESNTLFKVDNHTYVQLDRPHTPQFLVQLNETLRRNNRLSNSTGRLTWTLDP -KIEPDVGEWAFWETKKKLFPTTSWRKLAFPNSINPHQQLLRSEPGGNCPRKN - ->sp|Q91DD8.1|VGP_EBORE RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -MGSGYQLLQLPRERFRKTSFLVWVIILFQRAISMPLGIVTNSTLKATEIDQLVCRDKLSSTSQLKSVGLN -LEGNGIATDVPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKSDGSECLPLPPDGVRGFPRCRYVH -KVQGTGPCPGDLAFHKNGAFFLYDRLASTVIYRGTTFAEGVIAFLILSEPKKHFWKATPAHEPVNTTDDS -TSYYMTLTLSYEMSNFGGEESNTLFKVDNHTYVQLDRPHTPQFLVQLNETLRRNNRLSNSTGRLTWTVDP -KIEPDVGEWAFWETKKNFSQQLHGENLHFQILSTHTNNSSDQSPAGTVQGKISYHPPTNNSELVPTDSPP -VVSVLTAGRTEEMSTQGLTNGETITGFTANPMTTTIAPSPTMTSEVDNNVPSEQPNNTASIEDSPPSASN -ETIDHSEMNSIQGSNNSAQSPQTKATPAPTASPMTLDPQETANISKPGTSPGSAAGPSQPGLTINTISKV -ADSLSPTRKQKRSVRQNTANKCNPDLHYWTAVDEGAAAGLAWIPYFGPAAEGIYIEGVMHNQNGLICGLR -QLANETTQALQLFLRATTELRTYSLLNRKAIDFLLQRWGGTCRILGPSCCIEPHDWTKNITDEINQIKHD -FIDNPLPDHGDDLNLWTGWRQWIPAGIGIIGVIIAIIALLCICKILC - ->sp|Q91DD7.1|VSGP_EBORE RecName: Full=Pre-small/secreted glycoprotein; Short=pre-sGP; Contains: RecName: Full=Small/secreted glycoprotein; Short=sGP; Contains: RecName: Full=Delta-peptide; Flags: Precursor -MGSGYQLLQLPRERFRKTSFLVWVIILFQRAISMPLGIVTNSTLKATEIDQLVCRDKLSSTSQLKSVGLN -LEGNGIATDVPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKSDGSECLPLPPDGVRGFPRCRYVH -KVQGTGPCPGDLAFHKNGAFFLYDRLASTVIYRGTTFAEGVIAFLILSEPKKHFWKATPAHEPVNTTDDS -TSYYMTLTLSYEMSNFGGEESNTLFKVDNHTYVQLDRPHTPQFLVQLNETLRRNNRLSNSTGRLTWTVDP -KIEPDVGEWAFWETKKTFPNNFMEKTCISKFYQPTPTTPQIRARRELSKEKLATTHPPTTPSWFQRIPLQ -WFQCSLQDGQRKCRPKV - ->sp|Q89853.1|VGP_EBORS RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -MGSGYQLLQLPRERFRKTSFLVWVIILFQRAISMPLGIVTNSTLKATEIDQLVCRDKLSSTSQLKSVGLN -LEGNGIATDVPSATKRWGFRSGVPPKVVSYEAGEWAENCYNLEIKKSDGSECLPLPPDGVRGFPRCRYVH -KVQGTGPCPGDLAFHKNGAFFLYDRLASTVIYRGTTFTEGVVAFLILSEPKKHFWKATPAHEPVNTTDDS -TSYYMTLTLSYEMSNFGGKESNTLFKVDNHTYVQLDRPHTPQFLVQLNETLRRNNRLSNSTGRLTWTLDP -KIEPDVGEWAFWETKKNFSQQLHGENLHFQILSTHTNNSSDQSPAGTVQGKISYHPPTNNSELVPTDSPP -VVSVLTAGRTEEMSTQGLTNGETITGFTANPMTTTIAPSPTMTSEVDNNVPSEQPNNTASIEDSPPSASN -ETIDHSEMNPIQGSNNSAQSPQTKTTPAPTASPMTQDPQETANSSKLGTSPGSAAEPSQPGFTINTVSKV -ADSLSPTRKQKRSVRQNTANKCNPDLHYWTAVDEGAAVGLAWIPYFGPAAEGIYIEGVMHNQNGLICGLR -QLANETTQALQLFLRATTELRTYSLLNRKAIDFLLQRWGGTCRILGPSCCIEPHDWTKNITDEINQIKHD -FIDNPLPDHGDDLNLWTGWRQWIPAGIGIIGVIIAIIALLCICKILC - ->sp|Q66814.1|VGP_EBOSB RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -MEGLSLLQLPRDKFRKSSFFVWVIILFQKAFSMPLGVVTNSTLEVTEIDQLVCKDHLASTDQLKSVGLNL -EGSGVSTDIPSATKRWGFRSGVPPQVVSYEAGEWAENCYNLEIKKPDGSECLPPPPDGVRGFPRCRYVHK -AQGTGPCPGDYAFHKDGAFFLYDRLASTVIYRGVNFAEGVIAFLILAKPKETFLQSPPIREAANYTENTS -SYYATSYLEYEIENFGAQHSTTLFKINNNTFVLLDRPHTPQFLFQLNDTIQLHQQLSNTTGKLIWTLDAN -INADIGEWAFWENKKNLSEQLRGEELSFETLSLNETEDDDATSSRTTKGRISDRATRKYSDLVPKDSPGM -VSLHVPEGETTLPSQNSTEGRRVDVNTQETITETTATIIGTNGNNMQISTIGTGLSSSQILSSSPTMAPS -PETQTSTTYTPKLPVMTTEESTTPPRNSPGSTTEAPTLTTPENITTAVKTVWPQESTSNGLITSTVTGIL -GSLGLRKRSRRQVNTRATGKCNPNLHYWTAQEQHNAAGIAWIPYFGPGAEGIYTEGLMHNQNALVCGLRQ -LANETTQALQLFLRATTELRTYTILNRKAIDFLLRRWGGTCRILGPDCCIEPHDWTKNITDKINQIIHDF -IDNPLPNQDNDDNWWTGWRQWIPAGIGITGIIIAIIALLCVCKLLC - ->sp|P87666.1|VGP_EBOZ5 RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -MGVTGILQLPRDRFKRTSFFLWVIILFQRTFSIPLGVIHNSTLQVSDVDKLVCRDKLSSTNQLRSVGLNL -EGNGVATDVPSATKRWGFRSGVPPKVVNYEAGEWAENCYNLEIKKPDGSECLPAAPDGIRGFPRCRYVHK -VSGTGPCAGDFAFHKEGAFFLYDRLASTVIYRGTTFAEGVVAFLILPQAKKDFFSSHPLREPVNATEDPS -SGYYSTTIRYQATGFGTNETEYLFEVDNLTYVQLESRFTPQFLLQLNETIYTSGKRSNTTGKLIWKVNPE -IDTTIGEWAFWETKKNLTRKIRSEELSFTAVSNRAKNISGQSPARTSSDPGTNTTTEDHKIMASENSSAM -VQVHSQGREAAVSHLTTLATISTSPQPPTTKPGPDNSTHNTPVYKLDISEATQVEQHHRRTDNDSTASDT -PPATTAAGPLKAENTNTSKGTDLLDPATTTSPQNHSETAGNNNTHHQDTGEESASSGKLGLITNTIAGVA -GLITGGRRARREAIVNAQPKCNPNLHYWTTQDEGAAIGLAWIPYFGPAAEGIYTEGLMHNQDGLICGLRQ -LANETTQALQLFLRATTELRTFSILNRKAIDFLLQRWGGTCHILGPDCCIEPHDWTKNITDKIDQIIHDF -VDKTLPDQGDNDNWWTGWRQWIPAGIGVTGVIIAVIALFCICKFVF - ->sp|O11457.1|VGP_EBOG4 RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Contains: RecName: Full=Shed GP; AltName: Full=GP1,2-delta; Flags: Precursor -MGVTGILQLPRDRFKRTSFFLWVIILFQRTFSIPLGVIHNSTLQVSDVDKLVCRDKLSSTNQLRSVGLNL -EGNGVATDVPSATKRWGFRSGVPPKVVNYEAGEWAENCYNLEIKKPDGSECLPAAPDGIRGFPRCRYVHK -VSGTGPCAGDFAFHKEGAFFLYDRLASTVIYRGTTFAEGVVAFLILPQAKKDFFSSHPLREPVNATEDPS -SGYYSTTIRYQATGFGTNETEYLFEVDNLTYVQLESRFTPQFLLQLNETRYTSGKRSNTTGKLIWKVNPE -IDTTIGEWAFWETKKNLTRKIRSEELSFTAVSNRAKNISGQSPARTSSDPGTNTTTEDHKIMASENSSAM -VQVHSQGREAAVSHLTTLATISTSLRPPITKPGPDNSTHNTPVYKLDISEATQVEQHHRRTDNASTTSDT -PPATTAAGPLKAENTNTSKGTDLLDPATTTSPQNHSETAGNNNTHHQDTGEESASSGKLGLITNTIAGVA -GLITGGRRTRREAIVNAQPKCNPNLHYWTTQDEGAAIGLAWIPYFGPAAEGIYIEGLMHNQDGLICGLRQ -LANETTQALQLFLRATTELRTFSILNRKAIDFLLQRWGGTCHILGPDCCIEPHDWTKNITDKIDQIIHDF -VDKTLPDQGDNDNWWTGWRQWIPAGIGVTGVIIAVIALFCICKFVF - ->sp|P35254.1|VGP_MABVP RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; AltName: Full=Virion spike glycoprotein; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Flags: Precursor -MKTTCLFISLILIQGIKTLPILEIASNNQPQNVDSVCSGTLQKTEDVHLMGFTLSGQKVADSPLEASKRW -AFRTGVPPKNVEYTEGEEAKTCYNISVTDPSGKSLLLDPPTNIRDYPKCKTIHHIQGQNPHAQGIALHLW -GAFFLYDRIASTTMYRGRVFTEGNIAAMIVNKTVHKMIFSRQGQGYRHMNLTSTNKYWTSNNGTQTNDTG -CFGALQEYNSTKNQTCAPSKIPSPLPTARPEIKPTSTPTDATTLNTTDPNNDDEDLITSGSGSGEQEPYT -TSDAVTKQGLSSTMPPTPSPQPSTPQQEGNNTDHSQGTVTEPNKTNTTAQPSMPPHNTTAISTNNTSKNN -FSTLSVSLQNTTNYDTQSTATENEQTSAPSKTTLPPTGNLTTAKSTNNTKGPTTTAPNMTNGHLTSPSPT -PNPTTQHLVYFRKKRSILWREGDMFPFLDGLINAPIDFDPVPNTKTIFDESSSSGASAEEDQHASPNISL -TLSYFPNINENTAYSGENENDCDAELRIWSVQEDDLAAGLSWIPFFGPGIEGLYTAGLIKNQNNLVCRLR -RLANQTAKSLELLLRVTTEERTFSLINRHAIDFLLTRWGGTCKVLGPDCCIGIEDLSRNISEQIDQIKKD -EQKEGTGWGLGGKWWTSDWGVLTNLGILLLLSIAVLIALSCICRIFTKYIG - ->sp|P60173.1|VSGP_EBOSM RecName: Full=Pre-small/secreted glycoprotein; Short=pre-sGP; Contains: RecName: Full=Small/secreted glycoprotein; Short=sGP; Contains: RecName: Full=Delta-peptide; Flags: Precursor -MEGLSLLQLPRDKFRKSSFFVWVIILFQKAFSMPLGVVTNSTLEVTEIDQLVCKDHLASTDQLKSVGLNL -EGSGVSTDIPSATKRWGFRSGVPPQVVSYEAGEWAENCYNLEIKKPDGSECLPPPPDGVRGFPRCRYVHK -AQGTGPCPGDYAFHKDGAFFLYDRLASTVIYRGVNFAEGVIAFLILAKPKETFLQSPPIREAANYTENTS -SYYATSYLEYEIENFGAQHSTTLFKINNNTFVLLDRPHTPQFLFQLNDTIQLHQQLSNTTGKLIWTLDAN -INADIGEWAFWENKKISPNNYVEKSCLSKLYRSTRQKTMMRHRRELQREESPTGPPGSIRTWFQRIPLGW -FHCTYQKGKQHCRLRIRQKVEE - ->sp|Q9YMG2.2|VSSGP_EBOZM RecName: Full=Super small secreted glycoprotein; Short=SsGP; Flags: Precursor -MGVTGILQLPRDRFKRTSFFLWVIILFQRTFSIPLGVIHNSTLQVSDVDKLVCRDKLSSTNQLRSVGLNL -EGNGVATDVPSATKRWGFRSGVPPKVVNYEAGEWAENCYNLEIKKPDGSECLPAAPDGIRGFPRCRYVHK -VSGTGPCAGDFAFHKEGAFFLYDRLASTVIYRGTTFAEGVVAFLILPQAKKDFFSSHPLREPVNATEDPS -SGYYSTTIRYQATGFGTNETEYLFEVDNLTYVQLESRFTPQFLLQLNETIYTSGKRSNTTGKLIWKVNPE -IDTTIGEWAFWETKKKPH - ->sp|Q1PD50.1|VGP_MABVA RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; AltName: Full=Virion spike glycoprotein; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Flags: Precursor -MKTTCLLISLILIQGVKTLPILEIASNIQPQNVDSVCSGTLQKTEDVHLMGFTLSGQKVADSPLEASKRW -AFRAGVPPKNVEYTEGEEAKTCYNISVTDPSGKSLLLDPPTNIRDYPKCKTIHHIQGQNPHAQGIALHLW -GAFFLYDRIASTTMYRGKVFTEGNIAAMIVNKTVHKMIFSRQGQGYRHMNLTSTNKYWTSSNGTQTNDTG -CFGTLQEYNSTKNQTCAPSKKPLPLPTAHPEVKLTSTSTDATKLNTTDPNSDDEDLTTSGSGSGEQEPYT -TSDAATKQGLSSTMPPTPSPQPSTPQQGGNNTNHSQGVVTEPGKTNTTAQPSMPPHNTTTISTNNTSKHN -LSTPSVPIQNATNYNTQSTAPENEQTSAPSKTTLLPTENPTTAKSTNSTKSPTTTVPNTTNKYSTSPSPT -PNSTAQHLVYFRRKRNILWREGDMFPFLDGLINAPIDFDPVPNTKTIFDESSSSGASAEEDQHASPNISL -TLSYFPKVNENTAHSGENENDCDAELRIWSVQEDDLAAGLSWIPFFGPGIEGLYTAGLIKNQNNLVCRLR -RLANQTAKSLELLLRVTTEERTFSLINRHAIDFLLARWGGTCKVLGPDCCIGIEDLSRNISEQIDQIKKD -EQKEGTGWGLGGKWWTSDWGVLTNLGILLLLSIAVLIALSCICRIFTKYIG - ->sp|Q6UY66.1|VGP_MABVO RecName: Full=Envelope glycoprotein; AltName: Full=GP1,2; Short=GP; AltName: Full=Virion spike glycoprotein; Contains: RecName: Full=GP1; Contains: RecName: Full=GP2; Flags: Precursor -MRTTCLFISLILIQGIKTLPILEIASNDQPQNVDSVCSGTLQKTEDVHLMGFTLSGQKVADSPLEASKRW -AFRTGVPPKNVEYTEGEEAKTCYNISVTDPSGKSLLLDPPTNVRDYPKCKTIHHIQGQNPHAQGIALHLW -GAFFLYDRIASTTMYRGKVFTEGNIAAMIVNKTVHKMIFSRQGQGYRHMNLTSTNKYWTSSNGTQTNDTG -CFGTLHEYNSTKNQTCAPSKTPPPPPTARPEIKPTSTPTDATRLNTTNPNSDDEDLTTSGSGSGEQELYT -TSDAVTKQGLSSTMPPTPSPQPGTPQQGGNNTNHSQDATTELDNTNTTAQPPTPSHNTTTISTNNTSKHN -LSTLSAPPQNTTNPNTQSMATENEKTSAPPKATLPPTENPTTEKSTNNTKSPTTMEPNTTNGHFTSPSST -PNSTTQHLIYFRRKRSILWREGDMFPFLDGLINAPIDFDPVPNTKTIFDESSSSGASTEEDQHASSNISL -TLSYLPHTSGNTAYSGENENDCDAELRIWSVQEDDLAAGLSWIPFFGPGIEGLYTAGLIKNQNNLVCRLR -RLANQTAKSLELLLRVTTEERTFSLINRHAIDFLLTRWGGTCKVLGPDCCIGIEDLSRNISEQIDQIKKD -EQKEGTGWGLGGKWWTSDWGVLTNLGILLLLSIAVLIALSCICRIFTKYIG - diff --git a/seq/clusters_seq/cluster_145 b/seq/clusters_seq/cluster_145 deleted file mode 100644 index a344acc..0000000 --- a/seq/clusters_seq/cluster_145 +++ /dev/null @@ -1,497 +0,0 @@ ->YP_010084315.1 putative structural protein [Chaetoceros species RNA virus 02] -MKMLINGNSFYYGRALVSYNPYLTNDSVTLNRAFFEQDLVGASQKPHFMLDPTTSQGGEMLLPFLWPENF -LDITQPNWTADMGRVTIHDFDILRHANGGTDPITVTVFVWAEDVVLSVPTTVQAQSGTADRELDEFGFPT -YVEQAGGKKKKGPTKKVNNTRTNDEFVKDGLISKPASAVANAANALSMIPVIAPYAKATAMVATRIGQVA -KIFGYSRPQVLEDTKPYVPRYMGNLSNTDTPEPLVKLSADSKNELTIDTRVMGLGGEDELAISAIAQRPS -FWQQFDWAESATTDTLLASMVVTPALVRTLTASPVTEVHPTALCFASNPFGAWQGSIKFRFNIVCSEYHR -GRLRIVYNPRSNNSGPVAYNQVYSTTIDISEDRDFEYEVKWADIRAWNVLLGADAAPLFPTFSTVANVTA -GEAFDNGSLSVYVVNELATPSNTNAAVKVQVWVSGGEDIAFAVPTVNGLKNLSYFQEQSDIAPYVAQSEE -VPDALATSTDESNAPDCSNEIETFGTNSDIVKEDNQYLVYQGERIVSFRDLLRRYHYHSSYWPGDVGSGT -RMVSIDLTDFPYYRGWDPNGDDAGVPSGGGSAPYNFCNTTLLNYLTPAFVMRRGALRHKAMLIDKNTGGH -SGSFGVGRHNLLGITNSVGSHPLDDPLIGDRRKEMLETLRSSLGGTAVTPAFNNPCLEYETPLLYCRTKI -CSCQRSRFIMPDCIWATNFQQKFKALIVTLTCVLISIFPQRKTFNWVSLLEHLFIMHIMTLRQLRSFGFD -HGYLYTILFIFLCYIYCIWG - ->YP_009666861.1 structural polyprotein [Marine RNA virus BC-3] -MEGSAAATEQITAFSDQEAGWTTCIKGGSDATMNLSSNSDSDLGNFLERPIRIHEAPWIMGQPLFTTFNP -WVDFMSNPRVKEKIAHYELLRMNLHVKFVISGTGFHYGRALASYNPYLFDEITIQRNYLDVDLVQASQKP -HIFLNPTTNSGGQLDLPYFYHKNYMSLSEFDSTRMGDITLKSFDVLRHANGGDDPVTVTAYAWASDVVLT -MPTSLTSLTYEPQAGKMNSGDEYGKGIISAPASAIAHAAGQLTNVPIIAPYARATEMVAKGVGELATHWG -YSRPPIITDIVLQKPNPTGNMANTDAADAVQKLSLDSKQELTIDSRTTGLDGTDQMDIVNIANRESYLTQ -FTMTTSDTPDKLLWNSRVSPCLYRTREDEIHPTPMSMISTPFTNWQGTVKFRFQIVKSSFHKGRLLFRWD -PRSHGANIEYNTVYSRVIDLAEDEDFEIEIGWGQASPFLQVEQMRGDTSDLFGVTRLPTSYAEKFNGILE -VNVLNSLVSPATDTPISINVFVSCCDDIKFGGISTTAMKALSIFKTPPAAQLATIYEPQSGIVDGAAIAG -TSEGAVDSPVAPDPIQAIAPTGVVADQTMNVFFGEQPKSLRDLFRRYVLHRTKVTPPPDSGIMKVITIRE -NGLGYWPGWDPNGIDTIAAVPCSISIPHFFHFFMPCYAGWRGATRTKYTFEGNLSKNPTVTRIGYTTAPY -ELGAFLNKADSNALSQSLTYLTSQFTTGGAASTNLGVNDTIEVETPYYNGVRFSAARLPSADAGNLSESN -AISITVNGSTAQAGDVFDKHAYLRSWKSVGEDFTLFFFTGCPILYRNEIVVPMPP - ->YP_009666859.1 structural polyprotein, partial [Marine RNA virus BC-2] -ANGVSNHWTRSNKPLSNTNLFITKEWEFSDLTPQSGSLGTIQEQGVANFSEEITDFNEQDAGWTTKIGSG -MDATMNLGSSGDSTLGSFLGRPTRIADFSWVVDQPFFEKFDPWSLFLNDPRVAEKIANYELYRSKLHVKM -VISGTGFHYGRALVSYNPYSGYDDITVERNFLQQDLVAASQKPHFFLNPTNNTGGQLDLPFFWPENYLSL -SSSNRNSLGEMFIKSFSNLQHANEGNDPVNITVYAWASDVELTMPTSLTTLSALDYTPQSGTLNSNDEYG -KGIVSGPASAVAQAAGKLTSVPSIAPYARATEMVAKGVAGMATHWGYSRPPIVTDIVQQKPTPTGNMSNT -DAADAVMKLSLDSKQELTIDSRTVGLDGEDQMDILRFVQRESYLTSFTMFPQQVSDTMLWNCKVTPNLYT -VNGNEIHPTPMSYMAVPFKAWQGSIKYRFQIVKSNFHKGKILLRWDPRSHGSNVQYNSVYSRVIDIAECD -DFEIVVGWGQSIPFLEVGEMTLVENFSTTRFPTEEGYTNGNLEVNVVNNLVSPSIDSSIQFNVFVSGCED -MKFGEPMPTSMSQFSIFPQSPESFKPQSGTIDGPAIAGTSEGLTDIPTNPEAIAPISTGGQVADQTLNVF -FGESPKSIRELLRRYIRHRVDVFDPNSEGTNLFYILKLFDKGLGYWAGDDPNGIDIGLTYSICTFAQWFM -PCYAGWRGATRTKYVFNSTAPDSNPTVSRIGYLDRARINKVPILRTDGEYALSAKFTHATGNDTAGGAAT -TNIGVNNTIEVETPYYNGVRFSPARLPSADFVNGCHSNLISSVVYSDNANIDPVAGLRINSWKSVGEDFT -LFFFTGCPILYKYSNSPF - ->YP_009388486.1 polyprotein [Fur seal picorna-like virus] -MPVYINYITFSYYSYFQSVIDTTIYFFKCLVGTITYFLHNTTKEIFTPQSGALGTSQKPGTTNLTQEITA -FSDQSAGWTTTIGSGSDPTMDLAATVDSDLGNFLGRPVRIAEYNWGVGSGFFERFNPWQDFLNDERVKEK -TAHFELYRSKLHVKMVVSGTGFHYGRALVSYNPLYGRDDVTTQRSFLQSDLVAASQKPHFFINPTTNAGG -QLDLPFFYPDNYLSLTRGTDAQEMGEVTIKSFDSLRHANGGTGNVTVTVYAWASDVVLAMPTSINLLSTN -FTPQSGKMNSGDEYGKGIISKPATAIARAAGQLTSVPAIAPYARATQMTAMAIGEVATHWGYSRPAIVSD -IVKFKPNPTGNMANTDAPEALSRLTLDSKQELTIDSRTVGLDGEDQMDVARFCQRESYLDTFTMDETQSP -DALLWNAKVTPVLFRSEGTANPIIELHPTPMCMMSVPFEKWQGSIKYRFQIVKSNFHKGRLLVRWDPRSH -GSTVEYNTTYSRVVDLGEEDDFEICIGWGQKEPFLDLDEMGSLTSGPSYSSAGRFASDNRAQFNGVLEVA -VLNSLVSPAISSPISINVFVSACDDIKFGQPAANKLTKFSLFREXLGKSTFTPQSGEISDGLAIAGTSEG -ATDVPVGADSIAPIAKTTEVADQTMNVFFGESVSSLRQLFRRYIKHRXYVTLPTNVPGDISQTVIXDPGL -GLWPGWDPNGIDVVSXKSCNISVPTFVQFFMPCYAGWRGSTRTKYAFGGKPGNNPIVTRGSNIPFKQTII -INESSEAELEKSLTFLQGSRSSAGSATTNIGVNDTIEVEFPYYQRSRFTTARLPSANFCNGAKGGRIEML -TNAESDIGAVIHAWKSVGEDFTLFFFTGCPILYANRIVP - ->YP_009337702.1 hypothetical protein 2 [Wenzhou picorna-like virus 19] -MDTFTPPMDDTFADGYTPGLDLQEWFSRPLKMKEFTWTVGTSLFEGFNPWYDYFNSPAILNKLKGYSRLQ -ATLHLKLVINAPPYYYSAGIMSYLPMAGIERNTGVTSDYRDAGPNYRFSGGTVDPTYCPDDSVGGSWSGG -TTSASLMVRTSRPHAWFFPQSSKGCEMVLPFCYHKNWINLGSIDPQLIPPTPTDVLEELKDMGVVTLWSP -TPLRSVQSGSSTAVTVTVYAWCDMHKVAGPSFVTQSGDEYSDKPVSTAMSTVSRAAQVLSYIPSIRPYAM -ATSMAASSVGTAARWFGFSNPPVIDNVHSDSINYMPHFASPEISVQQDKLALDPKNEVTIDSRTVGLDGV -DHMSIRHIIGREVAYTMANWDSNMLPTTTLFLQHVTPMIHETKWHTGTQSGSNVASIQPSPSAHVGAAFG -FWTGRIKYTFTAVASQFHRGRLMINYDPDGFKGWYTSTAFEQPYTISKIWDLAETPTFSFEVPWMSSRSY -LKTDCSPTEKLYTPLFLGTATHGTQNYLLNPTLPYSTAVTSINCDYDDSAFNGSIIVSVLNTLTNGTSDG -SPVKLIVSIDCSEVEFAGPMDFDTPLSYFRLESGVDEIAQVTDEGAVVTEAPPYVWGGTTHDIYFGEIVR -SIRQLMHRTTFYMSTPLAPVRQTPKFADDARLPSVRWFQIGVSTNNSTLGQDSYVTRYTASQNLPNLPYM -SGALPANFHHARGVATTLDVGEWYNIDETGASYTGYRPSFATPTSYFTNCYVGWRGSTCYVAHFSDTYAR -LGCGEVVSANFARSDMIHNRMVSDKSIWEPVITSFAALGGMNIPNAEQRASARRNAKSVSVSTKLSTGQG -GMATTNPQKVDVVNCVTPYYSNFRMLPANFMGNLMATQDPDNTGFFCNEAFGDSTVLQQPTLSVKVQPHS -SEAEAWIKNGTPSGGYVGDYDALGIPTADVYHKAGVDFTCFWYLNPPTVYVYNYGYSYPFSV - ->YP_009337374.1 hypothetical protein 2 [Wenzhou picorna-like virus 1] -MNLSSTSDASLGSFLGRPTRLKEYQWSVAQPFFERFNPWELFLNDPRVAEKIANFELYRSQLHVKIIISG -TGFHYGRSMVSYNPYSGFDDLTVQRNFLPVDLIGASQKPHFFLNPTNNSGGQLDLPFFWPKNYISLSETD -RSDLGEMTIKSMQPLQHSNEGDDPVTITVYAWASNVVLTMPTSQTTLTAANYTPQAGMMNSGDEYGKGIV -SGPASAVAHAAGQLKTVPAIAPYARATEMVAKGVGSLATHWGYSRPPIVTDIVQQKPTPTGNMSNTDAAD -AVMKLSLDSKQELTIDSRTVGLDGEDQMDISRFCQRESYLTQFTMNTVQGPDALLWNTRVTPLLFGVNND -EIHPTPMAYMSTVFEKWQGSIKYRFQAVKSNFHKGKILLRWDPRANDANIQYNTVYSRVIDLAECDDFEI -CVGWGQSAPFLTCGNMNTTETFYSNTTRLLNNTNGQYNGVLEVAVVNSLVSPSIDSPIQFNVFVSACDDL -KFGEVSTNKMKQYGLWATAPPALRYEPQSGIVDGAAIAGTSEGATDAPTNPDPIAPIAKTSAVMDQTLNV -FFGEAPKSIRELNRRYVLHRTDVRASSSTFNTKLLKIKDKGLGLWAGWDPEGVDTVDGNPCNITIPTFAQ -WFSPCYSGWRGSTRTKYLFGGNTDTNPIVSRIGFTSDPRYAESSSALADPQSATKRLTYANGHFTAGGSA -TTNIGINDTIEVEVPYYNGIRFSPARMPSASFANGCHSAQVDTVLYNPNLATPELPATQAIIRSWKSVGE -DFTFFFFTGCPIIYRNEIVIPA - ->YP_009337207.1 hypothetical protein 2 [Wenzhou picorna-like virus 9] -METLLLQINRIAELTEGIKQLEIDNLNLRHALARKYAHVNRLKKRITDLETTPATEKELLKAYGLDVEDS -DSSRSCAQAIAAFVSQSGVIETRKRKYDDLDDELETFFPSGGQRTVSPRTVMEFDSQAGEEGDGILQTPD -QAQDTEQILAFDEENPGYKTERVSAYEATMDYGYNTDASLATALNRPVKIHEMLWNVGEPLLEKINPWQL -LHENPFFADKIANFELIRHILHVRVVISGTPFHYGRAIASYNPIDTYDQTDEIRVNSPQDMIRLSQRPHV -WLDPANNQGGTLVLPFFWFNNYLSISQDDVQLMGELTFQSTQPLRHANGGNDSVSISVFAWADDIKLSVP -TSVPPGQAPFKDRLIAARANALDPVLESQAGLLTKAINDEYGMGIVSKPASAIAKAAGALSKIPMIRPYM -MATEIAATATAKVAMLFGFSRTPIVSNINYVKPVPQGNLANVDAPEALHRLTLDSKQEITVDPTTVGLSP -EDEMSILSFVKRESYLTSFEMEPSEGVETNLFECRVQPGLFDVDGSTFHRTPMAHIQTLFNRWYGTITFK -FQIVKSKFHKGKILIEYDPLYFQSQNAGYNTNYTRIIDIADHDEFEFDVGWCQAEPFKDCITIAGTPPFS -TTGGLTPNYGAANGMIRVKVLNNLVSPSADAPISFNVFCRMNDDAKFGAPSTVYAEHTSFFPLVSPALDS -QSGELATAQPAVAPTGAQQEPSIGSIHTPDDNYMKVFFGENVTSLRELMKRYSAHRVLSTSAPDPNNYVD -YQFEFKNQPFFRGYDLSGISDVSSGRKYNMVKNTYYTILAPCFAGYRGGFRKKFIFSGELRSPYNPVVSR -GAGSANAFNIVRETRSLGSSVQADADQMLKLLPSSISGAATVNLGVNNTVETELPFYEGLRFLPARIISN -SSPFLHARTYLRMGTSPGNNAPDVIPMTCTEYDACAEDMSFFFFTGVPPTYNYNDPPLA - ->YP_009337154.1 hypothetical protein 2 [Wenzhou picorna-like virus 20] -MADVPSRAEIICVATNNQDISGGVTENKEDDSVEISESVEIQQTEQTVTYITARDQYGVDVTNDLSAYDD -GLSDPVPLGNSLERPVKLTEFTWTYNTSLRNDIDIWTLWQSDPFIKSKLDNFAYLRCNLNIKIVCSASPF -HYGRLMFNYIPYGDSNEVWYNNKTTLYGSGAAGSIEAYLQYLSTYPITGFIDPGSNNVVNLKIPFLWHKN -FINIAGIDGTAKESLGLLTYTDLNILSKANPNATDTVNVSVFCWASDISLQMPTNFSPTSSGKSKMRKRV -RISSDGVNEVDDCSEGVISTPATAIANIAGKLSNVPVIGKFAKATEIGASAVGNVAKLFGFSNPPMNITP -IPRSLRMYRNLANVIGQDTAEKMSLDPNQELTIDPRVIGWSPNDDMTISSIVSKEQWLTKGQWNKDVGQF -VTAGATKIVAAVLVSPVATRATAPWGAAPVRTAVQPSPSGHLAHLFKYWRGKIIYRIEVVASKYHSGALQ -IQFDPMVKSAALAAGDFYTANTNTRQTVVLDITETKELEIEIDYVSHAPFLKCRDIVNTTFAPGSISDTT -FALDTVHLETHDLGVLTISVLNELVAPGDTSVSVGAGAGVDVNVYMKCADDLVFAQPTGGWEGDIFVPTS -SGLTTWQKKVLIEAEDTADDVLTFFGEKVSSVRSLLKRPVVTHMNVPITGAFGGGSEQVRMYFPHFTAEV -LRGAPDSRRNSYESYLAPCFLAKRGGMRYKIYFSQSNTTNATTTSGYAAISRGSSDATVAGNPTVTLADN -PSRNSILSAFPSGAQGMQLTEVSYNPTLDIEAPFYSSTRFNLACDLTNLTSPYNLTKNTTMDEILYVRVD -MYGFNAGSWKYTIHNSVGEDYSLMCFQAPPTHWIFS - ->YP_009337007.1 hypothetical protein 2 [Wenzhou picorna-like virus 10] -MILISHSGVASDSDAPEGRTETLVAPMSRQEITAFADQDAGWLQTIEGTYDPTMDLAKNDDSTLGSFLKR -PIRQSAQTWLVGQGLHYKFNPWQAFCENPYVRDKIKNYQLLRMKLHCKMVISGTKFHYGRSLVSYNPFTW -GDEVTVDRAFIPQDNIQASQKPHFFLNPTKNTGGELCLPFFWDKNYLNIPAGDWKDMGEIVINSFGNLLH -ANGGNDPVTVTIYLWAEDVVLTMPTNSDPPLVSQSGRRRARALNSRDQGNSIASDEYGTGIISKPAAAIA -RAAGQLSSLPVIGPYMTASQIAAGATANVAKIFGYSRPAVITDTQIMKPSPTGNLASTDAADAVIKLTLD -SKAELTVDSRTVGLAGQDEMGITEYCMRESYLTSFSWEPDQAPDSLLWNTRVLPMQLDNVNEEIHMTPLA -HMATCFGNWQGSLKFRFQIVKSDFHKGRILARWDPNFFTSSVNYNTNYSRVIDIAETDDFEIVVGWGQSS -PWKECGSPYATGSNFSAVSRLYTSETQANGVLELAVLNELVSPSIDAPISINVFVSACDDFKLANPSNSK -LNYFHLFPVPPTEPNVLEVQDDALGPGGQGQVQDVGLGPFSPAGGIGDPSNGEYDVLPSQSSEPNVETGD -STESDKPTSSGEIISIASKSDPDDNTYMVYYGDPPTSIRELCKRYCFTRMWFPERASTGAIRINGLTNKD -LPYHTGWDSHGLDTSTVTGNPMTVGPTAFHSWFLPAYAGYRGGMRKKYFFTGNLKQSPQVSRSLYHTYGN -GTSTDSELSTTQTNAERQKFYSSRWNSSAGTGTAATNMSINDTIEVELPFYWNRRFAASRQIRAQTLQCN -SHRVVTTAATISGEAQNQDTLGLFYQQHDAVADDFSLFFFTGVPIYYFYSLNETS - ->YP_009336662.1 hypothetical protein 2 [Wenzhou picorna-like virus 52] -MNLHAKFVISGTAFHYGRAIVSYNPFLFDEVTVQRNFLDQDIIGASQKPHIFLNPTNNSGGQIDMPFFWY -NNYISLTDGNEAFMGDLTIKSFGTLKHANAGDDPVTVTVFVWASDVTLTVPTSLNSLTASDYTPQSGSMK -AADDEYGKGIISKPASAIAHAAGALTDLPGIAPYARATEMMASGIGQMASNFGYSRPPVVTDMVLQKPSP -TGNLANTDAADAVNKLTLDTKQELTIDSRTVGLDGKDQMGIVDFACRESYLTNFSMSSSQAPDTMLWNCR -VGPSLYDSVSGASAELHPTPMCYLAQVFRYWQGSIKFRFQAVKSNFHKGRILLRWDPKSHGSQIEYNTVY -SRVIDLAEEDDFEVVIGWGQANPFLRTKMMLPTTNNVHGTTRLSTDFSGCWNGVLEVDVVNSLVAPAADT -DISFNVYVSCVPDIKFAAPLPDNLATYSLFPEQGNSLKTIPEEEDGITQLLSTVRKRRSTGYTPQSGMVE -EAPTGTTMNETDIPVDPGTVQDIAVTSEEADQTMNVFFGESPKSLRDLFRRYVHHRMWVIEAPPSGAMVQ -STLRDRAIGYWNGYDPEGLDTHSGFPATITIPHFVHWFSPCYAAWRGGLRTKYVFDNNQHVMPTVCRSGA -MSATGVETHILTDTDPDYLTQRLTYASHRHTAAGAASTNLGVNNTIEVETPFYYAERFASARLPKADVGN -GSPVNIVDMLGGSDSFGPTTPKLHSCATWKSVGEDFSLFFFTGCPIVYLSGINVSPV - ->YP_009333599.1 hypothetical protein 2 [Beihai picorna-like virus 33] -MLLISQSGVMGDDAPPVGTTEGELAPMTSEQITAFADQDAGWVTEKVGVYEPTMDLATNTDSELGNFLQR -PLRESAQTWLVGQPFFYKFNPWSAFCENTYIRDKIKNYQLLRMKLNVKIVISGTKFHYGRALVSYNPYTK -GDQVTVDRNFITQDLIQASQKPHFFLNPTTNSGGELSLPFFWTKNYLSIPAADWNDMGEIVISSFGNLLH -ANGGDDPVTVTTYIWAEDVVLTIPTQSDPPLVSQSGRRSAKDEKNNIAAKDEYGSGIISKPASAIAKAAG -ALTNLPVIGPYMTATQIGSNAVSKVAQIFGYSRPNVITDIAQYKPTPTGNLANIDAADAAMKLTLDSKAE -VTVDSRTVGLDGSDEMGILDYVKRESYLTQFAWSPSDAVDDLLWNTRVLPMQLDNVNGEIHMTPLAHMAT -AFEQWQGSLKFRFQIVKSDFHKGRILVRWDPNQFTSSVDYNTNYSRVIDIAETDDFEIVVGWGQAAPWKQ -CGQPYSTGSNFSDSSRLLANSDQGNGVLELAVLNELVSPNIDAPISINVYVSACDDFKLAGPTNGKFNNF -HLFFSGPLNAQSRIEYLDDDEEPLESQSSKPNTETGDSTDSDKPTASGEMMQIAKKGDQTDATYLVYYGD -PPCSIRELCKRYTFTRFWNPSQAAEDTLRLNSVRNKNMPYYTGYDPKGIDLATDGTTPLTVGPTPYVSWF -TPAYAGYRGAMRRKFFFSAFNTTQSPYVVREGYSGSGNGVFNFTFYSLTQTRQNIQKYLSSRFGNSTGAG -IAATNLSINNTIEAELPYYYPQRFSASRTIQAQDLDCNSHTVRTTDVLIDTTGPQPERLGTVFQEHAAVG -EDFSLFFFTGVPIYYEYSLTEAS - ->YP_009333567.1 hypothetical protein 2 [Beihai picorna-like virus 4] -MKMLINGNSFYYGRALVSYNPYLTNDNITLNRAFFEQDLVAASQKPHFMLDPTTSQGGEMLLPFLWPENF -LDITKPNWHANMGQVTIHDFDILRHANGGTDPITVTVFVWAEDVNLSVPTTSQAQSGSASRELDEFGFPT -YVEQAGGKKKKGPTKKANNTRTNDEFVKDGLISKPASAVANAANALSMIPMIAPYAKATSMVATRIGQIA -KIFGYSRPQVLEDTKPYVPRYMGNLSNTDTPEPLVKLSVDSKNELTIDTRVMGLGGEDELAISAIAQRPS -FWQQFDWSESATTDTLLASIVVTPELVRTLSASPITEVHPTALAFAAGPFDAWQGSIKFRFNVVCSEYHR -GRLRIVYNPRTNNSGAVAYNQVYSTTIDISEDRDFEYEVKWADVRAWNLTFGPEIASVRPTFSTITNVTA -GEQFDNGSLAVYVVNELATPSNTNAAVKVQVWVSGGEDIAFAAPTNDKLSKISYFQQQSDIAPYVPQSEE -APEALATSTDESNAPGCSNEIEAFGSNSDMIQDDNQYLVYQGERIVSFRDLLRRYNYHTSYWPGDIGSGV -RMVGHNITDFPYYRGWDPNGGDAGVPSGGGSAPYSYCNTTLLNYLTPAYVMRRGALRHKAIISDISSPGS -AGTFAVARYGLDGTDNSDSTFSLDDALIGSRRRNFLNTQRGSLGGTAITPVPNNPCLEYETPFYTSGQRF -VPARELTYYNLSHLGHQLTTEFRSNVSDTTVRIDKYISTAEDFQLGLFIGAPVYYIYGNPLAA - ->YP_009333550.1 hypothetical protein 2 [Beihai sipunculid worm virus 3] -MDRGNFINLEKGSRSVPYTPHNSVHDVRIDTEDGIAHYEDYYYSQSGLEKVLQRKHAKLGELEQAMVRKN -RKIAELESNLARKYRQCNKLKERVALLEAQLFGDDLDIEPKESFDDFNWHLPAVMESQSGMESAVGDGEP -GISVDEPPPRKTEQITAFADEDAGWQTTVHSEYDETRDTVAAGDTNLGNFLERPIRALQTSWVVGQPLFT -SFNPWSTFQLNDAVNDKLGNYELLRYKLHMKVVISGTPFHYGRAIVSYNPLSGLDDVTVTRNFLDVDVIG -ASQRPHFWLNPTNNEGGEMCMPFFWYDNYLSLSKADASLMGEVSIKSLGNLLHANGGDDPVTVTVYLWAS -DVVLTMPTSITDPTAMQQNLVLASQAGKQGNGNNSKRTKGKGNTNQLNSGDEYGQGIISKPAAVVAKAAG -VLEQIPMIAPYARATSMIADRVGKVAAIFGFSRPAVLTDVEPFKPNPTGNLANVDAADAVHKLTLDSKQE -LTIDSRTVGLDGVDQMGITDIACRESYLTSFTWSPDTAVDALLWNCYVTPNLFGINAEEIHPTPMSMISQ -IFRDWQGSLKFRFQIVKSQYHKGKMLVRYDPRSHSSTIEYNENYSRVIDISGEDDFEIVVGWGQSDPFLE -CGPQMNTNVTHYGTTRLTTDSNQRYNGVLELNVLNSLVSPSVDSSITVNVFVSMCDDAKFAFPSPDKLRN -LHYFPEITPVVQAHPPDEESPGILISQAGMQTTNSDMPMGASKLQTIAEEGSPADHTFEVFYGDVPVSLR -DIFKRYVKIGTTVPDPPATQDTYRFTRYVRKIFPLHSGWDPQGIHRSEADGTTPLNVIQTTPLNFMAPCY -AGWRGSIRRKFVYHEDDKPVLLQPYVNNYNYGNQNIVNVDKPLATTNQNLEKTLSKNWNQFTLGGSTTTN -IGINNTIEVEFPFYQDARFRSTRIITANDLPGNNYQHGVTSYQTGTGANITSQSTIFDEYVATGEDFSLF -FWSGAPIVYNYSVTESS - ->YP_009333529.1 hypothetical protein 2 [Beihai picorna-like virus 9] -MVEIQNSVDTTMDISTTSQADIVGFLSRPVRLTTVPWTVTSHVFASFNPWSDWLSDKHVKEKLSNYELLR -CNLHIKAVISGTGFHYGRAIVSYDPYSGFNDLAVARAFLDADICLASQKPCLYLNPSTNEGGEMILPYFY -HKNYISMTDLDLDYMGKLYIKSINTLKHANGGNDPVNITIYAWAEDVTLTMPTSAYSPQSGKLNSGDEYG -MGIISAPASAVAAAAGRLTNAPIVGPYARATQMCASAIGEVAKLFGYSRPPIVSNIMLNKPRICGNLANT -DVSEAVQKLTLDTKQELTIDSRTVGLDGADQMTLKSIFTREAYLTQFDMTPSQTPDTVLFSTRVCPSLFK -TFDDEIHPTPMSFVANMFEKWQGTIKFRFQIAKSQFHKGKLLVRWDPRAHGAVAYNTAYSRVIDIAAQDE -FEIEIGYGQALPFLNTVGLSTTHPVLWSTSASLTADTTTSFNGVLEVNVLNPLVSPSSDSQISFNVFVSA -GEDMKFGGPTDLYFSNTSYFPSKEPPASRFTPQSGEMGASNSMIVAEDINVDSDKTTIEAIVPGGKEVDH -QFEVFFGEAPTSLRELLRRYAFSQAICLPHTAASRMEYITLIRKVLPKFRGWDPNGADAYQTVPCTYSNT -TLLNYIMPCYAGWRGGVRNKWVFENKDRRFSRNPLMLRMPFQQDKLVSVDSVNDSATMQSFLSNMWGGGT -FNGAMLNDLEQGNAYELEIPYYAATRMSPCRMIGADFVNGCDSVGYRDFSRNSSGDNDSNRQIISIYTSV -GEDFTLFFFTGCPIIYDYAMPVSAD - ->YP_009333527.1 hypothetical protein 2 [Beihai sipunculid worm virus 4] -MCRTQYFINYITNLHTICEDSVLDKNTDLNAVMRNIQRVESFDELDMVKVLRNRNKVLKEKLAKKYRHVS -QLEKRIQQLEGMVLISQSGQSDSIPVGLDESESAPLSKQQVTAFADQDAGWQTTVSGGYDPTMDLANNAD -SSLAGFLQRPIRQSAQTWIVGQTLYYKFNPWAAFCENSYVKEKLKNFQLLRMKLHCKMVISGTKFHYGRA -LVSYNPWSGPDTVTVERDFIQQDLISASQKPHFFLNPTKNQGGELCLPFFYDKNYMSIPDGDWNDMGDIY -VKSFGNLLHANGGDDPVTITIYLWAEDVVLTVPTISDPPILVSQSGRRPKKGLSQQNQANSIASDEYGQG -IISKPAAAIAKAAGQLSSLPVIGPYMTATSIAAGAFGNIAKIFGYSRPNIVTDIQQVKPSPTGNLANTDA -PDAAIKLTMDSKAELTLDSRTVGLDGTDQMGILDYITRESYLTSFDWDSSAVTDDLLWNTRVLPMQLDNI -NSEIHMTPLAHMASVFKYWQGSIKFRFQIVKSDFHKGRMLVRWDPNAFTSAVAYNVNYSRVVDIAETDDF -EIVIGWGQAEPFKLCGEPYDTGSNFSAASRLLKDDTQANGILEVAVLNDLVSPSLDSSISVNVFVSACED -FKLAVPYNEVINDLHLWPVPVATTIDEFGVAISPQGNDDSQGTLGLPDDEDDQGENEVLNSQSGNPNTET -GETTQSDKPTGSGELQTIGESAEQDDNTFLVYFGDPPTSIRELCKRYCFHRGWVPPKAGTDALRRSTLRN -KNLPYYTGYDPAGIDTDATARPVTVGPTPYVSWFLPCYGGYRGGMRKKYFFTGNFQQSPSVSRSGYFGSG -NATFYNAEQLLNVSTAVRQKFLSSRLGNSFGNGAAATNMGINDTIEVELPFYWDRRMASGRNKTANTLQC -PSHLVTSTAVTTSTVGPADDTFGLFYQQSDAVAEDFSLFFYTGVPIYYKYALTESS - ->YP_009333440.1 hypothetical protein 2 [Beihai sipunculid worm virus 2] -MQNRTIFVNSITETKQIFRMSPILEKGDFDLSLSRAETYQDMTQLIKRCEELEKKNDQLKRSLTRKYNHC -YKLQNKVRILEKNLNEVMSLEVPIDDTIFDSQSGMESAAGDAEPGLSVEEPPPRSTEQITAFADEDAGWQ -TTVHSEYDETRDTVAAGDTGLGNFLERPIRALQTSWVVGQPLFTSFNPWSTFQLNDAVNDKLGNYELLRY -KLHMKVVISGTPFHYGRAIVSYNPLSGLDDVTVTRNFLDVDVIGASQRPHFWLNPTNNEGGEMCMPFFWY -DNYLSLSKADASLMGEVSIKSLGNLLHANGGDDPVTVTVYLWASDVVLTMPTSITDPTAMQQNLVLASQA -GKQGNGNNSKRTKGKGNTNQLNSGDEYGQGIISKPAAVVAKAAGVLEQIPMIAPYARATSMIADRVGKVA -AIFGFSRPAVLTDVEPFKPNPTGNLANVDAADAVHKLTLDSKQELTIDSRTVGLDGVDQMGITDIACRES -YLTSFTWQTGDAVDALLWNSYVTPNLFGINNTECHPTPMSMLAQMFKDWQGSLKFRFQIVKSQYHKGKML -VRYDPRSHSANIEYNENYSRVIDISAEDDFEIVVGWGQSDPFLDCGPQMNTNVTNYGTTRLATDSNQRFN -GVLELNVLNSLVSPSVDSDITVNVFVSMCDDAKFAFPSADKLRNLHYFPQQTPVQQQHDNGQDNPGVLIS -QSGMQDSSSDKPMGATKLQTIAEEGAAADHTFEVFYGDVPVSLRDIFKRYVKIGTTVPDPPAATDTYRFT -RYVRKIFPLYSGWDPQGIHTSEVDGTTPLNVIQTSPINFMAPCYAGWRGSIRRKFVYHEDDKPVLLQPYV -NNYSFGVQNIVNVDKPLATSNQNLEKTLSGKWNQFTLAGSTTTNIGVNNTIEVEFPFYQDARFRSSRIIS -ADDLPGNNYQHGVTSYQTGAGGNVTSQSTIFDEYVATGEDFSLFFWSGAPIVYNYSVTESS - ->YP_009333436.1 hypothetical protein 3 [Beihai picorna-like virus 7] -MPVLDSIPENDDVLQCDTRLIKPELFRCATSGFTPQSGEVDQTVTQAPEGTVEQIVAFDDQEAGWMKDAS -GQFDSTMDLVDKSDTDLGAFLGRPVKIDTQAWVVGQPLYKQINPWELFVTHPAMANKLAHYELLRGNLNV -KVVISGTGFHYGRTLVSYNPLNGYDEASVTRNFIDQDLIAASQRPCIFLNPTDNSGGQMKLPFFFLQNYM -SLSKGDYRDMGVLDYKSFGNLLHANGGDDPVYITTYAWMTETSLTMPTSITSTITLQNETVDPDVFPLGP -PYGVGNGAENGVGPPFSPQSGFQPQAGGKPNMKKSNKLQSKGNARGKAKLNTGDEYGQGIISAPASAVAK -AAGMLTSIPFIEPYARATQMVASKVGQVASIFGYSRPAVVSDFLLQKPSPTGNFANVDASDAVNRLTLDS -KQEITIDSRTVGLDGGDQMAFDNIQTRESYLTSFTMSSTDAPDAILWNSYVTPNLFGINNPELHMTPMAM -LAQYFSAWQGTIKFRFQIVKSNFHKGRILVRYDPRSHSSDVNYTTNYSRVIDLATEDDFEIEIGWGQNVP -FLGNQQANVSRVYYGGTRLTTDSGGNHNGVIEVNVVNSLVAPAADSDIRINVFVSAGDDMKWGRPNPDKI -RNLHYFPATAPPLNEFQPQSGMGGDLSGTTGDSATDRPTEADNVPSVGCPADDDHMMDVFFGEMPVSLRE -IFRRYVFEKYFVPTAPTADGALTTSNYRIKSFPFHSGDDPDGLDVKSDGLRYNQVQTSPIAFFAPCFAGW -RGSLRHKWVFSGESLQSPLISNYGFIAANGAQSTEWTVTNDLEAEKFLSATFGKFSNGGSAGTNLGVNNT -IETEMPYYNGNRFSSSRILSADNNSSYSYQVETIQSKSVPSGPVPSVVTRDAFYRMCAAGEDFTLFFWTG -VPIMYNYSRTYTS - ->YP_009333415.1 hypothetical protein 2 [Beihai picorna-like virus 6] -MRPGQASCNKMTKIEEDVVPENQDPLQRDTRLFRCTTSGFVPQSGEVSQVIVEAPDQSAHQITSFADQEA -GWMKDASGTFDSTFNAVETSDSQLGAFLGRPLVVDSTAWVVGQPLYYQINPWQLFLTHPSIARKLSNYEL -LRCNLNVKVVISGTGFHYGRTLVSYNPLNGYDEASVTRNFIDQDLVAASQRPCIFLNPTDNSGGQMKLPY -FFLKNYMSLSKGDYNDMGVLDFKSFGNLLHANGGDDPVYITTYVWASDWSLTMPTSINPSGPTVQAVAPD -DGIFAPPFGMGGGSGPEVPPGPPGFTPQAGGRPNGKKHGSNKATGNAKGKQKMNQGDEYGKGIISGPASA -VAKAAGMLTSVPFIEPYARATEMVASKLGAVASIFGYSRPPVISDFLLQKPSPTGNLANVDASDAVNKLS -LDSKQELTIDSRTVGLDGGDQMTLESIQTRESYLTSFTMSASDLPDTLLWNSYVTPNLFAIQAPELHMTP -MAMLSQYFSSWQGSIKFRFQVVKSNFHKGRLIVRYDPRSHSATVDNATNYTRVIDLAEEDDFEIEIGWGQ -NEPFLGLQQANASRVYYGGTRLTTDAQNNFNGVLEVNVLNSLVSPSVDSDIRINVFVSAGEDMKWGRPNP -DKIRNLHYFPEVNSGLIAPQSEEEEFYEPQSGEASDLSGTTGDSATDRPTEADPVDKVGCDVDDDHMMEV -FFGEMPVSLREIFRRYVFTRTYVAGPPNAGDVQITNMRVKSLPFHSGDDPNGLDFKANAIDKYSAVQTTP -VAFFMPCYAGWRGGLRHKWMFAKGSSSSPVVSNYGFLSTNGATTSTQTYSNPLELTKFLSFQRARFTNAG -SASTNIGVNNTIETEIPFYQGNRFATARILGADNLPCNSYQITTTEVTVDGQTPGDEQAIATSYDRWDSV -GEDFTLFFWTGVPILYNYSRDQNS - ->YP_009333406.1 hypothetical protein 2 [Beihai sipunculid worm virus 1] -MGVVHPSPLEQTYKAGETTDVPLGEFLARPVQIFEEDLVDGSVQTLSFEPWTAFFNDALVKRRVEGFRYV -RGRLRLRFVLTGNPMLIGRNIAYFRPRAARDVFPLVGAFSDARIIAASQYPHIYLDPMKGEGGEMTLPFF -CPDNWVDLASTTSLSNMGDIRIDTVATLAHANSATAYAQLKVFAWLEDAEICSPTTSSYAAYTPQGVDTA -VALTGAMSGVISAFFTILGFYLTLWETFVDSVCKEMVRIVRPVEPQATEGMQHNASKTASAVAAAAGLLS -DIPVLAPYALATEMAAGAFAKVASIFGFSRAQVGTQLTKHKPYVFGELATTNTNEAVMRLGLDSNGQLTV -DSRTVGLDGTDEMAIQHIVQKEVFVTRQDWRESDVEGATITMMAVTPMQFDTDTSVTPNRSVLTAQAAIG -TLFRYWRGTIIYRFTIVASAFHRGKLRITYDPVTIVGSPGFNQVYSRIVDIETTRDFEVPVHWHAHTPWL -KVSNIPVGTTSYFHGNSIPAEPEYFNGVIKIEVLNKLTSPDPALGKAVSVIISQRMTTDADFAYPSTSFR -NLTFASTNPVVEPQSIMPVEPQAVETATAADGLENDNMPETAEPMEGIGAGEITPSDPTTMVFMGETVKS -LRSLIKRYELSTFEGATGTFDFDWRSDVKGRLFRLEYIMHMFAGWRGSLRMKAINASFSDRPTFAWFDHE -LTGNLATSNTQRHEAIGVNSFCSEVERPFYYNRRFTETRKNPAFTSSIMIGDDVHDPNRIRGHVYTYSSA -VKNIAKAAGDDFSCFFFIGLPPVYAI - ->YP_009333404.1 hypothetical protein 2 [Beihai picorna-like virus 2] -MRRFRNFRCNLKVKFVINGNPFYYGRALVSYNPYTFLDIVSVNRAFFIQDLIAASNKPHILLDPTTSEGG -TIHCPFIWHYNYADITSANWTAELGEIIIHDFDALRHANGGTDPITVSVFAWCEDVDLCVPTTKNVQSKP -AGTIQLDKFGYPDILDLQGQSTNKGKSRKKGSNKRSEDEFSHDGLISKPATAVAKAASYLSMVPYIGPYA -KATEMVASKIGEVAKIFGYSRPQNISDVCQYTPRYTGNMVNTDTSENVTKLSIDSKNELTIDTRTFGLGG -ADEMTINSIAQRMTFWKQFDWAESKNPDDILASWQVQPRHFDTNPSFVGTEIHQTALSFASLPFEAWQGT -IKYHFKVICSEYHRGRLRIVWDPKTPPTGAIPMNQTYSTIVDITENREFDYEVKWAQTRQWLNMPRVTTI -LTLPDNYYRTTSPCPVTEGNGTLSVYVLNELATPSITPSEIKIQVWTSAGDDFAVAIPGGELHNMSYWQE -QSRPEMLASPNDNSNNPVGGGEIPSFADGAPSMESKSEQYLVYQGERILSFKDLLRRYWFSYVHLLRKAQ -PSSYGYTRITMPGLTPMRGFNLNRGRQFAQNSSAAMVRFDYAPMTLLNYLKPAFAVYRGSLRHKFVTRGW -KNTSNESSMVISRRVLGPPHGYSVAAINADMDLPMTESAVVAALTSAEYSKGLGMVITPTLLNNTLEVET -PFYSYGEKFKAARQIDHDLGDDRQGLILEIDNTDLNSDTQRRIDQYTSVGEDFTFGLFVGAPIIYQYNDP -PPV - ->YP_009333582.1 hypothetical protein 2 [Beihai picorna-like virus 11] -MNLSSTSDASLGSFLGRPTRIKEYQWAVGQPFFERFNPWELFLNDPRVAEKIANFELYRSQLHVKIIISG -TGFHYGRCLVSYNPYHGYDDLTVQRNFLTVDLIGASQKPHFFLNPTNNSGGQLDLPFFWPKNYISLSETD -RSDMGEMTIKSMQSLQHSNEGDDPVTITVYAWASNVVLTMPTSQTTLTAANYTPQSGMMNSGDEYGKGIV -SGPASAVAHAAGQLKSIPAIAPYARATEMVAKGVGSLATHWGYSRPPIVTDIVQQKPTPTGNMSNTDAAD -AVMKLSLDSKQELTIDSRTVGLDGEDQMDISRFCQRESYLTQFTMNTSQGPDSLLWNTRVTPLLFGVNND -EIHPTPMAYMSTVFEKWQGSIKYRFQVVKSNFHKGKILLRWDPRANDANIQYNTVYSRVIDLAECDDFEI -CVGWGQSAPFLTCGNMNTTETFYSSSSRLLNNINGQYNGVLEVAVVNSLVSPSIDSPIQFNVFVSACDDL -KFGEVSVDKMKQFGLWATPAPALNFVPQSGIVDGAAIAGTSEGATDAPTNPDPIAPIAETSVVADQTMNV -FFGEAPKSIRELNRRYVLHRTDVRSSSTTFNTKLLKIRDKGLGLWPGWDPEGVDTENGSPCNITIPTFAQ -WFSPCYSGWRGSTRTKYLFSGNTDSNPIVTRIGYTSDPRYVESSSALADPISATKRLTYANGHLSAGGSA -TTNIGVNDTIEVEVPYYNGARFSPARMPSASFANGCHSAQVDTVLYNPNLASPELPATQAIIRSWKSVGE -DFTFFFFTGCPIIYSNEIVISP - ->YP_009333510.1 hypothetical protein 2 [Beihai picorna-like virus 1] -MLLPFIWPENYLDITKAGWADEMGEVDIHDFDALRHANGGTDPITVTVFCWAENLTLAVPTTSVAQSDIA -PSPNSNYSRQGRVEDKDLDEFGFPKPYTAQASKQSKKAMMKGSNVSSGDEFVKDGLISKPASAMAKVADA -LSMIPVVAPYAKATSLVSTRIGDIAKIFGYSRPQVLDDIRPFVPRFGNMANSDAPEALVKLSLDSKNELS -IDTRLMGLGGEDELTVNSIAQRWSYFRQFDWPESATTDSMLTSIKVWPNYGQTLTASPVKELHPTALCFA -SSPFEAWQGSIKFRFNVVCSEYHRGRIRIVYNPTTSPSGAIPFNQTYSTVVDISENRDFEYEVKWADIRA -WGLNAGVGSLDTDPGYDDVNPVTCGGLYDNGSISVYVVNELATPSTTAADVKIQVWVAAGDDYAVAVPTT -KNLAILSYHAQQSEIAPNEALASAEDTSNSPGCVTEVKAFAAGEGIKESDQYLVYQGERIVSLRELLRRY -NYHNCYFPGGDGTTGQTRVLGYNIHNFPFYRGWETNGQDTATNSVSATAGYNFCSMTMMNYLTPAFACRR -GGIRHKTVISNLEVPSTANPLTVSRHNILGKANGVDDHVQTGNAGDRRSKRLDLMGTGLGGTHLTPYHVN -PVLEYETPFYTAGQRFLPGRKINLYDQVEMAHEVALDVQGGTSANAIRIDKYVSAAEDFQLGMFVGAPVI -YQYGDPTAVS - ->YP_009333429.1 hypothetical protein 2 [Beihai picorna-like virus 8] -MWVEAFPEETYEYVPQSGALGTIQEEGDPALGAQITTFADQSAGWSTKIASGSDATMDLAKTSDSSLGAF -LERPTVIGTYSWVVGQPLFEKFNPWQLFLNDPRVAEKIANFELFRSKLHVKMVISGTGFHYGRALVSYNP -YSGYDGITVERNFLSVDLIQASQKPHFFLNPTNNMGGQLDLPFFWHNNYLSLSNTDRNDMGEIVIKSMTN -LQHANEGNDPVTITVYAWASDVVLTMPTAQTTLTAANYTPQSGRLDEYGQGIISAPASAIEHAAGKLTDV -PVIGPYARATQIAAGAARDMAVHFGYSRPPVVSDIQLMKPNPTGNMANTDAADAVHKLSLDSKQEICIDS -RTVGLDGEDQMDIKRFAMRESYLTQFTMNANEAPDTLLWNCRVTPNLYDVNGDELHPTPMSYMAVPFGRW -QGSIKYRIQVVKSNFHKGRILIRWDPRAHPATVQYNTVYSRVIDLAEVDDFEITVGWGQAEPFLSTSAIA -ATPVLFGTNRLLNDTAGRYNGTLEINVLNSLVSPSLDSPIQFNVFVSACDDIKFGVPSPTSMKSLTLWPT -ATVQNQGGPGNPNGMPTNFPDNEDDQGDQNYEPQSGEVDLSATTPGDTDKPTDPETLDTIAAVTPVADNM -YNVFFGEAPTSIRELTRRYVKWRSEALPVPATGNLRLNNIRDRGLGFWPGWDPNGIDVENTFPCNVVVPA -YHHWFMPCYAGWRGGTRTKYTFEGTLGQPFVSREGYTSTAYKTGADFDHTDAEETTKRLSFGLGQQGAGG -LTTTNLGINDTIEVETPYYNGVRFTTAREPSADFTNGGESNRLQVLTYSTGVGPTASSGGIVHIHKSVGE -DFTLFFFTGCPILYRNEISPA - ->YP_009333308.1 hypothetical protein 2 [Beihai mollusks virus 2] -MQVIHRVESFDELSEIEILRFRNKELKDKLAKKYRHVSQLEKRIRQLESMFLVSQSGVVSDSQPPPGTEE -KELAPMTTEQITAFADQDAGWTTEKVGYYEPTMDLAKNTDSELGNFLGRPIRQSAQTWLVGQPLFYKFNP -WKAFCENSFVRDKIKNYELLRMKLHVKMVISGTKFHYGRALVSYNPYTANDQVTVSRNFISQDLIQASQK -PHFFLNPTKNTGGELCLPFFWPKNYLSIPDADWDDMGEIVISSFGNLLHANGGNDPITITTYIWAENVVL -TIPTASDPPLISQSGRRGKRVATKDQGNAINSNDEYGQGIISKPAAAVAKAAGALSNLPVIGPYMTATQI -GANAASRIAQMFGYSRPNIITDIQQFKPMPAGNLANTDAADAALKLTLDSKAELSVDSRTVGLDGTDEMG -ILDYVKRESYLTQFSWTPDAGPDTLLWNTRVLPMQLDNINGEIHMTPLAHMASVFEQWQGSLKFRFQIVK -SDFHKGRILARWDPNQFTSSVDYNTNYSRVIDIAETDDFEIVVGWGQSVPWLSCGQPYDTGSNFSSVARL -LNNWGQGNGILELVVLNDLVCPSIDAPISINVFVSACDDFKLAAPGNNDLSGFHLWPEPLPSQSGNPNVE -TGDTTMSDKPTSPSEMMTIASKSDQEDATYLVYYGDPPCSIRELCKRYCFTRYWYPTKASVDTMRINGLR -NKNLPYHTGYDPNGIDIAQNGDTPLTVGATAFHSWFLPAYAGYRGGMRKKFYFSTSNSIDYSPMVSRDEY -HGTGNGTFFNSEVAAAAGRPVIQKYLSSRWNSLSGNGSAATNLGINDTLEVELPYYRPDRFAASRAISAQ -VLQSNSHNVRTLDVTYAGQGSNILTDFTIFQQHDAVAEDFSLFFFTGVPIYYEYTLNELS - ->YP_009330025.1 hypothetical protein 2 [Beihai picorna-like virus 35] -MILISQSGVASDSDAPEGRTETSVAPMSRQEITAFADQDAGWLQTIEGTYDSTMDLAKNDDSTLGSFLKR -PIRQSAQTWLVGQGLHYKFNPWQAFCENPYVRDKIKNYQLLRMKLHCKMVISGTKFHYGRSLVSYNPFTW -GDEVTVDRAFIPQDNIQASQKPHFFLNPTKNTGGELCLPFFWDKNYLNIPAGDWKDMGEIVINSFGNLLH -ANGGNDPVTVTIYLWAEDVVLTMPTNSDPPLVSQSGRRRARALNSRDQGNSIASDEYGTGIISKPAAAIA -RAAGQLSSLPVIGPYMTASQIAAGATANIAKTFGYSRPAVITDTQIMKPSPTGNLAATDAADAVIKLTLD -SKAELTVDSRTVGLAGQDEMGITEYCMRESYLTSFSWGPDQAPDSLLWNTRVLPMQLDNVNDEIHMTPLA -HMATCFGNWQGSLKFRFQIVKSDFHKGRILARWDPNFFTSSVNYNTNYSRVIDIAETDDFEIVVGWGQSS -PWKECGSPFATGSNFSAVSRLYTSDTQANGVLELAVLNELVSPSIDAPISINVFVSACDDFKLANPTNSK -LNYFHLFPVPPTEPNRNGVQDDALGPGGQGQVQNVGLGPFLPAGGIGDPSNGEYDVLTSQSSEPNVETGD -STESDKPTSSGEIISIASKSDPDDNTYMVYYGDPPTSIRELCKRYCFTRMWFPERASTGAIRINGLTNKD -LPYHTGWDPHGIDTSTVTGNPLTVGPTAFHSWFLPAYAGYRGGMRKKYFFTGNLKQSPQVSRSLYHTYGN -GSSTDLELSATQSNAERQKFYSSRWNSSAGTGTAATNMSINDTIEVELPFYWNRRFAASRQIRAQTLQCN -SHRVVTTAATISGEAQNQDTLGLFYQQHDAVADDFSLFFFTGVPIYYFYSLNETS - ->YP_009329855.1 hypothetical protein 2 [Beihai picorna-like virus 5] -MDPTRDYGYYGDADLAEFLRRPVRILDADWTVTTGSFQQAIDPWTLFLENTQVRNRVEGYRTLQGTLNLR -IAINGGPFLYGRMIAAYFPLQSYNDHSFGATTGEYYKQQLSMLPHVFLDPTTSEGGEIVCPFLCPDNWID -LSGGFYSKMGRLHLCSVNDLLHANSATGKVNISVYAWMTNVRLAGPTTAPYETYEAHSGEELAYGAASIS -VLGAVAAWMRHFKCSFVGEDRSNNGLTLPDGSADMQPHAGDEYGSGIVSKPASAIAKVAGTLANIPIIRP -FARPTEMVAEGVGRMAHIFGYSRPTVVSDLNRAKIKNAGNMANTDQHEAVVKLSLDSKQELSIDPRTVGL -SDVDEMAFNYLKQKECYMSTMEWTESQVNGDNIGTMSVGPDFHNEETINGHPLNLLAPMYTVAAPFKFWR -GSIKLRFQIVASQLHRGRLRFSYDPYRHQFGERAEENETYSRIVDLATHRDFEMVIAWNHPQSWLRVYDR -LGSSLYNHPIGTTTITEDYHNGQVRVEVVNELTSPNPALAQPVYINLFVSAGEDFEVAGPTDDMLNKLEY -EPQSGYEPHSGVEGEEIIVESDNIPESPAPITPVGQQERPDNPTTHVFFGESFASVRALLKRYCYHQSYA -TASGLHSYIVESNFPTEPGVSKAPRHVTDVTATPSASPYSYTAMTHLNWFVPCYVGWRGGLRSKYVKSNG -GTIFVRRFSEPVHQADCGLTTYTISTGDASRGAYNALGLYASTAGCDMIYTKTDGCSEVEFPFYSHRRFA -PGRRFLDGTGLSGNEWLGENGGHLVALENDATSTTYLNRFVAAGDDFSCFMFIGQPGVLRRSEKPLANGG -QPLPPY - ->YP_009329865.1 hypothetical protein 2 [Beihai mollusks virus 1] -MHNGEVQSPYTLEAALVRINQLEHDVARKYAQTRKLKRKVALLNSIIDKYQSEALPSQSATMNVSMADDT -AKAEITTFADESAGWNTTVPTAPDSTFNLANNSDSDLGNFLCRPINVATYQWDVDSPLFETLNPWTAYLT -NPFIRDKIANFELLRMNLHMKVLISGTPFHYGRALVSYNPLSGFDQVTIERGLGGALDADLVGASQKPHI -FLNPTLNAGGVLEIPYFYKENYIPLTQGGITDGLGEVVFRSFGNLRHTDVGNPVTINVYLWATDVTLTMP -TSRDLPALPSQSGVMNSGDEYGQGIISKPASAIAKAAGMLKSIPLIRPYARATEIVATGVGDVARLFGYS -RPAVITDPNIMKPVPLGNVANVDAADAVYKLTLDSKNEVTVDPRVTGLEGRDEMSVVDYVKRESYLATFN -WTSDAGPGDMLWNCRVAPDLFRRVSYTTPSLREELHMIPACHMAQMFKYWQGSIKFRFQIVKSAYHKGRM -LVRYDPRSLGATVDYNTNYSRVIDIADAEDFEITIGWGQHKPWLECEPIDSSINFSPGNRLNELFMRAAN -GVIELDVINELVSPNASSDISVNVYVSMCDDARFAQPDGEKIKNLTYFRHPDEGPLVSQSGIVEQDGVDE -PLAATQLETIASEAVPEDQTMNVFFGENVTSIRELVKRYVMTRYWYNSFAFGNGTNVTRLRNKTFPYQRG -YDPEGLDTEQFGAYNNSNMNPISYFQACYAGYRGSIRHKYLYHTAGNMLAPVVEREDYSPDTAGIWSTQA -ITAANSDSGELTKAFTNTSWQGAAGTGTTINNGIEVEFPFYNKGRIGYSRLIRAQDLDCPSTSSWFATGL -DYAFRQGTDEKIAFQQWTAAGEDFSLYFFTGVPIMYQYTEP - ->YP_009230121.1 polyprotein [Marine RNA virus PAL156] -MPVFINYITFSYYSYFQSVIDTTIYFSKCLVGTLTYFLHNTTKETFTPQSGALGTSQKPGTTNLTQEITA -FSDQSAGWTTTIGSGSDPTMDLAATVDSDLGNFLGRPVRIAEYNWGVGSGFFERFNPWREFLNDERVKEK -TAHFELYRSKLHVKMVVSGTGFHYGRALVSYNPLYGRDDVTIQRSFLQSDLVAASQKPHFFINPTTNAGG -QLDLPFFYPDNYLSLTRGTDAQEMGEITIKSFDSLRHANGGTGNVTVTVYAWASDVVLAMPTSVNLLSSN -FTPQSGKMNSGDEYGKGIISKPATAIARAAGQLTSVPAIAPYARATQMTAMAIGEVATHWGYSRPAIVSD -IVKFKPNPTGNMANTDAPEALSRLTLDSKQELTIDSRTVGLDGEDQMDVARFCQRESYLDTFTMDETQSP -DALLWNSKVTPVLFRSEGPPSSTTELHPTPMCMMSVPFEKWQGSIKFRFQIVKSNFHKGRLLVRWDPRSH -GSTVEYNTTYSRVVDLGEEDDFEICIGWGQKEPFLDLAEMGLLFSRSPYSSTGRFASDNKSQYNGVLEVA -VLNSLVSPAISSPISINVFVSACDDIKFGQPTADKLTKYSLFREPLGRATFTPQSGEISDGLAIAGTSEG -ATDVPVGADSIAPIAKTTEVADQTMNVFFGESVSSLRQLFRRYIKHRTYVTLPTIISSDITQTVVEDPGL -GLWPGWDPNGIDVVSSKSCNISVPTFVQFFMPCYAGWRGSTRTKYAFGGKPGNNPIVTRGSNIPFKQTII -INESSEAELEKSLTFLQGSRSSAGSATTNIGVNDTIEVEFPYYQRSRFTTARLPSADFCNGAKGGHIEML -TNAEADIGAVIHAWKSVGEDFTLFFFTGCPILYANRIVP - ->YP_009227213.1 predicted structural polyprotein [Delisea pulchra RNA virus] -MYIYERPAYDPVVMQMDMEQGQQETQESNEKQVTTNFGDEEANDTIHLEVDSFDETYLTGEVVDSDIKKY -FGRPVKIDEFDWLVASNGGHMTYLCDVWKKFLEKVPLNEKLNHFALLRANLVVDVMLNGSPFRYGRMMAC -YNPWNGEDDVTRYDGVYDINKAVHLSQKPRVFLNPTTGAGGTIVCPFFHPNNYMSVVDLTTEAPSMGELI -FTSLAPLKDSESTASEVPITIWAWLDDVSLSTPTSQQNGYITQPEMQMSMDGEGKTGKKKKKEKKKNHSN -VKFAEPPMKKANDEWSQNNGVLSKPASWVAKVAGKLEDVPVIGKYAKATSMASGAISNIAALFGYSRINN -LDKIQRVLQLTQGEQAVTDASVPTQKLSLDSKQEVTIDPRTVGLDPDDQMGIVDFASRESYVTSCEWAST -AVRDTCIFSLDVGPQFAVDETNDLVYHTPLSYIAQMFKYWRGTMKFRFQICGNAFHRGRIALVYDPVMFG -AGVQYQNQFVRIIDIAENPDVEIEIGWGQPEPFLNTVNFAGETIPVEPFRAGVGSRDVSSTWNGKLGIYV -INPLQGQVTGGDQVTINIFSSCCDDLLLNVPKPIGGGKSYIDGRTTTRRNGFFRGYYYEYVNNDYTRSLP -GEAEDKVALLYTDPDDDSTQVTRAQLAALTRGNLDWWWAGTDGDLNDYFFPCMGRDEHNNAWGQFSFKNV -TGQVVNTTILTLDLTDDDWKPTAGEAVQYDFWTVDQEDENLSLTTAAATPFQWEDSWDITWPTNMGSDSN -LIKGNITLDVSNVLYMHPEKFVWYNSPDQLSHPKGVCNPKDVIYYDELVVTTNTPQMFFPYESEPDSIEM -QMDMGAISEARAQDCSIGAKQLEPINPSSNHFELNSVFFGEQMASLRQLFARYCRYSSDWLSTTYGNQVI -AEYNVGTLNPHFPMNPGLNSNGNSISSNMHVVQKAAMTPLNWLTPCYAGYRGAIRHKYVTTANGTNMHGF -VVDVCRGDVSFGDLKVNEVTSYLPSRNWQGVPNLSACSDTWQGAQFNVSSIGNVTDVELPWYENVRFKSA -RTLDQQEDLHTMYFDATSSPARSKIDHWVSAGVDFSLFFFTGVPAVHWSTMDDL - ->YP_009111337.1 predicted structural protein [Chaetoceros tenuissimus RNA virus type-II] -MPSANDGPSFTTSKTSQNTTSENVHFVDGDTPWTYDVAATPDETSKLSGFDDAGLGEFLSRPIKIQQYQW -TPGVQLFQTFNPWSDYFGNADVLEKINRFRNLRCKLCLKVLINGNSFYYGRALLSYNPYLRNDQVTVNRS -FFIQDLIAASNKPHILLDPCSSEGGQMCLPFIWPENYLDITSTGWEDQMGECIIHDFDVLRHANGGTDPI -TVSIFAWAEDVSLLIPTTVAAQSDFTTSIELDEFGFPKPFELQAQTKSKKKAPKKSTNTTKDDEFKHDGL -ISKPASAVAKAADALSMIPYIAPYAKATSMVADKIGKIARIFGYSRPAVLSDIQPYVPRYCGNLANSDAP -ETVNKLSVDSKNELTIDTRTMGLGGADELTIHSIASRMTFWRQFDWPESAVTDTLLASMSVQPFCIDTVT -ASPVTEIHSTALAFASAPFETWQGSIKFHFKVVCSEYHRGRLRLVYNPLTNNAGPVAFNQVYSTTIDISN -DREFDYECKWTDIRAWNACIGIDGATSATFFNTAAAVTGGTPFDNGTLSVYVVNELATPSTAAADVKVQV -WVSAGDDFAVAVPGVGLSQLSYFQQQATMESADSDPVLAKVEDNSNNPVGGNPIDNYGTEHAPLLKEDNQ -YLVYQGERIVSFKDLLRRYQYLNSYWPQETGSGFRYYTLDSPGMPIYRGWDPNGIDQGQDSTAGNSPYNF -CSMTLLNYLAPAFVCQRGSLRHKWVTAGARVNSTASVLSATRHGVLFPLPLAETAHPLDNALVGDRRSEL -QEMQRSRLNGTAITPVRLNNTLEIELPYYSIGQRFHASRFLDLAGTGDTQGVEIACEISDGGNDANYRLD -QFVSVGEDFTLGMFVGAPIMYFYNDPTAT - ->YP_009047194.1 predicted structural protein [Asterionellopsis glacialis RNA virus] -MDLSKFETMTTVGEKEPAVLNGKDFDWDVPLRRIPSYNMSGLYERIEILRKENKTLRCSLARKYSHCDKL -KAENRELNRLIHSLNDDVLISQSSAPTTNSEPGLTMSESAPAVEQQITAFADQDAGWKTEIHGNYDATMD -KVETSNSDLGSFLQRPIRQSVQTWLINQPLYYKFNPWKEFMENPFIRNKIANYELVRCKMHCKMVISGTK -FHYGRALTSYNPLSGFDQVTVERNYLDQDLVQASQKPHFFLNPTKNTGGEICMPFFWEKNYLSLSDKDYE -NMGELTIKSFGNLLHANGGNDPVTVTIYLWAEDVVLTMPTSLNPIVTLESQSGKKNSKTKLSSKNQSNSI -TTNDEYGSGIISKPAAVIAKAAGLLSDLPLIRPYALATQMVAGRVGEVAKIFGYSRPSVVSDIQLFKPNP -TGNMANSDAADAVHKLTLDSKSELTLDSRTTGLDGVDQMGILDIAQRESYLTSFAWNPDEGPDTLLWNTR -ITPMLYAVLNTEIHPTPMSMLASNFEYWSGSLRFRFQIVKSDFHKGRLLVRYDPNYNSSAVEYNTNYSRV -IDIAEEDDFEIVVGWAQAAPFLASGNLSGPENFSDSSRFTNTSTFFNGILEIDVLNDLVCPAEDAPIQVN -VFVSMCEDAKFGGPTNANMNNFHLFPKQVPAPQLLKSQSGMVDGTENPTNQMTDRPTGSNTMHEIASEGE -EADHTYSVFFGDPPTTLRELMKRYVQTRSWVTSEPPEGFVKVSTLLNKDAPYHSGWDPEGIDRSDVDNAT -PLNVGNTDFTSWWTPCFAGVRGARRKKYIFSSPGPQNPSVTRASFAGAGNGSQTDQLLDFTLTDNRKQQK -WLSTRTSRESGNGSSITNYGINNTIEVELPFYRDVRFLPARNVRAQSLPSNSHRVVTAASTRSEVANPKG -FGTAFQQWDAVGEDFSLFFFTGAPILYNYSVNESS - ->YP_006732324.1 capsid proteins [Rhizosolenia setigera RNA virus 01] -MRFQKLNYDFETAVMLNRVYNTLRNGLQDNSPHSAIELALNKLIVMGILQGISHIDLEQDNYDDSDSLET -LYSQSGDIILEDEPFISSHMRFRLANTNAKRRDDIKKTLVKRFRRQKAFSKQFDTHFSSQSGKSEIKDIS -HDVSVNVGFNSEVPITHTEIKSAFDPLRSDGWDSKLELTSFLRRPVRIYEKTWTVGSFLRDDFFPWDAYL -NTASIRRKLENYLYLRGSLKLQIFINGTQFHYGKGIVAYTPFGAAQHPFRTPGSAPVLDNVQYSQKPHVL -LDPTDNVGGEMTLPFVFPHNWVRHTIQSDLQQLGQIDLSSFNKLGHSLGDVTSPVRIAIFAYMDDDVALS -GSTQFSSQSGKQDEYGEGVLSKPASAVARLAGRLKDVPIIGTYARATEIGAGAVGKIASLFGYCRPLNLD -PITKFRPNYLGNVANTSIEEAADKLTFDPKQELSIDPKIIGISDNTDQLSVPYIAQKWSYLTRTPWSASD -AADSHLFSMVVNPGMYGTQTISTIDEVMLTPMAFASLPFRYWRGSIKVRVQVAASKFHKGRLRLTYDPNG -PNSTLEWGGGYHEIMDISEKRDMEFTIHWNQDRQFLEIRNPMLTANPSPVYDPADGGTPGVNPIAVDLDN -DNGVFEIRVLNELVRPSEDDTDTPDINIFVAAGDDFEVMMPYCRNLENISYVSQSGLTEATPSDSAPSGS -GEIDTIDIAGGSYETDVASLTYAGETFQSFRNMLKRYHYHTSYINPYEGTAVGLSWVWNFAHPRFPTYKG -NDVSNPFSSENEVWAKTTLMNYLTPAFAARRGGIRWKYNFNSNVLNQAPCGRMSVCRWFGGVPTDTQSSI -IKLNDGSANNAVSTARSVYYSNITGDGASLTTTLACPTLEIESPYYRSVRYEPTYQSGLIFSDIDDESLA -LQVMLTSAGGVSSPNEGSYTVDAYVAAGEDFTLDWFVAVPTMYYHDPTPT - ->YP_001429582.1 hypothetical protein JP-A_gp2 [Marine RNA virus JP-A] -MLAFPPWNTRVYGRPRQPIRFHAGHALSDACTLSSYKLLTTNYNNNSEGDTGFSVSKVDRESAAQNVHFV -DGDTPWSYDIKANADETTKLAAFTDAELGDFLSRPIKIKEYQWTPGVALSAPRFNPWREFFNNSDVLDKI -NRYRNLRCNLRMKVLVNGNSFYYGRALLTYNPYIQNDEVTVNRTFIEEDLIQASQKPHLLLDPTTSQGGE -MLLPFIWPENYLNITVAGWNANMGEVDIHDFDVLQHANGGTDPISITIFCWAENLTLAIPTTAQVSNDVI -AGFLPQSEVAPPPRKMADPGHLDSIPEHNLPSPLVRRYPVDVHGHLKPPMYTNLSSTSPYEPQGFVDDSE -LDEFGFPKSYDQQAGKGKKKAVMKANNTTSSDEFTQDGLISKPASAIAKVADALSMIPVLAPYAKATSMV -STRVGDIARIFGYSRPQVLEDTRSYVPRYLGNLSNADAPEPLVKLSLDSKNELSIDTRLMGLGGEDELTV -NSICQRWSYFRQFDWPETAVTDTMLTSMIVSPIYGRSVIAPPINEIHSTALAFGASPFDAWQGSIKFRFN -VVCSEYHRGRIRIVYNPATSPFGAIPFNQTYSTIVDISENRDFEYEVKWADVRAWATNVGISRIPSTIIS -DDVIPVLCGGAADNGSLSVYVVNELATPSTTAADVKIQVWVAAGDDFALAVPTTKNLSTLSVFKQQSEVA -PYAPQSEVAPDASLANTEDKSNSPTCTEEVASFAPGGNIPEDNQYLVYQGERIVSFREMLRRYHYFNSYW -PAETGTSTQMRIVRFNLHDFPYYRGWEPGGEDAGFNASASSSDYNFCTETLLNYLTPAFACRRGGLRHKY -SLAQLGSAVRAVKMSVSRNNLNGSVNLSSAHRIDAALEGDRRRRIQETERSSLGGSHATMANVNPVLEFE -TPYYTGGQRFESARRIGRYSTFLPSAHDVSVDIPQNLAGSDYRIDRYISVAEDFQLGMFTGAPIMYSYTN -PIAA - diff --git a/seq/clusters_seq/cluster_146 b/seq/clusters_seq/cluster_146 deleted file mode 100644 index 911a14c..0000000 --- a/seq/clusters_seq/cluster_146 +++ /dev/null @@ -1,132 +0,0 @@ ->YP_308840.1 matrix protein 2 [Influenza A virus (A/New York/392/2004(H3N2))] -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCVYRLFKHGLKRGPSTEGVPE -SMREEYRKEQQNAVDADDSHFVSIELE - ->YP_308853.1 membrane protein M2 [Influenza A virus (A/Korea/426/1968(H2N2))] -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHFILWILDRLFFKCIYRFFKHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - ->NP_040979.2 matrix protein 2 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MSLLTEVETPIRNEWGCRCNGSSDPLAIAANIIGILHLILWILDRLFFKCIYRRFKYGLKGGPSTEGVPK -SMREEYRKEQQSAVDADDGHFVSIELE - ->sp|P0DOF5.1|M2_I72A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFEHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - ->sp|P05780.1|M2_I33A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVIAANIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRKEQQNAVDVDDGHFVNIELE - ->sp|A3DRP1.1|M2_I96A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGIVHLILWIIDRLFFKCIYRIFKHGLKRGPSTEGVPE -SMREEYREEQQNAVDADEGHFVSIELE - ->sp|A4GCH6.1|M2_I83A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRLFKHGLKRGPSTEGVPE -SMREEYREEQQNAVDADDGHFVSIELE - ->sp|A4GBX8.1|M2_I77AA RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRLFKHGLKRGPSTEGVPE -SMREEYRKEQQNAVDADDSHFVNIELE - ->sp|A4K144.1|M2_I54A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLIIAASVVGILHLILWILDRLFFKCIYRLFKHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVNIELE - ->sp|A4U7A7.1|M2_I51A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRLFKHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVNIELE - ->sp|A4U6V3.1|M2_I45A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAANIIGILHLILWILDRLFFKCIYRLFKHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVNIELE - ->sp|A4GCI7.1|M2_I36A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNGSSDPLVIAANIIGILHLILWILDRLFFKCIYRLFEYGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDGHFVSIELE - ->sp|A4GCM0.1|M2_I35A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNGSSDPLVIAASIIGILHLILWILDRLLFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDGHFVNIEPE - ->sp|A8C8J5.1|M2_I07A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -LTEVETPIRNEWGCRCNDSSDPLVVAASIIGIVHLILWIIDRLFSKSIYRIFKHGLKRGPSTEGVPESMR -EEYREEQQNAVDADDDHFVSIELE - ->sp|B4URD7.1|M2_I06A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNGSSDPLTIAANIIGILHLTLWILDRLFFKCIYRRFKYGLKGGPSTEGVPK -SMREEYRKEQQSAVDADDGHFVSIELE - ->sp|Q0HD59.1|M2_I40A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWECRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRLFKHGLKRGPSTEGVPK -SMREEYRKEQQSAVDADDSHFVNIELE - ->sp|Q3YPZ4.1|M2_I71A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIKNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFEHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - ->sp|Q38SQ7.1|M2_I83A8 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRLFKHGLKRGPSTEGVPE -SMREEYRKEQQNAVDADDSHFVSIELE - ->sp|Q30NQ0.1|M2_I75A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRSEWECRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFEHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - ->sp|Q2PIM5.1|M2_I78A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFEHGLKRGPSTEGVPE -SMREEYRKEQQNAVDADDSHFVSIELE - ->sp|Q2PIK5.1|M2_I76A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRSEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFEHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - ->sp|Q288Z5.1|M2_I77A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRSEWGCRCNDSSDPFVVAASIIGILHLILWILDRLFFKCIYRSFEHGLKRGPSTEGVPE -SMREEYRQEQQSAVDADDSHFVSIEL - ->sp|Q07FI4.1|M2_I96A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGIVHLILWIIDRLFSKSIYRIFKHGLKRGPSTEGVPE -SMREEYREEQQNAVDADDGHFVSIELE - ->sp|Q6XU12.1|M2_I57A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFKHGLKRGPSTEGVPE -SMREEYRNEQQSAVDADDGHFVSIELE - ->sp|Q6XTV0.1|M2_I67A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFEHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDGHFVSIELE - ->sp|Q6XT43.1|M2_I69A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSNPLVVAASIIGILHLILWILDRLFFKCITRFFEHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDGHFVSIELE - ->sp|Q9IQ49.1|M2_I000X RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSFLTEVETPIRNEWGCRCNGSSDPLTIAANIIGILHLTLWMLDRLFFKCIYRRFKYGLKGGPSTEGVPK -SMREEYRKEQQSAVDTDDGHFVSIELE - ->sp|Q8QV59.1|M2_I82A9 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDSLVVAASIIGILHLILWILDRLFFKCIYRFFKHGLKRGPSTEGVPE -SMREEYRKEQQNAVDADDSHFVSIELE - ->sp|P67867.1|M2_I57A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCNYRFFKHGLKRGASTEGVPE -SMREEYRKEQQSAVDTDDSHFVSIELE - ->sp|P10920.1|M2_I57A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFKHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - ->sp|P26129.1|M2_I57A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCNYRFFKHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - ->sp|P03491.1|M2_I79A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDRLFFKCIYRFFKHGLKRGPSTEGVPE -SMREEYRKEQQNAVDADDSHFVSIELE - ->sp|P21430.1|M2_I60A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVVAASIIGILHLILWILDHLFFKCIYRFFKHGLKRGPSTEGVPE -SMREEYRKEQQSAVDADDSHFVSIELE - diff --git a/seq/clusters_seq/cluster_147 b/seq/clusters_seq/cluster_147 deleted file mode 100644 index 25eaa26..0000000 --- a/seq/clusters_seq/cluster_147 +++ /dev/null @@ -1,128 +0,0 @@ ->NP_057855.1 Vpu [Human immunodeficiency virus 1] -MQPIPIVAIVALVVAIIIAIVVWSIVIIEYRKILRQRKIDRLIDRLIERAEDSGNESEGEISALVEMGVE -MGHHAPWDVDDL - ->sp|P08805.2|VPU_HV1Z3 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MSILQIVAIVAIIVALILAIVVWTIVYIEYKRLLRQRKIDWLIDRIRERAEDSGNESEGDTEELSTLVEM -EPDNFRNDNDM - ->sp|P05948.2|VPU_HV1SC RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPLQILSIVALVVAAIIAIVVWSIVFILIRKILRQRKIDRLIDRIRERAEDSGNESEGIRKELSALVEM -GHDAPGDIDDL - ->sp|P12518.2|VPU_HV1RH RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQSLEILAIVALVVAAILAIVVWTIVGIEIRKTLRQKKIDRLIDRIRERAEDSGNESDGDEEELSALVEM -GHHAPWDVDDL - ->sp|P05947.2|VPU_HV1MN RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPLVIAAIVALVVAGIIAIVVWSIVFIEYRKIRRQRKIDKLIDRISERAEDSGNESEGDQEELSALVGM -GHDAPWVINDL - ->sp|P08803.2|VPU_HV1C4 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQSLEIVAIVELVVAAIIAIVVWTIVFIEYRKILRQRKIDRLIDRIREREEDNGNESEGDQEELSALVEM -GHHAPWNVDDL - ->sp|P05949.2|VPU_HV1A2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQSLQILAIVSLVVVAIIAIVVWTIVLIEYRKILRQRKIDRLFDRIREKAEDSGNESERDQEELSALVEM -GHLAPWDVDDL - ->sp|Q1A262.1|VPU_SIVMB RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MDIVQQVGLLVVLIIELVIVIVIWVKVYKLCKEDRRQKKIDRLIARIRERAEDSGNESDGDTEELQDLIT -EGDNLMHIGIRDNRNN - ->sp|Q89843.1|VPU_HV1B9 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MLSLQILAIVALVVAAIIAIVVWSIVFIEYRKILRQRKIDRLIDRIREREEDSGNESEGDQEELAALERG -HLAPWDVDDL - ->sp|Q9QSQ8.1|VPU_HV1VI RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MSYLLAIGIAALIVALIIAIVVWTIVYIEYKKLVRQRKINKLYKRIRERAEDSGNESEGDAEELAALGEM -GPFIPGDINNL - ->sp|Q75007.1|VPU_HV1ET RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MVDLLAKVDYRIVIVAFIVALIIAIVVWTIAYIEYRKLLRQRRIDRLIKRTRERAEDSGNESDGDTEELS -TMVDMGNLRLLDVNDL - ->sp|O91085.1|VPU_HV1YF RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MLSLGFIALGAAVSIAVIVWALLYREYKKIKLQEKIKHIRQRIREREEDSGNESDGDAEWLDGDEEWLVT -LLSSSKLDQGNWV - ->sp|O70901.1|VPU_HV190 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MYILGLGIGALVVTFIIAVIVWTIVYIEYKKLVRQKKIDRLIERIGERAEDSGNESDGDTEELSKLMEMG -HLNLGYVADL - ->sp|O70891.1|VPU_HV193 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MSNLLAIGIAALIVALIITIVVWTIAYIEYKKLVRQRKINRLYKRISERAEDSGNESEGDAEELAALGEV -GPFIPGDINNL - ->sp|O12163.1|VPU_HV192 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MLELIGRIDYRLGVGALIVALIIVIIVWTIAYIEYRKLVRQRRIDWLVKRIKERAEDSGNESGGDTEELE -TMVDMGHLRLLDGNDL - ->sp|P69700.1|VPU_HV1H3 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPIQIAIVALVVAIIIAIVVWSIVIIEYRKILRQRKIDRLIDRLIERAEDSGNESEGEISALVEMGVEM -GHHAPWDVDDL - ->sp|P35966.1|VPU_HV1Y2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -LQSLQVLAIVALVVATIIAIVVWTIVFIEYRKILRQRKIDRLINRITERAEDSGNESDGDQEELSALVER -GHLAPWDVDDL - ->sp|P17286.1|VPU_SIVCZ RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MTLLVGLVLILVGLIAWNICIWGYIIKWGYRRYKRHRLETEIERLNLILRERAEDSGNESNGEEEERLEQ -LIHNYNHNNHFANPMFDL - ->sp|P08808.1|VPU_HV1W2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -ERAEDSGNESEGDHEELSALVDMGHDALWDVDDL - ->sp|P08804.1|VPU_HV1N5 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -RKVDRIIDRIRERAEDSGNESEGDQEELSALVEMGHDAPWDVNDL - ->sp|P05924.1|VPU_HV1MA RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -QPLVILAIVALVVTLIIAIVVWTIVFIEIRKIRRQRKIDRLIDRIRERAEDSGNESEGDTEELSKLVEMG -HDAPWDVDDL - ->sp|P18806.1|VPU_HV1ND RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPLVIIAIAALVVAIIIAIVVWTIVYIEYRRIKRQRKIDCLIDRIRERAEDSGNESEGEREELSKLVEM -GHHAPWDVDDL - ->sp|P12517.1|VPU_HV1J3 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQSLIAAIVALVVVAIIAIVVWSIVFIEYRKILRQRKIDRLIDRIRERAEDSGNESEGDQEELSALVEMG -HDAPWDIDDL - ->sp|P12516.1|VPU_HV1BN RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPLQISAIVALVVAAIIAIVVWSIALLEYRKLLRQRKIDRLIDRIRERAEDSGNESEGDQEELSALVEM -GGHDAPWDIDDL - ->sp|P08806.1|VPU_HV1ZH RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -TIVFIEYRKIRKEKKIEYLIDRIRERAEDSGNESEGDTGELAKLVEMGDFDPWVGDNL - ->sp|P19554.1|VPU_HV1S1 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPLQILAIVALVVAAIIAIVVWTIVYIEYRKILRQRKIDRLIDRITERAEDSGNESEGDQEELSALVER -GHLAPWDVDDL - ->sp|P05925.1|VPU_HV1EL RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPLGIIAIAALVVAIILAIVVWTIVFIEYRRIKKQRRIDCLLDRITERAEDSGNESEGDREKLSKLVEM -GHHAPWDIDDL - ->sp|P20882.1|VPU_HV1JR RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPLQILAIVALVVAGIIAIIVWSIVLIEYRKILRQRKIDRLIDKIRERAEDSGNESEGDQEELSALVER -GHLAPWDINDL - ->sp|P05923.1|VPU_HV1BR RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPIQIAIAALVVAIIIAIVVWSIVIIEYRKILRQRKIDRLIDRLIERAEDSGNESEGEISALVEMGVEM -GHHAPWDIDDL - ->sp|P05921.1|VPU_HV1B8 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -QPIPIVTIVALAVAIIIAIVVWSIVIIEYRKILRQRKIDRLIDRLIERAEDSGNESEGEISALVEMGVEM -GHHAPWDVDDL - ->sp|P12515.1|VPU_HV1Z2 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPSQIIAIAALVVAAIIAIVVWTIVFIEYRRIKRQRKIDCIIDRIRERAEDSGNESEGDREELSKLVEM -GHHAPWDIDDL - ->sp|P08807.1|VPU_HV1Z8 RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -NQSERAEDSGNESDGDKDELSTLVEMGHHAPWDIDDM - ->sp|Q70625.1|VPU_HV1LW RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MQPIQIAIVALVVAIIIAIVVWSIVIIEYRKILRQRKIDRLIDRLIERAEDSGNESEGEISALAEMGVEM -GHHAPWDVDDL - diff --git a/seq/clusters_seq/cluster_148 b/seq/clusters_seq/cluster_148 deleted file mode 100644 index 2bca202..0000000 --- a/seq/clusters_seq/cluster_148 +++ /dev/null @@ -1,730 +0,0 @@ ->YP_009665974.1 replicase, partial [Drakaea virus A] -HCCCPLLEPRDVERKITRLMGLERWARDGKIKSEEQMNLYITVKHDLRRFEAAEIENEVSSVQMHGDIFC -QNKFEDCVWEPPKGNLICGVAVHSTYDIPLDVLCGAMRRRGIRQFYGCFLFSPFILFGDKEGALPFVGGR -YVIKDSDNIFKRMTSKKVIRFFFDNDPNLGYEHDLEDYMRYIRDTYVCVDGNYFSLELMQMRGDTMFYKI -TDVSEMAAMVKPGNGWFNPANVYKSFKCVPMSKMSDVLVPMYYLHKKTKALSCELKRVPKTLVDRGIEYL -MKNKESNLSVEGVKNYLSATNNSYIFNGCQVREGERTDPTLISNLAVTLYVREKYMRSAEKAVASALIGR -ASDDVSLISFIKTVWRECIWSPTSAVQRVLRKLADLYGYKYGDGLFDITQFPSYFLIEDVIEIFHNVDVG -ECFDDYDMTTEVEGYKARERDLKQITENVLQVAGLNKSESLPDVRVSTPVTPPDSPNSLPAYFVVDGEEG -TVVRTPSDSDGSPNRSGILSIVDDYYFNLDADSMVIDEEVFNPHVISRCKEVIQQVKRAEVIEVSTYLEV -AELKECKLSVCLERVPARPRIDSVSRHKQAMIEYLWYQRAKLACDKSRLYTTISDFKSSLLHNRKCAFPR -RAKFLKVDLVKEWVFGKPDISDLGHEYAVEFDLGGDLQEYPVVKMNWSLKKNGDLSSVYPVNGSRGKYYM -FTDDTRMMNEFRIYNNLFRFFQSSKFTIDDLKVKLIDGVPGCGKSTWILKNADLGKQVVLAAARESTDDL -RNSFMKPVRDGGKGTTPEIAKRMVRTVDSFFLCQRKTPFVESFHFDEALMTHFGMVCFCAALLRAKLVIC -QGDTKQIPFINRVESIELHHHLFPRECMEIEEKRITYRVPLDVTYLLNSKRYYSGDIIKSANTLPRSTAC -KLIKGAGEIDLVEGMQYLTFTQADKESVIDALRNKGVKADVSTIHEAQGKTKPKVVLVRLKSTENEIYPG -GMKSEPYVIVGLTRHTRAFVYYTMIEDPLYRDIAQLSRVMEDQLLKLSYVDGAKXRCGSKFESVSYCVKK -IPIPDVGDWVTIQDFYDAVFPGNSLRDSDFDGYHVSTSDLALDVTDCKFKMDKNFKPFDRPSGLTPVLRT -AMPEKRVSGFTEGILALRKRNMAAPRLQESVNEWEIIESVIDKATKVFFDTKLIDRDPLNTYESVQRWWD -KQSTTAKNQMLSDQRTLDEIDFCAYNFMIKNDVKPKLDRSPQVEYSALQTVVYPDKIVNGFFGPIMKEIN -ERMLHALKPWVIFNTRMTADELNQKIDFLPMDVDFETVEIDISKFDKSKTSLHIRAVIKLYELFGLDGYL -KFLWEKSQTQTTIRDRKFGIESYLLYQQKSGNCDTYGSNTWCAALALLDTLPLERAILSIFGGDDSLVLF -PKGEVVCDPCRRLANLWNFECKFFSFKVPMFCGKFLLKLDIGWRFSPDPLKLITKLGRKDIVDGEVLSEI -FISIKDNYRSYDDERVLSALSYALID - ->YP_009665984.1 gamma-A protein, partial [Poa semilatent virus] -KTLWEKSQFQTYVKDRNYGLEAYLLFQQKSGNCDTYGSNTWSAALALLDCLPLEDAHFCIFGGDDSLILF -ESGYPVPDPCTKLAGTWNFECKVFDFKYPLFCGKFMLNIGGKYMFVPDAAKFIVKLGRADIRDAEVLSEI -FISINDNYCSYKDYKVLEELDKALVDRYRAPYSALSALTSLCYYIFDFQKFKLLFSCDGNFVDKKLSRDF -DW - ->YP_009508258.1 gamma-A protein, partial [Lychnis ringspot virus] -KNYKSLEIDFSKFDKSKTGLHIKCVIALYRLFGLDGILRVLWEKSQVQTYVKDRNFGLEAYLLYQQKSGN -CDTYGSNTWSAALSLLDCLPLEDAVYAAFGGDDSLILFDEGYLISDPCKRLAGTWNFECKIFNFTFPAFC -GKFLIKVDDKYLFVPDAAKLIVRLGRTDISDVDTLSESFISIDDNYRSYKDFRVLNELSNALVDRYRSPH -DALAALISVCYIFLILIILSNYLTVVVVL - ->YP_009508078.1 59-kDa readthrough protein, partial [Japanese soil-borne wheat mosaic virus] -RFGSKYESILTCDREVKVPDTGDLVTIQDFYDRVFPGNSTVDSYFDGYEVATTDISIEVENCTVHTNKNT -KVWQEKQGLVPVMRTAMPEKRQNGMVESLLALKKRNMAAPKLQEAVNEFEVIEHTIDRARTIFLNEDLID -TTPASTVESNMRWWEKQSYTARKQLLSETKILHEIDVCTYNFMIKNDVKPKMDLTPQSEYAALQTVVYPD -KIVNALFGPVMKEINERIRYALKPHVVYNSRMNAEELNRTVEFLDPEEEYQSFEIDFSKFDKSKTSLHIR -TVIEFYKLFGLEEMLAFLWEKSQCQTTVKDRLNGITAYLLYQQKSGNCDTYGSNTWSAALALLETMPLEK -AKFMIFGGDDSLILFPKQLCVEDPCRRLASLWNFDCKLFDFQHNMFCGKFLLKVGDKFKFAPDPLKLMTK -MGRKDIKDGALLSEIFVSIGDNYRAYRDYRIIEQLAPAVRERYRTGEDPTAALIALKKYIFSFELFAKAF -NYYGNFVVSKVTRDFEW - ->YP_009508077.1 152-kDa protein [Japanese soil-borne wheat mosaic virus] -MPIDSSNILGIINEEEVVRAAIATSATRTNSELHRTVCEQIREQFLDTVENQKQKKKIDVRRDLTQEQLQ -TLNELYPERHIVTSGCERGTHSFAAASRKIETDLLLSRMPKNANVYDIGGNWATHLKRKDTRKVHCCCPV -LDFRDAQRKTTRWLSVEKFLSEKDSISEHCGQKVLEIQEDEDRISNNLRKGAVAPEDLTGRWYCENRFED -CVYRAEKAYGMAIHSIYDISLDDLVNAMEEKRIKYLVGTFLFSVELFLGKKRGELTSVDGFFEIDGGNVK -YGFYDDTNCGYRHDLQQLMEYLTKTFVKAKGGSVFYLELTEQRGDVMFFSLTDATEARMHGVVEDESFKC -IPIESKDSVVFPLFELNKKSDELEFTEVLLPKSFVRRTIEYTARLKTNQLNPETVNSYLTSTNNTVIIGG -SAKKTVEKVDAMLIPQITTTLIVWTELMNARQKKVLDRLRIQMKDDVGFMALAHASFSKMFGKVSFYQKA -LRSYANWISYCHGTDAIEFKNVPLYVEVKDRVKMWKQHAPNHGFSFDLEDLDEKIQLYKETERERKAISE -FVVSDKLGDLSAAVCEKEAREVSVEYRDRRRKVEATDLRNGEVFTNFVDEWCNKEDHFNHVHIEVENKYS -LLVKIVIAVWEAIVPPMQFAPVYIDDEERDPSDAESVVELDLSETDDGSGKGDYAEKPGSVEKAEKPIEA -RVSLEKGKEKVEVEDSAIRRGSGSSSPDSETSMEDELVSDGESLFGRSCQATIYSSTSLYGSSEDDTSVG -SASSEIDSRVLEAVEAAIDEMEKEVSAEVLAAVVVVTESSEPEVSEVVVENAATVECKEQVVVDTTDSES -DEERAKSWGSMAEEESDDTFYMNTMLISNKVQRSSLPKQPDFNKYNTVQQKAKQEYLWYLRCKMISDRTT -LRSIIEDHLNGLYHNGNCDLPKNSCFLDYTKSVGGEWLYAKPLKAGHCYGVGFSLNSKGKIGKCELLKLM -WDVDARGQPLERPFNTKMFQFVLLNDLTFLMNEMIIFRNLQDTLQRKERTKQASIVLKDGVPGCGKSTWI -LNNANYIKDVVISVGKEAKEDLKEKFMKKYKCTESELGRIRTVDSYLMHDCGKKLRATTVHFDEALMTHA -GAVYFCADLLGARKVICQGDSQQIPFVNRVESIKLQFAKLVIDKTDLIRMTYRSPIDVAHYLNYKSFYTG -GRITTKNEVVRSMSVVGPRNVRPMTSVYSVPYVPGVQYLTFTQTEKDDLFKALRSKGHVNVNTVHETQGK -TFDDVILVRLKTTENEIYPGGRNSKPYTIVGLTRHRRSLVYYTAIEDRLFFDLTEMRGVMEDKLLKNLNV -ENGK - ->YP_009220366.1 putative replicase [Colombian potato soil-borne virus] -MEQSLEAKINSDDIINTVIFTGASRHGSELHSTLSQTIIDRLKETKEKNKKKKKIDVKRNLNEDQIQMLA -ELFPERRITTSSTHRGTHSMAAAMRKVETDIILSSFAKNGVVYDVGGNWATHAKREDGRTVHCCCPLLDT -RDAQRKMTRMLDYKKFIDDAETLSAEKAAVALQIEKDSDRIRTNVEKGNFDSADLDGTWFCQNRFEDCVF -DHSVLGNGKRPVFGMAIHSIYDIPLVDLVSGMERKGMKVLKGTFLFTPDISIGKKKGDLPYVGGNYEIVG -EKIKYNFDDDTNCGYEHDLHSLMQYVTKTYVTAAGGSLYYLELTELRGNVMFFTITDASEALLAGVVRDH -SFKHIPLGKQDKVVFPLFDLEESTGVLVFREELLNRDFVHRALEYTLRLKDNQVTAEGLKNYFSSTNNAV -VIGGSARKTSEKVPPELLPAITTTLMVYQKLQSLKQKRVLSELERRVQPELTLAGILKDVVRRVFGKKSA -YQRALSVFANWVQYSYGENLIDIYDVPLYMEIQDRFKVATTLKGVNGFSLAFNDLDNKVSLYEERAREEQ -QVADALLFEKLGLVGEGYKRCGASVGNASWVCKPNDGIAQWMKEECHLSSTVSRKIEPVSKPRAFKKSVV -LNWMEDGDCFTIDNSCGDKEHWLDTLKGACVKAWGKYTAALTFSDAVFFDSDDESEKPVTDQVLAVAPIA -EELQVKDVSEFDGGEPIEHDFEVVQAHTEAELDEWGSEAPLMDGRDLPTGKSPDLDPDDSMAESVEVESD -SDDSDDDCDPMERFVVNACERAFALSESSGSRCVLSVVQGEPIVLRSKTTPAVVLSEIEEITDHNSDEPV -LGSALSGERAQMAKDSEVEDRRCVVSHILSWDEVKYAVMPERPEEKDEDDFRTLAKKEFLWYLRCKLIAD -KSTLVDIMRDFISGMFHSGNCETPKNACFLSYEDNICGEWVYGKRYRHPSKGASVYAVRFTRNSWEEAKL -VKLQWYNAKVAESGEVSADNIDKPIVPAGERGIYMFSDITFLMNEIPLLTRLEASFNRRIQRNAPRITLV -DGVPGCGKSTYVVREANLVNQYVVTMGREAAEDLRERFKKERQATAFQLKRVRTVDSYLLNDTQSRAGVL -HFDEALMAHAGMVYFVADDLSARSVVCQGDSQQIPFINRVEAIKLRYAKLKIDNVVEKRLTYRSPLDVAA -YLTKKSFYGMSVITSANELVRSLKTVGPRNGMTSIYSIPKVPGAQYLTFLQSEKEEMKQYLGKGNWNVST -IHESQGKTYDNVILCRLKPTDNEIYPGGRNSSPYMVVGVTRHRRSLVYYTKAEDKLFFDLSEMLSVQEGK -LMKHLHEEGVKXRQASKYDYVTVSDNAVVVPDVGNTVDLQEMYDTAFPGNSVIDTYFDGYEVATGGLQID -MNASLTYYPNRQIKTWKEIKGMEPMLRTAMPENRQSGLVEGLLALNKRNMAAPKLQESVNEFQVISDTIE -KARKVFFDESRIDCTKIETYEGAARWWVKQSCTAQKQMLADVRLLSEIDVTVYNFMIKGNVKPKTDLSPQ -SEYAALQTVVYPDKIVNAFFGPIMKEINERIRVALRPHVIYNTRMTAEELDAAVEFLDVREDHESVEIDF -SKFDKSKTSLHIRAVIELYRLFGLDDLTSYLWEKSQCQTTVKDRMNGIIAQILYQQKSGNCDTYGSNTWS -AALALLNTMPLERATFMIFGGDDSLMFFPKGMVIEDPCRCLASMWNFDCKLFDFKNNLFCGKFLLKVGEK -YKFAPDPYKLLTKLGRKDIKNSEVLSEIFTSIGDNYKSYNDYRVLEALNVAVIERYKLKCDIMFGLLALK -KYISSFDLFASLFGHKGRYRRVEVGRNFEW - ->YP_009047252.1 putative RNA-dependent RNA polymerase [Gentian ovary ringspot virus] -MCPGNSVRCSDFDGEIVATSSLNMDLTDCKLKMGKGFKNFSKPEVLTPSLRTAMPEKRVSSFTEAVIALK -KRNLAVPRLQESVNELELIDTTVGKAMTTLFNPDLMDLTPLCTYESVQRWWQKQSPKAQAEMLKDPRMVD -QIDFTTYNFMIKNDVKPKLDCSPQHEYLALQTIVYPDKLVNAYFGHVMKEINERMLKALHPWFVVNARMT -SAELDEKISFLPMDLDMDSIEIDISKYDKSKTGLHIGAVIALYERFGLSGELKHYWIQSQWQTNAKDRTN -GIEAFILFQQKSGNVDTYFSNTWCAVLALLDCLPLEKAIFSIFGGDDSLILYPKGEIISDPCSRLQNLWN -FECKLLSMDHPSFCGKFLLRVGSRWRFVPDARKLIEKLGKKDIPRLGADKLLSEIFVSINDNYGCYDDMR -VLYALDSAIIERYRPKFSSLAALISVRKFLSNFDNFRLLFSYKGKFIKTTVRKDYEW - ->YP_009047251.1 putative methyltransferase/helicase [Gentian ovary ringspot virus] -MACLNEMMKNMLSSEAVINAVVTTAASNTRSPLHQEFSDYYATTIRGMTKTNQTKKTIDVRRTMSPTTLQ -ELSNLYPEYNVVSSGTESGTHSVAAACRKLETQLLLDMLPKKQTFVWDIGGNWVTHMKKNLNDEPRIHCC -CPILDARDAERKRTRFTNLERFARGLDKQTPEFKCDYEKVKMDNERIKRALKRGDLSVEAMHGETFCQNT -FEKCVWDPKTKDGESGLRYAVAIHSIYDIKLKDLVTAMEKKGIQQTFCCFLFSPYMLLGEECSEGAVHDV -SVGGPLLFTGGRYCVLKNKTKSMTHGLIGRDRVRFWFSDDPNMGYEHDYDSYLEYIRKGFVLSDDDTLFS -LELMQIRGDTMFLKITDVSEYQATLTGTMNVNGLRCGRDICNGDLLSENINGFKCIPVPQNADCLMPVYR -LNENSDTLDMKIVRIPNVLRKRIEEYLMRLNQGKMDLQSAKSMLASINNSIVFNGTHVRVVDSVEPSLLA -DIAVTVTAREIFKRKEEEELMQQLKLHSKKDIGICDVLGYFWKKKVWPKRGVYHKSLKWLSKLMGYNFGQ -DLFNIGDLPLFIPVESAVSAWYKSYQDEVEHMYNMDAEVEKYNAMSDDIRKVTEKIVQSVGVAGDDTDST -KSLDTTSDNATQLSGIESLTNSSSSSGIHIVEDYFKLGQEWKDTVDDISADEINDILMDLEEKLNPKRVF -EDERIQMTYVDDSVTAERTPSRPALTGDYDYDAQCEYLWYLRSKIACDKSTMYKLICEYASGYFHSSKAA -FPKNAFFMTCEGTVRYLFQKPQFNQVGHEYAVEFRVVDGKPTDVTQMKLSWNKNSESRITSVFPTNLREG -YHYVISDLTMLKNEQKIFNNLMKFLDRSKFKKELKVKLIDGVPGCGKSTWILNNADLYNQVVLATARSST -DDLRTRFTLPVERGGKAVPERLASTQVRTVDSFFLNHSKTQKVSSFHFDEALMSHYGMIVFCAKLLNAEL -VICQGDTKQIPFINRVDQVVLTHYAFPKERLVIEEKRDSYRIPADVAYMLNKKRYYSGNEIRTYNNKVRS -MTSKVIPTPSNLPLVPGIQYLTFLQSDKAVIQNMLRDAGIKSAVSTVREDAENIKKNKTGTSTVHEAQGE -TFRDVCLVRLMKTDNNIYPGGKDHQPYSIVGLTRHTRSLIYYTVVEDGLYRDISDIIDVEENQLRKCLVS -EGTK - ->NP_835282.1 polymerase [Indian peanut clump virus] -MAQSNIYSDMIDRYVNDETVVQNLFMTASSTTKSLLHDVIADRAANHLKSLEKKQKQKELVDVRRVLSSE -SLNALCSLYPEFNVITSNRERGSHTMAAVCRTLETLYIKSLLPVNDTVVWDVGGNWLTHVKYSTNQDVHC -CCPLLDYRDAMRKQERILSLELFARNGKEKTEEFDACYQQIRNFENTRRTAISAGKNVVMNDRYCCDVFQ -NCAYEPDSGKLRVAMGVHSVYDMTLQELVDGLERKKIEHFIGCFLFSPKLLLGQEEGELPFVNGRFKVKK -GKIRFFFLDDTTHGYEHDLNDYLEYIRKSFVVAKCGHVYMLELFSMRGDTVFFKLYDVTEYSLSKTGFLS -TLLPTRDHVFKAMPIPNTDEVIVPLYWIENGEVVVERRYLPKSLVCRGMEWLMRNKANALQYETLLNYLV -STNVSAVFNGCQVREGLKTDPWILCKLAMTLLVREEFNREKQKKVLEMLRLSGSEQISLKRIFKGVFEKF -FGSVSLKARVLRTVARWCGVEFGSMKYDVDVLPLYVEIEDSITLWRKGKLEGIEAYDMKDEVMRYNDKKQ -DVERLTQTLKDKVSEDGSASDSSSRLKKLAAFAVLASGKDTPLGRFISSRSASSNGSTETISSDQETVSP -KISVPDSPTEEVPPLILPYTVKKRWADYSSDSSDDVAAGEDFMSPVSTLVLRRRLPAPPVYPNDVQEAAC -LEYLWYLKCKIVCDFSAMFSIVCDFKDQLLHDGRCEFPKNAFFLKVGAETKWALKRPTSQQVGHQYCVKF -SENDDHMELTPVSWKKQNDEVRSIFPQGLSDGWYMFSDLTFLMNEWLIFNKLVAMYPTLQKNQLKVRLID -GVPGCGKSTWILNNCDLDKQVVLAEGREATDDLRKRFTEKGFPRKRCEERVRTVHSFMLKPLTRGFNSFH -FDEALMAHAGMIYICGRMLRAREVICQGDSKQIPFINRVEQITLRYASFNVVEREYVRKTYRCPLDVIYY -LNKKRYYQGDDIVGFSKTTHSVDTKSKTSGFTSLVKLPKEPVHYLTFLQAEKEEVAKHLAGVKGATVSTI -HEAQGKTFECVNLVRLKMTDNELYPGGAKAEPYTIVGLTRHTRSLVYYSVVEDRLYEDISALKDVMEDQL -LKCSHSEQTKXRFGSKFESIVVADRKVMAPDVGDLVTIQDLYDRTFPGNSTLDSSFDGYTVASSNLELEI -SNCKIAPNKSIRGFQEKICFSPRLRTAMPEKRQGSFAESILALRKRNMACPRLQESVNEHQIVDDTLAKA -FSCYFSDSFVDQSPLITDEAALRWWEKQSTTAKNQMLADWRSLDQIDVCTYNFMIKNDVKPKLDLTPQSE -YAALQTVVYPEKIVNGLFGPIIKEINERVLSALRPNVFVNTRMTSEELSRTAEYLFPGDEFEVVEIDFSK -YDKSKTSLHIRMVIRLYEQFGLNGYMKYLWEKSQTQTVVKDRNYGVEAYILYQQKSGNCDTYGSNTYSSM -FALLDCLPMEKAVYSIFGGDDSLILFPKGTVVNDPCGRLASLWNFDCKSMKFKVPAFCGKFMIPVAGRYR -FEPDPLKLITKLGNKTIAIEGKTKAEVRRNGSKLLSEIYVSICDNYKNFDDARVLDALAYALVDRYKPDI -CPKAALYSLSKFLRSFESFCELFDIYYEGERLGFDFVPVTVRKDYEW - ->NP_740760.2 209KDa protein [Broad bean necrosis virus] -MHNTLCEDATAQVKEALEEAKKKHKINVRRNISQEQVQLLNSLYPERHIDTDGSSRGTHNMAAASRKIET -DIILSWFPTGAWIFDIGGNWATHACRRDERKIHCCCPILDYRDAQRKTTRVLRIEKLVEEINMVTSTGRK -IQRILEDEEIISSNIKAGKFNSEDMNGMWYCQNKFENCVFKPEGRAYAMAIHSIYDIDLHELVDALEEKE -IKLMYGTFLFNVDMLLGKQSGVMKSFDGMYRIEGEYVKYWFGDDPNCGYKHNLQNLLKYITKTFVKAKGG -SVYYLELKEQRGDVMFFTLTDATEASRCGITEDESFKCLPLDYKNKVIFPLFNLDEKTGELKFEEEIYPL -DFVNRILEYTGRLKENQLNFQHLMTYLASTNNAIVINGNSRSQVNTKVDPQVLMGISTTLIVHSEVQRRQ -QEAVLKELRLRVKENVDIKDVFTHTMSKLFGKQKFCQKYAKMFANWLNYAHGENLVSLKAVPMYIEVQDR -LSIWMRAARQSNTFAITYEEVDLQIRKYEEFEREKKRVASQMVKDRLVAKNLNGDVFSVLSAITEDVSDD -VDETLEEVQAYSEQEPKEGEISGVFVQKWINGEVSWSKSEKEVNYSWVDKALSCFLPDYRFSEVYRSDLI -TEGLSENESVSQVNDTSVDISQITETIAEEEELDIIIDLRIVTAKACDDNDDEDIIDMFLNDLEYTTDDF -EENAADNFVGAFDNIIQLAEEEEKAMENINLDGAEFKSVSTASNEDVAEPLIEIQIPVLPIISEEQCDDS -KRKANAEDVSVVTAVADVLSTSSICSEDVQNIEDEPESSGLKMSWASDEEIESSLIELPEILDMTVCYGR -LAAKPKYADSESRVERAKKEYLWYLHCKFVSDKSSMFDIIRDFVIGMYWTKQCAMPKDAVFLDYRENDYG -QWFLGKEPLRLGHAYGVSFSLKTDCELGFPHNVLDCQIIPLSWEKDKKGYILSDRPCSKKKNSLLMMCDT -TYLMNEMIIYQNCMRVLKTRKTKRKARITLIDGVPGCGKSTYIVNNADVRTDLILSMGKEATEDLKRRFT -KEKGARQEDMKRVRTVDSYLLNDFGNKLRADTVHFDEALMAHAGMVYFIAMMCSAKRIKCQGDSKQIPFI -NRVESIKLEYAKLDIHETIAKRLTYRSPLDVAYYLTKKGFYGLDFITSANPLLRSMKTVGPRSSTPMSSI -YVIPKTKGASYLTFTQTEKDEMKQYLGSGDWTVNTVHEAQGKTFNDVILVRLKNTENEIYPGGRNSEPYM -VTGISRHKRSLIYYTRAEDKLWSDITDMMEVMDGKLQKHLYEEGPKXRCGSKFESIQVMDRKVCVPDVGN -ITIMQDFFDVVFPGNSCIDTFFDGYEVATGGLDIELSDCKIYPNRPMKVWEERRYLSPVLRTAMPNKRQS -SQAESLLALKKRNMAAPRLQESVNEFEIIENTISKAKRVFLNEDLIDCSPISNIEASQRWWQNQSEQAKK -QLIAETKILSEIDVGVYNFMIKRDVKPKLDLSPQSEYGALQTVVYPDKIVNALFGPVIKEINERIIHALK -PHVIYNTRMTAAEMDRSLEFLNVYEDYDSVEIDFRKFDKSKVSLHIKVVIELYKLFGLDDMLAVLWEKSQ -CQTLVKDRINGVQAYLLYQQKSGNCDTYGSNTWSAALALLDCMPLEKLRPMISGGDDSLFFFKKGITIED -PCRRLSSLWNFDCKLFDFENEAFCGKFLLKIGDNFRMAPDAIKFLVKLGKDSIPDVQTLFEVFTSVQDNY -RSYDDYRILEAMNVSMIERYKIPHCGLGFLCSVKKYISSFELFASLYNVKVDKALLRQINTNVVVNRNFD -W - ->NP_659020.2 replicase, partial [Sorghum chlorotic spot virus] -MEGTGGLLGILGEADIVRAAIATRTNSQLHQTVCDHIKDHIVDSKEKQKTKKKIDVRRNLDQEQIQLLNE -LYPERHIVTSSYERGTHNFAAASRIIELDILLSKFPKDKFVYDIGGNWASHVKRNDNRRVHCCCPILDFR -DAQRKSVRWASIEKFVAEKESIPEDLGNKIKQLYSDESRIRENVRKQDLRAEAMDGVWYCQNKFEDCVHN -VANAAAIAIHSIYDIEIEDLVDALEEKQIKQLTGTFLFSVELLMGSKRGELPTVNGFFEVEGDKVKYGFY -DDPNCGYTHNLQQLKKYLTKTYVKARGGSVYYLELNDIRGDVVFFTFTDATEAVMMGVSVDESFKCIPVN -AANKVVFPLFSLNERSKELEFSEVILPRDFVSRAVEYVDRVKDNQNTVDNIRSYLFSTNNSVVIAGASRK -TVEKVNPLLISRICTTLQVYSEVRREKEKRVMIALKDQVREDVSLNSLFAATVARVFGKVSVWQRALRAY -ATWIGHVYGCDVLNFANMPLYVEIHDRIRLWSQTAQLDGFFHDMADLEEKMRVHREIEEERKRISECIAS -EKLGDLYVEVDVCDNVSERNVEARVLRPMEHKKGAINLSIVNSWCEEGSLFALDERPRKVHTPLKNLLGK -MVEIIFPKCELSAVMFDEQGQRVYADSLDKRQLKLLLDGKISPKDVTVGVQQSIQSEMVAQHDFTLDKVV -REVAAEAFDIWGRELEGVSEEKEEVAVVSKSCRSVAVQTNDDDDLPPPTATSPSPSISSIEDNYPQTPDF -TTPSSPDSVVTESSSDGEEPAVKQWGSTCSESDDAYLSVSVMMTDEVKVSRLPNAPKFESFATVQKKAKM -EYLWYLRCKMISDRSTLRGIIDDFNNGLFYSENCDTPKDSCFLDYTTSSNGTWCFSKRPQRLGHAYGVGF -NIVAGKITTCELFKLFWDQEVLSDKPRNCGMYNYVLINDLTYLMNEMVIYRNLQNTFMRKERRVSAKVVL -KDGVPGCGKSTWILNNANLKRDVVLSMGKEATVDLREKFEEKYAFGKKELNRVRTVDSYLMHDCGKEMTC -NTLHFDEALMAHAGMVYFCADLLGARKLICQGDSQQIPFVNRVESITLRYANLVIDKTDKIRHTYRSPID -VACYLTMKGYYGADRITTTNSDGRSLGVVGPRHEKPMTSVYCVPYLAGVQYLTFTQAEKEDLHKALRSKG -PVSVSTVHEAQGKTFNDVILVRLKTTENEIYPGGRKSKPYSIVGVTRHRRSLVYYTAIEDRLYYDIEDMK -RVMEDKLMKSFNGEHTKXRFGSKFDSVDVCDREVKVPDVGELQTIQDFYDRTFPGNSTIETFFDGYEVAT -GGIQIEVDNCKIFPHKNVKVWQEKRGLIPALRTAMPEKRQNGLVESLLALKKRNMAAPKLQEAVNEFEII -GETIEKAKAVFFNETLIDNTECSTLEANMRWWEKQSHTARQQMLADTRFVDQIDLCTYNFMIKNDVKPKM -DLTPQSEYAALQTVVYPDKIVNALFGPVMKEINERIRYALKPHVVYNSRMNADELDRTVEFLDVNKKYNA -FEIDFSKFDKSKTSLHIRAVIELYKLFGLEELLAFMWEKSQCQTVVKDRLNGIVAYLLYQQKSGNCDTYG -SNTWSAALALLETMPLEKAEFMIFGGDDSLILFPEEVVVEDPCRRLASLWNFDCKLFSFNHNLFCGKFLL -KIGDRYRFSPDPVKLMTKLGRKDIVDGQVLSEIFVSIGDNYKSYRDFRILSALAAAVQERYRTNEDALAA -LISLRKYISDFSLFSSMFGYKGGFVESKVSKDYEW - ->NP_620669.1 194 kDa protein [Tobacco rattle virus] -MANGNFKLSQLLNVDEMSAEQRSHFFDLMLTKPDCEIGQMMQRVVVDKVDDMIRERKTKDPVIVHEVLSQ -KEQNKLMEIYPEFNIVFKDDKNMVHGFAAAERKLQALLLLDRVPALQEVDDIGGQWSFWVTRGEKRIHSC -CPNLDIRDDQREISRQIFLTAIGDQARSGKRQMSENELWMYDQFRKNIAAPNAVRCNNTYHGCTCRGFSD -GKKKGAQYAIALHSLYDFKLKDLMATMVEKKTKVGHAAMLFAPESMLVDEGPLPSVDGYYMKKNGKIYFG -FEKDPSFSYIHDWEEYKKYLLGKPVSYQGNVFYFEPWQVRGDTMLFSIYRIAGVPRRSLSSQEYYRRIYI -SRWENMVVVPIFDLVESTRELVKKDLFVEKQFMDKCLDYIARLSDQQLTISNVKSYLSSNNWVLFINGAA -VKNKQSVDSRDLQLLAQTLLVKEQVARPVMRELREAILTETKPITSLTDVLGLISRKMWKQFANKIAVGG -FVGMVGTLIGFYPKKVLTWAKDTPNGPELCYENSHKTKVIVFLSVVYAIGGITLMRRDIRDGLVKKLCDM -FDIKRGAHVLDVENPCRYYDINDFFSSLYSASESGETVLPDLSEVKAKSDKLLQQKKEIADEFLSAKFSN -YSGSSVRTSPPSVVGSSRSGLGLLLEDSNVLTQARVGVSRKVADEEIMEQFLSGLIDTEAEIDEVVPAFS -AECERGETSGTKVLCNLLTPPGFENVLPAVKPLVSKGKTVKRVDYFQVMGGERLPKRPVVSGDDSVDARR -EFLYYLDAERVAQNDEIMSLYRDYSRGVIRTGGQNYPHGLGVWDVEMKNWCIRPVVTEHAYVSNPDKRMD -DWSGYLEVAVWERGMLVNDFAVERMSDYVIVCDQTYLCNNRLILDNLSALDLGPVNCSFELVDGVPGCGK -STMIVNSANPCVDVVLSTGRAATDDLIERFASKGFPCKLKRRVKTVDSFLMHCVDGSLTGDVLHFDEALM -AHAGMVYFCAQIAGAKRCICQGDQNQISFKPRVSQVDLRFSSLVGKFDIVTEKRETYRSPADVAAVLNKY -YTGDVRTHNATANSMTVRKIVSKEQVSLKPGAQYITFLQSEKKELVNLLALRKVAAKVSTVHESQGETFK -DVVLVRTKPTDDSIARGREYLIVALSRHTQSLVYETVKEDDVSKEIRESAALTKAALARFFVTETVLXRF -RSRFDVFRHHEGPCAVPDSGTITDLEMWYDALFPGNSLRDSSLDGYLVATTDCNLRLDNVTIKSGNWKDK -FAEKETFLKPVIRTAMPDKRKTTQLESLLALQKRNQAAPDLQENVHATVLIEETMKKLKSVVYDVGKIRA -DPIVNRAQMERWWRNQSTAVQAKVVADVRELHEIDYSSYMFMIKSDVKPKTDLTPQFEYSALQTVVYHEK -LINSLFGPIFKEINERKLDAMQPHFVFNTRMTSSDLNDRVKFLNTEAAYDFVEIDMSKFDKSANRFHLQL -QLEIYRLFGLDEWAAFLWEVSHTQTTVRDIQNGMMAHIWYQQKSGDADTYNANSDRTLCALLSELPLEKA -VMVTYGGDDSLIAFPRGTQFVDPCPKLATKWNFECKIFKYDVPMFCGKFLLKTSSCYEFVPDPVKVLTKL -GKKSIKDVQHLAEIYISLNDSNRALGNYMVVSKLSESVSDRYLYKGDSVHALCALWKHIKSFTALCTLFR -DENDKELNPAKVDWKKAQRAVSNFYDW - ->NP_620444.1 replicase [Potato mop-top virus] -MDQSILSKITSDDYVNAILHTSATRVGSDLHNAMCNVIVDQIKDSTEKNKKKKKIDVKRNLNEDQIQLLA -ELFPERRVVTSSVHRGTHSMAAAMRKIETDVIFTSFPKNGVIYDIGGNWATHAKRDDGGFVHCCCPILDF -RDAQRKMTRLIDFNRFIDDAKVVSAEKAAVAAQIKKDCDLISENAKKDAYDANDLNGTWFCQNKFEDCVY -DHSTLGDGKKEAYGMAIHSIYDIHLVDLVSAMERKKVRVLKGTFLFSADIIIGKKRGELPSVNGFYIIDG -ESIKYSFYDDPNCGYEHNLNSLMLYVTKTFVKAAGGAVYYLELTEMRGDTMFFTITDASEARVMGVLHDS -STKCLPLNKRDLVVFPLFDIDRATDELVFREELLSREFVNRALEYAFQLKDNQVTAEGLISYFASTNNAV -VIGGSARKTSEKVDPKLLPMITTTLMVYQELQKAKQKRVLGKLKSKVKEELTLSGILESVVHRVFGRQSL -YQRGLGVFAKWMQYSYGQDLVGIHDVPLYLEINDRIKLGSALKNVNGFSLSFSELDEKVSLYEEYERERQ -RISDEIVSEKIGLIGEGYVKVGESSLKPKQKVASRDGMAQWVSGECHLYNTMKCEEKPVEKPRRFSKVVL -EEWISEGNCFALNNSFGDEVHWFDSLKEACGRAWRKQVSAVTFSDAEYFDNIENTENEELEEEEVIKTTE -QVEQDWPIGNLPDVDPDDSASAQVCLTESASTSSDEDCDPMEQLIVAACERAFATKKFEEVTMDSQVVQS -EEIEIVREGEHAHANLSSGESESSSQSQELVAVGSVPLSKWAQMVEDSEVRARQCAVDHDLSWDEVKYAK -MPERPEEAEGDDFRTKAKREFLWYLKCKLVADKSTLVEIMRDFIYGQFHSGACETPKNACFLSYEKNVCG -EWMFGKRYRHPSKGASSYAVRFTREDWKRAKLIKLQWKNAKAEENGEMSSDNSDKPIVPQGETGIYLFCD -ITFLMNEIPILNRLEISFKKRVQRRAPRITLVDGVPGCGKSTYVVKEANLVNQYVVTIGREAAEDLRERF -KSERNATATQLKRVRTVDSYLLNDTQSRANVLHFDEALMAHAGMVYFCADDLSARSVICQGDSQQIPFIN -RVESITLRYSKLEIDNVVEKRLTYRSPLDVASYLTKKNFYGTSVVTSANPLVRSLKTVGPRDGMTSIYSI -PKIPGTQYLTFLQSEKEEMRQYLGRGNWNVNTVHESQGKTYDNVVLCRLKATDNEIYPGGRNSSPYMVVG -VTRHRRSLVYYTKAEDKLYFDLAEMLSVQEGKLMKHLHEEGVKXRQASKYEEIMVSDNAVTVPDVGNLVD -LQEMYDIAFPGNSIVDTYFDGYEVATGGLQIDMNASLTYYPNRQMKMWKECRGMYPMLRTAMPEKRQSGL -AEGLLALNKRNMAAPKLQESVNEFEVIESTIEKAKKVFFDESRIDNSKLETFEGAARWWVKQSCTAQKQM -LADVRTLSEIDVTSYNFMIKGDVKPKLDLSPQSEYSALQTVVYPDKIVNALFGPIMKEINERIRVALKPH -VIYNTRMTSDELDPAVEFLDVREDHESVEIDFSKFDKSKTSLHIRVVIELYKLFGLDEMIAYLWEKSQCQ -TTIKDRVNGIIAQILYQQESGNCDTYGSNTWSAALSLLESLPLEKATFMIFGGDDSLIFFPKGMVIEDPC -RRLASMWNFDCKLFNFKNNSFCGKFLIKVGEKYKFAPDPYKLLTKLGRKDIKNSDLLSEIFTSIGDNYKS -YDDYRVLEALNVAVMERYKLRCDVMFGLLALKKYINSFDLFASLFSHKGRYQRVEVGRNFEW - ->NP_620047.1 replicase [Peanut clump virus] -MAQSNIYSDMIDRYVNDETVVQNLFMTASSTTKSLLHDVIADRAANHLKSLEKKQKQKELVDVRRVLSSE -SLNALCALYPEFHVITSNCERGSHTMAAVCRTLETLYIKSLLPVKHTVVWDVGGNWLTHVKYASDQNVHC -CCPLLDYRDAMRKQERLLSLELFARNGKEKTEEFDECYKQIKAFELQRRAALNAGTAASCNNKYCCDVFQ -DCAYEPEEGKMRVAMGVHSVYDMTLQDLVNGLERKGIEHFIGCFLFSPKLLLGQEEGELPFVNGRFKVKK -GKIRFFFLDDTTHGYEHDLNDYLDYIKKSFVVAKCGHVYMLELFSVRGDTIFFKLYDVTEYSLSRTRLLS -SLLPTRDHVFKAMPIPNTEEVIVPLYWIENGEVVVERRYLPKSLVCRGMEWLMRNKANALQYETLLNYLV -STNVSAVFNGCQVREGLKTDPWILCKLAMTLLVREEFNREKQKKILEMLRMSGSEQISLKSIFRGVFVKF -FGSVSLKARVLKTVARWCGVEFGSMKYDVEVLPLYVEIEDSLCLWRKGRLEGIESYDMKDEVLRYNEKKQ -DVERLTRSLEQKVNDGSASDTSSKLKKVAAFAVLASNKDTPLGRFIASRSLSSVSKRSSSSDASHSGSGL -SASEKVVSSEEIASPQISVSESCVEEVPPMIIPYTVKKRWADYSSDSSEDVVAGEDFMSPVSTLVLRRRL -PAPPAYPDDVQEAACLEYLWYLKCKIICDFSAMYSVVCDFKDQLLHDGRCEFPKNAFFLKVGEETKWAMK -RPTSQQVGHQYCVKFSENDDHMELVPVAWSKHDDEIRGIYPQGLGDGWYMFSDLTYLMNEWLIFNKLVLV -YPSLPKTQLKVRLIDGVPGCGKSTWILSNCDLDRQIVLAEGREATDDLRRRFTEKGFPKKRCEERVRTVH -SFMLKPLSRRFNSFHFDEALMAHAGMIYICGRMLNAREVICQGDSKQIPFINRVEQISLKYSSFNVVERE -HVRKTYRCPLDIVYYLNKKKYYRGDDIVGYSKTTHSVDTKSKSSGFTSLVKLPKEPVHYLTFLQAEKEEV -SKHLAGVKGATVSTVHEAQGKTFERVNLVRLKMTDNELYPGGAKSEPYTIVGLTRHTRSLVYYSVVEDRL -YEDISALKDVMEDQLLKCSFSEQTKXRFGSKFESIVVADRKVMAPDVGDLVTIQDLYDRTFPGNSTLDSS -FDGYTVASSDLELEISNCKIAPNKSIRGFQEKICFSPKLRTAMPEKRQGSFAESILALRKRNMACPRLQE -SVNEHQIIEDTIAKAFSCYFTDSFVDQSPLITDESALRWWEKQSTTAKNQMLADWRTLDQIDVCTYNFMI -KNDVKPKLDLTPQSEYAALQTVVYPEKIVNGLFGPVIKEINERVLSALKPNVFVNTRMTSEELSRTAEYL -YPGDEFEVVEIDFSKYDKSKTSLHIRMVIKLYEQFGLNGYMKYLWEKSQTQTVVKDRNYGVEAYILYQQK -SGNCDTYGSNTYSSMFALLDCLPMEKAVYSIFGGDDSLILFPKGTIINDPCGRLASLWNFDCKSMKFRVP -AFCGKFLIPVGGRYRFEPDPMKLITKLGNKTIAIEGKTKAEVRKNGSKLLSEIYVSICDNYKNYDDARVL -DALAYALVDRYKPDICPKAALYSLSKFLKSFESFTELFDIYFNGERLAFDFVPVKVRKDYEW - ->NP_620033.1 195K protein [Pepper ringspot virus] -MASRGFKLNQLIDIDEFSAEQRGQFFDMMLSKPDSQLGAVMQRNLVDKIDDMMRERKGRECVVLHELLSQ -KDQNRSIELYPEFNIVSKDDKNMVHGFAAAERKLQALLLLARVPKLEEVDDIGGQWSYWLTRGEKRVHSS -CPILDVRDKQREMQRQSFLKSFRDNATTSDSVVTDAQYEMYNAFKNGVDKPNFVRCNNTFQDCNCRGYTK -DGLRRGAEHAIALHSLYDFELDSVADAMIEKKTKFLHAAMLFAPEAIMIEEGPLPDVNGYYHRVQKNLGT -RPERIMFGFHDDPSYNYIHTWSEYKKYLLGKSFVRRGHTFFFEPWQGRGDTMFFTLYRMTNVPRTGLFGE -EYYRRLYIKRWAGMVIAPVFDIDEVTMKIKRKQLYVEKAFLDKCLDYVARLSDQQLTINNVKSFMSSNNW -VLFINGAAIKNKQSMSPGDLQLLAQTILVKEKLSRPLMTEMRTRMAEQAAPVSGFCDLIVTTWRQKVWNG -NLKRRVVRDLAKLFKLERGSETLETEDPIRYYEIWDYLTLFFSEEEEAVRSLPDINDAKNKSDKIRNEAK -DAANNVIKTKYENFQSPIDELKTPLIRKSENIKGAKKDRSVCNLFVGWQRLFHPEGSFKVLTDKTEEVKS -VAVTKPFVVEEAPKLISDDEAFLELDRLVDSCEGSDEDCVIDEFLAGLSVCNSFSSEASSSGTKSDGGEI -AVGIIRPKSPPGFLRPLVRSEQVEKTVERADPEVSSIVAESRFPEKPLETGDFSIDARLEYIYYLKCLVR -AQNNEILMMLRSYQKGIIRAGGRGYPNGLDVWDLKFKKWVIEPPILNHSKVFVPDLKSFENGILCGEIID -ASWDKSALDIVANRAFEADYCFVCDQLFLCNERVILKNLLKLEVLPLDCKFKLVDGVPGCGKSTAIVESA -NPLFEVILSMGKEATEDLIARFSKKKFGINIKKRVRTVDAFLMHCSNGECIGETLHFDEALMAHAGMVFF -CAQLAKAKLVVCQGDQKQIAYKPRVAQVNLKFLSLVGRFDEVEEKRLTYRCPIDVALSLDRFYTGKVVTK -NNVLRSMTTKRISSKEQVTMEKGVQYLTFLQSEKKEIATMLALRKIEAAVNTVHEAQGKTFKKVILVRTK -VTDDVLARGQEYRIVAISRHTQAMVYETVKDDEVSRLIAETSSLPKASLMRYFVTDAALWRCRSRFDSFS -HHDGPCSVPDTGTTVDIQTWYDDIFPGNSVRDSSLDGYMVSTTDCNVRVDNVVLKSGNWKDKYGDEMKCL -VPVLRTAMPDKRKTTQLEGLLALQKRNCAAPELQENVHESVLVDETIKRLKDVVYDVEKIRSDPINQKIH -MQKWWKNQSTSVQAKIISDVRELHEIDYSSYMFMIKSDVKPKMDTTPQSEYAALQTVVYHEKLINSLFGP -IFKEINERRLDAMHPHFVFNTRMTSSDLNERVKFLHPDTDYDFIEIDLSKFDKSANQFHLHLQLEIYRMF -GLDEWAAYIWEVAHTQTTVRDIQNGMMAFIWYQQKSGDADTYNANSDRTLCALLSEMPLEKAVLMTYGGD -DSLIAFPKGTTICDPCDKLATKWNFECKIFKFNIPMFCGKFLVKVQDRYEFVPDPVKVVTKLGKKSVIDT -QHLAEIFISLNDSNRALSDANVLYALDVAIADRYKYEKSSVFALCALWKHIKSFSALCVLYREGEKELDY -KKVDWKKATAAVKQFYDW - ->NP_612605.1 replication protein [Beet virus Q] -MDSILGKLQSQELVDAVLHTSATRTQSELHQTMCNHYGNQIKDATEKLKNKKKIDVKRNLTEDQLQLLCD -LFPERRIVSSSVHRGTHSMAAAMRKIETDLVLSSFPSEVIYDVGGNWATHAMRSDKRFVHCCCPILDFRD -AQRKMTRMINYTKFIESSKELDPATAERAERIRDDTKRIKDQVDAGLLSHHDLNGKWYCQNRFEDCVFEP -FSDDTLKKDALVCGMAIHSIYDIHLVDLVAAMQRKGIRVLTGTFLFAVDMLLGKKEGELPTVNGFYRVNG -NKVKYGFYDDPNCGYEHDLDSLLLYITKTFVKSPDGAVYYLELNDLRGDVMFFTLTEATEARAMGVRNDS -SFKCLPVVGRGKVVFPIFDLDSKSGELVFKEEILPRDYVSRSLEYVGRMKENQLNVETIFGYLASTNNAV -IIGGSARKTVEKVDPRLLPMIATTLLVYTEVQRQRQKLVLHKLRMRVSEELQFMEIVRCVLHGVFGKKSV -YQKGLQVFAKWLNFANGGKILNILDVPAFVEVNDRIKLWAEHVKSNGLIFTFSDIEEKARLYEECERDQL -ISQKLVVDKHGLFCDSDEAGKNRYAGEPGCIEKQTGKSTFEDWMAGTGHFSSEQKEIYRGTTNGDVKDKV -PQWSMKYVESWLECEDHFHSSSCEVKDAFVIPLVKELFNMIRSELLLEHIHFIDDRRDTSEGAQGAESLK -KDSITEAAQGCSDIENDDDQETGDHMSDEADEVSNEGIPRNSSEELMDQGYECDNEATPAVIDVVPIIVA -TSRELKGKGLLECEDIDYESDTVMETGECSRPLEYITDDSDDSDNGGGVCEIIPGRWAEMADDSDVAVTM -KEASPPVDPMIVCYSRLPTRPDETESDDFRTLAKKEFLWYLRCKMIADKSTMLDAIRDFLYGKFHDGKCK -TPKDACFLDYSENHCGEWLFNKSPKRLGHAYAVKLVDRTWKKCKLVPLCWEKDENGNFVTNKPKFSHDER -GLYMMCELTFLMNEMVILEKLEKVFLKRKQKRVPRVTLIDGVPGCGKSTHIVKEANLVDHYVLTMGKEAS -LDLKERFMRERGATESDVKRVRTVDSFIMNDRFSRANVLHFDEALMAHAGTVYFCADMLSARTVICQGDS -QQIPFVPRVEGITLKYAKLKIDNVVEKRLTYRSPLDVAAFLTRKGYYGNSVIMSANETLRSMKTIGPRTG -MTSIYSIPKVANCQYLTFTQAEKEEMEKYLGKGKWGVNTVHECQGKTYENVVLVRLKSTANEIYPGGENS -KPYVVVGTTRHRRSVIYYTMAEDSLFHDISLMMSVQEGKLMKHLFSESVQXRFGSKYEEFVFSDIATKVP -DVGEAQALQEMYDIAFPGNSTIDTCFDGYEVATGGIHVEIDDLTYYPNKQTKVWSEVKGLTPVLRTAMPE -KRQGGLVESPLALNKRNMAAPQLQESVNEFEIIEKTVTRARDIFFDVDRIDASVMNTVENAARWWGKQSF -TAQKQMIADTRVVHEIDVCTYNFMIKNDVKPKLDLSPQSEYAALQTVVLPDKIVNALFGPIMKKINERIR -FALKPHVIYNTRMTASELDAAVEFLDVREEFESVEIDFSKFDKSKTSLHIRAVLELYRMFGLDDLCAYLW -EKSQCQTIVKDRVNGLVAHLLYQQKSGNCDTYGSNTWSAALSLIESLPLEKARFMIFGGDDSLIFFPKRM -AIPDPCRRLAAVWNFDCKMFNFENNAFCGKFLIKVGERYRFAPDPYKLLTKLGRKDIKDGKLLSEIFTSV -CDNYRYYSDYRVLEALNVAIIERYRLSCDVMFGLCALKKHIGSFSLFSSLFNYNGKYQKCYVDRNFDW - ->NP_612628.1 replicase (contains methyltransferase, helicase and RNA-dependent RNA polymerase domains) [Beet soil-borne virus] -MESTNILTQINRDDIIQAVLTTSASRTQSALHETLSRVIDDNIKNALKANTKKKTVDVKRNLSEEQVQYL -CELYPERKIITSNSERGTHSMAAAMRKIETDLVLSLFPKNSVIYDIGGNWATHAKCNDGRKVHCCCPILD -YRDAQRKMTRMLNFHKFTSDSSEIPPDIREKAEMIAEDNAIITANVREGDLNSSALNGRWFCQNKFEDCV -FDPRDILTGKTAKDNVMVYAMAIHSIYDINVYELATALQRKGIKRMVGTFLFSVDMLLGRKSGELPSVNG -FYKLEGEYIKYGFYDDPNCGYRHKFSSLKAYLTKTFVKAANGSVFYLELTDLRGDVMYFTMTDATEARAS -GIMKDESFKCIPVDAKNKVVFPLFVVDETTDTLMFLERVLPKDFVHRAIEYVNRCKENQLTVESIVSYLS -STNNAVIIGGSARKVEEKVDPSLLPMIASTLLVYSEMQRAKQKTVIQKLRIHVKNTVTIGDLLNHAFHKV -FGAVGVGQLALQSFARWLKFFHGSGVIEMNDLFMYVEIEDRIRLWSKKSREHEFFLTFDDLTEKSRLYEE -YNEERRRISDKIIKEKGLSSKDLSLEEDKEKTVDEILAWMEKSEDLKASELRSKLIGIRKNRARVDKRKF -GEAHQVNTAVLQRFTEADDDHFSTCALNTPGKWWVDVLGEFLGKTLSEVCATNIVFSDIEPNDNTEGFDT -VEYADRDDMASDVETSISQCVESNDECVDEDGYSCDGDSKVEHSTLNSNYGALTPPPREEVKDSEEGVDV -TVEDVSTDIIESHCDGRWALMAEDSDFAIDQKRSEALNIMEVGYAKLPSKPDYLESDDFRMRAKKEFIWY -LECKLVSDKSAMTDIVRDYVYNMYHNSLCEFPKNSCFLSYEGDDNGSWAWGRKPVRLGHAYAVHFYAADW -KTNCRLVSLSWNKDEEGNFVGDKPVISTNSGVYMLCDLTFLMNEMIILENLQFSLKTRFQKHIPHVTLID -GVPGCGKSTHIVKEARLENQYVLTMGREAAAELRERFKTVRGSTEEQLKRVRTVDSFLMNDKDSRAKILH -FDEALMAHAGMVYFCADNLSARTIICQGDSQQIPFINRVESITLEYAKLEITNVVEKRLTYRSPLDVACF -LTRKNFYWHFHCYERKSNGRSISVVGPRDGMTSNYSIPKKKGAQYLTFTQSEKEDMVRYLGKGQWSVNTV -HESQGKTYDDVILVRLKPTDNEIYPGGRKSKPYVVVGTTRHRRSLVYYTRAEDILYRDITEMMSVQEGKL -HKHLFTESTQXRCGSKYESITVSDRPVSVPDVGAVEDLQVMYDIAFPGNSVIETYFDGYDVATGGLEIDI -ANIKYYPNKQKRMWQEVRGLTPALRTAMPEKRQSGLIESVLALNKRNMAAPRLQESVNEFEIIENVISKA -KAVFFDEKFIDASPMNSVSNCQKWWDKQSVTAQKQMLADTRIISEIDLCTYNFMIKNDVKPKLDLSPQSE -YSALQTVVYPDKIVNAIFGPVMKEINERILLALRPHVVYNTRMTAEELDRSVEFLDVREQYDAVEIDFSK -FDKSKTSLHIRAVIELYKIFGLDDMLAFLWEKSQCQTTIRDRQNGLIAHILYQQKSGNCDTYGSNTWSAA -LALLDALPLERAKFMVFGGDDSLVFFPKNMNLADPCGRLASLWNFDCKFFNFQNNMFCGKFLLKIGENYK -FAPDPFKLLTKLGRKDIKNDILLSEIFISISDNYRSYHDYRVLEALNVAVVERYKLSQDVLFGLCALKKY -LFNFDLFRTLFSYKGKLHCTNVSRNFDW - ->NP_604481.1 RNA-directed RNA polymerase [Barley stripe mosaic virus] -MDVVKKFAVMSVTVVAGPVLTLSSPVVVTFGTSLIAVSLVKRLLQEQPRVIAHDHEHYPGGSESSSSSCA -TAPILRNLSRDQCDSENIGCSSSACSPSEIVKVTRQVVEVERGLYRDKQQFGMDVVKKFAVMSVTVVAGP -VLTLSSPVVVTFGTSLIAVSLVKRLLQEQPRVIAHDHEHYPGGSESSSSSCATAPILRNLSRDQCDSENI -GCSSSACSPSEIVKVTRQVVEVERGLYRDIFQDNEIPSVMEEKLQKLLYSEGEKIRRRCQFEASTMHSRK -VKVPEVGTIPDIQTWFDATFPGNSVRFSDFDGYTVATEDINMDVQDCRLKFGKTFRPYEFKESLKPVLRT -AMPEKRQGSLIESVLAFRKRNLAAPRLQGALNEWHTIENVLKKALKVFFFEDLIDRTDHCTYESALRWWD -KQSVTARAQLVADQRRLCDVDFTTYNFMIKNDVKPKLDLTPQVEYAALQTVVYPDKIVNAFFGPIIKEIN -ERIIRALRPHVVFNSRMTADELNETVAFLTPHKYRALEIDFSKFDKSKTGLHIKAVIGLYKLFGLDGLLK -VLWEKSQYQTYVKDRNFGLEAYLLYQQKSGNCDTYGSNTWSAALALLDCLPLEDAHFCVFGGDDSLILFD -QGYIISDPCRQLAGTWNLECKVFDFKYPAFCGKFLLCIDGKYQFVPDAAKFITKLGRTDVRDVEVLSEIY -ISINDNYKSYKDFKVLDALDKALVDRYRSPYSAISALVSLCYHIFDFNKFKLLFNCEGKFVDKKLRKDFE -W - ->NP_604474.1 alpha protein [Barley stripe mosaic virus] -MASDEIVRNLISREEVMGNLISTASSSVRSPLHDVLCSHVRTIVDSVDKKAVSRKHEDVRRNISSEELQM -LINAYPEYAVSSSACESGTHSMAACFRFLETEYLLDMVPMKETFVYDIGGNWFSHMKFRADREIHCCCPI -LSMRDSERLETRMMAMQKYMRGSKDKPLRLLSRYQNILREQAARTTAFMAGEVNAGVLDGDVFCENTFQD -CVRRVPEGFLKTAIAVHSIYDIKVEEFASALKRKGITQAYGCFLFPPAVLIGQKEGILPSVDGHYLVENG -RIKFFFANDPNAGYSHDLKDYLKYVEKTYVDIEDGVFAIELMQMRGDTMFFKITDVTAAMYHMKYRGMKR -DETFKCIPLLKNSSVVVPLFSWDNRSLKITSGLLPRTLVEQGAAFIMKNKEKDLNVAVLKNYLSAVNNSY -IFNGSQVRDGVKIAPDLISKLAVTLYLREKVYRQRENSIISYFEQEMLHDPNLKAMFGDFLWFVPNTLSS -VWKNMRKSLMEWFGYAEFDLTTFDICDPVLYVEIVDRYKIIQKGRIPLGEFFDCHEECENYELREKEKND -LAVKMAQKVTGTVTECEKDLGPLVQPIKEILVQLVMPNLVRALCRPRSPTSPLDLKSIPGSTPSHSSSDS -EHSMTEEASCTIAGSVPTWEIATRKDLTFQRIDEDMSRRTGMPPRPKVTSSYNMNARAEFLYYQLCSVIC -ERAQILSVIEDFRQNLIFSDKVAVPLNARFYSFQSLRPGWVFKTPSHSEVGHSYAVHFDFKTIGTDLEES -LAFCRMVPISWDKSGKYIATTPHFPERHGYYVICDNTKLCNNWLIYNKLVDVYALVADRPLRFELIDGVP -GCGKSTMILNSCDIRREVVVGEGRNATDDLRERFKRKKNLNSKTANHRVRTLDSLLLAEGPCVPQADRFH -FDEALKVHYGAIMFCADKLGASEILAQGDRAQLPMICRVEGIELQFQSPDYTKTIINPKLRSYRIPGDVA -FYLSAKEFYKVKGIPQKVITSNSVKRSLYARGETTPERFVSLLDVPVRKDTHYLTFLQAEKESLMSHLIP -KGVKKESISTIHEAQGGTYENVILVRLQRTPNEIYPGGPRSAPYIVVGTSRHTKTFTYCSVTDDKLLLDI -ADVGGIAHTPIRTFESHIV - ->NP_059513.1 replicase readthrough [Chinese wheat mosaic virus] -MPIDSSSILGIISEEDVIRAAISTSATKFGSQLHSTVCDHVKETYLDAMERQKTKKKIDVRRDLSQEQLQ -LLNDLYPERHIVSSNCERGTHSFAAASRKIETDLLLSRIPKKSWVYDIGGNWATHIKRNDGRRVHCCCPT -IDIRDSARKTVSWASIEKYLDEKDEIPTEVGERIKRVQADEIKIYENLKNKRAQPEDLDGKWYCGNKFEE -CVFRAERAYAMAIHSIYDIDLMDLANALEEKRIKIMSGTFLFSVDLLLGKKSGSLPTMDGFFEVEGDYVK -YGFKNDTNPGYKHKLSQLMKYLTKTFVVAKGGTIYYLELTEQRGDVMFFTMTDATEARMNGVVADESFKC -IPIDNKSEVVFPIFEVDKQTDSLIFSEVLLSKDFVQRAIEFTGRLKPNQLTPDNVNTYLTSTNNTIIIGG -SSKKNTTKVDATLIQQITTTLVVWTELMNLRQKRVLEKLRLQMKDDVDFKSLAHTAFLKVFGKVSYYQRA -LRCFANWLSYVHGTDAIQFHDVPLYAEVTDRVKLWKTHAPNQGFVLDMEDLDVKIKMHEVSEREKRDVSR -CIVSGKLGELQIHSESSNNEEQINGDYRDSRRRTTFEDLLEGEVATNFLDDWCEKNDHFNFSRDDAQSKY -AWGLKLLKGIWEFLLPPMDFAPVYVDDEQARLRMVRVNERRNTSDTGADGDVAACEVAADFSKAMDTLVD -VVKKMDQKKLGLVEKVKASAVAVVELSKTKPVTGNSCAVDLWADFEKNLDDDDEPGCGISVVTKGKEICQ -DNVGPVLLCGSSSCSSVSEVEKETDVVSVTDSGNSPATCCGGMVLDKSVSIAGNDVSVELPVTATTVATV -ETGTADGVIASTDESAFSDVNDKSWGSVAEEESDDTFYSCSGLISDKVKKSNLPKRPDFSKFPTVQQKAK -HEAMWYLQCKIVSDRTTLRSIIDDHLRGMFHNGTCELPKDSAFLDYTADNCGTWMYGKPSRFGHSYGVGF -SLDTRQRVSKCELVKLMWNHDSRGQVNQKPVNTRAFQYLLLSELSFMMNEMIIYRNLQQVMRKRERSKQA -RITLRDGVPGCGKSTWILNNANPTKDMILSVGKEATEDLKEKFMKKHRCVESDLKRIRTVDSFLMHDYDK -YRAATVHFDEALMAHAGMVYFCADILGAKKVICQGDSQQIPFINRVESITLQYSKLVIDETEHVRLTYRS -PVDVAHYLTKKSWYSGGRVTTKNPVMRSMKTVGPRDVKPMTSVHCVPYFKDAQYLTFTQSEKTDLYKALR -NKGPVTVNTVHETQGKTFDDVIVVRLETTENEIYPGGRKGQPYEIVATTRHRRSLVYYTAIEDRLFEDIS -DMQDVMESKLMKSLCPEFDKXRFGSKYESILICDREVRVPDVGTPAILQDFYDRVFPGNSTMDSHFDGYE -VSTSDISIELENCTVQPNKNVRVWQDKRGLVPVMRTAMPPKRQNLPVEAMLALKKRNMAAPKLQEAVNEF -EVIERTINRAKEIFFNVELIDDSELSTRESNLRWWGRQSTTARAQMKKEMRVLHEIDLCFYSYNIKGDEK -PKMDRSPQHEYGALQTVVFPDKIVNALFGPVMKEINERIRMALKPHVVYNSRMNADELNRTVEFLDPDEE -YNAFEIDFSKFDKSKTSLHIRAVIELYKLFGLNDLIALLWEKSQSRTKIRDFVYGITAYLLYQQKSGNCD -TYGSNTWSAALALLESMPLEKAKFMIFGGDDSLILFPKQQVVEDPCRRLASLWNFDCKLFDFKHNMFCGK -FLLKIGDRFKFAPDPMKLITKLGRKDIADGRLLSEIFVSIGDNFRSYRDYRILEQLSLAVRERYKTDEDP -TATLITLKKYIFDFKLWGSLFNYSGEFVESRVDRNFEW - ->NP_059510.1 replication protein [Oat golden stripe virus] -MPIDSSTILGIINEEEVVRAAIATSATRTNSELHRTVCEHIRGQFLDTVENQKTKKKIDVRRDLTQEQLQ -LLNDLYPERHIVTSNCERGTHSFAAASRKIETDLILSRIPKNANVYDIGGNWATHLKRKDLRKVHCCCPI -LDFRDAQRKTTRWLSVEKFITDRNELMPEVGEKLKEIQEDENFISGNLRKGLVEPKFLTGKWYCENKFED -CVFKAEKAYAMAIHSIYDIALDDLANAMEEKRIKYLMGTFLFSVDMLIGKKRGEMTTVDGFYEIDGEDVK -YGFYDDTNCGYKHNMQQLMEYLTRTFVKAKAGSVYYLELTEQRGDVMFFSMTDATEARMHGVAQDESFKC -IPIDSKDNVVFPLFELDKRTDVLVFSEIILSRTFVRRAVEYTARLKPNQLNAETVNSYLTSTNNTVIIGG -SAKKTVEKVDALLIQQITTTLIVWTELMNARQKRVLTQLRLQMKDDVNFSSLAQAAFHKVFGKVSTYQKA -LRVFARWISYCHGSDAIEFRNVPLYVEITDRVKLWKQHAPNHGFSFDLEGLDAKIELHKELERERKAISE -YVASDKLGELCPKEVDAGSVTEVREYQDGRRRATASDLKSGEVFTNFVDDWCNKEDHFNHRHTDAEHKYS -AMVAMLKSIWEVFVPSMQFAPVYVDVDAKLDSVADDVVVSDGSDDDDDDAPTAPEVVEVTVEKENAEVLP -AVVDRAAVWRDYTEALLKGKNVTLPLEVLRTPVPTALENTELCVRSNGKEVLVVPVLRTPAPTAPESVES -CVRSKGKEVLVVPAEVPLRGSSSRSSESEVRTEHELLEVDMRVLAAVTEALDEMEKSLKVVVPETPCKPL -YVSDITDSDDDSEPGSKPWGLLAEEESDDSFYINSELISNSVKKGVLPKQPDFRKFPTVQQKAKHEFMWY -LRCKITSDRTTLRSIIDDHLRGVFHNGNCELPSDSCFLDYTSNNGGEWVYGKPSRVGHCYGVGFSLSSAG -KVIKCELMKLIWDVDERGNPSQKPFNTKTVQYMLLSDITFLMNEMLIFRNLQASLLRKDRTKQAVIMLKD -GVPGCGKSTWILNNANHVKDVVLSMGREATLDLKDKFARKYKCQERELKRIRTVDSYLMHDCGKTLRASV -VHFDEALMAHAGMVYFCADLLGAKKVICQGDSQQIPFVNRVESISLQYAKLVIDRTESVRMTYRSPIDVA -HYLNAKAYYDGGRVTTKNEVLRSMSVVGPRGTRPMNSAYCVPYVKDTQYLTFTQAEKDDLFKALRSKGSV -SVNTVHEAQGKTFDNVILVRLKTTENPIYPGGLKSKPYTIVSVTRHRRSLVYYTAVEDRLFFDIGEMKSV -MEDKLMKNLNLENQKXRFGSKYETILICDREVKVPDTGDLVMIQDFYDRVFPGNSTMESYFDGYEVATGD -ISIEVENCTVQPHKNVRVWQEKSGLVPVLRTAMPEKRQNGMIESLLALKKRNMAASKLQEAVNEFELIER -TIDRAKKIFLNEDMIDCSATSTMESNMRWWEKQSHTARQQLLKETKLIHEIDVCTYNFMIKNDVKPKMDT -TPQSEYAALQTVVYPDKIVNALFGPVMKEINERIRYALKPHVVYNSRMNADELNRTVEFLDPDEDLQSFE -IDFSKFDKSKTSLHIRAVIEFYKLFGLEEMLAFLWEKSQCQTTVKDRLNGITAYLLYQQKSGNCDTYGSN -TWSAALALLETMPLEHAKFMIFGGDDSLLLFPKKICVEDPCRRLASLWNFDCKLFDFKYNMFCGKFLLKV -GDKWKFAPDPMKLITKLGRKDIVDGELLSEIFVSIGDNYRSYRDYRILEGLGPALQERYRTTEDPTPALI -ALKKYVFNFSLFASAFNYNGKFVVKKVSRDFDW - ->NP_059450.1 replication protein [Soil-borne cereal mosaic virus] -MPIDSSSILGIISEEDVVRAAISTSATKFGSQLHGTVCDHVKETYLDAVERQKIKKKIDVRRDLSQEQLQ -LLNDLYPERHIVSSNCERGTHSFAAASRKIETDLLLSRIPKRAWVYDIGGNWATHVKRNDGRKVHCCCPT -LDIRDAGRKTTRWASIEKYQDEKETIPVEVGEKLKRIQEDENSTYANLKAGRCQPEDLNGKWYCGNKFEE -CVFTAERAYAMAIHSIYDIDLMDLANALEEKRVRIMSGTFLFSVDLLLGKKQGRLPTMDGFFEVEGDYVK -YGFHNDTNPGYKHKLSQLMKYLTKTFVTAKGGSIYYLELTELRGDVMFFTMTDATEARMNGVVRDESFKC -IPMEDNGNVVFPLFEVDKRSDTLCFSEILLPRDFVQRAVEYTGRLKGNQLTAENVNTYLTSTNNTIIIGG -SSKKNVNKVDSLLIAQITTTLVVWTELMHARHKRVMELLRLQMKEDVDFKSLAHAAFLKVFGKVSFYQKA -LRSFANWISYCHGSDAIHFRPVPLYVEITDRVKLWKTYAPNQGFVLDMEDLDEKIKLHEITEREKYDVSR -HIVSTKLGELATGDYGTSERACDVVRTDNRRKTDFGDLLEGEVATNFIDSWCEKDDHFNFSYDDAESKYV -WCAKLLRMVWDTLIPPMEFAPVYVDDKDASIRIASRIEKREKNDAEVTTDGTSGFVGTERKEFDAAVDLL -TVAGNKTTDELKAQRTEVVAAANAVVAQNKLYTESEVSNAVKLWADVDDEFLEEEETTVPVADITDHASV -IEENFENLQQGSSSNSTPIVVARENLFVRNDMGPSAVTSDDCSTEGELREKSWASLAEEESDSSYYLNTA -IISGKVQKSALPKAPDFSKYATFQAKAKQEALWYLQCKIVSDRTTLRSIIDDHLRGMFHNDTCELPKDSA -FLDHTTDNGGAWMYGKPSRFGHSYGVGFSLNSKREITKCELVKLMWNYDGRGQISQKPVNTKAFSYLLLS -ELTFMMNELLIFCNLQKVIKKKERTKHALITLRDGVPGCGKSTWIVENANPMKDMVLSMCKEATEDLKEK -FAKRLRCTESALRRVRTVDSFLMHDYDKFRAATVHFDEALMAHAGMVYFCADILGAKRVLCQGDSQQIPF -INRVESITLKYAKLQIDDTEYVRLTYRSPIDVAHYLTKKSYYSGGRVLTKNTTLRSMNTVGPRDAKPMTS -VHCVPYHRDTQYLTFTQSEKADLYKALRNRGPVNVNTVHETQGKTFDDVIVVRLKTTENEIYPGGRKAQP -YEIVATTRHRRSLVYYTAIEDRLFSDINDMRDVMEDKLMKNLSSELAKXRFGSKYESILICDREVRVPDV -GNSVILQDFYDRVLPANSTMDSHFDGYEVATTDITIEVENCKVHPHKNVKVWQDKRGLIPVLRTAMPPKR -QNGMVEALLALKKRNMAAPKLQEAVNEFEVIDRTISRARSISLNEDMIDESLVSTRESNMRWWEKQSHTA -RQQLKSEYRVLHELDLCKYSHNIKGDEKPKNDLTPQREYGALQTVVYPDKIVNALFGPVMKEINERIGMA -LKPHVVYNSRMNAEELNRTVEFLDPEDEYDAFEIDFSKFDKSKTSLHIRAVIELYKLFGLDDMLSLLWEK -SQCQTTVIDRTNGITAYLLYQQKSGNCDTYGSNTWSAALALLESMPLEKAKYMIFGGDDSLILFPKQLCI -EDPCRRLASLWNFDCKLFNFRHNMFCGKFLLKIGNKFKFAPDPMKLLTKLGRKDIADGKLLSEIFVSMGD -NYCSYRDYRILEQLAVAVQERYRTNEDPTAMLITLKKYIFDFKLLASLFNYSGEFEVCKVGRNFEW - ->NP_049335.1 209 kDa readthrough protein [Soil-borne wheat mosaic virus] -MPIDSSSILGIISEEDVIRAAISTSATKFGSQLHSTVCDHVKETYLDAVERQKVKKKIDVRRDLSQEQLQ -LLNDLYPERHIVSSNCERGTHSFAAASRKIETDLLLSRIPKRSWVYDIGGNWATHVKRNDGRKVHCCCPT -VDIRDSARKTVRWASIEKYLDEKEEIPPEIGERIKRLQADEDRIYANLKSEKAQPEDLDGKWYCGNRFED -CVFRADRAYAMAIHSIYDIDLSDLANALEEKRIKVMSGTFLFSVDLLLGKKSGTLPTMDGFFEVEDGYVK -YGFHNDTNPGYKHNLNQLMKYLTKTFVVAKGGTIYYLELTEQRGDVMFFTMTDATEARMNGVVADESFKC -IPIDNKDEVVFPIFEVDQKTDALVFSEILLSRDFVQRAIEYTGRLKPAQLTSDNVNTYLTSTNNTIIIGG -SSKKNATKVDATLIQQITTTLIVWTELMNQRQKRVLEKLRMQMKDDVDFMTLAHATFLKMFGKVSYYQRA -LRCFANWISYVHGADAIQFRNVPLYAEVTDRIKLWKNYAPNQGFVLDLEELDVKIKLHEITEREKRDVSR -CIVSGKLGELSSVDNTECGAVLDGKDYKDSRRKTTFEDLLDGEVATNFLDNWCDKTDHFNFSRSDAVSKY -AWGMKLLKGVWEFLLPPLHFAPVYVDAEQARIRLNAEIVTVVEHAVTESNVGLTGVEKAEFADAMSFLVG -AAKHLEKRKEVAQQAVVEAVEAVRELRKVHSPADVCNAVDLWSAFEKDLDDDDETGTTATIVEKGKAVCD -DDVQVVLCGSSSTSSVEEVSKEAELFVETIESQASSVTETSDVTTEVAASSSDESVMSEVPEKSWASVAE -DESDDSYYLRSMIISDKVQKSALPKRPDFSKYSTLQQKAKQEALWYLQCKIVSDRTTLRSIIDDHLRGMF -HNGNCELPKDSAFLDYTVDNCGTWMYGKPSRPGHSYGVGFSLDTKQRITKCELVKLMWNRDCRGQMNQKP -VNTRAFQYLLLSDLSFMMNELVIYRNLQQVVKKKERTKQARITLRDGVPGCGKSTWILNNANPMKDMVLC -VGKEATEDLKEKFMKKHKCAESDLKRIRTVDSFLMHDYDKFRAATVHFDEALMAHAGIVYFCADILGAKK -VICQGDSQQIPFINRVESITLQYAKLAIDETEYVRLTYRSPVDVAHYLTKKSWYSGGRVTTKNSVLRSMK -VVGPRDAKPMTSVHCVPYHRDAQYLTFTQSEKADLYKALRAKGPVEVNTVHETQGKTFDDVIVVRLKTTE -NEIYPGGRKGQPYEIVATTRHRRSLVYYTAIEDRLFEDISDMQDVMESKLMKNLCSELTKXRFGSKYESI -LICDREVRVPDVGTPVIIQDFYDRVLPGNSTMDSHFDGYEVSTSDISIELENCTVQPNKNVKVWQDKRGL -VPVLRTAMPPKRQNLPVEAMLALKKRNMAAPKLQEAVNEFEVIERTVNRAKEIFFDTSLIDDSEVSTRES -NLRWWKRQSTTAKAQLKKETRLLHELDLCYYNYCIKGDEKPKMDRSPQHEYGALQTVVFPDKIVNALFGP -AMKEINERIRLALKPHVVYNSRMNAEELNRTVEFLDPEEDFNAFEIDFSKFDKSKTSLHIRAVIELYKLF -GLNDLFALLWEKSQCQTKIRDFVNGITAYLLYQQKSGNCDTYGSNTWSAALALLESMPLEKAKFMIFGGD -DSLILFPKHLTIEDPCRRLASLWNFDCKLFDFKHNMFCGKFLLKVGDRFKFAPDPMKLITKLGRKDIVDG -RLLSEIFVSVGDNYRSYRDYRILEQLTYALRERYRTTEDPTAALVALKKYIFDFKLWASMFNYKGEFVEC -RVDRNFEW - ->NP_049325.1 replicase [Pea early-browning virus] -MAQGLKISQLLNIDEFGAEQRGQFLDLMITKPDSQLGAMMQRILTDKIDDSLRERKTRETVVIHELLSQK -DQNKLMEIYPEFNIVFKDDKNMVHGFAAAERKLQALLLMARVPKLEPVDDIGGQWSFWLSRGDKRVHSSC -PLIDMRDKQRELQRQNFLRVFRDNATTSESRISDDQFDMYNAFKGDIDVANFVRCNNTFQDCNCRGYRAD -GTRIGATNAIALHSLYDFKLDDVADAMIEKGTKFLHAAMLFAPEAEIEKEGPLPSVDGYYERKEGSLISS -EKIFFGFNNDPSYAYIHDWSEYKKYLRGEPFSRRGHVFMFEPWQARGDTMFFTLYRMTGVPMTNLLGNEY -YRRLHISRWEGMVVVPVFEIDEITKKLTKSSMYVEKAYMDKCLDYVSRLSDQQLTINNVKSFMSSNNWVL -FINGAAVKNKQSVDPRRLQLLAQTLLVKEKLMRPLMTEMREKMVLRATAVNSVTGTLKVAWGNYFDGSLR -RKCLLKLAQVLGTETGLEVLEVKDAPKYIEINDYLTAIFNETTEKTDGSLPDLDEAKRNSDKISKEAAEA -AVQCVKMQFPKFESSDSLKEPLIRKIDGDVKKKGKQRRNCGLLTGWTKLLNNTHAHVGWQRLFLKSKIES -ESEGRKPMTDEEIEAALDDIMELNDVNLEAYKTRTVNKEFDIFTTWLASTYDTGLDSEKELITNLLATAA -VRNKKALSDKLAMLIDVDDSVNSFLRSLSDTDDDSTDVADCSASVSSDTSCVSSVVFRPTVPPGFEDVNL -RKGKTVIVDNDAAESSSSSERNRNHFANFEVIENCRFGDAPKETGDFSVDSRLEFIHYLRCLICAQNNEL -LGKYRDYEMGVVRPGGKGYPDELGVFDLALKKWIIKPPSCSYNKAFVPDVSAKEQGKWIGYLVDASWGKQ -GIDAFSCYTNVAWKADIAIVCSQTFLCNERIILKNLAGLEVVPLRCKFKLVDGVPGCGKSTMIVNTANPV -FDVVLSTCKEATEDLLEKFAAKKFGINLKKRVKTVDSFLMHCSDGNCVGDLLHFDEALMAHAGMVFFCAQ -IAKAKKVICQGDQKQIAYKPRVSQLTLRFTSLIGRFDEVEEKRMSYRCPVDVALTLDRFYTGKVVTKNSV -LRSMDVKRIGSKEQVEMEHGIQYLTFLQSEKKDIANLLCQRKVKSFVNTVHEAQGKTFKKVRLVRLKPTD -DVLARGQEYQIVALSRHTQSLVYETVKDDEVSALIRDSAACTKSSLMRYFVEDAMKXRCRSRFDVFRHHD -GPCSVPDSGTPVDIQSWYDELFPLNSVRDTSLDGYMVNTEDCNLRLTDVTLKSGNWKDKFVEEKETCLVP -VLRTAMPDKRKTTQLEGLLALQKRNQAAPDLQQNVHSTLLVEDTIKRLKEVVYDVEKIRSDPINNKAHMQ -KWWRNQSTAVQAKVMQDVRELHEIDFSSYMFMIKSDVKPKMDSTPQHEYSALQTVIYHEKLINSLFGPIF -KEINERRLDAIHPHFVFNTRMTASDLNDRVRCLHPDADYDFIEVDLSKFDKSANRFHLQLQLEIYRMFGL -DEWAAFLWEVSHSQTTVRDVQNGMTAYIWYQQKSGDADTYNANSDRTMCALLSELPLEKCVLMTYGGDDS -LIVFPKGLKLVDPCEKLATKWNFECKIFKFLVPAFCGKFLIRVQDKLVFVPDPVKTVTKFGKKCIRDVQH -LAEIYISLNDGNRLLSDANVLYALDCAVADRYRYGKSSIYALCALWKHIRSFTAFCTLFRQNGKELNPND -VDWEKAKAAVNQFYDW - ->sp|P05079.1|RDRP_TRVPS RecName: Full=Replicase large subunit -GAHLVPTKSGDADTYNANSDRTLCALLSELPLEKAVMVTYGGDDSLIAFPRGTQFVDPCPKLATKWNFEC -KIFKYDVPMFCGKFLLKTSSCYEFVPDPVKVLTKLGKKSIKDVQHLAEIYISLNDSNRALGNYMVVSKLS -ESVSDRYLYKGDSVHALCALWKHIKSFTALCTLLPRRKG - ->ALV82076.1 replication-associated protein 1 [Tobacco rattle virus] -MANGNFKLSQLLNVDEMSAEQRSHFFDLMLTKPDCEIGQMMQRVVVDKVDDMLRERKTKDPVIVHEVLSQ -KEQNKLMEIYPEFNIVFKDDKNMVHGFAAAERKLQALLLLDRVPALQEVDDIGGQWSFWVTRGEKRIHSC -CPNLDIRDDQREISRQIFLTAIGDQARSGKRQMSENELWMYDQFRENITAPNAVRCNNTYQGCTCRGFSD -GKKKGAQYAIALHSLYDFKLKDLMATMVEKKTKVVHAAMLFAPESMLVDEGPLPSVDGYYMKKNGKIYFG -FEKDPSFSYIHDWEEYKKYLLGKPVSYQGNVFYFEPWQVRGDTMLFSIYRIAGVPRRSLSSQEYYRRIYI -SRWENMVVVPIFDLVESTRELVKKDLFVEKQFMDKCLDYIARLSDQQLTISNVKSYLSSNNWVLFINGAA -VKNKQSVDSRDLQLLAQTLLVKEQVARPVMRELREAILTETKPITSLSDVLSLISKKLWKQFANKIAVGG -FVGMVATLIGLYPRKVLTWAKDTSSGPELCYENTHKTKVIVFLSVVYAIGGITLMRRDIRDGLVKKLCDM -FDIKRGAHVLDVENPCRYYEINDFFSSLYSASESGETVLPDLSDVKAKSDKLLQQKKEIADEFLCAKFSN -YSGSSTRTSPPSVVGSSRSGLGLLLEDSNVLTQARVGVSRKVDDEEIMEQFLSGLIDTEEEIDEIVSAFS -VECERGETSGTKVLCKPLTPPGFENVLPTVKPLFNKGKAIKSTDYFQVMGGERLPKRPVVSGDDSVDARK -EFLYYLDAERVAQNDEIMSLYRDYSRGVIRTGGQNYPHGLGVWDVEMKNWCIRPVVTEHAYAFQPDKRKD -DWSGYLEVAVWERGMLVNNFAVERMSDYVIVCDQTYLCNNRLILDNLSALDLGPIDCSFELVDGVPGCGK -STMIVNSANPCVDVVLSTGRAATDDLIERFASKGFPCKLKRRVKTVDSFLMHCVDGCLTGDVLHFDEALM -AHAGMVYFCAQIARAKRCICQGDQNQISFKPRVSQVDLRFSSLVGKFDVVTEKRETYRSPADVAAVLNKF -YTGDVRTHNATANSMAVRKIVSKEQVSLKPGAQYITFLQSEKKELMNLLALRKVAAKVSTVHESQGETFK -DVVLVRTKPTDDSIARGREYMIVALSRHTQSLVYETVKEDDVSKEIRESAALTKAALARFFVTETVLXRF -RSRFDVFRHHEGPCAVPDSGTITDLEMWYDALFPGNSLRDSSLDGYLVATTDCNLRLDNVTIKSGNWKDK -FAEKETFLKPVIRTAMPDKRKTTQLESLLALQKRNQAAPDLQENVHATVLIEETMKKLKSIVYDVGKIRA -DPIVNRAQMERWWRNQSTAVQAKVVADVRELHEIDYSSYMYMIKSDVKPKTDLTPQFEYSALQTVVYHEK -LINSLFGPIFKEINERKLDAMQPHFVFNTRMTSSDLNDRVKFLNTEAAYDFVEIDMSKFDKSANRFHLQL -QLEIYRLFGLDEWAAFLWEVSHTQTTVRDIQNGMMAHIWYQQKSGDADTYNANSDRTLCALLSELPLDKA -VMVTYGGDDSLIAFPRGTQFVDPCPKLATKWNFECKIFKYDVPMFCGKFLLKTSSCYEFVPDPVKVLTKL -GKKSIKDVQHLAEIYISLNDSNRALGNYMVVSKLSESISDRYLYKGDSVHALCALWKHIKSFTALCTLFR -DEKDKELNPAQVDWKKAQRAVSNFYDW ->ALT22314.1 putative replicase [Soil-borne virus 2] -MDSVVSKLQSSELIDAVLHTSATRTQSELHQTMCQLYQNQIKDSLKKNENKKKIDIKRNLTEEQLQTLGD -LFPERRIVSTCVSRGTHSMAAAMRKIETDIILSAFPKKCTIFDVGGNWATHAKRCDDRMVHCCCPILDFR -DAQRKTTRMLSYNKFIEDAKELKPAVARRAEEIAEDNSRIVGNILKGERYSEAMDGKWFCQNKFEDCVFG -ENSKDTSLKVGMAVHSIYDIHLIDLVGAMQRKGIKVLTGTFLFSVDLLLGKKEGNLPTVNGFFRVEGKKV -KYGFHDDPNCGYEHELSSLLMYVTKTFVKSPGGNVYYLELNEMRGDVMFFTLTDATEAQSMGVKSDSSFK -CIPLNDRGKVVFPVFDVEAKTGEIVFREECLNRDFVSRALEYVSRLKDNQLNSETIISYLASTNNAVVIG -GSARKTTEKVDPKLLPMVATTLIVYSEVQRKKQKMVISKLRSRVQEELQFKQIVECIFHRVFGKDSTYQK -VLQVFAGWVNFANGGKIVDLCDTPIFVEVKDRIKLWSENATADSVLLSFNDIDAKVRLYEECEAERQRIS -EMLVQEKTGLYDSENIQIGVTKAEGKNQETSPSDAFRRWMETEDAFSFNSDNMSVNETSTTKSSNSVSKK -FMREWIEGDDHFNSAKSIPRSRRVFNFQGFMDTLKGLLKIVEPEPFEYLDDDEVWESLETRLLRSEKEEV -SMETVLERVPEVCISETILLDAVKEEKTAESISAPAQIMKVEEVKLDIVPEKFSACAEIDDYVSDADSWK -EDVCDSGDSVSVGYECDEETIFNDSSSLSGLSTRVTVEKPSLKGKEKVICHEESTWEMGETSRWAQMAED -SDLAQGFKELPPCEDQFAVRYAKLPEYPAEEDGDDFRTLAKKEAHFYLKAKLVADKSSMVDIVRDFLYGN -FHNGKCKTPKDACFLDCSVYKQGKWVLDKKPKRMGHAFAVGVKNRDWKTCRLVPMSWETEDRKVIGDKPI -VSEGAFDLYMLCDLTFLMNDMIILKNIEDVMRKRERKVAPRVTLIDGVPGCGKSTHIVENADLSKHYVLT -MGKEAALDLKERFRKKNFTESQLSRVRTVDSFLMHDLNSRANVLQFDEALMAHAGIVYFCADILSARVVV -CQGDSQQIPFVQRVEGINLKYSKLQLDSVVEKRHTYRSPVDVAMFLTKKNFYGTSIVTTSNETLRSLKTV -GPRDGMTSMYTVPKIKFATYLTFTQAEKEEVSQYLGVGKWTVNTVHEAQGKTYDDVILVRLKPTDNEIYP -GGGKSKPYVVVGTTRHRRSLVYYTMAEDSLYFDISNMLEVQENKLFKHLYIENSLXRFGSKYENFVFSEK -TSVVPDVGTVQDLQSMYDVFFPGNSTIDTYFDGYEVATGGLYIGLDDVTYYPNKQMKTWQETKGLQPVLR -TAMPEKRQGGLVESALALNKRNMAAPQLQESINEFEVIEKTIERVKNICFDVDRIDSSPMNTIEHAERWW -RKQSVSAQNQMLADTRVMHEIDLCTYNFMIKNDVKPKLDLSPQSEYSALQTVVYPDKIVNAIFGPIMKEI -NERMRMALKPHVIYNTRMTADELDDAVEFLDVNEEFDSVEIDYRKFDKSKNSLHIKVVIALYKLFGLEEM -VAYLWEKSQCQTTIKDRVNGLVAHLLYQQKSGNCDTYGSNTWSAALSLIHTLPLEKARFMIFGGDDSLIY -FPKGSQIPDPCGKLASMWNFDCKLFNFRHNSFCGKFLLKIGERYRFAPDPAKFLTKLGKKGIKDGDLLSE -IYISVTDNYKSYHDYRVLEMLNVACIERYKLPCDIIFGLCALKKQISSFENFSALFNFKGSFKNHKVDRL -FEW ->prf||2211403A gamma-A gene -MDVVKKFAVMSVTVVAGPVLTLSSPVVVTFGTGLIAVSLVKRLLQEQPRVIAYDHEHYPGGSESSSSSCA -TAPILRNLSQDQCDSENIGCSSSACSPSEIVKVTRQVVEVERGLYRDILPQFGMDVVKKFAVMSVTVVAG -PVLTLSSPVVVTFGTGLIAVSLVKRLLQEQPRVIAYDHEHYPGGSESSSSSCATAPILRNLSQDQCDSEN -IGCSSSACSPSEIVKVTRQVVEVERGLYRDIFQDNEIPSVMEEKLQKLLYSEGEKIRRRCQFEASTMHSR -KVKVPEVGTIPDIQTWFDATFPGNSVRFSDFDGYTVATEDINMDVQDCRLKFGKIFRPYEFKESLKPVLR -TAMPEKRQGSLIESVLAFRKRNLAAPRLQGALNEWHTIENVLKKALKVFFFEDLIDRTDHCTYESALRWW -DKQSVTARAQLVADQRRLCDVDFTTYNFMIKNDVKPKLDLTPQVEYAALQTVVYPDKIVNAFFGPIIKEI -NERIIRALRPHVVFNSRMTADELNETAAFLTPHKYRALEIDFSKFDKSKTGLHIKAVIGLYKLFGLDGLL -KVLWEKSQYQTYVKDRNFGLEAYLLYQQKSGNCDTYGSNTWSAALALLDCLPLEDAHFCVFGGDDSLILF -DQGYIISDPCRQLAGTWNLECKVFDFKYPAFCGKFLLCIDGKYQFVPDAAKFITKLGRTDVRDVEVLSEI -YISINDNYKSYKDFKVLDALDKALVDRYRSPYSAISALVSLCYHIFDFNKFKLLFNCEGKFVDKKLRKDF -EW ->ALM54972.1 rep protein 2 [Potato mop-top virus] -MDQSILSKITSDDYVNAILHTSATRVGSDLHNAMCNVIVDQIKDSTEKKKKKKKIDVKRNLNEDQIQLLA -ELFPERRVVTSSVHRGTHSMAAAMRKIETDVIFTSFPKNGVIYDIGGNWATHAKRDDGGFVHCCCPILDF -RDAQRKMTRLIDFNRFIDDAKVVSADKAAVAAQIKKDCDLISENAKKDAYDANDLNGTWFCQNKFEDCVY -DHSTLGDGKKEAYGMAIHSIYDIHLVDLVSAMERKKMRVLKGTFLFSADIIIGKKRGELPSVNGFYMIDG -ESIKYSFYDDPNCGYEHNLNSLMLYVTKTFVKAVGGAVYYLELTEMRGDTMFFTITDASEARVMGVLHDS -STKCLPLNKRDLVVFPLFDIDRATDELVFREELLSREFVNRALEYAFRLKDNQVTAEGLISYFASTNNAV -VIGGSARKTSEKVDPKLLPMITTTLMVYQELQKAKQKRVLGKLKSKVKEELTLSGILESVVHRVFGSQSL -YQRGLGVFAKWMQYSYGQDLVGIHDVPLYLEINDRIKLGSALKNVNGFSLSFSELDEKVSLYEEYERERQ -RISDEIVSEKIGLVGEGYVKVGESSLKPKQEVASRDGIAQWVSGECHLFDTAKCEEKPVEKPRRFSKVVL -EEWISEGNCFALNNSFGDEVHWFDSLKEACGRAWRKQVSAVTFSDAEYFDNIENTENEELEEEEVIKTTE -QVEQDWPIGNLPDVDPDDSASAQVCSTESASIDSDEDCDPMEQLIVEACERVFATKKFEEVTMDSQVEQS -EEIEIVREGEHAHANLSSGESESSSHSQELVAVGSVPLSKWAQMVEDSEVRVRQCAVDHDLSWDEVKYAK -MPERPEEAEDDDFRTKAKREFLWYLKCKLVADKSTLVEIMRDFIYGQFHSGACETPKNACFLSYEKDVCG -EWMFGKRYRHPSKGASSYAVRFTREDWNRAKLIKLQWKNAKAEENGEMSSDNSDKPIVPKGETGIYLFCD -ITFLMNEIPILNRLEISFKKRVQRRAPRITLVDGVPGCGKSTYVVKEANLVNQYVVTIGREAAEDLRERF -KSERNATATQLKRVRTVDSYLLNDTQSRANVLHFDEALMAHAGMVYFCADDLSARSVICQGDSQQIPFIN -RVESITLRYSKLEIDNVVEKRLTYRSPLDVASYLTKKNFYGTSVVTSANPLVRSLKTVGPRDGMTSIYSI -PKIPGTQYLTFLQSEKEEMRQYLGRGNWNVNTVHESQGKTYDNVVLCRLKATDNEIYPGGRNSSPYMVVG -VTRHRRSLVYYTKAEDKLYFDLAEMLSVQEGKLMKHLHEEGVKXRQASKYEEIMVSDNAVTVPDVGNLVD -LQEMYDIAFPGNSIIDTYFDGYEVATGGLQIDMNASLTYYPNRQMKMWKECRGMYPMLRTAMPEKRQSGL -AEGLLALNKRNMAAPKLQESVNEFEVIESTIEKAKKVFFDESRIDNSKLETFDGAARWWVKQSCTAQKQM -LADVRTLSDIDVTSYNFMIKGDVKPKLDLSPQSEYSALQTVVYPDKIVNALFGPIMKEINERIRVALKPH -VIYNTRMTSDELDAAVEFLDVREDHESVEIDFSKFDKSKTSLHIRVVIELYKLFGLDEIIAYLWEKSQCQ -TTIKDRVNGIIAQILYQQKSGNCDTYGSNTWSAALSLLESLPLEKATFMIFGGDDSLIFFPKGMVIEDPC -RRLASMWNFDCKLFNFKNNSFCGKFLIKVGERYKFAPDPYKLLTKLGRKDIKNSDLLSEIFTSIGDNYKS -YDDYRVLEALNVAVMERYKLRCDVMFGLLALKKYINSFDLFASLFSHKGKYQRVEVGRNFEW ->AAF18330.1 207K protein [Soil-borne cereal mosaic virus] -MPIDSSSILGIISEEDVVRAAISTSATKFGSQLHGTVCDHVKETYLDAVERQKIKKKIDVRRDLSQEQLQ -LLNDLYPERHIVSSNCERGTHSFAAASRKIETDLLLSRIPKKSWVYDIGGNWATHVKRNDGRKVHCCCPT -LDIRDAGRKTTRWASIEKYQDEKETIPAEVGEKLKRIQEDENSIYANLKAGRCQPEHLNGKWYCGNKFEE -CVFTADRAYAMAIHSIYDIDLMDLANALEEKRIRIMSGTPWFSVDLLLGKKQGRLPTMDGFFEVEGDYVK -YGFHNDTNPGYKHKLSQLMKYLTKTFVTAKGGSIYYLELTELRGDVMFFTMTDATEARMNGVAQDESFKC -IPIEDNGNVVFPLFEVDKRSDTLCFSEILLPRDFVQRAVEYTGRLKGNQLTAENVNTYLTSTNNTIIIGG -SSKKNVNKVDSLLIAQITTTLVVWTELMHARQKRVMELLRLQMKEDVDFKSLAHAAFLKVFGKVSFYQKA -LRSFANWISYCHGSDAIHFRPVPLYVEITDRVKLWKTYAPNQGFVLDMEDLDEKIKLHEITEREKYDVSR -HIVSTKLGELATGDYGTSEKACDVVCTDNRRKTDFGDLLEGEVATNFIDSWCEKDDHFNFSYDGAESKYV -WCAKLLRMVWNTLIPPMEFAPVYVDDKDASIRIASRIEKSEKNDAEVTTDSTSGFVGAERKEFDAAMDFL -TVVGSKNTDKLNAQRTEVVAVANAVVAQNKLYTESEVSNAVKLWADVDDEFLEEEETTAPVSDVTGLASV -NEEIFENLQLGSSSNSTPSVVARENLSVGNDMAPSAMTSDDCSTEGELKEKSWASLAEEESDSSYYLKTA -IISGKVQKSALPKAPDFSKFATFQAKAKQEALWYLQCKIVSDRTTLRSIIDDHLRGMFHNDTCELPKDSA -FLDYTTDNGGAWMYGKPSKFGHSYGVGFSLNSKQEITKCELVKPMWNYDGKGQISQKPVNTKVFPYLLLS -ELTFMMNELLIFCNLQKVIRKKERTKHALITLRDGVPGCGKSTWIVENANPMKDMVLSMCKEATEDLKEK -FSKRLKCTESALRRVRTVDSFLMHDYDKFRAATVHFDEALMAHAGMVYFCADILGAKKVLCQGDSQQIPF -INRVESITLKYAKLQIDDTEYVRLTYRSPIDVAHYLTKKSYYSGGRVLTKNTTLRSMNTVGPRDAKPMTS -VHCVPYQRDTQYLTFTQSEKADLYKALRNRGPVNVNTVHETQGKTFENVIVVRLKTTENEIYPGGRKAQP -YEIVATTRHRRSLVYYTAIEDRLFSDINDMRDVMEDKLMKNLSSELVKXRFGSKYESILICDREVRVPDV -GNSVILQDFYDRVLPGNSTMDSHFDGYEVATTDITIEVENCKVHPHKNVRVWQDKRGLVPVLRTAMPPKR -QNGMVEALLALKKRNMAAPKLQEAVNEFEVIDRTISRARSIFLNEDMIDESLVSTRESNMRWWERQSHTA -RQQLKSEYRVLHELDLCRYSYCIKGDEKPKNDLTPQREYGALQTVVYPDKIVNALFGPVMKEINERIRMA -LKPHVVYNSRMNAEELNRTIEFLDPEDEYDAFEIDFSKFDKSKTSLHIRAVIELYKLFGLDDMLALLWEK -SQCQTTVIDKVNGITAYLLYQQKSGNCDTYGSNTWSAALALLESMPLEKAKFMIFGGDDSLILFPKQLCI -EDPCRRLASLWNFDCKLFNFRHNMFCGKFLLKIGNKFKFAPDPMKLLTKLGRKDIVDGKLLSEIFVSMGD -NYGSYRDYRILEQLAVAVQERYRTNEDPTAMLITLKKYIFDFKLLASLFNYSGEFEVCKVGRNFEW ->ACS14040.1 204 kDa protein [Beet soil-borne virus] -MESTNILTQINRDDIIQAVLTTSASRTQSALHETLCRVIDDNIKNALKANTKKKTIDVKRNLSEEQVQYL -CELYPERKIITSNSERGTHSMAAAMRKIETDLVLSLFPKNSVIYDIGGNWATHAKCNDGRKVHCCCPILD -YRDAQRKMTRMLNFHKFISDSSEIPPDIREKAEMIAEDNAIITANVREGDLNSSALNGRWFCQNKFEDCV -FDPRDILMGKTAKDNVMVYAMAIHSIYDINVYELATALQRKGIKRMVGTFLFSVDMLLGRKSGELPSVNG -FYKLEGEYIKYGFYDDPNCGYRHKFSSLKAYLTKTFVKAANGSVFYLELTDLRGDVMYFTMTDATEARAS -GIMKDESFKCIPVDAKNKVVFPLFVVDETTDTLMFLERILPKDFVHRAIEYVNRCKENQLTVESIVSYLS -STNNAVIIGGSARKVEEKVDPSLLPMIASTLLVYSEMQRAKQKTVIQKLRIHVKNTVTIGDLLNHAFHKV -FGAVGVGQLALQSFARWLKFFHGSGVIEMNDLFMYVEIEDRIRLWSKKSREHEFFLTFDDLTEKSRLYEE -YNEERRRISDKIIKEKGLSSKDLSLEEDKEKTVDEILAWMEKSEDLKASELRSKLIGIRKNRARVDKRKF -GEAHQVNTAVLQRFIEADDDHFSTCALNTPGKWWVDILGEFLGKTLSEVCATNIVFSDIEPNDNTEGFDT -VEYADRDDMASDVETSISQCVESNDECVDEDGYSCDGDSKVEHSTLNSNYGALTPPPREEVKDSEEGVDV -TVEDVSTDIIESHCDGRWALMAEDSDFAIDQKRSEALNIMEVRYAKLPSKPDYLESDDFRMRAKKEFIWY -LECKLVSDKSAMTDIVRDYVYNMYHNSLCEFPKNSCFLSYEGDDNGNWVWGRKPVRLGHAYAVHFYAADW -KTDCRLVSLSWNKDEEGNFVGDKPVISTNSGVYMLCDLTFLMNEMIILKNLQFSLKTRFQKHIPHVTLID -GVPGCGKSTHIVKEARLENQYVLTMGREAAAELRERFKTVRGSTEEQLKRVRTVDSFLMNDKDSRAKVLH -FDEALMAHAGMVYFCADNLSARTIICQGDSQQIPFINRVESITLEYAKLEITNVVEKRLTYRSPLDVACF -LTRKNFYGHFHCYERKSNGRSMSVVGPRDGMTSNYSIPKKKGAQYLTFTQSEKEDIVRYLGKGQWSVNTV -HESQGKTYDDVILVRLKPTDNEIYPGGRKSKPYVVVGTTRHRRSLVYYTRAEDILYRDITEMMSVQEGKL -HKHLFTENTQXRCGSKYESITVSDRPVSVPDVGAVEDLQVMYDIAFPGNSVIETYFDGYDVATGGLEIDI -ANIKYYPNKQRRMWQEVRGLTPALRTAMPEKRQSGLIESVLALNKRNMAAPKLQESVNEFEIIENVISKA -KTVFFDEKFIDASPMNSVSNCQKWWDKQSVTAQKQMLADTRIISEIDLCTYNFMIKNDVKPKLDLSPQSE -YSALQTVVYPDKIVNAIFGPVMKEINERILLALRPHVVYNTRMTAEELDRSVEFLDVREQYDAVEIDFSK -FDKSKTSLHIRAVIELYKIFGLDDMLAFLWEKSQCQTTIRDRQNGLIAHILYQQKSGNCDTYGSNTWSAA -LALLDALPLERAKFMVFGGDDSLVFFPKNMNLADPCGRLASLWNFDCKFFNFQNNMFCGKFLLKIGENYK -FAPDPFKLLTKLGRKDIKNDILLSEIFISISDNYRSYHDYRVLEALNVAVVERYKLSQDVLFGLCALKKY -LFNFDLFRTLFSYKGKLHCTNVSRNFEW ->BAG50441.1 p212 [Chinese wheat mosaic virus] -MPIDSSSILGIISEEDVIRAAISTSATKFGSQLHSTVCDHVKETYLDAMERQKTKKKIDVRRDLSQEQLQ -LLNDLYPERHIVSSNCERGTHSFAAASRKIETDLLLSRIPKKSWVYDIGGNWATHIKRNDGRRVHCCCPT -IDIRDSARKTVRWASIEKYLDEKDEIPTEVGERIKRVQADEIKIYENLKNKRAQPEDLDGKWYCGNKFEE -CVFRAERAYAMAIHSIYDIDLMDLANALEEKRIKIMSGTFLFSVDLLLGKKSGSLPTMDGFFEVEGDYVK -YGFKNDTNPGYKHKLSQLMKYLTKTFVVAKGGTIYYLELTEQRGDVMFFTMTDATEARMNGVVADESFKC -IPIDNKSEVVFPIFEVDKQTDSLIFSEVLLSKDFVQRAIEYTGRLKPNQLTPDNVNTYLTSTNNTIIIGG -SSRKNTTKVDATLIQQITTTLVVWTELMNLRQKRVLEKLRLQMKDDVDFKSLAHTAFLKVFGKVSYYQRA -LRCFANWLSYVHGTDAIQFHDVPLYAEITDRVKLWKTHAPNQGFVLDMEDLDVKIKMHEVSEREKRDVSR -CIVSGKLGELQIHSEPSNNEEQTNGDYRDTRRRTTFEDLLEGEVATNFLDDWCEKNDHFNFSRDDALSKY -AWGLKLLKGIWEFLLPPMDFAPVYVDDEQARLRMVHVNERRNTSDTGADGDVAACEVAADFSKAMDTLVD -VVKKMDQKKLELVDKVKTSAVAVVELSKTKPVTGNSCAVDLWADFEKDLDDDDESGCGVSMVTKGKEVCQ -DNVGPVLLCGSSSRSSVSEVEKETDVVSVTDFGSTPAASCGGMVLDESVSVTSNDASVELPVTATTVATV -ETGNADAVIASSDESAFSDVNDKSWGSVAEEESDDTFYSCSGVISDKVKKSNLPKRPDFSKFPTVQQKAK -HEAMWYLQCKIVSDRTTLRSIIDDHLRGMFHNGTCELPKDSAFLDYTADNSGTWMYGKPSRFGHSYGVGF -SLDTRQRVSKCELVKLMWNHDSRGQVNQKPVNTRAFQYLLLSELSFMMNEMIIYRNLQQVMRKRERTKQA -RITLRDGVPGCGKSTWILNNANPTKDMILSVGKEATEDLKEKFMKKHRCVESDLKRIRTVDSFLMHDYDK -YRAATVHFDEALMAHAGMVYFCADILGAKKVICQGDSQQIPFINRVESITLQYSKLVIDETEHVRLTYRS -PVDVAHYLTKKSWYSGGRVTTKNPVMRSMKTVGPRDVKPMTSVHCVPYFKDAQYLTFTQSEKTDLYKALR -NKGPVTVNTVHETQGKTFDDVIVVRLKTTENEIYPGGRKGQPYEIVATTRHRRSLVYYTAIEDRLFEDIS -DMQDVMESKLMKSLCPEFDKXRFGSKYESILICDREVRVPDVGTPAIMQDFYDKVLPGNSTMDSHFDGYE -VSTSDISIELENCTVQPNKNVRVWQDKRGLVPVMRTAMPPNRQNLPVEAMLALKKRNMAAPKLQEAVNEF -EVIERTINRAKEIFFNVELIDDSELSTRESNLRWWRRQSTTARAQMKKEMRVLHEIDLCFYSYCIKGGEK -PKMDRSPQHEYGALQTVVFPDKIVNALFGPVTKEINERIRMALKPHVVYNSRMNADELNRTVEFLDPDED -YNAFEIDFSKFDKSKTSLHIRAVIELYKLFGLNDLIALLWEKSQCQTKVKDFVNGITAYLLYQQKSGNCD -TYGSNTWSAALALLESMPLEKAKFMIFGGDDSLILFPKQQVVEDPCRRLASLWNFDCKLFDFEHNMFCGK -FLLKIGDRFKFAPDPMKLITKLGRKDIADGRLLSEIFVSIGDNFRSYRDYRILEQLCLAVRERYKTDEDP -TATLITLKKYIFDFKLWASLFNYSGEFVESRVDRNFEW diff --git a/seq/clusters_seq/cluster_149 b/seq/clusters_seq/cluster_149 deleted file mode 100644 index 0045f3f..0000000 --- a/seq/clusters_seq/cluster_149 +++ /dev/null @@ -1,323 +0,0 @@ ->YP_009094071.2 F [Avian paramyxovirus penguin/Falkland Islands/324/2007] -MTRTRLLFLLTCYIPGAVSLDNSILAPAGIISASERQIAIYTQTLQGTIALRFIPVLPQNLSSCAKDTLE -SYNSTVSNLLLPIAENLNALLKDADKPSQRIIGAIIGSVALGVATTAQVTAALAMTQAQQNARNIWKLKE -SIKNTNQAVLELKDGLQQSAIALDKVQSFINSEILPQINQLGCEVAANKLGIFLSLYLTEITTVFKNQIT -NPALSTLSYQALYNLCGGNMAALTKQIGIKDTEINSLYEAELITGQVIGYDSADQILLIQVSYPSVSRVQ -GVRAVELLTVSVATPKGEGKAIAPSFIAQSNIIAEELDTQPCKFSKTTLYCRQVNTRTLPVRVANCLKGK -YNDCQYTTEIGALASRYVTITNGVVANCRSIICRCLDPEGIVAQNSDAAITVIDRSTCKLIQLGDITLRL -EGKLSSSYSKNITIDISQVTTSGSLDISSELGSINNTITKVEDLISKSNDWLSKVNPTLISNDTIIALCV -IAGIVVIWLVIITILSYYILIKLKNVALLSTMPKKDLNPYVNNTKF - ->YP_009553493.1 fusion protein [Avian metaavulavirus 20] -MMDSLRLTILLVAWFSLGECLDNANTGPIGVLKSKEWQLAAYTQSLYGTIAVRFIPILPSNLTSCMLSVI -QDYNQTVSSLLGPIGNNLDSIISSSGEQQARLIGAIVGTVALGVATSAQITAALAVNQAQDNARQIWKLK -EAILKTNDAVLELKEGLSQTAVALDKVQSFINNEILPQISSISCEVAANKLGVFLSLYLTELTTVFGNQI -TNPALTPLSYQALYNLCGGNLAALTRQMGIKDDTLTSLYEAGLIVGQIIGYDASSQILLIQVSYPSVSEI -TGVRATELVTVSVATIKGEGRAIVPQFVAEGHVTIEELDTSPCRFSKSTLFCRSILTRPLPIRVANCLSG -KYDDCQYTTEIGLLSSRYVTVDGGVMANCKSTVCKCLNPEKIILQKTASAITAIDKNTCKLLQINDVKLR -LEGTLHSQYFRNISIASNQITSSGSLDISSEIGAINNTVNKVEDLINQSNGWLDSVNPKLMSNTTIIILC -VLSGLAIVWLLILTIIMCYSAYKLKSLSIIAAMNSATKNPYVSNTKF - ->YP_009513217.1 fusion protein [Avian metaavulavirus 2] -MNQALVILLVSFQLGVALDNSVLAPIGVASAQEWQLAAYTTTLTGTIAVRFIPVLPGNLSTCAQETLQEY -NRTVTNILGPLRENLDALLSDFDKPASRFVGAIIGSVALGVATAAQITAAVALNQAQENARNIWRLKESI -KKTNAAVLELKDGLATTAIALDKVQKFINDDIIPQIKDIDCQVVANKLGVYLSLYLTELTTVFGSQITNP -ALSTLSYQALYSLCGGDMGKLTELIGVNAKDVGSLYEANLITGQIVGYDPELQIILIQVSYPSVSEVTGV -RATELVTVSVTTPKGEGQAIVPRYVAQSRVLTEELDVSTCRFSKTTLYCRSILTRPLPTLIASCLSGKYD -DCQYTTEIGALSSRFITVNGGVLANCRAIVCKCVSPPHIIPQNDIGSVTVIDSSICKEVVLESVQLRLEG -KLSSQYFSNVTIDLSQITTSGSLDISSEIGSINNTVNRVDELIKESNEWLNAVNPRLVNNTSIIVLCVLA -ALIIVWLIALTVCFCYSARYSAKSKQMRGAMTGIDNPYVIQSATKM - ->YP_009513197.1 fusion protein [Avian orthoavulavirus 1] -MGSKPSTRIPAPLMLITRTMLILGCICPTSSLDGRPLAAAGIVVTGDKAVNVYTSSRTGSIIVKLLPNMP -RDKEACAKAPLEAYNRTLTTLLTPLGDSIRKIQGSVSTSGGRRQKRFIGAVIGSVALGVATAAQITAAAA -LIQANQNAANILRLKESIAATNEAVHEVTDGLSQLSVAVGKMQQFVNDQFNNTARELDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTRLGIGNNQLSSLIGSGLITGYPILHDSQT -QLLGIQVNLPSVGNLNNMRATYLETLSVSTTKGYASALVPKVVTQVGSVIEELDTSYCIGSDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMALKGSVIANCKITTCRCTDPPGIISQNYGEAVSLID -RHSCNVLSLDGITLRLSGEFDATYQKNISILDSQVIVTGNLDISTELGNVNNSISNALDRLAESNSKLEK -VNVRLTSTSALITYIVLTVISLVFGALSLGLACYLMYRQKAQQKTLLWLGNNTLDQMRATTRA - ->YP_009512954.1 fusion protein [Avian metaavulavirus 8] -MGQISVYLINSVLLLLVYPVNSIDNTLIAPIGVASANEWQLAAYTTSLSGTIAVRFLPVLPDNMTTCLRE -TITTYNNTVNNILGPLKSNLDALLSSETYPQTRLIGAVIGSIALGVATSAQITAAVALKQAQDNARNILA -LKEALSKTNEAVKELSSGLQQTAIALGKIQSFVNEEILPSINQLSCEVTANKLGVYLSLYLTELTTIFGA -QLTNPALTSLSYQALYNLCGGNMAMLTQKIGIKQQDVNSLYEAGLITGQVIGYDSQYQLLVIQVNYPSIS -EVTGVRATELVTVSVTTDKGEGKAIVPQFVAESRVTIEELDVASCKFSSTTLYCRQVNTRALPPLVASCL -RGNYDDCQYTTEIGALSSRYITLDGGVLVNCKSIVCRCLNPSKIISQNTNAAVTYVDATICKTIQLDDIQ -LQLEGSLSSVYARNISIEISQVTTSGSLDISSEIGNINNTVNRVEDLIHQSEEWLAKVNPHIVNNTTLIV -LCVLSALAVIWLAVLTAIIIYLRTKLKTISALAVTNTIQSNPYVNQTKRESKF - ->YP_009512947.1 fusion protein [Avian metaavulavirus 6] -MGARLGPLTMAPGRYVIIFNLILLHRAVSLDNSRLLQQGIMSATEREIKVYTNSITGSIAVRLIPNLPQE -VLKCSAGQIKSYNDTLNRIFTPIKANLERLLATPSMLEDNQNPAPEPRLIGAIIGTAALGLATAAQVTAA -LALNQAQDNAKAILNLKESITKTNEAVLELKDATGQIAIALDKTQRFINDNILPAINNLTCEVAGAKVGV -ELSLYLTELSTVFGSQITNPALSTLSIQALMSLCGNDFNYLLNLMGAKHSDLGALYEANLINGRIIQYDQ -ASQIMVIQVSVPSISSISGLRLTELFTLSIETPVGEGKAVVPQFVVESGQLLEEIDTQACTLTDTTAYCT -IVRTKPLPELVAQCLRGDESRCQYTTGIGMLESRFGVFDGLVIANCKATICRCLAPEMIITQNKGLPLTV -ISQETCKRILIDGVTLQIEAQVSGSYSRNITVGNSQIAPSGPLDISSELGKVNQSLSNVEDLIDQSNQLL -NRVNPNIVNNTAIIVTIVLLVLLVLWCLALTISILYVSKHAVRMIKTVPNPYVMQAKSPGSATQF - ->YP_009508520.1 F [Antarctic penguin virus C] -MNATHYKKPTGRSRLHCLPDPPQPPSFIRNSSQGGCGAMRACALFSVWASVGILTWGQLDNTKTIDAGFV -VQGEREVSLYSQSLSGTVVVKLVPNLPNDLKDCHKAILQSYNKTLTDILTPLGESIKYIRGNASYTLGRG -QARIVGAILGGVALGVATSAQITAAMALVQTEQNAKNILKLKKSIAETNNAVQEIINGQQQLGIAIGKIQ -DYVNNVLNDTTQKIDCVTSANRLGVELSLYLTQITTAFGNQIRNPALSDLSIQALYNLAGGNLDSFIRKI -GGDVSNLQSVLASGLIKGQPIGYDSETQLLLITVRIPSISKIKNMRMASLVPISVTTPKGPGMVIIPRYV -VKLASAIEEIFIDDCITTETDLYCSRLVTSPLSSAMQNCIGGVVQDCMYTTANAVLSTPFASIQGSIVAN -CEQVTCRCRDPPAIISQTYGKPLTIITQHQCSVIEIDGIAVKLKGDFQSQYGGNLSIVRDQVAITGPLDV -NAELSKVNASISNAQTALDRSNAILNGVNVSLIRKDSMVGIIVGLVIAIILALLSCGLAVYSCLRGVKQE -KYIKYLLSKPNTKM - ->YP_009508514.1 F [Antarctic penguin virus B] -MSALQWRCTVAVLTSTLLLAARAVEFSNRRAVDAGFVFQSERAVNLYAKSLTGTIAIKLMPNLPEYMKGC -QQDIIKSYNKSLVSIFMPLGDSIKRIWGNTTSSAGDGAAQSRLIGAIIGGVALGVATSAQITAGIAIAQS -KQNAENILKLKQAIANTNNAVQELITSQQEVVTALGKIQDYINTALNDTIQQVDCVTSANRLGVELSLYL -TQLTTVFSNQIQNPVLTPLSIQALYNIAGGNLDRFLNKIGGSSKNLQSLISSGLIQGQPIAYDAEYQILV -IAVSIPSINTVNNLRMAQLVPLSISTPRGQGAVILPRYVVKVADLIEEMSIEDCIVTDTDVYCTRLTTFP -LASEMQQCILGNVSACSYSINRGVLTTKFVTVDGIVVANCQAVTCRCVDPSKIISQFSGKPLTVINPEVC -KVLNIDQVTLRLSGTFTSEYGGNISIPAGQIVVTGPLDISSELNKVNNSLTNAQAAVDKSNEILKKVNVR -LISEAPMVTIIILAVVSLVLSILVMIGLVYTYCTVKKYSKQTEWMMMRSQTKM - ->YP_009508508.1 F [Antarctic penguin virus A] -MQLYSVLALALLAVQAGLGIIPSLSNTNSIDAGFVFQSERAVNIYTNSLTGSVVVKLLPNLPDHLKSCHL -DVLSSYNRTLTSIFQPLGESIKHIWGNTTGGSAAGGIQSRIVGAILGGVALGVATSAQITAGVALAQSRQ -NAENILKLKQSIAATNDAVQEVIAGQRELVIAIGKMQDYINQALNSTIQQIDCVTAANRLGVELSLYLTQ -LTTAFSNQIQNPALTPLSIQALYNLAGGNLDRFLNRIGATTSNLQSIISSGLIQGQPIGYDSEKQLLILS -VSVPSINAVDNLRMAQLTPIVVSTSQGLGAVVIPKYIIAIADLIEEFVADDCIFTTSDAYCTSLTTLPLS -NSLQQCIRGNVSACSYSLVRGVLSTKFITLDGSVVANCQAVTCRCIDPPKIISQFAGKPLTIINSKICNV -INIEQVTLRLSGHFMSQYGANLSISEGQIVVTGPLDISNELGRVNQSITNAQASINKSNQILEGVNVRLI -QVPALATSLALAIAGTVLGALAIIGILVLWVTNKKQSKKIEWLLASKASKM - ->YP_009508502.1 fusion protein [Avian paramyxovirus UPO216] -MIFTMYHVTVLLLLSLLTLPLGIQLARASIDGRQLAAAGIVVTGEKAINLYTSSQTGTIVVKLLPNVPQG -REACMRDPLTSYNKTLTSLLSPLGEAIRRIHESTTETAGLVQARLVGAIIGSVALGVATSAQITAAAALI -QANKNAENILKLKQSIAATNEAVHEVTDGLSQLAVAVGKMQDFINTQFNNTAQEIDCIRISQQLGVELNL -YLTELTTVFGPQITSPALSPLSIQALYNLAGGNLDVLLSKIGVGNNQLSALISSGLISGSPILYDSQTQL -LGIQVTLPSVSSLNNMRAIFLETLSVSTDKGFAAALIPKVVTTVGTVTEELDTSYCIETDIDLFCTRIVT -FPMSPGIYACLNGNTSECMYSKTQGALTTPYMSVKGSIVANCKMTTCRCADPASIISQNYGEAVSLIDSS -VCRVITLDGVTLRLSGSFDSTYQKNITIRDSQVIITGSLDISTELGNVNNSINNALDKIEESNQILESVN -VSLTSTNALIVYIICTALALICGITGLILSCYIMYKMRSQQKTLMWLGNNTLDQMRAQTKM - ->YP_009508496.1 fusion protein [Avian paramyxovirus 14] -MEKGTVLFLAALTLYNVKALDNTKLLGAGIASGKEHELKIYQSSVNGYIAVKLIPFLPSTKRECYNEQLK -NYNATINRLMGPINDNIKLVLSGVKTRTREGKLIGAIIGTAALGLATAAQVTAAIALEQAQDNARAILTL -KESIRNTNNAVSELKTGLSEVSIALSKTQDYINTQIMPALSNLSCEIVGLKIGIQLSQYLTEVTAVFGNQ -ITNPALQPLSMQALYQLCGGDFSLLLDKIGADRNELESLYEANLVTGRIVQYDTADQLVIIQVSIPSVST -LSGYRVTELQSISVDMDHGEGKAVIPRYIVTSGRVIEEMDISPCVLTATAVYCNRLLTTSLPESVLKCLD -GDHSSCTYTSNSGVLETRYIAFDGMLIANCRSIVCKCLDPPYIIPQNKGKPLTIISKEVCKKVTLDGITL -LIDAEFTGEYGLNITIGPDQFAPSGALDISTELGKLNNSINKAEDYIDKSNELLNRVNVDIVNDTAVIVL -CVMSALVVVWCIGLTVGLIYVSKNTLRAVAIKGTSIENPYVSSGKHAKNSS - ->YP_009380507.1 fusion protein [Avian paramyxovirus 15] -MYVPGVILALLMINPCLTLDNSKLAPVGIISAAEHELAIYTNTLSGSIAVRFLPNLPANLTHCQKTILDN -YNVTVTRILKPIADNLNILKHGLEVPKERLVGAIIGTVALGVATSAQITAAVAVAQAQQNAKDIWKLKNA -ILSTNEAVLELKTGLQQTAIALDKIQDYINNEIIPTVNNLTCEVMANRLGVYLSLYLTELTTVFGNQITN -PALSTISYQGLTNLCGNNIGALTKLIGLKDDNVESIYEAGLITGQVVDYDPASQILIIQVSYPSISRLSD -IRATELITVGVTTPFGEGRAIVPKYVAQSTVLIEELDISSCKFSSTTLYCTQINTRPLPPRVSSCLKGDY -ENCQFTTEVGVLASRYASIGKGVVVNCRSIICKCLEPPRIIPQNSLASITVIDSKICKKLQLPDVILRLD -GNLESQYFTNISINGGQVTPSGPLDISSEIGNINQTVNRVEDLIHESESWLSRVNPKLISNTAIIVLCVL -SSLCVLWLILITAFMAKLLSNVKKIERKVAVSSLIGNPYVYTNPGYSGSKSA - ->YP_009255224.1 fusion protein [Avian paramyxovirus goose/Shimane/67/2000] -MARFSQEIFGLSTILLIAQTCQGSIDGRLTLAAGIVPVGDRPISIYTSSQTGIIVVKLIPNLPDNKKDCA -KQSLQSYNETLSRILTPLATAMSAIRGNSTTQVRENRLVGAIIGSVALGVATAAQITAATALIQANQNAA -NIARLANSIAKTNEAVTDLTEGLGTLAIGVGKLQDYVNEQFNNTAVAIDCLTLESRLGIQLSLYLTELMG -VFGNQLTSPALTPITIQALYNLAGGNLNALLSRLGASETQLGSLINSGLIKGMPIMYDDANKLLAVQVEL -PSIGKLNGARSTLLETLAVDTTRGPSSPIIPSAVIEIGGAMEELDLSPCITTDLDMFCTKIISYPLSQST -LSCLNGNLSDCVFSRSEGVLSTPYMTIKGKIVANCKQVICRCMDPPQILSQNYGEALLLIDESTCRSLEL -SGVILKLAGTYESEYTRNLTVDPSQVIITGPLDISAELSKVNQSIDSAKENIAESNKFLSQVNVKLLSSS -AMITYIVATVVCLIIAITGCVIGIYTLTKLKSQQETLLWLGNNAEMHGSRSKTSF - ->YP_009094481.1 F protein [Avian metaavulavirus 11] -MGTCLNNRLSTIPSIKTVQCILIILSYIIPYSATDNPIADRSLLRAGIVPIYSKSLSVYTNSISGYLTVR -MLPPLPKNLTECSQEVVSNYNKTITRMFQPISDNLMRIQEGTDSGTKRFVGAVIGSVALGVATSAQITAA -LAMVQAQDNAKAIWKLKEAISSTNQAVLELKEGVNTLGVAVDKIQGYINNEILPSLSELECRVNANKLAS -QLNLYLIELTTIFGDQITNPALTPLSLQALYTLAGDTMGSFLQYIGAQDNEIESLYDSGLINGQIVSYDA -SIQTIIIKVSIPSISSLSRFSIMRLATVSSSVGGFEKTPLVPEYLLISDNHIEEFSIVDCKESSDIFYCP -QILSMPISTATVECLKGRIDQCIYTSQLTILSHRIVTYNGVVVANCFAELCRCTNPSYIIRQDRDVAVTV -IDKDLCKRVQIGDIELIVQASIANEYKVNFTVSEDQLAPSTPIDISNELNSLNQTLDKVGQLINTSNQIL -ASLNPKLVNNTSIIVLIVMGVVLILWLLALTIYSIYAARNLNSIGRLAKSAYASYVADKNVYKNESTSSS -SI - ->YP_009094362.1 fusion protein [Avian orthoavulavirus 9] -MGYFHLLLILTAIAISAHLCYTTTLDGRKLLGAGIVITEEKQVRVYTAAQSGTIVLRSFRVVSLDRYSCM -ESTIESYNKTVYNILAPLGDAIRRIQASGVSVERIREGRIFGAILGGVALGVATAAQITAAIALIQANEN -AKNILRIKDSITKTNEAVRDVTNGVSQLTIAVGKLQDFVNKEFNKTTEAINCVQAAQQLGVELSLYLTEI -TTVFGPQITSPALSKLTIQALYNLAGVSLDVLLGRLGADNSQLSSLVSSGLITGQPILYDSESQILALQV -SLPSISDLRGVRATYLDTLAVNTAAGLASAMIPKVVIQSNNIVEELDTTACIAAEADLYCTRITTFPIAS -AVSACILGDVSQCLYSKTNGVLTTPYVAVKGKIVANCKHVTCRCVDPTSIISQNYGEAATLIDDQLCKVI -NLDGVSIQLSGTFESTYVRNVSISANKVIVSSSIDISNELENVNSSLSSALEKLDESDAALSKVNVHLTS -TSAMATYIVLTVIALILGFVGLGLGCFAMIKVKSQAKTLLWLGAHADRSYILQSKPAQSST - ->YP_009094215.1 fusion protein [Avian paraavulavirus 3] -MASPMVPLLIITVVPALISSQSANIDKLIQAGIIMGSGKELHIYQESGSLDLYLRLLPVIPSNLSHCQSE -VITQYNSTVTRLLSPIAKNLNHLLQPRPSGRLFGAVIGSIALGVATSAQISAAIALVRAQQNANDILALK -AAIQSSNEAIKQLTYGQEKQLLAISKIQKAVNEQVIPALTALDCAVLGNKLAAQLNLYLIEMTTIFGDQI -NNPVLTPIPLSYLLRLTGSELNDVLLQQTRSSLSLIHLVSKGLLSGQIIGYDPSVQGIIIRIGLIRTQRI -DRSLVFXPYVLPITISSNIATPIIPDCVVKKGVIIEGMLKSNCIELERDIICKTINTYQITKETRACLQG -NITMCKYQQSRTQLSTPFITYNGVVIANCDLVSCRCIRPPMIITQVKGYPLTIINRNLCTELSVDNLILN -IETNHNFSLNPTIIDSQSRLIATSPLEIDALIQDAQHHAAAALLKVEESNAHLLRVTGLGSSSWHIILIL -TLLVCTIAWLIGLSIYVCRIKNDDSTDKEPTTQSSNRGIGVGSIQYMT - ->YP_009094171.1 fusion protein [Avian orthoavulavirus 12] -MAIPVPSSTALMIFNILVSLAPASALDGRLLLGAGIVPTGDRQVNVYTSSQTGIIALKLLPNLPKDKENC -AEVSIRSYNETLTRILTPLAQSMAAIRGNSTVSTRGREPRLVGAIIGGVALGVATAAQITAATALIQANQ -NAENIARLAKGLAATNEAVTDLTKGVGSLAIGVGKLQDYVNEQFNRTGEAIECLTIESRVGVQLSLYLTE -VIGVFGDQITSPALSDISIQALYNLAGGNLNVLLQKMGIEGTQLGSLINSGLIKGRPIMYDDGNKILGIQ -VTLPSVGRINGARATLLEAIAVATPKGNASPLIPRAVISVGSLVEELDMTPCVLTPTDIFCTRILSYPLS -DSLTTCLKGNLSSCVFSRTEGALSTPYVSVHGKIVANCKSVVCRCVEPQQIISQNYGEALSLIDESLCRI -LELNGVILKMDGQFTSEYTKNITIDPVQVIISGPIDISSELSQVNQSLDSALENIKESNSYLSKVNVKLI -SSSAMITYIVITVICLILTFVALVLGIYSYTKIRSQQKTLIWMGNNIARSKEGNRF - ->YP_009094158.1 fusion protein [Avian metaavulavirus 5] -MLQLPLTILLSILSAHQSLCLDNSKLIHAGIMSTTEREVNVYAQSITGSIVVRLIPNIPSNHKSCATSQI -KLYNDTLTRLLTPIKANLEGLISAVSQDQSQNSGKRKKRFVGAVIGAAALGLATAAQVTATVALNQAQEN -ARNILRLKNSIQKTNEAVMELKDAVGQTAVAIDKTQAFINNQILPAISNLSCEVLGNKIGVQLSLYLTEL -TTVFGNQLTNPALTTLSLQALYNLCGDDFNYLINLLNAKNRNLASLYEANLIQGRITQYDSMNQLLIIQV -QIPSISTVSGMRVTELFTLSVDTPIGEGKALVPKYVLSSGRIMEEVDLSSCAITSTSVFCSSIISRPLPL -ETINCLNGNVTQCQFTANTGTLESRYAVIGGLVIANCKAIVCRCLNPPGVIAQNLGLPITIISSNTCQRI -NLEQITLSLGNSILSTYSANLSQVEMNLAPSNPLDISVELNRVNTSLSKVESLIKESNSILDSVNPQILN -VKTVIILAVIIGLIVVWCFILTCLIVRGFMLLVKQQKFKGLSVQNNPYVSNNSH - ->YP_009094058.1 fusion protein [Avian metaavulavirus 7] -MRVRPLIIILVLLVLLWLNILPVIGLDNSKIAQAGIISAQEYAVNVYSQSNEAYIALRTVPYIPPHNLSC -FQDLINTYNTTIQNIFSPIQDQITSITSASTLPSSRFAGLVVGAIALGVATSAQITAAVALTKAQQNAQE -IIRLRDSIQNTINAVNDITVGLSSIGVALSKVQNYLNDVINPALQNLSCQVSALNLGIQLNLYLTEITTI -FGPQITNPSLTPLSIQALYTLAGDNLMQFLTRYGYGETSVSSILESGLISAQIVSFDKQTGIAILYVTLP -SIATLSGSRVTKLMSVSVQTGVGEGSAIVPSYVIQQGTVIEEFIPDSCIFTRSDVYCTQLYSKLLPDSIL -QCLQGSMADCQFTRSLGSFANRFMTVAGGVIANCQTVLCRCYNPVMIIPQNNGIAVTLIDGSLCKELELE -GIRLTMADPVFASYSRDLIINGNQFAPSDALDISSELGQLNNSISSATDNLQKAQESLNKSIIPAATSSW -LIILLFVLVSISLVIGCISIYFIYKHSTTNRSRNLSSDIISNPYIQKAN - ->YP_007008150.1 fusion protein [Avian paramyxovirus 4] -MRPLNTLLTVILIILISYLVIVHSSDAVERPRTEGIRGDLIPGAGIFVTQVRQLQIYQQSGYHDLVIRLL -PLLPTELNDCQKEVVTEYNNTVSQLLQPIKTNLDTLLADGNTREADIQPRFIGAIIATGALAVATVAEVT -AAQALSQSKTNAQNILKLRDSIQATNQAVFEISQGLEATATVLSKLQTELNENIIPSLNNLSCAAMGNRL -GVSLSLYLTLMTTLFGDQITNPVLTPISYSTLSAMAGGHIGPVMSKILAGSVTSQLGAEQLIASGLIQSQ -VVGYDSQYQLLVIRVNLVRIQEVQNTRVVSLRTLAVNRDGGLYRAQVPPEVVERSGIAERFYADDCVLTT -TDYICSSIRSSRLNPELVKCLSGALDSCTFERESALLSTPFFVYNKAVVANCKAATCRCNKPPSIIAQYS -ASALVTITTDTCADLEIEGYRFNIQTESNSWVAPNFTVSTSQIVSVDPIDISSDIAKINNSIEAAREQLE -LSNQILSRINPRIVNDESLIAIIVTIVVLSLLVVGLIIVLGVMYKNLKKVQRAQAAMMMQQMSSSQPVTT -KLGTPF - ->NP_872276.1 fusion protein precursor [Goose paramyxovirus SF02] -MGSKPSTRIPAPLMLITRIMLILGCIRPTSSLDGRPLAAAGIVVTGDKAVNVYTSSQTGSIIVKLLPNMP -RDKEACAKAPLEAYNRTLTTLLTPLGDSIRKIQGSVSTSGGRRQKRFIGAVIGSVALGVATAAQITAAAA -LIQANQNAANILRLKESIAATNEAVHEVTDGLSQLSVAVGKMQQFVNDQFNNTARELDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTKLGIGNNQLSSLIGSGLITGYPILYDSQT -QLLGIQVNLPSVGNLNNMRATYLETLSVSTTKGYASALVPKVVTQIGSVIEELDTSYCIESDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMALKGSVIANCKITTCRCTDPPGIISQNYGEAVSLID -RHSCNVLSLDGITLRLSGEFDATYQKNISILESQVIVTGNLDISTELGNVNNSISNALDRLAESNSKLEK -VNVRLTSTSALITYIVLTVISLVFGALSLGLACYLMYKQKAQQKTLLWLGNNTLDQMRATTRA - ->sp|P33614.1|FUS_NDVL RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGSRPSTKIPAPMMLTIRVALVLSCICPANSIDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIVKLLPNLP -KDKEACAKAPLDAYNRTLTTLLTPLGDSIRRIQESVTTSGGGRQGRLIGAIIGGVALGVATPAQITAAAA -LIQAKQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNKTAQELDWIKIAQQVGVEL -NLYLTELTTVFGPQITSPAFNKLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSHT -QLLGIQVTLPSVGNLNNMRASYLETLSVSTTRGFASALVPKVVTQVGSVIEELDTSYCIETDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEAALTTPYMTIKGSVIANCKMTTCRCVNPPGIISQNYGEAVSLID -KQSCNVLSLGGITLRLSGEFDVTYQKNISIQDSQVIITGNLDISTELGNVNNSISNALNKLEESNRKLDK -VNVKLTSTSALITYIVLTIISLVFGILSLILACYLMYKQKAQQKTLLWLGNNTLDQMRATTKM - ->sp|P26628.1|FUS_NDVT RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGSRSSTRIPVPLMLIIRTALTLSCIRLTSSLDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIVKLLPNMP -KDKEVCAKAPLEAYNRTLTTLLTPLGDSIRRIQESVTTSGGRRQRRFIGAIIGSVALGVATAAQITAASA -LIQANQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNNTAQELDCIKIAQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSQT -QILGIQVTLPSVGNLNNMRATYLETLSVSTTKGFASALVPKVVTQVGSVIEELDTSYCIGTDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMALKGSVIANCKLTTCRCADPPGIISQNYGEAVSLID -RHSCNVLSLDGITLRLSGEFDATYQKNISILDSQVIVTGNLDISTELGNVNNSISNALNKLEESNSKLDK -VNVKLTSTSALITYIVLTVISLVFGVLSLVLACYLMYKQKAQQKTLLWLGNNTLDQMRATTKI - ->sp|P10865.1|FUS_NDVM RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MASRSSTRIPAPLMLTIWIALALGCVRLTSSLDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIIKLLPNMP -KDKEACAKAPLEAYNRTLTTLLTPLGDSIRRIQESVTTSGGRRQRRFIGAIIGSVALGVATAAQITAASA -LIQANQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNNTTQELDCIKITHEVGVEL -NLYLTELTTVFGPQITSPALNQLTIQALYNLAGGNMDYLLTKLGLGNNQLSSLIGSGLITGNPILYDSQT -QLLGIQVTLPSVGNLNNMRATYLETSSVSTTKGFASALVPKVVTQVGSVIEELDTSYCIETDLDLYCTRI -VTFPMSPGIYSCLTGNTSACMYSKTEGALTTPYMTLKGSVIANCKMTTCRCADPPGIISQNYGEAVSLID -RHSCNVLSLDGITLRLSGEFDAAYQKNVSILNSQVIVTGNLDISTELGNANNSISNALNKLEESNSKLDK -VNVRLTNTSALITYIVLTVISLVCGILSLVLACYLMHKQKAQQKTLLWLGNNTLDQMRATTKA - ->sp|P14623.1|FUS_NDVI RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MRSRSSTRIPVPLMLIIRIALTLSCIRLTSSLDGRPLAAAGIVVTGDKAVDIYTSSQTGSIIVKLLPNMP -KDKEACAKAPLEAYNRTLTTLLTPLGDSIRRIQESVTTSGGRRQRRFIGAIIGSVALGVATPAQITAASA -LIQANQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNNTAQQLDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTPLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSQT -QILGIQITSPSVGNLNNMRATYLETLSVSTTKGFASALVPKVVTQVGSVIEELDTSYCMETDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMALKGSVIANCKMTTCRCADPPGIISQNYGEAVSLID -RHSCNVLSLDGITLRLSGEFDATYQKNISILDSQVIVTGNLDISTELGNVNNSISNALNKLEESNSKLDK -LNVKLTSTSALITYIVLTVISLVFGVLSLVLACYLMYKQKAQQKTLLWLGNNTLDQMRATTKI - ->sp|Q9DLD4.1|FUS_NDVB1 RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGSRPFTKNPAPMMLTIRVALVLSCICPANSIDGRPFAAAGIVVTGDKAVNIYTSSQTGSIIVKLLPNLP -KDKEACAKAPLDAYNRTLTTLLTPLGDSIRRIQESVTTSGGGRQGRLIGAIIGGVALGVATAAQITAAAA -LIQAKQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNKTAQELDCIKIAQQVGVEL -NLYLTELTTVFGPQITSPALNKLTIQALYNLAGGNMDYLLTKLGIGNNQLSSLIGSGLITGNPILYDSQT -QLLGIQVTLPSVGNLNNMRATYLETLSVSTTRGFASALVPKVVTQVGSVIEELDTSYCIETDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMTIKGSVIANCKMTTCRCVNPPGIISQNYGEAVSLID -KQSCNVLSLGGITLRLSGEFDVTYQKNISIQDSQVIITGNLDISTELGNVNNSISNALNKLEESNRKLDK -VNVKLTSTSALITYIVLTIISLVFGILSLILACYLMYKQKAQQKTLLWLGNNTLDQMRATTKM - ->sp|P35936.1|FUS_NDVD RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGSRSSTRIPVPLMLTVRIMLALSCVCPTSSLDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIIKLLPNMP -KDKEACAKAPLEAYNRTLTTLLTPLGDSIRRIQESVTTSGGGKQGRLIGAIIGGVALGVATAAQITAASA -LIQANQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNKTAQELDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSQT -QLLGIQVTLPSVGNLNNMRATYLETLSVSTTKGFASALVPKVVTQVGSVIEELDTSYCIETDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMTLKGSVIANCKMTTCRCADPPGIISQNYGEAVSLID -RQSCNILSLDGITLRLSGEFDATYQKNISIQDSQVIVTGNLDISTELGNVNNSISNALDKLEESNSKLDK -VNVKLTSTSALITYIFLTVISLVCGILSLVLACYLMYKQKAQQKTLLWLGNNTLDQMRATTKM - ->sp|P33615.1|FUS_NDVQ RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGSRSSTRIPVPLMLTVRVMLALSCVCPTSALDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIIKLLPNMP -KDKEACAKAPLEAYNRTLTTLLTPLGDSIRRIQESVTTSGGGKQGRLIGAIIGGVALGVATAAQITAASA -LIQANQNAANILLLKESIAATNEAVHEVTNGLSQLAVAVGKMQQFVNDQFNKTAQELDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLISSGLITGNPILYDSQT -QLLGIQVTLPSVGNLNNMRATYLETLSVSTTKGFASALVPKVVTQVGSVIEELDTSYCIETDLDLFFSRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMTLKGSVIANCKMTTCRCADPPGIISQNYGEAVSLID -RQSCNMFSLDGITLRLSGEFDATYQKNISIQDSQVIVTGNLDISTELGNVNNSISNALDKLEESNSKLDK -VNVKLTSTSALITYIVLTVISLVCGILSLVLACYLMYKQKAQQKTLLWLGNNTLDQMRATTKM - ->sp|P33612.1|FUS_NDVH3 RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGSRSSTRIPVPPMLIIRIVLTLSCIRLTSSLDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIVKLLPNMP -KDKEACAKAPLEAYNRTLTTLLTPLGDSIRRKQESVTTSGGRRQRRFIGAIIGSVALGVATAAQITAASA -LIQANQNAANILRLKESIAATNEAVHEVTDGLSQIAVAVGKMQQFVNDQFNNTAQELDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSQT -QILGIQVTLPSVGNLNNMRATYLETLSVSTTKGFASALVPKVVTQVGSVIEELDTSYCIGTDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMALKGSVIANCKMTTCRCADPPGIISQNYGEAVSLID -RHSCNVLSLDGITLRLSGEFDATYQKNISILDSQVIVTGNLDISTELGNVNNSISNALNKLEESNSKLDK -VNVRLTSTSALITYIVLTVISLVFGVLSLVLACYLMYKQKAQQKTLLWLGNNTLDQMRATTKI - ->sp|P12572.2|FUS_NDVA RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGPRSSTRIPIPLMLTIRIALALSCVHLASSLDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIVKLHPNMP -KDKEACAKAPLEAYNRTLTTLLTPLGDSIRRIQESVTTSGGRRQKRFIGAIIGSVALGVATAAQITAASA -LIQANQNAANILRLKESITATIEAVHEVTDGLSQLAVAVGKMQQFVNDQFNNTAQELDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSQT -QLLGIQVTLPSVGNLNNMRATYLETLSVSTTKGFASALVPKVVTQVGSVIEELDTSYCIETDLDLYCTRI -VTFPMSPGIYSCLNGNTSACMYSKTEGALTTPYMTLKGSVIANCKMTTCRCADPPGIISQNYGEAVSLID -RHSCNVLSLDGITLRLSGEFDATYQKNISILDSQVIVTGNLDISTELGNVNNSISNALDKLEESNSKLDK -VNVKLTSTSALITYIALTAISLVCGILSLVLACYLMYKQKAQQKTLLWLGNNTLGQMRATTKM - ->sp|P12570.1|FUS_NDVU RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGSRSSTRIPVPLMLTVRVALALSCVCPTSSLDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIVKLLPNMP -KDKEACAKAPLEAYNRTLTTLLTPLGDSIRRIQESVTTSGGGKQGRLIGAIIGGAALGVATAAQITAASA -LILANQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNKTAQELDCIKITQQVGVEL -NLYLTELTTVFGPQITSPALTQLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSQT -QLLGIQVTLPSVGNLNNMRATYLETLSVSTTKGFASALVPKVVTQVGSVIEELDTSYCIETDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMTLKGSVIANCKMTTCRSADPPGIISQNYGEAVSLID -RQSCNVLSLDGITLRLSGEFDATYQKNISIQDSQVIVTGNLDISTELGNVNNSISNALDKLEESNSKLDK -VNVKLTSTSALITYIVLTVISLVCGILSLVLACYLMYKQKAQQKTLLWLGNNTLDQMRATTKM - ->sp|P12571.1|FUS_NDVTG RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGPRPSTKNPTPMMLTVRVALVLSCICPANSIDGRPLAAAGIVVTGDKAVNIYTSSQTGSIIVKLLPNLP -KDKEACAKAPLDAYNRTLTTLLTPLGDSIRRIQESVTTSGGRRQKRFIGAIIGGVALGVATAAQITAAAA -LIQAKQNAANILRLKESIAATNEAVHEVTDGLSQLAVAVGKMQQFVNDQFNKTAQESGCIRIAQQVGVEL -NLYLTELTTVFGPQITSPALNKLTIQALYNLAGGNMDYLLTKLGVGNNQLSSLIGSGLITGNPILYDSQT -QLLGIQVTLPSVGNLNNMRATYLETLSVSTTRGFASALVPKVVTQVGSVIEELDTSYCIETDLDLYCTRI -VTFPMSPGIYSCLSGNTSACMYSKTEGALTTPYMTIKGSVIANCKMTTCRCVNPPGIISQNYGEAVSLID -KQSCNVLSLDGITLRLSGEFDATYQKNISIQDSQVIITGNLDISTELGNVNNSISNALNKLEESNSKLDK -VNVKLTSTSALITYIVLTIISLVFGILSLVLACYLMYKQKAQQKTLLWLGNNTLDQMRATTKM - diff --git a/seq/clusters_seq/cluster_15 b/seq/clusters_seq/cluster_15 deleted file mode 100644 index 90bb25c..0000000 --- a/seq/clusters_seq/cluster_15 +++ /dev/null @@ -1,1973 +0,0 @@ ->YP_010085070.1 glycoprotein precursor [Tapirape virus] -MVVCHFILVLLMVYEISSRPEHYCFQGGRQVIRKNNVRMGHKQCIRDDISLVKVTYTKTTNDSHGPLYDL -TVLRKDTVKNWFTCNPKATEHGPLQILEIDESMNMHFGHYSCTKQCDIKIEKEYGRVELSSNGLNYYEVL -GTINQRSWMMSKIHIDLSNTCENLIITCGTETVQFHACFKQHMECNRFFKDSWVPSLIVNGFCSNIELFL -FFLFLMTCFSLLWLIAKTFLCYFLIPIYLPIIMLYAKTYNAICKKCRNCGLACHPLQPCGIECVCGMIFE -STERLKRHRESAIACKGYKTGIAARKACRSKISNFGLALFLACFFFFFITPTVAIQVPINDGRVLDAENI -SGSILALYSTVEKITTTYFYIQLACLFLSFSTIGVILAKYGAERHIKLNYRRCKSCGLYHKPETCRRQCI -CGFVKKDPKPEIHLESLMEIKHVPSRKCFLKLFKRLSSQIDMAAIIVLMLMFTTVSIGAAASECPGSETE -DQYACKCLVNADKSVLNMSKDCLAFTNSISCSNAPKMLDVIKSLTHTEEAKKEIDKLAKKTKYELIELQK -NANTSTWFYTYEILSSVVDCPTTNEKQAYLAEIEINVRVLDPYPCLPSQKSTHELECKCMKGESCNENNI -KSSYEGKQEEFMKDLRNFMSLLHRLIPGGYQKIIFLAVSQKNEEILKFILDKIMSGYIQSTTTALGFAKV -LKKSFSADLVKTTETPDFFKRMIDPKSSVNIHVPFDTVKGLVHTESIKICPQSQDWYIIKCLGTTDKDAL -HIIVCKGKGNVISLADLYEVSGNLCYLDQTCDMEMPPLKIESLGRANRFVCSKVTKEQAKGTYVNLRQML -LICSYEAQGSCKVKFAGGVTTRNAVKCSNSYIHADIKQMYQKADMEHGNYCFDTKCETRRPFIHPSRVTN -CDFTKFVPHMQRDVLIQKHQTIEEYLDSIKNNLMQGLKVDKYIPTANLPKHVPIYKHLTLQGSETSDGIT -SSYITFSMAAMTGSSAGFHLRTPDGKDLFDIVVYILSSEVMATYDFAYTTGTTKTFTTYHDEICNDHCPK -TIKGMPKEALSFYKERTSQWGCEEWGCLAINTGCIYGWCTDVISNDAKVYQKSVEGIVKINLCITMPTQT -FCHLIEGVEPSIGETISAQLSTIDVEHFKTPILVRDGLVYHGQINARGSFAPICGSVQKVGSKTYGVGTA -QVDYTCHLAQRKDIIVRRCFTNHYKSCLGLERYDVILGKRTNNTVRLKRNNLNLGDLSIKVMLGDISFKQ -FSESAEVQFKAKCVGCVECIDGISCNIEIHSDRDYTCPIKSDDCDLFYHNIMISEEKSEYNIKMKCDKKL -DSVDLSICSTKQRVPLEVVQHKDKIEIDNNDGPTYIREEDLKCGNWLCKVYNEGIGGFTGIFKMFMGVYY -YWAIGAFIIIILVILGIYLIMPLVRKFLVNMKEIRALDDRRELIIKRRYEENKKNG - ->YP_010085066.1 polyprotein [Macaua virus] -MEMLYFIMLLMSASATPIFQRCFSGGQLIMEKKSQTSVSEFCLKDDVSIIKSVIEYDKTNNSIYGNNKIY -RQWVVSDWKLCHPIKTEGGSINVIEVDKDLTIRSNTYVCTTDCTITIDKENAQIIFQTARLNHFEVTGTT -LSTGWFKTKASVSLDQTCEHIKVSCGKKSLQFHACFRQHMTCVRFLHRSLLPGSMANSICQNIELIIILC -LTLCIFIVLNIIAKTYICYLLLPLYIPFAYIYGWLYNKSCKICVNCGLAYHPFTNCGSICVCGTVFQTSD -RMRMHRESGMCKGYKSLIMARVLCKAKTSSLVISILTSLLILSFVTPIEGAKTEEKMYTLSELPDAYTAE -INKFEKKLENIFMISVINLGITFVLLLMSIFSHMIVNKIVNRKVFLCKECDMYHSLQKIKYNGDFCNKCG -TCTCGTQEDPNYTTMHKVTDQCLSEFKRNTQKKMMIIFIFLMLIENSICIVSAEEKCFKEKSFTTECIGP -LITMRPCSKTTMVEVGKKLVTEKQIANEDIEKLKLIPNGSLQAWEMIDNQDTYGQTFLMEFAFLKRECSY -FEEFEHNSGVNQILWRTIAKTEHFDICAIRPNQRFCKCMLESVYCKDANWDFANEINDTYNNKPTFYNHD -IVLFTKIFKAAFHGTTAKVYDIMIQKHNKTELNKLFKSLGKRFPYNNFMVGFLKFGELLMNLSLFDTIPK -PATGRTTTQRTGRTFIQLENAKVGSLDPNCRDLKIIHCISPRFNVPVGSVVKCGENEIKIYEFHTPVYKS -TSDERVWCKFDKHCFHNWIPIDEARLGALKNLNCWYSDPSENQDIYSTGKKSCRMLNKGVCTINSNQYPV -LQCDDDVIYYTDHKSGADTQGDIGEYCISKDCAHNRFPINIDTGIPCTWEYNTVKPQYLQKVNLKTLEEY -KRTLQDKLSHSLELYHFQPTTNFPHIKPTYKYITASGVESSDGIEGAYILTEIPAISGSTVGLNVISKDN -INLMDLIIFVKSATIKSTYNHIYDTGPTININVKHDELCTGPCPANIPHGEQWITFSQERTSRWGCEEFG -CLAIGEGCVYGSCQDVIKREMRVYRKSTEEINEITICILFTDKDYCTKLNALEPQITSIIELQLEGLDTK -VLPTLLALKNHKLFSGQINDLGSFGQMCGNVQQVNKTIYGAGQAKFDYLCHGAKRKDVVVRKCYNNNYGS -CDLLTEEKSLILEDNYNTINILHTKHNLGTLKIKVNLGDIRYKLFTTKLELEVDAKCVGCIGCFNNFDCD -FKIESTIDTTCPIIGPCPFFHNNIFISSTVNRYSMKMICKEAPNQASDLTICGKKYPLLIDTVKKDEKIE -INVGDQTSYIQEKDNQCKTWLCRVWDEGIGVIFEPLKNLLGGYFHTATIIVIILIVLAIGIYILLPMFMK -LRDILKENEAAYQREMKLK - ->YP_010086209.1 Glycoprotein precursor [Caimito virus] -MILLLVIMCIIDRTLQVPTETHYCFTGGRTSITKHNMRLGPRQCIRDDVSLIKITYTKTGNDSHGPVYDM -TISRKDTVKNWFSCNPKATENGPLQLLELDEQLNMHFGHYSCTKHCEIKIDKEYARIELSTSGLNYYEVL -GTINQRNWVASKIHIDLANTCENLVVTCGLDTIKFHACFKQHMECNRFFKDTWIPAILIQGFCSNIELFL -FFLFLLTCFTLLWLIAKTFLCYIMIPIYLPIAIAYARIYNRFLKKCKSCGLAVHPMGSCGVECVCGMIFE -STDRLKRHREAKLGCKGYKSGIAARRACRSKMSNFSLALFMALFLFFFLTPSLAVQVPINDGRVLNAEDI -SQSIVDLYSIVENVMTIQFWLQISCLFLSAFTIFLILGKYIMERSAVSNARRCRECSLYHKRGSLCNRTC -ICGYIQKTGNPDLLVQEMLLITHTASKKCFLKSYKKRSKKIDNIMLLIMLTIFLSTSIGTAAEESCNGQS -DEEKYACKCLENQTNDILNMSKDCLAIANSLDCSNAGKMIELLKNMNPFESARKRIDELTKMSRFDLAKN -QFNANTSTWFFTHEIVSAITNCGTDIQKTANLAEVEILLKVSTPYPCKPPVKTNNEAECKCMRGEQCNDS -SIKSTYDGQQAEYEHDLKFVADRLHKIIPGGYQKMLFLAITQKRQDILDFIIDKMVVGYLQSAPAASGYL -KVLKKSFKEELVKKTDSPLFYVKMVKPNDVVYTHVPFDKVKNYAHTDSIKICPKTSNWFIIRCIGLNSND -AIHMLICKDKGNIITTGNLYTVSGNLCYMDQTCDVEMKPFKLLDLGRMNRLVCSKVNQEQTKLVYLNNRD -QLGVCNYKNQGTCKIKTESAVSSRSVVVCGDDVIHADIKHLTQKSDSDHGTFCFDSKCESKRAFINPNRI -EECKLSRDIQQNQKDIAVNQHKTLEEYLESIKTNLMQGLKTDKYVPTANLPKHVPVYKHLTLQGSETNDG -ITSSFVKFSMAAMTGSSAGFHIKTPSGQDLFDIVVYIVNSEISSLYDFSYITGSTKTIHSYHDEVCNENC -PKSIKGKPNEAIAFFKERTSQWGCEEWGCLAINTGCVFGWCQDVILNEARVYQKATESSIKTKLCISLPT -QTFCQEIEGVEPSIGETINAQLSTVQIEHFKTPVLIRDGLVYTGQINNRGSYAPICGSVQKYKKRTFGSG -TPHVDYTCHAAKRKDVIIRGCFVNMYKACKMLEPYNVIIGRRTNETIQLKRNDLNLGNLEIKILLGDISF -KQFAEDAEIHYKAKCAGCIDCMDGISCNIEIHFDKEISCPIKSLDCELFYHNLLISPDKSEYDIKIKCPA -KLDAVEMSICGISQKVPLEIVQHKEVVEVDNNESPTYIREEDLRCGNWLCKVYNEGISGLGGLFSIFGNA -YYWALGTVIAVIVLLLIVFIFFPIIKKCLMNIKEIKALDDKRDELIRRRLLEMKTKGA - ->YP_010085105.1 polyprotein [Maguari virus] -MELLIIILLLGSAASSPISTRCFHGGQLIAESKSATSISEFCLKDDVSMIKSEVTYIKNDTGIYGHNKVF -RSWTIKDWKLCNPIPTAGGSINVIEVNSDLSLATRAYVCSRDCTITIDKEDAQIIFQTDKLNHFEVSGTT -LSSGWFKTKASVTLDRTCEHIKVTCGKKSLQFHACFKHHMSCIRFFHHTILPGTMVSSMCQNIELIIIIG -LTLVIFILMVILTKTYICYLLMPVFMPVAYIYGWAYNKSCKKCNCCGLAYHPFTNCGSHCVCGLKFEASD -RMRLHRESGLCQGYKSLRIARMLCKSKGSSLVISGLLSMLLLSFITPIEGTLTNYPNEKQYTLEEIADVV -EGIITEQHLKEYVVFYTSLFGGLILLLTLILSITINKVTDLLASTNVIYCEECSMYHSKKGIKYNGDFTN -KCGFCTCSEQEDVEGVIIHKVSRKCTYKYQLKWVRVIMIILALLLTIQNTVLIVAAEVDCWTKKSIEIDC -IGPLLNIGSCTDKSSRTYETEVNKLVRQSKVTHADAEQISILGSTLDNAIKAVRSQKTYETMHFLETVFL -MKNCDYYNSFEHNSGYSQTKWRLIAKTSHFDICSRHSTHHFCRCVNEGTKCQNGDWDFAGEMNDTYNSKN -DFYLHDLDLFYTLLENAFPGTTESLFYQLLQKKNTTGASKLLGKLITKYGNNNMFVEIWKFGQYLLKLPY -INDTELSTDRKSTIIKSQQVLSRSQTGRQESLSSATPGTATKECSNAKDIGCISPRFGIPIGNLTACGDS -PNYKVYKTPEKIYKSNNRGEVWCSDDVHCLTDFEPAEEEVVNRIKQMTCFLTDVTTKTDVFSVAISTCKM -VDKGVCTVNDAKWNILKCNSGLYYFTDHRDGQDTGNDFGEYCISHSCNTERFPINPDVLKDCIWEYHSRK -SKYISTVSLESLDEFRRAMSDKLSHTLMIYNFKPTANLPHIKPTYKFITANGIENSDGIDSAYILSSIPA -LGGMSVGYNIQTKDNFPLLDIIVFIKSAIIKTTYNHIYDTGPTIGINTQHDEHCTGPCPTLIPHKENWLT -FSQERTSRWGCEEFGCLAINTGCVFGSCQDIIHPEAKVYRKAIEEEVVLTVCITFPGNTYCTEINAIEPK -ITDEIELQFKTVDTKTLPNLLMVQNHKLYSGQINDLGSFSQGCGNVQKTNQSVIGAGTAKFDYVCHGASR -KDVIVRRCYNNNYESCKLLKEETSLIFADNHETLEVANTKHLIGELQFKIMLGDIRYKSFAESPELEVDA -KCVGCPSCFESYSCNFQIVTNIDTVCSVDGPCTLFHNRLIISATKQSYGFKMSCPRKPEPTEEFQICNRK -YNVLFTTIDKNDKIEINTGDQTSYIYEKDNRCKTWLCRVRDEGISVIFEPLKAFFGSYFSIIFYVVVGII -VLFLTIYIFLPMFFKLRDILKRNEYLYLQELKHK - ->YP_010085091.1 polyprotein [Tahyna virus] -MMFVLFFIALSTASPVYQRCFQDGAIVKQNPSKQAVTEVCLKDDVSMIKTEARYFKNATGTYANNVAIRK -WLVSDWHDCRPKKVAGGHINVIEVGDDLTLHTEAYVCNADCTIGVDKETAQVRLQTDTTNHFEIAGTTVK -SGWFKSTTYITLDQTCEHLKVSCGPKSVQFHACFNQHMSCVRFLHRTILPGSIASSICQNVEIIILTVLT -LLIFILLSILSKTYICYLLMPIFIPLAYVYGVIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYETSDRM -KLHRASGLCPGYKSLRAARVMCKSKGPASILSVITAVLILTFVTPINAMVAGDTQETFKLEDLPDDMLSM -AMKIQMYYIMCIVNYIVTWSFIVCALIIALIFKKYQHRFLNFYAMYCHECDMYHDRSGLKYNGDFTNKCR -QCTCGQYEDAAGLLTHRKTYNCLVQYKSKWLVNFLIIYILLMLVKDSVLIVQAEGTDFEKCSGEINITWN -CTGPFLNLGNCEKKQKKEPYSNIATQLKGLDAISILDIPMISRIPEDIAGALRFIEEQNSYHVQLTAEYA -MLTRYCDYYTQFSDNSGYSQKTWRVYLRSHDFEACILYPNQHFCRCVKHGDKCSSSNWDFASEMKNYYTG -KQLKFNKDLNLALMSFHHAFRGTSSFYVAKLLREKNNEELVNYTEKIKDKYPGNALLKAIIDYIKYMKNL -SEMTNFKHDEMWDDFVFNSPPTKSPSVRRSDSAYDFKNNINSNPSHICQNIKTVICLSPKSGVSYDSIIA -CGEPSQPTIYKKPESRVFQSNADQTHFCLADTHCLENYETVGEEILDAIKKSKCWETDFTEYIQFKQSDG -VRSCRMKDSGECTVTTHRWPIILCDNNKFYYSELQKDYDKDQDIGHFCLSPRCNTIRHPINQKHISNCTW -QVSHNNIEKIEVHELENLEQYKKAITQKLQTSLTLFKYSKTKNLPHIKPMYKYITIEGTETAEGIESAYI -ESEIPALAGTSIGFKITSKSGKHLLDVIGYVKSASYSAVYTKLYTTGPTIGVNTKHDELCTGPCPVNVPH -GTGWLTFAKERTSSWGCEEFGCLAISDGCVFGSCQDIIKEELAVYRKETEETTDVELCLTFADKTYCTNL -NAITPIITDQFEVQFKTVESYSLPRIIAVRNHEIMVGQINDIGVYSKGCGNVQKVNNTNYGNGVPKFDYL -CHLASRKEVIVRKCFDNDYQACKFLQTPASYRLEEEGGSVTVIDYKKILGTIKMKAILGDVKYKTFADNV -DLTVEGVCTGCINCFENIHCELTIHSTVEASCPVTSSCTVFHDRILITPNEHKYAIKVICAEKPGPSLQF -KICNAKTDAAMTLADAKPILELAPVDQTTYIKEKDVRCKTWMCRVRDEGLQVIFEPFKNLFGSYIGIFYT -FLITLIAVFVVIYIVLPICFKLRDTLRQHEDAYKREMKIR - ->YP_010085086.1 polyprotein [Anadyr virus] -MFLILVLLIPFYITATPVTTRCFHGGQLIAESKSLTAISEFCLKDDVSTVKSEITYLKNDTGIFAHSKVL -RNWIIKDWKNCNPVPTAGGSINVIEVNADLSLSTKTYVCSRDCTITVDKEDAQIIFQTDKLNHFEVSGTT -LSSGWFKTKASVTLDRTCEHIKVTCGKKTLQFHACFKHHMSCIRFFHNTILPGTMAVSICQNIELIIITS -LTLIIFILMVILTKTYICYVLMPLFMPVAYLYGWAYNRSCKKCSCCGLAYHPFTNCGSHCVCGLKFEASD -RMRIHRESGLCQGYKSLRVARLLCKSKGSSLIISILLSVLILSFVTPIEGTLTNYPESKKYSLEEIADVI -EGFIAEKGIKEYIVLYTSIFGALLLLIALIITVSLNRITEYLTNLNVIYCHECSMYHSKKNIKYIGDFTN -KCGFCTCGELEDQEGLNMHKVSKKCIYRYQLTWSKVIMTILVCLLIAQNTVLIVAANDDCWAKQSLEIEC -VGPLQQVDACEDKSSRSYNGEAKKLVGTSKVTELDAGQVNLLGQTIESAIIAIRKQRTYATMHFLESVFL -GRHCDYYKVFEHNSGYSQAKWRLLAKTNHFDICSRHSTHHFCRCMSDGTKCENGNRDFAGEMNTTYHSKR -DFFKHDFNLFCTLLENAFPGTTESLYYQLLSKRNTTGASKLLGKLITKFGNNNLFVGIWKFGQFLLSLPY -VNNTELSALQSSKILESPEPLLRSASGRQESLSSATPGEASKECRNAKKISCISPRFGVPMENLTACGES -PNYKIYKTPTRIYKSNNKGEIWCAGDVHCFQEFTPVLQEVVDKLKKMTCFLTEPEAEEDAFSIAIAMCKI -LDKGVCTINSERWNIIKCDSGLMYYTDQRDGQDTGNDFGEYCISHNCKTERFPINPSIISDCIWEYHSRK -SRYISTLNLESLEEFKRAISEKLSHTLVVYQFKPTSNLPHMKPVYKYITAQGVENSDGIDSAFITASMPA -LSGTSIGYNVMSKDNFPLFDIIIFIKSAVIKTTYNHIYDTGPTIGINVMHDEHCTGQCPIEIPHKENWLT -FSQERTSRWGCEEFGCLAINTGCVFGSCQDIIRPETKVYRKAIEESVLLTVCVTYPGNTFCTEINAIEPK -ITDELELQFKTVDTKTLPNLLAVQNHKLYSGQINDLGSFAQGCGNIQKTNLSVIGTGTAKFDYICHGASR -KDIVVRRCYNNNYESCKLLKEEPSLIFSDNHETIEVANIRHLLGELQFKLMLGDLRYKSFAENPELEIEA -KCVGCPSCFSSYSCNFRISSSIDTVCAIDGPCTIFHNRLIISSTKQDYGIKMSCKEKPNPVEKFNICKRV -YSVLFTTIDKNDKIEINTGDQTSFIQEKDDRCKTWLCRVRDEGISVIFEPIKAFFGSYFSIAFYVVIGII -ALFITIYIFLPMVFKLKDILKKNELLYLQEMKYK - ->YP_010085081.1 G1/G2 membrane polyprotein [Snowshoe hare virus] -MICILILFAVTAASPVYQRCFQDGAIVKQNPSKEAVTEVCLKDDVSMIKTEARYIKNATGVFSNNVAIRK -WLVSDWHDCRPKKITGGHINVIEVGDDLSLHTESYVCSADCTIGVDKETAQVRLQTDTTNHFEIAGTTVK -SGWFKSTTYITLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHRTILPGSIANSICQNIEIIILVTLT -LLIFILLSVLSKTYICYLLMPVFIPIAYAYGIIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYDTSDRM -KLHRASGLCPGYKSLRAARVMCKSKGPASILSVITAILILTFVTPINSMVVGESKEVFELEQLPDDMLDM -ALRINFYYFVCIMNYAVTWGLIIIGLLIGLLFKKYQHRFSNLYAMYCEECDMYHDRSGLKRNGDFTNKCR -QCTCGQYEDATGLMTHRKTYNCLVRYKAKWVMNFLIAYMLLTLIKDSAIVVQAAGTDFTTCLETENINWN -CTGPFLNLGNCQKQQKKEPYANIATQLKGLQAISVLDMPMIASIPEDIAGALRYIEEKETFHVQLTAEYA -MLSRYCDYYAQFSDNSGYSQTTWRVYLRSHDFDACILYPNQHFCRCVKHGDKCSSSNRDFANEMKNYYSG -KQNKFDKDLNLALMALHHAFRGTSSAYIATMLSKKSNDDLIAYTNKIKEKFPGNALLKAIVDYIAYMKSL -SEMSSFKYDEFWDDLLYKSAPTKAPSLSRGSEPSYNFKLVVSSRSIKSCKNVKSVVCLSPRSGVSYDSII -ACGDPNGPSVYRKPSDGVFQSNADQSTYCLADSHCLEDFEVVSQEELDAIKKSKCWEAEYPDVKLSKLTD -GVKSCRMKDSGNCNVAANRWPIIQCENDKFYYSELQKDYDKTQDIGHFCLSPGCSTVRFPINPKHISNCN -WQVSRSSIAKIDVHNIEDIDQYRKAITQKLQTSLSLFKYAKTKNLPHIKPIYKYITIEGTETAEGIESAY -IESEIPALAGTSIGFKITSKEGKHLLDVIGYVKSASYSSIYTKLYTTGPTSGINTKHDELCTGPCPAKIN -HQTGWLTFAKERTSSWGCEEFGCLAISDGCVFGSCQDIIRDELTVYRKETDEVTDVELCLTFSDKTYCTN -LNPITPIITDLFEVQFKTVETYSLPRIVAIQNHEIKIGQVNDLGVYSKGCGNVQKVNGTVYGNGVPKFDY -LCHLASRKEVIVRKCFDNDYQACKFLQSPASYRLEEDSGTVTVIDYKKILGTIKMKAILGDVKYKTFADN -VDMTAEGSCTGCINCFENIHCELTLHTTIEASCPIVSTCTVFHDRILVTPNEHKYALKVVCTEKPGNTLT -IRICNTKVEASLALVDAKPILELAPVDQTAYIREKDERCKTWMCRVRDEGLQVILEPFKNLFGSYIGIFY -TFIISIIALLIIIYIVLPICFKLRDTLRKHEDAYKREMKIR - ->YP_010085076.1 glycoprotein precursor [Trivittatus virus] -MRNMIVFALLTIVAASPVYKRCFQDGAIVRQEYSKNAVTEVCLKDDVSMIKTEAEYFKNSTGVFSKNIAY -RKWLVSDWNECRPQKSANGHINVIEVQPDLSLQTNSYVCAATCVISIDKETAQVRLQTDTTNHFEISGTT -VKSGWFKSTTYLTLDQTCEHLKISCGSKSVQLHACFNQHMSCVRFLHRTILPGNIANSICQNIEIIILTS -LSLFIFLFLTIISKTYICYVLIPIFIPIAYVYGFIYNKSCKKCKLCGLVYHPFTECGSHCVCGAKYDTSD -RMKMHRSSGLCPGYKSLRAARSMCKSKGPASILSLLSAVLILTFVTPITAISLKDEEKETYKLSELPDDM -VNLETKIDLYFQMCLINYATTWALIFCALITACLFKKLQHKFLDYYAVYCHECDMYHERRGLKYNGDFSN -KCRQCTCGQYEDATGLLTHRKSYNCLVQYKAKWMLNFLITYAILVIIKDSVMIVGASNTDVKDCLDQEEI -TWNCTGPFLNMGRCTRPQKKENYGNIATQLKGLDVLSALDMPAVGKIPEDIAGALSYIESKKTYHEQLTL -EFAMLTRYCDFYKQYADNSGYSQTTWRAYIRSHDFGVCIMYPNQHFCRCVKMGEKCTSSNWDFANQMANY -YNGKQNRFNKDLNLALYTMHHAFRGSTSYLISKVLHEKKYDQLTNLLTQLKTKYPGNALLKALIDYQIYL -KSLSEMSSFTPDELWDDLQYVPAPTFKPNLGRSEDNEYKFDKSRIVDPQTTCTSLKGVNCLSPRSRASVS -DIIACGQATQPALFYVPNDKIHQSNTDQNQYCIGDSHCLTKFTPITEELLAAVKKSKCQAFDLDNIVKTS -PSTGVRSCLVKKFGNCNTTNQVWPITICSDDQYYYTDTKQSYDSYQDIGHFCLSPRCSTIRYPVNPKHLS -ACTWTEEYTPVDKISVTNIEEIEQYKKALLQKLQTGLNIFKYRKTSNLPHIKPMYKYITVQGTETAEGVE -NAYIESEMPALAGSSSGFKVLSKEGNHLFDVVAYIKSASYSSVYNKLYSTGPTLGINVKHDEKCTGPCPA -NIPHKTGWLTFARERTSTWGCEEYGCLAISDGCVFGSCQDIIKPEMTVYRKQTDEIVTVELCLTFVDKTY -CTELNAVTPIISDLFEVQFKTVESYSLPKIVAIKNHQIFVGQINDIGTYSKGCGNVQVFNGTNYGSGTPR -FDYLCHLASRKEVIMRKCFDNDYQACKFLQQPSSFRLEEEGETVTIIDYKKILGTIKMKAIFGDVKYKTF -SEKVDINAEGVCAGCINCFESINCEFTIHSTVETSCPLVADCQLFHDRLLITPNEHKYAIKAICKDKPSN -TLRFSICNTKVEATVTIIDAKPILELAPVDQTAYIKEKDERCKTWLCRVKDEGVAVLLEPFKNLFGSYIG -IFYGIILVVLAILVCIYIVLPVCFKIKDTLKKHEDAYKREMKIR - ->YP_010085067.1 membrane glycoprotein polyprotein [Facey's Paddock virus] -MKFVSILLFVSWLGATYQNPVSHRQIGERCFAGGSLIKTVNQTSGVSEVCVRDDISMIKSIVHTTKASDT -AVNNYIRFYRVFIVKEWHDCNPFPDPKGTFMVLDVSSTNHLEPITYTCRTPCEITLDKDSAEVTLSSQKM -NHYEISGSTINNGWFKNSISVSLEHTCEHITVSCGQKTVRFHACFRQHRSCTRFFKNSMMPARMTESICQ -NLEIILLTCFSFVCFIFLCIITKTYVAYVLIPVFYPFTYIYGRLYDKYFKLCENCLLAVHPFTPCETVCV -CGSQYANTEQLRTHRMTNNCKGYKSLSKSRAMCKSKGCSFVVAIFCSIIFFSFITPINSQEYKLSDLPDE -FTRLEEENTMLKIRLLVIKITISVISVTITVTSLTERKVFQELFNAIYRHCPFCGMIHRRRGLRVVNSTT -NKCGTCICGYKEETEHGVEYEIFLKTMHQENVNCMFKPSQRHFRNFKILIAFLAMCMIITSVSADEKHCL -KYKEPVKLSNLTECHSLWLNITECQINQSTLFETLKGEQLVTDFDKPDFTIMGQKYDIAMERIENAQNLH -HMILLEYLHAKSNCLKYKQLKSNSGPYNIPWRTYIHGHGLDVCGQYSYKLICKCISTGMHCENTALDIYN -EMRQFYTNNQENYNLDLNTVIKAIGLAMRGIGQMIIEEFFGESQIEELSNFLNMTSKNLGTNKQLIGLIN -FGLMLLDINQTKTPQIDPKPRTLQARSSIPTGTEITDWTKGQSKIKTCAEYSTLTCQSWRKTVNTKSFLM -CKVSSKWHVYNWPEIPTVVRNSKLCLGDYHCNMEFTPIDADEALKQLRCYKTEFVPSPDGMDESIKKCTL -EKFGGCTTANGHSWTIMVCNGRYYQTNTREHAKDGLLNSYCFEPKCQSGRHPIHKSFLNNCIWKESSRQI -IETRTIEYNNIEDYKKSVESDIKSDLTIHHFKPTKNLPLVTPTYISVVADGTMVSDGIQNAYVKGTIPGI -SGVATGLHVKTPDGILLMDIIVYVRKALYKANYEKIYSTGPTIGINVKHNEKCTGTCPEHIPKDEGWLTF -SKEHTSNWGCEEYGCFAINSGCLYGSCQDIIRPELDIYKQQGEEQTLLELCITLPHETFCNDLDVLEPVI -TDKLQLDFQTTQSDHLPELVALKKGLILTGQLNDLGNTAAMCGSVQLVNKTIYGQGNPKFDFICHAMRRK -DVIVRRCYDNHYSTCALLKQRNDLLHKEVNDQMSITVNGKNLGLINFRLNLGDLNYKLFVNEPNFELTGQ -CAGCISCADEISCQLNIIADHEFTCKVSSSCNLYISNMMITPANHIYNLKVSCREHVSNIDLAVCGRSFS -LHATLKQHSQKLDLSALDETNFVKEEDLRCGTWLCKVKDEGLSFLTRSIFGSLGTYWSYFIYGLIFMILL -FIAIYFLYPLCKRLKGLLEENEREYLIESKMK - ->YP_010084299.1 polyprotein [California encephalitis virus] -MIFMLILFAVAAASPVYQRCFQDGAIVKQNPSKEAVTEVCLKDDVSMIKTEARYTKNSTGVFSNNVAIRK -WLVSDWHDCRPRKVVGGHINVIEVGDDLTLHTESYVCSADCTIGVDKETAQVRLQTDTTNHFEIAGTTVK -SGWFKSTTYISLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHRTILPGSIANSICQNVEIIILVTLA -LLIFILLSIISKTYICYLLMPIFIPIAYIYGLIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYDTSDRM -KLHRASGLCPGYKSLRAARVMCKSKGPASILSIITAVLILTFVTPINAMMVGESKETFELEELPDDMLEM -ANNLNTYYMLCVANYAVTWSLLIVALIIGLLFKKYQHRFLDIYAMYCEECDMYHDRSGIKWNGDFTNKCG -HCTCGQYEDASGLLVHRKTYNCLIQYKSKWIMNFLVTYVILVLLKDSVVIVQAKGVDFSECIEAEKVRWN -CTGPFVNLGNCEKKQKKEPYSNIAAQLKGLGAISILDVPIIASIPEDIAGALRYIEDQRSYHSQLTVEYA -MLTRYCDYYTQFSDNSGYSQTTWRVYLRSHDFEACVLYPNQHFCRCVKHGDKCSSSNWDFASEMKNYYSG -KQSKFDKDLNLALTSLHHAFRGTSSSYIAGLLSSKANDELVQYTSKIREKFPGNALLKAVIDYIAYMKGL -TEMSNFKKDEFWDELVYVPDPTKPPKLSRSSGTVYDFKSATSNLGEKNCKDVKGVVCLSPRSGVTYDSII -ACGEGSSPNIYRIPKTGVYQSNSEPSNYCVSDSHCLEDFEVINQEELDAIKKSRCWEVDYLYANPSKQSD -GIRSCRMRDAGHCNVTTNRWPIIQCDDNKYYYSELQRDYDKEQDIGHFCLSPRCTTVRFPIHPKHVSNCD -WQVSHSTVDKVDVHNLEDIEQYRKAITQKLQNSLSIFKYARTKNLPHIKPIYKYITIEGTETAEGIESAY -IESEIPALAGTSIGFKINTKEGKHLLDVIAYVKQASYSSLYNKMYVTGPTVGINTKHDELCTGPCPVNVP -HSDGWLTFARERTSSWGCEEFGCLAISDGCVFGSCQDLIKEELAVYRKETEEATNVELCLTFSDKTYCTE -LNPITPIITNLFEVQFKTVETYSLPRVVAVQNHEIRIGQINDLGIYSKGCGNVQKVNNTIYGNGVPKFDY -LCHLASRKEVIVRKCFDNDFQACKFLQSPPSYRLEEDDGSITVIDYKKILGTIKMKAILGDVKYKTFAEN -IDITAEGVCTGCVNCFENIHCELTIHTTIEASCPVTSPCTLFHDRILITPDEHKYAMKVICTEKQTGTLP -FKICNSKVDATLTLVEAKPILELASVDQTAYIREKDERCKTWMCRVRDEGIQVILEPFKNLFGSYIGIFY -TSILIVITIFIIIYVILPICFKLKDTLQKHEDAYKREMKIR - ->YP_009667050.1 polyprotein [Shuni orthobunyavirus] -MFLKVFIIFALFNTGVPIPLKEGTTGSRCFANGELVKTVNTTLIPSEVCVKDDISIIKSNGEHYKKGDIL -GAVIKYYRLYQIKDWATCNPILDNHGTFMLLDIDNTGMLIPKMHTCREECDITLNKDTAEIILNSYRLNH -YRISGSMHISGWFKNKIDIPLENTCETIDVTCGLKTMSFHACFHTHKSCIRYFKGSMLPEITIEAMCQNI -ELIIIGAVIFFGSIFLIILTKTYIVYLFIPIFYPVVKVYAILYNRYFKLCKKCLLAVHPFTNCSTICICG -MAYGNTESLRLHRLCKSCDGYKALPKARKLCKSKVSNIILCACSALIFFSFITPINAECFTLEDLPDEFT -ACKQQVEEDTRMSKLRVVLDIIIILLGCMTPFMTKILIKAIYVVCPFCGMLHKRRGLKMADGMTNYCLMC -ICSTDKGLTYHRASTRCYSPTKIKIIYSWLLMLLITNALTIVDANDKVDCTNLKDGDIAIEKVSQCIAIH -QNYTEAAKNLEDILNEYSIVDQQEKAEIKNANINCKTINKAIEGLSVLETQAFYEQVKSKMCPIDVNDIT -KPNSASNLQWKTLARTYTLALCNEHPHKHICKCMSAFTYCTSTNTDHGGEMRKFYEHKIDNFEHDVKIVL -RIIKYMVPGLGSTLLQKIEESRKYSELVHIVGKLLPKAEKNIQMKGVLQFATQLLTYNVSIVSETPNIVA -MSLIKSEGQSVTGKLPGTAPLNICTNSKKVICFSPRGISQPYDYIMCEDKLYKWPQDGVYRHNKNSGEAC -ARDTHCISTFEPATRGVERRICESYETTYADDIYSNAISECIVTKFGTCTVKSSTWPFAVCQGVYYYTSA -RQHSKTHDITKYCLSSTCKEKRYPFRSDYCSNTVWDSTYRTKLNMKHISHPDIENYISALQSDIANDLTT -HHFRPTKNLPSIAPTYNGITIQGDKISSGIRNAFIEGKLPAIAGLASGLDVHMPDGTNLFSIIIYVKKIS -IKSNYQYIYSTGPTVSINVKHNEYCTGKCPESIPSDLNWLTFSREHSSSWGCEEWGCIAINEGCVFGSCQ -DVIKPEMKVYKRIGSETKEVEVCITTAHETFCNNVDVLQPLISQRIQLDLQSITTTNMPPIIAVKNGKIY -TGDINDLGVTAKKCGSVQSTDNGILGSGNVKFDYICHAFNRKDIIVRRCYENAYESCKFLDMREDLAMMS -GMSNEVHMKISNVGTISYKIMLGDFDYDLFTEHASLNLDALKCGGCKSCPDGMHCSFKANTDKIVLCKIV -SNCVSFLNNIIIDPEQNDYSLKLDCSELISDIEINICNAKLKARPTLIKQVPKISLASIDESTYIEQHDD -RCSTWLCRVRDEGINAILSPIFGKLSYYWMVTIYTVLAIISLIILLYVLIPFCKRIKGILEYNERVYQIE -NKFK - ->YP_009667046.1 polyprotein [Tacaiuma orthobunyavirus] -MELILFVTMIAAVLANPTPERCFTGGTKLLESKSPQAMTYVCLKDDISYVKSEVVSTDEKDKNGRTLFLN -TVFRKIQIDDWQNCRPEKQVGGPIMIISFDEHGSMESEDYVCKNDCEIKLDRDAGLINLETSTLNYYQIS -GTTKVSGWFKTRTSISLKHTCENIKIQCGEKSILLHACFKNHMECYQFLISHMLPKTFSSSVCANLEIII -LTAFSIIAFLLLSILTKTYICYILLPFFIPCTYIYSKLYMKCCKICNNCGLRYHPFSPCGKICVCGSVYD -SSERMKIHRQTGLCPGYKYMMSARFMCKSKGWNMIVAILLSVLFFSFITPIGANSTCYTLDEFPDMYINS -IKNMEFIKAYLFYWLAVNSLLLLIFITVIITIHYFEHIYLRPYIFKCEDCKMFHSKHRLVIEEFGTSRCG -SCTCGCPDDPPMVHFHQTSRQCVLKYIVKILKTYSICIILYCFISSTTIGAIATEVGVCDDDPIDTECWG -LNIEKDIISNRNDINGTLRKMFPDMTEEELNIAHTDYATYPDFLIEARSYDALRPKQILEAVYFSRNSFH -HITGADQRYYISWKLRTRVLELNTCKLVAKPYPCKCISIEEECTEFALKKFRSANLDNYLTDQTKLNWDR -LKLQDAMYEFMPPTVVHKYISIVESKNKTMIDGFSHDIYQMYTNYPYILNFMYLLMNITSRSQDFSISAL -LQNQTHATTQILNRGLVTIESLELGYRKTCKNPKRYFCKSERVGLVATKPLLVCDRDTSNGETKRTLHRW -SQDLVEVDQSIICRKDYTCSSYFDNSTDIELQTITKKKQRCNEIAKVYDPVYNQAISKCKMVEKGFCNFN -GNPKQIVKCSDNTLVEQIATGNYLNDMKMDQVCFKTDCGDVKRIHPDSLTNCTINVPRQLPVHIDRVDTN -DFKIYKAHLEEDFLTTLSKFYFMPTKGLPHIVPDFRPIYLRGTETTDGLESSYFEIEMIALSGKATGIKL -YASNDLYLFDVVIYVQAANVTSTYVPIYRTGPTLTFNVKHEEICTGLCPSDLPRADNTWMTFSKESTSTW -GCEEFGCLAIGEGCVYGQCKNVIKPESEVWEKQSEERITLNLCITTSYEYYCKEIESTVPLITNKIEAQF -NTIESFKHPERILIRNHIAFQGQINGLREYNSYCGNVQIVGSTTMGMGIPKMDYKCHAASRKDVIVRHCY -DNNYLSCLELKPLPKDMIEYETDKLLTLNTHNKITGTLKLKIKLGDIQYKLFQEDTKLDITGECVGCIDC -IDGITCRLEIPTSTQSTCTIKSNCETFINRIVISPNDKNYHLKFQCRTKTDYVSLDICGNKKDISLKLSS -VKPQLEVLPQAESAYVKERDDKCSTWLCKVKDQGIGFLFSPFTGFFGEVWHYVVLFLAVMITFFIVVYIL -IPCLFKCRDILRKQQAEFIVERKLR - ->YP_009667043.1 glycoprotein precursor [Patois virus] -MLLICNIVLILLNSKVSSLPVETRCFTDGVLVDSKQMDHGIAEICIKDDISMIKTTSKQISNTTTHVNSI -TRKMMIQNYDDCNPIEVSNGPIMIFKPNKELVLVPHTYACRVDCTISLDEEEANIVLHSDKLNHFEVMGT -TTANKWFQGSTSYSLEHTCEHIQVTCGSNSLSFHACFKYHMACIRLMNRSYMPAFMIQSICQNKELILMG -LLVLIIFGILYVMTLTYICYILVPIFYPFTYIWGLLYNRSCKKCYYCGLAYHPFTKCGKNCVCGCMFENS -ERMKAHRENGMCKGYKSLRAARILCKNRGSSLILACILSFLLLSFIQPLEAVKLQYNNEIIELDSVTEQF -NSLTDQLDIAQVMPYAFLAICFVAIILICIIISFRTKLELLIFEKMMHYCAECNMIHSRKGLRFFFNGEF -TSKCNSCACGVDYNDELKSNNDYMIVMDHQLNERCYIPGKYKAVRKLESVTTGTIMIILIILMLIAGSYA -DNCVDIKNSKTVTQPVTCSVWYKLTGSCTGSSNIKETFSKLKLAQEDIDSLKPYNREVMQMLKESEEAVS -QLKSYLLEEAAAKLHCTELSDFNTKTGRFNKKLITLMQTKQHEMEICSANTEQKFCKCVKEGLECTSEDL -TSAINYYKSHNEAFKKDFKRVVTALIDTYPGLFARELALSLKTGNLSKAKDIATSFKDKFGNADVAKILI -DYIIKITANTDLVKVNLELVVPKINKYSVDWSAKGIFENMEDGQAAKKECLNKALFRCASPITMRFKFVL -SCNGENNKFYLFPEQGVAGKRGDTNTLCVGDSYCKLDFVPIKTSEKDTWNSLICSQTTDGFNFSNTLPIS -KCRKVSTQTCTFDGQNKTFMECSNGFFYEYTNIVHQAPGDDIGIYCFDKACRGVVYPHHVDTLVGCKPHV -VNMKSRRLKEIVYEDIEQLKHSIQEVIKTDLVEHKYILTKDLPKMSPSFRPLSIQGTETDSGVENAYIET -NLIVRAGVSTGITLKAKDGTTLFDLVIFVKSAHYESTADYIYTTGPTVGINAQHDEQCTGTCPKDLKKLG -WLSFYKEHTSNWGCEEFGCLAINEGCVFGHCRDIIKPDLRVYKRQIEEIPKITICITMPDMSYCHEITSF -NPIISETMEIQFLSNEAGRLPKVFGFKSNKVMTGMINDLGTFSRMCGSVQSVGNNVNGAGTARFDYICHA -ARRKEIKITRCFDNFYESCLNLNTERDMVFDDKSSKILYLNRLMGEIRLKLKLGDIRYKTFEEKPSFDLK -GSCVGCIDCIKGMDCEITILATTDAVCPIVSNCVPFHNNIRIEANTQKYGLKMKCSSEVVKIKICEQEVE -IMPTIIKKQEVIEVGNSDQTYFVKEKDIRCATWLCKVSDQGISAVFSPFFSIFGTYGKIVFYSLLGLLLL -FIAGYILMPVFGRIADMLKSHEIEYQRETFGRKKHTR - ->YP_009667039.1 glycoprotein precursor [Zegla virus] -MVPTMIILICMGLVDSVPIETRCFSDGVLVENKQMDHGIAEICIKDDISMIKTTSKQIANTSIHVNSITR -KMMIQNYDDCNPIEVSNGPIMIFKPNKDLVLVPHTYACRVDCTISLDEEEANIVLHSDKLNHFEVMGTTT -ANKWFQGSTSYSLEHTCEHIQVTCGSNSLSFHACFKYHMACIRLMNRSYMPAFMIQSVCQNKELILMGLL -VLIIFGILYVMTLTYICYILVPVFYPFTYLWGLLYNRSCKKCYYCGLAYHPFTKCGKNCVCGCMFENSER -MKAHRENGMCKGYKSLRAARILCKNRGSSLILACILSFLLLSFIQPIEAVKLKYNNEVFEIDSVTEQFET -ITDQLDVALLMPYAFLIVCSVSILLICIIIIFRTKIELLIFYSLMHFCEECNMIHPRKNLRFFFNGEFTS -KCNSCACGVDYNGDLKDNNDYMVVMDHELNEKCYIPGKYKAVRKLESVTTGTIMIILIVLLFVSGSYADS -CMDLTSSKTIDQPVICSVWYKLTGNCQASSNIRETFKKFKLPEDEIDSVKTNNREVLQMLKESEESGSLL -RSYLLEEAVAKLHCSDLSDFNIKTGKYNKKAKGLLQMKIYELEICSTNKEDKFCKCTRNINECGSSEAMS -TAIAYYKGHTEAFKKDFKRVTTVLMETYPGLFARELALSLKTGNLSKIKDIAGKFANKFGDADVTKALIN -YISKTTADSELVKVNLDAIAPKVKEYQVDWSTKSIFENLEDAPVVKECPNKALYRCSSPITLKFNFILKC -KNEENKFYRYPEQGVASKKNDVNTLCVGDSHCKLDFVPIKQTEKDTLLGYICKHVTDGFNFSNTYPVNKC -RKMSTQTCLFENQNKTFMECSNGFFYEYNEIVYQAPGSDIGIYCFDRSCKKIAYPHHIETLSGCKAHASN -MKSRRLKEIVYDNLEQLKHSIQEVIKTDLVEHKYILTKDLPRMSPSFRPLSIQGTETDSGVENAYIETNL -IVRSGISTGITLKAKDGSVLFDLILFVRSAHYESVADYVYTTGPTVGINMQHDELCTGSCPKDLGKTGWL -SFYREHTSNWGCEEFGCLAINEGCVFGHCKDIIKPELRVFKRQTEETPKITICMTLPDSSYCHELTSFNP -IISDIMEVQFISNEAGRLPKIFGFKSNKVLTGMINDLGTFSRMCGSVQSVNNDVNGAGTARFDYICHAAR -RKEIKITRCFDNFYESCLNLNQEKDMVFDDKTNKIQHLNKLMGEIKIKLKFGDIRYKTFESKPNFDLKGS -CVGCLDCIKGMDCELTILATTDTVCPVISNCVSFHNNMKIEANTQKYGLKLKCSTENIKIKVCEQEIEIM -PTVIKKQETIEVGNSDQTYYVKEKDIRCATWLCKVSDQGISAIFSPFFGIFGQYGKIAFYSILALLLLFI -AGYILMPVFGRIADMLKNHDIEYQRETFGRKKHIR - ->YP_009666998.1 glycoprotein [Bozo virus] -MKLYIVLALLLTSVTANPVITRCFHGGQLIAEERSDSAISEYCIKDDISIIKSEITHTKNATGEFAHSKV -FRYWTIKDWKLCKPILMAGGSINVIEVDKKLRLQTKTYVCDQDCTITIDKENAQILFQTDDLNHFEVTGT -TISTGWFKNKASVSLDQTCEHLKASCGKKSIQFHACFKQHMACVRFLHRSILPGKMAVSICQNIELIIII -SLAILIFLILIIIAKTYICYLLLPIFMPLAYFYGWVYNRSCKKCTCCGLAYHPFTNCGSHCVCGARFETS -DRMRLHRESGLCXGFKSLRVARKLCKSKGSSLILSILISILILSFVTPIEGMLTDAQVKSRVELNSLSDK -IMNYEITQEANHNTLIWINMANVIINLVLIVIPIILTRALTQLVKLNIVYCDECAMYHEKKGIKYFGDFT -NKCGYCTCGELENQQQLSIHKARQSCIYNYKLIWVKRIVSTILVLAIIQNCASLTAADDSCWTETNPSEK -CLGPLIAPEQCLKKEHKTYRGEAAQLVAXKKITQEETNAAELLGTTIESGIQAINSQGTFTKKHLLEVLF -LQKHCDYYSLYKHNSGYSQAKWRALVKTFHFDVCSMSASTPFCMCMTTSNCDTENLNLEGTLNTLYQNKL -ENFKHDLSLFLDVAIAAFPGTSASYMTTNIKQKKGTTLTSFFDKIISKFPSNKLLVAILKFGNYLLKHNN -ANNYELTSDQEKYIFSVETHMEQKGDQTALSSAVVGQETATCKLYKEIHCLTPRMHIPVTNLSACGDQPN -YQIYKTPDKVYKAHDRVETWCIGDMHCLQNYVPAEPDQVERLKKLKCWLKEPAKLEDKYSTPSKTCRVDA -KGICKVLNSQWEVLQCDNGLTYFTDHIEGDDIANDLGNHCISIGCLHDRYPINAKVLNDCKWDYKSKKVE -LLKNIELHSIEELKRVLSDKLTHNLETYSFKPLSSLPHIKPKYQFITISGTETVDGIEGSYITFEMPAIG -GTSSGYHIQTKDGQELLDVIVFVKEAILFSDYTHIYDTGPTIGINVRHDEKCTGSCPAAIPHEQNWLTFS -QERTSRWGCEEYGCLAINTGCVFGSCQDIIRPEAKVYRKNLDDDQLIEVCINYPGKTFCTDVNPLEPKIT -ETLEIQVKTVDIKSLPQFVVIQNHKLYTGQINDLGSFGSYCGNVQKTNSSLIGSGTPKFDYTCYSASRKD -VIVRRCYTNNFDSCKLLQENKNVIFEDNHETIVVKNKNYDLGSISIKLHLGDINYKSFTEDLDLQVDAKC -VGCPNCFEAYSCSFQIETLVDTICTIKGPCEISHNRIKLSTNQQTYALKMFCKTKPSIVETFFICNKKYD -ITFDTIIKNDKIELNTGDQTSYIKEQDLRCKTWLCRVRDEGIGLIFQPIKDILGSYFHTGMVIIAVFFFS -FLLIYILLPMCMRLKDILKENEIQYLKETKSK - ->YP_009666997.1 glycoprotein [Birao virus] -MLLQFFILLPMVWALVHATPIGRCFQGGQLLMQKTSQTSISEFCLKDDVSLIKSEVEYHKNDTGLFGISR -VYRHWTISDWKTCKPIKAAGGGINVIELDQNMNLITSTYICSQDCIISVDKENAMLLFQTEKMNHFEVVG -TTMSTGWFKSKATVTLDRTCEHIKVSCGKKSLQFHACFRNHMSCVRFLHRTILPGYMAESICQNIELIII -LILTVMIFVLLCILTKTYICYILMPIFMPVAYLYGWIYNKSCKKCQCCGLAYHPFTNCGSYCVCGARFET -SDRIRLHRESGLCQGYKSLRTARRLCKSKGSSLIISVILSTLILSFVTPIEGYYTEDKDKYTLEEIADIL -QDRSISSEAVSKIIFVSTASSGCLAIILLFISILLHKIMKLIIKISVIFCDECGMYHSRKGMKFFGDFTN -KCGFCTCGQLEDVEGLNIHKTNLSCTFKYQYKWLRGLIMLWSILLILENSIIIAAAEDCFKITQPSLDCI -GPLVDIGSCTDKSQRTYESEATKLVSEAKLTSSNAKGYTALGDTLETGLRALATDGHFLSTHALELIFLR -RYCDYYKAFEHNSGYSQVKWRAISRTEQYDICSRHSTHHFCRCLADGTKCQNGDWDFAQEMNSTYNTKHD -FYAHDLTFTIKLFVNAFPGTASSYLCTKIKEHNSAESAKIFEKIWTKYGNNKLLVGIMKFGKYLTTLPFF -STYELPREYERLILRNSGHELRTSGQKTSMASAIVGPKSNECINAKKISCLSPRFGLPIGELLGCGPDNM -KIYKKPSKIYNTLKNNKWCNGDMHCLKEFEPAVQDVVNKIKTMTCWLQEPTANDDVFTIAINSCRMSDKG -QCIVGENQWSVMRCDSGLLYYTDHRPGEDTGNDIGHYCISHKCNTDRYPLNPEIVKDCVWEYKSRKATFA -KEHSLDNLEDYKRSLIEKLSHDLQIYKFKPLKDLPHIKPVYKYITADGVVNSDGIEGAYITAEIPAVSGS -SIGYNIISKDNQPLLDIIVYIKSAIIKTQYNHIYDTGPTVGINTKHDEQCTGSCPTEIEHDANWLTFSQE -RTSRWGCEDWGCLAINTGCVYGSCQDIIRPEARVYRKAMDETILLTACIAFPGQSYCTEINAIEPKITEQ -IELQFKTIDMKTMPSIILVRNHKLYSGQINDLATFGQMCGNVQKTNQSILGAGTVKFDYICYGASRKDVI -IRKCYNNNYDSCKYLREESTLLFEDNHETISVYNPSHLVGQLQMKLILGDIRYKTFSEKVDLQVDAKCVG -CPDCFESYMCNLQIVSTIDTNCAISGPCDIFHDRILITANNQNYAIKMSCKSDPPIESKIEICKNKYDLH -ITTIPKDDKIEINTGDQSSYIRERDNRCGTWLCKVYNEGISALFEPLKAIFGKYFDIFIYGIIILVALFI -SIYFLMPMCMKCKDTLKHNEKLYQQEIKQR - ->YP_009666984.1 polyprotein [Potosi virus] -MEPPMKLFLILATAVAAVYSLPASRCFSDGIIIKEKQDSKAVSEFCLKDDVSMIKSHVVYSKNESGIFAN -SQILRKWIIKDWKLCSPLPTAGGQINVIEINHDLTLSTKTYVCTTDCTIGIDKENAQITFQTNKLNYFEV -SGTTISSGWFKSKTSVSLDQTCEHLKVSCGKKSMQFHACFKQHMSCIRYLHKTALPGYMAASICSNIELI -IMTTLTLAIFILLCIITKTYICYLLMPLFMPIAYIYGWLYNKSCKKCNCCGLSYHPFTNCGSYCVCGAKF -ETSDRMRIHRESGLCQGYKSLRVARKLCKSKGSSLVISVTLSVFIFSFITPIGGVIINDKLSEEKYSINQ -MMDAIVDLENFSHNVSGTQQVISVIFLLISCLFLLLTYRLNKIIQHLARSGLLFCEECSMYHSKKDIKYN -GDFTNKCGFCTCGQPEDPEGLNLHILSQNCTYKQQFKWVKIIISILLSLIIIENSMILAAAETDCFSEKQ -ISEFCLGPLLSISSCEDKNSRTFKGEAQKLVALKKITSDEAMLIESMGAKVEDALKMIQAQKSPDSMYTM -EYVFLNTYCDYYSLFEHNSGYSQIKWRYILKTHQFDACARHSNNHFCRCMSDGMHCPSSDWDIADELNNT -YITKPNFFKHDYNLLLDVITAAFPGTGAVFVIDACKKANATAIKTFSNNIIARFPYNNLLKGVMKFLQYI -SKHPSFKKYQPDTRHYDLIPVAPTARGRSLGRSNSYSDAQVGPPTKDCQKLKEVGCLSPKFGLPLESIIS -CGSSPNYKLYKKPQKYYKSNNKENTWCSKDVHCLHDFEPITEDVLEKVKVMTCWEIEPGTIEDIFTIASE -TCKINDRGVCEVNAAKWKIVKCETGLYYYTDHREGDDTGSDLGHYCLTHKCAGGRYPINPEILQNCIWEF -HSQKSQYINQVDLEQIEEYKKALTEKLTHTLNHYNFLPTKNLPHISPNYKYVTLNGQETSDGIENSYIVS -EIPAIAGTSIGLKVQTKNNGNIFDLIIYVKRADIIASYNHIYDTGPTIGHNVEHEELCTGQCPQHIPAKA -NWLTFSQERTSRWGCEEFGCLAINTGCVYGSCQDIIKPVAKVYRKSTEEKVELELCIVLPDRNFCTTLNA -LEPKITEEIELQFKTIDQVSLPNIVLLRDHKLFKGQINDLGTFGQNCGNVQKTNQSILGAGTVRFDYLCH -SASRKDIIIRRCYNNNYDSCNHLQLAESLIFLDNHETLEVRKPNHILGTLSMKLMLGDFQYKTFAKDIEL -DFTGRCVGCIGCFEGFVCEMHITTTVEATCNLEASCQFFHNQLHIKPDVLKYYTKMTCQTDEYIPSKIKI -CNKEYKITIGKVQKNDKIEIDNSDQTSYIKEKDERCKTWLCRVRDEGISVIFEPLLNIFGNYGRIAVAVI -SAILALIVLVYILMPICMKIRDILKTNEKEFLIESKYK - ->YP_009666977.1 polyprotein [Serra do Navio virus] -MICILLLIALASASPVYQRCFQDGAIVKQYPAKDAVTEVCLKDDVSMIKTQANYMKNTTGTFSNNVAMRK -WLVADWHDCRPQKSSGGHINVIEVGDDLSLHTEAYICSADCVISIDKETAQIRLQTDSTNHFEVSGTTVK -SGWFKSTTYITLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHRTILPGSMANSICQNIEIIILVTLT -LMIFILLTIISKTYICYILMPIFIPIAYIYGWIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYETSDRM -KLHRSSGLCPGYKSLRAARVMCKSRGPASILSILTAVLILTFVTPMSALVIGSSEELYKLDELPDDMIDM -AEKVNLYYLVIVLNYSATWGLLLLMGILALLFKKYQHIFLNYYAMYCTECDMYHDKKELTYNGDFTNKCR -QCTCGQYEDATGLIVHQKSYNCLVKYKVKWIKNILIMYIILTLIKDSVLIASAEGPDFATCIEEKTITWN -CTGPFLNLGRCDKTQKKTDYATIASQLKGIDAISILDVPMISKIPEDISGALKYIESLKTYHEQLTAEYA -MFTRYCDYYTQFSDNSGYSQTTWRTYLRSHDFEVCIAYPNQHFCRCVKNGEKCSSAQWDFATEIKGYYTG -KQNKFNKDLNLALMTFHTAFRGTATSYVTELISKGKNSSLLMYASAIKSKFNGNALLKALLDFMMYLQSL -GEISGFKLTDEWEDFKYEEEPTEQTLMPRGHRGGQYNFKNAASNSKTKVCKNVKKVLCLSPRSRATFDDV -IACGEHANPSVYMIPNTTIYQSNTERSHYCIADSHCLEEYELVKPELLTALKKSRCWAGDIDTIVLHKQS -DGLRSCRIKDTGSCNVMGNDWTIVLCEDNKYYYSEVHQDYDKDQDVGHFCLSPRCNTIRYPISPRHINSC -KWQISHSTIGKISVHELADIEQYKKAISQKLQTSLSIFKYAKTKNLPHIKPVYKYIAIEGTETVEGVENA -FIESEIPALAGTAIGFKINSKEGNHLMDIIGYVKSASYSSTYTKLYTTGPTIGINTKHDEKCTGSCPGTI -HHKTGWLTFSKERTSTWGCEEFGCLAVSDGCVYGSCQDIIKDELTVYRKISDEVTEVELCLTFSDKTYCT -NLNAVTPIITDKFEVQFKTVESYSLPRIVGVRNHKIQIGQINDIGVYSKGCGNVQKVNGTNYGNGVPKFD -YLCHLASRKEVIIRKCFDNDYQACKFLQTPASYRLEEHQGTVTIIDYKKILGSIKMKAILGDVRYKNYAN -SLDINAEGTCAGCVGCFENIHCEFTIHTTIEASCQIESDCTTFHDRILVTPNEHKYALKVICHDKPKTIL -NFKICNTKIEAAITIVDAKPIIELAPVDQTAYIREKDERCKTWMCRVRDEGIQVLLEPFKNLFGSYIGIF -YTILIVIFILVIIIYIILPICFKLQDTLKQHEDAYKREMKIR - ->YP_009666976.1 polyprotein [San Angelo virus] -MIPLLFLVTLVSAGPVFQRCFQDGAIVKQNPSKEAVTEVCIKDDVSMIKTEARYVRNSSGVFSNNVALRK -WLVSDWHDCKPKKVSGGHINVIEVGNDLTLHTESYVCSADCTIGIDKETAQIRLQTDTTNHFEVAGTTVK -SGWFKSTTYITLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHRTILPGSMANSICQNIEIIILTTLA -LLIFLLLTILSKTYICYLLMPIFIPIAYLYGLIYNKSCKKCQLCGLVYHPFTECGTHCVCGARYETSDRM -KLHRASGLCPGYKSLRAARVMCKSKGPASILSIITAVLILTFVTPINGLTIGDSKEVFNLDELPDDMLEM -AQKLNMYQLICIINYTATWSIIIIGLAVFYIFKKYQHRFYNYYAMYCQECDMYHERSGLKWNGDFTNKCR -QCTCGEYEDAAGLISHKKSYNCLLQYKVKWVMNFLTIYVALIILKDSTLIVQAAGTDFSDCIETEELNWN -CTGPFLNLGNCEKKQKKESYSNIAEQLKGLQAISVLDIPLIARIPEDISGGLRYIESIRTHHAQLTAEYA -MLTRYCDYYKLFGDNSGYSQTTWRMYLRSHDFEACILYPNRHFCRCVKHGEKCSSSSWDFASEMKNYYSG -KQGKFNKDLNLALQSIHHAFRGTSSSYIATILSEKRNDQLVEYMKKLREKFIGNALLRAVLDFITYMKSL -TEMTNFKHDEFWDEFVHLPAPTKAPSMRRSGNENYDFKAPTSPAGTKSCKNIKNVVCLSPRSGASYEGIV -ACGEPSAPQIFKMPSESIYHNNADQSMYCIADTHCLQDYSPVEDEELNAIKKSKCWETEFTNAVPFKQSD -GIRSCRMRDSGNCNVTTNLWPVMQCDDGKFYYSEHQKDYDKDQDIGHFCLSPRCNMVRFPISEKNILACD -WIVSHPNIDKIDVHSLEDLEQYKKAITQKLQTSLSIFKYAKTMNLPHIKPIYKYISIEGTETAEGVENAF -IESEIPALAGTSIGFKVNSKDGKHIMDIIGYVKTASYSSVYTKLYTTGPTTGVNTKHDELCTGPCPKQIE -HKNGWITFSKERTSSWGCEEFGCLAISDGCVFGSCQDIIKDEMSVYRKETEETTIVELCLTFSDKTYCVE -LNPVTPIITDLFEVQFKTVESYTLPRIVAVKNHEVMVGQINDLGVYSKGCGNVQKVNGTVYGNGVPKFDY -LCHLASRKEVIIRKCFDNDYQACKFLQSPSSYRLEEDASTVSIIDYKKILGTIKMKAILGDVRYKTFSES -IDISAEGTCTGCVNCFENIHCEFTIHSTVEASCPVVSTCTLFHDRILIGPDNHKYAMKVICTEAPKTSLS -FKICNTKVDAAITIVDSKPIVELAPVDQTAYIREKDDRCKTWMCRVRDEGMQVLLEPFKNLFGSYIGIFY -TGLAVLIIALIAVYIVMPICFKVKDILKKHDDAYRRELKIR - ->YP_009666969.1 polyprotein [Melao virus] -MIALIFLIAIAAASPVYQRCFQDGAIVKQNPSKDAVTEVCLKDDVSMIKTEAKYMKNDTGTFSNNVAMRK -WLVADWHDCRPQKSSGGHINVIEVGDDLSLHTEAYICSADCMISVDKETAQIRLQTDSTNHFEIAGTTVK -SGWFKSTTYITLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHRTILPGSIANSICQNIEIIILVTLT -LLIFIFLSILSKTYICYILMPMFIPVAYIYGWIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYETSDRM -KLHRSSGLCPGYKSLRAARVMCKSKGPASILSVLTAVLILTFVTPMNALTLGSSEENYKLENLPDDMLDM -AERIDIYYLSIILNYSITWGLVILLGLTFILFRRFQHRFLNCYAMYCSECDMYHDKNGLVRNGDFTNKCR -QCTCGQYEDAAGLIIHQKSYNCLIKYKAKWIRNILLTYIILILIKDSVLLTSAEGPDFSVCIEQKAISWN -CTGPFLNLGRCEKTQKKASYATIATQLRSIDAISVLDIPMIAKIPEDISGALKYIESLKTYHEQLTAEYA -MLTRYCDYYTQFSDNSGYSQTSWRKFLRSHDFESCIIYPNQHFCRCVKQXEKCSSAKWDFASEMKGCYLN -KQAKFNKDLNLALSTFHKAFRGTPTSYVTELISKNKNSSLLMYVSEIKRKFPGNALLVALLDFIMYLQSL -SEISGFELGDEWEDFKYDPEPTEPALMPRSHRSDQYNFKNAASVSKTKTCKNIKRVLCLSPRSRATFDDV -VACGEFANPSVYMLPNITIYQSNIERSHYCIADSHCLEEFELVKPELLTALKKSTCWAGEIDDIVLHKQS -DGLRSCRIKDTGTCDVLGNEWTIVLCENNNFYYSEVHRDYDKDQDIGHFCLSPRCNIIRYPINPRHIRTC -KWQISHSTIDKITVHELADIEQYKKAITQKLQNSLSIFKYKRTKNLPHIKPIYKYIAIEGTETVEGIENA -YIESEIPALAGTAIGFKINSKDGNHLMDVIGYVKSASYSSTYTKLYTTGPTIGINTKHDEKCTGSCPTQV -KHKTGWLTFAKERTSTWGCEEFGCLAISDGCVFGSCQDIIKDELTVYRKTTDEVTELELCLTFADKTYCT -NLNAVTPIITDKFEVQFKTVESYSLPRIIAVKNHEIKVGQINDIGVYSKGCGNVQKVNGTSYGNGVPKFD -YLCHLASRKEVIIRKCFDNDYQACKFLQTPSSYRLEEHQGTVTIIDYKKILGSIKMKAILGDVKYKNYAS -NIDINAEGSCAGCINCFENIHCEFTIHSTVETSCQIESDCTSFHDRVLITPNEHKYALKLICHDKPASSL -KFKICNTKVEAAMTLVDARPIIELAPVDQTAYIREKDERCKTWMCRVRDEGIQVIFEPFKNLFGSYIGIF -YAIVICIIVLFLIVYIVLPICFKLKDTLKQHEDAYKREMKIR - ->YP_009666965.1 polyprotein [Lumbo virus] -MILVLFFVALSAAGPVYQRCFQDGAIVKQSPSKQAVTEVCLKDDVSMIKTEARYFKNTTGIYANNVAIRK -WLVADWHDCRPKKVSGGHINVIEVGDDLTLHTEAYVCNADCIIGVDKETAQVRLQTDTTNHFEIAGTTVK -SGWFKSTTYITLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHRTILPGSIANSVCQNIEIIILTVLT -LLIFTLLSILSKTYICYILMPIFIPLAYIYGLIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYDTSDRM -KLHRASGLCPGYKSLRAARVMCKSKGPASVLSIITAVLILTFITPINAMIAGETQETFKLEDLPDDMLSM -AMKINMYYIMCMLNYVATWSFIFCALIIALIFKKYQHKFLSYYAMYCQECDMYHDRSGLKYNGGFTNKCR -QCTCGQYEDATGLMAHRKTYNCLIQYKSKWLVNFLITYIILMLIKDSVLIVHAEGTDFEKCSSDINIVWN -CTGPFLSLGNCEKKQKKESYNDIAAQLKGLDAISVLDIPMISKIPEDIAGALRFIEEQNSYHVQLTVEYA -MLTRYCDYYTQFSDNSGYSQTTWRTYLRSHDFEACILYPNQHFCRCVKHGDKCSRSNWDFANEMKNYYSG -KQSKYNKDLNLALMSFHHAFRGTSSFYTAKLLREKKTEELKNYIDKIRTKYPGNALLKAVIDYIKYMMGL -TEVSNFKHDEMWDDFIFENPSTRSSLSRNSESSYDFKTNINSDPTNTCKNIKAVTCLSPKSGVSFDSVIA -CGESNQPSIYQKPRKQVYQSNSEQTHFCLLDTHCLEGYETVGEEVLSAIKKSKCWETDFTEYIQFKQSDG -VRSCRMKDSGECTVTTNKWPIILCENNRYYYSELHKDYDKDQDIGHFCLSPRCNTIRYPINQNHISNCTW -QVRHNNIDKIEVHELEDLEQYRKAITQKLQTSLTLFKYSRTKNLPHIKPIYKYITIEGTETAEGIESAYI -ESEIPALAGTSIGFKITSKSGKHLLDVISYVKSASYSAVYTKLYTTGPTIGVNTKHDELCTGSCPVNVPH -NTGWLTFARERTSSWGCEEFGCLAISDGCVFGSCQDIIKDELTVYRKETEETTNVELCLTFADKTYCTNL -NAITPIITDQFEVQFKTVEAYSLPRIIAVRNHEIMVGQINDIGVYSKGCGNVQKINNTNYGNGVPKFDYL -CHLASRKEVIIRKCFDNDYQACKFLQTPASYRLEEEGGSVTVIDYKKILGTIKMKAILGDVKYKTFADNV -DITVEGACTGCINCFDNIHCELTIHSTVEASCPITSSCTVFHDRILITPNEHKYAIKVICNGKPGISLPF -KICNTKVDAAMTLTDAKQILELAPVDQTTYIKEKDERCKTWMCRVRDEGLQVIFEPFKNLFGSYIGIFYT -FVIFLVVIFIVIYIVLPICFKLRDTLRQHEDAYKREMKIR - ->YP_009666961.1 polyprotein [Keystone virus] -MIWILLTVVTVTIANPVYQRCFQDGAIVKQNPSKEAVTEVCLKDDVSMIKTEAKYVKNLTGTFSNNVAMR -KWLVADWHDCRPQKRAGGHINVIEVGDDLSLHTESYICSADCVISVDKETAQIRLQTDTTNHFEVAGTTV -KSGWFKSTTYITLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHSTILPGSIANSICQNIEIIILVTL -TLLIFILLSILSKTYICYILMPIFIPIAYIYGWVYNKSCKKCKLCGLVYHPFTECGTHCVCGARYETSDR -MKLHRASGLCPGYKSLRAARVMCKSKGPASILSILTAVLILTFITPMNAMVLGSSEEVYKLEDLPDDMLN -MAEKIDIYYLSIVLNYSTTWGLLILLLIVSCLFKKYQHVFLNYYAMYCHECDMYHEKKNLVYNGDFSNKC -RQCTCGQYEDATGLIVHQKSYNCLIKYKAKWFINILIIYTILVLLKDSVLMAAAEGPDFNTCIEQRSISL -NCTGPFINLGGCEKPQKRASYQSIAEQLKAINAISILDMPMISKIPDDISGALRHIENLKTYHEQLTAEF -AMLTRYCDYYTQFSDNSGYSQTSWRTYLRSHDFEVCIAYPNQHFCRCVKNGEKCTSANWDFANEMKNYYT -GKQIRFDKDLNLALTTLHTAFRGTSTYYMSDILAETKANSLRDYALALKSKYPGNALLKAILDFIMYLQS -LNEATKFKLSSDWEDFKYNSEPEARALMPRARSSGDYNFSNAESTNKVKVCKNIQRVMCLSPRSKATVED -IIACGEHEHPSVYMVPNTTIYQSNIERSHYCIADSHCLEEYELVQNELLTALKKSTCWTGELENVIPHKQ -SDGIRSCRTKNTGRCNVKGEDWTVILCENDKYYYSELNKDYDKDQDIGHVCLSPRCNSIRYPISPRHITN -CKWENPAGTISRIETHSLADIEQYKKAISQKLQNSLTIFKYEKTKNLPHIKPIYKYITIEGTETVEGVEN -AFIEAEIPALAGTSIGFKVNSRDGDHLMDIIAYIKVASYSSTYTKLYTTGPTIGINTKHDEKCTGNCPAR -LEHKVGWLTFAKERTSTWGCEEFGCLAVSDGCVFGSCQDIIKEELSVYRKDTEEVTNVELCLTFADKTYC -TSLNAITPIITEKFEVQFKTVESYSLPRIVGIRNHEIRIGQINDLGSYSKGCGNVQRVNGTNYGSGQPKF -DYLCHLASRKEVIVRKCFDNDYQACKFLQTPSSYRLEEHEGTVTIIDYKKILGTIKMKAILGDVRYKNYA -SAIDINAEGVCAGCINCFENIHCEFTIHTTIEASCPIESDCVSFHDRMLVTPNEHKYALKLICEDKPGST -LKFKICNTKIDAAMTLVDAKPILELAPVDQTAYIREKDERCKTWMCRVRDEAIKVLLEPFKNLFGSYIGI -VYSIILIIIAAIIIIYIILPICFKLRDTLKKHEDAYRKEMKIR - ->YP_009666957.1 polyprotein [Bellavista virus] -MYKIALALLLIAASVIGAPVDNRCFNDGILVEDRHMDHGIAEICIKDDISIIKTTSRQISNTSTFANTVS -RKMIIQNYEECNPIEVSNGPIMIFKPTKDLLLVPHTYACRVDCTISLDEEEANIVLHSDKLNHFEVMGTT -TANKWFQGSTSYSLEHTCEHIQVTCGSNSLGFHACFKYHMACIRLMNRSYMPAFMIQSVCQNKELILVGM -LILIIFGILYVMTLTYICYILIPIFYPITYVYGLIYNKACKKCYYCGLAYHPFTKCGKNCVCGCMFENSD -RMKAHRENGMCKGYKSLRAARILCKNRGSSLILACILSFLLLSFIQPLEAIKLQYNNEVIEIDSVTEQFD -SILGQLDIAKIMPYTFIALITVSLLILIVLSVFRTKMELIIFSRLMYYCAECDMIHSKRRLRLFFNGEFT -SKCNSCTCGVDYNNELADNGDYMIVMDHKLTERCYIPGKYKAVRRLETLTTSITAVLLIIMLLVSATYAS -TDNCLKIAESKKIAEPVECSIWFKMPSTCPEYKSPKELFKDISLPKGENDSLRLSEDVTITLQDSERSPI -LFKSYLLEESLIKMHCKELIDYKKKTGDLNKKLAALISTGNLEICAAKKDDGACACMKSESTCNQPGSMT -NAINYYKANTEIFKHDLEKVTNSLIKTYPGLFARELSLSMLSTNLSKTKQIADKMSGKFGNADSAIACLK -YLSILLTDTNLAAVNPKIRLPAAIPYIAQWKDSNTFDNIQSGSTISECQNAKAKRCLLPISARIEVYLTC -NNEANKFYKVPEEGIAPKLGQNQFLCVRDPFCDATFQSVEPAEKDKMQSMVCHDAESNAFNHSRTLPLNK -CRKLSTQTCLYKGQNKTFMECSNGFFYEYTTNVFQSPQDDVGIYCFDKNCKTLVYPHHIANLLNCKAHVV -NMKSRRLKEIVYENIEQLKHSIQEVIKTDLIEHKYVLTKDLPKMAPSFRPLTIQGVESDSGVENAYIETN -LLVRAGVSTGVTLKSKDGKTLFDIVLFVKSAHYEAGSEYIYTTGPTVGINMQHDEQCTGSCPTNLKKNGW -LSFSKEHTSTWGCEEFGCLAINDGCLFGHCKDIIKPEMKIYRKSGDDTPKVQICLTMPDGGYCHEISSFA -PIISEKMEIQFLSNEAGRLPKIFAYKSNKVMTGMINDLGTFSRMCGSVQSIGQDVFGAGIPRYDFICHAA -KRKDVTISRCYDNFYESCLNLNTERDLIFDDKTNKVQMLNKLMGEIRIKLKFGDIRYKTFEQDPSFDLKG -SCVGCLDCIKGVDCEITILASTDTVCPIESNCVLYHNNIKIEANTQKYGIKMKCSEETINLKICTQEISI -QPTIIKKQETIEVGNSDQTYYVKEQDIRCNTWLCKVSSQGISAIFSPFLNLFGSYGKIVFYSLLALLLLF -IAGFICLPVFGRIKDMLKNHEIEYLREVTGRKPYTR - ->YP_009666951.1 glycoprotein precursor [Cache Valley virus] -MLFLLILAFLGFSTSNPIATRCFHGGQLIAESKSATSISEFCLKDDVSMLKSEVVYIKNDTGLFGHNKVF -RSWTIKDWKLCNPIPTAGGTINVIEVNKDLSLMTKTYICSRDCTITIDKEEAQIIFQTDKLNHFEVSGTT -LSSGWFKSKASVTLDRTCEHVKVTCGKKSLQFHACFKHHMSCVRFFHNTILPGNMITSMCQNIELIIILG -LTLAIFILMVMLTKTYICYLLMPIFMPIAYLYGWAYNKSCKKCNCCGLAYHPFTNCGSHCVCGLKFEASD -RMRIHRESGLCQGYKSLRIARLLCKSKGSSLVISGLLSMLILSFVTPIEGTITSYPESRKYTLEEITDVV -EGLVSEQNIKEYIVFYTSLFGSLILLLAFIMSITLSKITSILAKRNVIYCEECSMYHSKRGIKYNGDFTN -KCGFCTCNEQEDTEGLVIHKVSKRCTYKYQLKWAKVLMITLVLLLIAQNTILIVAAETDCWTKKSLDIDC -IGPMLDIGSCTNKNTRSYDAEAQKLVSQSKISQLDADQASLLGNSIDSAIKAIRAQKTYPTMHLLETIFL -MKNCDYYTSFNHNSGYSQAKWRLIAKTGHFDICSRHSTHHFCRCINDGTKCQNGDWDFAGEMNETYNSKN -DFYSHDLNLFYTIFENAFPGTTESLFYQLIQKKNTTGASKVLGKLITKYGNNNMFVGIWKFGQYLLTLPY -INNTELSQNRRLTILATQELLSRSHSGRQESMSNAIQGSITKECHNAKGVGCISPRFGIPIGNLTACGDS -PNYKIYKTPEKLYKSNNKGEVWCSNDVHCLNDFEPADEEVVNKIKKLTCFLTDVSVEVDIFSVAASTCKM -ADKGVCTVNGAKWNIIKCDSGLYYFTDHREGQDTGNDFGEYCISHSCNTERFPINPDTLKDCTWEFHSRK -SKYISTISLESLEEFRRAMAEKLSHTLTIYNFKPTANLPHIRPTYKFITANGVENSDGIESAYILSSIPA -LGGISVGYNVQTKDNFPLLDIIVFIKSAVIRTTYNHIYDTGPTIGINTQHDEHCTGPCPAVVPHKENWMT -FSQERTSRWGCEEFGCLAINTGCVFGSCQDIIHPETKVYRKAVEEEVVLTVCINFPGNNYCTEINAIEPK -ITDEIELQFKTVDTKTLPNLLAVQNHKLYSGQINDLGSFSQGCGNVQKTNHSILGMGTVKFDYVCHGASR -KDVIVRRCYNNNFDSCKLLKEETSLIFADNHETLEVAHTKHLIGELQFKIMLGDIRYKSFAESPELEIDA -KCVGCPSCFESYSCNFQIVTNIDTVCSVEGPCTLFHNRIIISANKQSYGLKMSCQTKPNQNEEFIICNRK -YSVLFTTIDKNDKIEVNTGDQTSYIYEKDSRCKTWLCRVRDEGISVLFEPLKAFFGSYFSIIFYVVVGII -VLFLIIYIFLPMFFKLKDVLKRNEYLYLQELKHK - ->YP_009666946.1 glycoprotein precursor [Fort Sherman virus] -MLSLLILALLGLSTSNPIATRCFHGGQLIAESKSATSISEFCLKDDVSMLKSEVIYTKNDTGLFGHNKVF -RSWTIKDWKLCNPIPTAGGTINVIEVNKDLSLMTKTYICSRDCTITIDKEEAQIIFQTDKLNHFEVSGTT -LSSGWFKSKASVTLDRTCEHVKVTCGKKSLQFHACFKHHMSCVRFFHNTILPGNMITSMCQNIELIIILG -LTLAIFILMVMITKTYICYLLMPIFMPIAYLYGWAYNKSCKKCNCCGLAYHPFTNCGSHCVCGLKFEASD -RMRIHRESGLCQGYKSLRIARLLCKSKGSSLVISGLLSMLILSFVTPIEGTITNYPDSRKYTLEEITDVV -EGLISEQNIKEYIVFYTSLFGSLILLLAFIMSITLSKITSILARRNVIYCEECSMYHSKRGIKYNGDFTN -KCGFCTCNEQEDAEGVVIHKVSKRCTYKYQLKWAKVLMITLVLLLIAQNTILIVAAETDCWAKKSLDINC -IGPMLNIGSCTNKNTRSYDTEAQKLVSQSKVSQLDADQASLLGSSIDSAIKAIRAQKTYPAMHLLETIFL -MKNCDYYTSFNHNSGYSQAKWRLIAKTGHFDICSRHSTHHFCRCINDGTKCQNGDWDFAGEMNETYNSKN -DFYLHDLNLFYTIFENAFPGTTESLFYQLIQKRNTIGASKVLGKLITKYGDNNMFVGVWKFGQYLLTLPY -INNTELSQNRRQTILAAQELSSRSHPGRQESMSSAIQGSVTKECHNAKDVGCISPRFGIPIGNLTACGDS -PNYKIYKTPEKLYKSNNKGEVWCSNDVHCLNDFEPADEEVVNKVKKLTCFLTDVSVKTDIFSVAASTCKM -ADKGVCTVNDAKWNIIKCDSGLYYFTDHREGQDTGNDFGEYCISHSCNTERFPINPDILKDCTWEFHSRK -SKYISTISLESLEEFRRAMTEKLSHTLTIYNFKPTANLPHIRPTYKFITANGVENSDGIESAYILSSIPA -LGGISVGYNVQTKDNFPLLDIIVFVKSAVIRTTYNHIYDTGPTIGINTQHDEHCTGPCPAVVPHKENWIT -FSQERTSRWGCEEFGCLAINTGCVFGSCQDIIHPETKVYRKAVEEEVVLTVCINFPGNNYCTEINAIEPK -ITDEIELQFKTVDTKTLPNLLAVQNHKLYSGQINDLGSFSQGCGNVQKTNHSILGMGTAKFDYVCHGASR -KDVIVRRCYNNNFDSCKLLKEETSLVFADNHETLEVAHTKHLIGELQFKIMLGDIRYKSFAESPELEIDA -KCVGCPSCFESYSCNFQIVTNIDTVCSVEGPCTLFHNRIIISASKQSYGLKMSCQTKPSQNEEFIICNRK -YSVLFTTIDKNDKIEVNTGDQTSYIYEKDSRCKTWLCKVRDEGISVLFEPLKAFFGSYFSIIFYVVVGII -VLFLVIYIFLPMFFKLKDVLKKNEYLYLQELKHR - ->YP_009666942.1 polyprotein [Enseada virus] -MIFILVISLFAFANSIPLETRCFQDGMLVVDQVMSHGIAEICVKDDVSIIKTISQQQRNTTLFSNRVYRK -MLVQNYEDCNPVEVPNGPIMIFKPDRSLMLIPHTFACRTDCTISLDSEEANIILHSDKLNHYEVMGTTTA -TRWFQGSTTYSLEHTCEHIQVTCGSKSLNFHACFKYHMACIRFMNRSYMPAFIIKSVCQNKELIIMCCLV -LIIFSLLYIMTLTYICYILIPIFYPLTYLYGILYNKSCKKCYYCGLAYHPFSKCGKNCVCGSMFENSERM -KMHRESGLCKGYKSLRAARILCKNKGSSFGLALALSFLLLSFVQPIESMKLKYEQEVIEIDEVTEEFDLL -ISKVQYSKETHLIFIGVNIAILVMILLFVLLKSKIEDKLLNKYIFLCDECQMTHPRKGLRFFFNGIFTNK -CNTCMCGCNYNEFMTNTDDDYTIPMTHTLTAACYTPARYYVFRKVSNCFNSVVIGTLIILILVSISAAAN -NENCIKPSAFRTVTDPIVCSAWMNVPSSCTEPPQITSLFGNNVHEIEVRQIEPIKSDLHKLLEESEKTSS -PLKAYLLEEAAVKLHCQEISGFNTETGKFNSELKKQLAIKQLEVCSTNKADKACKCFIGDAACDTSDALS -DMVTYYKANKQVFKNDLQKIIAALSKVYPGLLAKEFNLAMKHSNLSQVKVLAEKLKSNFGNAKAACSVIN -ILIKSLADAELNAINPKTKLLKDLPEFEPVWKTESIFKTIHQASAIKTCVNFKFYQCRTPLSSRLVIVLT -CNNENNKFYKVPDQGYSLKHASPTTLCVGDPYCELEFIPVQTNEKNDLESMACTQIDNNKIDNSKMMPIT -KCKKVSTQTCVYQNLNKTFMECSNGYFYEYYQETVQSGKDEIGISCFDKGCKQKSFPHHVSNLKGCVPHV -ANMTPRKLKQIVYENIEQLKHSIQETIKTDLIEHKYKLTMNLPHISPSFRTISIQGTETDSGIENSYIET -NVIVRSGSSVGVTLSTKKGEKLFDLVIFVKNAFYESIANPIYTTGPTVGINVEHNEQCTGTCPTNLKKQG -WLSFQKEHTSSWGCEEFGCLAIGAGCVYGHCRDIIRPEMKIFKLSTEEQPRVNVCISMPDSSYCHEINSF -TPIITDQIEVQFLSNEAGRIPKIFAYKSHKVLTGMINDFGSFSKMCGSVQQVEKEVFGAGIPRWDYICHA -ASRKDIVVSKCYDNFYDSCLKLSPEENLVFDDQTNKIIQINRLLGEIRLKIKLGDITYKLFEKNPSFDLK -ASCVGCLDCMKGIDCELTILSSSDTVCPITSTCELHHNNIKIEANTQKYGIKAKCSAETIEIQVCENKIE -VQISIIDRHEVLEVGNSDQTYFVKEKDMRCGTWLCKVSEQGIGSIFSPFMSIFGHYGRIAFYTVLGLIVF -FLAVYILLPVCGRLKDLLKKNEIEYERELRGFKSRIR - ->YP_009666932.1 glycoprotein precursor [Rio Preto da Eva virus] -MEKLILVTILLFANPIFTSVVKNDADYCFQGGRLSLKKANVRLGHRQCIRDDVSMIKQTYIKTGNDSKGP -LYEMHIYRKDVVRGWYECNPKAFEDGPLQLLEVDDDLNMHFSHYACTKICNIKIDKEYARVELTSNGLNY -YEVLGTLNQRNWLLSKVHIDLSHTCENLMITCGSSNLKFHACFKQHMECNRFFKNTWIPALIIDGFCTNL -ELFLFFLFLFICFSLLWLLTKTILCYFLVPIYLPLVYIYSKFYHRFFKQCSLCGLAVHPLQSCGVECICG -MVFESTERLKRHRDSALGCKGYKTGIAARKACRSKISNLGLSLFLAVFLFLFITPTIAVQIKISDGRILE -ASEISNSIQELYTTVEKIQTFDLYLSIVFIFLTLLSCLLLFTKYILERSTLMSSAKCKHCRMFHRFKALC -DKSCICGFIDKGESETFLKNLRPITHTASNKCFTKLFRRRSISLDLITFILILAAATTALTGAIAESCTM -SSKEEKYVCECLHDPSKDIISLAKKCLSISTDINCGDAPKMIDALKKGSITEDAKIHIDELVKLRRSDLY -QMQSHANTTGWFYTLEIISSITGCPTEQQKNADLAEIEINLRVKTPFPCTAEQATAYKKQCECMKGQACD -STNIQPAYQGKQEKFETDLRFLLSKLHRVVPGGFEEVLLHAIEIKDEDVTKHILSKLESGPINSAPTASG -YLKVLLKSYSKDSITITSTNRALDRIVKPGNVSVQTYLHSTEIQKLVGTQAIKICPITSDWYAVGCLGLT -NRDSINLLACKSKAYKIKTGSLFEVSGNLCFMDQTCDIDMPALLEQDMERIKKMVCSKFAKEKAKTTFIN -PKDLSKYCKYNGQGTCNVTNGDENISRSVVQCGNEIIHADINHLYQKPEEDHGVFCFDKACKGTRAFIAP -FRLSKCDLTPEGQLAMRDIYVTGHRTLEDYIGGIKTSLIQGLKLDKYLPTANLPKHVPIYKHLTIQGSET -SEGIASSFIKFSLAAMTGTSAGFHITTPDGKQLFDIVVYIFSSEVSSVYDYAYTTGYTKMFRNFHDEQCT -DSCPKSIPGMPKEALAFFKERTSKWGCEEWGCLAINTGCVFGWCQDVISNDARVYQKSTESEVNIRLCIS -LPTETFCQHIRGAEPSIGETVSAQLSTVQVDHFKTPVLIRDGLAYYGQINNLGSFAPICGSVQKVKKRTF -GAGSPIVDYTCHAAYRKDIIIRKCYENFYKSCLLLDKYDVVFGRKTNDSIVLKRDNLNLGNLEVKILLGD -INFKQFTEDVEIYYKAKCVGCIDCIEGVSCNIELHSDRDMSCPIKSNDCELFYTRLLIESSKNQYDIKLK -CLNRINSIDLTICTNNQKVPLELVLHTDKIEVNNNDSPTYIREEDLRCNTWLCKVYNEGLGGISGILKMI -AGDYYYWAAGFFVSVIVLFLFIYILLPLITKFIKNIKDIKRLDDARDSIVRRRLLEQKKIG - ->YP_009666931.1 glycoprotein precursor [Pacui virus] -MKGFATFVALFSVTVLGSVLKSDSNYCFQGGRLSIKKENVRLGHRQCIRDDVSLIKQTYVKTKNDSNGPL -YEMHIYRKDTVKNWHACNPKAVEEGPLQLLEYDQELNFHFGHYACTKHCDIKIDKEYARIELTSSGLNYY -EVLGTINQRNWLMSKIHIDLSHTCENLIVTCGMDSVKFHACFKQHMECNRFFKNSWVPSIIVNGFCSNIE -FFLFFVFLFVTFSLLWLLAKTILCYFLMPIYFPIVYAYSKIYMKVFKRCKSCGLAIHPLKSCGVECICGM -VFESTEKLKRHRDSAIGCKGYKTGIAARKACRSKISNFGLALFMAIFLFFFLTPAVAVQIPINDGRVLDA -ENIGDSIVNLYEIVEKVTTVQLYFQFAAIIILVLSVFLVTLKYILERRTVMNTRRCRACKMYHMNLGLCT -RQCICGYVEKGEEQMIMLENLKTIVHTPNNRCFLKSFRKQSRAIDFISVIVMLVAITTVSIGAAAEDCSS -LGKEKKYVCECITAQDKDIVDLAQKCLAISVSIDCGDAPNMIDAIKAVTDSDEAKKHIDDLVKLERGQLV -QMQSEANTTGWFYTFEILSAIVDCPTEVQRTAYLAELEINLNVRTIYPCQETQKTAYKKQCECMKGLTCE -DNNIQAAYDDKPDEFQKDLRALTDRAHRLVPGGYQKMVFLAFKLKKEDLLKFILEKLKSGFLSGSKTSVG -YIKVLTKSFKTDLVKTTDAPMSYKKMIRPNNVSVQVHVPMSQVQNMVHTESIRICPSSTDWYIVSCIGVT -EKDNLNLLVCKNKANKIDVGSLYEVSGNLCYKDQTCDVEMAPLTKVDTGRFKRFTCSKLSREKSKLVYIH -NKPMIKVCEFKNQGMCKVRTAGHEVGRSVVQCGKDIIHADIKHMVQKPDEDHGMYCFDQKCESARAFIHE -SRISGCKLAKDLQLQQKDVTVSLHKNLDEYLESIKTNLMQGLKVDKYVPTAGLPKHVPVYKHLTLQGSET -AEGISSSFVKFSMAAITGSSGGFHINTPDGKILFDIVVYILNSEISSLYDFSYITGQTRSLKTFHDEQCT -SSCPKTIPNKPAEAISFFKERTSNWGCEEWGCMAINTGCLFGWCQDVISNDARVYQKSNEGTIKIKLCIS -LPTDTFCHDIDGTEPAIGETISAQLSTVEVEHFKTPVLIRDGSVFYGQINNKGSFAPICGSVQKIKKRTF -GSGNPQVDYTCHAAQRKDVIIRKCFDNWYKSCKLLTPYDVIIGRKTNETITLKKNNINLGNLEVKIMLGD -ITFKQFAENVEIHYKAKCVGCIDCIDGISCNIEIHMDRDVSCPIKSEDCNLFYSQLMIMSGKSDYDIKMK -CQNKIDSIELSICGTSQKVPLEVVQHKDKIEIDNNDSPTYIREEDLRCSTWLCKVYNEGIGGFTGLLKLI -TGEFYYWILGVGIAFLALIFIIYLLMPVIKKLLTNVKELKAIDDRRDEIIRKRLLEQKKKG - ->YP_009666928.1 membrane glycoprotein polyprotein [Buttonwillow virus] -MKIIIVFCCLISISTPHPISKSSVGDRCFAGGSLFKELNQTTGIGEICVKDDISIIKSTVSSYNDEDKTS -HWIKFYRVYIVKDWHDCNPIYDKYGNFMVLEISEAGLMIPKMHTCRAQCDISLDKDNAEVVFSSSKINHY -ELAGTTVTNGWFKQSISVSLEHTCEHLTATCGQKTLRFHACFRQHRSCIRYFKNSYLPSRMVESMCQNLE -LLILTVFSFVAFCFAMIITRTYIAYLMIPLFYPITYIYGKMYSKYFKLCSVCMLAIHPFTNCSTTCICGS -KFSTTEQVRVHRLCGCPGYKSLSRARAMCKSKTWSFISAISAGIFLFSFITPINAEKMYKLEELADDFID -MSQKLDEMEHNAFVNKMVLSIILSIVLALLITERHIFRTLFSSLYRNCSICNLIHYKPGLRFNSIVTNRC -GTCVCGYSEHISEGDGYEIFIKDMHKQRESCKYEPFINHVRNLKIVIILLIVVTQLQLVLGEEKDCLKYP -YIINKSNLSECFGLNLNITNCVKDPDTLYNTLKGENLVSEADKTDFKIINYKSEEAFEKIEMSQDLHKMI -LLEYIYYKGNCDKLNTMKSNAGPYNIPWRSFIKTHHLDACGQYPQKMICRCISYHKYCNYAETDALSQLQ -TYYQTHQSSYNMDLNTVLDALGMAFRGIGKILIENFMAESLINDMESLLNRMSTTLTTNVQLKGIIKYAI -MLLKINNTRKARSSKHETFLITQEPDLRGNQFNGYSVHTEDVKICKEPSKLSCFSKRQRTMQNDFLLCKI -NNSWKIFIWPEKPTILTNDGLCHGDKHCHIPFPRLDEDTTIKQISCFKTAYTPNPSGMEQSAKKCVAQEV -GICSTLDNFEWPMVLCKDGKHYHSDTREHARDGTIDTYCLSEKCKLEKYPVHKSYLLNCKWENTNREALS -VKEFNHVDIESYRKAIESDIRTDLIIHNFRPTKDLPHIIPRYNTMTVQGTVYQDGLQNAFITGSLPAISG -ISAGYHLRAPDGTELFDIIIFLKKAIYKSRYTKIYTTGPTISINVQHDEQCTGQCPRNIPKQDGWLTFSK -EHTSNWGCEEFGCLAVDSGCLYGSCQDVIRPEMDIYKKEGQEQSLLEICITTPHETFCNDLDILEPLIGD -KIEVSFQTTQSNHMPYLIAHKKGKLFTGQINDLGNTAAMCGSVQMINGSLIGQGNPKFDYLCHAMKRKDV -IVRRCYDNHYMTCNLLESRNDLVYNLKENIMTVSLSGNNLGLMNFKIMLGDINYKSFTQENNLDIRGQCA -GCTNCAEEISCELTIISQGEVLCKVQSDCNLYISNILVKPNIEKYSLKLSCYKHVDSISITICNKEIVMP -TILKQHQQKIDLSKLDESNYIKEEDVRCNTWLCKVKDEGIGFILDTLLGKIGKVWAIVIYVLLSLLIIFV -FIYFIYPCCKRLRGVLEQNELEYIAEQKMK - ->YP_009666924.1 membrane glycoprotein polyprotein [Utinga virus] -MFLIFILIQIAASTPLSPQQVGDRCFIGGSLIKEINQSTGLGEVCIKDDISIIKSINIQKKISNDQVQNT -VKFYKTYIAREWHDCNPIFDEHGSFMVFDISNTGSIIPKMHTCRAQCEITLNKDNAEVIFTSAKTNHYEV -SGTTTVNGWFKQTISVSLEHTCEHIIASCGQKSLKFHACFRQHRSCVRFFRNSYLPYKMIESLCINIELI -IILCYALLCFIFAYIITKTYIAYLLIPLFYPITYIYGKIYNKYFKRCKSCLLAVHPLTNCNKTCVCGCRF -NSTEALKVHRLGKDCNGFKALSKARFLCKSTGPSFTICFLTGMLILSFITPINSEKLYKLEELPDDYINL -ENQLSECNNNKQITRALAVACILGNILFVLFFKFIYNKLINNIYRTCNLCNMVHHRKNLKIYSEFTNKCG -TCVCGFNEEIRSGFDYEVFIKDMHIFRDNCLFKFYSKYSIIYICIIILVINLQLGMTLAEETETNCLNLP -ISAQTLDIVKCYGLNLNITENKQKSDLISELKSQKTITDHDIPDFNIIDMKTESAFEKIETAENIHRMAF -LEYILYKMESKLNTIKTNSGPYNVAWRTYIKYHNLDACGKYPHKTICTCINAHLSCSQPTVDSNSEIKTF -YSNHQSAFKNDINVLIETISIAFRGIIKVSINLLLKNNKIDELKELLTNISKKLDTNIQMKGILLFTAEQ -LKTQETTVKSMQQTRMAIIVKPLESMTGDKFDNFSTTNRNITKCKEAKSLSCYMTRKNSINKYLLCNLDG -YKIFSWPEKPTLSINNNICIGDSHCNLYFKPILNDEAIKTINCYKGDFTPNPMDMGSAIKKCEAKEIGDC -LVGDNNMWPIIQCSNEKYYYADGKNHAKEGDINSYCLSEKCNTDRFPIHNEWFKSCKWISTIKESISVKE -FVHLDIESYKKGIESDIRTDLIIHKFRPVKNLPHIAPKYKSITISGILVADGIQNAFVSGEMPAISGLGI -GYNLYSPDGTKLFDIVLFLRKAIYKSSYKLIYKTGPTIGINVEHNEQCTGSCPSTIPKQEGWLTFSKEHT -SQWGCEEFGCLAIDTGCLYGSCQDIIRPEMSIYKMLGEEMTSIEICLTTPKETYCNDLDLLEPLIGDKIE -VSFQTVQSSHMPKIIAVKNNKVYTGQINDIGNTANICGSVQIINKTVIGQGNPKFDYLCHAMKRKDVIVR -KCYDNHFETCKLLEERSEILINQQSDTIAASMSGKNLGIMNFKFALGDIDYKTFTEDASFDIKGQCVGCI -NCIEEIVCELNIVSTSSILCTIKTPCENYHNSLEINPATTKYHIKMSCPHNIDKMKITICSKDLEMPLTL -KQHDQKIDLSKLDESNYIKEEDLRCSTWLCKVKEEGIGFIFSGIFGNFGKYWTIFLIVLVILATGLLGIF -VIYPCCKRLRGFLEINDIAYLAEQKMK - ->YP_009666921.1 membrane glycoprotein polyprotein [Mermet virus] -MIFILQILAVVALAIAHPLTKYQIGERCFAGGAMLKDVNQTTGVGEVCVKDDISMIKSIVIPRREGSGSM -NWIRFYRVYIVKEWHDCNPVTDRKGNFMILDVTEAGTLIPRMYMCRANCDITLDRDNAEIVFTSQKTNHY -EVSGTTVVNGWFKQAISVSLEHTCEHLTATCGQKTLKFHACFRQHRSCVRYFKNSYIPYRMIESMCQNLE -LLIMLVFTFVAFAFSMIITRTYIAYLMIPLFYPPAYIYGKIYNKYFKMCKNCNLAVHPFSNCGNICICGS -RFTCTEQVKVHRLCGGCPGYKSLSKARSMCKSKTWSFISAILAGIFLFSFITPINADERLYKLDELADDF -IELSNEVNRINARKLICKIVSSVILGFIAALLISEKYIFNKLFNRFYRNCSICGMIHYRPGLTFNSSVTN -RCGTCICGYTEQQSTGEDYEIFIKDMHKQRESCKYVSVRNHYRNIKVIIIFLLITAQIVTASSDEKECLK -YPFIIQKSNLTRCFGHFINFTDCNKLGKTGLFTSLKSENLVTDIDKSDFNILDYKSDTAFEKIEMAQDLH -KMILLEYIYFKENCDALNTMKGNSGPFNMAWRSYIKTHHLDACGQYPHKIICRCISSHQYCHNADADAMD -ELKTYYTTHQAAYTMDFETVIETIALAFRGIGKVLIENFVAENLTTEIDVLLNNIESTLTANLQLKGIVK -FAKMMRKISVIRPTRYQRSSVFSITAEPELAGTQFSDYSVHTEDITICKEPHSMMCYSKRQRTSQNNFLL -CKINSQWKVFKWPEKPTINTDSGLCYGDKHCNLKFPRLDADAAIKQISCFKSSFAENPSGMDEQLKKCTA -TAVGDCTTISGHLWPVVHCKNDKYYHSDTKEHAKDGIINSYCLSEKCKIDKLPIHKSWFKSCNWDSTIKE -NLGIKEFVHLDIESYKKAIESDIKTDLVLHHFRLTKNLPHTIPKYKALTVQGTDYQDGIQNAYITGTLPA -ISGLAAGYHLRAPDGQELFDIILFLKKAVYKARYTKIYSTGPTISINVQHNEKCTGTCPREIPKQEGWLT -FSKEHTSNWGCEEFGCLAIDSGCLYGSCQDVIRPEMDVFKKQGAELTLIEICISTPHETMCNDLDILEPL -IGDKIEVSFQTTQSVHMPTLIGVKKGSIYTGQINDLGNTAEICGSVQMINGSLIGQGTPKFDYICHAAKR -KDVVVRRCYNNHYIGCNLLEKRNDILHSIQGSDLSATLSGNNLGLMNFRIALGDINYKAFIKDTNFEIKG -SCAGCIGCSEEIICELNIVTQGEILCPIASQCDLYINSILLKPETEKYAIKMSCKKASESVEITICKKKS -VYGLTLKPHQQKIDLSKLDESNFIKEEDLRCGTWLCKVKDEGLGFIFENILNKIGRIWTIVIYCVIGILF -ILMTIYLIYPCCKRLRGILERNEIEFLAEQKMK - ->YP_009666918.1 membrane glycoprotein polyprotein [Ingwavuma virus] -MEFIFKLIIAVSMANAHPLTKYQVGERCFAGGSMLKDVNQTTGIGEICVKDDISMLKSIVIPRREGDGPM -NWIRFYRVYLVKDWHDCNPIVDKKGNFMILDVTEAGSLVPRMYLCRATCDITLDRDNAEVIFSSSKTNHY -EVSGTTVVNGWFKQTISVSLEHTCEHLTATCGQKTLKFHACFRQHRSCVRYFKNSYIPFRMVESMCQNLE -LIIMLCFTFVAFSFSMLITRTYIAYLMIPIFYPVAYIYGKIYNKYFKLCKNCNLAVHPFSNCGNLCICGS -RFTCTEQVKVHRICGACPGYKSLSKARAMCKSKTWSFISAILAGIFLFSFITPINADEKLYKLDELADDF -IEMSDELKTFKASKLLYKITCSVILGFILGLLVSEQYIFNKLFNHLYRNCSICSMIHYRPGLRFNSVVTN -RCGTCICGYTEQQSTGEDYEVFIKDMHKQRESCKYVSIKNHFRNLKVVLIIMVLLAQAVTVSSDEKSCLK -YPFIIKKVNLTECFGHYLNITECERVGKMGLFNSLKSENLVTDVDKLDFDIIDYKSDSAFEKIEMSQDLH -KMILLEYIYFKENCERLNTMKGNSGPFNMAWRSYIKTHHLDACGQFPHKIICRCISSHQYCHNADADALD -ELKTYYTTHQSAYNMDFETIIETITLAFRGIGKVLMENFIAENLTSEIDILLTNMEQSLVGNMQLKGIIK -FAKMMRKITSTKPNRFQRSSVFAITAEPEIEGDQFTDYSVHTEDINVCKEPQSLACYTKRRRTSQNNFLL -CKIDGQWKIFRWPEKPTLMTSNGMCYGDKHCNLKFQKLDADTAIKQTSCFKSSFAENPSGMDEQLKKCVA -TAIGDCSTISGFSWPIVHCKNDKYYHTDSKEHAKDGNINSYCLSEKCKTDKFPVHKSWFKSCNWDSSTKE -NIGIKEFVHLDIESYKKAIESDIKTDLILHHFRLTKNLPHVIPKYKTMTVQGTDYQDGIQNAYITGTLPA -ISGLAAGYHLYAPDGEQLFDIVIFLKKAVYKARYSKIYSTGPTISINVQHNEKCTGTCPAEIPKQEGWLT -FSKEHTSNWGCEEFGCLAIDSGCLYGSCQDVIRPEIDVYKKQGSEQSLIEICISTPHETMCNDLDILEPL -IGDKIEVSFQTTQSVHMPIIMAMRKGALYTGQINDLGNTAEMCGSVQLINGSLIGQGTPKFDYICHAAKR -KDVIVRRCYNNHYATCNLLEKHDDIIYSASGSDIQASLSGNNLGMMNFRISLGDINYKAFIRDTNIDVKG -TCAGCIGCSEEIVCEITAITQGEILCPISSQCDTYMNSILIKPEVEKYAIKLSCKKAYDSVEITICKKNI -MMSLTVKPHQQKIDLSKLDESNYIKEEDLKCGTWLCKVKDEGIGFIFDNIFNKIGKVWTIIVYCVLGILL -VMLLIYFVYPCCRRLKGVLERNELEFLAEQKAK - ->YP_009666915.1 M polyprotein [Ilesha virus] -MLFPIILLMIQLVSSTPLSTRCFHEGTLVKEKISTTSISEFCLKDDVSMIKSEITYQKNDTGVYGHSKIY -RNWIVKEWKACNPVPSAGGSINVIEVNEDMSLATKNYICTRDCVITVDKEDAQIIFQTDNLNHFEVSGTT -LSSGWFKTKASVTLDRTCEHIKVSCGKKTVQFHACFRNHMSCVRFFHNTILPGYMATSICQNIELIIIIV -LTIAIFIMLVILTKTYICYLLMPLFMPIAYCYGWAYNKSCKKCNCCGLAYHPFTNCGSFCVCGLKFEASD -RMRIHRESGLCQGYKSLRVARRLCKSKGSSFVISILLSLFILSFVTPIEGTMTNYPEERKYTLEEIADVI -EGFYQEAKIKEYIVFYSSIFGSFILISSMLILYKLQNITYFLSKFNVMYCSECSMYHSKKNIKYLGDFTN -KCGFCTCGELEDAEGLSIHKVTKRCTYAYQMTWTKIILATLLILLIGQNTLLVVSAQADCWEKTEITIDC -IGPIFAPNTCIKQEEKTYAKEAQTLVDQNKISQADAEQVDMLGATIDDAIKAIRSQKTYTTMHMLEAIFL -SKYCDYYSLFEHNSGYSQGKWRLIAKTESFDICSRHTTHHFCRCMSDETKCKNGDWDFAGEMNSTYHSKS -NFLLHDLRLFYKIYSAAFPGLSEAMLYKFLSEKDTTNAIKLLEKLFTKYSYNNLLVGILRFGKFLFSMSI -FNTTELGGAQKAMILRPTDELRTTYSGKQESLSNSVVGEQTSDCVNMKKISCISPRFNIPLSSMIACGNQ -PNYQIYKEPSKYYKSNNKPNTWCNKDIHCLNDFESIDQSALDRLKTMTCFLIDPITTEDVYSIAIHSCKV -KDKGQCTIRDKKWNIIKCDSELLYYTDHREGEDTGNEIGHYCLSHKCGTDRYPINPEIIKDCIWEFRSRK -SEYINRVDLESLEEFKRALSDKLTHTLTIYNFKPTANLPHIKPIYKYITALGVENSDGLEAAYILTSIPA -LGGTSIGYNIMTKDNFPVMDVIIYVKSAVIQTTYNHIYDTGPTIGINSKHDEKCTGSCPQNITHDQNWLT -FSQERTSRWGCEEFGCLAINTGCVFGSCQDMIRSDTKVYKKALEETVVLTVCINYPGNTFCTEVNSVETK -MTDDVELQFKTVDTKTLPNLLAVTNHKLYSGQINDLGSFSQMCGNVQKTNSTVMGAGTVKFDYICHGASR -KDIIIRRCYMNNYASCSLLKEEKDLIFADNHETITVSNSKHLVGELQIKLMLGDIRYKLFAERPELEVDA -KCVGCPSCFESYSCNFQIVTNIDTVCTLEGPCTIFHNRIIISSTKQSYGIKMSCPTKPNHIEKFKICNHE -YDILFDTVAKNDKIEIDTGDQTLFVREKDTRCKTWLCRVKDEGISVIFEPLRAFFGSYFSIIFYIILAAI -LIFVGVYIFLPMFMRLRDVLKRNEHLYLQEIKHK - ->YP_009666912.1 M polyprotein [Schmallenberg virus] -MLLNIVLISNLACLAFALPLKEGTRGSRCFLNGELVKTVNTSKVVSECCVKDDISIIKSNAEHYKSGDRL -AAVIKYYRLYQVKDWHSCNPIYDDHGSFMILDIDNTGTLIPKMHTCRVECEIALNKDTGEVILNSYRINH -YRISGTMHVSGWFKNKIEIPLENTCESIEVTCGLKTLNFHACFHTHKSCTRYFKGSILPELMIESFCTNL -ELILLVTFILVGSVMMMILTKTYIVYVFIPIFYPFVKLYAYMYNKYFKLCKNCLLAVHPFTNCPSTCICG -MIYTTTESLKLHRMCNNCSGYKALPKTRKLCKSKISNIVLCVITSLIFFSFITPISSQCIDIEKLPDEYI -TCKRELANIKSLTIDDTYSFIYSCTCIIVLILLKKAAKYILYCNCSFCGMVHERRGLKIMDNFTNKCLSC -VCAENKGLTIHRASEKCLFKFESSYNRTGLIIFMLLLVPTIVMTQETSINCKNIQSTQLTIEHLSKCMAF -YQNKTSSPVVINEIISDASVDEQELIKSLNLNCNVIDRFISESSVIETQVYYEYIKSQLCPLQVHDIFTI -NSASNIQWKALARSFTSGVCNTNPHKHICRCLESMQMCTSTKTDHAREMSIYYDGHPDRFEHDMKIILNI -MRYIVPGLGRVLLDQIKQTKDYQALRHIQGKLSPKSQSNLQLKGFLEFVDFILGANVTIEKTPQTLTTLS -LIKGAHRNLDQKDPGPTPILVCKSPQKVVCYSPRGVTHPGDYISCESKMYKWPSLGVYKHNRDQQQACSS -DTHCLEMFEPAERTITTKICKVSDMTYSESPYSTGIPSCNVKRFGSCNVRGHQWQIAECSNGLFYYVSAK -AHSKTNDITLYCLSANCLDLRYAFRSSSCSDIVWDTSYRNKLTPKSINHPDIENYIAALQSDIANDLTMH -YFKPLKNLPAIIPQYKTMTLNGDKVSNGIRNSYIESHIPAINGLSAGINIAMPNGESLFSIIIYVRRVIN -KASYRFLYETGPTIGINAKHEEVCTGKCPSPIPHQDGWVTFSKERSSNWGCEEWGCLAINDGCLYGSCQD -IIRPEYKIYKKSSIEQKDVEVCITMAHESFCSTVDVLQPLISDRIQLDIQTIQMDSMPNIIAVKNGKVYV -GDINDLGSTAKKCGSVQLYSEGIIGSGTPKFDYVCHAFNRKDVILRRCFDNSYQSCLLLEQDNTLTIAST -SHMEVHKKVSSVGTINYKIMLGDFDYNAYSTQATVTIDEIRCGGCYGCPEGMACALKLSTNTIGSCSIKS -NCDTYIKIIAVDPMQSEYSIKLNCPLATETVSVSVCSASAYTKPSISKNQPKIVLNSLDETSYIEQHDKK -CSTWLCRVYEEGISVIFQPLFGNLSFYWRLTIYIIISLIMLILFLYILIPLCKRLKGLLEYNERIYQMEN -KFK - ->YP_009666905.1 glycoprotein precursor [Batai virus] -MKMLTLIIIVSCWVATLQNPLISRCFHGGQLIAEKKSLTSISEFCIKDDVSMLKSEVIYQKNDTGIYGHS -KVFRHWTIADWKYCNPVPTAGGSINVIELDKNLNLIAKNYVCTRDCTITVDKENAQIVFQTDKLNHFEVT -GTTISTGWFKTKASVALDRTCEHIKVSCGKKTLQFHACFKQHMSCVRFLHRSMLPGSMANSICQNIELII -IITLTLVIFILMLIATRFYICYLLIPVFMPIAYAYGWAYNRSCKKCSCCGLAYHPFTNCGSYCVCGARFE -TSDRMRLHRESGLCQGFKSLRMARRLCKSKGSSLVISILLATLILSFVTPIEGTMTNYPTNEKYSLDEIA -DVLKWKEQESEYKYYAILYASIIGACFTVLFAGTALALNIVLELLTKINVIYCEECSMYHSKSNIKYIGD -FTNKCGFCTCGLLEDPDGVVVHKVKRSCTYQYKLTWVKTIMIVLSILFLLQNIIVIVAADSDCWTNEELK -PECVGPLIAPADCTEKDKKTYLTEAAALVKTKKISQVDADNVEILGKTIESAIKAIEKQKTYHRMHLLEA -VFLNKHCDYYKLFEHNSGYSQVKWRMLAKTQHFDICAFAKESKFCKCMAGGACAEDSWDFDTTMNSTYTS -KGDNFKHDMSLFLKIFEAAFPGTSYVYMLNKIKEKKPYQSVTMIDKIKTKYPNNKMLVAYLEFGKCLMGV -GHASTFELQQSQLERLYQPAELSRSVGQLTSLSNAQVGTITKECSKYKDISCLSPRFGIPMENMVACGDS -PNYKIYKKPIKVYKAHDRVETWCIKDQHCLVDYTPAEADVVKKLKTMKCWLNDPGTETDAYTTAIKSCRI -MDKGVCTVNGQKWSIIKCDSDLLYYTDHIEGEDTGNDIGHYCISVGCKTDRYPINPDIVTDCTWEFKSRK -SSYIGKISMQSLEDYKKALTDKLTHTLETYSFAPTADLPHIKPIYKYITAQGVENSDGIEGAYITATIPA -LGGTSIGYSVQSKDGFPLLDLIIYIKAAVIRSTYIHLYDTGPTISINTKHDEKCTGQCPAEIEHEANWLT -FSQERTSRWGCEEFGCLAINTGCVFGSCQDVIRPETKVYRKSVEEEVVLTVCINYPGNTFCTEINAIEPK -ITEEVELQFKTVDTKTLPTLLAVTNHKLFSGQINDLGTFGQMCGNVQKTNSSILGSGTPKFDYTCHGASR -KDIIVRKCYNNNYDSCKLLKEEKQLVFNDDHETITVVNNRHLVGELAIKLILGDIQYKLFTEKLDLQIDA -KCVGCPDCFESYACNFQIVSNIDTVCAINGPCDIFHNRISIRSTQQNYGVKMSCKRTPDQNEKFVICGRE -YSVFFHTVQKNDKIEINTGDQTSYIKEMDTRCKTWLCRVRDEGLSVIFEPIKAFFGSYFSIAFYIVLFII -IAFLVIYIFMPMFMKLRDILKQNEKLYLQEIKQK - ->YP_009666903.1 polyprotein [Jatobal virus] -MFFQIIEFFLCLVVVVSNPLTKDHTGNRCFAGGHLFKEITQKVGTSEICIKDDISIIKSQVEIIKKQNDI -SYNIKFYRIYLVKEWSDCNPILDVHGSVMILDITNSGLIEPKMYTCRASCDITLDKDNAEVIFISQKSNN -YELAGTTVMHGWFKNVVSVKLEHTCEHLSATCGQKTIKFHACFRQHRGCARFFRNTYMPIAMVEALCSNI -ELIIFVSYIFICLAFSFIITRTYIAYLLIPVFYPITYIYGKLYLKCLKTCPNCLLAIHPFTNCKNICICG -SRFSNTESLKVHRLGNCYGYKALSGARSMCKSKTSSFATAVFFGIIILSFITPLHGEKTYKLSELPDDYI -KLEMMIKNINTKETITDILNYALIFSIIIITVCQDYFFNFLYKGRFRFCSFCEMIHARKGLKIFSNMTNK -CGTCICGFNQEIRSGFDYEIFIKDMHIAKESCRYNYFKSYNVSMKILLILMLIPLIGTTVADDTVCLKYD -LNKGLGDLKNCYGLKLGISNYKSVDSAYNELTEKKLVSDLDKLDLSILKGSKEHIFDAIENSLNIHRMVF -LEYLAYKTNPKLKEITHNTGPYNVAWRAFIHNNNLEICGSYPYKLICYCINQKTHCENTTVDHGSQIQPY -YTKHPNTYKADMEALLKTIQIAFRGIVKVLIQFYVENNKTSDLINLLTELQTKMENNPQMKGILKFLCEF -MKTPLQVPKTMKINVKPDISGANPFNNFSTSKENITKCVKIVKLRCITKSTLIEHNYILCGESEKKIYQW -PTKPTIMENGNACLGDKHCHLQFTPIENDEAIKTVSCYKENFEQNPGPMNTQLKKCEALNVGICVTIKNE -NWPIVQCKTELYYYADGRIHAKDGTINNYCFSEKCNVDRFPIHSDYISTCNWQETAKDYQHVKEFIHLDI -ESYKRAIESDIKTDLVIHKFRPTKNLPHVIPRYSSLILQGTETSDGIQNAFIQGSLPAISGLANGYHLYT -PNSKQLFDVILFLRKASYRAEYRRIYTTGPTIGINVEHNEQCTGSCPKTIEKKQNWLTFSKEHTSQWGCE -EYGCLAMDAGCVYGSCQDIIRPEIDIYKIYGSERSMVELCITLPHETFCNDLDVLEPIIGDKIQAAFQTV -QSTHMPRLIAIKNNKAFTGQINDLGNTASYCGSVQKFNGTILGQGDPKFDYICHLLKRKDIIVRRCYNNN -YESCKFLTPRPDIIIDKKNDILQASIVGMNLGQMNFKIMLGDINYNQYTETENLEITGQCVGCIDCSEDI -ICSLKISSQSESVCKITSECRLYIENILIQPSVNDYNIKLTCRSKSDKLKINICKQEFVLPLTIKSHNQK -IDLSKLDESNYIKEEDLRCETMLCKVKDEGISFITEGIFGGISYFWKTIIISLATIGILVIFYYIGLPLF -KILKDYLKRNELEYLAERKLK - ->YP_009666898.1 polyprotein [Cachoeira Porteira virus] -MLILIFHLLLMNVIGTPVFQRCFQGGQLILEKKSETSMSEFCLKDDVSMVKSIIEYDRSNNSIIGNNKVY -RQWIVSDWKQCHPIKAEGGSINVIEVEKDLTIRSNTYICTTDCTITVDKENAQLIFQTSKLNHFEVTGTT -LSTGWFKTKASVTLDQTCEHIKVSCGKKSIQFHACFRQHMTCIRFLHRSILPGSMANSICQNIELIIILV -LTLLIFIILNIIAKTYICYLMLPIFIPIAYAYGWVYNKSCKKCVNCGLAYHPFSNCGSHCVCGSIFQTSD -RMRMHRESGLCSGFKSLRVARILCKAKTSSLIVAILSSLLVLSFVTPIEGLELDDEKYSLSDLPDEYIKE -LTSLNNKIILNLTISIVNMCITIILLIIAVGSHLIINKIVRCKTFLCHECDMYHTYKNIRYNGDFSNKCG -SCTCGTLEDPNYTTMHKVSDLCLSEIKRLIQKKLMIILIIFVIIENSVCLATAEEKCFKEHKYSIDCIGP -LLTIRPCTKTTIEDVANKLITEKQIAREDAEKIKLLPNSVKQAWDMIDSQSNYDQMFLMEYAFLKRECSY -FEEFEHNSGLNQTMWRTIAKTEHFDICAIRSNQRFCKCMSESVYCKDANWDIADEMNDTYSFKPLFYQHD -VQLYTKILKAAFKGTTSKTYDLLLDSKNKTGIITFVKKLEKRFPYNNLLMGFLKFGQVLFSLNSFDSLPK -SKGTRTTSTSQRSNYENLSNAKVGSITKDCKNLKLLQCISPRYQVSVGNVIKCGDSDIEIYNFQTPVYKK -ATDETVWCTFDKHCFHYWTGIEPSRLELLKKLNCWYTDPTEMIDIYTKSRKSCRMINKGTCVITGSTVNV -MQCDDNQIFYTDHRSSADTQGDIGEYCLAQSCDVDRYPINIDFNATCTWEYNTVKPKYLTKIELKTLEEY -KRALQDKLSHSLELYHFLPTANFPHIKPAYKYITANGVETSDGIEGAFIMIDLPALSGTSVGLSILSKDD -INLMDIIIYIKSATIRSVYNHIYDTGPTININVKHDELCTGPCPAIVPHDPQWLTFSQERTSRWGCEEFG -CLAVGEGCVFGSCQDVIKKETRVYKKTSEEVNEINLCILLQTNTYCQIINALEPQISPHLEVQLEGLDTK -ILPTLLALRNHKLYSGQINDLGSFGLSCGNVQQVNKTIYGAGQPKFDYLCHGAKRKDIIVRKCYNNNYGS -CKLLTEETNLILKDNYNTITVVDNKHMIGTVKAKLMLGDIKYKLFNKKPEFELEAHCVGCINCFSNYNCE -LKIESTTDMTCPISGPCDFFHNNIFIQVEHTKYAMKMICKKKPDQTTEFTICNKKFYFNIDTVDKNEKIE -IDVGDQTSYIAEKDNRCRTWLCKVMDEGISAIFEPLQLLLGNYFHMAVVIIIGLIALAIIIYVLLPMFMK -LKDTLKANEIAYQREMKLK - ->YP_009666896.1 polyprotein [Sororoca virus] -MLRITLCLLIANAIASPVFQRCFQGGQLILERRSATSMSEFCLKDDVSMIKSVIDYDRSNNSIIGNNKVY -RQWIVSDWKLCHPIKAEGGSINVIEVEKDLTIRSNTYICTTDCTITVDKENAQLIFQTSKLNHFEVTGTT -LSTGWFKTKASVTLDQTCEHIKVSCGKKSIQFHACFRQHMTCIRFLHRSILPGSMANSICQNIELIIILT -LTSLIFIILNIIAKTYICYLMLPIFIPIAYAYGWVYNKSCKKCVNCGLAYHPFSNCGSHCVCGSVFQTSD -RMRMHRESGLCSGFKSLRVARILCKAKTSSLVVAVLSSLLILSFVTPIEGADDDIKYSLSDLPDAYTKEL -DMLNKKIDLNLIISITNMSITILLLVLAISSHLITNKIAKCKVFLCHECDMYHTYKGIRYNGDFSNKCGS -CTCGTLEDPNYTTMHKASELCISEIKRLIQKKIMIVLVIFVLIENSVCLATAEEKCFKEHDYSIDCIGPL -LTMRPCSKSTIESVASKLITEKQIAKEDAEKLKLLPDSIKQAWDMIDNQPNYDQMFLMEYAFLKRECSYF -EEFEHNSGINQIVWRTIAKTEHFDICAIRSNQQFCKCMSKSVYCKDANWDIASEMNDTYSFKPLFYQHDI -QLYTKIFKAAFKGTSSRTYDSLMSSRNKTGMISLVKKLEKRFPYNNLLMGFLKFGQVLFNLDSFDSLPKG -KTSRTTITSQRTNYENLSNAKSGTITKECKNLKLLQCISPRYQVFVGHVIKCGDSEIGIYDFQTPVYKKS -TDESMWCTYDKHCFHNGVGIDAKRLELLKKLNCWYTDPTEMVDVYTKPRKSCRMINKGNCVIAGTSLNVM -QCDDNQIFYTDHRSSADTQGDIGEYCLAQNCDVDRFPINTDVNTTCIWEYNTIKPKYVTKTELRTLEEYK -RALQDKLSHSLEVYHFQPTSNFPHIKPSYKYITANGIETTDGIEGAYITVDIPALSGTSVGLTVLSKDDI -SLMDVIIYVKAATIKSIYNHIYDTGPTININVKHDELCTGPCPNAIPHDPQWLTFSQERTSRWGCEEFGC -LAVGEGCVFGSCQDVIKREMRVYKKTIEEVNEITLCIIFQTNTFCQTINALEPMISPFLEVQLEGLDTRI -LPSVLALQNHKLYSGQINDLGSFGLSCGNVQQVNKTIYGAGQPKFDYLCHGAKRKDIIVRKCYNNNYGSC -KLLNEEANLILEDNYNTITVVDNKHMIGTVKSKLVLGDIKYKLFNKKPEFELEAHCVGCVNCFSNFNCEL -KIESTTDITCPIAGPCEFFHNNIFIQVEHTKYAMKMICKKKPDQTTEFTICGKKFFFNIDTVDKHENIEI -DVGDQTSYIVEKDNRCKTWLCRVVEEGFSVIFEPLQLLFGNYFHMAIVIIIGLIALAIIIYILLPMFMKL -KDTLKANEIAYQREMKLK - ->YP_009666892.1 polyprotein [Iaco virus] -MRVIALFHLVMVITANPVFHRCFQGGQLILEKKSHVSMSEFCLKDDVSMVKSVVEYEKTNNSIVGTNKVY -RQWVVSDWKLCHPIKAEGGGINIIEVEKDLTIKSNTYICTTDCTITVDKENAQIIFQTSKLNHFEVTGTT -LSTGWFKTKAAVTLDQTCEHIRVSCGKKSIQFHACFRQHMTCVRFLHRSLLPGSMANSICQNVELIIIIV -LTLLIFIVLNIIAKTYICYLMLPIFMPIAYIYGWIYNRSCKKCVNCGLAYHPFSNCGSHCVCGAIFQTSD -RMRMHRESGLCSGFKSLRVARVLCKSKSSSLVIAILSSLLILSFVTPIEGLDLEEKKYSLSDLPDIYTKE -LNTLSEKIAINFYISITNMLITIILLIVAFCSHCITNKIVKYKAFLCTECDMYHTYKNIRYNGDFCNKCG -SCTCGTMEDPNYTTMHKVSDLCLAEPKRIIQKKLMIILIIFVIIENGACLASAEEKCFKEHDYNIECIGP -LITMRPCTKKTIQEVARTLVTDKQIAQEDTEKIELLPDSIVQAWNMIDNQLNYDQMFLMEYAFLKRECSF -FEEFEHNSGVNQIMWRTIAKTGHFDICAIRSSQRFCKCMSESVYCKDANWDIANEMNDTYSFKPLFYRHD -VKLYSKIFKAAFQGTTLKTYEKFLNAKNKTELINMLKKLGKRFPYNNLLMGFLKFGELLFDLTLFDALPK -DKTSRTSSTTAKREYENLQDAKVGEPTSECKDMKLLQCISPRYQVSVGSVIKCGDTEKKIYDFHTPVYKL -ATDETKWCRFDKHCLHEWVPIDNARLEMLKKLNCWYTDPTEMVDIYTTSRKSCRMINKGTCNIKNAPMTV -MQCDDDLIFYTDHRSSADTQGDIGEYCLSQNCDVSRYPINVMDGMTCTWEYNTVKPKYLSKTELKTLEEY -KRTLQDKLSHNLEVYHFHMTANFPHIKPVYKYITAGGVETSDGIEGAFILVDLPALSGTSVGLTVLSKDD -VPLMDVIIYIKSAVIKATYNHIYDTGPTIGINVKHEELCTGPCPQNIPHQEQWLTFSQERTSRWGCEEFG -CLAVGEGCVYGSCQDIIKKETRVYKKVSEEINEITFCIIFQTNTYCQTMNAIEPQITPSLEVQLEGLDTK -ILPNILALQNHKLYSGQINDLGSFGLACGNVQQVNKTIYGAGQPKFDYLCHGAKRKDIIVRKCYNNNFGS -CKLLTIEDNLIVEDNYNTINVINTKHLIGTVKSKLNLGDIRYKLFNKKPEFELEAHCVGCVGCFANYNCE -IKIESTIDMTCPISGPCEFFHNNIFIQAEHTKYAMKMICKKQPDQTTEFTICDKKYHFIIDTVEKHDQIE -IDVGDQTSYILEKDNRCGTWMCKVLDEGLSVIFEPLKLLLGNYFHMAIVIIIGLIALAVIIYILLPMFMK -LRDTLKANEIAYQKEMKIK - ->YP_009666890.1 polyprotein [Anhembi virus] -MMKLYLLCLLGAALSNPVFQRCFQGGQLILEKKAETSMSEFCLKDDVSMIKSTIDYEKTNNSTIGTNRVY -RQWVVSDWKLCHPIKTEGGSINVIEVDKDLIIRSNTYVCTTDCTITVDKENAQIIFQTSKLNHFEVTGTT -LSTGWFKTKASVTLDQTCEHIKVSCGKKSLQFHACFRQHMTCVRFLHRSILPGSMASSICQNIELIIIFV -LTLLIFIVLNIIAKTYICYLMLPIFMPIAYIYGWIYNKSCKKCINCGLAYHPFSNCGSHCVCGAVFQTSD -RMRLHRESGLCSGFKSLRVARILCKAKTSSLVISVLSSLLILSFVTPIEGIDLVEKKYSLSDLPDIYLNE -LKLMDGKINRNFYISIGNSISTIILLLVAIFSHVITNKFVKYKAFLCPECDMYHTYKNIRYNGDFCNKCG -SCTCGTQEDPNYTTMHKVSDLCLSEIKRLIQKKITIIIIILVLIENAACLASADEKCLKEHEFNIECIGP -LINTKPCAKGSNEDTYKKLQTENNLAKEDIEKIKLLPNGIAQSWNVIDSQENYDQMFLMEYAFLKRECTY -FDEFEHNSGSNQIMWRTIAKTGHFDICAIRSGQRFCKCMSESVYCKDSNWDIANEMNDTYTFKPTFYQHD -IKLYTKIFKSAFQGTTFRTYDKLLSSSNKTELIKLLNKLEKRFPYNNLLMGFLKFGQLLISLNSFSSLPK -GKATRVTAQTQRIFENLQNAKVGKESKECKSLKLLQCISPRFKVSVGSVVKCGESDIDIYGFHTPVYKLS -TDETKWCIHDKHCFYNWPMIDEERLTILKTLNCWYTDPSEMEDIYSKSRKSCKMINKGYCMINNEKLTVM -QCDDNQVFFTDHKSGSDTLGDIGEYCMAQNCETARYPININTGISCFWDYNTVKPKYLNRVELKTLEEYK -RALQDKLSHNLEIYNFQSTANYPHIKPTYKYITATGIDTSEGIESAYITIDLPAISGTSVGLNVLSKDNI -ALMDVIVYIKTATIKSTYNHIYDTGPTISINVKHDELCTGPCPSSIPHPEQWLTFSQERTSRWGCEEFGC -LAVGEGCVFGSCQDVIKKETRVYKKISEELNEIRLCIIFQTNTFCTTMNALEPQITPTLEVQFEGLDTKI -LPALLALQNHKLFSGQINDLGSFGLSCGNVQQVNKTIYGAGQPKFDYLCHGAKRKDIIVRKCYNNNYGSC -KLLTEESNLIVEDNYNTINVINTKHMLGTVKIKMNLGDIRYKIFNKKPDFEIEGHCVGCIGCFSNFDCEL -KIETSIDMTCPIKGPCEFYQNNIFVQSKHTKYVLKMICKKAISQTAEFEICGKVFQMHIDTIDKHDQIEI -DVGDQTSYIVEKDNRCKTWLCKVVDEGIGVIFEPLKLLFGNYFHMAIIIAVSLVILAIIIYILLPMFMKL -RDTLKANEIAYQKEMKIK - ->YP_009666885.1 M polyprotein [Jamestown Canyon virus] -MEVLNLIWLLALCSASPVFQRCFQDGAIVKQNPSKEAVTEVCLKDDVSMIKTEAKYVKNTTGTFANNIAM -RKWLVADWHDCRPQKSSGGHINVIEVGDDLSLHTESYICSADCVITVDKETAQIRLQTDNTNHFEVAGTT -VKSGWFKSTTYITLDQTCEHLKVSCGPKSIQFHACFNQHMSCVRFLHRTILPGSIANSICQNIEIIILVT -LSLVIFIFLSIVSKTYVCYLLMPIFIPIAFIYGWVYNKSCKKCKLCGLVYHPFTECGTHCVCGARYETSD -RMKLHRASGLCPGYKSLRAARVMCKSKGPASILSIMTAILILTFVTPISAMVIGESSEVYTLDDLPNDMV -QMAERVNIYYQIIIINYGVTWAFLLLGLILAYFFKKYQHKFLDFYAMYCEECDMYHEKSGLTHWGDFTNK -CRQCTCGQFEDVAGLMIHKKSYNCLVKYKAKWIRDILIIYLAMILIKDSLLFVGASGTDFAACIDEKVVT -WNCTGPFLNLGRCDKSQKKASYAGIADQLKGLGVISVLDIPMIKNMPDEISGSLKYIDSLETYHEQLTAE -YAFLTRYCDYYTQYTDNSGYSQTVWRTYLRSHDFGACVVYPNQHFCRCVKYGDKCNSAKWDFANEMKTFY -TGQKVKFNKDLNLALLVLHKAFRGTGTAYVAEAMARKDNGSMAHFALEIRKKFPTNALLAALIDYIVYLQ -SLGEIATFELDEDWEDFKYKPEPTESTPQVRSHRNDQYNFKNAESNSDTKVCKNVQKVLCISPRSKAKFE -NIIACGEHANPSVYMMPNVSIYQSNSERSHYCIADSHCLEKYEVVETELLNALKKSKCWAKEMDDIILHK -QSDGLRSCRIKDTGNCNVQENDWTIVLCENGNYYYSEVHKDYDKDQDVGHFCLSPRCTTIRYPISKRHIK -KCEWQISHSTIDKISVQELADIEQYKKAISQKLQTSLSIFKYVRTKNLPHIKPAYKYITVEGVETIEGIE -NAFIESEIPALAGTAIGFKINSREGNYLMDVIGYVKSASYSAVYTKLYTTGPTIGINTKHDEKCTGPCPA -IIDHKDGWLTFSKERTSTWGCEEFGCLAVSDGCVFGSCQDIIKDELAVYRKTTEELTTVELCLTFSDKTY -CTNLNAITPIITDKFEVQFKTVESYTLPRVIAIKNHEIKVGQINDLGVYSKGCGNVQKVNGTNYGNGSPR -FDYLCHLASRKEVILRKCFDNDYQACKFLQSPSSYRLEEHQGTVTVIDYKKILGTIKMKAILGDVKYKNY -QNNIEINAEGTCAGCIDCFENIHCEFTIHTTVEASCPITTECVSFHDRILITPDEHKYALKIVCHDKPKV -SLKFKICNTNVDAAMTIVDSKPILELAPVDQTTYIREKDERCKTWMCRVRDEGFQVILEPFKNLFGSYIG -IFYTGILSIICLLIVVYIILPICFKLRDTLRQHEDAYKKEMKIR - ->YP_009666878.1 M polyprotein [Sango virus] -MNFFLLFIILPLALSVPPKNTKGGKCFYGGEKFKEVNATMNMAEVCLRDDISLIKSIGHHALSNDRSKIE -SSVSYYRLFFVKDWFNCNPIEDTAGTFLVLDVDHAGNIIAKVYACRATCEITTEQDTGSVLIKSEELNHY -SIQGTTIKNGWFKGAIEVSLEATCEHIHVTCGHKTVEFNACFRTHKKCIRYFKKSILPELIIESFCTNME -LIILLIFGIVSVIMSIILTKTYLVYLLIPVFYPFVKAYGVFYERCMRKCKNCGLAVHPFSKCPISCICGM -QYNSTEALKVHRQCKNCTGFKSLTHTRSLCKKKLPNAALAIMVTILFFSFITPISSKCYEEEQLVDEFRS -ALKDLQETTIRNNVYICVLAGIIVLICMRLIIMRYYVKIRYVVCNYCGLIHLKQGLILEQGFTNKCLTCI -CANKNIHKATQDCLSHSHMKSYKYSHILILSLIALSLLSPVYSSPCLQPEDLSGLEEISLCLALYQNQSQ -NIEYSQLMTKLKSHIHEDELNMLLPTTKPTFKEMIKKIETANDYHMSTIYEYVNGFMYPETLKALLKPAG -ETTIEWRTYFKNTGLHACDKNIKKMICRCVLEQKECDNTKVDAAKTLENYYTSKPGELKEDMTILAKGLS -KAFPGLTTILLNQIVSSEKYELFPHITSKMKLASAENRQLTGILNFLEFVADKNMTKKEKQLRSLPSIRH -LGDKFTHKNAGEKNIKTCTDPKTVRCTGKRLRSLIIEFQACNSNGIRLYKQPKYPRVMVGSVLCLADRHC -LQDFIPISTEDSVEKLQCYASQSADESNGMLVAKSDIRLKKIGKCIVNSGRKQIVKSKDGKYYEYKTLQH -KKTALVDEYCLSPECDEQFYPYHLDNLKSCTWRELTHNTVSQTKVVHNDIESFASAIKLSLHNDLTTHHF -KPTDSLPHVVPNYKGISIKGTENENGIQNAFVLFNMPLQSGHSQGYTLVATNGIKIFDIVVYVKQAKIKA -QYVYQYSTGPTIGINVKHEELCTGSCPHDIPKDQNWLTFSKEHTSAWGCESWGCLAIGTGCVYGSCQDII -REEGYVYKKLGSEEIETEICISDPYETLCNKIDVLEPILTDTMQVEITSQQTNLLKEIVFVKDKNIYSGD -INPKGTYAKKCGSVQKVGGLISGIGNPKFDYHCHAMSRKDIILRRCYDNEYISCSTLQSEPDLKIIKDND -KTYILNDGQLLGSAAIRLNLGDIKYKSVSAAEIQISGFGTCGGCIQCFTDIACKLEITSTGIKQCEITSN -CYVYTNNILIQEGVNTLSIKLKCLSKEFKVSICKTNIDLKSLVVEDHSVLDLTSSDQTSYIKEYDKKCST -WLCRVYDEGLGFIFKPFWSEINLWGKYILIIVGLCLLIVIVAKLIAPFVKYVISTLKYNDYIYKIENKMH - ->YP_009666874.1 M polyprotein [Sabo virus] -MFLQLAIILYALGSSGSMPARNTNGAKCFFGGTQFRQINSTGTMSEICSRDDISMVKSIGVHRLSEDNQV -METSLTFYRLFYVKDWYECNPILDHMGTFMVLDISETGLLVPKTYTCRATCDINIDKDAGSVLLTSNSLN -HYSIEGTTIKTGWFKTQAQVALENTCENIHVTCGHKTINLHACFRQHKSCIRYFRGSILPELMIESICTN -MELILLVCFLIISSIVAAILTRTYLVYLLIPIFYIPVKIYGLLYDRACRKCKNCLLAVHPFSNCPTTCIC -GMSYNSTEALRVHRQCMNCTGYKTLTKTRVLCKKRIPNVILASLVTILFFSFITPISAQCYNYSSLPDDF -KNAIDMSHKCNMEHLIILGMLVSSILAFFLVLLITKVYIRYYYIFCSYCGMIHSKRGMILQGNFTSRYLT -CICSNKMLHRSTKNCIISIRYKSAKITNIIFAVVIILAMSVPAMMSCLDGEDVSSIESASLCIGVYQNIS -RAKEYSTLNSELSEKISNHEVTALMPEQQPTFDSLINKASTLKDLHTATLFEYLAVSLYPLQMSKHLDPA -GPNSIQWRAFLQVNSPHICNEHITKMICRCVLKQEECKSTTSDHAQEIENYYKKNKLFYKADMSVLYSTI -GKAFPGLTGNLLNQILENEKYEDSFFVIDKLLLYTEKNNQLTGILKFIKHINAKNITGELKKFEPRSDFR -VTGSKYDTKTSGVPGIRRCETPLIVSCTGKRFRNILKIFISCSSKLYKQTNLPLVYFDRKLCIGDRYCDI -EFEPQIVDSNIQHLKCFASPPTDNSNGMNQETKSIKIAQLGECKVNNFPSKIVKSASGKYYPYTTLVHKQ -EETIDEYCFSHDCQRALYPRHESKLTECNWDSSEHRVVDPRVMVHNDIESFISSIKLSLHNDLVTHHFKP -LQNMPHIKPNFKSITLQGTISSGKVQDSYIVFNVPLITGVSQGYTVTAPDGKPLFDTVVYIRLAQVKASY -AKEYTTGPTIAINIHHNEKCTGNCPEIIPKKGETWLSFSKEHTSAWGCEEWGCLAVGTGCVYGSCQDVIR -PEATVYKKSNQEQPDVELCVTDPTGTLCNKIDALEPIIGEHFQVELTTVQTNFLPDVILEKNKNIYVGSI -NRKGTFSQGCGSVQQFGGDTYGVGNPKFDYVCHAMSRKDIVVRKCYENHYFACKTLELRQDLKFQKTKDN -QHLTNDNIMLGSAKVRMILGDIQFSQMQPSRAQLSGHAICGGCQDCFNDVVCKVTLTAESSYRCKIASNC -ISYIENVQVTEGTGDISLKFKCSTNVITLDLCSIPINVKSEIIKTSQKLDLTSADQSSYIKEFDNKCNTW -LCRAYNEGISFILQPIWGEFTIWGKYLLIVAIILILILIFAKVLKPLAFAIITLLKRNDEIYRLENKIK - ->YP_009666873.1 M polyprotein [Peaton virus] -MNFFFLFFILPLALSVPPKNTKGGKCFYGGEKFKEVNASMNMAEVCLRDDISLIKSISHHTLSSDRSKIE -SSVSYYRLFFVKEWFNCNPIEDVAGTFLVLDVDHVGSIVAKVYACRAVCEITTEQDTGNILIKSEDLNHY -SIQGTTIKNGWFKGTIEISLEATCEHIHITCGHKTIEFNACFRTHKKCIRYFKKSILPELIIESFCTNME -LIILLMFGIISVVMSIILTKTYLVYLLIPIFYPFVKAYGIFYEKCMRKCKNCGLAIHPFSKCPVSCICGM -QYNSTEALKVHRQCKNCTGFKSLTHTRSLCKKKLPNATLAIMVTVLFFSFITPISAKCYEEEQLVDEFRS -ALNNLQQITIRNNIYICVLAGIITLICMRLIIMRYYVKIRYVLCNYCGLIHLKQGLILEQGFTNRCLTCI -CSNKNVHKATQDCLSHSHMKSYRYSHILILTLVAMSLLSPVYSSPCLQPEELNSLEEMSLCLALYQNQTQ -NVEYSQLMSQLKTHIHEDELNVLLPKTKPTFKEMIKKIETANDYHISTIYEYINGFMYPETLRALLKPAG -ETTIEWRTYFKNTGLHACDKNIEKMICRCILEQKECDNTKVDAAKTLENYYTSRPEELKEDMAILTKRLS -KAFPGLTAILLNQIVSSEKYELFPHITFKMKLASADNRQLTGILNFFEFVADRNMTKKEKQLRSLPSIRH -LGDKFTHKSAGEKNIKKCAEPKTVRCTGKRLRSLIIEFQACNSNGIRLYKQPKYPRIMTGPVLCLADRHC -LQDFVPISVDDNVEKLQCYASQSSDESNGMLVAKNDIRLKKIGQCSINSEKKSIVESKDGKFYEYRVLQH -KKTALVDEYCLSSECDEQFYPYHPDNLKSCTWHESTHGTTSQTKVVHNDIESFASAIKLSLHNDLTTHHF -KPTDSLPHVVPNYRGISIRGTENENGIQNAFVLFNIPLQSGHSQGYTLSDTNGAKIFDIVVYVKQAKVKA -QYVYQYSTGPTIGINVKHEELCTGSCPHDIPKDQNWLTFSREHTSAWGCESWGCLAIGTGCVYGSCQDII -KEEGHVYKKLGSEEIETEICISDPYETLCNKIDVLEPVLTDTMQVEITAQQTNLLKEVLFVKDKNIYSGD -INPRGTYAKKCGSVQKVDGLISGIGNPKFDYHCHAMSRKDIILRRCYDNEYVSCSALQLEPDLKITKDNG -KILILNDGNLLGGAAIRLNLGDIKYKSISAAEIQISGIGTCGGCVQCFTDIACKLEITSTGIKQCEVTSN -CYVYTSNILVQEGLNTLNVKLKCLSKEVKISICKTNIDLKSSVVEDHSVLDLTSSDQTSYIKEYDKKCST -WLCRVYDEGLGFIFKPFWSEINLWGKYILIIVGLCLLIIIIAKLIAPLIKYIISTLKYNDYVYKIENKMH - ->YP_009666869.1 polyprotein precursor [Tensaw virus] -MEIFFIALLAIANSVNSTPLATRCFHGGQLIAESKSATSLSEFCLKDDVSMIKSEIYYVKNETGIFSHNK -VLRSWTIKDWKFCNPVPTAGGSINVIEVNSDMSLATKTYVCSRDCTITIDREEAQIIFQTEKLNHFEVSG -TTLSSGWFKSKASVTLDRTCEHLKVTCGKKSLQFHACFKHHMSCIRFFHNTMLPGRIVNSMCQNIELIII -LGLTIVIFILMVILTKTYICYILMPLFMPIAYIYGWAYNKSCKKCNCCGLAYHPFTNCGSHCVCGLKFEA -SDRMRIHRESGLCQGYKSLRIARLLCKSKGSSLVISILLSMLLLSFVTPIEGALTNYPQSKLYSLEEIAD -VVEGLITEHNVKEYIVFYTSLFGGLILLLAFILSIMLTKVTSMLARTNVIYCEECSMYHSKTGIKYNGDF -TNKCGFCTCDEQEDAEGIIIHKVSKKCTYKYQLKWARIIMIILIVLLTTQNTILIVAAEVDCWERATLSQ -ECIGPLQKIEDCQNKEEKTYMSEANKLVSQSKITQADADQVSVLGDTIDSAIKAIRSQKTYQTMHLLETV -FLMKNCDYYSTFEHNSGYSQAKWRLMAKTNHFDICSRHSNHHFCRCVTEGTKCQNGDWDFAGEMNSTYNT -KSDFYQHDLNLFFSLYESAFPGTAESLFYQLISEKNTTGASQLLGQIIKKFANNNMLIGVWKFGQYLLTL -PYVNTTKLSKSREETILTNHQRLVRSYTGRQQSMANAEVGESTKNCLNAKNVGCISPRFGVPIGNLTVCG -ESTNYKVYKTPAKIYKSNNRPKTWCSEDVHCLSNFEPASAEVVNKIKRMTCFLTDVTTNVDVFSIAISTC -KMADKGVCTVNDARWSILKCDSGLLYFTDHREGQDTGNDFGEYCISHNCNTERYPINPDIIKDCIWEYHS -RKSKYISAINLESLEEFRRAMSEKLSHTLVIYNFKPTANLPHIKPSYKSITVNGIENSDGIESAYILSNI -PAIGGMSVGYNVLTKDGFPLLDIIIFIKSAVIKTTYNHIYDTGPTIGINTLHDEKCTGPCPSIIPHKENW -LTFSQERTSRWGCEEFGCLAINTGCVFGSCQDIIRPETKIYRKAVEEEVILNACINFPGNTYCTEINAIE -PKITDEIELQFKTVDTKTLPNLLAVQNHKLYSGQINDIGTFSQGCGNVQKTNQTMLGTGTVRFDYVCHGA -SRKDIIVRRCYNNNYESCKLLKEEKSLIFADNHETLEVANTRHLLGELQFKIMLGDIRYKAFAEKPELEI -DAKCVGCPSCFESYSCNFQIVSNVDTVCYIEGPCTLFHNRIIIKTMKQAYGIKMSCKTKPAPSEEFKICG -RTYNVAFNTVEKNDKIEINTGDQTSYISEKDDRCKTWLCRVRDEGISVIFEPLKNLFGNYFNIAFYIIIG -LIALFLTIYIFLPMFFKLRDVLKRNEYLYLQELKHK - ->YP_009667022.1 polyprotein [Witwatersrand virus] -MGFLNWLLLFFAMHTVRAIPSTRCFAGGQKMHELTSSKVTSEACLKDDVSQVKIHTNYFKNETGIYAIMT -AYRKWTVADWHECRPKKTVSGSINVIEVDKSMMISSVVYTCDKDCTINIDKENAQIILHTEGINNFEVSG -TTVIKAWFKTTATIPLQQTCEHIKIICGKKILQFHSCFKHHMSCIRYLQNSMVPGKISNAICQNLELLIM -TTITTLIFSILILLAKTYLCYLLLPLFIPIAYCYGFFYDRSCKKCKSCGLAYHPFTKCGEHCVCGGKFET -SERMKIHRDSGLCQGYKSLRSARVLCKSKASALTLSVLLSILLLGFLTPVQGVPIKTNFTIDELPEYSQD -QSNQVTLLHKNVIYSLLVDLLLIILVFVSIICINHFVYSIANIFAIYCNTCDMYHSRSNLKYFGDFTNRC -GSCTCGQFEDMQGVLIHKRSSRCLTKYKIMTLKHVILWIIITLVVKDFAMVAQAKTLKECLQIKELEKDC -TGPFFDIKECSTTTMQKSYGDIANWLKTKEVISELDKKAASELSQDVTRSLQKIETTLGLHQKILFEILF -LSRNCDYYKSFDNVYSTHQLQWQTLAKNGDFDYCKTKGTEALCKCISGAACQSIIDKKDDIDTHYKSKDQ -QRKKDIETVMSITRYMFPGTGHSYIANQTVTKQYTKVVEYFTSFAEKHATNLRLKAFSILISGLLKTTTS -LEPPEQLASFVPKLARPTIPGFTDLIDSNFDQSAQNDGRRCKSPSVIVCASPRTGYTSDEMYICRDTKYY -IIDTKGLQLYKLDNSAGTYCVGDKYCNLKYRVLTPEESLALRKDNNCKHKEYTEPKNYLTERLTICRQKA -TGKCGEEPTFRVSLCENGFVYPTAAKASPKDSAFPNDRCFDTTCKYGYYPYNMIQYSKCVWDNIKITSSR -IKIASYENFQEYKDQLLKKITSDLTIGKFNLVENMPYFIPKRKYLTIKGVTTADGIDGSFVEFEIPSLTG -VSAGYTVTTKEGQELFDFIVYIKNSATSATYSYAYSTGPTIGINNKHTEVCTGKCPEKIPHEAGWATFSR -ERTSTWGCEEFGCLAINEGCLYGSCQDVVKPELDIYSKAGADTTKTNVCISLNHKTYCQEIDALNPIITE -NIEAQFKTVESKNLPRLIAIKNHKLYTGQINELGSFGKYCGNLQVTNNQTLGLADVKFDYTCHAAQRKDI -LIRKCLENSYQSCKLLKEESDYMLIEEATHVTISDNKKINGNLAIKAIFGDFNYKSYTKDLDFEAEISCV -GCFSCLHGIVCEANLKTTVETSCEVTSICSLYTNRLILLPSQEKYSLMIRCDRQIAENELDISICGKKIE -SHITITNTNDKLELSTGEQSTYIHEEDLRCTTWLCKFQEEGFNFILGPIYNWLGKFTWPVIAIIIIIFLI -FIGVYVFMPMCMKLRDILKKNEYEHLQEIKTDMNNIPMIVKYKIKPFA - ->YP_009666937.1 polyprotein [Tataguine virus] -MILIIASLLALSNAVPFEHCFQGGRKILSLPSTEISVPRICIRDDISMIKTEVTSLSQDADATKFKVEAF -RKLTVPEWADCRPEKKELGDIMVLEIDSKGLITANMYSCFADCSITIDKENALVEFHTSSFNHYSITGST -TNKGWFKSNAVVSLRHTCENLKIQCGMKHVQIHACFKEHIECKQFLHRTILPGYMAESICHNIEMIILTG -FTIAIFCLLSLIMKTYLCYIMLPLFIPISYLYGYLYNKSCKKCSNCGLAYHPFSNCGSHCVCGSKYESSE -RMKIHRTSGLCPGYKSMRAARVLCKSKACGLIMSVLLSMLILSFVTPIGAECDGMIPIHNLPDMYSNIKA -ENDMYKILFYILLGITGTSIVLVGGLIVLISKFTHIMMRFFVIKCETCKMFHARDRIIIDDGYSSACGSC -TCGCPDDPPMNMYHQTSTICVSPFIIKTLKIISYIALISLLSSCCTVVLGVAANPTEEPIECFNFSDFEN -CTGLGLYNKLCSENKNLNNAKLKEFLKSSYKFNDVELKILDNTPLTYLDISKKIASTSNLHTRMIMESRY -LSKFCKDDKLKEIDSLVGWKATARLNTLKTCKHYGIYKLCKCVFEGTDCNSITGGWIGTYGFTLGSLSND -VIMNDLKQLFLLLDVLLPGNSGPYMLYLLEEGDYLSARNMSTAFMEYYKDENSLKSFFLMITDLLTNLYN -SGHQPTPSSKRQVNPRSEVEAIYPESIKQTYFFREESKKLCLEAKFMKCFSKRTLTPVSEDYLLCRKDHG -RATTPRERTVHPWSDSIVQMSKSNDVLCYKDKLCGLAFPAIDQEKLTNLKKSDVECRSQNVPRIDGPMNL -HIKSCRPTQTGLCNIGGHSFNLNMCPNGLMYIQTARGHHDPAGDIGAICFNPLCGEQFPVHPDSTTDCSF -HTPRTIPLQVNVRDAASLEEYAESLKAKIFNSLAVLQYKPTAFMGNFKPTFKSITVSGTDTSTGVDDAYI -ITDLNALSGSTYGYRVLSKSGDHLLDIILRVKSSNVTSNYVYEYTTGPTINYNSVHSEKCTGRCPELGPK -VVAITSPDWMTFSKEGTSSWGCEELGCLAIGEGCVAGACKDIIRPEAEVYKKVDEEENTATICFSTSKES -FCKTIKSGEVDIADNIELQYKTVESFKLPTRLFVRDNKLYTGQINGLGEYGKYCGNVQMRNGTTIGTGVP -VFDYRCHAMSRKDITIRKCYDNNYSVCSQLEPLSQYDHVYTGLHNIKLDMYNKITGVVTLKIKLGTLNYK -QYTEVSTISGRGKCAGCKNCIEGFSCTATIDSDLETTCPIESNCISHLNRIIINTGRESHNFKLNCDNLG -SSEHIQIKLCQSQLELTVEEVKTKSVLQVAGLGQQAFVVEKDNRCGTWLCKVYNEGFSFLWEPIKAFFGS -YISIFYVSVGIIIFLFLSIYIFIPMLGKLRDALKKNEIEYRKEHKY - ->YP_009666886.1 polyprotein [Leanyer virus] -MILSIILLLSSVNAVPVKERCFHGGILIADYNSTDGKGEMCIKDDVSMIKIKTQSQKDTKPNYNVKAYRL -YTVKDWHDCNPVPDNTGTIQITSVSRNGDINSRIYSCRSACHITIDKEKAEIVLQSDTLNHYEVVGSTIM -TGWFKKTSVLTLEHTCEELTIKCGQENLDLHSCFKVHRPCVRFFSGTYLPIFMIEKMCQNIELIILILYI -IFAYIFAILITRSYIAYIFIPAFYPLVKLYSVLYNRFFKLCPNCLLALHPFSNCTNICICGSRFPSTEAL -KTHRLCKNCRGYKALTKTRYFCKNRLSSFMLAIMTGILLFSFVAPVKGNYSIRDLPEDLEILNTKLEGCS -QGYWFIKILLSCLLATILIILIMSHLINRLIKDRIYRLCAFCGMIHNKKGLTFNSIITNKCGTCICGYTD -HVYDGQDYEVARTLTHKTSKNCLTLYNKKFCKVTKLMLISLAILYFIPIVVAEINNCYKLEETSSSFDFS -LCAGLDLNYTCSEINDPKVYIRNKLSTVEDEEGLMTILDMKPHEAYVKIENEPNIYTKMFLEHVYQAKQC -NLLNGLKQHGGPANSGWRHYIRTHSLLSCGRVPQKFYCQCIQNDLGCDHVINDPLTKVNEFYRSNNEAFK -SDMRTMQQTLGLAFQGMIKSIMDDLFFEATRNELAAILKRYLNKLEDNKPLKTVVMMTVHWLESNFSLSQ -RPEARSSPRASLGNKEETTQLIRGEEDITSCEQAKLLSCQVGKRLKLLEKYILCTASKHIYAAPEGYTYK -NSQQRVCMDDVHCHIQFGLISQETLIKIKEANCYISDYPINNGDLINPMKFCSLERYGKCTTPAGIWPIA -ECANGNYYYSENPEHFGDGNITNFCLTPKCKEDRFKISPKWLLECNWNGERRQYNQLHVKKIYDFASYKE -ALKENIKTGLSEAKFYIANQIPKIIPTFKIFTIKGLDYQNGIQNAFVSSTIPVVAGISQGLTLTLPNGDI -LQDIILYIPKVTKTAHYTKLYDTGPTISIDMVHSEVCTGNCPNPIPKKRETWIPFSRSKTSRWGCEELGC -LAVGTGCVFGSCTDIIKIDSTVYRKSGEEVVMVTICITDSLSSYCHNMDVLEPVETDKFSFTFNSQTVSN -LPDLIYLKNRKAYKGQINNIGSFGDYCGNIQIINGTTYGIGNPRFDYICHAFKRKDIVISKCMDNNYQSC -QFLQEVKNSKIELLDTEGTEINVHRHDMNLGTLNYKIELGDIDYKLFAKSNQLSVKSECAGCSKCTEAVI -CTLNVDSEGETVCKLASNCNSYTTQMKIPEGSSKHTIKLDCPREENIKLSICDTTVETVPVWKISKDKID -ISSILEPTYIKEEDNRCGTWICRVKLEGFSFLSDFGYSIFGKYWHWFMISLMIVIVTIVSIYIFVPLCKR -LKGCLEQNEKIYQFEMKQK - ->YP_009664558.1 polyprotein [Umbre virus] -MMVFALLLISLAGLSSQATIRCFSGGSKIMERTSNVSLSELCLKDDVSQLKIIVDHTKNDSGLFSTASVW -RKWIVSDWTTCNPKKMALGTISVIDIDKDFILKSSSYICNKECTITVDKERAQVLLQSDGLNHFEVSGTT -LSSGWFKLTATVTLDQTCEHVKVSCGQKSMQFHACFKNHMSCIRFLHRSIMPASFAVSICQNVELILMTT -FTLIIFLLLSILTKTYICYILLPIFIPFAYAYGFIYDKTCKKCSLCGLAYHPFTKCGIHCVCGCRFENSD -AMKTHREKGKCPGFKSMRTARVLCKAKGSAFTLSVLLATLALGFLTPVQGLILDETQASCTEDQLPESFQ -VMQSTISVFKFATIMNAGWTYCMFLITLALYVIYKKYAHKLLNYYVIHCKECDMLHDKSGLKYNGDFTNK -CGFCTCGQVEDAMGLTVHQIRNHCSANYRTRMVLNWMAVLLLATIIKDSIMLAAAAGTEFETCVKKVEMT -PECTGPFMEVGICDHQQKSLKYHVVANMLLSKGTISKLDVDIIDALPDKIAGDLQMIQSSSDFHHQQMLE -YVFLLRHCDYYSSFVSDSSYSQILWQTAAKLNETNLCKHESSKKSCKCLKSNANCGESEDINTAAQTYYK -NKESELGQDLTTIMYILKKMIPGSGYSYLLNATKHNKLELFKAYMTNISSKYPNNKKLKDFMAITNAILA -NLSALSHSSEESIPEYKQIDFSSSVGETTDMLEANFNQTISTDKITCENPKVMICISPRTKISSGELYVC -QRSGKYYIIDTGRFKLYKLIGAGNLYCAADAQCRYEFRILTTGEMQATFKDNCKASVHTGTPGYQNDAVT -HCKVLASGKCKYNNQTLIDITLCANNRYYPEAKGRIFGVDPEDLCFDSACTKQQTPYNEELISACVWENP -TLRPIRPRTEVSTTFQEYKDQLLKKINTDLVLHKFVRTQNLPYFIPQFKYITIQGTATTDGITSSFIFFE -IPALTGASVGYKVVNNEGQELIDMVVYIKTSKTSAKYKRVYYTGPTIAINTKHQEHCTGTCPERIEHDDG -WATFSKERTSNWGCEEFGCLAVETGCLFGSCQDVIRRELDVFSKLEEDKILTELCITLSHDTYCVTIDST -TPTINDYFEIQYKTVDVQTLPKMIGVTHHKLLRGQINELGSFGKFCGNIQVVGNQTYGQAAVKFDYICHA -AQRKDVVIRKCLENNFQSCKLLTHDTDLILEESETSVEVINNKRITGQIALKVLLGDFNYKQYTANVEAD -IEANCVGCTNCIKGITCEIKVKTEIEASCKVEPPCPSYTNRIIIKPGRDTHTLLMKCDKKITSGELTIKI -CNMQIQAHLSTIESNDQLELSTGDQSTYIHEEDLRCSTWICKVRDEGTSFIFKPLTDWLGSFTKPILIAG -CIIIVTIILIYILMPMCARLRDLLKKNEYEYLQDTKVIVKPKTAANSIGLRSKKINIQSSKAVD - ->YP_009512926.1 polyprotein [Wyeomyia orthobunyavirus] -MEAFYFLMFIMVSNATPVFQRCFTGGQLIVEKKSQTSVSEFCLKDDVSILKSVIDYEKENNTIVGHNKIY -RQWVVADWKLCHPIKTEGGSISVIEVDKDLTIKSNAYVCTTDCTITIDKENAQVIFQTARLNHFEVTGTT -MSTGWFKTKASVSLDQTCEHIKVSCGKKSLQFHACFRQHMACVRFLHRSLLPGSMANSICQNIELIIILV -LTLCIFIILNIIAKTYICYLMLPLYIPFAYFYGWLYNKSCKICTNCGLAYHPFTNCGSICVCGTVFQTSD -RMRMHREGGMCKGYKSLIMARILCKAKTSSLCISIITSLLILSFVTPIEGSEAKLYSLSDLPDAYTKEIQ -RLENKIHNIFIISIINISITFVLFLVAVFSHLVVNKIVNRKVFLCKECDMYHSLTKIRYNGDFCNKCGTC -TCGTQEDPNYTTMHKVTEQCLSEFKRNTQKKMMVLFIFLVLIENSICIASAEEKCFKEKSFTTDCIGPLI -TIKPCSKTTMVEVGKKLVTEKQIANEDIEKLKLIPNGPMQAWEMIDNQETYGQTFLMEYAFLKRECSYFE -EFEHNSGVNQILWKTIAKTEHFDICAIRPNQRFCKCMLESVYCKDTNWDFANEINDTYTGKPTFYRHDIV -LFTKIFKAAFHGTTARIYDILMGKQNKTELTKLFKSLQKRFPYNNLMVGFLKFGELLIGLPLLGTIPKAT -LGRTTTQRADRTYIQLGNAKIGEPNPNCKDLKIIHCISPRFNVPVGSVVKCGESDIKIYDFHTPVYKSTS -DERTWCKYDKHCFHNWMPIDNERLTSLKSLNCWYSDPSENQDIYSVDKKSCRMSNKGVCTIAANQYPVLQ -CDDNVVYYTDHKSSADTQGDIGEYCFSQNCAHTRYPINTDTGILCTWEYNTVKPQYLQKISLKTLEEYKR -ALQDKLSHSLELYHFQPTANFPHIKPTYKYITAGGVESTDGIEGAYILTELPAVSGSTVGLNVIAKDETN -LMDLIIFIKSATIKATYNHIYDTGPTININVKHDELCTGSCPSTIPHAEQWLTFSQERTSKWGCEEFGCL -AIGEGCVYGSCQDVIKKEMRVYRKATDEINEVVVCIIFTNKDYCTKLNALEPQITSMIDLQLEGLDTKTL -PNILSLKNHKLYSGQINDLGSFSQMCGNVQQVNKTIYGAGQVKFDYLCHGAKRKDIIVRKCYNNNYGSCN -LLNEEKALIVEDNYNTINILHTRHNLGTLKIKVTLGDIRYKLFTSKLELEVDAKCVGCIGCFSNFDCEFK -IESTIDTTCPVVGPCPFFHNNIFISSTSNRYSMKMICKDKPDQNSELTICGKKYPLLIDTVKKDEKIEIN -VGDQTSYIQEKDNQCKTWLCKVWDEGIGVIFEPLKRLLGSYFNIAIIVGCVLVALAIAIYILLPMLMKLR -DILKENEEAYKREMKLK - ->YP_009512922.1 polyprotein, partial [Tete orthobunyavirus] -MIYILLLLAISGVQPAPNPGLNKCFTGGFLVSKRTMNHGISDFCVRDDISMIKGVSVQVKNESNFNNAIY -RMWNVKNWHKCNPIEMAGGTFTVYDIDSTMSLVPKSFSCRSDCRISIDKEEGSIILSSESLNHYSVTGSS -SQTGWFKTKTAVQLSNTCEHIVVSCGTNQKPIHACFKTHMSCVRFLHKTMLPGQMAMSLCANIEIILLTL -FSTITYLLLWMISGTYIIYILLPIFWPITYIFGLLYTKACKTCSTCGKPYHPFTKCGVECICGAEFGSTD -RLKMHRQGKLCHGFKSGTTARALCRNKGSNLVLSILLAILFFSFLTPVHGLEDKERYSLLELGEVLPNIG -YCPCPLQSSDWASWIAILVNLLASMLILLTPWIINKMYYHRIYNCRECEMYHYRKEVRIFGDFTNRCDTC -ICGFEPQVYEEIDYVCPVKDIHKKSENCLYQFDRKTVKFILLTLLLLNVISTGFVVAEPNPDCLKKPIDS -NLSDFYKCYGKALVFNECGTHGTPNKQEIYNDFVEKGYAHSTDRKIFDLLDDDFNSAMTKIELNNNKHYQ -VLGESIVYQRYCDYHKTLNQVAGYSSVGFKTSLQFHHLNLCAYYPYKSICYCIEKIGSCRYTNDDFLETT -ENFYRSDNTSWSEDMNLVLQAISYAYRGLGTSIMASLYNGWDVENLKEFLKNMTDSTPRNVFLTGLIKFA -KFVVGLNITKPTVSNYWAHLENTQRVQRSTQSLAAITINTGSTPIKECQNAKLVKCKSKIGDAVVVELVK -CGSANNYYQVPAEGVTFSKDDKWCRGDTHCLKDFDPVTDQSQVKDLQCSDNSYLTHATIWHKDEKTCHLL -NKGVCNVLGHSWEIAHCNNEHYYLTEASIKHSGSNNITEYCITANCKSSRRPIHPAYITECKWENHLQNV -MHSASILYADIDSFRHSLESTIEGDLNSHLFKPLSNMPKIKPKYLSFTIQGVETEEGIRDSFISGEMAAI -AGMANGFKVYTKDGQELFDIILYLKSAEYHAEYREIYKTGPTTTINVRHSEKCTGSCSQDLPVAKGWMGF -SKEHTSSWGCEEFGCLAIGTGCLYGSCQDVIKPESTVYKKIGEDTTALDLCITLPHTTYCSKLDMLEPTI -TEKFELVFENTQVSILPERILVRNNKVYTGQINDLGSFAKYCGNVQQVNKTVIGQGVPKFDYICHAMQRK -DVVVRKCFDNNYNNCLQLQNDPNLLMINNGSKLSLHIFGKKLGTMRYKVKLGDLNYKLYKQDVDVDGTGK -CAGNSMSAMGISCQLDIEVSFETVCRLTSSCDSFHSTILLLPTEKKYSIKLMCQPKTEKIELQVCGHNIP -IESNLIYKNEILDLAPVDQTHYVNEEDLKCATWICKVKEEGLSFIFKPFLDMLGKYTWIAIAVVVVILVL -ILSYYILIPVCGKIRDRLKEQQELDYSRIKNK - ->YP_009362080.1 glycoprotein precursor [Guaroa virus] -MDISKHMQMLVVLYLISLSGTTPVVFNKCFTGGSLVFSKKSDTGMSEICLKDDISMIKTSIDFKKENNTI -VATNKVYKQWIVQDWKDCHPMKMVGGTINIIEVTADLMFKSVSYTCTTDCTIGIDRENALIIFQTNKLNH -FEVSGTTIKTGWFKNKASVSLDQTCEHIKITCGRKSMQLHACFKDHMSCIRFLHRTILPGYMATSICQNI -EVILLTVFTLIIFGVLCILIKTYICYLFLPLYMIPAYIYGCVYNKSCKICINCGLAYHPFTNCGSHCVCG -AKFETSDRMRIHRQSGFCPGYKSLRAARSMCKSKTCSLIFSIGGAILILSFVTPIAGEKLYTLDELPEAY -TTEINSIKIMQNYLELKLWITITALFIIALIMMISNFIVVNICKLFYFHCYECNMYHTKRNIRYNGDFCN -KCGFCMCGVLEDVEGLKIHKTTESCKIKMHYRSTNFALILFGLLLILISVSASLASCDAEEPNINCIGYK -INLTCNKPLTNEEAVNYLVENNIIEDVEREKALELTTDLANMKKQLNFQTNFKTCLVLEHVFLLNQCGYY -KDLESNNEIKQIPWRTIAKTEAFDICSIRKHQHFCRCMATGEKCNSANWDFAKEMNDTYNFKPTFYRRDV -NLFLRIFSEAFPGSTYRYLYSILKNKDIEKIKELLTDIEKRFPYNQLLVGFIKFGHILLIDNLAYSLPPD -VSRMGAESRSKVETSGYQVGQPILSCNNPLIIRCMSPKLDVVIPALLKCHRNNKDSLYYIDHKVYTSFQH -PQSWCFADKHCLIHFRPVPGSELNAVKKRNCFSSQVTEDQDQYNTKQAFCRAIKFGICTNILNQQSRSIE -CDNNLIYNTDSKLTNELTGDVNEICLNTGCKDGRYPLNPINSVNCTWEENVLKSKHAQLIAVENIEELKR -LTQDRLTHTLDIYRFKKTINFPKIIPSKKYISLQGIDSSDGIDSSYIEVDITARTNEALGIQVRAPDNQP -IMDLIIHITLASTKADYLRIYETGPTVGINVNHDEHCTGSCPEQIPHKQNWLTFSKERTSQWGCEEFGCL -AINEGCLFGSCQDIIKSEARVFKKTTVEKHIVRLCIIHANEDKCTDLDPEEPLITESLELQLDSITTKNL -PELILIKDHKVYTGQINDLGAVSSGCGDVQVVNNTIFGGGTVKFDYLCYGAHRKDVVVRKCYNNHYESCK -LLAEQKELTFLDESSAVSLEHNNYIIGSLKLKIVLGDIRYKLFRKDIQLEVEGECIGCKNCLSGIDCNFK -VTSPSETSCKIESPCSMFIENLIIKAGHTDTNFKIHCKTIDSKVIEFKICNNIYKVHITETKGNDKIELN -TGDQTSYVQQHDNRCGTWLCRLKEEGIGLLLEPLKAIFGTYITWFWVILGIIVFLFIGVYIFLPMIFKVR -DILKKNEEEYKKDIRYRE - ->YP_009508270.1 polyprotein [Main Drain virus] -MKSQIFFCILNTVLIISTYGHPTSRCFNDGILIKHRKSSKAISEFCLKDDVSMIKSSVAYSKNDSGIFAE -SKILRKWIIKDWKLCNPLPTAGGQINVIEVNDDLTLSTKTYICTTDCSIGIDKENAQITFQTNKLNYFEV -SGTTISSGWFKSKTSVSLDQTCEHLKVSCGRKSLQFHACFKQHMSCIRYLHNTALPGYMASSICTNIELI -IMTTLTMAIFILLCILTKTYICYLLMPLFMPIAYIYGWLYNKSCKKCNCCGLAFHPFTNCGSYCVCGAKF -ETSDRMRIHRESGLCQGYKSLRVARKLCKSKGSSLIISIILSVFIFSFITPIEGTLLNKELTVKKYTINE -MMDAVLGFEKLSQTISNTQEILALVLLVIALALLLLTYKLSKIIQYLGKIGIMFCEECNMYHSTKGIKFN -GDFTNKCGFCTCGQPEDVEGVRLHIISQNCTYKRQFKWVKILICLLLSLIILENSAILAAADVDCFTEKE -LSEFCLGPFLSIPGCTNREARTYKEEAQKLVSQKKITIDEAMLIEEMGEKVEEALATIESQKTPESMYIM -EYIFLHKYCDYYSLFEHNSGYSQIKWRYIVKTHQFGACARYPNNHFCRCMSDGMHCPSASWDVAGELNNT -YTANSKYFTHDYNLLLEIIKAAFPGTGSAFVINACKKSNATAVQLFSNKIISKFPSNNLLIGVMKFLKYI -STLTLFNKHRPDEKFASLTASQVPELRSQRIKNDYSNAKIGEMTKTCTNLKEVACLSPKFNLPFEGIISC -GTSPNYQMYKRPEKYYKSNNRDNIWCNKDVHCLNDFEPLTTEVLEKLKIMTCWETQPATKDDIFNVPAET -CKISDKGTCEVNSYKWKIVRCETGLYYYTDHREGEDTGSDLGHYCITHKCSGGRHPINPDALHNCVWEFH -SQKSQYINNIDLEQIEEYKKTLTEKLTHTLNHYNFIHTKNMPHIKPIYKYITLNGQETSDGIENSYISSE -IPAIAGTSIGLKIQTKNGIDIFDLIIYIKNADIISSYNHIYDTGPTIGHNVEHEEMCTGRCPEQIPSKPN -WLTFSQERTSRWGCEEFGCLAINTGCVYGSCQDIIKPISKVYRKSTEEAVDLEMCVVLPDKNFCTHLNAL -EPKITEEIELQFKTIDQVNLPNIVLLRDHKLYIGQINDLGTFGQNCGNVQKTNQSILGAGTVKFDFLCHG -ASRKDIIIRRCYNNNYDSCNHLQPADSLVFLDNHETLEIRKPNHILGVLSMKLMLGDFQYKTFTKNIDLD -FNGKCVGCVGCFEGFVCEFHIVTTVEATCNLEASCQLFHTQLHIKPDISKYYTKMTCQTEESVPSGIKLC -GREYKLTVGKVIKDDKIEIDNSDQTSYIKEKDDRCKTWLCRVKDEGISVIFEPLMNIFGSYGRIVVAVAS -LILAVIVLIYILMPMCMRLRDILRNNEKEFLRESKYK - ->YP_009507886.1 polyprotein [Koongol virus] -MILFVIALLISASEQNTIRCFSGGYKIFGAWSNKSLSDICLKDDVSQFKIMVYHQKNSSGIFAYNEAWRK -WTTQDWYDCNPKKTELGPISVLNIDAEMSLTSTSFACTRDCMISLDKDNAEVVLTSSGLNHFEIAGTTIN -SGWFKTSATIKLDQTCEHVKVTCGPKSLQLHACFKNHMACIRFLHKTILPATMATSICQNIELILMTTFA -LIIFCILILLMKTYICYLLMPIFIPVAYIYGFIYDRACKKCQLCGLVYHPFTKCGSHCVCGMRFEGSESM -KKHRDQGNCPGYKFTSTARCLCKSKGSAFSLSIILAFLALGFITPIQGLVLLEDKQSIPLANLPEKYQAM -QNQINIEDVKARTGGTTDMFFANFNQTLTHPQLGCVTPKEMWCISPRTRVTSQRMLVCTYGGKLQLVESS -YDFYKAGGSQTNTYCAADTHCIMQYRLSTQDKLIQVFKDRCYSKPYIQPTNYLTTGIAKCKVMARGACKR -FKDITQKTILCQDGNYYPDKDGVMPLGPFDPEEVCLSKPCGAVVYPFLKESITNCTWDNPRLVPTRVKEI -NHQDFEHYKQSLMQKLQNDMTINNFHLTKNLPHFIPQYKYLTIQGVETTDGIDNAYIKLEIPALTGTATG -ISVSTPNGQHLFDLIVYIKISKIQSTYNFQYYTGKTIGINTIHTEKCTGTCPKDIEHKSGWATFSKERSS -NWGCEEFGCLAIETGCVYGSCQDIIKREYEIYSKSEDDKTITEVCISMTDKTFCGTVDATTPIITPDLEL -QYKTVETTTLPKNIVMSNHKLFKGQINDRGSFGKYCGNYQVYKNQTLGQADVKFDYICHAASRKDIVIRK -CFNNDAQSCAVLTEDKDLIFEELDNTVTVHNNKKITGTIAVKAILGDFSYKLFAQELTADFEASCIGCYN -CIAGITCNIKIKSEIGAICNLDSPCSTFTNRILIQPGETTHAIKLKCSKPFETNDLTFKLCKSSITAHLT -QTKAHDNLQLSTGEQSTYIHEEDLRCQTWICKVQEEGLSFILKPLVDWLGSFTWPIATLLIVIVCLFIAV -YIFMPMCMKLKDLLKKNEYEHMQEIKAIYSKSQNGDPKVKILDDRIKILANKPLA - ->YP_009507882.1 polyprotein [Kairi virus] -MDVLYVIYLIIATIIQANSTPISRCFGDGVLMKEKISQKSIGEFCLRDDVSMIKSTITYTKNETGIFGHS -QIFRKWIIKDWKLCNPVPVAGGQINVIEINNDLTLSSKTYICSTDCSIGIDKENAQITFQTTKLNYFEVS -GTTMSSGWFKSKTSVSLDQTCEHLKVSCGKKSLQFHACFKHHMACVRFLHQTAIPGYMATSICQNIELIL -MSTLILAIFILLCILTKTYICYLLMPIFMPIAYLYGWLYNRSCKKCPCCGLAYHPFTNCGSYCVCGAKFE -TSDRMRIHRESGLCQGYKSLRVARKLCKSKGSSLVISVLLSLFIFSFFSPVGGTIIQASLNEEKYSINQI -ADAVLDITVMSNSILNMQKILSVFLLSISGLFLISLYFFNNIIKKLSKIGLIFCEECSMYHSKKGIKYNG -DFTNKCGFCTCGQPEDEEGVNVHIISQNCTYNQQLKWVKRIISILIFLIVIENSLILASAEIDCFKELKL -SEHCLGPLLTIESCEDKDTRTYRSEAKKLIGTKKITSDELDIVDSMGQTVESALSKIIEQKRPDAMYTLE -YIFLNKYCDYYKVFEHNSGYSQIKWCYLLKTHQFDACARHSNNHFCRCVSDGMHCPSSDWDIAEELNNIY -TTKPEFYKHDYNLILDILSAAFPGTGSAFLINACKSMNATAIKVFSDKITAKFPYNNLLKGLMKFMIYLS -KIPSFKKYQPEPRHYNLIPVPPTSSSRSLGRSSNYQSATPGEVTKECQSLKEVGCLSPKFNVPLEDVISC -GDAPSYKLYKKPNKYYKSNNKDNKWCNKDVHCINDFEPVTQEVVDKIKIMTCWEIDPNTATDIFSIAAET -CKVEDKGTCDVNSEKWKIIKCDSGLFYYTDHREGEDTGNDLGHYCLSHKCDGNRFPINPDILQNCIWEFH -SRKSQYIHHIDLEQIEEYKKSLTEKLTHTLNHYNFKPTKNLPHIVPSYKYVTLSGQETSDGIENSYITSE -IPAIAGTAIGMKIQTKDGNNIFDLVAYIKKADIVSSYNHIYDTGPTIGYNIDHEELCTGHCPEHIPAKPN -WLTFSQERTSRWGCEEFGCLAINTGCVYGSCQDIINPVSKVYRKSTEENVELEICIVLPDRSFCTQINAL -EPKITEEIELQFKTIDQSSLPNLVLLKDHKLFRGQINDLGTFGQNCGNVQKTNQSILGAGTVKFDYLCHS -ASRKDIIIRRCYNNNYDSCNHLQLAESLIFLDNHETIEVRKPNHILGTLSLKLMLGDFQYKTFSKTLDLD -FNGKCVGCIGCFEGFVCEFMISSTVEASCTIQTSCQMFHDQIHIRPDNTKYYTKMTCQTEGDIPSKVVIC -NKEYRLTVGKVSKNDKIEIDNSDQTSFVKEKDTRCKTWLCRVRDEGLSVIFEPLLNIFGNYGRIVVAVIS -AVLAIFVLIYILLPMCFKLRDILKKNEKEYLIESKYK - ->YP_009507878.1 polyprotein [Guama virus] -MKVVFCALLCLQVFCLRPLALPLDSRCFTDGVVSMNKNMDHGIAEICIKDDISIIKTTSTQIRNTSKHAN -VVYRKMLIQNYEQCNPEEVANGPIMIFKPNEDMMLIPHTFACRVPCTISLDEEEANIILHSEKLNHYEVM -GTTTANRWFQGTTSYSLEHTCEHIQVTCGPTSLSFHACFKYHMACIRFLNRNYMPTFMVQSVCQNKELII -MGCLVLIIFGILYIMTMTYICYILIPIFYPITYLYGIIYNKSCKKCQYCGLAYHPFSKCGKNCVCGCMFE -NSDRMKKHRESGMCHGYKSLRAARILCKNRGSSFVLAVILSFLLLSFVQPIEGIKLQYNGEIIELNAVSE -EFTKIQIQLESAQMMPIVISSLISSLMIALVLLMVFRKKIDSMLFRRTVYSCPECNMNHPKKGLVFFGDF -TNRCNSCMCGCDYNQEMNNTENDYMIPMDHTKSINCYIPGRFYVARRVEATTLRVCLVLLTIMLCVSVAY -ADDQCSKLSGSPSVTNPVECSVWYRLPTSCSGTTNLKEFFRSAKASEIDIAAAEKMSIGLDNLLMESEQS -QYPRKSYVLESGALVLFCNELADAKKKTGKLNIAIGKLIEKKPLGICASGKANELCKCFKGETGCDSSNA -MTNAITYYKTHQQIYKDDLTKITNALMKTYPGLLSKELGLSLKSDNFSKVKDLAGKMKGKFGSAEAAAAC -ITFLDKTLGDAALEKLNPHIQLARTAVPFAKIETSVFQSLTSNTEPVKVCKTPKIYRCSYVLAPRFKYFV -TCNSEANKFYEVPETGFSYKPNESGSLCVADPFCDATFKPVSDSEKAELETLVCNQQDTTNFNFSILNPI -TRCHKVSSQTCTYGTANKTFVECKTGYFYEYTELFQSGTDDIGVYCFSQDCRTIARPHHVSNLQGCILHV -SNLESRKLKEIVYENIEQLKHSIQEAIKTDLIEHKYSLTMNLPKMSPSFKALSIMGTETDSGVESAYIET -NILARAGMSTGIALKDKKGNSLFDLVLFVRSAHYESATEFLYTTGPTVGINLQHDEQCTGSCPSDLKKPG -WLSFYKEHTSTWGCEEFGCLAINEGCVFGHCRDIIKPEMNVYKKVGNDIPKLTLCITMPDNSYCHELDSF -NPVITDKIEIQFLSNEAGRIPKIFGYKSNKVMTGMINDKGTFSKMCGSVQSWGKEVWGAGNVRFDFICHA -ARRKDVTVSRCFDNFYESCLNLNPEKDLIFDDKLGTIQSLNQLMGEIRIKIKLGDIRYKLFEKEPSMDVK -ASCVGCTSCTKGVDCELTIISSSDTICPIRSNCILYHNNIKIEANTQKYGLKAKCESTVVDLQVCSQSIS -AQLTLIDKHETIEVGNSDQTYYVKEKDLKCGTWLCKISDQGISSIFAPFFSIFGSYGKIAFYSVLGILCF -ALLVYLMLPICGRLKDVLKKNEAEYFRETYGYKPLSVRR - ->YP_009507876.1 polyprotein [Guajara orthobunyavirus] -MIAFLTFFALTLSSALLVPLDNRCFTDGIVSLTKQMDHGIAEICIKDDISLIKTTSTQVRNTSMHLNTVF -RKMLIQNYEDCNPVETPSGPIMIFRPNDDMLLIPHTFACRIPCTISLDEEEANIILHSEKLNHYEVMGTT -TANRWFQGTTSYSLEHTCEHIQVTCGSTSLSFHACFKYHMACIRLLNKSYMPAFMIQSVCQNKELILMGC -LVLIIFGILYIMTLTYICYILIPIFYPFTYLYGIIYNKSCKKCYYCGLAYHPLTKCGTNCVCGCMFENSE -RMKKHRESGLCRGYKSLRTARILCKNRGSSFILAIILSFLLLSFIQPIEGLKLTYKGEVVELENAVAEFE -NIYSKLDYAMILPTVYMSLLATSLLIIAVILIFYEKIVEKICSRYAYVCNECDMVHPLKGLKFFGDFTNK -CNMCMCGCNYNDAILDESEYMIPNTHKYTVHCTMPARYYAIRKLNTGINTTYTIILTILFISSIAYATDT -CSQIINNNHISDPLQCSVWYRIPTSCSSSTMWEDFIKALKATKEDQDAAQKIQSTLEGMLLESEKARTPI -GSFLLESGALKMHCNELAEAKTKTGKLNKLLARTIEKAHLEICATGKLIDLCKCMRNEASCPSSTTVTNA -QTHYKAHIQIFKNDVAKVVNALIKTYPGILTRELTIAMKSTNFSKIKEIAGKMVDKFGQADAAIGCMKYL -QLLLNESELEQASPILPTPKEVPAYVTERNEIFKSMTDSTQKIKECKNIKVYKCSYIIASRFTYAISCNG -NDNVFYEHPGLDLASKYNDVSTKCVKDPFCDVEFTTIPVSRKDELQTLTCKQEDSPHFDFSRFKPMAKCL -KVSSQTCTYREQNQTFIECKNGFFYVYSKLTQTPGDDIGVYCFEPGCKANTLPHHVDNLQGCILHQSTLE -SRDLKEIVYENIEQLKHSLQETIKTDLIEHKYKLTMNLPKIIPSFKALSIMGTETDSGIDSAYIETNIIA -KTGMSTGITLKTKNGENLFDIVIFIKSAHYESIADNIYTTGPTVGINMQHDEQCTGTCPKDLKKEGWLSF -SKEHTSTWGCEEFGCLAINEGCLYGHCQDIIKPETRVYKRGNEEIPRITLCISLPDNTFCHDIDSFNPII -TDKLEIQFISNEAGRIPKLFGYRSNKVLTGLINDRGTFSKMCGSVQAFNGKVWGAGNAKFDYICHAARRK -DVTISRCFDNFYEGCTNLNIESNMVFDDKENKIQLLNRVMGEMRVKIKLGDIRYKVFEKNPSMDLKATCV -GCIDCIKGLDCELSIVTNSETVCPVYSNCQIYVNNIKIDPSKQLYGIKTKCTTSVIEFTVCTQKVEAQIS -IVDKKETIEVGNSDQTYFVKEKDMRCGTWLCKISEQGISSIFAPFITLFGSYGKIVFYTFLVILALALTI -YLLFPMCVRFKDLLKHNEIEYAREMYGYKKLKNRT - ->YP_009507872.1 polyprotein [Gamboa virus] -MIVLLFLIVAGASAEPTRCFSGGTKIYEKTSSYAVSEVCLKDDVGQVKIQTNFQKNDTGIFAIMSSWRKW -TVSEWHSCKPRKVNMGSISVIEIDSNFTIKTASYSCNKDCMITVDKEHATVLLQSTGLNHYELTGTTIKT -GWFKSTATVPLDQTCEHIKIICGLKSLQFHACFKQHMACVRFLHRSILPGYIASSICQNIELILMCCLIT -FIFGILLILTKTYICYLLLPIFIPFAYMYGWIYNKSCKKCTLCGLAYHPFTKCGQNCVCGALFDTTERMK -IHRTAGLCPGYKSMRTARVLCKNKGSALFLSVALGFLTVSFLTPIASAKTMDSHEDESKLYNHMLENSEI -DRPLSFKRCYYRSHLCQDLINFKKYYGLAKFVCTNQELMMTLILFPIIYLAMSALIFSFKVTWQFMKSKG -WLSRTRQDDEALYIEMRPPSAVTTRTVLKIKLQKASKLFWALLLAVMINSFITVDAAMLPTPKTLYDINE -LPDDFRQLHAQAGLLHIITYMNAIWTVIAAILVLSIMILLSKKQRKMLGLCAIYCEECDMYHGRKGLKYN -GDFTNKCGVCTCGTVEDATGLTIHKLRLSCLIKYKLKWFKMLLVFILCVYITKDMALLTTAEEIKSCLKK -TELTGNCTGPFLEPYGCNSRDPGKLGYTEITSLLKSQKVISSLDEPLIQSIPMDVSGAIKKMNGIHDFHQ -KLLLDFAFLQRHCDYYTKFSSDSGYSQVSWRSYTHVSIFDICKTSNSGTCKCLKASTACTKNDSLESAAT -EFYKDQDSIQHDHELFLSVFKLAFPGTAYAYILNQTVQEQYDVVKNYLNAIQNKFPNNLMLKSLLKVGNI -IYTNLSKVNLSHEHSESIPEYVPVQHGVTRGRTTFTKHNKVLNQSDVRTDGRCVNPKDIVCISPRTKAYA -PIYTWCEKGSTVYIPNLEGLKLYKSITGDTTTYCVGDRHCLIQPKMYDTQTAEDIFNNECLASQHMDDND -QYSLGQVRCNIISYGTCFVQPLNTTWPIAWCTGDFFFYASQGSVPEDAIDPSERCLGEQCHLMRFPINPK -SLSNCTYYDPKVKINRVKENIHTDFESYKNALIRKIADDLIIHKFQKTSNLPYFNPTYKYITMSGVDTTE -GIENAYIEFEIPAMTGTAIGYNILAENQIHVVDLIIYIKVAKTVAEYQWIYSTGPTIAINTQHSEKCTGR -CPDLIESPKGWATFSKERTSNWGCEEFGCLAIDDGCVFGSCQDVIKPESDVYKKVGQERTTAEICISMSH -ETYCTEIESTTPIISSSIEAQFKTIDAPVMPNIVLIRDHKLYKGQINDIGSFGKYCGNIQKRNSTILGQA -DVKFDYLCHAAQRKDVVVRKCLENNYASCKLLDHEEHYVVDEMTSSVKLSDLGRLTGILKSKVYLGDFKY -KSFSKEIDIDATIECVGCYNCLKGIDCKLNLHTTVEASCKVEAPCPTSLNRIVIKPNVEEYAINMKCQKE -LPGDNIIVKICNAALKTQIAVSKKAEQIELSTGEQSTYIHEEDLRCSTWICKIKDEGFAFLLKPITDWLG -SFTRPVLIVLSTVVILFLIIYIMLPIFAKIRDRLKEIDKEYERESRASRKSK - ->YP_009507869.1 polyprotein [Catu virus] -MLAIIIVLLTPFVQGMPLDNRCFSDGIISADKHMSTGIAEICVKDDISILKTTSTQFANTTKYANVVYRK -MLIQNYEDCNPIEVANGPIMIFKPNQDLVLVPHTFACRTECTISLNEEEATIILHSDKLNHYEIMGTTTA -NRWFQGSTSFSLEHTCEHIQVTCGSNSLGFHACFKYHMACIRLMNKSYLPAFMIQSVCRNKELIIVGFLI -LIIFGLLYFLTLTYICYILIPIFYPITYMYGIFYNRSCKKCYYCGLAYHPFSKCGKNCVCGCMFENSERM -KKHRESGMCHGYKSLRAARILCKNRGSSFILSIILAFLLLSFIQPIEGLKLTYNNEVIELDQVTEEFNSL -IDLVSQARTFPHICLGLTLFCMVILILVMLLKNKIEYRLYRHVTYHCRECNMIHSKKNLVYFFNGDFTNQ -CNSCMCGCNYNAEFKNDESDYMIPMDHVLREECSTPGRYTALRRIETTTSRVMLVVLIILLITSVVYAED -KCAKLGQSNTVSDPLECSVWFKLPGSCDNAGDVQSYFRTNKLPDIDITEIQKLAGLTKMLKDSELSIIPS -RSYILEVAALKVYCRELAAGKEQNGGFNANLRSSLKTKNLEICASKKAEAFCKCFDGAEGCTPSDGFTTA -VTHYKAHKETFRNDILKIIAALMETYPGLLAKELSISLLQSNFSKAKEIASKMKDKFGSASAATGAFSFI -HKVLETAELAALDPKVKVPSSALIKPAPTFAPTIPPPFANFKAVTTTLKYCKTAKIYRCSHLIATVMEYF -ITCNNKNTKFYIIPDAGLSYKLGNTGDVCAADPFCDLEFQPVPDSKKEELAALHCTEQHVTNYNFSGLRP -INKCLKVSTQTCTYKEENRTFMECSNGYFYEYQQTFQSGTDDIGVHCFDKNCKQVAHPHHIVNLKGCTLH -SRNMKSRKLKEIVYENIEQLKHSIQEAIKTDLIQHKYLPTMNLPKIAPSFRPVSIQGVESDSGVDNAYIE -TNIIARSGMSTGLTLYAKGGEKLFDIIIFIKVAHYETSAQYAYTTGPTVGINLQHEEQCTGKCPTGLKKE -GWLSFSKEHTSTWGCEEFGCLAINDGCLYGHCQDMIKPDMKVYKTQSGGDPKIELCITLPGSTYCHTITA -FTPIISEQLEVQFLSNEAGKIPKIFGYKSNKVMTGMINDKAVFSRMCGSVQSFDGKVWGAGTVRFDYICH -AARRKDIVVSRCYDNFYESCLNLNPEKDLVFDDKSQKVISLNKLLGEIRVKVKLGDIRYKLFEQDPSMDI -KLSCVGCVDCGKGVDCELNIISSSDTVCSIESNCILYHNNIKIEANTQKYGLKAKCSETLVSITVCKQKV -EAQMSVIDKHETIEVGNSDQTYTVHEKDLRCGTWLCKVADQGISSIFVPFFSVFGSYAKIAFYTILGVIV -LVILIYLTLPICGRLKDVLKKNEIEYRREMYGYKPLVSRN - ->YP_009507865.1 polyprotein [Bimiti virus] -MATTLILMLCFSIATALPVDNRCFSDGIVSADKHMDHGIAEICVKDDISIVKTTSVQFANTTKYANVVLR -KMLIQNYEDCNPVEVPNGPIMIFKPNADLMLIPHTFACRTECTISLNEEEATIILHSDKLNHYEIMGTTT -ANRWFQGSTSYSLEHTCEHIQVTCGANSLGFHACFKYHMACIRLMNKSYMPAFMIQSVCKNKELIIMGLL -ILIIFGLLYFLTLTYICYILIPIFYPITYLYGILYNKSCKKCYYCGLAYHPFSKCGKNCVCGCMFENSDR -MKKHRESGMCHGYKSLRAARILCKNRGSAFILSFILAFLLLSFVQPIEGIKLSYNNEIIELDSVTDEFNK -IIVKLEYAQIMPYIIASITAILSVLVTLVMIFGRKIERKVYKTIIYECKECNMIHPKKNLVYYFNGDFTN -RCNSCMCGCNYNAEFKNDESDYMIPMDHELSVGCTIPGRYYAVRKIEKVTGRILLGVLIFLFMLSIAYAE -DDKCAKLTQPSEVTDPLECSVWHRLPTNCQEDLTVQSLFRKQNLPEIDIEEFGKIKDLNKLLQDSEQSVY -LTKSYILETGALKVYCKELAKCKEQSGNYNARMMEDIKAKKLEICATGKADNVCKCFEGNTGCVDSDGMN -QAILHYKSHLETYKNDVLKVISSIMKVYPGILSKQLGIALRQSNFSKVKEIATKMKSKFGSAQAVSACFN -YLEKTLATDELVKLNPNENIPAKSLINVPPTYATTIAPIFNNIQAVTAPIKHCKKAKMYRCTHAVASVFD -YYITCDSKANEFYQVPRQGISYKMNVWGDLCVGDPFCDLDFLPVSDAKKNELLSLYCIEQYVTNYNFSNL -KALSKCYKLSTQTCLYKNQSKTFIECSNGYFYEYTQNIFQSGSDEIGVHCFDKNCRQVSFPHHVDNLSGC -KLHTNNMKNRKLKEIVYENIEQLRHSIQEAIKTDLIQHKYLPTMNLPKIAPSFKPISIQGIESDSGVDNA -YIETNVIVRSGVSTGLTLYAKDGSKLFDIVIFVKSAHYESNAVYAYSTGPTVGINLKHEEKCTGSCPENL -KKDGWLSFSKEHTSQWGCEEFGCLAINDGCVFGHCQDIIKPDLKVYKSSTNGEPKVEICITIPGETYCHT -ITAFTPIVSDQLEIQFLSNEAGKLPKIFGYKSNKVLTGMINDKAVFSRMCGSVQSFDNSVWGTGNPRFDY -ICHAAKRKDIVVSRCYDNFYESCLNLNQEKDLVFDDKTSKIMSLNKLLGEIRVKVKLGDIRYKVFEKNPS -MDIKLSCVGCLDCSKGVDCEISIISSSDTVCAIESNCQLYHNNIKVEANTQKYGIKAKCSTQNIEMSICK -QKVEASISLVTKHETIEVGNSDQTYTVHEKDLRCGTWLCKVADQGIGAIFSPFFSIFGTYGKIAFYSVLG -IIILVLLIYLLLPVCGRFKDLLKRNDIEYHREMYGYKPLTVRR - ->YP_009507860.1 polyprotein [Lukuni virus] -MRMLIVILTYLVCCKAASIERCFQGGELIRQIASEVALPHMCLKDDISVIKVETEALQKGNEHTQFTTRI -YRKFMIDDWKNCRPERMIGGPLMILSVDDKGHLESEEYICRNDCIIKIDKELGLVIFETTSLNYFEVSGT -TITSGWFKTSTSVSLKHTCEHVKIQCGMKAYSMHACFKNHIECYQMLSHRLIPKYMSVAICTNIETIILL -VFTLMIFCIFMIAAKTYISYLLIPLFIPISYIYGKFYGKSCKMCQNCGLASHPFTKCSTICVCGTVYTST -ERLKIHRLSGLCQGYKYIMTARVMCKSKGCGLVLSILLSLLVLSFVTPIGAEPCYSIDDLPKIYKESIKS -AYVNEIYRYVALFLTPLVIGFILAAVILLKCPHKILNRFVYNCHDCKMYHKKHGIKIDEFGTNKCGTCLC -GCTDENPMYVVHQRSRICFSDIHISTYKFMLLISLMVFLPLLVPAAMASKCDTNIESTDCWGLNLQKKVK -AEGASGSIKSKLDNLFSDVEDFEIGRLEKYKSNYEKIITDSYEDHYLRPVQMRESIWAITNTFMSFDFKE -SDMYIKWKIKTKVLEINLCKHSVQLYPCQCMKNEMHCDVLTKNLKSKTNADILLTDNAKLVEDSESLLKA -LSGLIHPTTMAKVKYMITKKNDAEFVKLTNEILEMYKEFPYLDNIIYLALNVTSKAGLSKATAPSLGTIG -LTRPINTRASQFVSPRTQKAGKSSCKKVFCTSPRLGTSPTHYLLCETKKIYHWDQTYANNTGNICYGDAN -CDLFFPSFTTEELTEFNRINRNCIDAGEYQLETKYSHSRGSCRMREKGFCELGGVMRQIVKCASNLYYEQ -VATGAYGTSGHLDQICFKPKCERVYPRNPQLLKNCTISVPKQQVIRSRSQQIDDLENYRAHFEESFYSTL -STFEYKKTAGLPSIVPTYKSITVTGTQTTTGIEGMSFNLDMSAIAGEAIGLNVNTPDGVHVMDLIVFIKS -ANVSSAYNLLYRTGPTISYNSVHSEKCTGACPEHVKLRDETWMEFTKESTSTWGCEEFGCLAINVGCFYG -QCKDIIKPEMDIYRKETEEKSSIELCVTSSHDTYCVILDSTQALITGKINAQFKTVEAFNLPQMVAIKQH -RVYHGQINNIGEFSNYCGNVQYYNHTTIGKGTPKVDYRCHAASRKDIIIRKCFENAYDSCRALFEDEKMT -IDHVDEQTTEIAYHEKNLGILSLQLTLGDMQYKLYQENPQVEIGGKCVGCHNCINGIQCDIEIRTAISAI -CDVKSDCKSMLSRIKLDPSKYHYSDKFLCQSDIKFIHIKICSTEAKIPVTLSKRRPMLEIGTIGHAPVLL -QHDNKCGTWICRVGEEISTLLDFNVSGFFNKLWHYAVLIVVLFFSLMVFKYIVYPTIALIIETLKKHDEE -QKRLQKIK - ->YP_009507856.1 polyprotein [Alajuela virus] -MIHLIAIILATASAEPTRCFSGGSKIFEKTSNFAVSEVCLKDDVGLIKIQTDYHKNDTGIFATMSSWRKW -TVTEWHSCKPRKVNMGSISVIEIDSNFTIKTASYSCNKDCMITVDKEHATVLLQSTGLNHYELTGTTIKT -GWFKSTATVPLDQTCEHIKIICGLKSLQFHACFKQHMACVRFLHRSILLGYIASSICHNIELILMCCLII -FIFTILVILTKTYICYILLPIFVPIAYSYGWIYNKSCKKCQLCGLAYHPFTKCGQNCVCGALFDTTERMR -IHRISGLCPGYKSMRTARVLCKNRGSALLLSIALGFLTVSFLTPIADAKTLESHEDDTKLFLHMVQNTTI -DQPILYKQCYYRVHFCQTVIDHNKYRMLSRFVCSNQDLFMTLLLLPASYAAIQLIDFIVKTAIRLIKQRR -WIKVSQDADENSYVEMNPISMNSTRTVFKIRKFSFNKPFFALSAAILVNSFLSVNGAMLPTPKTVYDINE -LPDDFRELHAQAGLLHIITYLNAMWTTMAAILVLSIMILLSKKQRKLLGLCAMYCEECDMYHSKRGIKYN -GDFTNKCGKCTCGTVEDATGLTVHKLRLECLIKYKLKWFKTLLVFILCVYITKDMALLTAAEEITDCLKK -TELTGNCTGPFFKPSGCNGIASGKLGPEEIITLLKSKKAISSLDEPLIKDLPLEVGIAIKKINSVQDIHK -KMVLEFAFLQRNCDYYSRFTGDSGYSQVSWRSYTQISMFDLCKNSQAAGTCKCLKIGNSCTKNDSLEDTS -VEFYKEGNKIQHDHELFLSIFKLAFPGTAYAYVLNQTEQQNFEAVKNYLAAIQTKYPENYMLKSLMKVGS -IIYKNLSSANLSSEHKEDLPKYIPVYHGNTRGRTGVNRHDKKLNQSNVREDGICINPKDIVCISPRSKMH -APIYTWCEKGTTVYIPNLDGLKLYRSALNSGVFCFGDKHCLIKPKMFDSKTAEDIFNNECLASPHTEEVD -QYSLGQLRCNIISYGRCFVDSKGEYWPVALCAGGFHFYASQGSVPEDAVDPSERCLGEECHLRRYPINPV -SLSNCTYYDPKVRMNRVKESVHTDFESYKNSIIRRLADDLTVHKFLKTSNLPYFNPNFKYITMSGVDTTE -GIENAYIEFEIPASTGVAAGYNILADNQIHIFDVIIYIKSAKTVAEYQWIYSTGPTITINTEHAEHCTGR -CPSVIEAKKGWATFSKERTSNWGCEEFGCLAIDDGCVFGSCQDVIKPEANVYKKIGQEKTTAEICVSMNH -DAYCTEIEATVPIISSSIEAQFKTVDAPVMPNLVLVRDHKLYRGQINDLGSFGKYCGNVQVRNLTVLGQA -DVKFDYLCHAAQRKDIIVRKCLENNYASCKLLDLEEHFILDESESNVKLSDLGRLTGALKVKLYLGDFKY -KSFSREIDVDATIECVGCYNCLKGIDCKLNLHTTVEASCKIDTPCPASLSRIVIKPTIDEYAINMKCQKP -LEGDNVLIKVCNAAIKTQIAISKKTEQIELSTGEQSTYIHEEDLRCSTWICKIKDEGFSFLLKPITDWLG -TFTRPVLISFAVIFILLVLLYILIPVFAKIRDKLREIEKEYQKEARASRKHK - ->YP_009362985.1 glycoprotein [Wolkberg virus] -MNFKLLIIILITAGSNQNPIYSKCFTDGDTIQKLKMNHGVAELCMRDDISLFKITSEQLNNETGAVYLNE -ATRKFIISDWHDCRPRKTLGGNINILDLDDGMVAKLITYSCTNDCLISLDKEHGQVVLHTTGLNRYELTG -TTTVSGWFKTKTHINLYQTCEHVKVVCGTKSLQFHACFKRHMSCIRSIHQVLLPNFIAVSICENLELIIL -LSLILLIFGILNILMKTYLCYLMLPVFIPVAYIYGYCYNKRSRPCKSCGLAKHPFTKCTTHCVCGARFET -SDRMRIHRESGLCQGYKSMSAARILCKSKGSASILAIILALLILSFVTPINAYNSIKKIQNNTDLVLKYY -RLEENMSIFEKMLQKLLNNTIISFVITILTIGTLLNCKYMLISLWVRRCQYCNLFHKNASNKEGLETRYC -YHCSCGYKESAFDFHQTNIKCTSKFRIRNIMTALCIISMLHLVSNSIILIEASKQCCEKQIQSIECIQSL -QDQTCRSTEEAIKYLKESNCLHPIEEKWVRESSLGDLKYLDFATKFDNYHALYIAEKLWNSKHCTYYTKL -DTNTDPIQLPWRMKIQTLHPIFCVNNKLPNICKCFALSWADCKLSDEHLKEFKGYVQSNAVLAKKDTDYL -VQLFLEIFPGTTSSYFLNGLRSSDRDDVNQLLRKLIIKFQQNRMLQTVLLSIEALINETSIVFSENDFNL -YYKMKNSVDTRETFVKWNKTEPSEEKVKCNGQLFKYACKTNMGTQIMFDSVICEEPSKDVGIYDSTNTRY -FKVSQSYCVNDKYCIGKFKPLNKEQLAQIKNLNCYMQDYKEDEGSFYTKTISKCKVKKFGYGLMKSKKTE -LILCDNNHIYPVGAESSHSDDVMIGVHCLTKECAGEKYAIHQSNLEDIQWYEPSVKSYKPTVHEHNTIAE -YEKAILDSIQEDFQIHNFKPTKNLPHIVPQYRYITLRGIETDEGLEESYIDFEIPALSGSALGFNIIAKD -SKETLFNVIVHVNIAKRVGTYALSYKTGPTININVEHNEKCTGSCPVNITTKSDWLTFSKEHSSQWGCEE -FGCLAINEGCVYGSCQDILKLESKIMKKSGSESTTVEVCISLPSNNYCTQVSSIEAVYTKHFEAQLIRQD -YDDMPNLVLVKSHKVYFGAINSLGNFAKGCGSVQQTRNKLMGAGVVKFDYLCHAASRKEIIIRKCYDNYY -ESCQALDEWKGGVMDDNEDKVILEENKKLMGSIKIKFKMGDVKYKTFTKEANFDVGGKCIGCINCMEGII -CSLDILVENRIVCPISTSCKLFYDTLLLEPAIKDYHIKMICYSDKDPEIKFKICKKDFRIKITYTKGSDS -IDINHGDQTAYIDEVDNRCGTWLCKAYDQGFSGLFDGFFSWFGTASKVVIGILIFIIGLLILKYAVIPIA -KLIAILLQRHEKEYQLENKIK - ->YP_009362079.1 polyprotein [Madrid virus] -MTAIIFLALVALSIQAPMSNRCFEGGVLVEEKVMNHGIAELCIKDDISMIKTTSTQKRNSSTFNNVIMRK -MLIPNYQDCNPVEVSNGPIMIFKPDRELMLVPKTYACRVDCSISLDRDEASIILHSDKLNNFEVMGTTTA -TRWFQGSTTYSLEHTCEHIQVTCGSKSLSFHACFKYHMACIRLLNRSYMPGFMIQSVCQNKEIILMTCLV -LIIFGLLYIMTMSYICYILMPIFIPIAYFYGWVYNKSCKKCSYCGLAYHPFTKCGKNCVCGSMFENSERM -KMHRVSGLCKGYKSLRAARILCKSRGSALVLAVLLATLLLSFIQPLEAIKLTYNNQVIEISELSQELDII -FNNLQSSRSIIIGQITVCIAMILLLTTYLIICKRIEDHLVNRIIYYCPECEMTHPKNGLKKYFSGNFTNM -CNSCMCGCTYNQNDLNDGYTIPMTHKLTIGCYAPGRYYTNRKMGNMLIYVVIFLLTILTSISVAIANEDK -CIKSDTFKTQDPITCSAWIKVSSCSEASGLQSLLSYLKLPKQETDIVRAMQGSLDSILTKSENSDIPLQS -YILESLAVKLHCSYLANAATDGGGINTIIKSQYTEKQLEICIAGKAAKLCACLTGKGNCDHTSTDEVSEY -YKVHQEIFKSDFSRMIQTIAKYFPGVFAKELLIAVKETNYTKVKSIIKALDNKITNAKAIKSIFKIIDVA -LTESTIQKIPAPVPSTKDIKTFDTNWLAESIFKDMVTSTPIKICVAGKIYKCIYPMSLRFTYYYSCSEAN -KFYQTGDDPISKLYTNNANLCAADAFCEKNFSIVEDTNKDALMTLKCEQVTININDMQSAAPINKCRVIS -IQHCIVSGTNNRTVAECANGYFYEFTGDLHQSPKDDVGVYCFDKVCKTNRYPHHPSNLVGCTSHNAEMLN -RKLKEINYANLEQLKHSLQESIKTDLIEHNYILTKNLPRLNPTFKPVSIQGVETDSGIQSSYIETNLMVK -TGISLGLHLTTKSGEPLFDIIVFVKTAHYEAVYDEIYQTGPTIGINIQHDEQCTGKCPTNLMKHGWLSFA -KEHTSQWGCEEFGCLAINEGCIFGHCKDIIKPEMTVLKKAQEESPVIKICISLPQETFCQPINAFTAIIT -DKIETQFISNEAGKIPTLLGYKSNKIFTGMINDLGTFSKMCGSVQSVSGNVLGAGTPRFDYICHAAQRKD -VTVSRCFDNFYDSCQRLEVSDNMVYDNSIKRVSLLNKNMGEMRIKIKLGDINYKLFEKMPSFDFKGSCVG -CIKCMKGVDCEFDIHSTAESVCMLTSNCNFYHNNLKIDPNVQKYGMKGKCSEEKVWIDLCGNKIEIQISV -VQSHETIEVGNSDQTYFVKEKDYRCGTWLCKVSEQGISSIFAPFFAIFGDYAKIAFYCILGIICLALLIY -LMLPMCGKIRDILKKNEIEYIKEFRGKKL - ->YP_009362078.1 polyprotein [Marituba virus] -MMFKMLAKLLLFLWTTLQAWSIPLSNRCFEGGVLVEERSMDHGIAEICVKDDVSMIKTSSLQKRNETRFT -NIIMRKMLIPNYQDCNPIEMPNGPIMIFKPNSDLMLIPKTYACRVDCTISLDRDEATIILHSDKLNNFEV -MGTTTATRWFQGSTTYSLEHTCEHIQVTCGSKSLSFHACFKYHMACIRLLNKSYMPAFMIQSVCQNKEII -IMTCLILIIFALLYILTMSYICYILLPLFIPIAYLYGWVYNKSCKKCSYCGLAYHPFSKCGRNCVCGAMF -ENSDRMRMHRQTGLCKGYKSLRAARILCKSRGSAFCLAVILATLLLSFIQPLEAVKLTYNNEVIELPELS -RELEVIFSGIDYVKSIVVIQLTICGFLILLLLSYLILSKRIEDKLIYNVLYYCPECEMTHPKRGLKKYFS -GEFTNMCNSCMCGCTYNQEDLNDGYTIPMMHKLTVGCYAPGRYYTNKKMNSVSMYITLACLMVLASMTIV -AASEDNCLKTSLYKTQEPVSCSAWIKATTCTASSGIEGMMARIKLPKQDTDLISGIRGSLDSILRKSQES -SVPIQSYIFEALAVTMYCSELVGASLENGNINSNIKMQYTERKLEVCTAKKAVSACACLTGITGCDPSNA -DDVKDYYKTHHEIFKSDVSRMIQTIAKMFPGVFAKELLLASKTSNYTRIQAILKMLEPKLTNARATKALL -KILMTAISESSIANVPAPVPSSKSINPFDPKWSQDSIFKNIVAATAIKTCPSSKAYKCFFPVSLRFTFYF -SCGEENKFYHTGDDLIAIHYNTGTTLCVADPYCEKDFTPVDVANKDSLLTMKCDQITLNVSEMPNSMPIN -KCRVISMQQCTVTGTANRSVAECSNGYFYEYTGELHQSPKDDVGVYCFEKACKPNRFPHHPSNLQGCVSH -NNEMLTRKLKEINYSNLEQLKHSLQETIKTDLVEHHYILTKNLPKINPIFKALSIQGVETDSGIQSSYIE -TNLMVKTGLSVGLHLTTKNGDSLFDIIVFVKTAHYEAVYDEIYQTGPTIGINVQHNEKCTGKCPESLMKT -GWLSFSKEHTSQWGCEEFGCLAINEGCLYGHCKDIIKPEMTVLRKAQDETPVIKICISLPHETFCQPINA -FNAIITDKVETQFISNEAGKLPKLLAYKSNKIHTGMINDLGTFSKMCGSVQSINNNVLGAGTARFDYICH -AAQRKDVTVSRCFDNFYDSCLRLEASDNIVYDNNQKRVSLLNKNMGELRLKIKMGDLNYKLFEKMPSFDF -KGSCVGCLKCIKGVDCEFDIHSTSEAVCVLTSNCAFYHNNLKIDPNIQKYGMKAKCSEEKIWIDLCGNKI -EIQLSIVQTHETIEVGNSDQTYFVKEKDDRCGTWLCKVSEQGISSIFAPFFAIFGDYAKIAFYTVLAILA -IALMVYLMLPMIGKLKDILKKNEIEYIKEFRGKKI - ->YP_009362075.1 glycoprotein precursor [Kaeng Khoi virus] -MFYLLFITIFCMLMPHDNIAVPIYSKCFDQGTEIRKYTSKHGLSEICIKDDISMIKTISKAIKNDSGVFH -ENIALRKMIVRDWRDCKPRRSSSGNINLVELDSELMVSIISYSCSSDCSIGLDKDKAEILLSTETLNKYE -VTGTTRISGWFKTKTHIPLHSTCEHLHVTCGLKAMQFHACFKRHMSCIRYLDSALLPQFIIVSFCENIEL -IILLTVILITFSILSLMMKTYLCYLLLPIFIPIAYLYGFIYNRRRSKCLSCGLAYHPFTNCKTHCVCGAK -FETSDRIRLHRESGLCPGYKSMRAARVLCKSKGSASLLSIILSILLLSFVTPINALSIVQQSNETIDILN -QYKLYKANSENIPKILIIVCLTPIITLITNLIIIILSYIYRNTIIKRWIYQCQTCDLYHIKHPKLKDHCS -CECVCGFSEDKYIYDFHKSSEGCVYKYNYKLFKFTLSLLFITVIISSLIGASVAESCTGVTDKTLNCLPA -VVELECQTDIEKVIQKMITDKKIHSLESTWIKSIYKNYLDEIKNVKSIHEAYIADYAYNTLNCKYYTSLK -SNSDLSQLEWRNSISHYLPKYCSTKNQDAKNFCSCLQSNWAHCDDTEASLKKVQDFYTTNMESSEDLNIY -TGLLFKIFPGTVSMMFNDHIRNNKKTEVVKILEEVIKKFTTNKLLTLFLKMLKYIVNGRISNYSQLDIAK -YYKVVTMAENTIVTDLILGIERNNCPVDIKFIQCKLQSGTNIIGRFILCEADSKKYIYESDGFNYFKING -KYCVNDRYCGSQFPILPNESIELVKTLKCFSTIYTENIESPYYKSTNLCRIDSYGSGKVNNAAVKLIKAK -NNLIYQASKELNHAQGVDIGLFCFSKDCKLSLYPIHPLSIKDPSWDNDKSSIKEPPTINHDTFETYKKSL -LEDIKNNLEIHNFKPVKNLPHVKPIYKYITIQGTETEEGVEEAYIEFEIPAIAGNSIGFKISTKDNIYLF -DIIVHINRADLLANFKEIYETGPTIGINSKHDEICTGSCPVNITKNANWLTFARERTSQWGCEEFGCLAI -NEGCLYGSCQDIIKPEIEVYKKINLESPKIELCITASDFAECIVLETSEAVITDSFQAQLSTIQTNLMPN -ILALQNHKLYKGQINDLGSLDKQCGSVQKINTSLIGSGIPKFDYLCHAASRKEVIIRKCYDNHFDSCRFL -EETNYIFKEQGNLMEVVDSRLKIGNIKAKFKMGDIKYKLIIENAAFEVNGECVGCINCIEGITCSLTIES -ENIITCPIQITNCVIHYDQIKIESGSKSYNIKLECKDVTNQLSGKICKKNINLKFSKTTGSTNIEINNSD -QTAYIRETDNRCGTWLCKVYAEGFTGLFDGLFNWFTNAGKIVIIVITIIILLILIKYVILPIANIVISIL -KKNEYRISFRVETKII - ->YP_009362067.1 polyprotein [Capim virus] -MLLLIIVLGIADAVPLDNRCFTDGVISMSKDMDHGIAEICIKDDISIVKTTSQQYKNSSIYLNTVQRKML -VSNYEDCNPVEAKNGPIMIFRPNNDMMLIPHTFACRVQCTISLDEEEANIILHSEKLNHYEVMGTTTANR -WFQGTTSYSLEHTCEHIQVTCGSTSLSFHACFKYHMACIRLLNKSYMPAFMIHSVCQNKELIIMGCLVLI -IFGILYIMTMTYICYILIPIFYPITYLYGFIYNKSCKKCYYCGLAYHPLTKCGTNCVCGCMFENSERMKK -HRESGMCKGYKSLRAARILCKNRGSSFILAIILSFLLLSFIQPIEGVKITYQNEIIELESIVHELEIITT -KLHYSAVYPQIVAAGSCLILIILALTMLLKEKILDYFYSRIVYYCNECEMTHPWRGLTFFGDFTNRCNTC -MCGCDQNIEAKDEDDYALPKYHKPGVYCKLPGRYFAVRKLDILSTRFLIVVFLVLISSSIVYATDTCSKV -KDNTAISNPLQCSVWYRLPGTCDNTLTMKDFMKTIKLPQEDISAAEKMSANLEAMLLESEKFKTAIGSFL -IESSALKLHCNELSEAKKKTGRQNEILGKALKKSAIEVCASGDLADLCKCFRNEPNCNANGDTAKAITYY -KGHNQAFRDDVSKIITAIMRIYPGILAREFGLSLKADNFSKVKEIATNMKGKFGNAESITACMNYLEKML -AENELVKLNPKISLSKDVVPYSQTRTNIFDNMADAPTITKVCESPKIYKCSYLIASRFRFFITCGTSTTH -FYNMPDLGLSYQIGSSDKLCVADPFCEQNFGPVNISEKDELATLTCTQKTNTNFNFAKMNPLTKCHKISA -QTCEYKQKNTTFIECKNTYFYEYTTIHQSPGDDIGIYCFSPDCRKVSTPHHVANLIGCRLHAESVESRKL -KEIIYENIEQLKHSIQETIKTDLIEHKYRLTMNLPKVNPSFKALSIMGTETDGGVESAYIETNILAKTGV -STGVTLHTKKGEKLFDMILFVKSAHYESVSDLIYETGPTVGINMQHDEQCTGTCPNDLKKPGWLSFSKEH -TSTWGCEEFGCLAIGSGCLYGHCRDIVKPEISVYKRSSEEIPKISLCISTPDETYCHDIDSFNPIITEKL -EIQFLSNEAGKSPKIFGYKSNKVLTGMINDKGSFAKMCGSVQIYGKEVWGAGNPKFDYICHAARRKDVTI -SRCFDNFYEGCQLLKPEKDLIFDDKTSKLLLLNKLMGEIRIKLKLGDIKYKIFEKNPSMDAKAVCVGCIA -CTKGLDCELDIISSSDTICPLKSNCQLYTNNLKIESNTQKYGIKAKCDSPSISFTICTKEIDAQITILEK -KEIIEVGNSDQTYFVKEKDMKCGTWLCKVSEQGISSIFSPFLSIFGSYAKIAFYTILAVICIVIIGYLLF -PVCVRFKDVLKKNEIEYEREMYGYKPLKRY - ->YP_009362065.1 glycoprotein precursor [Nyando virus] -MIVFILCSLAMVNAMPYERCFEGGTLIKDIPSQTGIGNACLKDDVSILKVESIPFSNATGIFARNKISRK -WIIQNWSDCSPRKVDSGNINVVEIHEDLTLHSSTYACTSECLIGMDKETGQVVLTTDKLNRFEIIGTTRR -NGWFKTKTYVTLDQTCEHIKVICGMQSIQMHACFKHHMPCIRFFKHTILPDLVSTSVCENLEIIILTILA -LLIFVLLNIIMKTYICYLMLPLFVPFAYTYGYLYNKISKKCQSCGLAYHPFTKCSNHCVCGSLFETSDRM -RLHRESGMCQGYKSMRTARVLCKSKGSASILSIITAILLLSFVTPINSIIIKEDYVKTNDSDIFDEYQIF -KKNNENNHLMYLTRSVIDAIFVPVIMLIYTLIMFLRHKFYSWWVMDCNECDMYHDVKNIKYNGDFTNKCN -TCTCGFSVYTNGNYHLKSGKCLYKYESRLIRRMIILITVLYILKDPGFNVAAETFDTCYKKQKLEKNCIN -INRDFDCTQEHRPIGEIADELINQKYILDIEKPWVVGIGGKPETLNKALTSASTYHSLLLVEEILYRYDC -KYFQKLNTINDDSEYKWRLILHSTLAFCNENKDKHPCPCILTKSCAVEMSTNTELQTYYNSKDEELNSDL -NALFNILFSALPGTVQQAISDNLREKKYNEVKSILELIIAKVQKNVLFKSICQFIKFAMDITLTNYNPNG -RWYKAPLKDAASILTTKVSGSNPTADLKNAKVGEMIDTCKKLKIIHCISPRHIELQLQNVLCEKSEGKYL -YETGLTNKIYKKYMATDDYCLKDVHCIANFTPSTQEKLEKLQTLTCGWTEPLAISDEYTKPARSCRFKNK -GTCAYGKFIWNTALCQNGQYYYVDQEGMHASSDDVGVMCLSDDCTEKRYPVHPDNLKNCQWLREEIKNKE -VAQWNHDTIESYKRSLAETIQHNLEIHHYSPTKNYPHVKPSYMPILLQGLITEEGMESTFIEFELPALTG -ESVGFKVNSPDGTHIFDAIVYIKTATIGGTYDYLYSTGPTIAINIAHDEKCTGPCPEEIPKKGPNWLTFS -QERTSRWGCEEWMCAAINTGCVFGSCQDVIKEDMRVYKKSTSETTKIEICITLITSTYCINIDALQPIIS -DVFDIQFKTVETQNLPNIIGIRDHSVFTGSINDIGSLAKGCGNVQKRNESTVGSGDPRFDYICHATSRKD -VIVRKCFDNHYDACKFLKKESKYVILDEHSRVRLSNPNNILGTIKAKIKFGDVKYKLSQPTTNLEYTATC -VGCTNCLENIVCSINIEVESEQICGIESTCELYSSRALLKPQVRNYNIKMTCRETITSIDIEICKNKKTV -QLSKVPGSTNLELKGIDQASYIKEVDDRCGTWMCKVFDEGFLSLFKPLFNWLGEAGIIAAYSIITLISLI -FIIKVGVPLTRFCTGLLKKQDLEYALDTFKSK - ->YP_009362064.1 glycoprotein precursor [Bwamba orthobunyavirus] -MAMFELIVLLAFSYVTGVPQELTRCFTGGSVVRQHWSKNAISELCLKDDVSIIKSEIEYKKNETGTFALS -KIYRKWITTDWEKCQPQKSTGGHISVLEVRHDLTLASATYICGNPCTINVDREMAQVHLQTEGVNHFEIS -GTTIKSGWFKTTTYITLDQTCEHLKVSCGKQSVQFHACFKQHMACVRFLHRTILPGNMANSICQNIEIII -LLALTLSIFILLCILTKTYICYILLPIFIPISYIYGYFYNRSCKKCSQCGLVYHPFTECGRNCVCGARYD -TSERMKLHRLSGLCPGYKSLKAARVLCKSKGSASILSILISLLILTFVTPINGLMLKDTDITNLKIDTLP -DDMLELIHNVDYLKLILEINITIFGFILIIILFMLLMFYRYQHIFISIYVIDCKECNMYHSKSGLRYNGD -FTNKCMTCTCGEVDDMEGLNKHKKRYNCLIKYKTTILQHFFLSILVCLILKESVLFAAAQSEEIIKCLEQ -ESLTSKCTGPLLKTPQCTEQQKNLLFIEIAKQMEKDNIITSLDNALIAKLPNEIAGSMAILDTKKYYHEQ -ITLEYAILSKYCDYYKKYEGNTGYSQTTWRTYLRSQDLEICLILPYQFFCRCFKSGTGCIDTKMEIKSTM -DTYYSSHQTKFDSDLNYMLHVIHLAFRGSATSYIAKCLIEKNYTETISYLTKIQEKYPNNQLLKVAIFFT -NHLLNQSLVENFEVSTEWSRYKHSTAQPEHEWKKGSELKMLDPNKLKTGPAIQTCLHIKDLHCISPRSRA -PTSGIITCGLNDQACVYRKPDKKIYASHMTQHGFCVMDGHCLKPFEPISGEMLQIIKKSKCWTEDIEDLI -TEGQDKGRISCQPIDVGNCNVSGNLWTITLCSDKKFYYTDNKHVHDNSKDIGYYCMEENCKIPRFPIHPD -FVKDCEWDLNHIKIDHLKQINIEDINSYKKILTEKLTTALNSFKYKGNENLPHSIPKYKYITIQGTQTID -GIENAFIEVEIPALSGSATGFKISSRDGIELFDAILYVKQASFSSVYKKLYVTGPTIGINTKHDERCTGA -CPMTLPHSYGWLTFSKERTSQWGCEEYGCLAISDGCVFGSCQDIIKDDLTIYRKETEELSLAEICITFMH -NTFCTSIDSTTPTITDILEAQFKTVESFKLPNTVGLKMHKLYIGTINDIGVYKKACGNVQKYNNTILGSG -SPKFDYVCHLAKRKDVILRKCFDNDYNSCKLLKVANDLRIEEDGEKLKVINYKKVMGTLKFKAIFGDIKY -KSFTQDVDIDAEATCVGCLNCFENINCEIKVQSPLETTCEVESSCDLFTTRILFHQDIHKYALKLICSKE -ASSKFWIRFCNKEIKSSISLINAAPILELAPIDQTSYVKEEDERCGTWMCRVAQEGIGVIFEPFKNLFGS -YLGIFYIIIIVIILLVLFVYIFLPMILKLKEILQKNEVAYQRDMKIR - ->YP_009362062.1 polyprotein [Oriboca virus] -MLPLIVITLLNAVGAIPLNSKCFEGGVIVEEKTMTHGIAELCIKDDISMLKTTSTQIQNTTKFSNRIMRK -MLIQNYQDCNPVETANGPIMIFQPNKELILTPKTYACRMDCSISLDKEEATIILHSDKLNHYEVMGTTTA -TRWFQGSTSYSLEHTCEHVQVTCGSKTLNFHACFKYHMACIRLLNKSYMPAFVIQSVCRNKEIILMTCLI -LIIFGLLYVLTLSYICYILLPLFIPIAYFYGWIYNRSCKKCQYCGLAFHPFSKCGKNCVCGSMFENSERM -KMHRTAGLCKGYKSLRAARILCKSKGSAFVLAVLLATLLLSFIQPLEAVKLNYNGTAIELPELSHELDLI -FQNMETKTVILIVQISFLVATLLSLLIMYVFSKKIEDVLISRYLYYCKECEMTHPKSGLKYYFNGEFTNM -CNSCMCGCVYDQTELNSQDGYMVPMTHRLTIGCYAPARYYTLRKMTNISYNLVVFLLVIFISLSIAAAET -CSKDNYYKVSEPVSCSVWLKSSECTQSSTLDALITRVKLPQADKDQIKSIKTSFLELMIRSQESTSPIGS -YVMEDLALTLYCKDIVKMNQESGEYNHQMRVLFTGKELEICLNGKIAKACNCIVGRQNCDYSSLDEVTAF -YGSHKEMFKTDTARMIQALSKIFPGILAKELLIASRASNYSRVVVILKLLEPKLVNAKSIIAIVKILEKA -LSDSTISAIKMPESSVKEVKPFDAEWGKTSIFDNMQTSTDKKTCTNAKLYKCFYPVSLKFTFFVKCEEEN -KFYTSGEYLVATHYSTPTSFCVADPYCELDFKGISVDRKEELQTYRCIQETLNQQENDNSRPINKCKVVS -TQSCTVGNTANRSVAECDNGYFYEYVGEIHQSPKDNVGVYCFEKGCKQNRFPHHLDNVKGCTSHNLAMIS -RKLKEINYSNLEQLKHSMQEAIKTDLVEHNYIPTKNLPKITPSFKALSIHGVETEQGIESSYIETNLLVR -TGVSSGLHLTTKNGEPLFDLILFVKTAHYEATYEEIYKTGPTVGINMQHNEKCTGSCPQNMSKIGWLSFS -KEHTSQWGCEEFGCLAVNEGCVYGHCRDLIKPDITVIRKAQEEEPVIKICFTLPQESLCQNINSFTPIVT -EKFEVQFLSNEAGKIPKLLAYKSHKIFAGMINDLGTFSKMCGSVQQTPRGVLGAGIPRFDYLCHAAKRKD -ITISRCFDNFYESCSLLETRDDIVYDSSTNRVSLLNKNMGELRVKIKLGDINYKLFEKTPSFDLKGSCVG -CINCIRGVDCELEIIASGESVCILSSNCAFYHNNLRIDPNVQKYGLKAKCTQEHIWIELCGNKIEVQISI -TKTSETIEVGNSDQTYFVKEHDIRCGTWLCKVSEQGISSIFAPFFAVFGTYGKIAFYSVLGVLLAALIIY -LSLPIIGKIKDTLKKNEYEYLKETIGKRR - ->YP_009362046.1 polyprotein [Caraparu virus] -MAIVILLALVALTAQAPLSNRCFEGGVLVEERNMDHGIAELCVKDDISMIKTTSLQKRNESIFTNIIMRK -MLIPNYQECNPVEVANGPIMIFKPDRDLMLIPKTYACRVDCSISLDRDEASIILHSDKLNNFEVMGTTTA -TRWFQGSTTYSLEHTCEHIQVTCGSKSLSFHACFKYHMACIRLLNRSYMPAFMIQSVCQNKEIILMTCLV -LIIFGLLYIMTMSYICYILMPIFIPIAYLWGWLYNKSCKKCAYCGLAYHPFTKCGKNCVCGSMFENSERM -KMHRVSGLCKGYKSLRAARILCKSRGSAFILAVILATLLLSFIQPLEAVQLNYNNEVIEITELSQELDII -FQGLKTTQSIIIAQITICTCIILILALYLILHKRIEDRLINRILYFCPECQMTHPKNGLKKYFAGEFTNM -CNSCMCGCTYNQEELNDGYTIPMTHQLTVGCYAPGRYYTHRRMSNGLIYIVLAVLMILASLSIAAASTDD -NCVKSSTYKTQEPVSCSAWVKVTTCSSSSGIQGVFNHLKLPKQESDILTTMKGSLDSILKKSEDSTVPLQ -AYILESLAVSLHCSYIASAATEGGNINTMIVSQYTDKPLEICTAKKASKLCGCLMRKTTCDTTSTEDVAT -YYKQHPEIYKVDYARMIQTLTKYFPGVFSKELLIAVKNANHTRVKSIIKLLDAKITNARGIKSIFKIIDA -ALSDTTVAGAAPPSSAVKDVKAFDTNWLAESIFKDIVTSSAMKICTSGKIYRCFYPMSLRFTFYYSCNEA -NKFYQTGEYPISKHHSNNANLCVADAYCEKDFTPVDASNKDMLLTLRCEEITININELPSALPVNKCRVI -SIQHCTVSGNSNKTVAECANGFFYEYNGDLHQSPKDDVGIYCFDKTCKTTRFPHHPSNLQGCTSHNAEML -NRKLKEINYTNLEQLKHSLQESIKTDLIEHNYILTKNLPKLNPTFKAISIQGVETDSGIQSSYIETNLMV -KTGLSLGLHLTTKSGDPLFDIIIFVKTAHYEAVYDEIYQTGPTVGINVQHDEKCTGRCPENLMKTGWLSF -AREHTSQWGCEEFGCLAINEGCLFGHCKDIIKPEMTVLRKNQEETPVIRICISLPQETFCQPINAFTAII -TDKIETQFISNEAGRIPKLLGYKSNRIYTGMINDLGTFSKMCGSVQSVTGNVLGAGSPRFDYICHAAQRK -DVTVSRCFDNFYDSCQRLEPTDNIVYDNNVKKVSLLNKNMGELRLKIKLGDINYKLYEKMPSFDFKGSCV -GCIKCIKGVDCEFDIHATGESVCLLTSNCNFYHNNLKIDPNVQKYGMKGKCTDEKIWIDLCGNKIEIQIS -LVQSHETIEVGNSDQTYFVKEKDNRCGTWLCKVSEQGISSIFAPFFAVFGDYAKIAFYCVLGIICLALLI -YLLLPVCGKMRDVLKKNEIEYMKELRGKRI - ->YP_009300682.1 glycoprotein precursor [Shuangao Insect Virus 1] -MKRFILIINILLTAYARPNNCGNGAVMVCEKTYSPGLSMCLRDDLGSQSVWSDEKGVINYLERTLDTGVC -DLVEAENGYLNYHLYECNAGTQTKTFVCSKSCNVETDKLNKVLHLSTHGRNYYVISINNDISSGWFENRK -MIPIEQPKNKIHITCGKNSQQFVIDYKLHDECEIALGDYIPRLFLRPLCSNASLILSLIFFIICMFIVIL -LTQYSQVRALIGTLFFIPVYLFEKLKLKVCGTCKSCGQTVHYFRRCKKTCGCGYIGNSIDELRIHKERHC -SGDSRLLIATEYASTRFLKTVIAVLLTLVLLSLITPISGETREQEREIMCKTWGICNNLVTESPLIKLLG -GTRKNLSDYYYAVVTEESTEVTTTTSETPALVEIEVFDFSTLRKPVILFVELLIVMLCILMLNKQVKIYC -ASTMLKCFLIDLDKRLKSLKYNEFSVKEVYNRTTYQVTSKFLKEIFSKTKNRNSIDISLCKECMMVHTKK -PQEHGTCDCGWVDEDYIDPDNLHQLTVACLLNVSSLSHTLHFSNMMRKVKVILFSLIFFLLIGTATAHCD -HHDSGYVSDVVAAYQQCILDYNGHDIPKCVLSLKLEDPNAMKRCIDEPQVRYTICKLMETRIMGECISNI -AVLANERNIIDAYRRNKTYANMYLDELKSTIKNFFNDPWSLLNKMNRLTNIMNIYAGRAVVFVINEMNEY -KSVTCNGIPPDTVIRSILLDTIEIFKRLEAPMDSEQFLGWRGKQLLETPMTIEETTIQKREVPIGSSTST -ELPPTDATLSSSDEDIMYNTGRRLLTVEESEDTGVKPKYIQGALTTIYSCLNTSEQYISVGLSNESYKIS -NKSLTGLHYFLDISTDTLSYYINSTTVEKVITGNISVPKIPCHYTKYNLDSIIAFGPENCPKNFMSYAND -KEKKKQVLMMSSINSELLVYCWAYTKDNTKVYGYIQQGCYIYLDTSEGVVHDFQRCYDWTPLPNDKFATR -EIIKDKHLKKTIDEMSWRQYVDKYLEPIEVDIQHGKAKVVNAIKSVYKAEKTITIKGITNSKGVEGASVV -FDLNLDQYESVKYTVIDKLGRELFDISLSVESARLTNEYVHSYKAPLSKGIDVRSTSNCTGACGSFKPRY -NSIGYSFDKTSSWGCEEFSCWAIGTGCTWGDCKEIEDRKNGFDIYKRSSDTDLKIATVCVYLLKKGFCVD -LTKNDKKVVGEFDFQSKMADTSILPDLITLDSRETVRIGQINQRGEYGLDCGNYQVVNETVYGIPTPDIH -IHCHGISPKTVSAKTCWKNDNNLCGNLEEMDRSRFNIRRMDNNKMEISREHTQIGTAQIAFDIGSLTVES -KEIAGQTHFRPGHCAGCYDCNLGVFCDVNIETTVRGTCKVNCTCPTTHDGLIVSPEDSLVHLHLTCGAKL -RTIRCTICGQQVEFPSEIKEDKSILSVNTPHERTEIESFDNKYSSWWTEVIREIGGFFSGFNILGYFSTV -KIIFYVIIGFFLIYLLSIFVVPILKITYRAIHGTYKNVIKVSKRTQQLELQERLAPVKTKRLIKVGRND - ->YP_009117085.1 polyprotein [Maprik virus] -MKMLISLLLLVHAVFSAPAEKCFTGGVQFRIERSSIGGNEVCLKDDIAMYKIVVEYQKGDESHTKISAVM -KAFVKETVQNWKECNPVISDNGNLNLITIENDFSISTKTYACAANCEVQVDKQHAKILLRSDSTNFYSIK -GTLFKSGWFKNNHQIELENTCENVEIVCGSTLMRLHSCFKEHMPCIRFLHGKILTLDIATSICSNIELII -TVSMILIFFAILALISKTYLCYLLIPIFIPISYVYSKIYEGCCKRCKVCGLAMHPLSSCPVVCVCGSKFE -NTNRLLLHRKSGLCTGFKVLRNTRFLCKSKGCNFLFAVFLSFLFLNFIAPINAACIDDSNLVETFRDALH -VQSKWEFKELILIIMLGIFTILMISLLIILEKFYILFLRLIVIDCPECNMYHTKLGLRYNGDFTNKCGLC -TCGELDDIEGLKIHKKRPNCAIKFKTYSYKMLIMMALIVLTSNIVIVKAEVSPLLAFKKCLNEEVLSVDC -LPEFMTYPCQIHESVRNTIERFHLIGIAKTSLAIGEGDFDTISSIQANNIYSKKYKSYVNRVANCEHYDR -LINGTNDWITTAKIITRELCTSNNITFCTCITGNCNTATQNFSEKLMGIEKTVILKLYQKIFNGISCDFI -SYLLDHNRDFVTKALLIKAHTIYAKNKPIQGLFRFIVDVADASEAVARAPTIPPVRYNGLSLKNYITHTK -IKECSNPKLLNCTDKHESGRLYSYVTCSVGLKRGVYEYTRSVIKKVDSDTTGCLGDSMCHINFKPISEHQ -MILLKDLVCAESSLRITENFFLTECYPNKYGTCRISDNDIPVVQCTSNRIYKRNDPVIAGAYPGTINIPN -GGSTPLEVAESQCTWLKETISQTNIRRQYHEDLQHFKEAILQKMHNDLVIHKFRPTANLPHLNPTFQSIS -VQGEESDNGVQNSYVVFDIPLISGSTVGLHLKHKGNLLYDFVVFVKSARLEGHYSPIYKTGPTLTINMKH -EELCTGSCPQTIPKEPNWLSFTFERTNRWGCEEYGCLAINTGCLYGSCNDVIKPVGTVYRLQGEPALITE -ICITYPNEAVCQEMRGESLAISETIEIQLEKNEAFSMPDLIYYENNKIFKGDINPLGTYAKKCGNVQMVG -NKTHGMGNPKIDYTCHLAKRKDVIGRRCFDNNYQSCKLLPDANFVYNHTDKELMVFDNTKNYGIGKVKLH -LGDIEYKIYENKLDLSFNGHCFGCTNCFTNIDCTLEIHVDSITICSIEANCPLSTNRLLIKEDLQNYNVK -AYCKSELKTLDFTICGKTVNIPVDLTLNNDKIELDIMDQTTYIREKDNRCGTWLCKVLDEGISINFLGGW -GIYITVTIIIIIIILFILLLIYVIIPCCNNLKESLKHQDYMLLRELKQR - ->YP_009028567.1 polyprotein [Cat Que virus] -MIAVLLLSAVAAIASAHPLAKSHMGERCFAGGSILKDVNQTTGIGEVCVKDDISIIKSVVIPRKEGKVSM -NWIRFYRVYIVKDWHDCNPIVDKKGDFMILDVTEAGTLVPKMHICRATCDISLDRDNAEIIFMSSKTNHY -EVSGTTVINGWFKQTISVSLEHTCEHLTATCGQKTLRFHACFRQHRSCVRYFKNSYIPYRMIESMCQNLE -LIIMILFTFVAFAFSMIITRTYIAYLMIPVFYPATYIYGKLYNKYFKLCVNCNLAVHPFNNCGNLCICGS -RFTCTEQVKVHRICGACPGYKSLSKARAMCKSKTWSFISAILAGIFLFSFITPINADERLYKLDELADDF -IEISNKLSENQFNRMVYKITLSTILGIIMAILLSEKCVFNKMFNHFYRHCSICSMIHYRPGLRFNSSVTN -RCGTCICGYNEQQPDENGYEIPLRDMHKQQESCKYISVRNHYRNMKIILIILVLTTQVITASSDEKECLK -YPFVIDKINLTRCFGHFLNLTDCSLTNKERLFTSLKSENLITDMDKIDFDILDYKSDTAFERIEMSQDLH -KMILLEYIYFKGNCEKLNTMKGNSGPFNVAWRTYIKAHHLDACGQLPHKIICRCISSHQYCQSADIDALS -ELETYYTTHQAAYLMDYETIITTIALAFRGIGKVLIEDFAAGNSDSELDILLTNMDYSLKTNLQLRGIIR -FAKMMGKMSRAKPSRLQRSSIFSISEEPELKGSQFSDFSIHNEDIKICKEPHSMMCYSKRQRTSQNNFLL -CKIESKWKVFKWPEKPTLNTDSGLCYGDKHCNLKFPRLDADTAIKQVSCFKSSFTENPSGMNEQLKKCTA -ISVGDCDTISGVSWPVIHCKNDKYYHSDTKEHAKDGNINSYCLSEACKLDKLPVHKSWFKLCNWDSVVKE -DLEIKEFTHLDIESYRKAIESDIKTDLILNHFKLTKNLPHTVPKYRSMTVQGVDYQDGIQNAYITGTLPA -ISGLAAGYHLSAPDGQQLFDIVIFLKKAVYKARYTKIYSTGPTISINIQHNEQCTGSCPKSIPKQDGWLT -FSKEHTSNWGCEEFGCLAIDSGCLYGSCQDVIRPEMDVYKIQGSEQTLIEICISTPHETMCNDLDVLEPL -IGDKIEVSFQTTQSVHMPTLMGLRKGGLYTGQINDIGNTAEMCGSVQLINGSLIGQGTPKFDYICHAAKR -KDVIVRRCYNNHYVTCELLEKRNNILHTIQGTDMYASLSGNNLGLMNFRIALGDINYKAFIKDTNFEIKG -SCVGCVRCSEEIVCEMSIVSQGEILCPINSECDLYMSNLLIKPEIEKYAIKMSCKKASDSVEIGICGRSG -KYSLTVKPHQQKIDLSKLDESNFVKEEDLKCGTWLCKVKDEGIGFIFDNIFNKIGKIWSIVIYCLSGILV -VMLLIYFIYPCCKRLKGVLEKNEMEFLAEQKIK - ->YP_008709777.1 polyprotein [Murrumbidgee virus] -MAFIIILMVIAVSYAIPVDHCFTGGSVLFTKSGVTGVQNACIKDDIATYKITVTYPGTNATMHHVVNSAH -IKPFVKGWEQCKPLPAKNGNLNIITINKDFHISSKKYACTEDCQITLDKEHAIIKLSSNALNFYSVYGTM -QKTGWFKGYASIELINTCENLEISCGPNFYKVHSCFKDHMSCIRYLHGSIINYNVATSICVNIEIIILLI -MILIIFCILALLAKTYLCYIMIPIFYPISLIYASLYNKFLKACKICGLSVHPLTSCGKLCVCGCLFESTT -RLAIHRKSGICPGYKTLRHSRMLCKSKGCNLLFSIILAVLFLSFVTPITAECIDTQYLEPKYQQLLEIER -NNNVNLVMAIILIAFVSFLILLSAIYEIFFYYIIRLLVVECPECNMYHSKIGVTYFGDFTNKCGYCTCGD -LEDIEGVKIHKRRPNCLSKYKVKYYKYMLFAVILLIIAKDSFAIATPQLIHVKNCLQQEHMSADCVPELI -EYKCENPHAVRQTIQILQHIGFTKPNLQIGESSYEIIGNSQSDNVFTKKYLGFLNRIGNCQYIDKLQSGT -NQWIQFAQSHKPELCSNFTIPFCECLASDCTIKSVNITGWAKIDSDIQRVLKIYQKIFPGIGSEYLSQIV -HSNNVMTLNALQVIFNRQYKNNKQIVSLIQLIKDLEKAHIGNLERRLMVIETSKIIIDDIVLLQNIKECH -SMEILNCTDKHETVSKQYTICTNRQKKGVYKYSGKIFLSKDTRHSCNIDEYCHHDFEALTIQEFQEFKQM -NCLTKTQSRSTDTYKTECYPVQIGKCTPALTQKNVVKCEDNSIHETQLPYKMGTVPGMIKLTDSGSAPMV -VHESKCAWADITKQHTIKLETHDTLENYKQAMMLKLENDLIIHKYKKTIGMPHFKPKYQPISIKGQETDN -GIDESYVEFTLPLLSGASTGLHLMHKNFELYDIVVYIKSARLESLYTGIYETGPTTTINVKHNELCTGSC -PTVVQKEPNWLSFILERTSRWGCEEFGCMAVNEGCLYGSCNDVIKPVGTVFKKEGETKIKSEICINTPTS -SFCKSMDGEILELSDNLELQLEKNEAYSTAELLYFEKNKLYKGDINQLGSYSKKCGNVQMVKNKTHGMGN -PRVDYTCHAARRKDVIIRRCFDNNFESCKLLEEIHNSYNHTDNGLIVSDNTKNLGNLKVKIHLGTLEYKL -YNEKIDLKFHGKCFGCINCFTNIDCEIEIHVDQPVICEISANCHLMHSRIIVNEIEHKYALKAICQKKLD -VLSLSICGQTTDISLDLSLTNDKIELDIADQTTYIREKDNSCGTWLCRVLDEGLNLNIFGGVGFYITVTL -IIIVCIVFIVVLIYCLLPLCSRVKGVLQKQEYEMLREYKLK - ->YP_008400137.1 polyprotein [Brazoran virus] -MSFIIFLLSWALSPALTIPIDNRCFDDGLLIEEMVKPYGISEVCVKDDISLIKTISKQHDNGTYYSNIIM -RKMVIQNYEECNPVEVANGPIMIFRPNTDLMLIPHTYACRAECTISLDKDDASIILHSEKLNHYEVMGTT -TATRWFQGSTTYSLEHTCEHIQVTCGNRVLTFHSCFKHHMACVRLLNKSYMPAFMINSICQNKELIIMTV -VVLIIFSLLYILTFTYISYLLLPIFMPATYVYAKIYDRLCKKCAYCGLAYHPFTKCGENCVCGARFENSE -RMKKHRESKMCKGYKYMRSARLLCKNKGSNFCLAIVLAFLLLSFIQPIEGVQLTYNGTTLELESLSQELD -NMIALINLGRLYPIIASIVLGTFLLFIIIFKAISNKIEDKYLHSFLYYCTECDMTHPKRGLHFYLGGEFT -NKCNSCMCGVIYPQEDINNDPDYCIPITHQINVGCYAPARYHTRRSMYNLTHYIYIVVLIILVCISSSIA -DDTAKCIKPAEFREVADPLTCSVWAKAKSCSAADIQSAITRDSLPAREISIFEKMNHPLNKMLELTEKST -GLLQAYLIEDVASKLHCNEIRDIDQETGKYNKLFKELLTEANLEICAQKKETKLCDCFTGGSTCKPDDTA -DHTVGFYTSNEVAYRSDVKKIMKSLIKTFPGIAAKEALLAMNATSFTGIKNFTERIKTFFSGAKAIKAAL -TLLCKAVADGTLEAKQLQPDKVLTRSLVPFNPEWKTKSVFDQIQEASPVKECITPHIIRCTFPMSLRFQL -FVSCKSEGHKFYYIPDTGYAVKYDAQNLCVADAFCDVDFQPVPTSEKTKLQALSCLRINFDKSKMQNSIS -QVKCQKIALHICSYKSQNTTFMECKNGFFYEVDNDIFQSAKDEIGTYCFSKNCKAMRYPHHKSNLQECRL -HGKRMQLKSLRRVSYDDIEQYKHSLEEAIKTDLVEHKYTLTENLPYTMPTFIPVSIQGVETDAGVENSYI -ESBVIVQTGSATGFKIKTKNGDNLFDIIVFVKSAHYEAVMDEIYQTGPTIGMNVQHNEKCTGSCPENRVP -PGWLSFKRERTSQWGCEEFGCMAINEGCVWGKCQDIIKPDMTILRKATTENPAIEICIVLPSSTYCHSIS -SFNSVITQKIEMQFISNESGKVPKLVGYKSHKVFTGMINDYGSFSKMCGSVQMMNKSINGAGNPRFDYVC -HSASRKEVIMSRCFDNFYDSCLQLKPEHDLIYDTQSRKISLLNKLMGEIKLKIKLGDIAYKVFEKKPQFD -LKGSCVGCINCIEGIDCQLDIAADIDALCPIVSNCQFYHSNIQILISTNKYGLKAKCSSETINIDICGNK -AEIQISIIQPHDTIQVGNSDQTYFVKENDLRCGTWLCKVKDQGISALLSPLYSIFGNYANIAFYVILGVV -VFFLIFYFMIPVFGRIRDALKKNELEYTMENLIPIQHIRNKQGQRRPLLKEKQYIK - ->YP_006590085.1 M polyprotein [Simbu orthobunyavirus] -MPSYVGFTILLCATIVASLPLREGTTGSRCFSNGDLVKTINTSTVVSEVCLKDDISLIKSIGEHYKVGNQ -LAAVLKYFRLYQVKDWHTCNPILDEHGTFMIMNVQEDGLLMPKMHTCRVECDISVNKETGEIVLHSYRLN -HYRIAGTIQQAGWFKNKIEIPLENTCENIEITCGLKTMNVHACFRQHKSCTRYFKGSLMPELMIESICTN -IELILLTTFILVSSIIMMILTKTYIVYILIPLFYPFVKVYGIIYNKYFKLCKKCLLAVHPFSDCPTTCIC -GMVYNNTESLKLHRLCSNCTGYRALTKSRRLCKSKGWNIFLCICFGLIFFSFITPVQSECFKFEDLTQEF -KSCLSENAQIKERESNNIKTIIAVLVILLTLMAFKIPIVNVYQMITITKCKFCGMLHYKKNLKVGEGYTN -KCLFCICSEDKGLVAHTARESCKYYSTKNIDKVFTILLIVILVILIKPIYGTSTDCREFRVDEPTIEQIS -KCLAIYQNKTSSDRKEQVIESLKQYATKEEIESLNIPDDYEELNTKIEQFTVLEGKIFLEYYKSQTLSED -VNGIKILNDPQNIKWKMYIKHRTMNLCMPHSYKYICRCIHSFKYCSSTATDHESEITTYYRDKAAEYTHD -LNLLLDAIKYAVPGLGASILDQIKKDKDYDNIPHITGKLQVYAKNNIHLLGILKFIDHMRSLSVTGLQKG -SARLKTIKSISSEPVLRANTVGESPITSCYQAKKATCVSPKGVGTPNQYLLCQSKLYLWPMDGVYVSNRN -PSEHCADDTHCHIPINPPKDDISKKVCREHNIETNTDIYSKSVTECSVEKFGTCNVKSVTWQIAVCNGVY -YYTSARQHAKGHDITSYCLTASCTEARYPFRKRLCTQTVWDTTYRDKVHIKKLSHTNIENYIAAIQSELS -NDLSTHSYRPLKNLPKVIPTYKSISIQGDESKTGVRNAFVKSSLPAITGVSTGLNVKFKDGSELFSLVVF -VKKIIVKATYRHIYTTGPTVGINTKHNEICTGTCPKTIPGEKNWLTFSKEHTSNWGCEEWGCLAIGAGCL -YGSCQDILKPELRVYKKVGTETREVEVCITMPHETFCNLVDVLQPLISERVQISLETVDVKQLPTLIGIK -NGKVLVGDINDLGNTAKKCGSVQITSDSVIGSGTPKFDYICHAAQRKDVIVRRCYDNAYDSCRFLQEDPN -YNIAQGTATELHLKTANIGQIDFKVMLGDFDYDVVSRDSTVIINSIKCAGCSDCVEEMACALNIQAESST -TCKVTSNCELYMDRIILDPAVNDYSLKLSCKKDIKIIKVAVCKSASETIPILKQSSVKLDLTSLDESAYI -QEHDKKCGTWLCRVKEEGLSIIFEPIFGKISYYWKIFIAVTSAIIGLLALVYICFPVCKRLKGLLEQNER -IYQMETKFK - ->YP_006590081.1 M polyprotein [Shamonda orthobunyavirus] -MKTILRIASILAQCAIMICLPLKNSIGGRCFTGGEPFKTINATSAPSEVCLRDDISMVKSIGIHSRGDDS -DMITSSVTFYRLYYVKDWHDCNPISDHMGTFLVLNIEDSGSIKAENYACRTRCDISLNRDRGTIELTSTN -LNHYSITGTTIASGWFKLKLEVQLLSTCESISVTCGQKTLEFKACFRQHRKCINYFHGSILPEIMIEGIC -ANLEVIILVFFICLNTILAIIITNTYVIYALIPLIYPFYKLYGIIYNKCLKKCKNCKLAIHPFTICPTKC -ICGMVYNSTEALYLHRQCNNCTGYKALTHARIACKKKIPNAIMAIFTTILIFSFLTPVSAECYNLSELPQ -DYINMVNYIGMRSLLGYVVASLALLIAIVVLLQNKLAEQALKLYYVNCAFCGMIHHKRNLILEQGFTNQC -LTCICHDKNIHKATKKCTIRYKWHITNNIRWLVFVSILIIMPASIYPMQCLRSEEITNLEEASACISVYQ -NVTQKKQYHELIKSMSEQLSSDEVSILLPQVVPSYINLIHEIENENDLHTAIVKEIILANLYPEIVKKYY -SAAGPDTVKWRTILLNAGLHICSEHVVKMICRCALLQQECQSVTSDDGNQIETYYKSHKEEFYEDMASIF -KVIYTAFPGLTKFLLVRSMSSKALQDAVPVLGKLKYYTRNNNHLNGIITFAEHIISKNVTSESRTINFEV -RKLTGQQFTDKNVGSSGITTCQTPKLVTCTGKRLRSLQKEYIACSNNGVKMYLKEDKIYCRVGADLCVGD -KYCLISFTPITDKENVDKLICYATEFRDQSNGMLKSSQSIRVKKLGSCALKGQLVNIAMSSENLLYKYDT -IYHKKTPLVDEYCLSEKCTSDHYPYSSENLKNCVWTITNHKFQSQLHIDHQDIESFISGIKLSLHNDLIT -HNYKPTQNMPHIIPNYKSISIAGSDNGNTITDAYVLFTIPLTTGLSQGFSVNTKTNKGLFDLVVYIKRAT -IKAEYTFEYETGPTIGINAVHSEKCTGFCPREIPHATNWLTFTKEHTSSWGCEEWGCFAINTGCVYGSCQ -DIIKPEGKVYKKIGSEAIDAEICITDSSETFCTEITSYNPILGEKVQIEIMSQDSSLLPSNIFQKNNNIY -KGDINPKGTFAKKCGSVQKVQDQIYGSGEPRFDYICHAASRKDVVVRKCYDNAYISCSTLESIQNMDLIK -DESKWYLRQDTGLYGSVKVKLLLGDLNYKQDDTTTTRITAKAVCGGCTDCFDDVSCRVDVTSNNIASCSV -ESTCSPYINRLSLVEGSQQLHLKFKCKMEAIAFSICGIKAEIRSEIVKSHKILDLASLSQTSYIREYDKK -CGTWLCRVYNEGIEGIIGPIWKEFNIWLKYGTVVVVLIFSVILIVKVINPLIKLIINTLKHNEQMYLLES -KQK - ->YP_006590080.1 M polyprotein [Sathuperi orthobunyavirus] -MLLNIILISNLAYLAFTLPLKEGTRGSRCFLNGELVKTVNTSKVVSECCVKDDISIIKSNSEHYKSGDRL -AAVIKYYRLYQVKDWHSCNPIYDDHGSFMILDIDNTGTLIPKMHTCRAECEISLNKDTGEILLNSYRINH -YRISGTMHVSGWFKNKIEIPLENTCESIEVTCGLKTLSFHACFHTHKSCIRYFKGSILPELMIESFCTNL -ELILLITFILAGSVMMMILTKTYIVYIFIPIFYPFVKLYAYMYNKYFKLCKNCLLAVHPFTNCPSTCICG -MNYTTTESLKLHRMCNNCSGYKALPKTRKLCKSKLSNIVLCVIASLIFFSFITPISSQCIDIEKLPEEYT -ACTRELDNIKSLTINDTYSFIYSCVCIVVLIMIKNAIKYLLYCNCAFCGMIHERRGLRIIDNFTNKCLSC -VCAENKGLTIHKGSKKCLFRFESNYKRIGLVIFVILLIPTIVMSEEGSINCKNIKPAQLTIDHLSKCMAF -YQNKTNSPVGINEIISDAFPDEQELVKGLNLNCDVIDRFISEASVIETQVYYEYIKSQMCPTQVHDIFTI -KSASNIQWKALARSFTLGVCNTNPHKHICRCLESMQMCTSTKTDHAREMSMYYDGHADRFEHDIKIILNI -MRYIVPGLGRVLLDQIKQSKDYQALRHIQGKLSPKSQSNLQLKGFLEFVDFILSTNVTIKKSPQTLTTLS -LVKGAHRNLDQKDPGQTPILVCKSPQKVICYSPRGITHPGDYISCESKIYKWPSLGVYRYNKDQQQACSG -DTHCLEMFEPAERTISTKICKISDIAYSESPYSTGVPSCNVKRFGSCSVRGHQWQIAECSNGLLYYVSAK -SHSRTNDITLYCLSANCLDLRYAFRSTSCSDIVWDTSYRNKLIPRSISHPDIENYIAALQSDIANDLTMH -YFKPLKNLPAIIPQYKTMTLSGDKVSNGIRNSYIESHIPAVNGLSAGINIATPNGDNLFSIVIYVKKVIN -KASYTFLYETGPTIGINAKHEEVCTGKCPTPIPHQDGWITFSKERSSNWGCEEWGCLAINDGCLYGSCQD -VIRPEYKIYKKSGIEQKDVEVCITMAHESFCSTVDVLQPLISDRIQLDIQTVQMDSMPNIIAVKNGKIYV -GDINDLGSTAKKCGSVQLYSEGVIGSGNPKFDYICHAFNRKDVILRRCFDNSYQSCLLLEQDSTLTIAST -NHMEVHKKVASVGTINYKIMLGDFDYTAYSTQATITIDEVRCGGCYGCPEGMACSLKITTNSIGSCPIKS -NCDTYIKIIAVDPMQSDYSIKLNCPLATDTISINVCSASVSTKPSISKNHPKIVLNSLDETSYIEQHDKR -CSTWLCRVYEEGISVIFQSLFGNLSFYWKLTIYIILSLFILILTLYILIPLCKRLKGLLEYNERIYQMEN -KFK - ->YP_006590070.1 M polyprotein [Aino virus] -MFFKVILIFILFKQCKQIPLREGTTGSRCFANGELVKTVNATNVPAEVCVKDDISIIKSNGEHYKKDNNI -GAVVKYYRLYQIKDWATCNPILDTHGTFMLLDIDNTGMLIPKMHTCRVECDITLNKDTAEIILNSYRLNH -YRISGSMHISGWFKNKIDIPLENTCETIDVTCGLKTMSFHACFHTHKSCTRYFKGSVLPEITIESMCQNI -ELIIIGSAIFFGSIFLIILTKTYIVYLFIPIFYPFVKIYAILYNRYFKLCKKCLLAVHPFTNCPTTCICG -MSYGNTESLKLHRLCKTCDGYKALPKARRLCKSKVSNIILCTCSALIFFSFITPINAECFTLDMLPDEFR -ECRENTSILIHASSTKICLLLSISALYLFTPIVIRFFLYLLYVVCPFCGMLHKRHGLKMSGDMTNYCLVC -VCSKDKGLTYHKVSSNCYSPVKIKIMYVWITILFLMNTTITVAAENKIDCLHLKENEIGIQKISQCIAIH -QNYTESAKSLEVILQEMSTVEQQEKEEIMSSEIRCTNINKIIESLTVLEAQVFYEQIKSKMCPSEVNDIT -RLNSGGNTQWKTLARTYTLGLCNQHPHKHICKCMSSFTYCTSTLTDHADETKKYYTNKITNFEHDIKIIL -RIVKYMVPGLGSMLIDKIKKSRRYTDLIHITGKLIPKASENTQLKGFLEFTNKMLTYNVSIVSEEPEISA -MSLIKRDGQTVNSKIPGVTPINSCTGAKKVICFSPRGVSQPYDYIICNDKLYKWPQDGVYKNNKNNGEAC -ARDTHCISMFEPATRGIDRKICKSYEITYNEDAYSNSIAECVVEKFGTCTVKSSTWSFAVCQGLYYYTSA -RQHAKTHDITKYCLSNTCQEQRFPFRSDYCSGTVWDSTYRTKLNTRHISHPDIENYIAALQSDIANDLTI -HHFRPTKNLPAIAPSYIGVTIKGDKVSSGVRNSYIESKLPAISGLATGVDIKMPDGNDLFSLVVYIKKIT -VKSNYQYIYSTGPTISVNVKHNEQCTGKCPTSIPADTNWLTFSRERSSTWGCEEWGCMAINDGCVYGSCQ -DIIRPELKIYKKIGSETKEAEVCITTAHETFCNTVDVLQPLISQRIQLDLQTVTTANMPNIIGVKDGKIY -SGDINDLGTTAKKCGSVQMTDNSIIGTGNVKFDYICHAFNRKDIIVRKCFDNAYESCKYLDLRNDLLMQA -TTNNEIHMKVSNVGTINYKVMLGDFDYDMFKESAALTVDVLKCGGCLSCPDGMHCAFKATTDKATLCKIV -SNCISFLNNIIIDPQQSEYSIKLECSQLINDIEISLCGLKLKARPSITKQNPKISLASLDESTYIEQHDE -RCATWLCRVRDEGISAIFSPIFGGLSYYWAIAMYSFLAILLIVFLLFILVPFCKRIKGVLEYNERIYQIE -NKSK - ->YP_001497160.1 M polyprotein [Akabane virus] -MIITILNVLTLVVTVTAMPPRNTNGGRCFYGGDMFRQINSTSPMSEICVRDDISLVKSIGYHKLAANREV -IESSMSYYRLYYVKNWFECNPVQDILGTFMVFDVNHEGILAPKTYACRATCSISLERDTGNVVLESPALN -HYTIHGTTIKNGWFKTKVSIDLDNTCEDLHITCGHKTLNVHACFRQHKSCIRYFKGSILPEVMIESICTN -AELILLCCFSAISCFVAIILTKTYLVYLLIPIFYPFVKLYGLLLQRFCKQCKNCLLPIHPFSPCPTTCIC -GMVYNSTEALKVHRKCLNCTGYKTLTKTRYLCKKRLPNMVLATISTVLFFTFITPITAECYNYTSLPADF -QAAIDKNNSCTREQLIMIILTILCIASTIMVISAVHLYIRIYYVFCAYCGMVHEKKGLILLDNFTSHCLT -CICRDKSIHRANNNCVTPMKYKTAKYTNLLCIILLIIIAVTPAFSACIQEKEIETIDDAAMCIGLYQNIT -QPKEYNTFVKELSSTLSSHEIEFLLPAVKPSFDYLITKSSTSKDLHSATIHEFIAANLYPNNFKKHLASA -GPGSIQWRTYIQNNNLHLCNDHVVKMICRCVIKQEECSSTKVDDGEQIAQYYKRNKEFYKADLEILYTVI -SRAIPGLVGNLLRQVLKSQKYGESLHVLNKIKKDVSKNNQLNGIVEFLIHINSQNITEEVRELRIRPDLS -IRGSKFTDKNPGIPDIKECQTPLFITCTGKRFRSLMKQYIACSNGGVKLYQRPNKPLALVGNKLCIGDKY -CMIAFDPMVIDENIQKLDCYSLAATDQSDGMLKPERSIRLLKTGECKIAGALSRIAVSINQKNYKYSTIV -HKKSGLVDEYCLSPNCDLDCYPYYPANLVDCSWSESTHSTLNQKVISHTDIESFISSVKLSLHNDLIQHH -FRPLSNMPHVKPNFKSINVQGTISGGKIQDSYITFSIPLMTGLSQGFTLQDHKGNTLFDIIAYVKSARVI -ATYNHEYKTGPTVSINVQHNEQCTGSCPSSIPKKDNWLTFSREHTSTWGCEEWGCLAIGTGCVYGSCQDV -IREEATVISRVNNEQLEVEFCVSEPTSTMCNTINVLEPVLGEHMQFEVHSVQTNLLPEVALIKNRRVYKG -SINKKGVFNPQCGSVQSFDGKLYGIGNPKFDYICHALSRKDIVVRKCYENHYYSCATLKEAVEIKPNITN -SKTMLYNDNALLGSASVKIMLGDLIYQQTSVQEKDIRGHATCGGCTDCFNDVACKISMTSNGVYQCPIVS -SCDSYINNVYINEGTNDVNLKFRCLKAEIKISICGKEIPVKSEIIKDTKKLDWASADQTSYIKEFDKKCA -TWLCRAYNEGIGFVLEPLWNELSLWGKYVLLALAIIISVLILVKVIRPLARYVVAVLKENDKVYKLENKL -K - ->NP_982303.1 polyprotein [Oropouche virus] -MANLIIISMVLGVAYGHPLSTSQIGDRCFAGGNLFKEMNLSVGLGEICVKDDISIVKSTTVFSKNKPALE -ATTKFYRSFIVKDWSECNPVLDKFGNFMVLSVDDNGHIIPKMYTCRAACDIRLNKDNAEIILSSTKLNHF -EIVGTTSTSGWFKNTITNNLEHTCEHVTVNCGQKSVKFHACFRQHRGCIRFFKGTYMPYSMIEAMCVNIE -LIILTLYIFAAIIFALIITKSYVAYLLLPLFYPVTWFYGKVYKKINSCPNCLLASHPFTSCPKFCICGSR -FSCTEALKVHRMGKDCLGYKSLSKARQMCKSKSWSFTAAILTGLILMEFISPIAGERMYKLEELADEFIK -LTEQVNILEREMEVLKQSIIVMFAIILVLLLFENMIFNRLFRIFYRSCSMCGLIHYRPGLKIDLTKTNRC -GSCICGFDEQQSSGFEYEIFLKDMHVQKESCKFSPRVNHFRNIKALLFALVICATIYTVYADEDCLSKDI -KITYQELHNCIGPKIMGDTCVSKSELYSDLFSKNLVTEYDKKYFEPDTVNDQFNKIEFAQDAHRMILLER -ILYKTECEMLSLKKNSGPYNVAWRTYLKNHNIDLCSRHNYKMICQCINTHSMCNNTDIDYNKEIETYYKS -NAAAYRADLNTIMDTLKTAFRGLTKVLIENYIEKDDSDALKALFSNITDSVQDNYQMIGILKFASKLLDI -NLGRSTRSAHHSIMTNEIPKSNPFTDYSYSNLNIKECMSPESLKCFKKRGSTPHTNHLLCKIDNKYKAFD -WPEIETIQKGQKLCLGDSHCNLEFTAITADKIMSLTNCYKESFTAQPADMQAGIKKCSADEIGECTTLED -KTWPIIFCGGKYYYSDSKEHAKDGSINNYCLTNKCSEQRFPIHENWFKKCNWDKTHKEFSTMRQINYNDI -TSYRKAIESEIGTDLMTHHYKPTKNLPHVVPRYHSIDVQGTESTEGIINGFIQNTIPAISGLGVGYHLNF -QSNQLFDIVIFVKKAVYKAQYQKAYTTGPSISINIEHNERCTGHCPEKIPAKEGWLTFSKEHTSSWGCEE -YGCLAIDTGCLYGSCQDVIRPELDVYKKIGSEVSLIEICITLPHETYCNDMDILEPIIGDKLSASFQNTQ -TNQLPTLIAYKKGKIYTGQINDIGNTALQCGSIQVINGSTIGTGSPKFDYICHAMRRKDVIVRKCFNDNY -QSCTRLEKRNDLIPYRKGDVIEVSKTGSNMGQMTFKIELGDINYKIFTKSIDLQMSGICAGCIDCAEGIS -CSINAEVPAETVCHCKTNCEDFINNIVFSPQIKNYNIKVHCKSKVEKITAHICGRDIDLQLTIKPYNQKI -DLSQLDESNYIREEDLQCGTWLCKVQKEGIDIIFKGLFSGLGRYWTILIYSIIGVVIIVILVYILLPIGR -LLKAFLIRHEIEYAMEQKIK - ->NP_671969.1 G1/G2 membrane polyprotein [La Crosse virus] -MICILVLITVAAASPVYQRCFQDGAIVKQNPSKEAVTEVCLKDDVSMIKTEARYVRNATGVFSNNVAIRK -WLVSDWHDCRPKKIVGGHINVIEVGDDLSLHTESYVCSADCTIGVDKETAQVRLQTDTTNHFEIAGTTVK -SGWFKSTTYITLDQTCEHLKVSCGPKSVQFHACFNQHMSCVRFLHRTILPGSIANSICQNIEIIILVTLT -LLIFILLSILSKTYICYLLMPIFIPIAYIYGIIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYDTSDRM -KLHRASGLCPGYKSLRAARVMCKSKGPASILSIITAVLVLTFVTPINSMVLGESKETFELEDLPDDMLEM -ASRINSYYLTCILNYAVSWGLVIIGLLIGLLFKKYQHRFLNVYAMYCEECDMYHDKSGLKRHGDFTNKCR -QCTCGQYEDAAGLMAHRKTYNCLVQYKAKWMMNFLIIYIFLILIKDSAIVVQAAGTDFTTCLETESINWN -CTGPFLNLGNCQKQQKKEPYTNIATQLKGLKAISVLDVPIITGIPDDIAGALRYIEEKEDFHVQLTIEYA -MLSKYCDYYTQFSDNSGYSQTTWRVYLRSHDFEACILYPNQHFCRCVKNGEKCSSSNWDFANEMKDYYSG -KQTKFDKDLNLALTALHHAFRGTSSAYIATMLSKKSNDDLIAYTNKIKTKFPGNALLKAIIDYIAYMKSL -PGMANFKYDEFWDELLYKPNPAKASNLARGKESSYNFKLAISSKSIKTCKNVKDVACLSPRSGAIYASII -ACGEPNGPSVYRKPSGGVFQSSTDRSIYCLLDSHCLEEFEAIGQEELDAVKKSKCWEIEYPDVKLIQEGD -GTKSCRMKDSGNCNVATNRWPVIQCENDKFYYSELQKDYDKAQDIGHYCLSPGCTTVRYPINPKHISNCN -WQVSRSSIAKIDVHNIEDIEQYKKAITQKLQTSLSLFKYAKTKNLPHIKPIYKYITIEGTETAEGIESAY -IESEVPALAGTSIGFKINSKEGKHLLDVIAYVKSASYSSVYTKLYSTGPTSGINTKHDELCTGPCPANIN -HQVGWLTFARERTSSWGCEEFGCLAVSDGCVFGSCQDIIKEELSVYRKETEEVTDVELCLTFSDKTYCTN -LNPVTPIITDLFEVQFKTVETYSLPRIVAVQNHEIKIGQINDLGVYSKGCGNVQKVNGTIYGNGVPRFDY -LCHLASRKEVIVRKCFDNDYQACKFLQSPASYRLEEDSGTVTIIDYKKILGTIKMKAILGDVKYKTFADS -VDITAEGSCTGCINCFENIHCELTLHTTIEASCPIKSSCTVFHDRILVTPNEHKYALKMVCTEKPGNTLT -IKVCNTKVEASMALVDAKPIIELAPVDQTAYIREKDERCKTWMCRVRDEGLQVILEPFKNLFGSYIGIFY -TFIISIVVLLVIIYVLLPICFKLRDTLRKHEDAYKREMKIR - ->NP_047212.1 M polyprotein [Bunyamwera virus] -MRILILLLAVTQLAVSSPVITRCFHGGQLIAERKSQTSISEFCIKDDVSMLKSEIVYTKNDTGIFGHSKV -FRHWTITDWKACNPVVTAGGSINVIEVDKNLNLVTRNYVCTGDCTITVDRKNAQIIFQTDKLNHFEVTGT -TISTGWFKSKASVTLDRTCEHIKVSCGKKTLQFHACFKQHMSCVRFLHRSILPGSMAISICQNIELIIIT -ILALCIFIIMIILTKTYICYVLIPVFMPIAFAYGWAYNRSCKKCTCCGLAYHPFTNCGSYCVCGSKFETS -DRMRMHRESGLCQGFKSLRVARRLCKSKGSSLIISILLSVLILSFVTPIEGTLTNYPTDQKYTLDEIADV -LQAKTHEDSTKYYIILYTSLFGAGLTIIFAGVALGLTIILEVLTKINVIFCNECNMYHSKKSIKYVGDFT -NKCGFCTCGLLEDPEGVVVHKAKKSCTYSYQINWVRGIMIFVAFLFVIQNTIIMVAAEEDCWKNEELKED -CVGPLIAPKDCTDKDHKTYLSEASLLATAKKITQVDAENVEILGKTMESAIRVIERQKTYHRMHLLEAVF -LNKHCDYYKMFEHNSGYSQVKWRMMIKTQHFDICALQANSPFCAQCIADNSCAQGSWEFDTHMNSTYSSK -VDNFKHDFSLFLRIFEAAFPGTAYVHLLTNIKEKKPYQAVSMIEKIKKKFPNNKLLIGYLDFGKYLLGLS -HASTYELQQRQLDKLYQPTELTRSGGQQTSLANSVVGQATKECKKYKDVSCLSPRFGIPLEDLISCCDQP -NYNIYKKPKKVYKAHDKEETWCINDQHCLVDFVPAEADTVEKLKPMKCWLVDPGKNDDVYSIAIKTCRVV -DKGVCTVNSQKWNIIKCDSGPLYYSDHIPGEDTGNDIGHYCVSAGCKTDRYPINPDVVTDCVWEFTSRKS -QYIGKISMQSLEDYEKALTDRLTHTLETYSFAPLENLPHIKPVYKYITAQGVENSDGIEGAFITASIPAA -GGTSIGYNVRSKDGFPLLDLIVFVKSAVIKSTYNHIYDTGPTISINTKHDEHCTGQCPSNIEHEANWLTF -SQERTSRWGCEEFGCLAVNTGCVFGSCQDVIRPETKVYRKAVDEVVILTVCITYPGHTFCTEINAIEPKI -TEEIELQFKTVDTKTLPYIVAVNNHKLYSGQINDLGTFGQMCGNVQKTNSSILGTGTPKFDYTCHGASRK -DIIVRRCYNNNFDSCKLLKEETQLIFNDDHDTITVYNTNHLIGELAIKLILGDIQYKLFTETLDLQIDAK -CVGCPDCFESYSCNFQIVSNIDTICSLEGPCDTFHNRISIKAMQQNYAVKLSCQKDPRPSGTFKICNREY -TVVFHTVAKDDKIEINVGDQTSFIKEKDDRCKTWLCRVRDEGISVIFEPIKAFFGSYFSIFFYIIVVVVV -GFLIIYIFMPMFMKLKEVLKANEKLYLQEIKQK - ->sp|P09612.3|GP_BUNL7 RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G2; Contains: RecName: Full=Non-structural protein M; Short=NSm; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G1; Flags: Precursor -MIRMLVLIVVTAASPVYQRCFQDGAIVKQNPSKEAVTEVCLKDDVSMIKTEARYVKNATGVFSNNVAIRK -WLVSDWHDCRPKKIVGGHINVIEVGDDLSLHTESYVCSADCTIGVDKETAQVRLQTDTTNHFEIAGTTVK -SGWFKSTTYITLDQTCEHLKVSCAPKSVQFHACFNQHMSCVRFLHRTILPGSIANSICQNIEIIILVTLT -LLIFILLSILSKTYICYLLMPIFIPIAYMYGVIYNKSCKKCKLCGLVYHPFTECGTHCVCGARYDTSDRM -KLHRASGLCPGYKSLRAARVMCKSKGPASILSIITAVLVLTFVTPINSMVLGESKETFELEELPDDMLEM -ALRINSYYFTCILNYAVSWGLIIAGLLVGLIFKKYQHRFLNIYAMYCEECNMYHDKSGLKRHGDFTNKCR -QCTCGQYEDATGLITHRKTYNCLVQYKAKWMMNFLIIYIFLILIKDSAIVGQATGTDFTTCLETESINWN -CTGPFLNLGNCQKQQKKEPYTNIATQLKGLKAISVLDIPIITSIPDDIAGALRYIEEKEDFHVQLTTEYA -MLSKYCDYYTQFSDNSGYSQTTWRVYLRSHDFEACILYPNQHFCKCVKNGEKCSSSNWDFANGMKNYYSG -KQAKFDKDLNLALTALHHAFRGTSSAYIAAMLSKKSNDDLIAYTNKIKAKFPGNALLKAIIDYIAYMKGL -PEMANFKYDEFWDELLYKPNPAKASNLARGKESSYNFKLAISSKSIKTCKNVKDVACLSPRSGAIYSSII -ACGEPNGPSVYRKPSGGVFQSSTDRSIYCLLDSHCLEEFEAISQEELDAVKKSKCWEIEYPDVRPLQESD -GAKSCRMKDSGNCNVATNRWPVMQCENDKFYYSELQKDYDKTQDIGHYCLSPGCTTIRYPINPKHISNCN -WQVSRSSIAKIDVHNVEDIEQYKKAITQKLQTSLSLFKYAKTKNLPHIRPIYKYITMKETETAEGIESAY -IESEVPALAGTSVGFKINSKEGKHLLDVIAYVKSASYSSVYAKLYSTGPTSGINTKHDELCTGPCPANIN -HQVGWLTFARERTSSWGCEEFGCLAVSDGCVFGSCQDIIKEELSVYRKETEEVTNVELCLTFSDKTYCTN -LNPVTPIITDLFEVQFKTVETYSLPRIVAVQNHEIKIGQINDLGVYSKGCGNVQKVNGTVYGNGVPRFDY -LCHLASRKEVIVRKCFDNDYQACKFLQSPASYRLEEDSGTVTIIDYKKILGTIKMKAILGDVKYKTFADS -VDITAEGSCAGCINCFQNIHCELTLHTTIEASCPIKSSCTVFHDRILVTPNEHKYALKIVCTEKPGNTLT -IKVCNTRIEASMALVDAKPIIELAPVDQTAYIREKDERCKTWMCRVRDEGLQVILEPFKNLFGSYIGIFY -TFIISIIALLVIIYVLLPICFKLRDTLRKHDDAYKREMKIR - ->sp|P12430.1|GP_BUNGE RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G2; Contains: RecName: Full=Non-structural protein M; Short=NSm; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G1; Flags: Precursor -MAISTSLLIVALLIKLCLVNTAPPISKCFQDGILIAELKSSSGISEFCIKDDISILKSEITYSKNDTGIF -MHSKVFRHWTVADWKQCNHTSAGGSTNVLEVDKNLNLVAKNYMCTRPCVITIDKENAQLLFQTEQLNQFE -VTGTTISTGWFKSKTSVSLDNTCEHIKVTCGKKSLQFHACFKQHMSCVRFLHRSVLPGYMASSICQNIEL -IIIIILTLAIFIFMCIITRTYICYLMLPLFAPIAYLYGWLYNRSCKKCICCGLAYHPFTNCGSYCVCGSR -FETSDRMRLHRESGLCQGFKSLRVARSLCKSKGSSLVISILTAMLILSFITPLEAMTTNYPDDKKFTLKE -VNDIVLGRDMEQELKSSILILMSICGIGIILIFFGLTVLLEIVLELIAKRSTIFCKECNLIHDKKSMTYR -GDFTNKCGFCPCGELEDPEGLVIHTTRKSCTYYIKIRNLKLIMLIFSIVILMQNATMLVVAGENCWTNTE -IKADCVGPLIGPSACTNKGSKTYKTVAQELVTASKITQLDADKYVLLGDTIESALDAITSQKHYSAMHLL -ETMFLMKHCDYYKVYEHNSGYSQTKWRLIAIANSFDICTNTPTPNFCKCLSDSSCSTTTLNFATSMNATY -TSKVEFFNHDFTLFLDIFEAAFPGSATAFLFKKIKEKNPYQAFEMMGKIANKYPNNKLLVVILKYGQYMV -GLSHASTYQLKQEWVAKSLSLTRAQRTGLKMSMANAEPGPATKECSDAKTIACLTPKFQVEVNNLMSCGA -SPNFKIYVKTGELYKAHDRNSVWCLNDMHCLTPYTPANAEIITTMKKMDCWQDNPKQPTDEYAIPKRSCQ -MKDRGLCNSGADKWKIIKCDNHKLFYTDALERRDPASIVGSNHCFSEKCQIERYPINPTSLTNCEWLYRA -VRPEYIKKLSLQTIEEYKKAIADKLTHTLQLYHFAPLLENLPHIKPTYKYITAQGTYTADGIEGASITTS -IPALSGTSVGFKINAKDGTDLLDIVVYIKASVVKSIYNHIYDTGPTININSKHDELCTGQCPKKIPADPN -WLTFSQERTSRWGCEEFGCLAINTGCVYGSCQDVIRTETKVYRKANEETVMLTVCITYPGHTFCTDVNAH -EPKITDELELQFKTIDIKSLPNLVAVTNHKLYTGQINDLGTFGQMCGNVQKTNTSHTGAGTPKFDYTCYS -ASRKDIIIRRCYNNNYDSCRLLNQESDLLFDDNHETLVVYNNKRLNGELALKLLLGDIQYKLYTENMELE -LEAKCVGCVGCFESYQCNLQITSSLDETALYLVPVSHFHDRIQIKTTKKDYAMKISCTRDPGDKASFRVC -GKSYDFNFHTVPKNDKIEVNVGDETSYIKEKDNRCGRWLCRVRDEGLSVIFEPLNNFFGNYLNMFLYILG -GIILLFLALYILMPMCARLRDELKRNERLHQMEMKKR - diff --git a/seq/clusters_seq/cluster_150 b/seq/clusters_seq/cluster_150 deleted file mode 100644 index 46e4f08..0000000 --- a/seq/clusters_seq/cluster_150 +++ /dev/null @@ -1,437 +0,0 @@ ->NP_542258.1 polymerase, partial [Primate T-lymphotropic virus 3] -PTLKCSPCPNTQAYWVRTSSPAPGGLAVPVKPERLQALTDLVSRALEAKHIEPYQGPGNNPIFPVKKPNG -KWRFIHDLRATNSLTRDLASPSPGPPDLTSLPQDLPHLRTIDLTDAFFQIPLPAVFQPYFAFTLPQPNNH -GPGTRYSWRVLPQGFKNSPTLFEQQLSHILAPVRKAFPNSLIIQYMDDILLASPALRELTALTDKVTNAL -TKEGLPMSLEKTQATPGSIHFLGQVISPDCITYETLPSIHVKSIWSLAELQSMLGELQWVSKGTPVLRSS -LHQLYLALRGHRDPRDTIELTSTQVQALKIIQKALALNCRSRLVSQLPILALIILRPTGTTAVLFQTKQK -WPLVWLHTPHPATSLRPWGQLLANAIITLDKYSLQHYGQICKSFHHNISNQALTYYLHTSDQSSVAILLQ -HSHRFHNLGAQPSGPWRSLLQVPQIFQNIDVLRPPFIISPVVIDHAPCLFSDGATSKAAFILWDKQVIHQ -QVLPLPSTCSAQAGELFGLLAGLQKSKPWPALNIFLDSKFLIGHLRRMALGAFLGPSTQCDLHARLFPLL -QGKTVYVHHVRSHTLLQDPISRLNEATDALMLAPLLPLNPTTLHQITHCNPHALRNHGATASEAHAIVQA -CHTCKVINPQGRLPQGYIRRGHAPNVIWQGDVTHLHYKRYKYCLLVWVDTYSGVVSVSCRRKETGSDCVA -SLLAAISILGKPHSINTDNGTAYLSQEFQQFCSSLSIKHSTHIPYNPTSSGLVERTNGILKTLISKYLLD -NHHLPLETAISKSLWTINHLNVLPSCQKTRWQLHQAQPLPSISENTLPPRASPKWYYYKIPGLTNPRWSG -PVQSLKEAAGAALIPVGGSHLWIPWRLLKRGICPRPESNAVADPETKDHQLHG - ->NP_542257.1 protease, partial [Primate T-lymphotropic virus 3] -SLPCPGAKKLLRGGGLTSPRTILPLIPLSQQRQPILHVQVSFSNTSPVGVQALLDTGADITVLPAYLCPP -DSNLQDTTVLGAGGPSTSKFKILPRPVHIHLPFRKRPVTLTSCLIDTNDQWTILGRDALQQCQSSLYLAD -QPSSVLPVQTPKLIGLEHLPPPPEVSQFPLNRSASRP - ->NP_542256.1 gag polyprotein [Primate T-lymphotropic virus 3] -MGKTYSSPVNPIPKAPKGLAIHHWLNFLQAAYRLQPGPSEFDFHQLRKFLKLAIKTPVWLNPINYSVLAG -LIPKNYPGRVHEIVAILIQETPAREAPPSAPPADDPQKPPPYPEHAQVEPQCLPVLHPHGAPATHRPWQM -KDLQAIKQEVSSSAPGSPQFMQTVRLAVQQFDPTAKDLHDLLQYLCSSLVTSLHHQQLETLIAQAETQGI -TGYNPLAGPLRVQANNPNQQGLRREYQNLWLSAFSALPGNTKDPTWAAILQGPEEPFCSFVERLNVALDN -GLPEGTPKDPILRSLAYSNANKECQKLLQARGQTNSPLGEMLRACQTWTPRDKNKILMIQPKKTPPPNQP -CFRCGQAGHWSRDCKQPRPPPGPCPLCQDPAHWKRDCPQLKADTKGSEDLLLDLPCEASHVREQKNSSGG -ED - ->NP_049559.1 protease [Primate T-lymphotropic virus 1] -MTVLPIALFSSNTPLKDTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTENNWAIIGRDAL -QQCQGVLYLPEAKGPPVILPIQVPAVLGLEHLPRPPEISQFPLNQNASRPCNTWSGRPWRQAISNPTPGQ -EITQYSQLKKPMEPGDSSTTCGPLTL - ->NP_049558.1 gag polyprotein [Primate T-lymphotropic virus 1] -MGQIFSRSASPIPRPPRGLAAHHWLNFLQAAYRLEPGPSSYDFHQLKKFLKMALETPAWICPINYSRLAS -LLPKGYPGRVNEILHILIQTQAQIPCHPAPPPPSSPTLDPPDSDPQIPPPYVEPTAPQVLPVMHPHGAPP -SHRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITGYNPLAGPLRVQANNPQQQGLRREYQQLWLAAFAALPGSAKDPSWASILQGLEEPYHTFVER -LNVALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQAWTPKDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCTQPRPPPGHALCQDPTHWKRDCPRLKPAISDPEPEEDALLLDLPTDIPHP -KNSIGGEV - ->NP_041003.3 pol polyprotein, partial [Human T-lymphotropic virus 2] -HRSRPYGYTPDTRARAGKAPRHPDPRRQWANQHPVQTPPNPPTHILALPKVPRYPFLLPLRHPQQMDHHW -KGRPTTMPGASIPPRRPQPPPIAANSHSKHHRPRTPSPTSPSGPISFKPERLQALNDLVSKALEAGHIEP -YSGPGNNPVFPVKKPNGKWRFIHDLRATNAITTTLTSPSPGPPDLTSLPTALPHLQTIDLTDAFFQIPLP -KQYQPYFAFTIPQPCNYGPGTRYAWTVLPQGFKNSPTLFEQQLAAVLNPMRKMFPTSTIVQYMDDILLAS -PTNEELQQLSQLTLQALTTHGLPISQEKTQQTPGQIRFLGQVISPNHITYESTPTIPIKSQWTLTELQVI -LGEIQWVSKGTPILRKHLQSLYSALHGYRDPRACITLTPQQLHALHAIQQALQHNCRGRLNPALPLLGLI -SLSTSGTTSVIFQPKQNWPLAWLHTPHPPTSLCPWGHLLACTILTLDKYTLQHYGQLCQSFHHNMSKQAL -CDFLRNSPHPSVGILIHHMGRFHNLGSQPSGPWKTLLHLPTLLQEPRLLRPIFTLSPVVLDTAPCLFSDG -SPQKAAYVLWDQTILQQDITPLPSHETHSAQKGELLALICGLRAAKPWPSLNIFLDSKYLIKYLHSLAIG -AFLGTSAHQTLQAALPPLLQGKTIYLHHVRSHTNLPDPISTFNEYTDSLILAPLVPLTPQGLHGLTHCNQ -RALVSFGATPREAKSLVQTCHTCQTINSQHHMPRGYIRRGLLPNHIWQGDVTHYKYKKYKYCLHVWVDTF -SGAVSVSCKKKETSCETISAVLQAISLLGKPLHINTDNGPAFLSQEFQEFCTSYRIKHSTHIPYNPTSSG -LVERTNGVIKNLLNKYLLDCPNLPLDNAIHKALWTLNQLNVMNPSGKTRWQIHHSPPLPPIPEASTPPKP -PPKWFYYKLPGLTNQRWKGPLQSLQEAAGAALLSIDGSPRWIPWRFLKKAACPRPDASELAEHAATDHQH -HG - ->YP_002455786.1 polymerase [Human T-lymphotropic virus 4] -MPGGPLLTGGPPGPDPVIPGDHPCSHRLRTSSRAPRGQPVSFKPERLQALIDLVSKALEAGHIEPYSGPG -NNPVFPVKKPNGKWRFIHDLRATNAITTTLASPSPGPPDLTSLPQALPHLQTIDLTDAFFQIPLPKRFQP -YFAFTIPQPLNHGPGSRYAWTVLPQGFKNSPTLFEQQLASVLGPARKAFPTSVIVQYMDDILLACPSQHE -LDQLATLTAQLLSSHGLPVSQEKTQRTPGKIHFLGQIIHPDHITYETTPTIPIKAHWTLTELQTLLGELQ -WVSKGTPVLREHLHCLYSALRGLKDPRDTITLRHPHLHALHNIQQALHHNCRGRLDSTLPLLGLIFLSPS -GTTSVLFQTNHKWPLVWLHAPHPPTSLCPWGHILACTVLTLDKYALQHYGQLCKSFHHNMSTQALHDFVK -NSSHPSVAILIHHMHRFCDLGRQPPGPWRTLLQLPALLREPQLLRPAFSLSPVVIDQAPCLFSDGSPQKA -AYVIWDKVILSQRSVPLPPHANNSAQKGELVGLLLGLQAAQPWPSLNIFLDSKFLIRYLQSLASGAFQGS -STHHRLQASLPTLLQGKVVYLHHTRSHTQLPDPISTLNEYTDSLIVAPVTPLKPEGLHALTHCNQQALVS -HGATPAQAKQLVQACRTCQIINPQHHMPRGHIRRGHFPNHTWQGDVTHLKHKRTRYCLHVWVDTFSGAVS -CVCKKKETSSDLIKTLLHAISVLGKPFSVNTDNGPAYLSQEFHEFCTTLCIKHSTHIPYNPTSSGLVERT -NGILKTLLYKYFLDHPDLPLESAVSKALWTINHLNVMRPCGKTRWQLHHTPPLPPISESIQTTPTRLHWY -YYKTPGLTNQRWKGPVQSLQEAAGAALLQVSDGSPQWIPWRLLKKTVCPKPDDPEPAGHVETDHQHHG - ->YP_002455785.1 protease [Human T-lymphotropic virus 4] -MSGPIPLEARLPPAKNPAGGRRTPPSGFACPSPGGKKLPRGGELVSPRPGNVPSLLPLVSLWQAQQSLLN -IKVSFFDRPPLASQALLDTGAGLTVMPQVLARGLTDLQDTTILGAGGKTHSQFKLLRCPVHVYLPFRRAP -VSLPSCLIDTKNEWTIIGRDVLQQCQGALYLPEDLPAPTQLSPVTTPAVIGLEHLPEPPEVSQFPLNLNA -SRP - ->YP_002455784.1 group specific antigen [Human T-lymphotropic virus 4] -MGQTHTSSPVPKAPRGLSTHHWLNFLQAAYRLQPGPSEFDFHQLRRFLKLALQTPVWLNPIDYSLLAGLI -PKGYPGRVTEIVNILLRAHPPPSAPAISMPTATGPAPAPQPQEAHTPPPYAEPAALQCLPIMHSHGAPSS -HRPWQMKDLQAIKQEISTSAPGSPQFMHTIRLAIQQFDPTAKDLHDLLQYLCSSLIVSLHHQQLQALIVE -AETRGLTGYNPMAGPLRVQANNPAQQGLQREYQSLWLAAFAALPGNTRDPSWAAILQGLEEPYCAFVERL -NAALDNGLPEGTPKEPILRPLAYSNANKECQKLLQARGHTNSPLGEMLRACQAWTPKDKTKVLVVQPRKT -PPTQPCFRCGKVGHWSRDCTQPRPPPGPCPLCQDPSHWKRDCPQLKTPPEAEEPLLADLPALLPEEKNSP -GGEN - ->YP_002308474.1 pol protein, partial [Simian T-cell lymphotropic virus 6] -CHWAGTSPPAPRSSTISVKPERLQALTDLVSKALEAKYIEPYQGPGNNPIFPVKKPNGKWRFIHDLRATN -CLTKTLTSPSPGPPDLTSLPQGLPHLRTIDLTDAFFQIPLPVAFQPYFAFTLPQPNNHGPGARYSWKVLP -QGFKNSPTLFEQQLSHILTPVRQAFPKSIVIQYMDDILLASPTLEESIVLAQEITNALAQEGLPMSTEKT -QSTPGPIHFLGQTISKKYITYETLPTIHVKPNWTLTELQSTLGELQWVSKGTPTLRSSLHQLYTALRGHH -DPRDTIQLTPPQLQALNTLQKALTHNCRSRIVSNLPILALIMLRPTGTTAVLFQTKQKWPLVWLHTPHPA -TSLRLWGQLLANAIITLDKYSLQHYGQVCKSFHHNISNQALTHYLHTSDQSSVAILLQHSHRFHNLGAQP -SGPWKGLLQVPQIFQNVATLSPPFTISPVVINHAPCLFSDGSNSQAAFTIWDKKIIHQQVLPLPTASSAQ -AGELFALLAALRECKPWSSLNIFLDSKFLVGQLRRLALGAFIGPSTQCDLHSQLLPLLYNKTIYVHHVRS -HTLLQDPISRLNEATDALMLAPLLPLSPATLHEITHCNPPALCNHGATATETKAIVRACHTCKITNPQGR -LPQGHIRRGHAPNTIWQGDVTHLQYKKYKYCLLVWVDTYSGAVAVSCRRKETSSECVASLLAAISILGKP -HTINTDNGAAYLSQEFQQFCTSLSIKHTTHVPYNPTSSGLVERTNGILKTLISKYLLDDHHLPLDTAISK -TLWTINHLNVLSSCQKTRWQLHQAQPLPPVPENLPLPEPVPKWYYYKIPGLTSSRWSGPVQSVKEAAGAA -LIPVGTRHIWIPWRLLKRGACPRPGDSVTTESKHKDLQLHG - ->YP_002308473.1 pro protein, partial [Simian T-cell lymphotropic virus 6] -RPSGQRPKKLHRGGGLASPQTVLPFIPLSQQKQPVLHVRVSFPGTPPVSIQALLDTGADVTVLPARLCPP -DLKLQDTTVLGASGPSTDKFKVLPCFTYVHLPFRGRPVTLPSCLIDINNQWAILGRDVLQQCQSSLYLAD -QPSRVLPIQTPSVIGLEHLPPPPEVPQFPLNQSASRP - ->YP_002308472.1 gag protein [Simian T-cell lymphotropic virus 6] -MGNSYSRAANPIPKAPKGLAIHHWLNFLQAAYRLQPGPSEFDFHQLRNFLKLAIKTPVWLNPINYSVLAE -LVPKNYPGRIQEIIAILIQETSTQEVPPSAPPASEPQNPPPYPEPGQAIPQCLPVLHPHGAPAAHRPWQM -KDLQAIKQEVTSSAPGSPQFMQTVRLAVQQFDPTAKDLHDLLQYLCSSLVASLHHQQLETLIAQAETQGI -TGYNPLAGPLRVQANNPTQQGLRREYQNLWLSAFSALPGNTKDPTWAAILQGPEEPFCTFVERLNVALDN -GLPEGTPKEPILRSLAYSNANKECQKLLQARGQTNGPLGDMLRACQAWTPRDKNKVLMVQPKKTPPPNQP -CFRCGQAGHWSRDCKQPRPPPGPCPLCQDPTHWKRDCPQLKPDPEEGMLLDLPCEDPAARDQKNFIGGED - ->NP_777384.2 RT-IN [Bovine leukemia virus] -MGNSPSYNPPAGISPSDWLNLRQSAQRLNPRPSPSDFTDLKNYIHWFHKTQKKPWTFTSGGPASCPPGKF -GRVPLVLATLNEVLSNDEGAPGASAPEEQPPPYDPPAILPIISEGNRNRHRAWALRELQDIKKEIENKAP -GSQVWIQTLRLAILQADPTPADLEQLCQYIASPVDQTAHMTSLTAAIAAAEAANTLQGFNPQNGTLTQQS -AQPNAGDLRSQYQNLWLQAWKNLPTRPSVQPWSTIVQGPAESYVEFVNRLQISLADNLPDGVPKEPIIDS -LSYANANKECQQILQGRGLVAAPVGQKLQACAHWAPKVKQPAILVHTPGPKMPGPRQPAPKRPPPGPCYR -CLKEGHWARDCPTKTTGPPPGPCPICKRSFPLETRLSNPQIKKLIEGGLSAPQTVTPITDPLSEAELECL -LSIPLARSRPSVAVYLSGPWLQPSQNQALMLVDTGAENTVLPQNWLVRDYPRIPAAVLGAGGVSRNRYNW -LQGPLTLALKPEGPFITIPKILVDTFDKWQILGRDVLSRLQASISIPEEVRPPMVGVLDAPPSHIGLEHL -PVPPEVPQFPLNLERLQALQDLVHRSLEAGYISPWDGPGNNPVFPVRKPNGTWRFVHDLRATNALTKPIP -ALSPGPPDLTAIPTHLPHIICLDLKDAFFQIPVEDRFRSYFAFTLPTPGGLQPHRRFAWRVLPQGFINSP -ALFERALQEPLRQVSAAFSQSLLVSYMDDILIASPTEEQRSQCYQALAARLRDLGFQVASEKTRQTPSPV -PFLGQMVHNQIVTYQSLPTLQISSPISLHQLQAVLGDLQWVSRGTPTTRRPLQLLYSSLKGIDDPRAIIQ -LSPEQLQGIAELRQALSHNARSRYNEQEPLLAYVHLTRAGSTLVLFQKGAQFPLAYFQTPLTDNQASPWG -LLLLLGCQYLQTQALSSYAKPILKYYHNLPKTSLDNWIQSSEDPRVQELLQLWPQISSQGIQPPGPWKTL -ITRAEVFLTPQFSPEPIPAALCLFSDGATGRGAYCLWKDHLLDFQAVPAPESAQKGELAGLLAGLAAAPP -EPLNIWVDSKYLYSLLRTLVLGAWLQPDPVPSYALLYKSLLRHPAIFVGHVRSHSSASHPIASLNNYVDQ -LLPLETPEQWHKLTHCNSRALSRWPNPRISAWDPRSPATLCETCQRLNPTGGGKMRTIQRGWAPNHIWQA -DITHYKYKQFTYALHVFVDTYSGATHASAKRGLTTQMTIEGLLEAIVHLGRPKKLNTDQGANYTSKTFVR -FCQQFGISLSHHVPYNPTSSGLVERTNGLLKLLLSKYHLDEPHLPMTQALSRALWTHNQINLLPILKTRW -ELHHSPPLAVISEGGETPKGSDKLFLYKLPGQNNRRWLGPLPALVEASGGALLATNPPVWVPWRLLKAFK -CPKNDGPEDAHNRSSDG - ->NP_954568.1 p15-gag protein [2] [Human T-lymphotropic virus 2] -VVQPRRPPPTQPCFRCGKVGHWSRDCTQPRPPPGPCPLCQDPSHWKRDCPQLKPPQEEGEPLLLDLPSTS -GTTEEKNSLRGEI - ->NP_954566.1 p19-gag protein [2] [Human T-lymphotropic virus 2] -MGQIHGLSPTPIPKAPRGLSTHHWLNFLQAAYRLQPRPSDFDFQQLRRFLKLALKTPIWLNPIDYSLLAS -LIPKGYPGRVVEIINILVKNQVSPSAPAAPVPTPICPTTTPPPPPPPSPEAHVPPPYVEPTTTQCF - ->NP_056907.1 gag-pro-pol polyprotein [Simian T-lymphotropic virus 2] -MGQTYGLSSSPIPKAPRGLSTHHWLNFLQAAYRLQPGPSDFDFQQLRRFLKLALKTPIWLNPIDYSLLAS -LIPKGYPGRTIEIINVLIKNQTSPTPPPAPSLPEPANPPPLQQPSAPPEPHTPPPYIKPPATHCLPILHP -HGAPSAHSPWQMKDLQAIKQEVSTSAPGSPQFMQTVRLAIQQFDPTAKDLQDLLQYLCSSLVVSLHHQQF -HTLITEAETRGMTGYNPMAGPLRMQANNPAQQGLRREYQNLWLAAFSALPGNTRDPSWAAILQGLEEPYC -AFVERLNVALDNGLPEGTPKEPILRSLAYSNANKDCQKLLQARGHTNSPLGDMLRACQAWTPKDKARVLV -VQPRKPPPTQPCFRCGKTGHWSRDCTLPRPPPGPCPLCKDPSHWKRDCPQFKPPPTEEEPLLLDLPSDAI -ATEEKKLPGGGDVISPQQISMLPVIPLEQQHQPLLDVQVSIAGAPPRPTQALLDTGADLTVLPQALAPES -VSVSDTTVLGAGGQTSSQFKLLQSPLCVYLPFRRAPVTLPSCLVDTNSKWAIIGRDILQKCQSVLYLPED -NLCKGTPRLPDGITPPRLLPVTTPNVIGLEHFPPPPQIDQFPFKPERLQALTDLVSKALEASYIEPYSGP -GNNPVFPVKKPNGKWRFIHDLRATNAITTTLASPSPGPPDLTSLSTALPYLQTIDLTDAFFQIPLPKQFQ -PYFAFTIPQPCNYGPGARYAWTVLPQGFKNSPTLFEQQLAAVLSPIRKTFPMSTIIQYMDDILLASPTQE -ELQQLSKMTLQALVTHGLPVSQEKTQQTPGQIRFLGQVISPNHITYETTPTIPIKSQWTLTELQTVLGEI -QWVSKGTPILRKHLQCLYSALRGYQDPRAHLLLQKQQLHALHAIQQALQHNCRSRLNPALPILGLISLSS -SGTTSVLFQARQRWPLVWLHTPHPPTSLCPWGHLLACTILTLDKYSLQHYGQLCQSFHHNMSNTALHDFV -KNSPHPSVGILIHHMGRFHNLGSQPSGPWRTLLHLPALLQEPRLLRPLFTLSPVVLTTAPCLFSDGSSQK -AAYVLWDQTILHHDSVTLPPHGSNSAQKGELLALLSGIRAAKSWPSLNIFLDSKYLIKYLHSLAIGAFLG -TSTHQSLYAHLPTLLHNKVIYLHHIRSHTNLPDPISTLNEYTDSLIIAPLIPLTPQDLHKLTHCNSRALV -SSGATPQQAKSLLQTCYTCNIINSQHHMPQGHIRRGLMPNHIWQGDVTHYKYKRNRYCLHVWVDTFSNAV -SITCKTKETSSETVSALLHAITILGKPLSINTDNGSAFLSQEFQAFCASWHIKHSTHVPYNSTSSGLVER -TNGIVKALLNKYLLDSPNLPLDNAISKALWTLNQLNVMSSQWKTRWQLHHGPRLRPIPETPRPSRAPTNW -YYYKLPGLTNQRWKGPLQSLQEAAGAALLSIDGSPQWIPWRLLKKTVCPRPDGSELVAHAATDHQHHG - ->NP_057860.1 Pr gag-pro-pol [Human T-cell leukemia virus type I] -MGQIFSRSASPIPRPPRGLAAHHWLNFLQAAYRLEPGPSSYDFHQLKKFLKIALETPVWICPINYSLLAS -LLPKGYPGRVNEILHILIQTQAQIPSRPAPPPPSSSTHDPPDSDPQIPPPYVEPTAPQVLPVMHPHGAPP -NHRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITGYNPLAGPLRVQANNPQQQGLRREYQQLWLAAFAALPGSAKDPSWASILQGLEEPYHAFVER -LNIALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQAWTPKDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCTQPRPPPGPCPLCQDPTHWKRDCPRLKPTIPEPEPEEDALLLDLPADIPH -PKNLHRGGGLTSPPTLQQVLPNQDPTSILPVIPLDPARRPVIKAQIDTQTSHPKTIEALLDTGADMTVLP -IALFSSNTPLKNTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTKNNWAIIGRDALQQCQG -VLYLPEAKRPPVILPIQAPAVLGLEHLPRPPEISQFPLNPERLQALQHLVRKALEAGHIEPYTGPGNNPV -FPVKKANGTWRFIHDLRATNSLTIDLSSSSPGPPDLSSLPTTLAHLQTIDLKDAFFQIPLPKQFQPYFAF -TVPQQCNYGPGTRYAWRVLPQGFKNSPTLFEMQLAHILQPIRQAFPQCTILQYMDDILLASPSHADLQLL -SEATMASLISHGLPVSENKTQQTPGTIKFLGQIISPNHLTYDAVPKVPIRSRWALPELQALLGEIQWVSK -GTPTLRQPLHSLYCALQRHTDPRDQIYLNPSQVQSLVQLRQALSQNCRSRLVQTLPLLGAIMLTLTGTTT -VVFQSKQQWPLVWLHAPLPHTSQCPWGQLLASAVLLLDKYTLQSYGLLCQTIHHNISTQTFNQFIQTSDH -PSVPILLHHSHRFKNLGAQTGELWNTFLKTTAPLAPVKALMPVFTLSPVIINTAPCLFSDGSTSQAAYIL -WDKHILSQRSFPLPPPHKSAQRAELLGLLHGLSSARSWRCLNIFLDSKYLYHYLRTLALGTFQGRSSQAP -FQALLPRLLSRKVVYLHHVRSHTNLPDPISRLNALTDALLITPVLQLSPADLHSFTHCGQTALTLQGATT -TEASNILRSCHACRKNNPQHQMPQGHIRRGLLPNHIWQGDITHFKYKNTLYRLHVWVDTFSGAISATQKR -KETSSEAISSLLQAIAYLGKPSYINTDNGPAYISQDFLNMCTSLAIRHTTHVPYNPTSSGLVERSNGILK -TLLYKYFTDKPDLPMDNALSIALWTINHLNVLTNCHKTRWQLHHSPRLQPIPETHSLSNKQTHWYYFKLP -GLNSRQWKGPQEALQEAAGAALIPVSASSAQWIPWRLLKRAACPRPVGGPADPKEKDHQHHG - ->NP_057861.1 Pr gag-pro [Human T-cell leukemia virus type I] -MGQIFSRSASPIPRPPRGLAAHHWLNFLQAAYRLEPGPSSYDFHQLKKFLKIALETPVWICPINYSLLAS -LLPKGYPGRVNEILHILIQTQAQIPSRPAPPPPSSSTHDPPDSDPQIPPPYVEPTAPQVLPVMHPHGAPP -NHRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITGYNPLAGPLRVQANNPQQQGLRREYQQLWLAAFAALPGSAKDPSWASILQGLEEPYHAFVER -LNIALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQAWTPKDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCTQPRPPPGPCPLCQDPTHWKRDCPRLKPTIPEPEPEEDALLLDLPADIPH -PKNLHRGGGLTSPPTLQQVLPNQDPTSILPVIPLDPARRPVIKAQIDTQTSHPKTIEALLDTGADMTVLP -IALFSSNTPLKNTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTKNNWAIIGRDALQQCQG -VLYLPEAKRPPVILPIQAPAVLGLEHLPRPPEISQFPLNQNASRPCNTWSGRPWRQAISNPTPGQEITQY -SQLKKPMEPGDSSTTCGPLTL - ->NP_056897.1 Pr44 [Bovine leukemia virus] -MGNSPSYNPPAGISPSDWLNLRQSAQRLNPRPSPSDFTDLKNYIHWFHKTQKKPWTFTSGGPASCPPGKF -GRVPLVLATLNEVLSNDEGAPGASAPEEQPPPYDPPAILPIISEGNRNRHRAWALRELQDIKKEIENKAP -GSQVWIQTLRLAILQADPTPADLEQLCQYIASPVDQTAHMTSLTAAIAAAEAANTLQGFNPQNGTLTQQS -AQPNAGDLRSQYQNLWLQAWKNLPTRPSVQPWSTIVQGPAESYVEFVNRLQISLADNLPDGVPKEPIIDS -LSYANANKECQQILQGRGLVAAPVGQKLQACAHWAPKVKQPAILVHTPGPKMPGPRQPAPKRPPPGPCYR -CLKEGHWARDCPTKTTGPPPGPCPICKDPSHWKRDCPTLKSKN - ->sp|P03361.2|POL_BLVJ RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p12-pro; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGNSPSYNPPAGISPSDWLNLLQSAQRLNPRPSPSDFTDLKNYIHWFHKTQKKPWTFTSGGPTSCPPGRF -GRVPLVLATLNEVLSNEGGAPGASAPEEQPPPYDPPAILPIISEGNRNRHRAWALRELQDIKKEIENKAP -GSQVWIQTLRLAILQADPTPADLEQLCQYIASPVDQTAHMTSLTAAIAAAEAANTLQGFNPKTGTLTQQS -AQPNAGDLRSQYQNLWLQAGKNLPTRPSAPWSTIVQGPAESSVEFVNRLQISLADNLPDGVPKEPIIDSL -SYANANRECQQILQGRGPVAAVGQKLQACAQWAPKNKQPALLVHTPGPKMPGPRQPAPKRPPPGPCYRCL -KEGHWARDCPTKATGPPPGPCPICKDPSHWKRDCPTLKSKNKLIEGGLSAPQTITPITDSLSEAELECLL -SIPLARSRPSVAVYLSGPWLQPSQNQALMLVDTGAENTVLPQNWLVRDYPRIPAAVLGAGGVSRNRYNWL -QGPLTLALKPEGPFITIPKILVDTSDKWQILGRDVPSRLQASISIPEEVRPPVVGVLDTPPSHIGLEHLP -PPPEVPQFPLNLERLQALQDLVHRSLEAGYISPWDGPGNNPVFPVRKPNGAWRFVHDLRATNALTKPIPA -LSPGPPDLTAIPTHPPHIICLDLKDAFFQIPVEDRFRFYLSFTLPSPGGLQPHRRFAWRVLPQGFINSPA -LFERALQEPLRQVSAAFSQSLLVSYMDDILYASPTEEQRSQCYQALAARLRDLGFQVASEKTSQTPSPVP -FLGQMVHEQIVTYQSLPTLQISSPISLHQLQAVLGDLQWVSRGTPTTRRPLQLLYSSLKRHHDPRAIIQL -SPEQLQGIAELRQALSHNARSRYNEQEPLLAYVHLTRAGSTLVLFQKGAQFPLAYFQTPLTDNQASPWGL -LLLLGCQYLQTQALSSYAKPILKYYHNLPKTSLDNWIQSSEDPRVQELLQLWPQISSQGIQPPGPWKTLI -TRAEVFLTPQFSPDPIPAALCLFSDGATGRGAYCLWKDHLLDFQAVPAPESAQKGELAGLLAGLAAAPPE -PVNIWVDSKYLYSLLRTLVLGAWLQPDPVPSYALLYKSLLRHPAIVVGHVRSHSSASHPIASLNNYVDQL -LPLETPEQWHKLTHCNSRALSRWPNPRISAWDPRSPATLCETCQKLNPTGGGKMRTIQRGWAPNHIWQAD -ITHYKYKQFTYALHVFVDTYSGATHASAKRGLTTQTTIEGLLEAIVHLGRPKKLNTDQGANYTSKTFVRF -CQQFGVSLSHHVPYNPTSSGLDERTNGLLKLLLSKYHLDEPHLPMTQALSRALWTHNQINLLPILKTRWE -LHHSPPLAVISEGGETPKGSDKLFLYLLPGQNNRRWLGPLPALVEASGGALLATDPPVWVPWRLLKAFKC -LKNDGPEDAHNRSSDG - ->sp|P25059.2|POL_BLVAU RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p12-pro; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGNSPSYNPPAGISPSDWLNLLQSAQRLNPRPSPSDFTDLKNYIHWFHKTQKKPWTFTSGGPASCPPGKF -GRVPLVLATLNEVLSNDEGAPGASAPEEQPPPYDPPAVLPIISEGNRNRHRAWALRELQDIKKEIENKAP -GSQVWIQTLRLAILQADPTPADLEQLCQYIASPVDQTAHMTSLTAAIAAEAANTLQGFNPQNGTLTQQSA -QPNAGDLRSQYQNLWLQAWKNLPTRPSVQPWSTIVQGPAESYVEFVNRLQISLADNLPDGVPKEPIIDSL -SYANANKECQQILQGRGLVAAPVGQKLQACAHWAPKTKQPAILVHTPGPKMPGPRQPAPKRPPPGPCYRC -LKEGHWARDCPTKTTGPPPGPCPICKDPSHWKRDCPTLKSKKLIEGGPSAPQIITPITDSLSEAELECLL -SIPLARSRPSVAVYLSGPWLQPSQNQALMLVDTGAENTVLPQNWLVRDYPRTPAAVLGAGGISRNRYNWL -QGPLTLALKPEGPFITIPKILVDTFDKWQILGRDVLSRLQASISIPEEVHPPVVGVLDAPPSHIGLEHLP -PPPEVPQFPLNLERLQALQDLVHRSLEAGYISPWDGPGNNPVFPVRKPNGAWRFVHDLRVTNALTKPIPA -LSPGPPDLTAIPTHLPHIICLDLKDAFFQIPVEDRFRSYFAFTLPTPGGLQPHRRFAWRVLPQGFINSPA -LFERALQEPLRQVSAAFSQSLLVSYMDDILYVSPTEEQRLQCYQTMAAHLRDLGFQVASEKTRQTPSPVP -FLGQMVHERMVTYQSLPTLQISSPISLHQLQTVLGDLQWVSRGTPTTRRPLQLLYSSLKGIDDPRAIIHL -SPEQQQGIAELRQALSHNARSRYNEQEPLLAYVHLTRAGSTLVLFQKGAQFPLAYFQTPLTDNQASPWGL -LLLLGCQYLQAQALSSYAKTILKYYHNLPKTSLDNWIQSSEDPRVQELLQLWPQISSQGIQPPGPWKTLV -TRAEVFLTPQFSPEPIPAALCLFSDGAARRGAYCLWKDHLLDFQAVPAPESAQKGELAGLLAGLAAAPPE -PLNIWVDSKYLYSLLRTLVLGAWLQPDPVPSYALLYKSLLRHPAIFVGHVRSHSSASHPIASLNNYVDQL -LPLETPEQWHKLTHCNSRALSRWPNPRISAWDPRSPATLCETCQRLNPTGGGKMRTIQRGWAPNHIWQAD -ITHYKYKQFTYALHVFVDTYSGATHASAKRGLTTQTTIEGLLEAIVHLGRPKKLNTDQGANYTSKTFVRF -CQQFGISLSHHVPYNPTSSGLVERTNGLLKLLLSKYHLDEPHLPMTQALSRALWTHNQINLLPILKTRWE -LHHSPPLAVISEGGETPKGSDKLFLYKLPGQNNRRWLGPLPALVEASGGALLATNPPVWVPWRLLKAFKC -LKNDGPEDAPNRSSDG - ->sp|Q09SZ9.3|PRO_HTL3P RecName: Full=Gag-Pro polyprotein; AltName: Full=Pr76Gag-Pro; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Transframe peptide; Short=TFP; AltName: Full=p8; AltName: Full=pX -MGKTYSSPVNPIPKAPKGLAIHHWLNFLQAAYRLQPGPSEFDFHQLRKFLKLAIKTPVWLNPINYSVLAR -LIPKNYPGRVHEIVAILIQETPAREAPPSAPPADDPQKPPPYPEHAQVEPQCLPVLHPHGAPATHRPWQM -KDLQAIKQEVSSSAPGSPQFMQTVRLAVQQFDPTAKDLHDLLQYLCSSLVASLHHQQLETLIAQAETQGI -TGYNPLAGPLRVQANNPNQQGLRREYQNLWLSAFSALPGNTKDPTWAAILQGPEEPFCSFVERLNVALDN -GLPEGTPKDPILRSLAYSNANKECQKLLQARGQTNSPLGEMLRACQTWTPRDKNKILMIQPKKTPPPNQP -CFRCGQAGHWSRDCKQPRPPPGPCPLCQDPAHWKQDCPQLKADTKGSEDLLLDLPCEASHVRERKNLLRG -GGLTSPRTILPLIPLSQQRQPILHVQVSFSNTSPVGVQALLDTGADITVLPAYLCPPDSNLQDTTVLGAG -GPSTSKFKILPRPVHIHLPFRKQPVTLTSCLIDTNDQWTILGRDALQQCQSSLYLADQPSSVLPVQTPKL -IGLEHLPPPPEVSQFPLNRSASRP - ->sp|Q4U0X6.4|POL_HTL3P RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGKTYSSPVNPIPKAPKGLAIHHWLNFLQAAYRLQPGPSEFDFHQLRKFLKLAIKTPVWLNPINYSVLAR -LIPKNYPGRVHEIVAILIQETPAREAPPSAPPADDPQKPPPYPEHAQVEPQCLPVLHPHGAPATHRPWQM -KDLQAIKQEVSSSAPGSPQFMQTVRLAVQQFDPTAKDLHDLLQYLCSSLVASLHHQQLETLIAQAETQGI -TGYNPLAGPLRVQANNPNQQGLRREYQNLWLSAFSALPGNTKDPTWAAILQGPEEPFCSFVERLNVALDN -GLPEGTPKDPILRSLAYSNANKECQKLLQARGQTNSPLGEMLRACQTWTPRDKNKILMIQPKKTPPPNQP -CFRCGQAGHWSRDCKQPRPPPGPCPLCQDPAHWKQDCPQLKADTKGSEDLLLDLPCEASHVRERKNLLRG -GGLTSPRTILPLIPLSQQRQPILHVQVSFSNTSPVGVQALLDTGADITVLPAYLCPPDSNLQDTTVLGAG -GPSTSKFKILPRPVHIHLPFRKQPVTLTSCLIDTNDQWTILGRDALQQCQSSLYLADQPSSVLPVQTPKL -IGLEHLPPPPEVSQFPLNPERLQALTDLVSRALEAKHIEPYQGPGNNPIFPVKKPNGKWRFIHDLRATNS -LTRDLASPSPGPPDLTSLPQDLPHLRTIDLTDAFFQIPLPAVFQPYFAFTLPQPNNHGPGTRYSWRVLPQ -GFKNSPTLFEQQLSHILAPVRKAFPNSLIIQYMDDILLASPALRELTALTDKVTNALTKEGLPMSLEKTQ -ATPGSIHFLGQVISPDCITYETLPSIHVKSIWSLAELQSMLGELQWVSKGTPVLRSSLHQLYLALRGHRD -PRDTIELTSTQVQALKTIQKALALNCRSRLVSQLPILALIILRPTGTTAVLFQTKQKWPLVWLHTPHPAT -SLRPWGQLLANAIITLDKYSLQHYGQICKSFHHNISNQALTYYLHTSDQSSVAILLQHSHRFHNLGAQPS -GPWRSLLQVPQIFQNIDVLRPPFIISPVVIDHAPCLFSDGATSKAAFILWDKQVIHQQVLPLPSTCSAQA -GELFGLLAGLQKSKPWPALNIFLDSKFLIGHLRRMALGAFLGPSTQCDLHARLFPLLQGKTVYVHHVRSH -TLLQDPISRLNEATDALMLAPLLPLNPTTLHQITHCNPHALRNHGATASEAHAIVQACHTCKVINPQGRL -PQGYIRRGHAPNVIWQGDVTHLHYKRYKYCLLVWVDTYSGVVSVSCRRKETGSDCVVSLLAAISILGKPH -SINTDNGTAYLSQEFQQFCSSLSIKHSTHVPYNPTSSGLVERTNGILKTLISKYLLDNHHLPLETAISKS -LWTINHLNVLPSCQKTRWQLHQAQPLPSIPENTLPPRASPKWYYYKIPGLTNPRWSGPVQSLKEAAGAAL -IPVGGSHLWIPWRLLKRGICPRPESNAVADPETKDHQLHG - ->sp|P10274.3|PRO_HTL1A RecName: Full=Gag-Pro polyprotein; AltName: Full=Pr76Gag-Pro; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Transframe peptide; Short=TFP; AltName: Full=p8 -MGQIFSRSASPIPRPPRGLAAHHWLNFLQAAYRLEPGPSSYDFHQLKKFLKIALETPARICPINYSLLAS -LLPKGYPGRVNEILHILIQTQAQIPSRPAPPPPSSPTHDPPDSDPQIPPPYVEPTAPQVLPVMHPHGAPP -NHRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITGYNPLAGPLRVQANNPQQQGLRREYQQLWLAAFAALPGSAKDPSWASILQGLEEPYHAFVER -LNIALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQTWTPKDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCTQPRPPPGPCPLCQDPTHWKRDCPRLKPTIPEPEPEEDALLLDLPADIPH -PKNLHRGGGLTSPPTLQQVLPNQDPASILPVIPLDPARRPVIKAQVDTQTSHPKTIEALLDTGADMTVLP -IALFSSNTPLKNTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTKNNWAIIGRDALQQCQG -VLYLPEAKRPPVILPIQAPAVLGLEHLPRPPEISQFPLNQNASRPCNTWSGRPWRQAISNPTPGQGITQY -SQLKRPMEPGDSSTTCGPLTL - ->sp|P03363.4|POL_HTLV2 RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQIHGLSPTPIPKAPRGLSTHHWLNFLQAAYRLQPRPSDFDFQQLRRFLKLALKTPIWLNPIDYSLLAS -LIPKGYPGRVVEIINILVKNQVSPSAPAAPVPTPICPTTTPPPPPPPSPEAHVPPPYVEPTTTQCFPILH -PPGAPSAHRPWQMKDLQAIKQEVSSSALGSPQFMQTLRLAVQQFDPTAKDLQDLLQYLCSSLVVSLHHQQ -LNTLITEAETRGMTGYNPMAGPLRMQANNPAQQGLRREYQNLWLAAFSTLPGNTRDPSWAAILQGLEEPY -CAFVERLNVALDNGLPEGTPKEPILRSLAYSNANKECQKILQARGHTNSPLGEMLRTCQAWTPKDKTKVL -VVQPRRPPPTQPCFRCGKVGHWSRDCTQPRPPPGPCPLCQDPSHWKRDCPQLKPPQEEGEPLLLDLPSTS -GTTEEKNLLKGGDLISPHPDQDISILPLIPLRQQQQPILGVRISVMGQTPQPTQALLDTGADLTVIPQTL -VPGPVKLHDTLILGASGQTNTQFKLLQTPLHIFLPFRRSPVILSSCLLDTHNKWTIIGRDALQQCQGLLY -LPDDPSPHQLLPIATPNTIGLEHLPPPPQVDQFPLNLPERLQALNDLVSKALEAGHIEPYSGPGNNPVFP -VKKPNGKWRFIHDLRATNAITTTLTSPSPGPPDLTSLPTALPHLQTIDLTDAFFQIPLPKQYQPYFAFTI -PQPCNYGPGTRYAWTVLPQGFKNSPTLFEQQLAAVLNPMRKMFPTSTIVQYMDDILLASPTNEELQQLSQ -LTLQALTTHGLPISQEKTQQTPGQIRFLGQVISPNHITYESTPTIPIKSQWTLTELQVILGEIQWVSKGT -PILRKHLQSLYSALHGYRDPRACITLTPQQLHALHAIQQALQHNCRGRLNPALPLLGLISLSTSGTTSVI -FQPKQNWPLAWLHTPHPPTSLCPWGHLLACTILTLDKYTLQHYGQLCQSFHHNMSKQALCDFLRNSPHPS -VGILIHHMGRFHNLGSQPSGPWKTLLHLPTLLQEPRLLRPIFTLSPVVLDTAPCLFSDGSPQKAAYVLWD -QTILQQDITPLPSHETHSAQKGELLALICGLRAAKPWPSLNIFLDSKYLIKYLHSLAIGAFLGTSAHQTL -QAALPPLLQGKTIYLHHVRSHTNLPDPISTFNEYTDSLILAPLVPLTPQGLHGLTHCNQRALVSFGATPR -EAKSLVQTCHTCQTINSQHHMPRGYIRRGLLPNHIWQGDVTHYKYKKYKYCLHVWVDTFSGAVSVSCKKK -ETSCETISAVLQAISLLGKPLHINTDNGPAFLSQEFQEFCTSYRIKHSTHIPYNPTSSGLVERTNGVIKN -LLNKYLLDCPNLPLDNAIHKALWTLNQLNVMNPSGKTRWQIHHSPPLPPIPEASTPPKPPPKWFYYKLPG -LTNQRWKGPLQSLQEAAGAALLSIDGSPRWIPWRFLKKAACPRPDASELAEHAATDHQHHG - ->sp|P03362.3|POL_HTL1A RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p49 subunit; Short=p49 RT; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p62 subunit; Short=p62 RT; Contains: RecName: Full=Integrase; Short=IN -MGQIFSRSASPIPRPPRGLAAHHWLNFLQAAYRLEPGPSSYDFHQLKKFLKIALETPARICPINYSLLAS -LLPKGYPGRVNEILHILIQTQAQIPSRPAPPPPSSPTHDPPDSDPQIPPPYVEPTAPQVLPVMHPHGAPP -NHRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITGYNPLAGPLRVQANNPQQQGLRREYQQLWLAAFAALPGSAKDPSWASILQGLEEPYHAFVER -LNIALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQTWTPKDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCTQPRPPPGPCPLCQDPTHWKRDCPRLKPTIPEPEPEEDALLLDLPADIPH -PKNLHRGGGLTSPPTLQQVLPNQDPASILPVIPLDPARRPVIKAQVDTQTSHPKTIEALLDTGADMTVLP -IALFSSNTPLKNTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTKNNWAIIGRDALQQCQG -VLYLPEAKRPPVILPIQAPAVLGLEHLPRPPQISQFPLNPERLQALQHLVRKALEAGHIEPYTGPGNNPV -FPVKKANGTWRFIHDLRATNSLTIDLSSSSPGPPDLSSLPTTLAHLQTIDLRDAFFQIPLPKQFQPYFAF -TVPQQCNYGPGTRYAWKVLPQGFKNSPTLFEMQLAHILQPIRQAFPQCTILQYMDDILLASPSHEDLLLL -SEATMASLISHGLPVSENKTQQTPGTIKFLGQIISPNHLTYDAVPTVPIRSRWALPELQALLGEIQWVSK -GTPTLRQPLHSLYCALQRHTDPRDQIYLNPSQVQSLVQLRQALSQNCRSRLVQTLPLLGAIMLTLTGTTT -VVFQSKEQWPLVWLHAPLPHTSQCPWGQLLASAVLLLDKYTLQSYGLLCQTIHHNISTQTFNQFIQTSDH -PSVPILLHHSHRFKNLGAQTGELWNTFLKTAAPLAPVKALMPVFTLSPVIINTAPCLFSDGSTSRAAYIL -WDKQILSQRSFPLPPPHKSAQRAELLGLLHGLSSARSWRCLNIFLDSKYLYHYLRTLALGTFQGRSSQAP -FQALLPRLLSRKVVYLHHVRSHTNLPDPISRLNALTDALLITPVLQLSPAELHSFTHCGQTALTLQGATT -TEASNILRSCHACRGGNPQHQMPRGHIRRGLLPNHIWQGDITHFKYKNTLYRLHVWVDTFSGAISATQKR -KETSSEAISSLLQAIAHLGKPSYINTDNGPAYISQDFLNMCTSLAIRHTTHVPYNPTSSGLVERSNGILK -TLLYKYFTDKPDLPMDNALSIALWTINHLNVLTNCHKTRWQLHHSPRLQPIPETRSLSNKQTHWYYFKLP -GLNSRQWKGPQEALQEAAGAALIPVSASSAQWIPWRLLKRAACPRPVGGPADPKEKDLQHHG - ->sp|Q0R5R4.3|GAG_HTL32 RecName: Full=Gag polyprotein; AltName: Full=Pr53Gag; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-gag; Short=NC-gag -MGKTYSSPINPIPKAPKGLAIHHWLNFLQAAYRLQPGPSEFDFHQLRKFLKLAIKTPVWLNPINYSVLAG -LIPKNYPGRVHEIVAILIQETPAREAPPSAPLAEDPQKPPPYPEQAQEASQCLPILHPHGAPAAHRPWQM -KDLQAIKQEVSSSAPGSPQFMQTIRLAVQQFDPTAKDLHDLLQYLCSSLVASLHHQQLETLIAQAETQGI -TGYNPLAGPLRIQANNPNQQGLRKEYQNLWLSAFSALPGNTKDPTWAAILQGPEEPFGSFVERLNVALDN -GLPEGTPKDPILRSLAYSNANKECQKLLQARGQTNSPLGEMLRACQTWTPRDKNKILMVQPKKTPPPNQP -CFRCGQVGHWSRDCKQPRPPPGPCPVCQDPTHWKRDCPQLKTDTRDSEDLLLDLPCEAPNVRERKNSSGG -ED - ->sp|Q0R5R2.3|POL_HTL32 RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGKTYSSPINPIPKAPKGLAIHHWLNFLQAAYRLQPGPSEFDFHQLRKFLKLAIKTPVWLNPINYSVLAG -LIPKNYPGRVHEIVAILIQETPAREAPPSAPLAEDPQKPPPYPEQAQEASQCLPILHPHGAPAAHRPWQM -KDLQAIKQEVSSSAPGSPQFMQTIRLAVQQFDPTAKDLHDLLQYLCSSLVASLHHQQLETLIAQAETQGI -TGYNPLAGPLRIQANNPNQQGLRKEYQNLWLSAFSALPGNTKDPTWAAILQGPEEPFGSFVERLNVALDN -GLPEGTPKDPILRSLAYSNANKECQKLLQARGQTNSPLGEMLRACQTWTPRDKNKILMVQPKKTPPPNQP -CFRCGQVGHWSRDCKQPRPPPGPCPVCQDPTHWKRDCPQLKTDTRDSEDLLLDLPCEAPNVRERKNLLRG -GGLASPRTILPLIPLSQQKQPTLHIQVSFSNTPPVSVQALLDTGADITVLPACLCPPDSNLQDTTVLGAG -GPSTNKFKILPCPVHIHLPFRRQPVTLTACLIDINNQWTILGRDALQQCQSSLYLADQPSKVLPVLAPKL -IGLEHLPPPPEVSQFPLNPERLQALTDLVSRALEAKHIEPYQGPGNNPIFPVKKPNGKWRFIHDLRATNS -VTRDLASPSPGPPDLTSLPQGLPHLRTIDLTDAFFQIPLPTIFQPYFAFTLPQPNNYGPGTRYSWRVLPQ -GFKNSPTLFEQQLSHILTPVRKTFPNSLIIQYMDDILLASPAPGELAALTDKVTNALTKEGLPLSPEKTQ -ATPGPIHFLGQVISQDCITYETLPSINVKSTWSLAELQSMLGELQWVSKGTPVLRSSLHQLYLALRGHRD -PRDTIKLTSIQVQALRTIQKALTLNCRSRLVNQLPILALIMLRPTGTTAVLFQTKQKWPLVWLHTPHPAT -SLRPWGQLLANAVIILDKYSLQHYGQVCKSFHHNISNQALTYYLHTSDQSSVAILLQHSHRFHNLGAQPS -GPWRSLLQMPQIFQNIDVLRPPFTISPVVINHAPCLFSDGSASKAAFIIWDRQVIHQQVLSLPSTCSAQA -GELFGLLAGLQKSQPWVALNIFLDSKFLIGHLRRMALGAFPGPSTQCELHTQLLPLLQGKTVYVHHVRSH -TLLQDPISRLNEATDALMLAPLLPLDPTTLHQLTHCNPYALRNHGATASEAHAIVQACHTCKVINPQGRL -PQGYIRRGHAPNDIWQGDVTHLQYKRYKYCLLVWVDTYSGAVSVSCRRKETGSDCVASLLVAISILGKPQ -NINTDNGAAYLSQEFQQFCNSLAIKHSTHIPYNPTSSGLVERTNGILKTLISKYLLDNHHLPLETAVSKS -LWTINHLNVLPSCQKTRWQLHQAQPLPPVPEDTLPPHTSPKWYYYKIPGLTNSRWSGPVQSLKEAAGAAL -IPVGGSYLWIPWRLLKRGICPRPESSAAVDPKTRDHQLHG - ->sp|P0C211.2|POL_HTL1L RecName: Full=Gag-Pro-Pol polyprotein; AltName: Full=Pr160Gag-Pro-Pol; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p49 subunit; Short=p49 RT; Contains: RecName: Full=Reverse transcriptase/ribonuclease H, p62 subunit; Short=p62 RT; Contains: RecName: Full=Integrase; Short=IN -MGQIFPRSANPIPRPPRGLATHHWLNFLQAAYRLEPGPSSYDFHQLKTVLKMALETPVWMCPINYSLLAS -LLPKGYPGQVNEILQVLIQTQTQIPSHPAPPPPSSPTHDPPDSDPQIPPPYVEPTAPQVLPVMHPHGVPP -THRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITGYNPLAGPLRVQANNPQQQGLRREYQQLWLTAFAALPGSAKDPSWASILQGLEEPYHTFVER -LNVALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQAWTPRDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCAQPRPPPGPCPLCQDPTHWKRDCPRLKPAIPEPEPEEDALLLDLPADIPH -PKNLHRGGGLTSPPTLRQVHPNKDPASILPVIPLDPARRPLIKAQVDTQTSHPRTIEALLDTGADMTVLP -IALFSSDTPLKDTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTKNNWAIIGRDALQQCQG -VLYLPEAKRPPVILPIQAPAVLGLEHLPRPPEISQFPLNPERLQALQHLVRKALEAGHIEPYTGPGNNPV -FPVKKANGTWRFIHDLRATNSLTVDLSSSSPGPPDLSSLPTTLAHLQTIDLKDAFFQIPLPKQFQPYFAF -TVPQQCNYGPGTRYAWKVLPQGFKNSPTLFEMQLASILQPIRQAFPQCVILQYMDDILLASPSPEDLQQL -SEATMASLISHGLPVSQDKTQQTPGTIKFLGQIISPNHITYDAVPTVPIRSRWALPELQALLGEIQWVSK -GTPTLRQPLHSLYCALQGHTDPRDQIYLNPSQVQSLMQLQQALSQNCRSRLAQTLPLLGAIMLTLTGTTT -VVFQSKQQWPLVWLHAPLPHTSQCPWGQLLASAVLLLDKYTLQSYGLLCQTIHHNISIQTFNQFIQTSDH -PSVPILLHHSHRFKNLGAQTGELWNTFLKTAAPLAPVKALTPVFTLSPIIINTAPCLFSDGSTSQAAYIL -WDKHILSQRSFPLPPPHKSAQQAELLGLLHGLSSARSWHCLNIFLDSKYLYHYLRTLALGTFQGKSSQAP -FQALLPRLLAHKVIYLHHVRSHTNLPDPISKLNALTDALLITPILQLSPAELHSFTHCGQTALTLQGATT -TEASNILRSCHACRKNNPQHQMPRGHIRRGLLPNHIWQGDITHFKYKNTLYRLHVWVDTFSGAISATQKR -KETSSEAISSLLQAIAQLGKPSYINTDNGPAYISQDFLSMCTSLAIRHTTHVPYNPTSSGLVERSNGILK -TLLYKYFTDRPDLPMDNALSIALWTINHLNVLTHCHKTRWQLHHSPRLQPIPETHSLISKQTHWYYFKLP -GLNSRQWKGPQEALQEAAGAALIPVSANSAQWIPWRLLKQAACPRPAEGPADPKEKDHQHHG - ->sp|P0C210.2|PRO_HTL1L RecName: Full=Gag-Pro polyprotein; AltName: Full=Pr76Gag-Pro; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-pro; Short=NC'; Short=NC-pro; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=p1; Contains: RecName: Full=Transframe peptide; Short=TFP; AltName: Full=p8 -MGQIFPRSANPIPRPPRGLATHHWLNFLQAAYRLEPGPSSYDFHQLKTVLKMALETPVWMCPINYSLLAS -LLPKGYPGQVNEILQVLIQTQTQIPSHPAPPPPSSPTHDPPDSDPQIPPPYVEPTAPQVLPVMHPHGVPP -THRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITGYNPLAGPLRVQANNPQQQGLRREYQQLWLTAFAALPGSAKDPSWASILQGLEEPYHTFVER -LNVALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQAWTPRDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCAQPRPPPGPCPLCQDPTHWKRDCPRLKPAIPEPEPEEDALLLDLPADIPH -PKNLHRGGGLTSPPTLRQVHPNKDPASILPVIPLDPARRPLIKAQVDTQTSHPRTIEALLDTGADMTVLP -IALFSSDTPLKDTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTKNNWAIIGRDALQQCQG -VLYLPEAKRPPVILPIQAPAVLGLEHLPRPPEISQFPLNQNASRPCNTWSGRPWRQAISNRTPGQEITQY -SQLKRPMEPGDSSTTCGPLIL - ->sp|P14077.3|GAG_HTL1M RecName: Full=Gag polyprotein; AltName: Full=Pr53Gag; Contains: RecName: Full=Matrix protein p19; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p15-gag; Short=NC-gag -MGQIFSRSASPIPRPPRGLAAHHWLNFLQAAYRLEPGPSSYDFHQLKKFLKIALETPVWICPINYSLLAS -LLPKGYPGRVNEILHILIQTQAQIPSRPAPPPPSSPTHDPPDSDPQIPPPYVEPTAPQVLPVMHPHGAPP -NHRPWQMKDLQAIKQEVSQAAPGSPQFMQTIRLAVQQFDPTAKDLQDLLQYLCSSLVASLHHQQLDSLIS -EAETRGITSYNPLAGPLRVQANNPQQQGLRREYQQLWLAAFAALPGSAKDPSWASILQGLEEPYHAFVER -LNIALDNGLPEGTPKDPILRSLAYSNANKECQKLLQARGHTNSPLGDMLRACQTWTPKDKTKVLVVQPKK -PPPNQPCFRCGKAGHWSRDCTQPRPPPGPCPLCQDPTHWKRDCPRLKPTIPEPEPEEDALLLDLPADIPH -PKNSIGGEV - ->sp|P03344.3|GAG_BLVJ RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p12-gag -MGNSPSYNPPAGISPSDWLNLLQSAQRLNPRPSPSDFTDLKNYIHWFHKTQKKPWTFTSGGPTSCPPGRF -GRVPLVLATLNEVLSNEGGAPGASAPEEQPPPYDPPAILPIISEGNRNRHRAWALRELQDIKKEIENKAP -GSQVWIQTLRLAILQADPTPADLEQLCQYIASPVDQTAHMTSLTAAIAAAEAATPSRVLTPKTGTLTQQS -AQPNAGDLRSQYQNLWLQAGKISLLVLQLQPWSTIVQGPAESSVEFVNRLQISLADNLPDGVLRNPLLTP -LVMQMLTESVSKFCRGEASGRGGAKTAGLRTIGPPRMKQPALLVHTPGPKMPGPRQPAPKRPPPGPCYRC -LKEGHWARDCPTKATGPPPGPCPICKDPSHWKRDCPTLKSKN - diff --git a/seq/clusters_seq/cluster_151 b/seq/clusters_seq/cluster_151 deleted file mode 100644 index 58c9d8c..0000000 --- a/seq/clusters_seq/cluster_151 +++ /dev/null @@ -1,966 +0,0 @@ ->YP_009118282.1 VP3 [rabbit kobuvirus] -GFPVTTTIGSGQFITTVRDNGIPIYPEFQADICHDIPGEYKNFLEVARVGTFMKLSQNLLGVSITPSFTA -GQKVFGFPIDFDNDAFRPTYVALLSKFYINWRGSLKLTLTFAGAAMCTAKLVVCYTPPGTQEPADREEAM -LGTSLIWDVGLQSSCTLVIPWISETQFRLTTGQTRASATGFVTCWMQTRLVNPPSLPGGTSIFATLSAGD -DFCFRIPSDSGYFQ - ->YP_009553470.1 polyprotein [Sugar beet cyst nematode virus 1] -MTSTKNNSICCRHAVFKCDKVVDGARCVSPWAAAEPTSVMEEQAVLTTISLKSVFGHMNRCLSGFKHQAA -SKVLHGCSLTPMDQQTLAASEFSRNYATTITGQVTLEASGDHDGVDFNAPPGYGFGSAARDQTLFFSPSG -HDNLEIGSLQWKENQEMFSHLSEANTQMIYKQPEMALVAKIKEMPPRHLHALVLADGLGLMGNCKNKERV -FQQLQLKLDLVDILCYGLDNTEVVQRMCNKWRLADRQKQRWPATPAPPPTPEHLKSMRTELMKVALARYF -NARWITERSGGLCNIRSTPSDFKAIVGGEMPVVGQVHKPVTWARDWQQSYDCWSREHVCVCISFDLPHRV -CKACARMCSCQPRTGLCMCECVCVQEVHAQAGEETTTSTSVDVGHAADERQSAGMRMAETEEPLETPVLP -GQSQRVLDICAGVMRDITPAEMFSRWNHFMRFKWTTANKGDWIIARCDVTKQLQACPFQLPRMLTIHAFF -NCDLKFKLQLNSNRFQVGRLAMVWVPPSKEWLLDEATNPGSVEGYTTMPHVIVDAALNTPATLSVPFAQV -RNYISTMSGRDTMANSLGRLAIVQLTGLYVPTDAPGHVQGTVWIAASNVATRVPTASHQLFSTQVIAQGE -IAEQLSKTAGDLAQRTVSSAVRTGLRAIPILGNLLDRPLNYEWMAQRRPLGLGPLCLGDGGDSAVRLSLH -VHREPQNRYKGGMQQMHAAYLTKMSSVVLRLKPKWLTGEGEQRFFLPIHPRLSAPPTDLKKQTVQAGYLN -YVSSMFLYWRGSLTFHIEVVCPYFATGRLAFTWLPDVDANVLLGRENMDDLTAYPTVILDIAEAREITFD -VPFIATTPVKLVPTIVHTSSTQFLYCSESSNGVLVVHVINEMVSPPSSADYVSVWIWLSGGDDFELMVPA -GPFDAVAPQVFSDKQESLKKVVSEWVHSKEPEKKIEVESEVTYDEKKKKSQYTKNHRYSYDTTLKDYVYD -RAGRFKWNGTSFEVFAQGDDGSNSTLLTTRTDLFFNGGVPFIGSKQNTSISVFGEDFMDLRPLLKRRMLV -TSTLEGKEDAGGNNTTVMAMTLTVTPLVSMTGQNLLRQKPSSGEEWISIAVGNMTANPDLVRNVTATPNL -DFVCFNVNNDSFLSMISRIFTFYSGSLRYSLATTSDATTMGLLTAEFVPGPSDEFGLKIKWSSRAAEFQT -TAFAEPRGNFACVVDNRALNPTLEVEVPIQGLYDHLYVDMPTGLNKPAQLLTCSPGVLCITSMKTRVQEA -AVSWASVARLYAGAGNDFQLYLPVWAPPIQRYLGRSARTSKGVVKGLDFMNDGVVAQMGWSDIIPYMPSK -TVCGIAGAGLIGFGMYKMYARIQREVTRLSNAMDENLTGSRVAIETMGMEVARVSERLTSTLSSFEGSVS -STSTDFGETLRAVTSLTKNAGHLLDKVHDGLLGILMDIFGPEGMKDLAPHNLMNLIFLIYDGCTLRSNTD -IVIFIGKISTTLPFLNLTKSVISYFASLPEHPNMVTAQGGMETLADIFKAVSAAHVAAGSVLVVIVLLYC -QKMVTTNRTSLLSELQNPRTVYVANIIGRDVLATFYDLGKISMSLFGITRAYPLLIDLMDKVLCLIKGDL -TEAEQSRAEIKHISHSVELWADGVVRMNQDQVRMQMSHDSRLQREAQRLFFEGKELYVKTLREGIPPGVG -RAFTKMLDVARTLDGIATRSKDNLGFRREPFCFAICGKPGLGKTALIMKLACFLGAVEEHGRPNDCYPRS -TEDEFWSNYTKQHITLYDDFPKTNSQEGNRVMSEFINVKSCNPYPLNMADLPDKGLHFTSKIIGVTTNSP -YFTPPSMLEPGAFLRRRDVLVRMRVEGQLDDGHTHANARFRSMNPLVDDGKGDEIDLCGLQDLLMKKFIK -HCENEAVRLRAIGLKMDVVLGEFDGLARTWAEQKLRMIFPDDFVSAPVVSQMLIECRDDEQFADQIHLYT -HVIEHPCIQKLFLFHRWGRMIKQTFNSVLLAFESGRKALLDTSVAGQAAFLAEIEARRRGMTTDILYGVE -WARQKFGNDLIIPPEYFTLISSVTFDVNNLLFAEAQVGDEQLSLIPSTSAEPPRRPVVFRNVSDVELPPM -PLARCERAMFSDLLPPQQMLLPSYAELALTADAITRSPEPISAAEMLRERFSPEEWEIIAVAATRPDTLA -KLASEDRRIAQLLAFNRGDGLTDREILTREYKASTMVALRRQLAMIGGTARDIWTDHFAGRENWWRSLGL -VAAACLPIGFLVWYLCIRTPSEQHEADDDEGPIIEDVESGVGKPVGGHNRRQQKDGSMGRHDEVVLILDE -DADPQLEEQSPPKKDRDWSRSKKLRRGPTHDKRARKGAAFRKRGGYTAQFDLDADQNLDDMMRKVRERHV -VEIVCERGARKMVMSGIVVGGRVALFPAHYFILLDSFKEDLDLILIVSPHNATGSTIPKKISFKYSEMQV -RYYDLISNATIDLCSVLLPPQVGVFANIKNYFLTDDDIDANSRCGFILDVYSPRPDGKRRMIKGDASLSR -STDNYCVRYKASLDHSEEAMVLTDAWYMANVTHKGECGALFYVKCPHKTTGRIAGMHVAGNGNNYRPMAY -SIVIPRETVEEALEAFASENAIACADELPVAVKEGSTVVCQGAIENFGTSTITINTPRKSSWMRTEVWSE -EIFPHTKDLSVLSNRDPRLLKPVKDILWKAVLKYGEGSGGISSTEFNDLTTYWSQVFKNNLTPYEGPPLS -YSNALHCPDWLKEVSEMDPKTSAGFPYVVMGIKKRDIFADDECGIISLARKNYDARLESARKGELYPTVW -MDVLKDERRKMSKIREGATRSFIIAPIDLNLLIRSFYLEFVNNLMQARHVLWMQLGINPESSEWNVLANR -LVAFGGWDGLFDGDYKNFDGKVHPWMWILFAKCVNTWCADGVENSRVRMTLAHQLCNRFSIAGNTEYFTV -FGNPSGCALTTAINSFTNATLLRLAWYRVVFARRGLRSLISFDKHFLDINFGDDVVFAVRDLDEEWLMAW -LLEIQKMGHTFTDGGKEGPPHRKPLSEITFLKRFFRQCDIDRVFYGGLEWDSIYSMVHFVKNRGHYPTLL -ADNVNTAMRAAFFRGREDYDWFISQIKRSMVIDHYGIDILSYDDMYLEIFGLFTHISL - ->YP_009505608.1 polyprotein [rhinovirus A1] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQS -PSVEACGYSDRIMQITRGDSTITSQDVANAVVGYGVWPHYLTPQDATAIDKPTQPDTSSNRFYTLESKHW -NGSSKGWWWKLPDALKDMGIFGENMYYHFLGRSGYTVHVQCNASKFHQGTLLVAMIPEHQLASAKHGSVT -AGYKLTHPGEAGRDVSQERDASLRQPSDDSWLNFDGTLLGNLLIFPHQFINLRSNNSATLIVPYVNAVPM -DSMLRHNNWSLVIIPISPLRSETTSSNIVPITVSISPMCAEFSGARAKNIKQGLPVYITPGSGQFMTTDD -MQSPCALPWYHPTKEISIPGEVKNLIEMCQVDTLIPVNNVGNNVGNVSMYTVQLGNQTGMAQKVFSIKVD -ITSQPLATTLIGEIASYYTHWTGSLRFSFMFCGTANTTLKLLLAYTPPGIDEPTTRKDAMLGTHVVWDVG -LQSTISLVVPWVSASHFRLTADNKYSMAGYITCWYQTNLVVPPSTPQTADMLCFVSACKDFCLRMARDTD -LHIQSGPIEQNPVENYIDEVLNEVLVVPNIKESHHTTSNSAPLLDAAETGHTSNVQPEDAIETRYVITSQ -TRDEMSIESFLGRSGCVHISRIKVDYTDYNGQDINFTKWKITLQEMAQIRRKFELFTYVRFDSEITLVPC -IAGRGDDIGHIVMQYMYVPPGAPIPSKRNDFSWQSGTNMSIFWQHGQPFPRFSLPFLSIASAYYMFYDGY -DGDNTSSKYGSVVTNDMGTICSRIVTEKQKHSVVITTHIYHKAKHTKAWCPRPPRAVPYTHSHVTNYMPE -TGDVTTAIVRRNTITTAGPSDLYVHVGNLIYRNLHLFNSEMHDSILISYSSDLIIYRTNTIGDDYIPNCN -CTEATYYCRHKNRYYPIKVTPHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCRHGVIGIITAG -GEGHVAFIDLRQFHCAEEQGITDYIHMLGEAFGNGFVDSVKEQINAINPINNISKKVIKWLLRIISAMVI -IIRNSSDPQTIIATLTLIGCNGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGNKIS -KFIDWMKSMLPQAQLKVKYLNEIKKLSLLEKQIENLRAADSATQEKIKCEIDTLHDLSCKFLPLYAHEAK -RIKVLYNKCSNIIKQRKRSEPVAVMIHGPPGTGKSITTNFLARMITNESDVYSLPPDPKYFDGYDNQSVV -IMDDIMQNPDGEDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFILCSTNHSLLAPPTISSLPAMNRRFF -FDLDIVVHDNYKDTQGKLDVSKAFRPCNVNTKIGNAKCCPFVCGKAVXFKDRSTCSTYTLAQVYNHILEE -DKRRRQVVDVMSAIFQGPISLDXPPPPAIXDLLQSVRTPEVIKYCQDNKWVIPAECQVERDLNIANSIIA -IIANIISIAGIIFVIYKLFCSLQGPYSGEPKPKTKVPERRVVAQGPEEEFGRSILKNNTCVITTGNGKFT -GLGIHDRILIIPTHADPGREVQVNGVHTKVLDSYDLYNRDGVKLEITVIQLDRNEKFRDIRKYIPETEDD -YPECNLALSANQDEPTIIKVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGVLYKIGQILGIHVGGNG -RDGFSAMLLRSYFTDTQGQIKVNKHATECGLPTIHTPSKTKLQPSVFYDVFPGSKEPAVLTDNDPRLEVN -FKEALFSKYKGNVECNLNEHMEIAIAHYSAQLMTLDIDSRPIALEDSVFGIEGLEALDLNTSAGFPYVTM -GIKKRDLINNKTKDISRLKEALDKYGVDLPMITFLKDELRKKEKISTGKTRVIEASSINDTILFRTTFGN -LFSKFHLNPGVVTGSAVGCDPETFWSKIPVMLDGDCIMAFDYTNYDGSIHPVWFQALKKVLENLSFQSNL -IDRLCYSKHLFKSTYYEVAGGVPSGCSGTSIFNTMINNIIIRTLVLDAYKNIDLDKLKIIAYGDDVIFSY -KYTLDMEAIANEGKKYGLTITPADKSNEFKKLDYSNVTFLKRGFKQDERHTFLIHPTFPVEEIHESIRWT -KKPSQMQEHVLSLCHLMWHNGRKVYEDFSSKIRSVSAGRALYIPPYDLLKHEWYEKF - ->YP_004782533.1 VP3 [Bat picornavirus 3] -GVPTFQIPGSGQFVTTIKNDGFPALPHYQETPLHFIPGEVTNLLEVCQIDTFANLGTSELSFTIDVSNQT -SVGGKIKDFDMSLNSTVLSTTYLSKCARWYTHYRGSVNLTFMFCGSAMATGKILIAYTPPGGDAPATRKD -AMLATHVVWDLGLQSSVTFTVPYISQSQYRYNNIDGNIFSYDGFISMFYQTNIVVPPGAPSTCQIVLLVS -AAKDFTLRLATDSAYFQ - ->YP_004564611.1 VP3 [Pigeon picornavirus B] -GVPTFDIPGSGQFCTTLANTGYPAFPIWTPSEGFPLPGRMTNLISVARIPTFLQGTGGNTYGFSVQNAIP -ADNTTLYSLDVSLLATEMEKTYIGRLARMFAFYKGSIVLRFTYTGPKQSSGKLLIAYTPPGGARPTSREE -AMLGTNIIWDFGLQSTCTFVIPYISISSRRFANTTGTIFSYDGYVTVWYQTAVVFAPNCPSSAVVLVTAA -AGEDLEYTGFMDTAYYQ - ->NP_042288.1 genome polyprotein [Rhinovirus A] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRLIQITRGDSTITSQDTANAVVAYGVWPSYLTPDDATAIDKPTQPDTSSNRFYTLDSRSW -TSASSGWWWKLPDALKNMGIFGENMFYHFLGRSGYTIHVQCNSSKFHQGLLIVAAIPEHQLASATSGNVS -VGYNHTHPGEQGREVVPSRTSSDNKRPSDDSWLNFDGTLLGNLPIYPHQYINLRTNNSATLILPYVNAVP -MDSMLRHNNWSLVIIPICPLQVQPGGTQSIPITVSISPMFSEFSGPRSKVVFSTTQGLPVMLTPGSGQFL -TTDDTQSPSAFPYFHPTKEIFIPGQVRNLIEMCQVDTLIPVNNTQENVRSVNMYTVDLRTQVDLAKEVFS -IPVDIASQPLATTLIGELASYYTHWTGSLRFSFMFCGSASSTLKLLIAYTPPGVGKPKSRREAMLGTHLV -WDVGLQSTASLVVPWVSASHFRFTTPDTYSSAGYITCWYQTNFVVPDSTPDNAKMVCMVSACKDFCLRLA -RDTNLHTQEGVLTQNPVENYIDSVLNEVLVVPNIQPSTSVSSHAAPALDAAETGHTSSVQPEDMIETRYV -ITDQTRDETSIESFLGRSGCIAMIEFNTSSDKTEHDKIGKGFKTWKVSLQEMAQIRRKYELFTYTRFDSE -ITIVTAAAAQGNDSGHIVLQFMYVPPGAPVPEKRDDYTWQSGTNASVFWQEGQPYPRFTIPFMSIASAYY -MFYDGYDGDSAASKYGSVVTNDMGTICVRIVTSNQKHDSNIVCRIYHKAKHIKAWCPRPPRAVAYQHTHS -TNYIPSNGEATTQIKTRPDVFTVTNVGPSSMFVHVGNLIYRNLHLFNSDLDDSILVSYSSDLIIYRTNTE -GNDVIPNCDCTECTYYCHHKDRYFPIRVTAHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKH -GVIGMITAGGEGHVAFIDLRKFQCAEEQGLSDYVEHLGQVFGVGFVDSIKQQVNFINPTSKIGSKVIKWL -LRIVSAMIIMVRNSSDPQTVIATLTLLGCSGSPWRFLKEKLCAWLQLSYVHKQSDSWLKKFTEACNAARG -LEWIGQKISKFIDWIKSMLPQAQLKIDYLTKLKQLNLLEKQIETIRLAPASVQEKIFIEINTLHDLSLKF -LPLYASEARRIKNLYIKCSNVIKGGKRNEPVAVLIHGSPGTGKSLATSVLARMLTVETDIYSLPPDPKYF -DGYDQQSVVIMDDIMQNPSGEDMTLFCQMVSSVPFIPPMADLPDKGKPFTSKFVLASTNHTLLTPPTVSS -LPAMARRFYFDLDIQVKKEYLLDGKLDIAKSFRPCDVNIKIGNAKCCPFICGKAVEFKDRNSCTTLSLSQ -LYSHIKEEDRRRSSAAQAMEAIFQGIDLQSPPPPAIADLLRSVKTPEIIKYCQDNNWIVPAECSIERDLG -IANMTIGIIANVVSIVGVIYIIYKLFCTLQGPYSGEPKPKSRAPERRVVTQGPEEEFGRSLLKHNCCVVT -TDKGKFTGLGIYDQVMVLPTHSDPGSEILVDGVKVKVSDSYDLHNHEGVKLEITVVKLIRNEKFKDIRKY -LPSREDDYPACNLALLANQDEPTIISVGDAVSYGNILLSGTNTARMIKYHYPTKAGYCGGVLYKVGSILG -IHVGGNGRDGFSAMLLKSYFGETQGLITKELPVSVKNLPSVHVSSKTRLQPSVFHDVFPGTKEPAVLSSN -DPRLETDFDSALFSKYKGNPACQVTPHMKIAVAHYAAQLSTLDINPQPLSLEESVFGIEGLEALDLNTSA -GFPYVSLGIKKKDLIDKKTKDITKLRKAIDEYGIDLPMVTFLKDELRKKEKIKDGKTRVIEANSVNDTVL -FRSVFGNLFSAFHKNPGIVTGSAVGCDPEVFWSTIPLMLDGECLMAFDYSNYDGSLHPVWFKCLSMLLED -IGFSSQLINQICNSKHIYKSKYYEVEGGMPSGCAGTSIFNTIINNIIIRTLVLDAYKNIDLDKLKILAYG -DDVIFSYNFKLDMAVLAKEGEKYGLTITPADKSDVFQELTYKNVTFLKRGFRADERHSFLIHPTFPVAEI -HDSIRWTKNPSCMQEHVLSLCHLMWHNGRHAYQEFIKGIRSVSAGRALYIPAYEVLEHEWYEKF - ->sp|Q82122.4|POLG_HRV16 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSRQNVGTHSTQNMVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQS -PSVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTPQDATAIDKPTQPDTSSNRFYTLDSKMW -NSTSKGWWWKLPDALKDMGIFGENMFYHFLGRSGYTVHVQCNASKFHQGTLLVVMIPEHQLATVNKGNVN -AGYKYTHPGEAGREVGTQVENEKQPSDDNWLNFDGTLLGNLLIFPHQFINLRSNNSATLIVPYVNAVPMD -SMVRHNNWSLVIIPVCQLQSNNISNIVPITVSISPMCAEFSGARAKTVVQGLPVYVTPGSGQFMTTDDMQ -SPCALPWYHPTKEIFIPGEVKNLIEMCQVDTLIPINSTQSNIGNVSMYTVTLSPQTKLAEEIFAIKVDIA -SHPLATTLIGEIASYFTHWTGSLRFSFMFCGTANTTLKVLLAYTPPGIGKPRSRKEAMLGTHVVWDVGLQ -STVSLVVPWISASQYRFTTPDTYSSAGYITCWYQTNFVVPPNTPNTAEMLCFVSGCKDFCLRMARDTDLH -KQTGPITQNPVERYVDEVLNEVLVVPNINQSHPTTSNAAPVLDAAETGHTNKIQPEDTIETRYVQSSQTL -DEMSVESFLGRSGCIHESVLDIVDNYNDQSFTKWNINLQEMAQIRRKFEMFTYARFDSEITMVPSVAAKD -GHIGHIVMQYMYVPPGAPIPTTRDDYAWQSGTNASVFWQHGQPFPRFSLPFLSIASAYYMFYDGYDGDTY -KSRYGTVVTNDMGTLCSRIVTSEQLHKVKVVTRIYHKAKHTKAWCPRPPRAVQYSHTHTTNYKLSSEVHN -DVAIRPRTNLTTVGPSDMYVHVGNLIYRNLHLFNSDIHDSILVSYSSDLIIYRTSTQGDGYIPTCNCTEA -TYYCKHKNRYYPINVTPHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGIITAGGEGH -VAFIDLRHFHCAEEQGITDYIHMLGEAFGSGFVDSVKDQINSINPINNISSKMVKWMLRIISAMVIIIRN -SSDPQTIIATLTLIGCNGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGNKISKFID -WMKSMLPQAQLKVKYLSELKKLNFLEKQVENLRAADTNTQEKIKCEIDTLHDLSCKFLPLYASEAKRIKV -LYHKCTNIIKQKKRSEPVAVMIHGPPGTGKSITTSFLARMITNESDIYSLPPDPKYFDGYDNQSVVIMDD -IMQNPGGEDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHSLLAPPTISSLPAMNRRFYLDLD -ILVHDNYKDNQGKLDVSRAFRLCDVDSKIGNAKCCPFVCGKAVTFKDRNTCRTYSLSQIYNQILEEDKRR -RQVVDVMSAIFQGPISMDKPPPPAITDLLRSVRTPEVIKYCQDNKWIVPADCQIERDLNIANSIITIIAN -IISIAGIIYIIYKLFCSLQGPYSGEPKPKTKVPERRVVAQGPEEEFGMSIIKNNTCVVTTTNGKFTGLGI -YDRILILPTHADPGSEIQVNGIHTKVLDSYDLFNKEGVKLEITVLKLDRNEKFRDIRKYIPESEDDYPEC -NLALVANQTEPTIIKVGDVVSYGNILLSGTQTARMLKYNYPTKSGYCGGVLYKIGQILGIHVGGNGRDGF -SSMLLRSYFTEQQGQIQISKHVKDVGLPSIHTPTKTKLQPSVFYDIFPGSKEPAVLTEKDPRLKVDFDSA -LFSKYKGNTECSLNEHIQVAVAHYSAQLATLDIDPQPIAMEDSVFGMDGLEALDLNTSAGYPYVTLGIKK -KDLINNKTKDISKLKLALDKYDVDLPMITFLKDELRKKDKIAAGKTRVIEASSINDTILFRTVYGNLFSK -FHLNPGVVTGCAVGCDPETFWSKIPLMLDGDCIMAFDYTNYDGSIHPIWFKALGMVLDNLSFNPTLINRL -CNSKHIFKSTYYEVEGGVPSGCSGTSIFNSMINNIIIRTLVLDAYKHIDLDKLKIIAYGDDVIFSYKYKL -DMEAIAKEGQKYGLTITPADKSSEFKELDYGNVTFLKRGFRQDDKYKFLIHPTFPVEEIYESIRWTKKPS -QMQEHVLSLCHLMWHNGPEIYKDFETKIRSVSAGRALYIPPYELLRHEWYEKF - ->sp|P04936.3|POLG_HRV2 RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASNGASKLEFTQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAIVAYGVWPHYLSSKDASAIDKPSQPDTSSNRFYTLRSVTW -SSSSKGWWWKLPDALKDMGIFGENMFYHYLGRSGYTIHVQCNASKFHQGTLIVALIPEHQIASALHGNVN -VGYNYTHPGETGREVKAETRLNPDLQPTEEYWLNFDGTLLGNITIFPHQFINLRSNNSATIIAPYVNAVP -MDSMRSHNNWSLVIIPICPLETSSAINTIPITISISPMCAEFSGARAKRQGLPVFITPGSGQFLTTDDFQ -SPCALPWYHPTKEISIPGEVKNLVEICQVDSLVPINNTDTYINSENMYSVVLQSSINAPDKIFSIRTDVA -SQPLATTLIGEISSYFTHWTGSLRFSFMFCGTANTTVKLLLAYTPPGIAEPTTRKDAMLGTHVIWDVGLQ -STISMVVPWISASHYRNTSPGRSTSGYITCWYQTRLVIPPQTPPTARLLCFVSGCKDFCLRMARDTNLHL -QSGAIAQNPVENYIDEVLNEVLVVPNINSSNPTTSNSAPALDAAETGHTSSVQPEDVIETRYVQTSQTRD -EMSLESFLGRSGCIHESKLEVTLANYNKENFTVWAINLQEMAQIRRKFELFTYTRFDSEITLVPCISALS -QDIGHITMQYMYVPPGAPVPNSRDDYAWQSGTNASVFWQHGQAYPRFSLPFLSVASAYYMFYDGYDEQDQ -NYGTANTNNMGSLCSRIVTEKHIHKVHIMTRIYHKAKHVKAWCPRPPRALEYTRAHRTNFKIEDRSIQTA -IVTRPIITTAGPSDMYVHVGNLIYRNLHLFNSEMHESILVSYSSDLIIYRTNTVGDDYIPSCDCTQATYY -CKHKNRYFPITVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGIVTAGGDNHVAF -IDLRHFHCAEEQGVTDYIHMLGEAFGNGFVDSVKEHIHAINPVGNISKKIIKWMLRIISAMVIIIRNSSD -PQTILATLTLIGCSGSPWRFLKEKFCKWTQLNYIHKESDSWLKKFTEACNAARGLEWIGNKISKFIEWMK -SMLPQAQLKVKYLNELKKLNLYEKQVESLRVADMKTQEKIKMEIDTLHDLSRKFLPLYASEAKRIKTLYI -KCDNIIKQKKRCEPVAIVIHGPPGAGKSITTNFLAKMITNDSDIYSLPPDPKYFDGYDQQSVVIMDDIMQ -NPAGDDMTLFCQMVSSVTFIPPMADLPDKGKAFDSRFVLCSTNHSLLTPPTITSLPAMNRRFFLDLDIIV -HDNFKDPQGKLNVAAAFRPCDVDNRIGNARCCPFVCGKAVSFKDRNSCNKYSLAQVYNIMIEEDRRRRQV -VDVMTAIFQGPIDMKNPPPPAITDLLQSVRTPEVIKYCEGNRWIIPAECKIEKELNLANTIITIIANVIG -MARIIYVIYKLFCTLQGPYSGEPKPKTKIPERRVVTQGPEEEFGMSLIKHNSCVITTENGKFTGLGVYDR -FVVVPTHADPGKEIQVDGITTKVIDSYDLYNKNGIKLEITVLKLDRNEKFRDIRRYIPNNEDDYPNCNLA -LLANQPEPTIINVGDVVSYGNILLSGNQTARMLKYSYPTKSGYCGGVLYKIGQVLGIHVGGNGRDGFSAM -LLRSYFTDVQGQITLSKKTSECNLPSIHTPCKTKLQPSVFYDVFPGSKEPAVLSEKDARLQVDFNEALFS -KYKGNTDCSINDHIRIASSHYAAQLITLDIDPKPITLEDSVFGTDGLEALDLNTSAGFPYIAMGVKKRDL -INNKTKDISKLKEAIDKYGVDLPMVTFLKDELRKHEKVIKGKTRVIEASSVNDTLLFRTTFGNLFSKFHL -NPGIVTGSAVGCDPEVFWSKIPAMLDDKCIMAFDYTNYDGSIHPIWFEALKQVLVDLSFNPTLIDRLCKS -KHIFKNTYYEVEGGVPSGCSGTSIFNTMINNIIIRTLVLDAYKNIDLDKLKIIAYGDDVIFSYIHELDME -AIAIEGVKYGLTITPADKSNTFVKLDYSNVTFLKRGFKQDEKYNFLIHPTFPEDEIFESIRWTKKPSQMH -EHVLSLCHLMWHNGRDAYKKFVEKIRSVSAGRALYIPPYDLLLHEWYEKF - ->sp|P12916.3|POLG_HRV1B RecName: Full=Genome polyprotein; Contains: RecName: Full=P1; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=P2; Contains: RecName: Full=Protease 2A; Short=P2A; AltName: Full=Picornain 2A; AltName: Full=Protein 2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=P3; Contains: RecName: Full=Protein 3AB; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Viral protein genome-linked; Short=VPg; AltName: Full=Protein 3B; Short=P3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQS -PSVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTPQDATAIDKPTQPDTSSNRFYTLESKHW -NGDSKGWWWKLPDALKEMGIFGENMYYHFLGRSGYTVHVQCNASKFHQGTLLVAMIPEHQLASAKNGSVT -AGYNLTHPGEAGRVVGQQRDANLRQPSDDSWLNFDGTLLGNLLIFPHQFINLRSNNSATLIVPYVNAVPM -DSMLRHNNWSLVIIPISPLRSETTSSNIRPITVSISPMCAEFSGARAKNVRQGLPVYITPGSGQFMTTDD -MQSPCALPWYHPTKEISIPGEVKNLIEMCQVDTLIPVNNVGTNVGNISMYTVQLGNQMDMAQEVFAIKVD -ITSQPLATTLIGEIASYYTHWTGSLRFSFMFCGTANTTLKLLLAYTPPGIDKPATRKDAMLGTHVVWDVG -LQSTISLVVPWVSASHFRLTANDKYSMAGYITCWYQTNLVVPPNTPQTADMLCFVSACKDFCLRMARDTD -LHIQSGPIEQNPVENYIDEVLNEVLVVPNIKESHHTTSNSAPLLDAAETGHTSNVQPEDAIETRYVMTSQ -TRDEMSIESFLGRSGCVHISRIKVDYNDYNGVNKNFTTWKITLQEMAQIRRKFELFTYVRFDSEVTLVPC -IAGRGDDIGHVVMQYMYVPPGAPIPKTRNDFSWQSGTNMSIFWQHGQPFPRFSLPFLSIASAYYMFYDGY -DGDNSSSKYGSIVTNDMGTICSRIVTEKQEHPVVITTHIYHKAKHTKAWCPRPPRAVPYTHSRVTNYVPK -TGDVTTAIVPRASMKTVGPSDLYVHVGNLIYRNLHLFNSEMHDSILVSYSSDLIIYRTNTTGDDYIPSCN -CTEATYYCKHKNRYYPIKVTPHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCRHGVIGIITAG -GEGHVAFTDLRQFQCAEEQGITDYIHMLGEAFGNGFVDSVKEQINAINPINSISKKVIKWLLRIISAMVI -IIRNSSDPQTIIATLTLIGCNGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGNKIS -KFIDWMKSMLPQAQLKVKYLNEIKKLSLLEKQIENLRAADNATQEKIKCEIDTLHDLSCKFLPLYAHEAK -RIKVLYNKCSNIIKQRKRSEPVAVMIHGPPGTGKSITTNFLARMITNESDVYSLPPDPKYFDGYDNQSVV -IMDDIMQNPDGEDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHSLLAPPTISSLPAMNRRFF -FDLDIVVHDNYKDAQGKLNVSKAFQPCNVNTKIGNAKCCPFVCGKAVSFKDRSTCSTYTLAQVYNHILEE -DKRRRQVVDVMSAIFQGPISLDAPPPPAIADLLQSVRTPEVIKYCQDNKWIVPAECQIERDLSIANSIIT -IIANIISIAGIIFVIYKLFCTLQGPYSGEPKPKTKMPERRVVAQGPEEEFGRSILKNNTCVITTDNGKFT -GLGIYDRTLIIPTHADPGREVQVNGIHTKVLDSYDLYNRDGVKLEITVIQLDRNEKFRDIRKYIPETEDD -YPECNLALSANQVEPTIIKVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGVLYKIGQILGIHVGGNG -RDGFSAMLLRSYFTDTQGQIKISKHANECGLPTIHTPSKTKLQPSVFYDVFPGSKEPAVSRDNDPRLKVN -FKEALFSKYKGNTECSLNQHMEIAIAHYSAQLITLDIDSKPIALEDSVFGIEGLEALDLNTSAGFPYVTM -GIKKRDLINNKTKDISRLKEALDKYGVDLPMITFLKDELRKKEKISAGKTRVIEASSINDTILFRTTFGN -LFSKFHLNPGVVTGSAVGCDPETFWSKIPVMLDGDCIMAFDYTNYDGSIHPVWFQALKKVLENLSFQSNL -IDRLCYSKHLFKSTYYEVAGGVPSGCSGTSIFNTMINNIIIRTLVLDAYKNIDLDKLKIIAYGDDVIFSY -KYTLDMEAIANEGKKYGLTITPADKSTEFKKLDYNNVTFLKRGFKQDEKHTFLIHPTFPVEEIYESIRWT -KKPSQMQEHVLSLCHLMWHNGRKVYEDFSSKIRSVSAGRALYIPPYDLLKHEWYEKF - ->ACK37375.1 polyprotein [rhinovirus A19] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQXVANAVVGYGVWPHYLTPEDATAIDKPSQPDTSTNRFYTLESKVW -DSESKGWWWKLPDALKDMGVFGQNMYYHFLGRSGYTIHVQCNASKFHQGTLIVAAIPEHQLASATKGNVT -AGYNYTHPGEMGRDVGKIGRENNGKQPSDDNWLNFDGTLLGNITIFPHQFINIRSNNSATLILPYVNAVP -MDSMLRHNNWSLVIIPICPLESQGTEGVVPITVSISPMFAEFSGARARSQGLPVMITPGSGQFMTTDDYQ -SPSALPWFHSTKEISIPGQVRNLAELCQVDTIIPINHTTATTSSVNMYTVVLDKKTSLAEEVFAIKVDIA -SQPLATTLIGEMSSYYTHWTGSLRFSFMFCGTAGTTLKLLLAYTPPGIAKPQKRKDAMLGTHVVWDVGLQ -STISMIVPWVSASHYRNTTPDTFSLAGYITCWYQTNLVTPPNTPSTARMLCFVSGCKDFCLRMARDTNLH -KQTGTITQNPVEKYVDTILNEVLVVPNINESHPSTSNAAPALDAAETGHTSNVQPEDMIETRYVQTSQTR -DEMSIESFLGRSGCVHVSELQLDYTNYNQENNNFKTWQINLKEMAQIRRKFELFTYLRFDSEVTLVPCIA -AKSKNIGHVVMQYMYVPPGAPIPKTRNDYTWQSGTNASIFWQHGQPYPRFSLPFLSIASAYYMFYDGYDG -DSPGSRYGTIVTNDMGTLCSRIVTDEHQTQVAITTRVYHKAKHIKAWCPRPPRAVEYTHTHVTNYKPQTG -EVTLPIEIRDNPRHIKNVGPSDMYVHVGNLIYRNLHLFNSDMHDSILVSYSSDLVIYRTNTVGDDYIPTC -DCTDATYYCKHKNRYFPIKVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGIITA -GGEGHVAFIDLRHFHCAEEQGITDYIHMLGEAFGNGFVDSVRDQVNAINPINNISKKLIKWMLRIISAMV -IIIRNSSDPQTIVATLTLVGCSGSPWRFLKEKFCKWTQLNYIHKESDSWLKKFTEMCNAARGLEWIGNKI -SKFIDWMKSMLPQAQLKVKYLSELKKLGFLEKQIENLRCADTKTQEKIKLEIDTLHDLSCKFLPLYASEA -KRIKVLYNKCNNIIKQKRRSEPVAIMIHGPPGTGKSITTSFLARMITNESDVYSLPPDPKYFDGYDQQSV -VIMDDIMQNPDGEDMSLFCQMVSSVTFIPPMADLPDKGKPFDSRFVFCSTNHSLLAPPTITSLSAMNRRF -YLDLDIIVHDNYKDGQGKLDVPKSFKPCDVDSKIGNAKCCPFICGRAVSFKDRNTCREYSLSQIYNVIME -EDKRRRNVVDVMSAIFQGPISMNAPPPPAITDLLQSVRTPEVIKYCEDNKWXIPADCKIERDLNIANNII -TIIANIISIAGIIFVIYKLFCSLQGPYSGEPKPKTKVPERRVVAQGPEEEFGRSLIKHNTCVVTTDNGKF -TGLGIYDRVMILPTHADPGKTLQINGINTSVTDSYDLYNKDGIKLEITVVKLDRNEKFRDIRKYIPEKED -DYPDCNLALVANQPEPTILSVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGVLYKIGVVLGIHVGGN -GRDGFSSMLLRSYFSETQGEITKTENVRERDLPTIHTPSKTKLQPSAFFDVFEGTKEPAVLSDRDPRLQT -DFKTALFSKYKGNKKFEMNDHIRVAVSHYSAQLMTLDIDHSNMSLEDSVFGIEGLEALDLNTSAGFPYIS -MGIKKRDLINNSTKDISKLKMALDKYGVDLPMVTFLKDELRKKEKISAGKTRVIEASSINDTVAFRIVYG -KLFAAFHKNPGVVTGSAVGCDPEVFWSKIPVMLDGDCIMAFDYTNYDGSIHPVWFEALKMILENLQFEPK -LIDRLCNSKHIYRDSYYEVEGGVPSGCSGTSIFNTMINNVIIRTLVLDAYKHIDLDKLKIIAYGDDVIFS -YKYVLDMEAIAQEGTKYGLTITPADKSETFTKLDYSNVTFLKRGFKQDIKYPFLIHPTFPINEIHESIRW -TKKPSQMQEHVLSLCHLMWHNGRDEYKEFERRIRSVSAGRALYIPPYEMLLHEWYEKF ->ACK37422.1 polyprotein [rhinovirus A89] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRLIQITRGDSTITSQDIANAVVAYGVWPSYLTPDDATAIDKPTQPDTSSNRFYTLDSRSW -TSASSGWWWKLPDALKNMGIFGENMFYHFLGRSGYTIHVQCNSSKFHQGLLIVAAIPEHQLASATSGNVS -VGYNHTHPGEQGREVVPSRSSSDNKRPSDDSWLNFDGTLLGNLPIYPHQYINLRTNNSATLILPYVNAVP -MDSMLRHNNWSLVIIPICPLQVQPGGTQSIPITVSISPMFSEFSGPRNKVVFSTTQGLPVMLTPGSGQFL -TTDDTQSPSAFPYFHPTKEIFIPGQVRNLIEMCQVDTLIPVNNTQENVRSVNMYTVDLRTQVDLAKEVFS -IPVDIASQPLATTLIGELASYYTHWTGSLRFSFMFCGSASSTLKLLIAYTPPGVGKPRSRREAMLGTHLV -WDVGLQSTASLVVPWVSASHFRFTTPDTYSSAGYITCWYQTNFVVPDSTPDNAKMVCMVSACKDFCLRLA -RDTNLHTQEGVLTQNPVENYIDSVLNEVLVVPNIQPSTSVSSHAAPALDAAETGHTSSVQPEDMIETRYV -ITDQTRDETSIESFLGRSGCIAMIEFNTSSDKVEHDKIGKGFKTWKISLQEMAQIRRKFELFTYTRFDSE -ITIVTAAATKGDDNGHIVLQFMYVPPGAPVPEKRDDYTWQSGTNASVFWQEGQPYPRFTIPFMSIASAYY -MFYDGYDGDNAASKYGSVVTNDMGTICVRMVTSNQKHDLNIVCRIYHKAKHIKAWCPRPPRAVAYQHTHS -TNYIPLNGEATTQIKTRPDVFTITNVGPSSMFVHVGNLIYRNLHLFNSDLDDSILVSYSSDLVIYRTNTE -GNDVIPNCDCTECTYYCHHKDRYFPIKVTAHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKH -GVIGMVTAGGEGHVAFIDLRKFQCAEEQGLSDYVEHLGQVFGVGFVDSIKQQVNFINPTSKIGSKVIKWL -LRIVSAMIIMVRNSSDPQTVIATLTLLGCSGSPWRFLKEKLCAWLQLSYVHKQSDSWLKKFTEACNAARG -LEWIGQKISKFIDWIKSMLPQAQLKIDYLTKLKQLNLLEKQIETIRLAPASDQEKIYIEINTLHDLSLKF -LPLYASEARRIKNLYIKCSNVIKGGKRNEPVAVLIHGSPGTGKSLATSVLARMLTVETDIYSLPPDPKYF -DGYDQQSVVIMDDIMQNPSGEDMTLFCQMVSSVPFIPPMADLPDKGKPFTSKFVLASTNHTLLTPPTVSS -LPAMARRFYFDLDIQVKKEYLLDGKLDIAKSFRPCDVNTKIGNAKCCPFICGKAVEFKDRNSCTTLSLSQ -LYSHIKEEDRRRSSAAQAMEAIFQGIDLQSPPPPAIADLLRSVKTPEIIKYCQDNNWIVPAECSIERDLG -IANMTIGIIANVVSIVGVIYIIYKLFCTLQGPYSGEPKPKSRAPERRVVTQGPEEEFGRSLLKHNCCVVT -TDKGKFTGLGIYDQVMVLPTHSDPGSEILIDGVKVKVSDSYDLHNHEGVKLEITVVKLARNEKFKDIRKY -LPSREDDYPACNLALLANQDEPTIISVGDAESYGNILLSGTNTARMIKYHYPTKAGYCGGVLYKVGSILG -IHVGGNGRDGFSAMLLKSYFGETQGLITKELPVSVKNLPSIHVSSKTRLQPSVFHDVFPGTKEPAVLSSN -DPRLETDFDSALFSKYKGNPACQVTPHMKIAVAHYAAQLSTLDINPQPLSLEESVFGIEGLEALDLNTSA -GFPYVSLGIKKKDLIDKRTRDITKLKKAIDEYGIDLPMVTFLKDELRKKEKIKDGKTRVIEANSVNDTVL -FRSVFGNLFSAFHKNPGIVTGSAVGCDPEVFWSTIPLMLDGECLMAFDYSNYDGSLHPVWFKCLSMLLED -IGFSSQLINQICNSKHIYKSKYYEVEGGMPSGCAGTSIFNTIINNIIIRTLVLDAYKNIDLDKLKILAYG -DDVIFSYNFKLDMAVLAKEGEKYGLTITPADKSDVFQELTYKNVTFLKRGFRADERHSFLVHPTFPVAEI -YDSIRWTKNPSCMQEHVLSLCHLMWHNGRHAYQEFTKGIRSVSAGRALYIPAYEVLEHEWYEKF ->ACK37431.1 polyprotein [rhinovirus A100] -MGAQVSRQNVGTHSTQNTVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLSAADATAIDKPTQPDTSSNRFYTLESKIW -TGTSQGWWWKLPDALKDMGIFGENMFYHYLGRSGYTVHVQCNASKFHQGTLLVVMIPEHQLASAHTGNVT -AGYNFTHPGEKGRTVGDERKHREKQPSDDNWLNFDGTLLGNALIFPHQFINLRSNNSATIIVPYVNAVPM -DSMLRHNNWSLVIIPISPLKSEPGASALVPITISISPMFAEFSGARAKTIAAPQGLPVYITPGSGQFLTT -DDLQSPSALPWYHPTKEILIPGEVKNLIEMCQVDTLIPINNTIANLRKVNMYTIQLTNQTEMAKEIFAIK -VDIASQPLSSTMIGEIASYFTHWTGSLRFSFMFCGTANTTLKLLLAYTPPGIRKPTTRKQAMLGTHIVWD -VGLQSTVSLVVPWVSASHFRYTTPDTYSAAGYITCWYQTNFVFPPNAPNTADMLCFVSACKDFCLRMARD -TDMHIQNDAIQQNPVENYVEGVLNEVLVVPNIRESHPSTSNSAPILDAAETGHTSNVQPEDTVETRYVQT -SQTRDEMSIESFLGRSGCIHTSTITVSKMKNYNEHTFDKWQITLQEMAQIRRKFEMFTYVRFDSEITLVP -CIAGKGDDIGHIVMQYMYVPPGAPVPTKRDDFAWQSGTNASVFWQHGQPFPRISLPFLSIASAYYMFYDG -YDGDTHDSHYGTTVINHMGTLCMRIVTNQQAHEVEITTNIYHKAKHVKAWCPRPPRAVPYTHSHSTNYKP -HEGDVKIFIRPRDDPKFVTAGPSDMYVHVGNLIYRNLHLFNSEMHDSILVSYSSDLVIYRTNTTGNDYIP -TCDCTDATYYCKHKDRYYPIKVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGII -TAGGEGHVAFIDLRQFHCVEEQGVTDYVHMLGEAFGMGFVDSVKDQINAINPINGISKKIIKWLLRIISA -MVIIIRNSSDPQTIIATLTLIGCSGSPWRFLKEKFCKWTQLNYIHKESDSWLKKFTEMCNAARGLEWIGN -KISKFIEWMKSMLPQAQLKVKYLSELKKLSFLEKQMEHLRVADTQTQEKIKHEIDTLHDLSCKFLPLYAS -EAKRIKILHNKCNAIIKQRKRCEPVAVVIHGEPGTGKSMTTNFLARMITSDSDIYSLPPDPKYFDGYDQQ -SVVIMDDIMQNPSGDDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHNLLAPPTITSLPAMNR -RFFLDLDIIVCDKYKDSQGKLNVSAAFKPCDVDAKIGNARCCPFICGKAVVFRDRNTCQSYTLAQVYNQI -LVEDKRRRQVIDVMAAIFQGPISLDGPPPAAISDLLQSVRTPEVIKYCENNRWIIPAECKVERDLNIANT -IITIIANIISISGIIYVIYKLFCTLQGPYSGEPKPKTKVPERRVVAQGPEEEFGRSLIKHNSCVVTTNNG -KFTGLGIYDRTLIIPTHADPGREVQIDGIATKVEDSYDLYNKDGIKLEITVLKLKRNEKFKDIRKYIPEN -EDDYPDCNLALSANQPETTIINVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGILYKIGQVLGIHVG -GNGRDGFAAMLLRSYFSETQGQIITTKTTLECNLPSIHTPSKTKLQPSVFYDVFPGSKEPAVLSSSDPRL -EVDFKEALFSKYKGNEHCVLNDHIRVAVSHYSAQLITLDIDPKPISLEDSVFGIEGLEALDLNTSAGFPY -VSMGIKKRDLINKQTKDVTKLKMALDKYGVDLPMVTFLKDELRKKEKIRAGKTRVIEASSVNDTVLFRTT -FGNLFSKFHLNPGIVTGSAVGCDPETFWSKIPVMLDGECIMAFDYTNYDGSIHPIWFQALKEVLTNLSFD -ASLIDRLCKSKHIFKNMYYEVEGGVPSGCSGTSIFNTMINNIIIRTLVLDAYKNIDLDKLKIIAYGDDVI -FSYKYQLDMEAIAKEGTKYGLAITPADKSNCFKQLDYDNVTFLKRGFRQDEKHQFLIHPTFPVEEIQESI -RWTKKPSQMQEHVLSLCHLMWHNGRDVYKQFEDKIRSVSAGRALYIPPYDLLLHEWYEKF ->ABO69374.1 polyprotein [rhinovirus A78] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLTKGVPTLQS -PSVEACGYSDRIIQITRGDSTITSQDIANAVVAYGVWPSYLTAKDASAIDKPTQPDTSSNRFYTLESKNW -QSDSKGWWWKLPDALKDMGIFGENMFYHFLGRSGYTVHVQCNASKFHQGTLLVVAIPEHQLAYIGTGNVT -VGYKHTHPGESGRIIATNSVNNNTRQPSDDNWLNCNGTLMGNLPIFPHQFINLRSNNSATLILPYMNAVP -MDSMLRHNNWSIVIIPVCSLQSEAGATGLVPITVSISPMFAEFSGARNAVVQQGLPVMLTPGSEQFLTTD -DNQSPSALPWFHPSKEIFIPGRVTNLAEICQVDTLIPVNNVQASKNNVSMYTVMLSTQTQTAQEIFAIPV -DVASQPLATTLIGEIASYYTHWTGSLRFSFMFCGTANTTLKLLIAYTPPGVPKPDTRKKAMLGTHLVWDV -GLQSTVSLVVPWVSASHFRFTTPDTYSKAGYITCWYQTNFVVPPGTPNTANMLCFVSGCKDFCLRMARDT -DLHVQTTPITQNPVEEYVDQVLNEVLVVPNIKESKPQSSNSAPVLDAAETGHTNQVQPEDTIETRYVITD -QTRDEMSIESFLGRSGCATIMRLELDHTDYNAEGKNFTTWKINLQEMAQIRRKNEMFTYLRFDSEITLVC -AVASQGDNNGHVVLQFMFVPPGAPIPKKRDDYTWQSGTNASVFWQQGQTYPRFSIPFSSIASAYYMFYDG -YSDDSTSSPYGTVVTNDMGTLCMRMVTDQQQHKVTITARVYHKAKHISAWGPRPPRAVPYQHIYNPNYKT -EEGTPDTKVAIRANIKTVGPSDLYVHVGNLVYRNLHLCTNENLSDSTLIRYSSDLVIYRTNTRGDDYIPT -CDCTQCTYYCKSKGRYYPIIVRKHDWYEIQESEYYPKHIQYNILIGEGPCEPGDCGGKLLCKHGVIGIVT -AGGEGHVAFIDIRDFQCAEEQGISDYMQYLGEAFGTGFVDSVREHVQSINPINKINSKMVKWLLRIISAM -VIIIRNNADPQTIIATLTLLGCSGSPWRFLKNKFCNWFGLTYVHKESDSWLKKFTEMCNAARGLEWIGNK -ISKFIDWIKSMLPQAKLKVTYIQSLKQLPLLEKSVDGLRLANPEKQYLFLKQIEEMKDLSNKFLPLYANE -AKRIKALAHKASNIVKNAKRVEPVAVMFHGNPGTGKSLATNFLGRMITSETDIYSLPPDPKHFDGYDQQT -VVIMDDVMQNPDGEDMSLFCQMVSSVPFIPPMADLPDKGKPFSSRYVLCSTNHTILTPPTISSLPAMNRR -FFLDLDIEVSENYKDGNGRIQLDCALKPCNDNIKIGNAKCCPFVCGKAITFRDRNSCEKYTLARIYHKIL -EEDKRRQCLSNALEAVFQGPITLNGPPPPAILDLLKSVRTPEVIKYCEDNKWIVPAENTIERDLNVANSV -ITIIANLISIVGVIYIIYKLFCSLQGPYSGEPKPKTKTPERRVVTQGPVEEFGRSLIKHNTCVVTTENGK -FTGLGVHDNVMIIPTHSEPGSQIEIDGVKTNVVDSYDLYNKDGVKLEITVVKLNRNEKFRDIRKYLPEHE -DDYMECNLALVANQEQPTIIEVGDVCSYGNILLSGTQTARMLKYNYPTKSGFCGGVLYKIGHIIGIHVGG -NGRDGFSAMILRSYFNENQGLIKNKTDVSVAGFNPVHVPSKTKLQPSIFFDVFPGDKEPAVLSKHDPRLE -TDFHTALFSKYSGNQQIEMSDNIKVAVAHYSAQLSTLDINPAPLSLEECVFGIDGLEALDLHTSAGYPYT -TMGIKKKDLINTKTKDVTKLKEVMNKYGVDLPMITFLKDELRKKDKIVAGKTRVIEASSINDTVQFRMTF -GRLFQAFHNNPGIVTGSAVGCDPDTFWSKIPLMLDGECLMAFDYSNYDGSLNPVWFQALKEILQNLGFES -KLIDRLCNSKHIFSSQYYEVEGGMPSGCAGTSIFNTMINNVIIRTLVLDTYRHINLDKLKILAYGDDVLF -CYPYKLDMSELASEGRKYGLKITPADKSEKFIDLSYENATFLKRGFQPDQKHSFLIHPTFPISEIHNSIR -WTRSPRNMQEHVLSLCQLMWHNGEEAYKEFVCKIRSVSAGRALYIPPFEVLRHEWYDKF ->APT69842.1 polyprotein [rhinovirus A1B] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQS -PSVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTPQDATAIDKPTQPDTSSNRFYTLESKHW -NGDSKGWWWKLPDALKDMGIFGENMYYHFLGRSGYTVHVQCNASKFHQGTLLVAMIPEHQLASAKNGKVT -AGYNLTHPGEAGRVVGQQRDANLRQPSDDSWLNFDGTLLGNLLIFPHQFINLRSNNSATLIVPYVNAVPM -DSMLRHNNWSLVIIPISPLRSETTSSNIVPITVSISPMCAEFSGARAKNVKQGLPVYITPGSGQFMTTDD -MQSPCALPWYHPTKEISIPGEVKNLIEMCQVDTLIPVNNVGTNVGNISMYTVQLGNQMDMAQEVFAIKVD -ITSQPLATTLLGEIASYYTHWTGSLRFSFMFCGTANTTLKLLLAYTPPGIDKPANRKEAMLGTHVVWDVG -LQSTISLVVPWVSASHFRLTANDKYSMAGYITCWYQTNLVVPPNTPQTADMLCFVSACKDFCLRMARDTD -LHIQSGPIEQNPVENYIDEVLNEVLVVPNIKESHHTTSNSAPLLDAAETGHTSNVQPEDAIETRYVITSQ -TRDEMSIESFLGRSGCVHISRIKVDYNDYNGENKNFTEWKITLQEMAQIRRKFELFTYVRFDSEVTLVPC -IAGRGDDIGHVVMQYMYVPPGAPIPRTRNDFSWQSGTNMSIFWQHGQPFPRFSLPFLSIASAYYMFYDGY -DGDNSSSKYGSIVTNDMGTICSRIVTEKQEHPVVITTHIYHKAKHTKAWCPRPPRAVPYTHSHVTNYVPK -TGDVTTAIVPRTNMKTVGPSDLYVHVGNLIYRNLHLFNSEMHDSILVSYSSDLIIYRTNTIGDDYIPSCN -CTEATYYCKHKNRYYPIKVTPHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCRHGVIGIITAG -GEGHVAFTDLRQFQCAEEQGVTDYIHMLGEAFGNGFVDSVKEQINAINPINSISKKVIKWLLRIISAMVI -IIRNSSDPQTIIATLTLIGCNGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGNKIS -KFIDWMKSMLPQAQLKVKYLSEIKKLNLLEKQIENLRAADNATQEKIKCEIDTLHDLSCKFLPLYAHEAK -RIKVLYNKCSNIIKQRKRSEPVAVMIHGPPGTGKSITTNFLARMITNESDIYSLPPDPKYFDGYDNQSVV -IMDDIMQNPDGEDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFILCSTNHSLLAPPTISSLPAMNRRFF -FDLDIVVHDNYKDAQGKLDVSKAFQPCDVNTKIGNAKCCPFVCGKAVSFKDRSTCSTYTLAQVYNHILEE -DKRRRQVVDVMSAIFQGPISLNAPPPPAIADLLQSVRTPEVIKYCQDNKWIVPAECQVERDLNIANSIIT -IIANIISIAGIIFVIYKLFCTLQGPYSGEPKPKTKLPERRVVAQGPEEEFGRSILKNNTCVITTDNGKFT -GLGIYDKILIIPTHADPGREVQVNGIHTKVLDSYDLYNRDGVKLEITVIKLDRNEKFRDXRKYIPETEDD -YPECNLALSANQVEPTIIKVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGVLYKIGQILGIHVGGNG -RDGFSAMLLRSYFTDTQGQIETSKHASECGLPTIHTPSKTKLQPSVFYDVFPGSKEPAVLTDNDPRLEVN -FKEALFSKYKGNVECSLNEHMEVAIAHYSAQLTTLDIDSKPIALEDSVFGIEGLEALDLNTSAGFPYVTM -GIKKRDLINNKTKDISRLKEALDKYGVDLPMITFLKDELRKKEKISAGKTRVIEASSINDTILFRTTFGN -LFSKFHMNPGVVTGSAVGCDPETFWSKIPVMLDGDCIMAFDYTNYDGSIHPVWFQALKKVLENLSFQSNL -IDRLCYSKHLFKSTYYEVAGGVPSGCSGTSIFNTMINNIIIRTLVLDAYKNIDLDKLKIIAYGDDVIFSY -KYTLDMEAIANEGKKYGLTITPADKSTEFKKLDYSNVTFLKRGFKQDERHTFLIHPTFPVEEIYESIRWT -KKPSQMQEHVLSLCHLMWHNGRKVYEDFSNKIRSVSAGRALYIPPYDLLKHEWYEKF ->AET85048.1 polyprotein, partial [Rhinovirus A] -MGAQVSRQNVGTHSTQNAVTNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PSVEACGYSDRIIQITRGNSTITSQDIANAVVAYGVWPEYLPSDDASAIDKPTHPDTSSNRFYTLESKQW -DASSKGWWWKLPDALKSMGIFGENMFYHHLGRAGYTIHVQCNASKFHQGTLIVVAIPEHQLAYVGGGNVT -VGYNHTHPGESGRRIGGYENVARNRQPSDENWLNCNGTLLGNLTIFPHQFINLRSNNSATLILPYVNAVP -MDSMLRHNNWSLVIIPVCPLEVATGSEQYVPITISISPMCSEFSGARNMVQGLPVFLTPGSAQFLTTDDT -QSPSALPYFHPTKEIFIPGEVKNLSEICQVDSMIPVNNVQSNKNSVNMYSIILEKQTTSAGEVFAIPVDV -ASQPLATTLIGEIASYYTHWTGSIRFSFMFCGSANTSLKLLIAYTPPGVPKPTSRREAMLGTHLVWDVGL -QSTASMVVPWVSASHYRFTTPDIYSLAGYITCWYQTNLIVAPNTPPTARMICFVSGCKDFCLRMARDTNL -HVQTGPITQNPVEKYTEAILNEVLVVPNISASNGHTSNSAPTLDAAETGHTSQVQPEDMIETRYVITDQT -KDEMSIESFLGRSGCIAIIETKLNHETDMYNADGQNFSQWKITLMEMAQIRRKCELFTYLRFDSEITIVT -TLAGQGEDIGHVVIQYMYVPPGAPLPNKRNDYTWQSGTNASVFWQQGQPYPRFTIPFMSIASAYYMFYDG -YESDKGNIYGTAVTNDMGTLCVRIVTEQQKHKVLITSRIYHKAKHIKAWCPRAPRAVPYQHTYNPNFKNT -DESIPDTQIKIRDDIRQIRTVGPSDLYVHTGELIYRNLHLCEPENLNDSVLICYSSDLVIYRTNTKGDDV -IPVCDCTQATYYCKHKDRYYPIEVKKHQWYEIQESEYYPKHIQYNILIGTGPCQPGDCGGKLLCKHGVIG -IVTAGGDDHVAFIDLRDFQCAEEQGVTDYIHTLGEAFGAGFIDNIKDQIQSINPINKITSKIVKWLIRII -SAVTIIVRNNADPHTIIATLALIGCSGSPWRFIKEKVCNWLQLNYVHKESDNWMKKFTEMCNAARGLEWL -GNKISKFIDWIKSMLPQAKLKVEFIKMLKQLPLLEKEIDGLRLASQKQQQEYVETLRIMADSSRKFLPLY -ASENKRINDLLKRSEMVLLTAKRTEPVGVLFHGEPGTGKSIATSVLGRMLTNEADIYSLPPSPKYFDGYD -QQSVVIMDDIMQNPSGEDMSLFCQMVSSVPFIPPMADLPDKGKPFSSDFVLASTNHTLLHPPTITCTTAM -NRRFFLDLDIIVKEEYKMQQGKLNLRCALKPCSEGKVGNARCCPLICGKALVFRDRNTGDHLSLATIYNK -IVEESKSRKELTNSLQAIFQGPIDMNKPPPPAIVDLLRSVRSPDVIKYCEDNKWIVPTECKLERDLNYAN -LVISMLANIISIVGVIYIIYKLFCSLQGPYSGEPKPVTKKPERRIVTQGPQEEFGRSIIKHNTCVLTTSN -GKFTGLGIYDNYMIIPTHADVGKEIEVDGINTKVLDAYDLHNKQGTKLEITVIKLDRNEKFRDIRKYIPE -FEDDYTDCCLALTANQTEPTILKVGDSISYGNILLSGNQTARMIKYNYPTKSGYCGGVLYKIGLIIGIHV -GGNGRDGFSAMLLRSYFNDHQGQIVSKVTTKEKNLYSIHTPTKTKLQPSVFHDVFPGTKEPAVLSPYDNR -LKVDLDEAIFSKYKGNTKTDITHNMRVAAAHYTAQLMTLDINPQPLSLEESVYGIEGLEALDLHTSAGYP -YTANGIKKKDLIPKNRDLTKLRMAMEKYGLDLPMITFLKDELRKPEKISAGKTRIIEASSLNDTVHFRIT -FGNLFSKFHQNPGIVTGSAVGCDPETFWSKIPVMLDGDCLMAFDYSNYDGSLNPVWFELLKEILNELGFQ -GDLVNKLCNSKHVYKASYYEVEGGMPSGCAGTSIFNTMINNVIIRTLVLDTYKHINLDKLKILAYGDDVL -FSYPFELDMKELASEGVKYGLTITPADKSDEFRKLDFNNATFLKRGFKTDDKYTFLVHPLYPEKEVWESI -RWTKNPQNMQEHVLSLCHLMWHNGKGPYNHFVEKIRSVSAGRALYIPPYEVLCHEW ->ACK37405.1 polyprotein [rhinovirus A67] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTPQDATAIDKPSHPDTSSNRFYTLESKTW -SADSKGWWWKLPDALKDMGVFGQNMYYHFLGRSGYTVHVQCNSSKFHQGTLIVAMIPEHQLAAAERGNVT -AGYNFTHPGETGRDVGKTERVYQNKQPSDDNWLNFDGTLLGNITIFPHQFINLRSNNSATIIVPYVNAVP -MDSMLRHNNWSLVIIPICPLQVEGAGSNIIPITVSISPMFAEFSGARARNQGLPVLTTPGSGQFMTTDDF -QSPSALPWFHPTKEISIPGQVRNLIEICQVDTLIPINNTGTNTTSINMYTVQLQRQAELMQEIFAINVDI -ASHPLATTLLGEIASYYTHWTGSLRFSFMFCGSASSTLKLLLAYTPPGISKPTSRKEAMLGTHVVWDVGL -QSTISMIVPWISASHFRNTTPDKYSLAGFITCWYQTNLVVPANTPTTANLLCFVSACKDFCLRMARDTNL -HKQTGPITQNPVEDYVDQVLNEVLVVPNIKQSEPTTSNSAPALDAAETGHTSSVQPEDMIETRYVQASNT -RDEMSLESFLGRSGCIHISKLNIDYNAYDESRDNFTIWKINIKEMAQIRRKFELFTYTRFDSEITLVPCI -AAESDSIGHVVMQYMYVPPGAPLPTKRDDYTWQSGTNASIFWQHGQSYPRFSLPFLSIASAYYMFYDGYD -GGPDSLYGTIVTNDMGSLCSRIVTEEHGSRVKIATRVYHKAKHVKAWCPRPPRAVEYIHTHVTNYRPETG -EAQTVIPVRADVRTIRNVGPSDLYVHVGNLIYRNLHLFNSEANDSVLISYSSDLVIYRTNTVGDDYIPTC -DCTEATYYCRHKNRYYPIRVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGIITA -GGEGHVAFIDLRHFHYAEEQGITDYIHMLGEAFGNGFVDSVKEQVNAINPINNISKKIIKWMLRIISAMV -IIIRNSSDPQTIIATLTLIGCSGSPWRFLKEKFCKWTQLNYVHKESDSWLKKFTEMCNAARGLEWIGNKI -SKFIDWMKSMLPQAQLKVKYLSELKKLNLLEKQIDNLRCADTKVQEKIKFEIDTLHDLSCKFLPLYASEA -KRIKILHNKCCNIIKQKKRSEPVAIMIHGPPGTGKSITTSFLARMITNESDIYSLPPDPKYFDGYDQQSV -VIMDDIMQNPGGEDMSLFCQMVSSVTFIPPMADLPDKGKPFDSRFVFCSTNHSLLAPPTITSLSAMNRRF -YLDLDIVVNENYRDTQGKLNVPKSFKPCDIDNKIGNAKCCPFICGKAVVFKDRNTCQTYTLCQIYNMIIE -EDKRRRNVIDVMTAIFQGPISMNLPPPPAITDLLQSVRTPEVVKYCEDNNWIIPAECKIERDLNLANNII -AIIANIISIAGIIFVIYKLFCSLQGPYSGEPKPKTKIPERRVVAQGPEEEFGRSLIKHNTCVVTTDNGKF -TGLGIYDRYLIIPTHADPGKTVQINGIITNVTDSYDLHNKEGIKLEITVIKLDRNEKFRDIRKYIPERED -DYPDCNLALVANQVEPTIISVGDVVSYGNILLSGNQTARMLKYHYPTKSGYCGGVLYKIGSVIGIHVGGN -GRDGFSAMLLRSYFSETQGQITSSRNVKDLGYPTIHTPNKTKLHPSVFHDVFKGTKEPAVLSDKDPRLET -DFRTSLFSKYKGNVECELNDHMKVAIAHYSAQLMTLDINPSNITIEDSVFGTDGLEALDLNTSAGFPYIS -MGIKKRDLINNSTKDISKLKIALDKYGVDLPMVTFLKDELRKKEKISSGKTRVIEASSINDTITFRMTYG -NLFSCFHKNPGVVTGSAVGCDPETFWSKIPVMLDGDCIMAFDYTNYDGSIHPVWFDALKEVLKDLQFEHR -LIDRLCNSKHIFKDSYYEVEGGVPSGCSGTSVFNTMINNIIIRTLVLDAYKHIDLDKLKIIAYGDDVIFS -YKYPLDMEAIAMEGKKYGLTITPADKSDTFKKLDYDSVTFLKRGFKQDSKYPFLIHPTFPVNEIHESIRW -TKKPSQMQEHVLSLCHLMWHNGRDVYKEFERGIRSVSAGRALYIPPYELLLHEWYEKF ->AER92587.1 polyprotein, partial [Rhinovirus A] -MGAQVSRQNVGTHSTQNVVSSGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTAQDATAIDKPSQPDTSSNRFYTLESKLW -TNESKGWWWKLPDALKDMGVFGQNMYYHFLGRSGYTVHVQCNSSKFHQGTLIVAMIPEHQLAAAEKGNVT -AGYNLTHPGERGRTIGIPDRLHPNKQPSDDNWLNFDGTLLGNITIFPHQFINLRSNNSATIIVPYVNAVP -MDSMLSHNNWSLVIIPICPLEVSTTGTNGIPITVSISPMFAEXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXCALPWFHPTKEISIPGEVKNLIELCQVDSMIPINNVTDKIRSVDMYTVVLQRQDELMQEVFAIRVDV -ASQPLATTLIGEISSYYTQWTGSXRFSFMFCGTANTTLKLLIAYTPPGIAKPKSRKEAMLGTHIVWDVGL -QSTISMIVPWVSASHFRNTTPDTYSLAGFITCWYQTNLVFPPDTPTTARMLCFVSGCKDFCLRMARDTNL -HKQSGIITQNPVESYIDGILNEVLVVPNIKESQPTTSNSAPALDAAETGHTSNVQPEDMIETRYVQITQT -RDEMSVESFLGRSGCIHISKLEIDYXXXXEVNKNFTTWQINLKEMAQIRRKFELFTYVRFDSEVTLVPCI -AAKGDGIGHVVMQYMYVPPGAPLPTKRDDYTWQSGTNASVFWQHGQTYPRFSLPFLSIASAYYMFYDGYD -GHTTESHYGTVVTNDMGTLCSRIVTEHHGTQVHVTTRIYHKAKHVKAWCPRPPRAVEYRHTHVNNYRPDE -GEAAIAIPTRTDIRAIKTVGPSDMYVHVGNLIYRNLHLFNSEISDSVLVSYSSDLVIYRTNTTGDDYIPT -CDCTDATYYCKHKNRYYPIKVTSHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGNGFVDSVKEQVNAINPINNISKKLIKWMLRIISAM -VIIIRNSSDPQTIVATLTLIGCSGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGNK -ISKFIDWMKSMLPQAQLKVKYLSELKKLNLLEKQIENLRGADTNTQEKIKLEIDTLHDLSCKFLPLYASE -AKRIKVLYNKCNTIIKQKKRSEPVAIMIHGPPGTGKSITTSFLARMITNESDIYSLPPDPKYFDGYDQQS -VVIMDDIMQNPDGEDMSLFCQMVSSVAFIPPMADLPDKGKPFDSRFVFCSTNQSLLAPPTITSLSAMNRR -FYLDLDITVHDNYKDNLGKLDVPKAFKPCDIDTKIGNAKCCPFICGKAVSFKDRNTCQVYSLSQIYNIII -EEDKRRRNXXXXXXXXXQGPISMSAPPPPAIADLLQSVRTPEVIKYCEENKWIIPADCKIERDLNLANNI -ITIIANIISIAGIIFVIYKLFCSLQGPYSGEPKPKTKVPERRVVAQGPEEEFGRSLIKHNSCVVTTSNGK -FTGLGIYDRTLIIPTHADPGKEVQIDGITTAVQDSYDLYNKDGVKLEITVLKLKRNEKFKDIRKYIPENE -DDYPDCNLALSANQPETTIISVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGILYKIGQVLGIHVGG -NGRDGFAAMLLRSYFTEAQGQITTTKTTAECSLPSIHTPSKTKLQPSVFYDVFPGSKEPAVLSEHDPRLK -TNFKEALFSKYKGNNQCEINDHIRVAISHYSAQLATLDIDPSPISLEDSVFGIEGLEALDLNTSAGFPYV -SMGIKKRDLINKQTKDITKLKMAMDKYGVDLPMVTFLKDELRKQEKISAGKTRVIEASSVNDTVLFRTTF -GNLFSKFHLNPGIVTGSAVGCDPEVFWSKIPAMLNGECLMAFDYTNYDGSIHPVWFQALKEILSNLGFQP -DLIDRLCKSKHIFKNIYYEVEGGVPSGCSGTSIFNTMINNVIIRTLVLDAYKNIDLDKLKIIAYGDDVIF -SYIYQLDMEAIAKEGAKYGLTITPADKSSEFKQLDYSNVTFLKRGFRQDDKYHFLIHPTFPIEEIHESIR -WTKKPSQTQEHILSLCHLMWHNGKDVYKQFEHKIRSVSAGRALYIPPYELLQHEWYEKF ->ACK37426.1 polyprotein [Human rhinovirus A95] -MGAQVSRQNVGTHSTQNAVSGGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PTVEACGYSDRIIQITRGDTTITSQDIANAVVAYGVWPTYLNSKDATAIDKPTQPDTSTNRFYTLESREW -RSDSKGWWWKLPDALKDMGVFGENMFYHSLGRAGYLIHVQCNASKFHSGTLLVVAIPEHQLSYLGTGNVT -VGYRHTHPGENGREIAEVANRNERQPSYDSWLNCNGTLLGNALIFPHQFINLRTNNAATLILPYVNATPM -DSMLRHNNWSLLIVPISQLRGDTNSIPITISISPMAAEFSGARNRSARIEGLPVMLTPGSGQFLTTDDMQ -SPSVLPYFHPTQEIFIPGEVKNLVELCQVDTMVPLNNINASRNRIDMYALPLTRQPQSAVKLFTIPIDIA -SPPLNTTLLGEIASYYTHWTGSIRLSFMFCGSANSSLKLLIAYTPPGAPEPTKRKEAMLGTHIVWDVGLQ -STCSLIIPWVSASHFRNTTPDTYSKAGFVTCWYQTNFVTAPDAPTTADIICLVSACKDFCLRMARDTDQH -TQVGAITQNPIEQFTEAVLNEVLVVPNTQASNGSIANSAPALDAAETGHTSSVQPEDLIETRYVITDQTR -YETSLESFLGRAGCIKIIALELDHDNYDKNFRTWGINIQEMSQIRRKFEMFTYVRFDSEITIVPCIAAIE -GDLGHIVLQYMYVPPGAPLPDKRMHDAWQTSTNASVFWQVGQTYPRFTIPFSSIASAYYMFYDGYDSDGL -DAIYGIPVTNHMGTICVRMVTDKQKIKTKIDSRIYLKAKHIKAWCPRPPRAVTYNHIYNPNYVREGVTPE -TKVKYRAEVTTIGPSEMFVHTTNLMYRNYHLTPEQELDSAIQVVYTADLVIHRTNDKGDDYIPDCNCTDC -CYYCAHKNRYIPVKVKYYNYYTIQESEYYPKHIQYDILLGEGPSEPGDCGGKLLCKHGVIGMVTAGGDNH -VAFIDLRKYRITEAEEQGITDYVKSLGDAFGVGFVEQIKEQVSNINPLNKISAKVIKWLIRVISALVIAV -RSQGDLATLSATLVLLGCSDSPWRFLKQKVCQWLGLRYVHKESDGWIKKFTEMCNAARGLEWIGCKISKF -IDWLKSMLPQAQNKIKFLQFTKQLQLKEKQIDGLPYATIKQQEEYLQEMEEMLDISNKLLPLYPRENKMI -KDLLKQAKNMTVASKRIEPVAVMFHGDPGSGKSICTNILARMITNPSDIYSLPPDPKYFDGYHQQTVVIM -DDVMQNPNGEDMSTFCQMVSSVNYVVPMADLPDKGTLFSSDYVFCSTNQHILTPPTISTLPALNRRLFLD -LTIKVNPKYLESGKLNLDCALKACDQEQKIGNARCCPLICGKAVSFVNRNNNEELSLSRVYNQIVHEHNR -RLNVSKHMEAIFQGPINMQSPPPPAIVDLLRSTRNEEVINYCKNQNWIIPADVSVERELNLVNLSISILA -NIISIVGIIYIIYKLFISLQGPYSGLPSKKKTIPEKRIVVQGPTTEFGLSLIKHNTCVVETDNGKFTGLG -IFDNVLVIPTHADPGKSVNIDGVEVKVVDSYDLFNKEGVKLEITVILLSRNEKFRDIRKYIPNSEDDYTN -CNLALVANQEVPQILEVGDVVSYGNILLSGNNTARMLKYDYPTKSGFCGGVLYKVGQVIGIHVGGNGRQG -FSAMLLKRYFNQQQGQIIIKKPVKEVDYPSIHTPTKTKLQPSIFHDVFPGVKEPAVLSEKDPRLQVDLNT -SLFSKYAGNKQMEVNEYMKVAASHYASQLETLDIPNQQMSIEDCVYGTDNLEALDLNTSAGFPYVALGIK -KKDIINKSTRDTSKIKNCLDTYGVDLPMITYLKDELRAPEKVKMGKTRVIEASSLNDTVHMRMLFGNLFK -AFHANPGIITGCAVGCDPEVFWSKIPPMLGDGSVMAFDYTNYDGSLHPVWFKMLEVVLDRLGFPGCAVRK -LSHSAHIYKGTYYEVDGGMPSGCAGTSIFNSMINNIIIRTIILHGYKNIDLDQLRILTYGDDIIFTYPDK -LDMSYLAQIGEQYGLRMTPADKSDTFKDLDLSTATFLKRGFKPDTHHPFLIHPTYPIQDIYESIRWTKNP -RCLQEHVLSLAHLCWHNGPEQYADFIGKIRSIPIGRSLYLPSYDVLLYEWYEKF ->ABF51185.1 polyprotein [rhinovirus A41] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTSQDATAIDKPTRPDTSSNRFYTLESQLW -TNTSKGWWWKLPDALKDMGIFGENMFYHFLGRSGYTVHVQCNASKFHQGTLLIATIPEHQLATAVGGSVS -AGYNYTHPGEKGRDVGKMDRERNDRQPSDDSWLNFDGTLLGNLTIFPHQFINLRSNNSATIIVPYVNAVP -MDSMLRHNNWSLVIIPICELKCDTTAATVPITISISPMCAEFSGARARNVTQGLPTLLTPGSGQFLTTDD -YQSPSALPWYHPTKEISIPGQVQNLIELCQVATMIPINNTNTNIRDKSMYTIRLGGETDIKEAIFAMRVD -IASQPLATTLIGEIASYYTHWTGSLRFSFMFCGSAFTTLKLLIAYTPPGIDVPSTRKQAMLGTHVIWDVG -LQSTVSMVVPWVSASHYRNTTPDTYSSAGYITCWYQTNLIIPPNAAPVADMICFVSGCKDFCLRMARDTN -LHKQSGVIEQNPVERYVDEVLNEVLVVPNISESSPTTSNSAPALDAAETGHTSNVQPEDMIETRYVQTSQ -TRDEMSIESFLGRSGCVHKSTLNIDYTDYDDSIQNFKKWKISLQEMAQIRRKFELFTYVRFDSEITIVPS -IAGQGSDVGHVVMQYMFVPPGAPLPEKRDDYTWQSGTNASVFWQYGQVYPRFSLPFLSIASAYYMFYDGY -EEGSTNARYGTTVTNDMGTLCFRIVTEEHTNKVKVTTRVYHKAKHVKAWCPRPPRAVEYTNVHVTNYKPK -AGAEIVASVRPRDNVRQVRNYGPSDMYVHVGNLIYRNLHLFNSEMHDSILVSYSSDLVIYRTNTIGDDYI -PSCDCTQATYYCKHKNRYYPITVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGI -ITAGGEGHVAFIDLRHFLCAEEQGVTDYIHMLGEAFGNGFVDSVKEHVNAINPINNISKKVIKWLLRIVS -AMVIIIRNSSDPHTVVATLTLIGCSGSPWRFLKEKFCKWTQLNYIHKESDSWLKKFTEMCNAARGLEWIG -NKISKFIEWMRSMLPQAQLKVKYLSELKKLNLLEKQVENLRCADSKTQEKIKIEVDTLHDLSCKFLPLYA -SEAKRIKVVYNKCNNIIKQKKRSEPVAVMIHGSPGTGKSITTSFLARMITNESDIYSLPPDPKYFDGYDQ -QSVVIMDDIMQNPDGEDMSLFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHSLLAPPTITSLQAMN -RRFFLDLDIVVHENYKDAQGKLDVSRAFKPCDVDTKIGNARCCPFICGKAVTFKDRNTCLNYSLSQIYNL -ILEEDKRRTHVVDVMSAIFQGPISMEVPPPPAITDLLRSVKTPEVIKYCENNKWIIPAECKIERDLNLAN -NIITIIANIISIAGIIYIIYKLFCSFQGPYSGEPKPKTRVPERRVVAQGPEEEFGRSLIKHDTCVVTTDN -GKFTGLGIYDKLMVLPTHADPSSMIYVNGIATKVKDSYDLYNKQGIKLEITVVILDRNEKFRDIRKYIPE -KEDDYPECNLALVANQPEPTIINVGDVISYGNILLSGNQTARMLKYHYPTKSGYCGGVLYKIGQIMGIHV -GGNGRDGFSAMLLRSYFSETQGEITTTRKVSELNLPTIHTPAKTKLQPSVFFDVFEGTKEPAVLTERDPR -LTTDFNTALFSKYKGNVKCEMSEHMKVAISHYSAQLMTLDIDPTKISLEESVFGTEGLEALDLNTSAGFP -YISMGIKKRDLINNSTKDITKLKIALDKYGVDLPMVTFLKDELRKKEKIAAGKTRVIEASSVNDTIAFRV -TYGNLFSTFHRNPGIITGSAVGCDPETFWSKIPVMLDGECIMAFDYTNYDGSIHPVWFEALKQVLANLSF -EDRLIDRLCKSKHIFRDTYYEVEGGVPSGCSGTSIFNTMINNIIIRTLVLDAYKHIDLDKLKIIAYGDDV -IFSYKYPLDMEAIASEGIKYGLTITPADKSDTFKKLDYDSVTFLKRGFRQDAKYPFLIHPTFPVSEIHES -IRWTKKPSQMQEHVLSLCHLMWHNGQDVYREFERKIRSVSAGRALYIPPYELLLHEWYEKF ->AEP69140.1 polyprotein [Rhinovirus A] -MGAQVSRQNVGTHSTQNAVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLMKDIPTLQS -PSVEACGYSDRIIQITRGNSTITSQDIANAVVAYGVWPQYLPPDDASAIDKPTHPDISSNRFYTLESKEW -TGESKGWWWKLPDALKNMGIFGENLFYHFLGRAGYTVHVQCNASKFHQGTLIVAAIPEHQLAYIDKGSVT -VGYNHTHPGETGRVIGGFTNNNSRQPSDENWLNCDGTLLGNITIYPHQYINLRSNNSATLILPYVNAVPM -DSMVRHNNWSIVIIPISKLQYETGATPYVPITLSISPMCSEFSGARNNTTQGLPVMLTPGSAQFLTTDDA -QSPSALPYFHPTKEIFIPGKVNNLSEICQVDSMIPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLVD -VASQPIATTLIGEIASYYTHWTGSLRFSFMFCGTANTSLKLLIAYTPPGVPKPENRQKAMLSTHVVWDVG -LQSTVSMVVPWVSASHYRYTTPDTYSQAGYITCWYQTNFIVPPNTPREADIICFVSGCKDFCLRMARDTN -LHTQVGVMEQNPVEKYTEAVLNEVLVVPNITPSNSQTSNAAPALDAAETGHTNQVQPEDMVETRYVITDQ -TRDEMSVESFLGRSGCIAIIHTDLDHEAEQYNAPGKNFSQWKITIKEMAQIRRKCELFTYLRFDSEITIV -ATVAALGQDNGHVVLQYMYVPPGAPIPKTRDDYTWQSGTNASVFWQQGQPYPRFTIPFMSIASAYYMFYD -GYEDDKGSVYGSVVTNDMGTLCVRIVTEQQTHKVKITSRIFHKAKHISAWCPRAPRAVPYQHTKSTNLVP -RTGEITTHIRFRNTVRDLTYPTEMTNLGPSDLYVHTGNLIYRNLHLCEPENLNDSTLICYSSDLVIYRTN -TTGDDTIPTCDCTQATYYCKHKDRYYPINVKKHQWYEIQESEYYPKHIQYNILIGEGPCEPGDCGGKLLC -KHGVIGIVTAGGDNHVAFIDLRDFQVAEEQGISDYVHTLGEAFGAGFVDNIKEQIQAINPINKITSKIVK -WTIRIVSAITIIIRNNADPHTIVATLALLGCSGSPWRFIKEKVCNWLQLVYVHKESDGWIKKFTEMCNAA -RGLEWLGNKISKFVDWLKSMLPQAKLKVEFIKNLKQLPLLEKQVDGLRLASQKQQQDYIDTLTLMLDSSN -KFLPLYAIENKRIKELLKRSQMILRTSKRTEPVGVIFHGEPGTGKSITTSILARMLTTESDIYSLPPSPK -YFDGYDQQSVVIMDDIMQNPSGEDMSLFCQMVSSVPFIPPMADLPDKGKPFSSDYVLASTNHTLLHPPTI -TCTTAMNRRFFLDLDIIVRDDYKLNHGKLNLQCALKPCNEGKIGNAKCCPLICGKALQFRDRNTGEHLSL -ATVYNRITQESKNRKELTNSLQAIFQGPIDVVNKPPPPAIIDLLKSVRSPDVVKYCEENKWIVPSDCKLE -RDLNYANLIISMLANVISIMGVIYIIYKLFCSLQGPYSGEPKPTTRKPERRVVTQGPQEEFGRSLIKHNT -CVVTTDNGKFTGLGIYDNIMIIPTHADAGKEVEIDGIKTQVDDAYDLHNSQGIKLEITVLKLHRNEKFRD -IRKYIPETEDDYPECHLALVANQQEPTILEVGDCCSYGNILLSGNQTARMIKYNYPTKSGFCGGVLYKIG -LILGIHVGGNGRDGFSAMLLRSYFTEQQGKIISKTSVKECNLYSIHTPSNTKLQPSVFHDIFPGSKEPAV -LSCRDPRLEVDLDESIFSKYKGNKPVEITQNMLTAAAHYTAQLSTLDINPKPLSLEESVYGIEGLEALDL -HTSAGYPYTACGIKKKDLIPKDKNLTKLKNAIEKYGLDLPMITFLKDELRKPEKIKAGKTRIIEASSLND -TVQFRMAFGNLFSKFHQNPGIVTGSAVGCDPEVFWSKIPVMLDGDCLMAFDYTNYDGSLNPVWFKLLSIV -LNDLGFPGELVNKLCNSKHIYKTTYYEVEGGMPSGCAGTSIFNTIINNIIIRTLVLDAYKFINLDKLKIL -AYGDDVIFSYPFDLDMKELADEGIKYGLSITPADKSDTFRKLDYNNATFLKRGFKQDEKYSFLIHPIYPE -TEVWESIRWTKNPRNMQEHVLSLCHLMWHNGKDKYKHFVNKIRSVSAGRALYIPPYEVLLHEWYEKF ->ACT89356.1 polyprotein [Rhinovirus A] -MGAQVSRQNVGTHSTQNAVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PSVEACGYSDRIIQITRGNSTITSQDVANAVVGYALWPQYLPDDDASAIDKPTHPDTSSNRFYTLDSKEW -KNDSKGWWWKLPDALKNMGIFGENLFYHFLGRAGYTVHVQCNASKFHQGALVVAAIPEHQLAYIGSENVS -VGYKHTHPGETGRTLNDRANNNSQQPTDESWLNCDGTLLGNITIYPHQFINLRSNNSATLILPYVNAVPM -DSMVRHNNWSIVIIPVSPLQTKGTPYVPITLSISPMASEFSGARNTARPQGLPVMLTPGSGQFLTTDDAQ -SPSALPYFHPTKEIFIPGRVTNLSEICQVDSMIPINNTVAGHKKVGMYAVRVGVQTASAREVFAIPVDVA -SQPLATTLIGEIASYYTHWTGSIRFSFMFCGTANTSLKLLIAYTPPGVAKPDSRTKAMLGTHVVWDVGLQ -STVSMVVPWVSASHYRLTTPDTYSKAGYITCWYQTNFVVPPSTPSTADIICFVSGCKDFCLRMARDTNLH -SQTVNITQNPVEKYTEAILNEVLTVPNIQPSSGNTSNAAPALDAAETGHTNQTQPEDTVETRYVITDQTR -DEMSVESFLGRSGCISEMHTIVEHGKDVYNAVNKNFTTWKITLKEMAQIRRKCELFTYLRFDSEITIVPT -VAGQGNDTGHVVLQYMFVPPGAPVPKKRDDYTWQSGTNASVFWQQGQTYPRFTIPFMSIASAYYMFYDGY -EDDTPNSKYGAVVTNDMGTLCVRIVTEQQANTVHITSRVYHKAKHISAWCPRPPRAVAYQRVHNTNFVPE -TGQVEIQIPTRTDLTTVGPSDMYVHMDNLIYRNLHLCNPEDLDDSVLICYSSDLVIYRANTKGDDYIPVC -NCTEATYYCKHKDRYFPINIKKHQWYEIQESEYYPKHIQYNILIGEGPCTPGDCEGKLLCKHGVIGIVTA -GGENHVAFIDLRDFHVADEQGITNYIHTLGEAFGAGFVDNIKDQIQAINPINRVTSKIVKWIIRIISAVT -IIIRNSADPHTIIATLALIGCSGSPWRFIKEKVCNWLQLSYIHKESDGWIKKFTEMCNAARGLEWLGNKI -SKFIDWLKSMLPQAKLKVDFIGKLKQLPLLEKEIDGLRLATQRQQQEYIDTLRVMLDASNKFLPLYALEN -KRIKELLKRSEMILRTAKRTEPVGVLFHGEPGTGKSIATSILARMITTESDIYSLPPSPKYFDGYDQQSV -VIMDDIMQNPSGEDMSLFCQMVSSVPFIPPMADLPDKGKPFSSDYVLASTNHTLLHPPTITCTTAMNRRF -FLDLDIIVKENYKLSQGKLNLQCALKPCQDGKIGNAKCCPLICGKAVVFKDRDTGDHLSLATVYNKIIEE -SKNRRELTSSLQAIFQGPIDVVNKPPPPAILDLLKSVRNPDVIKYCEENKWIIPADCKLERDLNYANLVI -SMIANVISIMGVIYIIYKLFCSLQGPYSGEPKPITKKPERRVVTQGPQEEFGRSLIKHNSCIVTTCNGKF -TGLGIYDNVMIIPTHADAGTQVEIDGIKTDVVDSYDLCNSQGVKLEITVLKLKRNEKFRDIRKYIPETED -DYPECCLALVANQIEPTIIEVGDCLSYGNILLSGSQTARMIKYNYPTKSGYCGGILYKIGLVLGIHVGGN -GRDGFSAMLLRSYFNEQQGQIMTKKDVKEINLYSIHTPSKTKLQPSVFHDIFPGEKAPAVLSPKDPRLLK -GLDDAIFSKYKGNKNVELTDNMITAAAHYAAQLATLDINSEPISLEESVYGIEGLEALDLHTSAGYPYTA -HGIRKKDLIPKDRNLTKLKCAMEPFGLDLPMITFLKDELRKPEKIYSGKTRIIEASSLNDTVQFRMAFGN -LFSTFHKNPGTITGSAVGCDPEVFWSKIPLMLDGDCLMAFDYTNYDGSLHPIWFELLKKILDELGFPGSM -VRKLCNSKHIYKTSYYEVEGGMPSGCAGTSIFNTMINNVIIRTLVLDTYKHINLDKLKILAYGDDVLFSY -PFELDMAEGASEGVKYGLTITPADKSDKFQKLTYENATFLKRGFKPDEKHNFLIHPIYPVSEVQESIRWT -KNPRNMQEHVLSLCHLLWHSGKDKYDQFVAKIRSVSAGRALYIPPYELLCHEWYDKF ->ABF51204.1 polyprotein [rhinovirus A75] -MGAQVSRQNVGTHSTQNAVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVAYGVWPHYLTPQDATAIDKPSQPETSSNRFYTLESKTW -DSSSKGWWWKLPDALKDMGIFGQNMYYHFLGRSGYTVHVQCNASKFHQGTLIVAMIPEHQLASARHGNLT -AGYNFTHPGENGRNVGQLRTEYLDKQPSDDNWLNFDGTLLGNITIFPHQFINLRSNNSATIIVPYVNAVP -MDSMLRHNNWSLVIIPICELQVDTGVPATVPITISISPMFAEFSGARARSQGIPTMLTPGSGQFMTTDDF -QSPSALPWYHPTKEISIPGQVRNLIELCEVDTLIPINNTSENLKTTNMYTVYLERRSDLAQEIFAIRVDI -ASQPLATTLIGEIASYYTHWTGSLRFSFMFCGSASATLKLLLAYTPPGIAKPTTRKQAMLGTHVVWDVGL -QSTISMIVPWVSASHFRNTTPDTYSLAGYVTCWYQTNLVVPPNTPPNAKMLCFVSGCKDFCLRMARDTNL -HKQSGVIEQNPVENYVDEILNQVLVVPNTMESHPTTSNAAPALDAAETGHTSHVQPEDMLETRQVQNFQT -RDEMSIESFLGRSGCIHISTLEIDYTNYNGEGKNFTQWPINLQEMAQIRRKYELFTYLRFDSEVTLVPCI -AAKGNDIGHVVMQYMYVPPGAPIPTTRKDYAWQSGTNASVFWQHGQTFPRFSLPFLSIASAYYMFYDGYE -GDQNTSRYGTIASNHMGTLCSRIVTEEHRNKVEVTTRIYHKAKHIKAWCPRPPRAVEYTFRRVTNYKRDG -QQVEIAIEPRRDVKFVNAGPTDLYVHVGNLIYRNLHLLNSEMHDSILISYSSDLIIYRTNTKGDDYIPTC -DCTEATYYCRHKNRYYPIKVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCRHGVIGIVTA -GGEGHVAFIDLRQFHCAEEQGITDYIHMLGEAFGNGFVESVKEQVSAINPINNISKKIVKWILRIISAMV -IIIRNNSDPQTIIATLTLIGCSGSPWRFLKEKFCKWTQLSYIHKESDSWLKKFTEMCNAARGLEWIGNKI -SKFIEWMKSMLPQAQLKVKYLSELRKLNLLEKQIENLRAADANTQEKIKLEIDTLHDLSCKFLPLYASEA -KRIKALYNKCNNIIKQRKRSEPVAIMIHGPPGTGKSITTSFLARMITNESDVYSLPPDPKYFDGYDQQSV -VIMDDIMQNPDGEDMSLFCQMVSSVTFIPPMADLPDKGKPFDSRFVFCSTNQTLLAPPTITSLPAMNRRF -FLDLDIVVHDNYKDIQGKLDVPKAFKLCDIDTKVGNARCCPFICGKAVTFKDRNTCQSYTLSQIYNKILE -EDKRRRNVVDVMIAIFQGPITLDAPPPPAITDLLRSVRTPEVIKYCEENKWIIPTDCRIERDLNLANNII -TIIANVVSIAGIICVIYKLFCSLQGPYSGEPKPKTKIPERRIVAQGPEEEFGRSLIKHNTCVVTTENGKF -TGLGIYDKVMILPTHADPGKQVQINGINVNVSDSYDLYNKDGVKLEITVIKLDRNEKFRDIRKYIPEKED -DYPECNLALVANQPEPTIIGVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGVLYKIGNILGIHVGGN -GRDGFSAMLLRSYFNETQGKIVAAKDVRNIGYPTIHTPSKTKLQPSVFFDVFEGTKEPAVLSESDPRLKT -DFKTALFSKYKGNPECEMNDHIKVAIAHYSAQLMTLDIQTSSVSLEESVFGIDGLEALDLNTSAGFPYIS -MGIKKRDLINNTTKDLSKLKAAMDKYGVDLPMVTFLKDELRKKEKISAGKTRVIEASSINDTIIFRQTYG -NLFAAFHKNPGIITGSAVGCDPETFWSKIPVMLDGDCIMAFDYTNYDGSIHPIWFEALKQVLNNLSFDSK -LIDRLCNSKHIFKNSYYEVEGGIPSGCSGTSIFNTMINNIIIRTLILDAYKYIDLDKLKIIAYGDDIIFT -YKYPLEMEAIAKEGIKYGLTITPADKSETFEKLDYNNVTFLKRGFKQDAKYPFLVHPTFPVQEIHESIRW -TKKPSQMQEHVLSLCHLMWHNGPEVYKDFENKIRSVSAGRALYIPPYDLLLHEWYEKF ->AEG42391.1 polyprotein [Rhinovirus A] -MGAQVSRQNVGTHSTQNTVANGSSLNYFNINYFKDAASNGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PSVEACGYSDRIIQITRGNSTITSQDIANAVVGYGVWPQYLSDADANAIDKPTHPDTSSNRFYTLDSKLW -TNTSKGWWWKLPDALKNMGIFGENMFYHFLGRAGYTVHVQCNASKFHQGTLVIAAIPEHQLAYIGSGNVS -VGYKQTHPGENGRTIDDTRVNTMSNQPTDESWLNCDGTLLGNITIYPHQFINLRSNNSATLILPYVNAVP -MDSMLRHNNWSIVIIPVSPLDSQATGNVPITISISPMCSEFSGARNQSVKQGLPVMLTPGSAQFLTTDDT -QSPSALPYFHSTKEIFIPGKVSNLSEICQVDSMIPINNTIAMNNKIGMYTVEVGVQTELKAEIFAIPVDV -ASQPLATTLIGEIASYYTHWTGSLRFSFMFCGTANTSLKLLIAYTPPGVAKPTTRTMAMLGTHLVWDVGL -QSTVSMVVPWVSASHYRFTTPDTYSQAGYITCWYQTNFVVPPNTPTTANIICFVSGCKDFCLRMARDTNL -HSQTVNITQNPIEKYTEALLNEVLVVPNIQASNGHTSNAAPALDAAETGHTSQVQPEDVIETRYVITDQT -RDEMSVESFLGRSACIAVIHTELKHEHEGQNVYNDEGKNFSTWKITLKEMAQIRRKCELFTYLRFDSEIT -IVATIAGQGDDIGHIVLQYMYVPPGGPVPKTRKDEEWQTGTNASVFWQHGQPYPRFTIPFVSIASAYYMF -YDGYEGDDPNSKYGSVVTNAMGTLCVRVVTERQRHEVNITSRIYHKAKHITAWCPRPPRAVAYQHTYSTN -FVPSGGLTNLRTQIKTRDNIKIVNFGPSDMFVHMDDLIYRNLHLCESENLNDSVLICYSSDLVIYRTNTK -GDDLIPTCNCTQATYYCKHKNRYYPINVKKHDWYEIQESEYYPKHIQYNILIGEGPCGPGDCGGKLLCKH -GVIGXXXXXXXXXXXXXXXXXXXXXXXXTQRITDYIHNLGEAFGAGFIDNIKEQIQVINPINKVTSKIVK -WIIRIISAITIIVRNNADPHTIIATLALLGCSGSPWRFIKEKVCNWLHLNYIHKESDGWIKKFTEMCNAA -RGLEWLGNKISKFIDWFKSMLPQAKLKVEYIKNLKQLPLLEKEIDGLRLASQKQQQDYIDTLKIMLDSSN -KFLPLYACENKRIKELLKRSEMILRTSKRTEPVGVLFHGEPGTGKSIATSILARMLTTESDIYSLPPSPK -YFDGYDQQSVVIMDDIMQNPNGEDMSLFCQMVSSVPFIPPMADLPDKGKPFSSDYVLASTNHNLLHPPTI -TCTTAMNRRFFLDLDIVVKEDFKINQGRLNLQCALKPCKDGKIGNARCCPLICGKAVVFRDRNTGDYLSL -STVYNKITEENKNRKELNTSLQAIFQGPIDVVNKPPPPAILDLLKSVRNPDVIKYCEENKWIVPAECKLE -RDLNYASLVISMIANVVSILGVIYIIYKLFCSLQGPYSGEPKPATRKPERRVVTQGPQEEFGRSLLKHNA -CIVTTDNGKFTGLGIYDNVMILPTHADAGKTVDINGIQTRVADAYDLYNSQGVKLEITVIKLDRNEKFRD -IRKYIPDTEDDYPECCLALLANQPEPTIIEVGDSCSYGNILLSGNQTARMIKYNYPTKSGFCGGVLYKIG -LVLGIHVGGNGRNGFSAMLLRSYFNEQQGQIVSRADVREKNLFSIHTPTKTKLQPSVFHDTFPGKKEPAV -LSSKDPRLQVDLEESIFSKYKGNKQIEITQNMKVATAHYTAQLSTLDINPEPLSMEESVYGIEGLEALDL -HTSAGYPYTACGIKKKDLIPKDKNLTKLRQAMEKYGLDLPMITFLKDELRKPEKICTGKTRIIEASSLND -TVQFRMAFGRLFSSFHQNPGIITGSAVGCDPETFWSKIPVMLDGECLMAFDYSNYDGSLNPVWFKLLAQI -LENLGFPGDLVQKLCYSKHIFKTQYYEVEGGMPSGCAGTSIFNTMINNVIIRTLVLDTYKYVNLDKLKIL -AYGDDILFSYPYDLDMKELADEGLKYGLTITPADKSDVFKKLDFGNATFLKRGFKPDEKHSFLIHPVYPE -EEVWESIRWTKNPRNMQEHVLSLCHLMWHNGEDKYQHFVAKIRSVSAGRALYIPPYELLRHEWYEKF ->ACK37438.1 polyprotein [rhinovirus A76] -MGAQVSRQNVGTHSTQNAVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTSQDATAIDKPSRPDTSSNRFYTLESKEW -SANSKGWWWKLPDALKDMGIFGENMFYHFLGRSGYTVHVQCNASKFHQGTLIVAMIPEHQLASATTGNAT -AGYNLTHPGEGGRDVSLSNRADLSKQPSDDAWLNFDGTLLGNITVYPHQLINLRTNNSATIIVPYVNAVP -MDSMVRHNNWSLVIIPICPLESLPSSTVPITISISPMCAEFSGARATARNQGLPVFITPGSGQFMTTDDF -QSPSALPWFHPTREISIPGQVKNLVELCQIDTLIPINSVTGNTNNTSIYTVTLTRETSLAQEIFAIKVDI -ASDPLATTLIGEIASYYTHWTGSIRFSFLFCGSANTTLKLLIAYTPPGIRKPKNRKEAMLGTHVVWDVGL -QSTISMVVPWVSASHFRNTTPDTYSLAGYVTCWYQTNLVVPPNTPTTAKMLCFVSGCKDFCLRMARDTNL -HLQSGPITQNPVENYVDEILNEVLVVPNIKESQATTSNAAPALDAAETGHTSSVQPEDMVETRYVQTSQT -LDEMSMESFLGRSGCIHISKLVVEYEGYDDTKNFKTWKINLQEMAQVRRKFEMFTYTRFDSEVTLVPSIA -AKGDDIGHVVMQYMYVPPGAPVPKKRDDYTWQSGTNASIFWQYGQTYPRFSLPFLSIASAYYMFYDGYDG -DQPSSRYGNIVTNDMGTLCSRIVTDDHKHKIEVTTRIYHKAKHVKVWCPRPPRAVEYTYTHVTNYKPHSG -DVQTAIRPRATIKTAGPSDMYVHVGNLIYRNLHLFNSDIHDSILVSYSSDIVIYRTNTTGDDYIPTCDCT -DATYFCKHKNRYYPIKVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGIITAGGE -GHVAFIDLRHFHCAEEQGITDYIHMLGEAFGSGFVDSVKDQINAINPINNISRKIVKWILRIISAMVVII -RNSSDPQTIIATLTLIGCSGSPWRFLKEKFCKWTQLNYIHKESDSWLKKFTEMCNAARGLEWIGNKISKF -IEWMKSMLPQAQLKIKYLNELKKLNLLEKQIEHLRIADSSTQEKIKCEIDTLHDLSCKFLPLYASEAKRI -KVLHNKCNVIIKQKKRCEPVAVVIHGEPGAGKSMTTNFLARMITNDSDIYSLPPDPKYFDGYDQQSVVIM -DDIMQNPSGEDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHSMLAPPTITSLPAMNRRFYLD -LDIVVSEKYKDQQGKLNVAAAFQPCDVNVKIGNARCCPFICGKAVMFKDRNTCQSYTLAQVYNHILNEDK -RRRQVVDVMSAIFQGPISLEGPPPAAISDLLQSVRTPEVIKYCEYNKWIVPAECRIERDLNIANTIITII -ANVISISGIIYVIYKLFCTLQGPYSGEPKQKTKIPERRVVAQGPEEEFGRSLIKHNSCVVTTQNGKFTGL -GIYDRTIIIPTHADPGKEVQIDGISTKVEDSYDLYNKDGVKLEITVLKLQRNEKFRDIRKYIPEKEDDYP -ECNLALSANQPETTIISVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGILYKIGQVLGIHVGGNGRD -GFAAMLLRSYFSETQGQITISKPTVELGLPSIHTPSKTKLQPSVFHDVFPGSKEPAVLSDNDPRLEVDFK -QALFSKYKGNNECTMNEHIKVAVSHYSAQLHTLDIDPKSITVEDSVFGIEGLEALDLNTSAGYPYVTMGI -KKKDLIDNRNKDLTKLKIAMDKYGVDLPMVTFLKDELRKKEKISAGKTRVIEASSVNDTVLFRSVYGNLF -SKFHLNPGIITGSAVGCDPETFWSKIPVMLDGECIMAFDYTNYDGSIHPIWFDALKLVLSNLSFRTDLID -RLCKSKHIFKGTYYEVEGGVPSGCSGTSIFNTMINNVIIRTLVLDAYKNIDLDKLKIIAYGDDVIFSYKY -QLDMEAIASEGVKYGLTITPADKSSVFKRLDYSNITFLKRGFRQDEKHNFLIHPTFPIDEIHESIRWTKK -PSQMQEHVLSLCHLMWHNGRDVYTQFERRIRSVSAGRALYIPPYDLLLHEWYEKF ->AMK37996.1 polyprotein [rhinovirus A39] -MGAQVSRQNVGTHSTQNSVSGGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTADDASAIDKPTQPDTSSNRFYTLESKIW -KRDSKGWWWKLPDALKDMGIFGENMYYHFLGRSGYTVHVQCNASKFHQGTLLIAMVPEHQLASANYGNVT -AGYNYTHPGEAGRDVGQQRTNNEKQPSDDNWLNFDGTLLGNLLIFPHQFINLRSNNSATIIVPYVNAVPM -DSMLRHNNWSLLIIPVSPLDADTSATAIVPITVSISPMFSEFSGARAKPAAATQGLPVYMTPGSGQFLTT -DDLQSPSALPWYHPTKEIFIPGQVRNLIEMCQVDTMIPINNTNERIGNVNMYTISLSSQTDTAQQIFAIK -VDIASQPLSSTLIGEIASYYTHWTGSLRFSFMFCGTANTTLKLLLAYTPPGIDKPTTRKQAMLGTHIVWD -IGLQSTVSLVVPWVSASHFRYTTPDTYSMAGYITCWYQTNFVFPPNTPNNANMICFVSGCKDFCLRMARD -TDMHVQNIPITQNPVENYVDEVLNEVLVVPNIKESHPTTSNAATALDAAETGHTSNIQPEDTIETRYVHT -SHTRDEMSIESFLGRSGCIHISTITMKKENYNNHNFVDWKITLQEMAQVRRKFEMFTYVRFDSEITLVPC -IAGRGEDIGHIVMQYMYVPPGAPVPKKRDDYTWQSGTNASVFWQHGQPYPRFSLPFLSIASAYYMFYDGY -DGDKSSSRYGVSVTNDMGTLCTRIVTNQQEHLVEVTTRVYHKAKHVKAWCPRAPRAVPYTHSNVTNYKVR -EGEPTLFIRPRDSLTTAGPSDMYVHVGNLIYRNLHLFNSEMHDSILVSYSSDLVIYRTNTQGDDYIPTCD -CTQATYYCKHKNRYFPITVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGIITAG -GDNHVAFIDLRHFHCAEEQGVTDYIHMLGEAFGNGFVDSVKEHVKAINPVGNISKKIIKWMLRIISAMVI -IIRNSSDPQTILATLTLIGCSGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGNKIS -KFIEWMKSMLPQAQLKVKYLNELKRLNLYEKQVENLRVADIKTQEKIKMEIDTLHDLSCKFLPLYASEAK -RIKILHNKCDTIIKQKKRSEPVAIVVHGPPGTGKSITTSFLARMITNESDIYSLPPDPKYFDGYDQQSVV -IMDDIMQNPTGEDMTLFCQMVSSVTFIPPMADLPDKGKAFDSRFVLCSTNHSLLAPPTITSLPAMNRRFF -LDLDIIVHDNYKDAQGKLNVAAAFQPCDINTKIGNARCCPFVCGKAVSFKDRNSCNKYTLAQIYNIMLEE -DKRRRQVIDVMSAIFQGPISLQNPPPPAIADLLQSVRTPEVIKYCEGNKWIIPAECKIEKELNLANTIIT -IIANVISIAGIIYVIYKLFCTLQGPYSGEPKPKTKIPERRVVAQGPEEEFGRSLIKHNSCIVTTQNGKFT -GLGIYDRVIIIPTHADPGKEVQIDGITTKVLDSYDLYNKDGVKLEITVLKLDRNEKFRDIRKYIPENEDD -YPECNLALSANQPETTILNVGDVVSYGNILLSGNQTARMLKYNYPTKSGYCGGILYKIGQVLGIHVGGNG -RDGFSAMLLRSYFTDTQGQITLSKKTSECGLPSIHNPSKTKLQPSVFYDIFPGSKQPAVLSEKDTRLQVD -FNEALFSKYKGNVDCPMNDHIKIASSHYAAQLITLDINPNPITLEDGVFGTEGLEALDLNTSAGFPYITM -GIKKRDLINNKTKDISRLKQAIDKYGVDLPMVTFLKDELRKEEKIVKGKTRVIEASSVNDTLLFRTTFGN -LFSKFHLNPGIVTGSAVGCDPETFWSKIPAMLDDKCIMAFDYTNYDGSIHPVWFQALKQVLSELSFNPSL -IDRLCKSKHIFRNTYYEVEGGVPSGCSGTSIFNTMMNNIIIRTLVLDAYKNIDLDKLKIIAYGDDVIFSY -VYELDMEAIAMEGKKYGLTITPADKSDNFRKLDYSNVTFLKRGFRQDEKYNFLIHPTFPESEIFESIRWT -KKPSQMQEHVLSLCHLMWHNGQSAYKSFVERIRSVSAGRALYIPPYDLLLHEWYEKF ->AEG75268.1 polyprotein [rhinovirus A103] -MGAQVSRQNVGTHSTQNAVTGGSSLNYFNINYFKDAASNGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PSVEACGYSDRIIQITRGNSTITSQDIANAVVAYGVWPQYLTDADANAIDKPSHPDTSSDRFYTLDSKLW -SSTSKGWWWKLPDALKNMGIFGENMFYHFLGRAGYTVHVQCNASKFHQGTLVIAAIPEHQLAYIGSGNVS -VGYRHTHPGESGRTLNNTRENRNEQQPTDESWLNCDGTLLGNITIYPHQYINLRSNNSATLILPYVNAVP -MDSMVRHNNWSIIIIPISPLAAEVTDPVPITISISPMCSEFSGARNQSVKVQGLPVMLTPGSAQFLTTDD -TQSPSALPYFHPTKEIFIPGKVNNLSEICQVDSMIPINNIIANKNSINLYTVRVEVQTGNLATEIFAIPV -DIAAQPLATTLIGEIASYYTHWTGSIRFSFMFCGTANTKVKLLIAYTPPGVEKPTSRTKAMLGTHLVWDV -GLQSTVSMVVPWISASNYRFTTPDTYSQAGYITCWYQTNFVVPPQTPRHADIICFVSGCKDFCLRMARDT -NLHSQTVNIEQNPVEKYTEALLNEVLVVPNIQPSNGNTSNSAPALDAAETGHTSSVQPEDVVETRYVITD -QTRDEMSIESFLGRSACIAVIHTNLKHTEEEQNVYNKENYNFSKWAITIKEMAQIRRKCELFTYLRFDSE -ITIVATIAGQGDDIGHIVLQYMYVPPGGPIPKTRNADEWQTGTNASVFWQHGQPYPRFTIPFVSIASAYY -MFYDGYEGDSPGSKYGAVVTNAMGTLCVRVVTEQQKHPVNITSRIYHKAKHVSAWCPRAPRAVAYQHTYS -TNFVPKEGIEAVTTSIRTRRDIKQISNYGPSDMYVHMDDLIYRNLHLCEPENLNDSVLICYSSDLVIYRT -NAKGDDFIPTCNCTQATYYCRHKNRYFPIEVKKHEWYEIQESEYYPKHIQYNILIGEGPCEPGDCGGKLL -CKHGVIGIVTAGGEGHVAFIDLRDFHVADTQGITDYIHNLGEAFGAGFIDNIKEQIQIINPINKITGKIV -KWVIRIISAITIIIRNSADPHTVIATLALIGCSGSPWRFIKEKVCKWLQLSYVYKESDGWIKKFTEMCNA -ARGLEWLGNKISKFIEWFKSMLPQAKLKVDYIKNLKQLPLLEKEIDGLRLASQKQQQDYIDTLKVMLDSS -NKFLPLYASENKRGKDLLRRGEMILRTSKRTEPVGVLFHGEPGTGKSIATSILARMLTNESDIYSLPPSP -KYFDGYDQQSVVIMDDIMQNPNGEDMSLFCQMVSSVPFIPPMADLPDKGKPFSSDYVLASTNHNLLHPPT -ITCTTAMNRRFFLDLDIIVKEDFKINQGKLNLQCALKPCKEGKVGNAKCCPLICGKAVVFGDRNTGDHLS -LATIYNRIVEESKNRKELTTSLQAIFQGPIDIVNKPPPPAIMDLLKSVRNPEVIKYCEDNKWIIPADCRL -ERDLNYANLVISMIANVISILGVIYIIYKLFCSLQGPYSGEPKPVTRKPERRVVTQGPQEEFGRSLLKHN -ACIVTTDNGKFTGLGIYDNVMILPTHADVGNTVDIDGIQTKVSDSYDLYNSQGVKLEITVVKLNRNEKFR -DIRKYIPDTEDDYPECCLALLANQPEPTIIEVGDSCSYGNILLSGNQTARMIKYNYPTKSGFCGGILYKI -GLVLGIHVGGNGRNGFSAMVLRSYFNEQQGKIVSKTDVKAENLYSIHTPTKTKLQPSVFHNVFPGKKEPA -VLSPKDPRLHVDLEEAIFSKYKGNKTIEITQNMEIAAAHYTAQLSTLDINTEPLSLEESVYGIEGLEALD -LHTSAGYPYTALGVKKKDLIPKDKNLIKLKQAMEKYGLDLPMITFLKDELRKPEKICTGKTRIIEASSLN -DTVQFRMAFGRLFSTFHQNPGIITGSAVGCDPETFWSKIPVMLDGECLMAFDYTNYDGSLNPVWFKLLSK -VLNDLGFPGDLVNKLCYSKHIFKTSYYEVEGGMPSGCAGTSIFNTIINNIIIRTLVLDTYKYVNLDKLKI -LAYGDDILFSYPYDLDMKELADEGNKYGLTITPADKSDVFKKLDFNNATFLKRGFKPDERHSFLIHPIYP -EEEVWESIRWTKNPRNMQEHVLSLCHLMWHNGKDKYDQFVAKIRSVSAGRALYIPPYELLRHEWYEKF ->ACK37400.1 polyprotein [rhinovirus A61] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLTPQDATAIDKPSKPDTSSNRFYTLESQTW -TNESKGWWWKLPDALKDMGIFGENMFYHFLGRSGYTVHVQCNASKFHQGTLLVAMIPEHQLATAVGGSVS -AGYNYTHPGEKGRDVGKLNRESNPRQPSDDNWLNFDGTLLGNLTIFPHQFINLRSNNSATIIVPYVNAVP -MDSMLRHNNWSLVIIPICELRGSGVDLTIPITISISPMCAEFSGARANSQGLPVLLTPGSGQFMTTDDFQ -SPSALPWYHPTKEISIPGQVRNLVELCQVDTLIPINNTEANIRNKNMYTVQLGGEPDPTTPVFTIRVDIA -SQPLATTLIGEVSSYYTHWTGSVRFSFMFCGSALTTLKLLIAYTPPGIRVPRNRKEAMLGTHLIWDVGLQ -STVSMVVPWVSASHYRNTTPDTYSIAGFITCWYQTKLVVPPNTASTADMLCFVSGCKDFCLRMARDTNLH -KQSGPIEQNPVERYVDEVLNEVLVVPNINQSNPTTSNSAPVLDAAETGHTSNVQPEDMIETRYVQTSQTR -DEMSVESFLGRSGCIHMSTLNINYDNYDDSIENFKVWKINLQEMAQIRRKFELFTYARFDSEITIVPCVA -GQGGDIGHVVMQYMYVPPGAPTPEKRNDFTWQSGTNASVFWQHGQAYPRFSLPFLSIASAYYMFYDGYDG -DSEITRYGTSVTNDMGALCFRIVTEQHTNQVKITTRIYHKAKHVKVWCPRPPRAVEYTNVHLTNYKPKDS -EKQVTTFIKPRANLREIRTFGPSDMYVHVGNLIYRNLHLFNSEAHDSVLVSYSSDLVIYRTNTVGDDFIP -TCDCTQATYYCKHKNRYFPITVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGII -TAGGEGHVAFIDLRHFLCAEEQGVTDYIHMLGEAFGNGFVDSVKEHVNAINPVNNISKKVIKWLLRIVSA -MVIIIRNSSDPQTVVATLTLIGCSGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGN -KISKFIEWMKSMLPQAQLKVKYLNELKKLNLLEKQIENLRSADSKTQERIKVEIDTLHDLSCKFLPLYAS -EAKRIKVIHNKCNTIIKQKKRSEPVAVMIHGSPGTGKSITTNFLARMITNESDIYSLPPDPKYFDGYDQQ -SVVIMDDIMQNPDGEDMALFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHSMLAPPTITSLQAMNR -RFFLDLDIVVHDNYKDSQGKLNVSKAFKPCDVGTKIGNARCCPFICGKAVTFKDRNTCLSYPLSQIYNLI -LQEDKRRTHVVDVMSAIFQGPISMEVPPPPAITDLLRSVKTPEVIKYCEDNKWTIPADCKIERDLILANN -IITIIANIISIAGIIYIIYKLFCSFQGPYSGEPKPKTKIPERRVVTQGPEEEFGRSLIKHNTCVVTTDNG -KFTGLGIYDKLMILPTHADPGKEIYINGIATRVSDSYDMYNKQGIKLEITAVLLDRNEKFRDIRRYIPER -EDDYPECNLALVANQPEPTIISVGDVISYGNILLSGNQTARMLKYNYPTKSGYCGGVLYKIGQIIGIHVG -GNGRDGFSAMLLRSYFSETQGEIITSKKVHECGYPTIHTPAKTKLQPSVFFDVFEGSKEPAVLTEKDPRL -TTDFNQALFSKYKGNVECNMSEHMKVAISHYSAQLMTLDIDPSNITLEESVFGTEGLEALDLNTSAGFPY -ISMGIRKRDLINNSTKDITKLKVALDKYGVDLPMVTFLKDELRKKGKIMAGKTRVIEASSINDTVAFRMT -YGKLFSSFHKNPGIITGSAVGCDPETFWSKIPVMLDGECIMAFDYTNYDGSIHPIWFEALKQVLINLSFE -HRLIDRLCKSKHIFKDTYYEVEGGVPSGCSGTSIFNTMINNVIIRTLVLDAYKYIDLDKLKIIAYGDDVI -FSYKYPLDMEAIAAEGNKYGLTITPADKSDTFKKLDYSSVTFLKRGFKQDDKYSFLIHPTFPISEIHESI -RWTKKPSQMQEHVLSLCHLMWHNGRDVYKEFERKIRSVSAGRALYIPPYDLLLHEWYEKF ->AET85047.1 polyprotein [Rhinovirus A] -MGAQVSRQNVGTHSTQNMVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQS -PSVEACGYSDRIIQITRGDSTITSQDVANAVVGYGIWPHYLTPQDATAIDKPTQPDTSSNRFYTLDSKMW -SGTSKGWWWKLPDALKDMGIFGENMFYHFLGRSGYTVHVQCNASKFHQGTLLVVMIPEHQLATVNKGNVN -AGYKYTHPGEAGREVGTQVENEKQPSDDNWLNFDGTLMGNLLIFPHQFINLRSNNSATLIVPYVNAVPMD -SMVRHNNWSLVIIPVCQLQSNNVSNIVPITVSISPMCAEFSGARAKTVVQGLPVYVTPGSGQFMTTDDMQ -SPCALPWYHPTKEIFIPGEVKNLIEMCQVDTLIPINSTQANIGNVNMYTVTLSPQTKLAEEIFAIKVDIA -SHPLATTLIGEIASYFTHWTGSLRFSFMFCGTANTTLKVLLAYTPPGIGKPRNRKEAMLGTHVVWDVGLQ -STVSLVVPWISASQYRFTTPDTYSSAGYITCWYQTNFVVPPNTPNTAEMLCFVSGCKDFCLRMARDTDLH -KQTGPITQNPVERYVDEVLNEVLVVPNINESHPTTSNAAPVLDAAETGHTNKIQPEDTIETRYVQSSQTL -DEMSVESFLGRSGCIHESVLDIVDNYNDQSFTKWKINLQEMAQIRRKFEMFTYTRFDSEITMVPSVAGKE -GHIGHIVMQYMYVPPGAPIPTTRDDYAWQSGTNASVFWQHGQPFPRFSLPFLSIASAYYMFYDGYDGDTY -KSRYGTVVTNDMGTLCSRIVTSEQLHKVKVVTRIYHKAKHTKAWCPRPPRAVQYSHTHTTNYKLSTEVHN -DVAIRPRTNLTTVGPSDMYVHVGNLIYRNLHLFNSDMHDSILVSYSSDLVIYRTNTQGDDYIPTCNCTEA -TYFCKHKNRYYPISVTPHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGIITAGGEGH -VAFIDLRHFHCAEEQGITDYIHMLGEAFGSGFVDSVKDQINSINPINNISSKMVKWILRIISAMVIIIRN -SSDPQTIIATLTLIGCNGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGNKISKFID -WMKSMLPQAQLKVKYLSELKKLNFLEKQVENLRAADTNTQEKIKCEIDTLHDLSCKFLPLYASEAKRIKV -LYHKCNNIIKQKKRSEPVAVMIHGPPGTGKSITTSFLARMITNESDIYSLPPDPKYFDGYDNQSVVIMDD -IMQNPGGEDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHSLLAPPTISSLPAMNRRFYLDLD -ILVHDNYKDNQGKLDVSRAFQLCDVDSKIGNAKCCPFVCGKAVTFKDRNTCKAYSLXXXXXXXXXXXXXX -XXXXXXMSAIFQGPISMDKPPPPAITDLLRSVRTPEVIKYCQDNKWIVPADCQIERDLNIANSIITIIAN -IISIAGIIYIIYKLFCSLQGPYSGEPKPKTKIPERRVVAQGPEEEFGMSIIKNNTCVVTTTNGKFTGLGI -YDRVLILPTHADPGSEIQVNGIHTKVLDSYDLFNKEGVKLEITVLKLDRNEKFRDIRKYIPESEDDYPEC -NLALVANQTEPTIIKVGDVVSYGNILLSGTQTARMLKYNYPTKSGYCGGVLYKIGQILGIHVGGNGRDGF -SSMLLRSYFTEQQGQIQISKHVKDIGLPSIHTPTKTKLQPSVFYDVFPGSKEPAVLTDKDPRLEVDFDTA -LFSKYKGNTDCNLNEHIQVAVAHYSAQLATLDINPQPIAMEDSVFGMDGLEALDLNTSAGYPYVTLGIKK -KDLINNKTKDISKLKLALDKYGVDLPMITFLKDELRKKDKIAAGKTRVIEASSINDTILFRTVYGNLFSK -FHLNPGVVTGCAVGCDPETFWSKIPLMLDGDCIMAFDYTNYDGSIHPVWFKALGMVLDNLSFNPTLINRL -CNSKHIFKSTYYEVEGGVPSGCSGTSIFNSMINNIIIRTLVLDAYKHIDLDKLKIIAYGDDVIFSYKYKL -DMEAIAKEGQKYGLTITPADKSSEFKELDYGNVTFLKRGFRQDDKYKFLIHPTFPVEEIYESIRWTKKPS -QMQEHVLSLCHLMWHNGPEIYKDFEAKIRSVSAGRALYIPPYELLRHEWYEKF ->ABF51200.1 polyprotein [rhinovirus A46] -MGAQVSRQNVGTHSTQNTVANGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PSVEACGYSDRIIQITRGNSTITSQDIANAVVGYGVWPEYLTDDDASAIDKPTHPDTSSNRFYTLESKHW -ENNSKGWWWKLPDALKSMGIFGENMFYHHLGRAGYTIHVQCNASKFHQGTLIVVAIPEHQLAYIGGGNVT -VGYNHTHPGESGRRIGGFDGTERNRQPSDENWLNCNGTLLGNLTIFPHQFINLRSNNSATLILPYVNAVP -MDSMLRHNNWSLVIIPVCPLEVAQGSEQYVPITISISPMCSEFSGARNAARTQGLPVFITPGSAQFLTTD -DTQSPSALPYFHPTKEIFIPGEVKNLSEICQVDSMIPINNVEQHKNSVDMYSVVLTKQTNPAEEIFAIPV -DVASQPLATTLIGEIASYYTHWTGSIRISFMFCGSANTSLKLLIAYTPPGVPKPTSRKEAMLGTHLVWDV -GLQSTASMVIPWVSASHYRFTTPDIYTLAGYVTCWYQTNLVVAPNTPTTARMICFVSGCKDFCLRMARDT -NLHSQTGAITQNPVEKYTEAVLNEVLVVPNINASSGHTSNSAPALDAAETGHTSQIQPEDMIETRYVITD -QTKDEMSIESFLGRSGCIAIIETELNHEEGKYNAEDQNFSKWKMTLLEMAQIRRKCELFTYLRFDSEITI -VTTLAGQGDDIGHVVIQYMYVPPGAPLPRYRNDYTWQSGTNASVFWQQGQPYPRFTIPFMSIASAYYMFY -DGYESDKGKIYGTAVTNDMGTICVRIVTEQQKHKVLITSRIYHKAKHIKAWCPRAPRAVPYQHIYNPNFK -TTQPETIPDTHIKIRRDIKYIKTAGPSDPHVHAGDLIYRNLHLCESENLNDSILISYSSDLVIYRTNTTG -DDIVPTCDCTLGTYYCKHKDRYYPVSVTKHQWYEIQESDYYPKHIQYNILLGVGPCKPGDCGGKLLCKHG -VIGIITAGGDNHVAFIDLRDFQIAEEQGIPEYIHSLGEAFGSGFVDNIKDQIQSINPINKITSKIIKWVI -RIISAVTIIIRNSADPHTIVATLALLGCSGSPWRFIKEKVCGWLQLTYIHKESDGWIKKFTEMCNAARGL -EWLGNKISKFIDWLKSMLPQARLKVDFIKNLKQLPLLEKQVDGLRLATQKQQQEYIDTLTLMLDSSNKFL -PLYALENKRIKELLKRGQMILRTSKRTEPVGVIFHGEPGTGKSITTSILARMLTSESDIYSLPPSPKYFD -GYDQQSVVIMDDIMQNPSGEDMSLFCQMVSSVPFIPPMADLPDKGKPFSSDYVLASTNHTLLHPPTITCT -TAMNRRFFLDLDIIVKDEYKLNQGKLNLQCALRPCKEGKIGNAKCCPLICGKALQFRDRSNGEHLSLATI -YNRITHESKNRKELTNSLQAIFQGPIDVVNKPPPPAIVDLLKSVRNPDVIRYCEENKWIIPADCRLERDL -NYANLIISMIANVISIMGVIYIIYKLFCSLQGPYSGEPKPVTKKPERRVVTQGPQEEFGRSLIKHNTCVV -TTSNGKFTGLGIYDNVMIIPTHADAGQEVEIDGIKTKVSDAYDLHNMHGVKLEITVLKLSRNEKFRDIRK -YIPESEDDYPECCLALVANQTEPTILEVGDCCSYGNILLSGNQTARMIKYNYPTKSGFCGGVLYKIGLVL -GIHVGGNGRDGFSAMLLRSYFNEQQGKIVSRADVKEYNMYSIHTPTKTKLQPSVFHDVFPGSKEPAVLST -KDPRLEVDLDSSIFSKYKGNEAIKITDNMLVAAAHYTAQLSTLDIDPQPISLEDSVYGIEGLEALDLHTS -AGYPYTAHGIKKKDLIPKDRNLTKLKVAMEKYGLDLPMITFLKDELRKPEKISAGKTRIIEASSLNDTVQ -FRMAFGNLFSKFHKNPGIVTGSAVGCDPEIFWSKIPVMLDGDCLMAFDYSNYDGSLNPVWFELLEKVLND -LGFPGKLVNKLCHSKHIYKTTYYEVEGGMPSGCAGTSIFNSMINNIIIRTLVLDTYKHINLDKLKILAYG -DDVLFSYPFDLDMAELAKEGDKYGLTITPADKSDKFEKLDYGNATFLKRGFKQDNRYKFLIHPIYPESEV -WESIRWTKNPRNMQEHVLSLCHLMWHNGRDKYESFVNRIRSVSAGRALYIPPYEVLLHEWYEKF ->AOL02449.1 polyprotein [rhinovirus A12] -MGAQVSRQNVGTHSTQNSVSGGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDIANAVVAYGVWPHYLSAEDANAIDKPTRPDTSSNRFYTLESKQW -MENSKGWWWKLPDALKDMGIFGENMFYHFLGRAGYTIHVQCNASKFHQGTLMVVAIPEHQLAYIGTGNVT -VGYKHTHPGESGRIIANNADNNTRQPSDDNWLNCDGTLMGNLPIFPHQFINLRTNNSATLILPYMNCVPM -DSMLRHNNWSLLIIPVCPLQVDQQATRFVPITISISPMFAEFSGARNATIPQGLPVMITPGSGQFLTTDD -AQSPSALPYFHPTKEIFIPGQVKNLIEMCQVDTLIPVNNVQASKNNISMYTVQLNTQTDVAKEVFAIPVD -VASQPLATTMLGELSSYFTHWTGSLRFSFMFCGTANTTLKLLIAYTPPGVPKPDTRKKAMLGTHLVWDVG -LQSTVSMIVPWVSASHFRFTTPDTYSKAGYITCWYQTNFVVPPGTPNSANMLCFVSACKDFCLRMARDTD -LHSQDTPITQNPVERYVDEVLNEVLVVPNINKSNGQSSNAAPALDAAETGHTSQTQPEDVIETRYVITDQ -TRDEMSIESFLGRSGCISIIELDLDHENYSAEGSNFKTWKINLKEMAQIRRKNELFTYLRFDSEITIVPS -NAAIEGSNGHVVIQYMYVPPGAPLPKKRDDYTWQSGTNASVFWQEGQPYPRFTIPFISIASAYYMFYDGY -ADDNPSAPYGTVVTNDMGSLCVRIVTDQQKHKVKITSRIYHKAKHISAWGPRPPRAVPYQHIHNPNYKTS -SGVPDNRVKLRETLTTVGPSDLYVHCGDLIYRNLHLCEPEHLNDSVLICYSSDLVIYRTNSKGDDYVPSC -DCTECTFYSKHKDRYFPITVKKYNWFEIQESDYYPKHIQYNILIGDGPVQAGDCGGKLLCKHGVIGIVTA -GGSGKVAFIDLRDFHMADEQGISDYITNLGEAFGQGFMSQVKDQISELNPMNKITGKVLKWTIRIISALT -IVVRNSSDPLTIVATLAMLGCNGSPWRFLKEKICKWFQLNYVHKESDGWLKKFTEMCNAARGLEWIGNKI -SKFIDWLKAMLPQTQLKLEYLKLLKQLPLLEKQIDGLRAATEKQREDYTETISRLDDLSKKFLPLYPSEA -KRISVLYKRSQTILKTSKRTEPVGILFHGEPGTGKSITTSVLARMLTSDSDIYSLPPAPKYFDGYDQQTV -VIMDDIMQNPSGEDMTLFCQMVSSVPFIPPMADLPDKGKHFTSEYVLASTNHSILNPPTITSTTAMNRRF -YLDLDIVVHPSFQINQGKLNLVAALKPCDTGKVGNATCCPLICGKALTFRDRNTNESYALSTIYNKIVEE -NKNRKMLSKSLEAIFQGPISLKNPPPPAIADLLNAVRTPEVIKYCEENNWIVPAQCTIERELNYCNLVLS -MIANLVSIIGIVYVIYKLFCSFQGPYSGQPKPKTRQPERRVVIQGPVEEFGRSLIKHNTRIVTTKNGKFT -GLGIYDNLMVIPTHADPERVVQIDGINVNVIDSYDLCNKQGVKLEITVIKLDQNEKFRDIRKFIPDREDD -YPECHLALTANQEQPTILEVGDAVSYGNILLSGNETARMIKYNYPTKSGYCGGVLYKIGQIVGIHVGGNG -RDGFSAMLLRSYFTNFQGVITMQDDVRNLAMPPIHVPTKTKLQPSVFHDVFPGVKEPAVLSKSDNRLETD -FETALFSKYKGNVHMDLTPHMEVAISHYTAQLSSLDIPNTELSLEECVYGAEGLEALDLNTSAGYPYTSL -GIKKRDLIGKDKDLSKLKAAMNKYGLDLPMITFLKDELRKPEKIKAGKTRVIEASSINDTIHFRMKFGNL -FSSFHLNPGIVTGSAVGCDPDVFWSKIPLMLDGDCLMAFDYSNYDGSLSPVWFQALEKVLNNLGFPGHLV -QNLCSSKHIFRSKYYEVDGGMPSGCSGTSIFNSMINNIIIRTLVLDTYKHINLDKLKILSYGDDVLFSYP -YELSMEDIALAGQKYGLNITPADKSDKFTKIDFSNATFLKRGFRMDSKHSFLVHPTFPVEEICESIRWTK -NPRCMQEHVLSLCYLMWHNGKQAYEDFVSKIRSVAAGRALYIPGYEVLEHEWYDKF ->ABF51192.1 polyprotein [rhinovirus A10] -MGAQVSRQNVGTHSTQNAVSNGSSLNYFNINYFKDAASSGASKLEFSQDPSKFTDPVKDVLEKGIPTLQS -PTVEACGYSDRIIQITRGDSTITSQDVANAVVGYGVWPHYLSATDATAIDKPTQPDTSSNRFYTLESKVW -TSTSQGWWWKLPDALKDMGIFGENMFYHYLGRSGYTVHVQCNASKFHQGTLLVVMIPEHQLASAHTGNVT -AGYNFTHPGEKGRTVGDRRDHHDKQPSDDNWLNFDGTSLGNALIFPHQFINLRSNNSATIIVPYVNAVPM -DSMLRHNNWSLVIIPISPLKSDPSASALVPITISISPMFAEFSGARAKTAAANQGLPVYVTPGSGQFMTT -DDLQSPSALPWYHPTKEILIPGEVKNLIEMCQVDSLIPINNTTANLRKVNMYTIQLANQTNMAEEIFAIK -VDIASQPLSNTIIGEIASYFTHWTGSLRFSFMFCGTANTTLKLLLAYTPPGIKKPTNRKQAMLGTHIVWD -IGLQSTVSLVVPWVSASHFRYTTPDTYSAAGYITCWYQTNFVFPPNTPSTADMLCFVSACKDFCLRMARD -TNMHIQSDAITQNPVENYIDNVLNEVLVVPNIRESHPSTSNSAPILDAAETGHTSSVQPEDTVETRYVQT -SQTRDEMSIESFLGRSGCIHTSTITVNNTRPYNEHTFDTWQITLQEMAQIRRKFEMFTYVRFDSEVTLVP -CIAGKGDDIGHIVMQYMYVPPGAPVPTKRDDFAWQSGTNASVFWQHGQPFPRFSLPFLSIASAYYMFYDG -YDGDTHDSRYGTTVINHMGTLCMRIVTNQQAHEVEITTSVYHKAKHVKAWCPRPPRAVPYTHAHSTNYKP -HGKELQIFIRSRDDPKVVTAGPSDMYVHVGNLIYRNLHLFNSEMHDSILVSYSSDLVIYRTNTIGNDYIP -TCDCTDATYYCKHKDRYYPIKVTSHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGII -TAGGEGHVAFIDLRQFHCADEQGITDYIHMLGEAFGTGFVDSVKEQINAINPINNISKKIIKWLLRIISA -MVIIIRNSSDPQTIIATLTLIGCSGSPWRFLKEKFCKWTQLTYIHKESDSWLKKFTEMCNAARGLEWIGN -KISKFIEWMKSMLPQAQLKVKYLSELKKLSFLEKQMEHLRVADSKTQEKIKHEVDTLHDLSCKFLPLYAS -EAKRIKILHNKCNTIIKQRKRCEPVAVVIHGEPGTGKSMTTNFLARMITSESDIYSLPPDPKYFDGYDQQ -SVVIMDDVMQNPSGEDMTLFCQMVSSVTFIPPMADLPDKGKPFDSRFVLCSTNHNLLAPPTITSLPAMNR -RFFFDLDIIVCEKYKDPQGKLNVTAAFRPCDVDAKIGNARCCPFICGKAVMFRDRNTCQTYTLAQVYNRI -LDEDKRRRQVIDVMAAIFQGPITLEGPPPAAISDLLQSVRTPEVIKYCEGNKWIIPAECKVERDLNIANT -IITIIANIISISGIIYVIYKLFCTLQGPYSGEPKPKTKIPERRVVAQGPEEEFGRSLIKHNSCIVTTQNG -KFTGLGIYDRMLILPTHADPGREVQIDGITTKVEDSFDLYNKDGVKLEITVLKLKRNEKFKDIRKYIPEN -EDDYPDCNLALSANQPETTIINVGDVVSYGNILLSGTQTARMLKYNYPTKSGYCGGILYKIGQVLGIHVG -GNGRDGFAAMLLRSYFSETQGEIIISKSTSECGLPSIHTPSKTKLQPSVFFDVFPGTKEPAVLSSNDPRL -EVDFNKALFSKYKGNNECVINDHVKVAVSHYSAQLMTLDINPEPISLEDSVFGTEGLEALDLNTSAGFPY -VSMGIKKKDLINKQTKDVTKLKMALDKYGVDLPMVTFLKDELRKREKITAGKTRVIEASSVNDTVLFRTT -FGNLFSKFHLNPGIVTGSAVGCDPETFWSKIPVMLDGECIMAFDYTNYDGSIHPMWFQALKEVLSNLSFE -ASLIDRLCKSKHIFKNMYYEVEGGVPSGCSGTSIFNTMINNIIIRTLVLDAYKNIDLDKLKIIAYGDDVI -FSYKYQLDMEAIAKEGTKYGLTITPADKSDTFKQLNYGNVTFLKRGFKQDEKYQFLIHPTFPVEEIQESI -RWTKKPSQMQEHVLSLCHLMWHNGRSVYKQFEERIRSVSAGRALYIPPYDLLLHEWYEKF ->ACK37388.1 polyprotein [rhinovirus A45] -MGAQVSRQNVGTHSTQNTVTGGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLTKGIPTLQS -PTVEACGYSDRIIQITRGDTTITSQDIANAVVGYGVWPTYLDSKDASAIDKPTQPDTSANRFYTLESKEW -TPDSKGWWWKLPDALKDMGVFGENMFYHALGRSGYLIHVQCNASKFHSGTLLVVAIPEHQLAYIGTGNVT -VGYKHTHPGETGRVIATTTDKQTRQPSFDSWLNCNGTLLGNALIFPHQFINLRTNNAATLILPYVNATPM -DSMLRHNNWSLLIVPVSELRGDTSIPITVSISPMAAEFSGARNRSARVEGLPVMLTPGSGQFLTTDDMQS -PSVLPYFHSTQEIFIPGEVKNLIELCQVDTMVPLNNLHINKNKIGMYALPLTRQNTPAAELFAMPVDITS -SPLATTLLGEIASYYTNWTGSIRLSFMFCGSANTSLKLLLAYTPPGVSKPTSRREAMLGTHLVWDVGLQS -TCSLVIPWISASHFRNTTPDTYSKAGYVTCWYQTNFITAPNTPPTADIICLVSACKDFCLRMARDTNQHT -QLGAIEQNPVEQFAEAVLDQVLVVPNTRPSDGLIANSAPALDAAETGHTSSVQPEDLIETRYVIADQTRH -ETSIESFLGRAGCVATISLDINHDDYQKNYKNWAISLQEMSQIRRKFEMFTYVRFDSEITIVPCVAATEG -NLGHIVVQYMFVPPGAPLPVSRTDNTWQSSTNASVFWQVGQTYPRFSIPFSSIASAYYMFYDGYDTDGTD -AVYGVSVTNDMGTICVRIVTDQQQHRVKIDSMVYLKAKHIKAWCPRPPRAVTYNHTYNPNYVRADETATK -VQTRANVTTVGPSDMFIHASEFLYRNYHLTPEKELKEACQIVYTADLVIHRTRDKGDDYIPQCNCTDCCY -YCAHKDRYIPIKVEYHSYYTIQKSDYYPKHIQYDILIGEGPSQPGDCGGKLLCRHGVIGMVTAGGEGHVA -FTDLRKYRMVEAEEQGITDYVKSLGDAFGVGFVDQIKEQINNINPLNKISAKVIKWLIRVISALVIAVRS -QGDPATLSATLLLLGCSNSPWRFLKQKVCTWLGLRYIHKESDGWIKKFTEMCNAARGLEWIGCKISKFID -WLKSMLPQAQNKIKFLHFMKQLQLKEKQIDGLPYATVKQQEDYLKEMEEMLDISNKLLPLYPKENKIIKD -LLKQAKSMTTTSKRVEPVAIMFHGDPGSGKSVCTNILARMITNPSDIYSLPPNPKYFDGYHQQTVVIMDD -VMQNPDGEDMSTFCQMVSSVNYVVPMADLPDKGTLFSSDYVFCSTNQHVLIPPTISTIPALNRRLFFDLT -VKVNPRYQEAGKLNLDCALKPCNHELKVGNARCCPLICGKAITFINRHNNEELTLSQIYNQVVNEHNRRL -NVSKHMEAIFQGPIDMQAPPPPAIVDLLRSTRNEDVINYCKNQNWIIPADISIERELNLVNLSISILANL -ISVIGIIYIIYKLFVSLQGPYSGLPTKKKVIPEKRVVVQGPSTEFGLSLIKHNTCIVETENGKFTGLGIY -DNLLVIPTHADPSRTVKVDGVETEVLDSYDLYNKEGVKLEITVLILSRNEKFRDIRKYIPNSEDDYVNCN -LALVANQDMPQILEVGDVVSYGNILLSGNNTARMLKYEYPTKSGFCGGVLYKVGQVIGIHVGGNGRQGFS -AMLLKRYFNQQQGQIILKKPVKEVDYPSIHTPTKTKLQPSVFHDIFPGVKEPAVLTEKDPRLEVDLNSSL -FSKYAGNVNLEMNEYMIVAASHYASQLETLDISNQQMSIEECVYGTDNLEALDLNTSAGFPYVALGIKKK -DLINRETRDVSKIKNCLDTYGVDLPMITYLKDELRTPEKIKLGKTRVIEASSLNDTIHMRMLFGNLFKAF -HANPGIVTGCAVGCDPETFWSKIPPMLGDGCVMAFDYTNYDGSLHPIWFRLLERVLDRLGFPGCAVRKLS -HSTHIYKGMYYEVDGGMPSGCAGTSIFNSMINNIIIRTIILHAYKNIDLDQLRILTYGDDIIFTYPDKLD -MAYLAQIGEKYGLKMTPADKSDTFKDLDLSTATFLKRGFKPDSKHPFLVHPIYPIQDIYESIRWTKNPRC -LQEHVLSLAHLCWHSGPEQYADFVKRIRSTSVGKNLYIPSYDVLLYEWYEKF diff --git a/seq/clusters_seq/cluster_152 b/seq/clusters_seq/cluster_152 deleted file mode 100644 index dfb1ac2..0000000 --- a/seq/clusters_seq/cluster_152 +++ /dev/null @@ -1,1398 +0,0 @@ ->YP_010086236.1 RNA-dependent RNA polymerase [Groundnut chlorotic fan-spot virus] -MNHNVLSNFVDKNIETLREIKTLELTVNDLMKSFEYYGHKHAVHIFSQIHGLVYKMQAIMQEVDKHAKNY -YRDNYNVDFDKIEKLALELGQLYKDLELKRHDLFGCLITNKLSVTPKQRDFCTIQDGLLDLMKSLESTPG -FDKYKDELNTAIRTMPGLTPDNYVLYSDQKDGRKSLIFYDWKVSVSFTSEIHTAEKYYNSIYMYLEKVNG -GNFLKDNPIYVVLCILLPSENYRIVCTKCRVQGPLETSLYKTTHARLKSAENTNVLSIKRIAQLDIKGLI -DFYASTQMLKDFMLYNFPELIEQSDKNYFQEWVKQWKSKDFDTLTESEDMRSILLSISDDVYTNKECCHL -MFGNFTDHLSTMTVNQISSLFESYKHNMESLGYKPKSDVIKLKEYIDKLYLKKDLKYKNMLDTLQENVVR -EINTRKDIKDIEEAFEMNAKDYENKNPGCFQEDLANTKCNFAFSWSPHREDVVVSDEMDYNNYVINDFRV -AFDRTPSIVINNPYHSAALNRTKVMRIYNLVRCCLNDFSTNTTKCDKSEMEDVANLNTGGIDLERTSEGK -KWHDYNGFLTRNKNEFNVNIKGKEEERRFFYEGLREMKVNMSKTAKNRNRARLKDKIMKIKEREEENSKK -QDDQTSSEESDGEQDISKHYFNQEDINKTVHRKKLIRHNNTTVEAWVDQIKANLFELQLKDKREKGKIST -VYDFYADNPECLFMKSGCIPDEMNLCRSMNSIMKDIGIYSFLEDQMQISKGLMTADRFMSGTDFKFLNCS -NSSLMAIAFKGDGINTGTAGVPFVMLHKVETGALSENFRIGYTKEIYGFFNYKRNTYFLMRPQRLNQVRL -LSLFKSPSKMPPCFATYLSRSEIIKNMVKKGYDKTVLFDEEIKSIIRDVWFPCKAISSITNLSGMIMFDF -MRYAGFLPLADYSNVKEYISEKFDPEITNCVDFHLMIGIKRFLNKMMGMSLSEKARTIVIDQEMDISGGI -KDLDVICPVTNIPLSKYEDLHNNISLGIYMLPKSMHNHEHNMKSLLSVSAEWELKMRKHFNFSLDDEIKP -KEAMFKGKGPFSIDGVASVLSLKEYYKLHVPNVNVVRNNIETKENFLQPCYKTNTLNSSKKCSNPKLMKL -DDIKKHVRIYISDFKALKAEVSNFETLINKMTSLVNFHYIKGVMFSYRFGKSFVEGFDDEIIKILEELNT -KRFSHLWNFNPRKMTRTQHPISVEVFLCLITNTKESQTLKSEEVSECLYQIIKEYHGIVSTSFNSLMQID -PNNGISGKKHTFMELLEYVISSIDQLEKYQLYLVSVFEKHQRTKTDREIYLMGFRCKLMLYFIEHSFKHV -AQSDPSEAISISGDYKIKTLSNLSLTAISSYNEILSRDQGAKLSFVSADQSKWSASDMSYKYILAILMCP -IFTPGERTLMIECFLMYIKHKRVVIPTDIFLGMVMGKEEYGDVMNSLFEATKGLSRNWYPVSMNWLQGNI -NYLSSVYHSCAMLCYKNILKQMEGVEFKIKWMVHSDDNATSMIASGDVKRLLSKFKCESLCEFHFLSMKH -HFPSFSITLNPKKTYAAESQVEFISEKFINGAVIPPYCRNLANCCTEASHQNYYDDLMSLSINITMLARK -GCPNEIIAFAYAAVQIQSLSLYSMLPGETNDIHAILKETNMNLKISPGSYNVPACIGGWMVAPVDMLSVL -GPSCNDELIYYQSCSKVLRTKNFSEFQDKVRNSTVSKSASIARELEVSNDPEMKACIAIINLFRLSTAAD -NSETLEYGTKFQSFSSQIINLPNYINKSLMASMPSFRDFVKEFPNLKENDDLVAALKNVKEDYFDDYSKD -YRSREEMMILYDEILSHPELTLIAPLNDRDYLRSRIMQYSSVAKRNQICNQSTEKLAIDRILRSKAKTFS -IDDFDKKVSYRELIVSHLEKCFKERENLPAILNILVSLISNDVNFQMIVEITDKMIVTNSNPKQNYNFRW -IIPERFTRIFEGSPGLIVMKEFYGVQYLEKLGLTNIPLTENAVSMVAAMFGSSSQIMDIKCVLSDADNYS -SDEFRASNYLKRRVLASNHMISCQNKLMTLNTCCNRKAFPVYSLYNMGRTSTANYTSFLSTVYSREAFVF -FIAIIDWSISVSTRTLKKQTEDVSLSRALDCGMYVTDRLQGIFPNLTFQELRSILSCLNYFSDNLDEYIQ -TACDELQKDLVLIKTTHLLRSKHEMKIRMLSRSIAWLYNMNFIDYRTFSFLYKYGSKADVVYIKAESVDG -EGNYDNDSTYCIATKSDHYYTTLRYSSKQGNRNILKIEVQSIKDMIFEEKITNSAKEDINKLLSKFIRDK -KEVIKLASSSEFVASPYHAYIKLSSRNDLFSTIVDNPCYLTNVKMGCKIEYNKIKKEVWDTFESNDNIRP -RYPKVGEPMTNLYKYVSVPEKTLFDVALKRLVETLNFINHVTGLVKIVKDGSDLTEDDEMMIESLNDSII -ASVNEFMSNPDISKVEELVGKIESELTMLSSMMDESLIIEPEDDVNYRQLYTSKLLNLETAMNVLTFLSK -NDEHALRTPRPTGRSINELIKRENCVIGKYDVLGILRLVKMAEICHNNGAVLSLSFFSQIKNQLFLSPNS -MSIKHDMDLNHSNMKSVSDYKTLSLGDIKLNEVAKKLLREQNFKVETNSILKENPMIAGIEEDPELYNDK -EVYSYICRNAKFKTKKDGHLIPSNTLLLSEILKTIYMTVDGTEEDMEVIIRRMFSDNAEKKGRTSPRLFS -LISFSGLCSVLSKILFKKSKNKDATIMLNLSNSINNLLGILKIEISSNKIYDYDDDEIGDIDIIMTKIFK -AEDVLEMNKFIDYISNKSSIFKDSFMFMDARNKTIDSIITSCKTLMYKQKEIKMGEKTTIRRISKFLGIS - ->YP_010086064.1 L protein [Alstroemeria necrotic streak virus] -MNIQKIKNLIENGTTLLLSIEDCVGANHDLASDLHKRNSDEIPEDVIINNNAKNYETMRELIVKITADGE -GLNKGIATVDIKKLNELVSLFEQKYLETELSRHDIFGELVSRHLRIKPKQRSEVEIEQALREYLEELNKK -QCVNKLSDDEFKKVNKEYVATNATPDNYVIYKESKNSELCLMIYDWKISVDARTETKTMEKYYKNIWKSF -KDIKVNGKPFLEDHPVFVTIVILKPIGGMPITVTSGRVLGKFEDSSSALHGERVKLAKNAKLLNIYHVGQ -IVGTTPTIVRNYYANTQKIKYEVRGILGDDFGSRDVFFSHWTSKYRERNPTEIAYSEDIEKIIESLATEE -IAKEEIIHFLFGNFCFHIETMNDQHIADKFKGYQDACINLKIVPKVDLADLKDHLIQNQKTWDSLYGKHL -EKIMSRVREKKKKEKEIPDITSAFNQNAIEYEEKYPGCFTSDLSETKTNFSMTWSPSFERVELSPEIDYN -NAIIKKFRESFKSTSRIVYNSPYSSVNNQTNKARDITNLVRLCLMELSCDTTGLNKQELEDEIDINTGSI -KTERTKKSKEWYKHGSCLTRNKNEFCMKDTGRENKAIYFKGLAGMNVGMSSKKRVIKKEEMKERISKGLE -YDTSVRQADPNDDYSSVDMSSLTHMKKLIRHDNEDSLSWCEKIKDSLFVLHNGDIREEGKIAAVYNNYAK -NPECLYTQDSVLKTELETCKKINKLCNDLAIYHYSEDMMQFSKGLMVADRYMTKESFKILTTANASMMLL -AFKGDGMNTGGSGVPYIALHMVDEDMSEQFNICYTKEIYSYFHYENKYVYIMRPQRLNQVRLLSLFKSPS -KVPVCFAQFSKKANELEKWLKNKDIEKVTVFSMTMTVKQILINIIFSSVMIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADMYFVNGIKKLLFKMENLNLSTNAKPVVVDHENDIIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFGIFEDIYPKKEMF -DDRDLFSINGALNIKALSDYYIKNIDNVGLMRSEIENKEDFLGPCYRITTLKSSKKCSQSNIISTDEIIE -CLQTAKIQDIENWKGSTLAIIKGLIRTYNEEKNRLIEFFEDNCVNSLYLVEKLKEIIANGSLTIGRSVTS -KFIRNNHPLTVETYLKTKLYYRNNVTILKSKKVSEELYDLVKQFHNMMEVDIDSIMNLGKGLEGKRHTFL -QMLEFVISKAKNVTDSIDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKSPKKSRLAFLSADQSKWSASDLTYKYVLAIMLNPVLTTGEASLMIE -CLLMYVKLKKVCIPTDIFLNLQRSQGTFGQNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAM -EAYHKTLECYKNCDFQTRWIVHSDDNATSLIASGEVDDMLSNFSSTSLPEMLFRSIEAHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHVTMLLRKGCPNEVIPFAYG -AIQVQALSIYSMLPGEVNDSIRIFQKLGISLKSNEIPTNMGGWLTSPIEPLSILGPSSNDQIIYYNVIRD -FLNKKSLADVKDSVSSLDYLQMRFRELKERHEKGTLEEKDKKMIFLINLFEKASVSEDSDVLTIGMKFQT -MLTQIIKLPQFINENALNKMSSYKDFAKLYPNLKKNEDLYKSTKNIKINEDSILEEDELYEKISSSLEME -SVHEIMIKNPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSSQSTEKLALDRVLRSKAKTFIDIDSHLKM -TYEENMEKKALEMLEFDPDSYCSFKTCVNLVVKDVNFSMLTPILDSAYPCESRKRDNYNFRWFQTEKWIP -VVEGSPGLVXMHAVYGSNYIENLXLKNIPLTDDSINVLTSTFGTGLVMEDVQSFVSGKSSFETEAFTNAN -NCQRLVKSCNYMITAQNRLLAINTCFSRKSFPFYSKFNLGRGFISNTLALLSTIYSKEESYHFVSTASXK -LDKTIRTVMNAQQDMNLEKILDTAVYISDKLQSLFXTITREDIALILQNVCLDSKPIWQSLDEKMKKINN -STRHGFTVSNVILSHNSELNTIQKQIVWMWNMGLCSDRTLDFIIRYIRRSDVRYVKTEEQDELGNYVSGT -MYKIGIMTRSCYVQLIASDQDIAVSLRTPFEILNERDFLFDTYRESIEKLLQKFMFDKVNIIKSKQTQIV -FLEPGDACIRMTTDNKMIVKVNATPRQIRLENVKLVVKIKYENINSDVWDIIESQKALVLRLPEVGECFS -DMYKTVDSETEAIKTIKSSLMTSLTFIETFGNLSRQINEIVDDTIRETMHDFLMNIRDTCLEGLENCRSM -EEYDSFLDDNGFDDTVELFEDLLRTQDSFENEYSSLFSEIVNKAKKYTKDLEGFKEILLMLKYSLINDAS -GFKSYRATGANAVELTAKKHIEVGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYATFGRKIRL -DHDLDLQNNLMEKSYDFKTLVLPEIKLSDLSKEILKENGFIISGENLKIDKSTDEFEGLANFNMLRLDEE -EMYEGLIKEMKIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFMPDIFSTDRLGRLSSS -VPALRVYSTVYMEYRNVNCPLNEIADSLEGYLKLTKSKAKEQFLEGRIKKALIQLRDEQSRSKKLGVYKD -IAGFLSRHPLCLSEKTLYSRYTYHDINDYIMQTREIILNKISELDDIEEADEDNFLLNYLKGEEDAFDEE -EETD - ->YP_010086214.1 RNA-dependent RNA polymerase [Tomato yellow ring virus] -MNLQNVHSFLDITGQITVFLNDLREKLMILSNVIQTKYKDGSDAVSSGLKAIMSMSCVIDEIVDMKATYY -QSTMSIDQKEMEKKIFIFIDKYKELELMRHDLFGVLASSKLHFAPKHRHDVVMKDCILSYLEYCSVKQDI -SNKITDLDSITSQLVFQHQTPDNYVIYKETTGEKACLMIYDWKVSVDTMTENKTSENYYTGIWKTFKDIK -IDGEPFLSKFPIFVTIVVLQPMNFMPIVATTCRVLEEMRNSPYRTFVDRRNAALRTKLISSRDLRDLGGH -DGSKLVGFYSECQAFKNLLMSNIGDYMNRTDEVFFSNWSFEYKDTTLKDNLMSQDVVEIIKSLPNDTIKN -DLMIHFIFGNYVFYYKTMSDLHRKDKFEGYKSNCKLLKVKPKKTEEELKVYLEDNEVLFESLYSKHMDKI -KTDMIMKKSQEKEIKSIEESFNINAENYQAEYPGCFTNDLQQTKTNFSVCWSPSTEKLHVGEMNFNNSII -ENFRKCFDDEETLIHNKSYGGKNGQGEFSNTLFRLVKACIKSLSCDTTGQSKIRAEDVVDIKDGSIKISR -ESKEKSWKEIGNIKTRNGNEFTIGEKANKEVKSDFFKGLTIMNIDMGKRKKNDHKLKLMEKLKESRVANE -ESQMKEGEYDVSSNMEVINMPLGSISHNKKLIRHDNPDVKYWCNSMIQSMYALHGFDTRGKESGKINSVY -SEYCNDPGKVFSKGKLIETEMNISRNLHKVSQSLAVYSYSEDMMQIAKGLMVADRFMRKTDFKILTCANT -SMICLAFKGDGLNTGKSGVPYITLHKISELLQPYFVSLYTKELVVSFKSGDHYVNIMRPQRLNQVRLLSL -FKAPSKVPICFSQYCLLSTEVKRWISKKTIDLLECPSNLLPFLKNILFSSVVIGTVTKLSRMGIFDFMRH -AGFLPLSDYSNIKEYIAEKFDPDITNVVDCYFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGINDL -NIICPITGSTLRTIECLYNNVYLAIYMMPKSLHTHIHNLTSLLNVPAEWEVKFRTKMGFGLDEEIVPKKE -MFNDSGPFSIDGSLNVKTLFDYYLKTVDNVSSTRSNIESKEDFLSTPYKIKTLTSSKKCSKAEIIKNSEI -KQCLSNCLGRDPEKINGKDEHILKGVLKCFVEDKDALRNFMTLEDLDESEYFHYFTMLTSGENKTLIKTS -YDKFYSNSHPTTVETFIKVRYGHMSTTTVLKSKKVSEELYDLIKEYNKITNLDLDALENLGRGLSGSKMT -FMQLLEFVLLKTRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHVAQSDPSEAISI -SGDYKIKTLASLSYDTITNYNTALQKGLECKMAFLSADQSKWSASDLTYKYILAVLMNPVLTTGEINMMC -ECILMYVKLKRVCIPTDVFLNLKRGQATYGSYGTAISSLTNNLETNTFPVSMNWLQGNLNYLSSVYHSCA -MLGYEKALKTNQDFEFTVRWMVHSDDNATSVVVKGDMERFLSKFNCSNLSEFLFRSIQSHFKSYCITLNP -KKSYASESEVEFISERIINGAVIPLYCRNLANCCTESSHNSYFDDLMSLSIHITMLLRKGCPNELITFAY -SAIQCQALSIYSMLPGEENDIVSIGKEVGFPLTKEEIPTCAGGWMRAPVEMLSILGPSSNDQYIYYKILL -EFFKQKDFSSLKAQVNSLGYVPLRINELNKRIARDALTKEDVKMICMVNLFKTSLMSEDSDSLSIGMKFQ -TMMTQIIKLPSYVSESSLMKNSSFQDFCKLFPNLKKNSDLLKSLKKNQIDEDGIDDLAEDDSMLSRIQME -ELYKHMSKHPEALLIAPMNDKDYILTNLYMYSSISKRNQMSNQSTEKLALDRILRSKAKTFVDPNSKQMM -TYKENMLSKLKEIMDKSANDYKIISTISDMMVKDMNFEMIISLMENSVANASIPKANYNFRWFVTEKVPS -IIEGSPGLIVMSAIYGMEYLVELGLKRLPLTENSICILHDVFGNRKTFDDVKNYLTSKNSEMKTEEFILA -DDLKRGVLSLNYMIQSQNKLLSLNTCFSRKNFPFYSKYNLGKTFITNTLALWSTIYSRTTNINFYTNLNF -VIDRNSRMIVSLQRDMSLEKLLDCCAYVSDRVQSLFPDMEIEDIRNILSKLNFNSINLLQKATSELKSVK -RAISQIKTASHVTLSYRPQLMAMSKYAAWLYNFGYINEREFKFVIEQVRQSEVNYIKTDEQDTRGFYVSG -ISYKIGIKTLHNYAQLEMTNRDIAIHLNSPYEFIREEDNRMWDTHVKSVYKLLQKLLIDKQSVLKTFLNM -KVDVMPNEFCIHESSQKNLLIYINETNRVVTLDKVKFKGKVKYNFSNNFTWSLMENNYNYMLRKAETGEC -FSELYKTIDSGSNLIENILKNLKSSLIFNSEMENMLSKAVEGIEDEENKDIFVDSLKQIYDIAYKGLKEC -KSSEEFETYLKENEFENLVEMQKEMLEVICQDLSDTDSRIQSVVDKLRVWSNNLSNFGDLCVMLKFSMVN -DSKGIRTYKANGIDFHSLSASESIICSDYDVFEMLKLIKACEACHTSNSTLNLIAFRDIKNNKYVNPYRR -RFGGSVYFYYPLKLNNDVMSKFYEHKTISLSDVEITESVRDILKQNGFTVTGSNVKLESDMLELNPVLVT -DEHSTFDTVSRQMRLTKKKSSYLVPANTLLLGELMKFLMLCIKGNEYDVQKMLSAHFDFTIERDDRMDSL -IKSIMTLRASGYVQRYFTNTKEEEILLGAAASLENFMILSTPCGEFSEPFDVQKLIKNSLSEKNKDKKLK -ILLRIRSYISSKLDYLNDQCIYKNTTSLEISDLIFSSINHIDREISELRSFDKTVYHDEFNEYLKDTEEA -NSD - ->YP_010085061.1 RNA-dependent RNA polymerase [Soybean vein necrosis virus] -MNDQTIITLVNFNNEILEKIKICRDRLNTYFESIKEPSKALDDLVGEALKRYKRMTDLIEKICEETEGLF -EGTIYNHVKVEEYFNELIMNYIESELSRHDLFGKIVRNHLHFTPRDRDSCEMQDLLLEYLEQLTGKTSIN -NGFSSRNEFDRMVSELKFKSATPDNYCIAKDTKDGSLQLFIYDWKVSVDTQTEIKTMNNYYDNVYNTFKE -ATIDGQNFLKTCPIYVCIVVLKPLGVMPIVPTIMRVDGPFDKNVGKYFYSRKKVAEQSNICTMRQISQCK -TGDGKSLREFFNSTQALKDVFFHKFSQEISSRDTHFSHWTKEYQNKTYSQNAFSKDIKTMIESMCNEEFN -IKEIADMVGGSYTYFLSTMNEIHAKDKYSGYVDFCKLLGVAPRSSQESLMTSLQMKEEKWESTFETHLEK -VKKRVKDSLQNEPVIESIDHSFEINARDYEEKYPGCFTSDLSQTKTNFSIPWCPSSEMEFKEGDFNNEII -SSFRKDLQHRGKFVHHKPYISGNFDMNMNESIKELVLSCLLELSFDTSKLESESFEDVIDLNTGAIKVDR -TSSSKQWIEREGKLTRNKNEFSSSNSSVEVKNKFTKGLKFMGVNYSKAKKVSKANEIKEKVKEGEYDVSR -QIYKIDNLGRYTHNKKLIKFNDQEAISWTESISKSMYAMMCSDFRNPTKTKMVFDHYCQQPEELYLYPDL -VKTEMDTSKKLNILADKIGIYSYCDDMMQIAKGLKIADRFMGSSDFKIQTTSNTNIICLAFKGDGMNTGT -AGVPYIILCRTDELLHKHFVQCYTKETMGYFRVPSGYIYIMKPQRLNQVRLLSLFKSPSKVPILFNQYCL -KSREIRDWLINTDEYEINLFTCPGLIKSSLKNVMFSSVIIGTVTKLSRMGIFDFMRYAGFLPLSDYSKIK -KYISEKFDPDITNVVDMFFVDGIRDLLVRMEDLNLSKKAVPITIDQENDMSGGIGELNIKCPVTKTTLRT -LEDLYNNVYLAIYMMPKSLHTHVHNLTSLLNVSAEYELKFREKQGFNVDDEVVPDKKMFNDSGKFSIDGM -LNIASLFGYYRENIKNVAMMRSSIEAKEGFLGLPYKISTLKSSKKCSKATILTNSEVVETLKDVSVGDLD -SLEGMKLYLMKGLVRSYKEDSASCTTYLKRILDEPSRVIDLVHRCTAGDLFPKYKTSFQKFYQTSHPATV -EVYLKSKFSENNMTVLKSKKVSEELYDIIKEYNKIHDVSIEELDRLGRGNEGSKSSFMSLLEYTVSKIIH -NCSTQEFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQNDPNEAISISGDYKIRTLASLSL -DTITSYKTALMDDENSKIAFLSSDQSKWSASDLTYKYILAVMMNPVLTTGEANLMVECLSLYIKMKKVCI -PTDIFLNLRKSQDQYCLNTTPLGLLTKGLSTNCYPVTMNWLQGNLNYLSSVYHSCAMYGYKQMLSKIKDA -SFQTRWMVHSDDNATSLVASGDIENVMSDFSCETFPQFLFETVTAHFTSYSITPSKKSYCSESEVEFISE -RIVNGAVIPLYCRHLANLCTESSHLSYFDDLMSLSTHLTMLLRKGCPNELIPASYASVQMQASGIYSMLP -GEVNNIHTISESVQFPLTKKEIPTCMGGWLSLKVEYMASLGPSANDEMIYYNVLKSFFGSSDFKSFHADI -IEGNKLDRIAKEFDTRVKRGNTNGLDEKLIALINIFNSCLQTEDVDSLEIGMKFQSMVGQIIKLPQYVNE -NAISNYSSYKDFCKLYPNLKKNEWLLQSTKMIESDELNLDVTREELARMDLGSMVTNMIDRPETFLIAPI -CDRDFLYNQIFIYSSISKRNQLSTQATEKLALDRILRSKAKSFISAVDKTKKTYSEILKEKMALSLTSGF -DSARSIRFLADIISKDLNFSIVESIASKLTPTNSTPKSNFNFRFCMTEKLPPIIEGSPGLLIINHFYGSE -YLESLGLKNMPLTSDSINLMITTYGKADTINDVMKFVQSRSLDWIYKTEEFKKQDLIGITLLCVNSMTVC -QNKLMKLSGCLNRKNFPFYAKFNLGRTFVSNILSLISTIYSRENTIYFYASISLNIHRGDRLLLNMDRDL -SLEKMIDLMVYVSDKLQAIFPDITIDQMKEVMKMLTHNGFVLNDRLEANLVKINTELETFKTRNNTRLTF -HTLLVSMSKHAPWLFNMGYIKQPVFEFVLDSTRNYEVTYIKSEKQDSKGNYIADDVYKIGMITESCYSQL -VMDGNRIDIAIWSPYEYYNENEGIYVHYRIVAEKILSKLIVDKTESLKSLYSQSVVLETGGACISASSRG -KLYAKFNNTSRSLTVNDVKSMVHVKFYQIDFKTWDLQKIQTSYKLRQPYPRECFSNVYKELETDESSTEI -MLKRFQSGLPLMAELRDLSRIIYEIEDEDYRDALMGYLERLEHFCLEGMRKCKGVDEYEQYLKSHGLNEM -DDFCYQMAMDAGEEFAERLERIHGIYQNFHEGLGKFKGACIYFKYSLINDSRGLRIGKPSGHGMNGLSCI -KPIISENYNLLELLKLIKACETCHNNDSTLNLKIFKGIQNRNFYAKPAKLDLGLALDLNNDVMLNSYDYK -TLVLGDLKLDERAIRLLTENGFDIAGEVMKIKVEDNLAEFTKGKEKKMEIGESKESELYDEIIRKTNIVK -KKPGHLIPSNTLLMGEFIKFIMKSVNGNTYDLMDLLRTGFDPSETDLDRVTIIKKNISSLTTAGRILKEI -KKEDNPLEEIAECFECFLKITKGVTVQREVFTNVRSLMNAISGLESKTEKIKTLTNLIDYLNLNPVCLND -SCLYGVYTAENLQIYMSKAKEVIISKINKLSDTYDPNPENELRELLSGKAKEVLNDARSSGFDYSTQKNN -PAEIEAGKFTESETESDEDEEEGGVVQLETSSSGSKAKWSKPKKKKKPKAKPKKSKKKHNK - ->YP_009553292.1 RNA-dependent RNA polymerase [Alstroemeria yellow spot virus] -MNLQNVHSFLEITGPITVSLSDIREKLILLSNIIQRKYRDGSEAISSGLKAIMSMSCVIDEIVDMKATYY -QSTMSIDQKEMEKKIFIFLDKYKELELMRHDLFGVLASSKLHFAPKHRHDVVMKDCILNYLEYCSKKEDI -SNKIDNLDELVSQLVFQHQTPDNYVIYKETTGEKACLMIYDWKVSVDTMTENKTSENYYTGIWKTFKDIK -VNGEPFLKRYPIFVTIVILQPMNYMPIVATTCRVIEEMRNSPYRTFVDRRNAASRTKLISVKNLRELAGQ -DGPSFTNFYSECQAFKNLLMSKISDYMNRTDEVFFSNWSFEYKNTTLKDNLMSQDIIKIIKSLPNDVISK -ELMVHFIFGNYVFHSKTMSDLHRKDKFDGYKTNCKLMWIKPKETEESLKVYLENNEHLFESLYAKHMEKI -KTDMISKKSQEKEIKSIEESFNINATNYQLEYPGCFTNDLQETKTNFSVCWSPSTEKLDIDGMNFNNSIV -ENFRTCFDDEEVLIHNKSYGGKSSQGDFSGTLFRLVKTCLKDLSCDTTGHNKIRAEDVIDIKDGSIKISR -ASKEKCWVDIGKVKTRNGNEFTLGDGPSKETRSRFFKGLTLMNIDMGKKRKNEHKLKLMEKLKMSRTANE -ETMLKEGEYDVSSSTEIVDEPVGSISHNKKLIRHDNPDVKYWCNSMIQSMYALNGFDIREKETGKINSVY -SEYCNDPKKYFTKGKLIETEMNVSINLHKLSQSLAIYSYSEDMMQLAKGLMVADRFMRKTDFKILTCANT -SMLCLAFKGDGLNTGKSGVPYITLHRVPELLQPHFASLYTKELVVSFKHGDYFINIMRPQRLNQVRLLSL -FKAPSKVPICFAQYCLLSSEVKKWLSKKSIDILECPSNVVSYLKNILFSSVVIGTVTKLSRMGIFDFMRY -AGFLPLSDYSNIKEYIAEKFDPDITNVVDCYFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGIGDL -NIICPITGSTLKTIECLYNNVYLAIYMMPKSLHTHIHNLTSLLNVPAEWEVKFREKMGFGLDEEITPKKE -MFNDSGPFSIDGALNVKTLFDYYIKTVDNVSSTRSNIESKEDFLSTPYKIKTLTSSKKCSKAEIIKNSEI -KSCLSNCLGKDPEKINGKDEYVLKGVLKCFVEDKDALRNFMTLEELEESDYFHYFNSLTSGENKTLMKTS -YDKFYQNSHPTTVETYIKVRYGHSSTTTVLKSKKVSEELYDLIKEYNKITTLDLDALENLGRGLSGSKMT -FIQLLEFVLMKTRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISI -SGDYKIKTLASLSYDTITNYNTTLQKGLECKMAFLSADQSKWSASDLTYKYILAVLMNPVLTTGEINMMC -ECILMYVKLKRVCIPTDVFLNLKRGQSTYGSYGTAISALTNNLETNTFPVSMNWLQGNLNYLSSVYHSCA -MLGYEKALKTNDDFEFTVRWMVHSDDNATSVVVKGDISNFLTGFNSANLSEFLFRSIQSHFKSYCITLNP -KKSYASESEVEFISERIINGAVIPLYCRHLANCCTESSHNSYFDDLMSLSIHVTMLLRKGCPNELITFAY -SAIQCQALSIYSMLPGEENDVMAISKEIDFPLLKEEIPTCAGGWMRAPVEMLSILGPSSNDQYIYYKVLL -EFFKQKDFSTLKTQVNSLGFVTLRINELNKRVINNQVTKEDIKMICLVNLFKTSLMSEDSDSLNIGIKFQ -TMMTQIIKLPSYVSESSLMKNSSFQDFCKLFPNLKKNSDLLKSLKRVQVDEDDINETLEDDYMVSKVQME -ELYKHMSKHPEALLIAPMNDKDYILTNLYTYSSISKRNQMSNQSTEKLALDRILRSKAKTFIDPNSKQMM -TYKENMSSKLKEVMDKSKNDYKIIYTMADMITKDMNFEMIISLMENSVANASIPKANYNFRWFITEKVPS -IIEGSPGLIVMSAIYGMEYLVDLGLKRLPLTENSICILHDIFGNRKTFDDVKKYLTPDGTELKTEEFILA -DDLKRKVLSINYMIQSQNKLLSLNTCFSRKNFPFYSKYNLGKTFITNVLALWSTIYSRSTNINFYTNLNF -VIDRNSRMIVSLQRDTSLEKLLDCCSYVSDRIQSLFPDMRIEDIRNILSKLNFNSINLLQRVNSELKTVK -RAISQIRTASHVVLSYRPQLMAMSKYAAWLYNFGYIDEREFKFVVEQLRQSEVNYIKTDEQDTRGYYVSG -ISYKIGIKTIHNYAQLEMKNGDIAIHLNSPYEFIREEDNRMWDTHVKSIYKLLQKMLIDKQSALKTYINM -KTDIMPNEFCIHESSQKNLLIYINETNRVVTLDRVKFKGKVKYNFASNFTWSLMENNYNYMLRRAGTGEC -YSELYKTVDSSSSLMEKILENLKTSLTYNSEMEETIFDAVEGIENEENKAFFFDSLNQIYDLAHKGLREC -KSSEEFEVYLKKNEFDDLINTHTEMLELICQELTDTEARIQSVVSKLRYWSESLSNFGNLCVMLKFAMVN -DSRGIKTYKANGADFHSLSSSESIICSDYDVFEMLKLIKACEACHTSNSTLNLIAFRDIRNSKYIPPYRR -RFGGSVYFYYPLRLNNDVMSRLYEHKTISLSDIEITDSVREILRQNGFTVTGSDVKLGTDMLELNPVTIT -DEHSTFDSVSRQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGNEYDVQKMLSAHFDFSIERDDRMESL -IKSIMTLRASGYVQRHFMNSKEEEILLGVATSLENFLILSTPHGDFIEPFDVQMLIKSVFSEKNKNKKLK -VLLRIRSYISAKLDYLNDQCIYKNTTNIEINDLVFSSINHIDKEISELKTFDKTVYHDEFNDYLRTAEEA -HSD - ->YP_009666322.1 L protein [Groundnut ringspot virus] -MNIQKIRKLIENGTTLLLSIEDCVGSNHDLALDLHKRNSDEIPEDVIINNNAKNYETMRELIVKISTDGE -GLNTGIATVDVKKLNELVSLFEQKYLETELSRHDLFGELVSRHLRIKPKQRNEVEIELALRDYLEELNKK -QCMNSLSNDEFERINREYVATNATPDNYVIYKESKNSELCLMIYDWKISVDAKTETKTMEKYYKNIWKSF -KDIKVNGKPFLEDHPVFITIVILKPMGGMPITVTSSRVLGKFEDSPSALHGERSKLAKNAKLLNIYHVGM -IVGTTPTIVRNYYANTQKLKSEVRGILGDDFGSKDVFFSHWASKYKDRNPTEIAYSEDIERIIESLTTEE -ITKDEIVHFLFGNFCYHIETMNDQHIADRFKGYQDACLNLKVVPKTDLSDLKDHLIQTQSVWESLYGKHL -DKILQRIKKKKSKEREIPDITTAFNQNAVEYEEKYPNCFTNDLSETKTNFSMTWSPSFEKVELNSSIDYN -NAIIKKFRDSFRTTSRITYNSPYSSVHNQTNKARDVTNLVKLCLTELSCDTTKFNKQELEDEIDINTGSI -KVERTKKSQEWCKHGSCLTRNKNEFCMKDTGKENKAVYFKGLAVMNIGMSSKKRILKKEEIKERISKGLE -YDTSVRQTDPNDDYTSVDMASLTHMKKLIRHDNEESLSWCDKIKDSLFVLHNGDIREEGKIASVYNNYAK -NPECLYTQDSVLKTEMETCKKINKLCNDLAIYHYSEDMMQFAKGLMVADRYMTKESFKILTTANTSMILL -AFKGDGMNTGGSGVPYIALHIVDEDMSEQFNICYTKEIYSYFRSGSNYVYIMRPQRLNQVRLLSLFKSPS -KVPVCFAQFSKKASELEKWLRNKDTEKVNVFSMTVTVKQILINIVFSSVMIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADIYFVNGIKKLLFRMEDLNLSTNAKPVVVDHENDVIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFTIYEDIYPKKDMF -DDKDLFSINGLLNVKALSDYYIENVENVGLMRSEIENKEDFLSPCYKITTLKSSKKCSQSNIINTDDIIE -CLQTVKVKDIENWKGNTLAIIKGLIRTYNEEKNRLIEFLEDNCVNSLYLLEKLKEIISSGSVTVGKSMTS -KFIRNNHPLTVETYLKTKLYYRNNVTVLKSKKVSEELYDLVKQFHNMMEIDIDSVMNLGKGLEGKKHTFL -QMLEFVITKAKNVTGSVDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKSSRKSRLAFLSADQSKWSASDLTYKYILAILLNPVLTTGEASLMVE -CLLMYVKLKKVCIPTDIFLNLKKSQSTFGQNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAM -KAYHKTLECYKGCDFQTRWIVHSDDNATSLIANGEVDKMLLDFSSSSLPEMLFRSIEAHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHVTMLLRKGCPNEVIPFAYG -AVQVQALSIYSMLPGEVNDSIRIFQKLGVSLMPNEIPTNMGGWLTAPIEPLSILGPSSNDQIIYYNVIRD -FLNKKSLEEVKNSVSTLGYLQMRFKELEEKHKKGTLDIKDKKMIFLINLFEKASVSEDSDVLTIGMKFQT -MLTQIIKLPQFINENALNKMSSYKDFSKLYPNLKKNEDLYKSTKNIKFNEDSLLEEDELYEKVASSAEME -SVHNIMIQNPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSNQSTEKLALDRVLRSKARTFVDIDSHVKM -TYEENMEKKILEMQKFDPGSYCSFKTCINLVIKDVNFSMLTPILDAAYPCESRKRDNYNFRWFQTEKWIP -VVEGSPGLVVMHAIYGSNYIENLGLKNIPLTDDSINVLTSTFGTNLLMDDVKSFVSGSSSFETEAFINAN -NCQRLVKACNYMITAQNRLLAINTCFSRKSFPFYSKFNLGRGFISNTLALLSTIYSKEESYHFVSTANYK -LDKTIRTVLNAQQDMNLEKILDTAVYISDKLQSLFPTITREDISLILQNICLDSKPIWESLEEKMRKINN -STGSGFTVSNVILSHNSELNTIQKQIVWLWNMGLCSNRTLDFVIRYIRRSDVRYVKTEEQDELGNYISGT -IYKIGIMTRSCYVQLIASDHDVAVTLRTPFEILNERDYLYDTYRESIEKLLQKFMFDKINIIKSKQPQIV -FLEPGEACLRMTTDNKMIVKVNATPKQIRLENVKLVIKIKYENVNSDVWDIIESQKALVLREPEVGECFS -DMYKTVDSEAEAIKVIKHGLMNSLTFIETFGNLSKQIDGIEDETVRETMHDFLMNIKGTCLEGLENCKSI -EEYDIFLAENGFDDTVELFEDLLKTQDSFENEYSPLFSEIVDRAKQYTRDLEGFKEILLMLKYSLINDAS -GFKSYRATGAHAVELMAKKHIEIGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYATLGRRINL -NHELDLQNDLMEKSYDFKTLVLPDIKLSDMSREILKDNGFIISGENLKIDKSGEEFEGLANFNMLRLDEE -EMYEGLIKEMKIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFRPDTYSTDRLGRLSSS -VPALKVYATVYMEYKNTSCPLNEIADSLEGFLKLTKSKAKEQFLDGRVKKALVQLRDEQSRSKKLEVYRN -IADFLSRHPLCLSEKTLYGRYTYHDINDYIMQTREIILSKINELDDYVEMDDDDFLLGYLKGEEDAYDED -EESD - ->YP_009513000.1 viral polymerase [Polygonum ringspot orthotospovirus] -MNLQNVHSFLEITGQITVFLNDLREKLMVLSNVIQKKYKDGSAPISSGLKAIMSMSCTIDEIADMKATYY -QSTMSIDQKEMEKKIFIFIDRYKELELMRHDLFGVLASSKLHFAPKHRHDVVMKDCILSYLEYCSEKEDI -SNRIEDLDGLTSQLVFQHQTPDNYVIYKETTGEKACLMIYDWKVSVDTMTENKTSENYYTSIWKTFKDVK -VDGEPFLSKFPIFVTIVVLQPMNFMPIVATTCRVLEEMRNSPYRTFVDRRNAASRAKLISSRNLKDLAGQ -DGSKFISFYSECQAFKNLLMTNIGDYMNRTDEVFFSHWSHEYKETSLKDNLMSRDVVEIINSLANDTIKK -ELIVNFIFGNYVFHSKTMSDLHRKDKFEGYKSNCKLLKIKPKKTEEDLKVYLENNEAGFALFESLYSKHM -DKIKTDMLLKKSQEKEIKSIEDSFNINAENYQAEYPGCFTNDLQETKTNFSVCWSPSVEKISVKEMNFNN -SIVENFRQCFDGEETLIHNKSYGGKFSQGEFSNTLFRLVKACLKDLSCDTTGQNKIVAEDVVDIKDGSIK -ISRESKEKSWKEIGDIKTRNGNEFTISERTSKETRSSFFKGLTLMNINMGKKRKNDHKLELIEKLKQSRV -VNEELSLKEGEYDVSSSPEVVNIAVGSVSHNKKLIRHDNPDVQYWCDSMIQSMYALHGFDTREKDSGKIN -AVYSEYCNEPEKFFSKGKLIESEINISKNLHKVSQSLAVYSYSEDMMQLAKGLMVADRFMRKTDFKILTC -ANTSMVCLAFKGDGLNTGKSGVPCITVHKVSELLQPYFASLYTKELVVSFKSGDFYINIMRPQRLNQVRL -LSLFKAPSKVPVCFSQYCLLSTEVKRWISRKDIDLLDCPSNLLPFLKNILFSSVVIGTVTKLSRMGIFDF -MRYAGFLPLSDYSNIKEYIAEKFDPDITNVVDCYFVTGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGI -NDLNIICPITGSTLKTIECLYNNVYLAIYMMPKSLHTHIHNLTSLLNVPAEWEVKFRTKMGFDLNEEIVP -KKEMFNDSGPFSIDGALNVKTLFDYYLKTVDNVGSTRSNIESKEEFLSTPYKIKTLTSSKKCSKAEIIKN -SEIKQCLSNCLGRDPETISGKDEYVLKGVLKCFVEDKDALRNFMTLEEMDESDYFHFFTRLTTGENKAVM -KTSFDKFYYNSHPTTVETFIKVRYGHVSTTTVLKSKKVSEELYDLIKEYNKIIELDLEALENLGRGLSGS -KMTFMQLLEFVLMRTRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMRIKMMLYFIEHTFKHIAQSDPSEA -ISISGDYKIKTLASLSYDTITNYNTSLQKGLECKMAFLSADQSKWSASDLTYKYILAVLMNPVLTTGEIN -MMCECILMYVKLKRVCIPTDVFLNLKRGQTTYGSHGTAISALTENLETNTFPVSMNWLQGNLNYLSSVYH -SCAMLGFEKALKTNSDFEFTVRWMVHSDDNATSVVVKGDMKKFLSKFNCENLSEFLFRSIQSHFKSYCIT -LNPKKSYASESEVEFISERIINGAVIPLYCRHLANCCTESSHNSYFDDLMSLSIHITMLLRKGCPNELIT -FAYSAIQCQALSIYSMLPGEENDIIAIGKQVGFPLAKEEIPTCAGGWMRAPVEMLSILGPSSNDQYIYYK -ILIEFFKQKDFTSLKTQVNSLGYVSQRINDLNKRIAKDTLTKEDIKMICMVNLFKTSLISEDSDSLSIGM -KFQTMMTQIIKLPSYVSEGSLMKNSSFQDFCKLFPNLKKNFDLLDSLKPIQIDEDGAGNFSDDNSMLSRI -QMEELYKHMSKHPEALLIAPMNDKDYILANLYTYSSISKRNQMSNQSTEKLALDRILRSKAKTFVDPNSK -VMMTYRENMSSKLKEIMDKSSNDYKIITTISDMMVKDMNFEMIISLMENSVANASIPKANYNFRWFVTEK -VPSAIEGSPGLIVMSAIYGMEYLVELGLKKLPLTENSICILHDIFGNRKTFDDVKKHLTSKETDMKTDEF -MLADNLKRTVLSLNYMIQSQNKLLSLNTCFSRKNFPFYSKYNLGKTFITNTLALWSTIYSRTTNINFYTN -LNFIIDRNSRMIVSLQRDMSLEKLLDCCSYVSDRLQSLFPDMKIEDIRSILSKLNFNSVNLLQKVTSEMK -SVKRAISQIKTASHVTLSYRPQLMAMSRYAAWLYNFGYINEREFKFVIEQVRQSEVNYIKTDEQDTRGYY -VSGISYKIGIKTLHNYAQLEMTNRDIAIQLNSPYEFIRGEDNRMWDTHVKSVYKLLQKLLIDKQSVLKTF -LNMKVDVMPNEFCIHESSQKTLLIYINETNRVVTLDRVKFKGKVKYNYSNEFTWSLMENNYNYMLRKAEA -GECFSELYKTIDSNSSLMENILANLKSSLIYNSDMENMVQNAVEGIDDEENKDIFINSLNQIYDLAYKGL -KECKSSEEFETYLRDNEFDDLVNTHKEMLELICQELSDTDTRIQSVVDKLRVWSNSLSNFGDLCVMLKFS -MINDSKGIRTYKATGVDFHSLSASESMICSDYDIFEMLKLIKACEACHTSNSTLNLIAFRDIKNTRYIPP -HKRLYGGVVHFSYPLKLNNDVMSRFYEHKTISLNDIEITESVREILKQNGFTITGSNVKLEPDMLELNPI -TVTDEHSTFDSVSRQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGNEYDVQKMLSAHFDFSIERDDRI -DSLIKCIMTLKSSGYVQKYFSNDKEEEILLGVATSLENFMILSTPHGKFVEPFDAQKLIKSALAEKDKDM -KLKVLLRIRSYISSKLDYLNEQCIYKNTTNIEISDLVFSSINHIDKEISELRTFDKTVYHDEFNEYLKTA -EGTSSD - ->YP_009505544.1 L protein [Watermelon bud necrosis virus] -MNIQTINSFLDASGETTAYLQRIKDEIMLMSNVIEQGYSEGKGVIESGLSSIMSMNYILDKIEDMKSKYH -QSSSSIDQREMETEIYLFLDKYKELELMRHDLFGVLAGRRLHFVPKHRSDVFMKDCLMSYIEFCSASVSI -SNKIDNLDSLKEKLIFQHLTPDNYTIYKETKGEKACLMIYDWKVSVDAVSEGKTSENYYTSVWKTFKDIT -IDEEPFLERHPIFITIVVLNPSGNMPIVATTCRVVQEFRNSPYKTFNDRKRAAEAAKLISVNSLGQLIGL -GADCFRRFYSETQSFKNSLLSKVGKYMNRSSEVFFSHWSCEYRKTNLSNNQISQDIIDLINSLPNDVVGK -EILVHFLFGNYLYFKETMSELHLKDRFEGYRSHCKMMRIRPLENEEKLKDYLDRNEHIFESLYETHLKKI -KSDVLLRKSKEIEIESIERAFNINAEEYQKEYPGCFTNDLQETKTNFSICWSPMTEELKMNDLNYNNAII -QSFRDALSEQPKLIHHKSYGGAKVDTYFSTTLFNLVRACLVDLSTDTTGHSKATLEDVVDIKDGSIQVKR -TEASQRWREIGNVKTRNGNEFTLSPQADTEARKNFFRGLNLMYVDMGKKKKIDIKNELKSKIQESMAQQK -NENMDGPSGEYDVSKANIPHQTSLKGITHNKKLIRHDNPDVKYHCESMIESMYVLHGMDERKDKNSKINS -VYNEYCSNPSSLFTKGNLIETEMTIAKNIHEVAKELSIYTYSEDMMQMAKGLMVADRFMKKTDFKILTCA -NTSMICLAFKGDGINTGKSGVPYITIHKVDKSIQPHFVSLYTKELIVSFKSENYFINIMRPQRLNQVRLL -SLFKAPSKVPILFSQYSLLSTEIKKWLNQQSIDVFTCPENKIQYLQKILFSSVIIGTVTKLSRMGIFDFM -RYARFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGIS -DLDIICPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWEIKFREKMGFMIGDEIKPK -KEMFNDSGPFSINGVLNVKTLFDYYKKNITNVGACRSNIEEKEDFLSAPYKIKTLTSSKKCSKADIIKST -EIVAALKSSYGKQPDDIKGSDLYILKGVLKCFDEDRDSLTNFLEVEGLEESKYLHFFTNMMSGDNKILMK -TNQDKFYYKSHPLTVETFMKVRYGYFKTTTVLKSKKVSEELYDLIKEFNKITEVDLEALEKLGRGLKGNR -VTFIQLLEFILMKSRTNAGNTDFLVSIFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHVAQSDPSEAI -SISGDYKIKNLASLSYDTITNYNTALQKNLECKMAFLSADQSKWSASDLTYKYILAVIMNPLLTTGEINL -MCECIMMYVKLKRVCIPTDIFLNLKRGQTEYGSYGTALSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHS -CAMMGYEKAMKKMGNYDFTIRWMVHSDDNATSMVVRGDIKELLAEFNCTSLSELLFRSIQSHFKSYCITL -NPKKSYASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFGDLMSLSIHITMLLRKGCPNELIPF -AYAAIQIQFLSIYSMLPGEENDISTIVKEIDFPLSKREIPICAGGWMYAPIELLSILGPSSNDQLIYYKI -ILDFFNLKDFSSLKKSVNSLGYIDLRINELFKRIKYQKITNADKKMICMVNLFKTSLMSEDCDSLNVGMK -FQSMITQIIKLPSFVSEGSLLKNSSFQDFCKLFPNLKKNTDILNALKPVNLDERSLEELNESKFLSQIQL -EELSRHMASHPESFLIAPMNDKDYILTNLYTYSSVSKRNQMLNQSTEKLALDRILRSKAKTFLDPNSKEM -VSYKENMSMKMREIMSYAGNDFKIINTISSLMVRDMNFEMVVSLMENTVANASIPKANYNFRWFITEKVP -SVIEGSPGLIVMSAVYGMDYLIELGLKKLPLTETSISILHDIFGNRKTFDDVKECIQNVKKQYKTEEFQN -ADVLKRYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNTLAIWSTIYSRLTNINFYTNLN -FVIDRSSRLIISLQRDMNLEKLIDCCAYVSDRLQSLFPDMTVESIRQVLCRLNFNGVDLMKKMKSEIAEV -KRAINNIKTSTHVTLSFRPQMIAMSKHAAWLYNFGYINEKEFKFVIDQIRQSEVHYIKTDEQDVRGYYVS -GHTYKIGIKTQHNYGQLAMSNQDVSIHLHSPYEYKREDDGKIWDVHVRSVYKLLQKLLMDKQSVIKAFLN -IRTELMPNQFCIHESSNKTLLILINDTTRPISLERIKFKGDIKYIPSSDFAWDMMSNQSKYRLRQAETGE -CYTELYKLIDNNDNLMNTILTNLKKSLEYGNEMENLIEQSIQGIDDADTIEYIQESVDQIHKLAIEGLET -CQTSDEFENYLKRVDFQSTVDFHKDLLEQIVEEKYGSAERLDVAVEKLTKWTNSLSTFKDVCTMLKFSMV -NDSKGIKTYKANGADFHSLSASEVMTSQGFDLFELLKLIKACEACHTSNSVLNLIAFKNIKNKTFIPGFK -RHLPNTVHFDYEMKLNNEVMNKFYEYKTISLQDIKVSDRVKRVLETNGFSITGHNLKLEETNLELNPVEI -IDDSSTYDQVAKQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGEEHDIMKLLRSHFAMQPVRENRLLC -IQDAVMTCRISGYIQRHFLNDKKEIVLLGVADSLENFISLATPNYATQEPFKAEALIKKALAEVYDSGKY -KILKNIRNYIVDDVEFLTEKCLYSNITNEEISNMIFNAVSKIDLELSNLKPKKRKKRLDLHDVFNGFLGS -DATTSEQQ - ->YP_009449454.1 RdRp [Calla lily chlorotic spot virus] -MNIQTINSFLDNIGETTVFLKNIKDELMTMSNVVCNNFPNGQGSIESGLSAVMSMSYCLDKIENMKINYH -QSSSSIDQKEIESEISLFLDKYKELELMRHDLFGAMASKYLHNVPKHRSDVFLKECLLAYIDFCSASTAI -INKIEKKDELLSQLVFQHLTPDNYVVYKESAGQRACLMVYDWKVSVDSMTETKTAENYYNSIWKTFKDIK -INDEPFLERHPIFVTIVILNPTGQMTVTVTTCRVIQEMRNSPYKTFNDRRISAVKSNIISVRNLRQMVGN -NADCFQRFYAETQTFKNALLSRVGEYMNRTNEVFFSHWSYEYKKTNLAQNQMSTDIIDMINSIPQDKICK -QLMVNFLFGNYVYYKNTMSDIHSKDRFEGYKNCCTMMGIDPAGNEQELKEYLDENEATFENLYHDHLRKI -KTDVLDKKSKEIEIKSIEDSFNINAEEYQKAYPGCFTNDLQETKTNFSICWSPMMEKVEMSEMNYNNSII -DEFRQAFGETKDLIFNKPYGGSRADCSFAQILYRLVKTCLLEMSCDTTGHSKAKLEDVVDIKDGSIQIER -TEKSKAWVELDAIKTRNGNEFTLSNKASIETRKNFFKGLGLMNIDMGKKKKSEFRDELKSKIQEEMFSNE -KSGEYDISKSEIPLNTSLKHVTHKKRLIRHDNPDVEYYCKSMIESMYVLHNLDIRKHTESKIGTVYNEYA -SEPGKLFSKSNLIKSEMNICKNIHEVCNEMAVYTYSEDMMQMAKGLMVADRFMKKTDFKILTCANTSMVC -LAFKGDGLNTGKSGVPYITVHRVEQDAQPYFSSLYTKELIVSFKSGSNYINIMRPQRLNQVRLLSLFKAP -SKVPVVFAQYSLLSTEIKKWLNQPKIDVFTCPENKIGYLQKILFSSIIIGTVTKLSRMGIFDFMRYAGFL -PLSDYSNIKEYIAEKFDPDITNVVDCFFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGIHDLDIVC -PITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLISVPAEWEIKFREKMGFKLHEEIKPKPEMFND -SGPFSIDGALNVKTLFDYYRKTVDNVGLCRSNIEDKEDFLSAPYKIKTLTSSKKCSKSDIIKNSEIVEAL -KACLGRNPDDIKGTNLYILKGVLKCHDEDSESLVHFLEMENLDVDRYIHFFNLMMSGDNKMIMKTNQEKF -YYNSHPLTVETFMKIRYGFLKTTTVLKSKKVSEELYDLIKEFNKITEIDLESLEKMGKGLAGNRVSFIQL -LEFVLMKSRTNAGHTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISISGDY -KIKNLASLSYDTITNYNTALQKGLECKMAFLSADQSKWSASDLTYKYVLAVIMNPVLTTGEINMMCECIL -MYIKLKRVCIPSDIFLNLKRGQTEYGSYGTSLSVLTDNLETNTFPVTMNWLQGNLNYLSSVYHSCAMMGY -EKAMKKMSEFDFTIRWMVHSDDNATSMVVRGDTNKLLKMFNCSSLSEMVFRSIQSHFKSYCITLNPKKSY -ASESEVEFISERIINGAVIPLYCRHIANCSTESSHNSYFDDLMSLSTHITMLLRKGCPNELIPFAYSAIQ -VQSLSIYSMLPGEENDIMSIAKESALPLEKNEIPICAGGWMHAPVEMISILGPSVNDQMIYYKILLGFFD -VKDFNALKKNVNSIGYVGLRINELFKRILWDKTTDKDKKMICMVNLFKTSLMSEDSDSLSIGMKFQTMIT -QIVKLPSFVSENSLMKNSSFRDFCKIFPNLKKNSEIIEALKGRVVDEMDMNDFDESKLLSEVQLEELNRH -MANHPEAFLISPMNDKDYILTNLYSYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDSDSKDMMTYREN -MYSKLVKIQSTEDNDFKIIKTISDLMIRDMNFEMVTSLMENTVANASIPKANYNFRWFITEKVPSVIEGS -PGLIVMSAVYGMDYLIGLGLKKLPLTEGSISVLHDIFGNRKTFDDVKNHVQNNNKDEMKTEEFQISSTLK -RYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGRTFITNTLAMWSTIYSRLTNINFYTNLNFVIDR -SSRLIVSLQRDMNLEKLIDCCAYVSDRLQSLFPDMEISSIKEVLNRLNFNGVDLMKKLKSELSEVKRAIK -NIKTACHITVSFRPQIIAMSKHAAWLYNFEYINENEFKFVIDNVRQNEVHYIKTDEQDVRGYYVSGHSYK -IGIKTPQNYAQLSMTNQDINVQIHSHFSFQNPDNQKIWEAHTKSVYKLLQKLLHDKQSVLNTFLNIRSEL -FPGEFCIHESSNKTLLILINDTNHPMNLERIKFKGKIKYIPSSEFTWNLMDNQSRYKLRLAEVGECYTEL -YKSVDDSNDLMKKILGNLKESLEHGEEMGNIVEYCIRGVDDDDAVELIRDSIDQIHDIAYKGLESCSTAE -EFDNYLREEDFQETVNFHKEILANAIDEKYAAGKMMDFAADRLTKWTNSLSAFKDVCTMLKFSMVNDSKN -IRTYRANGSDFHSLSASEVMTSDGFDLFELLKLIKACEACHASNSVLNLISFKNIKNKTFIPTFKRLIGN -SVHFNYELKLNNEVMNKFYEYKTISLQDIKISERIKNVLSDHGFSITGQTLKLEEISLELNPVDIVDDSS -TYDQIARQMRVTKKKSAYLIPSNTLLLGELMKFLMICVRGEEHDIMKMLRSHFAMKPVRENRLVSLQDAV -MTCRLSGSIQKHFLNDKKENILAGISDSIENFICLATPNYKFEEPFKPEPLVQKALTAVYNSGKYKILKT -IRKYMVSDVEFLTEKCLYSNITSEEISELIFNAVSKIDIELSNLKPQKKKKKNEMHNFFNEFLTGNSSTT -SDKSATSKSLRQE - ->YP_009408637.1 RNA-dependent RNA polymerase [Tomato chlorotic spot virus] -MNIQKIKKLIENGTTLLLSIEDCVGSNHDLALDLHKKNSDEIPEDVIINNNAKNYETMRELIVKITSDGE -GLNTGIATVDIKKLNELVSLFEQKYLETELSRHDMFGELVSRHLRIKPKQRSEVEIELALREYLEELNKR -QCINSITNDEFERINKEYVATNATPDNYVIYKESKNSELCLMIYDWKISVDAKTETKTMEKYYKNIWKSF -KDIHVNGKPFLEDHPVFITIVILKPMGGMPITVTSSRVLGKFEDSPSALHGERSRHAKNAKLLNIYHVGQ -IVGTTPTIVRNYYANTQKLKSEVRGILGDDFGSKDVFFSHWANKYKDRNPTEIAYSEDIEKIIESLATDE -IPRDEIIHFLFGNFCYHIETMNDQHIADRFKGYQEACMNLKIIPKNDLSDLKDHLIQKQQVWESLYEKHL -GKIMHRIKKKKEKEREIPDITTAFNQNAIEYEEKYPNCFTNDLSETKTNFSMTWSPSFEKVDLNSEIDYN -NAIIKKFRDSFLASSRISYNSPYSTVHNQTNKARDVTKLVELCLTKLSCDTSKFSKQELEDEIDVNTGSI -KVERTKKSQEWYKHGSCLTRNKNEFCMKETSKDNKAIYFKGLAVMNIGMSSKKRILKKEEIKERISRGLE -YDTSSRQSDPNDDYTSVDMSSLTHMKKLIRHDNEESLSWCDKIKDSLFVLHNGDIRDEGKVTAVYNNYAR -NPECLYTQDSVLKTEMETCKKINKLCNDLAIYHYSEDMMQFAKGLMVADRYMTKESFKILTTANTSMILL -AFKGDGMNTGGSGVPYIALHMVDEDMSEQFNICYTKEIYSYFRNGSNYVYIMRPQRLNQVRLLSLFKSPS -KVPVCFAQFSKKASELEKWLKNKDTEKVNVYAMTVTVKQILINIVFSSVLIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADIYFVNGIKKLLFRMEDLNLSTSAKPVVVDHENDVIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFTIYEDIYPKKEMF -DDKDLFSINGLLNLKALSDYYIENIDNVGLMRSEIENKEDFLSPCYKITTLKSSKKCSQSNIINTDDIIN -CLQEVKVKDVENWKGNTLAIIKGLIRTYNEEKNRLVEFLDDNCVNSLYLLEKLREIIASGAVTVGKSMTS -KFIRNNHPLTVETYLKTKLYYRNNVTVLKSKKVSEELYDLVKQFHNMMEIDIDSVMNLGKGLEGKRHTFL -QMLEFVISKAKNVTGSVDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHIAQSDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKSSKKSRLAFLSADQSKWSASDLTYKYILAILLNPVLTTGEASLMVE -CLLMYIKLKKVCIPTDIFLNLKKSQSTFGQNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAM -KAYHKTLECYKSCEFQTRWIVHSDDNATSLIANGEVDKMLHDFSSASLPEMLFRSIEAHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHIGYFDDLMSLSIHVTMLLRKGCPNEVIPFAYG -AVQVQALSIYSMLPGEVNDSIRIFQKLGVSLKPNEIPTNMGGWLTAPIEPLSILGPSSNDQIIYYNVIRD -FLNKKSLEEVKNSVSTLSYLQMRFKELEEKHERGRLEEKDKKMIFLINLFEKASVSEDSDVLTIGMKFQT -MLTQIIKLPQFINETALNKMSSYKDFSKLYPNLKKNEDLYKSTKNIRFNEDSVLEEDDLYEKLAPSAEME -SVHNIMIQNPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSNQSTEKLALDRVLRSKAKTFIDINSHVKM -TYEENMEKKILEMLKFDPGSYCSFKTCINLVIKDVNFSMLTPILDSAYPCESRKRDNYNFRWFQTEKWIP -VVEGSPGLVVMHAVYGSNYIENLGLKNIPLTDDSINVLTSTFGTNLLMDDVKSFVAGRSSFETEAFSDAN -NCQRLVRACNYMITAQNRLLAINTCFSRKSFPFYSKFNLGRGFISNTLALLSTIYSKEESFHFVSTANYK -LDKTIRTVLSAQQDMNLEKILDTAVYISDKMQSLFPTITREDISLILQNICLDSKPIWQSLEEKMRKINN -STASGFTVSNVILSHNSELNTIQKQIVWLWNMGLCSNRTLDFVIRYIRRSDVRYVKTEEQDELGNYVSGT -IYKIGIMTRSCYVQLIASDQDVAVTLRTPFEILNERDFLYDTYRESIEKLLQKFMFDKINIIKSKQPQIV -FLEPGDACLRMTTDNKMIVKVNATPKQIRLENVKLVIRIKYENVNSDVWDIIESQKALVLRIPEVGECFS -DMYKTIDSETEAIKVIKAGLMTSLTFIETFGNLSKQIDEIEDETARETMHDFLMNIKDTCLEGLESCKSV -EEYDEFLEENGFNDTVELFEELLKTQDNFENEYSPLFSEIVDKAKKYTKDLEGFKEILLMLKYSLINDAS -GFKSYRATGSYAVELMTKKHIEVGDFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYTTSGRRINL -NHELDLQNNLMEKSYDFKTLVLPDIKLSDMSREILKDNGFVISGENLKIDKSGEEFEGLATFDMMRLDEE -EMYEGLIKEMKIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFRPDMFSTDRLGRLSSS -VPALRVYATVYMEYKNTNCPLNEIADSLEGFLKLTKSKAKEQLLDGRVKRALIQLRDEHSRSKKLEVYKD -IANFLSRHPLCLSEKTLYGRYTYYEINNYIMQTREIILSKINELDDYIETNEDEFLLSYLKGEEEAFDED -EESD - ->YP_009346017.1 RdRp [Melon severe mosaic tospovirus] -MNTQKIRSLIDNGGTLLRTIEDCIGSNLDLANDLHKYNSEEIAEDIIINNNAKNYESMRELLAKICQDGE -GLNSGLATVDFKKINDDVTLLEQKYLATELARHDIFGELISRHLHLKPKHRTEVELEHAIRDYFSELNKK -SCPNKISDEDLARLNIEYVAINATPDNYVIYKDHKGGNLCLMLYDWKISVDAKTETKTTEKYYKNIWKSL -KDIKINDELLLEYCPIFITIVTLKPMGNMPITATTSRVLGKFEDSPSALHGNRVKHARNSRLVSADYAGQ -ISGTTPSIIRNFYANTQKLKLEFRSIIGDDFGSKDIFFSHWTSKYKERHPMEAAYSEDLESIINYISTED -FPKEEVVHFLFGNFCFHIETMNDQHIADRFRGYCECCLSMHVRPKENIAVLKDHLLASKPKWDSLYEGHL -KKIIERVAQKKSKEKTIPNITAAFNMNAQEYEAKYPGCFTSNLSETKTNFSLTWSPSFETIEVDKIYDYN -NSVIQLFRNAFKSVAKITYNCAYGSIQDQANKARNITNLVDLCLTKLSCNTTSMIKESLEDEIDINTGGI -KAGRTKKAQEWQSFNGCLTRNKNEFSIRDCAKEDKAVYYRGLSCMNIGMGKKQKVQKREELKSKITLGLE -YDTSQRQADPNDDYSSLDLGSYTHSKILIRHDNEESLSWCEKIRDSLFVLHNGDLREEGKVATVYNNYTK -NPETLYTQDSIIKGEMQCCKKINKLCNDLAIYNYSEDMMQFSKGLMVADRYMTKESFKILTTSNTSMILL -AFKGDGMNTGGSGVPYIAIHLVDGDMAESFNICYTKEIYSYFKTGDKVLYIMRPQRLNQVRLLSLFKSPS -KVPVCFAQFCKKASELDKWLKTRDIDEVNTLSMNLPVKQILRNIVFSSVLIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADMFFVSGIKKLLFKMENLNLSSSAKPVVIDHENDVIGGITDLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTNLLNVPAEWELKFRKEMGFNLSEDIIPKQKMF -QDSGLFSIDGVLNLKALSDYYVENITNIGLMRTEIENREDFLQPCHKIPTLKSSKKCSQSNIISSKDIIE -NLEAYRLGEESSNSSTSVAVVKGLLRTYYEDKNRLLEFFDDNLVDSFSLLNKVKEIAASKEISIGKSMTS -KFIRNNHPFTVETYLKTKLHYKNTITVLKSKKVSEELYDLVKQFHNITEVDMDSIMNLGKGMEGKKHTFL -QMLEFVLTKAKNATDSIDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISVSG -DNKIRALSMLSLDTITSYNDILKTSSSKSRLAFLSADQSKWSASDLTYKYILAILMNPVLTCGEATLMTE -CLMMYVKLKKVCIPTDIFLNLQKSQSVFGQNETAIGLLTKGLKTNVYPVSMNWLQGNLNYLSSVYHSCAM -NAYHKSLKSYKECQFQTRWIVHSDDNATSIIAHGEVDKMLECFNSQSLPEMLFRSIEEHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHITMLLRKGCPNEVIPFAYG -AVQTQSLSLYSMLPGEVNDSLTMFSNLNVSLKPNEIPISIGGWLTAPIEALSILGPSSNDQLVYYGVIKE -FLRGGEFGELKDKITTNLYLRARFDELEKRVKNDTLEDRDKKMIFLTNLFEKSSISEDSDVLTVGMKFQT -MLTQIIKLPQFINENALMKMSSYKDFCLIYPNLKKNENLKSVFEKVRCDEDSLLLDEAYVQSYASRQEME -QVHQIMIENPETILIAPLNDRDFLLGQLFMYTSPSKRNQLSSQSTEKLALDRILRSKARTFVSFDSMQKM -TYEENMISKIKEMSVFSSESYSSFKTCIQLVVKDVNFSMLFPVLDSLHPCESRRRDNYNFRWFQTEKWIP -VIEGSPGLVIMFSVYGANYIESLGLKNIPLTDDSISILTSTFGDNLVLDDVKTFISCKEVFETSQFQNAD -HCQRLVRTCNYMIAAQNKLLAINTCFSRKNFPFYSKFNLGKGFVSNTLALLSTIYSKDDSYHFISNASYK -LDRTVRAIVSAQQDLNLEKILDTAVYISDKLQALFPSIKMETMREILKNVCLDSAPIWDSIEKKMTRINS -STAGRFTVSNVILSHNSELNTIQKQIVWLYNMGLCSEKTLEFVIRYIRRSDVRYVRTEEQDEMGNYVSGT -VYKTGIMTQNCYVQLMASDQDISVSLKTPFSILNERNHLYDTYKESIEKLLHKYMLDKSNIVKSKLPQTT -YLEPGQACLRMTSDNKMIVKVNATPRQIKMENVKMVINIKYENVDSDLWEIIENQKTVTLRKPDPGECFS -EMYKTLDSESRMISAIKEQLAKSLTFLNTFENLSNQIDDVEEEDIRETLQDLLQQLQESCLEGLGQCKSV -EEYSMFLDSNGFSQTIELFKGILDSYDSFESEYSSLFSSIIDKTTRFTNDLNGFKDTLMMLKYSMINDAS -GFKSYRAVGNFAVNLATKKHIEIDGLNVLGLIQLIKACETCHNNDSILNLASLRNVLSKTYASVGTRMRF -YHEFDLQNNVMERSYDFKTLVLPNIELSSLSRDILKDNGFVISGENLKFDTDELGFEGLANLDMLRLDEE -EMYEGIIRDMKIKRKKKGYLFPANTLLLSELIKFLITGIKGTSYDVETLLLNSFKMSYHTIDRLGRLSSS -VPALKAYSIVYYEYLSSDNPLNEIAECLEGFLRLSKCKPKGQALDGKMKRVLVQLRDEQDRSKKLEVYKA -IASFLSKNPLCLSERTLYASYTYHEINQYIMKTREIIIEKISELEDNTGDEDFQILSKYLNEEEIMTDDE -ELGSCSY - ->YP_009345145.1 RdRp [Pepper chlorotic spot virus] -MNIQTINCFLDSTGEINVYLQNIKDQLMIMSNVVEHKFKNGSSIIDSGLNSIMSMNCCLDKIESMKTHYY -QNSSTIDKKEMESEIFLFLDKYKELELMRHDLFGVMTSTRLHFAPKHRTDVFLKECLVEYLEFCGASTAI -MNKVNNPQEIISSLVFQHLTPDNYVIYKEARGQKACLMIYDWKVSVDTVTESKTSENYYTSVWKTFKDVV -IEGEPFLERHPIFITVVILNPIGKMGITATTCRVIQEFKNSPYRTFVDRQRAAYQAKLLNVVNLRQILTQ -DADIFCRFYAETQTFKSSLLGKVGDYMNRANEVFFSHWSYEYKKTCLTDNAMAIDIIELINSLPTEKIGR -ELLVHFLFGSFLYFKDTMSDIHIKDRFLGYQKCCEMMGIEPAGNEIELKDYLDSNEELFESLYKDHAEKI -RKDVLMKKSKEIEIKSIEEAFNINAEEYQKEYPNCFTNNLQDTKTNFSVCWSPMIEDLDMSELNYNNAII -ESFRKSFLDAKEVVYNKPYGGPSVEKSFCNTLFDLVKTCIVDLSCDTTGYTKEKMVDVVDVKDGSIQIDR -TDQAKLWVEIGNIKTRNNNEFTLSQKTTSDSKKCFMKGLNLMHIDMGKKRKSEARDDLKSKLQDAKMKSI -EGGEYDPSKIEEEQNIELKKVSHKKKLIRHDNAEVDYFCQSMIQSMYVLHALDIRKDREGKIDKVYNEYC -NNPESVFTKHNLIGTEIETCKNINSVAKELSVYSYSEDMMQISKGLMVADRFMKKTDFKILTCANTSMIC -LAFKGDGLNTGKSGVPYITVHKVDNDAQSHFISLYTKELVASFRSGNYHINIMRPQRLNQVRLLSLFKSP -SKVPILFSQYCLLSKELKNWLTRPKVTIFSCPNNRISNLQQILFSSIIIGTVTKLSRMGIFDFMRYAGFL -PLSDYSNIKEYIAEKFDPDITNVIDCYFVSGIKNLLLKMEGINLSSSVKPLTIDQENDMSGGINDLDISC -PITGSTLRTIECLYNNVYLAIYMMPKSLHTHIHNLTNLLSVPADWELKFREKMKFKLNEEIFPKKEMFND -SGPFSIDGVLNIKTLFDYYQKTIDNVGACRSNIEDKEDFLSAPYKIQTLTSSKKCSKSDIIKDKEITASL -KECLNKNPESIKGNDLYILKGVLKCFQEDRETLSSFLEAMKLDQVEYYSFFSKMLSGDSRMIMKTNKEKF -YHNSHPLTVESYMKVRYGFFSSTTVLKSKKVSEELYDLIKEFNKITELDLESLENMGRGLTGNRVTFLQL -LEFVMMKTKSNAGHTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISVSGDY -KIKNLASLSYDTITNYNTALQKHECKMAFLSADQSKWSASDLTYKYILAVIMNPILTTGEINLMCECILM -YVKLKRVCIPTDVFLNLKRGQTEYGSYGTSLSTLTENLETNTFPVSMNWLQGNLNYLSSVYHSCAMMGYE -KAMKTNKNLDFTIRWMVHSDDNATSMVVKGDIKKLFREFNCESLSELLFRSIQSHFKSYCITLNPKKSYA -SESEVEFISERIINGAVIPLYCRHLANCSTESSHTSYFDDLMSLSIHMTMLLRKGCPNELIPVAISAIQV -QALSIYSMLPGEENDIMTIIKDSDMPLEKKEIPTCAGGWLTAPVEIMSILGPSANDQLIYYKILLDFFKV -KDFNMLKKNVSSLGYVELRRCELFKRINNGTLTIEDKKLICMVNLFKISLMSEDSDSLSVGMKFQTMITQ -IIKLPSFVSENSLQKNSSFRDFCKIFPNLKRNMDILSALKNKDGANEYDIDESSDRSLMSKIQLEELHRH -MASHPEAFLIAPMNDKDYILTNLYAYSSVSKRNQMSNQSTEKLALDRILRSKARTFIDSDSKEMMTYKEN -MMCKMEMLKKVDGNDFNSIKTISDLMIRDMNFEMVISLMENTVANASIPKSNYNFRWFITEKVPSIIEGS -PGLIVMSAVYGMDYLTDLGLKKLPLTSDSIAILHDIFGYKGIFDDVKNFIKNEGKDYKTTEFLDSNILKR -YVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGRTFITNTLAIWSTIYSRLTNINFYTNLNFVIDKS -SRIIVSLQRDVNLEKMIDCCSYVSDRLQGLFPDMTTKSIREILNRLNFNGIDLMKKLTSELKEVKRAINN -VRTSNHVTLSFRPQMIAMSKHAAWLYNFEMINEKEFKFVIDQIRQSEVHYIKTDEQDAKGYYIAGQSYKI -GIKTQHNYSQLSLSNQDVSVFLHSPYEYKRDDDGKIWEVHVKSVYKLLQKLLYDKSSMIKTFLSIRAELL -PGEFCIHESSNKTMLILINDTTRSLNIERMKLKGVIKYTPSSEYAWETMTNHSNYKLRLATVGECYSDLY -KSVDDQGSIVKNVLNNLKTSLEYGEEMENIVEQSLAEIDDETTIEMVRDSVQQIHDVAYRGLEECETSEE -FEEYLKTEEFQDLVDLQKDLLESIVDEKYKIGAIVEKTASKLSRWTDSLSNFKNVCTMLKFSMVNDSKGI -RTYKATGLDFNSLSSSEIMTSQGFDLFELLKLIKACEACHSSNSVLNLFAFRNIKNTKYIPGYRRFLPNK -VHFDYDIVLNNEVMKRFYEYKTISLMDLKLSEAAKEVLMENGFSITGETMKLEEEIIESSRAQIRDSMSA -YDQVAMQMKLTKKKSAYLIPSNTLLLGELLKFLMLCVKGEEYDVMKLLRNHFRVEVPRDDRMTSIQDAIT -SCKISGFIQRHFMNDKKEIVLLGVAESLENFMFLASPDQYGEEQINAEELVKKALAVNEQRSKHRILTKM -RKYITTDVDFLTERCLYGNMSSEEINLLIFNAVSKIDLELSMLNPKKKKKRAKMLEDLKGFLDNDNSQGS -QEG - ->YP_009316178.1 RNA-dependent RNA polymerase [Zucchini lethal chlorosis virus] -MNIQKIKRLIENGTTLLLSIEDCVGSNHDLALDLHKRNSEEIPEDIVINNNAKNYETMRELIAKITADGE -GLNTGIATVDIKKLNELVSLFEQKYLETELARHDIFGELVSRHLRIKPKYRTEVEIETALREYLEELNKK -QCSNKLSDADFERVSKEYVATNATPDNYVVYKESRGSELCLMIYDWKISVDAKTETKTMEKYYKNVWKSF -KDIQVDGKPFLENHPVFISIVILKPIGGMPITVTSSRVLQKFEDSPSALHGERVRHARNARLLSIDYVGQ -ISGTTPTVVRNYYANTQKLKSEVRGILGDDFGSKDVFFSHWTNKYRERDPTEIAYSEDLEKIIDSLATDE -ISKEEVIHFLFGNFCFHVETMNDQHIADKFKGYQNACINLKIQPKIDLPELKDHLIKSKEVWDSLYLKHL -DKILSRVKTKKEKEKEIPDITTAFNLNAIEYEEKYPGCFTNDLSETKTNFSMTWSPNFEKMEVNADIDYN -NAIIKKFRDHLNNPSKVFYNCPYSSITNQTNKARDVTNLARLCLTELSCDTTKMSKQELEDEIDINTGGI -KVERTKKSQEWVKVGKCLTRNKNEFCMREASREEKSIYFKGLSVMNVGMGAKKKVLKRQEMKEKISQGIE -YDTSEKRTDENDDYSTVDVSSLTHMKKLIRHDNEESLSWCDKIKESLYVLHNGDIRVDGKIAAVYNNYAG -NPECLYTQDSVLKEEMDTCKKINKLCNDLAIYHYSEDMMQFAKGLMVADRYMTKESFKILTTSNTSMILL -AFKGDGMNTGGSGVPYIALHIVDEDMAEQFNICYTKEIYSYFRSGSHYVYIMRPQRLNQVRLLSLFKSPS -KVPVCFSQFVKKASELEKWIRNKDIDNISTFSMTMTAKNILENIVFSSVLIGTVTKISRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADTYFVHGIKKLLFRMEDLNLSTNAKPVVVDHENDIIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFNLHEDIYPKKEMF -DDRGLFSINGALNVKALSDYYLENIDNVGLMRSEIENKEEFLSPCYKISTLKSSKKCSQSNIISNADIIE -CLQNAKISEIGNWKGNTMAIMKGVIRTYNEERNRLVDFLEDNCDSPLQLLNKIKEVVNSGSVTIGKSMTS -KFIRNNHPLTVETYLKTKLYYRDNITVLKSKKVSEELYDLVKQFHNMMELDMDSIMNLGKGLEGKKHTFL -QMLEFVMSKAKNVEGSVDFLVSVFEKMQRTKMDREIYLMSMKVKMMLYFIEHTFKHVAQNDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKNKSKSRLAFLSADQSKWSASDLTYKYVLAIILNPVLTSGEACLMIE -CMLMYVKLKKVCIPTDIFLNLKKAQETYGQNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAM -KAYHKTLECYKNCDFQTRWIVHSDDNATSLIANGKVDDMLTDFSSSSLPEMLFRSIEAHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHITMLLRKGCPNEVIPFAYG -AIQVQALSLYSMLPGEVNDSIRIFKKLGVSLSSNEIPTSMGGWLTSPIEPLSILGPSSNDQIIYYNVIRD -FLNKKSLEEVKDCVSSFGYLQMRFNELEEKHKKGTLEEKDNKMIFLINLFEKASVSEDSDVLTIGMKFQT -MLTQIIKLPQFINENALNKMSSYKDFAKLYPSLKKNEDLYRSTKNVRLDEEMIIDEDRLYDAISSSIEME -AVHTIMIENPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSSQSTEKLALDRVLRSKARTFVDTDSNVKM -TYDENMEKKIKEMMKFEPGSYCSFKTCINLVVKDVNFSMLVPILDSAYPCESRKRDNYNFRWFQTEKWIP -VVEGSPGLVVMHAVYGSNYIEKLGLKNIPLTDDSINVLTSTFGTNLLMDDVKSFVAGNGSFETENFSNSS -NCQRLVKACNYMITAQNRLLAINTCFSRKSFPFYSKFNLGRGFVSNTLALLSTIYSKEESYHFVSTASYK -LDKTIRTVISAQQDLNLEKILDTAVYISDKLQSLFPTITWKDVKLILENVCLDSRPIWQSLEEKMRKINN -STKSGYTVSNVILSHNSELNTIQKQIVWLWNMGLCSDRTLDFVIRYIRRSDVRYVKTEEQDELGNYISGT -MYKIGIMTRSCYVQLIASDQDVAVSLKTPFEILNERDFLFDTYRESIEKLLQKFMFDKTNIIKSKQTHIT -FLEPGDACIRMTSDNKMIVKVNASPRQIRMENVKLVVKIKYENVNSDVWEIIESQKSLVLRYPETGECFS -DMYKTVDSEAEAIKTIKSKLMTSLTFIEAFGNFSKQINEIVDETVRETMHDFLMNIRDTCLEGLENCKSI -EEYDEFLDDNGFNDTVELFEDLLRTQDSFENEYSPLFSEIVDRAKQYTRDLNGFKEILLMLKYSLINDAS -GFKSYRATGSHATDLMMKKHIEIGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSKTYATFGRRLVL -NHDLDLQNDLMEKSYDFKTLVLPEIQLSDFSKEILKGNGFVVSGENLKIDKSADEFEGLASFNALRLDEE -EMYEGLIKEMKIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNCFRPNIYSRDRLSRLSSS -VPALRVYSTVFMEYKDVNCPLSEIADSLEGFLKLTKSKSREQFLDGRVKKALIQLRDEQSREKKLEVYKD -IAEFLSRHPLCLSEKTLYGRYTYQDINDYIMQTREIILTKINELDESMEDDDDNFLLSYLKGEEEALDED -EVDYSD - ->YP_009259252.1 RdRp [Tospovirus kiwifruit/YXW/2014] -MNIQTINSFLDNIGETTVYLKNIKDELMLMSNVIHSNFPNGQDTIETGLNAVMSMNYALDKIENMKVNYH -QSSSSIDQKEMESEIFLFLDKYKELELMRHDLFGVMASRFLHNVPKHRNDVFLKECLLAYIDYCSTSTAI -LNHIDDKEELLSKLVFQHLTPDNYVIYKESAGQKACLMVYDWKVSVDTMSEVKTSENYYSSIWRTFKDVT -IEGEPFLERHPIFVTIVILNPVGQMSVTATTCRVIQEMRNSPYKTYNERRISAVQSKIISVNSLRQMTGP -GAECFQRFYSETQAFKNSLLSKVGEYMNRTNEVFFSHWSCEYKKTNLSQNQMSGDIVDIINSLPNDKVCK -ELMVHFLFGNYVYYKNTMSDIHLKDRFEGYKSSCEMMGVDPAGNEQELKEYLEENEGIFDELYSDHLARI -KEDVKKKKNAEIEIKSIEEAFNINAEEYQKEYPGCFTNDLQETKTNFSVCWSPMMERIKMENMNYNNSVV -QVFRESFEEDKVLIFNKPYGGSRADFSFGNALYRLVRACLTEMSCDTTGQQKVKLEDVVDIKDGSIQIER -TEKSKAWVEIDNIKTRNGNEFTMSSKASSETRKDFFKGLSLMNIDMGKKKKSEARDELKSKIQEAVVLQN -EADFKDGEYDTSKQNIPVNISLKKITHNKKLIRHDNPDVEYYCKSMIESMYVLHGLDIRKGTESKIGLVY -DEYSSQPKTLFSKGNLIESEMNICKNMHKTCNELAVYTYSEDMMQMAKGLMVADRFMKKTDFKILTCANT -SMICLAFKGDGLNTGKSGVPYITIHRVEEDAQPYFSSLYTKELIVSFKSGNSYINIMRPQRLNQVRLLSL -FKSPSKVPIIFSQYSLLSSEIKKWLNQPEIDVFTCPENKICYLQKILFSSVIIGTVTKLSRMGIFDFMRY -AGFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGINDL -DIVCPITGSTLKTIECLYNNVYLAIYMMPKSLHTHIHNLTTLLNVPAEWEMKFREKMGFKLDEEIKPKKE -MFNNSGQFSIDGALNVKTLFDYYRKTIDNVGLCRSNIEDKEDFLSAPYKIKTLTSSKKCSKSDIIKNSEI -VQSLKDCFGKEPENIKGTELYILKGVLKCHEEDNESLVQFLEIENLDVRKYSHFFDLMMSGDNKMLMKTN -QEKFYYNSHPLTVETFMKIRYGVHKTTTVLKSKKVSEELYDLIKEFNKITEMDLEALEKMGRGLNGNRVS -FIQLLEFVLMKSRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISI -SGDYKIKNLASLSYDTITNYNNALKKGLECKMAFLSADQSKWSASDLTYKYVLAVIMNPILTTGEINMMC -ECILMYVKLKRVCIPSDVFLNLKRGQTEYGSYGTPMSMLTDNLETNTFPVSMNWLQGNLNYLSSVYHSCA -MMGYEKAMKKMKDFDFTIRWMVHSDDNATSMVVRGDIKTLLKEFNSSSLSELVFRSIQSHFKSYCITLNP -KKSYASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSIHITMLLRKGCPNELIPFAY -SAIQIQSLSIYSMLPGEENDIMTIAKNSGLPLQKKEIPTCAGGWMSAPVEMISILGPSVNDQIIYYKILL -DFFQVKNFNSFKKNVNSLGYVNLRVNELFKRIQRNSLTQEDKKMICMVNLFKTSLMSEDSDSLSIGMKFQ -TMITQIIKLPSFVSEGSLMKNSSFRDFCKLFPNLKKNSDILGALKSEVIDEMDINDIDDSKLLSQVQLEE -LNRHMASHPEAFLIAPMNDKDYILTNLYAYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDPESKEMLT -YKENMHNKMVQIQETQGNDFKIIKTVADLMVRDMNFEMVVSLVENIVPNASIPKANYNFRWFITEKVPSI -IEGSPGLIVMSAVYGLDYLTELGLKKLPLTENSISVLHDIFGSRKTFDDVKNHICREGKEIKTEEFQSAT -SLRKYILSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGRTFITNTLAIWSTIYSRLTNINFYTNLNFV -IDRSSRLIVSLQRDMNLEKLIDCCAYISDRMQSLFPDIQIESIKEILNRLNFNGVGLMRKLNSELTEVKR -AMNNVRTACHVTVSFRPQIMAMSKHAAWLYNFGYINEFEFKFVIDKVRQNEVHYIKTDEQDVRGYYVSGH -SYKIGIKTLHNYAQLSMTDQEIAVQLHSCYSFQNPDDNRIWEAHTKSIYKLLQKLLHDKQSVLKSFLNIR -TELLPNEFCIHESSNKTLLILINDTNHPMSLERIKFKGKIKYIPGSEFTWDLMDNQSKYKLRQAEVGECY -TELYKSVDDSGDLMKRILSNLKESLEHGEEMNNVIKESLKNIDDEDSIDLIKDSIEQIHEIAYKGLERCS -TAEEFENYLKEEGFQEIVDFHKTLLEDAIDEKHSAGKIIDSAAFRLTRWTNSLSAFKDVCTMLKFSMVND -SKSIRTYRANGADFHSLSASEVMTSQGFDLFELLKLVKACEACHASNSVLNLISFKDIKNKKYIPLFKKM -LPNSVHFDYEMKLNNEIMNKFYEYKTISLQDINISDRVKNVLSDHGFSITGQTLKLEEIDLEMNHVDIID -DSSTYDQIAKQMRITKKKSAYLIPANTLLLGELMKFLMICVNGEEHDIMKMLRSHFAMRPARENRLISLQ -DAIMTCRISGSIQRHFLNNKKENILLGVSDSLENFISLATPSYKFEEPFKPEPLVEKALTATYDSAKYKI -LKEIRKYMVADVEFLTEKCLYSNFTNEEISDLIFNAVSKIDIELSNLKPKKRKKKNEMYNVFNDFIQESQ -TVPDNNTKAESSRQE - ->YP_009241381.1 RNA dependent RNA polymerase [Iris yellow spot virus] -MNLQSVHSSLDITDRINVFLNDLRDKLMNLSNTVRVKYKDGLDAVSSGLKAIMNMSCIIDEITEMKSSYY -QSTTSIDQKEMEQKISVFLDRYNELELMRHDLFGVLASSRLHFSPKHRHDVVMKDCIMDYLEYCSVKEDI -SNRIEDLDSITSQLIFQHQTPDNYVIYKETTGEKACLMIYDWKVSVDTMTENKTSENYYTSIWKTFKDIT -IDGEPFLSKFPIFVTIVILQPMNFMPIVATTCRVLEEMRNSPYRTFVDRRNAASRTKLISAKNLRDLGGY -DGEKLTEFYAECQAFKNLLMSSVGDYMNRTNEVFFSNWSFEYKNTTLKDNLMSHDVIDIIKSLPNKTIKK -DLMVHFIFGNYVFYYKTMSDLHRKDKFEGYKSNCKLMKVKPKETEEELKVYLENNEGLFESLYSEHMDKI -KADMILKKSQEKEIKSIEESFNINAENYQSEYPGCFTNDLQETKTNFSVCWSPSTERLSIEDMNFNNSII -ENFRKCFDDEEVLIHNRSYGGKSDQRQFSNTLFRLVKACIKDLSRNTTGQTKVRAEDVVDIKDGSIKISR -ESKEKGWKEIGHVKTRNGNEFTLSEKVNKEVKTDFFKGLTIMNIDMGKKKKNEHKLNLINKLKESRVANE -ELMMKEGEYDVSSNSEVINMPLSSVSHNKKLIRHDNPDVKYWCDSMIQSMYALHGFDIRDEKSGKINSVY -SEYCNDPGEFFSKGNLIETEVNISKNIHKVSQSLAVYSYSEDMMQLAKGLMVADRFMRKTDFKILTCANT -SMICLAFKGDGLNTGKSGVPYITLHKVPESLQPYFTSLYTKELVVSFKSGDDYVNIMRPQRLNQVRLLSL -FKSPSKVPVCFSQYCLLSKEVKRWISRKTINLMDCPSNIVPFLKNILFSSVVIGTVTKLSRMGIFDFMRY -AGFLPLSDYSNIKEYIAEKFDPDITNVVDCYFVSGIKTLLLKMEGTNLSNSIKPLTIDQENDMSGGIKDL -NIVCPITGSTLKTIECLYNNVYLAIYMMPKSLHTHIHNLTSLLNVPAEWEVKFRTKMGFRLDEEIVPKKE -MFNNSGPFSIDGALNVKTLFDYYLKTVDNVSSTRSNIESKEDFLSTPYKIKTLASSKKCSKADIIKNSEI -KQCLINCLGKDPEKLSGKDEYVLKGVLKCFVEDKDALRNFMTLEELDESEYFHYFSSLTSGENKTLMKTS -YDKFYYNSHPITVESFIKVRYGHMSTTTVLKSKKVSEELYDLIKEYNKITTLDLDALENLGKGLSGNKVT -FIQLLEFVLLKTRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISI -SGDYKIKTLASLSYDTITNYNTSLQKGLECKMAFLSADQSKWSASDLTYKYILAVLMNPVLTTGEINMMC -ECILMYVKLKRVCIPTDVFLNLKRGQNTYGSYGTAISSLTNNLETNTFPVSMNWLQGNLNYLSSVYHSCA -MLGYEKALKTNKDFEFAVRWMVHSDDNATSVVVKGSITEFLLRFKCTNLSEFLFRSIQSHFKSYCITLNP -KKSYASESEVEFISERIINGAVIPLYCRHLANCCTESSHNSYFDDLMSLSIHITMLLRKGCPNELISFAY -AAIQCQALSIYSMLPGEENDIMSIGKDVGFPLTKKEIPTCVGGWMRAPVEMLSVLGPSSNDQYIYYKILI -EFFRQKDFSTLKTQVNSLGYVTSKINELNKGIAKDELTKEDIKMICMVNLFKTSLMSEDSDSLNIGMKFQ -TMMTQIIKLPSYVSEGSLMKNSSFQDFCKLFPNLKRNSDMLNSLKRVQIDEDSMDCLSEDDAMLSRIQME -ELYKHMAKHPEALLIAPMNDKDYILTNLYMYSSISKRNQMSNQSTEKLALDRILRSKAKTFIDPNSNQMM -TYKENMSSKLKEIMDKSANDYKIISTISDMMVKDMNFEMIISLIENSVANASIPKTNYNFRWFVTEKVPS -VIEGSPGLIVMSAVYGMEYLVDLGLKRMPLTESSICILHDVFGNRKTFDDVKNYLTSKSSEMKTKEFILA -DELKRGILSINYMIQSQNKLLSLNTCFSRKNFPFYSKYNLGKTFITNTLALWSTIYSRTTNINFYTNLNF -VIDRSSRLIVSLQRDMILEKLLDCCSYVSDRTQSLFPDMKIEDIRNILSKLNFNSVNLLQRVVSEIKPVK -RAISQVKTASHVTLSYRPQLMAMSKHAAWLYNFGYINERDFKFVIEQIRQSEVYYIKTDELDTHGYYVSG -ISYKIGIKTLLNYAQLEMKNKDIAIHLNSPYEFIREEDNKMWDTHVSSVYKLLQKLLIDKQNAIKTFLNM -KVDLLPNEFCIHESSQKNLLIYINETNRVITLDKVKFKGKVKYNFCNDFTWSLMENNYNYMLRQAETGEC -FSDLYKTIDSGNSLMEKILKNLKSSLIYSSDAENMLSTAVQGIEDEENKNIFEDSLRQIYEITYKGLKEC -KSSEEFEIYLKENEFDDLVNMQKEMLETICQDLSDTDSRIQNVVDKLRVWSSSLSNFGELCVMLKFAMVN -DSKGIRTYKANGVEFHSLSSSESILCSGYDIFEMLKLIKACEACHTSNSTLNLIAFRDIKSRKYVHPYRR -KFGGSVIFNYPLKLNNDVMSRFYEHKTISLSDIQITESVREILGQNGFTVTGSNVKLESDMLELNPVTIL -DDHSTFDSVSRQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGNEYDVQKMLSAHFDLSMERDDRMDSL -IKTIMTLRASGYVQRYFGNTNEEEILLGVATSLENFMILSTPGGEFQEPFDALRLINNSFSEKEKDKKLK -ILLRIRSYISSKLGYLNEQCIYKNITNLEINNLVFSSINYIDKEISELRTFDKAVYHNEFNEYLKHTEGA -SSD - ->YP_009162642.1 RNA-dependent RNA polymerase [Chrysanthemum stem necrosis virus] -MNTQKIRKLIENGTTLLLSIDDCVGANHDLSMDLHKRNSDEIPEDVIINNNAKNYETMRELIVKITADGE -GLNTGIATVDIKKLNELVSLFEQKYLETELSRHDIFGELISRHLRIKPKHRNEVEIELALREYLEELNKK -SCINNLSDNDYERVSKEYVATNATPDNYVIYKESKDSELCLIIYDWKISVDAKTETKTMEKYYKNIWKSF -KDIKVNGKPFLEDHPVFISIVILKPIGGMPVTVTSSRVLGKFEDSPSALYGERVKHARNSKLLSINNVGQ -IAGTTPNIIRSYYASTQKIKSEVRGILGDDFGSKDVFFSHWTNKYRDRDPTETVYSEDIERIVDSLVTTE -ISREEIIHFLFGNFCFHIETMNDQHIADKFKGYQNSCINLKIKPKEDLADLKDHLIQTKEIWESLYGKHL -DKIISRIRDKKKKEKEIPDITTAFNQNAIEYEEKYPGCFTNDLSETKTNFSMTWSPSFEKIELDSDIDYN -NAIIKKFRESFKTASRISYNSPYSSVNSQNNKARDITNLVQLCLTELSCDTTKMSKQELEDEIDINTGSI -KVERTKKSQEWQKINSCLTRNKNEFCMKETERQNKTIYFKGLACMNIGMSLKKRVLKKEEMKERISKGLE -YDTSERQVDPNDDYSSVDMSSLTHMKKLIRHDNEESLTWCDRIKESLFVLHNGDIRNDGKISAVYNNYAK -NPECLYTQESVLKSELDTCKKINKLCNDLSIYHYSEDMMQFAKGLMVADRYMTKESFKILTTANTSMLLI -AFKGDGMNTGGSGVPYIAVHIVDEAMSEQFNICYTKEIYSYFKSGSNYIYIMRPQRLNQVRLLSLFKSPS -KVPVCFSQFSKKASELEKWLKNKDIDQVTALSMTMTVKQILINIVFSSIMIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADIYFVNGIKKLLFRMEDLNLSTNAKPVVVDHENDIIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFTIFEEIYPKKEMF -DDRDLFSINGALNVKALSDYYLENITNVGLMRSEIENKEDFLGPCYRISTLKSSKKCSQSNIISTDEIID -CLQNIKISEIEDWKGNTLAIIKGLIRTYNEEKNRLMEFLEDNCVNSLYLMEKLKEIVNSGSVTVGKSMTS -KFIRNNHPLTVETYLKTKLYYRSNVTILKSKKVSEELYDLVKQFHNIMELDMESVMNLGKGLEGKKHTFL -QMLEFVMSKAKNVTGSVDFLVSVFEKMQRTKMDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKNSKKSRLAFLSADQSKWSASDLTYKYVLAIILNPVLTSGEASLMIE -CILMYIKLKKVCIPTDIFLNLRKSQDTFGLNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAM -KAYHRTLEYYKDCDFQTRWIVHSDDNATSLIANGEVDKMLADFSSLSLPEMLFRSIEAHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHVTMLLRKGCPNEVIPFAYG -AVQVQALSLYSMLPGEVNDSIRIFSKLGVSLKSNEIPTNMGGWLTSPIESLSILGPSSNDQIIYYNVIKE -FLNKKSLDEVKNNVSTLGYLQKRFEELEEKSKKNTLEEKDKKMIFLINLFEKASVSEDSDVLTIGMKFQT -MLTQIIKLPQFVNENALSKMSSYRDFSKIYPNLKKNEDLYKSTKNVRIDEDSILEEDELYDKISSSLEME -SVHTIMIENPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSNQSTEKLALDRVLRSKARTFIDIDSKTKL -TYEENMEKKIKEMLDFEPGSYCSFKTCINLVIKDVNFSMLMPILDSAYPCESRKRDNYNFRWFQTEKWIP -VVEGSPGLVVMHAVYGPNYIENLGLKNIPLTDDSINVLTSTFGTNLLMDDVKSFVAGRKSFETDIFKNSN -DCQKLVKACNYMITAQNRLLAINTCFSRKSFPFYSKFNLGKGFVSNTLALLSTIYSKDESYHFVSTASYK -LDKTIRTVINAQQDLNLEKILDTAVYISDKLQSLFPTISRDDIKIILQNVCLDSRPIWQSLEEKMKKINH -STGSGYTVSNVILSHNSELNTIQKQIVWLWNMELCSTKTLNFVIRYIRRSDVRYVKTEEQDESGNYVSGT -LYKIGIMTRSCYVQLIASDQDVAVSLKTPFEILNERDFLFDTYRESIEKLLQKFMFDKINIIKSKQPQIT -FLEPGDACIRMTTDNKMIVKVNASPRQIRLENVKFVIKIKYENVNSDVWDIIESQKSLVLRLPETGECFS -DMYKTVDSEAEAIKTIKSRLITSLTFIESFGSLSDQVLEISHEQVKDTMFDFLMNIRETCLEGLENCRSI -EEYDEFLDDNGFNATVELFEDLLRTQDSFENEYSPLFSEIVDRAKQYTRDLQGFKEILLMLKYSLINDAS -GFKSYRATGVHAVDLIVKKHIEIGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYATFGRKIIL -NHELDLQNDLMEKSYDFKTLVLPEIKITEFSKDILKENGFIISGENLKINKSDEEFEGLANFNVLRLDEE -EMYEGLIKEMRIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFRQDIYSGDRLGRLSSS -VPALKVYSTVYMEYKNVNCPLNEIADSLEGYLKLTKSKPKEQLLDGRVKKALIQLREEPSRSKKLEVYKD -IASFLSRHPLCLSERTLYGRYTYHDINEYIMQTREIILSKINELDEIVETDEDSFLLSYLRGEEDAFDEE -EEVE - ->YP_009126736.1 L protein [Mulberry vein banding virus] -MNIQTINSFLDSSGEITVYLQNIKDEIMGMSNIVERNFPEGKDAIDAGLNSVMSMNYVLDKIEDMKAKYH -QSSSSVDQKEMEVEILLFLDKYKELELMRHDLFGALAGRKLHFAPKHRSDVFLKDCLISYIEYCSTHTSI -MNQISDIDDLKEKLVFQHLTPDNYTIYKETTGERACLMIYDWKVSVDSMTETKTSENYYTSVWKTFKDIT -IDGEPFLERHPIFITIVILNPTGPMTITTTTSRVVQELRNSPYKTFNDRRKAAVASKLISVNSLAQLIGF -GADYFRRFYSESQAFKNSLLAKVGDYMNRTTEVFFSHWSCEYKKTNLSDNQMSQDVIDVINSIPNEKIGK -ELLVHFMFGSYLYFKETMSDLHLKDRFEGYKAHCEMMGVVPAGNEEELKMYLNDNEDLFEQLYEGHLEKI -KHDVLSKKEKEVNIESIESAFNINAEEYQREYPGCFTNDLQETKTNFSVCWSPMTEELEMNELNYNNAVI -QSFRDAITDPKKLIHHKSYGGNKLDMHFSNSLYNLVRTCLVDLSADTTGHSKASMEDIVDIRDGSIQVQR -TETSQTWKEIGNVKTRNGNEFTLSAMTSNESRKHFFKGLSLMYVDMGRKRKSDMKNELKSKIQESIAKKE -EDLDQGGEYDVSKADFPLQTSLKGITHNKKLIRHDNPDVSYYCKSMIEAMYVLHGMDMRKDRTSKINSVY -DEYCCNPSLLFTKGNLIESEMTISKNIHTVAKELSVYTYSEDMMQMAKGLMVADRFMKKTDFKILTCANT -SMICLAFKGDGLNTGKSGVPYITVHKVEKDIQPYFSALYTKELIVSFESEGYFINIMRPQRLNQVRLLSL -FKSPSKVPILFSQYALLSTEIKKWLNQSSIDVFTCPENKIDYLQKILFSSVIIGTVTKLSRMGIFDFMRY -AGFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGIKDL -DIVCPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWELKFREKMGFLIGDEIKPKKE -MFNDSGPFSINGVLNVKTLFDYYRKNIDNVGACRSNIEDKEDFLSAPYKIKTLTSSKKCSKADIIKNAEI -VSSLKSSLGKTSDDIKGSDLYILKGVLKCFEEDKESLMNFLEVENLNEAEFVHFFSNMMSGDNKMVMKTN -QDKFYYKSHPLTVEAYMKVRYGYFKTTTVLKSKKVSEELYDLIKEFNKITEIDLEALEKLGRGLKGNRVT -FIQLLEFVLMKSRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISI -SGDYKIKNLASLSYDTITNYNTALQKNLECKMAFLSADQSKWSASDLTYKYVLAVIMNPILTTGEINMMC -ECIMMYVKLKRVCIPTDIFLNLKRGQTEYGSYGTALSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHSCA -MMGYEKAMKRMSNYDFTIRWMVHSDDNATSMVVRGDITKLLSDFNCKNLSELVFRTIQSHFKSYCITLNP -KKSYASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSIHVTMLLRKGCPNELIPFAY -SAIQVQALSIYSMLPGEENDISSIIKEINFPLKKREIPVCAGGWMYAPVELLSILGPSSNDQLIYYKIML -NFFGLRDFNGLKKNVNSLDYIDMRVNELFKRIKWKTLTDEDKKMVCMVNLFKTSLMSEDCDSLSVGMKFQ -TMITQIIKLPSFVSENSLLKNSSFKDFCKLFPNLKKNTDILNALKPNVQNEQELNELDESKFLSRIQLEE -LSRHMSTHPESFLISPMNDKDYILTNLYTYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDPNSKEMVT -YRENMSLKMENIMDVAGNDFKIIRTMSGLMVRDMNFEMIISLMENIVANASIPKANYNFRWFITEKVPSI -IEGSPGLIVMSAVYGMDYLTELGLKKLPLTENSIAILHDIFGNRKTFDDVKECVQNTNKEYKTEEFQNSD -VLKRYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGRTFITNTLAIWSTIYSRLTNINFYTNLNFV -IDRSSRLIVSLQRDMNLEKLIDCCAYISDRIQSLFPDITINSIKEILSRLNFNGVDLMKKLKSEISEVKR -AINNIKTSTHVTLSFRPQMIAMSKHAAWLYNFGYINEKEFKFVIDQIRQSEVHYIKTDEQDIRGYYVSGH -SYKIGIKTQHNYAQLAMSNQDISIHLHSPYEFQRQDDKKIWDVHVKSVYKLLQKLLADKQSVLRTFLNVR -ADLQPNEFCIHESSNKTLLILINDTPRPMTLERIKFKSSLKYIPSSEFAWNLMNNQSKYQLRLAETGECF -TELYKTIDDKDCLMKTILSNLKTSLEYGNEMENLIEESVQGLDDQEVIDIVRDSVNQIHDVAVLGLETSK -TSEEFETYLRESDFQETVDFHKNLLEQIVEERYGPESRIDRAVEKLTRWTNSLSSFKDVCTMLKFSMIND -SKSIKTYKANGADFHSLSSSEIMTSQGFDIFELLKLVKACEACHTSNSVLNLIAFRDIKNKKFIPGFRRN -LPNNVHFDYEIKLNNEIMNKFYEYKTISLQDIKVSDRVKSVLEAHGFSITGQNLKLEDAELELNPIDLID -DSSTYDQVSRQMRLTKKKSAYLIPSNTLLLGELMKFLMLCIRGEEHDIMKLLRSHFAMQPVRENRLISIH -TAIMTCKISGYIQRHFLNDKKEIALLGISESLENFVSLATPNHVFEEPFKAEALIKKALLEVYDSGKYKI -LKNIRSYIVADVEFLTEKCLYSNMTSEEISNMIFNAVSKIDLELANLKPKKRKKRLDLHNVFNKFLETDA -ASSDQGQ - ->YP_006468898.1 L protein [Bean necrotic mosaic virus] -MNEQTILTLVNFSNEILEKIKICRDRLNTYFESIMNPDSSIDDHVGDSLNRYKRMVDLVETICEDSEGLI -EGTIFDHSKVEENFNKLIFNYTESELSRHDLFGKVIRHHLHFLPRDRDSCEMQDILLEYLEKLRDMKNIS -NGFSDKNEFDLLKSKLRFKSATPDNYCIAKETKDGSLQLVIYDWKVSVDTQTEIKTINKYYDNVLETFKD -ITVCGQPFLERCPIYICIVILKPLGVMPVITTIMRVNGPFEKNVGRFFNSRKDTAAQGKICNIKQISQCK -VGNGSILREYFNSTQNLKEVFFHRFSQEIGSNDTHFSHWTKEYQTKVYSQNAFSKDIKLMMESLCNNGFD -LSEITEMISGSYSYFMSTMNDIRIKDKFAGYVDLCKLLGVKPKKTQEELLSSLQAKESKWEETFEKHLEV -VKQRVTKTIESEPVIDSIDHSFEINATDYEKRYPGCFTNDLSQTKTNFSIPWCPSSEMNFQGSDFNNEVI -NSFREDLKTRSRFMLHRPYVSGPIDINTAESVNDLVLACLFDLSFDTTLMENESFEDVIDLETGAIKVDR -TSSSKQWTEKDGKLSRNKNEFSSSKAPSNVKQAFNKGLKTLGVNFSKSKKISKINQIKEKVKEGEYDVSQ -QIYTTDNLGKYTHNKKLIKFNNQEAISWTDSITSSMFALMCMDGRETTKVKKVFDHYCDSPDELYLYPDL -VKNEIDLSQKLHTLANKTGIYSYCDDMMQIAKGLKIADRFMSSSDFKIQTTSNTNMLCLAFKGDGMNTGT -AGVPYIIVCRTDELLHPDFVKCYTKEVLGYYKTNSGYIHLMKPQRLNQVRLLSLFKAPSKVPILFNQYSL -KSREIKDWLISIETENMNIFTAPGSVKMAIKNVCFSSIIIGTVTKLSRMGIFDFMRYAGFLPLSDYSKIK -KYISEKFDPDITNVVDMYFVAGIRDLLIKMEDLNLSRKAVPITIDHENDMSGGIEELNIKCPVTKTTLKT -LEDLYNNVYLAIYMMPKSLHTHVHNLTNLLNVSAEYEMKFREKQKLDVDSEVFPNEMMFNDSGKFSINGT -LNISSLLSYYKENIRNVAVMRSSVESKEGFLNLPYKIATLKSSKKCSRSSIISEKDIVETLHGISVKDLE -SLEGKKLYLMKGLCKSYVEDQASCIMYLKRILDEPSRVLDLVHRCSQSDLSLKYRTSFQKFHQTSHPLTV -EVYLKSRFSEQPMTVLKSKKVSEELYDLIKEYNKINEINIDELDKLGRGTNSSKASFMNILEYSITKIIN -DSLDQEFLVSVFEKMQRTKVDREIYLMGMKTKMMLYFIEHTYKHIAQNDPNEAISISGDYKIRTLASLSL -DTITSYKTVLMDDINSKVAFLSSDQSKWSASDLTYKYIIAVMMNPVLTTGEANLMVECLSLYIKLKRVCI -PTDIFLNLRRSQDQYCLNTTPIGILTKGLSTNSYPVTMNWLQGNLNYLSSVYHSCAMLGYKKMLSKIPNV -KFQTRWMVHSDDNATSLVASGDVKKLMSSFKCESFPQFLFETVAAHFTSYSITLNDKKSYCSESEVEFIS -ERIVNGAVIPLYCRHLANLCTESSHLSYFDDLMSLSTHLTMLLRKGCPNELIPASYAAVQMQSAGIYSML -PGEINDIKRLCERVSFPLSGKEVPTCMGGWLSLKVEYMASLGPSANDEMIYYNIMKSSLGSADFKSFHAD -IIEGRKLDEYAFELKNKIEKKTLNLLDEKVICLCNIFNSCLQTEDVDSLEVGMKFQSMVGQIIKLPQYVN -ENAISSYSSYKDFCKMYPGLRKNEMLMKSTKKIPEDDGLEDLTNEEMARLDLGHLMSDMINRPESFLISP -ICDRDFLLSQIFIYSSISKRNQLSTQATEKLALDRILRSKAKSFVSPVDKQKKTYAEILMEKMQLATAST -FDVNRSLKFLGDILSKDLNFSIIESIASKLTPTNSTPKSNFNFRFCITEKLPQIIEGSPELLVINHFYGS -DYIESLGLKNMPLTSDSMDLMVTTYGKADMIEDVARYIKDRDNSVYFNTEEFRNRDDVSLKLLCVNSMTV -CQNKLMKLSGCLNRKSFPFYAKFNLGKTFVSNILSLISTIYSRENTIYFYANMTLNIHRGDRLLLNMERD -LSLEKIIDLMVYISDKIQAMFPKITLDQMREVMKNLTHNGFLLDDRLTTHLSKINKDLATFKTRNNTRLA -FHTQLISMSKHAPWLFNMGYITQPVFEFVLESTRNYEVTYIKSENQDSKGNYITDSLYRTAMKTESCYAQ -LAMSGSRVDVSLNAPYDYYNDQGGVFNHYKVVVEKLLSKLIVDKTETLKSLYSYSTMLKTGQACICVSSR -GKLYAKFNNTQRNLAVSDVKVIVQVMFHEMTHTTWELQKIQTSYRLRKPMTGECFSNVYKELDSNESINE -MLLNNFKIGLPQMAELKDLSRTIYEIEDEDIRDSLMTYLEELEEACLEGMRTCSTVDEYEEYLDMNGLNE -LNEIYLSIAKGYSQETASTVENVHTFYQRFSYELGSFKGACSALKYNLTNDSRGIRIGKPTGHGMNGLGC -VKPIISENYDVLELLKLVKACETCHNNDSVLNLKIFKNIQNRQFYTRQTKLDLRLEMDLKNDVMLNSYDY -KTLVLGEIELDEKAVKMLSEDGFNIAGEVLKVKEGDEETIKDQTDIDNLDESAMYEEIVRKTTIVKKKPG -HLIPSNTLLMGEFIKFIMKSIKGNTYDLMDLLRRGFDAKESDLDRVTIIRKNISSLTTAGRILKEMKKEE -NPLEEIAECFESFLKIAKGRTAERENFTNVRKLFNAIKSLDSKSDKIKALTNLIDYLNLNPVCLTEGCLY -GVYTAENLQSYLSKAKEFIMQMIHDLSGTYDPNPENELRTIIESKEKGKVTSDNTGPDNILPSSSNQKNF -NETGEVNSGKTIMTEEESETEDENVELDLSSEFHSVRWTKGINLNPKKSKKKKKGKGKSKHK - ->YP_004421860.1 L protein [Groundnut ringspot and Tomato chlorotic spot virus reassortant] -MNIQKIRKLIENGTTLLLSIEDCVGSNHGLALDLHKRNSDEIPEDVIINNNAKNYETMRELIVKISTDGE -GLNTGMATVDVKKLNELVSLFEQKYLETELSRHDLFGELVSRHLRIKPKQRSEVEIELALRDYLEELNKK -QCINSLSNDEFERINKEYVATNATPDNYVIYKESKNSELCLMIYDWKISVDAKTETKTMEKYYKNIWKSF -KDIKVNGKPFLEDHPVFITIVILKPMGGMPITVTSSRVLGKYEDSPSALHGERSKLAKNAKLLNIYHVGM -IVGTTPTVVRNYYANTQKLKSEVRGILGDDFGSKDVFFSHWASKYKDRNPTEIAYSEDIERIIESLTTEE -ITKDEIVHFLFGNFCYHIETMNDQHIADRFKGYQDACLNLKVVPKTDLSDLKDHLIQTQNVWESLYGKHL -DKILQRIKKKKSKEREIPDITTAFNQNAVEYEEKYPNCFTNDLSETKTNFSMTWSPSFEKVELNSNIDYN -NAIIKKFRDSFQTTSRITYNSPYSSVHNQTNKARDVTNLVKLCLTELSCDTTKFNKQELEDEIDINTGSI -KVERTKKSQEWCKHGSCLTRNKNEFCMKDTGKENKAVYFKGLAVMNIGMSSKKRILKKEEIKERISKGLE -YDTSVRQTDPNDDYTSVDMASLTHMKKLIRHDNEESLSWCEKIKDSLFVLHNGDIREEGKIASVYNNYAK -NPECLYTQDSVLKTEMETCKKINKLCNDLAIYHYSEDMMQFAKGLMVADRYMTKESFKILTAANTSMILL -AFKGDGMNTGGSGVPYIALHIVDEDMSEQFNICYTKEIYSYFRSGSNYIYIMRPQRLNQVRLLSLFKSPS -KVPVCFAQFSKKASELEKWLKNKDTEKVNVFSMSVTVKQILINIVFSSVMIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADIYFVNGIKKLLFRMENLNLSTNAKPVVVDHENDVIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFTIYEDIYPKKDMF -DDKDLFSINGLLNVKALSDYYIENVENVGLMRSEIENKEDFLSPCYKITTLKSSKKCSQSNIINTDDIIE -CLQTVKVKDVGNWKGNTLAIIKGLIRTYNEEKNRLIEFLEDNCVNSLYLLEKLKEIISSGSVTVRKSMTS -KFIRNNHPLTVETYLKTKLYYRNNVTVLKSKKVSEELYDLVKQFHNMMEIDIDSVMNLGKGLEGKKHTFL -QMLEFVISKAKNVTGSVDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHIAQSDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKNSRKSRLAFLSADQSKWSASDLTYKYILAILLNPVLTTGEASLMVE -CLLMYVKLKKVCIPTDIFLNLKKSQSTFRQNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAM -KAYHKTLECYKGCDFQTRWIVHSDDNATSLIANGEVDKMLLDFSSSSLPEMLFRSIEAHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHVTMLLRKGCPNEVIPFAYG -AVQAQALSIYSMLPGEVNDSIRIFQKLGVSLMPNEIPTNMGGWLTAPIEPLSILGPSSNDQIIYYNVIRD -FLNKKSLDEVKNSVSTLGYLQMRFKELEEKHKKRTLEIKDKKMIFLINLFEKASVSEDSDVLTIGMKFQT -MLTQIIKLPQFINENALNKMSSYKDFSKLYPNLKKNEDLYKSTKKIKFNEDSLLEEDELYEKVASSAEME -SVHNIMIQNPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSNQSTEKLALDRVLRSKARTFVDIDSHVKM -TYEENMEKKILEMQKFDPGSYCSFKTCINLVIKDVNFSMLTPILDAAYPCESRKRDNYNFRWFQTEKWIP -VVEGSPGLVVMHAIYGSNYIENLGLKNIPLTDDSINVLTSTFGTNLLMDDVKSFVSGSSSFETEAFINAN -NCQRLVKACNYMITAQNRLLAINTCFSRKSFPFYSKFNLGRGFISNTLALLSTIYSKEESYHFVSTANYK -LDKTIRTVLNAQQDMNLEKILDTAVYISDKLQSLFPTITREDISLILQNICLDSKPIWESLEEKMRKINN -STGSGFTVSNVILSHNSELNTIQKQVVWLWNMGLCSNRTLDFVIRYIRRSDVRYVKTEEQDELGNYISGT -IYKIGIMTRSCYVQLIASDHDVAVTLRTPFEILNERDYLYDTYRESIEKLLQKFMFDKINIIKSKQPQIV -FLEPGEACLRMTTDNKMIVKVNATPKQIRLENVKLVIKIKYENVNSDVWDIIESQKALVLREPEVGECFS -DMYKTVDSEAEAIKVIKHGLMNSLTFIETFGNLSKQIDDIEDETVRETMHDFLMNIKDTCLEGLENCKSI -EEYDIFLAENGFDDTVELFEDLLKTQDSFENEYSPLFSEIVDRAKQYTRDLEGFKEILLMLKYSLINDAS -GFKSYRATGAHAVELMAKKHIEIGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYATLGRRINL -NHELDLQNDLMEKSYDFKTLVLPDIKLSDMSREILKDNGFIISGENLKIDKSGEEFEGLANFNMLRLDEE -EMYEGLIKEMKIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFRPDTYSTDRLGRLSSS -VPALKVYATVYMEYKNTSCPLNEIADSLEGFLKLTKSKAKEQFLDGRVKKALVQLRDEQSKSKKLEVYRN -IADFLSRHPLCLSEKTLYGRYTYHDINDYIMQTREIILSKINELDDYVEMDDDDFLLGYLKGEEDAYDED -EESD - ->YP_001740047.1 L protein [Tomato zonate spot virus] -MNIQTINSFLDNIGEITVFLKNIKDELMSMSNVIHNKFPNGQSSIEAGLGAVMAMNYSLDKIETMKINYH -QSPSSIDQKEVESEISLFLDKYKELELMRHDLFGVMASKYLHNVPKHRTDVFLKECILAYIDFCSASTVI -MNRIEQKDELLSQLVFQHLTPDNYVIYKESAGQRACLMIYDWKVSVDSMTETKTSENYYTSIWKTFKDIK -IDGEPFLERHPIFVTIVILNPTGQMTITATTCRVIQEMRNSPYRTFNDRRVSAVASNIIKVANLRQMVGY -NADCFQRFYAETQTFKNALLSRVGEYMNRTNEVFFSHWSCEYKKTNLSHNQMSTDVIEMINSIPQDKICK -QLMVNFLFGSYVYFRSTMSDIHLKDRFEGYKNCCEMMGIEPAGNEQELKEYLDENESLFEELYSDHLEKI -KKEVLEKKSKEVEIKTIEDAFNINAEEYRKEYPNCFTNDLQETKTNFSICRSPMMAKLEMNDMNYNNSVI -EEFRQAFGDVKDLIFNKSYGGSRTDYSFANILYRLVKTCLLDMSCDTTGHSKSKLEDVVDIKDGSIQTER -TEKSKLWVEVNSIKTRNGNEFTLSSQACSETRKDFFKGLNLMNIDMGKKRKSEVRDELKSKIQEEIFSKE -SSDNKSGEYDISKSDIPLNISLKHVTHKKKLIRHDNPDVEYYCRSMIRAMYVLHNLDIRKHTESKIGIVY -DEYASQPGKLFSKSNLIESEMNICKNIHEVCNEMSIYTYSEDMMQISKGLMVADRFMKKTDFKILTCANT -SMICLAFKGDGLNTGKSGVPYITVHRVDQDAQAYFSSLYTKELIVSFRSGSDYINIMKPQRLNQVRLLSL -FKAPSKIPVIFSQYSLLSTEIKKWLNQPKVDVFTCPENKIEYLQKILFSSVIIGTVTKLSRMGIFDFMRY -AGFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGIHDL -DIICPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWEFDFMDRMGFELHEEIKPKPE -MFNDSGQFSIDGALNIKTLFDYYQKNVGNVGLCRSNIEDKEDFLSAPYKIQTLTSSKKCSKSDIIKNAEI -VESLKSVLGKNPDDIKGTDLYILKGVLKCHDEDNESLVLFLEMENLEVDKYIHFFNLMMSGDNKMIMKTN -QEKFYYNSHPLTVETFMKIRYGTQKTTTVLKSKKVSEELYDLIKEFNKITEIDLESLEKMGRGLSGNRAS -FIQLLEFVLMKSRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISI -SGDYKIKNLSSLSYDTITNYNTALQKGLECKMAFLSADQSKWSASDLTYKYVLAVIMNPVLTTGEINMMC -ECILMYVKLKRVCIPSDIFLNLKRGQTEYGSYGTALSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHSCA -MMGYEKAMKKMTEFDFTIRWMVHSDDNATSMVVRGDTKKLLKMFNCNSLSEMIFRSIQSHFKSYCITLNP -KKSYASESEVEFISERIINGAVIPLYCRHMANCSTESSHNSYFDDLMSLSIHITMLLRKGCPNELIPFAY -SAIQVQSLSIYSMLPGEENDIMTIAKESSLPLAKTEIPICAGGWMNAPVEMISILGPSVNDQMIYYKILL -DFFEVKDFNMLKKNVNSIGYVSLRINELFKRIQWKTLTTKDKKMICMVNLFKTSLMSEDSDSLSIGMKFQ -TMITQIVKLPSFFSESSLMKNSSFRDFCKLFPNLKKNSEIIEALKGRTVDEMDVNDFDERKLLSEVQLEE -LNKHMSNHPEAFLISPMNDKDYILTNLYSYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDSDSREMMT -YRENMHDKLTKIQSTEGNDFKIIRTISDLMVRDMNFEMVTSLMENTVANASIPKANYNFRWFITEKVPSV -IEGSPGLIVMSAVYGMDYLTGLGLKKLPLTEGSISVLHDIFGNRKTFDDVKNHVQSKDKEMKTEEFKDSN -MLKRYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNTLAMWSTIYSRLTNINFYTNLNFV -IDRSSRLIVSLQRDMNLEKLIDCCAYVSDRLQSLFPDIEVNSIKEVLHRLNFNGVSLMKELLKELNEVKR -AMKNVKTACHVTVSFRPQIIAMSRHAAWLYNFEYIDETEFKFVIDKVRQNEVHYIKTDEQDVRGYYVSGH -SYKIGIKTPQNYAQLSMTNQEINIQIHSHFSFHNPDNQRIWEAHTKSIYKLLQKLMNDKQSVLKTFLNIR -SELLPNEFCIHESSNKTLLILINDTNHPMSIERIKFKGVIKYIPSSEFTWGLMENQSKFKLRLANVGECY -TELYKSVDDSNELMTKILDNLKESQEHGEEMGNVVEYALRGVDDEDAIELIRDSIDQIHDIAYRGLETCS -TAEDFDNYLKNEDFQGTINMHKELLEISIDEKYSAGKIMDFAADRLTRWTSSLSAFKDVCAMLKFSMVND -SKNIRTYRANGADFHSLSASEVMTSDDFDLFELLKLIKACEACHASNSVLNLISFKNIRNKTFIPTFRRF -LNNSVHFEYELKLNNEVMSKFYEYKTISLQDIKVSKHVKNVLSDHGFSITGQTLKLEEVNLELNPVEVID -DSSTYDQVAKQMRVTKKKSAYLIPANTLLLGELMKFLMICVKGEEHDIMKLLRSHFAMKPVRENRLVSLL -DAIMTCRLSSSIQKHFLNDKRESILSGVSDSIENFVNLAAPNHKFKEPFKPEPLVKKVFTEEYDSGRYKI -LKKIRRYMVNDVEFLTENRLYSNITSEEISGLIFNAVSKIDIELSNLKPQKIKREMICTVHSMIFLTDND -SNTDREPKPGGSRQE - ->YP_717924.1 RNA-dependent RNA polymerase [Capsicum chlorosis virus] -MNIQTINSFLDTSGEITVYLQRIKDEIMSMSNIVERSYPEGKGVIDSGLNSIMSMNYILDKIEDMKSRYH -QSSSSIDQKEMETEIFLFLDKYKELELMRHDLFGVLAGRKLHFAPKHRSDVFLKDCLMSYIEFCNTSTSI -MNKIPNIDDLKEKLVFQHLTPDNYTIYKETSGERACLMIYDWKVSVDTMSETKTSENYYTSVWKTFKDIT -VDGQPFLERHPIFVTIVILNPSGNMSITATTSRVIQELRNSPYRTFNDRRKAALAAKLISVNSIGQLIGL -GTDCFRRFYAETQAFKNSLLSKVGEYMNRSSEVFFSHWSYEYRKTNLTNNQTSQDIIDLIDAMPNEKIKK -DMIVHFLFGSYLYFKDTMSDLHLKDRFEGYKAHCEMMKVDPIETEEELKRYLDKNEDTFEELYESHFNKI -KSDVLSKKAKETEIDSIETAFNINAGEYQKEYPGCFTNDLQETKTNFSICWSPMTEELKMNDLNYNNAII -QSFRTSFIEQPKLIHHKSYGGSKVDLHFSMTLFNLVKTCLMDLSIDTTGHSKAALEDVVDVKDGSIQVKR -TDTSQTWREIGSVKTRNGNEFTLSPLTSSDARKHFFKGLSLMYIDMGKKKKIDIKNELKSKIQEAKSKKD -NDEPETPSGEYDISKADIPYQMSLKSITHNKKLIRHDNPDVKYFCESMIEAMYVLYGMDERKNKKSKINS -VYDEYCSNPASLFTKGNLIESEMTIAKNIHEVSKELSIYTYSEDMMQLAKGLMVADRFMKKTDFKILTCA -NTSMICLAFKGDGINTGKSGVPYITLHRVEKDIQPYFVSLYTKELVVSFRSGNYFVNIMKPQRLNQVRLL -SLFKAPSKVPILFSQYSLLSTEIKKWLNQPSIDVFTCPENKIQYLQKILFSSVVIGTVTKLSRMGIFDFM -RYAGFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKTLLLKMEGINLSNNIKPLTIDQENDMSGGIS -DLDIVCPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWEVKFREKMGFKLDEEIKPK -KEMFNDSGPFSIDGVLNIKTLFDYYRKNISNVGACRSNIEDKEDFLSAPYKIKTLTSSKKCSKADIIKNT -EIVAALKSVFGKHPDDIKGPNLYILKGVLKCFEEDRESLINFMEIEGLDESKYIHFFSNMMSGSNKVLMK -TNQDKFYYKSHPLTVETFMKVRYGYFKTTTVLKSKKVSEELYDLIKEFNKITEIDLEALEKLGRGLKGNR -VTFIQLLEFILMKSRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHVAQADPSEAI -SISGDYKIKNLASLSYDTITNYNTALQKNLECKMAFLSADQSKWSASDLTYKYILAVIMNPILTTGEINL -MCECIMMYVKLKRVCIPTDIFLNLKRGQTEYGSYGTALSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHS -CAMMGYEKSMKRMKDYDFTIRWMVHSDDNATSMVVRGNIKELLLNFNCSSLSELLFRSIQSHFKSYCITL -NPKKSYASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSIHITMLLRKGCPNELIPF -SYAAIQVQSLSIYSMLPGEENDITTIVKEIDFPLAKREIPICAGGWMYAPVELLSILGPSSNDQLIYYKI -ILDFFNIKDFSSLKKNVNSLGYIDLRINELFKRLISKRTTIADKKMICMVNLFKTSLMSEDCDSLSVGMK -FQSMITQIIKLPSFVSESSLLKNSSFVDFCKLFPNLKKNTDILNALKPNNLDERDLGEINESKFLSQIQL -EELSRHMSSHPESFLIAPMNDKDYILTNIYTYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDPNSKEM -VSYKDNMSLKMKEIMNSSGNDFKIINTISELMVRDMNFEMVISLMENTVANASIPKANYNFRWFITEKVP -SVIEGSPGLIVMSAVYGMDYLIDLGLKKLPLTETSISILHDIFGNRKTFDDVKECIQNGGKQYKTEEFQC -ADVLKRYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNTLAIWSTIYSRLTNINFYTNLN -FVIDRSSRLIISLQRDMNLEKLIDCCAYVSDRLQSLFPDMTIESIRQILCRLNFNGVDLMKKMKSEISEV -KRAINNIKTSTHVTLSFRPQMIAMSKHAAWLYNFGYINEKEFKFVIDQIRQSEVHYIKTDEQDVRGYYVS -GHTYKIGIKTQYNYGQLAMTNQDVSIHLHSPYEYQREDDGKIWEVHVKSAYKLLQKLLIDKQSAIRTFLN -MRSDLMPNQFCIHESSNKTLLILINDTTKPITLERIKFKGSIKYIPSSDFAWNMMSNQSKYKLRTAETGE -CYTELYKSIESDSLIDTILANLKKSLEYGNEMENLIEQSILGIDDGETIDYIKDSVDQIHKLAIEGLETC -TTSEEFEDYLTQVEFQTTVDFHKDLLDQIVESKYRATDRINSAVEKLTQWTNSLSTFKDVCIMLKFAMIN -DSKGIKTYKANGSDFHSLSASEVMTSQGFDLFEILKLIKACEACHTSNSVLNLIAFKNIKNKTYIPGFKK -TKSDTVHFDYEMKLNNEVMNKFYEYKTISLQDIKISDRVKMVLENHGFSVTGQNLKLAEMNLELNPVEII -DDSSTYDQIARQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGEEHDIMKLLRSHFAMKPVRENRLLSV -QDAVMTCRISGFIQRHFLNDKKEIILLGVSDSLENFISLATPNYTVQEPFKAEALIKKALLEVYDSGKYK -ILKKIRNYIVADVEFLTEKCLYSNITNEEISSMIFNAVSKIDLEISNLKPKKRNKRLELHEVFNKFLGSD -STSLGQE - ->YP_717933.1 RNA dependent RNA polymerase [Melon yellow spot virus] -MNIQTINSFLDISGEVTVYLQNIKDELLRMSNTIQRKFPDGNETIEAGLNSIMSMSHILDKIEEMKSSYH -QSTSSIDQKEMSDQISLFLDKYKELELMRHDLFGVLASTRLHFAPKYRHDVYLKECIIDYLEYCHKKASI -RNTITDLDSLLETLVFQHLTPDNYTIYKETNGEKACLMIYDWKVSIDAMTESKTSENYYTSVWRTFKDIK -IDDEPFLERHPIFITIVILNPTGPMNITVTTCRVIQDFKQSPYKTFPGRKKAANLSKLISVNTLRQITGH -ASECFSRFYAETQTFKNLLLSRVGDYMNRSNEVFFSHWSHEYKKTNLSNNQMSKDVIDIINSLKNPKICK -ELIINFIFGNYVLFRNTMSDLHAKDRFEGYKSHCEMFGVVPAENEEELKDYLEANDDLLSELYDDHLTKI -KKDVVSKKEKEVQIESIEDAFNMNAEDYAREYPGCFTNNLQETKTNFSVCWSPSTDDLIMEEMNYNNAII -DSFRKSFLEEFRLIFNKPYNGIAADHHFGNSLFDLVRTCLVDLSTDTTGQDKITLEDVVDVKDGSIQVQR -PEKSKIWKNVNGVTTRNGNEFSISQSTSSTAKKLFFKGLTLMNIGMGKKKKADCKDELKSKLQQLKLTKE -AEGGEYDISKSETILNTSLKAVTHQKKLIRHDNPDVDYYCKSMIESMYVLHGLDSRKKNEGKISSVYNEY -CKNPEEIFTKGNLIETETIIAKNIHAVASELSVYSYSEDMMQIAKGVMVADRFMKKTDFKILTCANTSMI -CLAFKGDGINTGKSGVPYITLHKVEKEIQPYFASLYTKELVVSFLVDGYYINIMRPQRLNQVRLLSLFKA -PSKVPILFSQYSLLSSEIKSWLNKPSVNVFECPENKIHNMQKILFSSVIIGTVTKLSRMGIFDFMRYAGF -LPLSDYSNIKEYIAEKFDPDITNVVDCFFVAGIKSLLLKMEEINLSNSIKPLTIDQENDMSGGINDLNIT -CPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWEIKFRERMGFKINEEIVPKEDMFN -DSGPFSINGVLNIKTLFDYYRKNIDNVASCRSNIEDKEDFLSVPYKIKTLTSSKKCSKSDIIKNSEIATA -LKDVYGKDPSTIKGNNLYILKGVLKCFSEDRESVQTFLEVENMNVKNYIHFFANILSGDDKVTMKTSSEK -FYYKSHPLTVESYMKVRYGHLKTTTVLKSKKVSEELYDLIKEFNKIMEVDLESLEKLGRGLDGNRTTFMQ -LLEFIMLKTRANAGNTNFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISISGD -YKIKNLASLSYDTITNYNTSLQKNLECKMAFLSADQSKWSASDLTYKYILAVLMNPILTTGEINLMCECI -LMYIKLKRVCIPTDIFLNLKRGQKEYGSYGTALSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHSCAMLG -YEKAMKRNKDYDFTIRWMVHSDDNATSIVARGDIKNLLQSFKCGSMSELLFRTIQSHFKSYCITLNPKKS -YASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSTHVTMLLRKGCPNELISFAYSAI -QVQSLSIYSMLPGEVNDINSIIKDIEFPLSKKEIPTCAGGWMHAPVELMSILGPSSNDQLIYYKIILEFF -DLKDFSTLKKNIDSLGYVTYRINDLFKRINNNNLTSADRKMICMVNLFKTSLMSEDCDSLSIGMKFQSMI -TQIIKLPSFVSESSLMKNSSFQDFCRIFPNLRKNSEILDGLNYTTAGEMDIDERNESKFLSSLQLDELSK -HMATHPEAYLISPMNDKDYILTNLYAYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDPDLKEMVTYRE -NMLLKMKQIMSTEGNDFKIINTISKLMVRDMNFEMIISLMENVVANASIPKANYNFRWFITEKVPSVIEG -SPGLIVMSAVYGMDYLVNLGLKKLPLTETSISILHDIFGAKKTFDDVKNCLSGGPDEMKTDEFRISSKLK -QTVMSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNVLAIWSTIYSRLTNINFYTNLNFVIDR -SSRLIVSLQRDMNLEKLIDCCAYVSDRIQSLFPDITVEAIQEILNRLNFNGVDLIRKMKSEINEVKRAIN -NIKTSSHVTLSFRPQMIAMSRHAAWLYNFGYINEKEFKFVIDQIRQSEVHYIKTDEQDARGYYVSGHSYK -IGIKTRYNYVQLAMTNQDIQIQLHSPYEYKREEDGKIWEVHVKSIYKLLQKLLSDKQSVLKTFLSMRGDL -LPNEFCIHESSNRTLLILMNDTSKPMKIERMKSKAVSTTFTHLIFSWDLMDNQSKYQLRKALTGECYSGL -YKTIDDSDEFVKVILNNLKQSLECGEEMDSIVEKVLDGIDDEESIDLIKNSVEQIHDVAIRGLTECKTSE -EFENYLRDEEFQDLVDFQVSLLQQIIDEKHGIDSRIERAVQQLTSWTNSLSRFKDICTMLKFSMVNDSKG -IRTYKATGSDFNSLSASEIMTSDGYDLFEMLKLIKACEACHSSNSILNLMAFKNIKNKKFIPSHRRFRSH -TVHFDFYLILNNEVMSNFYEYKTVSLQDVKISNHVKEVMSNHGFTITGHVMKLDESGLELNPVEIVDDSS -TYDKVAKQMRVTKKKSAHLIPANTLLLGELMKFLMLCVNGEEHDIMKLLRSHFEMRPFRENRLLSIQDAI -MTCRISGCVQQHFLNDKKEILLLGVADSLENFINLAIPDHPFVEPFKADLLVRKALNEKDANRKYEILEN -IRNCMTSDVDFLTEKCLYSNSTNEEINALIFNAVSKIDSEMSSLRPKNRNDKQRLYNLFKEYAEAGSSQS - ->NP_620752.1 L [Watermelon silver mottle orthotospovirus] -MNIQTINSFLDTSGEITVYLQAIKDEIMLMSNIVERSYPEGKGVIDSGLNSIMSMNYILDKIEDMKSKYH -QSSSSIDQKEMETEIYLFLDKYKELELMRHDLFGVLAGRRLHFAPKHRSDVFLKDCLMSYIEFCNTSTSI -INQINNVDDLKEKLVFQHLTPDNYTIYKETKGEKACLMIYDWKVSVDTMSEAKTSENYYTSVWKTFKDIT -IDGQPFLERHPIFITIVILNPSGNMSITATTSRVIQEFRNSPYKTFADRNRAAIASKLISVNSLGQLIGL -GADHFRRFYSETQAFKNSLLAKVGEYMNRSSEVFFSHWSYEYRKTNLSNNQISQDIIDLIDAMPNGTIKK -DMLVHFLFGSYLYFKETMSDFHLKDRFEGYKAHCEMMKIAPIETEERLKEYLDKNEQLFESLYEGHLEKI -KSDVLFGKAKEIEIDSIESAFNINAEEYQKEYPGCFTNNLQETKTNFSICWSPMTQELEMNKFNYNNAII -QSFRDAFSDQVKLIHHKSYGGNKMDIHFSMTLFNLVKTCLVDLSIDTTGHSEAALEDVVDIKDGSIQVKR -TENSQKWREIGDVKTRNGNEFTLSSLTSHEARKHFFKGLSLMYVDMGKKKKIDIKNDLKSKIQESIAKKK -DEELDGNSGEYDVSKADIPFQMSLKEITHNKKLIRHDNPDVKYHCESMIEAMYVLYGMDERKGRTSKINS -VYDEYCSDPSLLFTKGNLIETEMVISKNIHEVSKELSVYTYSEDMMQMAKGLMVADRFMKKTDFKILTCA -NTSMICLAFKGDGINTGKSGVPYITLHKVEKDIQPYFISLYTKELIVSFKCANYFINIMRPQRLNQVRLL -SLFKAPSKVPILFSQYSLLSTEIKKWLNQPSVDVFTCPENKIQYLQKILFSSVIIGTVTKLSRMGIFDFM -RYAGFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKTLLLKMEGINLSNSIKPLTIDQENDMSGGIS -DLDIICPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTALLSVPAEWEIKFREKMGFLIDDEIKPK -KEMFNDSGPFSINGVLNVKTLFDYYKKNITNVGACRSNIEDKEDFLSAPYKIKTLTSSKKCSKADIIKSS -EIVSALKSTLGKQPDDIKGSDLYILKGVLKCFEEDRESLMKFMEVEGLDEPKYLHFFSNMMSGDNKILMK -TNQDKFYYKSHPLTVETFMKVRYGYFKTTTVLKSKKVSEELYDLIKEFNKITEIDLEALERLGRGLKGNR -VTFIQLLEFILMKSRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAI -SISGDYKIKNLASLSYDTITNYNTALQKNLECKMAFLSADQSKWSASDLTYKYILAVIMNPILTTGEINL -MCECIMMYIKLKRVCIPTDIFLNLKRGQTEYGSYGTALSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHS -CAMIGYEKAMKKMKDYDFTIRWMVHSDDNATSIVVRGDLKKLLSSFNCSSLSELLFRSIQSHFKSYCITL -NPKKSYASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSIHITMLLRKGCPNELIPF -AYAAIQVQSLSIYSMLPGEENDIDSIVKAIDFPLTKREIPICAGGWMHAPVELLSILGPSSNDQLIYYKI -ILDFFNLKDFNGLKKNVNSLGYIDLRINELFKRIKYKKITCADKKMICMVNLFKTSLMSEDCDSLNVGMK -FQSMITQIIKLPSFVSEGSLSKNSSFQDFCKLFPNLKKNTDILNALKPVDLDERNLDEWSESKFLSQIQL -EELSRHMASHPESFLIAPMNDKDYILTNLYMYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDPDSKEM -VSYKDNMFMKMREIMDPAGNDFKIISTISGLMVRDMNFEMVVSLMENTVANASIPKANYNFRWFITEKVP -SIIEGSPGLIVMSAVYGMDYLIELGLKKLPLTETSISILHDIFGNRKTFDDVKECIQEANGQYKTEEFQD -ANELKRYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNTLAIWSTIYSRLTNINFYTNLN -FVIDRSSRLIISLQRDMNLEKLIDCCAYISDRLQSLFPDMTIESVKQILSRLNFNGVDLMRKMKSEITEV -KRAINNIKTSTHVTLSFRPQMIAMSKHAAWLYNFGYINEKEFKFVIDQIRQSEVHYIKTDEQDVRGYYVS -GHTYKIGIKTQYNYGQLAMSNQDISIHLHSPYEYQREDDGKIWEVHVKSAYKLLQKLLIDKQSAIKTFLN -IRTELMPNQFCIHESSNKTLLILINDTTKPITLERIKFKGDIKYIPSSDFAWNMMSNQSKYKLRTAETGE -CYTELYKMIDYSDSLENTILTNLKKSLEYGNEMENLIEQSTRDIDDEETVEYIRDSVDQIHKLAVEGLET -CKTSEEFENYLKRVDFQSTVDFHKDLLEQIVEERYGASARLDNAVDKLTRWTNSLSTFKDVCTMLKFSMV -NDSKGIKTYKANGADFYSLSASEVMTSQGFDLFELLKLIKACEACHTSNSVLNLIAFKNIKNKTFIPGFK -KNLPNTVHFDYEMKLNNEVMNKFYEYKTISLQDIKISDRVRTVLETHGFSVTGQNLKLEEMDLELNPVEI -IDDSSTYDQIARQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGEEHDIMKLLRSHFAMKPVRENRLLC -VQDAVMTCRISGFIQRHFLNDKKEIILLGVSDSLENFISLATPNYAVQEPFKAEALKKKPPLEVYDSGKY -KILKNIRSYIVADVEFLTEKCLYSNIANEEISSMIFNAVSKIDLELSNLKPEKRKKRLDLHEVFNKFLGS -GSTSSDQE - ->NP_619710.1 RNA-dependent RNA polymerase [Impatiens necrotic spot virus] -MNNYKARLLIENSVTLLSSIDDCIKSNLELSRDLHKKNPDEISEDIIINNNAKNYEALRTLIARITRDGE -GIETGLATVDMKKISEDMTLLEQKYLETELARHDMFGELVSRHLHLKPKKRHDVEIEHAVREYFEELSKK -ECSNRLSEEDFKKVSKEYVATNATPDNFVIYKESKSGPLCMMIYDWKISVDAKTETKTTEKYYKNIWKSL -KDVKVKGKSFLEEHPIFISIVILKPIGSMPIVVTTSRVLEKFEDSESALHANRLRHASQSKLVGVSNIGR -IIGTTPTVVREFYADTQKLKIEFRSILGEEFGSKDIFFSHWTNKYKDRDPTQIAHSEDLEKIIESMVTDD -ISREEIVHFMFGNFCLHIETMNDQHIADRFNGYRSSCVNLNVEPKKDISELKDHLLSTKGLWESLYDHHL -IKVMDRIKTKKQKEKIIPDIMTAFNLNAEEYEKKYPNCFTSDLSETKTNFSVTWSPCTDMVELGNQDYNN -AVIDRFRKAFLSNPRIRYNSAYSKEMNVTSKAKDVTELVRSCLTTLSCDTSGMDPQQLEDEIDISTGGIK -VERTSKSQEWIKKNDCLTRNRNEFNMRETSKDNKVIYFKGLSVMNVSMSKKKRIIKHEELKGKITKGLEY -DTSERQYDPNDDYVSLDLSSFTHAKKLIRHDNEESLEWCSQIQDGLFVLHNSDIRENCKVATVYNNYTKN -PENLFTQSTIIKTEMETCKKINKLCNDLAIYNYAEDMMQISKGLMVADRYMTKESFKILTTFNTSMLVLA -FKGDGLNTGGSGVPYILVHMVEETLSEQFSVCYTKEIYSHFSFGSHVVYIMRPQRLNQVRLLSLFKSPSK -VPVCFAQFSKKAHELEGWLKIKDMQEVQTLSMSSNVRRIMRNIVFSSVMIGTVTKLSRMGIFDFMRYAGF -LPLSDYSNIKEYIQDKFDPDITNVADMFFVEGIKKLLLKMENLNLSTSAKPVVIDHENDVIGGITNLNIK -CPITGATLKTLEDLYNNVYLAIYMMPKSLHNHFHNLTSLLNVPAEWELKFRKEMGFTLFEDIYPKQKMFQ -DNELFSINGVLNLKSLSDYYASTVENVGLMRTEIENKEDFLSPCYKISTLKSSKKCSQSNIICSDDIINC -LQEANVRSLEDLSPKNLAILKGLLRTLHEDKNRLYEFFEDHSENPYYLMEKMKTIKSSEKITTGKSKTSK -FIRNNHPLTVETYLKTKLYFRNNITVLKSKKVSEELYDLIKQYHNIMDIDMESIMNLGKGLEGKKHTFLQ -MLEFVMSKAKNASDAIDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISISGD -NKIRALSMLSMDTITSYNDILKNSKNKSKLAFLSADQSKWSASDLTYKYILAIIMNPILTSGECTLMVDC -LMMYVKLKKVCIPTDIFLGLRNSQEKFGTNETAIGLLTKGLSTNSYPVSMNWLQGNLNYLSSVYHSCAMK -AYHRMLESYKKCEFQTRWIVHSDDNATSLIADGDIDKMLTDFSSKSLSEMVFRSIESHFKSFCITLNPKK -SYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSINVTMLLRKGCPNEVIPFSYGA -VQTQALSIYSMLPGEINDTMRICKKAGVNLEHNEIPTNLGGWLTANVESLSLLGPSSNDQTIYYNIIRDF -LKKDDFEQVKQSTSSERFLDLRFEELKQKKERDKLELNDKKMIFLMNLFEQSSVSEDSDVLNIGMKFQTM -MTQIIRLPQFINENALSKMSSYNDFCKLYPHLRKNQQLHNSTKEIKSDEDMLIEDLDDYEKIAPANEMEE -VHEIMIKNPETILIAPLNDRDFLLSQLFLYTSPAKRNQLSSQSTEKLALDRILRSKARTFINPDSDTKMT -YDENLEKKISTMKPLNEDSVSVFKTCINLVLKDVNFAMAIPIIDNIYPCEARRRDNYNFRWFQTEKWIPV -VEGSPGLVVMYSIYGSDYIEKLGLKNIPLTDNSINVLTGTFGSSLMLEDVKYYVKGLEVFETEEFQNSNR -CQRAVKACNYMITAQNRLLAINTCFSRKNFPFYSKFNLGRGFVSNTLALMSSIYSKEESFHFMSNVHFKI -DKSIRAIISAQQDLNLERILDTAVYISDKMQAIFPDITRFDIMTILKNVCIDSVSIWDTLDSKMDKINHA -MERKMTTSNILLSHNSELNTIQKQIIWLYNMGLCSKKTLNFVIRYIRRSDVRYVRTEEQDSFGNYVSGTV -YKIGTMNQNNYVQLMASETDIAISLRTPYDIRDERDVLYSAHKDSIEKLLSKFLFDKGNVIRSKQSQTVF -LNPGQACLRTTTDGKLIAKVNPTPKLLKVDNVKLIMDINYENVNSDVWSIIESQKQIDLRLPETGECYSE -MYKTIDSEQGLIYEMKSNLIKSLTFINTFADLNESVYSIDDEVTRETIFDFIDSIRNDCLEGLETSKSVE -EYEEFLDTHGFRETVSLFKNMIESLESLDAEYSPIFLNITDKYQKFSEDLGNFKSMLLMLKYSLVNDASG -FKSYRATGAHAIGLTMKKHIEIGEFNLLGLIQLIKACESCHNSDSILNLVSLRNVLSKTYTISSRKIQLY -YNINLQNDLMERSYDFKTLVLPDINLSDYSKEILKENGFVVSGENIKIDREIGDEDFVGLASFDVMRLDE -EQMFDEIVKDMKIKRKKKGYLFPSNTLILSEMIKFLINGNKRTSFDVESLLRNSFNVTIFAGSRLGKVST -SVPSLKIYSTVFMEYEKRDCPLNEISECLEGFLKITKSEISEPILEGKLKKVLIQLRNEKNKSKKLEVFR -AIYGFLSNNPLCLTDKTLYGRMTFEDINRYIMETREIIINKIKELDDGDSSDSIEILLKYLNEAN - ->NP_619688.1 L protein [Peanut bud necrosis virus] -MNIQTINNFLDTSGEITVYLRSIKDEIMSMSNIVERGYPEGKGVIESGLNSIMSMNYVLDRIEDMKSRYH -QSSSSIDQKEMEKEIYLFLDKYKELELMRHDLFGVLAGRRLHFAPKHRSDVFLKDCLMSYIEFCNTSTNI -INQIVDIDGLKEKLVFQHLTPDNYTIYKETKGEKACLMIYDWKVSVDAVSEAKTSENYYTSVWKTFKDIT -IDGQPFLERHPIFITIVVLNPSGNMPIVATTSRVIQEFRNSPYKTFNDRKKAASAAKLISVNSLGQLIGL -GADCFRRFYSETQTFKNSLLTKVGEYMNRSSEVFFSHWSYEYRKTNLSNNQISQDIIDLIDAMPNNTVNK -ETLVHFLFGNYLYFKETMSDLHLKDRFEGYKAHCEMMKVKPIKTEEKLKEYLEENELIFENLYEDHLEKI -KSDVLYRKAKEVEIESIERAFNINAEEYQKEYPGCFTNDLQETKTNFSICWSPMTEELEMNERNYNNAII -QSFRDAFDEQPKLIHHKSYGGSKMDIHFSMTLFNLVRTCLVDLSTDTTGHSKATLEDVVDVKDGSIQVKR -TETSQKWKDIGKVKTRNGNEFTLSPSADNEARKHFFKGLSLMYIDMGKKKKIDIKNELKSKIQESIAKQK -DEDSNGPSGEYDVSKANIPFQTSIKGVTHNKKLIRHDNPDVKYHCESMIEAMYVLYGMDERKNKPSKISS -VYDEYCSNPASLFAKGNLIESEMTIAKNIHEVAKELSVYTYSEDMMQMAKGLMVADRFMKKTDFKILTCA -NTSMICLAFKGDGINTGKSGVPYITLHKVEKGIQPFFASLYTKELITSFKAGNYFINIMRPQRLNQVRLL -SLFKAPSKVPILFSQYSLLSTEIKKWLNQSSVDVFTCPENKIQYLQKILFSSVIIGTVTKLSRMGIFDFM -RYAGFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGIS -DLDIVCPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWEIKFREKMGFMIGDEIKPK -KEMFNDSGPFSINGVLNVKALFDYYRKNIINVGACRSNIEDKEDFLSAPYKIKTLTSSKKCSKADIIKSS -EIISALKSTFGKHPDDIKGSDLYILKGVLKCFVEDRESLLNFMEMENLEESKYLHFFSNMMSGSNKVLMK -TNQDKFYYKSHPLTVETFMKVRYGYFKTTPVLKSKKVSEELYDLIKEFNKITEIDLESLEKLGRGLKGNR -VTFIQLLEFILMKSRTNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHVAQSDPSEAI -SISGDYKIKNLASLSYDTITNYNTALQKNLECKMAFLSADQSKWSASDLTYKYILAVMMNPILTTGEINL -MCECIMMYVKLKRVCIPTDIFLNLKRRQTEYGSYGTALSVLADNLETNTFPVSMNWLQGNLNYLSSVYHS -CAMMGYVKAMQKMKDYDFTIRWMVHSDDNATSIVVRGDIKKLLSSFNCSSLSELLFRSIQSHFKSYCITL -NPKKSYASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSIHITMLLRKGCPNELIPF -AYAAIQIQSLSIYSMLPGEENDITSIVKDINFPLKKREIPICAGGWMHAPIELLSILGPSSNDQLIYYKI -ILDFFNVKDFSSLKKNVNSLGYIDLRINELFKRIKYQNIIEADKKMICMVNLFKTSLMSEDCDSLNVGMK -FQSMITQIIKLPSFVSEGSLLKNSSFQDFCKLFPNLRKNTDIIDALKPVSLDERDLGEINESKFLSQIQL -EELSRHMSSHPESFLIAPMNDKDYILTNLYAYSSVSKRNQMSSQSTEKLALDRILRSKAKTFLDPNSKIM -VSYKDNMSMKMKEIMSSEGNDFKIINTISGLMVRDMNFEMVVSLMENTVANASIPKANYNFRWFITEKVP -SVIEGSPGLIVMSAVYGMDYLIELGLKKLPLTETSISILHDIFGNRKTFDDVKECIQNVSKQYKTEEFQN -ADILKRYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNTLAIWSTIYSRLTNINFYTNLN -FVIDRSSRLIISLQRDMNLEKSIDCCAYVSDRLQSLFPAMTIESIKQVLCRLNFNGVDLMKKMKSEISEV -KRAINNIKTSTHVTLSFRPQMIAMSKHAAWLYNFDYINEKEFKFVIDQIRQSEVHYIKTDEQDVRGYYVS -GHAYKIGIKTQYNYGQLAMSNQDISIHLHSPYEYQREDDGKIWEVHVRSAYKLLQKLLLDKQSAIKTFLN -VRADLMPNQFCIHESSNKTLLILINDTTRPITLEKIKFKGDIKYIPSSDFAWNLMNNQSKYRLRKAETGE -CYTELYKMIDNDDSLMDTILANLKKSLEYGNEMENLIEQSIQGIDDSDAIECIKDSVDQIHKLAVEGLET -CKTSEEFENYLKRVDFQSTVDFHKGLLDQIVEEKYKSSDRVDEAVEKLTRWTNSLSTFKDVCTMLKFSMI -NDSKGIKTYKANGADFHSLSASEVMTSQGFDLFELLKLIKACEACHTSNSVLNLIAFQNIKNKTFIPGFK -RSLPNTVHFDYEMKLNNEVMSNFMNINISLQDIKISERVIQVLEAHGFSVTGQNLKLDEVNLELNPVEII -DDSSTYDQIARQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGEEHDIMKLLRSHFAMRPVRENRLLCV -QDAVMTCRISGFIQRHFLNDKKEIVLLGVADSLENFISLATPNYAVQEPFKAEALIKKALLEVYDSGKHK -ILKNIRSYIVADVEFLTEKCLYSNITNEEISSMIFNAVSKIDLELANLKPKKRKKRLDLHDVFNKFLGSG -ATSSEQE - ->NP_049362.1 RNA polymerase [Tomato spotted wilt orthotospovirus] -MNIQKIQKLIENGTTLLLSIEDCVGSNHDLALDLHKRNSDEIPEDVIINNNAKNYETMRELIVKITADGE -GLNKGMATVDVKKLSEMVSLFEQKYLETELARHDIFGELISRHLRIKPKQRNEVEIEHALREYLDELNKK -SCINKLSDDEFERINKEYVATNATPDNYVIYKESKNSELCLIIYDWKISVDARTETKQWRNTYKNIWKSF -KDIKVNGKPFLEEHPVFVSIVILKPIAGMPITVTSSRVLEKFEDSPSALHGERIKHAKNAKLLNISYVGQ -IVGTTPTVVRNYYANTQRIKSEVRGILGDDFGSKDVFFSHWTSKYKERNPTEIAYSEDIERIIDSLVTDE -IPREEIIHFLFGNFCFHIETMNDQHIADKFKGYQNSCINLKIEPKADLADLKDHLIQKQQIWESLYGKHL -EKIMLRIREKKRKEKEIPDITTAFNQNAAEYEERYPNCFNDLSELKLTFHDLVPSLKIELSSEVDYNNAI -INKFRESFKSSSRVIYNSPYSSINNQTNKARDITNLVRLCLAELSCDTTKMEKQELEDEIDINTGSIKVE -RTKKSKEWNKQGSCLTRNKNEFCMKDTGRENKTTYFKGLAVMNIGMSSKKRILKKEEIKERISKGLEYDT -SERQADPNDDYSSIDMSSLTHMKKLIRHDNDDSLSGKRFKGSFFLLHNFNIIEDGKITSVFNNYAKNPEC -LYIQDSVLKTELETCKKINKLCNDLAIYHYSEDMMQFSKGLMVADRYMTKESFKILTTANTSMMLLAFKG -DGMNTGGSGVPYIALHIVDEDMSDHFNICYTKEIYSYFRSGSNYIYIMRPQRLNQVRLLRLFKTPSKVPV -CFPQFSKKANEIGKSLKNKDIEKVNLFSMTMTVKQILINIVFSSVMIGTVTKLSRMGIFDFMRYAGFLRL -SDYSNIKEYIRDKSDPDITNCGRYLFRNGIKKLLFRMEDLNLSTNAKPVVVDHENDIIGGITNLNIKCPI -TGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNLPAEWELKFRKELGFNIFEDIYPKKAMFDDKD -LFSINGALNVKALSDYYLGNIENVGLMRSEIENKEDFLSPCYKISTLKSSKKCSQSNIISTDEIIECLQD -AKIQDIENWKGNNLAIIKGLIRTYNEEKNRLVEFFEDNCVNSLYLIEKLKEIISSGSITVGKSVTSKFIR -NNHPLTVETYLKTKLYYRNNVTVLKSKKVSEELYDLVKQFHDMMEIDLDSVMNLGKGTEGKKLTFLQMLE -FVMSKAKNVTGSVDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISISGDNKI -RALSTLSLDTITSYNDILNKNSKKSRLAFLSADQSKWSASGLTTYKYVLAIILNPILTTGEASLMIECIL -MYVKLKKVCIPTDIFLNLRKAQQTFGENETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAMKAY -HNTLECYKNCDFQTRWIVHSDDNATSLIASGEVDKMLTDFSSSSLPEMLFRSIEAHFKSFCITLNPKKSY -ASSSEVEFISERISKWSDYSSLLQAFSKLLHRIFAYKLFDDLMSLSIHVTMLLRKGCPNEVIPFAYGAVQ -VQALSIYSMLPGEVNDSIRIFNKLGVSLKSNEIPTNMGGWLTSPIEPLSILGPSSNDQIIYYNVIRDFLN -KKSLEEVKDSVSSSSYLQMRFRELKEKYERGTLEEKDKKMIFLINLFEKASVSEDSDVLTIGMKFQTMLT -QIIKLPNFINENALNKMSSYKDFSKLYPNLKKNEDLYKSTKNLKIDEDAVLEEDELYKKIASSLEMESVH -DIMIKNPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSNQSTEKLALDRVLRSKARTFVNISSTVKMTYE -ENMEKKILEMLKFDLDSYCSFKTCVNLVIKDVNFSMLIPILDSAYPCESRKRDNYNFRWFQTERWIPVVE -GSPGLVVMHAVYGSNYIENLGLKNIPLTDDSINVLTSTFGTGLIMEDVKSLVNGKDSFETEAFSNSNECQ -RLVKACNYMIAAQNRLLAINTCFTRKSFPFYSKFNLGRGFISNTLALLSTIYSKEESYHFVSTASYKLDK -TIRTVVSAQQDMNLEKILDTAVYISDKLQSLFPTITREDIVLILQNVCLDSKPIWQSLEDKMKKINNSTA -SGFTVSNVILSHNSELNTIQKQIVWMWNMGLCSHRTLDFVIRYIRRRDVRYVKTEEQDESGNYVSGTMYK -IGIMTRSCYVELIASDQDVAVSLRTPFEILNEREYLFDTYRESIEKLLAEIMFDKVNIINQTTTDCFLRT -RRSCIRMTTDNKMIVKVNATSRQIRLENVKLVVKIKYENVNSDVWDIIESQKSLVLRLPEVGEFFSDMYK -TADSETETIKTIKNRLMTSLTFIEAFGNLSQQIKEIVDDDIRETMDEFLMNIRDTCLEGLENCKSVEEYD -SYLDENGFNDTVELFENLLRTHDNFENEYSPLFSEIVDKAKQYTRDLEGFKEILLMLKYSLINDASGFKS -YRATGMHAVELMAKKHIEIGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYATFGRRIRLDHDL -DLQNNLMEKSYDFKTLVLPEIKLSELSREILKENGFVISGENLKMDRSDEEFVGLASFNVLRLDEEEMYE -GLIKEMKIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFRPDIFSTDRLGRLSSSVPAL -KVYATVYMEYKNVNCPLNEIADSLEGYLKLTKSRSKEHFLSGRVKKALIQLRDEQSRTKKLEVYKDIANF -LARHPLCLSEKTLYGRYTYSDINDYIMQTREIILSKISELDEVVETDEDNFLLSYLRGEEDAFDEDELDE -EEDTD - ->AGS78403.1 RNA-dependent RNA polymerase [Capsicum chlorosis virus] -MNIQTINSFLDTSGEITVYLQRIKDEIMLMSNIVERSYPEGKSVIESGLNSIMSMNYILDKIEDMKSKYH -QSSSSIDQKEMETEIFLFLDKYKELELMRHDLFGVLAGRKLHFAPKQRSDVFLKDCLMSYIEFCNTSTSI -MNKISDIDGLKDKLVFQHLTPDNYTIYKETSGERACLMIYDWKVSVDTMSETKTSENYYTSVWKTFKDVT -VEGQPFLERHPIFITIVVLNPSGNMSITATTSRVIQEFRNSPYKTFNDRRKAALAAKLISVNSLGQLIGL -GTDCFRRFYAETQAFKNSLLSKVGEYMNRSSEVFFSHWSYEYRKTNLSNNQVSQDIIDLINAMPNNKIKK -EMIVHFLFGSYIYFKETMSDLHLKDRFEGYKAHCGMMNVVPIETEDKLKEYLDKNEDSFEKLYEDHFVKI -KSDVLARKAKEIEIDSIETAFNINAEEYQKEYPGCFTNDLQETKTNFSICWSPMTEELKMDELNYNNSII -QSFRDSFSDQPKLIHHRSYGGSKMDTHFSMTLFNLVRTCLVDLSIDTTGHSKAALEDVVDIKDGSIQVKR -TDASQTWREVGSVKTRNGNEFTLSPSTSNDARKHFFKGLSLMYVDMGKKKKLDIKNELKSKIQEFKAQKE -DGISEGPSGEYDISKANIPYQMSLKSVTHNKKLIRHDNPDVKYFCESMIEAMYVPHGMDERKNKNGKINT -VYNEYCSNPASLFAKGNLIESEMTIAKNIHEVSKELSIYTYSEDMMQFAKGLMVADRFMKKTDFKILTCA -NTSMICLAFKGDGINTGKSGVPYITLHRVEKDIQPYFVSLYTKELVASFRSGNYFVNIMKPQRLNQVRLL -SLFKAPSKVPILFSQYSLLSTEVKKWLNQPSIDVFTCPENKIKYLQKILFSSVIIGTVTKLSRMGIFDFM -RYAGFLPLSDYSNIKEYIAEKFDPDITNVIDCFFVSGIKNLLLKMEGINLSNSIKPLTIDQENDMSGGIS -DLDIVCPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWELKFREKMCFKLDEEIKPK -KEMFNDSGPFSINGVLNIKTLFDYYKKNISNVGACRSNIEDKEDFLSAPYKIKTLTSSKKCSKADIIKST -EIVTALKSVLGKTPDDIKGSDLYILKGVLKCFEEDKESLANFMEIEGLDESKYFHFFSNMMSGSNKVLMK -TNQDKFYYKSHPLTVETFMKVRYGYFKTTTVLKSKKVSEELYDLIKEFNKITEIDLEALEKLGRGLKGNR -VTFIQLLEFILMKSRNNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHVAQADPSEAI -SISGDYKIKNLASLSYDTITNYNTALQKNLECKMAFLSADQSKWSASDLTYKYILAVIMNPILTTGEINL -MCECIMMYVKLKRVCIPTDIFLSLKRGQTEYGSYGTSLSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHS -CAMMGYEKSMKKMKAYDFTIRWMVHSDDNATSMVVRGDIKELLVSFNCSSLSELLFRSIQSHFKSYCITL -NPKKSYASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSTHITMLLRKGCPNELIPF -AYAAIQVQSLSIYSMLPGEENDISTIVKEIDFPLTKREIPVCAGGWMYAPIELLSILGPSSNDQLIYYKI -ILGFFNIRDFNSLKKNVNSLGYIDLRINELFKRLMSGKITIADKKMICMVNLFKTSLMSEDCDSLSVGMK -FQSMITQIIKLPSFVSEGSLLKNSSFVDFCKLFPNLRKNTDILDALKPNDTDERNLGEINESRFLSQIQL -EELSRHMSSHPESFLIAPMNDKDYILTNLYTYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDPNSKEM -VSYKENMSLKMKEIMSATGNDFKIINTISELMVRDMNFEMVVSLMENTVANASIPKANYNFRWFITEKVP -SMIEGSPGLIVMSAVYGMDYLIELGLKKLPLTETSISILHDIFGNRKTFDDVKECIQNNNHQYKTEEFQS -ANILKRYVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNTLAIWSTIYSRLTNINFYTNLN -FVIDRSSRLIISLQRDMNLEKLIDCCAYISDRLQSLFPDMTIESIRQVLCRLNFNGVDLMKKMKSEISEV -KRAINNIKTSTHVTLSFRPQMIAMSKHAAWLYNFGYINEKEFKFVIDQIRQSEVHYIKTDEQDIRGYYVS -GHTYKIGIKTQYNYGQLAMSNQDISIHLHSPYEYQREDDGKIWNVHVKSAYKLLQKLLIDKQSAIKTFLN -VRSDLMPNQFCIHESSNKTLLILINDTTKPITLERIKFKGDIKYIPSSDFAWNMMSNQSKYKLRTAETGE -CYTELYKLIESDNLMDTILINLKKSLEYGNEMENLIEQSISGIDDEETIDYIKDSVDQIHKLAIEGLETC -KTSEEFENYLERVEFQTTVNFHKELLEQIVEDKYRATDRINSAVEKLTQWTNSLSTFKDVCIMLKFSMIN -DSKGIKTYKANGADFHSLSASEVMTSQGFDLFEILKLIKACEACHTSNSVLNLIAFKDVRNKTFIPGFKR -IKPNTVHFDYEMKLNNEVMNKFYEYKTISLQDIKVSDRVRAVLENHGFSITGQNLKLAEADLELNPVEII -DDSSTYDQIARQMRLTKKKSSYLIPANTLLLGELMKFLMLCIKGEEHDIMKLLRSHFAMRPVRENRLLCV -QDAVMTCRISGYIQRHFMNDKKEIILLGVADSLENFISLATPNYAIQEPFKVEALIKKALLEVYDSGKYK -ILKKIRNYIVADVEFLTEKCLYSNITHEEISGMVFNAVSKIDLELSNLKPKKRGNKRLELHDVFNKFLGS -SSDSLTQE ->AQX77525.1 RNA-dependent RNA polymerase [Pepper chlorotic spot virus] -MNIQTINCFLDSTGEINVYLQNIKDHLMIMSNIVEHKFKNGSSIIDSGLNSIMSMNCSLDKIESMKIHYY -QNSSTIDKKEMESEIFLFLDKYKELELMRHDLFGVIASTRLHFAPKYRTDVFLKECLVDYIEFCGASTAI -MNKIDKPQDLMSSLVFQHLTPDNYVIYKEGKGQKACLMIYDWKVSVDTVTESKTSENYYTSVWKTFKDVV -IEGEPFLERHPIFITIVILNPVGKMGITATTCRVLQEFKNSPYRTFVDRQRAAHQAKLINVVNLRQILVH -DADIFCRFYAETQTFKSSLLGKVGDYMNRANEVFFSHWSYEYKKTCLADNAMAIDIIELINSMSTDKIGR -ELLVHFLFGSFLYFKDTMSDIHIKDRFLGYQRCCEMMGIEPAGNEIELKDYLDSNEQLFEDLYKDHAEKI -RRDVLMKKSKEIEIKSIEEAFNINADEYQKEYPNCFTNNLQETKTNFSVCWSPMVEDLDMNGLNYNNAII -ESFRNSFSEATNIIYNKPYGGPTVEKGFCNTLFDLVKTCIVDLSCNTTGYTKEKMVDVVDVKDGSIQIDR -TDQAKSWIEIGNIKTRNNNEFTLSQKTTSDSRRCFMKGLNLMHIDMGKKKKSEARDDLKSKLQDAKMKSV -EGGEYDPSKIEEDQNIDLKKVSHKKKLIRHDNADVDYFCQSMIQSMYVLHALDTRKDKEGKIDKVYNEYC -NKPESVFTKHNLIGTEMETCKNINAVAKELSIYSYSEDMMQISKGLMIADRFMKKTDFKILTCANTSMIC -LAFKGDGINTGKSGVPYITVHRVDNDAQPHFVSLYTKELVISFRSGNYHINIMRPQRLNQVRLLSLFKSP -SKVPILFSQYCLLSKELKNWLTKPKVTIFSCPNNRIFNLQQILFSSIIIGTVTKLSRMGIFDFMRYAGFL -PLSDYSNIKEYIAEKFDPDITNVIDCYFVSGIKNLLLKMEGINLSSSVKPLTIDQENDMSGGISDLDISC -PITGSTLRTIECLYNNVYLAIYMMPKSLHTHIHNLTNLLSVPADWELKFREKMGFKLNEEIYPKKEMFND -SGPFSIDGVLNVKTLFDYYQKTIDNVGACRSNIEDKEDFLSAPYKIQTLTSSKKCSKSDIIKNIEIKDAL -KNSLNKDPESIKGNDLYILKGVLKCFQEDRETLNSFLEAMKLDQAEYYSYFSKLLSGDSKMIMKTNKEKF -YHNSHPLTVESYMKVRYGFFNSTTVLKSKKVSEELYDLIKEFNKITELDLESLENMGRGLTGNRVTFLQL -LEFVMMKTKSNAGNTDFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISVSGDY -KIKNLASLSYDTITNYNTALQKHECKMAFLSADQSKWSASDLTYKYILAVIMNPILTTGEINLMCECILM -YVKLKRVCIPTDVFLNLKRGQTEYGSYGTSLSTLTENLETNTFPVSMNWLQGNLNYLSSVYHSCAMMGYE -KAMKTNKDLDFTIRWMVHSDDNATSMVVKGDIKKLFKEFNCQSLSELLFRSIQSHFKSYCITLNPKKSYA -SESEVEFISERIINGAVIPLYCRHLANCSTESSHTSYFDDLMSLSIHMTMLLRKGCPNELIPVAIAAIQV -QALSIYSMLPGEENDIMTIIKDSDMPLEKNEIPTCAGGWLTAPVEVMSILGPSANDQLIYYKILLDFFKV -KDFNMLKKNVSSLGYVELRRCELFKRVKNGTLTTEDKKLICMVNLFKISLMSEDSDSLSVGMKFQTMITQ -IIKLPSFVSESSLQKNSSFKDFCKIFPNLKKNTDILSALKNKNGANEYDIDESSDKSLMSKIQLEELHRH -MASHPEAFLIAPMNDKDYILTNLYAYSSVSKRNQMSNQSTEKLALDRILRSKARTFIDSDSKEMMTYKEN -MMLKMELLKKVDGNDFNSIKTISDLMIRDMNFEMVISLMENTVANASIPKSNYNFRWFITEKVPSIIEGS -PGLIVMSAVYGMDYLTNLGLKKLPLTIDSIAILHDIFGYKGIFDDVKNFIRNEGKDYKTSEFLESDVLKK -YVLSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGRTFITNTLAIWSTIYSRLTNINFYTNLNFVIDKS -SRIIVSLQRDVNLEKMIDCCSYVSDRLQGLFPDMTKNSIREILNRLNFNGIDLMKKLISELKEVKRAINN -VRTSNHVTLSFRPQMIAMSKHAAWLYNFELINEKEFKFVIDQIRQSEVHYIKTDEQDVKGYYVAGQSYKI -GIKTQHNYSQLSLSNQDVSVFLHSPYEFKREDDGKIWEVHVKSVYKLLQKLLYDKSSMIKTFLSIRAELL -PGEFCIHESSNKTMLILINDTTRSLNIERMKLKGTIKYTPSSEYAWETMTNHSNYKLRLATVGECYSDLY -KSVDDQGNVIKNVLNNLKTSLEYGEEMGNIVEQSLAEIDDEETVEMVRDSVQQIHDVAYRGLELCETSEE -FEEYLITEDFQDMVDLQRDLLESIVDEKYKIGAIVEGTARKLSRWTNSLSNFKNVCTMLKFSMVNDSKGI -RTYKATGLDFNSLSSSEIMTSQGFDLFELLKLIKACEACHSSNSVLNLFSFRNIKNTKYIPGYRRFLPNK -VHFDYDIVLNNDVMKRFYEYKTISLMDLKLSRAAKEVLMENGFSITGETMKLDEEIIEPSRAQLQDSMSA -YDQVAMQMKLTKKKSAYLIPSNTLLLGELLKFLMLCVKGEEYDVMKLLRNHFRVEAPRDDRLTSIQDAIT -SCKISGFIQKHFMNDKKEIVLLGIAESLENFMFLASPDQYGEEQINAEELVKKALAVNEQRFKHKILTKM -RKYITTDVDFLTERCLYGNMSSEEINLLIFNAVSKIDLELSVLNPKKKKKRAKMLEDLRGFLDSDTPQGS -QEG ->BAG82842.1 RNA dependent RNA polymerase [Melon yellow spot virus] -MNIQTINSFLDISGEATVYLKNIKDELLRMSNTVQKKFSDGNETIEAGLNSIMSMSHILDKIEEMKSSYH -QSTSSIDQKEMGDQISLFLDKYKELELMRHDLFGVLASTRLHFAPKYRHDVYLKECIVDYLEYCHKKTSI -RNTITDLDNLLETLVFQHLTPDNYTIYKETNGERACLMIYDWKVSVDTMTESKTSENYYTSVWRTFKDIK -IDDEPFLERHPIFITIVILNPTGPMNITVTTCRVVQDFKQSPYKTFPERKKAANLSKLISVNTLRQITGH -ASECFNRFYAETQTFKNLLLSRVGDYMNRSNEVFFSHWSHEYKKTNLSNNQMSKDVIDIINSLKNPKICK -ELIINFIFGNYVLFRNTMSDLHSKDRFEGYKSHCEMFGVVPAGNEEELKDYLEANDDLLSELYDDHLKKI -KKDVVSKKEKEVQIESIEDAFNINAEDYAKEYPGCFTNDLQETKTNFSICWSPSTDDLIMDEMNYNNAII -DSFRKSFLEEFRLIFNKPYNGVAADHHFGNSLFDLVKTCLVDLSTDTTGQDKITLEDVVDVKDGSIQVQR -PEKSKIWKNVNGVTTRNGNEFSISQSTSNTAKKLFFKGLTLMNIGMGKKKKADCKDELKSKLQQIKLTKE -AEGGEYDISKSETILNTSLKAVTHQKKLIRHDNPDVDYYCKSMIESMYVLHGLDSRKKNEGKISSVYNEY -CKNPEEIFTKGNLIETETTISKNIHAVASELSVYSYSEDMMQIAKGLMVADRFMKKTDFKILTCANTSMI -CLAFKGDGINTGKSGVPYITLHKVEKEIQPYFASLYTKELVVSFLVDGYYVNIMRPQRLNQVRLLSLFKA -PSKVPVLFSQYSLLSSEIKSWLNKPSVNIFECPENKIHNMQKILFSSVIIGTVTKLSRMGIFDFMRYAGF -LPLSDYSNIKEYIAEKFDPDITNVVDCFFVAGIKNLLLKMEEINLSNSIKPLTIDQENDMSGGINDLNIT -CPITGSTLKTIECLYNNVYLAIYMMPKSLHTHVHNLTTLLSVPAEWEIKFRERMGFKINEEIVPKEDMFN -DSGPFSINGVLNIKTLFDYYRKNIDNVASCRSNIEDKEDFLSVPYKIKTLTSSKKCSKSDIIKNSEIATA -LKDVYGKDPSTIKGNNLYILKGVLKCFSEDRESVQTFLEVENMNVKNYVHFFANILSGDDKVTMKTSSEK -FYYKSHPLTVESYMKVRYGHLKTTTVLKSKKVSEELYDLIKEFNKIMEIDLESLEKLGRGLDGNRTTFMQ -LLEFIMLKTRANAGNTNFLVSVFEKMQRTKMDREIYLMSMKTKMMLYFIEHTYKHIAQSDPSEAISISGD -YKIKNLASLSYDTITNYNTSLQKNLECKMAFLSADQSKWSASDLTYKYILAVLMNPVLTTGEINLMCECI -LMYIKLKRVCIPTDIFLNLKRGQKEYGSYGTALSVLTDNLETNTFPVSMNWLQGNLNYLSSVYHSCAMLG -YEKAMKRNKDYDFTIRWMVHSDDNATSIVARGDIKSLLQSFKCNSMSELLFRTIQSHFKSYCITLNPKKS -YASESEVEFISERIINGAVIPLYCRHLANCSTESSHNSYFDDLMSLSTHVTMLLRKGCPNELISFAYSAI -QVQSLSIYSMLPGEVNDINSIIKDIEFPLSKKEIPTCAGGWMHAPVELMSILGPSSNDQLIYYKIILEFF -DLKDFSTLKKNIDSLGYVTYRINDLFKRINNNNLTSADRKMICMVNLFKTSLMSEDCDSLSIGMKFQSMI -TQIIKLPSFVSESSLMKNSSFQDFCKIFPNLRKNSEILDGLNYTTADEMDMDEMSESKFLSSLQLDELSK -HMATHPEAYLISPMNDKDYILTNLYAYSSVSKRNQMSNQSTEKLALDRILRSKAKTFLDPDLKEMVTYRE -NMLLKMKQIVSTEGNDFKIINTISKLMVRDMNFEMIISLMENVVANASIPKANYNFRWFITEKVPSVIEG -SPGLIVMSAVYGMDYLVNLGLKKLPLTETSISILHDIFGAKKTFDDVKNCLSGGSDEMKTDEFRISSKLK -QTVMSINYMIQSQNKLLSINTCFSRKNFPFYSKYNLGKTFITNVLAIWSTIYSRLTNINFYTNLNFVIDR -SSRLIVSLQRDMNLEKLIDCCAYVSDRLQSLFPDITVEAIQEILNRLNFNGVDLIRKMKSEINEVKRAIN -NIKTSSHVTLSFRPQMIAMSRHAAWLYNFGYINEKEFKFVIDQIRQSEVHYIKTDEQDVRGYYVSGHSYK -IGIKTRYNYVQLAMTNQDIQIQLHSPYEYKREEDGKIWEVHVKSIYKLLQKLLSDKQSVLKTFLSIRGDL -LPNEFCIHESSNRTLLILMNDTSKPMKIERMKFKGSVNYLYTSDFSWDLMDNQSKYQLRKALTGECYSEL -YKTIDDSDEFVKVILSNLKQSLECGEEMDSIVEKVLDGIDDEESIDLIKNSVEQIHDVAIRGLTECKTSE -EFENYLRDEEFQDLVDFQVSLLQQIIDEKHGIDGRIEKAVQQLTSWTNSLSRFKDICTMLKFSMVNDSKG -IRTYKATGSDFNSLSASEIMTSNGYDLFEMLKLIKACEACHSSNSILNLMAFKNIKNKRFIPSHRRFRSH -TVHFDFHLILNNEVMSNFYEYKTVSLQDVKISNHVKEVMSNHGFTITGHVMKLDESGLELNPVEIVDDSS -TYDKVSKQMRVTKKKSAHLIPANTLLLGELMKFLMLCVNGEEHDIMKLLRSHFEMRPFRENRLLSIQDAI -MTCKISGCVQQHFLNDKKEILLLGVADSLENFINLAIPDHPFLEPFKADLLVRKALNEKDANRKYEILEN -IRNCMTSDVDFLTEKCLYSNSTNEEINALIFNAVSKIDSEMSSLRPKNRNDKQRLYNLFKEYAEAGSSQS ->APG79361.1 RNA-dependent RNA polymerase [Hubei orthoptera virus 2] -MEEEGAVADWAEDTEEGEGYIWDNQGVPEASDGLRLENRTTEDLKERMAEIVSDIEKVQNRISNLLDSKP -DFDIFWLSERVLDMEAPSEFVEMSKALRQQEILSEEMRRISNLIEKRMERVGREIPVYHLDDSISTGGGI -RTADRLVDKFNHSRREATVNFGRPLGGVINKEMEANKENLQADVDRPTTAEVEQTRDVLNTIDRSGLALT -EGRVPASSYVTILNYNDAIEKIQTSKERLTEHFNAIQCKEEVSVIDIINEIDLYYFLRHEVLNILVHQSL -GVQYGHEKKIRYTLSDYLIVMGANALYEALYEENNTNYTSSKELEEIAVIKKGMEKTPDFFGPLPDNDRM -VLMDVSVGYGSHEQTKSKQEKYGPILDALSYFGISIDYLHYHADLSVGNFVEISELNTDVTVEFPWEMIR -EVEDVVNGIKNTGPKEILDSLQSRDGSNNTFEPILSEEAMYWAEGLPDKIREVFTEEDAARLLYIVENAS -IDTTKNLHDMLGKKYVNNVKEFVKNEEISFNKKVLERVDTATFNKSIEEHSRLMGESFEVRVEPKSYTPV -LYTIGVRMSHKEQNLYKTIEYKDPKHRDLKFWCKILHSKPTQENYDADAYVWLEMLKNMFKVFDTDPDVF -SELKLCKTGLDINKDVVDREPIEAEFNKKVEGMNEDGRVSETFKKVIAYKKAGVFGFNKNECIYFPKPSR -YKEVVEELAGIGAKKKKPSTGTERQWTLDYRNKVYTTAFDSEIKGFIHGKTYGELMGFAEGISKGFTNFL -MLSNQHKDFKVVHTGDLRNIIIACPCGGSGVKEGDNLYFMLTLIPHEYIKIEKKHVFGLQNVAYKFRLKS -DSFGPDELLYISKPFRLSNNRIQNLMESLSAFETALEVINYNIESRDEGEILYQENQPTNLDDLEQAEEA -EEEEETFAEKLEENHKEKYQKHMRNLTLDQINSFKRTETEKEQKVMYPRIRRPMFEPPKNCEDSSIQFSK -DSMVKKDYELVVGTILCCVLSQNIRISNYLDGGRYMLPNCVGTHSGVHHYLLDSLVTPCQSILDCKLMKN -LIEACRFNIEKGPEQKSTALIFSGTEMLENQRTYVHWPSKGLLKIEYNNPNQLTRELNTLFFCVKKGLHP -DTLNKIKLNTKILEVQKQQEKDGVNPGGRRLEDYLKGKFARSDYNVEAVMASTYMALRGVHDKQKVRDKF -KYVMDGRCISQPTFISSKSQLSNLETRKEEIFKIRTWQAKEVDLVKERLRDLEEMIRPYKELLEKGDLNI -AETAEFEALISEVEDLADYGIIKEFDPDFFEEWQRQSRIFLQERKVNVGKSTQDRILKNLEAKLSEVNES -EHVFKELQLSHAQLNDLKFDTETGKILTFSEFRMRDERKLKAKTGGQVGEVYVRDKVVNVTARFAELERV -CKEQNYDLGHMLVKTVTSGRKAVVTADSKKQRTPEDREIYIGNDVSKYATYMIECLMSGLCKQLPGELIS -VSGDNKILEIQKQVKLINQYHNSMLKKAKGGKLKSGNNHKFFANLDMTKWSPKDNLYKFIWVIAFLDFLT -KKEKFFFMRLILILSEKLLYIDPSLLLKMSEKDQNELYDEVIREMTDNFRVCFIPITFNWFQGFLNYLSS -FVHYGATLVLSECLQLLFDHCMYTPLVHSDDHLSMVSVNTSMTYDDSVSRFLRTLTTVFTLNTMEPSVKK -SYVAKETAELVSERNVHGVQESDWSKPLMSAESALPCTGLKEDMSAVLSKVQEAAAKGAPSHTLKTVCYR -HIVEVRQMYGIETKNPFAEALGIPVGELPLFMGGNYHGTHTSLVLGAARAADGYTFASALPVSADPIGDK -IKTEKLKTPDMQWSVHKADGTVDVSNVKLRLLHLSLWQTVDSSDIIRSDIAGGINPFLFTSMRTIPPTDD -LAYDYREDYYATQIQRYDKRRLTANILKPTLQHDVIIWLKRMFTKKDFLVGLKSMMSIQRMIQRRMQSKQ -PMVFIKKLLGDNQDITVNYFMSSNRRYTANPIEGREELNDLERYTQVHKKRPLTVAEVAQLMAEMVKTVQ -FDEKKLRDLADFLYYPYQILQLDKTLPKNEIVIRRIDNRIVKRPVYSPNISYSSTFKNPITLVLQYTFDK -DVFQMEHAEKSYNDKIIESDSRRLTKELGGHVSLIKTAPNMARKLMEQVMRSSVTRTGKIIYMYRSANSG -VINQYLSVLGSLAGEPYYAELMLKKPWIMDKGKLQNLMDVDQVLLVDAVKALSNVIAGFLLLDDMRSTDR -VPYERLSKMISSLTVGKFDLRAMISDTIDARSIICARILFPIVSYMKEMYEDDKSELYNLATSGRIQMEP -GAEEISAEHFAYYEGKDATFRIRMQGRIVISIDIATRESVYSPSVSSEIEQMVDRLEKSIGGDRKRIFQV -GKVTKCGVSAPGVFFLTFSNGWCRKAKLQSVVGYDTIIAGIPVTYYKKLYYQKTELITALPAIEDYKGVV -YLLSDEADYEIIDGYRIVKPNAEVKETFYLKRSDRTACNYVNLLEDDWRNPKPFLVGPNGMFNFLQMIRA -GMIDWIINKSRKIHITMLEPYISWEETSTNAFLEILAKGISCTLESDSHGTISLTEQESYYPGFDWFAED -IEYLLEIPEIEMPSSKEYNYMPPVTASEFFEKTLDEGESLGFSNVLQYSSDYIVKNRNVERETRLLNTDS -VWGFLRTATEPYLTNEYHELLSAVLGIRTAKDRNNALFIYQWSPIDTLFSLLAYPGVFSRLFLFTHLDPK -SSGPVEPVVLGQLKDNSKNNEFKKLINYLDLKGTDSGRRTAMRMARSGNIPRELVSTIENEMYRNVKRNL -SELNNIRKTDSYRYFVNMEIKSSFMYGRNQRNYTPLALAEEDVDAAMADNAQIDDLLQDSQILECVNDVN -SYLGI ->BAD51468.1 polymerase [Tomato spotted wilt orthotospovirus] -MNIQKIQKLIENGTTLLLSIEDCVGSNHDLALDLHKRNSDEIPEDVIINNNAKNYETMRELIVKITADGE -GLNKGMATVDVKKLGEMVSLFEQKYLETELARHDIFGELISRHLRIKPKQRSEVEIEHALREYLDELNKK -SCVNKLSDDEFERINKEYVATNATPDNYVIYKESKNSELCLIIYDWKISVDARTETKTMEKYYKNIWKSF -KDIKVNGKPFLEDHPVFVSIVILKPIAGMPITVTSSRVLGKFEDSPSALHGERVKHARNAKLLNISYVGQ -IIGTTPTVVRNYYANTQKIKSEVRGILGDDFGSKDVFFSHWTSKYKERNLTEIAYSEDIERIINSLVTEE -IPREEIIHFLFGNFCFHIETMNDQHIADKFKGYQNSCINLKIEPKADLADLKDHLIQKQQIWESLYGKHL -EKIMLRIREKKKKEKEIPDITTTFNQNAIEYEEKYPNCFTNDLSETKTNFSMTWSPSFEKIELSSEVDYN -NAIINKFRESFKSSSRIIYNSPYSSINNQTNKARDITNLVRLCLTELSCDTTKMEKQELEDEIDINTGSI -KVERTKKSKEWSKQGSCLTRNKNEFCMRETGKENKTTYFKGLAVMNIGMSSKKRILKKEEIKERISKGLE -YDTSERQADPNDDYSSIDMSSLTHMKKLIRHDNEDSLSWCERIKDSLFVLHNGDIREEGKITSVYNNYAK -NPECLYIQDSVLKAELETCKKINKLCNDLAIYHYSEDMMQFSKGLMVADRYMTKESFKILTTANTSMMLL -AFKGDGMNTGGSGVPYIALHIVDEDMSDQFNICYTKEIYSYFRSGSNYIYIMRPQRLNQVRLLSLFKTPS -KVPVCFAQFSKKATEMEKWLKNKDIEKVNVFSMTMTVKQILINIVFSSVMIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNCGRYLFRNGIKKLLFRMEDLNLSTNAKPVVVDHENDIIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFNILEDIYPKKAMF -DDKDLFSINGALNVKALSDYYLGNIENVGLMRSEIENKEDFLSPCYKISTLKSSKKCSQSNIISTDEIIE -CLQNAKIQDIENWKGNNLAIIKGLIRTYNEERNRLVEFFEDNCVNSLYLVEKLKEIINSGSITVGKSVTS -KFIRNNHPLTVETYLKTKLYYRNNVTVLKSKKVSEELYDLVKQFHNMMEIDLDSVMNLGKGTEGKKHTFL -QMLEFVMSKAKNVTGSVDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKNSKKSRLAFLSADQSKWSASDLTTYKYVLAIILNPILTTGEASLMI -ECILMYVKLKKVCIPTDIFLNLRKAQGTFGQNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCA -MKAYHKTLECYKDCDFQTRWIVHSDDNATSLIASGEVDKMLTDFSSSSLPEMLFRSIEAHFKSFCITLNP -KKSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHVTMLLRKGCPNEVIPFAY -GAVQVQALSIYSMLPGEVNDSIRIFKKLGVSLKSNEIPTNMGGWLTSPIEPLSILGPSSNDQIIYYNVIR -DFLNKKSLEEVKDSVSSSSYLQMRFRELKEKLKRGALEEKDKKMIFLINLFEKASVSEDSDVLTIGMKFQ -TMLTQIIKLPNFINENALNKMSSYKDFSKLYPNLKKNEDLYKSTKNLKIDEDAVLEEDELYEKIASSLEM -ESVHDIMIKNPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSNQSTEKLALDRVLRSKARTFVDISSTVK -MTYEENMEKKILEMLKFDLDSYCSFKTCVNLVIKDVNFSMLIPILDSAYPCESRKRDNYNFRWFQTEKWI -PVVEGSPGLVVMHAVYGSNYIENLGLKNIPLTDDSINVLTSTFGTGLIMEDVKSLVKGKDSFETEAFSNS -NECQRLVKACNYMIAAQNRLLAINTCFTRKSFPFYSKFNLGRGFISNTLALLSTIYSKEESYHFVSTASY -KLDKTIRTVISAQQDMNLEKILDTAVYISDKLQSLFPTITREDIALILQNVCLDSKPIWQSLEDKMKRIN -NSTASGFTVSNVILSHNSELNTIQKQIVWMWNMGLCSHRTLDFVIRYIRRSDVRYVKTEEQDESGNYVSG -TMYKIGVMTRSCYVQLIASDQDVAVSLRTPFEILNEREYLFDTYRESIEKLLQKFMFDKVNIIKSKQPQI -VFLEPGDACIRMTTDNKMIVKVNATSGQIRLENVKLVVKIKYENVNPDVWDIIEGQKSLVLRLPEVGECF -SDMYKTADSETETIKTIKNRLMTSLTFIEAFGNLSQQIKEIVDDDIRGTMDEFLMNIRDTCLEGLENCKS -VEEYDKYLDENGFNDTVELFENLLRTHDNFEDEYSPLFSEIVDKAKQYTRDLEGFKEILLMLKYSLINDA -SGFKSYRATGMHAVELMAKKHIEIGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYATFGRGIR -LNHDLDLQNNLMEKSYDFKTLVLPDIKLSELSREILKENGFVISGENLKMDRSDEEFEGLASFNVLRLDE -EEMYEGLIKEMKIKRKKKGSLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFRPDIFSTDRLGRLSS -SVPALKVYATVYMEYKNVNCPLNEIADSLEGYLKLTKSKSKEHFLHGRVKKALIQLRDEQSRTKKLEVYR -DIANFLSRHPLCLSEKTLYGRYTYSDINDYIMQTREIILSKISELDEVAETDEDNFLLSYLRGEEDAFDE -DEIDEEGDTD ->BAD86755.1 L protein [Tomato spotted wilt orthotospovirus] -MNIQKIQKLIENGTTLLLSIEDCVGSNHDLALDLHKRNSDEIPEDVIINNNAKNYETMRELIVKITADGE -GLNKGMATVDVKKLGEMVSLFEQKYLETELARHDIFGELISRHLRIKPKQRSEVEIEHALREYLDELNKK -SCVNKLSDDEFERINKEYVATNATPDNYVIYKESKNSELCLIIYDWKISVDARTETKTMEKYYKNIWKSF -KDIKVNGKPFLEDHPVFVSIVILKPIAGMPITVTSSRVLGKFEDSPSALHGERVKHARNAKLLNISYVGQ -IVGTTPTVVRNYYANTQKIKSEVRGILGDDFGSKDVFFSHWTSKYKERNPTEIAYSEDIERIINSLVTEE -IPREEIIHFLFGNFCFHIETMNDQHIADKFKGYQNSCINLKIEPKADLADLKDHLIQKQQIWESLYGKHL -EKIMLRIREKKKKEKEIPDITTAFNQNATEYEEKYPNCFTNDLSETKTNFSMTWSPSFEKIELSSEVDYN -NAIINKFRESFKSSSRIIYNNPYSSINNQTNKARDITNLVRLCLTELSCDTTKMEKQELEDEIDINTGSI -KVERTKKSKEWSKQGSCLTRNKNEFCMRETGKENKTTYFKGLAVMNIGMSSKKRILKKEEIKERISKGLE -YDTSERQADPNDDYSSIDMSSLTHRKKLIRHDNEDSLSWCERIKDSLFVLHNGDIREEGKITSVYNNYAK -NPECLYIQDSVLKAELETCKKINKLCNDLAIYHYSEDMMQFSKGLMVADRYMTKESFKILTTANTSMMLL -AFKGDGMNTGGSGVPYIALHIVDEDMSDQFNICYTKEIYSYFRSGSNYIYIMRPQRLNQVRLLSLFKTPS -KVPVCFAQFSKKANEMEKWLKNKDIEKVNVFSMTMTVKQILINIVFSSVMIGTVTKLSRMGIFDFMRYAG -FLPLSDYSNIKEYIRDKFDPDITNVADIYFVNGIKKLLFRMEDLNLSTNAKPVVVDHENDIIGGITNLNI -KCPITGSTLLTLEDLYNNVYLAIYMMPKSLHNHVHNLTSLLNVPAEWELKFRKELGFNIFEDIYPKKAMF -DDKDLFSINGALNVKALSDYYLGNIENVGLMRSEIENKEDFLSPCYKISTLKSSKKCSQSNIISTDEIIE -CLQNAKIQDIENWKGNNLAIIKGLIRTYNEERNRLVEFFEDNCVNSLYLVEKLKEIINSGSITVGKSVTS -KFIRNNHPLTVETYLKTKLYYRNNVTVLKSKKVSEELYDLVKQFHNMMEIDLDSVMNLGKGTEGKKHTFL -QMLEFVMSKAKNVTGSVDFLVSVFEKMQRTKTDREIYLMSMKVKMMLYFIEHTFKHVAQSDPSEAISISG -DNKIRALSTLSLDTITSYNDILNKNSRKSRLAFLSADQSKWSASDLTYKYVLAIILNPILTTGEASLMIE -CILMYVKLKKVCIPTDIFLNLRKAQGTFGQNETAIGLLTKGLTTNTYPVSMNWLQGNLNYLSSVYHSCAM -KAYHKTLECYKDCDFQTRWIVHSDDNATSLIASGEVDKMLTDFSSPSLPEMLFRSIEAHFKSFCITLNPK -KSYASSSEVEFISERIVNGAIIPLYCRHLANCCTESSHISYFDDLMSLSIHVTMLLRKGCPNEVIPFAYG -AVQVQALSIYSMLPGEVNDSIRIFKKLGVSLKSNEIPTNMGGWLTSPIEPLSILGPSSNDQIIYYNVIRD -FLNKKSLEEVKDSVSSSSYLQMRFRELKEKYERGTLEEKDKKMIFLINLFEKASVSEDSDVLTIGMKFQT -MLTQIIKLPNFINENALNKMSSYKDFSKLYPNLKKNEDLYKSTKNLKIDEDAVLEEDELYEKIASSLEME -SVHDIMIKNPETILIAPLNDRDFLLSQLFMYTSPSKRNQLSNQSTEKLALDRVLRSKARTFVDISSTVKM -TYEENMEKKILEMLKFDLDSYCSFKTCVNLVIKDVNFSMLIPILDSAYPCESRKRDNYNFRWFQTEKWIP -VVEGSPGLVVMHAVYGSNYIENLGLKNIPLTDDSINVLTSTFGTGLIMEDVKSLVKGKDSFETEAFSNSN -ECQRLVKACNYMIAAQNRLLAINTCFTRKSFPFYSKFNLGRGFISNTLALLSTIYSKEESYHFVSTASYK -LDKTIRTVISAQQDMNLEKILDTAVYISDKLQSLFPTITREDIVLILQNVCLDSKPIWQSLEDKMKRINN -STASGFTVSNVILSHNSELNTIQKQIVWMWNMGLCSHRTLDFVIRYIRRSDVRYVKTEEQDESGNYVSGT -MYKIGIMTRSCYVQLIASDQDVAVSLRTPFEILNEREYLFDTYRESIEKLLQKFMFDKVNIIKSKQPQIV -FLEPGDACIRMTTENKMIVKVNATSRQIRLENVKLVVKIKYENVNSDVWDIIEGQKSLVLRLPEVGECFS -DMYKTADSETETIKTIKNRLMTSLTFIEAFGNLSQQIKEIVDDDIRETMDEFLMNIRDTCLEGLENCKSV -EEYDNYLDENGFNDTVELFENLLRTHDNFEDEYSPLFSEIVDKAKQYTRDLEGFKEILLMLKYSLINDAS -GFKSYRATGMHAVELMAKKHIEIGEFNLLGMIQLIKACETCHNNDSILNLASLRNVLSRTYATFGRRIRL -NHDLDLQNNLMEKSYDFKTLVLPDIKLSELSREILKENGFVISGENLKMDRSDEEFEGLASFNVLRLDEE -EMYEGLIKEMKIKRKKKGFLFPANTLLLSELIKFLIGGIKGTSFDIETLLRNSFRPDIFSTDRLGRLSSS -VPALKVYATVYMEYKNVNCPLNEIADSLEGYLKLTKSKSKEHFLYGRVKKALIQLRDEQSRTKKLGVYKD -IANFLSRHPLCLSEKTLYGRYTYSDINDYIMQTREIILSKISELDEVVETDEDDFLLSYLRGEEDAFDED -EIDEEEDTD diff --git a/seq/clusters_seq/cluster_153 b/seq/clusters_seq/cluster_153 deleted file mode 100644 index 304531f..0000000 --- a/seq/clusters_seq/cluster_153 +++ /dev/null @@ -1,1055 +0,0 @@ ->YP_009259650.1 RNA-dependent RNA polymerase [Diachasmimorpha longicaudata rhabdovirus] -MESLLNKLEYLKSDKPAATHLDSPIQDHLIKLVLGRERLDPRWPRWKKNLIKRGKALLNHVTTQYGLDPD -ISKAETIEGIAHHTKRLVTIGANQDIAAFQSLGQSIECGLSLYNSQSVSLNFNPVPMDKNELLSAVSQYG -YAKELFQQAVILTGSNSPTIDHLLWEETELPQTWFWRSSDLIMWISPSWICILDGAQIHLGIREHILMIS -DLIGQRVTLSLYAKIAEVARDPTVLNVDHLHHIFDWGDRLLELYGNRGYELLAQWEQLCVGVMISGSNDK -YWDGEVFLDQMMTEFNMWDGLAEENHCTLIVDYLKSLFNINPNLTTQAYGLYRIWGHPTVNAKAGIAKLK -QHACKVKPLNHMMIEKIKCVFIERFSFDYRRQHGVWPKLDTSKLSPTSLLRIQIERNGPINKKDKRYSLL -SWSKVTSEKTFDLLPKYNLIKLLSDKAMSLGVGELKVEVEQGSIGPAWKRSVLVKWLESEIGDPKKFLLD -ISENGFGPEECIVGVCPKERELKLWARMFGLLTLKKRMYVVLTESMLAEHILPYFPEITMLDSSTTLLKK -MYYNTKDSARHRSRKGINMYTSMDFQKWNSNMREEETLPIFKFLDGLFGLTDCYTRTHEMFAKAQLYLAD -GTYLPTIINNRLVEDEYCWSGHLGGIEGLRQKGWTIFTVCIIRAGLEEQEVKFSLMGQGDNQVLRVTYPN -SLSPSDIKAKHKSILQSLETIFNQIGPPIKPSESWTSSTLFIYGKFPILNGCPLSMSMKKACRMFPFSNE -GYPTLESGLSSISANLTAACNSSLQPDLIYLVYAIQSIECVKTNLSYSYMTNFQSLGSTSELYFQLPRED -GSVRKVDCSDSRYFMSRLLKHDKSILEVLLLYPRCLGGYPVTLMGTLFSNGFPDPVSENVCLLKKLYDSG -FYQSAIFNILSPEMNDEISSELICEDPVALNLLHPSSPKEVLKRMVQDYLKGASWIKNIEFKTFIDIAIE -RQKPLCDLLLDLTPFNPRVAHAIQEATIVGRAIQVVGQMEKTNTLITMMQQAVNFKISKRIIACDQNYFL -SVCYNLTNHSQKCWSPSMCSREWSQTLRDRGWGRHVTGVTVAPILESFIPQLRSEDTCRDHLNLDLGYFL -YSPTTGSDPTVWKQNIDIGPHDPYIGSTTVEKTRSYGKDLSRSSQPVLTNASRLLSLINWGTKRDSNLSS -LIIQIFQSITDLDYAQLIPIAGQIAGSLEHRFMDSSTKHGGSISILYSLATWCHLSSNTLTEYKSGSQNV -NLHFQALMSSSLIWSSLLLWRNYHTVSTIHYHVNCRKCIIPICEDMLDLPHPPPSNLLKSLPHNPICWVS -KDSLNFSDKTHECHAIPIDIPPDYPYNYEVFHMRLAQICSGWMRGYSLELGDNRKDSPITNSLNVSWVSK -IDLIYFVDLLLCKMTSGFLYSMDYSQLNHITSRDQLITQSITSIMYRPRSLFRWVGNLYLNENVLDQFKI -LFGGIPLPPSVPLAYSDVSHHFIQIITHRLSVIGQNPEELISSKVHYAESSKAVDEHPVFSLIAEKLLME -SDPLVFQQLIREFLNWKIIFRNIGIVMGNHLHLNKSIKEVLMYDHKSVISPPDMLRMAKHSLEYKIIWTT -DHMDILAKRLASLTVPAVDHPLHLSLEHKPLIAKSIQTHSCVEGSVLRKTQFPRFLPLISETTREVHEQR -IVKSPTSSWYKLLPILFQTPITDAVLCLADGSAGFSFLTARLPTVEKVYYNTIFDNSKAMDQACPNFIPS -SFVGFPELRKKLISLNDLVEGISNILHVGFIKQLANTIGDNKITFLTCDAEGSSSYDTLYEIQLLEQCLK -IGYIFDIPLLFIKFYGNSKEFLSGLSSVAFSYYREIKIIRSLYSNKGNREFYLLCKEVREESHFYSINFD -ENFVSIEGFSIPPKNSHNLFQDLVAIADNQSPNDENVNCIMAKLLMDPFSSTMSQQLISLWFGKVTPGWK -FPQDFIRHQKTGVDPIRYGKRTRRPGMINLLTFQRQRGWAMAWLAGLRLLYPHLNLERVCKMSLSLWIIK -LKTTWIFGVGKTADHHHPGTKVIPLQDLMSSKDLNMSYSLAGHLKSQRVHFANGLSHLQTRCEKEGYEAN -LVIFIPSVVV - ->YP_009342285.1 RNA-dependent RNA polymerase [Wuchang romanomermis nematode virus 2] -MDEFEVDSVRVPLLPDSHLQDPILPHKRQLLLAAINGEKALPVWLVKTAQNVLNMLDVNTSRHFIIDSEK -YYPLFWTGGRSPVTDAIKAIQIAQMVTRQQLQVIYPDMVAWENVVDKLQVSDDLLYTVQSKLDVEALRDK -MQRKARNSNNFPEICDGPCDSRWIITREFAIMKTNDGLVCFDYSQICGLTDTAASRMMSLFLAHVGTQVY -TVTLLPTVSTIQDIYGWGDALLTTYGNKSYKILKLFEPLVLAVLINKYDRLPGRTKFFNAILEKFSNAEK -ELQMPCVSKILVQILERDLNPSQLSELLGIYRHWMHPTVDELNGCLEIQKAGKSQPELHLSTMQKIIAAF -NREFVLNYIKKHGVWPQLSFPEELAHSIIYKWWKDKRIKIEEFAVNYNYTDWYFAKFEKTLTFDFHVDPT -DILDDKAISVGRKYWDSVYDPYLLGYVPEKAPTSRRVLLEYLSRFEFNTEEIIKMICLRQVSHDHFVVGL -RSKERELKLNPREFAMMVLEMRCYFCVTEKNLAEKVLPYFPQQTMTLSEAELTERLLTLTGESSRKSQML -AVKVNLDLSKWNLTWRNITTDGVFGNLDDLFDLGGCYTFTHEFFEKAKIYLVSRWFPPKGLTSQNKDSYV -GDTVWENHLGGFEGLRQKGWTIITIAVLIYVELETGISGIITGQGDNQIIIAYFPIPEQYNTADKYLETE -PDAIKKAVDDYINFIARTFHEIGLELKAEETWASLDIFAYGKDIISQGAFMPMTIKRVARILTDVNDVYP -TLENKLATIHTAGQAAASKGVDVIIPYFIATSECAYAILREFQYSLLLNSSIVTDSGTRLSNLSTAFLQS -ILLLPRSLGGYPLLMITDYLYRGHPDPITGHIFLLKNLSFQIPWQMVGALLKKGWKAPIDFSMLIQDPTA -INWQLPPLGSNIIKNLLNEKIRDISRNRDVNLLFHIESDVEDSKLIEILASMQPFHPRVANDIYRCSPTG -ARLGFIATFTNTRSIKEMCEKSGSTIFGKIMNAERIWINHIEFLYINRVPHKTLECSYAIAQEWRNMSWF -PNKEKSVEGVTTPFPLEQMKINCTDTTRCYLCVDHKEHLVLFNEGSLNKFTTRGPFFPQVGSKTREKSTS -KMLALSKTDSAAKAALRISKVRRWVSTAGSNLDKLLEHIVMTRTSIDPTLLLNACGGIFGGNITHRYADV -VSPHTALINMRPNHPSFVCYSSDKMGRFSRGGENYTIHFQGIYLAMQAKLALIELFFGCEKLCHYYHIHI -ACPSCVVKLSEDKLEVSISTLPFQIRNDCKILYSKIEDQLDTAMVVEHYYIKAFSPFETPPSLRRSIAAY -AIVSLTLSRLRHEELSKVHARRSLLETRAHTDISIGDVQAIGFVPIFKAFVSAVICYTFPALIEILDQVS -SIESAMIILIEMLPSFWWDDLRPLLPFSEVQVEFRQAFPDIIFSPDMVRGTLLADYQLKRLTLSRITCLL -NRNDLKWTKTLNFVHKNEHWINAILSWATTLLFAYVTQYPYHHHVRTAYTKIQNILSKASFDLSIPAIQQ -LLVKITTILSCLPCFTDLLRKDDLASICISYNAQEEWIAACRIYEYQRMSYNNPCETVLIYPILIPYKSI -LFFQHITDDIWEKSPEITCESRIEIKTRSDHMFRRYGVYSTAPYKYYPLLQSVKSVTRAICLAEGEGGIC -RLIGKLFPQSMIYYLSLHHPSEAAPQRFASYYPAEIVNAGLEKRIYGLEESIAGLNDLTDPRVVSKVISW -NADVDIITSDAEISGHLPIETTMLIFKSVMQIASAVLTATGTVIMKTYCQYPMLLQSLVYYAQRIFHTVE -LSVSISSSNESTEVFLKLCRIVDRNFWTKITSQPKAPQGRIALRADIEKLCVERKSHYPIQINYKKYIES -LDVLLSNLRFEENFETAWNALTHGFLQGFDKYITNSKQFFDESLDLISLMAFKLLQGYGKALTGQRNSRV -QQLLQTKTSQIGNQLKYWNEIRHNLILIFILTNRKNLTLLVVKEVLSECLSEVDYLYDRQDLLYTWAAIP -VEDWLKRNARHLFHILPKITDRWKFFNY - ->YP_009337815.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 9] -MCDELEVELDDIDSTAFSSGKGSKSYPTTHLQSAMKCDLIKYAYGIPIGNPGRFKHTDQEIKRMFALYPL -LTEQDYCTSLDYTKILYSWLRSVDDWSRVCPDYTLWKCQSSSVLHNINVQLDALRYTHPYIGDHYSRLPW -ETNIRVKNFKVARELWDKAITKSGRQRMEFTRNREERESLILPSKVNLPGDLEIGHSANLCVLRRKTDIS -IGTRDLLLMIGDLISERYLIFLSTEIAAEIGEEQYPTSDAVKQIFDWGDSVMVEDGLAGFQLLKEFEPLV -TSEMLLRTNDEFSDGAEFRKSLLNELGKKNSVFKQQAEALITILAHIPTMHHLAQIFGLYRLWGHPYVDS -ADGLLKIKHLGLSKKVIPNALKRELACSFKSHFCKQYRAKVGKWPNLDTSRLPADSYLRAVIESNRVLDQ -SNLAYKPSEWFQVKGLKTFEIDSSYNLATMLSDKALSLDRDELKYHCDRFRNIGIARERRVITNWLRSTQ -ISARELIEAVSEKGLEKKELIIGVTPKEREMKTCPRMFSLMSLRMRMFVVAVGELLADHIVPYFPEITVG -DSSIQLTRRLYDMTRSQRSATPSQSVDVIMNIDFSKWNTNIRAELTNESFKFLDELFGLKQCVNYIPKMF -TESLIYLADGSYLPDFDANLDVKKHPLAWTGHLGGLEGLNQKGWTAVTVVLIEMVARKHNIRFRLIGQGD -NQVLRLTIPLVGDKFDPSGISAAKQTLKLFKASFVDEMEQAGLPVKISETWCSSKLFAYGKQHFFEGEPL -AMSLKRLSRVFWLSNDLFPSLENAISTIHSNSLSACQSDLTTIVPFVVAVTETIYTILYHANESPYTGVG -ADSLIHSKRGIWKVTVENEDNGEQVTLTKSLFSLKMATYSNYIRHPVLTNNWQLVSSIALVPRVLGGYPI -QYLSSFLMRGFPDPVSESLSMLKRIIDLTDERQIKIALTSVANPEYSIYCSSQMIIQDPFSINALIPSSA -TGVLKSAVRTYLNSPGIVTNPFFLRFFQESESSVKPLCQALTRSTPLWPRLLHDVFDASLPGFAASVVGR -IVKTTTVVMEAIKVDGKRVEERMVYSDQNLFISIIYKLNEHRPGGWTCSLEHAIDLRNNSWHRFAPIEGV -STPHPIEYLKSVQLDYGECRLCDLNQQPDYLLVYVSPGKSDNPCSRMWNKLGPTTPYLGSSTSEKMRQEL -YTSFEITDPLITRAARVLRAINWLVSESDELAQLMTRIFSCITDVAPECFFSVEDSFSGSAEHRYQDYAS -FHGGLISTLYGLPSYVHMSTNQWNSYSKGATNHNIHFQAVLCIMQALVMFSKKTVSRYDSHVFHFHAVHK -ECIKVIQEPSITGLPGVNNVILQSYPDSEYCFISKDRLIERRRDCFKSVKCKKLETLPGNHQDKALCAIM -AQELTDLVLVALRETRITHIGVQRTAPMTWGKDADPVILIEETSLYIACSIYRRNRHEINLKHVQSIQDL -LSALIPLIGSLPDKAFYPLNFLFQFPESRLSLLASMYAIEGPHSFPMKDSSVAACCKRAVISVLSSRSLY -MRPMILTFNKFVIKQNEWLSNQIFSLLCRSDKLSTLWESWEVLDKLICEATLSNESDFEVAIQSILTIDL -PLQKDLSQSDRSTIINDWDEILRTLTFAEVNMSGDKAHKLRPQAQVMDTKPSLSFIQTSSVYDSRLSVIC -PPVTWNLSASSVTPLVDMESPPRRSDFRSHFFRPVPLITTAHYKYLDLISEYVQTYNPGDMVIICGDGTG -GVTTLIHRLNPNIRLYFNSLVDLEDTVAHSLTSFYPPATDYILHTKSIYKMRQSIEGISDITDPRFVNQL -QSISKGKTVRMIVCDAEGAGWTSPEKGIAIVGNLTKAAQRIGRDVVLVIKSYATDYRCVSVQSSILSSVF -EVVKAARSAFSSYHNTEIFFIAERPTNAQQYIPILCDNSIKGIMPSLPYQEQMKDIIKQLLNYMPPTPTL -CDRVTTLLNSTSLSKQAMAQLEQAYRFVPLNSIFDYPPLNWTQLYWGPITSRLRSRLSAIKTKTSELSVM -AGYTTARDVVLHIFSLLLRIYDPEYLLHTVFKSTFADGYVMFYATDNRRTSAVIVSSAFVEECSNLTVET -PFSRRGSQAYFVKISKAFSKPQTKRLFSRLGQFRNFFCLSATARLTGLRWVLSSEDDTGYSSGPGVLAID -MTDMVVRIPRFAWPISQMLKDKIDEECIMYKVTQCDDSYYHAMTKDS - ->YP_009337799.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 2] -MERLDVSYAREFYYPDTHLNTPLTDYIARYNTGGMTSTRKEITNTRLAWETLNAWTNAPVHIRTDAHKLM -GQFLLELNRIRQSSYKVVLTPWFDLSLGAEILSDQLAGLAHQHSQLTYGSVRLLRQVAREESDKRLLAIR -ILFQRAVEMNSLYQIHGLAHGLLERKEECLVHQITSELTVYLGGVVVLWKWRSQWWLTDHYFLLCISDVV -SQRCIATSMCSVAAQTQSPGYPSEHLLWQVYAWGDELLEFLGNCAYDAIKLYESLIASIMQLRYHDIEFP -DPYAYNHAIESELVRVIGDHPHLMMTFATLRNKLLSKDVSDEHLTQLFGLFRHWGHPFVDTLEGAAKARE -VATATKVICPKTVISLVCSFKAMVCEGYRSKHGVWPNLDISALPDSTELYRALSSNRDIVRTNRSYAETQ -WLLVKGLKTFEQGDSMSILNVLNDKAASLPLDEFIQAAQHNRRGRWTDRRVITNWMRSHYDDVGKTLRRF -DSHGVDRNDRLILVTPKERELKIAPRLFSLMPLGLKLYLGLSEHLLAEYILPLIPEITMTDDALTVLKKQ -YTATKGLQVGRQKVISTVTNIDFQKWNLQWRYESTKDCFKFLDELFGFDQLFTMTHQVFSSCIFAVVDNA -VMPQVESGQLVYNDRCWSGHHGGCEGLRQKAWTLLTVAGIRTVLNRNMVPFYLLGQGDNQVLVTVQRVRH -WDEHDRVTPYEWNRVRLEFLQIRQELFDFFNRLGLPIKEEETWSSRTSFAYSKDYYICGKPMPMSLKKGS -RMFHLANEDYPSLENSLSSIYSNAQAACARDYSLVVPAVVANTEASICIDYHMTRSPIRLTSLYPEFPNE -IGDWMMVAYDKEGRSVRMSIPEDACRLACNSDRKRLIWAMLNLPPSLGGYPIQVALDYFSRGFPDNLSTA -LTQLYMIRSAVSEEWKQELVGNMIRPLLSDKIDYNMLFSDPVSINLLSSQRRDNVLKGMARSALQDMPFV -VNPMAVTLIELSLNDQDDLVEALSTMTPLAPMLAGDILDATIVGQAKSFLGRFDSTRSLQKLVRQVTSKP -TWAPLAQAELNYALSVLLQIACSHPDFKSVSACPSCHAQLLREYGWKNETIAGVTVPHPLHFTEMYRLDI -SYCNNCRYGLQTDYVFIKVNERVNQTSSIIWKELGPMVPYFGSATKEKLGIVRDYVIKNVESGVQRALKL -QRVIGWMVSASDPLADLIERLCKAVTDADPKLLQCVLTSVSGSWVHRYHDMRLRRGGMLNSLYTPATHMS -ISTNKMVTYSRGGANFPLHYQQLMVSSQAVAILDLLTVDLSLINLAGYHCHIACTDCLPVLTDEPIKGTQ -IMTKCTILSGKRVPSMWIDRDLLLENSRPRLLMTRSRRSDLSTIPDGIGPDLYYAILAREIAASIVSRAS -HSESIDTTEHDVLPAVWFRKVYVPELCMFLIMELRLLLARRYQFTRLADSSVTDKESLSYSLTQWWLTTS -PLAAFAPLSGIYYFREQRLTVTSSELMPHHPLGAPYTLEQVLAACKRNLIQVCVYMQSNSTNYTLYYRWK -QTLRYWLSDVSSVEQVLLSTMAGPLLSRANPLTVIDAEWRMVQKLHEILTMQPISGVNSLEDEERWLSHI -YDELSGFTAASGNRNVAQKLLHKWRYDTQITYIDMTLDAFFKAVTLPAILLPTNDAPTIVLSDLPRTCSL -IGADLMGSRRTMKYGYDTPTQLRRRFELNPVLHSLKVVTETTSAPYKYLGVFQYLQLQFANYHHVLCAGD -GSGGVSALIMRLNPLIRVYYNSIMGNESPLQHSLPDYAPPAVEKYDPGCGMLEHLQESVEGFSDITLPQF -VEQITRIIPRDHFDLLICDAEGEGISTLQQQMMALHNLLELTRRGITTRKAVLIYKTYLTNLEVMQAMMA -MVTSCYWHGKIIKSWLSSLGSQEVFVVGLTPKDTPDHYKLFFEGLRWELRANDFSGRDSIPSPSELPALE -ESMLATLREAAEAYSTALTTNLNSQAIIAKWNYLALSFGVPSHHLKFTTEVSLLRYAMGRQGSLYQSDLL -ISGRDMFLYGQRMTLDLTNAQFIGAQMIVLATCCLGSWEQRIKYALHPPDNAYLVAYLTNMGTWFITPAR -LSPYDAEMIYAISRTAFHKECALYKIDAESWTGNVGKNVYSNLGIIYHLKKAHHCRKRGEFSLIFGDSVA -APKLKYPFYLDHSQIYHVCGRGMYINKLYQPSNREIWKILNNKQLL - ->YP_009337635.1 RNA-dependent RNA polymerase [Shayang ascaridia galli virus 2] -MFSSFDAFGELDSDYLEDDSKPIGSKSFPNTHLQAAMRDDIVRFACNEPVDNPGRFAHVVKERDHLNTMY -PNLFDQPSANILDHTKVVAQWFIDGDFISVNESFPLDRWQCEARAALHNINIQVLGLTSTFVTANWCELT -DPPWVLNKKVAMLKCAREQLDVAVCNSARQRMVYQSKTRDLTTSQLPVSTTLPGGLSLTHTANFVVLSYK -DAVTVGPRDLLLMFADIVSERYLLYVASVLATVIQEPQYLTPSVLDSVLSWGDLALVKCGLSGYELLKEF -EPLVMSCLLLSKPDPYGDGAEFRAAILDSLEAKQQLFRPRASQLLSLVDGIRNPHLISQIFGLYRLWGHP -YVDAVDGLLKMKHLGLQRKLIPVKLRHELTCKFKEHLCVHYRAKRGKWPNLDVSQLEDGNYLKDIILSNR -VLDLSKLDYDFNDWRYVSGLPTFEVDSSFNLAAMLSDKALSLSRRELKEHIETKKNIGTASERRVITHWL -QSDQVSARDLLMEVSARGLPKSDLAIGITPKEREMKTCPRMFSLMTLPMRMFVVAVGELLATHIVPLFPE -ITVGDSALQLSRRLYEITRPQASKRSGGKGTVEVVMNVDFSKWNTNIRRELTEGCFKFIDELLGFEQCVS -YIAPMFEQSLIYLADGSHLPVLDDNLDVHNDPLAWTGHLGGLEGLNQKGWTAVTVALIRMVAERHNVLFK -LVGQGDNQVMRLTFPVTYLPDGTINMREVKHRIQAFKADFIQFMESAGLPVKISETWISSLIFAYGKMLY -MLAMPLAMSCKRICRMFWLSNDLFPSLENTMSTITSNAISACQSDLTVIIPFCVSVFEYMYALLFYSEHT -PFSGLGLDECITRQKFKWSVMLSSKMLSKDYRVTRSVLDLVMPVFRDYIRNPRLRNHWELLAALALVPKC -LGGYSSHMLICFLVRGFPDPLSEALSGLKLIIQFTPSSKIAESLRAVGSPEMCPEASSRMLIQDPLSVNL -LVPSSAAGTLKYAVRKFLAQPGVITNTFFKRFFEEVETDTDLICDALVQTAPLWPRLLHDIFDASLPGYA -NSVVGRIIKTTTIVSQAVRRDGQAVEKRMYKSDIQYYASVVFRLNSSGPDWICSFAKAIELRNKGWAAFG -EIKGVTTPHPVEFLSGCELPLGACPSCDKSGPDYLLLYLHQPEMKGSCVYEPWNVIGPSEPYIGSMTEEK -TQQPKYSSYEDVDPLIKRAANVLRAVNWIVKHDDPLARFMVNVFKSLTDVDPDSFFSVEDSFSGSAEHRF -KDYSTFHGGLLSTLYCYETYMHVSSNNWRVCSRGGQNKNVHFQAVYCCMQTATALTAMSFLTRECTSLHF -HLSTACCVQDIDEPNITGDPKLSAIQLKQYTDSEYCFISKEKMVEKKTCVIRQPPTLLYEGLSNKDKSLL -LASIMAHELVSHVFRELRESKTTVSGRKPPLLTAWAKDGDPVELLEQVSLYLASSVVRYRRQDIRTRTLK -DFDDLISAAISYLASYPPRIFSVLGFLFLFPESRLTLASSKFAIQLPASFPLRNDELAECCKLAVTTVLG -NLDKVGNYNLMCINNLATNQHEWMLNQLFAVIVRQGFADELWETWDEIDVLFNKADVTEGGQSVFSLIDS -LLTVDLSMSKWLSGKQKLKAVNNWGFLKAHVEIVEMNMTGDRASKERNQLPYIRPGQHLRLRSISKKVKV -RLSVWAIPDPKISDDNEDICLANLTVVPDPVEFKTHFYRPLYLITSAHYKYYRILLNSLRLVSNRATIVI -CGDGTGGVTALVKRLRPDVKVYFNSLVELTNVAAQSLTTFTPPALDLLGEGAVSELWLRRSIEGISDITD -PRFCDQVGSLLKEERVEAIICDAEGSGWNSPLKGLLLIKSLLKTSLMFATCKQLIFKTYATDGASLAIQC -VMIKSVYSQACLIRSPFTSENSSEMFLVGMMQRADAQIYELSATSDPLIFYGRVPSPTFIQVVADQAERL -KRVPTVTKSESDKVSEALACSVLISHNLNHLDASLRFCSRTTIRDTESTHLNKLFWRPLHSRLKSRLSAA -RDRAGATVLETGHAVARDVFLQLMVVLMKTIDAEHLATVIVPYLLQHTSVCFYATKNHRTSAILVLNDDK -PAVKPLAEKITTDRSQSYLVRLESAFTRAQYKRLFSLLGRFRYFEPFNGAQIPDQIRFEQIAEANLRKEA -NRDNAVLLSVLTEEFRRSETTVVIKIPPFCEPIATAFMSVYGPRMTIKWTGS - ->YP_009336984.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 1] -MMFDELEDLDEEVFGSQGAPGPKPTHCNVPLTRGNARAALGRPSYGQKVTWSHPCRREWSEICKLAGEGT -ITSLDFSRIQHDILNAFHYELTPEQEVSWQQQLRIGNLLDTANLSSLSGVMALTPETAHEPNPSERAHLK -KSYSTRTFLENGVMMSGSPSQGPLGIWEKTRTGVSFAKTEQMSIYVTENLCLIETQNSRCLGSRDHLLIL -SDLAAQRYIIRLLMILEAESPERPLPSLTTLDKILINGDLMLKRAGDAGYSVIKTFEAETQCHLVGDIPV -GETSGQTYKEVTYKSSEDAAGRCGCTELLFERRQLVDSIARTPRHLTELFGLYRIWGHPTVDPLAGASAL -KKIATQVRGIHMEEAEKVTLKFKEEFIMRYIAKEHRWPQLDVSQLSPSNIIRRSYEEGGIFPRAHPSYRR -QHLELVDFAQTFEVDPKFDLIEMIADKAMSLMTDELLSKLRRGQGTGSSTERSVLINWLKSPHHDPKTFL -MSIDLFGFQENERSVGVKEKERELKIEARLFGMMTFFKRMYIVLTEALLAEHILPYFPEITMVDDEISLD -KKRLNFNSKRYKGRSLFTSLDFQKWNSYMREFETRGLFRCLDQLFGFINVYQRTHEMFDSSFIYLLNSSY -MPTAEANGLKTDIGVDRHLGGIEGLRQKGWTLWTVTLILLAAEELSITLNLMGQGDNQVLREIFPEHFSR -KRILKEHFRLIGRLHSILKKIGPPLKVEETWTSEKLFVYGKYTIYDGAALPMTLKRAIRIFRLSNEDFPT -IESTLSSQTANLSAAVSSRDSVGHLYYVYAAEAVGALQLYLTTSYLQPIAPFTIYAKGSYYSPAGTERPR -SRFVPPLLPSIGTIPDWILTSIMLLPRSFGGFPVATVYHTLIRGFPDDVSFALASLRLIYPHLPHPVQKV -VESFLNPPLAETKNFSLLFEQPTALNIASPPAPGESRRNIVINFLRTTPIIKNPYFQTFIGLLGSDEEAR -IAQYLESAEPFNPRVLGMIAASTTVARARHIAGKLQKTVTISKIAREAGGCNIFSAVQSSELRQLSYVLQ -IVTRQLKGNTPWTPNTCSVSHAAELRKKGWGKDVVGVDCVPPQEFMFLELDTSLSQCSPKFDLGKGHIVV -RHQRTLSPDQWADPLIVGDHSPYRGSITRQKVRGFGDKIATQAEPLLQKALRTATLIGWGVKKDGNLDLL -IRLLIASKTDLPVNLLIPEDENLTGSLHHRLQDDRSDHGGAVSVLPNYGSRFSFDTFPLTAYSKGSSNVN -LMFQSIMSLGTALLGHAISRGWYPSFPVSHIHVKSACCVREIDDTLIETPSLPDFPISSYPDNPFLFTPK -EKVLGDSQIRSCLTPHGNLSDNLEIRAAQFESLVADEIVTILKPLTWGTQSLDNRTHKIPINWTLKVSVI -RVLERVALRLCLIFSGSSSYQSFVDLIIAVASRVDQSDVDSWSQLDNLIFAPNIHHELVSKPYGASISGN -PCLTPRILGVNLRSTVVRILQTWGESPSVMTDRFRGLFSSSVRAPAQCHPGIQRYLVEWGENRAILNRTK -LRDLIHYVVGDVHKLSAPLSNRDIEICNHQGNFVLKENPDLICKGVVYHSEVEGMERQEPPVIPSATLSL -FTFYQNSLRDSVLEFNPLMVRHSKDYEAFRYKPVSKPTSGSFKGLSLLETLQFVPKRLLCLGDGSGGYTL -SAGLLFPKAEICYNSLITSTAGIQQAPPIPYIPALAGHPEIEKRVEGLSLLNELVSDFTHDQFVPALQIS -TDMNFDVVISDAESPDFVSTISGITLVVGIAKILVASRPEVAIVKTYLVNPTAIMIQVSVMLSLYKEVSI -LRSEFSTSGNTEVFLKGIGFHAQTPPTWDGSKLVGLVLGYGQLRLMDGLLQDLLSSHTIPTPSQVVAYSL -VIEPDLANYLSKQATGFFPMLLVHSELVYPHSVLEVIRRTTVTRVESGPTPMGTLKIPHVSHSMMRTWAM -AWLCLMEMANGRGEGKVNSIWRTGFAIWYPLRSGEWEMSLVDCHPPDWRALRPIRYWKLSRLLSTGDMKV -VHRMIGVFSMIRKTVHSLSSDETRGGWTKHGGPLSTFTQSPIPWVAQTAQQQNIEKPNPFPASLNPDKLH -KTWSIRRSSYRG - ->YP_009336924.1 RNA-dependent RNA polymerase [Hubei odonate virus 10] -MDILDDWDEINFQKGPFIPDLTLATAIRDDTTRYICEYLNSRDLAKVPSSLRETCVLAGHSVPVGKIARV -ATPSIYPHLWTVSDPDLKCHYNIDKFLKNSIPLANTLTRLAEETFVKELEGKWTKDVKRMPSHTLDEDSL -QLLLLRMNWIRVRDIMYKAQTQKSKMNKMILGINNYRSIQIKDFLFIEIDSIVHVLSYHQVLMIVDTLTS -RFLSLEMCKILGKMGLSYLPPAKFLRELYEFGDNILRIHGNNGYKALSTWESICTGIYLKNHEILDISKN -YFEGVIKDLDDDIRKVCQQLYKLIDKGHLNANQIFEIFGCYRHFGHPTVDEVAGCKKLKDTTRVKREIDE -ATCRMAAGGFARGFILNFIQKRGRWPSLEVENGCSNAFKEYVSKRPLNLNEFSAKFDIKDWSYIRFHKEF -EFDYFQDFTEILSDKSISPSMNSWTSVYEQSLLSEEWKTLTMENRRVLIDVLKNPEFSIRKIMETIMNDA -VPSHWYIVGLHSKEREMKIESRLFAMMVLQMRLYFAVTEANISKNIFPYIPQQTMTMGEPELYNHLMSIS -KETTCTRQEVSDSTNSPNHSIESPEGKRRRTHRGKRKKKRPKIESVKITGSLDFKKWNWYQSFLANFLVF -QMLEDLMGVPNLYNFTHKFFQQSWFYLSSRYCPPKYCKRDADTNKGNSSSKGAKVNDINVLLQEEDECSW -FGQYGGCEGLRQKGWTTTTIGLLIALEHITGIRATITGQGDNQVLMIEMPVDKPDCTAAEYLRNHKDEAE -KRLQDYFTKLQELVKGMGWELKAAETWFSTSLINYGKEILHKGIFLSGTLKKISRMYPDMSDCYPNLSSR -IASLCSTAHASCQKSFTIFPILWLMYFELTLTVQKEIHSGILLGDKLYKICKANKIELDLPFFIFLFSFP -KDFGGYPSLNPFGMIYRGHPDPVTNDIEWLKVIRKSVPWVDELLKWAFSGEGFSQKTNYSLLIQDPVSLN -FQLPSSPITITRKLLENTVNAFSQNRDIQKLLSFLSGREEEVIIEYLMTTIPLSPRVLNEIYRHTTVGAA -RAFLNIFVEMRTTKNMMSAQQAHQYLSSIEITELNWFEHIAKIYILVTRCIDKNRSEVLEAQPWFSSCED -FCSTLYIQSIRDRSWKKEIHHVTTPSPHHQSQMVTQEPGRNSDSDPEEYMEYFLLHASIVSDGCVDLNKG -VEPPYFGSRTQEKRSSQTLPIQKNDRSLESTKKLARLLDWVCARDSNLGTFIQYVINSRTDLSPEITTLI -AGENYGGSIQHRFEDVITKHSASLNSRANFSTRIYFSSDGMGKYGGSGLNYPLHYQGWILHSIAVASNIM -ACIPGNHMIQFSWKQMPRCTHCLYPLTEVFIDSTEKSVPKVSTAKDCLILYNTSSVIFQGLDLTPLRLGE -VVFPDFRKLNNESQKCCAIAWILLGESINVDAPYVVSFSNITVKRHVSSKISQSVFTTVGMSFLLLSFAK -IFVSFYLMHALEVVDTYHVSLKHAFRIILHSLSNSLWKSVRPVMLMKGVWSQFLSIKEVTPPSSEVYNRP -NHLDSYLYRLISHHIDIIWETKDFEISLFATTSGIDTNRLLKTWAHSLELYSFCSSDLPLESIRKIFGCT -WKEIGETEGHKISHTNYLSKLNEVCRRSKNTRIPDFRRRYKFILSGSGAEPWMKAPTHRTYNQDIRDISM -APSTQPTKVQRFFQCAALKNVYDNFFTRQRQNPIKSKLGVSVQTEPVLNKVRKRHDHAYRLTGNYSTAHY -KYACLYKVAGIQTCHYSVHLAEGAGGLANFTSRLYHTKIIYYNSLQDLSTFVPQRAHGFTPAALQKLTEE -IGTQIHGVHDSLASGGDLLTDEGLNTVKHSLSKLGDPDLITCDAESSGSWSVSEAVELARKVGSLIFDLK -ASSWVFFKTYNENPTVLARQIQIFLHLSDKVYCVVPAFSSNECYECFLMFKSEPSMHQTIGSGSTTFERL -DGENLKTLYALNKKRISPHPYQTCLNRRDILTLHSSMIDLGIGWNLGVALTNLSKGVVIEPQFWSDPFSE -ITRAISVLYYEIVERVLSFQKVYKNERLGIIELQQRGMSKIESTILLSKSEALLNLQIVMHVLNHLKLPD -DLRDKSLRLFHDGEVIFQYDLSYWEWISTYSRDIQKVLGFVHLGMSL - ->YP_009336889.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 3] -MGLKIPDSHLQSPIRDVFRIYFHLSENRIPGFLRGCIASCPVPRKKILLNSEKIYGLILSGVYTNQLVLH -WTSECFPATVGIVQSHARDVLKGLGLQDYSNECDYVPDIEDMRRYSEKVKWEDIRICMQKLRETPDKWKK -SNILPHSLIEVWFCAQFLIARSIDHQYYLLDYDQVMMVTDTISSRVFVLLYHRMLQEHLPGKVSEDVILN -CFKAFDELFFRDGNSAYSQIKNWEAIIISILIKKYDRLPTRDVFFNQIHNELEKDGCDIALHLMEFLDSL -GLSADILSEIHGFFRLWGHPTVDEEKGCVKVKNIAQHRPDPNGRTLLEMDGLFVRQYCVSFMKKHGRWPR -LKTTYLRSKSQLKRAVLSHTTAIDLYSPNFPLDDWAALELEQELEFDYFPDFTEIIDDKSISVERDDLRT -LYNKWMLGYDPGEPKTSRRLLLEVLRLKDVDIKSVCQDVGRRNIPKNQKIIVVHAKERELKNHPRLFAMM -PVLMRLFYVAIEANIKEKIFEYFPQQTMTLTEIALNARLFNLTESSESDHYFICFCHIDFTSWNLHWTGS -AVSLLSRRLEQLFDTPGYYSGGHWFFSQCLIALASHHNPPVTLINNERGEVSECDTLWFNHIGGFEGIMQ -KLWTLQTIILLLLVEFKTGIRSIITGQGDNQVIKLLIPLEEQGLNKDQYIVKYQDHITESLTKFVHCLSE -KAGDLGLKVKIEESYTTTDILVYGKRIILNGAFLPQASKRIARTLTDVNEIYPSLSSKISTLQTAGLAAA -QHGFTIVIPYVICQMETLFTIERDIEWSLLLRGSQDIKIIQWIKKKYVMRFLLLYTGDLGGPPILPFLAF -FYRGHPDSLTTCLTEIYLMKSSNKLALDLWAYLEALNLETGKGNIELLILNPCSLNIDTPSSLASIYKHF -LTKELPDITTNKWLSQVFGTDTSEEEQRIMKYLVNTRPFHPRILHEIFLNTVPGARSAFASKFSTTRTTQ -VLGSSRDESGNLIKQVKKSEQGWINYWFECYLKFIEEKTEHTDSQLHDPCTLAQTLRRYTWGGSLPGVSL -EGITVPHPAHQFIFNICASADHTSCIGAQERVTISFISSDTRTILMSRGPYPSYLGSRTREKRTGKIIVI -PQTGRPLHAAERLSQIQSWCIPDDSRLCDFIKSLVGTRTDLPIELVKLSAGFISSGSIVHRLDDHVTKRN -AQHNILTNITTHGYLTCDMLGRFSRGQDNYNMHIQGIILLNFSLINMIAVTREELPNLVFSMHYNPASAE -EVLEDVSLSNKSSLPPVTVRKDNPLLYTAVRDAPFMSDVLLTNYLLVRDNSDDYAAAHVLLARIISSLNA -RMEGITDYSLVTLSNLGVQEILRVTLRRIIRALSILLILLLPYSATDLRVVLNLLPLKIWADITPLCLLP -EILPELFELSGVFLPDLFVNPYQISRLLVRALSIEVEKQLKIIEDSEMMWNPRVTFYITQNIGVRRVFFM -WGRLILLTSKEKYNILKMISHQKLGGLSNVNLPSSSQLASLNKEILKDYGLEGLRYCWTQNKIRISKDPP -ESKMRLREKPLPKLAFSGRQLRQATIAHRGKISNEGYPFKILFPLGSLDIELFDPNYSATVSIAPNYNHM -DHMYHLRGHTSSSYLKVLEILVKEEILEVKSSLIVGDGEGGIARMVADFYNIDVYFNTLVDRSKLIPHRA -ACYVPASFIDQPSKVRLAHLSALNGGNLLESKVIHDLIENIHEDIECIISDAELLPGGPKEYIQLLRNIL -FLAFNLNTECVIFKCYYYNPLHVCDLLGLGNTLFSDVRLIIPTFSSNETSEVYLYLAARREDSESIRCYT -ADYLNKFYRSSSARSAYAIISPTLLNRHTALPHQLNRKERVKELFALSDDLGIPKNLSVSIERYALNLLV -FSHETSIVDYLDNAGQVARSCAIKELRGFALSQEGTPLSPELKIIMSGHRSIHLSVERYLKVCINCKTLS -RIILNYQTLQQAYVEIKNTIESPHELLSDKGEMLYSLRLDWVVWKKEFLKLFWVILGHAIWNY - ->YP_009336589.1 RNA-dependent RNA polymerase [Wenling crustacean virus 11] -MYCRFRKTKTVVMDLGGSEFSSDRFVKTEFPEATHLDTPLRDTIPRITESYIKAPSPSGSGSEPGVSRAA -LREVQSLRGFSRTGHYSRDHGKAWSHFYQLAKSFDTPVKAPSLRNWSRVAYKLLQCQKQGIAHLSPQAES -LPLPDYPTTLYNLWGVRHVLEIGVRSSSRKKLIRNQRLWELYAPKSSSTLLHHSSLLGKFIVGSFVCIWI -PPTSGSPTYILSRDIFLMFSDLISQRFLILLSSVTAPSFQCNDVPSWSVAERVLRWGDRVLELLGNQGYD -VLKNWEALCSGIFISEGSEPIVDKHAFLLSTIKELVKIETEYSVDLQVKEIITILRSSVKKNPHHLSQLY -GLYRLWGHPIVDLAGGIEKLKSVATGPKIPNLNYIKHMECSFKEQFSVNYWRRHGKWPVFDLTEVPPTSY -LYEVLSENRPLVCSHRLYNLGDWDYVKAMKTFEVQDSYSMVDWLSDKAMSLSAEDLKREVEDHGSIGAGW -KRSVLYKWLTSDLASPHALLDQIDKNGFPAEETVVGVYDKERELKIMARFFGLLTLWKRMYVVVTESMLA -HDILPYFPEITMMDDYTTLQKKLHALTSQTNSSENWEVTYSVDFEKWNSQMREPETIGIFKFLDNLYGYE -NVISRTHEMFEQSTLYLANGLFLPEFQGKKMKESWASWTGHLGGIEGLRQKGWTLFSLMVLKRVANEVGV -KFRLIGQGDNQVFRIQYPKDEGIEQAKKKHQEFIDKLHETLQQIGPPSKKSETWSSSSLFSYGKFLIYEG -APLSMCWKRMCRFMRLGNDGFPSLSSSISSASSNLTAAIASSLSVDHIYFIYLLELLGTLWYNCSYPISQ -DSILPEGENWTVKGPPDLEQRRDQFRVHLSAADLRKITQLSDGLLGALAMFPLVLGGYPIQLLGTCLMKG -FPDPLTESLALLKTTYQYLPDCMKPYIRNILYPHLSKYVNPTQIVQDPVSLNLITESNVGDRVKRFVSDY -LGNAQWVTNRKVLIILDAAGRRQDDLACILYQMNPFNPRVGHDVISATLVGRALSIMGRLTKTRTLTALA -VQDSSENLYRTLQRAEKSGILAVLGQMHSAGSQVPEGDHLQICTTILADSLRMKGWRKEVSGVTTTSHWE -ALSCTPLPCTLCPDDDGYVLVRRVEELPWKRIPFDTPGEVPPYMGSATKEKLGSQAIKPEEEGGPLMKNI -GKVLRIVNWGVERGSNFHMLLKKILSTLSDHPSEWYETPEESVAGSLEHRWLDEVTKHKGSYAMLFTGPT -LYHVSTSSLTKYARGGSNYTIHFQSILLTIVGGLSAKLSQDGDGKNISWHLHIRCPSCIREITEEMLEVP -DSYQDITIPSLEGTSEFSSRSSQGQATPVVIALKTAPVTIGGIEWRVAVRLLKETLRLSTEVGDGRGVSP -DIAVIPVLWGDKLKLVNLLPKIGILLVIRISLRLPKRVFEDYDTWKAEVISRVINIPAGALAFFGPLLLP -VWQRFWIAGAPFQVMTPPTSPPSSAQIGHCLKEGILRAIDLILTGELPFPSEGEEVADSVTGIEALPLFR -QTLKLVIESQKSSVLQDFFNLGLLVGEVEFPAQPGTVKTLPLLGNTEGLLNWGFIRHRHTYGDWDLSSEI -KKLPALSLGSFTGESPSILLGHKPLTPVFVYHNDNLIKTRTRIYYQTERPFIPGPVSSSNHLLKKLNFHT -TAAYKLIQILSSVPLPPANLVAAVGDGSGTFGVILASIFPQAKVYVQSLVDLSNIPPHSLTEASPPAFDP -YPSLRKRLIFTRESYEHQSDLTKFATVEAMKGMLGDIDVLTCDAEGSGWAEGKKGLLIAKHLAHLKPGLM -IMKSYLSNPKMVLCQVILLLQSYESVRGFRSDWSGINNTEIYIVASGPYPSQSSVDVKDDVILSNFSLTE -SYQLKLFKGIRNTDWARALKVEDAEQMEKLVKNSAGRVWVKTRLMHYFTKPSYTFPDDVIKEDHQEPWVK -GRPAALRTRFRKNVWTHSVRRKCCQRFLIGLAAATWRVTSGYVDLEMWQEKGVFQLWKTRTSWAWVITIK -VVEGEKVSDFISKHEVRILYQLAGEAASVIDWKLNPKWSATKWERPHWARPIRSQTSKSETHWEAQGESS -SSTATE - ->YP_009336512.1 RNA-dependent RNA polymerase [Wenling crustacean virus 10] -MERRRCILSKLSHNKVIQLNLDLEKPRPMETTGSEFERDKTFVSFPGQTHLDVAIRDNVSNALYKFLKGD -KTWTSEVKIGVRREIKQLTGWDPQVDYSTDHAKAWATLSKLLKQQPDFQTAAPSLSQFMASSDKLLKMQK -ESLRHFGLAEGDHLNTTLLPEFEWMWHYRHWAETGVRLSAVENMKPSELLWTIDPGTTFFTHTSKSLGTW -WLGRFVCLWKPPLSQLTYALTREMFLMMSDLIHQRFIIKLATTIGSRTMPELYPSPLVLEKCWEWGDNLM -MRSGNNSFYLLSQWEAIVSGCLLSIQEEQIVDNTAFLNSTIAECEKICHEKDLKVPLKPFITLLQNIGRL -SSHHLSQLFGLYRTWGHPIVDLKEGIKKLRSIACVPRPFNYTWIQTITIDTISSICINYRRKKGVYPNLR -LEELDDSSYLKICIESNQPIVTSHPHYNDLEWLKIKGEKTFHINDSYSLVDWLSDKALSLSHAELADEIQ -SHHSVGAGWKRSVLYKWLTSDIGSPQDLLEYIDKYGFPSEEDVVGVYDKERELKVLARFFGLLTLYKRMY -VVVTEAMLAHDILPLFPEVTMMDDLTTLMKKIYHNTSSGEADDYWEVTYEVDFEKWNSYMREEETYRMFE -FVDELYGFSRVLTRTHEMFSKSTLYLANGVYIPPVEDGRIKEGWGSWTGHLGGIEGLRQKGWTIFSLHVL -KRVAKTCGVDFSIMGQGDNQVLRVKYPKSLGLMVAKEKHNLFVKTLEEILGQIGPPNKPSETWASSSCFS -YGKFWVVNGVPLCMNLKRLARIFRLSNEGFPTVTSSLSSATANLMSALTSSLENDLCYFMYTTEIQGIIW -YGLKYPLINLNQSPLKRNWRQYGPPSSRGDRYSLNVVLKQEDFKHVEQVSSTFLESLCIFPTSLGGYPIM -MYGSTLVKGFPDPVTEALSLLKLMLRTNITPDCRRLVLNMLSPVINPYINPSMLIQDPESLNLIKESTVA -ERIKRHVSDYLGSAEWISNRRVAPILQYSCERQDDLCELLYQMTPLNPRIGNDVISSTVVGRALSIMSRM -TKTRTLTLMALQESSVNLQKVLELTDLRTNQGVLFQLFSKEESDTSTDLTLCATLRADNLRKKSWKKPLV -GVTTTCNWEAFEVVDLPCKICPDPRGYVLTHIKEGMTSSEKKGGKLGPLVPYMGSRTKEKIARGRETVQG -EEGALMMKIANTLRLVGWGIGIDSNFHQLLKKILGTFSDIPSSFYETPEEMVRGTVAHRFLDERTKHGGT -IPLLYQMASFIHISTSSLTAYSKGGGNVTLHFQSLMISLITAFSNLMIHQGSRTIGQHLHQVCDTCIIPV -SEEMVELPESGAQLNMPRLSPETGYVQFKPSPSSDKIYTESPMKSTPAYQELIYLAGTLIVKSLAPLSYE -FADGRRMIVPDTLVPILWGVKIPIQHLLFSVALAWARRITWRLLSRVNLSSDFLTGEIIVRLSRLPEKLF -GSLHPFLVPTFQRFSLASPPFFCRTPSESPPSIMEVGRVLKSAIIQAWELISGTSGGLFLQGINPIANLE -LHPEDHPIFSIQFQEFLKTQSDDALDYLRISKEIVESVSQVNRHQVVTGEELINMFTRQNPELVTKLRTF -TPKYSQFFGSVDGLVKELEDIKGSNQEIGITFLTNKVKQVSEKVMLTRENFEEGSTVLSFKTQSPFSPTT -PSASGHFFKPLRFHTTSIYKLLSLSATFSTNVQKIACLGDGSGGFSLACCWLFPQASIFYNSLTSLEGIA -GHSLTSASPPAFDRRPDLLSRIMNLSSCYERPSDITTEQFRDYLTEHGTSWDLVICDAEGGGWADGAKGL -QIMKTIISTRAKHCLVKSYASNLPMLMAQAYAGLSTYQSVTIYRTSWSSIGNTEVYISCKDFLDTAKINW -NWKDLTITVPIYVDLKTQESLMRRIKTLDWSTQLTAKDQEDASSTFTQAPDLWGRQTLIQLFPKAVQVFP -DDIIKIDHSEPWVRGSAVRTRARFRQTLWTISVKRKCVKRFLIGLVASQWSNHGTLPDLHKWVRNGLFRK -WKTSRGWSWIVDLEQLDERDERIANLISPSEIRILYQLGGEFGKIQESKHWANNKWVLMPVWARHKYPVK -KQVSSSSNWEEQSEESQTATE - ->YP_009333192.1 RNA-dependent RNA polymerase [Beihai barnacle virus 7] -MMDFESFVRDQFSIQDKNYPPIGDTTLRNPLILRVFDVLNGSEPDITGHCAGMLREIRTVGFKVGTLSPT -RFLSLSLIQIAEETPPMDLSERFSREFSAVSQVAVESLAPLCALSVDYNYNPSRHLLNYWRYTHMITQVV -LRSATKDFNSPRIFLAKFPGTSLRCSFYTAELRVAQETCCVPRDYILMLSDILASRYQALLFLHICGMLY -RPPPISAGALQHVLGSGDKVLLTNDQAAYKRLKMVEPFCMSLLLSHSNQNLFPSAFESFIQDEVAKFDDC -TSKDFFSAIRHAGSTPRAIANLFGCFRFWGHPILNSDLGRDRVKRVAMAEKTLDPRSLQFLECSFKKWII -LGYYRKHGKWPQLDLSGLPQTSEIVRARNSGLPVNLYSPDLQFEDWARIKGEKTLSLPMSLNTATLLSDK -SHSLNLAPLLSALRTTGRPGNSEQRRVLLSVLRHSLPSVSEIVRSFAGETLDRESLLIGLREKEREVNEY -ARLFGLMTLPMRMYFVLTEQMLANDIIPLFPETTMKDNILELKKKKEKIYKKMSGSNEQYCVVVLNIDFE -KWNLNMRKETTLGSFRFLDELYGTGCLFSNSHKVFEQSIMYMCHGVHPLLVSGELGGDNVWTGHKGGIEG -LRQKGWTLITIAAIKEIAFQEHLKCDFLGQGDNQVVAVTINLLDRSEESMHRAKSEFDRFRSRLIELFGS -LGLPIKGLETWSSSEVFAYGKDLYYRGDKLGLVLKRASRCYFLANEGFPSFSSYLSSCGSAISTLNDECS -SIVPCWMLYLWNVSDMIKELFRFHPLLRKGLSRRTLGELPVCPRVSKQVPYTTTDLSSLFNLDPLTLVKI -LTSAKSPVHGLPTLIPLDLLSHGFPDPLTASLTWTKLVCEKSRGLSETIPVTHWQPLLDNSPSPLQLCED -PCSLPLLYPAQVSNRIRSVVCEYLRDAGNVPNRHLARFFSISTSRQEELSNCLMALEPCNPRLMADLLAA -TPVGQATAIISQVSSTTTINRLMYKEHGDNVTELLQRTERVLLGFISWLWRSNRKTLSSTILGCPTEQAR -RLRKTGWGKDLLITVPHPLHFLSEIIRPSADVSVITIRLSGAIGNGLASIRGPFPSYAGSTTVEKLPPQR -FLKELSLAPLLRRPIRLCRIIGWITNKQSNLSRLIRSILAASTDLPSCYFDNEDYSVSGNPEHRYNDSRT -DHMTNVAGDGVSSSHVYVGVSSWTKYCKGGKNYTIHFQSILSDLARTYFEKMISADRPERLIWLQENCQH -CIVPTYEGLYELQLSTIPDIPSFPDVETLFLAKTSVSIDPSLRLFNQRGPSVSITNRVISFSVGVSAALS -DLQVGVSTTLRLNGVETLKGFAVSLLARYIVRRILSVDPQKTPTFNYAKLLRSCLNYYSTQDAVLFHNID -LLVQYSPSRDHILQCYGVAAVSPEIPLTSHSRQSSVRDLILFSLYKILTDTPSPPPGKCLVFEMPSLVYD -ARTLEVLITAYLPTVLEAICKLPHGIANIQTFHRVCQRLTSQSMPIETLTNGFAKIINGVDYVEIQLGNA -SYSWLLKTGDPPHPEIQLSLVHTKPPLGRTTKELDIRWITHKTDVKTIYKPNSSTPPEACDHDYTNLLYM -GFSLPTSSWYKWTGLLTDLDIDCSHPAALADGAGGVARTLYDMSASDPPQRAVFFNTRPYGPDTDQYSLD -DVSPVMLSNLPNVYGLELLKRGIGDLTNSAEVELIAEELVTYRPTVITCDAETAGWYGDQTQSLTSSLVR -LMNALPQAVFVVKGYMQPKRGILWVLNQFAKTCRDVSVLRSQLSPRSSSEIFIYVGVKITRVLGVRTVDF -LDDLDTYRMPETQLAVICGSLDKWRSEIYAPSGFSPYLKTVLTYELSPPLTKESIIASLINYYESRIVSL -KWFRSLNKRTAGRPLHLSSRVLNSLRLVHLLKDLPKRREHVNAYFSTSTTTIFLAHVGRSVRTSIRQRLQ -DAFVIHLKRDRVADECKRHCCQFLGMFQMFFFET - ->YP_009330105.1 RNA-dependent RNA polymerase [Hubei myriapoda virus 7] -MTFQSTHLNNALFDTLERSIQQGKEPPTRNGRDEFREWQQVFMDEAFPIDLSSIRFSPTHKVFGKLLQVF -DGNEKAYHTSQWFDELETSNFDVIDEYGQRLAALTFDSLGLTPEEVDISRMKVRGEKTGHLYTLKLLWLI -TQISIWFSRSNAHTYESDSEFYNLNIVRSRFLRNHNYLDVTIFDEISIVLTNQGIVVVTDFVDKVYTCLD -KDHFNAWTDTISERCNTLFIATLTNTDLGRILYPQPKDIIEFYRNGDHLLNFYGNESYKILKWTESLCMK -ILTTEANPICVEENIFPEYVNNEVTNLCREFPLARKFFNHIIDLWNTSQNYQYISQLFGLYRHFSHPNVN -NVLGFQSIVARCATIRPVHLDHIRKMKIKWRKLFITNYFKKKHKWPNVSFDNPGLLNSVYQAYRQGRLPN -TMESYYEEDYWLTFKFNKTFDPVDSLNFVRLINDKVCSADLPDLCTACKKRVTPPARTKQTILRWLQDTN -LDIMTIISEISAGNIHHEWLCIGYKSKERELKTEPRCFGLMVYYIRQLITIREHFIADYILPYMPSVTMV -DSGLTIEKRMFEMGSRMLSEIGVIYLMMGEDFDKWCTRHRGDEVHPIGEDIDGLIGIPGLYNTTHNYPQL -CTSYLADNSVPIDVKDGNLVPGLGAALLLKTLPEGLAQKLWTIKTTAATHYIKDELRVSFEELIQGDNLL -TRFTYPISAFTEGEGGRMTLNFRNQERLSLWRYKMKNFLAGLNLLIKSEETWFSHSFMIYGKQMRKNGVQ -LSTSLKRLCRMFPMSVDTFPSLESCLSTIASAGISSTGLDITFEVSWCLYIMQGLLLTTSYLQKSFLTGE -QIFSLFKNRRFKYKVGFKRQREVNISQHLAQYPNMSLITICVMMIITPTSLGGFPVNTPLSYIMRGFPDP -VTETLVWLKKLLLSELIWEELALTIENIIDQPFEPEIDLLMLFQNPTSLNLVRPMQQDIIIRSTTLRFLG -TSSMIQSELFKKIFSISNSRTDHLVTYLSKMTPLHPRLCSDIIDATIIGIASKVVNKFKKTSTIISMATS -IEGNIFLKKLQTADRNTIVHFASTCYASINRRTVNWNTVCATRLAESMRQMSWQRRDIEGVTVAHPLEHL -KMYEVVNGYCSRCEELQPEERNDFLFFRIEEKINPLAIFTTVGTVYPYFGSETEEPTRALNEKLKLVSMD -KWFQSALVLDKIRGWVATETSNLSALIKYIIAQVVENSEKILFPANMSTSSSVGHRYRDARLKHGGHPNT -LLMVLTHIYMSSDTMQKYARGGINVNLHYQASYLLGLFQAVNIFRSNEKHPRVFHYHESCMDCMIPLDES -PVDITEEIPEEVKLEIGMERFDFIRSSIDLELSKPAKPNLIMRETEDDKSINFLIDGFFTTVSGINVINC -YLSMMCVKGSKAETLKISMNSLFLNRQYIPNLISWWCWLSIVKETVINPQKMCGMLCDERKIYLLNRLTN -NSNSISKLFEGIYHNPDLVSALVVDNMLVITPQSSPYSKSDISNGIGEMVNHFIEIFTTEIIDNGTFPTQ -ICLKHLFTLENQPITLVGLVGQLLVKYFQWKERGQNMFDLIVLITLNITTDDFGYFDRNTVIDAFSRLLN -IPVHRGVKTRKQGSKNLTIKRFVLESPKKIIVRKVVNHLRMDIETVNKSLTVPENYEHRPSIEKSIMTVK -RFPKDLMSGTWILPNKNSLLFSIPMIENAKMNPAINSLIMAGGLKNSEAYFKIHHFKSMYRHIARNTTAA -YKLWGLLSIVQNTGDETISGAFLGDGSGGLTKLFADMYPESHFFTQTLLDLTNAEEQILPSYIPGAMLKL -TSLKTRMVNYREIWETPSDILQPEFWEMIKTRHFHFFKNLTLCCVDAESDSIREMKVIYVKKLLTSIHSA -VGEKTIIIVKVMVKNSREIYDIVEVARNFYSNVNLFRPMYSNHNNGELYLTLYSKARDHSPMTSQQMMEI -VNGWFTMLKFDEKTEKIPDDVIKRVDDLLMYDISPRFNNGSLSNLNQKSGLYIKTFIELTFTPKEFGNLC -IITYISKQYNNLNVNPSFSHGSSGFQRFKIVNTYHLFCYWIGCLSYQYDLTPHSLFTMMKTTTTIGIFWW -RNKLGKIMQIPVLAEQPLDTARNFLDLTNFKSRESIMRDSLRIIHYYLGNNKCVKLRPPNIKPAKESFKV -THDGVDVGGHIPGDKIMNDQLTMILNLMSNFIRRAQIK - ->YP_009305112.1 RNA-dependent RNA polymerase [Wuhan Mosquito Virus 9] -MILRLRKIEDKIIIKMSKSNRFRAKNEETNFRPKAPDVCSDTHLNNPLHNLGLINTINDISKNAAVHSLP -YNRAVNYYDLMRVMEAASVQRKNILDLSLDPQCLLQRLHNVGVHGPAPEDDHVNLRKHMQVSSQLTDLTG -HQFLPPEILDKVADLMAPEKNLPDWSFIRRYHALRSRLEQGVILTMKPGRHSLRADLTAYRHWRVAELMG -GLRGGYVVKTSIDGFGEIYLSSRHVIIKNEYGNWLGTRDHYLMLHDCVVQRWVCCYSVCLASYLKQTNYP -SLEVLLKVFQWGDTLINIFGNDGYAAIKIWESLIYGLIMASEHDPVVDSQLFLNNMQSDLFSSVPGDDGF -VQRTWEELRDQTLKGLNLHQLSQLHGLYRIWGHPSINILEGLAKLRQVACTPRLPCATSIRYQLVSWREQ -FCVGYYNAEHRWPNLEILESMPSDSLLRKCLESGSPLPLNSPHYSLDDWTHIKFKQTFCVPAKFDLNSTT -KDSATSLGFQELKERLQSSGSIGSASERSVIMRFLEREWTTPNDFLQKINNEGFDPDESVIGLREKEREL -SSSGRFFGLLPIEKRIYVVVTEAMIAEELLPYIPEITMTYNLVKLREHIQRATRKLKMPEEKTVTVVTNM -DFVKWNSNMREEETGPLFKDIDHLFGFENLVSRTYEMFRESQMYLANGHVLPEISRDGSQLETGPTVWSR -HLGGVEGLRQKGWTLFTVSLIKRVARILGLNCTLMGQGDNQVLVTTYSCDSKRSFSEQHEEFINTLTRCL -ASIGPPLKPEETWSSSHLFSYGKYAVWKGAPLSNGLKMIIKMARMTNEGLQNLASTLSSMTANCTAATDV -DLTPLIAYLVCSLESSVAVELALARPCYAEESLTTPSRMDMFRIPSDAMSVKFNVKKDDRIFQQVSKFTV -DGLLMLLISPSSLGGYPVCHYWSLQMHGFPDQLSLDIQGLKAAYSRSQKVLLKTVIKRLLFPPLNHNVNP -VMLCQDPYSLNLLHGSTSIDKIKGMVMDFLVTSGQDFIRNQHFLNFLSLATADQKELGDLLYKCDHLHPR -VCNAILESTVVGKVNQALAKVTKTGVLVNLMLKFKFSDAEKMYARIRLDQYENAELSDLNEGAPKKRKFF -ELFGAFEQNQLNCLIHTICSDNEGIPQSEVAGLCSYRHAKALRDTSWQKSIEGVTVAVPWELLTPRTSYA -ANCELDEHPCVHGGYIATQVIGTTDLEYLSGKTGSIAMGPVSPYLGKGTRNKVDYEAKKLAESAPPILRN -ALTLLQLVGWATHRESKLSELIFKIVESITDAPARHLAPLPDEIAGTFGHRFDDVKTSRMCTSSIIPTGA -SYITSNTNHFKPEQLYPDIGTDNLHVQFQSIFLFIQHYLSVKHTFTGYPTENQSIHWHINCPRCVYPVDE -AMIDIEAETIEWEKFSFLKRQPQNPYLWVPVETLPDQLLPTVDWGKVISIPDPCDIKLKNDLLVQAMAYD -VMKHHGLTSPAFRTTSEGPVYEIPVTIINKLEFVPFIQEIIYMLFLRLCWIHATQLDYHETRQAMLPWLS -GHLNTLRQVPISWFDPFHRLLDHPDNLSKALARWSQLSPPIGSPPTKAQISFFFQELFRREIDDPSFLDR -FQTWCHPNYRKDKLQLLHQGLSNHPVSKWAMSKLLSGNTDDRIWHFTRFLKDEALLFSDSNVTSVSDLSF -LAKNPETAKRHLDALSVGFAMRRFFEIEAKHKIRSWYSSWRRCFNSI - ->YP_009304559.1 RNA-dependent RNA polymerase [Wuhan Ant Virus] -METEEFDTDVFGIQSPDPPPLPTHCDIPLKFNKQRAALGIPSQGQYIPKNNHLKKELQDIFKVTGVLTFH -RLELSQIQLHLLNLNLIPTNPVIQTEWKQQHRVSNFLWNLNLKSVHSCSSLPTDNPVVTLTTEEQLYLFS -SYKIKSFWEEAVLLSGSGNYKPKHWWTRVGKKNGVARLGRMLFVVTSNLIAIKSEQGNFLASRDHLLILS -DLASQRYLLRLLSVCEIKKQRQDFFTPEQLDYFLQIGDRVLQTSGNAGYKYIYTLEPACVSRLTGDIPVG -TPNSLTFRENIYKSTTMIADDLSIEDLHEERETFLNSLSDDPQLLAQAFGLYRIWGHPTVEPLEGTSALK -EVATKVRGLNAKYSNAITYKFKEEFIMRYIRQEKKWPPLDVTQLSPTNPVRESYEHKTDFPIHHQNYKRS -YLRLIKFLPTFPVDPKFDLVEMIADKAMSLFTPDLINHLIQGKGVGTSLDRSVLVQWLQSALHDPVEFLK -TVDLYGFTHLEKTLGVKEKEREGKIEARLFGLMTLIKRMYIVLTEALLAEYLIKYFPEITMIDDELSLDK -KRLMFNDPSLYNRSVFTSLDFSKWNSNMREAETHGIFQAFDQLFGFTNCFQRTHEMFKDSYIYLLNGSYL -PTYRGGHFISDLGSWYGHLGGIEGLRQKGWTLWTISLILLAAENYPIRLKLMGQGDNQILREIFPQELTP -DRQLELHFQFLQQLNLILEHIGPPLKMEETWTSREFFVYGKYLMYKGAALPMYAKRICRMFRLSNEDYPT -LESTISSLTANLSSALSYSTDPGYLLYIYYTELIGIFQLYLTNAYLHKQSIQEKISRSTTLRIPGRPKPQ -YLSCPPFLKNTPIHPDLTYIKLSLLPRCLGGYPVTNFFMSTLRGFPDEVTFSISTLKLFYVHSPPEIQKF -IVSILNPPLHNEQNFTLLLEHPTSLNLNVPPTPSEARRNSVIKFLQGSKIVKNPYLKTFLQLLNSPFEEM -LIEYLSTASPFNPRILSQISSATVEARARHIANKLQKTKTISALARKEKLVDIYKIIEACETNHTISVFR -LITSIQPNSFNWHINKCSVTHAQELRDTGWKRHIEGVDCVPPQEFLYLESLNVQEKCHSIFDLPKGYIII -RFSSSMTKHQFQDPLTVGHFKPYRGSTTKQKVSGFGEKLSIISEPLLQKVIKSFNLIGWGIASQGNLSSL -LHQLLEARTDLDPKWLIPDESQLAGSVHHRLQDDRTGHGGSVSLLPNYASKFTFDTFPLYEYSKGSKNVN -LMFQSLMSYSVVLVSWALSTGWSPPIPSIHLHVKNSCCVREINETLIDCTDPPPPCIISHKESPYLYTPA -ELYLNKHLKELLLKSDAPPSSDPETLQRRFIAAMSEEVLELIQPYTWDIPKVELVKKSLVINWCLNAPLI -PILELLCLRLCVFYIDAIRHSTPDQFLIRVYERVSRSPSFYWSQLSNFIFYQNFHHDLVAAPYYVHLSGN -PCLSDEGCGNILKTACQQILLVWASDNDVKRSLYQLEVLCTQNVGLHQHPAVLLATRDWLTAKSDFNLKD -LKYEIVKTITKVNIKEQGPLTTLAIKYLQASRGTIFKESTDFLSKKCFPIAPAVRFTTPSSLTNLPNTSI -TLFTVSQGQLIHSEVMVFPVAQSFHNSYITHLFRSISLPTSGMYKALSVINHLKLQLNNHYLCLGDGSGG -YSWAVLRTNPYCTVFYNSLITSESSIQQAPPIPYIPALAGYPDFEDRIDSLHLTNDGISDLLHPCYADYL -KFHLTKNYEGLFCDAESKDYLLGTFAFDLMVSLVKISKNLNIKWAVVKSYALNPSALRAQLSILLSYFQQ -VQIVRSDFSASGNTEIFLYCLKLEAKVMKCDMLQSNKLGGYVLPDSILPVLEELKITLLQGASFSTEDSL -IQYTTILNEGNWESLDKSILSTVPMIAEQVDLVYPHSVLTWMRTSGQLHPGMPKIIITHLETKYFTKDII -KHWSMCWLLLGCWSEALDHEHIWTIISSGVCIWYLLKDNTWEISLSLDVPPLELQGPSLLVWELGDLIGS -KELKIFHRTLSILYTYAHKPKIMSSGLERGNLNVFGHPVGPNKEPKNLWVMKHIFKPMETPIAYPSIESK -GLVKSIWEQRMKRRRKFF - ->YP_009304476.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 7] -MTSRGNNSYPDTHLNSPIRSFIHQAVIEGTRGHCNKFKYDKCKQDLTRLLTACERDGRRPKALQACPATG -QAKVLAIMGQNGFQLSQEERQYCDWVEIAKKGLEFVSLQIQALPEPARPTIDVDTLIPSLAENSVALGYL -RSQSLWEYIIFLTSSSLAGVSCDKSAPFYHRVTPINLDQGVYRVALDDDHQLYLSKFNALLVGPDHSQPH -SPLTGWFFGRETLLMYGNLISERACLILSSIIAGDLNTENLLNFAELKTILGWGDALISHMGRDAYKVIK -CYEPLTLGVMLSSHNEPYCDNKKFLQSTIKGLDSVFTQYPMARTLTNILTHFLESLDIHKLSQAFGLYRC -WGHPSIDTTAGISKVKQLAQAPKMMDHDLITKIQRKFKELLFISYRRKHKLWPKFSLDPGGKLTYLGNQL -DSGHMFSRRHHRYRLSDWDYVVLEKTVDIEDNFNLSTLLSDKAVSPSKSEVVASVANRKGIPYVSRRVIM -AWLKSNYTTARAFLKEIQEAGFSTDDLIIGVCPKEREMKMEPRLFAVMTMKVRLYFVLTESLLAEHMLPL -FPQVTMIDDAIKLTKKIYHATRSMGDKEITELLIPIITNIDFEKWNLNMRAELVNPIFRVMDQFFGFTNV -FERTHDIFEQSTIYLTDNTLEMSVDPSHTDLADGPGVWHGHKGGFEGLRQKGWTIVTIILLELVSDSYPL -RHTIMGQGDNQVIISRLTSHHREQGKLHCVKGPREVRMIHASFLESLADTLERVGLPLKASETWSSCRLF -MYGKEMYWDSMPLAMSQKRIARMFPLANELYPSLENALSTIFCNGNSAALSDISPLHAFIIGVIQAIHCI -RSHMAYSPLIGDGLMKLSVMEGSVWSVVLTSTKITRRLPVKDVEKLFQNHQAEIPLWILLFPKVLGGYPV -QSLLDFMNRGFPDPVSQWISLLKKLFLLPCCPDILKRVCCAIVWPEFSPDINASMLLEDPISVNALMPTN -GAGVIRKQVEDWMQHTEFIQNQSFKDMMTYAFTSQAPIDILLAKGDIYWPRLMHDIRDATVGGYAKSFID -KIAKTSTSMEVARTSSVTPLVPKIRQAEKRYFNSVIYKLGKGDTHLIMPTCSAKHADWLRRTGWKKDVMA -GVTTPHPLEIFDVTVRTELGCATCNTDDTSRSNYIVAKISDDLRQHPTSYLTRIGDSVPYLGSSTTEKVK -APVGMKLINTTPLLKRALSLLRANNWFVSPDDPLGRLLRRLVQSMTDMNPEIFETPTVSKTGSADHRYHD -SALKHGGFNMTKYNAGTFLHLVTTTLSRYSKGSENVTLHYQASLCYLQGLFSIMLNYHLVTQHHCALHAH -LSCPECVVKVYDEDIKGDPLLDLIEFPSLRDNPLLWVPKEQIAGLTTPHKFETVAEYSHELMHFGVALEL -VKRALSIRDELEYELGTGSSLTAPLVWGMSMDSLKLLEACCSILLIVYLSKRKPLAWADIDDSVVGNFFN -WLTETQLSYLSPLGIIFYDSYSRLRLSTSLYGIKSPSSAPPTNRAVQKATLAAIQAVILMRLEGVMRQWA -SGFKIIWGGIDNPYDSLSLAITYHALISPHETLTERHYRALILAIRETKAQEQSEKTDIINAVKATVLNP -SDQSLQPALSGAVQRKLVEVINRLPLQYSGASADYLQKSYKGPTNKDRCVQAPVRTLTQPQLAMLPKAAY -LLWDLERERDYDPYELWRIPEESIPPVPVEYYSHFYRPDPLDSTAHYKITSVLHASQLLTRRVLYALSGG -DGTGGNTLTLLRLYPDARVYYNTLVSFHDIVPQILGEFSPASFDGHTGWTRRLIGFQDSVEGVTDLTDPL -LVDQVSSVLGGNLLDLVVSDMEGGGWTDPDPGLKLANNVCRISHIMSPNGAACVKYYASNRYALAAGLLT -ISQYFAKVSLWRSEFTSSKSTECYILAVGPLHHQQTDDHLLDTGMRVCQVTPTKVLLDHISDLRRRMEQD -TLPRANLASSRQYSAVLRTEIHTHALERELLALLKRANLVSTHNTLDLYRVFEKLFQYSGKLLNPKAVVS -HKLISIKISEHVDTDKAWNIISVWCMAIVVMLASPDLVERSLKTMLEGWLIGYQTVKGNWGFIIASEALV -PGREGYCSRGNFFQRRVGSFSSSNKWAKRIMKMASLLSRKVSFLGLTDGAVQRIDITWGPAPHGSQLEQN -LGVSAPSACHWIIHLPAKMTPRTPPHVIASFPLHEWMRGAHFLRL - ->YP_009304420.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 6] -MNVLEEILLEEQSWRVPHLPDPHLKSPILPCTRELFVGYVEDKAKPTPGYIKAQVRKLEDVVKNYPSLHT -DTENLYGAALSESCCPSMQQTLPQSYLHFLGETISDVRDLVQGLTTSEQAPGHGLTFDQLLRPLNGYTPH -ELIVSAHIARTKWNKLRRAMARFRIHPLPRPGFLVYTICGREWKFYYTSSVVLIIIDQESYVMDYDQVLL -VADTLTSRYLALLYADLCNQGYPLQCPDPLLLSRCYEWGDELLEQYGNCAYRLIKMWEPLILGLLLQTTD -PLEYSHRFYATMEDAYHQEYENVTRDRCLGACSWCQVRNLIRQEPWPNISELHGIYRHWGHPTVDLKGGT -VKVQSIAKEKKSLSTYTRLAIGAQFTRYLILSYIRRHGKWPKCRIDSSHESKALYQAWREHRVDMSDYED -NIAILDWNIIEFEKNFEFDYFEDWSQLLEDTAISYDRDHWDCTYDRTLLGYNPPPSPTLKRGILQALSTN -VINIESICRRIRQRDVPHKWKIITVHAKEREMKVEPRLFAMMVLEMRLYYCALEKNLAEKILEYFPQQTM -TLGEIDLTQRLLTLTSRSPPGSLFIPAYVCVDFEKWNLQWRLEAILMIARRCDELFGTPGLVTYAHEFFS -KVMLIVGHRDIIPPGVTSTNRQHPPESEYIWYDHLGGLEGICQKLWTLGTICMLLELSRRTGHRCIITGQ -GDNQVIKVFLPKLDSSMSDSQYVSKYNAELTNQIKHVITHLTIIATELGLPIKASETWVSSKVFIYSKDI -IVDGAYAPTALKRVSRAYFDVAELFPTLESKLATIHTAGQTAAQKGDHPVISYLISLLESRIALYASFNE -PTEFGTRLGKNLQIRTRQYLLEWIHFVSLLPRSVGGYPVASFLSYLYRGHPDPLTGDLNSLRLLGIKNDI -FRRALAYILAELPFDDDPDLTMLLQDPVAVNWKLPVLTSNVVKNTLQNSVKDYAKNIDVKALFHAHWESE -DQKIVETLIKADPFAVRVLNDIYMKSPAGARVGFLAMFNNMRTTQATIASTQQRTMIAGIQRSEARWVQH -LDRVYQAILKFPPLETRVCLSEEAQSLRQLSWKKEIVGVTVPHPVEQFLIGIKEYGEPCSSGYALIRYSP -PDDGSVPWYHRGPHAAYLGSRTQEKRVGQVIPVERSTPALAAARRLTAISSWAVSSDPVSQSTLTSLIRC -RTNMPQEVLLASSGYVYSGSITHRYHDPMTSHDVLINSRPNLASHVYLSSDTLGEYARGVRDLTMHFQGM -FLLMMAYLHLYLLGELNASGDVCLHAHIRCPTCIQETNDTPVSLPKAEINYHQYEACHLIHTNALTSILP -DVWPTSVLLEQVIDKDVSLTTLGSMAAGYVLHLDRRKSLATAYRGRQELAVSPGTRGLDILEFLSLGIRT -IIKGLAAYTILVNAETYLTRVGKSHLNRANAVQAIVFGNPHSFWGSIRSHILLPEARVDLLDDLPTLAPS -SETLKGGHQIDLTLCRLLSNEILGRLHDLEESEGNPFLDLLFFQTDEIDYLQLHRLWANSWLLWLSTRVT -THTELLRARRDLPRRSRDGKQLAKDLVLWYIRHIKPVRQSQVTTIPTIKFTKTPPEVVLRRCRDMYHPSA -HVESRFLNNLLSIREDPEQCPDIPGTYARALTCVCPLEMTSIPLPIPDSSNRLDSPPTQVHDKGDHYFRL -HGVYSTAAYKYAEIVIQEHIAEADVVVCLAEGSGGVMRYLVNKYSPNTIYFNTLISTSDFAAHRLPGYVP -VELLRINYTQIPGLMTTIETGGDLTSESVVRRIFSELPSAIDLLTCDAEISGDPTPSVGGKLIKHVLWLA -NKMNPTGTLIYKTFCRFPMLLTYEVSLAMSHFGKVKLVVPTYSCHKSSEIFIVATEKNEVMRTMSDERNC -PLSSCLIAEIIELCQHRLNPVPFQTHSRRRTMYLQNHISRYFESNLSSSMFLYFGPTFAPSQELSPEVMI -NHLGLLETMMGDYFKAYGSILAGVKLQQPGREMINAYTADRVPIESLMSHWLNTRLMIMIFNLTEVGPEL -VTGYLLRRHTFRCGSSRVWTTNTSIKDWVMGYSRAFFHILGHCNIKFTPITRG - ->YP_009304985.1 RNA-dependent RNA polymerase [Wuhan House Fly Virus 2] -MDYDFYSGEDEDSPKIPSLLPTHLDSPVRIHVLQAIKNNIKLLNSSQPVPKNLNPGIKHVVYDLRSLMKD -HPSIPSFSIMDASCFNAIKSELAPISSCVTFSQMLEKRVQVALRNVELQYCPIEADLPRSGEPDNGDLTP -NLTESLHVLLENSVMVSKSLPFIIKHYYWWYCILHQAVIITGTHHLSNSTRWEGQKPEWVRNRDGREIWT -LSAERISMSVSRNMFLLKTKSGEIIVGTRDHLLMIADIFLQRFNLSLSGFIGEKIGFNHYLSHEELYQLH -SWGDQILSMTKNHAFDILSKWEPVCTGIMLKYTTDLLKRSKDFLGNIVASVIDESSHMIPDIQLRLAQLE -ELLLKLFKKNTHKVSQAFGIFRTWGHPNIDPREGIVKLKQIACQRKVINRQKTKEIACIFKEQFCLNYRA -KKGFWPKLDVTRLSTFNVIRRAYETNSCLSLVTKFYNRQDWSLVSFDSTFVLSDKLNISNLISDKALSLG -IDELVEQINKHNNIGLATDKAVIVQWLKSQLNDPIKFLSDIDANGFGQEETVFGLCPKEREMKIIARFFG -LSTLKKRMYIVLTEAIIAEYIVPYFPQITMMDSSLTLSKKFYDKTRDMADLDKEGIRRTVNAVINIDFQK -WNSFMREEETKEIFSSMDALFGFRNCIARTHEMFTKSQMYLADGTVIPKTVVNSDGTACLENSDFVWEGH -LGGVEGLRQKGWTIFTVCILIDVMSKFPHKFSLMGQGDNQVLIIHFDSKASENYINEEMDRILDRMDQVL -KLVGPPLKKEETWVSTSLFIYGKFIILNGCPRSMSQKKMCRMFPMSNEGFPTTETALSSVVANGSAACAS -DFNPLVPFFMQSFWMVSIIHNMLTYSYLGFSVPDLIKGLKSVRFLVPGFPSPTEVKFGLQDDKIRAVSTK -DNNLLLALCIFPKVLGGFPIGFLCQNLVKGFPDPVTECLAIIKSFYPNLNRQEHFYVNNFLSPQINPQLN -LEYLFSNPAGLNLLVPTTPGDIVKSSVTRFIQAADWIVNPYVNTFISMADKDQKDLTEALAEMTPLNPII -AHSILESTLVGRAKQVMEQLNKTGTLVKIAAKEGDNLLGRVTKSETNYINSVIYSIFYKGNLNINILDCS -RRNAQLMRETTWKRPDITGVTVPYPFECFKMTSGMHCQDHPNPEKGYILIKETTTLPLKSWMRGERIGES -VPYLGSRTHDKVQSYGKQVASFSAPLLKKCAQLQSLIGWATERDSNLSRCIRTILESVTDLSPELLTPSD -AEISGSVEHRLATTAISRGGNVEMVFTFGTFLSIATDTLIAYSKGTANVNLHFQACMSWVVSIFSMMKSM -GYGPSIKFGHYHQRCLDCIIAINETKVEVPHREWGTLILSNPSSPFCWIPKEHALLQASRGALQYEIMPS -NHKYKQDPNWMQLRGCRLAARETIKRVRQLSLEIGDGKASSRSGWLFPVAWVWKVNPYVFFCCFVFEMFS -YFLFRQFENKMREITFHQCLDEFEVFLSRIPASVMGIFSSWFQHPSFMDFISGPPLFIRPPLQSSLSRNS -CGSCVKGILLKLTTMLFRGEASTELFEGWKVFTSGGEEAMDSSPLLLSVVYQTAIEGRNITNSDNLIVYL -AIKEVLPHFSRSSEFLNQNLKICLKNNVGLLRKHMDSEDIQAFLKFVDSLSLFQCTETADGVISSLPKHE -VTVTLDPIKKINPSSLPAVSHIEHLVPSYWEMSFGSLIKDEDILLDLPAVSLENHLYKPESFPTTAMYKL -LSILQASGHLGTTENAGCFGDGAGGFTKLLLSCYPFKVFYNSLIEVSKLFPNALGNYYPPALAGSPELRE -RLIGLKFTYEVISDLTDHSYAQQYITQVGIKLDLMICDAEGGGITDMEKSPHMLINLCNVANWSKTSLLI -FKTYYSNWSNFKNLLVIMLHYFSHVKIARSYFSSKGSSEVYIMGHGAREATRPVDPDKLWETKFGSKICA -NQEFMFKKKLLKWSLITPREDDANKYTNALSSSTSGQHVQATLKSVSQSLPCYVYDKHDPDSAPKYPFHF -PYTYIADCRKSTHFLRFNEKYAKITKTCYITSTYAQRVLVGYLAMLTLHPDFTIEKFNWIIEMGGLVFYP -VHNHLWSFTVCRYSLLIPFRYPKFSLRALINSKVKKEILSKGAILRQVTGIKISFLNSINEFLESKLIFD -RVSYKFHWGCTPSYFSSDMDFNYKVLGTPKFDKVAEKWLERLKQVWFRTPNVIRADPSLWVNL - ->YP_009303699.1 RNA-dependent RNA polymerase [Lishi Spider Virus 2] -MNNLDFADDYPSTSWEKKPFLPDLHLKSALTVDTVEELGDLITKIQEHPGDDRINQYKNEFSRVIKEVSK -MKGNEMTLIYGLDSVHQLPIFWDFRPVSINSGINSLLEENYEIFGKYLDVSKDMTEKIVNGMINTEETST -FITRILAGQKPETIQNLIVSGSEYLNTYKNLISNRLDKIQKDNGYISTNKIHDALSDSRIKILFKKVDRL -MNLRNKMLKHQVKQRTSVSYYNLFQEKDTYFTDQFCLIVLDDLTCILLSYDQILLIVDTVSSRFLTLLFV -NLYPNKLRSIYPGLNILEDFYKWGDRAVQELGNPGYNIIKKIEPFCIGVYLQRWDPLPDAGKFVEHLIRA -SSDREKPYLLELMKILNRCDNPNQLFELFGLYRHTGHPFVDESAGCIKMQETTRSCIEIEESSLKNCLGA -CKKHFIMNYIKHNKSWPKINLEKTHKILKSSVITGIEDEEKEAFLLFLNQKLLNINEYETSFPLHLWSSI -CFNKTFDYNDYEDFTPLLSDTAISPQRSKWGTLYNQKRLKVRVHKDTDYSRRTLINLLKRKRFSNGKVRK -TIVERKVPKEWKIVSLHSKERELKIEARLFAMMVLEMRMYFATTEKNISDILFKYVPNQTMTNSEAELNN -KLLNLTNLRIRQDKIAITFSLDMDKFNNRWRDASTLPFFQLLDDLYGTEELYSYSHKFFEESFFCLASYN -HVPSYLKRDPNVDLNKLTIREKIEEDKKLNELRRQNFEKNSDTTWIGQGGGCEGLRQKGWTFIISSALSA -AEEITNVKSHIIGQGDNQVIVALFTKIYPNMTDLDYVNQYSDHITHQIESYTSVLEKHINGLGMKLKLEE -TWVSMSLMNYGKEILINGCYLTSSLKRISRSYSEVNEVHPTLSTRISSIFSSCHSASSKSFDQVVPYVIA -SSLVLYTIDQEVKGRGISTFEIRDKSELQQRSQLSHTPLFSNQEAIILLNTNKEIGGYPIMPFTEYLFRG -HPDQISTYLTNLCFASRSLGECKKVQIYISTKFDKLVDKINYQKLIQDPTSWNWKTANLDTGEISKILEQ -NLRKIVVNEDISKLLNQSNPIENKAVIDYLSNTTPFIPRVLNEIFRHSPEGAKLHYMSIFSDMKTMKEMM -SSSDSKSLIKLIERSENRILHYVFTMIKNINNIRVEANTNENLRWLNSFSLSEEITTVQWQKNIEGSRIP -HPGQQFEFCPVTESGCKMCEITEKDFKEHIVYIVDPDLIKNRINNRDLSLFHRGSFQPYTGSATKEKRSR -SLINFPKGDRALQAAQNLFRIQDWVISKDSTLYQFILELIRSRTEIPLEVIKMASGKYYGGSVIHRFQDV -VTKHACRPNTRPNLFSHIYVSSDNMGEFSGGKDNFYIHFQSVFLYGLSLINLINFWNSEFLTKAYHLHVV -NYHSLKRIEESLITTAETEFPKVKSLKGSILLYSTIDEYTDKCSDLEIDISDLKDPKFNLESLESFGVVS -VGVIIYSYMLDQSIPLIQSSTLVNLSDTIHCTLTLNDVKTFGISKIFQSSGVVWFLDNIREVLIFAHENI -ITLTESSEILIKRISPAILNFFKPLLCNDDIISEFKKLNWEPGSSQYPMNGQGLEKLIFNEMLKGINNFL -CEKNPWNKLIPYKSITLNRSILLYFYSLIICSKIRSHIGKLRYIDGITRKFYELLNNQDLRCESLMIYYY -NLVSEDKRLFSPNSSQKIQVSICGPESWVRMYKNRDVDETDNIDIKSCKDSISNFTKDDYDNIKYVISRL -NDRLCFTIKQQDITCFIPNDSNINNLEDPGELEPPKSQKYRYTHFNRLTGLYSTAHYKYCELFKHINKKE -FQVSINLAEGCGGLSKLCSQWFNCSKIIYNTLIQLRDFVSQRAVGYIPPEVRYIIENTSIKLLGIQECIQ -TGGNLLDDDVIEIFSNLIKKNVGLPSIMTMDAESLREDKEKNTRILMANVAKLFQLLPVDSYLIVKSFYK -DEYLFNQICSFFFRNYNNTMVVKPRFSSSENTEIFLIIRKIRPVLILPFNESTLLINWGSKLYNRDHNSL -NDDQLNITLHEKELLHKSMIKLGFKSNLRHCLNSVTDNFLNLERFFECPLKEVSSKIDLIIGYISYKMEK -MGRDLKLKRVDKQYSLIKAKHFSESSDLKKLGYIIVNLYILKDLLISGNLETELITIPLDIRDKKSNLVL -YTVRCDIQEWKDLYQMYFMRIIGHMDIGTLI - ->YP_009300677.1 RNA-dependent RNA polymerase [Shuangao Bedbug Virus 2] -MPEQCADTHLNSPLGIWIIKKALTLIHDPHAASSLRKNRRYKDAARELQAMEEFLKKNLSLASNDIYLHF -HSILHDFYDTNWQGSSEYLEILRALLKTIPAESTIFSGQYHDSEFTQNILTHLIEDDEINLVLTSYMGYR -AFLEAGVCLTAKPSSVDRTPDLEHWKEWKCISPTLNIFQSKRFFRSYSWVLGPNFAILLSDHQPPCLVTR -EHFLMISDLISQRFICLFSCYAAKLLKDPNYPSPETLSIVFAAGDRILSVYGNKGYKTLKTWEPLCIAHI -LCKNPDPIVDNSQFLDNMETDFYNSQTKSDVTLLKRFYMTTLKPLLMTSTLEIITQLFGLYRIWGHPTVD -GLSGIKMLKRIVCRKRVLNYYIIRKLRCKWRERFALNYFKQNKRWPGFVLVDGPESNYLIKTLKLSMEIN -LGDPHYNVMDWQFIRFSQTFNIPDKFEFSEMISDKATSHNISELIKSIHLYGSIGKSSDRSVICQWLKTN -FNDPVQFLRDISENGFGLEEVIVGVHPKERELKIDPRLFGLLTIKKRLYVVITEALLAQHILPYFPEITM -TFNAETLMIRIFANTKNLGRINETSPNKLTQSVVFEIDFNKWNSYMREEETYGLFEDFDDLFGIPNCFVR -THSMFKDAQLYLADGSYLPTPHPTIPKRLVEDEGTWSNHLGGIEGLRQKGWTIFTVVVLRMVCEEMGISV -NIMGQGDNQVMILTLTGQSVQDIQEQCDRFKNKLHNFLQFIGPPLKFEETWISSQFFIYGKYPVYLGVPL -PMSLKRLCRTMRLTNEFFINLESTLSSISANASAATSSCHDPFIPFLISKFESFGAIMLHLKHPFHGRPF -YKIHSKTTFRIPIEKTSRKIYLNISKEDSDLVNELSPDLVNIMLLQPSILGGYPSIQAVELTMHGFPDPL -SLHIYGIRTIIHKISDNWYKQKLINILHPQLLSSINPILICQDPVALNLVHSSSASEKIKRMVHDFLTHE -LVIRNEMFRTFLKTADEQQDDLAHLLFQMEPFHPKIANSILGSTIFGRAERVVAKVNKTGTIISLMLNSH -HKQLEEDEGPKRSMVSTYHLYEKNYFLSVIFHLTTNSPLDSISNDFCSTQHAAALRQRSWGKEIHGITVA -VPQELLLASPISSSCLSHPNKIGGYISILSDLTPMDKHNNLPLKIGPFRPFFKTTTKSKVGYEGRKLRSI -APPLMSQAIDLLSLINWACANNSNLANLIKTIFQSFTDLPADLYTPDPDLISGCYSHRWNNARIPMGVGI -SILYLHASHVSMDTRFFHPELCHPQITTDNLNINFQSMFSWILFEWTQHYINSNQINLEFHYHVSCKLCI -KDIYQEYMDIPPLPTELMNKINRMKSNPYCWVPQQNLLKSLSANEFNFIPTKSCFSMLIRSKQPDFVYAC -LLSQFFLHEAPSHSLVKEFVGLIAESEKLIPFNLVKRLDLITFLEAITAHRILFYIYHRITFYEGSKYRF -SRLNSELLSAFINTPSIWFKSMISVLFNVSEVQRLCSRYPSVRFPSAQPPSEQDKARYLKYITVAITKDL -MQRDLSEALSNYCPLLYVGKRIELHPVIISLILAALRPPPYKHNELAIKILAMFKRAITKLPPYTPITNS -IAITQLLNEHETSYCRKIRHLKLKWIPLVTGNLEPLTQLLTPRNPLQKPVCPAVHLNNCNNLGQMLIFHQ -INTINKQFRLKPISLKLPPPSLCNHFYKTVNLNTTAHYKLISILGSLQDIHPNLFSQEPQTILCAGDGAG -GFSVLMSHIFPTSTIIYNSYFDKTRLSSVGANFHIPSAILMNVNCYFKCINIHNLNEQPSDLLSFRTLQY -YLNEFPHATIITCDAEGSGWTSPQKGITLLMNLLEYAKIAKSNTLIFKTYAANLSLLYVQISMVLTNYRR -CIVYRSSFSTVNNSELYLIGIELHLKVSSFKVYVKDDIIYYNGFTVIESDFEKFTAQTALFSFNVKCHEN -IEDVNKILRQIIKIQAIEAENDIIYKTMTLTPSMIHYPCHIINHLRKQFPLVKFTPSAQSRFRPSLLRLS -LVKIIVMEILSWGGHQLTSPELVQEFEDQLKDGLVTFSETMDGFWSVYFNLNSKVKCLNSKTVALRDLFQ -TKDLKELLITMGQRKNYKWEKMGLSLVPLKSYLSHPRSDWWRQDTYSIHHYPFSSHRGKRVNNMLCMDPA -TERLIPYRYLTARSNYISKNSPSLCLDEPHSNSTNQT - ->YP_009300674.1 RNA-dependent RNA polymerase [Shayang Fly Virus 3] -MAGFYAYDEEDQIKSPKIPSLLSSHLDSPLRIYQLDYIKRHLNRIVKEDPSSSNKQHPPTCRFIIQDFKE -IHQLTKCSIFTIMSSSTLLDLHSKVLPSEEMRSGMHLLERSLIAALRNLELQIPSIVHTSESLSEVPIKD -SLAKLLANKATLAKNLPYIIKHHFFWYNLLYKAVIITGLTDKEPGVNQDLQNMGLNWKLINPARNMYAIS -FENLDMIVSRNCFYIKNKDGNHILGTREHLLLICDLFLQRFNLLLSGFLARTIGFIHYLTDMELFKIFQW -GDKLLYQFQDLSYDILSKWEPLCLGIMMNNVPDPVVDNSQFFNTIVNDILNDFKNAKYQLEPSMVWLHQF -LSSLFKKSSHKLSQAFGLFRIWGHPNVDSRDGIIKLKQIACQKKVPNFKKVREISCVFMEQFSLNYRAKT -GMWPKMNVSKLPPYNLIRKAYETNSTLSLVSKYYRRLDWSYVHFEQTFEIMDKLNISELISDKAMSLGIQ -ELYSQVQKYQNIGLATEKAVIIQWLKSNLNDPIEFLKDINDNGFGLDERVFGVCPKERELKIAARFFGLA -TLKKRMYIVLTEAMIAEFIVPHFPQITMMDNALTLSKKFFDKTRDMAKHDSLITKRSYNVVTNIDFKKWN -TYMRKEETNSIFTSMDNLFGLSHCISRTHEMFEGSYMYLADGTYLPKFEIKDNQISMIEDDYVWRNHYGG -IEGLRQKGWTIFTVCILIHAMEVFQVKFSLMGQGDNQVLIVHYMSKLPLIRISQLHEKIINHLESILKLI -GPPLKREETWSSSNLFIYGKVMFLNGCPLTMSQKKICRMFPMSNEGFPTIETAISSLSANCSSSCSSDIN -PIIPFIMYSLWSSIVFQHMLSFSYLGFSVSEELLNSCAVPKVKGAFKTRGKDNHVKIPSSHLKHLRHHNL -SYLTILNIFPKILGGFPICLFNQFLIKNFPDPLTEYLATIRIIIPRLTQGELSLMQNVLTIEPNKDVDFE -ALFANPTGLNLEVPSTPGNTVKSSVTRFLKIAPWINNCYVKEFLELNNLNQTEFAKALGTLRPLNPIIGH -AMMEATLIGRARQVVDQLDKTSTLIKVAAKNGDDLLGRVRISERNYILNVLICLTSKRSYAIQTNICSRI -EAHNLRVMTWKESSITGVTVPYPIEIFSIEAGLDCHLHSNPQHGYILMKQTASPHNLDWWKGDIIGDAVP -YLGSKTMDKVKTYGKQIAKSTIPLLRQTLILQSLIGWATERQSNLASCLEFMLAAVTDLPSSLVNPGDSE -ISGSVEHRLTTTSIDRGGKVELLFTFATYLTLSTDTLISYAKGTVNVNLHFQASLSYLVSIFSLLRSMGL -GLKVDCYHGHQDCPDCICEINEQKMDLQEFDWSQLIHSRPDSQFCWVSKEKLLKEADRNLVAYPEFPSDH -ELTLDPEWVQYRACRAAAKEAMIKIRQISIEIGDGKLPSRGGWTFPVAWVFKLDPKLFFFCFYIEFFNFF -LFRQFEDRMKNCRFQDLLKLFIRFITNLESTYLGIFSSWFQNNYFMDYISGEPFFIKPPLNPNLSRSSCG -SIFKSLLLTYHLLLDNHQLTFPNLKTWGSITTTGEEAIDSHPILFLFLVFRILDNSDIDAVYNMRCYNAL -KRILPKFKTNSSCISLNVNLALTSCMEDLQTTLDSNEIKTLVNFFNKVQIYRAFETADGLISNLPQFSLS -LQMIDLRRIDVSYVNYAGTSIKIAKSAISELIKEEPIDESDVIPLPEISLQHHSKKPEGFPTTAAYKLLS -IVKELSWKSFQVKYAGCFGDGAGGFSKLLMLISDASVFYNSLIYPSIMFANAIGNGYPPCFAGNPGLRKR -LIGLKFTFEGASDLTDPFYYTKFKENIKQKLEILICDAEGGGWESSEKAIRMLVNLVCIAIHCSSKLLIF -KTYYSNWAGFLLQIRILSDFFISVQIFRSHFSSKFSTEVYIIGEGLKSNIGSPSIIQKETQAYIFVTSNL -NYGSSSDLLIKLKSCSAKSPSQSDSLTYSKQLRQVDNKTVDRLTILLLSPTLDKMFNSEFMTFNFPYDYM -RDIFSNTHLVKFNKKHHKLTKTNFITKRFSHSIVLGWLTLMAVRDDFTAKNVREVISSGSIIFYHVKDHF -WSFWIVPSRILSNVKATYHRLSDLITPSNEKEILSKSAKLRSLFTGRIIFNIPFGSFPMICIGPNPKFHN -FSWRGRPMQISSDPIINSKVLKLPDYVNDSGYKSTIEVLQKMELSSIKTLINSGFWDSSDI - ->YP_009289352.1 RNA-dependent RNA polymerase [Sanxia Water Strider Virus 5] -MESICLDTHLNAPLSVTLLKEALSSNNEFRTPVIRVIKEELDLIFRYKKTPLPSRHDAQLLLPQLMKLVK -YENLNIPRCGNVLKYLKMLTSFVPYHLQKLKLGDHPEKYALLILSLQHHQMQPEIEGLYNLRCFLEQGVC -LSAKPLKFSREDSIKEWTFWSPVDEENRLWAGSLSTGSSFLQIIIGRNVCYLNYNGIDYISSRNHLLMLS -DVISQRLLCYISCIWAQNLNKTNYPTPETLTRVFEYGDNLLEKFGNSGYKSMALWESLLIGHLLKVSGDD -AVDSQVFYNSMLQELIHSQEEKDNAYLEEHFLKIEGILHLQNPDQLMQLFGLYRIWGHPTIDEKEGMKKL -KEVSCKPRAINQDYINLMTWKWREYFSFSFYAKHNRWPVMTLLRPAMNSALLIAISSSSPITTLTPGYNL -EDWKYVRFEKNFAVPEKFELSEMIADKATSHGLLDLIKSCKKDGTIGSSHTRSVILKWLNTNYNDPEEFL -NQIDQSGFSDDECCVGLHPKEREMKIYARMFGLLTIEKRLYVVLTEAMLAENIFPYFPEITMTFDSVTLQ -HRIYSNTKYHFKRGGEPKQKFHIITNLDFMKWNQYMREAETSQLFEDFDNLFGYTNVYKRTHEMFKTSYM -YLADGTFTPFVDNVPQIGECMWTGHLGGVEGLRQKGWTIFTVVLLKYITEVYSVSCQIMGQGDNQVVIFE -YALDSMSAVKDLHRTIIAGLRTALSHIGPPLKLEETWSSSQFFIYGKFPVWKGKPLSLSLKKLCRTMRLT -NEGFQNLESTLSSITANASAATASDHDPIIPYLIGALETIGAIHLHWSHPFYQNSQMIIHKRMRVSIPMN -GVRQLISPNFSDYIIKMITDDWDLMIICIANYPNILGGYPTLQLCDLMNHGFPDPLSLNLWSLKELFQNL -PDHMQVLKKAIINMINPIMNPESNPEMLCQDPVSLNLLHSSSGSEKIKRMVFEFMTTSLNVVNTQFLTFL -QLSKKRQSELSGILATMRPMNPRVAHSILSSTIVGRAMKVVSKVNKTKTIIGLMLRARDPANAMRDFIDN -AENEYFDHSPLIKRRRPIADLFGSFERNFFLSVLMGLFSFREIVTPSITYCSTKLAQQLRVRSWRLPISG -VTVMVPAECFGWHNSDGRDCDLQQHPHNEVGEIQIITDLDFSKLHQVGFNIQSIHIGPFKPFFGSTTVNK -IQYEGKNLKSVAPPVLKGALELLGLIGWGTDETSNLAQLILSIFSSFTDLDPLNYIPDPGSISGSVEHRW -QDRTTSHSSSLSILYLACTHFTVLTNNFKPHNLPGREMASNFNISFQTIFTWISCLWSSKLTYTPSQLQK -SYHLHIDCDDCIQPINEDKLEIDFSQELLLDLREQADPTNIYCWIPKERLLGPELEFDSLYTESPAHLPF -QELKHLLELYTIELYISEFSLQVASSQVKITIQEKVKYVPINILGELDYHSFFTKLTVFIILQYYFLRIT -SLTDASTVLVPLREILDQVSRLPLNWFSGLQSLLINHASIVSLIKHNPGINLPLGSPPSLLEKCKFFKNM -TLNYIRSIKSNLDLKVLVSRINKHPSIQSTNILFNPHLLFLLEGSLSPNRKSSKLCIHYLAELRHYQQTI -IERHSLNSLLDLSTLPINPSLYLSKSELFKIKRTLQELEYHPPIKSSLDAIGKLMAPLKKDTARAHSTGT -GDPFLIPDINLKSLPEATTVMLAKPSGSFNQGNILKIPKFISFPDNISLRNHFFKTETPITTAAYKPLSV -LHCLLSREKVDIENSPHVTLGAFGDGSGGYTLLLGRVFSRCQLLMNTMFDASLLSSSGIDNYVPAAPGMV -PSVWSRIIQKNILAEGISDITNEGFPELYSGLKLDMQLLICDAEGEGWENPLKALKMISKLLIIASQSNT -KVFIFKTYASSLDSLYAQYIMISQSYLTVYILRSWFSSQGNTEVFLCGVGLKENHSKIGFFVDKNHTSNR -ITLHGVFLIPIDFDNFCNHFKTKIYFKSPESISASYDTALSIPSWKKGSLNILYQRLTPYIAEGTLHFPS -SLIRKFRKELHLVKFTHDVLSRYRFNFLTQFIMRDICFEYYLALGLFVKSVKDVDLLLASIDTGYFCFFE -TIDRSWAFSWRADQPNWMNVKTTPVNTLLGTAKIKELIVSWKRIKELSLSLKLPNLSLRRPFIPHCKEVR -DWYTVDHLPLHMTPIIDFSVDPSTATKIPHPIILSYHSKTPKKEDFSEIELLEETGLVRLFTSEGQRVKI -SKRVIQLSKEQTIVSRLLKPCRLK - ->YP_009288955.1 RNA-dependent RNA polymerase [Sanxia water strider virus 4] -MATFLTDSHLKSAILPHKREMLIKYLLSNKTDRTVPIFIKETAVELKNNVKIDQSLNIASENYYPYCWKL -DSPISEVLIKGELDQLQKAHKISLPFVKTAIRHNLGSIDQLACKKLIADYSQYKMDHIERKLYAIKSFWE -LIRNNMISRARTRSQKLLVIDYKSLKIKQDQPDPIQSVLGYLNSDFLFLLIDCEYIVFDYEQVIMLHDVT -YSRFLVYGRCKRHEMITSAYDLPGVKLLLDFYKWGDSIISSYGNRGFKMIKEIEAVCTGVILQKIEVLEL -SNKFLEKLLLSMEEDDSAVVKELKKLTTLLNREEVSVAQVVEIAGLFRHFGHPTIMEEEGCIKLHEIAIR -KLTIHNDRILEIRGCLIRHFILNYIKKNLRWPNCSYVGQNKEMKRLVNLKPTHFNEHQINISLANWGYIV -FEQCFDFDYFFDCTQLVSDKACSPPRQNFEYVYYKQFLKNSPREKSFNSRRLLHELLSRQIVDTREIVEI -FSSGIIPRAWCATGLHPKERELNEKGRMFSVNTLEMRLFLGILEKNVATEIFKYLSFQTMTWSEATLTKH -LLELTDPKKNSDSIPVVFSIDFFKFCMMWRFESTRPFLVILDELFGMPGLFSDVHKIFENTFYYLSSHYN -PPDYLRKTENNSSTDEEVIWEQDSNTTWLGQAGGNEGVLQKMWTMIIGSVILTAGHKEGLEVKLIGSGDN -QLLMVSFSKIDLSLNNEDYIKQYHMVLEKQITDFIKCMDYYIEGIGMILKIEETWISTKYFSYGKEVLVN -GVQLTQTLKRVSRVFVDTSDSFPSLSNCVSSISAAGHSIALKSYDPIDAYYIAMREIGSLVLREPYIGFL -TKGKLIAHIKENKLNFDEHEVIILMTIPKDMGGLPITNFLDYKYRGHPDPLTSQLLWLRMLTREIPTVKY -IKEWIISGSGLSSNIDYQLLIQDPLAINWRRPLAATNVIKREMSENLKSLCRNKLFSQLFESISEESEAE -LVDYLISIVPCFPRVLNDLLRLSPEGAKLGFLAMFSNVRTLKSCLPEEVVTQIFDIFSNQDLEWYLFALK -LVQKEIKNRNYIHFWRKFTDTPIESACDKAWECTTWLATQLRNKSWRLTINGSTTPHPSEQFKLCTIFDD -LTCEDCHVGNEEYIFMKVEPKEALKIVGTHRVNSRGTLLAYYGSGTSEKRGTPLLPFPKTDRSIAASKQL -YRIRNWVCSEDGSLQPFIDSLIQSRTEIPKEMIVMIAGENYGGSMTHRFSDVVMKHEARPNNRANVNSQV -FISSDMLGKFSRGMENYEIHFQGIYLDTLSAVATYYTYKNIGDSKDLILHVHPACNSCLRLCDDTSLIST -KQPPRVPILRDCPLIYSSLANLSEHYRLDKFRGVTFLKFNQSDDVKNAAIQAAATILLNLIAIRTSSNIK -VVGYTEEQFQGVLPMTIGSIIKLGILNVIETLSVYWLLMNMDKILFHPSFFSMIDDIPQLIESILIKFNL -GNWSNLVSAMCTPEVRDELIRSKLFPHSSEAFHTGRSMEYLIKDIFLGGINKLFKKKLLCRKFIFFVVGS -INYNLCSKLWVNSTMITMLIKKPHDCVNLYKKINKRYHESFVDGLFDHFKFISNMAEVFETTEDYNMWLN -RNKLTLGEVGAEAWVRETIKSMDNNTVARYTIRDPNSATISRINNIINRVYSFYVDYTVIDTGNVEELIK -VGYENLQPNMKILPKEIHSVRLNGRYSTAFLKYDPIVLGLNKKLRKCICLAEGSGSVARLLILKYQSELV -YYDSLYDVTKSISHRGVNFIPAELLDLDQKRITGLKDVIYTGGDITKGMMLKAILKFVVEHKNSIDLLTC -DAETSGEFDTQLALTITESIIRILSCLNLGTSYIFKTFMNSPNRLITQINMLCKVSSRLKIVVPPHSANK -SFECFLVGVTKNHMTIDDTNLDLLYTNTLSSDTQRAVHQLCESRQFKEVQLMPKQDQLELIKLYNDIGFE -TNIEKSTSYYVNHLVMTNLNKIYELDSHYITNILIPNLNQMVYSRFEIMGVEHRGFSSITQKYSTITHRK -ESNEMDLVTKAILNLEILLRTLKNDLNTNWLLDADDLTTCVKKKSLLLYSISITVPEWLGAYGRFFFKII -GWSKLHQK - ->YP_009094312.1 L [Spodoptera frugiperda rhabdovirus] -MDELQSDNVRKKRPPLSTHCDTPLTLNNARKALLVPAPGQFIHPNNPIRREYLEMQRQLQITPPNLFDLS -KVQGFFLNVFNVPVSSLPLLEFRQALHLASQLYQVEVEGVLKELGASATKIDISPLMKNKDLINLYLRKC -FWEEAVVMSGNDNSSQGSWWSRADKGLILFRRPGLDIIIGENLMSIQTSQNSILVSRDHLTILSDLAAER -FSIILQSFLADQTHNTDMPTPSELSLFLKEGDEMLTLAGNQGYDLIYTLESSCTSRLVGNYEGGSWKDSK -FRHEIVKDLEKKASDLNLHPQLRVREQLLDSVFERNLNAFTQLYGLYRIWGHPTLDPLLGTIALKELGTT -PRLYLSHQAQEINNKFKEEFIKRYLNRHKEWPELDVSKLPRHNIIRVHYEKKLQFPSKSRQYRRSHLSLV -EFKEVFPVDPKFDLIEFIDDKSISLGFPDLLNEIYRNKSIGNSLARSLLLNFLSSDISDPQEFLKNIDTS -GFPPEEICVGVHEKEREGKLKARLFGLLTLVKRSYVVITEKLLAEHLFPYFPEITMTDDELVLEKKRHAF -NTERKNKFMVSLDFSKWNTNMRAPDTQPFYHTIDTMFGLENCFTRTHEMFYNSFLYLIDGSYLPTIVDDG -FKTDIGCWRHHLGGIEGLRQKGWTLWTVMLIRLVAEKYIFNMSIMGQGDNQMLLLTFDSNTPEEYALSQV -NDFLQSLKDKLSLIGPPLKLEETWISKDFYLYGKYPIKGGVSLTTSWKKSCRMFRCCNEDYPTIESSLSS -LAANLYSAVAADNFTQTLFFVYLFELVGLFQCNIRRPYLQKNSFYQSLDRNRTFTVASAKDQKKKLHVPL -VLSPPNQLQPTEVLLGLCLTPRTLGGYPVVLYPSVLIKGAPDQLSFDLASLKLFSKSADATVNRIITRVS -SPFLSEYKNYSLLFMNPEAIILESTPTPAEARRTTMLEFLSNSDRVNQPYIKEFLNIIHENANQSMEDFL -TSNPVLHPRVISLLLQATPQYRAQQVIGRLQKTPTMARVYLREGDRDLYALLEMSELNHFKSVLRQVFAE -VGRYSLPHFNSLVEHSTFLRNMGWGKIIEGVDSAPPHEVFHLEVMTSITECQDSPHADLGFISVRLNTPK -DVAGNSLAIGTTRPYRGSITKNKVNSLSTKIQARTPSLLQRALMVAGLESWAFTKDSSLAQLSRGLVWSV -TDLPYELLTPQVDQVSGSYQHRLRNDRLDNGGISPVLPNQGTKLQFNTVPLVCLNKGSKNKNVMFQGPLV -MFGSVIGEGLLTEGINYPETKLFHIHIRNPSSIQDLDENPITYPPIQQPIRLLRNPQSPFLFFPSDKIMP -YIKRILKYPICSREDLNVISTESRFNTLLAYECINLLDPWSWVSGSDSRLVTNGITINWALSCNIVELCL -VISLLLLAIFFTPTKIIDPEWHINRVINVVKASPLSSWENLTNLCFCNVFPTPLLHFLRAMSPQTSEGLT -NSNVALILKTSITLILQNILYDRNFIKGKVPHLIAPPAVSFNLHPYRVIEILGWLYKEHEVHKSHLSYLS -KDMLDLKLRSLEGPYVHQLDFWGSPTRISGISSESLDYLCKLEDVIKSRSIEVLTVATIFDPNPLPMPLV -TGPIVKSGVVNTRLQVSFYSEGDVLHPELGHRDYRTFFFRPAPLPTSGAYKLSSVLPLLGSCALTKCLCL -ADGTGGFTRTLALRDDSKTIVFNTLVTDQDYVTQMDPIQNIPDIADLPISCQKKVVGLREVNDYPTDITS -PDFGHQILDRFGGDFILVTGDAEDPSLHHSGNVLALFKAYMDISLIVNSVHGIFKIHTHRRSVLHQALVI -LLTYYDSVVVVRSQFSLRSNNEFYLVGARNKLAPKILPLNIITLADGNPTLNVGLSRDAELMLNKSLNNL -NRQQGQLQELQKSTYIQITSNLMPHLHYQDLIFHLVGQYPWLKQEYFDIGESKDGDLRPIYSSCIHKFVT -SIHKANTKYEEKDVARFVVKQFTLRELSDILSSYLFLILSVLPLARWNSWIPHFLKEGCLLWIQCENGLW -FFSPYLGVVPPARSTYHFRLYRTQDLLNHVAIQRICRSVGLAHMLHFREPDDKHLKEESIFTRPSRKFTF -YDPKLKGLKDKIKCQSWQWLSQSPGYQLDQFARISQNPKK - ->AEF56733.1 putative RNA-dependent RNA polymerase [Soybean cyst nematode associated northern cereal mosaic virus] -MNFQDDDDLFDFLGPSRPRKIPNIRLRNPLRPEVYEAARTGNISVLGSHRELVELAQTFRLHSERIARAV -HAKWTFTPHKLVGSFMGWTMRAASGFDEMGEVENVREALKLVSRHRKTVMPQNLPHAPVEEWSSEAVGYM -AVRLLVEDFAWCGLETMEGDGTYPSHLGKAFLQGWVWPLSDSTVMLGVSHGIAWLKVDGMATPLFPDMCR -LLYELVAARGLVLLANDHLLATRQPHALPPAALRALLAAGDATVAKDGREAYRTLKLLEALCTGELISRD -DDMGMYDRTEFLNEVTADAHSAALSREGGWWVSLARGTLQGLTPPQVSQIFGLFRIWGYPMVDARGGVLD -VRKSGCRAKLPNRDAVARVTAKVVEIFCMNYFEREGRWPNIVFLGGTEDELGLAIATGRRPDMHSRKYYP -SDWLGVQSRKTFEPRETLTDLDLIADKACSATKAEIQESLRNSGKLPAPQTRRTLLRYLKQTEFDIFELL -DRIDKEGFTKDELVIGVTPKERELKIFPRLFSLLTFNVKCYISATEQLLADHILPCFPDITMTMSSATIK -QRMHAIGRGTARPHGASVVITTNIDFERWNLNECPTGTQPAFQFFDGLFGYSRVISRTHEVFAKALFYVA -DGYAVLEEQDFERPRGWKTWTGHTGGMEGLRQKGWTILTSAGLRLVAEEHGVSLTIMGQGDNQVLVCTIP -LPHFSAEGAGPENALTTARLTFRTVIAAVHALFDQLELPIKPVETWTSVSLFAYGKVLLHKGVTLPMSLK -KYSRMYYPTDDLLPTLFHTISGLFAAAEAACEPDYEADTALFLAFLEARLAILQYRRWSPLSGRPILSGR -GTGSELWEWSASCDEGRRREAVRGRGWILAGRIWELIEVLSLLNGDFGAYPIVNYYSLSIRGFPDPVCGT -IGYAQKYLRESRTHISPTVRDAVLAALSPEMATTVEPLRLFEDPVGLNTVHPDLHASLLKRTARTLLESS -YVENNTVRKVVALAASREEALAEYLYGMTPLLPRLGADIVDHAPSGVARRVTGRFENTRSLQQVAKQAGN -WGEGRLGDKASRADSERFAFFLWTISRRVDYELATTPAYEHAQYLRTMGWQRQIEGVTTPLPISFTEFEL -TPVGVCRLCERNPARMTVRLSAGETVRSIRETLGGASGYFGGETRQKQSAFSPRRFLSRTGPLSAAKKLV -RYLGWLAVEEGNLAKAISMVVRSLTDVPLDHLVDTVLGVDSSAGHRLQDLRTHHGGHPGLIRTPYTHMAV -STNTMAAYTRVDKDFPIHYQQLICYAQLVGAAMYSDHPMAEMTMHLHITSPREVPELTDHSTEIADQVPE -DLTIHADEDVPLVFTSKDRVGSVVRLRQRGAGTELDLRNASENLGATVFSIVAAQEVGRFLQKGASGDAA -VDQPCLSHVWYTRIRPGRFLEHLAKIIVTSCLSETSRADMRQVGSSQTWLQAARELALRRLTTWPQWKFE -PLCPLWTLAEPVQALIAKCSWAQLPSGAPPTTSAVCRAVRLTVASSIMSFSFSSGRMFLPFQYELVDSPV -GCFGLRCNLTAAWLEHNETGLVCSETIGQYTGLQRAVSSAYQYAVAKGGSVSVGEMYKLLERTFVLDAKT -RGIRADDHLRGALEVQFIRHSLETVRGITCPLPPPSRSLRWRVPLPSTASVVEVSLTDVVCRAVDLGQLR -HEVPGTSDRHLLKPVMLETTAAYKWAPILAGLRGPRNRPVAAVGDGSGGVTAVLAAMFPESLLLYNTLFR -PERTTPNAHVEYVPPAVIRIPGATERLIGLNCLREGASDLTNHAWPDCVSVSDPRVADLAVVCCDAEGDV -HDDRKIHLIIKTLSRLATTCGASDTTVIVKAYYSNLRSLVTALTLADRAFKSVRLYCSRMSSSRATECFI -RMDRPKADLTTEIDPKDSALQKFVSPTVSELAKHYEGMETITVADVDSSNELTKYLTAIGPQQVLITQVA -ASWLDLGIPPDVTKARDAVQILSWMLFHLRDTTHTRRMAMAGRTTEHLVGLERHANKLAGLAHMLVGMLL -GGHSGLVDVIRSKGTMGVLLSSDAYNWALVPLVGRRHINEVHQLLASTGNLERTAYLKAIPIPFFKTAEG -KALVREFGTVFGVASGPERKLSVQFHTSFGCTAGSHKLSLVYPSRVIGTPVEREILRLPELRSYYTTKRA -QFLPLYGDRASAAGQEDMIPWTRIQ ->AJG39162.1 RNA-dependent RNA polymerase [Wuhan Fly Virus 3] -MAGFYSYDEGDGVRAPKIPSLLSSHLDSPLRIYQLEYIKTHQSRILREDPSSSNKQHPPTCRFIIQDFKE -IHNLTQCNFFKIMSSSNLLDLYSKPPPVEEMKSGMHLLEKSLIGSIRNLEIQLPEIIWQSESLSEVHVKD -SLGQIINNKTIFTKNLPYIVKHHFFWYNLLYKAVIITGLTDKEPGVNQDLRNMDVSWKLINPNRNMYSLS -FEGLDLIVSRNCFYFKNSNGEHILGTREHLLLICDLFLQRFNLLLSGFIARSLGFLHYLTDLELLKIFKW -GDKLLHQFQDLSYDILSKWEPLCLGIMMNNVPDPVVDNSQFFNTIVNDILNDFHSIQYPLEPAMVWLHQF -LSSLFKKSSHKLSQAFGLFRIWGHPNVDSRDGIIKLKQIACQKKVPNFKKVREISCVFMEQFSLNYRAKT -GMWPKMNVSKLPPYNLIRKAYETNSTLSLVSKYYRRLDWSYVTFEQTFEIMDKLNISELISDKAMSLGIQ -ELFHQVKKYQNIGLATEKAVIIQWLKSNLNDPIEFLTNINNHGFGLDEKVFGVCPKERELKIAARFFGLA -TLKKRMYIVLTEAMIAEFIVPHFPQITMMDNALTLSKKFFDKTRDMAKHDSLITKRSYNVVTNIDFKKWN -TYMRKEETFSIFSAMDNLFGLSKCISRTHEMFEDSYMYLADGTYLPKFEIKNNQIHMIEDDYVWTNHYGG -IEGLRQKGWTIFTVCILIHAMEIFQVKFSLMGQGDNQVLIVHYMSKLPLARISQLHEKIINHLEEILKLI -GPPLKREETWSSSNLFIYGKVMFLNGCPLSMSQKKICRMFPMSNEGFPTIETAISSLSANCSSACSSDTN -PIIPFVIYGFWSTIVFQHMLSFSYLGFSVSEELLNSREVPSVKGNSKFTNTSNYVKIPSSHLKHLRNHSM -SYLTILNIFPKILGGFPICLLNQFLIKNFPDPLTEYLATIKEIMPRLSQGEIVLLDNILTIEPNPDVEFE -ALFANPTGLNLMIPSTPGNTVKSSVTKFLKIAPWINNKYVKEFLDLNNYNQTEFANALGTIRPLNPIIGH -AMMEATLIGRARQVVDQLDKTSTLIKVAAKNGDDLLGRVRLSERNYILNVLVCLTSKRSYRINTLVCSRI -EAQNLRTMTWKEPSLTGVTVPYPTEIFAVEPGIDCHNHSNPQHGYILMKQTASPHTFEWWKGNVIGDAVP -YLGSKTVDKVKTYGKQIAKSTIPLLRQTLILQSLVGWATERQSNLASCLEFMLAAVTDLPSSLVNPGDSE -ISGSVEHRLTTTSIDRGGKVELLFTFATYLTLSTDTLISYAKGTVNVNLHFQASLSYLVSIFSTLRSMGL -GLTVDCYHGHQKCLDCICEINEQKMEMQEFDWSQLIHSRPDSQFCWVSKEKLLKESDKNLVAYPEFPADH -ELTLNPDWTQYRACRAAAKEAMIKIRQISIEIGDGKLPSRGGWTFPVAWVFKLDPKLFFFCFYVEFFNFF -LFRQFEDRMRNCKFQELLKLFVRFITNLENTYLGIFSSWFQNNYFMDYISGEPFFIKPPINPNLSRASCG -LIFKSLLLTYHLLLDNHQLTFSTFKDWSYITTTGDEAIDSHPVLFLYLALRLLGIAEIDAVYNMNCYNAL -KRVLPKFKTSSTCVSLNANLALTTCMNDLQATLDMHEIKTLITFFNQINIFRAFETADGLISNLPQFSLN -LQMIDLRRIDVASVNYAGTVIKISKAAISELSKEEDINVSEVLPLPEVSLQHHSRKPEGFPTTAAYKLLS -IVKELTCQTFQVKYAGCFGDGAGGFSKLLMLISDAAVFYNSLIYPSLMFSNAIGNGYPPCFAGNPGLRKR -LIGLKFTFEGSSDLTDPLYCKKFRENIRQKLEILVCDAEGGGWESSEKAIRMLVNLINIAIGCSSKLLIF -KTYYSNWPGFLLQIRLLCDFFTSIKIFRSHFSSKYSTEVYIIGEGPKANVLPPSIYSRDSKCYINIKSNL -NYGSSSDLLINLKINSTKSPSQSDSLTYSKQLRQVDNKMVDRLTLLLLSPTLDKMFNSDFMTFNFPYDYM -RDIFANTHLVKFNQKHHKLTKTNFITKRFSHSIIMGWLTLMSLQDRFSAAHLKEVILHGSLIFYHVKDHF -WSFWIVPTKRLFNIKVTHHQISSLLSSSNEKEILSKSAKLRSLFSGRIFFNMPPGPFPPIVIGPDPRNGN -FSWKGRPMQISSDSIINNQVLMLPAYISDPNYRSHLSMLQKMEISSIKTLINSGFWNSYF ->APG78676.1 RNA-dependent RNA polymerase [Shayang ascaridia galli virus 2] -MFSNFDAFGELDSDYLENASKPIGSKSFPNTHLQAAMRDDIVRFACNEPVDNPGRFAHVVKERDHLNTMY -PNLFDQPSANILDHTKVVAQWFVDGDYISINESFPLNRWQCEAQAALHNINIQVLGLTSTFVTANWCELT -DPPWVLNKKVAMLKCAREQLDVAVCNSARQRMVYQSKTRDLSTSQLPVSTTLPGGLSLTHTANFVVLSYK -DAVTVGPRDLLLMFADIVSERYLLYVASVLATVIQEPQYLTPSVLDSVLSWGDFALVKCGLSGYELLKEF -EPLVMSCLLLSKPDPYGDGAEFRAAILDSLEAKQQLFRSRASQLLSLVDGIRNPHLISQIFGLYRLWGHP -YVDAVDGLLKMKHLGLQRKLIPVKLRHELTCKFKEHLCVHYRAKRGKWPNLDVSQLEDGNYLKDIILSNR -VLDLSKLDYDFNDWRFVSGLPTFEVDSSFNLAAMLSDKALSLSRRELKEHIEAKKNIGTASERRVITHWL -QSDQVSARDLLMEVSARGLPKSDLAIGITPKEREMKTCPRMFSLMTLPMRMFVVAVGELLATHIVPLFPE -ITVGDSALQLSRRLYEITRPQASKRSGGKGTVEVVMNVDFSKWNTNIRRELTEGCFKFIDELLGFEQCVS -YIAPMFEQSLIYLADGSHLPVLDDNLDVHNDPLAWTGHLGGLEGLNQKGWTAVTVALIRMVAERHNVLFK -LVGQGDNQVMRLTFPVTYLPDGTINMREVKHRIQAFKADFIQFMESAGLPVKISETWISSLIFAYGKMLY -MLAMPLAMSCKRICRMFWLSNDLFPSLENTMSTITSNAISACQSDLTVIIPFCVSVFEYMYALLFYSEHT -PFSGLGLDECITRQKFKWSVMLSSKMLSKDYRVTRSVLDLVMPVFRDYIRNPRLRNHWELLAALALVPKC -LGGYSSHMLICFLVRGFPDPLSEALSGLKLIIQFTPSSKIAESLRAVGSPEMCPEASSRMLIQDPLSVNL -LVPSSAAGTLKYAVRKFLAQPGVITNTFFKRFFEEVETDTDLICDALVQTAPLWPRLLHDIFDASLPGYA -NSVVGRIIKTTTIVSQAVKRDGQAVEKRMYKSDIQYYASVIFRLNSSGPDWTCSFAKAVELRNKGWAAFG -EIKGVTTPHPVEFLSGCELPLGACPSCDKSGPDYLLLYLHQPEMKGSCVYEPWNVIGPSEPYIGSMTEEK -TQQPKYSSYEDVDPLIKRAANVLRAVNWIVKHDDPLARFMVNVFKSLTDVDPDSFFSVEDSFSGSAEHRF -KDYSTFHGGLLSTLYCYETYMHVSSNNWRVCSRGGQNKNVHFQAVYCCMQTATALTAMSFLTRECTSLHF -HLSTACCVQDIDEPNIIGDPKLSEIQLKRYTDSEYCFISKEKMVEKKTCVIRQPPTLLYEDLSSKEKSLL -LASIMAHELVSHVFRELRESKTTVSGRKPPLLTTWAKDGDPVELLEQVSLYLASSVVRYRRQDIRTRTLK -DFDDLISAAISYLASYPPRIFSVLGFLFLFPESRLTLASSKYAVQLPASFPLRNDELAECCKLAVTTVLS -NLDKVGNYNLMCINDLATNQHEWMLNQLFAIIVRQGLADELWETWDEIDVLFNKADVAEGGQSVFSLIDS -LLTVDLSMSKWLSGKQKLKAVKNWGFLKAHVEIVEMNMTGDRASKERNQLPYIRPGQHLKLRSISKKAKV -RLSVWAIPDPKISDDNEDICLANLTAVPDPVEFKTHFYRPLYLITSAHYKYYRILLNSLRLVSNRATIVI -CGDGTGGVTALVKRLRPDVKVYFNSLVELTNVAAQSLTTFTPPALDLLGEGAVSELWLRRSIEGISDITD -PRFCDQVGSLLKEEQVEAIICDAEGSGWNSPLKGLLLIKSLLKTSLMFATCKQLIFKTYATDGASLAIQC -VMIKSVYSQACLIRSPFTSENSSEMFLVGMMQRADAQIYELSATSDPLIFYGRVPSPTFIQVVADQAERL -KRVPTVTKSESDEVSAALACSVLVSHNLNHLDASLRFCSRTTIRDTESTHLNKLFWRPLHSRLKSRLSAA -RDRAGATVLETGHAVARDVFLQLMVVLMKTIDAEHLATVIVPYLLQRTSVCFYATKNHRTSAILVLNDDK -PAVKPLAEKVTTDRSQSYLVRLESAFTRAQYKRLFSLLGRFRYFESFNGAQIPDQIRFELIAEANLRKEA -NRDNAVLLAVLTGESRRSETTVVIRIPPFCEPIATAFMSVYGPRMTIKWTGS ->AMK09264.1 RNA-dependent RNA polymerase [Drosophila sturtevanti rhabdovirus 1] -MMEGLFSSLDLDIDMEFKTAAKVPSLLSTHLDSPLRTNALDYCKTNWNSLFRSQIITKKHPYSFNYIIRD -LQALYNKNQTAYPSIMNAGHFGILNSTPIESGYLSKGRIDLEKFFSLSVRNLELQVASTKLRIETYDLDP -LYTQQDDFNKNLNSSSTSMDHKSEQYYSKIVQGLDIRYGSNLQSRLDYLIANKKAIIKELPPILLHQFTW -YNLLHQAILLTGLINDHDDLSHDLSRYNSPWKLINKDKEFGESNIYSLNQANLKLLASRNLFQIIDDSGN -VHLGSREHLLMMADVFIQRFNLLLSGHLASIIGYKHYLEYEELNTIFKWGDVLLSNLHNHAFNVLSKWEP -LCVGVFLKYADDQFCDKESFLHNIQTEIIEEFQHVLPNISQLLMTLESFMFKLHSKSYHKLSQAFGLFRI -WGHPNIDPIKGIVKLKQIAQQKRLINKKKVRQIFCIFMEKFCLDYRSKKGMWPKLNVSKLRTSNHIRRAI -ESNSTISLTSPQYNRHDWINVSFEKTFDITEKLNLSDLLSDKALSLTFPELIAQVQKYKNIGLATEKSVI -VQWLKSTLHDPNTFLQDINDNGFGIDNDLFGVCPKERELKLIARFFGLATLKKRMYIVLTEAMIAEYIVP -HFPQITMMDDAVTLSKKFFDHTKLMSNTPSYFSSKSYINIVTNIDFQKWNTYMRKEETNLIFYQMDRLFG -FDNCILRTHEMFEKAQLYLADGTFIPEFVSTKLGIKMRECKYVWTNHLGGIEGLRQKGWTIFTVCVLISV -MELHPKSKFSLMGQGDNQVLIVHYSSKFSKDEISRMHKAMIESLDQELKLIGPPLKKEETWSSSSLFIYG -KFIIYNGCPLSLSQKKICRFFPMSNEGFPTIETAISSIAANCSAACSSDISPIIPFVCSSFWYAYIFQEM -FQINYLGISLCSLLFGKDNMKFFTPTQQGGRKRQQFKLSLSSLNIINQLPEEFLTVLMLFPKVLGGYPVG -FLGNYLIKGFPDPLTENLSIIKILYPLLTIKEKSLIAGVLSPHINPDLNYDYLFANPTGLNLLSPTTPGD -IVKSTVTRFIHDSDWIINNYVREFLTLSHENQRDLVQALASMKPLNPLIGNAILDSTIIGRAHQVMEQLN -KTSTLIKLTAKNGDDLLSRVKAAEGFYLISVLYHIFFKSDYDINLNNCSRIEAQKLREVSWKNDQITGVT -VPYPIEFLTIQSGFLCDSHNSPDKGYILMKQTIQHPEKDWFKAEYIGDAIPYIGSRTIDKVKAYGNKIAK -NSSPLLKKVSKIQSLIGWATNFESELAKCIRRLFSAITDLPPELLNPEESSISGSVEHRFASTAVNRGGN -VELMFNFGTFLSLATDTFTAYAKGSINVNLHFQAALSYGTSWFSSMRSINSDCQTTCYHMHQDCLDCIIP -INEDKIDVDPAEWNLLIKSNDNSAFCWIPKEKILGESLKLYHHYPEFSSEMRNNQSIEYNHMLRSCKSAA -KETMIKFRQLSLEVGDGKLSSRSGWLFPVSWVFKLNPIVFFVSLLVELKCFFIFRQFESKIVLTNNALSF -DREFRTFIENIPPIVFGTFSSWFQNSHFLDFISGSPYFVRPPLRTTFTRTSCGVCIRNLLLRIIELLEEG -LYDHQLFNSWKLFFTEGEESLDSNPLITAIMWSDFIEKTNITNLPNHQLILLLKRALPALRDIDGHIAFD -YRQQLKSNINKYLNFISIENMKLLLDYLDKLLIFHSIETGDGLVSELPIFSYIATLYTLAPRDLDELPRL -CAHVLVSDKDLVCIPTAIESDNDVSPDLPLVSLQGHLNKAISYPTTSQYKLLSILKSFSVLVSSDQHIGC -FGDGAGGFSKLMIDIYQTYVFYNSLIDTANLFQNALGNQYPPCFAGSPIERSYLVGLDFTYQYLNDLTHP -NYAELYIRYVGQKLWGIICDAEGGGWKDTDKAVRMLKNLLKIAFFSGSELLVFKTYYSNWKGFKSQILLI -KILYQHVYIARSFFSSQGSTEVYLIGMNPVEDKRQIVIGDFFNVSNSKIHIPFSLIPGFDDIRKILIDRS -MQTALPDHAKKYTKFLSSTRHEKQAMLSLLSLSQTLFDRDNYKFPSHYLQDSRRNTHLVKFSNKERKITK -INCLTQSLVIRLITGYLILLCSYGPFSNYESIDRIINYGVMVFYQSYKHYWSFALAQIDDVKDCSFKYYR -IIHFVNSTKKKEILSQIAKVRNYQANITYYFVSSRFPYTSPFRPQDNNYVFRSIKFHEGFTPLQLAEDNE -INHKVLRIPKYFLKPHWVLHYKNLQERWVQQQHLFKQSNDWVSYNHVFEKKEQK ->AJG39136.1 RNA-dependent RNA polymerase, partial [Shuangao Insect Virus 6] -MSFLDNNPFSLFNHENQGGGRIHLKTTSSMPQQSHVTVALSTHELERMLNKDFDPKDPKNKLVLPSYENL -RSGLLEFGVRDYSLDIQCLIPTIMSLMTYDGSVPELEDIVDRSLKITVEYNIDCVNSMGFQGGDDPMKVI -KENVSVYNNFRSNKYYQMLRSQLIIEKILKMGVYLSGSKDMKILKSKSKYHRTKQYYIGGWIENNTSFTK -YYENNKIPGFKFLVSSDWVFIHYSNKWYIGHRDHFTMLADIRTQRNNGLFYCIASEMLNNHNTLSVSEYF -HQLSFIDRLLSAYGNSAYKIISDWEARCVATLLEDDDEYLDNWKLFKSGLLDDIKDLADKYGDPNLLESY -NIHMKSLKDLKNVNPNKVAHVFGMCKLGGNPTVSPVDAFEKVKSISKKKRAALSQFIDDSLANLCELFAI -RYKDKHGSWPPMDISKLETDSYLYDVIINNYTLSKDHRFYRYKDWNKITFQKVFNITDDIMISDLLSDKT -LSAYKDEIIRTLEKQGSIGNVRDRSVIIRFLREQFKSVREFLDEIERDGFPLSARIIALRIKELELKMDG -RCFGMTTFLVRTYIVITEAILKKHMLPYIPEITMTESYIELTKKIHSNTKSMREHVDGHSHLIMASMDFE -RWNSNMLGSDTAKVFSFLSNLFGYQHLFTRTAEMFVDTFYYLSSNGVGYTSDIFKCERPQIYPPTYKGQL -GGIEGLRQTGWTIKTVGDILAALRGSQVDFNIMGQGDNQVLLFQYHKSMSKEMVKFEHEKNMKKLFSFLK -FSGPPLKPKETWQSSILFIYGKRIIYHGTELDQGPKRIYKCYEISTEGLPTMESALSSLVANCMAAVQAS -TNCLQPLFLYGYRAAEIYLNSTYFSYVGSNLPLIWSNIEERLSFDILRSERSHGKTVVFRDHYDFQSINT -LDEIKSQLTSMMRKRSTDLILALMIQPSILGGYPNLNPNQMLLRNFPDILVDSITFLKHFRNHTKRNILK -SLIGTMLDMNVCSDINPERLMLDPVSLNIPRATSPGDSLRRTVTEVVHRSPDTRNQQYRDVYSAALQSMS -DFAEHLFTMKPLRPLVMNELYKATQPGIANTMIQKFSKMNTLVRISMSSRGQEIVEDLKLQDEEYFSCVI -FNLVSFNVVIREMCSRKRADMMRNESWGKVIEGISAVHPFDAMVPRTHIYCQGQDDIDGYILWKFKDTSH -LSSTALKVIGDCTPNLGTITKEKYNHESKRYILELAQPVSRAANLMRYLNWVTPEHGNLANLIRYIVSTV -TDADFSVLIPMTEKISGEVDHRLSTNALDRGGSIPTSYNLHTYHIMCTDTLNFSRKGGLNDNIVYQAAFV -AAGSVSSMCIEYTPSVVNNMNIHLHFKNNRCCVKEIDSNYSEVEKPKYQLKSLLPPFTNNSFLFIPKEQM -VYHQDIESNKYPTMNLHHNDQIDDMTKHQLSLIAAMTPVVNVILRIDASGDHHTNKSAYSLSGLVSIFNN -SNVVDVFRVISFFIFCNIMCSYVTDFFDDFTKDEILLKSLSVLENINQGSFNLLGPLFQVKENIHKLSRL -YPELQMQTGSYWRLSDSANMSKQIVKSMIIDYIEQKTSFVSDILVITPDTRVYNHPLIIRLVELYLATDL -EDLDIFNTIIKTKNYLHKMITNQIPLTTDLLSSDNDLRLMNIIGIRPKEIEDLREKMGKCKAYFIDGVYD -KILKLFPYSGEELPTTCEEEVSYGVFPSSVKTIDISYAILSSDDHRLINKDLNTLQKIKPEKERSLKDRV -DMSYARPRINATGAIYKVAELHSSRSMSFKFKHMNILELGGGAGSSALFSLQFFPNTRLYFNDLVDIAAT -QVNMLPHCFPSAFGGKKIYLSRLIKPRLNYEGKSDACDPSYPLWLRENIDEDFYMIMSDIEGSFSDPRKE -VMIVLNVLRLAHLFNSGIAYIKMYTYNTFLFREIIKMAVQFADVSINRLSMSNQNKTEVYVELKNFRNNP -FMVQIDEMDLRYRMTNYPTKLRLEVDRIIEHTIDPNIVYQLITTYYQEFSKTFKNKLVLQQNLATCPYLH -YLTISQERIFENAKRLGKEYKPILVPSQTFKALKFAVIPSSLIDIPARLREHMPI ->AMK09240.1 RNA-dependent RNA polymerase [Drosophila busckii rhabdovirus] -MSANLRSPLGTIRDTEICGDTHLNSPLSNTMLKEALEVLSSQLPPRNNHVSENVAHLRQLLDATQLSPSF -PFSYDPQCLLMPLSLSIPNCDPEGEWKKGFFRLWSLTSQYCPVSLTQFLPDVPPTELANKIKSVIHDPNN -SYLIRYQWFSDMLIQGIHLSARPTAYKSASHLKITPGWIQDKDKAGLFFCDLTPYYIPLKIWLNSKYVII -QFESDFFLSTRLHYLMLSDVFEQRTAGLLCTELAKRFERSNYPSPSHLLEVFKIGDSLLERYKNEGYRSV -ALWEALVIGSVLSSQSDPVVESSSFLIKMVKEFVKSQGTTQVEVLTKFWKEEISPLLNDTPHHQLFELFG -LHRIWGHPTICELAGIRKLKSIACRPRALDFHLIETISYKFRETFCLSYWRKNKTWPKMLIDPEAPKSAL -LSALREGRSITPHHPAYKMSDWKYITFLKNFSVPAKFELSELISDKATSFPISKLSASCKNSKTIGSSKE -RNVIMQWLQTDLNDPVALCDKINNEGFNPDEKCTGVHEKECEMKLEARFFGLLPLEKRLYVVLTEAMIAN -NLLEYFPDITMCFDSATLLQRIYTNTQHQTGTPNPQRGAGEEQDHAVVVNLDFNKWNSFMREGETYPIFK -DMDNLFGYDNLISRTHEMFTSSTMYLADGTITPITDRGAWINDERVWTGHLGGIEGLRQKGWTIFTVTIL -KYVCDQAGLRCSLMGQGDNQVLILYYKQRDKEQWREIHSRFVEYLDTMVRHIGPPLKIEETWSSSNLFIY -GKFPVWNGRPMSMALKRLVKLSTTTNDGLQSLASACSSIAANCSAASGADFDPIIPFIFGTYMTMKAVKL -HITAPFFASEGHNTKENFNFKVPKEKVSQWVRGKFLSSEIREINRFSDRVLLGMIMIPSVLGGYPSLQFC -DLASHGFPDPLSLNIYNLRHSYRVAKVYWPALCSIITNILSPQASNPPSYRMIMDDPVSINILRPSSEKD -KLKMMVMKFLSQMKVKNTMFTSFLKIAELSQDILVESLSSTERLNPRICNSFLKATQIGRAMAVVGKIDK -TRTMTGCMLQADKQKRIDIIREADDDITFELKAHVSVPVLFARFARNYTNSVIYHLHRTRQSSISVDTIE -CSTEYAQKLRNETWNKTIEGVTVAPPQEIFSLFPSSGLSCLSAGHPCTEAGKIVVILDTASDPTFNHLND -PLEMPLGPFRPYFGTRTQTKVKWEGNELKAVAPPAISGALDILCIPGWGVDKDSNFANLIYALVESYTDL -NPRDLTPHNTEVSGSIVHRFQDQRTSHRITPSILWNYNTHINIITNDYTPENLPGNQGFKNFNFAFQSVF -SWVASYISSQYINRTGVGYKSLHAHTCCPKCVKPVPEDLLEIDPCPDAINFLQKMRDPNNPYLYVSQEAI -REDIVIRPKFLYINKQGVPQQHVSHLLSTSLVSRYLEEMGTQVIEGENRIRIAEKQFMVPVSASKILNQE -EFFRNLAFIYILSFVHGRSLSLQIEGRTTIRSLLFEALGSCHQQDPIWFKGLLPLLLNTQEYHRLRKQKP -DLLLPKGTPPSERAKCTYFHIIMKHFSEEMISGGQFQSYLDSFLSHPPIQSPLMCLHPLFLINVKRGLAR -EKDETYLGIKSIRNFRKMECARERDGRLDSLVDLGPILESVKDELDYQGILDQHDRDMRWYTSHHPEGYT -SHVDYLIKEMEMQGCYYPFIECSLPSDNSIPLAKIQKLSPASTVIFSICMTDDNVYHAIPYNQDYVTLTL -PETNLYNHLLKIGAPGTSAPFKLLSILQNLYVVEDKTLPSGRLSGTILGAGDGIGGFTATLGRVYPLSNL -VLYTLFNPSLLNSVGIDRFVPAALGFHQELFSRVKNLDVLLESDTDLTHDQGLKIIQEENPCCPIVVCDA -EGGGWEDPTKGILMLENLLTFSSTCKTQLIIFKSYLSRPDLVYAQISHIATYFHNIRIIRSHFSTINNTE -VYLVGWSPRTGYPGVQCMSEVVNVRNDLVIRMRGSISGFPPFSKFLDLYIEPQRFNDAPIMECREYIQLI -SPPHWMESLIHQMKNYLLTIGKYTNIRTGERNAQGERIEETKILINFPSGIVHRWRNYLRPVAFSFQNPS -NYFRNFLTRPLMAAMAYDMLIILGIPVKNPKSFITFSRLVQKGWLQIYPTLDGSWGFHLSWCQYSTKYNS -KNVALRNLFPPSKVKELYSNLGILQYIKDDIKIEFHGRSCRSPTPYYIFDDQPEWWNKLGREVMSLSLTP -VASKKEYSVDGVPYWTRQDWKIEYPPNIQLTSFLRTHWTNLISVRDLDDTITDLPPCFDYFGKQQIDLLR -ARRRCKDPTVSHPENLF ->AMK09254.1 RNA-dependent RNA polymerase, partial [Drosophila subobscura rhabdovirus] -ILLHSITLVSMEALWGGDIYGDPEFKPSSKIPSLLSTHLNSPLRINSLEYCRVNWNLLSEKTSYFKNQPK -HPYAFNYVIKDLKFLIDNRHITRPSIMNAGHFGLLNNKPLERIHLNSNFLLLEKFVNVSIRNLDLQLKST -RIKNNIFNESIKHLDLNTPNFPKENVLYQKLNNIIHNQGAIVKNMPPLILHQFSWYNILHQAIILTGLVN -QEDNLLNDKKRFKNVWTLINEDHLHQESDIYFMHQSNLKLYASRNLFLVITDKGESVLGTREHLLMMADT -FVQRFNLYLSGYLAEQIGYKHYLYMGELSFILSWGDKLLSSLHDSAFDILSKWEPLCVGTFLKYSDDELC -DGTSFLRGIQKELQEEFSELTDSIQQSLIDLESFLYKIFTRSYHKLSQAFGLFRIWGHPNIDSRKGILKL -KQIAQQRRIVNKKKVRQIFCIFMEKFCLDYRAKKGMWPNMCVNLLRDTNHIRRAVESNSIISLTSPYYNR -QDWIKVSFKKTFDISDKLNLSDLLSDKALSLTLHELYDQVHKHNNIGLATEKSVIIQWLKSKLHDPEQFL -DEININGFGEDNEIFGVCPKERELKLIARFFGLATLKKRMYIVLTEAMIAEYIIPHFPQITMMDDSITLS -KKFFDQTKIMASDTLYGSKRSYKNIVTNIDFQKWNTYMRKEETYMIFQQMDKLFGYDNCISRTHEMFETA -QLYLADGTFVPNFTLAGGKLKLVECDYVWTNHLGGIEGLRQKGWTIFTVCVLISVMELHPNSRFSLMGQG -DNQVLIVHYSCKYDKETIKKMHLKMIETLDSELKLIGPPLKKEETWSSSSLFIYGKFIIYNGCPLSLSQK -KLCRYFPMSNEGFPTIETAISSISANCSAASSSDISPIIPFLMCGFWYSYVFHEMLKINYLGLSLDKLLF -QNSKQEFSLPHSSGTKVKHRFKLSHDHVSCLKEVPSSLLSVLLLFPKCLGGYPISFLSNYMIKGFPDPLT -EYLANMKMINGYLTKKEEELIQNIISPNINSDPNYEYLFSNPTGLNLLSPTTPGDVVKSTVTRFIHECDW -IENDYVKEFLVLSHDNQKDLVTALSYMAPLNPLIGHAILESTIIGRAHQVMEQLNKTSTLIKITAKNGDD -LLGRVKFAESNYLMSVLYHIFFKSDYKIDLDKCSRVEAQRLREISWDNTNITGVTVPYPVEFLEIEPGFD -CTTHLNSGKGYILLKQTIQNPDKLWYEATNIGDAIPYIGSRTIDKVKAYGNKVAKTSSPLLKKVAKMQSL -IGWATERDSNLSKCIQRLLSSVTDLPPELLNPDESSISGSVEHRLSSDAVNRGGNVEVLFNFGTYLSLAT -DTFTAYAKGSINVNLHFQAALSYGTSWFSILRSLNCDTYTTCYHMHQDCNDCIIPINETKIEVNENDWSN -LIKSKPESSFCWIAKEKILGKNMKISSYYPEFTKNLLNDSSLQYNHMLRSCRAAAKETMVKFRQLSLEVG -DGKMSARSGWIFPVSWVFKLDPLCFFYCLLAELKSFFIFRQFETKLILSENQNSINKDFQVFLKQIPPIV -FGMFSSWFQNSNFLDFISGLPYFIKPPLKTTFTRSSCGVCIQFLLKRIICLLDEDQADLSCIKRWNMFFT -GGDESLDSNPLIMAIVWADLFDNRAISNVPNHRMILLLKRCIPDLMKEDTQIAFDFRPLLSQNVQNYASF -LSIENIKLCIKFLNKLEIYHSIETGDGLISELSVFSYTTPVYQLKARDFMSLPILCQGFSISPNDVIKIP -HVIRFPDITECNVSSISLEGHMQKPITYPTTSQYKLLSILRLAEISLSADHNVGCFGDGAGGFSKLMIDT -YSCKVFYNSLIDTANLFQNALGNQYPPVFAGSPVERENLINLNFTYQYISDLTHPLYANKYIEFVKVPLT -VMICDAEGGGWKNNKKAILMLKNLLKIAKHSKSVAFIFKSYFSNWDGFKDQLLLIQMVFKNVCIIRSLFS -SKNSTEVYIMGSTQQCDYGPCDFFDVSLEEIKIPISFTTGFNGNLGTLSNFINRLASSEHSNKYTQLLSS -KLHEKQNLMALINISSSLFSNNGIYKFPYQYLLDCRQNTHLTKYTEKYRKITKINVLTTNLVEQILSGYL -TILLKEGTFSDVQMINKIFDYGVIVFYQTYNYLWSFALAQLRDVMNTSISYYRISAIIDTPKRKNIFSKI -AKLRQTNKIIKYNLLIPDFPYSTPAISRDRHGYLMQFHNGFTPLQFALDRDINKRVLKIRECFLTPKYLK -YIKLCQDKWIVDKTGFFKSNNWLNI ->AJG39108.1 RNA-dependent RNA polymerase, partial [Jingshan Fly Virus 2] -MKMDNLKSLLEYTNQTDFTNFLIDDIQSEIGSLMTISSITHPPQEIWMAFNHFWNTELSYVPYQLMSLKS -FSNIESVKIPLENLRSDPDYTTLVHLFNLRMFLEYAVVITARPEKTIDTDGKDKEPNWVSHQDTNSGSYI -HHGTFNNEKPFLLQVIATRNYVVLTIDKKNYLVDRNYLLLFHDIISQRFLCVFSSIIASLFNRSNYPSVK -QLQVLFSIGDNLLHAFGNQAYNTLCLWEALVTSEAHLRTDDPATNNQFFRENISKEFILSQGVKNASYMK -NYLVNNVLSYIRSLSLDILFQVFGLYRIWGHPTIDELAGVNKLKNIACQPRTINHKYISIVTCKWREYFS -INYFKKHGKWPKIIINSDTPRTPLIKAIENNIYIDVNQTGYNLSDWMFIQFDKTFSVPERLELSEVIADK -STSLGYKKLKSYCVTKGTIGPSSERSVILQWFETHYNNPEEFLREIDTHGFPEDENVTGVYPKERELKIF -ARLFGLMTILKRLYVVITESLIAENILPYFPEITMTFDSITLQNKIYQNTKNTSATKHQVGKKATVIVNI -DFEKWNSNMREEETIQLFKDIDNLHGYSNVISRTHEMFYNSTFYLADGTITPIDPQGKWIDSDGVWNNHL -GGVEGQRQKGWTIFTVVILKYIAEINQLNCNIMGQGDNQVLLVEYDYVDDNTLRTQHQKFMSFLNDFLKQ -IGPPIKIEETWSSSHFFTYGKTPVFKGIPLSMSIKKLCKCGGLTNDTIQNLDSVCSSITANASAATASDY -DPLVPYVVSSFETLLAINLHFSRPFFSYKPYMIRLNDSFRLPSLGISLTMPLNLKEYDMLNINKLSPLFL -SVMAVFPSILGGLPTVQLTDLVNHGFPDPLTRELWNLKTLYHNLPSNHQLKPYIVHLVNPRLSSTINPVL -ICQDPVSLNLMRSSSASEKIKRQVFEFMATSINIKNHTFKAFMEKALLSQDALCQHLMTMKPFNPRVANS -IITSTIHGRAQKMISKVNKSTTIIGLMRTHKERWMQIVNEDGNQFESLDDYKNQTYQFDVMFNNYEFNYL -KSILYLAFVKRERPDHNMDYCSTTLATELRLMSWKTHVSGVTVAPPQELLSFHSSSGLSCISEQHPNPDI -GYIRIINKCPDILRTEFITPINCPLGPYTPFFGTVTRNKVKWEGGELKDVAPSLMSGILDILCLPGWGTH -SDSHLSELIYKIFNSMTDLDPKSYIPSPSQVSGTIEHRWQDKRTSHSSTLSVLYTLASYINITPDLFKPQ -SSHLFSGYQNFNISFQSLNTYIASTFTSIVINRQIDWFKCTHCHVSCASCIQPINEEPLNIDPIPESLGC -LFEPQVDNPYCFVTAESLLSNMKTRSNILKPLISNMDEINNPNNLLSLTTAEYYMTEFSIPAVGKMSLQA -HHLSTHQISVSTVGYLDIPAFFNSLISLRIIEYVFQQSCNLINHHHMPNNKLFNLALSSVTNTAVCWYKG -MNSLLLNMNGWYKFRSIIPNLTLPSGSPPSGPEIWTFLSKTIKTYVILKYNLDTFTTWTQILLNDVSPTS -RKMIFSPIIIHIITRIFTGQNLDNFLYTDYWYLLVAIRKLVASIRDNYTVHSNTNLLDLFDDPSCTIIIT -SIQRRLLLHITYYVIRCTNYKPMIVVPDAVAKLIGSKTIPSELNQPQELGDIYSNIYIDITNLPIGAHQL -YVMRDRRKSTINKRNETYLKHEIITKPENVINHLYKPHSIGSTASYKLLSIYNQLCNYSCLPRLLTTTDT -IASFADGDGGYTFTNGRIFPTAKLFFNTLFNDELLTSDGLNVYKPSTVCYIPSIQSRLDHLEFTTNIVSD -ISDETYAQRFSKLKLTIPVITCDAEGGFLNIYSNPSKMLSTLLIISSQSNTQILIFKCFMRNLDLAWGLS -LMAFQYFHDVRLFRSLFSTCNNTEIFLVATSPRENPHHYFFQPVFDSDCKEHGFKLTYSILPNSSYNDFE -TTITKKYNTLFGETCFNISAAYSRSIRLPESDKCKVLFELQKLNTLKREKKCLAFPFDLIRSWRDEFLLV -KYSSEPANRFRPSFLTSKYMKYMTSHYLIYLLLGLRQPFHVSDLYHVLDNYSSCWYPTFDGQWGFCIIHK -RKVKQLGFNSKYIQLKNLLQKQDIKYILTQVGLLHNHLSPIIYSVIPDLKFPEPKFATLQTYWWKNINKT -HLPLHYTPYQLIINSFIKEKGLLKLPLITTYSQLNHSSVELVIASLEAVYDHLTTLDLTDKTTVLHLTNI -KQLLTLYR ->AOC55081.1 L protein, partial [Berant virus] -MDFPDTHLQSAIRGDERKYVFQSTTIIECPKYLRSYISSCPVSRTQIILKSENYYGIVLSHIYTSEDDVL -KWVQKYLPSAFETSYKVIKQCLEQLIPGVAFPQLKHDQYILNLVSICVVWEDLRSQMQYLLSQPGTWIPS -KQSIGSIAHYYVSGKFLLCDINKQWICFGYDQVMMISDTIWARCNVLMYQHLLSTSLPCKIPTIYIENCY -KIFDDQFLEQGNNIYDAIKTWEAIVLGALVEDFDPYDGGREYLTPVLDEMNSLGFTCVNDLYNYIKSLNL -SPNQYSELHGLYRHWGHPTVDEVGACIKTKAISQHRPVPKLNTIIQSIGCLKRQYVVSFIQKHGRWPSIL -NISQIKNRILRRMVSSHARHINLYQTTIKLEDWYDLEMGQEMEFDYHLDYTELLDDKAISPYKYDFKSLF -NPTILGYTPPRPKQSRRLLRAVLEIDKLDIKDIIMKIRRREIPPEWFIIILHSKERELKLKPRLFAMLVL -EIRMYFAVTEKNIAKNVFPYFPQQTMTMSESELSKRIYKFTEQAKDVSYIPFFVMIDFKSWNIHWSQMST -VDGFTFLDSILGVPGLYTFTHEYFSQCMMVLSSSLLPPSSIIGPDHQHDLDPQECDTLWYNHLGGWDGLR -QKGWTIITIALLLLVEHITGIQSQIVGQADNQICKILIPRQNNELSNSDYIKVHLQEVKSRIKLFTDTLD -KVVSDIGLVLKKEESLVSSVVTIYGKDITLHGAQLSQSCKKISRALAEVNTTVPSLFTKTLTLHSAGLST -AQKTHSPLIPCFLANMLSIINFINGARYSSLTKRKNPSHYWDWIEGENAMTFLLLGSGDTGGAPIQNILD -YLYRGHPDSLTSYTTYLYILAKSGNTIAKRMYLYLQLRKYKVGDAEPELLVSNPCSTNIASFPLVSSRYR -RKLELIVQRATKNKDLQALFPSTSQDDDKEVFKFLLQFRPLQPRLLHEIFRLTPTCSRLTFLAKFSNTRT -VHMMMNEERRKTSYLDEGWTPPDYPGEAYYDIKVDDIDISMLSHLKSMYIAIRSNIDMTTPLICPTNLAK -DLRQYSWKDLIGDSHIDGVTIAHPAHQFTLTVEPDYDHKSCKGDQEYCYYTSIVTIPKDVLTKRGPLPPY -IGSRTREKITGKIYSIPTTARPFKAAERAIILSDWCVDPSSSLALYLSELIRSRTDIEECDLRKIAGRIT -GGTSIHRLDSHMGPTCTLNNSLANITTSILFSTDTMGRFSQGRENYVMHFQGVIHTGISLINLYICLMNR -VPLSSHFHYAGRCCEELIDESLVGGSYDVPTIHRYPDNPLLYTKISLLGSEKMESIKGILIEDHSDSSWA -MAFILLSRIRTRVLSSQWGVTETTSPVVSSLGVQEILRIGIKRIVKSLATLLLLHLDYDEFNMSLLLLSL -SNDIWSDISSIILIPDVLVPTLEYLDLDGVPDAYTNPNHIGRCLNNKLIEEVMHLFSQPQTMTTVLPFHL -YGNIRIPHILRMWSRLIYLRTGGSIDLGPIIRQVLLHYTDTSPESQVVTSSLSAKIITLVIKHYGIPGYI -QVWKESPLKICYTPPETLLRIDPFPSKVVHTPMIAPSDMKLLVENYPLMIELAPRSEQTALVSLSYYPVN -CDLPMKTRADHLYRTVGQVSTAYLKYMEILLKDEIPLDGTAICTADGESSLGFLLYKLTGKPIIYNSLID -RMKLQPQRGYTYIPGSFLKHPHGLLNAESNCLLGGDITKDTVQDSIIKLVHEQDLSICVITCDAESSINF -SLHNTMSILISICRIGYYTKSRSAIIKTYLLDSETISLMGGYLQNYWDSVKLVTPCFSSYESTECFWVCK -RYDPIKSYDDDMNIWVTNGQITVPLSSYQIITHMKSYMDERTNKTIPFQQLREQSTKTLIYNARILGFRS -NLLQAFDLIVNHCVLFDHNISVRDNLLAGIDKVLSQMYSYVGGLQQVYEGKVLELELGAKIAKHKSIHSV -IEMHIIAYRNILLTIKLEDFKYHAGNASTVISQAVSQYTPIMDNQGKLLYKYKE diff --git a/seq/clusters_seq/cluster_154 b/seq/clusters_seq/cluster_154 deleted file mode 100644 index b5e0547..0000000 --- a/seq/clusters_seq/cluster_154 +++ /dev/null @@ -1,320 +0,0 @@ ->YP_007641366.1 glycoprotein [Perch perhabdovirus] -MNTLIKILLIIIILREARSHIVLVPLDLGDWRTTEADQLDCPMHGDLSNQGTQAIELEYHTASWGLKNNI -AGSLCVTAKWSITCDYRWYGSKYISTVIEYLPTTPEMCKEAKRASDRGESLAPHFPTENCGWNNVLTESQ -EFTTLTSHPVKLDAYSFILIDSMFEGGRCQSKECPVVFHQGMWIADQEAFGFCKDLDKHRGLLFKTGLRN -SLGEIVRQEWNLNSVFQPEIGREKHFKGACKMSYCGNSGVRFSDREWFQLGTPSDNGIKKIIEGLPECGE -DNLIHSHDTSNTLKELAEHVDEIALNAICLQEVRRARDTKAVSDWLLSMMSPFSEGIGKVYRIHKGRLES -TVGFYRKVVLEGDGTPERLGVGLDKKPVSWDQFVVKTNDTRIQSMFNGNTVVNGKIKWVKNVLGAHILDE -ISALEFDVPLIPHPHLDGLKFNESHTISSHHPNGKGVNFVESVTHWAGGLWESIGSSAVIIVVLLICAFV -AVKFCQRLIPSRRPPTRESSENVFMLRTV - ->YP_009362085.1 glycoprotein [Morreton vesiculovirus] -MLVLYLLLSLLALGAQCKFTIVFPHNQKGNWKNVPANYQYCPSSSDLNWHNGLIGTSLQVKMPKSHKAIQ -ADGWMCHAAKWVTTCDFRWYGPKYVTHSIKSMIPTVDQCKESIAQTKQGTWLNPGFPPQSCGYASVTDAE -AVIVKATPHQVLVDEYTGEWVDSQFPTGKCNKDICPTVHNSTTWHSDYKVTGLCDANLISMDITFFSEDG -KLTSLGKEGTGFRSNYFAYENGDKACRMQYCKHWGVRLPSGVWFEMADKDIYNDAKFPDCPEGSSIAAPS -QTSVDVSLIQDVERILDYSLCQETWSKIRAHLPISPVDLSYLSPKNPGTGPAFTIINGTLKYFETRYIRV -DIAGPIIPQMRGVISGTTTERELWTDWYPYEDVEIGPNGVLKTATGYKFPLYMIGHGMLDSDLHISSKAQ -VFEHPHIQDAASQLPDDETLFFGDTGLSKNPIELVEGWFSGWKSTIASFFFIIGLVIGLYLVLRIGIALC -IKCRVQEKRPKIYTDVEMNRLDR - ->YP_009513006.1 glycoprotein [Jurona vesiculovirus] -MESLPFSALLAVLSITLCDSAIPIFFPSEPQLEWKPVLPGSRYCPQSNEMSLDPDLKKSTISVKVPIGVT -PSKSDGYLCHGAKWVSTCDFRWYGPKYITHSIHNLRPTTNDCEDAIKKYEAGTLINPGFPPDSCAYATVT -DSEHLVILITPHHVGVDDYRGAWVDDSFPSGVCETNQCDTTHNSSIWIPKTKTRHNICSQTFANLSVTIS -YREGGAMKGADMVFHSKYHPHMVGGHICKMNFCNKQGLRLQNEEWIEIPSGTKVGNQDLMNLFSDCKSGL -EVRSTLRSEGANTLTWETQRLLDYALCQNTWDKFDNQGAVSALDLSYLAARAPGKGVAYTMINGTLHSAP -TRYVRMWIESPSMEELKAKKESSSGVETSIWNQWFPFKGGEIGPNGLIKAGNKYKFPLYLVGMGMLDDEI -NALELGGPIDHPQRAHAQAVLGDEETLFFGDTGVGKNPVELITGWFSGWKETIMAVVAIFLLVIVLYGVL -RCCPTICVLCKRKSRHRTKDMEMQYIPNNQRHWR - ->YP_009505540.1 glycoprotein [Radi vesiculovirus] -MISITFVYLIIILSLSWGEMMIPFPDVTTTTWKPVLKGEHHCPSSSDVDILSRMSTLKLQVRIPTGSVAS -KSDGLLCHGAKWVTTCDFRWYGSKYITHSLHSIRPTLSQCTEAAKAYKEGRLMAPGFPPESCGWNSVTDS -ELLSILVTPHHTGVDDYRGIWIDSMFPGGECKEMVCDTVQGHTIWMSTSNLTTACGVAFKQIQGQFYYLN -SGHQPNKEGTFFHSPNHPNSPLSTACRKKYCNQEGIVIHTGEWIGVPWNTRIRDVQLDSYTDLCAESTEI -KSTIGSAPIRVIAWEMERVMDFALCQTVWDKVNRGDPLSPLDLSYLSSRAPGKGLAYTIINETLHVAHVR -YIRTYIKAPIMEEIKGSRGDRSAAESVLWTQWFPYGDGEIGPNGLLKTNGSFKFPFYLVGMGAIDDDLIE -LSNADPIDHPQKAIASVHLNTDEELFFGNTGSDSNPVEAVEGWFASWKSAGINMALIVLCVLLVLIFLRS -LPALIKLIHRYRVSRSRQTDVELNSINETARTGSVGPDIIPGAWRVHDSGVRQSQFFRNNPRRLGP - ->YP_009505535.1 glycoprotein [Piry virus] -MDLFPILVVVLMTDTVLGKFQIVFPDQNELEWRPVVGDSRHCPQSSEMQFDGSRSQTILTGKAPVGITPS -KSDGFICHAAKWVTTCDFRWYGPKYITHSIHHLRPTTSDCETALQRYKDGSLINLGFPPESCGYATVTDS -EAMLVQVTPHHVGVDDYRGHWIDPLFPGGECSTNFCDTVHNSSVWIPKSQKTDICAQSFKNIKMTASYPS -EGALVSDRFAFHSAYHPNMPGSTVCIMDFCEQKGLRFTNGEWMGLNVEQSIREKKISAIFPNCVAGTEIR -ATLESEGARTLTWETQRMLDYSLCQNTWDKVSRKEPLSPLDLSYLSPRAPGKGMAYTVINGTLHSAHAKY -IRTWIDYGEMKEIKGGRGEYSKAPELLWSQWFDFGPFKIGPNGLLHTGKTFKFPLYLIGAGIIDEDLHEL -DEAAPIDHPQMPDAKSVLPEDEEIFFGDTGVSKNPIELIQGWFSNWRESVMAIVGIVLLIVVTFLAIKTV -RVLNCLWRPRKKRIVRQEVDVESRLNHFEMRGFPEYVKR - ->YP_009505530.1 glycoprotein [Carajas virus] -MKMKMVIAGLILCIGILPAIGKITISFPQSLKGDWRPVPKGYNYCPTSADKNLHGDLIDIGLRLRAPKSF -KGISADGWMCHAARWITTCDFRWYGPKYITHSIHSFRPSNDQCKEAIRLTNEGNWINPGFPPQSCGYASV -TDSESVVVTVTKHQVLVDEYSGSWIDSQFPGGSCTSPICDTVHNSTLWHADHTLDSICDQEFVAMDAVLF -TESGKFEEFGKPNSGIRSNYFPYESLKDVCQMDFCKRKGFKLPSGVWFEIEDAEKSHKAQVELKIKRCPH -GAVISAPNQNAADINLIMDVERILDYSLCQATWSKIQNKEALTPIDISYLGPKNPGPGPAFTIINGTLHY -FNTRYIRVDIAGPVTKEITGFVSGTSTSRVLWDQWFPYGENSIGPNGLLKTASGYKYPLFMVGTGVLDAD -IHKLGEATVIEHPHAKEAQKVVDDSEVIFFGDTGVSKNPVEVVEGWFSGWRSSLMSIFGIILLIVCLVLI -VRILIALKYCCVRHKKRTIYKEDLEMGRIPRRA - ->YP_009505478.1 glycoprotein [Lake trout rhabdovirus 903/87] -MDLLLKILLIIIIIKEARTRIAFVPLDLGTWRATSVDQLDCPMQGDLVNQGTDAIEVRYQTAAWGLKNSV -AGKLCVTAKWSITCDYRWYGSKYISTQIEYLPTTPEMCKEAKRQLDRGELLSPHFSSENCGWNNVLTESE -TYTTIVSHPVKLDAYSLTLIDNIFEGGKCHEEECPSLLHQGFWIADKNSLGLCKQLDSHIGRLFKTGLRN -SMGTVVRQQWDINSIFQPEIGREKNFNGACTMEFCGHQGLRFADREWFKIPEQANQSLKKVFKDLPSCGT -DNLVHSHDINTDVKEITEHVHEVALHAICLQEVRRAKDSNTVSDWLLSMMTPFSEGAGPVYRIHNGKLES -TVGFYRKVLIEGGGTTEQLGIGFDKKPIKWDQFVVKTNDTRVQSMYNGNTVVDGEIHWAKNVLGSHILDE -IAALEFDIPLIQHPHLDGILINETHVISSHHPNGQGVNFVESVTHWAGGIWESVGSSAIIIAVILVVGFV -LVKFCMRFSMPVRRERREEGMMMLQRA - ->YP_009505325.1 glycoprotein [Vesicular stomatitis Indiana virus] -MKCLLYLAFLSIGVNCKFTIVFPHNQKGTWKNVPSNYHYCPSSSDLNWHNDLIGTALQVKMPKSHKAIQA -DGWMCHASKWVTTCDFRWYGPKYITHSIRSFTPSVEQCRESIEQTKQGTWLNPGFPPQSCGYATVTDAEA -VIVQVTPHHVLVDEYTGEWVDSQFINGKCSNDICPTVHNSTTWHSDYKVKGLCDSNLISMDITFFSEDGE -LSSLGKEGTGFRSNHFAYETGDKACKMQYCKHWGVRLPSGVWFEMADQDLFAAARFPECPEGSSISAPSQ -TSVDVSLIQDVERILDYSLCQETWSKIGAGLPISPVDLSYLAPKNPGTGPAFTIINGTLKYFETRYIRVD -IAAPILSRMVGMISGTTTERELWDDWAPYEDVEIGPNGVLRTSSGYKFPLYMIGHGMLDSDLHLSSKAQV -FEHPHIQDAASQLPDDETLFFGDTGLSKNPIELVEGWFSGWKSSIASFFFIIGLIIGLFLVLRVGIYLCI -KLKHTKKRQIYTDIEMNRLGK - ->YP_009177650.1 glycoprotein [Cocal virus] -MNFLLLTFIVLPLCSHAKFSIVFPQSQKGNWKNVPSSYHYCPSSSDQNWHNDLLGITMKVKMPKTHKAIQ -ADGWMCHAAKWITTCDFRWYGPKYITHSIHSIQPTSEQCKESIKQTKQGTWMSPGFPPQNCGYATVTDSV -AVVVQATPHHVLVDEYTGEWIDSQFPNGKCETEECETVHNSTVWYSDYKVTGLCDATLVDTEITFFSEDG -KKESIGKPNTGYRSNYFAYEKGDKVCKMNYCKHAGVRLPSGVWFEFVDQDVYAAAKLPECPVGATISAPT -QTSVDVSLILDVERILDYSLCQETWSKIRSKQPVSPVDLSYLAPKNPGTGPAFTIINGTLKYFETRYIRI -DIDNPIISKMVGKISGSQTERELWTEWFPYEGVEIGPNGILKTPTGYKFPLFMIGHGMLDSDLHKTSQAE -VFEHPHLAEAPKQLPEEETLFFGDTGISKNPVELIEGWFSSWKSTVVTFFFAIGVFILLYVVARIVIAVR -YRYQGSNNKRIYNDIEMSRFRK - ->YP_009094475.1 glycoprotein [Dolphin rhabdovirus] -MYIHILLMILVSGVFGTVIFVPTGKLNWTPDSIHNLTCPSYIKIYEGHPKQMRYAVYTAPADKEMYRDGF -ICATTTWSVTCDFRWYGSKYISHEVVVKRTTPDQCKFAIKEYQEGLPELPTMPAESCGWNNVLTESKDYV -TVTPHSVRLDPYGMVYVDSEFKDGRCSEQVCQTPNHRGIWLPKTDKGDQCSQVSASMVTLSPNRPDGKWT -SSTILVSGTKELQLSKACKLSFCGKDGFRFANGEWFSFLGGLEKGIEEIVKTLDRCPMDTIIHTHNSHSD -LSRIEAIAYENALQVNCYQELRRASETKKVSNFLLSFFTPLHEGLGIAHRINKGQLETASAYYTRVKLAD -HPTLDNLGMNSKGQTVSYKDLVPVTTEKGSGMSLFNGNTVKDGKINWVLSTIQQSIIKDLEEDTFGAEFI -QHPHAKHLPSYLNETIIKEKGQGTPGDVITSIKHWAGGLWQSISASLFIGLLLILIGLVIFKVLIKYLFK -CPRSSEKIRTPHIEEMGLMRTEAETLNSRTTPALSWFEIV - ->YP_009094387.1 glycoprotein [Perinet vesiculovirus] -MSSKIVLAAICLCSVQYVACSFQIVFPEFNNAAWLPYLKTSRYCPQSAEMEFERRVSTTLLSADVPIGVT -PTKSDGYLCHAAKWVTTCDFRWYGPKYVTHSIHDLTPAQVDCHEALARYKAGTLFNPGFPPASCGYATIT -DSEQKVVMITPHHVGIDDYRGKWIDPIFPGGECTTNYCETLHNSSVWLPADEKIVDICAQTFRKIKVTAT -YPSEGAVTKETISLHSAYHPHVPGTGICRMTYCSKEGLRLPNGEWLGIFYDNRIKTTDVRTVFPACPDGL -EVKSTLNSDGANTIAWETQRMLDYALCQSTWDKVQNKEPLSAVDLSYLSARSPGKGLAYTVINGTLHFAH -VRYVRTWIDGPVLKDLKGSRFDPTAAQKTLWDQWFPFGSNEIGPNGLLKTPKDFKFPLYIIGTGLVDEDL -QELSEAGPIDHPQIPDASGILPNSEQVYYGDTGVSKNPIELIEGWFANWKETVMSIVGLVLLITIVFTVL -KCIGTCRSLRRKRKIEKDIELQEIGPYQPTTYRPR - ->YP_009094276.1 glycoprotein [Yug Bogdanovac vesiculovirus] -MISSTLILVIISAHAFCDMIIPFPDVTTTSWKPVLRGEHHCPASNDLDMAGGLSTLKMNVKIPSGVVGSK -SDGYLCHGAKWVTTCDYRWYGAKYITHSLHPLRPSTSQCFDAIKAYREGTLLSPGFPPESCGWNSVTDSE -LLSIQITPHHSGVDDYRGVWIDSMFPKGECDQRICDTVQEHSIWIAANNVSSACSIAFKQLEGYFYYRNS -GIQPNKDGTFFHSSHHPNSPMSSCCRIKYCNQEGLRLHTGEWIGVAWNTKIRDVTLDSYTDTCPGGTEVK -STIGSSPTRVVAWEMERIMDFALCQNVWDKVNRGEQLSPLDLSYLSSRAPGKGLAYTIINETLHVAHVRY -IRTWIKGPVLKEIKGRRGSSSAAEDTLWIQWFPFGDNQIGPNGLLKSNGTFKFPFYLVGVGALDEDLIEM -ANADPVDHLQRVDAETHMRGDEELFFGDTGVSKNPIESVEGWFSNWISGLFNISIIVLCVLSVLIVFKSV -ITLIRVVRRRRRPRAEEDVELNNMNPRPQTRQPVGAPNIIPGAWGIQPSHGRGVRQSQFVKRSALNIVT - ->YP_009094266.1 glycoprotein [Grass carp virus] -MSIYYATLVVALASNCLSIPIFVPQGLNISWQPVVRPFDYRCPIHGNLPDTFGLKSTKLTFRSPSVFSTE -MVTGWICHAAEWKTTCDYRWYGPQYITHSIHPIRPTIEECKRSIQQLESGTSEDLGFPPQSCGWASVTTV -SNQNYKVVTHPVHLEPYHGRWIDHEFIGGECNAPVCEMKGNHSIWLTDHTLQEECNQHIEELTGVMYGNV -LRGDNLYVNNFMIDQHHRVYKFEGACRMRFCGKDGIKFLRGDWIEKQGEIVKLYENIPNCADGTLVSGHR -PGVDLIDTVFNLENILEFTLCEGTKGKINRQETLTSVDLSYLAPRIGGFGSIFRVRNGTLEKGSTVYMRI -DVDGPVIPELEGFDPRLNRSRVFWDDWELDGAIYQGFNGIYKGQDQMIHIPLNMIESGLVDEELQRSFQA -DTIPHPHFSDEGVSDEGIFFDNTGENGNPVDAVVEWVSGWGTSLKFLGMTLVALILLFLFIRIIIAVIYC -LKRPKKIQAESHEMRSFV - ->YP_009094205.1 glycoprotein [Tench rhabdovirus] -MSIYYALFVVSLAAGCWSIPVFVPQDQTIAWQPVVRPFNYQCPIHGNLPDTFGLKSTKLTFRSPSVFSPG -TVSGWICHAAEWKTTCDYRWYGPQYITHSIHSIRPTIEECKRSIQQLESGTNEDLGFPPQSCGWASVTTV -SNKNYKVVVHPVHLEPYQGKWVDHEFVGGECNAPVCEMRGNHSIWLTDHVLKEECNQHIEATTGIMYGNV -LRGDNLYVNNFIIDEHHRVYKFNGACRMKFCGVDGIKFLRGDWVEKQGELARLHENVPDCSEGTLISGHK -PGVDLVDTVFNLENILEFTLCEGTKAKVNRQEALTSVDLSYLAPRVGGLGSIFRVRNGTLERGSTIYMKI -DVESPIVPELEGFDPRTNQSRVFWDDWELDGTTYQGFNGIYKGQDQMIHIPLNMIESGLVDEELQRSFQA -DTIPHPHFSDDSISDDGIFFDNTGESGNPVDAVVEWVSGWGTSLKFFGMTLIALVLLFIVVRVVIAIIYC -LKKPKKVSVESHEMRSFV - ->YP_009094177.1 glycoprotein [Malpais Spring vesiculovirus] -MESLLKAICVLLLIHCSRCDLPIVFPDQKELLWNPVLKTNRYCPQTREIAPLDKPKTLKITTGVPVRSPK -EKIEGYLCHSGKWVTTCDYRWYGAKYVTHSIHHLKPTDQMCRDAISQYNGGTLLNPGFPPEVCGYASVTD -SELIITLITPHTVGVDDYRGLWIDPSFPNGECNSIVCETIHNSTKWVSKGEMPTDICQQTFTTIKMDVSY -PSDTTSQGSLLSFHSPYHPHISGKDICKMSYCGSNGLRLPNGEWFSIINTSKIGNKNLIDFFSPCKAGVE -VRSTLQSEGSQTIAWETQRMLDYALCQNTWDKFERGEPLSPLDLNYLAPRVPGKGMAYTIINNTLHSSHA -VYRRVWIEGPIIGEMKGKIESATGVAKEIWAQWFEFGQNKIGPNGVIKTNDGIKFPLYAIGTGLIDQDIH -ELSEVSPMDHPHLVHAKKYVSEDDEIYFGDTGVSHNPVEIFSGWFTNWKEGLMKFSILVLSILIFYVVIR -LVMCIPLKCKKERKPRLEFELQPREWEYSRA - ->YP_009094124.1 glycoprotein [Pike fry sprivivirus] -MSIYYALFMVSLMSGCESIPIFVPQNQDISWQPVIRPFNYQCPIHGNLPDTFGLKSTKLTFWSPSVFSPG -TVSGWICHAAEWKTTCDYRWYGPQYITHSIHSIRPTIEECKRSIKQLESGTDEDLGFPPQSCGWASVTTV -SNKNYKVVVHPVHLEPYQGKWVDHEFLGGECNAPVCEMRGNHSIWLTDHILKEECDQHIEETTGTMYGNA -RRGDNLYVNNFIIDDHHRVYKFQDACRMKFCGMDGIKFLRGDWIEKKGELARLHENVPDCADGTLISGHK -PGADLVDTVFNLENLLEFTLCESTKAKINRREEITSVDLSYLAPRVGGLGSIFRVRNGTLERGSTIYMRI -EVEGPIVPRLEGFDPRTNQSRVFWDDWDLDGEVYQGFNGIYKGQDQMIHIPLNMIESGLVDEELQRSFQA -DTIPHPHFSDDGVSDEDIFFDNTGENGNPVDAVVEWVSGWGTSLKFFGMTLIALVFLFIIIRVVIAVIYC -LKKPKKTTVESREMRSLV - ->YP_009094100.1 glycoprotein [Vesicular stomatitis Alagoas virus] -MTPAFILCMLLAGSSWAKFTIVFPQSQKGDWKDVPPNYRYCPSSADQNWHGDLLGVNIRAKMPKVHKAIK -ADGWMCHAAKWVTTCDYRWYGPQYITHSIHSFIPTKAQCEESIKQTKEGVWINPGFPPKNCGYASVSDAE -SIIVQATAHSVMIDEYSGDWLDSQFPTGRCTGSTCETIHNSTLWYADYQVTGLCDSALVSTEVTFYSEDG -LMTSIGRQNTGYRSNYFPYEKGAAACRMKYCTHEGIRLPSGVWFEMVDKELLESVQMPECPAGLTISAPT -QTSVDVSLILDVERMLDYSLCQETWSKVHSGLPISPVDLGYIAPKNPGAGPAFTIVNGTLKYFDTRYLRI -DIEGPVLKKMTGKVSGTPTKRELWTEWFPYDDVEIGPNGVLKTPEGYKFPLYMIGHGLLDSDLQKTSQAE -VFHHPQIAEAVQKLPDDETLFFGDTGISKNPVEVIEGWFSNWRSSVMAIVFAILLLVITVLMVRLCVAFR -HFCCQKRHKIYNDLEMNQLRR - ->YP_009091829.1 glycoprotein [Maraba virus] -MLRLFLFCFLALGAHSKFTIVFPHHQKGNWKNVPSTYHYCPSSSDQNWHNDLTGVSLHVKIPKSHKAIQA -DGWMCHAAKWVTTCDFRWYGPKYITHSIHSMSPTLEQCKTSIEQTKQGVWINPGFPPQSCGYATVTDAEV -VVVQATPHHVLVDEYTGEWIDSQLVGGKCSKEVCQTVHNSTVWHADYKITGLCESNLASVDITFFSEDGQ -KTSLGKPNTGFRSNHFAYESGEKACRMQYCTQWGIRLPSGVWFELVDKDLFQAAKLPECPRGSSISAPSQ -TSVDVSLIQDVERILDYSLCQETWSKIRAKLPVSPVDLSYLAPKNPGSGPAFTIINGTLKYFETRYIRVD -ISNPIIPHMVGTMSGTTTERELWNDWYPYEDVEIGPNGVLKTPTGFKFPLYMIGHGMLDSDLHKSSQAQV -FEHPHAKDAASQLPDDETLFFGDTGLSKNPVELVEGWFSSWKSTLASFFLIIGLGVALIFIIRIIVAIRY -KYKGRKTQKIYNDVEMSRLGNK - ->YP_009047084.1 glycoprotein [Vesicular stomatitis New Jersey virus] -MLSYLIFALVVSPILGKIEIVFPQHTTGDWKRVPHEYNYCPTSADKNSHGTQTGIPVELTMPKGLTTHQV -DGFMCHSALWMTTCDFRWYGPKYITHSIHNEEPTDYQCLEAIKAYKDGVSFNPGFPPQSCGYGTVTDAEA -HIVTVTPHSVKVDEYTGEWIDPHFIGGRCKGQICETVHNSTKWFTSSDGESVCSQLFTLVGGTFFSDSEE -ITSMGLPETGIRSNYFPYVSTEGICKMPFCRKPGYKLKNDLWFQITDPDLDKTVRDLPHIKDCDLSSSIV -TPGEHATDISLISDVERILDYALCQNTWSKIEAGEPITPVDLSYLGPKNPGAGPVFTIINGSLHYFMSKY -LRVELESPVIPRMEGKVAGTRIVRQLWDQWFPFGEVEIGPNGVLKTKQGYKFPLHIIGTGEVDNDIKMER -IVKHWEHPHIEAAQTFLKKDDTEEVLYYGDTGVSKNPVELVEGWFSGWRSSIMGVLAVIIGFVILIFLIR -LIGVLSSLFRQKRRPIYKSDVEMAHFR - ->YP_008767242.1 glycoprotein G [American bat vesiculovirus TFFN-2013] -MVRIICWLGLVLSVQAAKVILPVKLESDWVPVYSGERICQSHREKIPPGIYESLKVEGQVPVRQQSQGAD -GYYCHKTIYSVLCDFKWYGVKRVRHSVKRDTPSYSECLKAVDDEISGMSEYVGFPPPSCNYLVETRSQNI -EIILSKHSVKIDDYKQSWMDSTFLDGGCSHAPCLTTVPGTLWIPTDNLTSACDITFRKQEFTIYYPKQKP -AHLSSDQIFITSPYHPVSSLSKSCLITLCGKTGIRLPGGSWSSLDNHKSFHDIKIETLLSNCKSSTEIYS -SPPDLRNIRMVWDLERVIENSLCQGTWDKIETRQKITPLDLNYLSPSEPGPGWGFIPKNGSIHKAQILYI -RADVDDDTIALGQKYNKGKDEFYFNWNDWELLNGIKIGPNGIITNXTHVRIPYYSVGIGKLDEDMITPDE -VGVIHHIDHLKQRVLVQTNLDRVWIHEGENGDLITSVSHWWHDVIKHSWEIFALIGGLFSLSCICSLCSC -RKKRRESRHQETMSFV - ->YP_008686606.1 glycoprotein [Eel virus European X] -MDTLIKILLIIVILKSLHAHIEFVPHDLSKWRDISIEHLDCPIYGDLSNQATETTPVKYSSVQWGLKNNI -DGYLCISAKWSVTCDYRWYGSKYISTSIEYVPTKESECRDAIKSSKNGELVSPHFMPENCGWNNVLTESV -TYTTVSSHEVKLDPYQMTFVDSLFPGGKCSSSVCSTIYHQGVWINPSNNLGFCKDPVDHQGQLYMAGLVG -ARGEIVKEVWNLRSVFKPEIGRSKHLTGSCWMTYCDQRGLRFSDGEWAGFQIPEISALKQVLLGLPECKD -DVLVHAHDTNTELREILEHMDESALNAICQQEVRRAKERGVVSDWLLSMMTPFTEGLGPVYRLNKGKLEA -SMGYYRKVYIDSSNAPQAFGQTEDKESVGWSDLVPKDADGAILSMYNGNVVINNQIKWAKNALGSHILDE -ISALEFETPVVHHPHLTILSVNHSDLVSSTHPNGQGVNLIESVSHWAGGLWASIGSGLMILVLVALVGFC -TIKVCLAYVPSIWAQKNRNGKRRGTTSQRSTEQEMFELSAV - ->YP_007641385.1 glycoprotein [Isfahan virus] -MTSVLFMVGVLLGAFGSTHCSIQIVFPSETKLVWKPVLKGTRYCPQSAELNLEPDLKTMAFDSKVPIGIT -PSNSDGYLCHAAKWVTTCDFRWYGPKYITHSVHSLRPTVSDCKAAVEAYNAGTLMYPGFPPESCGYASIT -DSEFYVMLVTPHPVGVDDYRGHWVDPLFPTSECNSNFCETVHNATMWIPKDLKTHDVCSQDFQTIRVSVM -YPQTKPTKGADLTLKSKFHAHMKGDRVCKMKFCNKNGLRLGNGEWIEVGDEVMLDNSKLLSLFPDCLVGS -VVKSTLLSEGVQTALWETDRLLDYSLCQNTWEKIDRKEPLSAVDLSYLAPRSPGKGMAYIVANGSLMSAP -ARYIRVWIDSPILKEIKGKKESASGIDTVLWEQWLPFNGMELGPNGLIKTKSGYKFPLYLLGMGIVDQDL -QELSSVNPVDHPHVPIAQAFVSEGEEVFFGDTGVSKNPIELISGWFSDWKETAAALGFAAISVILIIGLM -RLLPLLCRRRKQKKVIYKDVELNSFDPRQAFHR - ->YP_007641380.1 glycoprotein [Chandipura virus] -MTSSVTISVILLISFIAPSYSSLSIAFPENTKLDWKPVTKNTRYCPMGGEWFLEPGLQEESFLSSTPIGA -TPSKSDGFLCHAAKWVTTCDFRWYGPKYITHSIHNIKPTRSDCDTALASYKSGTLVSPGFPPESCGYASV -TDSEFLVIMITPHHVGVDDYRGHWVDPLFVGGECDQSYCDTIHNSSVWIPADQTKKNICGQSFTPLTVTV -AYDKTKEIAAGAIVFKSKYHSHMEGARTCRLSYCGRNGIKFPNGEWVSLDVKTKIQEKPLLPLFKECPAG -TEVRSTLQSDGAQVLTSEIQRILDYSLCQNTWDKVERKEPLSPLDLSYLASKSPGKGLAYTVINGTLSFA -HTRYVRMWIDGPVLKEMKGKRESPSGISSDIWTQWFKYGDMEIGPNGLLKTAGGYKFPWHLIGMGIVDNE -LHELSEANPLDHPQLPHAQSIADDSEEIFFGDTGVSKNPVELVTGWFTSWKESLAAGVVLILVVVLIYGV -LRCFPVLCTTCRKPKWKKGVERSDSFEMRIFKPNNMRARV - ->YP_802941.1 G protein [Siniperca chuatsi rhabdovirus] -MKLIIAPTLISQAIGYPLFVPIRLQGWHDVKLDTLMCPSYASELNKEAAWPQIGLRHLAATDHYEVKGTI -CHKTTWVKTCDFRWYGPRYITTKISYAPVTGLECQQAIVKASKDELETPYMPEDNCNWATISDNEKTFIT -VQKSNIFMDPYNMVYVSTVLKGGRCASTVCPLEMHGGIWIPSEAPRESCKLGSSITSHINPNNASRLVTE -ASYLVTEYRRQLPFLGACRMSMCGEVGMRFKSGEWYKIESSDGRVLSFIASVPMCDGELTVSIHDSSATY -HKLSQEILDLSAQIACISELRRAREKKAVSNYLLSFLTPNHGGFGTAYRVLNGQLQASKATYVRVKLGAL -STATNWGQLDDGSAYSSEDVTGEIVNGPLFNGNRMDNGTLRVVQNAILGQTLEDEDLYEHSAKEILHPHL -TILSSNESDVLSAFRPVGAQGDIIHAVGEWVGTGVGGFIHTIVYLVILCGIIFLLYRCLPYFLKKRKSQS -TSQMTPQMIPLQQYQFVP - ->NP_116747.1 glycoprotein [Carp sprivivirus] -MSIISYIAFLLLIDSNLGIPIFVPSGRNISWQPVIQPFDYQCPIHGNLPNTMGLSATKLTIKSPSVFSTD -KVSGWICHAAEWKTTCDYRWYGPQYITHSIHPISPTIDECRRIIQRIASGTDEDLGFPPQSCGWASVTTV -SNTNYRVVPHSVHLEPYGGHWIDHEFNGGECREKVCEMKGNHSIWITEETVQHECAKHIEEVEGIMYGNV -PRGDVMYANNFIIDRHHRVYRFGGSCQMKFCNKDGIKFARGDWVEKTAGTLTTIHDNVPKCVDGTLVSGH -RPGLDLIDTVFNLENVVEYTLCEGTKRKINKQEKLTSVDLSYLAPRIGGFGSVFRVRNGTLERGSTTYIK -IEVEGPIVDSLNGTDPRTNASRVFWDDWELDGNIYQGFNGVYKGKDGKIHIPLNMIESGIIDDELQHAFQ -ADIIPHPHYDDDEIREDDIFFDNTGENGNPVDAVVEWVSGWGTSLKFFGMTLVALILIFLLIRCCVACTY -LMKRSKLPATESHEMRSLV - ->NP_041715.1 glycoprotein (G protein) precursor [Vesicular stomatitis Indiana virus] -MKCLLYLAFLFIGVNCKFTIVFPHNQKGNWKNVPSNYHYCPSSSDLNWHNDLIGTAIQVKMPKSHKAIQA -DGWMCHASKWVTTCDFRWYGPKYITQSIRSFTPSVEQCKESIEQTKQGTWLNPGFPPQSCGYATVTDAEA -VIVQVTPHHVLVDEYTGEWVDSQFINGKCSNYICPTVHNSTTWHSDYKVKGLCDSNLISMDITFFSEDGE -LSSLGKEGTGFRSNYFAYETGGKACKMQYCKHWGVRLPSGVWFEMADKDLFAAARFPECPEGSSISAPSQ -TSVDVSLIQDVERILDYSLCQETWSKIRAGLPISPVDLSYLAPKNPGTGPAFTIINGTLKYFETRYIRVD -IAAPILSRMVGMISGTTTERELWDDWAPYEDVEIGPNGVLRTSSGYKFPLYMIGHGMLDSDLHLSSKAQV -FEHPHIQDAASQLPDDESLFFGDTGLSKNPIELVEGWFSSWKSSIASFFFIIGLIIGLFLVLRVGIHLCI -KLKHTKKRQIYTDIEMNRLGK - ->sp|P04884.1|GLYCO_VSIVO RecName: Full=Glycoprotein; Flags: Precursor -MKCLLYLAFLFIGVNCKFTIVFPHNQKGNWKNVPSNYHYCPSSSDLNWHNDLIGTALQVKMPKSHKAIQA -DGWMCHASKWVTTCDFRWYGPKYITHSIRSFTPSVEQCKESIEQTKQGTWLNPGFPPQSCGYATVTDAEA -AIVQVTPHHVLVDEYTGEWVDSQFINGKCSNDICPTVHNSTTWHSDYKVKGLCDSNLISTDITFFSEDGE -LSSLGKEGTGFRSNYFAYETGDKACKMQYCKHWGVRLPSGVWFEMADKDLFAAARFPECPEGSSISAPSQ -TSVDVSLIQDVERILDYSLCQETWSKIRAGLPISPVDLSYLAPKNPGTGPVFTIINGTLKYFETRYIRVD -IAAPILSRMVGMISGTTTERELWDDWAPYEDVEIGPNGVLRTSLGYKFPLYMIGHGMLDSDLHLSSKAQV -FEHPHIQDAASQLPDDETLFFGDTGLSKNPIEFVEGWFSSWKSSIASFFFIIGLIIGLFLVLRVGIYLCI -KLKHTKKRQIYTDIEMNRLGK - ->sp|P04882.1|GLYCO_VSNJO RecName: Full=Glycoprotein; Flags: Precursor -MLSYLIFALAVSPILGKIEIVFPQHTTGDWKRVPHEYNYCPTSADKNSHGTQTGIPVELTMPKGLTTHQV -EGFMCHSALWMTTCDFRWYGPKYITHSIHNEEPTDYQCLEAIKSYKDGVSFNPGFPPQSCGYGTVTDAEA -HIVTVTPHSVKVDEYTGEWIDPHFIGGRCKGQICETVHNSTKWFTSSDGESVCSQLFTLVGGIFFSDSEE -ITSMGLPETGIRSNYFPYISTEGICKMPFCRKQGYKLKNDLWFQIMDPDLDKTVRDLPHIKDCDLSSSII -TPGEHATDISLISDVERILDYALCQNTWSKIESGEPITPVDLSYLGPKNPGVGPVFTIINGSLHYFTSKY -LRVELESPVIPRMEGKVAGTRIVRQLWDQWFPFGEVEIGPNGVLKTKQGYKFPLHIIGTGEVDSDIKMER -VVKHWEHPHIEAAQTFLKKDDTGEVLYYGDTGVSKNPVELVEGWFSGWRSSLMGVLAVIIGFVILMFLIK -LIGVLSSLFRPKRRPIYKSDVEMAHFR - ->sp|P04883.1|GLYCO_VSIVG RecName: Full=Glycoprotein; Flags: Precursor -MKCFLYLAFLFIGVNCKFTIVFPHNQKGNWKNVPSNYHYCPSSSDLNWHNDLIGTGLQVKMPKSHKAIQA -DGWMCHASKWVTTCDFRWYGPKYITHSIRSFTPSVEQCKESIEQTKQGTWLNPGFPPQSCGYATVTDAEA -VIVQVTPHHVLVDEYTGEWVDSQFINGKCSNDICPTVHNSTTWHSDYKVKGLCDSNLISTDITFFSEDRE -LSSLGKEGTGFRSNYFAYETGDKACKMQYCKHWGVRLPSGVWFEMADKDLFAAARFPECPEGSSISAPSQ -TSVDVSLIQDVERILDYSLCQETWSKIRAGLPISPVDLSYLAPKNPGTGPAFTIINGTLKYFETRYIRVD -IAAPILSRMVGMISGTTTERELWDDWAPYEDVEIGPNGVLRTSSGYKFPLYMIGHGMLDSGLHLSSKAQV -FEHPHIQDAASQLPDDEILFFGDTGLSKNPIDFVEGWFSSWKSSIASFFFIIGLIIGLFLVLRVGIYLYI -KLKHTKKRQIYTDIEMNRLGR - ->sp|P13180.2|GLYCO_CHAV RecName: Full=Glycoprotein; Flags: Precursor -MTSSVTISVVLLISFITPLYSYLSIAFPENTKLDWKPVTKNTRYCPMGGEWFLEPGLQEESFLSSTPIGA -TPSKSDGFLCHAAKWVTTCDFRWYGPKYITHSIHNIKPTRSDCDTALASYKSGTLVSLGFPPESCGYASV -TDSEFLVIMITPHHVGVDDYRGHWVDPLFVGGECDQSYCDTIHNSSVWIPADQTKKNICGQSFTPLTVTV -AYDKTKEIAAGGIVFKSKYHSHMEGARTCRLSYCGRNGIKFPNGEWVSLDVKTRIQEKHLLPLFKECPAG -TEVRSTLQSDGAQVLTSEIQRILDYSLCQNTWDKVERKEPLSPLDLSYLASKSPGKGLAYTVINGTLSFA -HTRYVRMWIDGPVLKEPKGKRESPSGISSDIWTQWFKYGDMEIGPNGLLKTAGGYKFPWHLIGMGIVDNE -LHELSEANPLDHPQLPHAQSIADDSEEIFFGDTGVSKNPVELVTGWFTSWKESLAAGVVLILVVVLIYGV -LRCFPVLCTTCRKPKWKKGVERSDSFEMRIFKPNNMRARV - ->sp|Q8B0H6.1|GLYCO_VSIVS RecName: Full=Glycoprotein; Flags: Precursor -MKCLLCLAFLFIGVNCKFTIVFPHNQKGNWKNVPSNYHYCPSSSDLNWHNDLIGTALQVKMPKSHKAIQA -DGWMCHASKWITTCDFRWYGPKYITHSIQSFTPSVEQCKESIEQTKQGTWLNPGFPPQSCGYATVTDAEA -VIVQVTPHHVLVDEYTGEWVDSQFINGKCSNDICLTVHNSTTWHSDYKVKGLCDSNLISMDITFFSEDGE -LSSLGKAGTGFRSNYFAYETGDKACKMQYCKHWGVRLPSGVWFEMADKDLFAAAKFPECPEGSSISAPSQ -TSVDVSLIQDVERILDYSLCQETWSKIRAGLPISPVDLSYLAPKNPGTGPAFTIINGTLKYFETRYIRVD -IAAPILSRMVGMISGTNTERELWEDWAPYEDVEIGPNGVLRTSSGYKFPLYMIGHGMLDSDLHLSSKVQV -FEHPHIQDAASQLPDDETLFFGDTGLSKNPIELVEGWFSGWKSSIASFFFIIGLIIGLFLVLRVGIYLCI -KLKHTRKRKIYADIEMNRLGK - ->sp|Q8B0H1.1|GLYCO_VSIVC RecName: Full=Glycoprotein; Flags: Precursor -MKCLLYLALLFIGVYCKFTTVFPHNKKGDWKNVPSNYHYCPSSSDLNWHNDLIGTALQVKMPKSHKAIQA -DGWMCHASKWVTTCDFRWYGPKYITHSIRSFTPSVEQCKESIEQTKQGTWLNPGFPPQSCGYATVTDAEA -VIVQVTPHHVLVDEYTGEWVDSQFINGKCSDDICPTVHNSTTWHSDYKVKGLCDSNLISMDITFFSEDGE -LSSLGKEGTGFRSNYFAYETGDKACKMQYCKHWGVRLPSGVWFEMADKNLFAAAKFPECPEGSSISAPSQ -TSVDVSLIQDVERILDYSLCQETWSKIRAGLPISPVDLSYLAPKNPGTGPAFTIINGTLKYFETRYIRVD -IAAPILSRMVGMISGTTTERELWEDWAPYEDVEIGPNGVLRTSSGYKFPLYMIGHGMLDSDLHLSSKAQV -FEHPHIPDATSQLPDDETLFFGDTGLSKDPIELVEGWFSGWKSSIASFFFIIGLIIGLFFVLRIGVYLCI -KLKHTNKRQIYTDIEMNRLGK - diff --git a/seq/clusters_seq/cluster_155 b/seq/clusters_seq/cluster_155 deleted file mode 100644 index 7518d81..0000000 --- a/seq/clusters_seq/cluster_155 +++ /dev/null @@ -1,297 +0,0 @@ ->YP_009551507.1 putative RNA-dependent RNA polymerase [Pythium nunn virus 1] -MTTKKTRAEVQEFLKTIAGKPGLRVVPDPNAYPYNVEETRMNTDPFVRKSMKLWSRETYEAQRGFTKRAT -LSNSLYTLQKYASPQRLKSQISHEFESCIESAIAYARHVFIPQDKLLRYSLAHGTDNMNLDSAAGFSYPG -MKKAEVVEEAYDVAAYLQHMTQRGKSVYIPPCKVALRGHLSSQEEQKSRPVWVYPVEVTILESKWAIPFY -EHLERNVETVHFGANAMPKLSQLLMGGLADHSEAAEITLDWSNFDSSIPNWLINQAFDIIWESFDNQYAY -HEGEAVYGGEVMERKNINLFRWIKEYFINTKIMLPSGDVVRKTHGIPSGSFFTQAVGSIVNYIMVKALDL -YFSWGARRIRILGDDSSFLIPLFSEKKCEAKAIQNAAWKAFGVTLKLPKLRISTTQKQRKFLGYQITGHR -LFRDDDEFLKLVLYPERDVETLEQSASRVLAYYMLGGVNDEVYCSFFWDYLGRYPAVIGRELRLTRGMKR -MFKFVLRQEVDVLRVPDFTKLDPTFVPYLMTLGDRPFSSN - ->YP_009665972.1 putative RNA dependent RNA polymerase [Aspergillus ochraceous virus] -MDDSHLDPTQLENAIEESLLLDDSTLTPSAKVRGASYNVIPPQFSSPGLAEIARYGGYGTYSGQSNTDPW -VRVALKNFDRNVYDDVYGFTRKPEGTPGMYKSLFKFAEGRSDFRSLNRVQRKAMQAAISKTKKRFKLPYK -SDPLDWHAIGQFLRRDTAAGATFMGCKKGEVMEDIYHEARWLAHRMKQDGSQRFNPKQMRFPPCLAGQRG -GMSEASDPKTRLVWIYPAEMLVIEGQYAPTMYHKFMADPNTPMLNGRSSTRLYTDWINDAKEGDKLYGLD -FSSFDSKVPSWLIRVAFNILRQNINFETWNGQPVSKRDRQKWRNVWDAMVYYFINTPILMPDGRMFRKYR -GVPSGSWWTQMVDSVVNDILVQYICLCQQVEPKDLRVLGDDSAFRSCADLDLGQAERDAKDVNMVLHPEK -CDVKTDPTKMKLLGTTYRNGHAHRDTDEWFKLVLYPESSVRSLEVSFSRLIGLWIGGAMFDSAFCRFMEY -YQTCFQCPEDGWFSKEQRRWLEVVYGNRAPRGWSAKKSLFWRSIFYAYA - ->NP_659027.1 putative replicase [Gremmeniella abietina RNA virus MS1] -MSEVDNTDPTLQDVAFVKSSGLDTTHLRSSEKTAGASYSVISSNFNSPGLTEIARYGGYSVYSGNQNTDP -WVRATLKNFSRETYEQIYGFTRQPEGVKGMYSSLLKFSDGKCKFDRLNRVQRKAMIGAIAKAKKAFRLPY -KSEPLDWHQVGAHFRRDTAAGVSFMGKKKGEVMEEIYHEARWLGHRLKQNGKARFDPRQMRFPPALAGQR -GGMSKRDAPKTRLVWVYPAEMLVVEGQYAPVMYRAFMDQPDTPMLTGASSQRLYTEWLVGRREGETLHGL -DFSSFDTKVPSWLIRVAFDILRQNIEWETWQGEKVSKRDRQKWRNVWDGMVWYFINTPILMPDGRMFRKR -RGVPSGSWWTQMVDSVVNYILVEYLTECQGVEARGLRVLGDDSAFRSPVEFSLEQAQSDCEPTGMILKPE -KCEKTEDPSDFKLLGTTYRGCHPHRDTNEWFKLALYPESRVGNLEVSLSRLVGLWIGGAMWDKEFCSYMD -YFQSSYPCPTEGWFSKDQRRWLSIVYGGKAPRGWGDKKSLFWRSIFYTF - ->YP_009508238.1 RNA-dependent RNA polymerase [Ophiostoma partitivirus 1] -MSDFTQDPTQHFVETEGSHLVDALHLGPQNTRSTTSQDILPDKHAGRYLKQIAKYGGYGTYQSTSNTDPW -VRETLKHFDRKQYEELRGFTRRPDGTQGMYRSLAKFDGEDQSFHLLSRSQQRVMRKSIRKAFTAFKLPYK -REPLDWHEVGPFLRRDTAAGSTFMGSKKGDVMEEIYHEARWLGHRMKQNGDRRFDPTQMRFPPCSAGQRG -GMSSIDDPKTRLVWIYPAEMLTVEGFYAPRMYHDFMNDSNSPMLNGKSSQRLYTEWVCGVREGEKLYGLD -FSSFDTKVPPWLIRVAFNVLRQNVAWDTFEGKPVSKRDRQKWRNVWDAMVWYFINTPILMPDGRMFRKYR -GVPSGSWWTQMIDSVVNYILVDYLAGCQEVEIRKLKVLGDDSAFVSGKDFSFSQATADCIPTGMVLKPEK -CDVTDNPSEFKLLGVKYRDGRVYRPTLEWSQLALYLESNVPDVGVGLSRLIGLWLGGAMWDKQFCAYMDY -YQSSYQCPEEGWFSKEQRRWLEIVHSGKSPRGWTTKRSLFWRSIFYAFG - ->YP_009259752.1 RNA-dependent RNA polymerase [Pseudogymnoascus destructans partitivirus-pa] -MEVSPFDPTPLDNVIEGSPLVDDSLLVPSSRTRGSSYDVIPEHFNSPGLTEIARYGGYPVYSGGSNTDAW -VRTSLKEFDRTMYENIYGYTRKPEGPQGMYKSLLKFSEDKSTFHSLNRVQRRAMIGAIKKARTAFKLPWK -REPLDWHEVGQFLRRDTAAGATFMGKKKGDVMEEIYHEARWLGHRMKQDGREKFNPKKMRFPPCLAGQRG -HMSERDTPKTRLVWVYPAEMLCVEGFYAPQMYRDFMNDRHTPMLNGKSSQRLYTEWCVGLREGEKLYGLD -FSSFDSKVPSWLIRVAFDILRQNIEWSTFRGEKVSKREAQKWRNVWDAMVYYFINTPMLMPDGRMFRKRR -GVPSGSWWTQMIDSVVNYILVDYLTQCQTCQIRGLRVLGDDSAFRSCHDFSLDQASADAAAVLMILNPDK -CEVTLDPTKFKLLGTTYEDGHPHRETIDWFKFALYPESSVSSIDVSLTRLVGLWLGGGMWDLHFCKFMDY -FQTCFPCPLEGWFSKDQRRWLEVIFSGKAPRGWTTKKSLFWHSIFYTYC - ->YP_009182336.1 62 kDa protein [Penicillium aurantiogriseum partitivirus 1] -MAFTQEPTQHYVLAKGSHLIDSLHLRPAKAGSATSEDVLPTGYNSPNLREIAKFGGYSTYSSASNTDPWV -RETLKLFSRERYEEIYGFTRRPEGTPGMYKSLAKFAGEKSHFRDLTVSQQKAMRRSIAKAKKAFKLPYKR -EPLDWHEVGQFLRRDTSAGSTFMGQKKGDVMEDIYHEARWLGHRMKQDGESSFNPTKMRFPPCLAGQRGG -MSERDDPKTRLVWIYPAEMLVIEGFYAPLMYRDFMNDPNSPMLNGKSAPRLYAEWCCGLREGETLYGLDF -SAFDTKVPTWLIYTAFDILRQNIEWSTFQGKPVSKQDAQKWRNVWDGMVWYFVNTPILMPDGRMFRKYRG -VPSGSWWTQMIDSVVNYILIDYLAECQEVEIRNLRVLGDDSAFRSTDQFSLEQAKVDCEPTHMLLKPEKC -EKTKDPCEFKLLGTTYRDGRVHRPTEEWFKLVIYPESSVHTLDISFTRLIGLWLGGAMWDKEFCRYMDFF -QSSYPCPEEGWFSKDQKRWLEVIYSGKAPRGWTTKRSLFWRSIFYAYG - ->YP_009164038.1 RNA-dependent RNA polymerase [Verticillium dahliae partitivirus 1] -MEDFTQDPTTHNIVAEGSHLIDALHLRPPKSRSATSEDVVSSNFRSPNLAEIAKYGGYSTYSSNSNTDPY -VRETLKLFSRDTYEDIRGFTRRPQGTPGMYTALKKFSGERNTFGDLSPSQQSSMRRAIGKAKKAFKLPYK -REPLDWHEVGQFLRRDTAAGATFMGQKKGDVMEAIYHEARWLGHRMKQDGRAGFDPTRMRFPPCLAGQRG -GMSEIDDPKTRLVWIYPAEMLVVEGFYAPLMYRDFMSDPNSPMLNGKSAQRLYTEWCCKLRDGETLYGID -FSSFDTKVPAWLIRVAFDILRQNINFETFGGKPVDKQDAQKWRNVWDAMVWYFINTPILMPDGRMFRKFR -GVPSGSWWTQMIDSVVNHILIDYLADCQRVEIRNLRVLGDDSAFCSGDQFDLELAKGDCEPTGMVIKPEK -CERTKDPGEFKLLGTTYRGGHVFRDTEEWFKLALYPESSVLTLDISFTRLIGLWLGGAMWDKRFCEYMDF -FQSSYPCPEEGWFSKDQKRWLEIIYSGKAPRGWTSKKSLFWRSIFYAYG - ->YP_008327312.1 RNA-dependent RNA polymerase [Ustilaginoidea virens partitivirus 2] -MNSFENFGSFKLSADELAATAVPPTPWNNVFRYITDAKRFPGYKRGILRQTQLYDPYVNAALKSFSPELH -DSIKGYTRAPGDEWDVYERLTRYDKSPLAPVDNPRFKACYDAALSDVMKEFKLRDPVVPHWILDVDLVKN -TSSGFPHFTRKGDILDQIRQEGRSHFHLLKRLPLWRVPLLPCTPATRGGLADITEPKTRLVWMYPAAMTA -VEAVFAQPLIDGLFSEKSEYLITGVDTKHRIQRYLSLLSEDTGRLGVGLDFKSFDTLRCNWLIRDAFDVL -KQNVYFSGYYDDTNGLQTFGPGKTERLEHAWSNIVEYFIHTPILLPNGRCVNKHTGVPSGSHFTNLIDSI -ICRILIKTFSLYCSIPISNLRTNGDDSAFHVYEDYASDIILRAAGFFKEFFGMTINTDKSCVAGSPSEMH -VSGTRWTGLRPTRSTQEWMMLAAYGETYSRIPFDSFQRLLGLGLSGGFGDSTFTRFFDYFQTGYDCRHGP -NLLNWKKLRFLQQIFSIEELPLVYKQGAKTTLRLRLLVT - ->YP_001686789.1 RNA-dependent RNA polymerase [Botryotinia fuckeliana partitivirus 1] -MEEFTQEPTQHYVLAKGSHLIDALHLRPDTGKGSTTSEDVLSSDYRSPNLAEIARYGGYSTYSSNSNTDP -YVRETLKLFSRDTYEDIRGFTRRPEGTPGMYKALEKFSGEKNSFNDLSATQKSSMRRAIGKAKKAFKLPY -KREPLDWHEVGQFLRRDTSAGSTFMGQKKGDVMEEIYHEARWLGHRMKQDGKGRFNPTKMRFPPCLAGQR -GGMSERDDPKTRLVWIYPAEMLTVEGFYAPLMYRDFMNDPNSPMLNGKSAQRLYTEWCCKLREGETLYGI -DFSSFDTKVPAWLIRIAFDILRQNIEFSTFQGKPVSKKDAQKWRNVWDGMVWYFINTPILMPDGRMFRKF -RGVPSGSWWTQMIDSVVNHILIDYLADCQDVEIRNLKVLGDDSAFRSSDEFQLETAKLDCKPTGMVIKPE -KCEKTADPADFKLLGTKYRSGHVHRDTDEWFKLALYPESSVFTLDVAFTRLIGLWLGGAMWDKRFCEYMD -FFQSSYPCPEEGWFSKDQKRWLEVIYSGKAPRGWTTKKSLFWRSIFYAYG - ->YP_052856.2 RNA-dependent RNA polymerase [Penicillium stoloniferum virus S] -MEDSPFDPTLLDVAVEESHLVDDSPLTPSNRTRGASYGIISEKFSSPGLREIARYGGYSVYSGQSNTDAW -IRTTLKEFDRSVYDDIYGYTRRPTGTLGMYGSLLKFSEGKNSFASLNRVQRKSMINAISKAKKAFKLPYQ -REPLDWHEVGRHFRRDTSAGVSFMGQKKGDVMEEIYHEARWLGHRMKQNGRAKFDPSKMRFPPCLAGQRG -GMSEASDPKTRLVWIYPAEMLAIEGFYAPEMYHAYMDDPLSPMLNGKSSQRLYTEWTCGLRDGEMLYGLD -FSGFDTKVPAWLIRVAFDILRQNIRWDSFRGEKVSKRDAQKWRNVWDGMVWYFINTPILMPDGRMFRKYR -GVPSGSWFTQMIDSVVNYILVDYLAACQQCEIRALRVLGDDSAFRSCDPFSLDLASHDAECVNMILHPEK -CEKTKDPTAFKLLGTTYRNGRPHRETNEWFKLALYPESVVPSLQVSFTRLIGLWIGGAMFDSRFCQFMEY -YQTCFPCPQEGWFSKDQRRWLQVVYGGKAPRGWTTKRSLFWRSIFYVYG - ->YP_271922.1 putative dsRNA-dependent RNA polymerase [Penicillium stoloniferum virus F] -METTTPDLPFDLHTREAYDYATFHRTLLHKPGLSRIKEDRWVYKYNVEQTRMNTDPFVRKSMKLWDEHAY -HDMYGFTKKARLSNGLDAFQGFAKPQKQRSSMSPEMASCYEKALEEARHVFTPHERLTRLSVPNVCDSTN -LDSAAGFSFPGKKKSEVVEEAFDVASYIAHFVASDRKVFIPPAKLALRGHLSEIDELKTRAVWVFPFEIS -ILEGKWALPYYKFLEQNVPEVHFGEGAMQRLAKTLMTDVASHSECTEVTLDWSGFDTSVSNWLIDDAFDI -MFDSFDETQVEHDGNFVLGGDHMAKKNEKVKKFLKTYFKKTKIMLPDGSLYKKFHGIPSGSFFTQIIGSI -VNYLAVKTLDNYFSWNARRFRVLGDDSSFLIPFGRSKVDGVEISEKAWETFGFTLKLKKLRIANKQQDRK -FLGYQCNAFRYERSTTEWLSMVLYPERDVEFLEQSASRVFAFYLLGGCNDVTYCEFFHDYLGRYPYIYGK -ELPLTRGLKRLFKFVFRLTIDKLAFPDLSRFDPLKVPFSLSLGDKPFW - ->NP_624350.1 RNA-dependent RNA polymerase [Fusarium solani virus 1] -MVELFVMVDPTTKRRRIQSTLGPFLSVPGLQEIARYGGYATYRAVRNTDPWIRQSLKLFDPDLYGNIYGF -TRRPAGPEGMYKSLMKFGESMPRFTDMSTVQRSAMKTAITAARKRFKTPVKFEPLEWSEVGQHMRRDTSA -GVSFPGKKKGDVMERIYAEGRWLGHRMKQGGKGRFDPRKVRMPPCLATQRGHLSPRDDPKTRLAWIYPSE -MLMVEGLYAPTMYKAFEAMPDSPLLLGKGSHRLFSEWVSAATPGMRLYGLDFSSFDTKVPAWLIHTAFDI -LHDNIDWLHWRGKPTTKRSRQKWKNVWDGMVYYFINTPILMPDGRMFRKRRGVPSGSWWTQLVDSVVNWI -LVKYLSLCQGVNAKNLRVLGDDSAFMAAETMDLSVAAEDAAAVGMDLSDEKSISVEDATELKLLGVRYRD -GHAFRETEEWFKLALYPEGDVPDIATSLTRLVGLWIGGAMWDTKFSRFMEYFQGCYPCPSEGWFSKDQRR -WMEIVHGGRAPRGWTKNKNLFWRSIFYTL - ->NP_620301.1 RNA-dependent RNA polymerase [Discula destructiva virus 2] -MEGFTQEPTNTTVLAEELHSVDTLHLRPGKTRSTTSEDVLPNNYEDPCLREIAKYGGYSTYSSNSNTDPW -IRETLKLHDRQIYEDIWGKTRRPEGTPGMYKALGRFGGERCGFDDLSSQQKSSMRRAIAKAKKAFKLPYK -REPLDWHEVGQFLRRDTSAGSTFMGSKKGDVMEEIYSEARWLGHRMKQDGRSRFDPTKMRFPPCLAGQRG -GMSDRDDPKTRLIWIYPAEMLCVEGFYAPLMYRDYMSDPNSPMLNGKSSQRLYTEWCCNLREGETLYGID -FSAFDSKVPAWLIRTAFDIVKQNINFETFEGKPVNKVDAQKWKNVWDAMVWYFINTPILMPDGRMFRKYR -GVPSGSWWTQIIDSVVNNILIDYLADCQSVKIPKPEVLGDDSAFRSNDQFDLEVAKDDCVPTGMVIRPEK -CEKTEDPAEFKLLGTKYRSGRVHRSTDEWFSLALYPESSVLSLDVSFTRLVGLWLGGAMWDKQFCEFMDY -YQTSYPVPEEGWFSKDQKRWLEIIYSGKAPRGWTTKKSLFWRSIFYAYG - ->NP_116716.1 RNA-dependent RNA polymerase [Discula destructiva virus 1] -MEEFTQDPTLHNVQAEESHSIDTLHLRDAKRGSSTSEDVLHKGYADPCLREVAKYGGYSTYSSNSNTDPW -IRETLKIHDRETYEDIWGKTRRPEGTPGMYKALGRFGGEKCDFDNLSDPQKSSMRRAIAKAKKAFKLPYK -REPLDWHEVGGFLRRDTSAGSTFMGTKKGDVMEEIYHEARWLGHVMKQDGRKGFDPTKMRFPPCLAGQRG -GMSDRTDPKTRLVWIYPAEMLVVEGFYAPLMYHDYMNDPKSPMLNGKSAQRLYTEWCCGLRDGETLYGID -FSAFDSKVPAWLIRVAFDIVKQNINFETFEGKPVDKHDAQKWSNVWEAMVWYFINTPILMPDGRMFRKYR -GVPSGSWWTQIIDSVVNNILIDYLADCQQLEIRNLKVLGDDSAFRSTDQFDLEVAKDDCVPTGMVIKPEK -CERTEDPNDFKLLGTKYRDGRVYRSTDEWFTLALYPESSVLTLDVSFTRLVGLWLGGAMWDKQFCAFMDY -YQTSYPVPEEGWFSKDQKRWLEVVYSGKAPRGWTTKRSLFWRSIFYAFG - ->APG78352.1 RdRp [Wenzhou partiti-like virus 1] -MNNSLFKQSKTLREGAARAKGQYVVHTSAPDSLRLLKQKRDDLMGTRPSLDLDRLKRAQRDTFNLIGNKT -SALSLEDVPAQMQDLTKSAGTTLTGKWRCKGDVEWKEVYFCEFLLAVGDIGNLPTVRAVPKPEVCLKERL -KNREVYPVGTGVVINAARFAIPMYHLLKQTPWPKTFGSGFSFYKGDSLRLRSRFKNPLSLDWGGFDWSVT -KEEIICAYEIILWSFSGLSDHDIAVLNGIMTYHLNAVVVGRDGYTCSDIGVISGMAETHVIGTIIAAMRT -HYISETADSISSGDDTIVEWESLGFETVQDLVTWTHSNTPWTIKEGACNFGIEWLGLKLVGGRWEPADSE -KRFAKLFLPVKPDRTPSDFYQRLQSHLFCAGTGEHAKQLTAWLTESKVEELHPSWYRTMSWAFSDDAVPT -RLTRWRALDEQLKLYM ->ALF46547.1 RNA-dependent RNA polymerase [Colletotrichum truncatum partitivirus 1] -MEDFTQDPTQHYVLAKGSHLIDALHLRPAKPGSTTSEDVLSSDFESPNLREIAKYGGYSTYSSNSNTDPW -IRETLKIHDRETYEQIWGYTRRPQGTPGMYTALGKFAGEKNVFGDLSSSQQSSMRRAIGKAKKAFKLPYK -REPLDWHEVGQFLRRDTSAGSTFMGQKKGDVMEEIYHEARWLGHRMKQDGKRSFDPTRMRFPPCLAGQRG -GMSERDDPKTRLVWIYPAEMLVVEGFYAPLMYRDFMNDRNSPMLNGKSAQRLYTEWCCNLREGETLYGID -FSAFDTKVPAWLIRAAFSILRQNVNFETFQGKPVEKEEAQKWRNVWDAMVWYFINTPILMPDGRMFRKFR -GVPSGSWWTQMIDSVVNYILIEYLADCQKVEIRNLRVLGDDSAFRSGDQFSLESAKIDCIPTGMIIKPEK -CERTKDPSDFKLLGTKYHDLHPFRDTEEWFKLALYPESSVHTLDISFTRLIGLWLGGAMWDRKFCEFMDF -FQTSYPCPEEGWFSKDQKRWLEVIYSGKAPRGWTTKKSLFWRSIFYTYG ->APG78297.1 RdRp [Hubei partiti-like virus 53] -MSGPKGLLDIGFIDKGKFNRPGVSEYSKRFSTPVTTPYVDGLTSAILRSQGYHVDGVIDPANLYDPEQLY -DGLARYAKAQPGRKDEFQFLEAYNFVYSIFAKPKDEDYLKVLDDIDMLDALKMDKSAGIGLLGSKGANIV -IGLRREQMVKKGQKAPNPCQAFARTQRGGKTRLVWGYPLEMTMMEARFARPLIDVFKKGTTPMTIGVPKY -AVAAKISCNIKEKGNVYSLDFSKFDSSISEDLIRCAFSILKTWFSPEDRLEGAWGKIVNYFIYTPIVMPN -GKLYHGKAKGVPSGSFFTQLVDSIVNLLAIKYATNVQNVDLAAGDILVLGDDSIFGTRKNLSMDKLKDDL -AELGLTVNLEKSGINTYHYLGSYWRFGTPHNTRDEIAKRILCPERYRYHGRKSLSDKLDLAVELLENMTA -NWVEAETFVWRQHSAWAALAEAKHDSPEEALCGWEKARFSQGLSVRKSLPWLRILL ->AIE47694.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea virus 1] -MRSSKTSEPFTAFQFDYPGKSDVVDRTESNPWSKPFRHLTHVGWTAHKRGVLQNIQRYDPFVNEALRHFD -NDLRKSLKGFTQTPGDEKKLRASLDKYDVPTRTFENVFHNNDKLKQCYEHALSECMSVFALDQKVVPKFP -TAVDLVMDSSSGYPHFTKKSEIKKMILHEGRTWLHQAKSKEFRRLPLLPCSVGVRGAPSPEDDPKTRLVW -MYPAAVTVAEGVYAQPLIKALYEQKADLFLVGTESRFRLSKYLSLISEEKGRYGVGLDFSSYDTFPVQDL -IRDAFAIMKQNLAFGTYWDPENGNVTAGRDDLRNFERVRARAEKGYDNIMEYFIHAPMILPNGRIVRKHH -GVPSGSHFTNLVDSIVNRLLQKTFGLYTGRSIRDLRTNGDDSAFTVTDTYEPNILKDAAEFFKLWYMIVK -PEKSVVAGTPAQVHISGTKWSSLIPTRTTQEWLMMALYPSTFVKNANMAFQRLLGIGIAGAFYDATYCLF -FEYFQTGYDCKHGPNLLSWKRLRWLEPVFGINDLPKIYKQKSATTKIRALLWAP ->CUS18591.1 RNA dependent RNA polymerase [Beauveria bassiana partitivirus 1] -MEFTQDPTQHDVFTEGSHLVDALHLRPGNQRSTISEEVIPPSFNAFGLREIAKYGGYSTYSSASNTDPYV -RETLKTYSRSTYERVRGYTRRPQGTPGMYKSLLKFSDDEPNQFHRLTRVQRRAMFSAIKKARQAFKLPWK -REPLDWHQVGQYLRRDTSAGSTFMGSKKGDVMEEIYHEARWLGHRMKQDGRGQFNPSRMRFPPCLAGQRG -GMSEREDPKTRLVWIYPAEMLVVEGFYAPRMYHDYMKDSHSPMLNGKSSQRLYTEWTCGLREGETLYGID -FSSFDTKVPAWLIRVAFDILRQNINWDTFQGEQVSKRERQKWRNVWDSMVWYFINTPILMPDGRMFRKYR -GVPSGSWFTQMIDSVVNYILVDYLAGCQEVEIRNLKVLGDDSAFRADDSFSLAVAERDSSAVGMVMHPDK -CEKTLNPSEFKLLGTVYIDGHPHRESDEWWKLALYPESTVPSVEVSLTRLVGLWIGGAMWDRDFCNYMDY -FQTCYPCPEEGWFSKDQRRWLEIVFSGKAPRGWTNKRSLFWRSIFYTFG ->AAT48886.1 putative RNA-dependent RNA polymerase [Gremmeniella abietina RNA virus MS2] -MSEVDNTDPTLQDVAFVESSGLDTTHLRSSEKTAGASYSVISSNFNSPGLTEIARYGGYSVYSGNQNTDP -WVRATLKNFSRETYERIYGFTRQPEGVMGMYSSLLKFSDGKCKFDRLNRVQRKAMIGAIAKAKKAFRLPY -KSEPLDWHQVGAHFRRDTAAGVSFMGKKKGEVMEEIYHEARWLGHRLKQNGKARFDPRQMRFPPALAGQR -GGMSERDAPKTRLVWVYPAEMLVVEGQYAPVMYRAFMDQPDTPMLNGASSQRLYTEWLVGRREGETLYGL -DFSSFDTKVPSWLIRVAFDILRQNIEWETWQGEKVSKRDRQKWRNVWDGMVWYFINTPILNPDGRMFRKR -RGVPSGSWWTQMVDSVVNYILVEYLTECQGVEARGLRVLGDDSAFRSPVEFSLEQAQSDCEPTGMILKPE -KCEKTEDPSDFKLLGTTYRECHPHRDTNEWFKLALYPESRVGNLEVSLSRLVGLWIGGAMWDKEFCSYMD -YFQSSYPCPTEGWFSKDQRRWLSIVYGGKAPRGWGDKKSLFWRSIFYTF ->AGL42312.1 RNA-dependent RNA polymerase [Colletotrichum acutatum RNA virus 1] -MTSEPFPAFTFDYPGKAEVVNLQKVDSWSKPFRHISHVSWTGKKRGVLQNTQRYDPFVNEALRHFDNDFR -RSLKGWTRTPGNEAKLRASLDKYDMPSRTFDDVFKDDSKLASCYEKAYRQVSAEFKLDTPVVPKFPTAVD -LVMDTSSGYPHYTRKSLIAKEILQEGRTWLHHAKSKEFSRLPLLPCTVGVRGALSAEDDPKTRLIWMYPA -AVTVAEGVYAQPLIKAIYEQKADLLLVGTETRFRLSKFLSLIREDKDEYGVGLDFSSYDTFPVTDLIRDA -FAILKQNIAFGSYWDPDNGTITAGLDDLRDHDRVKSRAIKGYENLIEYFIHTPLILPNGRIVAKHHGVPS -GSHFTNLIDSIVNRLLQTTFGFYTERSIRNLKTNGDDSAFTVTSTYRDNILEDAATFFKLWYMVVKPEKS -CVAGTPAEMHISGTKWKALLPTRSTHEWMLMALYPSTYVKDQNLSFQRLLGIGIAGAFYDAKYCQFFEYF -QTGYDCRHGTNLLSWKRLRWLEPVFGINDLPLIYKKKTAVTKIRTILWAP ->AGI52210.1 RNA-dependent RNA polymerase [Verticillium dahliae partitivirus 1] -MEDFTQDPTTHNIVAEGSHLIDALHLRPPKLRSTTSEDVVPSKFRSPNPIDHDAMRGYSTYSSNSNTDPY -VRETLKLFSRDTYEDIRGFTRRPQGTPGMYTALKKFSGERNTFGDLSPSQQSSMRRAIGKAKKAFKLPYK -REPLDWHEVGQFLRRDTAAGATFMGQKKGDVMEEIYHEARWLGHRMKQDGRAGFDPTQMRFPPCLAGQRG -GMSEIDDPKTRLVWIYPAEMLVVEGFYAPLMYRDFMSDPNSPMLNGKSAQRLYTEWCCKLRDGETLYGID -FSAFDTKVPAWLIRVAFDILRQNVNFETFGGKPVEKRDAQKWRNVWDAMVWYFINTPILMPDGRMFRKFR -GVPSGSWWTQMIDSVVNHILIDYLADCQRVEIRNLRVLGDDSAFCSGGQFDLELAKGDCENTGMVIKPEK -CERTKDPGEFKLLGTTYRGGHVFRDTEEWFKLALYPESSVLTLDISFTRLIGLWLGGAMWDKKFCEYMDF -FQSSYPCPEEGWFSKDQKRWLEIIYSGKAARGWTSKKSLFWRSIFYAYG ->APP18151.1 putative RNA-dependent RNA polymerase [Magnaporthe oryzae partitivirus 1] -MEKTSPDLPFTKDVLTSADWDAVHRSLSYGNPGLTKIPADKWCYKYNVEQTRMNTDPFVRKAMKLWDETE -YKQLYGYTKKASLEHGLNGLNKYGRPQRQKSHMPSEFKGSYHRALQEATRVFTPHEPLHRLSVPDVWDNM -NLDSAAGFTFPGKKKSEVVEEAFDTASYMAHFISAGKHIYVPPAKLALRGHLSELEEIKTRPVWVFPFEV -TILEGKWAIPYYRFLEEEVPSVHFGEGAMQRLAKILDSDIASHAEYAELTMDWSGFDTGVPNWMTDDALD -ILFGAFDETAVQHQDDLVVGGEYMAYKNEAVKDFLKTYFKKTKILLPDGSVYKKNHGIPSGSFFTQAIGS -IINYIAVRTLDFYFGWNGRRFKVLGDDSSYLIPNGLGKVSVDAVSKAAWAAFGFTLKREKLRIATKQHER -KFLGYQVSAYRYERPSDDWLKMALYPERDCEFLEQSASRVFAFYLLGGCNDATYCDFFHDYLNRYPVVYG -SELPLTKGLKRLFKFVLRLNVERLVFLDLPNFDPLKGPFALSLGDKPFG ->AGO04402.1 RNA-dependent RNA polymerase [Ustilaginoidea virens partitivirus] -MEDFTQDPTHHYVLGKGSRLIDALHLRPAKEGQANSEEIVPSNFKSDTLREIAKYGGYSTYSSNSNTDPH -VREALKLFSRDIYEDIRGFTRRPQGTPGMYGALAKFSGERNAFSDLSASQQASMRRAFSKAKRAFKLPYK -REPLDWHEVGQFLRRDTSAGVTFMGAKKGDVMEEIYHEARWLGHRMKQDGRDSFDPTRIRFPPCLAGQRG -GMSEIDDPKTRLVWIYPAEMLVVEGFYAPLMYRDFMSDPNSPMLNGKSAQRLYTEWCCNLREGETLYGLD -FSAFDTKVPAWLIRVAFDILRQNIEFSTFQGKPVNKEDAQKWRNVWDAMVWYFINTPILMPDGRMFRKFR -GVPSGSWWIQMIDSVVNHILIDYLADCQDVEIRNLRVLGDDSAFRSSNQFDLEVAKQDCVPTGMVIKPEK -CERSEDPSDFKLLGTKYRGGHVFRPTEEWFKLVLYPESSVLSLDMSFTRLIGLWIGGAMWDRKFCEFMDF -YQSAYPVPEEGWFSKDQKRWLEVVFSGRAPRGWTTKKSLFWRSIFYAFG ->AAK12855.1 putative RNA-dependent RNA polymerase, partial [Nectria radicicola virus L1] -PVCEKPSYNRANPWLLNLSGLPEHVYMDPSISETLISCPIRGHLWLKKSTCWTCRDYQINYEVWRHRCLN -LQICLPLAPFSDYNCHHRRPEGVYDSRQVYTPKFPKIPGQHMRKFIFCRSRSWWKEEKRCDGTNLCCRTC -ALNHNCAQGFPGRFKGRKVRMYMSCYAARCPLTKRCSQDTFSVYLSLRDAHGCAYSFTPLLCLRASRAML -VGPLLLGIYRTSVVFLYRVYISTPGMRLYGLDWSSFDTKVPAWLIHTAFDILHLAFPSCCIGEVNLPPKM -LMVKWKNVWLVYGVLLYQYRGLDAAWKYISARDIGVPSGSWWTQIVDSVVNWVIVEYILSICQGLNNCAY -QGDDYSLIRLGSCNHGSECGSGRRRCRRYGPKRCEEFLPWIFRPNCNCWVYDIVFDMQIAGYCRMVQTGS -VPGGRCTR ->ABC86749.1 dsRNA-dependent RNA polymerase [Aspergillus ochraceous virus] -MDDSHLDPTQLENVIEESLLLDDSTLTPSAKVRGASYNVIPPQFSSPGLSEIARYGGYGTYSGQSNTDPW -VRVALKNFDRNVYDDVYGFTRKPEGTPGMYKSLFKFAEGRSDFRSLNRAQRKAMQAAISKTKKRFKLPYK -SDPLDWHAIGQFLRRDTAAGATFMGCKKGEVMEDIYHEARWLAHRMKQDGRQRFNPKQMRFPPCLAGQRG -GMSEASDPKTRLVWIYPAEMLVIEGQYAPTMYHKFMADPHTPMLNGRSSTRLYTDWINDAKEGDKLYGLD -FSSFDSKVPSWLIRVAFNILRQNINFETWNGQPVSKRDRQKWRNVWDAMVYYFINTPILMPDGRMFRKYR -GVPSGSWWTQMVDSVVNDILVQYICLCQEIEPRDLRVLGDDSAFRSCAELVLVQAERDAKDVNMVLHPEK -CDVKTDPTKMKLLGTTYRNGRAHRDTDEWFKLVLYPESSVRTIEVSFSRLIGLWIGGAMFDSAFCRFMEY -YQTCFQCPEDGWFSKEQRRWLEVVYGNRAPRGWSAKKSLFWRSIFYAYA ->CUS18593.1 RNA dependent RNA polymerase [Beauveria bassiana partitivirus 2] -MEDYTQDPSTHYVLAKGSHLIDSLHLRPAKTGSTTSEDVIPSGFSNPYLTEIAKYGGYATYSSNSNTDPW -VRETLKHFSREIYEDIRGYTRRPQGTPGMYSALLKFSGERNSFHSLSPWQQKCMRKSIAKAKKAFKLPYS -REPLDWHEVGQFLRRDTSAGSTFMGSKKGDVMEEIYHEARWLGHRMKQDEKKPFNPTRMRFPPCLAGQRG -GMSELDEPKTRLVWIYPAEMLVVEGQYAPLMYRDYMNDPNSPMLNGKSSQRLYTEWCCGLREGETLYGLD -FSSFDTKVPAWIIYAAFDIVKQNVNFETFNGKPVSPADRQKWKNVWDGMVWYFVNTPILMPDGRMFRKLR -GVPSGSWWTQIIDSVVNYIINQYLADCQRVEIRNLKVLGDDSAFRAGDQFDLELAQTDCDVMGMVLRPEK -CDKSKDPCDFKLLGVKYRNGHIHRPTEEWFKLVLYPESSVFTLDISFTRLIGLWLGGAMWDRIFCEFMDY -YQSCYPCPEEGWFSKEQKRWLEVIYSGKAPRGWTTKRSLFWRSIFYAYG ->AFX73023.1 RNA dependent RNA polymerase [Grapevine partitivirus] -MYDNIYGFTRRPEGTLGMYKSLAKFSGDKNDFGSLNRVQRRAMQGAILKARKAFKLPWKRQPLDWHSVGQ -YFRTDTAAGVTFMGSKKGEVMEEIYHEARWLGHRMKQDGKRFNPTNVRFPPCLAGQRGGMSDASDPKTRL -VWVYPAEMLVIEGQYAPEMYRAYMRDPHCPMLNGKSSQRLYTEWCCGLREGETLYGLDFSSFDTKVPAWM -IRVAFDILRQNIDFEYWNGEKVSKRDAAKWRNVWDSMVWYFINTPILMPDGRMFRKYRGVPSGSWFTQMV -DSVVNYILVSYLTTCQDAEIRNLKVLGDDSAFRTGSEFDLARAQEDADVTGMVLKPEKCEKTTDPVEFKL -LGTTYRGGRPHRPTEEWFKLALYPEGGVSSLDVSLTRLVGLWIGGAMHDLHFCAFMHYFQQSYPCPEEGW -FSKDQRRWLEVVFSGKAPRGWSSKKSLFWRSIFYTYT ->CAY25801.2 RNA-dependent RNA polymerase [Aspergillus fumigatus partitivirus 1] -MEDYTQDPTQHYVLAKGSHLIDALQLRPARSGKSSTTSYDVLPSNFESDTLREIARYGGYSTYSSASNTD -PWVRESLKIFDRDQYEAIRGFTRRPQGTPGMYESLKKFSTEERSTFWSLSPQQRTSMRRAIGKAKRAFKL -PYKREPLDWHEVGQFLRRDTSAGATFMGQKKGDVMEQIYHEARWLGHRMKQDGIGPFQPHKMRFPPCLAG -QRGGMSERDDPKTRLVWIYPAEMLVVEGFYAPLMYRDFMNDPNTPMLNGKSAQRLYTEWTCNLREGETLY -GIDFSAFDARVPAWLIKAAFAILRQNVDFSTFRGKPVNKRDAQKWRNVWDAMVWYFINTPILMPDGRMFR -KFRGVPSGSWWTQMIYSVVNYIMIEYLADCQRVEIRNLRVLGDDSAFRSGDQFDLDVAKGDAEPTQMLVN -TDKSGKSKDPADFKLLGTTYRCGRVHRPTDEWFKLALYPESSVFSLGLSFTRLIGLWLGGAMHDATFSRF -IEFFQQCYPCPEEGWFSKDQRRWLEIVYSGKAPRGWTTKKSLFWRSIFYAYG ->APG78298.1 RdRp [Hubei partiti-like virus 54] -MENVNGRSELTKVGFVCKGKFNRPKVDSYVKAYSKPRTTPYVDSIVKRIIESQGYKTEGLIDPANIYDPD -QMFEGLKRYRAERKYLPKAEVLHEAFSFVFSVFARPSRADRLNVLSDVEMLGALKADTSCGIELLGKKGD -ILNQQIGMRREAAVRRGIKAPNPCQAFARTQRGNKTRLVWGYPIEMTMMEARFARPLIDKFIQMDTPMMI -GKPKYLIGTRINCNIQPCRNSYSIDYSKYDSSIHEGLINMAFSILGTWFSPEDKETFAWQKIVEYFIRTP -IVMPDGKLYVGKTRGVPSGSFFTQLIDSLVNLLLLKYVTLLQGVRLSLGRVMILGDDSIFGVDEELDISK -MASDLDDMGITLNVEKSGHNTCHFLGAYWKAGLPHMTLGEIIKRAICPEKSRYINSNDPMSTAVETLQNL -TAAWIEATILIPRSFNADYWLLRRAPRVTWENAFALERAMLAEGFRPKTNAPWTRPLR ->AGJ03719.1 RDRP [Ustilaginoidea virens partitivirus 3] -MDYSVGGEETFGDKFHSVWDFPLRHLSELKTMDFKRGVLRQTQAYDPFVDEALRHFDNDQRTRIMGYTRA -PGDEYDLAERLRRYDASPLHLKFTNRRFAAAYSEAVAECMDELKLHSPVVPRWIKDVDLVKSTSSGFPHF -KRKGDIIEDILQEGRFHFHHLKLYELDRCPLLPCTVGVRGQLSEKHDPKTRLVWMYPAAMTACEAVFAQP -LIEAMYDEKRDYFLTGVDAKFRIQRFLSLLDGDKDALGLGLDFKSFDTFRVTQLIYDAFAILKQNILFGY -YYDDVTGVQKGRTGVDVRAMRAFDNIVEYFIHTPILLPNGRVVKKHLGVPSGSHFTNLIDSIVNRILLKT -FSKYCLRPLHGLRTNGDDSSALVSRCYASDIIDEASSFFSSFFGMTVSVDKSVLAEGPSTMHVSGTRWLE -LRPYRPTDEWFKLASYSDTYVATPFDSFQRMLGLGIAGAFCDSRYCRFFDYFQRGYDCWKDSPNLLNWKK -LRWLEHAFGITELPIVYKQGSRTTSRLRLLVT ->AIE47664.1 RNA-dependent RNA polymerase [Verticillium albo-atrum partitivirus-1] -MSDDIEIFDLLASPGEQPGSDETFASYGTPSSRTARRHVQAGEYFIGTGLEEIARYGGYSSHRASGNTDP -WVRETLKLYDPERYESIYGFTRTGEGLLGAYKSLFKFDGPVARGTRLSTRQRSAMKKAIADARTAFKLPV -KHEPLDWHEVGQFVNQSTSAGVSFPGKKKSEVMEEIYTAARWLGHRMKEGGKESFNPTKVRFPPALAGTR -GHMSPKDDPKTRLVWVYPAEMLVVEGLWAPVMYRQYQSLSDGPLLLGKSAQRIYTEWCVNKKQGEVLHGL -DFSGFDNGVPPWIIHVAFDILHANVDWLNWRGKPTSKRSRQKWRNVWDGMKWYFINTPILMPDGRMFRKH -RGVPSGSWFTQLVDSVVNYILVKYAFNCQELKIHGLKVLGDDSAARSPLKLDLVQAAVDFRPVQMRLNLD -KCEITEDATEFKLLGTRYQDGHSTRPDEDWFKMALYPENPPPDIAVSMTRLVGLWLGGAMWSADFCKFFE -YFQSSYPCPSEGHFSKDQRRWLEIVFGGSAPRGWTYKESLFWRSIFYVF diff --git a/seq/clusters_seq/cluster_156 b/seq/clusters_seq/cluster_156 deleted file mode 100644 index 96f3c0a..0000000 --- a/seq/clusters_seq/cluster_156 +++ /dev/null @@ -1,1468 +0,0 @@ ->YP_009506368.1 polyprotein [Pegivirus I] -MALLWLLLFVGGVGAFDVPASHRCKVGGRYLLSNCCDASDIHLCLEGGCWVKAGCSICSDGVCWDSPFPG -ISLRPGFTSATFLGTLKDSYIPAAWTAYVAGVLGLGEPFSLGLVGVLAFTRGYHPIPPIDCNVACNVTWH -EGWWELKQALGPIPGLLEWLLTLPAKIWTALWWGGLGVLGLAVLLGLEQRLTILLALLATSGIAMGADPR -RIDPTDEPWASCRCNGGTFLAARTRNASQYCYCANGWWYWNPTWARSLTWTWRNTPKRPWHTFPLGCPRV -VRGGQVTVRCRWGSLTWIAQTTREDNLNLWDTVGNGSALCTIFAGGKVPAVSCTIDRRPHACGACVRDCF -ETSGSLGLGFTECGAGSRLTATLEALSGLHYDPRTGVPRVLSGERRTKESKPLIRWSRDGQPLHVVVTSI -DGTWHGFFCPRTGPPPLLGAWELIPGRPVNTCMQPYDLWGSGVADMMPNSLWQSCFESWFVGEGRFYATC -LGYAWLSTDPRDGFVHVRGWWQEIDYDVWFPPPLWLALDFLFIVIFLMKLAEAKIMPLLVIAAWWQAHHV -ILADSTTKPTTITPTPTSTPTTVAPTCYWFPCGPFHAALKRMDNGVYDGIGVFVNWTTTVAGALSSYGSK -LSNWIGQFPTITADSAMAPTVVGTPLVAWTIRAQSWDVVVLGLLNLLFYWRFAGPVRLACLVAHKLARGL -AGIVLLLLVGYARRTTSVLGAQYCVELQGHEQDWSVAIPWCFAVLVCWILLSLASLTVGCREWKLWLYTQ -WATFYQWVRHQVDHSPLGEYTHRWSHWLWVGACLVWPDACAEVVLWLILAAGALDGTDMVVELVLTTDAK -PVRLARFLEKAADTLSLPVLDRLLTSCGRRGVWLYEHMGQVPRALAARLREADGFLEPARVTPVECEWIR -DAARTLACGQNVMGKPVVCRRGDSVMVGVLRDVDCLPEGAVPCAPLVIRQQGKGFLKVMLTSMLGRDTTA -HSGHVCVLGTTTTRSMGSCVNGLMFTTYHSCRARRLAGPHGPLNPRWWSTSDDVAAYPVPEGCESLEPCS -CSPQSAWVLRNDGALIHGEVIGENRVRLDISVRISDLRGSSGSPILCDQGHAVGIMVSALHKGPDAHTAC -YIVPWKCSPPEVTQKAEPPPVPAKGQYMEKPLFLPTGSGKSTRVPAEYAQQGHRVLVLNPSIATVRALGP -YMKGQTGKSPSVYCGHGPTAITRVTDSRLSYATYGRFMANPHKFLSACDIVICDECHSTDPTSVLGMGMV -RLHAQKAGVKLVIFATATPAGSAVSPHTRIRERQLTRVGDIDFYGYKIMAGDYKQGRHLIFCHSKVECER -VALALTKGGVKAVYYYRGRDPSVIPEEGDIVVVATDALMSGYSGNFDTVTDCNIMIEECVEVTLDPTIAM -SLACVPATADVRMQRRGRCGRGKDGTYYYCLAGSAPSGVVPEGVMWSAVETGLVWFGLEPARSAECIRAF -GECPYTAQVGGSLPDAVAFMDGLVSFRMDPEVVRARTAGVQWPLLVGVQRRLCLENEAAPPGESPLWKGI -KGKNPTPLLMRWGGDLPERVCSHHIIDDLVSRLGVAVSNTMTDGSGPALLIGVGIAAACVLASSTGTLVI -VTTWRIEGGGYPSTLDQVDSYDDFVGARQAELASYAGGAFRPPTGDKPTGEGETCPRDSQTAREAVHTLE -TQLGWEGLGTISATLAGVKRTVSGTKLAAYTTECCDKARNLWAHYLATPTTARCLDPDGCGIAAGGTLEA -LATRPDLSKIGLSQIGDLTAPEALKVLWSKICNHFTSLVTVGVAAWTAGRNPPLAAVASMVMGLQQGLKL -EGRIAAALVTGAAGGSLGKASTGAAMAACYMVGGAMGSMAVFQTVLSVIAGWEAVATGASLAFDLWSGKW -EKKDLWYGIACVGSPGGGIAGLAIGTILHFALRDGISDRWVNRILTMLPKGAALPDGFFEHLDVRERARQ -LLHRMSLSRLVASLLTAEEQEDQETKTGCSFVGDFVSALRWFLNALATAIRERLPSLTLPIYSCSRGYTG -KWKGSGQVEAHCGCGAVITATIRSGWVVHKTCSSRLCRNWFGLAIPVNTLGHGGGASPDPDLEKTTTLQA -YDITQWVEVKRSPGLWRVKRASCGSLSRHRLRRMCALPPTFVNGVGVTWTAPVHYPTMIYGGGSRLLVDG -DPAPLPLELFVSAPPAGEAQAQKVVVPDTAKPCNSDGEPAGDWVGEVRSPYPLPCHPESQTSDLSAPLTD -PEMPALEDSEEELFEREAVARLVESCAASGDSSFEVVKAATPVSVYDMVDVDLNTNDMESPYQPCEAPIA -SSPETPQPGLLARAASLPSLVLSTVAPVGAAARRAARRMRRKMRAKSTASVSSTSTVERPVSPVPIYTPP -LQWHIECRCCCDKSVRAYYSSGLSVADVAKLGGFPTKDHWAVSQGTTVPWSATLGEIAGEDRTIRIVCAM -PSEETKCGYSYIWSGAPLACGESKPPPITRPIGRYVSLDATKAYITDMRDVGLRVEKVTRERRPFKPDAY -FTETYRNALALAKTAFPSQGYTYEEAIRRVRPRAAPAHNTKMRVKDLPTAWAKKKVLETIDSIQTGMQVH -PFMLTAKQEVFFQDKKTHKPPRLICYPSLEFRVAEKMIMGDPGKVAKAILGKAYGFQYTPQQRVQRILDM -WNSKVKPAAISVDAVCFDSSITSEDVERETELYAAASPEPGLVRALGKYYAEGPMINRNGQEVGWRKCRA -SGVLTTSSSNSITCYLKVASACRRIGLENPSFLIHGDDTIIIYERGESDPSDELKAALAAYGYECEPKMH -ASVDTIETCSSWLAECNVCSKFGGKPRKAYFLSCDAKRMLARVASEYRDPIATAGGYILLYPQHPVVRYV -LIGLFLDLLFSTGEPPDTKVMCEVQGNTITFPLEALPNILVGIHGPDCLRVTADSRKTLLETQKALQAMG -MRGLGYWRTKQRRLRVRIMRSGNRRWARLALALLWDPSSRQDPLPLTPDLTVDMVEIMSQPYQSLEFTQR -LRRAKFRWLRLLLGVGLFAAYIGLFV - ->YP_009506367.1 polyprotein [Human hepegivirus] -MGCSTDQTICSPVVGADYNTSSGCRALNGSYHCGGGSCRSPSRVQVARRVLWLCAFLALIGSGMCSIRSK -TEGRIESGQILQSQRACWTGEGFAFFSNCCNQSDIMWCLHRWCVTRPGCLVCTGNATHPVCWDYLGSGVS -RRPARRMGEGAEALLRLIGIAGWLGLLAETLGMSEVYAAILCFGFIAWYGWGIPKALVCTVCPAVNISPY -SFLSPDTIAFGTWILQLPGLLWQMFVSFPILYSTWILWLLLSGKTVAVIAILLASPTVMAYKHQSESYLK -YCTITNASTSMNCDCPFGTFTRNTESRFSIPRFCPVKTNSSTFICSWGSWWWFAENITRPYSDVGMPPAP -ISALCYIYSNNDPPPWYHNTTIIPQNCRNSTVDPATAPCRDKWGNATACILDRRSRFCGDCYGGCFYTNG -SHDRSWDRCGIGYRDGLIEFVQLGQIRPNISNTTIELLAGASLVIASGLRAGYGCSRAHGVVHCYRCPSY -RDLEQFGPGLGKWVPLPGEPVPELCINPQWARRGFRVSNNPLSLMQTFVEDIFLAPFCNPTPGRVRVCNN -TAFYPKGGGFVQLIGDVQVLTPNTASLHSLLTLISLVLLVCVVSGARFVPLIIIFFWSVRHVYASCYLSC -DWAVCNDAFCFTSGTCATFNDVLCLPVAMRISSCGHAVPPPDRGWEVPAVMSWAISRTTGLTFDVFSFVQ -YLPTVPGNNTDIIYCGEPTFFGDITGIYWPYFLPGVLLLYLTPFLGLRLMLAGFNIDGLFPVRHATAALR -FSTSRVTLSVVLAFLIYILSHPVNAALNRMFLASANLEMILSFDTYHETVLYIVCLLLYLQVSPRAGLAA -MVAIKLSRGLLFAVVLAHGVCRPGRVFGLEVCADISWLVEFTGNCTWYMSCVFSFWCAVFAFTSPLGRQY -KLQIYRYWAQAYARFVLAVGCGPLGREFHFRASVGVLWCGACMLWPLECSEISLVFILCALTVDTIDTWL -VACLSAGPSARSLATLADDMARIGDHRALRAVLRCFGSRGTYIYNHMGQVSERVAQAVRDFGGCLEPVVL -EEPTFTEVVDDTMSLVCGQLLGGKPVVARCGTRVLVGHLNPEDLPPGFQLSAPVVITKPSIGTWSFLKAT -LTGRAETPGSGQIVVLSSLTGRSMGTAVNGTLYATGHGAGARGLATCAGLRMPLYTALSDDVVAYSCLPG -MSSLEPCRCSPSRVWVMNNNGGLVCGRVENDDVCLDCPTHIDQLRGASGSPVLCDHGHAYALMLGGYSTS -GICARVRIVQPWQNAYSSSGGQGGMQAPAVTPTYSEITYYAPTGSGKSTKYPVDLVKQGHKVLVLLPSVA -VVKSMAPYIRETYNIRPEIRAGTGPDGVTVITGESLAYMTYGRFLVDPETNLRGYAVVICDECHDTSSTT -LLGIGAVRMYAEKAGVRTVVFATATPAGIQVQPHPNIDEYLLTDTGDVEFYGAKIKLDNIRTGRHAIFCH -SKARCAELTQQLSGLGVRAVSFWRGCDIKTIPASDSIVVVTTDALSTGYTGNFDSVIDCGCCVEQTVTID -MDPTFSISARVVPCTAALRMQRRGRTGRGRRGAYYTTTPGAAPCVSVPDANVWQAVEGAMVFYDWSAARI -QQCLAAYHDLGCTPRISCDPHTPVRVMDTLRAYLRRPEVTTAALAGEQWPLLYGVQLCICKETEAHGPDD -GIKWKCLLNNSNKTPLLYALDNPTLEFTTQHDLTRRIASALSSTVFVEAGYGPILLAGAALAASFAFAGA -TGALVPSAVWSVDNGLAGVTRPDATDETAAYAQRLYQACADSGILASLQGTASAALSKLADASKGASQYL -ATAPPSPAPLVQVLQFLETNFSSIASFGLLCAGCQAGECFTALAGLVSGATAGLGGAHKWLLAIAGTWLV -SLQTGPRGGMVAGLSVLAGCCIGSVTGLDFLFGCLTGWEAVVGAAVATQKILSGSADMTTLVDLLPALFS -PGAGIAGIVLVFILSNSSVTTWANRLLSMCAKQTICENYFLTEKFGQQLSKLSLWRAVYHWAQAHEGYTQ -CGVVSGIWSFVLCILRAAWDWAAKHVPRFRVPMIGCSPAWSGRWLGTGTLLTTCGCGERVSLQCLCSTSD -PILSVGRWCRCSWSVGFPFNPTTTATGTLRPDISDATKLGFRYGVAEIVELERRGDKWHVCAASCCLDRA -SVASAVKAPPVTANSIPISTFSPPQTYKLSLCSFDSVCMSTNLCNPAKTLSVCSQEAVELLEETVDTAQI -MMCKSLEARRRAEYDAWQVRQAVGDEYTRLADEDVDTTTSVKPPVARAAVGSSTLDDVSVLTVLRELGDQ -CQNAIKFVVQAASRFVPPVPRPRTRVSGVLERVRMCMRKPPIKFEATAVPIHNIIPEECHIVLRCTGCSD -QALTVPYGTCTQTLIKHLTNKHSHYIPKQKIEEDTEVTVICAVPTTRASKLITFRAGDRSVSCCHPLQTP -IRALLLKYGLPIGKWSDCNGPLGDDARVCDVNGVTTYEPCMQSYSWFRPIVAPTTPPLPVARTVAGILRA -DTSRVYTTTAVDVSERQAKVTIDQTSAKVDRCFRDTYNCCLAKAKTFKQSGMSYEDAVSKMRANTTRDHN -NGITYSDLVSGRAKSAVQKIVDQMRAGVYDAPMRIIPKPEVFPRDKSTRKPPRFLCFPGCAARVAEKMIL -GDPGAITKHVLGDAYGFATPPHERARLLEQWWNRATEPQAIAVDAICFDSTITAEDMDREANIMAAAHAD -PEGVHGLYNYYKRSPMCDITGKVVGVRCCRASGTLTTSSGNTLTCYLKVRAACTRAGIKPIGLLIHGDDT -LIITERCAQETLDEFSNALDDYGFPHTFQVSGDLSSIECCSARVDSVCLRGGMRRMLVPQARRAIARVLG -EKGDPLGVISSYIVMYPTAAVTVYVLLPLLCMLIRNEPSQTGTLVTLTVYGNSVSVPVWLLPTIIVNLHG -RDALQVVRHSAASMAELSSALAFFGMRGLNCWRRRRRAIRTDMIKLGGWNANFAQMLLWSPEVRTPQPEP -KGMCLLPSELWERPYENLHLSTIDRNRGASRLRFWLVASAILALLCL - ->YP_009506366.1 polyprotein [Pegivirus G] -MRVPLLLVLGTCFCIGGFGQASTANSSTTSTSSSTTPSPSPTVAGDWGAGGFTSFWHNLTSAFGSLGTGF -SGFGLTLATTLGNGFTKHVSTPTSNLFAHLANITRGKRDLGALDRPRPAPRPASECLNGTSVDWCLQCRA -AEDRYPWFDCGAALTWFCGLIISLLFFVLLTGLCFLIILLTLSHVLVHGPPRAGYWLVLCCCVAVCDARP -VDSSLSTPGESVDTPWWHAAVALLGFSIVVAAVVWNCILAFTLRGFHRCCSGLMASLALFYLLLCARLAS -GDPFISSRACTDNSTPWVYFSNCCAEDDLVLCTSHVCWTKVGCVPCTETEGCWSSLGGGVSMRPGPGSTQ -ARRDAMNAIGAAGLAAYAAEAVGLGEVYSGVLVFGIFGVRRTTPLKLICGKPCMEDVRTWMQLKAPTLTT -IVEWASRIPNIIWTLIVGMPFLFAVLLGYTVVRGHWVVTIILALGVPGIISSGEVQCVCGNETATNNCTL -QDVDRAGVSCYCPFGQVIKHYPLGHRFGRIPIVCPERYDHEEDWWFCVWGSWWWVHQGVTVPYAHPFSPP -DPYSALCYISDSGSAAMNRSIFVRTWAGYDGGFGGNVTTCLLDRREKACGDCYGGCYQLDRDNSRPFARC -GGGYKDGPIIFLPLAVVRYDNNSANAWWRPNRAVAELLISSRYRHGVGCGDVGGVIHCWSCFVHEPPERG -WLPQPGRPTDLCINPQYERRQLGYTRNWLETIQYVADSLWAGTGCPVYDVPAYPVCKHMAWFPADGSRVT -MSGGRQVVGALPESYLGFVGLFGVLLALMVASGARLIPLLLVIVAAVTQTRADCYPSCTYCNYILCVSSS -RCAALADDSFYMSYNSHFSVRQGYGLPEWLTDLGPIAVPTAWLCHLFGVPSLSSLVVGARLLGSLVEVAE -VDFYCGNATEPSLSVDGYDFTYLLYAILPLFGVSTIWTLGSFLGVCAGLRLRAKFPWRICALILQYACDH -SLNFAVCLAVVVQVYDSAVGGIPYAEAALHRGLIAAPAMAASFTYPTWIETVLGCFCVLFYARTVGRVGL -APLVAYKLSRGLLGVTILVLLCARSHDRGVLGASNHSLEICIPVDWMSVSADDTWWYLSSLICFLSVVLA -FHSITGKRIRLRLYARWCKIYCALRLLVGVSPVGRYGRFSSPTMLAWLVCCLLWPRECGTVGLLLVACAA -AVDLADWALEAVLCVTPKHEPIGRAADLAARCLNNAELSALLQRWWYKGWLLYEHMGQVSLNLRERVVAM -GGCLEPVAIRPEELHAVYDDTMTLTCGRWYGGSPVVARCGNAVLIGSAKSVSSLPPGYTLTAPLLVVRPR -SGFFSILKTSLLGRGEVPGTGQVVVFETATGTSMGVATNGVLYATFHGTSGRPLAGPNGPRNPYWTSPSD -DVACYPLLEGLSCLEACSCGDHSRWMVRVDGQLVHGVQSGEDHVVLDCPTPLDKIKGASGSPVLCDNGHA -VGMLVGAISNGGVVQRIRYVRPWKAIPGNPKGDRVPEFPTVPTEGYKCVGYVAPTGSGKSTKLPMALAAL -GHRVLVLNPSVVTTKSMYKYIKEVSGKSPNVFAGTGKGAMAIRTGSRITYVTYGRFLVNPEDWLANADVV -ICDECHAVDGTSILGIGAALSLAEAGGVKLTIMATATPPGTVITPHDSITEVPLDSNGDIPFYGLTIKSE -QYKTGRHVIFCHSKAECTRVASELSRAGVNAVTFWRGADPANLSDDPNLTVVATDAISTGYTGNFATCTD -CCSVVCEEVEVDLNPTFSLLLGVRAADAALRMQRRGRCGRGAPGTYRPVISGAPPTGMTSSAAAWSAAEA -GYVWYGRTEQQIVQWLEAYQSCAYTCRMPGDFAEAVRALGVLKPFFRDEEVAKLCLKDQSWPLLYGAQRR -LCLTAESAPPSDDIKWAGIIGLNAVPLLFRLGTVTAPCVSHILTKKLAEVLGDASYQDASMGPLLLAGVG -IAAAVAIVGATGCLSISSVWEVFSGGSPTIPGSSSEKDRGRVQEGGPVPVDALREVATSLDYPFLSTVWG -ALEQGSTFVRNGASDAAQAVKAWYTGGTPVLPVVQAIPEGVAGARILEILHAHMMAIAAGGLAVVGSRSS -PGLATIAALVAGVQTLAPTHVIWLLAIAGGMAVSLASSPQLGAAAAGAFYIGNKIGSFSILNTIMNCVTG -YEACVSTCALTLELMDGTANAMSWASALVAVVSPGAAVGGIALALILRGAGGGDVSAWMNRLLSCLPRNN -VLPDGFFVDKKDPHALAKAVRSLSLTSRLAAWCEASRQDDYVFCADGWLNKLMRVIGAIYAYVRNWVVDH -LPVPGIPYVSCSVAYKGKLKGTGSVSTKCACGHGIAWDCSVEGQAEQGEINKGKTRWLCRCSATGGLPIN -TTTVWTGDLRVDLSEEREFMFRLSASHSFVVFMRPEVRTIKVLSSTCRNLTKKMILDAIAEGPVAAGGAP -VSPFWAGDSLASFTAGQSITYEGELVKLPFEVTGVPQICTTPCSPRLFEVTGAPEAEARAAEAAEVASEA -VKLADQTMEAAAGLITTSIEARRKAEAEAWALREKMMGRDLFEDGCDSKWDDQDDVCMTNRHLYAMINDD -APTPVADKDPEQGLLEVLGEPAVLPLTEDNQVKPVETDELFAPTPLSQEAVLDGVAEPELMTDLRDSGGE -VAEAMSYAGAMVANIGRGAMLGAKTVVEAVAKPVRVVTNATAQAATSAKWKVKDLAKAAATKLPSITKEV -VEVAAEITSEEPLTIDVTTARYVTFKWSCGSGGECIVTTSDDDSLEDALSRSKVPTCHDHKLLAGVLELH -RREKVHTLCEISLDVTCVCPKHPDERPKGRTTTRTLVHRCCGKDESRTKAMGINTPTCLLDSLWGDTAGG -RWLCNGKEIPMDTIVGTVEGVYELVHETPCGLSYLWSGAPIVVGEPKKHPVTRPLTAHLRADATKVYVTD -PQAIHQRIAKVTIEQVPAVEDGFLRDAYNLALAKASRVLNPGFDYDTAVSKVRPNSARGHVANITVADLK -TPRGRKAVEDCLDGIRTGTEEGRFMLRPKSEVFPQTKSTYKPPRLICYPSLEFRVAEKMILGDPSVVAKA -VMGEAYGFQHPPHKRAEVLYRMWKSKRQPMCYTLDGVCFDSTITQEDIGRESEIFARASPDPGLVRRLHA -YYAESPMVGPDGRIVGIRRCRASGTLTTSAGNSITCYLKVTAACRKAGIQNPSFLIHGDDVVVICERSEE -NLCRALGDALTSYGYVCEPVAHADLSTAESCSATVTMVRTVRGMQPTLTTDMRRGLGRVLAEVGDPVGTA -WGYTINYPTNPIVSCVLLPILLTTALNSGEGVNQLINVDIRGNTIQMPLSSLGKAIRGLHGPSILCVTGR -APSDIQQAADVLQFFNMRGLGFWRRNRAKVRVRLMRAGRDWASLARELLWDPACSMPPVLDQGEGILPPE -LWEWSWEGLAPIPVRDKPVPWRVKAAIAILGLFALSVVL - ->YP_009506365.1 polyprotein [Pegivirus F] -MHTPIFLVLGLASALLHCAFSNSNTTATVASTSPGVNSTLVTSVTTEVPTTVSNLTWGAREWSDAGDWFV -KSFWSWWNATRGVGVAVSATASDVYTWGKHRVGRSLDLPRQHARMPAFAVVGVVLAHVAIAVLLTLAGNP -LLGYCWLLFFLLIWPAAASPLSSRACYQEQLETILFSNCCNESDIVWCTRLACFTKAGCVVCTEGVGCWD -SLGGGVSIFPRGDVATKRRQAMDFVGGIGWLGVVAESFGLGEVYSLGLVAGAVLIGGDTHPDLVCNRTCS -VFALDWWSDNAPTLAALWSVVTLLPEAVWGVLTAMPPLFGGLLLFYLCRGWWVQAVLLFLAVPGVLADCY -DAEYDEIASLSCNMTHFRKANITCPCPYGVWMREFNASDPAQAHLNLTIRCPERYNHSQDYWTCGWGSWW -WQHDNVEVPYSHPYMPPEPLTAICYITNNFTGLFNRSFHLELGVSGRLFAGSAYITTCILDRRPSFCGDC -FGGCFHSTGRHDMGFGVCGGGYRDGPWQFLPLGVLRSVDHLNSKDKWIYRLTYNSTELIASSVGPGYGCS -VVHGLVSCYSCNLTFAAHNRGISDPGVWWPLPGGPTSLCINPQYARRHMVSRPNNILATIQYFFDVVVGK -APCKRAPNHHAVCAHAAWYPPGGTAVVMVADEQVFSSTPNVLRTLLSVYVAALVLMLASGARLVPAALVV -LGTLHMANSQCFPTCDVAYCSYYVCFVPSACVRPAGADLMWPVSNHFLLRYGSELPVGWRVAVAASSSLS -WLEGATGVPFLPWGPLAEAASDAGPGWNGSVIFCGSVQPLQVTVEDVHLSSLPLLIWLLVEADPAGVCGI -LVAALAVVGLRSFVPFRFLCFLFQAMCHTRVGRAVFMALVLQLLNMLFVCPFAEAALHRVVFAAPAAAWA -VRLPTWPEAILGLLCAVLYARVAGRGRIAALVAWKLSSGLIGVVLISLLIGRGADRGVVGSELCVPVVET -HLEWEGWAWWVSAAVSFTLITFSFTSRAGMRVKLRLYARWCRVYCAVQTAVGVSPLGQWGWASGKCASLW -VLCCFLWPRECGWVALTLAGCAACFDLLDWLITYVLTVHPSVTSLSVLADRAARWCNNAEFAAVLERRWR -AGEVLYQHMGQVSSKLAERVRSLDGCLEPVTLRREHLEAVEDDVLTLTCGRWFGGHPVVARCGSSVLIGN -LRDVTALPPGYQLTAPLVVTKPERSFFSTLKVAMTGRDEEPAQGQIAILATPTSRTMGTAVNGVMYTVFH -GAHGRALSSPHGSRNPFWSSASDDVACYPLLAPMSSLTVCTCGDHSRWLVLPKGQLLHGIESQAKHVNLD -CPTPVSKIKGCSGGPVLCDKGHVVGMVVGALSRAGVADRVRFVQPWEARPGAGVTGSKPEYPTVPANGYK -EVPYIAPTGSGKSTKFPSKLVQEGHRVLVLNPSVVTTRAMFKYMKDSTGDAPNVYAGTGKGAISRETGSK -LTYCTYGRFLVSPGRFLQRVNVVICDECHAVDGTTILGIGAVRALAQDAGVRLVVFATATPPGTQLQPHP -DITETPLDSDGDVPFYGLTLKSANYKAGRHVIFCHSKVECQRVAKELTSRGVNTVTYWRGKPADTLTDDP -DLTVVATDAISTGYTGNFASVTDCCSAVAEEVEVDLNPTFTISLFTGPADAALRMQRRGRTGRGSPGTYR -PVVTAAPPNGMTSSAAAWSAAETGYLWYGLDQPTVCKYLTAYQDCPYTCRTPGDPTEGARVLAVLQPYFH -CVEATQEALKDTSWPLLTGIQRKLCREADSMPPSEDVRWTGINGTNMVPLLYRLGHVHAKCCQAPLAQKM -AAVLGDTSYQDTTLGPVLLAGAALAAAVAIAGACGNLVIISTWEVCNGGAPITPGARDDAERGPTQEGGP -VPADALREVATSVDWPFCTAAWGALQSGATQFADLVKTGAEATGAWAASSHVVQTIPVGAEGVHILDLIA -ANLSAMVAGGVAICGARASPAFASLAALVAGAQVCTSSNIIWGLTLAGGLAATLMGGAGAGFGVATSFFI -GSKLAGLSWVETIVGCFAGYEACVNFCALTLDLLDGKLALENAAPCLVGLLAPGAAVAGIVMAMLLRSTQ -GGDVTPWMNRLLSMLPRTSVLPDGFFQEKDKVKLADAVRSLSLVQKLKVFLNTHKEPEYCYTAAGWLGAI -WQFAEQVVRCIRDYVVAKVPKVFPAMPLVSCDKGWSGPWLGTGTGITTCACGHRVTVTVSSPKKPVIRAS -RACRHAWQHTFPVNVTTRWSGTLQPDIVDCEDVTVPIGVSHYARLRRDGDAWSIVSTTLGVLDTALLLRA -CVQGCVGAQGAQVTTYCTMRDQEGFVEGQSISFDNEMVELPHRLQQRFKLTPFIYTPTFTVETGGEVRKV -EAEEAGLVKCAQGLAEEAATAANEAADKAAEIMLAAREARRKAELESWLLREAILDRDLFDDHCAVGADE -SPDFEVTDRILTGVQNDTGEDCRIKLEDPLPEQAPSAEPDLEPASETMLAEPVPPEEPPAVLVPTPLTEE -PITSGLSPPQPSEEVTVIKDTASAVASTVSQTVGKLYSAVASAGVASADAARRTLRAAKGRLSGRVSQAL -DPTVQDIPMPVLEGCVRVTFKWDCQGKCEDTSDYPANTKLMDAAFNSGVPRHKAHDYMVGVVNVSGHATL -GSLTEDSLTVLVRCNTMTSPKKVVVHMLHKCCGEDKSLRRSLRADTPVALLGALWGDTQGGSWWDGDAPV -PDEAVVGNTGLKLELRHETQCAASYVWSGAPITVQEPKQPPVTRAVTGALRASADRVYVTNPEDIHKRIA -KVTIEQKVAEKDRYFLDAYNLALANASRILSPGFTYEEAIAKVKPNTAKGHVARITAADLKTEVGRKAVE -KCLDDIRGGVAYAPFMLRPKSEVFPQTRETFKPPRLITYPSLEFRVAEKMILGDPSLVAKAVMGPAYGFQ -YPPHERAEVLTKMWTSKKSPVAYTVDGVCFDSTITPEDIDREANIFAAASDDPMAVRRLHDHYASGPLMD -PSGKIVGVRNCRASGTLTTSAGNSITCYLKVTAACRKAGIKNPSFLIHGDDCVIICEKEEVDKSDALGEA -LRSYGYECEPTIHADLSTAESCSASLDRVRTVRGLRPTLKPDMRRAIGRVIAEHGDSVGCAWGYIIQYPT -HPISMYVLLPILLTIALNNGDSARQPVTIDVRGNQITLPLNRLGSAIRGLHGPDVLAITGHSAAVIEESH -QTLQFFRMRGLNHWRRQRRKVQLRMRRAGKEWADLARELLWDPGNSSPPNLTPSEPLLPDELWTHCWEGL -SVTVRVKPCGWTCSLLRVAALAGVALVVFWLATHG - ->YP_009506364.1 polyprotein precursor [Theiler's disease-associated virus] -MAPFLLLVLLCGAGAIRAPASHKCSFKGRFYLSNCCDPKDILLCTYDFCVTRVGCHVCTEVCWNVSRPGI -SVRPGSGDVEPDLKGFFSVAAVGGYAASLIGLGEPFSVGLLGLTILYRVDTGVPDGLRCDRPCNVSVPVW -PSSLEGMRVLWEVVWGLLYRIPHMIWAAFNIFDVWLLGLVILLTLEGRWHLAIMLVLAAGLSTSSAELVG -EPWDSCTCKGVVGLRHLNETTSPCLCENGPWYYDAGTPGLTSFERGGGYCPSRSVRRSGCSLWCQWGSWV -TIYPPSWPNGRHSWLCNWRCWCNGRRCWITCLVDARRHWCGSCVRDCWAETADDSLTFGNCGTGPRVTAN -LTAFPLHYGQKSTVALATKMVLTAKLQPLWRNLNTTIMCSVIRTSVHCFSCIGLPSPPAGLWERVPGEPI -SDCEGVQVSTGKRTPTCPTKQRWNATVHVCPGYAFYSPAYDDGELHVAGYWQWLLAGRTIHFWFLVDFLL -VYLFLMHLSGARITPFLALALWIHLRGGVFGSPTPIPGCKNKNEAIHNYTHCVQALGHAISVVGEASANY -AGHWLLQGPFTGLSWIVNATSSAFNITSHALTTVGSTLSSLAEAWIPLGGASHPLAPSTGSLAAAILAPC -ASCAPAAWFSAAPMLGWAFRYPTWHESIMALLLVLIYMRFAGVARLAALVTWKLTRNFGAVGVLVLLVLA -RRKTSALGYEICISLTGEADWDWLDFSSWLLSLLFAWAVLALASLTPAMKKRKLRWYSRWAWCYSRFISW -VDHTPFNGVDPLSRKASYYWLFAGLVWPNEVAVVVASYVLIAVVVDLTDILLETLLLSNPDLGRLAVLCD -TIAGLRSPWFLHWVLERAARRGIYLYHHQGHLSARLAQYLRELDGALEPARVTPQDCEFVRDAQRILECG -RNYRGKAVVARNGDTVIIGAVRGAWELPPGFVLTAPLMLRSVGRGVWQTLVTSMMGKDKEDHTGNVLILG -TAATRSMGTCVGGVVYTTFHSSNGRTLAGPTGPLNPRWWSPSDDTAVYPMPVGCRSLEICGCGARSAWVL -RKDGALVHGELFPGREIRLDVAGRVADFKGASGSPILCDQGHAMGMLTAVSHRGPEVHSALFVKPWDSVP -RDAQTVTDVGAPPAVPGKGNYEERSLFLPTGTGKSTLVPANYAKSGHKTLVLNPSVATVAAMGPYMKDKM -GITPSIFAGHGPTAISRNTGSNLVYATYGRFLAKHKQLLDGVSVILCDECHSSDPTVLLGIGLVRSEAKK -AGVNLVLFGTATPPGYATVPHKNITEAPVGTDGDIPFYGFYLKSTNYTTGRHLIFVHSKSEAERVASALT -AKGVKAMFHYSGRDPTAIPTTGSLTVVATDALNTGYTGDFDTVTDCNVAVQEEVTVDLEPTFTISLRTRP -ATADLRAQRRGRCGRGRPGLYRYCIASSPPCGTVPSGAVWAAFDAALTWYDIQPAAAARLIGLFAECPYT -GHIGVNLQDPQRVYEVLAPFALTPDVVRARNAGVSWPLLVGVQRSECKRCASGPPSNAPHWQGLVGDCAV -PLLYALETQRPERVIRSPLVDQLAAALGDSVTETSSGPILLAGLALAAAAAIADYTGTLVVVGTFDVRPG -GAPRPPQSRDLPGGLSSGQPQSDGEGPPPPRRTDQLTDSQTLDALQDVMTQTSWECLDYCYRVATGTLAP -RTADALESGARWLREACCGTNPPTSPFPGGWGVTQPLPLGHLAVKAWQTLLNNLGTAISLVTAAWAAGSS -PPLACIASALLGLQSALPLDVRLPAALLAGAGGTLFGDAATGLGMAASFMLGGTVGTAGPFMFLLEVLGG -YESTVVGASLAFDLFSGNASMSDLVYLIPALGSPGPAVAGFAVGFVLHLALGKAPSRAWLNRLLTLLPRS -VALPQDFFLEEDVRARASELLRSLSISRSVSKLLASVGDKYITRTSGSLFWEVAATVISWFRRLLDWVTS -CVKDRMPSVPVPMLTCQAAYTGPWVGTGTVTGRCGCGAAISADFEEGVRVRWHTTSYFCRGYFARGIPLN -TLGTTSGPRPAPKLVGHRAIHPVGLTGYVEVLRAETGEVTITRTTEHDLTRDQLLHALRQPPYQVDGVVC -SLRYSASLIAMIYGSGAVVDYEGRAITLPHTVPGDGVNPEYIGTVALEGDAVREAMAEPEVWHDTTDRFS -DSVEPEELERLTLGSEVELPPLDPEGPGVVPSERTFFVASNPQGEVAIERDVETLTPPIPPVPPLAPLPT -RPVVLPPPPSDSGPLGTSDYPATYSDTGSMPPLEGELRGSGASTPIFWQEPTRFSHVPTSISIESTDRSI -AQGLLDSVGSSAEALAVATEVVNRSFLTPALCHEALHGSGALVASLPPPDPEVASVASTPEPDTVHGAVA -VAAQTALGTVAAALTAATGNSSGEASPVLPEPQVRVVHLTAPCFNHDGDVLCTSADITLAGVLVHAGGRF -NHRHSFWVNGVRRRGTTRVASLCDTAVSVTVRCNSPSGSSCSQTSLPPAEPAVRSPSPRAPRGVHISWTC -CQNRSYRGFYSGNFTISDICDGFAIFPDSSHLFFHGNRVLTLETRVEELEGEQIEIQYTCRHETEPVSRC -VRSYIWYGVPLRVGESRPVPVTRPIGSFMRADATRAYVTQMSEVGNRIEKVTIEQTIALEDQFLMDRYNL -ALARAKNGGPYRGWSYEEAVAKVRPRAAAGHNVKLSVADLKTPAGRKIVEDTIQSIAGERDEHPFMLTAK -SEVFFQDKKTRKPPRLLCYPSLEFRVAEKMILGDPGLVAKAVLGDAYGFQYTPQQRVRKLLSLWDEKQIP -IAITVDAKCFDSTITAFDVDREAEIYAIAHEKPDLVRALHRHYKAGPMVNREGVEVGYRNCRPSGIYTTS -ASNSITCWIKVGAACRKIGLRNPSFLIHGDDCVIIAERGDEDPTPALRAALLEYGYDSDPALHASLDEAE -SASTFLAECTAGYDRRKIYFLSTDFRKVLARATSEYGDPVASACGYTLLYPWHPLTRWVLMAQVIGLPFL -RGASVDEAITCEVAGNRLTFPLKQLPSILVALHGPECLRVVSDSNKTLRETNNALQALRMRGLSWYRKRT -IALRLKMIRAGGQWAKLAKALIWPPSAYIPSLEVDTFDATQLLDIMSRPYNNLELQIGKPIRRSLTGLFV -SRICSFFGSDIPATLAERYALGLVLVGWALAGYWLLFWV - ->YP_009361867.1 polyprotein [Pegivirus K] -MLLPFLLVLPLVGGARTPASHACMVDGSFVLSNCCSPFDVYYCLPCGCLVRPGCTVCTDTCWDVYVPGVA -VRPGCAPGELLGRVGGAFVPLAWAGYASGVLGLGEVYSAFVGSAFILRRAYSHPPNLTCAFDCQIPLLWL -PIKAPEAATLAYQLFSWVVSIPVKILRSLSVGSVGVVLLALLLGLEQRVVQALLLLAAAGVAGASTDAEL -FSCLGCNGTWPVGNRSQVSLCKNGVWRWKAPCIVTPNYEEWGLLCPNTTNKYHCRFGSKVWTGNESAYKL -VPFPYSALCSVTGRLPNGSDGVKCRSCLVDRRPSSCGCCWSDCWDTTLRKDLSFDRCGAGPRLTLNLTAI -PDPTGRAGQRSGRRRRHYLTWGYPGGYWFRKYLGRWAPSNPDLRVPTMATPTREPGVIRDPYVVTVIGSD -SHYVKCPTRLPPNGTWFRIPGLPSNVCLPFADLFSWPRTAELPDDLWRHCFEALITYPGSVLTCPGVAWD -SPLSSDGMLHVGPRLQQIDEYTDQFPSAWFLIDFMFVILFLMKLAEARLVPLITVLVWWGFHNVVFVESC -ALAPVQQFFTAAWGNATAQWEKINNYTKGFSSRGQQFSEDWVLVWGNFSQAVQGFGSSLQRLFASAESVP -RGELLRLFFACVQNGGCPAPTLPCTDPPVIVTSYSFWDWVSWLASYLPKVEAAFSPHLLGGPMVGWASRQ -EGIEFVLAFLCALAYLHVLAPGRLAALLAYKLAGGMPAAVLMAWLAWSRQGHAVSGLTVCLELGPTFFNA -NWFTWTMSIIASWGIVTLALLTVRGRAAKLEWYGVWCIAYAKARWVVRASPVGPFARLQSLWGLWYLASL -VYPVECSRVALSLVCCGGFFDLVDAIFESAVCTHTNLARLAKLLDRLAEIGETRAVRACLESCARRGVWF -YDHKGHVGQSLAEWLRKVHGALEPAGVTPTDCFYYRDTAYTLQCGMTIRGLPVVARCGDMALIGVLRNLA -DLPPGFTPCAPIVVKKAGKGTLKVILTSLTGRDSDRTDGNICVLGTATTRSMGTCVAGVMYTSFHSSNGR -TLAGPDGPLNPRWTSTSEDVAVYPVPLGMKCLDICGCSPGSVWVLRNDGALCHAEASLPQRLAHLDVSMD -VGYFLGSSGSPILCDRGHAVGMLVAMKTMGHRAVSARFVVPWANMPQEVRVRREPAPVPAPGCYAEKPLF -CPTGSGKTTKIPLGYVAQGHRVLVLNPSVATMSAIGDYVANLAGQAPSVYAGHGQNAISRTTDSKLTYCT -YGRFLTAPGLRLMNCDVVICDECHSSDPTVLLGIGMVRLKAQEHGVKLVLFATATPPGTPMVPHPDIEEV -RVDASGDFPFYGHKLKLETLKHGRHLIFCHSRSETERVALALVSHGVKAVFHYRGRDVGAIPASGDLVVV -STDALCTGYTGDFDTVTDCGMMVVEEVVVTLTPTIDVVTRVRPVSADVAMQRRGRCGRGRRGTYYHLGGA -PTPVGIVSSGAVWSAMEAAITWYNMSCRHAEEVLRLFGECPYTSHLPSCLSDARVFMEGLVPFVTNENVT -RCRDAGVSWPLLTGVQLLACRQSPNCSPPSDDPRWVGVEGTDPCPLLFSWGGKRPDRTCSHHIAEDLCRR -LGEGDGERETGTGPILLVGCAVAAAVYIADHTGSLVVITGWSVGTGGSPAHPTRLDRCEPSPTAQEDTVG -PPRGGLEDIKVAVEELEKTVGWEGFAAAWQVVTSNFSTTTANMVDAWYTMWGGLMSAGGRPAPPPQAMRE -TFIKGWGVFASNVNIIITGLTAAWAAARNPPLATAASGLLGIELGFPLEARLAAGLLVAGLGTAIGTPVV -GCAMGGAFLAGGALGGLGPLSLLAALGCGWEATVCAADAVYNIMTGKRDELNYWALVPVLAAPGAGVAGA -ALGLVLGMAVKNTHVEDWLNRLLSTLPKGTSLPDNFFIHQGIEEQVRALLRRLSLSRLLARLCECATQPE -ESMCGAVVDLFWAVKRFVNWLITWLRARLPAVRLPIASCSPGYPVKGPWRGTGILEARCACGCVVTGSAR -EGRLRNLHWSGKFCRHYFLGTVPVNCLGRVSDVTPDPPDDDRVIVVAYGIGDYIKAYQKGGMVTVLASTT -ATLTRVGLWACLKSVPLFVDGRPACWGADCAAPCFPYGDGCEVLLNGELVVLPHILEGPIRPPPGAEFSS -SVSEPTQEPGTLVQNFDEELEDFVKKLGVTSVSRPETVPVGSPVLELETWLQSCDEDQRSVLSTSAADEL -EEASDVARRLEALSPYQKTAESVETSLQETGGLITRLQEHVATTSKLLVRTAVAAVEAPRETIARRFRSL -REVRAAGGKCSVWRLVQRCCGEDRSFSVNLPHTANVGELLTASGWKFTANHIPFIDGEPASLTEVLGSYP -SRVTEVVLDCGPLCGDKPCGYSYVWSGLPLAVGSGRPLPLHRPEGTFVQASSSRVYCTDPRDVGERVEKV -TICQRELVVDSFFDAVYKDALGLARTIAPLDGWSFEEAVAKLRSGAASGHNAPVTVAGLKRGEGKHHVEE -CRRQLLEGVGYHPFMLTAKQEVFYQDKKTKKPPRLIVFPSLEFRVVEKMAFGDPSRVPKAVLGSAYGFQY -TPFERVKVLTDMWKSKRNPCAIVVDAKCFDSSITPGDVERETELYCAAYRDSALIRAISRHYAHGPMVNN -RGVWVGERNCRASGVLTTSSSNCLTCYLKVKAATRKVKLKNASLLIHGDDCIIICERELPDDICDQLRAA -LLAYGYDCEPTVHSSLDTAVTCSAFLAECKVHGGARINFLSTDMRRALARACGGYGDGAACAAGYTIQYP -HHPITRYVLIPLLLGMIFARGGNPTDEITCNVRGNSCHFPLVKLPQILVGLHGPSCLQVVSDSTKTLVET -NAALQALGMRGLGYYRKRVATLRVRLTRAGGDWAMLARALLWHPASKPMVITPLACDALQYCITHPYMGE -ALHYRSRLVRPWWVLPFWAILGACTLVCVLVC - ->YP_009256194.1 polyprotein precursor [GB virus-D] -MSMGPPRRRSLTCGKPKNPSGDGGGHLFPLTAHGVLVCCFTMAWLVLLFLLAGAASAGRLARQVCRVGSS -VFLTNCCSEDDVYYCLSDSCMTAEGCVICTQGQCWESTSPGFSHRPGSDPGGFPKALRGHYGTLVLSAYG -ASVLGLGEVYSLGLCVLVGVTYGGGSVPPLVCDLDCNITWHGDAWQIAQAAVKLGTTVERIAELPWRVAV -GLYNAGLLVCVLCLLFCLEGRVVMAVLLLLSTGLAEGKVLPARRGCNKDPTFDSCVCSPPKPYTTHNSTQ -VCTCPFADLYWLGISARFWGVVGRDWKPPGICCPRKVRTPAEVWCQVGSTVWGHEPLREYDLDIWNLVPN -GSATCWFYLGTSVIYVCVVDRRPEWCGTCTQDCTLETGDPRLTFEACGLGPRLTRHLTAVGANIWDPIVN -TPWVGRKRPSNPGVRGPVSDANYLVTQIGTTYHAFGCPKRPHPRLPSLIPGKPVNSCAAFSGALWDLDLG -IPRRLLTTCLATATRAGTVSVCDGFAWRVPRDGDHFIHVKGSWQETNTGWLPSPWWLLCDLFFVLLYLMK -VSGAKLIPALFFAVWYQCGMFVSAFPVPVVMLDWWNDTIASAPWVKEAYERWAQNGTINATLLLNCNLIP -CDAVSLGVYESDVYLTSGVRFAVGTGSWLWDSAVNASKRLQFFVNPTAMSWLARSSLNLTSTLGVHFNLG -DVGSWIRSWNWTWSGRPTLPPPPTSPSPAPEPLTARLQDLLTRLLQALAELNRTVGAEAALHPAVVAGPM -FVWALGSVDILGVVFGLLALLFYLKGLGPARLSALVAIKLSRGFVGVLVLLALARGRPWSVLGYSVCFEI -THDTSFHMHWFWNFVAFLIFFACISFSLLTPYGKRLRLDCFFYWARAYAWLVLKVEDSPVGKWGRPRQAL -WLWPLCCYIFPTECVLISLTLICLAAAIDVLDWVIETMFICQVDVHRLAQALDRWSAMLSMSRLDRVLTR -CGARGIWLYDHMGQVPASLAERLRAFGGALEPAYVTGLDLERIKDDARVLACGSSVRGKPVVARRGDEVL -IGTVRGLEELPPGFTLSAPLVVRTTGRGFFSVMTTSMLGRDSKEHEGSIMVLGTATTRSMGTCLGGVMYT -TFHSSRARTLAGPSGPLNPRWWSTSDDTVVYPLPLAARSLEPCGCSPSSAWVVRNDGALCHGQLLEKGVR -LDVSLRVSDFEGSSGSPILCDAGHAIGMLVSVRHRGPVVHEAVFNVPWKTMPKEVTSQMEPPCVPKEGFV -EAPLFVPTGSGKSTKIPHGYAQKGHNVLVINPSVATTMAMGPYMKKLTGSEPSVYAGHGPTAYSRTTDSK -LTYCTYGRFLTQPVRFLKWADVVVCDEAHSMDSTTVLGIGLCRALAKGEGVKLVLYATATPAGAPVTAHP -RIREIQLTGEGDLDFYGFRIPTKRYLKGRHLVFCHSKELCRMYAQEFTKAGCRAMYFYRGCDPGSIPDTG -DLVVVATDALMTGYTGDFDTVTDCGVSVREEVTVTLDPTITIALCSGPATADVRMQRRGRCGRGREGTYY -FCLDGAAPSGVVPSGTVWGAVEAAVVWYGLKPSEAADALRVYGACPYTAHVSGNLGDAVVFCEGLVPYAH -DAEVTRCKAGGVQWPLLTGVQRRMCLEGDAEAPDSDPRWAGVVGKNPTPLLCRWGHRAPERIAPHHIVDD -LVRRLGAEPLATDTYVTPVLVVGVGVAAACAIAGATGSLVVVTSWVCGGGGSPVALATLKTRGVSGHDPT -PIPPLGAEGIVKTPSMPSLESGESTPSDIKKTKQSLEVVTTQMGWDALAGVWSAISGQAAKVTTEAQQAW -MNWGRVGGDVANLPKAAAANAAWKGTAFVTAWTQIQGYLTEITTAGVALWTAGRNPPLAICSSLVLGLQT -RLPLDARIAAGLLIGALGGSLGTPAVGAGMTAAYVMGGGIRGMPVLGYLFDILCGWEAVATCASVVFDLL -GGTAKMSDAWYCLAAIGSPGAGVAGAAIGILLHLAFSSAPSEKWLNRLLTMLPRGSALPDDYFEHSCLRE -RASNLLKRMSLARLIAKILERQEEVHLCGWDMLTDFLSCVRRFVTWLYNWIADSLSLYRAPLLTCQRGFT -GEWEGDGEVVATCGCGATVMATIRAGHVFDLKYSSWLCTNSFRHAIPINTRLKTSGPKPKVKDGLHVYRL -GFTQYVWVQKKDRWGEVVGASISTFTRADIRQAVRMGPCYVDGESVCFSNSFEGPARLYGPKYRVTVDGE -NSVLPVSVSFLPDEEGTAPSQVLRPKAPPLPPGRNPLPPCCAEYAPRLKEGLTTAKSPAVMQSATTSEVG -SLPILPRSLGTGVKASAGDSTTVDVSDTVCRDCVPGSVDLAPVLQPEEPVYQATVEPVAAPVLEEIPLGE -SPLVPPPASAVSAVVAATTETVLAAASNVTVAAAAALNCAGKGLKAASVGLGARPKKRPSADTHKIIYRG -PVPATRNMVKVKVEMPCCEKSSRRVVDGALTVKELLTLVGWDCRGHQLYDEDGTPVEEDCPVSELGNGYL -RLSELSETKCGLSYLWSGAGLECGLSKAPPVTRPVGKLLSADATKAYITDMRDVAVRISKVTKDRKNFVP -DKFFNEVYQAARGSVKRLGRNPRFSYEEAVAKVRPGAAPGHNVKLSVADLKTPRGRDIVLRTIESIRRRD -EKHPFMLTAKQEVFFQDKKTLKPPRLICYPSLEFRVAEKMILGDPSVVAKAIMGKAYGFQYTPVQRVGFL -MELWKSKKKPAAITVDAICFDSCITPEDVERETELYALASDDPELVRSLGSYYAGGTMINRRGVVVGERA -CRASGVLTTSSSNCISSFLKVSAACRKIGLRDPSFLIHGDDVMIIFEREAEDPCSRLKEALADYGYPCEP -QYHASLDTAQSCSAWVAECSVWGGDAPRRHYFLSTDFRRVLARSVAEYGDPVAAACGYCLLYPQHPIVRH -LLLPQLLSLPFYKGESVDARVVCEVSGNKLTFPLKLLPSILVGIHGPDCLRVVTDSTSTLTETHKALQAF -KLKGLSYYRRKTAVLRVSLLRKGGEWAKLARTLLWGPQMGPPPIVEPRKGSLEELMTHPYQGTSFDLTVP -DRGVVGRRDWRLVACCAAVLLLAILI - ->YP_009227295.1 polyprotein [Human pegivirus 2] -MGCSTDQTICSPVVGADYNTSSGCRALNGSYHCGGGSCRSPSRVQVARRVLQLCAFLALIGSGMCSIRSK -TEGRIESGQILQSQRACWTGEGFAFFSNCCNQSDIMWCLHRWCVTRPGCLVCTGNATHPICWDYLGSGVS -RRPARRMGEGAEALLRLIGIAGWLGLLAESLGMSEVYAAILCFGFIAWYGWGIPKTLVCTVCPAVNISPY -SFLSPDTIAFGTWILQLPGLLWQMFVSFPILYSTWILWLLLSGKTVAVIAILLASPTVMAYKHQSESYLK -YCTITNTSTSMNCDCPFGTFTRNTESRFSIPRFCPVKINSSTFICSWGSWWWFAENITRPYTDVGMPPAP -ISALCYIYSNNDPPPWYHNTTIIPQNCRNSTVDPTTAPCRDKWGNATACILDRRSRFCGDCYGGCFYTNG -SHDRSWDRCGIGYRDGLIEFVQLGQIRPNISNTTIELLAGASLVIASGLRPGFGCSRAHGVVHCYRCPSY -RDLEQFGPGLGKWVPLPGEPVPELCINPQWARRGFRMSNNPLSLLQTFVEDIFLAPFCNPTPGRVRVCNN -TAFYPRGGGFVQLIGDVQVLTPNTASLHSLLTLISLILLVCVVSGARFVPLIIIFFWSARHVYASCYLSC -DWAVCNDAFCFTSGTCATFNDVLCLPVATRISSCGHAVPPPDRGWEVPAAMSWVISRTTGLTFDVFSFIQ -YLPTVPGNNTNIIYCGEPTFLGDITGIYWPYFLPGAILLYLTPFLGLRLMLAGFNIDGLFPIRHATAALR -FSTSRVTLCVVVAFLIYILSHPVNAALNRMFLASANLEMILSFDTYHETVLYILCLLLYLQVSPRAGLAA -MVAIKLSRGLLFAVVLAHGVCRPGRVFGLEVCADISWLVEFTGNCTWYMSCVFSFWCAVFAFTSPLGRHY -KIQIYRYWAQVYARLVLAVGCGPLGREFHFRASVGVLWCGACMLWPRECSEISLVFILCALTVDTIDTWL -VACLSAGPSARTLAILADDMARIGDHRALRAVLRCFGSRGTYIYNHMGQVSERVAQAVRDLGGCLEPVVL -EEPTFTEIVDDTMSLVCGQLLGGKPVVARCGTRVLVGHLNPEDLPPGFQLSAPVVITRPSIGTWSFLKAT -LTGRAETPGSGQIVVLSSLTGRSMGTAVNGTLYATGHGAGARGLATCAGLRTPLYTALSDDVVAYSCLPG -MSSLDPCCCSPSRVWVMNNNGGLVCGRVENDDVCLDCPTHIDQLRGASGSPVLCDHGHAYALMLGGYSTS -GICARVRTVRPWHNAYSSSGGQGGMQAPAVTPTYSEITYYAPTGSGKSTKYPVDLVKQGHKVLVLLPSVA -VVKSMAPYIKETYKIRPEIRAGTGPDGVTVITGENLAYMTYGRFLVDPETNLRGYAVVICDECHDTSSTT -LLGIGAVRMYAEKAGVKTVVFATATPAGIQVQSHSNIDEYLLTDTGDVEFYGAKIKMDNIRTGRHVIFCH -SKARCAELTQQLSGLGIRAVSFWRGCDIKTIPASDSIVVVATDALSTGYTGNFDSVIDCGCCVEQTVTID -MDPTFSISARVVPCTAALRMQRRGRTGRGRRGAYYTTSPGAAPCVSVPDANVWQAVESAMVFYDWSATRI -QQCLAAYHDLGCTPRISCDPHTPVRVMDTLRAYLRRPEVTTAALAGEQWPLLYGAQLCICKETEAHGPDD -SIKWKCLLNNSNKTPLLYALDNPTLEFTTQHDLTRRIAGALSSTVFVETGYGPILLAGAALAASFAFAGA -TGALVPSAVWSVEVRPAGVTRPDATDETAAYAQRLYQACADSGIFASLQGTASAALGKLADASRGASQYL -AAAPPSPAPLVQVLQFLETNFSSIASFGLLCAGCQAGECFTALAGLVSGATAGLGGAHKWLLAIAGTWLV -SLQTGSRGGMVAGLSILAGCCIGSVTGLDFLFGCLTGWEAVVGAAVATQKILSGSADMTTLVDLLPALFS -PGAGIAGIVLVFILSNSSVTTWANRLLSMCAKQTICENYFLSERFGQQLSKLSLWRSVYHWAQAREGYTQ -CGVISGIWSFALCILRAVWDWAAKHVPRFRVPMIGCSPAWCGRWLGTGTLLTTCACGERVSLQCLCSTSD -PQLSVGRWCRCSWSVGFPFNPTTTGTGTLRPDISDANKLGFRYGVADIVELERRGDKWHVCAASCCLDRA -SVASAVKAPPVTANGIPINSFSPPQTYCLSLCSFDTVCMSTNLCNPAKTLSVCQEEAVELLEETVDTAQV -VMSQNLAARRRAEYDAWQVRQAVGDEYTRLADEDVDMTASVKPPVARAAVGSSTLDDVSVLTVLRELGDQ -CQNAIKFVVQAASRFVPPVPKPRTRVSGVLERVRMCMRTPPIKFEATAVPIHNIIPEECHIVLRCTGCCD -QALTVPYGTCSLTLTKYLTNKHSHYIPKEKIEEDTEIAVICAVPTKRASKLITFRAGDRSVSCCHPLQTP -IRALLQKYGLPIGKWSDCNGPLGDDARVCDVNGVTTYEPCMQSYNWFRSIVAPTTPPLPATRSVAGILRA -DTSRVYTTTAVDVSERQAKVTIDQKSAKVDQCLRDTYNCCLAKAKTFRQSGMSYEDAVSKMRANTTRDHN -NGITYTDLVSGRAKPVVQKIVDQMRAGVYDAPMRIIPKPEVFPRDKSTRKPPRFIVFPGCAARVAEKMIL -GDPGAITKHVLGDAYGFATPPHERARLLEQWWNRATEPQAIAVDAVCFDSTITAEDMDREANIVAAAHTD -PEGVHGLYNYYKRSPMCDITGKVVGVRSCRASGTLTTSSGNTLTCYLKVRAACTRAGIKPIGLLIHGDDT -LIITERCAQETLDEFSNALDDYGFTHTMQVSGDLSSIECCSARVDSVCLRGGMRRMLVPQARRAIARVLG -EKGDPLGVISSYIVMYPTAAVTVYVLMPLLCMLIRNEPSQTGTLVTLTVHGNSVSVPVWLLPTIIANLHG -RDALQVVRHSAASMAELSSALAFFGMRGLNCWRRRRRAIRTDMIKLGGWNANFAQMLLWSPEVRTPQPEP -KGMCLLPPELWERPYENLHLSTIDRNRGASRLRFWLVASAILALLCL - ->YP_009109602.1 polyprotein [Norway rat pegivirus] -MQVLLLLVLGTGAAASASPSAAANSTTTPDPGFWAEVGLGFSGFGKSLKENIQKGLRDAIAACGPTAAPS -ADWGKTQFDKAGSRLTTWWSGLGSVFQTWRHNITATHKQLTWSFATWVAGLGGYDIGGLVAQAAAAPMAQ -SADPPTTAVPADEASLAWFVFGFLVLFLAFLCGAVVAHWFRCPRHRRRLPGPHRADPAPPVALYAIALLA -LCSVVDGSRTNRLLQSSRACRVPDMGLLFGNCCNETDIVWCTGWVCWTAPGCSVCTERDGCWTSIGGGVS -VRPATSSAEAKREAMDLFGFVGWCGVLAEGLGLGEYYAAGLVAGVYLVGGSRVPDLVCNVTCPQAEDSWW -WRNAPTLAALWTFVQAVPAAVWSLIEAMPLLFGSYILFSLACGRWVQVVLLLVSVPGALGACPVTFRGLT -GGSTTACRPEDFVGNNTICDCPFGLVVRNFNSTYNYLDLNFSCPENFFGHNEIFWTCGWGSWWWQHNNVE -RPYSHPYMPPSPFTAICYLTTNRTEIHNGSLLVYSSGYGLDNLGGAPITTCILDRRPDFCGDCLGGCFYE -DGRHDRGFGVCGGGVRDGPWWFLPLATLRFGGWFTPEKSWVVPDDTTARRLASKYREGVGCGVVSGLINC -WLCNVPFTTTGNATPPDGLWYPLPGEPTDICVNPQRRKQSLPWSPGWYSALEFASDLITGKLVCQAVPGH -FPVCAHSAWFPRDGGFVVISEDTQVFGGGPRILRSFLGLLVALVVFMATAGARVVPALLMLSGFIAFGFT -CYPPCAYAVCNYYLCWTSGSCAVWVEGSLCVGNGTVAWCGSGAFLPGAAVSALAPAYVVWAGSVVTGAPR -PSALWVTWQALKPVEPALRDVVVCGAPGVAGSAWFDAASLAFLLALGLLPVAWRWACASLAFFSTFRFRS -LFPVRWLSVALHMSMGSPPTCLAILAVAWWLWAAVPGAEAALSRPVIGGPALGWALRFPTWVEAVLGCLC -VLAYFRCVGRARLAALAAYKISRGLGGFLLLSFLLTRGPDRGVLGAEICFPLFEADWSLDDAWWFCSISF -SSALFLCALYTPLGRRAKLRLYARWCRVYCWCEFGLSVSPLGVYSQHLGGVGCLWLVAGVVAPVPTTWAA -VTVVCACGTLDLIDWLFEVVLCSRPSTSMLVAWANTCHAWFSDAELRAFLRRRWLAGEVLYDHCGQIAAD -LRDRAVDLGYTLEPLALGPEDLRAVHDDSFVLTCGRWYGGRPVVARCGASVLIGQAQSVESLPPGYTLTA -PFVTVRRDIGWLSILKVSMTGRSDTPGQGQIASLRTALGTSMGCGVSGVLYATFHGTKGRALATPQGPRS -PFWTSPSEDVVCYPLQPPMTSLDPCGCNSSSRWVLTRAGSLVHGTAHGEDRVHLDCPTPINKLKGASGTP -VLCDCGHAVGMMVGVSGRSGVGESVRFVVPWRVQPGDVKPAALPEFPTVPSKGYKEVPYIAPTGSGKSTK -FPAKLAQDGHSVLVLNPSVVTTKAMHGYMKQLTGKAPNTYAGTGRGAMQVKTGSKITYATYGRFLVNPQG -FLQGKDVVICDECHATDGTSVLGMGVVRSLAQAQGVKLLVFATATPPGTQFAAHKNITEVALGGDGDVPF -YGLSLKSENYLKGRHLIFCXSKVECQRVAEELAQRGAHTVTYWRGKSQDCLTPDADLVVVATDAISTGYT -GNFATVTDCCSVVQEDVDVDLNPTFSIGVSVGPADAALRIQRRGRCGRGAPGTYYYTVKGAPPSGVCGSS -VSWAAAEAAFMWYGMLDADVTRYLECYQECPYTARLQGAPGDAVRVLGCLRPYFHCAEVTQEALRDTQWP -MLTGIQRHVCLESGSAAPSDDVRWQGVNGTGSTPLLYRLGTVTVPTTSHPLALKMAAALGDTSYHDVSLG -PILLAGAAIAAACAVADATGVLVLAGTWEVCGGGAPVYPGGSLETQGEVAGSEPIPADALQEVVTSLDWT -FLTTVWGALASGGTQALEGAQRFAASAGCWWNEKVVHLVHGIPTQGPGARVLGFLEQHLTALLAGGLAVS -SARSSPPFAALAGLVCGAAAAVPQPVVWLLVCAATAASSMVGGPVAGAGVGAGVWLGSMLGGLSWVDMIL -GVAAGYEACVSCCALVLDLFDGRVTLANALPCLAGLLCPGSALAGVAMALILRSAATGDVTTWMNRLLSM -LPKSNVLPDGFFAEKKDIKLGDMVRSLSLVQRIRAMCEATKEPEYIYTSATWVGRLIEFAGVIVRMLLDF -AGRWLPNPLPRFPIYSCQAPYRGPWEGVGSAVTRCGCGRSVTLTVRPGGGPVVEASSFCSSSWGDPKGFP -INHTTKYSGTLLPCVRDAQDVTFLVGLGHVVRLVGDGLDWYLQETSLASLSTGLILSACVRGPIEVAGKL -VSTRIGQLTTGRFVPGQSVIYNGLPVTLPHRLSFNVKFPNMPFAPSLLPQVPDDGEGLAPALGEPVEGAL -EAAEDAIKAADAVVATAVPLMEKAFEARRAAELAEWTAREKGLGKVLGRNMVAQYAVRSGALDPEVLEWL -DSQPDAVGPPEKAASVKVKLEESRVHPGLSAEPITEGFSAPTGPEDVGAMAGALRRGVGVATDLATGAVS -AIMRGVVLGTRTILGAQRVASKVRVEEVVPGALSTPKVNVLVTWFCDGHRQVGRVSVPEDHTVAEAARAA -CVPWSHPHVYRWGGVPISPDVQLKNLGTGEVALVATCPAVKAKTVMRNVVHKCCGADESFRKHFRRDIPV -KVVGSLCDRGKPATYYDGDKELTGEEELGDVGSVITVVHEEPCGLSYVWSGVPIQVDRSRQPPVSRPLTA -HLRARADKVYVTDPQNVHARIAKVTIEQRVAQEDRYFKDAYNLALAKANKVLSPGYSYEEAVAKVRPGSA -KGHVAKLTVADLKTPRGKEEVLKCLDGIRTGTVQAHFMLRPKAEVFPQTRPTFKPPRLIVYPSLEFRVAE -KMILGDPAVVAKAVLGRAYGFQYAPHERARVLVDMWRSKKVPVAYTVDGTCFDSTVTPEDIGREAEIFAK -ASPDPVLVRQLHTHYAGSPMVDPSGNIVGYRHCRASGTLTTSAGNSITCYLKVSAACRKAGLTDPSFLIH -GDDVLIVCEKKEYDESDALGAALASYGYDCVPTRHADLTSAESCSACLDVCRTVRGVKHVLRCDMRRGLG -RAMAEYGDPVGTSWGYTLNYPTHPVSMYVLLPLLLQTALNNGGGVGQSVVVDVRGNSLELPLNRLGSAIR -TLHGTDALAVTGHSATVLQETGECLHFFGMRGLNHWRRVRRKVKVRLLRAGREWAALARELLWDPGDCVP -PVLRVDRYEIPEDLWQHSWEGLRLVPTEPHGYRWLTPALLCGVGLFLLLL - ->YP_009044207.1 polyprotein precursor [Simian pegivirus] -MGLLWLFSVFQVAGGLLSPASHACAFKGQFYLTNCCAQSDVYMCLEGGCIVALGCTICTDTCWPLYRAGV -AVRPGYPPGAIVGSLGWAYPPMATAAYVAGVTGFGEVYSLALMIGAFATRQHQPVPDLRCDVDCNATWHS -DLWYLSQEVAAEAWYVQYLWKLPFDFWRGMLGLTPLLGFLAVLLGLEQRFVMLFLLVTMGGMASGAPVST -LGVRPVDHGPPWDQCNCVAGGKVVRLWERGNDTMHCQCPNGDWYWVPSNCGRVGWYVDDKLVMWSHGEHQ -WPLTCPHRVSGPVSVVCQWGSRSWYARTMVEGPLNLLSLVPEGSALCQVRVHSLLADAQQDINEHWGRVC -ATCLIDRRPFSCGTCVRDCWPDTGRMALSFARCGTGPRLTGTLEAFAFANRSTGYTFHGPVGDQGAGNPT -RTPLEPGKYAVTVIDESLHLVKCPVDLPRPSGTYGFFPGEPPVNACVTRGSEVSEVLGGAGLTGGFYEPV -FRPCTGFLSEPYRVCPGYAWVSGAGPDGFITVRGDEQAVTPRWWVPPPRWLLLDFVFVLLFLMKLAEAKL -VPLILLAVWWWMNQITAEAALHHGFFAAPIAAWCLRSEWIVMVLGTLNLAIYMRWLGPTRLMALACWKLA -KGAFPLVLLLVAGATRGRTSVLGLQFCFDFTVDWQPSLLGWAAALVVSWAIFVTSTMSVGGWKHKARLYG -GWCRVYQLIRYYVAASPGGTRSESRVLLGCWLGAQFLFPEECGLVVLVVMCFCGLLDGTDWAIEILLTSR -PQFGRMARLLNSLIESGDRVSSTRLAEALARRGIFLYDHMGQVTRRARDQLLEWEAFLEPVSFTNRDAEI -IRDAARVLTCGASVAGKPVVARRGDEVLIGTLLSLGELPPGFVPTAPVVIRRCGKSFLGVVKASLTGRDM -DQHPGNVMVLGTATNRSMGTCLGGLMYTAFHGAGARTLSSPSGPLNPRWWSPSDDVTVYPLPDAAVSLEP -CTCNPCSAWVIRNDGSLCHGTLAADMKVELDVAMEVGDFRGSSGSPILCDEGHAIGMLISVLHLGGRVTA -ARYTKPWNQVPSDAKTTTEPPKVPTRGEFREAPLFMPTGTGKTTKIPYEYTKLGHKVLVLNPSVATVKSL -GGYMEKLTGKHPSVYCGHDTTAYTRTTDSPLTYATYGRFLANPRSMLRGVSVVICDECHSHDPTVLLGIG -RVRELAKEAGANLVLYATATPPGAPMVSHPSIIEAKLDVGEIPFYGHGLPLERYRTGRHLIFCHSKAECD -RLAGNLAARGVKAVSYYRGKDVSIITEGDLVVVATDALSTGYSGNFDTVTDCGLVVEEVVEVTLDPTITI -SLRTVPASAELRMQRRGRTGRGRTGRYYHAGVGSAPSGVVRTGPVWSAIEAAVTWYGLEPDLAASLLRLY -DDCPYTAAVAADIGEASVFFAGLTPLRMHPDVSWAKTRGTNWPLLVGVQRTMCREVLAPGPSDDPEWAGL -KGPNPTPLLLRWGGHAPERISGHHIVDDLVRRLGVAEGFQRCDAGPILLVGLAVAGGMIYAHYTGSLVVV -TDWEVKGGGHPLHNKQPIISTTVQGTRHPGSETAPNDAKVNIEAVEMIQDQVDWSLMNMSIAEIMALAKL -KGCAAYEATSRWVAGTYTGGKAAAPSVWSSLMAGGWAAIVGHCQSVIAAAVAAYGAVRNPPLAAGACFLM -GTGVCGNIHVKLASSLLLGAVGTALGTPLVGLTMAGSFLGGATVAPSLTTILLGAVGGWEGVVSAASATF -DFMNGRLALEDLWYLIPVLTSPGAGLAGVALGLVLHAGNSSGNTNWINRLLTALPRSSVIPDGFFHEADY -VEKVSLLLRRMSLTRFIVGLVERKTEVSETTVGYVWDLWEWLMRLLRRLKDRLKAYCPQLALPLWHCGEG -WCGEWLTDGHVEARCLCGCVVTGDVVNNLLTDIHYSTKTCRHYWMGTIPVNMLGYGDAIPLVDPGKAKTV -PVGTSGWLEVMVTPNSVILRRASSYKLIRGQVMAAATSEAYYVDGTPCSWDSDARAPALVYGPGQSVEID -GERHTLPYTMRINRWVESEDSYRVTPLADEPDLTPKPAQSEVSLEGELPPAAAALYALEQAAKVLEPHVN -LLCPAVMDDCSTPSLCGSSRMMPCYDSLPATPEPGVIGHDKLGQLVIIPQDSSSMDEPELSSECPPDLRE -GSMEELSEEPPPDLREEPEQELSSSSICPCTDESSLESWVDLPLQSPPNEPVVEATVTKAIVHREDESAM -HAVLTALRSILPSKEATRKLAVRMECCCERYITRYFSLSLTVADVANLCDIDVENHKAYCDSVSVAFTLR -VADLVGNVLHFECDKTEGRKETACSYSYVWYGAPLGGRPTKPPVTRPVGSLLAADASATYVTSPDHVGRR -IDKVTFWRKPRVCDSFLVTEIERARQRACKVDSHGYDYEEAIKTVRPHAAMGWGSNVSVSKLATPEGKNA -VYERLNDIVAQKEIPFTLTMKKEVFFKDRAEPKAPRLICFPPLDFRVCEKLILGDPGRVAKAVLGPAYAF -QYTPNQRVKTLVEMWKRKRTPCSITVDATCFDSSITEEDVELETELYALASNKPELVKALGKYYAAGPMV -NPEGIPVGERRCRSSGVLTTAASNSISCFIKVSAACARIGLRDVEMLVAGDDCIIICERPISDPCVELEM -ALRSYGYNTEPKFHVSLDTANFCSTWLAECNADGSRHYFLTTDMRKALARMSSEYSDPIASALGYTLLYP -WHPIIRWVVLPHLLNVAFRGGGKPDDPVWCQVHGNYYKFPLSLLPRIIVSLHGPAALRVTADTTKTKMEA -GQTLAAFKLPGLATHRRKAGALRTRMLRAGGGWAELARGLLWHPGLRLKPPNYNSLPGGFPLGEPYYGVY -FELEEPGLRRRWHWLWGLIPFLIVAIFG - ->YP_007905734.1 polyprotein [Rodent pegivirus] -MAVYNSLVVRATVAAPVALWCLWSGIGFVCGASTTPTTVTPSPTPCSGFWDCIHRGFHGFGVALRDNLQY -GGRLGLGIANPTLSPDLDWGKRPFDASGERLHGQFSGFAERVAELGRNISTTLRAGWKRTMLRAGAGFMK -AAGVDFGSEVARQAAASMARRRRSLIASAPSVAPQPSTSFPLFTVCVLGFVALLLGVATGMGCRLRLLAL -LLLCSCAVPAESLPTSSRACWVPEHRALAFSNCCNETDIFWCTPWLCWTLPGCAVCTAEHGCWTMVGSGV -SIVPSSKVGQVRRSSMDFFGVLGWVGVMAEGTGLGEYYSAAIVAGAFLMGHTAPSDIICNVSCSQAGTTW -WYQAAPTVSAIIELLYSLPHAIFSLIESMPWVFGSLLLFHLGCGRWVQVVLLVFAVPGVLADCPHSNETF -TNEEGQVDSWPFRSCTDKDFASNGTTCDCPFGVIIRHFNNDTDPYLDIPAICPESFPYTNFSWLCGWGSW -WWVAHGVERPYSHPYMPPSPFSAICYILTNRTVLYNATIYPNTYAGVGRYPNYTASVLGPAPVTSCMLDR -RPAMCGDCFGGCFYRDGSHSRPFGVCGGGVRDGPWHFAPLAVIYGNPNAPWWVPTRGTAELFATKYLHGY -GCGLVNGLLNCWSCELGFSSDHRYNLTTGVWWPLPGEPTEVCINPQYRRSRLPPGPSWLELIQHTVDKVV -GRTKCNPRKGWYPVCRDSAWYPPDGGLVVLVGDTQLTDSSPLLLKHFLGLYVALVVFMATAGARVVPTLL -VLFGLFWFGYADCYPPCEWAYCSQYVCATSGGCFVGVGEGLCAGAGHLAPCGSGSSLPGSAVDALPVAYL -AHYIGALFGVPSGSWLWFLVQAFSVQDWYYPADFICGNFTLVGAELEYDTNWLGFIAAFVVRPTALALVA -IVIAGCAGLPLRTHFPYRAFAVAFHLASVSLIESGVVFGLAWWAADWFARAGADAALSRAVLASPVVGWA -IRLPSWPEVILGVVCVALYMRVVGQARLAALVAYKLSRGLAGAALVVLLVCRGPERGALGYQLCLPEWDA -SIDLDDVWWYAAAFFTFCCISISLLTRRGVVFKLRVYARWCRLYCWLQLVVGHTPAGDYFRWRGASSLLW -LAAGVLWPAEVAVVSMIIVCFAAVLDVFDALLERLLTASPSLRPLVNLANTLHSCLSDPELAAFLRARWH -RGELLYDHAGQVAASLRERVVALDGCLEPLTLTGEALQEVYDDTFALTCGRWCGGNPVVARCGRSVLVGS -AASVASLPPGYTLTAPLMVLRREMGFWKTLRISLTGRGDFPDSGQVVLLGTALSSSMACGVGGVLYATFH -GTRGRALSTPHGPRNPYWTSPSEDVACYPLIPPLTSLTACDCASTSRWVITKHGTLVHGLASGEDRVRLD -CPTRVSDLKGASGSPVLCDKGHAVGMLVGVLAKSGLAETARFVKPWTHSPGDAAKLTAPEFPSVPASGYK -EVPYFAPTGSGKSTKFPAKLAQDGHNVLVLNPSVVTTKAMGPYMKKLVGKTPNIFAGTGASAMQIKTGSK -ITYCTYGRFLVNPQGFLDQKAVVVCDECHATDGTSILGIGVARALAEKAGVRLLVFATATPPGTQFTPHA -SITEETLDGDGDIPFYGVSLKASTYLKGRHVIFCHSKAECVRVAESLAGAGVKAVTYWRGTRHDVLTDDA -DLTVVATDAISTGYTGNFATCTDCCSVVEETVDVDLNPTFTINLITKAADAALRMQRRGRCGRGAPGTYY -AVQKGAPPSGVCSTATAWAAAEAGFMWYGMPAADISRYLQTFQDCPYTSRLAGSPGDAVRVMECLKPLMH -CAEVTQEALRETTWPMLTGIQKHVCYEADAAPPSDDIRWQGVNGTHATPLLYRLGQVDNAVTSHPLALKM -AAALGDTSYHDTTIGPLLLAGAAVAAAAAIADSTGCLVVTAVWEVGSGGSPLWPGSKTADERGDVQGGEA -PPGAQPPKVTPGEVKAAKDTAEVISEVATSLDYSFLSTLWGAASTGASKVYTAGAATAHSWADWWRAAGS -PVAMSVPAGSRAAVALEFLEVHITALLAGGMAIASASSSPVFATLAALLSGASVTLPSKVAWALTLAGSA -AAGLCGGARAGLAVGAGFYVGSHLAGLSVVDTAINLAAGYEACVSTCAFVLDLFDGKATMAHFLPCLAGV -LAPGAACAGVAFALILRASATGDSSTWMNRLLSMLPRSSVLPDGFFAEKRSVQLGEAVRRFSLVERVRAL -CDATEQAEFTYTSCGWVGRLLEFAACVVRSAVDFVSARLPAVLPPCPVVSCQPGYAGPWTGAGTAITTCP -CGRSVTLTVPPGGAPVQHAQLGCRAWFRRLSFPINTTTSYTGTLAPDLSGANDVSLMVGNGNIVRLRRTV -GHEWAIVSTSLACLTRDLVMRAACRGPVESGGRLVSRHVGPMLAARFVEGQHIQYESALRKLPIPFGKIT -YPNMPYQPCLLPEIVTEEREDVIGPCAEAVETALQVADEATEVADAVVKQASAVMSSALEARRKAEYEAW -LVREKALGRVSDASSAEGESSSADGGQGSRVKFMSTDECIGQGSADDPILDRGATHLALEDTSPAEQGLA -VDSDGGPLLRTVTRRAPRDSSREAEGALMVGVPEVPGRSRVYALALHGVKLLDSKVRRFARISRAPSTPT -LEEAAQVLTPSSPAVADGSPTPLSEQGLLEGFAQPDAPAEVGDVVDAASAAVTAVAGVAEIAVAGAAGVL -KAGAEAARAAAHVAARTAIGVWQNPTLRSMVEEHPMEDISTRYVHISHSCGGKEKVDTVTTSGRETVLEA -LHRAGLAGDHQHTVSLGRLGLASDVCIRDVAESKDVYLTARCSSAAKTVLKQLVHKCCGQDTSLRRYFGA -SIPVSLLCSLHPYGSKGVWYDGPKELIGTELLSEVGPTLTLVHEELCGPSYVWSGRAIAVDEPKRPPISR -PLTAQLGAKADKVYITNPQDITKRIAKVTIDQTVAEVDQCFRDAYNCAIAKAKRVTQPGWTYDEAVAKLR -PGSAKGHVAKVTVADMKSGAGRPFVEQVINDLAAGTLEHPFMLRPKAEVFPQTKETHKPPRLIVYPSLEF -RVAEKMILGDPSLVAKAVMGASYGFQYPPHKRAEVLVNMWNSKRVPTAYTVDGTCFDSTVTPEDIAREGE -IFAAASTNPELVRRLHELYAGSPMMDPSGNIVGYRRCRASGTLTTSAGNSITCYLKVSAACRKAGLINPS -YLIHGDDVVIVCEKTECDQSQALGAALRSYGYECEPTRHADLTTAESCSSSLDTVRTVRGLKHVLRCDMR -RGLGRTVAECGDPVGTAWGYTINYPTHPIVMYVLLPLLLQTALNNGDGIHQPVTIDVRGNSVTLPLDTIG -RAVRGLHGRDILAVTGHSATVLQETYDTLQFFGMRGLGHWRRSRRKVKLRLLRAGKAWAKLARELLWDPG -DLAPPDLTPGEVLIPPDLWQHSWEGTHYQPEVRGTRRRWWPLGWSMALSLLFVL - ->YP_007697649.1 polyprotein [Equine pegivirus 1] -MLQRRRARVVPRPRGRAQVTPCCINPPPFFYMGVPCAAKMALLLLFLAGVAAAPSHLCEWEGKPYLTECC -AYQDIYYCASSYCLTVPGCRVCSRDVCWPSPVPGVSVKPGGHSWAEEVCSHFELHSWLAYVAGVGGVGEL -YGAGLIAGLAAVSAVPASTPPLNCSVECAWGYEVMLGPVRSWLLELGSSSGFWLLQLPGALWSFVASVSP -VFLVLLALLFLEGRWPLIVALVAIRLLVCPADGLHTLCANRGDRLECPWGELWLTPRDGCKPGMGVTEAR -PGQRCPRPLPRQANWVCLSGSWSYWLPGGGPYLAPFCSTCCLFGPSTSNGFRCLSHCCLMDERPAKCGGC -YHGGCSASLRMGENESCICGPKISDHLRAIQYVNYTPGLRIQLRASPQVALHGALVPLTLTYGPYVYGYD -GPVFWAARPRNATWPAGLYSPLPGEPLWCSTGVSCVGAGGSHRAATPPGYAWTRVSYAGSLVVSRGWQAV -GDSVFVPVTYLLDLCFFCATLLSIARARFTLLLFLAGWLWLRGGIVWAYPLDGMAWFPVESFSVPPTGWD -CTVNTDYQFWECVDSTRYRRAIVCRAFTTTTSSNTSSPTQLCFDYVGPSQDPCKIYAWQNISACFRRGWG -NLTSAFAGLAGVPGALGQLGLGLGAAVVGGLGEAFEGLWVGGQYVVSALSTSTSAVASAWQAFRNMSVPR -IYVSAATSHVVVGSTLFGSAVRLPTTIEVVLGVALCLVYFRFRGPGRLVAAAVLKFYNTLGALAILAIAV -LGRPGRSALGLEVCFDVSGPGWDVPDGILPWCFAGVVSGLLIHLATFNHWCRGWKLRLYSRWAFWYSRVI -SWFEVCPFGMDSEFRCVRRLWFFACLFWPDECTLVLGTYCFVAICFDLFDMFLEACLCSQPSVSSVAALL -EVAARARSSSLVRWIIVRARARGVLLYQHRGDVPWRAVSFLKELECALEPVSVSAEDLEVVRDAAGVLEC -GKFFRGKPVVARRGDQVIVGAAKSVGALPVGFVPCAPLVVRKAGRGVWSTLTTSVLGRDKQDYSGNVYVL -GTAASRSMGTCVGGVMFTTWHSSQGRTLAGPTGPLNPRWWSVSDDTAAYPIPLGSRCMDVCTCGTRSAWV -MRRDGGLAHGELSPVNEVRLDVSGRVRAFRGASGSPVMCDQGHVVGMMVSVLHRGPDAFAVRYVEPWKAL -PADVQSPLSPLSPPPVPAMGAYEERSLFVPTGSGKSTLVPASYAKEGHKVLVLNPSVATTASMLDYMKEL -TGVSPSVFCGHGANAITRRTQSKITYATYGRFLARAEEFLKDADVVICDESHSEDATALLGIGSVIIHAK -SCGVKLVLFATATPPGTQSTPHPRITEIPLTAEGDIPFYGFKLPAAKYQKGRHLVFVHSKAEATRLATAF -TAHGCRAIYHYSGRDTSQIPALGDLVVVSTDALSTGYTGNFDTVTDCCVATHEEVEVDLDPTFTIRLMTR -PINAGLRMQRRGRCGRGAAGTYYYALAASPPCGVVSSGAVWGAAEAAVSWYNMTSDQAARLLRAYAGCAY -TCHISTHIEDATRAVAALSAFSTHPQVTRLRNASESWPLLVGAQRAVCVQQDAAPPGNDPRWAGLTGRNA -TPLLFRFGNEMPEVVVRHPTTDAIAEALGESVTETAAGPILLVGLAAAAALAIGDYTGSLVVVAEVVVEP -GGNPVPPSGPIRYDGVPTGRAQDGRVGPRPLDDDQVMEALEDVVTQTDWSVLQNVWNTMTDNVSPAMEAA -AGASRQFYDRHYPGLVAMARGAAERAAAAGAAAAQTLRLSSPPSEPVSWCEAMLTQVKNTSVGQGFLRAW -TALTGNIGPVVSLVTAAWAAGRSPPLACAASLLLGLQAALPLETRLPCAILAGAIGTCTGTPEAGLGMMG -AFMVGGAVGSLSVFGFLLEVLGGWEATATTASFCFDLLTGKAKLKDAVFLIPALGAPGPALAGVAIGAVL -AAALRAGPGQAWFNRVLSMVPRGQVLPDGYFLEEDVTARASELLKRMSISRAVMSVLECVHQQYETRTDG -SVFWTIVAACLDGARRFLDWIIAYVKDRIPSVAIPLVSCQRGYTGPWVGSGAVTANCTCGALFTAHFVDG -VCQRRTCTSVLCSARWQRGFPINTLGASTGPQPAPELEGQDAIHPMGSRDWVTLRRSGSTVTVVGASCFT -ITTALLRSAMRNPPHTVAGQRVTFRGGSVDPAMPYCVGARVNYDGQILELPFTVPAVDLAPPRPRAYVPP -TQDELDSATGVPSTLLRAAALETPDSSVAGTVREAGVPLDDRGMPEIIPPPPPTPALQGGAVANTPDNIL -LAPPLSQATPQYVTRIFTHVATVPMFPQGGPTVVRQIDTPPPSSGVTSAGQAGSAYSADVSSVGGPPSVS -SAQPPSAPPSVAPSDSASQQGRAQQATYTVGFLSTPAPAPEPEPPQPPPVEPAPTSRPASDIGWHAHRRP -GSGLLAAMAIERDDVESLHEAMAEKSGEVTGAAARQIAEGVVEGALSSAEGVHRALAATGALEVATGVTP -TQSGSSTDGDTPASAEAGRVSRVMQAVRSAFDSVLGDGGVEAPAPGTQVAARVQCEAHGIDKIIVVSSRT -LTLVQLANLAGITPHHSHIYSVRGSRRRPTLKFLKLCPGGGDLVVSCRGCPRSAAQRAEAPQPEQIPQGN -LERVVRVTACCCGNDRAYTASYRPDTLIEEIARRAGVVCTEDHQVGLWHNGQRLVFSDMLRDVPVTSSGR -VEMIATCGDASSVEETTCGYSYLWSGIPLNIRQRRRPPVTRPTGASYLADATKAYVTQMDMVGDRVNKVT -ILQRDAEEDSYLRDAFNLAKAKVTSHKRFPGWTYDEALAKTRSKTASSKKITYKDLFTAKGEAAVNAALD -DIAAGTDVPFTLTAKEEVFYKDKRTLKPPRLICFPPLEFRVAEKMILGDVGELAKTVLGDAYGFQYSPQQ -KVSYLLREWASKRLPMAITVDATCFDSSITPSDVEREMEIFACNHANAPLVRALGKYYASGPMVNGSGVE -VGVRHCRASGVYTTSSANSITCWLKVSAACRKIGLQNPSFLIHGDDCLIICERTDEPCAARLKAALASYG -YACDPEIHASLDTAVSCKTYLAECIAGKGKTKHYFMSTDFRLVLARACSEYGDPTASAGGYTLSYPWHPL -TRHVLIPTLLTPLFAAGKSPRETICCEVAGNRIHFPLLSLPSILVSLHGQDVLDVRADSTKTLSEAQKAL -QALSMRGLSWYRKRATILRTRLIRAGGEWAYLARTLLATASRTASSPPVVNAMSNXNELLAVWEHPYSSL -DWDVGDAPSRTFLGRGVMWFSRKLGLDTAIELMTRHAHLIVGMSWMAYFLAALV - ->NP_045010.1 polyprotein precursor [Pegivirus A] -MELLLLLVLLAPAGAILFPSRHACRVGDHYYLSNCCSEDEVYFCFGDGCLVAYGCTVCTQSCWTLYRPGV -ATRPGSQPGELLGKFGGALGPVASAAYTAGVLGLGEPFSLAFCAGLLTSRVGRMPALNCSLRCNFDYSYP -GISVDFDWAFTTLIHLPGKLWRGFTNASMLAVLVIIMLVLEQRLLMAFLLLLVVGEAQGGIFDNCHCAYW -GGRPPPRLDINYRGNGTVVCECDFGKMHWAPKLCSGLLWRDGAREGTVWTLPRVCPREVLGTVSAVCQWG -SAYWVWRFGDWVPLYDELPRSALCTFYAGTGRYPADVDVLNPSGPPCASCVVDQRPRKCGDCVRDCFETG -GPGFEECGVGVRVTSLLTAVLVDGGVESKVSTPVGERPKYLGQHGQGTFYGAVKKLGVNMTVSQIGGYWH -ALQCPCDFTPGPLPERIPGIPVNACITGKAAHPFSAWAPGGFYAPVFTKCNWPTVPGVDVCNGFAFDFPG -DHNGFIHVKKGRQQIFSGQRRSSPTWLLSDMVLAMLVMMKLAEARVVPLFCLAMWWYVNGALTASITIIH -VNVTQVTHPSWGWTVPTVKPLPCPNSTTGLGDSVSNAACFAGSDLWLGVGGAWSALSGATSAVASWVGSA -YRTLHNVGLGVRWLASVGSYLPTVEAALAPELVCAPVVGWGAQESWFVGFLAVCCVVAYLGVLGTARCCV -LVAMHFARGALPLVMLVLAGVSRERHSVLGLEVCFNLEGTGWDWSDYWWCVAGVVSWALVTMGLLTHGGK -EVKLRWYRTWCVFYQKVRLRLLDTPIGNRPRRPLCKLWLVAAWFWPDIAAEVCVFLILIFGFLDVVDFCL -EVSLVSSPNLVRLAQMFDSLVMAGERLGATHLAERLRRRGVFLFEHAGHVTRPAAERLREWGFALEPVAV -KPEDCAIVRDAARVLGCGQRVNGVPVVARRGDEVLIGCLSSRFDLPPGFVPTAPVVVHHQGKGFFGVVKT -SMTGRDETDHCGNVVVLGTSTTRSMGTCVNGVMYATYHGTNGRTMAGPMGPVNARWWSTSDDVCVYPLPM -GATCLEPCKCSPQGVWVVRNDGALCHGTLGKTVELDLPAELCDFRGSSGSPILCDEGHAVGMLVSVLHRG -NRVTGIRYTKPWETLPREAQTKTEAPPVPGKTGYREAPLYLPTGSGKSTRIPAEYAKAGHKVLVLNPSIA -TVRAMGPYMEKLTGQHPSVYCGHDTTAYSRTTQSNLTYCTYGRFMANPRKYLRGHDVVICDECHSTDGVS -VLGMGRARLLAKECRVRLLLFATATPPGAPMAAHDNITEEPLDTEGEITFYGHRLPVARYATGRHLLFCH -SKVECERTCAALSALGVSAVTYYRGRETEIPAGDVCVCATDALSTGYSGNFDSVTDCGLMVEEVVEVTLD -PTITISLRTVPAPAELRMQRRGRCGRGRTGHYYSALAAAAPAGTVRSGALWAAVEAGVTWYNLEPDLTGD -ILRAYDSCPYTAAITASVGEAITFFSGLVPMRNYPQVVWAKQKGHXWPLLVGVQRQMCEEAGCGPPADGP -EWNGIKGKGPVPLLLRWGGQLPEHVAPHHWVDDLQARLGVXEGYTPCLAGPVLLVGLAMAGGALLAHWTG -SIVVVTTWEVNGGGNPLLYQTRRGVPTSGSPVVVVPPCEGGERYPCDVKPVAEAVGILETECGWGPMAAS -YAYXKACEVGSQVGTKCDALWKAWTAGVLVPPAPALADNAPGLLATLDTMFTQTWEAIFTNGRSLLVGXT -AAYGAKRNPPLGVAASFLLGMSAGRAVHVRLAAALLLGVGGTMLGQASTGLAMAGAYFAGGSITSSWLSI -IITLLGGWEGAVNAASLTFDLLSGKAEAKDAWCIISCLASPGASVAGVALGVLLWSVKKGVGHDWVNRLL -TVLPRSSVMPDDFFVKDEYVNRVSSVLRKMSLSRWILTLVDKREAEMETPASEVLWDLLDWCVRLGRYLL -RKIKTTFPRLKIPLMGCSKGWAGPWEGNGHVEARCTCGCVITGEIYDGELHDMVYSTFFCSHYLRKTVPV -GVMGSGGGATPVVPPRGPKTYQLGCADWIEALVVSGTVTVTASSAYDLTAAEVRRAVKASPCFAGDLPVS -WEAPICRPAMVYRGGQTIQLDGVRRTLPCGLPLGARPPPRQEQPTHVSNWSKEEEAELQCAKAAAIEAIG -SALHLPSQEAAEAALQALEDAAVSLLPHVPVIMGDDCSCRDEEAFQGHFIPEPNVTEVPIATLPDIEAXR -ARAKALTDRLVDLEAVVEARAESINDLRAPLASFDSMPSLETSDSMPSLESSSGSSFEVVSLTESEPETV -IEGGLTLEYQLESPPAVRGSPSAKRVVRIKQSCCCDRSTLKXLPLSFSVGEALFVTRYDPEDHLIRDEVR -EIQVTTPLTDTVGDIELVCRREETQTSYSYVWSGQPLGCGRVIPPPITRPVGTHLTCDTTKVYATDPDRA -AERAEKVTIWRGQRKYDKEYYSVVDEALKKARATKSPGWTYEQAISKVRRRAAAGWGSKVTASTLDKGWP -HVKEMLDKIAFHKEVPFTFVTKXEVFFSKTTRKPPRFIVFPPLDFRIAEKMILGDPGIVAKAVLGSAYLF -QYTPNQRVKALVAAWEGKKHPAAITVDATCFDSSIDEHDMQVEAAIFAAASDDPVRVHALCRYYVEGPMV -SPDGVMLGHRACRSSGVLTTSSANSXTCYIKXXAAXXRAGVKEPTFXIAGDDCLIIYENDGTDPCARLKA -ALADYGYRCDPVKHASLDTAECCSAYLAECVAGGKKRWWLSTDMRKPLARASSEYTDPIGSALGTILMYP -WHPVVRYVLIPHVLIMAYRSGSSPDELVMCQVQGNHYSFPLKLLPRVLVSLHGPWCIQVTTDSTKTRMEA -GAALRDLGMKSLAWHRRRAGNVRTRLLRGGKEWGHLARALLWHPGLKEHPPPITSLPGFTMATPYEHSEE -VLLSVKEKPPWIKWTLGWILALVAVML - ->NP_043570.1 polyprotein precursor [GB virus C] -MGPPSSAAACSRGSPRILRVRAGGISFFYTIMAVLLLLLVVEAGAILAPATHACRANGQYFLTNCCAPED -IGFCLEGGCLVALGCTICTDQCWPLYQAGLAVRPGKSAAQLVGELGSLYGPLSVSAYVAGILGLGEVYSG -VLTVGVALTRRVYPVPNLTCAVACELKWESEFWRWTEQLASNYWILEYLWKVPFDFWRGVISLTPLLVCV -AALLLLEQRIVMVFLLVTMAGMSQGAPASVLGSRPFDYGLTWQTCSCRANGSRFSTGEKVWDRGNVTLQC -DCPNGPWVWLPAFCQAIGWGDPITYWSHGQNQWPLSCPQYVYGSATVTCVWGSASWFASTSGRDSKIDVW -SLVPVGSATCTIAALGSSDRDTVPGLSEWGIPCVTCVLDRRPASCGTCVRDCWPETGSVRFPFHRCGVGP -RLTKDLEAVPFVNRTTPFTIRGPLGNQGRGNPVRSPLGFGSYAMTRIRDTLHLVECPTPAIEPPTGTFGF -FPGTPPLNNCMLLGTEVSEALGGAGLTGGFYEPLVRRCSKLMGSRNPVCPGFAWLSSGRPDGFIHVQGHL -QEVDAGNFIPPPRWLLLDFVFVLLYLMKLAEARLVPLILLLLWWWVNQLAVLGLPAVEAAVAGEVFAGPA -LSWCLGLPVVSMILGLANLVLYFRWLGPQRLMFLVLWKLARGAFPLALLMGISATRGRTSVLGAEFCFDA -TFEVDTSVLGWVVASVVAWAIALLSSMSAGGWRHKAVIYRTWCKGYQAIRQRVVRSPLGEGRPAKPLTFA -WCLASYIWPDAVMMVVVALVLLFGLFDALDWALEEILVSRPSLRRLARVVECCVMAGEKATTVRLVSKMC -ARGAYLFDHMGSFSRAVKERLLEWDAALEPLSFTRTDCRIIRDAARTLSCGQCVMGLPVVARRGDEVLIG -VFQDVNHLPPGFVPTAPVVIRRCGKGFLGVTKAALTGRDPDLHPGNVMVLGTATSRSMGTCLNGLLFTTF -HGASSRTIATPVGALNPRWWSASDDVTVYPLPDGATSLTPCTCQAESCWVIRSDGALCHGLSKGDKVELD -VAMEVSDFRGSSGSPVLCDEGHAVGMLVSVLHSGGRVTAARFTRPWTQVPTDAKTTTEPPPVPAKGVFKE -APLFMPTGAGKSTRVPLEYDNMGHKVLILNPSVATVRAMGPYMERLAGKHPSIYCGHDTTAFTRITDSPL -TYSTYGRFLANPRQMLRGVSVVICDECHSHDSTVLLGIGRVRELARGCGVQLVLYATATPPGSPMTQHPS -IIETKLDVGEIPFYGHGIPLERMRTGRHLVFCHSKAECERLAGQFSARGVNAIAYYRGKDSSIIKDGDLV -VCATDALSTGYTGNFDSVTDCGLVVEEVVEVTLDPTITISLRTVPASAELSMQRRGRTGRGRSGRYYYAG -VGKAPAGVVRSGPVWSAVEAGVTWYGMEPDLTANLLRLYDDCPYTAAVAADIGEAAVFFSGLAPLRMHPD -VSWAKVRGVNWPLLVGVQRTMCRETLSPGPSDDPQWAGLKGPNPVPLLLRWGNDLPSKVAGHHIVDDLVR -RLGVAEGYVRCDAGPILMIGLAIAGGMIYASYTGSLVVVTDWDVKGGGAPLYRHGDQATPQPVVQVPPVD -HRPGGESAPSDAKTVTDAVAAIQVDCDWTIMTLSIGEVLSLAQAKTAEAYTATAKWLAGCYTGTRAVPTV -SIVDKLFAGGWAAVVGHCHSVIAAAVAAYGASRSPPLAAAASYLMGLGVGGNAQTRLASALLLGAAGTAL -GTPVVGLTMAGAFMGGASVSPSLVTILLGAVGGWEGVVNAASLVFDFMAGKLSSEDLWYAIPVLTSPGAG -LAGIALGLVLYSANNSGTTTWLNRLLTTLPRSSCIPDSYFQQVDYCDKVSAVLRRLSLTRTVVALVNREP -KVDEVQVGYVWDLWEWIMRQVRVVMARLRALCPVVSLPLWHCGEGWSGEWLLDGHVESRCLCGCVITGDV -LNGQLKEPVYSTKLCRHYWMGTVPVNMLGYGETSPLLASDTPKVVPFGTSGWAEVVVTTTHVVIRRTSAY -KLLRQQILSAAVAEPYYVDGIPVSWDADARAPAMVYGPGQSVTIDGERYTLPHQLRLRNVAPSEVSSEVS -IDIGTETEDSELTEADLPPAAAALQAIENAARILEPHIDVIMEDCSTPSLCGSSREMPVWGEDIPRTPSP -ALISVTESSSDEKTPSVSSSQEDTPSSDSFEVIQESETAEGEESVFNVALSVLKALFPQSDATRKLTVKM -SCCVEKSVTRFFSLGLTVADVASLCEMEIQNHTAYCDQVRTPLELQVGCLVGNELTFECDKCEARQETLA -SFSYIWSGVPLTRATPAKPPVVRPVGSLLVADTTKVYVTNPDNVGRRVDKVTFWRAPRVHDKYLVDSIER -AKRAAQACLSMGYTYEEAIRTVRPHAAMGWGSKVSVKDLATPAGKMAVHDRLQEILEGTPVPFTLTVKKE -VFFKDRKEEKAPRLIVFPPLDFRIAEKLILGDPGRVAKAVLGGAYAFQYTPNQRVKEMLKLWESKKTPCA -ICVDATCFDSSITEEDVALETELYALASDHPEWVRALGKYYASGTMVTPEGVPVGERYCRSSGVLTTSAS -NCLTCYIKVKAACERVGLKNVSLLIAGDDCLIICERPVCDPSDALGRALASYGYACEPSYHASLDTAPFC -STWLAECNADGKRHFFLTTDFRRPLARMSSEYSDPMASAIGYILLYPWHPITRWVIIPHVLTCAFRGGGT -PSDPVWCQVHGNYYKFPLDKLPNIIVALHGPAALRVTADTTKTKMEAGKVLSDLKLPGLAVHRKKAGALR -TRMLRSRGWAELARGLLWHPGLRLPPPEIAGIPGGFPLSPPYMGVVHQLDFTSQRSRWRWLGFLALLIVA -LFG - ->AGK41016.1 polyprotein [Bat pegivirus] -MALWWIFVLPLVGGVLTPASHACLQGGIYYLSNCCNRDEISACFPGGCLVAIGCTVCSDTCWDLYSPGVA -TRPGAKPGELVGQLGWAVRPLVTAAYAAGVLGLGEPFSLGLLVGGLFSRELWPLPNTTCVRSCDFEIQSA -AWQFWEEVKESMWTVEWALELPWYFWQGILSCGFVVMAIVVLLVLEQRLVMAILVVLLSGMALADVPVGR -SAYGCQCHVDGSIEHVPGLTPGYRGNSSVVCSCPFGQTFWVPHLCHGFTWRHGRASGSWYNMPYHCPERV -QGSLKLGCRWGSVQWWQHIGSETSIHELVPGSAVCSLYALGSTDRPHAWTDFLVQHGLPCVTCVLDRREP -FCKDCVRDCWETTADKRLTFEACGIGGRVTSTLWAHTVTGGVESAVRTPPGEAPRHKSVYGFGVSEAFIL -HSTNYTVVELGGYWHAIACPYHPVATLLPRRMPGRPVNACLSATPRQNTLTAFSAWAPGGFYEPVFRECN -WRSSLGVPVCRGYAYDAPAGRAGFIRVRGQWQQTDRGASMSHPKWLLTDFLAVLVLLMKLSEARLVPLVV -IVLWWWFNREVSAAPRTIIHVNVTGSAPPMPTWKDPTIKVPTCPPNASDMGDAAWLWLCYGEQNGREVVN -TVLKSTSKGARTVWGGLWAAWEKVRNLGNGLRWLAQVGDFLPVVDAAVSPDIVAAPLLGWAADQSWFTGL -LAFLNVMVYWHNIGGARLAALVAGHLARGALPLVVLIAASISRHKCSVLGLRICFTVDEGSYEWADIWWA -IAGVVSWGALTLGLVTYGGRQAKLRWYAVWCRLYQGLRRRVADSPIGVCGRNRLLGWLWMAAAWAYPTTV -VDVVVILILLCGLLDCVDYVLETAIVTTVNPARAAVVLDALVGCRDWAAVRWFVDRLERRGVRLFAHMGH -VTRTTAARLRELGMALEPVSVRPIDCEIVRDTARTLSCGDSVRGKCVVARRGDEVLIGALGGVDQLPPGF -VPAAPVVVRSRGKGFFSVVKTSMTGKDEAEHQGSVVVLGTATTRSMGTCVAGVMYTTYHGSNARAMAGPV -GPVNPRWWSPGDDVAVYPLPNGATCLEPCKCQPTSCWVIRNDGALCHGTLSKTVELDLPAEVSDFRGSSG -SPVLCDEGHAIGMLVAVLHRGNRVTGVRFTKPWETLPQEAKAAQEAPPVPGRSGYKEAPLFLPTGSGKST -RVPNEYAKAGHKVLVLNPSIATTRAMGPYMEKLAGKHPSVYCGHDATAYSRTTDSNLTYCTYGRFLANPR -RYLKGMDVVICDECHVTDPVAVLGMGKARMLARECRVRLLLFATATPPGVPMTPHESIREEALGVDGEVT -FYGHKLPISRYTTGRHIFFCHSKLECNRLAAALTGAGCQAVTYYRGGENPIPDGDVCVAATDALSTGYTG -NFDTVTDCGLVVEEVVEVTLDPTITVSLRTVPAPAELRMQRRGRCGRGKAGTYYHAISGSAPAGTIRSGV -LWSAVEAGLVWYNMEPDVTADVLKVFDQCPYTGAVTASIGEAVNFFAGLSQFKSNPHVSWAKSKNHQWPL -LVGVQRMMCQEAEAAGPAEGPEWRGLQGKNPVPLLCRWGAQVPDKLAPHHIVDDLQARLGVAEGYSPCYA -GPILLVGLAVAGGAILAHWTGNLVVVTSWKVNGGGNPLLEQHWRGVPTSTPLPAVQVPPKDGKTADEGEQ -APADARVNVEAVELLETSCGWGAVSSAFGHIGGMAKTAATHASQVAGSAAAHADALWQQWSAGQFVAPTV -VAAHESAPSLMQTLDRGFTTVWDNVFASGKNIMVALAAAYGARRNPPIAVGASFLLGLQASSLLHVRLAA -ALLLGSAGTMLGDPATGLSMAGAYFAGGSMTASWVNVIVAVIGGWEGAVNAASLVFDLLAGKAEPKDCWC -ILSCLASPGASVAGVALGLLLWSMKRGVGDEWVNRLLTLLPRSSVIPDDYFVKTEYVDRVSGILRKLSLT -RWLFTLVQKPEIDSETVCGSMIYDFLDYLVRTGRALLRKVKTILPRFALPLVSCEAGWTGGWVGTGHLEA -RCSCGCVVTGEIIDGKLEAHYSSILCANYLLGGVPVGVMGSAGGAVPDVGTEGRQTYQIGPKGWLEVEWN -GRTVVVVATNEFHLSASAVRRAVRGPVMYVDNRAVHWDCPVYRPQMVYRAGQMVAVDGERRRLPFTLTVG -PIAKPERADDPDMPPLEPTEDEELECARKKAIEAIASCLPEKDEAAALAALNALEEAAASLLPHIPVIMG -DQCDHPDPEEVELQIDTATWQALSTYMPAEVELPPPPEIPDDVEDAGDASEAETCSFESISLSDSESDTA -STSPLLPVASKLPKAARGALRRMFGGAKKVVHFRQCCCDSRSASKAFPLGVSVKEAAAALGFDLPNHRFV -DVFGGVIQPEDTMCTVVGTDIHVTCEMRDEVTVSYSYVWSGAPLGCGRHVPPPMTRPIGTHLTCDTTKVY -VTDPDRAAERAAKVTLWRPKRNYDKTYQRVVDEAKAVAGKTKSHGWSYDEAIARVRPKAGLGYGSTVSVP -ALKTPAGREAVNATINKIRNGIEVPFTFTCKREVFFTNTTRKPPRFICHPPLDFRVAEKMILGDPGVVAK -AILGKAYAFQYTPNQKVKRLVEMWQEKQHPRCITVDASCFDSTITEEDMRVECDIFSLASDQPDLVRALS -EYYIAGPMVTPDGVPIGYRRCRASGVLTTSSGNSITCYLKVKAACLRVGLQQPEFLIAGDDCLIVYEDDG -KDYTTVLKEALGDYGYRCEPKAHASLDTAETCSAYLAECNAGGERRWWMSCDMRKPLARAAFEYSDPIAS -ALGTILLYPWHPITRYVLIPHVLIMAFRGGGTPDELVACQVAGNTYRFPLKLLPQILVSLHGPACLRVTT -DSTKTRMEAGSALRDLGMKTLPYYRKRAGTVRTRLLRGGQGWGRLARALLWHPGLKEHPPDVRALPGFKF -LTPYDHDDVVVRVTKSTWWTRLRSFLACLFVLGVSLV ->ALG04343.1 polyprotein [Human pegivirus 2] -MGCSTDQTICSPVVGADYNTSSGCRALNGSDHCGGSSCRSPSRVQAARRVLQLCAFLALIGSGMCSIRSK -TEGRIESGQILQSQRACWTGEGFAFFSNCCNQSDIMWCLHRWCVTRPGCLVCTGNATHPVCWDYLGSGVS -RRPARRLGEGAEMLLRLIGIAGWLGLLAEALGMSEMYAAILCFGFIAWYGWGIPKTLVCTVCPAVNISPY -SFLSPDTIAFGTWILQLPGLLWQMFVNFPILYSTWILWLLLSGKTVAVIAILLASPTVMAYKHPSESYLK -YCTITNASAAMNCDCPFGTFTRNTESRFSIPRFCPVKIESSTFICSWGSWWWFAENITRPYSDVGMPPAP -ISALCYIYSNNDPPPWYYNTTIIPQNCRNSXVDPTTAPCRDKWGNATACILDRRSRFCGDCYGGCFYTNG -SHDRSWDRCGIGYRDGLIEFVQLGQIRPNISNTTIELLAGASLVIASGLRPGYGCSRAHGVVHCYRCPSY -RDLEQFGPGLGKWVPLPGEPVPELCINPQWARRGFRVSNNPLSLIQTFVEDIFLAPFCXPTPGRVRVCNN -TAFYPRGGGFVQLIGDVQVLTPNTASLHSLLTLISLILLVCVVSGARFVPLIIIFFWSVRHVYASCYLSC -DWAVCNDAFCFTSGTCATFNDVLCLPVATRISSCGHAVPPPDRGWEVPAALSXXISRTTGLTFDVFSFIQ -YLPTVPGNNSDIIYCGEPTFFGDLTGIYWPYFLPGVLLLYLTPFLGLRLMLAGFNIDGLFPIRHATAALR -FSTSRVTLSVVAAFLIYILSHPVNAALNRMFLASANLEMILSFDTYHETILYIVCLMLYLQVSPRAGLAA -MVAIKLSRGLLFAVVLAHGVCRPGRVFGLEVCADISWLVEFTGNCTWYMSCVFSFWCAVFAFTSPLGRQY -KLQIYRYWAQVYARLILAVGCGPLGREFHFRASVGVLWCGACMLWPRECSEISLVFILCALTVDTIDTWL -VACLSAGPSARTLATLADDMARMGDNRALRAVLCCFGSRGTYIYNHMGQVSERVARAVRDLGGCLEPVVL -EEPTFTEXVDDTXXLVCGQLLGGKPVVARCGTRVLVGHLNPEDLPPGFQLSAPVVITKPSIGTWSFLKAT -LTGRXETPGSGQIVVLSSLTGRSMGTAVNXTLYATGHGAGARGLATCAGLRTPLYTALSDDVVAYSCLPG -MSSLEPCRCTPSRVWVMNNNGGLVCGRVEKDDVCLDCPTHIDQLRGASGSPVLCDHGHAYALMLGGYSTS -GICARVRIVQPWQNAYSSSGGQGGMQAPAVTPTYSEITYYAPTGSGKSTKYPVDLVKQGHKVLVIIPSVT -VVKSMANYIKETYKIRPEIRAGTGPDGVTVITGESLAYMTYGRFLVDPETNLRGYAVVICDECHDTSSTT -LLGIGAVRMFAEKAGVRTVVFATATPAGIQVQPHPNIDEYLLTDTGDVDFYGAKIKLDNIRTGRHVIFCH -SKARCAELTQQLSGLGVRAVSFWRGCDIKTIPASDSIVVVATDALSTGYTGNFDSVIDCGCCVEQTVTID -MDPTFSISARVVPCTAALRMQRRGRTGRGRRGAYYTTTPGAAPCVSVPDANVWQAVESAMVFYDWGAARI -QQCLAAYHDLGCTPRISCDPHTPVRVMDTLRAYLRRPEVTTAALXGEQWPLLYGVQLCICKETEAHGPDD -SIKWKCLLNNSNKTPLLYALDNPTLDFTTQHDLTRRIAGALSSTVFVETGYGPILLAGAALAASFAFAGA -TGALVPSAVWSVDNGLAGVTRPDATDETAAYAQRLYQACADSGLFASLQGTASAALGKLADASRGASQYL -AAAPPSPAPLVQVLHFLETNFSSIASFGLLCAGCQAGECFTALAGLVSGATAGLGGAHKWLLAIAGTWLV -SLQTGPRGGMVAGLSVLAGCCIGSVTGLDFLFGCLTGWEAVVGAAVATQKILSGSADMTTLVDLLPAIFS -XXXGIAGIVLVFILSNSSVTTWANRLLSMCAKQTICDNYFLTEKFGHQLSKLSLWRAVYHWAQAREGYTQ -CGVVSGIWSFVLCILRAVWDWAAKHVPRFRVPMIGCSPAWCGRWLGTGTLLTTCGCGERVSLQCLCSTSD -PTLSVGRWCRCSWSVGFPFNPTTTATGNLRPDISDATKLGFRYGIAEIVELERRGDKWHVCAASCCLDRA -SVASAVKAPPVTANGIPIGTFSPPQTYSLSLCSFDSVCMSSNLCNPAKTLSVCSQEAVELLEETVDKAQV -MMCQNLEARRRAEYDAWQVRQAVGDEYTRLADEDVDATTSVKPPVARAAVGSSTLDDVSVLTVLRELGDQ -CQNAIKFVVQAASRFVPPVPKPRTRVSGVLERVRMCMRTPPIKFEATAVPIHNIIPEVCHIVLRCTGCND -QALTVPYGTCTQTLIKHLTNKHSHYIPKQKIEEDTEVTVICAVPTTRASKLITFRAGDRSVSCCHPLQTP -VRTLLLKYGLPIGKWSDCNGPLGDDARVCDINGVTTYEPCMQSYSWFRPIVAPTTPPLPATRSVAGILRA -DTSRVYTTTAVDVSERQAKVTIDQTSAKVDQCFRDTYNCCLAKAKTFKQSGMSYEDAVXKMRANTTRDHN -NGTTYSDLVSGRAKPVVQKIVDQMRAGVYDAPMRIIPKPEVFPRDKSTRKPPRFIVFPGCAARVAEKMIL -GDPGAITKHVLGDAYGFATPPHERARLLEQWWNRATEPQAIAVDAICFDSTITAEDMDREANIMAAAHSD -PEGVHGLYKYYKRSPMCDITGKVVGVRCCRASGTLTTSSGNTLTCYLKVRAACTRAGIKPIGLLIHGDDT -LIVTERCAQETLDEFSSALDDYGFPHTLQVSGDLSSVECCSARVDSVCLRGGMRRMLVPQARRAIARVLG -EKGDPLGVISSYIVMYPTAAVTVYVLLPLLCMLIRNEPSQTGTIVTLTVHGNSVSVPVWLLPTIIVNLHG -RDALQVVRHSAASMAELSSALAFFGMRGLNCWRRRRRAIRTDMIXLGGWIANFAQMLLWSPEVRTPQPEP -KGLCLLPPELWERPYENLXLSTVDRNRGASRLRFWLVASAILALLCL ->ANB32513.1 putative polyprotein [GB virus C] -MLMMVWLVLLIVSGAGALLSPASHACAFEGHFYLTNCCGQSDVYMCLEGGCIVALGCTICTDTCWPLYRA -GVAIRPGYPPGELLGSLGWAYPPVAAAAYVAGVTGFGEVYSLGLMVGAFATRKHQPIPALRCDVDCNATW -HSDLWYLAEEVAGEFWYVQYLWKLPYDFWRGLLGLSPVLAFVAILLGLEQRFVMLILLVTMGGMAEGAPA -SVLGARPFVQGPPWDSCRCRVAGKMVALWDRGNRSMHCRCPNGDWYWDPSSCVRTGWYDGDRLVMWSHGA -NPWPLACPARVHGPVSVVCQWGSRSWYARARGEGSLNLHGLVPEGSALCQVRVHALGPNALQYGSEAWGR -TCTTCIIDRRPYSCGDCVRDCWPDTGRLNTPFRKCGTGPRLTGTLEAVVFINRSVGYEFHGPVGNQGAGN -PTRLPLEEGKYAVTVIGGSVHLVKCPMNPPPPRGTFGFFPGEPPLNVCVTRGQEWSRFLGGAGLPGGFYE -PVFRACSQLSPEPYRVCPGYAWVSGAGPDGFITVRGDEQAVTPRWWVPPPRWLLLDFAFVLLFLMKLAEA -KLVPLFLLAAWWWANQITAEAAIHHGFFAAPLAAWSLYESWPVMVLGLLNLFIYMRWIGPTRLMALACWK -LAKGAFPIVLLLAVGFSRGRSSVLGYQFCFDATVSWEPSLLGWAVSAVVSWAIVTLSTMSMGGWAHKARF -YRAWCRVYQGMRTLVAASPGGCRSESRGLWFLWMLVTAAWPDECFQVTLLIMVVCGSFDLVDWIIETVLV -TRPNCARLARLLNSLIELGDRVSTVRLVECMANRNRYVYDHMGMLTRRARDQLVEWEAALEPVSFTKRDA -EIIRDAARVYACGASVAGKPVVARRGDEVLIGTLLSLRELPPGFVPTAPVVIRRCGKSFLGVTKACLTGR -DMDQHPGNVMVLGTSTNRSMGTCLGGLMYTAYHGAGARTLASPSGPLNPRWWSPSDDVTVYPLPDSATSL -EPCTCNPTSAWVIRNDGSLCHGTMGEDMKVELDVAMEVSDFRGSSGSPVLCDEGHAIGMLISVLHKGGRV -TAARYTKPWNQVPSDAKATTEPPRVPARGEYREAPLFMPTGSGKTTKVPYEYSRMGHKVLILNPSVATVR -ALGPYMEKLTGKHPSVYCGHDTTAFSRTTDSPLTYSTYGRFMANPRQMLRGVSVVICDECHSHDPTVLLG -IGRVRELAKDAGCCLVLFATATPPGAPMQSHPSIFEERLGVGEIPFYGHGIPLERLRTGRHLIFCHSKAE -CDRLAGTLAARGVKAVSYYRGKDVTCITEGDLVVCATDALSTGYSGNFDSVTDCGLVVEEVVEVTLDPTI -TISLRTVPASAELRMQRRGRTGRGRSGRYYHAGVGSAPSGVVRSGPVWAAIEAAVVWYGLEPDLAASLLR -LYDDCPYTAAIAADIGEAAVFMAGITPLRMHPDVSWAKTRGCNWPLLVGVQRTMCREVLAPGPSDDPEWA -GLKGPNAVPLLLRWGNSVPEAICGHHIVDDLVRRLGVAEGFARCDAGPILLVGLAVAGGMIYAHYTGSLV -VVTDWEVKGGGTPLHEKQPIVPTTIQGTRPPGAEAAPNDPKITIEAVEMMQSNVDWSIMTMSMADIFALA -KLKGCAAYDATARWVSGTYTGGKAAAATGSFQALMAGGWAAIVGHCQSVIAAAVAAYGAVKNPPLAAAAC -FLMGTGVCGNAHVKLASSLLLGAVGTALGTPVVGLTMAGAFMGGATVAPSLTVILLGAVGGWEGVVNAAS -VVFDFMNGRLALEDLWYLLPVLTSPGAGLAGVALGLVLHACNSSGSTNWINRLLTALPRSSVIPDNFFHE -ADYAEKVSCLLRRLSLSRWLVSLVETREKVSETSVGYAWDLWEWLMRFFRRLKDRLVSICPQFALPLWHC -GEGWTGEWLTDGHVEARCLCGAVVTGDVVNGVMTDIHYSHRTCRHYWMGSIPVNMLGYGDAIPLVDPGRA -KTIPVGTSGWLEVVVTPTHVILRRCSTYRQVRLQVLAAATSGAYYVDGIPCNWDSDARAPALVYGPGQSV -EIDGERHTLPYTMRIPRSIEVEEESEREYVPSPLREEAPPPMARQASEESLQGELPPAAAALYALEQAAG -LLEPHINTLMPAMLDECSTPSLCGSSRMMPAWGSPPVTPPPGMIGHDALGQLVIVPAEDSCDSTSAEPQS -EEELPCESSAEVSSSSVAVLGEESSLESWTDLPLGSPPPEPIVEAPLIHRPCEEENAFNAVLSALRSILP -SKDATRKLQVRMDCCAERYITRYFSLNLTVADVANLCDIEVEDHKAYCDSVSVALTLRVADLVGNVLTFE -CGRKEESRKETSCSYSYVWYGAPLGGRPTKPPVTRPVGSLLAADATCTYVTSPDDVGRRIDKVTFYRKPR -VHDQYLVDSIERARNRAQKCDSHGYDYEEAIKTVRPHAAMGWGSKVSVSKLATPEGKNAVYERLNDIVTQ -QDIPFTLTMKKEVFFKDRKEPKAPRLICFPPLDFRVCEKLILGDPGRVARAVLGPAYAFQYTPNQRVKQL -VEMWRRKRVPCCITVDATCFDSSITEEDVELETELYALASNKPDLVRALGKYYASGPMVTPEGVPVGQRC -CRSSGVLTTAASNSITCYIKVSAACERIGLRDVEMLVAGDDCIIICERPVSDPCVELEMALRSYGYNTEP -EFHASLDTASFCSARLAECNADGSRHYFLTTDFRKALARMSSEYSDPIASALGYILLYPWHPIIRWVVLP -HVLTFAFRGGGKPEDPVWCQVHGNYYKFPLTLLPRIIVSLHGPAALRVTADTTRTKMEAGQTLAAFKLPG -LATHRRKAGALRTRMLKAGGGWAELARGLLWHPGLRLKPPTLQSLPGGFPLGEPYYGAWIELETPGVDRK -WHWLWGLIPLLIVAIFG ->AGK41019.1 polyprotein [Bat pegivirus] -MAAIVLFVVLPLVGAFLTPARHACPEGGEYRLTNCCLESEIFACFDGGCLVAVGCTVCAEDCWDLFRPGV -ATRPGREPGELVGRLGWAVRPLVLTAYVASVTGLGEPFSLALLAGGLLSREPGARPNASCLKDCDTRFES -EPWQYWEDVKDSMWTLEWALELPWHLWVGVTACGPLVMAVVLMLFLEQRLVMAGLVVLLAGVAIGDVTVP -AYAASCQCHPNGSVAHVPGVSVGYRGNGSNVCVCPYGKMYWVPALCGGIWWREGGTTGTLHDFPVACPHQ -ILGSGLATFACQWGSAYWWFAIGAGIKPYDAVPTSAVCSVFMAGSTDRPHPGTDVFSRYGVPCATCVIDR -RAPWCGTCTRECWPETADDRLSFDNCGLGDRLTEHLWAHVVKDGVEKLVSTPPGERPQFSSYHGEGPYHT -KFLCPQNYTVSEMGGYWHAIACPQQSAVGVLPKLLPGRPVNACLTTQRGNELLEMSYLAGSAGFYAPVFT -RCNWPPVQGVYVCPGYAYDFPAGRLGFVRVKNGYQQIAKSGGLPHPKWLLADFLFVMIMLMKLSEARLVP -LLTLALWWWGNQVVDGATVRIIYPTITNTTHKPAPLWTAPVMPTLPCPANSSTPGETALKLGCQLINGLG -AGIGSGFGAVNTGAQVGWRTVWSGWTALRNIGAGLRWLAQVGDFLPVVEAAVSPDIIAAPLVGWASEQGW -FTGLLALLNVLVYWANVGGARLAGLVACHLARGAFPLVLVVAASISRGRASVLGLRVCVDMTGSPWTWSD -VWWCFAGLASWAFLTLGLVTHGGRDLKLRWYAYWCRLYQGVRRRVMDSSIGCGGRNPWLGWLWLAAAWFW -PDEVVVVVVVIMLAFGALDVLDYVLEVCVVTTVAPARMARLFDAVAVSGDRLAATWLADRFARRGVYLFE -HAGQVSRAAAARCREWGMALEPVMVRPQDCEVVRDAARVLTCGSRVGGKPVVARRGSEVLIGAIASLDDL -PPGFVPTAPVVVHRAGKGFFSVVKTSMVGKDEDEHLGSVMVLGTSTTRSMGTCVSGLMYTTFHGSNARTL -AGPVGRVNPRWWSPGDDVCVYPLPNGATCLEPCKCTPHSVWCIRNDGALCHGTLAKTVELDLPAEVADFR -GSSGSPILCDQGHAVGMMVAVLHKGARVTGVRFTKPWETLPHEAKTKSEPPPVPGRSGYKEAPLFLPTGS -GKSTKVPNEYAKAGHKVLVLNPSIATTRAMGPYMEKLTGKHPSVYCGHDDTAYSRTTDSNLTYCTYGRFL -ANPRKYLRGMDVVICDECHVTDPTAILGMGRARLLAKECKVRLLLFATATPPGSPMTPHDSIKEEALGTE -GDLTFYGHKLPLARYSVGRHIIFCHSKAECNKLQVAFAVSGCNSTVYYRGGEHPIPDGDVVVCATDALST -GYTGNFDTVTDCGLVVEEVVEVTLDPTITISLRTVPAPAELRMQRRGRCGRGKAGTYYHAIVGSAPAGTV -RSGAAWAAVEAGVVWYNMEPDLTADLLRAFDQCPYTGAVTANIGEAVSFFSGLTPMRNFPEVVWAKQKNH -SWPLLVGCQRHMCKDADCGPPADGPEWRGLKGSGPVPLLCRWGGQTPEKTAPHHWVDDLQARLGAAEGYS -PCYAGPVLLVGLAVAGGAVLAHWTGSLVVVTAWQVNGNGNPLLGSMKSGVPDSTPLPAILLPPPIKEGEA -GERSPAEAKVNMEAVEALETACGWGPMAASVAHLGSLAGKAATATTAAARTAAANADVLWQNWTSGAFVA -PPPAAAREVAPSLLQTIDSALSATWDSIFAHGRTLLVTFAAAYGAKRNPPLGVGASFLMGMASSASLTVR -LAAALLVGTAGTMVGNASVGLSMAGAYYAGSSVSSSWLAVILGVVAGWEGAVNAASLTFDLLTGRATAQD -AWYILTCLSSPGAAVAGVALGLLLWAAKKGVSDDWVNRLLTLLPRSSVIPDGYFVQTEYQARVSEILRKL -SLTRWLTELVKAPSTDGETPCSTLIWDFLEWLLHIGRYVYRKVKCLLPTFQCPLVSCGPGWRGPWIGAGH -LETRCGCGCVITGDVEDGELRDVRYSSWWCSHYLRGSVPVGVAGSCGGARPRIPEGGPSKRVYQVGIKDW -VEVTDAVGAVIVTATTAYTLSKLEVRRAVRGEPMYVDGAPVSWQCPLSQPPMTYRAGQSIMLEGERAMLP -CSVAVGRKPGPEPVEKPREPSPDRSMPPLEDDLEEARQRALDSITEQFHLPDPSAAAAALDALEEAAVML -QPHLGVLMGDDCSCRDDEVFGGAFFAEPETTFIPQEPEHPLVPEVAEELGLSDSQSSFETISLSDSESVG -TSDTTPLLRLAPKKVRGVVNKIFGGAKRSVHFRQSCCTSRSAFKTFPMEVSVADAARALGFDIETHRFLD -TFGGLVHHDDTLCTVVGTDIYVTCEEREEVTVSYSYVWSGAPLGCGRHQPPPITRPIGTHLTMDTTGVYV -TDPDRAAERAEKVTIWREPRKYDETYQAVASEALNKARKTKSHGWTYEEAVAKVRPKASTGHGCKITVKD -LETQKGRDAVTAMLGKIRRGEEVPFTFMTKREVFFSPKGGTRKPPRFIVYPPLDFRVAEKMILGDPGVVA -KAILGPAYAFQYTPNQKVRRLVDVWHRKRSPRAITVDASCFDSTITEEDIAFEAKLFAAASDDPDMVMKL -SEYYAAGPMVSPDGVTVGYRRCRSSGVLTTSSGNSITCWIKVKAACIRAGLQEPTFMIAGDDCLIIYEDD -GVDRTQALKEALASYGYRCNPQMHASLDTAETCSSYLAECTAGNYQHHWFMSMDMRKPLARAAAEYSDPI -ASALGTVLLCPWHPISRYVLLPHLLILAFRGGGNPDDLIACEVAGNTYRFPLSILPRVLVSLHGPDCLRV -LTDSTKTRMEAGKALRELGMKSLPYYRKRAGTVRTRLLRGGTGWGKLARALLWHPGLKEHPPDVESLPHF -RLLTPYDHCETVLAAGPPRKWRIGRMLIAWLSLMICALF ->AHH32958.1 polyprotein precursor [Simian pegivirus] -MMVWFLLLIVSGVGALLSPASHACFHEGHYFLTNCCSTSDVYLCLEGGCIVALGCTICTDVCWPLYRAGV -AIRPGYPPGELLGALGYAYPPVAAAAYVAGVTGFGEVYSIVLMVGSFVTRHHQPVPELHCNVECNATWHS -DLWYLAEEVAGELWYVEYLWKLPFDFWRGLLSLNPVMVFVAILLGLEQRFVMLVLLVTIGGMARGAPVAD -LGSRPFVPGPPWDRCDCGGTGVRRMLWDRGNETMHCRCPNGDWYWIPSNCQRTGWYMGDKLVMWSHGEQP -WPLACPARVHGPVSAICQWGSRSWYKLVEIEGPLDLFKLVPEGSALCQIRVHSVCARAQICLGQQWGRTC -ATCMIDRRPDSCGTCVRDCWPDTGRPDTPFSRCGTGPRLTGHLEAVVFANRSTGLTFHGPIGDQGAGNPT -RLPLPSGKYAVTVIKDSLHLVKCPSAPNRPTGTYGFFPGEPPVNACITRGQTWSEYLGSLALTGGFYEPV -VRRCSLLNSEPYRVCPGFAWVSGAGPDGFITVRGDQQAVTPRWWVPPPRWLLLDFVFVLLFLMKLAEAKL -VPLFLLAVWWWFNQSTAEAALHHCYFAAPIAAWCLYSEWHVLVLGLLNLIIYMRWLGPTRLMCLVVWKLA -KGAFPILILICVGLGRGRTSVLGYQFCFDAEVKWEPNLLGWALSAIISWGVLMLSTMSAGGWAHKARFYR -SWCRVYQGIRHLVAASPIGVRSESRGVWVLWFAATCIWPDECFQVMLVLMVACGLVDLTDWTLEIFLVSR -PNFRRLARVLNSLIESGDKVASMRFVECCASRGRFLYDHMGHLTRRARDQLLEWEAAIEPVSFTKKDAEI -IRDAARVLACGASVAGKPVVARRGDEVLIGTLLSLGELPPGFVPTAPVVIRRAGKNFLGVTKACLSGRDM -DHHPGNVMVLGTSTNRSMGTCLGGLMYTAFHGAGSRTLASPSGALNPRWWSASDDVTVYPVPDGATSLEP -CTCEPNSAWVIRNDGSLCHGILGEDQKVELDVAMEVADFRGSSGSPILCDEGHAIGMLISVLHRGGRVTA -ARYTRPWNQVPHEAKTATEPPRVPAKGEFREAPLFMPTGGGKTTKVPMEYAKMGHKVLVLNPSVATVRSL -GPYMEKLTGRHPSVYCGHDTTAFSRTTDSPLTYSTYGRFMANPRQMLRGMSVVICDECHSTDPTVLLGIG -RVRELAKEAGVCLVLYATATPPGAPMTAHPSIIEEKLGLGEIPFYGHGIPLERHRTGRHLIFCHSKAECD -RLAGNLAARGINAVSYYRGKDVSCITDGDITVCATDALSTGYSGNFDTVTDCGLVVEEVVEVTLDPTITI -SLRTVPASAELRMQRRGRTGRGRTGRYYHCGVGSIPSGVVRTGPVWSAVETAVVWYGLEPDLASSLLRLY -DDCPYTAAVAADISEASVFMAGITPLRMHPDVSWAKTRGCNWPLLVGVQRTMCREVLAPGPSDDPEWAGL -KGPNAVPLLLRWGSTAPERICGHHIVDDLVRRLGVAEGFARCDAGPILLVGLAVAGGMIYAHYTGSLVVV -ADWEVKGGGYPLHDKQPIVTTTVQGTRPPGAEAAPNDAKINIEAVEMVQSNCDWSLMTMSLADIFAHAKL -KGCAAYEGTARWIAGTYTGGKAAAPFTWSALMAGGWAALVGHCQSVIAAAVAAYGAVRNPPLAAAACFLM -GTGVCGNLHVKLACSLLVGAVGTALGTPVVGLTMAGALIGGATVAPSLTTILLGAVGGWEGVVSAASATF -DFMNGKLTLEDLWYVIPVLTSPGAGLAGVALGLVLHASNSTGNTNWINRLLTALPRSSVIPDNFFHEADY -ADRVSLLLRRMSLARTIVGLVERKDAISETHVGYAWDLWEWLMRLLRRLRDRLKAYCPQLALPLWHCGEG -WSGEWLTDGHVEARCLCGCVVTGDVINSVLRDVHYSTRSCRHYWMGTVPVNMLGYGDAIPLLDPGCAKTV -PVGTTGWLEVVVTQTHVIVRRASAYRLVKLQVLAAATSEAYYVDGVPCSWDSDARAPALVYGPGQSIELD -GERYTLPHTIRFPRVQVEEEEYRVTPLAESDDIRPRPQTAESSLDGELPPAAAALYAVEQAAKLLEPHVE -LLIPSVVEGEDTPSLCGSTRMMPCWDSPPVTPEPGVIGHDHCGNLAILPVEEPPFSSVEASCSSQEVIEE -LSSESIQVVGESDSLESWVDLPLQSPPSEPVVEVPVTHAEVHAEDENALNMLLSTLRSILPSKDATKKLQ -VRMSCCCERYITRYFSLNLTVADVANLCDLEVESHKAYCDSVSVALSLRVADLVGNVLTFECGKSKEVRK -ETACSYSYLWFGAPLGGKPTKPPITRPVGSVLATDATCAYVTNPDNVGQRIDKVTFWRKPRVHDEYLVRQ -IERARARASKCDSAGYDYEEAIKTVRPHAAMGWGSKVSVAKLSTPAGKDAVYERLNDIVAQKEVPFTLTI -KKEVFFKEGREKPPRLICFPPLDFRVCEKLILGDPGRVARAVLGSAYAFQYTPNQRVKAMVDMWQRKRTP -CSITVDATCFDSSITEEDVALETELYALASPKPDLVRALGTYYAKGPMVNPDGVPVGERHCRSSGVLTTA -ASNSITSFIKVAAACERIGLKGVEMLVAGDDVLIICERPVSDPCEELEMALRSYGYNTKPQFHASLDTAQ -FCSTRLAECNANGERHYFLTTDLRKGLARMSSEYSDPIASAIGYVLLYPWHPIIRWVVLPHILTFAFRGG -GKPDDPVWCQVHGNYYKFPLSLLPRIIVSLHGPAALRVTADTTRTKMESGQTLAAFKLPGLAAHRRKAGA -LRTRMLRAGGGWAELARGLLWHPGLRLKPPTYTSLPGGFPLGEPYYGAFYELEEPGISRRWRWLWVIPLL -IVALFG ->AGK41009.1 polyprotein [Bat pegivirus] -MSVPILLLVLGFLRESAGAPGQRPGIPAEVLADLRTVSSYQARDLALDTVWFVAAVVLGILAGMLIMRWR -GGPFAVLILVWSLAQLSPASASASPLAGRACHDYELDQLVFSNCCNASDVYWCTDWLCWTKFGCRVCTPG -ECWTTYGSGVSIRPQAHERDMRRQAMDLFGLMGLGAYTAEALGMGELYSAGLIAGFFFVGGRDRWTFVCN -VSCSFDGITWLQARAPTFTEVVKWISTVPSAIWSLVSTMPLIFASLLGYAFLRGHWPICLVLILGVPGVL -ANEGGSELEQCDFEALRSSNATCTCPFGVYVRKCSEPAWCDFPHICPEATNLSAAWYCAWGSWWWVAEDV -TRPYSHPSMPTHYSAICYVSEDRSAVRNVTIDIGDEDFIHLKGQITTCMLDRRESVCGDCWAGCYAVDGD -NSRPFERCGGGYRDGAIMFEPLMVINFSNESSYYWWAPSRHTSELLIASKYRHGYGCGSIAGVLHCWNCS -WGDAEPSKVGWLPQPGKPEPLCINPANERVQHDPPNNWLAMLQYITDSITTGVGCPRYDIPAYPVCPGMA -WFPTDGSRVVLYGQRQLIGHSPDMYLGFVGLVSVILALCIASGARLVPALLLLAAAFSGSWACYPACTAC -TFTFCLTSQPCMAVDGADYYWPARNFLSVRVTSDLPPDVWEHWAAGVVVGYLANSMGMPGLASVLMAAPA -LYPVELGGADYYCGSPGVPDTTVEGYEWTFLAYPLLLFLCGSGLWSFGCVCGVALGIRVRSWFPWRYVAV -VLVSACTHAMELAIAAAVSLQAYDLVSGRMGLYAEAALHRGVIAAPALGAALTYPTWPEVILGVLCVIMY -CRVVGRAGLAAIVAYKLSRGLLGLAVLCMLFARGPQRGVLGAVLHGRELCLPLDWFATSTGGAWWYVSGC -FSFFCISLSLLSPLGRRIKLRIYARWCQLYCRVRLAVSVSPFGRHGFFAAPTMLVWLFSCLMWPRECGTI -CVGFVATAGSFDFVDWVLEVVLCSAPKQVPLGHVADLAAACLNDGQLSALLQRWWYKGWVLYEHMGQVSS -RLKERVEAMKGCLEPVCVAPQDLEAVYDDTLTLTCGKWFGGKPVVARCGNMVLIGRASSVRSLPPGYTLT -APLLVVRPGSGFFKVLKTSLLGRGEVPGHGQIAVLETATGSSMGVATSGVLYATFHGTSGRPVAGPTGPR -NPYWTSPSDDVACYPLLEGLTCLEPCSCGDHSRWVLRVDGQLVHGVAAGDSHVALDCPTPLSKLKGASGS -PVLCDQGHVVGMMLGAISVNGITHRVRFVRPWAAVPGNPTASQVPEFPTVPADGYKVVSYVAPTGSGKST -KLPLALTALGHRVLVLNPSVVTTKAMYKYIKKLSGKSPNVYAGTGRGSMAISTGSRLTYMTYGRFLVDPD -KWLNAADVVLCDECHAVDGTSILGIGCALTEAEKCKVKLLILATATPPGTVITPHSSITEEPLDSTGDVP -FYGLTLKSEKYMRGRHVIFCHSKAECARVAGDLGRAGVNAVSYWRGADASVLTEDPDLTVVATDAISTGY -TGNFASCTDCCSVVSESVEADLNPTFTLTLGVAPCDAALRMQRRGRTGRGTPGVYRPLVAGAPPNGMTSS -SAAWAAAEAGYVWYGRDSTTIQNYLEAYQSSPYTCRMPGDPGEAVRALGVLRPFFQDHEVVSLCLKEQQW -PLLYGAQRAVCLRAESQPPSDDIKWAGITGDNAVPLLFRLGTVAAPCVSHPLTRKMAAVLGDTSYSDVSI -GPLLLAGVAVAAAVAIVGATGCLTVSSVWEVHTGGSPVNINARSESECGPTQQGGPVPPAAVREEQPDQV -AVIRETATSLDYPILTTVWGALEKGATMARGGACAAADAVNAWYTNGAPLVPSVPAGPAGVRVLEILEAH -LMAIAAGGLAIVGSRSSPALATVAALVAGITTLAPHGVVWLLSIAGGIAVSMASTPATGTACAAAFFIGT -KIASFTFLDTIVGAVCGYEATVNVCALTLELMDGTANASSWLGAVVGLMAPGAAVAGIALALILRGACSG -DVTSWLNRLLSCLPRSNVLPDGFFAEKKDPHALSKAVRGLSLTSKIKAWCEASQQDDYTFCADGWCGTLF -RSLAALYAWLRNWAADHLPMPKVPYLSCSVGYDGDFDGEGSVSSVCNCGCKISVTQRISKTRFDGSVYET -RWSKRNWTCVNSVRRRLPVNTTTTWSGSLQVDLTDERDFTFFVGLSHMIRGIYNWEDDTFTITETTLNRG -CRKNLLDAVADGPVAVRGRPVSTYYSGTTLAAFTAGQTVVWDGEQKTLPIQVKGIPKLCYSAYRPKFFDS -NADPGAAVVAAEATAAAEAATDLAERTMDAASKMMANSMEARRKAEAEAWALREKLMQRDIFADACAPGA -DDSDDLALTNRHLYAMMEDPEPLITPDLLREPPRQEPDLPITSQAVPMTAESMKTPDSEDELGDPIPLGT -EDILKDAAPLDVVEDVREGVADMKAVMSYASAVAGNIARGATNGVMAVAGAIKAPVEATVDAAVTTVKAT -TRKAKRLMGKAKATLPRLEGVTDEVPMVDITTLRTIQFRWACGNGGHHALASSNDDTVEDVYSRSNVPKC -HPHVFKMGLVTVVGSYKIVDLTDSEVIIDCMCPKHPDVRPKGNLITKILQHRCCGKDESRTKTVGANTPA -SLLGPLWGDSKGYWLDGDNKVPEDALMGDLGTTLTLVHEEKCGLSYLWSGAPILVGEPKKAPVSRTLTSH -LRADATKVYVTDPNNIHQRIQKVTIDQKEAEVDSFLRDAYNLALAKASRITSPGYDYDTAVSKVRPNSAR -GHVANITVADLKTEKGRRAVEKCLEGIHDGTEEGRFMLRPKSEVFPQTRSTHKPPRLICYPSLEFRVAEK -MILGDPAVVAKAVMGESYGFQHPPHRRAEVLHRMWKSMRQPVCYTLDGVCFDSTITQADIGREGNIFAAA -STEPNLVRRLHSYYAESPMVGPNGLIVGIRRCRASGTLTTSAGNSITCYLKVTAACRKAGIINPKLLIHG -DDVVLICEKQEEDQSRALGEALASYGYVCDPTRHADLSTAESCSATVTMVKTVRGMRPVLTTDMRRGLGR -VLAEVGDPVGTAWGYTINYPTNPIVSYVLLPVLLSIALNSGDGIHQLIQIDIRGNSIQMPLSSLGKAIRG -LHGPDILCVTGRAPSDISAAVDVLQFFSMRGLGHWRRFRRKVLVRLLRAGKDWATLGRELLWDPGSSAPP -ILTPDVNILPDELWEWSWEGIQPVRPKVERPWFRRLMYVILGFLALSLV ->AGK41003.1 polyprotein [Bat pegivirus] -MALLLLLLFSAPLVGGWVPSAASHACRDGDSYFLSNCCNPDEVEYCFPTGCFTSEGCTICTNGTCWITSR -PGFSHPPGVREEALPAAFRSVFVTASWGAYGASILGLGEPYAVALLVLAGTTLGGGRPPPLQCNVSCTLT -WHDGNWQLGQAVSSFSVPLEKVLELPARIVVGLWAAGVGMVFLIFCLLCEQRLFLAILLLCATGFAMAAP -QPQPTRQCGARFPGFDECDCTGRGFTRSNNTQVCICPFGSLVWQGREARQKLSWAQGVRPGLCCPRYTVT -ACRVTCRWGSVVWADGATRERLVDLWMLRPNGSAVCSFYHPDTSRELYSCVLDRRPKDCGRCMRDCWDKD -ADPRHTFERCGVGSQLTTFLSAIPANLVDPVKNQPWIGKAPQNPGVSGPVVDAEVHFTEIGGWQHAFLCP -GRPHPVLDAVVPGRPTNACQAFSDVIWEPFGLSAALLRACLPSVIGGRGVYSTCDGFAWRLGRTGDGLIH -SLGGWQEIDTEKWWPDPWWWFFDWFVVLIFLMKLGGAKVVPAVFFALYYQGNYMAAGVALPENWRQWVES -TVPSGVQTMVSSWEGGSPVNGTLLQGCKYLNCDKWAYGAYYADGLIHKAVGGLFKASDGIITGVNYLQDK -MCGLARTPLCPSTIAPTTVSTTTSAGAKRRKRDMPVLPKPSPAPEPPMERLMQLLVSLFEVLRSMNVTLP -TLPPVHAAVHPVLVAAPLVSWSVHSEYWESVVLGCLCTFIYLRWGGVARLSALVALKLSKGFVGVLVLGS -ILHGGRHSALGLRLCVDVFLDEPWDWHPISMLLAFLLAWCFISFSLLTVVGKRFRIQLYGYWAWLYSRLI -RDLQTSPIGVYSRHRWQWWWVLAGVFYPDTVCLVSVFFIGCAAALDVFDALLEAMFISQVDVPRLARWCD -RWATLISSERLGRVLSRCGANGVWLYSHMGQVSRNLAERLTELGGALEPACVHRIDLERVRDDSFTLACG -TSVRGKPVVARRGEEVLIGSVRGLEDLPPGYTLTAPVVVRATGRGFFGVLTTSMLGRDGECHDGNVMVLG -TATTRSMGTCLGGVMYTTFHSSNARPLASPTGPLNPRWWSTSDDTVVYPLPTGAQSLEPCGCRPSSAWVV -RNDGALCHGQLLQSSVRLDVSLRVSEFEGSSGSPILCDNGHALGMLVSVRHRGHTVHEAVFTVPWNVMPR -EVTQQMEPPPCPTTGFEEKPLFVPTGSGKSTKIPWGYAQRGLNTLVVNPSIATTLAMGPYMKKLTGTEPS -VYAGHGETAFSRTTDSKLTYCTYGRAIASLDRLLAWADVVVCDEAHSLDATTVLGIGLIRQRAEKAGVKL -LLYATATPAGAPVTAHPSIREVQLSGEGEIDFYGFRLTAKNYYKGRHLIFAHSKEQCRQLAQEFTRRGCR -AMYYYRGCDPQAIPDEGDLVVVATDALMSGYTGNFDTVTDCGVSVTEEVTVTLDPTITIALRVGPSPADT -RMQRRGRCGRGREGTYFYTQEAAAPSGAVPSGAVWGAIETAITWYHLQPPVAAEALRVYGACPYTAHVPS -TVADATTFIEGLVPFVRDPEVIRSRDRGVNWPLLVGVQRRMCKEGDAMPPNSDPAWAGCTGGNPCPLLVR -WGHTAPDKMAPHHITQDLVTRLGVAVGEVDTYVAPVLLVGLGVAAACAIAGATGTLVVVTHWACKGGGTP -VALATLKHSGITGYDPTPVPPLGAEGKVKKTTKLPGKHPDGESAPADVKKTKEPLDDVVTKVGWDALASV -WTALVNTGQKMSTVVADRYSQWAASGGGVAAIPEGVIDTVPFGRSGFMQAWTKIVGHLTELSTLGVAMWT -AGRNPPLAIASSLVLGIQMSLPLEARVACGLLVGALGGSLGTPAVGAGMAAAYVVGGGVRRMPVLGYILD -ILCGWEATATCASFVFDALNGDAKIADAWYCLAALGSPGAGVAGAAIGALLHFAFSSGTNEKWLNRLLTM -LPKGSALPEDYFEHTNMREKASRLLKNMSIARAVGRLLEHQDGVTQCGSSLITDFITSVRRFISWMVNWC -KDRVAFCEAPLVTCQRGYTGPWEGDGEVVTRCGCGCDIIATVRGGHVFHVTYSKLLCTNRFRRGIPINVD -TRIAGPIPKPGDGEVIYSTGLLQWVRVQKRGLVASITGSTQRCISTRELRVAANQRPAYVNGESVDVVNG -REPPRFIYRSGHRLTVDGDTVTLPHPVALTAEYQDVKREEVEAEGCSDPPTPSEPEERPLLPPGINMERS -KDGTTVTFWTDDESWFDVLTSGDTRPLTDDQIRAAMENKWPKTPDTSSMPGLETISLTVPSVGAVPSDTP -EPEAVYCDVTESITPEPSLIPLPTDSVASLAASVASSVYGSTVAATTVVTEAIVHTAAAAAGAGSRLFSV -RKGKKKRGSGSSSPEPKEMRIVKEPEPVPGRMVKIHLDMSCCDRSSRRCVDGILSVKDSIDLTGWDWSGH -IPYGETGSRLDLATKLSDLGDCTIRLSCAQETKCGKSYLWSGASFACGLSKPPPISRPIGTLVGVDASRA -YITDMRDVALRVEKVTRDRTPLEPDSFFRDAYNCARAAAARVHPNPGFSYEEAVRRVRPGAAPGHNVKLS -VADLKTPYGEETVRNCLASIREGKDEHPFMLTAKQEVFYQDKKTRKPPRLICYPSLEFRVAEKMILGDPS -RVAKSIMGKAYGFQYTPVQRVEVLRKMWASKVRPGCITVDAICFDSTITPEDVDRETELYALASPDPLMV -RRLGKYYAGGPMINRNGVTVGMRACRASGVLTTSSSNSITCYLKVRAACNKVGLRGVDLLIHGDDTLIIY -EREDEDPCDRLKAALASYGYPCEPKLHASLDTAESCSAKLAECKAWDRKGGHRHWFLTTDFRRVLARASA -EYGDPVASACGYALLYPQHPITRNLLIPQVVLGAFHRGGSPRDLVTCEVAGNKLTFPLRSLPAILVGIHG -PDCLRVISDSNSVLVETNTALQSFGMRGLSWHRRKTAALRVAMLRRGGEWAALARALLWSPGLKESPPDI -SSRAVDIGTVFSEPYQNQEYFISEPERRLKLPRWSALALWVVVVFCVGFL ->pir||T08839 polyprotein - marmoset hepatitis GB virus A -MASLWFFVLLLPLGGGVLFKSKHRCRVGDRFFLSNCCSRDEVYFCFGDGCLVSYGCTVCTQSCWKLYRPG -VATRPGSAPGELLGKFGSYVGPLASAAYAAGVLGLGEPFSLALAGGTLTTRLGRLPNLTCHLKCDFAFSY -PGISVDFDWAFKKILELPSRLWCGLSRAGVLALLVVMMLGLEQRLVLALLLLIVVGEAYCDVFEACHCAH -WGGRPPPRITPWVRGNGSVECTCPFGRMVWNPVLCSGLIWKDGSREGNVYDLPPVCPSEVLGTVAVMCRW -GSAYWAWRLGDWVELHRELPRSALCVFHSFTQRMNMWPKDVDFLTPEGTPCASCVVDQRPAWCGSCVRDC -WETGGPDFASCGIGTQVTEHLEAVLVHGGVESVVTTPRGERPKYLGHHGQGAYYGAVSKLSTNYTVTQIG -GYWHALKCPCVEFPSGDLPRRIPGIPVNACLSGAGPHPFTAWAPGGWYAPVFTKCNWPTTPGVDVCRGFA -YDFPGDHNGFIHVVGARQQXYSGVMRSSPAWLLSDMAFALLVLMKVAEARLVPLFMLACWWWLNGALAAT -ITIIRPTVNLTTGAPPPLWTPPTVPTPTCSNQSSSVGEALLAALCLSGQTLAGAAGDVWRVGNEGAQAVV -KAGWYGWAGLRSFWRGLXWLQRAGDYLPAVQAALAPEYVAAPIVGWAAEEWWFVGLLGLLNVMAYLNTVG -SVRCAVLVASHLARGAFXLVMVVAASVSRDRHSVLGLEICLDIEGGSWDWADHLWFASWVLSWGLLMLGL -LSQRGKDEKLRAYGRWCILYQRLRKLVAWSPVGRYGRWPWLPKLWLVAAWFWPREIAAVCVAFILGFGFF -DVVDYILEVVLVSSPNLVRLARVLDSLVAAGDKLATTWLVEKLRKRNCFLYAHAGQVTRRTAEQLRQWGF -ALEPVAVHPEDCAMVRDAARTLSCGQSVHGKPVVARRGDEVLIGVLNGVWELPPGFVPTAPVVVHHHGKG -FFGVVKTSMTGWDETEHVGNVVVLGTSTTRSMGTCVNGVMYTTYHGSNARTLAAQMGPVNSRWWSASDDV -AVYPLPVGAKCLEPCKCQPQGVWVIRNDGALCHGTLGRTVELDLPAELCDFRGSSGSPILCDEGHAVGML -ISVLHRGSRVTGIRYTKPWETLPREAITHTEAPPVPGRSGYREAPLYLPTGSGKSTRIPWEYVKSGHRVL -VLNPSIATVRAMGPYMEQLTGQHPSIYCGHDTTAYSRTTQSGLTYCTYGRFMANPRKYMRGCDVVICDEC -HSTDPVSILGMGRARLLAKDCKVRLLLFATATPPGAPIAPHENIREEMLGVEGEVVFYGHKLPAARYMTG -RHLLFCHSKVECNRLASALTSCGISAITYYRGGEQAIPEGDVCVCATDALSTGFTGNFDTVTDCGLMVEE -VVEVTLDPTITVSLRTVPAPAELRSQRRGRCGRGKTGTYYQALASSAPAGTVRSGALWAAVETAVTWYNL -EPDMTGDLLRAYDSCPYTSAITASVGEAIAFFAGLVPLRMYPQVNWAKQKGLNWPLLVGVQRYMCEEAEC -GPPADGPEWAGIRGRGPVPLLCRWGNNLPEAIAPHHIVDDLQAPLGVAEGYSPCLAGPVLLVGLAMAGGA -LLAHWTGSLVVVTTWSVNGGGNPLLWATKRGVPIGGPPIIPPPPPTGEGERFPGEVKVVAEATETLETAC -GWGPCSASFAYMKACEAGKLAAAKMDALCQAWSSGMFVPPPAASAEHMPSIIQSLDTAFTRAWDTVFTHG -RSLLVGFTAAYGAKRNPPLGVGASFLLGMSAGHSVHVRLAAALLLGVGGTMLGQASTGLAMAGAYFAGGS -ITSSWLSVIVALLGGWEGAVNAASLTFDLLSGRAEAKDAWCIISCLASPGASVAGAALGVLLWSMKKGVG -QDWVNRLLTLLPRSSVIPDDYFVKDEFVVKVSSILRRMSLSRWVMTLVDKREAEMETPASELLWDFVDWL -VRLGRMLSRRLSTLFPRIKVPLLGCSTGWSGHWEGSGHLETRCLCGCIVTGEIVDGEVQDLHYSTMWCSN -YIRKTIPVGVVGGAGAAEPAPPPRGLKTYQFGYKDWVEALVMEGTMTIHATSCYETTAAELRRAARTRPC -FSQGVACSWEAPMSPPAMVYRTGQTITIDGVRKMLPCTLVLGARPPPAAAVAPPPPTGWTQADEEDLQRT -KAAAIEAIGTGLGLPSPEAAXAALDALEEAAVALLPHVPVIMGDDCSCRDEEAFQGHFIPEPNVSEVPIE -PSVPDIDHLRGVATSLTARLNDLEAMVAARAESLEDAKALSRSLSSDSDMPSLESTSSSSFEVISLSESE -PETVIENGFPMEVQKPPLPXSFGGAKRILRVRQGCCCDRSTLKAFPLELKVGEVLFVCGYDPEGHRVTDD -NGDLDMNTPIADTLGDIILSCETREEAQMSYSYIWSGAPLGCGRVVPPPITRPIGTHLTHDTTKVYVTDP -DRAAERAEKVTIWRGQRVYDEHYASVVGEALKKAAATTSPGWTYAMAISKVRKRAAAGYGSKVTVATLET -GWPHVRTMIDKIRCHEEVPFTFVTKREVFFSKTTRKPPRFIVYPPLDFRIAEKMILGDPGIVAKAILGPA -YLFQYTPNQRVKLLVSTWESKTHPAAITVDATCFDSSIDERDMEVEAEIFAAASDQPELVKALCRYYAEG -PMVTPDGVPIGFRKCRSSGVLTTSSANSITCYIKVKAACAKVGLKDPSFFIAGDDCLIIYEDDGADPCER -LRLALGNYGYRCEPSKHASLDTAECCSAYLAECTAGGSRRWWLSTDMRKPLARAAAEYSDPVGSALGTIL -MYPWHPIVRYVLIPHVLIMAFRGGGTPDELVMCQVQGNTYSFPLKILPRVLVSLHGPWCLQVTTDSTKTR -MEAGNALRDLGMKSLSWHRRRAGNVRTRLLRGGKAWGHLARCLLWHPGLKEHPPPVIALPNFRLVTPYEH -HEEVLISLKERPPWVKWLLGFLMALTAALI ->AJP36585.1 polyprotein [Simian pegivirus] -MMEWFLLFLVSGAGALLSPASHACGYQNQFYLTNCCTTSDVYVCLEGGCIVALGCTVCTDVCWPLYRAGV -AIRPGYPPGELMGALGWAYPPLAAAAYVAGVTGFGEVYSMALMVGAFCTRHHQPIPELRCDIQCNATWHS -DLWYLAQEVAGELWYVEYLWKLPYDFWRGVLALNPVMVFVAILLGLEQRFVMLVLVIMVGGMARAAPVGD -LGVRPFEPGPPWDRCDCGQVGRFVWNRGNDTMHCRCPNGDWYWIPSNCQRTAWYEGERLVTWSHGQNHWP -LACPQRVQGPVSVVCQWGSRSWYKLVDLDGPLDIHAMVPYGSALCQVRTHIVCAHGALCLGQIWGRTCAT -CIIDRRPKSCGLCVRDCWPETGRRDTPFHKCGTGSRMTEDLEAVVYVNRSTGLTFHGPVGDLGGGNPTRH -PLEAGTYAATLISGTVHLLKCPTSTAPPGLLGFFPGEPPLNVCVTGVETWSEYLGNLALTGGFFEPVFRR -CSELAPEPYRVCKGYAWISGAGPEGFITVRGDQQAVSPSWWIPPPRWLLLDFVFVLLFLMKLAEAKLVPL -FLLAVWWWFNQSTAEAALHHGFFAAPIAAWCLYEQWHVMVLGLINLAVYMRWMGPTRLMALACWKLAKGA -LPIVMLLCVAFTRGRTSVLGYQFCIDSEVNWSPSLLGWAVASIVSWAVLVLSTMSVGGWTHKVRLYRAWC -RVYQGLRQLLVASPVGARSENWKLFILWMGVTVWWPDECFQVMLVILLICGSIDTFDWALEILLVSRPDF -ARLARLLNALIESGDRVASTAFVERCCSRGRYLYDHMGHLTRRARDQLLEWEAAIEPVSFTRRDAEIIRD -ASRILACGASVAGKPVVARRGDEVIIGTLLSLGELPPGFVPCAPVVIRRAGKGFLGVTKACLSGRDMDRH -PGNVMLLGTSTNRSMGTCLGGLMYTAYHGAGARTLASPSGPLNPRWWSPSDDVTVYPLPDSATSLEPCSC -NPSSAWVIRNDGSLCHGIMGEDQKVELDVAMEVADFRGSSGSPILCDEGHAIGMLISVLHRGGRVTAARF -TKPWNQVPSEAKTSTEPPPVPARGEFREAPLFLPTGSGKTTRIPHEYAKMGHKVLILNPSIATVRSLGDY -MEKLTGKSPSVYCGHDTTAYSRTTDSPLTYCTYGRFMANPRRLRGMSVVICDECHSQDPTVLLGIGRVRE -LAKDNGVNLVLYATATPPGAPMTAHSAIIEEKLSVGEIPFYGHGLPLERYRNGRHLIFCHSKAECDRLAG -NLSARGVKAVSYYRGKDVTCIGDGDITVCATDALSTGYSGNFDSVTDCGLVVEEVVEVTLDPTITISLRT -VPASAELRMQRRGRTGRGRSGRYYHCGVGSAPSGVVRSGPVWSAMETAIAWYGLEPDLAATLLRLFDDCP -YTAAIAADIGEASVFLAGLTPLRMHPDVSWAKIRGCNWPLLVGVQRTMCREVLAPGPSDDPEWAGLKGPN -AVPLLLRWGSAVPERICGHHIVDDLVRRLGVAEGFTRCDAGPILLVGLAVAGGMIYAHYTGSLVVVADWE -VKGGGCPFHEKQPIVPTTIQGTRQPGAESAPNDAKLTIEAVEMLQDQCDWSLMTMSMADIFALAKLKGCA -AYETTARWVAGTYTGGKAASPSVWSALMAGGWAAIVGHCQSVIAAAVAAYGAVRNPPLAAAACFLMGTGI -CGNIHVKLASSLLLGAVGTALGTPLVGLTMAGSFMGGATVAPSLTTILLGAVGGWEGVVAAASATFDFMN -GKLALEDLWYLIPVLTSPGAGLAGVALGLVLHASNSSGNTNWINRLLTALPRSSVIPDNFFHEADYADKV -SALLRRMSIGRTLVGLVERKEAVSETTVGYAWDLWEWLMRLLRRIRDRLRTYCPQLALPLWHCGEGWSGE -WLTDGHVEGRCLCGCVVTGDVINGVLKDVHYSTRTCRHYWMGTVPVNMLGYGDAVPLLDPGAAKTVPIGT -SGWLEVTVTPTHVILRRASSYRLVKLQVLAASTSEAYYVDGIPCCWDSDARAPALVYGPGQSIELDGERY -TLPHSIRLNRMIEESEDSYRVTPLQDEPDTVPRPQQPCETPEEIEGELPPAAAALYALEQAAKVLEPHVD -LLIPSVMDGEDTPSLCGSTRMMPCWDSPPVTPPPGIIGHDSSGQLAVLPVDEPTESSSDASCELPPEESE -AEGELSSDSICAVEESSSLESWVDLPLQSPPPEPVIAAPVIHAEVHAEEDNALNVLLSTLRSILPSKDAT -KKLQVRMSCCCERYITRYFSLNLTVADVANLCDMEVESHKAYCDSVAVAHTLRVADLVGNVLTFECGKKD -EGRKETSCSYSYLWFGAPLGGKPMKPPVTRPVGSVLATDATVAYVTSPDHVGQRIDKVTFWRGPRVHDSY -YASQIERARLRAQKCDSAGYDYEEAIKTVRPHAAMGWGSKVSVSKLSTPAGKDAVYERLNDIVAQKDIPF -TLTIKKEVFFRDKKTLKPPRLICFPPLDFRVCEKLILGDPGRVARAVLGSAYAFQYTPNQRVKVMVDMWH -RKRNPCAITVDATCFDSSITEEDVALETELYALASPKPELVRALGAYYARGPMVNPEGIPVGERHCRSSG -VLTTAASNSITCYLKVAAACERIGLKGVEMLVAGDDCLIICERPINDPCCELQMALKSYGYNTEPEFHAS -LDTASFCSTRLAECNANGERHYFLTTDLRKAIARMSSEYSDPIASALGYILLYPWHPIIRWVVIPHVLNF -AFRGGGKPDDPVWCQVHGNYYKFPLNLLPRIIVSLHGPAALRVTADTTRTKMESGQTLAAFRLPGLAAHR -RKAGALRTRMLKAGGGWAELARGLLWHPGLRLKPPTYTSLPGGFPLGEPYHGAYFELEEPDSRRRWHWLW -GLIPLLIVALFG ->BAA19580.1 polyprotein [GB virus C] -MSLNRARYPPGQTTPTYGPRRPSMSLLTNRFIRRVGKDQWGPGAMGKDPKPCPSRRAGKCMGPPSSAAAC -SRGSPRILRVRAGGIFFFCTIMAVLLLLLVVEAGAILAPATHACRANGQYFLTNCCAPEDIGFCLEGGCL -VALGCTVCTDRCWPLYQAGLAVRPGKSAAQLVGELGSLYGPLSVSAYVAGILGLGEVYSGVLTVGVALTR -RVYPMPNLTCAVECELKWESEFWRWTERLASNYWILEYLWKVPFDFWRGVMSLTPLLVCVAALLLLEQRI -VMVFLLVTMAGMSQGAPASVLGSRPFDYGLTWQSCSCRANGSRIPTGEKVWDRGNVTLLCDCPNGPWVWL -PAFCQAIGWGDPITHWSHGQNQWPLSCPQYVYGSVSVTCVWGSVSWFASTGGRDSKIDVWSLVPVGSASC -TIAALGSSDRDTVVELSEWGVPCVTCILDRRPASCGTCVRDCWPETGSVRFPFHRCGTGPRLTKDLEAVP -FVNRTTPFTIRGPLGNQGRGNPVRSPLGFGSYTMTKIRDSLHLVKCPTPAIEPPTGTFGFFPGVPPLNNC -MLLGTEVSEALGGAGLTGGFYEPLVRRCSELMGRRNPVCPGYAWLSSGRPDGFIHVQGHLQEVDAGNFIP -PPRWLLLDFVFVLLYLMKLAEARLVPLILLLLWWWVNQLAVLGLPAVHAAVAGEVFVGPALSWCLGLPTV -SMILGLANLVLYFRWMGPQRLMFLVLWKLARGAFPLALLMGISATRGRTSVLGAEFCFDVTFEVDTSVLG -WVVASVVAWAIALLSSMSAGGWRHKAVIYRTWCKGYQAIRQRVVRSPLGEGRPTKPLTFAWCLASYIWPD -AVMLVVVALVLLFGLFDALDWALEELLVSRPSLRRLARVVECCVMAGEKATTVRLVSKMCARGAYLFDHM -GSFSRAVKERLLEWDAALEPLSFTRTDCRIIRDAARTLSCGQCVMGLPVVARRGDEVLIGVFQDVNHLPP -GFVPTAPVVIRRCGKGFLGVTKAALTGRDPDLHPGNVMVLGTATSRSMGTCLNGLLFTTFHGASSRTIAT -PVGALNPRWWSASDDVTVYPLPDGATSLTPCTCQAESCWVIRSDGALCHGLSKGDKVELDVAMEVSDFRG -SSGSPVLCDEGHAVGMLVSVLHSGGRVTAARFTRPWTQVPTDAKTTTEPPPVPAKGVFKEAPLFMPTGAG -KSTRVPLEYGNMGHKVLILNPSVATVRAMGPYMERLAGKHPSIYCGHDTTAFTRITDSPLTYSTYGRFLA -NPRQMLRGVSVVICDECHSHDSTVLLGIGRVRELARGCGVQLVLYATATPPGSPMTQHPSIIETKLDVGE -IPFYGHGIPLERMRTGRHLVFCHSKAECERLAGQFSARGVNAIAYYRGKDSSIIKDGDLVVCATDALSTG -YTGNFDSVTDCGLVVEEVVEVTLDPTITISLRTVPASAELSMQRRGRTGRGRSGRYYYAGVGKAPAGVVR -SGPVWSAVEAGVTWYGMEPDLTANLLRLYDDCPYTAAVAADIGEAAVFFSGLAPLRMHPDVSWAKVRGVN -WPLLVGVQRTMCRETLSPGPSDDPQWAGLKGPNPVPLLLRWGNDLPSKVAGHHIVDDLVRRLGVAEGYVR -CDAGPILMVGLAIAGGMIYASYTGSLVVVTDWDVKGGGSPLYRHGDQATPQPVVQVPPVDHRPGGESAPS -DAKTVTDAVAAIQVDCDWSVMTLSIGEVLSLAQAKTAEAYTATAKWLAGCYTGTRAVPTVSIVDKLFAGG -WAAVVGHCHSVIAAAVAAYGASRSPPLAAAASYLMGLGVGGNAQTRLASALLLGAAGTALGTPVVGLTMA -GAFMGGASVSPSLVTILLGAVGGWEGVVNAASLVFDFMAGKLSSEDLWYAIPVLTSPGAGLAGIALGLVL -YSANNSGTTTWLNRLLTTLPRSSCIPDSYFQQADYCDKVSAVLRRLSLTRTVVALVNREPKVDEVQVGYV -WDLWEWIMRQVRMVMARLRALCPVVSLPLWHCGEGWSGEWLLDGHVESRCLCGCVITGDVLNGQLKEPVY -STKLCRHYWMGTVPVNMLGYGETSPLLASDTPKVVPFGTSGWAEVVVTPTHVVIRRTSSYKLLRQQILSA -AVAEPYYVDGIPVSWDADARAPAMVYGPGQSVTIDGERYTLPHQLRLRNVAPSEVSSEVSIDIGTETEDS -ELTEADLPPAAAALQAIENAARILEPHIDVIMEDCSTPSLCGSSREMPVWGEDVPRTPSPALISVTESSS -DEKTPSVSSSQEDTPSSDSFEVIQESETAEGEESVFNVALSVLKALFPQSDATRKLTVKMSCCVEKSVTR -FFSLGLTVADVASLCEMEIQNHTAYCDKVRTPLELQVGCLVGNELTFECDKCEARQETLASFSYIWSGVP -LTRATPAKPPVVRPVGSLLVADTTKVYVTNPDNVGRRVDKVTFWRAPRVHDKFLVDSIERAKRAAQACLS -MGYTYEEAIRTVRPHAAMGWGSKVSVKDLATPAGKMAVHDRLQEILEGTPVPFTLTVKKEVFFKDRKEEK -APRLIVFPPLDFRIAEKLILGDPGRVAKAVLGGAYAFQYTPNQRVREMLKLWESKKTPCAICVDATCFDS -SITEEDVALETELYALASDHPDWVRALGKYYASGTMVTPEGVPVGERYCRSSGVLTTSASNCLTCYIKVK -AACERVGLKNVSLLIAGDDCLIICERPMCDPSDALGRALASYGYACEPSYHASLDTAPFCSTWLAECNAD -GKRHFFLTTDFRRPLARMSSEYSDPMASAIGYILLYPWHPITRWVIIPHVLTCAFRGGGTPSDPVWCQVH -GNYYKFPLDKLPNIIVALHGPAALRVTADTTKTKMEAGKVLSDLKLPGLAVHRKKAGALRTRMLRSRGWA -ELARGLLWHPGLRLPPPEIAGIPGGFPLSPPYMGVVHQLDFTSQRSRWRWLGFLALLIVALFG ->AAD31543.1 polyprotein [GB virus C variant troglodytes] -MRTVHRIGLSGVNRDPTPVTSLNRVPFPIATPALVRERRPFNTTLVSSMCGELAIPEGGRGVHGRTVPPA -LSGSGINAWGHPAPRRTAAGVDQNPSGEVGWHLSFFDIMMIALLFLIINGAGGLLTPASHACRVGSSYFL -TNCCAARDVAFCLEGGCVVALGCTVCTDVCWPILRPGISIRPGVDSSALVGQLGALYSPLAVGSYAASVL -GLGEVYSGALALGVLVTRHAYPLPNLTCAVECEMEWGTEFWRWTQEVASQYWILEYLWRLPFDFWKGVMA -LDVLLAFVAALLLLEQRLVMLFLLVTTAGMAASRPTGDLGARPFVPGPGWGCTCYEDGSRVPTDKQVWER -GNKTLLCDCPNGPWVWLPSICGGVAWGSGVVKTWSHGQNQWPLSRPRYVHGHITATCVWGSRSWFSSTRG -DGTIDLWSLVSTGSALCTIAVLGTSDRDVVPGLSTFGIPCVSCVVDRRPPSCGGCVRDCWPETGEFGTPF -AKCGLGPRLTEHLMAVPFVNRSVPFQIRGPLGFQGSGNPLRNPLRGGTFTMTLIDGSLHLVECPTPPPSH -PEGTYGFFPGAPPVNNCFLLGTEVSEELGGAGMTGGAFEPLVRRCSLLSGKRHTVCPGFAWVSSSRPDGF -IHVKGGVQEVDDHSWAPPPRWLLLDFVFVLLYLMKLAEARLVPLILLLLWWWLNQLAALGAVVGEVFAAP -LASWCLTLPWVAMILGLVNLVLYLRWLGTTRLVLLLAWKLARGAFPLALLMGISATRGRTSVLGAQFCLD -VAVDMEPTILGWVLASLIAWSIALLATMSAGGWRHKAAVYRLWCKGHQWLRRRIVDSPIGEGRPTTPAVA -AWCLAAYFWPDAVMWVVVALVVVLGLVDALDWALEELLVSRPSLKRLARVLEACVTAGEKATTVRLVNKL -TSRNLFLYEHMGAFCGRVAQQLRAWDAALEPLSFSRTDCRIIRDAQRTLSCGQCVMGLPVVARRGDEVLI -GVFGSVNDLPPGFVPTAPVVIRRCGKGFLGVTKSALTGRDPDQHPGNVMVLGTTTSRSMGTCLNGMLFTT -YHGATSRTIATPVGALNPRWWSPSDDVTVYPLPDGATSLAPCTCQPSSVWVIRADGALCHGSCHGDKVEL -DVAMEVADFRGSSGSPVLCDEGHAVGMLVAVLHVGNRVTAARFTRPWNQVPSDAKTTTEPPPVPAKGQFK -EAPLFLPTGSGKSTRIPLEYSNMGHKVLILNPSVATVRKMGPYMEKMSGKHPSVYCGHDTTAYTRATDSA -LTYCTYGRFLANPRSFLRGVSVVICDECHSHDPTVLLGIGRVRELAKAAGVTLVLYATATPPGAPMTPHP -SIIEQKLDVGEIPFYGHGIPLERMRTGRHLVFCHSKAECDRLAGQFSSRGVNSVSYYRGKDSSCIVDGDL -VVCATDALSTGYSGNFDSVTDCGLVVEERVEVTLDPTITLSLHTVPASAELSMQRRGRTGRGRSGRYYYA -GVGKAPAGVVRTGPVWAAIETGIAWYGMEPDLTASLLRLYDDCPYTAAVAADIGEAAVFFSGLTPLRMHP -DVSWAKTRGCNWPLLVGVQRTMCREALSPGPSDDPQWAGLKGPNPVPLLLRWGAECPSRVAGHHLVDDLV -RRLGVAEGYAHCDAGPILMVGLAIAGGMIYASYTGSLVVVTDWEVRGGGNPLMRHGDQATPLPVVQVPPE -EHRPGAESAPADAKTVTEAVLAVQTACDWSILTLSIGDVLAAVQAKATTAHAWLAGCYTGTRAVPTVSIV -DKLLAGGWATIVGHCHSVIAAAVAAYGANRSPPLAAAASYLMGLGVGGNAQVRLASALLLGAAGTALGTP -VVGLTMAGAFMGGSSVSPSLVTMLLGAIGGWEGVVSAASLVFDFMAGKLTPEDLWYGIPILTSPGAGLAG -FALGLVLYSGNTAGATTWLNRLLTTLPRSNVIPDTYFQEADYCDRVSALLRRLSLTRLIVGAVTHQPRVD -EIQVGYVWDLWEWVMRLLRRCVNRLRALCPVVSLPLWHCGEGWSGEWLLEGHIEARCLCGCVITGEVLNG -KLQDVIYSTKMCRHYWMGTVPVNMLGYGETSPLLCTDAPKVVPIGTSGWVEVVVTPTHVIVRRSSSYKLM -RQQVLSAAVSEPYFVDGIPVSWEADAKAPALVYGPGQGVTIDGEKYTLPHQLRVRNFAPSEVSSVSIDVS -CEESEDTELTETDLPPAAAALQAIEDAARILEPHIDVIMEDCSTPSLCGSSREMPVWGDDIPRTPSPARI -SVTESTDGPETSSEPSSQDDCPSSDSFEVIPSTSSVTEEEGENVFNVALSILKSLFPQSDATRKLTVKKP -CEDKSVTRFFSLGLTVADVASLCEMQITNHTAYCDKVRTPLELQIGCLVGNELIFVCDEDDKRCETLTSF -SYCWSGVPLTRALPSKPPVTRPVGAMLVTDTTKVYVTNPDNVGRRVDSVTFWRGPRVHDKFLVNAIEKAK -MAAQRIDSKGYTYEEAIKTVRPHAAMGWGSKTSVKGLETPEGKMAVHDRLLDIQKGTPVPFTLTVKKEVF -YRDRKEEKAPRLIVFPPLDFRVAEKMIMGDPGRVAKGILGDAYAFQYTPNQRVKKMVEMWGSKKTPCAIC -VDAKCFDSSINLEDVELETELYALASDNPEQVRALGRYYAEGPMVSPEGVPLGHRFCRSSGVLTTSSSNC -ITCYIKVSAACARIGLKGVSLLIAGDDCLIICERPICDPCEALGAALRSYGYNCEPSYHASLDTAPFCST -WLAECNANGSRHFFLTTDFRRPLARMSSEYSDPMASAIGYCLLYPWHPIVRWVAIPHVLQVAFRGGGTPA -DPVWCQVHGNYYLFPLNKLPNIIVALHGPAALRVTADTTKTKMEAGKVLSDMKLPGLSVYRKKAGALRTR -MLKSSGWAELARGLLWHPGLRLRPPEIAGIPGGFPLAPPYMGVVHTLDFTQPRSRWRLLFGLLALVVVAI -FG ->pir||T08841 polyprotein - douroucouli hepatitis GB virus A -MEVLLVLLLKTALAGAFLQPASHACHAAGYYYLTNCCSDSEITACFDGGCLVSVGCTVCDGRCWDLYRPG -VATRPGHPGGELFGALMSTSSPAVVAAYVAGITGLGEPFSAAALLAALARVEWTPRPNVTCLMDCDLALQ -SEAWDLVEDMRDTFWTVKWVMTLPWRLWVGLVGMSFALMVVVVLLLLEQRVVMVLIVLAMAGSVEPFTGG -CRCHVNGSIVAIPGAKPEDRPNATQVCVCPFGQMYWLPALCAGLAWRNGDVSGTTRDLPVRCPESMHGRG -SVMCVWGSAHWSWRLATEVRLWEQLPGSALCHFFAVGTTDRPHPATDVLSTHGIPCASCVVDRRASWCGN -CTRDCWEKTGNKRLSFEACGLGSRLTAELWAHVVDGGTESKIVTDVGERPRYPSSHGVGTHHTAVVAARN -YTVSDIGGYWHAIACPNPPSPSALPKLIPGRPVNACLTICKEKGRLNTAWQAPGGXFAPIFTECNWPRRS -GVRVCEGYAFDFPGSKTGFIRSRAGFQQIARAGSMPHPRWLLTDYLFILLVLMKLAEARLVPLVAVALYW -WFNNMAEAATLRILHPVVNITTAAPMTWPALPVPTVVCPTKAGAVGDALYMAACLAGNATLGVVSSVWGG -AYGAAEAGARGLWRWWGGFRSFCAGLAWLTNVGAYLPVVEAAVGPEFVSAPLLVWAWEDSIAVFILMAAN -IWAYWSDHLGVKLAGLVAAHLAKGALPLVLLVAAXVTRHRHSVLGFEVCVSLDADASPSDWSWWALAAVV -SWCLLTLGLTTHGGRLRKLEFYSYWCRFHQAVRMRLLCSKVGRGGRDWRVTAVWVAAGIIFPREVVRCSA -ALTALAALLDSIDYLLETLILTAAQPARAARLLDSLTFLGDADLTRAFVRRLERRGVTLFQHCGQVSXGA -AAILXDLGVALEPVSVTARDCYIVRDAARTLACGQRVEGLPVVARRGDEVLVGVFPSVRALPPGFVPTAP -VVVMQRGLGFFSVVKTSMLGRDEREHEGSIVVLGTSTTRSMGTCVNGVMYTTFHGSNARTLAGPVGPVNC -RWWSPSDDVAVYPLPSGASCLEPCKCGTQSVWCIRNDGALCHGRLSKLVELDLPTEISDFRGSSGSPILC -DEGHVVGMMVSVLHRGVKVTGVRYVKPWETLPKDSQVKSEAPPVPGKTGFTEAPLYLPTGSGKSTRIPLE -YTKAGHKVLVLNPSIATVRAMGPYMEKLSGQHPSIYCGHDTTAYSRTTGSPLTYCTYGRFMANPRRYLRG -ADIVICDECHVTDPTSVLGMGRARLLARECGVRLLLFATATPPGAPLAQHESIKEVPLGVDGEVAFYGHK -LPVERYRTGRHLLFCHSKVECNRLHAALSTAGCNAVVYYRGNEQEIPAGDVCVCATDALSTGYTGGFSTV -TDCGLMVEEVVEVTLDPTITISVRTTPAPAELRAQRRGRCGRGSQGTYYYAMTASAPAGTLRSGPLWAAV -EAGVAWYNLEPDMTADILRAYDACPYTAAITASVGEAINFFSGLVPMRNYPQVAWAKSHQHNWPLLVGVQ -RTMCQEANVAGPGDGPEWAGLAGTGPIPLLCRWGARPPPSVAPHHWVDDLQARLGVAEGYSPCYAGPILL -VGLALAGGAVLAHWTGSLVVVTSWRVNGNGNPLIQQSTRGVSTSAPYQLTVCVEGEQTPADGKCAAEAVQ -LXESTCGWGPMAASFDCAGMKGVLDSMRTTAAAAVEKSDSLWRSFCANNYCPPGGGATSASAFFASLDTK -FAQAWDAIFTNGRSLLVGLVAGYGARRNPPLGVAAAFLMGMSAGHQVHVRLAAALLLGVGGTMLGTPSVG -LAMSGAYFAGGSITSSWLSAIVAVLGGWEGAXNAASLTFDFLTGRAELKDMWFLVSCXASPGASVAGVAL -GLLLWSMKKGVGEDWVNRILTLLPRGSVLPDGFFVKSEFTERVSTILRKMSLSRWVMTLVERRELDLETP -CSSMLWDLIDWLVRFGRYIGRRLKGMVPSVRVPLVGCTPGWGGSWVGEGHIEARCACGCIITADVEEGKL -VDVHYSSRLCSNYLKGTVPVSAAGSGDAEPEVPAGPALYQIGVAEWVQLVRKDKTLVVGASSVYHLHIDE -LRRAIRGPPMFVGGVGVSWEAPLQQPPLVYRAGQSVRFDDVRYSLPHTLALPPPPRPPPPLAMPPPPPPP -EQVWTEEEEADLREARARAIEAVNERLPVPNPEAAQAALDALEEAAVSLLPHVGAILGDDCSCEESFGGH -FIPEPDAVDVPIGMMEVQVGPLRDQARDLGDRLAVLGARLESLAEAHPEASLNTERRTMGELIDTLADVQ -ARLDVTCRSDTSGSSFEQISLSDSEPETIVEGGLKLEVVRPQPVRFKDLIRPGEGAKRLVTVRQSCCADR -SATRAFALSLPIAAVTATLSFDLTDHTVSDSTGRVLDPLELLQNAVGDLIVACRRSESSVSYSYIWSGAP -LNTGRHQPAPMTRPIGTHITADTTKVYVTDPNQAGERAAKVTIWRGSRVYDAHYRGVVSEVLQQAKTIKS -PGWTYDEAIAKVHSRAAAGFGSKVTVGNMTTPAARAEVNNMLAKIKTKQEVPFTLVTKREVFFQKTTRKP -PRFICFPPLDFRIAEKMILGDPGLVAKGILGKSYLFQYTPNQRVKLMVDLWRQKKHPRAITVDATCFDSS -IDERDMAVETEVFAAASPNPDLVRALGSYYAEGPMVSPKGVPLGVRKCRSSGVLTTSSANSITCYIKVKA -AARAVGLVDPDFLIAGDDCVIIYEDDGEDHADALRVALGNYGYDCKPKTHASLDTAESCSSYLAECNVGT -ERVWWLSTDMRKPLARAASEYSDPVSSALGTILMYPWHPIVRWVLLPHILIMAFRGGGTPDDLVVCEVQG -NHYSFPLRVLPEVLVSLHGPRCLRVTADSTKTKMEAGAALRDLGMHTLAFYRKRAGNVRTRLLRGGKGWG -RLARALLWHPGLKEHPPSIKSIPGFKMATPYEHHETVWYSGEKPPWYWDVKCLFGLVCAFLTALL ->AGK41012.1 polyprotein [Bat pegivirus] -MAPIVLLCVLGLSGAFLAPAKHACRLGSEYFLSNCCSDDEILACFPGGCLVAVGCTICSGTCWDLFRPGV -ATRPGAKAGELVGQLGWAVRPLVTGAYVASVLGLGEPFSAALLAGGVLSRQHHGLPNHTCVMRCDMVFQS -ELWQVWEDVKDSLWTFEWLLELPWHLWVGVTACGPVVLGVVVLLALEQRLVMALMVVLLSGVALGVYTPP -VASSCNCTVTVLSPPQHRIRPGYRGNGTNICDCAFGKMYWLPGFCHGIIWGDQVKVSTMTKFPVLCPAYL -LGSSSHSVACRWGSAYWHFQLGRGVRLLESVPTSALCHIYAKGSTDRPHPLTDVLGSLGVPCASCIIDRR -GSWCGSCVRDCWPDTASRRLTFEFCGIGDRLTEHLVAHSVKGGIESIVSTPAGERPRFKSYHTGGTTFPA -EYKCELNYTVSEIGGYWHAIAYPSHLAPAALPRFLPGRPVNACITSKKDTSLIYGAFAPGGFYAPVFTKC -NWPPVSGVYVCPGYAYDFPGGRAGMIRVIAGHQQVAAASGLPHPKWLLTDFLFVMIMLMKLSEARLVPLA -TLAVWWWFNQVAEGATIRVVYPVVTGPTEEPPPTWTIPALPTFACAKNASLPGEAVVMALCKVANAGSGA -ADAAVKTVNHGLLWTWRHAWNAWQQSSSFARGLAWLLDKGRYLPVAEAAVSPDVIAAPLVGWAAEQGWFT -GVLALLNVLVYWSNVGGARLAALVAGHLARGALPLVLVVAASISRSRCSVLGLRVCFELSSSPWTITDVW -WCLAGVVSWAFLTGGLLTHLGRDWKLSVYAGWCRFYQGLRRRIADSPVGTCGRRAWLGWLWLAAAWFWPG -PVVDVVVVIMLSLGLVDVLDYALEVCLVTTANPARVARALEAMVASGDTALVRWFVSRLERRGVTLFAHM -GQVTRTSAAKLRDWGFALEPVAVTPRDCEIVRDAARTLSCGCLVGGRPVVARRGDEVLIGAVASVEELPP -GFVPTAPVVVHARGKGFLQVVKTSMTGKDEDEHNGAIVVLGTSTTRSMGTCVSGLMYTTYHGSTARTLAG -PVGRVNPRWWSTSDDVCVYPLPNGAGCLEPCKCQPQSVWCIRNDGALCHGTLGKTVELDLPAEVADFRGS -SGSPILCDQGHAVGMMVSVLHKGNRVTGVRFTKPWETLPQEARSKTEPPPVPGKTGYKEAPLFLPTGSGK -STKVPNEYAKAGHKVLVLNPSIATTRAMGPYMEKLTGKHPSVYCGHDDTAYSRTTDSNLTYCTYGRFLAN -PRKYLRGKDVVICDECHVTDPIAILGMGRARLLARECKVKLLLFATATPPGSPMTPHDSIREETLGAEGE -VVFYGHKLPVKRYSTGRHIIFCHSKAECNKLDAALSAAGCNSMTYYRGGENPIPDGDICVCATDALSTGY -TGNFDTVTDCGLVVEEVVEVTLDPTITISLRTVPAPAELRMQRRGRCGRGKAGVYYHALVGSAPAGTVRS -GALWSAVEAGIVWYNMEPDLTADLLRAYDQCPYTGAVSANIGEAVSFFAGLVPMRSFPEVAWAKQKNHSW -PLLVGCQRHMCKDADCGPPAEGPEWRGLKGTGAVPLLCRWGAQVPDKVAPHHWVDDLQARLGAAEGYSPC -YAGPILLIGLAVAGGAVLAHWTGSLVVVTSWTVNGGGNPLLWSQSGGVADSAPLPAVLLPPKDGRPADEG -EMAPAEAKINLEAVEALETACGWGPMAASFAQVGEVAGSVATAATHAAKTAARHADVMWQQWANGSFVPP -PQAAREVAPSLLQTLDQTFSSIWDKIFTNGRSLLVAFAAAYGARKNPPLGVGASFLMGMASTAQLPVRLA -AALLVGTAGTMVGTPNTGISMASAYFAGSSMSTSCLAVIISVIGGWEGAVNAASLTFDLLTGKATTQDAW -YVLACLGSPGAAVAGVALGLLLWAAKRGVSDAWVNRLLTLLPRSSVIPDDYFVKTEYVEKVSQVLRKLSM -TRWVSALVASPAIDGETQCSSLIWDFIDWLLRLGRLVTRKIKTIIPALSVPLASCEAGWKGPWVGEGHLE -ARCACGCVVTGDVAGGELRDVRYSSWFCGHFLRGSVPVSVMGSAGCAHPKPPEGGPTRRVYQVGVKDWIE -VTDGYKSVVLLASSSYSVSKREVRRAVRGPVMYCDGAPVSWECPVNPPAMVYRKGQAITVDGERVTLPTS -VSCYPPGSPALQPPVAARGTPEEEDEMPPLEGECDDDEDILSARERAVEAIAETLHLPNEDEARAALEAL -EEAAVILAPHVGTIMGDDCSCREEEEFLGAFFAEPETTEIPILPNCSPTPDDQPIPEEPPLEELSFGSST -ESPGELSLRSSSFETINLSDSEESTTSESAVLLQVKRALPKGVRALGGKIFGGTRRTVAIKQSCCTSRSC -FKSFPMEVSVGEAARAMGFDLEGHAMVDVFGGVISPDDTLCTVVGTNIIVTCEHREEVTVSYSYVWSGAP -LGCGRHVPPPMTRPIGTHLTCDTTKVYVTDPDRAAERAEKVTLWREPRKYDDTYQGVVAEALKAAKSTKS -HGWTYEEAVQKVRSKASTGYGCSITVKDLQTPRGRAAVERMMSKIERGEEVPFTFMTKREVFFASQDNHK -GKRKPPRFIVYPPLDFRVAEKMILGDPGKVAKAVLGPAYGFQYTPNQKVRRLVDVWQHKQHPRAITVDAS -CFDSTITEEDINLEAKIFAAASDRPELVMKLSEYYAAGPMVSPTGVPVGYRRCRSSGVLTTSSGNSITCY -LKVKAACIRAGIKEPTFMICGDDCLIIYEDDGEDHCPALKSALNDYGYRCDPQMHASLDTAETCSSYLAE -CTAGDHKRHWFMSCDMRKPLARAASEYSDPIASALGTVLLYPWHPISRYVLLPHLLIYAFRGGGTVDELI -ACEVQGNTYRFPLKLLPQVLVSLHGPDCLRVLTDSTKTRMESGKALRELGMRSLAYYRKRAGTVRTRLLR -GGPGWGKLARALLWHPGLKEHPPDLRSLPHFRLTTPYDHQEVIVAVEKINPWSIFRTFIAWIGILACALI ->AGK41013.1 polyprotein [Bat pegivirus] -MAFLLLLCLAPLVGGWVPSIASHACLVGETFFLSNCCNQDEVEYCFDSGCFTAEGCTICSQDVCWPSTRP -GFSHKPNAKEEALPAAFRQVFVTASWTAYAAAICGLGEPFSVGVLVLAGVSLGGGRPPPLQCNISCDLAW -HDSNWQLGEAVARFSWPLEKVLELPGRIVQGLWAAGIGMCFLIFVLLCEQKIFLAILMLCATGFAMAGPV -VPLNNCGKRVPGYDLCDCTGKAFTRSNSTQVCSCPFGTLLWQGKKARQRLTWYSGKFPGMCCPRYTITST -QVTCVWGSVVWADTKERERLIDLATLVPNTSAVCTFWDGAYPLYSCALDRRKSFCGSCMRDCWDKTADPR -LTFEACGVGQQLTTFLTAIPATLADPVSNQPWIGRKPENPGVKGPILDFPLHFTEIGGFQHVFGCPGRPH -PTLLGVVPGKPTNACQAFSDTIWEPFGLSSALLKACLPSVIGGRGVYSTCDGFAWRLGRTGDRFIHSLGG -WQEIDSESWWPDPWWWFFDWFVVLVFLMKLSGAKVVPAVFFALYYQGNYMASGLAIPKSWVDKRDATPPP -GVRDMVTAWAKGTPVNGTVLKNCKYLDCDGWAWGGYKFDGFLQTVIGGMINATDLLVRGLDTVGRKGCEW -RWTPLCPSTTASPTPTAVSATSEVSSPASTTTTPSLVARRRRELEASLPSPSPAPETPIDELMRLLVALF -RVLRDMNVTLPTLPAVHAAIHPAVVAGPLVAWSAYSEYWDTCILGLISVFVYLGWAGVPRMAVLVAFKLS -RGFVGVLVLASVLRGGRHTALGLRVCLDVYVDEPFSWHPLAMLLAFILAWAAISFSLLTVAGKRLRLHLY -GYWAVAYSQAIRFLQTSLVGRFRSGRWQWLWLLAALFFPSEVCLISLTIICVAATLDCIDLLIESMFISQ -VDVVRLARWCDRWAHLLSSDRLERLLRRCGERGIWLYNHMGQVSTNLAARLHALGGDLEPACVTRMDLER -VRDDAFVLCCGSSVRGRPVIARRGEEVLIGSVRGLEDLPPGYTLSAPVVVRATGRGFFKVLTTSMIGRDG -EGHDGNVMVLGTATTRSMGTCLGGVMYTTFHSSGARPMASPTGPLNARWWSTSDDTVVYPLPAGAQSLEP -CGCRPQSAWVVRNDGALCHGQLLTSSVKLDVALRVSEFEGSSGSPVLCDNGHALGMLVSVKHRGSDVHEA -VFTVPWNVMPKEVTQQMEPPPVPAKGFEEKPLFVPTGSGKSTKIPWGYAQRGQNVLVCNPSIATTMAMGP -YMKKLTGTEPSVYAGHGANAYSRTTDACLTYCTYGRAIAQLDRFLAWADVVICDEAHSTDSTTVLGIGLI -RQRAEKAGVKLLLYATATPAGAPVTAHPNIREVQLTGEGEIDFYGHRLRAKHYYKGRHLIFCHSKDQCRL -LAQDFTRRGCRAMYYYRGCDPTSIPDEGDLVVCATDALMSGYTGNFDTVTDCGVSVKEEVVVTLDPTITI -ALRVEPSPADTRMQRRGRCGRGREGTYFYTQEAAAPSGAVPSGSVWGAIETAITWYHLQPPTAAEALRVY -GACPYTAHVPSTLADATTFMEGLVPFARDAEVTRAREKNVSWPLLVGVQRRMCKEGDAMAPNDDPCWSGL -TGPNPCPLLCRWGHAAPDRIAPHHITQDLVTRLGVSVGEVDTYVAPVLLVGLGVAAACAIAGATGTLVVV -TSWTCKGGGHPVALPTLRTTSISGYDPTPVAPLGAEGKVKKTPKLPGPADGEAAPADVKKVKEPLDDVVT -KVGWDALASIWTAMVNTGHKVTSSVSQRYADWAARGGGLATAPEGICYKVPLGRKGFMLAWNSLLNHLME -LSTLGVAMWTAGRNPPLAIASSLVLGIQMSLPLDARVACGLLVGALGGSLGTPAVGAGMAAAYVVGGGVR -RMPVVGYILDILCGWEATATCASFVFDFLNGDAKASDVWYCLSALGSPGAGIAGAAIGALLHVAFTSGTN -EKWMNRLLTMLPRGSALPEDYFEHTNMREKASALLKNMSIARAIGRLLEAKDGTTECAGTFVTDLMIAVR -RFITWVINLVKNATEFVTIPLVACQRGWTGEWEGSGEVVTRCGCGCSINATIRDGHVFSCTYSSLLCTNR -VRRAIPINVTSRLSGVCPKPGDGLTIYSVGLMQWVEVDKTGLVGQITRTSERHVSTRELRLAANGRPSYV -NGESCDYTNCFRPPVLDHTRGARIVVDGNSVQLPLPVTLSCELQDVPKPPPSPTSLTGDINEPAPEVDRE -IKHLMGTPVSERSQGIIDLYQYLTGGGIPQDLIAEIAEEERREATASSMPQLETISLTVPSVKEEPLDTP -EPPVYSETTAPISEQGLVSLPGNSVGEMLSSAASAVISGTSATYAAAVASVASAASAGSRLFSVRKRTKK -QKRSSPEPKEMRIVRVPSPVPGRMVKVHLDMPCCEKSSRRCVDGVLSVEDVMSLTGWDVSGHTPYNDKGE -AIPLDTDLETLGDCTIRLSCARETKCGKSYLWSSASFACGLSKPPPVSRPVGILVSADATKAYITDMRDV -GVRVEKVTIDRTPLEPDKCFTDVWNCARASARTTRSEGFSYEEAIRRVRPGAAPGHNVKLSVADLKTDYG -RRVVETCLASIIEGKKEHPFMLTAKQEVFFQDKKTRKPPRLICYPSLEFRVAEKMILGDPSVVAKAIMGK -AYGFQYTPVERVRVLREMWASKVRPGCITVDAICFDSTITPEDVARETELYALASPNPDAVRALGAYYSG -GPMINRHGVTVGMRACRASGVLTTSSSNSITCFLKVSAACRKAGLKDPSLLIHGDDTLIIYEREDEDPVQ -RLKEALSSYGYPSNPVLHASLDTAESCSATLAECNAWDPKGGHRHWFLTTDFRRVLARACAEYGDPVASA -CGYSLLYPQHPIVRNILLPQVVLSSFHRGGSVDDLVTCEVAGNKLTFPLRHLPAILVGIHGPDCLRVTSD -STRILAETNKVLQAFGLKGLAHHKRRTAALRVAMLRRGGGWGALAQQLLWTPGLKKPPVVVQPRKARLEE -VFSHPYQGTEHYILEPDQSVRARGYRWLGVACVILFLLTLF ->AGK41011.1 polyprotein [Bat pegivirus] -MAWLLLFVPLVGGFLLPASHKCRVGGEYFLTNCCSRDEISACFSGGCLVALGCTVCDGSCWDLFRPGVAT -RPGARPGEVVEQLGWAIRPLTTAAYVAGVFGLGEPYSAAVLIGATLSRGGADIPAVTCNMTCDLNYLSPA -WKLWEEVKETYWDWAWLTSLPWYLWRGLMTCGFLMLAVVLLLVLEQRLVMALAVVLMAGIASANVTVGPS -ALGCQCHVAGAVAPTPGVTPGYRGNESSVCVCPYGKTYWVPSLCHGLMWRATFGSGSAVDLPYECPDYVE -GTLAVGCRWGSVYWWRRAGSGLWLRELVPTSAVCSFYAAGSTDRPHPLTDILTTHGFPCVTCVIDRRAPH -CGDCVRDCWESTGDQSKTFEVCGIGPRVTSHLWAHSVGGGVENHIRTDHPGPRYASANGDGVYTTCILHA -TNYTVVEMGGYWHALACPADLPVSPLPRFLPGRPVNGCLTATAQWMSASLLSSWNPAGFYIPVFSECNWP -RAAGVRVCPGFAFNAPSGRLGFIRVSGAVQDVSRGARIPHARWLLTDFLFTLLLLMKLSGSRLVPLVTVA -LWWWFNQEVGAAPVRVLIWPNTTATWPTLATFKIPTVPTVSCPTTASDTAGAASLLWCHLENSGVGTLNS -AFGWLGGVGSGVYGWVQEAWQSGASFAKGLSWLGSVGRFLPTVEAAVSADVLAAPLVGWAAEQGWFTGLL -AVMNVLVYWQNVGGARLAALVAGHLARGAFPLVLVVAASISRARCSVLGLRLCITIDDSPYEWADFWWAC -AGVASWALLTAGLVTPMGKVIKLNWYAGWASFYQGIRRRVADSIIGTCGRRSWLGWVWLVAAWFWPGPVV -DVVVVIMLVFGVLDVIDFALETAIITTANPARAARVLDALCQARDLVAVDWVVRRLEARGVYLFAHAGQV -TRKAATWLREFGYALEPVMVSPRDCEIVRDARRVLSCGASVKGKPVVARRGDEVLIGCLGSVEELPPGFV -PTAPVVVQRTGKGFFGVIKTAMTGKDVTEFEGSVVVLGTATTRSMGTCVSGVMYTTYHGSNARALAGPVG -PVNPRWWSPGDDVAVYPLPAGATCLEPCKCSPTSVWCLRNDGALCHGTLGKTVELDLPAEVGDFRGSSGS -PVLCDEGHAVGMLVAVLHRGNRVTGVRYSRPWETLPQEARAKSEAPPVPGRSGFREAPLFLPTGSGKSTR -VPNEYAKAGHKVLVLNPSIATTRAMGPYMEKLTGKHPSVYCGHDATAYSRTTDSNLTYCTYGRFLANPRR -YLKGMDVVICDECHVTDPIAILGMGRARSLARECRVRLLLFATATPPGVPMTPHDSIREEALGTEGDVVF -YGHKLPLARYTTGRHIIFCHSKLECNRLAAALSASGCQAVTYYRGGESEIPEGDVCVCATDALSTGYTGN -FDTVTDCGLVVEEHVEVTLDPTITISLRTVPAPAELRMQRRGRCGRGKAGVYYHAITGTAPAGTVRSGAL -WSAVEAGIVWYNMEPDMTADLLRVYDQCPYTGAVTCSIGEAVNFFAGLTPMRTYPQVAWAKQKNLQWPLL -VGVQRAMCQEAGCGPPAEGPEWRGIAGDGPVPLLCRWGAHVPDKIAPHHWVDDLQARLGVAEGYTPCYAG -PILLVGLAVAGGAILAHWTGCLVVVTTWQINGGGNPLLMSQTKGVADSTPLPAVVVPPKGSLDLEGGLES -APADAKVNIEAVALLETSCGWGPMAASYGCLGDTACKVGQHLGAAASAAKAGGDALWRQWTAGQFVAPAA -AAPREVTTSLMQTLDTAFKTVWENVFVSGRSVMVALAAAYGARKNPPIAAGASFLLGLGASAQLPVKLAA -ALLLGSAGTMIGDPSVGLSMATAYFAGGSMTASWVSVILAVIGGWEGAVNAASLVFDLLAGRAEPKDAWC -LLACLASPGAAVAGVALGLLLWSMKRGVGDEWVNRLLTLLPRSSVIPDDYFVKTEYVDRVSQILRRLSIS -RWLSSLVVKPEVDSETVCGAMIWDFLEWLMRLGRLVTRRVKTLLPTMALPLVGCEAGWRGPWKGEGHLEA -RCTCGCVVTGEIVDGRVVDPHYSTVLCANYLRGAIPVGVMGSAGGAQPDLPQEGRRTYQVGPKGWLEVEH -SGKTVVLWRTNTYSLTSSEIRRAVRGPVMYHGKTAVSWDCPVYKPEMVYHGDMSVVVDGERRRLPLTVTV -GPITKPPPEDDPDMPPLEGATEDEELAWAKAKAIDAITSALHLPSEEAAVAALEALEEAAVSLMPHIPTI -MGSDCSCEGDEFGKLMPEPDAHEIPTLDCEDLVTRDDLPTPDSPTESEESFDRISLADSEVSTAESTAPL -LTLQSYTPKGVRGALSRLFGGAKKVIHVRQSCCDSRSATKAFPFGVSVAEAVGALGFDTCQHRLVDVFGG -VIDPADTLCTVVGTDIYVTCEMREEVTVSYSYVWSGAPLGCGRHVPPPMTRPIGTHLTCDTTKVYVTDPD -RAAERAEKVTLWRPQRNYDKYYQAVVAEAKAKAKATQSCGWSYEDAVAKVRKNAGLGYGSTVTVAALKTP -KGREKVNAMIQKIRRGDEVPFTFTCKREVFFTTTTRKPPRFIVHPPLDFRVAEKMILGDPGKVAKAILGK -SYAFQYTPNQKVKHLVETWSRKQHPRCITVDASVFDSTITEEDMRVEADIFASASDQPELVRQLCEYYIA -GPMVSPDGVPIGYRRCRASGVLTTSSGNSITAYLKVKAACTRVGLKDAELLIAGDDCLIIYEDDGIDHTG -PLKEALGDYGYRCNPQAHASLDTAETCSAYLAECNAGGERRWWMSTDMRKPLARAASEYSDPIASALGTI -LLYPWHPITRYVLIPHVLIMCFRGGGTPDELVACQVSGNTYRFPLRLLPSILVSLHGPACLRVTTDSTKT -RMEAGKALRDLGMKTLPYYRKRAGTVRTRLLRGGQGWGRLARSLLWHPGLKEHPPDIRALPGFKFLTPYD -HDDIVVAPVKVTWWQSLKLFIGFVLALGISFV ->ALG04340.1 polyprotein [Human pegivirus 2] -MGCSTDQTICSPVVGADYNTSSGCRALNGSYHCGGGSCRSPSRVQVAGRVLRLCAFLALIGSGMCSIRSK -TEGRIESGQILQSQRACWTGEGFAFFSNCCNQSDIMWCLHRWCVTRPGCLVCTGNATHPICWDYLGSGVS -RRPARRMGEGAEVLLRLIGIAGWLGLLAETLGMSEFYAAILCFGFIAWYGWGIPKTLVCTVCPAVNISPY -SFLSPDTIAFGTWILQLPGLLWQMFVNFPILYSTWILWLLLSGKTVAVIAILLASPTVMAYKHQSESYLK -YCTITNASTAMNCDCPFGTFTRNTESRFSIPRFCPVKIDSSTFICSWGSWWWFAENITRPYSDVGMPPAP -ISALCYIYANNDPPPWYHNTTIIPQNCRNSSADPTTAPCRDKWGNATACILDRRSRFCGDCYGGCFYTNG -THDRSWDRCGIGYRDGLIEFVQLGQIRPNISNTTIELLAGASLVIASGLRPGYGCSRAHGVVHCYRCPSY -RDLEQFGPGLGKWVPLPGEPVPELCINPQWARRGFRVSNNPLSLLQTFVEDIFLAPFCNPTPGRVRVCNN -TAFYPKGGGFVQLIGDVQVLTPNTASLHSLLTLISLILLVCVVSGARFVPLFIIFFWSVRHVYASCYLSC -DWAVCNDAFCFTSGTCATFNDVLCLPVATRVSSCGHAVPPPDRGWEVPAAMSWAISRTTGLTFDVFSFIQ -YFPTVPGNNTDIIYCGDPTFFGDITGIYWPYFLPGMLLLYLTPFLGLRLMLAGFNIDGLFPIRHATAALR -FSTSRVTLSVVLAFLIYILSHPVNAALNRMFLASANLEMILSFDTYHETILYILCLLLYLQVSPRAGLAA -MVAVKLSRGLLFAVVLAHGVCRPGRVFGLEVCADITWLVEFTGNCTWYMSCVFSFWCAVFAFTSPLGRRY -KLQIYRYWAQVYARIILAVGCGPLGREFHFRAGVGAFWCGACMLWPRECSEISLVFILCALTMDTIDMWL -VACLSAGPSARTLATLADDMARIGDHRALRAVLRCFGSRGTYIYNHMGQVSERVAQAVRDFGGCLEPVVL -EEPTFTEVVDDTMSLVCGQLLGGKPVVARCGTRVLVGHLNPEDLPPGFQLSAPVVITKPSIGTWSFLKAT -LTGRAETPGSGQIVVLSSLTGRSMGTAVNGTLYATGHGAGARGLATCAGLRTPLYTALSDDVVAYSCLPG -MSSLEPCCCSPSRVWVMNNNGGLVCGRVEKDDVCLDCPTHIDQLRGASGSPVLCDHGHAYALMLGGYSTS -GICARVRIVRPWQNAYSSSGGQGGMQAPAVTPTYSEITYYAPTGSGKSTKYPVDLVKQGHKVLVLIPSVA -VVKSMAPYIKETYKIRPEIRAGTGPDGVTVITGENLAYMTYGRFLVDPETNLRGYAVVICDECHDTSSTT -LLGIGAVRMYAEQAGVKTVVFATATPAGIQVQPHPNIDEYLLTDTGDVDFYGAKIKLDNIRTGRHVIFCH -SKAKCAELTQQLSGLGVRAVSFWRGCDIKTIPASDSIVVVATDALSTGYTGNFDSVIDCGCCVEQTVTID -MDPTFSISARVVPCTAALRMQRRGRTGRGRRGAYYTTTPGAAPCVNVPDANVWQAVESAMVFYDWNAARI -QQCLAAYHDLGCTPRISCDPHTPVRVMDTLRAYLRRPEVTTAALAGEQWPLLYGVQLCICKETEAHGPDD -GIKWKCLLNNNNKTPLLYALDNPTLEFTTQHDLTRRIAGALSSTVFVETGYGPILLAGAALAASFAFAGA -TGALVPSAVWSVDNGPTGVTRPDATDETVAYAQRLYHACADSGILASLQGTACAALSKLADASRGASQYL -ATAPPSPAPLVQVLQFLETNFSSIASFGLLCAGCQAGECFTALAGLVSGATAGLGGAHKWLLAIAGTWLV -SLQTGPRGGMVAGLSVLAGCCIGGVTGLDFLFGCLTGWEAVVGAAVATQKILSGSADMTTLVDLLPALFS -PGAGIAGIVLVFILSNTSVTAWANRLLSMCAKQTICENYFLTEKFGQQLSKLSLWRAVYHWAQAHEGYTQ -CGVVGGIWSFVLCILRAAWDWAAKHVPRFRVPMIGCSPAWCGRWLGTGTLLTTCGCGERVSLQCLCSTSD -PILSVGRWCRCSWSVGFPFNPTTTATGTLRPDIGDATRLGFRYGIAEIVELERRGDKWHVCAASCCLDRA -SVASAVKAPPVTANGIPISPFSPPQTYKLSLCSFDSVCMSINSCNPSKILSVCSQEAVELLEETVDTAQT -MMCKNLEARRRAEFDAWQVRQAVGDEYTRLADEDVDTITSVKPPVARAAVGSSTLDDVGVLTVLRELGDQ -CQNAIKFVVEAASRFVPPVPKPRTRVSGVLERVRMCMRTPPMKFEAAAVPIHNIIPEKCHIVLRCTGCSD -QALTVPYGTCTQTLSSHLTNKHSHYIPKQKIEEDTEVTVICAVPTKRASKLITFRVGDRSVSCCHPLQTP -VRALLLKYGLPIGKWSDCNGPLGDDARVCDVNGVTTYEPCMQSYSWFRPIVAPTTPPLPVTRSVAGILRA -DTSRVYTTTAVDVSERQAKVTIDQKSAKVDQCFRDTYNCCLAKAKTFRQSGMSYEDAVSKMRANTTRDHN -TGITYTDLVSGRAKPAVQKIVDQMRSGVYDAPMRIIPKPEVFPRDKSTRKPPRFIVFPGCAARVAEKMIL -GDPGAITKHVLGDAYGFATPPHERARLLEQWWNRATEPQAIAVDAICFDSTITAEDMDREAHIVAAAHAD -PEGVHGLYNYYKRSPMCDITGKVVGVRCCRASGTLTTSSGNTLTCYLKVRAACTRAGIKPIGLLIHGDDT -LIITERCTQETLDEFSNALDDYGFPHTFQVSGDLSSIECCSARVDSVCLRGGMRRMLVPQARRAIARVLG -EKGDPLGVISSYIVMYPTAAVTVYVLLPLLCMLIRNEPSQTGTLVTLTVHGNSVSVPVWLLPTIIANLHG -RDALQVVRHSAASMAELSSALAFFGMRGLNCWRRRRRAIRTDMIKLGGWNANFAQMLLWSPEVRTPQPEP -KGMCLLPPELWERPYENLHLSTIDRDRGASRLRFWLVASAVLALLCL ->AGK41023.1 polyprotein [Bat pegivirus] -MRSNFLVLGSIVTLVTTVLCSTTLSSSSPSATTTPTVAPTDPPWGSCHWAAFTGNMSAALRAFGSGMAGV -GLTLGAKVSNVSVEGWHWLNASFHSLTSNHTRRRRDLGPLGDSYGKALYELAYHIKACNTSADPVFCWKC -LSRVRTEQRVWCHAPVAKAQSLALAVVWISAITTLACWLCARNHWCAALMLLVLVFLPLAEGRAAPHRCT -ELACEGPKAIRELPFSQRVLHPLSTVSLFVSAVAILTGLILRRRVQGLCNFFVACLLFWLTCPGGEASLL -AGRACDNGAQSTLFSNCCTQEDVHWCTDWVCWTKLGCVVCTAADGCWTPFGSGVSMRPGAHSNQARRDTM -NVFGAIGLTAYVAEAFGLGELYAAGLVMGIFSVGGHADLPLVCNVSCSADSASWFRLKSPTVSEIITWVQ -VLPNAVWSMLTAMPIVFAVLLGYTFLRGHIVIGILLFIGVPGVLADCERGAETHQCNMTELSQPNCTCLC -PFGVFYKRYDREDYKAIPLVCPQRLPVPNMWVCAWGSWWWVAKDVETPYSHPLLPPVPYSAICYISDSTV -YYGNKTFDISEDGDGLGGNTTTCLLDRRDEGCGDCWGGCYAADRDNSRPYERCGGGYLDGSIQFVPLGVI -RWTNSSTDHWWRPTRSMAELLISGKYRTGYGCGLINGVVHCWNCSIGDSKMPEHGWYPQPGKPTDLCINP -AYERRQMVPPTNWLALLQYMSDAMTAGVGCPTYDVPAYPVCQGMAWFPTDGSRVIMSGARQVLYPLPDSR -LGFVGLYAVLVCFMVLSGARIVPILLIIVGVATNINAACYPPCSACTFYFCFSWTPCFLTVGNSSYIPVS -TFLSAKYTADLPEGVEAMVPVATVVEWLCHMAGLPGMAGVFMTTVAMTPVAVDGTADFYCGNASVPSFDI -EGFEPIYILWFLVLPLTGTMLWNVGCGLGMAAGMRVRAVYPWRLVLLLLQSSSGHLLEFCIMACLALQAW -DVVYARASWFGVEAALHRGVIAAPAFAAATRYPTWAECVLGVLCVIFYCRVVGRAGLAAIVAYKLSRGLL -GVTALCLLLARDPSRSVLGEEVGGVLEVCIPVDWLTVSSGSAWWYVSGLFSCIIVTFSFLSPVGRMIKLR -LYARWCRVYCSVRLAVSVSPCGRYGWLSSPTMLLWLGCCVAWPYECGTISIILVVAAGSIDIVDWALEAL -LCVSPRTEPLARAADLAAWACNNAELSALLQRWWWKGWVLYEHMGQVSDRLRERVEEMNGCLEPVVIRPE -TLRAVCDDTLTLTCGQWFGKEPVVARCGNMVLIGSAQSVRSLPPGYTLTAPLLVVRPKAGFFKVLKTSLL -GRGGVPGSGQVVVLETATGMSMGVATSGVLYTTFHGTSGRPLAGPTGPRNPFWSSPSDDVACYPLLEGLS -CLDPCSCGDHSRWVIRVDGQLVHATQSGDKHVVLDCPTPLDKIKGSSGSPVLCDKGHAVGMLVGAIASGG -IVSKVRYVRPWTAVPGDATGVKTPEFPTVPADGFKCVSYVAPTGSGKSTKLPLSLAALGHRVLVLNPSVV -TTKAMHKYIKKLSGKSPNTYAGTGKDSMSIKTGSKLTYMTYGRFLVDPETWLKSHDVVICDECHAVDGTS -ILGIGCALTDAEASKVKLLILATATPPGTVITPHASITEQPLDSTGDIPFYGLTLKSENYKTGRHVIFCH -SKAECTRVSGELAKAGVNSTTFWRGANPANLSDDPNLTVVATDAISTGYTGNFASCTDCCSVVGEEVEVD -LNPTFTLMLTTKPADAALRMQRRGRTGRGTPGVYRPVITGAPPTGMTSSAAAWSAAEAGYVWYGRTEQVI -SRYLEAYQASPYTCRMPGNPLEAVRAIGVLRPFFQDHEVASLALKDQSWPLLYGAQRSLCLRNDSAPPSD -DIRWNGIRGENAVPLLYRLGNVTADCTGHQFATEIAKALGDTTYVDVSAGPLLLAGVAVAAAVAVVGATG -CLTVSSVWEVHTGGSPCHNNSASTEDRGREQEGGPVPAEALREVAASLDFPFLTTVWGAIESGATLVSSG -ADNAVKAVTAWWTNGTPVVVPSIPAGPVGERVLEILNVHLMALAAGGLAIVGSRSSPALATVAALVAGVQ -TVLPPHATWMLAIAGGIAVTLASNPTTGAAAAAAFFMGTKIGSFSILNTAVACVTGYEACINTAALTLEL -MDGTANAMSWAGALVGLMSPGAAVAGLALALLLRGAMGSDMSVWINRLLSSLPRSNTLPDGFFAEKADPK -LLSKAVRSLSLGSKLRAWCEASQKQDYVFCDSGWIGKLFKLVCDVYNYVRSWAADHLPTPKLPFVSCQLG -YAGDVDGVGSVSSTCTCGAQLSWTVNHLQDTLGVISKGKKVWTCRNSILGRMPINTTTVWSGDLKPDASR -ATELTYLIGVSDHVVISTKNNQFRLIATNKGCVSEEAVKAAVRRGVVAIDGAPVSSFWRGPTSAEFTAGQ -SIVYNGVDAELPIKLSRLGQVVLTPYSFVDPGEAAEVRLAEAEKDATEAATLTHETVKLAGKMMAASFEA -RRKAEAEAWALREKMMGRDLFADGCDPDADDSPDHSATNRLLYALEDSTDDPHDVEAMEEALLDVLGPEP -ELPLSADKERRREIEVDEDESAPTPLSKEPILKATGSLELVDDVKQSVKEITEVSSYAASVVGNVARGAA -HGASVVMETLAEPVAEAAAAATRLADTAKRTARKVASSVAKTVGKAPKLSDAFAEVTKEQHPILDITTMR -TVCFNWSCGSGGSEWVNTSDADTFEDALSRTKAPKVHTHKMRQGLLEILPKLRVAGFSEPELKIDLICPK -HPDVRPKGEMRVITLQHSCCGCDESRVKTVGTKTPVCLLDALWGDTNKGRWMCGDNDVPADAVMGDLGPV -LNLVHETKCGLSYLWSGAPIVVGNPRKHPVTRPLTAHMGADATKVYVTDPEAIHDRIAKVTIEQTDAETD -RYLLDAYNLALAKASKLSQPGYDYDTAVSKVRPGSARGHVADITVADLKTPKGKKAVLDCLAGIQDGTEE -GRFMLRPKSEVFPKTRSTPKPPRLICYPSLEFRVAEKMILGDPAVVAKAVMGESYGFQHPPHKRAKVLYD -MWRSKRQPVCYTVDGACFDSTITQADIRREAEVFARASTEPGLVRRLHAYYAESPMVGPDGRIVGIRRCR -ASGTLTTSAGNSITCYIKVTAACRKAGIVNPSFLIHGDDVVIICERQHADQSAELKAALASYGYACEPQQ -HADLSTAESCSATVTMVRTVRGMRPVLTTDMRRGLGRCLAEVGDPVGTAWGYIINYPTNPIVAFVLLPLL -LSLALNSGNGVHQLINVDIRGNTLQMPLSSLGRAIRGLHGPDILAVTGRSPCDIEASASVLQFFGMRGLG -HWRRNRQKVLTRLLRAGKDWATLGRELLWSPGSSAPPILTPGENVVPDEMWEWSWEGFAPVPPPARKRGW -LTAVLYGLLCLLAVSFI ->AAC55983.1 polyprotein [Pegivirus A] -MAWLWLLVCFPLAGGVLFNSRHQCFNGDHYVLSNCCSRDEVYFCFGDGCLVAYGCTVCTQSCWKLYRPGV -ATRPGSEPGELLGRFGSVIGPVSASAYTAGVLGLGEPYSLAFLGTFLTSRLSRIPNVTCVKACDLEFTYP -GLSIDFDWAFTKILQLPAKLWRGLTAAPVLSLLVILMLVLEQRLLIAFLLLLVVGEAQRGMFDNCVCGYW -GGKRPPSVTPLYRGNGTVVCDCDFGKMHWAPPLCSGLVWRDGHRRGTVRDLPPVCPREVLGTVTVMCQWG -SAYWIWRFGDWVALYDELPRSALCTFFSGHGPQPKDLSVLNPSGAPCASCVVDQRPLKCGSCVRDCWETG -GPGFDECGVGTRMTKHLEAVLVDGGVESKVTTPKGERPKYIGQHGVGTYYGAVRSLNISYLVTEVGGYWH -ALKCPCDFVPRVLPERIPGRPVNACLAGKSPHPFASWAPGGFYAPVFTKCNWPKTSGVDVCPGFAFDFPG -DHNGFIHVKGNRQQVYSGQRRSSPAWLLTDMVLALLVVMKLAEARVVPLFMLAMWWWLNGASAATIVIIH -PTVTKSTESVPLWTPPTVPTPSCPNSTTGVADSTYNAGCYMVAGLAAGAQAVWGAANDGAQAVVGGIWPA -WLKLRSFAAGLAWLSNVGAYLPVVEAALAPELVCTPVVGWAAQEWWFTGCLGVMCVVAYLNVLGSVRAAV -LVAMHFARGALPLVLVVAAGVTRERHSVLGLEVCFDLDGGDWPDASWSWGLAGVVSWALLVGGLMTHGGR -SARLTWYARWAVNYQRVRRWVNNSPVGAFGRWRRAWKAWLVVAWFFPQTVATVSVIFILCLSSLDVIDFI -LEVLLVNSPNLARLARVLDSLALAEERLACSWLVGVLRKRGVLLYEHAGHTSRRGAARLREWGFALEPVS -ITKEDCAIVRDSARVLGCGQLVHGKPVVARRGDEVLIGCVNSRFDLPPGFVPTAPVVLHQAGKGFFGVVK -TSMTGKDPSEHHGNVVVLGTSTTRSMGCCVNGVVYTTYHGTNARPMAGPFGPVNARWWSASDDVTVYPLP -NGASCLQACKCQPTGVWVIRNDGALCHGTLGKVVDLDMPAELSDFRGSSGSPILCDEGHAVGMLISVLHR -GSRVSSVRYTKPWETLPREIEARSEAPPVPGTTGYREAPLFLPTGAGKSTRVPNEYVKAGHKVLVLNPSI -ATVRAMGPYMEKLTGKHPSVYCGHDTTAYSRTTDSSLTYCTYGRFMANPRKYLRGNDVVICDELHVTDPT -SILGMGRARLLARECGVRLLLFATATPPVSPMAKHESIHEEMLGSEGEVPFYCQFLPLSRYATGRHLLFC -HSKVECTRLSSALASFGVNTVVYFRGKETDIPTGDVCVCATDALSTGYTGNFDTVTDCGLMVEEVVEVTL -DPTITIGVKTVPAPAELRAQRRGRCGRGKAGTYYQALMSSAPAGTVRSGALWAAVEAGVSWYGLEPDAIG -DLLRAYDSCPYTAAISASIGEAIAFFTGLVPMRNYPQVVWAKQKGHNWPLLVGVQRHMCEDAGCGPPANG -PEWSGIRGKGPVPLLCRWGGDLPESVAPHHWVDDLQARLGVAEGYTPCIAGPVLLVGLAMAGGAILAHWT -GSLVVVTSWVVNGNGNPLIQSASRGVATSGPYPVPPDGGERYPSDIKPITEAVTTLETACGWGPAAASLA -YVKACETGTMLADKASAAWQAWAANNFVPPPASHSTSLLQSLDAAFTSAWDSVFTHGRSLLVGFTAAYGA -RRNPPLGVGASFLLGMSSSHLTHVRLAAALLLGVGGTVLGTPATGLAMAGAYFAGGSVTANWLSIIVALI -GGWEGAVNAASLTFDLLAGKLQASDAWCLVSCLASPGASVAGVALGLLLWSVKKGVGQDWVNRLLTMMPR -SSVMPDDFFLKDEFVTKVSTVLRKLSLSRWIMTLVDKREMEMETPASQIVWDLLDWCIRLGRFLYNKLMF -ALPRLRLPLIGCSTGWGGPWEGNGHLETRCTCGCVITGDIHDGILHDLHYTSLLCRHYYKRTVPVGVMGN -AEGAVPLVPTGGGIRTYQIGTSDWFEAVVVHGTITVHATSCYELKAADVRRAVRAGPTYVGGVPCSWSAP -CTAPALVYRLGQGIKIDGARRLLPCDLAQGARHPPVSGSVAGSGWTDEDERDLVETKAAAIEAIGAALHL -PSPEAAQAALEALEEAAVSLLPHVPVIMGDDCSCRDEAFQGHFIPEPNVTEVPIEPTVGDVEALKLRAAD -LTARLQDLEAMALARAESIEDARAASMPSLTEVDSMPSLESSPCSSFEQISLTESDPETVVEAGLPLEFV -NSNTGPSPARRIVRIRQACCCDRSTMKAMPLSFTVGECLFVTRYDPDGHQLFDERGPIEVSTPICEVIGD -IRLQCDQIEETPTSYSYIWSGAPLGTGRSVPQPMTRPIGTHLTCDTTKVYVTDPDRAAERAEKVTIWRGD -RKYDKHYEAVVEAVLKKAAATKSHGWTYSQAIAKVRRRAAAGYGSKVTASTLATGWPHVEEMLDKIARGQ -EVPFTFVTKREVFFSKTTRKPPRFIVFPPLDFRIAEKMILGDPGIVAKSILGDAYLFQYTPNQRVKALVK -AWEGKLHPAAITVDATCFDSSIDEHDMQVEASVFAAASDNPSMVHALCKYYSGGPMVSPDGVPLGYRQCR -SSGVLTTSSANSITCYIKVSAACRRVGIKAPSFFIAGDDCLIIYENDGTDPCPALKAALANYGYRCEPTK -HASLDTAECCSAYLAECVAGGAKRWWLSTDMRKPLARASSEYSDPIGSALGTILMYPRHPIVRYVLIPHV -LIMAYRSGSTPDELVMCQVQGNHYSFPLRLLPRVLVSLHGPWCLQVTTDSTKTRMEAGSALRDLGMKSLA -WHRRRAGNVRTRLLRGGKEWGHLARALLWHPGLKEHPPPINSLPGFQLATPYEHHEEVLISIKSRPPWIR -WILGACLSLLAALL diff --git a/seq/clusters_seq/cluster_157 b/seq/clusters_seq/cluster_157 deleted file mode 100644 index 96fb879..0000000 --- a/seq/clusters_seq/cluster_157 +++ /dev/null @@ -1,186 +0,0 @@ ->YP_009824976.1 membrane protein [Wencheng Sm shrew coronavirus] -MSTSSGNITLAVSELTQHLTNWSFSWNIILTVMIIVLQYGFIKYGRVVYALKMFVLWLLWPLVLALSIFN -AIVSLSYSYVMFAFCIVLICVTFGLWLAYFVNSIKLYRRTHSPWSFDPSTNAVLCFSVLGRSHAIPVPTV -PTGITLTLLSGQLNVEGIPICNGLNFHDMPEFISVAKPNVTILYEVRSRKGNTNTKTGWVYYVRSKHGDY -SAYRSQPTLNNDDLILHLA - ->YP_009755893.1 membrane protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -MTNNTTGSMTSNETIPVADVIVHLRNWNFAWNVILTVFLVVFQYGQFKYSRVLYGLKMLVLWILWPTVFA -LSVFDCYVNFNYNWGMFAVSIIMACATFVLWVMYFVNSFKLYRRTQTFWAFNPETDAIITLSVFGRQVAI -PVITAPTGITLTVLNGQLLVEGYKVANGVQVGQLPSYVTVAKPSTTIVYQRVSRSINVKSNTGWAFFVRA -KNGDFSAIATDNGTMTEKERLLHLV - ->YP_009336486.1 membrane protein [Lucheng Rn rat coronavirus] -MVLFCEFNTSSADGCSACVAQGCTGAGVTSASITWHLLNWNFSWSVILTVFVSVLQYGNLKYSMILYILK -MIIMWLLWPIVIALTIFDAIETFKQERYVMFGFSIALGVVTLILWIMYFVNSFRLYRRTGSWWSFNPETN -AIICVSVMGRNITTPTPVSPTGITLTLLSGTLYVEGQRAATGVNLDNLPNYVTVAKPGVTIVYHRVGKSI -RVSTATGWMYYVKSKAGDYSAYSSGGSLSDQEQLLHMV - ->YP_009256200.1 membrane protein [Ferret coronavirus] -MIEIVLLVCSAIAAMVPTTAASGNRYCLVNNTEGATQNCSINDCIDEGSLIWHLMNWSFSWSVVLIVFIA -ILQYGRPQFSWFMYGVKMLIMWLLWPLVLALTIFNAYAEFKSSRYVMFGFSVSGAMVTLVMWIMYFARSI -QLWRRTRTWWSFNPETNAILCLSSVGKRFVLPLSGAPTGVTLTLLSGNLYAEGFKVGSGVNVDNLPKYIM -VATPGNTIVYHQVGKSLKASSATGWSYYVRAKAGDYSTEARHDNLSEHEKLLHMV - ->YP_006908645.1 membrane protein [Rousettus bat coronavirus HKU10] -MSNGDNSTIPTDVVIQHLRNWNFSWNVILTIFLVVLQYGHYKYSAVLYILKMTILWLLWPLVLALSIFDS -WSSFGNNWTMFAFSILMACITLVLWIMYFVNSFRLYRRTNTFWAFNPETDAIITLSVFGRQVSIPALVAP -TGITLTVLSGTLLVEGIKVATGVQVNQLPTYITVAKPSTTIVYQRAGRSLNTRSNTGWAFYVRSKNGDYS -AVTSSADSLTEDEKLLHLV - ->YP_001718615.1 membrane protein [Miniopterus bat coronavirus HKU8] -MIFLILLGIICACSANGDNTTTAPPTVPQSIPVEQVVEHLRNWNFSWNVILTVFLIVLQYGNFKYSKLLY -GLKMVILWLLWPLVLALSIFDAWASFGVNWTFFAFSIVMACTTLMLWIMYFVNSFRLYRRCQSFWAFNPE -TDAVITLSVFGKIVAIPVMTPPTGITLTILSGKLLVEGIPVANGVSVTQLPSYVTVAKATTTIIYQRVGK -SLNAASQTGWAFYVRAKNGDYSAVSNPSDSYTDTDRLLHLV - ->YP_001552239.1 membrane glycoprotein [Rhinolophus bat coronavirus HKU2] -MSSNSTESVPVTEVLEHLRNWNFSWNIILTVFIAVLQYGNMKYSFFLYGVKMLIMWLLWPLVIALSIFNA -YADFGVNWWFFSFSILMLVITLVLWLMYIINSFKLYRRTRTFWAFNPETDAIAVISVFGRSYSIPMPVAP -TGITLTILSGTLFFDGIRIATGVQPAHLPQFVTVAKPGTTIIYTRAGRSLNASTNTGWAFYVRSKHGDYS -ALSNSSDNLTENDRLLHLV - ->YP_001351687.1 matrix protein [Scotophilus bat coronavirus 512] -MSSNQSVPVEEVIKHLRNWNFSWNIILTILLVVLQYGHYKYSRVLYGLKMAILWLLWPLVLALSIFDAWA -SFNVNWVFFAFSILMACVTAVLWIMYFVNSIRLWRRTHSWWSYNPETDSILSVSVLGRHVCLPILGAPTG -VTLTLLNGTLLVEGYQVATGVQVNNLPGYVTVAKASTTIVYQRVGRSMNANSSTGWAFFVKSKHGDYYAA -ANPTEVVTDSEKILHLV - ->YP_009194642.1 membrane protein [Camel alphacoronavirus] -MTEANITQEELVTHLKNWNFSWNIILTIFIVILQFGHYKYSRIFYGLKMLVLWLLWPLVLALSIFDTWAN -WGSNWAFVAFSLFMAVSTLIMWVMYFVNSFRLFRRARTFWAWNPEVNAITVTTVLGQTYYQPIQQAPTGI -TVTLLSGVLYVDGHRLASGVQVHNLPEHMTVAVPSTTIIYSRVGRSVNSRNSTGWVFYVRVKHGDFSAVS -SPTSNMTENERLLHLI - ->YP_003770.1 membrane protein [Human coronavirus NL63] -MSNSSVPLLEVYVHLRNWNFSWNLILTLFIVVLQYGHYKYSRLLYGLKMSVLWCLWPLVLALSIFDCFVN -FNVDWVFFGFSILMSIITLCLWVMYFVNSFRLWRRVKTFWAFNPETNAIISLQVYGHNYYLPVMAAPTGV -TLTLLSGVLLVDGHKIATRVQVGQLPKYVIVATPSTTIVCDRVGRSVNETSQTGWAFYVRAKHGDFSGVA -SQEGVLSEREKLLHLI - ->YP_009389427.1 membrane protein [Wencheng Sm shrew coronavirus] -MSNSSGNITLSVSELTQHLTNWSFSWNIILTVMIIVLQYGFIKYGRVVYALKMFVLWLLWPLVLALSIFN -AIVSLNYSYVMFAFCIVLICVTFGLWLAYFVNSIKLYRRTHSPWSFDPSTNAVLCFSVLGRSHAIPVPTV -PTGITLTLLSGQLNVEGIPICNGLNFHDMPEFISVAKPNVTILYEVRSRKGNTNTKTGWVYYVRSKHGDY -SAYRSQPTLNNDDLILHLA - ->NP_073555.1 membrane protein [Human coronavirus 229E] -MSNDNCTGDIVTHLKNWNFGWNVILTIFIVILQFGHYKYSRLFYGLKMLVLWLLWPLVLALSIFDTWANW -DSNWAFVAFSFFMAVSTLVMWVMYFANSFRLFRRARTFWAWNPEVNAITVTTVLGQTYYQPIQQAPTGIT -VTLLSGVLYVDGHRLASGVQVHNLPEYMTVAVPSTTIIYSRVGRSVNSQNSTGWVFYVRVKHGDFSAVSS -PMSNMTENERLLHFF - ->YP_009824970.1 M protein [NL63-related bat coronavirus] -MSNDTISKEEVYHHLLNWNFAWNIVLTVIIIVLQYGHWQYSRVLYGFKMAVLWLLWPLVFALSIFDCVVN -FNSNWVFFAFSLLMSFITGVVWLMYFINSFRLYRRVRSFWAFNPETNAVISVHVLGFQYVQPVLAVPTGI -TLTLLNGNLLIDGFKVASGVQISNLPQYLTVAKPNTTIIYERAGRSLNATYNSGWVFYVKSKFGDYSAAI -GTHSNMSDAEKVLHLV - ->YP_009755841.1 membrane protein [Shrew coronavirus] -MTDSSNCTSNEVIVQTLKEWNFTINIVLLLVLAVLQFGYIRVSKLIYTVKMVIIWILWPLTAALGVYNAY -VNYGSNWLFFAFSIVLAVVSLIFLLMYFINSLRLYRRTFSMWSWSPNTTFILCVDSKDLSHCLPIHNIPS -VVVLSCVKGDLVVDGVRIKQHFTRDGLPSKVAVAAPSKTVVYVRDQANFGLGGDGSTFWVNYVPRTAGNY -NARTVSKNSNDRDDIYHML - ->NP_058427.2 membrane protein [Transmissible gastroenteritis virus] -MKILLILACVIACACGERYCAMKSDTDLSCRNSTASDCESCFNGGDLIWHLANWNFSWSIILIVFITVLQ -YGRPQFSWFVYGIKMLIMWLLWPVVLALTIFNAYSEYQVSRYVMFGFSIAGAIVTFVLWIMYFVRSIQLY -RRTKSWWSFNPETKAILCVSALGRSYVLPLEGVPTGVTLTLLSGNLYAEGFKIAGGMNIDNLPKYVMVAL -PSRTIVYTLVGKKLKASSATGWAYYVKSKAGDYSTEARTDNLSEQEKLLHMV - ->YP_009380524.1 membrane protein [Coronavirus AcCoV-JC34] -MVLFCEFNDTATNGCEACVNQGCTGNGVTSASITWHLLNWNFSWSVILTVFVAVLQYGNLKYSMILYILK -MIIMWLLWPIVIALTIFDAIETFNQERYVMFGFSIALGVVTLILWIMYFVNSFRLYRRTNSWWSFNPETN -AIICVSVMGRNITMPTPVCPTGVTLTLLSGTLYVEGQRAATGVNLDNLPSYVTVAKPGVTIVYHRVGKSV -RVSTATGWMYYVKSKAGDYSAYSSGGNLSDQEQLLHMV - ->YP_009328938.1 M protein [NL63-related bat coronavirus] -MSTTMSNESIPLTEVYEHLRNWNFSWNLVLTVFLVVLQYGHFQYSKLLYALKMLVLWLLWPMVLALSIFD -CWANFGKDWVFFAFSLLMTIITLCLWIMYFVNSFKLYRRVKTFWAFNPETNAIISLQVYGHNYVQPVMTA -PTGVTLTLLSGVLLIEGHKVAIRVQVGQLPKYLIVATPRTTIIYDRVGRSVNESSQTGWAFYVRSKHGDF -SGIASQENVLSEREKLLHLV - ->YP_009201733.1 membrane glycoprotein [BtNv-AlphaCoV/SC2013] -MSSSNQTIPVTEVVEHLRNWNFAWNVILTVLLVVLQYGNFKYSKVLYGLKMLVLWVLWPTVLALSIFNAW -AEFNVNWVMFSFSIIMACVTFVLWIMYFVNSFKLYRRTQTFWAFNPETDAIITLNVFGRTVAIPVITAPT -GITLTVLNGQLLVEGYKVANGVQVGQLPSYVTVAKPSTTIIYQRVSRSINVRSNTGWAFFVRSKNGDFSA -LATDNETMTERERLLHLV - ->YP_009200738.1 membrane glycoprotein [BtRf-AlphaCoV/YN2012] -MTDSNETVPVTEVLEHLRNWNFSWNIILTIFIAVLQYGNMKYSFFLYGTKMLIMWLLWPLVIALSIFNAY -ADFGVNWWFFSFSILMLVITLVLWLMYIINSFKLYRRTRTFWAFNPETDAIAVISVFGRSYSIPMPVAPT -GITLTILSGTLFFDGIRIATGVQPAHLPQFVTVAKPSTTIIYTRAGRSLNASTNTGWAFYVRSKHGDYSA -LSNSSDNLTENDRLLHLV - ->YP_009199793.1 membrane glycoprotein [BtRf-AlphaCoV/HuB2013] -MSNNSTVPVEVVVEHLRNWNFSWNVVLTVFLVVLQYGQYKYSKLLYGLKMLILWLLWPLVLALSIFDSWA -SFGVNWVFFAFSILMSCITLVLWIMYFVNSFRLYRRTQTFWAFNPETDAIITLSVFGRQVSIPTIVVPTG -ITLTVLSGTLLVEGIKVATGVQVSQLPTYLTVAKPFTTIIYQRAGRSVNARSNTGWAFYVRSKNGDYSAV -SSHSDSMTEDERVLHLV - ->YP_009199612.1 membrane glycoprotein [BtMr-AlphaCoV/SAX2011] -MSDNSTVPVADVIEHLRNWSFAWNILLTLLIALLQYGNFKYSKLLYGLKMAMLWLLWPCVIALSITNAWA -DFNVNWAYFGISIFMLVVTLVLWIMYFVNSFRLYRRTRSFWAFNPETDSIIVFSVYGTTYSIPVIQAPQG -ITFTVLSGTLLVDGIKVATGVRIEALPQYVTVAKATTTIVFHRVGKAVNERTQTGWLFFVRSGYGDYAAH -STGTGQMTESEKLLHIA - ->YP_009199246.1 membrane protein [Swine enteric coronavirus] -MKILLILACAIVCTYGERYCAMKDDKGLSCRNSTASDCDACFNKGDLIWHLANWNFSWSIILIIFITVLQ -YGRPQFSWFVYGIKMFIMWLLWPLVLALTIFNAYSEYQVSRYVMFGFSIAGAIVTVVLWIMYFVRSIQLY -RRTKSWWSFNPETNAILCVSALGRSYVLPLEGVPTGVTLTLLSGNLYAEGFKIAGGMNIDNLPKYVMVAS -PSRTIVYTLVGKKLKASSATGWAYYVKSKAGDYSTEARTDNLSEQEKLLHMV - ->YP_009019185.1 membrane protein [Mink coronavirus strain WD1127] -MEVLLVAATAVLSVIPTSHATNLYCLMNTTSVANNTCQNTNGICDTCINEGNLIWHLMNWNFSWSVLLIL -FITILQYGRPQVSWFIYGIKMLIMWLLWPLVLALTIFNAYSEYTNSRYVMFGFSVAGSVLTFVLWVMYFV -RSIQLWRRTRTWWSFNPETNAILCLNAVGNRFVLPLNGAPTGITLTLLSGNLYAEGFKVGSGVNVENLPK -YVMVATPGNTIVYHQVGKSLKAANSTGWSYYVRAKAGDYSTEARNDNLSEHEKLLHMV - ->YP_008439205.1 membrane protein [Bat coronavirus CDPHE15/USA/2006] -MANGSVSEEEIIHHLRNWNFGWNIILTIFIVVLQYGQYKYSAFLYGLKMLVLWLLWPLVLALSIFDAYAS -FNVNWVFFAFSILMACVTGVLWVMYFVNSIRLWRRTKSFWAWSPETDTILATTVLGRTVYLPLPAAPTGV -TLTLLNGTLLVEGFKVAVGVTVELLPDYVVVAKPHTTIIYQRVGRSVNVRSNTGWSFYVKAKYGDYATTG -HSNDKVPDSEVVLHLV - ->YP_004070198.1 matrix protein [Feline infectious peritonitis virus] -MKYILLILACIIACVYGERYCAMQDSGLQCINGTNSRCQTCFERGDLIWHLANWNFSWSVILIVFITVLQ -YGRPQFSWLVYGIKMLIMWLLWPIVLALTIFNAYSEYQVSRYVMFGFSVAGAVVTFALWMMYFVRSVQLY -RRTKSWWSFNPETNAILCVNALGRSYVLPLDGTPTGVTLTLLSGNLYAEGFKMAGGLTIEHLPKYVMIAT -PSRTIVYTLVGKQLKATTATGWAYYVKSKAGDYSTEARTDNLSEHEKLLHMV - ->YP_001718608.1 membrane protein [Bat coronavirus 1A] -MINFIVLCCAFIGVIYADNGDNTTAVTPTLPPQQIPLKEVMEHLRNWNFSWNIVLTVFLIVMQYGHFKYS -TILYGLKMLVLWLLWPLVLALSIFDAWSTFGQKWVMFAFSIVMACTTLVLWLMYFINSFRLYRRTQSFWA -FNPETDAIITISVFGKTVAIPVLVPPTGITLTVLSGKLLVEGIPVANGITVGQLPEFVTVAKATTTIIYR -RAGRSLNAKTQTGWAFYVRAKNGDYSAIMTMADSFSENERLLHLV - ->NP_598313.1 membrane protein [Porcine epidemic diarrhea virus] -MSNGSIPVDEVIEHLRNWNFTWNIILTILLVVLQYGHYKYSVFLYGVKMAILWILWPLVLALSLFDAWAS -FQVNWVFFAFSILMACITLMLWIMYFVNSIRLWRRTHSWWSFNPETDALLTTSVMGRQVCIPVLGAPTGV -TLTLLSGTLLVEGYKVATGVQVSQLPNFVTVAKATTTIVYGRVGRSVNASSGTGWAFYVRSKHGDYSAVS -NPSAVLTDSEKVLHLV - ->sp|Q7T6S9.1|VME1_CVCBG RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MKKVLLLLACTIACVYGENYCAMNSTAQTSCLISGSVCALCFEGGDLVWHLANWNFSWSVILIVFITVLQ -YGRPQFSWFVYGVKMLIMWLLWPIVLALTIFNAYSEYEVSRYVMFGFSVAGAIVTFILWIMYFVRSIQLY -RRTKSWWSFNPETNAILCVSALGRSYVLPLEGVPTGVTLTLLSGNLYAEGFKIAGGMNIDNLPKYVMVAL -PSRTIVYTLVGKQLKASSATGWAYYVKSKAGDYSTDARTDTLSEHEKLLHMV - ->sp|P33464.1|VME1_CVPR8 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MKILLILACAIACTCGERYCAMKDDTGLSCRNGTASDCESCFNRGDLIWHLANWNFSWSIILIIFITVLQ -YGRPQFSWFVYGIKMLIMWLLWPIVLALTIFNAYSEYQVSRYVMFGFSIAGAIVTFVLWIMYFVRSIQLY -RRTKSWWSFNPETNAILCVSALGRSYVLPLEGVPTGVTLTLLSGNLYAEGFKIAGGMTIDNLPKYVMVAL -PSRTIVYTLVGKKLKASSATGWAYYVKSKAGDYSTEARTDNLSEQEKLLHMV - ->sp|P09175.1|VME1_CVPFS RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MKLLLILACVIACACGERYCAMKDDTGLSCRNSTASACESCFNGGDLIWHLANWNFSWSIILIIFITVLQ -YGRPQFSWFVYGIKMLIMWLLWPIVLALTIFNAYSEYQVSRYVMFGVSIAGAIVTFVLWIMYFVRSIQLY -RRTKSWWSFNPEINAILCVSALGRSYVLPLEGVPTGVTLTLLSGNLYAEGFKIAGGMNIDNLPKYVMVAL -PSRTIVYTLVGKKLKASSATGWAYYVKSKAGDYSTDARTDNLSEQEKLLHMV - ->sp|P36299.1|VME1_CVCAI RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MKKILFLLACAIACVYGERYCAMTESSTSCRNSTAGNCASCFETGDLIWHLANWNFSWSVILIIFITVLQ -YGRPQFSWFVCGIKMLIMWLLWPIVLALTIFNAYLEYRVSRYVMFGFSVAGATVTFILWIMYFVRSIQLY -RRTKSWWSFNPETSAILCVSALGRSYVLPLEGVPTGVTLTLLSGNLCAEGFKIAGGMNIDNLPKYVMVAL -PVRTIVYTLVGKKLKASSATGWAYYVKSKAGDYSTDARTDNLSEHEKLLHMV - diff --git a/seq/clusters_seq/cluster_158 b/seq/clusters_seq/cluster_158 deleted file mode 100644 index 97cdc9f..0000000 --- a/seq/clusters_seq/cluster_158 +++ /dev/null @@ -1,255 +0,0 @@ ->YP_009824977.1 nucleocapsid protein [Wencheng Sm shrew coronavirus] -MSAEKVSWSSSLPTKADTKNGSKVEVELSAWDPIIIQNNKNIQDVLPRTSVPKGLPSGHEIGYWYKGPER -YRFTRGEKVPLDPKWYFYPLGHGPAANKKWNSKVEGVFWVARDGASIEKVPDFEPRKKGVDAKRVRLPGK -APANVYFTTTSASRSQSKDNSRAASRSGSKSRIQSRSPSAERGHVDIKLAVLEALKDLGIGQDTKKKSSK -SAAASGNNTPKQESSPKVQRKQIERLRHKRVPTGKENITVCFGPRGPEQNFGSDEIVNGGAQGKKVAQLL -ENVPGPSALLFGGKVEQIGKANGKVAVQYTYVAELDDNEEVKRFLDLIDAYKKPTTANSINSVLNPQATS -FAPPSGVIEELQDDLN - ->YP_009755894.1 nucleocapsid protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -MAVSFDNAARGRSGRVPFSYYMPVINNSAQPFYKVMPQNAVPTGMGNQSQQIGYWNEQVRWRMSKGQRKD -LPSKWHFYYLGTGPHAELPYRQRQQGVFWVAKEGAKTQPTGLGSRGRNAELINPRFSAKLPDSIEIVDNN -SRPSSRANSRARSQSSDNTNRSRSQSSNRSQNPRSQSRGRQPNQANGSNNNGGRGNQQRNRSNSRNRGNS -NQNSNNHQDLVAAVREALAGLGIKPSNSNKSTPATSGHSTPKRSNSSQPAEKKNIRQVDKPTWKRVPHSQ -ESVDKCFGPRSTSMNFGDAQLVRLGVDYPHFPQIAELVPTQAALLFGSEITAHEVGDDIEITYVYKMRVP -KSNRSLVRFLPHVGAYADDTQDVTLDPSAPPFTPRPQRTPRSVAVVDGSVDAVEDVIVEADSADGEGQFE -EVVDDVVDETTA - ->YP_009256201.1 nucleocapsid protein [Ferret coronavirus] -MAGNGQRVNWGDEPVPSQKRGRSRSRSRRNADIPLSYFNPITHEGKKSFWTVAPKDFVPIGKGNKDQQVG -YWNRQQRYRIQRGQKVELPDRWFFYYLGTGPHSNAKFKDRIDGVFWVGKNGAKTVPTGLGTRGTNQQSLD -LKFDGNVPNDFKLEQNVGSRNNSRSRSRGRSKSNNRSNNNNSNSGDIATAVAAALAQMGFAPKDTQKNKS -RSKSRDRSKSRERPTPNNENKHSWKKTPGKGEVESMFGKRRPEANFGTSDLVNAGSSDKHYPQLAELVPG -SAALLFGGKWTTKEESDGDVVVTVKYSYTLPKGDKTTAFLKQIDAYTKPSDIAKEQRSRSKSRERPQTPL -PSNSAETENYTGEFDESVEIIDELN - ->YP_006908646.1 nucleocapsid protein [Rousettus bat coronavirus HKU10] -MASGGNNVSFANKPRGRSGKVPLSYYSPVMIDGDQPFWKVMPTNAVPTGMGDKNQQIGYWNEQPRWRMVK -GQRKELPSKWHFYYLGTGPQEDARYRQRIEGVFWVAVQGSKTDPTGLGTRRKGQDLISPKFAVKIPSNVM -IVEETSRPPSRSQSNSRPQSRNNSRPQSKNNSQNNSRDNSRAPSRPRSRANSTSSTTNDAVDIVAAVKQA -LKELGVTPEKKNQEKQKKSKKSNSGSNTPKEAATPKQKSSPSTPRKQLERPEWKRVPNKEENVTACFGPR -DTLHNFGDQKLIAEGVQASHYPQLAELVPTPAALLFGGEVSTRERGDEVEIMYVYKMKVHKSNKDLPAFL -QQVSAYAQAPDATTTEKATVQPLLNPTAPDFQPATSEEVIEMINTVYDSFDA - ->YP_001718616.1 nucleocapsid protein [Miniopterus bat coronavirus HKU8] -MASVSFSDEPRGRSGRVPLSLFAPLRTTDGSLFFRAMPQNGVPKGFGNKDQQVGYWNEQVRWRMKRGQRQ -NLPSNWHFYYHGTGPHADAKFREKIQGVFWVAKQGSKVAPTDLPTRKRNQPTIEPQFDFDLPKNIEIVTA -PSQPNSRSNSRSQSSGGSKSRANSQSRDNSDQQKTPKGSTNNSGSNSQERSQKSKKGKGSTDQDDLVNAV -RQALMGLGFQPQGNSGKNGGKKSAPSSGKSTPKDSRSKSPARPQSTKKQLDKPEWKRVPNKSESVTACFG -PRDVSRNFGTKGLVAEGVEYSHFPQIAELLPTQAALAFGSRVEVKDFKDEVEIKFHYKMNVPKENKNLQV -FLDQVDAYLDPSREEKPKEQRKSKEKKKEEAPAQLNPAAPVFTPPVVLPDAVANVEFDMVDEVIDADHES -FA - ->YP_001552240.1 nucleocapsid phosphoprotein [Rhinolophus bat coronavirus HKU2] -MATVNWGDAVEQAESRGRKRIPLSLFAPLRVTDGKNFWNVMPRNGVPTGRGNPDQQIGYWVEQKRWRMQK -GQRKDQPSNWHFYYLGTGPHADAPFRKRIQGVHWVAVDGAKTSPTGLGVRNRNKEPATPQFGFQLPADVT -VVEATSRSASRSQSRSRNQSQSRSGAQTPRVQQSSQAVDIVAAVKQALADLGIASSQSKSQSGKNTPKPR -SRAVSPAPAPKPARKQMDKPEWKRVPNSEEDVRKCFGPRSASRNFGDSDLVQHGVEAKHFPTIAELLPTQ -AALAFGSEITTKEAGDFVEVTYHYVMKVSKTDKNLPRFLEQVAAYSKPSQIRRSQSQQDLNVDAPAFTPA -PPATPVSRNPDFPEEEVEMVDEIIN - ->YP_001351688.1 nucleocapsid protein [Scotophilus bat coronavirus 512] -MASVKFQPRGRSKGRVPLSLFAPLRVTDEKPLYKVLPNNAVPQGMGGKDQQIGYWVEQQRWRMRRGDRVD -LPSNWHFYFLGTGPHSDLPFRKRTDGVFWVAIDGAKTQPTGLGVRKSSEKPLVPKFKNKLPNNVEIVEPT -TPNNSRANSRSRSRGGQSNSRGNSQNRGDKSRNQSRNRSQSNDRGSDSRDDLVAAVKKALEDLGVGAAKP -KGKTQSGKNTPKNKSRSGSVQRAEAKDKPEWRRTPSGDESVEVCFGPRGGTRNFGSSEFVAKGVNAPGYA -QAASLVPGAAALLFGGNVATKEMADGVEITYTYKMLVPKDDKNLEIFLAQVDAYKLGDPKPQRKVKRSRT -PTPKPATEPVYDDVAADPTYANLEWDTTVEDGVEMINEVFDTQN - ->YP_009194643.1 nucleocapsid protein [Camel alphacoronavirus] -MATVNWADSSEPQRGRQGRIPYSLYSPLLVDSEQPWKVIPRNLVPTNKKDKSKLIGYWNIQKRFRTRKGK -RVDLSPKLHFYYLGTGPHKDAKFRERIEGVVWVAVDGAKTEPTGYGVRRKNSDPEEPLFSQSLPNGVTVV -EEPDSRAPSRSQSKSQSRGRGEFKPQSRNSSSASQDDIIKAVAAALKSLGFEKEKEAAKKGTPKPSRNQS -PASFQTRAKSPARPQNSETKEQKHEMQKPRWKRQPNDDLTSNVTQCFGPRDPYHNFGSAGVVANGVKAKG -YPQFAELVPSTAAMLFDSHIDSKESGNNVVLTYTTRVTVPKDHPHLGKFLEELNAFTKPLQGDMQQHPLL -NPSAQELQTSPGTAEPVYDAVSIETDIIDEVN - ->YP_003771.1 nucleocapsid protein [Human coronavirus NL63] -MASVNWADDRAARKKFPPPSFYMPLLVSSDKAPYRVIPRNLVPIGKGNKDEQIGYWNVQERWRMRRGQRV -DLPPKVHFYYLGTGPHKDLKFRQRSDGVVWVAKEGAKTVNTSLGNRKRNQKPLEPKFSIALPPELSVVEF -EDRSNNSSRASSRSSTRNNSRDSSRSTSRQQSRTRSDSNQSSSDLVAAVTLALKNLGFDNQSKSPSSSGT -STPKKPNKPLSQPRADKPSQLKKPRWKRVPTREENVIQCFGPRDFNHNMGDSDLVQNGVDAKGFPQLAEL -IPNQAALFFDSEVSTDEVGDNVQITYTYKMLVAKDNKNLPKFIEQISAFTKPSSIKEMQSQSSHVAQNTV -LNASIPESKPLADDDSAIIEIVNEVLH - ->YP_009389428.1 nucleocapsid protein [Wencheng Sm shrew coronavirus] -MSAEKVSWSSSLPTKADTKNGSKVEVELSAWDPIIIQNNKNIQDVLPRTSVPKGLPSGHEIGYWYKGPER -YRFTRGEKVPLDPKWYFYPLGHGPAANKKWNSKVEGVFWVARDGASIEKVPDFEPRKKGAEAKRVRLPGK -APANVYFTTTSASRSQSRDNSRAASRSGSKSRIQSRSPSAERGHVDIKLAVLEALKDLGIGQDTKKKSSK -SAAASGNNTPKQESSPKVQRKQIERLRHKRVPTGKENITVCFGPRGPEQNFGSDEIVNGGAQGKKVAQLL -ENVPGPSALLFGGKVEQIGKANGKVAVQYTYVAELDDNEEVKRFLDLIDAYKKPTTANSINSVLNPQATA -FAPPSGVIEELQDDLN - ->NP_073556.1 nucleocapsid protein [Human coronavirus 229E] -MATVKWADASEPQRGRQGRIPYSLYSPLLVDSEQPWKVIPRNLVPINKKDKNKLIGYWNVQKRFRTRKGK -RVDLSPKLHFYYLGTGPHKDAKFRERVEGVVWVAVDGAKTEPTGYGVRRKNSEPEIPHFNQKLPNGVTVV -EEPDSRAPSRSQSRSQSRGRGESKPQSRNPSSDRNHNSQDDIMKAVAAALKSLGFDKPQEKDKKSAKTGT -PKPSRNQSPASSQTSAKSLARSQSSETKEQKHEMQKPRWKRQPNDDVTSNVTQCFGPRDLDHNFGSAGVV -ANGVKAKGYPQFAELVPSTAAMLFDSHIVSKESGNTVVLTFTTRVTVPKDHPHLGKFLEELNAFTREMQQ -HPLLNPSALEFNPSQTSPATAEPVRDEVSIETDIIDEVN - ->YP_009824971.1 N protein [NL63-related bat coronavirus] -MATVNWADESDKGNGRGGRGRRGRIDPSYYSPIIVEGNEKPWNVIPKNFVPKGKGGKDEQIGYWNEQPRW -RMRKGEKVELPSKWHFYYLGTGPQSKLKFRERAQGVVWVAVNGAKTADTGLGTRKRNQQPIVPTFDVKIP -SNITIVEDHDRSAPSSRSQSRGRSQSRNDNRSNNQSRNQSRNNSRSRSNSRGRSGNPTSPDDLVAAVRAA -LEGMGFEPIKNGQSGKNTPKSGNATPKKQKSRASSPAPRPASPKKQMDKPRWKRTPNQEEDVVKCFGPRD -FDHNFGDSEIVRLGVEAPHYPQLAELVPSQAALLFDSNISTKELKDQVMITYTYSMLVPKDNKHLGPFLQ -QVNAFADGDKVPALPPKVKRQKSKTEKKDDVLDGLNIAAPAFEPKEQRESRPLKFSEVAKNAVDPLNELK -VEMVDEVKYESSA - ->YP_009755842.1 nucleocapsid protein [Shrew coronavirus] -MSGKSWADRVEAEEQKNKPQQRRSRSKSKDRKTMPLSWFNPLEFEVGKDLGDLINTNSVPIGKGTKQEQH -GYWNIQTRFRVNKGKRVDLQPRAFFYYTGTGPHKILAFGEQQEGVVWVATKEADHNPVRFGDRPESTPAM -EVKIRQGKQPLGVKLLNDSKEEVVRQSRARSRSRSQSRSRDTSQKRVTFSDQQPKGDQYVTKNDLSNLLS -KLLDEKLNSNPKPQRQPRSRSNSSTRNSQKETQAVQKDQMSKHWWKRIPTKDEGIEQCFGQRSDTVNFGT -KYMVDQGTGGNFPQLATLLPTPAAMLYGSHVQITPGMSPDKEFIVYTCGIEVDKNDPIYKEFKKGVNAFK -DDTTWLSGNTIAKAKNLNTTSTPSQTVQSVSESNASVVVKFDENGEEVSSA - ->NP_058428.1 nucleoprotein [Transmissible gastroenteritis virus] -MANQGQRVSWGDESTKTRGRSNSRGRKNNNIPLSFFNPITLQQGSKFWNLCPRDFVPKGIGNRDQQIGYW -NRQTRYRMVKGQRKELPERWFFYYLGTGPHADAKFKDKLDGVVWVAKDGAMNKPTTLGSRGANNESKALK -FDGKVPGEFQLEVNQSRDNSRSRSQSRSRSRNRSQSRGRQQFNNKKDDSVEQAVLAALKKLGVDTEKQQQ -RSRSKSKERSNSKTRDTTPKNENKHTWKRTAGKGDVTRFYGARSSSANFGDTDLVANGSSAKHYPQLAEC -VPSVSSILFGSYWTSKEDGDQIEVTFTHKYHLPKDDPKTGQFLQQINAYARPSEVAKEQRKRKSRSKSAE -RSEQDVVPDALIENYTDVFDDTQVEIIDEVTN - ->YP_009380526.1 nucleocapsid protein [Coronavirus AcCoV-JC34] -MSSNVSWADQVDAQVHRQRSSSRGRTQNRTNGSIPLSWFTAIIDESNGNFTSLMPSSGVPTGVGTAAQQC -GYWYRAPTAYQVRRGKRVALPPAWYFYFLGTGPHANAAYGTAMDGVFWVKTKNGQIDAKSSKALGVRGNG -TEPKRANVPNLPEGLRVNLPNGSRPQSRAQSQNRNGSRASSMNRNNSRAPSVDRTKEDLKAVVSQLLSEM -GVSKTNKTQPQSKKKKGATPTGTPHPNQDGKPVWKRKPNKEEDVTQCFGPRSDSKNFGDAAFLQHGVDDD -RFKAVSFYAPGTAASLFDSKITVADSHDGKKLVTFHTTIEVDPNRPEFELFMSQIDAFKKPASFQQTQRF -WETQADQSKITDYFKATTPGAGSSTVEIENLEIIDETNA - ->YP_009328939.1 N protein [NL63-related bat coronavirus] -MATVNWADDKRGKRKYPPPSFYLPLVVKSDKQPYKVIPRNLVPKGKGNKDQQIGYWNVQRRWRMRKGQRV -DIDPKVHFYYLGTGPRADLKFRERAEDVVWVAMQGSKTEPTNLGNRKRNQKPIQPEFDIQLPNELEVVEF -EDRSNSSSRASSRASSRGNSRETSRSNSRQQSRDNSRSPSRSRSNSTSESSQNSAQDLVAAVTAALKNLG -FEPPKSDKSGNASGTSTPKGKKKPKQAKSNEQGSPNNVPSDKSQMNKPKWKRVPNASENVIKCFGPRDFD -HNMGDADLVQNGVEAKNFPQIAELIPTQAAMFFDSEVSTKEMGNKVQIIYTYKMLVDKDNKHLPKFLEQV -SAFTKPSVVKETQSHPLQNTMPEPAQLNVAAAEFKPPVTTASDGSNAEIEIVDEVLH - ->YP_009201734.1 nucleocapsid protein [BtNv-AlphaCoV/SC2013] -MSSSKGNVGFDNAARGRSGRVPFSFYMPVINNSSQPFYKVMPQNAVPKGQGNKDQQIGYWNEQVRWRMVK -GTRKDLPSKWHFYYLGTGPHADLKFRQRQQGVFWVAKEGAKAEPTGLGTRGRNAELTTPIFNPGLPDSIE -IVDQYSRPNSRASSRARSQSNDQGNRSRSQSNNRAQSNNRSQSRGRQNQNQNNQPTGDGGSNGQRNQPRN -RSNSRNRSGNQGRNGGSQQDLVAAVREALAGMGFKPNTSGSGRNTPVKVPKGDKPLNKPTKAPASQVEKP -VWKRTPHSQENVEVCFGPRDTYQNFGDSQLVRLGVDYPHYPQIGELIPSQAALLFGSEITAHERGDNIQL -TYIYKMEVPKDHKSLAAFLPHIGAYADSTEDVTLPPALPPKQQRLRRSASTEVLADTTSVVDEEEVEEVV -DDVTGQDETFA - ->YP_009200739.1 nucleocapsid protein [BtRf-AlphaCoV/YN2012] -MATVNWGDAVEAESRGRKPRIPLSLFAPLRVTSDKNFWNVMPRNGVPTGKGNSEQQIGYWVEQKRWRMQK -GQRKDQPSNWHFYYLGTGPHAEAPFRKRIQGVHWVAIDGAKTNPTGLGVRNRNREPITPQFGFQLPSDLM -IVESTSRSASRSQSRSRNQSQSRSGQQTPRFQQQSQSVDIVAAVKQALADLGISGTQNKPQSGRNTPKQK -SRAVSPAPSPKQTRKQMDKPEWKRVPNSDEDVKKCFGPRSVARNFGDSDLIQNGVEAKHFPTIAELLPTQ -AAIAFGSEITTKEAGDFVEITYHYVMKVPKTDKNLPRFLEQVSAYSKPSQIRRSQSQQDLNVDALVFTPA -PPTTPTVQSPSFLEEEVEMVDEIIN - ->YP_009199794.1 nucleocapsid protein [BtRf-AlphaCoV/HuB2013] -MASVSFQEEKRGRSGKVPLSYYNPVIVSGDKPFWKVMPNNAVPKGKGNKDQQIGYWNEQPRYRMVRGTRK -DLPSKWHFYYLGTGPHAESKFRTRTEGVYWVAVQGSKTEPTGLGTRKRNAELVNPEFAIQLPAAIEIQEN -TVSRGNSRSQSSNRDRSQSGNRSQNDQKQGNQNQKSRSNSQSRKGNQNSNDSAVDIVAAVKQALKELGVT -NESNKKGKNSGTNTPKEQRSKSPARSPTVQKKQLERPPWKRVPNSTEDVTKCFGVRDTHRNFGDADLVRN -GIDAKHYPQLAELVPTPAAVLFGGEVVTTEVGSDVEITYIYKMKVPKTDKNLPAFLKQVSAYSQPSQAAE -VPSQLNPTAVAFQPLAEDEQVEIIDQVYGSFDA - ->YP_009199613.1 nucleocapsid protein [BtMr-AlphaCoV/SAX2011] -MSSVKFEASGRTGRTPLSFFAPLTVSSDKKFWNVLPKNAVPTGKGNAKQQVGYWNEQPRWRMQRGERVEK -PSYWHFYFLGTGPHADAKFRERIPGVVWVSKANADLNPTNLGTRSKARSVITPKFDSELPSDIEIVDKSS -APNSRGNSRSQSRGPGNGANSRNNSKGRNNTNSRDNSNNRGKSQSRNSSRNRGQQRNNNQQRQGTGAAGN -GTADLAAAIVLALEKAGLTRETEKAPKKESANNNKKSESRASSPAPAQSKKDQLGKVMWKRNPDPSFNVT -QCFGPRSVYQNFGDEDAIKNGVKAKHFPSWAELTPTPAALLFGSEVITVEDGDDIVIQYNYQMRVPKTMA -ALQTFLPQVGAYANGDNESESGDQPGASAAAAAAPEPPALPPKLNPKADAFVPPKINPNYFDGMKVEIMN -KTISDDSTA - ->YP_009199247.1 nucleoprotein [Swine enteric coronavirus] -MANQGQRVNWGDESTKTRGRSNSRGRKSNNIPPSFFNPITLQQGAKFWNLCPRDFVPKGIGNRDQQIGYW -NRQTRYRMVKGQRKELPERWFFYYLGTGPHADAKFKDKLDGVVWVAKDGAMNKPTTLGSRGANNESKALK -FDGKVPGEFQLEVNQSRDNSRSRSQSRSWSRNRSQSRGRQQSNNKKDDSVEQAVLAALKKLGVDTEKQQQ -RSRSKSKDRSNSKTRDTTPKNENKHTWKRTAGRGDVTRFYGTRSISANFGDSDLVANGSSAKHYPQLAEC -VPSVSSILFGSYWTSKEDGDQIEVTFTHKYHLPKDDPKTEQFLQQINAYTRPSEVAKEQRKRKSRSKSAE -RSEQEVVPDALIENYTDVFDDTQVEMIDEVTN - ->YP_009019186.1 nucleocapsid protein [Mink coronavirus strain WD1127] -MAGNGNRVNWGDEPSPSQNRGRSRSRSRKNENIPLSFFNPITHTGNKKFWNVAPKDLVPKGKGNRDQQVG -YWNRQERWRMQKGQKIQLPSRWFFYYLGTGPHQNAKFQERIDGVYWVGKNGSKTVPTGLGTRGSNQESLD -LQFDCAVPSEFKLEQNVNSRSNSRSRSRGRSRSNTRSNDNQSSSQDIATAVAAALAQMGFAPKETQKNKS -RSKSRDRAKSSERPVPKNENKHSWKKTPGKGDVETMFGKRSANSNFGDAELVKDGSSHKNYPQLAEMVPS -TGALVFGGKWEATESGDDVIVTVNYSYKLPKNDPKTTAFVGQIGAYTKPSQVAKEQRSRSKSRERAATPV -PTPVSATAENYTDVFDENVEIIDELN - ->YP_008439206.1 nucleoprotein [Bat coronavirus CDPHE15/USA/2006] -MASVKFAKNNRRGRMEYSYFAPLIINSDQPIWKVLPNNAVPVGKGGKDEQIGYWNEQPRWRMRKGQRVDV -ASKWHFYYLGTGPHSEEQYRKRIDGVYWVAVNGAKTSPTGLGTRSAKTKPLELKFNTKIPKEVEFVEPTS -PGSSRANSRSQSRGGSKSRNNSPRRGNQSKSRNSSKNRGGDNRSRSSSGTRDQDAIVAAVKAALLGLGLG -TDSNSGASGKASKANSGTSTPKPKPAATPKSPSTPKSQLERPEWKRVPDSDCSVEQCFGPRGGFKNFGSA -DFVQYGVAAKGYPQAAALTPTSAALLFGGNVQVQELSDDIEITYTYKMTVPKSDKNLEVFLSNVNAYKEA -KPQREPKKKKDRSSRPPTPAPSAPVASGNAEPIYADVQPQGQTEPVYENPDQYLGGVDIVNEVYGNLPDN -SQSTA - ->YP_004070199.1 nucleocapsid protein [Feline infectious peritonitis virus] -MATQGQRVNWGDEPSKRRGRSNSRGRKNNDIPLSFYNPITLEQGSKFWNLCPRDLVPKGIGNKDQQIGYW -NRQIRYRIVKGQRKELAERWFFYFLGTGPHADAKFKDKIDGVFWVARDGAMNKPTTLGTRGTNNESKPLR -FDGKIPPQFQLEVNRSRNNSRSGSQSRSVSRNRSQSRGRHHSNNQNNNVEDTIVAVLEKLGVTDKQRSRS -KPRERSDSKPRDTTPKNANKHTWKKTAGKGDVTTFYGARSSSANFGDSDLVANGNAAKCYPQIAECVPSV -SSIIFGSQWSAEEAGDQVKVTLTHTYYLPKDDAKTSQFLEQIDAYKRPSEVAKDQRQRRSRSKSADKKPE -ELSVTLVEAYTDVFDDTQVEMIDEVTN - ->YP_001718609.1 nucleocapsid protein [Bat coronavirus 1A] -MASVQFEDTNSRGRSGRIPLSYYAPLRVADDKLFLKSMPGNGVPKGMGGKAEQIGYWTEQRRWRMNKGQR -KDLPSNWHFYYLGTGPHADLKFRERQVGVFWVAKQGSKTEPTNLGTRNRNQQLRIPEFDFTLPDSLEVVN -SDSRPASRSDSRSQSKSNNQSRSNSKARDQSGTRTPKNASQNQKPKKNQQEDLVAAVREALKGLGIQQSR -SSSGKSTPARSKSPARPSNKKQLDKPEWKRVPNRTENATTCFGQRSVERNCGSASVVAMGVEAPNFPQIA -ELIPTQAALFFGSRVSTKEVGDTVEIKYHYKMSVPKTNPNLPYFLQQVNAYLDPNSDQPIPKKPKAKSEE -VPQLNPAAPVFTPPAQEPAVELEMVDEVFDIDPLGDSVA - ->NP_598314.1 nucleocapsid protein [Porcine epidemic diarrhea virus] -MASVSFQDRGRKRVPLSLYAPLRVTNDKPLSKVLANNAVPTNKGNKDQQIGYWNEQIRWRMRRGERIEQP -SNWHFYYLGTGPHGDLRYRTRTEGVFWVAKEGAKTEPTNLGVRKASEKPIIPKFSQQLPSVVEIVEPNTP -PASRANSRSRSRGNGNNRSRSPSNNRGNNQSRGNSQNRGNNQGRGASQNRGGNNNNNNKSRNQSNNRNQS -NDRGGVTSRDDLVAAVKDALKSLGIGENPDRHKQQQKPKQEKSDNSGKNTPKKNKSRATSKERDLKDIPE -WRRIPKGENSVAACFGPRGGFKNFGDAEFVEKGVDASGYAQIASLAPNVAALLFGGNVAVRELADSYEIT -YNYKMTVPKSDPNVELLVSQVDAFKTGNAKLQRKKEKKNKRETTLQQHEEAIYDDVGAPSDVTHANLEWD -TAVDGGDTAVEIINEIFDTGN - ->sp|Q7T6S8.1|NCAP_CVCBG RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASQGQRVSWGDESTKRRGRSNSRGRKNNDIPLSFFNPVTLKQGSKFWDLCPRDFVPLKIGNKDQQIGYW -NRQIRYRMVKGQRKDLPERWFFYYLGTGPHADAKFKQKLDGVVWVAKEGAMTKPTTLGTRGTNNESKALK -FDVKVPSEFQLEVNQSRDNSRSRSQSRSQSRTRAQSRGRQQSNNKKDDSVEQAVLAALKKLGVDTEKQQQ -RARSKSKERSNSKTRDTTPKNENKHTWKRTAGKGDVTKFYGARSSSANFGDSDLVANGNSAKHYPQLAEC -VPSVSSILFGSHWTAKEDGDQIEVTFTHKYHLPKDDPKTGQFLQQINAYARPSEVAKEQRLRKARSKSAE -RVEQEVVPDALTENYTDVFDDTQVEIIDEVTN - ->sp|P36298.1|NCAP_CVCAI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASQGQRVSWGDESTKRRGRSNSRGRKNNDIPLSFFNPITLEQGSKFWDLCPRDFVPKGIGNKDQQIGYW -NRQTRYRMVKGRRKNLPEKWFFYYLGTGPHADAKFKQKLDGVVWVARGDSMTKPTTLGTRGTNNESKALK -FDVKVPSEFHLEVNQLRDNSRSRSQSRSQSRNRSQSRGRQLSNNKKDDNVEQAVLAALKKLGVDTEKQQR -SRSKSKERSSSKTRDTTPKNENKHTWKRTAGKGDVTKFYGARSSSANFGDSDLVANGNGAKHYPQLAECV -PSVSSILFGSHWTAKEDGDQIEVTFTHKYHLPKDDPKTGQFLQQINAYARPSEVAKEQRQRKARSKSVER -VEQEVVPDALTENYTDVFDDTQVEIIDEVTN - ->sp|P33463.1|NCAP_CVPR8 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MANQGQRVSWGDESTKIRGRSNSRGRKINNIPLSFFNPITLQQGAKFWNSCPRDFVPKGIGNRDQQIGYW -NRQTRYRMVKGQRKELPERWFFYYLGTGPHADAKFKDKLDGVVWVAKDGAMNKPTTLGSRGANNESKALK -FDGKVPGEFQLEVNQSRDNSRSRSQSRSRSRNRSQSRGRQQSNNKKDDSVEQAVLAALKKLGVYTEKQQQ -RSRSKSKERSNSKTRDTTPKNENKHTWKRTAGKGDVTRFYGARSSSANFGDSDLVANGSSAKHYPQLAEC -VPSVSSILFGSYWTSKEDGDQIEVTFTHKYHLPKDHPKTEQFLQQINAYACPSEVAKEQRKRKSRSKSAE -RSEQEVVPDSLIENYTDVFDDTQVEMIDEVTN - ->sp|Q04700.1|NCAP_CVCAK RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MANQGQRVQWGVDITKKRGLSNSRGRKNNTIPLSFFNPITLQQGSKFWNLCPRDFVPKGIGNKDQQIGYW -NRQSRYRMVKGQRKELPERWFFYYLGTGPHADAKFKDRIDGVVWVAKDGAMNKPTTLGNRGANNESKALK -FDGKVPGEFQLEVNQSRDNSRSPSQSRSQSRNRSQSRGRQQSNNKKDDSVEQAVLAALKKLGVDTEKQQQ -RSRSKSKERSNSKTRDTTPKNENKHTWKRTAGKGDVTKFYGARSSSANFGDSDLVANGNGAKHYPQLAEC -VPSVSSILFGSYWTAKEDGDQIEVTFTHKYHLPKDDPKTGQFLQQINAYARPSEVAKEQRQRKARSKSAE -RVEQEVVPDALTENYTDVFDDTQVEIIDEVTN - ->sp|P05991.1|NCAP_CVPFS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MANQGQRVSWGDESTKIRGRSNSRGRKSNNIPLSFFNPITLQQGSKFWNLCPRDFVPKGIGNRDQQIGYW -NRQTRYRMVKGQRKELPERWFFYYLGTGPHADAKFKDKLDGVVWVAKDGAMNKPTTLGSRGANNESKALK -FDGKVPGEFQLEVNQSRDNSRSRSQSRSRSRNRSQSRGRQQSNNKKDDSVEQAVLAALKKLGVDTEKQQQ -RSCSKSKERSNSKTRDTTPKNENKHTWKRTAGKGDVTRFYGARSSSANFGDSDLVANGSSAKHYPQLAEC -VPSVSSILFGSYWTSKEDGDQIEVTFTHKYHLPKDDPKTEQFLQQINAYARPSEVAKEQRKRKSRSKSAE -RSEQEVVPDALIENYTDVFDDTQVEMIDEVTN - diff --git a/seq/clusters_seq/cluster_159 b/seq/clusters_seq/cluster_159 deleted file mode 100644 index 20be900..0000000 --- a/seq/clusters_seq/cluster_159 +++ /dev/null @@ -1,296 +0,0 @@ ->YP_009640127.1 hypothetical protein MS2g4 [Escherichia phage MS2] -MSKTTKKFNSLCIDLPRDLSLEIYQSIASVATGSGDPHSDDFTAIAYLRDELLTKHPTLGSGNDEATRRT -LAIAKLREANGDRGQINREGFLHDKSLSWDPDVLQTSIRSLIGNLLSGYRSSLFGQCTFSNGAPMGHKLQ -DAAPYKKFAEQATVTPRALRAALLVRDQCAPWIRHAVRYNESYEFRLVVGNGVFTVPKNNKIDRAACKEP -DMNMYLQKGVGAFIRRRLKSVGIDLNDQSINQRLAQQGSVDGSLATIDLSSASDSISDRLVWSFLPPELY -SYLDRIRSHYGIVDGETIRWELFSTMGNGFTFELESMIFWAIVKATQIHFGNAGTIGIYGDDIICPSEIA -PRVLEALAYYGFKPNLRKTFVSGLFRESCGAHFYRGVDVKPFYIKKPVDNLFALMLILNRLRGWGVVGGM -SDPRLYKVWVRLSSQVPSMFFGGTDLAADYYVVSPPTAVSVYTKTPYGRLLADTRTSGFRLARIARERKF -FSEKHDSGRYIAWFHTGGEITDSMKSAGVRVIRTSEWLTPVPTFPQECGPASSPR - ->YP_010084023.1 replicase [Leviviridae sp.] -MYLNRDTVKKILALDNVEVTEDNIFSAKLALEVWQSLLSEQNPQYGKLVLSYALRYGLRRTIQEACDCSE -ILMGRSFRNNAFTGIVATATDERSVLQCLRFLKRFCYPDDDSLREEAVSQFFKRTHGWAQLKISDYLRSV -IKSKFSDIVGSEKNYRRSEVANLTKSYFDIPSGAAYDIEYDRLVRTQGEKITILNEYVKYHPSYPGIKRF -VYDQSKDFTPISKLIAVPKNYKTSRVIAVEHPLVQFRLGAISNYLSDRIMKYTKGRINIQDQSRNRNLAQ -LGSAQDSYATIDLSAASDSNTQMLQYQICPDWLYREISPWISRRVRYNGKTRRVNTFGTMGTRITFPLEM -VTFIAVVEVARDYYNTLVGEPHITLDDIAVYGDDIIVPKELVSTVSDLLGILGFTVNAEKTYSSGPFRES -CGGDYYNGVDVSTNYWPRTPIRRDISTIPSLISLQHRLVQHFESNLILVNTIRDIVPSITESEIGSPYTD -IWSAWPVLTLREGRERRYALTYSKFSDAMRPDGKRYVAVRRTKKIHHVQTATAEYHSTFPPKWKNGWPSA -DIQEFLMYRSLYHGGCYASGLDELLHVTDKPLHQEWDLPILDRDHVRVLIE - ->YP_010084020.1 putative RNA replicase [LeviOr01 phage] -MHTLTAQVTSALLQDLGLESLPKEFPDRDSEFPARYLAYNFVRKLEPFSRKTDVPVAVLRNSLTTFMEAE -YRCRVVNHHGRMYSALREEDRSYFTEAFRLAKIWINQTMSGYWPRWENARYTGGASRNCSRDRSLPALKW -SGYAERSNLSTTRPALAVVNDYIKPEFSPSDWRERDVDIVDDSRFDFVAKTAKAVRFMAMEPEYNMLAQK -CVGDCIRAALNAQGINLDDQRPNQELAYLGSIFRTRATLDQSSASDCIALFLLRLLPERVRDWVLACRTP -ATSVAGSRLVLEKVATMGNGFIFELQSLIFAAFAHACTQLSGGRECDIAVYGDDIIVSTPVARPLMDTLE -YYGLIPNMEKSYWDEDEPFRESCGKHWFAGRDVTPFYVKEPLGPLRTLFRAYNGLKEWTMRTGIPLNRTL -ATILAAIPKKDRVIVPPSFSIDCGLHTPVSGCTFPKRVIRHGDIRYSFKCLVDTSEDVTARLDDEVKLRY -WLFEPPAELLPRHLYPASPLAGYPREEKRYVRGLSGESRPEVWARREAGPGDAP - ->YP_007237175.1 replicase [Enterobacteria phage Hgal1] -MHKKSPSNSRNVISSFPDLSRQIHSDLSECVRRSDDIDDFRLSYLCDVFLSKHPSLEGGVSAEAREKLAF -EKMQASEKRNSATNCRLLPSDGILPSDVSVVFHYARRIMAGILGPLSADVVVNATFSNGASTSKKRSQGD -AFFKIVGKSDVTQDAYGFAVAAVRAYPLYEELLTEQYGSSDNWFNVVQGNVAFTVPKNSSIDRAACKEPD -LNMFLQAGVGSHIRRRLRRHGIDLNDQTQNQRLAREGSVDGRLATIDLSSASDSVTRALVMQLLPYQWYS -YLDAIRSKTGLISGKRHRWEMFSSMGNGFTFELESAIFYSLARACLIHLGIDDVVGVYGDDIILPCAAYN -LLEVILSFAGFTVNSKKSFARGFFRESCGKHFYEGVDVTPFFVKKPITDTTRVIWFLNQLRSWCSVNEFC -DSRFYPIWKKYSRLVPKLLHGGKDLQSIFALVTPGQPNRILRPLNKGTLISGKAALIRYGLAPTVNSSTK -LDEERLKHVIEGRYRLVPNNAWWTPIPEFQEEL - ->YP_007237129.1 replicase [Enterobacteria phage C-1 INW-2012] -MAKKAGRGSDRLALNPDVHRTIVREVTSLVRSLSHESFRIKYLEEEWLSKINDPESGLTPKERRAAAINK -WLLTEERNARTNHRLIFDSTVIDGLNTDKFFDTVRRFVRGIIGDEPPEDLWRFGRFSNGASTSKTRLLGR -AAQKFRDTADITLEAQPYLKYFTEGLVSELGPVEYRTVPGNILFTVPKNALIDRVACKEPDINMYLQLAV -GGFIRSRLRRFGIDLNDQTKNQELARIGSLTGELATVDLSSASDSITSALVQKVVSDEWFVMLDNLRCRE -TVIDGELHENHMFSSMGNGFTFELESLIFLCMSRAAAYHYGVKGTISVYGDDIIIPSPIVSQSQEPVRFA -GLLFNDKKSFNTGPFRESCGAHWYNGIDVKPFYLREPINHVSRLIHFLNRLRQWCSEDGICDPRFYPIWK -KWSKLIPSNLKGGWDCERIDSLVSNCYPRLTLHKVSRDITTKDSGAYLTWHNLKTVDPTSSVEIEEILPL -EKFVVRRYRTTRGSPGPSGWDVSRRPLFPQEL - ->YP_007111575.1 replicase beta subunit [Enterobacteria phage M] -MSRKRRVTISTSQFHVQLDEQWVLRRISESLDLSDFRNSYLVKSLLSKYAAPSKESARARQRAAIAKLLW -TSRRNRDTEYRLLRRDSKQDFMFLLTREVANLLGDVPEFEGLSSFSGGASTRHRRGKSQPQEKFDGYGDT -TASNLPLARKSILASPAWSCNSAVSTGGTLELRLVPGNVVFTVPKSNVIDRAAAKEPDLNMYVQKFYGDH -IRGRLRRFGIDLNDQGRNRDLARQGSITGDLATLDLSSASDSITRILMLEVLPPAWFDVLDRARSRWTNV -NGVYRQLHMFSTMGNGFTFELESLLFFAVTRVTLKMLNIQGTIGIYGDDIICPTSAAREVVSNLEYIGFK -TNIDKSFIEGHFRESCGGHYYKGRDVTPFYIRSPLNNIQRIIWLLNKIREWSSEGTTIAVELEELYFEIY -NKFSILRSLTGCGGLDSISSLAIPGAFGGYLHQVTRRVAVNEDGAYVQALLKGSPSDTLRFVSVETSRYE -IRQWSDKVRPDMCRSLYSNGRMVYFLREIG - ->YP_717671.1 replicase [Pseudomonas phage PRR1] -MATEYPSISRTSFRNPSCDQSFIHRYLQSVSPATKPGDPNFSREGYLTASFLSKFANPSKESAAERRSRA -IGKLLETEKRNLRTIERLDKPTNFGGISSDSLLYTASRFIADLLGPFSYDVFALGGFSNGASTSRRRVSG -DAISKFDGKGDVTLRALPYISALINLTPSWKRSVTEQCFRMGRDPLRVVEGNVVFTVPKSDDIDRAAAKE -PDLNMFLQKAVGNHIRRRLRGKGIDLNDQSRNQELARLGSIDGSLATLDLSSASDSVTWKLVLELLPPDW -ANVMFDLRSPRGLINGEWHEWATMSTMGNGFTFELESLIFWALARSAAYYFGCPGVISVYGDDIIVPTKL -AKPLINLLGYCGFIVNPDKSFWTGRFRESCGAHWHAGIDVKPFYCKEPLIGVQRLIWFLNQLRYWSGPTG -ICDPRYEDLYFKVRDMIPKSLRHKLRGGRDYGSNTELVTPDTDGYYLHCKMKKVIRSANPSLSEWLCNVT -RNRSPESVPSLGLTVTEKMQLLDDYSLRPIRKERYHWEPAGDVRVFLREL - ->NP_042307.1 replicase [Pseudomonas phage PP7] -METLSSAQLRDVVSSELGLPVPTQLPHRELGGVEKSPEQFAKEYFLYNLLRKVEPFSRKEEVPDSVXRAS -LIAFANAEHNCRVMNQCGRYYSVVNEELELLFSEASRLAKILISRWLSDFWPDWEEARFTGGASRLSSRK -FSLPALKLAGFSERGQLSITAPALPYYRLYREGITASDRGYTIVDDSRFDFVAKTAKAVRFIAMEPELNM -LLQKSVGDTIRAALRKAGIDLNTQRLNQDLAYHGSVFRNLGTIDLSSASDTLSIELVRQYLPKRFLRYVL -DLRTPYTSVGGKKHRLEKVASMGNGFIFELQSLIYAAFAHAMTLVVGGRECDIAIYGDDIIVSECVVEPL -MQFLEWHGFCPNLDKSYWGGDPFRESCGKHYFAGRDVTPVYVKGALDNLPALFRLFNSLKRWEEQTGIRI -PDTIALVLSYIPKRDRVLVPKTYSITAGLHFPAKGCVFPRTIYVRRYQRLIRKGRYMTEEQVDISKRLDD -EVRYVDWLRNPPEALLPLEVWRRFSHRARHHGLPRERKRYRCVSSLEASSVWSSYEDWGEMA - ->NP_040755.1 replicase beta-subunit [Escherichia virus BZ13] -MFRFREIEKTLCMDRTRDCAVRFHVYLQSLDLGSSDPLSPDFDGLAYLRDECLTKHPSLGDSNSDARRKE -LAYAKLMDSDQRCKIQNSNGYDYSHIESGVLSGILKTAQALVANLLTGFESHFLNDCSFSNGASQGFKLR -DAAPFKKIAGQATVTAPAYDIAVAAVKTCAPWYAYMQETYGDETKWFRRVYGNGLFSVPKNNKIDRAACK -EPDMNMYLQKGAGSFIRKRLRSVGIDLNDQTRNQELARLGSIDGSLATIDLSSASDSISDRLVWDLLPPH -VYSYLARIRTSFTMIDGRLHKWGLFSTMGNGFTFELESMIFWALSKSIMLSMGVTGSLGIYGDDIIVPVE -CRPTLLKVLSAVNFLPNEEKTFTTGYFRESCGAHFFKDADMKPFYCKRPMETLPDVMLLCNRIRGWQTVG -GMSDPRLFPIWKEFADMIPPKFKGGCNLDRDTYLVSPDKPGVSLVRIAKVRSGFNHAFPYGHENGRYVHW -LHMGSGEVLETISSARYRCKPNSEWRTQIPLFPQELEACVLS - ->sp|P15965.2|RDRP_BPFR RecName: Full=RNA-directed RNA polymerase beta chain; AltName: Full=RNA replicase beta chain -MSKSTKKFNSLCIDLSRDLSLEVYQSIASVATGSSDPHSDDFTAIAYLRDELLTKHPNLGDGNDEATRRS -LAIAKLLEANDRCGQINRDGFLHDATASWDPDVLQTSIRSLIGNLLSGYSSQLFRHCTFSNGASMGHKLQ -DAAPYKKFAEQATVTPRALKAAVLVKDQCSPWIRHSHVFPESYTFRLVGGNGVFTVPKNNKIDRAACKEP -DMNMYLQKGVGGFIRRRLKTVGIDLNDQTINQRLAQQGSRDGSLATIDLSSASDSISDRLVWSFLPPELY -SYLDMIRSHYGYVNGKMIRWELFSTMGNGFTFELESMIFWAIVRATQIHFRNTGTIGIYGDDIICPTEIA -PRVLEALSFYGFKPNLRKTFTSGSFRESCGAHYFRGVDVKPFYIKKPITDLFSLMLILNRIRGWGVVNGI -ADPRLYEVWEKLSRLVPRYLFGGTDLQADYYVVSPPILKGIYSKMNGRREYAEARTTGFKLARIARWRKH -FSDKHDSGRYIAWFHTGGEITDSMKSAGVRVMRTSEWLQPVPVFPQECGPASSPQ - ->ACT66728.1 replicase [Escherichia virus BZ13] -MFRFTEIEKTLCMDRTRDCAVRFHVYLQSLDLGSSDPHSPDFDGLAYLRDECLTKHPSLGDSNSDARRKE -LAYAKLMDSDQRCKIQNSNGYDYSHIESGVLSGILKTAQALVANLLTGFESHFLNDCSFSNGASQGFKLR -DAAPFKKIAGQATVTAPAYDIAVAAVKTCAPWYAYMQETYGDETKWFRRVYGNGLFSVPKNNKIDRAACK -EPDMNMYLQKGAGSFIRKRLRSVGIDLNDQTRNQELARLGSIDGSLATIDLSSASDSISDRLVWDLLPPH -VYSYLARIRSSFTMIDGRLHKWGLFSTMGNGFTFELESMIFWALSKSVMLSMGVTGSLGVYGDDIIVPVE -CAPTLLKVLSAVNFLPNQKKTFTTGYFRESCGAHFFKGADMKPFYCKRPMETLPDVMLLCNRIRGWQTVG -GMSDPRLFPIWKEFADMIPPKFKGGCNLDRDTYLVSPDKPGVTLVRIAKVRSGFNHAFPYGYENGRYVHW -LHMGSGEVLETISSARFRCKPNSEWRTQIPLFPQELEACVLS ->APG77018.1 hypothetical protein [Beihai levi-like virus 25] -MEDNPMAKTPKSISLRSLRACSIDPKWLFERLRSDLDEGDFRNRYLLDSVLSKYAEPSPASKERRHRRAL -AKLLWTDRENGRTVKRLETNPEIVPGVSAASIKLLVAREVSRILGPFSFNAFASASFSSGASTSRRRTDA -GVAEKFDGIGDVTADAYPYFVAASNLDPIWRRNLTVAMLESDRDTAYNVVPGNVCFTVPKKDDIDRAACK -EPDFNMYLQKGIGDFIRSRLRRDGINLNDQSVNQRLARKGSIDGSLATLDLSAASDSITWKLVMELLPFD -WFCHLDAIRSKQTYINGKWRTMNLFSTMGNGFTFELESLLFYAMCIVAVRLSGCSDTTIGVYGDDLIVPT -EASTGLISILSYFGFRTNVDKSFVEGPFRESCGGHYYNGRDVTPFYVREPINDVTRVIWLLNKIREWSSE -GSGFCTLLEGLWYDIYQKFPLLKSVTGFARIDSILSVAHPTCRTGRLKNSTRTKLNPSNGALCAQLRSNP -SALIFNYKCGKVRASVRDGASYSRKSFLTGKWFITKPSSVEESYCSTLQYGDIPFFSCELSSQ ->ACN90651.1 replicase protein [Escherichia phage MS2] -MSKTTKKFNSLCIDLPRDLSLEIYQSIASVATGSGDPHSDDFTAIAYLRDELLTKHPTLGSGNDEATRRT -LAIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQTSIRSLIGNLLSGYRSSLFGQCTFSNGASMGHKLQ -DAAPYKKFAEQATVTPRALRAALLVRDQCAPWIRHAVRYNESYEFRLVVGNGVFTVPKNNKIDRAACKEP -DMNMYLQKGVGAFIRRRLKSVGIDLNDQSINQRLAQQGSVDGSLATIDLSSASDSISDRLVWSFLPPELY -SYLDRIRSHYGIVDGETIRWELFSTMGNGFTFELESMIFWAIVKSTQIHFGNAGTIGIYGDDIICPSEIA -PRVLEALAYYGFKPNLRKTFVSGLFRESCGAHFYRGVDVKPFYIKKPVDNLFALMLILNRLRGWGVVGGM -SDPRLYKVWVRLSSQVPSMFFGGTDLAADYYVVSPPTAVSVYTKTPYGRLLADTRTSGFRLARIARERKF -FSEKHDSGRYIAWFHTGGEITDSMKSAGVRVIRTSEWLTPVPTFPQECGPASSPR ->APG77225.1 hypothetical protein [Hubei levi-like virus 5] -MQDRQASRSRTLKDVNVKLPEDFSIHLRDLLERMTPSKKTSYLREVCFSKFVSTDTQPADVRRNRAVFKW -LCAEQNNAATNDRLELTPDGFQILPRVLWSDFVSKCRDVVRTVIGDVPSEDALIGIFSGGASTSRGRTVS -HPANKYLGKADVTESAKGLFEDLLKDMPGWLGYRGDAEELRVVPGNIMFTVPKTTDIDRCACKEPDINMF -MQKGLGGEIRRGLKRHKIDLNDQSINRDLARIGSITGDLATLDLSSASDSVTTGLVELLLPTVWYSYLSM -LRSPYTDVFGELHRNEMFSSMGNGFTFELESLLFYAIARTTAYFRGVSGVISVYGDDIIVPSILAEDLTW -VLGYCGFEVNPSKSYWFGTFRESCGGHYDGGIDITPFYVKKPVTDLVSLIHLGNSLRKWAGSSGWMDEEV -YPLWKTIKELVPERFWGGRDLASKEALVTSDLPRKKLLPITRRVETGTGGYILWLNATMNRMTDGCVETS -TRSIDCGRYRVRPNATPYTSMTTPLFEEEWQSE ->AFN39796.1 replicase [Escherichia phage MS2] -MSKTTKKFNSLCIDLPRDLSLEIYQSIASVATGSGDPHSRDFTAIAYLRDELLTKHPSLGNGNDEATRRA -LAIAKLREANERCGQINREGFLHDKSLSWDPDVLQTSIRSLIGNLLSGYRSSLFGQCTFSNGASMGHKLQ -DAAPYKKFAEQATVTPRALRAALLVRDQCVPWIRHAVRYNESYEFRLVVGNGVFTVPKNNKIDRAACKEP -DANMYLQKGVGDFIRRRLRSIGIDLNDQTINQRLAKLGSIDGSLATIDLSSASDSISDRLVWEFLPSQMY -AYLSKIRSSRGIVDGRVVDWHLFSTMGNGFTFELESMIFWAIVKATMIHFGNLGTIGIYGDDIICPTEIA -PRVLEALSFYGFKPNQSKTFITGRFRESCGAHYFGGADCKPIYIKKPVNNLFAVCLLLNRLRGWGVVNGV -SDPRLFETWKWLSERVPSILFGGSNLDADYYVVSRQEAKKRYESHPSYGRTLSHFHSTPHRLYRVPVSKR -EFSPREESGRLITWYHNGGQVIDTTTTPRVRLVRTSEWLTVVPLFPQEDGNCELS ->APG77021.1 hypothetical protein [Beihai levi-like virus 22] -MDKKRKRDRKPDANFLPSHIGEAFQNQLVDLVDRLAENGGFKEKYLRSELLSKYCDSKTTPADVRRSSAI -KKWQSVEKRNAITNRRLSIGDEDFGWTTSDIIIDDARKFISKVLGTLDQKKVFRRISHTNGASTRISRSP -KAAIEKHEGKAHVSSRALGYWLMIAQNTQLSDQELEIQECSELFTVPKSTDIDRPACKEPEINMLLQRCV -GGYIRQRLRQFGIDLNDQTRNQELAKTALAKGLATIDLSSASDTVTRSLVMCLLPTEWWYLLDDLRVHWT -SIDGEIHYLQMFSSMGNGFTFELESLLFWALTRSICRLSGVRGTISVYGDDIIAPSRIGPRLRRVFAWFG -FTVNAKKSHWSGPFRESCGKHYYDGRDVTPFYIRQPVSGKTDIIRLLNRLLVWDGYPYGCFITKEVAEFH -AKWAQQIPTSLWGGSDPEDVTSLVTGHIPRKRLFRNSRKLPYNHMYGFRSWLMMTGQIPVPFGEEGFWDQ -YIHTFQREISEREECLSLSPSVETRYIVAAPEPFRDRSSGVYDSGEVTTWDPYMIYGVSVVPGSY ->APG77106.1 hypothetical protein [Changjiang levi-like virus 2] -MSRTPSRPYLTGSTLQQAVDRICGNAASRDAVTADAFAMAYWRERYLSKHEEGADVEKLSHACVTTFKEV -ESENSETNKRLCSLSLSGPALFCLSEARMEIKRLLGRFRLDEFWDSCDWGPGATATLVAEDATLDKKILE -SQLSVSRRALPWARAALQWDSHWFAARTGTFPEGPYSVLANNFFVREHERFTTVPKDKNKRRAISIQNTM -NLFLQKGLGAMVRRRLKRVGVDLDDQSRNQWLASIAYKCWLSTIDLAHASDSVCYELVRFLLPEEWFDVF -CQFRQGTVAIGEDVHVLNRFSAMGNGYTFELESLIFWGLITSVMKRFDLIGIHAVYGDDIIVENNVSSAV -ISLLHEVGFTVNVDKTFTEGFFYESCGKHYFAGHDVTPPYQKEEIRCLPSLIRCANRLFRYACRVGSGKV -PDLRVHQVWTLVVTTAFSVNDAINARRWENWADGGFRGREPAPLPFPFIPHWLGDDFGLLWPEPFQSRNG -FVNFDRLTFEPIRHTTDGWSLYSNLLRKGGCTETASYGRVDLRGRTLIGRGRGKTPAASPTVDWPDDWPL -KSLDRASS ->APG77123.1 hypothetical protein [Hubei levi-like virus 6] -MSKPRTRNTKYGMLDGNHHEQLETIIRTYFNEERTDIGAEYLCASLLSKYADPDPQAAASRSDLAIKKLL -ATDSRNRDTEWRLRYLKPANNISLVLDDAKRIIAKVLGDFDVMEFLSSGSFSGGASVFTRAGSKSNPVEK -FAASTACGNQFVADLTRKVFSIDCYIGPPLNVIFTVPKNDQIDRAAAKEYAWNMFWQKSAGTMIRCRLKR -IGIDLNDQSINNRLARIASMGQLLSTLDLSSASDSITRELVWRLLPPDWFEILDNLRSLGSNLDGKFHLW -SLFSTMGNGFTFELESLIFYALAKASVNRTVGKGVVSIYGDDIIVPSGEPTSLLISCLEYCGFAVNLDKS -FTEGPFKESCGGHYFLGWEITPIYIREPIKTWQCCIKFLNSLRVYLLTTYGGDHAQLWAECYEYLLNHSK -PQVIKVKTKHGKDRVIYLTLQDTRSGCVTLDDGNQCLFTDGSIYYPGAPIKRVMAVGIAKSFASTNPEGS -RYWLYKTFGSTTVSETQLSVVALKSHTQYKSRRAGQLSVRFALNGELSA ->AMQ23523.1 replicase [Leviviridae sp.] -MPITRDERDLFRIFSEHIRNDLVSKGVSDRLAGGLLNWFDPAHPEYLRRIDAWQKCLCAYAVKDYGDLPP -VLLNLGVIRKTPYQTYAVDTADPGMVRQVHQIAKLFYKFRGTPRKETDFEEARLRYSHPGQLFLEPFERE -GMSCNLLRLRPPSKWEQLIGRFGPGITSDGMTEFGKWCGRGKYPASVPITLFVSNLDDYANLPHVERYRY -GITKTAEVPKSLKSNRLISSEPAHGMFAQLAVGNYLVDELHRNFPRNISLYDQERHNRLLRMEGACSIDL -SDASDHVSRRLVSVLMPHWKEFLFAVRSQFTQFPDGSICPLRTFAPMGSGVCFPVLTAISAGILSFACRK -PWHAYGDDWIVHYTDYDYVIDLATRCGLVVNKSKSCCNLIYRESCGCELFGDADITPLYIRKDPEHLGCC -YPRKSLEDFQGYPSLGQHVGTRWKACKTGFRPSTLQHNVAAVGDGGDV ->APG77079.1 hypothetical protein [Beihai levi-like virus 14] -MTSPLQPTSVMNGIDSLNGFIENYRRSAVVKPRSWDDTLLFRKYQLPGSLDLAARKKAAVTKFAKNCQRL -KMDLVHFENVVEFDLQANDSDQAIMLKRAREICHAAFRPYSRGSMHNSVNRALDFPKGAGYATRRADTRN -AKYHKGVTLTDHALKYAVRNLKCDIFAPNSVGQGETRKMTFVRGNRFITVPKNTETERLICIEPEVNLYY -QKGIGSWLKTVVKDSFGIDLSCQNRNRTQCANFAFSTIDLSSASDSVTNALVEFLLPRRVFTMLRAFRSP -SSQMYDVTIKNTSSYSTFSTMGNGYTFELESLIFTCLARAASEFHGCDPDEVTVFGDDIIIRNEAASSAV -ELLLLCGFKTNLDKSFLGNAPMRESCGFFFEKYGDHALMVSPLALKGKFQRSIYVKTNGRGESVAAIPLD -PELIRVHNEMVRYIDTTNMAYRNDKLYRFYGAVIKKIRSLFPGNMQGRGYFGENQYLLVDSLCMPLEEIQ -TVVRRTACYKWTNRLLGKGLYGPPQRSHDESLDPKKIKSPSLHRSRMSFGMKDRWCGEVSTARYLGFHPM -GDFLDKEW ->APG77136.1 hypothetical protein [Beihai levi-like virus 26] -MTKHHTRSYSAKLLADFHNRVLEGSVHLDPRRIAQRIFASKPQGATGAYAFKWSYLEQEILSKYCGPATT -SPDVRAKRAIDKMMTANERCAETNERLRTEPKPVWFERARCIALRIIGDPTDLLSSPEFALRACFSSGAA -VGFPRRRSDVFYKYGPMNTVTERALPYAKRLLRGTPLWDAHLRVVDGNVVFTVPKKEDIDRAAAKEPGMN -SALQRSVGSYIRAQLKRHGIDLNDQSINRSLARKGSIDGSLATIDLSAASDSISTRLVYELLGDRWFHLL -SDLRSPKGDLGRFGGKVDWHLLSTMGNGYTFELESLIFFAICKACSEFVLNSPRSPVVSVYGDDIIVESA -CANEVLSGLSWCGFTPNPDKTFTEGPFRESCGGHYYLGVDVKPIYIRKPIDTPQRLIWLLNALRSWASDE -DGWCDPSLYDLWLDLRRLYLPPEFLGGRNLDSISEAVSPEYPRYSFRRRLARTKIDGPCAILRYFQYNSE -VRALTDRVYGSTLLQDYMDTNDPAAEAVTDWRSISRIGNREFCEMQTYDPTLVRFALFPQEADFAGAAVI -TSMGSSG ->AEQ25571.1 replicase [Escherichia phage MS2] -MSKTTKKFNSLCIDLPRDLSLEIYQSIASVATGCGDPHSDDFTAIAYLRDELLTKHPTLGSGNDEATRRT -LAIAKLREANDRCGQINREGFLHDKSLSWDPDVLQTSIRSLIGNLLSGYRSSLFGQCTFSNGASMGHKLQ -DAAPYKKFAEQATVTPRALRAALLVRDQCAPWIRHAVRYNESYEFRLVVGNGVFTVPKNNKIDRAACKEP -DMNMYLQKGVGAFIRRRLKSVGIDLNDQSINQRLAQQGSVDGSLATIDLSSASDSISDRLVWSFLPPELY -SYLDRIRSHYGIVDGETIRWELFSTMGNGFTFELESMIFWAIVKATQIHFGNAGTIGIYGDDIICPSEIA -PRVLEALAYYGFKPNLRKTFVSGLFRESCGAHFYRGVDVKPFYIKKPVDNLFALMLILNRLRGWGVVGGM -SDPRLYKVWVRLSSQVPSMFFGGTDLAADYYVVSPPTAVAVYTKTPYGRLLADTRTSGFRLARIARERKF -FSEKHDSGRYIAWFHTGGEITDSMKSARVRVIRTSEWLTPVPTFPQECGPASSPR ->APG77003.1 hypothetical protein [Beihai levi-like virus 23] -MQKTRHKRKSSCQNFLPAHICNSFQQELETLVSTLVTEAGKEGSVHAQFKAAYLAAELKSKYCDSTTTPA -EERRAAAITKWLATEDRNRVTNQRLLIEGADFGWTTSDRLDGLVRDIIRDILGPFDYWQLITAGGHTNGA -TTRVKRSPYGAILKHAGTAHASESLLPHWAARSVGTVLEHQKVVVRNSSELFTVPKATDIDRVACKEPEL -NMFQQRSIGKHIRRRLRAVAGINLNDQTVNQRLARDALHLGLATIDLSAASDSITEQLVVNWLPPEWFLL -LNDLRVKSVRIPNWPRKGQETTRELSMFSSMGNGFTFELESLLFYALTRAVAYLTGAKGKISVYGDDIIC -PASIGPRLARVFAWYGFKVNPKKSNWSGYFRESCGKHYHRGLDVTPFYLRGPVKSKTDVIRLLNRLLVWD -GSPIGFITDDRVLEFHQKWSLQIPRQLWGGQDPEDITSLVTGHSPRMRLTRSTVEFTEFREDNKRHIKVP -RPLGQKGHRLLVGAYDGDAAVKYWLTHRGEGDDPLFVDPGKEGKYQISPQPAWLERSAWTPWLLTELESC -TEVHV ->APG77037.1 hypothetical protein [Beihai levi-like virus 24] -MMTSRQVKSRKAYDDRLPAGLGQKFREELSGLVDGDDFKSGYLRDELFSKYLDPKIVPPSQRREAAVTKW -LKCEQSNVSTNGRLLVHSADFGYMTSEQMFSRIRKLIGEILGVRAERTLPMCLLKAAGILQLVPHTNGAS -TRVKRSPKAAISKLAGEAHVTREAKPYWDFLVKGTLLESQEATLVEGSVMFTVDKKTDIDRVACKEPEIN -MSLQRGVGEFIRRRLRRVGVNLNDQTVNQRLAQQALDLGLATVDLSSASDTITEQLVTNCVPFWLYSLLD -DLRVKQTRLPEPRNTNHRLAMFSSMGNGFTFELESLLFYAITRVVARSSRVKGRISVYGDDIICSSRLVP -RLSRVFHYLGFRINLKKSHFRGYFRESCGKHYYRGLDVSPFYIRREVDTVSDLILHLNHLLEWDSRDGVG -GNYPFFTDIALLEFHERWAQHVPSKLWGGYDVESNGSLVTGHAPRRRLVPRMQSLRGIDHNAAMHYWFMV -ATFRESREALEVMPKGSFGLVVRRNPKPPLGQRNAWCPYGLRNP ->APG77231.1 hypothetical protein [Hubei levi-like virus 7] -MSTSRRRSADVLREARYFRAPSQTTVEAIFNFLSAIDTPKSLAVWLLYKNKEHDQLTSIDIDPGHYERNP -YRFRLDLAAVSFLSKSKFLTTTFKKEEVAFKKFFKFEELCQETNNRFRHPSSDPLNKGPNVWLLAATKRK -IERLLGDYSPDEFIDWANWGPGVSTLVKGEHVSAINKFHAGRGITRDLYSLVCTWFPVAYPSWHRSLSHS -YGENYFIHEVGNVIVTVPKNSKTDRVIAIEPDINLWFQKAIGTMIRRRLRRVGIDLNDQTRNQQLARLGS -KTLDLCTVDFSSASDSISLEVVRELLPPGWFQLLDTCRSKFGMLDSGPLRWQKFSSMGNGYTFELESLIF -YAAAQACMEYLGIQGEISVFGDDVILPTQAFDLFSSYSEFLGFKVNPDKSFASGYFRESCGSYYFDGVDC -KPLFLKEKLVNVESLYKLANGIRLLAHRYGNYRSCDSSFRDSWTALVLRIPEPLRLRVPKDAGDAGLISN -FDEAVPTRARYGIEGYYYRGLVGVSVKTSTEIEAVLLARLWQPSTQAHKNTYALRGRSQRMITNSLIPRW -YDLGEWW ->APG77179.1 hypothetical protein [Shahe levi-like virus 2] -MQHITKDSCARYKAAPEKLFPCLAASFEADFKELINFDLQRADPHSELFSREHYCGAALLSKLPKSATSA -SDRRTKAIEKFIASDDRLGDVNIDLLFFDEKEGYVAFARSLIKDLLGPVNDDWLDYVSFSGGASTSKTRL -SSSTHNKFRVKSDITVDAVKHLLRSLPDSSPGRSMLTSMHGDQFYNLVEGNVLFTVPKNADIDRAAAKEP -DWNMFLQKGVGSFIRNRLKKVGVYLEDQGFNNFWSCEGSKTGSHATLDLRSASDSISISLVHLLLPSDWA -VLLDDLRSKYMDIDGSRRELNMFSSMGNGFTFELESLIFWALAKSVTTICSTRSDIPTVNNYGWNLCTVY -GDDIIVPTFAASTLTNTLVSLGFLVNTEKSFVTGSFRESCGGHWFKGKDVKPFYIRKPIDRISRVIWLLN -TLRRWSVIESLGICDPRFLPLWRKYRDFVPPHLWGGVQASSSSSLVTSHSRRYILSPRVLTSEVKDWASY -ADSLNRLSPSGETVIETGLFSVRMNKQHIEGDLYFWFENERKY ->APG77025.1 hypothetical protein [Beihai levi-like virus 27] -MISDRKYNGSYLALSKMGCLQKTLDPFILSDSIIEILFDSCPFDVNQSVESINNIDHFKWKYLYDSIIEK -LARPGVPESVRIQNALDKLSDSEECCHSINHSGYGRSTPSSFFNGVMHRAQQIVANVLGPVKYDDIFAHA -RFTSGATTSRRRKHGDSYFKYHPTWSIDVTVRARPYLEALIGLTPGWASLIENKPRTTLGNKVTTVPKKT -DVDRAIAMEPDGNAILQNAVGTYLKSRLLKTVGVNLRDQTTNQDFARLGSATGIISTLDLSAASDSISDR -LVWDLLPPDWYNLLNDLRSHYGIMPDGTVRKWEKFSAMGNGFTFELESLLFYAISRAVAEFNDCSPEFVN -IYGDDIIIPTRCALNLVSVLSDLGFTTNRDKSFIVGLEFRESCGEHFYKGVNVSPFYVKKTISSLPTYVW -FLNSLRHWAYSESIDICCPSVEDFWFEFRRSFIPKCLLGGNQIHSITSVYSKGEPRKKICFEKDPSRTVY -LHGRRALLRYFQNNQIPDKYDFSYLSVKSKWTGWYIEKTYESQSAFYSRDSARSITRPNQPDLPAKLTSD -TYVVACLKANLDGADPYSSSRTFIFPKEMMVR ->AMQ23536.1 replicase [Leviviridae sp.] -MATSKGKSGVKRPSVVKTTKETKGTKVSKVSRKSKVTKGNTKPKTQKVASKPKTTKSKTTATKNSNSKMK -IVLDYSYAVISPLQARDLCRRDAKKMATDEMAYITNNSSQYYLLVNTWLLLVGELCNNEAQSEILFSIKR -DGVIETIRHASEMLDNVRSRMRGDDVQTYIPFPSIAFCEDIRVISEVLAFPKRFSPLKADLRNAAAIKNF -YKVNRRCRDYGRSNGSKWIEDRLREKFAVMLKDFTKVYRKYSECVYFSSGVCADAKVLKEKVAAYVAGNP -DHEIYGFRFPSEDYKWGTDNAILRMRTNFYGVKHDWSMPVFTCKPTCVPKSYKTPRIICPEPTYAASKKQ -GILRAIRECLTKIPKGMQIFNDSDQESNQMLAFLGSRDGDYATIDKSSASDSISAALMARVLPDDVWEAI -WPYYVSWFMLDDGRLVHKDMFASSGDPICFILEGCLFSALEMVAEDLVDVLCGKPIKRGKCYTGRVFGDD -IITKTDYFQTYCDLAERLGFIINMDKSYGMGPFRESCGADFTTE ->ACT66724.1 replicase [Escherichia virus BZ13] -MFRFTEIKKTLCMDRTRDCAVRFHVYLQSLDLGSSDPHSPDFDGLAYLRDECLTKHPSLGDSNSDALRKE -LAYAKLMDSDQRCKIQNSNGYDLSHIDSGVLNGILLTAKASIAKLLMGFESHFLNDCSFSNGASQGFKLQ -DAAPFKKIAGQATVTAPAYDLAVHAVKTCGPWLRYMQETYGDETRWFRRVYGNGLFSVPKNNKIDRAACK -EPDMNMYLQKGAGSFIRRRLRSVNIDLNDQTRNQELARLGSIDGSLATIDLSSASDSVSDRLVWDLLPPH -VYSYLHRIRSSFTMIDGQLHKWNLFSTMGNGFTFELESMIFWALSKSVMSYLGVTGLLGIYGDDIIVPTK -CAPLLLQVLSAVNFLPNQKKTFTTGYFRESCGAHFFKGASVKPFYCKRPMETLPDIMLLCNRIRGWGTIG -GISDPRLFPIWKEFADMIPPKFKGGCNLDRDTYLVSPDKPGKTLVRVAKKRSGFNHKFRSDYENGRYIHW -LHMGSGEVLETISSARFRCKPNSEWRTQIPLFPQEIEACVLS ->APG77299.1 hypothetical protein [Wenzhou levi-like virus 3] -MNRKSKTPFKFNDITGQVVKDLFAALGSNLDPLRKPKAYLETQIESLMTESLSADAVKCDYLAHEVMSKY -NNFNLHHCPKEAAYKSFFANEQKCSETNARILSYERGNSKSAFLERVIHTAQVKIRRILPDLSWELLRSN -HEMTTGASVFTKRRHATSACKLENPEVTLRALRVWSMVTEELDIFESPVIVNHSKVTTVPKNSKTDRLIC -IEPSGNMLLQKAIGNYISKQMCKQPMVDLHDQSVNQQRALRASLVKDEATVDFSAASDTISIETVRLLLP -PDWFNYLNMIRVPVVEINGSLHKLSKFSAMGNGFTFELETLLFWAIAQSIEDLLGTSTLVSQYGDDLICS -TLCYEQALPIFAFFGFTINVKKTFATGNFRESCGMHYYDGVDVSPFYIRRPIDNQNELMLFLNNLRIWCD -RLNLMLDPRFEHIYNKYASLLSDEVRSSRVPHCLSSCGLIGPLSEHEHRVNSSKIEVYMWIEQMRGRPSY -YRGNPNNSRNLLLHTRQLDRSLLGLDALPIVSMNKTEPSGSFRLRRRWVWLGK ->APG77142.1 hypothetical protein [Beihai levi-like virus 12] -MLMCTEMILRSLKNPSRLSQKRILGACSSAIGRFPKEIDVLEHVGQLSDLSDLYRSEQFDTLSYTLKTQP -GNELLNIYFKVCEPLSNEGIHTMGKQTLTLLSYMTRLTLSSGHNEDDVFEQFCNTQNRLKNHVYGYHSDL -FQMVREKLTLWFSDFDINSYKFSAAHSIGATVEARRNSSLSDKWDGFILDENILKYIHRRIDPSHPLYTW -YTSFPFSCDKLAPEFKCVPKSYKTLRGITYEPTSYMHFEQDLMIGLKSYIRTHPFLSTVLRFEDQSLNKT -RAKIGSLCQNLDTIDLSSASDSVTKSIVTYLFSDTKLGPLLELFSADKVSYKGQQYPVETYGGMGNALTF -PIESVIFLAIAEVSRELMQYNNDTQYNVSSVYGDDIVVDTFVSESVMTNLSYFGFLPNFEKSFTGDSHFR -ESCGGEYLFGHDVTPLRIPRKMIPIQGKRKFLYSSPAAYASYISHINDLYDHGYVWTSRNLLRRSTSCKK -FSLSDHMTITKNQYPRFSCDPDDIAIVSYDNFDNSHLGNYDYEIVYNKDDAVEKSSHIGVLVSKSISITR -HTLPRYSRKSNNAFRRLYCGLYESSSKSHYQREIENRTTDIAAYKYLKKGKVRKSLTKHSSLIKLQYK diff --git a/seq/clusters_seq/cluster_16 b/seq/clusters_seq/cluster_16 deleted file mode 100644 index a811986..0000000 --- a/seq/clusters_seq/cluster_16 +++ /dev/null @@ -1,504 +0,0 @@ ->YP_010088126.1 NIa [Dendrobium chlorotic mosaic virus] -SQAFHRGVRNYNPIAQTICLISNVSGDSVQRTYGLGFGSCIITNGHLFVRNNGHLTVRSHHGEFIIKNST -QIKIHALPGRDLILIQMPKDFPVFPQKLKFRLPEKGERICLVSTLFQSKNMTSAISEDSITFPKEGSTFW -KHWITTKDGDCGLPLVATRDGAIVGLHSLANASGTINFFVSFPQEFALNYLSEATTIEWTKHWLYNPSSV -CWGGMKLRQDKPQDIFKATKLIQDLELGPQEVVVQQ - ->YP_010088116.1 NIa-Pro [Platycodon mild mottle virus] -GKTTFSGMRDYNPIAQAVCHLENDSNGVSRKLYGLGYGSVIITNQHLFKENNGVLRIKTHHGEYVCPNTT -SLNMFPVEGCDVLVIQMPKDFVPFPRRLRFRQTKPGERVCMVGSNFQTKSISSVVSESSATTRVNSSNFY -KHWISTKDGQCGNPIVSPKDGCIVGIHSLASLVSDMNMYTGFTDTFEVDVISKLDSLDWTKRWKLNLDKA -NWGPLNMQSDTPKDDCFKLSKSVFDLAEDLFKFQ - ->YP_009667144.1 NIa protein [Mediterranean ruda virus] -SSLKLHGMRDYNPIASNICRLTNESDSSTSKIYGIGYGSVIITNQHLFRQNNGELIIQSRHGIFKCPNTT -QLKLLPIDKHDLLLIRLPKDFPVFPRKIRFRNPMSADKIVMVGTNFQEKSSSSVVSESSSISKVGSDGFY -KHWISTIAGHCGNPLVSTKDGFVIGIHSLTAVSGDVNVFTSIPDHFEEKYLNKLDTYEWTKNWRLNVDKV -NWDGIDLVKDTPQEPFKTNKVMSLLQDLTAEFQ - ->YP_009667097.1 NIa [Zucchini shoestring virus] -GKSLCNSMRDYNNVSSVICALQNTSGGGTSLYGVGFNSFIITNRHLFRENNGSLEVQSCHGKFHVRNTTT -LKVAPVGKTDLIIIRMPKDFPPFPSKLRFRAPNAGDKVCLVGANFQEKYLSSRVSESSHISDSFGGSFGR -HWISTNDGDCGLPLVSVKDGFILGLHSLSSAKNIANYFAIIPADFEEAYIRKLESLSWSSHWRYNTNEIC -WGPLKIHDSKPEFPFQVSKELNPLQVYEQ - ->YP_009667068.1 NIa-Pro [Iris mild mosaic virus] -AKSLNRGLRDYNPISKAVCLLENRSDGHSIHIHGIGFGSYIITNRHLFKRNNGNLVIKSTHGEFIVPNTV -TMKTSPVPDCDIVIVQLPKDFPPFPTKLKFRAPEKNDQVCMVGTNFQEKFLSSTISSPSYIQQVKNTQFF -KHWIDTKDGQCGLPLVSTRDGNIVGLHSLTNMKLEYNCFAAITTELTSMLGSQSHIEWKRGWLYNPNDIS -WGIMQLKESTPNGSFKPTKSIHELAADLMREQ - ->YP_009351886.1 NIa [Sunflower ring blotch virus] -SKSLMKGLRDYNPIAHTICKLKASSEFGSTEMYGIGFGSYIITNHHLFKSFNGSLEVKSHHGLFRVPNMK -SLSIKPLQGKDIVIIKMPKDFPVFPQKLHFRSPASNERICIVGTNFQEKFASSTITETSSTYIVPRSSFW -KHWIATDDGHCGLPVVSTSDGMIIGIHSLANNSNSENYYAAFDDDFENSILRSAEHMEWVKDWKYNPDTV -VWGPLKLKQSEPSGLFKTSKIIEDLFQHETVREQ - ->YP_009509105.1 NIa [Daphne virus Y] -GKTTLTGLRDYNNISACVCLVENHSDGIETKMHALGFGSYLVLNGHFFRKNNGYMIIKSHHGEFRVKNMK -QLKIFGVDRKDMALLQLPKDFPPFPRKLRFRAPEKGESIVLVGNNFQDKYISSMVSESCKTFPRDAGGFW -KHWISTKEGSCGQPLVSVRDGFIVGIHSLCSEVSEVNYHTSVADDFEARILAKTDSLEWEKNWFYNPNSV -CWGGISIPDNKPDDIFRADKVAETLMSEIVSEQ - ->YP_009466012.1 Nia-Pro [Hyacinth mosaic virus] -AKSLFRGLRDYNAVASVVCRLENNSDGVKTSIFGIGYGGLIITNQHLLQRNNGELRVISRHGDFLIRNTT -TMSVFPCKGYDLMIIRMPKDFPPFPQRIRFRLPEQNEKICMVGSNFQDKSISSMISESSITVPEIGSKFW -KHWIDTKDGQCGLPLVSTRDGFIIGIHSLASNYSTVNYFANLPGDFENSVLKTPENLAWVQGWKYNENEV -CWGGLKLTRSMPCGLFKTSKLVTDLADTSVRVQ - ->YP_009389257.1 NiaPro [Cucurbit vein banding virus] -KAILQGVRDYNSVSNAICRLINDSDGQTQTLYGFGYGPYLIVNRHLFKRNNGTLTIHSKHGEFTVQNTTV -LRMHPVKDRDVLIVQLPKDFPPFPMRLSFRHPIIGEKVCMIGANFQQNSIRQTISEPSKTFPKENCNFWC -HWIDTQVGQCGLPLVAISDGSIVGLHSLGKVAEGSNYFSSLPDDFKANYLAKPETLEWVKQWRYNPDSIA -WGSLTLNRMNKDKTFTPLKDVKDLIMEDVIEQG - ->YP_009310047.1 Pro [Euphorbia ringspot virus] -SKSLLYGLRDYNPIAGAICHLTNHSDGFHRELYGILYGGLIITNQHLFEHNNGTLHIKSRHGEFVCKNTT -QLEMLPIAKYDMLIIKTPKDFPVSPMKLRFRPPKQGERICMVGTNFQQKSCSSVVSETSAIYPRENSTFW -SHWISTKDGQCGFPMVSTADGWIVGIHSLTSVRDEKNYFVAIPENFEKEFLNTEANQQWVKQWKYNPDLL -SWGSLWIRKSQPGCIFNPTKLIMDLQDHEVAMQ - ->YP_009272677.1 NIa [Callistephus mottle virus] -AKSMLRGARDYNPIAQSICKLINTSEGKTATTYGIGYGSYIITNQHLFAHNNGELLIKSHKGEFTLKNTT -VLKMLPCEGRDILILQMPKDMPPFPQKLNFRVPVANERVCLVGTNFQTKSTTSTVSESCVTTHIANSHFW -KHWISTKDGDCGSPLVSTRDGAILGIHSLADCNNSQNYFVAFPEDFKTRYLDTQAHHEWVKHWRYKPDRI -CWGVLDIKESKADGLFKVSKLLSDLEMNSVYPQ - ->YP_009259519.1 NIa-Pro protein [Wild onion symptomless virus] -SASMFKGLRDYNPISNNICKLTNTSDGHINSLYGVGYGPMILTNRHLFERNNGELLIQTRSGEFIIRNTT -QLQLFPVPDRDLILIQLPRDCPKFPQKMVFRSPTNNERVCIVGSNFQAKSVTSLVSESSTIIHVKDSNFW -KHWISTKDGQCGSPIVSTKDGAILGLHSLSNFTNSINYFASFPADFTKTYLETSENHEWVKHWKYNTESI -SWGALNIQAAQPKGMFNTAKLVMDLDETSVYSQ - ->YP_009252417.1 NIa-Pro [Tobacco mosqueado virus] -SKSLLKGPRDYNPIAQVICRLTVSSEHGSCTTFGIGFGALIIANHHLFKSFNGSLELRSHHGVFRVPNLM -SLEVKPLKGRDLILIKMPKDFPVFPQRLHFRSPQEADRVCLVGSNFQEKYISTVISETSATYPVQRSTFW -KHWISTDDGHCGLPIVSTSDGMLLGIHSLANNRNSENYYTAFDIDFEAEYLRNDQHSDWVKNWKYNPDNV -LWGPLKLTKDTPTGMFKTTKLIEDLFAHIQEPVREQ - ->YP_009221990.1 NIa-Pro [Jasmine virus T] -SKALFKGVRDYNPIASVVCQLINESDGASETTYGIGFGPLIIANQHLFKRTNGQLTIKSQHGEFLVRNTN -SLKFMPLIGRDIVLIKTPKDFPPFPQRIRFRAPKDSEKVVMIGTNFQTKSTSSLVSEASVTLPYDRTHFW -KHWISTKLGHCGLPLVSTNDGYIVGIHSLANNDLSKNYFSCFPEKFEEEFLRTPENIDWVGKWKFNKDSV -CWGDMRLRDSAPIDLFKVSKLVSDLESDFVHTQ - ->YP_009126868.1 NaI-pro [Tamarillo leaf malformation virus] -GVSLANGIRDYNMISNVLCKLTNNSDGERMSTSGIGFGPYIITNKHLFRSNNGELEVITQHGQFLVKNVT -ALQLHLIPDHDMLLIKMPKDFPPFPQRLKFRMPMREERVCLVSTNFQTKSLSSMVSESSVVVPMPNSTFW -RHWISTKDGQCGLPLVATKDGFIVGIHCGSNVMTSNHFTHMPEKFQDILMSGATLDWVKGWKFNINAISW -GNLKIKDSQPEDPFVTSKIIQDLVDETIVVQ - ->YP_009010965.1 Nia-Pro [Narcissus late season yellows virus] -SESLFKGLRDYNPIASSVCKLTNNSDGHTDSLYGVGFGPLILTNRHLFERNNGSLLVQTRSGDFLIKNTT -QLHLFPIPDRDLILVRLPKDVPRFPQRLVFRSPIDNERICMVGSNFQAKSVSSLVSESSTTLHVKGSNFW -KHWISTKDGQCGTPIVSTRDGAILGLHSLSNFANSINYFTSFPEEFAKQYLETHENHEWAKHWKYNTDSI -SWGSLNIKAAQPKGLFKTSKLVMDLDDTAVYSQ - ->YP_008719795.1 NIa-Pro protein [Pokeweed mosaic virus] -SLSMLRGVRDYNPIASVICQLTNESEGETTTLHGIGYGPYIITNQHLFKRNNGNLKIISQHGTFRVHNTC -NLPLLPIKGQDVLIMRLPKDFPPFPQRIKFRTPEKGERVCIVMSNFQTKSISSMVSETSHIYPVPNSSFW -KHWISTKNGHCGSPIVATRDGAILGIHSIANTDNTGNYFTCFGEKFSEKFDELVANGDWTKGWKFNANTI -AWGSLYLKDSVPEETFKITKLIQDLVGGSEVCLQ - ->YP_008320589.1 nuclear inclusion a protein/protease [Habenaria mosaic virus] -AKSLNRGLRDYTPISKSICLLQNTSDGRSTTIHGVGYGSLIVSNAHLLMRNNGTLTIKSMHGEFTIQNTT -AIRIAPIPNCDLIILRLPKDFPPFSTKLKFRVPEPNEQVCMVGTNFQEKWMSSTVSSTSYIQHIPDTQFV -KHWIDTKDGHCGLPLVSAKDGAILGLHSLTNTKQEYNCFASVTSVLTEILGAPEHAEWRKGWMYNPNDIS -WGFMRLKESTPSGLFKPVKSINDLELDIVCEQ - ->YP_007969891.1 Nia-Pro [Donkey orchid virus A] -SKSLCKGLRDYTPISNSICVLVNESDGHTEQLYGIGYGGMIITNGHLFKRNNGRLTMKTNHGEFLINSTP -TLQIHQVHERDLIVFKIPKDMPPFPRRLRFRQPCSKDLVCLVGADYQTKCIRPTVSGTSSTAQKDSSHFW -KHWISTKRGQCGLPLVSLNDGQIVGIHSLTSTDDVVNYFVACPSNFHEAYLDHIEALNWEKNWRYNTDLV -NWGSLTLLKSQPTNLFKTCKLVSDISESVQEQ - ->YP_007354895.1 Nla-Pro [Brugmansia mosaic virus] -AKALLKGLRDYNPIAQIICKLTVHSEAGSTSTFGLGFGGLIIANHHLFKSFNGTLEVRSHHGLFKVPNLK -SINVKPLTGRDIIIVRMPKDFPAFPQRLHFREPNDAERVCLIGSNFQERYISTTVSETSAIHPVQRSTFW -KHWITTDEGHCGLPLVSTHDGYVIGLHSLANNRNSENYFTAFDSKFEETYLRNTESVDWVKDWKYNPDTV -LWGPLKLTKDTPSGMFKTTKMIEDLFAYDLEEVRE - ->YP_007001290.1 NIa-Pro [Yam mild mosaic virus] -GDSLVKGLFDHNNISKAVCKITNASEGFSTTLYGIGFGALIIANRHLFKRTGGELFVRTTHGEFTCPDVG -KLKIHPIENRDMVIIQMPKDFPPFATKLEFRAPRASDKVKIVGTNFQEKYISSLVSGVSAIYPVANSDFW -KHWIKTDFGHCGLPVVSEIDGFIVGIHSLASTQQNHNYFTGMIEHMNDLLTTAEQLEYTKLWKYNPREIS -WGTLDLQNSTPSEPFVLSKLLMDLEQTPVVEQ - ->YP_007001281.1 Nia-Pro [Ornithogalum mosaic virus] -GKNLNRGLRDYNVISSVVCRLTNESDGHSASLYGLGYGGYIITNRHLFKNNNGILKVQSQHGDFVVKNTT -QLKMTPVGKTDILIIRMPKDFPVLPRRLRFRAPSSDDKVCLIASNFQERYVSSLVSETSSVYPVGNGEFW -QHWISTKDGHCGLPLTSTKDGFIIGIHSLSTITNSKNFFASIPSNFEELYLDKLEQQAWTSNWKYNPNEV -SWNGLKLQENKPDNIFKAMKEVSSLFSDPVYEQ - ->YP_006493341.1 NIa-Pro [Sweet potato virus G] -SKSLFRGLRDYNPIASVVCQLINTSDGRTSDAFGIGFGCLIITNRHLFKRNNGELTIKSRHGEFHIKNTT -QLNMAPCEERDILIIKMPKDVPPFPQKLRFRQPKENERICLVGSNFQDKSITSTVSETSVTCRVNNSHFW -KHWIDTKDGHCGLPLVSTTDGNIIGIHSLSNMTNTQNFFAAFPENFEEKYIKSVDNLEWIKKWSYNPDEV -SWGNLELQKSQPTTPFKITKLISDISAVPVYTQ - ->YP_006438195.1 NIa-Pro protein [Sweet potato virus 2] -SKSLFRGLRDYNPIASVICHLVNTSDGRTTDVFGLGFGGLIITNRHLFKRNNGELLIKSRHGEFTIKNTT -QLHMMPCSERDILVIKMPKDIPPFPQKIRFRVPKENERICLVGSNFQEKSITSTVSETSVTCRVDRSHFW -KHWVDTKDGHCGLPIVSTTDGAILGLHSLSTMTNSQNFFAAFPESFEEDYLRSPESLEWVRKWSYNPDEV -CWGSLELQWSQPGEPFKPTKLMSDLNAIPVYAQ - ->YP_006423992.1 NIa-Pro protein [Chilli ringspot virus] -AKSLTRGLRDYNGVSKSVCLLVNDSDGCTTTIHGVGFGPLIITNRHLFKRNNGVLTIRSMHGEFKVVNSA -AIKVYPVGNCDIVLLKMPKDFPPFPMKLKFRVPQSNDLVCLIGSNFQEKFASSTVSGSSNISHVANSNFW -RHWIDTKDGQCGLPLVAQNDGHLLGIHSLTSTHSDQNFFTAFPENFKECLDQTDSISWAKGWLYNPNEIG -WGSLKLKESSPKGLFKIEKLIEDLNTEVVSEQ - ->YP_006423980.1 NIa-Pro protein [Celery mosaic virus] -GLSMFKGLRDYNGIASCICKLTNESEGHIESLYGIGFGGVIITNQHLFERNNGTLKIQTHHGEFVIPNTT -TLSMFPCGNRDIVIIRMPKDLPPFPQKLKFRAPKSNERICMIGTNFQEQSTRSTISESSTTFQKEGSTFW -KHWISTKDGYCGLPLVATEDGKIVGIHSLSNVSNTQNYFTDFPPDFQKGPLANLHDLNWIKHWKYNADNV -GYGSLMLHKSQPDGLFKPIKLVQDLKSEGVYNQ - ->YP_006423954.1 NIa-Pro protein [Bidens mottle virus] -SKSLLRGLRDFNPVATVVCKLIAKTDMGIKSMHGIGFGSYLIANHHLFKTFNGALEVHTHMGIFKAPNMT -SLQVFPLQGRDLIIVKMPKDFPAFPQKLHFRGPRANERVCMVGSNFQNKSISSTVSETSPTHPIQRSTFW -KHWIDTNDGQCGLPIASTHDGSILGLHSLANNNTSENYFVAFDDEFEEKHLRTSEHTEWVKNWKYNPDKV -LWGSLQLKEDKPNGLFKTTKLVSDLHESTSVREQ - ->YP_006401487.1 NIa-Pro protein [Pepper severe mosaic virus] -GKSLLKGLRDYNPIAQIVCKLKVTTEFGVSEMFGLGFGAMIISNHHLFKSFNGHMEIKSHHGVFRVPNLT -SLKVRPLKGRDMIVIKMPKDFPVFPQRLHFRAPQSTDRVCIIGSNFQEKSVSSTVSEVSSTFNVPRNTFW -KHWIATDDGHCGLPVVSTLDGCVVGIHSLANNSSSENYYAAFDEEFECTYLRNAEHIEWVSNWKYNPSNV -LWGPLKLKEDTPTGLFKTSKLIQDLLESETVREQ - ->YP_006395346.1 NIa-Pro protein [Pennisetum mosaic virus] -AKSMMAGLIDFTPISSQICSIVNDSDGCKRNTYAIGFGSYLITPAHLFKYNNGELTIRSSRGVYKIRNSV -DVKLHPMQRRDMVIMQLLKDFPPFPRKLKFSQPDRAMRVCLVGVNFQQNYSSCTVSESSVIAPKGNSDFW -EHWITTSDGHCGLPLVDVKDKLIVGIHSLTSTNGNTNFFVAIPDRFGEYLNEIVATNKWEKAWKYNPNLI -SWCGLNLVESAPQGLFKTAKLIEDLLDDVQEQ - ->YP_006390074.1 Nia-Pro [Cyrtanthus elatus virus A] -NKSAVKGLRNYSPISKVICELKISSDGNSNTQYGIGFGCYIIANQHLFKRNNGTLQIKSAHGDFTVVNTT -QLQVMPIENRDIIIIRMPKDFPPFPMKLNFREPTDKERVCLVGAEYTGKTIYTSVSESSFTYPEKDTHFW -KYWVSTRHGQCGLPVVSVNDGSIVGVHSLCFLDKEENLYSSFPNNFDEIIINMVDENWQKNWKFNIDNIA -WGSMSILGSKPEGLFRTIKEFTIGKSPVELQ - ->YP_006390064.1 Nia-Pro [Hippeastrum mosaic virus] -SKAMCRGLRDYNPISSCVCKLTNSSDGHDSELFGIGYGPFIITNRHLFKHNNGTLRVNSRHGEFKVMNTT -QLLMSPVGNTDILLIRMPKDYPPMPSKLIFRAPQADDKVCMVSTNFQTKFMSSAVSETSVIRKVSSGEFW -QHWITTLDGQCGSPFVSTRDGAIVGIHSLASMAHAHNYFAPFPANFSVKYLENSEALEWTKHWKFNADKI -CWGTLNVHEDVPDGLFKISKLISDLKDDLVYAQ - ->YP_006280738.1 NIa protein [Tomato necrotic stunt virus] -SKSLMKGPMDFNPIAKNICRITNDSDGIPISTYGIGFGGYMIANQHFFKRNNGLITFKTHHGVFKAPNST -VLKIFPIKGRDLVVVELPKDFPVFSNKIHFRSPKDKERVCMVSSIFQEKSVTSTVSESSPIFPVAESGFY -KHWISTDDGSCGLPLVSVVDGKIVGIHSLANNYVNENYFTAFEPHFETNVLRSPEALEWIKHWKYNPENI -SWGYLSLNDSAPSGLFKTTKLVTDLVEDEQNSVRWQ - ->YP_004123949.1 NIa [Lupinus mosaic virus] -SNALYRGPRDYNPIASVICELAYTSALGTRVTYGVGYGPYLITNQHLFGDNNGELQVRSRHGTFNIKNTT -QIKMKPLKKTDILLIRMPKDFPPFPQKLQFRSPITAERICMVGSLFQEKSITSTVSESSSTYPKDDSTFW -SHWITTKVGHCGLPLVSTKDGYILGLHSLGNFTQTKNFYAAFPSDFVENFLATAENSEWVKNWQYNPDNV -CWGSLQLHASGPQEPFKTAKLYEDLNHDDVYSQ - ->YP_004123961.1 NIa-Pro [Apium virus Y] -GLSMYKGLRDYNSIASCICKLTNESDGFSESLYGIGFGCVIITNQHLFERNNGKLKIQTHHGEFTVPNTT -MLQMSPCGNRDIVIIKLPKDLPPFPQKLKFRAPKTNERICMVGTNFQEQSTRSTVSETSVTYPKEGSTFW -KHWISTKDGYCGLPLVATEDGKIVGIHSLSNVSNTQNYFTDFPENFGKDTLESLNDLTWTKHWRYNSNNI -GYGSLMLHKSQPDGIFKPIKLIQDLSDESVYSQ - ->YP_004046677.1 NIa-Pro [Sweet potato virus C] -SKSLFRGLRDYNPVASVICHLVNEADGRTSDSYGIGYGGLIITNRHLFKRNNGTLTIRSRHGEFVIKNTT -QLGMKPCADRDILIIKMPKDIPPFPQRLQFRIPKENERICLVGSNFQDKSITSTVSETSVTCHVPNSHFW -KHWIDTKDGHCGLPLVSTVDGAILGVHSLSNLTNTQNFFAAVPANFEVDYLKTPEATEWIKKWSYNPSEI -CWGTLELKTGQPVAPFKVTKLITDLDSMQVYAQ - ->YP_003902967.1 NIa-Pro [Brugmansia suaveolens mottle virus] -AKALLKGLRDYNPIAQTICRLTVSSEEGSMSTYGLGFGGLIVANHHLFRSFNGSMEVKSHHGLFRIPNLM -VLNIRPIKGKDIIVIKMPKDFPPFPQKLKFRSPKEEDRVTLIGSNFQEKFISSTISETSATHPVARSSFW -KHWISTDDGHCGLPMVSSYDGYVVGLHSLTNTRNSENYYTAFDDDFLNEYLLTPSNVEWVKNWKYNPSTV -LWGSLKLTQDTPSGMFKTTKMIEDLFAYQENLVRE - ->YP_003725726.1 NIa-Pro [Panax virus Y] -GESLFKGVRDYNPIASTICLLTNESDGHSERLFGIGYGCMIITNQHLFERNNGTLRIQSHHGEYIVPNTT -ALQMYPCSGRDVVLIRLPKDFPTFPQRLKFREPRNNERVCMVSSNFQNKSTSSTVSETSTIHPQEGSHFW -KHWISTKDGYCGLPLVSTSDGFIVGLHSLSNMSRTQNYFTDFPENFENTYLKTSENHNWVRHWKYNADNV -GYGSLKLHESTPDGLFRPVKMVRELGDEAVFNQ - ->YP_003582552.1 nuclear inclusion A [Sunflower chlorotic mottle virus] -SKSLMKGLRDYNPIAQTICKLKVTSEFGTSEMYGIGFGAYIISNHHLFKSFNGTLEVRSHHGTFKVNNMM -ALQVKPIAGRDIIIIKMPKDFPVFPQKLHFRSPKNNERMCIVGTNFQEKSASSTITETSATYMVPRSSFW -KHWIATDDGHCGLPVVSTIDGKIIGIHSLANNANSENYYAAFDDDFEEKFLRTSEHTDWVKNWRYNPDTV -VWGPLKLTESTPKGLFKPTKILEDLFEYNSVREQ - ->YP_003208058.1 NIa-Pro [Canna yellow streak virus] -GVATLQGIANYNPISNNICILRNESDGRTDEMHGIGHGPYVIAPSHFFTRNNGSLSIRSVRGLYKIENTC -NLRIMPVENRDIVIIRLPKDHPPFTHSIQFEEPCKDDKVVMLGMNFQNGRNIQEFSDSSIIVQQNESFWK -HWIATKAGYCGLPLVSTRTKAVVGIHSLRATDDSVNYFTSVNRHLKDALQLNIDTLKWIKGWKYNTKLLS -WDGMHLKTSKPSAAFNTIKEVRVVNENMHNVSE - ->YP_002539449.1 NIa [Pepper veinal mottle virus] -AKSLNKGLRDHNQISKVVCKLENESDSYVTSIHGVGFGSVIITNRHLMKRNNGQLRVKTAHGDFKIANTK -EMRIHPVDKHDLILVRLPKDFPPFPTKIKFREPKLTDSICLIGSNFQERFLSSLVSASSETSPVENSKFW -RHWIDTKDGHCGLPLVSTNDGAIVGFHSLTSMNTDQNYFASVPSDLAQMIKDFETLEWRKCWVYNPNEIG -WGSLKLQQDKPGGMFKVDKLIEDLQSTFVQEQ - ->YP_002308562.1 NIa protease [Narcissus yellow stripe virus] -SVSLFKGLRDYNPISSNVCKLTNKSDGHEDTLYGIGYGPLILTNRHLFERNNGELLIQTRNGEFLIRNTT -QINLFPIPDRDLILLRLPKDVPRFPQKLTFRSPVNNERICMVGSNFQAKSISSLISESSTTMQVKGSNFW -KHWISTKDGQCGTPIVSTRDGAILGLHSLSNFANSINYFSSFPNEFTERYLDTHENHEWAKHWKYNTSSI -SWGSLNIKAAQPKGMFNTTKLVMNLDDTAVYSQ - ->YP_001936194.1 protease [Algerian watermelon mosaic virus] -GKSLFQSMRNYNGISSAICRLKNTTGNGTSLFGIGYDSYIITNRHLFKENNGALEVQSNHGKFVVRNSTT -LKIAPVGKTDIVIIRMPKDFPPFPSKLRFRSPEAADKVCLVGVDFQEKYIASKVSESSHISDNFGGSFGR -HWISTKDGDCGLPLVSISDGFILGLHSLSSAGNVANYFALIPANFEDNYIQKLSELEWSSHWKYNVNEIC -WGPLNIHASKPEFPFTTVKSVHPLEVYEQ - ->YP_001936185.1 NIa [Verbena virus Y] -SKSLLRGLRDYNPIAQAVCKLTVSNDVGSCSLFGIGFGAYIITNHHLFKSFNGSMEVRSHHGLFRVPNLK -TLLVKPIKGRDMIIIKMPKDFPVFPQRLHFREPQESERVCIVGSNFQEKSISSMVTETSATRLIPRSTFW -KHWIATDKGHCGLPVVSTLDGMLVGIHSLANNNSSENYYAAFDENFEQDHLRTQEHSDWVKDWKYNPDTV -LWGPLKLTSDKPTGMFKTTKILEDLRAFNLNEVQEQ - ->YP_001816853.1 NIa-Pro protein [Wild tomato mosaic virus] -AHSLHRGLRDYNNISKIVCKIENNSDAVSTAIHGVGFGSVIISNRHLFKRNNGELKVKSTHGDFKVVNTK -ELKIHPIDKYDIVLIRLPKDFPPFPTKAKFRKPTLTDSICLIGTNFQEKFLSSLVSSFSSTGPVENSNFW -RHWIDTKDGHCGLPLVAQEDGAIVGFHSLTSTSSDKNYFAAVPENMHEILKSVESLEWRKGWLYNPNEIG -WGSLKLTSDTPNGMFKVSKLVEDLHSTFVQEQ - ->YP_001718529.1 NIa-Pro protein [Banana bract mosaic virus] -GKSLCRGPRNYDNIAQSICSLTNTANSSGVHGLGYGSYIITNSHLFQENNGSLTIRSKRGLHTIPDTTTI -SIAKVGLCDIVILKLPKDVPPFPQKLRFRAPTEGERVIMIGTLYQTNSTHTTVSETSVTYHKEGGCFWKH -WIDTKKGDCGLPMVSTKDGFILGIHSLSHLEQEENYFSAVPLDFENEFIQKLDNLEWNKHWKLNTDMIAW -GDLRLRESKPEGLFKPVKELFDLITKNNSVEFQ - ->YP_001552428.1 NIa-Pro protease [Moroccan watermelon mosaic virus] -GKSLYQGMKNYNGISSVVCHLTNTSGAGSSLYGIGYNSYILTNRHLFRQNNGPLIVQSSHGRFVVKNTLT -LKVAPVGKTDIVIIRMPKDFPPFHSRLRFREPHKADRVCLVGAEFQEKYIASKVSEASQIVDDFGGTFGR -HWISTNDGDCGLPLVSVQDGFIIGLHSLSSTANIANYFAMIPENFEDTCIKKLDALKWDSHWRYNPNEIS -WGSLIIHESKPEEPFRIVKEIHGLQVYEQ - ->YP_001552419.1 NIa-Pro [Tobacco vein banding mosaic virus] -AKSLNKGLRDYNSVAKCICLLENDSDGSSISIHGIGFGPLIITNRHLFKRNNGTLIVKSLHGEFKVVNSA -SIRVFPVENCDILILRMPKDFPPFPSKLKFRAPKTSDVVCLVGSNFQEKYTSSMVSSSSNISHVANSSFW -RHWIDTQSGHCGLPLVSLSDGYLIGIHSLMSVHSEHNMFTGFPETFSECIAKTDNIVWARGWKYNPSEIS -WGNLKLKTSAPAGLFKTSKLIEDLHREMVEEQ - ->YP_001019195.1 nuclear inclusion A protease [Narcissus degeneration virus] -NKSSCRGLRNYSPIARVVCGLKLSSDGEVNTQYGIGFGSYMIANQHLFKRNNGTLEIKSAHGTFTIANST -QIQVIPIAERDLIIMKLPKDCPPFPTKLNFRMPDDKERVCLVGAEYTGKTVYTSVSESSYTYPEKGTHFW -KYWVSTRNGQCGLPVVSTKDGAIVGIHSLCHMDKEENFYTSFPSDFDATLVELCDREWNRNWKFNIDNIA -WGSMKIIRDKPGSMFRTLKEVSNVETLVGMQ - ->YP_611118.1 NIa protein [Daphne mosaic virus] -GKTAMKGLRDYNGIASCVCVVNNFSEGGSSEMHAIGYGSYLITNGHFFRRTNGHMTITSHHGEFKVHNIR -QLKIFGIPKKDMALIRLPKDFPPFPMKLRFREPIAGENVVLVGNNFQEKYISSMVSESCHTYPRDNGGFW -KHYISTKQGSCGQPLVSVEDGFIVGIHALHSNESSVNYYTNIIDGFEKNILSKLVELKWEMNWAYNPNNV -CWGSLNIPEQAPVALFRPEKIARSIHGELVIEQ - ->YP_529497.1 NIa-Pro [Konjac mosaic virus] -GNSLFKGLRDYNPIASSICKLTNDSLHTKTTLYGLGYGPFIITMQHLFAENNGVLRVQSRHGEFIVPNTT -TLKMFPCGKRDVLIIQMPKDFPPYPRKLVFRTPMTGEKVCMVGSNFQTKSISSVVSETSPIFPRENCSFW -KHLISTKDGDCGLPLVSITDGAILGLHSLTNTDGTANYFTDFPPDFKQLVLDSQEAIQWTKAWSYNANTV -CYGPMNIVNKPPSGMFKPVKLVSDLGVEAVYAQ - ->YP_331421.1 NIa protease [Shallot yellow stripe virus] -AKSLCSGPRNYTAIAGIICRLKLDSDGHTREIYGIGYGPYVITNQHLFTRNNGTLKIKSQHGNFLIKNTA -QLQLYPIDKMDLVIIKLPKDHPPFSQKANFRTPKEHEKVSMVCVEFLPSSNTPSVSEPSFTFPERNSHFW -KHWISTKEGHCGLPFVSLQDGNIVGIHSLSDNGNAVNYFTGFPENFKEEYLDRAGDVEWVKGWIHNTDNI -AWGSLNLTKGAPESCFKATKLISDIINGVAFQ - ->YP_254721.1 NIa protease [Thunberg fritillary mosaic virus] -SASNFHGLRDYNPIAMTVCSLQNTSDGVVATLFGIGYGSVIIANQHLFRCNNGTLCVKSHHGEFKVANTT -ELQLFPVNGRDIILIKLPKDFPPFPRKLKFRCVEKGERVCLVGSNFQTRSISSTVSETSVTAPSPSEAFT -KHWITTKDGQCGLPIVSTKDGKIIGLHSLSSTVSSTNMFTNIPSEFEEKVLMCIDSLEWTKKWRLNVDKA -NWGAVNIKDDLASGLFKLSKDISSIHDSEWNFQ - ->YP_063393.1 NIa-Pro [Hordeum mosaic virus] -SKSHLAGVRDYSFVSKAICSLEYYYDNMVRCLYGLCYDNYIIANAHLIPKPNGWLKIKTKRGVFTVNSMS -KLRIKEIVGSDLIVITCPKDMPPAPSRLQFRNPRRGEKVVMVSVTSSDASGNTMVSESSITSHKPNTNFW -IHWISTKNGHCGLPIVSVEDQCILGLHSLGSVHVKDNYYAAFGDDFGTENLSKTSTGDWASRWSYNPDNV -NWGTMDLTRSKPTGSFKPTKEVSDLETDVEHQ - ->YP_054399.1 NIa-Pro [Agropyron mosaic virus] -SKSHLCGVRDYTFVSKVICSLEYYFDDMVRCLYGICYDTFIIANAHLIPKPNGWLKIKTKHGIFTVQNMQ -KLKIKEIKGTDLIVITCPKDMQPAPCRLKFRAPRKGEKVVMISTTSNDSSGVPMVSEASVTTHKPNTNFW -IHWISTKRGHCGLPIVSLDDQCILGLHSLGSVHVKDNYYAIFGDNFVSENLLNTSPGDWMSRWSYNPDNI -DWGTMDLKMSKPGGSFKTTKDITDIDTDVEHQ - ->YP_022761.1 NIa-Pro protein [Yam mosaic virus] -GKSMLAGLRDYNPIATAICMICNDSEGYQTKLFGIGFGSFLIVNQHLFKRNNGSLLTKTHHGEFLVKNSA -TIKISPCEGRDIALLRLPKDCPPFSQKLNFRAPEEGEKVCMVGSNFQEKSITSTVSEASITRSLPNSHFW -QYWITTKEGYCGLPVVALKDGAIVGVHSLTNNNTAVNYFTDLPSNFKDSYLKAPEALTWVQHWRYNPVNI -AWGNLKLVSDTPDEPFKVAKLVTDLKTELVKAQ - ->NP_982342.1 nuclear inclusion protein A [Chilli veinal mottle virus] -ARSLNRGLRDHNQVSKLICKLENDSDDCVTSIHGVGFGSIIITNRHLLKRNNGTLRVKTAHGDFKVANTK -EMKVFPVEKHDILLIRLPKDFPPFPVKSKFREPKVNDSICLVGTNFQEKFLSSLISADSTTSPVSGSKFW -RHWIDTKDGHCGLPLVARDDGAIVGFHSLTSINTEQNYFAAVPEAFMELIAQVETLEWRKSWVYNPNEIG -WGSLKLKSDQPTGMFKIEKLIEDIQSAFVREQA - ->NP_945143.1 NIa-Pro [Lily mottle virus] -NKSTFHGLRDYNPIATCVCRLEHNSDGHTSSLYGIGYGSYIITNQHLFIRNNGTINIESHHGTYHIRNST -QLQLYPIEGRDIVIIQLPKDFPPFARRLKFRHPTTTDKVCLVGTNFQEKTTTSTVSEASLITRKDDSHFF -RHWISAKDGQCGLPAVSTKDGCVLGLHSLTSLVNDSNFFIAFPDDFEKNYLERANELNWVKHWKLNVDKI -CWGALSLEKDKPSNMFKLSKDIQRLDMEPVGLQ - ->NP_871745.1 NIa-Pro protein [Onion yellow dwarf virus] -AKSACCGPRNYNAISSVICHLELKSDGNERKTFGIGYGPYIIANQHLFTRNNGTLKIKSQHGEFIIKNTC -QLQLKPIDGIDVVLIKLPKDHPPFSSKLKFREPEEREKVCLVSVEFNPSITSALMSETSFTYNEANTRFW -KHWITTKEGHCGLPIVSTKDGCVLGIHSLSDQKNSVNYFTTFPSNFQETYLSPTNVIEWAKGWKHNTDNI -AWGSLKIQEDAPETLFKTTKLISDLINSVTFQ - ->NP_871735.1 NIa-Pro [Papaya leaf distortion mosaic virus] -GASSVKGLRDYNGVASAICQLTNNSNGRSTTTYGVGFGSYIIVNRHLFKENNGNLLIKSTHGNFNIRNSK -QIKVVGVEDRDIAILQMPKDFPPFAQRLRFRNPIVGESICLVGNTFQEKYNASIVSETSKTFPRVEGSFW -KHWINTTEGHCGLPLVSVTDGFIVGIHSLMSHKYDHNYFSNFDDAFEGDYINKLKELKWEQNWTYNVNTV -SWGNMKLQDSAPCKEFKTTKLISDLCTEPVCAQ - ->NP_818992.1 NIa-Pro protein [Peru tomato mosaic virus] -AASLLKGLRDYNPIAQTICLLTVETECGRTSTHGLGFGSLLIANHHLFKSFNGTLTIRSHHGTFRVPNLM -SLQVQPLKGKDIIVIKMPKDFPVFPQRLKFRAPTQQDRVVLVGSNFQEKYISTTLSETSSTFPVQRSTFW -KHWISTDDGNCGFPIVSTMDGCVLGLHSLANNRNSENYFAAFDDDFEAGFLRTDENTQWVRNWKYNPDNV -LWGSLKLTKSTPTGMFKTTKMIEDLIATKESEVHEQ - ->NP_818993.1 NIa-Pro protein [Wild potato mosaic virus] -AKSLLKGLRDYNSTAQTVCLLTVETETGKTTTHGIGFGSLLVANHHLFKSFNGTLTVRSHHGIFKVQNLM -QLQVQPLKGRDLIVIKLPKDFPVFPQKLHFRAPTQQDRVVLVGSNFQEKYISTTLSETSSTFPVQRSSFW -KHWISTNDGNCGLPLVSTVDGYILGLHSLANNRNSENYFAAFDDEFESKYLRTEEHAQWTRNWKYNPDNV -LWGSLKLTKSTPDGMFKTTKMIEDLLAFEDNDVREQ - ->NP_734396.1 NIa-Pro protein [Cocksfoot streak virus] -TKSIVTGLRCYDPIAKNICKIVFTSDGTISDGYGIGYGSILIVNQHLFKRNNGSIKLHSCRGTYTIPNST -VLKISPVKDRDIALVRLPQDFVPFPQKLQFSKPDTSMRICLVGAQFQTNHVTTEVSDASQTFPQPNSGFW -KHWISTQEGHCGLPLVDVNSRVIVGIHSLGHDVVAVNYMTSIPENFKEDYLEKLDELEWTKKWRYNTEAI -SWGGLKLSSEQPSGFFKPTKAVEPLPQFVSMQ - ->NP_734130.1 NIa-Pro protein [Scallion mosaic virus] -STSLYKGLRDYNPIASNICHLTNNSDGHSDSLFGIGYGPLVITNRHLFERNNGELLIKTRHGDFTIKNTT -QLNLLPIPDRDILLIRLPKDIPPFTQRLVFRVPRQNERICLVGSNFQAKSVSSLVSETSTITKINDSHFW -KHWISTKDGQCGTPIVGTQDGAVLGLHSLANFSNSVNYFAGFPEDFQTKYLETLENHEWVKHWKYNTAHI -SWGALNIKASQPQHPFKTSKLIMDLDDTAVYAQ - ->NP_734100.1 NIa-Pro protein [Leek yellow stripe virus] -GKSLLSGLRDYNPVAACVCKITNESDGVITHIFGIGYGPFIITNQHLFRRNNGLLRIYTHAGEYLINNAC -TLKMHPIPERDIIIIRLPKDFTPFPQRLRFRPTRVGEHVCLVSSNFQTKSISSVVSETSATAGTANKNFF -KHWITTKHGQCGNPLVSVTDGCVVGIHSMASTVSSMNMYVGFPENFVDDYLSNDLLEWTKGWKLSADRSC -WDGITLVDSKAEGLFKLTKEMFTLDDGQWEFQ - ->NP_734230.1 NIa-Pro protein [Japanese yam mosaic virus] -SASLHRGLRDYNPISNNICKLINRSEGERDTMYGLGFGPVIITNRHLFEHNGGELDIKTRHGDFLITNMT -KLQLYPVPNRDLILIRLPKDIPPFPQKLQFRQPERNEKICMVGSNFQAKSVTNTVSETSIILPMDDCHFW -KHWITTKDGQCGLPLVSTRDGNIVGIHSLGSFNNTINYFASFPENFVNQYLLTPENHQWIQHWKYNTDNI -SWGALKISNEAPTGLFKTTKLIGDLESLFVRQQ - ->NP_734326.1 NIa-Pro protein [Ryegrass mosaic virus] -SKSIAKGLRDYHPVSSNLCALEYYCGDMRTSIYGVCYGPYILTTAHLIKEKGGWLKIRTKHGLFKLEAMD -RVQIRELCGSDIIVIKGPKDMPPAPMRLKFRAPKSGERAVLVGFVDDNLDRQLVSDSSAVYRRENTGFWK -HWITTKYGNCGLPMVSVDTMDIIGLHSLGAQNSNENYFAALTDDFSKQFFEPETDVPWQRKWSYNADKVN -YGTMDLTSNQPSGAFKTTKLLEDLLEAVSHQ - ->NP_734376.1 NIa-Pro protein [Potato virus V] -AKSLLKGLRDYNPIAQAVCLLTVETELGKTSTHGIGFGSLLIVNHHLFKSYNGTLIVRSHHGTFKVSNMM -KLQVQPLKGRDLIVVKMPKDFPVFPQRLHFRAPTQQDRVVLVGSNFQERYISTTLSETSATFPVQRSSFW -KHWISTDDGNCGLPMVSTADGCIVGIHTLANNQNSENYFAAFDDDFEAKFLRTDDHAQWVLNWKYHPDNV -LWGSLKLVKSTPTGMFKTTKMIEDLFAFEEDEVREQ - ->NP_734090.1 NIa-Pro protein [Sorghum mosaic virus] -SKSMMVGLSDYTPISNQLCIIENHSNDVRQCMYAIGYGSYLITPAHLFKYNNGEITIRSTRGLYKMRNSI -QVKIHPIECRDMTIIQLPKDFPPFPQRLKFEMPNRDHRVCLVGVNFQQNFSSCVVSESSVVAPKGNCTFW -KHWISTTDGQCGLPLVDVINKSIVGIHSLAATNSKTNFFVTIPDNFEAYLHNLVTMNKWEMGWHYNPNLI -AWNGLNLVDSAPSGKFKTAKLVKDIMCEVTEQ - ->NP_734405.1 NIa-Pro protein [Johnsongrass mosaic virus] -GTSAIHGVANYNPISDNICLLKNDSDGKNIELYGIGFGPYVIAPGHLFESNNGSLHIRSTRGLYKIPNTQ -ALKISAIEGRDIILIRLPKDHPPFTRSIKFSEPDKYDKVIMLRMNFQQNKSIVEFSESSIIAQQSASFWK -HWISTKAGYCGLPLVNTRTKEDVGIHSLKATNNSVNYFTPVNADLIGKLALDIETIQWTKGWKHNMHLLA -WDGLHLRNSKPSQAFNTAKEIEVINERISNE - ->NP_734316.1 NIa-Pro protein [Sweet potato feathery mottle virus] -SKSLFRGLRDYNPIASVICHLVNEADGRTSDCFGIGYGGLIVTNRHLFKRNNGTLTIRSRHGEFVIKNTT -QLGMKPCADRDILIIRMPKDIPPFPQRLKFRVPKENERICLVGSNFQDKSITSTISETSVTCHVPNSHFW -KHWIDTKDGHCGLPLVSTTDGALLGVHSLSNLTNTQNFFASFPENFEVDYLKTPEAMDWIKKWSYNPDEI -CWGTLELKTGQPIAPFKVSKLITDLEGIQVYAQ - ->NP_734220.1 NIa-Pro protein [Turnip mosaic virus] -SNSMFRGLRDYNPISNNICHLTNVSDGASNSLYGVGFGPLILTNRHLFERNNGELVIKSRHGEFVIKNTT -QLHLLPIPDRDLLLIRLPKDVPPFPQKLGFRQPEKGERICMVGSNFQTKSITSIVSETSTIMPVENSQFW -KHWISTKDGQCGSPMVSTKDGKILGLHSLANFQNSINYFAAFPDDFAEKYLHTIEAHEWVKHWKYNTSAI -SWGSLNIQASQPSGLFKVSKLISDLDSTAVYAQ - ->NP_734334.1 NIa-Pro protein [Tobacco vein mottling virus] -SKALLKGVRDFNPISACVWLLENSSDGHSERLFGIGFGPYIIANQHLFRRNNGELTIKTMHGEFKVKNST -QLQMKPVEGRDIIVIKMAKDFPPFPQKLKFRQPTIKDRVCMVSTNFQQKSVSSLVSESSHIVHKEDTSFW -QHWITTKDGQCGSPLVSIIDGNILGIHSLTHTTNGSNYFVEFPEKFVATYLDAADGWCKNWKFNADKISW -GSFTLVEDAPEDDFMAKKTVAAIMDDLVRTQ - ->NP_734212.1 NIa-Pro protein [Tobacco etch virus] -GESLFKGPRDYNPISSTICHLTNESDGHTTSLYGIGFGPFIITNKHLFRRNNGTLLVQSLHGVFKVKNTT -TLQQHLIDGRDMIIIRMPKDFPPFPQKLKFREPQREERICLVTTNFQTKSMSSMVSDTSCTFPSSDGIFW -KHWIQTKDGQCGSPLVSTRDGFIVGIHSASNFTNTNNYFTSVPKNFMELLTNQEAQQWVSGWRLNADSVL -WGGHKVFMSKPEEPFQPVKEATQLMNELVYSQ - ->NP_734140.1 NIa-Pro protein [Sugarcane mosaic virus] -SKSMMAGLGDYTPISQQLCLVQNDSDGIKRNVYSIGYGSYLIAPAHLFKYNNGEITIKSSRGLYKIRNSV -EIKLHPIAHRDMVIIQLPKDFPPFPMRLKFSKPSRESRVCLVGVNFQQNYSTCIVSESSVTAPKGNGDFW -KHWISTIDGQCGLPLVDVKSKHIVGIHSLASTSGNTNFFVAMPEDFNDYINNLVQTNKWEKGWHYNPNLI -SWCGLNLVDSAPKGLFKTSKLVEDLDMSVEEQ - ->NP_734248.1 NIa-Pro protein [Potato virus Y] -AKSLMRGLRDFNPIAQTVCRLKVSVEYGASEMYGFGFGAYIVANHHLFRSYNGSMEVQSMHGTFRVKNLH -SLSVLPIKGRDIILIKMPKDFPVFPQKLHFRAPTQNERICLVGTNFQEKYASSIITETSTTYNIPGSTFW -KHWIETDNGHCGLPVVSTADGCIVGIHSLANNAHTTNYYSAFDEDFESKYLRTNEHNEWVKSWVYNPDTV -LWGPLKLKDSTPKGLFKTTKLVQDLIDHDVVVEQ - ->NP_734366.1 NIa-Pro protein [Potato virus A] -STSMFRGVRDYNPISSVICQLENESEGRTTQLFGLGFGPFIITNQHLFVRNNGSLTVRSQMGVFKVNSTV -ALQMRPVEGRDVLIIKMPKDFPPFPQRLKFRQPTHSEKVCLILTNFQQKSSSSMVSETSHIIPKENTYFW -KHWISTKEGHCGSPIVSTTDGAILGIHSLSNMTNTSNYFACFPKGFTETYLATESVHEWVKGWKFNANNV -CWGSFHLQDSKPTKEFKTVKLVTDLLGEAVYTQ - ->NP_734346.1 NIa-Pro protein [Plum pox virus] -SKSLFRGLRDYNPIASSICQLNNSSGARQSEMFGLGFGGLIVTNQHLFKRNDGELTIRSHHGEFVVKDTK -TLKLLPCKGRDIVIIRLPKDFPPFPRRLQFRTPTTEDRVCLIGSNFQTKSISSTMSETSATYPVDNSHFW -KHWISTKDGHCGLPIVSTRDGSILGLHSLANSTNTQNFYAAFPDNFETTYLSNQDNDNWIKQWRYNPDEV -CWGSLQLKRDIPQSPFTICKLLTDLDGEFVYTQ - ->NP_734438.1 NIa-Pro protein [Pepper mottle virus] -AKTLMRGLRDYNPIAQTVCKLTVKSELGETSTYGLGFGGLIIANHHLFKSFNGSLEVKSHHGVFRVPNLM -AISVLPLKGRDMIIIKMPKDFPVFPQRLKFREPASTDRVCLIGSNFQERYISTTVSEISATHPVPRSTFW -KHWISTDDGHCGLPIVSTTDGFILGLHSLANNRNSENYYTAFDSDFEMKILRSGENTEWVKNWKYNPDTV -LWGPLQLTKGTPSGMFKTTKMIEDLLAFKSESVREQ - ->NP_734426.1 NIa-Pro protein [Pea seed-borne mosaic virus] -AASLHFGLRDYNPIAQAVCRITNTGVDYDRSIFGIGFGQFLITNAHCFKLNEGETRIVSRHGQFTIEKTH -SLPIHQVKDKDMVIVRLPKDFPPFPQRLQFRAPQEREKICLVGSNFQEKSIQSVITESCMTFKHNGGKYW -KHWITTKEGHCGLPAVALKDGHIVGIHNLGGENTNINYFTPFDADILDKYLLNAEALQWTKGWKYNKNKV -CWGGLELLDDNEPEESGLFRMVKLLKSLEEDGVRTQ - ->NP_734240.1 NIa-Pro protein [Papaya ringspot virus] -GKSLCQGMRNYNGIASVVCHLKNTSGKGKSLFGIGYNSFIITNRHLFKENNGELIVKSQHGKFIVKNTTT -LQIAPVGKTDLLIIRMPKDFPPFHSRARFRAMKAGDKVCMIGVDYQENHIASKVSETSIISEGTGDFGCH -WISTNDGDCGNPLVSVSDGFIVGLHSLSTSTGDQNFFAKIPAQFEEKVLRKIDDLTWSKHWSYNINELSW -GALKVWESRPEAIFNAQKEVNQLNVFEQ - ->NP_734150.1 NIa-Pro (Nuclear inclusion protein, protease) [Maize dwarf mosaic virus] -AKSMLTGLVDYTPIANQIGIIENHSDDVRLCMYAIGYGSYLITPAHLFKASNGELTFRSSRGVYKMRNSV -EVKLHHVKGRDLVIIQLPKDFPPFPQKLKFQAPNRENKVCLVGVNFQQNHSSCVVSESSTIAPKGNNTFW -SHWISTTGGQCGLPLVDMKTRSIVGVHSLASVNANVNFFVAMPEDFNTYLSELVSKNEWEKGWQYNPNLI -SWSGLNLVSSAPKGAFKTAKLVEDLSFDVTEQ - ->NP_734160.1 NIa-Pro protein [Lettuce mosaic virus] -SKTLFRGLRDYNPIASAICLLTNESDGMKETMYGIGFGNTIITNQHLFRRNNGVLRVQSRHGEYVLPNTT -QLKVLPCEGRDIMVIILTPDFPPFPQKLKFRPPIKGEKICLVGSLFQDKSITSTVSETSVTTPVDNSFLW -KHWITTKDGHCGLPLVSSNDGYIVGIHSATSSRQTQNYHAAMPEDFHQTHLIDPVSKSWVKHWKYNPDNM -VWGGINLINSTPREPFKINKLVTDLFGDAVQFQ - ->NP_734170.1 NIa-Pro protein [Clover yellow vein virus] -SLNRISGLRDYNPISQNVCLLTNESEGHREKMFGIGYGSVIITNQHLFRRNNGELSIQSKHGYFRCRNTT -SLKMLPLEGHDILLIQLPRDFPVFPQKIRFREPRVDDKIVLVSTNFQEKSSSSTVSESSNISRVQSANFY -KHWISTVAGHCGNPMVSTKDGFIVGIHSLASLTGDVNIFTSFPPQFENKYLQKLSEHTWCSGWKLNLGKI -SWGGINIVEDAPEEPFITSKMASLLSDLNCSFQ - ->NP_734180.1 NIa-Pro protein [Bean yellow mosaic virus] -SLSKIGGLRDYNPIAANVCLLENESAEYCDEIYGIGYGNVIITNQHLFRHNNGELTIKSKHGTFKCKNTC -ALKLLPIEGHDLLLIQMPKDFPVFPQKLRFREPTHEDKIVLVSTNFQEKSFSSVVSESSNISRVKQANFF -KHWISTVAGQCGNPMVSTKDGFIVGIHSLTAISGDLNVFTSIPPNFEDEVLKQMSKKNWCCGWKLNTSQI -GWDGIKIVDDQPKDPFPVSKMVGLLNDLQLSFQ - diff --git a/seq/clusters_seq/cluster_160 b/seq/clusters_seq/cluster_160 deleted file mode 100644 index 5f84165..0000000 --- a/seq/clusters_seq/cluster_160 +++ /dev/null @@ -1,550 +0,0 @@ ->YP_009551540.1 VP1 protein [Bat rotavirus] -MGKYNSLLSEYLAFVYNSQSAVQIPIYYSSNNELEARCVEFHAKCVEYSKKGASLNVVFNEYKDVIENAT -LLSILSYSYDKYNAVERKLARYATGQALVADITVNELDYENNKLTSQLFPSQEEYTDSLMDPAILTSLSS -NLNAVMFWLDKHENDTDEAKKVYKRRLDLFTIVASTVNKYGVPRHNSKYRYEYDVMKDKPYYLVTWANSA -IEMLMSVFSHEDYLIAKELIILSYSNRSTLAKVVSSPMSILVALVDINGTFITNEELELEFSNKYVKAIV -PDQTYDELLDMINSMKKAGLIDIPRMIEDWLCEKSIEKFNLMAKIYSWSFHVGFRKQKMIDAALDQLKTE -YVEDVDNEMYHEYSTLIRNEIVKMLEESVKHDDHLLQESELAGLLSMSSASNGESRQLKFGKKTIFSTKK -NMHVMDDMKSGKYTPGVIPPVDVTKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAIVERMLQYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLSNMTNDSKILKTLNL -YKQTQINLMNSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRLANEYSFSTKIIRVDG -DDNYAVLQFNTEVTQSMVRDVSNKVRDTYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQNTQWDQAAVLYSNYIVNKLRGFNTDREFILVKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGVTDDEVYIQRAFMSLSSQKSGIADEISASETFKNYVSRLSEQLLVTRNNIVSRGIAMTEKAKLN -SYAPISLEKRRAQISALLSMLQKPVTYKSSKITINDILRDIKPYFVMTESHLPCQYPKFMPTLPDNVQYV -IQRIGSRTYQIEDDGSKSAISKLISKYSVYRPSIEELYKVISLHEKDIQMYLISLGVPKIDADTYVGSKI -YSQDKYKILQSYVYNLLSINYGCYQLFDFNSRELEGLIRIPFKGKVPSVTFILHLYAKLEIINYAIKNSA -WISVFCNFPKSEMVKLWKKMWNITSLRSPYTNANFFQD - ->YP_008145313.1 VP1 [Rotavirus F chicken/03V0568/DEU/2003] -MGTYDALLEEYIHFLYSDDSLSVIPIYYTSNVDIHQELSKYHTELIEYNKIKARTKKHDFNKLESIHAKY -NESISKSRRLSIVVYAYGKHDAVERKIVKYATKKPLIADLTANELDYENNKLTKDLYDEKSYTDYLMDPA -ISTSLTSNLNACMFTIETETNEKQRKRYYELFTIVASTLHHYGIPRHDSKYRYTYEQMRDKPYYIVPWAN -SAIEMLMSVNNHDDFVIARELITYSFSNRSTLAKIVSSPMSIMTALLDINGTFITDESLQLQYSSKMIKA -LVTDEAKKELEEMLQKMIDLGLVDIPNILLSWIENPRLDTFHRVANVYSWSFHVGYRKQLMQDAVQDQLS -VEYSENVDKTMYDEYYNYIKEDYIRMLKDDVIKSTTILKQHELAGLLSMSSASNGKKMEIKFGSKKLFTT -RKNMHVMSDVSSGNYNSSIVPPVDATSPIPLGRRDVPGRRTRVIFILPYEYFLAQHAIVELLLKQAKHTR -EFSEFYSSANQLLSYGDTMRELNPSTIIIYTDVSQWDGSQHNTQPFRKAIMAAMQDLQAYTTDQNVERAL -NKYYETQRQLMNSYVTIDDKIIQYGAVASGEKQTKIANSIANLALIKTVLNKLSAKYTFNIKLIRVDGDD -NYTVIESRSQVTQELLSNLSKDIKDTYALMNVKVKALVSTTGLEMAKRYIAGGRIYFRAGINILNNEKRN -QQTAWDQAAILYASYTVNKLRGFITNRTFILTKIMQMTSVKISGVLRIFPASDVLTLNSTYKVFDEVDYA -VNYKVDADSLMLQKLLIKVSQSRSKVADEISKSHIFSNYVKYISTMLLKIPNKTIEQGLAKTEKAKLNSY -PPISLEKRKTQLDTLIKTLQYPIIYVGGKTTLNDVVSVVDQYVEYKSIDPEKKYRNYSPMLPTDISEVIT -VIGSRLSSYEDHAGKSAIAKLISTYSVYRPSIEELYAIITKRENEIKLYMLSLSVPLQDVDAYIASQTYK -RDKYLILQSYVYDLLSINYGAYQLFDFESEFFSKYITYVAMSKVPSAEFLVGTYVKLKIINRYLTERKWY -SANITIPKHEYMKINKLTWEISSVRSPYTSANFFQE - ->YP_003896046.1 VP1 [Rotavirus D chicken/05V0049/DEU/2005] -MGTYNLLLQKYLRWLYGKDATVIPIFITNDQNNQEILNSIVDYAKQLAIDDKYDYKLIEDKLSKCNFDYE -IVSFFTYSDQKYDNVEQKLLKYVNKKKVLVADLTRNKLKYENNYITKDLYTEDDYTDTLMDPSINTSLRS -NLNAAMFVIENIEDKKIQSRYRHLFEYVASTVSHYGIPVHNQKYRYDYKEMYNKPYYLVPWANSSIEMIF -AVSSHELYLVAEELIINSFSNRSTLAKLTSSPMTTLTSKLDLYSCYMTTEDLELEYSDKIVKSYITDEAQ -LELDEQIAELRKEKMTKTADIIDEWQRNPSIDTFPLMAQIYSFSFHVGYRKQMMLDAVTDQLSIEYSDTF -DKDMYNMYTNNIIKIIDDMLRDHIKKEDKMLLNAEIAGLLSMSSASNGESRVIKFGRRTVRSTKKNMHVM -DDIFNKQYDLDVPDVNENNPIPLGRRDVPGRRTRVIFILPYPYFIAQHSVVELYLRESKHIKEFSEFYSQ -SSQLLSYGDTNRYLNQSTIIVYADVSQWDSSKHNTTPLRNAILKAIEQLKSYTTNEKVITALNNYALTQM -KLKNSFVTIGNKVLQYGAVASGEKQTKLMNSIANLALITTVINYLNVGESYRIKIIRVDGDDNYFIMEFD -RKVDKQLVTVVSNAVKDTYGRMDVKVKALTATTGLEMAKRYIAGGRLFFRAGVNILNNEKRTHTTTYDQA -AIIYANYLVNKMRGYNMERIFILCKIMQMTSVKITGSIRLFPASLMLTTNSPYKVFDDVDFVQTYNSSTI -AIHLQKMLISVSQVKSNLADDIAKSEKFTNYVQFLTKKLLVHNNKIVEMGIARTEKAKLNSYPPIANEKR -NNQLKTLMTFLQTPTYKMSTDVTINDMMKIINEYTNYTIITDKYGIQPNPMPLLPENIQFAMSHVGARVY -QIEESAARSAISKLISNYTVYKPSVDELYKVINSNERILFEYVTSFGVPTRDVTAYLSAKLYKKDRNLIL -ESYIYQIMSVNYNAYQLFNLNSELFDKYINIVTYMKIPSVNFIIFTYLKLVILNKMLQERKLYKAYCQIP -KHLLHILWKMSINLATISSPYTIANFFQE - ->YP_392464.1 structural protein VP1 [Rotavirus C] -MAQSIVVDGDYDALASRYLKFVYDFENVTYQNNYFATDKFKKDIEQYLKSIHDGEKITQSKIDEKEKILL -DRVPAEERCLISKLVFAYGKHGNVENKLVKYGVKDALSHAPQKDAKPYENNIITSEIFKEKSEYTDIYMD -PSINTSCQSNCQAMMFTISEMKLNNIKNAARLEKLFTIIAATINKYGMPRHNTRYRYEWETMKNKPYHLA -AWINSSIEMIACVVDHHTYMIARELIVKSFTNRTSLAKLVSSPMTVLTAMLPIRGTFITTENLELEYSNK -SINYLISKEMAEDFMQAIKQLRDEGLEYIPDYYEKWFKSPDPLTFPNIALIYSFSFHVGYRKQALSDAVY -DQITVTYSDNVNMEMYKEYSERIENEIFTILKDKIIHEDKRLEEYELSALLSMSSASNGILREINFGGQK -VRSTKKNMHVIDDIYHKKYTTDIPPVDARNPIPLGRRDVPGRRTRAIFILPYQYFIAQHSFAEIMLNYAK -REREYSEFYSQANQVLSYGDVTRYLDSNSILCFTDVSQWDASQHNTKVLRRSIIRAMKRLKQLTHNINIH -KAINIYIQSQENLENSYVLIDKKAIQYGATASGEKQTKIMNSIANKALIQTVLGKLMTDYTFDVKMIRVD -GDDNYAIVRFPIAITEKLLSEFTSKLRSYYSEMNVKVKALASLTGCEIAKRYVAGGMLFFRAGVNILHHE -KRNQDSAYDMAATLYANYIVNALRGLTMSRTFILTKICQMTSIKITGTLRLFPMKSILALNSAFKVFDEV -DYVINYPISNLFIQLQRKLSSIKAKSKIADNIAKSPQFKSYVELLNKSLTTDENPIVSDGIRLTEKAKLN -SYAPIALEKRRDQFSIMVSFLQNPTTFKSETVVTINDVLYFISGFIKIDSSTVLPKEENNTMPLLPAIIK -RTLSYFGLRTHDYDIKGSSSTVSKIIKQYSVYTPGIEELYEIVNKSVDTIRGYFASFNVPKADVDTYIST -QMYKHDRFKILQAYIYNLLSVNYGMYQLVDLNSARFFDHVIHTPMAKTPTAVFMIDLALRLKIINHCIEK -GEIITVSVHANKTDYLKLWRMLWNVKTMNSPYSKNSMFDE - ->sp|P26190.1|RDRP_ROTPC RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MDYDTLASKYLKFVYDFEDVTYQNNYFVTDEYKSDLEQYLKSIHDGEKISQSKIDSMETALLTKVPKEKR -CLISKLVFAYGKHGNVENKLLKYGTKDALTHAIQKDVKPYENNIITSEIFKDESEYTDTYMDPAINTSCQ -SNCQAMMFTISEMKLTDIKNATRLEKLFTIVAATINKYGMPRHNIRYRYEWETMKDKPYHLAAWINSSIE -MIACVVDHHTYMIARELIVKSFTNRTSLAKLVSSPMTVLTAMLPIRGTVITTENLELEYSSKSVNYLISE -EMAEDFMKAIEQLRDEGLEIYQDYYEKWFKSPDPLTFPNIALIYSFSFHVGYRKQALSDAVYDQITVTYD -DNVNMEMYKEYSERIENEIFTILKDKVVHEDKRLEEYELSALLSMSSASNGVLREIDFGGQKVRSTKKNM -HVIDDMYHKRYTTDIPPVDERNPIPLGRRDVPGRRTRAIFILPYQYFIAQHSFAEMMLKYAKREREYSEF -YSQANQVLSYGDVTRYLDSNSILCFTDVSQWDASQHNTRVLRRSIIRAMERLKQLTHNTNIHKAIDIYIQ -SQKNLENSYVLIDKKAIQYGATASGEKQTKIMNSIANKALIQTVLGKLMTDYTFDVKMIRVDGDDNYAIV -RFPTAITEKLLSEFTSKLRSYYSEMNVKVKALASLTGCEIAKRYIAGGMLFFRAGVNILNHEKRNQDSAY -DMAATLYANYIVNALRGLTMSRTFILVKICQMTSIKITGTLRLFPMKSILALNSTFKVFDEVDYVINYPI -SELFIQLQRKLSSIKAKSKIADNIAKSPQFKSYVEFLNKSLTADENPIVSDGIKLTEKAKLNSYAPIALE -KRRDQFNMMVSFLQNPTTFKSETVVTINDVLYFISGFIKINSSVALPKEENNTMPLLPVTIKRTLNYFGL -RTHDYDIKGSSSTMSKIIKQYSVYTPGIEELYEVVNKSIDSIRGYFASFNVPKADVDTYISTQMYKHDRF -KILQAYIYNLLSVNYGMYQLVDLNSAKFFDHVIHTPMAKTPTAVFMIDLALRLKVINHCIEKGEVITVSV -HANKTDYLKLWRMLWNVKTMNSPYSKNSMFDE - ->sp|A7J3A6.1|RDRP_ROTHD RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELESRCIEFHSKCLENSKNGLSLKKLFSEYSDVIENAT -LLSILSYSYDKYNAVERKLVKYAKSKPLEADLTLNELDYENNKITSELFPTEEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDTAEKLKIYKRRLDLFSIVASTINKYGVPRHNAKYRYEYDVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLDNMRKAGLVDIPKMIQDWLVDCSIERFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTENVDDEMYREYTMLIRDEVVKMLEESVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNADKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFITKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEISASSTFKNYVSKLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILKDIKPFFTLSEAHLPMQYQKFMPNLPENVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|A7J3A2.1|RDRP_ROTYO RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNSELEKRCIDFHVKCVDYSKRGLSLKSLFEEYKDVIDDAT -LLSILSYSYDKYNAVERKLINYAKGKPLEADLTVNELDYENNKITSELFQSAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHSNDVADANKIYKRRLDLFIIVASTINKYGVPRHNEKYRYDYDVMKDKPYYLVTWANSA -IEMLMSVFSHEDYLIAKELIVLSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYVKAIV -PDQTFNELQEMIDNMKKAGLVDIPRMIQEWLIDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTDDVDNEMYYEYTMLIRDEIVKMLEIPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDIAHGRYTPGVIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLSYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLTNMTNDPKVVHTLNL -YKQTQINLMDSYVQIPDGDVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQEVSDDVRYIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIASSQTFKNYVSKLSDQLLVSKNTIVSKGIAVTEKAKLN -SYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTTEANLPIQYRKFMPTLPDNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREREIQLYLVSLGVPLIDASTYVGSRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINHAIKNRA -WISLFCNYPKSEMIKLWKKMWNITALRSPYTSANFFQD - ->sp|B1NKU1.1|RDRP_ROTWI RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNSELEKRCIEFHAKCVDSSKKGLSLKSLFEEYKDVIDNAT -LLSILSYSYDKYNAVERKLVNYAKGKPLEADLTANEIDYENNKITSELFQSAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHSNDVADANKIYKRRLDLFTIVASTINKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIILSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYIKAIV -PDQIFDELQEMIDNMRKAGLVDIPRMIQEWLVDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTEDVDGEMYNEYTMLIRDEIVKMLEVPVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDIAHGRYTPGVIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLSYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLSNMTNDPKVVQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQDVSNDVRYIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTNDEVYIQRAFMSLSSQKSGIADEIASSQTFKNYVNKLSDQLLISKNVIVSKGIAVTEKAKLN -SYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTSEANLPIQYRKFMPTLPNNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREQEIQLYLVSLGVPPVDASTYVGSRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNGA -WISLFCNYPKSEMIKLWKKMWNITALRSPYTSANFFQD - ->sp|B2BMF7.1|RDRP_ROTW3 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELENRCIEFHSKCLENSKNGLPLKKLFVEYSDVIENAT -LLSILSYSYDKYNAVERKLVKYAKGKPLEADLTVNELDYENNKITSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDAAEKLKIYKRRLDLFTIVASTVNKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLDNMRKAGLTDIPKMIQDWLADCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDIDDEMYREYTMLIRDEVVKMLKEPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGDVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFATKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASSTFKNYVSRLSEQLLFSKNNIVSKGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIKPFFTVSEAHLPIQYQKFMPTLPDNVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINHAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|B3F2X5.1|RDRP_ROTTU RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSDLEKRCIEFHSKCLENSKKGLSLKNIFVEYKDVVENAT -LLSILSYSYDKYNAVERKLVYYAKGKPLEADLSANKLDYENNKLTSDLFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHANDTAEKAKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVKAIV -PDQTFEELKEMLDNMKKAGLVDIPKMIQDWLVDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEEAVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGVIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLLYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDAKVIQTLHL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFVTKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRDTYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASQTFKNYVSKLSDQLLVSKNTIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSNKITINDILHDIKPYFVTSEANLPMQYQKFMPTLPNNVQYI -IQCIGSRTYQIEDDGSKSAISKLISKYSVYRPSIEELYKVISLHEQEIQLYLVSLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|B1NKT7.1|RDRP_ROTHT RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNSELEKRCIEFHAKCVDSSKKGMSLKPLFEEYKDVIDNAT -LLSLLSYSYDKYNAVERKLVNYAKGKPLEADLTANELDYENNKITSELFQSAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHSNDVADANKIYKRRLDLFTIVASTINKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIILSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYVKAIV -PDQIFDELQEMIDNMRKAGLVDIPKMIQEWLVDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTEDVDSEMYNEYTMLIRDEIVKMLEVPVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDIAHGRYTPGVIPSVSVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLSYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILSNMTNDPKVVQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQDVSNDVRYIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRTFMSLSNQKSGIADEIASSQTFKNYVNKLSDQLLVSKNVIVSKGIAVTEKAKLN -SYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTSEANLSIQYRKFMPTLPDNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREQEIQLYLVSLGVPPVDAGTYVGSRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNGT -WISLFCNYPKSEMIKLWKKMWNITALRSPYTNANFFQD - ->sp|A7J390.1|RDRP_ROTHS RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELENRCIEFHAKCLENSKNGLSLKKLFSEYSDVIQNAT -LLSILSYSYDKYNAVERKLVKYARSKPLEADLTVNELDYENNKITSELFPTEEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDTAEKLKIYKRRLDLFIIVASTVNKYGVPRHNAKYRYEYDVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLDNMRKAGLVDIPKMIQDWLIDCSIEKFSLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEESVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNADKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDAKVVQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFATKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEISASSTFKNYVSKLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSNKITINDILKDIKPFFTLSEAHLPMQYQKFMPTLPENVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|B1NKS9.1|RDRP_ROTHP RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLVLSEYLSFVYNSQSAVQIPIYYSSNSELEKRCIEFHAKCVDSSKKGLSLKPLFEEYKDVIDNAT -LLSILSYSYDKYNAVERKLVNYAKGKPLEADLTANELDYENNKITSELFQSAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHSNDVADANKIYKRRLDLFTIVASTVNKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIILSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYVKAIV -PDQIFDELQEMIDNMRKVGLVDIPKMIQEWLVDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTEDVDGEMYNEYTMLIRDEIVKMLEVPVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDIAHGRYTPGVIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLLYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLSNMTNDPKVAQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKMVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQDVSNDVRYIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIASSQTFKNYVNKLSDQLLVSKNVIVSKGIAVTEKAKLN -SYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTSEANLSIQYRKFMPTLPDNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREQEIQLYLVSLGVPPVDAGTYVGSRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNGA -WISLFCNYPKSEMIKLWKKMWNITALRSPYTSANFFQD - ->sp|A3DSK5.1|RDRP_ROTHL RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELESRCIEFHSKCLENSKNGLSLKKLFIEYNDVIENAT -LLSILSYSYDKYNAVERKLAKYARGKPLEADLTVNELDYENNKITSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDTAEKLKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYDVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFNELNQMLDNMRKAGLVDIPKMIQDWLTDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDEEMYREYTMLIRDEVVKMLEEPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDTRVIQTLNL -YKQTQINLMNSYVQIPDGNIIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFVTKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQNTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKIFDSED -FIIEYGTTDDEVYIQRAFMSLSSQRSGIADEIAASTTFKNYISKLSEQLLFSKNNIVSKGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIKPFFTLREAHLPIQYQKFMPTLPENVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|B1NKQ9.1|RDRP_ROTH7 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELESRCVEFHSKCLENSKNGLSLKKLFIEYNDVIENAT -LLSILSYSYDKYNAVERKLVKYARGKPLEADLTVNELDYENNKITSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDTAEKLKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYDVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLDNMRKAGLVDIPKMIQDWLTDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEESVKHDDHLLQDSELARLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPLVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFATKIIRVDG -DDNYAVLQFNTEVTKQMVQNVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFIMTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQRSGIADEIAASTTFKNYVSKLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLAMLQKPVTFRSSKITINDILRDIKPFFTISEAHLPIQYQKFMPTLPENVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|B2BMQ6.1|RDRP_ROTH6 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELESRCIEFHSKCLENSKNGLSLKKLFIEYNDVIENAT -LLSILSYSYDKYNAVERKLVKYARGKPLEADLTVNELDYENNKITSELFPTAGEYTDSLMDPAILTSLSS -NLNAVMFWLERHENDTAEKLKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYDVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLNNMRKAGLVDIPKMIQDWLTDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVIKMLEEPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFATKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFIMTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSGQRSGIADEIAASTTFKNYVSKLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIKPFFTISEAHLPIQYQKFMPTLPENVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITPLRSPYTNANFFQD - ->sp|A4ZCW2.1|RDRP_ROTH3 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSDLEKRCIEFHSKCLENSKKGLSLKNIFLEYKDVTENAT -LLSILSYSYDKYNAVERKLVHYAKGKPLEADLNANELDYENNKLTSDLFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHANDTAERAKIYKRRLDLFAIVASTVNKYGVPRHNAKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIILSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKEILDNMKKAGLVDIPKMIQDWLVDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEEAVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGKYTPGVIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDAKVIQTLHL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFVTKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRDTYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASQTFKNYVSKLSDQLLVSKNVIVSRGIALTEKAKLN -SYAPVSLEKRRAQISALLTMLQKPVTFKSNKITINDILHDIKPYFVTSEANLPIQYQKFMPTLPNNVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYRPSIEELYKVISLHEQEIQLYLVSLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|A7J398.1|RDRP_ROTBN RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELENRCIEFHSKCLENSKNGLSLKKLFAEYSDVIENAT -LLSILSYSYDKYNAVERKLVKYAKSKPLEADLTVNELDYENNKITSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDVAEKLKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLDNMRKAGLTDIPKMIQDWLVDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEEPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNVNKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFATKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASSTFKNYVSRLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIKPFFTVSEAHLSIQYQKFMPTLPDNVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYRPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINHAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|Q3ZK55.1|RDRP_ROT41 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELENRCIEFHSKCLENSKNGLSLKKLFTEYSDVIENAT -LLSILSYSYDKYNAVERKLVKYAKGKPLEADLTVNELDYENNKITSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDVAEKLKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYDYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMIDNMRKAGLTDIPKMIQDWLVDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEEPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGNIIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKHSFVTKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASSTFKNYVSKLSEQLLSSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIKPFFTVSEAYLPIQYQKFMPTLPDNVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLMSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->sp|Q9QNB3.1|RDRP_ROTHK RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNSELETRCIEFHAKCVDNSKKGLSLKPLFEEYKDVTDNAT -LLSILSYSYDKYNAVERKLVSYAKGKPLEADLTANELDYENNKITSELFQSAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHSNDIADANKIYKRRLDLFTIVASTINKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIVLSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYVKAIV -PDQTFDELQEMINNMKKIGLVDIPRMIQEWLIDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTKDVDDEMYNEYTMLIRDEIVKMLEIPVKHDDHLLRDSELAGLLSMSSASNGESRQIKFGRKTIFSTKK -NMHVMDDIAHGKYTPGVIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLLYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLSNMTNDPKVVQALNL -YKQTQINLMDSYVQIPDGNVIKKNQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVSG -DDNYAVLQFNTDLTKQMIQDVSNDVRYIYFRMNAKVKALVSTVGIEIAKRYLAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIASSQTFKNYVSKLSDQLLVSKNVIVSKGIAVTEKAKLN -SYAPIYLEKRRAQISALLTMLQKPVSFKSNKNTINEILRDIKPFFVTTEDNLPIQYRKFMPTLPDNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREQEIQLYLVSLGVPLVDASAYVASRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNRA -WISVFCNYPKSEMIKLWKKMWSITALRSPYTSANFFQD - ->sp|Q85036.1|RDRP_ROTPY RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNSELEKRCIDFHAKCVDNSKKGLSLSSLFEEYKDVIDNAT -LLSILSYSYDKYNAVERKLINYAKGKPLEADLTANELDYENNKITSELFKSAEEYTDSLMDPAILTSISS -NLNAVMFWLERHSNDVGDANKVYRRRLDLFIIVASTINKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSA -IEMLMSVFSHEDYLIAKELIILSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYVKAIV -PDQTFNELQEMIDNMKKAGLVDIPRMIQEWLVDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTEDVDNEMYNEYTMLIRDEIVKMLEVPVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDIAHGRYTPGVIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYNSAQHAVVEKMLSYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDPKVVQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQEVSNDVRYIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFDTDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIASSQTFKNYVSKLSDQLLVSKNAIVSKGIAVTEKAKLN -SYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTTEAKLPIQYRKFMPTLPDNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREQEIQLYLVSLGVPPVDAGTYVGSRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNKS -WISLFCNYPKSEMIKLWKKMWNITALRSPYTSANFFQD - ->sp|P17699.1|RDRP_ROTPG RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNPELEKRCIDFHAKCVDNSKKGLSLKPLFEEYKDVIDNAT -LLSILSYSYDKYNAVERKLVNYAKGKPLEADLTANELDYENNKITSELFQSAKEYTYSLMDPAILTSLSS -NLNAVMFWLERHSNDVADANKIYKRRLDLFTIVASTINKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSA -IEMLMSVFSHEDYLIAKELMVLSCSNRSTLAKLVSSPMSILVALIDINGTFITNEEFDLEFSDKYVRAIV -PDQTFDELQEMIDNMKKAGLVDIPRMIQEWLVDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTEDVDNEMYNEYTMLIRDEIVKMLEVPVKHDDHLLRNSELAGLLSMSSASNGASRQLKFGRKTIFSTKK -NMHVMDDIARGRYTPGVIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLSYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDPKVVQTLNL -YKQTQINLMDSYVQIPDGDVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQEVSNDVRYIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIASSQTFKNYVSKLSDQLLVSKNAIVSKGIAVTEKAKLN -SYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTTEASLPIQYRKFMPTLPDNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYRVISLREQEIQLYLVSLGVPPVDASTYVGSRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINYAIKNKS -WISLFCNYPKSEMIKLWKKMWNITAFRSPYTSANFFED - ->sp|P21615.1|RDRP_ROTBU RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELEDRCIEFHSKCLENSKNGRSLKKLFTEYSDVIENAT -LLSILSYSYDKYNAVERKLVKYAKGKPLEAGLTVNELDYENNKITSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDVAEKLKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLDNMRKAGLTDIPKMIQEWLVDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEEPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKSVIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFATKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASSTFKNYVSKLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIKPFFTVSEAHLPIQYQKFMPTLPDNVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD - ->AGO57775.1 VP1 [Porcine rotavirus] -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNPELEKRCINFHAKCVDNSKKGLSLKPLFEEYKDVINNAT -LLSILSYSYDKYNAVERKLVNYAKSKPLEADLTANELDCENNKITSELFHSAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHSNDVADANKIYKRRLDLFTIVASTINKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSA -IEMLMSVFSHEDYLIARELIILSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYVRAIV -PDQTFNELQEMIDNMKKAGLVDIPRMIQEWLVDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTEDVDNEMYNEYTMLIRDEIVKMLEIPVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDIAHGKYTPGVIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLSYAKDTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDPKVVQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQEVSNDVRYIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSSQKSGIADEIASSQTFKNYVNKLSDQLLVSKNAIVSKGIALTEK -AKLNSYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTTEASLPIQYRKFMPTLPDN -VQYVIQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREQEIQLYLVSLGVSPVDAGTYV -GSRIYSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPYKGKIPAVTFILHLYAKLEIVNYAI -KNKSWISLFCNYPKSEMIKLWKKMWNITALRSPYTSANFFQD ->pdb|2R7Q|A Chain A, RNA-dependent RNA polymerase -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELENRCIEFHSKCLENSKNGLSLRKLFVEYNDVIENAT -LLSILSYSYDKYNAVERKLVKYAKGKPLEADLTVNELDYENNKMTSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDVAEKLKVYKRRLDLFTIVASTINKYGVPRHNAKYRYEYDVMKDKPYYLVTWANSS -IEMLMSVFSHDDYLIAKELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELNQMLDNMRKAGLVDIPKMIQDWLVDRSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTENVDDEMYREYTMLIRDEVVKMLEEPVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANERYTPGIIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNTMVLYTDVSQWDSSQHNTQPFRKGIIMGLDILANMTNDAKVLQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKHSFATKIIRVDG -DDNYAVLQFNTEVTKQMIQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTVDEVYIQRAFMSLSSQKSGIADEIAASSTFKNYVTRLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIKPFFTVSDAHLPIQYQKFMPTLPDNVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSRDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQEPHHHHHH ->AFX61456.1 RNA-dependent RNA polymerase [Human rotavirus A] -MGKYNLLLSEYLSFIYNSQSAVQIPIYYSSNPDLEKRCVEFHSKCLDNSKKGLSLKSVFLEYKDVIENAT -LLSILSYSYDKYNAVERKLVHYAKGKPLEADLDANELDYENNKLTNELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHTNDTAEKAKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIARELIILSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSNKHVRAIV -PDQTFDELKEMLNNMKKAGLVDIPKMIQDWLVDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDIDDEMYREYTMLIRDEIVKMLQEVVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGKYTPGVIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNAMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDAKVIQTLNL -YKQTQVNLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRVANKYSFTTKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRDTYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKIFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASQTFKNYVSKLSDQLLVSKNTIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSNKITINDILHDIKPYFVVSEASLPIQYQRFMPTLPDNVQYV -IQCIGSRTYQIEDDGSKSAISRLISKYSVYRPSVEELYKVISLHEQEIQLYLVSLGVPKIDADTYVGSKI -YSQDKYRILESYVYNLLSVNYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD ->AGA19160.1 VP1 [Rotavirus A pheasant-tc/GER/10V0112H5/2010/G23P[37]] -MGTYNALLSEYLSFLYTSNDSIQIPIYYSSNSDLESRCVEFHEKAVNLSKNNESIKHLYEEYKDVIDKAT -LLSVLSYSYGKYGNVESKIKEYATVAPLVAEFINDLDYENNKLTSELFNEESYTDSLMDPAILTSLSSNL -NAAMFWFHNNKLKTKMDQEFAKLYRRRESLFRIVASTVNKYGVPRHDQKYRYTYDVMKDKPYYLVTWANS -SIEMLMSVHDHNDYLIAEELIINSYSNRSTLAKIVSSPMSILVALLDINGTFITTEELELEFSNKYVKAI -VPQKTLDELNDMLIAMEKANLYNIPKLIRNWIDAPTLSGFKLMAKIYSFSFHVGFRKQKMIDAALDQLST -EYSGDVDDEMYQEYSMLIRDEVVKMLQESVIHDDHLLVDSELAGLLSMSSASNGESRQLKFGKKTIFSTK -KNMHVMDDMYNGKYNPELVPKVDQTHPIPLGRRDVPGRRTRIIFILPYEYFLVPHAVVEKMLQYAKHTRE -YAEFYSQSNQLLSYGDVTRFLSDDALVLYTDVSQWDSSQHNTEPFRKGIIAGLDRLITMTNNRKVKETLE -KYRQTQINLMDSYVQIPDGNKILKIQYGAVASGEKQTKAANSIANLALIKTVLSRLANKYAFKPKIIRVD -GDDNYAVLQFNSKITPELVIQVSDFIRDTYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNE -KRGQNTQWDQAAVLYANYIVNKLRGFSTDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDST -DFIIEYGTTDDDVYLQRAFMSLSSQSSSIADEIASSQVFKSYVNKVSNDLLKFNNSIVAKGIAQTEKAKL -NSYAPIALEKRRAQLSSLLTMLQKPVRFKSSKITINDILSDIKPYFKQNESNLDPVYPEFMPTLPYNVQY -VLRCIGSRTYQIEDDGSKSTISKLIRKYSVYKPSVEELYKVISLQERDIKLYLMSLGVPDIDSSAYIESR -VYNQDKYRILESYIYNLLSINYGCYQLLDFNSKDLESLIRIPFKGKIPSVTFILHIYAKLHIINTAIKTG -KWITLFCDFPKSEMIKLWKKMWSITSLRSPYSNANFFQD ->ANS59539.1 VP1 [Rotavirus A] -MGKYNLILNEYLSFLYNSESAVQIPIYYSSNSELEVRCIEFHSKCVEYSKQGKSLVPLFTDYKDVIEKGT -LLSILSYSYNKYNAVETKLKKYATVQPLVANVTVNELDYENNKLTEELFTEESYTDALMDPSIQTSLSSN -LNAVMFWLSKHENDKDELFKIYKRRLTLFNIVASTVNHYGVPRHNSKYRYEYAVMKGKPYYLVTWANSAI -EMLMSVFSHEDFLIAKELIVLSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSNKYVSAVVP -EQTYEELNKMLLDMRDAGLVDIPNMIDKWLIDRSIQNFPLMAKIYSWSFHVGFRKQKMTDAALDQLKTEY -TDDVDNEMYYEYSMLIRDEIVKMLSDSVKTGDHLLRDSELAGLLSMSSASNGESRELNFGKKRIFSTKKN -MHVMDDMANGRYTPNVIPPVDISKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLIYAKHTREYA -EYYSQSNQLLSYGDVTRYLASNCMVLYTDVSQWDSSQHNTQPFRKGIIEALKILQNMTNSEEVYLTLENY -KQTQINLMNSYVQIPDGDKILKIQYGAVASGEKQTKAANSIANLALIKTVLSRVANDYAFKTKIIRVDGD -DNYAVLEFSTNVTEKMIAEISTKVREVYARMNAKVKALISTVGIEIAKRYIAGGKIFFRAGINLLNNEKR -GQNTQWDQAAVLYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSSAVLTINSTFKVFDSTDF -IIDYGTSDDEVFLQRAFMSLSSQRSGIADEISASKTFKQYVELLSSRLLKSKNNIVSRGIALTEKAKLNS -YAPIALEKRRAQISALLTMLQKPVTFRSSKITINDILSDIKPYFHVTEGALLIKYQQFMPTLPANVQYVI -QCIGSRTYQMEDDGSKSNISKLISKYSVYRPSIEELYKVISMHERDIILYLMSLGVPQIDADTYVSSKIY -NADKYRILESYVYNLLSINYGCYQLFDFNSEELESLIKIPFKGKIPSVTFLLHLYAKLEIINHATRYGKW -ISLFCDYPKSNMIKLWKKMWSITTLRSPYTSANFFQD ->BAU80848.1 VP1 protein [Human rotavirus C] -MAQSIVVDGDYDALASRYLKFVYDFENVTYQNNYFATDEFKKDIEQYLKSIHDGEKITQSKINDMEKILL -DSVPAEERCLISKLVFAYGKHGNVENKLVKYGVKDALSHAPQKDAKPYENNIITSEIFKEKSEYTDIYMD -PSINTSCQSNCQAMMFTISEMKLNNIKNAARLEKLFTIIAATINKYGMPRHNTRYRYEWETMKNKPYHLA -AWINSSIEMIACVVDHHTYMIARELIVKSFTNRTSLAKLVSSPMTVLTAMLPIRGTFITTENLELEYSNK -SINYLISKEMAEDFTQAIKQLRDEGLEYIPDYYEKWFKSPDPLTFPNIALIYSFSFHVGYRKQALSDAVY -DQITVTYSDNVNMEMYKEYSERIENEIFTILKDKIVHEDKRLEEYELSALLSMSSASNGILREINFGGQK -VRSTKKNMHVIDDIYNKKYTTDIPPVDARNPIPLGRRDVPGRRTRAIFILPYQYFIAQHSFAEIMLNYAK -HEREYSEFYSQANQVLSYGDVTRYLDSNSILCFTDVSQWDASQHNTKVLRRSIIRAMKRLKQLTHNINIH -KAINIYIQSQENLENSYVLIDKKAIQYGATASGEKQTKIMNSIANKALIQTVLGKLMTDYTFDVKMIRVD -GDDNYAIVRFPIAITEKLLSEFTSKLRSYYSEMNVKVKALASLTGCEIAKRYVAGGMLFFRAGVNILNHE -KRNQDSAYDMAATLYANYIVNALRGLTMSRTFILTKICQMTSIKITGTLRLFPMKSILALNSAFKVFDEV -DYVINYPISNLFIQLQRKLSSIKAKSKIADNIAKSPQFKSYIELLNKSLTTDENPIVSDGIRLTEKAKLN -SYAPIALEKRRDQFSIMVSFLQNPTTFKSETVVTINDVLYFISGFIKIDSSIVLPKEENNTMPLLPAIIK -RTLSYFGLRTHDYDIKGSSSTVSKIIKQYSVYTPGIEELYEIVNKSVDTIRGYFASFNVPKADVDTYIST -QMYKHDRFKILQAYIYNLLSVNYGMYQLVDLNSARFFDHVIHTPVAKTPTAVFMIDLALRLKIINHCIEK -GEIITVSVHANKTDYLKLWRMLWNVKTVNSPYSKNSIFDE ->AKK52481.1 VP1 [Rotavirus A] -MGKYNLILSEYLSFVYNSQSAVQIPIYYSSNSELEKRCIEFHAKCVDNSKKGMSLKPLFEEYRDVIDNAT -LLSILSYSYDKYNAVERKLVNYAKGKPLEADLTANELDYENNKITSELFQSAEEYTDSLMDPAILTSLSS -NLNAVMFWLERHSNDVADANKIYKRRRDLFTIVASTINKYGVPRHNEKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIILSYSNRSTLAKLVSSPMSILVALIDINGTFITNEELELEFSDKYVKAIV -PDQIFDELQEMIDNMKKIGLVDIPKMIQEWLVDCSLEKFTLMSKIYSWSFHVGFRKQKMIDAALDQLKTE -YTEDVDSEMYNEYTMLIRDEIVKMLEVPVKHDDHLLRDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDIAHGRYTPGVIPPVNVDRPIPLGRRDVPGRRTRIIFILPYEYFIAQHAVVEKMLSYAKHTREY -AEFYSQSNQLLSYGDVTRFLSSNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLSNMTNDPKVIQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRIANKYSFITKIIRVDG -DDNYAVLQFNTDVTKQMVQDVSNDVRHIYSRMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAILYSNYIVNKLRGFETDREFILTKIIQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIASSQTFKNYVNKLSDQLLISKNVIVSKGIAVTEKAKLN -SYAPVYLEKRRAQISALLTMLQKPVSFKSNKITINDILRDIKPFFVTSEANLSIQYRKFMPTLPDNVQYV -IQCIGSRTYQIEDSGSKSSISKLISKYSVYKPSIEELYKVISLREQEIQLYLVSLGVPPVDAGTYVGSRI -YSQDKYKILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFILHLYAKLEIINHAIKNGA -WISLFCNYPKSEMIKLWKKMWNITALRSPYTSANFFQD ->AHZ32852.1 RNA-dependent RNA polymerase VP1 [Rotavirus A] -MGKYNLILSEYLSFIYNSQSAVQIPIYYSSNSELENRCIEFHSKCLENSKNGLSLKKLFVEYSDVIENAT -LLSILSYSYDKYNAVERKLVKYAKGKPLEADLTVNELDYENNKITSELFPTAEEYTDSLMDPAILTSLSS -NLNAVMFWLEKHENDVAEKLKIYKRRLDLFTIVASTVNKYGVPRHNAKYRYEYEVMKDKPYYLVTWANSS -IEMLMSVFSHEDYLIAKELIVLSYSNRSTLAKLVSSPMSILVALVDINGTFITNEELELEFSNKYVRAIV -PDQTFDELKQMLDNMRKAGLIDIPKMIQDWLVDCSIEKFPLMAKIYSWSFHVGFRKQKMLDAALDQLKTE -YTEDVDDEMYREYTMLIRDEVVKMLEEPVKHDDHLLQDSELAGLLSMSSASNGESRQLKFGRKTIFSTKK -NMHVMDDMANGRYTPGIIPPVNVDKPIPLGRRDVPGRRTRIIFILPYEYFIAQHAIVEKMLIYAKHTREY -AEFYSQSNQLLSYGDVTRFLSNNSMVLYTDVSQWDSSQHNTQPFRKGIIMGLDMLANMTNDARVIQTLNL -YKQTQINLMDSYVQIPDGNVIKKIQYGAVASGEKQTKAANSIANLALIKTVLSRISNKYSFATKIIRVDG -DDNYAVLQFNTEVTKQMVQDVSNDVRETYARMNAKVKALVSTVGIEIAKRYIAGGKIFFRAGINLLNNEK -RGQSTQWDQAAVLYSNYIVNRLRGFETDREFILTKIMQMTSVAITGSLRLFPSERVLTTNSTFKVFDSED -FIIEYGTTDDEVYIQRAFMSLSSQKSGIADEIAASSTFKNYVSRLSEQLLFSKNNIVSRGIALTEKAKLN -SYAPISLEKRRAQISALLTMLQKPVTFKSSKITINDILRDIEPFFVVSEAHLPIQYQKFMPTLPDNVQYI -IQCIGSRTYQIEDDGSKSAISRLISKYSVYKPSIEELYKVISLHENEIQLYLISLGIPKIDADTYVGSKI -YSQDKYRILESYVYNLLSINYGCYQLFDFNSPDLEKLIRIPFKGKIPAVTFMLHLYAKLEVINYAIKNGS -WISLFCNYPKSEMIKLWKKMWNITSLRSPYTNANFFQD diff --git a/seq/clusters_seq/cluster_161 b/seq/clusters_seq/cluster_161 deleted file mode 100644 index 642a7f0..0000000 --- a/seq/clusters_seq/cluster_161 +++ /dev/null @@ -1,310 +0,0 @@ ->YP_010086773.1 glycoprotein [Taiwan bat lyssavirus] -MPNYTLLITLLLSYSYCSGYFPIYTIPDKIGPWSPIDIRDLSCPNNLLVEDEGCNTLSSFSYMELKIGYI -KAIKVEGFTCTGVITEAETYTNLIGYVTTTFKRKHFRPTMSGCRDAYRWKITGDPRYEESLHNPYPDSSW -LRTVTTSKESLLIISPSVADMDAYDKQLYAPIFPDGKCTNLLPGAFYCSTNHEYTIWMPKDPRLNLACDI -FVNSKGKMAMKDGQVCGFIDERGLYKSLKGACKLKLCGISGIRLVDGSWVSIQRKNPPKPCSPGQLVNIH -DFRTEEIEHLIVEDLVKKREECLDALETIMSTKTISFRKLSHFRKLVPGFGKAYTLINKTLMEADAHYRS -IRNWTEVIPSKGCLRIGGYCHPHYDGIFFNGIILSPQGDVLIPEMQSALLQQHVELLESSVIPLRHPLAD -PSATLRESNEVEDFVEIHLPAVQKHVSDISLGLPEWKHYFYIIASLLAFLAFAILAAMFCRRFKRRKKPR -PNSVELVRRTSVTSVSGRPVHSWESYKTGQQVAPEFVPPPHDTPNSPSRIHT - ->YP_009325517.1 glycoprotein [Gannoruwa bat lyssavirus] -MVHKAFLLILLLVSPPCLGKFPIYTIPDKLGPWSPIDIHHLSCPNNLIVEDEGCTSLSGFSYMELKVGYI -TTIKVSGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDGCRNAYNWKTAGDPRYEESLHNPYPDYHW -LRTVTTTKESLLIISPSVVDMDPYDKSLHSKVFPTGRCSGISVSSTSCSTNHDYTLWLPEDPKPGSSCDI -FTTSKGKKASKGGKICGFVDERGLYKSLKGSCKLKLCGISGLRLMDGSWVSIQNPEDTKWCSSDQLVSIH -DFHSDEIEHLVVEELVKKREECLDALESIVTTKSVSFRRLSHLRKLVPGFGKAYTIVNKTLMEADAHYKS -VRAWNEIIPSKGCLKVGERCYPPFNGVFFNGIILGPDGHVLIPEMQSSLLQQHMELLESSMIPLMHPLAD -PSTVFRGDDEAEDFVEVHLPDVQKQISGVDLGLSEWERYLLIGVAALGLFAFAIILAVCCRRGRRRKRTP -SDPMEMIRKVSVTSQSGKMVPSWESYKNGNGSRLGV - ->YP_009325415.1 glycoprotein [Lleida bat lyssavirus] -MAYQVTLILNSLSFITGQDIFPLYTIPDSIGPWTPIDLSHLKCPDNAFIVDENCTDHGEINYSELKPSFH -SQSKVPGFTCTGIVTQAVTYTNFLGYVSTTFQRSHFVPNPRECRAAQEWKSKGDPRYEDSLQNPYPDSKW -LRTVTTTRESLLIIEPAIAEMDIYNKTMFSSVFRGGLCDFSRGNPDYCETSHSYSIWMPYEESRGITCDI -FQSSTGRLFKKDDQVCGIQDERGMFKSTRGACKMTICGKSGVRLYDGTWISYNTIDNLKVCPRSAMVNMH -TTKLDALEEAVVRDLVKKREECLNAFEEIIITNSISFRKMSLFRKMVPGSGLVYTMINKTLMEAHGHYKS -VSNWSEILPTPICLLVKGKCYQDHDGVLFNGIVKDHRGKVLIPEMQSHLLQDHFELLRSNTIPWRHPLVH -YPDDTDPSSETAEFIQLHMRDPAKVTSDIDFGLSSWKRYLIFVACLILGLLILWVVIKYSFKVYRVMTKK -RSSRRKMQDILPDPVIRSVGGNQLSWESYKNSTNL - ->YP_009094487.1 glycoprotein [Lyssavirus Ozernoe] -MSLLTAVIAFFFISTFCSGKFPIYTIPDKIGPWSPIDINHLSCPNNLEVEDEGCTTLTAFDYMELKVGYI -TSIKVDGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPNVNACRAAFSWKIAGDPRYEESLHNPYPDSHW -LRTVTTTKEALLIISPSVVDMDAYDKTLYSKLFPTGKCFPPISDSPFCSTNHDYTLWLPEKEKLSMSCNI -FVSSKGKKATKNGRLCGFVDERGLYKSLKGACKLKLCGMAGMRLMDGSWVSLQKGDAPEWCPPGALVNVH -DFHSDEIAHFVVEELIKKREECLDTLETILTTKSISFRRLSHFRKLVPGLGKAYTLINNTLMEAEAHYKS -IREWKEIIPSKGCLKAGGRCHPHYDGIFFNGIILGPNGDVLIPEMQSSLLQQHIELLESSRIPLRHPLAD -SSAVFRSDNEAEDFVDVHLPDAQKQVSDIDLGFPEWKRYFLIGVSAIALFSLAIIIAVCCRKFKRRKRPK -PSPIELVRKVSVTSQSGKVVPSWESYKEGATSQP - ->YP_009094330.1 glycoprotein [Khujand lyssavirus] -MPSQAVFLVLTTVFSQCVGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDDGCTTLSGFTYMELKVGYI -TTIKVDGFTCTGIVTEAETYTNFVGYVTTTFKRKHFRPGPSACRDAYNWKAAGDPRYEESLHNPYPDSHW -LRTVTTTKESLLIISPSVVDMDAYDKSLLSKIFPNGKCPGVSIASPFCSTNHDYTIWMPENTKTGMSCDI -FTTSKGKRATKDGKLCGFVDERGLYKSLKGSCKLKLCGVSGLRLMDGSWVSIQNHEEAKWCPPDQLVNVH -DFHSDEIEHLIVEELVKKREECLDALESIMTTKSISFRRLSHLRKLVPGFGKAYTIINKTLMEADAHYKS -IREWSEIIPSKGCLVAGGRCYHHHNGVFFNGIILSPDGHVLIPEMQSALLQQHIELLESSVIPLMHPLAD -PSTVFKGDDGAEDFVEVHLPDVQKQISGIDLGLPEWKRYFLIGVAALTLFALTIFVVVCCRRVRRRERAK -PNPVELIRKVSVTSQSGKVIPSWESYKVEAEGQSQA - ->YP_009094271.1 glycoprotein [West Caucasian bat lyssavirus] -MASYFALVLNGISMVFSQGLFPLYTIPDHLGPWTPIDLSHLHCPNNLYTDASYCTTEQSITYTELKVGSS -VSQKIPGFTCTGVRTESVTYTNFVGYVTTTFKKKHFPPKSRDCREAYERKKAGDPRYEESLAHPYPDNSW -LRTVTTTKDSWVIIEPSVVELDIYTSALYSPLFKDGTCSKSRTYSPYCPTNHDFTIWMPESENIRSACNL -FSTSRGKLVRNRTSTCGIIDERGLFRSVKGACKISICGRQGIRLVDGTWMSFRYSEYLPVCSPSQLINTH -DIKVDELENAIVLDLIRRREECLDTLETILMSGSVSHRRLSHFRKLVPGSGKAYSYINGTLMESDAHYIK -VENWSEVIPHKGCLMVGGKCYEPVNDVYFNGIIRDSNNQILIPEMQSSLLREHVDLLKANIVPFRHPMLL -RSFTSDTEEDIVEFVNPHLQDTQKLVSDMDLGLSDWKRYLLIGSLAVGGVVAILFIGTCCLRCRAGRNRR -TIRSNHRSLSHDVVFHKDKDKVITSWESYKGQTAQ - ->YP_009094182.1 glycoprotein [Shimoni bat lyssavirus] -MSNLCTIFILCASIMVSLGDFPLYTIPEKIGPWTPIDLTHLSCPNNLLSEDDGCSSSSTFSYIELRTGYL -THQKVSGFTCTGVINEAVTYTNFVGYVTTTFKRKHFKPTASACRDAYHWKISGDPRYEESLHTPYPDNSW -LRTVTTTKESLLIISPSIVEMDIYSRSLHSPMFPTGRCYDFYKSTPSCLTNHDYTIWLPDDANVRLTCDI -FVTSTGKKSMNGSKMCGFTDERGLYRTLKGACKLTLCGKPGLRLFDGTWISITRPEIVMWCSPNQLVNVH -NNRVDEIEHLIVGDLIRRREECLDTLETVLMSKSVSFRRLSHFRKLVPGFGKAYTIANGSLMETNVHYKR -VDRWEEILPSKGCLKLNDKCLNPENGVFFNGIIKGPDGQVLIPEMQSSLLKQHMDLLKASVFPLRHPLID -QTSIFKKDGEADDFVDVHMPDPHKSISNIDLGLPDWGLYAMIGGTVVAFLILVCLLCTCCKRRRRRNSRK -PSSEQTPKISSTPPSGTKVISSWESYKGTSSV - ->YP_009091812.1 glycoprotein [Bokeloh bat lyssavirus] -MPTQAVLSAFLATITICVGKFPIYTIPDKLGPWSPIDINHLSCPNNLVVEDEGCTNLSGFTYMELKVGYI -TTIKVSGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPRPDACREAYDWKTAGDPRYEESLHNPYPDSHW -LRTVTTTKESLLIIGPSVADMDAYDKSLYSKIFPDGKCSGISAVSPFCPTNHEYTIWMSENQKPGMSCDI -FTTSKGKKATKNGKMCGFVDERGLYKSLKGACKLKLCGVSGLRLMDGSWVSVQNPEDAKWCSPDQLVNIH -DFHSDEVEHLIVEELVKKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIINKTLMEADAHYKS -IRQWTEIIPSKGCLMAGGRCYPHHNGVFFNGIILSPDGHVLIPEMQSALLQQHIELLESSVIPLMHPLAD -PSTALKGGDGAEDFVEIHLPDVQKQISGIDLGLPEWKRYFLMGVSALALLALTIILATCCKRIRRKKKMK -SSPVELIRKVSVTSQSGKVVPSWESYKVDAGGQA - ->YP_007641405.1 glycoprotein [Duvenhage lyssavirus] -MPLNAVIFTLLLRCSICLGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTTLTPFSYMELKVGYI -TSIKVSGFTCTGVVTEAETYTNFVGYVTTTFRRRHFRPSVNSCRDAYNWKIAGDPRYEESLHNPYPDSHW -LRTVKTTKESLLIISPSVADMDAYDKKLYSKMFPNGRCSEISPGSPFCPTNHEYTIWMPESSNPGISCDI -FTRSMGKKATKDGQLCGFVDERGLYKSLKGACRLRLCGISGLRLMDGSWVSLPQVNNSEWCSPDQLVNIH -DFHSDEIEHLVADELVKKREDCLDALETIIFTKSISFRRLSRLRKLVPGFGKAYTIINRTLMEAEAHYKS -VREWKEIIPSKGCLKAGGRCYPHHNGIFFNGIILGPGGEILIPEMQSALLQQHIELLESSVVPLKHPLAD -PSTVFKNDDEAESFVDVHLPDTNQKISGIDLGLPEWKRYFLIGVSAVALLALSIIIAVCCKRFRKRRKSK -PSPVELTRKVSVISKGNGPVPSWESYKEGTTGDVRNTTPSTRE - ->YP_007641400.1 glycoprotein [Irkut lyssavirus] -MSLLTAVIAFLFISTFCSGKFPIYTIPDKIGPWSPIDINHLSCPNNLEVEDEGCTTLTAFNYMELKVGYI -TSIKVDGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPNVSACRAAFSWKTAGDPRYEESLHNPYPDSHW -LRTVTTTKESLLIISPSVVDMDAYDKTLYSKMFPNGKCFPPISDSPFCSTNHDYTLWLPEKEKLSMSCNI -FVSSKGKKATKDGRLCGFVDERGLYKSLKGACKLKLCGMAGMRLMDGSWVSLQRADAPEWCPPGALVNVH -DFHSDEIAHFVVEELIKKREECLDTLETILTTKSISFRRLSHFRKLVPGLGKAYTLINNTLMEAEAHYKS -IREWKEIIPSKGCLKAGGRCHPHYDGIFFNGIILGPNGDVLIPEMQSSLLQQHIELLESSMIPLRHPLAD -SSAIFRSDNEAEDFVDVHLPDTQKQVSDIDLGFPEWKRYFLIGVSAIALFSLAIIIAVCCRKFKRRKRPK -PGPIELVRKVSVTSQSGKVVPSWESYKEGATSQP - ->YP_007641395.1 glycoprotein [Aravan lyssavirus] -MPLQAIPLFSLILPVLVAGKFPIYTIPDKIGPWSPIDINHLSCPNNLVVEDEGCTTLTAFSYMELKVGYI -TTIKVSGFTCTGVVTEAETYTNFVGYVTTTFRRKHFRPTASACREAYNWKATGDPRYEESLHNPYPDSHW -LRTVKTTKESLLIISPSVADMDAYDKALYSKIFPNGKCLGVSLSSPFCSTNHDYTLWMPENPKPGVSCDI -FTTSKGKKATKDGKLCGFVDERGLYKSLKGACKLKLCGVMGLRLMDGSWVSLQKTEESEWCSPNQLINIH -DFHSDEIEHMVVEELVKKREECLDALESIMTTKSISFRRLSHLRKLVPGFGKAYTLINKTLMEADAHYKS -VREWTEVIPSKGCLKAGGGCYPHYNRVFFNGIILSPDGHVLIPEMQSALLQQHIELLESSVIPLRHPLAD -PSTVFKGDDEAEEFVEVHLPDTQKQISGIDLGLPEWKRYFLMGMSAIGFLALTIILAVCCRRIKRRKQSK -PNPVELIRKVSVTSQSGRAIPSWESYKVKTGDQPQV - ->YP_007641390.1 glycoprotein [Lagos bat lyssavirus] -MSQLFSTFIFCLFIGSSIGDFPLYTIPERLNPWTPIDLIHLSCPNNLLSDAEGCSETSSFTYVELKTGFL -AHQKVPGFTCTGVINEAVTYTNFVGYVTTTFKRKHFKPTVSACRDAFNWKVSGDPRYEESLHTPYPDNSW -LRTVTTTKESLLIISPSIVEMDVYGRTLHSPMFPTGICSKFYPSIPSCATNHDYTLWLPEDPNLSLICDI -FVTSTGRKAMNGSRMCGFTDERGFYRTLKGACKLTLCGKPGLRLYDGTWVSFTRPEIHVWCSPDQLVNVH -NNRIDEIEHLIVDDLIRKREECLDTLETVLMSKSLSFRRLSHFRKLVPGYGKAYTILNGSLMETNVHYKR -VDNWVDILPSKGCLKVNNKCMESDTGVFFNGIIKGPDGRILIPEMQSGLLKQHMDLLKAAVFPLRHPLID -KASVFKKDGDADDFVDVHMPDIQKLVSDVDLGLPNWSFFALIGASVIALLILVCLLRMCCKRMRRRSSVR -DQVAPPFSLSSTPSSKSKVVSSWESYKGTSSV - ->YP_006742183.1 glycoprotein [Ikoma lyssavirus] -MAQLVTLVLNGLSLLTGPGIFPMYTIPEGLGPWTPIDLSHLKCPDNTYFAEEGCNEGSKVSYLELKPSFH -SQNKVQGFTCTGIINMATTYTNFVGYVTTTFQRSHFIPNQRDCRQAREWKKEGDPRYEESLTTPYPDSKW -LRTVTTSKESWLILDPAVVEMDIYNKTMFSPVLRNGYCNFSPENPDFCETNHQHSIWIPEDEGRGITCDI -FQASTGILLKNGSKVCGFQDERGLFRSIKGACKMIICGKSGVRLYDGTWVSYNSVDNLRMCSRSKMVNKH -TVKLDNIEESIVRDLIKKREECLDALEEVMLTRSISFRKLSLFRKQVPGRGYVYTMINNTMMEATGHYKS -VDNWTDILPNPICLMVDGKCHPGYDGVLFNGIIRDSRGKILIPEMQSHLLRDHLELLKRNSIPWRHPLVH -YSENGEDGSDLTSFAQLYIKDPHLSVSDIDIGFPSWKKYLMIVGGVLIGLIVFWFLVKLLFRVCYTVKRK -RLREGSMNETSSGPVIRTSGDKQLSWESYKSSAF - ->YP_001285396.1 glycoprotein [European bat 2 lyssavirus] -MPFQAVLSALLSALTLCVGKFPIYTIPDKLGPWSPIDIHHLSCPNNIVVEDEGCTTLTVFSYMELKVGYI -TTIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPSPSACRDAYSWKTAGDPRYEESLHNPYPDSHW -LRTVTTTKESVLIISPSVADMDAYDKTLYSKIFLNGKCSGVSQVSPFCSTNHDYTIWMPENPNPGVSCDI -FTTSKGKKATKDGKLCGFVDERGLYKSLKGACKLKLCGISGMRLMDGSWVSIQNHDEAKWCSPDQLVNIH -DFHSDEVEHLIAEELVKKREECLDALESIMTTKSISFRRLSHLRKLVPGFGKAYTIINKTLMEADAHYKS -IREWTDVIPSKGCLMAGGRCYPHHNGVFFNGIILSPDGHVLIPEMQSAMLQQHIELLESSVIPLMHPLAD -PSTIFKKDDGAEDFVEVHLPDVQKQISGIDLGLPEWKRYFLIGVSALAFLALMIFIAACCRRVKRKKRAK -PNPVELIRKVSVTSQSGRPIPSWESYKVETGGQS - ->YP_001285391.1 glycoprotein [European bat 1 lyssavirus] -MLLSTAIFAFFLNCAPCLAKFPIYTIPDKIGPWSPIDINHLSCPNNLIVEDEGCTTLTPFSYMELKVGYI -TTIKIEGFTCTGVITEAETYTNFVGYVTTTFKRKHFRPTVSACRDAYNWKITGDPRYEESLHNPYPDSHW -LRTVKTTKESLLIISPSVVDMDAYDKNLYSKMFPNGKCLASPPSAICCPTNHDYTIWIPENPKPGLSCDI -FTTSKGKKATKDGRLCGFVDERGLYKSLKGACKQRLCGVPGMRLMDGSWVSLQKTEAPEWCSPDQLVNVH -DFHTDEIEHLVVEELVKKREECLDALETIITTKSISFRRLSHFRKLVPGFGKAYTLINKTLMEADAHYKS -VREWKEVIPSKGCLMAGGRCHPHYSGIFFNGIILSPGGDVLIPEMQSALLQQHIELLESSMIPLRHPLAD -PSTVFKRDDEAEDFVEVHLPDTQKLISGIDLGFPEWKRYFLIGISVLALLALAIITAACCKRFKRRRRPK -PNPIELIRKVSVTSQSGRAIPSWESYKVGATGES - ->YP_142353.1 transmembrane glycoprotein G [Mokola lyssavirus] -MNIPCFVVILSLATTHSLGEFPLYTIPEKIEKWTPIDMIHLSCPNNLLSEEEGCNAESSFTYFELKSGYL -AHQKVPGFTCTGVVNEAETYTNFVGYVTTTFKRKHFRPTVAACRDAYNWKVSGDPRYEESLHTPYPDSSW -LRTVTTTKESLLIISPSIVEMDIYGRTLHSPMFPSGVCSNVYPSVPSCETNHDYTLWLPEDPSLSLVCDI -FTSSNGKKAMNGSRICGFKDERGFYRSLKGACKLTLCGRPGIRLFDGTWVSFTKPDVHVWCTPNQLINIH -NDRLDEIEHLIVEDIIKKREECLDTLETILMSQSVSFRRLSHFRKLVPGYGKAYTILNGSLMETNVYYKR -VDKWADILPSKGCLKVGQQCMEPVKGVLFNGIIKGPDGQILIPEMQSEQLKQHMDLLKAAVFPLRHPLIS -REAVFKKDGDADDFVDLHMPDVHKSVSDVDLGLPHWGFWMLIGATIVAFVVLVCLLRVCCKRVRRRRSGR -ATQEIPLSFPSAPVPRAKVVSSWESYKGLPGT - ->NP_478342.1 glycoprotein [Australian bat lyssavirus] -MLLQVILLVSLTAILPCTGQFPLYAIPDKLGPWSPIDIHHLSCPNNLIVEDEGCTSLSGFSYMELKVGFI -TTIKVSGFTCTGVVTESETYTNFFGYVTTTFKRKHFRPTPESCRKAYNWKIAGDPRYEESLHNPYPDYHW -LRTVTTTKESLLIISPSVVDMDPYDKSLHSRMFPKGSCSGASIPSVFCSTNHDYTLWMPEDSNSGMSCDI -FTMSKGKKASKGGKVCGFVDERGLYKSLKGACKLKLCGISGLRLLDGSWVSIQNHEEVKWCSPNQLVNIH -DFNADEIEHLIVEELIKEREECLDALESIITTKSVSFRRLSHLRKLVPGFGKAYTIINKTLMEADAHYKS -VRTWDEIIPSKGCLKVREKCHPPYNGVFFNGIILGPDGQVLIPEMQSSLLHQHTELLESSVIPLIHPLAD -PSTIFRGDDEAEGFIEVHLPDIQKQVSGIDLGLSEWERYLIIGISAIILFILAIIFTICCRRCKRRKKIR -TDHIELDRKVSVTSQSGKSIPSWESYKSRQGHSRS - ->NP_056796.1 transmembrane glycoprotein G [Rabies lyssavirus] -MVPQALLFVPLLVFPLCFGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTNLSGFSYMELKVGYI -SAIKMNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYHW -LRTVKTTKESLVIISPSVADLDPYDRSLHSRVFPGGNCSGVAVSSTYCSTNHDYTIWMPENPRLGMSCDI -FTNSRGKRASKGSETCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVAMQTSNETKWCPPGQLVNLH -DFRSDEIEHLVVEELVKKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKS -VRTWNEIIPSKGCLRVGGRCHPHVNGVFFNGIILGPDGNVLIPEMQSSLLQQHMELLVSSVIPLMHPLAD -PSTVFKNGDEAEDFVEVHLPDVHERISGVDLGLPNWGKYVLLSAGALTALMLIIFLMTCWRRVNRSEPTQ -HNLRGTGREVSVTPQSGKIISSWESYKSGGETGL - ->sp|Q08089.1|GLYCO_RABVV RecName: Full=Glycoprotein; Flags: Precursor -MVPQALLFVPLLVFPLCFGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTNLSGFSYMELKVGYI -LAIKMNGFTCTGVVTEAENYTNFVGYVTTTFKRKHLRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYSW -LRTVKTTKESLVIISPSVADLDPYDRSLHSRVFPSGKCSGVAVSSTYCSTNHDYTIWMPENPRLGKSCDI -FTNSRGKRASKGSETCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVAMQTSNETKWCPPDQLVNLH -DFRSDEIEHLVVEELVRKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKS -VRTWNEILPSKGCLRVGGRCHPHVNGVFFNGIILGPDGNVLIPEMQSSLLQQHMELLESSVIPLVHPLAD -PSTVFKDGDEAEDFVEVHLPDVHNQVSGVDLGLPNWGKYVLLSAGALTALMLIIFLMTCCRRVNRSEPTQ -HNLRGTGREVSVTPQTWKIISSWESHKSGGETRL - ->sp|P15199.2|GLYCO_RABVA RecName: Full=Glycoprotein; Flags: Precursor -MVPQVLLFVPLLGFSLCFGKFPIYTIPDELGPWSPIDIHHLSCPNNLVVEDEGCTNLSEFSYMELKVGYI -SAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYHW -LRTVRTTKESLIIISPSVTDLDPYDKSLHSRGFPGGKCSGITVSSTYCSTNHDYTIWMPENPGPRTPCDI -FTNSRGKRASKGNKTCGFVDERGLYKSLKGACRLKLCGVLGLRLMDGTWVAMQTSDETKWCPPDQLVNLH -DFRSDEIEHLVVEELVKKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKS -VRTWNEIIPSKGCLKVGGRCHPHVNGVFFNGIILGPDGHVLIPEMQSSLLQQHMELLKSSVIPLMHPLAD -PSTVFKEGDEAEDFVEVHLPDVYKQISGVDLGLPNWGKYVLMTAGAMIGLVLIFSLMTWCRRANRPESKQ -RSFGGTGRNVSVTSQSGKVIPSWESYRSGGEIRL - ->sp|A3RM22.1|GLYCO_RABVI RecName: Full=Glycoprotein; Flags: Precursor -MVPQVLLFVPLLVFSMCFGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTNLSGFSYMELKVGYI -SAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYHW -LRTVKTTKESLVIISPSVADLDPYDKSLHSRVFPSGKCSGITISSTYCSTNHDYTIWMPENPRLGTSCDI -FTNSRGKRASKGGKTCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVAMQTSDETKWCPPDQLVNLH -DFRSDEIEHLVVEELVKKREECLDALESIMATKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKS -VRTWNEIIPSKGCLRVGGRCHPHVNGVFFNGIILGPDGHVLIPEMQSSLLQQHMELLESSVIPLMHPLAD -PSTVFKDGDEAEDFVEVHLPDVHKQISGVDLGLPSWGKYVLVSAGVLVVLMLTIFIMTCCGRVHRPKSTQ -HGLGGTGRKVSVTSQSGKVISSWESYKSGGETRL - ->sp|Q0GBY1.1|GLYCO_RABVR RecName: Full=Glycoprotein; Flags: Precursor -MVPQVLLFVLLLGFSLCFGKFPIYTIPDELGPWSPIDIHHLSCPNNLVVEDEGCTNLSEFSYMELKVGYI -SAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYHW -LRTVRTTKESLIIISPSVTDLDPYDKSLHSRVFPGRKCSGITVSSTYCSTNHDYTIWMPENPRPRTPCDI -FTNSRGKRASNGNKTCGFVDERGLYKSLKGACRLKLCGVLGLRLMDGTWVAMQTSGETKWCPPDQLVNLH -DFRSDEIEHLVVEELVKKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKS -VRTWNEIIPSKGCLKVGGRCHPHVNGVFFNGLILGPDDHVLIPEMQSSLLQQHMELLESSVIPLMHPLAD -PSTVFKEGDEAEDFVEVHLPDVYKQISGVDLGLPNWGKYVLMTAGAMIGLVLIFSLMTWCRRANRPESKQ -RSFGGTGGNVSVTSQSGKVIPSWESYKSGGEIRL - ->sp|Q0GBX6.1|GLYCO_RABVD RecName: Full=Glycoprotein; Flags: Precursor -MVPQALLLVPLLGFSLCFGKFPIYTIPTKLGPWSPIDIHHLSCPNNLVVEDEGCTNLSGFSYMELKVGRI -SAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPMPGCMYSRVQLEDGRSPQIEESLHNPYPDYHW -LRTVRTTKESLIIISPSVTDLDPYDKSLHSRVFPGRKCSGITVSSTYCSTNHDYTVWMPEILRLGTSCDI -FTNSRGKRASKGSKTCGFVDERGLYKSLKGACKLKLCGVPGLRLMDGTWVAMQTSNETKWCPPGQLVNLH -DLHSDEIEHLVVEELVKKREECLDALESITTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEAEAHYKS -VRTWNEIIPSKGCLRVGGGCHPHVNGVFFNGIILGPDGHVLIPEMQSSLLQQHIELLESSVIPLMHPLAD -PFTVFKDGDEIEDFVEVHLPDVHEQVSGVDLGLPNWGEYVLLSAGTLIALMLIIFLITCCKRVDRPESTQ -RSLRGTGRNVSVTSQSGKFIPSRESYKSGGETGL - ->sp|Q9IPJ6.1|GLYCO_RABVN RecName: Full=Glycoprotein; Flags: Precursor -MVPQALLLVPILGFSSCFGKFPIYTIPDTLGPWSPIDIHHLSCPNNLVVEDEGCTNLSGFSYMELKVGYI -SAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHSPYPDYHW -LRTVKTTKESLVIISPSVADLDPYDNSLHSRVFPSGKCSGITVSSVYCSTNHDYTVWMPESLRLGTSCDI -FTNSRGKRASKGSKTCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVAMQTSNETKWCPPDQLVNLH -DLRSDEIEHLVIEELVKKREECLDALESIITTKSVSFRRLSYLRKLVPGFGKAYTIFNKTLMEAEAHYKS -VRTWNEIIPSKGCLRVGGRCHPHVNGVFFNGIILGPDGHVLIPEMQSSLLQQHIELLESSVIPLMHPLAD -PFTVFKDGDETEDFIEVHLPDVHEQVSGVDLGLPNWGEYVLLSAGTLIALMLIIFLMTCCRKVDRPESTQ -RSLRGTGRNVSVTSQSGKFIPSWESYKSGGETGL - ->sp|Q91C28.1|GLYCO_DUVV RecName: Full=Glycoprotein; Flags: Precursor -MPLNAVIFTLLLRCSICLGKFPFYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTTLTPFSYMELKVGYI -TSIKVSGFTCTGVVTEAETYTNFVGYVTTTFRRRHFRPSVNSCRDAYNWKIAGDPRYEESLHNPYPDSHW -LRTVKTTKESLLIISPSVADMDAYDKKLYSKIVSNGRCSEISPGSPFCPTNHEYTIWMPESSNPGISCDI -FTRSMGKKATKDGQLCGFVDERGLYKSLKGACRLRLCGISGLRLMDGSWVSLPQVNNSEWCSPDQLVNIH -DFHSDEIEHLVADELVKKREDCLDALETILFTKSISFRRLSHLRKLVPGFGKAYTIINRTLMEAEAHYKS -VREWKEIIPSKGCLKAGGRCYPHHNGIFFNGIILGPGGEILIPEMQSALLQQHIELLESSVVPLKHPLAD -PSTVFKNDDEAESFVDVHLPDTNQKISGIDLGLPEWKRYFLIGVSAVALLALSIIIAVCCKRFRKRKKSK -PGPVELTRKVSVISKGNGPVPSWESYKEGTTGDVRNTTPSTRE - ->sp|Q8JTH0.1|GLYCO_ABLVH RecName: Full=Glycoprotein; Flags: Precursor -MLLQIVLLMSLMVFSPCPGKFPLYTIPDKLGPWSPIDIHHLSCPNNLIVEDEGCTSLSGFSYMELKVGFI -TTIKVSGFTCTGVVTESETYTNFFGYVTTTFKRKHFRPTPEFCRNAYNWKVAGDPRYEESLHNPYPDYHW -LRTVTTTKESLLIISPSVVDMDPYDKSLHSKMFPKGTCSGASVPSIFCSTNHDYTLWMPENPKPGMSCDI -FTTSKGKKASKGGKVCGFVDERGLYKSLKGACKLKLCGISGLRLMDGSWVSIQNHEEAKWCSPDQLVNIH -DFHSDEIEHLIVEELVRKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIVNKTLMEADAHYKS -VRTWNEIIPSKGCLKVRERCHPPYNGVFFNGIILSPDGHVLIPEMQSSLLQQHVELLESSVIPLIHPLAD -PSTVFKRDDEAEDFIEVHLPDVQKQVSGIDLGLSEWERYLIIGASAVVLFALAIIFAVCCRRCKRRKKAR -TDRIELDRKVSVTSQSGKVIPSWESYKIEAGGHFRS - ->sp|Q8BDV6.1|GLYCO_LBV RecName: Full=Glycoprotein; Flags: Precursor -MSQLNLIPFFCVIIVLSVEDFPLYTIPEKIGPWTPIDLIHLSCPNNLQSEDEGCGTSSVFSYVELKTGYL -THQKVSGFTCTGVVNEAVTYTNFVGYVTTTFKRKHFKPTALACRDAYHWKISGDPRYEESLHTPYPDNSW -LRTVTTTKESLVIISPSIVEMDVYSRTLHSPMFPTGTCSRFYPSSPSCATNHDYTLWLPDDPNLSLACDI -FVTSTGKKSMNGSRMCGFTDERGYYRTIKGACKLTLCGKPGLRLFDGTWISFPRPEVTTRCLPNQLVNIH -NNRIDEVEHLIVEDLIRKREECLDTLETVLMSKSISFRRLSHFRKLVPGYGKAYTILNGSLMETNVHYLK -VDNWSEILPSKGCLKINNQCVAHYKGVFFNGIIKGPDGHILIPEMQSSLLKQHMDLLKAAVFPLKHPLIE -PGSLFNKDGDADEFVDVHMPDVHKLVSDVDLGLPDWSLYALIGATIIAFFILICLIRICCKKGGRRNSPT -NRPDLPIGLSTTPQPKSKVISSWESYKGTSNV - ->sp|Q66T62.1|GLYCO_RABVB RecName: Full=Glycoprotein; Flags: Precursor -MIPQALLFVPLLIPSLCLGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTSLSGFSYMELKVGYI -SAMKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPMPDACRAAHDWKIAGDPRYEDSLQNPYPDYHW -LRTVKTTKESLVIISPSVADLDPYDKSLHSRVFPSGKCLGITVSSTYCPTNHDYTIWMPVEARLGTSCDI -FTNSRGKKASKGGRTCGFVDERGLYKSLKGACKLKLCGVPGLRLMNGTWVSIQTSDDIKWCPPDQLVNLH -DFHSDEIEHLVVEELIKKREGCLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNNTLMEADAHYKS -VRTWNEVIPSKGCLKVGGRCHPPVNGVFFNGIILGPDGNVLIPEMQSSLLQQHMELLESSVIPLMHPLAD -PSTVFKDGDEAEDFVEVHLPDVHKQVSDVDLGLPSWGKYLLMSAGALATLILAIFLITCCRRANRTKSTQ -RGHRESGGKVSVAPQNGKIISSWELYKSESETGM - ->sp|P32550.1|GLYCO_RABVT RecName: Full=Glycoprotein; Flags: Precursor -MVPQALLFVPLLVFPLCFGKFPIYTIPDKLGPWSPIDIHHLRCPNNLVVEDEGCTNLSGFSYMELKVGYI -SAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYHW -LRTVKTTKESLVIISPSVADLDPYDKSLHSRVFPSGNCSGITVSSTYCSTNHDYTIWMPENPRLETSCDI -FTNSRGKRASKGSKTCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVAMQTSDETKWCPPDQLVNLH -DFRSDEIEHLVVEELVKKREECLDALESIMTTKSVSLRRLSHLRKLVPGFGKAYTIFNKTLMEAEAHYKS -VQTWNEIIPSKGCLRVGGRCHPHVNGVFFNGIILGPDGHVLIPEMQSSLLQQHMELLESSVIPLMHPLAD -PSTVFKDGDEAEDFVEVHLPDVHKQVSGVDLGLPNWGKYVLLSAGTLIALMLIIFLMTCCRRVNRPKSTE -RSLGETGRKVSVTSQSGKVISSWESYKSGGETRR - ->sp|P16288.1|GLYCO_RABVS RecName: Full=Glycoprotein; Flags: Precursor -MVPQALLFVPLLVFPLCFGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTNLSGFSYMELKVGYI -LAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYRW -LRTVKTTKESLVIISPSVADLDPYDRSLHSRVFPSGKCSGVAVSSTYCSTNHDYTIWMPENPRLGMSCDI -FTNSRGKRASKGSETCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVSMQTSNETKWCPPDKLVNLH -DFRSDEIEHLVVEELVRKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKS -VRTWNEILPSKGCLRVGGRCHPHVNGVFFNGIILGPDGNVLIPEMQSSLLQQHMELLESSVIPLVHPLAD -PSTVFKDGDEAEDFVEVHLPDVHNQVSGVDLGLPNWGKYVLLSAGALTALMLIIFLMTCCRRVNRSEPTQ -HNLRGTGREVSVTPQSGKIISSWESHKSGGETRL - ->sp|P19462.1|GLYCO_RABVH RecName: Full=Glycoprotein; Flags: Precursor -MVPQVLLFAPLLVFPLCFGKFPIYTIPDKLGPWSPIDLHHLSCPNNLVVEDEGCTNLSGFSYMELKVGYI -SAIKVNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYHW -LRTVKTTKESLVIISPSVTDLDPYDKSLHSRVFPGGNCSGITVSSTYCSTNHDYTIWMPENLRLGTSCDI -FTHSRGKRASKGDKTCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVAMQTSDETKWCPPGQLVNLH -DFRSDEIEHLVEEELVKKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKS -VQTWNEIIPSKGCLRVGERCHPHVNGVFFNGIILGSDGHVLIPEMQSSLLQQHMELLESSVIPLMHPLAD -PSTVFKDGDEVEDFVEVHLPDVHKQVSGVDLGLPKWGKYVLMIAGALIALMLIIFLMTCCRRVNRPESTQ -SNLGGTGRNVSVPSQSGKVISSWESYKSGGETRL - diff --git a/seq/clusters_seq/cluster_162 b/seq/clusters_seq/cluster_162 deleted file mode 100644 index bb56b7e..0000000 --- a/seq/clusters_seq/cluster_162 +++ /dev/null @@ -1,191 +0,0 @@ ->YP_009553015.2 movement protein [Opuntia virus 2] -MAIDTYSKLLEPKRFIKPGFWYCTPLGKSKSPLKFCNICVTDIVKVKSVGSFSFCLSVYKTVRPDHRSFK -FARLVGVTISGVWHFDKGLPGSAVIALIDSRITDVNLSILSKVVVSASQAGEFQFTFSPNFSITMNDLKR -NPIELIVDLQGLPLCDGFEPLSLELGFLFYYSDSALSSSLGAKIKLLQSETNVESGDIPDHVFDEVVSEV -DLNSVIPKARNLRNALSVSSKSSSALERAVVSNKFAKGAKANKR - ->YP_009362089.1 MP [Hoya chlorotic spot virus] -MSIQTFKPKVTEFLNLTKVEELLPKFMTRLKCVSVSSKDVVEVGDPSCLTDINLLKGVVFDKYRYVGILG -VIVSGEWLLPKKIRGSVAVSLVDKRLTDVREAVIGCYKAQAKSKTFSFKLVPNYFVTANDAARNPWQLMV -QLSGLRFEESWSPLTLEVVSVVICANSIVSKGLREKILQVGDENIDFEKAVDDYIDNVPSFASLRNLRYG -RGPSFRNRSSFQKNQKEFRGSKSKGKFVGLKKESEEFVGHLDEGLDDNDGTESYASSDSFSSISAINGVP -NHFTQSSRLPYSVMDPVRDAHKPL - ->YP_009507842.1 movement protein [Tobacco latent virus] -MAISLRETVNINEFINLSTTEKLLPKFMTNVKSVRVSTVDKLMVSKNDSLSDIDLLKGVKLIEGGYVSLV -GLVVSGEWNLPDNCAGGVSICLVDKRLKVSKEATLGSYNAPACKKQFSFKIIPNYAVTTADAKRAPWQIL -VNIKGVRMENGWCPLSLEFVSVCIVHKNNVKLGLREKITNVSDNGPIELTEAVVDEFVESVPMAERLEKF -KRLSSFGGNRAPKARQPPRNNKSFNNRVVNNNNESVGSVVKKDDRPEPAVNTGPVVTEFRVSDDGGDDES -YDASWLSF - ->YP_009254749.1 movement protein [Tropical soda apple mosaic virus] -MALVVKDDVKISEFINLSTSEKFLPAAMTSVKSVRVSKIDKVIATENDSLSDVDLLKGVKLIEEGYVCLA -GLVVSGEWNLPDNCRGGVSVCLVDKRMLRHDEATLGSYKTGAAKKRFAFKLIPNYSVTTADAKRNIWQVL -VNIKGVAMEKGFCPLSLEFVSVCIVHKTNIKLGLREKITNVSDGGPVELTEEVVDEFVESVPMAERLRKF -RGRSNKKSNKFVEKNNNKRGDKGGKLLKKIDNETETESSDAESSSY - ->YP_009182170.1 30 kDa movement protein [Tomato brown rugose fruit virus] -MALVKGKVNINEFIDLSKSEKFLPSMFTPVKSVMISKVDKILVHEDESLSEVNLLKGVKLIDGGYVHLAG -LVVTGEWNLPDNCRGGVSVCLVDKRMERADEATLASYYTAAAKKRFQFKVVPNYNITTKDAEKAVWQVLV -NIRNVKIAAGYCPLSLEFVSVCIVYKNIIKLGLREKITSVTDGGPMELSEEVVDEFMEEVPMSVRLAKFR -SKTGKKFSSKSENNSGNNRPKPDKNQRKEKGLKVRVEKDNLIDNELETYVADSDSY - ->YP_008802586.1 movement protein [Yellow tailflower mild mottle virus] -MAIALRDTVKINEFINLSKTEEILPAMFTRMKSVRISTVDKVVAKENDSVSEVDLLKGVKLVKNGYVNLV -GLVVSGEWNLPDNCRGGVSVCLVDRRMTRHSEATLGSYTAPACKKNFSFKLIPNYSVTTADAERRPWEVM -VNIKGVAMAEGWCPLTLEFVCVCIVHKTNVRTGLREKVTSVSEGSPIELTEDVVDEFFESVPMARRLQNL -RNRRGNINRNKSQVYKKKNKNNIGDALKERIDEVKDVENKRYDNDDYSIEESSDSY - ->YP_008492930.1 29.8 kDa movement protein [Tomato mottle mosaic virus] -MALTVSGKVRISEFIDLSKSERLLPSMFTHVKSVSVSKVDKVMVNEEDSLSEVNLLKGVKLIDGGYVCLA -GLVVSGEWNLPDNCRGGVSICLVDKRMQRADEATLGSYYTGAAKKRFQFKIVPNYAITTKDAERNIWQVL -VNIRNVKMAGGFCPLSLEFVSVCIVYKNNIKLGLREKITRVDDAGPIELTEEVVDEFMESVPMSVRLAKF -RTKSSKRGPKHNSNNTNERKGRSNFRKKQDQESYGVSDSLDNLIEDDTETSVAGSDSY - ->YP_005476602.1 movement protein [Ribgrass mosaic virus] -MSMVSYEPKVNDFLFLTNKEKILPKALTRLKTVSISTKDIISVKESETLCDIDLLIDVPLDKYRYVGILG -AVFTGEWLVPDFVKGGVTISVIDKRLVNSKECVIGTYRAAAKSKRFQFKLVPNYFVSTADAKRKPWQVHV -RIQDVKIEAGWQPLALEVVSVAMVANNVVMKGLREKIIAISDPDVEGFEGVVDDFVDSVEAFRAVDNFRK -KKRKIGEKEAVSRNKYRPEKHAGPNSLYIKEENVLQHHELESVPVLRSGVGRTHTNA - ->YP_001974325.1 movement protein [Brugmansia mild mottle virus] -MTLSIRDSFKIDEFINLSKVEKAVPALFSRVKTVRVSTVDKIMATKNDSLSDVDLLKGVKLVNNGYVCLV -GLVVSGEWNLPDNCKGGVSVCLVDKRMKRANEATLGSYHSRACKKNFSFKLIPNYSITTADAEKQPWQVL -VNIRGVQMEQGYCPLSLEFVSVCIVHKNNVRKGLRERITNVAEGGSLELTEEVVESFVNDVPMAVRLNHL -RNGNKKEGFSKGKYVNNNAKERSVNKFVKKSVNGKGGLKVKEISTSVEDYNSSEVSSDSFI - ->YP_001333652.1 movement protein [Bell pepper mottle virus] -MAIVVKDKVNVNDFINLSNSEKYLPSNSEKYLPSLMTPVKSVRISKVDKVLARENETLSEVDLLKGVKLI -EGGYICLAGLVVTGEWNLPDNCTGGVSVCLVDKRMERASEATLGSYYTGAAKKRFQFKVTPNYSVTTDDA -KKNIWQVLVNIKGVRMSAGFCPLSLEFVSVCIVFKNNIKLGLREKITRVTDAGPMELTEEVVDEFIENVP -MAARLARFRTKSSKTGPKKFVRNSRDSKVRSNNLNRGVRPKFEEEGIVSDKLINDESESIDADSDSYL - ->YP_001041891.1 30 kDa protein [Rehmannia mosaic virus] -MALVVKGKVNIGEFIDLTKTEKLLPSMFTPVKSVMCSKVDKVMVHENESLSEVNLLKGVKLIENGYVCLA -GLVVTGEWNLPDNCRGGVSVCLVDKRMERADEATLASYYTAAAKKRFQFKVVPNYAITTHDAMKNVWQVL -VNIRNVKMSAGFCPLSLEFVSVCIVYRNNIKLGLREKITTVKDGGPMELTEEVVDEFMEDVPMSVRLAKF -RSRTGKKNNVKKVKFEGVDRSVPKMNKGKSRNNGGVFERNIFIDNDSETNVAEPDSF - ->YP_762619.1 movement protein [Streptocarpus flower break virus] -MAIVLSKPKVSEFLNLTKAEEILPKFLTRLKTVAISTRDVVSVKGTTDLVDIDLLRDVPVNNWRYVGIVG -IVASGEWLLPDNVSGGVAISFVDKRLVDSREAILGTYRAAAVEKRFQFKLIPNYFVSQEDALRRPWQVQV -SLKGLKFEEGFSPLTLEFVSVVVCANSVVTKGLRERLNNVGDPNVEVSEVVVDEFVDSISASQSLSRARN -KYVRGNGKVGNNSGGFSKYNRHQPERFAGKAMYNSKNVVRGGTSEPTAILHKRMGGSNSIDESLFSVAES -DLSDSGCA - ->NP_740758.1 movement protein [Youcai mosaic virus] -MSYEPKVSDFLALTKKEEILPKALTRLKTVSISTKDVISVKESESLCDIDLLVNVPLDKYRYVGVLGVVF -TGEWLVPDFVKGGVTVSVIDKRLENSKECIIGTYRAAAKDRRFQFKLVPNYFVSVADAKRKPWQVHVRIQ -NLKIEAGWQPLALEVVSVAMVTNNVVVKGLREKVIAVNDPNVEGFEGVVDDFVDSVAAFKAIDSFRKKKK -RIGGRDVNSNKYRYRPERYAGPDSLQYKEENGLQHHELESVPVFRSDVGRAHSDA - ->NP_671720.1 30kDa protein [Paprika mild mottle virus] -MSSKSIVNIEEFINMSKAEEVLPAALTRMKSVRISTVDKIMATDNDNISEVDLLKGVKLIKNGYVCLVGL -VVSGEWNLPDNCRGGVSVCLIDKRMQRHNEATLGSYTAKASKKNFSFKLIPNYSITSQDAERRPWEVMVN -IRGVAMEEGWCPLSLEFVSVCIVHKNNVRKGLREKVTTVSEDSAIELTEEVVDEFIEAVPMARRLQNFRK -PKNNISKNFVNKGSSKPRNIPKLNEDKVFKLEKGLKGVKGMTNASRDDSSSEISSDSFN - ->NP_620843.1 30K protein [Obuda pepper virus] -MSKAIVKIDEFIKLSKSEEVLPSAFTRMKSVRVSTVDKIMAKENDNISEVDLLKGVKLVKNGYVCLVGLV -VSGEWNLPDNCRGGVSICLIDKRMQRHNEATLGSYTTKASKKNFSFKLIPNYSITSQDAERRPWEVMVNI -RGVAMSEGWCPLSLEFVSVCIVHKNNVRKGLREKVTAVSEDDAIELTEEVVDEFIEAVPMARRLQNLRKP -KYNKEKENKNLNNKNSIGVSKPVGLERNKVRSVVRKGVRSDSSLGVTDMSQDGSSSEISSDSFI - ->NP_619742.1 movement protein [Pepper mild mottle virus] -MALVVKDDVKISEFINLSAAEKFLPAVMTSVKTVRISKVDKVIAMENDSLSDVNLLKGVKLVKDGYVCLA -GLVVSGEWNLPDNCRGGVSVCLVDKRMQRDDEATLGSYRTSAAKKRFAFKLIPNYSITTADAERKVWQVL -VNIRGVAMEKGFCPLSLEFVSVCIVHKSNIKLGLREKITSVSEGGPVELTEAVVDEFIESVPMADRLRKF -RNQSKKGSNKYVGKRNDNKGLNKEGKLFDKVRIGQNSESSDAESSSF - ->NP_597748.1 Movement protein [Tobacco mosaic virus] -MALVVKGKVNINEFIDLTKMEKILPSMFTPVKSVMCSKVDKIMVHENESLSEVNLLKGVKLIDSGYVCLA -GLVVTGEWNLPDNCRGGVSVCLVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYAITTQDAMKNVWQVL -VNIRNVKMSAGFCPLSLEFVSVCIVYRNNIKLGLREKITNVRDGGPMELTEEVVDEFMEDVPMSIRLAKF -RSRTGKKSDVRKGKNSSNDRSVPNKNYRNVKDFGGMSFKKNNLIDDDSEATVAESDSF - ->NP_543051.1 unnamed protein product [Wasabi mottle virus] -MSTVSYKPKVSDFLSLSRTEEILPKALTRLKTVSVSTKDVISVKESESLCDIDLLVNVPLDKFRYVGILG -VVFTGEWLIPDFVKGGVTVSVIDKRLENSKECIIGTYRAAAKDRRFQFKLVPNYFVSVTDAKRKPWQVHV -RIQNLKIEAGWQPLALEVVSVAMVTNNVVVKGLRERVIAVDDPNVEGFEGVVDEFIDSVAAFKAVDNFRK -KKRKIGGRDVISKYKYRPEKYAGPDSLLNKEENVIQHHELESVPVFCSGMGRAHSDA - ->NP_078448.1 unnamed protein product [Tomato mosaic virus] -MALVVKGKVNINEFIDLSKSEKLLPSMFTPVKSVMVSKVDKIMVHENESLSEVNLLKGVKLIEGGYVCLV -GLVVSGEWNLPDNCRGGVSVCMVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYGITTKDAEKNIWQVL -VNIKNVKMSAGYCPLSLEFVSVCIVYKNNIKLGLREKVTSVNDGGPMELSEEVVDEFMENVPMSVRLAKF -RTKSSKRGPKNNNNLGKGRSGGRSKPKSFDEVEKEFDNLIEDEAETSVADSDSY - ->NP_062915.1 28.5 kDa protein [Tobacco mild green mosaic virus] -MAVSLRDTVKISEFIDLSKQDEILPAFMTKVKSVRISTVDKIMAVKNDSLSDVDLLKGVKLVKKGYVCLA -DLVVSGEWNLPDNCRGGVSVCIVDKRMKRSKEATLGAYHAPACKKNFSFKLIPNYSITSEDAEKHPWQVL -VNIKGVAMEEGYCPLSLEFVSICVVHKNNVRKGLRERILSVTDGSPIELTEKVVEEFVDEVPMAVKLEKV -PENKKEMVGNNVNNKKINNSGKKGFKIEEIEDNVSDDESIASSSTF - ->NP_046153.1 unnamed protein product [Turnip vein-clearing virus] -MSIVSYEPKVSDFLNLSKKEEILPKALTRLKTVSISTKDIISVKESETLCDIDLLINVPLDKYRYVGILG -AVFTGEWLVPDFVKGGVTISVIDKRLVNSKECVIGTYRAAAKSKRFQFKLVPNYFVSTVDAKRKPWQVHV -RIQDLKIEAGWQPLALEVVSVAMVTNNVVMKGLREKVVAINDPDVEGFEGVVDEFVDSVAAFKAVDNFRK -RKKKVEERDVVSKYKYRPEKYAGPDSFNLKEENVLQHYKPESVPVLRSGVGRAHTNA - ->NP_056811.1 34KDa movement protein [Odontoglossum ringspot virus] -MGRLRFVVLLSIFPIKTFSEPCYTMALVLRDSIKISEFINLSAFEKLLPSALTAVKSVRIPKVDKIISYE -NDTLSDIDLLKGVKLVENGYVCLAGLVVTGEWNLPDNCKGGVSICLVDKRMKRANEATLGSYHTSACKKR -FTFKIIPNYSVTTADALKGIWQVMTNIRGVEMEKGFCPLSLEFVSICVVYLNNIKLGLREKILNVTEGGP -TELTEAVVDRFVEKVPMAARLKSFRSVNKKKPSNSSKFVNGKSRLNSRNKLNYENGDSDVGTSVVDDIVV -GNGVSDIRIDDDCESFDAQSESY - ->sp|Q9YJQ9.1|MVP_TOMS1 RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -MALVVKGKVNINEFIDLSKSEKLLPSMFTPVKSVMVSKVDKIMVHENESLSEVNLLKGVKLIEGGYVCLV -GLVVSGEWNLPDNCRGGVSVCLVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYGITTKDAEKNIWQVL -VNIKNVKMSAGYCPLSLEFVSVCIVYKNNTKLGLREKVTSVNDGGPMELSEEVVDEFMENVPMSVRLAKF -RTKSSKRGPKNNNNLGKGRSGGRPKPKSFDEVEKEFDNLIEDEAETSVADSDSY - ->sp|Q84135.1|MVP_ORSVS RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -MGRLRFVVLLSIFPIKTFSEPCSTMALVLRDSIKISEFINLSASEKLLPSALTAVKSVRISKVDKIISYE -NDTLSDIDLLKGVKLVENGYVCLAGLVVTGEWNLPDNCKGGVSICLVDKRMKRANEATLGSYHTSACKKR -FTFKIIPNYSVTTADALKGIWQVMINIRGVEMEKGFCPLSLEFVSICVVYLNNIKLGLREKILNVTEGGP -TELTEAVVDEFVEKVPMAARLKSFRSVNKKKPSDSSKFVNGKSRLNSRNKLNYENGDSDVGISVVDDIVV -GNGVSDIRIDDDCESFDAQSDPY - ->sp|P18338.2|MVP_TMGMV RecName: Full=Movement protein; AltName: Full=28.5 kDa protein; AltName: Full=Cell-to-cell transport protein -MAVSLRDTVKISEFINLSKQDEILPAFMTKVKSVRISTVDKIMAVKNDSLSDVDLLKGVKLVKNGYVCLA -GLVVSGEWNLPDNCRGGVSVCIVDKRMKRSNEATLGAYHAPACKKNFSFKLIPNYSITSEDAEKNPWQVL -VNIKGVAMEEGYCPLSLEFVSICVVHKNNVKKGLRERILRVTDDSPIELTEKVVEEFVDEVPMAVKLERF -RKTKKGKKRKKEKKKRVVGNSVNNKKINNSGKKGLKVEEIEDNVSDDESIASSSTF - ->sp|Q98746.1|MVP_TMVRA RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -MALVVKGKVNINEFIDLTKMEKILPSMFTPVKSVMCSKVDKIMVHENESLSEVNLLKGVKLIDSGYVCLA -GLVVTGEWNLPDNCRGGVSVCLVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYAITTQDAMKNVWQVL -VNIRNVKMSAGFCPLSLEFVSVCIVYRNNIKIGLREKITNVRDGGPMELTEEVVDEFMEDVPMSIRLAKF -RSRTGKKSVVPKGNFSSRDRSQPNKNYGNAKDFGGMSFKKNNLIDDGSETSVAESDSF - ->sp|Q9QDI8.1|MVP_RMV RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -MSYEPKVSDFLALTKKEEILPKALTRLKTVSISTKDVISVKESESLCDIDLLVNVPLDKYRYVGVLGVAF -TGEWLVPDFVKGGVTVSVIDKRLENSRESMIGTYRAAAKDRRFQFKLVPNYFVSTADAKRKPWQVHVRIQ -NLKIEAGWQPLALEVVSVAMVTNNVVVKGLREKVIAVNDPNVEGFEGVVDDFVDSVAAFKAIDSFRKKKK -KIGGRDVNNNKYRYRPERYAGPDSLQYKEENGLQHHELESVPVFRSDVGRAHSDA - ->sp|P29800.1|MVP_TOML2 RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -MALVVKGKVNINEFIDLSKSEKLLPSMFTPVKSVMVSKVDKIMVHKNESLSEVNLLKGVKLIEGGYVWLV -GLVVSGEWNLPDNCRGGVSVCMVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYGITTKDAKKNIWQVL -VNIKNVKMSAGYCPLSLEFVSVCIVYKNNIKLGLREKVTSVKDGGPMELSEEVVDEFMENVPMSVRLAKF -RTKPSKRGPKNNNNLGKGRSGGRPKPKSFDEVEKEFDNLIEDEAETSVADSDSY - ->sp|P29799.1|MVP_TOMLA RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -MPLVVKGKVNINEFIDLSKSEKLLPSMFTPVKSVMVSKVDKIMVHENESLSEVNLLKGVKLIEGGYVWLV -GLVVSGEWNLPDNCRGGVSVCMVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYGITTEDAEKNIWQVL -VNIKNVKMSAGYCPLSLEFVSVCIVYKNNIKLGLREKVTSVNDGGPMELSEEVVDEFMENVPMSVRLAKF -RTKSSKRGPKNNNNLGKGRSGGRPKPKSVDEVEEEFDNLIEDEAETSVADSDSY - ->sp|O91275.1|MVP_TMVB RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein -MALVVKGKVNINEFIDLTKMEKILPSMFTPVKRVMCSKVDKIMVHENESLSEVNLLKGVKLIDSGYVCLA -GLVVTGEWNLPDNCRGGVSVCLVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYAITTQDAMKNVWQVL -VNIRNVKMSAGFCPLSLEFVSVCIVYRNNIKLGLREKITNVRDGGPMELTEEVVDEFMEDVPMSIRLAKF -RSRTGKKSDVRKGKNSSSDRSVPNKNYRNVKDFGGMSLKKNNLIDDDSEATVAESDSF - ->sp|P03582.1|MVP_TMVOM RecName: Full=Movement protein; AltName: Full=30 kDa protein; AltName: Full=Cell-to-cell transport protein; Contains: RecName: Full=27.9 kDa protein; Contains: RecName: Full=25.3 kDa protein; Contains: RecName: Full=19.5 kDa protein -MALVVKGKVNINEFIDLTKMEKILPSMFTPVKSVMCSKVDKIMVHENESLSEVNLLKGVKLIDSGYVCLA -GLVVTGEWNLPDNCRGGVSVCLVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYAITTQDAMKNVWQVL -VNIRNVKMSAGFCPLSLEFVSVCIVYRNNIKLGLREKITSVRDGGPMELTEEVVDEFMEDVPMSIRLAKF -RSRTGKKSDVRKGKISSSDRSAPNKNYRNVKDFGGMSFKKNNLIDDDSETTVAESDSF - diff --git a/seq/clusters_seq/cluster_163 b/seq/clusters_seq/cluster_163 deleted file mode 100644 index c63241f..0000000 --- a/seq/clusters_seq/cluster_163 +++ /dev/null @@ -1,309 +0,0 @@ ->YP_009551624.1 outer capsid protein VP5 [Guangxi orbivirus] -MGKFTNALSRIGRGFKNVVTSETTRKIVSNVGNVAMRALESEVGQKVVAGVVQGIAEASISDVDTGAAVK -RAVIGNVLGIHDPPIDPLNPGEQLLANRIREINGEMKAIESFDRHSAAVQRKLNTSIEKLNKVVKNTAEA -TLEEEDQVGNLDVAMRSMLEITEHETKNLQMLHEALGKEARLRNREENKLIEAMKLNYQAMANTVKAEKD -AIMEEAIEQIVDIGGEIAEHLAAEAPLVGESIAAGMATARGVQQMYKLNKTISRISGVPVPHIELPAISP -VAVDTLFNSEAINESTLQKVINSKLRHIEEIKKEVVHLNEVLNTELKKKSVEENRKVGVPENTVHHVVRS -QFHVPRTKRPGVHIYTAPYDSEYILILLFVSPYSIHRSFMVCVDLAIDFVYYNDIAHGGTRVHKGPKGAS -GMLNFRNGCKDFFKESASHAGSTRMHSERLLRSAGGEPMYITSMQYPYSFVQTRKHAEVFCKNPEVQKHI -LRGPLNMQRTSVLNAIFHGVTLVSMAKSRSVQQGTRVQRPRS - ->YP_009507723.1 VP5 [Orungo virus] -MGKFVKALKKIGRGTVKALTSDAAKKLYTTLGKAATRFAESEVGSAAIDGLIQGTAQTLLHGGSASENIK -QAVVLGVLHSMETPADPLSPGEQGVYDKIKEIKREEKEDRFFEVYQEKIDEELGSDMKRMREVAKGDKQA -IKEMGGEIKVIEKAFDGLIKIDKIQDMKLTQLYGALAKERTERTQDEIKMVNDYTGKIEALQSAITVERD -AMQEEALQEIIEMGTDVIETASEEVPIFGPAAANVVATGRMVEGTLKLKQVIEKLAGLDVSHTTRDRIEP -KVINAILDHEGGVVPEKELAIGVYNKLQTVREHMAEHSHLKEMAIPRLQKLYTEHGDEWHPKIITQMKVA -REHQPQIHIYCAPWESDDVFMLRVYAPHHIGCGLFLGVDLESEFIFYEDLIAENHVLRGGVIEVVGRNFR -QAVREFLLMAASKIENTMHVKRLVRSSASSPIYLSSIHYECTFDEIKQNVLQIVHDEQLQMHLLRGPKHF -QRRALMAAVVKGVKILEGPDTKALMLQGL - ->YP_009507710.1 VP5 [Lebombo virus] -MGKFIKALKKIGKTTGRVLTSDSAKKIYSTLGNAALRFVESDVGNATIDGLIQGTAQSIINGENLGESIK -QSVVLNVLHSLDSPPDPLSPGEQGVYDKLKDLDRISKEQMLFEKYQNEIQQQLGEEVIKLRKYALGEERM -IEELGGEVKAVDYALDGLIKIDKLQEGQMQRLYTALSKERIQRTQDEVAMVKDYNDKIEALQSAISVERD -ALQEEAVQEIVEMATNVVETASEEVPVFGAATANVIATGRAIEGTLKLKNVIEKLSGIDLSHTIRTRVEP -RTLDAVLDYPDSAVPEKRLAEGVYSKLTTIREHLQEHEHVKKTMVPKLEQWYKDNNNKWHPKVINQSKIP -RESQPQIHVYCAPWDSDYIFMLRVNSPHHMECGFFFGIDLQQEFVYYEDLNQEAHHLNRGAIEVAGRSFR -QAYREFLAASANREAPGKHHDRLLRSANGSPIYLASLHYSGSYEEVKMNALRVVHDEKLQLHLLRGPLHY -QRRIIMAAIMHGVKILEEPFDTRFLLQGL - ->YP_009507699.1 VP5 [Eubenangee virus] -MGKFLNKLNKIGKKTWSALNSSTAKKVYSTIGKAVEAVASSEIGSAAIDGVIQGTVQAAITGESYGESIK -QAVLLNVLGKADSLPDPLSVGEQALAKKVKELEEHEKNEQVYNMYSEQILNTFGRELEDVRKFALGTLKE -EDKMENQIQILSSAIDGYGKILGHEINGLERLSMALQKETLARTADERKMVKEYREKIDALAQAVETERE -GIQEEAFQEMITMSTDVLEAAAEEVPIFGSAAAAAIATGRAIEGGLKLKKVIESLTGINLSHMSTPKIQP -ETVRVLAIKDSPEDIEDKELVLGVKQKLRVIKENAAEIQHIKQEIYPRIVKAAKEDHKILGHKSEKMLHP -LTITKFSIPQNEHPQIHVYSAPWDSDEVFMFHCIPPHHQEESFFLGFDLELEYVMYVDLTVRKHKLNREV -QEVTGVDFKTAYSDFLNLAADVEGASFIHRKRLLRSRVNHPIYLGPRDYEVDFETLRTNALELVFDEELQ -MHVLRGPLHFQRRAIMASLRYGVEVMNQKFDRDLFLKYA - ->YP_009507690.1 VP5 [Equine encephalosis virus] -MGRFTKMLSRAGGAIKKAVTSQGAKKMYSALGEMAIKAANSEIGQAAMEGLVQGTIQSAIEGGSYGSNIK -QAMLLSVAGRLDAPPDPTSPGEVAMRNAIIKLKAEEEEDRVFEKHNEAISRIVGEDVMKLRDIVKKGEVV -QGDEIKTVETALEGLIRLNEKRTMDISQLTDAINKERVDRNEDEREMVDNYMDNIHQIKHALEVEQEAMH -EEAIQEGLDMAAEVLEHASEEVPIVGAGLATAAASARAIEGGLKVKEVINKIMGVDLTHIGHKPVLPSTI -STIIQNRGKQIDERQMVRSLIEKKNILEEERREVEHIRSEVLPKFKQAVSENGEAWHPKLARTTYIPMTQ -KPKIHVYSAPYDSDDIMIVKALSPHHAGLGFFIGFDLALDFVHFVDIHPESHVLRGGGIEVAGPSFSSAY -REFFDIASNSDDVSTVQRRRLQRSGREHPIYVGSFDYDISYDMLKSNALSLVHNDDLQMHVLRGPKHLQR -RMIMAAMMHGVEIIKPPESGFLSFW - ->YP_009507736.1 VP5 [Warrego virus] -MGKFIKSLNTIGKKAWNAVNSDAAKKFYGIVGKAATRFAESEIGSATIDGLVQGTVNSLLTGESYGDSVK -QAIVLNVLSSADAKPDPLSPGEQSMSHRIKELEEIEKSEQIFQKYEKELLKIMGNEVKEVRDYATKVHDE -TQASDKQIEILEKAVRGYGKLIKHETENVSKLSSALKKEIEARTSDELKIVDDYKYKIDALRNAIEVEKE -SMQEEAVEEIITMSTEVLEAAAEEFPIVGAATASAIATARAAEGAFKLKKVIKMLSGIDLSHVDTPRLQP -AVIKAILDTPKEEEIKDLALARAIDDKLEVLRENCDEINHLENEIVPLFKKIAKEDAKRLGVLEHLIHPI -TVSKFIIPKNEKPYIHIYTAAWDSDEVFMFSVHPPHHQAQSFFLGFDLTLEYVFFEDTSVKWHMMRSGVQ -NVSGRTFAQACKEFLNLASTVQGGSEIHSKRLLRSSRDTPIYMGSMRYEVSFRIMRSNALELVHNEDLQK -HILKGPKHFQRRTILEALRYGVHIMDRKMDMTLFASTM - ->YP_009507684.1 VP5 protein [Corriparta virus] -MGKITNALSKFGGAVSKAVKSNTAKKIFKAAIDGAGRVAQSELGQRAISGLIEGAATAALTDGSYGEEIK -RAVILNVAGVSDVVTDPLNPIEHALAQKVNRIDKVIRSNADIDKYGKVLKKIDGIGTELTKVEKYLQISH -ETEISEQEEIEALEAAMKAMGMVVGEEKKNLAILERALRKEERMRSADEKRMIEYMKRNYEHLAEIANKE -KESIIEEALEQTIDIGGEIAEHLAAEVPLVGEGVAAGMATARGAVQIYKLGKVISELTNTPIHHVELPMI -TPEGLQVLYEESDPTEDQNLLRIVSSKLKHVEEVDKEVVHLAEKVVPVVVKQAATDSLELGGSGKGVPMR -TRASNHVPRNQRPAIHFYTAPWDSDFVIIFHVIAPYSSDASFMLALDLATDYVGYYDIYKDYVSECSEID -SYFNLQHAVDDFLIEASAVGGSTEIHAERLQRGVGTSAIYVGSQEYRVSFEAMREHAKRIVQDPSVQMHL -LRGPLSMQRTSLLNALMHGITILSDTPTGGMTTQCTC - ->YP_009345884.1 VP6 [Orbivirus SX-2017a] -MGKIIKSLSKFGKKVSSALTSNTAQKIYKTIGKAAERFAESEIGSAAIDGVIQGSVQSILTGESYGETVK -QAVLLNILGSGDEIPDPISPGEVGMQKKIRELEDDRKYDQIRIKHNEKLVNIYGKDLKMVHEYLTKEQAV -EEAEESQINVLEAALRVQDKIITKEEESLMMLERALIKEAAERSDNETKMVSEYRSKIEALKGAIEIEKE -GMQEEAIQEIAGMTADVLEAAAEEVPIAGAGMAAAIASARAVEGAYKLKEVIHALSGIDLSHLRTPKVQP -TTLRAIMGSDLVTDRQLAIGVGAKLTGVRENGREVKHIVEEVLPKFKEVLEDDLEEIGAADKKKIPIQTA -MKFRIPFTQQPMLHIYAAPWDSDDVFMFHVISHHHKNESFFLGFDLGLEFVYYEDLSMHWHILGGRAQEA -VGRTFREAYSEFLLLASRSEHSSAIHQKRLTRSARVHPIFLGNQHYDISYEELKMHALVLVNDENLQQHV -LRGPIHFQRRAILGALLRGVEVIDSKIDLALFLRNA - ->YP_009158906.1 outer capsid protein 2 [Chobar Gorge virus] -MSTRRSFGSRIAGALSRAGSAVGRALTSTTARRAVSAVGRGALKLANSEFGQRAIAGVVEGAVSSAITGE -SLGDSMQRAVILNLAGVHAPVPDPLNAVEGENIKAICDLKEHVRDDDAKIARIAEMEKWNSAALKNLRGT -VKKVEDAENHEQCEIEAVKTVSKTIADLASHEEQGVRRLVHALSMEDRYRTEAEKKMIEAIRTNADSMSR -ALETERQALIEEAVEQTIDIGGEIAEHAAASVPLVGEAVATGMATARGAMQIYKLGKTISALSGLHTEHV -MLPAITPEGLGVMLQNEGPVADATLLAIAQSRLEHVEEVARETRHINSRVVAELESEKAAQQAQNAAYKR -GLTQRLDTRIARKSTPQIHVYTSAFDSDYVLIFHVVGPYHTGAAFLLCVDLATDYVHFEEVGGQRHRYGA -PDELIVRDASHVMRDFLMAAATHQGATRMHEIRMRRSGHERPMYVQSVPYTVAYAHMLRNAQRIARDPAV -QIALLRGPLAMQRKSLLGALQYDVAILQPRMGYTTPAPPYAIVRR - ->YP_009158894.1 outer capsid protein 2 [Chenuda virus] -MSSKRGFGTRITGALSRVGQAIARAATSDTARRIATTAGRAVERAAKSEIGQRAIAGVVEGAVQSAVTGE -SFSETVKKAVILNVAGVHPTPPDPLNPAEIQTQLRLNQLQQENAREEAAIKHLTQIEKFQASEIDKVKKW -AKIEAQAEQDTQLQVTDLEDALKATSTLVAHEREGLEKLMRALKREDKFRTEDERHLIDSMKHNFGALVK -SVESERNALIEEAVEQTIDLGGEIAEHAAASVPLVGEAVATGMATARGAMQIYRLGKTIATLSGLHTAHI -ELPQIHQGALETAYLHQNPTSDQALVQVAQTRMSHVEEIATEVAHLQRELPARMRGAIQDCRVRAPEKIK -AIGHPMRMAAIHETHVPVTSRPMIHVYTSAFDSEYMMLFHIIGPYSAGSSFVFCVDLALDLFHLEETAPP -NHMLHVRTRQARRTYQAAFTDFFRAVASIPEATQRHATRLGWSAGEGVLHISSFPYSASYQQMLINARRI -ASDRRVQVSLLRGLLPMQRRAILNALQHGVVLIAPRWGVPPPPRR - ->YP_009158887.1 outer capsid protein 2 [Wad Medani virus] -MSRSLGSRIAGVLSRAGSAAARALSSNTARSIYRTLGNTATRVANSELGQRTISGLVEGAVNSAISGDPL -GDSLKKAVILNVAGVHAPPIDPLNPAEGAMQRQIQAIGMREVEDVRAIAHIADAEKKIDEELKKITELQK -IEAERDKLDERAVETVTSVVSASQKLIGMERQQLQRLSRALALEDSLRSEDDKKLIACMKHNFGALAANI -ESERNALIEEAVEQSIDIGGEIAEHAAASVPFVGESIATGMATARGAMQVYKLGKTISQLTGMHTAHMEL -PAIHQGALEVAHTHPNPTSDAALAQVVSSRLDHVREIENEWAHVDTQIVKASNEISRDIEKKMCEHLCKK -ADGRTKNPHHLQLATATATRVNSNAQPTIQILTSAWDSDAVLIFYVVGPYHIGDAFVLCVDLSLDLVLFE -DTRPPTHPHRVSHRGGARMHPAIIADFFRMVAGHPEVDAFHARRIGWSATEPPIRVQAIPYTTSYSVMRR -NAQRLASDAALQRMLLKGPRSMQRVSLLNALQHGAVILTRTRRTPLPPTFGR - ->YP_009047263.1 VP5 [Umatilla virus] -MGKISNAISKFGTGIKRAAKSDITKTILQAAASGVTRFAESEVGQRTIEGLVQGTAQTVLTGGSLGENVK -KAVVTNVLGTHDIVTDPLDPVKHQMAAQLDEIKDKVESDKLRAEMATVVKSKLAPINTELTKIENHLKQV -HNNGKIEQNEIEILESAIKALSHVVEIDQKGLKRLRHVLQKEERNRSSEEKAILNAMATNYESLRSMIAR -ERDGLIEEAVEQTLDISGEIAEHLAAEVPLVGETLATGIATGRGVVQMYRLGQLIAEMGNLPMDHVVLPA -ITQGSLEVMLTADDSLEEQHLMQILESKIEHVDSIKREVDHLSENVVPIITTAAHQESLRLGGKGTTIPQ -TVKAALHIPKKSRPAIHFYTAPWDSDYVVMFHVIGPYHSGESFLLCVDLATDYISRYDIYVPGHHASNPR -IQKDVMTLQMAMDEFLKEASQVGGSTYIHQERLARGVGQKAIYIGSQPYTSFYSSMYRNAEKLVREREVQ -MHVFRGPIALQRRTLLNVLMHGIVIINDNIREGRAFNRD - ->YP_008719928.1 outer capsid protein [Changuinola virus] -MGKIIKALNKFGKKTWNALTSNTAQKIYGKIGKAVDKVANSELGSAAIDGLIQGSVQSLITGESYGETVK -QAVLLNIMGGSEPIPDPLSPGEQAVIKELTTLKEKEKQDQIYNKYHKQIEEILGDEIKDVRKYVVEEAKE -GAEEEDQIEMLRKALTGYGKIINHEMTGVNKLAKALKKEIIDRTHDEEQMVVEYRNKIDALEHAIEIEKE -AMNEEAIQELISMSTDIVEAAAEEVPIFGAATAVAVASGRAVEGAYKIKQIISMLTGIDLGHMKTPVIQP -ETLRAILDRKEGKPIEDARLARGLDHKLEVLRENIEEVKHMESEIVPAIAKAAKDDAKLLGITEKMIHPR -TAMRFKIPVADQPLIHIYAAPWDSDTVFMFHCVPPHHQTASFFLGFDLDIEYVFYEDLIATKHVLRRGAQ -MVVGRSFQQAYQEFLMLARNVEGGGEIHKRRLLRSTQNHPIYMGNLHYEVSYGQLQANAMELVYNEELQA -HVLRGPIHFQRRSILGALKYGIEILGQALNMDTFLRDV - ->YP_008719914.1 VP5 [Mobuck virus] -MGKFSSALARFGRGIKNVATSDTMKRIASTAGTAIMKAAESEVGQKVIAGVVQGVAEAAITDVEVGGAIK -KAIIGNVVGVHELPVDPLNPTEQELNNKLRNLQREIKSTQALEQINQEAEAKLANNIEKLREVLLKESKI -TRSEQNQVEALDISMRSMIELTEHEARGLQELQDALIKEARARTRDETKMVEALKANYLSMSNVVKTERE -ALIEEAMEQTIDIGGEIAEHLAAEVPFIGEGIATGMATARGTMQIYKLATIISKLTGVDVRHAELPAISP -IAIDTLLTTDNINEGALQKIVLAKIKQVEDVHKELVHLNEVVNEEIQRKSADESLKTGSADTTIHHTLRS -NYHIPRNKRPGIHVFTAPYDSDYVLMFLINSPYSQHRACLVCFDLLIDYVMMQDISHGGTKLHKGPKGGN -LVNFKAAYKEFFRESARNAGSSTMHSERMSRSAGNEPLYVTSLHYPYSYTHTRKNAEIICRNQDVQKHLL -RGPLAMQRKAILNAIQHGVTIVTGSRSRSVQQGAKILK - ->YP_008658424.1 VP5 [Wallal virus] -MGKFINTLNRVGKKTWAALNSSTAKKTYQAIGRVVEKVAQSEIGSAAIDGLIQGTVQSMITGESYGDSIK -QAMILNILNTTESLPDPLSPGERSMVKRIKELEADNVNEKVYQKYNDQVIKLMGKEIKEVRDFALGQAKE -LESEEDQIKILTYAVDKYQEILGHEINGLEKLAKALAKESELRSNDEYKMVKEYRHKIDALMEAIKIEKE -SLQEEAVQQMVSMATDVLEATAEEVPIFGAATAAAIASGRAIEGAYKLKHVIENLTGINLNHVNTPKIQP -ETLKVLALKDTAEEVHDKELIRGIRQKIGNVRENMDEIVHIKEQIMPKFRKAVEDDAKILGLTNKKVIHP -VTKMKFKISKSDQPSIHTYAAPWESDYVFMFHCVPPHHQPYSFFLGFDLELDYVFFEDLNAKLHMLNTNA -QEVVGRSFKDAYNEFLTLASKTEGSTAIHTRRLARSKTSYPLYLSSDQYEIDFQTLRANAMEIVQNEEFH -KHLLRGPLHFQRRAILGALEYGLAIMDRPFNRNLFLKYAL - ->YP_003896063.1 VP5 [Great Island virus] -MTSKRLGTRLTGFLSRIGSGISKAVRSDTTKRVLSAAGRAAERAISSEVGQRAITGAVEGMITSATTGES -LGESVKRAVILNVAGVHQTVPDPLNPAEIETQTKLRELELANRREEAQIKHNKIMIERERDALKDVTQFA -KIQEHIDDDASAETKDLENALRAAKTLVKEERHQLDKVTKALIREDRLRSADERRLIEGMRHNYKALAKS -VEAERNALIEEAVEQTIDIGGEIAEHATAAIPLVGEAVASGMATARGAMQIYRLGKTIHAITGLHTSHCE -IPAIHQGAIETLLVSDAPTSDSSLAQITSTRARHLKEIESELDHLNAEVAPVVRKMCQDISAIAPDHMRG -KHRIAQMNAAHELRVPLKHRPMIHTYTSPWDSDYVLILHVVGPYHTGQAFVFCLDLALDLFHFEEVQSPS -HPFHHRHTAIRRTFIQVCTDFFMSSARHPGATRVHSTRMAWSADDSPLHIGSIPYETSYGQMLDNARSFS -STPQLQQALLRGPISMQRRTVLNAIMHGSVLVQARRRNLPAPVRRRC - ->YP_003240113.1 VP5 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MGKFIKQLSKFGKKVGGALTSNTAKKIYKTIGDTAVRFAESDIGSAAIDGLIQGSVESVLTGESYGETVK -RAVLLNVLGAGDEIPDPLSPGEQGIQRKIKELEDEMKGEVVRTKHNEQIIRRFGADLDEVYKFAVSEYKE -GVEEKDQFEILKKALTSYGELTKAEFGELKRLEKALQKESSERSKDESMMVKEYRQKIEALKDAIEVEST -GIQEEAIQEIAGMSADILESAAEEVPLFGGGVATSIATARAIEGGYKLKKVINALSGIDLSHLRTPKIQP -KTLEAILEAPTKEEIKDLSLVEGIQMKLQNLEENRNEVLHIQEEILPKLREAMIEDHKEIGDERDKRILP -KTAMRFKVPTTQQPVIQIYSAPWDSDDVFMFHCISHHHLNESSFLGFDLELEYVHYEDLTRHWHALGAAQ -EVTGRSLKEAYSEFFQLAAQIDGAGAIHQKRLIRSKSYHPIYLGAMHYDIAYRELKNNALKIVNDSEVQK -HLLRGPKHFQRRAILSALKDGVKLLGGVDLAEFMRYA - ->YP_460042.1 VP5 [Peruvian horse sickness virus] -MGKITNTLSRLGRGFKNILTSDTTKRVVSGIGTTIAKVAESEIGQRAIGGVVQGIAEATLTDSDYSSAIK -KAVIGNVLQIHDEPVGPLNPTEQKLSKKLNTLEREVNHVQLLERQSEKVEEKLAARIEKVKTALQKEGKI -LNEEENQMELLDTSVQSMLEIAEHENKNLAELNDALLKESRARTLVENRLVEAMKQNFRTMSNVVKAEKG -ALIEEALEQTIDIGGDISEHLAAEVPFIGESIASGMATARGVMQVYKLGQLISKLTGINIEHTEVPAISP -VLVETLLTEPTVNDAILQKVVYSKLKHVEEVKNELEHLSQNVFNELAKKAKDDNLHLESSDTVIHHSTRS -NYHVTTTRRPGAHIYTAPFDSDYIVIILVVSPYHQHRAVVLCVDLLNDFIMFQDVSHGGTRVHKGVRPTG -FPSFRNAIKDFFKESARNVMSTRMHSERMQRGVGNEPIYITSMLYPYSFLQTRRNAENICRSQEIQRHIL -RGPLNMQRKSILNGLFHGVTLVTTQRTRSIQQSGKVFAH - ->YP_443930.1 VP5 [Yunnan orbivirus] -MGKFTSALSRIGRGMKNIVTSDTTRRLASSIGSAAMRALESETGQKVVSGVVQGLAESALTDVDTGTAIK -KAVIGNVLNIHEPPTDPLNPTEQQLASKLAALNREVKSIEEFDKHSRAVENKLTASIEKLNKIVKNQNVV -TQAEENQVESLDTSMKAMIEITEHENKNLQILSDALTKEARLRSRDEAKMIEAMKANYQAMANAVKAEKE -AILEEAIEQSIDIGGEIAEHVAAEVPFVGESIATGMATARGIQQIYKLGQTISRLTGVSVQHAELPAISP -ATVETLLTQDTFNDSALQKIVNAKIKHVEEIKKEMEHLNDVMAAEIKKRAVEDGMRTGAPETTIHHVVRS -NFHIPKTRRPGVHIYTAPYDSDLVVIFLVVSPYSTHRAFVVCVDFAIDFVYFQEVTHGGTRLHKGPKTSG -QPNFRSACKDFFKDSASHAGSTKMHSERLTRSAGNEPIYVTSMYYPYSYVQTKRQAEQFCKNAEIQRHIL -RGPLSMQRTNVLNAILHGVTLITTTRSRSAQGTRIPISRPQNPLM - ->YP_052963.1 capsid protein 5 [African horse sickness virus] -MGKFTSFLKRAGNATKRALTSDSAKKMYKLAGKTLQRVVESEVGSAAIDGVMQGAIQSIIQGENLGDSIK -QAVILNVAGTLESAPDPLSPGEQLLYNKVSEIEKMEKEDRVIETHNAKIEEKFGKDLLAIRKIVKGEVDA -EKLEGNEIKYVEKALSGLLEIGKDQSERITKLYRALQTEEDLRTRDETRMINEYREKFDALKEAIEIEQQ -ATHDEAIQEMLDLSAEVIETASEEVPIFGAGAANVIATTRAIQGGLKLKEIVDKLTGIDLSHLKVADIHP -HIIEKAMLRDTVTDKDLAMAIKSKVDVIDEMNVETQHVIDAVLPIVKQEYEKHDNKYHVRIPGALKIHSE -HTPKIHIYTTPWDSDSVFMCRAIAPHHQQRSFFIGFDLEIEYVHFEDTSVEGHILHGGAITVEGRGFRQA -YTEFMNAAWGMPTTPELHKRKLQRSMGTHPIYMGSMDYAISYEQLVSNAMRLVYDSELQMHCLRGPLKFQ -RRTLMNALLYGVKIA - ->YP_052946.1 VP5 [St Croix River virus] -MSSSSRPGFLSRLGSSMARVLTSSKTQDMLKGIGRLASRAASSDIGKRLMEGVATGAITSAVTGANFLES -TKQAVIANVAGMDSPPIDPLSRGEITLARKVEHIEEVQLEDERKEALDKWITEKHANDNFAQALKAVGDA -KTMLNTEERQITLLERAGEALSTAVQRENASLNKVITALGKESAERTQDENALVAYVSRGISNLQEVVQK -EKQTMLAEAVEQTIEISGDIAEHAAASIPVVGEFVASGMATARGAVQLYKLIQLIKELSGLHVNHLTIPH -VGQRFLCDFLENIQDVANNPESALMNASERLRHVEELEEEAKHLNQHLIPAVKARALQTSSQHSTQRRGI -IPPIPLRQQPLIHIYTSAFGSDYVILFHVIAPYGDGRAFALMVDLEYGRVAYQEVYVYPSAVGPTRYTSS -GPPFFSAASRFFRMAASGEQDHSPHAAALRRSVAEDPFYIGSLPYRARYADMMENAYRIANSPIHQAHLM -RGPISVQRTAILNALQHGVALVPKNRV - ->YP_052932.1 outer capsid protein VP5 [Palyam virus] -MGRFVKFLKRAGKTTMNALTSNTAKSIYKTVGKTIQKVAESELGSAAIDGIIQGAARSALEGENLGESIK -QAVILNVMGAANAPPDPISPGEHAIYNRLAELEKEEQEDRFFDQNEKEIIDEYGEELRSIRQFGKGMISL -EESGMSEMEMIRKSIKGMEKIELKHTNDLQTLRRGLTKEASMRNQREQELISYFNNNMRVLQDAISVEQE -GLHEEAVQEILDMGAEVLETAAEEVPVLGAGAANAIASVRAVEGALKLKEVIQKLSGVDLSHMTYKSLQP -DKMSLLLRRNADGDVIQEKDLLEVVDNKLALVRELGDERKHLLENIVPKIEKMYDKHQKPMKVHAAMKIN -KEFHPKIHIYTAPWDSDYVFMFKCVAPHHKERGFFLGFDLELDFVYFEDLKVEAHQLIEGATEIVGRSFR -QIYRDFFYFAWNVSGASEIHKKRLQRSSSAHPIYLGSVDYQISYDQLYAHANQLVTNEELQLHVLRGPLH -FQRRTIMAALLHGVEIMTRPNFLTNDAQSSD - ->YP_052955.1 capsid protein VP5 [Bluetongue virus] -MGKIIKSLSRFGKKVGNALTSNTAKKIYSTIGKAAERFAESEIGAATIDGLVQGSVHSIITGESYGESVK -QAVLLNVLGTGEELPDPLSPGERGMQTKIKELEDEQRNELVRLKYNKEITEKFGKELGEVYDFMNGEAKE -VEAVEEQYTMLCKAVDSYEKILKEEDSKMAILARALQREAAERSEDEIKMVKEYRQKIDALKSAIEIERD -GMQEEAIQEIAGMTADVLEAASEEVPLIGAGMATAVATGRAIEGAYKLKKVINALSGIDLSHMRSPKIEP -TIIATTLEHRFKDIPDEQLAISVLNKKTAVADNCNEIAHIKQEILPKFKQIMNEEKEIEGIEDKVIHPRV -MMRFKIPRTQQPQIHIYAAPWDSDDVFFFHCVSYHHRNESFFLGFDLGIDVVHFEDLTSHWHALGMAQEA -SGRTLTEAYREFLNLSISSTFSSAIHARRMIRSRAVHPIFLGSMHYDITYEALKNNAQRIVYDDELQMHI -LRGPLHFQRRAILGALKFGVKILGDKIDVPLFLRNA - ->sp|P33475.1|VP5_BTV1S RecName: Full=Outer capsid protein VP5 -MGKVIRSLNRFGKKVGNALTSNTAKKIYSTIGKAADEFLESEIGSAAIDGLVQGSVHSIITGESYGESVK -QAVLLNVLGSGEEIPDPLSPGERGIQAKLKELEDEQRNELVRLKYNDKIKEKFEKELEEVYNFYNGEANA -EIEDEKQFDILNKAVTSYNKILTEEDLQNRRLATRLQKEIGERTHAETVMVKEYRDKIDALKNAIEVERD -GMQEEAIQEIAGMTADVLEAASEEVPLIGAGMATAVATGRAIEGAYKLKKVINALSGIDLTHFTHYENRP -SVVSTILEYRAKEIPDNALAVSVLIKERAIQENHKELMHIKNEILPRFKKAMDEEKEIMRDRRQMIHPKV -MMKFKIPRAQQPQIHVYSAPWDSDDVFFFHCISHHHANDSFFLGFDLSIDLVHYEDLTAHAHAIGAAQTA -AGRTLTEAYREFLNLAISKAFGTQMHTRRLVRSKTVHPIYLGSLHYDISFSDLRGNAQRIVYDDELQMHI -LRGPIHFQRRAILGALKFGCKVLGDRLDVPLFLRNA - ->sp|P30209.1|VP5_BTV2A RecName: Full=Outer capsid protein VP5 -MGKVIRSLSRFGKKVGSALTSNAAKKIYSTIGKAAERFAESEIGSAATDGLVQGSVHSILTGESYGESVK -QAVLLNVLGSGEEIPDPLSPGEQGMQTKLKELEEEQRNELVRLKYNDKIKEKFGEELEQVYEFMNGAAKE -EVGAEKQFDILSKAVNSYNKILTEEDQQMRRLAIALQKEIGERTHVETAMVKEYRNKIDALKSAIEIERD -GMQEEAIQEIAGMTADVLEAASEEVPLIGAGMATAVATGRAIEGAYKLKKVINALSGIDLTHLRTPKIEP -SVVSTILEYRAKDIPDNALAVSVLSKNRAIQENHKELMHIKDEILPRFKKAMDEEKEICGIEDKTIHPKV -MMKFKIPRSQQPQIHIYTAPWDSDDVFFFHCISHHHANESFFIGFDLSIDLVHYEDLTAHWHALGAAQMA -VGRTLTEAYKEFLKLAISSTYGTQMHTRRLIRSKTVHPIYLGSLHYDISFADLRGNAQRIVYDDELQMHI -LRGPLHFQRRAILGALKFGCKILGDRLDVPLFLRNP - ->sp|P12436.1|VP5_BTV1A RecName: Full=Outer capsid protein VP5 -MGKVIRSLSRFGKKVGNALTSNTAKKIYSTIGKAAERFAESEIGSAAIDGLVQGSVHSILTGESYGEYVK -QAVLLNVLGSGEEIPDPLSQGETEIQAKLRELEDEQRNELVRLKYNDKIKEKFGEELEEVYEFMNGAAKA -EVEDEKQFDILNKAVTSYNKILTEEDLQMRRLANALQKEIGERTHAETVMVKEYRNKIDALKNAIEIERD -GMQEEAIQEIAGMTRGVLEAASEEVPLIGAGMATAVATGRAIEGAYKLKKVINALSGIDLTHLRTPKIEP -SVVSTILEYRTRAIPDSALAVSVLSKNRAIQENHKELIHIKDEILPRFKKAMDEEKEICGIEDKTIHPKV -MMRFKIPRAQQPQIHVYSAPWDSDDVFFFHCISHHHANESFFLGFDLSIDLVHYEDLTAHWHALGAAQMA -MGRTLSEAYKEFLNMAISNAYGTQMHTRRLVRSKMVHPIYLGSLHYDISFLDLRGNAQRIVYDDELQMHI -LRGPIHFQRRAILGALKFGCKVLGDRLDVPLFLRNA - ->sp|P21230.1|VP5_BRD RecName: Full=Outer capsid protein VP5 -MTSKRLGARFPGFLNRIGSGITRAARSDTTKRIPSAAGRAVERVAASEIGQRAIAGVVEGAATAALTGES -VGESVKRAVILNVAGVHQTVPDPLNPVEIETQAKLRELDLANKREEAQIRHNKSMLQKEAQILGEVQHLM -TVQEHVDQAKYEVRSGRALQAAQAIVKGERQQLDRVTKALIRENEMRTTDERKLIEGMRHNYSALAKSVD -ADSALIEEAVEQTVDIGGEIAEHATASIPFVGEAVSAGMATARGAMQIYRLGKTIHAITGLHTNHCEIPA -IHQGAIETLLTSDSPTSDASLAQITSSRVRHLREIESELAHLDAEVKPAMQQMCQDIAKLAPDHLKKRRG -VIHMNAAHELRVPLKQRPMIHSYTSPWDSDYVLILHVVGPYHSGQAFVFCLDLALDQFHFEEDTGSKPPV -PPPRHRAPADFSARPAPISLSPLLAILTQRGCIGPGWPRVLMIRPCISALYRTRPPTRRC - ->sp|P69363.1|VP5_BTV11 RecName: Full=Outer capsid protein VP5 -MGKIIKSLSRFGKKVGNALTSNTAKKIYSTIGKAAERFAESEIGAATIDGLVQGSVHSIITGESYGESVK -QAVLLNVLGTGEELPDPLSPGERGIQTKIKELEDEQRNELVRLKYNKEITKEFGKELEEVYDFMNGEAKE -EEVVQEQYSMLCKAVDSYEKILKAEDSKMAMLARALQREASERSQDEIKMVKEYRQKIDALKNAIEIERD -GMQEEAIQEIAGMTADVLEAASEEVPLIGAGMATAVATGRAIEGAYKLKKVINALSGIDLSHMRSPKIEP -TIIATTLEHRFKEIPDEQLAVSVLNKKTAVTDNCNEIAHIKQEILPKFKQIMDEEKEIEGIEDKVIHPRV -MMRFKIPRTQQPQIHIYAAPWDSDDVFFFHCVSHHHRNESFFLGFDLGIDVVHFEDLTSHWHALGLAQEA -SGRTLTEAYREFLNLSISSTYSSAIHARRMIRSRAVHPIFLGSMHYDITYEALKNNAQRIVYDEELQMHI -LRGPLHFQRRAILGALKFGVKILGDKIDVPLFLRNA - ->sp|Q96597.1|VP5_AHSV9 RecName: Full=Outer capsid protein VP5 -MGKFTSFLKRAGSATKKALTSDAAKRMYKMAGKTLQKVVESEVGSAAIDGVMQGTIQSIIQGENLGAQFK -QAVILNVAGTLESAPDPLNPGEQHIIINVSEIERAEKEDRVIETHNKKIIERFGGHLLKIRKIMKGEAEA -EQLEGKEMMQVEKALKGMLRIGKDQSERITRLYRALQTEEDLRTSDETRMISEYREKFEALKQAIELEQQ -ATHGEAVQEMLDLSAEVIETAAEEVPVFGAGRANVVATTRAIQGGLKLKEIIDKLTGIDLSHLKVADIHP -HIIEKAMQSDKIPDNRLAMAIKSKVEVIDEMNTETEHVYDPSCLIVKKEYEKHDNKYHVNIPSALKIHSE -HTPKVHIYTTPWDSDKVFICRCIAPHHQQRSFMIGFDLEIEFVFYEDTSVEGHIKHGGAVSIEGRGFRQA -YSEFMNAAWSMPSTPELHKEKMQRSLGSHPIYMGSMDYTVSYEQLVSNEMKLVYDTDLQMHCLRGPLKIP -KGTLMNALLFAVKVA - ->sp|O71026.1|VP5_AHSV6 RecName: Full=Outer capsid protein VP5 -MGKFTSFLKRAGSATKNALTSDAAKRMYKMAGKTLQKVVESEVGSSAIDGVMQGTIQSIIQGENLGDSIR -QAVILNVAGTLESAPDPLSPGEQLLYNKVSEIERAEKEDRVIETHNKKIIEKYGEDLLEIRKIMKGEAEA -EQLEGKEMEYVEKALKGMLKIGKDQSERITRLYRALQTEEDLRTSDETRMISEYREKFDALKQAIELEQQ -ATHEEAMQEMLDLSAEVIETAAEEVPIFGAGQANVVATTRAIQGGLKLKEIIDKLTGIDLSHLKVADIHP -HIIEKAMLKDRIPDNELAMAIKSKVEVIDEMNTETEHVIESIIPLVKKEYEKHDNKYHVNIPSALKIHSE -HTPKVHIYTTPWDSDKVFICRCIAPHHQQRSFMIGFDLEVEFVFYEDTSVEGHIMHGGAVSIEGRGFRQA -YSEFMNSLVYPSTPELHKRRLQRSLGSHPIYMGSMVITVSYEQLVSNAMKLVYDTDLQMHCLRGPLKFQR -RTLMNALLFGVKVA - ->sp|P25179.1|VP5_BTV13 RecName: Full=Outer capsid protein VP5 -MGKIIKSLSRFGKKVGNALTSNTAKKIYNTIGKAAERFAESEIGSAAIDGLVQGSVHSIITGESYGESVK -QAVLLNVLGAGDEIPDPLSPGERGIQTKIKEIEEEQRNELVRIKYGKEIREKFGEQLEEIYQFMNGEVKG -EEEQEEQYKVLCKAVDSYENLLVTENEQMRTLARALQREATERTENESKMVKEYRQKIDALKVAIEVERD -GMQEEAIQEIAGMTADVLEAASEEVPLVGSGMATAIATGRAIEGAYKLKKVINALSGIDLSHLRTPKIEP -TMVATTLEHRFKEIPDKELAVSVLAKNDAIVANTKEIKHIKEEILPKFKKIMEEEKELEGIDDKKIHPRV -MMRFKVPRSQQPQIHIYSAPWDSDDVFFFHCVSHFHANESFFLGFDLGIDVVHFEDLAAHWHALGAAQEA -KGRTLNEAYREFLNLSIGSAFTSPMHARRMIRSKTVHPIYLGSMHYDITYETLKTNATEARYDEDLQMHI -LRGPLHFQRRAILGALKFGVKVLGDKVDVPLFLKNA - diff --git a/seq/clusters_seq/cluster_164 b/seq/clusters_seq/cluster_164 deleted file mode 100644 index b08d57c..0000000 --- a/seq/clusters_seq/cluster_164 +++ /dev/null @@ -1,762 +0,0 @@ ->YP_009001465.1 non-structural polyprotein [Avian hepatitis E virus] -MDVSQFAESKGVKTALEAAALAAANTALRNARVVTPYLTQQQTKNLLELFRGAQLRFEPRDNWAHPVQRV -VHDALEQYVRRAAGPNCLEVGAHPRSINRHQASHRCFLPPVGRDEQRWQVAPRRGLCNLIRRALLNGVKV -AREFCQLGFGACSHQCEVGIALYSLHDMRPADVACAMARHNMRTMYVVLHLPEEAMLPPGSYSNKFYNTV -NTADKCIITYADDSCAGYVHKREVLQDWITTTGVSGRHPMLIERVRAIGCHFVLLCTATQPCPMPYTPYP -SSNTVYVRNVYGPALGAGLFTPKCCVDATFYPVPRRVWQRLMMFGTTLDDDAFCCSRLLTYLRGISTKVT -VGNIVANEGWQPEEQQLTAVAIAAYLTVCHQRWVRTQGIARGVRRLQAEHAQQFWFKVWELFTNTGTVPG -YSAGFYRQLATWISGGLTIDFERRVFDKRVKCGCCCVCERRPADPGCLCIDDFPDGANGLVKLKKWPIRA -GTKSAVSKWAQVRVRADSTEDLIDLSVPKLLTLKELAAAAIRKQPSAPPSLHILDRRPVGDPRRPVNCAP -PAVSAGPVPAPPGNPVIESVQGSGAGGPEVSESQPGLTPTREVTNMPLPPQRGQEEVLAVLPSGARVIVG -NLLDVAADWLVNPANRDHQPGGGLCGMFHRRWPHLWPVCGEVQDLPTGPVIFQQGPPKVIHAPGPDYRIK -PDPDGLRRVYAVVHQAHGTVASPLISAGIYRAPARESFEAWAATARDGDLLVVQRSMAQHIRDFVLNEGR -HRPRELHVDRAMADMVNYGLATEPEPYNELVKGVEVAPMTVKYALIAGVPGSGKSSSVDHRGAVVITPTK -TLAREWSARGATAVTPHVAASAAPEGRVIVDEAYAIPPHLLVASLRRARDVVMLGDPHQIPALDFDGRCL -TSAVDLGLQPTSWRTVSHRCPWDVCIFLRTDYPTITTTSRVLRSVVFTGETIGQKIVFTQVAKQSNPGSI -TVHEAQGSTFDQTTIIATLDARGLIASSRAHAIVALTRHRERCSVIDVGGVLVEIGVTDAMFNNIEMQLV -RPDAAAPAGVLRAPDDTVDGLLDIPPAHTDVAAVLTAEAIGHAPLELAAINPPGPVLEQGLLYMPARLDG -RDEVVKLQLSDTVHCRLAAPTSRLAVINTLVGRYGKATKLPEVEYDLMDTIAQFWHHIGPINPSTLEYAE -MCEAMLSKGQDGSLIVHLDLQDADCSRITFFQKDCAKFTLDDPVAHGKVGQGISAWPKTLCALFGPWFRA -IEKHLVAGLPPGYYYGDLYTEADLHRSVLCAPAGHLVFENDFSEFDSTQNNVSLDLECELMRRFGMPDWM -VALYHLVRSYWLLVAPKEALRGCWKKHSGEPGTLLWNTVWNMTVLHHVYEFDRPSVLCFKGDDSVVVCES -VRARPEGVSLVADCGLKMKDKTGPCGAFSNLLIFPGAGVVCDLLRQWGRLTDKNWGPDIQRMQDLEQACK -DFVARVVTQGKEMLTIQLVAGYYGVEVGMVEVVWGALKACAAARETLVTNRLPVLNLSKED - ->YP_009553650.1 nonstructural protein [Rana hepevirus] -MEFSFGSLSKPQQTQLLDALLAKQCSSQIQERLRRAPKIVYNLTKEQKAYVQDAYGGDVVFTDSVPNTHP -VLRSLHSLAEHTINSKIVSKHLDIGADLRACDRRKHCSHACLKVDNARDQNRYLRATIEKQIKTNHCTQG -AESCSFICDVGVSVHSAYDISSRQWVEIFDQHQLKIVYCWLHLPREMLHTNRVSLPEVGADVIISGSRAQ -FLPHDASLGYEHNLDEWLKYIQHTGISNGVMNLTFQVEYWQGTQLHFTITRTTARGIMTRLTEPKVGNFV -RVPRLGCFKAKPPVLCPQQKIDATVAWGMKRQDGNFTYTNLATYAGAIKSSFKIGNNFISMPWHISSADL -HDVTITCYVYVCVLRYLRTQTLSKALERLRSEQSRGWFEKMWKSITESFVADKTWCYKIKMYNNDLHLLS -LLEEESKSIRLHCDSSCWKGTQYIKVDTPLRASTKATAGSVPIASPVLPITQNSPPHGLTSDFDDYDSDS -CSSLPAPPSDLDDFSLTKPAPDVDPYVNTTGSLRTLSSLNLSVSGKDARFQRRNSLPNLHTNNAELHSSV -SSTRPLGLRPVETYSNLKDLDFKVATDHLSDASSIGGLSYVSTDSKIKKRKRPSKKQRAERRVENYAHDC -KGQMRIRKGDYRTAWETGRYDCIVNASNCQMVLGTGIAAVVNDLFPGHQAKMTAHGPISEKQAVRIEQVI -HAVAPKNANKDQMVEVFDAVATQIKHGEHVLCCLLGAGVFTNDPTASKKVAWAAACLSTLSCCHVTFVDL -DPPHICKMQNIERYYDNWTTHMSNEVFNAARRTDADGEPDVFAPLNAELEEFVVKPGPCVGKVILHEGLP -GAGKSHGLKQQLKDDCRGVWVLVPTKKQKEEWEVTGAKVYTSTKGILEFLKTQEKPTTVIMDEWMMIHPW -VIATVLASCNVTLCGDPTQIGFIDFGGLGLDYDHKIWRKCGVTFQHSVTRRCPRDVVDQIFKHMYPNATT -TSKVERSVTFARKVPSAGQVITYTQRAKKKYKGAITVHESQGLTFDNVALVVTDDAAHLINTSSAHNTVA -FTRHTQSLVVVEDSTLFFSQAWDTPNLLADMFGFPHPLREGHMPPESYLTFDLMPTNTSKNSMGVQQSDL -VTVYRPTEGVTMRAVTSLNQPITGVVTGNEDAVVPVAAGAFINLSPDCEMHVRVSANNDAAAAFAAGNNR -YTGFQLRPSVTECVDRGNRLMEALSVFVDLRRFKDSFDEDKMCPATAAAFSDAYKKQGTRFAEAIDPDNI -PTELTAVKSFLKNQCKYAKDALHKEKVGQGISAWSKLANVLLCGYAREFERCLKDCMHQGVVYANGMSDD -EFDRSVRVFFCSKGVNFQNDFTEFDSTQGPVTKCFEKLMMRECGVPSAVIDLYSELRSQWRMVDQGKVCV -TNGEMRSSGEPFTLVGNTLVTMAVTAEMLQINDLSFAAFKGDDSIVNGDVVYKANDDYIFQQYGMKVKPD -VTEPPEFVSYILSPEGLHPDLVRIAGKAFGKTIDPSRIPSLRVALNDQVKRMNDHQCYERSLELNMRRHA -LTKAEAMILYESCENFIRGDRMELTPQMHLKLTSCGGLV - ->YP_009506650.1 polyprotein [Hepatitis E virus rat/R63/DEU/2009] -METHQYVAATGVNTAREAQATAAAATAFANAQVVRPYLSHSQASLLVELFSPLQLVFEPAVSWSHPIQRV -IHNFLEAYVRRKAGPCLEVGAHPRSINEHANVIHRCFLPPDGRDMQRWRDCPRRGPANNIRRCILAGRPA -TDLSFCTRGFERCRHYAEVGIALYSLHDLHPREVARAMRAHGMHTLFAVMHLPAEALLPDGVYTTRAYTA -RNLEGRLIVTYEGDTSAGYSHDRKSIRSWIKATRVRGCCALVIERVRAIGCHFVLRLTTVANPCPMPYTP -YPKATTIYVRSLFSAGGHIGPLGPPPLMCEKARSTFHSVPTDIWDRLMLFGATLDDEAFCCSRLMTYLRG -ISFKVTVGTVVANEGWTTDEYALTAVVVASYLTICHQRWIRTQGISMGIKRLAKEHQQGLLFRLGEWLLA -KVCGPKETFVPGRQLQFYRQCRDWVSAGFYVDLRELCFDREYACPCLPFERAVRKGCCCAARKLKQVCGC -FMSDVVEGLEDSCEDLTADFYPEPEVYEPTGLDNWTIRALTDAFHQASLRAVRAGAKLAGWPEIGLAFYI -APVGIVFRGEPLPGPPGRRLEAGPYWADAPPPSTPDEVPYQTPMSPDGPPPPASWTPGPISPRYVLPTPV -TYRGHPPGSAGFWESSPASTLEGSLSRHTSLQSVNLDPPRNPRVQPVQRVLLELLPDGAAVYEGDLFNSD -CFWLVNAANAQHNPGGGVCGAFYGRFPEAFDRTQFVHPNGSVAAYTVTPRPIIHAVAPDYRQRRDPAALQ -VAYQECLYRQETAAYCLLGSGIYQVPPEESMQAWLDHHLPGDEMYLLPAMSSWYRAWRAQAGAAGQDGLP -ASPPPPPTTPAPSPPGPPPAGPSGGADEGSAPKSPTHILVVTPGLANTANLALQQESEGPFGKFVGNAHV -PPGPVHYRFVAGVPGSGKSVGVRREDCDLVIVPTNQLKAQWRARGFPVMTPHVGLQHSRGKRLVIDEAPT -VAPHLLLCYMTAAADVVLLGDPRQIPAIDFESKGLIPAMQLNLEPTEWRLQSHRCPRDVCYLLAADYPGM -TTSNPVVRSLVFSGPGEGQRLVFTQAAKAMHPGSITVHEAQGSTFNTTTLIVTNDARGLLAASRAHCIVA -LTRHTDKCYVLDGPGLLKELGVTDSILHNFYLTQPVEVQARPAAVEKAERTANMQDIDAVPPAPPDVALH -QMAEAFGHRPLEVRAVVPPCPALEQGKLYTPFNMSGREQVTVLALSSTVHCRMAAPAHRLAVLSTLVGRY -GKETKLWHDDVEVVRESLRLLIPSLQGVRVSSCELAELVEAMVARGQDGTAVLNLDFTDKACTRITFFQK -DCNKFTTDEPVLHGKVGQGISAWSKTFVALFGPWFRAIEKAIVDTLPEWCFYGDCYTQEKLEAAVAGAKA -CRVFENDFSEFDSTQNNYSLGLECLLMKEAGAPEWMWRLYHLLRSGWVLQAPQESLRGRWKKHSGEPGTL -LWNTVWNMAVIMHCYRFDGLAVAAFKGDDSIVCCRFYQQEPRAAALITGCGLKLKVNFSDVGSYAGLLVA -CGLGVTPDVVRFLGRLSEKNWGPVKERKEDLEQSVRDFVARLRNVTALSCLLATRFYKLEPGLALNAVAG -LKAIAEGRMELKEYTWPVLQLEGKKE - ->YP_009337389.1 replicase [Shuangao alphatetra-like virus 1] -MDYGQTIFDKQRIAAAENILTTNIINDAVDKSFKPNVTLTAEQHKTVTMWLRTPIYPTQTTYSPHPEMKT -LLDFANQSLNATRLRKDIRVLEIGADERIKTVKNLHHVCHYYRGIKDQARHLTYQFMKERTTYHGQLLTD -DNTKRCNKGFNKCSVQADMIIGAASTMDLDATQFALGMEKHGALRAKLYMIIPPEITRPEITNLYTPYQL -RYYDQKHQIIKINDEQDILQIEYVEMLFANDTSSPYLHRYQSLLSWLKPGTYTDRRNYFIERVAWHGPLV -IIDVSAVLDGGRIGAYDIAFDENYIIVPNAAEAIITGRVNHCHSRMFPADIVTRVLTYAESLGQSNFDSA -AIASYIRSQQTQISVGKQVIVQRFLLKQNNDFNFMVINLTLIAALRNYKRTTLISMAMEDSREKLQSYRN -NDLEIVGDKRIHSIKQDIATYCSVHYTNLKKKLFHNLTKTLNSLMDLGYRKENVPLTFKNVEMWAGDSYL -LDQIDVQVFGQRYYTMDFRNQVDDEYGGILHDLNLGFHTVEESSESNEEIDEQPMDDKQLTMRRMLNELL -ILWEKCTIPNLSEGCVNFMNDHKIRHEQRLKDCDRILQNINITRGVPGSGKSYQALKTSDAKTLFIAPTR -ALAEEYKNLGKRALTFQIAFTKIETHAKIYNHVILDEAFTMHPGYAIVLGMWFKKVTLIGDPDQIGFIDF -DSALSPKHYTMIDLMGMIEPIRITSYNASMRFGNEYATKIGQHFGVNITGDPKKTTNIVYHDSLPDPKTK -LEGMTIALSQNTAQLYNINTVHQSQGQNSPIVNIIIPKYDSKLAASQNHMYVALTRASQTTNVYIESGLA -LEPKYDLRINFKNALERGAQNLYPLPNHETIKAEVVTQPDLAAPKPMVVNTPQAIDQILTKINVPNNGGL -RVYTKTKEIEYPVTIKGKFFELRGLDLSNELVMAGDRYSMETRSADKCTALRTLINRYNGRPFHTHYDDS -LTKAQLLFANVKHTLFRDDVKFIEGSSILDNQQKEIVNLVSKMLGRGSDKMVTDEIFDKRTWVTSYFLKE -QSKVKPGGADGSLTGKAGQGVNATSKTFNAIMGTMARELDNLYRRALNDNVILANGMDELRMYNSLKLNN -INYSRGYSIDVKEMDSTYNLIAALYFDLILEHFGINPVLRSVMFQLCITYTINCVLSSMQAYFKLKSGEP -CTLIKNSIWAIAQVCDPSVFVGIDSGNVIILHKGDDIGIIGDIKINEAKLTLQNAALGMQLKIDDKTPIE -FCGDFITGNGASLDLVRFALKVKSKPLKEKIYFKSDKNIKIVHYNNYSGDKHCIKHSELDYTNYFGILNF -FKNLSNDEWHHYLSEGNLTAEQYRILRQMTRVFKIKIILHTDVAANDQAIQERIISVKDRLRKVYDTKSY -SEAINNTAVHYNLAPTECEQIYSWLKNIGDSNIKQFRKYYRKEYRPVVFIEENEDEPQHTHQSIYVTLED -YGGDGDCFWRAMGISSTKYGLARNWVDATDAVQVWPEPLAILVIAKSGNYIVANCSDRQPDIALKLDHDG -EKAHSGHYYRCLYDKPNSIYKYKYYHNLKEIYQEN - ->YP_009336814.1 hypothetical protein [Hubei hepe-like virus 2] -MIMNMSEEIRKKELSIIDADRCCPICNTELSRDITARENHIRKHNNTGGGAVFTDVTSPIAFDDIKVDEE -LWKNQPIEKNYTGRVAEKLLSCFNAHDLKSKITEISYAPGHFAKIADDAKLDYHGYHYIGQNALEINYKC -LNHYEFDDINNLTVTTDVLLIDIGDENTFEKISCNYFELINRSECKKAIIKIFAFKQEACRKLTNQLNSI -SSNVIINKPITSRKRNSECYLIMTKGKPNVLGVDITSTMEEILNPSREEDINLYTFTVTHAMIKNYEASL -SKDMPIHKDIIQYMADMDAKDDFTMSVAIMSGCAGCGKTKKIKLTKKDCYVAPLKRVKDQFVVEGGKTYT -HDVFLNKLIGGHTFDRVFIDEYTLLPKGYYGMLSNFPINTITLLGDPYQLKLKDFNKCFTLADILSEKFP -WTMTKTNRFGKMTCKLLNNIMKDYFPCDISSDKDDKVTFDTYRTMEQMVNACTRKQFQFITLEQKHKEDF -KKFPNLITTIHESQGSTYEKVMLYVTGDNIKNNLIEDYEYTYVGMSRHKNELKIVTLENDEVAVRHLNYL -GSMIDVQLNLASISTFSDTHIVPKKDIFDNPIDLVADNYTVDIGQVEEILTKHGFAGEDGVIIEARDATL -PNVPKFNFAGQRNKAKFRMTNGYVIQKRIQKYGSRLAKRSYVRYYNMKDRLKTGQTGISRYLQYADHRRP -KAFGLLLDPIKILQKGFLKFTKFNTVEEYYAYCSPNVEDLTYHAHEYLKSLNQKNFDSKTWAQLEHLETD -FKRSIDFFMKEQPKFQARPIKGACVYGPGETRFHIMDLVDSMRRQEEDQHPLPYNETYKAGQGVSSGSKQ -QTLLFAAYTRHINQKLNDCMATVESKGFEAVYATNESDANIGLKFAKGCGQSVMRNDAKHLCTDFSEHDT -SHSYIVLLWKCLDFIGMGFDLRLVQSFFDAYATWRQSAKFEGQNFTIYNDLMQHSGSADTIHGNSKLTMG -ANGACFEFKGIKFAGFKGDDTDVFAKSYTKLKCWHYGTLSKLSREMNKDVAELFGFNLKIDESPVGEFIC -NFVVPHGFFPDIIRRTARIISTIHASEAKFNEAKLNLAECLTVVSSQDALNTGIEYAREYYNYNGINISS -DEIYTLWKYCENIVRDSKLGPPETYNIETFDTADVITDNIQCQNKYM - ->YP_006576507.1 nonstructural polyprotein [Orthohepevirus D] -MDISQWSAPKGAGAAFEAYAQAAVNIAVEHAHVVTCFLSRQQTELLIGLFSPVQLRFEPRHIWQHPVARV -IHNYLEGVARRKCGPSCLEIGAHPRSINEHPAVKHRCFLPPAGRDVQRWNSAPRRGTANFIRRAVLRGQL -YTDNFCTLGFHGCNHQAEVGLASYSLHDLCPREVACAMYRHGMHTLFAVLHLPPEALLPDGQYTNESYSA -LVLKDEIVVTYHGDSCAGYRHSKSTIQAWIRTTRVCGTHPVVIERVARIGVHFVLCITACAPAPMPYTPF -PDLDYIFVYDVYPQVSGLLPSRVDTCVQIPRSIWQRLMLFGNTLDDEAFCCSRLMTYLRGISHKVTIGNV -VANEGWRPDSHTLSVTVAAAYLTIAHDRWMRTQAISKGVKRLTVEHGQSFIRRCWEWVTGCTRKVAVSWY -KSLTHWLSHGLVIDPSNWLFDASQNCRCCLFRRHRGPKAVLIRPSCRPGRVRSLDGQLLAAGMIGFKYDS -KTRRYYIHCGSLTCHVPTFTGFDMHRVPHNGQVVCFEFLSEYREDPNSYLSIDLEGATSLADVPSCDGDL -PSPTAPMPDLEFVGKTDAAYAIARVPGGSTVLVPTDIVPGSWPPRPATGQPSSSQKSIDTVDAPALKPRS -ATGQSSSSQKSIDTVDAPALKPPVVIPKAFQAPQTDLKLQFGDVQPAQDVLYCCPDGSKIICGDLFKTTC -HWLVNAANSNYLPGGGICGEFHRRFPDLFPVRGQRVRSAMYQRGAVNVIHAVAPDYRDKVDHRALLAAYR -DACVRTQPAAFPVLGSGIYQVPYMESVKAWVDNHLPGDELYVHPGDRHRFILADLTNPVSVLTVTLNMAR -LANLAIAAEKPPFNKFLTDLTVSQGSVRYRFISGVPGAGKSTGVKNTGQLVITPTRELAIEWKERGFEAC -TAHVGCQRASGREVIVDEAPVHQPHLLLLIMQRAKSVTLLGDPKQIPALDFAHTGVTDALRLDLHPTTQL -LITHRCPQDVTKFLAADYPGISSTSNVIRSLFWGCTAEGKVLVFTQAAKKIYPGAMTVHEAQGSTFDQTT -LIATQDARGLICSSRAHAIVALTRHRKRCNVIDQPGLLAEIGLTDSMITMLLNQSMAPTPAPVVQPVRAP -VESVVATLPPAFTDVAACLSAEAIGHTTQDLAAVIPPAPQLEQGTLFLPDRLDGKDEVTVIRLSDIVHCR -LLAPRDRLSVVGTLVGRYGKLTLTPRAEFNLRQKSLWFLPSLENCLPDDVEVLELVNAMHDKGQTGELVL -DLTSDDAACYRITFFQKDCNKFTTGDTLEHGRVGQGISAWPKTLCALFGPWFRAIEKRIVAQLPSGWFYG -DLYTEADLHAHAMAVPDGIKVFENDFSEFDSTQNNVSLSLECELLTETGMPEWMVRLYWLQRAYWNLVAP -DACLRGCWKKHSGEPGTLLFNTVWNMVVVNACYVFTDAVLHVYKGDDSVVLCRSFEEQPDAAHLITSCGL -KLKVQFAGIGVFSHYIVAPGEGVVKDLLRTWGRMTEKNFSDSERSHDLCVAAQDFVNSVTSQGKEHLTIA -VNCVYHRQPEGFFQVIWGAIQSVALGKADLTTYRLPIFRA - ->YP_004464917.1 polyprotein [Cutthroat trout virus] -MDLDCFAHRDHDKVKLAISDCIEKSAGAYVNTSHVITVVLTPEQLEQAKKAFSPQPVTYQPRVCLPHALA -KHYTTVLEGQLKNAIRGRPYIEAGPAPHQINSRPKDTHFCLVRGDARNHVRFATAPNNGLSRKVKRIYQG -IQKEDATACLNGYQGCHQPRATSIAIHSTYDIPLKEFCRGMNNHKSDLAHVIMHMPSEITFPPGVFVNDM -TGYRLDTTGETAKFTYLEDTSNGYDHSKADWLEWTTVTALIVDGFTFHVEVQRRLGSVVYLIIYRSTLPV -KITRPLDPLCSTSFVFDPYGPTSGHTAPTSYVRSLHSYACGLPDETFSYTRLVIYAKGLAFRAKIGAVAL -NEGWNPDTTAFSAVVAGVFMDVAVKRYLRSKGISNCMKELKKEQNAGFWSLLWQRICIWFGDSNEHRHMD -HNTLKGWVSSGMILDPACMVLQGQANLNVLSLTTTQSGEFEIIGWLASSFEKILDYPNHHHDYLKDLMPG -FTPDRAEHYENLLHTVLHTKERQGETDGELTDRLTEYINVPYEEVHALVTNRLDLRTRHHIQPKSCLTTP -APTPIPTPQSSRSSSPSRTRSSSVSSSSSVSTSVISFQMERESQFEARNQPQINLLDTPLDANLDLTQAT -FLQPSAPPDTNQNQTALQDQARAITPTQNPPDTATLPSTRVLPAATPVDINTTLQPSPSTGAIRKTSPRV -PPTVVPPPQNAQVTPIQLPQTGQNPRSNIRYHNTSIFDTHCCIAVNPAHPTLKPGGGLSALFHRQFPDLA -AKTAPHTTSFERLPPYAVSTKVNAVGNISEVVHAIGPDRGRGQTSYNQLLCTYQLAMQVASGPAAFPLLG -ANIFGWSPEDSLRAFMEADTQDMHHLYIYDPSDPGRFRRLIGKDPTDGDQRPPTRDSMVREINGVIEPPR -PTLAESIGSTLGLAPSWYKPTTHRYDDMACKAINSNMGMEKGKGGDSKVFAELVASAPLIEPFIFKYHLT -EGVPGSGKSHAAKKLNKSTCVVVPTGELKSDWKQSGFKNTVTPHVAIKYGIKSKFLVVDEVFSIPPHLLA -TILYKHRDNNILLGDSKQIAACDFDNFRNFDIIAPKLTPSVYLNLTYRLPQDITRYLQRDYPGIHTASKV -PVSISFYPPPSGTPCQVIGYRQLIKEQPPWKKTANTSHELQGATRKAVHLHLLTGDVEMIRSSRSHTIVA -LSRHTDQIFITGDAESIQTLQLTDKMENIIINYAPTTLVNDGATLEITSTPDDDTHVTAPAADSTAVGEI -LQACTNHLYAPISAVVLDCEKINQGSLTVKCAVPDERIIPKTNFTEIEHCRRANASSTWTTVRTLIGRYT -KLTINPAHVHDTIQAVNKTFWKCLTVDTMNCLPTTAELAELVRSMKDKGADPTLIHDLDFNDISVTKMTF -FQKECDKFTMDEPVQHNKPGQGISAWPKTLCFIYGLFFRKLEKELRQALKPSIIFSNPYTEEQLARAVSK -CTTGTTVFYENDFSAFDSTQNLVSLGNEFLILVQCGMPPALAEVYFNLRLKWTLDARVASLRGTSKKHSG -EPGTMLFNTIWNMTAVFHTTSFTRMTLALFKGDDSLVCCDSSILTPTAKEFISQNGLVMKQKTSHLGSFT -CSLVTRTSYVPDVIRFACRLNNKDFTDTKRIEDLQRATDDFIKRATVTTNYGSTCSINGRYYGVPSDTIE -CVVGFLQDFALTGKIDLQTSYKPILKY - ->NP_056779.1 polyprotein [Orthohepevirus A] -MEAHQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSHQQIEILINLMQPRQLVFRPEVFWNHPIQRV -IHNELELYCRARSGRCLEIGAHPRSINDNPNVVHRCFLRPAGRDVQRWYTAPTRGPAANCRRSALRGLPA -ADRTYCFDGFSGCNFPAETGVALYSLHDMSPSDVAEAMFRHGMTRLYAALHLPPEVLLPPGTYRTASYLL -IHDGRRVVVTYEGDTSAGYNHDVSNLRSWIRTTKVTGDHPLVIERVRAIGCHFVLLLTAAPEPSPTPYVP -YPRSTEVYVRSIFGPGGTPSLFPTSCSTKSTFHAVPAHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGTLVANEGWNASEVALTAVITAAYLTICHQRYLRTQAISKGMRRLEREHAQKFITRLYSWLFEKSGR -DYIPGRQLEFYAQCRRWLSAGFHLDPRVLVFDESAPCHCRTAIRKAVSKFCCFMKWLGQECTCFLQPAEG -AVGDQGHDNEAYEGSDVDPAESAISDISGSYVVPGTALQPLYQALDLPAEIVARAGRLTATVKVSQVDGR -IDCETLLGNKTFRTSFVDGAVLETNGPERHNLSFDASQSTMAAGPFSLTYAASAAGLEVRYVAAGLDHRA -VFAPGVSPRSAPGEVTAFCSALYRFNREAQRLSLTGNFWFHPEGLLGPFAPFSPGHVWESANPFCGESTL -YTRTWSEVDAVSSPAQPDLGFISEPSIPSRAATLTPAAPLPPPAPDPSPTPSAPARGEPAPGATARAPAI -THQAARHRRLLFTYPDGSKVFAGSLFESTCTWLVNASNVDHRPGGGLCHAFYQRYPASFDAASFVMRDGA -AAYTLTPRPIIHAVAPDYRLEHNPKMLEAAYRETCSRLGTAAYPLLGTGIYQVPIGPSFDAWERNHRPGD -ELYLPELAARWFEANRPTCPTLTITEDVARTANLAIELDSATDVGRACAGCRVTPGVVQYQFTAGVPGSG -KSRSITQADVDVVVVPTRELRNAWRRRGFAAFTPHTAARVTQGRRVVIDEAPSLPPHLLLLHMQRAATVH -LLGDPNQIPAIDFEHAGLVPAIRPDLAPTSWWHVTHRCPADVCELIRGAYPMIQTTSRVLRSLFWGEPAV -GQKLVFTQAAKAANPGSVTVHEAQGATYTETTIIATADARGLIQSSRAHAIVALTRHTEKCVIIDAPGLL -REVGISDAIVNNFFLAGGEIGHQRPSVIPRGNPDANVDTLAAFPPSCQISAFHQLAEELGHRPAPVAAVL -PPCPELEQGLLYLPQELTTCDSVVTFELTDIVHCRMAAPSQRKAVLSTLVGHYGRRTKLYNASHSDVRDS -LARFIPAIGHVQVTTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDCNKFTTGETIAHGKVG -QGISAWSKTFCALFGPWFRAIEKAILALLPQGVFYGDAFDDTVFSAAVAAARASMVFENDFSEFDSTQNN -FSLGLECAIMVECGMPQWLIRLYHLIRSAWILQAPKESLRGFWKKHSGEPGTLLWNTVWNMAVITHCYDF -RDLQVAAFKGDDSIVLCSEYRQSPGAAVLIAGCGLKLKVDFRPIGLYAGVVVAPGLGALPDVVRFAGRLT -EKNWGPGPERAKQLRLAVSDFLRKLTNVAQMCVDVVSRVYGVSPGLVHNLIGMLQAVADGKAHFTESVKP -VLDLTNSILCRVE - ->sp|Q9YLR1.1|POLN_HEVUS RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MEAHQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSRVQTEILINLMQPRQLVFRPEVLWNHPIQRV -IHNELEQYCRARAGRCLEVGAHPRSINDNPNVLHRCFLRPVGRDVQRWYSAPTRGPAANCRRSALRGLPP -VDRTYCFDGFSRCAFAAETGVALYSLHDLWPADVAEAMARHGMTRLYAALHLPPEVLLPPGTYHTTSYLL -IHDGNRAVVTYEGDTSAGYNHDVSILRAWIRTTKIVGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPSACSTKSTFHAVPVHIWDXLMLFGATLXDQAFCCSRLMTYLRGISYK -VTVGALVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLEVEHAQKFITRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLXPRXLVFDESVPCRCRTFLKKVAGKFCCFMRWLGQECTCFLEPAEG -LVGDQGHDNEAYEGSEVDPAEPAHLDVSGTYAVHGHQLEALYRALNVPHDIAARASRLTATVELVASPDR -LECRTVLGNKTFRTTVVDGAHLEANGPEEYVLSFDASRQSMGAGSHSLTYELTPAGLQVKISSNGLDCTA -TFPPGGAPSAAPGEVAAFCSALYRYNRFTQRHSLTGGLWLHPEGLLGIFPPFSPGHIWESANPFCGEGTL -YTRTWSTSGFSSDFSPPEAAAPASAAAPGLPYPTPPVSDIWVLPPPSEESHVDAASVPSVPEPAGLTSPI -VLTPPPPPPPVRKPATSPPPRTRRLLYTYPDGAKVYAGSLXESDCDWLVNASNPGHRPGGGLCHAFYQRF -PEAFYSTEFIMREGLAAYTLTPRPIIHAVAPDYRVEQNPKRLEAAYRETCSRRGTAAYPLLGSGIYQVPV -SLSFDAWERNHRPGDELYLTEPAAAWFEANKPAQPALTITEDTARTANLALEIDAATEVGRACAGCTISP -GIVHYQFTAGVPGSGKSRSIQQGDVDVVVVPTRELRNSWRRRGFAAFTPHTAARVTIGRRVVIDEAPSLP -PHLLLLHMQRASSVHLLGDPNQIPAIDFEHAGLVPAIRPELAPTSWWHVTHRCPADVCELIRGAYPKIQT -TSRVLRSLFWNEPAIGQKLVFTQAAKAANPGAITVHEAQGATFTETTIIATADARGLIQSSRAHAIVALT -RHTEKCVILDAPGLLREVGISDVIVNNFFLAGGEVGHHRPSVIPRGNPDQNLGTLQAFPPSCQISAYHQL -AEELGHRPAPVAAVLPPCPELEQGLLYMPQELTVSDSVLVFELTDIVHCRMAAPSQRKAVLSTLVGRYGR -RTKLYEAAHSDVRESLARFIPTIGPVRATTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDC -NKFTTGETIAHGKVGQGISAWSKTFCALFGPWFRAIEKEILALLPPNIFYGDAYEESVFAAAVSGAGSCM -VFENDFSEFDSTQNNFSLGLECVVMEECGMPQWLIRLYHLVRSAWILQAPKESLKGFWKKHSGEPGTLLW -NTVWNMAIIAHCYEFRDFRVAAFKGDDSVVLCSDYRQXRNAAALIAGCGLKLKVDYRPIGLYAGVVVAPG -LGTLPDVVRFAGRLSEKNWGPGPERAEQLRLAVCDFLRGLTNVAQVCVDVVSRVYGVSPGLVHNLIGMLQ -TIADGKAHFTENIKPVLDLTNSIIQRVE - ->sp|Q03495.1|POLN_HEVME RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MEAHQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSHQQVEILINLMQPRQLVFRPEVFWNHPIQRV -IHNELEQYCRARSGRCLEIGAHPRSINDNPNVLHRCFLHPVGRDVQRWYTAPTRGPAANCRRSALRGLPP -ADRTYCFDGFAGCRFAAETGVALYSLHDLQPADVAEAMARHGMTRLYAAFHLPPEVLLPPGTYRTSSYLL -IHDGKRAVVTYEGDTSAGYNHDVATLRTWIRTTKVVGEHPLVIERVRGIGCHFVLLITAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPTACAVKSTFHAVPTHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGALVANEGWNATEDALTAVITAAYLTICHQRYLRTQAISKGMRRLELEHAQKFISRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLDPRTLVFDESVPCSCRTTIRRIAGKFCCFMKWLGQECSCFLQPAEG -LAGDQGHDNEAYEGSDVDTAEPATLDITGSYIVDGRSLQTVYQALDLPADLVARAARLSATVTVTETSGR -LDCQTMIGNKTFLTTFVDGARLEVNGPEQLNLSFDSQQCSMAAGPFCLTYAAVDGGLEVHFSTAGLESRV -VFPPGNAPTAPPSEVTAFCSALYRHNRQSQRQSVIGSLWLHPEGLLGLFPPFSPGHEWRSANPFCGESTL -YTRTWSTITDTPLTVGLISGHLDAAPHSGGPPATATGPAVGSSDSPDPDPLPDVTDGSRPSGARPAGPNP -NGVPQRRLLHTYPDGAKIYVGSIFESECTWLVNASNAGHRPGGGLCHAFFQRYPDSFDATKFVMRDGLAA -YTLTPRPIIHAVAPDYRLEHNPKRLEAAYRETCARRGTAAYPLLGAGIYQVPVSLSFDAWERNHRPFDEL -YLTELAARWFESNRPGQPTLNITEDTARAANLALELDSGSEVGRACAGCKVEPGVVRYQFTAGVPGSGKS -KSVQQADVDVVVVPTRELRNAWRRRGFAAFTPHTAARVTSGRRVVIDEAPSLPPHLLLLHMQRAASVHLL -GDPNQIPAIDFEHTGLIPAIRPELVPTSWWHVTHRCPADVCELVRGAYPKIQTTSKVLRSLFWGEPAVGQ -KLVFTQAAKAAHPGSITVHEAQGATFTTTTIIATADARGLIQSSRAHAIVALTRHTEKCVILDSPGLLRE -VGISDAIVNNFFLSGGEVGHQRPSVIPRGNPDRNVDVLAAFPPSCQISAFHQLAEELGHRPAPVAAVLPP -CPELEQGLLYLPQELASCDSVVTFELTDIVHCRMAAPSQRKAVLSTLVGRYGRRTRLYDAGHTDVRASLA -RFIPTLGRVTATTCELFELVEAMVEKGQDGSAVLELDLCSRDVSRITFFQKDCNKFTTGETIAHGKVGQG -IFRWSKTFCALFGPWFRAIEKAILSLLPQAVFYGDAYDDSVFSAAVAGASHAMVFENDFSEFDSTQNNFS -LGLECAIMEECGMPQWLVRLYHAVRSAWILQAPKESLRGFWKKHSGEPGSLLWNTVWNMAIIAHCYEFRD -LQVAAFKGDDSVVLCSEYRQSPGAGSLIAGCGLKLKADFRPIGLYAGVVVAPGLGALPDVVRFAGRLSEK -NWGPDPERAEQLRLAVQDFLRRLTNVAQICVEVVSRVYGVSPGLVHNLIGMLQTIGDGKAHFTESVKPIL -DLTHSIMHRSE - ->sp|Q04610.1|POLN_HEVMY RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MEAHQFIKAHGITTAIEQAALAAANSALANAVVVRPFLSHQQIEILINLMQPRQLVFRPEVFWNHPIQRV -IHNELELYCRARSGRCLEIGAHPRSINDNPNVVHRCFLLPVGRDVQRWYTAPTRGPAANCRRSALRGLPA -VDRTYCLDGFSGCNFPAETGIALYSLHDMSPSDVAEAMFRHGMTRLYAALHLPPEVLLPPGTYRTASYLL -IHDGRRVVVTYEGDTSAGYNHDVSNLRSWIRTTKVTGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGTPSLFPTSCSTKSTFHAVPAHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGTLVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLEREHAQKFITPLYSWLFEKSGR -DYIPGRQLEFYAQCRRWLSAGFHLDPRVLVFDESAPCRCRTAIRKALSKFCCFMKWLGQECTCFLQPAEG -VVGDQGHDNEAYEGSDVDPAESAISDISGSYVVPGTALQPLYQALDLPAEIVARAGRLTATVKVSQVDGR -IDCETLLGNKTFRTSFVDGAVLEANGPERYNLSFDASQSTMAAGPFSLTYAASAAGLEVRYVAAGLDHRA -VFAPGVSPRSAPGEVTAFCSALYRFNREAQRHSLTGNLWFHPEGLIGLFAPFSPGHVWESANPFCGESTL -YTRTWSEVDAVSSPARPDLGLMSEPSIPSRAATPTLAVLLPPPAPDPPPPPSAPALDEPASGATAGAPAI -THQTARHRRLLFTYPDGSKVFAGSLFESTCTWLVNASNVDHRPGGGLCHAFYQRYPASFDAASFVMRDGA -AAYTLTPRPIIHAVAPDYRLEHNPKRLEAAYRETCSRLGTAAYSLLGTGIYQVPIGPSFDAWERNHRPGD -ELYLPELAARWFEANRPTRPTLTITEDVARTANLAIELDSATDVGRACAGCRVTPGVVQYQFTAGVPGSG -KSRSITQADVDVVVVPTRELRNAWRRRGFAAFNPHTAARVTQGRRVVIDEAPSLPPHLLLLHMQRAATVH -LLGDPNQIPAIDFEHAGLVPAIRPDLGPTSWWHVTHRCPADVCELIRGAYPMIQTTSRVLRSLFWGEPAV -GQKLVFTQAAKAANPGSVTVHEAQGATYTETTIIATADARGLIQSSRAHAIVALTRHTEKFVIIDAPGLL -REVGISDAIVNNFFLAGGEIGHQRPSVIPRGNPDANVDTLAAFPPSCQISAFHQLAEELGHRPVPVAAVL -PPCPELEQGLLYLPQGLTACDSVVTFELTDIVHCRMAAPNQRKAVLSTLVGRYGRRTKLYNASHSDVRDS -LARFIPAIGPVQVTTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDCNKFTTGETIAHGKVG -QGISAWSKTFCALFGPWFRAIEKAILALLPQGVFYGDAFDDTVFSAAVAAAKASMVFENDFSEFDSTQNN -FSLGLECAIMEECGMPQWLIRLYHLIRSAWILQAPKESLRGFWKKHSGEPGTLLWNTVWNMAVITHCYDF -RDFQVAAFKGDDSIVLCSEYRQSPGAAVLIAGCGLKLKVDFRPIGLYAGVVVAPGLGALPDVVRFAGRLT -EKNWGPGPERAEQLRLAVSDFLRKLTNVAQMCVDVVSRVYGVSPGLVHNLIGMLQAVADGKAHFTESVKP -VLDLTNSILCRVE - ->sp|P29324.1|POLN_HEVBU RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MEAHQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSHQQIEILINLMQPRQLVFRPEVFWNHPIQRV -IHNELELYCRARSGRCLEIGAHPRSINDNPNVVHRCFLRPVGRDVQRWYTAPTRGPAANCRRSALRGLPA -ADRTYCLDGFSGCNFPAETGIALYSLHDMSPSDVAEAMFRHGMTRLYAALHLPPEVLLPPGTYRTASYLL -IHDGRRVVVTYEGDTSAGYNHDVSNLRSWIRTTKVTGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGTPSLFPTSCSTKSTFHAVPAHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGTLVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLEREHAQKFITRLYSWLFEKSGR -DYIPGRQLEFYAQCRRWLSAGFHLDPRVLVFDESAPCHCRTAIRKALSKFCCFMKWLGQECTCFLQPAEG -AVGDQGHDNEAYEGSDVDPAESAISDISGSYVVPGTALQPLYQALDLPAEIVARAGRLTATVKVSQVDGR -IDCETLLGNKTFRTSFVDGAVLETNGPERHNLSFDASQSTMAAGPFSLTYAASAAGLEVRYVAAGLDHRA -VFAPGVSPRSAPGEVTAFCSALYRFNREAQRHSLIGNLWFHPEGLIGLFAPFSPGHVWESANPFCGESTL -YTRTWSEVDAVSSPARPDLGFMSEPSIPSRAATPTLAAPLPPPAPDPSPPPSAPALAEPASGATAGAPAI -THQTARHRRLLFTYPDGSKVFAGSLFESTCTWLVNASNVDHRPGGGLCHAFYQRYPASFDAASFVMRDGA -AAYTLTPRPIIHAVAPDYRLEHNPKRLEAAYRETCSRLGTAAYPLLGTGIYQVPIGPSFDAWERNHRPGD -ELYLPELAARWFEANRPTRPTLTITEDVARTANLAIELDSATDVGRACAGCRVTPGVVQYQFTAGVPGSG -KSRSITQADVDVVVVPTRELRNAWRRRGFAAFTPHTAARVTQGRRVVIDEAPSLPPHLLLLHMQRAATVH -LLGDPNQIPAIDFEHAGLVPAIRPDLGPTSWWHVTHRWPADVCELIRGAYPMIQTTSRVLRSLFWGEPAV -GQKLVFTQAAKPANPGSVTVHEAQGATYTETTIIATADARGLIQSSRAHAIVALTRHTEKCVIIDAPGLL -REVGISDAIVNNFFLAGGEIGHQRPSVIPRGNPDANVDTLAAFPPSCQISAFHQLAEELGHRPVPVAAVL -PPCPELEQGLLYLPQELTTCDSVVTFELTDIVHCRMAAPSQRKAVLSTLVGRYGGRTKLYNASHSDVRDS -LARFIPAIGPVQVTTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDCNKFTTGETIAHGKVG -QGISAWSKTFCALFGPWFRAIEKAILALLPQGVFYGDAFDDTVFSAAVAAAKASMVFENDFSEFDSTQNN -FSLGLECAIMEECGMPQWLIRLYHLIRSAWILQAPKESLRGFWKKHSGEPGTLLWNTVWNMAVITHCYDF -RDFQVAAFKGDDSIVLCSEYRQSPGAAVLIAGCGLKLKVDFRPIGLYAGVVVAPGLGALPDVVRFAGRLT -EKNWGPGPERAEQLRLAVSDFLRKLTNVAQMCVDVVSRVYGVSPGLVHNLIGMLQAVADGKAHFTESVKP -VLDLTNSILCRVE - ->sp|Q9WC28.1|POLN_HEVHY RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MEAHQFLKAPGITTAVEQAALATANSALANAVVVRPFLSHQQIEILINLMQPRQLVFRPEVFWNQPIQRV -IHNELELYCRARSGRCLEIGAHPRSINDNPNVVHRCFLRPVGRDVQRWYTAPTRGPAANCRRSALRGLPA -ADRTYCFDGFSGCSCPAETGIALYSLHDMSPSDVAEAMFRHGMTRLYAALHLPPEVLLPPGTYRTASYLL -IHDGRRVVVTYEGDTSAGYNHDVSNLRSWIRTTKVTGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGTPSLFPTSCSTKSTFHAVPAHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGTLVANEGRNASEDALTAVITAAYLTICHQRYLRTQAISKGIRRLEREHDQKFITRLYSWLFEKSGR -DYIPGRQLEFYAQCRRWLSAGFHLDPRVLVFDESAPCHCRTVIRKALSKFCCFMKWLGQECTCFLQPAEG -VVGDQGHDNESYEGSDVDPAESAISDISGSYVVPGTALQPLYQALDLPDEIVARACRLTATVKVSQVDGR -IDCETLLGNKTFRTSFVDGAVLETNGPERHNLSFDASQSTMAAGPFSLTYAASAAGLEVRYVGAGLDHRA -IFAPGVSPRSNPGEVTAFCSALYRFNREAQRHSLTGNLWFHPEGLIGLFAPFSPGHVWESAKPFCGESTL -YTRTWSEVDAVSSPTRPDLGFMSEPPIPSRAATPTLAAPLPPLAPDPSPPSSAPALDEPASAATSGVPAI -THQTARHRRLLFTYPDGSKVFAGSLFESTCTWLVNASNVDHCPGGGLCHAFYQRYPASFDAACFVMRDGA -AAYTLTPRPIIHRVAPDYRLEHNPKRLEAAYRETCSRLGTAAYPLLGTGIYQVPIGPSFDAWERNHRPGD -ELYLPELAARWFEANRPTRPTLTITEDAARTANLAIELDSATDVGRACAGCRVTPGVVQYQFTAGVPGSG -KSRSITRADVDVVVVPTRELRNAWRRRGFAAFTPHTAARVTDGRRVVIDEAPSLPPHLLLLHMQRAATVH -LLGDPNQIPAIDFEHPGLVPAIRPDLAPTSWWHVTHRCPADVCELIRGAYPMIQTTSRVLRSLFWGEPAV -GQKLVFTQAAKPANPGSVTVHDSQGATYTYTTIIATADARGLIQSSRAHAIVALTRHTEKWVIIDAPGLL -REVGISDAIVNNFFLAGGEIGHQRPSVIPRGNPDANVDTLAAFPPSCQISAFHQLAEELGHRPAPVAAVL -PPCPELEQGLLYLPQELTTCDSVVTFELTDIVHCRMAAPSQRKAVVSTLVGRYGRRTKLYNASHSDVRDS -LARFIPAIGPVQVTTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDCNKFTTGETIAHGKVG -QGISAWSKTFCALFGPWFRAIEKAILALLPQGVFYGDAFDDTVFSAAVAAAKASMVFENDFSEFDSTQNN -FSLGLECAIMEECGMPQGLIRLYHLIRSAWILQAPKESLLGFWKKHSGEPGTLLWNTVWNMAVITHCYDF -RDLQVAAFKGDDSIVLCSEYRQSPGAAVLIAGCGLKLKVDFRPMRLYAGVVVAPGLGALPDVVRFAGRLT -EKNWGPGPERADELRIAVSDFLRKLTNVAQMCVDVVSRVYGVSPGLVHNLIGMLQAVADGKAHFTESVKP -VLDLTNSILCRVE - ->sp|Q9IVZ9.1|POLN_HEVCT RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MEAHQFIKAPGVTTAIEQAALAAANSALANAVVVRPFLSRLQTEILINLMQPWQLVFRPEVLWNHPIQRV -IHNELEQYCRARAGRCLEEGAHPRSINDDPNVLHRCFLKPVGRDVQRWYTAPTRGPAANCRRSALRGLPP -VDRTYCFDGFSGCTFAAETGVALYSLHDLWPADVAEAMARHGMTRLYAALHLPPEVLLPPGTYHTTSYLL -IHDGDRAVITYEGDSSAGYNHDVSILRAWIRTTKVTGDHPLVIERVRAVGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPSACSTKSTFHAVPVHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGALVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMKRLELEHAQKFITRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLDPRVLVFDEAAPCRCRSFLRKAATKFCCFMRWLGQDCTCFLQPIEG -RVGEQGYDNEAFEGSDIDPAEEATVSIAGSYIVTGSQLQPLYQALGIPSDLAARASRLTATVEVSDADGR -LTCKTTMGNKTFSTVFTDGTQLEANGPEQYVLSFDPAKQTMAAGPHSLSYTLTSAGLEVHVVSAGLDCKV -VFQSGVAAPSAAGEVTAFCSALYRFNRCVQRHSLIGGLWYHPEGLVGLFPPFSPGHSWESANPFCGESTL -YTRTWSVSGFSSCFSPLEPCVPSMPPPAEVNTPVVLDALPSEIMEPAQPPASEPAAPPSDSVDNSFSPTS -SGAPIAPPAPALPVTHLSGPRRRLLHTYPDGSKVYAGSLFESECTWLVNASNPGHRPGGGLCHAFYQRFP -ESFDPAEFIMSDGFAAYTLTPRPIIHAVAPDYRVEHNPKRLEAAYRETCSRRGTAAYPLLGVGIYRVPVG -LSFDAWERNHRPGDELYLTEPAIAWFEANRPTLPALTITEDTARTANLALELDAATEVGRACVGCRVEPG -VIHYQFTAGVPGSGKSRSVQQGDVDVIVVPTRELRNSWRRRGFAAYTPHTAVRVTRGRRVVIDEAPSLPP -HLLLLHMQRASSVHLLGDPNQIPAIDFEHAGLVPAIRPELVPTKWWHLTYRCPADVCELIRGAYPKIQTA -SRVLRSLFWEEPPVGQNLVFTQAAKAANPGAITVHEAQGATFTETTIIATADARGLIQSSRAHAIVALTR -HTEKCVVVDAPGLLREVGISDAIVNNFFLSGGQIGQHRPSVIRRGTIDNNVDTLDAFPPSCQFSAYHQLA -EELGHRPAPIAAVLPPCPELEQGLLYMPQELTTSDSVLTFELTDIVHCRMAAPSQRRAVLSTLVGRYGRR -TKLYEAAHTDVRGSLNHFIPELGPINVTTCELYELVEAMVEKGQDGSAVLELDLCSRDVSRITFFQKDCN -KFTTGETIAHGKVGQGISAWSKTFCALFGPWFRAIEKEILAALAPNVFYGDAYEDTVLAAAVAGAPGCKV -FENDFSEFDSTQNNFSLGLECIIMEECGMPQWMIRLYHLVRSAWILQAPKESLRGFWKKHSGEPGTLLWN -TVWNMAVIAHCYEFRDLKVAAFKGDDSVVLCSDYRQSRDAAVLIAGCGLKLKVDFRPIGLYAGVVVAPGL -GTLPDVVRFAGRLSEKNWGPGPERAEQLRLAVCDFLRKLTNVAQVCVDVVSQVYGVSPGLVHNLIGMLQT -IADGKAHFTETIKPVLDLTSSIIYRVE - ->sp|Q6J8G2.1|POLN_HEVMG RecName: Full=Non-structural polyprotein pORF1; Includes: RecName: Full=Methyltransferase; Includes: RecName: Full=Putative papain-like cysteine protease; Short=PLP; Includes: RecName: Full=NTPase/helicase; Includes: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MEAQQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSRVQTEILINLMQPRQLVFRPEVLWNHPIQRV -IHNELEQYCRARAGRCLEVGAHPRSINDNPNVLHRCFLRPVGRDVQRWYSAPTRGPAANCRRSALRGLPP -VDRTYCFDGFSRCAFAAETGVALYSLHDLWPADVAEAMARHGMTRLYAALHLPPEVLLPPGTYHTTSYLL -IHDGDRAVVTYEGDTSAGYNHDVSILRAWIRTTKIVGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPSACSTKSTFHAVPVHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGALVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLEVEHAQKFITRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLDPRVLVFDESVPCRCRTFLKKVAGKFCCFMRWLGQECTCFLEPAEG -LVGDYGHDNEAYEGSEVDPAEPAHLDVSGTYAVHGRQLEALYRALNVPHDIAARASRLTATVELTASPDR -LECRTVLGNKTFRTTVVDGAHLEANGPEQYVLSFDASRQSMGAGSHSLTYELTPAGLQVRISSNGLDCTA -TFPPGGAPSAAPGEVAAFCSALYRYNRFTQRHSLTGGLWLHPEGLLGIFPPFSPGHIWESANPFCGEGTL -YTRTWSTSGFSSDFSPPEAAAPVLAAAPGLPHPTPPVSDIWVLPPPSEESQVDAASVPPAPEPAGLPSSI -VLTLPPPLPPVRKPPTPPPSRTRRLLYTYPDGAKVYAGSLFESDCNWLVNASNPGHRPGGGLCHAFYQRF -PEAFYPTEFIMREGLAAYTLTPRPIIHAVAPDYRVEQNPKRLEAAYRETCSRRGTAAYPLLGSGIYQVPV -SLSFDAWERNHRPGDELYLTEPAAAWFEANKPAQPALTITEDTARTANLALEIDAATDVGRACAGCTISP -GIVHYQFTAGVPGSGKSRSIQQGDVDVVVVPTRELRNSWRRRGFAAFTPHTAARVTIGRRVVIDEAPSLP -PHLLLLHMQRASSVHLLGDPNQIPAIDFEHAGLVPAIRPELAPTSWWHVTHRCPADVCELIRGAYPKIQT -TSRVLRSLFWNEPAIGQKLVFTQAAKAANPGAITVHEAQGATFTETTIIATADARGLIQSSRAHAIVALT -RHTEKCVILDAPGLLREVGISDVIVNNFFLAGGEVGHHRPSVIPRGNPDQNLGTLQAFPPSCQISAYHQL -AEELGHRPAPVAAVLPPCPELEQGLLYMPQELTVSDSVLVFELTDIVHCRMAAPSQRKAVLSTLVGRYGR -RTKLYEAAHSDVRESLARFIPTIGPVQATTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDC -NKFTTGETIAHGKVGQGISAWSKTFCALFGPWFRAIEKEILALLPPNIFYGDAYEESVFAAAVSGAGSCM -VFENDFSEFDSTQNNFSLGLECVVMEECGMPQWLIRLYHLVRSAWILQAPKESLKGFWKKHSGEPGTLLW -NTVWNMAIIAHCYEFRDFRVAAFKGDDSVVLCSDYRQSRNAAALIAGCGLKLKVDYRPIGLYAGVVVAPG -LGTLPDVVRFAGRLSEKNWGPGPERAEQLRLAVCDFLRGLTNVAQVCVDVVSRVYGVSPGLVHNLIGMLQ -TIADGKAHFTETIKPVLDLTNSIIQRVE - ->AII01815.1 polyprotein, partial [Tick borne tetravirus-like virus] -RIPEELWSHFDRLVPEEIWENGRRHHPHAFAAEVREIANDKVRDLVRGRIVYSFGGRPDVDLLTREGRKG -IGYRCVHICSLHSPKDTVRYRRAAERLRAKNYPDPVLAQSLIEVASGQSVYTCSSLMCFEGGQNCRERYD -GVYTAIAFDATYDTTPMDFVTYMRRKNITEAYITMIQPDNLFVEARVHSPGFNWTWRNLDGTTYIEQSFT -RNNSGEKYMHKRDVWASWFTQGSITAAEGIYDFKIEERVGPYVIMSIVLQPVHILREETTVIDFNGAVAI -PRAGMMAKIIVASRQKGCQEDLAAALNNVSMFMVPGSVMKQFYAWACSQERADPEAAQNYWRSISRGLVF -GGHRIMEKFDAGYPDEVFISVFCCVMTMRWRASSTLKILTEDMRERQRYGLFIESKDYKRLRPRAKYRDG -GQGWFAWRWEEVKHCFDHFLHKLGLFGLFTDIAAEDKKMVFNHIGALYCTHVEAIEVPLSHDVYQPPSDP -APVGSVHSVHSEASTSSAHSITSLQARQQIDSYLDTLRRSRDAADGGLREVQQAALEACTDWQKLERVTG -VTELHIGPAGAGKTTKLLRTLDGGLFIAPSRRQASAVSDAQWPHPWVVTTQHKALQTLRERAFKNVILDE -VYTYHAGYVRLVELLAGEGKVLLLGDPNQCGYYDDENPCPQDGFETIAKHYATVACKHSYRVPRCVARYV -NLIPGMELESRSEIEGDFRVQSFTNFESIIKTKADAIITMSKKTRDILQRKCTGLAPVITARESQGCTFK -DVIVVIDELPRNAVTQKMYYVAVTRATNKVITFINRDQNSTVINLAMCGLEHSFANFFGFVPYANSYIED -RQIGLMVLRDEYDEKIDVWTEADFRVAMDTALGKSPDMSERVMAVVPNDIIWDSSKMFKLSPDGVVPRDV -SFNGHVMEGPRWTKSMWGKSHLQTLDTVVQRQGATVGHVPADAQEVVSSVVKDIATAFERRYIRHNPKGD -PSYAPICTDDLVREVSNYLARCQEVGNVHLLGTLDLEQPKQAMVQANMKRITKIVMDGPSSTKAGQVISA -WSKELTALIAPITRSIERCLLDSLNDHTHYANAIAERDLGDKYLLAFRDGLAPGLNMDFSQFDASQSEVT -IAIEREILQRMGADCELLELYYAIRSKWTSVCHGVCCVDAESMKSSGEPGTLLFNTILNMAYCAFITDVQ -SPAFMAFKGDDSCILAEKADLKPMQMQLLENVTRVRIKFERGVEGVNHFCGSFYTPRGVVPDLVRTAAKI -RGLVYKDQQHFEEYQQAVRDRVALASGLSTGYCMVVHDTYYQISHRTGTSVIQDVFGFVGDFLQTKWGQF -LSLCRTTDSRAGGADIIVANCRSQVPMLPPYNVEQIKKLYWETKQDTIACDCGVGERGLHEDCNPNHTKC -IVCGFKIPAAHLCNRCARTYEPNAQEGCSTRSCGCAGLFVGGERLCLRHFKRRYLWDRKKAKNTYSLSGS -SSGGERNPRNHRPAIAPRSYIVHRGEATIRKVATTPLTRQNSAESGTSCATASPGGGGQAVIEASKFLDC -GLVCVEAQVGGAIAKQVRGLVVSKRVARAATSKQVESKYVSDDDLLSALDEVGVSYISVVQEGDDPHVGK -VVAVSSHDNFDKEKYVRLDFTPRSETAAEVARRAEFDQKQRALEEALVAHKRRLRFSKAKEVEERLRELV -NTEGAVVHADAQSRYTQGHWHAKPFLRMTDPCHLLPPCIEPG ->AHC70111.2 putative nonstructural polyprotein [Orthohepevirus A] -MEAXQYVSAAAVTTAIEQSALAAATSAFANAYAVRPFLTQPQAEQLVRLFHPQQLVFRPEVLWAHPIQRV -IHDFLEGIARRKAGRCLEIGAHPRSVNENANVLHRCFLPPTGRDVARWFGAPSRGPVANMRRSALRGAPP -ADRSYCFSGFASCDYACERGLALYSLHDMPPHLVAEAMSRHGIRQLYTVLHLPMECLLPDGVYETPTYLA -VVQKDTVSVTYEGDTSAGYVHSAATLRKWVRTTRVGGRHGLVIERIRAIGCHFLLSITAVDRVSPMPYCP -YPRSADIYVRSIYGPGGRSSLFPSFTPVKSTFHAVPSNIWDRLMVFGATLDDQAFCCSRLMTYLRGIAYK -VTVGKLVANEGWAASEEALTAVIVAAYLTICHQRYIRTQAISKGVRRLEREHAQRFIDRLYSWLFESAGR -EVAPGRQLEFYAQCQRWVSAGFYIDPRKLMFDEEDTCGCSRLAARCKRAFCCFFREIGQDCCCILGRRRP -RYGQLGGQEFDNAAYEGSEVSSCRSASPTVTPGSSIITIPESVEADAPAGAQAGVHAPAGMDRAAERAFL -SSLYTVQARLRRAGRASGPYIFYEGFISPLGFFCPSRRLMHNPFSTDXREVAASXPATPDRSRPQXPVQR -PLPQAEVPASPSAARPGPAELAVEQAGGPDGPPAGRPGPVELTAGRKSPTPSPGIFAGAGPLRPVPTGGQ -PPRLLSTLPDGSRIYAGSLFYSRATWLVNAANAGHRPGGGLCGVFYDKFPDAFDPACFVMRDGLAAYTLS -PRPIIHAVAPDYRKGPNRRRLRLAYIEACSRVGTAAFPLLGSGIYQVPISESFDAWVDHHRPGDELYLDD -RAERWFMARDRTNSLVITKDMAAMANMALDIDRAKPVGRGLGAARVDPGVVRYKFVAGVPGSGKSTGVRA -SDVDIVVTPTRELRDGWRKRGFAAFTPHAAARVTAGRRVVLDEAPTLAPHLLLLHAQRAADVLLLGDPQQ -IPALDFEGTGLVTPLRLELAPTSELNVTHRCPADVCELVRAAYPRIQTTSTVLRSLYWGRAPVGQILVFT -QAAKAIHQGSVTVHEAQGATYDKTTVIATADARGLLLSSRAHAIVALTRHREVCHIIDEPGCLRDLGISD -AIVSNFELSGGREVPNRPAEALRRIPDPVLADADAVPASCQIAAYHQMSETFGHRPEPVAAVLPPIPELE -QGRLYMAKDLAGRDEVVTLALTETVHCRMSAPAHRKSVLSTLVGRYGRLTKLYAGHHAAVRHRLERFIPR -LAPLRPGFCELAELVQAMLDKGQDGQAVLHVDLADRDVTRITFFQKDCNKFTTGPTIQHGKVGQGISAWS -KTMCALFGPWFRSIEKQIVDSLPPTVFYADNFDPSAFSAAIQAAKSSQVFENDFSEFDSTQNDFSLGLEC -MLMRECGMYEWLVRLYYIIRSAWTLQAPKESLQGSWKKHSGEPGTLLWNTVWNMAVIAYCYDFDNEACAA -FKGDDSVVLCSGYRLTADAPRLITSCGLRLKAGFRPIGLYAGDVVXPGVGLLPDVIRFAGRLSEKNWGPS -PERAEELRTAVVDFLKRIENITGTCIVLCGIPYAASSGLVLNLIGLLKTIAEGRASLTELRKPLLDLTYT -EGKRE ->AEW87504.1 non-structural polyprotein [Orthohepevirus A] -MEAHQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSRVQTEILINLMQPRQLVFRPEVLWNHPIQRV -IHNELEQYCRARAGRCLEVGAHPRSINDNPNVLHRCFLRPVGRDVQRWYSAPTRGPAANCRRSALRGLPP -VDRTYCFDGFSRCAFAAETGVALYSLHDLWPADVAEAMARHGMTRLYAALHLPPEVLLPPGTYHTTSYLL -IHDGNRAVVTYEGDTSAGYNHDVSILRAWIRTTKIVGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPSACSTRSTFHAVPVHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGALVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLEVEHAQKFITRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLDPRVLVFDESVPCRCRTFLKKVAGKFCCFMRWLGQECTCFLEPAEG -LVGDQGHDNEAYEGSEVDPAEPAHLDVSGTYAVHGHQLEALYRALNVPHDIAARASRLTATVELVASPDR -LECRTVLGNKTFRTTVVDGAHLEANGPEEYVLSFDASRQSMGAGSHSLTYELTPAGLQVKISSNGLDCTA -TFPPGGAPSAAPGEVAAFCSALYRYNRFTQRHSLTGGLWLHPEGLLGIFPPFSPGHIWESANPFCGEGTL -YTRTWSTSGFSSDFSPPEAAAFASAAAPGLPHPTPPVSDIRVLPPPSEESHVDVASVPSVPEPAGLPSPI -VLTPPPPPPPVRKPPTPPPTRTRRLLYTYSDGAKVYAGSLFESGCDWLVNASNPGHRPGGGLCHAFYQRF -PEAFYSTEFIMREGLAAYTLTPRPIIHAVAPDYRVEQNPKRLEAAYRETCSRRGTAAYPLLGSGIYQVPV -SLSFDAWERNHRPGDELYLTEPAAAWFEANKPAQPALTITEDTARTANLALEIDAATEVGRACAGCTISP -GVVHYQFTAGVPGSGKSKSIQQGDVDVVVVPTRELRNSWRRRGFAAFTPHTAARVTIGRRVVIDEAPSLP -PHLLLLHMQRASSVHLLGDPNQIPAIDFEHAGLVPAIRPELAPTSWWHVTHRCPADVCELIRGAYPKIQT -TSRVLRSLFWNEPAIGQKLVFTQAAKAANPGAITVHEAQGATFTETTIIATADARGLIQSSRAHAIVALT -RHTEKCVILDAPGLLREVGISDVIVNNFFLAGGEVGHHRPSVIPRGNPDQNLGTLQAFPPSCQISAYHQL -AEELGHRPAPVAAVLPPCPELEQGLLYMPQELTVSDSVLVFELTDIVHCRMAAPSQRKAVLSTLVGRYGR -RTKLYEAAHSDVRESLARFIPTIGPVRATTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDC -NKFTTGETIAHGKVGQGISAWSKTFCALFGPWFRAIEKEILALLPPNIFYGDAYEESVFAAAVSGAGSCM -VFENDFSEFDSTQNNFSLGLECVIMEECGMPQWLIRLYHLVRSAWVLQAPKESLKGFWKKHSGEPGTLLW -NTVWNMAIIAHCYEFRDFRVAAFKGDDSVVLCSDYRQSHNAAALIAGCGLKLKVDYRPIGLYAGVVVAPG -LGTLPDVVRFAGRLSEKNWGPGPERAEQLRLAVCDFLRGLTNVAQVCVDVVSRVYGVSPGLVHNLIGMLQ -TIADGKAHFTESIKPVLDLTNSIIQRVE ->AEX93357.1 non-structural polyprotein [Avian hepatitis E virus] -MDVSQFAESKGVKTALEAAALAAANTALRNARVVTPYLTQQQTKNLLELFRGAQLRFEPRDNWAHPVQRV -VHDALEQYVRRAAGPNCLEVGAHPRSINRHQASHRCFLPPVGRDEQRWQVAPRRGLCNLIRRALLNGVRV -AREFCQLGFGSCSYQCEVGIALYSLHDMRPAEVACAMARHNMRTMYIVLHLPEEAMLPPGSYSNKFYNTV -NTADKCIITYADDSCAGYVHKREVLQDWITTTGVSGRHPMLIERIRAIGCHFVLLCTATQPCPMPYTPYP -ASGTVYVRNVYEPALGAGLFTPKCCIDATFYPVPRRVWQRLMMFGTTLDDDAFCCSRLLTYLRGISTKVT -VGNIVANEGWQPEEQQLTAVAIAAYLTVCHQRWVRTQGIARGVRRLQAEHAQQFWFKVWELFTNTGTVPG -YSAGFYRQLATWISGGLTIDFERRVFDKRVKCGCCCACERRSEETSCLCVDDFPDGANGLVKLKKWPIRA -GSKSAVSKWAQVRVRADSTEDLINLSVPKLLTLKELAAAAIRKQPSAPPSLHVMDRRPVGDPRRPVAPMP -SAVPARPEPVSPHEPVAGGAVTEPGPDRPVGGSPQATPAPVSDGSGLPLPPQRGQEEVLAVLPSGARVIV -GNLLDVSADWLVNPANRDHQPGGGLCGMFRRRWPHLWPVCGEVQDLPTGPVIFQQGPPRVIHAPGPDYRI -KPDPDGLRRVYAVIHQAHGTVASPLISAGIYRAPARDSFEAWAATARDGDLLVVQRSMAQHIRDFVLNEG -KHRPQELQVDRAMADMINYGLATEPEPYSELVKGIQVTPMTVKYALIAGVPGSGKSTSVDHRGAVVITPT -KTLAREWSARGAVAITPHVAASAAPEGRVIVDEAYTIPPHLLVASLRRARDVVMLGDPHQIPALDFDDRC -LTGAVKLDLQPTSWRTVSHRCPWDVCMFLRADYPTITTTSRVLRSVVFTGETLGQKIVFTQVAKQSNPGS -ITVHEAQGSTFDQTTIIATLDARGLIASSRAHAIVALTRHRERCSVIDVGGVLVEIGVTDAMFNNIEMQL -VRPDAAAPAGVLRGNDDAVDGLLDIPPAHTDVAAALTAEAIGHEPLELAAINPPGPVLEQGLLYMPARLD -GRDEVVKLQLSDTVHCRLAAPTSRLAVINTLVGRYGKATKLPDVEYDLMDTVAQFWHRIEPINPSTLEYA -EMCQAMLSKGQDGSLIVHLDLQDADCSRITFFQKDCAKFTLDDPVAHGKVGQGISAWPKTLCALFGPWFR -AIEKHLVAGLPPGYYYGDLYTEADLHRSVLCAPTGHLVFENDFSEFDSTQNNVSLDLECEIMRRFGMPDW -MVALYHLVRSYWLLVAPKEALRGCWKKHSGEPGTLLWNTVWNMTVLHHVYEFQRPSVLCFKGDDSVVVCE -AVQTRPEGAGLVADCGLKMKDKTGPCGAFSNLLIFPGAGVVCDLLRQWGRLTDKNWGPDIQRMQDLEQAC -KDFVARVVSQGKEMLTIQLVAGYYGVEVGMVEVVWGALKACAAARETLVTNRLPVLNLSKED ->BAV83005.1 non-structural polyprotein [Orthohepevirus A] -METHQYVAATGVNTAREAQATAAAATAFANAQVVRPFLSHSQASLLVELFSPLQLVFEPAVSWSHPVQRV -IHNFLEAYVRRKAGACLEVGAHPRSINEHANVIHRCFLPPDGRDMQRWRDCPRRGPANNIRRCILTGRPA -TDLSFCTNGFQRCRHYADVGIALYSLHDLHPREVARAMRAHGMHTLYAVMHLPAEALLPDGVYTTRAYTA -RNLEGRLIVTYEGDTSAGYNHDRKSIRSWIKATRVRGCCALVIERVRAVGCHFVLRLTTVTNPCPMPYTP -YPKATTIYVRSLFSAGTQAGPLGPPPLMCEKSRSTFHCVPTDIWDRLMLFGATLDDDAFCCSRLMTYLRG -ISFKVTVGTVVANEGWTTDEYALTAVVVASYLTICHQRWIRTQGISMGIKRLAKEHQQGLLFRLGEWLLT -KICGPRETFVPGRQLQFYRQCRDWVSAGFYIDLRDLCFDREYACPCLPLERAIRKGCNCAARKLKQVCGC -FMADAVESLEDSVEDLTADFYPEPDVYVPQGLDNWTIRALTDCLFKAGDRALRAGTKLASWPRIGLAFFI -SPVGLVFSGEPVPGPPGRRTERGPFWGDAAPPPPSPEEDXPYHTPVSPDGPPPPASWTPGPISPRYALPT -PVEYRGHRPGSAGFWEATPSPSPDEPLSRHSSLXSVDLVPPRNPPVAPVQRVLLELLPDGAAVYEGDLFG -SSCYWLVNAANPQHAPGGGICGVFFKRYPEAFDRTQFIHPNGARAAYTITPRPIIHAVAPDYRVRRDPVA -LQTAYQECLFRQERAAYCLLGSGIYQVPPQESMQAWLDNHLPGDEMYILPSMSPWYRSWRAEAGVGHGGG -PPESEPGAPPEGAPHRGLASTPPVSTPTGGRDAPSMVTSAPTQILVITPNLANTANLALQQEAEGPFAKF -VGNAHVPPGPVRYRFVAGVPGSGKSVGVRKQDCDLVIVPTNQLKAQWRARGFPVMTPHVGLQHCQGKRLV -VDEAPSLPPHLLLCYMAAAADVLLLGDPRQIPAIDFEGKGLIPAMQLNLEPTEWRLQSHRCPRDVCYLLS -ADYPGMSTTNPIVRSLMFTGDSAGQVLVFTQAAKAMHPGSITVHEAQGSTFNTTTIVVTNDARGLLASSR -AHCIVALTRHTDKCYILDGPGLLKELGVTDSILNNFYLTQPVEVQARPAAVERAEKCENLQDIDMVPPAP -PDVALHQMAEAFGHRPLEVRAVVPLCPPLEQGKLYTPFNLAGRDQVTMLALSPTVHCRMAAPAHRLAVLS -TLVGRYGKETKLWHDDVEVVRESLRTLIPSISGVKVTSCELMELVEAMIARGQDGTAVLDLDFSDKACTR -ITFFQKDCNKFTTDEPVQHGKVGQGISAWSKTLVALFGPWFRAIEKAIVANLPEWCFYGDCYVQEKFEAA -VAGAKACRVFENDFSEFDSTQNNYSLGLECLLMREAGAPEWLWRLYHLLRSAWVLQAPQESLRGRWKKHS -GEPGTLLWNTVWNMAVILHCYQFDGLAVAAFKGDDSVVCCRFYQQKPQAAALITGCGLKLKVNFGDVGSY -AGLLVACGLGVTPDVVRFMGRISEKNWGPVKERREDLEQAVRDFVAKLKNVTALSCLLATRFYKLEPGLA -LNAVAGLKAIAEGRMELKEYTWPVLQLEGRRE ->AIF74285.1 nonstructural polyprotein [Bat hepevirus] -MDVSQWAAPKGAANAIEAAALAATASAIDHALLVECYLTKTQTEVLIGLFAPMQLRFEPKTCWSHPLQRF -IHNYLEVLARRKAGPRYLEVGAHPRSINNNPAVVHRCFLPLRGRDRQRWNSAPRRGLANAIRRGLLCGRS -SNEFCSVGFHNCDFKSEIAVACYSLHDLSPREVACAMYKHGVRTLYAALHLPFECLLPDGVYQTKSYHVL -QREGATIVTYVGDTSAGYRHKTSILREWLQTTQVTGRHPVIIERVRAVGCHFLLCITATHCEPMPYSPFP -NSDYVYVLDIYGPGFEGGVFSGGTHTYHAVPLDIWRRLMMFGETLDDDAFCCSRMQTYLRGISHKVTVGN -VVANTGWQPDERSLTATITAAYLTIAHQRWLRTQGISKGVQRLKKEHVQGFFHRLLEWLTPCLRQAGVGF -YRQLKHWLSAGLVRNPQAEVFDDCRRCACPVVRRVHGEECWVPSPWGNLRYVDSTPKPIKAVKMVERPSQ -PVLAPVKKIHPRVASTVYPGLQLPEELAVELEESLWEDGRYYYVMPCPIELIPATVRVCAGGNRIAPRVS -ARKLFVKVGGNVSQEDVTIGYDSGKRRYYAVVGDRQIFFDSFTPFSHDNNFELPGGYQISTERPDQGLGH -AGAEILLDLPDGSQILCGDLFGSKCDWLVNAANGDHLPGGGICGQFHRRYPDLFPVQGRRHGRVIFQEEP -VKVIHAVAPDYRVSERPDLLEATYRDAMDRTGTAAYPVLGAGIYQVPYMLSVSAWLRHHRPGDALYIHPA -DRHRYLGVDVKTPNQINITESMAAKANLALSLEKEPYKKFVDGVTVLAGTVYYDYITGVPGSGKSRSVPN -DGQLVVAPTKKLVADWRDRGFEAYTPHKSLTKVTGRDCVYDEAPTLPPHLLLSCMQLASSNLLLGDPRQI -PALDFQHTGLVDPLRLPLVPSEHWTVSHRCPYDVCRFLAADYPGITTTSQVRRSLFWGRPSVGQIIVFTQ -AAKGCYEGSITVHESQGSTFDQTTIIATLDARGLIASSRAHAIVAITRHTKACHVIDQGGLLAEVGLTDA -MITMLLAQELAVTPTPVVEPVRIPRVGGQPALPHTHTDVAATLTAEALGHQPTEVAAVVPACPALQQGLL -FMPDRLDGKDDVIVTRLSDTVHCRLLAPADRLSVVSTLVGRYGKFTLTPKDDFDLRRLSWFIPDLSGCKP -TEVEYMQLVQAMTSKGQTGELVLELTGDNKDCYRITFFQKDCNKFTLEDPVQHGKVGQGISAWPKTLCAL -FGPWFRAIEKRIVSALPPGWFYADLYTEADLHAHCIAVPPGTQVFENDFSEFDSTQNNVSLDFECQLLSE -CGMPEWMVRLYWLQRAYWTLVAPNAALRGCWKKHSGEPGTLLFNTVWNMVVVNVCYEFRGDVVHVYKGDD -SVVLCQDAQLRDGGQHLVTACGLKLKQNFGPIGCFSNYIIAPGAGCCKDLLRTWGRMTEKNFSSSGRAHD -LTVAAQDFCNAVVAEGKEFLTIEINAAYYGQPRGFFEVVWGAIQSVARGEMGLTDYRLPILRVEGDQ ->AHC54587.1 polyprotein [Orthohepevirus A] -MEAHQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSRVQTEILINLMQPRQLVFRPEVLWNHPIQRV -IHNELEQYCRARAGRCLEVGAHPRSINDNPNVLHRCFLRPVGRDVQRWYSAPTRGPAANCRRSALRGLPP -ADRTYCFDGFSRCSFAAETGVALYSLHDLWPADVAEAMARHGMTRLYAALHLPPEVLLPPGTYHTTSYLL -IHDGDRAVVTYEGDTSAGYNHDVSILRAWIRTTKIVGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPSACSTKSTFHAVPVHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGALVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLEVEHAQKFITRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLDPRVLVFDESVPCRCRTFLKKAVGKFCCFMKWLGQECTCFLEPAEG -LVGDHGHDNEAYEGSEVDQAEPAHLDVSGTYAVHGRQLEALYRALNIPHDIAARASRLTATVELVAGPDR -LECRTVLGNKTFRTTVVDGAHLEANGPEQYVLSFDASRQSMGAGPHSLSYELTPAGLQVKISSNGLDCTA -TFPPGGAPSAAPEEVAAFCSALYRYNRFTQRHSLIGGLWLHPEGLLGIFPPFSPGHLWESANPFCGEGTL -YTRTWSTSGFSSDFSPPEAAAAAPAAAPGLRHPKPPVSDIWVLPPSSEEFQVGTAPAPPAPAPAQPSNPA -GPKAPVRKLPMLRGLTNVAQVCVDVVSRVCGVSPGPPFFEEFQVGTAPAPPAPAPAQPSNPAGPKAPVRK -LPMPPSPRTRRLLYTYPDGAKVYAGSLFESDCDWLVNASNPGHRPGGGLCHAFYQRYPESFHPTEFIMRD -GLAAYTLTPRPIIHAVAPDYRVEHNPKRLEAAYRETCSRRGTAAYPLLGSGIYQVPVSLSFDAWERNHRP -GDELYLTDLAATWFEANKPTQPTLTITEDAARTANLALEIDAATEVGRACAGCAVSPGVVHYQFTAGVPG -SGKSRSIQQGDVDVVVVPTRELRNSWRRRGFAAFTPHTAARVTTGRRVVIDEAPSLPPHLLLLHMQRASS -VHLLGDPNQIPAIDFEHAGLVPAIRPELAPTSWWHVTHRCPADVCELIRGAYPKIQTTSRVLRSLFWNEP -AIGQKLVFTQDAKAANPGAITVHEAQGATFTETTIIATADARGLIQSSRAHAIVALTRHTEKCVILDAPG -LLREVGISDVIVNNFFLAGGEVGHHRPSVIPRGYPDQNLATLQAFPPSCQISAYHQLAEELGHRPAPVAA -VLPPCPELEQGLLYMPQELTVSDSVLVFELTDIVHCRMAAPSQRKAVLSTLVGRYGRRTKLYEAAHSDVR -ESLARFIPTIGPVQATTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDCNKFTTGETIAHGK -VGQGISAWSKTFCALFGPWFRAIEKEILALLPPNIFYGDAYEESVFAAAVSGAGSSMVFENDFSEFDSTQ -NNFSLGLECVVMEECGMPQWLIRLYHLVRSAWILQAPKESLKGFWKKHSGEPGTLLWNTVWNMAIIAHCY -EFRDFRVAAFKGDDSVVLCSDYRQSRNAAALIAGCGLKLKVDYRPIGLYAGVVVAPGLGTLPDVVRFAGR -LSEKNWGPGPERAEQLRLAVCDFLRGLTNVAQVCVDVVSRVYGVSPGLVHNLIGMLQTIADGKAHFTETV -KPVLDLTNSITQRVE ->ANJ02843.1 non-structural polyprotein [Orthohepevirus A] -MEAHQFLRAAGVTTALEAAAVGAATASLANAFEVQAFTTQRQAELLVKLFSPLQLVFNPQHVWAHPIQRV -IHNYLEGVARRKAGPCLEVGAHPRSINDNRGVTHRCFLPPAGRDVQRWLSCPRRGQAGNMRRCALTGAAP -SDLTFCFSGFEKCRHYADTGLALYSLHDLHPTAVATAMRQHGMKRLLAVLHLPPEAMLPQGVYVTPTYTA -RVIDDRLVVTYLGDTSAGYNHDRALLRKWIKTTRVGGCCAIVIERVRAVGCHFLLDIVAVDTVTPMPYTP -YPEADVIYVRSLFSAAGKPGLLHSICSSARSTFHAVPVNIWDRLMLFGATLDDDAFCCSRLMTYLRGISF -KVVVGTQVANEGWTTDEYALTALVVAAYLTICHQRWIRTQGISKGMKRLTKEHAQGFLARLMKWLILKLS -SKKDGFIPGRQLEFYKLCQDWVSAGCYFDVRALCCDKQLTCKCFGTRLKEKAEDLRDGFRCFVKKGATCI -GTSFHGLCSCFLSATHDDDVSVELYSPSEPPASVKDSERPVSPPPIKEPFVAANEPIVQPGSAVRGCGEV -YEPKGVDNFIYKAVERALAIFRAKAKKSTTIPTEFNAVAHLAQWTSAMWVPVLPTVVSSPNPFYAASALT -SQSSRRTASLYDALDALRASEIAALANGDQELAAELEDITMSSPRPQLLRFESAVDSGIGSPLTPTLPAF -ETPAAVPPTAQLEIKSNVKPPPRLVQTLPDGARILCGDLFSSSCDWLVNASNPDHIPGGGICAQFYNKFP -TSFEQPWLMVEGAAAYTCTPRQIIHAVAPDYRARRVRTIEQAYAETLSRIGTAAYPLLGAGIYKVPYGES -IRAWLANHRPGDELYLTREVADWYEKNRDTSLIGTKTLIITPAKANTINLAMQKESAGPFGKFVGSAVVA -PGAYQYKFTAGVPGSGKSSGIHRDEVDVVVVPTHQLRQQWHDRGFKVFTQHAAIRQVPGLRIVVDEAPSM -PPHILAYIMSVASTVTLLGDPNQIPALDFDHTGLVEASKPVLEPTEWRLTSHRCPQDVCHLLAADYPGIK -TTSKVVRSLVFNKTPPPEVQRIVFTQAAKAANPGSITVHESQGSDFNTTAIIVTNDARGLILSSCAHAIV -ALTRHSKRCYVVDQPGLLKEIGVSDAVLSNFFMSQATQHYARPAEVEKSEPGPVSDEAHMIPASCQHAAL -HQLAEVTGHRPTPVRAVVPPGPPVEQGKLYMPFRLDGRDQVTVLALSETVHCRMAAPTDRLAVLTTLVGR -YAKKTKFWSSEVLPVRESLRKFIPTLSPVAPSTVELAEFVKAMSDKGQDGSLIVQLDLADRDVTRITFFQ -KDCNKFTLDETVAHGKVGQGISAWAKTVVALFGVWFRAIEKAIVDALPENVLYGDQFTHEKFSALVEAAN -FRRVFENDFSEFDSTQNNYSLDLECTLMAECGMPEWMINLYHLIRSAWVLQAPQEGLKGYWKKHSGEPGT -LLWNTVWNMAVIAHCYNFQDLSMAAFKGDDSVVCCSLYTTSASAASLISGCGLKLKVNFNDVGAFAGYIV -CPGVGVVKDVVRFVGRLTEKNWGPGDERMQELIEAVRDFLNSIRNSTNLVCMMNSMYYGFGQGLIMNLIG -LLKTVEEGRASFTEIVAPILKLTNVGKQE ->BAO31621.1 non-structural polyprotein [Orthohepevirus A] -MEAHQFIKAPGVTTAIEQAALAAANXALANAVVVRPFLSRLQXEILINLMQPRQLVFRPEVFWNHPIQRV -IHNELEQYCRARAGRCLEVGAHPRSINDNPNVLHRCFLRPAGRDVQRWYTAPTRGPAANCRRSALRGLPP -TDRTYCFNGFAGCTFAAETGVALYSLHDLWPSDVAEAMARHGMTRLYAALHLPPEVLLPPGTYHTTSYLL -IHDGNRAVITYEGDSSAGYNHDVTTLRAWIRTTKVTGNHPLVIERVRAVGCHFVLLLTAVPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPSACSTKSTFHAVPVHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGALVANEGWSASEDALTAVITAAYLTICHQRYLRTQAISKGMKRLELEHAQRFVTRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLDPRVLVFDEAAPCRCRDFLRKAVKKFCCFMRWLGQECTCFLQPVEG -HIGDQGHDNEAFEGSDIDPAEEVTFSISGTYIAAGNQLQPLYQALGIPADLVARASRLAATVEVEDTNGR -LVCRTTLGNKTFTTVFTDGAELEANGPETYVLSFDSAKQCMAAGPYSLDFTLTSAGLEVHFTSAGLSSKV -VFPPGEATPAAPGEVTAFCSALYRFNRCAQRHSAAGGLWFHPEGLVGLFAPFSPGHKWESTNPFCGESTL -YTRTWSTSGFSSSFHPLGPDAVDAPPAADSTDSTTTIGLCPALPMSQQPLADGHSDPQPASGVPVARPIT -LEAPTPPPQTSAQSLAVGFTTPSRRLLHTYQDGSKVYAGSLFDSGCTWLVNASNPGHRPGGGLCHAFYQR -YPESFDHTQFVMTDGLAAYSLTPRPIIHAVAPDYRVKQNPKRLEAAYRETCSRLGTAAYPLLGAGIYKVP -ISLSFDAWERNHRPGDELYLTEPAVAWFEANKPTLPALTVTEDTARAAXLALELDAATDVGRACAGCQVK -PGVINYRFTAGVPGSGKSRSIQQGEVDVIVVPTRELRNSWRRRGFAAFTPHTAARVTSGRRVVIDEAPSL -PPHLLLLHMQRAASVHLLGDPNQIPAIDFEHTGLVPAIRPELVPTDWWHLTHRCPADVCELIRGAYPKIQ -TTSRVLRSLFWGEPAVGQKLVFTQAAKAAHPGSITVHEAQGATFTVTTIIATADARGLIQSSRAHAIVAL -TRHTEKCVVLDAPGLLREVGISDAIVNNFYLSGGEVGHNRPSVVPRGAVECNIDTLSAFPPSCQFSAFHQ -LAEELGHRPAPVAAVLPPCPELEQGLLYMPQELTASDSVLVFELTDIVHCRMAAPSQRKAVLSTLVGRYG -RRTRLYEAAHADARESLSRFVPVLGRVSVTTCELFEFVEAMVAKGQDGSAVLELDLCSRDVSRITFFQKD -CNKFTTGETIAHGKVGQGISAWSKTFCALFGPWFRAIEKEILAVLPPNVFYGDAYEDSVFAAAVAAASGS -EVFENDFSEFDSTQNNFSLGLECAIMEECGMPQWLIRLYHLVRSAWILQAPKESLRGFWKKHSGEPGTLL -WNTVWNMTVIAHCYDFRNLRVAAFKGDDSVVLCDNYRQSPNAATLIAGCGLKLKVDFRPVGLYAGVVVAP -GLGALPDVVRFAGRLSEKNWGPGAERAEQLRLAVCDFLRKLTNVAQVCVDVVSRAYGVSPGLVHNLIGML -QAVADGKAHFTETIKPVLDLTSAIIHRVE ->ABU98319.1 ORF1 [Orthohepevirus A] -MEAHQFIKAPGVTTAIDQAALAAANSALANAVVVRPFLSRLQTEILINLMQLRQLVFRPEVLWNHPIQRV -IHNELEQYCRARAGRCLEVGAHPRSINDNPNVLHRCFLKPRGRDVQRWYTASTRGPAANCRRSALRGLPP -VDRTYCFDGFSGCTFAAETGIALYSLHDLWPADVAEAMARHGMTRLYAALHLPPEVLLPPGTYHTTSYLL -IHDGDRAVITYEGDSSAGYNHDVSILRAWIRTTKVTGDHPLVIERVRAVGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGSPSLFPSACSTKSTFHAVPVHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGALVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMKRLELEHAQKFITRLYSWLFEKSGR -DYIPGRQLQFYAQCRRWLSAGFHLDPRVLVFDEAAPCRCRNFLRKAAHKFCCFMRWLGQDCTCFLQPIEG -RVGEQGYDNEAFEGSDVDPAEEATVSISGSYIVTGSQLQPLYQALGIPSDLAARAGRLTATVEVSDADGR -LTCKTIMGNKTFSTVFTDGAQLEANGPEQYVLSFDPIKQTMAAGPHNLSYTLTSAGLEIHVVSAGLDCKA -VFPSGVATPSAPGEVSAFCAALYRFNRCVQRHSLIGGVWYHPEGLVGLFPPFSPGHSWESANPFCGESTL -YTRTCSVSGFSSCFSPLEPGGPDPPPLVETDTSIVADTLPPVVSVPPEQIAPLPDPVDKAAGLTPSSTPV -VSPAPVQSVAQSPGPRRRLLHTYPDGSKVYAGSLFESDCTWLVNASNPGHRPGGGLCHAFYQRFPESFDP -AEFVMSDGFAAYTLSPRPIIHAVAPDYRVEHNPKRLEAAYRETCSRLGTAAYPLLGAGIYKVPVGLSFDA -WERNHRPGDELYLTEPAVAWFEANRPTLPALTITEDTARTANLALELDSATEVGRACAGCRVEPGVVHYQ -FTAGVPGSGKSRSVQQGEVDVVVVPTRELRNSWRRRGFAAYTPHTAARVTRGRRVVIDEAPSLPPHLLLL -HMQRASSVHLLGDPNQIPAIDFEHAGLVPAIRPELVPTKWWHVTHRCPADVCELIRGAYPKIQTASRVLR -SLFWGEPPVGQKLVFTQAAKAANPGAITVHEAQGATFTETTIIATADARGLIQSSRAHAIVALTRHTEKC -VVVDAPGLLREVGISDAIVNNFFLSGGQIGQHRPSVIPRGTIDCNVDTLDAFPPSCQFSAYHQLAEELGH -RPAPIAAVLPPCPELEQGLLYMPQELTTSDSVLTFELTDIVHCRMAAPSQRKAVLSTLVGRYGRRTKLYE -AAHADVRGSLNHFIPELGPISVTTCELYELVEAMVEKGQDGSAVLELDLCSRDVSRITFFQKDCNKFTTG -ETIAHGKVGQGISAWSKTFCALFGPWFRAIEKEILAVLAPNVFYGDAYEDTVLAAAVAGAPGCKVFENDF -SEFDSTQNNFSLGFCSVHGLECIIMEECGMPQWMIRLYHLVRSAWVLQAPKESLRGFWKKHSGEPGTLLW -NTVWNMAVIAHCYEFRDLKVAAFKGDDSVVLCSDYRQSRNAAALIAGCGLKLKVDFRPIGLYAGVVVAPG -LGTLPDVVRFAGRLSEKNWGPGLERAEQLRLAVCDFLRKLTNVAQVCVDVVSQVYGVSPGLVHNLIGMLQ -TIADGKAHFTETVKPVLDLTNSIIYRVE ->BAT70058.1 polyprotein [Ferret hepatitis E virus] -METHQYVSATGVNTAREAQATAAAATAFANAQVVRPFLSHSQASLLVELFSPLQLVFEPSVVWSHPVQRT -IHDFLETYVRRKAGVCLEVGAHPRSINDNPGVSHRCFLPPDGRDMQRWRDCPRRGPANNIRRCILTGRPA -SDLSFCTRGFTRCRHHAPVGIALYSLHDLHPREVASAMYRHGMHTLYAVMHLPAEALLPDGVYTTKAYVA -RNVEGRLVVTYEGDTSAGYNHERKLIRAWIKVTRVRGCCSLVVERVRSVGCHFVLRLTTVATPCPMPYTP -YPRSSVVYVRSLFSAGGSPGLLGFPLSCKSRSTFHSVPSDIWDRLMLFGATLDDDAFCCSRLMTYLRGIS -FKVTVGTITANEGWTTDEYALTATVVASYLTICHQRWIRTQGISMGIKRLAKEHQQGLLFRLGEWLLTKI -CGSKESFIPGRQLQFYKQCQNWVSAGFYLDVREMCFDREYSCLCSLCMKTIRKGCSCLARKFGQVCGCFM -SDVVASIEDSVEDLTVDLCPVDADVYEPQGLDNWTTRALSSELTKVMNTARHRGGRLAGYPRIDLTFLLC -PVGCFFSGRPSPAAAPNAHEPGPWWCDAPPPPPPPPPPPPASSTGSSVVGEECDEPLLHRGHKPGSPGFW -RDSTPSASSISSSFSSLVSFELSPLRNPPQPPRQRLLLELLPDGSAVYEGDLFSSDCFWLVNAANSNHQP -GGGICGVFYSKYPTAFDKSKFDFRGGGLAAYTECPRPIIHAVAPDYRRGADRARLQAAYRECLHRKESAA -YCLLGTGIYAVPPAHSLQAWLDHHLPGDELYLLPDLTNWFRAWRQAYPDGSAPRTPQLAEEADASATRML -VINPALANTANLALQQEAEGAFGKYVGNAHVAPGPVRYRYIAGVPGSGKSAGIKKEECDLVIVPTQQLKN -QWKQRGFNVMTQHVGLGHAAGRRLIVDEAPSLPPHLLLYYMTVAKSVVLLGDPNQIPAIDFDHKGLVPAM -NLKLEPTEWRTVTHRCPRDVCHLLSADYPGMTTTNPVTRSIFFSGATAGQKLVFTQAAKFMHPGAITVHE -AQGSTFNTVTLIATNDARGLLLSSRAHAIVALTRHTEKCYIVDAPGLLRELGVSDAVLHNFYLTNPVDVQ -ARPAAVEKAETREHSEDLSMLPAAPPDVALYQMSEAFGHRPLEVRAVVPPTPSLEQGKLYMPFSLSGRDS -VTILSLTDTVHCRMAAPAHRLAVLSTLVGRYGKETKLWHEDVATVRESLRALIPSLSEVRVTTCELAELV -EAMVARGQDGTAVLDLDFTDRNCTRITFFQKDCNKFTTDEPIAHGKVGQGISAWSKTLVALFGPWFRAIE -KSIIDQLPEWCFYGDAYAMPRFEAAVSGARGCRVFENDFSEFDSTQNNFSLGLECVLMAEAGAPEWLWKL -YHLIRSAWVLQAPQESLRGRWKKHSGEPGTLLWNTIWNMAVILHCYRFEAVALMAFKGDDSVVCCRMYDQ -LPRANALITGCGLKLKAAFHDVGSYAGLLLACGMGVVPDVVRFLGRLTEKNWGPGEDRKTELQQAVKDFL -AKITNTTALSCLLATRFYKLEPGLALNVVAGLKAIAEGVMDLKEYTWPVLQLGGRE ->YP_009350098.1 nonstructural polyprotein [Orthohepevirus D] -MDISQWSAPKGAGGAFEAYAQAAAGVAVEHAHVIRCYLSREQTELVIRLFSPLQLRFEPQYAWQHPVARV -IHNYLDSLARRKCGPSYLEIGAHPRSINEHPAVLHRCFLPPVGRDVQRWHSAPRRGLANXIRRAILRGVS -STDNFCSLGFHNCTRPADVGLASYSLHDLRPADVACAMYAHGMHTLYAVLHLPPEALLPDGQYSNESYST -LVHRGSVIITYAGDSCAGYRHSQNVIREWIQVTSVSGRHPVVIERVARIGVHFLLCITAAPSVPMPYTPY -PDFDVLYVADVYPRTSGLIPPCPDVRVQVPRSIWARLMVFGGTLAEEAFCCSRLMTYLRGISHKVTIGNL -VANEGWRPSAHVLSVTVAASYLTIAHERWMRTQAISKGVRRLTIEHAQGFFRRCWEWLTGKCRKLAVDWY -VSLQHWLSHGLVVDPAEWLFDISPKSCRCRPRRHPAPRPRRVRTGLRPGRVRSMDGTVLGAGMISFSYDV -HTRRYVLSCGPVTCFVPCFTGFDRHAVPFNGDVYCFEFVSEYRPHPRDWFPLPDIEGSLSIQDVPDVGPD -LPGPDSPVPAFVIPETTAQFAICDVAGVPACVPVDFKAVTHATAPVFAPHLEPVESVDLPPLELASPVPS -VTFGHRQPVPEVLHACPDGAKILCGDLFSSQCRWLVNAANKDYLPGSGVCGQFHRRFPDLFPVRGQRVRS -AMYQKGSVWVIHAVAPDYRHRVDHRALVAAYRDACSRHESAAFPVLGAGIYQVPYMESVRAWLDNHLPGD -ELYIHPADRHQFIISDLQADLQTLTITLHMARLANLAIRAEKPPFAKFLEGLRVVEGSVRYSYITGVPGA -GKSTGINPTGQLVIAPTRELAADWRQRGFEACTAHVGCQRAANRHVVVDEAPVHQPHLLLLIMQRASSVL -LLGDPKQIPALDFAHTGVTDALRLDLIPTEQRLVTHRCPRDVTLYLAADYPGITTTSSVERSLFWGLPAD -GQVLVFTQAAKQIYPGSMTVHEAQGSTFDQXTLIATMDARGLICSSRSHAIVGLTRHRLKCHVIDQPGLL -AEIGLTDSLITMLLTQHLSPTPSPVVQPVREPLEAADPSYPAAFTDVAAALSAEAIGHQPQELAAVVPPA -PPLEQGVLYMPDRLDGHDEVTVVRLSDTVHCRLLAPRERLAVVGTLVGRYGKMTLTPRDDFNLREKSRWF -LPDFTGTRPTEVEYLELVQAMHEKGQTGELVLELTNDDAACYRITFFQKDCNKFTLEETLMHGKVGQGIS -AWPKTLCALFGPWFRAFEKRLVSNLPAGWFYCDLYNEADIHAHTMVVPDGTKVFENDFSEFDSTQNDTSL -SLECQLLLECGMPEWMVRLYWLQRAYWVLVAPNAGLRGCWKKHSGEPGTLLFNTLWNMVVVNSCYVFENA -VMHVYKGDDSVVLCSGFVEQPDANHLITACGLKLKVNFDQIGVFSHYIVAPGEGVVKDLLRTXGRMTEKN -FSDDARTHDLTVAAQDFVRSITSQGKEHLTIVINSVYHRQPECFFQAATDQVIWGAIQSVAAGNADLTTY -RLPIYRA ->BAN57590.1 polyprotein [Orthohepevirus A] -MEAHQFIKAPGITTAIEQAALAAANSALANAVVVRPFLSHQQIEILINLMQPRQLVFRPEVFWNHPIQRV -IHNELELYCRARSGRCLEIGAHPRSINDNPNVVHRCFLRPVGRDVQRWYTAPTRGPAANCRRSALRGLPA -ADRTYCFDGFFGCNFPAETGIALYSLHDMSPSDVAEAMFRHGMTRLYAALHLPPEVLLPPGTYRTASYLL -IHDGRRVVVTYEGDTSAGYNHDVSNLRSWIRTTKVTGDHPLVIERVRAIGCHFVLLLTAAPEPSPMPYVP -YPRSTEVYVRSIFGPGGTPSLFPTSCSTKSTFHAVPTHIWDRLMLFGATLDDQAFCCSRLMTYLRGISYK -VTVGTLVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLEREHAQKFITRLYSWLFEKSGR -DYIPGRQLEFYAQCRRWLSAGFHLDPRVLVFDESAPCHCRTVVRKALSKFCCFMKWLGQECTCFLQPAEG -VVGDQGHDNEAYEGSDVDPAESAISDISGSYVVPGTALQPLYQALDLPAEIVARAGRLTATVKVSQTDGR -IDCETLLGNKTFRTSFVDGAVLETNGPERHNLSFDVSQSTMAAGPFSLTYAASAAGLEVRYVAAGLDHRA -VFAPGVSPRSAPGEVTAFCSALYRFNREAQRHSLTGSLWFHPEGLLGLFAPFSPGHVWESANPFCGESTL -YTRTWSEVDAVSSPARPDLGFMSEPSIPSRAATPIPAAPLPSPAPDPPPPPSAPAPDEPASGTTAGAPAI -THQTARHRRLLFTYPDGSKVFAGSLFESTCTWLVNASNVDHRPGGGLCHAFYQRYPASFDAASFVMCDGA -AAYTLTPRPIIHAVAPDYRLEHNPKRLEAAYRETCSRLGTAAYPLLGTGIYQVPIGPSFDAWERNHRPGD -ELYLPELAARWFEANRPTRPTLTITEDVARTANLAIELDSATDVGRACAGCRVTPGVVQYQFTAGVPGSG -KSRSITQADVDVVVVPTRELRNAWRRRGFAAFTPHTAARVTQGRRVVIDEAPSLPPHLLLLHMQRAATVH -LLGDPNQIPAIDFEHAGLVPAIRPDLAPTSWWHVTHRCPADVCELIRGAYPMIQTTSRVFRSLFWGEPAI -GQKLVFTQAAKAANPGSVTVHEAQGATYTETTIIATADARGLIQSSRAHAIVALTRHTEKCVIIDAPGLL -REVGISDAIVNNFFLAGGEIGHQRPSVIPRGNPDANVDTLAAFPPSCQISAFHQLAEELGHRPAPVAAVL -PPCPELEQGLLYLPQELTTCDSVVTFELTDIVHCRMAAPSQRKAVLSTLVGRYGRRTKLYNASHSDVRDS -LARFIPAIGPVQVTTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDCNKFTTGETIAHGKVG -QGISAWSKTFCALFGPWFRAIEKAILALLPQGVFYGDAFDDTVFSAAVAAAKASMVFENDFSEFDSTQNN -FSLGLECAIMEECGMPQWLIRLYHLIRSAWILQAPKESLRGFWKKHSGEPGTLLWNTVWNMAVITHCYDF -RDLQVAAFKGDDSIVLCSEYRQSPGAAVLIAGCGLKLKVDFRPIGLYAGVVVAPGLGALPDVVRFAGRLT -EKNWGPGPERAEQLRLAVSDFLRKLTNVAQMCVDVVSRVYGVSPGLVHNLIGMLQAVADGKAHFTESVKP -VLDLTNSILCRVE ->APA34844.1 non-structural polyprotein [Orthohepevirus A] -MDVSPYADSKGVRTAVEAAALQAVQTSLRNAHVVTPFLTRRQTEDLLELFGGVQLRFEPTKVWGHPVQRV -VHNFLEQYVCKRAGPGALKLVRTLVASTSAKAAHRCFLPAVGRDAQRWNTAPRRGLANYIRRAMANGVKS -TREFCQLGFTVCDHPAEVGLALYSLQDVDPADVVCAMAKHKMNTLFAVLHLPHEALLPSGNYVSRYYRVV -SAGGNLIVTYNDDTSAGYVHNRKNILKWVEVTSVRGRHSAVFERVRSVGCHFVIQITLTDPCSMPYTPYT -TIDTLYVRNVFGPALKSSLFGPKCCSDAKFVPVPRDIWQRLMMFGTTLDDEAFCCSRLMTYLRGISNKVV -VGNVVANRGWRAEDEQLTTVIIAAYLTICHQRWVRTQGIAKGIKRLQAEHAQTFWFRVWEFFTNRPGTVP -GYSVDFYRMLASWISGGLIIDVQGKVFDLRLRCGCCCLPNSRDSDWGILDTNGDEVEEFPHKCKEWHIRP -VAAGTSVNAKQVVVRASSEEDLIVLDHTPTGVVVPSLRELAARAIGFKSLAKPPELMAPTPGVVQRPAVV -AATRDASICAANQLPSPAEPDPNRPPINPGRPNLSARVLLILPDGARIIVGDLFNSAAKWLVNAANKNHR -PGGGVCGQFYRRWPQLWPVWGTSIRTSSGDVYFQRADRWVIHAPAPDARVQPVTTEELIEVYAATAEREG -NAAYPVLGSGIFGCDFMASVCAWLSTRRPEDELYIHPSDLDRYNPQMLPPGLLGAGPPKTVEISPGAAEI -ANLGLTTEPDPYCRYTRGIQVQPGPITYRFIAGVPGSGKSTSVDHTDAVVVAPTRTLVDGWVARGAVAYT -PHKALSKVAGRRVIFDEAPSVPKHLLLALIQPAAEVLLLGDPNQIPAIDFDDRMISQAIDTIFEPTEWRN -ITHRCPQDVCRYISADYPGITTTSTVVRSVMFDGPIVGQKIVFTQAAKAANPGSVTVHEAQGCTYDRVTL -IATMDARGLIATSRAHAIVALTRHTKVCRVVDEGGALVDVGVTDAMFLSIEMQLVHVRPALPARIERAHP -PDPDGTPVFTAVQTDVTARLTAEVIGHQPLELAAVNPQCPPLEQGLLFMPERLDGKDEIVVMRLSDTVHC -RVAAPTSRLAIINTLVGRYGRETKLSEESYSVREVLAQYIPCLKPCPPTTVEYMELVQAMEDKGQTGALV -LELTDDSKARFRISFFQKDCAKYTLDEPLCHGKVGQGISAWPKTLCHLFGPWFRSIEKQIVQNLPPGVFY -GDLYVESELHAAVMCVPPDVQVFENDFSEFDSTQNNVSLDLETLLLTEAGMPKWMVELYRLVRQYWTLTA -PKEALRGCWKKHSGEPGTLLWNTVWNMAAVSYVYDFERRYVACFKGDDSVVLAGEVLPRPGGQSLIAGCG -LKMKDKVGRIGVYSNLIVAPGVGVVKDVLRYWARLTEKNFGPTEERMLELRDAAADFVQQVRYEGKEMLM -VELCAAYYGTTRGFMEVTWQGILAVAEGRAGLNTYRMPVLNIEGGDK ->ANN23868.1 polyprotein [Orthohepevirus A] -MQPRQLVFRPEVLWNHPIQRVIHNELEQYCRARAGRCLEVGAHPRSINDNPNVLHRCFLRPVGRDVQRWY -SAPTRGPAANCRRSALRGLPPVDRTYCFDGFSRCAFAAETGIALYSLHDLWPSDVAEAMARHGMTRLYAA -LHLPPEVLLPPGTYHTTSYLLIHDGNRAVVTYEGDTSAGYNHDVSILRAWIRTTKIVGDHPLVIERVRAI -GCHFVLLLTAAPEPSPMPYVPYPRSTEVYVRSIFGPGGSPSLFPSACSTKSTFHAVPVHIWDRLMLFGAT -LDDQAFCCSRLMTYLRGISYKVTVGALVANEGWNASEDALTAVITAAYLTICHQRYLRTQAISKGMRRLE -VEHAQKFITRLYSWLFEKSGRDYIPGRQLEFYAQCRRWLSAGFHLDPRVLVFDEAVPCRCRSFLKKVAGR -FCCFMKWLGQECTCFLEPAEGLVGDCGHDNEAYEGSEVDPAEPAHPDVSGTYAVHGRQLEALYRALNIPD -DIAARASRLTATVELVASPGRLECRTVLGNKTFRTSIVDGAHLEANGPEQYVLTFDDSRQAMGAGPHNLT -YELVPAGLQVKISSNGLDCTAIFPPGAAPSAAPSEVAAFCSALYRYNKFTQRHSLTGGLWLYPEGLLGLF -PPFSPGHLWESANPFCGESTLYTRTWSTSGFSSDFSPPEAAHAVPVPDVGLPSGAPSSASDVWVFPPPSE -GSAIVPPPETPVSKPANPPCSITPRPPVRKPPTPPPARTRRLLSLKGFWKKTSGFSSDFSPPEAAHAVPV -PDVGLPSGAPSSASDVWVFPPPSEGSAIVPPPETPVSKPANPPCSITPRPPVRKPPTPPPARTRRLLYMY -PDGAKVYAGSLFESDCDWLVNASNPGHRPGGGLCHAFYQRFPESFHPTDFVMREGLAAYTLSPRPIIHAV -APDYRVEQNPKRLEAAYRETCSRLGTAAYPLLGSGIYQVPVGLSFDAWERNHRPGDELYLTEPAAAWFEA -NKPTQPALTITEDTARTANLALEMDSATEVGRACAGCTINPGIVHYQFTAGVPGSGKSRSIQQGDVDVVV -VPTRELRNSWRRRGFAAFTPHTAARVTAGRRVVIDEAPSLPPHLLLLHMQRASSVHLLGDPNQIPAIDFE -HAGLVPAIRPELTPTSWWHVTHRCPADVCELIRGAYPKIQTTSRVLRSLFWNEPAVGQRLVFTQAAKAAN -PGAITVHEAQGATFTETTIIATADARGLIQSSRAHAIVALTRHTEKCVILDAPGLLREVGISDIIVNNFF -LAGGEVGHHRPSTIPRGNPDQNLDTLRAFPPSCQISAYHQLAEELGHRPAPVAAVLPPCPELEQGLLYMP -QELTVSDSVLIFELTDIVHCRMAAPSQRKAVLSTLVGRYGRRTKLHEAAHSDVRESLGRFIPTIGPVQAT -TCELYELVEAMVEKGQDGSAVLELDLCSRDVSRITFFQNDCNKFTTGETIAHGKVGQGISAWSKTFCALF -GPWFRAIEKEILALLPPNIFYGDAYEETVFSAAISGAGSSMVFENDFSEFDSTQNNFSLGLECVIMEECG -MPQWLIRLYHLVRSAWTLQAPKESLKGFWKKHSGEPGTLLWNTVWNMAIIAHCYEFRDLRVAAFKGDDSV -VLCSDYRQSRNAATLIAGCGLKLKVDYRPIGLYAGVVVAPGLGALPDVVRFAGRLSEKNWGPGPERAEQL -RLAVCDFLRRLTNVAQVCVDVVSRVYGVSPGLVHNLIGMLQTIADGKAHFTETVKPVLDLTNSIIQRLE diff --git a/seq/clusters_seq/cluster_165 b/seq/clusters_seq/cluster_165 deleted file mode 100644 index 6442117..0000000 --- a/seq/clusters_seq/cluster_165 +++ /dev/null @@ -1,971 +0,0 @@ ->YP_010086774.1 RNA-dependent RNA polymerase [Taiwan bat lyssavirus] -MLEATEVYDDPLDPVEPEAEFKNNSVIPNILRNSDYNLNSPLLEDSSRLMLEWLGTGNRPNRLTPTDNLL -RSYKVLRNYFRKRDVGSVKIGGPGAQSMINLWIHGSHSESTRSRKCLTELSQFYKKSSPIEKLLNYTLEN -RGLNTPSEGVLTSLDKIQYDQAFGRYLGNTYSSYLFFHVVVLYMNALDWDEEKTILSLWRDIASIDTRVD -LVKFRDQIWGSVVVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSFLILLSPPESKYSDDLVSSLCQLY -IAGDKVLASCGNSGYDVIKLLEPYVVNRLVQRAEEFRPLIHSLGDFPQFIRDKTHQLEGTFGPSAKEFFQ -SLDLLDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHVKKVIDQSYQECLASDLAKRVLRWGFDKYS -RWYLDTHLLQNDHPLTPYVKTQTWPPRHVVDVVGNTWHNLPITQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSRPPVNPREFLRAIDIGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLATYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDKVFGLKKVFSRTHEFFQKSWIYYSDRSDLIGLWKDQIYCLDMGDGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLNNEGLLYELDSISKNAISIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKDRVYKILAAEGTEFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWKEIWLSSNEPWIHSLCQEAGNPDLGDRTLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRALEESFFNSEIHGISRMTQVPQKIGRVWNCSAERADQLREISWGRKVVGTTVPHPSE -MLVMVPKSSISCNCGQSGEDNPRISVSVLPSFDHSFLSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFIIRDSNLAQTLIKNIMSLTGPAFPIEEAPVFKRTGSALHRFKSARYSEGGYSSICPNM -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDLRLKDSTFHWHLRCLRCVRPIDDVVLE -APNVFSFPDVSKRISRMVSGAVPQFRKLPEIELKPGKFDTLSGKDKSRHIGTAQGLLYSILVATHDSGYN -DGTLFPVNIYKRVSPREYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPSLRSEIFSIPQKIPAAYPTTMKDGNRSVLCYLQQVLRYEREAITASPEGDWLWIFSDFRSIKMTYL -TLITYQSHILLLKIDKGLSKQMRSKLRQLNSLMRQVLGGHGENILESDEDIQGLLRDALQRTRWVDQEVR -HAAKNMKCEYSPSRKTSRKAGCSEWICSARQVAISTSSNPAHTSEIDIRALSRKLQNPLVSGLRVVQWAT -GAHYKLKPILNELDSYPTLCLVVGDGSGGISRVVLSLFPDAKLVFNSLLEVNDLMASGTHPLPPSALMSG -GEDITSRVIDFHSIWEKPSDLRNPSTWRYFQTVQQASNMCYDLIICDAEVTDIPSINKITLLMSDFSLSI -NGPLNLIFKTYGTMLVNPDYKAIQHLSRAFPSVTGYTTQMTSSFSSELYLKFSKRGKFFRDPEYLTSSTL -REMSLVLFNCSSSKSEMLRARSLNYQDLVRGFPDEIISNPYNEMIITLIDNDVESFLVHKMVDDLELKKG -AFSKISIILAIIMVFSNRMFNVSKPLSDPKFYPPSDPKILRHFNICCSTLMYLSVALGDVLNFARVHELY -NNPVVYYFKKQIIDGRTYLGWSWSNTTHVFKRVACNSCLSLSSHWIRLIYKIVKTTRLAGNAKDLSREVE -KQLKNYNRWISFEDLRIRTSLLEYSAL - ->YP_009325518.1 RNA-dependent RNA polymerase [Gannoruwa bat lyssavirus] -MIDPGEVYDDPIDPVEPEVDLRNNPTIPNILRNSDYNLNSPLIEDPARLMLEWLTSGNKPNRMTLTDNCL -RSYKVLKGYFKKVDIGSLKVGGPAAQAMISLWLHGAHSESNRSRKCMSDLAQFYHKSSPIEKLLTYTLGN -RGLRIPSEGVLACLKKVDYDKAFGRYLANIYSSYLFFHVIVLYMNALDWDEEKTILALWRELNSIDTKKD -QVKFRDQIWGSLIVTKDFVYSQSSNSLFDRNYTLMLKDLFLSRFNSLLILLSPPEPRYSDDLVSQMCQLY -IAGDNVLSMCGNSGYEVIKMLEPYVVNSLVQRAEGFRPLIHSLGDFPVFIKDKVGQLEGTFGPSARKFFQ -VLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHIKKVIDGTYQECLASDLAKRILRWGFDKYS -KWYLDSKLLSKDHPLAPYIKTQTWPPKHVVDLVGNTWHKLPITQLFEVPESMDPSEILDDKSHSFTRTKL -ASWLSENRGGPIPSEKVIITALSRPPVNPREFLKSIDLGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDQAFGLKKVFSRTHEFFQKSWIYYSDRSDLIGLWEDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLSHEGLLYELESISRNALSIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGDDFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWKEIWASSSESWIHALCQEAGNPDLGERSLESFTRLLEDPTTLNIKGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRTLEESFYNSEIHGINRMTQVPQRIGRVWSCSSERADLLREISWGRKVVGTTVPHPSE -MLSMLPKSSISCTCGSSGGENPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSTSTQLFHAWEKVTNVHVVK -RALSLKESINWFIVRDSNLAQTLIRNIMSLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGRNFDFMFQPLMLYAQTWTSELVQKDTRLRDSTFHWHLRCHKCVRPIDDITLE -TPQVFAFPDVSKRISRMVSGAVPQFQKLPEIHLRAGHFESLGGKEKSRHIGSAQGLLYSILVATHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LREPSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYEREVITASPENDWLWIFSDFRNIKMTYL -TLITYQSHILLQRVERCLSKKMRASLRQMSSLMRQVLGGHGEETLDSDEDIQRLLRDALQRTRWVDQEVR -HAARTMTGDYSPNKRVSRKAGCSEWVCSAQQVAISTSANPAPVSELDVRTLSRKLQNPLISGLRVVQWAT -GAHYKLKPILDDLNSFPSLCLVVGDGSGGISRAVLNMFPDAKLVFNSLLEVNDLMASGTHPLPPSAIMSG -GDDIVSRVIDFNSIWEKPSDLRNLSTWKYFQSVQNQVNMSYDLIICDAEVTDIASINRITLLMSDFALSI -DGPLYLIFKTYGTMLVNPDYKAIQHLSRVFPTVTGFITQMTSSFSSELYLRFSKRGKFFRDVEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSDVESFLVHKMVDDLELQRG -TLSKMSIIIAIVIVFSNRVFNVSKPLNDPLFYPPSDPKILRHFNICCSTMMYLSTALGDVPNFARLHELY -NRPITYYFKKQVVRGSIYLSWSWSDDTSVFKRVACNSNLSLSSHWIRLIYKIVKTTRLAGSHVELSREIE -KHLKGYNRWITFNDIRSRSSLLDYSCL - ->YP_009325416.1 RNA-dependent RNA polymerase [Lleida bat lyssavirus] -MDWSEVTDDPEDLIEYEPDSVSGDPPPNILRTSDYNLNSPLLEDSCQLMIEWLKTGNRPSRLKSSDGLIR -SHKALKECLKRADLETVKYGGSGAKMVFKKWVTNSYVESTRNRKMFADLQEFYQKVEPIEAITSKFLEKR -GLKYPAKGILERFRTTQTDSLVGRYLINIYSSYLLFHILILYMNALDWDEEKTIIALWRSLLDYNSKTDS -VSVKDILWGQMIVTKDYLFIIDVNSLFDRNFVLMLKDTFLSRFNSLMILLSPPDTLYSSDFPENICSLYM -AGDSVLADCGNAGYDVIKMLEPFIVNKLVQEAENYRPLIPKLGDFPEFIKDKTRQLVGTFGPVADSFFSQ -LDRFNNIHDLVFVYGCYRHWGHPHIDYRKGLSKLYDQVHMKKSIDTSYQESLASDLARRVLRWGFDKYSR -WYVDSRGLPSHHPLKPYISTQTWPPKHIVDMMGDTWHELPITQLFEIPESMDPSEILDDKSHSLTRNRLI -SWLSEGKGGPVPSEKVIITALSQNPINPREFLKRIDDHGLDQDDLIIGLKPKERELKIEGRFFALMSWNL -RLYFVITEKLLASHIIPLFDSLTMTDNLNKVFKKLIDRVTGQGLSDYSRVTYAFHLDYEKWNNHQRMEST -RNVFEVLDKVFGLKKVFSRTHEFFQKSWIYYSERSDLIGVWGDKICCLDMSEGPTCWDGQDGGLEGLRQK -GWSLVSLLMIDRESQIRNTKTKILAQGDNQVLCPTYVVSPGLNEDGLRYELENISRNAISIYRAIEEGAG -KLGLIIKKEETMCSFDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIISLANIMSTVSTNALTVAQHS -QSLVKPIRDFLLMSVQAIYHYLLFSPILKSRVHGILSLSGERLLLAMARIIYLDPSLGGISGMSLGRFHI -RQFSDPVTEGLSFWKEIWESSSENWILALCQEAGNPDIGERTLESFTRLLEDPTTLNIRGGASPTILLKE -AIRQALYDEVDKVSNSEFREAIILSKTHRDNFILFLRSIEPLFPRFLSELFSSSFLGIPESIIGLIQNSR -TIRRQFRKSLSRTLEESFYRSELNGLERMTQTGQRVGRVWDCSSDKADRLREISWGRKVVGTTVPHPSEM -LSLTPKSSVSCGCKISDIIKPRVSVSVLPSFDCRFDSRGPLKGYLGSSTSVSTQLFHAWEKVTNVHVVRR -ALSLKESINWFINRDSNLAKTLIRNIKSLTGPEFEIEEIPVFKRTGSALHRFKSARYSEGGYSSVCPNLL -SHISVSTDTMSELTHGGLNFDFMFQPLMLYSQTWTAEIVQRDLKLRDSTYHWHLRCHNCIRIIDDVTLEC -PQVFDFPDISTRISRMVSGAVPEFKKLPEIHLQPGDLNTMTDKSKSFHIGTAQGLLYSILVAIHDPGYND -HTLFPVNIYPKLSAKEYLLGLSRGILIGSSICFLTRMTNINVNRPLELMSGVISYILLKLDSHPSLYMML -KESDIRSEIFSIPQKIPAAYPTTMKEGNRAILSYLQHTLRYEKENLIEGSGKNWLWVFSDFRSSKMTYLT -LITFQAHILLLRVSKNLSKQMKADLRQLNTLMRQVLGGQGESQSKEIDVDQRILSEAITRVKWVDQEVRH -AARTLSPCKNSLPTLFRRPGQSEWICSSQFITISTSANPSEPSPIDVKSVSKRLQNPLISGLRVVQWATG -AHYKIKPILNTLRFDPKLCLVIGDGSGGISRCILQFFPGTRLVFNSLLQVNDLMASGTHPLPPSALSHGG -PDMTSRVIDFDSIWEKPSDLRNISTWRYFKDVQKDLGLNFDLIVCDAEVTDLVSVNKISLLLSDFILSIK -SPLTLIFKTYGTMLVNPEYKALNHLSRSFPSISGVITQTTSSFSSEMYMIGTKSGAYFKEVEYITASTIR -ELSLVLFNCRSPKSEMIRARSLNYKDLTMGFPQEIISNPFNEMIITLIDSEVESFLVHKMIDDLELTKGS -LQKLAKIIAIVIVYSNRVFNISKPLSSHKFYPPSDPKLLRHFNICAGTFLYISSLLGDTANFTRLHLLYN -EKITYYFRKQTYRGRTYLSWNWTSESSVYKRVSCNSMFSLSSHWIRMIYKVVRLNRLNEPKTRLMDEVLV -PLRSYNRWIKLKDLKYRTSLLEFWSC - ->YP_009204560.1 L protein [Fox fecal rhabdovirus] -MEKSFLFDRIPDSVLHSPLILREELCFCRPDKETSDYPAIPQPDEFTISRRPELIELRSLSLTGAISGVG -CIKWLAYMRLNWIEAKDIMRLMAEEYQSSTIHPEIASDFNNVCEGLFSRLHDQWGENQHPSALQDIWNVF -VAQYQSDAIIDNWTKYRILSAALLWSNHKERGNQSEFQNSIIAKAGWGVRAINGVEVITHKLLTKRERLG -KLTVGAYWCLLELANQPPRLFRKSLLLCEKDLYLQRWISLFLCNCQNAFGGIPLPQPKHLIKMYRMGDII -LATEGNEGYNAIKTIESIMVGSAHKQLEDESISPTGDKFYEFITKEGLSACHLESSSSAMRKIIHIGEIV -TPEVAIEMAGCYRHWGHPIINPVGGLTAVRENATAQLPTNERLMISLAADLNYLLLRSYFEEHGRWPPGV -RYEAAPEIAKDLFNKWVSTNQFPGPTSASQVRNSWFFVTYDSLFDKNQEIPILSLISDKSHSVGRSALST -MCLKKNLLLSPSRRVLQSTLSYAEIDVNKFLDSIDSTENGLSNDDLVILLREKERELKVKGRFFSLMTYK -LRTYFTATEYLIAKHILPVLPEITMMQGQIDLWKTFKGAVRTVSQEKSTHHMIHVDFEKWNNFQREESTA -PVFQIMDRAFGWSNVISRTHNFFSRCFVGYAGRIDMFPIGLTDNWPWCWTGHKGGFEGLRQKGWSVVGAL -LIRHVMRLTGLHGKVLIQGDNQVIILEYPLASSSNTSSLALERHRHSKMTTHFLSVFSELSKSIGLRIKP -EETWISSRMVYYGKFPVIDGSARGMVLKKLCRIFAISNDLTPSISNSISSLHTSCIAGCIQSSYAVPIYM -FAIFYGFFLLHDFFEYNPLSMEPMIFTFRRALFGTTAVRDITKVRAPTTKDSIIISVARDWAPASNKQQS -ALGLDLLTRDSSLGGLGGASILKYMIRQFPDPVTEGLSFAKVGLSKAETPELKTIFSSYGGLPIKSGSVE -LLLEAPMSINLPSSTRPVNVLRRFVEEKITQNMDTLVNNQLVYQALSMKQDMRPPFLKMCASLQPFFPRF -ASSLYSATPIGVAETIVGKFLGTKTVARIALREGAGQLGKKLVESEVELITTYLTVLKLSALEHWGCSSK -LADSYRSKSWGGQIWGVTVPHPAEQFYLEWPMTSGCFKESLAWMNDFAEETVKVSLNERMIYGDTSPRSA -GPMNPYLGGRTSERSSVQSWLELETSSSIAKRLVELINACGWAYQKGSHLHHAIKEMLTQLTGLVIPSDA -VCRITESGSLGHRFSDPRVSSGAMSATNYNTATHIAFSTNDLVRLKRGEDNYMVLFQGLFVYFTSIIGEL -VRRGDNGSPTAHLHPKCEECMIPCPDVSMELSNPVVPLYSMFLSPRLPNDFLTLPENLYVDIDPFKVKPD -QIKTIVNIVPAYSTVSVDTLSGEEISDAISNVAAIIAVTKTNPQGRWTLGIRTAIPVYAGYKCSWKVLKS -KIVFWTFAMLAFRHINESEEHDCCEACQHILRKQAADHWNLHNPTMLGGMLSNTDIIVELAKEQIALSAG -FPISPMELSSAAGQSLFEQCVSHGKEFSLPEFPKGVIALPRDMTGGGTPAYLIACMMCYAGFFKGANTGP -LKALAGLTTEMKTSVIASQAFEESEGVWSRLVDMLHENTSTLCCVLDIDFKTLTKRGQDVDHILPGSIPA -ISLDTVRGAYCIWNTASTTKGPESSYLEEEGEPEVTQTPIMHLFRPILMSANGSIKILSVLKTIQLQPKN -VAVVGDGNGGFLRALLGLYPTAEFFFNSLSEMSNYGDQGEFTNYPACVLDSPSDWGRVINLGEVLTLPSD -LSSERWPSEVTKYWMKKCFDPDLLVCDAESYDSIKRSEILSNLLKVCGQRSHVIIKAHLSRMQDVQSLVN -AHLHSHNVMYLRAPYSNWGKFEVYLWYTRIVERNDMRYAPKDTYLMWTRAEFNAHPQLQLTAFHSWVFPH -LEKDDRLRAVRRMLSLRRHISRYTKIRPQELSRVERKAKEHGDMGFCELLLHYLGSKFISSMNNSVIRAT -SHAGRLRASLKRSELIGLIGTAIGASCLVSAISHPHDYCLFLELYKNGVDVVLGNDKGNIKPIVVRAWSG -ESTPVTITPKELKKPVHSMVRYLGGLLWSSGIDRITQRLEWLVREKYELGFSEGYNHLAVATWDNDTLDV -DPLECIRWEMEQAAVEEIDFGGIIDE - ->YP_009094488.1 polymerase [Lyssavirus Ozernoe] -MIESTEVYDDPVDPVEPDVDVRNNSVVPNILRNSDYNLNSPLLEDPSRLMLDWLITGNKPCRLNLTDNLF -RSYKVLRSYFKKIDIGSLKVGSLGAQSMTTLWLHGSHAESTRSRKCLSDLSQFYKKSSPIEILLNYTLEN -RGLQTPAEGVLSSLNKVHYDQAFGRYLGNTYSAYLFFHVIILYMNALDWDEEKTILALWKDIASIDVKND -LVKFRDQIWGSLVVTKDFVYSQSANCLFDRNYTLMLKDLFLSRFNSLLILLSPPEFRYSDDLVSTLCQLY -IAGDKVLASCGNSGYDVIKMLEPYIVNKLVQRAESFRPLIHSLGDFPQFIRDKTTQLEGIFGPRAREFFQ -TMDLLDNIHDLVFTYGCYRHWGHPYIDYRKGLTKLYDQVHVKKVIDQQYQECLASDLAKRILRWGFDKYS -RWYLDQELLPKDHPLTPYVKTQTWPPKHVVDIIGDSWHNLPITQLFEIPESMDPSEILDDKSHSFTRTKL -ASWLSENRGGPVPGEKVIITALSRPPVNPREFLRSIDVGGLPDDDLIIGLKPKERELKVEGRFFALMSWN -LRLYFVITEKLLATYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDKAFGLKRVFSRTHEFFQKSWVYYSDRSDLIGLWKDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLTKEGLLYELDSISRNAISIYRAIEEGA -SKLGLIIKKDETMCSYDFLIYGKTPWFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLVKPMRDFLLMSVQAVFHYLLFSPILKDRVYKILVAEGNEFLLAMSRIVYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLAFWREIWLSSNEPWIHSLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYEEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRALEESFFNSEIHGVNRMTQVPQRIGRVWACSAERADQLREISWGRKVVGTTVPHPSE -MIALIPKSSISCTCSQSGEANPRISVSVLPSFDQSYFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRDSNLAQTLIKNIVSLTGPTFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDLRLKDSTFHWHLRCLKCIRPIDDVILE -TPQVFAFPDVSKRISRMVSGAVPQFRKLPDITLKPGKFDALRGKEKSQHIGTAQGLLYSILVATHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPSLRSEIFSIPQKMPAAYPTTMKEGNRSVLCYLQHVLRYEREAITASPENDWLWIFSDFRSIKMTYL -TLITYQSHILLQKVEKNLSKQMRVKLRQLNSLMRQVLGGHGESTLDSDEDIQGLLRDSLRRTKWVDQEVR -HAAKTMTCEYSPSKKVSRKAGCSEWICSAQQVAISTSSNPAPVSEMDVRALSKKLQNPLISGLRVVQWAT -GAHYKLKPILDDLDFYPTLCLVVGDGSGGISRAVLSMFPDAKLVFNSLLEVNDLMASGTHPLPPSALMSG -GEDIISRVIDFNSIWEKPSDLRNTATWKYFQSIQQSINMSYDLIICDAEVTDIHSVNKITLLMSDFSLSI -NGPLNLIFKTYGTMLVSPEYKAIQHLSRAFPSVTGYITQMTSSFSSELYLKFSKRGKFFRDPEYLTSSTL -REMSLVLFNCSSSKSEMLRARSLNYQDLVRGFPEEIISNPYNEMIITLIDNDVESFLVHKMVDDLELQRG -SFSKMSIIVTIMLVFSNRVFNVSKPLNDPKFYPPSDPKILRHFNICCGTLIYLSTALGDVLNFARLHELY -NNPVTYYFKKQVLGGRMYLSWSWADNTPIFKRVACNSSLSLSSHWIRLIYKIVKTTRLIGNAHDLSKEVE -RHLRNYNRWINFNDLRSRSSLLDYSCL - ->YP_009094331.1 polymerase [Khujand lyssavirus] -MIDSLEVYDDPVDPIEPEVDLKNNAVVPNILRNSDYNLNSPLIEDPSQLMLDWLITGNKPNRLSPSDNLV -RSYKVLKSYFKKVDVGSLRIGGLGAQAMTSLWLHGAHSESTRSRKCLSDLAQFYQKSSPIEKLLNYTLEN -RGLQVPPEGVLSSLKKVSYDRAFGRYLANTYSSYLFFHVIILYMNALDWEEEKTILALWKDLNSVDIKKD -QVKFRDQIWGSLVVTKDFVYSQSANCLFDRNYTLMLKDLFLSRFNSLLILLSPPEPRYSDDLISQLCQLY -IAGDNVLSMCGNSGYDVIKMLEPYVVNSLVQRAEGFRPLIHSLGDFPLFIKDKVTQLEGTFGPSARNFFR -ILDQLDNIHDLVFVYGCYRHWGHPYIEYRKGLSKLYDQVHVKKVIDGDYQECLASDLAKRILRWGFDKYS -KWYLDSKLLAPNHPLTPYIKTQTWPPKHIVDMVGNTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTKL -ASWLSDNRGGPVPSEKVIITALSRPPVNPREFLKSIDIGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLQDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDYVFGLKKVFSRTHEFFQKSWVYYSDRSDLIGLWEDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLTQEGLLYELDSISRNALSIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKDRVYKILSADGDDFLLAMSRIVFLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWKEIWSSSSESWIHSLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLRSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRKSLSRTLEESFFNSEVHGINRMTQVPQRIGRVWPCSAERADLLREISWGRKVVGTTVPHPSE -MLALLPKSSISCVCGQTGEDSPRISVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFIVRDSNLAQVLIKNIISLTGPLFPLEETPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSELTQDGKNFDFMFQPLMLYAQTWTSELVQKDLRLKDSTFHWHLRCPKCIRPIDDITLE -APQVFMFPDVSKRISRMVSGAVPQFQRLPEISLKPGKFESLNGKDKSRHIGTAQGLLYSILVATHDSGYN -DGTIFPVNIYGKVSPKDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYERDVITASPENDWLWIFSDFRSTKMTYL -TLVTYQSHILLQKIEKSLSKQMRIRLRQLSSLMRQVLGGHGEDSLDSDEDFQGLLRDALQRTRWVDQEVR -HAAKTMTGDHSPTKKVSRKAGGSEWICSAQQVAISTSSNPAPTSELDVRALSRKFQNPLISGLRVVQWAT -GAHYKLKPILDDLESCPSLCLVVGDGSGGISRTVLSMFPDARLVFNSLLEVSDLMASGTHPLPPSAIMSG -GDDIVSRVIDFESIWEKPSDLRNLSTWRYFQSVQNSSKMSYDLIICDAEVTDISSVNKITLLMSDFSLSI -NGPLTLIFKTYGTMLVNSDYKAIQHLSRAFPSVTGYITQMTSSFSSELYLKFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSEVESFLVHKMVDDLELQKG -ALSKMSIIIAIVMVFSNRVFNVSKPLSDPMFYPPSDPKILRHFNICCSTLMYLSTALGDVLNFARLHELY -NNPVTYYFKKQVIRGSIYLSWSWSDSTSVFKRVACNSNLSLSSHWIRLIYKIVRTTRFVGSSSDLSEEVE -KHLRGYNRWIKFHDIRSRSSLLDYSCL - ->YP_009094272.1 polymerase [West Caucasian bat lyssavirus] -MLESTEVYDDPLDPVEPEQDWRPEASAPNILRNSDYNLNSPLLSDPSELMISWLQSGQKPNRIPLSENIR -RSQKILKLSLKKVDLATVLTGGDSSRSVMALWCNKSHLESTRSRRCLNNLSQFYNKSSPIEAILKYSLEI -RGLQNPRDGILTSLEKVNYDSSFGRYMGNVYASYLLFHVVILYMNALDWDEEKTIISLWRDIIHYETKED -RLTIKDQIWGSLLVTKEFVYSSSTSALFDKNYVLMLKDLFLSRFNSLMILISPPESKYSDTLIETLCSLY -VAGDQVVAQCGNSGYDVIKMLEPCVVHELVERAEKYRPLITSLGDFPEFIREKKAQLIGIFGPPASRFFS -VIDRLDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHMKKFIDEGYQKSLASDLAKRILRWGFDKYS -KWYLDPARMRRDHPLRQYVSTQTWPPKHIVDLVGDTWHELPITQIFEIPESMDPSEILDDKSHSLTRSKL -AGWLAENRGGPVPSEKVIITALSKSPVNPREFLRSVDAHGLDEEDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANHILPLFDALTMTDNLNKVFKKLIDRVTGQGLMDYSRVTYAFHLDYEKWNNHQRMES -TKDVFSVLDKVFGLERVFSRTHEFFQKSWIYYSERSDLIGIAGGNIYCLDMTGGPTCWNGQDGGLEGLRQ -KGWSMISLLMIDRESQIRNTRTKILAQGDNQVLCPTYMVSSGLSQDGLKYELENISKNAMSIYRAIEEGA -GRLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAIYHYLLFSPILKDRVYKILNAQGEDFLMSMARIIYLDPSLGGVSGMSLGRFH -IRQFSDPVTEGLSFWKEIWEVSSEGWIHSLCQEAGNPDLGDRSLESFTRLIEDPTTLNIRGGASPTILLK -EAIRKALYDEVDRVENSEFREAILLSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSQSLEESFFKSELHGLTRLTQVPQRVGRVWRCSAERADQLREISWGKKVVGTTVPHPSE -MLNLVPKSSVSCNCGRINPESPRISVSVLPSFDNSFLSRGPLKGYLGSSTSVTTQLFHAWEKVTNIHVVR -RALSLKESINWFIDRDSNLAKTIIRNILSLTGPDFVIEETPLFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGSNFDFMFQPLMLYSQTWTSEIVQRDLRLRDTTYHWHLRCQRCIRPIEDITLD -SPCCFVFPDISNRISRMVSGAVPEVRKLPEVALKAGDFSTISPRERSYHIGTAQGLLYSILVAIHDPGYN -DHALFPVNIYSRMSPQHYLRGLSRGVLIGSSICFLTRMTNININRPLELISGVISYILLKLDTHPSLYVM -LKEPGMRSEIFSIPQKIPAAYPTTMKEGNRAILSYLQYILRYQKEEITRSESSDLLWMFSDFRSMKMTYL -TLLTFQTHILLQKIGKSLSKQMRSDLRHLNTLMRRVLGGQGEGDLDSQEDVGRLLNDSVRRTRWVDQEVR -HAAKSLCKEPKEPLKTSRRLCQVEWSCSLQQVAISTSSNPSAYTDMDLRMISRQIQNPLISGLRIVQWAT -GAHYKIKPLLQKLDQCPQMCLVVGDGSGGISRAVLRVFPDAKLLFNSLLEVNDLMAAGTHPLPPSALMHG -GDELTNRVIDFEAIWEKPSDLRNVSTWKYFQSVQERSKMSFDLVICDAEVTDVTSINKISLLMSDFIMAI -KSPVTLIFKTYGTMLINPDYKALRNLSRAFPEVTGYITQLTSSFSSEIYLMFKKHGRFFKEAESLTASTV -HEMSLVLFNCRTPKSEMLRARSLNYSDLIRGFPEEIISNPYNEMIITLIDSEMESFLVHKLVDDLELARG -SLSKLSKILVIIILYSNRVFNVSKPMRDSSFCPPSDPKLLRHYNICSSTLLFLATVLGDTNSFTKLHEMY -NQPVTYYFKKRTIREKIYLMWSWSGKSPVFKRVSCNHAISLSAHWIRLLYKIIRTTRVGESGDIISRAVK -SCLKGYNRWILMRDIRSRTSLLEYSCL - ->YP_009094183.1 polymerase protein [Shimoni bat lyssavirus] -MIESSEVYDDPLDPAEPESEWSNTSIIPNILRNSDYNLNSPLLEDHADLMLQWLSSGNRPLRMSTTDNIS -RSYKVLKLSFKKIDIASIKFGGQGAQAMMNTWVLCSHAESSRSRKCLTDLSIFYQRSIPIESILNYTLSN -RGLQTPKEGVLSCLGRISYDQSFGRYLGNLYSSYLLFHTMILYMNALDWEEEKTIIALWKEITSIDVKND -KVTFRDPLWGKFLVTKEFVYSYTNCSLFDRNYTLMLKDLFLSRFNSLLILISPPEPRYSDDLISNLCQLY -KSGDKVISECGNSGYDVIKILEPYVVNHLVQKAETFRPLIHSLGDFPAFIKDKTTQLRGTFGPCASQFFS -ALDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLTKLFDQVHMKKTIDKHYQECLASDLAKKILRWGFDKYS -RWYLDTSLLPKNHPLIPYITTQTWPPRHVVDLLGDSWHSLPMTQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSKAPVNPREFLKAVDLNGLAEDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANHILPLFDALTMTDNLNKVFKKLIDRVTGQGLCDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDKAFGLSRVFSRTHEFFQKSWVYYSDRSDLIGIWNDQIYCLDMADGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLNNEGLMYELESISKNAMSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAIYHYLLFSPILKDRVYKVLNSKGDDFLLTMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLTFWKEIWLSSSESWIHHLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDKVENSEFREAIILSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTVRRQFRKSLSRALEESFFHSEIHGISRMTQSPQRLGRVWSCSAERADQLREISWGRKVVGTTVPHPSE -MLMLVPKSSVSCGCSIRELYNPRISVSVLPSFDNSFFSRGSLRGYLGSSTSVSTQLFHAWEKVTNVHVVK -RALSLKESINWFISRDSNLAQTLIRNILSLTGPEFPIEEAPVFKRTGSALHRFKSARYSEGGYSAVCPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDLRLRDSTFHWHLRCQKCIRPIEEVSLE -APQSFAFPDISTRISRMVSGAVPQFRKLPTIELKAGDLSSLTNNERSYHVGTAQGLLYSILVAIHDPGYN -DNSLFPVNIYGKVSARSYLRGLARGVFIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPELRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQQVLRYERDSMSSSPGNDLLWIFSDFRSIKMTYL -TLITFQAHLWLQRIERNLSKQMRAKLRQLNSLMRQVLGGHGEENIESDDEINSLLKEALRRTRWVDQEVR -HAAKTMRPDLSPVPKNSRKIGSSEWICSAQQIAFSTSLNPAPMSDIDLRLLSRQFQNPLMSGLRVVQWAT -GAHYKLKPILDDLEVGPSLSLVVGDGSGGISRTVLNMFPDSKLVFNSLLEVNDLMASGTHPLPPSALMRG -GEDITSRVIDFESIWEKPSDLRNSVTWKYFQSVQTRVKAHFDLIVCDAEVTDIESVNKITLLLSDFAMSI -RGPLCLIFKTYGTMLINPDYKAIHHLSRAFPNMIGFVTQMTSSFSSEVYLRFSKKGHFFREHESLTASTI -REMSLVLFNCSNPKSEMLRARSLNYQDLIRGFPEEIISNPYNEMIITLIDSEVESFLVHKLVDDLELKRG -SSSKMAIIVAIIILFSNRVFNVSKSIKDTKFFPPSDPKILRHFNICLSTMLFLSTTMGDLSNFTKIHELY -NSPVIYYFRKQTIKGKKFLSWSWADPSSIFKKVSCNSSLSLSAHWIRMIYKIVKTTRLNSNPRELLKEVE -IYLKGYNRWITMRDVRSRTSLLDYCCL - ->YP_009091813.1 polymerase [Bokeloh bat lyssavirus] -MLDPQEVYDDPVDPVEPETDLKNNSVVPNILRNSDYNLNSPLIEDPSRLMLDWLTTGNRPNRLNMTDNAF -RSYKVLKSYFKRLDVGSLKVGGVGAQAMMSLWLHGAHSESTRSRKCLSDLTHFYQRSSPIEKLLNYTLEN -RGLQTPPEGVLSSLKKVDYDQAFGRYMGNVYSSYLFFHVIILYMNALDWEEEKTILALWKDLSSVDIKKD -QVKFRDQIWGSLVVTKDFIYSQSANCLFDRNYTLMLKDLFLSRFNSLLILLSPPEPRYSDDLISQLCQLY -IAGDHVLAMCGNSGYDVIKMLEPYVVNSLVQRAEGFRPLIHSLGDFPLFIKDKVTQLEGIFGPSARNFFH -ILDQLDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHLKKVIDKDYQECLASDLAKRILRWGFDKYS -KWYLDSKLLAHDHPLTPYVKTQTWPPKHIVDIVGNTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTKL -ASWLSDNRGGPVPSEKVIITALSRPPVNPREFLKSIDLGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLQDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDHVFGLKRVFSRTHEFFQKSWVYYSDRSDLIGLWEGQIYCLDMSDGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLTEEGLLYELESISRNALSIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKDRVYKILSADGDDFLLALSRIVYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLAFWKEIWSSSSEAWIHSLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLRSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRTLEESFFNSEVHGINRMTQVPQRIGKVWACSAERADLLREISWGRKVVGTTVPHPAE -MLVLVPRSSISCVCTQTGEDSPRISVSVLPSFDRSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFIDRKSNLAQTLIKNIISLTGPLFPLEETPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSDLTQDGRNFDFMFQPLMLYAQTWTSELVQKDIRLRDSTFHWHLRCLKCIRPIDEITLE -APQIFTFPDVSKRISRMVSGAVPQFQRLPEVVLKPGKFESLNGKEKSRHIGTAQGLLYSILVATHDAGYN -DGTIFPVNIYSKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKESSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYERDMITSSPDNDWLWIFSDFRSAKMTYL -TLVTYQSHILLLKIEKSLSKQMRIRLRQLSSLMRQVLGGHGEDSLESDEDIQGLLRDALQRTRWVDQEVR -HAAKTMTGDHSPSRKIPRKGGCSEWVCSAQQVAISTSSNPAPMSELDVRALSRRLQNPLISGLRVVQWAT -GAHYKLKPILDDLDAYPSLCLVVGDGSGGISRTVLSMFPDARLVFNSLLEVSDLMASGTHPLPPSAIMSG -GSDIVSRVIDFGSIWEKPSDLRNLSTWRYFQSIQGRLNMSYDLIICDAEVTDIPSVNKITLLMSDFSLSI -NGPLNLIFKTYGTMLVNSDYKAIQHLSRAFPSVAGYITQMTSSFSSEIYLRFSKRGKFFRDSEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSEVESFLVHKMVDDLELQRG -TLSKMSIIVAIVVVFSNRVFNVSKPLSGSVFYPPSDPKILRHFNICCSTLMYLSTALGDVLNFARLHELY -NNPVTYYFKKQVVKGSIYLSWSWSDSTSIFKRVACNSNLSLSSHWIRLIYKIVRTTRFVGNTNELSKEVE -KHLRGYNRWINLNDIRSRSSLLDYSGL - ->YP_007641406.1 polymerase [Duvenhage lyssavirus] -MLESTEVYDDPLDPVEPEFDLKTNSVVPNILRNSDYNLNSPLLEDPSKLMLEWLMTGNKPHRLNLTDNLL -RSYKVLKGYFKRLDTGSLRVGSVGSQAMVTLWLHGSHSESTRSRKCLAELSQFYKKSAPIETLLNCTLEN -RGLRTPPEGVLSCLDKVHYDQAFGRYLGNTYSSYLFFHVMVLYMNALDWDEEKTILSLWRDISSIDIKND -LVKFKDQIWGTLVVTKDFIYSQSANCLFDRNYTLMLKDLFLSRFNSFLILLSPPEARYSDDLVSNLCQLY -ISGDRVLSVCGNSGFDVIKLLEPYIVNKLVQRAEKFRPLIHSLGDFPQFIKDKTTQLEGTFGPSAKEFFQ -TMDSLDNVHDLVFVYGCYRHWGHPYIDYRRGLSKLYDQVHLKKTIDKSYQECLASDLAKRILRWGFDKYS -RWYVDPNLLQNDHPLVPYVRTQTWPPKHVVDVVGDTWHNLPITQIFEIPESMDPSEILDDKSHSFTRTKL -ASWLSEHRGGPVPSEKVIITALSRPPVNPREFLRSIDVSGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLATYILPLFDSLTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TIDVFSVLDKVFGLKKVFSRTHEFFQKSWIYYSDRSDLIGLWRDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLNKEGLLYELDSISRNAISIYRAIEDGA -AKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKNRVYKILVADGTEFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWSSSSETWIHSLCQEAGNPDLGERSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLRSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRKSLSRTLEESFFSSEIQGISRVTQVAQKIGRVWRCSAERADQLREISWGRKVVGTTVPHPSE -MLSMIPKSSISCTCGQLEDDNPRISVSVLPSFDQTFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFISRDSNLAHTLIRNIVSLTGPSFPLEEAPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDLRLKDSTFHWHLRCVRCVRPIDDITLE -APNVFSFPDVSRRISRMVSGAVPQFQKLPEISLKPGKFETLSGKDQSKHIGTAQGLLYSILVAIHDSGYN -DGTVFPVNIYKRVSPRDYLRGLARGVLIGSSICFLTRMTSININRPLELISGVISYILLRLDNHPSLYVM -LKEPSLRLEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYEREAITASPECDWLWIFSDFRSIKMTYL -TLITYQSHLLLQKIDRNLSKQMRVQLRQLNSLMRQVLGGHGEGSLDSADDIHGLLRDALQRTRWVDQEVR -HAAKAMKCDYSPQKRSSRKASSSEWICSAQQIAISTSSNPAPTSEIDIRALSRKLQNPLISGLRVVQWAT -GAHYKLKPILNELDTYPTLCLVVGDGSGGISRAVLSMFPDAKLVFNSLLEVSDLMASGTHPLPPSALVSG -GEDIISRVIDFQSIWEKPSDLRNPSTWRYFQSVQQTTNMSYDLIICDAEVTDISSINKITLLMSDFSLSI -NGPLSLIFKTYGTMLVNPDYRAIQHLSRAFPSAAGYITQVTSSFSSELYLKFSKRGKFFRDPEHLTSSTL -REMSLVLFNCSSSKSEMLRAXSLNYQDLVRGFPEEIISNPYNEMIITLIDNDVESFLVHKMIDDLELQRG -AFSKLSIILTIMVVFSNRVFNVSKPLTDTKFYPPSDPKILKHFNICCSTLLYLSVALGDVLNFARLHELY -NNPITYYFRKQVIGGRMYLAWSWSHSTSVFKRVACNSNLSLSAHWIRLIYKVVKTTRLTGGPKDLSREME -RHLKSYNRWINFDDLRSRSSLLDYSGL - ->YP_007641401.1 polymerase [Irkut lyssavirus] -MIESTEVYDDPVDPVEPDVDIRNNSVVPNILRNSDYNLNSPLLEDPSRLMLDWLITGNKPCRLNLTDNLF -RSYKVLRSYFKKIDIGSLKVGSLGAQSMTTLWLHGSHAESTRSRKCLSDLSQFYKKSSPIEILLNYTLEN -RGLQTPAEGVLSSLNKVHYDQAFGRYLGNTYSAYLFFHVIILYMNALDWDEEKTILALWKDIASIDVKND -LVKFRDQIWGSLVVTKDFVYSQSANCLFDRNYTLMLKDLFLSRFNSLLILLSPPEFRYSDDLVSTLCQLY -IAGDKVLASCGNSGYDVIKMLEPYIVNKLVQRAESFRPLIHSLGDFPQFIRDKTTQLEGIFGPRAREFFQ -TMDLLDNIHDLVFTYGCYRHWGHPYIDYRKGLSKLYDQVHVKKVIDQHYQECLASDLAKRILRWGFDKYS -RWYLDQELLPKDHPLTPYVKTQTWPPKHVVDLIGDSWHNLPITQLFEIPESMDPSEILDDKSHSFTRTKL -ASWLSENRGGPVPSEKVIITALSRPPVNPREFLRSIDVGGLPDDDLIIGLKPKERELKVEGRFFALMSWN -LRLYFVITEKLLATYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDKAFGLKRVFSRTHEFFQKSWIYYSDRSDLIGMWKDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLTKEGLLYELDSISRNAISIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLVKPMRDFLLMSVQAVFHYLLFSPILKDRVYKILVADGNEFLLAMSRIVYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLAFWREIWLSSNEPWIHSLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYEEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRALEESFFNSEIHGVNRMTQVPQRIGRVWACSAERADQLREISWGRKVVGTTVPHPSE -MIALIPKSSISCTCSQSGEANPRISVSVLPSFDQSYFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRDSNLAQTLIKNIVSLTGPTFPLEEAPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDLRLKDSTFHWHLRCLKCIRPIDDVILE -TPQVFVFPDVSKRISRMVSGAVPQFRKLPDITLKPGKFDALKGKEKSQHIGTAQGLLYSILVATHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPSLRSEIFSIPQKVPAAYPTTMKEGNRSVLCYLQHVLRYEREAITASPENDWLWIFSDFRSIKMTYL -TLITYQSHILLQRVEKNLSKQMRVKLRQLNSLMRQVLGGHGESTLDSDEDIQSLLRDSLRRTKWVDQEVR -HAAKTMTCEYSPSKKVSRKAGCSEWICSAQQVAISTSSNPAPVSEMDVRALSKKLQNPLISGLRVVQWAT -GAHYKLKPILDDLDFYPTLCLVVGDGSGGISRAVLSMFPDAKLVFNSLLEVNDLMASGTHPLPPSALMSG -GEDIISRVIDFDSIWEKPSDLRNTSTWRYFQSVQQSINMSYDLIICDAEVTDIHSVNKITLLMSDFSLSI -NGPLNLIFKTYGTMLVNPEYKAIQHLSRAFPSVTGYITQMTSSFSSELYLKFSKRGKFFRDPEYLTSSTL -REMSLVLFNCSSSKSEMLRARSLNYQDLVRGFPEEIISNPYNEMIITLIDNDVESFLVHKMVDDLELQRG -SFSKMSIIVTIMLVFSNRVFNVSKPLNDPKFYPPSDPKILRHFNICCGTLIYLSTALGDVLNFARLHELY -NNPVTYYFKKQILGGRMYLSWSWADNTPIFKRVACNSSLSLSSHWIRLIYKIVKTTRLIGNAHDLSKEVE -RHLRSYNRWINFNDLRSRSSLLDYSCL - ->YP_007641396.1 polymerase [Aravan lyssavirus] -MIDPLEVYDDPVDPVEPEAEFRGNSVVPNILRNSDYNLNSPLIEDPSRLMLEWLTTGNKPNRMTFTDNSL -RSYKVLKSYFKKIDVGSLRVGSSGAQAMISLWIHGSHSESNRSRKCLTDLSNFYQKSSPIEKLLNYTLEN -RGLKIPREGVLSSLRKVNYDHAFGRYLGNTYASYLFFHIIILYMNALDWDEEKTILALWKELNSVDVGKD -QVKFRDQIWGSLVVTKDFVYSQSANSLFDRNYTLMLKDLFLSRFNSLLILLSPPEPRYSDDLVSQLCQLY -IAGDNILSICGNSGYDVIKMLEPYVVNSLVQKAEEFRPLIHSLGDFPIFIKDKVGQLEGTFGPSARKFFR -VLDQLDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHVKKVIDGDYQECLASDLAKRILRWGFDKYS -KWYLDAKLLTKDHPLTPYIKTQTWPPKHVVDIVGNTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTKL -ASWLSENRGGPVPSEKVIITALSRPPVNPREFLRSIDIGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDYVFGLKRVFSRTHEFFQKSWVYYSDRSDLIGLWEDQIYCLDMTNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLTQEGLLYELDSISRNALSIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKDRVYKILSADGDEFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWKEIWSSSSEPWIQSLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRTLEESFFNSEVHGINRMTQIPQRIGRVWDCSAERADQLREISWGRKVVGTTVPHPAE -MLALLPKSSISCTCGQTGENSPRISVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFIVRDSNLAQTLIKNIISLTGPSFPLEETPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDDRLKDSTFHWHLRCPKCIRPIDDINLE -APQVFMFPDVSKRISRMVSGAVPHFQKLPEINLKPGKFELLSGKDKSRHIGTAQGLLYSVLVATHDSGYN -DGTIFPVNIYGRVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYERDAITASPDNDWLWIFSDFRSTKMTYL -TLVTYQSHILLQKIEKNLSKQMRIRLRQLSSLMRRVLGGHGEETLDSEEDIQGLLRDALQRTRWVDQEVR -HAAKTMSGDHSPSKRISRKAGCSEWICSAQQVAISTSSNPAPTSELDVRALSRRFQNPLISGLRVVQWAT -GAHYKLKPILDDIDVYPALCLVVGDGSGGISRVVLSMFPDAKLVFNSLLEVSDLMASGTHPLPPSAIVSG -GDDIVSRVIDFDSIWEKPSDLRNPSTWKYFQTVQCSHNMSYELIICDAEVTDIPSVNKITLLMSDFALSI -NGPLTLVFKTYGTMLVNPDYKAIQHLSRAFPSVTGYITQMTSSFSSELYLKFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSEVESFLVHKMVDDLELQRG -ALSKISIVIAIMMVFSNRVFNVSKPLNDPKFYPPSDPKILRHFNICCSTLMYLSTAMGDVLSFARLHELY -NGPITYYFKKQIIGGSIYLSWSWSDNSSIFKRVACNSNLSLSSHWIRLIYKIVRTTRFVGSAKDLSKEVE -RHLRGYNRWINFNDIRSRSSLLDYSCL - ->YP_007641391.1 polymerase [Lagos bat lyssavirus] -MIDSSEVYDDPIDPAEPECEWSGNPVIPNILRNSDYNLNSPLLEDPTHLMIQWLLSGNRPLRMTVTENMV -RSHKVLKASFKRVDIAVIKIGGTSAQAMMGTWVRGSHAESSRSRKCLADLSSFYQRSSPIESILNYTLMN -RGLQTPREGVLAGLSRVSYDQSFGRYLGNLYSSYLLFHTIVLYMNALDWEEEKTILALWKDITSVDIKND -KVLFKDPLWGKFLVTKDFVYSYDSNSLFDRNYTLMLKDLFLSRFNSLLILISPPEPRYSDELVANLCRLY -IAGDKILSTCGNPGYDIIKMLEPYVVNLLVQKAETFRPLIHPLGEFPTFIKDKTAQLIGTFGPCASQFFS -MLSQFDNIHDLVFVYGCYRHWGHPYIDYRRGLTKLFDQVHMRKTIDQKYQECLASDLAKKILRWGFDKYS -KWYLDTNSLPKNHPLAPYIATQTWPPKHIVDLLGDSWHLLPMTQIFEIPESMDPSEILDDKSHSFTRSKL -AYWLSENRGGPVPSEKVIITALSRPPVNPREFLRTIDQGGLPEDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANHILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDKAFGLSRVFSRTHEFFQKSWVYYSDRSDLIGIWKDQIYCLDMAEGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIERESQTRNTRTKILAQGDNQVLCPTYMLSSGLNNEGLRYELESISKNAMSIYRAIEEGA -SKLGLIIKKEETMCSFDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAIYHYLLFSPILKDRVYKVLNSKGDDFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLTFWKEIWLSASETWIHHLCQEAGNPDLGDRTLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDKVENSEFREAIILSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTVRRQFRKSLSRALEESFFHSEIHGINRMTQTPQRLGRVWPCSAERADQLREISWGRKVVGTTVPHPAE -MLVLVPRSSVACGCNVRDAYNPRLSVSVLPSFDNSFFSRGPLKGYLGSSTSVSTQLFHAWEKVTNVHVVK -RALSLKESINWFVSRDSNLAQTLIRNILSLTGPSFPIEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDVRLKDSTFHWHLRCQKCIRPIEEVSLE -APQSFIFPDISTRISRMVSGAVPQFRKLPDIGLKAGDLTVLNNNERSYHIGTAQGLLYSILVAVHDPGYN -DNSLFPVNIYGRVSARSYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPDLRAEIFSIPQKIPAAYPTTMEEGNRSVLCYLQQVLRYERDSMCSSPESELLWIFSDFRSIKMTYL -TLITYQAHLWLQKVERNLSKQMRAKLRQLNSLMRQVLGGHGEDNVESDEEIHSLLKEALRRTRWVDQEVR -HAAKSMSPDLSPIPRISRKIGSSEWICSAQQIAISTSLNPALASDIDLRSLSRQFQNPLMSGLRIVQWAT -GAHYKVKPILNDLDVCPCLSLVIGDGSGGISRVVLSMFPDSKLVFNSLLEVNDLMASGTHPLPPSALMRG -GEEITSRVIDFDSIWEKPSDLRNPLTWKYFHSVQSKLKTQFDLIVCDAEVTDIESVNKITLLLSDFSMSI -RGPLCLIFKTYGTMLVNPDYKAIHHLSRAFPNVTGFVTQMTSSFSSEVYLKFSKKGHFFRDHELLTASTI -REMSLVLFNCSNTKSEMLRARTLNYQDLVRGFPEEIISNPYNEMIITLIDSEVESFLVHKMVDELELKRG -YPSKMAIILAITIVFSNRVFNVSKSLKESKFFPPSDPKLLRHFNICSSTLLFLSTTLGDLPNFTRIHELY -NLPVTYYFKKQTIRSKKYLSWSWASPSPIFKKVACNSSLSLSAHWIRMIYKIVKTTRLSCRPKDLIRETE -ACLKNYNRWINMRDIRSRTSLMDYCCL - ->YP_006742184.1 polymerase [Ikoma lyssavirus] -MDWSEVIDDPEDPFSLDEESCSVDVPPNILRTSDYNLNSPLLEDQSLLMIEWLKTANKPSRLRHSEGLLR -SYKTLKDCLKKIDLDSLRYGGQGAKLAFKRWVTHNYVESTRNRKMFMDLREFYQKLEPIETITNRFLERR -GLQCPSLGILESFKTLSPDSALGRYFVNVYASYLVFHVIMLYMNSLDWDEEKAIIALWRSFLDYNSRTNS -VSVKDLLWGRMVVTKEYVLMLDISCLFDRNFMLMLKDTFLSRFNSLSILLSPPDSLYSADFSESLCDLYN -VGDNIIAECGNAGYDIIKMLEPFVVNKLVKSAEEFRPMIPKLGDFPEFIKDKTQQLIGTFGPVANFFFSK -LEQYNNIHDLVFVYGCYRHWGHPHIDYRKGLSKLYDQVHMKKSIDSRYQESLASDLAKKILRWGFDKYSK -WYVDVKKVPLHHPLRSYILTQTWPPKHIADMIGNTWHELPITQLFDIPESMDPSEILDDKSHSFTRSKLI -AWLSEGRGGPVPSEKVIITALSQNPVNPREFLKRIDDHGLDPDDLIIGLKPKERELKIEGRFFALMSWNL -RLYFVITEKLLANHIIPLFDSLTMTDNLNKVFKKLIDRVTGQGLTDYSRVTYAFHLDYEKWNNHQRMEST -EHVFQVLDKVFGLKKVFSRTHEFFQKSWIYYSERSDLIGIWGNKICCLDMSEGPTCWDGQDGGLEGLRQK -GWSLVSLLMIDRESQIRNTRTKILAQGDNQVLCPTYVVSQGLNEDGLKYELENISRNALSIYRAIEEGAR -KLGLIIKKEETMCSYDFLIYGKTPLYRGNILVPESKRWARVSCISNDQIISLANIMSTVSTNALTVAQHS -QSLVKPIRDFLMMSVQAVYHYFLFSPILKSRVHCILNLSHERLLLAMSRIIFLDPSLGGVSGMSLGRFHI -RQFSDPVTEGLSFWKEIWECSSEEWILSLCQEAGNPDIGERTLESFTRLLEDPTTLNIRGGASPTILLKE -AIRQALYDEVDKVSNSEFREAIILSKTHRDNFVLYLRSIEPLFPRFLSELFSSSFLGIPESIIGLIQNSR -TIRRQFRKSLSRTLEKSFYKSELSGLEKMAQMGQRVGTVWKCSAERADRLREISWGRKVVGTTVPHPSEL -LSLTPRSSISCGCRIQDVQKPRVSVSVLPSFDNRFVSRGPLKGYLGSSTSVSTQLFHAWEKVTNVHVVRR -ALSLKESINWFIERDSNLAKTIIRNIKSLTGPEFEIDEIPLFKRTGSALHRFKSSRYSEGGYSSVCPNLL -SHISVSTDTMSELTHDGRNFDFMFQPLMLYSQTWTAELVQRNLKLRDSTYHWHLRCKDCVRPIDDVVLEC -PKIFEFPDISTRISRMVSGAVPEFKKLPEVSLKQGNLSMMSNEDKSFHIGTAQGLLYSILVAIHDPGYND -HSIFPVNIYSRLSPRGYLRGLARGILIGSSICFLTRMTKINVNRPLELMSGVISYILLRLDSHPSLYVML -REPLIRSEIFSIPQKVPAAYPTTMREGNRAVLSHLQYTLRYDKDSLVDGSGSNWLWVFSDFRSSKMTYLT -LITYQTHLLLQKVGKNLSKKMKSDLRQLSSLMRQVLGGQSDMSMKDVDIDQRVICDAIHRVKWIDQEVRH -AAKELHRSEDSPSSVFRRSSQSEWVCSSQQLAITTSANPSHPSPIDVKAISKKLQNPLISGLRVVQWATG -AHYKIKPILNSLQFEPKLCLVVGDGSGGISRCILQFFPGTKLVFNSLLQLNDMMASGTHPLPPSALSHGG -KSLTDRVVDFELIWEKPSDLRNSVTWNYFRDVQRDLSTNFDLIVCDAEVTDISSMNRIAFLLSNFILSIK -SPLTLIFKSYGTMLVNPDYKALNYLSQVFPNMSGVITQTTSSFSSELYLLCAKSGDFYKETEYITASSIR -ELSLVLFNCRSPKSEMTRARSLNYKDLIMGFPPEIISNPFNEMIITLIDSEVESFLVHKMVDDLELTRGS -LQRLARILAIVVVYSNRVFNVSKPLTGQKFIPPSDPKLLRHFNICASTFLFLNSLIGDIEGFSRLHFLYN -EKISYYFKKQTHKGKVYLVWSWSNESPTCKQVACNSMLSLSSHWIRMIYKVIRVTRINERGSKILDEMTT -PLRTYNRWLKIQDIRHRTSLLEYWSN - ->YP_001285397.1 L protein [European bat 2 lyssavirus] -MIDPLEVYDDPVDPVEPEIDARSNSVVPNILRNSDYNLNSPLIEDPSKLMLDWLITGNKPARLNLTDNSL -RSYKVLKSYFKKLDVGSLRVGGLGAQSMMSLWLHGAHSESTRSRKCLSDLALFYQRSAPIEKLLNYTLEN -RGLAIPTDGVLSSLKKVNYDRAFGRYLGNLYSSYLFFHVIILYMNALDWEEEKTILALWKDLNSVDIKKD -QVKFRDQIWGSLLVTKDFVYSQSANCLFDRNYTLMLKDLFLSRFNSLLILLSPPEPRYSEDLISQLCQLY -IAGDNVLSTCGNSGYDVIKMLEPYVVNSLVQRAEGFRPMIHSLGDFPTFIKDKVSQLEGTFGPSARNFFF -VLDQLDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHVKKVIDGDYQECLASDLAKRILRWGFDKYS -KWYLDPKLLEKDHPLIPYIQTQTWPPKHIVDIVGNTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTKL -ASWLSDHRGGPVPSEKVIITALSRPPVNPREFLKSIDLGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLQDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDYVFGLKKVFSRTHEFFQKSWVYYSDRSDLIGLWEDQIYCLDMSDGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLTQEGLIYELDSISRNALSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMAVQAVFHYLLFSPILKDRVYKILSAEGDNFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLAFWKEIWSSSSESWIHSLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRTLEESFFNSEIHGINRMTQVPQRVGRVWNCSAERADLLREISWGRKVVGTTVPHPGE -MLVLLPKSSVSCVCKQTGEDSPRISVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFIARDSNLAQTLIRNITSLTGPQFPLEETPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSDLTQDGKNFDFMFQPLMLYAQTWTSELVQKDIRLRDSTFHWHLRCLKCIRPIDDIILD -APQVFMFPDVSKRISRMVSGAVPQFQRLPEINLKPGKFEALDSKDKSRHIGTAQGLLYSILVAIHDSGYN -DATIFPMNIYSKISPRDYLRGLSRGILIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LREPSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYERDVITASPENDWLWIFSDFRSAKMTYL -TLVTYQSHILLQKIEKNLPKQMRIRLRQLSSLMRQILGGHGEDTLDSDEDIQGLLRDALQRTRWVDQEVR -HAAKTMTGDHSPSKKVSRKAGCSEWICSAQQVAISTSSNPAPTSEMDVRALSRRFQNPLISGLRVVQWAT -GAHYKLKPILDNLEAYPSLCLVVGDGSGGISRTVLSMFPDAKLVFNSLLEVNDFMASGTHPLPPSAIVSG -GDDIVSRVIDFGSIWEKPSDLRNLSTWRYFQSIQTVNNMSYDLIVCDAEVTDIPSVNKITLLMSDFSLSI -NGPLNLIFKTYGTMLVNPDYKAIQHLSRAFPSVTGYITQMTSSFSSELYLKFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSEVESFLVHKMVDDLELQRG -TLAKMSIIIAIVMVFSNRVFNVSKPLTDPMFYPPSDPKILRHFNICCSTLMYLSTALGDVLNFARLHELY -NNPITYYFKKQVIRGSIYLSWSWCDTTSVFKKVACNSNLSLSSHWIRLIYKIVRTTRLAGSSNDLSKEVE -KHLKGYNRWISFDDIRSRSSLLDYSCL - ->YP_001285392.1 L protein [European bat 1 lyssavirus] -MIDSTEVYDDPVDPVEPEADLRSNSVVPNILRNSDYNLNSPLLEDPSRLMIEWLTTGNRPSRLNLTDNLL -RSYKVLRGYFKKLDVGSMRAGGLGAQAMITLWLHGSHSESTRSRKCLTELSQFYKKSSPIEKLLNYTLEN -RGLKTPTEGVLSSINKVQYDQAFGRYLGNTYSSYLFFHVIILYMNALDWDEEKTILALWKEIASIDVKSD -LVKFRDQIWGSLVITKDFVYSQSANCLFDRNYTLMLKDLFLSRFNSLLILISPPESRYSDDLVSNLCQLY -IAGDKVLSACGNSGYDVIKLLEPYIVNKLVQKAEKFRPLIHSLGDFPQFIRDKTNQLEGTFGPSAREFFQ -TMDLLDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHVKKIIDRNYQECLASDLAKRILRWGFDKYS -RWYLDSNLLPGDHPLSPYVKTQTWPPKHVVDMVGDTWHSLPITQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSRPPVNPREFLRSIDVGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLATYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDKVFGLKKVFSRTHEFFQKSWVYYSDRSDLIGLWRDQIYCLDMTNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLNKEGLLYELDSISRNAISIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVYHYLLFSPILKDRVYKILVADGTEFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSSESWIHALCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLR -EAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLRSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRTLEESFFNSEIHGISRMTQVPQRIGRVWSCSAERADQLREISWGRKVVGTTVPHPSE -MLALIPKSSISCTCGQSGDDSPRISVSVLPSLDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRDSNLAQTLIRNIVSLTGPFFPLEEAPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDLRLRDSTFHWHLRCLKCIRPIDDITLE -APKIFSFPDVSKRISRMVSGAVPQFRKLPEIGLKPGKFDSLKEKDKSRHIGTAQGLLYSILVATHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LKEPSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYEREAITTSPENDWLWIFSDFRSIKMTYL -TLITYQSHLLLQRIDRNLSKQMRVRLRQLNSLMRQVLGGHGEGTLDSDEDIQGLLRDALQRTRWVDQEVR -HAAKTMKCDYSPSKRVSRKAGCSEWICSAQQVAVSTSSNPAPISEIDIRALSKKLQNPLISGLRVVQWAT -GAHYKLKPILNDLEAYPTLCLVVGDGSGGISRAVLSMFPDAKLVFNSLLEVNDLMASGTHPLPPSALMSG -GEDIVSRVIDFNSIWEKPSDLRNPSTWRYFQTVQSAANMSYDLIICDAEVTDIPSINKITLLMSDFSLSI -NGPLSLIFKTYGTMLVNPDYKAVQHLSRAFPSVTGYITQMTSSFSSELYLKFSKRGKFFRDSEYLTSSTL -REMSLVLFNCSSSKSEMLRARSLNYQDLVRGFPEEIISNPYNEMIITLIDNDVESFLVHKMVDDLELRQG -AFSKMSIILTIMMVFSNRVFNVSKPLNDPKFYPPSDPKILRHFNICCGTLIYLSAALGDVLNFARLHELY -NNPVTYYFKKQTLGGRMYLAWSWTDNTPVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGSAKDLSQEVE -KHLKSYNRWINFSDLRSRSSLLDYSCL - ->YP_142354.1 L protein [Mokola lyssavirus] -MMDVTEVYDDPIDPVEPEGEWNSSPVVPNILRNSDYNLNSPLLEDPANLMIQWLTSGNRPSRMNVTENTT -RSYKVLRALFKGVDIATIKIGGVGAQAMMGLWVLGSHSESSRSRKCLADLSAFYQRTLPIESILNHTLMN -RGLQTPREGVLSGLNRVSYDQSFGRYLGNLYSSYLLFHVIILYMNALDWEEEKTILALWRDITSIDIKND -RVYFKDPLWGKLLVTKDFVYAHNSNCLFDKNYTLMLKDLFLSRFNSLLILVSPPDSRYSDDLAANLCRLY -ISGDRLLSSCGNAGYDVIKMLEPCVVDLLVQRAETFRPLIHSLGEFPAFIKDKTTQLIGTFGPCASQFFS -MLQQFDNIHDLVFIYGCYRHWGHPYIDYRKGLSKLFDQVHMKKTIDQQYQERLASDLARKILRWGFEKYS -KWYLDTGVIPKDHPLAPYIATQTWPPKHVVDLLGDSWHTLPMTQIFEVPESMDPSEILDDKSHSFTRTKL -SSWSSEHRGGPVPSEKVIITALSRPPVNPRDFLKSIDQGGLPDDDLIIGLKPKERELKIDGRFFALMSWN -LRLYFVITEKLLANHIIPLFDALTMTDNLNKVFKKLIDRVTGQGLKDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDRAFGMKKVFSRTHEFFQKSWIYYSDRSDLIGIWKDQIYCLDMTEGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIERESKTRNTRTKILAQGDNQVLCPTYMLSSGLNNEGLRYELENISKNAMSIYRAIEDGA -SKLGLIIKKEETMCSFDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLVKPMRDFLLMSVQAIYHYLLFSPIIKDRVYKVLNSKDDDFLLAMSRIIYLDPSLGGVSGMSLGRFP -IRQFSDPVSEGLTFWKEIWLSSSETWIHHLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDRVENSEFREAIILSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTVRRQFRKSLSKTLEESFLNSEIHGINRVTQTPQRLGRVWTCSAERADQLREISWGRKVVGTTVPNPSE -MLTLVPKSSVACGCYTREVGNPRISVSVLPSFDPSFLSRGPLKGYLGSSTSMSTQLFHSWEKVTNVHVVK -RALSLKESINWFVSRESNLAKTLIGNILSLTGPSFPIEEAPVFKRTGSALHRFKSARYSEGGYSAVCPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDFRLADSTFHWHLRCQKCIRPIEEVTLD -APQLFDFPDISSRISRMVSGAVPQFRKLPEVGLKAGDLTALSSSERSYHIGTAQGLLYSILVAVHDPGYN -DNSLFPVNIYGKVSARGYLRGLARGILIGSSICFLTRMTNININRPLELISGVISYILLKLDNHPSLYIM -LKEPELRAEIFSIPQKVPAAYPTTMEEGNRSVLCYLQQVLRYERDSMSFPPGNDILWIFSDFRSIKMTYL -TLITFQAYLWLQRVERNLSKQVRVRLRQLNSLMRQVLGGHGEDTIDSDDEILSLLKESLRRTRWVDQEVR -HAAKSMTPDLNPVPKISRRMGSSEWICSAQQIAISTSLNPASASDIDLRSLSRQYQNPLISGLRVVQWAT -GAHYKIKPILNDLDVCPCLSLVIGDGSGGISRVVLSMFPDSKLVFNSLLEVNDLMASGTHPLPPSALMRG -GDDITSRVIDFESIWEKPSDLRNPLTWKYFHSIQSKLRSQFDLIVCDAEVTDIESVNKITLLLSDFSMSI -KGPLYLIFKTYGTMLVNPDYKAIHHLSRAFPNVTGFVTQMTSSFSSEIYLRFSKTGYFFRDHELLTASTV -REMSLVLFNCSNPKSEMLRARTLNYQDLIRGFPPEIISNPYNEMIITLIDSEVESFLVHKVVDDLELKRG -APSKMAIIIAVAILFSNSVLNVSKSLNEPKFFPPSDPKLLRHFNICSSTLLFLSTALGDLSNFTRLHELY -NSPVTYYFGKQTIKGRRYLSWSWANSSPIFKKVACNSSISLSSHWIRLIYKIVKTTRLNCSPRDMLRETE -ACLRTYNKWINIRDTRSRTSILDYCCL - ->NP_478343.1 L protein [Australian bat lyssavirus] -MIDPGEVYDDPIDPVEPEPELKTNNAVIPNILRNSDYNLNSPLIEHPSRLMLEWLTTGNKPMRLTLTDNC -IRSYKTLKCYFRKVDIGSVKVGGPAAQAMTSLWLHGEHSESNRSRKCLSDLTQFYQKSSPIEKLLNYTLG -NRGLRIPPEGVLLCLKKVDYDRSFGRYLANIYSSYLFFHVIILYMNALDWDEEKTILALWRDLNSVDIKK -DQVKFRDSIWGSLIVTKEFVYSQNSNCLFDRNYTLMLKDLFLSRFNSLLILLSPPEPRYSDDLISLLCQL -YIAGDHVLSMCGNSGYDVIKMLEPYVINSLVQRAEEFRPLIHSLGDFPLFIREKVGQLEGTFGPSARRFF -QVLDQVDNIHDLVFVYGCYRHWGHPYIDYRKGLLKLYDQVHVKKMIDGAYQECLASDLAKRILRWGFDKY -SKWYLDPKLLAPDHPLAPYIKTQTWPPKHIVDLVGNTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTK -LASWLAENRGGPVPSEKVIITALSKPPVNPREFLKSIDLGGLPDDDLIIGLKPKERELKIEGRFFALMSW -NLRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLQDYSRVTYAFHLDYEKWNNHQRLE -STKDVFPVLDQVFGLKKVFSRTHEFFQKSWIYYSDRSDLIGLWEDQIYCLDMSDGPTCWNGQDGGLEGLR -QKGWSLVSLLMIDRESQTRNTRTKDFAQGDNQVLCPTYMLSSGLSHEGLLYELESISRNALSIYRAIEDG -ASKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQ -HSQSLIKPMRGFLLMAVQAVFHYLLFSPILKGRVYKILSAEGDDFLLAMSRIVYLDPSLGGVSGMSLGRF -HIRQFSDPVSEGLSFWKEIWTSSSESWIHSLCQEAGNPDLGDRSLEKFTRLLEDPTTLNIRGGASPTILL -KDAIRKALYDEVDKVENSEFREAILLSRHHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQN -SRTIRRQFRRSLSRSLEESFYNSEIHGISRMTQVPQRIGRVWSCSSERAHLLREISWGRKRVGTTVPHPS -EMLALLPKSSISCPCGLTGSENPRVSISVLPSIYQSFFSRGPLKGYLGSSTSMSTQTFHAWEKVTNVHVV -KRALSLKESINWFISRNSNLAQTLIRNIISLTGPQFPLEEAPVFKRTGSALHRFKSARYSEGGYSSICPN -LLSHISVSTDTMSDLTHDGRNFDFMFQPLMLYAQTWTSELVQKDIRLKDSTFHWHLRCPKCIRSIDDVIL -VHPQVFDFPDVSKRISRMVSGAVPSSQILPEVNLLPGHFESLCGRDKSRHIGSAQGLLYSILVATHDPGY -NDGTIFPVNIYSKISPKDYLRGLARGILIGSSICLLTRMTNININRPLELISGVITYILLRLDNHPSLYV -MLREPSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYEREIITSSPENDWLWIFSDFRSMKMTY -LTLITYQSHIFLQRIERSLSKKMRADLRQLSSLMRQVLGGHGEDTLDSGEDIQRLLRDAIQRTKWVDQEV -RHAAKTMTNDHSPSKKTSRKVGCSEWICSAQQVSISTSSNPAPVSEMDVRTLSRKLQNPLISGLRVVQCA -TGAHYKLKPILDNLNTYPSFCLVVGDGSGGISRTVLNMFPDAKLVFNSLLEVSDLMASGTHPLPPSAIMS -GGEDITSRVIDFESIWEKPSDLRNLSTWRYFQSVQSQLNMSYDLIICDAEVTDIASVNKITLLMSDFVLS -IDGPVDLIFKSYGSMLVDPDYKAIQHLSRAFPQVTGYITQLTSSFSSELYLRFSKRGKFFRDAEYLTSST -LREMSLVLFNCSSPKSELQRARSLNYQDLVRGFPEEIVSNPYNEMIITLIDSEVESFLVHKMVDDLELQR -GTLSRMSIIIAIVIVYSNRVFNVSKPLNDPLFYPPSDPKILRHFNICCSTMMYLSTVLGDVPNFARQHEL -YNGPITYYFKRQIIRGSIYLSWSWSDDTSVFKRVSCNSNLSLSSHWIRLIYKIVKTTRLTGSPVDLSKEV -EKHLRGYNRWITLNDVKSRSSLLDYSCL - ->NP_056797.1 L protein [Rabies lyssavirus] -MLDPGEVYDDPIDPIELEAEPRGTPTVPNILRNSDYNLNSPLIEDPARLMLEWLKTGNRPYRMTLTDNCS -RSFRVLKDYFKKVDLGSLKVGGMAAQSMISLWLYGAHSESNRSRRCITDLAHFYSKSSPIEKLLNLTLGN -RGLRIPPEGVLSCLERVDYDNAFGRYLANTYSSYLFFHVITLYMNALDWDEEKTILALWKDLTSVDIGKD -LVKFKDQIWGLLIVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSLMVLLSPPEPRYSDDLISQLCQLY -IAGDQVLSMCGNSGYEVIKILEPYVVNSLVQRAEKFRPLIHSLGDFPVFIKDKVSQLEETFGSCARRFFR -ALDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHIKKVIDKSYQECLASDLARRILRWGFDKYS -KWYLDSRFLARDHPLTPYIKTQTWPPKHIVDLVGDTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSKPPVNPREFLKSIDLGGLPDEDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TEDVFSVLDQVFGLKRVFSRTHEFFQKSWIYYSDRSDLIGLREDQIYCLDASNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQIRNTRTKVLAQGDNQVLCPTYMLSPGLSQEGLLYELESISRNAFSIYRAVEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCVSNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSHESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIRGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLTSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRKSLSKTLEESFYNSEIHGISRMTQTPQRVGGVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLGLLPKSSISCTCGATGGGNPRVSVSVLPSFDQSFFCTGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRDSNLAQTLIRNIVSLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGKNYDFMFQPLMLYAQTWTSELVQRDTRLRDSTFHWHLQCNRCVRPIDDVTLE -TSQIFEFPDVSKRISRMVSGAVPHFQRLPDIRLRPGDFESLSGREKSHHIGSAQGLLYSILVAIHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYIM -LREPSFREEIFSIPQKIPAAYPTTMKEGNRSILCYLQHVLRYEREVITASPENDWLWIFSDFRSAKMTYL -TLITYQSHLLLQRVERNLSKSMRDNLRQLSSLMRQVLGGHGEDTLESDDNIQRLLKDSLRRTRWVDQEVR -HAARTMTGDYSPNKKVSRKVGCSEWVCSAQQVAVSTSANPAPVSELDIRALSKRFQNPLISGLRVVQWAT -GAHYKLKPILDDLNVFPSLCLVVGDGSGGISRAVLNMFPDAKLVFNSLLEVNDLMASGTHPLPPSAIMRG -GNDIVSRVIDFDSIWEKPSDLRNLATWKYFQSVQKQVNMSYDLIICDAEVTDIASINRITLLMSDFALSI -DGPLYLVFKTYGTMLVNPNYKAIQHLSRAFPSVTGFITQVTSSFSSELYLRFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSDVESFLVHKMVDDLELQRG -TLSKVAIIIAIMIVFSNRVFNVSKPLTDPLFYPPSDPKILRHFNICCSTMMYLSTALGDVPSFARLHDLY -NRPITYYFRKQVILGNVYLSWSWSNDTSVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGSIKDLSGEVE -RHLHRYNRWITLENIRSRSSLLDYSCLCIGYSWKPAHAKTLV - ->sp|Q9IPJ5.1|L_RABVN RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MLDPGEVYDDPIDPVESDAEPRGAPTVPNILRNSDYNLNSPLIEDPARLMLEWLKTGNRPCRMTLTDNCS -RSYKVLKDYFKKVDLGSLKVGGTAAQSMISLWLYGAHSESNRSRRCITDLAHFYSKSSPIEKLLNCTLGN -RGLRIPPEGVLSCLEKVDYDKAFGRYLANTYSSYLFFHVIILYMNALDRDEEKTILALWKDLTSVDIGKD -LVKFKDQIWGLLIVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSLMILLSPPEPLYSDDLISQLCQLY -IAGDQVLSMCGNSGYEVIKILEPYVVNSLVRRAEKFRPLIHSLGDFPVFIKDKVSQLEGTFGPSAKRFFK -VLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHMKKVIDKSYQECLASDLARRILRWGFDKYS -KWYLDSRFLAQDHPLTPYVKTQTWPPRHIADLVGDTWHKLPITQIFEIPESMDPSEILDDKSHSLTRTRL -ASWLSENRGGPVPSEKVIITALSKPPINPREFLKSIDLGGLPDEDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TEDVFSVLDQVFGLKRVFSRTHEFFQKSWIYYSDRSDLIGLWEDQIYCLDISNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLSLEGLLYELESISRNALSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSQESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIKGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRKSLSRTLEESFYNSEIHGINRMTQTPQRVGRVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLGLLPKSSISCTCGATGGGNPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRNSNLAQTLIRNIISLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGKNYDFMFQPLMLYAQTWTSELVQRDTRLRDSTFHWHLRCNRCVRPIDDITLE -TSQIFEFPDVSKRISRMVSGAVPHFRKLPDIRLRPGDFESLSGREKSRHIGSAQGLLYSILVATHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LREPSLRGEIFSIPQKIPAAYPTTMKEGNRSILCYLQHVLRYEREVITASPENDWLWIFSDFRSAKMTYL -TLITYQSHLLLQRVERNLSKGMRANLQQLSSLMRQVLGGHGEDTLESDDDIQRLLKDSLRRTRWVDQEVR -HAAKTMTGDYSPNKKVSRKAGCSEWVCSAQQVAVSTSANPAPVSELDIRALSKRFQNPLISGLRVVQWAT -GAHYKLKPILDDLKVFPSLCLVVGDGSGGISRAVLNMFPDAKLVFNSLLEVNDLMASGTHPLPPSAIMSG -GDDIVSRVIDFDSIWEKPSDLRNLTTWGYFQSVQKQVNMSFDLIICDAEVTDIASINQITLLMSDFALSI -DGPLYLVFKTYGTMLVNPDYKAIQHLSRAFPSVTGFVTQATSSFSSELYLRFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDNDVESFLVHKMVDDLELQRG -TLSKVAIIIAIVIVFSNRVFNISKPLADPLFYPPSDPKILRHFNICCSTLMYLSTALGDVPSFTRLHDLY -NRPITYYFRKQVIRGNIYLSWSWSGDTLVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGNIEDLSREVE -RHLHGYNRWITLKDIRSRSSLLDYSCL - ->sp|Q8JTG9.1|L_ABLVH RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MIDPGEVYDDPIDPVEPEPELKNNTVIPNILRNSDYNLNSPLIEDSARLMLEWLTTGNRPGRLTLTDNCI -RSYKTLKCYFRKVDVGSLKVGGLAAQAMISLWLHGEHSESNRSRKCLSDLTQFYQKSSPIEKLLNYTLGN -RGLRIPPEGVLFCLKKVDYDRAFGRYLANIYASYLFFHVIILYMNALDWDEEKTILALWKDLSSVDIKKD -QVKFRDPIWGSLIVTKDFVYSQNANCLFDRNYTLMLKDLFLSRFNSLLILLSPPEPRYSDDLISQLCQLY -IAGDNVLSMCGNSGYDVIKMLEPYVVNSLVQRAEGFRPLIHSLGDFPLFIRDKVGQLEGTFGPSARKFFQ -VLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHIKKVIDGTYQECLASDLAKRVLRWGFDKYS -KWYLDPKLLAQDHPLTPYIRTQTWPPKHIVDLVGNTWHRLPITQIFEIPESMDPSEILDDKSHSLTRTKL -ASWLAENRGGPVPSEKVIITALSKPPVNPREFLKSIDLGGLPDDDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLQDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDQVFGLKKVFSRTHEFFQKSWVYYSDRSDLIGLWEDQIYCLDMSDGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSSGLSQEGLLYELESISRNALSIYRAIEDGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSADGDDFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWKEIWSSSSEPWIHSLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRSLEESFYNSEIHGINRMTQVPQRIGRVWSCSSERADLLREISWGRKVVGTTVPHPSE -MLTLLPKSSISCVCGPTGSENPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFIARDSNLAQTLIKNIISLTGPQFPLEEAPVFKRTGSALHRFKSARYSEGGYSSICPNL -LSHISVSTDTMSDLTQDGRNFDFMFQPLMLYAQTWTSELVQKDIRLKDSTFHWHLRCQKCIRSIDDITLD -TSQVFEFPDVSKRISRMVSGAVPQFQKLPEVYLKPGHFDSLCGKDKSRHIGSAQGLLYSILVATHDPGYN -DGTIFPVNIYSKISPKDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LRESSLRSEIFSIPQKIPAAYPTTMKEGNRSVLCYLQHVLRYERDIITSSPENDWLWIFSDFRSTKMTYL -TLITYQSHILLQRIERSLSKKMRADLRQLSSLMRQVLGGHGEDSLDSGEDIQRLLRDALQRTRWVDQEVR -HAAKTMTGDHSPSKKTSRKAGCSEWICSAQQVAISTSSNPAPVSEMDIRTLSRKLQNPLISGLRVVQWAT -GAHYKLKPILDDLNAYPSFCLVVGDGSGGISRTVLNMFPDAKLVFNSLLEVSDLMASGTHPLPPSAIMSG -GDDIVSRVVDFESIWEKPSDLRNLSTWRYFQSVQSKLNMSYDLIICDAEVTDIISVNKITLLMSDFVLSI -DGPLDLIFKSYGTMLVNPDYKAIQHLSRAFPKATGYITQLTSSFSSELYLRFSKRGKFFRDVEYLTSSTL -REMSLVLFNCSSPKSELQRARSLNYQDLIRGFPEEIVSNPYNEMIITLIDSEVESFLVHKMVDDLELQRG -TLSKMSIIIAIVIVYSNRVFNVSKPLSDPVFYPPSDPKILRHFNICCSTMLYLSTILGDVPNFARLHELY -NSPITYYFKKQIIRGSIYLSWSWSDDTSVFKRVSCNSNLSLSSHWIRLIYKIIKTTRFTGSHVDLSKEVE -KHLKGYNRWITFNDVRSRSSLLDYSCL - ->sp|A3RM23.1|L_RABVI RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MLDPGEVYDDPVDPIESDAEPRGAPTVPNILRNSDYNLNSPLIEDPARLMLEWLTTGNRPYRMTLTDNCS -RSYKVLKDYFKKVDLGSLKVGGTAAQSMISLWLYGAHSESNRSRKCITELAHFYSKSSPIEKLLNCTLGN -RGLRIPPEGVLSCLERVDYDKAFGRYLANTYSSYLFFHVITLYMNALDWDEEKTILALWKELTSVDIGKD -LVKFKDQIWGLLIVTKDFVYSHSSNCLFDRNYTLMLKDLFLSRFNSLMILLSPPEPRYSDDLISQLCQLY -IAGDQVLSMCGNSGYEVIKILEPYVVNSLVQRAERFRPLIHSLGDFPVFIKDKVSQLEGTFGPSAKRFFG -VLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHIKKVIDKSYQECLASDLARRILRWGFDKYS -KWYLDSRLLTRDHPLTPYIKTQTWPPKHIVDLVGDTWHKLPITQIFEIPEPMDPSEILDDKSHSFTRARL -ASWLSENRGGPAPSEKVIITALSKPPVNPREFLKTIDLGGLPDEDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TEDVFSVLDHVFGLKRVFSRTHEFFQKSWIYYSDRSDLIGLWEDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLSREGLLYELESISRNALSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSHESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIKGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRTLEESFYNSEIHGINRMTQTPQRVGRVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLGLLPKSSISCPCGATGGGNPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRNSNLAQTLIRNIMSLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGKNYDFMFQPLMLYAQTWTSELVQKDTRLRDSTFHWHLRCNRCVRPIDDITLE -TSQIFEFPDVSKRISRMVSGAVPHFQKLPDIRLKPGDFESLSGREKSRHIGSAQGLLYSILVAIHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYIM -LREPSLRGEIFSIPQKIPAAYPTTMKEGNRSILCYLQHVLRYEREVITASPENDWLWIFSDFRSAKMTYL -TLITYQSHLLLQRVERNLSKSMRANLRQMSSLMRQVLGGHGEDTLESDDDVQRLLKDSLRRTRWVDQEVR -HAARTMTGDYSPNKKLSRKAGGSEWVCSAQQVAVSTSANPAPVLELDIRALSKRFQNPLISGLRVVQWAT -GAHYKLKPILDDLNVFPSLCLVVGDGSGGISRAVLNMFPDAKLVFNSLLEVNDLMASGTHPLPPSAIMSG -GDDIVSRVIDFDSIWEKPSDLRNLTTWKYFQSVQKQVNMSYDLIICDAEVTDIASINRITLLMSDFALSI -DGPLYLVFKTYGTMLVNPDYKAIQHLSRAFPSVTGFITQVTSSFSSELYLRFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPRSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSDVESFLVHKMVDDLELQRR -TLSKVAIIIAIMIVFSNRVFNVSKPLTDPLFYPPSDPKILRHFNICCSTMMYLSTALGDVPSFARLHDLY -NRPITYYFRKQVIRGNIYLSWSWSDDTAVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGSIEDLSGEIE -RHLRGYNRWITLEDIRCRSSLLDYSCL - ->sp|Q0GBY0.1|L_RABVR RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MLDPGEVYDDPIDPIESEAEPRGTPTVPNILRNSDYNLNSPLIEDPAKLMLEWLKTGNRPYRMTLTDNCS -RSYKVLKDYFKKVDLGSLKVGGTAAQSMVSLWLCGAHSESNRSRRCITDLAHFYSKSSPIEKLLNCTLGN -RGLRIPPEGVLNCLERVNYDKAFGRYLANTYSSYLFFHVITLYMNALDWEEEKTILALWKDITSVDTEKD -LVKFKDQIWGLLIVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSLMILLSPPEPRCSDDLISQLCQLY -IAGDQVLSMCGNSGYEVIKILEPYVVNSLVQRAEKFRPLIHPLGDFPMFIKDKVNQLEGTFGPSAKRFFR -VLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHIKKVIDKSYQECSASDLARRILRWGFDKYS -KWYLDSRFLARDHPLTPYVKTQTWPPKHIVDLVGDTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSKPPVNPREFLKSIDLGGLPDEDLIIGLKTKERELKIEGRFFALMSWN -LRLYFVITGKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TEDVFSVLDQVFGLKRVFSRTHEFFQKSWIYYSDRSDLIGLWEDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLSQEGLLYELESISRNALSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLGSHESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIKGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRKSLSRTLEESFYNSEIHGINRITQTPQRVGRVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLELFPKSSISCTCGATGGGNPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFINRNSNLAQTLIGNIMSLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQNGKNYDFMFQPLMLYAQTWTSELVQRDTRLRDSTFHWHLRCNRCVRPIDDITLE -TSQIFEFPDVSKRISRMVSGAVPQFQKLPDIRLRPGDFESLSGREKSRHIGSAQGLLYSILVAIHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGILIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYIM -LREPSLRGEIFSIPQKIPAAYPTTMREGNRSILCYLQHVLRYEREAITASPENDWLWIFSDFRSVKMTYL -TLITYQSHLLLQRVERNLSKSMRATLRQMGSLMRQVLGGHGEDTLESDDDIQRLLKDSLRKTRWVDQEVR -HAARTMNGDYSPDKKVSRKVGCSEWVCSAQQIAVSTSANPAPVSELDIRALSKRFQNPLISGLRVVQWAT -GAHYKLKPILDDLNVFPSLCLVIGDGSGGISRAVLNMFPDSKLVFNSLLEVNDLMASGTHPLPPSAIMSG -GDDIISRVIDFDSIWEKPSDLRNSATWRYFQSVQKQVNMSYDLIICDAEVTDIASINRITLLMSDFALSI -DGPLYLVFKTYGTMLVNPDYKAIQHLSRAFPSVTGFVTQVTSSFSSELYLRFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDNDVESFLVHKMVDDLELQRG -TLSKVAIIISIMIVFSNRVFNISKPLTDPLFYPPSDPKILRHFNICCSTMMYLSTALGDVPNFARLHDLY -NRPITCYFRKQVIRGNIYLSWSWSDDTPVFKRVACNSSLSLSSHWIRLIYKIVKTTRLIGSIKDLSGEVE -RHLHGYNRWITLEDIRSRSSLLDYSCL - ->sp|Q0GBX5.1|L_RABVD RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MLDPGEVYDDPIDPVESDAEPRGAPTVPNILRNSDYNLNSPLIEDPAGLMLEWLKTGNRPYRMTLTDNCS -RSYKVLKDYFKKVDLGSLKVGGTAAQSMISLWLYGAHSESNRSRRCITDLAHFYSKSSPIEKLLNCTLGN -RGLRIPPEGVLSCLEKVDYDKAFGRYLANTYSSYLFFHVIILYMNALDQDEEKTILALWKDLTSVDIGKD -LVKFKDQIWGLLIVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSLMILLSPPEPLYSDDLISQLCQLY -IAGDQVLSMCGNSGYEVIKILEPYVVNSLVQRAEKFRPLIHSLGDFPVFIKDEVSQLEGTFGPSAKRFFR -VLYQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHMKKVIDKSYQECLASDLARRILRWGFDKYS -KWYLDSRFLAQDHPLTPYVKTQTWPPRHIVDLVGDTWHKLPITQIFEIPESMDPSEILDDKSHSLTRTRL -ASWLSGNRGGPVPSEKVIITALSKPPINPREFLKSIDLGGLPDEDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLSDYSRVTYAFHLDYEKWNNHQRLES -PEDVFSVLDQVFGLKRVFSRTHEFFQKSWIYYSDRSDLIGLWEDQIYCLDISNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLSLEGLLYELESISRNALSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLVSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSHESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIKGGASPTILLK -DAIRKALYDEVDKVKNSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESVIGLIQNS -RTIRRQFRKSLSRTLEESFYNSEIHGINRMTQTPQRVGRVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLGLLPKSSISCSCGATGGGNPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRNSNLAQTLIRNIISLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGKNYDFMFQPLMLYAQTWTSELVQRDTRLRDSTFHWHLRCNRCVRPIDDITLE -TSQIFEFPDVSKRISRMVSGAVPHFRKLPDIRLRPGDFESLSGREKSRHIGSAQGLLYSILVAIHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYVM -LREPSLRGEIFSIPQKIPAAYPTTMKEGNRSILCYLQHVLRYEREVITASPENDWLWIFSDFRSAKMTYL -TLITYQSHLLLRRVERSLSKGMRANLQQLSSLMRQVLGGHGEDTLESYDDIQRLLKDSLRRTRWVDQEVR -HAAKTMTGDYSPNKKVSRKVGCSEWVCSAQQIAVSTSANPAPVSELDLRALSKRFQNPLISGLRVVQWAT -GAHYKLKPILDDLKVFPSLCLVVGDGSGGISRAVLNMFPDAKLVFNSLLEVNDLMASGTHPLPPSAIMSG -GDDIVSRVIDVDSIWEKPSDLRNLTTWGYFQSVQKQVNMSFDLIICDAEVHDIASINQITLLMSDFALSI -DGPLYLVFKTYGTMLVNPDYKAIQHLSRAFPSVTGFVTQVTSSFSSELYLRFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDNDVESFLVHKMVDDLELQRG -TLSKVAIIIAIMIVFSNRVFNISKPLADPLFYPPSDPKILRHFNICCSTLMYLSTALGDVPSFARLHDLY -NRPITYYFRKQVIRGNIYLSWSWSGDTPVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGNIEDLSGEVE -RHLHGYNRWITLKDIRSRSSLLDYSCL - ->sp|Q8B6J5.1|L_RABVH RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MLDPGEVYDDPIDPIESEAEPRGTPTVPNILRNSDYNLNSPLIEDSAKLMLEWLKTGNRPYRMTLTDNCS -RSYKDLKDYFKKVDLGSLKVGGTAAQSMISLWLYGAHSESNRSRRCITDLAHFYSKSSPIEKLLNCTLGN -RGLRIPPEGVLSCLERVDYDKAFGRYLANTYSSYLFFHVITLYMNALDWEEEKTILALWKDLTSVDTGKD -LVKFKDQIWGLLIVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSLMILLSPPEPRYSDDLISQLCQLY -IAGDQVLSLCGNSGYEVIKILEPYVVNSLVQRAEKFRPLIHSLGDFPMFIKDKVNQLEGTFGPSAKRFFR -VLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLLKLYDQVHIKKVIDKSYQECLASDLARRILRWGFDKYS -KWYLDSRFLARDHPLAPYIKTQTWPPKHIVDLVGDTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSQPPVNPREFLKSIDLGGLPDDDLIIGLRPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TEDVFSVLDQVFGLKRVFSRTHEFFQKSWIYYSDRSDLIGLREDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLSQEGLLYELESISRNALSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSHESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIKGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRKSLSRTLEESFYNSEIHGINRMTQTPQRVGRVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLGLLPKSSISCTCGATGGGNPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RAISLKESINWFINRNSNLAQTLIRNIMSLTGPDFSLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGKNYDFMFQPLMLYAQTWTSELVQRDTRLRDSTFHWHLRCNRCVRPIEDITLE -TSQIFEFPDVSKRISRMVSGAVPHFQKLPDIRLRPGDFESLSGREKSRHIGSAQGLLYSILVAIHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGILIGSSICFLTRMTNINIKRPLELISGVISYILLRLDNHPSLYIM -LREPSLRGEIFSIPQKIPAAYPTTMKEGNRSILCYLQHVLRYEREVITASPENDWLWIFSDFRSAKMTYL -TLITYQSHLLLQRVERNLSKSMRATLQQMGSLMRQVLGGHGEDTLESDDDIQRLLKDSLRRTRWVDQEVR -HAARTMSGDYSPNKRVSRKAGCSEWVCSAQQVAVSTSANPAPVSELDIRALSKRFQNPLISGLRVVQWAT -GAHYKLKPILDDLNVFPSLCLVVGDGSGGISRAVLNMFPDSKLVFNSLLEVNDLMASGTRPLPPSAIMSG -GDDIISRVIDFDSIWEKPSDLRNLATWRYFQSVQKQVNMSYDLIICDAEVTDIASINRITLLMSDFALSI -DGPLYLVFKTYGTMLVNPDYKAIQHLSRAFPSVTGFITQVTSSFSSELYLRFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSDVESFLVHKMVDDLELQRG -TLSKVAIIISIMIVFSNRVFNISKPLTDPLFYPPSDPKILRHFNICCSTMMYLSTALGDVPSFARLHDLY -NRPITYYFRKQVIRGNIYLSWSWSDDTPVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGRIEDLSGEVE -RHLHGYNRWITLEDIRSRSSLLDYSCL - ->sp|Q66T60.1|L_RABVB RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MMIDPGEVYDDPIDLIESEAEPKGNPTIPNILRNSDYNLNSPLIEDPARLMLEWLKTGNRPLRMTLTDNC -SRSHKVLKDYFKRVDLGSLKVGGAAAQSMISLWLYGAHSESNRSRKCINDLAQFYYKSSPIEKLLNCTLG -NRGLKTPPEGVLSCLARVDYDKAFGRYLANIYSSYLFFHVITLYMNALDWDEEKTILALWRDITSIDTGK -DLVKFKDQIWGLLIVTKDFVYSQSTGCLFDRNYTLMLKDLFLSRFNSLMILLSPPEPRYSDDLISQLCQL -YIAGDQVLSMCGNSGYEVIKILEPYVVNSLVQRAEKFRPLIHSLGDFPTFIRDKVGQLEGTFGPSAKRFF -KVLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHLKKVIDKSYQECLASDLARRILRWGFDKY -SKWYLDSRLLSRDHPLIPYIKTQTWPPRHIVDLVGDTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTR -LASWLSENRGGPVPSEKVIITALSKPPVNPREFLKSIDLGGLPDEDLIIGLKPKERELKIEGRFFALMSW -NLRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFRLDYEKWNNHQRLE -STEDVFSVLDQVFGLKRVFSRTHEFFQRSWIYYSDRSDLIGLWEDQIYCLDMSDGPTCWNGQDGGLEGLR -QKGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLSREGLLYELESISRNALSIYRAIEEG -ASKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANTMSTVSTNALTVAQ -HSQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGDNFLLAMSRIIYLDPSLGGVSGMSLGRF -HIRQFSDPVSEGLSFWREIWLSSNESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIKGGASPTILL -KDAIRKALYDEVDEVENSEFREAILLSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQN -SRTIRRQFRRSLSRTLEESFYNSETHGINRMTQTPQRVGRVWPCSSERADLLREISWGRKVVGTTVPHPS -EMLGLIPKSSISCTCGAAGGGNPRISVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVV -KRALSLKESINWFITRNSNRAQTLIRNIMSLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPN -LLSHISVSTDTMSDLTQDGRNYDFMFQPLMLYAQTWTSELVQKDTRLKDSTFHWHLRCNKCIRPIDDMTL -DTSQVFEFPDVSRRISRMVSGAVPHFRKLPDIRLRPGDFESLSGKEKSRHIGSAQGLLYSILVAIHDSGY -NDGTIFPVNIYSKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYI -MLREPSLRGEIFSIPQKVPAAYPTTMKEGNRSILCYLQHVLRYEREAITASPENDWLWIFSDFRSSKMTY -LTLITYQSHLLLQRVDKNLSKSMRANLRQMSSLMRQVLGGHGEDTLESDEDIQRLLKDSLRRTRWVDQEV -RHAARTMTGSYSPHRRVSRKAGCSEWVCSAQQVAVSTSANPAPASELDIRTLSRRLQNPLISGLRVVQWA -TGAHYKLKPILDDLNVFPSLCLVVGDGSGGISRAVLNMFPDARLVFNSLLEVNDLMASGTHPLPPSAIMS -GGDDIISRVIDFDSIWEKPSDLRNLTTWRYFQSVQEQVNMSYDLIICDAEVTDIASINRITLLMSDFALS -IDGPLYLVFKTYGTMLVNPDYRAIQHLSRAFPAVTGFITQMTSSFSSELYLRFSKRGKFFRDAEYLTSST -LREMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPDEVISNPYNEMIITLIDSDVESFLVHKMVDDLELQR -GTLSKVSIIIAIMIVFSNRVFNVSKPLTDPLFYPPFDPKILRHFNICCSTMMYLSTALGDVPSFARLHDL -YNRPITYYFRKQVIRGNIYLSWSWSDDTSVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGSIEDLSGEI -EKHLRGYNRWITLDDIRSRSSLLDYSCL - ->sp|P16289.1|L_RABVS RecName: Full=Large structural protein; Short=Protein L; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MLDPGEVYDDPIDPIELEAEPRGTPIVPNILRNSDYNLNSPLIEDPARLMLEWLKTGNRPYRMTLTDNCS -RSFRVLKDYFKKVDLGSLKVGGMAAQSMISLWLYGAHSESNRSRRCITDLAHFYSKSSPIEKLLNLTLGN -RGLRIPPEGVLSCLERVDYDNAFGRYLANTYSSYLFFHVITLYMNALDWDEEKTILALWKDLTSVDIGKD -LVKFKDQIWGLLIVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSLMVLLSPPEPRYSDDLISQLCQLY -IAGDQVLSMCGNSGYEVIKILEPYVVNSLVQRAEKFRPLIHSLGDFPVFIKDKVSQLEETFGPCARRFFR -ALDQFDNIHDLVFVFGCYRHWGHPYIDYRKGLSKLYDQVHLKKMIDKSYQECLASDLARRILRWGFDKYS -KWYLDSRFLARDHPLTPYIKTQTWPPKHIVDLVGDTWHKLPITQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSKPPVNPREFLRSIDLGGLPDEDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TEDVFSVLDQVFGLKRVFSRTHEFFQKAWIYYSDRSDLIGLREDQIYCLDASNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQIRNTRTKILAQGDNQVLCPTYMLSPGLSQEGLLYELERISRNALSIYRAVEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCVSNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGISGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSQESWIHALCQEAGNPDLGERTLESFTRLLEDPTTLNIRGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLISVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRKSLSKTLEESFYNSEIHGISRMTQTPQRVGGVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLGLLPKSSISCTCGATGGGNPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRDSNLAQALIRNIMSLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGKNYDFMFQPLMLYAQTWTSELVQRDTRLRDSTFHWHLRCNRCVRPIDDVTLE -TSQIFEFPDVSKRISRMVSGAVPHFQRLPDIRLRPGDFESLSGREKSHHIGSAQGLLYSILVAIHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELVSGVISYILLRLDNHPSLYIM -LREPSLRGEIFSIPQKIPAAYPTTMKEGNRSILCYLQHVLRYEREIITASPENDWLWIFSDFRSAKMTYL -SLITYQSHLLLQRVERNLSKSMRDNLRQLSSLMRQVLGGHGEDTLESDDNIQRLLKDSLRRTRWVDQEVR -HAARTMTGDYSPNKKVSRKVGCSEWVCSAQQVAVSTSANPAPVSELDIRALSKRFQNPLISGLRVVQWAT -GAHYKLKPILDDLNVFPSLCLVVGDGSGGISRAVLNMFPDAKLVFNSLLEVNDLMASGTHPLPPSAIMRG -GNDIVSRVIDLDSIWEKPSDLRNLATWKYFQSVQKQVNMSYDLIICDAEVTDIASINRITLLMSDFALSI -DGPLYLVFKTYGTMLVNPNYKAIQHLSRAFPSVTGFITQVTSSFSSELYLRFSKRGKFFRDAEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSDVESFLVHKMVDDLELQRG -TLSKVAIIIAIMIVFSNRVFNVSKPLTDPSFYPPSDPKILRHFNICCSTMMYLSTALGDVPSFARLHDLY -NRPITYYFRKQVIRGNVYLSWSWSNDTSVFKRVACNSSLSLSSHWIRLIYKIVKTTRLVGSIKDLSREVE -RHLHRYNRWITLEDIRSRSSLLDYSCL - ->ANJ04752.1 RNA-dependent RNA polymerase [Rabies lyssavirus] -MLDPGEVYDDPVDPIELEAESRGAPTVPNILRNSDYNLNSPLIEDPAGLMLEWLKTGNRPHRMTLTDNCS -RSYKVLKDYFKKVDMGSLKVGGTAAQAMISLWLYGAHSESNRSRKCITELAHFYSKSSPIEKLLNCTLGN -RGLRIPPEGVLSCLERVDYDKAFGRYLANTYSSYLFFHVITLYMNALDWDEEKTILALWRELTSVDIGKD -LVKFKDQIWGLLIVTKDFVYSQSSNCLFDRNYTLMLKDLFLSRFNSLMILLSPPEPRYSDDLISQLCQLY -IAGDQVLSMCGNSGYDVIKILEPYVVNSLVQRAEKFRPLIHSLGDFPVFIKDKVSQLEGTFGPSAKRFFG -VLDQFDNIHDLVFVYGCYRHWGHPYIDYRKGLSKLYDQVHIKKVIDKSYQECLASDLARRILRWGFDKYS -KWYLDSRLLARDHPLTPYIKTQTWPPKHIVDLVGDTWHRLPITQIFEIPESMDPSEILDDKSHSFTRTRL -ASWLSENRGGPVPSEKVIITALSKPPVNPREFLKSIDLGGLPNEDLIIGLKPKERELKIEGRFFALMSWN -LRLYFVITEKLLANYILPLFDALTMTDNLNKVFKKLIDRVTGQGLLDYSRVTYAFHLDYEKWNNHQRLES -TEDVFSVLDQVFGLKRVFSRTHEFFQKSWIYYSDRSDLIGLWEDQIYCLDMSNGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIDRESQTRNTRTKILAQGDNQVLCPTYMLSPGLSREGLLYELESISRNALSIYRAIEEGA -SKLGLIIKKEETMCSYDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLIKPMRDFLLMSVQAVFHYLLFSPILKGRVYKILSAEGESFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLSFWREIWLSSHESWIHALCQEAGNPDIGERTLESFTRLLEDPTTLNIKGGASPTILLK -DAIRKALYDEVDKVENSEFREAILLSKTHRDNFILFLKSVEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTIRRQFRRSLSRTLEESFYNSEIHGINRMTQTPQRVGRVWPCSSERADLLREISWGRKVVGTTVPHPSE -MLGLLPKSSISCSCGATRGSNPRVSVSVLPSFDQSFFSRGPLKGYLGSSTSMSTQLFHAWEKVTNVHVVK -RALSLKESINWFITRNSNLAQTLIRNIMSLTGPDFPLEEAPVFKRTGSALHRFKSARYSEGGYSSVCPNL -LSHISVSTDTMSDLTQDGKNYDFMFQPLMLYAQTWTSELVQRDTRLRDSTFHWHLRCNRCVRPIDDITLE -TSQIFEFPDVSRRISRMVSGAVPHFQKLPDIRLKPGDFESLNSREKSRHIGSAQGLLYSILVAIHDSGYN -DGTIFPVNIYGKVSPRDYLRGLARGVLIGSSICFLTRMTNININRPLELISGVISYILLRLDNHPSLYIM -LREQSLRGEIFSIPQKIPAAYPTTMKEGNRSILCYLQHVLRYEREVITASPENDWLWIFSDFRSAKMTYL -TLITYQSHLLLQRVERNLSKSMRANLRQLSSLMRQVLGGHGEDTLESDDDVQRLLKDSLRRTRWVDQEVR -HAARTMTGDYSPNKKVSRKAGGSEWVCSAQQVAVSTSANPAPVSELDIRALSRRLQNPLISGLRVVQWAT -GAHYKLKPILDDLNVFPSLCLVVGDGSGGISRAVLHMFPDVKLVFNSLLEVNDLMASGTHPLPPSAIVSG -GDDIVSRVIDFDSIWEKPSDLRNLATWRYFQSVQKQVNMSYDLIICDAEVTDIASINRITLLMSDFALSI -DGPLYLVFKTYGTMLVNPDYKAIQHLSRAFPSVTGFITQVTSSFSSELYLRFSKRGKFFRDPEYLTSSTL -REMSLVLFNCSSPKSEMQRARSLNYQDLVRGFPEEIISNPYNEMIITLIDSDVESFLVHKMVDDLELQRG -TLSKVAIIIAIIIVFSNRVFNISKPLTDPLFYPPSDPKILRHFNICCSTMMYLSTALGDVPSFARLHDLY -NRPITYYFRKQVIRGNIYLSWSWSDDTLVFKRVACNSSLSLSSHWIRLIYKIVKTTRLIGSIEDLSGEIE -KHLQGYNRWITLEDIRSRTSLLDYSCL ->AIF74284.1 polymerase, partial [Bat rhabdovirus] -LHQILDPLTPHQLLECMSFFRLWGHPIIQEKEGLEKLHKNCTIVKHIDHEFVSTLASDLARIMLTQYYWH -NSNNDSHSWPEDCYIDPIECPLLHHHISNGTFPSTAEIFKIGPMWHKVTYKTLFAEGPEIPLLDLLGDKS -HSINLEEMKNLLYSRRNKYMLSSTRRLLISILKTKKIDPVKYLNHINIHGFAKEDFIIGLKAKEREVKIE -GRFFSLMSFALRLYIVSTEYIAAKYILPLFPEITMGMSGTDTMRMMCELTKGMSDDSDTFNLLIHLDYEK -WNNHQRHEANELIFQQVDKAFGWTKVISQTHQIFKKSFIYFVDRLDKIDPGFNTQLPYCWMGQSGGLEGL -RQKMWTVVGALMLRRVGLRHNQDFDLMMQGDNQIVIAKYHLYSDPGTPDRLLEKQQLASKGKNILRTISE -YSDKLGLITKLEETWISSSILIYGKYPIIRGESAGMFLKLISRLFSTSNDATPSLTNVLSSAVTVGLTAA -QRFKCVTAPLITTYWYMCNVIWQYMRYNPVLQRGMIEVLNQVTGSKRASFKSWNPRDNPTHQLFLLDLLF -RDSVLGGSGGTTPLRFFIREFPDPLTESLSAYRAILLSNRCTKPESLRFLSMIRPKLKVGVKDYKRLIES -PTSLALTTSPHTKDVVKHHVSEYLEKHGSEWIANVDLSACLVNNLAGQEEFVRKLMTIQPCVPNFISTLY -SNTAYGVLEGLLNRISGPSTITSLFATSVGQPFWKIVGDAELKCLYNAVNRTFNLDHVEIVDQLPCSTTL -AQELREWSWGIPLYGVTVPHPSEQVEIVPVKNGICLWCDTNAFPYSEYISVIVDPRVVEDPSLIFTRGEG -TPYLGSATVEKRSVQSECEATTDQPGLRQLLNLINTINWFVDPNSSLSKLISLITTAKTDYPLMDLIGLR -TTSSGCVLHRFFSDRSKRGGFTACSFNPATHIVMSGDTLNRLNRDSENYIILFQAIFLYAQQVIT ->ABZ81211.1 polymerase [Mokola lyssavirus] -MMDITEVYDDPIDPVEPEGEWNSSPVVPNILRNSDYNLNSPLLEDPANLMIQWLTSGNRPMRMNVTENTN -RSYRVLKAFFKGIDVATVKIGGAGAQAMMGLWVLGSNSESSRSRRCLTDLSAFYRRTMPIESILNHTLMN -RGLQTPKEGVLSGLNRVSYDQSFGRYLGNLYSSYLLFHVIILYMNALDWEEEKTILALWRDITSIDVKND -RVSFKDPLWGKLLVTKDFVYAHNNNCLFDKNYTLMLKDLFLSRFNSLLILVSPPDSRYSDDLAANLCRLY -ISGDRLLSTCGNAGYDVIKMLEPCVVDLLVRRAETFRPLIHSLGEFPAFIRDKTIQLIGTFGPCASQFFF -MLQQFDNIHDLVFIYGCYRHWGHPYIDYRKGLTKLFDQVHMKKTIDQQYQERLASDLARKILRWGFDKYS -KWYLDTGVIPKDHPLAPYIATQTWPPKHVVDLLGDSWHTLPMTQIFEVPESMDPSEILDDKSHSFTRTKL -SSWLSEHRGGPVPSEKVIITALSRPPVNPREFLKSIDQGGLPDDDLIIGLKPKERELKIDGRFFALMSWN -LRLYFVITEKLLANHIIPLFDALTMTDNLNKVFKKLIDRVTGQGLKDYSRVTYAFHLDYEKWNNHQRLES -TKDVFSVLDRAFGMRRVFSRTHEFFQKSWIYYSDRSDLIGIWKDQIYCLDMTEGPTCWNGQDGGLEGLRQ -KGWSLVSLLMIERESKTRNTRTKILAQGDNQVLCPTYMLSSGLNNEGLMYELENISKNAMSIYRAIEEGA -SKLGLIIKKEETMCSFDFLIYGKTPLFRGNILVPESKRWARVSCISNDQIVNLANIMSTVSTNALTVAQH -SQSLVKPMRDFLLMSVQAIYHYLLFSPIIKDRVYKVLNSKDDDFLLAMSRIIYLDPSLGGVSGMSLGRFH -IRQFSDPVSEGLTFWKEIWLSSSEAWVHHLCQEAGNPDLGDRSLESFTRLLEDPTTLNIRGGASPTILLK -EAIRKALYDEVDRVENSEFREAIILSKTHRDNFILFLKSIEPLFPRFLSELFSSSFLGIPESIIGLIQNS -RTVRRQFRKSLSRTLEESFLQSEIHGINRVTQTPQRLGRVWACSAERADQLREISWGRKVIGTTVPHPSE -MLALIPKSSVACGCYTREAGNPRISVSVLPSFDPSFLSRGPLKGYLGSSTSMSTQLFHSWEKVTNVHVVK -RALSLKESINWFVSRDSNLAKTLIGNILSLTGPSFPIEETPVFKRTGSALHRFKSARYSEGGYSAVCPNL -LSHISVSTDTMSDLTQDGTNFDFMFQPLMLYAQTWTSELVQKDLRLADSTFHWHLRCQKCIRPIEEVTLD -APQLFAFPDISSRISRMVSGAVPQFRKLPEVGLKAGDLMALSSSERSYHIGTAQGLLYSILVAVHDPGYN -DNSLFPVNIYGKVSARGYLRGLARGILIGSSICFLTRMTNININRPLELISGVISYILLKLDNHPSLYIM -LKEPELRAEIFSIPQKVPAAYPTTMEEGNRSVLCYLQQVLRYERDSMSFPPGNDILWIFSDFRSIKMTYL -TLITFQAYLWLQRVERSLSKQVRIKLRQLNSLMRQVLGGHGEDTIDSDDEILSLLKESLRRTRWVDQEVR -HAAKSMTPDLNPVPKISRRIGSSEWICSAQQIAISTSLNPASASDIDLRSLSRQYQNPLISGLRVVQWAT -GAHYKIKPILNDLDVCPCLSLVIGDGSGGISRVVLSMFPDSKLVFNSLLEVNDLMASGTHPLPPSALMRG -GDDITSRVIDFDSIWEKPSDLRNPLTWKYFHSIQSKLRSQFDLIVCDAEVTDIESVNKITLLLSDFSMSI -KGPLCLIFKTYGTMLVNPDYKAIHHLSRAFPNVTGFVTQMTSSFSSEIYLRFSKTGYFFRDHELLTASTI -REMSLVLFNCSNPKSEMLRARTLNYQDLIRGFPPEIISNPYNEMIITLIDSEVESFLVHKIVDDLELKRG -SSSKMAIIIAIAILFSNSVFNVSKSLSDPQFFPPSDPKLLRHFNICSSTLLFLSAAMGDLSNFTRLHELY -NSPVAYYFGKQTIRGRKYLSWSWANSSPIFKKVACNSSISLSSHWIRLIYKIVKTTRLNCSPRDMLRETE -ACLRTYNKWISIRDIRSRTSIMDYCCL diff --git a/seq/clusters_seq/cluster_166 b/seq/clusters_seq/cluster_166 deleted file mode 100644 index f10b062..0000000 --- a/seq/clusters_seq/cluster_166 +++ /dev/null @@ -1,1236 +0,0 @@ ->YP_009553638.1 polyprotein [Culex Iflavi-like virus 4] -MEGLTNSITAATNSNTNTIYMRNYLNSHPRIATKQIEYTCRGKYTTSVTLYQRGQTRVLFSVKQSGSNKK -MCMEHCYTKVAEYLKLVKDDWKVQGNEEDLKLSENIDDGIIGGISELFQLDSERKFYLLADEQKAQLLED -YKLEYQGEYEEWTVEQVIVHGRLLRQMRKFDSPILKALSQQVYHGGLDNALNFVIRNLYDDIKRGKKWSS -RFGPYGDKYKIGDDVGMFLVDNIMNQPMGTVLTIMRQVKEKIDNWEAYGKYGKGKRFSEKENWVCQGLDS -DQSNEITASLDESKGITTTSENVTIVGAAESVEESILTSLPRDLLNLSSTEKIYDFTEDNAGIMSRFMPL -ETVNVAATEAGLIKSWRIIEDLVKINSITTLPIQGFMLGHYDLEFKLIAQGVPQQACLLMLGHCPNPYGL -YDKFISQQGWTVDGAGGATGTNYPVKPVYDTYETYLSINASVMRPNVQLSLESQGEVTLKVKQKYHKTMI -RNFDYLKASGTNPGIRGGMQGLLTLHALTPVLVGTGTSANFDIRILFRFVQAKLGAMTEPVKEKTTSSRY -NHLYVVPHDFKDWDSLKISQDPEIEKKRQVIRAKYRVQGPAMSVLGGVKSGMMTAVGVIDSIEGLTGHSN -KKIKNKDKPVDAVSQVTTIPRPRMNFTNGEGPDSAVIVGISWVELTHILQSFEDEPKSYKDLVNIPSLMR -TIRLGDTTLPGSQLWSWNLQPTDGNWPLTPGQFATYGYANNWMNTTVAIVSSGFTNYSGGMILCGQFVKT -MFHKASIEIAIRFGRDLTNNAIENSYVTIINVQDTSAFEVHIPYIYDTPTRPVSGSSTAVALPNTTNPAN -YAFAHSAAVTIRVLNRLIVGSGASTEIHCVLWLKGAEDFSLNFPRSFNSNLMPEIKKLVYPPQVISVTQG -LTTPLQFAVVARPDTNSPRFFQQYTPNTYLAPNYVQGPGEERHTILTNTEHLNFKSILKMPVKIINYYSY -EPWVKYNVNTVSDTMVEVSDRAYITLPVAPLNSTFVQYLTNEIYGANKLGETLGCLTQSHAYVVNTMFGM -FSGSLALTIIVDEGDSPVYWAYLPHDYRLRKLYGGLNQTTQKAVTTHSAQPVLTSKNWKSVFLGTTTETH -MDLMSAGGLNGIIVPKVNPTEKIIIPMSAPLNWLLMNRSIMNESKKFTTQTMRENSEWFNGHLAIWCNTK -CKISVLLNMGDDFELGGFLGHPGFPNIHGWINVDDDRRVDLTKFVTQGQEVIDQNNELDDEPDISEDVKG -EVEAIIANDEDASAETQAEYDKLMREWENEQPIQVPADPQVFRTQGESGFQLKDLTLNGWKSLKSMIVST -AVTTAATLALNEVDKGASAAFGAVALYTCMGGVQQLIRINRSAEMSSEIVQESVQVVRETTEELAVNAMA -EIKNMLDQLFPFLRSTSEFMGSLWNIAQHLVHAALAKNWSNAAFAGFCVMMEMKLLEWKDWKKLCQPMEL -FFSRAQSFVTQGPETWTSLAQVLTSLICAKVQVKADGGLQSYLAKIFSMHDYRAVGGLNSILSLVRTVMN -AVSVITNWAFQEADPNIAMLTALQNQGEDLEDFAAQASEFLTFFADLEVTKRENRIKYLALILRAIKIRE -ILVRVNDPKIAGPLLQTCVKVIEKANSVQYLLQCDIVKQEPFILWLEGESKIGKSFCVYKVIGHIFKEAG -YALNTTDYIFTVNLALQFMNGLRSCHKAWFIDDALTIRDIESIKRFVDCMISLKTSTPFNVPRAEIENKD -QVAQPQLVVVTSNKENAFPQISEVPCIKALLRRRDYMVHFELANPQYSLSDYSEEEINEFLHLVCMRYRD -VTDPNSLASKRMTFDEFLGEVSKEYVEYMKVEQRNQFKKYQYLIQCLSKNAIDSLNIGNPFELITKCNYD -QPSQASIRMMVEDEVCVLMETIKQAEFMKDKLPRDEQVFATQGFFEKFRSWVDWFTHYIKTVYKLISNTA -IRCMRCSDRAFSQGTSIWCEDGGHHLCLACSSNDLQQNVALSTLRCPMHPSSRITVETSGVLQSMFANLV -DQKLDGSNMTLHMIATALEGNIEASAYLSVLRAINMTCSLFKFATQDEPEEINNPIMIDGCTKEYLKSLN -VSNYYYPVDQLSIKENMMEKFLYLVNMGLIPAKNNYVCPHSLLAAKFNFYGGKYVLIDEPEVILEDFVCD -QGICALSHVVFLQTIVDNFDVTWNLCQEHKNRSRRVIERNWPKFLWPAGMKEAKDLNFRIKKFLKTSWWE -QYMPESPILQKLIKVVCPILAVLGVCWASAKAATALWNWISQYIGFGPQAKTNADYGHSTRSRVLKTRKT -RVYSTQSTQNFENKLDKIANNYIVLEIGSAHLTAWGVKGSTFLIPKHLTHSLKSQEEFLIHFPTREEPPL -SVRSDNIEIILVPDRDLAKCTIKQGKILFKDCSKFLQKRAITDWIDRTGLLMQVENKTVFDVETRILNTM -DYSTATNSRGIVFSNSGSVVYDYQKAGLCGSLLCVDSVFPIISMHISGSESLNKGIGVILYQEDFEVQGA -SLVDMPMEEVVTFGVNCQVQYVGVVEPSLVAFVPSKSTIVPSAIAPAISRREACELLSPRARTYPAFLSR -VEFVQYNNTFLHYRHPETPLIYGVRKNGRPSKDFSVSHVERAFKEVVDLILSGETLPKEYVRVMSVEEAV -MGINLDLDEEDPLYFGKLPLDTSSGWPYSTSQYMKEFAIQKKTKDGWITVEYNAAGFPEKCKIHQKIIDD -HYANMRQRERGEPAFNVFQDCLKDEKRKEEKVLSEGGTRLFSMSNIEGSIALRRFTLSLTNHIKNNRIYN -GVCVGINPESPEWTFLADVIRKHDNYFTTDFTNFGAGLNYYCGMKFADLIKVYYIRAGVLYEEKQLRSID -ALIQELMGSYHIAHNTLYRTLSGSPSGACITVEINSFVHLMYVVICWSIVCDLMYEKRNGVNKLKYKYPE -LYEYLQDVDIEMNVEDFAGNVTACVYGDDGIFSVSNEFVKVFNGVVINLILKEHGIGVTDASKSQKIMPT -VAFEECTFLKRKFVTHPLCEHMYCAEMEWMSIEECVKWIHKSSIDSNESRTRENCLQSLRLSWGHGEHVY -TKWQNILNALLMGVRVRGIHTTWMELAREMYPDLCVKFC - ->YP_009553231.1 polyprotein [Culex Iflavi-like virus 4] -MEGLTNSFTAATISNSNTVYMRNYLNSHPRIATKQIEYTCRGRYTTSVTLYQRGQTRVLFSVRQSGPNKK -LCMEYCYAKVAEYLKSIKDDWKVQGLEEDLKLSNNTLVFGEEGNKKVEEDTSEIQDVNAKFQLLTDDQRE -SLLRDYKLEYQDEYEDWTVERIIIHGRLLRQLRKYDSPMLEALCRQVYCGGLNNAVSYVIRDLHPDIKRD -KVWHSRFGPYEEGYKIRDDVGVFLVDRIMNQPLGTVLTIIRQTKEKIDAREAREKAMKEKSHRRYYVGFS -EEGTWSCQGLDSDQPNEIAASLDESKGVTTTSENVTIVGAAESVEESIITPLPKDLLSLSSTEKVYDFTE -DSAGIMSRFMPLETVNISATEAGLIKSWRIIEDLVKINSITTLPIQGFMLGHYDLEFKLIAQGVPQQACL -LMLGHCPNPYGLYDKFATQQGWTVDGAGAATSANYPVKPVYETHKTYLSVEASVMRPNVMLSLESQGEVT -LKVKQKYHKTMIRNFDYLKASGTNPGIRGGMQGLLTLHALTPVLVGTGTSSNFDIRILFRFVQAKLGAMT -EPVKEKTAEARYSHLYVVPHDFKDWDSLKVSQDPETEKKRQAIRSKYRVQGPAMSVLGGVKSGMMTAVGV -IDSIEGLTGYNNKKIKNKDKPVDSVSQVTTIPRPRMNFTNGEGPDSAVIVGISWVELTHILQSFEDEPKS -YKDLVNIPSLMHTVKIGDTTLPGSQLWSWNLQPTDGNWPLTPGQFASYGYANNWMHTTVAIVSSGFTNYS -GGMILCGQFVKTMFHKASIEVAIRFGRDLTNNAIENSYVTIINVQDTSAFEVQIPYIYDTPTRPVSGSST -AVALPNTTNPANYAFAHSAAITIRVLNRLIVGAGASTEIHCLLWLKGAEDFSLNFPRSFNCNLLPEIKKL -VYPPQVMSVTQGITTPLQFAVVARPDTNVPKFFQQYTPNTYMAPNYVQGPGEERHTILTNTEHLNFKSIL -KMPVKILNYYSYEPWIKYKVNTVSDTMVEVSDRAYLTIPVAPLNSTFVQYLTNEIYGENKLGETLGSLTQ -SHAYVVNTMFGMFSGSLAMTIIVDEGDSPVYWAYLPHDYRLRKLYGGLNQTTQKAVMTHSAQPVLTSKNW -KSVFLGSTTETHMDLMSAGGLNGIIVPKVNPTEKIIIPMSAPLNWLLMNRSIMNESKKFMTQTMRENSEW -FNGHLAIWCNVKCKISILLNMGDDFELGGFLGHPGFPNIHGWINVDDDRRVDLTKFVTQGEDVEVADQQI -GDEENFRSIEEEVKAILENEEEVSAEKQTEYDKLMLEYENEQPIERTNDPQVFRTQGESGFQLKDLTLNG -WKSLKSMIVSTAVTTAATLAFNEIDRGTSAAFGAVALYTCMGGVQQLIRINRSAEMSSEIVQESVQVVRE -TTEELAANAMSEIKNMIDQLFPFLRSTSEFMSSLWNIAQHLVHAALAQNWSNAAFAGFCVMMEMKLLEWK -DWKKLSQPMELFFTRAQTFTTQGPETWTSLAQVLTSLICAKVQVKADGGLQSYLAKIFSMHDYRAVGGLN -SILSLVRTVMNAVSVITNWAFQEADPNIALLTALQNQGEDLEDFAAQASEFLTFFADLEVTKRENRIKYL -ALILRAIKIREILVRVNDPKIAGPLLQTCVKVIEKANSVQYLLQCDIVKQEPFILWLEGESKIGKSFCVY -KIIGHIFKEAGYSLNTTDYIFTVNLALQFMNGLRASHKAWFIDDALSIRDIESIKRFVDCMISLKTSTPF -NVPRAELENKDQVAQPQLVVVTSNKENAFPQISEVPCIKALLRRRDYMAHIELAKANYSLSDYSQEELDD -FSHLVCMRYLDVTDPQSLASRRMTFHEFLGEVAKEFIDYVKAEQRNQFKKYQYLIQCLSKNAIDSLNIGN -PFELINRCNYDQPSQASIRMMVEDEVCVLMETIKQAEFMKTKIPEDNLVFATQGVLEKFRGWMEWFSHYV -KAIFKIISNTAIRCMRCSDRAFSQGTSIWCEDGGHHLCLACASNDMQQSAALSTLRCPMHPSSRITLEIS -GVLQSMFANFVDQKLDGSNMTLHMIATALEGNMDASDYLSVLRAINMTCSLFKFATQDEPEEINNPLLID -GCVKEYLKTVNVSNYYYPVDQLNVKINMQEKFLYLVNMGVIPKRNNYVCPHSLLAAKFNFYGGKYVLVEE -PEVIIEDLVCDQGMCALSHVVFLQTIVENFDVTWNLCKEHKSRSRRIIERNWPKFLWPAGMKEAKDLNFR -IKKFLKTSWWEQYMPESPILQKLIKIVCPLLAVLGVCWASAKAATALWNWISQYIGFGPQARTNADYGHS -SRAKVLKTRKSRTYATQSTQNFENKLDKIANNYIVLEIGSAQLTAWGIKGSTFLIPKHLAHSLKSQDEIL -IHFPTREEPPLSVRSDNLEVILVPDRDLAKCTIKHGKILFKDCSKFLQRRAITDWIDRTGIIMQVDNKTV -YDVETRILNTMDHSTATNSRGIVFSNCGSVVYDYQKAGLCGSLLCVDSVFPIISMHISGSESLNKGIGVI -LYQEDFEVQGASLVDMPMDELVTFGAECQVQYVGTVEPSLVAYIPSKSTIVPSAIAPSISRREACELLSP -RARTYPAFLSKVEFIEYNGTFLHYKHPETPLIYGVRKNGKPSKDFSILHVERAFKEVADLILAGEPLPKE -YVRVMSVEEAVMGINTDLDEEDPLYFGKLPLDTSSGWPYSTAQYMKEYGIQKKTKDGWITITYDKSGYPE -NCQIHQKILEDHDHNMQQREKGEPAFNVFQDCLKDEKRKEEKVLSQGGTRLFSMSNIEGSIALRRYTLSL -TNHIKNNRIYNGVCVGINPESSEWTFLADVIRKHDNYFTTDFTNFGAGLNYYCGMKFADLIKIYYMRAGV -YLEEGQHKCIEALIQELMGSYHIAHNTVYRTFSGSPSGACITVEINSFVHLMYVVICWNIVCDLMYEKRN -GVNKIKYKYPELYEYLQDVDMNMNVEDFAGNVTACVYGDDGIFSVSDEFVKVFNGVVVNLILKEHGIGVT -DASKSQKIVPTVPFEECTFLKRKFVTHPLCEHMYCAEMDWLTVEECVKWIHKSSVESDESRTRENCVQSL -RLAWGHGEQVYTKWQNIMNALLMGIRVRGIHTTWMELAREMYPDLCVSFC - ->YP_009552768.1 polyprotein [Culex Iflavi-like virus 1] -MYNQKKERESAKSSPCKSLKETPTTATIFKESSRTTRKPPNRKFFLMESDMTQEYFHRTPGAPATLPPKK -TFDYFLERATRYEGDHVSKPAKYCFSARSVYNELVLALPEFGAYQLTYYLRQKFDLEHQVRLHVPAFDPH -WECIFIGEHSKLSLAEEVAFRSFFIYVAMTVTTDAIRKTKPWTPAPSQFFQRKKTYDEREAEEIQRENYE -NMLAEELGSVSLQSGLQGAVGAAESSTSETQNTQLVAVGEVEEIEPYPDEPSERTLSEQPFTDLNLAGVA -WWLNKVTWSTSSQIQVFDLYNIMFNDVSANAAIVKSFQVHAISKLTARVTIKPNAVNFNVGLMGVTFVPL -WNMWTPEDQKLWLNKYSLTQLPFTMINACSNXEVVVPINFTXPLNYVPHISSPNYLPQRSLGALIYFPIV -NLAIGDQGTRTCDLNAFIHFDSVEFVGKIDQRVNMQSGLFGKVGDTLHAGLSLMNGNPDPTFNMLNKVAK -SLINNKNADHPINPSPGQFVIPQALPSQSSVTNIENPVNSFRMDPLGTVTHNFPPTETFEDLVHRPGLFR -QVTITSADSPKLLTSWTNQPLKPYNDYVPYATDPNRRHVPPVGVIASIFENAKGSSVYEVYAAMTDKHNF -KLMFGVLPTPISPTQAIDLTYLRNSKFTELNYTNGKFTNEVVAPYFNPKSWIRVPSNAYVNSDCQTASYS -PSYCYLYLMTSLSYATGVPSSVTLSIFERAGPDFELSVVKSPSLSVQPYSNPVTYPGLKLAGYHWASDIT -FTFSTLAPGGKPASADWIWNMWFTNPVSNFRAVLLYYGDTPTSGLSIPGYGNRRHAVGYVPLNQPDTSVL -LVSVLDATSAPVAAVYTAIRNFSNNYTQPTFDALAQYLVSTEMTNAFTYGPTYAFHVLWTPNNPIPTVSK -QMGYTGITSTDVDSPFPSTTMRGWGKKDFGEDFSSITNVLKRPYGDINIGIETARSASYPNAAFRVNVSP -ALPRTTPPPINSLDYAYSASAADIVLKGFIGFKGSIIMHALFPTVQNASVWTNYFPDAFPSKDIVQYPTA -TNNQRLVSTAPKQTFNLGINSAIRTAIPYYNPSEFIFTWSTLGKQGDNALVNSMGSVTYGLDVNRTSDLP -ATLRAVVMRSFGDDAALYFFRGFPPVVFFAEHPPVIARNSSMADIEENPGPAFSRFVHTTAETAGEGFAQ -GMFQGVESYFSELATNLEGLVKTKLAEKGVVSTPDFSLTNILTVLFQQSGHCILNPSWKTFLWSFGIILQ -RLGILGLQGAAKVFAALTAWLSRVAAASSQPSTGAVSLQGNQPDPDPHPTEFITILITGIATLFGIKEWK -DSDNRTAKEFTSTFKSALQMGTTLNAFMKATRGAFKAIFQKAYFWYISEKPDAASVKAIAVTDSLIANWM -KEVDYLTDRSIRESVNTDPSLQLRVRVAYIVGRRLHAQLILANDRKTSPLVYYFNLIKKLYEDFAVNGFA -SHIRKEPWVIYVYGKTCIGKSQLQSDLCSRLLRAENIVVDGAMTYNVPTISPYMTDLRKQKVISIDDIMS -VVLPESLRTWLSLIFENATCASFKPNKPAIEDKDMEVENEILYINGNFEFIEHDSIPDKNAFHRRFHFKI -EAALTDELVDARHETAKTVPKSILEKFEHLKFRRTLNPWNVLAPRYTDWMTYPELVEMAIADFKAWRIAA -QESVNRRMLCELQAKPLGLNNITYEDLQNDSFVEDKIREYLDTLDTLDNERGIFSYDFRKLLAPHTLTLK -ETFNKFYAKVETPVTEMLKKITSWTMSEGSSVSLQGPPIRTSCEEILSMHKVLTGLWECCEFAPGETEKL -KDMISGYFAPAALKYPHHAFVRAVRTCELAKGLHISNCRCEEEALDANLFCEETKCFVKMYAIHKKSLQT -FTSRMKDWNNLAISKLKSFYETIWSTMNPILKGTLVLIGIVATGAIFKLVFNWLTAKWNGDKDGIDKDFH -KTFMFQSGHYSPGTSSKEAKKTSQTKTNVTSFTSRAVTLQSGNFEDVVTKVRRNLRWITVCPEAEATNPN -FERMLFPILGVHDRSYLVILHYIQTITNALTHPDVKYIATLYDGHIHVPLSMNDFCETLLKWRYSEDSLS -ELGVWEAPARTHLVANILTHCGSRSKLTRMNNECFIVPCFTDLTSPCVLTRFNNFNSKYYDVSQYNHLVA -YDGYSKPGFCGSLVFSRNLSTLVAIHTMGSDDGKIGFGELVFREDLETENIINMTCSLFKFATQDEPEEI -NNPLLIDGCVKEYLKTVNVSNYYYPVDQLNVKINMQEKFLYLVNMGVIPKRNNYVCPHSLLAAKFNFYGG -KYVLVEEPEVIIEDLVCDQGMCALSHVVFLQTIVENFDVTWNLCKEHKSRSRRIIERNWPKFLWPAGMKE -AKDLNFRIKKFLKTSWWEQYMPESPILQKLIKIVCPLLAVLGVCWASAKAATALWNWISQYIGFGPQART -NADYGHSSRAKVLKTRKSRTYATQSTQNFENKLDKIANNYIVLEIGSAQLTAWGIKGSTFLIPKHLAHSL -KSQDEILIHFPTREEPPLSVRSDNLEVILVPDRDLAKCTIKHGKILFKDCSKFLQRRAITDWIDRTGIIM -QVDNKTVYDVETRILNTMDHSTATNSRGIVFSNCGSVVYDYQKAGLCGSLLCVDSVFPIISMHISGSESL -NKGIGVILYQEDFEVQGASLVDMPMDELVTFGAECQVQYVGTVEPSLVAYIPSKSTIVPSAIAPSISRRE -ACELLSPRARTYPAFLSKVEFIEYNGTFLHYKHPETPLIYGVRKNGKPSKDFSILHVERAFKEVADLILA -GEPLPKEYVRVMSVEEAVMGINTDLDEEDPLYFGKLPLDTSSGWPYSTAQYMKDYGIQKKTKDGWITITY -DKSGYPENCQIHQKILEDHDHNMQQREKGEPAFNVFQDCLKDEKRKEEKVLSQGGTRLFSMSNIEGSIAL -RRYTLSLTNHIKNNRIYNGVCVGINPESSEWTFLADVIRKHDNYFTTDFTNFGAGLNYYCGMKFADLIKI -YYMRAGVKLEEGQHKCIEALIQELMGSYHIAHNTVYRTFSGSPSGACITVEINSFVHLMYVVICWNIVCD -LMYEKRNGVNKIKYKYPELYEYLQDVDMNMNVEDFAGNVTACVYGDDGIFSVSDEFVKVFNGVVVNLILK -EHGIGVTDASKSQKIVPTVPFEECTFLKRKFVTHPLCEHMYCAEMDWLTVEECVKWIHKSSVESDESRTR -ENCVQSLRLAWGHGEQVYTKWQNIMNALLMGIRVRGIHTTWMELAREMYPDLCVSFC - ->YP_009552017.1 polyprotein [Culex Iflavi-like virus 4] -MEGLTNSNTAATNSNPNTVYMRNYLNSHPRIATKQIEYTCRGKYSTSVTLYQRGQTRVLFSVKQSGSNKK -MCMEYCYTKVAEYLKLVNDDWKVQGLEENLKLTDDNLIQTLDVEKKFQELSEKQKETILEDYKLEYQNQY -EVWPVERIIIYGRLLRQMRRFDSPMLFALSQQIYFGGLEDAIKITIGNLYEDVKGAKVWHGQFGPYESGY -KIRDDVGMFLVDKIMNQPIGSILNIIKHVKDERDNLENYEKVMLAHRENRQVVNFIEKGNWACQGLDSDQ -PSEITASLDESKGVTTTTENVTVVGAAESIEASILTSLPRDLLGLSSTEKVYDFTDDSAGIMSRFMPLET -VNVAATEAGLIKSWRIIEDLVKINSITTLPIQGFMLGHYDLEFKLIAQGVPQQACLLMLGHCPNPYGLYD -KFVSQQGWTVDGAGGATSTNYPVKPVYETYETYLSVDASVMRPNVQLSLESQGEVTLKVKQKYHKTMIRN -FDYLKASGTNPGIRGGMQGLLTLHALTPVLVGTGTSNNFDVRILFRFVQAKLGAMTEPVKEKTTSARYNH -LYVVPHDFKDWEALKVSQDPEVEKKRQVTRAKYRVQGPAMSVLGGVKSGMMTAVGVIDSIEGITGYNNKK -IKNKDKPVDAVSQVTTIPRPRMNFTNGEGPDSAVIVGISWVELTHILQSFEDEPKSYKDLLNIPSLMKTI -ELGDTSLPGSQLWSWNLQPTDGNWPLSPGQFASYGYANNWMHTTVAIVSSGFTNYSGGMILCGQFVKTMF -HKASIEIAIRFGRDLTSNAIENSYVTIINVQDTSAFEVHIPYIYDTPTRPVSGSSTAVALPNTTNPANYA -FAHSAAITIRVLNRLIVGSGASSTIRCLLWLKGAEDFSLNFPRSFNCNLMPEIKKLVYPPQVISVTQGVT -TPLQFAVVARPDTNVPKFFQQYTPTAYLAPNYVQGPDSERHTILTNTEHLNFKSILKMPVKIINYYSYAP -WVKYKINTVAETEVEVSDRAYLTIPVAPLNSTFVQYLTNELYGENKLGETLGSLTQSHAYVVNTMFGMFS -GSLAMTIIVDEGDAPVYWAYLPHDYRLRKLYGGLNQTTQKAVMTHSSQPVLTSKNWKSVFLGSTTETHMD -LMSAGGLNGIIVPKVNPTEKIIIPMSAPINWLLMNRSIMNESKKFTTQTMRENSEWFNGHLAIWCNVKCK -ISVLLNMGDDFELGGFLGHPGFPNIHGWINVDDDRRVDLAKFVTQGEEIAYSDQQEEXVSDAIKKEVEAI -LAEDDLVSEDVQTEYDKLMLEWENEQPVEIPSNPQVFVTQGESGFLLKDLTLSGWKSLKSMIMTTAVTTA -ATLAFNEMDRGASAAFGAVALYTCMGGVQQLIRINRSAEMSSEIVQDSVQVVRETTEELAANAMSEIKNM -IDQLFPFLRSTSEFMGSLWNIAQHLVHAALAQNWSNAAFAGFCVMMEMKLLEWKDWKKLCQPMELFFTRA -QSFITQGPETWTSLAQVLTSLICAKVQVKADGGLQSYLAKIFSMHDYRAVGGLNSILSLVRTVMNAVSVI -TNWAFQEADPNIALLTALQNQGEDLEDFAAQASEFLTFFADLEVTKRENRIKYLALILRAIKIREILVRV -NDPKIAGPLLQTCVKVIEKANSVQYLLQCDIVKQEPFILWLEGESKIGKSFCVYKIIGHIFKEAGYALNT -TDYIFTVNLALQFMNGLRSCHKAWFIDDALSIRDIESIKRFVDCMISLKTSTPFNVPRAEIENKDQVAQP -QLVVVTSNKENAFPQLSEVPCIKALLRRRDYMAHLELAQPNHSLSDYSEEELDEFLHLVCMRYMDVTDPT -SLASRRMTFYEFLGEVAKEFIDYMKAEQRNQFKKYQYLIQCLSKNAIDSLNIGNPFELINRCNYDQPSQA -SIRMMVEDEVCVLMDTIKQAEFMKTKLPRDDQVFATQGLLEKFQSWIDWFSYYIKTVYKLISNTAIRCMR -CSDRAFSQGTSIWCEDGGHHLCLACAANDMQQSPALSTLRCPMHPSSRITLEMSGILQSMFANLVDQKLD -GSNMTLHMIATALEGNMEASTYLSVLRAINMTCSLFKFATQDEPEEIDNPILIDGCVKDYLKTLVVSNYY -YPVDQLSVKVNMQEKFLYLVNMGIIPRSNNYVCPHSLLAAKFSFYGGKFVLVDDPDIILEDFICDQGVCA -FSHVVFLQTIVENFDVTWKLCQEHKNRTRRTIERNWPKFLWPPGMKEAKDLSFRIKKFLKTSWWEQYMPE -NPVLQKLIKIVCPLLAVLGVCWASAKAATALWNWISQYIGFGPQAKTNADYGHSTRSRVLKTRKTRVYAT -QSTQNFENKLDKIANNYIVLEIGSAQLTAWGIKGSTFLIPKHLTHSLKSQEEVIIHFPTREEPPINVRSD -NIEIILVPDRDLAKCTIKHGKILFKDCSKFLQRRAITDWIDRTGLIMQVENKTVFDVETRILNTMDHSTA -TNSRGIVFANCGSVVYDYQKAGLCGSLLCVDSIFPIISMHISGSESLNKGIGVILYQEDFEVQGASLVDM -PMEEVVTFGPDCQVQYVGNVEPLMVAFIPNKSTIVPSAIASAISRREACDLLSPRPRTYPAFLSKVEFVQ -YADVFLHYKHPETPLIYGVRKNGKPSKDFSVLHIERAFKEVADLILAGEPLPIEYVRVMSVEEAVMGINT -DLDEEDPLYFGKLPLDTSSGWPYSTSQYMRDYAVQKKTKDGWISITYNSETGFPEKCKIHEKILEDHNFN -MLQRERGEPAFNVFQDCLKDEKRKEEKVLSEGGTRLFSMSNIEGSIALRRFTLSLTNHIKNNRIYNGVCV -GINPESPEWTFLADVIRKHDNYFTTDFTNFGAGLNYYCGMKFADLIKIYYLRAGVELDENQIRCIDALIQ -ELMGSYHIAHNTIYRTFAGSPSGACITVEINSFVHLMYVVICWNIVCDLMYEKRNGVNKLKYKYPELFEY -LQDIDLEMNVEDFAGNVTACVYGDDGIFSVSDEFVKVFNGVVINLILKEHGIGVTDASKSQKIQPTVPFE -ECTFLKRKFIVHPLCEHMYAAEMEWLSIEECVKWIHKSSIESDESRTRENCLQSLRLSWGHGEHVFTKWQ -NILNALLMGIRVRGIHTTWMELAREMYPDLCVKFC - ->YP_009505598.1 polypeptide [Lygus lineolaris virus 1] -MATTNENNYDASNWKRSNLFASTEEFPGCRGRPWATGGARARAALSVGADSTAEVEKKSGXIERPVSYTD -ALNSRLGKCNYVSKTETTRHFSRNGVWRWSYRTKIIWGPRSGDLKNVKTSGVHEASSLKEAKEGACKQIY -DEITPHIQMADEENSPKESVQGDSSQSSDQAENTIVTRDQGLTISKSEVPTDGLVVDLCSTEKAPSFSPL -TKRWMLLDSIDVKVNVARGTIIASYVIPRDCYAKVACAPNLMPFEAYVYARPFLRLKFLVNANKFHCGKL -VASLRFDDYQASSTSATVNGALSRPHVILDLTGNNEGEIEVPFIFHRAFVRTNAAEASNAGVESERCVSL -DVQILSPLLTGAGGSSDIDLRVFFTFEKSRFAGMSYRMPVVQMGDIIEDILDKVPTAGLKKLIAGAEGLM -DGIGGSNHDKPISHTEIVVVPRPRLNFCSGKGLSDAIPMRMNPTAQTSFYPGLLTGDEAKTTLDLARIWQ -LRSTFQWGVNDAADTDIYSLTADPWMRSYTEPYLGNPTLLELMSSMYAFASGTIDVRFDFVSNSFHTGTV -LISVEFNRPSSSGAANACESYSTYTKTFHLGEQKSVVMRVPYIYDTQERRNTHGPVQYDFVKSTADDRKN -AMSYRAEFKTTLRVRVVNVLRPVAAAPQNIEVLVFWRAGPNFNLRSLCQSGFIEMTPNAVMSIPYDVTPV -EGKNKPLQSAKTKALHNELAALRSRAADNTDALTERLQEALEEKRRDLDDLKKQKESADTLTASLNSELK -TVKDDLAREQTEASKVSSLEREKQGLAARVRTLEDLKKSLEADISRLEHDLVVSEAETVKQQTDIANLER -TIHRMRTSYRIPASFQMDSGEKEDEDPTAIFKGGKVCRSFQTTDVQLNIKDIIRQPVFLMTVKMTAAGSQ -WLGYFIPIRPPARDWFPVGAIGTTPLTLTANKDFNPGLGRHPAAKLLSLFRFWRGSNRLTFVFTAAVDDR -VPIYIVHLPHSGAELYGSFATTSTDLPVGLHQTGLRTTMVIPGINNTEVVEFPYDTENNWSLMYRDNPAS -KFRIRDMGDYNAGHVVLLSAQGFNADVWWEAGDDFEFSNFYGPAIQYTNSNAGAWSDGYPSVQMMEETPD -FLLNDLPDLGLEVSDDTRSIFTKTMIGMMPMVGMPYNIAVAANGIEKASKAVTSVADKANDTLATLRMVL -ESAAKQLALPHDRNTVDLIVDFALDILIHLRTSDFVGMGISFVRTLFRHLIGVSLTSLSEYGLIMGRLFS -TIFSSRASSQGRDEGIGPALVGVFLALAGTIVGQILSPEPFRMRSFLRGLCFRMTSAAGVGYVSGVLRVT -STLFELGKRVVYWVVGIVDPEAEAIRELQGKSDMLGKFVHESNILMSECNARMLGVPSFRIRYWVNVMQA -YQLQALLVQVPNNRVSPVLHQLCAKVIAHANERFVDISSSPIRYEPLVICIEGDSNIGKSFMTEYMVVAL -LKSIGVNNCESPVYYRQPGVKFWSAYSNQPVVVYDDWLNLNNVENVVMQVSELYQIKSTSLFIPDMAHLE -DKRRKANPLVVVLLTNNAYPKGTIGETTLCPTAFLRRRDLLFHVASTKSIEQVKRDSARADVSADDRFSH -LQFRQFMYHDKRGFYPQKRSYNETLDYLKGCFKSHHSRQQIEIKRRFKLFEELQPPGAQRFLNVKDPFTL -FYQHIVNVEMTALEQTPVEVLHKEVEDLVTGIQVAQAAPVEYHEMPESPFARASPQGRGVCQIVGGACSW -VFNHMCSPAGIMVMARATVAQVQSVLEFVMPESSLKMATCTDCGEYRPFYASCSRMTCDKTGHQFCRGCY -RDRLCAAEVSADDSSSGIETVFGTFVRGPSDLSLKCSHSCGGYLKVREEDLLRTGVVGLTRLLQEKVITV -DVFLDRVYVLTKNFDCFIKPFSKLTVATFLLRMWIGFGDPAGFVAQVALEEWAFKHRDQSFFRSWRSGRE -AGVQAEDEREGEEPQGPVGPGVIPDPSPGPSTWATDCPKFLADSLDENLDGALDSYKKRGRVPMVCPHAR -IIEQSAAVRYKNGAWVICPSEEVVPDTVCKDNCAILARWEEFLNFLRLNWKLYRLAYQVAYRAYREKPSD -VTAHELLARVPFIFLPKQIQEDPIINDTLRKEMENLCSGSWIEAITNVSLGKAVKYFCILASVSGVAYAC -YRGLSSYFSPAPDVPSSAPQGASTYNKSQSRHFDRQRATREGKIGRPSTRLQEADPRSDPYLTVEEKVRR -NMFRIFCYEGTTLRRVLGGCGLYGYYAMIPNHYYQCMSNGRSVYRFFLDSANPKMRSEYVFDANDFHPAS -DTDFAIFKLPPSWPMFVDITKYIAKDEDLYSNLYGEAKIVYPSTAVEGIPITTDITISGTVNAEVIETPT -GPMEMCGLLVYNFSKKGACGGLVMKKNCTRPIYAMHIAGRGEGTSGIGYGVLLTQEGLESFINLPGPREN -AEPQCGYEFEKAKVWFDEDVSVEYVGVVDHIPYQPSKSKIQPSLLQAKWPDPPKTKPCPLNKSPGYLHDK -SPLVAGTEKHGRKSLDFPSSVVAKCVDALWHKKIKWMEPVVENPARLSPSEACVGLVTNEYYEPLDLTTS -SGYPWNRGATRGKVDYVTYERNEQEQPVKAILSDCLIREIEEKEALRRRGVVPATIFLDTLKDERRLIKK -ADSLGGTRVFCMSPLDYSIALRQNFIHFYAAFIARRWDLFHAVGIDANGEEWTHLTRKLARVSWKFIGID -YSNFGPAFNAVVAQGAAEIMIRWVLMNVEGVDETELRVLAAETTQSLHLALNLLYRQQCGSPSGAPDTTQ -KNTIVNMLYLMIAFYYLWPHADRTLLWETFFEELDVECYGDDVIMSVSEPVAEWFHGVSIHNFFARHGIV -TSSADKLSQIVPTTGIMNTSFLKRWWVRHEKWIGEWHGALDKTSVEECCLWIWKSDNERSATDENCRASL -ELAYGWGSAYFNAHRDKMNDVRKAVGLAPIFIEWEALDRLFYPSKY - ->YP_009337750.1 hypothetical protein [Hubei picorna-like virus 41] -MNNVNDDSIHNNGRRGGDRGNRQLTSINTDGVKIEYPTKMACYKALISGLDKYPTTRGSSFNPHINYTAD -YNSWLMATGYMYTATTNKNWAKNDSRENYFETIRVLRWSTNEGLVEVIAHGGHSSLKIANNQSCFEVEQK -VKQLIPKVQMMTEPTTESNQEDWTLYDSLDWIKWNLRQQRYIRKYQIPRVQNDDKVIESSQGKSNQSSDK -QQNTIITQDQAETVSKHSGALDTVALLSSSEPPHKFESVTARWMPLDIITLSTDKKVGTLLKAYYLPESL -YSDTCSPSLMPFSTFIYGRMDIELRLVVNSNKFACGKVVMSSKYDTYQADNLCSGLQSALVRNHVIVDLS -ANNEGVLQVPFRYHRPWVRLVKNDMASYGVRPAKYASIYLHCLAPLSTGKDGPSEVGARIFFRFKNTEFT -GMSYKVAVQMPIGFEDVITPTTSRALKEVLIGAEKAFDQLGASRNQDKPSKLLANVVVPRPRLNFGGGKG -VADVVPLRVNPHTLTNYHFVSCPTDEPKSFYELARIWGVYKQFDWKATHAEGTSLGEFIMDPTARSYTKD -VIGESTPLEYACANYAFWSGPIELRFDFVSNAYHTGTIQISAEFGRKTAAANACESSSTYTKMFHLGEQR -SVSFRVPYIYDTVYRRSTANYYQPYESQPTSDDIRSKSLVIAPESQTYIKIRVINALKPISSAPQSIVVN -IFVRAGENFTMYGLKGVSMYPSRDVETMDSFPRDGYKLEDWPTIEKQEAAAKATGTANQTPLDKPGVTNT -SRRRRSISGLEQLKIDLERQYLPAALRNEWNEYKPECLPRTQMDNGEKEDEDPTDNFSIGRPTWDVQTLD -RQTDFKDLLRRPTLLFWKVSIDPTSTGANGGFFIPLMPPCRDMCATKEGKLNGDFATTLHQASATAIMDM -FRCWRGGMRYTIVAYEAKHPFYVSLIPHSGTRIVGNHTVNTSGAHPICGANFTTEIVVPSINPTVTIEAP -YETENIWTLTFDEKAARNYSWRDKGDTNAGHIVISTHEKIKVDVWWSAADDFEFSNFYGIPETKWNGWAY -RHHDRHGRVQMDTDFSLTETVTKVTGLAKQSWKSGLLARSAIAAIPVVGQPLVLGDAVTRGVQRINEVAD -SATTTMGNINLAAEKIGVGVDQLLGVITNAVAGIGEKISGLIHGVSLLYDIFLDLIIAWMEKSWKVVGIA -IVRFVTKVMSTSLDVGSIVMGYATQIANFINGVVEDQLPRTQIEENNNYIYVGLLAGMIGTLTGVTLNPT -QWYSSWTRNLGLRLTSTAGIAYLVQIMNFMKMTFSTIQSMVMRALGYVSPEAVALKKLAEQSKEIDHFIR -EAQIITSEVNMSLLGQTQYRVRFWKTVLQAYQIQRLLAQVPANCASYQLSKACTDVIKIANEKFLDLSAS -PVRFVPMVIVLEGPAGTGKSHITESLVQHLLDRVGFKSVVSEKIYYRTAGERFWSGYRDQPVVVYDEWMN -TTDSQRNIEQVAEMMKLKSCSTFIPEMAHLEEKKIRGNPLIIIMCCNNAFPNNLSDYVTCSEAILRRRDL -VLRVERLPEYEKVNYRESSPEIQKVFDENKHLTIGRYKDVFNRNSLTSIRKDYETTKKWVGDQWKNYYQH -EQREVQNRMKKLPHYFEKAQGEINIQDPFTLFYQIGVEMRVDAAEGNNVWTPYEELEVAVSAIVNAIKVP -AVIPPEEPVELIPWELAQPPTTQSVWDWVLGLGFGGTIPAWIAKASLPQLLKWEYEINPLKTTLSDCSIC -LGQEIPCTYICTTTQDLEGEARHTLCYECYISLTKYGNGSCPKCRCTEIVPVIGVQDINVLSVWKQIMLR -TNKSLQWLCQKILAYYDWRQNHYFQAILADHIMTVAMILITKGNTTVGPVLSLHRTLTSFYRMYNNWDLL -YSVFTQSDDDDWGELERPITQAQNRSDDEFQVGINKDILNKWVTNRKETPLCMHHRVRQHIRSAQLIGDI -WRIQDSTTRQCLEVSVYACKGTCKLFDEQPIEVWHDTYRQLIEQIMIMHKAQIRNAYIRYYNNPCEETLT -NIDPIFRPEWIEAPVERLSRSWWEYLSDVWKDYRTMIIYGGAALTVIASIIGAYKMAGALIGTTLASSQQ -GATAGSPQRQARNPRRFIDRGNRRPVAYFNAENETPTQFEVAAGYVVRNTFKITIMIGTKEKVMYGTGLR -SNILLIPRHYVLEIKQRILEGCKIFGEYQNNPQLRRELKLTEKDFVVSKETDLAYILLSTEFPLFKSLDK -FLALDEDFVHPITSQGVLLANPKAGTAGLMKMIDVEIYGVQDKQLIMDQNEKSFEVRDVLVYSYSKAGVC -GSLLLRENHQRPIMSMHFAGIGEGITGEGFGIILTRESLAALVDNRTVPVQFEDVAYDTIENAKFVYEDD -VFLNYYGSVGPEKTPYLNTKSKIRPSEICNKYGMTTNMGPAILDPKDPRYLHSGTTPLYEGVRKHGLLTK -NFDQDLVDRAGDIYWDLYLSKLRPLIADPRELTLDQAVVGIPGMEHYVPMDLSTSAGYPYNLSKDRKKKS -DYITVIRNEQDQAIAVDTIDVFLEQELQRKTQLRKQGIVPQTLFIDTLKDEKRKVEKLTKKGGTRVFCSS -PVDYVIECRKKFMHFVAAFMDQRSQMCHSVGINPTSKEWSEMTNELLRKNTQFGTIDYANFGAGYNSNVA -KKAYELIIRWTMEHVRTLTNDQLDERELWCLVYECLQSMHICNNTVYQQGAGSPSGAFFTTIINTLVNIL -YLIIAWLKLTAIIMKIKRLLAGIEFKKNVELRCYGDDGVFSVSDEYAEVFNMITIQDFFLEYGIVATDAT -KTGKAVKFEDISVAQYLKRGFLPHPTRSGQWLSPLVWESVESCAQWVWQSANNKEATYVNAMASLMEAHG -HGPIKFKEYKAKLNYALKKAKCKTVEGDWKFFDEIFFDKGFEFDMDQFLLNTVY - ->YP_009337684.1 hypothetical protein [Hubei odonate virus 5] -MKNDSNNTIIFTNGGRGSTIDRDNRPRQEYNVPTISKFIKCTDRRATNNIMEASKSFYGQFDKAFLVSSN -LIPRCNFNFSMFNNWYDSLFATFKEFGSRTVRDSDNRQRTIYTFGYSMLWRKCSICIKSRVLGPKEDQAY -DIICQLHERRVVVQMDLDGAANTDEIPVSNEKVGNTSMELGDGVEEGVLKMPDLSTIKELANTEESYEFP -SLSDRWQMFDHFTITTAAKRNGLNKVYNLPQAFLTASQKAPCSTNTLPFQTFAYADCDIEVKVVANGARF -ATGAILVGSYPDPYDNFGTLSCTVESMIQRDNTAQLSLSANNAVEISIPNLYRRSFVRCYADPTSNKGTT -TQQYSALQVEILSPYNVTTGNSNTCHIQLFYRFKKCDFAGMSYGVLPTAQAPSLISDLVSVGTTLFPEAK -PLERVLRRTGLIRNQDKPYIGNISQVVPQPRMNFCAGKGVSDNIPLTLDHASTVTILKDHTHPQDPTTML -DIAKRPGIDCYFQWSNSDTNGKILKRWPIVPTANNVTDSRIDTTPTPLKFCTSLYQLWRGDIEIEFIAIS -NDFHTGTLQVETSFNRVTNDPCQLSGTYTKTFELSAKNKSFKYTVPYIYDTVWRRTGRGITDGMVNNLGK -NDADNPKYWCAIAGAQSLLWPTSVMERTKAYVTVTVVNPLNPIGNVPSTIDIVMTVRAGKNYMLHSMGPS -QYHSAYCNDDIKDLPNWGRFPSIGQTTDSNESDPRMFVPTDAAATSELVPLHFFNAYGRRSDEGIVKISK -GTRNGSEYPYVEGPAMRSFHTTDSQITLKDIMRRPILLLSATCPALKVSNQQGDTCKFDAATSYWLPCFV -PSHFSGRSPFSFIQNSPLASIATLFRHWRGSLCYTFDFDSTSSKSIYISYVPQTGVRLCGIQRKPMRITD -FFINTDQTVSDQYWLAETGVATEIVKPSINPTCSVRVPFDTDLNMCVVSNRVRTKSNTQVIMAREQVADI -SGHLVIQCEEEVKFKVFMSVGDDFELSHFVGTPHYQQFVTLPLGDDFSAKVGQTYGFATKHNKGLTAYSA -PQNFRNNDSSRTTTNLNLPYKYRSRINVAQVQMDKVAAVATAGLLGGSFVAYKKGKENIESISASCSETK -TIIDNTLVQATGTMSRISEVAETIGIGVSYLLDVITQAVEKTLEVTKGVCDSLLSPNFIVGFILDMVLLV -KNFSASNIALHLLKLLNTLLPNLGVKVMDYLSDFTKLISKLLGSAATEQQSVVDPDAFSSLFGFLIFLIG -TATGAKLSINPKTCPDFSTALTQRLTSTQGLGFLVVATNFVQYFFKALVAASSYITGSSDSIASTAQLLK -LKEEEIQNFVSEVDLITNPLNARMLRNTEMRRLVWKNFVRAEQINRAVLTLKDTRGSQNLMRYVDRMRKF -ADERAPLATCCPVRREPFVMCIVGESRIGKSYINNELCLKLLKSANVQFEGQPIYTRTPGLKHWDGFFEQ -QVVVYDDWLSMSETTCVTEQLMELFCLKSSCEFIPPMANLQEKGIRANPYIVSILTNDAFPDDLVSCTAH -TPQAVYGRRDVLIDARKKAEYENVKTGDIPSEILEKYGHLEFAFLDPLHYEPINEAREYYSFEEFYEKLE -KIFLDLTNSETANVTRRLNQLRLYSGNSSLLDDPTQIMYRESFKVGLEEMTQKDPQFAGLYMSQKVNRMF -DLLDKAKEENTDTAKVEGPRETIRSWINNHPTFSNMFEKGWLPQIHNTTTLGEPLSESDACSHCMNECLL -YFGPSSHLLCSACYFTQSGSCVQCGPLSYTQQISASTLKKMTALLKRGKEGREKLTDWFNNLSSDTKTAV -ACSAFLFVYLGGACALVSQLEPQQPNVVYYFPQIEHISYVKTQMMSKEMFIEGLCFNENEFNLMLENQER -TLPRCYHQVMLDNPDDAYEYENRKWEIEYEGETFWIPVNACGEDCVLHKAGNQDKYKQICADWYTLNYAL -IGEILFTRIKGNDPRVGIDICDELPPFCVPNFASSTRPVKKVSWLQKWIEKTSNAIPSWLKSLLNAALIG -VKILVTLKGLMGILDYFKAQPKEEIESSGSYQVRHFLSRQRTMKLSKFTPKGVKSQSPEDLMISLQNKIL -HNSMNLDFEREGKLYMRLGLTGMFNRCAVIPKHYLSVIKEQCSLQDVQVFLTSARFDHIKISYSYSPSDF -IEGEADIAIFEVPKTCHTFCDLRKYCATLKDWEIPLTSEGTIMNCRMLRSKQMTISPIKFFGIERELEVD -SDEGKFIANDVLSYNYSQPGACGSLVLRSRHQRPIVGMHFAGVSSMFQQPKGYSVLLSQEMFNDIIQDEV -ILTEENVELKPAEEAKKVLNDKVSVQSLGATEQSVFIPTKSKIIPSKVAQFLDPPKTLPGFLSGREEDYP -HKTSPLLLGCAKHGILTKNFPTHEIDEVSSALWNLKYSSLQPIISNPKKLTLKEAIAGFPSIPGYAQLHL -NTSMGYDWIFGSKTQKKDFIEVTRNEDGSVDEVLVDKRVVSELERVTDMRCKGIIPFVPYIDELKDERRK -IQKRLKEGSTRVFCMASVLSSIPSRQNFLHFSAAYTAGRINNLNHAVGISHDGPEWGALVRRLHEVSDNI -VTMDYSNFGPGYNAMVNAAGHDIIQTWTLKYVAGVNEAELAVLGEEHYNSKHIMGNLVYKQLSGGPSGDA -LTVVKNGLVNEMYVLLAWKHLMSDWCLNNDRNLYESFYELTRLIVYGDDLIMSVADEIKDLFNGVTIKNF -LAEYNITATDALKTGDDVPYTSIREASFLKCGFKPHPTLKREWLSTLDEISIEETAKWIHENPNYEEATR -QNCSDSLRNAYGHGKIFFEAWRNTLNEALGKAGIAPIFITWEELDKNFFSDNYEFMNSRGSSHA - ->YP_009337676.1 hypothetical protein [Hubei picorna-like virus 37] -MSNNNVSNNNIDSTRFGGNRARITFGSIKLSPSNPNRTIQATESCNPNNERCQKFISSFFSTFVQNAKII -PFDNFEYNQFDSWFNNLHLPFQEFTLIRRSGAAKGVSSRYRMVLSPGGSSRRICLTSTIEGSKPRQAWNL -LCQLFDVLTRVEYQADSEVAKPVETKSEVDSAGMTEIATADGSDEGISVMPDPEILKDLSNTEMTFEFPS -ATDNYRLLEQFKIDTNKARNSLNKVYKLPGALFTQRPNGVQCASNTMPFQNFAYSDCDIEFKILCNGARM -ATGMLIAASYPDPYDGFGELSCDCFSMVQRSDHIKISMSKNNEGTITIQNNYRRTFARNYAADNANKGVS -TQQFSALQLEIWSPYTVAAGQPTTCQIQVMYRFKRCTFAAAAYGLEINQQAPPIENQLVTLATTLMPAVK -PVERLLKRTGIISNQDKPYGGPTTRIIPQPRLNFSAGVGISDSIPLTLDHSTTVTQLREHLKPTDPKTML -EIARIPGVERVFTWQTSDASGANLLSWPIFPTACFVSDSKIDTVPTPLKYVTSCYQMWRGTIKAKLLVVS -NDFHTGTLQVEASFNRIPSDVCGLSSTYTKTFQLGEIKEFEFDIPYIYDTPWRRTNRQVDDAILRTDYTN -GADDPKNWSRIGAATVISGYPTALAERTKAYLHVTVVNPLNPIAQAPSKVEVFLFLSAGKDYNLHSLMPS -QFHTAYRDEDFNVTPWFGRFPNMGFMRDDSRSDDPIYYISSVTSHSTDKTESLLPTMSDMNGYAVRADEG -LAKVTEGVDKTKKKVYFQGPLGPARMMFHTTDSQHRIKDIMRRSIKVYSGATLPLKGSTKVDGVEGDCIQ -SFWFPCHVPNHFDGLHPLSRAQVSPHAAIASLFRHWRGSMRWSIFFHSNTSVPIYVSYVPNTGVRYLGPH -RQIYQINKLNETAVEGSQGHFRQPLMLCETGLATEPVICSVNPSCSISSVFDVVNNRNVVSKRLRTQSNV -KLLMGREEISDIAGHIVIQTEAPVTNFDIFWSIGDDFELSSFVGCPRYKQYVAPPHGDDFQVLAPKVGRN -NVNKYELADIRGLSTRPGLTGSTVSNRTETVHAGFRHERASSRVKRETTPTVEYQMEKALLATGAATTIG -IGSIIGTKCVSSVNEISRSSQLFLSKAGVTIDKIHDIAHQVGISVSHLMESLTSAFENAKKSIGSVFSWG -ESTYSFLVNFFIDLFLLIKDFSPLNLALHTVKLINQLFPAATTFVMSKVETLKNIIASYLGTGPAQQQSG -PGSESTAGESLLGVFVFLLGSALNIKYDTSKKFVDLKSATLNRFTSVQGLLYFPAALSFAKHLFSTIKSA -LSWLYNNTTDNYGTMLANHSKEISDFVQDVDLITNPLNLKLIQTPDMRTKLWSCYVRASQLSAQIVKMKD -SRGAVHLTRYIDRLRKFAEERSVLGTCCPVRREPLVLCIEGPSNIGKSFISHQILLELLREAGAPIEGNP -IYTRTPGLAHWDGYSGQPAIVYDDWMNLAEPKSATDQLAELFMLKSTSDCILPMANLSEKGLKANPYLVM -LLTNNAFPCSVVNSIAQTEEAVFRRRDFLIKARKTRTYECTPTREIPKEILEKFEHLEFSYSDPQNKEVI -NDAATWMNYPTFLEDLKKKSNAYMENERQNVQGRLNKLKEFSSQTTLLDDPTEVLNFGLFYNELSKLAEE -SGNASQYLSDRLEEALKLVTQRKVLHQAPPTPEPLPSIPEDQEPQPSTSTAGLPPASPPCPDGLEHRPGF -IGQMLRRFPLLSSFFERTGVPHFHNVTTKGETMGGEFGDDYCSHCKQSKYIYFGPSTHLVCADCKAFDDS -TRHGNTRVLPSMACALCEAPSIFSRVSYHTLSLLNKGIEGVKNFKIYAQNTWNKMHTVEKALLIYFVCIF -GMSAGLVALCPPPEDYMPMYSSKQMEQIVSMMQSQGKGPFFQISPEWRFNESAFEEIMLQRGVRVTRECH -HKDVFCVSDPAVEYYHPACDTVGFDQSEDVGFWKDENDESLSLSPCGDDCWLLTDIGKAKYLQFAAHYHE -YTTQIYQAKFERLTAQSLATREIINFSAYIPPFSLPKGHRDRYLKLRTQPKKSYPWLRNFIQSLKDKIPQ -SVQTIMKYLALGCTVVGLLAGAYQLTNWISGTSEQIMSSGSYQVRHFLKNKATIKTSTIKPPTAKPQSDE -VLQESLRNKIQVNSMNVIILKDNMTIQSLGYTGIMNRVAIMPKHYLKAIRKYHEEGCTFKLKSARFNTSA -DYKFSESDFIEGESDIAIFMNPKTVHCFANIVKFMAKESDHQTPLTNKGYMLKCKTLRSDFLTVQSLTFK -GITPSLEVNGEGGVTEGAVDSLTYDFSQAGACGSLVMRYNHTRPILAMHFAGTSSANPIARGYGVLLTQE -TFADVLNLNQALSEEEKELKPAHAARNLLPEFVEVQSLGALEQKVHIPTKTKILPSKIQALLPPPETLPG -YLTKHEPGYKHELSPLVAGCAKHGQLTQNFSTDEIDEVANALWTLKYMSLEPVVESPKKLSVKEAIVGFQ -IPGYTPLHLNTSMGYDWVFSSQTQKKSYIVVDRNTEGEVTSVQIHKRLYEELARVEALRKNNIIPFLPYV -DELKDERRALAKREKLGSTRVFCMASVLSSIPNRQNFLHFAAAYTNARIHRLNHAVGISTDGPEWGDLAH -HLLSLSNNIVTLDYSNFGPGYNAMVNAAGHDIIQNWTKLNVEGVDDNEMTVLGEEHYNSLHVMGDLVYRQ -LSGGPSGDPLTVVKNGLVNELYMLLAWKHLMSEYCLNNCLSLYESFFNLTRLIVYGDDLIMSVAEEIKEL -FNGVTIKQFFAKYGITATDALKSGADIPYTTINEASFLKCGFVPHPSRDNQWLAPLEKRSIFETAKWIHS -SPNEDEATRQVVEASILNAFGHGKEFYESWRSELNQALAKVNIDPVFRTWEEVDKTFFE - ->YP_009337666.1 hypothetical protein [Hubei picorna-like virus 35] -MASFQAKISQSSASYPATAVMRNYLNSSTLYATRTAEWGYKGEWSIQLDLYTTDTNPKIRCFSTMGRGVK -NKVLEDCYLQVAKWLDLKIEEEKLKTQGFQDNQEVDVPGLIVQGLDSGDQVQEDLNVIKPEEVASSSVAE -NVIITGAADTGVVPIDVPKINSLLSWSSTEKYYEFMSNSSGVMNRWFPLDSVKVTTADGPTVNGGLKQMW -RIPGEIFCNAFDSINVLPVRGFVLGRYNLEFKITLQANPFQACCVMLSQCPNDYGLVQYSSVDSVSMNNG -LDPSDTNLVFGGNSSYNDFPVAIQRPHVLIDVSSGGEATMQFSQKYHKTLIRNFDYSFVPQVNPGIQGSY -FGSLSLHVISPLRVGVGSQPHFNVRIFYRFIDAQLTGMSSTAVQQVPPQLVKRKFLPFEKRREAQKLIEE -SIVKRRNRQLGDRTDYHQQAIDTIMSWSTQGPVMSVIGTARGVVDVADKALHVVEDFGVLNRKGFNVKNR -DKPNDTVHVVKVIPRPRTNFMNGEGIDDALVLGLSWVELTQFFEVFDNEPKSIKDFISKAGFLGTFVWSS -NHGANADLFFWNAHPTIAANPQFKTLSGNYLSSPLGVAASFYTNYFGTMELYFQFVKTQYHKGSVEVAIH -FGRNIEQSGLSSSYVKILNVQECNGFSVTVPYIYDTAVRTIAGTSTPMVIPPLYNEGMYQFAQTAKVNVK -VVNELVAPESVYPSIDVLVWVKGGQDFGLNFPRACNTVTQVEKQGIAVLPQETRKIFSSPAPPPQYYYSD -NGVAKVTKMEGWFTQGDDVTPDFNQPLYEGNRNTNIEHLNFKTLLKMPVKILNNFVVEPTTNQDIIVIDG -EVPGVKKTFEVKNYLKLPVTIINSTLLTYLNNSAYNRTNKFGGTNFPLNQSIHNQIAQMFGMFRGSVVYT -IVLKSGINANVAYLPHDYVLRSLVGPLTSDGNLFEIGNLKQSAFPSWNLGDTQLSTDIGSCLPYTTFMNS -RVNPSEKVIIPMSSPNNWLLLNRRIPDSNIPSWGIKTLRESLEWFNGHLVIWSTETIAVDVYVNCGDDFE -LGGFIGHPGLANPYSMLALQDNWRTQGLEDFRLKELTQTGWNSFKKLVVGSVGSLAGGIITNTLIGMQQP -TLAALTGAIAMYSLMGGVEQVQRIRSLMHDGTQQVENINKIAEDVCEVGVTAIVNILQNAFPFLLNVNTV -GKTVWVVAQHIVHSVLSNNWQNVAFGFFCVLLETKIFSIHDWAMLKDKLVDLITVVCPGSQSFVTQSDGL -SELSEAVFQVITTMICSKLQIQGTGGLKWYFKEMFEWQNYKNVNGLNSILQFSKSFFRAVSNIFSWLFSK -ADPNVSLMKALSSQDKELTEFLEESNLYLNNFNDNDFKRRDLRIRFLSTIMRAFKLRLVLLRIANPRLTG -QLLQHCNKVIEKAQKQRYLFKCDVIKTEPFVLCIEGGTNVGKSFAVTDIVSSMLSSINYKGTSEDVLFTV -AAGVDYWNGYSDQPAIVYDDWCNLRDEQTMRQHISQLYQLKTSAPFNIPRAELENKEQIASPQIVVLSTN -VPFPTSAIFNCPEAVWRRRDMLVKVSLRDGKTIKDFTEEELHRFEHIQIQVYKNVSDPASITQETISYQE -FLLKLNEQFKEFHFRELRNKQFKYDILSKNIVKTKVDNLNLSNPFELLDQLTLESDELLSSEMLTKEVER -LMQLIHETVELSTQVPSDSEVFVPQGLGDFKRIVVTRAKDIKKLALEYFDKTRRALMLCEHCHGSASKYG -TAFWCEEHNHYLCMHCGCLAGVITVPKEDKFGDITYKKVGDDLKTVVMNMFSCYLHGSILVVRQEPLIKA -FLAEMVAHKIQSFLDLWAAAKIWTGGQLTTMRSFYGFLKCVEAYVTMTWGKIFRNMVAKMACQEGIDINV -QTNSYLKHSKWEVDMVDYEEIFSKIQTSSKTRYVCPHSLLSNPYTYCEGMFCVTTDDSSHLIPDKLCKVG -KCALLNLAFLERVKLKFEVSPEYLEGYIMKRVPIISRYFPRFMWPLNFEKLIDQKSFVSKLFEKNWWEYY -ISPFGEKITSWVKIVCPLLLGAGILWASVKLYKHVWSIIGKIFGINLLNQGLEQSSGEKSRRSKGRQRGR -KSTPYNTQSVSENFDNKLNKIASNYIIVKCGKSMFIAWGLYNSIFLMPKHLVPKLDRDSYVQLCFVARTG -DFVVTRDNLRVTEYDNKDLARVEVVGQKILFKDCSKFLQDRKKFLLDNITKGVFMDVDIADEAIYDLDVQ -LLSLAHNSRATDSSGCNYLTDLGVMYNYQRTGACGSLVCIDSVNPVLSMHISGADSLHKGIGVILFQDDY -LIQGAPEVDDTGDDTPYFGDDCNIVYEGAVDKALVPFVPKKTQIVPSLISNIICDYPPQTQPAILDKHDP -RYEHSFSPLYHGVVKNGKPTVDFPTKLLDKAYLAIRDVMLGGELIRKPTVLSVKEAVLGFPQIDVEDPYL -DDESQYYGAIPLDTSVGWPYATQLYREKFGIKTTSKVGWINYIRDSGGFPVDCVIHDEILKDHEKNMKIR -QSGIPAINVFQDCLKDERRPLAKLQKVGGTRLFSMSNIEGTIALRRYTLDLTSHLRKNRLGNFIAVGINP -ESTEWTILANMLLNKGPNIFTTDFTNFGAGLNFYCGMKFVDLVVDFYKLKGDLVVDKPVIHALIVELMGS -RHIVQNLIYRTQAGSPSGAAITVEMNCFVHMLYIVIAWLIIGELCGKINSKGNLFAKDLYFQYSDLESYL -RTVNTRLSFTIDDFYANVVACVYGDDGIFSVTDEYREVFNAVTINLVLKEHGIGVTDASKSDEIVKYGTL -SEAIFLKRNFVPNELHGMMYAAKIQWRSVEECVRWIHKAPLTQEEATRENCEASLLLAWGHGREVYDKWL -DRLNIALIKVKLRPIVLTWEDVAKKYFSDIVISA - ->YP_009337665.1 hypothetical protein [Sanxia water strider virus 8] -MLDHEFNVHDDGGVGGIKSTTIVPECLGGSGYFSRKQSSRFHDKITCHKFLHEKVVLLASEACKEYDPCV -NYTSVFNSWLCTSQYEFTSYNCKHRPRDGNNPTSGYYTVTRRVQWTTGEGLVTVTSMHKAFSKWEAENFI -AWKILYHFWTIISDNSLECIQNYEMYPRVQIEDETEQGEPTQSSDKQQNTIITRDQDQAISEPLLSLDNV -GDVASSEPVHSFEALTGRWMPLKPVIVSTSALIGTGIATYYLPEVLYTDTKCAPNLNPFENFIYGRMDME -MRIVANANKFCCGKIIVSSKYDTYQADELCNGYQSALARNHVIIDLATNNEGLLSIPFRYHRPYVRLVSN -AANTSGVRPSKYATVAIQVLSPLQTGPSGTSEIALRAYYRFKKISFAGMSYRVKAQMFGVENVISAPTTR -ALKEVLIGAERAFDQLGKTPNQDKPGVFNGTIVIPRPRLNFPTGKGIGDVNPLVVNPHTLTNYQGILCPT -DEPKNFVELAQIWGVYKTFKWSNTNAVDTELISMTIDPTSRSYTSDFSGEPTPLEYASSGFAFWNGTIEL -RFDFVSNMFHTGTVQISAEFGRTSTAQDICESSSTYVKNFHLGEQKSVTFRVPYIYDTIMRRTTMGYYNP -YEQIGTSDVIKQNALSIAPISNTRVKVRVLNELRPAQSAPQSIDVLVFMRAGNNFTFHGLKGCCNVPTKG -IVNMNEFPASGYTPVLYQNKVITVPETVQEYSEDGKIKKRNQPAYTYLADHYDIPIADTNKWNERKAKRI -LYPTVQMDNGQKEDQDPTDNFQEGKSALVHVTTDGQMNFKDLLRRPTLLIWNQSVLVRKNGGFFIPLKPP -NRQMTVLGVGSPVRNANSIFVPTLAQTTAVNIMDMFRVWRGRMRYTIVVESGSAPIYVSLIPHSGVRRIG -NQSIYDSSQLVYPICGANFTTDIIIPTVNPTMTVEVPYDTENTWSLVGDEDSNRNYTWRDKGDYNSGHLC -LTSNEDCRVTVWWSAGDDFEIANFYGIPNVFNNGWAYRYTDDVKVQIGEEMVSQDFYAQEVVTKVTNFVE -NNKEAITTVGLASVPFFGPQLVLARTVDKVGTTLGKVDSAVENFNVASTSATALINKVSDRVEDTVDKMD -DVAQSIVGTSESVKSTAKKLDTLADVTNMSITSLHNLVANAVQTITSSVTGLVSGTALLYDMILDLIVAW -IEKSWTVVGVAIVRFTTKVCSINPLNSLLEWGTKIGRFIQSWVSDPIATVQIGQDYELEKSKTLWGLLIG -VVGSVLGVSLTGLPWKSIPAATFTRFTTTTGISYLVQILRFVSSTFIYIKEIVYSALGLVSVEAQALKML -SSSNVMISEFVKEAQVITSEVNGSFLNRPAYRNRVWKTILQAHQIQRLMTSVPVNAVSFQLVKLCQDVVK -FGNDKFMDLSASPVRYEPFVICIEGPPGIGKSHMTEDLVHNLLNKIGYQNVSSEAIYYRSAGDRFWSGYR -DQAVVVYDEWINTTDQTRNIDQVMEFMKLKSTSIFVPEMAHLEEKKVRGNPLLVIMLTNDGFPMLADYAK -CPEAVLRRRDVVLKCTRTAEYVDKNISTFTVEQSEALRERKQHLQFELYRDSRYKDSILNEKKTYIDTAD -YLVSAFQFHHARQKSEIKRKLQRILNMDNVSPNDIQLEDPFTLFYGLNQREAIAYEGESNVWTPYEQLEI -AIHTMSTALTEANGMNTDEDVPTTLPSWQVIQNNVVETQIEPLTGFLLAVATGPIMGYILKGTRQWLQKC -AMEAIDRDNLDRKVCQVCSQETGFWYECIGQSVPHYMCLTCFQRRMSVGDLRCDMCQTAQWQYYTSRRNF -HTLTLYTTLVVKGCRTMQWFVSQVEKLIGWKHCYPAVGYITDLLLATATNNMVGIASGVGVAVVNHALSH -LDLQAEQLRNIPRSQVGEEFHTPRTTMSWPLPSTSVSIPREMETQDNLTPKINESEFRKLLELHPTNICL -HDLLTNASLVDLTWGPEGWKVMDYEARKIVVVPLHLCVTCNFNADKYRRFLERYIQHHKFHLRSKYVDYY -NANFLESELDTIPTILYPEWAVVEVIPVCTQTWWEFLGSAWAKYKAIICYTTGVIGVIATIVCSFKYLQG -FTSDLESIAPESQYSGTVSSGEASPRHRRLEVRNRGGQKRYFQSEKDTPCVWDVVERYAVQNMMKFVLCN -GTTERVMYGTGLFNLVCLIPKHYVTAIQVAIPKGFWVECFPVMAPQLRQKLMVDERTFVISEGTDLAYVR -LPTKFPLFKDLRKFCMLESDTYSPMAATGLLVAGPRPGADFVREVDVEVYGIKAQQVVMDTDGSSFTIND -VMTYGYSQPGACGSLLLRRNHVRPIMSMHVAGVGEGLDGEGYGVLLTQEALSDLSAGVVVVQQEDVEYDT -IEKAKFVLTDDVHLRYLGSVSNELTPHVPTKSKLEKSAIFGECELAVQVEPAILSPQDPRYIHASSPLYE -GIKKHGVITQDFTIESITRAKERLWDGYLSKMRPIVAQPKRMTVEQAVVGFPGIDHYGPMDLKTSAGYPY -NLGPNKTKEDVIYPLRNEQLQLIGIQGCSEKLEQELKRKEALRRQGIIPQTLFIDTLKDEKRKTDKLMKL -GGTRVFCSSPVDYVIACRQNFMHFIAAFMAQRHNLMHAVGVNPTSSEWSRVATNLLSNGTKIGSIDYSNF -GPGFNSLVSEAAYDLMIRWTEMYVEGVNKEELTAIVWECIQSVHICNNTVYQQFAGSPSGAVFTTIVNTI -VNQLYLLVAWDALCGDYCRKNNKLVFPMWEKHVVLYTYGDDAIFSVSDEMAVLYNSVTIAAFFKQYKIVA -TDASKSEDILPYQSIKEATFLKRGFQPHPYRKNEWLSPLSLTSIKSSTQWVWKSGNVITATLVNCNAALL -QVHGHGPEFYQKFKNLINRALIKRRMTTVTMNWEEIDDLYYSTGLEFIVDDLINQF - ->YP_009337152.1 hypothetical protein [Hubei picorna-like virus 34] -MEKIQKILDKTSSSYPYTAAMRNFIATSSMYSIKHIEWQKNRAVCLCVVQLFERGGRFKSPVLCLEREGP -KKETFEALYLEVYKFLELLPFEVQGDVVVAEETTEGASKEENVIITAPEGPTGVASTIKMGHQLDLWSNS -EKYYDFTEGNAAVMNRWFPLDSVRVSTANSREKDGGLLKSWKIPEALIMGNFSTVNIMPMRCFMLGQLDL -EFKMVLQANPFQACCVMLGTIPNSYGLDPGTANITGIKCSSTGVNMKSYPYSYEKSSSFSYSDFQVAVQR -PNVMVDVNSGGEAILQVVQKYHKTLMRPMDFSFMNEVNPGFRGSMTSTLTLHLLSDLRTGSGSDTEFYIR -VFYRFTRSQLTAMTAAAGTDVGVVKTLPKMTSDGFSKYWLREQQYRANKQTMPVTELATYLVQGGVLGIA -RGAITVADTVVGAAEKIGTQGRKGFVTMNRDKPRESVGVVQTVPRPRRDFPNGTGLDDSLIMATNWDQVV -EFFERFDDEPKNYKDFVSIPGYHGSFKWSKTNKTGSQLYEWNVSPVFVGGDWSLDANKLRSPLAIASSSF -TNYWGTMEVMFQFVKTNFHRGALEISVQFGKTVKGSAMESSYVKVITVQENSAFSVTVPYIYDTVVKSIP -GTCAPMYLPNVTNPTSLQYYRSCFLTVRVLNELTCPNTVTSEIEVLMWIKGGQDFGLNIVRPVNTLSFVD -TPSSEMLLPPSNVRDIMASNAAPAQLLYNVENAGMERIPAAMYLVQGDDDFEQRPYVANRMHVQDHMDFK -NILKMPVKVLNHYKYLKETTLEYVSETKTVPYTMRNYFCMPVAPLSATLIHYLNSQHFNEKCDVLKNSNS -VYGGIPIQQSPQFLINSLFGMFRGSLTYTILVHTQKPVYVAYMPNDYSLRKLCAPLSDPPKFVSGVYTLK -ARDTAIGVGSDSDIDLAQIGLYNSIIVPQINPTEKIEIPYTHCVNWLLMNRRMTYKDRKTAGLQTLRENL -EWFNGHLVLWSDHDFEFDLYMSCADDLELGGFLGSRGVVNPWGSIASVTDNWRVQSDFSLAEVTSNGWNS -FKTCVGRFGMSGLCGVIGAVTMPLLRPIPLGAMAFFALGGYNEIVAFRNGLRKLDEQAQTKIVDRITTEG -AKLLLGVVREAFPLVNISAAGLDNLWAAAQHVIHVAMSRNWANAAFGFFCMMVKLEVFSLSDWVSLKDNF -VNLFRATGSEFVVQSEAGDAWGACIEVLATLFCGKMQLQMSGGMRQLLMEIFQWQNFKVVSGINSITQLI -RNLVKAINTIFSYLTEEKDPRIKLQKLLSAQGSEVADFVEEATHYLNFVNDSECRKKETRVRFLMVIIRA -YKLKAVLVELCNPQLTMQLMTLCNEVIKKATSQRYLFKCDLVKSEPFVLCVEGQSQLGKSFATYDLAVEG -LSEIGVKGNIDCVFTVSPGVKYWNGYMDQPVIWYDDWCNLTDDETMKDHLSQLYALKTSAPFNVPRAELE -NKEQIAAPNLVIMTTNNPFPRHVSMHCPQAVYRRRDMLVRFELREGLSSVNDASPSELERFEHLRVRVYS -DPTDPASVSNQLITYQEFVVLYRERMKLFHKRECENKEKKYKKLLQLVKTTELDRLDLGNPFEELERANE -EVLIKDLPSFVVEREVKRLHELLQNHLELLKIRVRDDEVFVAQVDDEFLEPREIQGEETNFQYLFPVGRV -YAEGESMPERVDPPVDETLSWWRKLLDKVYRKATAAGMICWRCGGEASVKGTSFWCAEHSHHVCFHCGTF -RTIPYAIERESREVFMDVICPLHNSSLYVRHHGIVRDLMSSLLNMICLKPMMLIAGFIQLCTNPELQQLY -RHLNILKSLIRTVILATPAAFLVQGDEIGVDGQYDGYITTNNYKVEDSWAPTAEQANKLRALFLKLDIAT -TSKETYVYVCPHSILGGGFLYREGNYYPIVTDDEELIIPDRLCKGKCVMTNQRWLAQTAKLFCVSERVMT -AYNEGKMDLIEKMIPRFGWTAAMVSGIKRRTLVRKIVRADWWENYVFPKCDAAWQFIKKVAPIVAICAAV -WGAAKGFNCIWEWLRGVMGFDCETPIWKDKTNGFRTNRKRGKTKTPFATQAMTENMSNKMNKIIANYIVI -AVGDKRMTAWGLKGSTFILPRHLATRIRRFTKFELYFLNSVSRSLVVLVEDCEFRDYSNRDLCAVTIKGV -PVLFKDCTSFLPTSGNQFTHAKGVVLAVDAYKRQVVEKDTRLVGIKSNFTARDGDEDVLSESVVMHTEQA -PGLCGSLVCVDSNSPILAMHIAGSGAQQKGVGIMIYREDFQVQSDIEEIEDTGECCTYYGDDCLLGYEAV -LPKDMIPYMSSVTSIRESMVAQDLPPTTMAPAFLSSIEGYPHDHSPLYYGVRKNGFPPRPFGLKNISRAL -RVVSNLILGGTLIRRPQVLTFNEAILGLPGQYVDDPYLREGDIIYYGPLPLDTSAGFPFTTPRYRKQYGR -GPKKSEWIQVQYEDSYPTGCVVHEEIVKLYEHQMELRRKGVVPANLFQDCLKDERRPIPKMMKEGGTRLF -SMSNVVGSIALRQYTLDLTSFMRRNRIGNGSAVGINPESMEWTVLAHTLLSMSPHIFTTDFTNFGAGLDY -GCGMAFVEVIKQFYELGGSRLTAQDSRIIDVMMQELMGSRHVVGGLVYATYCGSPSGAAITVEINSFVHM -MYVVISWILVTREVRSIVNDGVTYLDGLNEYLRTVVSTEELGVASRWMAIDTFRDSVIMVVYGDDGIFSV -RSDIRNVFNAQTINLALGAHGIGVTDASKASEIVPYTVLSEVSFLKRSFVPHPYAPSSIYMAKIAWETVE -ECAKWIHKNEMTPEEATYENCYASLQLAFGWGREKFEEWKATLNCALDRHKLRRLEIDWDDLCYTFYPTL -SVKEWS - ->YP_009337117.1 hypothetical protein [Hubei picorna-like virus 38] -MNQQHVPVYNNNINRERSNDSNGSRGQHQSDIRGMAFDKYHTSIKETFTKESNIIPRDVFSFGMFDRWLD -VVPIHEISFERYRRNDYRHRKLSYRLRFRVLPSTRDTIVYGVEGTKEDQAYQIIRQLVEPVSVQMDTDQT -DQGDKIVTDTTSFILPPSQTLNATIPSINLDSICDPSGPTRLPIATNKMEHFKTYDLTTDTKVGTLATIM -LPGDLHQFKSAVTTLNKNFAYSKPIMEFEISTTAVAQGCGALVVGSIPDPFQNLEDYHNHPWTTYQRNKA -LDPNGNGGVLQLNGPKTQIIRIDNPFRNNFVRNATNAKGHVGVMRGQMSALLIQVLDVYKVGLNVPKSIK -VTVRYRFVDNPMYALTYTQGLITEVAEIVVPEIAAAEKLLKRAGIIVDEDKPYMDKVIINRPLAINHFSA -SVGISNATPLAMDPLSTTTLLPKTEMPFETTMDIARIDGIDTKLAWKKDDKQWTILMDKAVNPSIIDKKE -LEVDFVPTPLCAMANCYNYWTGEIIYTFTILTSEQHTGALMATVQYATPDETTEALTASHTTTFALSSGQ -NSFEVPIEYKYDTMMRRNNIVFDYPLARNNGVVDVVPKHTVSQLASKLYTTLTPLGLFARSRTRLTLSVA -EPLLGSELLSSAVSILVSCRAGSNFKFHELVASQLIHPYSYKIPDGKMDYYTYGKFPSMALNKYSSLQDP -VISATGHIDLSNIGTNESTEGMSIPATIRMNKSVPATNTKTAVAKFIVQGEGLEVKNTNDEVTIKNILRS -KVRVASITVPPLIHKAVKQDSGTYGREEDTLMRLNAIGRPCNIYGDIRQWFILPVFPLNQRDMRAPFNTT -CAAPSALLGTVHRHFSGDIRVTVNVKTATDKPVYISKLPNGGFNFAGIHRVYLNVKGMESHADESWVKCG -TLTDMLTPIDAGYRTTVITQKINPWGEITFMDSNNLNRLVTGRRVRDTDDILLVPRNETDNILGHLVICC -EDEVDVDIFYEYGDRAELSSFMGFPSYKQVLVKLPSDDFLQSYVHANRAYKVGFQIEQVSDTTKLVPHIV -TQDTKSGDVKQVNNTKVNSPEVFDSYTLPKSGNPWLLAQYNYRIKGLESTELGKKKIEHARNEFNASIPH -LNVPNIPEFKLLPQPLYFFTSSFDVPESSLPFYADTYLEVGFANMALLPVFPFKGDRRKLEDLKEYLETH -RLNVKLILSMAKDETPDYMWDVVDNKMKALNLPNFNCKRLYRLFMSSNIEPLFWGLINLKISEIQMESAA -MAVGTVAGVAAGSYLIRKSTSVASKIETAAEGVSGLMGKLNQSLETAGIYATEARNGICHQIAKLGDTLN -GVGEHFTFKHIVSMIIDTILLVKNFDYTNMALICMRWLVEFLPLNYDSLLHYKDKLIAIFKQLFPKIGIQ -GPNSTEQQSGLVSTTESFAGLILGIVGTAFGVKHLIKQNKVRDYKEGLLTRLTDVRGLSYFTTAMNFVSM -LFKTADSALNYIFGLVKPEVAIKKYFATHSTEIAEFIDNVEICTNPLNRRRLKEKKFRLLLWTTTIQANN -IKKRIAKINPNASTSILLNWCNQMIKFTEENYSALSCSPICYEPFVICLEGPPGIGKSTIQRQMALNLLS -AAGYSTGGCDPTYVRCPGLKHWDDFKDEPCVGYDDWLNLSDSESIKEQVSELYQLKSHANFLPSQANIND -KGRPAQPKLVTLLTNNAFPESSLNTITAHKDAVYRRRDVVVRCGLAKPGTTMADYTMEEKRKYNHLIFQV -VDRFTGKEVEPLMNWELMNAYLCEKFVSYDKLEKLYLIDQIKMLSGSETELALTDPTDFIINYMEQKIES -DLTTPDLYPSHILEQELKRIMEDLKVQPLEGAVTEGLTDLWDSILAFFSTTDEDIHCSHCGKKELVLYEK -DKHRLCVSCRNDKSTCYKCLNQQGGHKVSEWTLRGLFTMMTNCYSEFKAFIVNNPQLIMGLIVSITTQSI -TMAASRKITNSLVQGEPTPLMEEMLKYINIENPGNKVECLHTTENFKRDDFIYNDGFWNALNPSTKTLIA -MPYSKCKPNCNLNEKDMLDKYADFMERNFQSGELRSNYLLQQIMDNCRNPDDYENYQLVTPPHKRDHSYR -MLCPDIYEVENKPSTSFNIDDFMVEEDPMWKKIFKYLSYGVMAVTTIWASLKLINYFVTPKSQIESSGSL -QTRHFLKNKRTIIKSAKTFTQNKEEFKEALDQSIANNYFSIKMWDGDVLMQYMIGVGIHDRVAILPKHYY -YTLRDKVEVCTNITLGSRKNTIEVDYQFDEKDFQLADSADICKWTLPKTMPTFKDIRKFIVKEKDLAAFT -TQAYILEGPNRKNNHVTEHNIKILGIAKEELINSITESFVATDSLKYTFSKNGACGSLVLLKDTTRPIIA -MHFAGRADWGVFSDPIGFGVLLTRELFTDTESISDEITTLKNPEHAKLLFPIEVQVEHCGVVEKPIFNPT -KSSILPSKIQKYLPTPLTLPCYLSKKDKGYPHDDSPLFAGCKKHGILTNNFKTSEVEEVTQALWDMHYSH -LQPIISEPKKLTIGEAIKGFPVPGYEPIHLNTSMGFPFQGKTLKSDYMDIQRDEHGEVIKVLVEKDVLDR -LEEVSKLREKKIRPFLPYIDATKDERKKILKREKHGATRIFCMSSIYSTIPNRQNFLHFSAAYTANRFKL -QHAVGVSRNGPEWSRLVGYLAEVSLENIVTLDYSNFGPGYNAMVNAAGHEIITRWTKKYVKGVNGNEMEV -LGEEHYNSMHIMGELVYKQYSGGPSGDALTVVKNGLVNELYILLAWKNIMKDEARKRQIPIYEMFKQNVR -LVVYGDDLIMSVSDSIKDLFNGVTIQHYFKTKKIVATDALKSGNQIPYTSILEATFLKSGFKPHPARKGM -WLAPIDEDTIEETPKWIRSCPDTEAATYQNAEAALRDSFGLGEDKFNQIKNKLNDALIQANLPTILLTWE -ELDNMFFN - ->YP_009337088.1 hypothetical protein [Hubei mosquito virus 1] -MSQFVPRAQDVEANGAEARTYATKSECMDFLYKSLLRYDAAFDETYRDGTSYTLVLNSWYNATAYMSSSC -NTTFTARRGGEPAHARCVRALKWSTLQGLVVIKSDIISSTVKAATNESAWKMLYNFRHLYYPESKPGKTC -PCVRYPKVQMDVESDVATNTTIVHDGPDVMAVPEHVSTSLDRFATSEGVHEFKDLTDRWVYLTKAVFSTT -DTIGKEIVSFVLPEFLYSALANNPALAPFANYAYGNFDFSVRVVVPGNSTFAGFYILDVKHDSYQYKRGA -SGYQAGLTRRHVEMDLSTNNDVVLECPYIHHRPFIRLVNSSGASKGVRPAESCFLTLSCISPLAVGENGP -TSLTGSVYIKIDRAHFAGLTTFATVQMLGVGQILAGAASGALRQILGNYERAYDIRGPARNRDKPGDTQA -TVAVPHVAMNFGTGKGPINVVSLRVNPYTQTNYEHISIPKNDPTNYHDLARIWGYYKLTGWNQDQQPGTI -LLSNVIDPSCRNHTDTDRKNRYLGEPTPLEYAMTNFQFWSGTIEFKLRFVANEGHSGEVIMTTEIHRRVP -GDLPPSVCTAYTKSYPLSAGNVVEYTVPYIYDTLMRRSTAALAPYVYSKDFIDTETARGLSVAPESKTRF -TVRVSTPLRCSPVVSKNVPIIVYMRAGPDFVMHGIKPASMRHYWEPSAVAPADNFPQNYPKLTHGDALNI -GKINTMRTAKAIKPLTRPEIVRLGKATTRPWNDMPRVQMDSVHQDSSYVFSPGMVNTQVQTLDCHMDFKD -RLRMPCLIVSKTFTHDKTDIQGFFIPLMPPNRSMIEDPLKHTTNSIFATSVQHSAHYNICNMFRMWRGSM -RYTILVHDPVPISVSLIPHGGARVLGDHQLVVPNETTGGTTADVPGEGLGFVTEIMHPTVNPMVTFEVPY -DTENAWTLMQEDNPGLSFSWRDKGDYNAGHIMITCRQSVTFTVWWSAGDDFQLANFYGVPYCVDDGPVNT -VTDENGVTKPPALVGTIKFPGFQVEDARTNVRSIPRTQMDMITSAITTAVGGVNKKSFARMALGAIPVVG -TGLVAASVANEIAPQTAKTLASCGALSDKVASIVPDIRQTTSSLDVVASRMATGIEEIQILIREAVEKVT -STMVGAINYSRIFFDLFLDIINAWVSKSWTVVGVTLIRFLSNFFDTAKLISLSAYGPLLGAHFESINSSV -PTTQGGPSEASTLAGIIAGIVGTLLGVYIDPRSIGKFKMSTLERITSTSGVSYLVSILRYVQSTFELVKE -YVMQALGYVSPDAYALKMLSENSEQIANFVREAQIITSEASSHLMANGRFRLRCWKTVLSAYQYQRLLCS -IPSGVGNAQLAKLCTDVIKVANEKFADLSASPVRYEPYVLCIEGPPGVGKSSACEEIVVRLLRSIGYTCP -SSASIYYRTAGEKFWSGYNGQPVIVYDEFLNSQDAQRCNDMIIELNKLKSTSLFIPEMAHLEEKKMRGNP -LIVITLCNGAFPNITNYAKYPNAIYRRRDEVLLADRSPEYANVDLREVEPSRLDNYEHLQFRRYENVMDS -QSLGPTAKTFVEVMAYLENSFQRYHATEVRNVQKRMDRILSTLSTEDAREIQLNDPFTLFYRLNTVVRED -PELSQNAYTPYEELELAVHNIVNSVALAPAPQNPVAPDKMPWEIPQPQSSDFIFGAVLGTGAWNLITRYA -SSALLAIADYFAVSSVVKKGQCAVCLEEREIAFTCRQSAQCENPHLMCYTCWRTLTLTDNRCPTCRSVDI -IPYVELETANMFYSCARLVANGIRDVSWFMAKVLDHMGTRSMELTAVVVYYLVSTITNDNSAYTRFITGH -LMGVGYTYYPTHFQADFHDAQESLDAMEPKVNIPLLEEYMNACNEVPVCLHGLLSNPRVSPIIVGEMWRV -PNEHTSTMVDVPMRACSDEYCYARHPQYGQFVERYMEANKRVLRTLIINYINDPNESNANMVPHLYRPVW -MVAPSGSGVGPSWWEYLTGIFSKHATLIAGLVGASTVAYTIYKLLKVAESVVTPQYDDYESDRPFSTARR -RFDRQRQVRYFQGQDENPTPFAVAKARIANNTFKICVQKGDNKEVVMYGVGLYNHNLLLPRHYVVELKKA -MKAGLSIYGHPLHKPQLRALLALSEADIKESDYTDIALIELQPSQPLFKDIRSFIALDEDLKGPLPATAI -LFSVPERGSDYMTLINVDMEEYIDKHIVADQDNKYFEMKDCLSYTYSRPGACGSLLLRENSNRPILAMHV -AGMGESFNGTGYGVILTRESLTPTTQMGVALDKEATEYGSITNATMVYDLDVRLDYSGSLPEGKVPYLPQ -SSRLVKSLVHGASGLTTDLQPAVLHKKDRRYEHDTTPLFEGVRKHGLLTIDFKSHDIASVKEALWDGWYG -QLRPLVMAPKRLDVHKAITGLGLDYYKPMDLNTSMGYPYVLTNKTAKSDYIHYKRAEDLSIIGIEWIDPA -FLSEISRKEKLRKNGIIPDTLYIDTLKDEKRPLRKIQSKGGTRVICNPSADYTIACRQSYLHFVAAFMCH -RRNLMHAVGCNALSREWTDMLKKLVKVNTKFITIDYSNFGPGYNAGVAKAAYDIMIAWTEQNVGDVDPVE -LHALVYECIQSKHICHNTVYNQLGGSPSGAFFTTVVNSIVNQLYIMLAWKHLVGGDNWAQTFKEKVALIV -YGDDAILTVDDKYIDKFNGVTIHAFFAEHDIVSTSAEKTATIREWVPLSEATFLKRSFTPHPSRDDCFLS -PLAESSILSTTQWVWKSNSIKEATRINCEAALLQAHGHGPQYFNNLKSTINRALMKARVSPITRQWNDID -NVFFGDGINMDGMLGLWDTEHVVVSDDVTPLLT - ->YP_009336629.1 hypothetical protein [Hubei arthropod virus 1] -MMASTEALFRGDVGPCKPGTNSGENIQPRNDKAIKPQWLFCYGTHAAAAGTAVIAHTDDHYAYNVLVKKP -NVSYAARRTVFDATTDYTSEYNNWFSRHRMVSETTSQRQTLHDGLRIWEHERRMSTPGGTITAYGIGRSL -KDAKNASDCAMLVLLRPKTQMDGDGPKESVQGLATQESDKASNTIVTRDEAQTTSETIAEDKATAYASSE -RITTMEQLTGRWMPLKSVEVRTDGQSRDTILASYYLPETLFTQMGSAPNLLPFETFIYGKYDIEMKFVVN -ANKFQCGKLVAALKWDSYQADEIQTGSLTALQRPHVMLDLATNVEGVLRVPFRYHRAFVRNIKNDSASVG -VRPSKFATVDLIVISPLKTGKDGQTNAFVRPFVRLTKAEFAGMSYRVAVQMDTLVPLLKEALPTREVREV -LGVAEKLLKTIGETPNRDKPTVVGAQVFVPHPRLNFGTGKGLVDANPLRTNPYAMTSYGVTKPFEDDPKT -TLDIARIWGLRSVFTWQSTAAPGTTIAQFTVDPSVRSYDASYTGASTPLEYVCGLYQFWAGTIEIRLDFV -SNAFHTGALMLAAEFGRPSDKTTESEAQTASTYTKTFHLGDQKSVHFTVPYIYDTVWRRCNNAVFTPMFD -GTTPTDDAKKNALSIRADSKTTFRVRVVNDLRPVQTAPQDIEVLVFWRASPNFMVHSLKQSAFRPTREYT -GKAPPMDNFPANYPAVQPSTRSKRAISDYNEWNEKTVEARMRESARTQADTGDKEDTDPTDDFSVGKFNL -ALQTTDSQVSIKDILRRPVLMFERVTVKGYGSSSSPNSAFFIPLMPPSREMQYHSSVSSPVYSQLIGMTP -QAAIMNLFRFWRGTMRYTIIVEGASQILYVTHIPHSGVRITGNLAIGDKTSSTRRPIFGSGLATDILVPS -INPTMVYEAPYDTENDWTLTMEEDAQRNYSWRDKGDGNAGHIALSSHDDLEVSVWWSAGDDFEVANFYGV -PQSTSDSNTYLFNDTHARVQMDFITSNSAAIRDTILALTPSPIAALGTAYATYRGRNTLNAVDDTLRQGS -RVLENLGNVVTRAENVLDSVTSQIHTAVATIINSLNVLPSIKTIIENSLFDLFAAYIDRSWTVVGMGICR -VFYQVMGGTTAIMSFASQLATVIRRLFENTTMTQADDSHTYAGLLCALVGSALSVTLEASTVTQWAQEFG -KLFLSSKGLSYMNNVLRFVATTFSCFKDLIMKALGYVDPEVAAVKALAENSTIINNFVQEAQICLNEANM -SMLQTPAFRLRYWRTTMQAYQIQRSLVLAGRNVASAPLSRMCSDVIKAATERFVDLSCSPARYEPFVLCI -EGDPGIGKSYITEKIVKALLDKIGFTSMRSGRTYTRAPGSKFWSGYRDQPAIIYDDWMNLRSSTLIEQTV -SELYQLKSTTEFRPEMASIEEKRISANPVLVVLLCNGAFPSALDSGVASYPQAVLRRRDLVVRARLTKEF -EERRAGAQSIRNCLTEEESLTMSHLEFDVARNATDKDSFTRNYKNCTEFVPWLAEYFEAYHRREQVNVKQ -RLQQVMDCFADNGGITQDPFELLYSAVPCMEYPNQNAYLPSEQLELAVRSLCATVENHMLPHTASENPVT -QVGYGALLVAALKGVVLTPSVVLSVASKSWTLIAQSLKNFTDANSVLEVGTCSVCFETDKELGLSCVNHT -TDAPHSICTDCLTRSREHDIQMHRCPVCRSTRFGPAVNSNGSIFMDIAIWLVKNVDGRLQDMVALMTRVL -DVIPTKIINWINLLANITRYIFNPQDGYVYAFMSTAASEIADSLLRPDQDVWEQARALTQVDSPPFQTYE -VPQSSLAREDVADIIEIPIFREDLWDTYKAPMRTTCQHEQLFVLPDIVAYEYDPEADESFFKLVGPSARA -EYIYVPDGACCSTCPFGDIERVKSFMYTWRRRNIATLRRNITTAHNALGERRLAIKRKIPKLLRPTWLEA -VPITVVPLTWWEYLSDVYAKHKLIINVCCGIVTATTALIGLRKLWSAWETPAIQGTDPNYSHEARQMRRI -VQPRRIQPTRIQPSTQSESLDEIVTERIIRNYVIAKCYNEEGGIVGQAVCVGIAGKVAIMPRHYVRLFGS -SDTKRITIEPALFVNGSVNHLRQDYTFDQADFTEMSNTDLAFFNLPNTYPSFRDIRNFFQTNDDAALPYP -NEGNLVLVPTRLRSALMIKSVDIIDFVPRMKFEDSDTSTFWATDLLEYNHSEGGACGSILLTPNSQRPIR -SMHVAGTSAGTGYGVLVTQELLAELPGERVMLQYEVVERENIADRHDAMVFDHETRVDYLGALPKNMVPF -SPDKTKIRPSLVAPYLEDATTAPAILSHKDKRYTHEKSPLYYGAQKHGKTTTDFTTTQVIAAQEAVWDTL -INPMRPAVVKPKRLNIRDAIVGYNTVDYYEGIKLDTSSGFPWGKKADDSTKRAWITVDRDEHGEVTKCEV -HPELQAELERKEALRKQGIVPETIFTDTLKDERKKLTKIPKQGSTRVFCACPVDYTIAMRQNYLHFCAAF -MKARLNVNSAVGINAKGPEWTALYRKLTKVSPLNIVTMDYSNFGPAFNAKVSASAVELMVRWTLKNVEDV -NELELRAILQECTNSVHAAGATVYRQFAGSPSGAPITTIINTLVNLLYLHISWEALAHQTALSEHPDIYD -VFRKNVALVCYGDDFIASVSDKYKQIFNTNTIRNFLAQYKIAATSADKDLIDVPDFVPISHASFLKRTFR -CHDTRSELVLGPLDSEALNEIPKWIWQCADKKTATRINVNSALMEAHAYGPKFFETYKQKLNDALARAKI -DPVSPTWKTLDDMWFENSLTDNY - ->YP_009336612.1 hypothetical protein [Hubei picorna-like virus 39] -MFKQDLQSEENGIKPYVCVRNGGSRACCRFYPFSYREYTTFEYKFPFNPEDEKEDEEVVSTNYFASLAEF -VLGISYYCVLYQLLNISSWSKIYSGYAECTGKEKCYRLVVASEKGVLEAEVTGYHRRTIKQFANYMAVVV -LKEYLTEDQVQQMLIYYTDLLSYDDNICEVQAENSHPQESVQGEEVLTGTKHSNTILTNSTGNTESIPLA -IKDDTLSYASSEDLHQFDSLTERWMPLETITITTSQTSGTLLKEWYLPYDLLKSHIINPSLAPFMLFRYG -ALSIEMKFVVNAHKFQSGKALASIKYDPVGLTDFGDLLPTCLQREHVMLDLSTNNQGTLQIPFIYHRSFL -HLNLQQDTEQTIVPSTYARVQLHILAKLLTGANQAVNMNIRPYYRFSKASFAGMEAVHTVQMDVDAVVKG -MIPTKSLKAVLVGAEAFIDQLGKTRNQDKPTVTSSTQVIPKPRNQFASGKGIFGGTVLRLNPQVITSAVE -VKQSTRTPRTVLEIAKVWGLKKIMAWTTTMKPDDHIDDIIVDLHHNFKSSNSRIESNVLTPVEYIASLYG -FWSGTLECRLDFISNQFHTGAIMVSIQVSNQGTVFQEAACVYTKIFHLGDQKSVTFTIPYIYDTIWRRNT -AQIYTPYTFEQDNRLPVDHIFTLGTNDFMRIQFYVVNELRAPDTVANVVQMLAYIRAGPSFMVHSLKPSH -LEVTKDVALFRDMPMFNVPALAPTAYITTSAEKRVTLTKELTLDHTKIKFQMEGSLAENPDDTPDFNAGL -NALHIQTLDSQVNIKDILRRPIQLTKAISFNKTEVTNHVSLFIPLMVPSHNMVYSDSYETIYADGVTLTP -TAMLMNLFRFWRGSMRFTFVVEDSLSKNYTHWITHIPHSGVRKIGKIEFPKGPSLVGSSFASVPLVANVN -ATECVEVPYDTELNWTLCHSARNNEILSVRDQTDTNAGHIVFTPSCTCDVTVWWEAGDDFEYESFLGVPS -TITRDRLHGVYETEIKFQAEASLYSKTLAKVNTIMNLPDQIADTLTNANNVGDAIISSSTKAEKLLVKGL -EICDNASAMLENISPLIESLEEKIRESLKSFPGSIYDSTLFIQNGVEIIMDLVVAWLSKSWAVLGNIFVK -AIAKLLGFSAVQTVLKYGTQIAAAIRNLVDPQIVVQAPSQTVTLLGVLCGLVGTVVGVSLEAQNYSRFIY -KLSERFVTTGGIAYLNQVLRFVQSTFEVVRDLVMDALGYADPNVKALQMLSKDTGVISTFVKEANTILNE -ANASLLSDPGFRKRFWYTVSQAYQIQSILAVSPANVVSPIVTRLCTDVIKASSEKFMDLSCSPCRYEPFV -ICIEGEPGIGKSFMTETMVSELLGSIGFDRPSSGLIYTRPPGARFWSGYKNQPVVVYDDWMNLNDSDQIL -SQLSELYQMKSTSDFIPEMAHLEEKKIKANPLIVVLLCNGAFPSCIGQKAIYPDAIFRRRDLVLRASLKE -EWIGKDLRDLTEKESMDCGHLLFQRYASAKVEGSLSTVQKTWSEVKPWLCATYKRYHQQETILVRKRIKK -FQTQMRLNSENYLDYSDPFSLFYTSTIDIMEDTECNPNGWLPSEQLEAAVFKVVDLIKEKKDEVLEFHID -SKPENVFQNFPVGWESLSASLASGMVFSGGVMAQILDWTAQGVGAFMRPLLENTGQVIEHECMTCLEQMP -CYYVCGGVRSHSNPDAHHYMCMDCMIRMKRANMGSHCPMCRVEPMLACLPKHLTRLYIVLRWVVVNIGDR -LVWIFSFFRDFLRSRSMVNSRLLLSALSSLIAFLQGDAVTTAVAASYVGAGVVDAMYDPELFVDVARSWI -FNPLNMLAPSEEYYTPQSEVGDTAVQCITTDVVANDVVCNDDQEMEKDPWDVLSPKEEAIMRCERNKKKA -NSALSVSKTELLNIQKKRASATPYCMHEGICDKLPYLEYRETESSERYWSYVHSKNNTHNWVKIPYFACQ -YENCPFADTRYLDTFVQDYMVEHEINLAALTQNIINAPREGKQYYINKLPPFLRAEWMLADSGLTDEELV -KPFTWWEYLSEKWAHYKQFFFVIAGVVGGITALSSTYSMFASLLNLGSSTTIAPVVQVASNDAHIRHLRG -QRDLRPLNRHSTRPYVQSDTTSVVDKYIARNYVSFQIYRDNVMIYQLSGVGLFNHWCLMPRHYVSRLRED -ASQGYTIKLVPALGRNGIKSHEATVYTFDAEDFKISSHTDAALFKVPASYPMFKDIRSFCSTDKDLSEHI -NSRGSIFLVPGSDGSVPRITDIDIFGRVNSAIISDDKEKFEIRDVLHYGYSQVGACGSLVRVEHHQRPIV -AMHCAGSGQGTLGDGYGVLVTQEALGELMHLQVVTQCEDKDLGSLSEAKLLFGEEVNVHYLGTVPVEHIA -HMPKKSKILPSLIHNQAGFECHTEPAILTSQDPRYQHEQSPLYYGAAKHGKKTIDFKSSIVNKAKRALWG -GWISSMLPCVAQPKRLTYEQAVIGLPNVEYYDPMKLETSAGYPWTLEGKTTTKLEWLEIERDSQQRLSKC -QLRAKLLAEVERKEKLRQKGIVPITMFVDTLKDERKSHAKVLKQGGTRVFCASPIDYTIATRQNLLHFSA -AFMKARLNVMSAVGINAKGPEWAGLVRHLGRVNNNNIITMDYSNFGPGFNAEVARAASEIMIDWTMMHME -GANILELQALLKECTQSTHVCVNTVYQQTSGSPSGAAITVIINSLVNLLYILIAWDQLCGDTARLLNPDI -YQVFREHVAVAVYGDDFIMSVSDDFKFLFNTITIQTFLSHNGIAATAMDKEKVATIPFQSLLKSEFLKRG -FMPHPTREYEWLSPLSWEAVEDITQWVWKSADLREATRVNCESALLEAHGHGKEVFEKFKRNINKALIKR -KTKTLTLDWFDLDRKFFEDDVGVRRTSYLFG - ->YP_009336566.1 hypothetical protein [Hubei picorna-like virus 36] -MGITTPTSYGAFKDLVREVKFAKKNNGTNYPFTIAFSNWVNGFENYSLNITEAKFLESPIFRCGRGMELS -ILSQDGRPILEKVQVVGTGNTKRDAHETCFKRLVAKILRIGGIDFTQMDSLTTDGEIVTSPVTDRESNVI -LETAAEGDNKQVINISSAEINKQLSSTESTYDMVKDGYSLVNRWYPLQMVKIDTTTVDVFSLRIPEALYA -DSSSVNLIPLRGFIYGDLDVEFKLVVNAAQFHQGRLIMGAFYCAQGFNRLVAVPKWYAHTSAGASDYRYE -NLQTQIDSIYGMVQRPHVLCDMASSTSASLLVKYNYNKPFVRLLDYANNKTVNPGVLGGYFVNLSAKMLV -PLSTADDNPKEVNCTLYYRFVKANLTGMTRQHDLTQMDVLDLGLSAAEAITGLAHDGIGYIRKVERQLLR -KGKIFSNRDKPSDVFGQKVVVPRPRMHFPNGVGLADPVILGVDTLSATTHYEDPGYGPTSYIEYARIPGI -DTIVTWSLSDIAKKQIFQQIVVPYSSHQNQTFDTTTGLFPLATKMDSVPLHIATNGFMYWGGTICYEFDI -VKTNFHKGSILISVSYGKETNDKIGSNYEKIVDIQETSKIKVTVPYIYDTVARRTHNFSYVTAWGQLSNW -QPDALPTYSRTKITLTVLNPLIDIGSVANSVKIIVWKYAGEDFFLSSPTQVNNIMSVPVSFGDRDFNRLL -TFPVNEDHTAPTTAEKYTDVLPPASFPAGKSARTSTTREKTQMDAQDFTPGPVDHYRMHSGNETSFKNLL -RVPIRIIASQHINADQTLCLPVMPLTTELIYNYLPKQQRLAMTHQHQITKMFRMWRGSMRYTIILHNATT -HPVFITFLPSDGTFKKLIRPKNFNDRYHGATWSNTKRFFSSVDDEAGSDLPDLSGTGHPTTVLCSTVNPT -EMVEVQWTLPVNWALMDQGGRYTQHCWRDISFTNNGHICVYCDGPVEVSIFMSVGDDFEMAAFCGLTDYT -PSFVLNNRMDDARQEKTQMDFYSCESSSDAEFIPKMVRKTRRAVKRNQFLVKPAIYGVSTVLPSPFGEMV -RQAVVAHTIYDLKEKVSSASAAITENISAVNVSHVVHAISGASESIQSGIEQFSTKTAGVDSTLEELRET -LGGTRRMQDKVTNTFGKADHVLTDLGEVLAGVKKTVDTANELLDEVQAQVDGGLFDWVKTLFGGLSTMSG -VWDSLKSVFQKLYDAILGCDIVVAVRCLVESLYSLGLTTKEYVTRKLGYLTELFGKILKQGTDETQSGKS -TEEKSGIDKGDVQTLLGLLFGGVLTVYGASCGQQWQKGHSGTMARWVDSFAIGKGLMTMNGSFLFVRNVY -STLSKMVSHVLGLSDPQIKIRQLLIDNPSIVSDFVHNAQLFLNNYNDIVAGDVATKSRFWITICNAYQIR -ACFAKIEGNSTMNVLKELCREVIKKANENTSLFQSTAVRYEPYVICLEGQTGIGKSFLTAEISSMCLGNI -GLKMDTIDYRYVVNPGVDYWNLYNGQPVIVYDDWCNLVDTQSIRKDISELYQLKTSNVMNAPKAELSEKK -TVVNPFVVLMATNNPFPSTNTLVNTAPLYRRRDVLVKVQLVNKDMDRSNPANYESYKHLQFGVYDSVLQP -QSQFSYKTWAEFRAWLKEDHMKYHLRESSNVKKRIEILSQALTEQALVNADIADPFTLQARALHQVESQS -EKLGVVRSLPSEMLEFQVREIVELIKANYKVVKTEGSLYYIQDANAQSHTEEVPTTQIEGASSLFTYFTA -PVVKTYEMMRGYMNKWMMIGMRCGTCSSPPTYAKALLTCAVCSKISCGMCIKSIGEQAQCVCGGAMVIRM -PKIMEILISLVLSAAFTAIAPMSKTEVVIMSLFKLVTGWDVLVPFSVLAAIRQFIRPPNHRFSGPINVTQ -MGSKKGARVSSQPPSDSSFEEVAAETQQEKEKSIPIPLEPTIKVLPQNDNINDILAAEPEPLLDFKLPDL -SPSVDRELDANAPCVPPDYVLSSAFVMSDPPLEKCCHGKLDPKVPILSSTGGTLHLCSPDWVGRIPLGPC -NTTDCKWSLLNLRATVDKCFSINRLSYIEIHQAIKNGAMAAENYSDIPYVYLPAKARELLKEDIAEATTG -PSWWAWLFGKLAKYSTLGAIFYLVAKAGICIFSSLLGLFGSVTQAEGSFDHERMARQFNRSRKIGRTQGE -VTQAADTEMYTKAKDKICANYVVFSTPNLRSATGIGLYGSTVLIPKHYIPPLQKAETITVTFFGAKHESI -QLNPANMSIKMFPDKDCALLTLSKAIYFADIRKFFMQDKEYDEYDESKGEIVVLEFDHLTEYPITLLNIV -DGYSAYDELTGHSYETKDAIDYSFERRGACGSVIFSRNAIRPIIGIHIAGNYGSGKGTGARIRATELSSE -VAFDMAPMPFQLMENCTDFGDDVNVEYIGKLPPDQVPYCPTKTGLTPSLINECFETPTVTQPAILSKNDP -RYTQDATPLIHGVRKHGKPTLDFDRALVQASAQFWQTLLLQKKWGFEHKVQIYSIEAAALGRPEVIGFYD -WLPDDTSAGWPYNTILKEVNGVREPATKKKHWMKYQRDPTTERPVSVEFDPLLIEHYNRDMEYRRQGILA -PIVFQDVLKDEKRKVEKILKAGGTRVISMSPVTASLALRQYTLDFTSHLRYHRISNWIAIGINPDGPEWG -ILARRLLSKGNNMFTIDFTNFGPGLNADVAFEFSNMLHSFYEMEMGDHYTQEDKLVTTTLIRELVNSVHM -AGGTVYRTKAGSPSGAAITVEINSFVHLMYLTMSWQIIARILRYQSSAVGNDEERIYRRRYSKAFDYLAE -HNEDKNLHTEMAVTFDDFLANVTGVVYGDDGLFSVTDKYKAVFNAKVIQLVLGAHGIVATDANKGEQTMT -TGPLQNMSFLKRSFIPHPVHANQWAAPMDIDVVTECARWIHKSGPEETMTLTNVQASLLLSYGHGPHFYN -NWKKQLDQFLRRVDLPAVYLTWEDLDRIFYPDYYLQATKQDPFDILAVRRLLSEL - ->YP_009336565.1 hypothetical protein 2 [Hubei picorna-like virus 42] -MLTALQTPLKLYRKKVAINTTALKITTTAGKTQLFYGTGLFGTVLLIPRHYYKHIVEHMARGSKIIAFRV -QQPQKCIELSLTLNDIYASDVTDIAYIKMPPSFNMFKDLRSYLCTADDINGNLPSEALFLSNPGRSHTTM -HSVNLDLYGITKKQTVMDDTSYFTIEDALHYNYSEPGACGSLILIENTQRPIIAMHVAGVGTRTSGEGWG -VLLLKESLESLPTILPTSQCIVETVDLDLKPLAEMKFIYEDEVNIFYLGAVDPTMVPYIPTKSKLVPSLL -YQEPGLSVDIEPAILSRDDKRYLHKESPLWAGVKKHGVTVQEISWDLVDCVGEWYWDGWLSKMKPSVLNP -APLSLDQNVCGLSIDHYNGVDLQTSVGYPYIVNNKDKKKKSDYIEVVRNDQLQPIAVSHIDDTVISEMEK -FEYYAKQNKVYPSIFVDTLKDEKRPVEKLLKLGGTRVFCNGPLHNVLLVRKYFLHFIAAFMKNRHQLLHA -VGVNALSDEWSRIANALLTQNTNASTLDYHNFGAGFSAVVAQKAYELILRWTQQHVKNHDGTDLDMRILV -ALTQDCLNSTHIVNNTVYMQGCGSPSGSVFTTTINTIVNILYMFLAVKHYYKPNPTDYMHPVTFIKRNMS -IYAYGDDLIFSVSPAYIEKINSATVSEFLATHNIVATDAVKTSIVTPYKPLLQSTFLKRRFHPHPHRSGL -FLSPLEEYSIKSCTQWVWKSPNKHMATRVNAAAALLNAHGWGPKYFATFKHLLNNALVKKKIEPLAMQWT -EIDDQFFNTGLDSMYDDIINDN - ->YP_009336539.1 hypothetical protein [Hubei picorna-like virus 40] -MLSYLEIFILTIFIILFLYFNMPTYGSNDYHCRFVGGDRAGVRRSDPLTGETTLEEKFGDRSYQSRLACL -RALVAKMDQYSTSRDENYNYNHNYTGHFNSWVMSTGYMFSSCTYKDYPRTSFRDSLADVRRTLRWATREG -PLEIKVDKTETSSTLANNMCCHHIFRMVRHMLKDAEQSCVTPKLNQVRRNGPIPKVQIGTPEKLTEAGDA -TQSSDKKQNTIITMDQAQSKSIAKKSLDTLKDHSSSEPTQKFGCLTQRWMPLEATKITTSNKTGDILQTY -FLPEGVYSSAQCAPNLTPFETYIYGRMDIEMRIVANANKFHCGKLLVSSKYDSYQADGLQAGYQSALSRN -HVIIDLSSNNEGLLVIPFRYHRPWVRLVKNDTASLGVRPSKYASVYLHVLSPLLTGPDGSSEVSIRVFYR -FKDVDFTGMSYRVKVQMLGVEDFVSVPTCKALKEVLVGAEKAFDQLGKSRNQDKPGTVEGKIFVPRARLN -FGSGKGIVDVQPLRVNPHTLTNYQSITCPDDEPKSFLEMARIWGVVSAAEWKKDAATGTSIVTMNLDPMS -RSYYGDYSGEITPLEYACSNFMFWSGTIELRFDFVSNSFHTGTVQISAEFGRTTASETECESSSTYTKMF -HLGEQKSVSFRVPYIYDTVMRRTTDAVFNPYLKAPTSDTIKNTALTVAPFSKTFVKMRVINQLKPVASAP -QSIEILTFMRAGPDFMMHGLKNFGLISYNIIDQMNNFPEAGYAGSPDGVKSQRSSRSQPQASQLSSSVAN -DWGEYRHDKFPKVQMETGEKENLDTTDQFKPGPSNLTIQTLDCQMSFKDLLRRPCLILLEQDLVPQKAGG -FFIPLMPPSRMMSYLLTDAQANPNSIWAETIDATSAKAIMDCFRLWRGGMRYTIVINSGTKPLYASLVPH -SGVRIVGNHSVQDRERYPLYGCNFNTEIIHPLVNPTAVIEAPYDTENTWTYTFEESATRNYSWRDKGDTN -AGHLVLNPVGNMNVTVFWSAADDFEVANYYGIPYAKQNGWAYRWDDQRPKPKDSNNMPRTQMDFQTEEPT -TTVDRLRKILTPKNVLRASVAAVPFVGPGLAIGSVADDVHSEVQQTTRAIERGIDKVSMVAEKLSCDVDS -ISAALHLAIEKAGTVIAGLTNGAVLCYDFLLDLLMAWMEKSWRIVAMGLLRFVSKILPTNSTLIWSTIQQ -YVEPLTAYIRSLTNPVPTVQLEINPTSTITGVLIGLVGTIFGVTLDTRRRRSLPVALLERLTSSTGVSYL -LGILRFVQGIFDTLKTLVMEALGYVSPEAQALKKLSENNEQINHFIREAQIITSESNSSCLNSARYRKRF -WHTVLTAHQLQKIMCSVPTNCVSAQLARLCGEVIKTGNEKFMDVSASPVRFEPMVICVEGPSGIGKSYAT -EEMVHRLLKSVGFSQPSSEQIFYRTAGEKFWSGYRDQPVVVYDEWLNTNDSQRCTDMITELMKIKSTAVF -IPEMAHLEEKKIRGNPYLIIMLCNNAFPSVSDYARCPDAVFRRRDIVLHCSRVGKYVGIPLRDLPEEELR -DFPHLQYQIYKEPEKNQSRLPEIKNFNETMAFLENRFKRYWAREQVEVKKRMDRLPEFLTTIGSESIRLE -DPFALFYELNYRIQSEPDLSQNAWTPYEQLEMAVANVAQAIESHQRAEEPPIEVPEKMEWSDVIQPIETQ -GMVSLVVGALTQGRLLNCLASASFKKLQEWEQSINPIKTVLTQCVVCLDQVECAFTCKQARGAEIQHTMC -VPCYQASLIHGLSRCPMCRCEEIIPILGYEEIANLALWSRLAFKFNYGMQWLCKKIMRWYSWREHRPTIA -LVTDYLLCTAICIARAATTGTADTSITTAAMVSHAVNNTTIAIAREITLQGDSWDSVQESPNVLSSMIEE -NEGLTPKLNEQYFKNVVAKPRKQSKCLHDMLLKHGHTADLQLDEWIITDIETNVRVKMSIFPCELETCPL -KDEARYRLLAQAFVNRNKRRLRIFYIQYFNEPSKQTLQQVPKLVWAPWMTDYEEQPLSQAWWMYITDLFN -KYKIFLQYILGLGAVLGSVVAIYRVTSAFITPVVDTQFGGSQYAGDSPRHRRAEVRTRTERRYFQGENNN -PSVFDSVQKYICKNMVKFMLTINGKVKTMYGVGLFGHYVLVPRHYIIEMKRGIQAQGLLTAEPYARPQEK -INLNLRVMDLVESGTTDLAYLKLPASFQIFKDLRKYLCTEDDLDRPLPASGILMACPGKGKEYIREVITE -IHGISSSQVVMDQDNNAFEVNDVLVYGYSQAGVCGSLLLRENHQRPILSMHFAGVGEGLNGEGFGVLLTQ -EALNVLVQIDDEPVQLEDREFGSIEDATIFFNDNDVNLHYLGSVPKDQVPYIPTKSNLTKSLLFGVAGLE -TVLEPTILDKQDPRYSFTSTPLYEGVKKHGVLTTDFNREELLSAKEMLWTGWIGNLKPLLADPKILTIEE -AIVGFPEHEYYKAMDLKTSAGYPYICGPKKKKLEYVEPIRNDQQQIIGIESISPIVLEIMTYKENLRRQG -IIPITTFVDTLKDEKRKMEKARKLGGTRVFCSSPMDYSIECRRYFMHFIAAFMADRMNMMHGVGINPTSS -EWGRLISKLLVVNSDFVTIDYSNFGPGYNAGVAEMAYELMIDWVCMHVKNVDKRYLRVLVWECIQSTHIV -NNTVYQQHGGSPSGAVFTTIVNTIVNQLYVMLAWKRIMTEQALQERVPLIQYFKQNICLFTYGDDLIMTV -SPKCVEKFNTLTITQFFKQYNIVATSADKTAEIVATVPLSQATFLKRGFFPHPFRRGEWLSPLDWESVVG -ATQWVWKSPNLKEATLVNCQAALLQAHGHGKTKYYEFKHLVDRALKKKRLPMTTLTWEEIDNLFYTTGLE -YITDQLINQI - ->YP_009333563.1 hypothetical protein [Beihai picorna-like virus 107] -MSTKTIQTSSVEAYIDAPSRSQWRVAKYAPVKYDPNGHSETKILTQKRQLKREEKIEQQNKDSAELASEA -NRRDAACTQIRAARAIKAKEKKIKAEAARKHYHDRLQRRLEKSRLTNPSLDVNLNQRVHMYAKYHKCPHG -LANNRIQKDGDKYVINCDHDKCDKSISKATKCNSCGTFTTTKYTNRECHCSFYSCTRCKRAQYNYELVNM -CYDKHNIERTQVRMTLGNTIVQPSFDSILTPLPTEEQKESRKRFLASISKPAATTFAESVKRLPALKPAI -KPTPIPKPRPPKKSVLFSNVPEIENRFVPVKDLIKTKQQGAALSNVAHPFVAAGRAIREKYTEMRDRALD -KIAEIEKRVYENIAQHALQALLKQIFEAFRYFIGLVYDYCYVLNPIFLYRLWESRSSPAKFLLYLGEAIM -HLKEYEHQNREHAIMTLQSGRELFLETYREGGHGVLRTILKYKLNGLRNPDNRTLVKIIKNSGLTTAFKN -VTERDYDVNTALLSTRKKDNAHISFTKPESGINQVFDAIGNILSTFPKSLGVGITFLRIFFKENMPILMG -IKALGDIHRIATRLVESGMKIFYGECASHKEWLELQLCTDDNPVHAVTVTYMTYMGISSGYTDTSDFRER -EDLSTMRQRFYTELSAAEAYVKERKKMGVTWLQYKKALTDSFNVPPPPVTREHEPLCLVLSGGAGLGKST -LWKVLLSRELCPGEKENVSQKMEDMTHTWNSAAEYQPGMASKKIIVFDDFMQNISETNEALNVISLCTTA -PYPVTVATITGPEIKGMFCTPDAVVLCTNTTAERAGAGLADFKALQRRYDVDFEIFARYDPKQPTKHIFK -INSCPMFTSLVGSTVDLETARNITSLLYRKKRDEFRDTSEMVEDLLTTDFDATFNIGREAAPKIRDAWKQ -DGDFVAAYSKYIIEPSKKPWIHSERTIPSTVNTRQQGISRLPLDFLATVYSNCLMGVFVGAPVAVAASFA -YFIRRLAANTYGMAGNLLRREGGYYHYLKDILFSFTKCAAVTIVSSLALFGAFKLVSKSSDAESGTSRTA -KARTAQVRTYEESGISEGQQKMLVQATGSMHVSSSGKITNCVFVGGHYVLVPYHLFTDYRGNLIAEGEKI -ELTKVTWRDLLKSFLFDRKSLVRLSGNIDEALARKGNVLPKDLYREDVCLYKLPASMFSAEKDITKHFWD -GTYNTTNFPVRKIDYIPYNLDHTYNGQIIFNDGTVKKDSISTPRFEGEMQRYHVLAEASYAGRDASCGSL -VIRPDTQETPILGIHTAANSRGSYFHYVTRQSLKNAMSKNTVRDVETKFIYSEPQAAITDLLPKESILQV -VGAIEKPLFQPTKTDLQPSLLYELMGPATTAPAPLSHRDPRINDEYKTFSTFWQQMFKGYGRSFEPAFEP -AELNSAYVSMLEDFKRIKSQSIVPTKKLDLMESLNGLLHIPQNTRMPMNTSCGYPYVQEGLKKSDLFEER -EGRLFPSARIIEDYELAVNQLEQGIVPFLPYVLSLKDERLKHEKIATPRTRIFTCGNAIGYLICRRYFYS -ALMQYYHAEMRDSFCCPSLDRASFDWHYLSKHMLEVGDRGFDFDFRFYDRSLPHQLLYFSTKLLLAGQSL -FPQEEAAVIEYVCSPYIIWGSTVFRGDGILPSGILITYLVNCVANEMMHRMAWNNIMAREKPTLLPMRYY -REYTRGTRGGDDTFSTVDSRVLPFYNGKTVAEYLRSRNMQVTAADKSQNIPESTNYFELSFLKNGTRYER -GAFLPVSEKASLYESTYWVRLTRENNDIVKATQDNATCSLRSLYFHGEEVFEDFRNKALDREPRLVLPTY -EELSVIWNNFHCFPGSHTDFASREIQEDPFTLASTEKPRMPATERAKYNMSPIQTIETFNQSGLAMTALD -KQKLGTTEIDTAPVNEEISEISNETIDNKALGTPGKTMTDAVGASVQDAPSTKAKPVKTGERIIQSKNVR -SEVYMNDVNWDLKKLVQKFTYVKDFVWSTADSVGTIISSVEAPKEFLVTPAQREPFDVTRFWKGTLLVKV -VIKSSPFYAGGLVMGFTPFYRKPTIPALVNMGGLIHKLSQEEGLEYVIPFRWPAGFIDASQDILGSFVVM -VNSALKTGPDNPGTISGAIYVSLMDSEFKLPEIIPSQSYKSLKFDMVNTEPQSGVSVKSVLCDINEIPSE -MPAAMMCAGEGVVGTPPVSHFQDAPSDLMQLLKRWEMAGRLRVGIQRQAATVCTFLLKDIYSAAFRGFDR -YFGLFRGSVNLRFSLEDAVDNIYGKISFNPVGSRSNGQQPSNCGLQTFDRMSMGMVTVPWTQPYFALPTA -ETGLLANPQYDILDEVTVVLFNYSNDPRTPILNVDVSVGDDFHMGVFLGTPSDTKYPTMYRRTALLPSSV -KQVAAEHDAEYFMTTTAQSGMLQFIGRAIENTLPLVEKVSELGSELDAHMITEQNQLVQQRRRPFSIACD -IPVLTERFTTVNHNGMSLPDKQVFGSAVSETDIYNLLQNTKSIVGRFSWSAADKAGKIISEYSNSPGVPT -TSLPGTHTELCAMFNFWTGGKIMIFDVHATQMHRGQLLISYSTDMQDVAYADATQSYFTTLDLSEGRATV -AVQLPYLSPIPQRRTPYIGAVSNDPTTAVGTLRVFVQNPLRSTATVAPDVEVVVYEACASDFQVNVYGGT -PYANPPPSGPLAAQPPIVPQFHRKPLIRTTLPKIIRPGYDNAKDV - ->YP_009140562.1 putative polyprotein [La Jolla virus] -MQSFVEAIQNMEKLDNGGIKSTKHIPKGFKPICNRPYETSKDESGRGYINISFKPLDNTDTRETLASALE -RFTRLHLKCKSNRVNRSNLTALFNDWVCGVTILEKQTSRTQERHNQQGDRTRYVERNAYVLQFMDGMLRV -SCSFKSFKFRNLQEIIYEAIIMELLNIPHSQMEDDGGQGDTTQDSDKAGNTIITRDADQSIAQEEGINIP -QLISICSTEPMHQFESITNRWMALPSIEVKTSDAFNTILQTYNLPSFLYSENTAPNMMPFENFMFGKYDI -WFKFVVNANKFHVGKVLASVKYDSYQIDTLRNSLPALISRPHVMMDLAANNEGMLCVPFKYHRTFVRNAS -LANSQYGSKAAQYASVVLSIMSPLAAAVGAPSNMYIRPFYAIKKASFTGMSYKIPVAQMETAGAIVSMLD -KGLKVCGAIKNMDKPYDDQRVQQVILRPRMNFQTGVGASDSVPLRLDPLAQTTYLPDHEYPDDPTTVLDI -AKIWGFAGAFTWKASSTEGSELFSIPIEPTWRNRDNWAGVPTPLEYISSMYQFWSGPLEVRLDFVSNAFH -TGSIMLSAEFGRASTNVEQSGSTYTKVFHLGNQKTVSFVVPYIYDTVWRRTSTVPFSVLRTNLAASARSG -QISTMRANSSAFKVRVINKLVPVQSVVQDIQVLVFIRAADTFTLHSPIMSNMLNSEQVYALQDFPGTYPL -ENVSELSKISLTDSVREPGAVQVSHKWMKGRTPDQIQNAILVTNEGTILSSNPDHPTVDPKNISNIPDPK -VESGIIAAPNPASRYFWVNSQGSRITYNPSILPPDDYQHVVLPDFEAKKDAYYWNTTKTRRYRYNRTYRS -FDIGGGTWMDQPAFAQMDDGVKEDTDPTETFQIGTNRSNVQTIESHIRIKDILRRPVCIVSHFNVPAYRN -VAAGATLSVNPFFVPCLPPSHMITYGTTANRIFTPLIGRSAHTHLLDLFRFWRGSQRYSIISHRVTGAPI -YASYVPHSGAMNCGTVTFLTVDLLDTXCPPSSFGLATEIMIPSVNPTMSIEVPYETENNWTLMQCENWER -NFSWRDHGDYNVGHIVLWSEEAFTCDIFWSAGDDFDVKNFLGPPPVIAPFSKFALSDNHPSTQMEDFSPR -ERPSLARSICDKISTPLACAGASQIPIIGPAVATGIGAYKLGTTIANIEDRVGETTNNVNSLIHNADDLI -NMIKQKISAGLNITNNIYTAVVNGIIDLLTNMGRWDFKAISLSILRLIINTINVPLTSIASYIPEFQNLF -RRSPHAQAEDSIEQISESFWNEQNIKDAFKLICSIVGTAIGAVLIAPSNLPTDIIKSILTAPFRTTTVVL -ANGILRFADITFNIIKQACLWVASYFDPRVRIVQELRLQSPVINDFMKESQILTNEINRSAMQLPAFRLR -YWVNVVKAYEIQRRVIDLPRNAVSPVLVRMCADVIKHGKENMADLRCSPVKYEPFVLVIHGPAGIGKSNL -VTHLAKEMLAAIGLTRFQSDPVHIRSAGSKHWNTYSDQPVVVYDDWLNITSPELVASTLNELYQLKSCAT -FVPEQAAIEDKKIKATPRLVILLCNNAYPDSVLTNMVSCKDAVYRRRELTVHCARKPEYENRNLREMSDE -ESLSMAHLIFRQDRGFDSMNLSKKAVGYTEFKKFAVESFKEYDNQERRNVRQRLDKLMGYFSSTPCDIRD -PFAVFYEGCAFAMQDDMPLRSEMLDHEIRQVAGVIENARSDNAALNLSVHNPWSEEVSAQMIAEAACVLT -VGTAVLGGLYCCLRKLGAYNASEGLEAQCNICLETTKIHYVCGASYSMLQTRSLEGVHKFCRTCVNRAQS -VGTWSCAVCRNNADPVLVMSQSMYESIGILSKLHKASNWATNVIGNFINEFDRLVGVKNWGMFLLGCSYI -SSINTRVRLSGNEDYPYLMIYNASTAILSAVAFPHLYRFSRLTPATRTYIDLTRTKLIDNIPSFNADAEA -IISRILEYNNNVSNNRFVPEATRATLERDISELVRNRLGENYSRYIKPIRGIFFTEEIATLSANIEQYNI -SLLANPNEWNEELYNSLIARIPPVAQNDSDEEDDWSMPLHIGARRVNHFSVGLQNAQDYYPYTCDVSKWT -GVAEFNTICPHKHFVEHKEHILYDKGNYFWNSVQGEVPIKDGWCSNYPDCPMAPGNREPHLLAYFEQHKN -LWFGLFINKPPHVQKQFIEQLVPRFAWPQWIMTEQNNIENPILETSSWWDYLNVHPMLVNILKVAAVGTV -TLGILAGSYSLFRWLFPTPESQIIPSGDSVIRHFRARVQQVSRIPASQSEFMDAIVDKINNNYFVIIVIE -SQGNERHLIGCGVKQRLGIMPKHYYEYICKKKREDGVQFFLAKPHLIKQRVQIAIDENDFTYSNTADICL -YQLPASWNMFRDITKYMSLDADLQKKMANSGVIVKPPLKSNNYTSIIPVDIKGYVKNQFIMGENGGFNSQ -HCLKYTFSQKGACGSMVLKEQSQRPIVAMHIAGIGEGVSGIGYGVILTDEMFAEFQCEVSGKCAEHEEPP -YVGEIDTAKMHLPEDAVVGYVGVLPNNLKPFSPFKSKIKPSLIQDLLPWTTTKAPAILSQKDERYTHTIS -PLVAGCAKHGYLTANFTTSELHKVRDLRFEQLRRMKPGISPCNRLSIEEAIMGFAGIEFYDPLHLDTSAG -WPLCTSKKTLKKDWCDIERDESGVLISCKLHPEVENQIESETDKRRRGIVPFTVFVDTLKDEKKPLAKIH -KLGGTRVFCASPFAYTVAMRQNFLHMCAAYSTYRWELKHAVGINLQGPQCTELVSRLLRVGHNIVTIDYS -NFGPGYNAGVAEQAAQNFKKWTLSNVAGVNEAELDCLLEEGLNSLHCMNNVLYRQQGGSPSGSPITVIIN -SEVNIMYIMLAWDALVKGEGKWRDFEEQVCMYVYGDDLIMSVSDNYIEHFNAVTITEFFKKHKIVATNAD -KSTDIKPFETIETATFLKCNFVPHPYRSGEWLAKLDIESVNDTPMWIKEPIAFKEATELNAEAGVRAAFG -HGKEFFNNYRTNINIALNQIKSDPILLDWHDIDDSFYGEGSSYNVGQ - ->YP_008719809.1 polyprotein [Halyomorpha halys virus] -MFYEQPTMEGNTNNRGGDRTLNGGGAPNRVTTYETIRQCYTALNLKLDPYPETRDTVYNSARNYTAPFNS -WLMASGYKVAKYGQKDIPPLETGNHTYTSAIHTEWATNEGPVIVSTKTKATIVKEAKNMNCFDIFRQVRS -HLPQTQALLSLGDIQIDETDTDEEDLYDYTNLDLAIRKIEKSPQWQMEEFGEDEDDWVYDYTHLDLAIRK -MEKLPQKQDEVPEKQTETGDNTQGSEQAQNTIVTHDQQVSTIKPYFPLDRSGNLSSSEGFSTFPDLTDRW -MPLDTLKISTEDAQAKLLKSYYFPEAIYSAIKCAPNLAPFETYIYGRMDIAIKVLVNANKFMCGKLLVSS -KYDSYQADDVQSGYQSALQRNHVILDLNANNEAQLDIPFRYHRPYVRLLNKNMSKGVRPSKYCSLYFHVL -SQLQTGKDGPSDCNFRVMFKLRNIEFAGMSYRVSVQMDTGNKETNDPTNNFNPVLDNRFLQTLDLHTNFK -DLLRRPCLIIDTATLNYTNTGAFFIPLQPPNRDWLPMNNGVANNIWSPTLQASTHMAITNLFRLWRGGMR -YTIVVKETDYPIYVSLIPHSGVRYMGNAEIFKNTAWPLYGMNFITEIINPKVNSTVTIEAPYETENTWSL -IWEEQPRRNYTWRDKGDTNAGHLAITSHAPTGPQITVWWHAADDFELANFYGTPNTLFNGWKYRYADTDG -PTTQGFGIEDLVTPPTQRALREVLKGAENAIDQLGANSNRDKPPDLQLLSVVPHPRKNFASGKGPIDVMP -LRLNPYTATNYQDIVVPQDEPKSFYDLGRIWSLYKSFQWSKTNTIDTIIGDMIIDPCCRNYSGDYSGEPT -GLEYALGNYCFWSGVIELRFDFVSNSFHTGTVQISAEFGRTTSATDLCQSSSTYTKIFHLGEQKTCNFNV -PYIYDTVMRRTTANLINPYNKAETNKTIKQRALTVAPLSQTHVKVRVINELRPVASTPQSIEVLVFMRAG -KNFAMRGLKGFSYIPIHLNPGVDDFPGNSYAPPDPSTSGTRSKREVEDPFKFDIPEAERNEWNEYKSECV -KSPQDKSMPRVQCMDAFDIWHDYWDRLTRPMKWDFRHKVSPSKEVTDSAKEVLITASLASIPYVGTPLAI -ARTTTRIMNNVDKVSTQMQATMLEVNKTMEEVSTQMQETMTTANETMETVTSAIEHLSYGTAEKITEVTD -SIIDTNNKLQALISGSQDHMTTIMQTIRDIVDRIVGTSTTLISWGPLLFDTFLDIVNACIHRTWSSVGII -IVRFISKVFTVTTSILSQITTLGNNIGTHLSQLLQPSMPHTQAEVQIIPNIAGILLAIAGLVTGAHLRQQ -RYLSIPQTILETICNSRGISYILGVIRLVGIVFNTFKDYVLECFGYVNPEVRALRMLADRSTIVEDFVRE -AQIITSESNTGLLSRPDYRVRMWKTVLQAHQIQRLIITAPSSVAIGPLYRLTNEVIKFGNEKFLDLAASP -VRYEPFVFINGGEAGIGKSFMTEKIAQTLLQRIGWTAPSSSHIFYRCSGERFWSGYRDQPVVVYDEWFNT -NDSQRCADQIVEFMKLKSTSLFIPEMAHLEEKKIHGNPLIIIINTNNIQPNLSDYAREPNAVMRRMDEVF -QVKLKPDISREELTNPDNASRFADFHHLTFMKYDKRWVGGNVSYVSNQTEANYDNYITYLSNQFARYHAK -ELKLVQRRMEALPGFAESIANGNIQSDPFTLFYGLNHQLMTTPSLSQNSWTPYEQLEQAVNIIAQHMEQR -EENPALVLPSFMSWDQAVAAAHSQNDYLAPGEHEVNRTFVVAGILMDGSLLNYIATATKPTISRWLQEIR -DTGISYQCTVCMDYVRCVYECENSTSETRHVVCADCYTGLLGISSTSQPSCPVCRSGHLRPILAEFDVYS -MSIWKRALYYGLLTVETLLDTMIEYYNMRRTHWITHGFITWAISFAMQCCDMPIESVLFFSSYVVSMRTA -IAINVLSSVMTQSDNGLELLDSDSDDEGGSQTSVWDTPERPDTEPAELVSEPDAFTARLPDNFKEYLITH -FKPQLVCNHLYLTDQNVNTVLLQGDTISVFDTLTHSRIDFDYHCCDARCATLHADRIVTIYREFARRNYL -HLRNTIIQYHNRRLEQALNEIPTPLRPAWIDTSIAKCMDRDWWYYLSTSLDYIRTPLLVLTGMTAVIGGV -IYAYQAIVSLSSQISPESGPIGSEEIQARQSRVAARHVIQPRSYFAQNAREELIDASAPPDAFKSIQKKV -ALNTTAISIVTPNGKHQLLYGTGLFGFVLLIPRHYYKHIVEHIAKGSTLTAYRVQQPQKKIELKLTLNDI -YASDVTDIAYMRMPPSFNAYKDLRNYLCTADDINGNLPSEALFLSNPGRSHTTMHSINIDLYGVSKKQTV -MDESTYFVIEDALHYNYSEPGACGSFILIENTQRPIVAMHVAGTGTRTSGEGWGVLLLKESLDSLPATLP -NSQGIAEMVDLDLLPLSEMKFIYEDDTNILYLGALPPEKVPYIPTKSKLVPSLVYKESGLEVDIEPAILS -RDDKRYLHKETPLWAGVKKHGVTVKEIPNDMVDSVGEWYWDGWLSKMKPSVLNPAPLNLDQNVCGLDIDH -YNGIDLQTSVGFPYIVNNKDKKKKSDYIEVIRNDQLKPIAVKCIDPAVITEMELFEMHAKNNRVYPSIFI -DTLKDEKRPKDKLLKLGGTRVFCNGPLHNVLLVRKYFLHFIAAFMKNRHQLLHAVGVNALSDEWTRIANA -LLTKNTQTSTLDYHNFGAGFSALVAQKAYDLILRWTKQHVKNHDGTDLDMRILVALTHDCLNSTHVVNNT -VYMQGCGSPSGSVFTTTINTMVNILYLFLSVKYYYKPNPTNYMHPVSFIKNNMAIYAYGDDLIFSVSPQY -IDQFNSVTISEFLAQYNIVATDAGKMSTIIPHLPLTKSTFLKRRFNPHPTHHGLFLSPLEEYSIKSCTQW -VWKSVNKANATRVNAAAALLNAHGWGPKYYAKFKHLLNNALVKKKVEPLALQWQEIDEQFFETGLDSMYD -DIINDN - ->NP_049374.1 polyprotein [Sacbrood virus] -MDDISPMFYGDVRNTNRFLSSGGIRRNQSSEYSSRARIYKTKLEARNCGVERLSTILTSSKKTFDTVDSY -TDLFNGWVSGMFVDKNVHYTEMSSDESGRRIWNVRRAVSIKTTEGTIVWRKVITSYSCKVASELAAKSIL -VQFLGPIRTQSDEVPSKESIQGDATQQSSKEENTIITRDQQQTVSEKIPSTVGDLVIASSEPTQQFRSLT -NRWMPINSIRVTVNGKRNDLLAQYYIPEDFLSTHAKCAPNTIPFETYVYGKYELEMKFVANGNKFQCGKV -IISVKFDSYQADNINTGFQAALSRPHIMLDLSTNNEGVLKIPFRYHRAFVRNQTHKTATAGIRPGKFASI -YVQVLSPLQTGEGGANDMFIRPFYRYTRAEFAGMSYKVPLTQMDVIGTLISGGPTPALKDILVGVERTLD -QLGRSNNQDKPKDISSITIIPKPRLGFPHGKGKSDAVAMRVNPVALTSFQDVGAYPDEPRTTLDIARIWG -LRSTFNWGSGDDHGKELFNTVLDPGLRFYDQDYEGQITPMEYVTGLYNFWSGPIELRFDFVSNAFHTGTV -IISAEYNRSSTNTDECQSHSTYTKTFHLGEQKSVHFTVPYIYDTVVRRNTASAYLPVTDYDKVDNVSRAQ -AMGIRAESKMRVKVRVVNVLRPVASTTSTIEVLVYMRGGKNYALHGLKQSTYWPSNSVVPMDSFPPDGYD -PVKPPNRSRREASPNSDGGKGQPEVAVSDNPHRFLPANVSNRWNEYSSAYLPRVQMDTGAKEDEDETANF -SDGVTAMGFQSLDTQVSIKDILRRPVLLFNHVELDPDYTGFFIPIMPPSRMMQYKSGDKETSFQRLIGRT -PQAAIMNLFRFWRGSLRYTIIIHSTDGHPIYVTHVPHTGNRVYGLMKVNNLHEYTKVPIFGCGLTTEMII -PSVNPSICVEVPFDTENNWAVTFDEDAQRNYSWRDKGDTVTGHLVVTPVVSVYMSVWVEAGDDFEVSNFY -GPPSVKTNDWNYAFSDEHVQVQMDDSVERVYDEGNQVYYYPPPKPEGFSLNNVRTSVSTLCNMLGKVVTP -ERAMKTALCATPYFGSAYMTATTLDAIGSMQNTVTGAAHQLTASVDARLEQLSAKFGDSIDVITTAVKEA -IGKISSGMFNMVNYTGYCINVILDILVAWIDRSWTAVGVGIIRFVTKVLGLGAISKVMNMATSFGQLIAR -VYEPPRPVVQAPPPTEATLTGALAGILGTLMGVYISPLSGGSYFKNLMLRMTSSAGPSYLVGVLRFVEAT -FNTVKDMTLNALGYVSPENAALKMLSGTSTTIQNFITDAQLITTEANAALVGHPSFRAKYWNTVMQAYQI -QKLLLTVPQSSASPILSRLCSDVIRNSNEKFIDISSSPVRYEPFVICIEGPAGIGKSEIVETLATELLKG -VNLKRPHSGATYFRMPGSRFWSGYRDQPVVVYDDWANLTEPQALMQQISELYQLKSTSTFIPEMAHLEEK -KIRGNPLIVILLCNHAFPDSAVTNMSLEPSAIYRRRDVLLYAERKPEYEGVSLRDMSVNEQTTFAHLNFY -KYKDSTNSSSCTSKPVGYELTKDWLVAKFAKWHAQEQIKVQRRMENIRAGMYDAEVGSLRLEDPFSLYYS -VSSEVIENNEDVTTGFLPSEILAFECKRIANVIDAHQSSVREIVIPDEPKDPFVTTQGDLAGVFMGAALG -RVVLEKVCSFSSELINYAVDWIISKHNVTHECCVCKETKGISWYCLDSAQLAPQATHYMCNSCMVASRAA -NREVVQCPMCRSPNFERWGTYQQMTGITIVGRALIMGLITVDKGVNVLRRMLGGTFGAMYAAIMRIAATL -HPSMSERTADLLRMTGALVDMSEYTVRELQHVVTQMDDPFESDGEDDDVGTSKVHWRDIVTFDFEEDVAR -SLMREREITNIPCLHILLGGALHHVSYRDGGYNVPNGGTMVRVPELPCTSDCYFSDMEAFKSFAQRYKEE -KKIEIQSHLLGFINNQHSQDYYRKRVPRIFQPHWMRANEDLALEISNITADGWYQRVGDSFVNYRTLIVA -AAGLVMAVGSIFGMYKFFSIGTNPARVEFVPSGDEITRNLKRTTRTLQRTRTERPHFQQVNEHPSLDSVV -KKYVARNYITISLYKPAGRVKLTACGIYGTVALLPRHYVRAIKEAWEKSVKITITPALLEHEEHVYTYDA -ADFTISESTDLAIWVLSPSFGMFKDIRKFIATDEDLSKPITTEGSLLLAPTNRNPVLKEQSIEILGLQNE -MQVSELNGTVFYANDVICYDYSQQGACGSLCFLSRSQRPIVGMHFAGRGEGSCGEGYGVILTKEAIGDIL -ALKSQPVVQLEDWEGPSLEEAKIILPETNVSYIGAVTKEQTPYLPRKTKIRPSLIQNVGDLHPVSEPCIL -DKTDPRYQHDDTPLVAGCKKHGRLTVDFGTTRVESAKEALWDGWLSKMKPLVVKPKLLTPEEAASGFPDI -QYYDPMILNTSAGFPYVATEKKRKEDYIVFERNENEQPIGATIDPGVLEEMKRKSELRKQGVQPITPFID -TLKDERKLPEKVRKYGGTRVFCNPPIDYIVSMRQYYMHFVAAFMEQRFKLMHAVGINVQSTEWTLLASKL -LAKGNNICTIDYSNFGPGFNAQIAKAAMELMVRWTMEHVEGVNEIEAYTLLHECLNSVHLVSNTLYQQKC -GSPSGAPITVVINTLVNILYIFVAWETLVGSKERGQTWESFKQNVELFCYGDDLIMSVTDKYKDVFNALT -ISQFLAQYGIVATDANKGDEVEAYTTLLNSTFLKHGFHPHEVYPHLWQSALAWSSINDTTQWIWECADLK -LATRENCRAALYQAHGHGSVVYNKFKQQVNQALIKRKIQPIALSWKEIDDLFYPEISY - ->ACN94443.1 RNA-dependent RNA polymerase, partial [Nasonia vitripennis virus] -EKLMKPGGTRVFSMSPVTASIVLRQYTLDLTSYLRKHRISNWIGIGINPDGPEWGKIVTLLRTKGDNIFS -IDFSNFGPGLNINVVYEFYNLMTSFYGKYLNLTEEDGNVMRCLIQELMYSVHLAGGTLYRTKSGSPSGAA -ITVEINSFVHLMYINICWQIIGRVIRLHNKGSETVEEQLFLDNYKELVSYLEANGVDFDMYADMDSNQHE -FVKNVVGVVYGDDGIFSVRDEFAEVFNAATIQIVLRAHGICATDASKGEKVKPYGPLSEMTFLKRSFRVH -PLHRNEWLAPIDPDSIVECARWIRKGPSADLSTIENVHASLLLCYGHGEKEYEKWRKRLNSYLFEEELEP -VFLTWENIDMMFYPEYYHGQQKTKEMEDFFKNIQKL ->ADR79389.1 polyprotein, partial [Picorna-like virus Eptesicus fuscus/P1/InV1/IT/USA/2009] -GEPNAVMRRMDEVFHVHLKPGISREQLLSNPDNLQEFADFHHLEFTKYDKRWIAGKVSYVNRNERANFRQ -YMAYLGNQFARYHAKELTLVKRRMEALPGFAEAIANGNIQSDPFTLFYGLNQQIMSTPNLSQNGWTPYEQ -LEQAVNLIATRMEVREQNPALILPDNISWENAIDSTRAQQDYLAPGETSVNPGYAIAGLVVDGTILNFIA -TATKPTIARWLQEIRDSGILYPCNVCTEYTRCVYECENSTAETRHVICAECYTGMLNAGSASSPSCPLCR -VGNLVPILSEFDVYSISIWKRALYYGLLTVNSLLDSMIDYYQMRRNHWMYHGLITWAISFAMQVCDMPVE -STIFFGSYVLSMRSAIMLGIVNQAMTQSDNGLEMLGSSDEEESIWENNTEEDNLDEQGTLTPAVDAFTAQ -IPPNFKQYLIDNIVATPVCNHIYLNRENMNRVILKGDSISIFDIQTNTRIDFDYHCCSPRCAEQHADTLV -ELYTNYAERNVLHLRSLIIQYHNRRLDEALKNIPTPLRPPWMDTSIAKILTKDWWYYLSSSMDYIKTPLL -VLTGMTAVIGGAIYAYQTIVSLTCQIQPEAGIVGSEEIQARQSRIAARHIVQPRAYFAQNAREEMIDGDV -PPDAFKAVQKKVALNTTAIHVTTTSGRSQLFYGTGLFGNVLLIPRHYYKHIVEHMAKGSKITVYRVQQPQ -KRVELNITLNDIYASDITDIAYVRMPSSFNMFKDLRSYLCTVGDVNGNLPSEALFLSNPGRSHTTMHSVN -LDLYGITKKQTVMDENTYFTIEDALHYNYSEPGACGSLILIENTQRPILAMHVAGVGTRTSGEGWGVLLL -KENLDSLPATLPASQCITDTVDLDLRPLAEMKFIYEDDVNILYLGAVEPTMVPYIPTKSKLVPSLLYQEP -GLEVNIAPAILSRDDKRYLHKESPLWAGVKKHGVNVREIPWDAVDCVGEWYWDGWLSKMKPSTLNPAPLS -LDQNVCGINIDHYNGIDLQTSVGYPYIVNDKERKKKSDYIEVIRNEQLQPIAVKRIDESVVREMEKFEEY -ANRDKVYPSIFIDTLKDEKRPIEKLMKLGGTRVFCNGPLHNVLLVRKYFLHFIAAFMKNRHQLLHAVGVN -VLSDEWTRIASTMLAQNSSASTLDYHNFGAGFSATVARKAYELILRWTQQHVKNHDGTDLNMKVLVALTQ -DCLNSTHIVNNTVYMQGCGSPSGSVFTTTINTMVNILYLFLSVKHFYKPNPTNYMHPVTFIKKKMAIYAY -GDDLIFTVDPQYADLLNSVTISEFLAEYNIIATDAIKTSTISPYKSLLESTFLKRRFYPHPKRTGMFLSP -LEEYSIKSCTQWVWKSP ->APD13905.1 polyprotein, partial [Xysticus cristatus iflavirus] -MSHNVAGYNRRTYGNMGGNRADGLCAISFYTPNTNFPSNSSISCRIMEEIECVWSMGGCGSDTSNFLHTY -PDHTEETTIIHCLTSYNTSSATHYERTIEIFRKCLGGVLVIQLYGANSYNTYISTITKCYDAVNKSLYDL -LGGIPTVQMETAQGDMTQTSDQAGNTIVTRDDDVEQAEEAGISIPNLRQICSTEPEYTFDTVVNRWMALD -TIKFSINDAFNTMLKVYNLPKFLYTESFAPNLMPFQNFMYGNYNIEFKFVVNANKFHVGKIVCAVKYDSY -QIDGIRNDCVSLLARPHVVLDMSASNEAMLAVPFKYHRTYVRNASASTSNFGIRDAQYATVNVVVLSPLR -IGPDAPMSVGIRPFYRIKEAGFTGMSYAVPVVQMESILGAMLLERGLKFAGKILNKDKPVDLERQAQYVP -KPRMNFSTGKGASDATPMKMDPATMTTFLDDHIYPEDPLTTLDIARIWGFSGKFSWDVNNAEGDLLYTQP -IDPTWRINGDYDGVPTPLEYISSMYMFWSGPLEIRLDFVSNAFHTGSIMMSAEYGRNATTLVTASSSYTK -VFDLRDQRSVTFVVPYIFDTVWRRTQCVPFCPIETGKEKGHYGFNALLRTMSSMFSIRVVNPLVPITSVT -TTIDVLLFIRAGEKFTLHSPIMANLLNNESSYALDGFPGQYPTAISSNSLIQFSPLMLEGKTGKQLRHYW -QSNIAPNIRNAPLEKEIEVPVVIKDAEPIDVEQRELERQQAVEERAKSNNPQGGLAQLIEFKKRNAAFNT -SYAAAPYTVRSANGTNIIVDVAGGKPLTFSPQSDTIYSTQNSQWIPFREFHRYNSGFVWQRFMRALMDVN -VTELPVVQMDQGSNEDKDSTNVFNQGVIRKFVQTVDSHINIKDLLRRPVMIIYDQAVEAFTGTNYYYIPC -MPPSHMMALLAPNTANSIYTANLSRSYHVHLNDMFRFWRGSQRWTIMTRGTHAQVYITYIPHSGARVLGQ -LKYANVVGGSCPLGSFGLSTEVILPTVNPSIVVETPFDMEDNWALMSEEQANRNYTWRDKGDTNCGHLLI -WSPAKFSYDMWWSAGDDFSVSNFIGIPPCKNGINQYYLTDNYPQVQMDAITSTLTGMAGSIFTSSNIANA -CTALPVMVGGAMVGATCYKANKVIDRAEVVGDDITGQLNQLIGDLAKQFSWKHNMVTWMTDTLFDLVLCF -VNWNITTLAITILRMMGHIFSLSLTSLLKYTETLVSLFSSKGAATQADLPPELISGPYWTESKIGKLVGV -IIGIIGLAAGITFRMPSASFLSKCYYLFIDIKTFAYMNNIIRFITSIFDLIFDCMKWCCSQTNITVSAIE -QLKGRDDMLSDFVKETHTLLDETNSGMFGNPQFKLRFWCNTIRARQIQQEIVKLPSNQVSAILSKMCNDM -IKKANEKMCDLRSCPIKYEPMVICINGPSNVGKSTMVRFMVPKLLAAMGINNYPADAVYVRMQTSRFWNG -YTDQPIVLIDDWLNIGDSTMIAEALNELYMMKSPATFIPEQAALEDKKARASPKLLIILCNNAFPEALIK -PHAPHPEAVLRRRDVIIEVTRKLEYAKTDLRAMSPEDSRGCVHLEASFYKDALKADSLDEKKFNFDELGD -ELARLATIYDKQELQKVGLAFTAFQEQCGVNFGNLDDDPFSIFYNHIHFAQQEANPHLLPSDQLAIYINN -LAVQLQRPGVTIEGNIDGVMNEIPSTQGFFDDVKSDYVNVRDWIRKRIGALTGRDYGVGKCAYCKKYTKG -FAVCSGERSSGMQHNKQHWLCQRCYDMFSGKTLHGDLMGTNPKHGTCPVCRRSTHPVLDKKASLLVASIW -EFRKHKDVAAMVASAWNDINRADPFNNCILTYLVIAAIQIGTALVLVAMDDSTVTINLNGVQVQMDDEPN -PTLVDLIARERMEDISVLPYPAELPDELISQLQAKSASKSCQTLISTGDGWTQTHADYEEESLMNPNFVF -KFPKRNESDPPLNRDVDEFENVPKRTPPIDKSNVDLRTNYAREYELAALQRAKYKPDPSLYGFNYKGFTS -MRDSLFVDSKFPNVNGKVEHIHYCEHERAITNMHSVRYEQGKFVYISGGSYATLEFKACHEKCQWRSEQY -RLRFCQTYFDTHIKTMRPMIMEMINTPATRDVAMEQFPHFMLPPWTSTEPVISLDEKWYESSRISPVFQK -AFKYLGALLIAFGVMKSMSWLYAKFVGSGLGTQAPLPSGDSVIRHFKPKIQKVGRSELRTEGMAVNEGVM -DKIMKNYITINTFVEGKLMRSFIGIGIKQRKALIPRHYFNWIKDNIHQLSSITLGRTYEKGQTIPYKFVE -RDFMVSETSDIAVFYMPASTNMFPDIVKYIFTDADYTKKYASTGCLIRPPKKSDGIFQRMEIDILKCVPE -CSIAEDGKLQTFKHLLQYNYSEPGACGSVVMVNSHQRPIMSMHVAGTGDGFAGIGYGVLLSQEMLAEIPD -DPVSVQAMDLEEPEWMGDIENAKIHLPLDTNVQVLGTLPPNLTPFTPYTSKIKKSLISDRLPWRSSKEPA -ILSKKDPRYTHDISPLIAGCAKHGRLTYDFSSAQLQEVMEVRGAKLSKMKALISNATKLTCEEAVSGFDF -IDYYETIKLDTSAGWPLCCSPHTLKKSYCNVEKNELGETISVVIDKVVLAEIERKTQLRQQGIVPTTVFI -DTLKDEKKDKTKLLKLGGTRVFCASPFDYTISMRQNFLHFVAAYMAQRHDLNHSVGININGTEITRLVRA -LLAKGDKIVTIDYSNFGPGFNAGVAANVKNNMIEWTMNNVSGCHEAELHCLIEEGINSVHAMNNFVYKQK -GGSPSGSPITVIINSEVNVQYIYLAWLNLCGEREARWSKFEENVALFVYGDDLIMSVSDAYIGRFNGVTI -QEFFQKYNIISTDASKSEKVKPYESIKEATFLKKHFDNHPYHRLEWIAKSDLDSVHDCPHWIQESVALKE -ATQVNAEACLREAYGHGREFFDKLRSALNVALRSVRIGPILITWDE ->APF29088.1 polyprotein [Diabrotica virgifera virgifera virus 1] -MSFCGGVFDKTSPAGPGKLQKIRLTCYELSQVDLLYAHYSWDSIMETTQNKNQTNQNTETKTNANTKSES -INRIRLTKAMQRTYSKEQLKRRADEYHIRTGKSMFRTIQIWISKLETLENCYEAIQLIHDSRDLQFILQV -NQNYDMFYGIFYRDNIKTHRIKLNTILLGLNRRYEVIERSKRRGEVLIGTKYLTDILSCLNHIMQQQFEP -ADAQVDAEEEQATVSGQATSVGDKQSNTIVTRDTIQTKNLSATKLPRKLITQMCNSEETATFPIWQHRFI -SFKTLTFSTTNKTGDVVAKYTFPRDLYAEATTPLVRPYTQFGLNRTNITVKVKVNSNKFNCGKYIISYMY -DPLSAVSTFKNDGDWTSPQAATQRGHALLDLNESNEAELTIPYVSKCPYTTSLPSAEKYFAGAATIGVAL -QCLAPLRTGSATSTTVPVNIYISTPETQFIGMRYEVAPSADAQGGAYSTFKDVSKDVIGAISAVPLLGGV -VKVAGNLVGKSVLAIERTVMKPETVANIEKDLKYVGIINNRDKPRNPNGPNNLLPDATGPLAVGTNVTES -LRYMGLDPTATCVHLPDHQIGEPIRNIKDLIRVWQYQDTFTWAQSDLPGKQLFTIIPAPGYMNDFTKTAV -GQRNALQCFSQYFTYYAGTIEFRFDFVSTQFHTGLVKIAFVPYRDDFTDDQANSGYFKVFDLRSVTFTVP -YISPTVVRNCQGTPFDDVGYSKPTIGSLKMFVQNPLTPILSVSTTIDVLVYVRAGPDFAFSYPRPTSETL -PDVPNIAKLAFGFKANTTEAADAQMESGEDADVDSTPDFGGLLPGATLLQTFEEHMDIKTICRRWVYKES -YTADSHGVIIFPVTLPYVKRNDQQRDYSLTAYIMRNVRYYRGSMNLMLEFHGSPTFPRVMHVPQDAMLGT -TDEWPAITNSHTLGKMFSGYASEIVVTNINPILKLNIPMHGPFDYLDSQLDSLASPGYAQGWLDRVATNL -GHIIITECTGGSINLYWSMGDDAEIYCWNPSSYLYVGDKPAPVETALKTIDTQKEKKPADAQMESEDDDD -TEIDDPIMSEYTGPTTETIPPARKFFSLSGFGRRACDRVYDAFVSTAQPIVRVKQTVSELPTISDSLKRT -ISKVDDAMDYLKEIATNMVNGIKKSCSWLTSCGTIFSCILHFLQAIINPTWKAFSIAIVGVITSLGCFTA -DFGNKLLDFFMKSRAGRSAEEQPTAEQADAQCRHSECNICTDECRTNCRTCQNDGRIFDDNTIGTLVGLV -FASVSAMLGYSGEKPETKMFTKLLNISKNFWTTIRGATSFLTTMVTLIRRIYNYCAKRIGRVAATQALTA -SANTQALESFIKEATYMIDERNIQQINSNPSFKYRFWYTVSAAYQHLARITASAMPNTSALARLCYKVIE -QGNKLAIQSMACPVRYEPFVLSIEGDSKLGKSFMLQDMLPQLLQSDAYNLTTYDAPVYVRTPGVEFWNGY -RNQPCILYDDFLAVNIPEIAVSQVVELYNLKSSALFNVNMAHLETKEMVGNPFVVALATNDAFTVVNGTI -CPPAFLRRKEHLWKVSMVEKYRGFNIHDIPDAVKEKFEHLEFQRYMDPADHTSLTGPIIGYAKWFKLIVE -ECKKYHEREKKNVKRRMDRLTALLPETASAMMTEVDPFNIFYGAYHKAADEVPTQGGFLPSELVDEQLRM -TTRIVRLEEEARRGSVQPAILTLTNEEHVALIERNDLANQQQLMLALETDEPDAQGPMSWLCPPELRTWY -NRMKRNILPQPPTLDQMEQGECSVCREETHLPFTCAGRHHLCEQCNRGMRLASYDEDGPEYRCGVCRQEI -IDVRLTPEYWTHAHGTIYWLYVAHNKMTRMGRKLMSGLKTVMCSRAFKISISVMLTVAYISFCTYIVAEG -EFKRAETLNLFHNAWNDRDDFYLITVMKRWGPFFYTTDEYVDHNTYYANAQSEDDDLIPISDEEYEREQA -GTSHGYELEKEDLTVDKPEWSWISGKASRDLQQYIKEIPEHLTAETRCRHINLLRVPVKEISYERDDNNI -GWWHMERSGKVADNPCQYEDCPFKDSEERHRFLNNWAIGNPLTIDMIRRAYDPNRGILSWQLPTEYMTPQ -LQAGLNRIHEDLQQVIDNIRQRTWTERLGGAWQSIKRCLKVLGMILVGLGSLVGAIALVKCMVTSGTIAD -TTPVAQVVSSGSMVTRHIQRKMFRPRITTNLPEAQIRQDIEERAVKVIERNTVFLRLTREGYNEVKLLRG -IGLFGRVAVFPGHFGKLILSSYEKNPTGVTLEIEQFMHRDCKSTVEVSKDMFVFSENDFCYMKTPQDFPL -FKDITNQIPTAEQHTHIGGAYLHVECVDKRQMINTIPGVIQGNIDRQAIRGTTNWSAYEIFDVYLTTYGK -DGSCGSVLVSDCNTPLFAMHVAGHNNNPLNNTGYAIPLIREDVEALKQGQAVLSYWRPNLKKAVDAQMSL -PGCINPIGAVRKQDVAFMPKVTKIIPSNLQGVLTDMDGTILEAKTQPGILDSADERYKFDKSPLYYGCLK -HTKPPKRFEETLLDQCVEEVKCDFRASMVPMRDITTPLTYEQAISGFNHLEYYDSMDLSTSAGWPWNTQA -KKVKSEYIKIVRDKHSTVTEVKIDPEVVKVLDRNSKLRQEGTRPFTVFLDWLKDERRKEKKLALADGTRI -FSLSPMDLTIQMRQRFLDFAASFMFNRELLQHAVGISTDGPEWSRLANGLLANSDKIVTGDYSDFGPRLA -SQLVRAAFDIIIDWYKYNGQTDPAILQEMIVMTEEVSNAYHIMHDFIYETQCGIPSGNILTVIINSIVNM -LYIRYVWYKIFPTSTHQEFKGKVFLKTYGDDMIMSVKEDVLEKFNCRTISEILAQYDIVFTDAEKTGTKE -YDTIYNSTFLKSGFARHPTLTDQWLAPLDELSIKECALWIWKSYDNEKATIANAEQSLRLAYGRGQAYFK -QWKTQLNTALARLRYSNIPITWHQVDRLFFSEEVMLGQYEVCSRINEVLGLTEKERKPQAKEGNSERVLR -LLLDEPPQEAATMTKGQE ->APG78457.1 hypothetical protein [Hubei picorna-like virus 42] -MSFYALNMEGNTIIRGGDQSLRGGGAPTRVTTYQTIRQCYTVLNNKLDPYPETRDTTYNSARNYTAPFNS -WLMASGYKVAKYGQKDIPPLETGNHTYTSAIHTEWSTDEGSVIVSTKTKSTTVKEAKNMNCFDIFRQIRN -HLPQTQALLSPVDIQIEDSEDEEEFAYDYTYLDLLTRRMEKVPFYQNEVPEKQTETGDNTQKSEQAENTI -VTHDQQVTSIQPYFPLDKSGSISSSEGYSTFPDLTNRWMPLDNLVVTTSDDKAKLLKSYYFPESIYSAIK -CAPNLAPFETYIYGRMDISIKVLVNANKFMCGKLLVSSKYDSYQADDVQTGLQSALQRNHVILDLNANNE -AVLDIPFRYHRPFVRLLAKNMSRGVRSGKYCSLYFHVLSKLQTGKDGPSDCNFRVMFKLKNIEFAGMSYR -VSVQMDTGSKETNDPTDNFNPMLDNRFLQSLDQHINFKDLMRRPCLIVDNATLNYTNTGAFFIPLQPPNR -DWLPLNDGTANNIWSPTLQASTHMAITNLFRLWRGGMRYTIIVKNTDYPVYVSLIPHSGVRYMGNAEIYK -NPGWPLYGMNFITEIINPKVNNTITVETPYETENTWSLLWEEQPRRNYSWRDKGDTNAGHIAITSHAPSG -PQITVWWHAADDFELANFYGTPNTLFNGWKYRYADTDQPTSQGFGVEDILTPPTQRALREVLKGAENAID -QLGSSENRDKPPDLQSMAVVPHPRKNFASGKGPIDVIPLRINPYTATNYDQIAVPTDEPKTFYGLSRIWS -LYKSFDWSKESKINTVIGDIIIDPCCRNYSSDYSGEPTGLEYALGNYCFWSGVIELRFDFVSNSFHTGTI -QISAEFGRTTAETDLCQSSSTYTKIFHLGEQRTCSFNVPYIYDTAMRRTTANLINPYNRAETNQTIKQRA -ITIAPLSQTHVKIRVINELRPVAATPQSIEVLVFMRAGRNFCMRGLKGNSYIPIHLSPGVDDFPSNSYDP -PPTPAGRKKRDVEDPYKFDIPLAERNEWNEYKADYVRSPQDRAVPHVQCMEPLEPFHRMWNQFTRPFKMD -FRGNVRPSKEVSDITKEVLINAGLATIPLVGTPIVIARTTTRVIDNVDKVSAQMQETMCEVNKKMENVSV -SVLETMGVANETMESVASAIEHLSYGTATKISEVSDSIMDTNSKLQNLIENSQDHMSNIIHTVRDIVDRI -VGTSTSLISWGPLLFDTFLDIVNACIHRTWTSVGIAIVRFISKVFTITTDILARITTLGSAIGDCISRLL -APGVPTVQAETQIIPNIAGILLAIAGLVTGAHLRQQRHLTIPQTILEAICNSRGVSYILSVIRLVGIVFN -TFKDYVLECFGYVNPEVRALKMLADRSTIVEDFVREAQIITNESNTGLLSRPDYRVRMWKTILQAHQIQR -LIISAPNSVAVGPLYRLTTDVIRFGNEKFLDLAASPVRYEPFVIINGGEAGIGKSFITESIALELLRKIG -WQAPSSSLIFYRTSGERFWSGYRDQPVVVYDEWFNTNDSQRCADQIVEFMKLKSTSLFIPEMAHLEEKKI -HGNPLIIIINTNQIQPNLSDYAREPNAVMRRMDEVFHVHLQTNISREELANPDNVERFADFHHLQFTKYD -KRWLSGKVSYVPNNTRANYRNYMIYLGNQFARYHAKELRMVQRRMEALPGFAEAVANGNIQADPFTLFYG -LNQQLMSTPNLSQNGWTPYEQLEQAVNIIAERMEQREANPALIVPENMSWDHVIEMTRAQSEHLAPGETQ -ISGSYAVAGVLLDGSLLNLIATATKPTISRWLQEIRDTGIVYPCNICMEHTRCVYECENSTPETKHVICA -DCYTGLLNTGSGSQPNCSLCRIGQLRPILNSFDIYSMSIWKRALYFGLLSVETLLDAMIDYYQLRRTHWL -THGLIMWAISFAMQLCDMPVESTLFFGSYALSMRTSVVLGILNQAMTQSDNGLELLDSESETRSVWDDEN -SQNENDTARGTEMPVIDAFTARLPLNFKQYLKDNIKNTPVCNHMYLDANNIDRVILKGDTISIFDRSTNT -RIDFDYHCCSTRCVELHGDIIVAMYASYAARNVLHLRTSIIQYHNRRLEQALENVPTPLRPEWMDTSIAK -VMDKDWWYYLSSSVEYVKTPLLVLTGMTAVIGGAIYAYQMISSISHHIQPEASVIGSEEIQARQSRVASR -HIVQPRTYFAQNAQEEIIDADRPPDTFKAVQKKVAINTTALKITTTAGKTQLFYGTGLFGTVLLIPRHYY -KHIVEHMARGSKIIAFRVQQPQKCIELSLTLNDIYASDVTDIAYIKMPPSFNMFKDLRSYLCTADDINGN -LPSEALFLSNPGRSHTTMHSVNLDLYGITKKQTVMDDTSYFTIEDALHYNYSEPGACGSLILIENTQRPI -IAMHVAGVGTRTSGEGWGVLLLKESLESLPTILPTSQCIVETVDLDLKPLAEMKFIYEDEVNIFYLGAVD -PTMVPYIPTKSKLVPSLLYQEPGLSVDIEPAILSRDDKRYLHKESPLWAGVKKHGVTVQEISWDLVDCVG -EWYWDGWLSKMKPSVLNPAPLSLDQNVCGLSIDHYNGVDLQTSVGYPYIVNNKDKKKKSDYIEVVRNDQL -QPIAVSHIDDTVISEMEKFEYYAKQNKVYPSIFVDTLKDEKRPVEKLLKLGGTRVFCNGPLHNVLLVRKY -FLHFIAAFMKNRHQLLHAVGVNALSDEWSRIANALLTQNTNASTLDYHNFGAGFSAVVAQKAYELILRWT -QQHVKNHDGTDLDMRILVALTQDCLNSTHIVNNTVYMQGCGSPSGSVFTTTINTIVNILYMFLAVKHYYK -PNPTDYMHPVTFIKRNMSIYAYGDDLIFSVSPAYIEKINSATVSEFLATHNIVATDAVKTSIVTPYKPLL -QSTFLKRRFHPHPHRSGLFLSPLEEYSIKSCTQWVWKSPNKHMATRVNAAAALLNAHGWGPKYFATFKHL -LNNALVKKKIEPLAMQWTEIDDQFFNTGLDSMYDDIINDN ->AHL96303.1 polyprotein [Sacbrood virus] -MDDISPMFYGYVRSTDRFPRSGGIRRDLSAEYNSRARTYKTKLEARNCGVERLSTILTSCKKTFDTVDSY -TDLFNGWVSGMYVDKNVHYTEMSSDESGRRIWNVRRAVSIKTAEGIIVWRKVITSYSCRVASELAAKSIL -VQFVGPVRTQSDEVPSKESIQGDATQQSSKEENTIITRDQQQTVSEKIPSTVGDLAIASSEPTQQFRSLT -NRWMPINSIRVTVNSKRNDILAQYYIPEDFLLHYAKCAPNTIPFETYVYGKYELEMKFVANGNKFQCGKV -IISVKFDSYQADNINTGFQAALSRPHVMLDLSTNNEGVLKIPFRYHRAFVRNQTHKTATVGIRPGKFASI -YVQVLSPLQTGEGGANDMFIRPFYRYTRAEFAGMSYKVPLTQMDVIGTLLSGGPTPALKDILVGVERTLD -QLGRGNNQDKPKDISSITIIPKPRLGFPHGKGKSDAVAMRVNPVALTSFQDVNAYSDEPRTTLDIARIWG -LRSTFNWGSGDAHGKELFNTVLDPGLRFYDQDYEGQITPMEYVTGLYNFWSGPIELRFDFVSNAFHTGTV -IISAEYNRSSTNTDECQSHSTYTKTFHLGEQKSVHFTVPYIYDTVMRRNTASAYLPVTDYDKADNVSRAQ -ATGIRAESKMRVKVRVVNVLRPVASTTSIIEVLVYMRGGKNYALHGLKQSTYWPSRSVVPIDSFPPDGYD -PVKPTNRPTRGVGSPDSNGGKGQSAVAVPDNPHRFLPANVSNRWNEYSSAYLPRVQMDTGDKEDEDETAN -FSDGVTAMGFQSLDTQVLIKDILRRPVLLFNHVQLDPGYTGFFIPIMPPSRMMQYKSGDRETSFQRLIGR -TPQAAIMNLFRFWRGSLRYTIIIHSTDGHPIYVTHVPHTGNRVYGLMKVNNLHEYTKVPIFGCGLTTEMI -IPSVNPSICVEVPFDTENNWAVTFEEDAQRNYSWRDKGDTVTGHLVVTPVVPVYMSVWVEAGDDFEVSNF -YGPPIVKTNDWNYAFSDEHARVQMDDSVERVYDEGTQTYYYPAPKPEGFSLNNVRTSVSTLCNMLGKVVT -PERAMKTALCATPYFGSAYMTATTLDAIGSMQNTVTGAAHQLTASVDARLEQLSAKFGDSIDVITTAVKE -AIGKISSGMFNMVNYTGYCIDVILDILVAWIDRSWTAVGVGIIRFVTKVLGLGAISKVMNMATTFGQLIA -RVYEPPRPVVQAPPPTEATLTGALAGILGTLMGVYISPLSGGSYFKNLMLRMTSSAGPSYLVGVLRFVEA -TFNTVKDMILNALGYVSPENAALKMLSGTSATIQNFITDAQLITTEANAALVGHPSFRAKYWNTVMQAYQ -IQKLLLTVPQSSASPILSRLCSDVIRNSNEKFIDISSSPVRYEPFVICIEGPAGIGKSEIVETLATALLK -GVNLKRPHSGATYFRMPGSRFWSGYRDQPVVVYDDWANLTEPQALMQQISELYQLKSTSTFIPEMAHLEE -KKIRGNPLIVILLCNHAFPDSAVTNMSLEPSAIYRRRDVLLYAERKPEYEGVSLRDMSVNEQTTFAHLNF -YKYKDSTNASSCTSKPVGYELTKEWLVAKFAKWHAQEQIKVQRRMENIRAGMYDAEVGSLRLEDPFSLYY -SVSSEVIENNEDVTTGFLPSEILAFECKRIANVIDAHQSSVREIVIPDEPRDPFVTTQGDFAGVFMGAAL -GRVVLEKVCSFSSELINYAIDWIISKHNVIHECCVCKETKGIAWYCLDSAQLAPQSTHYMCNGCMVASRA -ANREVVQCPMCRSPNFERWGTYQQMTGITIVGRALIMGLITVDKGVNVLRRMLGGTFGAMYAAIMRIAAT -LHPSMSERTAELLRMTGALVDMSEYTVRELQHVVTQIDDPFESGDEDDDVGTSKIHWRDIVTFDFQEDVA -RSLMREREITNIPCLHILLGGALHHVSYRDGGYNVPNGGTMVRVPELPCTSDCYFSDMEAFKSFAQRYKE -EKKIEIQSYLLGFINNQHSQDYYRKRVPRVFQPYWMRANEELALEIHNITMDSWYQRVGDSFANYRTLIV -AAAGLVMAVGSIFGMYKFFSIGTNPARVEFVPSGDEITRNLKRTTRTLQRTRTERPHFQQVHEHPSLDSV -VKKYVARNYITISLYKPAGRVKVNRMWYLWDSGFITEALCAVPIKEAWEKSLKITITPALLEHEEHVYTY -DAADFTISESTDLAIWVLSPSFGMFKDIRKFIATDEDLSKPITTEGSLLLAPTNRNPVLKEQSIEILGLQ -NEMQVSELNGTVFYASDVICYDYSQQGACGSLCFLSRSQRPIVGMHFAGRGEGSCGEGYGVILTKEAIGD -ILALKSQPVVQLEDWEGPSLEEAKIILPETNVSYIGAVTKEQTPYLPKKTKIRPSLIQNVGDLHPVSEPC -ILDKTDSRYQHDDTPLVAGCKKHGRLTTDFGTTRVESAKEALWDGWLSKMKPLVVKPKLLTPEEAASGFP -DIPYYDPMILNTSAGFPYVATEKKRKEDYIVFERNENEQPIGAIIDPSVLEEMKRKSELRRQGVQPITPF -IDTLKDERKLPEKVRKYGGTRVFCNPPIDYIVSMRQHYMHFVAAFMEQRFKLMHAVGINVQSTEWTLLAS -KLLAKGNNICTIDYSNFGPGFNAQIAKAAMELMVRWTMEHVEGVNEIEAHTLLHECLNSVHLVSNTLYQQ -KCGSPSGAPITVVINTLVNILYIFVAWETLVGSKERGQTWESFKQNIELFCYGDDLIMSVTDKYKETFNA -LTISQFLAQYGIVATDANKGEEVEAYTTLLDSTFLKHGFRPHEVYPHLWQSALAWSSINDTTQWIWECAD -LKLATRENCRAALYQAHGHGSVVYNRFKQQVNKALIKRKIQPIALSWKEIDDLFYPEISY ->YP_009315872.1 polyprotein [Bradson virus] -MQYVANNNTNISLLDTRRGGNIDGFNNNSAKSLQTRHFSKKSTKFFNDRTISTTGTNGNIQRTSSFDSSF -KEIFVRNAKIIPLCDFNFHLFDNWFNNLSIYAKEFGVRQTYGVNGERVSSYRFRYQLDRGTNAISVKGYE -GSKEKQCYQIICQIWNQKNIVIQMDLGQGQTEGDESTRAGNTIMTTASTMDSDNTITIPDPITLSKLSNT -EMTYEFPSITDRFQIFSDFKISTGNKVNELQKTYALPSALYSHSDVANLMPFKNFVYSDLDIEIRLVVNA -PRFGCGKLIMASFPDSFDGYGKSTCWSECMLQRPGHVIIDLAKTNQGIIRIPNQYKRTFVRNTQSATSLK -GIRTAEYATLQIEIFSQYKTGLDQPTTIPVQLSYRFVKAKFAGMSFGQTIEPQGLTDDLIDVGTTLVPAA -KPLEKILKRVGRIINQDKPYGDNCSTIVPKPRDNFSAGVGISDNIPLTLDHSSTVTILDEHVNLNDPKDL -VSLAKIFGLELRGTWKVEDAHGTTIIDWPVNPSAIVSTSSKCETTPSPLKYVCNMTNMWRGTIKVRLDIV -ANEFHTGTLQCETMFNRTTDDLTSMSSTYVKQFDLGDGQQSFEYTIPYIYDTPWRRNNIVPDFSVLPSDK -VVVNSAPPFDIGQYGAAQYLLTQTALAERCRTYFRVSVINPLTPIKPVAQAIEVLMSIAAGDDFNVHSIM -PQQLFRPLNPEGSTQDPPYGRFPMYYWNKNSVEGDPWIDPKKSILTTPQNHSKFEGIAKPKYSQLTDLDG -TTARVVVQGDFIDKTLDFTSGIAFRSFHTSDNQLEFKDIMRRNVMILNAQVPKAFLKIKKDKDGNWTDHS -QSIIRDEGKFDNGKAFVFGNIKQAYYIPIFCLNQFDARKPFKRCAVSPHAAISSLFRHWRGSIRYVFVFK -QVVDSPIYITYMPMTGTVLSGVQQTLTSVKLTVGMQPNAPYSDEESEVCDQIYMAETGMATEVCITRINQ -TCAVTVPFDTNLNRCVVSKRNRRNINKNAIVARDESSAISGHIVLQCDEEVDFDLFYSVGDDFELSDFIG -CSSYSQRVVPLMPDELVQSTSYASMSSGFQYSTLGNKDFQLNSLSSRATGPRINRRRYQYTLENNDINNT -TNNNVYGYQIGDVNEISYQMDYIDLASKLIVPATALGTGFFISRNAHRIGNSMRALTSQADSLLESAQGV -FDSFGNKASEVKNIVKESLVQVSEQWSTLMPEGMNLSAAVDLVLDIIRLVKNFNYLELSLVILKWASRVF -ELSVETLYKFKNKLADAVKPLVAYFSQEQNSEKYTNPGVSVFGTLLGIIGTGYGLKDLIKTKKCVDLTDA -FKVRMCDFRGLNYFLVAVNFVDYLFKTFQSIMRYIFGYVDPKTEVKTFLAGRSEQIKEFIDNVEIVTSPL -NTIMLKKPSFKVKVWKTAIFGINLKKELVKMDPSVACNQLINYCNQIIKFTVDKNTALTCAPTRYEPFVI -CLEGPSGIGKSSVNMEMICEILSSAGYDMHGVNPTYTRTPGKKHWDGYSGGLSITYDDWMNLTTSEQVSE -QIAELYELKSRSFFMPQMADLADKGLSANPRLITLLTNNAFPSVSVEGVTTHVEAVWRRRDVLVKVKSKY -DGLSNTLTPEQTQGYAHLLFSYSDPVNEEIINEASGWMDYKTFIADISERFQKYDAKEKLNMQRELQALC -KFSGDKTTIFDDPTDILNFYFTKQTMDQIIIPEEYPSNKLRLDLEKIMDKLDEDCLLKPVEIVNQGALDD -AINWFWAFFDPERNTKVKRACSHCDESTIFLVTDEDEEEDGIFHGLASHQLCIKCTVSASTCVHCLDRNS -KIKKPLRIARGTIIKLASMRKHAVDKYNDWWKKFSDGFAALDPTTRHCLKILCAQLVIIPAMMAAELLGN -TIAEYASQGINDEGDLEIEFEQQKFDEAIELEVVSSVTGKCMHELLLNEQGKNVWIYFDCMWRKNYNNGK -ADKLVHFANCGEGCVLRSEEGKTKYKEWINRNCELSFESYLYHAQRVMDPTSTGIESNDYLAIVPPCYRI -ANLIVKSQDFFTIVDEDKYACVEELKSWSITFPKWFKNALTIVGIGSAVLGSFLLVSKLIKAFLPATQII -SSGSMQTRHFLKNRMRVVKTSRIKTQNHEQLKETLIDKIVRNYIIIEIYAGKNLLTYMVAAGIYNNTAIM -PKHYLEKIRNCIKSNNEIKIILTPVRSTNIQVSYAFSEEDFIEAEDTADICIFNMPKTVGMFKDIRGFIC -KEKDLGSISSSGYLIQVPKKTKSVIREQSIKIHGFRKDVEVRNDSEVMHNLDSLHYNFSENGACGSLVCR -DNHTRPILAMHFAGSTNICMYPEGFGVVLTQEMFEDLDSCVGLEEEEVELKPAENAKMLLPASVEVQSIG -TIPKAVFMPVKSKIIPSKVQPFLEAPKTEPAFLSKLQPGYPHNDSPLLLGCKKHGELTTNFPTHIVNEVA -EALWELKYSNLQPIIANPRHLTYKEAIGGLNLPGYEAIKLDTSMGYPYVLEKETLKKNYIEIMRGEDGEV -THVYVNKNVLEKQIEVDSMRAEGIRPFLPYVDELKDERKKKEKKVKPGSTRVFCMSSIHNTIPVRANFLH -FAAAYTAARFDLNHAVGISRNGPEWTKLVNVLSQVSLNNVVTMDYSNFGPGYNLMVNAKGHEIIKKWTLK -NVSGVNETELDVLGWEHYNSDHIMNDLVYRQYSGGPSGDALTVVKNGLVNEMYILLAWRYLMGDWCILND -KPIYPTFFKLTKLVTYGDDLIMAIDDSIKNLFNGVTIKNFLAEFKITATDALKTGEDVPYTSILEASFLK -SGFKPHPKFRGEWLAPLEQLSVEEVPKWIRQCDNLNEATEQNCEAGLREAFGHGPAFFDETRKVLNEALC -NAGLHTIYLTWDELDKNFFKHKYDAIYAKADNNQTINNQYINQDINNQNINHIKQDINNQNINHVSTTLE -VEIGSSPMLTSIEKSNGVTPNLE ->AEM65163.1 polyprotein, partial [Tomato matilda virus] -GSHAKKQHLDVENALCRPHVILDLSCNNEGNLHIPFRFRRAMIRNILHPQASLSTRTGEYATVIVQVFSQ -LRAAPGQINRMSIRPFFHIAKAEFAGLTYRYSVTAQSVRVETQMDVIRTVSQSQGFQGLLRDIEGILDVG -NNTNCDKPTELKRNIVVPCPRMNFCTGKGPVDAMVLRMNPSVMTPAKHISSYPDEPTDLLDVAKIWGLRN -TVLWRKSQNAGAALGKFVIDPTSRLYDGSFSGTPTPLEYVCSMYNFWSGTIELRLDFVSNAFHTGAIMIC -IEFGRQVGTGSEEIQKASSTYTKTFHLGEQKSVTVTIPYIYDTIWRRSTSLAFNPEMLEVSQDQKSRSLA -MNIRPRAFAQVVLRVINPLSPPDTITEEIEVQVFMRASESFRVHGLKQGSMYTLLERSPRANMDSFPRDE -YIKKKEAVRKARHVETQMDSGEKETLDPTDDFHTGQSNLQLQTLDVQTNIKDILRRPVLLFLDQSIEPYK -VNKSMGFFIPLMPPSKMMAHIPGNEPVFSELVNQNPAAMIMNMFRFWRGSMRYTIIIKSGTTGAVYLTYV -SHTGTRILGNQNVGNYTNPQFRPIFASGLPTEIMVPTVNSSCQIEVPYETTNNWTLTFEDDAGDNYSWRD -KGDTNAGHLVLSTNLPITVDVWWSAGDDFQVANFYGIPAIEWDDADAALSDERIPVAVTKKRIETQMDGM -MDFTWFDTANLRSCVNNTLNNLTATSVATAAMSSLPVVGNIVGALATNANITSVRSKTEKTLTNVDTLIE -NKISPAIDDIHQLVELVKGKIEEGLGSVIGWTKALTDLLNALFLTSASNSLWPVTTVVVNILKDFAISSI -SPQYLTEVSQRLHQSLSNLFGSTTQTQALGEDEHHIIVSILVGIIGTIMGVVLDPKKKRNLGIELAQTFT -EAKTMTYLNGVFVYVSRVISTIQNLIYEALGLVDPEAAALKFIMEDNKSMEKFIKEAQVVTSESMKHARG -TPAFRQRYFVVIAQALQIQRAIMTTRGNPAAAVLMRFVTDILKKADEHMIDLRQCPYKMVPWVLTLEGAS -GIGKSYMAKHLAAELHDEIGVKPSPAGIIYTYQSGAAHWNNYGGQHVILVDDYGQRTDPESIKAETDMLF -NLVTDAAYTPPMAALEDKKIYANPLSVLLLTNDAYANHLTAQNHDKEAYLRRRNIVVKVSVKEHYQGFVV -DELPPSVLENFDHLEFQMYNSVTDSSRGFYPEKLSYQQFVTYARNNFHVHYNKKTQIMKDDLRKLQAKIM -GQPIEEVVMGDPYKLFAEFNVDCLMEENLQHETLARLKEYAQAVVRSTRNLEENVEVVAPMPNPFAQAQV -QLGETLLHQIEDAWLLLKNGATGVGLVFGDMLQGYTCATCERANVCEYMCKRTSKRWCRECVQNMDVQCM -LICPECRERHLQYYHSARSMALAGIAAMFATTAVDALAVIFSGKMHLLRTAAVLVMYAYVTRAVYYSHHP -ETQMGTETAVKTRYGEFEMEVVVDEVSAQACSSTRFEENLPCRHQALIDQRRNAIHVEGTTFRVLVDVDG -TTEFLMVDIRPCGDHCPLADSTTLLAWMRNYALERKTEILRAYIAYLNEPARRDYHRNSIPPFVRPDWMT -EIEIVFEKTWWTYLSEKFEKWKGVLSIVAAVGVGLSAIIALYRMFMPEADTQFGANWSGTSERSYMPRTT -RNIYTRATRVDLETETQSGSLYSSTLIKETAAKIFRNQVVFRVWRENVPVRSMVGVGIRNRQVLVPRHYV -DELQRSSKMGKRITVELAYAAVGSQNHTRQEYMFEPESITRSAETDLAIIMLPESVSLFKDIVNMFWTEA -DARSMHSSEGLLNLPCAVADTGRMVIRVDLEEPQANIVAYDPDGTKVVTRHVIPYNFSEKGACGAILLLP -NTTRPIATMHTCGISNGPGFGVIVTQEMLAELAPVNVTQMEDKQFCEFEEVKPTIVFDESVNINYLGAVP -SEQRPFMQKKSKIIPSDINNQYGFVAKTQPAILDVSDSRWKYDATPLYHGVKKHGIVLPPIPFSAIKSAT -EFVYINYFQQMKPLIVKPRRLTHKEAIVGLPMTPFYDAMKLTTSAGYPWSHMIAQKNKLAWITPIVDDNQ -QMVDCEIREELVQELIRKEKLRRKGVVPISIFTDTLKDERRPIQKVNSKGGTRVFCASPVDYTIALRQNL -LHFCAATMKNRITNSTAVGINPLGPEWSKIAHKLLSRSATNIMNMDYSNFGPCFHATLCKAAGSIMKNWT -IENVDDIDEVELECLIEECVNSVHIVQGTVYQQQSGSPSGAAFTVIINSIVNLLYMAIAWQSLAQEHCAQ -KSRSYWEEYTKNVSLIVYGDDLIAAVSEEYKRLFNPETIIASLARYGIVATDANKGEKAMFVTLEKASFL -KRGFKRHGSAEGIWYAPLRWDVIEEIPQWRKKGLDKKQCMIATTYTALIEAHGHGKTKFNELKRKMNAAV -SARNLHAQQVIEWEEVEALWQNEKLYIDALPSFDIGEELVKGF diff --git a/seq/clusters_seq/cluster_167 b/seq/clusters_seq/cluster_167 deleted file mode 100644 index 02fd8a1..0000000 --- a/seq/clusters_seq/cluster_167 +++ /dev/null @@ -1,385 +0,0 @@ ->YP_009259667.1 P95 replicase [Pelargonium leaf curl virus] -MEGLKAESTTTVGINCNFQQTVMTETGDKVMFGSLPSVLDRPVAKRNYYRTLSNWVLTYTKTGKIEDTHD -NAWSYVEDLVKTDFNAKYDWLAKRGVAREYRTAVGLAIIPGIPAIVANTRIVTGTCEVARVAVKLPKVLN -ILSRFTHVSVKVPYFYPRVDVSARGVLIGLAAAAALVPICAGIAYATLPREKLSVFRLKTEVRVHMEDEK -EATDLLLVEPARELKGKDGEDLLTGSRLTKVIASTRRPRRRPYAAKIAQVARAKVGYLKNSPENRLIYQR -VLIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGVEESAALWGSQESLGVKXGGLVRLPGVVTQINRDIPS -DVLLPQEVLEVRAGPPNAKDRNIFMVAGCPSQARFLVHNHCLKNLKRGLVERVFCVERNGKLTRTPQPTK -GAFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYNGAKLRTYTRAVESLHITPVSERDSHLTTFVKAEKIST -SKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMKAVDGVFGETTCIKGYTADEVGAIFRAKWDRFDKPVA -IGLDASRFDQHCSVEALQYEHSFYRAMYPGNKLLSKLLEWQLHNKGKGYVPDGTITYRKEGCRMSGDINT -SLGNYLLMCAMVHGYMRHLGINEFSLANCGDDCVLILERRNLKQVQRTLPEYFLNLGYTMKVELPVFQLE -EVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVNNIRDLATRRAWSNAQHHGGLALSAGIPVVEAFYSRF -KLYDTPRKHQRIDTVTNVHKWRGSGGSYVVTPESRASFWAAFGLTGDEQLALEDRLERWEMDLFGEEGVD -AHEPSILDSAVA - ->NP_612580.2 replicase [Carnation Italian ringspot virus] -MEGLKAESTTTVGINCNFQQTVMTETGNKLMFGSLPSSLERPVAKRNYYRTLSDWILTYTKTGKIEDTHD -NAWSYVEDLVKTDFNAKYDWLAKRGVARDYRTAVGLAIIPGIPAIVANTRIVTGTCEVAGVAVKLPKALN -MLSRFTHASVKVPYFYPRVDMSARGMLIGLAAAAALVPICAGIAYATLPREKLSVFRLKSEVRVHMEDEK -EATDLLLVEPARELKGKDGEDLLTGSRLTKVNARAGRPRRRPYAAKIAQVARAKVGYLKNSPENRLIYQR -VLIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGVEESAALWGSQESLGVKXGGLVRLPGVVTQINRDIPS -DVLLPQEVLEVRTGPPNAKDRNIFMVAGCPSQARFLVHNHCLKNLKRGLVERVFCVERNGKLTRTPQPTK -GAFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYNGAKLRTYTRAVESLHITPVSERDSHLTTFVKAEKIST -SKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMKAVDGVFGETTCIKGYTADEVGAIFREKWDRFHKPVA -IGLDASRFDQHCSVEALQYEHSFYRAMYPGNKLLGKLLDWQLHNKGKGYVPDGTITYRKEGCRMSGDINT -SLGNYLLMCAMVHGYMRHLGINEFSLANCGDDCVLILERRNLKQVQKTLPEYFLNLGYTMKVEPPVFQLE -EVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVNNIRDLATRRAWSNAQHHGGLALSAGIPVVERFYSRF -ALYDVPRKHQRIDTVTNVHKWRGSGGSYVVTPESRASFWAAFGLTGDEQLALEDRLDRWEMDLFGEEGVD -AHEPSILDSAVA - ->YP_009407913.1 RNA directed-RNA polymerase [Rice virus A] -MGIGFLSQRLTTVTRVEVEVPFGSVEYEDPRVVSALSTGGLKEPATERGQIVTGLKMAWWLLLAPFWLPA -WVCRKILVAICSLVMVFYRWCARVDQRIRAVVRSVVEVCQDVAIICRASFNYRMRALIVLLAVVFVSLFG -LLGLLVWSGSVAGWVCCYLPTDFKYYLKFLRKLEDAWTKSLECPELEDQKSVPLVKKERNTFACRLATRA -ISRVGLLKPTKANALVYQKVILDEMRELNVRYADRLRVLPVAIAACLERPEEVQSVERCIEHLCSASANL -XGCLVRREGVDTHVDRRSFDLSRVDGVSPSEVLTVTRGVTGKGSRTWYSFNSPAHTYEYLVHNSSLINVV -RGLVERVFCVVGKNGQLVRPPRPDKGVFTTKMGAVGKLLSASVGYCHRMTVDEFVNSYHGSRRDSYARAA -ETLSDRGLTVRDSYLSTFVKAEKINCTLKPDPAPRVIQPRGQRYNIEVGRYLKPLEPLLMKAIDKMWGSP -TAIKGYTVEKVASILDAKRRRFREPVYVGLDASRFDQHCSVEALQWEHSVYNAIYKSEYLAELLSWQLRN -RGTAFVPDGKVKYSVDGCRMSGDMNTSMGNYLIMSSLCYVYCQEVGLKAELMNCGDDCVLIMEKKDLGLM -SSLPAWFLRMGYTMKVETPVYDMEKVEFCQMHPVYCSRGWVMVRRPDTVMTKDCCVVRGNMTLKRLSAWL -HAQSVGGASLCGDIPVLGAFYRCFQGEEGGEDSEYAAPHKFRAGQQCGSISSASRYSFWLAFGLTPDDQL -ALEEELAKFTFSTTYGEYVKSGASLLDYCCR - ->YP_009345057.1 hypothetical protein 2 [Xinzhou nematode virus 7] -MGLGASAMVAMHSSVDMQTSMPTAGVVIGGVISRGPFKPLRVGATIRYGYYGRRPQSTRIQSLFPDVEGL -LPLETRTVNVHLVCWENEIVCLRNRVLMQTPQPRPHSMGRLQLHGHALCSIVLSFIGRLTPWSLSKTLRH -MVVRHGAALYVPAAESLRQEPLGSIDARVSMFLKVEKVFAEDPAEKAPRAIQYRGPRFNLVLGRFILAYE -EAFYRAFNHVNASRCHTSKGLTPDARAALLWELWSKNPRPAALNVDASRFDAHVTVEMLLLESLYYESSF -PNCRLLRWLLRCQRRNRGCGKFGTTYTLKGGRMSGDVNTALGNTLIQMTVLSFLAGRSFDLVVEGDDAVI -FGDVDDITRLEAVITSKALAVGFQLKVSKALYLEQLEYCSTRVIRSQSGDVRAIREWPRPLAMDRYTVKI -VSGQRATNRKARTMAVCFMSLYSGLPVYEEWARYLLSWSDPSFGVDESQDRRLWFIAAEACVGTGDQVPA -DGLRSSFYMATTIEPSEQLRVEGLLRDQRGPHPAYILGGELRALRRAAAQLQNSAPSS - ->YP_009337657.1 hypothetical protein 2 [Changjiang tombus-like virus 11] -MFKRAQTIRQYWSEFKKPVFVGLDASRFDQHVSREALEFEHGLYTEVFRDPFLAYLLNMQIDQVGYANMA -DGSVKYNVEGCRASGDMNTALGNVFLMCVITYNYLHALPCKWRFINDGDDCGIFIEHADMHLLDELPSHH -LLYGFEMEVEDPVYIIERVEFCQSRPVQLNEEEWMMVRNIHKAIKHDWICITSRDWATTEENLVATSACG -LALFGDVPVLGPMYQAMSRFPHRQRVVDKLLETKEGWRSLLTGHRFNPVDSTIARVSIYKAFGMLPDEQV -ELEREFRAFDPQNIKDKTSLYSQPSVRVQYIIDT - ->YP_009337434.1 hypothetical protein 1 [Sanxia tombus-like virus 3] -MLEGVDTVPGVYPGISPTKLPGIPKNRKYLTMGQYICDYEVRTHNNSLNNLIRGVGERVLYTDKNCTPCI -KPARGGIFRDRCGVYMRTIAQRLGHQSPVSRQQFVEFYSGRRRAMYQKAVDGLALKPIRVLDSHLSTFIK -AEKLIFTLKPDPAPRVIQPRRPVYNVEVGKYLRPLESRIYDEIDNIFRSPTIMSKHNSVKQAEIIVSKWQ -QFQQPACVGLDASRFDQHVSEQALKFEHELYNSVFKCKRLAKLLTWQINNVGHARAADGKFTYRTKGSRM -SGDMNTSLGNKFLMCLMCKAYIDTKRIKIDFVNNGDDCLMFLERKHLSQLHNLQSYFADFGFKMVTEAPV -FELEHIEFCQSRPLKCNGIWRMTRNIRTCLARDVTAVNFGHDVCMYRAWLHDIANCGLAFAGDCPVYGSF -YRMLLRFGVEGNYHDRHDAYFNVYRTMSRGIDVETNQPDDYGRYSFWMQTGINPDAQFELEQYFDNAVWG -GDKRQFITNLSRLITHGS - ->YP_009336773.1 hypothetical protein 1 [Hubei tombus-like virus 10] -MPDLDLGIRVRSFGSPIRIRRSTYVSMVAGRRDVFVHNSSVHNALLAAKIRVLTAEVDGKLVPIEETRQP -TGHSHILDGVLTTMQRDLPILTPVPLEQFPGLYKAEKKKIYERAVSSLSVCPLTSDDSKIRAFIKFEKML -AKETGKPKAPRMISPPSPRFLVRTGCYVKPAEHAIYEAIDHMFGFKVVTKGMNFGEIGRLFKSHWDALDD -PVAFDVDVEKMDRSTSSEMLAWTHKLIHACYRGDDLDDIREMLKQQLSVRTTVKCDDGNIKYTVDGTLTS -GQMNTSLVGVSMVSSIMYTLFKERLDVPYRFVDAGDDCTVILDKRNADRFLCEVRKIFGEVGFAITIGPP -SVELEQIEFCQGHPVLVGSSYTMVRNAKDAAIKDATSLQPMDSLREMAVWMEAVAKCGIASHGGVPIASS -LYRCYARNSTRMQREMKMTQRQLKRFKLAVDKRKKDVVSWNQSNAEPMCALDVEPSPYTRLSYEKAFGLN -SVFQLRMEQYYDTLVINWSRPVSQAQTTYNPLWSTV - ->YP_009336562.1 hypothetical protein 1 [Hubei tombus-like virus 9] -MVSVQGYNSQPKFHPPGVRISDHATSSGREKNRHVLVDTGVPGVGLCYTHNNSADNLLRGLGERLLMVPT -GDTFSQPPRPCADAFRCLEEYRRKVVSKCPKQTGPLERDEFVSLYDGPKRKRYEAAARNLADRELQKADW -DINVFIKDETVCSWSKVDPAPRLISPRSPEYCLELGCYIKPVEKLLYKAVARVWGEVTIAKGLNFNQRGE -LIQQKWESFTEPVAVGLDASRFDQHVSTDALEFEHGFYTQLYPRSKKLPFLLSKQLLNEGRAYVDDKKIE -YSVRGSRMSGDMNTALGNCLIMTSLVWGYLKERSVVGKLINDGDDCVVIMEKADLFRFMDGLTEWFTQRG -FTMKVESPVYTLEEIEFCQCHPVFNGESYTMCRNVFKALFTDVAHVGRTWREVVGIRESISVAGAVWAKG -IPVLSAFYRSLSTGAPQVVPRHSGTWWNAQGCNTGTVEITPASRESFAKAFHLDPAEQAAIEQMYDTLPQ -LPFSDPNRMLTYNPTIPADQYPILISEPLQVLLFNGP - ->YP_459920.2 p89 protein [Maize necrotic streak virus] -MELLNKLFGTERPIYVGDFAIGVNKPRNIDMFDLVCRLALKYIRTGRVERDVGTLTEFLVVIAKNDCAAK -WDWLMRRGKGRDYIKPAIVAGIGILLPLLFAKRWYTRMATAVVTLPLTAVATYATLPRESLSAFKMRKEA -MADMGDESDATECLEVWKAKSIKGPDGEEVVTGSRITRVVAKVKPRRNRYAAKIAQVARSKVGYLSNTPE -NRLIYQRVLIEIMDKDCVRYCDRDALLPVAIGLCFVYQEGVREASQLWGSTESLGLKXGGLVRLPGTVTR -INNDIPSGVLQSQEVFQVRIGPPVSKERSIYMVSGCPSQARFLVHNHCLANLKRGLVERVFCVEKNGKLV -RTPQPAKGAFASLSPFRKAVVEEVGTAHRLGYDGFLSYYSGAKLRTYSNAVESLHIQSVNERDSHLTTFV -KAEKISTSKGDPAPRVIQPRSPRYNVELGRYLRHLESKLMKAVDTVYGETTCIKGYTADEVGMIFKNKWD -RFHKPVAIGLDASRFDQHCSVEALQFEHGFYKALYPNNKLLDKLLSWQLVNKGKGYVPDGGISYVKEGCR -MSGDINTSLGNYLLMCSMVYGFMRFVGINEYSLANCGDDCVLIVESRNLDQVQSKLPEYFFRLGFTMKVE -KPVYYLEEIEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVNNIRDIPTRKAWSNAQHVGGMALSSGIPVV -ETFYSRFRTYDVVKHQRIDTVTNQHKWRGAGGAYVVTPESRASFWQAFRLTGDEQQALEDRLMRWEMDLC -GIEGTDSCEPSVLDFAAA - ->YP_009037606.1 replicase [Moroccan pepper virus] -METIKRMIWPKKEIFVGDFATGVNRTAPVDIFQLVCRVVLRYMRTGKIECDSDSMTKFVVELLKTDCAAK -WEWFMKRRQRGDYIVPLSIASIPIIPLLSYATRVRAVSVKAFGNELTFNIRVPRPSVPKKGLLLRLAAGL -ALAPICALAMYATLPREKLSVFKLRTEARAHMEDEREATDCLVVEPARELKGKDGEDLLTGSRMTKVIAS -TGRPRRRPYAAKIAQVARAKVGYLKNTPENRLIYQRVMIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGV -EESAALWGSSESLGVKGGLVRLPGVVTQTNRDIPSGVLLPQEVLEVRAGPPNAKDRNIFMVAGCPSQARF -LVHNHCLKNLKRGLVERVFCVEKNGILTRTPQPTKGAFSRLSPFRKAVCEKVGVAHRLGYDGFLSYYSGA -KLRTYKRAVESLHITPVSERDSHLTTFVKAEKISTAKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMKA -VDGVFGETTCIKGYTADEVGAIFREKWDRFDKPVAIGLDASRFDQHCSVEALQFEHSFYRALYPGNKLLS -KLLDWQLHNKGKGYVPDGTITYRKEGCRMSGDINTSLGNYLLMCAMIYGYMRHLGINEFSLANCGDDCVL -IVERRNLKQIQGTLPEYFLGLGYTMKVEKPVFQLEEVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVNN -IRDLATRRAWSNAQHFGGRALTSGIPVVDKFYSRFVVYDAPKKHQRIDTVTNVHKWRGSGGAYTVTPESR -ASFWAAFGLTGDEQLALEDRLDRWEMDLFGEEGVDAHEPSILDSAVA - ->YP_009032639.1 replicase [Cucumber leaf spot virus] -MANMVLSCLVTLILFPFTTMSSLYSLVCRIVNMMLGEIAYLYGDVKAGLSFLLKKLTISWIIVVGMILVL -SFTVVGFMPSITLYSIILLCIGSKYGGRLPRYIVAHYERIKEAWEKGVDDDDCTATPPVECMERIPHKLR -PRLACKIAVRAVAKVGLLKRSEANALVYQRVCLDIMESMKMRWHDRLMVLPQAVLACLERPEEVEEVMKA -IEASCKGRFDEFXGCLLEHRGYNTAVHSVIPDGVLLDHEGMVVRRGLPLSCERKWYSFSSYASTYEYVVH -NSSLVNVCRGLVERVFCVVRDGKLQRPLRPRKNIFERKLGDVGRKISSIVGFCPAMTRSEFCESYSGSRR -ATYEKARLSLDVLPCTRSDAYLKTFVKAEKINITLKPDPAPRVIQPRDPRYNVEVGRYLKPLEPRLMKAI -DKLWGERTAIKGYTVEKVGEILYEKSLRFKEPVFVGLDASRFDQHCSRQALEWEHSVYNSIIRDPYLKEL -LTWQIDNVGTAYLKDGFVRYRVDGCRMSGDMNTSMGNYLIMSCLVYQFCKDVGLDASLANCGDDCVLYLE -KKQLPLLRHLPNWFESMGYSMKVEKPVYNVEEIEFCQQHPVQLSRGWVMVRRPDVCLTKDVCIVRGGMTT -DRLQRWLYAQRDGGLSLAGDCPVLGQFYNSFPRGESLGELSEYAEAHKFKAGKQYGEITPLSRYSFWLAF -GLTPDDQLAIEEDLKHWTPEVKLGSEAPRTTLLDYCSR - ->YP_009032634.1 replicase [Pothos latent virus] -MANWCVKVLMFPFVTVYTIVTSLGRGIRRGFRACVDEVENIYGDMCAGFRVALSKLSVSWIVVVGMIFVL -NSLVVGLVPTLTMAAIIAACVGAKYGGRVPRYIRAHVDRIRKSWEKGVDDDDCCAPLPETSLERVPVKLR -PRLACKIAVRAIAKVGLLKRSEANSMVYQRVCLDVMESMKMRWHDRLVILPQAVLACLERPEEVEEVMKA -IEASCKGPFDVYXGCLLQHRGYNTAITSVIPDGVLLNHEGMVVRKGAPITNERRWYSFAGYASTYEYIVH -NSSLVNVCRGLVERVFCVVRDGKLQRPLRPKNNVFERKLGNIGRKISSIVGYCPAMTRSEFCDSYSGTRR -ATYERARLSLDVLPCTRKDAYLKTFVKAEKINITLKPDPAPRVIQPRDPRINVEVGRYLKPLEPRLMKAI -DKLWGEKTAIKGYTVEKVGEILHQKSLRFKNPCFVGLDASRFDQHCSRQALEWEHSVYNAIFRDPYLSEL -LTWQIDNVGTAYLKDGFVRYRVDGCRMSGDMNTSMGNYLIMSCLVYQFCKDIGVDASLANCGDDCVLYLE -KEDLPKLKALPDWFEKMGYSMKVEKPVFTVEEIEFCQQHPVQLSRGYVMVRRPDVCLTKDLLPFVRGGMT -TERLQRWLYAQHDGGLALAGDCPVLGAFYQRFPSGESHGELSEYSDAHKFKAGKQYGEITSLARYSFWLA -FGITPDEQLAIERDLMNWTPNVVLGSCDPRPTLLDYCSDN - ->YP_008999611.1 RNA polymerase [Eggplant mottled crinkle virus] -MDRLLNFLRPKKEIFVGDFAIGVDRRPSVDMFQLVCRLALRYMRTGKIEGNVDSLSQFVVELLKTDCAAK -WEWFMKRRSVGDYAIPLALATLPIAPLLSYATKVNTVSVKAFGNELTFPVRTLRPSLPRKGLLLRLAAGF -ALAPVCALAVYATLPREKLSVFRLRTEARTHMEDEREATDCLVVEPARELKGKDGEDLLTGSRMTKVIAS -TGRPRRRPYAAKVAQVARAKVGYLKNSPENRLIYQRVIIEIMDKDCVRYVDRDVILPLAIGCCFVYPEGV -DESAALWGSSESLGVKXGGLVRLPGVVTQTNRDIPPGVLLPQEVLEVRTGPPNAKDRNIFMVAGCPSQAR -FLVHNHCLKNLKRGLVERVFCVERNGILTRTPQPAKGAFSRLSPFRKAVCEKVGVAHRLGYDGFLSYYNG -AKLRTYTRAVESLHISPVCERDSHLTTFVKAEKISTSKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMK -AVDGVFGETTCIKGYTADEVGQIFRDKWDKFNRPVAIGLDASRFDQHCSVEALQFEHSFYRAMYPGNKLL -SKLLDWQLHNKGKGYVPDGTITYRKEGCRMSGDINTSLGNYLLMCAMIYGYMRHLGINEYSLANCGDDCV -LIVERKHLKRIQGTLPEYFLNLGYTMKVEPPVFQLEEVEFCQAHPVQFEGGWKMVRNVRTAMSKDVHCVN -NIKDLATRRAWSNAQHHGGIALSAGIPVVEKFYSRFALYEMPKRHQRIDTVTNVHKWRGSGGKYSVTPES -RASFWQAFGLTGDEQLALEDRLDRWEMDLFGEEGVDAHEPSILDSAVA - ->YP_008828157.1 RNA dependent RNA polymerase [Yam spherical virus] -MANMILNYLVTVICSPLYAAMACYHFICRVVDAVLLEVKYLYGDFKAGMSYLLKKLTVSWIVVMCMILVL -SFIIVGPLPSLTLYIIMLLCVGSKYGGRVPRYVAAHYERIKDAWEKGVDDDDCTQGVATECMERIPVKLR -PRLACKIAVRAVAKVGLLKRSEANSLVYQRVCLDVMEAMKMRWHDRLVVLPQAVLACLERPQEVEEVMKA -IEASCKGRFDEYXGCLLEHRGYNTAITSVIPDGVLLNCEGMVVRRGLPLSCERKWYSFAGYASTYEYIVH -NSSLVNVCRGLVERVFCVVRDGKLQRPLRPRNDIFERKLGDVGRKISRIVGFCPAMTRSEFCDSYSGKRR -ATYEKARLSLDVLPCTRSDAYLKTFVKAEKINITLKPDPAPRIIQPRDPRYNVEVGRYLKPLEPRLMKAI -DKLWGEKTAIKGYTVERVGEILYEKSLRFKEPVFVGLDASRFDQHCSRQALEWEHSVYNSIFRDPYLKEL -LEWQISNVGTAYLKDGFVRYKVDGCRMSGDMNTSMGNYLIMSCLVYQFCRDVGIDASLANCGDDCVLYLE -KSELGKLKHLPSWFESMGYSMKVEKPVYHVEEIEFCQQHPVQLSRGWVMVRRPDVCLTKDVCIVRGGMTT -ERLQRWLHAQRDGGLSLAGDCPVLGAFYNAFPHGESHGELSEYAEAHKFKAGKQCGTITPLSRYSFWLAF -GLTPDEQLAIEEDLGRWKPEVKVGEPSSAVTLLDYCSR - ->YP_002308429.1 RNA-depentent RNA polymerase [Grapevine Algerian latent virus] -METIRRMIWPKKEIFVGDFAIGVNRTAPVDIFQLVCRVVLRYMRTGKIECDSDSMTKFLVELLKTDCAAK -WEWFMKRRQRGDYIVPLSIAALPVIPLLSYATKVRAVSVKAFGNELSFNIRVPRPSVPKKGLLLRLAAGL -ALAPICALAVYATLPREKLSVFKLRTEARAHMEDEREATDCLVVEPARELKGKDGEDLLTGSRMTKVIAS -TGRPRRRPYAAKIAQVARAKVGYLKNSPENRLIYQRVMIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGV -EESAALWGSQESLGVKXGGLVRLPGVVTQINRDIPSDVLLPQEVLEVRTGPPNAKDRNIFMVAGCPSQAR -FLVHNHCLKNLKRGLVERVFCVERNGKLARTPQPTKGAFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYSG -AKLRTYTRAVESLHITPVSERDSHLTTFVKAEKISTAKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMK -AVDGVFGETTCIKGYTADEVGAIFREKWDRFDKPVAIGLDASRFDQHCSVEALQYEHSFYRAMYPGNKLL -GKLLEWQLHNKGKGYVPDGTITYRKEGCRMSGDINTSLGNYLLMCAMVHGYMRHLGINEFSLANCGDDCV -LILERRNLKQVQRTLPEYFLNLGFTMKVEAPVFQLEEVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVN -NIRDLATRRAWSNAQHHGGLALSAGIPVVETFYSRFKLYDTPRKHQRIDTVTNVHKWRGSGGSYVVTPES -RASFWAAFGLTGDEQLALEDRLDRWDMDLFGEEGTDAHEPSILDSAVA - ->YP_001285474.1 unnamed protein product [Maize white line mosaic virus] -MALARGVLSQRVVTAAVDVTFGSVDYSDPRIVAALCDGGLKGRATVRRQIVTALKWLVMVLTWPVRMPAM -AIVWCLTWVALMVTRTTRKICCVVSRLYSESSALVRAYWRVYNKRTRAVACTGLVGSLALYGPAAVLVWV -CLLVVFVFCTLPADARYYIKLAKKIQDAWDAVEEDDSITPAADGGPLEVRSGRNRFACRLAARAISRVGL -LKPTKANALVYQKVILDEMKVLNVRFGDRVRVLPLAVVACLERPDAVDRVEGVIDALTCLPGSLXGGLVR -REGCDTDTDRTKFDLSAVQGVTRMEGITVRTGTSAKGGRTWYSFNSPATTYEYIVHNSSLKNVVRGLVER -VFCVVDKKTGELVRPPKPVKGLFTKKLGDVGQVVSQLVGYCPHWTRQEFLASYNGPRKASYERAALTLDT -LPLREEDAHLSTFVKAEKINVTLKPDPAPRVIQPRGQRYNIEVGRFLKPLEPRLMKAIDKLWGSTTAIKG -YTVERVGAIMNEKANRFREPVFVGLDASRFDQHCSAEALRWEHSVYNDIFRSEYLATLLQWQVNNRGTAY -TKEGTVSYKVEGCRMSGDMNTSMGNYLIMSCLIYAFCREVRLKAELANCGDDCVLFLEKEDLHKLGTLPQ -WFVRMGYTMKVEEPVYEVEHIEFCQMRPIRTSRGWVMVRRPDTVLTKDCCVVRGGMTEERLKGWLGSMRD -GGLSLAGDVPILGAFYRSFPSYASQEASEYSAPHKFRAGKQYGAVTDESRYSFWLAFGLTPDDQLAVESE -LSKMAFHTRPEQKGPYQPSLLDYCTRT - ->NP_758810.3 82K protein [Beet black scorch virus] -MDSIPYVILRILDFIFHSIFFPSLLFIINHNTTILWACACAYGFYRAFRLIFKIKVEVHPATRAVFKDMV -TRFQRESMFSPDDEVPEGIPIHEDVDLVSDPTHKDIKRVRASRRVSYAVRVAHVAKSKVGLLANTKANEL -VYSRLCRDEMVTHGVRPSHIAHAVPLAVAACFIPLDSDFLAASIRNCDEMEERRAVLGPSYGKXGGLLCT -SGFTTPTWRGNPEGLLVKRGPPLAKPRKLYRFSGFGTHIRYGVHDHSLGNVRRGLVERLFMVETKDGLAP -TPQPTPGVYAKLSRFHDLVSANLTSTTRLTYEQFLGFYSGRKLERYQQAVESLAIRPIGVQDAWLSTFVK -AEKLNISAKPDPAPRVIQPRSPRYNVEVGRFLRHAEEHLFDAINRVYGGRTVFKGLNADQAGMEMQAMWQ -EFDNPVGIGMDASRFDQHVSKEALEFEHKIWLSMYRGADRKTLSKLLGMQIHNRGLARCPDGEIRYTVEG -CRMSGDINTSSGNCYIMCASVHNYCSQLGVKRFRLANNGDDCMLVVEAKDEARVRQGLIEYYRELGFTMK -VEPTVYELEHLEFCQTRPVLVDGAYRMVRNLHQGMSKDLHSLHDLGSRKAAEAWVSAVGSGGRVMNDGVP -VLKSFFMQFPLSSGPKTKSDMSVALQEDWKYKFNRTGCFKNLAPTPQSRYSFWRAFGVLPDEQIALENGF -SRLSFDKLDQDTQEEVSLLQFSGA - ->NP_945128.1 replicase [Johnsongrass chlorotic stripe mosaic virus] -MDTGILSRRIVTAEVDFQFGSVDYSDPRIVHALCTPGLKERATFGRQIVTALKMAVIALTLPVWWPLRLV -WRVIIMGVLWVTRFXTRCTNLIKWCVKETRVTVRAYWNILNKRARGLVVLGCWASFVLYGPYALLLWLGV -IVGYIICVLPSNVRYYIELGQKIQDAWDSVEADDTIEAPCNGDILEVRKGRNKFACKLAARAIGRVGLLK -ATPANALVYQKVILDEMKILNVRFADRVRILPLAVMASLDRPDAVARVEDCVAALTQRGVSLXGGLVRRE -GCDTTTDRTNFDLSAVKGVGPTEGLSVRAGTSAKGDRSWYSFNSLATTYEYVVHNGSLKNVCRGLVERVF -CVVDKQSGKLVRPPKPKPGVFSAKLGDVGRTVSSIVGYCPHWTRDEFVASYSGPRKASYERAAQTLDTLP -LMESDAHLSTFVKAEKINVTLKPDPAPRVIQPRGQRYNIEVGRFLKPLEPRLMKAIDKLWGSTTAIKGYT -VEKVGSIFADKASRFRHPVYVGLDASRFDQHCSADALRWEHSVYNDIFRSPYLAELLEWQVHNRGSAYTH -EGKVNYRVEGCRMSGDMNTSMGNYLIMSCLIYQFCKEIGLHAELANCGDDCVLFLEKHDLKKLKHLPQWF -VKMGYTMKVESPVYELEEVEFCQMHPVRTSRGWVMVRRPDTVMTKDCCVVRGGMTTERLRGWLGAMRDGG -LSLAGDVPVLSAFYSSFPQYRNGETSDYDAPHKFRAGKQYGAITAEARYSFWLAFGLTPDDQLAIEGDLS -SFKFSLEPQDLVTSMPSLLDYCTRT - ->NP_945114.1 putative replicase [Pelargonium necrotic spot virus] -MEGLKAESTTTVGINCNFQQTVMTETGDKLMFGSLPSVLNRPVARRNYYRTLSNWVLTYTKTGKIEDTHD -NAGSYVEDLVKTDFNAKYDWLAKRGVAREYRTAVGLAIIPSIPAIVANTRIVTGTCEVARVAVKLPKVLN -ILTRFTHVSVKVPYFYPRVDISARGVLIGLAAAAALVPICAGIAYATLPREKLSVFRLKTEVRVHMEDEK -EATDLLLVEPARELKGKDGEDLLTGSRLTKVIASTGRPRRRPYAAKIAQVARAKVGYLKNSPENRLIYQR -VMIEIMDKDCVRYVDRDVILPLAIGCCFVYPEGVEESAALWGSQESLGVKXGGLVRLPGVVTQINRDIPS -DVLLPQEVLEVRVGPPNAKNRNIFMVAGCPSQARFLVHNHCLKNLKRGLVERAFCVERNGKLARTPQPTK -GAFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYSGAKLRTYTRAVESLHITPVSERDSHLTTFVKAEKIST -SKNDPAPRVIQPRNPRYNVELGRYLRHMESKLMKAVDGVFGETTCIKGYTADEVGAIFRAKWDRFDKPVA -IGLDASRFDQHCSVEALQYEHSFYRALYPGNKLLGKLLEWQLHNKGKGYVPDGTITYRKEGCRMSGDINT -SLGNYLLMCAMIHGYMRHLGINEFSLANCGDDCVLILERRNLKQAQKTLPEYFLNLGYTMKVEQPVFQLE -AVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVNNIRDLATRRAWSNAQHHGGLALSAGIPVVEKFYSRF -TLYDVPKKHQRIDTVTNVHKWRGSGGSYVVTPESRASFWAAFGLTGDEQLALEDRLDRWEMDLFGEEGVD -AHEPSILDSAVA - ->NP_835253.1 RNA polymerase [Cucumber Bulgarian latent virus] -MDTIRRLFGKNQQIFVGQHAIGVETGPKIDMFSLICKVVLRYMQTGKIEHKVDGLSGFVVELLKTDAAAK -WDWFMRRARRTDYVGAVSCLSAIAILPLWCAKRWYSRLAVGMLLTPVCVAAAYATLPREKLSTYRLRSEA -REHMEDEKEATECLVVEEARQLKGKDGEDIITGSRLTRVVAKTGRPRRRPYAAKIAQVARAKVGYLKNTP -ENRLIYQRVLIEIMDKDCVRYCDRDGVLPLAIGCCFVYPEGTEEASQLWGSQESLGVKZGGLVRLPGVVT -SINRDIPSDVLLPQEVLEVRAGPPLSKNRNLYMVAGCPSQARFLVHNHCLRNLKRGLVERVFCVEKEGRL -VRTPQPKPGAFASLSPFRKAVCEKVGVSQRLGYDGFLSYYSGAKLRTYSRAVESLHITPVCERDSHLTTF -VKAEKISTSKGDPAPRVIQPRNPRYNVELGRFLRHLESKLMKAVDAVFGETTCIKGYTADEVGAIFRDKW -DRFDKPCAIGLDASRFDQHCSVEALRFEHGFYRALYPGSKVLDRLLEWQLHNKGKGYVPDGTISYRKEGC -RMSGDINTSLGNYLLMCSMVFGFMRHCGINEYSLANCGDDCVLIIEKRCLKQVQAKLPGYFLNLGYTMKV -EEPVFQLEEIEFCQAHPVNFQGGWKMVRNVRTAMSKDSHCVNNINDEQTRRAWSNAQHVGGRALSAGIPV -VDKFYSRFKLYDPPRKHQRIDTVTNVHKWRGSGGDYVITPESRASFWQAFKLTGDEQIALEDRLDRWDMD -LFGREGTDSHEPSILDSAAA - ->NP_040953.2 replicase [Cucumber necrosis virus] -MDTIKRMLWPKKEIFVGTFATGVERDTSVDIFQLVCRVVLRYMRTGKIENNTDSLGNFIVELLKTDCAAK -WEWFMKRRRVGDYAKSLAIASIPVIPLLSYATMKKTVALRAFGNELSFNIRVPRPSVPKKGLLLRLAAGL -ALAPICALAMYATLPREKLSVFKLRTEARAHMEDEREATDCLVVEPARELKGKDGEDLLTGSRMTKVIAS -TGRPRRRPYAAKIAQVARAKVGYLKNTPENRLIYQRVMIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGV -EESAALWGSDESLGVKXGGLVRLPGVVTQTNRDIPSGVLLPQEVLEVRAGPPNAKDRNIFMVAGCPSQAR -FLVHNHCLKNLKRGLVERVFCVERNGQLTRTPQPTKGAFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYSG -AKLRTYTRAVESLHITPVSERDSHLTTFVKAEKISTAKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMK -AVDGVFGETTCIKGYTADEVGQIFRDKWDRFNKPVAIGLDASRFDQHCSVEALQFEHGFYRAMYPGNKLL -SKLLDWQLHNKGKGYVPDGTITYRKEGCRMSGDINTSLGNYLLMCAMIYGYMRHLGINEYSLANCGDDCV -LIVERRNLKQIQGTLPEYFLNLGYTMKVEPPVFQLEEVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVN -NIRDLATRRAWSNAQHHGGVALTSGIPVVEKFYSRFTLYEVPKKHQRIDTVTNVHKWRGSGGDYVVTPEA -RASFWAAFGLTGDEQLALEDRLDRWEMDLFGEEGVDAHEPSILDSAVA - ->NP_613260.1 RNA-dependent RNA polymerase [Cymbidium ringspot virus] -METIKRLIWPKKEIFVGDFAIGVNRTVPVDVFQLVCRVVLRYMRTGKIECESDSLSKFVVELLKTDCAAK -WEWFMKRRQGGDYIVPLSIATIPLMPLLSCTTSVRAVSVGVLGCGFSSNIPIPRLSVPRKGLLLRLAAGL -ALAPICALAVYATLPREKLSVYKLRTEARTHMEDEKEATDCLVVESARELKGKDGEDLLTGSRMTKVVAS -TGRRRRTPYAAKVAQVARAKVGYLRNTPENRLIYQRVIIEIMDKDCVRYVDRDVILPMAIGCCFVYQDGV -EESAALWGSQDSLGVKXGGLVRLPGVVTQINRDIPSDVLLPQEVLEVRTGPPIAKDRNIFMVAGCPSQAR -FLVHNHCLKNLKRGLVERVFCVEKDGKLTRTPQPTKGSFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYSG -AKLRTYTRAVESLHITPVSERDSHLTTFVKAEKISTSKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMK -AVDDVFGETTCIKGYTADEVGAIFRRKWDRFDKPVAIGLDASRFDQHCSVEALQYEHSFYRALYPGNKLL -SKLLEWQLHNKGKGYVPDGTITYKKEGCRMSGDINTSLGNYLLMCAMVHGYMRHLGINEFSLANCGDDCV -LIIERRNLKRVQGTLPGYFLNLGYTMKVESPVFQLEEVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVN -NIRDLATRRAWSNAQHHGGLALSAGIPVVERFYSRFPLYDMPVKHQRIDTVTNVHKWRGSGGNYHVTPES -RASFWAAFGLTGDEQVALEDRLDRWEMDLFGREGVDAHEPSILDSAVA - ->NP_608311.1 82 kDa protein [Tobacco necrosis virus D] -MESLPVVLLSLISKTIVLLCSFLTLIIQNSTAVSWACICIWLCYVAFRYVFKIKVTIHPAAVETFETMVR -KFQAESMFAEETIPCMASVGDKDADLTPDPQREDIKIVKSSRRVSYPVRVAHVAKAQVGLLPNSRANELV -YSRLCREEMVKHGVRPSHIAHMVPLAVAACFIPLDSDFLAASIRQGDGMRERRALIGASWEKXGGLLVTS -GFTTPTWRGDPRGMLVTKGPPLAKPRKLYRFTGMGTHIRYGVHDHSLGNVRRGLVERLYMVEVKGELKPT -PKPTPGAFGQLSRFNRKLGVHLPKTTRLTPKEFLGFYTGRKLERYQRAVESLEMHPVREKDAWLSTFVKA -EKLNITAKPDPAPRVIQPRDPRYNVEVGRYLRHSEEMLFKAINKTFGGRTIFKGLSSDQAGVEMKELWDS -FKDPVGIGMDASRFDQHISKDALEFEHKMWLSMFPVSERKELARLLSWQINNRGLARCPDGEIRYRVEGC -RMSGDMNTSSGNCYIMCATVHNWCSEVKKLKHFRLANNGDDCMLVVERCDEESVREGLIEYYTTLGFTMK -VEPTVDVLERVEFCQTRPVLVNGAYRMVRNLHQSMSKDLHSLHDLGSRVSREAWVTAVGTGGRCMNDGVP -VLKEFFKQFPDYNLGLKKNSDMAQKLTEDWRYKFNRTSAFQDVTPSQETRYSFWLAFGILPDEQIALENG -FSPLRVDIIDEQIQEEVSLLQFSGA - ->NP_062897.1 p92 protein [Tomato bushy stunt virus] -METIKRMIWPKKEIFVGDFATGVNRTVPVNIFQLVCRVVLRYMRTGKIECDSDSMTKFIVELLKTDCAAK -WEWFMKRRQRGDYIVPLSIASIPIIPLLSYATRVRAVSVKAFGNELSFNVRVPRPSVPKKGLLLRLAAGL -ALAPICALAVYATLPREKLSVFKLRTEARAHMEDEREATDCLVVEPARELKGKDGEDLLTGSRLTKVIAS -TGRPRRRPYAAKIAQVARAKVGYLKNSPENRLIYQRVMIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGV -EESAALWGSQESLGVKXGGLVRLPGVVTQINRDIPSDVLLPQEVLEVRTGPPNAKDRNIFMVAGCPSQAR -FLVHNHCLKNLKRGLVERVFCVERNGKLARTPQPTKGAFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYSG -AKLRTYTRAVESLHITPVSERDSHLTTFVKAEKISTSKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMK -AVDGVFGETTCIKGYTADEVGAIFRAKWDRFDKPVAIGLDASRFDQHCSVEALQYEHSFYRAMYPGNKLL -GKLLEWQLHNKGKGYVPDGTITYRKEGCRMSGDINTSLGNYLLMCAMVHGYMRHLGINEFSLANCGDDCV -LIVERRNLKQIQRTLPEYFLNLGYTMKVEQPVFQLEEVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVN -NIRDLATRRAWSNAQHHGGLALSAGIPVVETFYSRFKLYDVPRKHQRIDTVTNVHKWRGSGGSYVVTPES -RASFWAAFGLTGDEQLALEDRLERWEMDLFGEEGVDAHEPSILDSAVA - ->NP_044740.1 replicase [Leek white stripe virus] -MHTPQCIARFGTTCHTHIRTARLLYVKTTYFQRFLFMFFVYSAVPVLFVPILFIAFFHRFFKLQLGFSPE -ATALMHRFVDLFSRDDEPVITETSCNVDFLPGPESRKENLVAPKRRNHYIVKVAMAAKSQVGLLGNSRAN -ELVYARLCREEMVKHGVRPSHIARMVPLAVDCHCFIPMDEDIMAAEMMRTDVMRSRRKDMGDSHAKXGGL -LTTHGFTTPTWRGEPAGLSVVKGPPLSKPRKLYRFTGFGANIRYGVHDHSLGNVRRGLVERVFMVEKSGK -LEYTPKPTPGAFNQLSRFTRALLPFLTKTTRLTTKEFLGFYTGRKLERYTKASESLEVISFQEKDSWLST -FVKAEKINITAKPDPAPRVIQPRDPRYNVELGRYLRIVKSICSRLLIALFGGRTIFKGINADEAGVEMKE -IWDSFVDPIGIGMDASRFDQHISVEALQFEHKMWLEMFPVSQRKHLKRLLDLQLHNRGLARCPDGTARYK -VDGCRMSGDMNTSSGNCFIMCATVWNWCTRLNLKHFRLANNGDDCMVFMERHNEESFRRGLIDYYTGLGF -TMKVEETVDVLERVEFCQTRPVLVDGSYRMIRNLHQGLSKDLHSLTDLAGVGAAQAWVSAVGEGGRVLND -GVPVLGSFYKQFPLGSMPKSGTDMHEHINDAWKYKFGRKGSYQNLQPTPCSRFSFWLAFGILPEEQIALE -EGFSPISFQHQLEDNGEEASLLVFSRA - ->NP_039808.1 protein of 92 kDa [Artichoke mottled crinkle virus] -METIKSWICPKKEIFVGDFAIGVNRKVPVDIFQLVCRVVLRYMRTGKIECDSDSMTKFLVELLKTDCAAK -WEWFMKRRQRGDYVIPLSIAALPIVPLLSYATRARAVSVKAFGNELSLNVRVPRPSVPKKGLLLRLAAGL -ALAPICALAMYATLPREKLSVFKLRTEARAHMEDEREATDCLVVEPARELKGKDGEDLLTGSRMTKVVAS -TGRPRRRPYAAKIAQVARAKVGYLKNSPENRLIYQRVMIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGV -EESAALWGSQESLGVKXGGLVRLPGVVTQINRDIPSGVLLPQEVLEVRAGPPNAKDRNIYMVAGCPSQAR -FLVHNHCLKNLKRGLVERVFCVERNGRLTRTPQPTKGSFGRLSPFRKAVCEKVGVAHRLGYDGFLSYYSG -AKLRTYTRAVESLHITPVSERDSHLTTFVKAEKISTSKGDPAPRVIQPRNPRYNVELGRYLRHMESKLMK -AVDGVFGETTCIKGYTADEVGAIFREKWDRFDKPVAIGLDASRFDQHCSVEALQYEHSFYRAMYPGNKLL -SKLLEWQLHNKGKGYVPDGTITYRKEGCRMSGDINTSLGNYLLMCAMIHGYMRHLGINEFSLANCGDDCV -LIVERRNLKQIQRTLPEYFLNLGFTMKVEPPVFQLEEVEFCQAHPVQFQGGWKMVRNVRTAMSKDVHCVN -NIRDLATRRAWSNAQHHGGLALSAGIPVVEKFYSRFTLYDVPRKHQRIDTVTNVHKWRGSGGSHTVTPES -RASFWAAFGLTGDEQLALEDRLDRWEMDLFGEEGVDAHEPSILDSAVA - ->sp|P27209.3|RDRP_TNVD RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein p82; Contains: RecName: Full=Protein p23 -MESLPIVLLSLISKAVVLICSLLTLIIQNSTAVTWGLACVWLAYVSFRFLFQVKITVHPAARETFESMVR -KFQAESMFSEEATPCIVSVGDKDADLTPDPQREDIKIVKSSRRVSYAVRVAHVAKAQVGLLANSRANELV -YSRLCREEMVKHGVRPSHIAHMVPLAVAACFIPLDSDFLAASIRQGEGMRERRALLGPSWEKXGGLLVTS -GFTTPTWRGDPRGMLVTKGPPLAKPRKLYRFTGMGTHIRYGVHDHSLGNVRRGLVERLYMVEVKGELQPT -PKPTPGAFNQMSRFSDRLSIHLPKTTRLTPREFLGFYTGRKLERYQKAVESLEMHPVREKDAWLSTFVKA -EKLNITAKPDPAPRVIQPRDPRYNVEVGRFLRHSEEMLFKAINKTFGGRTIFKGLSSDQAGEEFKTLWDS -FKDPVGIGMDASRFDQHISKDALEFEHKMWLSMFPKSERAELARLLSWQINNRGLARCPDGEIRYRVEGC -RMSGDMNTSSGNCYIMCATVHNWCDNIKHIKHFRLANNGDDCMLVVERSDEKKVRNGLIEYYATLGFTMK -VEPTVDVLERLEFCQTRPVLVDGKYRMVRNLHQSMSKDLHSLHDLDSSAARNAWVTAVGTGGRCMNDGVP -VLKEFFKQFPDYNLEVKKGSDMAQKLRDDWKYKFNRTAAFQDLIPTQESRYSFWLAFGLLPDEQIALENG -FSPLKMEIVNEQIQEETSLLQFSGA - ->sp|Q9IWA0.1|RDRP_POLVP RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein p84; Contains: RecName: Full=Protein p25 -MANWCMSVLMFSFVTVYTIVTSLGQGIRRGFRAIVDEVENIYGDMCAGFKVALSKLSVSWIVVVGMIFVL -NSLVVGLVPTLTMVAIIAACVGAKYGGRVPRYIRAHVDRIRKSWEKGVDDDDCCAPLPETSLERVPTKLR -PRLACKIAVRAIAKVGLLKRSEANSMVYQRVCLDVMESMKMRWHDRLVILPQAVLACLERPEEVEEVMKA -IEASCKGRFDVYXGCLLQHRGYNTAIISAIPDGVLLNHEGMVVRKGAPITTERKWYSFAGYASTYEYIVH -NSSLVNVCRGLVERVFCVVRDGKLQRPLRPKNNVFERKLGNVGRRISSIVGYCPAMTRSEFCESYSGTRR -ATYERARLSLDVLPCTRKDAYLKTFVKAEKINITLKPDPAPRVIQPRDPRYNVEVGRYLKPLEPRLMKAI -DKLWGEKTAIKGYTVEKVGEILHQKSLRFKNPCFVGLDASRFDQHCSRQALEWEHSVYNAIFRDPYLSEL -LTWQIDNVGTAYLKDGFVRYRVDGCRMSGDMNTSMGNYLIMSCLVYQFCKDIGIDASLANCGDDCVLYLE -KEDLPKLKALPDWFGKMGYSMKVEKPVFTVEEIEFCQQHPVQLSRGYVMVRRPDVCLTKDLCVVRGGMTT -ERLQRWLYAQHDGGLALTGDCPVLGAFYRRFPSGESHGELSEYSDAHKFKAGKQYGEINSLARYSFWLAF -GITPDEQLAIERDLMNWTPNVVPGSCDPRPTLLDYCSDN - ->ABO18577.1 RNA-dependent RNA polymerase [Beet black scorch virus] -MDSIPYVILRILDFVFRSIFFPSLLFIINHNTTILWACACAYGFYRAFRLIFKIKVEVHPATRAVFNDMV -TRFQQESMFSPNDEVPEGIPIHEDVDLVSDPTHKDIKRVRASRRVSYAVRVAHVAKSKVGLLANTKANEL -VYSRLCRDEMVIHGVRPSHIAHAVPLAVAACFIPLDSDFLAASIRNCEGMEERRAVLGPSYGKXGGLLCT -SGFTTPTWRGNPEGLLVKRGPPLAKPRKLYRFSGFGTHIRYGVHDHSLGNVRRGLVERLFMVETKDGLAP -TPKPTPGVYAKLSRFHDLVSANLTSTTRLTYEQFLGFYSGRKLERYQQAVESLAIRPIGVQDAWLSTFVK -AEKLNISAKPDPAPRVIQPRSPRYNVEVGRFLRHAEEHLFDAIDRVYGGRTVFKGLNADQAGMEMQAMWQ -EFDNPVGIGMDASRFDQHVSKEALEFEHKIWLSMYHGADRKILSKLLGMQIHNRGLARCPDGEIRYTVEG -CRMSGDINTSSGNCYIMCASVHNYCSRLGVMRFRLANNGDDCMLVVEAKDEARVRQGLIEYYRELGFTMK -VEPTVYELEHLEFCQTRPVLVNGAYRMVRNLHQGMSKDLHSLHDLGSRKAAEAWVSAVGSGGRVMNDGVP -VLKSFFMQFPLSSGPKTKSDMSIALQEDWKYKFNRTGCFKNLTPTPQSRYSFWRAFGVLPDEQIALENGF -SRLSFDKLDQDVQEEVSLLQFSGA ->ABD34316.1 replicase, partial [Sesame necrotic mosaic virus] -PLEAVKASYTGGFESKXGCLLRHRGFNTKVNSCLPDNVLSSREEMVVRTGTPVRNERTWYSFSGYASTYE -YIVHNSSLVNVVRGLVERVFCVVRDGQLQRPLRPALGVYEKKLGNIGRKISRIVGYCPAMTRQQFVDSYS -GLRRATYEKARLSLDVLPCTRKDACLKTFVKAEKINITLKPDPAPRVIQPRDPRYNVEVGRYLKNLEPRL -MKAIDKLWGEKTAIKGYTVEQVGDILHRKSLGFRDPAFVGLDASRFDQHCSRQALEWEHSVYNAIFRDPY -LAELLTWQIDNVGRAYLKDGMVKYRVDGCRMSGDMNTSMGNYLIMSCLVYAYCQEIGIHASLANCGDDCV -LFLERGDLNKLKSLPDWFAKMGYAMKVEKPVYAVEHIEFCQQHPVLLSRGWVMVRRPDVCLTKDVCIVRG -GMTPERLQRWLYAQHDGGLSLAGDCPVLGAFYSQFPAGDRAGEQSEYDDAHKFKAGKQCGAITPTARYSF -WMAFGLTPDEQIAIERDLKGWNPRVEEGEGHVVPTLLDHCSR diff --git a/seq/clusters_seq/cluster_168 b/seq/clusters_seq/cluster_168 deleted file mode 100644 index 78999e8..0000000 --- a/seq/clusters_seq/cluster_168 +++ /dev/null @@ -1,240 +0,0 @@ ->YP_009551537.1 VP6 protein [Bat rotavirus] -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMLITMNGNEFQTGGIGNLPVRTWNFEFGLLGTTL -LNLDANYVETARTTIEYFVDFIDNVCMDEMVRESQRNGIAPQSDALRKLSGIKFKRINFDNSSEYIENWN -LQSRRQRTGFTFHKPNIFPYSASFTLNRSQPQHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANTQQFEH -VVQLRRVLTTATITLLPDAERFSFPRVINSADGATTWYFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTAAVAALFPNAQPFEHHATVGLTLRIDSAICESVLADATETMLANVTAVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLIK - ->YP_008145323.1 VP6 [Rotavirus F chicken/03V0568/DEU/2003] -MEAIYSIARTIADAEEKIVVGTLFSNVQQIVMQMNEVIKVMNGSQFLTGGVGNMGVTQWTFNHGQLGVAP -LYVDENYREELMQTINYYKRFVHAVCETEMCLESQRGGATMQSAAMQALQTVKFKNLSYDYSENYIKQWA -LQKVRVRTAYSSRNPYVVPELNTITYRRSQAAMDNVAGTRVMAIGDTIKFAVFDQDFARTEPGQMWESNF -EYNMPVPISDATIQVGTDASGLLNDQVLITNTYNTTWLYVPFQVPLTRFTIEFYNTGNLVYQIVAPGMHC -VPTFNSIIFRLRIVRPAVLSQQLQQYFPAQNPGNVHATVVISLQIKSCVTDVVLADPDSTHYARITNVRT -LYGIPVGPVFPPNMAWDTIVRDYSASRQDNMQRLMTIAAIDCMLSE - ->YP_003896051.1 VP6 [Rotavirus D chicken/05V0049/DEU/2005] -MEALSSIALTVREAREKIINGTIYSNVSDVIQQFNQMVRVLNGSTFTTGGLATMPLREWTFDLPQLGTTL -LNIDANYVESMTPTLDMLTEFVIAVCETELLVDNNRNGAYPQSEALRLLSNNKYVFLNMDLGSKYISEWH -YRLSARDPMFSNHVPYIFPYDMAIAYDRVTAAYDNVSGTRFASLNNAIHFAAFDQDFVRGQPANARQFEY -LYNLRTPVSNATIVIHPISDILSVPSMIRNQAATHYWPYNPYNIPTFRDDIRVEFQLAGQVIYVAANLGM -HTIPQFDAVNIILTMRRLPLLADLQNIFPAGNPSATHQAVISTKIEVLNATTETTVPSINEHLYALIVGT -RGRYQMQAGPVFPPGMRWDDILNRYTPARQSNMHRLMTTASILDLVSM - ->YP_002302229.1 VP6 [Rotavirus A] -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIITMNGNEFQTGGIGNLPIRNWNFNFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCMDEMVRESQRNGIAPQSDSLRKLSAIKFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANIQQFEH -IVPLRRVLTTATITLLPDAERFSFPRVINSADGATTWFFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -VARNFDTIRLSFQLMRPPNMTPAVAVLFPNAQPFEHHATVGLTLRIESAVCESVLADASETLLANVTSVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLIK - ->YP_392512.1 major inner capsid protein [Rotavirus C] -MDVLFSIAKTVSDLKKKVVVGTIYTNVEDVVQQTNELIRTLNGNIFHTGGIGTQPQKEWNFQLPQLGTTL -LNLDDNYVQSTRGIIDFLSSFIEAVCDDEIVREASRNGMQPQSPALILLSSSKFKTINFNNSSQSIKNWN -AQSRRENPVYEYKNPMLFEYKNSYILQRANPQFGSVMGLRYYTTSNTCQIAAFDSTLAENAPNNTQRFVY -NGRLKRPISNVLMKIEAGAPNISNPTILPDPNNQTTWLFNPVQLMNGTFTIEFYNNGQLIDMVRNMGIVT -VRTFDSYRITIDMIRPAAMTQYVQRIFPQGGPYHFQATYMLTLSILDATTESVLCDSHSVEYSIVANVRR -DSAMPAGTVFQPGFPWEHTLSNYTVAQEDNLERLLLIASVKRMVM - ->sp|P14162.2|VP6_ROTPC RecName: Full=Intermediate capsid protein VP6 -MDVLFSIAKTVSDLKKKVVVGTIYTNVEDIIQQTNELIRTLNGNTFHTGGIGTQPQKEWNFQLPQLGTTL -LNLDDNYVQATRSVIDYLASFIEAVCDDEIVREASRNGMQPQSPTLIALASSKFKTINFNNSSQSIKNWS -AQSRRENPVYEYKNPMVFEYRNSYILQRANPQYGNVMGLRYYTASNTCQLAAFDSTLAENAPNNTQRFIY -NGRLKRPISNVLMKIEAGAPNINNLTILPDPTNQTTWLYNPDQLMNGTFTIEFYNNGQLVDMVRNMGVVT -VRTFDSYRITIDMIRPAAMTQYVQRLFPQGGPYPYQAAYMLTLSILDATTESVLCDSHSVDYSIVANVRR -DSAMPAGTVFQPGFPWEQTLSNYTVAQEDNLERLLLVASVKRMVM - ->sp|P18610.1|VP6_ROTBU RecName: Full=Intermediate capsid protein VP6 -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIITMNGNEFQTGGIGNLPIRNWNFDFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCMDEMVRESQRNGIAPQSDSLRKLSGIKFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANTQQFEH -IVQLRRVLTTATITLLPDAERFSFPRVINSADGATTWYFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVAALFPNAQPFEHQATVGLTLRIESAVCESVLADASETMLANVTSVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLVK - ->sp|P04509.2|VP6_ROTRF RecName: Full=Intermediate capsid protein VP6 -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIITMNGNEFQTGGIGNLPIRNWNFDFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCMDEMVRESQRNGIAPQSDSLIKLSGIKFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANTQQFEH -IVQLRRVLTTATITLLPDAERFSFPRVITSADGATTWYFNPVILRPNNVEIEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVAALFPNAQPFEHHATVGLTLRIESAVCESVLADASETMLANVTSVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLVK - ->sp|Q86219.1|VP6_ROTLP RecName: Full=Intermediate capsid protein VP6 -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNEFQTGGIGNLPIRNWNFDFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCMDEMVRESQRNGIAPQSESLRKLSGIKFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANTQQFEH -IVQLRRVLTTATITLLPDAERFSFPRVINSADGATTWYFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVAALFPNAQPFEHHATVGLTLRIESAVCESVLADASETMLANVTSVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q6PMI4.1|VP6_ROTTU RecName: Full=Intermediate capsid protein VP6 -MDVLFSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMLITMNGNEFQTGGIGNLPIRNWQFDFGLLGTTL -LNLDANYVENARTTIEYFVDFVDNVCMDEMVRESQRNGIAPQSDALRKLSGIKFKRINFDNSSEYIENWN -LQSRRQRTGFTFHKPNIFPYSASFTLNRSQPQHDNLMGTMWLNAGSELQVAGFDYSCAINAPANTQQFEH -IVQLRRVLTTATITLLPDAERFSFPRVINSADGATTWYFNPVVLRPNNVEIEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLLRPPNMTPAVAALFPNAQPFEHHATVGLTLRIDSAVCESVLADANETMLANVTAVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q00734.1|VP6_ROTBS RecName: Full=Intermediate capsid protein VP6 -MDVLFSIAKTVSELKKRVVVGTIYTNVEDIIQQTNELIRTLNGSTFHTGGIGTQPQKDWVVQLPQLGTTL -LNLDDNYVQSARGIIDYLASFIEAVCDDEMVREASRNGMQPQSPTLIALASSKFKTINFNNSSQSIKNWS -AQSRRENPVYEYKNPMVFEYRNSYILHRADQQFGNAMGLRYYTTSNTCQIAAFDSTMAENAPNNTQRFIY -HGRLKRPISNVLMKVERGAPNVNNPTILPDPTNQTTWLFNPVQVMNGTFTIEFYNNGQLVDMVRNMGIAT -VRTFDSYRITIDMIRPAAMTQYVQQLFPVGGPYSHQAAYMLTLSVLDATTESVLCDSHSVDYSIVANTRR -DSAMPAGTVFQPGFPWEQTLSNYTVAQEDNLERLLLVASVKRMVM - ->sp|P03530.1|VP6_ROTHW RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPVRNWTFDFGLLGTTL -LNLDANYVENARTIIEYFIDFIDNVCMDEMARESQRNGVAPQSEALRKLAGIKFKRINFDNSSEYIENWN -LQNRRQRTGFVFHKPNIFPYSASFTLNRSQPMHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANIQQFEH -IVQLRRALTTATITLLPDAERFSFPRVINSADGATTWFFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDAIRLLFQLMRPPNMTPAVNALFPQAQPFQHHATVGLTLRIESAVCESVLADANETLLANVTAVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|A7J3A8.1|VP6_ROTHD RecName: Full=Intermediate capsid protein VP6 -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIITMNGNEFQTGGIGNLPTRNWSFDFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCMDEMVRESQRNGIAPQSESLRKLSGIKFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANIQQFEH -IVQLRRVLTTATITLLPDAERFSFPRVINSADGATTWYFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -VARNFDTIRLSFQLMRPPNMTPSVAALFPNAQPFEHHATVGLTLRIESAVCESVLADASETMLANVTSVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLVK - ->sp|A4ZCW7.1|VP6_ROTH3 RecName: Full=Intermediate capsid protein VP6 -MDVLFSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPVRNWNFDFGLLGTTL -LNLDANYVETARTTINYFVDFVDNVCMDEMARESQRNGIAPQSDALRKLSGIRFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSFSFTLNRSQPQHDNLMGTMWLNAGSEIQVAGFDYSCALNAPANTQQFEH -IVQLRRVLTTATITLLPDAERFGFPRVVNSADGATTWYFNPVVLRPNNVEVEFLLNGQIINTYQARFGTI -TARNFDTIRLSFQLMRPPNMTPAVAALFPNAQPFVHHATVGLTLRIDSAVCESVLADANETMLANVTSVR -QEYAVPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLVK - ->sp|A7J3A5.1|VP6_ROTYO RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPVRNWTFDFGLLGTTL -LNLDANYVENARTTIEYFIDFIDNVCMDEIARESQRNGVAPQSEALRKLSGIKFKRINFDNSSEYIENWN -LQNRRQRTGFVFHKPNIFPYSASFTLNRSQPMHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANIQQFEH -IVQLRRALTTATITLLPDAERFSFPRVINSADGATTWFFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVNALFPQAQPFQHHATVGLTLRIESAVCESVLADANETLLANVTAVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|B1NKU4.1|VP6_ROTWI RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIIEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPIRNWTFDFGLLGTTL -LNLDANYVETARTTIEYFIDFIDNVCMDEMARESQRNGVAPQSEALRKLAGIKFKRINFNNSSEYIENWN -LQNRRQRTGFVFHKPNIFPYSASFTLNRSQPMHDNLMGTMWLNAGSEIQVAGFDYSCALNAPANIQQFEH -IVQLRRALTTATITLLPDAERFSFPRVINSADGATTWFFNPIILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVNALFPQAQPFQYHATVGLTLRIESAVCESVLADANETLLANVTAVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|B3STR0.1|VP6_ROTCC RecName: Full=Intermediate capsid protein VP6 -MDVLYSLAKTLKDARARIVEGTLYTNVADIVQQINQVINSINGSTFQTGGIGNLPVRNWTFDFGTLGTTL -LNLDANYVENARTTIDYFIDFVDSVCIDEIVRESQRNGIAPQFDLLRQLSNAKYKRINYDNESEYIENWN -LQNRRQRTGYLLHKPNILPYNNSFTLIRSQPAHDNVCGTIWLNNGSEIEIAGFDSECALNAPGNIQEFEH -VVPMRRVLNNATVSLLPYAPRLTQRAVIPTADGLNTWLFNPIILRPNNVQVEFLLNGQVITNYQARYGTL -AARNFDSIRISFQLVRPPNMTPAVAALFPQAAPFPNHATVGLTLKIESASCESVLSDANEPYLSIVTGLR -QEYAIPVGPVFPAGMNWTELLNNYSVSREDNLQRIFTAASIRSMIIK - ->sp|Q3ZK59.1|VP6_ROT41 RecName: Full=Intermediate capsid protein VP6 -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMLITMNGNEFQTGGIGNLPVRNWNFDFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCIDEMVRESQRNGIAPQSDSLRKLSGIKFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANTQQFEH -VVQLRRVLTTATITLLPDAERFSFPRVVNSADGATTWYFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVAALFPNAQPFEHHATVGLTLRIESAICESVLADASETMLANVTSVR -QEYAIPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLVK - ->sp|Q9QNB0.1|VP6_ROTHK RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPIRNWTFDFGLLGTTL -LNLDANYVENARTTIEYFIDFIDNVCMDEMAREAQRNGVAPQSEALGKLAGIKFKRINFDNSSEYIENWN -LQNRRQRTGFVFHKPNIFPYSASFTLNRSQPMHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANIQQFEH -IVQLRRALTTATITLLPDAERFSFPRVINSADGATTWFFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRSLFQLMRPPNMTPAVNALFPQAQPFQHHATVGLTLRIESAVCESVLADANETLLANVTAVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q91N61.1|VP6_ROTP5 RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNEFQTGGIGNLPIRNWTFDFGLLGTTL -LNLDANYVENARTTIEYFIDFIDNVCMDEIARESQRNGIAPQSEALRKLSGIKFKRINFDNSSDYIENWN -LQNRRQRTGFVFHKPNILPYSASFTLNRSQPAHDNLMGTMWINAGSEIQVAGFDYSCALNAPANIQQFEH -VVPLRRALTTATITLLPDAERFSFPRVINSADGTTTWYFNPVILRPSNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLVRPPNMTPAVANLFPQAPPFIFHATVGLTLRIESAVCESVLADASETLLANVTSVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q91N56.1|VP6_ROTBB RecName: Full=Intermediate capsid protein VP6 -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIITMNGNEFQTGGIGNLPIRNWNFDFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCMDEMVRESQRNGIAPQSDSLRKLSGIKFKRINFDATSEIIENWN -LQNRRQRTGFIFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANTQQFEH -IVQLRRVLTTATITLLPDAERFSFPRVITSADGATTWYFNPVILRPANVEVEFLLNGQVVNTYQAKFGTI -IARNFDTIRLSFQLMRPPNMTPAVAALFPNAQPFEFHATVGLTLRIESAVCESVLADANETMLANVTAVR -QEYAIPIGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLVK - ->sp|Q8JXK7.1|VP6_ROTB9 RecName: Full=Intermediate capsid protein VP6 -MDVLYSLAKTLKDARARIVEGTLYTNVADIVQQVNQVINSINGSTFQTGGIGNLPVRNWTFDFGTLGTTL -LNLDANYVENARTTIDHFIDFVDSVCVDEIVRESQRNGIAPQSDSLRQLSNAKYKRINYDNESEYIENWN -LQNRRQRTGYLLHKPNILPYNNSFTLTRSQPAHDNVCGTIWLNNGSEIEIAGFDSECALNAPGNIQEFEH -VVPMRRVLNNATVSLLPYAPRWMQRAVIPTADGLNTWLFNPIILRPNNVQVEFLLNGQVITNYQARYGTL -AARNFDSIRISFQLVRPPNMTPGVAALFPQAAPFPNHATVGLTLKIESASCESVLSDANEPYLSIVTGLR -QEYAIPVGPVFPAGMNWTELLNNYSASREDNLQRIFTAASIRSMIIK - ->sp|Q89601.1|VP6_ROTEO RecName: Full=Intermediate capsid protein VP6 -MEVLYSISKTLKDARDKIVEGTLYSNVSDIIQQFNQMIVTMNGNEFQTGGIGTLPIRNWTFDFGLLGTTL -LNLDANYVETARTTIEYFIDFIDNVCMDEMTRESQRNGIAPQSDALRKLSGIKFKRINFDNSSEYIENWN -LQNRRQRTGFVFHKPNIFPYSASFTLNRSQPLHNDLMGTMWLNAGSEIQVAGFDYSCAFNAPANTQQFEH -IVQLRRALTTATITILPDAERFSFPRVINSADGATTWYFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVNALFPQAQPFQHHATVGLTLRIDSAVCESVLADSNETMLANVTAVR -QEYAVPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q86347.1|VP6_ROTEL RecName: Full=Intermediate capsid protein VP6 -MEVLYSISKTLKDARDKIVEGTLYSNVSDIIQQFNQMIVTMNGNEFQTGGIGTLPIRNWAFDFGLLGTTL -LNLDANYVETARTTIEYFIDFIDNVCMDEMARESQRNGIAPQSDALRKLSGIKFKRINFNNSSEYIENWN -LQNRRQRTGFVFHKPNIFPYSASFTLNRSQPLHDNLMGTMWLNAGSEIQVAGFDYSCALNAPANIQQFEH -IVQLRRALTTATITILPDAERFSFPRVINSADGATTWYFNPVILRPNNVEIEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVNALFPQAQPFQHHATVGLTLRIDSAVCESVLADSNETMLANVTAVR -QEYAVPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q86345.1|VP6_ROTE2 RecName: Full=Intermediate capsid protein VP6 -MDVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMVITMNGNEFQTGGIGNLPIRNWNFDFGLLGTTL -LNLDANYVETARNTIDYFVDFVDNVCMDEMVRESQRNGIAPQSDSLRKLSGVKFKRINFDNSSEYIENWN -LQNRRQRTGFTFHKPNIFPYSASFTLNRSQPAHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANTQQFEH -IVQLRRVLTTATITLLPDAERFSFPRVINSADGTTTWYFNPVIFRPNNVEIEFLLNGQIINNYQARFGTI -IARNFDTIRLSFQLMRPPPQNMTPAVAALFPNAPPFEHHATVGLTLRIESAICESVLADASETMLANVTS -VRQEYAVPVGPVFPPGMNWTDLITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q86341.1|VP6_ROTA1 RecName: Full=Intermediate capsid protein VP6 -MDVLYSLAKTLKDARARIVEGTLYTNVADIVQQVNQVINSINGSTFQTGGIGNLPIRNWTFDFGTLGTTL -LNLDANYVENARTTIDYFIDFVDSVCVDEIVRESQRNGIAPQSDSLRQLSNAKYKRINYDNESEYIENWN -LQNRRQRTGYLLHKPNILPYNNSFTLTRSQPAHDNVCGTIWLNNGSEIEIAGFDSECALNAPGNIQEFEH -VVPMRRVLNNATVSLLPYAPRLTQRAVIPTADGLNTWLFNPIILRPNNVQVEFLLNGQVITNYQARYGIL -AARNFDSIRISFQLVRPPNMTPGVAALFPVAAPFPNHATVGLTLKIESASCESVLSDANEPYLSIVTGLR -QEYAIPVGPVFPAGMNWTELLNNYSASREDNLQRIFTAASIRSMIIK - ->sp|P89043.1|VP6_ROTP3 RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNSPVRNWNFDFGLLGTTL -LNLDANYVENARTTIEYFVDFIDNVCMDEMTRESQRSGIAPQSEALRKQSGIKFKRINFDNSSDYIENWN -LQNRRQRTGFVFHKPNILPYSASFTLNRSQPAHDNLMGTMWINAGSEIQVAGFDYSCAFNAPANIQQFEH -VVPLRRALTTATITLLPDAERFSFPRVINSADGTTTWYFNPVILRPSNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLVRPPNMTPAVANLFPQAPPFIFHATVGLTLRIESAVCESVLADASETLLANVTSVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|P87723.1|VP6_ROTHU RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPIRNWTFDFGLLGTTL -LNLDANYVENARTTIEYFIDFIDNVCIDEMSRESQRNGVAPQSEALRKLAGIKFKRINFNNSSEYIENWN -LQNRRQRTGFVFHKPNIFPYSASFTLNRSQPMHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANIQQFEH -IVQLRRALTTATITLLPDAERFSFPRVINSADGATTWLFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLMRPPNMTPAVNALFPQAQPFQHHATVGLTLRIESAVCESVLADANETLLANVTAVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|Q06386.1|VP6_ROTPY RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPIRNWTFDFGLLGTTL -LNLDANYVENARTTIEYFIDFIDNVCMDEIARESQRNGIAPQSEALRKLSGIKFKRINFDNSSDYIENWN -LQNRRHGTGFVFHKPNILPYSASFTLNRSQPAHDNLMGTMWINAGSEIQVAGFDYSCAFNAPANIQQFEH -VVPLRRALTTATITLLPDAERFSFPRVINSADGTTTWYFNPVILRPSNVEVEFLLNGQIINTYQARFGTI -IARNFDTIRLSFQLVRPPNMTPAVANLFPQAPPFIFHATVGLTLRIESAVCESVLADASETLLANVTSVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - ->sp|P16593.1|VP6_ROTPG RecName: Full=Intermediate capsid protein VP6 -MEVLYSLSKTLKDARDKIVEGTLYSNVSDLIQQFNQMIVTMNGNDFQTGGIGNLPVRNWTFDFGLLGTTL -LNLDANYVETARTTIEYFIDFIDNVCMDEMARESQRNGIAPQSEAFRKLAGIKFKRINFDNSSEYIENWN -LQNRRQRTGFIFHKPNIFPYSASFTLNRSQPMHDNLMGTMWLNAGSEIQVAGFDYSCAINAPANIQQFEH -IVQLRRALTTATITLLPDAERFSFPRVINSADGATTWFFNPVILRPNNVEVEFLLNGQIINTYQARFGTI -VARNFDTIRLSFQLMRPPNMTPAVDALFPQAQPFQHHATVGLTLRIESAVCESVLADANETLLANVTAVR -QEYAIPVGPVFPPGMNWTELITNYSPSREDNLQRVFTVASIRSMLIK - diff --git a/seq/clusters_seq/cluster_169 b/seq/clusters_seq/cluster_169 deleted file mode 100644 index 68fbf2c..0000000 --- a/seq/clusters_seq/cluster_169 +++ /dev/null @@ -1,1361 +0,0 @@ ->YP_009553728.1 glycop C [Kampung Karu virus] -YKACASAFTFSRAPADTGHGTVVFEVTSSSEAPCRVVTNFLDASDKKLEGRIITVNPILLEADGKVAIEV -EAPFGNSILEVEGGTPPLHYAWHR - ->YP_009513190.1 polyprotein [Royal Farm virus] -MAGKAISKGKGGGPPGKRPKVAIRKTGQSAGLMPRVLLLSRIMGVLWHLLSNTPRPPILRAFWRTVPVRQ -AMGVLTRIRRVAGSMMQSLQGRGKRRAGEGLSAYWLAALLCGVALCAHVRRGPDGGIVIRADGRDAATQL -RVGNGTCAILSTDMGDWCDDSLAYECVTIEGGEEPVDVDCFCRGVTGVFIEYGRCGRKGHSRVTRSVHIP -SHAERNMVGHGERTWLGTSNWKEHATRVESWVWKNKVMVIAVAMATWICVKSMTLRLLIVVAFLLVAPGQ -ASRCTHLENRDFVEGVTGTTKVTLVLELGGCVTVTAEGRPSVDVWLDSIYQESLAQVREYCLHAKLSNSK -VAARCPTMGPATLPEEHQADMVCKRDQSDRGWGNHCGLFGKGSIVACTKFTCEEKRTAAGYVFDSSKVTF -AVKVEPHTGTYLAANDTHVDRKEAKFTAAAEKVILTLGDYGDMSLVCRVTSGMDMAQTVILEMDKTNEHL -PQAWQVHRSWFEDLSLPWRHKGESAWREAQRLVEFGPPHAVKMDVYNLGDQTSVMLKSLTGAGMVRLEGT -KVFMQSGHITCDVGLEKLKLKGITYSMCESGKFSWKRPPTDSGHDTVVMEVSYSGATKPCRIPVMATAHG -EESNVAMLITSNPTIETDKGGFIEMQVPPGDITIKIGDLKQQWFQKGSTIGRVFQKTRRGVERLVAVGEH -AWDFGSVGGVFSSVAKACHMVLGNLFGAVFGGFGFLPRILIGAGLVWLGLNARNVTLSVGFLAVGGILLA -LTLGVGADVGCSIDPVRKELRCGEGLAVWKETSEWYDGYVFYPESPGALAAALLDAYESGVCGLVPQNRL -EMAMWRAVAGELNVVLVENDANLTVVVDGRDPQDYRGGRAGQLGTGKKDMTVKWHTWGKALLWTVPESPR -RMLVGVTKTDECPMHRRLTNVFQVAEFGVGFRTKVFLDFRDTPTTLCDSGMMGAAVKNGYAVHTDQSMWM -RSHLNETGVFLDELLLTDLRNCTWPGSHTIDNEGVIDSELFLPVSMAGPRSKYNRIPGYAEQVKGPWDQT -PLRVVREPCPGTKVEKDAACTKRGPSVRSTTESGKIIPEWCCRACEMPPVTYRSGTDCWYAMEIRPRHVQ -GGLVRSTVMAANEQLTSEAGIPGVVAIMILVELILRRRPGAGVTTVWSGLLLLVLMVLGLVTVEGILRFV -VAVGSSFILQLGPDMATFVLLQAAFDLRVGFMTAFVLKRTATPREVVIVYFCLLTLEHGLPTEGFDTALE -WFDAVAVAALTMNASVDEGKQALGLLVAGLMALKSLRSLQLGLMVFLGLMWVTTMVGLFRGQQDRKGWTY -GIPLCGILGGSGVGIRLLAFWELRKRCLRRSIEEPLTMLGLMLAVSSGVLRNSSPEALVTAVAGAAMILA -LIIGTRKMQLTAEWTGEIEWNPDLVNEGGHVDLAVRRDAMGNFHVTEIEREERKMAVFLFLGLVAAAIHW -SGILVVFVGWLAVETVFSGKRGDLVFSGVPEALVRGNAPFEVKEGVYRIFRPGLLWGHNQIGVGYGKQGV -LHTMWHVTRGAAIRIGDGVCGPHWADVREDVVSYGGPWHLASRWKGEVVQLHAFPPGRAHEVHQCQPGRL -KLENGKCIGAIPIDMPKGTSGSPILNVDGDVVGLYGNGLRTNDTYVSGISQGSAEKSKLEMPLAVQGEAW -LSKGKITVLDLHPGAGKTHRVLPDLIRQCIDKRLRTLVLAPTRVVLREMEKALQGKKVRFHSPSVDHGSS -DAIVDVMCHATYVTRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYSLAKENKCALVLMTATPPGQND -PFPESRGKIVSEERQIPDGEWRDGFDWITEFEGRTAWFVPSIARGAAIAKTLRQKGKTVICLNSKSFEKE -YHRVQEEKPDFVVTTDISEMGANLDVHRVIDGRTNIKPEEVDGSVELTGVRRVTTASAAQRRGRVGRKEG -QTDSYVYSGQCDDDDSSLVQWKEAQILLDNITAVRGPVATFYGPEQGKMPEVAGHFRLSEEKRKHFRHLL -SQCDFTPWLAWNVAANTTGVMDRSWTWSGPESNTIDDQNGDVVKFRSPGGAERTLRPLWKDARTFREGRD -VKEFVLYASGRRSASGILESMGAVPTLLRDKCVHAYDVFYTLYRGSPGTRAYAMAQREAPEAVLTALEVV -ILGALTCGVLWSLMTRGSPGRMGLGVVVLLVSLGLMWAGGVTYGQMAGVSLLFYAFLTVIQPEPGKQRST -DDNKLAFVLLFLGVVIGGVAANEMGWLERTKHDISRLLWPVTGEGGGVLQVWADIDIQPARSWGTYVFIV -SLCTPYLVHQLQTKVQQLVNSSVASGAQGMREVGGGAPFFGVKGHVLTLGVTSLVGATPVSLGLGAALAV -FHLTLVLSGVEAEFTQRVHKAFFSGMVKNPLVDGEVTCPFDGGESKPAFYERKLSLIVALALCALSLVLN -RNAWAIAESGAVGVAALGQLMRPDEETWWTMPMACGMAGLVRGSLWGLLPIMHRAWLKTSGTRRGGGEGE -TLGDLWKEKLNGMTKEEFFQYRRSGVLETDRTTARELLRRGETAMGLAVSRGTAKLAWLEERGFVTLKGE -VVDLGCGRGGWSYYAASRPSVMGVKAYTIGGRGHEEPRLVTSLGWNLVKFRSSMDVFTMDPHRADTILCD -IGESSSSAEKEGERSRRVIFLMEQWKMRNPTAACVFKVLAPYRPEVIEALHRFQLQWGGGLVRVPFSRNS -THEMYYSTAVSGNLVNAVNVTTKRLMDRMADSRGPIRVPEVDLGVGTRCVVLAEDRVREEDVIERVEALR -KQYADTWHVDSEHPYRTWQYWGSYRTPPMGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVF -KDKVDTKAQEPQPGTRVIMRCVNDWMMDRLAKRSKPRLCTKEEFCAKVRSNAALGAWTDEQNIWKNAREA -VEDPGFWNLVDAERELHRQGRCAQCVYNMMGKREKKLGEFGSAKGSRAIWYMWLGSRFLEFEALGFLNED -HWASREACGAGVEGTSLNYLGWLVRELGQMNGGKMYADDTAGWDTRITNADLEDEEQLLRHMEGEHRVLA -RTIFQKAYHAKVVRVARPSSDGGCVMGIITRRDQRGSGQVVTYALNTPTNIKVQLMRMMESEGVIGPADA -HDPRLLRVENWLQRHGEERLRRMLVSGDDCVVKPIDDRFAGALYFLNDMAKVRKDTTEWEHSRGFESWEE -VPFCPHHFHELVMKDGRTLVVPCRDQDELVGRARVSPGCGWSIRETACLSKAYGQMWLLSYFHRRDLRTL -GLAICSAVPVDWVPTGRTTWSIHASGAWMTTEDMLEVWNRVWIQDNPYMEDKSKVAEWRDVPYLPKAQDM -VCGSLIGRADRAEWARKIWTGVLKVRAMIGHERYRDYLSCMDRHEAPWMTDLNSDIL - ->YP_009513189.1 polyprotein [Kyasanur Forest disease virus] -MAKGAVLKGKGGGPPRRVPKETAKKTRQGPGRLPNGLVLMRMMGVLWHMVAGTARNPILKRFWATVPVRQ -AIAALRKIRKTVGLLLDSLNKRRGKRRSTTGLLTPILLACLATLVFSATVRRERTGNMVIRAEGKDAATQ -VEVMNGTCTILATDMGSWCDDSIMYECVTIDSGEEPVDVDCFCKGVERVSLEYGRCGKPAGGRNRRSVSI -PVHAHSDLTGRGHKWLKGDSVKTHLTRVEGWVWKNKFLTAAFCAVVWMVTDSLPTRFIVITVALCLAPTY -ATRCTHLQNRDFVSGTQGTTRVSLVLELGGCVTLTAEGKPSVDVWLDDIHQENPAKTREYCLHAKLANSK -VAARCPAMGPATLPEEHQASTVCRRDQSDRGWGNHCGLFGKGSIVACAKFSCEAKKKATGYVYDVNKITY -VVKVEPHTGDYLAANESHSNRKTASFTTQSEKTILTLGDYGDISLTCRVTSGVDPAQTVVLELDKTAEHL -PKAWQVHRDWFEDLSLPWRHGGAQEWNHADRLVEFGEPHAVKMDIFNLGDQTGILLKSLAGVPVANIEGS -KYHLQSGHVTCDVGLEKLKMKGMTYTVCEGSKFAWKRPPTDSGHDTVVMEVTYTGSKPCRIPVRAVAHGE -PNVNVASLITPNPSMETTGGGFVELQLPPGDNIIYVGELSHQWFQKGSTIGRVLEKTRRGIERLTVVGEH -AWDFGSVGGMLSSVGKALHTAFGAAFNTIFGGVGFLPRILLGVALAWLGLNSRNPTLSVGFLITGGLVLT -MTLGVGADMGCAIDANRMELRCGEGLVVWREVTDWYDGYAFHPESPSVLAASLKEAYEEGICGIVPQNRL -EMAMWRRVEAVLNLALAESDANLTVVVDKRDPSDYRGGKVGTLRRSGKEMKTSWKGWSQSFVWSVPEAPR -RFMVGVEGAGECPLDKRRTGVFTVAEFGMGMRTKVFLDLRETASSDCDTGVMGAAVKSGHAVHTDQSLWM -RSHRNATGVFISELIVTDLRNCTWPASHTLDNAGVVDSKLFLPAGLAGPRSHYNHIPGYAEQVKGPWSQT -PLRVVREPCPGTAVKIDQSCDKRGASLRSTTESGKAIPEWCCRTCELPPVTFRSGTDCWYAMEIRPVHQQ -GGLVRSMVLADNGAMLSEGGVPGIVAVFVVLELVIRRRPTTGTSVVWCGMVVLGLVVTGLVTIEGLCRYV -VAVGILMSMELGPEIVALVLLQAVFDMRTGLLVAFAVKRAYTTREAVATYFLLLVLELGFPEASLSNIWK -WADSLAMGALILQACGQEGRTRVGYLLAAMMTQKDMVIIHTGLTIFLSAATAMAVWSMIKGQRDQKGLSW -ATPLAGLLGGEGVGLRLLAFRKLAERRNRRSFSEPLTVVGVMLTVASGMVRHTSQEALCALVAGAFLLLM -MVLGTRKMQLTAEWCGEVEWNPDLVNEGGEVNLKVRQDAMGNLHLTEVEKEERAMALWLLAGLVASAFHW -AGILIVLAVWTLFEMLGSGRRSELVFSGQETRTERNRPFEIKDGAYRIYSPGLLWGHRQIGVGYGAKGVL -HTMWHVTRGAALVVDEAISGPYWADVREDVVCYGGAWSLESRWRGETVQVHAFPPGRPQETHQCQPGELI -LENGRKLGAVPIDLSKGTSGSPIINAQGEVVGLYGNGLKTNEAYVSSIAQGEAEKSRPEIPLSVQGTGWM -SKGQITVLDMHPGSGKTHRVLPELVRQCADRGMRTLVLAPTRVVLKEMERALAGKKVRFHSPAVEGQTTA -GAIVDVMCHATYVHRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYSLAKENRCALVLMTATPPGRGD -PFPESNGAIMSEERAIPDGEWRVGFDWITEYEGRTAWFVPSISKGGAVARTLRQRGKSVICLNSKTFEKD -YLRVREEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGKVELTGTRKVTTASAAQRRGRVGRTSG -RTDEYIYSGQCDDDDTSLVQWKEAQILLDNITTLRGPVATFYGPEQVKMPEVAGHYRLNEEKRKHFRHLM -TQCDFTPWLAWHVATNTSNVLDRSWTWQGPEENAIDGADGDLVRFKTPGGSERVLQPVWKDCRMFREGRD -VKDFILYASGRRSVGDVLGGLAGVPGLLRHRCASALDVVYTLLNENPGSRAMRMAERDAPEAFLTIVEVA -VLGVATLGILWCFVARTSVSRMFLGTVVLFAALFLLWIGGVDYGHMAGIALIFYTLLTVLQPEPGKQRSS -DDNRLAYFLLGLFSLAGLVTANEMGMLDKTKADLAGLVWRGEQRHPAWEEWTNVDIQPARSWGAYVLIVS -LFTPYMLHQLQTKIQQLVNSSVASGAQAMRDLGGGTPFFGVAGHVIALGVTSLVGATPMSLGLGVALAAF -HLAIVASGLEAELTQRAHRVFFSAMVKNPMVDGDVINPFPDGETKPALYERRMSLILAIALCMGSVVLNR -TAASMTEAGAVGLAALGQLVHPETETLWTMPMACGMAGLVRGSFWGLLPMGHRLWLRTTGTRRGGAEGET -LGDIWKRRLNGCSREEFFQYRRSGVMETERDKARELLKRGETNMGLAVSRGTAKLAWLEERGYATLKGEV -VDLGCGRGGWSYYAASRPAVMGVKAYTIGGKGHEVPRLITSLGWNLIKFRTGMDVYSLEAHRADTILCDI -GESSPDPLAEGERSRRVILLMEKWKLRNPDASCVFKVLAPYRPEVLEALHRFQLQWGGGLVRVPFSRNST -HEMYFSTAISGNIINSVNTQSRKLLARFGDQRGPTKVPEVDLGTGTRCVVLAEDKVREADVAERIAALKT -QYGDSWHVDKEHPYRTWQYWGSYKTEATGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFK -EKVDTKAQEPQVGTKIIMRAVNDWIFERLAGKKTPRLCTREEFIAKVRSNAALGAWSDEQNRWPNAREAV -EDPEFWRLVDEERERHLGGRCAQCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRYLEFEALGFLNEDH -WASRDLSGAGVEGTSLNYLGWHLKKLSELEGGLFYADDTAGWDTRITNADLEDEEQILRYLEGEHRTLAK -TILEKAYHAKVVKVARPSSSGGCVMDIITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIGPSDSQ -DPRLLRVEAWLKEHGEERLTRMLVSGDDCVVRPIDDRFGKALYFLNDMAKVRKDIGEWEPSEGYSSWEEV -PFCSHHFHELTMKDGRVIIVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLG -LAICSAVPIDWVPQGRTTWSIHASGAWMTTEDMLEVWNRVWILDNPFMGDKGKVREWRDIPYLPKSQDGL -CSSLVGRRERAEWAKNIWGSVEKVRRMIGPERYADYLSCMDRHELHWDLKLESNII - ->YP_009350101.1 polyprotein [Phnom Penh bat virus] -MVTQPRKRGNLKKQIVRKSGKKKRDSDPKGGPLSMRAVLGVMHYASHIALGMRIKGPLKAFWKNTPVSAL -SKVILKLMNILRSLLTSLLKRKKRRSRGESAFLLWFCVGLGLGSVIRSHGGWTISPSKADVGQTLQVGNG -SCIFASLDIGSPCERTISYECVTLSEKEEPFDVDCYCYGVERVTVTYPLCKVGTNRHRRSLDIAEHPPHV -YLGSGSLWGGVESINDHFEKVEELLVVHWVKAAVVTVVAVYLFGLSWKIMVLLILLLLVTPAFGTQCVSV -EKRDIMRGNSDVTWLSILLDKGRCVTVAVEGKPTIDIWLEQVTQQSPTASREYCTQVTTTNLKVAARCPT -QGEATLTEEMKEGYVCKRTLSDRGWGNGCGFFGKGSIIGCVKTSCSAENVIKSYTYDSSKISYTVGVEPH -LGGVLGKNDTQKVTRATFTAESEKKLVELGDSGNLEFNCRVISNTDLSNIRLLECDTHYYNVHIDWLNDL -PLPRRLPDGNWRGLERLMAFDEPHAVRMSVKSFTNQKGIVQKALLRAEEIKKSSDQYQLTGGHIECRIST -VGLKLKGSTYGYCEKNFEWSKKPTPTPHDTVVLEVKYKGTVQPCRVTASVEKEGSPGKNFGSLITANPFV -TKTDDILFLELVVPTGSYVIKVGNLEYNWKQAGSTIGKAIHMARRTLEKTLITSSAYWNGNEEFTMNSLW -KILRIPIDWLFGGFGFMAKIVIGALLLWFAGNIRNGSLSIALGVVGALLLCFTLGVVGDVGCAIDTSRKE -LKCGDGVMIWNEVNNWMDKYKFYPEDPQTFLETLLSVQKQHCGHMPSNHLELKMWKSLEREINLYLADHE -HGWKVKVGESGGYYEKGGILGWAKKQGRDGITWSEWLRSFPPAEWVDRTAFGNGTFFVGAVGLEECPVND -RTWNVFRVAEFGVGLTHTRVFLDIMSERRVECDVGLLGAAARDNRIVHSSIWMWMDSFEVNGTLQLQSID -LLYAVDCYWPATHTVSSRSVSHDKMILPRYMGGPPSTMNEIIGYSVQDKGPWRKAPLRMERGYCEGTNVE -VKETCSDKGPSTRTTTESGTIIKDWCCKHCKMPPLKYTTEDGCWYGMEIQPMSEIPGRVVAGDTHEVFAS -SWGVFSILILYYLGILTKVTRGTWELWLIPVISILTFLGVINLNDVIRYMVALGTTYVWRFPEPMLWIIA -MESTFVLRPGLILGVYLTRSWRFEKVLGMVAILHAVQWTTLECRSFWQLLDSFAFLTYGLSLAESGFQSH -LFSLLSLSLGWIEQRVIQQAVIMAGVSLLFVNSKRFYESDWSQKTKLTILAGLKGCLAPLFPLVVVFFLG -KTFERRGVDVTAILGIVMGIGAMVCKNGLESSPWMVGVMAIFLLFFVLQMNTGGMTAEWSGHHDWKDDCA -KSVGSISLEVRRLPDGRLINLSKEREGIMEMIIVGVGMVITGFHWAGIPLTVFSIGVKKWFDQRQRSLLI -MGLGEATTEPAPIMDGVYRIMVSSLFGRKQVGVGVFKHGSFHTMWHVTRGCVLTISGRKVYPEWANVKED -LISYNGGWKLHNKWTGGEVQVHAHTPDGSIHKTQLLPGKMKLETGEDLGLIPLDFPPGSSGSPIINTLGQ -VVGLYGNGVLHGDIYCSSIAQTEEKEKIEVPKVIEGDGWMSKGRLTIIDAHPGSGKTHKILPDLVKRAAQ -RRMRTLVLAPTRIVIKEMERALKGLDVSYHSSAVSTKTPGSIVDVMCHATFVNRKLIHLPQKNYELIVMD -EAHWTDPNSIAARGYITSQCELGKCAVVLMTATPPGVDDPWAKSNEKIEDEQKVIPDGPWKQGFEWITDF -NGRTAWFVPSQNAASGIAGTLRSLGKKVIVLTSKTFHDNYPKIKDDKPDFIMTTDISEMGANLDVERVID -PRTTLKPVEKGNVVEISGEMQITPASAAQRRGRVGRTPGKIAQYIYQGEVDIDDSELVCWKEAQMLLDNM -DSKNRSVSNFYSPEQEKMTETPGFYRLTEEKRKVFRHLLTNCEFTPWLAWNVASNTKGAEDRQWVSAGPK -NHVVEDENGDPIEYTTPGGKINKLAPVWLDKRMFREVRDLKSFVDYASMRKTSGLLSIPRLFYSKVYESL -DVLYVYFSAKADSRSFKMAERQLPEAMLCVFQAVIMIAGFLLILMWLMSRTKVDRMLMGTMVIVGSSVMA -WIGNVPLAVVACCGMVSFILLICLIPEEGMQRTQIDSTLSLVMFGVVFLVIAVVANEMRLLENTKKDIMG -LFGNSDRPSLTTSYSEWLNWDIKPLSVWATYVVIVTTLRPQVLHNLKMLSQRVVTGTVAGKTDLLNLLPL -GSSWLHFGMGDFTLLAGVIRNISAMNVLGGIILGVLHWGWFLPLHAAAESSKAHKIVTQSLAKNTMVDGE -TIYQLEEMNGDTENSEKTFSLFVALSLGMVNCALNRYPWSFLECFMIVMVVARHFYDMRTQTFWTMPVVS -GMSSIMRGDLCGLFPILFRMWLAIKSERRGLTTNHLTLGEEWKRELNKLTQREFLAYRKRGVLEVDRAEA -ILQLSRGKTNTGHAVSRGTSKLAWMHERGMVPLTGHVVDLGCGRGGWSYYSAAQRGVKKVNAYTLGTGSH -EKPKIVESFGWNLITFKSKCDVEKLSPFFCDTVLCDIGESSPSAAIEGKRTLRVLNMFEQWLKCCPDANF -CCKVLCPYVPDVLETISRMQQRYGGGLVRVPHSRNSTHEMYYVSGVSTNVVGSVNAVSRKLINRFSSTGG -ERVVPDINLSVGTRSNLTNLVKVDPTKVAQRVERVKKENSSSWHYDEEHPYRTWEYHGSYHIRDVGTKSS -AVNHVVKMLSWPWLTIESVISMSMTDTTAFGQQRVFKEKVDTKAPEPPTSVRKVMRLTFTWLLSLIKKRG -GVVRMCSREEFINKVETHASIGAWSAEMEGWEDARQAVQDPRFWNLVDKXRNLHLQGKCETCIYNLMGKR -EKKPGDFGVAKGSRTIWYMWLGARFLEFESFGFLNEEHWASRELSGGGVEGIPLFYLGYEMEKIARKGGP -LYADDTAGWDTRITESDLNDEMEICSLMEGEHKKLATVLFEMAYKNKVALCPRPGKRGGTVLDVITRRDQ -RGSGQVVTYALNTLTNLKVQLIRMAESEGVLTQDFEDLGMENWLKRYGEDRLERMLVSGDDCVVCSLDER -FGNALTWLNVMEKIRKDTDLWAPSKHYDNWERVEFCSNHFHKLYMKDGRSLIAPCRSQNELIGRALVNQG -GSTGIQGTACLAKAYAQMWNMLYFHRRDLRILGFGIMSSVPSNWIPTGRTTWSVHATKDWMTTEDLLSXW -NRIWIEDNPHMEDKRDINDWKDVPYLPRGQDISCGSLVGTGKRAQWAELIPGATLKVRNLMGNERFINYL -SEIGRYSEKERAFVLY - ->YP_009345037.1 polyprotein [Saumarez Reef virus] -MPSILKKGGGKPTAKAVKTAKKNNGKKLVTMPRKLAITAMIRVILMMAQGVARPLDLRRFMRFTPVVQLR -AVLKRIQRIVRSLLIEISGRNRRGPGGMRWMILLPLIGGCMGALVMTRLDGILVKVEPKDVGTAARIGNG -TCTFLMTDVGDWCEDNIEYPCVTIEPNESPVDIDCYCRGVEDVRVTFGLCSREEKRSRARRSISITPHGV -ASEHSRKGTWLDTDRGMQHVTRVESWVLKNKLFAAAVILAAWALGRTTTQRVLLIAGALLVVPAYASKCV -HLENRDIVTGTTGTSRVNVVLEKHACVTIVADGKPSIDIWLDGIYQESPAKTREYCLSMELSNQKIDARC -PSMGEAYLEEENSRDNVCHRDYSDRGWGNHCGLFGKGSIVGCVKVTCTSGKTLQGLEFDANKITYAVHLE -VHDGVMRTLNNLNTLRKTSLFTAASEKHVVSINQFGQATIQCRVNSGIDLAKTMLVQMGDDVWSVHRDWF -EDLPYPWRHGGTSWREVSRVVGFEPPHAVKMTAHSLGDQTGAVLKALSGANKGMKTSNKYEISGGHVSCV -VGLEGLKIRGLTYTLCGGNDFTWKKNPTESQHDTVVMEVTYTGSSTPCRVPVRAYHPTIVEKDIASIITA -NPVVESTHTKDVFIEMQLPPGDSIIAIGSLRYQWFQKGSTIGRMATLTAKGIRRMAILGETAWDFGSAGG -FFTSVGRGIHMALGGVFNAIFGGVGFFPRILIGAFLVWIGLGARNMTLSMILMATGGILLSLTLGVGADY -GCAVDMSRRELRCGRAVAVWKETPEWFEGYQYHPESPGLLASAIQKSMDAGICGVIPANRLEATMWRSIL -DELNLALSEAETNTTVVVDREGVDFRGEDRYLLKRDEKPLEVGWKAWGKSIIWSVPEGGKRFLIGRKGTH -ECPLEKRAWNTFKLAEFGVGLRTKVFMDIREEMTRDCDTGLMGAAVKNGEAVHTDQSLWMLSSFNETLTT -ITELHITDFRNCTWPATHTLNNDGVEQTKMFLPRAFAGPRSRFNVIPGYSEQVKGPWNKAPLHILREPCP -ETTVRVDPKCDGRGASTRSTTESGKIIPEWCCRSCVLPPVTFRSGTECWYAMEIRPIHQQGGLVRSTVLA -MTDGLETEGALPGVLSLLIVVELLVRRRIGTSRGILMGGALLLVMMVLRVVTVESLVRYMVATGIVWHLQ -VGPEVMNLILLQSVFELRTGFIGAFVLREEWTQRETLVVYLALVAISAGVPEGDLDVFKALDTMALTAAL -VRTLGGDKRNGMAMLLIMLMSLRELEPFRVAVQLTCGVLVGTTAYQLWRGAGEQKAACRVVWIAALSAAL -AGSGGVGIRLLGATGLWTFFRSGRRSVADATSVAGILLVVASVVLRGGPPEFMLAVAVGGAMLLAYIVVA -RRTHLVAEWVGSIQWEHGLENEGGKVNLRAHRDDMGNIRPVREDEPGYAAVVFMTAGFFMAAYGWTGIIA -VAVCWIIWEWWHNDTRRGDLVWNGLSIGGCSPGGIFEVKTGVYRIYEPGLFGGRRQIGVGYGNAGVLHTM -WHVSRGAAILIGDAMQGPTWADVHRDLVSYGGDWALPKKWRGGTVQVHAFPPGRPHEVHQTEPGTLKMED -GTTEGAIHIDLPRGTSGSPILDEDGVIVGLYGNGLRHGESYISSISQGDVVEKEEAPLPEAIRGREWMSK -GRITVLDMHPGSGKTHRVLPELVRRCIAERKRTIVLAPTRVVLREMEKALRGKNVRFHSDSVEVRGERAI -VDVMCHATYTHRRLLPTAQPNYEVAVMDEGHWTDPCSIAARGHLSSLAGEGRCAFVLMTATPPGTMDPFP -DSNERIESREEIIPTRDWKDGFEWITDFEGRTAWFVASIREGGLIAQALRRRGKKVVCLNSKTFDKEYGS -IAEEKPDFIITTDISEMGANFGVERVIDGRQNIKPIITDERVELSEPRPVTPASAAQRRGRVGRTKGKKA -EYVYHGEVEADDSCLAQWVEGQMLLDNMMSQRGTVALFYGAEQSKMPAEPGHFRLGDEARKHFRALIVMQ -DFTPWLAWNVAKNTTGIIDRKWTHGGPEGNTVTGADGEAVTFRAPGGATRKLCPVWWDARVLRAGRDLEG -FIRYAEGRRSIGGGMIHGLGLMPEIMAKRGEQALDVFYTLWHERAGTRAFTRAEAELPEAFCTIFEFIFL -GLGTCGVIWLLSARYTASRLFLGVVVMSVAGTLMWCGGFAAGQIAGMMIVFYIVLVVLLPEPGTQRSFED -NKLAYCVLGILVVTGIVAANEMGWLEKTKADIGNVIWWKEPAQEAWGVTPSALSLDIDLRPNISWGTYVA -IVSICTPHLLHRIRTAVQQTVNAAVSSNGQGLRELGGGSPFFSIKRHVVALALSSAAGSTMTTFVVGVAL -AVAHWTLTLTGVEAALIQRAHRTYFSAMVKNPMVDGEPVNEFEREEGKPPGYERKLSIVILLGLCLVSVL -LNRQPWAIFEAVALGLGGISQWNEPARETYWTMPVVCGFVSIGRGHWLGSIPIIERLVSESQRDRRGPGS -AGASLGMLWKEKLNQMDREGFHKYRRAGAMETDRRLARELLCKGEGKMGLAVSRGTSKLAWMEEGGYVEH -TGRVVDLGCGRGGWSYLAASKTKVMEVRGYTLGIDGHEVPRLVESFGWNIIKFKSKVDVFRMRPERVDTI -LCDIGESSPKWQIESERTMRVLDLLEKWKAESPAAEFVVKVLCPYSAEVMERLSAMQRRWGGGLVRNPFS -RNSTHEMYYTSRAGGNIVGSVTACTERLLGRMARSDGPVTVPEIDLGLGTRCVTLATDTIDRRLIQERLD -RIKAQYARTWLHDENHPYRTWQYWGSYRCADTGSAASLVNGIVKMLSWPWNAREEVCLMAMTDTTAFGQQ -RVFKDKVDTKAQEPRIGTRIIMRTVNNWLLGKIMEKKKPRLCSREEFIAKVCSNAAIGAWLDEQNQWKDA -KEAVADPRFWRMVDEERELHLQGRCASCVYNMMGKREKKIGEFGKAKGSRAIWYMWLGSRFLEFEALGFL -NEDHWAAREISGGGVEGTGLHYLGWLMEALSRKEGGRMYADDTAGWDTRITNSDLEDEEELLNSLDEEHK -KLASAVMKLAYHAKVVRVARPASDGGTVMDIISRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIGP -VDMDEPRVKRIERWLQEHGEERLSRMLVSGDDCVVKPIDDRFAGALYFINDMAKIRKDVGEWTPSTGYDN -WEEVPFCSHRFHRLVMKDGREIIVPCREQDELVGRARVSPGCGWTVRETAGLSKAYAQMWLLGYFHRRDL -RLMALAICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLDVWNRVWIFDNPHMPEDKKERVTDWRDIPYLP -KTSDQACGSLVGTSTRATWAENIWSSVEKIRKMVGNESFRNYLMVMDRYQGGEALPVVSDII - ->YP_009345035.1 polyprotein [Kadam virus] -MPALKAGRGGARAPRGPKNSKQVRGPSMPRRVALHRMLGVLFHLMMGLSRPKILKSFMRTTPAAQLVAVL -RRIKRTVDRLMGQLMNGKRKSAGGGTIWIWLMMLSVAGAAVVVQKGLTTLVRADKTDIGKAFHLENGTCW -FMAADAGTWCDMSQEYACVTLDTGEEPVDVDCYCRGVDRVTIQYGTCAGHMHRRQTRSVHITPVKPQAYL -SRTGVWKQGEAARNYLTRTESWILRNKAFALALCVAGWALGKTTVQKVIFIAFVLLIAPAYASRCVHLEN -RDFVEGVQGHTLVNIVVEEGGCVTITAHDRPSIDVWLKGISQESPAKSREYCLKPKLGNAKTEARCPTMG -EATLAEEHSASYVCERGFSDRGWGNNCGLFGKGSIVGCVKFSCEASAVLTGLVYDATKIVYEVGVEVHTG -MTNEPNKTTGTVKILQFTSQAEKKVASLGDHGELTVECRVNSGIDVSHTILMELSGKVWNVHRDWFMDLP -FPWRHGGGDTWNDKKRLVEFEPPHAVKMVAVSLGDQTGVVMRSLAGANLAIKEGNSYRIGGGHVSCRVGL -EKLKVVGMTYSACESSKFTWKQTPRDSAHDTVVMKLAYTGTKPCRALVRAYRPGAETLDVAKLITSNPIC -TNDMTDLFVEMQVPPGDTIIAVGDLRFQWFQKGSSIGRTVELTRKGIQRMIIAGESAWDFGSVGGFLNSI -GRGIHTILGGAFHAIFGGIGFVPKILMGCLLVWVGLNMRNMTLSLVCLAVGGIVLSLALGVGADIGCAVD -PHRRELRCGASHVVWKETSEWFDTYSFRPESPGRLAAAVHATLEKGWCGVVPSNRLELAMWNSIAAELNL -ALAEAGENLTVVVQMGKENDFRGGVASPMARGQKEFTIGWKAWGRSIYWTPTPGEKRFHVGNKDTDECPL -QKRLTNIFKVVEFGVGLKTKVYMDLRDDSSMDCEAGLMGAAVKNGKAVHTDQSLWMVSTRNDTATEITEL -EVTDLRNCTWPAPYTLDNKGVEYTKMFMPRGLSGPASSYNRITGYAEQNKGPWDQVPLKMVREECPGTKV -EVTEECDGRGSSLRSTTDSGKIIQDWCCRKCTMPPVSFRHGVDCWYAMEIRPKSKQGGLVRSQVLAFNDG -LVSEAGVPGIVAMFVVMEFLIRRNVRTVGSILWCGGVFLFLFVSGVVTPEDILRYIVGVGILWHLEVGPD -IIMFVCLQVAFEMRTCFLLGHGLMAQWTPRESLMVFLAGLLLQVGTSGAMFDELWHTADSVALALMVMQV -VGGRHHAVAAVIAAILTQRDQGVIHWGITGGIMALTCLALYQMATGTGERRSIVQCVGLMAGVTVPGGAL -RVLGVLTAVRGFGKQRSLEEPATAIGILLVVISAILRGTSSEFIAALSIGGFVTLAYIASSRRGTLEAEW -EGPVEWDDGATDEGGHVDLRVRRDAMGHLHLCEEEKEGQALTCILAIALVLAAIHWIGAVLVVALWVGWT -MLSRNRRSDLIFSGVREFRETYQGPFEVKAGVYRIYRPGILWGRQQIGVGYGLNNVFHTMWHITRGAAIS -VNGRVAGMSWGDIREDVVSYGGKWALDSKWNGEKVQVHALPPRGPREIHQIQPGRVKLTDGTETGSIALD -IPQGSSGSPIINQQGQVLGLYGNGLRYGGDYISIISQGSPEREPDNLPAALEGERWYRKGEITEVNMYPG -SGKTHRVLPKLVETCIQRRMRALVLAPTRVVLKEMERALGGKKVRFHSPAVTNGDVNGAIVDVMCHATYV -QRKLLPTWRTNWEVAIMDEGHWTDPNSIAARGHLSSLAKENRCAFVLMTATPPGTTDPFPESRGTIQNEM -KVIPSSDWGETGEWITSYEGRTAWFVPTIRQGGVIAAALRKRGKTVICLNSKSFEKEYPRVLEEKPDFVV -TTDISEMGANLDVTRVIDDRTNVKPEEVDGGVDIVGTRRVTTASAAQRRGRVGRKENSNDWYIYSGECDD -DDSSLVQWSEAQMLLDNITSYRGPMSTFYGPEQDKMHYEPGHYRLPEEKRKHFRHLMTQCDFTPWLAWHV -AQGTRGIQDREWTWSGPPRNIVEGQDGNPIEFQTPNGMRRRLCPVWSDVRMWREGRDLVDFLRYAESRKS -ASLVLAGFGGIPELLSTRAHGAMDTFYTLYAGERDSRAYMEAEAELPEALTVIVELLVLGLGTCGVLWFL -MMRTTVNRTIIGVGVMAASACCMAVGGFTPGQIAGMCLIFYIVLVALLPEPGTQRSGEDTRLAYVVLTLL -VLIGAVAANELGWLERTKSMFSSTKEGSTPREWEWQLPFLDLNPAKTWGVYVTAVSVVTPHLMHVKRTLV -QQRVNTAVSGGAAAMREVGGGSPFFSMKGQVWTLGLASVVSATALNIIVGSGLAAFHLALVMTGFEAYLV -QQAHRSFYGAMVRNPVVDGELTNDFPKGEQKPPTYERTLSLLVALVLAAIHVVCIREAWAMTEAASLGLS -AVMQLVWQGETLYWSMPVACGMCGVLRGNWWGLLPVCHRAWLEIGPTRRGLTGGEPEGAIWKRRLNGLTK -EQFMGYRRSGVLETDRVKAREVIQKKIVKSGLAVSRGTAKLAWLVERGYATLKGEVVDLGCGRGGWSYLA -AALSSVMSVSAYTIGGGGHEEPILRESYGWNLVRFRSRIDVHTLRPHRADTILCDIGESSPNWRVEEERT -LKVIQLIENWKALNPAASCVFKVLCPYGPSVVEALHRFQLKWGGGLVRVPFSRNSTHEMYYSTALAGNVV -AVVGATTKRLIRRMEEEKKPPRTVGEVDLGCGTRCVRLADDKVDPAAVKERIAAIRNQYRASWREDREHP -YRTWQYWGSYKCAETGSAASLVNGVVKLLSWPWNSREDVLMMAMTDTTAFGQQRVFKEKVDTKAHEPRPG -TQVIMRATNDWLLERLVKKRKPRMCSREEFREKVRSNAALGAWLDEQNQWKTAREAVEDPAFWNLVEKER -ELHLTGRCQQCVYNMMGKREKKRGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHWASRSNSGGGVEG -TSLNYPGWLLKALGEKTGGQFYADDTAGWDTRITNADLEDEKQILRYLDGDHKKLASAIMNLAYHQKVVK -VARPDPAGGTVMDVITRRDQRGSGQVVTYALNTITNIKVQLIRMMEGEGVINTADMENPRLKRVEEWLAA -HGEERLGRLLVSGDDCVVRPVDDGFATSLHFLNDMAKTRKDIGEWQASIGYSNWEHVPFCSHHFHSLHMK -DGRELIVPCRDQDELVGRARVSPGCGWSIRETACLSKAYAQMWMLSYFHRRDLRLMALAVCSSVPVDWVP -QGRTTWSIHGHGEWMTNEDMLSVWNKVWITNNPYMVDKTPVEDWRDVPYLPKGHDIVCGSLIGRRERASW -ASDIWGKVSLVRRMIGDEHYRNYMTVMDRFELHQEEEALGSNIL - ->YP_009345034.1 polyprotein [Gadgets Gully virus] -MTNKGILKGRGSGPPGRRPKETTKKTRQKTEFMPKGLVLMRMLGVLWHAMAGTARTPVLKSFWRTVPVKQ -GLAALRKLSRAVAFLARSLRLRGNRRGVRWDYTTLILFLCVVFISSASVRRLPGGRMMIRAGGGDAATQV -AIGNGTCFLLATDMGEWCEDSISYECVTIEVDEEPVDVDCFCRGVDGVFIEYGRCDKQPGSRTRRSVVIP -THATAELTGRGQAWMKGVTIEQHLTKMEVWLWKNKLLTILAVVVIWLLCDGMMTRMVLILAMLAIAPAYA -TKCTHLENRDFVTGVQGTTRVSLVLELGGCVTITAVDKPSVDVWLDEIYQEEPATTREYCLQAKLGAAKV -AARCPTMGPATLTEEHQVNTVCKRDQSDRGWGNHCGLFGKGSIVACAKFSCEERKTVVGHVYDVNKIIYV -VKVEPHTGDHKPMNDTPSTRKTASFTAAAEKEIISLGDYGDLSLVCRVNSGVDLAQTVVMEMNRTTSHLP -KAWQVHRDWFEDLALPWRHVGAETWNYPERLVEFGVPHAVKMDVYTLGDQMGTMLHSLTGALMADVQGNK -YHLKSGHVTCEVGLEKLKLKGMTYSMCEADKFKWKRPPTESGHDTVVMEVEYTGSSKPCRIPIRATSKGG -GETNVAMLITSNPTIETAGGGFIEMQLPPGDCTIYVGTLAHQWFQKGSSIGRTFEKTRKGFQRLVMVGEH -AWDFGSVGGVFSSVGKATHMVFGGIFHTLLGGFGFIPKLLLGAGMVWVGMNMRNMNLSLVCMAVGVLILV -MTTGVGADVGCAIDAHRKEMKCGEGLVVWREVKDWYDDYTFHPESPADLASAVWTAFQEGVCGIVPQNRL -EMAMWRGIAGELNLALAEAEVNLTVVVDKMDPSDYRGGRPGVLKKTGKGLHVTWKNWGRSLIWTVPASPQ -RFEVGVQGTLECPVYRRFTGVFTVAEFGMGLKTKVFLDFREQPTRECDDGVMGAAVKNQMAIHTDQSLWM -RSVINNSKAEITELIVSDLRNCTWPARYTIDNNGVLESAMFLPIGLAGPRSKYNHIPGYAEQVKGPWDQT -PLRVVREPCPGTTVEVTQSCDKRGASVRSTTESGKIIPEWCCRTCTLPPVTYRSGTDCWYAMEIRPVHSH -GGLVRSMVVAENGALLSEGGLPGVVAIFVVIELLLRRGTRMTGYSMLWSSLMILGLMVMGLVTPEGLLRY -AVGVGISMTLEVGPEMIMLVMLQAVFEMRLGLLVTFALRRLATPREVAIAYFLLLVMEMGLPAGLEGVWN -WIDAIALGLMVFSSFSQELGRGAGMTIAALISIRSIEVLQKGIVIFLGIGLCLSVHAWYKGTGERKMLPW -AFSMAGILGGNGAGLRLLAFREVTRRMERRSIAEPVTVLGVLLAISSGLLRNSSQEALMALALASLVILC -FVLGTRSLQLVAEWSGTVEWNPEMLDEGGAIDLRVSRDSMGNLHLAETEKEERQMAMWLLLGMVASAFHW -SGILVTLGLWMAVQFWQGGRRGDLVFSGLASTPEAVAAWEVRDGVYRIYQPGLLWGQRQIGVGYGQRGVL -HTMWHVTRGAAININGSISGPFWADVREDVVCYGGQWSLPGRWEGEVVQVHAFPPGGAHEIHQCRPGKMT -LERGQTMGAIPIDLPRGTSGSPIINAQGIVLGLYGNGLRCNDTYVSGIAQGSVEKSRPDLPPVLTDHKWA -SKGKITVLDMHPGSGKTHRVLPELIRQCIERRLRTLVLAPTRVVLREMEVALRGKRVRFHSSAVETVCGE -GAIVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYSLAKDNRCALVLMTATPPGTRE -DFPESRGSILSEEKQIPDGEWREGFDWITEYEGRTAWFVPSIAKGGAIARTLRQKGKSVICLNSKTFDKD -YHRVHEEKPDFVVTTDISEMGANLDVDRVIDGRTNIRPEEIDGKVELIGTRRVTSASAAQRRGRIGRKEG -KSDIYVYSGTCDDDDSSLVQWKEAQILLDNITSLRGPVSTFYGPEQEKMPEVAGHFRLSDEKRKHFRHLL -GQCDFTPWLAWHVAANTGGVLDRSWTWTGPEENVIDDGKGEAVRFRTPNGSERIMKPVWKDSRMFKEGRD -LQDFILYASGRRSVVNILHGLSGVPDYLRARCMSAFDVVYTLLHEQPGTRAMKMAERDAPEAMLTILELV -ILGLATLGFFWCLMARTSTSRMTLGTLVLLVSLGFLWAGGMPYGTMAGVAMVYYMVLTVLQPEVGKQRSG -EDNRLAFVILVIGCVIGTIAANEAGFLERTKGDLRTLFGTGERQETPWEGWGNVDIQPSRSWGTYVLIVS -LFTPYMIHQIQTKIQRLVNSSVASGAQAMRDLGGGNPFFGVAGHVLALGATSLLGATPVSLLLGVLLAAF -HLTMVISGLEAELAQRAHKAFFAAMVKNPIVDGDPTCPFGDGTGKPALYERKLSLFLALGLCVMSVALNR -TAWACAEAGALGLAALGQLLRPEEETWWTMPMACGMASIIRGSLWGLAPVIHRAWLKTLTTRRGGGEGAT -LGDLWKKKLNDMSKEEFFAYRRSGVLETDRTTARELLRRGETNMGLAVSRGTSKIAWLEERGYATLKGEV -VDLGCGRGGWSYYAASRPSVMAVKAYTIGGRGHEEPRLVTSLGWNLIKFRSGMDVFSMPAHRTDTILCDI -GESCENPAKEAERSKRVIDLVNTWKERNPTAGCVFKVLSPYRPDVIEALHRFQLKWGGGLVRVPFSRNST -HEMYYTTAVAGNIVNAVNIQSKMLLARFDDARGPLKVPEIDLGTGTRCVTMAEDKVAERDVRERIQKLKS -QYSDSWHVDTEHPYRTWQYWGSYRTPASGSAASLINGVVKLLSWPWNLREDVLRMAMTDTTAFGQQRVFK -DKVDTKAQEPQAGTRVIMRVVNDWLLSRLAERSKPRLCTKEEFIAKVRSNAAIGAWTDEQNRWANAREAV -EDPAFWELVDRERTLHLEGRCEQCVYNMMGKREKKLGEFGSAKGSRAIWYMWLGSRFLEFEALGFLNEDH -WASREHSLAGVEGTSLNYLGWHLKKLSELPGGMFYADDTAGWDTRVTNADLEDEEQLMRYMDEGHRRLAE -TIFHKAYHAKVVRVARPAPDGGCVMDIITRRDQRGSGQVVTYALNTITNIKVQLIRMMEGEGVISPSDVE -SPRVLRVENWLKLNGEERLRRMLVSGDDCVVKPIDDRFGGALYFLNDMAKTRKDIGEWQVSTGFRTWEEV -PFCSHHFHELVMKDGRTLIVPCRDQDELVGRARISPGCGWSVRETACLSKAYAQMWLLNYFHRRDLRTLG -LAISSAVPVDWVPTGRTTWSIHSSGAWMTTEDMLDVWNKVWIMDNPHMQDKRRVEAWRDIPYLPKAQDMV -CCSLIGRRERAEWAKGIWGSVEKVRKMVGNEKFRDYLSCMDRHELHWETPSESDIL - ->YP_009345031.1 polyprotein [Meaban virus] -MPSVLRKGGGKPAAKAAKTAKNNRGSARVPMPRKLAITAMIRVILMMALGKARTPDLRKFLRTTPLAQLR -AVLIRIQRIVANLLRQVTGRNRRGGGSFGLFMLVLCPAIIAGAVLMERNGATLIRVGPEDVGSTARVGNG -TCTFLMTDVGHWCHETVEYPCITIDEGESPVDVDCYCRRVDGVRVIFGLCSGTEKRKRHRRELSISATPV -SAGVTRAGKWLDANRGQEHIARLESWVLKNKIFAAFIVVLGWSLGGSTLQRILLIVGALLLVPAYASRCV -HLENRDFVTGTTGSSRVSVVLEKHACVTIVAEGKPSLDVWLDSIFQESPAPTREYCLDMGIFDQKVEARC -PTMGEAHLDEEHQTGHLCRRDYSDRGWGNHCGLFGKGSIVGCVRVNCTAGKTLKGLEFDSTKITYAVHLE -AHDGQMVPLNESNTGRKTALVTVASEKHVSTIAGFGSVTIECRVSSGVDLAKTMLIEMNDNVWSVHRDWF -EDLPYPWRHGDNPWRDAGRLVGFEPPHAVKMVAYTLGDQTGTVLKILGDATKGRKTGNKYELSGGHVSCS -VGLEKLKLRGLTYGMCAVGDFSWKRVPTDSQHDTVVMEVTYTGSSTPCRIPVRAYHPGTPEKDVASVITA -NPVVESTHVKDIFIEMQLPPGDNVIAVGSLRYQWFQKGSTIGRMATLTVKGVKRMATLGDAAWDFGSVGG -FMTSIGRAVHTILGGAFGAVFGGLGFIPKILVGAVLVWVGLSARNFTLSISLIAIGGILCSLTLGVGADY -GCAIDLSRKELRCGRAIAVWKETTEWFDGYQYHPESPGALAAAIKRSLESGVCGVIPANRLEYAMWKRVS -EELNLALSEAGANITVVVDKECNDFRGNGTEVLRRADKPLEISWKIWGKSIIWSVPEGGKRFLVGRDGQH -ECPLAKRAWNTFRIAEFGMGLRTKVYMDLREEPNPECDTGLMGAVVKNNEAVHTDQSLWMLSAFNETYTS -ITELQVADYRTCQWPDTHTLNNDGVRQTEMFMPRALAGPRSQFNTIPGYKEQVKGPWDRAPLQVVREPCP -ETEVKIDKACDRRGASVRSTTESGKVIPEWCCRDCELPPVSYRTGTECWYAMEIRPTHPQKGLVKSTVLA -MTDGFETEGAVPGILALVVVMEYMMRRRVTTGRGVLMGGCLLLGLMVTQVVRVEDILRYVVATGLLWHLQ -AGPEIMSLVLLQSVFEMRIGFLGAFLLREEWTQRETLIIYMALVAIGVGVPDGDLQIFRALDVVALTAAL -MRALDGEGKSSRIMFLVMLTSLREIDIFRMAIQMTCGVLVSLAAYQMWKGDGERKARSIVLAAAATNGVF -GGVGSGLRAFSIVSLLGRHGNKRSVSDAATAVGVVLVLVGTMMRGAPSELLIGVTVGGAILLAYIVVARR -TSLTAEWTGNIMWEHGLENEGGKVSLRVYRDSLGNMRPIVDEANGYAAAVFMTMGFFMAAYGWIGIATVA -VSWFAWEWWNGSERRSDLVWNGIPSFCETGGHRFEVKNGVYRIYEPGLFGGRRQIGVGYGNGGVLHTMWH -VTRGAAISIDGGVQGPSWADVQKDLVAYGGDWKLDKKWNGSDVQVHAFPPGGPHSVHQTSPGVLRLSSGE -KEGAIHIDLPRGTSGSPILDENGNVVGLYGNGLRYGNDYVSCIAQGDAPGEEDKGIPEAVRGRAWMSKGS -ITVVDMHPGSGKTHTVLPELVRRCIIERKRTLVLAPTRVVLREMERALRGRNVRFHSDSVNVKGEGAIVD -VMCHATYTHRRLLPVTQVNYEVAIMDEGHWTDPCSIAARGHLASLANENRCAFVLMTATPPGTSDPFPGS -NERIESRSEVIPTRDWKDGFEWITDFDGRTAWFVASIREGGHIAQALRRRGKKVVCLNSKSFDKEYGTIA -EEKPDFIVTTDISEMGANLGVERVIDGRLSIKPVIQEDRVELSEPRPITPASAAQRRGRVGRVRGLRAEY -VFHGEVEADDSGLAQWTEAQMLLDNMTGQRHPVAMFYGAEQSKMPMDPGHFRLGEEARKHFRALVVNQDF -PPWLAWNVAKNTNGILDRKWTYSGPESSAVVTPEGDAVTFRGQGGATRQLRPVWWDARVLRPGKDLENFV -RYAEGRRGVGRGLVHGLGLMPELMARKGEQALDVFYTLWHGDAGERAFRQAEAELPEALCTVLEFICLGV -GTLGLLWLLSARCTTNRLLIGVLVMGMAGGLMWIGGFTAGQIAGMMIIFYVVLVVLLPEPGTQRSFEDNR -LAYFVMAILVISGLVAANEMGWLEKTKGEFRWLFGGADRAAVDQTGVSTWSWSLDIDLRPGIAWGTYATI -VSLCTPHLLHRTRTTIQQIVNSSVGSGAQGMRELGGGSPFFTIRRHVVALALCTAAGSTVMTFTMGVCMA -LLHWALTITGVEAALVQRAHRTYFTAMSKNPMVDGEPTNEFEKEEGKPEGYERKLSVVLLTVLCVFSCLL -NRQPWAFLEAAALLVGGASQWGEALGTTYWTMPVVCGLTAIGRGNWFGVIPIGEKVLAESARDRRGPGST -GASLGMMWKDKLNAMTKEEFTRYKRAGVMETDRKEARDYLKRGDGKTGLSVSRGTAKLAWMEERGYVELT -GRVVDLGCGRGGWSYYAASRPHVMDVRAYTLGVGGHEVPRITESYGWNIVKFKSRVDIHTLPVERTDVIM -CDVGESSPKWSVESERTIKILELLEKWKVKNPSADFVVKVLCPYSVEVMERLSVMQRKWGGGLVRNPYSR -NSTHEMYFTSRAGGNIIGAVTACTERLLGRMARRDGPVVVPELNLGTGTRCVTLAEDKVSRDLIDERLAK -IKSQYAASWLEDENHPYRTWQYWGSYRCADSGSAASLINGIVKMMSWPWNNREDVCLMAMTDTTAFGQQR -VFKDKVDTKAQEPRVGTRVVMRTVNNWLLERLSRKSKPRLCTREEFIQKVRSNAAIGAWLDEQNQWKNAR -EAVEDPRFWRMVDEERELHLQGRCATCVYNMMGKREKKAGEFGKAKGSRAIWYMWLGSRFLEFEALGFLN -EDHWASREKSGGGVEGMGLHYLGWLVKDLAELEGGKLYADDTAGWDTRVTNSDLEDEEEILNHLEGEHKK -LAEAIMKLAYHAKVVKVARPASDGGTVMDIISRRDQRGSGQVVTYALNTITNIKVQLIRMMEGEGVIGPA -DMTEPRIIRVERWLERHGEERLGRLLVSGDDCVVKPIDDRFAEAVHFLNDMSKTRKDIGEWSPSVGYTNW -EEVPFCSHHFHRLVMKDGRELIVPCRDQDELIGRARVSPGCGWTVRETAGLSKAYAQMWLLSYFHRRDLR -LMGFGICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLEVWNRVWIEDNPFMPCEKKRWITDWRDVPYLPK -AQDQICGSLIGTSSRASWAENIWSTVEKVRGMVGAENYRDYLSVMDRYGGGTPVPMTSDIL - ->YP_009162613.1 polyprotein [Spanish goat encephalitis virus] -MGRKSILKGKGGGPPRRVSKETATKTRQPRVQMPNGLVLMRMMGILWHAVAGTARNPVLKAFWNSVPLRQ -ATAALRKIKRTVSALMVGLQRRGKRRSMTDWMNWLLLIALLGMTLAATVRKERDGTTVIRAEGKDAATQV -RVENGTCVILATDMGSWCDDSLSYECVTIEQGEEPVDVDCFCRNVDGVYLEYGRCGKQEGSRTRRSVLIP -SHAQGELTGRGRKWLEGDSLRTHLTRVEGWVWKNKLLTLAMFAVVWLALESVVTRVAVLVVLLCLAPVYA -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDAIYQENPAKTREYCLHAKLSETKV -AARCPTMGPAVLAEEHQIGTVCKRDQSDRGWGNHCGLFGKGSIVACVKAACEAKKKATGYVYDANKIVYT -VKVEPHTGDYVAANETHKGRKTATFTVSSEKTILTLGEYGDVSLLCRVASGVDLAQTIVLELDKTAEHLP -TAWQVHRDWFNDLALPWKHDGGPRWNNAERLVEFGAPHAVKMDVYNLGDQTGVLLKALAGVPVAHIEGNK -YHLKSGHVTCEVGLEKLKMKGLTYTMCDKSKFAWKRVPTDSGHDTVVMEVTFSGSKPCRIPVRAVAHGSP -EVNVAMLITPNPTIENDGGGFIEMQLPPGDNIIYVGELSHQWFQKGSSIGRVFQTTRKGIERLTVIGEHA -WDFGSAGGFLSSIGKAVHTVLGGAFNSIFGGVGFLPKLLMGVALAWLGLNTRNPTMSMSFLLAGGLVLAM -TLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYAYYPETPGALASAIKEAFEEGSCGVVPQNRLE -MAMWRSSATELNLALAEGDANLTVVVDKNDPTDYRGGVPGLLKKGKDMKISWKSWGHSMIWSIPEAPRRF -MVGTEGQSECPLERRKTGVFTVAEFGVGLRTKVFLDFRQEPTHECDTGVMGAAVKNGMAVHTDQSLWMRS -VRNDTGTYIVELLVTDLRNCSWPASHTIDNADVVNSELFLPASLAGPRSWYNKIPGYSEQVKGPWQYTPI -RVIREECPGTTVTINAKCEKRGASVRSTTESGKVIPEWCCRACTMPPVTFRTGTDCWYAMEIRPVHAQGG -LVRSMVVADNGELLSEGGIPGIVALFVVLEYIIRRRPSTGTTVVWGGVIVLALLVTGMVRIEGLVRYVVA -VGIAFHLELGPEIVALMLLQAVFELRVGLLSAFALRRGLTVREMVTTYFLLLVLEMGLPSVSFEDLWKWS -DALAMGALIFRACSAEGKTGTGLLIIALMTQQDVVTIHHGLVCFLAVASACSVWRLLRGHKEQKGLTWIV -PLARLLGGEGSGIRLLAFWELAAHRRKRSFSEPLTVVGVMLTLASGMMRHTSQEALCALAVASFFLLMLV -LGTRRMQLVAEWSGCVEWHPELVNEGGEISLRVRQDSMGNFHLTELEKEERMMAFWLLAGLVASAFHWSG -ILGVMGLWTLTEMMRSSRRSDLVFSGQGGRERGDSPFEVKDGVYRIFSPGLFWGQRQVGVGYGHKGVLHT -MWHVTRGAALSIDDAVTGPYWADVKEDVVCYGGAWSLEEKWKGETVQVHAFPPGKAHEIHQCQPGELILD -TGKKLGAIPIDLARGTSGSPILNAQGAVVGLYGNGLKTNESYVSSIAQGEAEKSRPNLPQAVVGTGWTSK -GQITVLDMHPGSGKTHRVLPELIRQCIERRLRTLVLAPTRVVLKEMERALSGKRVRFHSPAVSDQQVGGA -IVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYTLAKENKCALVLMTATPPGKSEPF -PESNGAITSEERQIPDGEWRDGFDWITEYEGRTAWFVPSIAKGGVIARTLRQKGKSVICLNSKTFEKDYS -RVKEEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGKVEFTGTRRVTTASAAQRRGRVGRQGGRT -DEYIYSGQCDDDDSGLVQWKEAQILLDNITTLRGPVATFYGPEQDRMPEVAGHFRLTEEKRKHFRHLLTH -CDFTPWLAWHVAANVSSVTDRSWTWEGPEANAVDEASGDLVTFRSPNGAERTLRPVWRDARMFREGRDIK -EFVAYASGRRSFGDVLTGMSGVPELLRHRCVSALDVFYTLMHEEPGSRAMKMAERDAPEAFLTVVEMMVL -GLATLGVVWCFVVRTSISRMVLGTLVLLASLLLLWAGGVGYGSMAGVALIFYTLLTVLQPETGKQRSSDD -NKLAYFLLTLCSLAGLVAANEMGFLEKTKADLSAVLWSEHEELRQWSEWTNVDIQPARSWGTYVLVVSLF -TPYIIHQLQTKIQQLVNSAVASGAQAMRDLGGGAPFFGVAGHVMTLGVVSLIGATPTSLMVGIGLAAFHL -AIVVSGLEAELTQRAHKAFFTSMVRNPMVDGDVLNPFREGEAKPALYERKMSLVLAIALCLMSVVMNRTA -ASMAEATAVGLAAAGQLLRPEADTLWTMPVACGMSSVVRGSLWGFLPLGHRLWLRASGARRGGSEGDTLG -DLWKRRLNSCTREEFFVYRRTGILETERDRARELLKRGETNMGLAVSRGTAKLAWLEERGYATLKGEVVD -LGCGRGGWSYYAASRPGVMSVRAYTIGGRGHEVPKMVTSLGWNLIKFRSGMDVFSMQPHRADTIMCDIGE -SNPDATVEGERTRRVILLMEQWKIRNPTAACVFKVLAPYRPEVIEALHRFQLQWGGGLVRTPFSRNSTHE -MYYSTAVTGNIVNSVNIQSRKLLARFGDQRGPTRVPELDLGVGTRCVVLAEDKVKEQDVQERIRALREQY -NETWHMDEEHPYRTWQYWGSYRTTPTGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKEK -VDTKAQEPQPGTRVIMRAVNDWILERLAQKSKPRMCSREEFIAKVRSNAALGAWSDEQNRWASAREAVED -PAFWHLVDEERERHLMGRCAHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHWA -SRESSGGGVEGISLNYLGWHLKKLSTLNGGLFYADDTAGWDTKVTNADLEDEEQILRYMEGEHKQLAATI -MQKAYHAKVVKVARPSRDGGCIMDVITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIGAEDAHNP -RLLRVERWLKEHGEERLGRMLVSGDDCVVRPMDDRFGRALYFLNDMAKTRKDIGEWEPSAGFSSWEEVPF -CSHHFHELVMKDGRTLVVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLGFA -ISSAVPVDWVPTGRTTWSIHASGAWMTTEDMLDVWNRVWILDNPFMQNKERITEWRDVPYLPKAQDMVCS -SLVGRKERAEWAKNIWGAVEKVRKMIGPERFKDYLSCMDRHDLHWELKLESSII - ->YP_009126871.1 polyprotein [Jutiapa virus] -MLNNSKLKKPSGGGQRASGRRKKPSSQPVPMNLVMGVVHYATHIALGMKVNSRLRKFWRATPVGKLARVL -TTLMNILRSLLNSVSQRKAKKQRGGQVVCVFPLVLVLVLGMEVVRDGGNWILKPSQHDTGAVVSVGNGTC -AFSSLDVGFPCEHTVTYDCVTLVTAEEPVGVDCYCRGVDQVRVTYPLCRQARIRERRSLPIAKHPEIVML -SSTGFIPTLKDVNDHVGRAEEWVTNNYLKTIALGVVVVALMGFSWQSILVALLLVLVAPAISTGCVGVQE -REILKGAEGTTWFEVLLEKKGCVTITAVDKPSIDIWLDDVKQSSVMASKEFCMKVEVSETQVSARCPTQG -DATLGVEGKDDYVCKRTFSDRGWGNGCGLFGKGSIIGCAKTTCKDSNIIKTKVYETQSVQYVVAIEVHRG -EVIRNNVSEKVVRATFSAEAEKHTVEIQDYGSLDFTCRVVASADLSNIRLIEVDSHYFNVHEDWLDDLPL -PWRINEGRWKNMDRLVNFREPYAVKMIIMGYGDQRPAVLGALDKAEEIKKSGDAYHLNGGHVSCRVSVQK -LKMKGTSYQFCTGNFEWTKKPILTNHDTVVLEVKYLGSDAPCRIPFKNTKDGSDDRGVLITSNPYVERTS -GQVFLELEPLYGVSTIKVGDLSYQWNQKGSAIGKAVRKITNDVHKTLVIGSAFWNSDQRISSLNLMDLLR -MPFSFLFGNMSFLMKIILSCVMIWFCLNVSNITLSVVAGVLGFGLLAFTTGVMGDHGCILDIERQEMKCG -DGVMVWNEVNDWFSGYHFYPDDPETFVASLVHDKSRHCGYNPTNAMELAMWQQLQTQLNYLLESQNLEWR -VVVASDYDGFPSGGNVGWKRKTGRNGLSWTNWLKSFDAYEWIFENAKGNGSYYVGKVGMSECPSSQRSWN -AFSLTEFGVGMLTTRAFLDITTGPDRYCDTGLIGTAAKDNYMVHGSTWMWMESYAVNGTLQLQKLTYLYF -VECLWPMTHTLDGKGVLESELILPRDLGGPISNLNRVKGYAVQDKGPWREGEITLERGYCPDTTVVVEEE -CAARGPSVKSTTEGGINIKDWCCKHCTLPPLKYTVGDDCWYAMEIRPRSKVNGLVMACDGEVVFDWGVAS -FLTFLYILTMRSRAGRHLSILCPLVGAFAYGTGLMNFTSVVRYLLALSLSMMQKFPEPVMWEIMMEVVFN -LRPAGLLGMIFSRAWNFERMCAMVVFSRLIQDMVSSRFLYCSYLDAGALVFMGSCLGMPRLAHFLTVIVL -NHDWLGGDLVRQVSLGFGALTLIAMSREFTKETWIQKTHTVVTLGLITLKAPFGFLVALWPWRRSIRTTD -VTAIVGIILALGASVARNGINSELWVIGVLGAFLACFMIQVTVGGIHAEWDSHLDWKERCSNSTATIDLA -VRRLPDGRLVNLSEKEGSHVDSFILAVGMILTGFHWVGLPLTIGGLAVKRWLVRPREQRSLIVYGGSDPE -NMEETGTVEDGVYRIFSSSLMGKKQIGVGVMQEGVFHTMWHVTRGSAIKVNGRLIVPHWASVEEDLISYS -GTWRLSRKWQGDEVQVHAYTPDGNVQCTQLLPSKMELEDGTNLGLLPLDFPPGSSGSPIIDVTGAVIGLY -GNGVLHGDIYCSSIAQTQKDIVLDQPALVKTDGWMSKGKLTVIDAHPGSGKTHKILPDLIKRALDRRLRT -LVLAPTRVVVKEMEAALRGMDVSFHSSAASKKCAGSLADVMCHATFVTRKLIHMPQKNYEVIIMDEAHWT -DPSSIAARGFITSLCEAKKCAVVLMTATPPGVEDPWPESNAPINDEEKVIPETAWKQGYEWISDYEGRTA -WFVPSQNAGNGIAKTLRGLGKKVIILTSKTFHDAYPKIKEEKPDFVLTTDISEMGANLDVDRVIDPRTTL -KPTEKGDVVEVSGERKITPASAAQRRGRVGRIVGRKADYVYQGDVNPDDSELVCWKEAQMLLDNMESRSG -QASVFYGPEQSKMTEMPGFFKLRDEARKTFRHLLTACDFTPWLAWKVANEGKSIENRKWLSAGPKEHLVT -DENCDPVTYKTPGGRVERLQPIWLDNRMVRERKDLQALIDYGEMRRSVVMELPSVFVAQMMEALDNVYSY -YTAKPGSRHFQMAEKALPTSLLSILQGFLMLFGLMVVIVWLCSSRKIDRVFLGTLVILGCSVAAYMGGVQ -LAMVASAALIAFILLICMVPEEGMQRTQIDTTLAIFVHSMLLFVGMVVANEMRWLENTKKDLKDLFAFQE -SVEHHGPILESLAASLDIKPMTIWGVYATLVTFLRPQLLHGLKMFTHRVIAGAVSGKTDTILGLRNGFVS -AGMGLADVSLLVSFCRNLNPFTLVLGMVAAMLHWAWFYPMHEASLTSKAHRVVTQSMARNNLLEGEIIAN -LDEYAVDTDETERKTSFVVACSLGIINALVVRSPWAVCEASLIILSAIRYFLDPRTMTMLTLPVVSGMGA -IIRGDYFGVAPILHAIYLSAKSQRRGIVTSNPTYGEMWKKALNAMTQKEFIDYKRRMVTEVDRADAREAI -KKGKTNTGHSVSRGTSKLCWMDEHGLISLEGTVVDLGCGRGGWSYYAAAQPSVREVKSFTLGTSGHEKPI -LMETFGWNLISFKSKVDVFSLEPFPADTIMCDIGESNPNSHVESKRTLQVLKLLKEWKKKNPHAGFVVKV -LNPYSAGVMEELLKMQAQFGGGIVRLPMSRNSTHEMYYTSSITNNIVGNVTAVTKQLMRRMQMEGGPRVI -PDVTLPLGTRNVEYKCQKCDQNKIADRIHKLKSENSDRWIQDNNHPYRTWTYHGSFKVRSMGTKASAPNH -IVRLLSWPWNQLERVVSMSMTDTTAFGQQRVFKEKVDTKAPEPPKEVRRVMRLVFTWLVKRILAKGGKVR -LCTKEEFINKIESHAAIGAWSKEMESWTSAREAVNDPMFWNLVSRERELHKKGKCEMCVYNLMGKREKKP -GEYGVAKGSRTIWYMWLGSRFLEFEAFGFLNEEHWASRKLSGGGVEGVPLAYLGYLLSEMADKPGVLYAD -DTAGWDTRITEADLEDERTLLDYMTPEHRLLAQPLFDLTYMNKVALCPRPYKTGGVVIDVISRRDQRGSG -QVVTYALNTLTNIKVQLIRMAESEGVLTSELQDNGLRGWLEMHGEDRLTRLLVSGDDCVVNAMDERFSNA -LTWLNLMAKVRKDVGQWEPSRGRDDWEEVEFCSNHFHRLTMKDGRELIVPCRDQTELVARACVNQGGSAD -PRATGCLAKSYAQMWQLLYFHRRDLRMMSLAIMSAVPVDWVPTGRTTWSVHAGKEWMTDEDMLEVWNRIW -IRDNPWMDRKDEIDQWSNIPYLPRKVDKKCGSLIGMKNRIEWAKLLPGAVLKVRNVFGRENFRDYLQVMG -RFVQKQPSATFSMY - ->YP_009001771.1 polyprotein [Kama virus] -MPSVLKKGGGKPAAYAAKTAKKTRGGAGNNLPRRLAMTAMIRVILMMAHGVARPLDLKKFMKRTPIAQLR -AVLVRIQRIVSALLRQVTGRNRRGGGTAGAAILLMMLALVRGALVATSGEGFLVRVGQQDVGTAARIGNG -TCTFLMTDVGYWCAESLEYECVTIDGGESPVDVDCYCRGVSGVTVTYGLCSDHEHRHRHRRDLSVGAQPV -GAGRTRAGKWLDTHRGLEHITRVESWVLKNKVFTCLVVLLAWILGRSVTQKVLLMVGALLLAPAYASRCV -HLENRDFVSGTTGSSRVSVVLEKHACVTVTADNKPSLDVWLDSIHQESPAKTREYCLDMKVLSQKVAARC -PSMGEAHLDEEHRDNYVCRRDYSDRGWGNHCGLFGKGSIVGCAKVNCSRGNTLQGLEFDMTKVVYAVHLE -AHDGKMTPTNESNADRKTVQVTAASEKHVVSIDSFGSVTVECRVSSGVDLAKMMIVQMGEDVWSVHRDWF -EDLPYPWRHDASPWRDAGRLVGFEPPHAVKMVAYTLGDQTGVVLKVLGEAEKGKKTGTKYELSGGHVSCS -LGLEELRLRGLTYGMCANGDFKWKRTPTGSQHDTVVMEVTYTGSSTPCRIPVRAYHPGIPEKNVASVITT -NPVVESTQKDVFIEMQVPPGDNILAVGSLRYQWFQRGSTIGRMATLTARGMQRMVVLGESAWDFGSVGGF -FNSVGKAIHTLLGGVFNTVFGGIGFLPKLAIGALLVWVGLNARNVTVSVALMAVGGVLLSLTLGVGADYG -CAIDINRKEIRCGRVMAVWRETSDWFDGYQYHPESPGMLAAAIRRGVEDGVCGLIPANRLEFVMWKSVVS -ELNLALAEADANITVVVDKEAVDFRGNLTRVLKKPERALEVGWKIWGKSIIWSTPESGRRFLVGREGVGE -CPLEKRVWNTFALAEFGIGMRTKVYMDLREEPNRECDTGLMGAAVKNGEAVHTDQSLWMLSRFNDTHVAI -EELEVADYRNCLWPQTHTLHGEGVQQTEMFLPRALAGPKSRFNTIPGYKEQVKGPWDRAPLRVVRESCPD -TTVKVDTACDGRGASTRSTTESGKVIPEWCCRACEMPPVTFRSGTECWYAMEIRPVHPQKGLVRSTVVAM -TDGLETEGAVPGIVALAVVLEFMIRRRTSTGRGVLLGGVLLLLLLVTGVVSLEDMARYVIAVGLVWHMQA -GPEVMNLILLQSVFDLRVGFLSAFVLRESWTQRELFVVYLALVAISAGVPQGSFHVLEALDALALTAAAV -RVLSGDSRTSRAFLVTCMVSLKSFEPLRVAFQMTCGILVALAAYQIWKGEGERKTRDCLALSVAIAGLSG -GLGSGVRALGVATVFGKRLGGRRSVADVSTVMGIILVVSGSVLRGAPSEMLLGVAAGGALLLAYVVVARR -THLVAEWAGGLQWEHGLQNEGGKVDLRVHRDALGHMRPVVEDNPEYAAIVFMTLGFFMSAYGWSGIAIVA -VAWIAWEWWRGSPRRGDVIWGGIPLRGELGNGTFEVRTGAYRIYEPGFLGSRRQIGVGYGHNGVLHTMWH -VTRGAAIELGGACQGPSWADVERDLVAYGGDWSLEGHWNGGVVQVHAYPPGRAHEVHQTEPGVLRLSGGG -KEGAIHIDLPRGTSGSPILDENGMVVGLYGNGLRYGDDFISDIAQAEPEKEPSGRQLPEAVRGREWMSKG -RITVVDMHPGSGKTHHVLPELVRRCIAERKRTVILAPTRVVLREMEKALRGKNVRFHSESVSVRGEGAIV -DVMCHATYTHRRLIPTLQPNYEVAIMDEGHWTDPSSIAARGHLSSLANENRCAFVLMTATPPGTCDPYPN -SNERIDSRTEVIPTRDWKDGFEWITDFDGRTAWFVASIREGGLIAQALRRRGKKVVCLNSKSFDKEYGTI -AEEDPDFVVTTDISEMGANLNVERVIDGRLSIKPVIQDDRVELSEPRPVTPASAAQRRGRVGRMKGKRAE -YVFQGEVDADDSGCACWTEAQMLLDNMTGQRAPVAMFFGAEQGKMPMEPGYFRLGEEARKHFRSLVVTQD -FPPWLAWNVAKNTTGVLDRKWTYTGPESCAVTNQDGEAVTFRGQGGATRALKPVWWDARVLRQGRDLENF -IKYAEGRRSLGSSFLGGAGMMPEIMARRGEQALDVFYTLWNGDAGSRAFRQAEAELPEAFCTILEFVCLG -IGTLGLLWLLSLRCTTNRLLVGVVVMGIAGALMWMGGFSAGQIAGMMIIFYVVLVVLLPEPGTQRSFEDN -RLAYFVMATLMVCGSIAANEMGWLERTKSDVRWLFSGGEQLDTPAGTLGLSWSLDFDIKPGTTWGTYVTI -VSLCTPHLLHRTRTTIQQIVNASVGSGSQAMRELGGGSPFFHVRRHVVALALCAASGSSMMTFVFGLCLA -LLHWGLTITGVEAALVQRAHRMYFSAMSKNPMVDGDVTNEFEKEEGKPIDYEKKLSVVVLGGLCVLSCLL -NRQPWAFVEATALGIGGLAQWNNVETYWTMPVACGLTSLGRGDWLGVIPVVERIASESLRERRGPGSSGA -SLGMLWKEKLNAMDREAFRRYRKAGIMETDRRDARDFLKRGDGKTGLAVSRGTSKLAWMEERGYVELTGR -VVDLGCGRGGWSYYAAARPRVMDVRAYTLGTGGHEVPRLTESYGWNVIRFKTKVDVHKLEVEKVDTIMCD -IGESSAQWPVESERTLRVLSLFEKWKVANPGAEFAVKVLCPYSPEVMERVSVLQRRWGGGLVRNPYSRNS -THEMYYLSRAGGNVVGSVTACTERLLGRMKRTDGSTVVPELDLGVGTRCVTLAEDRVDEALVRDRIERLR -GQYSASWLHDADHPYRTWQYWGSYRCADSGSSASMLNGIVKMMSWPWNAREEVSLMAMTDTTAFGQQRVF -KDKVDTKAQEPRMGTRMIMRTVNSWLLNRLARKSKPRLCTREEFIQKVRSNAAIGAWLDEQNKWKNAREA -VEDPQFWKMVDDERELHLKGKCATCVYNMMGKREKKAGEFGRAKGSRAIWYMWLGSRFLEFEALGFLNED -HWASREMSGGGVEGLGLHYLGWLLRGLSEIPGGKLYADDTAGWDTRITNSDLEDEEELLNHLEGEHRALA -EAVMKLAYHAKVVKVARPASDGGTVMDIITRRDQRGSGQVVTYALNTITNIKVQLIRMMEGEGVIGAVDM -NEPRVMRVERWLTEHGEERLKRLLVSGDDCVVRPVDDRFGSALNFLNDMSKIRKDVGEWTPSAGYERWEE -VPFCSHHFHELVMKDGRELIVPCREQDELVGRARVSPGCGWTVRETAGLSKAYAQMWLLGYFHRRDLRLM -GLGICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLNVWNRVWIMDNPFMPSDKKELVSDWRDVPYLPKAQ -DRMCGSLIGTNSRASWAENIWGTVEKIRNMVGMENFRDYLSVMDRYGGGVAVPITSDIL - ->YP_009001464.1 polyprotein [Tyuleniy virus] -MPSVLKKGGGKPVTQVTKTAMKNKNGKKQVAKPRNLVITAMLRVILAMAHGVARPLDLRRFMKTTPVAQL -RAALRRIQRIAAGLLRQLSGKNRKGGGTDHGLLLLLLVPVTLGAVAMTSGRGVLVRVEPKDVGTTVRVGN -GTCTLLMTDVGEWCENHIEYPCVSIEERESPVDLDCYCRGVDEVRITYGLCALEGHRSRRRRSVTLNPHV -ASVGPSRRPQWMDGSRVFEHITRVESWAIRNKAMTALLVVLAWLLGGSLTQRAVLMVAVLLVVPAYASRC -VHLENRDIVTGVSGTSRISVVLEDHACVTIMADGKPSVDIWLDEIYQQSPAKTREYCVDMEISDQKVDAR -CPSMGEASLAEEHSKDYLCRRDYSDRGWGNHCGLFGKGSIVGCTKVTCAVGKSLQGYELDATKITYAVHV -EAHDSIMRTTNSSNPARKTAQVTAASEKHVIDLAKFGEISLECRVSSGLDLAKTVVVEMGEQVWSVHRDW -FEDLPYPWRHDENAWRDAHRVVGFEPPHAVKMVAYALGDQTGTVMKALGEAEKGGKNGSKFTVFGGHVSC -FVGLEKLKVRGLTYGVCAGSELKWKKTPTGSQHDTVVMEVTYTGSSTPCRITVRAYEPRVPEKDVASVIT -TNPVVESSYTKDVFIEMQVPPGDNIIAVGDLKYQWFQRGSSIGRMAALTAKGLKRMAVLGETAWDFGSAG -GFFQSVGRGIHTVLGGAFNTLFGGMGFLPKVLLGVGLVWVGLNARNMTLSLSLMAVGGILLSLTLGVGAD -YGCAVDITRKELRCGRAVAVWKETTEWFDGYQFQPESPGVLAAAIKKSMELGICGLIPSNRLEMAMWKSI -EGELNLALAEGNANITVTVDREMTDFRGGLGKTLQKDLKPLEVGWTAWGKAIIWSVAEGKQRFLVGRDGV -GECPLHKRAWNVFELAEFGVGLRTKVYMDIKTDVDSDCVTGLMGAAVKNGEAVHTDQSLWMVSAFNSTHT -SIEELHVADFRNCTWPSSHTLNNDGVEQTRMFLPRAFAGPKSKYNTIPGYSEQVRGPWDQAPLYIKREEC -PETTVKIDKSCDKRGASVRSTTESGKIIPEWCCRTCELPPVTYRAGTDCWYAMEIRPVHSQRGLVKSTVL -AMTDGLETEGAVPGILAVVLVTELLIRRRVTTGRGILTGGVLLLVMLVLRVVTLEALVRYVIATGIVWHM -QVGPEMMNLVLLQSVFEIRTGFLGAYVLREEWTQREVLVVYLALVAVGSGVPEGFDIFRALDAMAMTAAL -VRVLAGGERRSLAVLVIMLSTLTTLEPLRMAIQMTCGVLVGLAGYQMWRGEGERKALLSCLMAVGLGARL -NGGLGTGIRALGATYLGPMAGRVLKRSVTDTATAVGVLLVLSSAMLKGASSELLLGVAVGGAMLLAYIIV -GRRTRLIAEWAGTIRWEPGLENEGGKVDLRVHRDSMGNMRPITEGEPGYAAVVFMTLGFFMASYGWTGII -LVAISWMAWEWWQGSSRRGDVVWNGIVSSLGERQGKFEVRTGTYRIYEPGILGGKRQIGVGYGHNGVLHT -MWHVTRGAAIEIDQGIEGPTWADIEKDVVSYGGEWALTSKWNGSEVQVHAYPPGRPHEIHQTEPGVLRLS -NGTKEGAIHIDLPKGTSGSPILDTTGCVVGLYGNGLRYGEDYISSIAQGEVEKQENDQMPEVLRGRSWMA -KGNITEVDMHPGSGKTHRVLPELVRRCIAERKRTIILAPTRVVLREMEKALRGKNVRFHSDSVDVRGEGA -IVDVMCHATYTHRRLIPVAQPNYEVAIMDEGHWTDPSSVAARGHLASLASENKCAFVLMTATPPGSVEPF -PESNERIESREEIIPTRDWKDGFEWITDFDGRTAWFVASIREGGLIAQALRKRGKKVVCLNSKTFEKEYS -SIAEENPDFIVTTDISEMGANLGVERVIDNRMNIKPIILEDRVEMSEPRPVTPASAAQRRGRVGRMKGKR -AEYVFNGNVDYDDSGLAQWTEAQMLLDNMTGPRGPVALFYGVERNKMAMEPGYYRLGEEGRKHFRNLVVV -QDFPPWLAWNVAKNTNGILDRKWTHSGPEQNTVTSPDGEAVTFRGTGGATRTLKPVWWDARVLRQGRELE -NFVRYAEGRRGVGGGLIHGLGAMPELMAKRGEQALDVFYTLMYGETGSRAFRQAEAELPEAFCTVLEFIC -LGLGTCGVLWLLSMRCTTNRLFLGVIVMFCAGGCMWYGGFTAGQIAGMLMVFYVVLVVLLPEPGTQRSFE -DNKLAYFVMVLLMVVGSIAANEMGWLEKTKDDISSLWRRGETNGQSWEMESGWTLDIDIRPGTVWSTYVA -MVSLCTPHLLHRIRTTIQQTVNAAVGSGAQGMRDLGGGSPFFAVKRHVVALAFCAAAGSTMATFALGTGL -AVTHWALTLTGVEAALVQRAHRTYFSAMAKNPMVDGECVNEFEKEEVKPPGYERKLSVVLLMCLCALSCV -LNRQPWAFLEAGALGLGGVVQWSETERGSYWTMPVACGMTAMLRGNWMGLLPIVERVASESLRDRKGNHS -RGDSLGVLWKERLNGMSREEFYLYRRAGVMETERKEAREALKRGDTKTGLAVSRGTSKLAWIEERGYVDI -NGRVVDLGCGRGGWSYFAASRPRVMEVLAYTLGVGGHESPRLTESYGWNIVKFKSKTDVFGLKAGKVETI -MCDIGESSPKWQVESERTIRVLELFERWKKENPTAEFVIKVLCPYSPDVMEKLSVLQRQWGGGLVRCPFS -RNSTHEMYYTSRAAGNVVGAVTACTERLLGRMSRKDGPVVVPEMDLGLGTRCVTLAKDTVSEEVIADRLA -QLRKQYSSSWLYDEEHPYRTWQYWGSYRCADTGSAASLINGIVKMLSWPWNAREEVTLMAMTDTTAFGQQ -RVFKDKVDTKAQEPREGTRVIMRTVNNWILNRLAEQGKPRLCTREEFIGKVRSNAAIGAWCDEQNQWKNA -KEAVEDPKFWRMVDEERENHLQGKCSACIYNMMGKREKKQGEFGKAKGSRAIWYMWLGSRFLEFEALGFL -NEDHWASREKSGGGVEGTGLQYLGWLMEELSKKQGGRMYADDTAGWDTKVTNSDLEDEEELLNFMEGEHK -RLAETVMKMAYHAKVVRVARPARDGGTVMDIISRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVICA -TDMVEPRVRRVEKWLKENGEERLSRLLVSGDDCVVKPLDDRFATALHFLNDMAKTRKDISEWSPSQGYDN -WENVPFCSHHFHKLLMKDGREIIVPCREQDELVGRARISPGCGWTVRETAGLSKAYAQMWMLNYFHRRDL -RLMGLGICSAVPANWVPTGRTTWSIHGKGEWMTTEDMLEVWNRVWIQDNPNMPEDKKQMVSDWRDVPYLP -KALDQGCGSLIGGRSRAAWAENIWGTVKKIREMIGNENYTDYLQAMDRFGPTKSVPLTSDII - ->NP_878909.1 polyprotein [Omsk hemorrhagic fever virus] -MAGKAILKGKGGGPPRRVSKETAKKTRQRVVQMPNGLVLKRIMEILWHAMVGTARSPLLKSFWKVVPLKQ -AMAALRKIKKAVSTLMIGLQKRGKRRSTTDWTGWLLVAMLLSIALAATVRKEGDGTTVIRAEGKDAATQV -RVENGTCVILATDMGAWCEDSLSYECVTIDQGEEPVDVDCFCRNVDRVYLEYGRCGKQEGTRSRRSVLIP -SHAQKDLTGRGQRWLEGDTIRSHLTRVEGWVWKNKSLTLAVVVIVWMTVESAVTRIVIVSALLCLAPAYA -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDSIYQENPAKTREYCLHAKLSNTKV -AARCPAMGPATLDEEHQSGTVCKRDQSDRGWGNHCGLFGKGSIVTCVKASCEAKKKATGHVYDANKIVYT -VKVEPHTGNYVAANETHSGRKTALFTVSSEKTILTMGEYGDVSLMCRVASGVDLAQTVVLELDKTAEHLP -TAWQVHRDWFNDLALPWKHEGMVGWNNAERLVEFGVPHAVKMDVYNLGDQTGVLLKSLAGAPLAHIEGTK -YHLKSGHVTCEVGLEKLKMKGLTYTMCDKAKFTWKRAPTDSGHDTVVMEVAFSGTKPCRIPVRAVAHGSP -DVDVAMLITPNPTIENNGGGFIEMQLPPGDNIIYVGELKHQWFQKGSSIGRVFQKTRKGIERLTVLGEHA -WDFGSTGGFLSSIGKALHTVLGGAFNSVFGGVGFLPRILLGISLAWLGLNMRNPTMSMSFLLAGGLVLTM -TLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYAFYPETPAALASALKEMVEEGDCGIVPQNRLE -MAMWRSSVSELNLALAEGDANLTVVVDKHDPTDYRGGVPGLLKKGKDMKISWKSWGQSMIWSVPEAPRRF -LVGTEGSSECPLAKRRTGVFTVAEFGMGLRTKVFLDFRQEITRECDTGVMGAAVKNGIAVHTDQSLWMKS -IRNETGTYIVELLVTDLRNCSWPASHTIDNADVVDSELFLPASLAGPRSWYNRIPGYSEQVRGPWKYTPI -KITREECPGTKVAIDASCDKRGASVRSTSESGKIIPEWCCRKCTLPPVTFRTGTDCWYAMEIRPVHDQGG -LVRSMVVADNGELLSEGGIPGIVAVFVVLEYIIRKRPSAGLTVVWGGVVVLALLVTGMVTLQSMLRYVIA -VGVTFHLELGPEIVALMLLQAVFELRVGLLGAFVLRRSLTTREVVTIYFLLLVLELGLPSANLEALWGWA -DALAMGAMIFRACTAEGKTGLGLLLVALMTQQNAVIVHQGLVIFLSVASACSVWKLLRGQREQKGLSWIV -PLAGRLGGKGSGIRLLAFWELASRRDRRSFSEPLTVVGVMLTLASGMMRHTSQEALCALAAASFLLLMLV -LGTRKMQLVAEWSGCVEWHPDLADEGGEISLRVRQDALGNFHLTELEKEERMMAFWLLAGLTASALHWTG -ILVVMGLWTMSEMLRSARRSDLVFSGQSGSERGSQPFEVRDGVYRILSPGLLWGHRQVGVGFGSKGVLHT -MWHVTRGAAIFIDNAVAGPYWADVKEDVVCYGGAWSLEEKWKGEKVQVHAFPPGRAHEVHQCQPGELVLD -TGRRIGAIPIDLAKGTSGSPILNAQGAVVGLYGNGLRTNETYVSSIAQGEVEKSRPNLPQAVVGTGWTSK -GTITVLDMHPGSGKTHRVLPELIRQCIDKRLRTLVLAPTRVVLKEMERALSGKRVRFHSPAVGDQQTGNA -IVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYSMAKENKCALVLMTATPPGKSEPF -PESNGAITSEERQIPEGEWRDGFDWITEYEGRTAWFVPSIAKGGVIARTLRQKGKSVICLNSKTFEKDYS -RVRDEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGKVELTGTRRVTTASAAQRRGRVGRHDGRT -DEYIYSGQCDDDDSGLVQWKEAQILLDNITTLRGPVATFYGPEQDKMPEVAGHFRLTEERRKHFRHLLTH -CDFTPWLAWHVAANVSNVTSRSWTWEGPEENAVDEANGDLVTFKSPNGAERTLRPVWRDARMFKEGRDIR -EFVAYASGRRSLGDMLTGMSGVPELLRHRCMSAMDVFYTLLYEEPGSRAMKMAERDAPEAFLTMVEMVVL -GLATLGAVWCLVLRTSISRMMLGTMVLLVSLALLWAGGVGYGSMAGVALVFYTLLTVLQPEAGKQRSSDD -NKLAYFLLTLCSLAGLVAANEMGFLEKTKADLSAVLWSEREEPRVWSEWTNIDIQPAKSWGTYVLVVSLF -TPYIIHQLQTRIQQLVNSAVASGAQAMRDLGGGTPFFGVAGHVLTLGVVSLVGATPTSLVVGVGLAAFHL -AIVVSGLEAELTQRAHKVFFSAMVRNPMVDGDVINPFGDGEVKPALYERKMSLILAMILCFMSVVLNRTV -PAVTEASAVGLAAAGQLIRPEADTLWTMPVACGLSGVVRGSLWGFLPLGHRLWLRTSGTRRGGSEGDTLG -DLWKRRLNNCTKEEFFAYRRTGILETERDKARELLKKGETNMGLAVSRGTAKLAWLEERGYVNLKGEVVD -LGCGRGGWSYYAASRPAVMGVKAYTIGGKGHEVPRMVTSLGWNLIKFRAGMNVFTMQPHRADTVMCDIGE -SSPDAAIEGERTRKVILLMEQWKNRNPTAACVFKVLAPYRPEVIEALHRFQLQWGGGLVRTPFSRNSTHE -MYYSTAISGNIVNSVNVQSRKLLARFGDQRGPIRVPEMDLGVGTRCVVLAEDKVKEHDVQERIKALQEQY -SDTWHVDREHPYRTWQYWGSYRTAPTGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKDK -VDTKAQEPQPGTRVIMRAVNDWMFERLARRSRPRMCSREEFIAKVKANAALGAWSDEQNKWASAKEAVED -PAFWHLVDEERERHLKGRCAHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHWA -SRESSGAGVEGISLNYLGWHLKKLSLLEGGLFYADDTAGWDTRVTNADLEDEEQILRYMEGEHKQLAATV -MQKAYHAKVVKVARPSRDGGCIMDVITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIEATDSHNP -RLLRVERWLRDHGEERLGRMLISGDDCVVRPIDDRFSKALYFLNDMAKTRKDIGEWEHSAGFSSWEEVPF -CSHHFHELVMKDGRTLVVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLGFA -ICSAVPKDWVPTGRTTWSVHASGAWMTTENMLDVWNRVWILDNPFMENKEKVGEWRDIPYLPKSQDMMCS -SLVGRRERAEWAKNIWGAVEKVRKMLGPERYSDYLSCMDRHELHWELKVESSII - ->NP_689391.1 polyprotein [Montana myotis leukoencephalitis virus] -MTKGKMKNANKKKAKNSKTNPGRSGRSNGMDLVMGIMHFATHIAMGMKVNKRLKTFWKVTPPGRLAKGIT -RLINILKTLLNAVLGRKKQRSAKTVWVLFSMLALMVAMEIEQQGTSLVIKPQRSDVGRPVRVSTGYCMFS -AMDIGITCEKTIQYECVTLTTSEEPFDVDCYCRNVTNVLVEYSTCNPTVERAKRSLVIQDHPHSETVAKP -TLWLKWNTVNDRVGMTEEWVMRNTWKATILTLAIIAVTGLNWKGVLLLVVALMFAPNLATNCVSIQKRDI -LRGSGSTTWFDVLLEKGSCVTIVADDRPTVDIWLDRITHESPIAGREYCMRVDISGLKIATRCPTLGEAY -LSEEHTEDYVCKRGFSDRGWGNGCGLFGKGSIVGCVKTTCKSSGIAKSYSYDIPKVKYVISTEVHKGELI -SGNVSASVVSATFSSEAEKHSMELEDYGRLEFTCRVVSGSNLGSVRILEIDNHYFNVHEDWLLDLPLPWR -IPDGHWHDLGKLIAFKEPHAVKMVVQAYGDQRASLLKSLVKAEEIAKSGNSYYLPGGHVDCRVSLVNLKL -KGTTYPYCGDSFVWKRRPTATHHGTVAMEVTYQGTDVPCKVSVIVEKDGQNGGNAGSLITSNPIITAQGS -SVFLELEVPLGFSTIKVGAAKQQWRQDGSSIGKAMARASRAFEQTLMTAGSYWQSTDTVTSFSLMRMIRA -PLAMLFGDVGFMGKMIISIVCIWFAMNSRNMTLSLVLGVLGFGLLAFTTGVMGDQGCVLDISRKEMKCGD -GLMIWNEINDFKHGYKYYPEDPETFLASLVQDGEKHCGYQPSNLIELRMWQSLEKELNLYLEDQKIGWNI -KVAEEMKHFPKTINVGWERRQGRTGLTWTNWMKSMPGIHHFMNKNTDLTGTYFVGSIGLDECPASNRSWN -AFKVAEFGVGLVHTRAFIDIRNQPSLTCDLGLIGSAAKDRMIVHGSPWMWMESYEVNGTVQLQKLTLTHT -VECLWPITHTLGNRMVLDSKLILPKEMGGPASILNMVEGYSEQNKCPWNQGPVTVERGYCEGTEVEISED -CEERGPCTRSKTQGGTTIPHWCCRECKLPPLKFTNPDGCWYAMEIRPVKAHVGLVSAQNEMSPLDARCWG -VFSILVLYYLGILTKIEKGNWGPSLIVTISIMMWLHIIDVTELLHYLLAVGYTFVWQTSEPMLWIVAMQA -IFQLRPGFAVGFALCKTWRFERIVGMVACMWTIQELTTPYEAFWRFMDALGLLVYAYSASHSTRQSYLYV -VLMLSLTKIQNATIRLAVGMFGTMLLMLVGRKFAESDWLQKTKVTIAGATRLMELPYFPLVVSYFMRGQP -SKRATDYMSILGVVMALGAAVCRNGINTDPWIIGVMALVLLFFLFQVSSGEMVAEWAGYHEWKKDCPKSV -GSISLEVKRMADGRLINMSKEKDSLWEMGIVGCGMVVTAMHWIGIPLTIVALAIKSGLDGKRRSLYLLGL -GEGEPESNQRITDGVYRIKVSSLFGKKQVGVGVWSEGSFHTMWHVTRGATLRIGDRKLSPEWANITEDLI -SYNGGWKLEKKWKGEEVQLHAFTPSNETVVTQLLPGSMKTEKGEELGLIPLDFPPGTSGSPIITSSGHVV -GLYGNGIIHGDVYCSSIAQAKEVVKEETVKAVEGDEWLAKGKITVIDAHPGSGKTHKILPSLVRRASERR -MRTLVLAPTRVVIKEMENALRGMDVSFHSSAVSSKTPGSLIDVMCHATFVNRKLIHMPQKNYELIIMDEA -HWTDPSSIAARGFITTMSENKKCAVVLMTATPPGVQDPWANSNEKIEDVVKVIPEGPWKQGHEWITEFEG -RTAWFVPSQNAAQGIAKTLREHGKKVAILTSKTFHDVYPKLKTEKPDFILTTDISEMGANFDVERVIDPR -TTLKPIEKGNTVEISGEIQITPASAAQRRGRVGRTPGKMAQYIYQGEVEPDDSELVCWKEGQMLLDNMSV -KQSMICTFYGPEQEKMPETPGFFRLSEEKRKVFRHLITQCDFTPWLAWNVASGTKGIEDRDWVNLGPKEN -LVTDENDDPIVYKSPGGKEHKLAPVWLDTRLTRERKDLAGFIEYAEKRRSSVLTAIPGLLYSRFLSAFDT -IYIYSTSDPSSRAFKMAERELPEAILCVLQGFLMCVGMLALIVWLVTRTKVDRMCIGFCVIVMSGIMAWI -GGAPLSLVAALVLISFILLVCLIPEQGMQRTQIDTTLATLVLAIVTFGLLVFANEMRWLENTKKDLFGQP -QTSPSVNTGGIIQDLLQLDIRPMNVWGTYVALVTVARPQALHNLKMFTKKIVSGVVAGKESAMERLPTGG -AWMNLRMGDLTLLATTLKGMTCFNLLGGLTFAFIHWFWFFPLHEAAESAKAHKIVTQSLSKNNMVDGEVI -YQLDEVRAETETNERNFSLGVAGCLALLNIVMCRKPWTVLEALMIISVVAKNYLDPKAETFWTLPVASGL -SALLRNEFLGLVPIGYRVWKHLSPGRRGLSLSHLTLGEDWKLKLNKMTKSDFLEYRTRLITEVDRGEAVY -QLGRGKTNTGHAVSRGTSKLAWMHERSLVRLEGCVVDLGCGRGGWSYYSAAQNPVRKVDAYTLGYGGHEK -PRLVETLGWNLITFKAKTDVFSLNPYSCDTILCDIGESNPSYAVEAQRTIRVIDLMERWLKVNPQANFCF -KVLTPYTGNVLERLHSFQTRFGGGLVRVPLSRNSTHEMYFVSGITNNVVGTVNAVSRKLLQRMSAKGGSR -VIEDIRFPLGTRSNLTNLVKADRKIIQRRIDKIKSENKNFWMEDTNHPYRTWEYHGSYHIRDVGTKCSAP -NLVVKLLSWPWQALESVVSMSMTDTTAFGQQRVFKEKVDTKAPEPRVEVKKVMRVVFNWLVHVILKNGGK -VRKCTREEFIKKVESHAAIGAWSKDIPEWGSAVEAVHDERFWNMVDKERQLHLTGDCEMCVYNLMGKREK -KPGDFGVAKGSRTIWYMWLGSRFLEFESFGFLNEEHWASRELCGGGVEGIPLFYLGYHLEKMAEKAGILY -ADDTAGWDTRITMADLEDEYTLTELMEGEHKKLAETLFNFAYKNKVALCPRPGKNGGTVLDVISRTDQRG -SGQVVTYALNTLTNIKVQLIRMAESEGVLDEEFHDNGMLKWLEKHGRDRLQRLMVSGDDCVVNAIDERFG -KSLVWLNEMQKIRKDIDLWKPSAGHCNWEEVEFCSNHFHKLVMRDGRTLVVPCRHEVELVGRASVNQGGS -TGISGTACLAKAYAQMWLLLYFHRRDLRILGMAICSAVPANWVPTGRTTWSLHATKDWMTVDDMLSVWNR -IWIEENPWMKNKQPVREWNAIPYLPRREDINCGSLIGTSKRSTWATLVPGAVMKVRNLFGPEKFSNYMDC -IGRYHVGHQDFCLY - ->NP_620108.1 polyprotein [Langat virus] -MAGKAVLKGKGGGPPRRASKVAPKKTRQLRVQMPNGLVLMRMLGVLWHALTGTARSPVLKAFWKVVPLKQ -ATLALRKIKRTVSTLMVGLHRRGSRRTTIDWMTPLLITVMLGMCLTATVRRERDGSMVIRAEGRDAATQV -RVENGTCVILATDMGSWCDDSLAYECVTIDQGEEPVDVDCFCRGVEKVTLEYGRCGRREGSRSRRSVLIP -SHAQRDLTGRGHQWLEGEAVKAHLTRVEGWVWKNKLFTLSLVMVAWLMVDGLLPRILIVVVALALAPAYA -SRCTHLENRDFVTGVQGTTRLTLVLELGGCVTVTADGKPSLDVWLDSIYQESPAQTREYCLHAKLTGTKV -AARCPTMGPATLPEEHQSGTVCKRDQSDRGWGNHCGLFGKGSIVTCVKFTCEDKKKATGHVYDVNKITYT -IKVEPHTGEFVAANETHSGRKSASFTVSSEKTILTLGDYGDVSLLCRVASGVDLAQTVVLALDKTHEHLP -TAWQVHRDWFNDLALPWKHDGAEAWNEAGRLVEFGTPHAVKMDVFNLGDQTGVLLKSLAGVPVASIEGTK -YHLKSGHVTCEVGLEKLKMKGLTYTVCDKTKFTWKRAPTDSGHDTVVMEVGFSGTRPCRIPVRAVAHGVP -EVNVAMLITPNPTMENNGGGFIEMQLPPGDNIIYVGDLNHQWFQKGSSIGRVLQKTRKGIERLTVLGEHA -WDFGSVGGVMTSIGRAMHTVLGGAFNTLLGGVGFLPKILLGVAMAWLGLNMRNPTLSMGFLLSGGLVLAM -TLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYVFHPETPAVLASAVQRAYEEEICGIVPQNRLE -MAMWRSSLVELNLALAEGEANLTVVVDKADPSDYRGGVPGLLNKGKDIKVSWRSWGRSMLWSVPEAPRRF -MIGVEGGRECPFARRKTGVMTVAEFGIGLRTKVFMDLRQELTTECDTGVMGAAVKNGMAVHTDQSLWMKS -IKNDTTVTIVELIVTDLRNCTWPASHTIDNAGVVNSKLFLPASLAGPRSTYNVIPGYAEQVRGPWAHTPV -RIKREECPGTRVTIDKACDKRGASVRSTTESGKVIPEWCCRTCELPPVTYRTGTDCWYAMEIRPVHTQGG -LVRSMVVADNGALLSEGGVPGVVALFVVLELVIRRRPATGGTVIWGGIAILALLVTGLVSVESLFRYLVA -VGLVFQLELGPEAVAMVLLQAVFEMRTCLLSGFVLRRSITTREIVTVYFLLLVLEMGIPVKGLEHLWRWT -DALAMGAIIFRACTAEGKTGIGLLLAAFMTQSDMNIIHDGLTAFLCVATTMAIWRYIRGQGERKGLTWIV -PLAGILGGEGSGVRLLAFWELAASRGRRSFNEPMTVIGVMLTLASGMMRHTSQEAVCAMALAAFLLLMLT -LGTRKMQLLAEWSGNIEWNPELTSEGGEVSLRVRQDALGNLHLTELEKEERMMAFWLVVGLIASAFHWSG -ILIVMGLWTISEMLGSPRRTDLVFSGCSEGRSDSRPLDVKNGVYRIYTPGLLWGQRQIGVGYGAKGVLHT -MWHVTRGAALLVDGVAVGPYWADVREDVVCYGGAWSLESRWRGETVQVHAFPPGRAHETHQCQPGELILE -NGRKMGAIPIDLAKGTSGSPIMNSQGEVVGLYGNGLKTNDTYVSSIAQGEVEKSRPNLPQSVVGTGWTAK -GQITVLDMHPGSGKTHRVLPELIRQCVERRLRTLVLAPTRVVLREMERALSGKNVRFHSPAVTEQHANGA -IVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYSLAKENRCAFVLMTATPPGKSEPF -PESNGAIASEERQIPDGEWRDGFDWITEYEGRTAWFVPSIARGGAIARALRQRGKSVICLNSKTFDKEYS -RVKDEKPDFVVTTDISEMGANLDVTRVIDGRTNIKPEEVDGRIELTGTRRVTTASAAQRRGRVGRQGGRT -DEYIYSGQCDDDDSGLVQWKEAQILLDNITTARGPVATFYGPEQERMTETAGHYRLPEEKRKHFRHLLAQ -CDFTPWLAWHVAANVASVTDRSWTWEGPEENAVDENNGELVTFRSPNGAERTLRPVWRDARMFREGRDIR -EFVSYASGRRSVGDVLMGMSGVPALLRQRCTSAMDVFYTLMHEEPGSRAMRMAERDAPEAFLTAVEMLVL -GLATLGVVWCFVVRTSVSRMVLGTLVLATSLIFLWAGGVGYGNMAGVALVFYTLLTVLQPETGKQRSSDD -NKLAYFLLTLCGLAGMVAANEMGLLEKTKADLAALFARDQGETVRWGEWTNLDIQPARSWGTYVLVVSLF -TPYMLHQLQTRIQQLVNSAVASGAQAMRDLGGGTPFFGVAGHVLALGIASLVGATPTSLILGVGLAAFHL -AIVVSGLEAELTQRAHKVFFSAMVRNPMVDGDVINPFGDGEAKPALYERKLSLILALVLCLASVVMNRTF -VAVTEAGAVGVAAAMQLLRPEMDVLWTMPVACGMSGVVRGSLWGLLPLGHRLWLRTTGTRRGGSEGDTLG -DMWKARLNSCTKEEFFAYRRAGVMETDREKARELLKRGETNMGLAVSRGTSKLAWMEERGYVTLKGEVVD -LGCGRGGWSYYAASRPAVMSVRAYTIGGKGHESPRMVTSLGWNLIKFRAGMDVFSMEPHRADAILCDIGE -SNPDAVVEGERSRRVILLMEQWKNRNPTATCVFKVLAPYRPEVIEALHRFQLQWGGGLVRTPFSRNSTHE -MYFSTAITGNIVNSVNIQSRKLLARFGDQRGPTRVPEIDLGVGTRCVVLAEDKVKEKDVMERIQALKDQY -CDTWHEDHEHPYRTWQYWGSYKTAATGSSASLLNGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKDK -VDTKAQEPQPGTKIIMRAVNDWLLERLVKKSRPRMCSREEFIAKVRSNAALGAWSDEQNKWKSAREAVED -PEFWSLVEAERERHLQGRCAHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHWA -SRASSGAGVEGISLNYLGWHLKKLASLSGGLFYADDTAGWDTRITNADLDDEEQILRYMDGDHKKLAATV -LRKAYHAKVVRVARPSREGGCVMDIITRRDQRGSGQVVTYALNTITNIKVQLVRMMEGEGVIEVADSHNP -RLLRVEKWLEEHGEERLSRMLVSGDDCVVRPVDDRFSKALYFLNDMAKTRKDTGEWEPSTGFASWEEVPF -CSHHFHELVMKDGRALVVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLGFA -ICSAVPVDWVPTGRTTWSIHASGAWMTTEDMLEVWNRVWIYDNPFMEDKTRVDEWRDTPYLPKSQDILCS -SLVGRGERAEWAKNIWGAVEKVRRMIGPEHYRDYLSSMDRHDLHWELKLESSIF - ->NP_620099.1 polyprotein [Powassan virus] -MMTTSKGKGGGPPRRKLKVTANKSRPATSPMPKGFVLSRMLGILWHAVTGTARPPVLKMFWKTVPLRQAE -AVLKKIKRVIGNLMQSLHMRGRRRSGVDWTWIFLTMALMTMAMATTIHRDREGYMVMRASGRDAASQVRV -QNGTCVILATDMGEWCEDSITYSCVTIDQEEEPVDVDCFCRGVDRVKLEYGRCGRQAGSRGKRSVVIPTH -AQKDMVGRGHAWLKGDNIRDHVTRVEGWMWKNKLLTAAIVALAWLMVDSWMARVTVILLALSLGPVYATR -CTHLENRDFVTGTQGTTRVSLVLELGGCVTITAEGKPSIDVWLEDIFQESPAETREYCLHAKLTNTKVEA -RCPTTGPATLPEEHQANMVCKRDQSDRGWGNHCGFFGKGSIVACAKFECEEAKKAVGHVYDSTKITYVVK -VEPHTGDYLAANETNSNRKSAQFTVASEKVILRLGDYGDVSLTCKVASGIDVAQTVVMSLDSSKDHLPSA -WQVHRDWFEDLALPWKHKDNQDWNSVEKLVEFGPPHAVKMDVFNLGDQTAVLLKSLAGVPLASVEGQKYH -LKSGHVTCDVGLEKLKLKGTTYSMCDKAKFKWKRVPVDSGHDTVVMEVSYTGSDKPCRIPVRAVAHGVPA -VNVAMLITPNPTIETNGGGFIEMQLPPGDNIIYVGDLSQQWFQKGSTIGRMFEKTRRGLERLSVVGEHAW -DFGSVGGVLSSVGKAIHTVLGGAFNTLFGGVGFIPKMLLGVALVWLGLNARNPTMSMTFLAVGALTLMMT -MGVGADYGCAIDPERMEIRCGEGLVVWKEVSEWYDGYAYHPESPDTLAQALREAFERGVCGVVPQNRLEM -AMWRSTAPELNLVLSEGEANLTIVVDKTDPADYRGGTPMVLKKTGKESKVSWKSWGKSILWSVPDSPRRM -MMGVDGVGECPLYRRATGVFTVAEFGVGLRTKVFLDLRGEASKECDTGVMGAAVKNGKAIHTDQSMWMSS -FRNDTGTYIHELILTDLRNCTWPASHTIDNDGVLDSHLFLPVTLAGPRSKYNRIPGYSEQVRGPWDQTPL -RVVRDHCPGTSVRIDSHCDKRGASVRSTTESGKIIPEWCCRACELPPVTFRSGTDCWYAMEIRPVHSQGG -LVRSMVVADNGALLSEGGVPGLVAVFVLMEFLLRRRPGSVTSILWGGILMLGLLVTGLVRVEEIVRYVIA -VGVTFHLELGPETMVLVMLQAVFNMRTCYLMGFLVKRVITTREVVTVYFLLLVLEMGIPEMNFGHLWEWA -DALAMGLLIIKASAMEDRRGLGFLLAGLMTQRHLVAVHHGLMVFLTVALAVVGRNIYNGQKERKGLCFTV -PLASLLGGSGSGLRMLALWECLGGRGRRSLSEPLTVVGVMLAMASGLLRHSSQEALLALSAGSFLILMLI -LGTRRLQLTAEWAGVVEWNPELVNEGGEVSLKVRQDAMGNLHLTEVEREERRLALWLVFGLLASAYHWSG -ILVTMGAWTVYELFSSTRRTDLVFSGQLPDQGEKRSFDIKEGVYRIYAPGLFWGYRQIGVGYGTKGVLHT -MWHVTRGAALSVEGATSGPYWADVREDVVCYGGAWGLDKKWGGEVVQVHAFPPDSGHKIHQCQPGKLNLE -GGRVLGAIPIDLPRGTSGSPIINAQGDVLGLYGNGLKSNDVYISSIAQGNVEKSRPEMPLAVQGGKWTSK -GSITVLDMHPGSGKTHRVLPELIRECIDKRLRTVVLAPTRVVLKEMERALQGKRVKFHSAAVDNASSSSG -AIVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYSLAKENRCALVLMTATPPGKSEA -FPESKGAIVSEEKPIPEGEWRDGFDWITEFEGRTAWFVPSIAKGGAIARTLRQKGKSVICLNSKTFDKDY -GRVHEEKPDFVVTTDISEMGANLDVNRVIDGRTNIKPEEIDGKVELIGTRRVTTASAAQRRGRVGRHEGR -TDLYVYSGQCDDDDSSLVQWKEAQILLDNITTVRGPVATFYGPEQGKMLEVAGHFRLTEEKRKHFRHLLT -NCDFTPWLAWHVAANTACVTDRKWTWEGPDENAIDGPGGELVTFRSPNGAERKLKPIWKDSRMFREGRDV -ADFIQYASGRRSAVDILTGLGGVPDLLRLRCTAAWDVVYTLLNETPGSRAMKMAERDAPEAMLTLLEVAV -LGIATLGVVWCFIVRTSVSRMVLGTLVLAVALILLWLGGMDYGTMAGVALIFYLLLTVLQPEPGKQRSGE -DNRLAFLLIGLGSVVGLVAANELGYLEQTKTDISGLFRREDQGGMVWDAWTNIDIQPARSWGTYVLIVSL -FTPYMLHQLQTKIQRLVNSSVAAGTQAMRDLGGGTPFFGVAGHVVALGVTSLVGATPTSLALGVALAALH -LAVVTSGLEAELTQRAHRAFFSAMVKNPMVDGEIINPIPDGDPKPALYERKMSLFLAIGLCIAAVALNRT -AAAMTEAGAVAVAALGQLLRPEEESWWTMPMACGMAGLVRGSLWGLLPVLHRIWLRTQGARRGGAEGSTL -GDIWKQRLNSCTKEEFFAYRRTGVMETNRDQARELLRRGETNMGLAVSRGCAKLAWLEERGYATLKGEVV -DLGCGRGGWSYYAASRPSVMAVRAYTIGGKGHEAPRLVTSLGWNLIKFRSGMDVFSMATTRADTILCDIG -ESSPDPEKEGARSRRVILLMEQWKARNPDAAAVFKVLAPYRPEVLEALHRFQLQWGGGLVRVPFSRNSTH -EMYYSTAVTGNLVNSVNVLSRKLLARFGETRGPIQVPEIDLGTGTRCVTLAEDKVKPRDVAERIGALREQ -YSESWHEDKEHPYRTWQYWGSYRTPATGSAASLINGVVKLLSWPWNAREDVTRMAMTDTTAFGQQRVFKE -KVDTKAQEPQPGTRVIMRAVSDWLLEHLSRRAKVRMCTKDEFIAKVRSNAALGAWSDEQNKWSSAKEAVE -DPEFWKLVDEERSRHLKGQCRHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEVLGFLNEEHW -ASREVSGAGVEGTSLNYLGWLLRELGMKDGGKLYADDTAGWDTRITNADLEDEEQILRYMEGEHHVLAKT -ILEKAYHAKVVKVARPSPQGGCVMDVITRRDQRGSGQVVTYALNTITNMKVQLIRMMEGEGVIGPADSQD -PRLKRVETWLKEHGVERLGRMLVSGDDCVVKPIDDRFGKALYFLNDMAKVRKDVGEWEPSMGFTEWEEVP -FCSHHFHELVMKDGRSLIVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLNYFHRRDLRTLGF -AICSAVPVSWVPMGRTTWSIHASGEWMTTEDMLRIWNKVWILDNPHMEDKQTVDEWRDIPYLPKTQDLVC -SSLVGRKERAEWAKNIWGSVEKVRKLIGPEDYRDYLSSMDRHDLHWELKLESSII - ->NP_620044.1 polyprotein [Rio Bravo virus] -MVGKLKNAKNKGRTRLRRPPKGKAMGMGLILGIMNYASHIALGMKVNSRLTSFWKMTPPGKLAKGITTLM -NILRTLLNGILGRKKQRRGGTESLFPIMFLTGLMAMQVSQNGDGWLLSPDQMDIGKTYKVGTGLCIFSSL -DIGVPCNLTITYACVTLANTEEPVDLDCFCRDVQNVWVKYPLCKPGGHRLKRSLSITEHPSSVSLDKPTL -WHHWNSVNDRIGKSEEWIVTNAWKSLVIAIVVIMVFGISYQSMIVICIAALIAPSYSTQCVNIQKRDIIR -GASDVSWFDVLLEKGACVTISAQDKPSVDLWLDDVIQESLIEGRHYCTKASITDLKADARCPTQGEATMR -EEHLDGYVCKRSFSDRGWGNGCGLFGKGSIVGCAKATCGANDIIKSYSYDSPKVKYVIGAEVHQGKLLTN -NSTDRIVKTTLTAESEKHTITIADYGSMDFTCRVVASAELSNIRMIELDGHMFNVHEDWLSDLPLPSKIS -GGSWHGMDRLVVFKEPHAVKMEIQECGDQRPAVFKSLVKAEEVTKTSNSYHLTGGHVDCRVSTLNLRMKG -LTYQMCSSSFVWHKRPVATQHGTVAMEVKYKGSDAPCRIPVSVEKEGYNGKNFGNLITANPFAANNEAVV -FLELEAPLGVSTIKVGGAVFQWKQEGSSIGKAVTLMKRNIEKTLITSSAYWSSSEPFTSAGIMRILRMPF -DMIFGGVGFLGKLMISGVLIWLCVNVQNSTLSVVSGVIGFMLLGFTTGVMGDHGCALDTYRKEMRCGDGL -MVWNDVNNWHEAYKYYPEDPEIFLASLVEDREKHCGYSPSNHIDLAMWKALEEEINWFLEDQSVDWRIMV -GNEEKVFHKTNSSGWERRAGRNSLSWKNWAKSFKLMSWILERKQGNGTYFVGPVGLDECPLANRSWNAFK -VSEFGAGILQTRAFIDIQTSNSQFCDQGLIGSGAKDKRIVHGSPWMWMDSYEVNGTLQLQKLEMKYAVEC -LWPLSHTLGGKGAPESQLILPKKLGGPVSTLNMIKGYATQELGPWKNGALVLERGECPGTKVQILEDCDK -RGHSVRSTTQGGVTIPDWCCKHCVLPPLKFTNADGCWYAMEIRPLKTTPGLVYAGSMTALEAQSWGVLSV -MLLFYMGFLPKIAKERWSVPTAFMFFVFAITGLIDCRDFLRYLLAVGTTFAWQFPEPMLWMVAMQTVFML -RPALTVGILFARSWKFERILALASLLQVFQHVTMEWESVWKVLDSLGFVVYGFLLSKSGMQSHVFMLFVV -SLTWMDSIVMQHALALGGVMLLMSISPKFMQSDWIQKTQLTILGGLKILNAPFYVVALIFYTCFNDYMHR -GQRATDYTAILGIVMAIGAAICKNGLESSGWMIGIMGIFLVFFLLQLSKGEMTAEWAGYCEWKKDCDKSV -GSLSLEVKRMSDGRLVNLSKDKESMTEVIMVSVGMIVTGFHWIGIPLTIAMLGIKKCYDATQRSIIVFGV -GEDPEGEKVSIQDGVYRIMVSSLFGKKQVGVGIWTGNSFHTMWHVTRGAVLNICGRKIVPEWASITEDLI -SYNGGWRLFTRWDGTEVQVHAYTPDGKVTTTQLLPGSMKVEGGLELGLIPLDFPPGSSGSPIISSDGKII -GLYGNGVLHGDTYCSSIAQTEKKEEDVPQPKTLEGDGWLSKGKITVIDAHPGSGKTHRILPNLVKRAAER -KMRTLVLAPTRVVIKEMESALKGMDISFHSSAVSTKTPGSLVDVMCHATFVNRKLIHTPQRNYEVIIMDE -AHWTDPSSIAARGYITSQCEMKKCAVVLMTATPPGVDDPWANSNEKIADVEKMIPDEPWKQGYEWITDFE -GRTAWFVPSYNAAQGISKALRERGKKVLILTSKTFHDNYPKIQSEKPDFILTTDISEMGANLDVDRVIDP -RTTLKPMEKGNIVEVSGEISITPASAAQRRGRVGRVKGKKAEYIYQGTTEMDDSDLICWKEAQMLLDNMD -SRQRATCQFYEPEQDKMTEIPGYYRLTEEKRKVFRHLLTQCDFTPWLAWNVAQNTKGIEDRGWLNIGPNQ -HLVSDENGDPIKYTTPSGRERQLQPVWLDNRMVKEKRDLVSLLEYAQMRRSGVLLGLPRLMYQKVVESID -MVHTYYTADPNSRNFKLAEKELPDAFLCILQSLLMIVGVFIILMWILSRTKVDRIWIGTLVIGMSGLTAW -YGGVPLPVISGGALVCFVLLICLVPEEGMQRTQIDSTLATILICVILTVVTVVANEMRLLENTKRDIMDL -FKRDTTVNESPVFHYTWESLMEWDIRPLTIWATYVVFVTLARPQALHNLKMFTQRVITGTVAGKHDMVNL -LPFGAAWLSLGLGDLTLAVGAFRNMSCLTLVGGVLLALAHWTWFYPLHAAAESSKAHKIVTQSLSKNTMV -DGETIYQLDQTSAETERSEKIFSMVTGFTLTAINVFTLRKAWAVLECVMVGMVLIKYLIEPKGTTFWTLP -VVSGLTSLVRGDFFGLIPISFRVWLYARSDRRGIVTSHHTLGEKWKLELNQLSHKEFLSYRKVGILEVDR -PDAVMNLNKGKTNTGHAVSRGTSKLAWMHERGFIPLSGHVVDLGCGRGGWSYYCAAQTPVRKVNAYTLGT -GAHEKPRLVESLGWNLITFKSKTDVFKLKPYSCDTVLCDIGESNPSYATEAKKTLEVIEMVGNWMLVNPE -SNFCFKVLNPYSPQVLERLTKFQHMFGGGIIRVPHSRNSTHEMYFVSGITNNLVGSVNAVTKKLMQRMRQ -EGKERVVADIKFPVGTRSNLTTCVKADAKLIEQRIEKVKNENKNSWTFDDNHPYRTWQYHGSYQIRDVGT -KANAINYVVKLLSWPWQALESVFSISMTDTTAFGQQRVFKEKVDTKAPEPPKEVKIVMRKVFTWLVKRIL -KKGGKIRKCTKEEFINKVESHASIGAWSQEMQGWSDAKEAVHDPRFWNMVDKERKLHLEGKCEMCIYNMM -GKREKKPGEFGCAKGSRTIWYMWLGSRFLEFESFGFLNEEHWASRELSGGGVESIPLFYLGYHLREMAKK -PGKLYADDTAGWDTRITEADLDDECILCDYMEDEHKQLALSLFELCYKNKVALCPRPGRHGGTVMDVISR -RDQRGSGQVVTYALNTLTNIKVQLIRLAESEGVLDEDFNDHGIETWLNHYGEERLSRLLISGDDCVVNAC -DERFGRSLTWLNTMEKIRKDIDLWAPSNGYENFEKVEFCSNHFHELMLKDGRQLVVPCRSQNELVGRASV -NQGGSATVAGTACLAKAYAQMWNLLYFHRRDLRLLGFAIMSATPSNWIPTGRTTWSIHATKDWMTTEDLL -DVWNRIWIDENPWMDDKTNITTWKNVAYLPRGQDMCCGSLIGSSKRATWAKLIPGAVEKVRGMIGNENYV -NYLGAMGRYECDDVSFQLY - ->NP_620045.1 polyprotein [Apoi virus] -MAGNLKKKSAKPKAQRRPPQGRGGQVKQKPGAAIVGVMHYVTHQALGMKLSGRLKKFWRNTPPGRLLKAA -MVILNILKNLVMGLLAKKKGGRRGGKSVPFFLMLVPIALSAVVMNDGGWIFLKPTVADIGKTITVRNGTC -VFSSLDIGNECEETMTYPCITLAATEEPVDLDCFCRDVKNVMVTYPTCKRNTRTRRDVTIQDHPPSVTLT -KPSLLKHVGGAEEHLQKVENWILMNSWKVVLVTVALLYGFGNKLQTWILIIVTLIVAPAYASTCVSVAKR -DMIRGDLGTTWVDAFLEKGSCSTLMVEDKPAVDVWLDEVSQSSVIASHEYCMEVAGSNVKSSGSCPTQGE -AHLAEEANSDYVCKRGFSDRGWGNGCGLFGKGSIVACIKTTCKNDANIIKSYVYDAPKIQFTVGIEVHKG -NLLGPSDNNRIVKATFSAEAGKHSVELTGYGVLEFSCRVVASTDLSDIRLIEIDNHFYNVHEDWLRDLPL -PWRLPKGKWKDMERMVVFKDPHAVKWTVQTYGNQRTAIFKALVKANEISKSNNKYILDGGHLSCRIGVNG -LKMVGATYSQCTKPFEWIKKPVLTQHGTVVMEVKYTGEGAPCRIPFRVERVDKPMENVGNLVTGNPYASQ -KDAVVFLEAEVPPGISIIKIGDIDVQWNQPGMTVGKTIELVKRGLERTLISSSAFWNSDEPFHFSNLISI -IKIPFDFVFGSLSFITRLILSVVLIWICLNTRNGTMAAATGVVGFTLLALTTGVVGEIGCMIDVGRRELT -CGDGVVVWNQLDDWWEKYQYYPDEPAVFLGSLMEDKEKHCGYMPANPLEWRMWRKLQGQINKALEDADLE -WRIEVSEEHHYFQRAPHEWQRKKGDVELSWKNWLKDNRLYDWLAPMNKTGRTKYFIGDVGMEECPLDQRS -WNAFRMAEFGEGVFQTYVYLDVARNRSILCDRGLLAPVAKDDNAIHSSNWMWMESNITEGKAQLQSITLQ -AVVECEWPKTHTLGFSTSTEQDLILPLRYGGPLTEFNTIPGYGQQSKGPWSHGVVRVERGECPGTKVEVD -SDCAGRGASVRSTREGGSLIEDWCCRTCTLPPLHFRTEEHCWYAMEIRPLKTVGGLVMAFDEEPVWKPWG -IMGLIVLLYMGFFPSLGNGKFSMGFGMAVFLLCVTGMLSLTELAKYTMLLMAVYAERFDEPCLWAMTVST -VFDLRPGAILLLVFTWPWHFQRVVAAASVTNVLSLMITEGVWAILDGVGLVLYCYLLTRSGKKSMWGLLF -VSASRLITEGSLQVAWILVGALTMLCSSRHFLEGDWVQKAGYLCAGYHQVVSSPWRVIPMLWNEMASRKR -SGQRSVDPIAIVVTLMALGAAVAKDGTAVQPLTLGLFSAVILFLLLQLTGGPLIAEWAGYADWKSNALRY -SGHLDLEVKKLSDGRLVNTAKENTTTTDGLILGAGMLASGFSWVAVPVTFAILALRQWNRSIQKSNTSFS -LITDILESDDHESPNEGIYRIFASGVLGKKQVGVGIWKQGVFHTMWHVTRGSVLSINGRRFQPEWASVRD -DLISYNGPWRLPAKWEGGEVQLHGYTPDGKVQVTQLLPGRMVTDGGEELGLIPIDHPPGTSGSPIITKDG -HVVGLYGNGVVHGETYCSSIAQANVPAETEPEVLKTNWTSKGKLTVIDAHPGSGKTKKILPRLVDEAVGR -KLRTLVLAPTRVVVKEMEQALRGKPVSFHSSAASKKVPGALVDVMCHATYVQRRMMPIPQKNYELVIMDE -AHWTDPSSIAARGFLDSQRKENHLSLVLMTATPPGTDDPWPQSNAPINDNEKIIPEGDWKTGHDWITEFE -GKTVWFVPSLKAGATIAKTLRGFGKSTAVLSSKTFSEVYPTLRDSKPDFILTTDISEMGANFDVDRVIDS -RTTLKPIEVGNCVDISGERAVTPASAAQRRGRVGREEGRQAEYVYQGEVDPDDGDLVCWKEAQILLDNME -GRFKTASVFYEPEITKMPDTPGYFKLPVEKKKYFRHLISNCDFTPWLAWKVASETKGVEDRGWVSLGPKE -HEIADHEGELIKFKSPSGRENILRPVWLDNRMIREKRDLEALIDYAKGKRSGMTILQLLYEGLQHDLDVV -YTYMRANKESRAWKMANEDLPEALVGLGQSVLSIVGVIFLVWLLLRQSKVDRVTLGALLLTAGSAVLWMG -GAAPCVVGGSLICGFVLLVALSPEEGMQRTQVDSTLAMFVLTLYTLIAAVVANEMGFLERTKKDFREFFR -KEVNMDGEPTQWRIFDLDICPMVSWSLYVLLVTGLRPVCLHGLQMMTQRVVTGAISGRSDLLGQLREGMG -NVSIGLPELALGMSVVRGMTPVTLVLGGLAGVAHWCWFYPIHEAALTMKANKIVAQSMAKNTQVDGEVIY -QLEEKIAKTEDHEKKFSYGVAVILNLINLALNQNLWSLLECAMAILAALCYIRGQPTLCTLPVVAGLGKI -LRGDYLGILPVALHAWRSTSENRRGVSSSYITYGEQWKRELNKLNAQAFFLYKSRLVHEIDRAEAVSNLS -KGRTNTGHAVSRGTSKLAWMHERGYVPLKGVVVDLGSGRGGWSYYAAAQERVRKVNAYTLATTKGHEQPR -LVQSYGWNLVTFKKADVRTIEPYPVDTLLCDIGESSSSSSIEAKRTLQIMDVVGKWLEISPGASFCIKIL -CPYNPEVIEHLSRWQHQFGGGLVRVPHSRNSTHEMYFVSGGGGNLMSSITAVTTQLMRRFTLEAGPRHVF -DINLGVGTRSNLMEKSEADKSLIADRITIIQNENKASWHQDPNQPYRTWTYHGSYSIRDVGTSASAPNHV -VKLLAWPWLKIEKVVLMAMTDTTAFGQQRVFKEKVDTKAPEPPKEVKKVMRLVFRWLLNHIKSKGAVVRR -CTKEEFINKVNSNASIGAYLREMGEWSSAKEAVSDPKFWNMVDKERQLHLKGKCHNCVYNLMGKREKKPG -EFGVAKGSRTIWYMWLGSRFLEFEALGFLNEERWASRDISGGGVEGIGINYLGYHLEKMARKVGVLYADD -TAGWDTRVTQADLEDERELLHFMEGEHKRLAEAIFKLTYENKVALCPRPGSKGGTVMDVISRKDQRGSGQ -VVTYALNTLTNIKVQLVRMAESEGILTPELEDLGIEQWLKQNGEDRLSRLLVSGDDCVVNALDERFGKAL -TWLNTMEKTRKDIEAWKPSRAYRTWQEVEFCSHHFHELFLRDGRKLIVPCRDQHELVGRSMVSQGGSSGV -AGTACLAKAYAQMWLMSYFHRRDLRTLGFAIMSSVPKDWFPTGRTTWSVHANHEWLSNENILEIWNRVWI -EENPFMEDKTTVAEWKNIPYLQRNQDLSCSSLIDNPTRAQWAKLLKGAVMKVREMIGREHYSDYLSNMGR -YQEGTEEFHMW - ->NP_619758.1 polyprotein [Modoc virus] -MCENNRKKNPGKGSKKKKNAGRNGKEVPGLALVMGVIHYASHIALGMKVNSRLKRFWKMTPASKLAKTIT -TLINILRNLLSFTLKRKTKQRSAGWTMGVIFIWMMGTILSIEVVKNNGGWLMKPRLQDTGSTVPVGKGSC -TFTSLDVGYECDYKIGYDCVTLTSTEDPNGIDCYCRGVDMVRVEYPLCKKGSNRVRRAVNIASHPEIVPL -KPVTYMPTLGSVNDRVGKTEEWVTNNMVKTLLFVFIMFAIFGFDWKTVVVLCLAALALPSFATNCVTISQ -REILKGVEGVTWFEVLLEKTSCITIAAQNRPSIDLWLDDVKQSSVMASKEFCMKVEVSETQIAARCPTQG -DATLPMEGKDDYVCKKTFSDRGWGNGCALFGKGSIVGCAKVACNTANVMKTHIYEQQAVQYVVGIEVHRG -EVIKANVSDKVIKASFSAEAEKHTVEIPDYGSLDFTCRVVASADLSNIRLLEVDGHYFNVHEDWLDDLPL -PWRINAGPWRGMDKLVNFREPYAVKMVIMGYGDQRPAVLGALDKAEEIKKVGDNYHLNGGHVSCKVSVAK -LKLKGMTYVVCGGKFAWAKKPIATNHDTVAMEVTYTGNDTPCRVTVKNVKENSDDQGTLITTNPFVESNG -ATIFLELEPVYGLSTIKVGDITYQWNQQGSVIGKAVRKITNDIHKTIVVGSAFWNSDQRFSAINLMDLIR -LPFAFLFGGLGFMMKMIISLVLIWFCLNTRNFSIAVTSGIVGFGLLAFTTGVMGDHGCVLDVDRKEMKCG -DGVMVWNEVNDWYGGYMYYPDDPETFIASLVNDKKEHCGTYPANALELAMWQKLESQINLLLKEMKVEWR -IKVSEKQDGFPKGDHLGWEKKTGRNGLSWQNWAKSFDYMEWIFETDAGNSTYYVGNVGEKECPDHDRSWN -VFRFEEFGVGLLTTRVFVGINEEPDQYCDPGLMGAAAKDRYMVHSSTWMWMESYEVNDTLQLQRLQYDYY -VECLWPPTHTLDGQNVLESLLILPKQLGGPVSNLNRVKGYAEQDKGPWKKGRLLVERGYCEGTTVVVDEN -CQHRGPSVRSTTEGGNVIKEWCCRHCKLPPLKFTMEEECWYAMEIRPTSKVNGLVMASDGEKPLFEWCSF -ALLTTIYLLFMAGKNTTALIPFVAFLGAILYGSGFLTANLVMKYVAAFYLNSVLEKSEPMLWAYMMEVVF -DLRPGMLLGLMLNKTWKFERLVAMIGCLSFLQQATIEWRTAWVLLDCIAVLVVFQSQGSKIKGHLLNIVL -LNLSWVTSEHLIMMVKLTGFLLLLSDSGVFERSSWQQKTRTVLTAGMMTLNTPYSFLVAAWPLPRHIRGV -DYVAILGILMGVGASVARNGLESELWVMGIMALVLLVFVLQLTTGELLAEWDSHYSWKQNCDQASGSVDL -SVKRLPDGRLVNITEKEESRMETLILGVGMIATEFHWVGLPLTVLVLGLRRWIVNGKEQRSLIVYGGRSE -SANDETEGDLLEGVYRITAASIFGRKQVGIGVLKEGVFHTMWHVTRGSALRVRGRVLAPEWASVEEDLVA -YNGTWKLNKKWDGGEVQLHAYTPDGKIIKTQVLPGKMELAGGGSMGLIPLDFPPGSSGSPVINANGEVVG -LYGNGVLHGDTYCSSIAQTTKELPVDKPKVVKGDGWLSKGRISIVDAHPGSGKTHRILPELVRRALSRKL -RTLVLAPTRIVVKEMESALRGLDVSFHSSAVSHKNPGSLADVMCHATYVNRKLIHMPQKNYEVIIMDEAH -WTDPSSIAARGFITSLCEAKRCAVVLMTATPPGVEDPWAESNEKINDVEKVIPDGPWKQGHEWITEYEGR -TAWFVPSLNTANSMAKVLRGLGKKVVVLTSKTFHDNYPKLNDEKPDFILTTDISEMGANLDVERVIDPRT -TLKPVEKGNAVEISGERKITPASAAQRRGRVGRTKGKKADYIYQGETNADDSELVCWKEAQMLLDNMESR -LGSASVFYGPEQVKMTEMPGFYKLNDEKRKVFRHLLAQCDFTPWLAWKVASDGKSIENREWLKSGPKEHL -VTDENCEAVTYKTPGGKVERLQPVWMDARMTREKRDLLNLLDYAEMRRSSVWLSLPGALYNQFTEAMDTI -YVYYTANPSSKGFRMAQESMPTALLTVMQALIIGTGLIMFLGWMCSSRKVDRMMLGTLLIVGCSVTAWCG -GVPLPLVSAMALVTFILLLCLVPEEGQQRTQIDTTLAMFVYSMLLFVGLVIANELRWLENTKEDIKQLFG -EKIHMGISSGGDFWKYIDLKPLSIWGTYATLVTFMRPQMLHNLRMFTQRIVAGSVSGKLDTLNGLRNGFV -STSMSLGDLSLFISFCRNMSPLTTVTGLVLAAIHWLWFYPMHEASLTSKAHKMVAQSTAKNVAFDGEGII -DFHTEEVDTSSAERKTSFGVAILLAVINVVVVREPWAMLEAGLVLLAAIKFAADGAKETLITLPVLSGIG -ALIRGDYFGVAPILLNLYLQTTSNRRGICSSAPTLGEIWKRKLNQLDAKEFMAYRRRFVVEVDRNEAREA -LAKGKTNTGHAVSRGTAKLAWIDERGGVELKGSVVDLGCGRGGWSYYAASQPNVREVKAYTLGTSGHEKP -RLVETFGWNLITFKSKVDVRKMEPFQADTVLCDIGESNPTAAVEASRTLTVLNVISRWLEYNQGCGFCVK -VLNPYSCDVLEALMKMQRRFGGGLIRVPLSRNSTHEMYFVSGIKNNIMGNVTAVSRQLLKRMEEQGGERV -VPDYKFSTGTRSNLTQKIEVPEEEVQMRVDKIKAEKSGTWCFDSNHPYRTWNYHGSYRVRDVGTRASAVN -HVVKLLSWPWGKMEKVLAMSMTDTTAFGQQRVFKQKVDTKAPEPNIQVKKVMRKVFKWLIERIKTKGGKV -RTCTKEEFIQKVRSHAAIGAWSSDMEGWSSAVEAVDDPRFWNMVQKERDLHLQGKCEMCVYNLMGKSEKK -PGDFGVAKGSRTIWYMWLGSRFLEFESFGFLNEEHWASRELSGGGVEGIPLNYLGYHLREMAQKPGVLYA -DDTAGWDTRITMADLEDEGMLLDMMSGEHKKLASALFSKAYKVKVALCPRPGPKGGTLMDVISRTDQRGS -GQVVTYALNTLTNIKVQLIRMAEAEGVLGATFEDFGIDRWLQEHGEDRVERMLVSGDDCVVNAIDERFGS -SLNWLNAMEKVRKDIDLWKPSPSFRNWERVEFCSNHFHEMTMKDGRVIVAPCRGQTELIARGTVNQGGCV -GVESTGCLAKAYAQMWLLLYFHRRDLRTLALAVMSAVPSNWIPTGRTTWSLMVKGEWMTDEDMLAVWNRV -WIEDNPFMEDKREVERWSEVPYLPRNQDKSCGSLIGTTARAEWAKLLPGAVEKVRNIFGKQRFRNYLRNM -GRYESQEEAPFSMY - ->NP_044677.1 polyprotein [Louping ill virus] -MGRKTILKGKGGGPPRRVSKETATKTRQSRVQMPNGLVLMRMMGILWHAVAGTARNPVLKAFWNSVPLRQ -ATAALRKIKRTVSALMVGLQRRGKRRSVTNWMNWLLVIALLGMTLAATVRKEGDGTTVIRAEGRDAATQV -RVENGTCVILATDMGSWCDDSLSYECVTIEQGEEPVDVDCFCRNVDGVYLEYGRCGKQEGSRTRRSVLIP -THAQGELTGRGRKWLEGDSLRTHLTRVEGWVWKNKLLALAMVAVVWLALESVVTRVAVLVVLLCLAPVYA -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDAIYQESPAKTREYCLHAKLSETKV -AARCPTMGPAVLTEERQIGTVCKRDQSDRGWGNHCGLFGKGSIVACVKAACEAKKKATGYVYDANKIVYT -VKVEPHTGDYVAANETHKGRKTATFTVSSEKTILTLGEYGDVSLLCRVASGVDLAQTIILELDKTAEHLP -TAWQVHRDWFNDLALPWKHDGNPHWNNAERLVEFGAPHAVKMDVYNLGDQTGVLLRALAGVPVAHIEGNK -YHLKSGHVTCEVGLEKLKMKGLTYTMCDKSKFAWKRTPTDSGHDTVVMEVTFSGSKPCRIPVRAVAHGSP -DVNVAMLITPNPTIENDGGGFIEMQLPPGDNIIYVGELSHQWFQTGSSIGRVFQTTRKGIERLTVIGEHA -WDFGSAGGFFGSIGKAVHTVLGGAFNSIFGGVGFLPKLLMGVALAWLGLNTRNPTMSMSFLLAGGLVLAM -TLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYAYYPETPGALASAVKEAFEEGSCGVVPQNRLE -MAMWRSSVTELNLALVEGDANLTVVVDKNDPTDYRGGVPGTLKKGKDMKVSWRSWGHSMIWSIPEAPRRF -MVGTEGQSECPLERRKTGVFTVAEFGVGLRTKVFLDFRQEPTHECDTGVMGAAVKNDMAVHTDQSLWMKS -MRNDTGTYIVELLVTDLRNCSWPASHTIDNADVVNSELFLPASLRGPRSWYNRIPGYSEQVKGPWKHTPL -RVIREECPGTTVTINAKCEKRGASVRSTTESGKVIPEWCCRACTMPPVTFRTGTDCWYAMEIRPVHAQGG -LVRSMVVADNGELLSEGGVPGIVALFVVLECIIRRRPSTGVTVVWGGVVVLALLVTGMVRIESLVRYVVA -VGIAFHLELGPETVALMLLQAVFELRVGLLSAFALRRGLTVREMVTTYFLLLVLELGLSSAGLGDLWKWS -DALAMGALIFRACTAEGKTGTGLLLIALMTQRDVVTVHHGLVCFLAAAAACSVWRLLRGHREQKGLTWII -PLARLLGGEGSVIRLLAFWELAAHRGRRSFSEPLTVVVVMLTLASGMMRHTSQEALCALAVASFFLLMLV -SGTRKMQLVAEWSGCVEWHPETVNEGGEISLRVRQDSMGNFHLTELEKEERMMAFWLLAGLVASALHWSG -ILGVMGLWTLTEIMRSSRRSDLVYSGQGGQERGDRPFEVKDGVYRIFSPGLFWGQRQVGVGYGHKGVLHT -MWHVTRGAALSIDDAVAGPYWADVKEDVVCYGGAWSLEEKWKGETVQVHAFPPGRAHEVHQCQPGELILD -TGKRLGAIPIDLAKGTSGSPILNAQGVVVGLYGNGPKTNESYVSSIAQGEAEKSRPNLPQAVVGTGWTSK -GQITVLDMHPGSGKTHRVLPELIRQCIDRRLSTLVLAPTRVVLKEMERALSGKRVRFHSPAVSDQQAGGA -IVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYTLAKENKCALVLMTATPPGKSEPF -PESNGAITSEERQIPDGEWRDGFDWITEYEGRTAWFVPSIAKGGVIARTLRQKGKSVICLNSKTFEKDYS -RVREEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGKVELTGTRRVTTASAAQRRGRVGRQDGRT -DEYIYSGQCDDDDSGLVQWKEAQILLDNITTLRGPVATFYGPEQDKMPEVAGHFRLTEEKRKHFRHLLTH -CDFTPWLAWHVAANVSSVTDRSWTWEGPEANAVDEASGDLVTFRSPNGAERTLRPVWRDARMFREGRDIK -EFVAYASGRRSFGDVLTGMSGVPELLRHRCVNALDVFYTLMHEEPGSRAMKMAERDAPEAFLTVVEMMVL -GLATLGVVWCFVVRTSISRMMLGTLVLLASLLLLWAGGVGYGNMAGVALIFYTLLTVLQPETGKQRSSDD -NKLAYFLLTLCSLAGLVAANEMGFLEKTKADLSAMLWSGHEEHRQWSEWTNVDIQPARSWGTYVLVVSLF -TPYIIHQLQTKIQQLVNSAVASGAQAMRDLGGGAPFFGVAGHVMTLGVVSLVGATPTSLIVGIGLAAFHL -AIVVSGLEAELTQRAHKVFFSAMVRNPMVDGDVINPFGEGEAKPALYERKMSLVLAIVLCLVSVVMNRTV -ASMTEAAAVGLAATGQLLRPEADTLWTMPVACGMSGVVRGSLWGFLPLGHRLWLRASGGRRGGSDGDTLG -DLWKRRLNNCTKEEFFVYRRTGILETERDKARELLRRGETNMGLAVSRGTAKLAWLEERGYRTLKGEVVD -LGCGRGGWSYYAASRPAVMSVRAYTIGGRGHEVPKMVTSLGWNLIRFRSGMDVFSMQPHRADTIMCDIGE -SNPDAAVEGERTRKVISLMEQWKIRNPAAACVFKVLAPYRPEVIEALHRFQLQWGGGLVRTPFSRNSTHE -MYYSTAVTGNIVNSVNIQSRKLLARFGDQRGPTKVPEADLGVGTRCVVLAEDKVKEQDVQERIRALRKQY -SETWHMDEEHPYRTWQYWGTSRTAPTGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKEK -VDTKAQEPQPGTRVITRAVNDWILERLAQKSKPRMCSREEFIAKVRSNAALGAWSDEQNRWASAREAVVV -PAFWALVDEVRERHLVGWCAHCVYIMMGMREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNKDHWA -SRESSGGGVEGISLNYLGWHLKKLTTLNGGLFYADDTAGWDTKGTNSDPEDEEQILRYMEGEHKQLATTI -MQKAYHAKVVKVARPSRDGGCIMDVITRRDQRGSGQVVTYALNTLTNIKVQSTRMMEGEGVIEAEDAHNP -RLLRVERWLKEHGEERLGRMLVSGDDCVVRPIDDRFGKALYFLNDMAKTRKDMGEWEPSAGFSSWEEVPF -CSHHFHELVMKDGRTLVVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLGFA -ISPAVPVDWVPTGRTTWSIHASGAWMTTEDMLDVWNRVWILDNPFMQNKERIMEWRDVPYLPKTQDMICS -SLVGRKERAEWAKNIWGAVEKVRKMIGPERFKDYLSCMDRHDLHWELKLESSII - ->NP_043135.1 polyprotein [Tick-borne encephalitis virus] -MVKKAILKGKGGGPPRRVSKETATKTRQPRVQMPNGLVLMRMMGILWHAVAGTARNPVLKAFWNSVPLKQ -ATAALRKIKRTVSALMVGLQKRGKRRSATDWMSWLLVITLLGMTLAATVRKERDGSTVIRAEGKDAATQV -RVENGTCVILATDMGSWCDDSLSYECVTIDQGEEPVDVDCFCRNVDGVYLEYGRCGKQEGSRTRRSVLIP -SHAQGELTGRGHKWLEGDSLRTHLTRVEGWVWKNKLLALAMVTVVWLTLESVVTRVAVLVVLLCLAPVYA -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDAIYQENPAKTREYCLHAKLSDTKV -AARCPTMGPATLAEEHQGGTVCKRDQSDRGWGNHCGLFGKGSIVACVKAACEAKKKATGHVYDANKIVYT -VKVEPHTGDYVAANETHSGRKTASFTISSEKTILTMGEYGDVSLLCRVASGVDLAQTVILELDKTVEHLP -TAWQVHRDWFNDLALPWKHEGAQNWNNAERLVEFGAPHAVKMDVYNLGDQTGVLLKALAGVPVAHIEGTK -YHLKSGHVTCEVGLEKLKMKGLTYTMCDKTKFTWKRAPTDSGHDTVVMEVTFSGTKPCRIPVRAVAHGSP -DVNVAMLITPNPTIENNGGGFIEMQLPPGDNIIYVGELSHQWFQKGSSIGRVFQKTKKGIERLTVIGEHA -WDFGSAGGFLSSIGKAVHTVLGGAFNSIFGGVGFLPKLLLGVALAWLGLNMRNPTMSMSFLLAGGLVLAM -TLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYAYYPETPGALASAIKETFEEGSCGVVPQNRLE -MAMWRSSVTELNLALAEGEANLTVVVDKFDPTDYRGGVPGLLKKGKDIKVSWKSWGHSMIWSIPEAPRRF -MVGTEGQSECPLERRKTGVFTVAEFGVGLRTKVFLDFRQEPTHECDTGVMGAAVKNGMAIHTDQSLWMRS -MKNDTGTYIVELLVTDLRNCSWPASHTIDNADVVDSELFLPASLAGPRSWYNRIPGYSEQVKGPWKYTPI -RVIREECPGTTVTINAKCDKRGASVRSTTESGKVIPEWCCRACTMPPVTFRTGTDCWYAMEIRPVHDQGG -LVRSMVVADNGELLSEGGVPGIVALFVVLEYIIRRRPSTGTTVVWGGIVVLALLVTGMVRIESLVRYVVA -VGITFHLELGPEIVALMLLQAVFELRVGLLSAFALRRSLTVREMVTTYFLLLVLELGLPGASLEEFWKWG -DALAMGALIFRACTAEGKTGAGLLLMALMTQQDVVTVHHGLVCFLSVASACSVWRLLKGHREQKGLTWVV -PLAGLLGGEGSGIRLLAFWELSAHRGRRSFSEPLTVVGVMLTLASGMMRHTSQEALCALAVASFLLLMLV -LGTRKMQLVAEWSGCVEWYPELVNEGGEVSLRVRQDAMGNFHLTELEKEERMMAFWLIAGLAASAIHWSG -ILGVMGLWTLTEMLRSSRRSDLVFSGQGGRERGDRPFEVKDGVYRIFSPGLFWGQNQVGVGYGSKGVLHT -MWHVTRGAALSIDDAVAGPYWADVREDVVCYGGAWSLEEKWKGETVQVHAFPPGRAHEVHQCQPGELILD -TGRKLGAIPIDLVKGTSGSPILNAQGVVVGLYGNGLKTNETYVSSIAQGEAEKSRPNLPQAVVGTGWTSK -GQITVLDMHPGSGKTHRVLPELIRQCIDRRLRTLVLAPTRVVLKEMERALNGKRVRFHSPAVSDQQAGGA -IVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYTLAKENKCALVLMTATPPGKSEPF -PESNGAITSEERQIPDGEWRDGFDWITEYEGRTAWFVPSIAKGGAIARTLRQKGKSVICLNSKTFEKDYS -RVRDEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGKVELTGTRRVTTASAAQRRGRVGRQDGRT -DEYIYSGQCDDDDSGLVQWKEAQILLDNITTLRGPVATFYGPEQDKMPEVAGHFRLTEEKRKHFRHLLTH -CDFTPWLAWHVAANVSSVTDRSWTWEGPEANAVDEASGDLVTFRSPNGAERTLRPVWKDARMFKEGRDIK -EFVAYASGRRSFGDVLTGMSGVPELLRHRCVSALDVFYTLMHEEPGSRAMRMAERDAPEAFLTMVEMMVL -GLATLGVIWCFVVRTSISRMMLGTLVLLASLLLLWAGGVGYGNMAGVALIFYTLLTVLQPEAGKQRSSDD -NKLAYFLLTLCSLAGLVAANEMGFLEKTKADLSTALWSEREEPRPWSEWTNVDIQPARSWGTYVLVVSLF -TPYIIHQLQTKIQQLVNSAVASGAQAMRDLGGGAPFFGVAGHVMTLGVVSLIGATPTSLMVGVGLAALHL -AIVVSGLEAELTQRAHKVFFSAMVRNPMVDGDVINPFGEGEAKPALYERKMSLVLATVLCLMSVVMNRTV -ASITEASAVGLAAAGQLLRPEADTLWTMPVACGMSGVVRGSLWGFLPLGHRLWLRASGGRRGGSEGDTLG -DLWKRRLNNCTREEFFVYRRTGILETERDKARELLRRGETNVGLAVSRGTAKLAWLEERGYATLKGEVVD -LGCGRGGWSYYAASRPAVMSVRAYTIGGKGHEAPKMVTSLGWNLIKFRSGMDVFSMQPHRADTVMCDIGE -SSPDAAVEGERTRKVILLMEQWKNRNPTAACVFKVLAPYRPEVIEALHRFQLQWGGGLVRTPFSRNSTHE -MYYSTAVTGNIVNSVNVQSRKLLARFGDQRGPTKVPELDLGVGTRCVVLAEDKVKEQDVQERIRALREQY -SETWHMDEEHPYRTWQYWGSYRTAPTGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKDK -VDTKAQEPQPGTRVIMRAVNDWILERLAQKSKPRMCSREEFIAKVKSNAALGAWSDEQNRWASAREAVED -PAFWRLVDEERERHLMGRCAHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHWA -SRESSGAGVEGISLNYLGWHLKKLSTLNGGLFYADDTAGWDTKVTNADLEDEEQILRYMEGEHKQLATTI -MQKAYHAKVVKVARPSRDGGCIMDVITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIEAADAHNP -RLLRVERWLKEHGEERLGRMLVSGDDCVVRPLDDRFGKALYFLNDMAKTRKDIGEWEHSAGFSSWEEVPF -CSHHFHELVMKDGRTLVVPCRDQDELVGRARISPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLGLA -INSAVPADWVPTGRTTWSIHASGAWMTTEDMLDVWNRVWILDNPFMQNKERVMEWRDVPYLPKAQDMLCS -SLVGRRERAEWAKNIWGAVEKVRKMIGPEKFKDYLSCMDRHDLHWELRLESSII - ->sp|Q91B85.1|POLG_ALKV RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MAKGAVLKGKGGGPPRRVPKETAKKTRQGPGRLPNGLVLMRMMGVLWHMIAGTARSPILKRFWATVPVRQ -AIAALRKIRKTVGLLLDSLNRRRGKRRSTTGLLTSILLACLATLVISATIRRERTGDMVIRAEGKDAATQ -VEVVNGTCIILATDMGSWCDDSIMYECVTIDSGEEPVDVDCFCRGVERVSLEYGRCGKPVGGRSRRSVSI -PVHAHSDLTGRGHKWLRGDSVKTHLTRVEGWVWKNKLLTMAFCAVVWMVTDSLPTRFIVITVALCLAPTY -ATRCTHLQNRDFVSGIQGTTRVSLVLELGGCVTLTAEGKPSVDVWLDDIHQENPAKTREYCLHAKLASSK -VVARCPAMGPATLPEEHQASTVCRRDQSDRGWGNHCGLFGKGSIVACAKFACEAKKKATGYVYDVNKITY -VVKVEPHTGDYLAANESHSNRKTASFTTQSEKTILTLGDYGDISLTCRVTSGVDPAQTVVLELDKTAEHL -PKAWQVHRDWFEDLSLPWRHEGAHEWNHADRLVEFGEPHAVKMDIFNLGDQTGILLKSLAGVPVANIEGS -KYHLQSGHVTCDVGLEKLKMKGMTYTVCEGSKFAWKRPPTDSGHDTVVMEVTYTGSKPCRIPVRAVAHGE -PNVNVASLITPNPSMETTGGGFVELQLPPGDNIIYVGELSHQWFQKGSTIGRVLEKTRRGIERLTVVGEH -AWDFGSVGGVLSSVGKALHTAFGAAFNTIFGGVGFLPRILLGVALAWLGLNSRNPTLSVGFLITGGLVLT -MTLGVGADMGCAIDANRMELRCGEGLVVWREVTDWYDGYAFHPESPPVLAASLKEAYEEGVCGIVPQNRL -EMAMWRRVEAVLNLALAESDANLTVVVDRRDPSDYRGGKVGILKRSGKEMKTSWKGWSQSFVWSVPESPR -RFMVGIEGTGECPLDKRRTGVFTVAEFGMGMRTKIFLDLRETSSSDCDTGVMGAAVKSGHAVHTDQSLWM -KSHRNATGVFISELIVTDLRNCTWPASHTLDNAGVVDSKLFLPVSLAGPRSHYNHIPGYAEQVRGPWNQT -PLRVVREPCPGTTVKIDQNCDKRGSSLRSTTESGKAIPEWCCRTCELPPVTFRSGTDCWYAMEIRPVHQQ -GGLVRSMVLADNGAMLSEGGVPGIVAVFVVLELVIRRRPTTGTSVVWCGVVVLGLVVTGLVTIEGLCRYV -VAVGILMSMELGPEIVALVLLQAVFDMRTGLLVAFAVKRAYTTREAVVTYFLLLVLELGFPEASLSNIWK -WADSLAMGTLILQACSQEGRARVGYLLAAMMTQKDMAIIHTGLTIFLSAATAMAVWSMIKGQRDQKGLSW -ATPLVGLFGGEGVGLRLLAFRRLAERRNRRSFSEPLTVVGVMLTVASGMVRHTSQEALCALVAGAFLLLM -MVLGTRKMQLIAEWCGEVEWNPDLVNEGGEVNLKVRQDAMGNLHLTEVEKEERAMALWLLAGLVASAFHW -AGILIVLAIWTFFEMLSSGRRSELVFSGQGTRTERNRPFEIKDGAYRIYSPGLLWGHRQIGVGYGAKGVL -HTMWHVTRGAALVVEEAISGPYWADVREDVVCYGGAWSLESRWRGETVQVHAFPPGRPQETHQCQPGELI -LENGRKLGAVPIDLSKGTSGSPIINAQGEVVGLYGNGLKTNEAYVSSIAQGEAEKSRPELPLSVQGTGWM -SKGQITVLDMHPGSGKTHRVLPELVRQCANRGMRTLVLAPTRVVLKEMEKALAGKKVRFHSPAVEGQSTA -GAVVDVMCHATYVHRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYSLAKENRCALVLMTATPPGRGD -PFPESNGAIMSEERAIPDGEWREGFDWITEYEGRTAWFVPSISKGGAIARTLRQRGKSVICLNSKTFEKD -YLRVREEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGKVEMTGTRKITTASAAQRRGRVGRTSG -RTDEYIYSGQCDDDDTSLVQWKEAQILLDNITTLRGPVATFYGPEQMKMPEVAGHYRLNEEKRKHFRHLM -TQCDFTPWLAWHVATNTSNVLDRSWTWQGPEGNAIDGADGDLVRFKTPGGSERVLQPVWKDCRMFREGRD -VKDFILYASGRRSVGDVLGGLAGVPGLLRHRCASALDVVYTLLNENPGSRAMRMAERDAPEAFLTIVEVA -VLGVATLGILWCFVARTSVSRMFLGTVVLFAALLLLWIGGVDYGYMAGIALIFYIFLTVLQPEPGKQRSS -DDNRLAYFLLGLLSLAGLVTANEMGMLDKTKADLAGLMWHGEQRHPAWEEWTNVDIQPARSWGTYVLIVS -LFTPYMLHQLQTKIQQLVNSSVASGAQAMRDLGGGTPFFGVAGHVIALGVTSLVGATPLSLGLGVALAAF -HLAIVASGLEAELTQRAHRVFFSAMVKNPMVDGDVINPFPDGEPKPVLYERRMSLILAIALCMVSVVLNR -TAASMTEAGAVGLAALGQLVHPETETLWTMPMACGMAGLVRGSFWGLLPMGHRLWLKTTGTRRGGADGET -LGDIWKRRLNGCSREEFFQYRRSGVMETERDRARELLKRGETNMGLAVSRGTAKLAWLEERGYATLKGEV -VDLGCGRGGWSYYAASRPAVMGVKAYTIGGKGHEVPRLITSLGWNLIKFRTGMDVYSLEAHRADTILCDI -GESNPDPLVEGERSRRVILLMEKWKLRNPDASCVFKVLAPYRPEVLEALHRFQLQWGGGLVRVPFSRNST -HEMYFSTAVSGNIVNSVNIQSRKLLARFGDQRGPAKVPEVDLGTGTRCVVLAEDKVREADVAERITALKT -QYGDSWHVDKEHPYRTWQYWGSYKTEATGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFK -EKVDTKAQEPQVGTKIIMRAVNDWILERLAGKKTPRLCTREEFIAKVRSNAALGAWSDEQNRWSNAREAV -EDPEFWRLVDEERERHLRGRCAQCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRYLEFEALGFLNEDH -WASRDLSGAGVEGISLNYLGWHLKRLSELEGGLFYADDTAGWDTRITNADLEDEEQILRYLRGEHRTLAK -TILEKAYHAKVVKVARPSSSGGCVMDIITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIGPSDSQ -DPRLLRVEAWLKEYGEERLTRMLVSGDDCVVRPIDDRFGKALYFLNDMAKVRKDIGEWEPSEGYSSWEEV -PFCSHHFHELTMKDGRVIIVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLG -LAICSAVPIDWVPQGRTTWSIHASGAWMTTEDMLEVWNRVWILDNPFMSDKGKVKEWRDIPYLPKSQDGL -CSSLVGRRERAEWAKNIWGSVEKVRRMIGPERYADYLSCMDRHELHWDLKLESNII - ->sp|Q01299.1|POLG_TBEVH RecName: Full=Genome polyprotein; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MVKKAILKGKGGGPPRRVSKETATKTRQPRVQMPNGLVLMRMMGILWHAVAGTARNPVLKAFWNSVPLKQ -ATAALRKIKRTVSALMVGLQKRGKRRSATDWMSWLLVITLLGMTIAATVRKERDGSTVIRAEGKDAATQV -RVENGTCVILATDMGSWCDDSLSYECVTIDQGEEPVDVDCFCRNVDGVYLEYGRCGKQEGSRTRRSVLIP -SHAQGELTGRGHKWLEGDSLRTHLTRVEGWVWKNRLLALAMVTVVWLTLESVVTRVAVLVVLLCLAPVYA -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDAIYQENPAQTREYCLHAKLSDTKV -AARCPTMGPATLAEEHQGGTVCKRDQSDRGWGNHCGLFGKGSIVACVKAACEAKKKATGHVYDANKIVYT -VKVEPHTGDYVAANETHSGRKTASFTVSSEKTILTMGEYGDVSLLCRVASGVDLAQTVILELDKTVEHLP -TAWQVHRDWFNDLALPWKHEGARNWNNAERLVEFGAPHAVKMDVYNLGDQTGVLLKALAGVPVAHIEGTK -YHLKSGHVTCEVGLEKLKMKGLTYTMCDKTKFTWKRAPTDSGHDTVVMEVTFSGTKPCRIPVRAVAHGSP -DVNVAMLITPNPTIENNGGGFIEMQLPPGDNIIYVGELSYQWFQKGSSIGRVFQKTKKGIERLTVIGEHA -WDFGSAGGFLSSIGKALHTVLGGAFNSIFGGVGFLPKLLLGVALAWLGLNMRNPTMSMSFLLAGVLVLAM -TLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYAYYPETPGALASAIKETFEEGSCGVVPQNRLE -MAMWRSSVTELNLALAEGEANLTVMVDKFDPTDYRGGVPGLLKKGKDIKVSWKSWGHSMIWSIPEAPRRF -MVGTEGQSECPLERRKTGVFTVAEFGVGLRTKVFLDFRQEPTHECDTGVMGAAVKNGMAIHTDQSLWMRS -MKNDTGTYIVELLVTDLRNCSWPASHTIDNADVVDSELFLPASLAGPRSWYNRIPGYSEQVKGPWKHTPI -RVIREECPGTTVTINAKCDKRGASVRSTTESGKVIPEWCCRACTMPPVTFRTGTDCWYAMEIRPVHDQGG -LVRSMVVADNGELLSEGGVPGIVALFVVLEYIIRRRPSTGSTVVWGGIVVLALLVTGMVRMESLVRYVVA -VGITFHLELGPEIVALMLLQAVFELRVGLLSAFALRRSLTVREMVTTYFLLLVLELGLPSANLEDFWKWG -DALAMGALIFRACTAEGKTGAGLLLMALMTQQDVVTVHHGLVCFLSAASACSIWRLLRGHREQKGLTWIV -PLARLLGGEGSGIRLLAFWELSAHRGRRSFSEPLTVVGVMLTLASGMMRHTSQEALCALAVASFLLLMLV -LGTRKMQLVAEWSGCVEWHPELVNEGGEVSLRVRQDAMGNFHLTELEKEERMMAFWLIAGLAASAIHWSG -IIGVMGLWTLTKMLRSSRRSDLVFSGQGGRERGDRPFEVKDGVYRIFSPGLFWGQNQVGVGYGSKGVLHT -MWHVTRGAALSIDDAVAGPYWADVREDVVCYGGAWSLEEKWKGETVQVHAFPPGKAHEVHQCQPGELILD -TGRKLGAIPIDLVKGTSGSPILNAQGVVVGLYGNGLKTNETYVSSIAQGEAEKSRPNLPQAVVGTGWTSK -GQITVLDMHPGSGKTHRVLPELIRQCIDRRLRTLVLAPTRVVLKEMERALNGKRVRFHSPAVSDQQAGGA -IVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYTLAKENKCALVLMTATPPGKSEPF -PESNGAITSEERQIPNGEWRDGFDWITEYEGRTAWFVPSIAKGGAIARTLRQKGKSVICLNSKTFEKDYS -RVRDEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGKVELTGTRRVTTASAAQRRGRVGRQDGRT -DEYIYSGQCDDDDSGLVQWKEAQILLDNITTLRGPVATFYGPEQDKMPEVAGHFRLTEEKRKHFRHLLTH -CDFTPWLAWHVAANVSSVTDRSWTWEGPEANAVDEASGGLVTFRSPNGAERTLRPVWKDARMFKEGRDIK -EFVAYASGRRSFGDVLTGMSGVPELLRHRCVSALDVFYTLMHEKPDSRAMRMAERDAPEAFLTMVEMMVL -GLATLGVIWCFVVRTSISRMMLGTLVLLASLLLLWAGGVGYGNMAGVALIFYTLLTVLQPEAGKQRSSDD -NKLAYFLLTLCSLAGLVAANEMGFLEKTKADLSTVLWSEREEPRPWSEWTNVDIQPARSWGTYVLVVSLF -TPYIIHQLQTKIQQLVNSAVASGAQAMRDLGGGAPFFGVAGHVMTLGVVSLIGATPTSLMVGVGLAALHL -AIVVSGLEAELTQRAHKVFFSAMVRNPMVDGDVINPFGEGEAKPALYERRMSLVLAIVLCLMSVVMNRTV -ASITEASAVGLAAAGQLLRPEADTLWTMPVACGMSGVVRGSLWGFLPLGHRLWLRASGGRRGGSEGDTLG -DLWKRRLNNCTREEFFVYRRTGILETERDKARELLRRGETNMGLAVSRGTAKLAWLEERGYATLKGEVVD -LGCGRGGWSYYAASRPAVMSVRAYTIGGRGHEAPKMVTSLGWNLIKFRSGMDVFSMQPHRADTVMCDIGE -SSPDAAVEGERTRKVILLMEQWKNRNPTAACVFKVLAPYRPEVIEALHRFQLQWGGGLVRTPFSRNSTHE -MYYSTAVTGNIVNSVNVQSRKLLARFGDQRGPTRVPELDLGVGTRCVVLAEDKVKEQDVQERIKALREQY -SETWHMDEEHPYRTWQYWGSYRTAPTGSAASLINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKDK -VDTKAQEPQPGTRVIMRAVNDWILERLAQKSKPRMCSREEFIAKVKSNAALGAWSDEQNRWASAREAVED -PAFWHLVDEERERHLMGRCAHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHWA -SRESSGAGVEGISLNYLGWHLKKLSTLNGGLFYADDTAGWDTKVTNADLEDEEQILRYMEGEHKQLATTI -MQKAYHAKVVKVARPSRDGGCIMDVITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIEAADAHNP -RLLRVERWLKEHGEERLGRMLVSGDDCVVRPLDDRFGKALYFLNDMAKTRKDIGEWEHSAGLSSWEEVPF -CSHHFHELVMKDGRTLVVPCRDQDELVGRARISPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLGLA -INSAVPVDWVPTGRTTWSIHASGAWMTTEDMLDVWNRVWILDNPFMQNKGKVMEWRDVPYLPKAQDMLCS -SLVGRKERAEWAKNIWGAVEKVRKMIGPEKFKDYLSCMDRHDLHWELRLESSII - ->sp|P07720.3|POLG_TBEVS RecName: Full=Genome polyprotein; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MAGKAILKGKGGGPPRRVSKETAKKTRQSRVQMPNGLVLMRMMGILWHAVAGTARSPVLKSFWKSVPLKQ -ATAALRKIKKAVSTLMVGLQRRGKRRSAVDWTGWLLVVVLLGVTLAATVRKERDGTTVIRAEGKDAATQV -RVENGTCVILATDMGSWCDDSLTYECVTIDQGEEPVDVDCSCRNVDGVYLEYGRCGKQEGSRTRRSVLIP -SHAQGDLTGRGHKWLEGDSLRTHLTRVEGWVWKNKVLTLAVIAVVWLTVESVVTRVAVVVVLLCLAPVYA -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDSIYQENPAKTREYCLHAKLSDTKV -AARCPTMGPATLAEEHQSGTVCKRDQSDRGWGNHCGLFGKGSIVTCVKASCEAKKKATGHVYDANKIVYT -VKVEPHTGDYVAANETHSGRKTASFTVSSERTILTMGDYGDVSLLCRVASGVDLAQTVILELDKTSEHLP -TAWQVHRDWFNDLALPWKHEGAQNWNNAERLVEFGAPHAVKMDVYNLGDQTGVLLKSLAGVPVAHIDGTK -YHLKSGHVTCEVGLEKLKMKGLTYTMCDKTKFTWKRIPTDSGHDTVVMEVAFSGTKPCRIPVRAVAHGSP -DVNVAMLMTPNPTIENNGGGFIEMQLPPGDNIIYVGELSHQWFQKGSSIGRVFQKTRKGIERLTVIGEHA -WDFGSTGGFLTSVGKALHTVLGGAFNSLFGGVGFLPKILVGVVLAWLGLNMRNPTMSMSFLLAGGLVLAM -TLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYAYYPETLGALASAIKETFEEGTCGIVPQNRLE -MAMWRSSATELNLALVEGDANLTVVVDKLDPTDYRGGIPSLLKKGKDIKVSWKSWGHSMIWSVPEAPRLF -MVGTEGSSECPLERRKTGVFTVAEFGVGLRTKVFLDFRQESTHECDTGVMGAAVKNGMAVHTDQSLWMKS -VRNDTGTYIVELLVTDLRNCSWPASHTIDNAEVVDSELFLPASLAGPRSWYNRIPGYSEQVKGPWKYSPI -RVTREECPGTRVTINADCDKRGASVRSTTESGKVIPEWCCRTCTLPPVTFRTGTDCWYAMEIRPVHDQGG -LVRSMVVADNGELLSEGGIPGIVALFVVLEYVIRRRPATGTTAMWGGIVVLALLVTGLVKIESLVRYVVA -VGITFHLELGPEIVALTLLQAVFELRVGLLSAFALRSNLTVREMVTIYFLLLVLELGLPSEGLGALWKWG -DALAMGALIFRACTAEEKTGVGLLLMALMTQQDLATVHYGLMLFLGVASCCSIWKLIRGHREQKGLTWIV -PLAGLLGGEGSGVRLVAFWELTVHGRRRSFSEPLTVVGVMLTLASGMIRHTSQEALCALAVASFLLLMLV -LGTRKMQLVAEWSGCVEWHPELMNEGGEVSLRVRQDSMGNFHLTELEKEERVMAFWLLAGLAASAFHWSG -ILGVMGLWTLSEMLRTARRSGLVFSGQGGRERGDRPFEVKDGVYRIFSPGLLWGQRQVGVGYGSKGVLHT -MWHVTRGAALSIDDAVAGPYWADVKEDVVCYGGAWSLEEKWKGETVQVHAFPPGRAHEVHQCQPGELLLD -TGRRIGAVPIDLAKGTSGSPILNSQGVVVGLYGNGLKTNETYVSSIAQGEAEKSRPNLPPAVTGTGWTAK -GQITVLDMHPGSGKTHRVLPELIRQCIDRRLRTLVLAPTRVVLKEMERALNGKRVRFHSPAVGDQQVGGS -IVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYTLAKENKCALVLMTATPPGKSEPF -PESNGAISSEEKQIPDGEWRDGFDWITEYEGRTAWFVPSSAKGGIIARTLIQKGKSVICLNSKTFEKDYS -RVRDEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPEEVDGRVELTGTRRVTTASAAQRRGRVGRQEGRT -DEYIYSGQCDDDDSGLVQWKEAQILLDNITTLRGPVATFYGPEQDKMPEVAGHFRLTEEKRKHFRHLLTH -CDFTPWLAWHVAANVSSVTSRNWTWEGPEENTVDEANGDLVTFRSPNGAERTLRPVWRDARMFREGRDIR -EFVAYASGRRSFGDVLSGMSGVPELLRHRCVSAMDVFYTLMHEEPGSRAMKMAERDAPEAFLTVVEMMVL -GLATLGVVWCFVVRTSISRMMLGTLVLLASLALLWAGGVSYGNMAGVALIFYTLLTVLQPEAGKQRSSDD -NKLAYFLLTLCSLAGLVAANEMGFLEKTKADLSTVLWSEHEELRSWEEWTNIDIQPARSWGTYVLVVSLF -TPYIIHQLQTKIQQLVNSAVATGAQAMRDLGGGAPFFGVAGHVMALGVVSLVGATPTSLVVGVGLAAFHL -AIVVSGLEAELTQRAHKVFFSAMVRNPMVDGDVINPFGEGEAKPALYERKMSLVLAIVLCLMSVVMNRTV -PSTPRLLLWDWRQRDNCSNQRRTPFGRCQACGLSGVVRGSLWGFCPLGHRLWLRASGSRRGGSEGDTLGD -LWKRKLNGCTKEEFFAYRRTGILETERDKARELLKRGETNMGLAVSRGTAKLAWLEERGYATLKGEVVDL -GCGRGGWSYYAASRPAVMSVKACAIAGKGHETPKMVTSLGWNLIKFRAGMDVFSMQPHRADTIMCDIGES -NPDAVVEGERTRKVILLMEQWKNRNPTATCVFKALAPYRPEVTEALHRFQLQWGGGLVRTPFSRNSTHEM -YYSTAITGNIVNSVNIQSRKLLARFGDQRGPTRVPELDLGVGTRCVVLAEDKVKEKDVQERISALREQYG -ETWHMDREHPYRTWQYWAATACANRVGGALINGVVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKEKVD -TKAQEPQPGTKVIMRAVNDWILERLARKSKPRMCSREEFIAKVKSNAALGAWSDEQNRWSSAKEAVEDPA -FWQLVDEERERHLAGRCAHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHWASR -GSSGSGVEGISLNYLGWHLKGLSTLEGGLFYADDTAGWDTKVTNADLEDEEQLLRYMEGEHKQLAATIMQ -KAYHAKVVKVARPSRDGGCIMDVITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIEASDAHNPRL -LRVERWLRDHGEERLGRMLVSGDDCVVRPVDDRFSGALYFLNDMAKTRKDIGEWDHSVGFSNWEEVPFCS -HHFHELVMKDGRTLIVPCRDQDELVGRARVSPGCGRSVRETACLSKAYGQMWLLSYFHRRDLRTLGLAIC -SAVPVDWVPAGRTTWSIHASGAWMTTEDMLDVWNRVWILDNPFMHSKEKIAEWRDVPYLPKSHDMLCSSL -VGRKERAEWAKNIWGAVEKVRKMIGQEKFKDYLSCMDRHDLHWESKLESSII - ->sp|Q02478.1|POLG_LIVN1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSVDVWLDAIYQESPAKTREYCLHAKLSETKV -AARCPTMGPAVLTEEHQIGTVCKRDQSDRGWGNHCGLFGKGSIVACVKAACEAKKKATGYVYDANKIVYT -VKVEPHTGDYVAANETHKGRKTTTFTVSSEKTILTLGEYGDVSLLCRVASGVNLAQTIILELDKTAEHLP -TAWQVHRDWFNDLALPWKHDGNPHWNNAERLVEFGVPHAVKMDVYNLGDQTGVLLKALAGVPVAHIEGNK -YHLKSGHVTCEVGLENLKMKGLTYTMCDKSKFTWKRTPTDSGHDTVVMEVTFSGSKPCRIPVRAVAHGSP -DVNVAMLITPNPTIENDGGGFIEMQLPPGDNIIYVGELSHQWFQTGSSIGRVFQTTRKGIERLTVIGEHA -WDFGSAGGFFSSIGKAVHTVLGGAFNSIFGGVGFLPKLLMGVALAWLGLNTRNPTMSMSFLLAGGLVLAM -TLGVGA - ->sp|P35764.1|POLG_LIV31 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -SRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSVDVWLDAIYQESPAKTREYCLHAKLSETKV -AARCPTMGPAALAEERQIGTVCKRDQSDRGWGNHCGLFGKGSIVACVKAACEAKKKATGYVYDANKIVYT -VKVEPHTGDYVAANETHKGRKTATFTVSSEKTILTLGEYGDVSLLCRVASGVDLAQTIILELDKTAEHLP -TAWQVHRDWFNDLALPWKHEGNPHWNNVERLVEFGAPHAVKMDVYNLGDQTGVLLKALAGVPVAHIEGNK -YHLKSGHVTCEVGLEKLKMKGLTYTMCDKSKFAWKRTPTDSGHDTVVMEVTFSGSKPCRIPVRAVAHGSP -DVNVAMLITPNPTIENDGGGFIEMQLPPGDNIIYVGELSHQWFQTGSSIGRVFQTTRKGIERLTVIGEHA -WDFGSAGGFFSSIGKAVHTVLGGAFNSIFGGVGFLPKLLMGVALAWLGLNTRNPTMSMSFLLTGGLVLAM -TLGVGA - ->AAL32166.1 polyprotein, partial [Powassan virus] -GGAEGSTLGDIWKQRLNSCTKEEFFAYRRTGVMETNRDQARELLRRGETNMGLAVSRGCAKLAWLEERGY -ATLKGEVVDLGCGRGGWSYYAASRPSVMAVKAYTIGGKGHEAPRLVTSLGWNLIKFRSGMDVFSMPATRV -DTILCDIGESNPDPEKEGTRSRRVILWMEQRKARNPDAAAVFKVLAPYRPEVLEALHRFQLQWGGGLVRV -PFSRNSTHEMYYSTAVTGNLVNSVNVLSRKLLARFGETRGPIQVPEIDLGTGTRCVTLAEDKVKPSDVME -RIGALKEQYSESWHEDKEHPYRTWQYWGSYRTPATGSAASLINGVVKLLSWPWNAREDVTRMAMTDTTAF -GQQRVFKEKVDTKAQEPQPGTRVIMRAVSDWLLEHLHSRARVRMCTRDEFIAKVRSNAALGAWLDEQNKW -SSAKEAVEDPEFWNLVDEERSRHLKGQCRHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEVL -GFLNEEHWASRVVSGAGVEGTSLNYLGWLLRELGAKDGGRLYADDTAGWDTRITNADLEDEEQILRYMEG -EHHVLAKTILEKAYHAKVVKVARPSPQGGCVMDVITRRDQRGSGQVVTYALNTITNMKVQLIRMMEGEGV -IGPADSQDPRLKRVEAWLREHGAERLGRMLVSGDDCVVKPIDDRFGKGLYFLNDMAKTRKDVGEWEPSVG -FTAWEEVPSAHTIFHELVMKDGRSLIVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLNYFHR -RDLRTLGLAICSAVPVNWVPMGRTTWSIHASGEWMTTEDMLRIWNKVWILDNPHMENKQMVDEWRDIPYL -PKTQDLVCSSLVGRKERAEWAKNIWGSVEKVRKLIGAEEYRDYLANMDRHDLHWELKLESSII ->AJA91181.1 polyprotein [Batu Cave virus] -MVTQPRKRGNLKKQIVRKSGRKKRDGGPKEGPLSMRAVLGVMHYASHIALGMKIKGPLKAFWKKTPVSAL -SKVILKLMNILRSLLTSLLKRKKQRSCGGSAFLLWFCVGLGLGSVVRNHGGWIISPSKTEVGQTLKVGNG -SCIFASLDIGSPCERTISYECVTLSEKEEPFDVDCYCYGVERVTVTYPLCKVGTNRHRRSLDIAEHPPHV -YLGSGSLWGGVESINDHFEKVEELLVVHWVKVAVVTVVAVYLFGLSWKIMVLLLLLLLVTPAFGTQCVSV -EKRDIMRGNSDVTWLSILLDKGRCVTVAIEGKPTIDIWLEQVTQQSPTASREYCTQVTTTDLKVAARCPT -QGEATLTEEMEEGYVCKRTLSDRGWGNGCGLFGKGSIIGCVKTSCSAENVIKSYTYDSAKISYTVGVEPH -LGGVLGKNDTQRVTRATFTAESEKKLVKLGDSGNLEFNCRVISNTDLSNIRLLECDTHYYNVHIDWLNDL -PLPWRLPDGNWRGLERLMAFDEPHAVRMSVKSFTNQKGIVQKALLRAEEIKKSSDQYQLTGGHIECRIST -VGLKLKGSTYGYCEKKFEWSKKPTSTPHDTVVLEVKYKGTVQPCRVTVSAEKDGSPGKNFGNLITANPFV -TKTDDILFLELVVPTGSYVIKVGNLEYNWKQAGSSIGKAIHMAKRTLEKTLITSSAYWNGNEEFTMNSLW -KILRIPIDWLFGGFGFMAKIVIGALLLWFAGNIRNGSLSIALGVVGALLLCFTLGVVGDVGCAIDTSRKE -LKCGDGVMIWNEVNNWMDKYKFYPEDPQTFLETLLSVQKQHCGHMPSNHLELKMWKSFEREINLYLADHE -HGWKVKVGESSGFYEKGGILGWAKKQGRDGITWSEWLRSLPPVEWVDRTAFGNSTFFVGAVGLEECPVNN -RTWNVFRIAEFGVGLTHTRVFLDIMSERRVECDVGLLGAAARDNRIVHSSIWMWMDSFEVNGTLQLQSID -LLYAVDCYWPATHTVSSRSVNHDKMILPRYMGGPPSTMNEIIGYSVQDKGPWRKAPLRMERGYCEGTNVE -VKETCSDKGPSTRTTTESGTVIRDWCCKHCKMPPLKYTTEDGCWYGMEIQPVSEIPGKVVAGDTHEIFAS -SWGVFSILILYYLGILTKISRGTWELWLIPVISILTFLGVISLNDVIRYMVALGTTYVWRFPEPMLWIIA -MESTFVLRPGLILGVYLARSWRFEKVLGMVAILHAIQWTTLEYRSFWQLLDSFAFLIYGLSLAESGFQSH -LFSLLSLSLGWIEQRVIQQAVILAGVSLLFVNSKKFYESDWSQKTKLTILAGLKGCLAPLFPLVVVFFLG -KVFERRGVDVTAILGIVMGIGAMVCKNGLESSPWMIGVMAVFLLFFVLQMNTGGMIAEWSGHHDWKDDCA -KSVGSISLEVRRMPDGRLINLSKEKEGLMEMIIVGVGMVITGFHWVGIPLTVLSIGMKKWFDQRQRSLLI -MGLGETTTEPAPVMDGVYRIMVSSLFGRKQVGVGVFKHGSFHTMWHVTRGCVLTISGRKMYPEWANVKED -LISYNGGWKLHDKWTGGEVQVHAHTPDGNIHKTQLLPGKMKLETGEDLGLIPLDFPPGSSGSPIINTSGQ -VVGLYGNGVLHGDIYCSSIAQTEEKEKIEVPKAIEGDGWLSKGRLTIIDAHPGSGKTHKILPDLVKRAAQ -RRMRTLVLAPTRIVIKEMERALKGLDVSYHSSAVSTKTPGSIVDVMCHATFVNRKLIHLPQKNYELVIMD -EAHWTDPNSIAARGYITSQCELGKCAVVLMTATPPGVDDPWAKSNEKIEDEQKVIPDGPWKQGFEWITDF -NGRTAWFVPSQNAASGIAGTLRSLGKKVIVLTSKTFHDNYPKIKDEKPDFIMTTDISEMGANLDVERVID -PRTTLKPVEKGNVVEISGEMQITPASAAQRRGRVGRTPGKVAQYIYQGEVDIDDSELVCWKEAQMLLDNM -DSKNRSVSNFYSPEQEKMTETPGFYRLTEEKRKVFRHLLTNCEFTPWLAWNVASNTKGAEDRKWVSAGPK -NHVVEDENGDPIEYTTPGGKTNKLAPVWLDKRMFREVRDLRSFVDYASMRKTSGLLSIPRLFYSKVHESL -DVLYMYFSAKADSRSFKMAERQLPEAMLCIFQAVIMVAGFLLILMWLMSRTKVDRMLMGTIVIIGSSVMA -WIGNVPLAVVACCGMVSFILLICLIPEEGMQRTQIDSTLSLVIFGVVFLVIAVVANEMRLLENTKKDIMG -LFGNSDRPSLATSYSEWLNWDIKPLSVWATYVVIVTTLRPQVLHNLKMLSQRVVTGTVAGKTDLLNLLPL -GSSWLHFGMGDFTLLAGVIRNISAMNVLGGIILGVLHWGWFLPLHAAAESSKAHKIVTQSLAKNTMVDGE -TIYQLEEMNGDTENSEKTFSLFVALGLGMVNCALNRYPWSFLECFMIVMVVARHFYDMRTQTFWTMPVVS -GMSSIMRGDLCGLFPIIFRMWLAIKSERRGLITSHLTLGEEWKRELNKLTQREFLAYRKRGILEVDRAEA -ILQLSRGKTNTGHAVSRGTSKLAWMHERGMVPLTGHVVDLGCGRGGWSYYSAAQRGVKKVNAYTLGTGSH -EKPKIVESFGWNLITFKSKCDVEKLPPFFCDTVLCDIGESSPSAAFEGKRTLRVLNMFEQWLKCCPNANF -CCKVLCPYVPEVLETISRMQQRYGGGLVRVPHSRNSTHEMYYVSGVSTNVVGSVNAVSRKLINRFSSTGG -ERVVPDINLSVGTRSNLTNLVKVDPTKVAQRVDRVKRENSSTWHYDEEHPYRTWEYHGSYHIRDVGTKSS -AVNHVVKMLSWPWLTIESVISMSMTDTTAFGQQRVFKEKVDTKAPEPPTSVRKVMRLTFTWLLSLIKKRG -GVVRMCSREEFINKVETHASIGAWSAEMEGWEDARQAVQDPRFWNLVDKERNLHLQGKCETCIYNLMGKR -EKKPGDFGVAKGSRTIWYMWLGARFLEFESFGFLNEEHWASRELSGGGVEGIPLFYLGYEMEKIARKGGP -LYADDTAGWDTRITESDLNDEMEICSLMEGEHKKLATVLFEMAYKNKVALCPRPGKRGGTVLDVITRRDQ -RGSGQVVTYALNTLTNLKVQLIRMAESEGVLTQDFEDLGMENWLKRYGEDRLERMLVSGDDCVVCSLDER -FGNALTWLNVMEKTRKDVDLWAPSKHYDNWERVEFCSNHFHKLYMKDGRSLIAPCRSQNELIGRALVNQG -GSTGIQGTACLAKAYAQMWNMLYFHRRDLRILGFGIMSSVPSNWVPTGRTTWSVHATKDWMTTEDLLSVW -NRIWIEDNPHMEDKRNINDWKNIPYLPRGQDISCGSLVGTGKRAQWAELIPGATLKVRNLMGNERFINYL -SEIGRYSEEEKAFALY ->AKC88489.1 polyprotein [Tick-borne encephalitis virus] -MAGKAILKGKGGGPPRRVSKETAKKTRQSRVQMPNGLVLMRMMGILWHAVAGTARRSPVLKSFWKSVPLK -QATAALRKIKMAVSTLMVGLQRRGKRRSAADWTGWLLVVALLGAALAATVRKERDGTTVIRAEGKDAATQ -VRAENGTCVILATDMGSWCDDSLTYECVTIDQGEEPVDVDCFCRNVDGVYLEYVRCGKQEGSRTRRSVLI -PTHAQGDLTGRGHKWLEGDSLRTHLTRVEGWVWKNKILTLAVIAVVWLTVESVVTRVAVVVVLLCLAPVY -ASRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDSIYQENPAKTREYCLHAKLSDTK -VAARCPTMGPATLAEEHQSGTVCKRDQSDRGWGNHCGLFGKGSIVTCVKASCEAKKKATGHVYDANKIVY -TVKVEPHTGDYVAANETHSGRKTASFTVSSEKTILTMGDYGDVSLLCRVASGVDLAQTVILELDKTSEHL -PTAWQVHRDWFNDLALPWKHEGAQNWNNAERLVEFGAPHAVKMDVYNLGDQTGVLLKSLAGVPVAHIDGT -KYHLKSGHVTCEVGLEKLKMKGLTYTMCDKTKFTWKRIPTDSGHDTVVMEVAFSGTKPCRIPVRAVAHGS -PDVNVAMLITPNPTIENNGGGFIEMQLPPGDNIIYVGELSHQWFQKGSSIGRVFQKTRKGIERLTVIGEH -AWDFGSTGGFLTSVGKALHTVLGGAFNSLFGGVGFLPKILVGVVLAWLGLNMRNPTMSMSFLLAGGLVLA -MTLGVGADVGCAVDTERMELRCGEGLVVWREVSEWYDNYAYYPETPGALASAIKETFEEGTCGIVPQNRL -EMAMWRSSATELNLALAEGDANLTVVVDKLDPTDYRGGIPGLLKKGKDIKVSWKSWGHSMIWSVPEAPRR -FMVGTEGSSECPLERRKTGVFTVAEFGVGLRTKVFLDFRQESTHECDTGVMGAAVKNGMAVHTDQSLWMK -SVRNDTGTYIVELLVTDLRNCSWPASHTIDNAEVVDSELFLPASLAGPRSWYNRIPGYSEQVKGPWKYSP -IRVTREECPGTRVTINADCDKRGASVRSTTESGKVIPEWCCRTCTLPPVTFRTGTDCWYAMEIRPVHDQG -GLVRSMVVADNGELLSEGGIPGIVALFVVLEYVIRRRPATGTTAMWGGIVVLALLVTGLVKVESLVRYVV -AVGITFHLELGPEIVALTLLQAVFELRVGLLSAFALRSNLTVREMVTIYFLLLVLELGLPSEGLGALWKW -GDALAMGALIFRACTAEEKTGVGLLLMALMTQQDLAIAHYGLMLFLGVASCCSIWKLIRGHREQKGLTWI -VPLAGLLGGEGSGVRLLAFWELAIHGRRRSFSEPLTVVGVMLTLASGMMRHTSQEALCALAVASFLLLML -VLGTRKMQLVAEWSGCVEWHPELMNEGGEVSLRVRQDSMGNFHLTELEKEERVMAFWLLAGLAASAFHWS -GILGVMGLWTLSEMLRTARRSDLVFSGQGGRERGDRPFEVKDGVYRIFSPGLLWGQRQVGVGYGSKGVLH -TMWHVTRGAALSIDDAVAGPYWADVKEDVVCYGGAWSLEEKWKGETVQVHAFPPGRAHEVHQCQPGELLL -DTGRRIGAVPIDLAKGTSGSPILNSQGVVVGLYGNGLKTNETYVSSIAQGEAEKSRPNLPPAVTGTGWTA -KGQITVLDMHPGSGKTHRVLPELIRQCIDRRLRTLVLAPTRVVLKEMERALNGKRVRFHSPAVGDQQVGG -AIVDVMCHATYVNRRLLPQGRQNWEVAIMDEAHWTDPHSIAARGHLYTLAKENKCALVLMTATPPGKSEP -FPESNGAISSEEKQIPDGEWRDGFDWITEYEGRTAWFVPSIAKGGIIARTLRQKGKSVICLNSKTFEKDY -SRVRDEKPDFVVTTDISEMGANLDVSRVIDGRTNIKPQEVDGRVELTGTRRVTTASAAQRRGRVGRQEGR -TDEYIYSGQCDDDDSGLVQWKEAQILLDNITTLRGPVATFYGPEQDKMPEVAGHFRLTEEKRKHFRHLLT -HCDFTPWLAWHVAANVSSVTSRNWTWEGPEENTVDEANGDLVTFRSPNGAERTLRPVWRDARMFREGRDI -REFVAYASGRRSLGDVLSGMSGVPELLRHRCVSAMDVFYTLMHEEPGSRAMKMAERDAPEAFLTVVEMMV -LGLATLGVVWCFVVRTSISRMMLGTLVLLASLALLWAGGVSYGNMAGVALIFYTLLTVLQPEAGKQRSSD -DNKLAYFLLTLCSLAGLVAANEMGFLEKTKADLSTVLWSEHEEVRSWEEWTNIDIQPARSWGTYVLVVSL -FTPYIIHQLQTKIQQLVNSAVATGAQAMRDLGGGAPFFGVAGHVMSLGVVSLVGATPTSLVVGVGLAAFH -LAIVVSGLEAELTQRAHKVFFSAMVRNPMVDGDVINPFGEGEAKPALYERKMSLVLAIVLCLMSVVMNRT -VPSITEASAVGLAAAGQLLRPEADTLWTMPVACGLSGVVRGSLWGFLPLGHRLWLRASGSRRGGSEGDTL -GDLWKRKLNGCTKEEFFAYRRTGILETERDKARELLRRGETNMGLAVSRGTAKLAWLEERGYATLKGEVV -DLGCGRGGWSYYAASRPAVMSVKAYTIGGKGHETPKMVTSLGWNLIKFRAGMDVFSMQPHRADTIMCDIG -ESNPDAVVEGERTRKVILLMEQWKNRNPTATCVFKVLAPYRPEVIEALHRFQLQWGGGLVRTPFSRNSTH -EMYYSTAVTGNIVNSVNIQSRKLLARFGDQRGPTRVPELDLGVGTRCVVLAEDKVKEKDVQERISALREQ -YGETWHMDREHPYRTWQYWGSYRTAPTGSAASLINGIVKLLSWPWNAREDVVRMAMTDTTAFGQQRVFKE -KVDTKAQEPQPGTKVIMRAVNDWILERLARKSKPRMCSREEFIAKVKSNAALGAWSDEQNRWSSAKEAVE -DPAFWQLVDEERERHLAGRCAHCVYNMMGKREKKLGEFGVAKGSRAIWYMWLGSRFLEFEALGFLNEDHW -ASRGSSGSGVEGISLNYLGWHLKGLSTLEGGLFYADDTAGWDTKVTNADLEDEEQLLRYMEGEHKQLAAT -IMQKAYHAKVVKVARPSRDGGCIMDVITRRDQRGSGQVVTYALNTLTNIKVQLIRMMEGEGVIEASDAHN -PRLLRVERWLRDHGEERLGRMLVSGDDCVVRPVDDRFSRALYFLNDMAKTRKDIGEWEHSVGFSNWEEVP -FCSHHFHELVMKDGRALIVPCRDQDELVGRARVSPGCGWSVRETACLSKAYGQMWLLSYFHRRDLRTLGL -AICSAVPVDWVPTGRTTWSIHASGAWMTTEDMLDVWNRVWILDNPFMHSKEKIAEWRDVPYLPKSHDMLC -SSLVGRKERAEWAKNIWGAVEKVRKMIGQEKFKDYLSCMDRHDLHWELKLESSII ->ABE73208.1 polyprotein precursor [Karshi virus] -MAGKAILKGKGGNPPRQKPKVVAKKSRQRPAALPRALVLSRMLEIVWQVITMAPRSPALKAFWRIVPLQQ -AQAALKRIRKVVGSLLQSLQKRGKRRSTTDWTGILMAVVILPLCLALTMRSGDDGKLILRTEGKDAATQA -RVGNGSCVILATDMGAWCDDSIEYECITIEPNEEPIDVDCFCRGVDNVWIEYGRCDRKSGERSRRSVNIP -VHVSKDLTGRGHTWLQGSNVKEHLTRVESWVWKNKVLVLAVSALVWVMVESVPMRIVLIVGGMFLAPAYA -SRCVHLENRDFVTGTQGTTLVTLVLELGGCVTLSAEGKPSIDLWLENIHQDALAVTREYCLHAKLTNTKV -AARCPTTGPATLSEEHQKNMVCKRDQSDRGWGNHCGLFGKGSIVGCTKFDCETNKKATGKVFDITKIVYT -VKVEPHTGTYVAANETHSDRKTVAFTAQSEKKTISMGNYGEVGLSCRVNSGIDVDQTVVLELESQALHPK -GWAVHKDWFNDLALPWKHHEAEVWESKERLVEFGPPHAVKMDVFNLGDQTGILMRSLAGASVVDVQGTKV -YLQSGHVTCNVGLEKLKLKGMTYSMCEEGKFAWKRPPTDSGHDTVVMEVTYTGTVKPCRIQVRAEAKGAP -GVDVANLITPNPTIEYDGGGYIEMQLPPGDNTIYVGKIHQAWFQKGSSIGRVFEKTRKGIQRLAAVGEHV -WDFGSVGGFFGSIGKAAHMVLGGLFGVLFGGIGFIPKMLMGAALIWLGINMKNTTLSLSFLAIGGLILMM -TLGVGADQGCSIDSERMELRCGAGLAVWKETTEWYDGYAFHPESPGELAAALVEAAKNNVCGIVPQNRLE -MAMWRSRRDEINLHLAETDANLTVVVDRMNPSDYRGGTPGVLKRNGKAGQVTWSSWGRSIIWSVPEAQRR -FYIGVLNVNECPLRNRLTGVFRVAEFGAGLQTKVFLDFQEKPTNTCDSGVMGAAVKNGYAVHTDQSLWMK -SKGNATGFYIQELHVTDLRNCTWPDEYTIDNKGVIESHLFLPVKLAGPRTMYNQIPGYAVQLSGPWDQTP -LRIKREPCPGTEVKIDPGCPGRGASVRSTTESGKVIPEWCCRTCTMPPVTFRSGTDCWYAMEIRPVRVQG -GLVKSTVVAGNVELMQEGGIPGMVAIFVVMEFLVRRRPGVGCSIIWSGILLFGLLVLQLVSVEQLIRYAV -SVGITFALQLGPEVSMLVALQAIFELRAGFLLAYVLGGMLTAREVVAVYFLLVTLEHGLPLGGFVQALNW -IDAATVGLLTVRACVQEGDRAKGLLLAALMAMRSMTVAHRALMLSLGVLMCSTLIGLVRGQSERKFLSWG -VPLAALLGGQGAGLRLLAAWELMEHPKRRSIGEPITLLGLMLAVTSGILRSSSQEALVALAAGAFILLLL -IIGTKKMQLVAEWTGVAEWNPELLNEGGEVNLTVRQDAMGNLHLKEVEKEERQMALFLLVGLAASAYHWS -GILVAMGLWALLEYATSARRGELVFSGVGVRAESAPFEVKDGVYRIFRPGLFWGASQIGVGYGSHGVLHT -MWHVTRGAAVSINGGAAGPYWADIREDVVCYGGAWNLPTKWEGEVVQLHAFPPGRAHEIKQCQPGRLNLG -DGRIMGAIPFDLPKGTSGSPILNAQGVVVGLYGNGLKTKDIYVSGIAQGTPEVGGHEMPLAVQGTGWMSK -GEITVVDMHPGAGKTHRVLPELIRQCIDKRLRTLILAPTRVVLREMEQALRGKKVRFHSSAVDVPPNRDA -IVDVMCHATYVNRRLSPTGRQNWEVAIMDEGHWTDPHSIAARGHLYTLAKDKKCAFVLMSATPPGQNEPF -PESRGHIISEEKAIPDGEWQNGFDWVTEYEGRTAWFVASIARGAAIARTLRARGKTVICLNSKTFEKDYH -RVHEEKPDFVVTTDISEMGANLDVDRVIDGRNNIKPEEIDGAVELIGVRKVTTASAAQRRGRVGRKEGRT -DRYVYSGQCDDDDSMLVQWKEAQMLLDNITTLRGMAAVFYGPEQEKMPEVAGFYRLPEEKRKYFRHLLSQ -NDFTPWLAWHVAKNTAGPIDRSWTWEGPEGNAIEGQDGEVIRFRTPGGAEKVLKPAWRDMRMFREGRDIR -EFVQYASSRRGASELLMGMSEVPGLMRSRVLGAYDVFYTLLHENPGSRALRMAERDAPEAFLTLIEVLVL -GLATLGLLWVLLLRGSVSRMTLGVLVLLISLCLMWAGGMSYGHMAGVSLVFYAFLTVIQPEPGKQRSADD -NRLAFTLLVLGMVIGTVAANELGWLERTKADLGQLLWRQTEEVDSGGWTEWTNIDIQPARSWGTYVLVVS -LFSPYMIHQMQTRVQRLVNSAVVSGGQTIREIGGGVPFFGVKGHVVTMGISSLVGATPLSLGMGVALAAF -HLALVLSGLEAEFSQRVHKAIFAGMVKNPCVDGDLVNPFDGGEQKSALYERKLSLLLAVGLGALAVSLNR -TAWALAEAGALMVAAVGQLVSTEGEFWWTMPVACGMAGLARGCWWGVLPIAHRVWLRTSEPRRGGGMGET -LGDIWKTRLNACSKEDFFQYRRSHVLETDRTKARELLRRGETNMGLAVSRGTSKLAWLEERGYATVKGEV -VDLGCGRGGWSYYAASRPSVLSVKAYTIGGKGHEEPRMITSLGWNLVKFRSGMDVFSMDAHRADTVMCDI -GESDPDPEKEGERSRRVIQLMSRWKQRNPAASCVFKVLAPYRPDVIEALHRFQLLWGGGLVRNPFSRNST -HEMYYTTGVCGNIINSVNVTSKRLLSRFGDAAGPVLVPEIDLGTGTRCVALASDVVKPKDVEERITALKK -QYSDTWHVDKEHPYRTWQYWGSYRTAATGSAASLINGVVKLLSWPWNAREDVARMAMTDTTAFGQQRVFK -DKVDTKAQEPQPGTRVIMRAVNDWLIDRLAKERPPRMCTREEFCSKVRSNAALGAWSDDQNRWKNAKEAI -EDPAFWNLVDEERELHRQGRCAQCVYNMMGKREKKLGEFGSAKGSRAIWYMWLGSRFLEFEALGFLNEDH -WASREACGAGVEGTSLNYLGWLLAGLGKIPGGKFYADDTAGWDTRVTNADLEDEEQLLRHMSGEHKILAR -TIFEKAYHAKVVKVARPSPEGGCVMDIITRRDQRGSGQVVTYALNTLTNVKVQLIRMMEGEGVIGASDAQ -DPRLRRVEQWLSVHGAERLSRMLVSGDDCVVRPIDDRFGTALYFLNDMAKVRKDIGEWEPSIGFSRWEEV -PFCSHHFHELAMKDGRTLIVPCRDQDELVGRARVSPGCGWSVRETACLSKAYAQMWLLSYFHRRDLRTMG -FAICSSVPVDWVPTGRTTWSIHASGAWMTTEDMLSVWNKVWIEDNPHMKDKTRVDAWRDIPYLPKSQDML -CSSLIGHSERADWARHIWTGVMKVRAMIGRETYVDYLSHMDRHEAPWLPVFESSII diff --git a/seq/clusters_seq/cluster_17 b/seq/clusters_seq/cluster_17 deleted file mode 100644 index fdbd071..0000000 --- a/seq/clusters_seq/cluster_17 +++ /dev/null @@ -1,488 +0,0 @@ ->YP_009118625.1 nonstructural protein 1 [Influenza A virus (A/California/07/2009(H1N1))] -MDSNTMSSFQVDCFLWHIRKRFADNGLGDAPFLDRLRRDQKSLKGRGNTLGLDIETATLVGKQIVEWILK -EESSETLRMTIASVPTSRYLSDMTLEEMSRDWFMLMPRQKIIGPLCVRLDQAIMEKNIVLKANFSVIFNR -LETLILLRAFTEEGAIVGEISPLPSLPGHTYEDVKNAVGVLIGGLEWNGNTVRVSENIQRFAWRNCDENG -RPSLPPEQK - ->YP_009118480.1 nonstructural protein 1 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MDSNTVSSFQVDCFLWHVRKRFADQEMGDAPFLDRLRRDQKSLRGRSSTLGLDIRTATREGKHIVERILE -EESDEAFKMSIASVPAPRYLTDMTLEEMSRDWLMLIPKQKITGSLCIRMDQAIVDKNITLKANFSVIFNR -LEALILLRAFTEEGAIVGEISPLPSLPGHTDKDVKNAIEILIGGFEWNDNTVRVSETLQRFAWRSSDEDG -RSPLSTK - ->YP_308870.1 nonstructural protein 1 [Influenza A virus (A/Korea/426/1968(H2N2))] -MDSNTVSSFQVDCFLWHVRKQVVDQELGDAPFLDRLRRDQKSLRGRGSTLDLDIEAATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEELSRDWFMLMPKQKVEGPLCIRIDQAIMDKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENG -RPPLTPKQKRKMARTIRSKVRRDKMAD - ->YP_308845.1 nonstructural protein 1 [Influenza A virus (A/New York/392/2004(H3N2))] -MDSNTVSSFQVDCFLWHIRKQVVDQELSDAPFLDRLRRDQRSLRGRGNTLGLDIKAATHVGKQIVEKILK -EESDEALKMTMVSTPASRYITDMTIEELSRNWFMLMPKQKVEGPLCIRMDQAIMEKNIMLKANFSVIFDR -LETIVLLRAFTEEGAIVGEISPLPSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKNLQRFAWRSSNENG -GPPLTPKQKRKMARTARSKV - ->YP_308673.1 nonstructural protein 1 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MDSNTITSFQVDCYLWHIRKLLSMRDMCDAPFDDRLRRDQKALKGRGSTLGLDLRVATMEGKKIVEDILK -SETNENLKIAIASSPAPRYITDMSIEEMSREWYMLMPRQKITGGLMVKMDQAIMDKRIILKANFSVLFDQ -LETLVSLRAFTESGAIVAEIFPIPSVPGHFTEDVKNAIGILIGGLEWNDNSIRASENIQRFAWGIHDENG -GPSLPPKQKRYMAKRVESEV - ->NP_859034.1 nonstructural protein 1 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIRTATREGKHIVERILE -EESDEALKMTIASVPASRYLTEMTLEEMSRDWLMLIPKQKVTGPLCIRMDQAVMGKTIILKANFSVIFNR -LEALILLRAFTDEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFTWRSSDENG -RSPLPPKQKRKVERTIEPEV - ->NP_040984.1 nonstructural protein 1 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MDPNTVSSFQVDCFLWHVRKRVADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILK -EESDEALKMTMASVPASRYLTDMTLEEMSREWSMLIPKQKVAGPLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTAEDVKNAVGVLIGGLEWNDNTVRVSETLQRFAWRSSNENG -RPPLTPKQKREMAGTIRSEV - ->sp|P08276.2|NS1_I71A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTITSFQVDCYLWHIRKLLSMRDMCDAPFDDRLRRDQKALKGRGSTLGLDLRVATMEGKKIVEDILK -SETDENLKIAIASSPAPRYITDMSIEEISREWYMLMPRQKITGGLMVKMDQAIMDKRITLKANFSVLFDQ -LETLVSLRAFTDDGAIVAEISPIPSMPGHSTEDVKNAIGILIGGLEWNDNSIRASENIQRFAWGIRDENG -GPPLPPKQKRYMARRVESEV - ->sp|P08272.2|NS1_I56A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTTASVPAPRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVVFNR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQKFAWRSSNEDG -RPPLPPKQKRKMARTIESEV - ->sp|Q20PL8.1|NS1_I79A7 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNITLKANFSVIFDR -LETLILLRAFTDEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEDG -RPPLPPKQKRKMARTVESEV - ->sp|Q20NN7.1|NS1_I80A8 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEDG -RPPLPPKQKRKMARTIESEV - ->sp|Q0A442.1|NS1_I49A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTITSFQVDCYLWHIRKLLSMRDMCDAPFDDRLRRDQKALKGRGSTLGLDLRVATMEGKKIVEDILE -SETDENLKIAIASSPAPRYIMDMSIEEISREWYMLMPRQKVTGGLMVKMDQAIMGKRIILKANFSVLFDQ -LETLVSLRAFTEDGAIVAVISPIPSMPGHSTEDVKNAIGILIGGLEWNDNSIRASENIQRFAWGIRDEDG -GPPLPPKQKRYMARRIESEV - ->sp|Q0A2I1.1|NS1_I83A5 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATSAGKQIVERILE -EESDEALKMTIASVPVSRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKKIILKANFSVIFGR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLKWNDNTVRVSEALQRFAWKGSNENG -RPPLPSK - ->sp|O41665.1|NS1_I83A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EEPDEALEMTIASVPAPRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNITLKANLSVISDR -LETLILLRAFTEEGTIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTIRVSETLQRFAWRSSNENG -RPPLPPKQKRKMARTIESEV - ->sp|O41647.1|NS1_I66A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIGTATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQTVAGSLCIRMDQAIMDKNIILKANFSVTFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGGLIGGLEWNDNTVRVSETLQRFAWRSSNEDG -RPPLPPKQKRKMARTIESEV - ->sp|Q67256.1|NS1_I72A5 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQERGDAPFLDRLRRDQKSLRGRGSTLGLDIETATCAGKQIVERILK -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEDG -RPPFPPKQKRKMARTIESEV - ->sp|O57306.1|NS1_I80AC RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQEMGDAPFLDRIRRDQKSLKGRSITLGIDIEAATRAGKLIIERILD -EESDEALKMNIASVPASRYVTDMTPEEMSRDWFMLMPKQKFAGPLCIRMDQAILDKNIVLKANFSVAFDR -LETLILLRAFTSEGAIVGEISQLPSLPGHTSEDVKNAIGILIGGLEWNDNTVRVSETLQRFAWGSSNENG -RPPFAPKQERKMAGTVESEV - ->sp|O57276.1|NS1_I88A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTMSSFQVDCFLWHVRKRIADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILE -EESDEAPKMTIASVPASRYLTDMTLEEMSREWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEDG -RPSLPPKQKRKMARTIESEV - ->sp|O57267.1|NS1_I80AD RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTTSSFQVDCFLWHVRKRFADQEMGDAPFLDRIRRDQKSLKGRSITLGIDIEAATRAGKLIIERILD -EESDEALKMNIASVPASRYVTDMTPEEMSRDWFMLVPKQKFAGPLCIRMDQAILDKNIILKANFSVAFDR -LETLILLRAFTSEGATVGEISQLPSLPGHTSEDVKNAIGILIGGLEWNDNTVRVSETLQRFAWGSSNENG -RPPFAPKQERKMAGTVESEV - ->sp|P69278.1|NS1_I000W RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQVVDQELGDAPFLDRLRRDQKSLRGRGSTLGLNIEAATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEELSRDWFMLMPKQKVEGPLCIRIDQAIMDKNVMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNENG -RPPLTPKQKRKMARTVRSKVRRDKMAD - ->sp|P08278.2|NS1_I61A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -NTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILVEES -DEALKMTIVSMPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVISDRLET -LILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGDLIGGLEWNDNTVRVSETLQRFAWRSSNEDGRPL -LPPKQKRKMARTIESEV - ->sp|P30912.1|NS1_I72A6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTITSFQVDCYLWHIRKLLSMRDMCDAPFDDRLRRDQKALKGRGSTLGLDLRVATMEGKKIVEDILK -SETDENLKIAIASSPAPRYITDMSIEEISREWYMLMPRQKITGGLMVKMDQAIMDKRIILKANFSVLFDQ -LETLVSLRAFTEDGAIVAEISPIPSMPGHSTEDVKNAIGILIGGLEWNDNSIRASENIQRFAWGIRDEDG -GPPLPPKQKRYMARRVESEV - ->sp|P30911.1|NS1_I63A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTITSFQVDCYLWHIRKLLSMRDMCDAPFDDRLRRDQKALKGRGSTLGLDLRVATMEGKKIVEDILK -SETDENLKIAIASSPAPRYITDMSIEEISREWYMLMPRQKITGGLMVKMDQAIMDKRIILKANFSVLFDQ -LEALVSLRAFTDDGAMVAEISPIPSMPGHSTEDVKNAIGILIGGLEWNDNSIRASENIQRFAWGIRDENG -GPPLPPKQKRYMARGIESEV - ->sp|P13142.1|NS1_I78AA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDMETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRLSETLQRFAWRSSNEDG -RPPLPSKQKRKMARTIESEV - ->sp|P08268.1|NS1_I76AM RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -NTVSSFQVDCFLWHVLKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILEEES -DEALKMNIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDRLET -LILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGILIGGLEWNDNTVRVSETLQRFAWRSSNEDGRPP -LPPKQKWKMARTIEPEV - ->sp|P03500.1|NS1_I34A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQEMGDAPFLDRLRRDQKSLRGRGSTLGLDIDTATRVGKQIVERILE -DESDEALKMTIASVPATRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMGKNIILKANFSVIFDR -LETLILLRALTDEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETIQRFAWRSSNENG -GPPLPPKQKRKMARTIESEI - ->sp|A3DRP6.1|NS1_I96A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTLSSFQVDCFLWHVRKQVADQELGDAPFLDRLRRDQKSLKGRGSTLGLNIETATCVGKQIVERILK -EESDETFKMTMASALASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCVRMDQAITDKNIILKANFSVIFNR -LETLTLLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGILIGGLEWNDNTVRVSETLQRFAWRSSNENG -GPPLTPTQKRKMAGTIRSEV - ->sp|A4GCK3.1|NS1_I80AA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKQVADQELGDAPFLDRLRRDQKSLKGRGSTLGLNIETATCVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCVRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWKSSNENG -RPPLTPKQKRKMARTIRSEVRRNKMAD - ->sp|A4GBY3.1|NS1_I77AA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKQVADQELGDAPFLDRLRRDQKSLRGRGSTLGLNIETATCVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENG -RPPLTPKQKRKMARTIRSEVRRNKMAD - ->sp|A8C8W9.1|NS1_I67A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHIRKRFADNGLGDAPFLDRLRRDQKSLRGRGSTLGLNIETATLAGKQIVEWILK -EESNETLKMTIASVPSSRYLADMTLEEMSRDWFMLMPKQKVAGSLYIRMDQAIMEKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAVEVLIGGLEWNGNTVRVSENLQRFAWRSRNENG -RPSLPPEQK - ->sp|A4U6V8.1|NS1_I45A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKRVADQELGDAPFLDRLRRDQKSLRGRGSTLGLNIETATRVGKQIVERILK -EESDEALKMTMASALASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCIRMDQAIMDKSIILKANFSVIFGR -LETLILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENG -RPPLTPKQKRKMARTIRSEV - ->sp|A4GCL4.1|NS1_I43A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKRVADQKLGDAPFLDRLRRDQKSLRGRGSTLGLNIETATRAGKQIVERILK -EESDMPSAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCIRMDQAIMDKSIILKANFSVIFDRLETLIL -LRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENGRPPLTP -KQKRKMARTIRSEV - ->sp|A4GCM5.1|NS1_I35A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKRVADQELGDAPFLDRLRRDQKSLKGRGSTLGLDIETATRAGKQIVERILK -EESDEALKMTMASVPASRYLTDMTLEEMSRDWFMLRPKQKVAGPLCIRMDQAIMDKDIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNENG -RPPLTPKQKREMAGTIRSEV - ->sp|A8C8K0.1|NS1_I07A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSHTVSSFQVDCFLWHVRKQVADQDLGDAPFLDRLRRDQKSLKGRGSTLGLNIETATCVGKQIVERILK -EESDEALKMTMASALASRYLTDMTVEEMSRDWFMLMPKQKVAGPLCVRMDQAIMDKNIILKANFSVIFDR -LENLTLLRAFTEEGAIVGEISPLPSFPGHTNEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNETG -GPPFTTTQKRKMAGTTRSEI - ->sp|O09687.2|NS1_I73A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLKGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLDEMSRDWFMLMPKQKVTGSLCIRMDQAIMDKNIILKANFSVIFER -LETLILLRAFTEEGAVVGEISPLPSLPGHTNEDVKNAIGVLIGGLKWNDNTVRVSETLQRFAWRSSHENG -RPSFPPKQKRKMARTIESEV - ->sp|P36349.2|NS1_I02A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQEMGDAPFLDRLRRDQKSLGGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASAPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNITLKANFSIIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEDR -RPPLPTKQKRKMARTIESEV - ->sp|Q38SQ2.1|NS1_I83A8 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQVVDQELSDAPFLDRLRRDQRSLRGRGSTLGLDIKAATHVGKQIVEKILK -EESDEALKMTMASTPASRYITDMTIEELSRNWFMLMPKQKVEGPLCIRMDQAIMEKNIMLKANFSVIFDR -LETLVLLRAFTEEGAIVGEISPLPSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNENG -GPPLTPKQKRKMARTARSKVRRDKMAD - ->sp|Q30NP5.1|NS1_I75A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQIVDQELGDAPFLDRLRRDQKSLRGRGSTLGLDIEAATHVGKQIVEKILK -EESDEALTMTMASTPASRYITDMTTEELSRDWFMLMPKQKVEGPLCIRIDQAIMDKNIMLKANFSVIFDR -LETLISLRAFTEEGAIVGEISPLPSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNENG -GPPLTPKQKRKMARTARSKVRRDKMAD - ->sp|Q20NB9.1|NS1_I56A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHIRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKMAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVIIGGLEWNDNTVRVSETLQRFA - ->sp|Q2VNC7.1|NS1_I78A8 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQVVDQELGDAPFLDRLRRDQRSLRGRGSTLGLDIEAATHVGKQIVEKILK -EESDEALKMSMASTPASRYITDMTIEELSRDWFMLMPKQKVEGPLCIRMDQAIMEKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLLSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNENG -GPPLTPKQKRKMARTARSKVRRDKMAD - ->sp|Q1PUD3.1|NS1_I73A5 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQVVDQELGDAPFLDRLRRDQKSLRGRGSTLGLDIEAATHVGKQIVEKILK -EESDEALKMTMASTPASRYITDMTIEELSRDWFMLMPKQKVEGPLCIRIDQAIMDKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNENG -GPPLTPKQKRKMARTARSKVRRDKMAD - ->sp|Q1K9P7.1|NS1_I57A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKQVADQELGDAPFLDRLRRDQKSLRRRGSTLGLNIETATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENG -RPPLTPKQKRKMARTIRSKVRRNKMAD - ->sp|Q0HD54.1|NS1_I40A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKRVADQELGDAPFLDRLRRDQKSLKGRGSTLGLNIETATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCIRMDQAVMDKSIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENG -GPPLTPKQKRKMARTIRSEVRRNKMVD - ->sp|Q2F4N6.1|NS1_I05A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -TVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILEEESD -EALKMPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLSIKMDQAIMDKNITLKANFNVIFDRLETLILLRA -FTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSEALQRFAWRSSDKNGRPPLPSNQK -RKMARTIES - ->sp|Q289M1.1|NS1_I00A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSHTVSSFQVDCFLWHVRKQVADQDLGDAPFLDRLRRDQKSLKGRGSTLGLNIETATCVGKQIVERILK -EESDEAFKMTMASALASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCVRMDQAIMDKNIILKANFSVIFDR -LENLTLLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNETG -GPPFTPTQKRKMAGTIRSEV - ->sp|Q288Z0.1|NS1_I77A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQIVDQELGDAPFLDRLRRDQKSLRGRGSTLGLDIEAATHVGKQIVEKILK -EESDEALTMTMASTPASRYITDMTTEELSRDWFMLMPKQKVEGPLCIRIDQAIMNKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNENG -GPPLTPKQKRKMARTTRSKVRRDKMAD - ->sp|Q2RFA0.1|NS1_I76A6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQIVDQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATHVGKQIVEKILK -EESDEALTMTMASTPASRYITDMTTEELSRDWFMLMPKQKVEGPLCIRIDQAIMDKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNEDG -GPPLTPKQKRKMARTTRSKVRRDKMAD - ->sp|Q0PDM0.1|NS1_I000X RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKRVADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIKTATRAGKQIVERILK -EESDEALKMTMASVPASRYLTDMTLEELSRDWSMLIPKQKVAGPLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTAEDVKNAVGVLIGGLEWNDNTVRVSETLQRFAWRSSNENG -RPPLTPKQKREMAGTIRSEV - ->sp|Q3YPY9.1|NS1_I71A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQVVDQELGDAPFLDRLRRDQKSLRGRGSTLGLNIEAATHVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEELSRDWFMLMPKQKVEGPLCIRIDQAIMDKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWGSSNENG -RPPLTPKQKRKMARTARSKVRRDKMAD - ->sp|Q6DP70.1|NS1_I02A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -KESNEALKMTIASLPALRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKTIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEGG -RPSLPPKQKRKMARTTESEV - ->sp|Q6DP64.1|NS1_I02A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRNRFADQELGDAPFLDRLRRDQKSLRGRASTLGVDIETATRAGKQIVERIME -KEFDEALKMTIASLSALRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKTIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSDEGG -RPSLPPKQKRKMARTTESEV - ->sp|Q6DP62.1|NS1_I02A6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILE -EESDEALKMPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIKMDQAIMDKTIILKANFSVIFDRLETLI -LLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETIQRFAWRSSDEDGRLPLP -PNQKRKMARTIESKV - ->sp|Q6DP37.1|NS1_I02A7 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -VRKRFADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILEEESDEALKMPASRYLTD -MTLEEMSRDWFMLMPKQKVAGSLCIKMDQAIMDKNIILKANFSVIFDRLETLILLRAFTEEGAIVGEISP -LPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSEALQRFAWRSSDENGRPPLPPNQKRKMARTIESEV - ->sp|Q6DP28.1|NS1_I03A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILE -EESDEALKMPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIKMDQAIMDKTIILKANFSVIFDRLETLI -LLRAFTEEGAIVGEISPLPSLPGHTGEDVKNAIDVLIGGLEWNDNTVRVSETIQRFAWRNSDEDGRLPLP -PNQKRKMARTIESEV - ->sp|Q6XTD8.1|NS1_I67A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQVVDQELGDAPFLDRLRRDQKSLRGRGSTLGLNIEAATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEELSRDWFMLMPKQKVEGPLCIRIDQAIMDKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENG -RHPLTPKQKQ - ->sp|Q6XSW4.1|NS1_I69A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQVVDQELGDAPFLDRLRRDQKSLRGRGSTLGLNIEAATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEELSRNWFMLMPKQKVEGPLCIRIDQAIMDKNIMLKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKNLQRFAWRSSNENG -RHPLTPKQKR - ->sp|O89748.1|NS1_I97A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MNSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIRTATREGKHIVERILE -EESDEALKMTIASVPAPRYLAEMTLEEMSRDWLMLIPKQKVTGSLCIRMDQAIMDKDIILKANFSVIFNR -LEALILLRAFTDEGAIVGEISPLPSLPGHTEEDVKNAIGVLIGGLEWNNNTVRVSETLQRFTWRSSDENG -RSLLPPKQKRKMERTIEPEV - ->sp|O56264.1|NS1_I97A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIRTATREGKHIVERILE -EESDEALKMTIASVPAPRYLTEMTLEEMSRDWLMLIPKQKVTGSLCIRMDQAIMDKDIILKANFSVIFNR -LEALILLRAFTDEGAIVGEISPLPSLPGHTEEDVKNAIGVLIGGLEWNDNTVRVSETLQRFTWRSSDENG -RSPLPPKQKRKMERTIEPEV - ->sp|Q9YPE7.1|NS1_I84A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRIADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSREWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEDG -RPSLPPKQKRKMARTIESEV - ->sp|Q9WA92.1|NS1_I86A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLKGRGSTLGLNIETATRAGKQIVEQILE -EESDEALKMTIASVPASRYLTDMTLDEISRDWFMLMPKQKVTGSLCIRMDQAIMDKNIILKANFSVIFER -LETLILLRAFTEEGAVVGEISPLPSLPGHTNEDVKNAIGVLIGGLKWNDNTVRVSETLQRFAWRSSHENG -RPSFPPKQKRKMARTIEPEV - ->sp|Q99AU3.1|NS1_I18A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILK -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAVGVLIGGLEWNDNTVRVSETLQRFAWRSSNENG -RPPLPPKQKRKMARTIKSEV - ->sp|Q8QPI8.1|NS1_I00A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILE -EESDEALKMPASRYLTDMTLEEMSRDWSMLIPKQKVAGSLCIKMDQAIMDKNIILKANFSVIFDRLETLI -LLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIRVLIGGLEWNDNTVRVSEILQRFAWRSSDEDGRPPLP -PNQKRKMARTIESEV - ->sp|Q6J880.1|NS1_I03A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIKMDQAIMDKTIILKANFSVIFDRLETLI -LLRAFTEEGAIVGEISPLPSLPGHTGEDVKNAIGVLIGGLEWNDNTVRVSETIQRFAWRSSDEDGRLPLP -PNQKRKMARTIESEV - ->sp|Q67253.1|NS1_I89A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHIRKQVVDQELSDAPFLDRLRRDQRSLRGRGNTLGLDIKAATHVGKQIVEKILK -EESDEALKMTMASTPASRYITDMTIEELSRNWFMLMPKQKVEGPLCIRMDQAIMEKNIMLKANFSVIFDR -LETLVLLRAFTEEGAIVGEISPLPSFPGHTIEDVKNAIGVLIGGLEWNDNTVRVSKNLQRFAWGSSNENG -GPPLTPKQKRKMARTARSKV - ->sp|Q04262.1|NS1_I89A7 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTTTSFQVDCYLWYIRKLLSMRNMCDAPFDDRLRRDQKALKGRGSTLGLDLRVATMGGKKIVENILK -SEADENLKIAIASSPAPRYITDMSIEEISREWYMLMPRQKITGGLMVKMDQAIMDNIIILKANFSVLFDQ -LDTLVSLRAFTEAGAIVAEISPIPSMPGHSTEDVKNAIGILIGGLEWNDNSIRASENIQRFAWGIRDEDG -GPPLPPKQKRYMARRVEPKV - ->sp|O92564.1|NS1_I61A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDFNTVSSFQVDCFLWHIRKRFADNELGDAPFLDRLRRDQKSLRGRGSTLGLNIETATLAGKQIVEWILK -EESNEALKMTIASVPSSRYLADMTLEEMSRDWFMLMPKQKVAGSLYIRMDQAIMEKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAVEVLIGGLEWNGNTVRVSENLQRFAWRSRNENG -RPSLPPEQKWKVARTIGSEI - ->sp|O92551.1|NS1_I56A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHIRKRFADQKMGDAPFLDRLRRDQKSLKGRSSTLGLDIESSTLAGRQIVKRILK -EESDSEPKGTITSVPTSYYLTDMTLEEMSRAWFMLIPNQKRVGSLCIRMDQAIMDKEITLKANFSVVFNK -LETLTLLRAFTDDEAIIGEILPIPSLPGHTNEDVKNAIEILIGGLEWNNNTVRISEILQRFTWRNSNENG -GFLLSPKQKQKMEGTTGPEV - ->sp|O92548.1|NS1_I77AG RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWNVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRVGKQIVERILE -EESDEALKMNIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKTITLKANFSVIFER -LETLILLRAFTEEGSIVGEISPLPSLSGHTNEDVKNAIGILIGGLEWNDNTVRVSETLQRFAWRSSNEDG -RPPLPPKQK - ->sp|Q82506.1|NS1_I33A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKRVADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILK -EESDEALKMTMASVPASRYLTDMTLEEMSRHWFMLMPKQKVAGPLCIRMDQAIMDKNIILKANFSVILDR -LETLILLRAFTEEGTIVGEISPLPSLPGHTDEDVKNAVGVLIGGLEWNNNTVRVSETLQRFAWRSSNENG -RPPLTPKQKRKMAGTIRSEV - ->sp|Q809Y0.1|NS1_I01A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILE -EESDEALKMPASRYLTDMTLEEMSRGWFMLMPKQKVAGSLCIKMDQAIMDKNIILKANFSVIFDRLETLI -LLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGALIGGLEWNDNTVRVSETLQRFAWRSSDEDGRPPLP -PNQKRKMARTIESEV - ->sp|Q809X8.1|NS1_I01A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATCAGKQIVERILE -EESDEALKMPAPRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIKMDQAIMDKNIILKANFSVIFDRLETLI -LLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSDEDGRPPLP -PNQKRKMARTIESEV - ->sp|Q809X2.1|NS1_I01A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADRELGDAPFLDRLRRDQKSLRGRGNTLGLDIETATRAGKQIVERILE -EESDEALKMPAPRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIKMDQAIMDKNIILKANFSVFFDRLETLI -LLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSDEDGRPPLP -PNQKRKMARTIESEV - ->sp|Q77ZM3.1|NS1_I91A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLKGRGSTLGLDIETATRAGKQIVEQILE -EESDEALKMTIASVPASRYLTDMTLDEMSRDWFMLMPKQKVTGSLCIRMDQAIMDKNIILKANFSVIFER -LETLILLRAFTEEGAVVGEISPLPSLPGHTNEDVKNAIGVLIGGLKWNDNTVRISETLQRFAWRSSHENG -RPSFPPKQKRKMERTIEPEV - ->sp|P69279.1|NS1_I50A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKQVADQELGDAPFLDRLRRDQKSLRGRGSTLGLNIETATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWSDNTVRVSKTLQRFAWRSSSENG -RPPLTPKQKRKMARTIRSEVRRNKMAD - ->sp|P18295.1|NS1_I30A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKRFADQKLGDAPFLDRLRRDQKSLRGRASTLGLDIETATRAGKQIVERILE -EESNEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMEKSIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLHSLPGHTDEDVKNAVGVLIGGLEWNGNTVRVSENLQRFAWRSRNENE -RPSLPPKQKREVAGTIRSEV - ->sp|P13140.2|NS1_I79A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQADCFLWHVRKRFADQELGDAPFLDRPRAHQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSCNEDW -RPPLPPKQKRKMARTIESEV - ->sp|P13139.2|NS1_I78A4 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDSNTVSSFQVDCFLWHVRKQFADQELGDAPVRDRLRRDQKSLRGRGSTLGLDIETATRAGKQIVERILE -EESDEALKMTIASVPASRYLTDMTLEEMSRDWFMLMPKQKRAGSLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTDEDVKNAIGVLIGGLEWNDNTVRVSETLQRFAWRSSNEGG -RPPLPPKQKRKMARTIESEV - ->sp|P08270.1|NS1_I63A3 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -NTVSSFQVDCFLWHVRKRFADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIETATRAGKQTVERILEEEF -DEVLKMTIASGPASRYLTDMTLEEMSRDWFMLMPKQKMAGSLCIRMDQAIMDKDIILKANFSVIFNRLET -LILLRAFTEDGAIVGEISPLPSLPGHTDEDVKNAIGDLIGGLEWNDNTVRVSETLQRFAWRSSNEDGRPP -LPPKQKRKMARTIESEV - ->sp|P08274.1|NS1_I24A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -NTVSSFQVDCFLWHVRKRFADLELGDAPFLDRLCRDQKSLRGRSSTLGLDIETATRAGKQIVERILEEES -DETLKMTIASAPAFRYPTDMTLEEMSRDWFMLMPKQKVAGSLCIRMDQAIMDKNIILKANFSVIFDRLET -LILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGDLIGGLEWNDNTVRVSETLQRFAWRSSNEGGRPP -LPPKQKRKMARTIESEV - ->sp|P11618.2|NS1_I83A1 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKQVADQELGDAPFLDRLRRDQKSLKGRGSTLGLDIETATCVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCVRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEEGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSNENG -RPPLTPKQKRKMARAIRSEVRRNKMAD - ->sp|P21431.1|NS1_I60A0 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MDPNTVSSFQVDCFLWHVRKQVADQELGDAPFLDRLRRDQKSLRGRGSTLGLNIETATRVGKQIVERILK -EESDEALKMTMASAPASRYLTDMTIEEMSRDWFMLMPKQKVAGPLCIRMDQAIMDKNIILKANFSVIFDR -LETLILLRAFTEAGAIVGEISPLPSLPGHTNEDVKNAIGVLIGGLEWNDNTVRVSKTLQRFAWRSSDENG -RPPLTPK - ->sp|P26148.1|NS1_I82A2 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -CFLWHVRKRVADQELGDAPFLDRLRRDQKSLRGRGSTLGLDIKTATRAGKQIVERILKEESDEALKMTMA -SVPASRYLTDMTLEEMSRDW - diff --git a/seq/clusters_seq/cluster_170 b/seq/clusters_seq/cluster_170 deleted file mode 100644 index 094fc30..0000000 --- a/seq/clusters_seq/cluster_170 +++ /dev/null @@ -1,994 +0,0 @@ ->YP_138534.1 L polymerase [Pichinde virus] -MEEYVSEFKDMVRKWVPEWEELSEQKNNVLAQVKDRAITIEGLKLLSMLVEVDSCKKHSCRHNTRMTVNA -ILRELKVTCPTLPDVTPDGYCMVGDVLILLEVFVRTSQEAFEKKYNQDFLKLMQLSSDLKRQNITLVPVI -DGRSSYYVEYIPDWVVERLRWLLLKLMDGLRTSGEEVEELEYERLISSLSSLENQSLGLESLLAVKERGL -PYKVRLEKALVSCINNKLTTDQCRTKIMEVFQQFKMLQLSGQHDRKLQATDREDMISKLQNHEFIQCSIK -DMPKSEIRLCEFCSVHILGIIDQLRQSEIRHPSIESKEYFRVLSICNKIKSQKVFNTRRNTLLVLDLIMY -NILCDLDKSSPGTVFREVLLMQGLPSVNDRLINVDFLIEQITKKFIKNPSWLEKAKKRLSLVCSEFPLDD -ILPLLREPDIEYYFDLKTSILDEWGAKPYLQYKTKSRCMCGGRPGRGQPDYAIMGESEFEELLKALSSLS -LSLINSMKTAAVPKMKVNNADEFYGKVYCDEVFFQRFGEGGSLTLLYQKTGERSRCYAVAFRSKSGGLYE -TKASFYCDPKRFFLPIFSADVIQRTCEEMLSWLDFMSQPLLDLVSDLLRRLILCILCTPSKRIQIYLQGF -RYYIMAFVNEVHFKELFEKLKVVMLTPSEWQTAILIDDLILLVLNNSREEDMAKIFKFVLNVSYLCHFIT -KETPDRLTDQIKCFEKFLEPKLKFDSVLVNPSNSMELPIEEEEKMVHDMERLLSKKLESKCEGRPGINKD -VLSVCLSLFNNGSLEVKPSSSCDPMTPSFTSTALDMSSNKSVVVPKLDEVGEVITEYDYSSIVSAVVVEI -VEHFKTKGKYKLDPKEINFKILKRLSSLIQIKKESVEPDETEELLSEDQSDCLKEIETRVSKVLSKVDTN -VKPDLKTPCPLERLCPKSTMVIIKRETSLHDVKDFDYNLFSAEVYEDLVNLIYEDVTARSMYFADRLMNP -CPLEYLIKNLTLKAYKEADYFECFKYILIASDFDNRVGRYDHKSRSRLGFTDAALQIRETSRISSRESNS -ESIAKRLDQSFFTNSSLRNLCFYSDESPTERSSVSTNVGRLKFGLSYKEQVGGNRELYVGDLNTKLTTRL -IEDYSESLMQNMRYTCLNNEKEFERALLDMKSVVRQSGLAVSMDHSKWGPHMSPVIFAALLKGLEFNLKD -GSEVPNAAIVNILLWHIHKMVEVPFNVVEAYMKGFLKRGLGMMDKGGCTIAEEFMFGYFEKGKVPSHISS -VLDMGQGILHNTSDLYGLITEQFINYALELCYGVRFISYTSSDDEIMLSLNEAFKFKDRDELNVDLVLDC -MEFHYFLSDKLNKFVSPKTVVGTFASEFKSRFFIWSQEVPLLTKFVAAALHNIKAKAPNQQADTIDTILD -QCVANGVSIEVVGAIAKRTFSMIIYSGFPNDPFLCLEEMDVLDWVNGSRGYRLQRSIESLFPDDLLLSII -RKACRKIFYKIQSGALEESYIVTTLQQSPDDCLKQLLETCDVETEAIEDALDIRWLNLRVHGDLRLVLRT -KLMSTTRTVQREEIPSLVKSVQSKLSKNYVRGAKKILADAINKSAFQSSVASGFIGVCKSMGSRCVRAKR -GIQIHQDHTGKITLHRDCHHCNQRKGVYCKAALSEVSEYSRPLIWDYFALVLTNACELGNWVFQKAEVPK -IVTHLNNPNHFWPIKPSTHSELEDKVGINHILYSIRRNFPTLFDEHISPFLSDLNMLRLSWVQKIKFLDL -CVAIDITSECLGIVSHIIKHRREELYIVKQNELAMSHSRESHPLERDSTLSQRVCANFLIQILFESMLVP -VIMSTSQFKKYFWFGEVELLPNNAQHDLKQLTQFVCDCKKNNTSRTMNLDDLDVGFVSSNLILSCANLNI -SVFINELDWMNRDDYENMEQHILASPSEIIPIELNLTFSHKRVSHKFRYERSTNYILKLRFLIERGSLLD -SLDCDGYLLLKPHSVEYYVLNPLKSHKLDGVSLLVLNPLINGKDVLDFNDLLEDQDVRFKSRSAVFQKVK -LDMKDYFKDLKNKFSYKLIGPDVGMQPLILENGLIKKEQSVSRLEVNLDSKVVIIRLEALEPEKRSKFIA -NLFQYLSSAQSHNKGIIMTEQDLRYMIENFPEVFEHMLHDAKDWLNCGHFSIVKSKTLGTVMIADEKGPF -KIKGIRCRKLFEDNESVEIE - ->YP_089660.1 L protein [Sabia virus] -MQDPLLGTLSELKDLVRKTIPDVIELAYQKDALLSQVHPRSVLIEGFKLLSLLVELESCKVNACHHNYEQ -KFIDVILSDGGILCPTLPKVVPDGYNLMGKTLILLETFVRVNPDDFEKKWKADMSKLISLKTDLGKIGVT -LVPVVDGRSNYNTSFVSDWTTERLRWLLIEVLKGMKTTSELEIEEQEYHRLIHSLAKTNNQSLGFENLEC -LKRNMLSYDQLLDSSLLVGVKNDVKESKVMEELIRLKIWYKSEVYEKGLGKFVKTDKKVLLSQLITLGSH -EENDSLDCAFCSSRILELCFKLSVKMHEDVLTRGLNLDGTKTLHSSVQSYLNVLSMCNKIKGSKIFNTRR -NTLLFLDLIMLNFVVDEMVKDSTVIRNLKNAGLIVGQMILLVNDRVLDILTANKLIRQKLTTNEKWLSIC -SSVLKRYDLELWEKLCYLIRVPDFNELFQLAKELVSDRPMMRYSVHKAEERQCCHKAMENFTDDDFKIML -KALSHLSLGLINSMKTSFSSRLLINERDYSRYFGNVRLRECYIQRFPITNNIIGLLFYQKTGERSRCYSL -YIAENGELTEIGSFYCDPKRYFVPIFSEAVITSMCEEMINWLNFDSELVRIVSTQLKTLMLLLLCSPSKR -NQTFLQGLRYFIMAYVNQAHHIDLMSKLAVECKSSSEIQLQRLCVRLFVSILSGDNEIEYGFTRRFKFLL -NISYLCHFITKETPDRLTDQIKCFEKFLEPKLKFNSVIVNPSLNGTLTESQEHQMISSIDRFFSKELLDQ -SDVKEPGVSRELLGYCVSLFNRGKLRVSGDLKVDPFRPTFTSTALDISSNKSVVVPKLDELGNIVDKYNK -QLMVSSCVTSLVEMFKTKGRYNLDPDSIDFLVLKNLTNLVSANVPQEKSQEELSTLYEALTEDQISAFEQ -VRDEVQLALHKMKSSDAREERLQDPKRNEKNASKGKILESLWSPHQVNRAIKNETSIHEIKDFDPDILDS -HLVEKLCHEVYNSSQKSLFFLDEPLKSVPLEMLLINLTTIAYEEEEFFECFKYLLIQGDFDQKLGTYEHK -SRSRLGLSSEALKVQENARVSTRESNAEAIAKKLDRTFFTSAALRNLCFYSEDSPTEFTSVSTNTGNLKF -GLSYKEQVGSNRELYVGDLNTKLMTRLVEDFSEVVTGSMRFSCLNSEKEFERAICDMKMAVNNGDFSLSM -DHSKWGPHMSPALFFTFLANLNLTEPKSRTRLNLDPLLNILKWHLHKTVEVPFNVAQAYCIGKLKRSLGL -MECQCSSLTEEFYHSYLQIQDEIPSHIMSVLDMGQGILHNLSDLYALITEQFLNYVIHKLFDIDVTSYTS -SDDQISIMKLPLSTKENDEDFDWLEIICFHEYLSSKLNKFVSPKSVVGNFVAEFKSRFFVMGEETPLLTK -FVAAALHNVKCKTPTQLAETIDTICDQCVANGVGVDIVSRISERVNRLISYSGYKETPFLTIVNQDVKDW -TDGSRGYRLQRNIENSFGNQELLRLIRRGARKVFLEIKKGHVFEENLIGLIGRGGDEALRGFLLYAGFAE -NDIVEALRHKWLNPSTFGDLRLVLRTKIMSSKRILERESVPSLIKTLQSRMSKNFIKGAKKILAESINKS -AFQSSVASGFIGFCKSMGSKCVRDGKGGFMYLKELYNNVNKCGCCICLEWPGVVYCQDSLAKISQFARSI -LWDYFTLVLTNACEIGEWVFSDVKSPSAPPILSNPNLFWAVKPKIQKHIEDRLSLNHILHSIKRNYPYLF -EEHLAPFMSDLQFNQMMNPSHVKFLDVCIALDMMNENLGIIGHLLRGRNHFIYIVKQSECASAHIRQSDY -VDHELGLSPQQVCYNFKVQFLFSSMIDPLIVSTSTLKTFFWFNEVLSIEEEDQIDLGELTDFTLFIKTGH -LNRAMTADDITMGYVCSNLAEEIITLNSYGSFQEFRSNHPSKNDLSDILKTLTSESIKLTLDIQIVHMRN -STKYNISRKIVYTLKALCALPLEDCFTKDPVALVESLELFASGVNGGHLQLDGVTMVSVLPLLRGKKAVN -LAQILMDNDLAATNDHNVMESVTLDFTKFHDELGDKFCYSLVGPEDQGNPIVLHNGMFMIDNQKLSYLKV -EIFGDTIIKALGALDSPREIGSLLHGLWPYLKATKQIINFDQTDFEMIYDLHRVVLLESIAQFGDWVEFA -SFKVAFSKHYKDIVVADNLGNLRLKGVTCRLFRQQQSVEDIE - ->YP_010086249.1 RNA-dependent RNA polymerase [Xapuri virus] -MDETIINLKDLVRKYIPDLPEITLQKELLLSQQQVDMILTEGFKLLALIVELESAYSNNCTPNHEGMTVE -QILRDNKVLTPSLPKLIPDGYNLLGETLILLETFVRVDSVSFEQKWKSDMAKLLCLKDDLARAGITLVPI -VDGRTNYYNRFVPDWVTERFRWLMLNLVKGSRGSNIEIEEQEYKRLVHSLSKFENQSLGFENISTMQETG -LEYRDKLRAVLLKGVDNKMRDSKVKERLLSLRLWYKHNVHDKGASKYKWTNKEELLQELEKLSSRSEHLN -NRCHICSNRVFEICHNIVKFMGRFELETEERESNPGMICTKYLSLLSVCNKIKGSKVLNTRRNTLLYLDN -VIINFLINEMIDNPSTIQVLKREGLIIGRLLTFANDRCFSVELSYNLIKRKFRFCPNWLTSCSKILSEEM -PELYKVIKPFASKPDTEGLLKLISGLGDDPIIMNYKRDPQLVCTHENGNLNLNNDLFNYLKVLSGISLGM -INSLKTSFSSRMIVNEQDASNFYGKVNLKEAYVQRFFLEDGVIGLLFYQKTGEKSRCYSIYLSTKQGLEY -MGSFYADPKRYFLPIFSEGVLISMVDEMVGWLDFCPELQQEVSPMLNELLISILCSPSKRNQVFMQGLRY -LVMAYVNQIHHVELMSKLEVKCASSADMSVQILASKLVMKVLDNKNGEHLTRRFKFCLNVSYLCHLITKE -TPDRLTDQIKCFEKFLEPKCKFESLIVNPTLDQQLTETEEDEVLRGLEKLLGKESECIEDISEPGISREI -LSMCLSCFNNGKLSVNGKLRSDPYKPSFTSTALDMSSNKSVVIPKLDELGNIISTYDYETMVSSCIVKMT -EYFKTKGKYCVEMAHIDHLIYKNLSNLVSCGKSSELQEADDLSLLYESLTEEQISVFNQLKEEVIRTMSK -MNDSHKNDQKKTASDDQAADPGWLMNLWSDLGVCRKIKQETSIHEIRDFDPQLIEEDVLKTMITRVYCSS -KSSDFFLEDVVNPCPLDMLLRNGCTASFNEGDYFQCFKYILIISGFDQRLGSYEHRNKSRLGLKREALQV -SEKARVSVRESNSEAIAKRLDKSFFTNSALRNLCFYTDESPTSFGPAGSDVGKLKFGLSYKEQVGSNREL -YVGDLNTKLMTRLIEDFSQSVTDNMNFSCLNSEKEFEKAIGEMKMAVNLGDLCYSMDHSKWGPHMSPAIF -YSFMMGLNLVDTKCSKPIDIKPIISILSWHIHKVVEVPFNVAQAYLTGLLKRDLGLMHSDSFNITESFMH -RFMRERREIPSHIMSVLDMGQGILHNTSDLYGLITEQFINYALDFLYDVVPCSYTSSDDEILVLKMPTNT -TSEEELKEMTLELIGFHDFLSSKLNKFISPKSVVGSFAAEFKSRFFVLGEEVPLLTKFVAASLHNIKCKT -PIQLAETIDTICDQSVANGVSVSIIEKISERVNKLIKYSGFPGCPFLAVEKQDVKDWVDGTRSYRLQRNI -EYFLEKTELTKSVRSICYKIFQKVKSGQISEHCLVSLVGNDGDKAFKGFLDSVGTTVNEIERFLEPRWLN -LSTYGNLRLVLRTKIMTSKRLLEREEIPNLIKSLQSKLSKNFTRGAKKILAESINKSAFQSSIASGFIGF -CKSMGSKCVRDGSGNFLYIKDLTRKITKCDCDVCGFWPGVLFCKRAIESVSNYSRPILWDYFSILLCNAC -ELGEWVFSDPREPQMRRFLNNRNLFWAIKPKVPNKVQERIGMNHVLQSVKRNYPHIYDEHLTPYLSEIQV -GKLISSLSIRFLDICVALDMVNESLGIVAHILNDKREELYIVKQDECSMSHIREADYIDKDLGLSSQQIC -YNFKVQLLFSSMIQPLVLTTSTLKNFYWFGEALRIEDSTELDLGELTNFAVLIRDCGVERGMIMEDLSLG -YIVSDISEPVLSVNHTVLMQQLDWGLLKNETELKALFETSRSDTVLFEFVLQITHTRTSTRFNLKRRVVY -TMKFKVFMKVDKIHSEEKVWKVDDLELFVTGAGNNHFILDAAPQLSMEPLILGKKTFDLAELLSNQELNV -KDDEALFGNISFDFGDHINTISNKFSYILTGPDVSDNPLIYDAGQFKQDNVVLSTMKVAILGNSILKAMT -TLESKQERFKLFSGILRYSIKTKQIIDITQEEFLDLRQQYQSEVIESFKEIKDWIEFTSYKLCYSQHYDD -LVVSDTSGRVRLRGVLCRRLTEGSVVDID - ->YP_009553324.1 RNA polymerase [Apore mammarenavirus] -MPDGYNLMGKTLILLETFVRVNPDDFERKWKADMSKLISLKEDLCKIGITLVPVVDGRSSYNTQYLPEWA -TERFRWLLIEILRGVKMASEAEVEDQEYQRLIHSLAKTNNQSLGFENLEFLKRKFLSYDELLETSLLIGV -KSDTRESKVVEELIKTKIWFKHEVYDKGLGKFVKTDKPALLSTLVEMGSYKDSDSVDCIYCSCKLMELCH -KLSRRLPPKEDNVTRFEQPAVIRYNTLLSLCNKIKGSKIFNTRRNTLLFLDLIMLNFITDEVDDNSSTAQ -DLRKAGFIIGQMVMLVNDRALDILAALKLIRQKLCHNVKWLSACSKILKRHDEDIWCQIKSFIRVPSYES -LFCLAEILVSERPIMRYTVHKDGDGRCRHSDPTNLSKTESNLILRALSHISLSLINSMKTSFSSRLLINE -RDYSRYFGNVRLKECYVQKFSVAEDTMGFLFYQKTGERSRCYTLYLSEYGELVELGSFYCDPKRFFVPIF -SEDTLDSMCDEMVSWLDFDKNLMAQVDPKLRSLTTLLLCSPSKRNQTFMQGLRYFIMAYVNQAHHVDLMS -KLEVECKSSSEIQVQRLAVGLCQMVLSKGDCEDYGFARRFKFLLNVSYLCHLITKETPDRLTDQIKCFEK -FLEPKLKFGSVIVNPSLDGTLTEPQEQAMIHSLNKFFKKSLTDISDVKEPGISKELLSFCVSLFNRGKLR -VSGDLKTDPFRPSYTSTALDLSSNKSVVVPKLDELGNVISKYDKEMMISTCVTSLVEMFKTKGRYNLDPD -SIDFLVLKNLTNLVSANVSKDRSEGELSLLYESLTEEQAESFEEIKQEVHVALSKIKSSNCGAVKSKEAM -RLKDDQSKSKLLETMWSPFQVMRAIKNEVSIHEIRDFDPEILEQEVVKKLCSEVFNSRYKLQFFLEDPLK -SVPLEMLLKNLTTIAYIDEDYMECFKYLLIQGGFDQKLGTYEHKSRSRLGLSSEALKAQEGARVSTRESN -AEAIAKKLDKTFFTSAALRNLCFYSEDSPTEFTSISTNTGNLKFGLSYKEQVGSNRELYVGDLNTKLMTR -LVEDFSEVVTSSLRFSCLNSEKEFERAICDMKMAVNNGDISLSMDHSKWGPYMSPALFFTFLANLNLTEP -KGRSRLNLTPLLNVLKWHLHKTVEVPFNVAQAYCVGKLKRSLGLMECQTSSLTEEFYHSFLQTKNEIPSH -IMSVLDMGQGILHNLSDLYALITEQFLNYILQKLYDIDLISYTSSDDQISILKTPTSSESDTEKFDWLEV -VCFHEYLSSKLNKFISPKSVVGNYVAEFKSRFFVMGEETPLLTKFVAAALHNVKCKTPTQLAETVDTICD -QCVANGVGVSIVSKISTRVNRLIKYSGFKETPFLTVVKQDVKCWTDGSRGYRLQWNIENCMGDSEVLSLV -RRSARRVFVGIKNGRIFEENLIGLIGRGGDQALQGFLLYAGVSEEEIDRALSFRWLNPSTFGDLRLVLRT -KIMSSRRVLEKENVPSLIKTLQSRMSRNFTKGVKKILAESINKSAFQSSIASGFIGFCKSMGSKCVRDGN -GGFIYLRDLYKSVVKCHCEVCINWKDVVYCNNSVKHISRFTRSIMWDYFTLVLTNACELGEWVFSDVKLP -ATANILSNPNLFWAVKPRTRKLIEDRLGLNQILQSIRKNYPKLFEEYLLPFMNDLQTNQMMNPTKIKFLD -ICVALDMVNENLGIIGHLLRGKNNLLYIVKQNECAAAHIRQSDFVDHELGLSSQQVCFNFKVQILFSSMI -DPLIMSTSVLKSFFWYNEVLHISEDDQIELDELTDFTLSVRSYGIERAMTLDDMTMGYVCSNMLDEVITV -NSIGGGRDSLNKQRRQHDLSQFLKNFSEGVMKITLDIQVTHERRSTKFCISKSVTYSFRTSCSIPLGEGL -DKEMCVTVDALNLYAHGTGVSHHLLLDGVSIIPTLPLFNKKKSINLAQILVDSEIIDQMTTIFLEAIFLD -FSKFEHEIGDKFSYDLVGPEDQGNPIVLKNGVFMVDNQKLSILKVDFFGDTVMKALGALETMREVENFLC -NLWPYLRLTKKVINFNQIDFETIYDMHRTALLKSLAQLDQWLEFTNFSVAFSKHLQDLVVSDNQGDLRLK -GVTCRSFKRDHRIKDIEKTVSAPGTPHGGPLGAPRGSVGCTRFLALVVQMVLSEH - ->YP_009345128.1 RNA-dependent RNA polymerase [Hubei myriapoda virus 5] -MGIKWMATWISDYDNLSTLVLQKLQDVLWLWLRDHYSDFSIQKALTNYKEGWGLFESIDRNDFQTRFSPE -KSGKRYNSDHDVKELCRQAMNSLFFNMGSIVEINNLGIYEKHLVNDSFLRVHMSSLLRQSLKSHYIPFNP -EILQYMKSVKLQCSTISTKTIRELCKSSMIWQSASQGSYQGKLFEMIWEDILNIQIPEIQDVVQIIDKYG -GSSQMKINHFITNVHSESGIYHNDVSIFFNDLLNLMMGEDDRHELTQHIQMMLKTQWPMEIRSEVINIVN -MMVGTPELSVIHNLTSQIKGIVGEYIGCRFNQKEYIPEHKKKNIMEIIEGNTLCKLKYIPVLEKLTPDAF -KFTPDYSDKRVYLEVYEMGWVANTHMKYERDKAKWVYNMSKCHGIPCEVAGDTDFRFTLKLEDPTILSNE -EMEPIATRYGMQDIVAAVQLFHKHTRSYAGLDLDSGMNYIINDQQLQGVRTQRDRLFIPPEDKIELLVHQ -INSEVRSSIPDFDVNHYFKHSGKSNDNVERREEFLKFITKNIHLISSEGSKWQATTKDKKREIFTHIAQD -FLSARTIEELMSRGRFFHHKNTPFQIKEEHHPDTNPIQKRLEEHSHFLSHMSSKEQKKKKYMFSRTFQKL -IGYSWYVLPNGQYGHRKNRHDKMFEKPIVDCFSRDSAGKIFLTLKEKEVKNWHQVLSESKDTCNECKSLY -GRTVKDKKSLWHITLAKLQNSNDQLIYDDSSREDIVQSSFLNKSEDESDGEESGSLIDPVDDSDFYQEYS -DSDDDLNENQDVNNKKSDETFPCDDLDGYLEMLHSQVISSKDQNIKGPVVDVCQCPYPQDDQWKILQPSK -GFSESYLMDMICMCGARHNIRIDIPLISMIVSQMMDKVRPNWHAGHVEIIDAWSNYLKNKQKFIIKSIVR -QEYLNRNIQVKVQVNKPRKKTRQEDDVIILDDDASPEDVHVVQNILQPLYIIVLERFIENLMECSEMSLL -DSQNNEVIKHYCKSKWDYWLSCTPDDISREVLNEIVDKFLNIEQNCTALMSAECSLDIIKTKSDAFKMSD -CKGCVTYTPYIGFVHTDNANLSQESVRCIQLNGEFQYVNHRILRQWDAIPRFLISNHIIQEQVNMSAHHD -KFGKEYEYCGLMHQFMMSNSRRMNVIVQNLRYLSMVRWSKFYCKSFKKKMEMKVIKWDEFGLGVEVLRFS -RDLLYSDVIQNLFEQSNQKSHFKNYNAIIHQFYKVHFFEKSIPGVNQEMKKVYKKFLKPKLQDESLMQKI -LNDEKVWNPKCTTVQTVNKVIETFYSDKSESEKAELHAKALQLCHSAIDGHVSDDILFYQIQTVRSMDDD -NSIPLWSRKLIDDLFELYDQLPNDKYSDDVDKHKPFVELAKTSSTVDNFKVSLPFHRVLSSYDTCQKIQQ -AFKENDKSILQESYWEPSKMQGDYKLSSTFYQMCQKMMNRKSIILKGDLPDTWNEQMEEFLEQIRHCTTL -ATDILNPNHISLLSDLLNFMADCAKWLDTDVFSQMVKLNIMRSRRDNQFKIEEEDDDVQFRNTLRGFNIL -LPDMEQFDLMFSVFCALSYGNNDLECMDIINACKSGNITDLPHLTVLAAHVITQQLANFKDVIELCNKVR -VGNVNKLRNTIFQKKSVYRNTRMITTELIYTLSQRMKGSQYLTSVDAVEKLFLNDHPIFFGMSRKEQYGG -ERELTISDTEGKIVLKMLEDMFRHISKSCTNSCLNNPQNEEHHKSFTRRAQIGDKMMMVEKGLDFTPSQE -VCHEDCNNLLNKDENDDLDDKNSSISKPKMGDQMSYDEDEFQSLWFDDIDMNSLTEKDDENEVNKKDGKD -RKSEKCRSNIPDGKTEDKNIEDIQKKIGNDDADDVTRTIVQNKNGKGNGEIEISEEKKKEEKAETEKKQH -CAPSEYHFTEWYFSSEDHSAWGPCHHSLSFQKLMSNITLPKHIHKTINYGILRHQKKLNEIPASIIEGVL -SKVRHAYPILDHLSLKLIDDAEMFRCLNEEELYVWNLLKKDLLCSHHRFDMGQGMLHSASDVYGSFCSNV -SMKVAELVLKKLYPGFKMCWIDMNTSDDSNCMFRFLFPYGPGDNVSALRDKMLRDITNIKDFVQKCLNEH -MSPKSVVSDQISEFKSSFMFGGCESRVLVKFCSSQLMLGKDYMPHHFWESAYSLSQQCLANGGSMISVYL -LCASKFRHLDRAYHFSHCSPFLKEVRTLLPPAAAGFPIFTSTQLYFGCIHSIIMKACEKLTHKIMEEIKQ -KKIQVKVGQSIDTGQDNLRTIQISLIPEDSLILKMPLHLFHQNLPYDTPISKLLLTYFTFQHLKPQIEMD -NPGIFKPLPISSPKSIITKSSFKIMEHSEMNQIMKRIKTNPDEYMKYLIHNEFLENSPETAEYRSIQALK -SSILHSFKNAKHGQVYQKAYHISKGNFCHRTDRMVSMKTTLINSGAIITRLIDPRRLMSIMHPNSISICD -QFDHENLIFEDRSQVVPRHTDKLHTHEVRPVETDDLKWSNNPLAIYASLYHPNIFSIIPSDKLTLSSMVS -DVIEFKKHPELHDLDNLLDTMRCSKPNIIEGCYRFPATSNMFHDAEHIARSTQLHYADINVSYRVRVPEE -IDSHTDQDHLTKLITMCTIVANNPENELVKKKIIREYLTSPDYETDLGYLTLNKCRPGRIGDFCNCLLKF -CNLSEPVSGFKRKMQGGTNVIHEDIPGGYCTTISIEAVTWQMVKLNDKDPEILCDTNNPETWKSQIDLIC -KTLNQSFNVEQIRVDKSIRKKAVPVTFTESDNCSIQLTPNGFWVDSSRGMKSADSVWKLVGKLPQIYLNR -LTQIGQMHKEDYKYEPPDTPDESYYQFMKSYDVPEKTYVPFPIKIDIQSLWRLVKSGQLTLLTHGQHARN -EFKFDMELLNIVYDLTHDDSVNRIHRRSFIKGMSTSKKISRMMRLLFQEILEGDSEVNNKMVCFPIVQKY -FEVQCMNKDDNLSSVKFLSCMIEAIKYAENMNDLKSLKFWMDKLVDIVKVTGVMPALCIGSSRIMKISVG -KISDLNLHVTTKNYPQPDQKMKKKRMKRTSNRKTYRKKHGSYPECEDGSSSDEDPDKPEEPLDQKEEYPE -EVIDSKGRIVHFPEESGGYIKDTLQSVIDISEGRVRVTQKSKFQWLYFGCMPGTNLKKMQLECISMCGST -GAKILKGQIRVSDMNYVSKLVDIVSGNEAQDQKDVDAFNDSCEEFKIIYVAAIQNTVLDRATMIYDK - ->YP_001936028.1 L protein [Parana virus] -MTEIISELKDLIRKWVPDEEHYIEQKSLTLSQVHTRAVVIEGLKLLSLIVEVDSCLKHKCIPNRNKTVNM -ILKDHKLVGPTLPECTPDGYYLCGDVLILLEVFVRSNQSAFEKKYAADFEKLMSLAKDLTSYGITLVPVI -DGRSNYYVEAIPDWVIERLRWLLLKVMDSLKDEGEDIEETEYSRLIHSLSTMENQNLGLESISQLKQTGL -TYKKKLQALFTKNIRPNMSLGECRLKLIEIFNEFRLRLESGTVERAYTQTNQDFLLNKLKEHSLLKVSRV -SKFDSKGDCHLCSNHLIKVLGLLKRQTTDEKEAPRIGMIRREYGLILSTCNKIKGQKILNTRRNTLLSLD -VVMFNAFLSLLRTYGEIVFDLMVGGCLQSVNDRLVSPSLIIDLYNKKCTRNPQWLSKVMLKLNLLPGYIQ -EDFKVFVRPHLVELDLDLWSNYLELYSTTFERRLEIQYTVSEEEVHEKTDPEVIEWLEMKESTFKQYLDS -LSTLSLGLVNSMKTSGTSRFHINQPNDYYGTVKCEECFFQSLHHAYGVSLLYQKTGERNRCYSLCSNSGK -VGHIVSFYCDPKRFFLPIMSQQVLLSMTQEMLSWLDFIKGDDLNLISSMLRRLILSVLACPSKRVQLYLQ -GLRYFLMAYVNEIHHVQLLAKLEVEVKSRSEWATMTLADDLVVALLNMSNQPNMSKTFKFLLNVSYLCHL -ITKETPDRLTDQIKCFEKFMEPKIDFGSVIVNSSLNGNMTEEEESKILLDIDRLLSKQLNTSDQISKPGV -NPTVMSLCCSLFNLGELDVNGKLKRDPQSPSFTSTALDMSSNKSVVVPKLDELGNPLSTYDYAAVVSSVI -VDLSEGFKNKLRYKLDPHTLKYKIYKRFLSLVSDKTPKENKLEMSQDEFLDEITDEQLEMIEKIEMEVNE -CLSKAANVEPRIGTGPDDKNPLKSLWAKEILCVIESETSKHEVKDFDYTLFHHETYKELVELVFNSNSRN -QYFTDRILNPCPLEYLMKNLCRKYYEEEDYFECFKYILVSTGFDNKVGRYDHKNMCRLGFKQSATRIRED -ARISCRESNSESILKRLDKSFFTNSSLRNLCFYSEESPTAFDSVSSDLGRLKFGLSYKEQVGGNRELYVG -DLNTKLMTRLIEDYFECLMEKMKYTCLNNEAEFERALLDMKSVVRRSGFTVSMDHSKWGPHMSPVIFSQL -FKALQFSLPDGSQIDKEPILNLLSWHIHKVVEVPFNVVHAYTKGYLKRLCGLMDNRTSKTEDFMDKFFAD -EVIPSHISSVLDMGQGILHNVSDFYGLITEQFISYALQTCVGVLSMAFTSSDDEILLGVTNDLKNQDESL -DIDKSLEFLEFHNYLSATLNKFISPKTVAGTFACEFKSRFYIWSQEVPLLTKFTAAALHNVKAKAPNQLC -ETIDTIQDQCVANGVSVEVVGAISKRTNNIIKYSGHPSNPFLCLNDMDVKDWVDGSRGYRLQRSVENVYN -DDEIPEYVRDMAARLFYLIRNGQVQEEYLISSMQSDPDECFTLLAGILGVPEQNISRLLDIRWLNLRAHG -DLRMVLRTKLMGSNRVIQREEVPSLIKSVQSKLSKNFVRGARKIITDAVNKSAFQSCIAAGFVGICKSMG -SKCVRDGKGGFMYIKDILSKIQYHKNCDVCKVSSGIYCKESLKAVSDFSRPLFWDYFALVLTNACELGNW -VFSKPKIPDVVYKLDNPNFFWPVKPASHTELEDKIGMNHVLYSIKRNYPDIFEEHLAPYLTDLNTLKLSW -VQKVKFLDICVAIDMVSESLGIISHMIKRKREELYIVKQNEQSMSHTRESQELAGGFRVTNEQICHNFLL -QILFDSMITPVLLTSSQFKKYFWYGEVELLPNDCEHPLGQLTQFIMDCKKLNLSRAMNLDDLDVGFVHST -IKLSDVFLNFSTFLTKVDWENRKDYNNLEELLKSTLESQLVLSIGLTFTHLRRSLKYKYERSTVYTIIAK -VILDIEQLTLNEDDQICLIVQEVECYVSQSGGDHISLDGAALIPLTPIISGTETLCLDEVAIRQDDMLKG -VSKHLGNVKLDFSSHIKELKNKFSYKIQGPQVGMNPLHIDKGIIMEGDKVVSRLDVNVTAKSLFMALELL -TDDELIRKFLRSLFYYLKSVKKGTALISLLSSDLKDIAEVYFNHFKDILKEEADWVSFGSFQLVYSKSLD -TIMIGDEKGEFRLKGVNCKRLIPVIPAVQEIE - ->YP_001936026.1 L protein [Latino mammarenavirus] -MDDIVNQLFDLLRKHFPARPKVTEQITLVTCQNDAKMILTEGFKLLSLLVELDSAEANNCTHNSDSLTIE -GILRKEGIMSIALPRIVPDGFSLYGNVLILLETFVRVNPVSFEQKYNQDMSKLLSLKDDLSLCGITLVPL -VDGRTNYYNRFVDDWVIERFRWLLLQLIKFVRESGEEIEELEYQRLITSLSKLENQSLGFENIEKLPQTG -LKYRDELKKHMFGNLSSKMKESEIQENLINVLKEFFIKEYKNNKSLHKFVFTNRDGLLAKLDQITHHSEH -PVDCMSCSSKLYSIIDKLGTLKRQPLHSDYHPIYAKMWHSDSLSQAEQIYLKLLSQCNKIKSAKLLNTRR -NTLLFLDLIMVNFIVHSWKQNPEVLTEYRRCGLMAGQLALFSNDRYFDLNELRNKLINKLKNCENWIAKC -VHQLKKQEFVALDDVLVWATVPDFESLELITTSLELKRFKLQYGKDKVDHNEHPIGPLSEETFFRNLNVL -SSVCLALVNSMKTSFTSKTVINERRASNHFGEVDLIECYCQRFFLSKDLVGILSYQKTGEKSRCYSISLI -SNGELEYIGSFYCDPKRFFLPIFSQIVLLNMSREMMLWLADLNLNDSLVGDKLRKLILLIVTNPSKRNQT -FLQGLRYFIMAYVNQFHHVELMDRLIVPVKSYCESCLQRISFDIFRLILEGDYDNEHMTRKFKFLLNVSY -LCHLITKETPDRLTDQIKCFEKFMEPKLKFESVIVNPSLTENMTEDEEAQVLKGVDKLLGKSLSCSTDLT -SPGVSKTLLSMCVSSFNRGLLNVNGHLRQDPYRPNFTSTALDLSSNKSVVVPKLDELGNPISRYDYELLV -SSCVTNLAEGFKTKGKFKLDINCQEYTIMRNLTNLVLKNEDKSDAKIKGEKPCSFELSQWMETLSEEQLE -VLEKLKGDVNIALGKLKEKGRSKSNSTLKEGVKRLDSGNTLAGCADPQQVLVNLWSEFGVMKQILVEVSL -HEIKDFDPDIIPPQMIQKLVFKVNNSNYKSLFFLDSVINPCPLELLIKNMTTATFDDGELFECFKYLLIT -AGFDQKLGTYEHKNRSRFGFKFEALKVREEGRMSSRESNSEAIARRLDKSVFTNSALRNLCFYSDESPIS -YSHVSPDVGKLKFGLSYKEQVGSNRELYVGDLNTKLMTRLIEDFSESVVSNMSYSCLNNEAEFEKAITDM -KMCVNLGDMSLSLDHSKWGPHMSPVIFAAFLQGLDLKYGPSLCKLNTDPIITLLSWHIHKVVEVPYNVIH -AYVTGMIKRQLGLMNMSGSTITESFVHRLLKEKREPLSHVMSVIDMGQGILHNMSDLYGLVTEQFINYAI -HFLFDMNTTSYTSSDDQISMIKIGSGMCNFESLKVIEEWETILNFHAFISTKFNKFVSPKTVAGTFAAEF -KSRFFVWGEEVPLLTKFVSAALHNVKCKTPVQLSETVDTICDQCVANGVSVEIVSYICNRTNRLIRYSGF -GEHPFLNVENLDVKDWVDGSRGYRLQRNIELHLESDGCTSFIRQAARKVFSNIKSGKIVEQALVDLVQED -GDKAITGFLRSVGVSEEDIALLCRIRWINLCAHGDLRLVLRTKLMSSRRIIETEEIPSLIKSIQSKLSKN -FVKGAKKILAESINKSAFQSSIASGFIGFCQSVGSKCVRTGEGGFYYIKELKSKVDLYCPCEVCARWKGV -TYCSSSCLKIESFTRPLMWDYFSLVLSNACELGEWVFEDVEYPKDINFLRNPNLFWLVKPRVSCQIEEKL -GLTHILQSIRRNYPQLFETHLSPFMSDFQAGRTLGTMTVKFLDVCVALDLANENLGIVKHFLKNRRHDIY -IVKQDESSQSHIRCQKSICVDVELTSTQVCQNFMTQLIMSSLVQPLVLTSSELKKFNWFQQVLTLETDED -VDLGLLTDFALQVKKFNVDRAMHSEDLSAGYISSTVSVTTFSLSKPIFLQQIDSDFIGGTEDRKDFIQMI -KSEFTKNSIDLQFVIQISHVKRALRFNLKRTTVYTLIVRTSILKEVILNSLGQEDQSVELVVDDLELFCS -GHDGNHFTLDAAPLIVQEPLINGNLKFDLVSRLEEEDLTFSYSESLPSFHFNFEKYKHELCNKFSYHLSG -PVIVDEPLVLDRGVILHGGRKLTTLQFDFSADRIMQALSELESLSSRDLFLFNLWVYSDQTKSKLYIHQD -KLLLLVESYLSELNSSLARYDSWLNLGNYMICYSKSFKCLMISDTNGRNRLKGILCRRLIEEEVQDIE - ->YP_001936024.1 L protein [Flexal mammarenavirus] -MDETLNEMRDLIRKWVPDEPEFIEQKASSLSQVHLRAVVIEGLKLLSLLVEVDSCKKNRCIHNRSKTVNA -ILREYKMVAPTLPDLVPDGYLVTGDIVVLLEAFVRVNQSSFEVKYNHDFGKLMMLSQDLSKVGITLVPVV -DGRSNYYVDYIPDWVIERLRWLIITIMKQLKDDGEDIEEVEYERLVCSLSTMENQGLGLESLTQMRECGA -SYKQRLESVLSLGVNGQLSVSDCKTAILKLFTEYQTLKEQGYLAESFKSTDRLELQEALRSHSLIEHGNL -GASPHCELCQNHMIEVLTKLKGSKSSSNTKLVSQEVSEYFSLLSVCNKIKGQKILNTRRLTFLSLDVIMF -NKFLQIIKKDCTQDVHFLVGGCLRSVNDRLVCPDLIVKAYERKMISSPKWLTKVDQKLVHGLPEPLRQNY -ELQVQPLLTELDLETWAEYYDSYRENWGNKPSINYNAIATPCNCEENDRLDYVNLSDESFLQYLEALSTL -SLGLVNSMKTASTTKLTVNQPDNYYGFVHCNECYYQEFQEAYRSVLLYQKTGEKNRCYSIFKTVGGGDSE -EHLASFYCDPKRFFLPIMSSDVIHSMACEMLSWLDFMSDSDKRKVSCNLRKLLLCVMCTPTKRLQTYLQG -MRYFIMAYVNELHHVQLLNKLKIVAKSKAEFHTMCLTDDLILSVLTSSDEVNMTKAFKFILNVSYLCHLI -TKETPDRLTDQIKCFEKFMEPKLNFDSVLINPSMSLHLEPKQEDKFLNDMTRLLSKDVKGAQGSDPGTDP -LLMSICSSLFNHGELGLPNKLSRDPQSPSFTSTALDLSSNKSVVVPKLNELGEPITTYDYQTLVSSVVVE -LSESFKNKLQYKLDRKSLVYKIYDRLMGLVSNKVKHPIDEPFDSEDDILDSVSDEVKDVILKIESDVTSC -LSRMESSKCNHGCEKKVGTGKADHNPLDSLWSEEVRMRVNIETSHHEVKDFDFNTFPPETYEELVQIVFE -SRFKELYFTERVFSPCPLEMLLRNLTRKYYEEQDFFECFKYILVSTGYDNRVGRYDHKKINRLGFKEPAL -NISEVVRISTRESNSESILKRLDKSFFTNSSLRNLCFYSDESATERSCVGTNIGRLKFGLSYKEQVGGNR -ELYVGDLNTKLTTRLIEDYFESIVGDMRYSCLNNEKEFEKALLDMKAIIRQSGFVVSMDHSKWGPHMSPV -IFSQFLRLLKLSLLDGSVIDNRPILELLNWHIHKMVEVPFNVVRAYMKGYIKRCTGVMEKNSMTMVEDFM -HKQFETGVVPSHISSVIDMGQGILHNVSDFYGLVTEQFINYCIKLCYDTPCLSYTSSDDEILMSSSFILK -KNDGELDVELAKDILDFHDFLSRGLNKFVSPKTVAGTFACEFKSRFFIWSQEVPLLTKFVAAALHNVKAK -APNQLAETIDTILDQCVANGVSIEVVGRIAKRTNALLRYSGHPYNLFLCLEETDVKDWVDGSRGYRLQRS -VENVFPDDEVPGIVRAAARKVFHLIRSGTIEEEYLVSTIQTDPDDCLRRILEIADVTNEQIERILDFRWL -NLRAHGDYRMVLRTKLMNSARIIEREEIPSLIKSVQSKLSKNFVRGAKKIITDAVNKSAFQSCIASGFVG -VCKSMGSKCVRDGKGSFLYIKDVLKDIIKHVNCHSCRNYCNIYCREALKEVSEYSRPLFWDYFALVLTNA -CELGNWVFSKAVLPKSVYKLDNPSQFWLCKPSSHTELEDKVNLNHVLFSIKRNFPSLFEEHVAPYLSDLN -TLKISWVQRIKFLDICVAVDMTSESLGIISHMIKRKREELYVVKQNEQSMSHLREASTFEEGLQLNSYEI -CYNFLLQILFESMLTPVLLTTSQLKKYFWYGEVELLPNTEPHELQQLTQFVMDCKMLNISKAMTIDDLDL -GFVQSTMKMTDVNLNLSTFLTKVDWANRYLYETFESMFIESPDSEFSMELVLVFSHIRKSYKHKYEHTTT -YTVKASFVLETSLFSDQDDQDVLVIPVKDVECFVSNSPGNHLQLDGAGLIPLVPVVSGKEVLNFDLLLKD -QDVSFSGTSPHLSKVRLDFSAHIKELKNKFSYKIIGPEMGFTPLHLDKGIIKEGDRIVSKLNVNVTSKSL -FMALGLLSNDKVSEFLESLFYYLKSSGKTGALLSMTTSDLQNLVDNYNEDFKQILKRESDWVSFGAFKLA -YSNSLSAIMIQDERGPYRLKGLSCEKLLKSHDERVEID - ->YP_001911120.1 RNA-dependent RNA polymerase [Whitewater Arroyo mammarenavirus] -MIDCSDRINELKDLVRRWVPDEEAYTEQKTIVLSQVNPSSVITEGLKLLSMLIEIDSCLKHGCVFNKNKT -VNQILKDHKIVGPTLPDVVPDGFKVSGSTIILLETFVRVNQESFEQKYKYDFEKLMQLSKDLNKCGLILV -PVIDGRSNYYVDRFPDWVIERIRWLLLKLMDSVKDSGESIEELEYNRLISSLSNMENQNLGLESLKALRE -EGLDYKAKLMGVIKDGTMSKMTASECRIGIAKIYDQFCLLRDSGQYQDVYCETSRADMIKWLKTHKLISP -ISNGEGGPLNSERCGFCQNHMLRVIAELVQSKRASCTQLPAESKETLRHKKLLSDCNKVKGLKVLNTRRH -AILCLDVIVLNSLIEVIKSGVDSSHFLINNHYKSVNDRLLSVDLIINKLERKLLKQPDWLKIVGRKLNKS -VKEQSLDYVTVWLKELDYEFWYEFKFEREHSGKCEKPTLRYKKQNQDTCYQVKFGTDKVLNEGMFVDYLD -ALSSLSLSMMNSMKTSSAPKLIINDEKNFYGTIQCEECYYQDLDNLYNSILLYQKTGEKSRCYGLMLKDE -EMTNAYKTGPSFYADPKRFFLPIMSSTVILKTCLEMLSWLDWLSTAEINDVKTKLFTLVVNILITPSKRV -QIYLQGFRYFIMAFVNEFHFKKLDQKLSVQALTSAEQHVFVLMDELVVYLLEEALEENMAKIFKFVLNLS -YLCHFITKETPDRLTDQIKCFEKFLEPKIQFGSSFVNLDSSPNLCKEDEEKFIMNLNKLFSKDLGVDDME -NPGICKTILSLCVSCFNCGILPINKVLDRDPQSPSFSSTALDISSNKSVVVPKLDEAGEVITHYDYQSLL -SSVVVEMAQSFKDKLRFKLDRKSIQFAIYKRLTNMVLKRRSHPKDHDDECAEEFEELLDEGTYKLINDIE -SNVLECLNGMVTSPMKKDVKEQGAVRRYEGSDLLSTLWPRELMGPILAETSLHEVKDFDPSIFSDGTYQD -LCHSVFNSKFKKHFFLDDVLRFCPLESLVKNLATKNYIEKDYFECFKYILISAGFDNRVGRYDHRSRSRL -GFKDAAYHVKEASRISLRESNSEAISKRLDKSFFTNSSLRNLCFYSEESPTFQSTVSSSTGKLKFGLSYK -EQVGSNRELYVGDLNTKLTSRLIEDYFESITSESKFSCLNNELEFEKAILDMKSVVRLSGLAVSMDHSKW -GPYMSPAIFNALFSNLDLQLKDGTSIDKGPIENLLNWHLHKLVEVPYNVIEAYLKGYTKRGLGLMDRMSN -TICENFIFNWFARGVVPSHISSVLDMGQGILHNTSDYYGLVTEQFIMLCLEQCFDVKMSAYTSSDDEIIL -SNSFSLRNSDNESLNNLKCKELLEFHYYLSSKLNKFVSPKTVAGSFASEFKSRFFIWSQEVPLLTKFVAA -ALHNVKAKSPHQLAETIDTILDQCVANGVSIEIVKEISKRTNRLIKYSGHPIDPFLCVVDTDLKDWVDGS -RGYRLQRSIESAIGDDTQLSIIRNSCKKLFFKIRSGNIQEEYLISALQSSPDECLRQMLSITEVNEQEIE -DLVETRWLNLRTFGDLRLVLRTKIMSGTRILDREEIPSLIKSVQSKLSKNFVRGAKKIVTDAINKSAFQS -SICSGFIGLCKSMGSKCVRDGTGGFVYIKMLLREIKDHQACGICKPKLSVFCKSALDRLPKYSRSLLWDY -YSLVFTNACELGNWVFSEAMLPKRVPTMVNPNFFWCVKPGSHTELEDKVNMNHVLYSIKRNFPDLFDEHI -APFLSDLASLKISWVQRIKFLDLCVAMDMSSECLGVISHIMRRKREESYIVKQNELSHAHVRDSNPLEGG -FQLNSLEICRNFLYQIIFESMLHPVLLTTSQFKKYFWYGEVELLPNDADHDLGQLTQFIMDCKTLNISRC -MSLDDLDVGYVHSKITLSDIFINLSSFMHLLDWGSLNDYESFDDIILKTGQKQTPIEIGIVLSHIRRSFK -FKYDRKTNYHIECRLIIDKDEMMMNRWDEDNILEIEVSEVQCFVSGSEGHHISLDGVGLIPLHPLFSGKE -LIDFNKLLVDQSVEFRQTSTVFQRVRLDFRQHTKDLRNKFSYKFQGPEQGLSPLHLYKGQIMERNTIVSR -LDVPISSKSVFLALEALDPAEHTTFLTSLHTYMKTRMSKSNPCFIRMTQEDLCLLIESYEAAFIGVLKLE -SDWIEFGDFALCFSNSLNCIMIADDGGQFKLKGRKCRSASTCPRPLEIE - ->YP_001911118.1 RNA-dependent RNA polymerase [Tamiami mammarenavirus] -MSECLTYFNELKDLVRKWVPDEDTYVEQKTVLLSQVNFSSIVTEGLKLLSTLIEVDSCVKHGCIHNRSKT -VNQILYDHRIVGPTLPDVVPDGYRVSGSTLILLETFVRVNQESFECKYRHDFEKLMQLSKDLAKCGLTLV -PVIDGRSSYYIERLPDWVIERMRWLLLRIMSNLRDSGEKIEEMEYERLVHSLSNMENQNLGLESLASLRE -EGLDYKTRLTKTLKEGIYSNMTTSECRVGIAKLYDHFCLLRDSGQYEDVYTTTSRSEMITWLKTHELVQM -SSSERETLIEAETCKFCQIHMYAVLKDLVLLRKGWKGSRCRDANEILAHKSLLSDCNKIKGLKVLNTRRN -TLLCLDIIVLNSLINLIKLQYTDLQYLINNHFKSVNDRLVSVDLIINKLDKKLTSDPNWLCKLRTKIGHK -LKIYDLDHVISWLRPIEVSHWYEFKLERDNSGECVKPTIKYKKSGVGDCQGEDCNKDVITDDSTFSDYLD -ALSTLSMGLMNSMKTSSATKLVVNDERNYFGTVQCDECYFQDLDINYGTTLIYQKTGERTRCYGLMSKEG -GGPDVYKVGKSFYADPKRYFLPIMSSEVILKMCREMLSWLDWLSEKEMMDVRTKLYTLVISILTVPSKRV -QIYLQGFRYFIMAYVNEFHVKELVCKLKVKPLTRAELSVFTQMDDLVALLLTGTSEEHMTKSFKFILNLS -YLCHLITKETPDRLTDQIKCFEKFLEPKLTFNSVILNLDSSPQLTEGTEEKIIGDLKKLFSKDLGVLDLK -EPGVSKEVLSLCSSCFNNGMLSLPKVLSRDPQSPSFTSTALDISSNKSVVVPKLNEVGETITQYDYQSLL -SSVVVEMAQSFKDKLRFKLDRRSLQYAIYKRLTNMVSKNEFRSKDDPNDSGILEDIEDLVDEGTHKLINE -IEANVSDCLSKMSSGCNKSNQSSKGLKKFEKVDLLQKLWSREYMSLILSETSFHEVKDFDPSLLPSESYQ -EMCDAVYDSVYRNEFFTEKFLKLCPLELLIKNLATKHYEEGDYFECFKYLLIGAGCDNRVGRFDHRSRAR -LGFKDTATLVKEESRISSRESNSEAISKRLDKSFFTNSSLRNLCFYSEESPTYRSSVSSSVGKLKFGLSY -KEQVGSNRELYIGDLNTKLTSRLIEDYFESLTSECRFSCLNNDSEFERALLDMKSVVRLSGLAVSLDHSK -WGPYMSPAIFNALFSNLDLQLKDGGLIDKSPIENLLNWHLHKIVEVPYNVVEAYLKGYTKRSLGLMDRSS -SSMTEDYFFRQFAKGVVPSHITSVLDMGQGILHNASDYYGLLTEQFITLCLELCFDVKMTAYTSSDDEIM -LSNSYSLKRESDDDLLDMEKCKEILEFHYYLSSKLNKFISPKTVAGSFASEFKSRFFIWSQEVPLLTKFV -AAALHNVKAKSPHQLAETIDTILDQCAANGVSIEIINELSKRTNRLISYSGHPVDPFLCVFTTDLKDWVD -GSRGYRLQRSIESIINSEEILSTIRDSCRQLFYMIRSGRIQEEYLISALQSSPDDCLRQMLKITGTNDSL -IEEALTTRWLNLRAFGDLRLVLRTKIMTGTRILDKEEVPSLIKSVQSKLSKNFVRGAKKIITDAINKSAF -QSSICSGFIGLCKSMGSKCVRDGSGGFIYIKDLLKKIDRHTNCEVCCPLLSVFCEHSLRQVAPYSRPLLW -DYFSLTFSNACELGNWVFSKVELPRPPLGSMNPNFFWPVKPGSHSELEDKVNMNHVLYSIKRNFPDLFDE -HIAPFLSDLNSLKVSWVQRIKFLDLCVAMDMSSECLGIISHIMRKRREELYIVKQEELSVCHIRESCSLE -KGLQLNSVEICQNFLTQLLFESMLNPVLLSTSQFKKYFWYGEVEFLPNDADHDLGQLTQFIMDCKLLNIS -RCMCLDDLDVGYVHSKIELSQVFINLSTFINLVDWENRESYQSFDEVLIHSNADHIPLEIGIILSHTRKS -FKFRYERKTNYYVKCGITIQKSEISSFSTTLSDGFELHVEEIDCYVSGSEGDHISLDGVGLVPLHPLFSG -KEALDLNKLLSDQDIEFKQISLVFSKVKLDFKDHVKDLKNKFSYKLQGPEQGLEPLHLDKGQIMERNTVV -SRLEVPVTSRSLFLALEALDPGNRPRFLSSLHEYMRKRPGKKDPCFVRMTQQDLCLLVELYEAAFMQVLS -AVSDWIEFGCFALCFSKTLNCIMIADDGGDYRLKGRPCKTLSAQKTLTDIE - ->YP_001816785.1 L polymerase [Chapare mammarenavirus] -MDTFLLELKDLVRKYVPELVELSFQKDALLSQVHPRLVLVEGFKLLSLLVELESCKVNACRHNFEQKFVD -VILSDHGVICPTLPKVTPDGFNLMGKTLILLETFVRVNPNDFERKWKADMSKLMSLKDDLARVGITMVPV -VDGRGSYNTSYLPEWATERLRWLLIEILKGVKATSEIEIEDQEYQRLIHSLAKANNQSMGFENLEFLKRR -LLSYDQLLDTSLLVGIRNDVRESKIIEELIKIKLWYKTEIFNKGLGKFKRTNKSNLLSDLLKIGLHQDSD -TINCMFCSCKILELCYTLSNKLSIDHSKEEMKDDEVGGKQPVCISYSSLLSICNKIKGSKIFNTRRNTLL -FLDLIMLNFIVDEMIQDDSVVDSLRGAGFIIGQMVVLVNDRALDILAAMKLIRHKLGNSKDWLSVCGKVL -KRYDEEMWKEVKTYIKEPDFDMLFQLAKSLVSERPIMRYTVHKDNESRCLHQNSLNISDQSFKAMLKALS -HVSLSLINSMKTSFSSRLLINEKDYSRYYGNVRLKECYVQRFPISSRVTGYLFYQKTGERSRCYSLYISE -NGELSELGSFYCDPKRFFLPVFSEDTIVSMCNEMVCWLDFDEQLVELVKPKLRSLVLLLLCSPSKRNQTF -IQGLRYFIMAYANQAHHVDLMSKLEIECKSSSEIQLQRLAVTLFELVLSTGDDKDFGFARRFKFLLNISY -LCHFVTKETPDRLTDQIKCFEKFLEPKLNFNSVIVNPSLSGILTEAQEEIMTSSVNRFFQKNLTNISDVK -EPGVSKELISFCVSLFNRGKLRVSGDLKVDPFRPSFTSTALDLSSNKSVVVPKLDELGNALSKYDKQMMI -SSCVTTLTEMFKTKGRYNLDPDSLDFLVLKNLSNLVSVSVSKGQMKEELSLLYDTLSEEQLESFEQIKQD -VQLTLSRMKESKCNNVGLGNSRKVNKHLSKSELLETLWSPYQVLRAIKNEVSIHEIKDFDPDIIEHETVK -KLCDEVYQSSNKLEFFLEEPLKSVPLEFLLKNLTTIAYEETDYLECFKYLLIQGGFDQKLGSYEHKSRSR -LGLSSEALRVQEDARVSTRESNAEAIAKKLDKTFFTSAALRNLCFYSEDSPTEFTSVSTNTGNLKFGLSY -KEQVGSNRELYVGDLNTKLMTRLVEDFSEMITSSMRYSCLNSEKEFERAICDMKMAVNNGDISMSLDHSK -WGPHMSPALFYSFLANLNLTEPKSRAKLNLGPLLDILKWHLHKVVEVPFNVAQAYCVGKIKRSLGLMECQ -TSSVTEQFYHNFLQRENEIPSHIMSVLDMGQGILHNLSDLYALITEQFLNYAIYKLYDVDVLSYTSSDDQ -ISIMKLPAYEHIDEDSPDWLEIVCFHEYLSSKLNKFVSPKSVVGNFVAEFKSRFFVMGEETPLLTKFVAA -ALHNVRCKTPTQLAETVDTICDQCVANGVSVSIVSKISERVNRLVKYSGFGETPFLSVVKQDVKDWSDGS -RGYRLQRNIENSLRDSKILEVMRKGARKVFLGIKNGRIFEENLIGLIGRGGDEALRGFLLYAEVDKDEIE -NALRYRWVNTSTFGDLRLVLRTKIMSSKRVLERESIPSLVKTLQSRMSKNFTKGAKKILAESINKSAFQS -SVASGFIGFCKSMGSKCVRDGSGGFIYLKDIYKKITTCECKHCSVWRGVVYCEKSVEKIFQFTRSIMWDY -FTLVLTNACELGEWVFSSVKLPTKATILDNPNLFWAIKPRTHKHIEDRLGLNHILHSIKKNYPQLFEEHL -APFMSDLQSNQMINPSKIKFLDICVALDMVNENLGIIGHLLRGRNNTIYIVKQSECAGAHVRQADYVDQD -LGLSPQQICYNFKVQFLLSSMINPLIVSTSTLRSFFWFNEVLSIEEDDQIELGELTDFTLSIKTYNLERA -MTLDDMTMGYVCSTLLDEVVSLESLDSCQDLAALQFKRQDLSDFFRDLGEDFVKVGLNIQIVHQRRSTKF -DISRKVVYTFRILLLINLSEHLREEVKIPVQSLSLYASGAGNNHLFLDGVSMIPTLPLFNGSKSVNLAKV -LIEHELATSNDFKLLECVIMDFSNFLDELRDKYSYVLVGPEEQENPIVFQNGAFMADNQKLSYMRVEIFG -DTIVKALGALETDREIENLLCNLWPYLKSIKKTIDFNQADFEMIYDLHRTALLKSLCQMDSWIEFTSFSV -AYSKHLQDLVVSDNLGNLRLKGITCRPFRRDQCIQEIE - ->YP_001649218.1 L protein [Cupixi mammarenavirus] -MDEKISSLKDFVRKQIPDRPEFFYQKEALLSQVEVSLILTEGFKLMSCLVEVESCEKNSCVHNSEQKFVD -TILAENGIVGPTLPKVMPDGYRYFNKTLLLLEVFVRVRPDEFEKKWKSDMGKLLSLKDDLLRCGISLVPI -VDGRCSYNTSIIPEWATERFRWLLIELLKESKEAMDFEIEDQEYQRLIHSLSRTCNQSLGFENIEQLKKV -HLNYEDRLNEVILAGLNSDLKESVIREELIKLKAWYKKEVFEKGHGNFVRTNQTSLLKTLQEIGSHAGTT -VPECPMCCSKVFDLCYQMMLKIEGKESLNSSVSSDNNNPQISLVGREYLYVLSVCNKIKGKKIFNTRRNT -LLFLDLIILNFVTEVFKKVPLGIQSLKVEGLIIGQMLLLTNDRALDILSARRLLIKKIECNESWVKKCGD -TLRRVEPSFWTSVCNYVKLPDFESLLLLAEVLCSDAPLLRYEPVQVEESHCTHKDFQLLNINQQDCLFEC -LSHISLSLINSMKTSFSSRLLINEKDYKRYFGTVRLKECYVQRFLFTEGKCGFLFYQKTGERSRCFSIYL -SENGQLTELGSFYADPKRYFLPIFSGCVLRSMCSEMITWLDFDEELMHVVKPQLRSLVLSILCSPTKRAQ -NFLQGLRYFIMAFVNQAHHKQLMSKLIVECKSASDVLIQRLATKVFYTILTFGEDPGIHMTRKFKFLLNV -SYLCHLITKETPDRLTDQIKCFEKFLEPKLEFGSVVVNPSLNGILDPKQEDNMLNGLEKLFSKSLYDVED -LKRPGISKDVLNYCLSLFTRGKLKVTGVLKTDPFKPSFTSTALDLSSNKSVVVPKLDELGNIVSVYDKQK -LISSCVASMAERFRTKGRFNLDPDTIDFLIMKNLTNLLSISGESPKASEELSLLYENLPEEITQVYDEIK -NDIQVTLGKIGAKGSYKQKNGKEGKAGSPSNAETLESIWAPFGVLREIKIETSTHEIKDFDPGLLSMDIY -EKLCTTVFESSLKSSFFIDEVLSICPLELLLKNLTTKSFKENDFFECFKYILIQAGYDQRLGTYEHRSRA -RFGFREEVVRLRDDVRVSDRESNSEAIAKRLDRSFFTSAALRNLCFYSEESPTEYTCVSSNTGNMKFGLS -YKEQVGSNRELYVGDLNTKMMTRLVEDFAEAVCNSMHYTCLNSESEFDRAICDMKMAVNNGDLCLSMDHS -KWGPFMSPALFHRFLSGAKLKTMRLGDSVDTKPVLNVLKWHIHKVVEVPYNVAEAYCTGMLKRRLGLMSL -QTQSISEAFFHQEIQTKKEVPSHIMSVLDMGQGILHNLSDLYGLISEQFLNYCLDFLYDAIPTSYTSSDD -QITMIRMPQQSMETAEEGNADWLELLCFHDFLSSKLNKFVSPKTVCGTFAAEFKSRFFVMGEETPLLTKF -VSAALHNVKCKTPSQLAETIDTICDQCVANGVGVSIVSEISKRVNRLIKYSGYPLNPFLAVENQDVKDWV -DGSRGYRLQRNIESCSIQESVLKQVRKFAKDVFLKIKRGQVFEEHLIQLIGADGDSAMEGFLSYVDCDKD -KLREILEHRWLNLSANGDLRLVLRTKLMSSKRVLEKEQIPTLVKTLQSKLSKSFTKGAKKILAESINKSA -FQASVASGFIGFCESVGSKCVRDGSGGFLYIREVVSKIISCQCTLCSANPGIIFCKNALSNVSNFSRPIL -WDYFSLVLTNACELGEWVFSPTKRPQQPNLLQNPNLFWAVKPKSVRLIEDQLGLGHVLQSIRRSYPKIFE -EHLIPFMSDLQVNRTIDFTRLKYLDVCVAIDMMNENLGIVSHLLKRKDNSVYIVKQNECSVAHVREVQYV -DHDIGLSPQQVCTNFKLQLVLSSMISPLVISTSVLKSFFWYNEVLKLEDNSLIDIGELTDFVILNKSYGV -ERVMYLEDMAMGYVVSSVDEPEIHLINAWVLTEHDVKKLESGSKVGDKDGKALAITLNIQFRHRRHSTKY -HFTKGVVYSFTVEFQVPPSLQGPNLNTVPVREMVLNASGMLGDHQSLDGVPLVASHPLMTGKKPIDLLTL -LSESDIQISDDTGQLMAVYLDFSEFQSLIEDKYSFKIVGPERLDTPIILKGGVYMSEGKRLSTLMVELSG -NVIVKALGAIETDKEVGSLLLGLWPYIKTTGQKIKMDQNDFLLLYESHRELLLKSLEGLGGWLDFLDFSV -CFSKSLSDLVISDNTGSLRLKGVTCRPIHNPRIVEDID - ->YP_001649225.1 RNA-dependent RNA polymerase [Bear Canyon mammarenavirus] -MSEYLDELKELIRKWIPDEEMYIEQKTSFLSQVNLRSVVIEGLKLLSIIIEIDSCKKHGCVHNKNKTVNQ -ILRDHRIVGPTLPDVVPDGYRVIGSTIILLEAFVRVSHESFEIKYKSDFEKLMQLSKDLSRCGLTLIPVV -DGRSNYYTEHFPDWTIERMRWLILKITNFLRDNGEEIEELEYSRLVYSLSNMENKNLGLESLKILKEEGL -DYKAKLMSVMRDGVNSNMSASECRVEMAKIYDQFSFLRKNGLYKDVYCKTSRTEIINWLKDHKLILLSGE -TRTAMLDERQCGYCRNHMFRILASLIKNKRHYQSLTNPKKCGSIQSHKKLLSDCNKIKGLKVLNTRRFTL -LCLDVIILNSLLELIDAGEIDNEFLVNNHFKSVNDRLVSIDLIIDRLNKKLMSKPNWIGSVKYKMKRTLE -IHGLYYVSKWLKQVDIDSWYEFKMMREHSDKCVKPTLKYKKDAARKCGQPEFGSSTILDDEVFLEYLEAL -STLSLGLVNSMKTSSAAKFLINDKSNYFGTVQCNECYFQDLDKSYNSLLIYQKTGERSRCYGLMFKSEQF -ENVYEVGESFYADPKRFFLPIMSSEVILKMCVEMLSWLDWLSEQELKAFKSKLYTLIINILTVPSKRVQV -YLQGFRYLIMAFVNELHFKELQNKLKVQPLTISECYVFTLMDDLVHLLLTEAQEENMSKVFRFVLNLSYL -CHLVTKETPDRLTDQIKCFEKFLEPKVDFNSVFVNLDSSPHLSGEVEEKFIKDLNRLFSKDLGVEDLKDP -GISKELISLCASCFNCGLLPMSKVLKHDPQSPSFTSTALDISSNKSVVVPKLDEVGETVTQYDYQSLLSS -TVVDMAQSFKDKLKYKLDRKSIQFAIFKRLTNMVLKRKTDHDVKDDLDDELSEIVDDDTLRVINDVEANV -SECLSKMGKISRAATVGGQNNLGRFEKIDTLKRLWDRESMNFILMETSLHEVKDFDPSIFPIEKYKSMCE -LVYDSKMKSEFFTDEVLKFCPLDLLVKNLATKCYLEEDFFECFKYILISAGFDNRVGRYDHRSRSRLGFK -DEAILIKENSRISSRESNSEAISRRLDKSFFTNSSLRNLCFYSEESPTYRSTVSSSVGKLKFGLSYKEQV -GSNRELYVGDLNTKLTSRLIEDYFESLTSECKFSCLNNDAEFERALLDMKCVVRLSGLAVSMDHSKWGPY -MSPAIFNILFSNLNLELNDGVFIDKAPIENLLNWHLHKIVEVPYNVIDAYLKGYTKRRLGLMDRSSTSIT -EDFIFNWFAKGVVPSHISSVLDMGQGILHNTSDYYGLLTEQFILQCLDFIFDIKSTAYTSSDDEILLSNS -PSLKKVDEDSLDINKCQEVLEFHNYLSSKFNKFVSPKTVAGSFASEFKSRFFIWSQEVPLLTKFVAAALH -NVKAKSPHQLAETVDTILDQCIANGVSIEVVKAISRRTNKLITYSGHPKNPFLCVENTDLKDWVDGSRGY -RLQRSVESLFNDDDLPLTIRNSCRSLFHRIRSGDIQEEFLINALQTSPDECLAKMLRLSDVDESTIDKVL -EFRWLNLRAHGDLRLVLRTKVMSGTRILDREEVPSLVKSVQSKLSKNFVRGAKKIITDAINKSAFQSSIC -SGFIGFCKSMGSKCVRDGNGSFQYIKHFLKSIILHSHCEVCKPEMSVFCRAALEELKPFSRPIFWDYFSL -TFSNACELGNWVFSNVTIPKRTPTTVNPNFFWPVKPGSHTELEDKVNMNHVLYSIKRNFPDLFDEHIAPF -LSDLNSLKISWIQRIKFLDLCVAMDMSSECLGIISHIMRRKREELYIVKQNELSVAHMRDSSPMEAGYQL -NSSEICHNFLCQLVFESMLHPVLLTTGQFKKYFWFGEVELLPNEADHDLGQLTQFVMDCKTLNISRCMSL -DDLDVGYVHSSILMGDIYVNFSSFLHLLDWENRRNYKTFDEIILCSREDTIPMEIDFTISHSRKSFKFKY -ERKTNYHIKSKVLVQKVDIEEAQNQGFDILELEVHEIECFVSGSQGNHISLDGVGLIPLHPLFSGKEFLD -VNKLLIKQDENFESTHSVFSKVKLNFSNHTKDLKNKYSYKLQGPEYNMNPLHLYRGQIMENNFVISRLDV -QITSRSVFLALEALESEDRIPFLISLHIYTRSNNKKENSCFIRMTQSDLCLLIDSYEKEFTEVLKSLSDW -MDFGDFALCFSNNLNCIMIADPDGQFKLKGRQCRKVSSASAPLEID - ->YP_001649212.1 L protein [Allpahuayo mammarenavirus] -MDVHLIELRDLVRKWVPDDLELSEQKNIMLAQTQIRATVVESLKLLSTIVEVDSCKKHSCVHNTSKTVNA -ILREHKIIGPTLPDVTPDGYCVIGDVLILLEVFVRTNQESFEKKFNQDFEKLMQMSADLKKCGVTLVPTI -DGRSTYYVDFIPDWVVERLRWLISRLMSSLREDGQEIEELEYERLISSLSSLENQSLGLESLLAMREKGL -SYKETLDKLFLEGMENKLTVDESRTRIMKMFQIFRTLLESGYLERKYQTTDREDMLKRLRDHEFIVCSKS -VEYTFDCPNCSVHLYKVLNLLLNQGSRGAPHQCLGEYMKTLSICNKIKSMKILNTRRNTLLILDTIMLNK -FLDLEKVFGHVVVERVMIMQSLMTVNDRLLSIDVLMEMLEKKMTRNPLWFLKVNEKLRKLCPPEVYQSIE -EYVHEVDRDHWFELKLTLHQTWPAKPLIDYKGKMRCTCVEKDSNNKNQLSDLTEEKFQLLLKKLSSFCLG -ITNSLKTSAVAKLRVNQPDDYYGKVTCSEVFFQSLDKEHSAVLLYQKTGEKSRAYGLAFNNVVTGQYTTE -ASFYCDPKRFFLPIMSDVVLFRMCNEMLSWLDYLSDDVMLEVRTCLYRLVLSILCTPSKRVQVYIQGLRY -FIMAFVNEFHCTGLLDKLKVTALTESERYCMKLCDDLVVKVLNSVEDENMAKAFKFVLNTSYLCHLITKE -TPDRLTDQIKCFEKFLEPKLDFGSVIVNPDSSCELTAGQEEQFYQGLEKLFTDKKLESSYANKPGVCKEV -LNVCMSLFNSGALEVKPLLNHDPITPSFTSTALDLSSNKSVVVPKLDELGEVLTEYDYSKLVSSVVVDLV -EHFKTKGKYVVSPRSLQYKIYKRLSNLVQQRAGKGNKESELTEEEFLEQVTAEQLEVINKVETKVSRTLS -GIKLSSDTENAKHDDDYHLKKLWSKDIMVRIKAETSLHEVKDFNVDTLPFDLYRELVDAIYNDPAANSHY -FSERIFNPCPLELLIKNLTLKAYKEEDFFECFKYILISSNFDNKVGKYDHKNRSRLGLSSAALLVKDEAR -ISMRESNSESIAKRLDKSFFTNSSLRNLCFYSDESPTERTSVSSNVGKLKFGLSYKEQVGGNRELYVGDL -NTKLTTRLVEDYAESLTSDMKYTCLNNENEFERALLDMKSVVRQSGLAVSMDHSKWGPHMSPALFSLMLR -GLDFRLKDGTLIDKEAVVNILSWHIHKMVEVPFNVVEAYLKGFIKRGLGLMDRGGATRVEEFMFGYFDQG -IVPSHISSVIDMGQGILHNLSDLYGLITEQFIVYALDLCYSSSFMAYTSSDDEILLSISNSFKRNDGSMD -MDLAIEALEFHYFLSDRLNKFVSPKTVAGTFASEFKSRFFIWSQEVPLLTKFVAASLHNVKAKAPSQLAE -TIDTILDQSVANGVSIEIIGAIAPRTNALITYSGHPFNLFLCLEETDVRDWVDGSRGYRLQRSIENAFPD -DVLPEIIRSACRKIFYRIQSGTLEEDYIVTTLQQSPDDCLKQMLTSCDVEKEAIDDICNYRWLNLRAHGD -LRLVLRTKIMTSTRTLQKEEVPSLIKSVQSKLSKNFVRGAKKILADAINKSAFQSCISSGFVGVCKSMGS -KCVRDGKGGFKYIKDILKEIKHHEKPDCHFCKELKGIYCSELLENISEFSRPLFWDYFSLVLSNACELGN -WVFCKIEIPKSVYHLNNPNHFWPIKPSSHAELEEKVGMNHVLYSIRRNFPVLFDEHISPYLSDLNMLKLN -WVQKIRFLDICVAIDMTSECLGIISHIIRRKREELYIVKQSELSMSHTRVSLPLERGFNIEPDEVCHNFL -LQILFESMIHPVLLTTSQFKRYFWYSEVELLPKEALHDLGQFTQFIIDCKVLNSSRAMCLDDLDVGYVSS -KVKRTDTYLNLSTFMTNLDWENRHEYSSFEDLILSSPSEVFLFEITFTFSHIRRSHKFRYDRSTNYILKT -KLVIEKSELVNGEDGVYCVTPHSIEYYVSQSSGNHISLDGVSLLVLDPLISGRELVNMDELLQNQDVTFS -APSQILSKIKLDFKPFTKEIKNKFSYKLIGPDVDMSPLHLDKGAIKEGDRIVSQIEIQVSFKSVITAIEL -LDEDQRKIFVGNLFVYLTSLKSVNRALSMSESDLRLLVENYPSVIEYMLSGCDGWLNCGSFSLIKSKTLQ -CIMLADERGPYRIKGQNCRRLFPTEEAIEIE - ->YP_001649216.1 L protein [Amapari virus] -MDEKINSLKDFVRKQVPEIPELSYQRELLLSQVEMGMILMEGFKLLSCLVEIESCKKNSCEHNSSQKFVD -VILSDNGVVTPTLPKVIPDGFRFFNKTLILLETFVRVNPEEFEKKWKRDMSKLLTLKEDVQKAGITLVPI -VDGRCNYNTNLMPDWATERFRWLLIDLLRESRGDSKIDIEEQEYQRLVHSLSKTGNQSLGFENIECLKRH -CLNYESRLDESLLTGFNNDLRESKIREGLIKMKNWYRKEVFVKGMGNFVKTDKAKLLQSLESLGLHANSG -TSECPFCCCKILDICYKLMQKLKHGHSLSEGIPDEFIAKSQIEKEYLLVLSVCNKIKGKKVFNTRRNTLL -FLDLIMLNFVIDVFENNPTELCFLKESGLIIGQMLLFSNDRVLDILSARKLLKKKLEISAHWVKKCNSIL -KRAEPDLWDYVSKYITEPKFDSLTSLAEELCTERPVMRYKVQIHSGDGCSHKEFETLSEQQQICLFKCLS -HVSLSLTNSMKTSFSSRLLVNEVDHKKYFGTVRLKECYVQKFFLTNELYGLLFYQKTGERSRCYSLYLSD -KGQLKEVGSFYCDPKRFFLPIFSDTVLLLMCAEMVSWLDFCEELAREVDPLLRLLVLSILCSPSKRNQTF -LQGLRYFIMAFVNQAHHVQLMSKLVVECKSASDVLIQRLSVRMFRMVLDFGSDPDAFMSRKFKFLLNVSY -LCHLVTKETPDRLTDQIKCFEKFLEPKLEFGFFVVNPSLNGTLTKEQEDAMVGGVSKFFSKDIFNIEDMK -QPGVSRDLLSYCLTLFNKGKLKVNGSLKVNPFRPSFTSTALDLSSNKSVVIPKLDELGNIVSVYDKQKLV -STCVASLVERFKTKGKFNLDPNEIEFLIMDNLTNLLAIKGSAVKEREELSMLYEQLSDDAIKAFEELRQD -VEFTLGRMRTPEKKKKTTNFYGNCTLESLWAPFNVMKAIRTETSIHEIRDFDPNILPPEIYEELCTSVFE -SSLKESFFLNEVLDICPLELLLKNLTTRCFEEQEFFDCFKYLLIHAGFDQRLGTYEHKSKSRLGLSEDVF -KLRDDVRMSQRESNSEAIARRLDKSFFTSAALRNLCFYSEESPTEYTCISPNTGNLKFGLSYKEQVGSNR -ELYVGDLNTKMMTRLVEDFAEAVAKSMNYTCLNSEKEFEKAICDMKMAVNAGDICCSLDHSKWGPFMSPA -LFLSFINELKLKDHETSALIDCRPVLSVLRWHLHKAVEVPFNVAEAYCTGMLKRRLGLMSLQSQSVSEEF -FHQQLLMGKEVPSHIMSVLDMGQGILHNLSDLYGLITEQFLNYCLDLLFDVVPISYTSSDDQITMIKFPT -TNAPEGGESQSDWLEVLCFHDFLSSKLNKFVSPKSVCGSFAAEFKSRFFVMGEETPLLTKFVSAALHNVK -CKTPTQLAETIDTICDQCVANGVGVTIVGEISKRVNRLIKYSGYPQTPFLAVEVQDVKDWVDGSRGYRLQ -RNVENCLSDHPQLELIRKSAKKVLTKIKRGLIFEEHLVQLIGKGGDNAMEAFLSYIDCSEIEKREALRYR -WLNLSSNGDLRLVLRTKLMSARRVLEKEQIPTLIKTLQSKLSKNFSRGAKKILAESINKSAFQSSVASGF -IGFCKSMGSKCVRDGSGGFLYIKDVISKVKICSCDICSLAPGIVFCDEALENVSMFSRPILWDYFSLVLT -NACELGEWVFSCVQIPPKPTLLSNPNLFWAVKPRGVRLVEDQLGLNHVLQSVKRNYPKIFEEHLVPFMSD -LQVSRTTDFTKLKYLDVCIALDMMNENLGIISHLLKGRDNSLYIVKQNECASAHVRQVEYVDYDVGLSPQ -QICSNFKIQLTFSSFINPLVMTTSTLRSFFWFGEVLRLEDENQIDVGELTDFVLLIKKYNVDRAMMLDDL -TMGFVVSEIGEPKFRLLDFEWSGVEEQTSFPLSENSNNNEIKFTLNLQLEHKRLSTKYRLTRLVVYSYTM -VCVMLVNDSRGVLKVENLTLRASGDVKEHRFLDGVTLVSHHPTLCGKRGINILDLFRDAELPIPETRMFP -EEVFLDLSDYQSEVEDKYAYEIVGPEFSDVPLVMSGGCLVVGDKKLSHLLTELTGNVILKALGALETDGE -IGSFLMGLWPYIKATKQRVKISQEEFLFIYETHRRSLLMSFEAYNDWLEFLDFSVCFSKTLGDLVVSDSS -GNMRLRGVVCRPLRQVGTVMEIE - ->YP_001649214.1 L protein [Oliveros mammarenavirus] -MDESVSSLFDLLRKHFPAKEEISRQITVVTSQTEMRMILTEGFKLLSLLIELDSCEVNNCSHNKEDLTVE -AILSKDNILTIALPRIVPDGYSLYGNVLILLETFVRVNPSSFEQKYNQDMNKLLSLKNDLQLCGITLVPL -VDGRTNYYNKFVDDWVIERFRWLLTQIMKVAKESGESIEELEYQRLVTSLSKLENQSLGFENIIKMPQTG -IDYRDKLKARMFANLSNKMKESEINQSLLSLKLAFDEAYNDESHLKKFQKTNKEDLIFKLGQQINLSDEK -LSCMSCSSKLFSIVSSITQNRDKLDSHVMSVSNAKLWHHESGIANVNEYLRILSVCNKIKSAKILNTRRN -TLLFLDMIVLNFIDDCWKNDPTILFQFKKSGLLVGQLAYFVNDRFFDLLLLKELLSKKLKSSPDWIHRCL -CNIRKQEFFDISGVEFWIRQPDYESVEELCCALEPVKPKLQYCRDEDNHENHKLDLADKDNYFTCLSVLS -SVCLGLVNSMKTSFTSKMVINEKSPNNFYGEVELKECYCQRFYVSDEITGLLFYQKTGEKSRCYSIGVTM -HGSYKYIGSFYCDPKRFFLPIFSQVVLFQMTEEMMSWLPEEPSYKEPVVANLRKLILMLLCNPSKRNQNF -LQGMRYFIMAYVNQFHSVELMSKLEVPCKSVSEECVQKLTYNLLVDVLTKGDVNEHMTRKFKFCLNVSYL -CHLITKETPDRLTDQIKCFEKFLEPKLKFKSVIINPNLTGDLTEEQEEQLLNSIEKLLGKGLQDINDSSE -PGISRELLSMCISAFNRDLLRVNGKLKNDPYKPNFTSTALDLSSNKSVVIPKLDELGNPISKYDYELLVS -SCIASMAESFKTKGKYKLDPTSQEFLILKNLYSLMSKSKRDDHMKDSEDSKQNLSSDLENLSEEQVLILE -QVKRDVNLALSKMRETKLKEKTEARQSSSGSSLKNQQKRQAELQERLSELWSEFMCMKIITVEVSLHEIK -DFDPDLIDHTTLKSMLDKLYNSDLASEFFLEEILNPCPLEFLVKNMTTSSYLEGDLFECFKYTLISAGFD -QKLGTYEHKNKTRLGFKYEALKVREEGRMSLRESNSEAIARRLDRSVFSNSALRNLCFYSDESPISYSHV -SSDTGKLKFGLSYKEQVGSNRELYVGDLNTKLMTRLIEDFSESVVSNMNYSCLNSEKEFERSVMEMKMSV -NLGEMNFSLDHSKWGPYMSPVIFAAFLQGLKLEQGSMCTPVSVEPIITLLSWHIHKVVEVPYNVIHAYMT -GMIKRQLGLMSPGESSKTEAFIHRLLVDEREPLSHVMSVLDMGQGILHNTSDLYGLVTEQFINYAMRILY -DVSMTSFTSSDDQITMVKLNEDLKDMDNPEVISNWERMINFHTFISSKFNKFVSPKTVIGTFAAEFKSRF -FVWGEEVPLLTKFVSAALHNIKCKTPIQLSETIDTISDQCVANGVSVEIVSCISNRTNKLVRYSGFPDNP -FLSVENMDVKDWVDGNRGYRLQRNIESHLEVDGCTRFVRQAARKVFRNIKSGKIMEQTLVNLVQEDGDKA -FQGFMKSVDVSDDDIKLLQNFRWINLSTHGDMRLVLRTKLMSSRRIIEQEEIPGLIKSIQSKLSKNFVRG -AKRILADSINKSAFQSSIASGFIGFCKSMGSKCVRLGGGGFGYIKDIKNKVKHDCLCDICFRWRGCVYCP -SSCADVFEFSRPLMWDYFTLVLTNACELGEWVFEDVEIPKDLYFLRNPNLFWLVKPRVTCQLEERLGLSH -ILQSIRKNYPTLFETHLSPFMSDFMVGKTLGSLTVKFLDLCVALDLANENLGITKHFLKERRHEIYVVKQ -DESSQSHIRNVKGIESSVELNSMQVCNNFLTQLLMSSFIQPLVLTSSVFKKFNWFAEVLCLKTEEEVCLK -QLTDFVLQVKKFNVDRAMHIEDLSAGYISSTINVTSFSLSVPTFLECVDSDFINKEGNEPGDFKDLLSSE -FTKDTLTLDFCIQVSHIKRSVKFNVKRTLVYTLAVRTQIEKKIILEAIGTDDQISLIVSELDLFCSGHTG -NHFVLDAAPLIYSEPLIAGSLKFDLLSMLRDQELSLTSSEKMPTFNFDFSSQKHHIVNKFAYKLVGPSVY -DEPLVLNKGIVYSGERKLTSLGVDVSGERIVQAMGELDSISEQELFLTNLWGYSKETDIKVRIIQDNLKI -LTDNYFVQLKNSLKTFAEWLNLGNYMLCYSKTLDTIMISDVSGRIKLKGVICRKLIEDEVMEVE - ->YP_025093.1 L protein [Pirital mammarenavirus] -MEEHVNELHDLVRKWVSDDENFAEQKAIFLSQTKLRAITIEGLKLLSTIVEVDSCQKNSCIHNREKTLNS -ILRDNKIVCPTLPEIVPDGYRLIGDVLILLEVFVRSNQESFEKKYEQDYTKLMQVKKDLQSHGITLVPMI -DGRSSYYVEFMPDWVVEKIRWHLIKLMDLLKEDGESVEELEYERLVSSLSALENQSLGLESLLSIKERGI -EYIDRLTKIMYGNLNNNMSVDECKGEILRIYQNFRQLFDQGQFKPKYRKTDREFILKTLREHGLIKCAIM -SEEDSCKNCMIHMFKVLTIIKQSFVSNKNIESSFILKEYNQLLSVCNKVKSLKVLNTRRGTLMVLDLIML -NKLLSLIKIYGIKAALTILRMQCIPAVNDRLLSIDFLISIYERKMIKSPKWLEKVHGKLKRVVQDCMFKA -LEDYLVEIDFDTWFSIKDELLMTQQFKPSICYRSSKGCVCNAETLKNLSMMTEEDFLSYLKILSSLSLSL -VNSMKTSSAPKSKINQANDFYGIVHCEEVYFQGFGDNNACTLLYQKTGEKSRCYSVAFSDNEQQIDYGSK -ISFYADPKRFFLPIMSQDVLNRMCNEMLSWLDFLSDDNIKVVADLLRKLILCVLCTPSKRVQVYLQGFRY -LIMAYVNEIHCNDLFAKLEVDALTASERQVMIWMDDLTRIVLEMSKEADMAKSFKFILNLSYLCHLITKE -TPDRLTDQIKCFEKFLEPKLKFGSLMVNPDSTPELTSEQEDQVCEGLHRLLNKKIFSKCENIPGVSKELV -SLCSSLFNSSNLEVKPLLNHDPLTPSFTSTALDLSSNKSVVVPKLNEIGETLTEYDFGKIVSSVVVDLTE -HFKTKGKYKLDPRDLRFRIFKKLSSLVEVNPTKKSNRKSESGEVVAPDESFMDELTEEQQLMLSEIEVKV -SKTFEGMSKDELNRKQSKEKGAEAHLKRLWSKEVRDKISSETSLHEVKDFDVQLFPFDTYEELVTIVFND -KSAHDFYFLEKYLNPCPLDMLMKNLTLKAFNEGDFFECFKYILIASEFDNKIGRYDHKIRTRLGLKDPAL -KIREEARISTRESNSESIAKRLDKSFFTNSSLRNLCFYSDESPTTRTGVATDVGKLKFGLSYKEQVGGNR -ELYVGDLNTKLITRLVEDYAESICSNMKYTCLNSESEFERALLDMKSVVRQGGFAVSMDHSKWGPHMSPA -IFAQLLRCLKFRLKDGSEIDKKAVLNILYWHLHKIVEVPFNVVQAFMSGFVKRGLGLMDRGGATLSEEFM -FGFFEKGVVPSHLSSVVDMGQGILHNMSDLYGLITEQFINYVLDFCYNVSMTSYTSSDDEIMLSTSSALN -HEDGSLNVDVALEILEFHNFLSDKLNKFVSPKTVAGTFASEFKSRFFIWSQEVPLLTKFVAAALHNIKAK -APNQLAETVDTILDQCVANGVSIEIVGAISKRTNSLVCYSGHPLNPFLCLEESDVRDWVDGSRGYRLQRS -IENIFPDDLCPNLIRDACRKVFHRIQSGKIEEEFLVASIQGSPDECLNSMLTIADVDEDIKKDLAGYRWL -NLRAYGDLRLVLRTKLMSSTRTLQREEIPSLVRSVQSKLSKNFVRGAKRILTDAINKSAFQSCISSGFIG -VCKSMGSKCVRDNTGGFVYIKEITKHVMPHTTSYCPYCKPSKNIYCEDALRSVSEYSRPIFWDYFSLVLS -NACELGNWVFGAPILPKTVFHLDNPNHFWPIKPSSQTELEDKVGMNHVLYSIRRNYPSIFDEHISPYMSD -LNMLRLSWVQKIKFLDLCVALDMSSECLGIISHIMRRKREELYIVKQQELSMSHTRESTNLESGLSLEPQ -EVCKNFLLQVLFDSMVNPVLLTTSQFRKYFWYGEVLQLPNDASHHLAQFTQFILDCKQLNSSRAMTLDDL -DVGYVTSRVKRTTTFVALSTFITSLDWENRHEYKSFQELILSSPCDVFKFEFSMTFSHIRSSHKFRYERC -TSYILKVHVVFDKRVLNSNMLEDQSLLITPHSVEYFVSQSGGNHISLDGVGLLPLDPLISGKEVLNIDDV -LRHEDVNFSAESPLFSKMRFDFKPFLKELKNKFSYKLIGPDIIMEPLVLDKGQIKEGSRIVSQLKLRLDF -KAVFVALGCLEEESRSTFISNLFMYIGSLRGEEHRISMTESNLVQLIDNYPQVFDSMLDATNDWLNCGSF -SLCKSKSLGCVMIADERGPFKLKGVNCRRLLPDSQAVEID - ->NP_899221.1 L protein [Guanarito mammarenavirus] -MDEKVFVLKDFIRRQVPDIPELSYQKEALLSQVEVPMVLTEGFKLLSCLVEIESCRKNSCECNFEQKFVD -TILSENGVVAPTLPKVIPDGYRFFNKTLILLETFVRVNPEEFEKKWKTDMAKLLSLKEDIHRTGITLVPV -VDGRGNYNTDLLPDWATERFRWLLIDLLRESRGAPTMEIEDQEYHRLIHSLSKTSNQSLGFENIECLKRV -HLNYEERLNEQLLKDIVGEVRESKIREELIKLKTWYREEIYRKGLGNFVQTDRKSLLQTLVLSSAHSDSL -APECPMCCSKILDLCYQLSMRIANQTSLENNFDEPPLPTTQIEKVYLSLLSACNKIKGKKVFNTRRNTLL -FLDLIILNFVAHVYKTQPSEMETLKKAGLIIGEMLLLPNDRVLDILVARRLLLKKVESCCNWLDRCRHLL -RKEEPVLWDCVSEFTNVPDFELLLSLAEELCSEKPVMHYKPPSSLIGDCAHKDLMSMSDGEFESLFKCLS -HISLSLVNSMKTSFSSRLLVNEKDYKRYYGTVRLKECYVQRFFLRVGLYGLLFYQKTGEKSRCYSLYLSD -KGNLVELGSFYSDPKRFFLPIFSEFVLLATCAEMLSWLDFDEKLVDAVTPLLKILVLSILSSPTKRSQTF -LQGLRYFIMAYVNQAHHIQLMSKLAVECKSASDVLIQRLSVKIVDMVLSDGSDPDMHMTRKFKFVLNVSY -LCHLITKETPDRLTDQIKCFEKFMEPKLEFGSLIVNPSLNGFLSKEQEDVMIEGVEKFFSKELLTVEDLK -RPGVSRELLSYCVSLFNKGRLRVNGTLGTDPYRPSFTSTALDLSSNKSVVIPKLNEVGEIVSEYDKQKLV -STCITSMAERFKTKGRYNLDPDTIDFLIMRNLTNLLSARKLDSSKKEELSLLYEHLSEDVMKAFEEIKYE -VEITLSKMRLSRELECGHKKPCTLEGVWAPFNVLKVIRSETSVHEIRDFDPDLLGEDVYEKLCVAVYDSP -LRPTFFLEKPLDICPLELLLKNLTTKSYEDDEFFDCFKYILIQAGFDQRLGAYEHKNRSRLGLSEEAFRL -KEDVRVSNRQSNSEAIADRLDKSFFTSAALRNLCFYSEESPTEYTCISPNVGNLKFGLSYKEQVGSNREL -YVGDLNTKMMTRLVEDFTEAVANSMNYTCLNSEKEFERAICDMKMAVNNGDLCCSLDHSKWGPFMSPALF -HAFFGALKFKISKTGEQVDLGPVLNVLKWHLHKAVEVPISVAEAYCTGMLKRRLGLMSLSCQSVCEEFFH -QKLLLEEGVPSHIMSVLDMGQGILHNSSDLYGLITEQFINYCLDFLFDVIPVSYTSSDDQITTFKLPTMS -SSEDGLDGFDWLELLCFHDFLSSKFNKFVSPKSVSGTFVAEFKSRFFVMGEETPLLTKFVSAALHNVKCK -TPTQLAETIDTICDQCVANGVGIEIVTKISERVNRLIRYSGYPQTPFLAVEKQDVKDWTDGSRGYRLQRN -IEHYLQGSEQLEFVRKCAKKVLLKIKKGQVFEEYLVQLIGKDGDDALKGFLSYAGCESDEIKDVLKYRWL -NLSANGDLRLVLRTKLMSTRRVLEREQIPTLIKTLQSKLSKNFTKGVKKILAESINKSAFQSSVASGFIG -FCKSMGSKCVRDGSGGFMYIREVLNKQRVCPCEICAQNPGIIFCSDALTLIPEFSRSILWDYFSLVLTNA -CELGEWVFSSVQPPKVPILLNNPNLFWAVKPRGTRLIEDQLGLGHVLQSVRRSYPKVFEEHLVPFMNDLQ -VSRTTDFTRLRYLDVCVALDMMNENLGIVSHLLKAKDNSIYIVKQSECAVAHIRQVEYVNQELGLSPQQI -CSNFKIQLVFSSMINPLVITTSVLKSFFWFNEVLNLEDESQIDVGELTDFTILIKKYNLNRAMMLDDLTM -GYVVSTISEPTIHLVSLKRNSNSIVGEQNSEMLHGEQVEDMYSIVLHIQLEHKRHSTKYHLSRTVVYSYT -VECETNITDIEKEPSLATVKNVVLRASGSIEGHQFLDGVNLVASQPIFTGKKVINLSELLADSEITETYK -EGDAVGSILLNFGTFYEHIDDRYAYEIVGPECSDSPLVLDGGSILADGKKLSSIKVELTGDVILKALGAL -ESEKEVQSLLTGLWPFIRINNLKVKMAQEDFLLMYEMHRESLLKSLEVFSEWCEFVDFSVCYSKSLRDLV -ISDSSGSLRLKGITCKPINLSNSVTEIE - ->NP_899215.1 L protein [Machupo mammarenavirus] -MDEYVQELKGLIRKHIPERCEFGHQKVTFLSQVHPSPLLTEGFKLLSSLVELESCEAHACQANTDQRFVD -VILSDNGILCPTLPKVIPDGFKLTGKTLILLETFVRVNPDEFEKKWKADMSKLLNLKHDLQKSGVTLVPI -VDGRSNYNNRFVADWVIERIRWLLIGILKASKSMLEIDIEDQEYQRLIHSLSNVKNQSLGLENLEHLKRN -SLDYDERLNESLFIGLKGDIRESTVREELIKLKLWFKDEVFSKGLGKFKLTDRRELLESLSSLGAHLDSD -VSSCPFCNNKLMEIVYNVTFSCVERTDGVATVDQQFSTTHSNIEKHYLSVLSLCNKIKGLKVFNTRRNTL -LFLDLIMVNLMVDISDSCQDAIESLRKSGLIVGQMVMLVNDRVLDILEAVKLIRKKIGTNPNWVKNCSKI -LERSHPEIWHHLSTLIKQPDFNSLISIAQHLVSDRPIMRYSVERGSDKICRHKLFQEMSSFEQMRLFKTL -SSISLSLINSMKTSFSSRLLVNEREFSKYFGNVRLRECYAQRFYLAESLVGFLFYQKTGERSRCYSVYLS -DNGVMSEQGSFYCDPKRFFLPVFSDEVLAGMCEEMTSWLDFDTGLMNDTGPILRLLVLAILCSPSKRNQT -FLQGLRYFLMAFANQIHHIDLTSKLVVECKSSSEVVVQRLAVGLFIRLLSGESDASLFFSRRFKYLLNVS -YLCHLITKETPDRLTDQMKCFEKFIEPKVKFGCAVVNPSLNGKLTVDQEDIMINGLKKFFSKSLRDTEDV -QTPGVCKELLNYCVSLFNRGKLKVSGELKNNPFRPNITSTALDLSSNKSVVIPKLDELGNILSTYDKEKL -VSACVSSMAERFKTKGRYNLDPDSTDYLILKNLTGLVSAGPKAKSTQEELSLMYEALTEEQVESFNEIKH -DVQVALAKMADNSVNTRTKNLGRADNSVKNGNNPLDNLWSPFGVMKEIRAEVSLHEVKDFDPDVLPPEVY -KELCDAVYKSSEKCNFFLEGVLDVCPLGLLLKNLTTSSYVDEEYFMCFKYLLIQGHFDQKLGSYEHKSRS -RLGFTDETLRLKDEVRLSIRESNSEAIADKLDKSYFTNAALRNLCFYSEDSPTEFTSISSNSGNLKFGLS -YKEQVGSNRELYVGDLNTKLMTRLVEDFSEAVGNSMKYTCLNSEKEFERAICDMKMAVNNGDLSCSYDHS -KWGPTMSPALFLALLQMLELRTPVDRSKIDLDSVKSILKWHLHKVVEVPINVAEAYCIGKLKRSLGLMGC -GSTSLSEEFFHQTMQLNGQIPSHIMSVLDMGQGILHNTSDLYGLITEQFLCYALDLLYDVIPVSYTSSDD -QITLIKTPSLDIEGGSDAAEWLEMICFHEFLSSKLNKFVSPKSVIGTFVAEFKSRFFVMGEETPLLTKFV -AAALHNVKCKTPTQLSETIDTICDQCIANGVSTKIVTRISKRVNQLIRYSGYGETPFGAIEDQDVKDWVD -GSRGYRLQRKIEAIFHDDKETSFIRNCARKVFNDIKRGRIFEENLINLIGRGGDEALTGFLQYAGCSEQE -VNRVLNYRWVNLSSFGDLRLVLRTKLMTSRRVLEREEVPTLIKTLQSKLSRNFTKGVKKILAESINKSAF -QSSVASGFIGFCKSMGSKCVRDGKGGFLYIKEVYSGVSACTCEICALKPKIIYCNNSLNKVSQFSKPILW -DYFSLVLTNACELGEWVFSTVKEPQKPLVLNNQNFFWAVKPKVVRQIEDQLGMNHVLQSIRRNYPVLFDE -HLTPFMNDLQVSRTMDSGRLKFLDVCIALDMMNENLGIISHLLKTRDNSVYIVKQSDCALAHIRQSSYTD -WELGLSPQQICTNFKTQLVLSSMVNPLVLSTSCLKSFFWFNEVLELEDDSQIELAELTDFALMVKNQNVS -RAMFVEDIAMGYVVSNFEGVRISLSNVMVDGVQLPPQEKAPDIGELFGLKAENVIVGLVVQIDHVRMSTK -FKLKRKMVYSFSLECIMDVGEIQNKEVILKVVAVDQSVSGSGGNHMLLDGVSVVASLPLFTGQASFDLAA -MLIESNLAGSNDNFLMRNVTLDLGGFSPELSDKYSYRLSGPENQEDPLVLKDGAFYVGGERLSTYKVEFT -GDLVVKALGALEDDESVVSMLHQLWPYLKATSQVILFQQEDFTIVHDLYKKQLTKSIESFGEWIEFTNFK -VAYSKSLKELVISDTQGSFRLKGVMCRPLASTPQVEDIE - ->NP_899217.1 L protein [Argentinian mammarenavirus] -MEESVNEIKSLIRKHFPERQELAYQRDIFLSQHHPSSLLLEGFKLLSSLVELESCEAHACQINSDQKFVD -VILSDHGILCPTLPKVIPDGFKLTGKTLILLETFVRVNPDEFERKWKSDMSKLLNLKSDLLRAGITLVPV -VDGRSSYSNRFLADWVVERVRWLLIDILKKSKFMQEINIEEQEYQRLIHSLSNTKNQSLGLENIECLKKN -SLGYDERLNESLFVGVRGDIRESVIREELIKLRFWFKKEIFDKQLGKFKFSQKSKLINDLILLGSHKDSD -VPSCPFCANKLMDVVYSIALHPIDEVNMESQSDENSISIDAVERCYLQALSVCNKVKGLKVFNTRRNTLL -FLDLVLLNLLCDLFKTHDDAIVRLRNAGIVVGQMLMLVNDRLLDILEAIKLIRKKLMTSPKWVQVCSRTL -KNSHQDLWSQLEKLIKHPDMDSLMILAQALVSDRPVMRYTIDKEFEKICRHQPFSSLVEGEQKKLFRILS -SISLALVNSMKTSFSSRLLINEREYSRYFGNVRLRECYVQRFHLTKNTFGLLFYQKTGEKSRCYSIYLSI -NGVLEEQGSFYCDPKRFFLPIFSEDVLIEMCEEMTSWLDFSHELMTMTRPILRLLVLAVLCSPSKRNQTF -LQGLRYFLMAYANQIHHVDLMSKLRVDCMSGSEVLIQRMAVELFQTILGEGEDADLYFARRFKYLLNVSY -LCHLVTKETPDRLTDQIKCFEKFIEPKVKFDCVVVNPPLSGSLTLEQEDTMIRGLDRFFSKEAKTSSDTQ -IPGVCKEILSFCISLFNRGRLKVTGELKSNPYRPNITSTALDLSSNKSVVIPKLDELGNILSVYDKEKLV -STCVSTMAERFKTKGRYNLDPDSMDYLILKNLTGLVSTGSRTRTNQEELSVMYESLTEDQVRAFEGIRND -VQMTLAKMANSEGTKVEITKLKSKNLSVDERESLESLWAPFGVLREIKAEVSMHEVKDFDPDVLRSDVYK -ELCDAVYLSPFKLTYFLEAPQDICPLGLLLKNLTTIAYQEDEFFECFKYLLIQGHYDQKLGSYEHRSRSR -LGFSSEVLRLKDEVRLSTRESNSEAIADKLDKSYFTNAALRNLCFYSDDSPTEFTSISSNTGNLKFGLSY -KEQVGSNRELYVGDLNTKLMTRLVEDFSEAVGSSMRYTCLNSEKEFERAICDMKMAVNNGDLSCSYDHSK -WGPTMSPALFLSFLYTLELKNPRDRTKVNLEPVMNILKWHLHKVVEVPINVAEAYCVGKLKRSLGLMGCD -CTSVGEEFFHQYLQSRDQVPSHIMSVLDMGQGILHNTSDLYGLITEQFLCYALDLLYDVIPVTYTSSDDQ -VSLIKIPCLSDEKFQDRTELLEMVCFHEFLSSKLNKFISPKSVIGTFVAEFKSRFFVMGEETPLLTKFVS -AALHNVKCKTPTQLSETIDTICDQCIANGVSTHIVSKISIRVNQLIRYSGYRETPFGAIEEQDVKDWVDG -SRGYRLQRKIEAIFSDDKETMFIRNCARKVFNDIKRGKIFEENLINLISRGGDEALSGFLQYAGCSEDEI -RQTLDYRWVNLASFGDLRLVLRTKLMTSRRVLEKEEMPTLIRTIQSRLSRNFTKGVKKILAESINKSAFQ -SSVASGFIGFCKSMGSKCVRDGKGGFLYIKDIFTRIMPCLCGICERKPKVIYCQKSLKEVNQFSKPILWD -YFSLVLTNACELGEWVFSAIKSPQAPLVLCNKNFFWAVKPKAVRQIEDQLGMNHVLHSIRRNYPKLFEEH -LAPFMNDLQVNRSLDSGRLKFLDVCVALDMMNENLGIISHLLKVRDNNVYIVKQSDCASAHVRQSEYTNW -EVGISPQQVCRNFMVQVVLSSMINPLVMSTSCLKSFFWFNEVLDLEDDSQVDLAELTDFTLSIRNNKVSR -AMFVEDIAMGYVVSSFDNIKVFLEGVSVDNISLLPQEDMMDLHTVLRNVACQEAVKLKLIIQVEHTRVST -KFKLRRKMVYSYTIVSSLGVDDVGTPELELNVDAMSQCVSGSEGSHSLLDGALVIASLPLFTGHESFDLA -GLFIDAGYAATNDDNILSHVKFNFGDFYSELSNKYAYDLIGPDNPGEPLVLKEGIFYRGNERLSTYRVEL -SGDVIVKAIGALEDIDSVETLLSQLWPYLKTTSQTILFQQEDFVLVYDLHKEQLIRSLDKFGDWLEFSNF -KVAFSRSLNDLLISDPQGQFRLKGVTCRPLKHKVEIKDID - ->NP_694848.1 L protein [Tacaribe mammarenavirus] -MDETVSELKDLVRKHIPNRHEFAHQKDAFLSHCHSGSLLQEGFKLLSNLVELESCESHACHLNTCQKYVD -VILSDHGIPCPTLPKVIPDGFKLTGKTLILLETFVRVNPEEFERKWKSDMTKLLNLKQDLLRSGITLVPV -VDGRTNYSNRFTPEWVVERIRWLLIEILRKSRSSAEIDIEDQEYQRLIHSLSNVRNQSLGFENIECLKRN -LLEYDDRLAKSLFVGVKGDVRESVIREELMKLRLWYKKEVFDKNLGKFRITNRSELLNNLIRLGKHEDNT -TSDCPFCVNKFMDIIYSLTFTALKRQDREKSNSELDQYVVCPHEKAYLGVLSICNKIKGLKVFNTRRNTL -LFLDLIMVNFLDDLFTAKPEALDSLRRSGLILGQMVTLVNDRALDFLEAVKLIKKKIETNVKWVENCSKI -LRRSQQDIWSQISVWARYPDLSKLISIAQTISSDRPIMRYSAGGNFNTECKHKTFHMMSDAEQVEAFKIL -SSVSLSLINSMKTSFSSRLLINEKEYSRYFGNVRLRECYQQRFFLTDGLIVILFYQKTGERSGCYSIYTC -EDGVLVEKGSFYCDPKRFFLPIFSQEVLVEMCDEMTTWLDFNSDLMVISKEKLRLLLLSILCAPSKRNQV -FLQGLRYFLMAYSNQFHHVDLLSKLKVECMSGSEVIVQRLAVDLFQCLLGEGVDSDPYFARRFKYLLNVS -YLCHLITKETPDRLTDQIKCFEKFIEPKIDFNCVIVNPSLNGQLTEAQEGMMLDGLDKFYSKTLKDCSDT -KLPGVSNELLSYCISLFNKGKLKVTGELKNDPFKPNITSTALDLSSNKSVVVPKLDELGNVLSVYDREKM -ISSCVSSMAERFKTKGRYNIDPSTLDYLILKNLTGLVSIGSKTQRDCEELSMMFEGLTEEQAEAFNDIKN -SVQLAMVKMKDSKSGDVNLSPNQKEGRVKSSTGTLEELWGPFGIMREIRTEVSLHEVKDFDPDVLASDLY -KELCDVVYYSSSKPEYFLERPLEVCPLGLLLKNLTTSAYFDEEYFECFKYLLIQGHYDQKLGSYEHRSRS -RLGFTNEALRVKDEVRLSMRESNSEAIADKLDRSYFTNAALRNLCFYSDDSPTEFTSISSNNGNLKFGLS -YKEQVGSNRELYVGDLNTKLITRLVEDFAEAVGSSMRYTCLSSEKEFDRAICDMKLAVNNGDLSCSLDHS -KWGPTMSPALFLTFLQFLELRTPKERNIINLEPVLNVLRWHLHKVIEVPVNVAEAYCTGNLKRSLGLMGC -GSSSVGEEFFHQFMPVQGEIPSHIMSVLDMGQGILHNMSDLYGLITEQFLNYVLDLLYDVIPTSYTSSDD -QVTLIKLPCASDDNQVNDEWLEMLCFHEYLSSKLNKFVSPKSVAGTFVAEFKSRFFVMGEETPLLTQFVA -AALHNVKCKTPTQLSETIDTICDQCVANGVSVQIVSKISQRVNQLIKYSGFKETPFGAVEKQDVKDWVDG -TRGYRLQRKIESIFSDDEMTGFIRSCAKRVFNDIKRGKVFEENLISLIGRDGDDALVGFLRYSSCSEQDI -MRALGFRWVNLSSFGDLRLVLRTKLMTSRRVLEREEVPTLIKTLQSRLSRNFTKGVKKILAESINKSAFQ -SSVASGFIGFCKSIGSKCVRDGEGGFLYIKDIYTKVKPCLCEVCNMKRGVIYCRPSLEKIEKFSKPILWD -YFSLVLTNACEIGEWVFSSVKEPQIPVVLSNRNLFWAVKPRIVRQLEDQLGMNHVLYSIRKNYPKLFDEH -LSPFMSDLQVNRTLDGRKLKFLDVCIALDLMNENLGIVSHLLKARDNSVYIVKQSDCAMAHVRQSDYVDK -EVGLSPQQVCYNFMVQIILSSMVNPLVMSTSCLKSFFWFNEVLELEDDGQIELGELTDFTFLVRDQKISR -AMFIEDIAMGYVISNLEDVRLYIDKITIGEQPLAPGRHINDLLDLLGNFDDHEDCDLRFLIQVEHSRTST -KYRFKRKMTYSFSVTCVSKVIDLKEASVELQVVDVTQSVSGSGGSHLLLDGVSMIAGLPIFTGQGTFNMA -SLMMDADLVETNDNLILTDVRFSFGGFLSELSDKYAYTLNGPVDQGEPLVLRDGHFFMGTEKVSTYRVEL -TGDIIVKAIGALDDPEDVNALLNQLWPYLKSTAQVMLFQQEDFVLVYDLHRSGLIRSLELIGDWVEFVNF -KVAYSKSLKDLVVSDNQGSLRLRGIMCRPLARRNTVEDIE - ->sp|Q6XQI4.2|L_JUNIN RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MEESVNEIKNLIRKHFPERQELAYQRDIFLSQHHPSSLLLEGFKLLSSLVELESCEAHACQINSDQKFVD -VILSDHGILCPTLPKVIPDGFKLTGKTLILLETFVRVNPDEFEKKWKSDMSKLLNLKSDLLRAGITLVPV -VDGRSSYSNRFLADWVVERVRWLLIDILKKSKFMQEINIEEQEYQRLIHSLSNTKNQSLGLENIECLKKN -SLGYDERLNESLFVGVRGDIRESVIREELIKLRFWFKKEIFDKQLGKFKFSQKSNLINDLVSLGSHKDSD -VPSCPFCANKLMDVVYSIASHPIDEVNMKSQSDENSISIDAVERCYLQALSVCNKVKGLKVFNTRRNTLL -FLDLVLLNLLCDLFKKHDDAIVRLRNAGIVVGQMLMLVNDRLLDILEAIKLIRKKLMTSPKWVQMCSRTL -KNSHQDLWSQLEKLIKHPDMDSMMILAQALVSDRPVMRYTIDRESEKICRHQPFSSLVEGEQKKLFRILS -SISLALVNSMKTSFSSRLLINEREYSRYFGNVRLRECYVQRFHLIKNTFGLLFYQKTGEKSRCYSIYLSI -NGVLEEQGSFYCDPKRFFLPIFSEDVLIEMCEEMTSWLDFSHELMTMTRPTLRLLVLAVLCSPSKRNQTF -LQGLRYFLMAYANQIHHVDLMSKLRVDCMSGSEVLIQRMAVELFQTILSEGEDADLYFARRFKYLLNVSY -LCHLVTKETPDRLTDQIKCFEKFIEPKVKFDCVVVNPPLNGSLTLEQEDIMIRGLDRFFSKEAKTSSDTQ -IPGVSKEILSFCISLFNRGRLKVTGELKSNPYRPNITSTALDLSSNKSVVIPKLDELGNILSVYDKEKLV -STCVSTMAERFKTKGRYNLDPDSMDYLILKNLTGLVSTGSRTRTNQEELSMMYESLTEDQVRAFEGIRND -VQMTLAKMANSEGSKVETTKLKSKNLSVDERESLESLWAPFGVLREIKAEVSMHEVKDFDPDVFRSDVYK -ELCDAVYLSPFKLTYFLEAPQDICPLGLLLKNLTTIAYQEDEFFECFKYLLIQGHYDQKLGSYEHRSRSR -LGFSSEVLKLKDEVRLSTRESNSEAIADKLDKSYFTNAALRNLCFYSDDSPTEFTSISSNTGNLKFGLSY -KEQVGSNRELYVGDLNTKLMTRLVEDFSEAVGSSMRYTCLNSEKEFERAICDMKMAVNNGDLSCSYDHSK -WGPTMSPALFLSFLYTLELKNPRDRTKVNLEPVMNILKWHLHKVVEVPINVAEAYCVGKLKRSLGLMGCD -CTSVGEEFFHQYLQSRDQVPSHIMSVLDMGQGILHNTSDLYGLITEQFLCYALDLLYDVIPVTYTSSDDQ -VSLIKIPCLSDEKCQDRTELLEMVCFHEFLSSKLNKFISPKSVIGTFVAEFKSRFFVMGEETPLLTKFVS -AALHNVKCKTPTQLSETIDTICDQCIANGVSTHIVSKISIRVNQLIRYSGYRETPFGAIEEQDVKDWVDG -SRGYRLQRKIEAIFSDDKETMFIRNCARKVFNDIKKGKIFEENLINLISRGGDEALSGFLQYAGCSEDEI -RRTLDYRWVNLASFGDLRLVLRTKLMTSRRVLEKEEMPTLIKTIQSRLSRNFTKGVKKILAESINKSAFQ -SSVASGFIGFCKSMGSKCVRDGKGGFLYIKDIFTRIMPCLCEICEKKPKVIYCQKSLQEVNQFSKPILWD -YFSLVLTNACELGEWVFSAVKSPQAPLVLCNKNFFWAVKPKAVRQIEDQLGMNHVLHSIRRNYPKLFEEH -LAPFMNDLQVNRSLDSGRLKFLDVCVALDMMNENLGIISHLLKVRDNNVYIVKQSDCASAHVRQSEYTNW -EVGISPQQVCRNFMVQVVLSSMINPLVMSTSCLKSFFWFNEVLDLEDDSQVDLAELTDFTLSIKNNKVSR -AMFVEDIAMGYVVSSFDNIKVFLESVSVDNISLLPQEDMIDLHTVLRNVACQEAVKLKLIIQVEHTRVST -KFKLRRKMVYSYTIVSSLRVDDVSTPELELNVDAMSQCVSGSEGNHSLLDGALVIASLPLFTGHESFDLA -GLFIDAGYAVTNDDNILSHVKLNFGDFYSELGNKYAYDLIGPNNPGEPLVLKEGIFYRGNERLSTYKVEL -SGDVIVKAIGALEDIDSVETLLCQLWPYLKTTSQTILFQQEDFVLVYDLHKEQLVRSLDKFGDWLEFSNF -KVAFSRSLNDLLVSDPQGQFRLKGVTCRPLKHKVEIKDID - ->sp|Q6IUF8.1|L_MACHU RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MDEYVQELKGLIRKHIPDRCEFAHQKVTFLSQVHPSPLLTEGFKLLSSLVELESCEAHACQANTDQRFVD -VILSDNGILCPTLPKVIPDGFKLTGKTLILLETFVRVNPDEFEKKWKADMSKLLNLKHDLQKSGVTLVPI -VDGRSNYNNRFVADWVIERMRWLLIEILKASKSMLEIDIEDQEYQRLIHSLSNVKNQSLGLENLEHLKRN -SLDYDERLNESLFIGLKGDIRESTVREELIKLKMWFKDEVFSKGLGKFKLTDRRELLESLSSLGAHLDSD -VSSCPFCNNKLMEIVYNVTFSSVERTDGAATVDQQFSTTHTNIEKHYLSVLSLCNKIKGLKVFNTRRNTL -LFLDLIMVNLMVDISESCQDAIESLRKSGLIVGQMVMLVNDRVLDILEAIKLIRKKIGTNPNWVKNCSKI -LERSHPEIWLQLNTLIRQPDFNSLISIAQYLVSDRPIMRYSVERGSDKICRHKLFQEMSSFEQMRLFKTL -SSISLSLINSMKTSFSSRLLVNEREFSKYFGNVRLRECYAQRFYLAESLVGFLFYQKTGERSRCYSVYLS -DNGVMSEQGSFYCDPKRFFLPVFSDEVLAGMCEEMTSWLDFDTGLMNDTGPILRLLVLAILCSPSKRNQT -FLQGLRYFLMAFANQIHHIDLISKLVVECKSSSEVVVQRLAVGLFIRLLGGESDASSFFSRRFKYLLNVS -YLCHLITKETPDRLTDQIKCFEKFIEPKVKFGCAVVNPSLNGKLTVDQEDIMINGLKKFFSKSLRDTEDV -QTPGVCKELLNYCVSLFNRGKLKVSGELKNNPFRPNITSTALDLSSNKSVVIPKLDELGNILSTYDKEKL -VSACVSSMAERFKTKGRYNLDPESTDYLILKNLTGLVSAGPKAKSSQEELSLMYETLTEEQVESFNEIKY -DVQVALAKMADNSVNTRIKNLGRADNSVKNGNNPLDNLWSPFGVMKEIRAEVSLHEVKDFDPDVLPSDVY -KELCDAVYKSSEKCNFFLEEVLDVCPLGLLLKNLTTSSYMEEEYFMCFKYLLIQGHFDQKLGSYEHKSRS -RLGFTDETLRLKDEVRLSIRESNSEAIADKLDKSYFTNAALRNLCFYSEDSPTEFTSISSNSGNLKFGLS -YKEQVGSNRELYVGDLNTKLMTRLVEDFSEAVGNSMKYTCLNSEKEFERAICDMKMAVNNGDLSCSYDHS -KWGPTMSPALFLALLQMLELRTPVDRSKIDLDSVKSILKWHLHKVVEVPINVAEAYCIGKLKRSLGLMGC -GSTSLSEEFFHQTMQLSGQIPSHIMSVLDMGQGILHNTSDLYGLITEQFLCYALDLLYDVIPVSYTSSDD -QITLVKTPSLDIEGGSDAAEWLEMICFHEFLSSKLNKFVSPKSVIGTFVAEFKSRFFVMGEETPLLTKFV -SAALHNVKCKTPTQLSETIDTICDQCIANGVSTKIVARISKRVNQLIRYSGYGDTPFGAIEDQDVKDWVD -GSRGYRLQRKIEAIFYDDKETSFIRNCARKVFNDIKRGRIFEENLINLIGRGGDEALTGFLQYAGCSEQE -VNRVLNYRWVNLSSFGDLRLVLRTKLMTSRRVLEREEVPTLIKTLQSKLSRNFTKGVKKILAESINKSAF -QSSVASGFIGFCKSMGSKCVRDGKGGFLYIKEVYSGINVCICEICALKPKIIYCNDSLNKVSQFSKPILW -DYFSLVLTNACELGEWVFSTVKEPQKPLVLNNQNFFWAVKPKVVRQIEDQLGMNHVLQSIRRNYPVLFDE -HLAPFMNDLQVSRTMDSGRLKFLDVCIALDMMNENLGIISHLLKTRDNSVYIVKQSDCALAHIRQSSYTD -WELGLSPQQICTNFKTQLVLSSMVNPLVLSTSCLKSFFWFNEVLELEDDSQIELAELTDFALMVKNQNVS -RAMFVEDIAMGYVVSNFEGVRISLSNVMVDGVQLPPKEKAPDVGVLFGLKAENVIVGLVVQIDHVRMSTK -FKLRRKMVYSFSLECTMDVGDIQNKEVILKVVAVDQSVSGSGGNHMLLDGVPVIASLPLFTGQASFDLAA -MLIESNLAGSNDNFLMSNVTLDLGGFSPELSDKYSYRLSGPENQEDPLVLKDGAFYVGGERLSTYKVELT -GDLVVKALGALEDDEGVVSMLHQLWPYLKATSQVILFQQEDFTIVHDLYKIQLTKSIESFGEWIEFTNFK -VAYSKSLKELVISDTQGSFRLKGVMCRPLANTLQVEDIE - ->sp|B2ZDY2.1|L_WWAVU RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MVDCNDRINELKDLIRKWVPDEEAYTEQKTTFLSQVNPSSIITEGLKLLSMLIEIDSCLKHGCVFNRNKT -VNQILKDHRIIGPTLPDVVPDGYRVSGSTIILLETFVRVNQESFEQKYKHDFEKLIQLSKDLSKCGLILV -PVIDGRSNYYVDRFPDWVVERIRWLLLKLMDNVKDTGERIEELEYNRLISSLSSMENQNLGLESLKALRE -EGLDYKTKLMEAIRDGIRPNLTASECRIGIAKVYDQFCLLRDSGQYQNVYCRTSRSEMIEWLKDHKLTSL -INGSEGTFFNNERCGFCQNHMLRVIAELVHSKRVSSNYTPTNDKEISRHKKLLSDCNKIKGLKVLNTRRH -TLLCLDVIVLNSLIEMIKLKINSSQFLINNHFKSVNDRLLSVDLIINKLEKKLLKQPDWLGNVKGKLSKR -IKPYNLDYVITWLKELDYEFWYEFKFEREHSARYEKPTLRYKRQSERVCYQVEFGTDKIFNEEVFLEYLD -ALSSLSLGMMNSMKTSSATKLVINDEKSFYGTVQCEECYFQDLDSSYNSILLYQKTGEKSRCYGLMLNDD -ELSDVYRVGQSFYADPKRFFLPIMSSNVILKTCEEMLSWLDWLTNEETNMIRTKLFTLVINVLTVPSKRV -QIYLQGFRYFIMAFVNEFHFKRLNEKLSVQALTSAEHHVFVLMDELVVCLLEEALEENMAKIFKFVLNLS -YLCHFITKETPDRLTDQIKCFEKFLEPKLQFGSAFVNLDSSPSLPKEIEDKFVKDINNLFSKDLHTNDLE -SPGLSKEILSLCTSCFNCGMLTVGKVLQNDPQSPSFSSTALDISSNKSVVVPKLDEVGEIITHYDYQNLL -SSVVVEMAQSFKDKLRYKLDRKSVQYAIYRRLTNMVLTKNLNEKNHINENCEDFEEFLDEDTCRLISDIE -SNVLECLSNMATPPVRKVIKGQEITQRYEGPDLLGRLWSRELMGPILAETSLHEVKDFDPSIFSFETYQE -LCELIFNSELKEQFFLDDVLRFCPLELLVKNLTTKNFIEKDFFECFKYILISAGFDNRVGRYDHRSRSRL -GFKDAAYHVKEKSRISLRESNSEAVSKRLDKSFFTNSSLRNLCFYSEESPTFQSTVSSSTGKLKFGLSYK -EQVGSNRELYVGDLNTKLTSRLIEDYFESITSESKFSCLNNDSEFEKAILDMKSVVRLSGLAVSLDHSKW -GPYMSPAIFNSLFTSLDLQLRDGSLIDKSPIENLLNWHLHKLVEVPYNVIEAYLKGYTKRGLGLMDKMSS -TVCEDFIFNWFAKGQVPSHISSVLDMGQGILHNTSDYYGLVTEQFIMLCLELCFDVRMTAYTSSDDEIML -SNSHSLKDKSDESLDIQKCGELLEFHYYLSSKLNKFVSPKTVAGSFASEFKSRFFIWSQEVPLLTKFVAA -ALHNVKAKSPHQLAETVDTILDQCVANGVSIKIIKEISKRTNRLISYSGHPIDPFLCVVETDLKDWVDGS -RGYRLQRSIESIIADDKQLSIIRNSCKKLFYKIRSGDIQEEYLVNALQSSPDDCLRQMLRITEVDDQTIE -KLIEIRWLNLRAFGDLRLVLRTKIMSGTRILDREEVPSLIRSVQSKLSKNFVRGAKKIVTDAINKSAFQS -SVCSGFIGVCKSMGSKCVRDGSGGFVYIKSLLSEVVCHHTCETCKPRFSVYCKSALERISKYSRSLLWDY -FSLVFTNACELGNWVFSCVETPKKIPSVVNPNFFWCVKPGSHTELEDKVNMNHVLYSIKRNFPDLFDEHI -APFLSDLSSLKISWVQRIRFLDLCVAMDMSSECLGVISHIMRRKREESYIVKQNELSLAHMRDSTPLEGG -FQLNSLEICRNFLYQIVFESMLHPVLLTTSQFKKYFWYGEVELLPNDADHDLGQLTQFIMDCKTLNVSRC -MSLDDLDVGYVHSKITLSDVFINLSSFIHLLDWGNLCDYESFDKIILESGLEQVPIEIGIVVSHVRRSFK -FKYDRKTNYHIKCKIIIRKSELIMSKVNGVDILEIEVSEIECFVSGSQGHHISLDGVGLIPLHPLFSGKE -LIDFNKLLADQSIEFKQVSSVFQKVKLDFKQHVKELRNKFSYKFQGPEQGLSPLHLYRGQIIERDTIVSR -LDVPVTSKSVFLALEALDAADHTPFLKSLHTYMKTRMSKSNPCFIRMTQEDLCLLIESYEVAFANILKSE -SDWVEFGDFALCFSNSLNCIMIADDGGQFKLKGRKCRSASTNPRPLEIE - ->AMZ00406.1 RNA-directed RNA polymerase L [Machupo mammarenavirus] -MDECVQELKGLIRKHIPERCEFAHQKVTFLSQVHPSPLLTEGFKLLSSLVELESCEAHACQANTDQRFVD -VILSDNGILCPTLPKVIPDGFKLTGRTLILLETFVRVNPDEFEKKWKADMSKLLSLKHDLQKSGVTLVPI -VDGRSNYNNRFVADWVIERIRWLLIEILKASKSMLEIDIEDQEYQRLIHSLSNVKNQSLGLENLEYLKRN -SLDYDERLNESLFIGLKGDVRESIVREELIKLRLWFKDEVFNKGLGKFKLTDRGELLESLSGLGAHLDSD -VSSCPFCNNKLMEIVYNVTLSYVERTDGTATTDQQFNATHSSVEKHYLSVLSLCNKIKGLKIFNTRRNTL -LFLDLIMVNLIVDINDSSQGAIENLRRSGLIVGQMIMLVNDRVLDILEAVKLIRKKIGVNPNWVKNCSKI -LERSHPEIWHHLSTLIKQPDFNSLISIAQHLVSDRPIMRYSVEKGSDKICRHKVFQEMSSLEQMRFFKTL -SSISLSLINSMKTSFSSRLLVNEREFSKYFGNVRLRECYAQRFYLAESLVGFLFYQKTGERSRCYSIYLS -DNGVMSEQGSFYCDPKRFFLPVFSDEVLAGMCEEMTSWLDFDTGLMNDTGPTLRLLVLAILCSPSKRNQT -FLQGLRYFLMAFANQIHHVDLVSKLIVECKSSSEVVVQRLAVELFAKLLSSESDANLFFSRRFKYLLNVS -YLCHLITKETPDRLTDQIKCFEKFIEPKVKFECAVVNPSLNGRLTVDQEDIMINGLKKFFSKTLRDTEDV -QTPGVCKELLNYCVSLFNRGKLKVSGELKNNPFRPNITSTALDLSSNKSVVIPKLDELGNILSTYDKEKL -VSACVSSMAERFKTKGRYNLDPDSTDYLILKNLTGLVSAGPKAKSSQEELSLMYEALTEEQVESFNEIKH -DVQVALAKMADNNVKTRTKNYGQVDSLVKSGNNPLDNLWSPFGVMKEIRAEVSLHEVKDFDPDVLPPDVY -KDLCEAVYNSSERHNYFLEGVLDVCPLGLLLKNLTTSSYMDEEYFMCFKYLLIQGHFDQKLGSYEHKSRS -RLGFTDETLRLKDEVRLSIRESNSEAIADKLDKSYFTNAALRNLCFYSEDSPTEFTSISSNSGNLKFGLS -YKEQVGSNRELYVGDLNTKLMTRLVEDFSEAVGNSMRYTCLNSEKEFERAICDMKMAVNNGDLSCSYDHS -KWGPTMSPALFLALLQMLELRTPVDRSKIDLDSVKSILKWHLHKVVEVPINVAEAYCTGKLKRSLGLMGC -GSTSLSEEFFHQTMQLNGQIPSHIMSVLDMGQGILHNTSDLYGLITEQFLCYALDLLYDVIPVSYTSSDD -QITLIKTPSLDVEGGSNDVEWLEMICFHEFLSSKLNKFVSPKSVIGTFVAEFKSRFFVMGEETPLLTKFV -AAALHNVKCKTPTQLSETIDTICDQCIANGVSTKIVTKISKRVNQLIRYSGYGETPFGAIEDQDVKDWVD -GSRGYRLQRKIEAIFRDDKETSFIRNCAKKVFNDIKRGRIFEENLINLIGRGGDEALTGFLQYAGCSEQE -INRVLNYRWVNLSSFGDLRLVLRTKLMTSRRVLEREEVPTLIKTLQSKLSRNFTKGVKKILAESINKSAF -QSSVASGFIGFCKSMGSKCVRDGKGGFLYIKEVYSGVKICTCEICTLKPKIIYCNNSLDEVSQFSKPILW -DYFSLVLTNACELGEWVFSAVKEPQKPLVLNNQNFFWAVKPRVVRQIEDQLGMNHVLQSIRRNYPVLFDE -HLAPFMNDLQVSRTMDSGKLKFLDVCIALDMMNENLGIISHLLKTRDNSVYIVKQSDCALAHIRQSNYTD -WELGLSPQQICTNFKTQLVLSSMVNPLVLSTSCLKSFFWFNEVLELEDDSQIELAELTDFALMVKSQNVS -RAMFVEDIAMGYVVSNFEGVRISLSNVVVDGVQLLPQEKAPDVSELFGLKVKNVVVGLVVQIDHVRMSTK -FKLKRKMVYSFSLECVMEVGEIQDKEVIQNKEVILKVKAIDQSVSGSGGNHMLLDGVSVVASLPLFTGQA -SFDLAAVLIESNLAGSNDSFLMRHAVLDLDGFAPELNDKYSYRLSGPENQEDPLVLKDGTFYVGGEKLST -YRVELTGDVVVKALGALEDDEGVVSMLHQLWPYLKATSQVMLFQQEDFTIVYDLYKRQLTKSIESFGEWI -EFTNFKVAYSKSLGELVISDTQGSFRLKGVTCRPLAGAPQVEDIE ->AMZ00453.1 RNA-directed RNA polymerase L [Guanarito mammarenavirus] -MDEKVIVLKDFIRRQVPDIPELSYQKEALLSQVEVPMVLTEGFKLLSCLVEIESCRKNSCECNFEQKFVD -TILSENGVVAPTLPKVIPDGYRFFNKTLILLETFVRVNPEEFEKKWKTDMAKLLSLKEDIHRTGITLVPV -VDGRGNYNTDLLPDWATERFRWLLVDLLRESRGAPTMEIEDQEYHRLIHSLSKTSNQSLGFENIECLKRV -HLNYEERLNEQLLRDIVGEVRESKIREELIKLKTWYREEVYRKGLGNFVQTDRKSLLQTLVLSSAHSDSL -APECPMCCSKILDLCYQLSMRIEDQTSLKNDFDEPPLPTTQIERVYLSLLSACNKIKGKKVFNTRRNTLL -FLDLIILNFVAHVYKTQPSEMETLKKAGLIIGEMLLLSNDRVLDILVARRLLLKKVESCCNWLDRCRHLL -RKEEPVLWDCVSEFTNVPDFELLLSLAEELCSEKPVMHYKPPSSLIGDCAHKDLMSMSGGEFESLFKCLS -HISLSLVNSMKTSFSSRLLVNEKDYKRYYGTVRLKECYVQRFFLKEGLYGLLFYQKTGEKSRCYSLYLSD -KGNLVELGSFYSDPKRFFLPIFSEFVLLATCAEMLSWLDFDEELVDAVTPLLKILVLSILSSPTKRSQTF -LQGLRYFIMAYVNQAHHVQLMSKLAVECKSASDVLIQRLSVKIVDMVLSGGSDPDMHMTRKFKFVLNVSY -LCHLITKETPDRLTDQIKCFEKFMEPKLEFGSLIVNPSLNGLLSKEQEDVMIEGVEKFFSKELLTVEDLK -RPGVSRELLSYCVSLFNKGRLRVNGTLRTDPYRPSFTSTALDLSSNKSVVVPKLNEVGEIVSEYDKQKLV -STCITSMAERFKTKGRYNLDPDTIDFLIMRNLTNLLSARKLDSSKKEELSLLYEHLSEDVMKAFEEIKYE -VEITLSKMRLSKELECGHKKPCTLEGVWAPFNVLKVIRSETSVHEIRDFDPDLLGEDVYEKLCIAVYDSP -LRPTFFLERPLDICPLELLLKNLTTKSYEDDEFFDCFKYILIQAGFDQRLGAYEHKNRSRLGLSEEAFRL -KEDVRVSNRQSNSEAIADRLDKSFFTSAALRNLCFYSEESPTEYTCISPNVGNLKFGLSYKEQVGSNREL -YVGDLNTKMMTRLVEDFTEAVANSMNYTCLNSEKEFERAICDMKMAVNNGDLCCSLDHSKWGPFMSPALF -HAFFGALKFKISKTGEQVDLGPVLNVLKWHLHKAVEVPISVAEAYCTGMLKRRLGLMSLSCQSVCEEFFH -QKLLLEEGVPSHIMSVLDMGQGILHNSSDLYGLITEQFINYCLDFLFDVIPVSYTSSDDQITTFKLPTMS -SSEDGLDGFDWLELLCFHDFLSSKFNKFVSPKSVSGTFVAEFKSRFFVMGEETPLLTKFVSAALHNVKCK -TPTQLAETIDTICDQCVANGVGIEIVTKVSERVNRLIRYSGYPQTPFLAVEKQDVKDWTDGSRGYRLQRN -IEYYLQGSEQLEFVRKCAKKVLLKIKKGQVFEEYLVQLIGKDGDDALKGFLSYAGCESDEIEDVLKYRWL -NLSANGDLRLVLRTKLMSTRRVLEREQIPTLIKTLQSKLSKNFTKGVKKILAESINKSAFQSSVASGFIG -FCKSMGSKCVRDGSGGFMYIREVLNKQRVCSCEICAKNPGVIFCSDALTSIPEFSRSILWDYFSLVLTNA -CELGEWVFSSVQPPKVPILLNNPNLFWAVKPRGTRLIEDQLGLGHVLQSVRRSYPKVFEEHLVPFMNDLQ -VSRTTDFTRLRYLDVCVALDMMNENLGIVSHLLKAKDNSIYIVKQSECAVAHIRQVEYVNQELGLSPQQI -CSNFKIQLVFSSMINPLVITTSVLKSFFWFNEVLSLEDESQIDVGELTDFTILIKKYNLNRAMMLDDLTM -GYVVSTISEPTIHLISLKRISNLTVGKQNSEMLYEELVEDIYSIVLHIQLEHKRHSTKYHLSRTVVYSYT -VECETNITDIEKGPSLATVKNVVLRASGSIEAHQFLDGVNLVASQPIFTGKKVINLSELLADSEITDTCK -EGDVLGSIFLNFGTFYEHIDDRYAYEIVGPEYSDSPLVLDRGSILADGKKLSCIKVELTGDVILKALGAL -ESEKEVQSLLTGLWPFIRINNLKVKMAQEDFLLMYEMHRESLLKSLEALSEWCEFVDFSVCYSKSLRDLV -ISDSSGSLRLKGITCKPISLSNSVTEIE ->ABU39911.1 L polymerase [Pichinde virus] -MEEYVFELKDIVRKWVPEWEELSEQKNNVLAQVKDRAITIEGLKLLSMLVEVDSCKKHSCKHNTKMTVNA -ILRELRVTCPTLPDVTPDGYCMVGDVLILLEVFVRTSQEAFEKKYNQDFLKLLQLSSDLKRQNITLVPVI -DGRSSYYVEFVPDWVVERLRWLLLKLMDGLRTSGEEVEELEYERLISSLSSLENQSLGLESLLAVKERGL -PYKVRLEKALMSGINNKLTTDQCRTKIMEIFQQFKMLQLAGQLDRKLQATDREDMISRLQNHEFIQCSVK -DVPKSEIRLCEFCSVHILGIIGQLRQSEVKHSSTESREYFRVLSICNKIKSQKVFNTRRNTMLVLDLIMY -NILCDLDKSSPGAVFREVLLMQGLPSVNDRLINVDFLMEQITKKFIKNPNWLEKAKKRLSSVCGELPLDD -ILPLLREPDVEYYFNLKTSVLDEWGAKPCLQYKTKSQCMCGGRPGRGQPDYTIMGESEFEELLKTLSSLS -LSLINSMKTAAVPKMKVNNADEFYGKVYCDEVFFQRFGEGGSLTLLYQKTGERSRCYAVAYRSKSGGLYE -TKASFYCDPKRFFLPIFSADVIQRTCVEMLSWLDFMSQPLLDSVSDLLRRLILCILCTPSKRIQVYLQGF -RYYIMAFVNEVHFKELFEKLKVVMLTPSEWQTAMLIDDLILLVLSNSREEDMAKIFKFVLNVSYLCHFIT -KETPDRLTDQIKCFEKFLEPKLKFDSVLVNPSNSMELPTEEEEKMVHDIERLLGKKLESKCEGRPGLNKD -VLSVCLSLFNSSSLEVKPLLPCDPMTPSFTSTALDMSSNKSVVVPKLNEVGEVITEYDYSSIVSAVVVEM -IEHFKTKGKYKLDPKEVNFKILKRLSSLIQIKKESIEPDGVEELLSEDQGDCLKEIETRVAKVLSKVDTN -VKTNLKTSCPLERLWPKSTMVVIKRETSLHDVKDFDYSLFSAEVYEDLVNLIYEDVTARSVYFADRLMNP -CPLEFLIKNLTLKAYKEADYFECFKYILIASDYDNRVGRYDHKSRSRLGFTDAALQIRETSRISSRESNS -ESIAKRLDQSFFTNSSLRNLCFYSDESPTERSGVSTNVGRLKFGLSYKEQVGGNRELYVGDLNTKLTTRL -IEDYSESLMQNMRYTCLNNEKEFERALLDMKSVVRQSGLAVSMDHSKWGPHMSPVIFAALLKGLEFKLKD -GSEVPNAAVINILLWHIHKMVEVPFNVVEAYMKGFLKRGLGMMDKGGCTIAEEFMFGYFEKGKVPSHISS -VLDMGQGILHNTSDLYGLITEQFINYALELCYGARFISYTSSDDEIMLSLNEGFKFKDRDELNVELVLDC -MEFHYFLSDKLNKFVSPKTVVGTFASEFKSRFFIWSQEVPLLTKFVAAALHNIKAKAPNQQADTIDTILD -QCVANGVSIEVVGAIAKRTNSMIIYSGFPNDPFLCLEEMDVLDWVNGSRGYRLQRSIETLFPDDLLLSII -RKACRKIFYKIQSGALEESYIVTTLQQSPDDCLKQLLETCDVETEAIEDALNIRWLNLRVHGDLRLVLRT -KLMSTTRTVQREEIPSLVKSVQSKLSKNYVRGAKKILADAINKSAFQSSIASGFIGVCKSMGSKCVRDGK -GGFKYIRDITSKIILHRDCHFCNQRKGVYCKAALGEVSEYSRPLIWDYFALVLTNACELGNWVFQKAEVP -KIVTHLNNPNHFWPIKPSTHSELEDKVGINHILYSIRRNFPTLFDEHISPFLSDLNMLRLSWVQRIKFLD -LCVAIDITSECLGIVSHIIKHRREELYIVKQNELAMSHSRESHPLERGFNLEPEEVCTNFLIQILFESML -VPVIMSTSQFKKYFWFGELELLPNNAQHDLKQLTQFICDCKKNNTSRTMNLDDLDVGFVSSKLILSCVNL -NISVFINELDWVNRDNYENIEQLILASPSEVIPIELNLTFSHKRVSHKFRYERSTNYILKLRFLIERESL -LDSLDSDGYLLLNPHSVEYYVSQSSGNHISLDGVSLLVLNPLINGKDVLDFNDLLEGQDIHFKSRSTVFQ -KVRIDLKNRFKDLKNKFSYKLIGPDVGMQPLILEGGLIKEGNRVVSRLEVNLDSKVVIIALEALEPEKRP -RFIANLFQYLSSAQSHNKGISMNEQDLRLMIENFPEVFEHMLHDAKDWLNCGHFSIIRSKTLGSVMIADE -TGPFKIKGIRCRKLFEDNESVEIE ->ALE15105.1 RNA-directed RNA polymerase L [Argentinian mammarenavirus] -MEESVNEIKTLIRKHFPERQELAYQRDIFLSQHHPSSLLLEGFKLLSSLVELESCEAHACQINSDQKFVD -VILSDHGILCPTLPKVIPDGFKLTGKTLILLETFVRVNPDEFEKKWKSDMSKLLNLKSDLLRAGITLVPV -VDGRSNYSNRFLADWVVERVRWLLIDILKKSKFMQEINIEEQEYQRLIHSLSNTKNQSLGLENIECLKKN -SLGYDERLNESLFVGVRGDIRESVIREELIKLRFWFKKEIFDKQLGKFKFSQKSDLISNLILLGSHKDSD -VPSCPFCANKLMDVVYSIALHSVDEVNMESQSDENSISIDAVERCYLQALSVCNKVKGLKVFNTRRNTLL -FLDLVLLNLLCDLFKRHDDAIVKLRNAGIVVGQMLMLVNDRLLDILEAIKLIRKKLMTSPKWVQMCSRTL -KNSHQDLWSQLEKLIKHPDMDSLMILAQALVSDRPVMRYTIDRESEKICKHQPFSSLVEGEQKKLFRILS -SISLALVNSMKTSFSSRLLINEREYSRYFGNVRLRECYVQRFHLTKNTFGLLFYQKTGEKSRCYSIYLSI -NGVLEEQGSFYCDPKRFFLPIFSEDVLIEMCEEMTSWLDFSHELMTMTRPILRLLVLAVLCSPSKRNQTF -LQGLRYFLMAYANQIHHVDLMSKLRVDCMSGSEVLIQRMTVELFQTILSEGEDADLYFARRFKYLLNVSY -LCHLVTKETPDRLTDQIKCFEKFIEPKVKFDCVVVNPPLNGSLTLEQEDTMIRGLDRFFSKEAKTSSDTQ -IPGVCKEILSFCISLFNRGRLKVTGELKSNPYRPNITSTALDLSSNKSVVIPKLDELGNILSVYDKEKLV -STCVSTMAERFKTKGRYNLDPDSMDYLILKNLTGLVSTGSKTRTNQEELSMMYESLTEDQVKAFEDIRND -VQMTLAKMANSEGSKVETTKLKSKNLSVDERESLESLWAPFGVLREIKAEVSMHEVKDFDPDVFRSDVYK -ELCDAVYLSPFKLTYFLEAPQDICPLGLLLKNLTTIAYQEDEFFECFKYLLIQGHYDQKLGSYEHRSRSR -LGFSSEVLKLKDEVRLSTRESNSEAIADKLDKSYFTNAALRNLCFYSDDSPTEFTSISSNTGNLKFGLSY -KEQVGSNRELYVGDLNTKLMTRLVEDFSEAVGSSMRYTCLNSEKEFERAICDMKMAVNNGDLSCSYDHSK -WGPTMSPALFLSFLYTLELKNPRDRTKVNLEPVMNILKWHLHKVVEVPINVAEAYCVGKLKRSLGLMGCD -CTSVGEEFFHQHLQSRDQVPSHIMSVLDMGQGILHNTSDLYGLITEQFLCYALDLLYDVIPVTYTSSDDQ -VSLIKIPYLSDEKCQNRTELLEMVCFHEFLSSKLNKFVSPKSVIGTFVAEFKSRFFVMGEETPLLTKFVS -AALHNVKCKTPTQLSETIDTICDQCIANGVSTHIVSKISIRVNQLIRYSGYRETPFGAIEEQDVKDWVDG -SRGYRLQRKIEAIFSDDKETMFIRNCARKVFNDIKRGKIFEENLINLISRGGDEALSGFLQYAGCSEDEI -RQTLDYRWVNLASFGDLRLVLRTKLMTSRRVLEKEEMPTLIKTIQSRLSRNFTKGVKKILAESINKSAFQ -SSVASGFIGFCKSMGSKCVRDGKGGFLYIKDIFTRIMPCLCGICERKPKVIYCQKSLQEVNQFSKPILWD -YFSLVLTNACELGEWVFSAVKSPQAPLVLCNKNFFWAVKPKAVRQIEDQLGMNHVLHSIRRNYPKLFEEH -LAPFMNDLQVNRSLDSGRLKFLDVCVALDMMNENLGIISHLLKVRDNNVYIVKQSDCASAHVRQSEYTNW -EVGISPQQVCRNFMVQVVLSSMINPLVMSTSCLKSFFWFNEVLDLEDDSQVDLAELTDFTLSIRNNKVSR -AMFVEDIAMGYVVSSFDNIKVFLESVSVDNISLLPQEDMMDLHTVLRNVACQEAVKLKLIIQVEHTRVST -KFKLKRKMVYSYTIVSSLRVDDVSTPELELNVDAMSQCVSGSEGNHSLLDGALVIASLPLFTGHESFDLA -GLFIDAGYAVTNDDNILSHVKLNFGDFYSELGNKYAYDLIGPNNPGEPLVLKEGIFYRGNERLSTYRVEL -SGDVIVKAIGALEDIDSVETLLCQLWPYLKTTSQTILFQQEDFVLVYDLHKEQLIRSLDKFGDWLEFSNF -KVAFSRSLNDLLVSDPQGQFRLKGVTCRPLKHKVEIKDID ->AAP44539.2 L protein [Bear Canyon mammarenavirus] -MSEYLDELKELIRKWIPDEEMYIEQKTSFLSQVNLRSAVIEGLKLLSIIIEIDSCKKHGCVHNKNKTVNQ -ILRDHKIVGPTLPDIVPDGYRVIGSTIILLEAFVRVSHESFEIKYKSDFEKLMQLSKDLSRCGLTLIPVV -DGRSNYYTEHFPDWTIERMRWLILKITNFLRDNGEEIEELEYSRLVYSLSNMENKNLGLESLKILKEEGL -DYKAKLMSVMRDGVNSNMSASECRVGMAKVYDQFSFLRKNGLYKDVYCKTSRTEIINWLKDHKLILLSGE -TRTAMLDERQCGYCRNHMFRILASLIKNKRHYQSLTNPIKCGNIQSHKKLLSDCNKIKGLKVLNTRRFTL -LCLDVIILNSLLELIDTGEVDNEFLINNHFKSVNDRLVSIDLVIDRLNKKLMSKPNWIDSVKYKMKRTLE -IHGLYYVSKWLKQVDIDSWYEFKMMREHSDKCVKPTLKYKKDAARKCGQPEFGSSTILDDEVFLEYLEAL -STLSLGLVNSMKTSSAAKFLINDKSNYFGTVQCSECYFQDLDKSYNSLLIYQKTGERSRCYGLMFRSEQF -ENVYEVGESFYADPKRFFLPIMSSEVILKMCVEMLSWLDWLSEQELRAFKSKLYTLIINILTVPSKRVQV -YLQGFRYLIMAFVNELHFKELQNKLKVQPLTISECYVFTLMDDLVHSLLTEAQEENMSKVFRFVLNLSYL -CHLVTKETPDRLTDQIKCFEKFLEPKLDFNSVFVNLDSSPHLSREVEEKFIKDLNRLFSKDLGVEDLKDP -GISKELISLCTSCFNCGLLPMSKVLKHDPQSPSFTSTALDISSNKSVVVPKLDEVGETITQYDYQSLLSS -TVVDMAQSFKDKLKYKLDRKSIQFAIFKRLTNMVLKRKIDHDLKDDLDDELSEIVDDNTLKVINDVEANV -SECLSKMGKISKAATVGGQNNLGRFEKTDTLKRLWDRESMNFILMETSLHEVKDFDPSIFPIEKYKSMCE -LVYDSKMKSEFFTDEVLKFCPLDLLVKNLATKCYLEEDFFECFKYILISAGFDNRVGRYDHRSRSRLGFK -DEAILIKENSRISSRESNSEAISRRLDKSFFTNSSLRNLCFYSEESPTYRSTVSSSVGKLKFGLSYKEQV -GSNRELYVGDLNTKLTSRLIEDYFESLTSECKFSCLNNDAEFERALLDMKCVVRLSGLAVSMDHSKWGPY -MSPAIFNILFSNLNLELNDGVFIDKAPIENLLNWHLHKIVEVPYNVIDAYLKSYTKRRLGLMDRSSASIT -EDFIFNWFTKGVVPSHISSVLDMGQGILHNTSDYYGLLTEQFILQCLDFIFDIKPTAYTSSDDEIFLSNS -PSLKKVDEDSLDIDKCQEVLEFHNYLSSKFNKFISPKTVAGSFASEFKSRFFIWSQEVPLLTKFVAAALH -NVKAKSPHQLAETVDTILDQCIANGVSIEVVKAISRRTNKLITYSGHPQNPFLCVENTDLKDWVDGSRGY -RLQRSVESLFNDDDLPLTIRNSCRSLFHRIRSGDIQEEFLINALQTSPDECLAQMLRLSNVDESTIDKVL -EFRWLNLRAHGDLRLVLRTKVMSGTRILDREEVPSLIKSVQSKLSKNFVRGAKKIITDAINKSAFQSSIC -SGFIGFCKSMGSKCVRDGNGSFQYIRHFLKSIMLHSHCEVCKPEMSVFCRAALEKLKPFSRPIFWDYFSL -TFSNACELGNWVFSNVTIPKRTPTTVNPNFFWPVKPGSHTELEDKVNMNHVLYSIKRNFPDLFDEHIAPF -LSDLNSLKISWIQRIKFLDLCVAMDMSSECLGIISHIMRRKREELYIVKQNELSVAHMRDSSPMEAGYQL -NSSEVCHNFLCQLVFESMLHPVLLTTGQFKKYFWFGEVELLPNEADHDLGQLTQFVMDCKTLNISRCMSL -DDLDVGYVHSSIVMGDIYVNFSSFLHLLDWENRRNYKTFDEIILRSSEETIPMEINFTISHSRKSFKFKY -ERKTNYHIKSRVLVQKVDIEEAQNQGFDILELEVHGIECFVSGSQGNHISLDGVGLIPLHPLFSGKEFLD -VNKLLIKQDENFESTHSVFSKVKLNFSNHTKDLRNKYSYKLQGPEYNMNPLHLYRGQIMENNFVISRLDV -QITSRSVFLALEALESEDRIPFLISLHIYTRSNNKKENPCFIRMTQSDLCLLIDSYEKEFTEVLKSLSDW -MDFGDFALCFSNNLNCIMIADPDGQFKLKGRQCRKVSPASAPLEID diff --git a/seq/clusters_seq/cluster_171 b/seq/clusters_seq/cluster_171 deleted file mode 100644 index 605d0c1..0000000 --- a/seq/clusters_seq/cluster_171 +++ /dev/null @@ -1,160 +0,0 @@ ->YP_009755891.1 ORF3 protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -MIGLFQIHMSRVFPQSKPTFHPDVPHVVPIYHFNLGVDYLLSSVFVYYFAVFRGNSFKVNIACFIARLVV -LLGYAPLLLYTGAYLDGAIIASILIVRLCYTAFYSIKFRSVYFLVLNSPTLAWIFGKCWYYNFEDYTCYR -GGESYIKFGPHFVPFINDNGVYLAVRGRFQKDVHLVRRIELINTDSLYIFAVEPVVGITNIKAIYSSQQH -EYVVEIN - ->YP_009256198.1 non-structural protein 3c [Ferret coronavirus] -MIANLFVGLFLQSISFLKQDVTIISTDIVNSSKSVVASEQHFVVNSKHYRAIPEFSIAVLFVLFLAMYRC -TSFKQNVAILIFKIVAMCFIAPLLIMFGCYIDGVITIVVLLARFCYLSYFWFRFKRFEFVFYNVSTLMFV -HGRAAPYLRSSHNTHYVTLYGGLNYMCVDDLALHFVNPMLVKLAIRGLVQLDLNVVRSIELLNGDFIYIF -SPEPVVGVYNAAFDREIVNEIDLKESIYEIPDSTDSD - ->YP_006908643.1 non-structural protein NS3 [Rousettus bat coronavirus HKU10] -MTGLFQTSFGDSVQAGVQHLVEKLPLPDVHFQHALPLANFLMTSVFVIYFAMYKASSVRNNCIMFGFRLF -AMFVYAPLLCYFELYVDAAIIFGALYTRLMYVTYYACRYRSPAFVVLNTDKLAFVQGYYWYYQDNSYLTL -LGGENFVTFGPNFVPIAATNDLYIALRGKKDDDVPLVRRVELINGQFFYIFAQEPVVGVVNMCFSELRLC -EEVEVQSD - ->YP_001718613.1 ORF3 protein [Miniopterus bat coronavirus HKU8] -MFGGLFTYSIESVRTAVKELDLPPAKEHDLLEHVVPVAHATSFAGYLLTSLFVLYFALFKAVTIRGNYAC -FIARILLILVYCPLIAYCGAYLDSCIIFTALLVRFFWTGYYACRYRTFLFVILNDTTLAFVNGKAWYYSS -QPYMVLPGGEHYVQLGPHFIPFVGAKELYVAVRGMNDENLELVRCVELINGSFFYIFAREPVVGVVNMKF -TEIQLYEDVNID - ->YP_001552237.1 hypothetical protein BCHV2_gp4 [Rhinolophus bat coronavirus HKU2] -MFGGLFQLTLEGVVNSTIRAANLGPKDEAIIREQVQPAINGANMLGYMLTSMFVWYFALYKPSTKRGRIA -MFISKLLVIFAYVPIIIYCGGVVDGCIILVAVVSRLLYTSYYAFCYRSFAFVLFNAPTLCFVNGAVCLYA -RITKVADYIALYGGHHYVTIETEPVEFAHKDSLYVAIRGKKELNLYLAKAMELSDGAYIYLFTNTPFVGI -YNANFQLQETQLNYVSEDC - ->YP_001351685.1 putative ORF3 [Scotophilus bat coronavirus 512] -MFLGLFQYTIDTAVEHTVEHANLSQEEALMLEENIVPLRQATHVTGFLLTSVFVYFFALFKASSYKRNLL -LFLARLLALLIYAPILIFCGAYLDAFIVVATLTSRLLFLTYYSWRYKTYKFLIYNSSTLMFLHGHANYYN -GRPYVMLEGGSHYVTLGTDIVPFVSRSNLYLAIRGSAESDIQLLRTVELLDGNYLYIFSSCQVVGVTNSG -FEEIQLDEYATISE - ->YP_009194640.1 ORF4 [Camel alphacoronavirus] -MALGLFTLQIESAVNQSLSKSKVSAVVSRQVIQDVRAAAVTFNLLAYTLMSLFVVYFAFFKARSNRGRVA -LIVFKILILFVYVPLLYWSQAYIDATLIAVILLGRFFHTAWYCWLYKTWDFIVFNVTTLCYVQGKCWFLE -NKALKPFVCFYGGDQFLYIGDRVVSYVSTNDLYVALRGRIDRDLSLSRKVDLYNGECVYFFCEHPAVGIV -NTDFKLEFEDVSEASG - ->YP_003768.1 protein 3 [Human coronavirus NL63] -MPFGGLFQLTLESTINKSVANLKLPPHDVTVLRDNLKPVTTLSTITAYLLVSLFVTYFALFKPLTARGRV -ACFVLKLLTLFVYVPLLVLFGMYLDSFIIFSTLLFRFIHVGYYAYLYKNFSFVLFNVTKLCFVSGKCWYL -EQSFYENRFAAIYGGDHYVVLGGETITFVSFDDLYVAIRGSCEKNLQLMRKVDLYNGAVIYIFAEEPVVG -IVYSSQLYEDVPSIN - ->NP_073553.1 4b protein [Human coronavirus 229E] -MQGKCWFLENKALKPFVCFYGGDQFLYIGDRIVSYFSTNDLYVALRGRIDKDLSLSRKVELYNGECVYLF -CEHPAVGIVNTDFKLEIH - ->NP_073552.1 4a protein [Human coronavirus 229E] -MALGLFTLQLVSAVNQSLSNAKVSAEVSRQVIQDVKDGTVTFNLLAYTLMSLFVVYFALFKARSHRGRAA -LIVFKILILFVYVPLLYWSQAYIYATLIAVILLGRFFHTAWHCWLYKTWDFIVFNVTTLCYAR - ->YP_009824968.1 ORF3 protein [NL63-related bat coronavirus] -MFGGLFQTSIDAVINDSISDMNLTPQTRNFLHDKLEPVKTVSVIPFYLLMSVFVIYFALFKPRSPRSRVL -ILAAKVLIVLVYVPILLWFGAYVDAVLIAVTILARLLYTGYYAYVYKNWAFVTFNTHVLCFVVGKPWFYE -KSHYGLEFLAISGGDHYVAYGGHTVAFASANELYVAIRGSVEKDLKLMRKIEMYNGNAFFVFAEEPVVGI -VNVSCDVQLYEDVPTVN - ->YP_009380522.1 ORF3 [Coronavirus AcCoV-JC34] -MIGGLFSVGFEQFIQHANVTTGGALTALAAQPLINYGTAVFSVYSCFFLSFFALYSVKSDRANCLLLFLR -LLTLFVAVPILFCTGYYIDGSLTILILLSRFCYLIYYCVRFKRLHFILYNTSTLLFAQGRCVPYVKLHYF -ANYAALYGGAGHLMLGRKVINFTEARNVVLAVRGRLQEDLLLARVVELANGECIYIFTKEPAVSVYNFSF -QPLN - ->YP_009328936.1 ORF3 protein [NL63-related bat coronavirus] -MFGGLFQLSIEKAINQSATDLKLSEDNAAILRDNLKPASTASAITAYLLTSLFVAYFALFKPLSNRGRVL -CFAAKLLVLFLYVPLLFYVGAYLDGAIICVALLSRFFHVGYYAYLYKNFSFLLFNSTVLCFAMGKCWYFD -KKKFGKEFVAVYGGDHYLVYGGQTIAFASASELYMAIRGSLEKNLQLMRKVELYNGDAIYVFAEEPVVGI -VNMSCDIQLYEDVPAVN - ->YP_009201731.1 hypothetical protein ORF3 [BtNv-AlphaCoV/SC2013] -MFGLFQLNIQNAASVVRTSIVPKQLLPHVVPIAHFNLGIDYLFSSVFVYYFATYRGNSIKTNCALFVCRL -LVLFVYAPLLLYTGAYIDGSLTAIILLCRFFYVAYFAVRFHSFYFVLLNSPTLAWVFGKAWYYHFDDYTC -LRGGDSYVRFGPHFVPFVSDRNLFLALRGRVQKDVCLVRRVELINGDFLYIFASEPAISVTFINDVK - ->YP_009200736.1 hypothetical protein ORF3 [BtRf-AlphaCoV/YN2012] -MFGGLFQLTLEGVVNSTIRAANLNPNDEAIIREQVQPAINGANMLGYMLTSMFVWYFALYKPSTKRGRIA -MFVSKLLVIFAYVPIIIYCGGIVDGCIILTAVVSRLLYTSYYAFCYRSFAFVLFNATTLCYVNGVVCLYT -RITKVADYIALYGGHHYVTLETTPVEFAHKDSLYVAVRGKKELNLYLSKAMELSDGAYIYLFTNTPFVGI -YNANFQLQETQLNYVSEDC - ->YP_009199791.1 hypothetical protein ORF3 [BtRf-AlphaCoV/HuB2013] -MFLGLFESSMEAAIANGFNIPPNEVEEILDVIKPARQTISIASFLLSSVFVTWFALYKASCFRTNCALFA -LRVCTLLLYTPILVFFNSYIDAVVVACSLTIRFAYLGYYSYKYKSFSFLVLNTSKVAFVNGKFWYYDESP -YVVLCGGDHHVQLGEYMIPFADSNELYVALRGTTEDDVPLSRKVEMMNGAFIYIFAREPCVGIVNMSFKE -TQLDEDLTFITLHNVDISQ - ->YP_009199610.1 hypothetical protein ORF3 [BtMr-AlphaCoV/SAX2011] -MMDPLGFLWYWDFAPKTVTPKVAVPQSLQPIIVHSNNSVIGFLLISVFIYFFAVYRAHTYRTQLVCLLCK -FVLLVVYVPLLVYCQAYVDASIIALVLVIRLCYLLYYSLKYKTVDFLLFNDSVLCFSFGKACFVNNKLRH -FMVFTGGHHYMDLGYNFVPFVDTSTLDVCIRGSLEYDLLFSRQIELCDGSYLYLFTSQPVVSVCNIVTTT -QLDETVIEL - ->YP_009199244.1 non-structural protein 3b [Swine enteric coronavirus] -MIGGLFLNTLSFLIVSNHSIVNNTANVHHTQHERIIVQQHHVVSARTQNYYPEFSIAVLFVSFLALYRST -NFKTCVGILMFKILSMTLLGPMLIAYGYYIDGVVTTTVLSLRFAYLAYFWYVNSRFEFILYNTTTLMFVH -GRAAPFKRSSHNSIYVTLYGGINYMFVNDLTLHFVDPMLVSIAIRGLAHADLTVVRAVELLNGDFIYVFS -QEPVVGVYNAAFSQVVLNEIDLKEEEGDHTYDVS - ->YP_009199243.1 non-structural protein 3a [Swine enteric coronavirus] -MCSDVFQHTIDTVVKDVSKSVNLSLDVLKN - ->YP_009019183.1 non-structural protein 3c [Mink coronavirus strain WD1127] -MIGSLITGLFITSISFLKEDVTIKTTDVQADTNCSTIVQRSVAAPKSYTDDVSVFIISLLFSIFLAMYRS -TSFKSNVALSLFKIATICFISPFLIGLGLYVDGIVTLVVLLSRYFYLSYFWFKFERFEFLFYNVSTLMFV -HGKAAPYLRSSNHAHYVTLYGSLNHMRVDDFTLYFVDPMLVKIAIRGFVQLDLNVVRSIELLNGDFIYIF -SPEPVVGVYNAAFSQDIVNEIKLDDHSYEIPERLDCD - ->YP_008439203.1 non-structural protein 3 [Bat coronavirus CDPHE15/USA/2006] -MFLGLFQYTINSAIKDSIAKSNLSLSDAVVVDQQLEPVRQFSIASGFFFTSVFVIYFTLFKASTFRRNLL -LLLARFLVVVVYCPLLFYFGCHLDGSFILLTIFARLLYVCYYAWRYKSIQFVVLNTTTLAFVNGKCTYYA -NEPFFTFEGGDHYIKLGEYYVPFVDRNSLYVALRGKFEEDVYLSRSIELFNGHFLYVFTRQQVVGIVNSN -FTDVQLDELEYASAS - ->YP_001718606.1 ORF3 protein [Bat coronavirus 1A] -MWGGLFQLQFEKALSSINSDLNLPKEHLKVVESHLAPIHYATSSLGYLFTSFFVVYFALFKANTYRSNIV -CLAFRLALILLYSPLLLYYGSFIDGTFIAAVLIGRMCQTLYYSYRYKNPSFVILNTCTLAIIDGKSWYYY -GQIWFVLHGGRNHVKFGPHNITFLSNNQLHVDVRGYTNLNLELIRVAELPHESLFYIFGTEPVNDVKVVD -MLSSTTRRC - ->NP_598311.1 hypothetical protein PEDVgp3 [Porcine epidemic diarrhea virus] -MFLGLFQYTIDTVVKDVSKSVNLSLDAVQELELNVVPIRQASNVTGFLFTSVFVYFFALFKASSLRRNYI -MLAARFAVVFLYCPLLYYCGALLDATIICCALIGRLCLVCFYSWRYKNALFIIFNTTTLSFLNGKAAYYD -GKSIVILEGGDHYITFGNSFVAFVSNIDLYLAIRGRQEADLHLLRTVELLDGKKLYVFSQHQIVGITNAA -FDSIQLDEYATISE - ->sp|Q7T6T1.1|NS3B_CVCBG RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Protein X2 -MIGGLFLNTLSFVIVSNHVVNNTANVHHIQQEHVIVQQTQIVSARTQNYYPEFSIAVLFVSFLALYRSTN -FKTCVGILMFKIVSMTLIGPMLTAYGYYIDGIVTTTVLALRFIYLSYFWYVNNRFEFVLYNTTTLMFVHG -RAAPFMRSSHSSIYVTLYGGINYMFVNDLTLHFVDPMLVSIAIRGLAHADLTVVRAVELLNGDFIYVFSQ -EPVVGVYNAAFSQAVLNEIDLKEEVEDHVYDVPSGINCHR - ->sp|P24414.1|NS3B_CVPRM RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Non-structural protein 3-1 -MIGGLFLNTLSFVIVSNHPIVNNTANVHHIQQERVIVQQHHVVSARTQNYYPEFSIAVLFVSFLALYRST -NFKTCVGILMFKILSMTLLGPMLIAYGYYIDGIVTTTVLSLRFAYLAYFWYVNSRFEFILYNTTTLMFVH -GRAAPFKRSSHSSIYVTLYGGINYMFVNDLTLHFVDPMLVSIAIRGLAHADLTVVRAVELLNGDFIYVFS -QEPVVGVYNAAFSQAVLNEIDLKEEEGDRTYDVS - ->sp|P22656.1|NS3B_CVPFS RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Non-structural protein 3-1; AltName: Full=X2b protein -MIGGLFLNTLSFVIVSNHSIVNNTANVHHIQQERVIVQQHQVVSAITQNYYPEFSIAVLFVSFLALYRST -NFKTCVGILMFKILSMTLLGPMLIAYGYYIDGIVTTTVLSLRFAYLAYFWYVNSRFEFILYNTTTLMFVH -GRAAPFKRSSHSSIYVTLYGGINYMFVNDLTLHFVDPMLVSIAIRGLAHADLTVVRAVELLNGDFIYVFS -QEPVVGVYNAAFSQAVLNEIDLKEEEGDRTYDVS - ->sp|P09047.2|NS3B_CVPPU PUTATIVE PSEUDOGENE: RecName: Full=Putative non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b; AltName: Full=Non-structural protein 3-1; AltName: Full=X2b protein -MIGGLFLSTLSFVIVSNHSIVNNTANVHHIQQERVIVQQHQVVSARTQNYYPEFSIAVLFVSFLALYRST -NFKTCVGILMFKILSMTLLGPMLIAYGYYIDGIVTTTVLSLRFVYLAYFWYVNSRFEFILYNTTTLMFVH -GRAAPFMRSSHSSIYVTLYGGINYMFVNDLTLHFVDPMLVSIAIRGLAHADLTVVRAVELLNGDFIYVFS -QEPVVGVYNAAFSQAVLNEIDLKEEEEDHTYDVS - ->sp|P0C545.1|NS3B_FIPV PUTATIVE PSEUDOGENE: RecName: Full=Putative truncated non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -MIGGLFLNTLSFVIVINHVIVNNTANVHTTQHENVIVQQH - ->sp|P36695.2|NS3B_CVCAI PUTATIVE PSEUDOGENE: RecName: Full=Putative truncated non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -MIGGLFLNTLSFVIVSNHVIVNNTANVHHTQ - diff --git a/seq/clusters_seq/cluster_172 b/seq/clusters_seq/cluster_172 deleted file mode 100644 index 54a39d0..0000000 --- a/seq/clusters_seq/cluster_172 +++ /dev/null @@ -1,1044 +0,0 @@ ->YP_009553255.1 replicase [Culex pipiens-associated Tunisia virus] -MDLENLSHAVNTVIKNPESLTFTVLDQILVEKIRSSNELNSSTKKKITKIPYSMNSEQKKALTLMYPEFE -FEYGVNFSGHVLAAASRICETKLIWDFVHLDDVERIKERIPQYDHYITDIGGNFLTNLKCGKVKGMHSCE -PTLSLYDSTRRTDRIIGSLFLDPSKLNNDSNDVLTSFDKFNNFRCERKFQNCNYTSFLGMSIHSAYDIPL -QDFIDGMDNKNMMCAYLTMIFDPKMLHTDSDKLLDIEMKWSIRGETIHFEYYNESSLSYTHNLANYLSYF -TQSHMISTINKRHYTLQILKNRGYIHFIKILYVDDTGFNRTPLVHSIPFSYYENMIGIKYFVWTELMKEN -MSKEKIRGLLKPILLIYPEVEIEHYKSFAYKLEAGKFNPSTIFEFILSHKYRSQQDQKNVAQGKLGNVTE -LFFLAHAIYLLIYKLRYTNGNVLKHLISEQLDSRKASNINFLKKMFSKVSAKIRKAYLKLYVKFQSPPEI -LEVTFSKIQEYRSFSDVKTNVKSADWVHGFTEIDPSWCIYEPKRSSVLDTILQNSDETEIYTIDNTNKEV -KSEPFSIEKHTNEHFDGAGLSIVIDELKPGVNRHPVGSIIDCDGKCKDLEVIPNSGGGYCIYYSMLGYDD -KEAAITLIKKFRSMDFNDYKDDVKIKMRKSLSLKGGLVTELVFDLFSILENLKIFVHTRDCKHVRFYGNE -KWEDEIHVLYHDSHFERLDLPDSKKFTKYGEGHKPIDLGLLSGKKEEGRSGVTTSKEEDKKGNSNSMVTS -KKGDKIIIASKENELNHTGGGDTSDDLQTDDESMTEEENENSSDDDVFIDKMFDKNNMSKFETCIKFGKK -LFKDNRHHSKEILCIIRSLCDNGVFKQVYDENLIYKTTFEDIPRVQNICYVEQDSPMKDFEKIKFLPNMF -YRFVHISKKNLVIIHVSEGCNYMNNNIFDMYYISDHLKRTVKFFEKTGLSTVRTYPEFYELSNKRVFVTC -NQGYKDKYFYKNFLSKQFAERNFINKERIEKVYVFDPYYKITPVNMRKYIGLYNEKTDRNADDKIFSMVE -RCIKLNTVKGTNVLLHLHTSTVKLLEQFVRDFIKLPQDNIILVIYDTDYYKTIHRKLLKYSYSVNDNVSN -FNLMEKISGLDISPFKTIIDKLTPEDFTSNKRKFLKLNNRPLDSSAVHKIDEILKEYNYTPEVIIDICAN -PGNFSKILRCKYPSAILYIHSYFNSKTCDMIDPVFVNAKNTILINKRMTNHYYGDLLDESQLKYVDSMLK -MADLITSDGCVDAGPDSWSNIKLTRNQIRLALNHLNIGGVFILKVFIHHNIYELLKTTSRKFHRTTIIKP -HYSNIISPEYYIYFDGYSGKIHGETLSDVDIYKAYITYYKYIKEFSEHCNEDDGLQEGSIENESKSGKPT -RIKEKEKKFKIKDSPVFSPSLQELYNIYVKDKSETNKTRLLMEMQESGLFDENVLLKCDQTGCFEDFNSE -KLRVIETKDCRIEKYNNESYNLKPLSSISDKLPGIKNKDLLKHWSKNNVKNKFDPYLEYEEKLGYIQRNA -SREILEVYKTTPKIIREILEILYKQKKINLVLGEYQVRYIRLDDSNIGVWDNKIKSWRIKPEGNLKYDVY -FDGKDFVKSDNLDSTDVRYLMVNNSCEFIHEISLYDSLKDINIYDNITNVDVKFVQGVPGCGKTTYICSN -VDDGDLILASTREGVKSIESKIKKNVVIKTLHSYLMNPNKQFETVWIDEFLMRHYGEIILVILKSKCKRI -VLIGDKAQIPYFNKCPSFLLNYSKSTDFITPTENKNVSYRCPLDVAYMFYEDYENGFMSVSKFSKTMKTK -LINSPLDIPKNEGYKYLVFKQSEKTTLLMEGFKDVSTIGETQGLEFKKVCLVRLSKIAIDELYNRRDQQL -VGCTRHREEFVYCSIIKDGLFELINKLPTEDEIKQKVSITTSRFNGAGRRRRVNMKNLTVGDLRLVKPLV -INDLKFSEYFNKVVLDMPKPVHTDKSLQLIVDTVNTNGGNLISNIQPKVTYKIQRPDNQFRCITKGLGIS -YLQDVYDKILPGNSCFDYKYDQLIAESQPIFFPYKNVIVDHSKDYPLPDHKPSLSPVLRTSMAYDRLPSQ -MDSLIGLMKRNLGIPQLSGVVDIKYFVDNCVENFLSTYIDVDKLDIFNMYENDMLYPEVNSIREWLSDQD -NKNLGSIKEPFDIFDPNLDLFVYDLMNKQQIKPGLTTQTPYEYSAVQTIASQNKSLNMFWCPIFRNCKRR -LRAVLKENFMIFADMSADDFTKILSDRFPVRYLQSYKKLEIDIGKYDKSQHRLLFEVECALYKKLGIPDE -LILKWREAHEFTTLKDRKNGVKAFVEYQRKSGDASTFFGNTVVLMVVLCTIFDLSDSFGVFSGDDSILWM -KDIIDRNDLCANIFNLESKFYKFENSYFCSKFLIEVKDQWFLIPDPLKILTKLGRHNISNWDHLEEYRIS -LCDLLSIYKRGEIYDALNVAFCERYKVNFSIEPLCVSILELISTKNNFKTLFYVKPGVKLITDPSHKKLD - ->YP_009552461.1 replicase protein [Nephila clavipes virus 4] -MDLSKDTVVPPSELHTVCDTDFLQKKFLKEKLDSVLREERKKDTVTLKEILKPAEQQLLSSSYPEFHIVY -ASEINHQHAFAAASRKLELHLLLLRLRVVPGYTLKNYDDYVCDIGGEFVVHLLKGNGVHSCNPLLDIRDH -QRLTTRIENLGRMVRNPKAFTFDLEKKNRILEFLDGVPSVSQKYYCNNKAQDCNRTARYGICLHSAYDIS -PFDFADIMLKKNMVEIYGSFIYSDLIFLHDDGTIEGINCYFSYTKDRKFIEFSFHNDPSLIYRHSTVNYL -ALLTLNSFKDKSGRYTFVIELLENRAGIQFFKITRINKEYEYSGLSKFLWLPSLKGKTKVKFFDLDQSAV -ARGDFKKALEPIEFYVDTAIIDKVVSHAYASTETKFRPVEIFNFLLAYNGRIFFGNDVCVRYNQLPHSKA -MALANAIYLYVYAKKYDIGKIDQLLIAAIKHDRELAEKSILGKLWKGKFDYPSAYGSGHTRFRFLRAMSS -SFHRFLYWCNRSRYDAKSFLSENMQFIRCDDKETYSQIFFKIFNKKNKKISSVIDYKFSSVIPAGRSFEL -KTKIAEIFSQFCVHSTDAIVCLNDLVPVVDRIKISPRNELPSSIVAKIVKNNNCAGFSVLAGGSLEGDVL -VVGNSKTFSEPLEIIGNAYVMGNCNLSTNSINIAYDDLCLDCLSAISTLPINKVLLLPDNDLLIPVFNHC -LRENITLYTWYSSEYTSENRDLLALYLPYYNKKFFPMKYFRREPLEFDVLAGQLRELIDDDRDFTKFVLK -NGTVTPDLRKEVWIKMAKEQKFFFIDKEDLIRNPDRDTILKDLMRTKDVEDLDETLLFVCTVLSNFDFLF -YYQGFHEICNYVLNLVGFNDGIAVMCYLVKKFFWIFMYGNGDNYLVLGDYFNSVVLRRNCALETCAVVTP -ETFGLILCHLSTWFLHRSTDFLDSIFDFFFVADPAMCFYYLACLYVDATAECVTIAEHYDALASLSLRDI -REECIYFYNSDINSKLNEFLRFPQQNLVEDIPVINLELDVGIILENSVLSSSTSGFSSCLSTLDNFTNKN -LDCSGSTSTLSSCLSTVGNSTDISDYVDCNDLISEFTEDNELLESESHEPVFLFENRVVIRTIPSDFVIF -LKNFSDNLSSFKKTVRPGAKGKRFEYLYSPMPYTYNNVTTYPINKNIPLDFFPYVDLSFYNTLLIVVYPS -GTSGLNFHKDDEPCMDSDRVLTFSVGADCTLKIKTLPNKKIFKYVLTSQYSYEFSHQRDFLHGVDTTGYR -VAFTFRKRRFTEVILNPLPIDVSTLEKFDNFGLDFYTNCEYSSDVLNNFNFVGSYSIDSKENLLSEIFDS -EIWLKDLKKYCLLNNNRGWEDFLRNFGTLIEVSNCGSARLLICDIPSTDDVVVMNTVIVENILKSLWVLE -SGGYLLLGVHLNSKLIKKLDLLGSFRVQKMFRPFSISPLSRIAYLLVGGGSGSVNNISALFNSANFHRAT -YHTYLQHIKQGATFNKPVLGNATVVECGGGGDCLFYSLTASDFSTACALRSLLLANKPLDINHPEYSVAD -VDEECAAPGIHAGTSTIIAFCEIFYSRVRIFSTVSKDLLFDYNPVKKPLYSIDLYYANNHYQRKSIKTIN -EKRVQNFFLDPVNLDSVYEKFLKPTFGEIPLCNHLVDLCLENVCECLEGLNSFLSLGYDDFVFFSHTNYI -KDISNIFVNITNNGYKVRFLCVPGLTNVGYIFMLISKYYSRGREVNLDFCYQLYVSHSKRCSNCNNSNQI -IIPPLYYHIDRIYYFCNQAELVSFTDSMEDADIVFKLEVNGLDPRDCYELIDNGDYVTVPVVLLSVNKLS -DDISVLNAIRFLIDRFFSIRKSVPSMGLVNCGLDNLSFFSDVFSKYTSKIYILKEEVSVNSTGIVFNPTF -LVNVDDNTYIKNSMIEAKEYYKSTISAIISKLQPTFKEYMDLFPYLKGGQSFNKRKDFGILDMGTGQFVI -VPQTGGGPYSYAFDGVKLVDITTAIHQNFSLTDNLGRNTNWLKPGVFSGYMAVNSDTKLINSEKIFKQMN -KFDLNKITMGFSIELIEGVPGCGKTRYIIDNHEFSLTNCNHVVLTSSKEAVEDIRSRVALKYKIDRDSFV -LQKRYRTVDSFLMHFDGRTRIETLWVDEGLMRHFGDLMWCATISGCKTIKIMGDRAQVPFHNRLAGVTLQ -YHHLKISKSLKTTFLNTTHRCPVDVAVYLNRFGVYNRKVVSTSRIDKSVSAVLLSNISQLYAVANSTFLT -FTKNERDTLRREGFSNVYTINQFQGSQAEHVVLVRDNIKPLPLFSSKNHQLVAISRHTKSFVYFTRTLED -DLYKFLLEPVTQSDIIGCSVPAKMLGGGVILGKTVTKQFPIFTIVPRAATMIDLIKQDARIRSFVQGNMG -YGVVPMRINLLPIEYRANDLPFAPSYYISDAITTMQYFYDEIFPGHSVQSYEYDNKIFQQDDLFFATKEM -MRMSSYERFFSSKFDSLSPSLRTSCPSAVTNDLKNVLKAFYDRNGNVPELQGLVNEEKMADCMFNNFVNC -YIDNTDIFNNFSSEPIHVNVDSIEDWLFTQDRKVKDQAFPEEFIDIFTRELNVYSMILKKLPKPKLENGA -EYKFPSPQTIAHSSKDINAVFCPIVREMKRRLLAVMCPNVVMFTDISVSDFEELLTVRLPAGVLRKMNFL -LEADMSKYDKSQNLLALLYEIKMLRALGFPEFLIPTWIFMHVYSKLVNRMLGLVFKIFYQRKSGDPMTYF -GNTLFLMAVVASINIPRFSSLIKSYNVFLLFSGDDFLAFCSVLIDLIHFSDEVAVRFNLEAKVLKYKTPY -FCSKFLFMTPWGRWVVLPDVVKMLTKLGRRDLVNFQHVEEYRISCADVGRNLGNSLLYPFIDDCMRDRYK -LNLDSMVSLYSAMFKCFSDSEEFGKLYYHDERHNIDDQRVFTKLNEF - ->YP_009408586.1 polyprotein [Abisko virus] -MYCYLYWFPEHRRAYAKRKFGKNPHVSDVLFQLYVDYDENLVILKPVLTGPDQLHIDIGYDVATLGEMFL -KYAGSSLKIGQEGYCYYQWFIDLGIPYWRRDLLTRALGSYPLLQDVFAVLLRETRNYMCEVRILRPSSYY -GIVHVDENEQIRRPFHDIVHAARDMMKMRFLIGATLKDSCEQARHNNELSRKLLAMGGVNQDDAIKEILK -KKLSDPNSEESQNLIRSLSDVVCEQLNRVKPILKIRVLLTALQQSKLSEVYPEFQLDFSSANSMRAHAMA -ACMRQCNLELMLLKCAYNNRLAVPPGYDAWIKDVGGNLRTTTSRGWYSVHCCLPILDPRDNMRRSAEMQD -VTKAAQRNPILAEQLSIKDKLYCNRKAECCTVKAPIILMNDSQYDITNREVADIMDSAAAEVAYSAFLFE -PDVLLVDYKAGCFEDVGMIWKKKYINSSTKETIKEGSTYVEKIDFHFDSDYSVGYYHKYKTYIESIVNMS -YVSSRGIQYLAEIVEIRGPYVILKIVKTRYSMVGGTFRFTIPLTPRLKSKLVVTYYTYEPTVPSGSSDYK -MTFSRRRMLVPKQLYEAGMKYAYSQTQAKFSNNAIYEYLRSVAVRVVVNGTDVAMKQNDINAEDLYHLAD -AIFLKAFAERYNSSTALSIITDFLKKYRHARQSNIFCRIVSKLLHNLYVSLPFTSDGKPREIDGVKQHNA -ELDETQGDDGFTLIQRLSLWAAAPNHFEIKITEATKVREVENEIEAVVYDYSETYFPDHIKPLDFGSDVK -EVAGEIVAQTINDFVEKNVPDVVPVSSSGVIQPSEEGPYTVTDCELGESDVIPVPGDGNCLFHSLCHFTG -ETVPELKERLITSKFTTSCIDPAGVIGILSAENEWGDDDVLLLFCRENQVNVCLHNITDGERKCYKFTGN -DSQVIAFHLLLRNKHFSPLNAAINGGFLLAEDLRKVIPPPTGPTTIPLYLVKQLSLREETLCSFGRALGQ -SRLDLLELYDSYPFIRTKREYAGFSELDEEFLRSLHGEEVTSGRLIRASYGEVVQCSEDDVVLITINDWT -ALGFTSRINSIVSKFGSVVVSKPSHSPMSDTTVFAIASQFGSETKTISWDASLTDLVTRLESALRGNIDH -KCDIDQTLVYWKEFEPSFSELMEENIFEEKPSEPPILGFELGSLSPVPEALHDQDFEEIELKPPSEFCDS -NLDVSFTSELRQLEDLSDRLQKPQRSRKEKSRECLDLKIAGISIFHIAGRPYDIRPSAPPLEDFEEPELS -LDVAGKSIFFDKKKFYSYDLNKLRISMLPGTKKKSMMGMLTTNVSSQGIIKKKIFGITVSKSTAITETPY -MTIPSVLSSLDHVPVICKKDLETAHAVSMTLPDPKDRTSPVKKAGSDQQRILYRRDKHNFDKVGVMNRPV -ADVPILMCQGDVQDPAGIELVIIDSKDRVVVGSRYLRSEVILNVYRTMQASGGLSADCFTTIFSGSTLIC -ILKMQSAEVALEISNFMRLLGCLYTESRLFFMSKLSNIISVYNMKCVADNFVGAYPHRYLLTQENAEDRK -MILDHYDKYRRSVDKLLNPDESVKELWESSGSTYTNFNPNFESGFSGQSVVRNSAREIREYWRITSNINH -GVYKKFYDLHCRSTVFDKTKIRDSCDLSPEKFRVVKLQYDSLSETVKIIPVVSSSMSDGNYESFFDGVKF -VQWVDIKNSRVALTNRYYLTGKSLKLMQSELLYERTKDIQIDGFSTEHVKVNFVQGVPGCGKTHCIVENV -PLEVKREIEVVSDDSKWSWEVNSCLVLTPTRESCEEIRSRLTKKGMSKQDLMETVMTIDSYLLNFVKKGN -IRYDILIVEEALMLHAGQIVLALAACGARSLMFIGDEKQIPFINRVSQCSLQYVDPIKVFPEINRRSLNV -SFRCTLSVAATLSSKYKTGMASINNVVNECSCKAFRGFADVVKPKSEVVYLTFKQTEKDECVKKGFSPVY -TIHEFQGKQEDNVVVIRLSTKKEEIYSSEEHALVAFSRHRKTLTYYTPLLDDALGKLISATRFIPIAVMK -KSLVPREGVQSPKVPGLVPNKQISDVLNARIRLIRREADDFSGGYPDVKARLERKMVRLEGGGFRIIEQP -LKNFNNVTEAIYTVTKKYRDYDLPTMVPVEEFVTESRVRKSPVLVPNLELADISLLQLFYDQVLPGNSTH -DYRFDPEVVQNGDLILNLEDTMYNPDYKSNLFKTERYDKLRPLLRTAVPINRPNTQVESLLAMIKRNQSV -PDLGGYADVQALADTMLKRFNCLIKPEARYLLDVYKDHKIVPNSVSVRNWLLTQTTESLSHIEQPEDILI -RQHNVYNFMIKSSVKPQLDTSAPYSYAALQTIAYSDKSINVNYCPMFRELVDRVLPMLMDHIVVNTGMAP -EELDEKISNICIANELNIHAATSLEVDMSKYDKSQGELMLAFEEKFLRQFGFDEEFIVSWVRSHQRTILS -DVSNKVRCSVSYQRKSGDASTFTMNTFYLMAMLVTLLPLENSKFACFSGDDSLILGDSAFNINLSSLTAT -LFNMESKYFRHYTHNYFCSKFVLQDAEGNVKLIPDPVKLVTKLGRHDIANWEHLEEYRRSLEDLVKCFSN -SLYYDALSVAVCERYCVERGVAYAFPVIYNFARNYDLFKTLYYTRPEDRLCMDPSMAKFD - ->YP_009345041.1 hypothetical protein [Xinzhou nematode virus 1] -MIDPKVIDIRTEEFIKQRLQSHEPNPIRDLYDVACADKIRAEIQKLERQKVVKLTISEDLTTDQENRLVK -LYPSLNLVFTKRSTNSHSFAAASRQCELSICLQRTSYDKNIALKNTDGRDDYIVDIGGNYAKHLTEGHEG -IHSCCPMLDDRDIQRFVQRRENLKKQYDLEKNKINVQVVYDEEKTKKERLRKFLEETQKNLKSNQWVCLN -KSQNCARTARYGIMIHSNYDITLRNIGDIMVTKNMSEIYGTFIYDDRVFYMTEGYIADLDCYFSYSKDKE -YIEFAFKNDMSMIYRHKTRVYMSYLLVNTFVDSSGRSRFVLELLENRGGIQYFKITRLPFVVTKTFSPLN -HKIWFKSLENKVKVTFPVVDVSAMRKGSLKKMIEQKILYLDKDLIDKIMSHAMTSTENKFKPVEIMSFVQ -AYTSRIFFGEDVLSRMPSMTFDEKYHLSLAVYVEIFRRKYDAGKVLQFVLECIYYDRDLIQKGFFRRLLS -SPTTLYSVFKAALPNSVFSSYLGSFYLMAFNASKSPVDGYKFIEEIPSYQANDDELMTHYVTSNLRNRVT -EAIEDITSRLVKNPCFSQLEISMVQYPKILVPSLVLEPTRLTRKVLMDNFRVDVSIDHQQKIIPIQPVDD -SKIGIVSLLKNKILRLWNKETNLVSPQNDDVGELPESFSAEDVSSLESMSLMDYDFSDIRTNRIIHQDII -NLAKLISEEVSDDVYKNYMDRNVKCDYDSNAKDFISRAEYKLKEIADIYALAPCDNVLDLCAGPGGFTKF -LLTKTLKNLVVHYYKDSEAPCSLAISKLSKMDSFGKLKIMDLLDTDLLEPDTRSQIDTMLENFRNSFGII -TADGALHNDTFEKELENYPLIKSETDIIQDYLTTGGTAVIKTFGFYDHKTLFMLSEFLSKFSKFHIHRSS -YVAPFSLEIYLVAVGYRSRSASIKSSTIYKNLKDYMLAFENSLRIKMIAFLKQQNLTNNVDCVLTPPTEF -SVVSKTDLEYFSIASEESDPEDDLLTVNTAHTTASPTTLYSSNDEDDYCTALSLSTCKQESIHGELVKSD -ILAQLSLDPTCHLLIQENGLTSLTFYSSKSPFSNFYEIELDFLVSGRLLKFRSAEHAYQYLKAIHLGQLL -LAEKIKNSKTSLMAKKLGKSLNYLKESTTWMCVRESVMYDVISTKFRQHPSLNLLLEKTYPMPLMHTVSD -SYWGIGLSHYSASKKSSLVSSGSNIMGCLLMKYRDTLVTHSISSLTPPSDSTDLFLNSLGKNNYMDVRGD -GSCLYYALMMGDTNDHLLLRSALTAYYNNAGPFDDIDSKMLFAELEGMGGAFVLKLFSRCYDSNVVVADL -CRKQDYSFGEASKPLHSISLAYNGTHYVLKNTCTLDAPVVVVPRHFNYNPLNVSHVLLTLDNMLSKNSTK -VSRFVSYLLGSKSFHYATFESLDYTSHMFYNAVANYCAFHSQCASGKLFTLLQDLNAHDYEKTLYALVSI -PDTNFEQLQAYVDSNSMRYFLINIPWLHRAQYSIIIFAFDRKADNDVSMSAALNLSSQHIESCAHCQNDE -FSSEVSGVWFNSLSNTYYTCCKAVDSINILKTSHLPVVDRLYNIKIYEKKLLSPDSFIIDRDGYYEIPVY -CDVGSDCPAEGYMEILLRNLENHCRNVERVPLIGLAKTRLSNIKKIVDLLATTCERLYVEIEESTEIPMD -MTVFSPEYCQTLVNNDIMLNSMKEAREMWKITENTIISNVKNLHNRYIMFLKGTARVPDTTNDRPDYGLL -DLNTGKFMIQPREKHQQYSRGYDGTGLVSLDKYYVDGKFKPGLSVGMVSVTRDMRIVNADAIYTNVKNVD -LKDVNLDHVTINLVEGVPGCGKTTYIVNNHKFAIDDLSDVVLTATRETAEDIRKRVCVAYSVSEDLPILK -KRYRTIDSFLVNFSSKDVGINTLWIDEGLMKHFGEIMWCVYLSGAKNVRICGDRAQIPFINRNGSISLLY -SKMDALTKRFSVEFLQNSYRCPADVVCYLNSLGTYPGKVSTINKTMRSIHVQIVTGIADVPFLDFKRAVI -LTYTQREKQEVTLHLNKIFPGKDVSYTVNTIHEYQGKQAADVVLIRLQMKEITIYNSVSHQLVALTRHTH -SFTYYTVKDDSLAVICRRQYSTNQLFDALQDSLVGGGKMQDKQFQRTQHPLPLYTYEDSSTRDILKTNKI -IREIIIDHDGFNVSSMPVRSRGVEVPFQVIPEIPVSNISDPVNALQHLVDVVFPGASSVDSHLDRVIFEG -DPLMVTSERANIIDETMPRLPKYDNLKSRLRTNCPTQIIATQKQVVKAFFQRNGNVPDLYGENDETSLVN -KMVDVFTSTYISDRALFEKFSQEPLDINVASIEEWLSSQPPQVKDIIEQDPDVNVFLKDLQLYNFSLKRM -PKPKLDIGNESKYPSSQTIAHHCKKINAIFCPIVRELKKRLLSVLKYDKLIYTDMSVQEFENILNYRLPP -KDFAKYTHMLEVDFSKYDKSQGRVALKFELAILRLLGFPPQLLATWTYMHVYTRLWAPDVRFKADVCFQR -KSGDAMTFFGNTMFLMSVLAHTFDLSNAFCMFSGDDSLIFSTKRLDSLETIYNLSFKFNLESKLLHYNTP -YFCSKFLLQNYQGSWSFIPDPIKMLIKLGRNDLVSYDHVKEYHISLKDNARHFTNGIFFPALSYAVCDRY -KLYKADLTYYFSALYTLLYSESSFENLYYLEPGANLNPYRMVLPSIDI - ->YP_009345038.1 RdRp [Xingshan nematode virus 2] -MALVDPLLVDSKAKEFIEQRLGSHEPNPIRDFLDHACADKLREEFRRMEKMRVTKVVIPEDLTSDQENKL -MRLYPSLNLIFTKKACNAHSFAAASRRCEGAILLQMIGYDHDVVDETDGRDDYATDVGGNFYRHLADLNR -GIHSCCPMLDDRDVQRYVQRREALKKLYYSDIKKIKLRMCGDRCGDARDEEIRIFLNDTRAREFRNKWVC -LQKAQFCDRTARYGIMLHSNYDVTLRELGDIMTKKKMTELCGCFIYDDRIMYMTEGYIDDLECYFSYSKN -RKYIEFTFKNDSAITYRHKVRVYMSYLMVNTFIDSTGLNRFVMELLENRSGIQYFKVTSLPYSVSVVFSP -LVHKVWFRSLEGKMKVTFPLTDRDALRSGKTADIVREKVMYLDKDLIDKVMGHAMTATESKFKPVEILSF -IQAYTHRIFFGEDVTSRMPSMTFNEKYHLSLAIYVEVYKRKYDVGKMVQFVLECIQYDRDLLSKGIIKRF -FSSSRGLYSVFKAALPASCFQSLLGPLYLMVFNMAKSPIDGYTFIEEVPRYISNESIGFSTYIVTAIKNK -IEGLFDQAFDRMSCIPRFSTLQIVPLVYPKVLVPELIWAPERVVKAVMADNFNVDVEIQTAVDGEKDILS -DGALTERVADDTNWFSRMKNKIGKIFGSKTSADRDEVKEGMDFDLDGMSDITDVDAIACDFADLNMSAKV -KKDIIMFANYISDNVPDKTYREYVERYTKMDYNKNDPMFISRAEYKLTEILNQYCLRDIKNALDLCAGPG -GFSKALLGKVSDTLVVHYYKEADAGCYMSIDKLRRLDLFGKLKFLDLLDTDLTSDSVVDSVIKDAQKRCF -PYELITADGAKHNDVIDKENENYSLIAGEVRIAQSLLADGGVFVLKTFGFSSHRTLQLLSEMLMVFEKYY -IHRSSYATPFSTEHYIVAVGYKRNFSCARKTSADKFRRVMIYRDLRNFMMGFENALRNRYFKFVDETIGP -DVVQSLLEGDLTILSNDSNSAVGDACVGEQVKRVDDRVDEIYTLCYDKGMDVDSRSEYVTGSENVGSNNS -DCEVVEPLVESYVSDGIKMDFDKGYCVFAGSDCCLSNIHVEDFEFVYKDRICRVNTIEQAVAFACFCVFE -VQHFCGADMRTIASALLRKRMKKLLSTEKNKVVWDRIKDECMEHAVRTKILASTIMKEALRSTKDCKLFN -AVSDDYWGVGVTFKNFSYERSSEYVGLNVYARILEKVRSDMLDEEISMNDVDIDEDTKIGENFVESYSVK -ADGNCLYYALMSGDDNDAAKLRLVLKEAYRQGCGRDNDDIIEKELCEELTGWGGRSVLSLFSQYYHAFIV -VRDVKNAVEYKFGEDIVKPTLILRLAYDGSHYSILPSCSDRVCTRSREHTVYVSRTFNYSPVSIPSLMKT -LDCLLNKNFTIFQSFVAFITGSQTFAYVSSLSSMDYSRHMFFNSVAYFCGFHSSCNSASIPALLDQLRTN -NYSKTLYIFASISDMDFDAIQRVLERTTCRYFLIAIPWLLKNGYILFAITFVLDKENEVPLVEACNLAKM -HVDQCATCLGINDDTSITGVWLNRASNTYFVCESREFTRRVFKTETLPTVDRIYKIFQHDLAVQYDTIDV -VARADNEFSIVVPVDAGRLSDSFDREAYASYIVRHFCKFGGVSTIGISRSALNNVRELLDPFLTYVDIVY -VEVVDDVTEKAEDHVEFCPEFKQTVISDDVLINAMIEARDIWKVSNQMIVDNVKRLHDRTLSLMTGMAGR -IEFTNNKPDYGLIDLSTGKYLVRPRDGCGKYSRGFDGTKLIDIERCFEGDRLLAGVMCGRVSVTKDMRIV -NADVIYSNVKNIELKDISFDDVCITLVEGVPGCGKSTYILRNHKFSVDDVKHVVLTATKETAEDMRRRAA -EMYGVSSELSILRKRYRTVDSFLVHCGGMLEEDCVVETLWIDEGLMKHFGEIMWCVYLSKARQVFICGDR -AQIPFINRNGSVKLYYSKIDLVLDSIKVKFLDKSYRCPADVVAHLNALNVYPGKVTTENTVVYSIKVRNI -TGLVDVPFTDWKDATVLTFTQQEKTDVILHVGKFFSKGLFDGKVFTVHEYQGKQTKRILLIRLQVKPISI -YDSVSHQLVAITRHTREFMYCTVKNDSLATMCRRTFSVAQLKKLIVPTLKGGGVSSDDDKVQFPLMKTLA -VESLAFDAFRKNKYLSDFVINHDGVNVVPYHVETSAVDTYEFSVPYIAPVSISNPISALQEFVDVVFPGA -STAQKFYDNEIFEGDNMVVPRDRVIITAPYVPRLPRYQCLTSRLRTNCPDNVVTTQKQVVKAYFQRNGNV -PDLYGDNNEDLLVSQMVDRFVRTYIADKQLFNRFCVEPVGVNVVSIQDWLATQPVKVMDMLFKDQDVNIF -VKELRLYNFALKRLPKPKLELGNESKYVSPQTIAHHCKKVNAIFCPVVRELKRRLLSVLRADKIVYTDMA -VEDFEKILSYRLSYEKYKQYRYMMEVDFSKYDKSQGRVALKFELAILKMLGFPEELLATWSVMHVYTRLW -SPAVKFKAEIFFQRKSGDAMTFFGNTLFLMATLAHTFPLEREFCMFSGDDSLIFSRRKIESVDSILNLAF -KFNLESKLLHYKVPYFCSKFLLRMPVGNWKIIPDPVKVLVKLGRNDLVSWAHRDEYLISLRDNLKDYKNA -YFYPFLSAAVSDRYSLCPADFTYYFSAFVSLVYDDKNFSNLYFLEEGHYLNPYRVVLPSLEF - ->YP_009342329.1 RdRp [Wuhan heteroptera virus 1] -MDSLFDAANIEPQTIALNDDGEVCAKQYINPDTIPLNKNSYLLDKLYEKAVADDLNKFRTVDYLTNVTKI -PQKLSPAEQSLLHGTKSAFNLTFTQTMEHPHAFAAAHRIIETVELYHLVGHNFNVNHDQIRVIDIGGDFV -GNCKRGATGIHHCSPIIDTVDKNRFTNRSVKLEMWNYDCKNKSIISENSYQQYRSVMRKEREVTDFMCAR -KFQNCNVQARFCISVHSLYDIKIVDLVDGMDKHGCVSCVATMMYDPKMLSLREGILDHLRMRWVIEKPKN -SAKTITFHFLDSPTIAYVHDLATYISWFTITTTISSLGNEFVIEPILHSFGVYYLRINKVAGLDNIPRTP -VRVIWNTGLQGKMRLKWKIHGVEELRYLLGSDELQQLRGYVANGTCDLGSLESYDRLMESSICNVPNTDI -YEISFLFDADLLKDVEGFVLSTAEKKFNPIEVFGYMRSRISGIAVGKEIISTNKNIDPRLLYIASQVLYI -YIWAFKYYGGKNMQINMACMIANRGLAGSGFFARLWLLLKRNRIMNYVRFLCKNIDVATYNRLKIPGMEL -EDFICTPPLFVDMSSCCEDALSWYNSRKNPDNDTKLIVPALSHFDSDPGLLLDVLKTAFPGSFKLVTDAN -DAYNLDVIYRSDSVKFMELGLVVSLANLVYDKKKRYIVKNYFKNIMYGYHSVCLVYQPNNIIDSLIKFLV -ENLPVSSIELKHIVGKTYLEIEFGDSYNLIDDLIYLEDLYVRSNEFNVSNFNIVTVPVCRGGGCDRIPFI -TEINRLKKYNIQSSVVPLFNSNAMTKLYQLDELLDFNLTKLLPGTTVLDLCAGPGAMSKYIIESNADKFS -NADTANLLISCSLASNGPMTDSYIHPRRLVYERLFPDFNIIDTDKTKNFIDFVRIKMTRDGVELIVADGG -ISGEFVDQERRSIPIILAEICIALELLARGGRLVMKIYFGETSEMIQLISCLSTYFVCSNLHKPKNSNKA -NGEIYFVGLNFRGKKRSENFHDEVRKYRIGLQSAALTFNTDRYNFLLKSVTEQQKELLVSDENIGPIKKP -KVTPITPRIRPRFSICKYLRSKVDKVNCLVRLYLLNRYKKTAVKGLVIDWDRVDVSFAKQVIKNKRLTLK -EKQDLLSNVCLKCQSFSCGRCFYCFKSPEIVNLIAIPYSDSLIRSHTISLRKLQDKHNFFKRKLPKFYCA -NESDVASEIIECREVSPSEWCGAFGKPVNNSVIKSCSVSNKSSLEYITANESVNSSSLKNSVVLSSIIQQ -NLQQDNSWQKVLRWLGPKETVGEEQKIFAKMKISTSPVNQTLLNAVEEAFSVDSDETKFASIKNNNSTNL -PSLLGSDDQYRNTFVDAKLQIGSSSDSNTSFEDLMTELKDGVNEIVGSVSQDLKDFGGKLSNFVTKNISI -AKIRSPNITNNKRFKNLSTLIKNNLHGKLSRETVRDRLPENLNISSSNNSSVSESQSIVTENCSSEILSS -TTSECSSEYAASSIVLGENECMKVTTNNLTSIIEEGEPSNSITDSDSIVLSSGDLEDVDLNNDKFSEILT -NEDVKTLEQDCVNTMIKLNDSSDNIINDLSTEHSTVVLNETDPKIATNNNLDENVNNSNVENSSVVLNND -WELGLSVTELVSQVEKVINNNSSKTLDVVDTVDSNAVAPSDLIQSDLSVPPPNFVLPDLTKPPPSLQFNE -VSLTKLKDLNSPSIPKRKQKKSKVSNKNPQSSKKKFSNKSVFNTSKGPIVEENYADIPLNKLPVIDISKP -PKLSLPASRKITKTNYTFDLTLLNGEKVSIGSAVAVPPLRQIQTLGDGHCMYHALTGGIGNVVTIKNFLL -SALSKFTLTSHLKQSLTDEFTENVWGSSDGLHFYAKAFNVNVLEILPSQHFSVLHVPDNHCEDYIYIMYS -NFHFSACIPYDSIYKLQKLIEENSYITELRTIYNAFSNKVNFNPFISISGLEHYEGTVLHYNYLSTIFQE -LQFPVVSVRVRKENLPYVLVLYIRDKLQYDKDRLENIQISLTLHVEQCKNCISNTGEYWTHHNTEPIIVT -NCSEMKLAVSDSDMKDKTDWIIEFFPSDFPLHISGSLVTNRVGRVISVAQPSLVRSSDFVTSLVAKSLIP -FKTAIHRTAFVMGEILSDTSIMSGVMQVFDSVYHNPIVNQDTYFSDYRYNAISPINSPSLMFNATSEYCA -LVRCTTVAIINQGIERLNEVEARSTTDLSSIKDTSVKVYSVNFRRWILPPPKGKMYRYCFLFRPTDKRHL -LVSLKDFYDPNTQESFLAELHSVRVNMFIVYKGTEVLLEPILYKSIQSMLITPALLNHFNVQIVRGVPGA -GKTHYILNNMDLSATLDDIMLTATRNAAIDARNRVLSRGSISGWSKEFLQKKFATVEAALLNADRYPTGV -KTIYFDEAFLKHFGQLIYVAIKLKALKLIFIGDEAQIPYQDRNGFALKYSSAKALIDLIPSSNVTFLSIS -YRIPQDVVYALNQILKTDQGLPVYPSPISSTNHLINSVSYEIACDYSAIINQYDHVLTFVQHDKNFILEK -FPKISVNTINEYQGQQAKRILLLRLQSTDNTIYCNPAQLLTAISRHTEQFKYITPVSDTLVKILDLMMSH -KYPRNVGGGYVYNSARTESVVQNKINPRLEGSELGPDRRLELVAPYAINLNNEDPILTSIVSSNNESGFR -GTFVVSKEIPYEKKPRSIPIEVPLDADKDHWRVLQYYMDDIRPGSSLRPNRFLMENFENTELVISCLNWE -LRPVRNLPKHRDTLTPALRTSCLPPFRKSQKAILKGYNERNGVVQNFATAIFDDSVIELSIESFCLTYFT -HTVSEIKSIFTSSPLTINVDSLTKWLNTQPPGVYEELKSLDSHYLIEHITSKYSYMLKSVSKPKLEEHPE -KDFSSPQCIAYLPKLINAIYCPIIQEMKRRVQFVLRQDKIIFTDMSVLVFEEIMSARVGYSVFSKIKRKF -EIDFSKYDKSQHLWALTFETEMMKLFGVREDLVKLWILVHKSTMLIAHEYGFRAFVDYQRKSGDAMTFFG -NTLWLMYMLMMLVGEERMRDSFGLFGGDDSLLFLFNDIPESEIYSLFENSSAKFSMEMKIINVNSFYFCS -RFLIYYPADDRWYVVPDPLKALIKLGRSDLVNYVHVNEYRISLHDNWVNYSNILLHNSISANFSDRYHYD -EDISPLLSALWNVVSSEKLFAEGYYWHDCDEETLYSTLPKLDM - ->YP_009337715.1 RdRp [Hubei virga-like virus 17] -MAEFDKEKFSVPFNDCYHLNPFKNSVLKFLGLSDEHKESLIITELAKPDSILRTTIIEEIVNDIKFEKTS -ESGKQKVHIPYILNYDLQQALQGSYPAFNLLFTSQCTNPHGFAAASRLCEERYLLDKLGYVVNTSKHVDR -DDLICDIGGNYWKHLTAGRRGVHSCCPILSVQDDKRHAERISNMAMFLKTQDKLTNNQSVMIRSIMDNEN -VVYCINKAQDCNRTALAGMMLHSSYDVKLVDLADIMVKKKMLVVHGSFIFDAKMLDDSKDAGVIEPLGVH -YEFKNNRQKIQFSFVGDSSFNYSHEVSVYFSYLFCSSFCDSRKTSVFYLELGENINGIQFFKVVQSVSLN -VPRSKIFHKVWFNFKDKMVLEYFDLDYGKLAVRDKCLVRRFMVLDKDFWDRGCEYALTNTEEKFKPAHIY -NFLVSYNRRITVGGKEIVSRSNRMEPADLYDLANAIYLKCYVLKFDKGKILQILKNNIIHDRDLAVSGLW -GKLFYSGRKCIGNTGSSMFAWLHKILYNMGKTSSDLEFVIREHIKCVDMETYVGGCFEKFSMALATHRIP -GYTYEFEDVNEGFRSLVASVISQVYGVKLNLIKPAARDDHVYKHKPECDLNKFCFKRFWYSLMKDVLAYD -DGLPDYYGFEHVASSVADAAAGDVDDADEVFDVDIYVDGVDTDTSCVRMGIGEACDALEMGSMVATSVEH -KSDSDAAGKEVKEIVTSVSVKDRVEIKREVSDEVECVIDETVETDTENTTDEKVDKIISDNVSDRASPAL -TADSFVTCDSREEDQLSVILDRVRCRAMDATVGDGLTCVFDDGLNMAVNKVPGDGMCMFYALAKGNLKRS -VAMRAAMSEYVSRFSGETCDNVKTMLRDGWGDSDIFRLYVSMGMGAVCVHLYDRKTGMVSGIRYSGLSGE -SEVVHILLADNHCDYLSECDCVDFVPGSEITTGIPLDFAGKNISEVKLIDREAKSKILSKCLEAMKLEKT -ESVLRALQSPSEKVLVDVSVTCNNHADCKVNGLVKLLDAYYTKFGDGFEISLVLDLGFVSAELLELICSK -FFEITYVDLMSMVSSNLVAVTLSDFALKRDVNHNVVKRLESILAYRKLVGRGSVKPVLFKEPVLKEHIMT -DFEKVQESFVVRTVTVYKGDLSTVYYDDHSGNLKVIYADESKSSLVSVRDMFSQMVKAKGLFLGSVSWKV -NFAYNFVDEDKLKKEFKKMCGKVTFSSVKVIPKPLSDFAARASKEPVMDPMMYKFDPKMRKDPVDVQDLM -YNAAMEQKELWRVKKQVIISKCREDYDVLIKRISLGLHDKVIRNDMGYVSADGMWLWKPVSELGDYQYGF -DGEFLVKLTKEKTAKGVVFKNHETRKGFLVTKETRLINDDLLYDVAEKISINGVRFPPIELVLGVPGCGK -TTYLLNKHVKGKDMILSSTKEGSLDIKRRLGINQEGDEKGSKLAYMYRTGHSYLMHGKEKCDVLYVDEAL -MVHPGQIIYCAVKASANKVVCVGDDAQIPYDPRIPTAVTTSEVLKNMSHISEVLSVSHRCPLDVVHYLNA -TKNYKGVVTTTNYNAITMSVAKISTLADVPKKKGVIYLTFTQNEKIQLANDGYQVNTIHEFQGKQNNEVA -LVRLNSKNISIYGWSQHILVALTRHTDKFVYYTVTDDDTAKIITASRPACELEKLKTSVQEASKAQIQIK -IGKPGGDRVVDDFMKLGKAIETYLEPKFAREFIERQGFLNVMCARPVSVLVEQELGVDYSYSYGGLADVW -FLQDFYDYVMPGNSIENTYHDQYMSEISDMHYPEDNIRVDVCTFQRFQPRDYLTPVLRTSVPRPLIPSQQ -QVMRAYFDRNGNVPDLTALCDDISVAEVAVNMFFKTYTERDYLLRMYSENQVTPNTVLLNEWLRNQPGVV -KDLINKDEPIYWKENLRLYNFSLKRLAKPVLEINAINKIASPQTIAAHPKFLNAIFCPMFKEIKKRLLHL -LKSRFLIFTDMSIDDFEKHLNKNFNYSVLKNLKMTECDMSKYDKSQGKIHWEIEKGIYKKLGFDEDLLEL -WEFVHGETFLKNFPQRFTARVEYQRKSGDAATFFGNTIVLMAILATVYDLTDAYGMFAGDDSLLFSDVKF -NYGYKELAEMFNLESKLLVFNWPYFCSKFLLNVGGKWKVVPDPLKLVTKLGRFDLVDFEHRKEYKISYGD -LSKIFGDASFYEQLSCAVCDRYKVRYRDFSHVFYTLFRLGEDDELFNSLYYLKSGDVLNIQPCRPNLDI - ->YP_009337693.1 RdRp [Hubei virga-like virus 15] -MNNLENYFGDLEANSIARNVLMNSIRDPAHGHVIHNTVMNEIAEKVKSVLTPDVTGRSLVYIPENLTHAQ -EEKLVNAYREFTIKFTRKVTNPHGFAAASRKLEYHYLLDILKYNKMQDLVLKDCDVYIKDIGGDFYRVFR -EEMRNIHCCCPILDARDNARYSSRIANMNCLATTGVVSDFKKHILNSMREGGLEHTTPFVCFNRVDVCPV -KARYGLMVQVYEIKLRSLGDAMVRSGMQTIYGSIIYSPDMLLNREGTIPDLECRYEWSEDRKYIEFSFKG -DSSWTYKHNAVRYFAYLTTSIFFDSERTTTFALELLTNKCGVQYFRIQRLMFPATGLSTISHKLWMDGLK -GKTLVKYFEIDYSRVACGVGPWTDKITGIRGYLRENQCYIDTEIVNRGLEVALGNTENKFKPIEIFNYLR -SYVTRIFFGKDVLRRYSTEVDTRELYGLAIAIYIEAYKMKYDQGKVVQQILADVEYVREFSSAGLMKKLF -LEPKQTMTNHGGAITYIFHRMIWHAKAAKVANMKLLMEGTQFKNFSNRTENRWRIIFPTEYKAFPTKLAN -EIYIPDFTSDEIMINSTDLTRRIIKDNFGIDVVMKRLRDLPDLEPMKKVPGKPSIWRKIFRGLGSACAGL -TDTCTRGQGYTSLDEDDDSILGGSISTISTYAGVYTRKEDISRMQKLQQYIVKDYTGIMKDGYHLMQTDG -DLEQFSVFLDMAYDRVAKGEKGDDFKAVIIVDPDIKLRKLCASLVVSLAYHYRETHFEYSGYGFAIYVEN -RDLLSEAVSNDVFERFMSVVDHLDNLLLMNGGIRVDDVESIASVDSVHTFENPEPTSVDTSAFWMDLPMA -DFSRLTHMRNEVAKLKDEEYNARLKRFDVPVSKDCYNRSQMKLRKILKRIGVGDCLAVLDISAAPGGFVR -EMVDRGNLVDYIIYTEGLTGSEKILKGGNRLDVGSGDLLDPKVVAALRNNGIRYDLVLADACVCNKGDQD -METINLELLKIQCSIILDKTRVGGGAILKCFDLKYNATRRFVTDLACHFKDVRFFQLKETHYLSGEIYIY -FFHRSDQLLDYETVASLVREAGSVALKKLNEKFTTFLAADDGNPFDGKRMFKCSPDGACCYHALIASNDE -KETSEVISYIRGIHVGLGNKAPYAEDPEFLDQTALGVWGGFPQIDTFSRATGVKFTIFKKGSEVVFSTQP -TKWYTHRYLRLEEQHYDLFVDTCGHDVSLRLDXKFSYGGFVERTGFQGDAHFAKCAECCSADLERRMTEG -KDFSFITDLDPKTLPNFDKQCSMHRYEYVLAPVGDYFYFRMNFKKDRNNFKISDIVERCRLHRAKCETCQ -IGGYVAEFPLIKNLRVYCGGKRERLLNVAAAAVDLIITVHKDISKFEGCLGDRISQEGKNVNIYPYKGYK -IGVAMEWAQYIYNNNPSLSSMRVKVVAEERHDYFVGIISDLTKEVCRSCIRRLECDLVEEFNPMMIANTD -LRSAVENSMYEIREIWRYELSSVLRNVSRVYDEFKSLNDEGAREITLPLSHTVGMIDFAAGRWLFKPAEK -FSADGYAFGYDGSGLVSLEGMFREGRPIPCAELGMVMVSDETRIIVSHMLYDRVRNVEIAPGLLEKIKFE -LISGVPGCGKTREILEKSDYRNGEVLLVTTTREAAEDLRTRASLPRRDALDKIKTLDSAMMTKDLKCRVL -WVDEALMSHFGEIAWLAYNIGATDVKMYGDRAQIPYINRNRTYQIHFQRPPEGVPTSERRVSYRCPASVM -QALHKLARYPFVPMTAVNRGGSMTNCRITGFAAIPFDDGYHYLTYTQSEKLALKSRGLTHVYTVNEYQGK -QHHRIRLCRENVKPIEIYEDLSQITVALSRHTETFEYYSTVNDRTWSVVASIDPNSLEEYTFRAGGKTVG -TDMVKVFPRAEVSPVKTYDFLRTNRVIRDIVERHMFPAVIPMKVYTVETAEDYETGGFFCDQPTVSDPIG -IMQNYIDRIFPGSSTALSKYDAAIFERDPYYVYADNFRMSDVTPYRFLQRDFLVSRLRTSCPKPVFPTQK -QMLKGFSERNGAVPEIRGDRDVASVVESMWGRFVANYIGDHGLLASYRDNPILPNVIDMENWLNSQGTNV -MREIERDPDYNIFCKELSVYRFILKRMPKVVLERDGENKYPSSQTIAFVDKTVNLIFCPLVREMKKRMQA -VLRDDKIVYSDMSVEGFTRLLNMRFNPRSLSHMLKYFLEVDFSKYDKSQDQVMLEYEARVMTALGVPAVL -VNLWLSMHAYTQLWDPQTKFKASVFYQRKSGDAMTFFGNTVILMTVLACVYDLRECVCFFSGDDSLIFSQ -RIMTRGDACARLASVFNLEAKLLDYSTPYFCSKFLIPVDPDRWVVLPDPVKVLIKLGRCDMVSREHVEEY -RISMMDNLKPYANVFNNDALSRALADRYGVGGDHSILAAAIHGFINDREVFHGNYYLEEGAVLPKCNILP -SLDI - ->YP_009337439.1 RdRp [Hubei virga-like virus 23] -MEIMKNKLSAINLDGEMKDALAHRNLQYIESIAQRQINEIASTRSKKRVVIHPCLSPYDQSLLETAYPEF -AIEFTQSSHEMHGFAHASRKCEYFRLMERCRRTGAETYSDGSFALIDVGGNEVTHALNSGSNVHVCYPLD -DIRDLARSDERQSLIKDIIKTCDSEDIANRMKCYVKSNNEPCRNLCDKYSQFCDAKSDVSISIHAIQHFW -NDLVQIMISHKCKVHHASFMYAPNALYEKRGIIRSKSSDNSNNTGGLNALWQRRIINGKEVITFTFGNDP -SIGYDQDYKSYVSLLTQSCVVHDQYILHIERTDVSEGICFLRARLLLNSPQLTPTFNITSGLPFGKLNES -YLILGYHTDNADKLIPRSIIAPKKLVDGLTDYCARIDNNVFNLTHLFHIACSINKQLVINGSLVLTRSEL -RTEELYFLAVCIYVHMYEMKYNAGVSMRKMIKILNRERELREASFFKAFAQKCVDKITFGVFKEQNMEDP -VLETITDSLNFNPAVSFESKYSKSAVTNFLHHVHASIKNRPPPKTVXIXDAFTGVLLTQYVNLHEKYSER -EEILESAENISSRSTILDALKKNLLNEVLTTRITPISRENLDKELPLTESSTKILRIPEYEIVDCKTPPG -ILVPVLGDGYCGYTSLTALLLEHKKITISNAELRSSLLSAITKVPNSTTKDSLMTILTTVPVNEQLPSPG -WCDINVIAVAAAVYKMNICIHMPNVRNIVINVGADVTAYMHYENYHYQYYTSTAGGFSPLDIVSKPKKQT -VKRTIACLVNKYSPFTKQDCTIKHKSWIGIKSADDMKSAVMEVIAEGYQCRHIIARYKQVSKATECGSTC -VLRTKSTLTNCECFGKETYLCAQCKNTAVFRVKSLCASCRLLPRNNPRRVYIQSYNKGDFVYLSKIVSPN -FRFKDCALPDTVIYNINNKQYVPHETNRCMRDVESPYFYVSYSDILRDIDLNENFKFTAYKPKEHFAHVY -KKNYGNKTLNVAKRVIDGMCVQQAIKNYAGAITVATHKVLSGRLEVLKNIVQTHDLHNCESFDNIPALEP -VLQSTLDQFKAMDVSLADIKETHDWLFTKDEPVEVLELVEVKADNSDKLCELVINDEYIDDDITVSSQGT -SEANVSTSSLCMPELFDELPLLDFGTTTPDEAPSPIVSDDGTVFLFDEARAVECVTVTPELSDLDELSEG -SLTGGSSRYKELALKYKNNQEWITFERSIFGKFTTIDDYFKIMSKDRTYATAFITGTSSLNVRTMCDVSF -GNSSELPLDKQYQLVMICDQINHIDDDLRASINLPSIMALLARLPLLLCRDGDLFMKIYIGYNEQFDTII -NVLATHFGIVRIIKSNSSSFNSEYYFIGRKYFNTPVERYNVSPVVDTLDKRDYVVKTLVEVYNLAPKINV -VKKKCPIGLFNFHNSDEIILDTIVSLPSILKNVTDIVNVLPLLVTYHYNNSDVVFNVKSDSYKTYVSDLR -DTIENPVGDYNPLEKTMCIYVCNDVNYIKRCKLIRSKCVIIAEFEHSKSTIIFVRADYAVLVVTKLHHNY -MDCLREEMGVPRNLLVQVSCGNNRSIRDEMVTECKARYSFSACGYKTVVNEEHAILGYIKPLEVPDGEGI -LQKYLMSVTEKMEIEKLNVANVLKQCVALWESAVESKHYVFPDSVTARTGAWLWKKTGVSEQFIGKPQCS -SYMFAYDGERLIPVAAEVITKGTHKTLMVVKGDVKTPLALTCSDGFYLFFNDLSIFVSPSLIASYENITT -AFKNKDYENNSVVSNLANCKFKIVQGSPGCGKTTYIIKNHKLLQDCISTQTKAARQDVYDRICKNDRKLV -GNKTLNFLVRTLDSVVMRPMDLKGCTLFVDEAMMAHAGDIITAVGLTGCSEVCCVGDALQIPYINRIGGY -ATKHHKLDYIPVDSHLNKTYRCPRDVVRFLNPKYPAGITGVNTNDDTMTTKHIPNIMSVPLRTDVQYLTF -TQHEKQQLYEHANKMGTKIKVMSVHESQGSEFKHVIIVRLNQVISNPVFKSDNHIVVALSRHTESLQYLI -ATLKSDTVSDLIIRNSRLSGGAFSEVLAPLITANIGNYKHHQLLEQNGMWGTSTSAVTEVASLLNDVKND -VIAHVESMHHVAIQTFIDEAFPGGMIVDMDNVAYQSSICDVDFHLPSGTIYSPDRIVELKPCKKTGGFTP -VIEKPILVSPVLTTSIQPNRERTQLNSLEAVLSRNGDVPTLSVDVDVKRVAELTVLKFFDSYVRPDAIDA -VASFVQSPVTINQNDLYHWSQRVSKKIIEPRAGNFGLHEQQMNNYAFQIKPEVKPKIDATSHLTVQVLQT -IAAHPQEVTQIMSPIFKIISQRIQSVLRSNVCIFADDSPDAIMQQINSHRKHFDTELYTTEIDISKYDKS -QGHLALEIDCLLLKLFGVDQFYVELWRDAHVKSRLNSKSYGFSFPTLFQRKSGDAWTFGGNTLLTMCVVA -ATLDIASDDFYSRVAKSIWGIERGACVNTKPSLSFAMFAGDDSLVLSSSQLSVDSQKVMTDLYNFETKLF -KFNNSMYFCSKFVIDIGGEYVVVPDPIKTMFKLGRKSLRDYTHVEEYRVSLYDLFRNLRDPVIRDLTVIA -CIDRYQLTISPSAAIAAVLHYICDSREFSKLFTYTSYVNRVITENSAFFGNVSKKLKHELEKNGVHVNPR -RGNYVYRYTNGSDPNMISVNVEYPFVFVGTASREIRRKFITM - ->YP_009337423.1 hypothetical protein [Hubei virga-like virus 1] -MGHPKARLYLSKLRKRLGSNPYVRVVLAELLMLARGDVLLPGVVPVTYGRLHVDRMAEPQLLSDLLAAYM -FSRATIGGDVTEEMVEKMDAIQKFTDLCKTAGIETAPAMANAAQRVVSRIATTNADLVTGMLRTGSKKLG -DRFPISYQLETSAAARLASEYPELNVVFGNTPHPHAYAAAATLCQEELILRRCRYDRKNTVPKDFDAAIV -DIGANYHRHAKAGRTTIHCCSPIIEHRDSARDTTRANQLQHLVSKNIITQRTCNAYTDGKGSLQRCYNLA -QDCYVTAPYGMLIHGQYDISIADLGKMCDAHQFEQVFSVIIFDVGMLFKESGVVAGVEMNYYRDGSDIVC -GYVGDSSVSYRHKLSNIQEIIRTTIMVTPKGRVYYCEKTTRGGAVFLHYVYCRRKPLTATQSVDFSVWYP -QDESAVLLSTFEFDQTVFGDSKVRTVKDPILRFKRRFIEVDKRFFELLLGHCIRSGDKSFNLNECFSAAN -TFNTRMCINGNDVRTVNRVASDPCTRCSICTLQPSGDPRWIAGKGIEAFAKSEKEKRAKGRVTFWNFVEY -AWKKSSGPRLLNSIGEIWNDLITDMAELAEVDQLSAAFFRSVREVRYSEFLTVSGEYEDHDLPSILMDVK -VDSFAMKMENDHLKEVCDIVRSGLVGEKPELSKPNVSPKLEGEPVKPATDSKVKNLSQPGTPVAAPKLVS -AHNAASESSQTALTAPSTQQTYLTQESVVRPVAPGESRPASSAGSLTMSISVDSAPTMSEEPRPLLSSGS -LAMSVSEISCVNSLPVSDMWDRLSSVGSVSSIPSLCSARSNRTVTRETERVRSALVDSVRQDIISIAASE -VGSVGSGKQLRMLATKLHMTGTDKSEENSRRLSKARKDALSYTCSGRLTHVPMPADGHCLFHALAHVIGS -TALEVRKQIKAATEPGHDTSHLDIVDGSRAGWGRIEDTIVFSRMTGIRICTHIVSPHVSFATDAYSAAEL -PETAPVAHLHWQVDGDPMDASYTAHVDLLVDNNRSSDSTLAVVPEIPLDSPVNITEPTAVVTPVTVEVAE -VVREETVSNEPLVPEVPDKTGIAVTMLPDELKELVGAGVLPWKELFARVKKRKNRDVFSNLHRVGVLPDR -LAYELDDLFATLRTHTEERFDVRPMTEKYKRLCKYWDASGGDFVVDLKAKEYVWTAPIVYRVRAHVAEDM -LLKRDLFVFRSKFTAYNDDYVYVVNGLQSTGDPDAWRVATVRSVASAYTPKHVPYTETLIKELMVRGYCE -DVLARINALVNCKNHVVIRSNCVVCIYVNEKPKLTTKMVEAILKVIEPIVPKNPMRFFPDHKLAEKAVQL -ESKRTAGYSTDGNMAPRDERQTGLVTVVRSDAYKAGKAMAAPGKHQLRTDAATVTSTVYGVEGTSKMSFL -GDNRLRTIAEKPREVAVREISAVNVVVQPKRVMRSVGCGTDSQTITVSDEFRKPACFIRCAMADQGTQAP -DAKPLARTVDAVVPTAAGALMKDAKTETQVIPAKSKLQRVASLFSVSKKSVDENPVDMMEIVKSFPVQKS -ADQLVRNAWWVSFPHALRTHLYLCVACQDGRLMITKELSKRLGVGITVPIKTLRTKGYTPFTGAISGWWR -TWLTGNVEHYDVLIVDNALPLDTLQTFLSSLPEDVCRVTLDFAGEPDPIRLIDVLRIANRKVLLTDVCSG -ARSYAAVVAVLHPPKRDPVVEKPMVTMPKLNAEDLGKVRDPQLLPGDSREIAYRNAMLEFKHLTANADNF -NAAKYKAMLQESKNGMSSDVLDNLRRSQMNVYDNHLKRFLLTGQSRTYSHGYAKEGYVAFDEKNQRFETT -ERYVITGRSTELMLNQRIAQRMETVPIDRVFMPVIEWTNGPPGCGKTYHIIQNAHIPISTTQEPEDLVLC -MTTEGRRDVMAKMKSKRPEMSDEVLQRDVRTVASVLVNGCAVAYQRVLLDEALMAHAGTIGFVVYYSQAK -AIAMIGDIHQIPYVDREHMCSVLYHVPSRFADITKSLNRTYRCPVDVTYALSAFYEGLHTTNGIILSVRQ -LTYSGNVTHIDKACTNTLFLVHLQADKDALVSEGYGKASGSAVLTVHEAQGLTFNHVICIRRNSKPLEIF -SSLPYAIVAISRHRESFVYYTDAEDAITGLVKKAKTLDASSLSVWNRKRYAANLSAGGVMRAPMTIREDT -HRTDENLTRDLPADTHNVLVLEKDTGISFALKEKPSLKGVWEEDLSYLQLWYDDKLPGAAIMENMGVQEW -METEDTFVSLDSISVNPSLGIMRKSGFGKLRPRLRTFMFPTKRPSFKESLLGAVKRNLNAPKLANDALDP -DCIGRALFTNFIRSAIPAENLALLDMLSADTVSLSSHLVDKWLDKQAPAVRRQILSETPLHLRYYNSFSY -MIKGDVKPQLELSAIQKYPSVQTIVYSDKNINAIFCPVFGVLFERLLNLLDERILVFTGMSPHEFEKELN -ARIAGLDLSEVVTVEEDMSKYDKAQGRALRQFEDLLWDALGMDPDLLRIWTDSHVRSHVRDRRNGIGFDT -EYQRKSGDATTFAGNTLVILAVLLGVYDIDDIALIMVAGDDSYIFFKPGCAHLPDPSRRIADLFNLECKL -LRNFSVPYFCSKFLIQTPQWTYLVPDPLKFVTKLGRLDMANYKHVEEYRVSCNDTMSALFNPVVAEGLSI -AVQERYGGDIADITKLINILRSLCSCPAKFASLFVHDYGVRLSFDPSTTKLN - ->YP_009337412.1 RdRp [Hubei virga-like virus 2] -MPTFPNQRKTLASAKPSRTPRDGTKVTEKRGYCYLALFEAFNASSEKKLDVASIKARLGAFPLVRRVVGE -LYAHVTFDLFVPCVRRVSNTMFHVDEWRPPMLFSEVLAMTIFSSARIGADDRAHLQQQQLIRVQDLCKTA -GLDRNTVLADAAKKCLSRALAAPDSEMNAIFESFLPKSKSGFVSPYYLDVQQLASLQADYPELGVSGGGT -IHHPHAYAAVATLCQEAIILKRMNYGSTTVPPRGYDAYAIDVGANYPRHAKAGRFDVHNCCPIITGRDSA -RDTTRALVMASLVSGGKLTAETALKYTDPASVPGKNLLRCANRAEQCTVTAPYMMFVHSQYDITLEQLGA -MFDAHRTIKAYSVMNFVPDIFVASQGTVASLGLTYHKEGDDVVFSFVGDSSMNYRHNLNTLLRTYTAQHI -ISPSGVMYVCERVVRGGALFVEYTRCTMAPELQEEYFDFNFWDAAAEDVVYLTTWQYDHTRYAGSKMAVK -GPAGFHRLIIGVDRAFFERVYVHCLRSGDTSFIINHVVSAASTFNTKMSINGANIRAVNRVGMDVFFDAV -IAIYMIAYRTRWMGTKTLHHMIESEKGVRGDYKIGFIGAFKALWKILSSFTTGKLFESIAEVWNKFVSKC -GELNSAGDLDVELLQSVRQIHFSDLLRYNAKYEGAYPALYDIRVDAIKFDVKDGMLQAISEVVRSKYSSD -PADPPKRPAHVDGQHGNDHKDPGNVTGNTDTPKESPQPGLPPAPSAPRVVGTPAAPMSNKTRNGVITEFH -RTQSIKSEKNSSRLRAGRHEAYACTSVLVVKPTPPDGNCLFTSLGYFVNLTANEMRADLCGAVGDMELRY -NDRGRSTWGNTDCVTTFSSLYQKRVCVHMTVTDKKTYPTVTSEMYSAEGTTDMVHLDWRVVGDPKTNNHT -GHVEVLTQPNDKRELPREAPTWKSVSHLFDTTRRLPHGSTDEYHGFPRHQELKALSLLGSADAATTRPAS -ILELGAAPGTWTKLLLTYASARRAAIDVVSAPTGLEMAEEVLAEIESDDAYTLHQQDAVTFLTETAKKYD -FVLSDVATADSWATNSPQLDVLCAVVSRLNLGATLVLKLSNVFLDNSLDAIANCRRLFASVEVVKPAGSR -LRNTEVYLVCKAYGEPAGRTNPYSQRRLIIADILAHLERLKAGETVVRDVVDEYSRLSFAFIEYTRDVTM -EWDDPSDGEEESQADPVPPATPALTPVQELYTLTFVEAQIAAAASRLAEDTGRPASSACARPATPTPSCA -PSDFSTKSAPAAIPTPDSPKLNPPSTMPNAAGSSPPEPTPARSSPTSSCASSDAGVETTCPPRPNPPCTL -PTAAGSSGPETTPARGSAERPTRSKRPIPSFVFTPSVKTSLESQPSLLSIGENAKVEASTTLGANASPAL -SSTFLAENGLVMNLDDALFDAEHPWQSAAHHMQVYHKGSGLYERIMELGVYSDRLHYEYDEIMSLLGPTA -CDFALPEPVALLVQPEGAACLTKAHSASRLADGKTVAVRVSDPGVPHLTAPGWHVQVHRCRSTPPTDVAV -YYIYSQLPSRVVAGSWKHTMSQCLNAAVKGVAPYDPALLRAVLRTTAAGGCLSDLEAVSKCDAHTELDKA -CLCCVYVTSGDIQPPMVASWMRRKYNQIAARAKRIYLENGGAEALSLDEALPVYMQRERRDISASGLRVD -GSAITLKSYEPNKFIPAFTTGGQVINAMKPGTHKVSAGDQVAHVTTYGAVAKQTVDFWGSKGRTRAAVTD -AKGRHTTLSEVVGTSSRVTSTVTNVASLPAPENRTVRDVAVSVSDAKFGCVSVHASIPRAANVVVCQKLI -TYKTAGTQTAETGSAAYTICAKKTSPDLPSASESDTTTLTSTTSTSVSITKRGDDFTSTTSTPTGISQFT -ETGPVAFTAALKARSEAVQRAKREAETFQPLATSSTISESDASKSVSTTGTGVTVPFASGGKPASDTSNS -WAPSSTVSSSSTSTSASTVGVGASTSSSSGKKHASRTASLMAKLGLDDASSASTEVASAGQASTNALLPD -KTKRSKLQACKSAFKAVMQPSKAATPRQPAAEVCQSLPRGCKDTLAVGGTWTGYPHDCKLVLHLLLSCGK -EFLATDSLAKRLKKERITECDVARRGYSRLEHTLELFYHHDGVHDFVLVTPAVGAEGVLKRFLSWLPEDV -SRVAIESSADLADSALTELLRECDRPVTLVDGRTRRWAGVLHLLEPSKRLLPPVRRPLAFPKLSQVEMGY -IPNPPWIAANTNLDRYRNAMIEYRYTTAHADQFNKLHFQKLQLDSKNGIPAGKTAEILAAGYGVYDQQTK -AYLGQKASRTYSHGYSVSKQEYVAWNETARQFNSPDRFILVGRNTELMLNSQISAQIAGVDVASQELPEI -EWINGPPGCGKTHAIVHSANVSIDPLVGRDLILSMTSEGKTSIRAGLKKRLPSLTDRALQAHVRTVASLL -VNGSAVKYDRVLMDEALMAHAGTIGFAVALTGTKKVLIIGDIHQIPYVDREHMCKLQYETPAVFADVTSV -KEITYRCPMDVTYAISDLYPNLCTMSLVTVSVNQKPWSSNNTHVTKTVEGCLYLTHTQPDKDALIKAGYG -KGAGSAVMTIHEAQGLTYAHVVCIRSQPKALAIYSRSEYALVAISRHTKSFVYYTDVDDALTKLIRKAQS -KDPAALQIWNAPRLTAAKKKLTPLTAGGLLWEADTVLSPLANPTTGDYMAGLPEVSHAPLMPLAPERIAE -SSFRKLPKFRPNVDTDVAFLQTFYDDVMAEAITVEYKYDQMMMEMEDTYLVSTPITVDPLLGLPADRKYG -KLRPLLRTNMRAEKQPSQKESLLGAIKRNLNAPLLRNAALSEEAIGELLFKNFERSAIDETKVAIYESYA -DDPININSQVVSVWLEKQPPSRRKQIVSDLPLHLRPFNKFEFMVKKDVKPQLTPDSMYSYPSVQTIVYND -ASVNAVSCPIYNLLWERLLAVLDPRVLVMTGMSPVEFEAEFNARLCPEVASVLRTLENDFSKYDKAQAGA -LRRLEHLVWTKLGLDPEIALIWDRSRRTSDVRDRKNGVSFVTEYQRKSGEATTFSGNTLVAMCVMLAVID -IDDIVLMLAAGDDTLIYLRPGTDFSDSSALVADLFNLECKLLECYEVPYFCSKFLISTPDWTYFVHDLLK -FVTKLGRHDMSNYTHVENYRVSCVDTMRSLFNPVVAPGLTVGMQERYHSTFCDVTKVLAVLRTLCHDPKK -FAALYLHDKGVILCNDPSASRLH - ->YP_009337242.1 hypothetical protein [Hubei virga-like virus 11] -MDEFLVYENISNFTKAVLKGVNLQVTYSKVDDVTIEETWSNVKVLTKHLKSLQYSEIPSNVGEILQNWNR -SSAKSRYNPYFELCGGDKPDFNVCVRNSIREVREIWKITPDILNDHYSMLYNRYNLFNDGDISYLTKRKI -LLEKKNVGVIDIVTGFYVIKPSQSKISHEYVFNGKEFTRLSKDLKAKSYKSRYGLVSDDMEVMNEKMLYE -STKHLNYFTYSKPKIDNIQGVPGCGKTTYILSHSGINDLLLAATRDGVVGLKQKSAGVHKNIHTIHSFLI -NAPDAMFEHVWIDEALKEHYGTINLIILKTRCKYLHIIGDSKQIPYFNKVSSVVVLFSDINRYIECTSYL -NNSYRVPVDVAYTLDKYYEKGFKTCNDVFESMSVVVIKNQLDIVKNIDLKTTKFLVFKKSEKQLLMSQGF -EDVSTVGEYQGRQIQHIKLIRLSNAERDEIYSRSEQVIVALSRHTKSLVYYTLRGDDTISKLIKNIPDKS -TLAKAYFKKENMKNNKLVGAGVYYDVPEFNQMLTKKIVYESGDDIIQSIIELNYGDKYAPITLKTTQYLD -KYYEKKCAPYRVADISFLQMFYDYVLPGNSCIDYKFDQLHAEKLPFSFDHSNVVVELSKFGVNNETFDKL -KPNLRTSIAYPRRLNQLDALIGLNKRNLNIPELDEMVEESYVIDMSVELFIKTYIKDMDTLDKFSCSVNQ -VYPSETLIEQWFFDQPEKIKSQITTVLALETMGLSSYSLMNKKNIKPGLTTESPFEYAAVQTIASQGKTQ -NMIFCPIFRELKRRFLSILKPNFFMFTDLSPEEFADKLNSRFPVDIIKTLDKLEIDIGKYDKSQYRLLFE -IECKIYSLLGLPDIFIKYWRRAHEYTTIKDRLHGVKADLLYQRKSGDASTFFGNTIVLMVVLCVLFDLTD -AYGVFSGDDSVLWMRDVKDRNDLCANIFNLESKFFKYNYSYFCSKYLLIVNGKFRFIPDPLKVLTKFGRH -DIVNWEHLEEYRISMTDLLKEYDDSSIYFEISCAMSERHSKPIDAGLLLTTLHGIVNDKNLFKTLYYVNE -GDILLSDPSRPSLDI - ->YP_009336762.1 RdRp [Sanxia atyid shrimp virus 1] -MNAEVESKFAPSVVKQALKSIIPDDDLDGLLSQIVLRQASDSASQLFSQIGNEVTRKIEAQFKRKAKSLK -ISVYLTAEEQSLLIDKWPAYRLDFRDSSPHCHGFAAALTHIVYHHLIELTGYHIEATCAHPYYIKDVGAK -MHLWLNHPRVHCCNPMLSVKDGTRLTAAFDMADRQSVNMNVPRFCRFPAQNCGAKARYLLFVHSVYDMST -TDIADAMFAANSDKAYGCFIFVPEILVSLKGHIDHINMWWDIDINKDRITFSFRDGTHDYVHSFSKYREF -VSKSFFSTSSKTAHYAKEHCANIFGYQLFTVSRTFCPNDDEMISVTIGSFSDTVIVSSFIFEENESLPFF -KGRFPNRRCNNWLGGDRGLGRLVRIFALYDRQFYDRCYAHAYGLGETSFTIRNIFNYIKSSSARMVVNGQ -VVIRGREMRPDELCTMAVAIYVNVFSHRFCVTQAVKIVTDAMKVGREKRNRGLIRQYFDALIDFLCPAGK -LLNKHVELDESSCKYIHSVIRSETVISFCDFIKKSNDPFVFLSKGYVEDSVCAYVDDEAQDHVVARIVPD -ENPQITPSAPDFDVQSVISMDATIPIMGDGDCAYNSIAIALGIPYSAKQLRHDICVDGKGSLNEEEIMKG -WGSTVEMWRVCTWYNVSIEVVGNMWLNAHDSAIQVKDKIHYANSHFDVTLHVGSRTFSIGSLEDRDPVFP -DTFAGKFKCLAPSPFSSPSEASRLGFIDVLIDYGLDKILCYTSGFYHCASDTFDVYYEVLREFKNVKKNF -ILLILPMSRLMASKVSKYDVDGLRIAEVNDELTRLIPHLELNGNLIIELPWTPHNLLSRLLSVMTNVFHH -VSVCYTAPDLFVTPKFYVVCRRYRGDFDPKCLATNLYVDYSSPIDSLYQKWIASGVRAAKVISKYVPSVL -FKPPSGDDKVVHEVAPPEEPSVVEKISEILFPRPESIAPPAAVVPLFEYSTPSIDFYKKHPDIFDSHAPQ -ALAMAASQLQKMGQKPVIYNRLPTDEDHHCIFSFNPFLSESKTTRFSTSFSDEHSSLCVVSYDPNNLKDH -DLENLRSSIIIRLLEKYDASHKVVNASVVGSDKSVIVVNAANSDLRRGAGVCGAVFGAAGPALDTECAAL -PPVVPGQAVITSGHNCSDEIIHAVAPKGTDTDVETKLESAYAAVNELAAGRPYRVPLLGAGIYGCSPQLS -ASLAARAAMSSQGVVCTGGPAVADSKINHLLVAISILNELLYPQPPLKRINASEDLRVIRAGFDITSAPK -KPRNHMAAKLALLSSRAGIKKIINFGCAPGYFMNFNKDMFNIHFTDGDSALLPDIKPDLTTASLATLDIT -QLPDGYWISDIARKGTSVVYDQKAHNAHLLADLHALFIKSSVTQMAVKGFIDGDYVYLRHLVDVVLVDSK -HPSCESILFFDKSNPGSFDSSKWKNLQRDALKSYRRGGALDLDDMSDTSSEDSFVSAVDSLEELIPRSIT -WRKGKAYLKFTHGNGERFIDCQQLKTLKQECIEKFPKIPHPSCKFSKVKKFFKMSCDLQPFRVWFEMMAT -LDKCFVESIIDKYVFVEKEPNGYDPDPCLSAVSVTAKKRLKRMFCPSKVPRTVVTTPFTNYVLYNDTSSR -PQPEILVDDRVDDDVPPFVVLPILSSDIDKLITKPIIASTDSTSLDEDAVALAPITPSECPYDLLVAQNS -DLAFTPKTGNIPIENAVYEQIEIWRINATNVRRLLTNYITERTQPSLAASDDFRARYASYGIVRNNYFVV -RPRNPKLKDDASPDYMAGLTADGQFVLTTTPDVDIYVNDSCDVLPEPFLYEKYKDYVPKFNQSFNPSLTR -GVPGCGKTHWIVNSCASGDLCLSTTKMGSHEIAERLKSASKRNVKTMTIDSYLLNSGAIFKNVYVDEAFM -RHVGSVMLVGSLSQCENLYCLGDPLQIPYIERCAVLSTKLKYQSIDLNVSHDLSVSYRCPVDVAKAFAPR -YRQLGSNFTSESKYDNTMKIHRISGVLQVPKHNVQYLTFTQNDKMQLMSKGYSRVSTVHEYQGQQADVVY -LVRLTTSVAVEVFKSAPHILVALTRHKKELHYYTVVEGDAISAIINPYSGGGSVTVPLESGFVDEIPYYN -PEITEIVGKFGAVTGQNEVVKIFDVRELNVPLLDHDVLEVEPNSHILQDANDALMGIGGYEDTSFDDYKI -TNSALDLPVASGSLVYERSVKQSPYGKLQPVIRTNCYVPRRRVLVESLLAIAKRNLNVPDMTGNNPDDIL -PSSLRDVFVSTYLLQRLPFSEIQISPSTIKSWLIDQPAKVVDAVLAVMTSFKDVKINEYDFSIKPTPKPC -VTPDANDTIPALQTIAAASKIMNILFCPIAKLVKERLLTSLRNNVILNTDVSPSDFANILTDKLPPHKFV -GKQSIEVDISKYDKSQGLLALDFEILMMKFFGVPAWMLHIWRYAHVSTRLIDRGNKMTARIELQRKSGDA -FTLLGNTMLSMAVCAFCFPLKTALLCAFIGDDSWLVGTDIYRPVSDLFSRMFNLECKVLRLNYPMFCSKF -LLSHDDRWYFVPDPLRMLVKFGRKDLANWEHLEECRVSFLDLTSDYDHWFLYEPLERAIYERYGVYYSMS -MLLPQIRVFLSSFKHFSSLFVAPEDLPLCDDPSRSSLDM - ->YP_009336677.1 RdRp [Hubei virga-like virus 16] -MGEVLKDALRYVHEDFVKDKDTIVKAAIEEALKGNQTSSYRQLVADRVVHEVCNSTGAYKPKKEVVVPFH -LTASEMKLLEDNYPGFKIVFTHETNNSHAFAAAHRVIEYHEVQNKLGYNPNHDYTEYLRQTGRDVYVTDV -GANFPARARQGEVFVHCCSPVLDNADSQRHTGRVGKFDSFLANTKVMSENSRIVCGHITDVLLNRATETS -SFCMNTIQNCKISSPKGMAIHSLYDITFAQLGKAMLDKQMYMVIGTLSFDDSMFQYKKGVVKESKMTWEY -VDDYKRIKCGFEGDASRSYVHDVRTYFGWMMVSMFMYNGVKFHIELLERVAGVVYVSVVAELVVPPTNER -IFHNIPLLSNKGKVMVVVRYRDIDLACEELPTLSFWRANTTLDGSYKGDVEFFSRSGDRNLFKKDLHEVH -DNGDVTIRFYISSELYEVGKGFALGNSEAKFKPVEIFQFIRSYATKQYYGKELTRRYDIDYMQVYHLAYA -IYLTCYDQKYIVGKSCEKKLKGENRNRELQRMSVFRRMCKVLGNTLLTAVLFVPPISFAVYLHKRLDLWT -YKMLRDEFVASEAVIAPVESVEHVTTLMQSDGKPITYATMLPVTYDTSLGLAIRDLVTGLVFDAIGPGCR -SEKERTCVSAVDFRDKGKKFVLELEEAGPSVVVVIENFDANERLSEWRRVVLARFLRVPVGGVLYLPYQG -FTGDDDFDELVSDLAGCGLVLTVVEESVLESVLYFRLERVEVIDSYLEDTMIENRLVYSTGFGAASNGYV -YTVSGNLCIVGGTGYTVASAEINFFERSWERLAAKLEPYSSERIDRTKELLFPLAMVSPQLNVKNRSACK -LSQLITLTDSGLLKVAKVLDLCAYPGGFADLTFKKNPLVTYYCVSKRGGEGQKIESRYIPARAIDLTPDD -YFDLTDVECRDKLVEQVGVVDTVLADGAVELYGRYDLQEEANFWLIRSEILTAIKILGERGNLLLKIFAM -RMPGTRTFVTLLTTCFRSVKIVKPPASWSVNTELYVLCEGFVVGARTSAVNSVLQVAARSVPTCEVLKST -YDRLTVVAENYTRSGVCALQKLVDRLSRDIAENYGSPLVALVAATQPQCDARSGKGPELEKPQNIIVPVI -ESEKLQDLNVPVIENQSTGDTPKETGVSREMLECEALVNNLSPAAVVRTELLRLRFQASAGFRSDHLKLT -CVSNINFNCEYVVFRTPSDGNCFFHALGLNIFDHRLLREHFLNTSTDPDVVEDCRQIGHVAGTSTIAEAV -RQFKVSIVQFDSVHLSAGRYGDSGPQTTVFYTRNHFEVTLPYCLGCPIRWFTGSLNVAFPDITVADFMNR -QFTRDDVEYYLPALSGCVHCQTIESLVVLPLGVRLLVCNGFPLPDHLAREVRGGLEFAYRPISDCKPLIA -DVCPVVPDRKGVVLDDGPIGKLVKRYRMDPMVAFAHCISSDFGSRGHMTKGVALEFVSTIGRPSAQHKIV -DLPLTEQRFCEMHPGECQCPIANRSGARVLGVLTKPRFNTRPTLTDYKRAMKALFDYLKNLNVKELIIPC -MGTALDRVPIEEFYREISLFTHSNTELLVRIVKPLDRRKIDCLIACHSAVVPETVGDVPESTDPASDNYV -CSALVSTGSSLGFVMTRGLMDVDAATAEQLGYNIPVHRHSEYETCAPVLFCDSCDRIPYFHPLRQHVSNY -STDLSFAGVTGVLAIAALERSCYRCTVLGYIRDTNEKRIIFLPYDLHLEHELERPFIVHHYHGAGGVYLL -PASSEDSAVRNLSSLVTLVSTLQVHDRQCQHASGTLGYNSRLRCYTDCVTLQGEMAVDRVRVVDSEPSTS -GLVLELKRGDEPSVNYFGSNKLVMKLVYSLESFAIEHFDESVMLLRNKLSALDPAKVGEVVVLSDAALDL -STFVTATRLSDFPLVVVRGRTEGLNETTRVHYPVFRHGTSDKDFQYNAMQEMKYIWSRTTTDWGNNIRAL -LAPYMLTDGVIKPDTASKVNDVSLGIIDAAVGTWFVVPVKQEEDGYSYAYAYGTGGEDGFVKFSGVVRNL -TIDKERLAEVRRVSSARYFVVTKHTKVMNEQQLLDSVSGQVVLDSLLDFEIRIVEGVPGAGKTHYIVQNH -DPSENFTEIVATATKEAAADLRLRSGYGESTSATRQKFARRKYRTLDSLTIHMTKDYQKGEVKTIYFDES -SMKHVGQIFWCAMVLGVRRVIICGDRAQIAYFNSEGYQHQYHRFPREPDVRLTVTKRCPVDVCYLLSGLR -AETGESLYPVPITTSNPGMRSLHIYRDTTALDLGDSRDTQILTFTQLEKQEVSRKYSGFSVNTIGEYQGK -ESKNIVLIRTSTKNTAIYSDLSQMVVALSRHTNDFRYYSIVDDDLSRLIGIATRAENQQLLKHAVTVKGG -GYVFNTSRILRGEHDSNRFFPRLQPYSQLPIYDVRLKPDYAKDLLKESHVLRDLVVHHGEIGYVSFKPTM -TDLTANFGAYEEEEKVTTFDWASAKSMVQLFADHVKPGSTCDSTRYDYDVFEQSPFHIDGGGFAVSTDFV -VRRRPDTLTPTLRTPCPPYMLPTQKQVIKAFLERNGGVPESDGRIPSYVYCKRIVDAFKRNMVADHDLLR -FYTRNPMTVNVDSVTDWISYQDPNILTQLEKDPDYSIFEKKLSEYSYILKRLPKPSLDDVPQLKNSSPQA -IAHLDKKTNAIFSPLVRDMKHRLYSVLRWDKVVCSDVSVEDFEKIMTNRLPPRVLKEYPYRFETDFGKYD -KSQAVLALMIDVTMMEMFGVPKELILCWILMHVSTRLVALNQRFTARVDYQRKSGDPMTFLGNTMYLMCV -LAYQLDGVIGDGFGVFAGDDSYLFLKKPVDEVRFIKSCAAIFNLEVKILKFKAPYFCSKFLLPVNDVKWV -CVPDVWKTVIKLGRDDLVNFKHVEEYRVSLCDNLRSYTYQQYWEFYAEAVSERYSLDFLPTYIFPAVYAL -ISDPEKFKSLYYVSPGDVIDEAQVLLPSLEI - ->YP_009336553.1 RdRp [Hubei virga-like virus 9] -MSFAKGYCYMRLFTTLPSGERSKIRQSLGPYPTMKAVCGAIYQHFRTDDDVFLPMLGETATGMMHCFAEA -RRKSQVGKDVVATMLTPREFFLLYARLKVRLGAIGGKCYLSWLRQLGLNKVLALRLEERLGKFPVFEDVM -TELMYVIDDFDTYISVPMKVGPRMLHVDSASHKQRFIEIMWEKTFYGYRVGASGMDDELPTNAMPMLLGM -GGLQHQDVLQAVLTDAMRHDPVTKQRVIDAHTHKVKEVLAGGNEKLHVKYQLTDLEKNKLILSYPGFKFE -WSKRPTSHPHAMSAAARVCETQYALTMLGYRSGMNLKNFVAMIKDIGGKPEVHIQRGRLNVHTCAPILDH -RDDQRHSRSTDAIAQIAANLPNRPRKKLRDHLHDPEKYRALICHRKSQYCSITAKYCIFIHSTYDMTLQD -IADSLSMAKSDGGVIAVLYDADMLVKKSGYLPDQKCHWMVTDSENCLTARFCLRRKRKVIRFSFDNDSSY -NYEHDYNEYVKLFMTCAIRSKSGELYYTEIVNYTLDTAFIRITRSKFTVTKSTILRRCLYFRDSDDRVVV -HTYRYHPTGTDKHWEAHFSPINIIVTRRMYNMIMAYALDQASSRFSVAQIFSYAKSVNVRRVNNGVAITE -MEGMPPDELYDLSVAIFVQAFDTRFCANTVAKTATDEAIDTRNSGTAGIFELMMLKLKDLLRLGHKEVKV -HSDGSELPIIDVDAEVSVSIYAKMRMWLRRKRVLKIETENMVRTMDVATWLPPHAIEYALDREPILASLD -QVGEGTRVCTDDFETMIRDRIESAVAPSDSRTAVVPDLTAGSEKCPFCSRCCKWRPVVAEVPDVLLKEYL -GYTEINVEGDGNCFYYALILSLGISLSVTDLKKLLNESRYKPDVCGGGDLDVLLTTDNAFANNAVLYLVL -YEMRMAVTVVIENQGKDGVSVFSAQRYSPTAVGVTAEVVLKLSKQHYTVLRQPTSNISEVGSSVDSRVEL -DYPKLRTMIIGLTPIQCREVITRSLHDGLTLAKFCDDVNVTICGKFDYEVNDPDVVLEFDGSGVIIVFGS -LERLLWNLFETYLYSRCTDVERKDWDDTRHFKFAGKFTNRADAKVAEIMNMFGIDVCGKSVLDLGGAPGG -VYSMLKGLKADKVHTYSHPRILKYYPRLRNDACVFLKDIRDVNFNTEWDFVISDIADENTYRWDDSHTTF -SYQLDAVMTALKYGGTAIVKHGNIWSLLANAKRLSRYFSHFSRVIIVKPFLANPNTSEVYVVLHTYVNIG -SDAISVEGLPHAVVRMMTGARKMMDSLDGVKPSVETVSALKKYMEGLKSGNASIVHERGKIFYSATDDDT -TTNIIWFPTDTEADSDVDDESSVSVSEELLVSDGDSCKTGHHIVPTLPVDCDTWSVSNKSDAAQSDVTDV -IPRCSHCETNMYHDIIASDTMRTSMPERVHLLLCKFFSTNPDLKYFQGMHYYTNLVIEANDSVTDDEGLL -SLLTALFSHRLSILLTEDDIDLFFDNRSLTPMLSLLVESERDSAKLSIIRLWQNIAMTCAHYVIHEWAKH -LKRDTIEEFSTFGPYTALPFVWSMLKRHEGGNYDYMCEREYIDKFVASVSNEVLFNEYKDALGCMKSEAQ -WEGNRLIRYKVPDFRKTVRRMISRFVRNNITRRNETETLMLPEKVGQPRRTIADKGTMRSTVSYNGKVLR -TINSSDFRIVPERRNENEAMVDEYDLRLLSKCTVTGKELMLADGKENMVNGRMLSAPRVEPLKEPTNADA -SAFQLLTTDTLSLQLPVVTVCDRDVLLRDLLVIMLDLSDMERNFCSYKWHPWLRNVDASYLVRQVCNLSV -QVTDRSNGYYVVIHLSDKPTERRFLVVVCHSGVDIRSCLVKFVIRFHTECDKYKFVPILQPDTMTCSRTH -SLLVETLNQNMKHRYKRIVREICSDDRVYMKSERRSDDLNEATKIMHDNWADKGIVDYKYGFKPSFYGDS -TTDSRIKNAMAEIIEYWRVSDAMITNNCVEFHKSMRLHDRRFISSTAESPEGYAVYDRIERRWIVKSLKQ -EAKYEYCCDGQKMMLFDDAIALENDVASRYLVVSSDTVLMQDAKLYKGAVNVCQRIGDQCFEDVEVQLVS -GVPGCGKTTEAIRNFTFPCPGTDGDIILFPTKVAADDFRERLKRQHKTVDEKVLKMHVMTIDSFLINDRK -YPAYGKLLVDEALMLHCGAIFVAACKARVKRIYLIGDVKQIPWINRTPLRVTRQNITDIVTPTRYLSVSY -RCTVSTAAMLSGLYPNGMMANGTTTGDMQYRITPNPLVGYTDKSAHYLVYTQGDKREFMANNKGLSVNTI -HEYQGKENDHIVLIRGTSKALDLYEKIAYNLVAVSRHKVSFTYVTPNAVKDAVIPMIARVNNTSKPQLMK -FSKMSAGYLPADITMATYPVEKVENYHDAVLSCTAKYGVENVAAHFPVLSEVEEVRVLPAIRKVNVIKPS -VQKFQAYYDLVFPGNSTHDMRYDPYHVMHSDLEVFTERTRMNPSYVSGVSRKGKRFDNMEPRLRTSMAVT -RPNNQIESLLGMIKRNQAAPKLLGLQDAEEMAKILEKCFVSTYIRKDCRQMYDGYREDPIRADPAAINNW -LDGQPPGTVRLIERLEAAHETDLTRYKYMIKNKVKPQLDTNAPYVYSAVQTIAYQGKSINALFCPIFKYL -RQRMMPILKKKFLIYTDMSADEFAESVCRRVGPTVMNDKNFLEVDISKYDKSQSRVVLLFECAMMRNFGV -PEYWVNLWWNAHESTTLVDKDNHISCDVDFQRKSGDASTFFGNTMFLMALMATLYDLNNVDFACFAGDDS -LIIGGPNLHHDYSEQCANLFNLESKFLRCYKYKNFCSKFFLVVDECVYFVPDPMKLLTKLGRHDVVNWTH -LEEFTTSMRDVTASYGDARVCAELAKAAADRYNVGIDLTPLIESMYLLFRDPTLMREFFGEPQHGVMSRD -TSLPKFD - ->YP_009336481.1 ORF1 [Nesidiocoris tenuis virus] -MENLSVMYQAVRKAMTIRTPNSGKLLNTETTRLLRSSNKDYGLYLVKTGVFLLSPLARDHRFAYDGEKLV -CFENKMKLTTTTNSDYLIVNCDTERMLERQFYSALKNITLPTRLPKLRFVLGVPGCGKSTYIAKARLPHE -KVWTATRLGKSDVIKKIKGDKDMVRTIGSILIKGDNTMTHMKNQPTRVFVDEVIMAHAGEVMARAQELDI -DEMICLGDLKQIPFIARIAEVKLHYSKISDMANKDIEFLTNSHRVPADIAVVLTRYFYKDFVGNEKIKLQ -TDV - ->YP_009336476.1 ORF1 [Adelphocoris suturalis virus] -MANNLDSIALAKTVLSLQGENRISDEISTLVRSLLNEKSSSLRNAVDSGIVGLLERSMPKGTDRPSVKIV -YHMTNEQQHHVESNWPEFKMEFTQSVKHSHPVAAVFRFLERKYFFELTLKASSDRGPPSQHDDFITDIGG -SPDKILSDGYKYVHSCNPMLDDYDQQRQADRTINMYLSGRDFKQLNSEILTCSSRFQNCNRKSIFGVAIH -SIYDIPPNDFATGLARKGIIKCYASAIFAVEILMSNVGTIPGLGATWVIDDAFKSITFGFEGDNSYCYIH -NLRNYLSYFLCNRLIADDGTAYTVEIKGNRGGVYILEFTRIFDPSARSPAHYLWSSLPDVWIDVHYYHWH -LPQDPNASHLLLEPCTITVHERFFNLVYEYALTLDDGKYLPKYIYDYIRSAEHAIVLGGVTIREREKLTA -TEAHDLAHAIYMLVFTKKYCNGNVLSSLMNSEKERRVSPNKGEGGCFSFVSAVWEFLFGNKRKRFSYVRP -KEATSNEMDEDTFAFYTFLKPAFKENQKYPIVRYSERPRRILVDRDSLTFCDWFKKFASCETVPDVRSTS -FPSLTREKLSLFSLLTNSASDYLQFGEDGVSPIPVTKLDRSAAVALPAPINYDFLRLPVAHVVTPLSAYS -VVADIDNLQTVLLDFDIQLTNTDCEIISTTTKDKFPGFDAYLRGSRVTIDKVQFITVLHRVLSYMYEHAY -FVADRTYILGSVKDELPTKFLRLNKFNQVEKAFSAPIMTIDEVSNYASRFAKQLVRSKYREHYEYERGTR -QCTFRIKLREKIVIFRYDSSSSDCSRDFEDQSVLAVVYIYPAVRVSNYIQSRCSSTVSTLDLGSHYIILV -KNFTEGKPPLPRDTQVKIPHTQDYFFVLSTNPELGIYHYTINRSSVSISGMDIELVSGDCYSHKPTKRCA -IVVGSAADFSRVRNHYKSKGITNCPDIIYFDKPGDYLEYVFCPKISKHAAVTFAELVSVPSFRLVARDSI -LQYFYSLDNGATRYDHLLKMQVSKTLENLSSVMTRVDREVPLEKYENFKRPSLKVPESFKTRASFKLHQI -LHSARIRPTGNVVDLCSGPGAMSSYLLRSFTDVVIHAHHYTVGSPETMIDNSLLSHNRYNSIQADTGDLT -DPAVLMMVKVAVKDVKPTLIVADGTSSRGRQCVDTKDILRAEVEVIRCAPLGSNAIIKMFLDVDFLNICH -ALLQVFSAVNVYKPAASNPNSSEVYLVCTHKVSSADLRSKNVELFLKQIDTLASSLLLDFERMLLPAPAP -DVNAFPTAPSPTPTEYSTGDTLRDFSIVDEYNEIEYSMLGDAVQFRDSDSDSSSFVVPDNQGNIKPLHNL -GFSPRKFPLTHVRPLQPITAISTTTETDVVCNADDEAIHEVSAITATGSNHDLVSEPKNICSDDSIPEQE -LSASEEIVKSPVSDAPVLEANPSQVVEDLCSPACILPESLTDAYSPPKIVLDLGVASKYYHGRLIPAKGD -GNCLLYSCYGGSINPGNVRLRLDGLADRVYKEPHSFGVKEALRGNNWLDYRHLLLISIDLNCKIHVSIEI -GQIKSGMIFGPPATTWKYVRFSVNHYDGLAVEPATAIVDSDGFIEITQQLPLKTHKEKYRVAKLSKLPRA -DVEPVVEGQLRRKTSFNPSYEINVGHVGASAQLIIARNAVAEILEMWRSAPEHLRGMHANDYVLLSEGNK -HAKGDLKSSALFNYPQDRYVCVRGPQLYGLPHRWGFNGAEFVEVAKSKNAIVMFSEYTEVMTEPMLYNAS -KHLPEIESLPPILFKQGVPGCGKTYNVVKEFRDGDLILCSSREGVESVEKKFSEMGKGDSKSAATVHSYI -INRDRKWDRSVSTLFFDEVMQRHLGELLIAIQLANPQKVVMIGDPKQLSYYCPYRAIILRFMKVSDLIPP -RAHLNISYRCPVDVAYVFKDLYDGEFKSASTVLRSLKWCQTISPDQLPRSAPDVTYLTLKRAEKSSLLLM -GFSPVYTVGEFQGNQSKHIWLIRTGSIPDEPIYNNKSQQLVALSRHTESFVYHTPIIDPITKLIDMAVSA -TNSDLCKCKPGSGGFRKTNAILPYRDVPTHHPHVNQFLMQFDPSPFKHLLIPRPYGFREFFNLSKSFSLL -TPHGHEVELLQDFYDRSFPGSSLRNRYFDQEFAEYTDLHLPIKQLRLDLSKARPLKVPRPCLVPVLRTSM -PHNRVPSQIDTLLAVLKRNLNVPSMAGILDPEDLASTMLDSFTETYFCFEAPTMLLSYATDVVDVSIPEV -YEWLEDQPTTTINQIGINQCIFAQDFSRYKIMNKSTLKPQLDTAAPYTYSSAQTIVFQDKDFNALFCPVF -RTLKKRLLSLLDRRYALLTDVDLDDFNATLDEILSHPHNYHKLEVDMKKYDKSQGKAMLLFECSLFKLLG -ASDRIVTLWRYAHEETYVRDTITGVYFRVVFQRKSGDAATFLSNTVILMAMLSVLFKIERGIFAGDDSYI -EAEHRFPDYADLCAELFNMESKFLYYTYPYFCSKFVVRGSNGHALIPDPLKLLTKLGRHDLVDWEHADEY -RVSLWDLTKVFEDLSMHSVLTSAINERYYTRFRSITPLLTTIRSHLSSAENFRKLYREPDDPLIVDPSRP -KL - ->YP_009333286.1 RdRp [Hubei virga-like virus 18] -METTIDKSDFVAGIDTIFGSVVSGNNRDQILLNFLNAKTDNVLKQVFADHYKQLYDSYKTSKRFSKEVKI -TPTLTTDEKKQLTDAYPGLKIQFTSEDKNAHAYAKASRVLEFYNILFQRIRIAEDDNVKALMDTNCWDAF -VKDCGGDPTALLKPGTKYLHVCAPNIGHDSYDSVRYTHRITSLINRSTSDREYHHKAKQLLADIQDNRTT -NSLCSNKGQCCPIRAPFVMFVHSIYDMTLTDIADTMDAANALIGYASIIYSDEILINTSGTLKPLNVWWK -YSNLLTDMQWNPEIVLNHTEGTITFGFNDDDGFNYEHSLANYKSFFTTSRFCSSKGVYYSLELQENRDGI -QFIRFTKELKPSSRGVTHTINLRSLEDKYLISMYDVAPDYAPVRVKPNAYRSVITPWLASNHSRLIPYRF -LAERDPVDKTINYLSSVKANLKPNECLNYLRNYCTRSIHNGSVMDQRLNLDETQIKLLSNALYLNVYDSN -YRSGKIVQQAVNDLDIARNFSYFNYRRIFGITDYLNISENWCTRNIRKFSLYINTNDTAQARICRTAICS -LRYVVAAGVFVWTVKKIYRLISRYPTPASRQDYGLFANAFFDATQSITTKLRACIQPVLYHDRVRVPVCR -ALSRFLPPPSPWWSSSKNLSRHLIDYLTRCNRELFLKRIILTPVQCILRYLPLRPSYGWHRSPLSLSLPI -SCGFSIFVANFGYQFTRHATMPLLMESTSHLINTRDMIQVPVTKYTYVEKKISHLGIDYSMFVPAEFSDD -ERTTFAKSMIKSIYNVDIDSQDLTPVIRSRLENRNAKTPEQLDRDRFGVATAVPEFNEIKSRLFPREVTG -ALPKLLSLFESVPDLAPTADDVILEIGAAPGSWTDHLLEQKFSRYVVVSPRGSPHLPLSSTVRDKLSSTP -NVTLVEKPIQEYVTELRFHKIYSDAAGPVLDYNTQSINHDSLFLDILKFSSDHLVEGGSLLMKMFDLTPT -LKKSLDDLKSSFRSVRLIKPEHSKPLNPECYLVASGFKVENIEFEVEDDYSRILAQQTKNLAAFVDEYNK -LKPTDNYVEIPVAADGNCCFSAATLNRVHDIDHLKKTIGPLLIARNPDASDLVDELRPGMWGGSAFLQAF -GDYCDIKYIVHDANGLYTFGATSTRIIHLKRHNNHYSILKDVHFGTSDPFSVNSFPPFRFSDVSQKLSKY -INFGGSTSNYIYSDNMDLFCSEHRECRNNCFGRILSNKENKIGLLFFIEKHNGQLDVGSLNEHLQLHDLN -LRLHALYLPDHYVVSTHHGYSEVTGDLFSTLDKHFASCSCGRLNFESDIMRSERGIVYYCKKATFDSYRS -GGAGDLIVELIDQCAHSLPSKLSYKPGHLIVPITVTETQRLSHHPSHDDIVSTLQQNYDNSICDIVIKTP -VVDPKFVAVAISSVYPKAQLHYDNLTGGKLRLLKAPLIETGIPSIIINTMMERRNLWEHTINFTKKTLER -YHSDMVTAHKTGRPLFCKDTAFHLYDVEKDKVLMGTGINREDVRWGWDGAELISFDSLTTSGVQQATRSP -YVSFNKHSVLLQAYHHYQRTKGVDIEGINILCKFFPILGIPGGGKTEYILRQCKESVSPTLILTVSKAAK -DDVQTRADRMGLDHNITICTFDSFMINYERRHSGVEYKDIWFDEARLTHAGDWLWAACLTRCENMYIVGD -VAQIPYCERTDYNPRYASPNIFTVPSHNLSISHRCPQDIVQWMRISRDNSNKPFYDFPISTTSKVVHSVE -AHAIGNIAAVPVVNNAQYLVYTQEELRSMIDAGFTNCRTVHQYQGNQNAHIILVRLQVKDAIPVFKSMAH -MLVAFTRHTTRFDYYTCCPTDKDLIHKNVVTIKKFSDNDVKARAGGVDFRPSIEIEMPRTQQPYLYPRIV -RDLNDRYGFGAVIPMQIRRVNPIVDTLPYQTLSFDPLVGYQHILREYNDLLYRVPSGIDNISDHDIYTIS -DKQFFGDYSLIPSASRTKDKIYAQPRIPTSSRLRNPIDQYQIVKAYCERNGAVPQIRGNVDSLDMADMLL -NTVVSLLDPHLLELCRTNPIVANNNSITTWLARQPTAVRNQIASDPDIIDDKDLTRYFFTIKGNAKPDLD -ANPHARYKSAQTIAYQDKSINAIFCPIMADFTDRLVAMLNPNIVLFNRLSNADYVSLVNAICPYERFRTL -TRFFEIDFSKFDKSQDQTALEFECKLMSMLGVSYEYVSRWYHMHVRTTLVDIGNKFSANIEYQRKSGDAG -TWVLNTVFQMAVVINAMRLESEILAGRCFATFSGDDSLVFIDDLLPINVDHVSSTCANIFNLEVKLLNFK -TPYFCSKFFLPTPQGVLFVPDVVKTLVKLGRRDLISIEHAKEYFISFNDNNAPLTDAYLWPTISRCIADR -YALGGDHSILIHAIATIAADEEKFLSLWDFSGTVDSPNRPSLEI - ->YP_009333208.1 RdRp [Shayang virga-like virus 1] -MALVDLFGVQSKADEYIARRAAAREPNAINGLCDQLLADAVRGKVDNASRVRVTTVTIAENLSHEQENRL -VSLYPSLHLQFSKSSNNDHGFAAASRRCETAVCLQYIGYNPRVGNFTDGRDDFATDVGGNYSRHIVDGNY -GIHSCCPMLDDRDVQRYRTRRELLKKVYANDKDKIHVLESSKEPTTLLANRIAAFLNSTRGRTFSNDWCC -LRRFEQCDRTSRYAILIHSNYDIPLKRLGDAMRRKKIAELYGTFIYDDKIFYRREGFIEDLDCYFSYSED -NKNITFAFKNDSSLVYIHKTRVYLSYLLVNTFVDSTGTCRFVLELLENRGGIQFFKVTRVPFIASKRFSP -LVHCLWFKSLEGKVKVTFPVVDVERMRRGCSAAAVEKKVMFLDKELVDTIMGHAMVATESKFKPTEIMAF -IESYTHRIFVGDDVVSRLPSLTFSEKLHMALAVYVEVYKRKYDSGKMLQYVLECIQYDRALMEKGWFGRV -MSSPSKLLSVFKVAMPPCLFGTMLGSFYLMAFNAAASPIDGYKFIEDCAHFTIEGNSNFTTFTVVRAVHK -VMDILEDIFVEKRSQVLFDQLEIIPPKILAPVASEMVIDPTIVTRQILLDNFNADVKFKAISQVVDDCAV -VTESPRVLDGSYSQRSILVRAFNRLFGRSRCMLLPPDEVGEIELAQDALTLEEDCSEISMLSEVSPMLVC -FEDVYLAERIHRRIERYSHYVQSEVADDVYKSFVVPRTRSDYDTADLNFISRAEFKLREILDVYFLSGVK -NALDLCAGPGGFAKCLLQRARDQVVVHFYKNADADCVMAWEKLRRDDGYCKLSVVDAMETDLTTVRAVEE -VTDVLGRTGLMFDLVTADGARHDDRVDKETINYPLIFGEVLVAEKFLVAGGSVVLKTFGFYDHSSLSMLS -RFLVGFEKYYLHRSSYATPFSREVYVIAFRYRGWMMANVVRRSESKISRIYHQLRQWQLRFEEGLYLEYR -KFLVSLSVDFVEMDSKATSVPDVNDHSSVERQCCDFTSVVETDVDDCEEEQDYSTALVTAAGTTQDRSVG -SEDSVISDCYVTASSRSGGPDNSCDPIVAKSCKGNDNVGRQVGFEFDDVIRACDHYFVAHVKTTEDFVGS -LAFDSVASPFSNFSRCTLRMRVPFDDVVREYLCVEHAFLQAELVFLNRRDMCKNIRTIRDCRCARRKMKD -LVTGRDKEIWDRCKYEVMMKIQEEKYAQNAVFREALIRTDGLRLIYAARDVYWGGGVPLPEVRRTGKFCA -DGFNRLGELVMSIRCDLLGRSTYETKSEVSFATVSSGEDDPRNDGMQHSVGDKIVERRNDDAISAHFSDV -GKLAREPVSVVELRDVCCMDVKGDGNCLYYALMMGDCNDAFKLRQLLEGYLQGMGNSDLGDIEKTEAFNE -LSGWGGVNVLKLFSRFYRARVEVVDLRNGSVYKFGDDWKYPSICNRLGYTGNHYVVMNNCTACDAKDGDY -PRQFNYAPLDVVALMDTLDQLLTSNNTRVSRLVSLLMKSSSLVYVDDVDKTYYSHVFYNAVANYCCFHRD -CEQSRLSRFLKNLVNNGYKKTLYVLCSNFDVDFQVLQQQLTAYHLKYLLLDFPWLVDNDYTLLIVPFDMS -IDNDMELCTAVRLMTEHNKECEECRNMSFTRCISSMWCNPVTNTFFSCAPVSVDNVLKSKELPLVDRVYS -LTLMTVEKFEMERWHIMEYEGYFSIPVAVDDSGQLLKEKCEVVSVMLAEHFAKMGDVRVMGLRKTSIIDV -KFVVDILSNHCDKLYVEVEPTQIAAVARSEFLPKYVQTVVDDDIITNAMVEAREMWKVTVTSIINNVKIL -HERSMTIAKSQSRIGRLEFTNNKPDYGLMDLATGKFIVKPRERYQKYSRAYNGKNLISIEDCYVGEKFQA -GRMQGYASVTKEMRVVNSDVMYSRVADVDLSELSFDHLRITLIEGVPGCGKSTYILNEHKFSDVDCEHVV -LTATKETAEDMKRRVSQKYGVSVDDPIMKKRYRTCDSFLMHYKARSENIDTLWVDEGLMKHFGEIMWCVY -LSGARNLRICGDRAQIPFINRNGSIFLQFSDLEKIKAKFDVRFLSRSYRCPADVVCYLNRIRVYTQRVTT -INTVRRSLAAVKIACLNDIKFSDHLSAVVLTFTQQEKLEIITMMNKDVALKKMVENVFTVHEYQGKQTAE -VVLVRMQPKDIAIYSSSSHCLVAVTRHTVKFVYYTVKEDQLYVECRKLLSQSDLDEVVETLKGGGVLMGA -QDQRQYPLTFVEPRESKTFDKLKQNRQLREFVEDNAGNNVVPFTIIQRPLEVPVIEDPFICYSSVDDPIS -ALQLFVDVVFPGASAVCNEYDREIFQSDRMVIPKDRVVYSTFVTDAVPKYACLTSRLRTNCPANVVTTQK -QVMKAYFQRNGNVPELSGENDEDALVSSMVQAFEDTYIYYDTAYRVFATQPVDINVDSIEEWLRSQPDVV -RQQIYEDDEHIFDKDLRTYAFELKRLPKPKLEVGNDKKYLSPQTIAHHCKKINALFCPVVKEIKRRLISV -LRSDKLVFTDMSVEDFERVLTYRLPKHRFKRFRHMLEVDFSKYDKSQGRVALKFELAMLRRLGFPPALLA -TWSVMHVYTRLWAPSVKFKAEVCFQRKSGDAMTFFGNTMFLMAVMAYSFRLKESFCLFSGDDSLIFSRFA -LDYADATSRIAFNFNLESKLLSFNTPYFCSKFLIMSPYGRWVMIPDPVKLIVKLGRRDLVSFEHVAEYHV -SLKDNLKVMLNAFYYYPLSYAVCNRYSLYQKDLSFFFRAIASLIFEDQNFSSLYYLEPGQQLNPYRSKLP -DLEF - ->YP_009333310.1 hypothetical protein [Xingshan nematode virus 1] -MALIDPLLVDAKAKDFVEQRLGSHEPNPIRDFMEHACADKLKEEFRKMERIRTIKVMIPEDLSNEQENKL -VRMYPSLNLTFTKKSSNSHCFAAASRKCENAILLQLIGYDHIVAMSTDGRDDYATDIGGNFYRHLADMNK -GIHCCCPMLDDRDVQRFVQRREALKKLYFSEIKRMKIRSDDPKISDDRDEEFRKFLNDTKNRTFDNKWVC -LRKAQECTRTARYGIMLHSNYDISLRELGDIMTKKNMSEIYGSFIFDDRILYMNEGYIECLECYFSYSID -KKYLEFTFKNDSALIYRHRVRTYMAYVMVSTFVDSTGLNRFILELLENRNGIQFFRVTALPYRVSVKFSP -LVHRVWFKSLEGKLKVTFPVADQTALRKGCVSAIIKEKVMYLDKELIDKIMGHAMTATENKFKPVEILSF -IQAYTHRIFFGEDVTSRMPSMTFNEKYHLSLAIYVEVYKRKYDVGKMVQFVLECVQYDRDLLQKGFFRRI -FSSSKPLYSAFKAALPVSCFSSLMGPFYLMAFNVSCSPVDGYKFIEEVPKYISTESVGFCQYAVSAVKNK -IVGLIDEAFDQFTCAPRFEHLQILPVFYPKVVVPELIWEPEKTIKSILADNFCVDATVVRKDVDRDAEVA -VECKISGGVGVVRSLCEKFKSFVKGKTVVEKGVNNRSDAVSDITEKSIMGVDFGECRRIVKTRKDIIALA -NFVATNVDEKDYRRYVDDNTRMDYNRADTLFISRAEYKLKEILELYVPGEVGNALDLCAGPGGFTKTLIK -KVVNKVFMHYYRDADVGCTVDFDKLRRCDLFGKLCVLDLENTDLLCETTGEVIVQKIIESKVGIDLVTAD -GALHNDVIDKEVENFYLIKAQIAVVEKVLADGGVAVIKTFGFYGHETLDMLGTFLSKFVKYHVHRSSYVT -PFSMEHYIVALRYCSTADVKSVAFKNRKVFVYRDLKSIMNNFDIALRKEYVRFLSGLVGSDKVEGILEGS -TIYSVDNNTEVESSSCVLTTDLEFVTCEERTGKLSVVTDSTIGDDETKSDFVDESVENNCSAESRAILDL -AVFGGDDNCFVDDDLGVLAFSGESCPLSNCFVSELTIDDGVAKRVFTTVERAVIYLASDAYGCGSFGLEL -LKEKDDRVLRKKWKKFLSKKGEELWKNRREDIVKRVLDCRFKCCEFARILQGTSGWHLVNATVDDHWGAG -VTLKKFRSDGRVSYLGRNVYGKLLMDVRRSLLLDNDFGSTKKQEIVPQIDLASCVYSVKADGSCLYYALM -SGDDNDADCLRAKLLDVYEKDKDYYNGIGINDEDLRQELAGWGGRNVINLFSDHYKAKISVDSIDGECVC -SFGDSLSRPSYVLRLRYDGSHYYITSVCGDDFGFGGGFVSRRFNYNSIDVVEIYRSLDCLLRKNFTSFQN -FVSYILGSTVLSYADAISAIDLSQHNFYNAVARYCGFHCECRGVALSSLIEYLGSRDYRKTLYLLVSIYD -LDFERFQNLISAMGLCCMLLNLPWLLNKNFAILILAFGTGVEQNVSLLEMINLAKDHIRDCSCCARISSV -RNVDGIWYNRLSATYYCCGGDSACRMVYRTTVLPRVDRIFVFDALDCDVSYAESEIIERCDGYHVPVRVV -DNEVIDDFDYNGYRHILRRHFEKCGSVSVVGVRHSDILSFKILIDVMKEFVYLIYVEVKGIENFAGSGRG -TDANFRPDFRQSITSDDVMINAMIEAREMWRVSNQMIIDNVRNLHERCSLMMSGVSGRIEFVNNKPDYGM -IDLTTGKFLIKPREGVGRYSRGYNGEILVDIERCYDGDRLVPGVMCGRVSVTKDMRIVNSDLIYNNVMNI -ELSDFTYDGVTFVLIEGVPGCGKSTYILKNHEFSVDDCKHVVLTATKETAEDMRRRAADMYGVSSDLAIM -KKRYRTVDSYLVHSNCRNENGEIDTLWIDEGLMKHFGEILWCVYLSGATKVFICGDRAQIPFINRNGSIR -LRYSLLDSIKDRLQIKFLDKSYRCPVDVVAHLNNLKVYPRKVITENKNFLSMRIMNINGLSDVPFCDWRN -AVILTFTQQEKNDVLIHIVKIGMVAEWDKRVFTVHEFQGKQTAEVLLIRLQVKPISIYDSVSHQLVAFTR -HTSRFIYCTAKNDMLATMIRKTFSQNELKSCVVDGLRGGGNVEDCVNYPLVDTIAVDAYILDYLKQNKML -RELVIDHAGTNVIPFRNCIRKIDVKEIMIPYISPLEVGNPIAALQEFIDVVFPGASSVDKTFDNEIFEMD -LMTVPRDRVIVTMPHVPRLPRYQCLTSRLRTNCPDSVVTTQKQLVKAYYQRNGNVPDLYGLNNDDLLVRQ -MVERFVETYIADLRIFNKYRDEPVNINVACIEEWIATQPVKVFEMLSKDADINIFVKDLRIYNFCLKRLP -KPKLEVGNENKYVSPQTIAHHCKKINAIFCPIVREIKKRLISVLKCDKLIYTDMAVEDLEKLMNFRLPVE -LYDKFKYMLEVDFSKYDKSQGRIALKFELAILRLLGFPEELLATWSVMHVYTRLWSPLVKFKAEICFQRK -SGDAMTFFGNTLFLMAVLAHSFDLNREFCMFSGDDSIIFSRTKLDSGISVANLAFKFNLESKLLHYKVPY -FCSKFLIWTPEGSWKIIPDPVKLVVKLGRNDLVNWDHRDEYRVSLRDTVKAFENAYYYPFLSAAVSDRYQ -LYAADFTYYFSAIVSLVNNDKAFDNLYFLEEGHYLNPYRVVLPSLEF - ->YP_009333202.1 hypothetical protein [Beihai anemone virus 1] -MSDALHFILKKSLPDEKDIDLVKHKIVQNNAADPNGCLNGIFANVLSNHVNQYANRNCPQIYINIKLTTR -QQSLLCDTFSNFKLIFRDESPHSHGFAAALKICMYHHILKIENYTSTKTTPHNYFMKDVGGKFGEHFDHG -NVHSCNPVLDNKDAARIVSNNNRLARKSQNNPNGTFPSVCGNKANFCSVTAYMLLFDHSLYDIKPQEIAD -ALYIANAKIAYATMIFVPNILIYPTGEITPLNCRWRKYKIDNELMISFTFGDGSLDYVHNWETYLLNVAL -IYFTTTDGKARYVRETIGSYHGMVMFKFTRCYSDEIQTSTVTWSLPEPHVVITTLWHFSNVRYGFGDAIK -EKIDSDLSSLKKVQVLCPRKLWNELICEISAFGDNNFTLKKVQDKLRVKVTQIVVNGTVVSNPCIELRED -AEKFKIIAVAAYLHAYKVRYEQTQTVKKHANVIAQGRRKRLFDVRQLLVNICLNPHDPPEIKEEGDINFD -YAPKIEDYELRLTQTVNPITSFPFAIDEFVDKGFVFELGSAKSDNVSIYSSDSTTTRVNVPSDGMCGYNA -LAKLAGISQTSDDMLALCVAHKPDLENATGEARWADTETLSKVAKDLRFNYVIKSVLDNNTPYVADPDSR -EFLVPGYLLHTGCHFDYELRTGKGIYEAKLAEGTCDKIVVIQKPDISKERKKELLYAAIVEIFSAFDLLA -PIYLEPRSIKYFGFKTNLSPCLPVIETNKICDLMGLLMISDAMSGSVNTVKKALAENLSKLSLNGNLVIH -LCCATYAEISFLIELSVNNFDKVSLCFTKPDVFTGEGIYIIARRFRGNFNAYSLQGNSTVDYSRSIYDLN -VKFKAARIQLKEKDYVLKKLIDYSDITNRGYELPEVIEPMIVSDNKFPSLFSKETYVELDVKPPQEIPVD -RYPTTLLEDLLEHFPKDLVRSDRAVISFEDYLKRPFTSKAEVRINVMRNRKIDHAVSAVSIGKGYFDVTI -DPNVKESKWFDILDEFVVNAFVNRYPGRILHDSIVKATTEYIVNAANANLKKGGGVCGAIYEAAGPELER -ETDRIVSERGAVKTCENVTTGAGNLSFKGIVHAVAPINKEIDFKDPELLQTYANLITIPGSYTVPLLGAG -IYRHPLEYSAHCAVSTWKEGNMIATGGFLPNAIAVVNAFYSKLIPIPNSRVMEIQNLRTKYIGKKVEKKP -DHMESKYKKLLSFTSSKKVFNLGCAPGHFMKYPVHHDVEHIHFTIGDLAIEGDKQDRVTKYKDVNAFAAA -RKDEDVDWISDIAVAGTSSAVNQYEHNKKVIDNLHNCWLNSNSKILVCKSFVDATSIDLDCLRHVELVDP -NHPSAEAVFVFEKDVKTSVDIATVQDAAISALRKFTGGGAVDDDNISCVDSQSFHTVSDESSVKSKNSKI -PVGTVLDELPVRPKSRIPVSKRNIRKSLTKLSKKFCAASKRKIEKCVNNITLDCGDPADWLQIHTPCGSS -KTRLPKSDPNFKYNIVQHPDCVVPNVVLPCTIDDFMMFKNDNGSVSHFSIISDNSAVTTYAPVVPISSLD -PELKFRPKRGKNDLENAAYEQVAIWKYNTDVARQDLLEFARQYVASADINTGANHNRYKSYYVIEDGKVI -CGTNANIVDGKPAYMTGMLMSGEFVRLNNKENHKGKIFVNHICEVFPDPFLYERFKDVEFSFDAPDVSLV -QGVPGCGKTTYILEQLKNRKNVLALTVTNSGSKDLIERDSSSGNKIGTVDSYLLNQNSLHDLVYIDEYLM -KHHGALVIVMYVSKCKEMYMLGDQNQIPYIERQMELAPALKYARLNFPVSTTLVNSYRCPQDVARALRKD -YLEIDSDFVSKSDVASSMSVHKIDGLDSYAWDGVSQILTFTQSEKSLISKKFPHSKVKTIHEFQGQQSDS -VHVVRTNPSNGVFVYSSRPHIIVAMTRHKRVLHYYTVSLQDPLADKIRKAVPLTGGGVRVYDLSKNSNGL -FKNGLKFFTTSYFCEDVCSDDKFIDLANRFPYDSGENLRVGFDVVEEFDEIEETYDNENSGHLDTLQDCV -DTMFGPSAHSRPIDGEDIETCDLSIPVLDGRYNFDRNIKEYKFSKLQPMLISPAYYPRERTPLETIMSTV -KRNCNVPELTGGFRAPILPIAMKENFVLKYLNTSASRPFPKIFISPATMKEWATSQDPKVIEQLVKSENI -LQDVKMNQYDFSIKPQPKPKCEIDAAYVVPALQTIAASPKLLNALFCPIAQIVTERLMSHLKKKILINTR -KSIQDFAKEFGEIVSPNDIKHLSSLEIDISKYDKSQGILALDFEIEIMRHFGVPEELLELWRLSHTNTEL -FDKKNQIKMRVSYQRKSGDAFTLLGNTMLTMACIAMVYDIDQCEAAVFIGDDSLLLARHTFVKWDSVFAK -MFNFEVKSFSFKSYFFCSKYLIPTSTGWEFVPDPIKIVIKLGRRDLVNYHHAEEARKSMYDLTSSYDNIE -IYELLTSAVAERLSNTYDMSVLLPCIRWFLQSEANFKTLFALSDDMCVKVGEGVAFYSDVDLNSYFSCRP -GVEIVEITHPKFIDKDRSVIYKCVEKFNHPDVLYISVGKTSHRIGTDVVVSSDDFKFLDDVFGIEIVLPL -CDDPSLPSLDS - ->APG77732.1 hypothetical protein, partial [Hubei virga-like virus 14] -MWLPSLKGKTKVNFFDIDYDEIAIGNFSQAFKPVSFYVDSTIVDKVMAHAYASTEAKFRPVEIFNFLLAY -NGRIFFGSDVCVRHKSMPAEQAMMLANAIYLEVFIKKYDIGKINQMLISAVKHDRELSEGSLFKKFFMSK -FSPPRVFGTGHCSTFKGFRDIMAPFHAFLWWLKKSPFVAHKFLQENIKFVRCDTDLTPLTFGSFNDNVLI -EKHEIPIPDVLHSDSSFNIKATLLEILKEHYTTTSKIVELKDIVPLKFIPVKVKTDVSVPSFWKKKFLSL -YGSNAVFKFMEYLSEFRGKFTDLKPKNTELCGMISGTVLLVTADDIDLVNEFLGKGKEVFVFGLHEKYIH -FVSQEYFDNFKVIQCVGDFLTSIISCILSAKFLNVIVNDDIEQLSSVVNGLLNYCFPKNVKVFLPLSLKL -SAETFLSTYFCNVNTIEGFRKSPFASFVLKNKTLSTSCMFTRFNDLSLELARLSFKVVESNSSSTTAIVP -AVEEISGEGEEVGVLDCHSSPSTAIIPVVKENEVREEIGVSDCQGLKLSSSSFSFFDDSGLLSLDDVTFN -KYRELFDFTAPLRDLYGFPSRSAFKLVEICSAFGISRGANALDLCAAPGGFSLVLKLMGSRVTAVCEPSS -SFSVSVDEIIYSDIFSYNPVVGFDLVVADGAVSFAEGLGEKVEKQIALFKREIEIINFLKPKFAIVKLAL -IDGVEQCLNLLGNFKVFRPEATRGISKEFYAVYSREFPIGNAFVAINEMDDMRCKSVVRFLHGDVPDEKK -SYPASFSSKFLSLLKINQLPAVSVYATFPKLRFCIGEFCNSLDFSCARAVKGKWGERFEWLFAPCDYVYG -NKTLVPFSNKIPDCFSGYSFLKEFNALLVVHYPSSSQGLNFHKDDEEVMDSERVLTLNFGARSLLMLKTV -STGKIQEIPLDDNSFYLLENQNDILHAVKTFGARFALTFRKFKVCDERFFDCVEDNSLVLKNAVKDFRVL -QNPGQGNCLFYSLVGAVNDFHSKLRKLIYSSRPKESFHSEYFPSEVDEECANVGTFAGKSSVLAFCYIFS -SNVSVFSRSGEILYSCKEFQRPFYNINLLFDSYHYERIYDSCCDSSNVSSFYFCLDPLSIDYILDVINRL -FNLENYSVVGKFFSFFFDSSDSSPFLSFSGVAVKRFYVNLFEKCCVSTDCVCCDSLTDCFSCFETVTKDM -LVLFPLSYPKVNLLQTLITQFNLTYKILVIPGLVSKGVLPVLFSKRISSDHPIDISVAMERIELHSDTCM -ACKGSRIFNPPHFNHITNTYFFCSGQEGNKVLFVDELFNVDKVFELFVVNSMTPRVESSTLFVRNDRVMV -PVRVSVTGVLTSNKRDLKVLNQAISKFFLINGNIPCVGILNCGLIEMRSLIDYFSAFTSKILIKKNLPEP -FATGIDFSPSFVPTVGDESYLVNALLESREYYRANVSAIINTLKPLHTQYMKIFSDRNGTEQISSLTNNK -PDYGLINLHKGVFAISPKDGVGPFTHAFNGRDLIDISQAFVKGVRFMDNLKIKNINEWLIPGVLSGYVSV -CKETKLVNSRTIYSKLKEIDVNNLDFNTKLELIEGVPGCGKTHFIIKNHHFSLRDTNHIVLTSSREAAMD -LRRRVSLEFNVDFNHKFLKLRYRTVDSFLMHYSNRDYSIDTIWLDEGLMKHFGDILWCAVLSKCTRVVII -GDRAQIPFLNRLAGVTLNFNLIKLSESMLKVSFLDTTHRCPVDVACVLNAMSVYPSIVKSSSVIRKSLNV -LRISNASEVVNSTTKDFQILTFTQGEKNELGKLSSGRVFTVNEFQGNQAENVLLVRLNPKDLSVYSSINH -ILVAISRHTRKFVYATVVDDDCFKWCSMGFPEGQLDSVSVKRCVGGAVKVFSLERQKCLGGGLILGKTST -KQFPFSVIQPRASTMIDMLKQDATIRNFVQDNLGFGVVPLRVENKPLDFELPILPFSSPYYVSDTVATMQ -FYYNEIFPNHATVISNYDSEIFSQDELYFPTKEMLRFTEYENHKFRTFDSLSPALRTTCPSPVDNNIKQV -LKAFYDRNGNVPVLQGLADDDNLVNCLFENFYETVIFDKELFKNFSYDPVTVNVDSIEDWLFTQSSDVRE -QAFNSEFSNIFSRELNNYSLILKKLPKPKLDFSAIGKYPSPQTIAHLSKDVNAVFCPLFREIKKRLTSVL -YDNVVFYSDMSVEDFEEMLSVRLPPKLLENFKHLLEIDMGKYDKSQGRIALLFEIKFLIALGFPLELVST -WIHLHVYTRVVDKINKVVAKVFFQRKSGDPWTFGGNTVFLMAVLLYVLVQHIPNFCENLHNRKYFLLFSG -DDSLVFSPHSIETSEITHDLANCFNLESKLLKFFTPYFCSKFLIMTPWGRWVVVPDVVKLLTKLGRHDLV -NEAHVEEYRISCYDVGKHLGNSVL ->APG77802.1 hypothetical protein [Hubei virga-like virus 10] -MTFAKGYCYLRLFSVLPDFEREEVKRILGKYPTLRSVCIVLFRVYHNADNVLLPLPGYAATGLMHMYHNA -TLRENCSDDEVSSYVSPQDFVEIYFSTEARLGGVGGRCYITWLRQLRINVVLQARIVESLGSYPLFKDLV -LEILKYVSSIDLPVSCPRRVGPRMLHVDPRYPTVRLCDIMWAKGWHRFRVGAEELPENAMSLYMKMCGMS -HDEVVTNVLTDALRHDPVLKQRVLDAHTHKVNQLLLAGTEKLLIKYALSDEDKNKIIIAYPMFKLEWSRK -PVSHPHAVSAAARVCETQYALTMLGYNSKKRFANYVALIKDVGGKPETHVHRGRLNVHTCAPILDHRDDQ -RHSKSVDAIAQIVSDLPQSQKRRMHKLLRSDESSRALICHRRGQQCPITAKYCMFIHSTYDMTVLDIADT -LASANADGGVIAVLYNAEMLVKESGYLTEQRCYWNIITRRVSPFRTKKFIRFSFDNDSSFTYEHSYEEFV -KLFATCALRSRSGVLYYAEITNLTLDTAFIQLTRSKYSVTCASTLRRCLFFPDSDKRVVIHSYRYHPEGS -RLLAKPHFTPINIIVRERMYNMVMSYAYDQTASRFSVAQIFSYAKSVNVRRVTNGTAITEPSEMNATDLF -DFAVAVFIMTFDLRYAANVVAKSATDEALKARDSAKAGIFKLIFDKLVSLFVENDAPIVVNPEVNTSVSL -YTRLRLLFTYDRVLKVGAESMVRVIDVATWLPPHVMDYIVDREPLLAALYEADVEKIAESSAIARATAQN -VAALVDRESNALDVPVPNGTINLTAGGVVSCAQCTNQCKWRPTCTDLCRSRVDELMRAKRVDVKGDGNCF -YYALINALDMSIGVEDLKKLLLESDYAEEVCGAGSELHKLLTTDFEFADNSVFNLSLFVLRIRIELLIVS -SDDQNNEMVKGLAYSPTGIDNCRSVQLMLKNQHYTVLKHDTVTERMVVERFTFKDFLGALFDTLPLGYAG -VLRVVLHDGVTFADFCHTLKVSVCGDMTYDVDHAVVVLEFVGGVVELIHGSMEKLLWILFENYVYSRIDK -TMDQVWDESRMIKHNPTYKNRSAAKFAEILAKYALPVMGKRVLDLGGAPGGVYIMVRNMNPKTIRSYSSP -TGLKYFAELRNSNNVVLKDIEHVTFEKRWDVVLSDLGAENSYRWDDSDKLFRLQLEKTLEALDDGGAAVI -KHHNYLSLLGNFSQLVEVFSNFDNVIVEKPYLANPNTTEVYVVLVGKRKDKLPGHCYVHGVDLAVRRILG -GATSFVRCLIGEKSLPANASVLRDYTRRIACAIRSLVPMEPLGEAVKYEASEDALSWYADKLPKHPEPVP -EPVVEEQPKEVERHGDNVSELSSINLSLVSDDSGNMSERVGDVNLPIYDDVWSMSSDSGASTEYIPVAEP -LTKRFDAELPAVSDAPVLNVKSWSVSSDSGTSTQYIPVAEPLTRRLDIDDKVVDLSEESPAVLDGNVVTV -CKSDGLLRVPECDAHQWHQHIELDLLRSSVESRQQVHNVLCQFFAINQDYHYFQGLHLFVAILLEVYEDV -DYCDELSRLFSGPLETLLVSEMSGIFLDSLFLQTLRSLFPEAFRDGADLVMCSMWQKLSLSCMYDLVKDW -EPQAKRFLLTAFRDHGVYAVYPIMRYVASTHKGSAVNILLEDYLGGLYRSKKREFWFGLYAAGIKNLSRN -LQFADGKVVSYRKTSTCKSVLNGFKRLGRKLSGEKERLSMPKTIGRPYRTVVNVKKMRSEVTHNGKLLFQ -VKPKDYGHDPVPVMKVDSIDKVLLEEAAQPVKEVLKRYVIPELAPQVKQLDLPSVGTDIANLLATDTLTL -ETPCVMVCSKDVLIRDLLVVLADVQNVSGALSEYRWHSWLRNADLCLLENKVKEQMVRLGELREGAYACV -HMGNDDKDRRFLIVVCCTKSNRRGCLVNFITKFLTDVDKFKTVPILQTKHLTCARMHNLLRETLSQNMKH -RYKRIVEDFCSDDEVFRGATTPRCPLNEATKIMHDNWADKGIVEYKYNFRPETFPITSTDGKVKNAVAEI -MEYWRVAGAMILNNCIEYHSRMRLHDARFRASMAESAEGYAVYDSKDRKWLVKSIRQEPAYEYCCDGSKM -VLFKDATKSRADIASRYLLVGTDTVLMQDSKLFLAAKKLVPDLTALNFENFTVTLVSGVPGCGKTTEAIN -NFVLPKAHVKGDLILFPTKVAALDFRDRLQKKNSDLDDNTLRRHVMTIDSFLINDPGYPAYNKLIIDEAL -MLHCGAIFIAALKAHVQDIYLIGDVKQIPWVNRTPLKIVHHLITDIVTPAKYLSVSYRCTVSTAALLSPL -YKAGMWAYGTQTGDMSYRVDANPLMNHINKDAHYLVYTQGDKKEFMSKNPGLHVNTIHEYQGKEHNEIVL -IRGSAKNLEIYFKQPYNLVALSRHRKKFLYVTPTMIGDELVKQIARVNSMSGPDLMRFSKLSGGYLPADV -VITKLDRVDAETYDDALVSCTTRYGVMDLTPEFPESVTVDEMDYVAPVRKVGVLRPSVQRLQTYYDHIFP -GNSTHDMRYDPYHVLHSPLECSTERTIMDPSYVTNNKQKKYDHMVPALRTSIAVNRPNNQIESLLGMIKR -NQAAPKLLGLQDSNQMADILVQCFCETYIRKDCRQTFAAYPDDPIECDPSAVLDWLNNQPTGTAKMIERF -EAPHETDLTKYNYMIKNKVKPQLDVNAPFVYSAVQTIAYQKKNINVLFCPIFRYMRRRLSPIMKKKFVIY -TDMSPDEFATTVRRNVGPDVVDDTNFLEVDISKYDKSQSRVVLLFECELMRRFGVPDYWVELWWNAHEST -TLIDKDNHIRCDVDYQRKSGDASTFFGNTVFLMALMASLYDLNKVDFGCFAGDDSLLVGGPDLIYDYSSQ -CADLFNLESKFLRCYTYKAFCSKFLLKIDREVMFVPDPVKLLTKLGRHDIVNPQHLEEFRVSMVDITSQY -GDARVCYELAKAVSDRYHITVDTSPMIESLYLLFQDPKLLREFFALPESGLVSTDTILPKFD ->APG77733.1 hypothetical protein [Hubei virga-like virus 13] -MNHAKVCTACNSDSPKIIVPPLYHHIDRVYYFCDHAHPVSLVSSLEDADIVLRLVTNLDPRECFSITDCG -DFLELPIALSAPTRLSEDPSVVTAMRRLVSAYFDMIGSVPSVGIVNCGLDNISVIVQFLLKYTSKIFVLE -EEVSVLASGVKFNPTFRVNVDDESYMMNSMIESREYYKSVISAIVAKLEPIHREYLDLLPVMKGGQTFNK -RPDYGLIDMSTGHYVVKPKTGGGPYSYAFDGKNLVDISSAVVGGHPVTANLGGSTSWLQPGVFSGLMSVC -QDTKLLNSEKIFRQMNKIDLKKLQLGFKLELVEGVPGCGKTRYIIDHHEFSDSNCGHVVLTSSREAAEDL -RRRVAERYRLKPDHPILLKRYRTVDSFLMHYDVRVPVEVLWVDEGLMKHFGDLIWCAVMCGCKILRIIGD -RAQVPFHNRLAGVELRYHRLRVSEKRMKTTFLSTTHRCPVDVAVMLNRFGVYTSKVKSTSDVERSLSVGL -LQNFTQLHSMRDRVFLTFTTLERDSLKKEGFERVFTINQFQGNQASHVVLVRDNVKNLPLFNSKNHMLVA -ISRHTKSFSYLTRVIDDDLYRFLSVPITQGEILECTTVRSLLGGGVIMGKTETKQFPIYKVVPRSATMVD -MLKQDAKIRDFVQGNFGVGVVPYRVQTIPIDYRKVEEVTAAPYYVSDSITTLQYFYDELFPGQSTEYFEY -DDKLFQQDDLYFATKEMMRLPTYEKFASARYDCLSPSLRTSCPSPVSSGLKSVLKAFYDRNGNVPELQGL -VDDQAMADTMFENFVNHYIADRDLFSGFQCEPIHVNVDSIEDWLFTQAPKVKQLAFPEEVIQVFSKELNV -YSMILKKLPKPKLETGAEYKFPSPQTIAHSSKDINAVFCPIVREMKKRLLSVLYPNVVLFTDISVPDFEE -LLTVRLPASRLKLLKFLLEADMSKYDKSQNLVALLYEIKMIRALGFPEFLIPTWIFMHVFSKLINRLAGV -VFKLFYQRKSGDPMTYFGNTLFLMAVIASLLIAFLIDMIRDGRIFMLFSGDDFLALCQFLIDLAFLVEEV -AVRFNLEAKVLNYRTPYFCSKFLVMTPQGRWAVIPDVPKMLTKLGRRDLVNERHVEEYRISCLDVGRNLG -NSLLYPLIDDCVLDRYKNKNLVGLSSLYSALFKCFSDKKEFDRLYYRNSRHNIDDLRVFSKLNDF ->AMO03223.1 polyprotein [Muthill virus] -MTSDASTFKPSFFRPDLNDVLTALESSNSDKLLSSFLQSKGENAIKNLIASHYVAYFDDRKKDKVFNRSV -TIHTHLKPNQQASLTEAYPGLALTFTQRTRHSHSFANASRTCEFYNLLYERIHYDPIVDNKLLTDNHWDV -FVKDVGGDPSNILSHSIASYHSCSPVISTNAYDSSRYTNRLVSTLFRRTKLVEERNEILTNLTTGVTNSK -TCRKLAQDCNVRSPFLMFLHSIYDMTQVDIANAFDSANAIIGFASFIYTDEIMVQPFGELSELGVWWRYT -DYPKNVQWSPTHVMNFIQGDIVFGFSGDDGYNYRHNLARYKSHVTCMRFCSSRGVVINSELLENRCGIQF -IKYSRELIRADKGVSHTLNIKSMENKYIVTFYDTCSLFQSSSVIPTKAYSTDSEPWLQSKRVVPVRFLVD -KSPVDNTRSYLSTVKDNLKPNDVLNYMRNYLSRSVVNGSVVDIRLTIPPHELGILARALYLQTYDSNYCG -GKIVQQALHDIDIARRRYYFPWMPSLVNSDFLNLDGRTINTRSKTLDMLIDSTSLTLAGCVYYAVKTVRH -HYFLPPGFSYREFSTYIPYLKHVLTGSKHSVLHTTVGGCVGLSISRPIILSTAITLAASASCYYAYRSVL -SNYTPVIVGSFSYCVYRGVSYLNSLIFPKFSYGLDFARKIMQWKKTKFHFSPLPNFVNLIYLSVPAAYFC -AKYALRYVCTPPTIRPIDPRNFIVSPVTKFSFVPKPIRVRNINVDYYVPTEMTDDQAEHQANEFIKHAYG -IDITSPDEHIIPLINDVFGKTSKDIPEPHINTPIAASNFDDEKPAVVESANTISELPTQTSNVEVATDEK -PAVVESTNTIPETPNVEVATGPPRIEISDKTTLHRITIPGDGVCCFRSAVVARDVDITTLRSDLLKSAPK -ENHNLIEELTAPNWGGSAALEYMANHFGVQYAVHEGSITHNFGNSSRIVHLEYKQSHYNLLIPDHKFTDV -VTVNIKSFPPFKLDETLKKLSRFINVSPTERHGYHYSHNLDHYCHHHSACLNNSFERVLRDNSTHNNMVF -FLEKTPGMFNLDLLNQSLISHGLHAYVHCVPLTDHYVLSVHTGYRALLTLSDFATVDKHNHDCSCDGPSK -PKTVCCNVVYYCGDTLMEYEHVSEPYAADLIVQLINSNSLARTSIIDVTHRASSECLSLSVNVDEACRLV -DHHEALKRFNEHLTRNSYSTVNTILIETCVVDPQPILSLISKKFPHIGVKHILNKSGGDIRDLYTPVVER -NDDDRVRNCMEERRELWKHDVHFTINSLKAHHDHLVNAHTNNMPLICPDAYYHLYDVYSNRVLCGTGVVT -SDVRWGWDGEKLINFDRLSSSNLVKVTDSHYISFNRHSILVQAFHHYNLVKAIDLSQFKLKCQLSVIQGV -PGAGKTEYILSHVDHTKKSLLLTLTRTAKDDMLRRAEKXTRXSNSPNNMDIMTFDSFMINFNTRDNNYEE -VWFDEARLTHGGDWLWTAYLTSCKKLTIVGDVAQIPYIDRTHFIPKYTVPAIFHQDSIALSKSHRCPADI -VRWMNITRRPCGSPIYPFTVTTTSKIIHSVETKNIAGVEQVIRSDDAQYLVFTQNELQEMINAGFTNTRT -VHQYQGNQNANIILVRLDVKDAKPLFRDVSYMIVAFSRHTHSLTYLSVSSNNDPILAQLTNIKNYSEYDI -KGIGGGELYDTYHKKIVVQQPSTKAVYLYPTLVRFLNDRYGFGSYIPLSIKSFIKAPYRESSPVLEPDDI -VDHASLLQEFADRLYFTEADVSMDHVLYHQSDKSFIGEMSFIPLHQILHTRRTAIPQLRTPLRKKVPATQ -TQLIKAFCERNGAVPLLEGEVDQLQMAQLLFNTVMSVCDPNVVDIATSSNITSNANSLMLWLSKQPEVVR -NQISADPQDLLDKDLSEYSFTLKGNAKPDLDRAPETRYKSAQTIAFQDKLINSFFCPAMADFTDRIIASL -NENIILFNRMSVDEYCSNVDRVCPWERFQFLSKFYEIDFSKFDKSQDLIALHFEMLLMERFGMPRDLLNL -WLIMHKETTLRDIRNRFRSKVLYQRKSGDAGTWVLNTLFQMAVVIHTLGIAPKIINGTSFCTFSGDDSLV -FVDNNHFSDSHISHSCAILFNLEVKLLRHTTPYFCSKFFIPTAKGVLFVPDVVKTIIKLGRKDLVNSDHA -AEYYISFCDNNKPLLDAFNWHLIDHCVNDRYNLTGEHVITYRAICTIMRHKSKFMELWDYSGTSSVSVLP -SLDI ->APG77702.1 hypothetical protein [Hubei virga-like virus 19] -MVVRLKSKHCAEKLCNVTALTFDSYMINYADSKPEFEVVWFDEARLTHGGDWLWVTYLTNCSHIYIVGDE -AQIPYVERTQYVTKYAVPDIFDSKPVALSKSYRCPIDIVHWINSTVNDRGKSMYPFTVSTASEVLHSVST -HSITSLSSVPILPDAQYLVYTQDEVTEMKNAGYDNVRTVHQYQGNQNKSIILVRLDKKDAKPIFYRKAYM -LVAFSRHTVSLAYYTVLTSKDPVLMELNRIMSYSDGVLRKRGGGEILDTACRTISIKKSFTKLVYLYPPL -IRKLNDAYGFGSFIPTRIVTAYKPLNISNHPVLLSDSISNPLNILQEFNDEFLYEPNTVDFDHQLYADSD -KSFVGEFSYVPILEKPVRTVYATPRLNTALRGKIPATQSQVLKAFCERNGSVPLLEGDVDETHMASILFN -TVLNVCNPGVLEALRTEPLTMNANSLMRWIAKQPETIRNQIMNDPEDFWDKDLTMYSFTIKGNAKPDLDS -YPGSRYKSSQTIAYQDKLINSFFCPIMADFTDRVTAALHDNIILFSRMSVDDYCTAVDRVCPYARFQSFE -NFYEIDFSKFDKSQDLIALKFEVMLMRHFGFPDELLNCWIMMHKETTLRDVKHKFRAKVLYQRKSGDAGT -WILNTLFQMAVVIHSLGIDRSILNGSVFCTFSGDDSLVFVESENYSRDHVSDTCANLFNLEVKLLRFNTP -YFCSKFFIPTPEGLLFVPDVLKTVVKLGRRDLVNVDHASEYFVSFCDNNKVLFNAYNWPLIDHSIKDRYG -GFGSYVATYRALCTIMNSKTIFLSLWDYSNTSFFNILPTLEI ->AMO03225.1 putative polyprotein [Marsac virus] -MGTTFDHSDFVSGIEAIFGSAVNTGTRDQILLNFLNSKTDNVLKQVFADHYKQLYDSYKFSKRFTRDVSI -STTLTTDERKQLTDAYPGLRIQFTNEDKNAHAYAKASRVLEFYNILYQRIRISEDANVEKLLQSNCWDAM -VKDCGGDPTSLLKPNTKYLHVCAPNIGDDSYDSVRYTHRITSLINRSTSDRDFHKRSTSLLDDIQSNRTT -QSLCSKKGQDCTIRAPFIMFVHSIYDMTLTDIADAMDNANALVGYATIIYSDEILINTSGDMKPLNVWWK -YSDTLDSYQWNPEMVLNHVTGTITFGFNDDDGFNYTHSLANYKSFFTTSRFKSSIGNYYSLELQENRDGI -QFIRFTRELKASSKGVTHTLNLRSLECKYLVSMYDVAPDYSFQKIKPTAYRSTMTPWLSNNSSRLIPHRF -VAERDPVDKTINYLSSVKANLKPNECLNYLRNYCTRSIHNGSVMDQRLNLNETQIKLLSNALYLNVYDSN -YRSGKIVQQAVNDLDVARNFSYFNYRRLFGVTDYLNITESWFSETLRKYALYINTSDNSNVKLFRTVLRY -SSYIVASCTFIYAAKKIYRLSLTIPVYGTPRVGFFARHFYALSKSITESLNNYLSPLNAHERWRLPLYKC -LLHILPSPSPWWSQPKNFSRLIHDRIIRIDNNLIFLKLSRPFIRFALNLMPKQSSINWTPIPKVFSLGFS -LSLATVISVCAKNATAFITTPILLDSTSHFINTRDMIRAPVTKYTYVEKQMSHLGVDYAIFVPSDFCDDD -RTVFAKAMIKSIYDVDVDSDELTPVIEHKIKSRTTKTGAQLERDRLSALTAIPQFDEIKNSLFPRHTIGA -IDKLLSLIEQHPFLKATKNQSILEIGAAPGSWTKHLISLPFHKYTIINPSGYPHLPMSDDVLTLINSADN -VLLIDEEIQNYQTENKFDIIYSDAAGPASDYEAQSQIHDELYVSIIRFCVSHLNDGGSFVMKIFDLTPVL -SDAIRSIESTFKSTSLIKPDGSKPLNPECYLVAEGYSLSVEKHTVETDYQSILNDQTTSLVAFVTEANKF -KPEESFIDIDVIADGNCCFYSATLNRILDISAFKEEIRPILVARNPDLPDLNHELEKGEWGGSAFLQAFG -EYTGVRYIIHESDGRYTFGADTTRIVHLKRTVRPDHYSILLNKHCGTEDRFELTSFPSFRTHEVIQSLSK -YINVGSPSSKYVYSGNMDHFCNLHQECRNNAFAYTLGSINNKDCAMFFIERRSGHLSINNIIATLRKYDL -HMRVHALHLPDHYVVSTHTGYSDLPTDIFERVDKHFSTCSCGKLHFRSDSIRTENGLVYYCKRAVISPST -TVGTYDLLVELKNMCSVDVTPKLELVNRHLTVPITVSEAQRVTTRPTHDEIVHVLQQNYDNSVNDVVIKT -PIVDPLFVVTAITAVYNRAQIHYENCTGGIIRLKQVPLIETGIPSIIVNTMMERRDLWNHTIEFTRITLK -RYHDDMIRAHSFGRPLDCNDTGFHLFKVDSGIVLAGTGINTDDVRWGWDGKELINFDALTTTCVRQATDS -QFISFNKHSVLLQSYHHYQRTKSVNIDDIVLDCQFNPILGIPGGGKTEYILRNCKSPTHSTLILTVSKAA -KTDIQSRALAVGLDHNVAICTFDSFMINYERCHSKVNYNTIWFDEARLTHAGDWLWAAYLTKCKNMFIVG -DVAQIPYCERTDYIVRYSSPNIFTIPSHNLSVSHRCPQDILRWMRISRNNRNEPFYDFQISSVSKITHSV -SCQSIPNINAVPRVNNAQYLVYTQSELRDMIKAGFSHCRTVHQYQGNQNKHVILVRLEQKDAIPVYKSMS -HMLVAFTRHTNRFDYYTCCPVEKDLVYNNVFTIRNFSDNDIKSRAGGYHHNPEIEIEMPCTQQPHLYPKL -TRDLNDKYGFGAIIPMKMRKVLPPIDIVEHATLSFDPLIGHQHIIRQYIDTLYHVPSGIENISDHNIYTI -SDKQFFGEYSMIPSAKVTKDRTYATPIISSSSRLRNPIDQYQIAKAYCERNGAVPEIRGNIDSCSMADML -INTVKSLLDPALLSLCTANPISANNNSITTWLARQPVAVRNQISSDPDTIDDKDLTRYMFTIKGNAKPDL -DSNPHARYKSAQTIAYQDKSINAIFCPIMADFTERLVALLNSNIVLFNRLSNVDYTSLVDLICPYERFRK -LNRFFEIDFSKFDKSQDQTALEFECKLMSLLGVSTEYVSRWYRMHVKTTLVDINNRFSANVEYQRKSGDA -GTWVLNTVFQMAVVINAMRLETEILAGRCFATFSGDDSLVFIEDLLPIDIDHVSTTCANIFNLEVKLLNF -RTPYFCSKFFLPTPRGVLFIPDVIKTLVKLGRRDLISIEHAKEYYISFKDNNEPLLDAYQWPSISACISD -RYALPGDHTILVHAIATITSDETSFLSLWDYTDTSDSKNRPSLDL ->AMO03220.1 putative polyprotein, partial [Blackford virus] -CPLDVVFALRDRYNGLYTTNETVRSVSVVRNSMPLTSGALYMTFTQAEKQEVGIKVKGLTGARVLTITEA -QGLTYDHVIVVRLQINDLAIYMDDAQAVTAFTRHRKTLTYFTVASTKDALTNFISAAMGASFQDITANNS -HNTVTNANKPTLIKMLAEVGLRVGGDLQLPRVGDRFFRDLAGAGSFPTFKNFLLPASLGIGSLTLGMMYY -YRGPLTQWRLGDIGSTAPYPPAQLLTLMFYRTSTVSKSLISTTCEVISTNWLRASLSIAGCIGLYLISKI -KWYRQPSMNYDIKPAGLSYLSTLPENQFSSPPPVVCCQGSVTLPSIEVYGNLKTNNDSPETSGTAPSCSQ -APPAPFSTSRNNQPKPSESAKAAKEKSSAQVPNSAYAPSPGLRKSSAMPTTIYQQPDKRTRIGGLPQDLE -VQHVPRLSSNVTKIPILPYKIPTNVNSLLAPVTRQRVRFVSSEELLQSVDHVTSALTPALLPALPYPKVT -APRAVHPRSGSIANLQHWYDMAFPDAIGIDYSQDTDIVQNSDIKISISNIVLDCDRANVMAPLFDSLRPT -LRTMMSARRPTTQLETILGFSKRNGNVPKLAVGYPPQYIAELLLENYINSVVSDRATFEDFVNYPIKPSV -ESLNKWIDTQDNPEILRFLSQSIEPVESRVYNVFSYMIKQAVKAPSSNADALKYQSVQTIAFQPKDVNII -FCPIFVELRNRLLAVMNPKFLFYTGMSPDEFETEINSRFDAETLFSYERVENDIGKYDKSMPAYYLIFEV -ALFMKLGLDPYLAEIWYNSHVRSLYTDRSTGFAAVVEFQRRSGDASTFLGNTIINQAACVAIYNPKDIVC -GLYAGDDHLIFGKNISYDTAGIFADLFNFEAKTLATLKYPYFCGKFLLQSGPYIYIVPDPIRTVTKMGRR -DLRNSDHVEEYRSSLKDVTKPLNNVLVEPSLNSAITERYKTEYTEHHMLIDSILHLVDNPKEFHRLYNSE -GHFVLNFDPSRHDIDL ->AMO03254.1 putative polyprotein [Boutonnet virus] -MNSFTTSINTLKGIIEQGKSLETIDIAQKLLNNRDSELTNTIDNLISSEIKSQALTKKENPIHIKIKLSP -IEQTTFVDNYSEFTWRFSDESNVGHAFAAVSRIAETRHIIENLIGISRVNDIMNSCTNYDDYICDVGGNA -YSNIVNHREFIHACDPGLSVHDTARQTNRLHQLLQSRNIDHSKYLDSAYRCDNIVQHCKRKCLYMALIQS -SYDILLQDLVDSMEKKHTIMAFGTIVYDSKIFHFNEGELENVKMRYSIDSSNDSIIFTFTDEMSFGYNHK -LSTYLSYIRNPYIRSYNGTLFTKEILYVRADILYYKITRCSQEPKISSDLVYSIPLTLKEPLTKIKYYDW -IYVPVEGIINQVKFNLKPVILYLPTEMFQQALYYANSLVGEKFQPANVHTYLTSVTSRTIHNAQNIKNFK -MLDQRFLYKVSYAIYNIAYRIKWEEGSILKLTLAEENRIREKKEFSWWDKFLFTLRYRFGCVSPYDYDQR -FSTEYKSIPYYELYQHFSSNAKLAEAVNLPQFQIVYETVKPGCINVTNKLSISFFFSENKDLSLIEKFDR -EFESAKCVTVTDDSILTSESFGHLKNKLIGGGHVHLGETIVCSLRDHIPISNLGNGKCLYYALLGNNDDD -KVDSLCDELVDSKYLINYPAEEQEIIKTALMNRGPGSTSIIELFSKHKNIYVRVHIKLIDNINRNTCNCI -GFGDTECKELINIMFTPPVNSNIGHYERLSNKSILDNIKLPSPSLKNNAPKAIFNIIEHPKDYINTFVKK -NIKYLSKVFDVKFTDNVLNSLSSNCAVNNFMVKYKYSDDTFSDKSTNNILLIVPREDFIKYYIGVSTVLY -GKFKLLVFEDSIVIYREFSLNQLILSINDINTLSPLSKGNVFTKYVISPCMTLYKPILSTYKLKHMIIQM -FDANCDTYEKAKDHDTHFYLDPARSKPPTFHYVFPNKIIPQKVKFLSPKIEVQLIHTFSQIHGENPMVIV -DLRAREKITADLLFNNILSLPIKSVCLVFYSQQFRDSAVRFLVTASVPKIEPSLFHPLISVLDEIDTKIQ -CLDSENFKFYKSKINKPIKESRANLKLREIFQSYSISCNRFLELGGNPGNMTKEIFTNYPYSDGVVHVYH -GDGAPPYITKHKRLSIIQKTSDYEGDLSKLEDYTYLCNTLTGTFDFILGDCCHLDGLASDVNWLILLSEL -NLMIRFNSYKGSSVLKVLIHPRLIELLSIASKYYSQMSLFKPPSSNKLSRECYLILRGRCDLKHHNPFDH -NSLKKIVNIYTETSSTLDTIEREDNLSIQSNDDTISIGTIKSSSSRPQTPDNSINSLKDLNDLKYKLTDN -EIKNMISTLRSLENDNTNEQLLLDIISIIQNHCTPAFMDEHITLDTISKFSDTIRKVFSFNEIKLTQEGD -HLNEIYGLEVLKLYNLRSLPNDALPSWMDHNLRNSWLRSVAKSEYNPYFEIYDEKSDNFDIIVRNSIREI -REIWKSTRVILTSEYAAIYKKFVNKDRICTNQSCYNNNCSHNYFLKRQLYTEKKNIGIYDLVKKAYIICP -QNGKTTHDYAFDGQSFVRISEFKSTSPICIGIVSDDTEIMNEEILLNRTKDLNYFEFVTPNIVNIQGVPG -CGKTTHIIKNSSSGSLLLTATREGCQDIKRRIEGSTKIVHTMHSYLINKDNVSYNEVWVDEALKEHLGVI -MLVILKSKCKNLYLIGDIKQIPYFCRLSSIFLAYSNISKFISCKDKLSISYRIPVDVAVILNSDYGGEIF -SANRIKKSLKGTIINSEIDVPIVDNNMTQYLVFKKIEKQLLLNKGYKDVKTVGEFQGCQTENIILIRLSK -LERDEIYSRREQIIVALTRHTRSFHYYTVRDDELFKIVTQSISDSNLNEKYKDMSCIGGGIVSIPYVHKV -MNPHFIDEIEIKFPKITYTLDGICKDICDLNHGVNYAPMRPILKTSNICINPNPAVKNFFRPGDFHILQE -FYDYLLPGNSTYNYEFDQILAESKPIHYDYDNVEVNMANTSHYTLSQKDCLKPVLRTSIAFNRKPSQKDA -MIGLAKRNLNVPCLDEIVETDYMVKMAFDLFKDTYIDEKKSFLLNEYRRAQNQLYLSESLIVEWFKDQPD -VIISQIKEVLLIEEMKLNSYSLTNKRTVKPSLDTKAPFEYAAVQTIASQKKTNNMIFCPIFRELKRRLIV -LLKPNFLMYCDMSPEDFADELTMRFNGNLLDKYYKKLEVDISKYDKSQFKLLFLIEIEIYRLLGLPEIFI -KYWKKGHEDTQLIDYENGIKAYIKYQRKSGDASTFFGNTVVLMIVLSVLFDLRDAVGFFSGDDSLLWLPE -IIQRNDLCANLFNLESKFFCYNYSYFCSKYLIWDGNRFHFVPDPIKLITKLGRHDLVNWEHCSEYQMSLS -DLTKAYNNMVIYPILSAAISERYNKPTGNISLLMATVMDYVSSKNKFKELYYTNPGDNLSTEKLLPSLE diff --git a/seq/clusters_seq/cluster_173 b/seq/clusters_seq/cluster_173 deleted file mode 100644 index 74eda57..0000000 --- a/seq/clusters_seq/cluster_173 +++ /dev/null @@ -1,609 +0,0 @@ ->YP_010086800.1 RdRp [Pistachio ampelovirus A] -MINCFLEDVVAGSTSLEFFHREDCVEFEDFDANLDNVVIRESENKAPTRNDPTTVPVIRSQIGSKKRNTL -RSNLLTFEARNFNADRGVDISCSDVVSDILVDNFFSRCIDSSKLEQANTESINVNLRVISDWLSSRTGTG -YGALVGELQRPSDVADGLTNFKIMVKSDAKPKLDESIISKVSTGQNIVYHKRKINAIFSNIFLQVVERLK -FALAHNIVLYTGMNTDDFAREVEDRIGCDINSFFCSELDISKYDKSQGALFKQVEEKILARLGVSPGVLD -LWYASEYESCVTSADRTFSGDIGAQRRSGASNTWLGNTLINMCLQSYCTDFSDFPCICFAGDDSLIFSRS -PLANVYGDIQTHFGFDMKFYERAVPYFCSKYLVSDGSKLFFVPDPYKVLVKLGRLTSSKESLCHENFQSL -CDSVKYLNNETIINMLVFYHHCKYGPTNYTYGAFASIHCITANFSQFRRLFIRRNAKSFGFDLNDS - ->YP_010086799.1 methyltransferase/helicase [Pistachio ampelovirus A] -MPSLTAADVDSALSKEALFSQPLSNFLNRATFTGTSELNGSVDSQVREVLEDKIENLNKKPQVNVRPTLS -TEQKQRLEELFPELRLKFTDSSFSEHPFANAMRECLNALMYFSFRGAPVSDIGGSLAYHLANNHSDVHVC -NPFMNGKDVTRRLQEAHSIRNLCEDPARMSDLSFRKSIETCTSCQKEMRSCAFETSNAIAVDVYDMSPEY -IATSMAERGIHRLRIALMLPVELLEDEGEVHLNDTGSYVLWKKSMFGGSQAKYYVNGGGECYTHDLESLR -GFLRLGKIVTQHGACFHILFDGARGDYKLFTFVRVGNELPMFTNFRRYTSTLSGMYKIIIPVDVRNSCAL -KTLYMDIDFVARVKSYLVNTTNQTNERNYEYACSCLRSQKTHLIVGSRVIHSKVEIDPNELPIIVATFLR -DSVRSRYDAMAVANIACNDNWGCFRWLKYFFSRVLEWAGKCVRKFYMGILRLVASEYYRFLIAISTPLER -VLEYQEVELKVNSPLSLFSTDAPDHVGNYVNVVERAKVKGVKNSQEKLKSLFSDALASKISSAELLNSLL -ESGTNVGDIRELWKTVSKLSNQVTGEKLTEADKDILRNDDKYKEKHAEAVETSEGFSLKDLEELKDVLKP -AEEVLGKVSVDDDAKAACKLVSNSHKGGKNLASSSKVSGPAIEDKKGKDAAKTKVSFEVEELCSSDLDDR -AAEVLRGAREATKPLCEYFADSEGSWEEEEFDKLEGKHFVLPEVSISARTSKKNLSDMFTVAGKTDEEIF -FDNLSGDTSKDSQAVKAVGAKVEAVGADSSVGNEEEEIIESFLDSFCEAVPEGDLEECIVLSPEEKVEEV -IMCEGSSEDDRARLKEVEISLKRNVASGKVPYRNQGGKPLKKKLKDERELIKKERAAAVIDSKRVAAGDV -KAKNFEDNLKAIEDLVSSSEGFSDDSEGALPKSQEGMFSGGFEKAEEKRFQDLLIEGDNHSTFEIYQKHL -PSMQDWAVTSNPVFSMRRGCRCPTRALVKEYKDKAAVEYMLHTAVTCFDLFSKYLKLSGQVGRIFKHGQV -SDEVKKSFRGINIFRKSQDYIYIEGGKVSPKHAFCIFDIEEERFISTEDFENSSSFGKFFAVCEDMYKGI -PFKMLAALIKISKVGDIDEHFKGLSVTLENTPPGGGKTTRLVDEYLLRPELSLIVTANTGSAEDINKEIA -RRTKKPKKKVARTADSRVMNWVSVHRMSVLRVDECFLMHYGQLKFVACISKSKNVVLYGDEHQIPFINRL -QGFKCKNEVLKPGNIKTLQIDGSYRCPSDVCCYLSMLKDSDGNKCYPKGVRKLNNSRPARSLSKAAILSV -DDVPTEDVDVIMTYTQMEKKEVSASLARRRKKVTVMTVHEAQGKTFEKVAVVRTKPADDIVFDSLGHHIV -AISRHTVSLRYFTLSKKLNDKLGKAIGAMSTVSDELLRGIEYEQCS - ->YP_010085053.1 ORF1b [Air potato virus 1] -MKSHLEVVNVMFDHYQINGLPFSMKWVEDLFQFSDFSTVVDNVTITECQKTPKAYKIKSLVPLVNSLRPS -PRPQSLISNLYVFETRNYNADRGVQNYYRPPVVKELVDTFFSTYIDAGRMCEMIGDIRVVNSVSLEEWLD -SRPAMGKSGLLNDLTKDPYYQDSLNHFKYMIKSDLKSKLDYTARENLASGQNIVYHERRICALFSSVFQD -LVRVLKFVLADKFLLYHGVNLDEFAQAMTNKMTFPLASCYCGEVDISKYDKSQNQMTKEVELEIYRRLGM -HPDLVDLWACSDFSSRVGSMKEGILLDIGAQRRTGTATTWIGNTLINMTLLAAAGDVKNFDIAAFSGDDS -LLLSRERIELDSFVYEYHFGFDVKFYGQATPYFCSKFLIPVNGRVVFVPDALKLFVNLGAEWDCDKNEMF -EKFKSFVDLTKDLVDNSVVEILAVYIEEKYGSNPWVFPSLCCINSLRSNFAQFMRCWRLCQKLLLKDREL -GDVAEDIDEGG - ->YP_010085052.1 ORF1a polyprotein [Air potato virus 1] -MSKKGHLAPGKLAHLRSNKPKAFLSKPKMPEEGPVAFAKLSAERRKALFFQWISPAEYVPEHLRRSRATE -ARENMMRARKNFSRYHAPNLHLHSANNLCKSAGSYSGLKYYRTSTSFRSNPEYVRRGHYYVNPRCDHPAS -PLVNLAYLRARYVLTSSTVKGTSFKGVKAQEVPTYHSKNPATLHAGLYNTRTSKSGTSGKSSTKSTKSSG -SIPEVVRQAQQVVAEWRLKSAGKSTSSKVVRNGRPLDLSAGEGCGRRPFAPVKKTSVQLETTPAPKKPTK -IVKKWVVKTSETSTSPRSTSRSTSDGESSLVTRLATEKVRAWQAGQPATSSDLKGKAVLTPQTTQKMSLP -TLSSSSGSRGSGDLRYKNASTVSTASTNSLQRKLAPMFTSSTPDNYMAPIHRRKDTLPLTVERQLNHSSL -EFLDSAPQTTQQFVEHLIAEGELLTLGKRCFTTDSGLFVFVVGGDAKYTIPIPTDIWFLGFRAGKVHPEW -LCKHAGQLLTCDKDTGLLSYKQVLINKDLIVNTNWSYMSFFDPTIGAPRHARQGWCWLKALACTSMPFER -LPVAALVSAGYLLNNGVDCPVVKRGKHYHVDRRGVKISLLVENLVGAEIEEMDCDALTSSDARGNSLLAG -ALEAVSRRPVFRETSNVMLNLDATLASILHQTAQSKKRAHPILTQTLTEGERDYFISEVGDLYLEFRNGY -RGGHSLLNAFRQVFNFKLHADLRGFTINSIGGSLAYHMKSDVSFHHVCSPILEGKDGARRWKDLNQMFLA -TNVSGVLTGDKMNVLCNTFCSSFCNRRLQDCRVPCTAMTAVDVYDIPLQDIVNAMSRKGTLIMKYALMFP -PELLNRDGTIVAFKTDVTVTKTGDEVTYYVGSCGEDYTHSWSVIKSLILTDSIISDQGLFYSVEMVEQMG -PYMCFEVALSSMKHHTTQPTRKFKAWYKDMCEIIVILKPPPHLRIERQFVERDFTNRFLLYLTNAAPSYD -DRTFEYALSGLRAHRSTMIVGSKIIHSKIDIPTDLSEPLAATFLREAVNRRHQNRKSLHPFSIWSRFIEF -LKRMYNRVKGFLKLDFFFKDDPDVALYNKLISKDEPLIRDCPDFIVEEIQSEVLRTETQIEIMEDIVETV -RSLVLQQIDPPEEDNEEQVKERGGLAGGAKSSWFDFLLPKGPGLSEQEGDLDNFNLRLWRLLRKMTGWVN -AGISWSSTELENIPVVGPILRMLFTFCMRFKTLLSTAFSYCCGSRFRKEETQKKVTLLMQLVTYSEKFVN -FLKGVSSCVSNKPNAPGKRGFLSELGEFGMGICGAILSKPKEFATDFFKEQSRNIKHELGCFAVRLGVKK -YEKWIKPTPKSILKKHLNKLLGIASSAAGYSPLLVVLLGGCYAVYNYRSEIVEKASHMITALKQYRLNSI -DDAFSVCLGIVGAWQKGLPYTPLLNLIWKNPMLEGIFLQHCCDAVWTGNLSLRLLMEAYVTYPLRTTCSL -ISKREDEPVVPTSPVRIEIGVNKPSINKTEVVKQILAGLGTLGKGKPQPTDSQGEDKSAQQHLSHTPSKG -KGVVVAQPMPRELRAPPVEIAGPSGSKQEIPEVVEAKAHSAAPLLPADIPTFDYVAKILNQEVGTNLHPT -PVVEEIPSVSESPVLTQPSGVDTTVQIEPTTVVAPETVAQPEHVPSAVEQIYNSIMSSEEQSKREWNDDE -RNVAENIMVGASDASSSSSGDEAVYAPIPLSHSLSAEVKNDLKINGLDFMLSANPLQKFVELTQFNRQVD -PRTVNKAIIEWILLECREFFLQCSVKSSLIGAGFVTDSKGKRVHSSVNREIHSQHYLLKYYDAGKDFLAH -HGYLQGTCVCYSLPRKEFLYCLERAAVEDYPLFVLNYKEPPYAYLKVRGITSSLPRIFDKGESLLKITLT -NAVPGGGKTYTLVENFKAIEGKGWVLTANRGSAEAIRGDIGPNNSWSKRVKTADSFIIGLSCTLHQPGQT -VFVDECFLMHPGQLEACLRALQPSRVEIYGDRRQIPYINRVVGFNAQFTNLDVSNMEYKELLRSFRCPAD -VCHLLSTLKFPDGAAYTGPVKSVGRHGILKSVSFARDTTPKVSDLMSCDCILTFCQSEKHEMNELVRKSV -PPNIRERITVRTVHEAQGATFKNILLVRTKWADDTVFSSLPHILVALSRHTHSLKYYCPATHRDKGVGKY -VGLGEKLTEFVASQSLIEHTL - ->YP_009666002.1 ORF1a, partial [Pineapple mealybug wilt-associated virus 3] -MLNRNVRASRGYCWLPLFLNSHIPVSKYPTSPLVRLNYLRHLFGEIPLIKTGTFYHYSQKGLKDKTLPNV -LVGATHQPDEIESLPLSLLVEDPLLNTAIDSVVKKMTMRETSHFQNTVDDLVESAIKCDLRAREKTRFKI -PYHMEPDQVDILKKMLHISSVQVGFEPAGAHPIFNAVRKFFNEMNARAFKGVTVSDIGGSVVNCLSQNLS -NVHVCAPIVDVKGAGRISKLSIDVINRAVDHSSGGGKLATFISNLGSLSVCHKRVPHCTYPSTVITMVDV -YDVRIETLLYAMERKGSVLAKVFLMFPPELLSGEKIATYPGTTLTVTRDGDSLVYFIGSTGDAYVHSYST -VSSYLTKAVVLSNSGCSYYIEVNTQYGPYLEITISLSKPVSYRKLWRRLVPWNANQTKITFPITDRYGSP -TQKSVYVERDFVRRSLAYAANVCNTTDDRTYEYVMSNFRSQTTMMVVGSKIVHSRVDFSNDLLVEVPTAI -LHEAVNRRNSAVKTMKASRQHKLVKFAKAVLTFLSEPIRVLLRSLYRSLPEQVIQWFTSINKSEYSIEDT -ADEIITEADCQIGSLNTECENMEEIFNIAQALAVLSSKSGVTEDEEEIQPDTSESPLEERECAEINDTSS -KGSLNGGATGNWYDFLLPATANAESGDCWRALLWRVMRRLTRVVQSWATPLGAIKSWLLSLFLTILRFLK -FRASEQSTEQKMEKNLCKSLLRFLRSLLNNKVVQLSQLVRSCGDGINHLGKTCTSHSMNVLNKIATSTQS -YINQTAISLGEAAANSTTKIGEKIKSNLAERLTNLKIKTAMVLKAAGLPYPQEWIPDKTFKTWLIQEVGK -HVPHIAIAALLSGAVYASFTDNVVRRNVNVLFGKVLNFLNKTVYTPIRKYISRIRIESKLSLGLSLLGLL -MKDVVLLTSTLSCYNHLTHIAFPVYLGAEISNLTQRCWARKNPITIGPLLRIALSKEGFLAYRSPIKIDE -GEVVSPRDPTDIVPDVSFSNGKQVLARALDVFRLNAAKTGPDSHTTPLRATVDEKTPKKVEEILEGNGSL -DKVQPHTIETEQNLANTPAQNVECQKTKTEVHPTKFSSGDLLGKNKIVGSVGVEGFEVDSTNSDEAMLCL -DELLEQCNSKNGPTGLKDPLSKSNCAITLHEEXECSNTDKINEWMLDAHAALRFTGGFKPPIVVNLPGHS -DKPSIKTSSPSPVVELRECKSTSEIQSVHSTERYVPPYRRANSDGGVETVKAADIRACQVTSTIPSVVTV -PAGKPSKTIRMHTSLIDEASSVLGVAFKSKVDLLNVGFYRNLTRRVSGNSNKAKVFEALAHSLHGLRMEV -DAIDKVLKAPKPLIGNRNDLFTRDIQELNMVQKANSANFKIEDPASNMVGLLGERRLIFNNDKMLKEPHD -IVFVPPFDISFNLVRTLSILLMLKDLTNDDLDNALETCSFVNAVPGAGKTYSIKQQMLNWKSTAKKGELL -LVLTACRNSAQSLKTFVSENALDKVLSVMTIDSYLFQTRRGSPCKYAKILIDECYMVHAGIIIVVLAYAK -PESTTLFGGRRQVPFINRMKLLQDDKGMLVPNGNYSEMLTTHRCPADICWWMSTVQFQKRGGKLYSGDVK -LISKHPILKSVTRKEFSKTDNTLFNDVDRIMTFTQNEKNELISDFLNGRHGDRQRAMDLIGTVAESQGET -YKRVKLVCFKPTDDQVFSSLPHRLVALTRHTVSLQYICVPSKMNKGIGADVEAIMNLERRVAVNFTIQQC -V - ->YP_009666003.1 RNA dependent RNA polymerase, partial [Pineapple mealybug wilt-associated virus 3] -SSSAFNVYLQWKDVCVNQPLGKGRPPKTHLECIQAFLDDMLNGVGSLSFFHVEEDFQMSDTITNITDFSM -SDNDVRFKSLKFHQFNPRIRSQIVGRRQDTFKANLLAYEKRNAGVVKSLWHPDVTDEVMTIVDDFFASYV -DSRKLAEIINDPITPNAFDLSEWFQSRVPLGKSGLKKALELPIMLDNNLNRFKLMVKSEVKFKMDNECLT -EVPPGQNIVFHDRGVCAHFSVCFREIVNRLRCVVHPNVILFNGLSFAEFGEQLYAALDGLPIDDFECDEV -DISKYDKSQSTFTKAVELEIYRRLGLPEEILLRWGASEFYGKAVANKRSFAAEIYAQRRTGAANTWVGNT -LINMVLLSQSTDIRELQAVCFAGDDSLILRKTKPNIDFKIYDMKYEFDVKYFDNAATYFCGKFLVPSEGN -VVVMPDPFKIFVKFGKERPADDKVVKELWRSFFDITESYQHEANIQRLLEQFTKKWGYSRHAYESFCAIN -SLRSNPEQFKRLWATLYETNHSRRQLNQSAHDSSG - ->YP_009664794.1 RNA-dependent RNA polymerase, partial [Pineapple mealybug wilt-associated virus 2] -VIRYLRPLWRRRYFELIERCSWQQDLEREVLARCSNSHFYVVNSFLEEMVPGSVSLDYRFFEDDFEFSDH -EFLINSCILRDNSVNKLTYRENYIYSFIRSNIGMPKRNTLKCNLVTFENRNFNVDRDCYVGCDDFVADAL -VEKLVNRFFLGNRLFELQSDVVCANAVAASNWIDSRTPSGYKALLSALGGYFYTPDGMSRYKLMVKSDAK -PKLDETPLMKYVTGQNIVYHDRAITSIFSQCFVQMVERLKYVTDSKVILYHGMDPSNLAKRIRADIGDIN -KYYCYELDISKYDKSQGALMKDVEQRVLRLLGLHEEIIDMFFCGEYDCLVSMTTREFETSIGAQRRSGGA -NTWLGNTIVVMTLLSILLEESHVDYIVVSGDDSLIFSTEPLDLDTHTLTQNYGFDCKLLNMTAPYFCSKF -LVQCKDLCYFVPDPFKLFVKHGICKSTSVSDLHERFMSFVDVTKDLVSEDVVAAVAECVLWKYHRTNYTY -AAICVIHVLRANFRQFLRMYYLCTPALSIGCNNGMNSFVFSKLIAKHWLNLFLGNYKDVVPIFDKTRAE - ->YP_009506335.1 polyprotein [Mint vein banding-associated virus] -MSSPQIIFPHTMNVPNLFPCVFGSFSMADTRTLLSFPTPYPNLIPPVSIPDDGKKAGSPTVPAKKIWVKR -PRPKLVSEGGTLPNRSFSAASAVDSDGFTLVSPKKRSPRTRAPPSLADPLHIAIRTAQEHGFFTPSRARN -FDARTSVRAFIEPRDKDVAFCLSDSATGGYAEKCFKNCDNWVWAFNLKLKRMCYNTVLNGICFSFRPRYA -HATLLEFVEHDPRLGRTLTKTLSLFSRGYGRTFKHTEGFCWVDFLRPILSTLPNSMLDRPYISVADIKFF -TNESRLPTNLKLTRTGQRLFHVDARGSFMLDLIPDDAYIGASNSKLTDEHNDDSMYGQIVNTVLNKFSIT -KYDQFSGFLDKQLHNLLCSKHENFESKSPVIITVVLTPEEKDTLSKAYPEFRIHFKDSVLSSHGFLNASR -TLENELFYFKFRSVNYIDIGGSVVYHFSRGHFNVHCCNPILDSKDSPRFYNAILRLSSHNFSKDKPMSSV -ELRMHKFLEDYSSRFCTLQAHICEFKANYACAVQVYDLNVRDFGEIMVKRGIQVCFVSFVYAAELLAECD -EILCAKSNMLIRREGVFSVFFPNGSGDGYKHDRSNIESFFRTRSFVTPNGSHYSVEFVEDRADSKIFMIT -KTVMESLVHSKSRLIPRVQTNKVIINIPIVDQNSRRTKKLIVDKDFMARVTLYALNACPVVNDRTFETIV -SNIRSQKTHVVVAGKVIHSQVELTVEECAGLAAAVLANATRQRSVYLQEAKRIALLSSTPGILKSIKIFV -SVIFDSIKYIFKKLWVTVVVNNLPAFIRSKFLNDVDLISEIEEFIEISTSFEDFSLNTCTNFDVLGTYNA -CFQDLKENLSYSSNNSILRSHINGGSGFPGPTESGEKENTLSNEEHHDLQREGLFGGDRDNEDIRAEVEK -MYERLGLEIPKVKKRLLDSNLFGMVSSRLSSFCKDFLPCLPSFSTCLKYGYHIANIFIHKVLSKSLSCGA -LLISGVFAVPTKVISTVTNGAKNALFYRDSGVSTLEFQNFSIDEISKSLSRVEDVRTAQLAEVERSLKCL -TQVFGEERFKSMISSRGNTRDSSVLSLCTTPFTIGLVLGTFLLVRYFKPVGCSDFFRDALSHCLTLKSRM -ANHLDSKFARKRLCDISKILNKVSRNVLTVSRPNGTVETFATLGTLMYPVAPYVCFGSTALGISDLTIGS -AIFTLFKVLLGRRPGFDVHTLNTSIFLLKKFGFGKRILEVISPKRLDDDADDVSGGIFRPGLCLPRLKDV -QDPMLEDSLKLKNDLDTAKEEFNTILHMFNEEYVAPASEAGDDETSGLGAHDYERHSNPEPQVFSEPDEV -HDNNDKTRDELRTDITSPYARKVVQTFEPEEEVQIEAFLDLCFSDTTVVDVPYDDAHTTPSDEHMQRDLI -DFESPLPVTSVCEITEIIEESPQHVNSTLSLNHRADLTAPFTENDDCVSIASCDSSSSSGSYVAPHLRDE -FQRAISSRRHKDELSNFSKSLNASSTPPVILMKGTVFSYNAILEFYYKLKSDVYNMHNSLLLASNTCFFR -KGNLYLTDSVESRNKGFAYADASTKRLLRNGKTAPGTIEDYRVCFSKVTNTFLLTEDALKSEENIGTLLV -NDGCKLFQEDRIHKCLDVLNMDAQFVQNFFKECSVRTVNAPPGGGKTYTLVEHAFKASKMGTSYAVCTAN -RSSAQNIVKKLSTKLKVKEQQIRKKVRTGDSWLINGTYKVDKLFVDEYLMFHLGQLLSIIISFKPKNVIL -YGDINQIRFINRNVGLICRFDDTTRMGFPTEHLSISYRCPADVCYFLSTHLNENKQLSYPEGVKSKAEPT -ILKSVSKRSISAAVDIDYKEYDGILTFTQEEKKEVMAAIKINHKGFDASIVKTVHEAQGETFKSVALVRT -KAIDDNIYDSKSHILVAISRHTHSFRYYVISKKLNDSVGKHVDELSTLKESLLQGYVVNDCS - ->YP_009241367.1 RNA-dependent RNA polymerase, partial [Grapevine leafroll-associated virus 13] -ELDPFVAPPLISREGKVPTVHIEVANLFLEERIPGLTSFDSRFFEDDLEFNDFETIVDECVISENYRPAP -VYKNKYLNSVVRSLPGTKKRNTLKCNLVTFESRNFNADTTCNVGSANHIAERIAKFTAETFFDEGRYLEC -SGDAISQNRVAFGVWAEKREGPKWKSLLRECAKNVFDLTALTRYTLMVKADGKPKLDASVMTNYVAGQNI -VYSDKVSIARFSHIFQQVAERLKYVCRGKVLFFCGSTIENFASEVEERLGDISQYYCYELDISKYDKSQA -GLMKDTERALLSMLGVESDTLDMFFSGEYDSSVSMHNKELSLSIGSQRRSGGANTWLGNTMVLLSLLSIL -LNKRPFDLVLASGDDSLIFSKEKLDLDTTTLSQAYGFDVKLNDLSVPYFCSKYFVKTNDGLRFVPDPFKL -LMKAGYLREDNDALIHEHFKSFVDLTGSYNSEEVIQELVALDARKYGYNSHAYEAFCLIHVLRANFNQYK -RLYEEGNVKSDRANGKPPLRKCGSKNPK - ->YP_008411010.1 RNA-dependent RNA polymerase 1b [Blackberry vein banding-associated virus] -MYERRSFEGSLERTLIMRVPTASFVAINGFLEDQIQGCTSLDYGMFEEDFEFSGQEFLVDTVKISDNFSR -VKVSEERLYESVVRSNVGLPKRNTLKCNLVTFENRNFNADRGCNVGCDDLMAEELVALFMRTVVDSSKLA -QVSQDRLSSNEFLLGDWLDKRTPAAYRGLKGKLYDFVFHPSLLLKYTLMVKADVKPKLDDTPLYKYVTGQ -NIVYHDSCVTALFSCIFTAVVERLKFVVSDNWVFYHGMDIDSLAQRISADLGDIRAYTCYELDISKYDKS -QASLMKQVEELILLTLGVDKEVLDTFFCGEYDSLVSLASKELVLSVGSQRRSGGANTWLGNTVVLCTLLS -VMLRGNEDYYVVVSGDDSLIFSRTELDIDTSSLSDNFGFDVKLFRQAVPYFCSKFLVQTDSGLFFVPDPL -KMFIKLGVSRVADLDLLHEVYMSMVDITKNFGNEMVVNELVSLVARKYKESGWTYAALCAIHVMTANFSQ -FCRLYYDRGCGSALVPRRPRGRLSSRALDLRIALWKARRRMTVSEAYPSRKRSEA - ->YP_004940642.1 POL gene product [Grapevine leafroll-associated virus 1] -MIAFESIECFSAAPQLEKYIMAKVSTSHVQVVNLFLESRVPGITCFNTEFWEDDFETSGLDTLVETATIS -DNFPKYRVTDRPRLQNVVRSVIGRPKRNSLKCNLVTFESRNFNADRGCDVSSDPIAATELASLFFDTWVD -GSKLAECVGDTISQNAVAASSWLDSRSSMAKQSLWARLRSFVYDLSAMTRYKLMVKSDAKPKLDSTPLQQ -YITGQNIVYHDRAITAMFSHIFTQAVERLKYVLHTKVLAYHGMSTEDFSREVGDRLGDVSGYYVYELDIS -KYDKSQGACMKDVERRILIGLGVSESVVDAFFCGEYDSVVTMGKNELVLSVGAQRRSGGANTWLGNTLVL -MTLLAISLKGSEPSLVVVCGDDSLIFSKNELQIDDRILEESFGFDLKLTCQCAPYFCSKYLVRTPDHCYF -LPDPFKLFLKLSLEREPNEDLLFEVFTSFVDLTRGFGDESAVQQLVAMDAQRYGPNPYAYAAFCLVHILA -ANFVQFKRLFYDADGVFGKNSKSKATR - ->YP_004935375.1 unnamed protein product [Grapevine leafroll-associated virus 6] -MSDRASSSGYPPSFNPKKTPLPKQLVKDSSRVLGDNYKNPTSLISGPTANRIIKTEQERAQGPSAKFSNL -TAEGKAALKGKGRVDVNKPRQPSNKELAAIFRSTGHVLNYTSKLPVTKSTSRKGSPTSVSPRSSSASSCS -YHSSLSSGPVFPPPKVYTSFTDLAEAAKHNVVSPPKSPPKQPEDGQKKLFRFGTISLSEAAKVPAPQKSK -ASTSGTKPEVKTAVRPSNTNSRTKPVQANVGNSGVQVAEYSGVTPAVNTNLRHRNWGQLRKGSDIPVPDK -TEINLQAVKSVADVRKQKIFPSKLSLRMQERLCDLLDNLNHNANDVAVSYVKSRIVGDSLKAMHHLFLIG -NKGKIHFFNPVGYYVGQVVVNEPIWFYGRVAGSNKNMYLSMGDSKTSKCFLKSRFPTLAEFASNDWAKGK -SSLKHVTVFDPVKNRNFRGDRGFCWLPLYTSSDIPVSQYPTGGLVRLFALYDKFGPVPIVKSGKYYHYDP -KGRRHTKFPNVWVGAEPQTESSEITTWEDCESDPLLRTAIDSVLGRTVLKETSNFQNSIDSLFDKALTHS -LNSSRMEKLSVSQHLTAEEFELLKGYFGLPYLGNGTAPRSPHSLLNAMRECFNKMYHKAFRGVSVSDIGG -NLSAAVFSDCSNTHVCLPLLDMKDAARQTRSAIALFNSLEHKMEDANMVAKRLQTLHNITFCHNTVPNCS -VRSTAVIMVDVYDLSVFSLVKAMEKEGALIARCCFMFPPELISRDGIVVHPATNVVVTRNGRVLKYSIAN -TSDSYTHDLNNVLSFVTTSSLRSESGLVYSVELLNQNGPYMDFQVALSTNSNTRAGTRCFDAWLKNKSEV -IVQRLLDDNQIVNLKLVMDRDFVRRVLSYSANVCNSLDDRTYEYVLSNIRSQTTMMIVGSKIVHNKVDIS -NDVIVELPGTFLNEAVKRRRRAIEQTKRANAGFFSKLLGSILSIPRKLILGLISFIKKLLPKKLNAKFDE -LLDEPALIVDCADVVTTETSNQAGSRQLKNEVLADVLSAVKELTLLSAPKPEIEEEEEIVEEEDEVPTPT -SRKQVRGEIQEKLKKKGALKGGGGNWYDFILPKKASKKTGSSILAEIWRIIRKLDLACRNSRMMRAIIGV -FKIIFRALKTVATPLLFNPGNFFTSEKKKESPLKQGADVVKNLFGGFLESLVRFIDLSFFGRLFNVFVDT -KTCVVENITDWKNNVSDNIISGLKARIACGLKYMGLKPPKGWLNESSTARLILNKVLSELKGLPLFPLAT -AALITLLASKTVREKLLGFSNRAICFIESIKVKRPVFICSIILGAMMKNLARLSFLMMPVEECKEVCIGM -MFSNILNCAYKTYREPTVLNKVEVLSNFLLLQRNMDIMSSVFALQKRVVDEPTVKDVADVDPKLSSFEIN -SDVEEVINNFRLNIKDLKNSKLGRKPVNFEVGESSGSKRPETVKPKVAKAVKRGKESSKQSAGGCGVVIN -DECISETKADVTPKEKEKKPEHVVKVSEACDTEEPATQTEPTKTPTPSYIKLDEERSAVFSEENLEATVD -EVLSGAEEEKMGDASVKADVSQLSEAEIDLLVENFNSEIFGAEDKGKEKMMAKSESISETDSEGSESDHE -TVFVEEPMCETDTTSDSSEKSIEEVSCEESHLTCSCGIDISVRPFTVPAPLPLIGGDKLNGREAWFCSRN -GDPYSYVGGSHNSRGWPNVLNKYIVNTGLNPATFNHCLIQRYVAGAGIPYHKDNEAVYPKNNPILTIHVS -GEGMFSIRCYDGSGKLPMKEPCWFMMPFGFQVSHQHSVACATVRVSMTFRSTEVIKSLNPLNHELALVVR -DGDGSFGAEHVKKAVKPSSEGKSLSLRPQTSLLMSSTVKSKSCSMLSLCSNSTGVEISGFEDFLKIEAYK -NMKHYVTNSGNVGAVIEAYLYNLHELHKEVSVLHKALNQPEILVGKKREVYCSSIPDLDRVKVCKNPEML -LSDGINSLYGSITGKELVFSNDKMLRTADAVLYLSPANMSFPLKRCIGMFKMLSILSSADIERGIVGCKF -INAVPGAGKTHEIKQLMKSHAENKHSHGLMLVLTSSRNAAETLTEYWDAEICNKRVVVMTVDSFIFSGGK -FSDQDIESVMIDECYMSHAGLCILIAAVTNPSSLYFYGDRRQVPFINRNPIFRDTMGMLKTSSGEYSEKL -LTYRCPADICYWMSTVDYMKPGGRLYSGKVTTVKDKRPLKSVSIKPFSPDQLDFFKSADRVMTFTQMEKN -DLISKFQTAGFGGKAQADALIGTVAESQGETYGRVVLVRTKAADDAVFSSFPHRLVALTTHTVSLQFVCL -PSKMSKGIGADCKMIEKLESSVAKSFVVQSHVSVYVMTGLNEGALGFGGSRPPRSHFEAIQGLLDDVIPG -VGSLSFVHCEEGFQTSDFITNISDFTFSDNDITIKAPVFYKNIPRVRSHVMTKRQNTLKSNILAYEKRNF -CGESKCWHPDVTEEVSLIVDQFFNSYTDAAKAEKLMSSKVTVNSKDLSDWYSSRTPLGKGGLDKELINPD -ILGNNLNRFKLMVKPDVKFKGDSEALEEFAPGQNIVFHDRLTCAHFSSVFCELVNRLRCICLPNIILFNG -LSFEDFAASLDSALGGECLSNFKCDEVDISKYDKSQNTFTKAIELEVYRRLGLDQTILDTWAASEFYGRA -TTGSKSFSAEVFAQRRTGAANTWIGNTIINMCLLAQSTDPEKFSACCFAGDDSLLVYRNKPNIDFSVYET -KFDFDVKFFDSASMYFCGKFLVTDGLKTHVVPDPLKLFVKLGKERPPSDKILKENWRSFFDVTKAFSNNT -VLENLVDQFALKYSRSNNAYAAFCAVNSLRSNPEQFKRLWFSLYNPSSSTGVFERVK - ->YP_004935369.1 unnamed protein product [Grapevine leafroll-associated virus 4] -MPRPGSFSDDPPLAAPSHSSFPNPTAAHQVWIPKTTYKNNTSVISGSTAHRTRKAEQERAQGPQSKFSNL -TVKGKAALKGKGKQATDQPRKPSNKELATVYRSCGRVLSYNSKIPASAPRPNGVSSASSSPKSYISSSCS -YHSSLSSGPKYSRPHEYTSLSDVQALVQHNTVPHVQAVKLSRPNGIYPRTPTQKVFMHKGQEVSSPQGLN -SSPVRAQQKVEAARAKPYATSDGPSSGSNVPKGGVQNPKSGGSSPVRQVPRRNNNRGTFKRADAGPFPEG -DQKSLRSLKTVDDVRALKIFPSELSLRMQEHLCNLLDELNVHASDFARNFVLSRLREDKLKAISYCFLIS -NKGAIHFFNAGGHYVGRVVVNEPIWFYGRVAGSNKGMYLSLGDGKTSKCFLKSRFPTLREFLINDWAKGK -SSSKHVTVFDPIKNRNWRGDRGFRWLPLYLNSELPLTQYPTGGLVRLFTLYDKFGPAPIVKSGKYYHYDV -KGKKHIKFPNVWVGAQNQDVTDDITTWEDCNSDPLLRTAVDSVLKRTVLKETSNFQTNIDNLFDKALTHT -LSSTRNEKLTISQHLTAEEFELLKGYFGLPYLGNGNAPRNPHSLLNAMREVFNKLYAKTFRGVSVSDIGG -NLSTAVFSDCSNTHICMPILDAKDAARQTRSAIALFNSLDRKIEGEFLLAKRLQTLNNISFCHDAVPKCA -VKSTAIVMVDVYDMHLKLLIKAMEKKGALIARCCFMFPPELLNIDGIVVHPETSVVVTRSGQTVSYNIAN -TADSYTHNLENVMSFLKTSSLRSDTGFLYSVELVNQNGPYMDFQIALSRGTSTKPGLRSFQAWLKNKSEV -IVQKLLDDGSVSNLKLIVDRDFVRRVLSYSANVCNTLDDRTYEYVLSDIRSQTTMMIVGSKIVHNKVDIS -NDVIVELPGTFLKEAVKRRRRAVEQAKKSNPGFFRKLLANIFSFPRRLISFIVSAIRRLLPSKIRKTFDQ -LLDDPGLISDCADVIVTETSNDVSDVVLKNEILEDVLNAVKDLTLLSAPEPEPEIVEEPEREENSEEIEV -SNSRKQKRGNSTDAHIRREGLKGGANGNWYDFILPKKASAKTGSSILADLWRLVRKLDLAFRNSRVMGLV -MKLLKLILKVLKVVLGMLVADPQRFYPNKQEKLSPLQSVAQSVKSILGSFLEALVSFIDNSILGKVLGVI -GEAKECLVDNINDFSTNITNRTIDGLKTRIACGFKTIGLKPPKGWLKDSSVATLVLEKVMSELKRAPLFP -LAASVLITLVASKRIREKVLGFTNKVVCFIESIKIKRPIFICSIILGAVMKNLARLSFLMMPVEDCREVC -IGMMFSNILNCAYNTYREPTVLNKVEVLSNFLLLQRNMGIMSSVFALQKRVVDEPTVKDVADVDPKLSHF -EINSDVEEVINNFRSNIKDLKNAKLEKRPVKFEVGESSGSKKAETAKPKVATADKRRKESSKRSTGDCGI -VINDEHISETRAEATLKEKEKKAEPVVKVSKACNTEEPAAQTEPTRIPTPSYIELDEERNAIFSEENLEA -TVDDVLSDAEGKKTGDTRMKADISQLSEAEIDLLVENFNSEIFGAEDKEKENTTAKSESISETDSEDSES -EHETVFVEEPTCETDTTSDSSEQPIEEVSCEESHLTCSCGIDINVKPFTVPAPLPLIGGDKLNGREAWFY -SRKGDGYSYVGGSHVSRGWLNILNRYISNTGLNPNLFDHCLIQKYECGAGIPYHKDNEPVYPKNNPILTI -HVSGEGMFSIRCNNGSGGVLLKPPSWFLMPFGFQITHQHSVTCATVRVSMTFRSTEVITNLDGVNRSLQL -AVRGGGSNSQNHSSTEDKPKGNASTDVSLRPQTTLLKTVKSGNGENSLLDVCAKNTGVKITSVSDLLDKE -SYKGMKHYVSISGNVGAVIEAFLYNLHELHKEISVISKALEQPEILVGKRREVYSSCIPDLDRLKVCKQP -ETLLSSVVNSLYGSIEQKKIIFSTDKSLETEDEVLYLTPANISFALKRCIGMFQMMSKLTLVDIERAIVG -CKFINAVPGAGKTHEIKLLMKSHAQNKFTKGLMIVLTSSRNAAESLNTYWEDELNDKRVLVMTVDSFVFS -GGKFSSREVESVLLDECYMSHAGLCILISAITNPSSLSFYGDRRQVPFVNRNPIFRDTVGMLNIKRGDYS -EKLLTYRCPADICYWMSTIDFLKPGARLYSGKVTTVKDKRPLKSVKVTPFSPNQLDFMKSVDRVMTFTQM -EKTDLISKFQIAGFGNKEEATNLIGTVAESQGETYARVALVRTKAADDPVFGSFPHRLVALTRHTVSLQF -VCLPNKMSKGIGSDCKMIEKLEASVAKTFVVQHHVSMYVMTGLIEGALGSAGSRPPRSHFEAIQGLLDDI -VPGVGSLNFLNCEETFQTSDFITNISDITFSDNDINVKAPVFYKNIPRLRSHVMTKRLNTLKSNILAYEK -RNFCGESKSWHPDVTAEVSMIVDCFFDSYIDKIKAEKLMSDKITVNLKDLSDWYTSRTPLGKGGLDRELK -NPDILGPNLNRFKLMVKADVKFKGDSEALEEFAPGQNIILHDRLTCAHFSSVFCELVNRLRCVCLPNIIL -FNGLSFEELQRLSTQLWVGSHWQTFKCDEVDISKYDKSQNTFTKAVELEVYRRLGLDQNILDTWAASEFY -GRATTSSKSFSAEIYAQRRTGAANTWIGNTVINMCLLAQSTDVEKFSACCFAGDDSLLVYRNKPNIQFEV -YETKFDFDVKFFDSAAMYFCGKFLVTDGSKTHVVPDPLKLFVKLGKERPTSDKVLKENWRSFFDVTKAFN -NNTVLENLVDQFALKYSRSNNAYAAFCAVNSLRSNPEQFKRLWFSLYNPISSTGVFKRGE - ->YP_004901687.1 RdRp gene product [Grapevine leafroll-associated virus 5] -MFSMYVMTGLIDGVLGSGGSRPPRSHLEAIQGLLDDIVPGVGSLSFVNCEDGFQTSDFITNISDFTFSDN -DITIKAPVFYKNIPRVRSHVMTKRQNTLKSNILAYEKRNFCGESKSWHPDVTREVSAIVDRFFECYTDAA -KAEMLMSDKVTVNSKDLSDWYASRTPLGRGGLDRALENPDIPGQDLNRFKLMVKADVKFKGDVEALEEFA -PGQNIVFHDRLVCAHFSSVFCELVNRLRSVCLPNIILFNGLSFEDFATCLDASLGGESLANFKCDEVDIS -KYDKSQNTFTKAIELEVYRRLGLDQHILDTWAASEFYGRATTGSKSFSAEIFSQRRTGAANTWIGNTVIN -MCLLAQSTDPQKFSACCFAGDDSLLVYRNKPNIRFDVYETKFDFDVKFFESTAMYFCGKFLVTDGMKTHV -VPDPLKLFVKLGKERPASDKVLKENWRSFFDVTKAYSNNIVLENLVDQFALKYSRSSNAYAAFCAVNSLR -SNPEQFKRLWFSLYNPSSSTGVFEKGK - ->YP_004901686.1 met/hel gene product [Grapevine leafroll-associated virus 5] -MLLNYTSKLPVAKPTSRKGSPTSVSPRSSSASSCSYHSSLSSGPIFSLPKVYTSFTDLAEAAKHNVVSPP -KSPLKHSEDGQKKLFSFGTASLSEAAKVPAPQKSQASTSGTKPEVKTAVRPSNTNSRTAPVQANVGNSGV -QTAKHSSVTPAVNTNLKHSNWGGIKRRSDIPVPNKNEINLQAVRSVADIRKQKIFPSELSLRMQERLCDL -LDNLNHNANDVAISYVKSRIVGDSLKAMHHLFLIGNKGKIHFFNPQGYYVGQVVVNEPVWFYGRVAGSNK -SMYLSLGDSKTSKCFLKSRFPTLAEFVSNDWAKGKSSLKHVTVFDPVKNRNFRGDRGFCWLPLYTSSDIP -ISQYPTGGLVRLFALYDKFGPVPIVKSGKYYHYDPKGRKHIKFPNVWVGAEPQNASSEITTWEDCEADPL -LRTAVDSVLRRTVLKETSNFQNNIDSLFDKALTHSLNSSRTEKLSVSQHLNAEEFELLKGYFGLSYLGNG -MAPRSPHSLLNAMRECFNKLYHKAFRGVSVSDIGGNLSAAVFSDCSNTHVCLPLIDMKDAARQTRSAIAL -FNGVETKCEDANMLAKRLQTLNNITFCHDVVPNCSVKSTAIIMVDVYDLSVSSLVKAMEKKGSLIARCCF -MFPPELINRDGVVVHPATNVVVTRNGRVLTYSIANTSDSYTHNLDNVLSFITTSSLRSESGLVYSVELLN -QNGPYMDFQVALSTNSNTRAGTRCFEAWLKNKSEVTVQRLLDDDQIVNLKLVMDRDFVRRVLSYSANVCN -SLDDRTYEYVLSNIRSQTTMMIVGSKIVHNKVDISNDVIVELPGTFLKEAVKRRRRTIEQTKRANAGFFS -KLLGSILSIPRKLISSLISFIRKLLPKKLKAKFDELLDEPALIVDCADVIATETSNQAGSRQLKNEVLAD -VLNAVKELTLLSAPQPVIEEEEEITVEEDEDPIPTSRKQVRGEIQEKPKKKGALKGGGGNWYDFILPKKA -SKKTGSSILAEIWRIIRKLDLACRNSRNSKMMCAIIELFKIIFRALKTVATPLLFNPGNFFTSGKKKESP -LKQGASAVKNLFGGFLESLVRFIDLSFFGRLFNVFVETKTCVVENITDWKNNVSDNIINGLKTRIACGLK -YMGLKPPKGWLNESSAARLILNKVLSELKGLPLFPLATATLITLLASKTVREKLLGFSNRAICFIESIKV -KRPIFICSIILGAVMKNLARLSFLMMPVEDCREVCIGMMFSNILNCAYNTYREPTVLNKVEVLSNFLLLQ -RNMDIMSSVFALQKRVVDEPTVKDVADVDPKLSHFEINSDVEEVINNFRSNIKDLKNAKLEKRPVKFEVG -ESSGSKKAETAKPKVATADKRRKESSKQSTGDCGIVINDEHISETRAEATLKEKEKKAEPVVKVSKACNT -EEPAAQTEPTRIPTPSYIELDEERNAIFSEENLEATVDDVLSDAEGKKTGDTRMKADISQLSEAEIDLLV -ENFNSEIFGTEDKEKENTTAKSESISETDSEDSESEHEIAFVEEPTCETDTTSDSSEQPIEEVSCEESHL -TCSCGIDINVKPFTVPAPLPLIGGDKLNGREAWFYSRNGDPYSYVGGSHNSRGWPNVLNKYIVNTGLNPA -TFNHCLVQRYATGAGIPYHKDNEAVYPKNNPILTIHVSGEGMFSIRCYSGSGEVLMREPCWFMMPFGFQV -SHQHSVTCATVRVSMTFRSTEVIKSLNPVNHGLALVVRDGDGSFGAEHVKKAVKPSSEGKPLSLRPQTSL -VMSSTVKSKSCSMLSLCSDSTGVDISDFEDFLKVESYKNMKHYVTNSGNVGAVIEAYLYNLHELHKEVSV -LQKALNQPEILVGKKREVYSSSIPDLDRVKVCKNPEMLLSDGINSMYGSITGKELVFSNDKMLRTADSVL -YLSPANMSFPLRRCIGIFKMLSILSSADIERGIVGCKFINAVPGAGKTHEIKLLMKCHADNKASKGLMLV -LTSSRNAAESLNDYWESDIRDKKVVVMTVDSFIFSGGKFSAKDVESVMIDECYMSHAGLCILIAAITNPS -SLSFYGDRRQVPFINRNPQSFRDTMSMLKTSAGQYTEKLLTFRCPADICYWMSTVDYLKPGGRLYSGKVT -TVKDKRPLKSVSILPFSPNQLDFMKNVDRVMTFTQMEKADLISKFQAAGFGDREKATELIGTVAESQGET -YARVALVRTKAADDAVFSSFPHRLVALTRHTVSLQFVCLPTKMSKGIGADCKMIEKLESSVARSFVVQHH -V - ->YP_002364303.1 RNA dependent RNA polymerase, partial [Grapevine leafroll-associated virus 10] -SVYVMTGLNEGALGSGRCRPPRSHFEAIQGLLDDVVPGVGSLCFNNCEGDFQTSDFVTNISDFTFSDNDV -NVRAPVFYKNIPRVRSHVMTKRQNTLKSNILAYEKRNFCGESKSWHPDVNEEVSFIVDTFFDCYVDKTKA -EALFHDRVTVNAKDLGDWYSSRTPLGKGGLDRELVNPDILGQNSNKFKLMVKSDVKFKGDAEALEEFAPG -QNIVFHDRLTCAHFSSVFCELVLRLRSVSLPNVILFNGLSFEEFAFSLDSALNGEPLCNFKSDEVDISKY -DKSQNTFTKAIELEVYRRLGLDQCILDAWAASEFYGRATTGSRSFSAEVFAQRRTGAANTWIGNTIINMC -LLSQSVNPNEFSACCFAGDDSLLIYKNKPNIAFDVYETKFDFDVKFFDCAAMYFCGKFLISDGLKTHVVP -DPLKLFVKLGKERPPEDKVLVENWRSFYDVTKAFANNTVLEKLVDQFALKYSRSHNAYAAFCAVNSLRSN -PEQFKRLWFSLYDQCSTTGVVKRKR - ->YP_002364302.1 polyprotein [Grapevine leafroll-associated virus 10] -MVSSSLGTSTSGTKPQPAPQFPKLTSIAPSTPKTGKFTNQSSLVSIPNTVRTHKTECERAQGPQSKFSNL -NKSGKKALKSSGKQPIEQPRVPSNKELSYIYRKSCHILDYSSKLPCPKGSVRQSSSGSHSPSSPSSSSCS -YHSSLSSGPKYSRPQEYTSLSDVQALVQHSTVPDAQAVKPSRPNGIYPRTPAQKVLVHKGQKVSAPQGPN -SSPVRAQQKVEAAGTKPHTASGKPSSSSDVSKGGVQDSKSGSSSPVKQVPRRHNNWGTFKRPDTGSFPEG -DQKSLRSLKTVDDVRALQIFPSELSLRMQEHLCNLLDGLNVPASEFARNFVLSRIREDKLKAISYCFLIS -NKGAVHFFNADGHYVGRIVVNEPVWFYGRVAGSNKGMYLSLGDGKTSKCFLKSRFPTLREFLVNDWAKGK -SSLKHVTVFDPVKNRNWRGDRGFCWLPLYLASEIPLAQYPTSGLVRLFSLYDKFGPVPIVKTGKYYHYDV -NGRRHIKFPNVWVGAEDSESTSEITTWEDCNADPLLRTAVDSVLKRTVLKESSNFQTNIDNLFDKALSHA -LHSSRVEKISVSQHLTAEEFELLKGFFGLPFLGNGSQPRNPHSLLNAMRECFNRIYLKAFRGVTVSDIGG -NLASAVFSDSGNLHVCMPLIDMKDAARQTKSAISLLNGLDYKYEDASALAKRLQTLKNLTFCNKPVPCCT -HQSTVIIMVDVYDVSLYALLQAMEKKGSLLARCCFMFPPELLNSDGTVVHPDTNVVVTREGELLRYHVAN -TSDCYTQDVKNVMSYLRNSSVISKSGLVYSVELLNQNGPYLDFQISLSVNSNSKPSTRSFQAWLRNKSEV -VVQNVASDGALISTRLIMDRDFVRRVLSYSANVCNTIDDRTFEYVLSNIRSQTTMMIVGSKIVHNKVELS -NDVVVELPATFLREAVRRRRKAVEAAKRGRGGFLTNLLRSLIGLPGKILRCLINTLRKLLPAKLRKAFDN -LVEEEVLIKDCSDTIITQTHNESGQCELRNAVLKDVLDAVRDLTLLSAPKCEIEEVKPAEPTSEIEEEPI -SRKQPRDSKPSSRPKAAGLLGGGGNWYDFLLPKAANKDTGSSFLANIWRLVRKLERYFSSSTILKPVFWL -LEVLLKVLKAIFLPLLTAVPSALTAKKTDKVGYQENLSLVGKIFMEVLGKLVHLVNNTIFGDIFGVLGET -ATCVGDNFTEFRTKIHDSTLNCLKTKIASGMLHLGMKPPKGWYNETSIATMLLEKATAAFFPLILPTIAV -TSLVTLIASKTIREKVMGLSHKVVCFFDSVRVKRPMILCSVLVGALINTASRLGFLLAPFEDFGEICVGL -MFSDILGSAYSCYKDPSTLQKVDILARVLILQQYFEIWSTCFALEEKEKVEKEVRDVGAVQPVFPSLELN -PDTAKVIEGFKANLSKLSAPAKLKASVEEQIDEPVSTSKPCAIKSQTSFGTSSGTSIAGAAKPISASAVT -EKGKKVLSESPPKETGRLPEKEAIRLEEPRTGLFEENVEVSKSLGLVERVNEQLAVEPDFMDKVVEKFNL -SLQSDSDKSAADSSLSSEVGPSGVITVEKTAAPEADPNAVTMVEHMESDSFSPLKCACGIEIPVDRFVSP -GPLPLLRGDSMNNREAWFYSRGGEGYSYTGYSHKSRGWLSILDRFVSATGLKSSMFDHCLIQKYNRGAGI -PFHKDNEPVYPIGNPILTIHLSGEGMFSIKCGTGCGELLMTKPCWFLMPCGFQKTHLHSVTCSSERVSLT -FRATQQLKLPPILKSPESVEDVDSNSASVMSHIKACAIPQTSAETVILRPQTPSRLSEGSPSKRDSLLEV -CVKLSGMNVVKIEDFLNVDSYKSLKHYVSISGNLGAVVEAFSYNLHELHREVSVLTRALQQPEILVGKRR -EVYSSSIPDLDRVKVCNSPEDLFTDTICSFYGSVTRRTLFFSNDKVLREEDSVLYLAPSNVSFPLKRCLG -MFKMMEVLTLVDVERALVGCKFINAVPGAGKTFEIKGLMKSHAVNKNVNGIMLVLTASRNAADSLNEYWD -SDINSKRVIVMTVDSFIFSGGRFYSEDIYSVLLDECYMSHAGLCILIAALTNPSFLSFYGDRRQVPFINR -NPIFRDSMGMLKVSQGSYTEKLLTYRCPADICYWMSSVDYLKPGGRLYSGPVKTVKDGRPLKSVRITPFS -PSQLDFMKHVDRVMTFTQLEKTDLISKFQTAGFGDRDAAEQLIGTVAESQGETYSRVALVRTKAADDAVF -SSFPHRLVALTGHTQSLEFVCLPSKLSKGIGKDVQMIEKLESSVAKTFVVQQHV - ->YP_001642337.1 RNA-dependent RNA polymerase, partial [Pineapple mealybug wilt-associated virus 1] -SSSAFNIYLPCKDVVVPIPLGLGRPPKAHFEAIQSFLDDALNGVGSLLFLSTEEQFQMTDTITNITDFSM -SDNDIRFKPIKFFSNQPRIRSQMVVRRQNTLKANILAYEKRNAGVVKSLWHPDVTDEVMTVVDDFFGSYV -DSRKLSEVLVDPVEPNIFDLADWLLSRTPMGKKALMMELENPVELGTNLNRFKLMVKADVKFKTDLECLS -EVPPGQNIVFHDRAICAHFSVCFRELVNRLRTVVHKNIVLFNGLSFEEFADQLSAALNDESIDTFNCDEV -DISKYDKSQSTFTKAVELEIYRTLGLPEKILQIWAASEFFGKAVTNKRSFSAEVYAQRRTGAANTWIGNT -VINMMLLSQSVDVTSLNAVCFAGDDSLILKKGVPRVNFDVYDLKYEFDVKYFDCASKYFCGKFSIENSGK -IKVMPDPFKIFVKFGKERPETDKILLEQWQSLFDITEAYTSDANISKLVSSFANKWVSSPHAYESFCTIN -SLRSNPEQFKRLWFDLYAYNHSRFEAHIRSDVKSG - ->YP_001642336.1 methyltransferase/helicase protein [Pineapple mealybug wilt-associated virus 1] -MKREIKKTYSQQNPKPINKKFPNNNPNAKAGQSSSQNANRKEKVPSNKELKLKFFYSPVVKDLSEQFSKT -KSVDSAGPSRKTSTPPSRGSSPQSVKREAVVLVRDRLSHKNFSNISRNSSYSSHDSDVEGFKKAINSYIP -KPEGWKRSFAKRQWGRVGDDSLREQDLLPSPPRSHTSNDRSVSSSKGLELQFGSFPEVAGENGPNSSRRS -LIDAERRNLHDAKQTASPDREKAAGGSLSSSESQSSSSYISEDSVVEDYFYNQRRRRVCLMKDEHIVLNR -EHGELIVELSELSLAREIQLVNHLDSEGKWASKYIAEFVRDRLRKDRLKALRGNVLIGNRALAHFFNPAG -VYLGKVALQEKVWFYFRTAGRSHGEYISCGDGKVSRLFIKTRFPTLQEVVAHDWAKGYSSLEHMTLFDPV -FNRNVHAKKGYCWLPLFLKSKIPLSKYPQCPYVRLNHLRRLFGEVSLVKAGEYYHYSESGIKNSKLPNVL -VGANPEGLNTEIVSMSAVNGNPLLGVALDSVVKKLTMRESSHFQNTVDDILEVALKSDLRERADKRFKVD -CSMTAEQLDLLRKMLKINNIEAGYGSPGAHPIFNAMRKFFNEMCARAYRGVLVSDIGGSTLNCVVNKLTN -THVCAPNLDLKDAGRLSKACISLLNKVGDFKEFDENYLKFMTTISNLSVCNASVPNCKHRSSVITMVDVY -DIHVEQLLQAMEVKGAVLARLFFMFPPEILAGAESVQYPETSLTVSKEGRDLVYFIGETGDSYVHSLDTL -PSYITRSVVVSKTGNSYYIELNEQFGPYLDITVSLTRRREVQTLPRRLVPWTAGMTRITIPRMNEHGVVD -KFSILVNRDFVRRSLSYAANVCNTTDDRTFEYVMSNLRSQTTMMVVGSKIVHTKVDLSNDVIVELPTVIL -NEAVSRRNRAVKMLKQANRGFLYKIFSTLYKGLTASVCLVLKKIFNCLPKCVVDACEDLFKTNYGLKDTD -DEIVVNSSVESGPLDCENEYIEELLTISQALAGLNCKAGPMKLEEEINEIEEELEDDSFENLKNPTNESK -NERSGGLKGGGTTNWYDFLLPNRPEAKPADSARAALWRLCRRLTRMVCNLDIVTPIRRLLTTLLLALSKF -INPDGRYENVKRKDEERLDQTLKTMVGEILKRGLVKVGDLLKGLSHVACKPLVYVGQASTTLFTNACSAL -KEDAADRIRQLKHRIAKALKAADMAYPKDWIIEGKYLRFFNKYIRRFIPHAAFASVVVSAIVISKANKVF -CKALNTMFDKLKSKLENLKLVSTSTLCAIMFGMCFCKFVNLVSVVSAVANPLTLALPVYVGAEFSSVVHD -GLSGRNIFNFNRFMRILINLSALKTLKPPIQYVPTSDSDRSGRDATDVVPDLNRFDRRMVMARALDTFRT -AAVSLQRDETRLENASQTQKSHENPHQANLIDLTKAQDSDSERLRKGKAVVSDVHDAVADESLEVMRESG -EIMPGVGQEIGSSSGASRCRFEGVLKPAIPVVFGEKDKRPFEESSRESDSTLMPERNLVVEHTQTLILGD -DVRSEGESVENNQTVNTEVNVSRCVNKVSSPLKIVNRPEQGVVKQVCKVQPVNRFEVIKTILANTLGFSF -TGMNSFLDIESYRTLVRRVSGSDGYSAVLEALCHSLHGLRAEVDVLDKVVKQEIPLIGHKHELFCKNVQE -LDRVKYKPDGFHYYNVELSNIYGLVNTHKLVYNNDPVVKESEGIVLLEPHEISFNLIRSLALIDLLVKVS -VEEVNKAIDNVKFVNAVPGAGKTYQIKQRMLRWFDSEKDGSALLVLTSSRNSADTLKAFAQEKRLGKMIQ -ILTVDAFLFQARGRNVRLYKTLLIDECYMTHAGILRGIIAAVKPEECVLYGDRRQVPFINRIKLLNDNKS -FLKPSLGNYSEMLITRRCPADICWRMSNVNNGKKGDRLYSGPVKLFTQSKPVLKSVTCKAFSKGDHNLFS -QVDRVMTFTQNEKNELISEYMSRGIGTIQDAKTLIGTVAESQGETYKRVHLVAFKPTDDQVFSSMPHRLV -ALSRHTISLQYFCIPNKMNKGIGEDVQSIIKLEERVAANFVVQQCV - ->YP_001552324.1 RNA-dependent RNA polymerase, partial [Plum bark necrosis stem pitting-associated virus] -EYEIYGEILIPSPNRLLRPCRSHLASIGFLLDDLNLSGMTLNLEFCEDTFQTSDFSTAVDNVVLSEKDIA -FRPYRAMKYQPLNKSIKFSRRLDNTRSNLYVFEQRNLLGNVETNRSLRPSLVHDIVDDFFRVFIDPLKFS -EVVGDTIGQNVVALENWLRTRTPLGKSALARDLEASPDWRSDLNRFKIMVKADMKSKLDGTAETSLPSGQ -NIVYHRRNVCLLFSSVFQQLTERLKFLLKPHVKLFHGCSLDDFAQSLRDSAVGDLTSYYCAEVDLSKYDK -SQNDFTKGIEHEIYRRLGMDTELLEYWCASDFSSQVGSTSRGFSLSIGSQRRTGTATTWLGNTVVNMALM -ARVLGCENFSSMAFSGDDSILFHHSPLDLDISNYELHFAFDVKLFRMTVPYFCSKFFVVGSSGLNFVPDP -VKLFVSLGSEKNDDESVMRERFVSFLDLTKSYEDLTVCDNMTTLVSVKWGYSDFLSCSLFALHSLRANFG -QFMRLWRLCQQNVVFEKFENRNKLVVDESDTFGN - ->YP_001552323.1 polyprotein [Plum bark necrosis stem pitting-associated virus] -MKKSRPALGNKTYVEKLSLGKKEIARAASHPSVSLSFSTLAARYSPIPVPTTSKAVNKNSLKPSGSHPVG -SSSTIKNAVGQARGTTVPKTISKKAPSTSVHSARPFAQGAPAKLLTRSGQRPLLPTPNSGRLPAVSPTAG -VIRSTTVPHPVTGLPLPKTPCVVTNHNKKIFIPRREVLDLNEAVAKLKLAPSSPFSKSIFRKKWSDLGSD -ESLGSVPLFHPTGSPVVSEVESSSSDIVATVTTVNPVDKSSTVSTYAEKVKSQGVVLKEARHTCACGLPI -VVRQLDNMSLPRMAFRDRLKNRKATFFSRDFSNYKYVGGNHRSYGWNSRLDGLLLDMGFQPEDFDHCLCQ -SYDDGGSIPAHADNEACYDKDVEVLTLNAVGSAKFSLVCKEGQVRFGLGPKEYFLMPAGCQCTHKHAVQA -TSSGRISLTFRNSKNLKTTVSPTVPAVTSSSMISSHSSVSPRVARSPSKRTSPSGITYFKDGRDVACTLN -ETASVLLPLSAERKMNMDTDSRPKFSIPLLQGFVDDGVRRNSIGSFGKKFFVLNDSRMICVVDKTTQYMC -SIPQGIWFWGLRAGKNNYCWMKRVDDKLVFLAEKLQYPTLHDILNKEPTGRLVGHGNVSFYDDFYRGTTL -GRRGYCWVNPILDSGLTISELPNLSHVPKSFVLAHIKDAKFVVRGKYLHFDKTGKTSVGTASTPVGAAEV -EPSFDLSKIDSLGSDQVLNRVLDTIASRPVFKEGSSVMVTLDNILSNYINNSLKLKQSTHIVLNQFLTDE -ERDMLANIFGGVHMEFKQQWRGSHSFLNSMRAILNHLIHMEYHCFKISSIGGNFGGHLLYGPSDVHICCP -LLETRDGQRFWKTFHDSFSATHQMKKGDVVDAKKFMRVLTNSMCYKPCGECDVPSTVLTLVDVYDIPLHT -LLRAMEKKGAVIAKVAFMFCPELALSNGTCSYPGAGVTVCREGDLLTYFVGDSGESYVHSFEVLSSYVSS -ERAMSEQKLLYSVELSGCYGPYVLFTVSITSDVMSKPTTSRVFPAWRRNKTLIKYVKYDGVRHHLNSIYV -DRDFATRMLLYMSNVAASFEDKTLEYAISALRSHKTTMVVGSRLIHSKVELPNDAVVEIAASFTKEAVKR -RHLNRKSLTSGSVWALVIDFLTSPIKWLKSKIRALVESTKFLSEWLHIRDLSKGLHSYVEDVPDTISLEL -QSCSDEFYKLNVAAVCEVMNFSRAALIQKLDQEITEVDPDDVQASSGATKLPQRKPGLYGAGDSTWYDFL -LQKGKDEDVDSFYKRLWRLLRKISLLLKNIFSSTPVTSCYSWLKTVVSSVLSLLTSAWTLLSLKKKVTAE -VAKAPSARGFKILGGVFGQLGNNLSAFFSGMKTGFCNNSFSKLLTCMVSHHFSNFIERFSFFSKKMSAMK -NDFACMAKSYGVSDFDNWIVKKPKEDILGDVKKIFTHVLSSKLTYIPPALLLAIPVINFSLKNKDALKKF -GDRVMVAGCKTLDIAFKAKYIISGFLINTCCNIPLAGLVGFLHKNAVLEGMVLSKLARAAVTSKYSLSLL -VQILAVLPLQNIVDVYSSCAENGAPTTKSVDLHVNVASLDGDKAYLAMIKNLRDGFERTGVKKSAENLET -SLLKEELSVEKDIKNPGVPDFVPDLTPRPVDSPPVEKEAPVKSKEGEVKFKHVGFAKEPEKVEAQKEVSA -IPKTSTPPKQSPKPYDQVLPRINRSDALWSDVAAKGILDFVGQGSRDSREFINQGIPVIPVEEIDIPERA -DIGLGLQLQTHNVEVAAPSSSLNTSPKFEEPNLNVVQTDDVAAPVFPVKLDSGPVVENNVLSEEEEDVIE -EFLKRSDERYVGHSDDDEESVISSLVSEASSFSSSDVFYADTQIVPVRKPKDILPEMLRCSGLSCLLSNN -LLEDLRVCVKFTRKVDLPTDKVEILEWLAYEARDLWCVLDTVRNIEVGGFVGKGARSKSFTIKNLSTNIM -VTCYTNLSELDRLLDQDDKLRQKKLVYCFGSSRMKDNLTSVLPGDFPCFDLASDTPCYGYMKLLAVQKYL -HEILVRKHSFPIEYINAVPGAGKTYAILRKIENTTEPLLVLSSTKANKIEIASKVPKHLLKIIRVRTVDS -ALINFDNSPIYTNCEMLIDECYLPHAGQLQAIFSLYTPSKVSMYGDRHQIPFIPRTEGFVCTRAEHNIDE -DKYSEVLKSYRCPADICYWMNCVAKAPEKVYSGLVTTFNKVLRSVVKIPSAVIPSHLIKEANAILTFTQA -DKEMAFKFVAGAKLGMKQKIHVSTIHEAQGKTFENVIIYRGRQAEDDVYRSLPHRLVGLTRHTKSLKYVV -HPARTADTLSKDIDNILKAKDYVLSSFLIEQCS - ->NP_891562.2 polyprotein [Little cherry virus 2] -MAQTFQKNDLVSCLNLETMYGELLKQVTSRLNFKENSDLFLHVDSSLKTLLKEKEDVLSHKFQCSISANI -DQDARSILDSAFPELQIKYTTSSRSEHPMCYCVRTAFNALFHCYGAVGESIDIGGCPQAHRKMGHSNVHT -CNPTLSGKDVTRRVADLADSLKTLHSDNVERTTSVGESVNSVSSCSARFEECSHPAFSAYMVDVYDISLL -SLVDGMDKHKTVFTNVALILPAELIEESGEVDIQSLNTVVRWDHSTVTYFIGNTGDSYMHCRQTLVQYLT -TNRVVSTLGKTYDVCYEGDRLGYKYFRVLRSSSSLATPSTLRRYDSSLNGMYFCNLPVPTAFGYEVKDMY -LDGDFVERVQSYLLNVAAGVNERTFEYTVTNIRSQKTHLIVGSRTVHSKVEIDSTYLPILAAVLLTDSVR -KRNLALGATKDFLSEKGSLAGMLHLVGRRFTNSIGKKIYGAKLNFLKYFDPQVYKVYMAMESPLKKVETK -IDVPLKAISGGDRNDIGLILQRETSEATNLTSSKALKNLSKSMVDYLKVNSPDKLSDLIKLGFADTLSLD -DLAKAFLEGAVKKAENGKSSEKLEDVSIDLVKVNNSGQDIIQKIVEDRKAEFKPTVIDSLTSENQDNVVK -TLSTSFLASLFRIVKGDFGMRSASFPVGGAKARGKAINAMEFILKPSSERRVSNWDNYAPNSGRKRCVPA -QWSGYECTWETTKDSVVKKLVVGKKKQSGSQDVVVEILKGENHVPTVSTNFEKPSEQDVRLPENVSNTEG -VPIFDDQENIINTFLEEFEPPTVSQTPKYDAEAVFKGLQGLELNKKWLRGKKKLDEGQLVGPSGKDKSEN -SYEELSVTTIDDFLKNTLVESDIQSLPKPPAVEQNSSSLKFSKTNEDGMVVVGKLEGEVPNLDLNKFTSL -KLRGRDAWFFSKSGDAYGHDKVSYVVLPWAKELDKLVDCFGDFNTALVQRYTLGGYVSWHADDEPCYSHD -DSIVTINFNGQAVFSIQSGNIYRSFSLLDRSVLIMKAGLQKIAKHMVRSNFDGRVSVTLRKQLRPSILGS -RGFMGLPSRSSSPDFKGNSNNFSGKKVSDGGNFNTANQADKVEGAGGAVSSSNTYVNKCENKCFDDDRVH -TPVCSSESSGKVNGEDDSVVDNLKGYAAGDLPTVEIKSEEVDKTTLVSVMRSFLPPGCEWMLAERPWDAI -NESSVCPPHCLPDRLTDQCSAEYVLLLANVCFKMYERYRKVYNNRNILLKGGAFPAGIKTLFKDLCVFNK -HRVAVHIDGESELITHAFMVFSLSSGKFVRTEDIAESLDQNGLFCVDSELFKGIQFRLLANCMKLGKFYD -FEERFSSSVVVLEDTPPGGGKTTNMLTRFRSNPYRTCILTANLESSLDINKQLNAERRTEGVRYARTIDS -RVMNSLRAGKCDTVCIDECFLVHAGELKICAVLAGADEVYLYGDSQQIPFINRLQSFACKNGVVKTDKFK -VIKRNVSYRCPSDVCVMLSEKRDKRGNLCYPAGVKKGNSSRPDRSVSYKAISSITDVDMENGDVFVTFTQ -DEKHAVSNEARARKLKVSSNTVHEIQGKTVPFVKIIRLKAAEDSVFTMTGHEIVALSRHTVGARYYSISK -RLFDGIGREIRTMMTLGSPCSRVFNMSSVPNIYSSLPKGSVRDATPISRAPRAHLEALNYFLDDCVSGTT -SIEFRHLNECQEFSDFSSIVDNVVIRDCEGTLKVDRGDVVIPVVRSSIGSKKLNRLKQNLVTYEARNFVS -DAGADRLCSDMTARRMVDNFFDNYIDYDKLSLEVPDQITFNKRSVGEWLDKRDGRRFNNVLKDMTTQMIP -ENDLTSFKLMLKTDSKPKLDDSVLSSVPSGQNIVYHRSSVNALFSHIFCQTVERLHRVLKRNFLLATGMS -QDEFETYLNDGLNNKVQNLFCSEVDISKFDKSQGPLIKQIEEMVLRRLGVDEEVLNWWYSSEYESVCSTF -DKSLTVSVDAQRRTGGSNTWLGNTIVNMVLLAYVKNFDPNTFVCFSGDDSLVLSRDPIYFDFFKLSLELG -FDVKFTPVATPYFCSKYIINTEDRIFIVPDIFKLVTKLGRVFARTKAEGLETFESFKDTIKWFGRDDVVC -LLAYYHQEKYGPSKHVYDAACAIHCIGANFDQYSRLAYKKTSSAFGFEFI - ->AGL80631.1 RNA-dependent RNA polymerase, partial [Plum bark necrosis stem pitting-associated virus] -EYEIYGEVAVPSPVKYLRPCRSHLAAIGFLLDDLNLSGMTLNLEFCEDIFQTSDFSTSVDNVVLSEKDVA -FRTYRAMKYQPVNKSVKFSRRLDNTRSNLYVFEQRNLLGDVETNRSLRPSIVNEVVDDFFRVFVDFSRLA -EVQGDIIGQNVLALENWLRTRNPLGKAALARDLEGHPDWQADLNRFKIMVKSDMKVKLDGTAETVLPSGQ -NIVYHKRNICLLFSSVFQQLTERLKFLLKSNVKLFHGCSLEAFAQSLQDCAIGDLSSYYCAEVDLSKYDK -SQNDFTKAIEHEIYRRLNMDPELLDFWAASDFSSQVGSTSRGFSLSIGSQRRTGTATTWLGNTVVNMALM -ARILDCSSFSCMAFSGDDSILFHPSPLDLDVSNYEIHFAFDVKLFRLTVPYFCSKFFVMGSKGLEFVPDP -VKLFVSLGGEKNDDESVMRERFVSFLDLTASYEDLTVCDNIATLVSVKWGDSCFLSCALFALHSLRANFR -QFMRLWRLCQQNAVFEKVGKKRDLLLGDVPDVASN ->AKB90851.1 RNA-dependent RNA polymerase [Grapevine leafroll-associated virus 4] -MFSVYVMTGTLSGNLGAGFARPPRSHFESIQLFLDDVIPGVGSLQFLHCEADFQTSDFVTNISDFSFSEN -DVNIRAPKFFKNVPKIRSHVMTKRQNTLKSNILAYEKRNFCGESKSWHPDVNEEVMSVVDRFFEVYVDAA -KAESLLREKVTVNSKDLSDWYSSRTPLGKGGLDRELEVTDILGSDLNRFKLMVKGDVKFKMDSEALDDFS -PGQNIVFHSRFICAHFSSIFCELVGRLRSVVTKNIILFNGLSFEEFASMLDACLGDRPLYNFKSDEIDIS -KYDKSQNTFTKAVELEVYRRLGLDQEILDTWGASEFYGKATTGSKSFSAEVFAQRRTGAANTWIGNTIIN -MALLAQSTDPRDFSAACFAGDDSLLIYEKKPSINFDCYELKFDFDVKYFDSASMYFCGKFLISDGAKTHV -VPDPLKLFVKLGKERPPSDKVLVENWRSFLDVTKAFTNNTVLENLVDQFENKYCSSNFSYAAFCAINSLR -SNPEQFKRLWFSLFNDSGSSAKSRGKCANVRSVQSV ->CDM63857.1 polyprotein [Plum bark necrosis stem pitting-associated virus] -MKKSRPALGNKTYVEKLSLGKKEIARAASHPSVSLSVSTLAVRYSPIAVRTTSKAVNKISLKPSGSHPVG -SSSTIKNAVGQARGTTGPKTISKKAPSTSGHSARPFAQGAPAKLLTRCGQRPLLPTPNSGRFPAVSATAG -VIRSTTVPHPVTGLPLPKTPCVVTNDNKKIFIPRREVLDLNALLRNCSLPLPPRFPNPSSGRSGLIWALM -SLWALYPFAIQREAPWFLRSSHRLQTLLLLCLLCPVVNSSTVSTYAENVKSQGVVLKEARHTCACGLPIV -LRQLDNMSLPRMAFRDRLKIRTATFLSRDLSNYKYVGGNHGSYRWNSGLDGLWLDMGSQAEDFDDCLCQS -YDDGGSIPAHAHNEACYDKDVELLTLNGVGSANFSLVCKEGQVRFGLGPKEYFLMPAGCQCTHKHAVQAT -SSGRISWTFRNSKNLKTTVSPTVAAVTSSSMISSHSSVSPRVARPPSKRTSPSGITYFKDGRDVACTLNE -TASVLLPLSAERKMNMGTDSRPKLSIRLLQGFVDDGVRRNSIGSFGKKFFVLNDSRMICVVDKTTQYMCS -IPQGIWFWGLRGGKNNYSWMKRVDDKLVFLAEKLQYPTLHDILNKEPTARLVGHGNVSFYDDFYRRTTLG -RRGYCWVNPILESGLTISELPNLSHVPKFFVLAHIKDAKFVVRGKYLHFDKTGKTSVGTASTPVGAAEVE -PSFDLSKIDSLGSDQVLNRVLDTIAARPVFKEGSSVMVTLDNIFSNYINNSLKLKQSTHILLNQFLTDEE -RDMLANIFRGVHMEFKQQWRGSHSFLNSMRAILNHLIHMEYLCFKISSIGGNFGGHLLHAPSDVHICCPL -LETRDGQRFWKTFHDSFSATHQMKKGDVVDAKKFMRVLTISMCYKPCGECDVPSTVWTLVDLYDIPLHTL -LRAMEKKGPVIAKVAFMFCPELALSIGTCSYPGAGVTVCREGHLLTYFVGDSGESYVHSFEVLSSYVSSE -RAMSEQKLLYSVELSGCYGPYVLFTVSITSDVMSKPTTSRVFPASRRNKTLIKYVKYDGVRDHLNSIYVD -RDFATRMLLYMSNVAGSFEDKTLEYAISALRSDKTTMVVGSRLIHSKVELPNDAVVEIAASFTKEGVKRR -HLNRKSLTSGSVSASVIDFLTVLSSGCVKNQGISGEHKISLCMAPHSGFVQGTTLICRRCACHNFFGITI -LFFEFYKLNVAGVCEVMNFPRAALIQKLDQEITEVDPDHVQASSGATKLPQRKPGLYGAGDSTWYDFLLQ -KGKDEDVDSFYKRLWRLLRKISLLLKNIFSSTPVTSCYSWLKTVVSSVLSLLTSAWTLLSLKKKVTAQVA -KAPSARGFKILGGLFGQLGNNLSAFFSGMKTAFCNNSFSKLLTCMVSHDFSNFIERFSFFSKKMSAMKND -FACMAKSYGVSHFDNWIVKKPKEDILGDLKKIFTHVLSSKLTYIPPALLLAIPVINFSLKNKDALKKFGD -RVMVAGCKTLDIAFKAKYIISRFLINTCCNIPLAGLVGFSHKNAVLEGMVLSKLARPAVTSKYSLSLLVQ -ILPVLRLQNIVDVYSSCAENGARTTKSVDLHVNVASLDGDKAYLAMIKNLRDGFERTGVKKSAENLETSL -LKQELSVEKDIKNPGVPDFVPDLTPRPVDSPPVEKEAPVKSKEGEVKFKHVGFAKEPEKVEAQKEVSAIP -KTSTPPKQSPKPYDQVLPRINRSDALWSDVAGKGILDFVGQGSRDSRELINQAIPVIPVEEIDIPERADI -GLGLQLQTHNVEVAAPSSSLNTSPKFEEPNLNVVQTDDVGAPVFPVKLDSGPVVDNNVLSEEEEDVIEEF -LNRSDERYVGHSDDDEESVISSLVSEASSFSSSDVCYADTQIVPVRKPKDILAEMLRCSGLSCLLSNNVL -EDLRVCVKFTRKVDLATDKVEILEWLAYEARDLWCLLDTVRNIEVGGFVSKGARSKSFTIKNLSTNIMVT -CYTNLSELDRLLDQDDKLRQKKLVYCFGSSRMKDNLTSVLPGDFRCFDLASDTPCYGYMNLLAVQKYLHE -ILVRKHSFPIEYINAVPGAGKTYPILRKIENTTEPLLVLSSTKANKIEMASKVPKHLLKIIRVRTVDSAL -INFDNSPIYTNCEMLIDECYLPHAGQLQPIFSLYTPSKVSMYGDRHQIPFIPRTEGFVCTRAEHNIDEDK -YSEVLKSYRCPADICYWMNCVAKAPEKVYSGLVTTFNKVLRSVVKIPSAVIPSHLIKEANAILTFTQADK -EMAFKFVAGAKLGMKQKIHVSTIHEAQGKTFENVIIYRGRQAEDDVYRSLPHTLVGLTRHTKSLKYVVHR -ARTADTLSKDIDNILKGKDYVLSSLLSEQCSYEYEIYGEILIPSPNRLLRPCRSHLASIGFLLDDLNLSG -MTLNLEFCEDTFQTSDFSTAVDNVVLSEKDIAFRPYRAMKYQRLNKSIKFSRRLDNTRSNLYVFEQRNFL -GNVETNRSLRPSLVHDIVDDFFRVFIDPLKFSEVVGDTIGPNVVALENWLRTRTPLGKSALARDLEASPD -WRSDLNRFKIMVKADMKSKLDATAETSLPSGQNIVYHRRNVCLLFSSVFQQLTERLKFLLKPHVKLFHGC -SWDDFAQSLRDSAVGDLTAYYCAEVDLSKYDKSQNDFTKGIEHEIYRRLGMGTELLEYWCASDFSSQVGS -TSRGCSLSIGSQRRTGTATTWLGNTVVNMALMARALGCENFSSMAFSGDDSILFHHSPLDLDISNYEFHF -AFDVKLFRMTVPYFCSKFFVVGSSGLNFVPDAVKLFVSLGSEKNDDESVMRERFVSFLDLTKSYADLTVC -DNMTTLVSVKWRYSDFLSCSLFALHSLSANFGQFMRLWRLCQQNVVFEKFENRNKLVVDESDTFGN ->AFV67453.1 RNA-dependent RNA polymerase [Grapevine leafroll-associated virus 3] -MTRLGHILVMCRLSPSRTLYYILSRHLVAFEVYERVDFGPVFEGELVRKLPTSHFVAVNGFLEDLLDGCP -AFDYDFFEDDFETSDQSFLVEDARISDNFSHFTSRIEDRYYSFIRSSVGVPKRNTLKCNLVTFENRNFNA -DRGCNVGCDDAAAEELKEIFFEEVVNKARLAEVSESRLSSNSMLLSDWLDKRAPNAYKSLKRALGSFVFH -PSMMTSYTLMVKSDVKPKLDNTPLSKYVTGQNIVYHDRCVTALFSCVFTACVERLKYVVSDKWLFYHGMD -TKDLARKLKNDLGDIRQYYTYELDISKYDKSQSALMKQVEELILLTLGVDREILSTFFCGEYDSVVRTMT -KELVLSVGSQRRSGGANTWLGNSIVLCTLLSVVLRGLDYDYVVVSGDDSLIFSKRPLDIDTVVLSDNFGF -DVKVFNQAAPYFCSKFLVQVDDDLYFVPDPLKLFVKFGASKTSDIDLLHEIFQSFVDLSRGFNREDVIQA -LVHLVTRKYKHSGWTYAALCVLHVLSANFSQFCRLYYHNSVSLDVRPVQRSESLSLLALKLRIMRWKAAR -FTFALGKAKASHR ->ACT67476.1 RNA-dependent RNA polymerase [Grapevine leafroll-associated virus Carn] -MSDKEPEGKPFTLQDLGISLSSIQTHNKRTPNLPDSYHNPKGILSRNYAPRTHKTEAERAQGTNAKFSTL -TQEGKAALKGKAKVNPSVDKKPTNRERKLKHFHSPIQVPRPSSVPSQAGAISSGSRGASPGSSRSASSCS -YHSSISSGPSFSRPQHFTSPNDVKELKNVSSFPVPTQSLLKGQRSKNAKTEGPRSNLQCKKPVSPSQKSN -VTAFRPKQAVKAAAEQSNSASSAAGNSANGGSPDNRCPRQSGGLQNRPFNQRNSSWGSKFGNNSPIVPGR -FQLDFSKLKSVVEVQAHAFFPCELTLAAQSRLADLLDSFNLPASAFVRDFVLSRVRTDNIKNIYHCFLIT -SRGRIHFFNATGFYSGYVDVNEPVWFYGRVAGSSKSEYLSLGNGKTSRCFIKTRFPTLHEFISHDWAAGK -SSLKYVTVYDPVKNRNWRGSSGFCWLPLYLNSSIPLRDYPTSGLVRLFTLYDKFGIVPIVKSGKYYHYDP -NGKKHIKFPSVFVGAEPTEVDTTLTTWDDCVNTPFLRTAVDSVPSETLLRETSNCQTNLDILFEKTLNQN -LTDARSNKIVTSHHLNAEEFELLKSYFGLTFIENRRGFRNPHSLLNTMRECFNRMYSEAFRSVTVSNIRG -NLATTMNCDLDNTHICMPLINPKDVVRQIRSAISLFNSAGVKLGKAATIAKRPQTLTKVSYCNRPVPKCT -HKSTAITMVDVYDISLHSLINAMEAKGALISRCCFMFPPELIYDDGTVLHPETSVLVTRSNGFITYHVAD -TSDSITHNVEHILSFLKTSTIVSKSGICYSVELLNQIGPYMDFQVSFSRSDTTQPSKRSFTCWSKNKISV -KVDRLNDSGNLITTRLVLGRDFVRRVLSYSSNVCNIVDDRTYEYVLSNMRSQTTMMVVGSKIVHNKVELS -NDLMVEPPATFPKESVERRTKAVETAKLATKSFKHRVLDFLIGLPGLLLSKLWDSILRLLPASLLRMVKN -AFEDETYTKDCPDVVVTDIVNDISTNHLDASIFEDLLEAVKDLTLLSAPEVEDSDDDEITEAKDTTVTNG -KRPVTVEPDSKPQLRSDVLRGGGNNWYDFLLPRAANKDTGSSLLTNLWRCVRKIDRMFRANLTSPLFSRT -MRFLFNIIKVLLTPLHMLSSLSPWQISFKRKQIEEKKHINVLSLVGSFLSTIADTLFSPMKCYVTELGAV -VANSIKDSVSRTVNDALSASDRFIKYRIASGLKALNLEYPREWLNERTKIEMLYDLALKCCNKTFIVPAV -GTTLLMLLCSKRVRSTLFNLGDRAYCFIERVRIKRPILVLSSLLGLFVGNISKLSACFSVFDSCKEVCIG -LLVPSLISSVYTGITKPSFFNKIEVLLSFGTLVKNYEMLVSTFQLLDTRDERRTSVDVADVRPSLGALEL -NDDTVNVLENFRANIKCRKGVKEKEKSHVVVPRWPESRILEVGESSGCKDVPAAATTVVHTPEPPQTVPS -VVVPETVTPPTTVTATVTSDSETHVPPVASVPEERPQQTTPTVNVETEETRHVLNSPIALDGVRGDVFSE -NVIDEAVRSFNESLVKPEQTQSREIEVSEPKVTTQTTRPSTPPRVCRLSCQCGVIIPVRPFKAPGDLPLV -SGDVMRNRSAWFYSRGGESYSYTGGSHKSRGWLDILDAYISACGLEPELFDHCLIQKYQPDSGLNFHKDD -EPVYPRMNPVLKIHASGTGVFSVCCNEGSGQLDMTDPCYFCMPNGFQISHYHAVRCTTERVSLTFRSTKF -VEISERPVSRPHSPSAVKTDRDSGTVGAPKNGVNVLPPIRLEPRTTSVHTDQKFKQQQSLMALCANATGV -TITDKADLLRLSTYANMKHYVNMDNNVGVIIEAFLYNLHELQKEVSVMSKIISQPDVLIGKRRELYCTGF -PDINRAQVAKNPGYLMTNTENSFYGSVSGRDLIFSNNSRIENEDSLIYLEPALVSFPLRRCLGMLSLMKA -VSVNDVGRALVGSEFINAVPGAGKTFEIKEQMKTYARNEENLGLMLVLTSSKNAADSLNEFWSQNINDKK -ILVMTVDSFIFGAQKFLRKEYYTVLLDECYMSHAGLCILIAAATNPACVRFYGDRRQVPFINRNSQFRDS -KGMLDSSAGLYTERLLTYRCPADICFWMSNVDYLKAGQRPYKGVVKTVADGRPLKSVTSQPFSPNELDFM -RECDRVMTFTQMEKTDLINKYVQNGFGSKAEALLKIGTVAESQGETYARVALVRSKGADDEVFESFPHRL -VALTRHTRSLVFVCLPSKRSKGIGKDVNMIEKLEDSVASSFVVQQHVYIYVMTGTLEGELPGGLCRPPRS -HFEAIQGFLDDLIPGVGSLDFSFCEESFQTTDFSTNISDFTFSDNDINMKSRKYYMNTPRIRSHVMTKRQ -NTLKSNILAYEKRNFCGEAKSWHPDVTEEVMAIVDRFFECYVDNVKAEFLLREPVTVNANDLSMWYSSRT -PLGRSGLDKELEVPDILGSNLNRFKLMVKGDVKFKGDSEALEEFAPGQNIVFHSRLVCAHFASVFCELVN -RLRSICAPNVILFNGLSFEDFAAHVDSCLDGEPLYNFKSDEIDISKYDKSQSTLTKAIELEVYRRLGLDQ -RILDVWGSSEFYGRATTGSRSFSAEIFAQRRTGAANTWIGNTIINMCLLAQSTDPRLFKVACFAGDDSLL -IYRKKPTIHFEYYETKFDFDVKYYDSAAMYFCGKFLISDGEKTRIVPDPMKLFVKLGKERPCHDSVLLEN -WRSFFDVTKAYANNTVLERLVEQFALKYTESPQAYAAFCAVNSIRSNPEQFKRLWFSLYSGFSSDIKQRL -R ->ABR68927.1 RNA dependant RNA polymerase, partial [Pineapple mealybug wilt-associated virus 5] -AGVVKSYWHPDVNDEVMCLVDDFFASYVDSRKLQELLSDPVEPNSKDLCDWFIGRTPMGKAGLVKELQLP -VTLDSNMNRFKLMVKSDVKFKTELECLSELPAGQNIVFHDRAICAHFSVCFKTLVDRLRVCLHPKFILFN -GLSFEEFANSLDAALNDVPINHFKCDEVDISKYDKSQSTFTKAVELEIYRRLGLSQHVLDVWGSSEFYGR -AVTNRRSFSAELYSQRRTGAANTWIGNTLVNMVLLSHACDVNTFDAVAFAGDDSLILKRDVPGVHYDVYD -SKYEFDVKYYSEATPYFCGKFLLWDGTKTVVMPDPFKIFVKFGRERPPEDEVLKELWRSFFDVTRNYDSE -HNVRTLSLLLGVKWANSVHAYNACCTINSLRSNPEQFKRLWFNVFKANSASGRL ->ANP22148.1 RNA-dependent RNA polymerase, partial [Grapevine leafroll-associated virus 1] -VTFCCSNCSGTIAFESIECFSAAPQLEKYIMAKVSTSHVQVVNFFLESRVPGITCFNTGFWEDDFETSGL -DTLVETATISDNFPKYRITDRPRLQNVVRSVIGRPKRNSLKCNLVTFESRNFNADRGCDVSSDPIAATEL -ASLFFDTWVDGSKLAECVGDTISQNAVAASSWLDSRSSMAKQSLWARLRSFVYDLSAMTRYKLMVKSDAK -PKLDSTPLQQYVTGQNIVYHDRAITAMFSHIFTQAVERLKYVLHSKVLAYHGMSTEDFSREVGERLGDVS -GYYVYELDISKYDKSQGACMKDVERRILIGLGVSESVVDAFFCGEYDSVVTMGKNELVLSVGAQRRSGGA -NTWLGNTLVLMTLLAISMKGSEPSLVVVCGDDSLIFSKNELLINDRILEESFGFDLKLTSQCAPYFCSKY -LVRTPDHCYFLPDPFKLFLKLSLEREPNEDLLFEVFTSFVDLTRGFGDENAVQQLVEMDAQRYGPNPYAY -AAFCLVHILAANFVQFKRLFYDADGVFGKNSKSKATR diff --git a/seq/clusters_seq/cluster_174 b/seq/clusters_seq/cluster_174 deleted file mode 100644 index d63047a..0000000 --- a/seq/clusters_seq/cluster_174 +++ /dev/null @@ -1,1018 +0,0 @@ ->YP_010087166.1 polyprotein [Celery latent virus] -MSKRIYTIIVVLCFQVCFQNLRTQPTQSSHTFSTNPAIPGTDALQFEENANLTYSTIKYNILKFNLNYKQ -SIMSVVSFGSITSMDFDAACLAAMIETPGGSIPPTPQSPPTSPVAVKQRKILNFPIAKIRTTPDASFEMC -VKFPESFIDSFCAQAQKELKYWHAAITQLKFFGGETPKTLETLVNQGQAHTHQVILNLVKILDSAPKAIQ -GKKELENFFQLSPHGNNSKEPIDVSRKVYMEPCKISPRKRQVCSCDNDDDAHYHCYYCDIVCSSKNLLQE -HERDFCEDAQSVQAFGYKLESPNVFDPPHVTQQRSVAPSLGKTLESPVKSPEQSKPNLEKSFPLVESSTN -SGMIFFGSIATMVPSHIEKYVRNCETENPIDAAASNLAMQEAKSSGAPCIVVHHKALPGGKKDFLFPHNS -PQVVRTETEEPIAGTIDRPTIKRALFTRIKKKAQEICVNPGGTIQRKTKRWLRPAEVAQIVRATSDPQHP -LFKVDVTQIIEVVHPVYRTERTEVVQQCITRGTYKLMSKAQQRKARAMRSAGMLVITDNPTTHWVSEPQK -MLVVKEKLSTTKHVKLRNVEVNAESEMFWGTKTKEGPSKTALKRCKRNIDRLALLDPQMGESANGECWMN -TFKHHLKVGSIDILPNKVRLPNLRSAFSRWIPLVDYVEILKFHCKSQPKLKRVVIPTPVTYLEGSRYHIH -VGYSKNCSWITVEQLIRQLQTLLTSSKYGEHFKRAIVGGEPEDKFTPVVPIVDVETERAKSSLAKWTTAQ -QRYMGSIRTRINSQAEKHKIDSEKKIWIPMRHDTMDMLTPPYLFENGLRNNEVQQVGQVLGDSVDRAGNS -SGQSSQCQQQNVALASEGNNDNVINESVRSIQNLVTANMQLQEQCKLLLASHEQAIMDSVEHGNLEIQKH -DVEVSQKPSTRKSDSQRNLQKFSLKKIVASEEMSRTLGRGWRKSVTSIGVPIVSASIAEALNQVVQRGNT -SWLDVGISGTLLKQCTLFATRTFQAYCSYKLARNVLTLTVGELYDNVKSYINSIMGSTDPEVTGFLSQVR -SAPSMVKMAILLTMFAISVKLMRKFFKVKNNGTSFTVSGENVSASQLRISAQAQARSTDNMTPTVKKLCS -ILGMIGLVCCCYDRTTWAYQKDWMDAWITSITYAGASDSIWESISHPFETLHNIAVSGGDRSPETTARLE -RILAGAGLNAQAQALDEFGASSSVMGQTLQNILDEVESTNVSIVSEMKALPHYHRNATTFNQAARDLVTE -PDATYQMLLTAATGTGKSTLFPVHLRSQSQKRVLLLIPLVAATHGVYQRLKSQRVNDIGYHADSISENPN -AKLIISTYGHMCVKLLGGQNPFDQFDYILADECHVVTLETVTVISHLLQQREMATWKTVFMTATPFNNIT -LNSVETEQPVIMQQTEHFHNPKSFVDFLKAGGTERVQTYAKGGCIVFCSTQKETEESAKALKASGVIALF -YHASNRLEWDSFAKKNERSMGQLNFYLFATNALESGVTIPMKSCVDFVTKMHPVVGGDRKTITMAPTSIS -IQEQLQRRGRVGRTCPGGYLYTSVGTPLMYKLDPDSSIKYLLYCWKSGIKCYKEALNIPDECTITFTKEK -IGAIWTHDTPPVVTAALVDNFGAVYRNANFFLASHRRNYVEIPHTTDMILPSTLSTWENFELDGNEVSDG -TRMRVPQNHFFFRNERVQESWLKLQAAILTELTEAEATKKRDIVIENLMARRVSGHPASKLEIARMIMAK -QQVVQQARDTKRVRETLSESISDYSGIFSNFSGIFSVQERLKKASIKANEELDMVIQENESHILFLQDMI -KETPEIIPDMALEEQIQHMEYAKAILENGWISGNPQAKLLIMRTTHKNFHDLVTKTKDGTYRMKSYVQRM -FDFVKKHPLLIFMSIMMGTSLAAGYFMMSKDSEDDDAIVQDKVFKRNDKAMLEWCLRGKACKCDATHFEA -QYVLDSRHYRTILEDWVKFPLRGFHNIQIVNYGTVSKYARALAFLSVCALLANPTMVYNSAAHSMAGNSE -YNESVAKSLMHNILRDWNRVPLIDLLVKGIDGSDDRYDQYIHELYTSGGLIAFESDTGKFEGKMSISQYR -KDAAKGQTKRSAASRSREEDHYDPRSSGRLKSGIRRAYRQFYNIEDSDVQEIILTNKKGAPILKIGSLDE -LNQHVDLLKFSDPELYELYLHARDKLDLDEPESSSGFTGKWGDYAEDDEFMKMISALDSLTKQASEEAFI -PVQVKLRDGRTVQANVARYWQKDYPTGKSVKQWAQTTWAPPQFTALRERVGKALELIPESRPIHLHGKVP -NGTLIEFQDAMKDLHEKTAVKAVAEAKIGDTQFEADPSDVTSRVGVVMVKTSANAEWESWNQCVALNNIV -IFPHHYTLKDGNNLRFKFSSSDFESTCHIVDGYNGLDMAWAPINVKIRGLKKKVCLGTPRNGSYLWHFSM -INQGKDLPEARLMGYSSVTKVQEESHPSFDWTHTVSTQVGNCGGPFVDKHGVIVGYHTGAFQLGQFNTFL -GLSAPLIAHLTKLDVKPSAQPQSKMIWREVDFYRSPNYLPPTINNQEPIPEEWRYPEGKSVYPCVDPGWM -GSRLVKGSGFRLIGKMQRHVEYSTKISLNEHFDMWMSQEKTQNELTRLNKNRVEKGLEKAFISHTIAAQN -KEAAIKDTSKYARTTLGTYSEPLLKRATALTIQMLEEHIKLCKRQPLDLVYGDMEWNTACGAQYNGLKSA -FRDQVVGIENMDAYGQYCWERFNVPTDQYKPCIWTNSLKDELRPIEKVKENKTRTFTAAPIEFVIGAKQA -VDAFNHQFMDAHLKLPHTVGINQWSGGWHKLYKKLYGKNFVYSSGDGSRFDSSPCFYMFDALYTIRTYFA -DPEIHQVLENIYLEVCHTPIVLHDGNVVMKNHGNNSGQCSTVVDNSLIMMILCCASYLEHTPATMHSLDA -IKSHWHFCVNGDDYIGGMSPLLASHLTKEKMAKTFSDFQFIYEWSDYSPSLFEQDYMSLKFINIVERKGQ -TTGQQLIAPWREASRQLSTLAHTSSGAPEIKLQVALTERVKAWVDKDLFDFITEYCRWLVEYFDRFTNTQ -AWNEAKKLWWDEQQIFNHYFSFESICNAPYSQIVNETPDEFFANGVRESRPLRILRDGIKFGVAVTAANY -LGPSDHDVPMIANTMLNVAKIGLANSTAERIDRMLFGKENPEHSRADSLVVVKTEQTDATICLRTHCKCQ -AQHFDGKYECWVSSPSVAIKMFATLKPRILSTTKFVGVKFLPKILRAHVWALYLSGFSWEETMQIVQSHY -PGEKQQRKADWFLQQLLKELVLIFKVKGSKFIELGEHAQVQGKTQLDSTQVDMDPNFNAAAYAATLRGGP -HWGTHNSQGLFDAIKVLNPNAANVDIGNARTAVQREMQRLIAADAPRQQPPPQVGGGHQQPPPAGGQTQG -QPGGYTPSQAFTNKFGNIMAEPDAPLVLDSQATMREVAQIEAAWARLLEVQSLEGLGSFWNYMFSYVGNN -GTSSGGDPRDYIPAGNGRVTLAQLKSKAQGEQWRRYWRSHASDMRDFLRLLQASDRPLPIRWARNNGIKD -ANYEIAFDFADALPDLSAAQMSIILAAKNLAVRRGQGQMLNAGTKVGGRSRTETYDQAVRDAADVQFPGA - ->YP_010087001.1 polyprotein [Areca palm necrotic ringspot virus] -MATPSKAAWKWNADDNTEIYYKDLGTTSLSNQPQLASSFDVEKLRQMSNQGSHIRSELWDAMSNYYKAFS -RTTILEHPTNKKWSAGDESAFECIVERAKEPTFHKYTHSGSRCQRSMKCVSPLIQRYGHLYTCTESFIFT -GIQGANVIPAWSKFVPHDGYCWLTIIYSLSFYVLPVQEEIYIERMKDLINKCGRQPSLYHFIKLMVSATF -DMPYLWLAPLPPIWINHRKNILHVSDQRGAPEDCHQLEIDCLKDFFYGVSIAKNTQIATWLLGSHQDEEM -SEQMAEGRPTSHDVIDKITENILAKISYLLEHKLSSAFEVQMMMHKRISLMDMYELKGEQVRICDELKKS -VSLWIKDTYIRQDELEKEGFGSLRNVPTRGKQITWKASPHYLQTPEEIMNMGNEQFRSPFESFANQPAGK -LATGATTAIQYLKRVNNAYSPGGSSGQTSIPMVKDFVPKEGFCYMSVFLALGQQVLLNDCSLYTSLVDVL -RATLGAWPAFERVVKAFYAILVKFPYLDTATIPTMVINKDHQVIHFCDQRGQPTNTTVVLVDSLGDIIDL -LQYSDQSWFQFKVGASLNSEISYVGTEESIKDFLKTEVNKRDSDKSWAQIFKEEVNREESERKAKMWMLL -KSHYEQDLLESFPIRRSMSVQQIDIGPFDMMKFYQDNIKKIRESWKRWFRDGTLIHELARDPELATFLLF -SPAVMSKLVRAIQSSQAKFDSINAILENNANAIHLSHLLKCMIKGFGNTLSERIVSLLHLKARELIENST -LDTNEMDIIKKISKEHASFCSSNFFIVSSLERSLHEKNIKQFLDIGSTSEWCSFVYHLAWPDITGGYGRG -KWDGSQGTVGNSLKKLADKVLVPQRFIRFPIKFINSFITMFTIVFNLAAYAWGFCSVLQLSLFFGKIAIQ -RFVKVPNQATMLLFVMTVLGAILYMNRSLFSTINKRKEFQAKKEEPPKVLMSFMAFAILITYIFSVDTAN -MLSAAFNHFSRLAAALIDTNIIGGGKEFQADFLDVELEITEQEEGEGLIKRVKDTFGTWRLSKHMEGIDN -TRPLEYGAEYGNQYVIQADAASDVAAQALNTTKDWNFVLGATGSGKSTIFPVAYYNLLKQQTKRKHRILI -LQPTRAATRNVAGGIESHFGQAIYVKHQDYLQHGHMGIQVMTYGSALYTHMANANFLDQFDTVFLDESHL -ISEHSLVFESVLNLRTNIVKFYVTATPRVAKVKIDPARRFPINKLTFDGKDPQAWLRNVATGCMEDPFNY -GKTVLVFVTGRRETETLAAALVNKQPQVKTHAVHSDNFKEVINKVEADLSAREKKVVVFCTNIFETGVTM -DVDVVVDFGFTMKPVLDLTEKTLILEKKRITANQREQRIGRCGRIKNGTALCFGKVVPNDQAVDANTVYS -AAILSYVLDVDIYVNSHLSAYWLENITREQATLMAALQLPLFLTRDLIDKEGRVHRSVAKFLSKFANESM -SINVHSNCVTSESYNSWPRLEEIAIRTYRGEIPEEIQKISKERVPFACQSVEMEVYMKMVEVLKEVKPTA -QRLLKNRGEITRKITLDLREPALQQTLSYVQELLTTYTNALCGYSELERKLNFGLFANKLNCFQAKKNVK -IQIEKIQRNLDKVTRLRSRIEEMIGMQEGEHDFDYYDNFEIKKCLEFQSEQVLTKEKVVELCELEQVETA -SIARAIVEGDLKVALAGLFCLTFALAGFLVYQCVQRIPKVGQWISSGFGKSTVEDFVKEFEAAKKKRAST -RDKRNPAMMYSEQCEDVMDTYFGENTEEKLKVRKKKSYDNAYITHLTKEKLPWYIFYDISNDDAVDYAIL -KNDVGKELFRTERPIADIEKATLATEEDLNAPLAHALWSDECHDYFYEIHMKNGRTYKVHMTPHNPSKYT -GHGNVAGFPEKNNILRQTGPTKILECSTEVPHSKANPDVPQMVGLIFEEGAPNHCLHAIVYNHWLIIPGH -IMTTVGSDFKLKFQGSFFNFNIKQVVHIPGMDMILVPKPSSIKMTRLAAKARVLTVPEEITFFYFSKQMK -KFVQSGSRRALKDDRDGKWSHTISTARGMCGAPVISMNTGHMVGIHVVGDTISRLNTFQAFNEEILQILE -GRDYSVVKPRILAKPSDLGFRNEDFENFQIRRVTHIQQPNELTDEACFEESLRSGEASEAITVRVEEDAK -SSFEMWILRDGFVTRKEVKKRAGIPEGFSRDLSIYTREALEKWAVGNMNLQIKAITEDIEPTTKLNMPVV -ASMAQKVLEKHRKLCESDHWLEFKELHPELTNDLQDFEWAYLPSKLNKQAYWKDLSKYDHPCVATPKPEQ -LKVAAEWVVNHLKANGMSTTRVASTDQVLEDLQWQTAAGSVYFGAKGKVLESYTEEQIRSLTNWCKKGLL -EGRNAGIWNASLKAELRPKEKVEANKTRVFTAAPLTTLLATKHFVDDFNKQFYSTHLQANHTVGINKFRR -GWEKLFKKLNRPGYYWGGGDGSRYDSSIEPFFFDMMVWIRMQFVSDDDKEDFQKALWHMYREFVYTPIYC -VDGKVVVKRLGQPSGQPSTVVDNTIILMVSFVYALIGKLGESEAVRVLDNEMFNFVCNGDDNKFTVHPSL -VCDNKFDLSEQFNELGLDYKFEDLTRDPYEHYYMSLRFLKTTEGIGFTLEDERLIAILQWKRGKRYIDVL -QSIQAACVESYNRSNIFKVAYTYLRWFLYQYHREIAQEEMEEGGKLRILTETEIHNLHYQPEGEVIEEIK -TQHASKEFQMDIGEERRLQSSEEMGAIADPPTGPVSRTPPGSNIIGPTAAGEATTWNLGTLSTEQIELAM -IPSLSGTPVIDESTLKSIPYHQMHFDNTTATEDQVKAWETFCKQKYGGTGQALSDSHFNKLKVAFIYWCA -DNGTSENHDFDAKTKIPTGPNTSMELPLRPFLEGSKSVGLRKIMRFYSDLTVLLLKKRGTLTRWAIKRGI -RQKEMIPFAFDFLKFDHKVTAVVREILTQAKAGALGSGTKRAMLTDGNVSQGTSYERHTTRDVSEYEHGP -SGTGHAMIM - ->YP_010086847.1 polyprotein [Alpinia oxyphylla mosaic virus] -MASNSKIVSNFKVEVVDFLNTHAAQITTGKNLNIEDKTRSKVVAFVRKQYINNELKDGKDFVMIDGKGKT -VANFPTTVRGTTKLKDFLTAKFEIPDEQSFERCDKIIKCVKAHQQQMAHMRTISNDYAFGGLSKICPIPM -IKGMVPNQGMCFLTLLISTSYFITPELDEQFSLVIDEVLSEMKPWLTLQTASKIVQYIICKIPVLAHVPI -PAVAVDHMKSLIHVCDQRGVPSGWHVLKIGTLAEFANIGIIENSKLTSYFVGGSEKNDDELQIYVDQLTK -IKKNFKEWVLKGNLFETLKNDMLLTAFLVLSPAHLSKLHNFLESNANEALQICELDTVNKNKILAATIIS -TGLKGVRIKWRETSCEKLWLHLLKTIEAVLEADDTQQATNLRSACERMYEVMCANKKFVYCCEKRIYYLT -DAEFQTTLGFSPTWFGALQARLGFTRASDSLTVSKIRLSCFSDNIVYRTLPYVNAFFLYFTIQLFATMSY -LFSVARIIFYCIFVWHGIFSISSLVLMLVKSLIVSRLSRNYNKLLICGTVFCVFELGRYIIKQKQRKNQI -QPHELQAGLKTSEKQMMSAMAMLTLLVHAFDMDLAVMMSNSLNHVARLANMLTDTTSGWMMGGTGTQELQ -MELFDLVLEADEKIQSDLEEAVTSHRTCETFASWMSEQSLTESNNTRPLSYGRPESYVCVDRENAVEIGQ -NLVDTTNAWTQVIGQTGSGKSTRVPIAYYNRLQHLPARRRSILVCEPTQATTQNVSYALSHQHGKQVFYQ -HEGKTQNGDSSIQVMTYGTAFFKAMNSNEFIEKFDAVFLDESHLISAHSLAFESYLNKCTTVRKFYLSAT -PRTSCSMPDASRRFEIYEHSLPETDINTFVASIGKGDSLDALNYGEKVLIFLSGREQCNKAAHKTASTQY -GITAFSLHKENFSVNYSKILQALSQPGRVYIYSTNILETGVTLNVDVVVDFGYTNQPHLDLNERTLLLQK -RRVTESERKQRIGRAGRLKHGHAIVLGKTSRAIEVVTADVVFDAALLSFVYNLEVYVNTHLDSTWLAKIT -REQARTMLSFRLSTFFMRDLVNSQGHIRPELLDALKNKTWRSLKAHTTMFQARNSEYQQWQRLDHYSFST -LVLNNERILSEVGHVKIPFITHDMMDFDIIEIVKAVANYKPNMLTVFGQPKPRNIGLIMRVDETNVFNTM -RMARLLKSDYEQQILNKKAALQAQKESPMAYFLSTRVVDSLASKLSQQISQAERNIVKLTTFITNLEIFM -NTANSNVEQDMQTDDLEEIGRSMQLQMDGNLTRHALTNILKLEDIPNVSFREAILIGNKRSLIALSFLVC -SAFAGLAWYLTWDFDEGLENSYNKRQRVAVHNKVLEMKGKGLNRDKRNVAMQETYDEAYTSIRDDEDFDR -VRSRRQRAKETDIAPVMRHMRSEKPFITLYDLTLDSDITHAVFSDHNSQAFYETANPLANLDKVKQHLEE -HRQGEKIIFWSDMTDDTIFMTITKKDGSQQRVKLTPHASQRKTKTGGVQGYASHEGEYRQTGDVEILKQP -TQVLEIGTQLTNNQANLDILNMIGHVNIETGRLHCILYKDFIIMPAHVMQKELPITLSFKHYTVKVEELG -EVYAFVGFDLILMKRPHQLAPVKCVASISQAQEAMIVQMVHKKFVTLKPVITITAAIHQTKEMRWAHQIP -TIVGMCGAPVLDTQTGKIVGIHVMGDTLKKHNVFETFPSEALEILGTNDKKVHQRYFNNRLKAWKFQPEV -HGYDPRKIQGLQNEDFSLETVPNDVSMYTIENIMEDAAAGGLFKPREVRDAERLPIGIVAQDMTNLAFAN -ALLNKRHVYIGESPYWTEFKRRHAQHVKGIHEFEDEYAPSILSYDAYWKDLLKFNRQSNATPQFDKRILN -LAAQAVIRNLQQAGLTATKIRTTNQVLDDVQWTTAAGPLYAMKKKELCKDLTEEELQSLAIHVRQRLIAG -KNCGVWNGSMKAELRSIEKVQQAKTRVFTAAPITTLIASKFFVDDFNKQFYETHLKANHTVGINKFSRGW -ERLYNFLDRPGWKHGSGDGSRFDSSIDAFWFDYLLTIRLSFFTNDERAIAIQALKNMYREFLYTPIHTVS -GNILVKQVGNNSGQPSTVVDNTLILMMSFFYAYICKTGDDNCEFINERFRFVCNGDDNKFSVSPQFASEF -GTDFSGEIKQLGLNYIFDAITDDITENPYMSLTMVRTHEGIGFTLHPSRIIAITQWMKKGNLIQATQAAF -AAMVEAYNDPWLFGILHLYLVWLIIEFRDELEFAKDNDVLGVTYMDPCQVHALHYGINEAQIQEEDEDWT -DDEDENLISQTQHFQMDLTAPTQRTPLVPTTSTPAVTPPNNQQLVPSTPMDGASTSQQQPLNQNREVIEQ -PPQATPDSNNDENEIEWRIPPIPKTASHFNNPVVKGKRLWNSRIAKNIDPEQFEQTSQKATTLQFERWVE -KVKKNLGNPSEQHFQIYLTSWCLWCANNGTSSKVATNQMMEIHATGQFASIPISIFVDPAIEFGGLRKIM -RHLSDVTSKILEQGGKMTAWGKKRGFTQLAMIPYAFDFCVQSLKMPKTVREQLNQSKAAAIGSGHQRVML -MDGKIQRSKTSYERHVDTDVDEFEHGGAIEPRATLY - ->YP_010086840.1 polyprotein [Yam chlorotic necrosis virus] -MATVKSDVNFKVELVEFLSTHSIQMMTGNPLTIPDKTRAKLVDFVKKYYEVNKGEQAKDFVMLDGEGKTI -ASMPMAVTSSTKFKTFLEAGLRLPAQASFLGCDKVVKCIKTQQQHLAHIRLASNDYVYGGISKSCPIPMI -KGMVPKPGYCFLNVIVALSYFVTAEFDQIFESTISDVMENLGPWPKLEAVSKAVQFIIAKVPILGPLPIP -SMAINHEDKLIHICDQRGVPNGWHVLKVGTASELANAGLIKGSTISGNFVGVFGDAPEERVFYVENLTKV -KHALTKWRKPEAFLSALTQDINLMSFLLMSPSLLVKLQRILEKGANEALMLDVIERSTNDKIITANLIKA -ALEGITVKLGETKLEKVWLHLLEVVRVQLDYNECQRNKDIIDACGMVYNQLCKEKKSIYHYEKQVYLMSE -GEFQRTFYYSPLSLGEAVSGFVSTKFDNLLAKPKLKLNCFSDGIDKNNFGLFLNVMNISIIKLLLFAGRM -YNVFRVFFCLCAFCWGFMSLGNLAYMAFKTVVLRRLSGNYEKLLIFGCVFALYEVGSFIVKKRKEKQQKS -QPNEGEFLQAYGKSSEKKMMSAMAMITLFVHAFDMDLALMMSNSLNHVARLANMLTDTTSGWLVGGANTQ -ELQMRLFDVVLEVDEVMEKEVQQMNIYEGGTETFSSWINEQIALGNDNTRPLAYGRDDSVFFVDRENAVQ -VGQDMTETRNAWSQVVGQTGSGKSTRVPLAYYNKLQTLPGRARSILICEPTKATTQNVASAISHQHGKQV -YFKHEGKEQAGDPTIQVMTYGTAFFRACNNPVFIDGFDAVFLDESHLVSAHALALESLLNKQTHTRKFYV -SATPRRHVNQQQGTRRFQIFEHHIETADVSDFISSIGKNGNLDATQFGDKILVFLSGKKECDRAAARVCA -EVGSVRATSLHSGNFETNYDKICNQLDQPGKFIIFCTNILETGVTLNVDVVVDFGFTNTPLLNTVEKTLL -LHKRRVTKSERQQRVGRAGRLRNGHAIIIGKVSEPSETVSAEVVFEAALISFVYNLDVYVNAHFDAAWLG -SITKEQARTMLSFRVSPFIMRDLVFPSGHVRQEMLNCLKNKLQRSASIKTANYTCVNHVYESWPKMDHPF -VSTIMQGEPGSAERLSKLRTPFVTHDIGDLNLEEFLRCVDLYKPSILTRWGRPVKQSTNVLMHVSSSNVH -ETIRVATLLRHDYNQQIHMKQHAQRLHQDSPFAYFFSKKTVDELSANIGKQVAIAQRNIQKLDKFISNLE -IFVTMNEVEGDIELTQQDMHEIGQSLDLQADGKFSRDTLCDTLNLESLPSTTFRDAVIIGRKKAVWAIMI -LCCAAFGGLVWWLMWDDDDGLNNEENKQRRKEVCEEVLEMKGKSFNRDRRNPMMQDTIDMADFYMRDNED -FVKLRKKKNNARDDSQGGLVQRFMSQSKPFITLYDINNDADIVNAVFMDHNKQAFYETANPLKNMEQVRQ -HLDEHKEKEGGQIFWGDDADYTLFCNITKRDGSVLRVRLTPHMPHKSTTSGGAQGFQSKADCYRQTGETE -VLVQPTQNLEIDTRLPVNNINLDVANMIGKVRMVNGSLHCILYKEFIILPAHAMMSKFPIDLVFKHKTIT -INELPEAYCFPGFDIMLIKRPTSLAPVACRATVAQASDGIIVQIVHKKHVTEKTVLTVTAPTHQRADYRW -AHQIPTVAGMCGAPVLEVATGKIVGIHVMGDTLMKHNVFESFNNDIMALLNTNDKKTISTFQRSKLTSWS -FMPEVHGYDPKKLKNLQHEQFEFLEFSRDTSVYTVENMNADAKAGGLFTPRTLEEPRSLPPSVSALHMDN -LAYMNGLLNPRHVITGESPYWLEFKRFHPRQVKGIEEFENKYAPSVLSYDAYWKDLLKFNRLENPKLKYD -RDALKHATLAVVEQLKRAGLTETKIRTSEEVLGDIQWGKAAGPMYAMKKNELCKNLTDEELTMLSIHCKK -QLIEGKNCGVWNGSLKAELRTKEKVSQNKTRVFTAAPITTLVASKHFVDDFNKQFYATHLKANHTVGINK -FQRGWEDLYKFLDRPGWLHGSGDGTRFDSSLDPFWFDLLYSIRCHFFNQDDQAVAKEALKHMYREFVFTP -IHTTTGQVLMKKVGNNSGQPSTVVDNTLILMLAFTYAYIRKTGDTTCEKMDSCFRFVCNGDDNKYSISKE -FNDTYSGDFSKEIGELGLVYEFDELTDDITLNPYMSLVMIRTKQGVGFQLHPERIVAIVQWIKRGDILQA -TQAALAATIEAFNDPWLFGIMHLYLVWLICENSELLAFACRQEMQSICYMDAYQIFSLHYDRQDDSLQPL -CDETSNENQYLNGKGYVSFDEAEGSQVLEMDLESTPAEQLAQKEKGKLHEQKRDSKPESSTQVMERNSKH -NNQPIELSGDVDDDEIEWRIPAISKSLKHVTVPRIKGKAVWNQKILKKISKEQYFETTQMATSENFEKWM -EAIRKNLGTTTEADFQICLTSWCLWTANNGTSPELDPSQVMEVHANGQIIEVPVSIFIEPAATLGGLRKI -MRRLSGVTSKILEEGGVMTAWGKKRGFTQRMMIPYAFDAYMQTDSTPKIVREQLNQSKAAAIGSGVQRAM -LLDGKLHRSKISYERHTDDDRDEYEHSDAGDQRPTLY - ->YP_009551653.1 polyprotein [Wheat spindle streak mosaic virus] -MEAQGTASPMNFSANFMAPELFYSSNVKKLKAIFKCRSTTRVIDAIANDFELVAFLILSPAHLMQLETTL -RNEINSTVIPLANSDASFETVAVLKTALDGLRYHFGATTLEKGWISMMQHAENCLQESSSTAVAELQVQV -KRVGAILISGKNRVESCELFVLHLTARAFRIEYGLKGTCFGEHLALLSSLKSYIFDTVPSEFLWAKTKER -SIFTIPQCIKRTPIDCFMLCLRVMPILHRLSNALSLAYWACVAAVNLPSVMAFLFKRQFTKYIAHSFAKH -SIYFLVLSILALLWVLRTHFNTQKPKIALQARSANEKEKKLMMLLASAVGITYLFDYDIAEALGNCLHKV -SRLSSYLIDDHQGIASRMLSASYGLQAGDATEDAATTIISDLLSVTFKIVDEDAVSGALEDYQDTTFRNW -VSVNTLSGKNMSRPLQYPVKQVFPLTPTNVQTQAKEMVESENCWSMVVGHTGSGKSTYLPVKYHEYLALK -PERRQNILVCEPTQAATENVCSGIAQNLGRAVYGRHEGWTRMGDFCIQVMTYGSALQCYAKDPNFISTFD -AIFLDEAHDVKEHSLVFESICNKFTSVRKFYVSATPRDGTPCPEASRKYPLHVDVSVCDSYKKLIGAQGG -GDILDLTRHDTVLVFLSGRPECVKAATLWNASITGDKRAFSLSSDNFATDFSMLTERLKTHKTIIFTTNI -IETGVTLSVDCVVDFGFTMRPCLDLNQKTLRLDRRRVTNNERKQRIGRAGRLKAGYAITCGDVDTEVNVV -SPDVLYGAALLSFKHNVPFYMNHTFESSWLDSITKAQAETMSIFKLPIFLTRDLVNADGSVAKDFLDVLK -KHQFTTSDVKQAPSSTAKHIFPTWPSYFALHQAIHYGDNKDNIPSELMNTRIPFSVSTLSKFDWPALALA -CEKYRSTVTTVFSGLEEPTRVVTLQTNPANIEGSLQHLINMRESYKVLIENNQNVKRSMVTNVMFKWFSS -SSVTAKLDRNIARCLANLSVVDTTISSLKQLLAGNTQLYATPHFQDSLESIVELQAGEMLNEETLARALG -IFVPKTNLFLLLATKGLKLVYVVCVLILINLSYQVFQRWQSQVKRKKSSLDSSDELSNTMPVSEGEGILK -EVMQMSKEQRNQVKVDMDADVAEHSGGFTFVFPEQAVELEGKGNKYRPREDQRLMYSTRDDATFDSWNEK -AKERRHKISSRAEPEMRPTYQKRPYFNFYELQTDSNILEAIFYTTQGDEFFRTANPNKDMHGVADKLKTF -LDTQPIVGKHQRQLLEETAQVVIRDNKGEAHRMDISQHDPDKLKNNGAGRVGYDEHRNDFRQEGQAITSP -VELEAEFGAQPGGIVLEAATGITISQVGVDVANRVGRIAIGTYNLNCFLYSDWIIAPAHLQDRVGDVVIQ -FPGQTVCTNTDNLNANGVQRFPGLDLIAIRRPADLKPQKKLVKAHALTEPTLAQMVFIDPLGIRRFSQSD -WARKEEGSGRWSHKISTQRGMCGCPVLDVGKNRLIGIHVATNYTTNRNEFQPFTQEVVNFINSSGRRLPL -TSWKFVGSACGYLGSNFVKVSDDIQTQGADELAIINNSIVGFGSALKGQLVQPATNAIASRFKELFGNGS -FELVGCMNKGLIDKHVITGENDNVHSFMREHPTFSWLQSFMDEYAPSVLSYSAYYKDLCKYNRKKHVLTF -NPDELQHATKGLIKMLEDAGLTPCDMRTPQQVISDVQWSTSAGPSYQGKKRDVCAHLNEDEVLNLAEVSR -QQFLAGNSIGVWNGSLKAELRTIEKVEAEKTRVFTASPITSLLAMKFYVDDFNKKFYATNLKAPHTVGIN -KFSRGWEMLHDKLNRPGWLHGSGDGSRFDSSIDPFFFDIIKGIRKHFLPIEHHGAIDLIYNEILNTTICL -ANGMVIRKNVGNNSGQPSTVVDNTLVLMVSFLYAYIHKTGDTELLKLDERFVFVCNGDDNKFAISPEFNA -QFGHDFSPELTELGLTYEFDDITDDICENPYMSLTMVRTPFGIGFSLPVERIVAIMQWARRGGVLHSYLA -GISAIYESFNTPKLFKSIYAYLLWLTEEHEADILAAMKDTATALPIPSMLDVYRLHYGGCDIELQAADTQ -TDAQKEAARVAAADKARADAADAARKQKVEADRVEAARVKKAAADTANLTATKVTATEDGKVTTDSGTKR -TSAAAEVTWTLPTMKQANAGLKLRIPIAKLKSVPKSVMQHDNSIALDSELTAWADAVRTSLGITTDEAWQ -NTLIPFLGWCCNNGASDKHSENQKMQVDAGKATLSEVSLSPFIVHARLHGGLRRIMRAYSDETVLLISEG -KLVPRWAMRHGASANAAYAFDFFVPRPWMNPQDIEISKQARLAALGTGTNNTMLTSDTTNLRKTTNHRVL -DTDGHPELT - ->YP_009553653.1 polyprotein [Areca palm necrotic spindle-spot virus] -MAASSKAAWKWNADDNTEVYYKDLGKIALSNQPQLATNFDVEKLQNLMGRGVHVRDELWKMVEGYYKHFN -RAVMIENPTNRVWNNRDKSAFECIIERVKEPTFHKFTHDGKRCQRSLKCVSPLIQRYGHLYTCTDGFMFT -GIQGANIIPAWREFVPEDGKCFLSIFYALSFYVLPNQEKLYITRIKALISKLTGDVSLYRFVKEFVSATY -DMPYLWLVPIPPIWINHREDILHVADQRGAPEDCHQLEVDCLKDLFYGVVLSQHTEINHWKLGSFMESEG -TSELLTDRPTSHDVIDKITENILSKISYLLEQKLSSAFEVQVMMHKRVSLMDMYEIKGEQVRICDELRES -VSHWIRDVYVKQEELEKEGFGTLRNVLSRGNQITWKSIPHYLQRSEEILMMSSDVFKLPYTSYANQPAGK -LATSVTTATQYLKRVNNAYAPGGSSGQTSIPMIKDHVPKEGFCYMSIFVALGQQVIKNDCKAYTSLVDQI -RSHLGAWPTFERIVKALYAILVKFPYLDTATIPTMVINKDHQVIHFCDQRGQPNHTTVILVDSLGDIIDL -LAYTHQSWFQFRVGGTLSDEINHIGMRDEIEEIQRAIKGESSQEKPWAKIFKEEIERDDKVKKAQMWRLL -KSHYEQDLMESFPVRKTFVDADPQIGPFDMMKFYQDNINKIRKNWKKWFRDGTMIHDIAHDPELSTFILL -SPATMTKMVRAIQGSQSKFDSIAAIFENDANAIHISHLLKCMINGFGNTLSEKVVSLLHLKARELIDNST -LETRQMDLMKKIAKEHASFCSSNFFIVSSLERSLHEKNIKQFLDIGSTSEWCSFVCHLAWPDITGGYGRG -KWNGSQGEVGSNLKKLADNIFNRRVFMDYPYRVLHNFMLIFSIILNVASYIWGCCSMLQLTLFFGRIALQ -RFARMPSQTTTLLFFMTVLGAILYMNRNLFANFRKNKEFQSKKDEPPKMLMSFMAFAILITYIFSVDTAN -MLSAAFNHFSRLASALIDTNLITGGKEFQADFLDVELEITEKEEEEGIVKRIKDTYGTWRLTKHMEGIDN -TRPLEYGAEYGNQYVIQGGNAADVAIQAMNTTKDWNFVLGATGSGKSTIFPVAYYNLLRQQTKRKHRILI -LQPTRAATRNVAGGIEAHFGQAIYVKHQDFLQQGHMGIQVMTYGSALYTHMSNENFLDQFDTIFLDESHL -ISEHSLVFESVVNLKTNIVKFYVTATPRVAKVKIEPARRFTINKLQFDGKDPQAWLRNVMTGCMEDPFNY -GKTVLTFVTGKKEAETLASSLSSKIPEIKTHAVHSDNFKDVIGAVEADLSARERKVMVFSTNIFETGVTM -DVDVVVDFGFTMRPVLDLSEKTLLLEKKRVTANQREQRVGRCGRIKNGTALCFGKVMNNEQAVDACTVYS -AAILSYVLDVDIYINSHLSTYWLENITREQATLMAALQLPLFLTRDLIDSEGRVHKQIAKFLSKFANESL -SMNTHENCVMSEKYSSWPRLEEILIRAFRGEIPEEMQTISKERIPFACQNLEMGIYVLLVKALRDVKPTA -QRLLRSKGEITRKITLDLREPALQQTLSYIQELVGTYTKALCGYSELERKLNYGLFANKLNCLQAKKNVK -NQISKIQRNLDKVTRLRSRVEEMINMQEGEHECDYYDNFEIKKCLEFQSNQTLTKEKVIEFCELETVETA -SITKAIVEGDLKTALWGLFCLIFALTAYLIYQCVQHIPTISTLFKSGVTSESIRNIAKEYEVAKKKRQSA -RDKRNPAMMYSENCDDVLNTYFGDDVEEKLKVRGKKKYDNAYITHLTREKLPWYVFYDISNDDMVEYAIL -KNDVGKELFRTTKPIADIEKATEATEEDITTPLAHALWADDCHDYYYEVHMKNGRTYKVHMTPHNPSKFV -GHGNVAGFPERNNVLRQTGPTKVLECMSEVPHSKANPETPNMIGLLYEEGAINHCLHAIIYNHWLIIPGH -IMTTPQNSYKLKYQGNSFDVDIQRVVHISGMDMVLVPKPTSLKLVKLVARAREMITPEEITFFYFSKQMK -KFVQSGSRRALKDNKPGKWSHTISTAKGMCGAPVISMNTGHLVGIHVVGDNIAKLNTFQTFNDAILNILE -TADKTFLQPRILAKPSDLGFDNADFANFQMRKVAFLQRPTELIDEKSFEKNLESGEADEIISVRVESKNG -ASFEMWNIKNGLVIRKELQRIERIEEGFSRDTTMYTMANFNRWASSCMHIQHRRVREGEGTSKYMQVKVV -GNMEPKSLEKHRRLCESDHWLEFKELHPELVANMHELEWQYLPSKLNKAAYWKDLSKYDHPEIAKPDHKA -LKQAAQWVVDHLKKNGMGITRVMKTEQVLEDLQWQTAAGAVYMGAKGLVLEGYTQDQIHQIANWSREGLL -EGINAGIWNASLKAELRPKEKIEANKTRVFTAAPLTTLLASKFYVDSFNKQFYESHLKANHTVGINKFRR -GWEKLFNKLNKPNSYWGGGDGSRYDSSIEPFFFDLMVWVRMQFVAQEDKEEFKTAFWNMYREFVYTPIYC -IDGTIVVKNLGQPSGQPSTVVDNTIILMISFTYALIRKLGYNKALDVLDKEQFNFVCNGDDNKFTVHESL -VEENKFDLSVQFKELGLDYKFEELTRDPFEHFYMSLQFYNTTEGIGFALEAERLVAILQWKRGKRYMDVL -QSIQAACVESYNRKEIFEIASTYLRWFLLQYQRELAYEEMEEGGKLRILTEIETHNLHYQPESEQDTLKD -KDFANKEFQMDVGEERRLMQSGDDTAIAEPAMGPVSRTPPGANTIGPAATGEAASWNLGTLSTEQIELAM -VPSLSGTPVLDESTLKAIPYHKMHFDNTTATEDQVKDWETYCKQRYGGSGQALSDSHFNKLKVAFIYWCA -DNGTSENHDFDARTKVPTGPNASMELPLRPFLEGAKSVGLRKIMRFYSDLTVLLLKKRGTLTRWAIKRGI -RQKEMVPFAFDFLKFDHKVTSVVREILTQAKAGALGSGVKRAMLTDGNVSQGTSYERHTTRDVSEYEHGP -SGPGHAMIM - ->YP_009665118.1 polyprotein, partial [Narcissus latent virus] -NSGQPSTVVDNTLVLMLSFYYAYAKKTNDLAFEHIDERFRFVCNGDDNKFSVSPEFVAEFGGSFGDEISE -LGLTYEFDDLTPDIMRNPYMSLTIVQVGDRIGFQLNPERIVGIVQWIKKGGVLHAAQAAFAAMVETFNDP -SLFTVMHTYLVWLLVTHKDVLLYAQENGLGSVCYMDPCQVFALHYGSSKGLEDVKFDNEDESADEDDGNI -TPDLELQMDVGNLIPEKEKNPQNVNASDGGKNASNSATGESSKPPENNAGKGADQGNVDPPQGDPLVDDE -VVEWVIPKMSPNIGTSPIPVINGKKLWKRGILKSIPKMMFNTTSTMATQAQLTSWVEEVKQVLALKTDDA -WTVVITNWCIWCANNGTSPEIDTSQTMEIRDGFGKVQAIPIEVFVDPAVENGGLRKIMRHFSGITHEILK -AGKRMTAWGNKRGFTEKSMIPYAFDYYVVTNTTPKTVREQLAQSKAAAIGSGVTRKMVLDGNIQGSHASY -ERHVDTDNSEYEHGNNVDQRPYLT - ->YP_009665117.1 polyprotein, partial [Maclura mosaic virus] -RAGMTQTRIRTTMEVLEDIQWGKAAGPLYAMKKRDLCKNLTEEELVALGAHCRSELNKGKNAGLWNGSLK -AELRPKEKVDLNKTRVFTPAPITTPHCAKYFVDDFNKQFYKSHLKATSPLLALISSQNGWSKVYNKLNKD -RLLHGSGDGSRFDSSIDPYLFDMIYTIRCHFMHEDDKRESERAMSNMFREFVFYSNSHDQWNILVKQVGN -NSGQPSTVVDNTLVLMISFYYAYAVKTRDYTFDKIDERFVFVCNGDDNKFAVSPEFVKEFGGSFTDEIAQ -LGLHYEFDELTTDITANPYMSLTMIDIGGRIGFQLNPERILGIVQWIKKGGIVHAAQAAFAAMIESFNDP -DLFCVMHSYLVWLLVTYRSELVYAMHNDLVSVVYMDPCQVFALHYNDSEDVREWFDEDDESSDDEDEEPT -QVLQMDAETLAKDGEAKKEKDEKEREKAEQRRVEVEKARAEKAQVSDGAKEPQPEIKGNEDVEQPASDPE -EKEEEVKWVMPSINPNRGSNAIPTVNGKKLWKRGILKHIPKQQYDASTTKATSAQLAAWVEAVKKDLKIR -NDDAWSIVLTAWCIWCANNGTSSEVDTNQDMESDSLGKVQTVRIDSFVEPAIENGGLRKIMRLLFRYHSG -NLGQRGKNDSLWNQAGFTEKAMTTLPFDFVEVTKTTPKTVKEQLAQAKIAAIGHGTRRAMVTDGSVHGNK -TSYERHVDTDNDESEHGKDIDYRPHLS - ->YP_009665116.1 polyprotein, partial [Alpinia mosaic virus] -GNNSGQPSTVVDNTIILMMSFFYAYIHKTGDLLCESINENFKFVCNGDDNKFSISPSFFEKHGSQFSSEI -EQLGLNYVFDTITDDITENPYMSLTMVRTVSGIGFTLHPTRIIAIVQWIKKGNLVQATQAAFAAMVESYN -DPWLFGILHLYLVWLIIEHKDELDFARDNDFVNLVYMDPCQVHALHYGIDTDVMCYEDDDELCEGISCTQ -PTQHFQMDLAQTGTRSGTQQGVVGGTPPNNQQIVPSAITPVNDDVDRGKQIVASDESEQPEASQESNNTE -SEVDWKIPPIPKTLSHFHNPKVKGKKLWNSRIAKNIDPEQFELTTQMATTLQFERWVERVRKNLGSPNET -NFQIYLTSWCLWCANNGTSSELDANQMMEIHASGQYASIPISIFVDPAIEFGGLRKIMRHLSDITTKILE -QGGKMTAWGKKRGFTQLAMIPYAFDFCVQTLKMPKTVREQLNQSKAAAIGSGNRRVMLLDGKIQRSKTSY -ERHIDTDVDEYEHGQAIEPRATLH - ->YP_009508901.1 polyprotein [Cardamom mosaic virus] -MASGKKQLGNFKVEVLDVLNTHMAQMLMGKTLSVEERTREVLVQIVKKHYRKNEMKEGGDFVMVDGKGKT -VASFPTLLKGKPTLKDFVDKEFQIPAQQPFNTCDSVTKCIKAHRQQLAHMRTISSDYTFGGISKICPIPL -IQGMVPVQGMCFFTMVVSLSYFIPPELDDKFAQLLEEILQLLKAWPTFETVAKIAQFIIYRIPILAHVPI -PALAVDHENGLMHFCDQRGVPAGWHVLKIGMLAELANAGTMTTSKLNKYYVGGSNEGQDDLDFYVEQIHK -IKKNFSRWLTSGTLFSDLANDVHLTAFLALSPAHLAKLHHYLEISAEEAQKIVQLDAVNKNKIMAAAVIQ -TALKGIRIKLRETDIEKVWLHLHKTLDHILQDDDSDASARLREACTRMYNVLCANKKFVYQCEKHTYSRD -NATLREMFGCSSTWLSRLQRKLHILSGERQLVVSNIKLACFSDGIIHRTIPYVNAFYLYFTINLFAFLSN -IYSLARLFFLFIFAWYGCVSLTSIVFHLIKMLVLSRLSRNYNKVLFCGFVFCMFELGRYIAWKKSQRKEN -QPQELQASIKSSEKQMMSVMAMITLLVHAFDIDLAITMSNSLNHVARMANMLTDTTAGWVMRGNDSQELQ -MQLFDLALEADDTIRNELDEASTSHTTYETFSSWMAEQTLFENDKTRPLSYGRVESLVEIDRDNAVESGQ -ALVDTTNAWTQVVGQTGSGKSTRLPIAYYNRLRHVAARKRAILICEPTQATTQNVAYSLSHQHGKQVYYQ -HEGKIQNGDMSIQVMTYGTAFFKAMSADTFIQQFDAVFLDESHLITAHSLALESYLNKCVSVRKFYLSAT -PRNHVPTNAIVRRFQIFEHAQPEVSIEAFISSIGKGDSLDALNYGEKVLIFLSGREQCNRAAMKTAATQY -GIRAFSLHKENFPSNYPKILDALSAPGRCYIYATNILETGVTLNVDVVVDFGFTNQPKLNLTERTLLLEK -RRVTEAERKQRIGRAGRLRDGHAIVLGKTCQAIEMVTPDVVFDAALLSFVYNLEIYVNAHLDCEWLATIT -REQARTMLNFRLSVFFMRDLVNKQGNIRSELLQAVKNKTWKSLKVHTTNFQANNREYQQWNRLDHYTLTN -FCAENSQAFGEISHIRVPFITHDMLDFDLVEIAKAVAHYKPNMMTVFGSKPAKGVSLVMKVDETNVFDTL -RVARLLKRDYENQISSKKTAINTQRESPISYLLSTRIVDDLTAKLTQQIQRAERNIRKLDGFITNLEVYA -NAPLPNEPAVMGHGDYEEIGRCMQLQMEGKLTRESMIESLQLEDIPNASLKDAILLGNRRSILALSFLAC -GAFAGLAWYLTWDDNEGLNNKWNKKQRVAVHKEVLEMKGKHLNRDKRNVALQDTFDEAYTAIRGDEDFER -VRSRRKGAKEKDIAPVMRYAQQKRPFLTLYDLTLDEDITHAVFADHNNQAFYETANPLANLKDVETHLQS -HKDKGGVVFWSDYADDTIFMTITKRDGTVQKVRLTPHSSERTTRHGGQQGFKEHEGQYRQTGDVEILKQP -QQTLEIDTRLSNNNTNLEIMNMIGHIQIGEGRLHCLLYKDFIIMPAHVMQKPLPLTISFKHYTVKIPELQ -EAYAFEGFDLLCIKRPPQLAPIKCSASLATAQEGMIVQMLHKKFLTNKPIITVTAPIHETSELRWAHQIP -TVVGMCGAPVVDTQTGKIVGIHVMGDSFKKHNVFETFPSDALEILNTNDKKIHQRYISQKIKIWTFIPET -HGYDPRKIQGLQMLKVESLRVPKDTSMYTIENFVQEAQAGGLFKCREISAPRPLPIGVSAQHMQNVAFAN -AILNKRHTYVGESPYWREFKRIHSEHVRGISAFEDFYAPSALTFDAYWKDLLKFNRTSASDPTWNEKALK -HSMLATIQALKDAGMVPTRVRTTFEVLSDIQWSTAAGPLYAMKKKELCKDLSTEELDALAIHVKTRLIKG -ENCGVWNGSMKAELRPIEKVQANKTRVFTAAPLTTLIASKFFVDDFNKQFYATHLKAPHTVGINKFARGW -EQLYTYLHKDGWLHGSGDGSRFDSSIDDFWFDKLYTIRAHFFHEDDKSVAQQALGNMYREFLYTPIHTTS -GNILVKQVGNNSGQPSTVVDNTLILMMSFYYAYIMKTQDFNCECLNDCMRFVCNGDDNKFSLAPDFVKKY -GGEFTTEIKQLGLTYEFDDLTEDITENPYMSLTMVRTCSGIGFSLHPSRVVAIVQWIKKGDIIQATQAAF -AAMVEAYNDPWLFSILHLYLVWLIIEYKDALNFARVNGIFGVVYMDPCQVHALHYGIPTANELKEDEDYD -DDSDEDLEIVEFCSQQFQMDLVGTPTAPRQGSTIASASTSGTASTNLPGSGGTRTAPLAPITGQQHAENP -PQPVESFDAQQQDFAPSGGDDDTDIQWRIPPTPRRLSHFNNPRVKGKRIWNRRIINSIAKEQFTQSSQLA -TTLQFERWAEDVRKSLGTPNEDDFQIYLTSWCLWCANNGTSSEVDVNQTMEIHSGGKYSTIPIAIFVEPA -VQNGGLRKIMRHLSDITSQILAKGGKMTAWGTKRGYTQLAMIPYAFDFCVQTNGMPKTVREQLNQGKAAA -IGSGYQRVMLLDGKVQRSKTSYERHVDTDVDEFEHGSMGEPRATLY - ->YP_009508455.1 polyprotein [Bellflower veinal mottle virus] -MDPLFLKRFNMDGGKLQICAPISDALKAAYNNHGVDIKSSYAVTAPCGTVISVENSTNEVLKDFVEAGMK -FNDDVAGECPKGQCNEASKGRSSWFLNCSPTLAVGGRSATFIMPMSPRRVFSSGYCYLNLAVAMSPYIFD -EDAIKFAEFLHDLPIVLGKWPAMVKVARSFAWLLQHMPYLCDRHIPHISINHNLNAAHVSDQRGPMIGCH -ILNAYTLKDFVLTGMDTLNANTMLGGMDALTSAYQSLDKAIMQANKSFQPWLTFYRMICADSMLAATLIM -SPATLQKLESWFEDDEGFRRVVATLETTVSDALLRMVIIKRAIHGVKMHFRTDNVERSTNELHRAIIAYI -DSQDDQSAKDLGDAARRFETMALEKKMQFVYLESSIAHCSDYRWRRERGFSYISCGESLCTLLLSKLGSC -IPAIQLQEQRERLPEFIRAARYTWVTIYWFVQAASLMLLGWFSIKNFMLFLLRAVTKYYLGHHYAHHIWT -LLISTIALLVSAWTYMKATKPTQLQATTNEKKVTGLIAFIVLAVHIFDADLAIMLSSSLHTISRTASMLT -DETRNILPRIINGTVLQGDIGEIMELDVEIDPEIAQHEELRTKHKTFQAWIDEKVCSLEQYTRELQYGGS -SVHFVVHSSNHDDISDDMLATKREWNSVVGGTGSGKSTRIPVHYYNKLQKAIGRQHKILICEPSRATTAN -VAMGITHFFGQQVYYKHRTREQVGHMGIQVMTYGSALMRSLRDPHFIMQFDAIFFDEAHFVSAHALTLES -LSKQYPEVRKFYMSATPRVGVTPHQAKGRFNTEVREVENTDPNFWLKEQGTGSRYDVTSLGPVILCFVQG -KRQADTLASKVNASGKIQFKGISLHSDNFDNNYNLVVKATNEGEECMIFCTNILETGVTLNADCVVDFGF -TMRPSLSVAEKRCLLTSSRVTQHERLQRIGRVGRVKDGVALTCGKCLPGRPPISPDVVYEAALYAFLYDL -DLYADSTLDTNYLGNITRQQAEVMLNFDIPMYVLRDLVDKDGSMQPIMVSLLKNHVVGDTQITTRDVRVS -ADKWASWPNAHDLIMLCLRGSEDEEMMKLAKEKIPFCAYQLLDVDLKSFTECCAKYEPYRAFQTITAKSM -NKKVLLRVDPENINICHSVASALLKQQNDVLSSLLNMQTACRDSKLLSCFRQTRRFMSDHQNRIEATKRN -VSKIRDHLAKLERYDTFVRDKEINGEFSHADFGSVFDHMSLQMRGEANNNEVRKILQLEDNAQVNVSDLF -IHNGDKIAIGLLATTGAYILGQIYAMLRTTETITASSLEGKGKPIRNRDKRSEARAVNESFNFIERMRAE -SETQTVKKRRDKGKQQSDAVSRLLTKTNPFVNFYDIADADVETAIFSTLKGKVIHETATPVSDVKRLDLI -STNVDGDGEPINLSDEDDLMCYITLKDGRDVMVRLDRHRSRQLTRTGGLMGYPNRDGEFRQVGTTKIEMK -GPIPFTNISTKCVNMVGLIYANGRPVMNCVLYGDFIVCPAHIKLVGPELKFRFQHATCTFVVDEYMAFTQ -CDLILIKRPREIAPVAVIAKCGVLTEPSYVQLAYRNQQNLDGTLSASDICTPFDNGRWTYTISTKKGMCG -AAVIELKTGKFVGIHVSANEVIRRNFMEPISESMVQVLQKKEDFIPSRDWTFTSTECGYMPGEISGLQAN -YQWDLEFDDSRYTIGNMNETASAWGFIKNHHQVEPCSESLNLSLHGGFEMLGKSVSHLCSKHKIVGLSPY -WQEFKQLCPDLVEGIEELEDQYAPSALNRDAYYKDISKYNRDLACKCDIQRLEKAREMVAHDLKVAGMRP -TRVMDAEEVLGDLDVSTAAGALYACKKKVVFAGWDDEMLINFATLCKSKLISGENVGVWNGSLKDELRPI -EKVVANKTRVFTAAPITTLVGAKFFVDDFNKQFYTTHLKARHTVGINPWEGGWNRLADFLGGSQMPLYVS -GDGSRFDSSIDPLLFDQVLKLRLQFSDQSDDIKQALKHLYHEIVYTPILLENGHIVMKKVGNNSGQPSTV -VDNTLVLMMCFYYCALAVSDDPSWIRNNFLFVCNGDDQKCAMTQEFIDAGGLNFENQLRQCGLNYEFDEP -TTNIAEYPYMSLTMVPQGNGVFGFILNPERIVAINQWMPKKGILGVAQRAFAAMLHSYNDKWLFAIMHSY -LYWLLLSYEQELLWAIEQTGESVSYIPPYAVHVMHYGGTVLQIDTDDEGKAKDTNNKKDTGKGIEGSGTQ -AELLGGIQTWVLPNLNKFERSSGFRKIGGRFVTTKQAIKSIPRDVRSLMRNDVATDNQFMAWETEVRDEY -SVANDEAWQTLLMAWALFCAHNGTTNKFRHTDVMPMPTGQGTTTDVQIGGFVKASQQVGLRKIMRKLSRE -TSQMLNELGEMTKWGMGHGIHDNWMIPYAFDFYLEDEYTPPPVHDRLAAAKHAALGAGEVDAMLMEKKHH -DLQTIVRPRTAQTERFRAPEV - ->YP_009507672.1 polyprotein [Yam chlorotic necrotic mosaic virus] -MATVKSDVNFKIENVDILSTHSMQMLTGRPLTIPDKTRAQLIDIVARRYQENGGTNSSDFVMLDGKGVLI -ANMCMAITKQTKFKDYKDGNISMPQQGSFADCDKAIKCVKVQQQHLLHTRLPANDYVFGGMSKSNAIPMI -KNMVPKSGSCFLSVIVAMSYFVTPEFDEIFVETVKDVLHELGSWPTLKNVSKAMQFILAKVPILGMAPLP -VVAISHEKKLIHVCDQRGVPNGWHILKIGTASELANAGLIKNSKISDHFVGSTEDVREDKMFYNRNINKV -KSLMQLWKSPERFVDGMANDIELAVFIIMSPSLLAKLYNLLSKGASEALRLEALERANNNKIVVASLINA -ALQGIRIKMGETSLEKVWLHLLNVLTANLSIEESQRNNDILKACNMVYHEFVKEKNYMYHCEKQIYQLTD -KQFEDMFCCSHTWQEEFCRKLSHLNIIKSVQQPSVKLRCFDDGITHKTIQLVTQHFIICFVRLLIFAQHT -YSIMRFFFCLCACCWGFMSITNLVFMAFKAVVMRKLATNYEKLLIFACIFCLYEVGCFIIRHKKKSKELG -TGKTQDLQAYGKSSEKQMMAAMAMITLFVHAFDMDLALMMSNSLNHVARLANMLTDTTTGWLTSGGGTQQ -LQMKLFDVALEVDETMSNEMEQQAVHDCSNETFASWINEQILVGNDNTRPLAYGRDDSVFYVTRDNAIEV -GQDMCDTKNAWSQVIGQTGSGKSTRVPLSYYNKLQTISGRCRNILICEPTKATAQNVAAALSTQHGKQVF -YKHEGKEQAGDPTIQVMTYGSAFYRSCNNPAFLSNFDAVFLDESHLISAHALSLESLLNKNNRVRKFYLS -ATPRKSFPQQTGTRRFEIFEHQVESGDVSDLISAIGTGSILDATKFGDKTLVFLSGKKECDRAAAKVNST -NTRVKAVSLHRDNFSTNYNRVCDELNQPGKCYIFATNILETGVTLNVDVVVDFGFTNAPTLNTTDKTLLL -TKRRVTQAERKQRIGRAGRLKDGHAIIIGKTSTPFEVVSADVVFEAALLSFIYNLDVYVNAHFDQAWLSS -ITRDQAKTMMAFKISPFIMKDLVFANGHMRGEMLDFLKPHLHHSANIKATNYQCVNHIYESWPRLDHHSL -FLDSQAHDSEVKRLSKMRVPFITHDITQLNLEQFTNCVEKYRPSVLTRWGRPVEQTTNVLMHVNQENIHS -TIRIVNLLRFDYQQQILQKKQAQQLHKDSPFAYFFSTKVVNELENNIGKQIAMAQRNVAKLDKFISRLEM -FATMNEMADDVEVTQQEMHEIGQCIDLQAEGSFNKENVNVILNLERLPQTTFRDAIVIGRKKAIWAVMIL -CCAAFAGLAWWLLWDDDEGLNNTENKERRDEICNHVLEMKGKAFNRDRRNPAMQDHFDTADFYMRDDEDF -QTLRSRRKTSSGPNDAISPAMRYAMKSRPFITLYDINVDSEVASAEFQDHNGQAFYETANPLKNMNLVRK -HLEEHKQKNGTQLFWSDESDFDIFCKITKTDGTVMKVKLTPHEPTKRSKHGTQGFSNMEDCYRQTGQAEI -LHHPTQALEMATRLPDNKTNLQIADMVGRVTMSEGTIHCILYKDFIIMPAHAMITKLPMEITFKHYTIKI -GTLPEAYCFPGFDVMLIKRPTNLAPTRCHATLATATDGMIVQMLHKKSVSDKTTLTITAPIHQRPDWRWA -HQIPTVSGMCGAPVIDVASGKIVGIHVMADSLKMHNVFETFSPDMMEILNTNDKKVHAKFHQARLMDWVF -LPEAHGYEPSKIKGLQMEIFNFLSFPRDISMYTIENFNADATAGGLLKARKVEEPKEMPLGVSAINMKNV -AYMNGLLNPKHTVTGESPYWKEFKRCHPRQVKGIEEFEDAYAPSVLSYDAYWKDLLKFNRVEHSKSGLNE -EILRHATLCLVRQLKEAGFKPTRIRTVEEVLSDVQWGKAAGPMYAMKKLELCKDLTEEELVSLAIRCRTQ -LKRGHNCGVWNGSMKAELRTIEKVLQKKTRVFTAAPITTLIGSKFYVDDFNKQFYGTHLKANHTVGINKF -QRGWEKLYTFLNKDGWLHGSGDGTRFDSSLDQFWFDLLYSIRVETFADEDKDEARVALGHMYREFVFTPI -HTITGQVLVKTLGNNSGQPSTVVDNTLILMLSFLYAYIRKTGDTTCQHIDSRFKFVCNGDDNKYSVSQDF -HATFGGDFSKEIAELGLTYEFDDLTEDITLNPYMSLVMMRTPGGIGFQLNPARIVSIVQWIKRGDVLQAA -QAAFAAMIESFNDPWLFGILHLYLVWLICQYKDEIRYAMDNNLGAVCYMDAYQVYALHYDTQEDIEHDKI -ETHDAAQGFDEIQVDHVAPYINLQMDLTAPAPSTTPETSKSKGKEKVTEVFGQQQTGGTNQGTQPVEEPS -NEPDTVDADDIEWRIPAIGKGVERYQIPRVKGKNVWNPRIIKKIAREQFTTTSQMVTQNQLEKWIEDVKR -DLATTSNSDFYICLSSWCLWCANNGTSPELDTSQFMEIHANGQVTGVPIQIFVEPAILHGGLRKVMRRFS -KMTSRMLAEGGRMTSWGIKRGFTDRALIPYAFDFFVQTETTPRTIREQLNQGKAAAIGRGTRRVMLLDGK -IHGSRTSYERHTDGDQDEFEHGSLDDQRPALY - ->YP_009507671.1 polyprotein [Broad-leafed dock virus A] -MAKSSNDVAFKVENVDTFNTHMLQLITKNELGILPETLKVLTAIIERRYEVCSMKDPRDFMMVDGKGKLL -ARFSQLRGREMTLKEFLSQSFSVPAQTSFTACDKVVKCVKGHQQQLAHLRVPSVDYVFGGLSRVCAIPLI -KNRVAKQGNCFFNALIAISYFIRQEDDIMFANIVKELDAVITSWPKLSDVSVYLQYLIAKLPYLGAMPIP -AVAVSHERKLIHFCDQRGVPHGWHVMKMGILAEAANAGFMKGSGIPNYYVGGTHETTVQLYSNQLAKVQG -KLKGWIRSGGLFEDLVKDTQLAAFVILSPALLSRLQNLIESEASAVVSIEKLEAAHENKVVAMTAVRTAL -TGVRIKSGDKDIEKIWHHIHTLMMGIYDGEESHYKGEMRQAVTNLYTTLVAEKKYVTACERALYSATEEE -FAKSFGCQPTWYDKFSFTAWRESRRGYVGAPRIKLQSLEDGLTGVACQFLSSLGIQMVLGLINTGCKCYS -LAKFICYIFLLIWGSLSLSKFMLFCMKQLIVRKFSNNYKKLVLFGTLFVIYELATYVARKMKQRKEKGDG -QELQLNSKENEKKMMAAMAMITLFVHAIDMDLALVMTSSLNHVARLVGLLTDSTTGWLVGGGRQELQMKI -FDLALEVDDTMKADEEVAATTSNHQDTFSAWVNEQLVLGNDNTRPLSYGRTDSLFTLTSDNATEVGQNMV -DTKRAWSLVVGQTGSGKSTKVPIAYYNKLQIQAGRRKNILVCEPTQATTQNVASALSHFHGKSVYFKHEG -KEQHGDSSIQVMTYGSAFFKSVNNPSFLSSFDAVFLDESHLISPHSLSMEGLLNKHTEVRKFYLTATPRD -RTLQQTVNRRFQIFEHQVEKADVAEFIAALNGKTNLDALQYGERVLVFLSGKNECDRAAAKVAGGVRGVK -SVSLHKDNFKANYQKIIDQLERDEQFVIFTTNILETGVTLNVDVVVDFGYTNSPVLNLVEKTLLLRKRRV -TDAERRQRIGRAGRLRDGHAIVVGKTVQATELVAADVVYEAALLSFVYNLDVYINAHFDHSWLAGITRAQ -AKTMLAFRMNSFFMRDLVFSNGSVRPELLQALKNNVQRSSNIKTATMQCVSHVYESWPMLGAHAPVDNLD -EKLVKSRVPFITHDLFEMQVEELAKAAQAYRSTVKSRWGKPVKEAANVIMHANQSNIHDAIRVAQSLRNE -AQRQIQEKERVQNLHRESPLACLFSKDTVRGLDXKIGEQIQMARRNIEKLDKFISTLEIFAASQEVGGEM -EITSEDLSDIGRCMELQMDTTCSNEHIQEVLGLEELPSVTFKDAIILGRQRVATAMMILCVAAFSGLAWW -YMWSDEDGLDNDYNKKNKKQVYEEILEMKGKSFNRDKRMPAMQEHKDMADDYIENNPDIEKFKSKRETKH -RSDGGAPLDRFMSKGPMVFKNFYDIASDEEVAKAIFSDENNHVFYETANPLKHMKEVEKHLNAHKATSNL -LAWGDEANDLIYCTVTKNDGSVYRVRLTPHNPHRLTKHHGVQGFENKFGIFRQSGQTEVLQRPQQELEIA -THLQTSQVNLDVAKMIGTVTVDGGLICCILYKDFLVMPAHVMMKKLPMRISFSHCTVVVTELPEMYSFIG -YDLVLVRRPSELAPIKCQAHCGTAHDGMLVQMIYKKPVTNKVVPTITAPIHQTKEHRWAHQIPTHNGMCG -CPVLDVVTGKIVGIHVMGDLAKKHNVFEAFPSEAITIMGTNDRKVHASYFRNKVNMWVFQPEMHGYLAKN -LVNLQMMELKTFSRNIEIYTKEKFEKSANCGGLFKHREIFGPRDSEFLHQFEHFDNLAYAHALLNTRHTY -VGESPYWLEFKRNHQSLVRGIEEYEDAYLPSRLTHTAYWKDLSKYNRAYKGVDHDEKILLSAADCLIHML -ESAGMTPTRIRTPEEVLSDIQWNKAAGPMYGMKKRELCQHLTEEELIAMAIHCRRELVRGENAGIWNGSL -KAELRPLEKVEQDKTRVFTAAPITTLVGAKAYVDDFNKQFYATHLHAPHTVGINKFQRGWERVHRYLDEP -GWLHGSGDGSRFDASIDPFLFDVIYSIRCHFMAPECKEEAEAALSHMYREFVFTPVHTIAGNIIMKRLGN -NSGQPSTVVDNTLVLILSFLYAYISKTGDTTCSQLHERMRFVCNGDDNKFSISREFEHEFGGDFSNEIGE -LGLSYEFDILTDNIMENPYMSLTMVQHPSGVGFQLNPRRIVGIVQWIKKGGVVHAAQAAFAATIEAYNDP -WLFGIMNLYLIWLLCEYKDALVYANEHELATICYMDPLQIHALHYEVHSEEGTQELQMDLEKKKKEEEQA -KALEAAELQAEKERAALKAKAALEAKGKKKMEDPPSPPPPAKGTDNVTDPPGTNSDDEEEIQWKMPAIQK -SSLSQLVPTIKGKKIWNHRVLKFIPDEQFDVNAARAKDEEYSAWVDDIRSSLKIRSETDFQIVLTAWCLY -CANSGTSSEMDVNQHFEVHDGNGKVGLLPAKIMIDSAVKNGGLRRIMRRLSEPTSQMLAKGGRLTTWGIK -RGITRREMIPYAFDFYVATSSTPKTIREQLAQAKIAAIGSGVHRVMVTDGKLQRARTSYERHTDDDVTEH -EHGDHGDERAYLD - ->YP_009344997.1 polyprotein [Wuhan poty-like virus 1] -MANTANESSFKVDNVDTFNTHMLQILTKEKLGMLPETLKVLTACIERRYEPCSMKDPRDFMMVDGKGKLI -ARFSQLRGREMTLKEFTSQSFSTPAQASFKECDKIVKCIKGHQQQMAHMRVPSVDYVFGGISRVCAIPLI -KNRVAKQGHCFFNLLIAISYFVRAEDDAMFANIVGELDGVITYWPKLSDVSKYAQYIIAKLPYLGAMPIP -VVAANHGQKLLHICDQRGAPHGWHAMKMGLLAELANAGFMKGSAIPNYYVGGTNETTVELYSNQLAKVQQ -RLRGWISRGNMMDDLVSDTQLAAFVILSPALLSRLQNIIEIGVSEAINLEKLEASHENKLVAVTAVKAAL -VGVRVKSGVKDIEKIWLHIHSLMMGIYDEEENQYKGEMRHAVTRLYATLIAEKKYITACERALYNATEEE -FSKSFGCQPTWYDKLSFTAWREKRRGYLGPAKIKLTSLDDGITGVAFQFLSSLGIQLVLGLVKTGCKCYT -LARFLLYIFLLLWGSLSLSKFVLFCLKQLIIRKFSDNYKKLVFLGAVFMVIELASYVSKKIKAQKNKEQE -LQLHSKENEKKMMAAMAMVTLFVHAIDMDLALVMTNSLNHVARLVNLLTDSTTGWLVGGAGRQELQMKIF -DLALEVDDKLRAEEEISVATTSNQDSFAAWVNEQMTMGNDNTRPLSYGRSDSTFALNSTNATEIGQAMVE -TKKAWSLVVGQTGSGKSTKVPIAYYNKLQTQAGRKKNILICEPTQATTQNVAAALSHFHGKSVYYKHEGK -EQHGDSSIQVMTYGSAFFKSVNNPSFLSHFDAVFLDESHLISPHSLSMEGLLNKQTEVRKFYLTATPRDH -QFKVAVNRRFEIFEHPVEKADVADFIAALGGKTNLDALQYGNNVLVFLSGKSECDRAAAKVSGGVKGVKS -MSLHKDNFKINYQKIVDRLEHDEPTVIFTTNILETGVTLNVDVVVDFGYTNAPVLNFVEKTLLLRKRRVT -DAERQQRIGRAGRLRDGHAITIGKTVQTTELVCADVVYEAALLSFVYNLDVYINAHFDHSWLAGITRAQA -RTMLSFRMNSFFMRDLVFADGSLRPEMLQALKGNVQRSANIRTSTMQCISHVYESWPVLGAYAPSADNMN -DQLARSRVPFVTHDLFDLDIDAIAMAVKAYRTPVKSRWGKPVKEVANVIMYANQNNIHDAIRVAQSMRAE -AKKQILEKERVQNMHRESPLACLFSKSTIRDLEKKLGEQIQMARRNLDKLNKFISNLEIFASSQEIDDDI -DMTPDDLGEIGQCMELQMNTTCCPEHLVEALKLEELPSVSFRDAIILGRQRVATAMMILCVAAFSGLAWW -YMWSDDDGLDNEFNSENKKQVYKEILEMKGKSFNRDKRMPAMQEHIAMADEYIENNPDIEHFKSKRSSGK -KKSDGGAPLDRYMSQGPQPFLSLYDITMDEDVVKAVFSDENKHAFYETSNPLKHMKDVEKHLNEHKAKSN -LMAWGDEANDLIYCTITKNDGSIQRVRLTPHNPYRFTKHHGTQGYEEKAGQFRQSGQTEILRHPQQELEI -ATHLQTSNVNLDISKMIGLVTVDGGQICCIMYKDFIIMPAHVMMKKLPMKISFAHCTVTVNELPEMYSFI -GYDMVLVRRPSELAPVKCQAHCGNAHDGMLVQMIYRKPVTNKAIPTITAPIHQTKEHRWAHQIPTHHGMC -GCPVIDVVTGKIVGIHVMGDLARKHNVFEAFPSEALTIINTNDRKVHSSFFRNKVNLWVFQPEMHGYLAK -NLTNLQMMGMKSFSRDTSIYTVENFIRSARCGGLLKHREVFPPIGGSVREQFEHFDDLAYAHALLNTKHT -YVGENPYWLEFKRNHQVLVKGIEEYEDAYLPSRLTHSAYWKDLGKYNRAYKTTTHDDGILLQAANCLIQM -LKDAGMMETRIQSPDEVLRDVQWNKAAGPLYGMKKRELCKNLTDEELISLAIHCRHELVKGENAGVWNGS -LKAELRPLEKVLQDKTRVFTAAPITTLLGAKAYVDDFNKQFYKTHLHAPHTVGINKFQRGWERVYEYLNK -PGWLHGSGDGSRFDASIDPFLFDVIYSIRCYFMAPEDRDEAAAAMSNMYREFVFTPVHTIAGNIIMKKLG -NNSGQPSTVVDNTLVLILSFLYAYISKTGDSSCSQLHERFRFVCNGDDNKFSISREFESTYGGDFSNEIE -DLGLHYEFDILTDNIMENPYMSLTMVAHNAGVGFQLNPRRIVGIVQWIKKGGVVHAAQAAFAAAIEAYND -PWLYGVMNLYLIWLLCEYRDALLFAEMNDIATVCYMDPLQVHALHYGRYDDDDLGEQELQMDPDALKKQG -EAEREKERKEREQALAAARKGKELEKLEKPLSDIPPATEKPKGTGDITTPTTELDSDDDEIQWKMPAIQR -HSLSRLVPTIQGRKVWNAKVLKHIPEEQFESNSARAKDEEYGAWVDAIKSSLGVKTETDYQIILTAWCLL -CANSGTSSEMDASQFLEVHDGNGRVGKIQAKVFISPAKLNGGLRRIMRRLSEPTSQMLARGGRMTTWGMK -RGLFKREMIPYAFDFYVATPDTPKTVREQLAQAKIAAIGSGVQRAMITDGKLQRVRTSYERHTDGDNSEH -EHGDFDNHDAYLD - ->YP_009175089.1 polyprotein [Rice necrosis mosaic virus] -MATPPRVDDFIPEVFYKKQVDKLKELLKAWSSQTTVYHKLAGDRELLAFLMLSRALLNKLSAYLEAEDKR -CMVMALNADTVETLAVVRQALIGIKINFGSWPLEQGWMMMMKQLDSCLDESYTENAQSLKKAMQVVGMKL -LAAKNRIEICERSVDNYGLNTTCYDRLRDIGSRVRDTILRKPSSNIFPEEIRMSYFRALTTTLHLATQQF -FLKALAYLCGIYQPLQWLFNFCVGTYAMCNMFNISWLVVKWSLRTHFGKHWTIYAASFLVCVTTTCFWVL -NQREKTYLQSAQKQRKFIGILAFCITVVYMFDVDMADALAANLGKITRLVGMYTDDYNGFANNATTWLQS -GINSNQLSGVQNTLAVVLNLDDEDTDGDGTVIESEDQLTFKAWASTNHLAGKQLVRPLQYDVQARYALNS -DNVEELSRQMCSERKQWSQVVGYTGSGKSTVLPVAYYNDLKSKIGRQHCILVCEPTQAATVNVVGGISHN -LGTLVFGRHEKWENLGDKCIQVMTYGSALASQARDSSFLTTFDAVFLDESHLVTEHSLAFESVCHAFPQV -CKFYVSATPRDGKDLPSAKRRFEIKTVTSECNSVDTFITSQTEENSLYVLNHNTVLVFLAGKRECVNAAA -KWNKNNLGDMMAYPLSSDNFHTMYSKLIQEMDNNRVIVFCTNILETGVTMNVDCVVDFGWTMRPELDLVT -KTLSLRRKRVTKNERAQRVGRAGRLKEGYAIVCGKTDASINAVPPETLYGAALLSFVHGVQFYMNEFFER -EWIEGITKAQARVMTQFKLSPFLMRDIVRSDGSIPRAILPCIQNYAHRTCDLKSTKTSVLPMVYNSWPSY -TRLLAEVSRGEEKPPSALRRARVPFIISTMTDFDWEKLAHACLYFEPRVISVFSQSDETKRVLNLQLSES -GIMSSIEYVKATMNEYKRSISNLQRVIETFEVQTFITKLTRLDPTKRIRERISLYTDNVQTLQQALSKLE -TLSYSNNCEVSMDKASLDQLTEMVELQCRGVLNRNHVARIFKLSSPPSQLLNLLVEKSKAFIATILMMIA -AVSVWLVFKMRSENKKEATHEGENQASDLDHWILEGKGKTYHDRYKRMGFSTEEEVGIYQEFHEATKRNK -LTKHSQPERRKSKLRTEKPAFMHFYDLKTDSNVLQAVFKTFSGVIFHVTKNPTADAAKLHEKLEQHLESD -VNASSAWGDDETGAITCELTMKDGRKFLINMDRHNPMKPTEMGDEQGFKEFDGHFRQVGSTKLLGQAETS -VTHLEAASVKPMTKFSLDTRLMVGIVETPQIKQNVVLYGDWIVSPAHIQVGSGQITFKFIHTTYCTTTDV -LNKNGVVRFKGHDLILIRRPNGIPPVRKEIDAAVLTKPTEIQMLFRSLVDLKHKVTVSDMCFQFGRSRWA -HTISTDFGMCGGMVFDAASNKFLGVHTSSNPINKRNEFQPFTQEMLDVMNSSGRKVEPGTWEFKKGDCGY -EGQPLAILQGKAEDELQQLNDNAAGFGFNLDAQIVRPPTLRSQEIFAREFGLDTTFKLVGQVTKGLIDKH -VITGENPYFHKFLEEYPQFKWVQSEMYEYAPSVLAYDAYFKDLRKYDRPHHPKIYDATVLEASKEQLIKM -LKLAGLTKTNVRTAEEVLMDTQWSTSAGPLYHGKKLDVVEHLDDEELIKFAETCRQALLDGRLNGIWNGS -LKAELRAIEKVEERKTRVFTAAPITSLLAMKFYVDDFNKQFYGTHLKAPHTVGINKFSRGWEKLYNKLNQ -PGWMHGSGDGSRFDSSIDPFLFDVVLDIRKRFMHEKHHAALHIIYGEIMNTKICLANGLIIQKHCGNNSG -QPSTVVDNTLALMTAFLYAYARLTGDVKFEELDKNFIFVCNGDDNKFAISPEFYWKYGCDFSPFLSELGL -KYEFDEITDDICLNPYMSLTMTPTKLGIGFSLAPQRIVAIVQWSRAGGVLHAYLAAIAACIESFNTQRLY -LAMRAYALYLMDRHKHEIAALAELKGMDAMKLHIMGHAIMHYVEIPDYYQYGDMTTANIVILDDEVPDSE -NSMTFLQAGPGDGNNLTETENPPKTSDGAIIPKTQATWSLPVIKPKAIGFSTKIPIDLIAQVPEGYVETL -SIKATTNQHQKWVQDVRSDLGITDDETWHKVVAAACIFFGDNGTSEDMDEDQVIEVKSGLNSTQELPAKP -FIRHARRNATLRKIMRHYSFETKLLFMKMRRIPHWAVKHGCVDEIVFDFMIPDAFTSRQAVEKLKQTKIS -AIGVGTSNSMLTSETTNLKKTETRRRNDYDGHEGLIH - ->YP_009129267.1 polyprotein [Artichoke latent virus] -MATVKANVNFKVDNVDTLNTHLMQLMSGSALKIQPLTAAALMRMVDRHFEANAMIKGSEFIMVDGGGKTL -ATFNTSMRNNANLETFMKGEIKFPAQQSFELCDKIVKCTKMHQQHVAHTRLPAAGYTFGGYSKLCPIPLY -QGHVAKQGHCFKTLMLAISYFVTKEFDGVFAGIMDEVTSNIKSWPSLKDVSKICQYIISKVPILGPVPIP -VVAVDHAKKLIHICDQRGIPDGWHQLKMGTLAELANAGLLSQSSLHTFFVGGDAQQPEEMLYLRGLSKVK -ANLRTWVTKGTMVDDLARDIDLAAFMMLSPVMLSRLRTLIEREEASAMKAIVMDSSCNNKLVVASALRTA -VQGVLVHTGETRIERIWLQVNNVLQDHLNSEGKEIEVALSRALHRLHNHLMSEKKLIFSYERRLNLLTHD -QFKLEFGCSHGWGSKFYYMLAGRNGETDVGKSSISYKIFNDGITHRTIEAINAYFIISTVCVINTLNALF -GLVQFAFYIMLMCFGFMSLTKFMFHCAKALLIRRLATNWERMMIITSIFLIYELSRFIMKKKAEREKLNE -GRSEELQASVKSSEKQMMAAMAMMTLVVHAFDIDLAITMSGALNHVARLANMLTDTTSGWLVGGTATEEL -QMHIFDVALEVDEQQKMEGEMMASTSSSLDTFSAWVNESVATGVDNTRPLTYGSVDNVYKLDSENGAEVG -ASMTNTKKAWSHVVGKTGSGKSTRVPLGYYNALQTMAARRRNILICEPTQATTQNVANALSHFHGKSVFY -KHEGKEQMGDMSIQVMTYGSAFFRSVHNPTFLDDFDAVFLDESHLITPHSLALESLLNKHTKVRKFYLSA -TPRNGISCDDVARRFQIYEHTVEKCSVDEFIAQLDKGTATDPMKYGDKVLVFLAGKGECDKAASKVMSTN -LGIKAVSLHKSNFKTNYVKVMNSVDGGDRVIIFATNILETGVTLNVDVVVDFGFTNSPVLDLTGKTFLLE -RRRVTQAERKQRIGRAGRLRDGHAIVIGKVGRTEELVSADVVYEAALISFVYNIDIYINSHFDHVWIGNV -TRQQAKTMINFRLPSFAMKDVVFPDGSVRDEFLRAVKDRTNRSANIKTLQGCTINPVYEGWPVLGTYAQK -FGIAFEDSRYNNLSKMRVPFIFHDMNELDIEAYAEAAASYKPSMLTRWAKPAKEVSNVILHVNQKNVCEA -IGVVRSLIASTKSLIQSKKHNQNLHRESPLACLFTKRTTLELESKLGEQIKLGERNLVKLTKLLGNLELF -MNLDEMKDEEPELSSGDMEEIGRVLELQMQTTCNEDHIATVLHLEELPSTTFREAIVIGRKRVACALVLM -CIAAFGGLAWYYLWDDDTGLDNKWNKKNKKAVLKDVLEMKGKSFNRDKRSATAYEKILKDSYEGEDNYNL -DEFRTRRKGRADADKTPFENLMTKAAPFITMYDITSDENVVNAVFMDHNKQAFYETADPLKNMGEVKKHL -EQMKLKQPVFKWGDEADDDVFCDVTTRDGTTIRVRLTPHSSQRLTSTHGVQGYAQDEGRYRQTGDAEVLK -MPTQHLEVDTRLADNMVNLDVGNMIGLVSVKGGNANCLLYKDWVIMPAHVMMCKLPITLTFKHYTVTLST -LPECYSFVGFDLLAIKRPSTLAPVRCSATLESAKSGMTVQMLYKKPVINKTLMTVTDVAYKTKEHRWEHQ -IPTIYGMCGAPMLDVSSGKIVGIHILGDTARKCNTFEGFPPDMLKLVNSNDPKVRNLFVRERVKTWEFVP -EMHGHNSARIKNLQIDTIEFRQFTRDTSIYTVENLTRSAAAGGLFKPREVMEKRSLPPTVSAIHMHNLAY -SNGLLNTRHIFEGENPYWKQFKLCNEWVDPIVERYEDNYMPSALNREAYWKDLLKYNRPQHLCKVDDVAL -KSSVAQLVRELERAGMTKTKIRTTDQVLEDIQWGKAAGPLYGMKKKELCKNLTMEELTSLALHCRSELNK -GKNAGLWNGSLKAELRPKIKVEANKTRVFTAAPITTLIGSKFFVDDFNKQFYASHLKAPHTVGINKFSNG -WAKVHDKLNREGWLHGSGDGSRFDSSIDPFLFDMIYTIRCHFMCDDDRREATRAMSNMFREFVFTPIHTI -SGNILVKNVGNNSGQPSTVVDNTLVLMLSFYYAYAVKTKDYAFDHIDDRFVFVCNGDDNKFAVSPAFVKE -FGGVFTNEIAQLGLNYEFDALTPDITANPYMSLTMISVCGRIGFQLNPERILGIVQWIKKGGVVHASQAA -FAAMIESFNDPDLFSVMHSYLIWLLVTYRQELRYAMHNDLVSVVYMDPAQVFALHYNDATDHHTWFSDDL -DEPSSDDDDDDVQTQELQMNREEIEAAARKEQEEKDAAAKEESERKEAEEARGIRERTRKGKDEIPGPTA -TPSSSDSSLEKGNENISEPQGDPEEKEEDVKWVMPALNPNRGGVVIPTINGKKLWKRGILKHVPKQQYDA -TTTKATQTQLTNWVEAVKKDLRLKDDNAWALVLTAWCIWCADNGTSPEVDTNQELEIHDGLGKVQAVKID -TFVDPAIENGGLRKIMRHFSGITQEILAKGGRMSAYGIKRGFTDNIMIPYAFDFMVANKRTPKIVREQLA -QAKIAAIGHGIRRSLVTDGSVNGSKTSYERHTDQDQDEFEHGHDVDRRPHLT - ->YP_006590058.1 polyprotein [Chinese yam necrotic mosaic virus] -MATVKSDINFKVENVDILSTHSMQMLTGKPLTIPDRTRALLVEIVGKRYQNNDGNTSADFVMLDGKGAMI -ANMCMAITKGMKFKDYKDGMVNMPQQTSFAECDKVVKCVKVQQQHLLHARLPTNDYVFGGMSKSNAIPMI -KNMVPKSGSCFLSAIVAMSYFVTPEFDEIFMQTINDVLGELGSWPTLQNVSKAMQFILAKVPTLSMVPLP -VIAVTHEKKLIHICDQRGVPNGWHILKIGTVAELANAGFIKHTKLNGYFVGAPESITDDKMFYTRNINKV -KNLMTLWRSPERFVEAMANDIELTAFILLSPSLLSKLYNLLSIGSSEALKIEALERANSNKIVVASLINI -ALQGVRVKMGETSLEKVWLHLLNVLTANLSIEESRRNTDILQACNAVYNELIKEKNYMYHCEKQIYNLTD -KQFEDMFGCSRTWHSKLCDKLSHLNVAKSVQQSSVKLSCFSDGITHRTIQAVTHHFIMCFIRLLIFAQHA -YSILRFFFLLAACCWGFMSITNLVFMAFKAVVMRKLATNYEKLLIFASIFCLYEVGRFIIKKRKANKDKA -AGRTTELQAYGKSSEKQMMAAMAMVTLFVHAFDMDLALMMSNSLNHVARLANMLTDTTTGWLTSGGGTQE -LQMKLFDVALEVDETMTHEMDQQAAMDCSNETFAAWINEQVLLGNDNTRPLAYGRDDSVFYVTRDNAIDV -GQDMCDTKNAWSQVIGQTGSGKSTRVPLSYYNKIQTLPGRNRSILICEPTKATTQNVAAALSTQHGKQVF -FKHEGKEQAGDPTIQVMTYGSAFYRSCNNPAFLSNFDAVFLDESHLVSAHALALESLLNKNNRVRKFYLS -ATPRKQFPEMTGSRRFEIFEHQVESGDVNDLISAIGKGTIMDATKFGEKVLVFLSGKKECDRAATKVNST -NSGVKAISLHRDNFSANYNRLCHDLTQPGKIYIFATNILETGVTLNVDVVVDFGFTNTPVLNTSDKTLLL -NKRRVTQAERKQRIGRAGRLREGHAIVIGKTSTPFETVSADVVFEAALLSFIHNLDVYVNAHFDQAWLSS -ITRDQAKTMMAFKISPFIMKDLVFANGHIRSEMLEFLKPHLHHSANIKTTNYQCVNHIYESWPRLDHHSL -IDSMQNNEEKTKKIHKMRTPFITHDLNQLDLEMFANCVEKYRPNVLTRWGRPVEQTTNVLMHVNQENIHA -TIRIANLLRYDYQQQIQQKKQAQQLHKDSPFAYFFSSKVVDELASNIGKQVAMAQRNVSKLDKFISRLEM -FATMNEMAGDVEVTQQEMHEIGQCLDLQAEGTFSKDNMNVILSLETLPQTTFRDAIIIGRKKAIWGIMIL -CCAAFGGLAWWLLWDDDEGLNNDENKDRRNEVCSKVLEMKGKSFNRDRRNPMMQDHFDAADFYMRDVEDF -ASLRSRRKVSRVDDAVSPVLRYAAKSRPFITLYDINVDSEVATAVFQDHNGQAFYETANPLKNMDLVREH -LNKHKAKDGTQIFWSDESDFDIFCKITKTDGTIMKVKLTPHEPLRMARRGTQGFVEKEDCYRQTGQAEIL -QHPGVNLEMATRLPENKLNLQVADMIGKVSMSEGTIHCILYKDFILMPAHAMIKQLPMEISFKHFTITID -TLPEAYCFPGFDIVLIKRPAKLAPVRCHATLAQATDGMIVQMVHKKSVSDKTVLTITAPIHQRDDWRWAH -QIPTVSGMCGAPVIDVASGKIVGIHVLADSLKMHNVFETFPSQLLEIINTNDKKVHQRYHQARVNDWTFL -PEAHGYFPSELVGLQMESFEFIEFSRDTTMYTVDNFNRDATAGGLLKSRVVEESKTLPVGVSATHMSNVA -YMNGLLNPRHTITGESPFWREFKRCHPKQTKGIEEFENAYAPSVLSYDAYWKDLLKFNRAEFKADKIDKD -ILKCATLALVKQLKDSGMQQTKIRTVEEVLDDVQWGKAAGPMYAMKKLELCKDLSEEDLTALAIHCRTQL -LKGKNCGIWNGSMKAELRVVEKVLQKKTRVFTAAPITTLIGSKFFVDDFNKQFYGTHLKASHTVGINKFQ -RGWEKLYNFLNVDGWLHGSGDGTRFDSSLDPFWFDILYSIRSNFFCEEDRQDAKTAMAHMYREFVYTPIH -TITGQVLVKKLGNNSGQPSTVVDNTLILMLSFLYAYIRKTNDRTCAQINQRFKFVCNGDDNKYSVSPEFH -EEFSGDFSREIAELGLTYEFDDLTADITQNPYMSLVMVRTPGGIGFQLNPERIIAIVQWIKRGDVLQASQ -AAFAAMIEAFNDPWLFGVLHLYFVWLLCQYREEIRYAMDHDLGAVCYMDAYQVYALHYDTRDDINDLQID -SASCEIEKTVVVAGSTQHISLQMDLSTPVQLPTKKPSVEDKGKALATPSTTATLPDSSQGHQPVEEPNNN -PDTVDEEDIEWRIPAIQKGFGHYKIPKVKGKRIWNPKILKKIAHEQFTTTSQMVTTDKLEKWTEEVKRDL -VVTNETDFQICLTSWCLWCANNGTSSELDASQFMEVHANGQIMGIPIQIFVEPAIQHGGLRKVMRHFSGI -TSKMLSEGGKMTAWGRKRGFTQRSMIPYAFDFFVPTDTTPKTIREQLSQSKAAAIGRGVQRVMLLDGKVH -GSRTSYERHTDNDQDEYEHGGAEDQRPALY - ->NP_659025.1 polyprotein [Oat mosaic virus] -MTSTGTFLAPETFYRNNVAKVKAIFHSNDTARVINAISGDFELVAFLVLSPAHLLKLEDTLRKELNFTAT -LMASTDASFETIAVLQTALDGFKYHFGTTTLEKGWFSMMTHIHDCLEESSSSAATALQEQVQKVGSLLLS -GKNRIESCELSVLRLTARDFKIEYGLKGSYFIEHCDLPLHLKQKLCGTTPKEFSWAKSKERPLFTIPKSM -KFTPESFIVFFARVIPFMRNIIHTVSLMYWSCVAVVNLPTVMSFLFRRQFTKYVAASFTKRSLYFLIISI -VAVLWIVRTHINQKAKISLQARNAPESEKKLMMLLASVVGVTYLFDYDIAETMGNCLHKISRLANYLMDD -YQGIASKLTQATYGLQAGDSTEEASTTLIKDMMSVTFQIVDEDEEEGVLEDNVGVSFRDWVATNTTAGRN -MSRPLQYPVRATYALTPTNAPNIAEEMADSTNCWSMVVGFTGSGKSTYLPVLYSNYIARKKDRRQQILIC -EPTQAATENVWAGIAQNLGRAVVGRHEGWHKMGDHCIQVMTYGSALQCQAAEPSFIDSFDAIFLDEAHDV -KEHSLVFESLCDKSGSVRKFYVSATPRDGTVCPSAARKYPLHEVSSVCDSYKKFISAQGQGDLLDATKHD -SVLVFLKGRNECVKAAHAWNSAVIGEKKAFSLSSDNFATDFTALTERLKTHKIIIFTTNIIETGVTLNVD -CVVDFGTTMRPALNLHNKTLKLESRRVTLNERKQRIGRAGRLKDGYAITCGDVDRTATVVSPDVVYGAAL -LSFVHNVPFYMNGTFESSWLEGITKMQAQTMAMFKLPIFLTRDLVNPDGSVAKEFLDVLKKHQFVTSDMK -QAPNMRARQLFPSWASYYLLVQSMHYGEDKEVIPSHLRNASVPFSVSTLSKFDWAALAEACEKHRPSVVN -CFRSTDEPMRVVTLQTNPTNILCSIRHLKGMQRSYERLIQNNQIVRQSIGNSVTFKWFSPSKITADIDSN -IRKCINNLTVVQTALSTMEQMLANNGQALATNDMQHKPTHIIELQASCTLDDATLASALGIFKPQQNLFL -LFCTKGIKILYVVFALILVQLLYKLYQFWKAQSKARKETGLHETMAPDKATAVFNEIVQMDRATRKNANL -DLIGEKAPFCFHFTDIEEMTASDIVELEGKGNKYRPREDARLMYSVRDEASMNEFNEKAREKRKNLARNA -EPDRKKAYEKRPYYNFYDLQVDSNILEAIFYTMEGDEFFRTENPNKDMHSIARRLTEFLQTQPIVGRNQR -KLLEETAEVVVKDNKGYAHRMEISQHDSETLKHNNKGKVGYAEHNGDFRQSSEAIVGEVALEAEFGPEIE -PNVVLEAATQITLSQVGIDVAKNVGKLTIGTFSVNCYFYHDWIVAPAHIQERSGGITIEMPDQTIHSTTD -NINAHGVRRFKGLDMVAIRRPSAMRPRKKLIKAFALQEATIAQMVFVDNNGVRKFTQSDWIRIEADSGRW -SHKISTIEGMCGCPVFDVGKNRLIGIHVATNLSTKRNEFQAFTQEVVDFINGPGTRVETGRWHFYRPDCG -YKEGDAVFIKEQAGDDLQIINNSIVGFGSDLKGQLVQPASQSTKNKFEKLFGGGNFQLIGEMNKGLIDKH -IITGETDDVRDFMREHPTFAWLEDFMNEYAPSDLSYTAYYKDLCKYNRGKHVLTFNPTELDAATKGMIKI -LEDAGLKPGTLRSAQHVISDIQWNTSAGPSYQGKKRDLCAHLTEEEVLDFAEVCRSEFLAGHSVGVWNGS -LKAELRTIEKVEAQKTRVFTASPITSLMAMKFYVDDFNKAFYTTNLVAPHTVGINKFSRGWEKLHNKLDR -PGWLHGSGDGSRFDSSIDPFFFDVVKVIRKHFMPEEHHVAIDLIYEEILNTTICLANGMVIRKNVGNNSG -QPSTVVDNTLVLMVAFLYAYIHKTGDVDLSLMDSRFVFVCNGDDNKFSISPEFNEQFGHDFSPELSELGL -TYEFDEITTDICENPYMSLTMVRTPFGIGFSLPVERIISILQWAKRGGVLHSYLAGIAAAIESFNTPKLF -KSIFSYLIWLTETHRDELLASMTSTANALPIPSMLDIYQLHYGGSNIVLQASTGEKAKTGDLTATAPAPF -VTHPDDEDEGKITRGPGQALTEVGKTWSMPKVTLKHSGPKFKIPLNKLATIPISALEHNNSIANESERKA -WEDGVRTDLGITDDDKWYNTLIPFLGWCVNNGTTDKHKESQIMQIDNGTGSMSEVALSPFIIHGRINGGL -RRIMRNYSDETVFLIGSKRVKMSMKFSMKHGSHVNPAYSFDFFVPRPWMNTQDIEISKQVRLAALGTGTY -NSMLTSDTANLRKTTHHRVLDSDGHPELTP - ->NP_604491.1 RNA1 polyprotein [Barley mild mosaic virus] -MEEFIPEVFYQNQVQSLKKILKSWKRDTSIYAYLAREQEVLAFLVLSPAHIAKLNKLLTEESARCALLAQ -NCETIEALAVVRQALQGVTLHFGDNGMEKGWLHMMKALDACLDESFSENAAALKKSIQAVGHKLIAAKNR -IEGCERSVNHLTTFQFAREYGLSTTYFEKLSNFGGHIRSFVWSSDSGEIFPKLKRSSRTRRISTFTSYYW -GEFWCNCIWFLCCCWSPARWCFNSAVFIWSLCGVLNLSMIVLQFALKRHFGRYYFRYIMSGVLAICAVCC -VHLKNRKGPILQASQKDKRLIGILAFCITVIYMFDVDLADSLSNNLHKISRLVNLFLDDNRGFATPALDN -LTDFTTILQSGTSSDDLKIVQDTLAVVLQVDEEDATQDDAIYDSDGLQTFKQWVSHNQLAGMHLARPLQY -PCSNTYGLTADNVAELATSMAQEAKQWSQVVGHTGSGKSTRLPTAYANCLKGLAGRKKNVLVCEPTRAAT -VNVTSGISQNFGRLVYGRHEGWSNLGDKTIQVMTYGSALAAWKVDNKFLSQFDAVFLDESHLITTHALVF -ESICQEVTNVRKFYVSATPRDGKKCPEAVRRYEIKTVKTECSSVDTFVRSQEKENTLYVLNHDTVLVFLA -GKAECDRAASNWNKLYSTNMYAYSLTGDNFTIAYEKIVTRMLTERTIVFCTNILETGVTLNVDCVVDFGF -TMRPELDLVDKSLTLMRRRVTENERAQRIGRAGRLRTGYAICIGNPETRHDLVPPETLYEAALLSFVHGV -QFYINEHFENAWIEGVTKSQASVMTQFKLSPFLMRDIVRDDGAIPLSLHKILKDYTHRNTDLIGTKLSVM -SHIYNSWPLYRTVHQSIFRGDSNVPQTLRYARVPFNVSTAHDFPWEDFAQACLEFQPRVLQVFSDNSSTS -RIINLQIGKMHIVNSMEEVKINIHSYQRSAENLRSVKDSFESSIFRTKLLRGNPTGKITKRIETLLDNVR -VLQQVHAKLEMIAYSGGEKVNMDKKSVDELNEIVELQSKNSLTAEELARILHLTKPTSTFFNLFAERGRQ -MLVTLLVMVAASLMYLVFWVSPRKQDDITIEGKGRAYNRDKRMGYDSYEEDEVRNKINKKFKERSTRFSN -DSKPETNSKYRNLKQEFVNFYDLKTDANVLQAVFTAMDGAVLLQTESPMADIGRVNRLLQDHFGDSESRA -VHEGLNTMVKCHLTMKDGRQFELDMEQHDPEYVAKLGGEVGFRMNRDDLRQVGATRYINPRAQTSAATLE -GMTMKPMSSFTIDSAKMVGFIKTAKDTLNCILYGDWIIAPAHIQQGEGDITFIFQHIQFTTTTERLASYG -IRQFKGLDLVVIRRPQQIRAVKKDMRASILDTPTEIQMLYLSVKGGKYQVSTSAVCFPHYNNRWGHVIST -AEGMCGCIVFDPTTNHIVGIHVSYNDTRRRNEFQAFTSDVLTTINAPGHEIPFSPWVFDWKFCGYTTKPR -NMQSAPSTLERLNINATGFGFKLNAQEIKPAMLRSTESFSREFPNTQFKLIGEVKKGLIDKHTITGENPY -FLEFLDTFKPYQWVQAFMDEYAPSILAYDAYFKDLKKYDRPPHANAFCQNTLTKAKNKMINILEEAGMGR -TLVRTTEQVLLDMAWTTSGGPLYHGKKIDIVQHLSDDELVQFSEACQQALITGTLDGVWNGSLKAELRSS -QKILERKTRVFTAAPITSLIAMKYYVDDFNKQFYKTHLKAPHTVGINKFNRGWQNLYEKLNKPGWTHGSG -DGSRFDSSIDGFLFDVIKDIRKHFMDAEHHKELDTIYEEIVNTKICLANGLIIQKNCGNNSGQPSTVVDN -TLALMTAFLYAYVRLTGDHAFELMDENFVFVCNGDDNKFAMSPSFMTKFGCDFSPFLSELGLTYEFDEAT -EDICENPYMSLTMVRTSFGIGFSLSIERIVAILQWSRAGGVLHAYLSGIAALFESFNTPKLFNLVHTYLL -WLITEHEEELFSMMELRDMFMPLPTKEQIALLHYVGTEPIVEETFLQAGHEEPDPIVPPASDTDLTNMAA -APPDNRRSRAVIPRGTSDWSMPEPTMRTLGFKSKIKIETLADVPEGYMNTFASVATESQRRKWEEATRGD -FGITDDEKWEKLLIAACIYFADNGTSPNFDEELTMEVNGGLNSIKEYPVRPFVVRAKKISTLRRIFRCYS -IETKLMFVKLRRVPHWAIKHGCLDEIVFDFMIPDQFTSRTALETLKQTKLAAIGVGTSNSLLTSEQTNMR -TTETRRRNDYDGHEALLR - ->NP_148999.1 polyprotein [Barley yellow mosaic virus] -MEQTLAQAVSRRNKTDTPMAEERKHFSPMNFSANFVAPELFYSANVRKIKNIFKERSTTRFLDAISSDFE -LVAFLTLSPAHLMQLETVLRQEMRSCAVPIVTSDASFETVAVIKTALDGMRFHFGYTTLEKGWISMMRHA -ESCLQESSSSAVNDLQTPIKRVGSLLLSGKNRVEGCELSVLNLTARRFRIEYGLNGTYFGEHVAMLRDLK -RYIYGTVPKEFLWAKTKKHLPFTIPAWITRTPIDCFLFCLRVIPILHRFGVAMSLIYFSCVAALNFPAFM -GFLFKRQFAKYLAHSFAKHSIYFMFLTIVAILWSFRTFTSQKPKIVLQARSTAEKEKKLMMILASVVGIT -YLFDYDIAEALGNCLHKISRLSSYLLDDHQGIASRMFGASYGLQAGDSAEDAVTTIISDLLSVTFKIVDE -DASQGTVEDASETTFHSWVGVNTLAGRNMSRPLQYSVNKTYALTPQNVQLQARAMADANNCWSMVVGHTG -SGKSTYLPVQYSNYLSTKSDRRQQILICEPTQAATENVCAGVAANLGRAVYGRHEGWSRMGDHCIQVMTY -GSALQCHAMDPSFISTFDAIFLDEAHDVKEHSLVFESICDTFKSVRKFYVSATPRDGSVCPEAARKYPLH -VETSVCDSYRKFIAAQGGGDLLDISKHDTALVFLAGRPECIKAANAWNASVTGEKRAFSLSSDNFATDFS -MLTERLKTHKTIIFTTNIIETGVTLSVDCVVDFGHTMRPCLDLNQKSLRLDRRKVTRNERQQRIGPTGRL -KDGYAIVCGDVDRAVNVISPDVLYGAALLSFKHNVPFYMNETFESSWLKGVTKAQADTMTIFKLPIFLTR -DLINADGSVAKEFLDVLKKHQFTTSDIKQAPSITAKHIFPTWASYFSLHQALHYGDDKDEIPHELRYARV -PFSVTTLSKFDWPALALACEKHRASMSNVFAGIEEPARVVTLQTNPANIQASITHLMHMSKNYKTLIENN -QHVRQSMMTNVMYKWFSSTRITKDLDRNLRRCTDNLAVVEATLSSLRQILAGNTQVHATPHMQSTLEDII -GLQASDTLTEESLASALGIFVPKSNLFLLLATKGFKLVYVICILLLVNLVYIGLRKWREHLKQKGSDEIL -TNTMPVSEGGEILAEVMKMEPKMRKNIKRDMDEAVESKLCGFTFVFPDDDKIGLEGKGNKYRPREDARLM -YSTREDATFDAWNEKAKERRKKVTDKSEPELRRAYEKRPYFNFYDLQTDSNILEAIFYTTEGDEFFRTAD -PNKDMNLVADKLRSFLDTKLVVGHHQRKLLEETAQVVIKDTKGTAHKMEISQHDPDCLKQNGSGKVGYPE -HRGQFRQEGVAITSDYDLGVEFGTDTDNITLEASTGILLSQVGVDVATRVGRISIGTFNMNCYFYNDWIL -VPGHLQDRSGNVTIQFPDQTVQTTTDALNANGVKRFYGLDVIAIRRPAILRPRTKLVKAFAIEEPVIAQM -VFVDAQGVRKFTQSDWARKGENSGRWSHKISTVLGMCGCQFWTLERQIDGIHVATNYTKKRNEFQPFTQE -VVDFINGPGTKIPYCPWVFDRPACGYASHTALFEKPTTLTDVIHMQASDGLHNINNAIEGFGSSLRGQLV -SPPTESTRQRFDKLFGSGSFELIGQMNKGLIDKHVIVGENDDVYDFMREHPTFTWLKDFMNEYAPSVLSY -SAYYKDLCKYNRAKHVLTYNPEELHYATKGLIKMLEDAGLTQGSVRTPQQVISDIQWNTSAGPSYQGKKR -DLCAHLSDDEVLHLAEVCRQQFLEGKSTGVWNGSLKAELRTIEKVEPEKTRVFTASPITSLFAMKFYVDD -FNKKFYATNLKAPHTVGINKFGRGWERLHDKLNRPGWLHGSGDGSRFDSSIDPFFFDVVKTIRKHFLPSE -HHKAIDLIYDEILNTTICLANGMVIKKNVGTQRQPSTVVDNTLVLMTAFLYAYIHKTGDRELALLNERFI -FVCNGDDNKFAISPQFDEEFGHDFSPELVELGLTYEFDDITSDICENPYMSLTMVKTPFGVGFSLPVERI -IAIMQWSKKGGVLHSYLAGISAIYESFNTPKLFKSIYAYLLWLTEEHEAEILAAMTQSSTALPIPSMLDV -YRLHYGDDEIWLQAADPLTDAQKEAAHTAAADRARLDLADADRRRKVEADRVEAARVKKAADAALKPVNL -TATRMPTEDDGKLKTPSGARIPSSAADGNWSVPATKQVNAGLTLKIPLNKLKSVPKSVMEHNNSVALESE -LKAWTDAVRTSLGITTDEAWIDALIPFIGWCCNNGTSDKHAENQVMQIDSGKGAVTEMSLSPFIVHARMN -GGLRRIMRNYSDETVLLITNNKLVAHWSMKHGASANAKYAFDFFVPRSWMNPQDIEVSKQARLAALGTGT -YNTMLTSDTTNLRKTTNHRVLDSDGHPELT - ->NP_059449.1 polyprotein [Wheat yellow mosaic virus] -MEQTAAQTAAHHGTHTNSAQEQPPVSPMNLSANFVAPELFYGSNVEKIKSIIKMRSTTRIIDAISRDFEL -VAFLILSAAHLMQLETTLRCEMRSSIVPIANSDAGFETCAVLKTALDGMKYHFGTTTLEQGWTSMMRHAD -SCLQESSSSAVAMLQTQVQKVGSLLISGKNRVESCELYVLHLTARAFRTEYGLKGTCFGEHCALLHDLKA -CVCGTVPKEFLWAKTKKHSMFTIPEWIARTPTDCFMLCLRAIPIFRRCTMAMSLLYWSCVATVNFPSVMA -FLFKRQFTKYIAHSFGKHSIYFLMLGIVALLWAFRTYASKNPKIALQGRSANEKEKKLMMLLASAVGITY -LFDYDIAEALGNSLHKISRLSSYLMDDHQGIASRMFSASYGLQAGDNTEDAVTTIISDLLSVTFQIVEED -TTAGVLEEVSDTTFSSWVSVNTIAGRNMSRPLQYPVNQTYPLTPMNGWMQAQAMVDSDNCWSMVVGHTVS -GKSTFLPVQYSNYLAKKLDRRQQILVCEPTQAATENVCSGIAANLGRAVYGRHEGWSRMGDHCIQVMTYG -SALQCHAMDPGFISTFDAIFLDEAHDVKEHSLFFESICNNFTSVRKFYVSETPRDGSSCPDATRKFPLTG -QQSVCDSYKKFIAAQGGDDLLDVTKYDTVLVFLAGRPECVKAANAWNASITGEKRAFSLSSDNFATDFAM -LTERLKTHKPIIFTTNIIETGVTLSVDCVVDFGYTMRPSLDLNHKTLRLERRRVTANERKQRIGRAGRLK -EGHAIVCGDEDRSVNVVSPDVLYGAALLSFKHNVPFYMNDTFESSWLEGITKSQADTMTIFKLPIFLTRD -LVNSDGSVVKEFLDILKKYQFTTSDIKQAPHSIARHLFPTWASYFALFQSLTYGEEKDEIPQESRHARVP -FSISTLSKFDWNALALACEQHRPTVISSFQGLDQPARVVTLQTNSANILGSIAHLCNMRNNYKALIDSNN -HVKQSMATNVMFKWFSSSRITADLDRNLSRCLANLSVVETTISSLKQISAGNSQVMASPILQSHLENIIE -LQSNDMLTDETLSNALGIFNPKTNLFLLLATKGFKLVYVICLLIFINLIYRLLSHWRAWLKNKYDNGNPD -ALTNTMTVQEGSEILKEVLKMTPAMRREVTKDMKVAVADNNSTFSFVFPDEHIDLEGKGNKYRPREDARL -MYSTRDDATFDTWNEKAKEKRKKISDRAEPEMKQPHQKRPYYNFYDLHTDSNILEAIFYTTEGDEFFRSA -KSHQDMHSVTVKLKAFLDPKPIIGRHQRQLLEETAQVVIKDDKGTAHRMDISTHNPNTLKNNGSGRVGYD -EHRGDFRQESPALESPYELEAEFGNSKDEVMLEASTGILLSQVSVDVATRIGRISIGTFNVNCFLYSDWI -LAPAHFQDRNGAVTIEFPDQTVSTTTDNLNAHGVKRFYGLDLIAIRRPPSLRPEKKLVKAFAITEPVLAQ -MVFIDSQGIRKFSQSDWIRQEAGSNRWSHKISTQNGMCGCPVLDVGKNRLIGIHVATNRTTGRNEFQPFT -REAVDFINGPGNKVPFSPWTFNRPACGYKQGEATFASRTIQNQGSDTLSKINNSILGFGSDLKGQLVQPV -TPALRTRFEALFGGGSFELVGTMNKGLIDKHTIVGENDNVHDFMREHPTFAWLQGFMDEYAPSVLNYSAY -YKDLCKYNRKKHQLSFNPHELRSATAGMIRMLEDAGLTQGDVRTPQQVVSDMQWNTSAGPSYQGKKRDVC -AHLSEQEVLHLAETSRQQFLACNSIGIWNGSLKAELRTIEKVEAEKTRVFTASPITSLFAMKFYVDDFNK -KFYATNLRAPHTVGINKFSRGWEMLHDKLNRPGWLHGSGDGSRFDSSIDPFFFDIIKEIRKHFLPVEHHR -AIDLIYDEILNTNICLANGMVIRKNVGNNSGQPSTVVDNTLVLMVSFLYGYIHKTGDHMLKKLNDRFVFV -CNGDDNKFAISPEFDAEFGHDFSPELTELGLTYEFDDITDDICANPYMSLTMVRTPFGIGFSLPVERIVA -IMQWAKKGGVLHSYLAGISAIYESFNTPKLFKSVYAYLLWLTEEHGSDILAAMTGTETALPIPSMLDVYR -LHYGDSSIELQAADTQTDAQKEEARLAAATKKAADDADAARLRKVEADRVEAARVKKASDDKKARDLTAT -KVDDGKIVADAGTKRTNAATKEKWSLPETKPVNAGLKLRISMDKLKSAPKSIIEHDNSIALDSEVKTWSD -AVRTSLGITTDEAWINGLIPFLGWCANSGASDKHAENQTMQVDNGTGALTEMSLSPFIVHARLNGGLRRI -LRAYSDETVLLLQEHKIVTKWAMKHGASAHAAYAFDFFVPRPWMNPQDIEVAKQARLAALGTGTYNTMLT -SDTTNLRKTTNRRVLDTDGHPELT - ->sp|P90245.1|POL1_BAMMN RecName: Full=Genome polyprotein 1; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Coat protein; Short=CP -MEEFIPEVFYQNQVQSLKKILKSWKRDTSIYAYLAREQEVLAFLVLSPAHIAKLNKLLTEESARCALLAQ -NCETIEALAVVRQALQGVTLHFGDNGMEKGWLHMMKALDACLDESFSENAAALKKSIQAVGHKLIAAKNR -IESCERSVNHLTTFQFAREYGLSTTYFEKLSNFGGHIRSFVWSSDSGEIFPKPKRSSRTKRISTFTSYYW -GEFWCNCIWLLCSLWSPARWCFNSVVFIWSLCGVLNLSMVVLQFTLKRHFGRYYFRYVMSGVLAICAVCC -VHLKNRKGPILQASQKDKRFIGILAFCITVIYMFDVDLADSLSNNLHKISRLVNLFLDDNRGFATPALDN -LTDFTTILQSGTSSDDLKIVQDTLAVVLQVDDEDATQDDAIYDSDGLQTFKQWVSHNQLAGMQLARPLQY -PCSTTYGLTADNVAELATSMAQEAKQWSQVVGHTGSGKSTRLPTAYANCLKGLAGRKKNVLVCEPTRAAT -VNVTSGISQNFGRLVYGRHEGWSNLGDKTIQVMTYGSALAAWKVDNKFLSQFDAVFLDESHLITTHALVF -ESICQEVTNVRKFYVSATPRDGKKCPEAVRRYEIKTVKSECSSVDTFVRSQDKENSLYVLQHETVLVFLA -GKAECDRAASNWNKLYSTNMYAYSLTGDNFTVAYENIVTRMLTDRIIVFCTNILETGVTLNVDCVVDFGF -TMRPELDLVDKSLTLMRRRVTENERAQRIGRAGRLRTGHAICIGNPETRHDLVPPETLYEAALLSFVHGV -QFYINEHFENAWIEGVTKSQASVMTQFKLSPFLMRDIVRDDGAIPLSLHKILKNYTHRNTDLIGTKLSVM -SHVYNSWPLYRTVHQSIFRGDSNVPQALKHARVPFNVSTAHDFPWENFAQACLEFQPRVLQVFSDSSSTS -RIINLQIGKMHIVNSMEEVKININSYQRSAENLRSVKDSFESSIFRTKLLRGNPTGKITKRIETLLDNVR -VLQQVHAKLEIIAYSGGEKLNMDKKSVDELNEIVELQSKNSLTAEELARILHLTKPTSTFFNLFAERGRQ -MLVTLLVMVAASLMYLVFWVSPRKQDDITIEGKGRAYNRDKRMGYDSYEEDEVRHKINKKFKERSTRFSN -DSKPETSSKYRNLKQEFVNFYDLKTDANVLQAVFTAMDGAVLLQTEAPMADIDRVNRLLNDHFEDSESQA -AHEGLNTMVKCHLTMKDGRQFELDMEQHDPETIAKLGGEVGFRMNRYDLRQVGATRYINPKAQTSAATLE -GMTMKPMSAFTIDSAKMVGFIKTAKDTLNCILYGDWIIAPAHIQQGEGDITFIFQHTQFTTTTERLASYG -IRQFKGLDLVVIRRPQQIRAVKKDMRASILDTPTEVQMLYLSTKGGKYQVSTSAVCFPHYNNRWGHVIST -AEGMCGCIVFNPTTNHIVGIHVSYNDTRRRNEFQAFTSDVLTTINAPGHEIPFSPWVFDWKFCGYTTKPR -NMQSAPSTLERLNINATGFGFKLNAQGIKPAMLRSTETFSREFPNTQFKLIGEVKKGLIDKHTITGENPY -FLEFLNTFKPYQWVQAFMDEYAPSILAYDAYFKDLKKYDRPPHASVFCEDTLTKAKHKMIKILEEAGMGR -TLVRTTEQVLLDMAWTTSGGPLYHGKKIDIVQHLSDDELVQFSEACQQALITGTLDGVWNGSLKAELRSS -QKILERKTRVFTAAPITSLIAMKYYVDDFNKQFYKTHLKAPHTVGINKFNRGWQNLYEKLNKPGWTHGSG -DGSRFDSSIDGFLFDVIKDIRKHFMDAEHHKQLDTIYEEIVNTKICLANGLVIQKNCGNNSGQPSTVVDN -TLALMTSFLYAYARLTGDDTFELMDENFVFVCNGDDNKFAMSPSFMVKFGCDFSPFLSELGLTYEFDEAT -EDICENPYMSLTMVRTSFGIGFSLSIERIVAILQWSRAGGVLHAYLSGIAALFESFNTPKLFNLVHTYLL -WLVTEHEEELFSMMELKDMFMPLPTKEQIALLHYVGTEPIMEETYLQSGKDDPDPIVPPVSDTDLTNMAA -APPDNRRSRAVVPRGTSDWNLPEPKMRMLGFKSKINIETLADVPEGYMNTFASVATETQRRKWEEATRGD -FGITDNEKWEKLLIAACIYFADNGTSPNFDEELTMEVNGGLNSIKEYPVRPFVVRAKKISTLRRIFRCYS -IETKLMFVKLRRVPQWAIKHGCLDEIVFDFMIPDQFTSRTALETLKQTKLAAIGVGTSNSLLTSEQTNMR -TTETRRRNDYDGHEALLR - ->sp|Q01206.1|POL1_BAYMJ RecName: Full=Genome polyprotein 1; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Coat protein; Short=CP -MEQTLAQAVSRKSKTDTPMAEERKHFSPMNFSANFVAPELFYSANVRKIKNIFRERSTTRFLDAISSDFE -LVAFLTLSPAHLMQLETVLRHEMRSCVVPIVTSDASFETVAVIKTALDGMRFHFGYTTLEKGWISMMRHA -ESCLQESSSSAVNDLQTQIKRVGSLLLSGKNRVEGCELSVLNLTARRFRIEYGLNGTYFGEHVAMLRGLK -RYIYGTVPKEFLWAKTKKHSLFTIPAWIKRTPIDCFLFCLRVIPILHRCGVAVSLIYWSCAAALNFPAFM -SFLFKRQFAKYLAHSFAKHSIYFMFLTIVAILWSFRTFTSQKPKIVLQARSTAEKEKKLMMILASVVGIT -YLFDYDIAEALGNCLHKISRLSSYLLDDHQGIASRMFGASYGLQAGDSAEDAVTTIISDLLSVTFKIVDE -DASQGTVEDASETTFHSWVGVNTLAGRNMSRPLQYSVNETYALTPQNVQLQARKMADANNCWSMVVGHTG -SGKSTYLPVQYSNYLSTKSDRRQQILICEPTQAATENVCAGVAANLGRAVYGRHEGWSRMGDHCIQVMTY -GSALQCHAMDPSFISTFDAIFLDEAHDVKEHSLVFESICDTFKSVRKFYVSATPRDGSVCPEAARKYPLH -VETSVCDSYRKFIAAQGGGDLLDISKHDTALVFLAGRPECIKAANAWNASVTGEKRAFSLSSDNFATDFS -MLTERLKTHKTIIFTTNIIETGVTLSVDCVVDFGHTMRPCLDLNQKALRLDRRRVTRNERQQRIGRAGRL -KDGYAIVCGDVDRAVNVISPDVLYGAALLSFKHNVPFYMNETFESSWLEGITKAQADTMTIFKLPIFLTR -DLINADGSVAKEFLDVLKKHQFTTSDIKQAPSVTAKHIFPTWASYFSLHQALHYGDDKDEVPHELRYARV -PFSVTTLSKFDWPALALACEKHRASMSNVFAGIEEPARVVTLQTNPANIQASITHLTHMSKNYKTLIENN -QHVRQSMVTNVMYKWFSSTRITKDLDRNLRRCTDNLAVVEATLSSLRQILAGNTQVHATPHMQSTLEDII -GLQASDTLTEESLASALGIFVPKSNLFLLLATKGFKLVYVVCILLLVNLVYLGLRKWREHLKQKGSNEIL -TNTMPVSEGGEILAEVMKMEPKMRKNIKKDMDAAVESKLCGFTFVFPDDDKIGLEGKGNKYRPREDARLM -YSTREDATLDAWNEKAKERRKKVTDKSEPELRRAYEKRPYFNFYDLQTDSNILEAIFYTTEGDEFFRTAD -PNKDMNLVADKLRSFLDTKLVVGHHQRQMLEETAKVVIKDTKGTAHHMDISQHDPDHLKQNGSGKIGYPE -HRGQFRQEGPAKTADYDLGVEFGTDTDDITLEASTGILLSQVGVDVATRVGRIFIGTFNMNCYFYSDWIL -VPGHLQDRSGNVTIQFPDQTVQTTTDALNANGVKRFYGLDVIAIRRPAILRPRTKLVKAFAIEEPVIAQM -VFVDAQGVRKFTQSVRARKEENSGRWSHKISTVLGMCGCQFWTLERQIDGIHVATNYTKKRNEFQPFTQE -VVDFINGPGTKIPYCPWVFDRPACGYASHTALFEKPTTLTDIIHMQASDGLHNINNAIEGFGSSLRGQLV -SPPTESTRQRFDKLFGSGSFELIGQMNKGLIDKHVIVGENDDVHDFMREHPTFTWLKDFMNEYAPSVLSY -SAYYKDLCKYNRAKHVLTYNPEELHYATKGLIKMLEDAGLTQGSVRTPQQVISDIQWNTSAGPSYQGKKR -DLCAHLSDDEVLHLAEVCRQQFLEGKSTGVWNGSLKAELRTIEKVEAEKTRVFTASPITSLFAMKFYVDD -FNKKFYATNLKAPHTVGINKFGRGWEKLHDKLNRPGWLHGSGDGSRFDSSIDPLFFDVVKTIRKHFLPSE -HHKAIDLIYDEILNTTICLANGMVIKKNVGTQRQPSTVVDNTLVLMTAFLYAYIHKTGDRELALLNERFI -FVCNGDDNKFAISPQFDEEFGHDFSPELVELGLTYEFDDITSDICENPYMSLTMVKTPFGVGFSLPVERI -IAIMQWSKKGGVLHSYLAGISAIYESFNTPKLFKSIYAYLLWLTEEHEAEILAAMTQSSTALPIPSMLDV -YRLHYGDDEIWLQAADPLTDAQKEDARIAAADGARFELADADRRRKVEADRVEAARVKKAADAALKPVNL -TATRTPTEDDGKLKTPSGARIPSSAADGNWSVPATKQVNAGLTLKIPLNKLKSVPKSVMEHNNSVALESE -LKAWTDAVRTSLGITTDEAWIDALIPFIGWCCNNGTSDKHAENQVMQIDSGKGAVTEMSLSPFIVHARMN -GGLRRIMRNYSDETVLLITNNKLVAHWSMKHGASANAKYAFDFFVPRSWMNPQDIEVSKQARLAALGTGT -YNTMLTSDTTNLRKTTNHRVLDSDGHPELT - ->sp|Q04574.1|POL1_BAYMG RecName: Full=Genome polyprotein 1; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Coat protein; Short=CP -MEQTLAQAVSRRGKTNTPMAEERKPFSPMNFSANFVAPELFYSANVRKIKNIFRERSTTRFLDAISSDFE -LVAFLTLSPAHLMQLETTLRQEIRSCVVPIVTSDASFETVAVIKTALDGMRFHFGHTTLEKGWMSMMRHA -ESCLQESSSSAVNDLQMQIKRVGSLLLSGKNRVESCELSVLNLTARRFRIEYGLNGTYFGEHVAMLLDLK -RYIYGTVPKEFCWAKTKKHSLFTTPKWIKRTPIDCFLFCLRVIPILHRFGVAISLLYWSCVAALNFPAFM -AFLFKRQFAKYLAHSFAKHSIYFIFLTIIAILWSFRTFASQKPKIVLQARSTAEKEKKLMMILASVVGIT -YLFDYDIAEALGNCLHKISRLSSYLLDDHQGIASRMFGASYGLQAGDSAEDAVTTIISDLLSVTFKIVDE -DASQGTVEDASETTFHSWVGVNTLAGRNMSRPLQYDVNKTYALTPQNVQLQARAMADANNCWSMVVGHTG -SGKSTYLPVQYSNYLSTKSDRRQQILICEPTQAATENVCAGVAANLGRAVYGRHEGWSRMGDHCIQVMTY -GSALQCHAMDPSFISTFDAIFLDEAHDVKEHSLVFESICDTFKSVRKFYVSATPRDGSVCPEAARKYPLH -VETSVCDSYRKFIAAQGGGDLLDISKHDTALVFLAGRPECIKAANAWNASVTGEKRAFPLSSDNFATDFS -MLTERLKTHKTIIFTTNIIETGVTLSVDCVVDFGHTMRPCLDLNQKSLRLDRRRVTRNERQQRIGRAGRL -KDGYAIVCGDVDRAVNVISPDVLYGAALLSFKHNVPFYMNETFESSWLEGVTKAQADTMTIFKLPIFLTR -DLINADGSVAREFLDVLKKHQFTTSDIKQAPSVTAKHIFPTWASYFSLHQALHYGDDKDEIPHELRYARV -PFSVTTLSKFDWPALALACEKHRASMSNVFAGIEEPARVVTLQTNPANIQASITHLTHMSKNYKTLIENN -QHVRQSMMTNVMFKWFSSTRITKDLDRNLRRCTDNLSVVEATLSSLRQILAGNTQVHATPHMQSTLEDII -ELQASDTLTEESLANALGIFVPKCNLFLLLATKGFKLVYVVCILLLVNLVYTGLRKWREHLKQKGSNEIL -TNTMPVSEGGEILAEVMKMEPKMRKNIKRDMDAAVESKLCGFTFVFPDDDKIGLEGKGNKYRPREDARLM -YSTREDATFDAWNEKAKERRKKVTDKAEPELRRAYEKRPYFNFYDLQTDSNILEAIFYTTEGDEFFRTAD -PNRDMNLVADKLRSFLDTKLVVGHHQRKLLEETANVVIKDTKGTAHKMEISQHDPDFLKQNGSGKVGYPE -HRGQFRQEGVAVTGDYDLEAEFGTDTDEISFGASTGILLSQVGVDVATRVGRICIGTFNMNCYFYSDWIL -VPGHLQDRSGNVTIQFPDQTVQTTTDALNANGVKRFYGLDVIAIRRPAILRPRTKLVKAFAIEEPVIAQM -VFVDAQGVRKFTQSDWARKEENSGRWSHKISTVLGMCGCPVLDVGKNRLIGIHVATNYTKKRNEFQPFTQ -EVVDFINGPGTKIPYCPWVFDRPACGYSSHNALFEKPTTLADVIHMQASDGLHNINNAIEGFGSSLKGQL -VSPPTESTRQRFDKLFGSGSFELIGQMNKGLIDKHVIVGENDDVYDFMREHPTFTWLKDFMNEYAPSVLS -YSAYYKDLCKYNRAKHVLTYNPEELHCATKGLIKMLEDAGLTQGSVRTPQQVVSDIQWNTSAGPSYQGKK -RDLCAHLSDDEVLHLAEVCRQQFLEGKSTGVWNGSLKAELRTIEKVEAEKTRVFTASPITSLFAMKFYVD -DFNKKFYATNLKAPHTVGINKFGRGWEKLHDKLNRPGWLHGSGDGSRFDSSIDPFFFDVVKTIRKHFLPS -EHHKAIDLIYDEILNTTICLANGMVIRKNVGNNSGQPSTVVDNTLVLMTAFLYAYIHKTGDRELALLNER -FIFVCNGDDNKFAISPQFDEEFGHDFSPELVELGLTYEFDDITSDICENPYMSLTMVKTPFGVGFSLPVE -RIIAIMQWSKKGGVLHSYLAGISAIYESFNTPKLFKSIYAYLLWLTEEHEAEILAAMTQSSTALPIPSML -DVYRLHYGDDEIWLQAADPLTDAQKEAAHTAAADRARLDLADADRRRKVEADRVEAARVKKAADAVLKPV -TLTATRMPTEDDGKLKTPSGARIPSSAADGNWSVPATKQVNAGLTLKIPLNKLKSVPKSVMEHNNSVALE -SELKAWTDAVRTSLGITTDEAWIDALIPFIGWCCNNGTSDKHAENQVMQIDSGKGAVTEMSLSPFIVHAR -MNGGLRRIMRNYSDETVLLITNNKLVAHWSMKHGASANAKYAFDFFVPRSWMNPQDIEVSKQARLAALGT -GTYNTMLTSDTTNLRKTTNHRVLDSDGHPELT - ->AJD23391.1 polyprotein [Chinese yam necrotic mosaic virus] -MATVKPEVNFKVENVDILSTHSMQMLTGKPLTIPDQTRAQLIEIVGKRYQNNDGIASADFIMLDGKGSVI -ANMCMAITKGMKFKDYKEGTVSLPQQSPFTECDKIVKCVKVQQQHLLHARLPTNDYVFGGMSKSNAIPMI -KNMVPKSGSCFLSAIVAMSYFVTPEFDSTFVETVKDVLSELGSWPTLQNVSKAMQFILARVPTLSMVPLP -VIAVSHEKKLIHICDQRGVPNGWHILKIGTVAELANAGFIKHSKVNNYFVGSTDDLTNDKMFYTRNINKV -KNLMTLWRSPERFVEAMANDIELTSFILLSPSLLAKLYNILSIGASEALKIEALERANNNKIVVASLINV -ALQGIRVKMGETSLEKVWLHLLNVLTANLSVEESRRNTDILQACNAVYHELIKEKNYMYHCEKQIYNLTD -RQFEDMFCCSRTWQSKLCEKLSHLNVVKSVQQSSVRLSCFSDGITHRTIQAVTHHFIICFIRLLIFAQHT -YALLRFLFMLAACCWGFMSITNLVFMAFKAVIMKKLATNYEKLLIFASIFCLYEVGCFIIKRKKKNKEGA -STRTTELQAYGKSSEKQMMAAMAMITLVVHAFDMDLALMMSNSLNHVARLANMLTDTTTGWLTSGGGTQE -LQMKLFDVALEVDETMTNEMEQQAVMDCSNETFAAWINEQVLLGNDNTRPLAYGRDDSVFYVTRDNAVEV -GQNMCDTKNAWSQVIGQTGSGKSTRVPLSYYNKIQTLSGRSRSILICEPTKATTQNVAAALSTQHGKQVF -YKHEGKEQAGDPTIQVMTYGSAFYRSCNNPAFLSCFDAVFLDESHLVSAHALALESLLNKNNRVRKFYLS -ATPRKQFPEMTGSRRFEIFEHQVESGDVGDLISSIGKGTILDATQFGEKTLIFLSGKKECDRAATKVNSM -NCGVKATSLHRDNFGVNYNRLCNDLAQPGKMYIFATNILETGVTLNVDVVVDFGFTNTPVLNTSDKTLLL -NKRRVTQAERKQRIGRAGRLREGHAIIVGKTSAPFETVSADVVFEAALLSFIHNLDVYVNAHFDQAWLSS -ITRDQAKTMMSFKISPFIMRDLVFANGHIRGEMLEFLKPHLHHSANIKATNYQCVNHIYESWPKLDHHSL -FNDAQIGDEKMKRLNKMRVPFITHDLNQLDLEHFTNCVEKYKPNVLTRWGRPVEQTTNVLMHVNQENIHS -TIRIANLLRFDYQQQIQHKKQAQQLHKDSPFAYFFSSKVVDELSSNIGKQIAMAQRNVVKLDKFISRLEM -FATMNEMTSDVEVTQQEMHEIGQCLDLQAEGTFTKDNMNVILNLETLPQTTFRDAIVIGRKKAIWAIMIL -CCAAFGGLAWWLMWDDDEGLNNDENKQRRNEVCDKVLEMKGKSFNRDRRNPMMQDHFDAADFYMRDVEDF -ASLRSKRKPTKANDHISPVLRYAAKSRPFITLYDINVDSEVASAVFQDHNGQAFYETANPLKNMDLVREH -LNKHKMKDGTQIFWSDESDFDIFCKITKTDGTIMKVKLTPHEPQRMAKRGKQGFIEKEDCYRQTGQAEIL -QHPNQTLEMATRLPENKLNLQVADMIGKVKMSEGSIHCILYKDFILVPAHVMIKQLPMEITFKHYTVTID -EMPEAYCFPGFDIMLIKRPSKLAPVRCYTTLAQATDGMIVQMVHKKNVSDKTVVTITAPIHQREDWRWAH -QIPTISGMCGAPVVDVASGKIVGVHVLADSLKMHNVFETFPPQLLEIINTNDKKVHQKFHQSKMNDWTFM -PEAHGYYPSELVGLQMESFEFMQFSRDTTMYTITNFNQDATAGGLLKARTVTETQALPVGVSALYMDNVA -YMNGLLNPRHTITGESPFWKEFKRCHPKQTKGVEEFENAYAPSVLSYDAYWKDLLKFNRAESKHDAIDKE -ALKCATLVLVRQLKDAGMKSTKIRTVEEVLDDVQWGKAAGPMYAMKKTELCKNLSEEELTTLAIHCRTQL -LRGKNCGVWNGSMKAELRVVEKVLQRKTRVFTAAPITTLIGSKFFVDDFNKQFYSTHLKANHTVGINKFQ -RGWEKLYNFLNVEGWLHGSGDGTRFDSSLDPFWFDILYSIRTHFFQDGDKQDAKVALSHMYREFVYTPIH -TITGQVLVKKLGNNSGQPSTVVDNTLILMLSFLYAYIRKTGDRECELIEQRFKFVCNGDDNKYSISPSFH -EEFGGDFSKEISELGLTYEFDELTTDITQNPYMSLVMMRTPGGIGFQLNPERIVAIVQWIKRGDVLQAAQ -SAFAAMIEAFNDPWLFGVLHLYFVWLLCQYNEEIRYAMDHDLGAVCYMDAYQVYALHYDTKDDIIVDPTL -TDENDDDSDVTDLHMQQHINLQMDLSSPPQNPPKKQPLEERKKAPAEDSTATTSKESNQGHQPIEEPNND -PGTFDDEDIEWRIPAIQKGFGHYKIPKVKGKRIWNPKILKKISQEQFTTTSQMVTTSKLEQWIEEVKRDL -VVTSDTDFQICLTSWCLWCANNGTSSELDVSQFMEVHANGQVMGIPIQIFVEPAVLHGGLRKVMRHFSGI -TSKMLSEGGKMTAWGKKRGFTQRSMIPYAFDFFVPTDTTPKTVREQLSQSKAAAIGRGVQRVMLLDGKVH -GSRTSYERHTDNDQDEYEHGGSDDQRPALY ->AAQ10758.1 polyprotein [Barley mild mosaic virus] -MEEFIPEVFYQNQVQSLKKILKSWKRGTSIYAYLAREQEVLAFLVLSPAHIAKLNKLLTEESARCALLAQ -NCETIEALAVVRQALQGVTLHFGDNGMEKGWLHMMKALDACLDESFSENAAALKKSIQAVGHKLIAAKNR -IESCERSVNHLTTFQFAREYGLSTTYFEKLSNFGGHIRSFVWSSDSGEISQRPRKRNHHIRRAFRHSRAT -TGVSFGATASGSSVPSGLPARWCFNSAVFIWSLCGVLNLSMVVLQFTLKRHFGKFYFRYIMSGVLAICAV -CCVHLKNRKGPILQASQKDKRFIGILAFCITVIYMFDVDLADSLSNNLHKISRLVNLFLDDNRGFATPAL -DNLTDFTTILQSGTSSDDLKIVQDTLAVVLQVDDEDATQDDAIYDSDGLQTFKQWVSHNQLAGMQLARPL -QYPCSNTYGLTADNVAELATSMAQEAKQWSQVVGHTGSGKSTRLPTAYANCLKGLAGRKKNVLVCEPTRA -ATVNVTSGISQNFGRLVYGRHEGWSNLGDKTIQVMTYGSALAAWKVDNKFLSQFDAVFLDESHLITTHAL -VFESICQEATNVRKFYVSATPRDGKKCPEAVRRYEIKTVKSECSSIDTFVRSQEKENSLYVLKHDTVLVF -LAGKAECDRAASNWNKLYSTNMYAYSLTGDNFTVAYENIVTRMLTDRIIVFCTNILETGVTLNVDCVVDF -GFTMRPELDLVDKSLTLMRRRVTENERAQRIGRAGRLRTGHAICIGNPETRHDLVPPETLYEAALLSFVH -GVQFYINEHFENAWIEGVTKSQASVMTQFKLSPFLMRDIVRDDGAIPLSLHKILKDYTHRNTDLIGTKLS -VMSHVYNSWPLYRTVHQSIFRGDSNVPQALKHARVPFNVSTAHDFPWENFAQACLEFQPRVLQVFSDSSS -TSRIINLQIGKMHIVNSMEEVKININSYQRSAENLRSVKDSFESSIFRTKLLRGNPTGKITKRIETLLDN -VRVLQQVHAKLEIIAYSGGEKLNMDKKSVDELNEIVELQSKNSLTAERLARMIASNKNPAPISVNLFAES -GGQMLGGLLVMVAAWFMDLVFWVSPRKQDDITIEGKGRAYNRDKRMGYDSYEEDEVRNKINKKFKERSTR -FSNDSKPETSSKYRNLKQEFVNFYDLKTDANVLQAVFTAMDGAVLLQTEAPMADIDRVNRLLQEHFSDSD -SQAVHEGLNTMVKCHLTMKDGRQFELDMEWHDPETVAKLGGEVGFRMNRDELRQVGATRYINPKAQTSAA -TLEGMTMKPMSSFTIDSAKMVGFIKTAKDTLNCILYGDWIIAPAHIQQGEGDITFIFQHTQFTTTTERLS -SYGIRQFKGLDLVVIRRPQQIRAVKKDMRASILDTPTEIQMLYLSTKGGKYQVSTSAVCFPHYNNRWGHV -ISTAEGMCGCIVFNPTTNHIVGIHVSYNDTRRRNEFQAFTSDVLTTINAPGHEIPFSPWVFDWKFCGYTT -KPRNLQSAPNTLERLNINATGFGFKLNAQEIKPAMLRSTESFSREFPDTQFKLIGEVKKGLIDKHTITGE -NPYFLEFLNTFKPYQWVQAFMDEYAPSILAYDAYFKDLKKYDRPPHASVFCKDTLTKAKHKMIKILEEAG -MGRTLVRTTEQVLLDMAWTTSGGPLYHGKKIDIVQHLSDDELVQFSEACQQALITGTLDGVWNGSLKAEL -RSSQKIFERKTRVFTAAPITSLIAMKYYVDDFNKQFYKTHLKAPHTVGINKFNRGWQNLYEKLNKPGWTH -GSGDGSRFDSSIDGFLFDVIKDIRKHFMDTEHHKQLDTIYEEIVNTKICLANGLVIQKNCGNNSGQPSTV -VDNTLALMTSFLYAYARLTVDDTFELMDENFVFVCNGDDNKFAMSPSFMAKFGCDFSPFLSELGLTYEFD -EATEDICENPYMSLTMVRTSFGIGFSLSIERIVAILQWSRAGGVLHAYLSGIAALFESFNTPKLFNLVHT -YLLWLVTEHEEELFSMMELKDMFMPLPTREQIALLHYVGTGPIVEETYLQSGKDEPDPIVPPVSDTDLTN -LAAAPPANTRSRAVVPRGTSDWNLPEPKMRMLGFKSKINIETLADVPEGYMNTFASVATETQRRKWEETT -RGDFGITDDEKWEKLLIAACIYFADNGTSPNFDEELTMEVNGGLNSIKEYPVRPFVVRAKKISTLRRIFR -CYSIETKLMFVKLRPVPQWAIKHGCLDEIVFDFMIPDQFTSRTALETLKQTKLAAIGVGTSNSLLTSEQT -NMRTTETRRRNDYDGHEALLR ->CAD56471.1 polyprotein 1 [Barley yellow mosaic virus] -MEQTLAQAVSRKGKTNTPMAEERKHFSPMNFSANFVAPELFYSANVRKIKNIFRERSTTRFLDAISSDFE -LVAFLTLSPAHLMQLETTLRQEIRSSVVPIVTSDASFETVAVIKTALDGMRFHFGHTTLEKGWMSMMRHA -ESCLQESSSSAVNDLQMQIKRVGSLLLSGKNRVESCELSVLNLTARRFRIEYGLNGTYFGEHVAMLLDLK -RYIYGTVPKEFLWAKTKKHSLFTTPEWIKRTPIDCFLFCLRVIPILHRFGVAISLLYWSCVAALNFPAFM -AFLFKRQFAKYLAHSCAKHSIYFIFLSIIAILWSFRTFASQKPKIVLQARSTAEKEKKLMMILASVVGIT -YLFDYDIAEALGNCLHKISRLSSYLLDDHQGIASRMFGASYGLQAGDSAEDAITTIISDLLSVTFKIVDE -DASQGTVEDASETTFHSWVGVNTLAGRNMSRPLQYDVNKTYALTPQNVQLQARAMADANNCWSMVVGHTG -SGKSTYLPVQYSNYLSTKSDRRQQILICEPTQAATENVCAGVAANLGRAVYGRHEGWSRMGDHCIQVMTY -GSALQCHAMDPSFISTFDAIFLDEAHDIKEHSLVFESICDTFKSVRKFYVSATPRDGSVCPEAARKYPLH -VETSVCDSYRKFIAAQGGGDLLDISKHDTALVFLAGRPECIKAANAWNASVTGEKRAFPLSSDNFATDFS -MLTERLKTHKTIIFTTNIIETGVTLSVDCVVDFGHTMRPCLDLNQKSLRLDRRRVTRNERQQRIGRAGRL -KDGYAIVCGDVDRAVNIISPDVLYGAALLSFKHNVPFYMNETFESSWLEGVTKAQADTMTIFKLPIFLTR -DLINADGSVAREFLDVLKRHQFTTSDIKQAPSVTAKHIFPTWASYFSLHQALHYGDDKDEIPHELRYARV -PFSVTTLSKFDWPALALACEKHRASMSNVFAGIEEPARVVTLQTNPANIQASITHLTHMSKNYKTLIENN -QHVRQSMMTNVMFKWFSSTRITKDLDRNLRRCTDNLSVVEATLSSLRQILAGNTQVHATPHMQSTLEDII -ELQASDTLTEESLANALGIFVPKCNLFLLLATKGFKLVYVVCILLLVNLVYTGLRKWREHLKQKGSNEIL -TNTMPVSEGGEILAEVMKMEPKMRKNIKRDMDAAVESKLCGFTFVFPDDDKIGLEGKGNKYRPREDARLM -YSTREDATFDAWNEKAKERRKKVTDKAEPELRRAYEKRPYFNFYDLQTDSNILEAIFYTTEGDEFFRTAD -PNKDMNLVADKLRSFLDTKLVVGHHQRKLLEETAHVVVKDTKGTAHKMEISEDDPDFLKENGSGKVGYPE -HRGQFRQEGVAITGDYDLEAEFGADADEITLEASTGILLSQVGVDVATRVGRICIGTFNMNCYFYSDWIL -VPGHLQDRSGNVTIQFPDQTVQTTTDALNANGVKRFYGLDVIAIRRPAILRPRTKLVKAFAIEEPVIAQM -VFVDAQGVRKFTQSDWARKEENSGRWSHKISTVLGMCGCPVLDVGKNRLIGIHVATNYTKKRNEFQPFTQ -EVVDFINGPGTKIPYCPWVFDRPACGYSSPNALFEKPTTLADVIHMQASDGLHNINNAIEGFGSSLKGQL -VSPPTESTRQRFDKLFGSGSFELIGQMNKGLIDKHVIVGENDDVYDFMREHPTFTWLKDFMNEYAPSVLS -YSAYYKDLCKYNRAKHVLTYNPEELHCATKGLIKMLEDAGLTQGSVRTPQQVVSDIQWNTSAGPSYQGKK -RDLCAHLSDDEVLHLAEVCRQQFLEGKSTGVWNGSLKAELRTIEKVEAEKTRVFTASPITSLFAMKFYVD -DFNKKFYATNLKAPHTVGINKFGRGWEKLHDKLNRPGWLHGSGDGSRFDSSIDPFFFDVVKTIRKHFLPS -EHHKAIDLIYDEILNTTICLANGMVIRKNVGNNSGQPSTVVDNTLVLMTAFLYAYIHKTGDRELALLNER -FILVCNGDDNKFAISPQFDEEFGHDFSPELVELGLTYEFDDITSDICENPYMSLTMVKTPFGVGFSLPVE -RIIAIMQWSKKGGVLHSYLAGISAIYESFDTPKLFKSIYAYLLWLTEEHEAEILAAMTQSSTALPIPSML -DVYRLHYGDDEIWLQAADPLTDAQKEAAHTAAADRARLDLADADRRRKVEADRVEAARVKKAADAVLKPV -NLTATRMPTEDDGKLKTPSGARIPSSAADGNWSVPATKQVNAGLTLKIPLNKLKSVPKSVMEHNNSVALE -SELKAWTDAVRTSLGITTDEAWIDALIPFIGWCCNNGTSDKHAENQVMQIDSGKGAVTEMSLSPFIVHAR -MNGGLRRIMRNYSDETVLLITNNKLVAHWSMKHGASANAKYAFDFFVPRSWMNPQDIEVSKQARLAALGT -GTYNTMLTSDTTNLRKTTNHRVLDSDGHPELT ->CAC50818.1 nuclear inclusion body b, partial [Cardamom mosaic virus] -GLQMFEVENLKVPTDTSMYTIENLHSRSTSRRFRLSVAEAVCSAIPSGWSLSSAYEERSVCKCIFEQRHT -YVGESPYWREFKRIHSDHVRGISSYEDFYAPSALTFDAYWKDLLKFNRISAGEPKWDEKALQCSMIATIR -ALESAGMVCTKIRTVHEVLSDVQWSTAAGPLYAMKKKELCKNLSVEELDTLAIHVKTRLIKGDNCGVWNG -AMKAELRPIEKVQANKTRVFTAAPLTTLIASKFFVDDFNKQFYTTHLKAPHTVGINKFARGWEKLYEYLH -VDGWLHGSGDGSRFDSSIDDFWFDKLYTIRAHFFCKSDKDVAKQALGNMYREFLYTPIHTTSGNILVKQV -GNNSGQPSTVVDNTLILMMSFYYAYIMKTQDFDCEHIHKRMKFVCNGDDNKFSLAPSFVKEFGGEFTTEI -KQLGLTYEFDDLTDDITENPYMSLTMVRTSSGIGFSLHPSRIIAIVQWIKKGDIIQATQAAFAAMIEAYN -DPWLFSILHLYLVWLLIEYKDALNFARVNGIFGVVYFDPCQVHALHYGTPTVNEFEECESESCIDDDDFE -AIEYCSQQFQMDLVGTPTAPRQ ->AAD45560.1 270 kDa precursor protein [Wheat yellow mosaic virus] -MEQTAAQAAARHATHTNSAQEQPPVSPMNLSANFVAPELFYASNVEKIKSIMRMRRPTRIIDAISRDFEL -VAFLILSPAHLMQLETTLRCEMKSSIVPIANSDAGFETCAVLKTALDGMKYHFGTTTLEQGWTSMMKHAD -SCLQESSSSAVAMLQTQVQKVGSLLISGKNRVESCELYVLHLTARAFRTEYGLKGTCFGEHCALLHDLKA -CVCGTVPKEFLWAKTKKHSMFTIPEWIARTPTDCFMLCLRAIPIFRRCTMAMSLLYWSCVATVNFPSVMA -FLFKRQFTKYIAHSFAKHSIYFLMLGIVALLWAFRTYASKNPKIALQARSANEKEKKLMMLLASAVGITY -LFDYDIAEALGNSLHKISRLSSYLMDDHQGIASRMFSATHGLQAGDNTEDAVTTIISDLLSITFQIVDED -VTAGVLEEVSDTTFSSWVSVNTLAGRNMSRPLQYPVNQTYPLTPMNVLLQLQAMVDSDNGWSMVVGHTGS -GKSTYLPVQYSNYLAKKLDRRQQILVCEPTQAATENVCSGIAANLGGAVYGRHEGWSRMGDHCIQVMTYG -SALQCHAMDPGFISTFDAIFLDEAHDVKEHSLVFESICNNFKSVRKFYVSATPRDGSSCPDATRKFPLTV -QQSVCDSYKKFIAAQGGDDLLDVTRYDTVLVFLAGRPECVKAANAWNANITGEKRAFSLSSDNFATDFAM -LTERLKTHKTIIFTTNIIETGVTLSVDCVVDFGYTMRPSLDLNHKTLRLERRRVTANERKQRIGRAGRLK -EGHAMCVGDEDRSVNVVSPGCLYGCGLLSFKHNVPFYMNDTFESSWLEGVTKSQADTMTIFKLPIFLTRD -LVNSDGSVAKEFLDILNKHQFTTSDIKQAPHSIARHLFPTWASYFALFQSINYGEEKDEIPSNLRHARVP -FSISTLSKFDWNALALACKQHRPTVASSFQSLDQPARVVTLQTNSANILGSIAHLCNMRNNYKTLIDSNN -HVKQSMATNVMFKWFSSSHITANLDRNLSRCLANLSVVETTISALKQISAENSQVMASPTLQSHLENIIG -ELQSNDMLTDETLSNALGIFNPKTNLFLLLATKGFKLVYVICLLILINLIYRLLSHWRAWLKNKNDNVNS -DALTNTMTVQEGSEILKEVLKMTPAMRREVTKDMKVAVAVADNDSTFLSCFPYEHIDLEGKGNQYRPRED -ARLMYSTRDDATFDTWNEKAKEKRQKISDRAEPEMKQPYQKRPYYNFYDLQTDSNILEAIFYTTEGDEFF -RTANPNKDMHSVAEKLKAFLDTKPIIGRLQRQLLEETAQVVIKDDKGTAHRMDISTHNPNTLKNNGSGRV -GYDEHRGDFRQESPALESPYELEAEFGNSKDEVMLEASTGILLSQVSVDVATRIGRISIGTFNVNCFLYS -DWILAPAHFQDRNGAVTIEFPDQTVSTTTDNLNAHGVKRFYGLDLIAIRRPPSLRPEKKLVKAFAITEPV -IAQMVFIDSQGIRKFSQSDWIRREAGSNRWSHKISTQNGMCGCLFWMLERTVSLGIHVATNRTTGRNEFQ -PFSREAVDFINGPGNKVPFSPWTFNRPACGYRQGEATFDSRTIQNQASDTLSKINNSILGFGSDLKGQLV -QPVTPALRTRFEALFGGGSFELVGTMNKGLIDKHIIVGENDNVHDFMREHPTFAWLQGFMDEYAPSVLNY -SAYYKDLCKYNRKKHQLSFNPHELRSATAGMIRMLEDAGLTQGDVRTPQQVVSDMQWNTSAGPSYQGKKR -DVCAHLSEQEVLHLAETSRHRFLACNSIGIWNGSLKAELRTIEKVEAEKTRVFTASPITSLFAMKFYVDD -FNKKFYATNLKAPHTVGINKFSRGWEMLHDKLNRPGWLHGSGDGSRFDSSIDPFFFDIIKEIRKHFLPVE -HHRAIDLIYDEILNTNICLANGMVIRKNVGNNSGQPSTVVDNTLVLMVSFLYAYIHKTGDHMLKKLNDRF -VFVCNGDDNKFAISPEFDAEFGHDFSPELTELGLTYEFDDITDDICANPYMSLTMVRTPFGIGFSLPVER -IVAIMQWAKKGGVLHSYLAGISAIYESFNTPKLFKSVYAYLLWLTEEHGSDILAAMTGTATALPIPSMLD -VYRLHYGDSSIELQAADTQTDAQKEEARLAAATKKAADDADAARLRKVEADRVEAARVKKASDDKKARDL -TATKVDDGKIVADAGTKRTNAATKEKWSLPETKPVNAGLKLRISMDKLKSAPKSIVEHDNSVALDSEVKK -WSDAVRTSLGITTDEAWFNALIPFLGWCANSGASDKHAENQTMQVDNGTGALTEMSLSPFIVHARLNGGL -RRILRAYSDETLLLLQEHKIVTKWAMKHGASAHAAYAFDFFFPRPWMNPQDIEVAKQARLAALGTGTYNT -MLTSDTTNLRKTTNRRVLDTDGHPELT diff --git a/seq/clusters_seq/cluster_175 b/seq/clusters_seq/cluster_175 deleted file mode 100644 index 3e9e6e5..0000000 --- a/seq/clusters_seq/cluster_175 +++ /dev/null @@ -1,1194 +0,0 @@ ->YP_010086861.1 polyprotein [Passiflora edulis symptomless virus] -MAGQQDTFWESGQRASPGVAGLRYLWSAGLSLGWRTRSLIENALKGVRLGVSSVGSFAHFVERHRATFFQ -RKGVPNEHYYCLKEALENGFDYELETGTYFCPYCSLQRDSIKEMKKAVGSLMHRECTRAHLTGYIETHRD -GKIEQCYHSPNGAPYKMVSDDKEDANKNERTIMFGSIETKLTGERLVLPQAVTLREDEPASPKVVSKPIK -RTRSLNHVEDKLTWVRKWPIGCEIVDKIENPMLDGVSDLKMSDPRAYDLIPLNVDKRDKIALFREIRTSK -KPTMPPSPCVAASVNDLIAETMAIAMNNGIPIEFIDKRRGKVAKRGRFYKIHLKHVYDTDNAHEDDIEDE -TVRAVLSSVKFGEALTGFNEGLVASSIMRPGWSGTIIRPQDVIDPENFDWADNGICVVQGRHYEGWITNA -LKVYDEDDIEVYYSSHARVFLDTFNSDVVSDHAPQNDDVGLKTAAKFLKAFYPEMHLGCSKCWDSFHHET -IDQIRANPRWMRMEAWLRNRNFMQTTHLANTLRDFMTGTNTPRTLLGLLSPLSDPHLNGISSAINENYEA -LNVLSQKLDQIMLETHSLPTGSALTEIKNIIMTRIQRHDDNLKLAFEAVEVKIRSLYELHPYVEHMVSSG -PKDSLDILRDDNGLPLHHRDVQAVTRIMMIPNVSTTLYKNAMFALLLNWGQIGPKGKEMWTCATHVVSNG -AADMSEFIRSCTHVRQPTHECTTALGGSQSVGCLRTTNGRFRTSMIMPALDGIRVGSLHQSNFVLMDFMT -ATRLRVVNDGYCYIHVFLLMLQHVPQNQVDFFIRDLVTPAIGKLGAWPRFKDFLVVVQTMIGKIGSVGEA -PLPALVVDHTRSVMHFVGQMGLGDYGFHQMGIPTVRALFDVSGYLLMSHFAAYQVGGLTKEIVGALASRR -NFIDLCKNQPNILVEGLLHPSVIHVLFIAEQKHNALTYCATREEKIVPLISRINALGRHYGLYQSVEEVL -QCYMREGMSFYDVLDSCLGGTVSSHFKQELSLKMQRFEESQRMNTLDRIMEKKLDLVSEEAGMRESMRMC -LKEGLSFYDYWDLKLQHYAARFRTNIDLSVTTSYGASLRTWVGRKLSMATMWSKDCVNRQRTSWTAWLIW -KFAGSLVPYYTLVTVLVFLVTCIYKAVVVVKRLTVGEKVEFQSSKRTSGQVPAKFMALAAIVTALFNNDL -SDQWYSCMVKFKSLMSTMFDEYVVFEAGESDQLGLDPVKFLEIALTQDETPTMSGLREHTFSEWAKHKEQ -TNTLGVLPYTCGVQMKIDKKNIESIAERIYTSENLDFIVSGGVGCGKSTKFVTALSRNGKVLLLEPTRAL -VTNVEEGLQKVCGMDPTVRMRFYNKIGSHPIMVATYGFALNWFYHGCQSIDEFSFVVFDECHMIQSDMIV -LYNFLKARAPHIKIVKVSATPIYGQYEYKPMCDVEVVDAGRMEINTFVENQGRGVATDVSTRGPKILVFV -ASYSDVDHMSENLRRKGFGVVKADGRTLRNAPKLSETIAACDKPVVYIVATNAIENGVTLDVDCVVDFGE -KIVGDVDGDLRCITTKRVKVSKGERIQRLGRVGRYKPGVAIRFGNIDNADDVVTTLVATDAALKSFAYNV -PPCLINVDTELINTLTKKQVETAANFELETLYLAHFVRPDGKVPAPIFNEFKAMLLRNCQIGISEKYSCS -IFSNRWRKIRNYKTVGLIRHDATPNDDVPLPFHSHHISDEGVHKLTEAIKRADPGKLRGIALPTDQLYTA -YQKVSYSEDALPGILATIETLRANEQMKLDGMRTAAAPICSTQLLNFVTLRFMANRERLERQYQNNIERL -NNIENTLRSVPVGSSQGEIIAFLNENPEAAQCVLFEGDVSGQIETQILLKKPFVLAKLIVPCLIALAAAG -GWYLISRLRSLGEKVDFENNRSVGVDFEGRKKNDIRYRRDKRNEAYFMHEDPSVIADTFGDAYADRRGRS -RKGPQFTDRGRKNHPFKNFYDFEPSMFDIVKFFDPVSKHVVERDPKSLNMEEVGMTFDERGAGGSAWGQQ -KPEKIIAYFMRKGDPTGFKVEMTEHLDTQVSKKNTLLPVGFPGHKGEYRQTGVVAQASPDEFMHLKDTEE -VQFESNAAIAPAQNFDRIRPYLVKLDHGAAKQNGFGFRHWIVHNAHFILGDQEQELKKSLIVQSAYGVHD -YKDSRKIQHKHVEGLDIVLMKTAIDAPRFRSKLELRPPVEGEKAVLITPFVNETGISFKRSDPSPIHHPD -GAGSFWRHYISTRKGDCGSLLVATKDLKVVGMHSLGPRTAASYNYFTPVTDALLHLLSLDEVEMSLFTFS -PKMIQWGSLEHLEPPKEFPLVRMLREFVTFQNGSSNTKYCGGNLVAVGEVDRPVNHRHVIKGARKEYVPF -LFDHAEHRWALDHLNHRMPSVLSTEAFYADLLKYDQPITVGMIDEALYLRTLKVMVGILKDAGFPSNIEY -IWDAQVIAADLNRQSAMGACYHGKKAAWLDAISGEKLELAFQESLRRIHEKKMGVWTGSLKAELRPKAKV -LAKKTRVFTAAPIDVLFGAKALVDNFNNTFYANHLRGPWTVGINKFCKGWDRLAKSFNHDWVFLDADGSQ -FDSSLSPVLFQMVCELRHQFMESDDFAAGLLENLYTQIVYTPISTIDGLVVKKFKGNNSGQPSTVVDNTL -ILIFVIEYCRIFIKEQIGVDLTFKFVANGDDLLINCPREEAKIIQNSFPEIMSFMGLKYSFDDLHETIET -VEYMSHKFVKRGDMYIPKLDKARITSILEWERNEDLSAQISALNAARIEAWGYDDVYRLCDDFLKSFVAD -NHIGDHLYIPEEFIEALYTGDCSELMSKFPADWFEGDSKEFVEFQMDNGDPEPIAPVLETTPGYEAPVEN -ETAEQTAARNLRNTATFNAATADFNRRHAEWQARRDRANQPQQPQPAPAPAGPAGVAQQEDIPAGHHEGA -DLDVIELPEQPPRPIWVPRALAQRLTTPSYRSFLAYNPDPMLMRNDVAPQSSVEHWFTMVPRDLGFQQDQ -FKDVFHAWLVFCMHSGTSEEMKGRSTWQAEDANGNIREYDIRPFVIHAGMSLRSLMRNFSQYCEGWIREK -NKYGHFIPTWARNGGMTRKTYAHVGFDFWTHHSQTTNDELHMHGLLIQAHRVGGRAQNLAMSQVVRAERG -EVDYRAGDDVAPGVHNAGGARLM - ->YP_009508240.1 polyprotein [Tomato mild mottle virus] -MAFIQFGDFAPIDLSSKDKQLSGMESVHATAWEYVENRFIKARGVAGWRNFMNQQGPNSMFVGKNGAFTE -AAWRLKRAIQNGLLYDPRMNVFVCETCWEWATHVELLYEGEVKCKACQDRIFVVQENPEPPVEVTNITHN -VSIGSSLIQTVCKNQEKEVTKENNVPNTKTGGIRNVQKSVIARRGNVVHCDVTELIKAVRDIAMDRGIPF -ENIEKGKAKFPVFRLKHTNIGSFQLGDRDVDPSDEMLLKHHNFHKCFIPSVKVDVTKLRAGTSGLVLHRK -NIRKDQELMLELVDDYCVVQGRAISTGIIINALIQRHDSFYDDVEFYAKSDIAGDLIKESGYNLYASLEK -NSLLRDADHDIELSPERENIKLWSTFCTTFLVRPNGHIGCKRCDDDLDSMSVAQFAKFLLENVQFVRQKK -LFESAGLRKLESLAKEMLRLIGKTGDRALVNRGRNDKIIEHPARFLYLDSKSLVDGDFDMFQKFKNLAAL -FPEFVERFSLEREYISTGHDIKKCFNGLFVQDDLLNKPIVAALQLEXSSQDCAVYDASGRICFGCSFVDN -EPEVVVVKIPTKEQLRTGRAGIARQIVFPTFHKGSLWEFKEGFCYANQFAVLCGFVSENELDGFQMLVNK -LVGMLGPWPKFRMYVQALRKVANEYPHVREAPSCIHLVAHQFQLIHCLGQFGTSASGWHQLEFSNVGEIV -DWSCIAAEGKMLDYSIGGLVTDILSNPSWIWDKEAFSKCFYTNMENTLAMLSTPSTLWLLSVAVKRHEVV -RYVLAKGENFVELWARIEYLGKYLHLFQDHEESIRAYAKLMRGFLDTFAELDGPENDSIVVKWKELVREL -DVYERHEAEWLKYESWDRVMMEKKEAIGIVSSELLYRDLITRQRHALFSRFGWQFQRCAGKFSNAGYLLD -IHRAAKVERGYKECVNAVPGIVVDACVRVYSACWNTFVYCFYVPKILIKAVCYQSYAVACDGFVALFKSK -LGQCLLMGFVTAMGTMMANWIIGLFRAFVRERRKLQSVERKISECEGDGTLVLETHSKEKETWLMRWLAV -FSMFMYVIDVDWGNSLHSSVMKVKGLYALWQHDERVYIQHGSWADEVEEELKNNMLFSTFEVQAPDNLPM -AVAKDMGFDVWFSHACSYGQTAIDPTTSGKLVSITASESQQMEVVDLIRLHEDRDYRVHGDVGTGKSTRF -PLLLSQFGRVLLLMPTRTLAESCWNSIRQVASVDPSLAYRHRFHTGTAPVSIMTYGYALAYFMANPNELS -RYSFAVCDEIHTFPSSVFPFFTWAFERYKNLKFLKTSATHIGSTHECRPRFEIEVIALPEIGMSKWADMQ -GSGTTSDAGTHGDTILVFVASYNDVDLISNKLIKKGIDVLKVDARNMRNDADVMSQVARLRGKIKYVVAT -NIIENGVTLDVDVVVDFGYKIVPMIDSNNRETRLVRQRISRGERVQRMGRVGRFKNGVFIRFGDAPLQEA -KPNEVTATEAALKCYAEGIRVTPAHVCVEAIGEVTMQQAVTASKFELNQILIAHLAAPDGSLPRPVFELI -KKMQLRAGTIKVSERYPAGTGSNWRTLDSYVKLSQEDQQFGSKKVPWFSSDLSLDFMKQLAVAVEQSLSV -IKTSIKLEVVNPMVIAHKISTNEVNVHESRMLVGALLTQTKQKRETIQHAVANSCGNPLLELPMRWYKGK -TKATLDRLERQEATLQVIHDSLGRVNAQSDYDQLVKFIEENPDCGAYLEAHSKQEFLEEKVLELNKKRVQ -PKILFPIVLGCVLAVSAGGYYLLRQRKKSELELHGKSKRIRLQRDKRSDAFHLHAPEDDFTEEYGVDYSW -DVIEGRISKAEKTRRLKEKGREPNEMERTPNVFKLIYGFNPSQFDQIMLALPNGAASEIVSGKDFNLDEL -FVSLQDTTQFLYKAKPSYLNLYFGNKGDDFVHKVKLTPHEPRRAIGNTMKPMGFPEQAGVFRQSEKPVRV -TRPEEMKLEEHSGVNEADVFLASRLVRLNAPIGYVHGFMHGKFCVAPRHFVKFCQTGDEPCEVVTKAGVY -TVPHVFKKSIINIDNLDLIVMKMPDDFMTFKIKKVLRKPVVEEEVYFLGLIRDSSGLKAKRSGLSRAYPF -EGCGKGLWAYDFNSNHGDCGGIVVAVKDRKVVGFHNGICKEWGVYKNGVFVPVTQSLIDSVGIDVNGDQW -KFDDRVVMWSQLKPPSNCFPVTKSISPIEVHSAPGNVKLFGGNLTCNGITSSTPYTSHVVKGERASFRRF -LEKNPNSIFHKFINQYGPSVLSKEAFYKDFFKYDSEINAGHVDMECLKVAKGRVIKYLEDAGFVRGELGA -NWDLLELAKGLNKHASMGALYTGKKGTWMETITAGDFKEATIESFVSLVEGKVGLWSGSQKAEIRPIEKI -KACKTRVFTGAPIDVLLGAKVLVDNFNHLFTETHLKGPWTVGINKFNCGWNALAQAFNHEWAFIDADGSR -FDSSITPLMFHNVLEIREYFGDFCDDEVQALRSLYTQIIYTPIQTIEGFVCKKFRGNNSGQPSTVVDNTL -ILMLAVEYCRARSGVKMDFKFVANGDDLILNAPRDEVPVIKTKFSDWFKECGLTYDLSKENESIKDVDFL -SHRFLYDDRLGIYLPKLDEERITAILQWERSDEVFKTRSAINAALVESFGYDELFEEVEAFGKFWAAEHG -LENVILPRDEIEKLYTKPMTSFSSVWYDILVATPYIQRGGGLSFEEHSSSIADLERELEGLSARPELSQE -QKDKRKAEIQNEIANLKGGGSGGQSVQSGDDQQLEVVPQTQEESALKIKPPKVGAKQQSFWVPPAQKRFI -NQNLVKKMASYTPSTQLVDSQLATTVQVQEWAKSVCSGYDVTEVVFWEDLFPMWLVHCIVNGTSEQQSQS -TWRGVDLSGDEEIQVEYALDVMMRNAKPTLRSIMRNFFAQAKLVYHNSLDRGKPLSVKASVQAGYTDVTQ -GWLGIDFMPNSFPLTTSQKNIRNSIFVSNVHRRKQYTFALGAPGEESTNDERHEAQDVTRNRHNLRGAAI -E - ->YP_009373261.1 polyprotein [Longan witches broom-associated virus] -MNQVPAFGRAWQILGDPKADEFICNLVHESQNGPVTSSVHIGSIEVPLHYVVNYFGPLLDNTVHTSAYIN -ARQTYKYYGNTGEHVLNHKLATVRGYRYWPQNGTFQCPECGVISFNSKHVDNHHTPGCGLIPADLIKAEG -PQAIEGIVISPAGHSEPEVPTQITNHEVPIEVVSNEVLTPQGETEESWLTQEHIVETITTAYDCTTVRTD -RTIRQLGGGVNWEVRRRRVRRKTPISGGKKILVQSVQLDQLVAEVLGICVTSQIPLEVLDLPGFTQRKHR -GARFLKVATRHEAEGETTGGPLSYNRKIDVAHGFARNLLRNPFLYQAIQPIQRGTIRSAWIRPGWSGMIL -RQCDVRDRYNFDWDENGICVVRGRGSTGMILNAMKTYPENEPITYYSGESSGSTRVAVLDETSRLFIKTF -MPPEVAVDDNILKGISKMSLEQYRHAVLNSSGMRSLILSKKPEELALAELIKGIFQISPLTTPVSMRTII -PLFDDPFVDPSLATLAAEINRNAAMIGEVANTLQRLTGEMVNNKEMFNTLSNLTKRLSQTTAIDHAAAQR -HLTAIGSQLRRGQIDVRCTTSSHVNFPSRRLSLDSLCDADGNLAIFSFVSMPGRIYDLAGSDVTMNGDTI -QRIVGRYLNVDNEGVFVSAVEDYAAGSVSALTIPRPTQGGKHCFVRSTSENGQVIFGCLHDHHGEPCLTT -RIQPCLDSLSFGPTTLSNRIRLDTRYKGKKLCPINGYCYLNFFVIALLTVPPDHVWKYLRVGVSNAVKKL -GAWPPLREVLVTLVETFRGNAEVLDTPCPTMVVDHAGKKIHVLNMFGLKTVHHHTLAVSTIGQLINSFTV -ECTGDMLDYAVGGWSHNMCKAIQDPRFLVQMVRTDPKPFLESLLSPSAVRQMARVLENRHYYSRVVSMDE -RFVDLVIRMRTVGKNIQRMERTIQDLRFHCVQSDSFEGPLTRIDPELGERFRQLRISREQDLVEQLEVNI -MDRVFSEEKNFLLIEQEASLMLDQGYEESLSWFALLVGYIYTAICALYSACGRGARFVWTFLHPVSLFTP -VETFKGMLIRRAFTVGRYFFFGSVLDKFVGTLTPLLIVPLIALIMFFVSRIRKLRGRGVFGNDQFIAEPQ -AAQAQPRHLQILAWIAMFGFFLDTRWAQAAYETLNKFRTFYSILSSPTAEPQAGVSEIEEVLQDVNQFYT -VELHQDPKEFFHDVCPGATFEGWLRSRSGLTRVGQLPQYGGTRLDVTRETIVEVADAIRSSNSTNFLIKG -HVGCGKSTRLPAHIAAHGSVLICEPTRALATNVKESLLKVCGVDASIMMRGYSVTGRSNVTVSTYGYALN -WLINNPSELSRFDYFQFDEVHQFDSNAIVLYNWLLNNHPTKKIYKTTATPHGQAPVLQSEKGVEIRTASA -CSLEVFAREQGTGMAHDVTGFGKVAIVFVASYRDVDRLSDELKKRNPHFGVIKADGRFLRNIVSLESKVT -AEKGDFIFVVATNSIENGVTLTADVVVDFGFKIIGSIDHDNRALVTKRVPISAAERVQRLGRVGRFKPGV -ALKIGDGVDAESTIPDDVALEAALLSFAHGVVPCLINVDCSGFEKMTIPQIRTASNFELPLLFVSHFVGP -TGSIPREVYNVFKSLLLRDCGLTIPEVYEISIYGNGWFTVDRYKTLGLIQQDEEAKGLLPYFCQQVSQAT -YHDLIVAVAASHNGAGSRLTLPPLDADKAILRISAEEDVRSVVLEYATSLLQENNEKLRRIKEMRAVGCA -NNLFSALNINFAEKQRTLQTKYEENIAALNRLKVQAQNLIDNGDSGQLRNFLLANPELCSCVQTEGLTCD -TVRETLLGEKSGVGSIPIICILMGLGCVSIAAYMFLMMRKQYAEEQAKIRYNRMKRSGHIDDEGDVYEAA -GNQGDIEERFAKNYKARAKIARDRAGGVVKPIQANIGKAFYTLYDVDPEQFDSVTLYDPATQTKRKVTIE -KGYGSFNLQQVIEDFTDEISGNSVNWGDTTEVVKATFEAEDKPEAYTINLTRHNPRRVNKTGPNLAGFPD -KLGEWRQSGPTARTASMIAEPQGMFSPGPSPVKTIENAIARASCGSQIVNVVITDRHLVAPMHLAELQGD -LFVKTGYGVFSFGSIKKLKVRQVDALDLVILDLPGDFLSLGSKVMFRPPKSGERVVLVTSTATKQGLLYE -YSGEGVVTKDKDNKNGDFWVHHISTSKGHCGGPLVSITDRKIVGFHTHGVWHFGVVVKNLFAPVTDSMIK -MMQGIDLGVKVDWVYNKDIINVGISSIDKTPIAFPFANWIHDIMSIAQPQGEIMGHHLGNNLDLMAGFDK -TLTTRHVIKGKCLTFCDFVANHKNGTFSEKLGTRAPSILNGPAFVKDLMKYDQPIPVNQVDIKSFEKAFS -RTVKVLEVAGFVRNSLKYVWNFEEVVNDMNWDAAMGCAYEGKKKDWFEAASLEELQAAHMDSIYRLYNGS -MGLWTGSLKAELRPIEKVIEGKTRVYTSAPIDCLFGAKTLVDSFNHAFYSAHLQGPWTVGINPYNLGWER -LYKKLDGHEAYIDADGSQYDSSLTPFVFNFVVKLRLEFMEDDDFAKTCLENLYTQIIHTPIVTIDGLVVQ -KHKGNNSGQPSTVVDNTLILIMAMEYMKVKLNVDDIDFVANGDDLLIGGSRVSIDKISAEGSLVFQELGM -KYDFSSVHSDLKEVEYMSQRFHEYQGHIIPKLSEERILSILEWRRNDEFDKRVSAIAAAHLASFGYPDLM -ALIEEYAVHYGALMDQAVVLLARDKIESIYLADGTLPGELVIETQAGEMTDEEWAAMSSQERESWNSHSS -NQRPVDAPINDDIWGRMTRQQQAAWNSHHPTEQRPLRAENGGPQDINEDQTQNQMVIFPPSKQNNALAGY -SPSVIQQPMSVVKALINYTPAREAVAASVASFEGLNRWKEGIMKDCGWTAQQFDQIIPLWLVYTAENGTS -EEMLTQEKWKAAEGTTQHLYDIKPFITHAKPTLRSIMRHFSAYTSAYLLMRARNEGYITTWGRNAGLTDP -SFGHVAFDYWVPNNCTPRDLQAHHEMAHLHIGKKTRDALVTAKLNDGDTQRIMGTTTNDAMGGRRNLAGI -TYGGVV - ->YP_009272707.1 polyprotein [Coccinia mottle virus] -MAEVYSFVAKTAYEKRMKRRFGTDKWLQFREQCPLGQVRKCWTHFKISDGACFAYLLVNLTLEGARAFLK -MSAGNKRLVMDRVRKSMCSGLHYNPNMDEFECECGFMSEQFSKVCEDCENSYKYTEANLLHNISQLAAIL -ECNISEIPKFSILEIEDEIAFYESTNKTAGPVAVAQVVDGPVSTACGSIPKPGCDEFQVEGKVVGESEIV -IKKIADPELSHCVRKDVVEPVQQPKDEENEVEKKVKSAAKSVQPKEKIQKVWRPIHEKPKKIDVALKNEL -KECLDLAIKIGDFIINPATFEAKLEEKQEKFAVHVKNMTEEEKSEEKVRDFKYNFKKAFWPKEKAVKKGP -MERSKMEHFPQRIVDKIESGIVEEIKIKEEVIPGRKMAMSRKKQPVVLKSRVSYPVEKLCNEIGRIMEGK -EIEIINKRRMRMKAESGMVVVQVGHMDGSNPNQDLTIDDYSEDQFNWIMDGFKPKVMFDSDVVPGTSGMV -YKNKRNDGRKYTIIRGRLEGSVVDARDVLNESERRRVRNYSPRGMHLISEEYRRSEFAVQENRTIQIVDN -VVYVKDSTALRNFINATALNGIVRNAQGATKTCIAGLRKAAKFGVGYDIVMNHYVCPVCKMRATDISLLG -SNCDFCDESKQREIDHVEEFKTIPINPIEGDFHPTVIEAMKESWWRSDVEDWPIEKVYKSNGREVHIEKQ -GQRSFNVEFSLSECTRHKSWDLIKIAFENDLTLCNLDYSWMDMLPCTTLNHLFTKDFEDDIHPEMRELLE -RDNARTVFRSNVYVSSEEICPGWSGVILTMESVDDEDIENFDWINGICVVQGRDNVSLRIQNAMRIKTSE -EMKDIQLYSFDLSWAKSKEKFIEHFAEDERRIIKTCCTPSALWLYARKAKVYGYVDYLILKESSIVDLCV -KLEYVGQHLSLFENVEDACIEFAHFMDGNISSRNLEDEPELSRVRLMIKSFFDSVREQNKYEIIDRIIEK -KTRLEADEIIMRELIRHQYAELFSWRERILNRWSSKRNTLSSLWEKQENANSNSCSIISSLLSKPGLEHM -SNWAYTVCKVQAKHGISMCDNVIKFVLSRIVELGKSAFFRWWESCFYNVFCILATAFVTYFLSKFVNFVK -KLVMSERKETLELEKGLVEVQGKKEEAFVMKWCAMLTLLMSFVNFDWAMASVSAMGKLKTIFSAFGNNLV -ELQAGDDDAFKFTTFEVEVPGNGKSSDEQTFEEWMNHCIKYNLTTQEPTTSGPLLTLHRGKAKDLAETIR -MHNSSDLRVFGGVGSGKSTSLPSELMKFGSVLICVPTRVLANALHDSYMSLFGFDISVAYRGRVRTGTQP -ITVMTYGYALNHFHYNPGNLNSFEFVVMDEIHTFPTELNPLFSLIRETNPKKKIIKTSATHVGHNVELST -NHKVDIETLTPMGVKKWVELQGTNVFGDASSKGEVILVFVATYSEVDEAADGLRNKGFSVLKVDGRNFRK -NTEVQKMVDDLPGPIKYIVATNIIENGVTLDVDTLVDFGERVSPVLDTDGRSIIMARRRISKAERQQRFG -RVGRMKPGTIFKFGKEALPDSMKSVVGATESAMICFAYGIKPVVDDVDIGAISRITKKQALTATLYDLNR -IFTVHCIDKHGFIPRSVHELFKPFMMRTEAVAICESYLSADTSQWRPLSSYMRNTEETAHVRNVKIPWFC -SDMSSDFIVKLAECVAHAKPKFSCSYDVENVDFHVVAHKISVGEHNIEESKALVSEILNRVKQWRDNLIY -KMSTPRNNSLMSLMVGWIPKKIEKTRSQLEMRIQRLEMLLSQLDNVSVSHDYDSLVRFFAENPHSAEYLE -AQGKAEYLENKVLKTKIRETDWRVVAGLLTATVGVACLSYWFLRRKEAIQQIEIQGKVGYKRDKRTARYV -FDGPDQDMVEAFGVEYSHDVMSGKMSKAQKTKKAKDAGWKIGKVDRPKKIFSQLYGVNPLEFDEVYMTVG -DCKGQEWKTTDLDIDEMFEEIYDDFSLANRFKTVPKDVHLVFKKSGSDEESVVTLQPHRSRMASSMSLNP -MGFPEEEGRWRQSGEVRRRKRIEEDVEVQVANPVVVSEFAHVFQRIGRLNFSGRGLNCIFHGDKSIMPYH -LASEGDPKDPLIVTTSRGQFDLGPLNLVKCKKVSDYDLVVGQLPRDMQPFKATQILRKPKMDEEVALVTL -KRDKGKMFIRTSNPTKIYKAEKDKYSHLWVHFVEGASGDCGGPLVALTDHKVVGFHNGIIRDDQGKFLRS -VFTPVSDDLINIINEKTPLNDFWKFRQDAISWNAVIKTASLFPVTKTILGVHVQVGAGDKYIGGNLMTVG -EVNKHAYSNHVIKGKRAEFLQYCLEHRENCFEKFRDSYGPSIMTVGAFYKDLMKYDEPVQVGRIDFPSLV -HAFLNVEDVLLSLGFDEDCGPEWDPYEIYSDLNKKAAMGALYHGSKNEWLANITPEDFIMQVQESFRMLG -HGVVGVWSGSLKAELRTKAKIAEGKTRVFTGAPVDVLLAGKILVDKFNKHFYSQHLKGPWSVGINKFNRG -WNKLAEYFNHDWKFIDCDGSRFDSSLSPILFQMICHLRERFGHFDWGETNALRNLYAQIVYTPILTIDGN -IVKKHRGNNSGQPSTVVDNTLILMLVVEYCKAWHYNTSGIVMKFKYMCNGDDLIINAPDSEIKIIQATFK -NLFKDCGLNYDFDDLHVNIESVEYMSHHFMKRGDCYIPKLSKDRIVAILEWERSDEIFRTRSALNAAYIE -SFGYDDLFSEIEKFSEYWAKMKGVDNVLMKREHVESLYLDDKFELTEEIINSLSPASFEFGYVELQADTI -NKEEVEKEIEDNRKLWFENRATLDKSPYQARKDQKPYAEKVDELLKKLKDAGIETRKRPCGVPNADEKDE -EDEKSQDSKGKRPMLKDDRIVRRDDVDKIPTNALEFKKDFKPARASRTSYIWIPRSQRDNLTPDVVKNFL -AYIPPSQAIDNQMASGTQVENWAVRTASAYGVSIQQFYETVLPAWIVNCIVNGTSDERKTETVWRAVELN -SQGEDVDDMEYPIEPIYKHALPTMRKIMRNFSSQAILMYQNSVAEGKAFTVKGARNAGYSEMEDQWLGID -FLAEAQLSRNQLNIKHQILAANVSRGSKDLFALAAPSDQGRVNAERHLTTDATAHRHTYSGAQIE - ->YP_009047077.1 polyprotein [Yellow oat grass mosaic virus] -MASATCGLLDLWTGGVVANDPYVRCEARTLVCLETEEEADMMVSHHGPGSIFWSADGKLTQTAKNLYIAV -EHGLGYDLAAETFVCKQCRSSCRRYKYFIDEHAACAKLVEESLAYIKHGNKTFVKCAFPIMPAYATKAQE -TKVLEWMEETMKCIPAHCYKAEEPIHIHNARSGETEVRIRVADARVDSVEHEQEKITVQAQQSTNPIQAH -KTREIKMRTENIINLVDSVTKLCAKHGKKLEIVDSKGHKRYPKIPLKHTMGYRKSEWDPHHDVPERFRSF -VETYKEVAEPICRLRDEEIGYGWSGVVLTEQDLPGKYAQQCVDGLFIVLGRCACGQLQNALKVTCDHGLR -WYGDLWINENIPKYHAKCDGDFEEYFSAIPTKIRKVLKVIFDIHNRCCKQCTQEWRSKTHREHMKHLRVS -LRRYLEENPDTEIALFREFVHGEKNDTEPSSKQLGGKPLQLVDVWRTMQSNVNIPNRKIYMGMFTDPFGN -FDFFPNSSMPMLFPMYMEAVTHRLVADGTVETNYRTVDKKGELETSMESLYPAFDGQYWNKVAKAVHREQ -PLHECGMMVSGRRKIICHWEGEVPLYNPVIRATPSQLSFGFVSKLLYVNDKQGRHTYVPKNGYCYLYLFA -CAMIFCTDSNRVDVATFVMQACERLGPWPKFKQVLFELNWMVTYYGCYDAVVPTILIDHLNKTMHVPSPY -GIKQSGMHILRVDNLRSLVELDSMGEGPMRDYAIGGFKETIKGMVACVKSRREFIRKLNNDGEWLVDMML -SPSTLFALGGLLELHGIMLEDVGESMDKMAALLTLKSNALKLAPYWESEKRVREYMNRMVQMKCSINLIV -PTEHMRAEKMVNIDNILRALREEQTMMVIDRVDTKQKMLVEQDLLRAECVYNELFSSVGYLNFHGTAFRL -TYSGLGRRVGETLENLRNNWFTRWIRNPMKPDVSSSKAWLNVKKLGQACGITYAWVCQQMLTTVLQIVII -SLLTVFGSYVLKKVLKMLKWEKQQQDKKLVEYQGKAEEAWITKALALMYLVSTLFSMDLSSALYSNLVKF -RTIFDILRANAQYQDGVYEALERQLGNVPAFHEITLYDHEATTQSFPIALNTFDKWYHTRMQSGQQGVAP -LDGRHTELQMTKDTVCKTASSIMASKEREFLIIGSVGCGKSTSFPAELGKNGRVLICEPTRVLVTNLQDS -MMAVKGFNISAMMRNYRVMTASNITVTTYGYALHYLYNNSHKLDDYDYILFDEVHQSSAEMYVLYNWLSD -TTWNGKIVKLTATAKNVSGDMNTREDLCIKNWPLMDVKTFMYEQGKSTAHDACQLGKVVIVFLTSFREID -ESAEILSKTAKIGVIKADSRHLRNKTSLSEDIDALPHEFKYILATNILQNGVNVEADVVVDFGYKIVPKI -DCDNRMIATERQCINKADRVQRLGRVGRMKAGYARKIGNGVETSCLLDEVTATEAALLAFGLGVAPVMTN -VDIQTFGKVTAEQVRTASKFESQLSYMVWMVNKDGTMATHLYDQFKALLLTQGHMQLSPYYSSLFNVNNF -HTIGRYVDLGYMRTEAGHQYTLPFHNREVSDEFAVRIGEAFNKSKLPNSVRMRIPAVDYKEVSLRLQATP -GNLGAVLQTVESALCEEKEKLMYLTDALAMQRETFCSVLAPNLNLPARLQTSIARIQDNVSKLEITKRQL -EKAVVTYDHEELMKLLHENPSIAAHVSYQAGHKAFVEDIILEKRQYKWMPYVAIGTACAIAITTFIALYY -RRMKASVKYEGKAGRIKDQKRQAGRDEKMQRESAYTYCDTGDSLYDGVQEWNNNSPDWSERIRKKTHQHS -MQFGQEAPARTKRSSYQFWHFYGFDPAIYDSVEFRDIASGFSVTQKANAYDLEEAFNQIYMNRRTDDDWE -GPYLPTDIRAIFRKGDAVREVRMAPHKPNQANKRGLPVGYAEKRGEWRQVEPSEEKPANFENASTFEGPR -SFKHIHQNQVMLVHGTNSLYGLIVGNILFTPYHFTRRIEDGAEVESRMLTQFGTFNLGKVTSRSVTKFTM -MDLVALTLPPSFQPRRKLKCFRLPVEGERAILLATRYEKGDWVLQTSAETPIVPFGERHDAIWKHKISTS -EGDCGSLIVAVSDQKIVGFHNLGGLGENYFTPITTEVMDFLAEKSELPLVPWKFSKEQVDLCGLIVQNDK -STFPFSKTISGLVHWQSSTMLKYCGENFKALGYAPNHMSKRHVVTGRRPEFIRFLDTNQKWRTIVEPLSG -EFQPSVLTREAYYKDMLKYNKDIMVGTVMEESFAKAVVSTIDMLRIAGFERNGCKAIFSSGKIFNDLNLD -AAMGALYTGKKLAYFSELTDEEIDDFYISSAAKLLGNGHGVWSGLLKAELRPKAKVSQGKTRTFTSAPVD -ILMGAKSVVDDFNKLFYSRHLRGPWTVGINKFNGGWNLLAGSLTKHEWYIGADGSQFDSSITPLLMNAIL -NIRQYFMEDDEDANTMLANLYTQIINTCILIEDGTIVQKYRGNNSGQPSTVVDNTMCLIIAMEYCRDRVW -SEHGVNMSILYVCNGDDLLINANEEDKEIIQKFFSGYMKELELNYSFDEAYKSIEDVEYMSHKFMKRENM -YIPKLKRERIIAILEWQRSKEPKAIQSAIIAAYVEAFGYDDLSAMIEEFAQTVAATWTDFKLPTKQEVED -LYIRGVQVDLQDELREVNAQYCVFEAGVVDEGDEALKAALADEGARDGKGTVDASGDAKSSGTSNQKDQE -PEMRDEAPVVAQGQRPRGNSFVSNPVKPTDDVPEKSPGLVFPKPKPTSKAIYVPSPVRALLRPEFIGKMV -AYQPKRELVENRYASMEQLSVWMKEAADGLGVSEDVFVNAILPGWIFHCIINTTSPSNEARGTWRAVNNA -GTPDESQVEYPIAPMYKAAKPTLRAIMRNFGDAARVMIQESVRIGRPIIPRAFDKSGVLSIDNIIASVDF -IVRNERDNSTFVQVQNNVVVNRLKNIQSSLFGQASLGAGSNEDTSRHDADDVRENTHSFRGANAFA - ->YP_008766766.1 polyprotein [Tall oatgrass mosaic virus] -MSTSRNGRKMEWRPVVRKDPTGDTCPLKGMGESGVVDNDPYVQCEARTRVYFQTEEEVDVMVNHHGHGSI -FWSSEGVLTQTAKNLYIARAHGLAYDLAADVFICTQCSSSCANYRYFTEDHEACSFLVSQSVAYIKHNKS -TKVVEAFPIMPVYATKTQEAYILQWMEKTSRCLEGHCYVKQDEIKIFNQKTGMNEVRERTIISPVVVDEP -EVVQSRTVYVKHSSPVQTYKEKKIKMRSKDIQNLVDSVAKMCQKKGKAFEVVDAKGKHNYPKIPLKHTMG -YPKSEWNAKKDIPEDMRSFVETYKDVATPVRRIDEINITYGWSGTVFSAQDLPEKYADRCVDGLFIVLGR -CECGDLQNALNVECTKGLKWCGGYEGNPLVPRMHEKCILDHKAFSGIETHVEIEILKALLDIYDMRCRLC -VKEWKARSETDHEKILKNTVSDFLSRNPSKNFLVFKRFIDSCTNGGTQERQLKPSVDFQLVDVWRTMQHT -VNIPNRKLYMGMFSDPYGNFDFFPNTSLPRMFLDYVQPVRYRLAKDGSVVTNYRFVDKNNNIETCIESLY -AKFDHKYWSSITKAVHKLQPIHECKMDISGEAKVVCHWEGDIPLYNTIIRATPSHLSFGLYDKLLYINDS -YGADMYVPKNGYCYLYIFACAMSSCDNANRTNVDEFVNQVCEELGPWPKFKQVLRKLDHMATYYGCYDAP -VPTILVDHHNHTMHVPSPFGIKQSGMHTLRMNTLGHLIRLDTMAEGPMRDYEIGGIDATVKGISASVKSR -KEFIRRMTEDAEWFVDMMISPSTFFVLGGLLELLHILLEDVEYSLDKIAAILNIKQAAFKLGPHLDSARR -VRAYIELMIQHRASVECIVPSNNMKAEILNHVDQLQRAILDEQKILVMDRVDGKKKMLVEQDLLRAECTY -NEFFSSIGFLSFHGTVLRLTYSGPGRRVGEMLESLRSNWLTRYLRAPHMPADAKKNTLKTLSTIGNICDI -TYKRVFSCLVANALQVVIIGLATIFGTIVLKKILKMLKWEMKQRDPNEVEYQGKREEAWISKVMAMMYIV -ATFFSVDFSSAIYSNLVKFRTIFDILKVNCEYQGVLLDKLSSHLGDIPTFHEIVLYDHEATVAHVPPTLQ -TFEMWFDSRVQSGQCGVSPLEGKHTKYTMDKTTVGTVASKIHADASKEFLVEGHVGCGKSTAFPAELSKN -GRVLICEPTRVLVTNLQDSMQATRNLSISGRMRNYINITASNITVTTYGYALHWLYNQPDQLDQFSYILF -DEVHQRSGELDVFYNWLKSTAWNGKIVKLTATPNNVSSTMQTQVPIDIGTWPNMSHHAFMKEQGAGTTHD -ATKLGKVIIVFLTSFREIDESAEILAGKGNIGYIKADSRHLRNKVNLMEEVEAMKQEYKYILATNILQNG -VNIHADVVVDFGYKIVPSIDSDMRMLGTKRQLINKADRIQRLGRIGRMKAGYARKIGTDIDSSFEVDPVT -ATEGALLAFGLGVAPIINNVDVLTFGKVTQQQVKTASRFEMQLAYMVWMVNKDGTMAVSLHEQFKELLLT -PGNMHLSPYYTSLDDVHRFKSIGDYVKMSYMKTDIDHELVLPFHSRDISVEFAKRIGRAYKESIMPTSVK -LRVPAVNYREVSMKLAANPGNLGIVLYMVEEALVAEKNKLQSLTDGLQQFKTNYCSFLTPNFSVEDKLSQ -AIKKIQTNVQRLEGHKNRLELAVVEYDHDKLMALLQENPSVAAHVEYQAGPKCFIDDILLEKRRYGWMPY -VAIGTACTIAGTAWLVMYYKRMKAKSSYEGKAARNKKNKRQSGYDEKMERESRYTYNDSGDVLYDGVNEW -NNSTNDWASQIKKKKNAHSMQFGQERAERVNRPQQRFWHFYGFDPAMYDTVEFKDIASGFAVNQSASDID -LVDAFDQMVAFRRDETNEDQFYGETAPREVKAAFRRGGATREVMMTPHKPNKINARGLPVGYADKRGQWR -QAVQSEEAEANFENKSLYESPRSLDCIHQNQVILVGNSQLNGLIVGNILFAPYHFTRGIGEKGPEERAKM -YTRFASFDLGYLTQKVVHKFHMMDLVALILPPSFQPRRKLKNFRIPVNGERAILVTTEYKDNEWTNKHSA -ESPIVPYGDKHDGLWKHKISTSLGDCGSVLVAVSDDKIVGFHNLGGKGENYFTPVTQEVLDFLQEKAEKA -LVPWRFSDEQVDLCGLIVQNDASIFPFSKIIKDLANWQSLNMPKYCGENFKAIAYAQNHMSKRHVITGKR -PEFIRFLDSRPKWWSLVEPLQDAYAPSVLNHEAYYKDILKYNKDIMVGTVNEICFANAVISTIEILKIAG -FKERECKVIYSGARIYNDLNLDAAMGALYTGKKSEYFMQVSDEEIEDFFTQSAAKLCSNGHGVWSGLLKA -ELRPKAKVDANKTRTFTSAPADILMGAKAVVDEFNKKFYTQHLKGPWTVGINKFNRGWDLLAKNLQEHEW -YIDADGSQFDSSITPLLMNAVLNIRLYFLEEDNVGETMLKNLYTQIINTCILIEDGTIVQKYRGNNSGQP -STVVDNTMCLIIAMEYCRERVRMEHGIDMTMLYVCNGDDLLINANSIDKDLTQQHFARYMKELELNYSFE -DAYKSIEEIEYMSHTFMKKDNVYIPKLKKNRIIAILEWQRSKEPKAIQSAIIAAYVEAFGYEDMTEMIEE -LAQQMNTVWEDFKLPAREEVAALYLTGSRTDIQEELKAVSDRCCMYEYGVVDGLGTSKWCRYEAAPANQD -AILDAALKDGQSSGGDENLNVGVRPIVPPNPSDNNGTGSFIVNPVKQTGKRTDDVKDRSPGLMFPQPKRE -GKAIYVPRPIRNLLRAEQLEKMIAYQPRAEQIDNRYASEKQVQDWMKGASDELGVSEENFVNILLPGWIY -HCIINTCSPGNNSTGTWRVVNNIGQDDGAQIEYSLKPMYKHAYPSLRQVMRHFEDAARVMIEKSFELHRP -IIPRAHEKAGVVSIDDVLSSVDFIMFKAGDSARTIQVRNNVAVNRLKNVKDRLFAQARLSAGMNEDISRH -DADDVQVNTHTFRGADVMS - ->YP_007027011.1 polyprotein [Cassava brown streak virus] -MATIQVFKTIQFGSFEPVTIKCDNEPIPQNPKFNEELTVVSEVEEKKVCEKSQSSTVKPIDLFSIIGNSV -YCRSYVALRNFLNDTKWGGVFKNKKGQELQAAKRLRRATSYGFMYDPVLKAFECPKCRTKATELEAFCSD -CDHCFEIKHIGDGRDTKLDVQFYPINPIELDTTDEVLEVATSVWFEEDVKEVVVDKLITTDEKNIVKTRV -ALVKNRYEPRIVANVSDLTRTLTQICCETGIPIIDLDYKKRKAIPMVRLKHVFGVLESDDLFEEDRVFLE -HSNARKVFRSCEKVSYSMVRPGWSGAVIMEDSVQPIDREKFHFIDGMCVVQGKNKRSGRVENALVPKSSE -DLSEIELYSFDLSWARTRDNFFRHFDDDVGKLIRTCCTPSTLWLYAKKARFYKYVDHMILKGSPLVDILV -KMEYVGKHLELFNSVEDVCLEYAHFMQDMIQEQVNDQSDENIIRVKNLIRSYFDSVIESNKYELIDRIIE -KKRELEAQEVISRELIKHQYGDLFSLRERLLINIGFDSAKLSSLWEERERVKQRSSSIISCLISKPGIEI -LANWISEAFKNCYSNTIYLVDRSMIFIWNRMVSIFNSCVYGYWNLWFRKAVCVLIVFVVTGFSSKIIEFL -KKLIKNERKQAIKFEEGLVEVQGRKEESFVLRWCAFATLFLSFINYDWAVGSVSAIGKMKTIFGALGPDF -IERQNGDESDDLKFTTFEVEIPGEAGTSDSQCFGDWLEHCIKYNLTAIEPTTSGPMLTLIKERAGELADK -IQSLNACDIRVHGGVGTGKSTALPRELIRFGSVLICVPTRVLANALHESYMALYGFDVSLAYRGRVRTGS -KPITIMTYGYALNHFHHNPKNLAQFQFVVLDEVHTFPVHLNPLFSLIRELSPEKKIVKTSATHVGHNVDL -STNHKVEIHTLGLMDVKKWAEMQGTGVFGDATKDSGNVLVFVASYKDVDACAEKLRDKGLPVLKVDGRNF -RKNTDVQRQVDEMVGDTKFIVATNIVENGVTLDVDVVVDFGEKVSPELFSEERCVLLRRQRISQAERKQR -FGRVGRMKKGTIYKFGKETLPDSMRNRMGSTESALLCFAYGLKPVVDDVDISAVKKITRKQALTASMFEA -NYIFTAHLVDRQGFMPRPVFELMKNLLLHTDAVGICSTYLATNMSEWRRVCEYIKIEESSRHIQEVKIPW -YCSDMSDDFIVKLAECVKASKPKLSSGYRVDNVDFHTVAHKISVGESNIDESRSLVATILDEVKQWRDGI -TYHSSTPRNRSLMSLMVGWIPRKAEKTKAILDERVQRLELLLNQLNGVRGIDDYESLVRFFSENPHSAEY -LEAQCASDYIEEKVMNVKRNYDKPIIIGLVGLAVATGTFAYWYLRREAASEVVEKQAKHKYNRDKRTGRL -MFDYSDQDTVETFGVEYSDAVITGKMSKAQKERESRKKGWKIGKVNRPMRVFHQLYGVNPLEFDEVIMRV -GDWATDPWTAKDVNVDGMLIELDDDYHILKDDRMLGKRVELAFTKSGSSDETVVQLTPHRSRMASSMSLS -PMGFPEEEGRWRQTGSPVVQKRTESGHTVEMQVAKPEVTNPYEHVLVRLGRAHLGTRVLNCFFHGSKCVI -PYHLAENGDLSESLIISTTRGQFDFGPLKNIKCKKIKDYDITVCPLPRDVQPFRAKIVFREPKLGEEVVV -VYFARIDGRIVMKVSEKSNTYRAGGLFTHLWTYQHDGNPGDCGGPIVATSDLKVIGFHSGVVRNGAGEKL -RAVYTPVNQELISSLSSEVQMTDFWTFNPDLVEWNSVARVSTFFPMSKAINTITVQVDDGEEMIDGNLMI -VGSVNRTVYHNHVIKGKRESFVRYCNQFPHSAFVPELRNKYLPSILSKPAFRKGLLKYNEPVKVGFVNYE -CLIKAYLVIEKKLEDLEFRGNSGPEWDPMEILNDLNKKAAMGALYQGSKQDWLKSISPEDFVVSVRESFK -HLAGGDIGIWSGSLKAELRPCEKVKEMKTRVFTGAPVDVLLGGKILVDNFNHHFYENHLKGPWTVGINKF -NRGWDKLARYFNHSWNFIDCDGSRFDTSLAPILFQLVCHMRERFGGFDAIETAALRNLYTQIVYTPILTI -DGYITKKHRGNNSGQPSTVVDNTIILMIVVEYCREVMAHEGIEMKYKYMCNGDDLILNAPDEEIPIIQSR -FKNLFAECGLNYDFDDVHKEIDTIEYMSHSFARKDGFFIPKLKKERIVAILEWERGDEVMRTRSALNAAY -IESFGYDDLMTEIERFAVFWASERGCEYPLLDRKRVEGLYLDEHTDINEEWLSGILPPSFENCYIDLQVV -DRPQSSNMTKREEEVTSKIRMGIEAPITFVTGNAQKLKEVKQIFGPTIPIISRKVDLPEPQGTVEEIIKE -KVRVASELIGGPVLVEDTSLCFDALNGLPGPYIKWFMEGIGLEGLYKLVEPYQNKMASALCVFAFVNKVG -DDPIIFKGVLRGEIVMPRGPNSFGWDPIFQPLNWRKTFAEMMAEEKNMISHRFRALSLVRDFLKDSSYFH -FAKGVDRDLFIDVQAIDKDEIEAEITKLKELWRNNKPTRTRSPFESRRLRAPQVARVNELLKQLKDAGIQ -TSKRPCGEPDEGEVASPESSEDEEQRTNKGKAPMEPPTEQSQPEKSVGEDEEKHKKTRFRIRAGGGDGKR -DDIDKIPTNALEFRKSFKPPKVSQAAYVWIPRSQRDNLTPDVIQNFLAYVPPSHAIDNQLASGVEVENWA -IEVSKAYGVTIQEFYRTILPAWIVNCIVNGTSDERKNEKSWRAVELNAQGEDIDDSEYPMEPMYKFALPT -MRKIMRNFSSQAILMYQNSVTAGKAFVIKAARNAGYTSIENKWLGIDFLAEAQLSQSQLDIKHQILAANV -GRSKTKLFALAAPGDDNNVDKERHTTRDVSATRHSYAGAAIE - ->YP_006905847.1 polyprotein [Rose yellow mosaic virus] -MAPTTIGSRLCSYVESALRGLKLSFKSETEFRTFVDKHKNTFTAYKNLPNEHYYNLLEAFRNGVSYNPER -LTYGCPFCSVEAANIPEALAKINSEGHQKYCSKVRETRVDIKQHKDGVVSTTTIKNDKEVGNKITDDCQN -PANMIRIGDFIINTDMDAKAQGLPRIIEPTMTGDRHLLTGVVTLKELTPEPKPVAEKKKRHRKTLSQDRK -EWVMKWPATDSEVTKDTFKHHFVEVQHDFGFIDLLADERDEISREESVTNTTKTWFGLRRSVTKVTPKLT -VKTKVNDLIKQTLLIASELNLPIEYIDNRKHILKKRGRFYRVPLVHCYNPDIAMEDDIQDPILREILSSK -YMSEQVKNFDPFLLSSKLVKPGWSGVLVHRDNVLDQHKFEWNKHGLCVIQGIGVNNYILSALEKHDDCDI -MEFYSGPPVNLDWIASYNKPLKLDHEVERTEEGVLTMLGFARAFWPNRHIGCAKCWRNVPDLAASLTMTP -HVLQAETALRRLNHPVPTHFANMLRHIFRTTATNRGFIELLGGYDDPRLQGILNILSDHMQILVNVQNNL -RSLESELHSHPQQHVIQDCRNQIESGIVTRQERLKSEIEGLGAHLRQLDLNIDRVTDLTDGIPRLNLDVL -RNADGNPTHHENRDAVHTILRTPGVSTRIPRRDLATQLSNWEMLGPVGKRFWTSCIIIGQNGHADLTRFM -RSCSKLNQHTDHCTITFDGILQSSGSKKHASKFYTSLSVMPMVDAIRYGDLTHSKTIPFNLSGPLNFTAI -NNGYCYVNCFLAMIQFIPEKLIDQFTKRVVPTTILTLGPWPTMRDLTQAIFLICIKFPTVCEAPVPILLV -DHTRSLMHFIGQFGVGDYGFHQFNLATFQDWYDIASYVYTSPLADYQVGGLIRDITRALKSKDEFKDFLM -NRPDDLIEGLLHPSSVHTLFIANQKYQLIEKFLDEEDNIASLLARINTLGRKYSLFAEADEVIRTFMMEN -MSFATPLHECFGQNVSDLFTLRMNNLIQAQIDLHQVDRLERVVSKKMDFRQEECDMRESMLACLRDSLSW -YEFYSIKYRFWRDQHRSNIDMSWPSSKVSSIKACAQNVLQKVYSYSHERYDSIRNKVSFWMIIQTFKGLV -PYYAMITMLIFVASMSLKLIKYVKALTRGKGSRSAEFLSESAPPSSSARLSTFGEWRQAKEQINTLGILP -RDQGVFMKMDKKSISAVANKIFDSQNTEFLVVGKVGCGKSTKFVHALMQTGKVLLCEPTRALVTNVEEGI -NKVCGVDPSVRMRFYNKFGSHPVTIMTYGFALNWFANGCENVTDYQYIVMDECHKVESDFIVFYNWLRVR -APQIKVIKLSATPVNHITAYEPECSVNVIEQASCSIKAFAEAQGRNSAMDATKYGRIILVFVASYNDVDT -ATEVLRHKGFGVVKADRRQLRNTPNLSDKISEMQQQFVFVVATNAIENGVTLDVDVVVDFGEKIVGTLDS -AYRHIGTRRVKISAGERIQRLGRVGRFKPGTAIRMGLLDKASDAIDELTATEAALKSFVFNVPPCLINVD -TELIDQITRPQATTASMFELDLVYFSHFIRQDGKIPKAIYHEFRHLMLRDTKLEIIDKYSTSVASRGWKT -VGFYSVSGHTRLDEHHNTFIPFHSHIISDEALSRIAQAMKDSDPGRGRGFALPADQLYQAVYKISYEQDK -IGSILNVIEGLRQREQEKLDSLRTSTSILTSQSLLNILNCRFLANKEKLEQQYLTSLTTLNNVEQTLRSI -PSGSSDETILEYLRENPMTAQCVLFEGAISEQIDEQILLKKKFVLGKVIVPCLLGLIAMCGIYAYYRLRK -HYEVVEFEAKGKKASALRYKRDKRGTMSFYHNHDSEFADDFGSAYDTRSKKNKSRGTVNPLGQKSHAFTT -FYDFDINAFDIVKFVDPNSGVMIERNTYGLDMEELVRDFEEANLELEPEVRWADAVPEELHAYFIKDGVK -DGYFTKLTPHNSKRVSKKNTCLPVGFPEGLAEFRQDGPITRVSAEAIKAAGVPIEKESVDFEQRGVVDGP -RSMEHFKSYVVRVDNGIGKLNGFGFGRYLCTNAHFFGTDDKHERKKQLIVKSTFGEQDFGKAKDIKFQQI -GNTDVAVFKVPFDAPQYRKKLLMRPPVAGEQVFMVTPMPTHQGMQLKISMQSIVIHDESYGSMWKHFIST -VNGSCGSLLVAVNDHHVVGIHSLGVQEKRGWNYFAPVTTELIQCLNKTDNNFDQFTFRLDMVDCGALCVQ -QESNIFPLMRLPKEILGFQMIHQGDKYCGSNIIKMAELQRPMNFKHVIKGCRQEYLNFLQSHSEHQWAIA -NLGNRLPSVLSVEAFYIDLLKYDEPTLVGAAMEKHTEIAFEAIIRYFTRAGFRQGEIEFEWDVNRVVADM -NKDAAMGACYHGKKKDWFESLTEDELVDAHKESLFKMLQGKHGIWTGSLKAELRPELKVTQKKTRVFTAA -PIDVLLGAKSLVDNFNHKFYETHLQGPWTVGINKFNQGWNRLANSFRHEWNFLDADGSRFDSSLSPFLFA -IIVRVRKYFMEQDKLGFELLDSLYTQIVFTLISTVDGNIVRKHKGNNSGQPSTVVDNTIALMFVVEYARS -VCSERFGKEMRFTFVANGDDLLINGPDDELQIIQENFTELFSHLGLNYKFEDKKNSITEVDYMSCQFMKR -GDMYIPKLSKGRILSIMEWERNEDVAAQISALNAARIEAWGYDDVYKLADDFIKYFAEQRMLKEYFYIPE -SFIEALYMKPANYMNLLMGALNEAVYFQMATPRPTMEQVAGYEPGVPNDRAEVSTRREARNTERFQAALN -NWERSEAERIRNEGQNRQDASRGGGGAGDIPEGHTGPAFTLPPPPTRVVWVPPMLQRRVNAQAITRLMNY -KPDVNLMRADVASQQTLDTWLQGLTRDLQLSNDQVDAIIQSFMVWCINSGTSHASMSQELWQADDGTGNT -VEYPLRPFIENAGTSLRSVMRNFSDIAEAWIRERNRRGTWIGAWASRAGLTDKRYAHVGFDFWISKPTTT -HDELHYHTLMTQAHLRGLPIQNLAMANLVRQDGATPDYHVGDDIRPGMHNAGGGRLN - ->YP_006666511.1 polyprotein [Caladenia virus A] -MATPTLQWVPKRSLKQEMNNTILHFQNEEDARWYVTRTANGGLFKTMKGNATQVALNLLLAAHHNLAFDV -ASEHFVCAKCKCASKSLSYFKKKHDSETCTWYMENKQASVSYGNGLIEVIPTYDAFPMYATPAQVTHAIA -QLENALAFQELGPIDVVEVKFFNSKTQETEVRTRAIPDSTTHLIAADQCTSFLEPKVNQCNLRNVVNKRR -IIVDSKTICDLTQQVVDICNEKQIPLVFVDHERKMRRFPRIPLHHHVDLTCDPLHDMYEECQHFVKTYAS -VAQPLTEVEDLHITKGWSGIILHKEDLPDKYKGDCVDGLFVIQGRCIHGNLQNALKPTCTEGLVFYSGHL -SKFFNYYQNATIHGHEIVEPMWIDADLEVTALFMRVLEPSGQFSCAKCDLVQPDYEVYANSLRHRFAYNK -LINMKNLGPYAKTLQILSMKVVSAIEADVKPKSTGVRERVLFQXQFDFGPAEVLVTQFERDLVSLQLAIQ -QLEKVTQNTVINDQNRNQQIINTIGDMRRNQALDVANFKSGMQRVTKMFQELLHSVTRPVPMHTTRHLLM -ESRTNEHLEFDIAKSARCIQVLYPEAFRRFEDIYDGEEYKLDWINLSPXGELKTDLDYLRVDKTGPELRS -KEHTIYEVPVQDSCYVKIGNKPIVACEQIPQCFIPIAHTIRYGKPSAAELLRIQDQEGVVTYVPKQGYCY -VMQFMLMQGFVGESFESAFQQEVRESIRVLGAWPMFEQYLDTVRKLAIRYPSVNKAPTALHMVGHTEKII -HVLSTLGTSTKSGHYLSISTVQDLVNLAAIVEMGDFGTFRIGGTFDQIKRMLKSREDLLAVLQERPAWLV -NVFLSPTQIWALAVSAERYRVVDTLLKEQPDLAMAIKSIIVVGRGWSMYKRLKPVIDSYYKEIPKLTHAM -KMVLGDHYEDFQLAFSQFLIARQPVSIEHLFDQFYEKKSTIEELEEHWRALMQVLLVEQDSHSRSFVGKY -KRTLDVLQARMEEKQSYFSASLGNFFNKVKSSWTRQMPKNSIDLTTTMATYPQRVFGLVSGKMAYYTGRS -IIGLTVHAVKYNVSRILRDAAVYAISVSIVLSIISCMRMLLRRVEKLIKTTFTGESVVVYQGKQEADFYI -KCMAWLALIASVFNLNFGDQIYKNTTKFRTLLAIVTAPSNNHITYQAKGDEWIEQAIQESSPFIDFEYRV -VNEPILKDMETLAQWYARAHLQGTFTPHPLTAGGILKLTVDSADHVAMTITQSSEKEFRVYGPVGSGKST -RLPGCLSASGPVLILVPSRDLAENLYKSIQHVCEKEPSLCMMNVRRAGCSNITVMTYGYALLFFNANKSK -IHEYKFVQMDECHEFQAHMIAFYAWWLRYGGHTRLVKTTATPIGTVINPLTKKVDTNFPVKIVQVHSKGV -EEFADLCLKNSADTIPTLLPNGGRVIIFVPSRNDCERMRVKLVGLTGSKNWVVNRTHNVGNRELVEQLQQ -DSSKYQIIITTSVLQNGVNLDPDLVIDFGFTFESVYDGDQRAVLVRKRAINPSELIQRVGRTGRNKPGIF -LQVGKRLEVEAPPNSVVVTDAVMLTHILDLHPYTHNNLVDEVGFVTREQVDTASKFSLPLMFMIHYVCKD -GRMLRGYYHAFKGLLLHTAEVQLVDSLSGDCRTNNFYTLRSYQQAGVITHESVLPSQRIPFYTHELALPF -YLEIARITEEALQPSNFRLVIPSHNIAQAVLRLATSRKDVPQSIMFIQQRVNMVKEQIERFETMRASSSR -LSTMSMCTSLFNDKSIGVIKQLRTVATLGQELISSLQEASATHDDAALHRLVSNNPLLGDFITYQGSPEQ -YFDERFMGQNGQSQLGKHLAIAACLACIVGVASYYFISRSYAIEYEAKDKSKLKTRRGHIRSGALFGDEE -DQGEFHTTRWVGSEKDIVDEFGESYSRKNRGKRREQPSEFKSLWDVRAPRLHQFRTLYNTDVSKYKHVII -DIPNCEITKRLEYGDVDNLQAVVQSLVSQKRAELNKPDLQLPKEITLVLANSAGPGQKITLTPHDPFVQS -RTTGQPSGYPEFEGEFRQTRHAQIITEEEAEKYKQRIEYQGDPTKIVQSVEVHMAILKNSGLKLMCLCSG -DLVLAPNHFATKLDGKYGDVEMITRWGTFILKQPILVKHFEGTDLVAFRLPSDFPTIRKLKAFRVPKQGE -SVVLVFLERTKRGIETKCSVETTIRRGSDQLWRYNLETKPGQCGGLVLALTDGHIVGTHTGVSHGFFGTC -AVFSPINNEIIAFVKEQNIQEIVKPWCFNPEILPWQHVSRIEPTGTLPYLDSIMSFLYQGEXNEHSTDKY -LGGNLTLQGGLMQTFNNRHVVKGYDEDYARFAVLCPPPGELDRYAPSELSVEAMFKDLSKWDSPVTVGRI -DKEVFQSAIQSVIEHLEQQGFQKHECEVIHDPYQIYQDLNLDTAMGALYRQKKKDVLLNATHEQLENWFH -DARDRLFEGKMGVWNASLKAELRPIEKVQQHKTRVFMAAPFCTLIAGKCYVDNFNKLFYTRQQGSRWTVG -INKFNCGWHELATRFNHNWKFLDADGSQYDSSLTPLLFDAVYQIRAYFLQAEEDDLQCLRNLYTQYVWTP -VALSTGQIIKKNKGGPSGQPSTVVDNTLMLMITVEYAKMMVSKIHGYTTNPIYVCNGDDLLLNVEGTEVH -AIQTHFSNYFMDLGLKYNFTEVHESILSVEYMSHSWLLCEGIYIPKLKRERILAILQWRKSDDPEAIANG -INAAWIESFGFPDLMKHVKDFAESWAKDNYYGPFLMPYDLVKALYLTDAVTLMTDLIEYQSGIDSGGVVQ -PPIPPTPTNGGQQPQGQISQSNQLANLLPTQAPAMVRRLYTPPPLRAAMVNPTLAKRMGSYTPPAQLISD -QASTLKQLETWMVTIAEELEITIEQFSTDVLPFFIYWCIVNGASDKHGTKTEWKKANYQITNEGIFGELD -EVAPQTVYPLEPFIRAAKPTLRAIMRHFGPLAYKWVKASVQNGQVIKPANATHAGLDDPRYFPCCVDFVT -ADILTTEEVSVRNQVINARTMGARNVLFRHATAPGAVAQDTNLRLPTDSNYGRTQIGGFQFDQTD - ->YP_004063681.1 polyprotein [Ugandan cassava brown streak virus] -MSTIQLFKTITFGSFEPIKLDEENNIIEKIPTDLLAGNDGSGPEGQSEQKYHRKESGESWRKVTDLYSVI -GNSVYCRSYVAMKNFLNDTKWGGLFKNKKGQELKAAARLRRATSYGFMYDPVACAFECPVCRTKATALEA -FTSDCDHCFEIKHIDDDRFVQTETKFYPINPIELDVEDNLVEAASLEWLGSDVEERVVDRVLLLEDKEIR -VTKKALIKRRGETRLVANVSDLTRKLTEICCESGIPIIDIDNSKRKAIPMVKLKHIFGKIECDDMFEEDR -YFLEHKNAGKIFRSCEKITYRMIRPGWSGAIILRDNVQGEDRDKFDFINDICVVQGKSLISNKIENAMRV -KTKTEVDLIDLYSFNLSWAKSKDKFIKHFESDITQLVRSCCTPSTLWLYARKARFYKFVDYMILKGSPII -DILVKMEYVGKHLDMFNSVEDVCTEYSHFMKEIISETVNDKLDPDVLRVRNLIRAHFESVLEYNKYELID -RIIEKKTQLEAQEIMSRELIKHQYGELFSWRERLCLKLGIGSSNLYTYWVEREEKQSEKSSAISCIISKP -GIEMLINWISEVCKSKYHSLVNCVDSGILFLWSRIVHLARVSVYGYWNLWFRQAMCVLFIFLVSNFSSKI -VGYLKKLIVSEKKLAIKNEEGFVEVQGRKEESFVLKWCAAATLFLSFLNYDWAVGCVSAIGKMKTIFSAL -GPDFIEKQDGDDDLKFTTFEVEIPGDSRSSSAQTFGEWIEHCIKYNLVSIEPTTSGPMLTLERGKANELA -DQINCLNATDIRVHGGVGTGKSTTLPYELIRYGAVLVCVPTRVLANALHESFMSLFGFDVSLAYRGRVRT -GSKPITIMTYGYALNHFHHNPRNLAQFQFIIMDEVHTFPVHLNPLFSLLRELSPDKKIIKTSATHVGHNV -DLSTNHKVDIHTLEVMDVKKWAELQGTSVFGDVTKESGNILVFVASYRDVDVCADKLKDKGFPVIKVDGR -NFRKNTEVQKMVDGLQGEVKFIVATNIIENGVTLDVDVVVDFGERISPNLCSEDRCILMQRQRISQAERK -QRFGRVGRMKRGSVYKFGRETLPDSMRSRVGSTESALICFAYGLKPVVDDVDIGSVRSVTQRQALTASMF -EANYIFTAHLVDKQGFMPRPVFELMKSLLLHTDAVGVSSTYLATNMSGWRRLKEYIRIDDSSRHVQEVQI -PWYCSDMSDDFIVKLAECVKAAKPKSQCGYKVDNVDFHTVAHKISIGESNIDESRALVATILDEVKQWRD -GITYHSSTPRNKSLMSLMVGWIPRKAEKTKEILDNRIQRLELLLNQLNGVRGIDDYESLVRFFSENPHSA -EYLESQCASDYIEEKVMSVKRNYDKSLILGVVGLAVATGTFAYWYMRRSAAVELVEKQAKHKYNRDKRTG -RLMFDMDDQETYENFGPEYTDDVISAKMTKAQKERDSRKKGWKAGKINRPMRVFHQLYGVNPLEFDEVVM -RVGKLETEPWDVKELNVDAMMIELDDDYHILRDDRMFGKKVSLAFRKEGADEETIVNLTPHRSKMTSSMS -LAPMGFPEEEGRWRQTGAPLIKKTEKEDEVEVQVAKPELTNPYDHILVRLGRARLGTRVLNCFFHGSKCV -IPYHLAEKGDREESLVIATTRGQFDFGPMKNIKCRKITDYDITICPLPNDVQPFRSKIVMREPKLGEEVV -IVCFTRINGKIVMKVSDKSTTYPAGGQFAHLWAYKYDGQPGDCGGPIVATVDQKVVGFHSGVIRNSREEK -LRAVYTPVNQELLNCISGDIQMTDFWTFNPDLVEWNSVARVSTFFPMTKAINTITVQANEGEELIDGNLM -IVGYVNREVYHNHVIKGKRESFMRYCEQFPNCAFTKELRDQYLPSILSKPAFRKGLLKYNEPVRVGSVNF -SCLIRAYLKVEEMFEDLGFLKEAGPQWDPIEILEDLNKKAAMGALYQGKKQDWFKSMEPADFIMAVRESF -KHLAGGDVGIWSGSLKAELRPVEKVLEQKTRVFTGAPIDLLLGGKILVDNFNHFFYFNHLKGPWTVGINK -FNKGWDRLARYFNHSWNFIDCDGSRFDTSLAPILFQLVCHMREKFGNFDDIERAALRNLYTQIVYTPILT -IDGYITKKHRGNNSGQPSTVVDNTIILMIVVEYCKAVMESEGRVMQFKYMCNGDDLILNVPDDEVSIIQS -RFRELFSECGLDYTFDDVHKSIETIEYMSHSFMLKDDVYIPKLKKERIVAILEWERGDEIMRTRSALNAA -YIESYGYDDPMVEIERYAVFWATEKGCEYPLLDRKRVEGLYKDDYTDINEEWLVGILPPSFEHCYVDTQT -EDLRGREKLELRTESHDRISQLQMKFPVTFVTGNLGKLAEVKSILGISSDVMARNIDLPEVQGTPDEIVI -KKAQLAVKMTNSPVLVEDTCLCFNAFNGLPGPYIKWFLKELGLEGVVKMLSAFGDKSAYALCTFAYVHNE -LSDPVVFKGVVNGEIVPPRGNNGFGWDPIFKPDECSCTFAEMPSSIKNDFSHRRRALEKVKLFLDNLVVK -QEKKKAGVALTIDVQALNQEEIEAEITALKKIWKDNGPTRTRSPFEARRLRAPQVERVNELLQKLKDEGL -QTKKRPCGEPDDGEVVDDDSDDGNDQRSGKEVVDESQNNQQVDPRKPKFKIRGDGNTIRRDDIDKIPTNA -LEIKKTFKPPKVSQSAYIWIPRSQRDNLTPDVIQNFLAYIPPSHAIDNQLASGIEVENWAIEVAKAYGVN -IQEFYRTILPAWIVNCIVNGTSDERKNEKSWRAVELNSQGEDVDDFEYPMEPMYKFALPTMRKVMRNFSS -QAILMYQNSVAAGKAFVIKAARNAGYTSIENKWLGIDFLAEAQLSQSQLDIKHQILAANVGRTKTRLFAL -AAPGDDNNVDRERHTTHDVSANRHSYSGAAIE - ->YP_003580191.1 polyprotein [Sugarcane streak mosaic virus] -MATITKKQVWRPKEKVVSEPPKAEIQESRTTLLFNDYAEVEDFIQRFPAGSVFWTTKGKPKTIVNNLFKA -TQYGLAYDIAAEVYVCPICMTCARNKVYFTTNHQNCGELFKNKQAYISTSLRLEVVDTFDVFPRYATVEQ -EKLVGDWMADMEAYAHTEDDSIDIPYQIFNDNTGEVEERIKQVDLSVHGEIEEVERTYKVKITRSNATML -PHQRRANRVIMRTNEIKELIDSTLEICHNRNIRVSFVDHERKKKLFPRIPLQHTIEPQALCDPHHDIIPA -TEKFIHQWKDVGEPTMHINEQWVQKGWSGVILHKEDLEAHPNLQEKCVDNLFVVLGRCKHGDLQNALKPD -CCEEIVFYTDGHKAKSHLFWDAIMKCHPDDHKFVDTTWNDEAYANMGYWLAATYPFRVACKECSSIKSVR -EWVQNMRSSKAYQLLRGGTSKHSRDLFRWLAAIQSELMTFNIRDAQNTQEDLNRNFLGTIPIGPIFDVAN -QMNQAVVDIQRGLQQMHKLITDAELTHQSRDEQILNEIARLRGLEFMQTERLMTNMKHVAMTYRNLINTA -SQPLSIHTMRQLLLDARSDEAYEFDIMRGKGAIAIVAPGVFRKFDNIYSEPGVYNPEWTHLTPGGEIRTD -FDYLRTDLKISQLHDKIHKWPENPLVDETCIVSEGEMSHHLCERVYECFVPIPHILRVGNPQNPTLIRIQ -DMIDGDTYLPRQGYCYVLQFVLMLGFVGDSMVTPFVEEVGVQIQKLGKWPLFEDYMDTIKQLVLKFPTAA -KAPTVLYVVNHAQEYIHAITTLGCVNKNEHYLNVHSVAKLHEVMATLNTHKIMKYRIGGVLPDLRRMIAS -ADFFEQTLIARPRWLVHILISPSQIWAISQAATKYRTAESLLRNHPDIAVALAGLIKISHNFQISLKTTQ -VIENYFDTLNQISQSARVLTGPHYEFFQVITAQYAATRYSANAIALMDQFGEEKNTIVELEELYRPIIRE -SLIEFGLSSRSSFGKLNSWAIYTHAKVSQRINDMPTLLARGSTLVLTRLSGVRLNIKNIPVNWIWTYPQQ -CGIWLIDFTKTRAFGIVTRTAASCIKSSARSLFIDAGLYAVALSLVYCALQIIRKIFKRLSKMLHDDDTT -RLAQFDEMRILAKGDKRLIAIIDKMEDEQSESLIHHAQGKTDNLYVKILAWISLLVGCFNVGLANDIYFA -VTKYRTLLDIATTSSPESLVFHAQDEQEDMKRLLDTRDNFIDFVYQHDEHDGCVDRENLDAWYTRICYQE -RVTEHPLKCGQELTLTRLNSTDIAENITRTSHNEFTVVGGVGTGKSTKLPGALSVYGPVLILVPSRELSV -NLAASIEGVTQKVPSVYMHNCSIRGTSNITIMTYGYALIFFYHNRIEMQKYKFVQMDECHEFSEHMICFY -AWWKENSQYTKLIKTTATPPGARIHNGFVDTNHEVTVQEIPSMTVDEFCRKSIDRHVDGLQARFPNGGRI -LIFAPSRKDCEYIKASLITMGRTKIWAVYRKSTLAGEKLIEELNNDHTFYQIIVTTTVLQNGVNLSPDGA -IDFGITYEAAYDTDHRVLTVRRRNINPGELIQRVGRVGRDKPGTFIQVGKRLDREQPPNACTTTNAILIS -FAMELAPYVGPHLIDDINWVTREQIKTAMLFSAPLLFMVHYVRRDGKMLEGFYHQFKGLLLRTSEVILCH -DLISEPQRHSYRTLRDYQLAGEIEHDEPLPQLPIPFYSNDFAVPFYIALGHITAEAIKPRSFTVRLPVPN -VKKAVLRLSTSEAQIDRTIGILQVRLQQIRERLDKFNTLRAETAGLRLTNLFNTCYTRATSQSEKSLQAS -LILGTELLSSLEIARAEKNDKELEKLLANNPMLSECLIYHGGQEAFLEQYLFPTFKHPIKAYLVAIACLT -VGVGCLGYYYLKRRETLIMHAGKKRRTHAREDRYKRTGLMGQEEASYHWVGSEKDIIDDWGAAYAKKNAG -KKKPSDWDDGKQQWDSREGTYTNVFKTLYDLDPTKFKYVVAEAPGYRFKKRLNRQEKKRLSETIIEGIRS -QMASEGIYDYPEVTQATLYLFGDPGQPAKKVILTPHNPLAVTQGSGNPVGFPSNRGELRQTGAAMEMTEE -EKQQALASETIVMHAQARIDISHVEKNVGLISDGSYTSQCFITQSWCVAPYHLASYFKQTSNTLTITTTS -GHYTLPRPLVHKILNHDLVIFKMPGDFPPMKKISCFRKPNPDDEIVLITTKRTPSGLRTTFSSSFYISEH -HSGMMQYALKSVPGFCGGPIMSIKDGQIIGFHSAARVVNMQDRGSTFTCVNDEVIEVLQSESSQTLIPWL -FNEDMVQWKGVNSNLDPRNFPIAKTHTELIFHGAEIQHGTDKYFGDNLTIQGRINQSFNNRHVIKGSDTY -FDEFVMHVRPAPERVDAHLPSDLSVEAFFKDFLKYATPVELGRVNLDCLASAVDKVIGHLEDQGFTPKEF -QVETNFYTLLNSMNLDTAMGALYQTKKRDVLVPATHEELSTWFTDSLTNLYNGKFGIWKASLKAELRPLE -KVQQHKTRVFTAAPFDVSFGAKAFVDGFNNKFYERQAGSHWTVGINKFNCGWDELARRFDHNWKFIDADG -SRYDSSLTPLLFNCVLRIREHFMDLDEDEKRCLRNLYTQLVWTPVSTITGQIVKKCKGGPSGQPSTVVDN -TLMLMIAVEYSKLRTEIRDNELNYTCNGDDLLLNAPPEVCTKIRESFSETMKDLGLTYEFEAEVDNIGQV -EYMSHKWLNACGMLIPKLSRERIMSILRWNRSFDLESQANKINAAWIESFGYADIMDFVHEYADWWSKHT -GKEGFLMDIDKVTALYLTDEVRIDPVPTDLLVFHSGEEALIYHAAVGEQGTQPGLNQSTSASTETSTSAT -TTSQAGSQTTGNLTNTVSQTMRSLYVPPLVKSLKTEAKAKQMMRYTPPQALISSSAASIRQFNDWANTAA -EGYGKTIQQFTDEILPFWIYWCVVNGATEENKTKPKWTKAVLNLDGADGTEITVDENGPQVEFEMGPMYR -NAKPGIRAIMRHFGELAYKWVQFSVRSGKPIIPHNAVKAGLTTPEFYPCCIDFVMVNILSPAEIDVRNQV -INARTPRMGKPLFRHALRAGGDEDTDLRREDDANYGRTQIGGAHFGRAQH - ->YP_002956073.1 polyprotein [Triticum mosaic virus] -MSSKKMMWVPKSAHKAPVVSREPVIRKKEWVARQIPKYIPVSNPSDCRDEISQTLLHFDSEEAVYDFVWR -FPMGSIFWDTNGRIKPVVNCLLRATRMNLDYDVAADVYVCRDCLSCASSYMYFSNYHYDCRELRENHEAV -VSCKYEQHIVSTFDVFPRYCTQEIEQNVVNWMTETLERYDNEPLRIEKQLQFYNHKTEQMESRVQEVQVT -TAEYAVSDTYVPQQLSRKGSVSAKLTQRRANKIIMRTHEVENLIRETIDLCDERQIPITFVDVKHKRCLP -RIPLRHMQAKPDISEIVEQGDMYNEVGQFIEQYQNLAEPFRVIRDYEVTRGWSGVILHRDDLALDPQTQA -RCLNNLFVVMGRCEHGHLQNALRPDCLEGLTYYSDTFGKVFNESLVKHHPGKHQFRIGSRTDYEWEELAM -WVNAVCPVSFRCADCRPPQSLNEYIENIRMSKAMAELAGRQDALSKTLHKWTTMLISSVLTTEIRARDNL -EPIQERIFTRNMPLGPLYDVAGAMNRAVIDIQTAVQNMQLSIGNSNMNEQQRNQTLLNEINKIKQHSFMQ -TKEMLSRFENIAQTYQNIISSASQPLSIHSMRQLMMDSRMDESFEFDIMRKKGSIASIAPMAFRTFEDIY -SQPGVYNQKWLNLTPSGRFQTDIDYLRLDLPIDVIQKKKHVVNRNEIKEETCYVIVGQVNVSFCEVVARC -FVPIPHVLRVGSPQNPTMIKIQDQEGGKTLVPKSGFCYVLQLVLMLGYVPDQLTAAFVKDVGIVVESLGP -WPLFVDYLGAIKNLIIRYPTTIKAPTALHIVDHVDTVIHVMTTLGCVNKGEHYLTLQSVAQLHDAAMTVN -IETFKDYRIGGVVPQLKHMLQSEEHMLEVLEAKPQWLVHLLLSPTQIWALSQSVVKYQVIHKVMTSNPDL -AVALAQLVAISSNFSIFKNTEHVIQKYFEVSKQLQNVSGVILGEHNEYFETAFAQYSALRFSTDVVLLMD -QFSTRKKTLDDLEDYYRKTIPSILIECGLLGPSDFGWRKRLVRGVVDRGSGLKSTVKSLGSFSTKEKWIS -WSGLGSGTITCVKFPFVCLQRSGSWLYSSTKTTAFNAVWMAGIKCVKSNVRSILLDSALYGAITLALLCA -IKLIRKAFRFVEGLIKEDTSDDEDYVLHAKAASDSLYIQCLAWLALVVGCFNSGLANDIYFSTTKYRTLL -DMVKTAHSDSFVFHAGDEEEGEIVELITRDNFVDYVYNHSDPLMEFDSETLLGWYTRISYQGRVLEHPLR -VGTNCHLTRENVDEIAKNIATGAGNEFIVVGDVGSGKSTKLPIAVSTYGPVLILVPSRELVNNLCSSIWH -VGKKQASTYMMNCITRGTSNISIMTYGYALALFSHCPIELQKYRFIQMDECHEFSSHMITFYSWWRESGK -FTKLFKTTATPPGTVIKGGCVPTNHKVDVIEIRDVSVEEFCRRSIDSHAEGLRSLMPNGGRVIMFVPSRR -ECELARSSLISIPGARTWVVYRAAATQATKLVAELADDKHYFQIIITTTVLQNGVNLDPDCVVDFGQTFE -AAYDRDSRQLGVRRRNINPGELIQRVGRVGRNKPGKFIQVGKRLEHEVVPNSCCVTDAILMSFTLELAPF -ISSHLIDEVNFVTREQVRTAMKFSAPLLFMIHYVRRDGRMLNGYYQQLKGLLLQTSDVALCDTLVGDAET -NSFLTLRQYQLRGIIEAQEVLPDLPIPFYSSEFALPFYLEIGQITKEAIRARSFTLRIKTPDVKKAVMRL -STSATQIDQTIGILRTRLQLTRERLSKFSELKATAHNLRLTPIFNTCFDMGAAKSESTLRASLTAGEELL -SALELARTEKSDKALEKLILDNPVLGDCLVFHGGPEEYFDQTLFQTSTGLINKYTVGIACLTVGLGCTIW -YYLKKREKYVMHGKVHTRETGLTTNHLFVPGMKEHIQEWTGGDHEIGNRFGEAYKRRFIGRQPTEEQKLS -KEKWDKREGQQTSVYKTLYDLDPTKFKYVVVECPDFDLKKKLNRQEKKQLDTTIVEACRTRMLDKGQHDF -KDVERATVYLFNDNGVGHKVQLTPHNPLAVSRTTTHPVGFPAEAGRLRQTGQAMEMTPEELEKALDDNYV -PHSRCQIDISHLHRHLAIVNTGGMSTQCFITQTMCVAPYHLAMGFKDNTKLTIYCSNGVYVMPVPKVEKM -ENMDLVVFRMPQDFPPLKRCATIREPKSSDEVTLITGKRTTHGIQLQFSKVVSIDRKSDTVWKYMIDSVP -GVCGGMVMCVEDGCVVGFHSAAAIRNKVSNGSIFTPVTPQLLDSLQSSEGHLFDWYFNDDLISWKGVPTN -MDPRNFPVSETISEFIFHNDSKGHGTDKYYGENLTIEGRVLQSFNTRHVVKGLDDAFAEYVNKFGEPPAD -TFTHLPSDLSSDAFYKDFMKYSTPVEVGTVNIENFEKAVQAVVELLEQQGFEQGEFSPEMDFYKILNSFN -LDTAMGALYQCKKKDVLPMASHEQLATWFWNSLENLATGKLGLWKASLKAELRPKEKVLEKKTRVFTAAP -FDVSFGAKAFVDDFNNKFYATQAGSNWTVGINKFNCGWDELARRFNPDWKFIDADGSRYDSSLTPLLFNA -VLRIRQHFLRANGFERRMLSNFYTQLVWTPISTITGQIVKKNKGGPSGQPSTVVDNTMMLMIAVEYAKLQ -YGVTDLKYVCNGDDLILNAPQGVCETIRANFSHSFKELGLTYEFEQEVDSIDQVEYMSHKWIDCGGVLIP -KLKPERIVSVLQWNKSLDLASQANKINAAWIESFGYGDLSKFIREYANWWGERNGQVGFLCSEEKVASLY -LTNDVTIHTEEHDEFVFHSGADQSGVVKDQTGDKAEGSGTKTEDPPNQTTDPVNNPSNGGNKDAPQNLNA -TVVTKSYTYIPPIMKSLVTIDTAKKMADYTPPDALISTQACTLEQFGRWANAAANGLGLSMQAFQTDVVP -YWIYWCIVNSASDEHKKLSSWTKVNMTIDDATGQINLNEGEAQTIYEMSPMFDEAKPTLRAVMRHFGALA -YRWVKFSIAKRKPIIPHNAIKAGLMDVTYFPCCIDFVTVDQLSPQEQNVRNQVINARVSDTPRALFKHAQ -RAGAGEEDTNLRRDDDANYGRTRVGGAMFGTR - ->YP_001788994.1 P3 [Squash vein yellowing virus] -SFDLKWAKSKEAFIEHFAESPEQLIKTCCTPSALWLYAKKAQFYKYVDYLVLKNSSLVDLAVKFEYVGKH -LGMIETVEDTCIEFVHFMEESIMAAGLQHSEELSRVRALIKTHFSSVRESNRYELIDRVVEKKTHLCAEE -VIMRELIRHTYKDLFSLRERLLLKYGSKPARLQDFQRKREEACSDTCSLFSSLMSRVGFETLQDWACKVV -KVNSRRVHDATDSVIRFTFRRCCALVKACAFSWWESNVNRIFTAVISLIILTFISKIYSLIKSVFKYEKQ -KTQKLEDGQIELQ - ->YP_001788991.1 polyprotein [Squash vein yellowing virus] -MAQVYDFKATTKFEKYLVGRHGMRAFQQYRDYVLPSQARSKFASFTVPEGVLYAYVAAFATEEDVRDVLK -LTPGALKNYLVEARRSISSNCDMDFSDGRTYCECGAELRPAKRKTCEDCGFVYKNSESELAKRIYNIAST -HECQVSDLQYFSRSTLVEMVEEADAYDAREKLAAGLTVKQEEEKPDLSHIPVKAAIAIAELEQKPTEKAS -EKEEEVAVKTQTILIGDVVVPLLPVEEIPIIRGEKSDLQATGFSLESEEEEIRELQTKQAVVVALEVGNQ -IAEVCKINELRSNNDNLNLMKVICKHRMHTLEMRKEVRARVEKDKAIFKDLEMKLNLRNRRKNQIIKKDS -RGTLRWRRRETLSKKRVQIPENIITEIANDHQESRIEECVLVPGIKCATSKKMPKKRLLQQFLKGSVDDL -ILQTIQLCKQERKVIEVIGKRGVKINCGATTIVELKHMKGKMSKRDMPCDDFVDSFFSKFIKKLSRARTE -WSICRGDSGSIVQIGSKFGIVRGRLDGYLVDARDILSLRELLDIDEYSSTGMKCIKDQSSIDDFSKFNND -SRMQLWVKGNSIFAKDEMAAENFLSKTVWGGIFRNQSGVYKNPAIMLRRAARYGLAFDCALEAYECPMCG -MQCTYLESFYFDCDFCEYTYKVPPSDKGLPATVPIEPIDYVASSSIRELMKESWIVGGSEEIIIEKDFRT -PTRVVRTEKFGLRSQNFKFNLLEETSNQWLINAIIAADNDLNMFDVHTVQSRAFPTILLKHMFVRDFDDD -MSQEMRDLLMRDNASNVFSTYAETTVGAITYGWSGIVITKKSIKREELDKVDWVNDLCVIQGRRKSDGRI -ENALVTKTREELADIDLYSFDLKWAKSKEAFIEHFAESPEQLIKTCCTPSALWLYAKKAQFYKYVDYLVL -KNSSLVDLAVKFEYVGKHLGMIETVEDTCIEFVHFMEESIMAAGLQHSEELSRVRALIKTHFSSVRESNR -YELIDRVVEKKTHLCAEEVIMRELIRHTYKDLFSLRERLLLKYGSKPARLQDFQRKREEACSDTCSLFSS -LMSRVGFETLQDWACKVVKVNSRRVHDATDSVIRFTFRRCCALVKACAFSWWESNVNRIFTAVISLIILT -FISKIYSLIKSVFKYEKQKTQKLEDGQIELQGKKEEAFVLKWCAFLTLLMSFFNFDWALASTTAIGKLKT -LYGVLGSEIVELQSGDEDSFRFVNFEVEAPGDGKSADIQTFKEWFEHCMKYNLTTPEPTTSGPLLTLKRG -AARDLAEVVRTHEKTDMRIFGGVGSGKSTHLPSELMKFGAVLICVPTRVLANALHESFMALFGYDVSLAY -RGRVRTGTQPITIMTYGYALNHFHYNPNALKTFEYIVMDEIHTFPTEMSPLFSLIRELSPHKKIIKTSAT -HVGHHVELTTNHKVDIETLPLLSPKQWADMQGTGVHGDATVRGNIILVFVGCYNQVDECSEALRLKGFPV -LKVDGRNFRKNTEVQKMVDELEGEHKFIVATNIIENGVTFDVDTVVDFGERVSPVLDSAGRSIILQKKRI -SMAERQQRFGRVGRMKPGVAYKFGNAQLPDSMKSTVGATESALISFAYGVKPVVDDVDVHCIANVTKKQA -LAASMFDLNRIFTVHHIDKHGFIPRPVFEQVKKFVLKTDAIAVCENYMAAHTSEWQPLHSYIRRNSDNDH -VSSVRIPWFCSDMSQDFIVKIAEAVQLAKPKFSCSYAIDNVDFHVVAHKISVGEHNIEEAKSLVTEILNN -VKRWRDNLVYRMSTPRNNSLMALMVGWIPKKIEKTRELLDTRIRRLEMLLVQLDNVSVTSDYDALMRFFS -ENPHSAEYLESQSKVDYLEEKVLQINQRKVDWRVIGGLFLVTTTVAGALYWYLRRKRVEEHIELQGRNNL -RFKRDKRTARFVFDGEDQDMVETFGIEYSDDVIQKKMTKRQKQRAASNSGWKIGKVDRVKRVFHQLYGVN -PLEFDKVYMTVGDLMGNEWSTNEKWTVEDLIVDMDDEFGVGRRGDLETEVVQIHFKRDDSNEEKVVTLTP -HRSKMASCMSLNPMGFPEEEGRWRQTGKPVDCVRVKKDESPGKIELQVGFPTTAAPYAHLFHRLGRANYN -GLALNTVFHGNKCIIPYHLGKNGSSDKHVIITTPRGQFDFGPFSNLRCKKLGDFDIVIINNPRDLQPFKE -SSIFRMPKMDEEVVPIALRGEKGKLIARVGEASKTYRAGAEYSHLWVYFYPANAGDCGSAIVARADNKIV -GFHSGIVRDDKGVYLRSVYTPVSELLLQALKEKCDDDFWTFDHRNISWNALVKSSTLFPITKEIQEIEVQ -GGVGEKYIGDNLMIVGEVQKQVYHNHVIKGKRASFEEFCERNVDCAFNKENLKERYGPSILSTGAFYKDF -LKYDEPIAVGLINFPCLINAYLNVENKLLDLGFEGNCGPEWDPYVIYQDLNKKAAMGALYAGKKQEWLDS -IQPQEFIECVKHSYQMLGMGAVGVWSGSLKAELRSREKIAEGKTRVFTGAPIDVLLAGKVLVDNFNNYFY -SQHLKGPWSVGINKFNRGWDRLANTFDHTWKFIDCDGSRFDSSLSPVLFQLICHLRERFGTFDKGETRAL -RNLYSQIVYTPILTIDGYIVKKHKGNNSGQPSTVVDNTLILMIVVEYCRSVIKNNTGIEMKFKYMCNGDD -LIINAPQDEISVIQERFAKLFKDCGLNYNFNDVHDSIEEVEYMSHKFMKKDGIYIPKLCRERIVAILEWE -RSDELFRTRSALNAAFIESFGYSDLQDDIKKFANFWANKHGIQYPLLSDERVEKLYMDENFSLGELDMEA -LMPDIFTFGEIELQAFDLAAKEAEIQKLRDEWDANKPSVTLSPFAAKKIQNPLAEKVKELLKEIEDAGEK -TKKRPCGEPNEGEEDSDGEDEAKDKGKNVTTPDKKQILKGGGSSKPIVKRDDVDNIPTNALEFKKDFKPA -RVSRTGFTWIPRSQRDNLTPEVVKNFLAYVPPSQAIDNQMASGSEVESWAIRTAEAYGITIQSFYETILP -AWIVNCIINGTSEERKSESTWRAVELNNKGEDVDDMEYPMEPIFKHALPTMRKIMRNFSDQAILMYQNSV -QQGKAFNVKAARNAGYTRVEDLWLGIDFMAESQLSRHQLNIKHQILAANVGRADKRLFALSAPGEEGRVN -TERHTTNDVSADRHSYSGAALT - ->YP_001468087.1 polyprotein [Wheat eqlid mosaic virus] -MASAVQFGSSKLATMKHDPTETACMEYDPYVQCRARTVVFFDSDDDVTLMIQNYSDGSIFWSTDGKLTQT -AKNVYLATDKKLGYDLAAELFVCGSCRSASPNISYFKTHACNQLMEGSIAFITNHSGSTRCVDAFPILPA -YATVYQENEVLAWMNETEKGMNYSTIEEIQKEVHYENKKTNEMVTETITQVVPSFELVDKVGISMVPYNI -KPTNIRTYKEKQIRMRMSNIVNLVTQVAKLCKQRNIPIEYVSTRRRNAKQFVRIPLKHTIGYKKSEVDPG -KDVPEHCKSVIECLKTTLKPTRKVTNLEITRGWSGVVLRHEDCDDDMKQRCVDGLFVVLGRCMHGDVQNA -LHPHCLEGIQLYGSKQVNKRVPKYHLECDANASSNPMHSSVWKFYKEFHDIHDFNCSKCLHEYKTRDDLS -RMAIIGDVVKFLTESGFHKEAGDDPTMEFFSNHLDFLPQAGDKDGLAKLKRQIYRDLIVSTKSLMQGGSE -PYDETSQLHGKFQLIENTRPTQLVDFWRTLQHSYHIVNRKIFLPHLTDVYGNFDFFPNSSLPEIFPDHTF -PVQHKLNDEGEIETNYRYVDKNEKVQTCLEGLYTKYGPEFWIETAKTIHRSQPLHQCDIRHNENVMKVCH -WEGNVALYYSIIRPTPRHLSFGTMNRLFRIQDWFRAADYVPKNGYCYLYIFACAMQFCDSSNRSNVDEFI -RRACNELGPWPTFRVLLRKLNQMATYYGAYDALVPVILVDHITRTLHVPTPFGVLQAGMHYIRVSTLKEL -VDLDLMGEGPVKDYKIGGFKETYATITKCVTSKKEFLKRLNTDPEWLVDMFVEPSLLYTLASLIEAHQLV -LADVDNSFDRLSALLNLRDLGKQLHAHLTTQQRVRKYMNLMVDNSHLFPGLVNSQNMKVEIFETIKVLER -AIIDDNALLDIDRIDGKKKILEGLDACRASCVYNELVNSFSWHSWYGTSLRLQYSIVGKAAGAMLSFLND -SYLLNLLATRPKLSMPRWNILGKLKTGWRAISGLFSRVYAKIIANGMLTACITFMVVFGALILKKIMKFI -KAEKARSEELQIVEYQARGKEDMWITRFMASCYIVSALFSWDISDSIYSSMVKFRTIFDILRVNCEFQAG -NSIFTRLQEQLGDIPSFHTFTIYNHNETVARTECTPMTFERWVDNRVNSGQVGFTPLEGQNQRFELTKAT -INTVAAQVISSQNNEILIEGQVGCGKSTYFPAEIAKNARVLILEPTRVLVTNLQESLSGLLGIKAGARMR -NQCTLSASNITIMTYGYALVYLFNGPYRLDDYDYIMFDEVHHACDAMIVLYNWLKQVSWKGKLVKLTATP -NNVSSKFDTQHAVEIVTWPSMSVQTFAKEQGTSSKHDASTKGRVILVFLTTFREIDEVYEVMSKSSNGRF -GLIRADSRHLRDKTNLSEMVSMMNEKNVYILATNIVQNGINISADVVVCFGQKIIAAIDDNNRMLTTKRV -LINKADRIQRLGRVGRMKKGYAIKIGSEIDSSFEIDEVTATQAALMSFGYGVPPVVNNVNLLAFSSITAA -QVRTASQFEMPLAYMVHMVNKDGSVARPLFEMFKSLMLITGQVSLSRYICQMKSDTQMRTIQQYCDLGYM -RTDENLALPVPYHCKDVSDSFAVRLAKATYDSKLPEMISMRVPAVDIRETAVKLSTNEKDLGMVLSLVDQ -ALEHEREKLNGLEMSLSSYQSHASWAILPNFNLQGKLVDSIARIRQNVNVLSAQKNKLENATAATDFEEL -VKVLEENPSVASHVMYQSGPTKFINKELLGKRDYKWAPYLALGAACVMATGAWYVIYKRNNEAEKRVRYE -GKQTRLKDNKRQRGKDAKEGRMEEAQHVYYDQGDVMYDGMQDWASGQLDWTDRIRKKSNQHAMQFGREPI -NKQVRQPRPFNHFYGFDASSYDKVTFTDVATNFSVEQSAKSYDLMEAFTQMAAHRGELDGYWDQQRPTKI -RAIFEKDGEEMVKEVNMVPHIPEKVNKRGLPVGYVANRGEPRQGAPAIDKDKSALNPNAAQFESRAMYKE -ARPIDHLFQNQVIITNPVQSLCGLITGNKLLVPYHLARGVNSEYEGQLRMMSRFGCYDLGGIQNCQVTKF -TMVDLVGYQLPATFQPRRKLKCFREPVDGERAMMLSSKYENSGWKTCVSPFSEITESGDDGGALWVHHVS -TDRGCCGSIFVAESDMKIVGFHSIGAIGRNYFTPVTRETIAFVNDECELPLVPWKFSDEQVDIGTLIPDN -DGSKFPIKKPLSRVQWQSKDMAKYCGQGFKMIAEAPAHLSKRHVITGKRPEFQRFLNANAQWRNFVTPFL -GKLQPSALTTEAYYKDVLKYDKPITIGTVHEMCFAEAVVNVISFLEGAGFKRHGCRPIFDVGTIVNDLNL -DAAMGALYTGKKKDYFEEASLEEVEELFTNSAAKLGKNGHGVWSALLKAELRPAAKVVAQKTRTFTSAPI -DTLLGAKIVVDDFNKKFYSLHLKGPWTVGINKFNAGWNILAESLSHFTHYIDADGSQFDSSITPLLMNAV -LNIRLYFLERDDEAECMLKNLYTQIIHTCILIGDGTIVQKFRGNNSGQPGTVVDNTLCLMIAVEYARLRT -EVDHGEYPEMLYVCNGDDLLINANEKECQVIQTHFKDYIKELELNYTFDEVYDSIEKVEYMSHTFMKYKD -MYIPKLAKHRVVAILEWQRSAEPQAIQSAITAAYVEAFGYDDIMEMIEEFAKHVTLVWNYKLPSRQDVEN -LYLHGCNNILAAEIEEMCNQFCEWESGDPLLQTEQTEDTQQSSSSSPTQSNTSQALRTDASASKNGDDRT -KTAGVQASGKTEQSGRLDSGGKAQDKGQGSGSGRGKEKENSSSLLDNPVKNGGGSSGNGNASQDIADRVP -GITFPTPKRVSKAIYIPPAVRSFVTESQVAKVKKYIPRSEMIDNRYATFAQLDTWMESVAAEYDMNKQTF -IEDILFGWIVHCIINTCSEENIKMEKWRAVNNVGEDNESQVLYDIAPMYEQAHPSMRSIMRHFGDMARLI -ISESLAKNKPIIPRGFDKAGVLSVDNIIAACDFIIRKSGDTSQFVQVQNQVLVNRVGGIKTRLFAQAKPS -TADNIDGSRHDAQDVTENVHEFRGAQNAF - ->YP_308879.1 P3 protein [Cucumber vein yellowing virus] -CFDLSWAKSKTKFIEYFAEDETRIIKACCTPSSLWLFAKKSSLYKYVDYLLLHESSVVDLCVKFEFVGKH -LSLLEDVEDACIEFSHFMDETIQSRNVQDEPELARIRALIRSQFDSVRESSKYEIIDRIIEKKTKLQADE -IIMRELIRRQYAELFSWRERALMNFCSKQTRLYDLWEKREKANSSSSSTISSLISRPGLEIMTNWASTVC -KTQYTRSIRAIDSAIRFVWHRIVFISKQALFKWWESCVFHVFCVLLTALVTYSLSQIVSFMKRITDKEKK -EALALEEDLVEIQ - ->YP_224077.1 gp1 [Cucumber vein yellowing virus] -MAEVYSFVPKTDYEKRMVKRFGTDKFLQFREQCPLSQVRKCWTHFKVCDGACYAYLLVHFTEEGAREFLE -LSAGRRKLVLDNVRRALCSALYYNPNEEDFECECGNSNTSWKRVCEDCEQVYAYSEMNLLQELSKIARGL -SCYINELKNFTIHDIADEVSYAEKSRRMTTVESGFKTVGEISLRTEVVEAVISEKPVESVTVKAVVAEKP -VEASDNSRVAMEEKKPQTKQVWKMVTGKPKPTVIPECKPEAPTKKEIQTCLDLVVQIGDFIINTRTREFK -MVDEENECTEKVEETEVKEPVVENPNKDTVVLNDFFKNFQFNEERRSKIYTDKHGNVRYGKRPMKKRKRG -KKVELVSDKIITKIETPEEVQPVYSFEDLPSIKKAYSKKKPREIIKTRTEYSVRSLVKEIGKTCSFTEIM -IIDKKIRKIKKRGSRYFVDVRHLNGCNPEVDLDHSSFSDEILDWLMASLAVKSTKLSEIVPGTSGLITVG -RNEFGKFTIIRGWLDRIVDARENLTKSQLRRIRNYTIHGLHAFKKRYQTNAIDRECTTQIQIKDNVVYLK -GEGALQNFINSSILGGLVRNASGVTKPALACLRKATKYGVGFDMMMNHYVCCRCHVRCTDISLMNTMCNE -CGENMFESVGHESPSVTLPINPFECDVHPAVAEIMKEAWWRDGVEDWPIEKKYHSNGKEIYVEKQGQRAW -RSEFQLRETSSSRESDLGFAAADNGVCLCEANFAWLDMFPCITLNHIFGLDFDDDMDPEIRDIMTRDNAQ -RVFSTGRLVKYADICPGWSGVVITEDAVQPEEWNKFAWYNGICVVQGKNRESGIIENAIIMKTADEKDKI -DFYCFDLSWAKSKTKFIEYFAEDETRIIKACCTPSSLWLFAKKSSLYKYVDYLLLHESSVVDLCVKFEFV -GKHLSLLEDVEDACIEFSHFMDETIQSRNVQDEPELARIRALIRSQFDSVRESSKYEIIDRIIEKKTKLQ -ADEIIMRELIRRQYAELFSWRERALMNFCSKQTRLYDLWEKREKANSSSSSTISSLISRPGLEIMTNWAS -TVCKTQYTRSIRAIDSAIRFVWHRIVFISKQALFKWWESCVFHVFCVLLTALVTYSLSQIVSFMKRITDK -EKKEALALEEDLVEIQGKKEEATIMKWCAMLTLVMSFINFDWALASVSALGKMKTIFSALGPNLIELQSG -EEDAFKFTTFEVEVPGNGKSCDDQTFEDWINHCIKYNLTTPEPTTSGPMLVLEKGKAKELAEKIRMHDSC -DLRVYGGVGTGKSTSLPSEIMKFGPVLICVPTRVLANALHDSYMALFGYDVSVAYRGRVRTGTQPITVMT -YGYALNHFHYNPQNLNSFEYVIMDEIHTFPTELNPLFSLIRETNPRKKIIKTSATHVGHNVELSTNFKVN -IETLSPMGVKKWVELQGTNVFGDATSSGETILVFVATYNEVDEAAEGLRNKGFPVLKVDGRNFRKNTEVQ -KMVDDLPGNIKFIVATNIIENGVTLDVDTLVDFGERVSPVLDSDGRSIVMARRRISKAERQQRFGRVGRM -KPGTIYKFGKEALPDSMKSVVGATESAMICFAYGIKPVVDDVDIGSIARVTKKQALTASLYDLNRIFTVH -HVDKHGFIPRAVHELFKTFMLRTEAVAICESYLSSDSSSWKALHTYLRPSEEMAHVRNVKIPWYCSDMSN -EFIIKLAECVNHAKPKFSCGYDVENIDFHVVAHKISVGEHNIEESKALVSEILNRVKQWRDNLVYKMSTP -RNNSLMSLMVGWIPKKIEKTRSQLEMRIQRLEMLLSQLDNVSVNHDYESLVRFFAENPHSAEYLESQGKS -EYLEKKVLKTNIKEADWRVIAGVITITACMAGFTYWYLRRRTAVDQVEIQGKVGYRRDKRVGRFVFDGPD -EDIIENFGVEYSHDVVTKKMSKAQKLKQAKEKGWKIGKVDRPKKIFRQLYGVNPLEFDEVYLTVGDFKGE -VWETKDMDIDEMYSDLYSDFNLGNRKGYSKDVYLVFSKKDSDIEAVVDLQPHRSKMASSMSLNPMGFPEE -EGRWRQSGDVKMRKRIEEEVEVQVAETAIASKFAHIFQRLGRLGFSGRGLNCVFHGDKSIMPCHLASGGD -PGDSLIVTTSRGQFDMGPMEMIKCKKITDFDLVVGQLPKDMQPFKSTNIMRKPKMDEDVVIITLKRDKGK -MLIRTSDTSKIYKADDKYAHLWVHFIKSESGDCGSPIVALSDNKIVGFHSGMIKDKLGVFLRSVFTPVND -ELLRTLNEKSEMNDFWKFNQDAISWNAVIKTSSLFPVMKDILGVHLQVGAGDKYIGGNLMTVGEVNKHAY -HNHVIKGKRKEFVEFCAQNPNNNFEKFRDFYGPSVMTVSAFYKDLLKYDEPIRVGVIDFPSLVHAYLNVE -DKLLSLGFDEDCGPEWDPYEIYCDLNKKAAMGALYQGSKNDWLKEITPKEFIEQVQESYRLLGHGVVGIW -SGSLKAELRTKAKIAEGKTRVFTGAPIDVLLAGKVLVDKFNKHFYTQHLKGPWSVGINKFNRGWDKLARY -FNHDWKFIDCDGSRFDSSLSPILFQMVCHMRERFGHFDWAETNALRNLYAQIVYTPILTIDGNIVKKHKG -NNSGQPSTVVDNTLILMLVVEYCKSWHFKQSGVKMEFKYMCNGDDLIINAPDREISIIQSTFKNLLKECG -LNYDFDDLHDSIEDVEYMSHHFVLREGFYIPKLSKERLVAILEWERSDELFRTRSASNAAYIESFGYDDI -HWEIERFAAYWANLKGVKNVLMSEDHVRKLYLDENFELTDEIVQTLSPASFEFGYVELQADDIEKEAIEQ -EIEKLRNEWKANGPSRTVSNYEARKRQTPIAAKVDELLKQLKEAGVETLKRPCGQPNADEDKKENSNSNW -TGESDEEDEGKKKRMPLRGGGKMMKRDDVDKIPTNAMEFKRDFKPARASRTSYIWIPRSQRDNLTPDVVK -NFLAYIPPSQAIDNQMASGSQVENWAMRTASAYGVTIQQFYETVLPAWIVNCIVNGTSDERKTETVWRAV -ELNAQGEDVDDMEYPIEPIYKHALPTMRKIMRNFSSQAILMYQNSVAEGKAFTVKAARNAGYTEIEDQWL -GIDFLAEAQLSRNQLNIKHQTLAANVSRNRRNLFALAAPGDDGRVNAERHLTTDASASRHTYGGAMIE - ->NP_932608.1 polyprotein [Oat necrotic mottle virus] -MEHSKCVLDGFGKQGVIANDPYVRCEARTLLFLSTEEEVDIVVNHHGSGSIFWSKDGVRTQTSKNLYKAM -SLGLGYDLAADVFVCGMCRSSCAHYRYFIEDHFACEKLVEKNCAYIKNERFTKVVDAFPIMPAYATPAME -TATLQWMSETSSCLADYCFQKPRVVHFHNEKTNQDETREKTVTIEVTKDDFEEEYTVIKHAHRKNPIHEY -KEKQLRMTSGNIAALIDQVARIMKSQGKTFEIVGARGQKRFVKIPLKHTLGYPKREWDPLKDVPENCRNF -LTTYSEITQWTRNVYDHEVTHGWSGIVLSKMDVPQGYEQECVDGLFVVMGRCAHGRLQNALRPTCTHGLR -WYSDKWVNAHIPRNHDHCNEALKDTVEGTPDKMRQVLKTMFDMHDLRCSLCRLEWRNRSHDEHQELLYPQ -VTDYMNKNPKNKLTLFRTFADYMVGKEQPDERQLRPNEGLQLVDVWRTIKQTIHIPNRIVYMGMFTDSYG -NFDFFPNTSMPELFPRFMKPVTHRLKENGNIVTSFRYVDAEEIIQTSIESLYPHFESTYWNAQARAVHRA -QPLHECSMEINGETKVVCHWEGNTPLYNPIIRATPGQLPFGVVNHLLSIGDRNGRHQYVPENGYCYMYIF -ACAMIFCGNSNRSTVDRFVNQVCNDLGPWPKFSEVLRQLNWMATFYGCYDALVPVILVDHINKTMHVPTP -YGIKQSGMHTIRVNTVLELISLDTMADGAMKDYKIGGFQETVLSIQACVKSRKEFVRKLNKDAEWLVDMF -VNPSTLFALGGLIEVHQLILADVENSFDKSAALLNLRQMAMKLGPHLESKQRVRQYMELMIQHRASVEAI -IPSTHMREEIKAYIDSLQRSVLEEQCLIEMDRVGGKEKMLVEQDISHGECAYNEFFNSIGYLNFHGTVLR -LTYSGPGRKVGEMCESLKNNWLTRYLRGPKVPEGCKESSLRTWRKVTHLFGEAYKWAFCTMAANVLQVIL -IGLSTVFGAYLLRKILKMLRWEKEQESKELVEYQSKKEEAWITRTMAVLYIISSLFSVEFSSALYSNLVK -FRTIFDILKVNCEYQSGIFDSLKNQLGDIPTFHEIQLYDHEATHVAVPPAILTFEKWFETRIVSGQQGYA -PLDGNHVQLTMTKETIGDIATQVQASKSTEFLIIGHVGCGKSTAFPATLARNGRVMICEPTRVLVTNLQD -SMLATKNISISAMMRNHRVMTASNITVTTYGYALHYLYNNSHNLAEYEYILFDEVHQTSAEMLVLYNWLK -STAWNGKLVKLTATNNTVNGDMQTQQGLDVKTWPVMDHRSFMQEQGRGTAHDASTLGDVIIVFLTSFREI -DESADILCKNPKLGVLKADSRHLRNKVSLMDDIEAMRSEKKYILATNILQNGVNIHADVVVDFGFKIVPS -IDSDNRMITVKRQLINKSDRIQRLGRVGRMKMGYARKIGNDIDASFALDEVTATEAALLAFGLGVAPVLQ -GVDQHTFGKITAEQVRTAARFEMQLSYMVWMVNRDGTIATRLYELFKPLLLTPGNTHLAPYYESLVETHR -FRTIGQYSSLGYIRTDEHHGLILPFHHNDVSVEFAVRIGEAYMTSQVPNSIKLRVPAVNHREVAMKMSAN -PNEVGSILYVVEQALVNEKTKLENLTQSYQQQQSAYCNVFLPNFNVAGRLTQAMDRIRQNVAVLQHQKTA -LEKAVVTYDYTKLVELLDENPSIASHVSYQAGPSQFVDDYILQKRNYGWMPYLALGTACTLAGTALVMMY -YRRMKHRVKYEGKAARNQQAKRQAARDRKVDRGSEYTYYDGGDTLYDGVQEYTNNSPDWTDRIRKKTQEH -TMQFGREVPQSEKQRDHVFWHFYGFDPKTYDTVEFKDITANFSVHQDAQSMDLQKAFSEMVDNRWNDEDF -WDDKQPKQIMAYFRKGDRVREVPMAPHKPNQVNKRSLPVGYAAHRGEWRQSKPSVEREVSYENKSLYEGA -RSLNHIHQNQVILVEDNQQLNGLIVGNILLAPFHFTRGMRDREEKEARMLTQFGTYNLGKLTDKHVTKFT -MLDLVALTLPPTFQARRKLKCFRIPVEGERAILVTMHFDKTGWVGKQSAETPITPYGDRHDGLWKHRVTT -APGDCGSTIVAVSDLKIVGFHNLGGKGENYFTPITEEIMNFLSDKSVEPLVPWKFSEEQVDLCGLVEANG -ADKFPFAKTISELVNWQSLQMPKYCGENFKAIAYAPNRMSKRHVVTGRRPEFIGFLDSHPKWKATVARHL -GEFQPSVLTHEAYYKDVLKYNKDIMVGTAHEMCFAKAVLATVYILEIAGFEKGMCKPIYDGCKIYNDLNL -DAAMGALYTGKKSAHFMDATADDIEEFFVNSAGKLLGNGHGVWSGLLKAELRPKAKVVANKTRTFTSAPV -DILMGAKAVVDEFNKFFYTKHLRGPWTVGINKFNCGWNLLAESLMVHEWFIDADGSQFDSSITPLLMNAV -LNIRQYFMADEEEAQTMLANLYTHIINTCILIEDGTIVQKFRGNNSGQPSTVVDNTLCLIMAMEYCRLRV -LDEHKHDMTILYVCNGDDLLINANTKDRDFVQQYYSGYMKELELNYSFDEAYRSIEQVEYMSHTFKKRGA -KFIPKLKRERIVAILEWQRSKEPKAIQSAIIAAYVEAFGYDDLTEMIEELAAEVSITWPEFKLPARKEVE -DLYLTGNRTDLGEEIKECGEKYCVYESSAAASDDVLAAANTGTQTNQGGGTVAPAANAGTAAKDGSSGSG -TAQTQLSDGSTMSGLDKGKNVVGQGQGSKGNTGSFTNNPVRVGGKAQDVKDQTPGLVFPDPKVTTKAIYI -PRVVRDKVKPEMINNMIKYQPRVELIDNRYATLEQLTTWMTEAAAGLDVTEDVFVNVLLPGWVYHCIVNT -TSPENKALGTWRVVNNAGQDGEKQLEYKIEPMYKSARPSMRSIMRHFGEGARVMIEESVRIGRPIIPRGF -DKAGVLSVNNIVAACDFIMRNADDTPNFVQVQNSVAVNRLRGIQNKLFAQARLSAGTNEDNSRHDADDVR -ENTHSFAGVNALA - ->NP_734285.1 P3 protein [Sweet potato mild mottle virus] -GIYDWVTKKNAFIDLFEHHPENIFKICTSPSVLWLFARSCEKHDFINDIMARDHSLVGLFIKLEYVGKHL -HIFQSVDDVCVEYAASMREIIEEHADIHGLRDSVVDRMVHAYHNEVREANKYELVDRILEKNIGLIAKEI -SSRKLITMYHRDLFSWHEWQRLKLMPHSSNAQKLFEEANERAYGKQSWNLRVIWGACKEVLYAATHGVYV -RVKGTTVRCADAVVYGFYGRTRAMVSSWASEAWGAIFTSCLRALVVMVVTAYISTWIPKIRKMIKREKKQ -FEDLGDGELYVEQ - ->NP_620656.1 polyprotein [Sweet potato mild mottle virus] -MGKSKLTYKQCIAKWGKAALEAQNNGSRRSVSVGTHQIAANIFAFYDAKDYHLFAMGKRGGLTPAAEQLR -IAIARGTIYKVQYNCHFCPDCDVIVDSEEGWFCEDCGSQFNKRDDNVLDNKNDVARALGGWNEYEDATWA -LFEAARADMLEVAPTVGQLEKEIRAIEKSAGKKLTAYEEEMLEELAYKLDVAKMNEEKQEEVLEETNFSI -SNDEFPALNGPQDEEVNVVIEETTEESAIEVAKEAEKSVEFEIIHEKTDEPISDAVNARMVATPVVATSV -TKSGTVIDGKELVEKPKTTMWVTKPKTTAAIPATSSKSAVWVAKPKPASAIFIAEPVVKPAVRACNDVMN -IGAMVCPIMVSANAQVEDATKEEEPVIKYNITFGSFNYEVSTKGERIQAAVQLDEIIEGPDIEPILICQT -GSSHKSETKKAAKGLFVQDKFSVIGNKVLCKSFPAFNNFMNETRLGGIYRTRKGNYKNAALRLLKATKVQ -VFYDGIKDIFECPYCHVSSNELEGLNGDNCEKCKDLFYKHIDDPRKVEEEYLMVPLVPIDQHVHEEHSII -SKAKWEAHESICEGEVNIVKIFDGKPTASKKKFKTMQAPNVANIPLDDFMQELVEICLERNTPIEIIGGV -KSFNVVKLRHATRDISKSGEDDMYPTEREWFCHKNKLCLCGGIEREKKVRSFEVRPGWSGVILHKNQVAE -CDWDKFVFIDDICVVQGRNLITNKIENALEKKGATRLKQIQFYASSVVPNFKDEFDRASRLKADHEPYES -SNNELIGRLARLVAAVIPKGHLYCKTCCLRVIKSKRADIVNALSKAKQRGERDEFIYDELIKLFELQAPP -PYKIATITSDDDMFAHIRIGWKPYSGRLSLIMQHLQGLHTSISMLHQSLAGAQNDQQIDRQALHNQVRIL -HQRNEEHMPFLKKAVDEIQLLNATDQVANARELYLDTRATSTGDFDILRKYQSIYEFFPNIMSRANKVGM -AVIKSETSLSKAFALMDNAKSMNAIHTLIGEDVIDNTSGACLMKNDKTFFSIGCKQGVDGSKMYGPLCPT -KQHVRIHRVESNMQIPLPTFHDATVWEFNEGYCYANQLAIMVGFINEDEMEFYKNQMNQIVLNLGAWPTF -EQYLVELRAISLDYPKVRGCPAAIHLVSHANKLIHVLGQFGTINQGWHALEVATVGELVDLCHKKVEGEM -LTYKVGGIYDWVTKKNAFIDLFEHHPENIFKICTSPSVLWLFARSCEKHDFINDIMARDHSLVGLFIKLE -YVGKHLHIFQSVDDVCVEYAASMREIIEEHADIHGLRDSVVDRMVHAYHNEVREANKYELVDRILEKNIG -LIAKEISSRKLITMYHRDLFSWHEWQRLKLMPHSSNAQKLFEEANERAYGKQSWNLRVIWGACKEVLYAA -THGVYVRVKGTTVRCADAVVYGFYGRTRAMVSSWASEAWGAIFTSCLRALVVMVVTAYISTWIPKIRKMI -KREKKQFEDLGDGELYVEQHGKKEEAFLFKICAIFALIAGIVDYEWGAAACATMNKVRSICTVLGSVGIE -SHANEPNDKVEQDLKESLKFTSFEIEVPTWFYHNDMTFERWFQHQIQYGNVCADPIYSGPLRMLAITESS -AREVAMNIRTSGETDVRVYSGVGGGKSTRLPKELSMFGHVLICVPTRVLAESLLTSFMVLFNMDVNVAYR -GRIHTGNAPITIMTYGYALNFLVHKPMELNRYDYVLLDEINTNPVEFAPLFSFIKTTDPKKKIVKLSATH -AGMDCECETRHKIKVETLSEMPIESWVSMQGSGVVGDATSVGDVILVFVASFKDVDTCANGLRSKGFKVL -KVDSRNFRRDADVDKQIQSLGEGKKFIVATNIIENGVTFNIDVVVDFGEKISPNLSSDERCITLGRQRIS -RAERIQRFGRAGRIKPGTVLRFGRGNLVDALPSVLTATESALLCFAHGIKPVCDRVDVAAIGTLTRQQAL -VSGQFELNKLLVAHSATPSGQIPRVVYELFKPLLLRTDAVPICSSYNAIAACNWLPLSTYMRRNEKNEHV -LATKIPWYCSDLSEDFNIKLAECVKSCMSTSNARFIVDNVNFITVAHKISVGEKTVGQAKLMVGELLENS -KSWRDGLLHVQSSSVTRSLVGLCTSWYQRRAKAALDRLDLQVNRLQLLYDQLGQVEITSDYDKLVEFFTE -NGECAAYLESQSKTDFLEKHVLELRQPAITKNVVGTAMFAVALTGCLFWWWMKRNEKYEFIEQHGKKIRL -NRDKRNACFVFSGTDDAMVEEYGVEYSQDVIHGRMSKAQKARQMKLKGKKPGSDTRVKPFKVLYGIDPND -YDTVALSAGGLTTEAVPVGEASLIDLMLELDDETGIFRKQVVNELKLKYTNNANGEQAMVRLTPHDSRRA -TIGSFMPSGFPDHHGEWRQTGAAEIIKEKNVAVDSHVGTPTVDAEDKHIASRLAIVRTHKGETHGIFHGD -KLITPFHTFKNACGNDTLTVQSLRGLYDYGILSRQKMEQVPKQDIMVLVNPIDVTPFKQSQIFRPPIQCE -VAYMIVCRRTPNGLRFEKTQETEIFPLGKQYGGVWKHGCDTRLGDCGGPIIACRDRKIVGFNGGRLMQMK -YNTVLAHIFEPVNETFIEMLAKMEYAKGFWKFNPELVEWSRLLPTTTSFPIQKQIQGVESHGKPGDKCCG -GNLISVGFANVTRISKHVIKGKRPSFVEYCNTYPDNIFMRDNLCEHYGPSILSKAAFYKDFTKYDDPVKV -GRLDCYAFDTALAMVHDTLSQLGFHGNSGSQWDIAEIFDDLNKKSSMGALYSGKKGQWMHGLTPEDAISL -AVESYALLNSGHLGVWSGSLKAELRHVDKLKEGKTRVFTGAPIDTLLAGKILVDNFNNYFYKCHLQGPWT -VGINKFNRGWNKLANYFNHDWVFIDCDGSRFDSSIPPIMFNAVCMLRSVFGDLDPDENQTLSNLYTEIVN -TPILTIEGNIIRKFRGNNSGQPSTVVDNTLILMIAMEYAIAKVFVTRPDIKYVCNGDDLLINCPRSTANA -ISEHFKDVFADLSLNYDFDHVCDKITDVDFMSHSFMWLDTEQMYIPKLDKERIVAILEWERSDEQFRTRS -ALNAAYIESFGYEDLMTEIEKFAHFWAKKHGLNDVLMEREKVRSLYVDENFDASRFEKFYPESFSPFDVY -VEPHASTSKTIEELQQEMEDLDSDTTITVVQRETQKAGIRDQIEALRAQQIVRPPEAQLQPDVTPAQIVT -FEPPRVTGFGALWIPRQQRNYMTPSYIEKIKAYVPHSNLIESGLASEAQLTSWFENTCRDYQVSMDVFMS -TILPAWIVNCIINGTSQERTNEHTWRAVIMANMEDQEVLYYPIKPIIINAQPTLRQVMRHFGEQAVAQYM -NSLQVGKPFTVKGAVTAGYANVQDAWLGIDFLRDTMKLTTKQMEVKHQIIAANVTRRKIRVFALAAPGDG -DELDTERHVVDDVARGRHSLRGAQLD - ->NP_612585.1 polyprotein [Brome streak mosaic virus] -MQQTHVKQVWRPKTASSKVKTSNMVYLAEESSPLAKTELKEDAVVAADMSVINADDAVYGHALEGKYSER -KALPARSNETIVSFASGEDGLFLDRAACGSIFKTRTGKDTPIATAIRAATRRGLAYDIAAQLYMCPKCCS -ASDKVLYFDTNHNDSCQWYLDNCRAVINKDWTLDVIETYNVFPVLVTEEEERRTLEAVDIALKPLGTVPV -HDVVQVYDQKIGEHKEVERNQEAAHGSEPAIITTTPVLRRQCIEKRLLRHVHQANKVIANTVEIYDLMTE -TSQICAEKAIPLVFVDYEKKKRIRPRVPLRHVLESNNVDPSDDLYADVVPFLKHYGTCGRPVGVINPRDI -KPGWSGVVLLQDELPESLHQECVDGVFVVQGIGPDGQLKNALKTTTGERIEYYSSKRRMAVNAHVPKAHS -FCKYSSLTETLMELDYLRVISALADVHDPQCVECHKERNERSFIENLKYTQQGAQNLFNAGTGMGLAFVA -SIASGQLMIQRQQPQSSSSIVDQTPRTNEDGSLSHNINLLLTPEVVDIWRSMKQMVHLPNRKLYMASFND -QFGNFDFIPNSTLQGVFPDFTMPVTIALNDDDKVETNYRHVDKNSTIETCVEGLYTQFDAAYWTKKAAQV -HKIQPIDQCGMEIGNIALKLCHWEGDVALFSPIIRPTPGHLMFGSTDRLLRIPDMTNARHYVPKVGYCYL -YLFALAMNFCDGENRVTVDAYINKTCRELGAWPKFGEVLRALDRMATYYGCYDAVVPVMLVDHVQRTIHV -PSPFGIVQSGMHMIQINNLGDLIKLDTMGASELKEYEIGGFRETYKSITKCVKSKSAFMEKLNQDNEWLV -DMICNPSTLFVLSQLMDTHGLILKDVENSFDRLAALLALKELGSALGPLLTTRKRVALYMQSLSKVDELV -PHLGMPTGAMNLLKSEIELIQNAIVEEQDMAEIDRVEGKKSILERRDEMFAPCVYNEFINSFGYVSLPGI -AYRLTYTGVGARIGRGCEHLKTVWSGSWIPEIHLPENLRSNTWSAIKKYTVYSGGTAWRYMKLKIVESAT -QILVAAVITAIGSWLLKKLLKFIRHEKGRLNEVVVFQSKQEELFISKFMAVCFVISTFFSLDMSNAIYSS -LTKFRAIFSILSVGSIYQSGALEKLEEQLGHVDTFHEFKLYDHDATHANIAPSVQSFGTWLDTRVLAGQQ -GCDPLEGRHTKFEMNKNTRDAIAARVLADKDNEFLVMGHVGCGKSTYFPVALSKQARVLICEPTRVLVTN -LHDSMMHTCQVAPSVMMRNHRCITGSSIMVQTYGFALHYLVNNPENLQQYDFVLFDEVHHTCAEKVVLYN -WLKGRDWGGKIVKLTATDRSPSAEIKAQKSLDIMTLPTMTPLDFVKEQGLSTKADASKHGKVILVFLTSF -REVDSCYDELKRKENFDAIKADSRNLRNKTSLADLISECKKEFIYIFATNILQTGINIEADVVVDFGYKI -VPTYDVDNRMLTTTRKPVNKADRIQRLGRVARMKAGVAMKIGATIDPEAYDDEVTATEAALLSFAMQVPP -VLRNVNLQIFQRITREQVVTAARFEHQLSYMVWMVNKDGSMPTKLYDLFSPLLLSQGNMRLSPYYSSLYD -SDTFMTVKNYVDIGYLKHDRTTNQRLPFHCHDVSTTFAMKVADRFEDSRAPSTYSIRVPAVNLRHTAVKL -STDPAQVGMILVVIGEALVHQKNILEQLKSTRTQLDNYNSCILVPNWNVRGKLDDAINRVERNVSILENQ -KNSVEKMSVARGYDELKELLEENHAVAAHVMYQKGPQKFIDDVLLQKRDFSWMPYISVGAACLMAGCAWY -MLYRQRAKHEAKFEGKASRVKASKQKAFDDKMARADNYTYYETTDELHNHAREWNDYPTDWVDKVRKKAN -VHAMQFGREAPRRDVRNDRPFFNFYGIDEKLYDTVTFHDMAASFSVEQPITAMEVEEAFEKIYLNRQEDE -AFFDHPMPKKILAEFKGKDGKVINVEMEPHNPRKANRRGLPVGYADHRGEFRQAKPAEEGPIKFERKALN -PKATPYAVFESKALYGGPRCYEHITNNQVLLAGPSGYLNGLITGSKLLAPYHFVKDISSDSQDPSRMIAR -FGTYNLGNILNLQVVKFTMIDLIGLDLPVEFQPRRTLKCFRVPVIGEKAVLVLSRYSKEGWKSCVSAETE -ITPYGENEELLWRHRITTEVGDCGATMVALSDQKIVGFHSLGGISMNYFVPVTQELLDFLSSKTEKPLVP -WRFSEDQVDVGGLYIHNDFDKFPFVKTIQKLVGFQNGHMIKYCGEGFTPVARSENRLSRQHVISGQRESF -IHFVEASSKWRPLITPMLGRLQPSALNREAYYKDVLKYDKPIRLGTVHEEAFQSAVINVIRILENAGFER -GGVKACFDYGKIFNDLNLDAAMGALYAGKKKDYFVEATDEEIEEMFLRSAGKICANGHGVWSALLKAELR -PAEKVAANKTRTFTSAPIDILFGAKAVVDDFNKQFYKRHLLGPWTVGINKFNKGWDLLARSLMRYEWFID -ADGSQFDSSITPLLMNAVLTIRLYFMERDDITELMLRNLYTQIISTCMLAEDGLIVQKHRGNNSGQPSTV -VDNTLCLMIAMEYARQRAISDGHLNMQMRYVCNGDDLLINANEEAKDVVQGKYEQYIKELELNYCFDDAF -QSIEGVEFMSHKFMLRNGIYIPKLARHRIVAILEWQRSAEPQAIKSAILAACVEAFGYDDLTELIREYAI -SLEPVWGSFLPTDGEIEQLYFEGIAKQEVARCLAGVDDVCKFESAASGTNEAVDEVLKAAGDDEALARAN -AAATSGATTPAQNVGAGTTTPAKATPQSGRRPSFGSLIDNPIGGNGVQDVADRTSGIVFPVPTRKSTSLY -LPPKVKLRATPERIEKVRKYLPDPQQIDLRYSTQQELNDWIKASADGLGQTEEAFIDNILPGWIVHCIVN -TTSSENRKAGSWRCVTNAGTADEEQVLYDIEPMYSAANPTMRAIMRHFSDLARLVIAESFKQGRPLIPKG -YIKAGVLDASSAAAACDFVVRDRHDTATFVQVQNQVLVNRVSGITNRLFAQAMPSAGANEDMARHDAQDA -AEGIHNLGGARAF - ->NP_046741.1 polyprotein [Wheat streak mosaic virus] -MATANCLLGDFGRQGVVANDPYVQCRARTLIFLSTEEEVDVVVNHHGPGSIFWSKEGILTQTAKNLYKAT -AYGLGYDLAANVFVCGKCRSSCTQYRYFIEDHFACDKLVEKNCAYIKDDKYVKVVEAFPIMPSYATPGQE -TRIIQWMNKTSQCLADHCIQRTREITFTNSKTQEEETRVKDCSLEVFYDDFDEAHAVIEHAHRKNPVHEY -KEKQLRMTSNNIAALVDQVTRMMHSKGKTVEIVGSKGHKKFAKIPLKHTMGYPKRDWDATKDIPEDFRGF -ITTYSGVIQYTRKVQDHEVTLGWSGVLLSEMDVPDGYQEDCVDGLFIVMGRCAHGRIQNALKPKCTHGLR -WYGDQAVNKVIPKYHDVCNNNCASYLEALPRKVSRVWQSMFDIHNLRCDQCRTEWKMRTASEHLQLLQKS -VEHYMQTYPDSDVTLFKAFLQALGPDEEVEARQLKPNNTLQLVDVWRTMKNTINIPNRIIYMGMFTDNYG -NFDFFPNTSMPELFAMHMQPVQHKMLEDGTIETNFRFVDLEGKIQTSIESLYPTFDSTYWNEQARRVHRI -QPLADCSMEINGESKPICHWEGNVPLYNPIIRATPGQLPFGVTTHLLSVNDRSGRFHYVPKNGYCYMYIF -ACAMIFCGNSNRSTVDAFVRQVCEDLGPWPMFGDVLRQLDWMATFYGCYDALVPVILVDHTRKTMHVPTP -YGIKQSGMHTIRVNTVLELITLDTMASGAMKDYKIGGFQETVLSIQACVKSRKEFVRKINKDAEWLVDMF -INPSTLFVLGGLIEVHQVILADVENSFDKSAALLNLRQIALKLGPHLESKQRVRQYMELMIQHRASVEAI -IPSQHMKAEMMQYIDALQRSILEEQVIIEMDRVGGKEKMLVEQDLSHAECAYNEFFNSIGYLNFHGTVLR -LTYSGPGRKVGEVLESLRDNWLTRYLRGPKQPRDYKGSSLRIWRKVTHLCGNAYRWVFYNMAANVLQVIL -IGLSTVFGAYLLKKILKMLQWEKEQESTELVEYQGKREEAWITRVMAVLYIIASLFSVDFSSALYSNLVK -FRTIFDILKFNCEYQSGIFESLKNQLGNIPAFHEVHLYDHEATQVAVPPAILTFERWFETRITSGQQGYA -PLDGSHVSLTMTKDTVGEIATQVQTHKAKEFLIIGHVGCGKSTAFPATLSRNGRVMICEPTRVLVTNLQD -SMLATRNLSISAMMRNHRVMTASNITVTTYGYALHYLYNNSHNLSEYDYILFDEVHQTSAEMLVFYNWLK -STTWEGKLIKLTATNNTVNGDMQTQQALDVKTWPVMDHRTFMQEQGRGTAHDASTLGDVIIVFLTSFREI -DESADILSKNSKIGVIKADSRHLRNKISLMDDVEALRAEKKYILATNILQNGVNLHADVVVDFGFKIVPA -IDSDNRMITVKRQLINKSDRIQRLGRVGRMKMGYARKIGNEIDASFALDEVTATEAALLAFGLGVAPVLQ -NVDQHTFGKITAEQVRTAARFEMQLSYMVWMINRDGTMATRLYEQFKSLLLTPGNTSLAPYYETLVDTHR -FRTIGQYATLGYMRTDEKHHLVLPFHHNDVSVEFAERIGEAYMASQVPTSIKLRVPAVNHREVAMKMSAN -PEDVGTILYMVEQALISEKTKLENLTQAFQQQQSTYCSVLIPNFNVAGRLTQAMDRIRKNVSVLQHQKTA -LEKAAVTYDYTKLVELLDENPSIASHVSYQAGPAKFIDEFILEKRDYGWLPYLAVGTACAIAGTTLVMMY -YRRMKRSVKFEGKAARNRSAKRQSARDQKMERGNEYTYYDAGDTLYNGVQENMNHAPDWTDRIKKKTHAY -AMQFGREVPKTETQRSSQYWHFYGFDPKMYDSVEFKDIAANFSVHQDAKAMDLQKAFTEMVENRWDDEDF -FDEKIPKRVLAIFRKGDKVREVALAPHKPNQVNKRGLPVGHADHRGEWRQTQPSFEKEVSYENKSTFEGA -RSLDHIHQNQVILVEDNQQLNGLIVGNILLAPYHFTRGMRNREEKETRMLTQFGTYNLGKLTNKHVTKFT -MMDLVALTLPPTFQARRKLKCFRPPREGERAMLVTMQYEKAGWVAKQSAETTITPFGDRHDGLWKHRIST -GPGDCGSAIVAVADLKVVGFHNLGGKGENYFTPITIEVMDFLAEKSVTPLVPWKFSDEQVDLCGLIAANG -ADKYPFTKTISDLVSWQSLQMTKYCGENFKAIAYAPNRMSKRHVITGKRPEFIKFLDSHPKWNATVTPFL -NGFQPSVLTHEAYYKDVLKYNKDIIVGGTDEVCFAKAVVATIGILEIAGFSKGQFQPIFDGCKIFNDLNL -DAAMGALYSGKKSAYFDGATSDEINEFFELSAAKLLSNGHGVWSGLLKAELRPKAKVVANKTRTFTSAPI -DILMGAKAVVDEFNKFFYTKHLRGPWTVGINKFNGGWDLLAKNLMVHEWFIDADGSQFDSSITPLLMNAI -LNIRQYFMAEDDEAEQMLANLYTQIINTCILIEDGTIVQKFRGNNSGQPSTVVDNTMCLIIAMEYCRMRV -EKDHEHRMRILYVCNGDDLLINADTKDKDFIQQYFADYMRELELNYSFDEAYRSIEEVEYMSHTFMKRNS -MYIPKLKRERIVAILEWQRSKEPKAIQSAIIAAYVEAFGYDEFTEMIEELAQEVSAVWPDFKLPSRQEVE -DLYLTGTRTDLGEEIKECGEQYCVYESSEAATDAVLAAANAGTGSASSSGSTQSSQSASTASGSGSSPSG -SGSGAAGGSGSGSAQTQSNNVSVMAGLDTGGAKTDQGSGSKGTGGSFTSNPVRTGGRATDVQDQTPGLVF -PAPKITTKAIYMPKTVRDKIKPEMINNMIKYQPRAELIDNRYATTEQLNTWIKEASEGLDVTEDVFINTL -LPGWVYHCIINTTSPENRALGTWRVVNNAGKDNEQQLEFKIEPMYKAAKPSLRAIMRHFGEGARVMIEES -VRIGKPIIPRGFDKAGVLSINNIVAACDFIMRGADDTPNFVQVQNSVAVNRLRGIQNKLFAQARLSAGTN -EDNSRHDADDVRENTHSFNGVNALA - ->sp|Q65729.1|POLG_BSTVG RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-A; Short=NIA; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -ETEITPYGENEELLWRHRITTEVGDCGATMVALSDQKIVGFHSLGGISMNYFVPVTQELLDFLNSKTEKP -LVPWRFSEDQVDVGGLYIHNDFDKFPFVKTIQKLVGFQNGHMIKYCGEGFTPVARSENRLSRQHVISGQR -ESFIHFVEASAKWRPIVTPMLGRLQPSALNREAYYKDVLKYDKPIRLGTVHEEAFQSAVINVIRILENAG -FERGGVKACFDYGKIFNDLNLDAAMGALYAGKKKDYFVEATDEEIEEMFLRSAGKICANGHGVWSALLKA -ELRPAEKVAANKTRTFTSAPIDILFGAKAVVDDFNKQFYKRHLLGPWTVGINKFNKGWDLLARSLMRYEW -FIDADGSQFDSSITPLLMNAVLTIRLYFMERDDITELMLRNLYTQIISTCMLAEDGLIVQKHRGNNSGQP -STVVDNTLCLMIAMEYARQRAISDGHLNMQMRYVCNGDDLLINANEEAKEVVQNKYEQYIKELELNYCFD -DAFQSIEGVEFMSHKFMLRNGIYIPKLARHRIVAILEWQRSAEPQAIKSAILAACVEAFGYDDSTELIRE -YAISLEPVWGSFLPTDGEIEQLYLEGIAKQEVARCLAGVDDVCKFESAAPGTNEAVDEMLKAAGDDEALA -RANATSTSDTIPPARNVGADTTTPAKANPPSGRRPSSRSLIDNSIGGNGVQDVADRTSGIVFPVPTRKST -SLYLTPKVKLRATTEELRKYESTSLNPQQIDLRYSTQQELNDWIKASADGLGQTEEAFIDNILPGWIVHC -IVNTTSSENRKAGSWRCVTNAGTADEEQVLYDIEPMYSAANPTMRAIMRHFSDLAGLVIAESFKQGRPLI -PKGYIKAGVLDASSAARACDFVVRDRHDTATFVQVQNQVLVNRVSGITNWLFAQQCLALVLTRTWRAMTL -RCRRGHPQPRLSASFLEVSTSCRARRRLGVQR - ->ADX01228.2 polyprotein [Eggplant mild leaf mottle virus] -MAFVQFGDFAPIDLSSKEKILSGMDSVHATAWEYIENRFIKAKSVAGWRNFMNQQGPNSMFVNKNGAFTE -AAWRLKRAIQNGLLYDPRMNVFVCETCWERATHVELLYEGEVKCKACQDRVFVVQENHEPPIEVTNINNN -ESIGSGLIQTICKTQEAEITKENNVPNLKTGGIRKVEKVAIARRGNVVHCDVDELVRAVRDIAMDRGIPM -ENIEKGKAKFPVFRLRRTDVGVFKLGDCDVDPADEALLKHHNFHKCFIPPVKVDITKLRAGTSGLVLHRK -NIRKDQELMLELVDDYCVVQGRAISTGIIINALIRRHGSFYNDIEFYAKSDIAGDLIKESGYNLYASLEK -NSLLRDADHDVELIPDRESTKLWSTFCTTFLVRPNGHIGCKRCDDELDNMNVAQFAKFLLDNVQFVKQKK -LFESAGLRKLESLAREMLRLIGKTGDRALVNRGRNDKVIEHPTRLLYLDSKSLVDGDFDMFQKFKNLAIL -FPDFVEKFSWEKEYISTGYDIKKCFNGLFVQDDLLSKPIVASLQLEHSSQDCAVYDSHGRISFGCSFVDN -EPEVVVVKIPTKEQLRTGRAGIARQIVFPTFHKGSLWEFKEGYCYANQFAILCGFVSENELDGFQILANK -LVKTLGPWPKFRMYVQALRKIASEYPHVREAPSCIHLVAHQFQLIHCLGQFGTNASGWHQLEFSNVGEIV -DWSCVAAEGKMLDYSIGGLVTDILANPSWIWDKEAFNKCFYTNMENILAMLSTPSTLWLLSVAVKRHEVV -RYVLAKGENFIEIWARIEYLGKYLHLFQDHEESIRAYSKLMRGFLDTFAELDGPENDPITVKWKELVREL -DVYERHEAEILKYESWDRVMMEKKEAIGIVSSELLYRDLITRQQHVFFSRLGWLFQRCAGKFSNAGYLLD -VHRAAKVERGYKECVNAVPGIIVDACVRVYSACKNTFIYCFYVPKILIKAVCYQSYAVACDGFVALFKSK -LGQCLLMGFVTAMGTMMANWMISLFRAFVREKRKLKRIEQEASEYVKAGAMVLETHSKEKETWLMRWLAI -FSMLMYVIDVDWGNSLHSSVMKVKGLYALWHHDERVYVQHGSWADEVEEELKNNMLFSTFEVQAPDNLPM -AVAKDMGFDVWFTHACSYGQTAIDPTVSGKLVSITASESQQMEIVDLIRLHEDRDYRVHGDVGTGKSTRF -PLLLSQFGKVLLLMPTRTLAESCWNSIRQVASIDPSLAYRHRFHTGTAPVSIMTYGYALAYFMANPNELS -RYSFVVCDEIHTFPSSVFPFFTWAFERYKNLKFLKTSATHIGSTHECRPRFEIEVIALPEIGMSKWADMQ -GSGTTSDAGTHGDTVLVFVASYNDVDMISNKLIKKGIDVLKVDARNMRNDADVMSQVAKLRGKVKYVVAT -NIIENGVTLDVDVVVDFGYKIVPVIDSNNRETRLVRQRISRGERVQRMGRVGRFKNGVFIRFGDAPLQEA -KPNEVTATEAALKCYAEGIRVTPAHVCVEAIGEVTVQQAITASKFELNQILIAHLAAPDGSLPRPVFELI -KKMQLRAGAIKVSERYPAGTGSNWRILDSYVKLSQEDQHFGTKKVPWFSSDLSLDFMKQLATAVEQSLSM -IKTSIKLEVVNPMMIAHKISTNEVNVHESRMLVGALLTQTKQKRETIQHAVANSCGNPLLELPMRWYKGK -TKAALDRLEKQEATLQVIHDSLGRVNAQSDYDQLVKFIEDNPDCGAYLEAHSKQEFLEEKVLELNKQRIQ -PKVLFPIVLGCVLAASAGGYYLLRQKKKSELELHGKSKRIRLQRDKRSDAFHLHAPEDVFTEEYGVDYSW -DVIEGRISKAEKTRRLKEKGREPNEMERTPNVFKLIYGFNPSQFDQIMLALPNGAASEIVSGKDFDLDDL -FGSLQDTTQFLYKAKPSHLDLYFGNKNDDFVHKVKLTPHEPRRAIGNTMKPMGFPEQAGVFRQSEKPVRV -TKPEAMKLEEHSGVNEADVFLASRLVRLSAPIGYVHGFMHGKFCVAPRHFVKFCQTGDEPCEVVTKAGVY -TVPHVFKKGIINIDNLDLIVMKMPDDFMTFKIKKVLRKPVVEEEVYFLGLTRDSSGLKAKRSGRSRAYPF -EGCGKGLWAYDCNSVHGDCGGIVVAVKDRKVVGFHNGICKEWGVYKNGVFVPVTQTLLDSVGKDVNGDQW -KFDDRVIMWSHLKPPSDCFPVTKSISPIEVHSAPSNIKLFGGNLTCNGVTSSTPYTSHVVKGERASFRRY -LNKNPNSIFHKYIDQYGPSVLSKEAFYKDFFKYDSEISAGHVDMECLQIAKGQVIKYLEDAGFVKGELGA -NWDLLELAKGLNKHASMGALYTGKKGTWMETITAGDFKEATIQSFVSLVEGKVGLWSGSQKAEIRPVEKI -AACKTRVFTGAPIDVLLGAKVLVDNFNHLFTETHLKGPWTVGINKFNCGWNALAQAFNHEWVFIDADGSR -FDSSISPLMFHNVLEIREYFGDFCEDEVQALRSLYTQIIYTPIQTIEGFVCKKFRGNNSGQPSTVVDNTL -ILMLAVEYCRARSGIDMKFKFVANGDDLILNAPKEEVPIIRSKFSGWFKECGLTYDLSKENESIGDVDFL -SHRFLYDDRLGIYLPKLDEERITAILQWERSDEVFKTRSAINAALVESFGYVELFEEVEAFGKFWAEEHG -LENVILPRDEIEKLYTRPMTSFSSVWYDILMATPYTHKGNTLSLEEHSGSIAELEKELAGLGNRTELTQE -QKDKRKAEIQTEIATLRGGSSSSQNVQAGDDQQLEVIPQTQEEQALKIKPPKVGVKQQSFWVPPAQRKFI -NQNLVKKMASYTPSTQLVDSQLATTVQVQEWAKSVCSGYDITEVVFWEDLFPMWLVHCIINGTSEQQSQS -TWRGVDLSGNEEVQVEYALDVMMRNAKPTLRSIMRNFFAQAKLVYHNSLDRGKPLSVKASVQAGYTDVTQ -GWLGIDFMPNSFPLTTSQKNIRNSIFVSNVHRRKQYTFALGAPGEESNNDERHEAQDVTRNRHNLRGAAI -E ->AOY33888.1 polyprotein [Squash vein yellowing virus] -MAQVYDFKAPPKFDKYSVARHGMRALQHYRDYVLPSQPRSQSASSTVPDAVLYAYVAALAPDDDVRDVLK -LTPGALTNHLVDARRSISSNCDMDLSDGRPHRECAAELRPAQRQTREDCALVYTTSESELAQRIYTIASP -HDCHVSDLQYSSRRTLVDMVEDADAYDARETSAAGLPVQQDEDKPDLSHIPVTAAIALAELEQTPTETAP -ETDEDVAVTTHTISIGDVVVPLLPVDDIPILRADKSDLHATGLSLESDDEDIRELQTTHAVLVALDVAHH -IADVCTIHDLSSTTDTLTLMTVICKHRMHTLEMRTDVRARVDKDTAIFTHLEMTSTLRHRRTHQILKKDS -RGTSRCRRRDTLSTTRVHIPETIITHIATDHQQSRIDECVSVPGLKCAPSTQMPTTSLSHHSLQRPVDDL -ILHTIHSCTQDRTVIELLATRGVTLTCAAPTLVDLTHMTGTMSTSDMPRDDLVDSLSSTSITQSSRARTD -WSICSADSARIVHIASTSGLVRARLDGYLVDARDISSLRDLLDIDDYSRTRMTCIQDHSSLDDLSQSTND -PRMHLCVNAHPIFAQDEMPADNSSSTTVCGAIFRTHSGVYTNPALMLRRPARYASALDCASEAYECPMCA -MQCTYLESSYSDRDLCEYTYTVPPSDTGLPAPVPIEPLDYVASSSISESMTESWIVGASEQIIIETDSRT -PPRVVRPDKSGLRSHNLKSNLLEQTSTQWSITAILAADTDLTMSDVHTVHSRASPPILLTHMSVRDLDDD -MSQHMRDLLMSDTAPTVFSTHADTTVAALTHACSALVITTQSLTSDDSDKLDRVTDLCVIHARRTSDARL -DTASVTTTRDELADIDSYSLDLTWAQSQEALIDHLADSPEQLIKTCRTPSALCSYAQTAHFYTYVDHSVS -THPSLVDLAVTSEYVAHHLGLIETVHDTCIESVHLMEESIMAAGLHHPDDLSRVRALITTHSPSVRESHR -YDLLDRVVHTQTHLCADDVIMRELIRHTHTDSSPSRERLSLTYGPTPARLHDLQRHRDDACPDTCPLSPS -LMSRVRSETLHDRACTVVTVTPRRVHDATDPVIRSTSSSCRALVTACASPCCDSTVNRIFTAVISLLIST -SISTLYPLLTSVSTYDTQTTHTLEDGQIELQATQQDALVLTWCALLTLSMSLSNSDRALASTPAIATLTT -SYAVLASDIVQSHSADEHPLRSVHSDVDAPGHAHSADIHTLTECSEHCMTYTLTTPEPPPSAPLSPLTRG -AARDLAELLRPHETTDMRLSAAVRSGHSPHLPSESMTSAALLICVPPRVLATASHDSSMPLFAHDVSSAY -SARVRPATHPITIMTYGYALTHFHHNPNASKPSEYIVMHEIHTSPTDMSPLSSLIRDLSPHKTIIKTSAT -HVAHHVQLPTTHTVDIDTLPLSSPKQCADMQAPGVHADAPVRGTIISVSVGCYTQLDDCSEALRSKRSPV -LQVDARTLSTHTDVHKMVDDLDADHTSIVPTHIIENAVTLDVHTVVDLADRVSPVSDSAGRSIILHKTRI -SMAERQHRSARVGRLTPGVAYTSGNAQLPDSLTRTVGATHSALIRLAYGVQPVVDDVDVHCIANVTKTQA -SAASMSDLTRLSTVHHIDTHASIPRPVSEQVTTSVSTTDAIAVCENYMAAHTSDCHPLHSYIRSTSDTDH -VSSVRLPCSCPDMSQDLIVTIAEPVQLATPKSSCPYAIDTVDLHVVAHTISVAEHTIEQAKSLVTEILNT -VKRWRDTLVYRLSTPRTNSLMASMVGCIPQKIDKTRELLDTRIRRLDMSLVHLDTVSVPSDHDALMRSFS -HTPHPAEYLHSHSTVDYLEQTVLHIHQRTVDCRVIGALSLVTPTVARALYRYLSSTRVDEHIELHASNTL -RSTSDTRPARSVSDADDQDMLETLGIEYSDDVIHKTMTTRHTQRAASHSGWTIGTVHRVTRVFHQSYGVN -PLESDTVYMTVRDLMRTECSTNDKWTVEDLIVDMHDDSGVGRRGDLDTELVHIHSKRDDSNEDKVVTLTP -HRSTMASCMSLNPMGLPEDERRWRQTGKPVDRVRVKKDESPATLELHVRLPTPAAPYAHLSHRLASAHHT -ALALTTVFHATTCIIPYHLATTASSDTHVIITPPSGHSDLAPSSHLRCTTLADLDIVIITTPRDSHPSTE -SPLSSMPKMHEHVLPLALRAETATLIARVAEARTPYSAAAEYSHSCVYLYPATAADCASALVARADTTLV -RSHSAIVRDDKGVYLRPVYTPVSELLLHALTETCDDDFWTFDHRHISCTALVTSSTLSPITTDIHDIDVQ -AAVRDTYIADTSMIVADVQTHVYHTHVLTRTRAPLDDSRDRHVDRASTTDTLTQSYAPSILSTAALYTDL -SHYDDPLAVRSITLPCLITAYLHVETTLLDLALDANCAPDWDPHVIYQDLTKTAAMRASYARTQQEWSDS -IHPQHSIDCVTHSHQMLAMRAVGVWSASLTAESSSRETIADGQTRVFTGAPIDVSSAGKVLVDNLTNYLY -SQHLTAPRSVGIHTFTRACDRLATTSDHTCTSIDCDASRSDSSLSPVLSHSLCHLRERSGTSDKRDTRAS -RHLYPHIVYTPLLTIDAYIVKKHKRNNSGQPPPVVDNTLLSMIVVDYCRSVITNTTALDMTFTYMCNADD -LIIHAPHDEISVIHERSATSSTDRGLTYNLTDVHDSIDEVHYMSHTSMTQDAIYIPTLCRERLVALLECE -SSDESSRPRSALNAAFIDSSGYPDSQHDITQSATLCAHTHAIQYPLLSDDRVETSYMHDTLSLAELDMEA -LMPDIFTFAHIELHALDLAATDADIHTSRDECDATTPSVPSSPSAAQTLQTPSADTVTELSTEIDDARET -PTTRPCAEPTDAEQDPDAEHDATDTRQTVTTPDTKHISKRAGPSKPLVTSDDVHTIPTHALQSTTDLKPA -RVSRTAFTCIPRSHRDTLTPEVVTNSLAYVPPSQPIDTQMPPASHVDSWAIRPADAHALTIQSSHETLLP -ACIVTCIITATRDERQSESTWRAVELNTTAEDVHDMQYPMDPIFKHALPTMSTIMRHLSDHALLMYQTSV -QHGHASTVTPARTAAYTRVDDLWLAIDSMPESQLSRHQLTITHQISAANVRRADTRLSALSAPAEHGRVH -TERHTTTDVSAHSHSHSAAALT ->SBT85169.1 polyprotein [Cassava brown streak virus] -MTTIQLFKTVQFGSFEPITIECTTGEVPKIPAYIANAEGSRNALENAPELVSGNNNQKVKFKPIDLYSII -GNSIYCRSYVALKNFLNNTKWGGVFKNKKGQETSAAGRLRRATSYGFMYDPVARAFECPVCRVKATELEA -FRSDCSHCFEIKHVGDPRITKTETQFYPLNPNELDVTEELLDVSSSVWLDEDVEEAVVDRLVSTERKDIV -KVRTALVKTKHEPRIVANTSDLTKTLTQICCESGIPIIDLDHRKRRAIPMVHLKHVYGVIEEDDLSEEDR -GFLEHVNASKVFWSCEKICYNMVRPGWSGAVIMRSSVQENEHDMFDFVDDMCVVQGKNKISGKIENALVR -KSWDELQQIEMYSFDLSWARSRDDFFKYFDEDVGRLIRTCCTPSTLWLYAKKARFYKYVDHMILKGSPLV -DILVKMEYVGKHLEMFNSVEDVCLEYAHFMQDLIQDHVTDQSNEDILRVKNLIRSYFDSVIEANKYELID -RIIDKKTQLEAQEIISRELIKHQYGALFSLRERISINIGFDSASLSSLWKEREKLRRRDSSFFSCLISRP -GIEMCANWILRVCSSSYSNTLYLVDKGVVFFWSRIVHIFQTCVYGYWNLWFRKAVCVLIAFVIMGFSGKV -IDYLKKLIKNERKQAIQYEEGLVEVQGRREESFVLRWCAFATLFLSFINYDWAVGSVSAIGKMKTVFGAL -GPDFIERQSGDDNDELRFTTFEVEIPGEAGTSDSQCFGDWLDHCIKYNLTAIEPTTSGPMLTLVKDKANE -LADKIQSLNANDIRVHGGVGTGKSTALPRELIRFGAVLICVPTRVLANALHESFMALYGFDVSLAYRGRV -RTGSKPITVMTYGYALNHFHHNPKNLAQFQFVLLDEVHTFPVHLNPLFSLIRELSPEKKIVKTSATHVGY -NVDLSTNHKVDIHTLGLMDVKKWAEMQGTGVFGDTTKDTGNVLVFVASYKDVDTCAEKLRDKGLPVLKVD -GRNFRKNTDVQRQVDELVGDTKFIIATNIIENGVTLDVDVVVDFGEKVSPGLFSEERCVLLHRQRISQAE -RKQRFGRVGRMKKGTVYKFGRETLPDSMRNRMGSTESALLCFAYGLKPVVDDVDVSAVRKITRKQALTAS -MFEANYIFTAHLVDRQGFMPRPVFELMKNLLLHTDAVGICSSYLATNMSEWRRVCEYIKVDESSRHVQEV -KIPWYCSDMSDDFIVKLAECVKAAKPKLSSGYKVDNVDFHTVAHKISVGESNIDESRALVATILDEVKQW -RDGITYHSSTPRNKSLMSLMVGWIPRKAERTKAILDERVQRLELLLNQLNGVKGVDDYESLVRFFSENPH -SAEYLEAQCASDYIEEKVMNVKKNYDKPIIIGLVGLAVTTGTFAYWYMRRNAASEVVERQAKHKYNRDKR -TGRLMYDLDDQGTVETFGVEYSDAVITGKMSKAQKERESRKKGWKVGKVNRPMRVFRQLYGVNPLEFDEV -VMRVGELTSEPWSAKDVDIDNMLVELDDDFHILRGDRMLGKKVELAFTKDGSNEETVVKLTPHRSKMASS -MSLNPMGFPEEEGRWRQTGSPVVQKRSEENQSVEKQVAKPETTNPYEHVLVRLGRAHLGTRVLNCFFHGS -KCVIPYHLAENGDANESLVISTTRGQFDFGPLKNIKCKKIKDYDITVCPLPRDVQPFRAKIVFREPKLGE -EVVVVYFARIDGRIVMKVSEKSNTYQAGGSFTHLWTYQHDGNPGDCGGPIVATSDMKVVGFHSGVVRNGA -GEKLRAVYTPVNHELIASLSTEIQMTDFWTFNPDLVEWNSVARVSTYFPMSKAINAITVQVNDGEESIDG -NLMIVGSVNRNVYHNHVIKGKRESFVRYCEQFPKSAFTPELRDQYLPSILSKPAFRKGLLKYNEPVRVGL -VNFECLVRAYLVVEKKLEELGFMGNSGPQWDPMEILSDLNKKAAMGALYQGSKQDWLKSITPEEFIISVR -ESFKHLAGGDVGIWSGSLKAELRPVEKVREMKTRVFTGAPVDVLLGGKVLVDNFNHHFYENHLKGPWTVG -INKFNRGWDRLARYFNHGWNFIDCDGSRFDTSLAPILFQLICHMRERFGEFDSIETIALRNLYTQIVYTP -ILTIDGYITKKHRGNNSGQPSTVVDNTIILMIVVEYCREVLSQEGVSMKYKYMCNGDDLILNAPDDEIPI -IQSRFKDLFAECGLNYDFDDVHKRIETIEYMSHSFAQRDGFFIPKLKKERIIAILEWERGDEVMRTRSAL -NAAYIESFGYDDLMMEIERFAVFWASEKGCEYPLIDRKRVEGLYLDEFTEINEEWLSGILPPSFENCYID -LQVVDRPQSLNVAKREEEVTSKFRMGIEAPITFVTGNAQKLKEVKQIFGPTIPIISRKFDLPESQGTVEE -IIKEKARVAAELVGGPVLVEDTSLCFDALNGLPGPYIKWFLEGIGLEGLYKLVEPYQNRMASALCVFAFV -NKVGDDPIIFKGVLRGEIVMPRGPNSFGWDPIFQPLDWKRTFAEMMTEEKNMISHRFRALSLVRDFLKSS -SYFSFAKGLDRDIFIDVQAIDKDEIEAEITELKELWRSNKPTKTRSPFESRRLRAPQVARVNELLKQLKD -AGIQTSKRPCGEPDEGEVASPESSEDEEQRTDKGKAAVEPPAERSQSEKSKSEDEEKQKKTRFRIRAGGG -SEKRDDIDKIPTNALEFRKSFKPPKVSQAAYVWIPRSQRDNLTPDVIQNFLAYVPPSHAIDNQLASGVEV -ENWAIEVSKAYGVTIQEFYRTILPAWIVNCIVNGTSDERKNEKSWRAVELNAQGEDIDDSEYPMEPMYKF -ALPTMRKIMRNFSSQAILMYQNSVTAGKAFVIKAARNAGYTSIENKWLGIDFLAEAQLSQSQLDIKHQIL -AANVGRNKTKLFALAAPGDDNNVDKERHTTRDVSATRHSYAGAAIE ->AFM97010.1 HC-Pro protein [Sugarcane streak mosaic virus] -MATITKKQVWRPKEKVVSEPPKTEVQESRTTLLFHDYAEVEDFIQRFPAGSVFWTTKGKPKTIVNNLFKA -TQYGLAYDIAAEVYVCPICMTCARNKVYFTTNHQNCGELFKNKQAYISTSLRLEAVDTFDVFPRYATVEQ -EKLVGDWMADMEAYAHYEDDSIDIPYQIFNDNTGEVEERIKQVDLSVHGEIEEVERVYKVKITRSNATML -PHQRRVNRVIMRTNEIKELIDSTLRNLSQQKIKKVSFCRSRTGKKKLFPRIPPATTRLDPQALCDPHHDI -IPATEKFINQWKDVGEPTMHINEQWVQKGWSGVVLRKEDLNSHPNLQEKCVDNLFVVLGRCKHGDLQNAL -KPDCCEELVFYTDGHKAKSHLFWDAVIKCHPDDHKFVDTTWNDEAYANMGYWLAATYPFKVACKECSSIK -SVREWVQNMRSSKAYQILRGGTSKHSRDLFRWLAAIQSELMTFNIRDAQNTQEDLNRNFLGTIPIGPIFD -VANQMNQAVVDIQRGLQQMHKLITDAELTHQSRDEQILNEIARLRGLEFMQTERLMTNMKHVAMTYRNLI -NTASQPLSIHTMRQLLLDARSDEAYEFDIMRGKGAIAIVAPGVFRKFDNIYSEPGVYNSEWTHLTPGGEI -RTDLDYLRTDLKISQLHDKIHKWPENPLVDETCIVSEGEMSYHLCERVYECFVPIPHILRVGNPQNPTLI -RIQDMIDGDTYLPRQGYCYVLQFVLMLGFVGDSMVTPFVEEVEVQIQKLGKWPLFEDYMDTIKQLVLKFP -TAAKAPTVLYVVNHAQEYIHAITTLGCVNKNEHYLNVHSVAKLHEVMATLNTHKIMKYRIGGVLPDLRRM -IASADFFEQTLIARPRWLVHILISPSQIWAISQAATKYRTAESLLRNHPDIAVALAGLIKISHNFQISLK -TTQVIENYFDTLNQISQSARVLTGPHYEFFQVITAQYAATRYSANAIALMDQFGEEKNTIVELEELYRPI -IRESLIEFGLSSRSSFGKLNSWAIYTHAKVSQRINDMPTLLARGSTLVLTRLSGVRLNIKSIPVSWIWTY -PQQCGIWLSDFTKTRAFGVVTRTAASCIKSSARSLFIDAGLYAVALSLVYCALQIIRKIFKRLSKMLHDD -DTTRLAQFDEMRILAKGDKRLIAMIDKMEDEQSESLIHHAQGKTDNLYVKVLAWISLLVGCFNVGLANDI -YFAVTKYRTLLDIATTSSPESLVFHAQDEQEDMKRLLDTRDNFIDFVYQHDEHDGCVDRESLDAWYTRIC -YQERVTEHPPKCGQELTLTRLNSTDIAESITRTSHNEFTVVGGVGTGKSTKLPGALSVYGPVLILVPSRE -LSVNLAASIEGVTQKVPSVYMHNCSIRGTSNITIMTYGYALIFFYHNRIEMQKYKFVQMDECHEFSEHMI -CFYAWWKENSQYTKLIKTTATPPGARIHNGFVDTNHEVIVQEIPSMTVDEFCRKSIDRHVDGLQARFPNG -GRILIFAPSRKDCEYIKASLITMGRTKIWAVYRKSTLAGEKLIEELNNDHTFYQIIVTTTVLQNGVNLSP -DGAIDFGITYEAAYDTDHRVLTVRRRNINPGELIQRVGRVGRDKPGTFIQVGKRLDREQPPNACTTTNAI -LISFSMELAPYVGPHLIDDINWVTREQIKTAMLFSAPPLFMVHYVRRDGKMLEGFYHQFKGLLLRTSEVI -LCHDLISEPQRHNYRTLRDYQLAGEIEHDEPLPQLPIPFYSNDFAVPFYIALGHITAEAIKPRSFTVRLP -VPNVKKAVLRLSTSEAQVDRTIGILQVRLQQIRERLDKFNTLRAETAGLRLTNLFNTCYTRATSQSEKSL -QASLILGTELLSSLEIARAEKNDKELEKLLANNPMLSECLIYHGGQEAFLEQYLFPTFKHPIKAYLVAIA -CLTVGVGCLGYYYLKRRETLIMHAGKKRRTHAREDRYKRTGLMGQEEASYHWVGSEKDIIDDWGAAYAKK -NAGKKKPSDWDDGKQQWDSREGTYTNVFKTLYDLDPTKFKYVVAEAPGYRFKKRLNRQEKKRLSETIIEG -IRSQMASEGVYDYPEVTQATLYLFGDPGQPAKKVILTPHNPLAVSQGSGNPVGFPSNRGELRQTGAAMEM -TEEEKQQALASETIVMHAQARIDISHVEKNVGLISDGSYTSQCFITQSWCVAPYHLASYFKQTSNTLTIT -TTSGHYTLPRPLVHKILNHDLVIFKMPGDFPPMKKISCFRKPNPDDEIVLITTKRTPSGLRTTFSSSFYI -SEHHSGMMQYALKSVPGFCGGPIMSIKDGQIIGFHSAARVVNMQDRGSTFTCVNGEVIEVLQSESSQTLI -PWLFNEDMVQWKGVNSESGSFPIAKTHTELIFHGAEIQHGTDKYFGDNLTIQGRINQSFNNRHVIKGSDT -YFDEFVMHVRPAPERVDAHLPSDLSVEAFFKDFLKYATPVELGRVNLGCLASAVDKVIGHLEDQGFTPKE -FQVETNFYTLLNSMNLDTAMGALYQTKKRDVLVPATHEELSTWFTDSLTNLYNGKFGIWKASLKAELRPL -EKVQQHKTRAFTAAPFDVSFGAKAFVDGFNNKFYERQAGSHWTVGINKFNCGWDELARRFDHNWKFIDAD -GSRYDSSLTPLLFNCVLHIREHFMDLDEDEKRCLRNLYTQLVWTPVSTITGQIVKKCKGGPSGQPSTVVD -NTLMLMIAVEYSKLRTEIKDNELNYTCNGDDLLLSAPPEVCTKIRESFSETMKDLGLTYEFEAEVDNIGQ -VEYMSHKWLNACGMLIPKLSRERIMSILRWNRSFDLESQANKINAAWIESFGYADIMDFVHEYADWWSKH -TGKEGFLMDIDKVTALYLTDEVRIDPVPTDLLVFHSGEEALIYHAAVGEQGPQPGLNQSTSAPTTSSISA -TTTSQVESQTTGNLTNTVSQTMKSLYVPPLVKSLKTEAKAKQMMRYTPPQALISSSAASIRQFNDWANTA -AEGYGKTIQQFTDEILPFWIYWCVVNGATEENKTKPKWTKAVLNLDGADGTEIAVDENGPQVEFEMGPMY -RNAKPGIRAIMRHFGELAYKWVQFSVRSGKPIIPHNALKAGLTTPEFYPCCIDFVMVNILSPAEIDVRNQ -VINARTPRMGKPLFRHALRAGGDEDTDLRREDDANYGRTQIGGAHFGRAQH ->ALN38790.1 polyprotein [Squash vein yellowing virus] -MAQVYNFRATTKFEKYLVRRHGMRAFQQYRDCVPPSQARSKFASFNVPEGVLYAYVAAYATEEDVRDVIK -LTPGALKNYLVEARRSISSNCDMDFCNGKTYCECGAELRPAKRKTCEDCDFVYKNSESELARRIYNISSI -HECSVAELEYFSRNTLVDMIKEADELTAREKLAAGLTSKQEEELPNISEISAKPTVVVSEPISASDEPRG -ETEVRINTEKEIPTINIGEITVPLLPVSEIPIFRKEGAKLQSVGFSIESEEKEIEELQTERAVVVALEVG -NQIAKVCKMDSIKYESGRLNLMKANFRHRLHALEMRREIREKVEKDKAIFKDLGLRLNLRERRKNQVIKK -DSRGTLKWKRRAILSKKKVEIPESVITKIDNDYQEACTEKYTLIPGVKCATSKKMPRKKVLEQVVKGSVD -ELILQTIQLCKQDCKTLEVIGKRGIKINCGLSSIVELNHMKGKMTRRDIPHDEFIDSFFSKFIKKLARAR -TEWKIGRGDSGSIIQIGGKFGIVRGRLDGYLVDAREFLSLRELFDIEEYSSAGMRCVKDQGSTDDFSSFN -DDSRTQLWVKGNSIFAKDEMAAENFLSKTTWGGIFRNQSGSYKNPAIMLRRAARYGLAFDCALEAYECPM -CGMQCTYLESFYFDCDFCEYTYKVQPSDDGLPATVPIEPIDYMVSSSIKELMKETWIVGGSEEVIIEKDF -RTPTRVVRTEKFGLRSQNFKFNLLEDTSNQWLINAMIAVDNELNMFDVHTVQSRAFPTILLKHMFVRDFD -DDMSQEMRGLLMRDNASDVFSTYAETTVDAITYGWSGIVITKKSIKREELDKVDWVNNLCVIQGRRRSDG -RIENALVLKTREELEEIDLYSFDLKWAKSKEAFIDHFAENPEQLIKTCCTPSALWLYAKKAQFYKYVDYL -VLKNSSLVDLAVKCEYVGKHLGMIETVEDACIEFVHFMEESIMTSGLQHSEELSRIRALIKTHFSSVRES -SRYELIDRVVEKKTHLCAEEVIMRELIRHTYTDLFSLRERLLMRYGSKPARLRDFQRKREEACSDMCSLF -SSLMSRAGFETLQNWACGVVKINSKRIHDATDSVIRFTFKRCCALIKACAYSWWDSNINRIFTAFISLII -LTFVSKIYAVVRSMFKYEKEKTQKLEGGQIELQGKKEEAFVLKWCAFLTLLMSFFNFDWALASTTAIGKL -KTLYGVLGSEIVEMQSGDEDSFKFVNSEVEVPGDGKSADIQTFKEWFEHCMKYNLTTPEPTTSGPLLTLK -RGAARDLAEAIRTHEKTDMRVFGGVGSGKSTHLPSELMKFGAVLICVPTRVLANALHESFMALFGYDVSL -AYRGRVRTGTQPITIMTYGYALNHFHYNPNALRSFEYIVMDEIHTFPTEMGPLFSLIRELSPHKKIIKTS -ATHVGHHVELTTNFKVDIETLPLLSPKQWAEMQGTGVHGDATVKGNVILVFVGCYNQVDECSEALRLKGF -PVLKVDGRNFRKNTEVQKMIDELEGEHKFIVATNIIENGVTFDVDTVVDFGERVSPVLDSAGRSIILQKK -RISMAERQQRFGRVGRMKPGVAYKFGNAQLPDSMKSTVGATESALISFAYGVKPVVDDVDVHCIANVTKK -QALAASMFDLNRIFTVHHIDKHGFIPRPVFEQVKKFVLKTDAIAVCENYMAAHISEWQPLHSYIRRNSDN -DHVSAVRIPWFCSDMSQDFIIKIAEAVQMAKPKFSCSYAIDNVDFHVVAHKISVGEHNIEEAKSLVTEIL -NNVKRWRDNLVYRMSTPRNNSLMALMVGWIPKKIEKTRELLDTRIRRLEMLLVQLDNVSVTSDYDALMRF -FSDNPHSAEYLESQSKVEYLEEKVLQINQRKVDWRVIGGLLLITTTVAGALYWYLRRKKAEEQIELQGRS -NLKFKRDKRTARFVFDGDDQDMVETFGVEYSDDVIQKKMTKRQKQRAASNSGWKIGKVDRVKRVFHQLYG -VNPLEFDKVYMTVGDFIGSEWSTNEKWTVEDLIVDMDDEFGVGRRGDLETEVIQIHFKRDNSNEEKVVTL -TPHKSKMASCMSLNPMGFPEEEGRWRQTGQPVDCVRVEKEKSSGQIELQVGFPTTAAPYAHLFHRLGRAN -YNGLALNTVFHGNKCIIPYHLGKNGSSDKHVIITTPRGQFDFGPFSNLRCKKLGDFDIVIINNPRDLQPF -KESSIFRVPKMDEDVVPIALRGEKGKLIARVGEASKTYRAGAEYSHLWVYFYPANAGDCGSAIVARADNK -IVGFHSGIVKDDKGAYLRSVYTPVSDLLLQALKEKCDDDFWTFDHRNISWNALVKSSTLFPITKEIQEIE -VQGGVGEKYIGDNLMIVGEVQKQVYHNHVIKGKRASFEEFCERNIDCVFNKGNLKERYGPSILSTGAFYK -DFLKYDEPIAVGLINFPCLISAYLNVENKLIDLGFEGNCGPEWDPYAIYQDLNKKAAMGALYAGKKQEWL -DSIQPQEFIECVKHSYQMLGLGAVGVWSGSLKAELRSREKIAEGKTRVFTGAPIDVLLAGKVLVDNFNNH -FYSQHLKGPWSVGINKFNRGWDRLANTFDHSWKFIDCDGSRFDSSLSPVLFQLICHLRERFGKFDKGETR -ALRNLYSQIVYTPILTIDGYIVKKHKGNNSGQPSTVVDNTLILMIVVEYCRSVIKSGTGIEMQFKYMCNG -DDLIINAPQDEILVIQERFAKLFKDCGLNYNFNDVHDSIEDVEYMSHRFMKKDGIYIPKLCRERIVAILE -WERSDELFRTRSALNAAFIESFGYSDLQDDIKKFANFWANKHGIQYPLLSDERVEKLYMDENFTLGDLDM -EALMPDIFTFGEIELQAFDLAAKEAEIQKLRDEWDANKPSVTLSPFAARKIQNPLAEKVKELLKEIEDAG -EKTKKRPCGEPNEGEEESDGEDGTKDKGKSVATPEKKPILKGGGSSKPIMKRDDVDNIPTNALEFKKDFK -PARVSRTGFTWIPRSQRDNLTPEVVKNFLAYVPPSQAIDNQMASGSEVESWAIRTAEAYGITIQSFYETI -LPAWIVNCIINGTSEERKSESTWRAVELNNKGEDVDDMEYPMEPIFKHALPTMRKIMRNFSDQAILMYQN -SVQQGKAFNVKAARNAGYTRVEDLWLGIDFMAESQLSRHQLNIKHQILAANVGRADKRLFALSAPGEEGR -VNTERHTTNDVSADRHSYSGAALT ->ANI24978.1 polyprotein [Ugandan cassava brown streak virus] -MSTIQLFKTIRFGSFEPVKLDEGNNVIEKIPVGLLAGNDGSGPEEQSEQKHHRKESGESWRKVTDLYSVI -GNSVYCRSYVAMKNFLNDTKWGGLFKNKKGQELKAAARLRRATSYGFMYDPVACAFECPVCRTKATALEA -FTNDCDHCFEVKHIDDDRIVQTETKFYPINPIELDVEDNLVEVASLEWLKGDVEEKVVDRVLLLEDKEIR -VTKKALVKRRAETKLVANIADLTKKLTEICCESGIPIIDIDNSKRKAIPMVKLKHIFGKIECDDMFEEDR -YFLEHSNAEKVFRSCEKIAYRMIRPGWSGAIILRDNVQREDHDKFDFINDICVVQGKNLISNKIENAMRI -KTETEMDLIDLYSFNLSWAKSKDKFIKHFESDITQLIRSCCTPSTLWLYARKARFYKFVDYMILKGSPVI -DILVKMEYVGKHLDMFNSVEDVCTEYSHFMKELISETVNDKSDPDVLRVRNLIRAHFESVLEYNKYELID -RIIDKKTQLEAQEIMSRELIKHQYGELFSWRERLYLKLGIGSSNLYTYWVEREEKQSEKSSAISCVISKP -GIEMLINWISEVCKNKYHSLVNCVDSGILFLWSRIVHLARVSVYGYWNLWFRQAICVLFIFLVSNFSGKI -VSYLKKLIVSEKRLAIKNEEGFVEVQGRKEESFVLKWCAAATLFLSFLNYDWAVGCVSAIGKMKTIFSAL -GPDFIEKQDGDDDLRFTTFEVEIPGDSRSSSAQTFGEWIEHCIKFNLVSIEPTTSGPMLTLERGKANELA -DQINCLNATDIRVHGGVGTGKSTALPYELIRYGAVLVCVPTRVLANALHESFMSLFGFDVSLAYRGRVRT -GSKPITIMTYGYALNHFHHNPRNLAQFQFIIMDEVHTFPVHLNPLFSLLRELSPDKKIIKTSATHVGHNV -DLSTNHKVDIHTLEIMDVKKWAELQGTSVFGDVTKEPGNVLVFVASYRDVDVCAEKLKDKGFSVIKVDGR -NFRKNTEVQKMVDGMQGEVKFIVATNIIENGVTLDVDVVVDFGERISPNLCSEDRCILMQRQRISQAERK -QRFGRVGRMKRGSVYKFGRETLPDSMRNRVGSTESALICFAYGLKPVVDDVDIGSVRSVTQRQALTASMF -EANYIFTAHLVDKQGFMPRPVFELMKSLLLHTDAVGVSSTYLATNMSGWRRLKEYIRIDDNSRHVQEVQI -PWYCSDMSDDFIVKLAECVKAAKPKSQCGYKVDNVDFHTVAHKISIGESNIDESRALVATILDEVKQWRD -GITYHSSTPRNKSLMSLMVGWIPRKAEKTKEILDNRIQRLELLLNQLNGVRGIDDYESLVRFFSENPHSA -EYLESQCASDYIEEKVMSVKRNYDKSLILGMVGLAVATGTFAYWYMRRSAAVELVEKQAKHKYNRDKRTG -RLMFDMDDEETYESFGPEYTDDVISAKMTKAQKERDAKKKGWKAGKINRPMRVFHQLYGVNPLEFDEVVM -RVGKLETEPWDVKELNVDAMMIELDDDYHILRDDRMFGKKVSLAFKKEGANEETIVNLTPHRSKMTSSMS -LAPMGFPEEEGRWRQTGAPLVKKIEKEDEVEVQVAKPESTNPYDHILVRLGRAHLGTRVLNCFFHGSKCV -IPYHLAEKGDREESLVIATTRGQFDFGPMKNIKCRKITDYDVTICPLPNDVQPFRSKIVMREPKLGEEVV -IVCFTRINGKIVMKVSDKSTTYPAGGQFAHLWAYKYDGQPGDCGGPIVATVDQKVVGFHSGVIRNSRDEK -LRAVYTPVNQELLNCISGDIQMTDFWTFNPDLVEWNSVARVSTFFPMTKAINTITVQANEGEELIDGNLM -IVGYVNREVYHNHVIKGKRESFMRYCEQFPKCAFTKELRDQYLPSILSKPAFRKGLLKYNEPVRVGSVNF -PCLIRAYLKVEEMFENLGFLKEAGPQWDPIEILEDLNKKAAMGALYQGKKQDWLKSIEPADFVTAVRESF -KHLAGGDVGIWSGSLKAELRPVEKVLEQKTRVFTGAPIDLLLGGKILVDNFNHFFYFNHLKGPWTVGINK -FNKGWDRLARYFNHSWNFIDCDGSRFDTSLAPILFQLVCHMREKFGNFDDIERAALRNLYTQIVYTPILT -IDGYITKKHRGNNSGQPSTVVDNTIILMIVVEYCKAVMESEGRTMQFKYMCNGDDLILNVPDDEVSTIQG -RFRDLFSECGLDYNFDDVHKSIETIEYMSHSFMLKDDVYIPKLKKERIVAILEWERGDEIMRTRSALNAA -YIESYGYDDLMTEIERYAVFWAAEKGCEYPLLDRKRVEGLYKDDHTDINEEWLIGILPPSFEHCYVDTQT -KDLRRGEKLELGTESHDGVPQMQMKFPVTFVTGNLGKLAEVKSILGIANDVVAKNIDLPEVQGTPDEIVR -KKAQFAVKMTNSPVLVEDTCLCFNAFNGLPGPYIKWFLKELGLEGVVRMLSAFGDKSAYALCTFAYAHNE -LSDPIVFKGVVNGEIVSPRGSNGFGWDPIFKPDECSCTFAEMPSSIKNDFSHRRRALEKVKLFLDNLVVK -QEEKKTRVALTIDVQALNQEEIEAEITALKKLWKDNGPTRTRSPFEARRLRAPQVERVNELLQKLRDEGL -QTKKRPCGEPDDGEVVDDDSDDGNNQRFGKEVVDESQDNQKVDPRKPKFKIRGDGSTVRRDDIDKIPTNA -LEIKKTFKPPKVSQSAYIWIPRSQRDNLTPDVIQNFLAYIPPSHAIDNQLASGIEVENWAIEVAKAYGVN -IQEFYRTVLPAWIVNCIVNGTSDERKNEKSWRAVELNSQGEDVDDFEYPMEPMYKFALPTMRKVMRNFSS -QAILMYQNSVAAGKAFVIKTARNAGYTSIENKWLGIDFLAEAQLSQSQLDIKHQILAANVGRTKTKLFAL -AAPGDDNNVDRERHTTHDVSANRHSYSGAAIE diff --git a/seq/clusters_seq/cluster_176 b/seq/clusters_seq/cluster_176 deleted file mode 100644 index b3df9c5..0000000 --- a/seq/clusters_seq/cluster_176 +++ /dev/null @@ -1,146 +0,0 @@ ->YP_010086772.1 matrix protein [Taiwan bat lyssavirus] -MNIIRKIVKSCKEEEEQKPSPVSAPPDDDDLWLPPPEYVPLSEISGKKNMRNFCINGEVKVCSPNGYSFR -IIRHILKSFEGVYSGNRRMIGLVKVVIGLALSGAPLPEGMNWVYKIRRTLVFQWADSSGPLDGEELEYSQ -EITWDDDSEFVGLQIRVSARQCHIQGRIWCINMNSRACQLWSDMSLKTQQSDEDKNTSLLLE - ->YP_009325516.1 matrix protein [Gannoruwa bat lyssavirus] -MNFLRKIVKNCRDDDDQKPPLVSAPPDDDDLWLPPPEYVPLTEVTGKKNMRNFCINGEVKICSPNGYSFR -VIRHILRSFDEIYSGNHRMIGLVKVVIGLALSGAPVPEGMNWVYKLRRTLIFQWAESRGPLDGEELEYSQ -EITWDDDTEFIGLQIRVSARQCHIQGRIWCINMNSRACQLWSDMSLKTQQSEEDRNTSLLLE - ->YP_009325414.1 matrix protein [Lleida bat lyssavirus] -MNFLRRIVRNCRDESPPKENIASAPPEDDDIWMPPPEYVPLGDVTGGSSSRNFCINGEVKICSPNGYSFK -IIRHILKSFEGVYSGNRRMIGLVKVVIGLALSGSPVPEGMNWAYRFRRTLVFQWADSAGPLEGEELEYSQ -EITWEDDNEFVGLQIRVSAKQCHIMGRSWCININSRACQFWSDMQLRTKQSDEDENTSVLTE - ->YP_009094486.1 matrix protein [Lyssavirus Ozernoe] -MNIIRKIVKSCKDEEEHKPNPVSAPPDDDDLWLPPPEYVPLAEITGKKNMRNFCINGEVKVCSPNGYSFR -ILRHILKSFEGVYSGNRRMIGLVKVVIGLALSGAPVPEGMNWVYKIRRTLVFQWAESAGPLDGEELEYSQ -EITWDDDSEFVGLQIRVSARQCHIQGRLWCINMNSRACQLWADMSLKTQQSNEDKNTSLLLE - ->YP_009094329.1 matrix protein [Khujand lyssavirus] -MNFLRKIVKSCKDEEDQKPALVSAPPDDDDLWLPPPESVPLTEISGKKNMRNFCINGEVKICSPNGYSFK -ILRHILKSFDGIYSGNRRMIGLVKVVIGLALSGAPVPEGMNWVYKIRRTLVFQWAESRGPLDGEELEYSQ -EITWDDDSEFIGLQIRVSARQCHIQGRVWCINMNSRACQLWSDMSLKTQQSDEDKNTSLLLE - ->YP_009094270.1 matrix protein [West Caucasian bat lyssavirus] -MNFLRKMMKTCRDDESSKPLDPSAPPDDDDLWLPPPEYVPLHEISSKGNTRNFCISGEVKICSPNGYSFK -IIRHILRSFESVYSGNRRMIGLVKVVIGLTLSGSPVPEGMNWVYKLRKTLVFQWSNSSGPLEGEELEYSQ -EITWDDDSEYVGLQIRVNAKQCHIAGRSWCVNMNSRACQLWSDMTLKTQQSEEDEHTSVLIE - ->YP_009094181.1 matrix protein [Shimoni bat lyssavirus] -MNFLRRIVKNCKDEDAPKLGTPSAPPDDDDLWLPPPEYMPLAQIKGKESVRNFCINGEVKICSPNGYSFR -IIRHILKSFDNVYSGNRRMIGLAKVVIGLALSGSPVPEGMNWVYKLRRTLIFQWAESQGPLEGEELEYSQ -EITWDDESEFVGLQIRISAKQCHIQGRLWCINMNSRACQLWADMALKTQQSKDDENTSLLLE - ->YP_009091811.1 matrix protein [Bokeloh bat lyssavirus] -MNFFRKIVKSCKDEEDQKPALMSAPPDDDDLWLPPPEYVPLTEITAKKNMRNFCVNGEIKICSPNGYSFR -ILRHILRSFDGVYSGNRRMIGLVKVVIGLALSGAPVPEGMNWVYKIRRTLVFQWAESHGPLDGEELEYSQ -EITWDDDSEFIGLQIRISARQCHIQGRIWCINMNSRACQLWSDMSLKTQQADEDRNTSLLLE - ->YP_007641404.1 matrix protein [Duvenhage lyssavirus] -MNIIRKIVKSCKEEEEQKPSPVSAPPDDDDLWLPPPEYVPLSEIANKKNMRNFCVNGEIKVCSPNGYSFR -ILRHILRSFEGVYSGNRRMIGLVKVVIGLALSGAPVPDGMNWVYKIRRTLIFQWADSSGPLDGEELEYPQ -EITWDDDSEFVGLQIRVSARQCHIQGRIWCINMNSRACQLWSDMSLKTQQSDEDKNTSLLLE - ->YP_007641394.1 matrix protein [Aravan lyssavirus] -MNILRKIVKSCKDEEDQKPALVSAPPDDDDLWLPPPEYVPLTEITGKKNMRNFCVNGEIKICSPNGYSFR -ILRHILKSFDGVYSGNRRMIGLVKVVIGLALSGAPVPEGMNWVYKIRRTLVFQWAESRGPLDGEELEYSQ -EITWDDDSEFIGLQIRVSARQCHIQGRVWCINMNSRACQLWSDMSLKTQQSDEDKNTSLLLE - ->YP_007641389.1 matrix protein [Lagos bat lyssavirus] -MNFLKKIVKTCKDEETPKYGAASAPPDDDDLWMPPPEYVPLTQVKGKASVRNFCINGEIKTCSPNGYSFR -ILRHILKSFDNVYSGNRRMIGLVKIVIGLVLSGSPIPEGMNWVYKLRRTLIFQWAESHGPLEGEELEYSQ -EITWDDEAEFVGLQIRVSARQCHIQGRLWCINMNSRACQLWADMALKTQQSQEDENTSLLLE - ->YP_006742182.1 matrix protein [Ikoma lyssavirus] -MEFFRKLMRNCKDDSSSKEATAPVLPEDDDIWIPPPEYVPLSDITGSSSSRNFCINGEVKICSPNGYSFK -IVRHILRSFEGVYSGNRRMIGLVKIVIGLTLSGSPIPEGMNWAYRFRRTLVFQWKDSAGPLEGEELDYSQ -EITWEDDNEFVGLQIRVSAKQCHITGRSWCININSRACQFWADMQLKTKQSEEDGNTSVLLE - ->YP_001285395.1 matrix protein [European bat 2 lyssavirus] -MNFLRKIVRGCRDEEDQKPALVSAPPDDDDLWLPPPEYVPLTEITGRKNMRNFCVNGEVKVCSPNGYSFK -ILRHILRSFDGVYSGNQRMRGLVKVVIGLALSGGPIPEGMNWVYKVRRTLVFQWAESRGPLDGEELEYSQ -EITWDDDSEFVGSQIRVSARQCHIQGRIWCINMNSRACQLWSDMALKTQQSDEDRNTSLLLE - ->YP_001285390.1 matrix protein [European bat 1 lyssavirus] -MKIIRKIVKSCKDDEMQKPNPVSAPPDDDDLWLPPPEYVPLSEMTGKKNMRNFCINGEVKVCSPNGYSFR -IIRHILSSFEGVYSGNRRMIGLVKVVIGLALSGAPVPDGMNWVYKIRRTLIFQWAESSGPLDGEELEYSQ -EITWDDDSEFVGLQMRVSARQCHIQGRLWCINMNSRACQLWSDMSLKTQQSDEDKNTSLLLE - ->YP_142352.1 M2 protein [Mokola lyssavirus] -MNFLKKMIKSCKDEETQKYPSASAPPDDDDIWMPPPEYVPLTQVKGKASVRNFCISGEVKICSPNGYSFK -ILRHILKSFDNVYSGNRRMIGLVKVVIGLVLSGSPVPEGMNWVYKLRRTLIFQWAESHGPLEGEELEYSQ -EITWDDEAEFVGLQIRVSARQCHIQGRLWCINMNSRACQLWADMILQTQQSPDDENTSLLLE - ->NP_478341.1 matrix protein [Australian bat lyssavirus] -MRKTVNTTDKMNFLRKIVRNCKDEDDQKPPLASTLPNDDDLWLPPPEYVPLTEITGKKNMRNLCINGEVK -VCSPNGYSFRILRHILESLDEIYSGNHRMIGLVKVVMDLTLSGAPCPEGMNWVYKLRRTLIFQWAESRGP -LDGEELEYSQEITWDDDSEFVGLQIRVSARQCHIQGRIWCINMNSRACQLWSDMSLKTQQSEDHKNSSLL -LE - ->NP_056795.1 M2 protein [Rabies lyssavirus] -MNFLRKIVKNCRDEDTQKPSPVSAPLDDDDLWLPPPEYVPLKELTSKKNRRNFCINGGVKVCSPNGYSFG -ILRHILRSFDEIYSGNHRMVGLVKVVIGLALSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEYSQ -EITWDDNTEFVGLQIRVSAKQCHIRGRIWCINMNSRAGQLWSDMSLQTQRSEEDKDSSLLLE - ->sp|P0DOF2.1|MATRX_RABVE RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNFLRKIVKNCRDEDTQKPSPVSAPLDDDDLWLPPPEYVPLKELTSKKNMRNFCINGGVKVCSPNGYSFR -ILRHILKSFDEIYSGNHRMIGLVKVVIGLALSGSPVPEGMNWVYKLRRTFIFQWADSRGPLEGEELEYSQ -EITWDDDTEFVGLQIRVIAKQCHIQGRIWCINMNPRACQLWSDMSLQTQRSEEDKDSSLLLE - ->sp|Q8JTH1.2|MATRX_ABLVH RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNFLRKIVRNCKDEDDQKPPLVSAPPDDDDLWLPPPEYVPLTEITGKRNMRNFCINGEVKVCSPNGYSFR -ILRHILKSFDEIYSGNHRMIGLVKVVIGLALSGAPVPEGMNWVYKLRRTLIFQWAESRGPLDGEELEYSQ -EITWDDDSEFIGLQIRVSARQCHIQGRIWCINMNSRACQLWSDMSLKTQQSEEDKNSSLLLE - ->sp|P15200.2|MATRX_RABVA RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNVLRKIVKKCRDEDTQKPSPVSAPPDDDDLWLPPPEYVPLKELTSKKNMRNFCVNGDVKACSPNGYSFR -ILRHILRSFNEIYSGNHRMIGLVKVVVGLALSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEYSQ -EITWDDDTEFVGLQIRVSARQCHIQGRIWCINTNSRACQLWSDMSLQTQRSEEDKDSSLLLE - ->sp|A3RM21.1|MATRX_RABVI RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNFLRKIVKNCRDEDTQKPSLVSAPPDDDDLWLPPPEYVPLKELTGKKNMRNFCINGEVKVCSPNGYSFR -ILRHILRSFDEIYSGNHRMIGLVKVVIGLALSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEYSQ -EITWDDDTEFIGLQIRVSARQCHIQGRIWRINMNSRACQLWSDMSLQTQRSEEDKDSSLLLE - ->sp|Q0GBX7.1|MATRX_RABVD RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNFLRKIVKKRKDEDTQKPSPVSAPPDDDDLWLPPPEYVPLKEFTSKKNMRNFCINGEVKVCSPNGYSFR -ILRHILRSFDEIYSGNHRMVGLVKVVIGLTLSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEHSQ -EITWDDDTEFVGLQIRVSARQCHIQGRIWCINTSSRACQLWSDMSLKTQMSEEDKDSSLLLE - ->sp|Q0GBY2.1|MATRX_RABVR RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNVLRKIVKKCRDEDTQKPSPVSAPPYDDDLWLPPPEYVPLKELTSKKNMRNFCVNGEVKACSPNGYSFR -ILRHILRSFNEIYSGNHRMVGLVKVVVGLALSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEYSQ -EITWDDDTEFVGLQIRVSARQCHIQGRIWCINTNSRACQLWSDMSLQTQRSEEDKDSSLLLE - ->sp|Q8B6J7.1|MATRX_RABVH RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNFLCKIVKNCRDEDTQKPSPASAPPDGDDLWLPPPEYVPLKELTSKKNMRNFCINGEVKVCSPNGYSFR -ILRHILRSFDEIYSGNHRMIGLVKVVVGLALSGAPAPEGMNWVYKLRRTLIFQWADSRGPLEGEELEHSQ -EITWDDDTEFVGLQMRVSARQCHIQGRIWCIDMNSRACQLWSDMSLQTQRSEEDKDSSLLLE - ->sp|Q6JAM6.1|MATRX_LBV RecName: Full=Matrix protein -MNFLRKIVKNCKDEEIPKPGTPSAPPDDDDLWMPPPEYVPLTQIKGKENVRNFCINGEIKICSPNGYSFR -ILRHILKSFDNVYSGNRRLIGVVKVVIGLVLSASPVPEGMNWVYKLRRTLIFQWAESHGPLEGEELEYSQ -EITWDDEAEFVSLQIRVSAKQCHIQGRLWCINMNSKACQLWADMGLKTQQSQEDENTSLLLE - ->sp|Q66T63.1|MATRX_RABVB RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNFLRKIVKTCRDEDTQKPPLVSAPPDDDDLWLPPPEYIPLKEITGKKNMRNFCVNGEVKVCGPNGYSFR -TLRHILRSFDEIYSGNQRMIGLVKVVVGLALSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEYSQ -EITWDDDTEFVGLEIRVSARQCHIQGRIWCINMNSRACQFWSDMSLQTQRSEEDKDSSVLLE - ->sp|P16287.1|MATRX_RABVS RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNLLRKIVKNRRDEDTQKSSPASAPLDDDDLWLPPPEYVPLKELTGKKNMRNFCINGRVKVCSPNGYSFR -ILRHILKSFDEIYSGNHRMIGLVKVVIGLALSGSPVPEGLNWVYKLRRTFIFQWADSRGPLEGEELEYSQ -EITWDDDTEFVGLQIRVIAKQCHIQGRVWCINMNPRACQLWSDMSLQTQRSEEDKDSSLLLE - ->sp|P25224.1|MATRX_RABVN RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNILRKIVKNRKDEDTQKPSPASAPPDDDDLWLPPPEYVPLKEFTSKKNMRNFCINGEVKVCSPNGYSFR -ILRHILRSFDEIYSGNHRMIGLVKVVIGLALSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEHSQ -EITWDDDTEFVGLQIRVIARQCHIQGRIWCINTNSRTCQLWSDMSLKTQMSEEDKDSSLLLE - ->sp|P25223.1|MATRX_RABVC RecName: Full=Matrix protein; AltName: Full=Phosphoprotein M2 -MNVLRKIVKKCRDEDTQKPSPVSAPPYDDDLWLPPPEYVPLKELTSKKNMRNFCVNGEVKACSPNGYSFR -ILRHILGSFNEIYSGNHRMIGLVKVVVGLALSGAPVPEGMNWVYKLRRTLIFQWADSRGPLEGEELEYSQ -EITWDDDTEFVGLQIRVGARQCHIQGRIWCINSNSRACQLWSDMSLQTQRSEEDKDSSLLLE - diff --git a/seq/clusters_seq/cluster_177 b/seq/clusters_seq/cluster_177 deleted file mode 100644 index 638de7f..0000000 --- a/seq/clusters_seq/cluster_177 +++ /dev/null @@ -1,203 +0,0 @@ ->YP_010086771.1 phosphoprotein [Taiwan bat lyssavirus] -MSKIFVNPSAIRSGLADLEMAEETVGLINQNIEDNQAHLQGVPIDVDTLPEDVQRMHITEPRQKQSTKQT -SPLDDEEEEDFYMTDSNDYYAPLQSYLESVGTQIVKRMKAGEGFSRAWSRATDEIISYVSTNFPISTSKS -SEDKYTQTIAEQTKPVPPPPAPNSRKEPRQKSNEDFRESSGPQGLDWSASNDEDDVSIEAEIAHQIAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDDIVKEVKEIPGVLRLAKDGLKLPLRCMLGSVASNHSKRFQILVN -APKLGKLMQDDINKCLGH - ->YP_009325515.1 phosphoprotein [Gannoruwa bat lyssavirus] -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDNLPEDLGRLHINDDKSSGQADCA -TKRETRVEDDFQMEEIEDPSIPFQSYLDNVGIQIVRQMRTGERFFKIWSQTVEEIISYVMVNFSASPGRS -TEDKSTQTTPKKPKPSGVSSPSRKEDQVSKTKMAAQTASGPPALEWSSTNEEDDTSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVKEAKKVPGVTRMAHDGSKLPLRCILGWVALSHSKRFQLLVGP -DKLNKIMQDDLNRYVAC - ->YP_009325413.1 phosphoprotein [Lleida bat lyssavirus] -MSKNLIRPSDIRAGLQDIEMAEDTVNLVYQNLISDQAHLKGDPIDVKSLPEQFQGITLRDNDDVEQEDEG -EDSMEDEPDFDEIDPLEELQDFLDDLGSQMLARLKRGDKIKKIWPGVSLSIQNFVKTKFMFQIPEASSED -KATQTEMHLESSTKKLSVEVPKETPRITPKETKKSDIASVEGYQATDEEAEAEVAHQIGESFAKKYKFPS -RSAGIFLWNFEQLKMNLDDIVQTAMELPGVADCAKDGKRLPLRGVLGYVGLKHSKKFQLLVNHDKMGQLI -QKDLDTYTP - ->YP_001285394.2 phosphoprotein [European bat 2 lyssavirus] -MSKIFVNPSAIRAGLADLEMAEETVDLVNKNIEDNQAHLQGEPIEVDALPEDMSKLQISERRPAQFTDNT -GGKEEGSDEDFYMAESEDPYIPLQSYLEGVGIQLVRQMKTGERFFKIWSQAVEEIISYVTVHFPMPLGKS -TEDKSTQTPEEKFKPSPQQAVTKKESQSSKIKTISQESSGPPALEWSTTNDEENASVEAEIAHQIAESFS -KKYKFPSRSSGIFLFNFEQLKMNLDDIVKEAKKIPGVVRLAQDGFRLPLRCILGGVGSVNSKKFQLLVNS -DKLGKIMQDDLNRYLAY - ->YP_009094485.1 phosphoprotein [Lyssavirus Ozernoe] -MSKIFVNPSAIRAGLADLEMAEETIDLINRTIEDNQAHLQGVPIEVDALPEDMKKLQISDHQHGQPSGGA -TGQDGSEEEDFYMTESENPYIPFQSYLDAVGIQLVRKMKTGEGFLKIWSKAAEEIVSYVAINFPLPADKN -SAEKSTQTVAEPPKSNTASTTPSKRSKPTISTDLKAQESSGPHGIDWAASNDEDDASVEAEIAHQIAESF -SKKYKFPSRSSGIFLWNFEQLKLNLDDIVSGAKEIPGVIRMAKEGNKLPLRCILGGVALTHSKRFQVLVN -SEKLGRIMQEDLNKYLAN - ->YP_009094328.1 phosphoprotein [Khujand lyssavirus] -MSKIFVNPSAIRAGLADLEMAEETVDLINRNVEDNQAHLQGEPIEVEALPEDMRRLHISEQKHSQLSDSA -CGKEEGSDDDFYMADSEDPYVPMQSYLDNVGIQIVKKMKTGERFFKIWSQAVEEIISYVTVNFPLPSGKS -TDDKSTQTVSERSRQNPQPSSVKKEDQLSKTKVVSQEASGPPALEWSATNDEDDASVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKTNLDDIVREAKRIPGVMRLAQDGLRLPLRCILGWVASTHSKRFQILVDS -DKLSKIMQDDINRYLAY - ->YP_009094269.1 phosphoprotein [West Caucasian bat lyssavirus] -MSKSLIHPSDLRAGLADIEMADETVDLVYKNLSEGQAHLQGEPFDIKDLPEGVSKLQISDNVRSDTSPNE -YSDEDDEEGEDEYEEVYDPVSAFQDFLDETGSYLISKLKKGEKIKKTWSEVSRVIYSYVMSNFPPRPPKP -TTKDIAVQADLKKPNEIQKISEHKSKSEPSPREPVVEMHKHATLENPEDDEGALESEIAHQVAESYSKKY -KFPSKSSGIFLWNFEQLKMNLDDIVQVARGVPGISQIVERGGKLPLRCMLGYVGLETSKRFRSLVNQDKL -CKLMQEDLNAYSVSSNN - ->YP_009094180.1 phosphoprotein [Shimoni bat lyssavirus] -MSKGLIHPSSIRSGLVDLEMAEETVDLIHKNLTDGQAHLQGEPLDVDSLPEDMRRMRLIDMSRQKDIRIG -DEGESSSEDEFYLPSGKDPMVPLQDFLDEIGAQVVKRMKSGDGFFKIWSTVTEDIKGYISSNFTAAEPRS -SDNKSVQTEPAQIQKGLPEPPSHEEKAKETQESSKNRQESKPAPSSDWDNNQEEVDDIEGEVAHQVAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDDIVKAALNIPGIDKIAEKGGKLPLRCILGYVSLSASKRFCLLAD -NDKTARLMQDDINNYIAKIEEIDKS - ->YP_009091810.1 phosphoprotein [Bokeloh bat lyssavirus] -MSKIFVNPSAIRAGLADLEMAEETVDLINRNLEDNQAHLQGEPIEVDALPEDMRRLQISEHAPCHPSEGA -CKKEEGSDDDFYMAESEDPYVPLQSYLDSVGIQIVRQMKTGERFFKIWSQTVEEIISYVTVNFPLPSGRP -TEDKSTQTVGEKPRSNVQQGSAKKEDHLSKAKMTSQEASGPPALEWSTTNDEDDASIEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVGEAKKIPGVTRLAQDGLKLPLRCILGGVASTHSKKFQLLVGS -DKLGKIMQDDLNRYLAY - ->YP_007641403.1 phosphoprotein [Duvenhage lyssavirus] -MSKIFINPSDIRSGLADLEMAEETVELVNRNMEDSQAHLQGVPIDVETLPEDIQRLHITDPQASLRQDMV -DEQKHQEDEDFYLTGRENPLSPFQTHLDAIGLRIVRKMKTGEGFFKIWSQAVEDIVSYVALNFSIPVNKL -FEDKSTQTVTEKSQQASASSAPNRHEKSSQNARVNSKDASGPAALDWTASNEADDESVEAEIAHQIAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDEIVREVKEIPGVIKMAKDGMKLPLRCMLGGVASTHSRRFQILVN -PEKLGKVMQEDLDKYLTY - ->YP_007641398.1 phosphoprotein [Irkut lyssavirus] -MSKIFVNPSAIRAGLADLEMAEETIDLINRTIEDNQAHLQGVPIEVEALPEDMKKLQISDHQQGQPSGGA -TGQDGSEEEDFYMTESENPYIPFQSYLDAVGIQLVRKMKTGEGFLKIWSQAAEEIVSYVAINFPLPADKE -SAEKSTQTVGEPLKSNSASNTPNKRSKPSTSTDLKAQEASGPHGIDWAASNDEDDASVEAEIAHQIAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDDIVGGAKEIPGVIRMAKEGNKLPLRCILGGVALTHSKRFQVLVN -SEKLGRIMQEDLNKYLAN - ->YP_007641393.1 phosphoprotein [Aravan lyssavirus] -MSKIFVNPSAIRAGLADLEMAEETVDLVNKNVEESQAHLQAEPIEVDALPEDMKRLQISEPKPCQLPDGT -CMKEEGGDEDFYMAESGDPYIPLQSYLDTMGIQIVRKMKTGERFFKIWSQSVEEIISYVAVNFPVPPGKS -LADKSTQTSVEKSKPASQPTQPKKEDQLSKVNIDSQESSGPPALDWAATNDDDDASVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVREAKGIPGVTRRAGDGVRLPLRCILGWVASTHSRRFQLLVNS -DKLNKVMQDDINRYLAY - ->YP_007641388.1 phosphoprotein [Lagos bat lyssavirus] -MSKDLVHPSLVRAGYVELEMADETADVVNLTIASNQAHLQGEPFYVDSLPEDMNRMRLAETSGKMEVAED -DEDDCSSEDEFYLPKGQDPLVPFQGFLEEVGARIVKRMKSGEGFFKIWSTMTEDIKGYVLTNVMKTSSKT -VENRQTQTDKQLSSNLPQELTPPKREEALNPKKAEERPKPSKAPEVSWTNETEEVNDIEGEVAHQVAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDDIVKASMNIPGVEKIAEKGGKLPLRCILGFVALDSSKRFRLLAD -TDKVARLMQDDINSYMARLEDVDRN - ->YP_006742181.1 phosphoprotein [Ikoma lyssavirus] -MSKNLIRPSDIRAGLQDIEMAEDTVNLVYQNLMSDQAHLREDPIDIKTLPEHFQGISLKGNEGNTADRQE -EEEYEDDTESEDIDPMEELQDFLDDLGSQILSRLKKGDKIKKIWPGASLSIQNFVKTKFLSKISDAVCED -KFSQTDPIMSFCEGSTIPSESLEYEKEVEMRDDESNQCCSELHEDEEVEAEIAHQVGESFAKKYKFPSRS -SGIFLWNFEQLKMNLDDIVKTAQEVPGIIEWAREGKNLPLRGILGYVGLKHSKRFQLLVDQDRMSNLIQK -DLDSYSVKK - ->YP_001285389.1 phosphoprotein [European bat 1 lyssavirus] -MSKIFVNPSALRSGLADLEMAEETVDLVNKNMEDSQAHLQGIPIDVETLPEDIKRLRIADYKQGQQEEDA -SRQEEGEDEDFYMTESENSYVPLQSYLDAVGMQIVRKMKTGDGFFKIWAQAVEDIVSYVATNFPAPVNKL -QADKSTRTTLEKVKQAASSSAPSKREGPSSNMNLDSQESSGPPGLDWAASNDEDDGSIEAEIAHQIAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDDIVREVKGIPGVTRMARDGMKLPLRCMLGSVASNHSKRFQILVN -SAKLGKLMQDDLNRYLAY - ->YP_142351.1 phosphoprotein M1 [Mokola lyssavirus] -MSKDLVHPSLIRAGIVELEMAEETTDLINRTIESNQAHLQGEPLYVDSLPEDMSRLRIEDKSRRTKTEEE -ERDEGSSEEDNYLSEGQDPLIPFQNFLDEIGARAVKRLKTGEGFFRVWSALSDDIKGYVSTNIMTSGERD -TKSIQIQTEPTASVSSGNESRHDSESMHDPNDKKDHTPDHDVVPDIESSTDKGEIRDIEGEVAHQVAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDDIVKAAMNVPGVERIAEKGGKLPLRCILGFVALDSSKRFRLLAD -NDKVARLIQEDINSYMARLEEAE - ->NP_478340.1 phosphoprotein [Australian bat lyssavirus] -MSKIFVNPSAIRAGMADLEMAEETVDLINRNIEDNQAHLQGEPIEVDSLPEEIGKLNINEAKPQSFENNP -IDIDGRMNEDFQMKEVEDPSIQFQSYLDNIGIQIVRKMKTGERFFKIWSQTVEEIISYVGANFPNPSGKT -TESKSTQTTPKKVKPEPPSAPTEKPEQLSRTSMAPETTSGPLALDWSATNDDDDVSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVKEARGVPGIIRLAKEGLRLPLRCILGWVASSHSKKFQLLVGS -EKLSKIMQDDLNRYMSC - ->NP_056794.1 phosphoprotein M1 [Rabies lyssavirus] -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDNLPEDMGRLHLDDGKSPNPGEMA -KVGEGKYREDFQMDEGEDPSLLFQSYLDNVGVQIVRQIRSGERFLKIWSQTVEEIISYVAVNFPNPPGKS -SEDKSTQTTGRELKKETTPTPSQRESQSSKARMAAQTASGPPALEWSATNEEDDLSVEAEIAHQIAESFS -KKYKFPSRSSGILLYNFEQLKMNLDDIVKEAKNVPGVTRLARDGSKLPLRCVLGWVALANSKKFQLLVES -NKLSKIMQDDLNRYTSC - ->sp|A3RM20.1|PHOSP_RABVI RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINKNIEDNQAHLQGEPIEVDNLPEDMRRLHLDDEKPSGLGGMA -KAGEVKYREDFQMDEGEDPNLLFQSYLDNVGVQIVRQMRSGERFLKIWSQTVEEIISYVTVNFPNPPGRS -SEDKSTQTTGRELKKETTSASYQRDSQSSKARMAAQTASGPPALEWSATNEEDDLSVEAEIAHQIAESFS -KKHKFPSRSSGIFLYNFEQLKMNLDDIVKEAKNVPGVTRLAHDGSKLPLRCVLGWVGLANSKKFQLLVEP -DKLNKIMQDDLNRYTSS - ->sp|Q0GBX8.1|PHOSP_RABVD RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINKNIEDNQAHLQGEPIEVDNLPEDMSRLHLDDGKSSDLGKMS -KAGEGKHQEDFQMDEGEDPSLLFQHYLDNVGVQIVRQMRSGERFLKIWSQTVEEIISYVTVNFPNPSGRS -SEDKSTQTTSREPKKETTSTPSQRESQSSKSRTAAQTASGPPSFEWSATNEEDDLSVEAEIAHQIAESFS -KKYKFPSRSSGIFSYNFEQLKMNLDDIVKEAKNVPGVTRLAHDESKLPLRCVLGWVALANSKKFQLLVEA -NKLNKIMQDDLNRYESC - ->sp|Q0GBY3.1|PHOSP_RABVR RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDDLPEDMKRLHLDDEKSSNLGEMV -RVGEGKYREDFQMDEGEDPNLLFQSYLDNVGVQIVRQMRSGERFLKIWSQTVEEIVSYVTVNFPNPPRRS -SENKSTQTTGRELKKETTSAFSQRESQPSKARMVAQVAPGPPALEWSATNEEDDLSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVKEAKNVPGVTRLAHDGSKIPLRCVLGYVALANSKKFQLLVEA -DKLSKIMQDDLNRYTSC - ->sp|Q9IPJ8.1|PHOSP_RABVN RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDSLPEDMSRLHLDDGKLPDLGRMS -KAGEGRHQEDFQMDEGEDPSLLFQSYLDNVGVQIVRQMRSGERFLKIWSQTVEEIISYVTVNFPNPSGRS -SEDKSTQTTSQEPKKETTSTPSQRKSQSLKSRTMAQTASGPPSLEWSATNEEDDLSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVKEAKNVPGVTRLAHDGSKLPLRCVLGWVALANSKKFQLLVEA -NKLNKIMQDDLNRYASC - ->sp|Q8JTH2.1|PHOSP_ABLVH RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGMADLEMAEETVDLINRNIEDNQAHLQGEPIEVDSLPEDIKKLDISEGRSKSLVDNP -QDVECRMSEDFQMDEVEDPNIQFQSYLDNIGIQIVRKMRTGERFFKIWSQTVEEIISYVGVNFPSQSGKT -TENKSTQTTPKKVKTEPSSTPAKRSDQLSKTEMAAKTASGPPALEWSTTNDEDDVSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVKEAKSVPGVTSLARDGLRLPLRCILGWVGSSHSKKFQLLVGS -EKLNKIMQDDLNRYMSC - ->sp|Q8B6J8.1|PHOSP_RABVH RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDNLPEDMRQFHLDDEKLSNLGEMV -RVGEGKYREDFQMNEGEDPNLLFQSYLDNVGVQIVRQMRSGERFLKIWSQTVEEIISYVSVNFPNPPGRS -SEDKSTQTTGRELKKETTSILSQRESQPSKAGMVAQVASGPPSLEWSATNGEDDLSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLEMNLDDIVKEAKNVPGVTRLAHDGSKIPLRCVLGWVALANSKKFQLLVEA -DKLSKIMQDDLDRYTSC - ->sp|Q66T64.1|PHOSP_RABVB RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLIARNIEDNQAHLQGEPIEVDSLPEDMRRLQLDDTKPSGPGEIA -ATGESKCQEDFQMDEAEDPALLFQSYLDNVGIQIVRQMKSGERFLKIWSQTVEEIISYVTVNFPSLPGKS -TEDKATQTASRELKKETVSAPSQRDSQSSKTKVAAQTASGPPALEWSTANEEDDPSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVKESKNVPSVTRLAHDGSKLPLRCVLGWVALAKSKKFQLLVEP -DKLNKIMQDDLNRYAFP - ->sp|O56773.1|PHOSP_LBV RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKGLIHPSAIRSGLVDLEMAEETVDLVHKNLADSQAHLQGEPLNVDSLPEDMRKMRLTNAPSEREIIEE -DEEEYSSEDEYYLSQGQDPMVPFQNFLDELGTQIVRRMKSGDGFFKIWSAASEDIKGYVLSTFMKPETQA -TVSKPTQTDSLSVPRPSQGYTSVPRDKPSNSESQGGGVKPKKVQKSEWTRDTDEISDIEGEVAHQVAESF -SKKYKFPSRSSGIFLWNFEQLKMNLDDIVKTSMNVPGVDKIAEKGGKLPLRCILGFVSLDSSKRFRLLAD -TDKVARLMQDDIHNYMTRIEEIDHN - ->sp|P69480.1|PHOSP_RABVM RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDNLPEDMGRLHLDDGKSPNPGEMA -KVGEGKYREDFQMDEGEDPSFLFQSYLENVGVQIVRQMRSGERFLKIWSQTVEEIISYVAVNFPNPPGKS -SEDKSTQTTGRELKKETTPTPSQRESQSSKARMAAQTASGPPALEWSATNEKDDLSVEAEIAHQIAESFS -KKYKFPSRSSGILLYNFEQLKMNLDDIVKEAKNVPGVTRLAHDGSKLPLRCVLGWVALANSKKFQLLVES -DKLSKIMQDDLNRYTSC - ->sp|P16286.1|PHOSP_RABVS RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDNLPEDMGRLHLDDGKSPNHGEIA -KVGEGKYREDFQMDEGEDPSFLFQSYLENVGVQIVRQMRSGERFLKIWSQTVEEIISYVAVNFPNPPGKS -SEDKSTQTTGRELKKETTPTPSQRESQSSKARMAAQIASGPPALEWSATNEEDDLSVEAEIAHQIAESFS -KKYKFPSRSSGILLYNFEQLKMNLDDIVKEAKNVPGVTRLAHDGSKLPLRCVLGWVALANSKKFQLLVES -DKLSKIMQDDLNRYTSC - ->sp|P22363.1|PHOSP_RABVC RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MSKIFVNPSAIRAGLADLEMAEETVDLINRNIEDNQAHLQGEPIEVDNLPEDMKRLHLDDEKSSNLGEMV -RVGEGKYREDFQMDEGEDPNLLFQSYLDNVGVQIVRQMRSGERFLKIWSQTVEEIVSYVTVNFPNPPRRS -SEDKSTQTTGRELKKETTSAFSQRESQPSKARMVAQVAPGPPALEWSATNEEDDLSVEAEIAHQIAESFS -KKYKFPSRSSGIFLYNFEQLKMNLDDIVKEAKNVPGVTRLAHDGSKIPLRCVLGWVALANSKKFQLLVEA -DKLSKIMQDDLNRYTSC - diff --git a/seq/clusters_seq/cluster_178 b/seq/clusters_seq/cluster_178 deleted file mode 100644 index 63f3c38..0000000 --- a/seq/clusters_seq/cluster_178 +++ /dev/null @@ -1,253 +0,0 @@ ->YP_009551627.1 putative RNA-dependent RNA polymerase [Melon partitivirus] -MLRDIRNFQLTEFNSEFELLNDTHPHVIRREAEQILVDEFALSELNGAWPSLYDQKLKGWARSFYTLEGH -MQAIHAYSNPDTPISSLNETIYRATIDSLKNELSSLPTARAFDVLTELDKIHYEQSSAAGYNYIGPKGPL -YGENHERAIRSARAVLWSAIRDEDGGPAYVLRNMVPDVGYTRTQLTNLQEKTKVRGVWGRAFHYILLEGS -SARPLLERFLEHDTFYHIGEDSQLSVPKLMSKLSSECRWIYAIDWSAFDATVSRFEIEAAFEIMKGLIHF -PNFDSEQAFELSRLLFTHKKIAAPDGHTYWIHKGIPSGSYFTSMAGSIINRLRIGYLWRLMFNREPKLCY -TQGDDSLIGDDELIQPERLAELAAPLNWNINPNKTEYSRTPEFISFLGRTSRGGFNTRELEKCLRLLIFP -EHPVPSGRISAYRARSINEDSGFTSDYIQTVARRLRRRYGIAEESEVPKMFKRYVVR - ->YP_009665971.1 putative RNA-dependent RNA polymerase [Beet cryptic virus 3] -MAYRNIREYEFTNFNEELYQIEGTHTNTIGRESEVILNDEFAKAILIDEFPVLYEQVCQGWARSFYTLEG -HMQAILAYAQPDTPRETFDQTIWDQAYTAVQNELRSLPKARAFDVNTELDKVPYEQSSSAGYGYRSHKGP -PGGETHMRAISRVKPTLMTAIRPDEEGPEYTILESVPDIGYTRTQLADLREKTKVRGVWGRAFHYILIEG -TAARPLLENFMLGTTFMHIGSDPQLSVPRILHQMKREGSKWLYALDWSSFDSSVTRFEINCAFNLLKERI -EFPNEETELAFELSRILFKHKKLAAPDGNIYMIHKGIPSGSYYTSIVGSVVNRLRIEYIWRVLFSRSPHR -CYTQGDDSLIGETFLVEPETVAREAAKYGWIMNPDKTEYSTDPGYVTFLGRTAHGFMNARSLDKCLRLLM -FPEYPVTSGRISAYRAESIARDCGGLSEVINLVARRLRRQYGVASEDEVPHYFKRYVA - ->YP_009551448.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 2] -MKSLSSRFNVFYKVCCDFLDKHSRDAYYNSDSSYPGFYSSTYKGFDPNKVSKRLKNKKKKIFNPNIEEGK -KISKSSLKRREKRRRDYFLTEDGDVFRRESKGDIFTCDGINCFKHKETSNVRVGTRPQKFDRPPECNTDF -FFQFTKVFEELNSREVLDEKFELNRHVIVDGCFDTNKHVIQAQMDCKNFLGRATPSERHLNMMAVAVRDA -IRKLKIEDLGDVSINDIRDFDFNLDTKPGFRFEHYLKKNYKKDCVDEAVFLAEERYASINKASAQGRFIT -RDEICPGFYTIGARSKRETDPIEGDEATSRAIHIPEFHVELHGGCFSDKITSHFIQKGEGPIFIGNSFTK -FDRFGKLLDLNEFAVEGDWKKFDSTLSNSLITFAVGICRLYFPEGILYDNHFLAILDSLVIKDYHVVGGR -VYRILHGLPSGSKWTSIIGSIINLIALNYTFSSIKYYDRSFAIGGDDFVVFVKNDKYEIDSLQETVEAKA -AEIGMTIKYFKLKCFKGSDDVNDFPVFYKYTVFKGFPIIPLESVITRVFSPWNKRYKSNSAVLGFLDDIF -PSLAAPSTGCYLFYLLYIYIYYRVTKKLLKIEDLVVRHERIFNKVMREDLDSHFIEVFNVNSVSIKRNFM -AGKLKSSKDFLKKIFNF - ->YP_009508068.1 RNA-dependent RNA polymerase [Beet cryptic virus 2] -MRTINNYEYTSFTEDLEETDYTHPHVVRRDPEVTYEDTFAKKELLSRYPALYENLIRGWSRSYYTGQEHL -RAIMQYATPNTNFSECVQHAYTKAITKVTESLHSLPTVRAFNVLEELDLIPYESSSSAGYTYRGVKGPQH -GENHMQAIKTAKAVLWSVIKDDGEGIEHVIDTYVPDVGYTRTQLTDLREKMKVRGVWGRAFHYILLEGTS -AAPLLEAFANSNTFFHIGKDPTVSVPYLISYTKGQAPWLTAIDWQAFDATVSRFEINAAFDIIKSKITFP -NLETEQAFEISRQLFIHKKLAAPNGKIYRIHKGIPSGSYFTSIIGSVVNRLRIEYLWNLKFNRGPKVCFT -QGDDSLIGDDELYSPMDMAAFVKPLNWFINTSKSMTSKVPEAITFLGRSSLGGLNQRDLKRCLRLLILPE -YPVTSGDISAFRANSIWRDSGSTSQILHEIANALRRKYGIAKEQDVPRYLRPWKA - ->YP_009362091.1 putative RNA-dependent RNA polymerase [Carnation cryptic virus 3] -MHNINNYEFTDFTEEVEETGRTHHHVVRREPEVTYEDRFASQVLRHKYPVLYENIISGWSRSYYSGAEHM -KSIMQYATPNIKLERLHDGVYHKAIANVRESLRSLPTVRAFNVLNELDLVPFESSSSAGYDYTGVKGPMY -GENHERAIRRAKATLWSAIALDGEGIDHVIRTYVPDVGYTRTQLTDLREKMKVRGVWGRAFHYILLEGVV -AAPLLEAFSSADTFFHIGKDPTVSVPYLLSTIKSTAEWITAIDWQSFDATVSRFEINAAFDIIEDIVSFP -NFETEQAFEISRQLFIHKMLAAPDGKIYWIHKGIPSGSYFTSTIGSLVNRLRIEYMWILKFDRGPKICYT -QGDDSLIGDDELFSPMDMTFLVKPYNWLINVTKSMASKIPEAVTFLGRTSIGGLNQRDLKRCLRLLILPE -YPVTSGDISAYRAKAIWYDSGLTSEVLGEIARALRRKYGLAEKSKVPKYLIPWKG - ->YP_009466859.1 putative RNA-dependent RNA polymerase [Pepper cryptic virus 1] -MVRGTLVGYDYTQFQGDLVKSTHRHPHVVHREIATTYVDQYAYEHIETFSSLYPELILKGWSRSYYLPEK -HLAAVLNYSMPNVPASQLSQSLYRQAIESAKNGFISLPRVKAFDVLTEMDQVPFKSSSSAGYNYTGRKGL -IGDENHSRAISIAKAVLWSAIKDDGEGIEHVIRTSVPDVGYTRTQLTDLLEKTKVRQVWGRAFHYILLEG -LVAYPFIQTVMSHKTFIHAGQDPLISVPRLLSDVALNCKWIYSLDWSQFDATVSRFEIHAAFDIIKSYVD -FPNYETEQAFEITRQLFIHKKVAVPDGYIYESHKGIPSGSYYTSLVGSIINYLRINYLWRLLTGHPPQQC -HTLGDDSLVGDNSYVNPQAIEEAANKLGWHFNPDKTQYSTVPEEITFLGRTYVGGLNKRDLTKCIRLLVY -PEYPVESGRISAYRAKSIAQDAGGLSEVLNRIADKLRRIYGTASEEEVPIYFKRYVFGV - ->YP_009351838.1 putative RNA-dependent RNA polymerase [Pepper cryptic virus 2] -MAIYRMLNGYVFTAFGNDLEKLDQRHIHHIRREEATTYRDEFALKELMDLSPILYEQFLEGWSRSYYEGS -KHLQAIIQYGIPDPDPGLIDNDIYNKAGYVVLESLGSLPRVRAFDVLTELDSVHYEQSSSAGYDYHGPKG -PIQGENHIRAITRAKATLWSAIKDEGEGIEHVIRSAVPDVGYTRTQLTDLYEKTKIRGVWGRAFHYILLE -GTIANPLLDVFKRGGTFYHIGENPQYSVPDILSQVSECCKYLVAIDWSNFDATVARFEINMAFDLIKTLI -MFPNIETELCFEICRQLFIHKKIAAPDGNIYWSRKGIPSGSYFTSIIGSIVNRLRVEYIFRKAYDVGPKM -CYTQGDDSLIGVDFRVDPDRLSEIAAPLNWKLNPAKTDVSLYPEHVTFLGRTMYGGINQRDLKRCLRLLI -FPEFPVPSGEISAYRAVSIAQDAGGTSEILNSIAKRLRRQYGVAEEHAVPKHFKLYIP - ->YP_009329867.1 RdRp [Xinzhou partiti-like virus 1] -MSTLEFVRQLPSYSLMQHGTPCDLYARGLINALYPEALPSIDEYSRGMYDIEHHYTAFYKYSRPQYLEQA -IKHRLESSSLIKEARAIVESELTESFTVQAISMSHLDAVSFVGSSAAGYGYVGNKRDNYLVARGHATSNL -ANFRRWGSKLRLTPYKAYSRTQLALRADPKIRHVWGAPFHTILIEGTIAQPIIVNLQLYDQPIYIGKDMF -KELPYTLNRLLRDNFFAYCIDISAFDSSVNQIFIDWFFDFVSKTVTFPNAFTRSAVDYCRFELKHTPVVM -PDGKMYICHTGIPSGSYFTQLIDSYVNLIMLRACQLSILESVVPTYVLGDDSIFCHHNPNHLESFRVLFE -EFGFKLNVRKTIVSKSSQEIVFLGHNFYGSRLTRDDFTLACLAIHTETPIVNSSQTVVRLASLLYDSGHN -SFFLMNLLTAAIKRYGSPRPLHPPYVQLFMLS - ->YP_009329866.1 RdRp [Wuhan large pig roundworm virus 1] -MTTIEYVRQLPSYKIVKFANPSDGFVRGIINILMPDLLPKIDLYTRGMYNEARHYDAFRKYERPITTELA -IKERLLSDPYIYAARHFVEDELRDSFTVNAIPMNCLDKVAYVRLSAAGYGYTGLKRDNYLLARAHATSNL -ANFDRWGTEFRFTPYKAFSRTQLALRADPKIRHVWGAPFHTILIEGTIAQPIIQNLQLNDQPIFVGRDMF -KELPSVIYRLMREDHFAYCLDXSSFDSSINTWFIERFFEFVRCTVRFRNSFSSKAVAYCQEELCNTPVVM -PDGKLYICKTGISSGSYFTQLINSYVNLILLRAAQMCVCSRTLPTYVLGDDSLFVSSDPALLENYESYFA -LFGFKLNTKKSVVSQDPEKIVFLGHNFYGSRLTRDDFTLACLAVHTEEPVLTAHESVTRLCSLLYDSGYN -SFFMLNVLKKTVLLYGSPRTMYRPYVSLFLLG - ->YP_009255398.1 RNA dependent RNA polymerase [Sinapis alba cryptic virus 1] -MRPSITGYDYTNFTQDLLKSDRKHPHVVRRETATTYRDDFAFKEVISLDRLAYIQRLEGWSRSYYLPEKH -LEALLQYATPNVPCTALNLNVYRQAIQVVENGLRSLQPVRAFDVLTELNQISYKQSSAAGYDYIGAKGPI -DGENHKRAISRAKAVLWSVVKEDGEGIDHAIETSVPDVGYTRTQLADLTEKTKVRQVWGRAFHYILLEGL -VAQPFIQSIMEGPSFIHTGRDPTLSVPQSLAKVSSQCKYIYSLDWKSFDATVNRFEINTSFDIIKSKVIF -PNYETEQAFEITRQLFLHKKVAAPDGYIYEAHKGIPSGSYYTSMVGSIVNRLRIEYIWRIATGHGPIHCE -TLGDDSLCGDDIFVPATQLADIANRIGWYFNADKTEYSTIPEGVTFLGRTSTGNLNSRDLTKCLRLLVYP -EYPVTSGRISAYRARSIADDSGGLSDLLNQVAIRLERSYGIASEEEIPAYFKRYVPFM - ->YP_006390091.1 RNA dependent RNA polymerase [Persimmon cryptic virus] -MALRSITGYEFHDFQSSLELLNQTHIHIVRRESGVTYHDEFALCELLVDNTRLYEQELEGWSRSYYTGEQ -HMKAILQYSLPNTPIQDIDVGCYQQAMTNVQERLSSLPIVRAFDVLTQLDQVSFESSSAAGYDYTGAKGP -KNEGNHERAIRRAKAVLWSAIAQDGEGIEHVLRSSVPDVGYTRTQLTDLSEKTKVRGVWGRAFHYILPEG -TSADPLLQAFKEGGTFYHIGQDPTVSVPYILSDTAGKCAWLYALDWSSFDATVSRFEIHAAFDLLKQRIE -FPNFETEQCYEICRQLFIHKKIAAPDGKVYWAHKGIPSGSYYTSIIGSIINRLRIEYIWIKLRGHGPTIC -YTQGDDSLCGDDERIEPERIADIANPIGWLINPAKTATTRYPEYITFLGRTCYGGLNHRDLIRCLRLLIY -PEYPVPSGAISAYRANSIAEDCGGTSSILNDIARRLTRKYGRVSHEEVPKELRVYRH - ->YP_004429258.1 RNA-dependent RNA polymerase [Fig cryptic virus] -MEAGLIEIGNIPERHLRDEFIILVDQPAYDSVRRNAPQADMQEIDGWARSFYTVEGIMASIMQFSKPLIH -EPTDPIWNDVKRETLMKIGSLFPQVQSLPFEGGFDHVPFESSSSAGYGYDGKKGEGNNFHRAKSIANAAV -RKFSEDIDNQGYDYAVSHLIQQGTPDIAFTRTQLAKLPSIKVRIVFGEAFHNILIEGLSAAPLLEAFKRM -DTFYFTGKDPTIYVPRILHKMSTNEGWFICLDWKAFDASVQLWEIDHAFNCIQQLLAFPTELSRLAFLFT -RESFKQRKLADPNGILWMRKGGIPSGSYYTNIIGSVINYNRIEYVCKRLGLQKTSCYVQGDDSLIHITGD -AKPDLTQLQMLGEQFGWTLNIPKCSLTQDSQLVTFLGRSQMHQLNIRERLKVLRLMCFPEYKVEDPKIST -TRVKAIARDAGWSDPVYNKIYLQLKRLYGEVERLPPHLATFVDRFDFQDVNM - ->YP_002364401.1 putative RNA-dependent RNA polymerase [Raphanus sativus cryptic virus 3] -MRRLRNPLAGYVYTGFISDLEETNQRHTNVIRRETAVTYRDEFALQSILDLDYRQYEQHLQGWSRSYYTP -EKHQEAILQYSYPDIPVQAIKMDVYNDCIAQVQNEFRSLPNVRAYSVLTQLDLVKYKSSSAAGYGYQGTK -GNPGELNHARAISRAKAVLWSAIAADGEGIEHVIKTSTPDIGYTRTQLTDLTEKTKVRQVWGRAFHYILL -EGLVADPFIQAVMENNTFIHAGRDPTLSVPQLLSHIAETSDWIYSLDWKQFDATVSRFEIESAFTIIKSK -TIFPDRETEDAFEITKQLFIHKKVAAPDGKIYFAHKGIPSGSYYTSLVGSIVNRLRIEYLYRLILGRGPK -ICHTLGDDSLVGDDELIVPSSFGLIANRIGWYFNIEKTEYSRIPEMVSFLGRSYKGGLNVRDLKRCLRLL -VFPEYPVPSGRISAYRAKSIAEDCGGISDLLNRVAARLKRQYGLASEEEVPIYFKRYLPFM - ->YP_001686786.1 RNA-dependent RNA polymerase [Rose cryptic virus 1] -MEHRFRGIPRGLIELEEIPTRRLREECVIHIDAWSSQAIDAIVPLSLRNELDGWARSYYTLQAHVDSLMQ -YDRPKLQPPTNTAWNITTQYIRTEFARMKKVTALSYLQLDQVKWVRSSAAGYGYTGRKSDGDNYIRARKT -AFTLAEKLNHNRDYGPLALEDSTPDVAFTRTQLCQIKVKRKIRNVWGEAFHYVLLEGLFADPLIQQFMRI -KSFYFIGEDPLLAVPRLIEEILSEQDYIYMFDWSGFDASVQEWELRFAFGLLESILIFPSSVEHQVWQFI -IELFIYRKIAAPNGKIYLKTLGIPSGSCFTNIIGSIVNYVRIQYMFFRLTREFVTAFTHGDDSLVGVPTT -QYVQMENFKPICDENLWTINIAKSAISREAEGVSFLSRKVREMCHARDELICLRMLKFPEYIVETGAMST -LRAFSIHKDAGIHSRYLYQIYKFLLHRYGKADSLPLNQQNWDPIEYENLRVSYATQNYE - ->YP_001686783.1 putative RNA dependent RNA polymerse [Raphanus sativus cryptic virus 2] -MDHEFRKIREGLIEIGTVSLRVQRDEFKVIIDEYAAEAVFKFVPSTMLSQLQGWARSVYSLDQHVDAILA -YRRQKLPEPTDDVWNQTKQHTLQLFRRFPKITPISYKSFDEVKWISSSSAGYGYVGHKGDGDNYLKARRT -AVTIAEKLDHDRNYAPEAINQSTPDVAFTRTQLSQVKVKTKVRNVWGEAFHYVLLEGLFADPLINFFSNE -ESFYFIGRNPLLSVPTLIEEIFKSKDYVYAFDWSGFDASVQEWEIRFAFQCLESQLIFPSNVEAQIWRFI -VELFIYRKIAAPNGTLFLKTLGIPSGSCFTNMIGSVVNYVRIQYMFKKLTDDFVEAYTHGDDSLAAVSTA -QYIPLEKFGPICEPFMWSINTLKSEVSREGRLTTFLSRSIRDKQNYRDEFVCLRMLVYPEYEVEDGSISA -LRAKSIYVDAGIHSQYLYHVFLYLKQKYGLANTLPHNLRTWDPTEHEALRASYSNIM - ->YP_001274391.1 RNA-dependent RNA polymerase [Fragaria chiloensis cryptic virus] -MEHRFRGIPRGLIELEEIPTRRLREERVVHIDAWSSKAIDAIVPLSLRIELDGWARSYYTLQAHIDSIMQ -YDRPKLPQPTNAAWNTTTQHVRTQFARMDKVQTLSYLQLDQVKWVRSSAAGYGYVGRKSDNDNYFRARKT -AFTIAEKLNHDRDYGPLALEDSTPDIAFTRTQLCQIKVKRKIRNVWGEAFHYVLLEGLFADPIIQHFIRN -KSFYFIGEDPLLAVPRLVEKILSEQDYVYMFDWSGFDASVQEWEIRFAFSLLESILIFPSSVESYIWHFI -IELFIYRKIAAPNGKVYLKTLGIPSGSCFTNIIGSIVNYVRIQYLFFRLTNNFVTVFTHGDDSLVGVSTT -QYVQMDNFEPICAEHNWTINIAKSAVSHEAEGVSFLSRKVREHCHARDELLCLRMLKFPEYVVESGAMST -LRAHSIHQDAGINSRYLYSIYKYLLHRYGKADSLPLNQQNWDPLEYENLRVSFATQNYE - ->APG78223.1 RdRp [Hubei partiti-like virus 58] -MLNNTGYEFTDFVQDLEETGRRHTHVVRRDPEVTYEDPYASRELRSRYPRLYENVIHGWSRSYYSGAEHM -KAILQYATPNTTYERVNQPAYEQAKANVLESLRSLPTVRAFNVKDELDLVSFESSSAAGYDYIGVKGPQD -GENHNRAIKRAKATLWSAIIPGDEGIEHVLRTYVPDVGYTRTQLTDLREKMKVRGVWGRAFHYILLEGVI -AQPLLEAFKRSRTFFHIGSDPTVSVPFILSDTASKSQWLTAIDWKAFDATVSRFEINAAFDLIKSIVQFP -NQETEQTLELCRQLFTHKMLAAPDGNIYWIHKGIPSGSYFTSTVGSIINRLRIEYMWLLHFGRSPKVCYT -QGDDSLIGDDQLFSPMDMAYIAEPLNWIINPTKTVTSKMLEMVTFLGRTSLGGLNQRDLKRCLRLLILPE -YPVTTGAISAFRARSIAYDSGTTSQILDELARALRKKYGIAREEEVPRQLRPWKA ->AFO65948.1 RNA-dependent RNA polymerase [Alphacryptovirus JF-2012] -MRPSITGYDYTNFTQDLLKSDRKHPHVVRRETATTYRDDFAFKEVVSFDRLAYIQRLEGWSRSYYLPEKH -LEALLQYATPNVPCTALNLNVYCQAIQVVENGLRSLQPVRAFDVLTELNQISYKQSSAAGYDYIGAKGPI -DGENHKRTISRAKAVLWSVVKEDGEGIDHAIETSVPDVGYTRTQLADLTEKTKVRQVWGRAFHYILLEGL -VAQPFIQSIMEGPSFIHTGRDPTLSVPQSLAKVSSQCKYIYSLDWKSFDATVNRFEINTSFDIIKSKVIF -PNYETEQAFEITRQLFLHKKVAAPDGYIYEAHKGIPSGSYYTSMVGSIVNRLRIEYIWRIATGHGPIHCE -TLVDDSLCGDDIFVPATQLADIANRIGWYFNADKTEYSTIPEGVTFLGRTSTGNLNSRDLTKCLRLLVYP -EYPVTSGRISAYRARSIADDSGGLSDLLNQVAIRLERSYGIASEEEIPAYFKRYVPFM ->APG78185.1 RdRp [Beihai partiti-like virus 11] -MQTSTQSRKMSRRYCPNGNGRVYPVARVAPHYITRPDRIIDPYMYEALSDLLSEETLRHFDTSTRSYYTL -DGHYANLWKYDTINIPKKRDRLYWRALDITCDKFKLSHTAQSYGWEELDQVPFVRTSSAGYGMQGKRGDP -GNHEHAIKRAVGALLSYQDQVLGIPQKRPFRYTPYVAWTRTQIGTFDQPKIRHVWGAAFENVILEGINAY -PLIMAYHNSDTPIVIGSNTFRKIPQIINQCLREVSSNDPVVGVGLDFSGFDQSVQPWLIRDAFDVLKENI -RFKDDMARWAWSYSVHHFIHKTVVMPDGIMWQVNSGIPSGSYFTQLIGSIVNHIVITYVQLRIYGRSLQT -WVLGDDSLFQAPGSLKDWPDLNTFDQVIREFGMKISPHKSIVTRNSQELEFLGHAVRGLRVSREEWKLLR -LVLHSEYPVLDAHVSMSRMEGFLIDSALEHWSIIHLYERMKFRFGSKVYQSVTEDAKWWYDIREFRDSDN -EIARTLKVWTRT ->CEJ95596.2 RNA dependent RNA polymerase [Pittosporum cryptic virus-1] -MVKDTLVGYNYTRYQGDLVRTDRQHPHVIRREHATTYIDQFAFKQVELVAQLIPEQILTGWSRSYYLPEK -HLEAVLQFGQPNIPVSAINVDVYRQSIAEAENRLSGLPTVRAYDVLTQLDQVPFKSSSAAGYDYQGRKGQ -YDGENHKRAITRAKAVLWSAIADDGEGIEHVISTFVPDVGYTRTQLTDLLEKTKVRQVWGRCFHYILLEG -LVASPFINELMKHDTFIHAGKDPIFSVPELLSNVARNCRWLYALDWKQFDATVSRFEINTAFDIIKRKII -FPNYETEQAFEITRQLFIHKKVAAPDGYIYWSHKGIPSGSYYTSVVGSIVNFLRIDYLWRTLTGHPPKEL -HTLGDDSLSGDDILIEPQRIAEEAEKIGWHFNPEKTEYSRIPEHVTFLGRTYIGGLNKRDLTKCLRLLVY -PEYPVDSGRISAYRANSIAQDAGGLSDVINRVANKLQRTYGIASEEEVPSHFKRYVIENIGI ->APG78201.1 RdRp, partial [Changjiang partiti-like virus 1] -MINIIKRNEMDRPTHNTLRYLTTVKPHKIRRENFLVDQFVHNTLTDMLGINVVEEFGEYTRSYYTLEGHY -DNLWKYDRKILPKPNDYMLDVAIQATRRDFKLDRPVKSISWNDLASVPFISSSSAGWGFKGSKGDPGNHT -KAINKAVLCLNWWLEDKQFRKNTFRYHPDLAWTRTQMGTIQNPKIRQVWGKSFDNIILEGITAGPLIDAY -RRIDCKMPIGINYYKRLPSIINKCLYDGINYKYGVGIDLKSFDSSVQPWLINESFNILQENIEFTDEMGK -HSFDYSKEFFIHTPVVMPDGRMWLKHCGVPSGSYFTQMIDSIANSIATHYSQLKVHGQLFDTYTLGDDSL -FGVPVEFGKPKLSDYAPHYDRLGMLLHPDKGTVAIHPNELDFLGHTARFSKVDRETAEMMRLALYPEHPV -Y ->APT68925.1 putative RNA-dependent RNA polymerase [Citrullus lanatus cryptic virus] -MARGTDDGFGFDDFQADLELITGSHPHQVRREPGVTYRDEYSLEELMNISPLLYEQCLSGWSRSYYLHDK -HMQAIIQYGYKDVPISSINDRLYKECVHEVQNRLSSLPRVRALDVLSELDSVTFKSSSAAGYDYIGAKGP -KGGENHIRAMSRAKAIMWSIAETGETGMKHAIETAVPDVGYTRTQLADITEKTKVRHVWGRAFHYILLEG -LTADPLIRAVQRADTFIHIGKDPTVSVPRLLSDTAEQCKWLYALDWKQFDATVSRFEIEAAFDIVLNLLD -FPNRETKLMFELSKQLFIHKKIAAPDGKIYWAHKGIPSGSYFTSIIGSIINRVRIEYLWRTITGHGPIVC -YTQGDDSLCGDNILIPPERFAMVANPIGWYFNQEKTEYSTIPELITFLGRSYAGGLNKRDLKRCLRLLIF -PEYPVESGRISAYRAQSISDDVGGLGDVLNKLADRLRRKYGIASEEEVPDYFKRYIP ->BAU79511.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 14] -MQEMTYSGVRYRTSNQGDYITKHPFGLSQIGSHKFTPVRRDPHTTIIDPFMMEAFQDYGDRFNFAKLDGW -SRSLYTREGHMDSIHRIQSHTRFHKPTDTSMTKTDDYCLQIFRTLGTVRSLDYHTQLGQVPFEPNSAAGI -GIPGKKGDSGNLALAINQAVATLQRSLRDGISSVIEDSTPDMAYTRTQLTQLSAGIKVRNVFGQAFQYIL -LEGLSASPLMDHFVTNETFFFVGSDPRISVPTLLEDFKKKGSLMMSIDWSAFDTSVENWEIVDAFNLLET -ILEFPNLETRAAFEFSRILFINRKIAAPDGNVYFKQKSVPSGSYYTMLIDSIINWRRILYLHHRATGFFP -FDIRTQGDDSLVATRDSVSPEALMLQIPRNSQWQLNPSKCPIGKSGSSVPFLQRTLKWGDQSRDLDRVER -LAIYPEYEVESGDISAFRARALWEDCNYESVVLAHATSYLESKYGIPTTVPRRYTNIWQTLFESKEREGL -R ->ABU55400.1 RNA-dependent RNA polymerase, partial [Black raspberry cryptic virus] -MALQLVNDHDFIDFQADLEILPENHAQTIRREPNTVYHDDFALRELKSAYPLLYEQYLEGWSRSFYTKED -HMKAIMQYSYPNIPIEAVNENLYNQAINATLERLRSLPTVRAIDVLTDLDSVSFEPTSSAGYGYNGVKGD -SLGEIHKQAIRRAKATLWSAIRTEDEGIDHVIRTFVPDVGYTRTQLTQHREKTKVRGVWGRCFHYILLEG -TAAQPLLDAFKAGTTFLHIGQDPKQSVPNLLSSISNHCKWLMALDWSSFDATVSRFEIHAAFDILKQFVQ -FPNVETEQAFEISRQLFIHKKIAAPDGKIYWSHKGIPSGSYYTSIIGSVVNHLRIEYIFRTVTGNGPKVC -YTQGDDSIIGLDSYIDPSLLSEAAQPLGWVLNPAKTETEAVGM ->AAY51483.1 putative RNA-dependent RNA polymerase, partial [Pinus sylvestris partitivirus NL-2005] -SAAGYGYTGRKGDPGNHIRAVRTANATIRDLADQMISNQSQTVYNWLMYTTPDIAFTRTQLSYLPDKMKI -RNVWGAPFHSILIEGLTAQPLMEYFARHRTFFTIGEDPKIRVPQIISELVQNRTVYCIDWSGFDASVSAE -GIDFAFSLIKRMLTFNSELDELCFEVSRLNFIHRKLIDPEGRILLKHRGIPSGSYYTMLVDTIINARRIL -YMFHHLTGELPTVHCQGDDSLTGVRPDFQVSRGHIAAICKEQAWDINPDKLKISRYPEELEYLGRTSSGD -YNHRERQKVIRLAIFTEYPVTSPQISAARAHALCIDSSYRIPELVQAWKSLTRQYGEVPPEQLDRRLRPY -DPTKFLRA ->YP_009026407.1 RNA dependent RNA polymerase [Arhar cryptic virus-I] -MDHRWRGATRGLIRLEEIPTRRIRDERRILIDEYASEAINRYVPLHLRAELEGWARSYYTLETHLNAIMN -YDRPKLSQPSDAAWVSTMHHVREQFRQMDKVTALSHYHLDKVKWVRSSAAGYGYVGLKSDPGNYERARTT -AFTIAERLNHERDYAPEALKNSTPDVAFTRTQLCQIKIKRKVRNVWGEAFHYVLLEGLFADPLIQHFMKI -DSFYFIGQDPLLAVPYLIEDILSESDYVYMFDWSGFDSSVHEWEIRFAFELLESLLVFPSSVEQHVWRFI -IELFIYRKIASPNGVMYLKTQGIPSGSCFTNIIGSITNYVRIQYIFRRLTNRFANVFTHGDDSLAGVSAV -QFIPMENIAQVCAEFNWTINVDKSDVSRIAEAVTFLSRNVREMSHARDELTCLRMLKYPEYPVESGAVST -LRALSISKDAGLNSHYLYKIYKFLDIKYGKADSLPLHHKSWDPLEYESLRLPYSQ ->APG78242.1 RdRp [Hubei partiti-like virus 56] -MIIKRNEMDRPTNNSLQYLTTVRPHKINRENLLVDQFVHETLSESLGIDVVEEFGQYTRSFYTLEGHYHN -LWKYDRIILPKPDDPLLDMAIERTRYEYQLPTKVKSIGWDSLAEVPFISSSSSGWGFVGKKGAPGNHEKA -ISKAVLSLKWWLEDKQNGTNTFRYHPDLAWTRTQMGTVEDPKIRSVWGKSFDNIILEGITASPLINAYRI -GTTPMPVGMNYYKRLPTMINQSLYDGNTHYYGVGIDLKSFDSSVQPWLINESFNILEQNIDFTDDMGRRS -FEYSKEFFIHTPVVMPDGRMWLKHVGVPSGSYFTQMIDSIANSIATHYAQLCIYGQMFRTYCLGDDSLFG -VPVGFGRPELSTFAEHYAKVGMLLHPDKGIVATRPDQLEFLGHCASGSRVDRETAAMMRLALYPEHPVYG -PAQSMNRVKGILLDSAMNSWPMIHLHNIMMSRYRNELMTAEDTFIGSDKDWLIAVLNIAEPPSHINEVTT -FLLT ->APG78229.1 RdRp [Hubei partiti-like virus 57] -MAKSIRTSSPFMKKSEVDRPTFNTLRFLTRIRPHPLQRDNFLVDPYVKESMNDLLGFDPEEVLGGYTRSF -YTLEGHYFNLWNYDRVIVPRPRDEILDEAVRISSEIFQLEEPVYSISWDNLENVPFIKSSSAGWGYHGKK -GDSNNHQTAIRRAVGSLHAWLTGYRSDGTAFRYAPDLAFTRTQLGSIYNPKVRHVWGAAFENILLEGLTA -SPLIRAYEKKGEPIPIGVHIYKRLPSIIHTTLYSNEEKRTGIGTDLKSFDSCAQPWIIDEAFNILRKNII -FNHPLEEAAFNYSQYHFKTRPVVMPDGRMWLKFLGIPSGSYFTQLIGSIINHIITTYAQLRVYGKPFKTW -CLGDDSIFGIPKDLDPDPDVTKFITPMSDLGFILHPDKTIKSEHPDELEYLGHTARGTKVSREMATTLRL -ALYPEYPVDGPAISLSRVRGLVLDSGLNSWPIIELNDYMTAKYGQLPEFDELEFAPEDKNWMHSVVGRSF -RPSDIDLIKAWTFT ->CBZ05552.1 RNA-dependent RNA-polymerase, partial [Fig cryptic virus] -RQIIRVGFNMEAGLIEIGNIPERHLRDEFIILVDQPAYDSVRRNAPQADMQEIDGWARSFYTVEGIMASI -MQFTKPLIHEPTDPIWNDVKRETLMKIGSLFPQVQSLPFEGGFDHVPFESSSSAGYGYDPKKGEGNNFHR -AKRIANAAVRKFSEDIDNQGYDYAVSHLIRQGTPDIAFTRTQLAKLPSIKVRIVFGEAFHNILIEPLSAA -PLLEAFKRMDTFYFTGKGPNNLRSKNLTQDEHKRTMGLSALTGKAFDASVQLWEIDHAFNCIQQLLASPT -ELSRLAFLFTRESFKQRKLADPNGILWMRKGGIPSGSYYTNIIGSVINYNRIEYVCKRLGLQKTSCYVQG -DDSLIHITGDAKPDLTQLQMLGEQFGWTLNIPKCSLTQDSQLVTFLGRSQMHQLNIRERLKVLRLMCFPE -YKVEDPKISTTRVKAIARDAGWSDPVYNKIYLQLKRLYG diff --git a/seq/clusters_seq/cluster_179 b/seq/clusters_seq/cluster_179 deleted file mode 100644 index 780eb4c..0000000 --- a/seq/clusters_seq/cluster_179 +++ /dev/null @@ -1,147 +0,0 @@ ->YP_009664771.1 virion protein [Wild cucumber mosaic virus] -MTTDTSVSAKQPSINAPGHVLSIPDTPRSPSFPFKFQIHVANFGPKEVSSQISLSSCPELLRLTSLFRHA -RLLSAKAIITPFDGVVSLPITVDLAWVSANSPASPTDILKIYGGSSYTFGGAINSTRPIELPLPINSVND -MLKDSVSYLDTPKLLVFSPAPAKATSVTLASPQISGEVLCSSQLLQAL - ->YP_009664769.1 coat protein [Petunia vein banding virus] -MEDSTPQTVKQPSINAPGYSLPKPSSELSSAIVVPFQFQATTFGQAETNAQISLASGSSITKITAPYRHA -QLIEAVAEITPTALAIANPITLLVAWVPANSGASPDQILNVFGGMSFTLGAAIASTKSIILPLPINSINM -MLKDSVLYTDTPKLLAYSAAPSTALKAPPATIQIRGKIRLSSPLLIAN - ->YP_009664767.1 coat protein [Passion fruit yellow mosaic virus] -MSSSSLVTSLVSQQTPINTKSDSIPLEPGNAPPVIKLPFQTKLASLGTAEVSDSVSIAANAAVSSLATPY -RHARLTSLVATIHPNHLSPSNPTTVSLVWVPFNSTATSSDILNVFGGQSFCIGGAVNSLAAISVPCNLTN -VNPVIKSSKLPPSHRLFPNSTPRLPAHRSSSPFKEVPPHSPLRSQPHT - ->YP_009508099.1 unnamed protein product [Cacao yellow mosaic virus] -MSSDLILAPQPLINTKASELPSQSGSPPPEIVYPFQFTIASLGVEPTADFVSIAAQAAITAYTSLYRHAI -LTDLQAIIHPNGYAPAFPTSVALAWVPYNSTATAAKILDVFGGQEFCVGGSINSTSPIIVPCPLTNINPI -IKDSVTYTDTPKLLIYSTAPSYSTSATCTLTIRGKVRLHSPLLSSSSS - ->YP_009508097.1 unnamed protein product [Belladonna mottle virus] -MDESKIVTVKQPSISAPGFTLSAPDGEQAGSIRQIFQFEATSVGVYETLAQVNLSSSDSLAKLTSGYRRA -KVVELFLTITPTQRAIDCPVTVDVAWVPANSTAPPSKILSIYGGQRFLIGGPITTSQIIRVPCNLQSVNA -MIKDSTIYTDSPKLLVYAPIAKGSPKTPSATVQIGGQILLSAPLLQAL - ->YP_009505641.1 virion protein [Desmodium yellow mottle virus] -MEQDKILAHQASLNTKPSLLPPPVGNPPPVISYPFQITLASLGTEDAADSVSIASNSVLATYTALYRHAQ -LKHLKATIHPTYMAPKYPTSVALVWVPANSTATSTQVLDTYGGLHFCIGGSVNSVKPIDVEANLTNLNPI -IKASTTFTDTPKLLYYSKAQATAPTSPTCYLTIQGQIELSSPLLQASS - ->YP_009505640.1 virion protein [Clitoria yellow vein virus] -MSTDVIVSAQPLINTKASEIPLQPGSPPPSITYPFQITIASLGVAATSDAVSISAQSSLASFTSLYRHAI -LQDLHATIHPSASAPAFPTSVALAWVPYNSTATASEILNVYGGQEFCIGGAINSSKPIIIPCPLTNINPV -IKDSVTYLDTPKLLVYSTAPAYSTSPTCTLTITGKVKLHSPLLSSSS - ->YP_009268924.1 coat protein [Grapevine Red Globe virus] -MESLLSLAPLLSELLSKINASPSAAPAPQPLGSDVLPGDRSDVRLVAPPRLPATPPAIPPSPHVTSPHVD -LPFQFVFYDLTNAETGFTSLEISSKAPFLSLTSSYAYATLQSLEMTVFPKNASYTYPMSFDALWHSAAVS -ITGSQILSTYGGTRVTFGGPITSSNPIVLPADLRSTNPVVKDTVSYNNTPKLTVAFHKNPEAPAVSVTAP -VIYGSIVIRGVVRCSSVRVTPYPVA - ->YP_009160325.1 coat protein [Bee Macula-like virus] -MELLLEALAPLLTKTASSLTAPSPTPSTEPSANTELKPAAPQSNAIVASTARIPATPSLVATPLSRDPSL -KIPFQFALGRVSSADDKGIDYVFSSIPQFTKLVAPYRRARLCSLEAVLEPLAPLSNGYSIILCWTQANNV -VVGADSLAVPGAQLFSATKYAVLTQSQVLPAPLHALNPMVKDSVTYTDSPRLHIAPFKLDDPGAALLVLR -GVLEVSSPALVANTT - ->YP_008318043.1 putative coat protein [Tomato blistering mosaic virus] -MDPSTSNTINSKQPSIQAPGYHLPTPTTELSGAIELPFQFMATTFGSAETLAQITLSSSAPLTKMMSSYR -HCKLTQCSAELTPNAGAIANPLTVNLVWVPANSTASPPDILNVYGGSSFVLGGAITAAKTISVPLPANSV -NLMLKDSVLYSDTPKLLAYSPAPTTPSKTPTATLQIRGRILLSSPLLQSS - ->YP_007517184.1 coat protein [Andean potato mild mosaic virus] -MEDQTPAVSKQPSINAPGYNLPPPSSQLSSSFELPFQFQATTFGAAETAAQVSLSSSTVISTIAKNFRHA -KLIQCHAIITPTYLAIANPITINLVWVPDSSTAKPSEILNVYGGTSFTFGGAFCSTKSIIVPLPMNSVNL -MLKDSALYTDGPKLLAYSPAPATPSKSPVATIQISGKILLSSPLLQAS - ->YP_007517181.1 coat protein [Andean potato latent virus] -MASSTATIAKQPSINAPGHVLPTPSSELSPSMVLPFQFVATTFGMAETAAQITLVSSSAINKLMLSYRHC -QLVECSAELTPLAGAVSNPLSVSLVWVSANSTGAPTDILNIYGGSSFVLGGPVTAPIPISVPLPFNSVNV -VLKDSTIYTDTPKLLAYSPLPASPSKTPTASLQIRGKLRLSSPLLQPN - ->YP_004464925.1 coat protein [Asclepias asymptomatic virus] -METERVLVTQPAISTQTDLLSIPSSPNPPTITQPFQIEIAALGKESYSDSISVAALSSIAAYTSLYKHAE -LHSLSATIHPTNSAPSNPTAVALAWVPYNSAASPTQILSVYGGMMFCIGGSIQTLKPIEVLCDLSKVNPI -LKSNVTFSDTPKLLIQSVAPDPPSKLPTCSITIKGMIRLHSPLLQASA - ->YP_003620402.1 coat protein [Chiltepin yellow mosaic virus] -MEDQTASRAKQPSIPSPGFTLPKPDTQLSSAIELPFQFQATTFGAAETAAQVSLASSTPITKLASLYRHA -QLVECHAVITPTALAISNPLTINIVWVSDNSTAKPTEILNVYGGSSFTFGGAITTTKPIILPLPMNSINP -MLKDSVLYTDTPKLLAYSAAPSSPTKQPTATIQIYGKLRLSSPLLQAS - ->YP_002308579.1 coat protein [Anagyris vein yellowing virus] -MDEVKPISAPQPSIPAEGSHLVNPKSAVEPHIILPFQISAAEFGVRETSVQITLSSDPTISTYTALYRHA -QLVECEAILFPNFTSSSNPTHCDIIWVPSNSTASPKTILQTYGGSRYTLGGPITSNQTISVPLPLRSVNC -MVKDSVLYTDTPRLLAFSPAPLKPSSVPSGTLLVRGRVKLSSPLPQPSG - ->YP_002308446.1 coat protein [Plantago mottle virus] -MEETKPIKVKQPSIPAPGTHLAPNEGPQSSAIVLPFQLTATDLGVAEVSLQVTLSSDAALANIISSFRHA -TLIECEAVLFPNMTSASNPTHCDIVWVPANSTAAPKTILQTYGGARFTLGGSITASQIITVPLPMNSVNP -IIKDSVLYLDSPRLLVHSPAPTSAKTVPSGSLVLRGKVRLSSPLLQPSQSS - ->YP_002308443.1 coat protein [Nemesia ring necrosis virus] -MEELKPISVKQPSIPAPGTKLPPAPGQQSSAIVQPFQISVTDLGVAEVNVQITLSSDPTLSQLTAFYRHA -DLVECSAVLFPNFTSSSNPTHCDLAWVPANSTASPKAILRTYGGNRFTLGGPITANEVISIPLPMNSVNC -TIKDSVMYTDSPRLLAYSPAPLTAKTTPSGTLVIRGKVRLSSPLLQPLTASS - ->YP_002308440.1 coat protein [Scrophularia mottle virus] -MEEVKPIKVQQPSIPAPGTKLVPNDGQQSPAMVMPFQLTVTDFGVKETSVQITLSSDPGIAAITASYRHA -SIVECQAVLFPNRTSSSNPTHCDLVWVPANSTASPTTILKTFGGSRFTLGGPITANQIITIPLPLDSVNC -RIKDSVLYTDSPRLLAHSPAPSTTQTVPSGSLIIRGKIRLSSPLLQPSSSS - ->YP_002048674.1 coat protein CP [Diascia yellow mottle virus] -MEELKPISVKQPSIPAPGTKLPPAPGQQSSAIVQPFQVSVADLGVSEVNVQITLSSDPTLSQLTAFYRHA -DLVECSAVLFPNFTSSSNPTHCDLAWVPANSTASPKNILKTYGGNRFTLGGPITANEVISVPLPMNSVNC -TIKDSVLYTDSPRLLAHSPAPLTAKTIPSGTLVIRGKVRLSSPLPQPLTASS - ->YP_001285473.1 coat protein [Okra mosaic virus] -MTSDRIVAPQLALNTKSSTLPLEPGVTPPTISYPFQIQVAALGTKPYSDAISIASNATVASYTSLYRHAI -LHSLKATIHPTARAPAYPCDVSIAWVPANSSATPAQVLSVYGGQMFCIGGAINSTQPIDFPCNLTQVNPT -IKDSVTFTDTPKLIVNSTVGASPPTVPTCHLTITGIVTLHSPLLQASA - ->YP_406376.1 coat protein [Dulcamara mottle virus] -MDESKIVTVKQPSISAPGFTLSAPEGEQAGSIRQIFQFEATSVGVVETLAQVSLSASESLAKLTAGYRRA -KLVELFLTITPTQLAIDNPVTVDVVWVPANSTATPSKILSVYGGQRFLIGGTLTTSQVIRVPCNLQSVNA -MIKDSTIYTDSPKLLVYSPVAKGSPKTPSATVQIAGQILLSAPLLQAL - ->NP_663298.1 coat protein [Turnip yellow mosaic virus] -MEIDKELAPQDRTVTVATVLPAVPGPSPLTIKQPFQSEVLFAGTKDAEASLTIANIDSVSTLTTFYRHAS -LESLWVTIHPTLQAPAFPTTVGVCWVPANSPVTPAQITKTYGGQIFCIGGAINTLSPLIVKCPLEMMNPR -VKDSIQYLDSPKLLISITAQPTAPPASTCIITVSGTLSMHSPLITDTST - ->NP_067738.1 coat protein [Chayote mosaic virus] -MSANVPVKVPQPSVPAPAKSLPTPSSELSSAIVLPFQILATTFGSVETAFQISLSSHPSLQKLMAPYRHV -QLVECEAVFCPNSFAVSAPITINAVWTTANSPASPVDILQIYGGRPFTFGGSLQSTSESILPLPGNFTNA -MLKDSVAYLDTPKLLAYSPVPSSPSKLPSVHLLIRGKLRLSSPLLLASPSS - ->NP_047921.1 virion protein [Erysimum latent virus] -MTSSRSTELIETQEDVVRKLSQKTPVSNFSALPLPNGPQAPTQLQPFQFEFPLPAGQEGSVTLPLATFPK -MATFLSRHRRAQLTQLHAVVSPSAVSIGHPLTVQLIWVPASSTTTSSQILGTYGGQQISVGGQVTNSSPA -KVSANLLMMNPHIKDSTSYTDTPKLLVYSTPAVPDDKLTTSSASIIVFGEVLLSSPQLNPSA - ->NP_044329.1 coat protein [Kennedya yellow mosaic virus] -MATNHVLASQLPVNTKSSEIPLQSGTPPPTIIYPFQIEMASLGTADASDSISIASNSLLASVTTLYRHAK -LTSLKATIHPTGQAPAFPTTVALAWVPYNSTATSAQILSVYGGQMFCIGGSINSLSPIDVPCNLTNVNPI -IKDSVTYSDTPKLLLYSIAQETAPTLATCSVTITGTLTLHSPLLQATA - ->NP_041258.1 coat protein [Ononis yellow mosaic virus] -MEDSQPIKVRQPSISAPGTHLSPNPGQQSPSMVVPFQVSVSDLGVSEVSAQITLSSDPTLAQLTSIYRMA -SIVECEAVLFPNSTSSKNPVHCDLIWVPSNSSASPKTILQTYGGNRFTVGGPITSNQIISFPLRLDSVNP -IIKDSVLYLDSPRLLAFSPAPPETQSIPSASLLIRGKLRLSSILVQPLLTSS - ->NP_040969.1 coat protein [Eggplant mosaic virus] -MEDTAIIRSPQPSINAPGFHLPPTDSQQSSAIELPFQFQATTFGATETAAQISLASANAITKLASLYRHV -RLTQCAATITPTAAAIANPLTVNIVWVSDNSTAKPTEILNVFGGSSYTFGGALNATKPLTIPLPMNSVNC -MLKDSVLYTDCPKLLAYSAAPSSPSKTPTATIQIHGKLRLSSPLLQAN - ->sp|P20125.1|COAT_TYMVA RecName: Full=Coat protein; AltName: Full=Virion protein -MEIDKELAPQDRTVTVATVLPTVPGPSPFTIKQPFQSEVLFAGTKDAEASLTIANIDSVSTLTTFYRHAS -LESLWVTIHPTLQAPAFPTTVGVCWVPANSPVTPTQITKTYGGQIFCIGGAINTLSPLIVKCPLEMMNPR -VKDSIQYLDSPKLLISITAQPTAPPASTCIITVSGTLSMHSPLITDTST - ->sp|P15158.1|COAT_BMDV RecName: Full=Coat protein; AltName: Full=Virion protein -MDSSEVVKVKQASIPAPGSILSQPNTEQSPAIVLPFQFEATTFGTAETAAQVSLQTADPITKLTAPYRHA -QIVECKAILTPTDLAVSNPLTVYLAWVPANSPATPTQILKLRVYGGQSFVLGGAISAAKTIEVPLNLDSV -NRMLKDSVTYTDTPKLLAYSRAPTNPSKIPTASIQISGRIRLSKPMLIAN - diff --git a/seq/clusters_seq/cluster_18 b/seq/clusters_seq/cluster_18 deleted file mode 100644 index 6889afb..0000000 --- a/seq/clusters_seq/cluster_18 +++ /dev/null @@ -1,386 +0,0 @@ ->YP_010088115.1 VPg [Platycodon mild mottle virus] -GRNKRERQKLKFRAARDNKHAYEVHGEDADMQHYFGDAYSKKGKGKGTTIGMGAKTRKFTNMYSFDPTEY -SFARYVDPLTGHTLDEQVTTDIQMVQEHFGNIRRQFIIEDLIDPARRGEGIEAYFVKNLGKQVLKIDLTP -HNPFRVCDKHETIAGFPERENELRQTGAPVLLPASALPTANEFVEGEFE - ->YP_009667143.1 VPg protein [Mediterranean ruda virus] -AKNKRQRQKLKFRSERDKKYRTLHGDEETLAENFGTSYTEKGKGKGTAKGMGAKSRRFINMYGFEPTDYS -FARYVDPLTGITTDESPLTDIGLVADHFMKIREDLIMADELDRQHDHANKVVEAYFVKDLGKKVLKIDLT -PHVPLRYSDKCNTIMGFPEREGTLRQTGAPQLIDPSDLPAKNEGEGMTFE - ->YP_009667096.1 VPg [Zucchini shoestring virus] -GFSARQRQKLKFRDARIAKLGREVYGDDGTIEHYFGEAYTKKGKSKGKTHGCGTKTRKFVATYGFKPEDY -SYVRYVDPITGETIDENVNVDMNLVQEHFGNIREDYLAKDLVDRQKIMSDPSIRAYYVRNGSKTALQVDL -TPHNPLKFCDRHVAVAGFPEREHELRQTGPAVEVPLNTVPGKNENVVLHE - ->YP_009667067.1 NIa-VPg [Iris mild mosaic virus] -AKSKRQRQKLKFRDAHDKKLGRVVEDDDSGAVEHYFGEAYAKKGKKGGQTRGMGKKTRRFVNMYGFDESE -YTYIRFVDPITGEMKDESIMTDITLVQEYFGELRREYITDDKIYSESIRSRPGIIAYYVKDQTSPILRVD -LTPHIPLKVCDNNNTIAGFPEHEGVLRQTGRPTKLSYDELPKMEVDHE - ->YP_009351887.1 VPg [Sunflower ring blotch virus] -GKSSSKRIQALKFRKARDKRAGFEIDNNDDTIEEYFGSAYRKKGKNSGTKVGMGKSNRRFINMYGFEPSE -FSYIQFVDPLTGAQIEENVYADIVDIQERFGEIRSKMIEEDELDAQATYTNTTIHAYLRKDWSDKALKVD -LMPHNPLKICGKTNGIAKFPERKGELRQTGVAMEVKVSDIPKVEVEHE - ->YP_009509104.1 VPg [Daphne virus Y] -GKNKMRRLKFRDARDKKMGREVYGDDGTIEHYFGSAYTKKGKQKGYTRAMGHKERRFSTFYGFDTDEVSF -IRYLDPITGVTVDESPLTDIGLVQEHFEDIRQRLLEEGELEKQAIMHKPGIQGYCVTDGAKTVLKVDLTP -HNPLLVQARVGTIAGFPDKEYVLRQTGPAVEIDRSALPKPSDGVVKYE - ->YP_009466011.1 VPg [Hyacinth mosaic virus] -GFNKRQRQKLKFQKARDEKLGKEVYGDDGVMTHYFGNAYEKKAKLKGVHTKGMGTARRKFVNMYGFDPTE -YSFVRYLDPLTGNTIDESPITDIKLVQDHFSEVRILAIQNGDLDPLYLGTKPGIEAYYIKNLATAALKVD -LTPHNPRLMCKNSNSIAKFPEREFELRQTGTPVSVDASRIPAENEFNDEVAHE - ->YP_009389256.1 VPg [Cucurbit vein banding virus] -NTKRSRQKLKFRDAYTDKLGREVYGDDGTMEQLFGSAYTKKSKSKGTTKGMGHKTRKFVNMYGFDPTEYN -TVRFVDPITGETLDESPITDLNIVQEHFGDIRDKLLEQDVISRQAVLSNPGIHAYYIKNAAKHALKVDLE -PHNPRLVCARVATISGFPEREFELRQTGMPQQIDISRVPAVQESVEHEG - ->YP_009310046.1 VPg [Euphorbia ringspot virus] -GYSKRQRLKLKFRDARDRKQGREVFGDDGTMEHYFGEAYTAKGKKSGRTRGSGIKNRKFINMYGFNPDDF -SAVRFVDPLTGCTLDESVYTSIRDVQDHFGQIREEAINEDLLDRQALGTGIQAYYTKNFQGKALKVDLTP -HNPYVVSRNTNTIAGFPEKEGVLRQTGDAVEIDIEAIPKPKPGLDMQVEHE - ->YP_009272676.1 VPg [Callistephus mottle virus] -GQNKRQRQKLKFRKARDQKDHYAFHGDDDVIAEHFGTAYTSYGKKKGTMHGTGKKIRKFVNMYGFDPSDF -SVVRFVDPLTGLVLDESPYVDIMIVQEEIEKERRRLFDEGELDAQHMRHNKTIQAYYMKNAASGALKVDL -TPHDPLVLGMRTNTIAGYPDREAELRQTGEPTLVKPEDVPKASTQEVSHE - ->YP_009259518.1 NIa-VPg protein [Wild onion symptomless virus] -AKGKRQNQKLKFRQARDSKTGREVYGDDGTVEHFFGAAYRAKGKKQGRTRGMGSKQRRFANMYGFDPDDF -SAVRYVDSLTGATIDENPVTDIHLVQEHFTAVRHVYLENELLEAQTIRSNPGIQAYFMNNKTGRALKVDL -TPHNPLLVCNKFATIAGFPEREFELRQTGAPIEVALADVPKESTGDIVDHE - ->YP_009252416.1 NIa_VPg [Tobacco mosqueado virus] -GKAKSKRIQALKFRKARDKRAGFEIDNNEDTIEEYFGSAYTKKGKGKGTTVGIGKTNRKFINMYGFDPGQ -FSYIKFVDPLTGAQIEENVYADVVDVQERFGEIRHNMLIDGELETQHLNSNTTIHAYLIKDWSDKALRVD -LTPRNPLLVSKLSSSIAKFPERSGQIRQTGPSVEVDVKDIPAEPVEHE - ->YP_009221989.1 VPg [Jasmine virus T] -GLNKRQREKLKFRRARDAKVGREVYGDDGTIEHYFGDAYTKKGKSTGTTKGLGRKNRRFVNMYGYDPADY -SFVRFVDPLTGHTIETSPHTDVDIIQKEIGDIRMKRVIDDDLSLDKIRSNPGITAYFVKDMSQHALKVDL -TPHNPLASCRNVATIAGFPEREGELRQTGHPKQVPISEVPEVTEEDGVEFE - ->YP_009126867.1 VPg protein [Tamarillo leaf malformation virus] -GKKNQRHKLKMAKAQTERHHYVVDGDEASLTHYFGSAYANKGKVKGTTRGMGHKNRRFVNMYGFDPSDFQ -FVRFVDPLTGVTLDESPQADISLVQNSFGEHRAKCVEDDDLSPQMIAARPGIKAYYVNNLAKKALEVDLT -PHISLKMSDTANKIMGFPQRENELRQTGPARAIDIKLVPNKNEAIELE - ->YP_009010964.1 VPg [Narcissus late season yellows virus] -AKGKRQQQKLKFRQARDNKNGREVYGDDGTIEHYFGAAYRAKGKVAGKVRGMGAKQRRFVNMYGFDPEDF -SAVRFVDPLTGATLDENPHTDIHLVQEHFTVLREEKIGLDELDVQKVRMAPGIEAYYMNNRTGKALKVDL -TPHNPLRSCDKKATIAGFPERELELRQTGAPKPIRIEDVPKEQEEDGVNHE - ->YP_008719794.1 NIa-VPg protein [Pokeweed mosaic virus] -GAKHKNQRLRFRNARDRKLGYEVHADDDTIEHFFGAAYTEKGKKKGKTHGMGKKNRRFTHMYGYDPTDYT -FVRFVDPLTGKTLDDSPYTDINLIQQAFGEERIRMIGEDELDPQQIMNSPGLKAYYGNQTTRKALEVDLT -PHNPLLVGRNTNSISGFPEREGELRQTGQARPINFDQIPREDEVSFE - ->YP_008320588.1 viral protein genome-linked [Habenaria mosaic virus] -AKNKRQKQKLKFRDARDRKVGRIVDAENGSEAVEFLFGDAYTKKGKKGGKTRGMGTKTRRFVNMYGFDDS -EYKYVRFVDPVTGEILDENVMTDISLVQDHFGELRSEYINEDKISPQALYSNPGIKAYFVKDKTSPVLEV -DLTLHEPLKLCDNSSTIAGFPEKEGILRQTGPAKQIKYEDMPEHDVAHE - ->YP_007969890.1 VPg [Donkey orchid virus A] -GFGKRQRQKLKFRRDRDNRDDYFIHGDEATIRKTFGEAYTVKGKKSGHTRGMGTKKHKFTNMYGFDPTEY -SQIRFLDPLTGVTIDSGVNAQIDLIQDDFGTIRMQHVEDDLLERQAITGSPGIKAFFLKHGSDKALEVDL -TPHRALMVGVNSNNIAGYPEREGELRQTSPHSVIDASSVPKENPYNLEQVKEE - ->YP_007354894.1 VPg [Brugmansia mosaic virus] -GKNKSKRIQALKFRKARDKRMGYEIDNNQDTIEEYFGSAYTKKGKGTGTKVGMGKTNRRFINMYGFEPGE -FSYIKFVDPLTGAQIEENVYADVSDIQKQFGEIRGDLLEKDELEPQHIYGNTTIQAYFVKDWSDKALRVD -LTPHNPLRVSDKASSIMKYPEREGELRQTGKPVEVNVKDIPKEKVTVAHE - ->YP_007001289.1 NIa-VPg [Yam mild mosaic virus] -GKNKRQNQKLRFREAADRKIGHVVFDDDSGTIEHYFGTAYAKKGKSKGKTVGMGKKTRRFVNMYGFDPAE -YQLIRFIDPLTGEILDESPHVDIMLVKDHFDTIRMEKIADDEIEPQKVYKNSGIQAYLIKDKVSPVLKID -LTEHLPLAVCNNFETIAGFPERERELRQTGQAVKVSYTDVPQKSTVIHE - ->YP_007001280.1 VPg [Ornithogalum mosaic virus] -GMGKRQRQKLKFRNTALGKLGREVHGDDGTIEHHFGEAYTSKGKAKGKHGTRGMGTKTRHFMNIYGFDPS -EYTIVRYLDPLTGATQDENPLMAIDLVQERFAEIRSQLICEDKLEKQAIISNPGIQAFYMKNKSDAALKV -DLTPHNPLLLTRTGTIAGFPENEFVLRQTGKAQNIRASDVPESNCLDDVEHE - ->YP_006666644.1 VPg [Caladenia virus A] -AKDKSKLKTRRGHIRSGALFGDEEDQGEFHTTRWVGSEKDIVDEFGESYSRKNRGKRREQPSEFKSLWDV -RAPRLHQFRTLYNTDVSKYKHVIIDIPNCEITKRLEYGDVDNLQAVVQSLVSQKRAELNKPDLQLPKEIT -LVLANSAGPGQKITLTPHDPFVQSRTTGQPSGYPEFEGEFRQTRHAQIITEEEAEKYKQRIEYQ - ->YP_006493340.1 NIa-VPg [Sweet potato virus G] -AKSKRQRQKLQFREARDRKTGYEVTADDGTIEHLFGSAYTKKGKQKGKVCGMGAKSRKFVNMYGFDPTEY -SFVRFVDPLTGKTIDDSPYTDILLVQEQFARARREAVANDYLSNEKISRDPGIEAYYINEITNAALKVDL -TPHNPLKACDRVNTIAGFPEREGELRQTGLPTKMTLADVPKESSFDTVVEHE - ->YP_006438194.1 NIa-VPg protein [Sweet potato virus 2] -GKDKRQRQKLKFREARDKKMGFEVTADDGTIEHFFGEAYTKKGKQKGKTTGMGSKNRRFINMYGFHPTEY -SLVRYVDPLTGKIIDDSIYTDVLLVQEQFTKARREAINDDLLSNEKVAQNPGIVAYFIKEGANAALKVDL -TPHNPLKACDRINTIAGFPERESELRQTGQPIQISKNQVPHNPETSDSSVVTHE - ->YP_006423991.1 NIa-VPg protein [Chilli ringspot virus] -ARNKRSNQKLKFRNARDAKVGRVIIDDDSGAVEHFFGAAYTKKGKKSGKQVGLGKKTRRFVNMYGFDPTE -YAYIRFVDPITGEMLDENPMADIMLVKDHFDDLRHEFLMDDKIDMQALYSNPGLEAYFVKDKTSPILRVD -LTGHIPLKVCDRASTIAGFPEKEGILRQTGPAQKLPFEKLPTSKESVDHE - ->YP_006423979.1 NIa-VPg protein [Celery mosaic virus] -GYNKRARQKLKFRNARDARMAREVFGDDEVMRENFGEAYTKKGKQSGRTKGMGSKTRKFVNMYSYDADDF -SFVRYVDPLTGFTLDESPMTDMRLVGEKIVEGRMHKLNEDELDMATIAAHPGIHAFYHKGGAKEAIMIDL -EPHNPFELCNTGNIAGYPERKGELRQTGKPRAVVAASIPAPNEFDGTATHE - ->YP_006423953.1 NIa-VPg protein [Bidens mottle virus] -GYSKSKKIKALKFRKARDKRAGFEIDGNDDTLEEYFGSAYTKKGKGKGTTIGMGKSHRKFINMYGFEPGE -YSYIRFVDPLTGAQIEENVYADILDVQKKFGDIRTQKIIDDELEPQATYSNQSIHAYFIKDWSNKALKVD -LTPHNPLLVSERASLIMKYPEREGELRQTGQGVEVDISEIPKGEASHE - ->YP_006401486.1 NIa-VPg protein [Pepper severe mosaic virus] -GRNKSKRIQALKFRKARDKRAGFEIDNNDDTIEEYFGAAYTKKGKNSGKTVGVGKSSRRFINMYGFEPTE -LSYIQFVDPLTGAQLEESVYADILDVQDHFQDIRRQKLEDDEISREKLRDSSVIHAYFVKDWSNKALKVD -LMPHNPLRICNKTNGIAKFPEMIGVLRQQEEAKEVDVSEIPKEDVVHE - ->YP_006395345.1 NIa-VPg protein [Pennisetum mosaic virus] -GRSKRSKQKLRFREARDNKHAHEVYGDDEVIARDFGTAYTQKGKGKGTKVGLGKKQHAFHMMYGFDPQDY -NLIRFVDPLTGTTLDEQIYVDVKLVQEHFAEIREEAINNDQLERQHVYSNPGLQAFFIQHGATNALKVDL -TPHNPLRVTTNNNIAGFPECEGILRQTGQAVKVHVSAVPQPNEEGVTHE - ->YP_006390073.1 VPg [Cyrtanthus elatus virus A] -GKRERQKLKFQRERSEKMNRGVFDDCEDNHDNFAKEYLKKKKSKGRSGGSDTKARRFVHMYGFDPQEYSI -VKFLDPLTGIVYDQNDFKSTWELSDKIVKDRFDDDDLERELLRYRPEIHAYYFKHGSHKAIKIDMTPHNA -TKVGKVSGRAVGFPSRQGEFRQTGEHVEVDVSNIDERVTSQLVDFE - ->YP_006390063.1 VPg [Hippeastrum mosaic virus] -GKGNAARAKQLKMRANRDKRTYRIDAPEEALEQFFGEAYTKHGKKSGKSKTHGMGKKAHKFYHVYGFDPS -DYLLVRYVDPLTGATYDEHPLASVSSVQEKFSEIRSQKIANDELELQKIYSQPGIQAYFMKGAGKTALKI -DLTPHQALRVSDISTSIMGFPEREGELRQTGKPSEVPIGSVPEGIVEEVSYE - ->YP_006280737.1 virus protein genome-linked (VPg) [Tomato necrotic stunt virus] -GLNGKKRVKALKFRKLRDKRMGTEVYGDDATIEDYFGSAYTKKGKGKGTVKGMGHKTRRFINMYGFDPAE -YSYIKFVDPLTGHQIEENVFADILTIQEQMGDARNEAIRADTLESQHVYAKPGIQAYVIKEGAKTALKVD -LTPHVPLKMCDNTNAIAGFPDRAYELRQTGPAKEIDIKDVPKDEVSHE - ->YP_004123948.1 VPg [Lupinus mosaic virus] -GFNKRQRQELKFRKARDDRTKFEVTGDDAEMEKYFGSAYTEKGKVKGTKRGTGMKNRKFVNMYGFDPNDF -SVVRFVDPLTGATIDDTTYADVVAVQERFTEIRNQQLVDDLISSEAIRYNPKVYAYYIKNKTSDALKVEL -TPHNPFRVSDKTNTIAGFPEKAGILRQTGTPEKISMSEVPISNEVADDDVTHE - ->YP_004123960.1 VPg [Apium virus Y] -GYNKRARQKLKFRNTRDARMAREVFGDDEVIADYFGESYTKKGKQSGRTKGMGSKNRKFVNMYSYDADDF -SFVRYVDPLTGYTFDESPMTDMRLVAEKVMEGRQYELSNGDLDWQLVTAKPGIKAFYQKGGAKEAVMIDL -EPHNPLEVCNTGTIAGYPERADEFRQTGKPTVVKVSEIPQANELREETTHE - ->YP_003902966.1 VPg [Brugmansia suaveolens mottle virus] -GMSKSKKIKALKFRKARDKRAGFEIDANEDTLEEYFGSAYTKKGKGKGTTVGMGRTNRKFVNMYGFEPGQ -YSYIKFVDPLTGAQIDDNVYADILDIQRQFGEIRDQKVLDEELEHQHIRMKPGIEAYFIKDWTTKALKID -LTPHNPLRVSDKASSIMKYPERESELRQTGPPQEVDLKDLPHLEVEHE - ->YP_003725725.1 NIa-VPg [Panax virus Y] -GYNKRQRQKLQFRNTRDARMTREVFGDDNVIGDYFGEAYTKKGKKSGKTHGMGKKNHRFVNMYSYDADDF -SFVRYVDPLTGYTLDEHPLTDMRLVMEQLFKARAQAINDDELDTQTIRLKPGIEAYFQKGATKEAIKIDL -MPHNPLQVCNKGTIAGFPEREFELRQTGKPIILPASAIPKAKPFEEDTVHE - ->YP_003582551.1 viral protein genome-linked [Sunflower chlorotic mottle virus] -GKNKSKRIQALKFRRARDKRAGFEIDNNDDTIEEFFGSAYRKKGKNPGNTVGMGKSNRRFINMYGFEPGE -FSYIQFVDPLTGAQIEENVYADIVDIQERFGEIRRKMIEEDELDSQSTLAHTTVHAYLRKDWSDKALKVD -LMPHNPLKICDKTNGIAKFPEREGELRQNGVAVEVNVSDIPTIPVEHE - ->YP_002539448.1 Vpg [Pepper veinal mottle virus] -AQGRNKRQKQKLKFRDARDAKLGRVVIDDDSGAIEHFFGSAYTKKGKSKGKTHGMGKKNRRFVNMYGFDP -TEYSFVRFVDPITGEMLDESVMADIMLVQEHFDDLRHEYISEDKIGVQALYKNPGIQAYFVKDKVSPVLK -VDLTQHEPLKVCDNSATIAGYPEHKGMLRQTGQATLVTHAELPSSEKVEHE - ->YP_002308561.1 VPg protein [Narcissus yellow stripe virus] -AKGTRQKQKLKFRQARDNKNGREVYGDDGTIEHYFGAAYREKGKVAGKVRGMGSKQRRFVNMYGFDPEDF -SAVRFVDPLTGATLDDNPMTDIHLIQEHFTAIRENLISEDKLDVQHIRNQPGIEAYYTNNRTGKALKIDL -TPHNPLRSCDKKATIAGFPEREFELRQTGAPQHIQLSDVPKEQTDDGVNHE - ->YP_001936193.1 viral protein genome-linked [Algerian watermelon mosaic virus] -GFSARQRQKLKFRDARLAKIGREVYGDDGTIEHYFGSAYTKKGKKKGYTHGCGTKTRKFVATYGFKPEDY -SYVRFLDPITGETLDENPAIDISLVQEHFGQLREKYLELDQIERERIVHDPSIRAYYVRNAAKTALLVDL -TPHNPLKVCDRHSTISGFPEREFDLRQTGPARQISIKEVPEPKVSDVVHE - ->YP_001936184.1 Vpg [Verbena virus Y] -GKRSSKRIQQLKFRKARDKRAGFEIDNNEDTIEEYFGSAYTKKGKGKGTTVGMGKTNRRFINMYGFEPGE -FSYIRFVDPLTGAQIEENVYADIIDIQDQFGDIRTQKIIDDELEAQATYNNQIIHAYFIKDWSKKALKVD -LTPHNPLLVSDKASAIMKFPEREGELRQSGKAVEVDVDDIPTNTVEHE - ->YP_001816852.1 VPg protein [Wild tomato mosaic virus] -AQNKSKRQRQKLKFRDARDSKVGRVVVDDDSGAVEHFFGSAYSKKNKSKGKMHGMGKKNRRFVNMYGFDP -TEYSFIRFVDPLTGEMLDESVMADIMLVQEHFNDLRHEYLSDDKIQAQALYSAPGLTAYFVKDKVPPVLK -VDLTAHVPLKVCDNSSTIAGYPEHENVLRQTGQGKLIDPNELPKSESVEHE - ->YP_001552427.1 viral protein genome-linked [Moroccan watermelon mosaic virus] -GFSARQRQKLKFKDARIAKLGREVYGDDGTIEHFFGEAYTKKGKGKGKMHGMGVKTRKFVSTYGFKPEDY -SYVRYLDPLTGETVDENVNTDVSLVQEHFGELRNKYIENDMMGKQKIASAPGIKAYYVRNAAKTALEVDL -TPHNPLKFCDRHIAIAGFPERENDLRQTGMAKEIPISKVPAKNEDTVTHE - ->YP_001552418.1 NIa-VPg [Tobacco vein banding mosaic virus] -GRNKKGAQRLKFRNARDAKIGRVVFDDDSGAVEHLFGAAYTKKGKKTGRAVGLGKKTRRFVNMYGFDPTE -YAFVRFVDPVTGEMIDENPVTDIKLVEEHFDDIRFQHIQNEKVPMQALYSNPGLTAYFVKDKTSPVLKVD -LTHHVPLKLCDNSSTIAGFPEMENILRQTGAAKPIDFSELPILVEGVDHE - ->YP_001019194.1 viral genome-linked protein, VPg [Narcissus degeneration virus] -GKRERQKLQFQKARTDKIDREVFRDENQDSNFGKLYLKKNESKGKAGKSGTKARKFIHMYGFDPQEYSIV -KYLDPLTGNVYDETEFKSVWELTDKVFEDRMADDDLERELLRYRPNIKAYYFKHGSHKAMQVDLTPHDPF -KIGRISGRQIGFPAHAGEFRQTGEAKEMDLTDIDTKVTRLVVDFE - ->YP_851205.1 Vpg [Blackberry virus Y] -ASGSRRNRLHLRDNKLIKGGYTWAGPSDDMEREFGPEYALKRDKFSEKKARKHMRERIQPRTNMGVKLAP -FQVFYGFDVADYDVLQLFDPITGVKIDMDPRATAKEITEEVEDTPFNKEVWSDTHMPEKIQATFVKKGGV -NREDVLKQVRVDMTTHNPTMVTGSGGIMGYPEHKGDFRQTGPPKFSIVPE - ->YP_611117.1 VPg protein [Daphne mosaic virus] -GKNKTKRLRFRDARDRKMGREAYGDDGTIEHYFGSAYTKKGKEKGYTRGMGSKGSKARKFNTFYGFDIDE -ISLIRYLDPLTGVTLDEQPYTDIAIVQEHFGNIRQKMVLSDELDPQKIISEPGIQGFAIRDGAKKVLKLD -LTPHNPLKVCDRFSTIAGFPERESELRQTGKAVEIDRSEIPKPSKAQVEYE - ->YP_331420.1 VPg protein [Shallot yellow stripe virus] -AKSRRRLRFRDAADRKQRCSLEGDDSTIEQYFGSAYVKKGKQKGTVRGMGIKNHRFYNMYGFDPTEYSIV -RFVDPLTGNTYDDAATATTYNGVSALLQMRRDMVNDDAMEMQALHLNKAKILEAYYIKAGADKALRADLE -RDRVLKVTLTPHDPLMVCHNFETIAGYPDREGEFRRTGPVETVSKDEVPKAQSYEPVYEVAYE - ->YP_254720.1 VPg protein [Thunberg fritillary mosaic virus] -AKNKRQRQKLKFRQARDNKHAIEVYGDDANLEHYFGSAYTAKGKSKGNTCGMGTKIRRFVNMYGFDVTDY -SFARYVDPLTGATIDENPLTDLGMVQEHFGKVRTQFISDDQLDPNQVRLNTKIEAYFVKNAAKEVLKVDL -TPHNPLQLGDIVPSISGFPERELELRQTGKPVLIPYSQLPERNEKETESLVFE - ->YP_063392.1 VPg protein [Hordeum mosaic virus] -GDSKRARQKLQFKQGHNKKMYNEVFADDETIKENFGEAYTKKGRKGANFTKGAGTKNNVFTNFYGVDPTQ -YEIVRYVDPLTGCTIDHDAKTPINARQLEQYFTDEREALHEESMLAPGASFVPNDIQAYFINTQTRRALR -VDMEPHNPLRVGHRTNNIAGYPDREGEFRQAGTARPVNITQVPSRRESQVGHE - ->YP_022758.1 NIa-VPg protein [Yam mosaic virus] -GKNSKRKNQKLKFRDARDKKVGRIVEGDDETIEHYFGEAYTKKGKQSGRTKGMGHKTRKFINMYGYDPKE -YEFIRIVDPLTGATLDENAYFDMSLVQEHFGEIRSKYIGEDLLDPQAIQSNPGLKAYFVNNTTKKALQID -LTPHIPLLTCRSGTTIAGFPEREGELRQTGPFNVITHNSVPASNEINVDQIEQATHE - ->NP_982341.1 genome-linked protein VPg [Chilli veinal mottle virus] -TVMHQSTDGISKCLKLKGTWNGSLITRDVLIAAGVACGGAWMIYQYFIDNERVDHQAQKKNKRQKQKLKF -RDAHDQKVGRIIVDDDSGAVEHFFGSAYTKKGKSKGKTHGMGKKNRRFVNMYGFDPTEYSFIRFVDPVTG -EMLDESVMADVMLVQEHFNDLRFEYLGDDKIESARLYSNPGIQAYFVKDKVSPVLKVDLTQHVPLKVCDN -SSTIAGFPEREGEFRQTGQATKVNYDELPSREGVEHEA - ->NP_945142.1 VPg protein [Lily mottle virus] -AKNKRQRQRLRFREARDNKHAYEVHGDDADIQTYFGSAYTKKGKTKGVTRGMGIKTRKFVNMYNFDPTEY -SFARYVDPLTGYTLDEQSLTDIALVQDHFGRIRRKLMEDGELEKESLAKNARLEVYFVKNLASQILKIDM -TPHNPLRVCDHIETVAGFPERDMELRQSGKSVMVTAAELPKENPYPEGEIVEFE - ->NP_871744.1 VPg protein [Onion yellow dwarf virus] -AKNKRQIQKLRFRDSRDKKLNYAVANDDSTIEHYFGSAYTKKGKTKGTVRGMGRKMNRFYTMYGVDPTEY -SIIRYVDPITGNTCDDSATEYSPKGIEELNAMRVEMVEDDAIDLQQFHRADAQTYVAYYIKHGSDKALKV -DLTPHNPLMVCHHTASIAGFPDKEGLLRRTGPAVEVNISEVSKPHAYEYKDSVSFE - ->NP_871734.1 VPg [Papaya leaf distortion mosaic virus] -GKRKTQKLKFRDARDKKMGREVYGDDGTIEHYFGSAYVKRGAVKGQKRGMGEKSRRFVSMYGVNLEDFAF -IRYIDPITGATRDESPLTDVELVQAHFGEIRDKMLDEGLIDRQHILNKPGLTAYLVKDGVKSIMKVDLQP -HNPLLICKNKATIAGFPEKEFVLRQTDKAYEVSREELPERNEDVSFE - ->NP_734395.1 NIa-VPg protein [Cocksfoot streak virus] -GRSKQQSLKFRRAREQKHGYEVYGNDGAVEREFGPAYTKKEKKKGKTHRLGKKTRPFVNMYNFDPTEYTI -VRYVDNLTGETLDESIQTDITIVMEHFADIREQMVDNRHLDPADDRENLNATAYFMHPHKESALKVDLTP -HNPLLVGRRRVSIAGYPERRGELRQTEDHTFIPVTEVPAPNSYVDHE - ->NP_734129.1 NIa-VPg protein [Scallion mosaic virus] -AKGKRQRQKLKFRQARDTKTGREVYGDDGELEHYFGAAYTKKGRTSGRTRGMGVKQRRFVNMYNFDPEDF -SAVRYVDPLTGVTLDENPLTDMHLVQEYFTNVRNEYLGQDALDPQQIRRSPGLEAYFTNNRTGKALKIDL -TPHNPLLVCNKKVTIAGFPEREFELRQTGEPLPVTIGEVPKATESEFAVEHE - ->NP_734099.1 NIa-VPg protein [Leek yellow stripe virus] -GKNYRQRQKLRFREAHDSKHAYEIHGDDAQLQTYFGNAYTMKGKKSGNTVGAGRKMHRFYNVYGFEPTDY -SFARYVDPLTGATLDESTVTDLSLVQDHFGTIRNQMRQSGDLEPDQISRNTTVECYYVNDLAKKVLKIDL -TPHNPLRVSGRSNNVMGFPERTLDLRQTGAPVAVSYNQLPPSKRDVGSFEFE - ->NP_734229.1 NIa-VPg protein [Japanese yam mosaic virus] -AKGKRQRQRLRFRDARDSKLGREVYGDDGTMEHYFGEAYTKKGKTSGRKHGMGQKQRKFVNMYSFDPEDF -SAVRFVDVLTGATLDETPITDLHLVQEHFTKIRSEMIESGELESQHLYSGKGVNAYYMNNRTGKALQVDL -TPHNPLLVCANKPTIAGFPEREYELRQTGQPKAISLKDVPKANDLSEMVQHE - ->NP_734325.1 NIa-VPg protein [Ryegrass mosaic virus] -GDGKRQREKLQYRAARDSKQDYEVYADEREIQENYGEAYTKHGRKGPAHEKGTGSKTREFTNFYGFDPAE -YDTVRLVDPITGKTCDKAVRDLLRMRDVADTFAEIRESMDEDMILQPGVNFAPALIEAYFMNSRTNAARR -VDLVPHNPMQVGRLSNNIAGFPTHDGELRQSRPSRPIQKDQVPAANEYSVQHE - ->NP_734375.1 NIa-VPg protein [Potato virus V] -GRHKAKRIQALKFRQARDKRAGFEIDNNEDTIAEYFGSAYTKKGKGKGTTVGLGKTSRKFINMYGFEPTE -YSYIKFVDPLTGAQIEENVYADIVDIQERFGDIRREMIEKDELETQATYANTTIHAYMIKDWSKEALKVD -LTPHDPLRVSDKASTIMKFPERQGELRQTGVGTVVNVDDIPKENVVHE - ->NP_734089.1 NIa-VPg protein [Sorghum mosaic virus] -GKNKRSRQKLRFKQARDNKTAYDISGSQEAIGENFGTAYTKKGKNKGTKVGLGVKQHKFHMMYGFDPQDY -NLIRFVDPLTGATLDEQIHADIRIIQEHFADIREEAINNDQLERQHVYANPGLRAFFIQHGSSNALRVDM -TPHEPLRVVTNNNIAGFPEYEGTLRQTGRPIVVSINQVPEPNEVEVEHE - ->NP_734404.1 NIa-VPg protein [Johnsongrass mosaic virus] -GKSKRTKQKLKFRDARDKKVGREVYGDDATIEYYFGEAYTKKPKKGNKTHGMGFKTRRFTHIYGIDPTEY -DMIRFVDPLTGTTLDESTQADMALVKEHFANVRESYVENDILERHSLYSNPGVHAYFIKNNASNALKVDL -TLHNPLALCRTNAIAGFPEYEGELRQTGPSVVIPKEEVPAQNEPEVEHE - ->NP_734315.1 NIa-VPg protein [Sweet potato feathery mottle virus] -GREKRQMQKLKFRKARDNKLGFEVHADDGTIEHFFGSAYTKKGKQKGKVTGMGSKNRKFINMYGFDPTEY -SFVRFVDPLTGAVIDDSPYTDILLVQERIGEARLNAIKEDELSREKVAQNPGIHAYYINEITNAALKVDL -TPHNPLLACERHSTIAGYPEYEGVLRQTGHPIKMTLNDVPKSPEETSLVGHE - ->NP_734219.1 NIa-VPg protein [Turnip mosaic virus] -AKGKRQRQKLKFRNARDNKMGREVYGDDDTIEHFFGDAYTKKGKSKGRTRGIGHKNRKFINMYGFDPEDF -SAVRFVDPLTGATLDDNPLTDITLVQEHFGNIRMDLLGEDELDSNEIRVNKTIQAYYMNNKTGKALKVDL -TPHIPLKVCDLHATIAGFPERENELRQTGKAQPINIDEVPRANNELVPVDHE - ->NP_734333.1 NIa-VPg protein [Tobacco vein mottling virus] -GKSRRRLQFRKARDDKMGYIMHGEGDTIEHFFGAAYTKKGKSKGKTHGAGTKAHKFVNMYGVSPDEYSYV -RYLDPVTGATLDESPMTDLNIVQEHFGEIRREAILADAMSPQQRNKGIQAYFVRNSTMPILKVDLTPHIP -LKVCESNNIAGFPEREGELRRTGPTETLPFDALPPEKQEVAFE - ->NP_734204.1 NIa-VPg protein [Tobacco etch virus] -GKKNQKHKLKMREARGARGQYEVAAEPEALEHYFGSAYNNKGKRKGTTRGMGAKSRKFINMYGFDPTDFS -YIRFVDPLTGHTIDESTNAPIDLVQHEFGKVRTRMLIDDEIEPQSLSTHTTIHAYLVNSGTKKVLKVDLT -PHSSLRASEKSTAIMGFPERENELRQTGMAVPVAYDQLPPKNEDLTFE - ->NP_734139.1 NIa-VPg protein [Sugarcane mosaic virus] -GKNKRSRQKLRFKEARDNKYAYDVVGSEEVLGENFGTAYTKKGKGKGTKVGLGVKQHKFHMMYGFDPQEY -NLIRFVDPLTGATLDEQIHADIQLVQEHFSVIRDEAVANDTIERQHIYANPGLQAFFIQNGSANALRVDL -TPHSPLRAVTNNNIAGFPEYEGTLRQTGTALQIPVNQVPAANEAGVAHE - ->NP_734252.1 NIa-VPg protein [Potato virus Y] -GKNKSKRIQALKFRHARDKRAGFEIDNNDDTIEEFFGSAYRKKGKGKGTTVGMGKSSRRFINMYGFDPTE -YSFIQFVDPLTGRQIEENVYADIRDIQERFSEVRKKMVENDDIEMQALGSNTTIHAYFRKDWCDKALKID -LMPHNPLKVCDKTNGIAKFPERELELRQTGPAVEVDVKDIPAQEVEHE - ->NP_734365.1 NIa-VPg protein [Potato virus A] -GYNKRQRQKLKFARARDEKMGHYVEAPDSTLEHYFGSAYTKKGKTKGKTHGMGKKNHRFVNMYGFDPSDY -TFIRYVDPLTGYTLDESPYTDIRLIQSQFSDIREQQLLNDELERNMVHYKPGVQGYLVKDKTSQILKIDL -TPHIPLKVCDATNNIAGHPDREGELRQTGKGQLLDYAELPQKKESVEFE - ->NP_734345.1 NIa-VPg protein [Plum pox virus] -GFNRRQRQKLKFRQARDNRMAREVYGDDSTMEAYFGSAYSKKGKSKGKTRGMGTKTRKFVNMYGYDPTDY -NFVRFVDPLTGHTLDESPLMDINLVQEHFSQIRNDYIGDDKITMQHIMSNPGIVAYYIKDATQKALKVDL -TPHNPLRVCDKTATIAGFPEREFELRQTGHPVFVEPNAIPKINEEGDEEVDHE - ->NP_734434.1 NIa-VPg protein [Pepper mottle virus] -GRSKTKRIQALKFRKARDKRAGFEIDNNEDTIEEYFGSAYTKKGKGKGTTVGMGRTNRRFINMYGFEPGQ -FSYIKFVDPLTGAQMEENVYADIVDVQEKFGDIRRQMILDDELDRRQTDVHNTIHAYLIKDWSNKALKVD -LTPHNPLRVSDKASAIMKFPEREGELRQTGQAVEVDVCDIPKEVVKHE - ->NP_734425.1 NIa-VPg protein [Pea seed-borne mosaic virus] -GKSKAKTLRFRQARDNNAKYEVFADEDTKRHYFGEAYTKKGKKSGKARGMGVKTKKFVNVYGFDPCEYSL -VRFVDPLTGLTYDRHPMEHMMDVQETIGDDRREAMWNDELDKQLFVTRPTIEAYYIKDKTTPALKIDLNP -HNPMRVCDKAETIAGFPEREFELRQSGSATLVPYSEVPVQNEKQEFDEEHVRTE - ->NP_734239.1 NIa-VPg protein [Papaya ringspot virus] -GFSARQRQKLRFKSAANAKLGREVYGDDGTIEHYFGEAYTKKGNKKGKMHGMGVKTRKFVATYGFKPEDY -SYVRYLDPLTGETLDESPQTDISMVQDHFSDIRRKYMDSDSFDRQALIANNTIKAYYVRNSAKAALEVDL -TPHNPLKVCDNKLTIAGFPDREAELRQTGPPRTIQVDQVPPPSKSVHHE - ->NP_734159.1 NIa-VPg protein [Lettuce mosaic virus] -GKGKRQRQKLRYRQARDNKMGIEVYGDDATMEHYFGAAYTEKGKKSGKTKGMGTKNRRFVNMYGYNPEDY -SFIRFLDPLTGKTMDEQVFTDISLVQDAFGKERLKLLSEGEIESEHMRNGIRAYLVKNLTTAALEIDMTP -HNSCQLGTKTNNIAGFVDREYELRQTGEARVVAPALIPKDNPITDEDIPVKHE - ->NP_734169.1 NIa-VPg protein [Clover yellow vein virus] -GKSKRTRQKLKFRAARDMKDRYEVHADEGTLVENFGTRYSKKGKTKGTVVGLGAKTRRFTNMYGFDPTEY -SFARYLDPITGATLDETPIHNVNLVAEHFGDIRLDMVDKELLDKQHLYLKRPIECYFVKDAGQKVMRIDL -TPHNPLLASDVSTTIMGYPEREGELRQTGKARLVDPSELPARNEDIDAEFE - ->NP_734179.1 NIa-VPg protein [Bean yellow mosaic virus] -GKNRRTKQKLRFRDARDMKGRMEVYADEGTIVENFGSKYTKKGKVRGTTTGMGTKTRRFTNMYGFDPTEY -SFARYLDPITGETLDEQPITNLNLVSEHFQEMRRKYRENDIMESQHFAASPSIEAYFVKDAGQKVLKVDL -TPHKPLLYSDKFGNIMGYPEREGELRQTGTAEFIDPKELPEPKESTDFDFE - diff --git a/seq/clusters_seq/cluster_180 b/seq/clusters_seq/cluster_180 deleted file mode 100644 index b003151..0000000 --- a/seq/clusters_seq/cluster_180 +++ /dev/null @@ -1,480 +0,0 @@ ->YP_009337844.1 RNA-dependent RNA polymerase [Hubei unio douglasiae virus 1] -MDQFIECYYIELIFCTIILYLVLVLQRVKEKILASHWNKKKQRALTAQHISTRVVRYASATLRTRFQRQM -ERVHSSVRVGHSHQSAASERNSATETMLAVVRKLGMIPYVISPSPREKDCDGIRDYYTLADLRCQTKNDP -ITDNHVIIMTDVDYYVNMDEILSHGRPVLLYTFQPTKVAGPVKNGYFTIEHDTVTYHVKGGKDVKHKIWN -YSVDTIYTPNIVSGWFETYFYAITDTLGELTPIDGFFGLLSGLGKLTPFHKEINNFLYQTVTVSTIDQFY -LSEHRTITTIVPYARCPTDIIDTLAPATRLVRMNYQVPDEDHIEPKNDLRFNCLVHISDSGPNISVGIAG -ELAQATLPLSLFESLRTAHSLAKARALSDTVRRSKLDNNESATLHCFLTGVQKSTPDIVHSPGLFSRHFN -SVDQQDDTIPYDNGKEYARDYAPGPLSDTAVFPTESSNNDKATIRGRIDIPQRIANGTQYIKPQFLKFAH -EFVSIILKNTTERLGQPYSNDYVIEKQNKPRQRQRNDQGLFHVIDKFVVRAFQKREAYNGPNYPRNISTV -PGYHNTRLSGFTYAFKDAVLRTHDWYQPCRTPEEIAANVHALAQKHEYLVETDYSKFDGTVTRWIRENVE -FPCYLRWVAPEHRDELNTLLLSELDAPGTTNTISYSPGCSRLSGSPLTTDGNTICNAFVSYCAARSMKYT -EAEAFSDIGLCYGDDGLRGGNVANTKLRKIASRLGFDLRVCNRAKAGSPVSFLSRVFADPWTSPASIQSP -LRTLLKIHTTVDRRTKLSVVGAAKTTAYLVTDSRTPFISDWCRAYQKAAKAQPVANFNDLDDIPFWVLDT -DNLAHPWPQDDPENYMDIVATELGITASELSDHIKLLNEYSGDVAGLPVLQTTIDQTPKLAVVLDGEIHA -GPNLQDDSNKHGIARQDVPVAQHRRSGAVERENRARPPHVSTAPRRGPQSNYPRGSTNPHKDSKERTDPV -SSKHRGADQTHPPKCEANGRRSGKTRGRTGKSNAATDQSNHGSAAGGRQSKITQGLAKTD - ->YP_009333611.1 RNA-dependent RNA polymerase [Beihai shrimp virus 6] -MDYKLLLKVVNKRNALLVGGSAATLYAMMRLCKESAKEYGLMERIVCWLRELVMTHLGVSYGDQFRRAFQ -NRFVAVEYKSPKGHSHGIAAGLRTAAQKSIMDFIEVEGYEPYVISMSARDKMYDGYHQVYMSKDVVHPSK -SDPVHDRHVLVSIDADYYANVPQWLRYGRPALFYTFTPTQVGGVIDDGCFCIREDEVTYRVNGGGSYHHK -IWDYSRDSLRVDYLTGSWFCSVETKEMSKQRSVVCITPHTWVWTPIARFIPGENLVRRRFEKSPGINRSD -YLTTSGEHRVSVGKPGLWHAVDTSLATYEAIRVRMKVASKPDIQTVEKLLRMEKGRVEDNNLANDAALLY -DCIRQDECIGPVPFTAGGHPDSYQCSGHPKNGYLVTEDGMRCGREVAPPLCTNAAVVPVVSLNNDIACIE -GRVNQVRNDTEPLQKFASYAAEFAQFVVPKRLMKTGVPISIEEVIEIQSKPTQRARSEQVHNLTTTPDGV -PITVKAFMKKEAYGGVNHPRNISTVPTSHTLTYSSYTYAFKRQILYDAKWYAPGLQPEEIAHRVVDICQQ -YGLVSETDYSRFDGTISKWLKVHVERAIYKRWVASDHSDELGRLMSSEDNPPAITRNGYRYKPGYGRLSG -SPTTTDGNTLINAYVSYAANRLAGSTAAEAYERLGLYAGDDGVTPITGHFMEAAASALGLKLKCVECTPG -SAVNFLARRFPDPWSGGLGSVQDPLRCVRKLHITFAPVDVPIGVAAVNRAIGYLGLDPNAPIVSNWCRKV -LELSDKKDLEGRERWIERCRVDLPYLNEGWPQIPNREDAVATVADAYGTDGATITSWCDAIDAAKSLDEL -RLIVDAPSIDKEDVATRNDSGLPTARLPTTKPPLERPSSSSAGSSSSGYGSKNRNYGRRVRPKKRTNQHR -GSNSGD - ->YP_009333380.1 RNA-dependent RNA polymerase [Beihai noda-like virus 11] -MSLFHFIASTFRQGVFRMSQSCLLWLITAIASVIGETLNLPVLIFISLAVFSLSIVAVVKMARSDWFANR -LLDLRHQLIGSSVIKIPTASHLRSTVQKKLEMTKCGVRRGHSHQQAATERNSATETMLDFVTSNGYIPYV -ISPSPREAGIDGRRRFYSLADLRQNYLNDPLTDKHIIVMTDVDYYVNMHELISLGRPILCYTFQPSTVSG -PVKDGYFTIKDDVVHYHVNGGKDVQHRIWNYNQDTIYVVDPENGFWATLRQIIYDLVGLSWLSSICHKRF -GIGPFGRKVTICTVDQFKLSDHRNIVSIVPFAKCRENLLPFEEYGQQLRRMQYGAANPTEQFNTLIYLGD -GDPLVSLGVAGQVASVQLPLRDLEAMMLMHNDSKTANLSDTVRRARATEKSLTEQQAAIVHHYLLSMLPL -KPDVVHKPGQLARHYQATDVKYDHDCTEQGREYARTYAPGPLSQTAVFPNESRANERATIDGRVVGPQTK -AKARENITQRLRKYAKDFVKQLVPNPGAGFRYSVAHVEEQQQKPLQRARNDANRMHHAISMITKSFQKKE -AYGAPNHPRNISTVPHGQNARLSGYTYAFKDAVLKKTEWYMPCKTPAQIAEAVVNLAAKSTELVETDYSR -FDGTFLRFMREHVEFACYKRWVPEELLPELSELLNNEVDSKARTRLGLTYAPGCSRLSGSPLTTDGNSIC -NAFVSYAAGRYSGASHEEAWDDIGIVYGDDGLRSGSVPDELLSSTASDLGFELKICNRASRGQSVSFLSR -IFCDPWSSPASIQDPARTLLKIHTTCDGSNKTIQEIGWAKTQAYLATDRLTPFISDWCLAYQRNCPEQLV -NYDDYTDIPFWVRDEDSLNNSWPQSDNEIWVGIVANRLGVTASELDAHIKKLRAFTGRVEDLPVLTSHID -QTPKLEVAMDGEIHAGPSSVNKKTENGPNHHGDREDSGTAETAVPTSRGSPAKPGRAVPGRGKRDANVCA -ERERKGGRNQASAIAHSNSASADKPHRRRPTPQVSGPRKPKV - ->YP_009333372.1 RNA-dependent RNA polymerase [Beihai barnacle virus 11] -MESNVAITVQPNDIGSFFSLRSLPRVVAKTGGICLLGVAGYKIVTSSWLAACVEDLRHRLISKNKTIIPE -AGRVRAFVQKEMELVSTSVRKGHSHSTAASERNSATETMIDVITTNGYEPYVISPSPRESDLDGVRRFHS -LADLRQDYRRSPITDRHIIVMTDVDYYVDMHEIISIGRPILLYTFQPRVVSGPVFDGFFTIKDNIIHYRV -NGGKDVKHPTWNYNQDTVFVRDPVNTFWETVYSVFREVTGISWIDRMIYHYIGIAPSGRRLTMCTIDQFE -LSPHRNIVSIVPFAHCRENLLPAAEYGTELSRSNYQQAAGCPRMNAITYIGDGDPLISLGEEGNFASVQL -PLKDLESLRTAYHLSKTNNLSDTVRRSKRNDKEAAIIHQFLVSESELHPVEVHKPGQLARHYQSVEKDHD -VDPTEQGKEYAREFAPGPLTQTAVFPSESTSNERATIEGRINVPQAKAKAKERITPRLRRIARDFVKHLV -PEVGAGHPYSGSHVEEQQQKPLQRARNDANRFHDAFDMVTKAFQKREAYNAPNHPRNISTVPHGQNVKLS -GFTYAFKDAILKNQKWYMPCHTPSEIATMVQDLAAESTELVETDYSRFDGTFLRFMRESVEFAAYKRWTH -SDHSQELDDLLANEIGSKAVTRKGLKYLPDCSRLSGSPLTTDGNSIANAFVSYAANRLSGMKTEEAWLNI -GIVYGDDGLRNGTVSDAILMETASSLGFDLKIINRASRGQPVSFLSRIYADPWSSPASVQSPTRTLLKIH -TTCDAHSDIEEVGWAKTQAYLVTDGLTPFISHWCKAYQRNCTSKIVDYKDFTDIPFWVRDENSLNNSWPQ -NDSDEWNKIVAEDLGVSVSELMEHLKKLDGYNGPISELPRLATNIKLDPKLAVALDGEVHAGPTKQEDGQ -DNPSDQPASGTAPTAVPTTGGRPQKPGRADRAGRQRNAHLRDQRPSRSDKTARPDRQVSGPSDNGPGNPS -SGRKSTPQVGGKKTRKA - ->YP_009330099.1 hypothetical protein [Hubei noda-like virus 17] -MSRIVVAAVSAAAITTLTFGCFTVLMRRKRTKAFLASKLQDLFSVYHDPVARSAVIKSFVKQHIGARAGH -SHAEAATDRNSATQTMHTAARQLGMQPYVISPSRRESDAMGWRCYFQSNDYGMQTKYDAIPEDACFIMTD -VDYYADMPDWLSLARPILMYSFMPTRVAGNVDGSNFTIVNDYVRYRVNGGGDFKHQIWNWNYDTLYVDMP -CNIMQQCIRGLCNVFGWGYRRHYFTIDSIAFGTMHNIYVLLPLRTLSIDFLQLGNQLERMQFSDGAFNKL -LVNKDGELWVSIAKQGEYTAVDLTINEFEAVSATFSLASKHYLADTLRWAPSIKNNGTASILHCYLNQEI -HRPQDNLVFQPGTMSPHYIVDPKPYEEYHFYARDFAPCPLSSPALAPAVSQTNEDVTINERVVKPQLRSG -RKTPPRRFVEYANEFIAQLIEGYEHVGEPWQWEDVAELQTRKHQRMRNARSILHSTCDFVVRAFQKKEHY -SGISAPRNISTVPSEHTLEYSTFTRSFKDVILKHAHWYVPGSNPEQIAQRVADLARSGDSVLETDFSKFD -GHQSAWLHYHVEFPAHYLFFKESYRYKLYELHARELSPKAFTSTGKQYSTEFSRLSGTPNTTDGNTLLNA -FFQYATAREMQLSPKHAYQAIGLAYGDDGLMSSVIKPEIAIKTAETIGLPVKTNIVRYGEPVTFLSRVFR -DPWVCLSSIQEPKRALAKINSTVHPELDIRQAGLMKVSGYLVTDAYTPVISDWCYAYLRITAQEPTTELA -ESDANYFAQEYPDTPWPQDSSIEWLDIVANLLGVQPVDINNFIEQCKVATTLDQLPVLTIDNAEHKMDGV -IYTSTGSVEISTQTENEAMADTNSQPTTTEGNRDEATADAGSRTLPAHSGEANRSTRQPRRNQGGDQRPT -NTAQPKNRLPHQRNSRGKGQRISGLRAETPNVMLDSGQPRHASGAAARARNSAHRRTVTKTQGNQPATNK -ST - ->YP_009329958.1 hypothetical protein [Beihai noda-like virus 18] -MMASIIALIAQLAWALSKPAVWWMYRTLTYIASLSADDFFVVFRLAYTVWVLEFAILAYFSRWILFRRRM -FSLVMAWFRRDLNVSFRKLVQRDFKLRPAVFRNGQNPHARQAADRTNVTEEMKAFARRVGMRPYIVSPSD -RENDEAGWRDYYSIPDLRQKTRRDKIGAKDLLLFTDVDYYADMHYFLSLGRPCMMYSWVPFEVSSSGSPY -AYTFVDDEVQYHCSGSSDYRHQLWDYNTDYLVSVDASDGIQGFLWNVFGITFGVTVSSVDQWATSLPDRR -LISVTPRVRLPIWCSVWERYLMGGKWLQRLRVSFSGYSMLRHHTEKGLVVSIAKQGEFCSVELPEAVLCA -LHRQFRFAKTPQLSDVQRWCKQHDVENREGMTILYEYLRDEWKMPNVSHVHKPGEFALHYSYVKPDTVDT -DKFYGRRFAPAPLGDVEDAFPTESRGNENRSVDKRVTSQLNTTRPPRIYDRYAQEFLQLLVPQPGKGTPV -SSAVIADNQTRPLQKQRLIKSALDLFSKNFVVRAMLKRESYEPGKDPRNISTVPMDHTLRLSEYTYAFKD -DILKPWAWYSPGQSPAEISSVLMHFCSENDRVVLGDYSRFDGTISQWMRTHVEQAMYLRWVAPQHADELQ -GLLRREVDAKAFTALGTAYEPKASRLSGSPLTTDANTAINAFVQFAALRLAGRSPDEAMNRLGLLCGDDS -VAAQETAKKLPIAARNLGLELKLEIAEKHQAVSYLSRVFVDPWTENGSFQEPIRTLRKLHLVRPSFTNSL -AGDDQCKLAGANKVAGYLVTDSHTPGIGNWCRCYQRITGLQGDVDADDPNVSYYARDNQSWPQSNTADLV -ALVAARMDIDPTVLKTWCNDLDKFRGEVKDMPQLSLPAGFTPTDQDYVVSDPGDGSSIVRSFVEAKKTQQ -ENQTKEDGTKTGNLSRPDKGSGVRAAEGAKGSARTGAGSACPRRQGAPKARLETETGGGSKFVAEHVGGR -ERNPRKTAKPDTGDRSSAVPRVDDRRPFRTRKRKRRPRSNLGSSERADRLQPVSS - ->YP_009329890.1 RNA-dependent RNA polymerase [Beihai sphaeromadae virus 3] -MESNVAITVRPNDIGHFFSLRSVASNSLKIGGICLLGVASYKICTSGWLEKCVEDLRHRIISRNKTVIND -AGLVRATVQKKMELVSTRVRKGHSHSTAAAERNSATETMIEVVTANGFEPYVISPSPRESDLDGIRHFHS -LADLRQDYRNDPLTDRHIIVMTDVDYYVNMHSIISLGRPILLYTFQPRVVSGPVIDGFFTITENIIHYRV -NGGKDVKHPTWNYNQDTVFVRDPVTTFWATLRQTLFDVTGISWISAKMHRAFGIGPCGQQLTICTIDQFE -LSPNRNIVSIVPFARCRDNLLTATEYGTELSRTTYQQKSGVPVMNAITYIGDGDPLISLGEEGNCASVQL -PLKDLESLRTAYHLSKTNNLSDTVRRSKRNDKEAAIIHRFLVSESELHPVEVHKPGQLARHYQSVEKDHD -LDPTEQGKEYAREYAPGPLTQTAVFPSESISNERATIEGRINLPQAKAKAKESVTPRMRRIARDFVKHLV -PEVGIGHPYSVSHVEEQQQKPLQRARNDANRFHDAFDMITKAFQKKEAYNAPNHPRNISTVPHGQNVKLS -GFTYAFKESHLKHQEWYMPCNTPSKIASVVQKLAAESEQLVETDYSRFDGTFLRFMREQVEFASYKRWVH -KDHIQELTDLLANEVDSKAVTRKGLKYQPDCTRLSGSALTTDGNSIANAFVSYAANRLDGMNDVEAWQRI -GIVYGDDGLRDGTVSDDRLMSTASSLGFELKIINRATRGQPVSFLSRIYADPWSSPASVQTPSRTLLKIH -TTCDTQSDIEEVGWAKTQAYLVTDCLTPFISNWCKAYQRNCTAKVINYKDFNDIPFWVRDEDALQNSWPQ -DNSEVWYDIVAQDLGVSVGELHRHLKLLDSYNGPIKDLPRLATALKTQPKLAVAMDGEIHAGPTEQNGQN -PTSDQPASDGATKALPAVGGGKNQSGRTVSVRHQRNAHLRDQRPGSNNKTAGPGHKISTPNGGTSQSSAR -TNCAAGRRSAPQVGRKKTRKA - ->YP_009047239.1 RNA-dependent RNA polymerase [Senegalese sole Iberian betanodavirus] -MRRFEFALARMSGAAFCVYTGYRLLTSKWLADRVEDYRQRIIAEKKQVLRDAAMIRTQIQREMELVRISV -RKGHSHQEAATERNSATETMLGVVEKCGYEPYVISPSPREVGYHGSRQFYSLADFRQDYRRDDITDRHII -VMTDVDYYVDMHELIGLGVPILLYTFQPSTVSGEVKDGYFTITDDSVHYRVAGGKDVRHRIWNYNQDTMY -VCSRPRGLWANLLQILRDITGVTAICSYLYTKLGIAPFGDPVTMFTVDQFKMGEHRNIVSIVPFATCRSN -LLKISEYGTELEYMRYQQRNNIANFNAVTYISENGPLISLGLEGNFASVQLPLQDFENIRTAYELSKTNN -LSDTVRRSGRPCKEAAIIHKCLQAECAVVSEVVHKPGDLARHYQAVGSAYDTDPAEQGKCYAREYAPGPL -TQTAVFPSESRSNELATIDGRIAGPQAKAKSREHITPKMRKVARDFVHHLVPIAGTGRPYPLTYVEEQQT -KPLQRARNDANRYHDEFTMMVKAFQKKEAYNAPNYPRNISTVPHTQNVKLSSYTYAFKASVLQHVPWYMP -THTPAEIADAVQNLAASSTELVETDYSKFDGTFLRFMRECVEFAIYKRWVHLDHLPELTTLLANEIQAPA -VTRLGIKYDPDCSRLSGSALTTDGNSIANAFVSYLAGRMAGMDDDEAWSWIGIVYGDDGLRSGNVSNELL -TNTASSLGFDLKIVNRAPRGSPVTFLSRVYLDPWSSPASVQSPLRTLLKLHTTCDTQSEIDDIGWAKTQA -YLVTDSKTPFIGHWCRAYQRNCTARVVQYADYTDIPFWVKNDDHVGKSWPQSESDDWNDVVANELGVTTA -ELLKHLALLDAYAGPISGLPRLTTSIDLEPKMSVALDGEIQAGPSQNKTSKDGTNPTSDRSAPRRARTAL -PGDDGHARRSRRSDRDPGKRDAHVRDKRPRRSPSPTRPVTPVPARSSGHRGTDGDGLGRAAVRQRQRRRT -QV - ->YP_003288759.1 protein A [Tiger puffer nervous necrosis virus] -MRSFGFHLARMSGATLCVVTGYRLLTSKWLADRVEGYRQRVIADRKNIIRDAAMVRTSIQKQMELVRISV -RKGHSHQEAATERNSATETMLSVVEKSGYEPYIISPSPREAEYHGSRQFYSLADFRQDYRRDAITDRHVI -VMTDVDYYVDMNELIGLGVPILMYTFQPSTVSGEVKDGYFTITDDSVHYRVAGGKDVRHRIWNYNQDTMY -TVSRPNGFWENLKRILRDITGITALCGFLYHKLGIAPFGDPVTMFTVDQFKMGEHRNIVSIVPFATCRSN -LLKISEYGAELEYMRYQQRNNVANFNAVTYISEEGPLISLGLEGNFASVQLPLQDFENVRTAYELSKNNN -LSDTVRRSGRPCREAAIIHKCLQAECTLASEVVHKPGDLARHYQAIGSLYDVDPAEQGKCYAREYAPGPL -TQTAVFPSESRSNERATIDGRIAGPQAKAKSREHITPRMRKVARDFVRHLVPEAGLGRPYPLTYVEEQQS -KPLQRARNDANRYHDEFTMIVKAFQKKEAYNAPNYPRNISTVPHNQNVKLSSYTYAFKASTLQHVPWYMP -THTPAEIADAVQNLAASTTELVETDYSKFDGTFLRFMRECVEFAIYKRWVHLDHLAELSQLLANELQAPA -VTRLGLKYDPDCSRLSGSALTTDGNSIANAFVSYLANRLAGMDDGEAWSWIGIVYGDDGLRSGNVSNALL -TDTASSLGFDLKIVNRAPRGSPVTFLARVYLDPWSSPASVQSPLRTLLKLHTTCDTQSEIEDIGWAKTQA -YLVTDCKTPFIGHWCRAYQRNCTARVVQYADYNDIPFWVKNDDHIGNSWPQSDSSDWNDVVATELGLTTA -ELLRHIALLEAYTGPTSGLPRLTTSIDLEPKMSVALDGEVQAGPSQQQTDKDGTNPTGDRSAPGRARAAL -PGVDGQASCSRRSNRGPGERDANVRDKRPRRSMPPSRSVTSVPPRPSGGRGADGDGIEGAARRRRTQRRT -PV - ->YP_003288756.1 protein A [Barfin flounder nervous necrosis virus] -MRSYEFHLARMTGATLCVVTGYRLLTSKWLADRIEDYRQRVIADRKKIIRDAAMIRTSIQKQMELVRISV -RKGHSHQEAATERNSATETMLSVVEKSGYEPYIISPSPREAEYHGSRQFYSLADFRQDYRRDEITDRHII -VMTDVDYYVDMNELIGLGVPVLMYTFQPSTVSGEVKDGYFTITDNSVHYRVAGGKDVRHRIWNYNQDTMY -TVSRPTGFWENLKRILRDITGITALCGFLYNKLGMAPFGDPVTMFTVDQFKMGEHRNIVSIVPFATCRSN -LLKISEYGAELEYMRYQQRNNIANFNAVTYISEEGPLISLGLEGNFASVQLPLQDFENVRTAYELSKTNN -LSDTVRRSGRPCKEAAIIHKCLQAECVLASEVVHKPGDLARHYQAVGSLYDVDPAEQGKCYAREYAPGPL -TQTAVFPNESRSNERATIDGRIAGPQAKAKSREHITPRMRKVARDFVRHLVPEAGLGRPYPLTYVEEQQS -KPLQRARNDANRYHDEFTMIVKAFQKKEAYNAPNYPRNISTVPHNQNVKLSSYTYAFKASTLQHVPWYMP -THTPAEIADAVQNLAASTTELVETDYSKFDGTFLRFMRECVEFAIYKRWVHLDHLAELSQLLANELQAPA -VTRLGLKYNPDCSRLSGSALTTDGNSIANAFVSYLANRLAGMDDGEAWSWIGIVYGDDGLRSGNVSDTLL -TDTASSLGFDLKIVNRAPRGSPVTFLARVYLDPWSSPASVQSPFRTLLKLHTTCDTQSEIEDIGWAKTQA -YLVTDCKTPFIGHWCRAYQRNCTARVVQYADYNDIPFWVKNDDHLGNSWPQSDSSDWNDVVANELGLTTA -ELLKHIALLEAYTGPTSGLPRLTTSIDLEPKMSVALDGEVQAGPSQQQTDKDGTNPTGDRSAPRRARTAL -PGVDGHTRCTRRSDRGPGERDANVRDKRPRRSMPPSRSVSSVPPPSSSGGGADGDRVEGAARPRRQRRNP -V - ->YP_611155.1 protein A [Redspotted grouper nervous necrosis virus] -MRRFEFALARMSGAAFCVYTGYRLLTSKWLADRVEDYRQRVIAEKKQILRDAAMIRTQIQREMELVRISV -RKGHSHQEAATERNSATETMLGVVEKCGYEPYVISPSPREVGYHGSRQFYSLADFRQDYRRDDITDRHII -VMTDVDYYVDMHELIGLGVPILLYTFQPSTVSGEVKDGYFTITDDSVHYRVAGGKDVRHRIWNYNQDTMY -VCSRPRGFWANLMQILRDITGVTAICSFLYTKLGIAPFGDPVTMFTVDQFKMGEHRNIVSIVPFATCRSN -LLKISEYGAELEYMRYQQRNNIANFNAVTYISENGPLISLGLEGNFASVQLPLQDFENIRTAYELSKTNN -LSDTVRRSGRPCKEAAIIHKCLQAECAVVSEVVHKPGDLARHYQAVGSAYDTDPAEQGKCYAREYAPGPL -TQTAVFPSESRSNELATIDGRIAGPQAKAKSREHITPKMRKVARDFVHHLVPIAGTGRPYPLTYVEEQQT -KPLQRARNDANRYHDEFTMMVKAFQKKEAYNAPNYPRNISTVPHTQNVKLSSYTYAFKASVLQHVPWYMP -THTPAEIADAVQNLAASSTELVETDYSKFDGTFLRFMRECVEFAIYKRWVHLDHLPELTTLLANEIQAPA -VTRLGIKYDPDCSRLSGSALTTDGNSIANAFVSYLAGRMAGMDDDEAWSWIGIVYGDDGLRSGNVSNELL -TNTASSLGFDLKIVNRAPRGSPVTFLSRVYLDPWSSPASVQSPLRTLLKLHTTCDTQSEIDDIGWAKTQA -YLVTDSKTPFIGHWCRAYQRNCTARVVQYADYTDIPFWVKNDDHVGNSWPQSESDDWNDVVANELGVTTA -ELLKHLALLDAYTGPISGLPRLTTSIDLEPKMSVALDGEIQAGPSQNKTSKDGTNPTSDRSAPRRARAAL -PGDDGHARCSRRSDRDPGKRDAHVRGKRSRRSSPPVRPVTPVPTPSSGDRGTDGDGLGRAAVRQPRRRRT -QV - ->NP_599247.1 protein A [Striped jack nervous necrosis virus] -MRRFEFELARMSGAAFCVVTGYRLLTSKWLADRVEDYRQRVIADRKQILRDAAVIRTSIQKQMELVRISV -RKGHSHQEAATERNSATDTMIGVVEKCGYEPYIISPSPREKEYHGSRQFYSLADFRQDYRRDEITDRHVI -VMTDVDYYVDMHELVGLGVPILLYTFQPSTVSGEVKDGYFTITDDHVHYRVAGGKDVRHRIWNYNQDTMF -VRSKPRGFWASLKQILRDITGITALCGYLYLKLGIAPFGDQVTLFTVDQFKMGEHRNIVSIVPFATCRSN -LLKISEYGAELDYMRYQQRNNNANFNAVTYISQEGPLISLGLEGNFASVQLPLQDFENIRTAYELSKNNN -LSDTVRRSARSCKEAAIIHKCLQAGCDLASEVVHKPGELARHYQALGDTYDIDPSEQGKCYAREYAPGPL -TQTAVFPSESRSNELATIDGRIAGPQAKAKSREHITPKMHKVARDFVRHLVPTAGLGRPYPLTYVEEHQT -KPLQRARNDANRYHDEFTMIVKAFQKKEAYNAPNYPRNISTVPHTQNVKLSSYTYAFKEAVLQHVPWYMP -THTPAEIAEAVQSLAASSTELVETDYSKFDGTFLRFMRENVEFAIYKRWVHLDHLTELSTLLGNELQAPA -VTRLGIKYDPDCSRLSGSALTTDGNSIANAFVSYLAGRQAGMDDDEAWTWIGIVYGDDGLRSGNVSDALL -SKTASSLGFDLKIVNRAPRGSPVTFLSRVYLDPWSSPASVQSPLRTLLKLHTTCDTQSDIEDVGWAKTQA -YLVTDCLTPFIGHWCRAYQRNCTARVVQYADYNDIPFWVKNEDHVGNSWPQSDSVDWNDVVANELGLTTA -ELLKHLAALDAYTGPVSGLPRLTTSLDLEPKMPVALDGEVQAGPSQQPQTDKDGTSPTGDRSAPRRARTA -LQDADGRACRSRRSDRSPGKRDANVRDKRQRRSTTPPRSRPSVPGPSSSGRRTDGDRVRGGAARQRQRRR -SPV - ->sp|Q993M1.1|RDRP_GGNNV RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=RNA replicase; Short=Protein A -MRRFEFALARMSGAAFCVYTGYRLLTSKWLADRVEDYRQRIIAEKKQILRDAAMIRTQIQREMELVRISV -RKGHSHQEAATERNSATETMLGVVEKCGYEPYVISPSPREVGYHGSRQFYSLADFRQDYRRDDITDRHII -VMTDVDYYVDMHELIGLGVPILLYTFQPSTVSGEVKDGYFTITDDSVHYRVAGGKDVRHRIWNYNHDTMY -VCSRPRGFWANLMQILRDITGVTAICSFLYTKLGIAPFGDPVTMFTVDQFKMGEHRNIVSIVPFATCRSN -LLKISEYGAELEYMRYQQRNNIANFNAVTYISENGPLISLGLEGNFASVQLPLQDFENIRTAYELSKTNN -LSDTVRRSGRPCKEAAIIHKCLQAECAVVSEVVHKPGDLARHYQAVGSAYDTDPAEQGKCYAREYAPGPL -TQTAVFPSESRSNELATIDGRIAGPQAKAKSREHITPKMRKVARDFVHHLVPIAGTGRPYPLTYVEEQQT -KPLQRARNDANRYHDEFTMMVKAFQKKEAYNAPNYPRNISTVPHTQNVKLSSYTYAFKASVLQHVPWYMP -THTPAEIADAVQNLAASSTELVETDYSKFDGTFLRFMRECVEFAIYKRWVHLDHLPELTTLLANEIQAPA -VTRLGIKYDPDCSRLSGSALTTDGNSIANAFVSYLAGRMAGMDDDEAWSWIGIVYGDDGLRSGNVSNELL -TNTASSLGFDLKIVNRAPRGSPVTFLSRVYLDPWSSPASVQSPLRTLLKLHTTCDTQSEIDDIGWAKTQA -YLVTDSKTPFIGHWCRAYQRNCTARVVQYADYADIPFWVKNDDHVGNSWPQSESDDWNDIVANELGVTTA -ELLKHLALLDAYAGPISGLPRLTTSIDLEPKMSVALDGEIQAGPSQNKTSKDGTNPTSDRSAPRRARAAL -PGDDGHARRSRRSDRDPGKRDAHVRDKRPRRSSPPTRPVTPVPTPSSGDRGTDGDGLGRAAVRQRQRRRT -QV - ->AGQ56677.1 RNA-dependent RNA polymerase [Dicentrarchus labrax betanodavirus] -MRRFEFELARMSGAAFCVVTGYRLLTSKWLADRVEDYRQRVIADRKQILRDAAMIRTSIQKQMELVRISV -RKGHSHQEAATERNSATDTMIGVVEKCGYEPYIISPSPREKEYHGSRQFYSLADFRQDYRRDEITDRHVI -VMTDVDYYVDMHELVGLGVPXLLYTFQPSTVSGEVKDGYFTITDDHVHYRVAGGKDVRHRIWNYNQDTMF -VRSRPRGFWASLKQILRDITGITALCSYLYLKLGMAPFGDQVTLFTVDQFKMGEHRNIVSIVPFATCRSN -LLKISEYGAELDYMRYQQRNNNANFNAVTYISQEGPLISLGLEGNFASVQLPLQDFENIRTAYELSKNSN -LSDTVRRSARPCKEAAIIHKCLQAECDLASEVVHKPGELARHYQAVGDTYDIDPSEQGKCYAREYAPGPL -TQTAVFPNESRSNELATIDGRIAGPQAKAKSREHITPKMHKVARDFVRHLVPTAGLGRPYPLTYVEEHQT -KPLQRARNDANRYHDEFTMIVKAFQKKEAYNAPNYPRNISTVPHTQNVKLSSYTYAFKEAVLQHVPWYMP -THTPAEIAEAVQSLASSSTELVETDYSKFDGTFLRFMRENVEFAIYKRWVHLDHLTELSTLLGNELQAPA -VTRLGIKYDPDCSRLSGSALTTDGNSIANAFVSYLAGRNAGMDDDEAWTWIGIVYGDDGLRSGNVSDALL -SKTASSLGFDLKIVNRAPRGSPVTFLSRVYLDPWSSPASVQSPLRTLLKLHTTCDTQSDIEDIGWAKTQA -YLVTDCLTPFIGHWCRAYQRNCTARVVQYADYNDIPFWVKNEDHVGNSWPQSESVDWNDVVANELGLTTA -ELLKHLAALDAYTGPVSGLPRLTTSIDLEPKMAVALDGEVQAGPSQQPQTDKDGTSPTGDRSAPRRARTA -XQDADGRACRSRRSDRSPGKRNANVRDKRQRRSTTPPRSRPSVPGPSSSGRGTDGNRVRGGAARQRQRRR -SPV ->APG76360.1 hypothetical protein [Wenzhou noda-like virus 3] -MDSVVFVGINLADGVAQVTWRKSSFKYILGAAVGSYCYYKTQQHLARYNARDSLAVYVVRAISERLYYYV -YRILDRFTIRLNKGVRRRFQRNLSMVRTTVREGHSHQMAAAERNSATETALNTVRSMGMEPYVVSPSPRE -IDEAGCRIHYSMADLNQHVRWDEVTPNHVFVLIDVDYYVDMDYLLSHGNPVLMYTFQPETVSGVVTDGYF -TIGANDLVTYRVSGGKDVTHQVWNYNQDVVMTEHHSGWWAVIVDVLQMIGLMPGVNSVCSHIDQFHVSKH -RRMIAITPFAQMPLMGALYGTRLARCKYTLNDEFVGLISMVTTNEQKSPVMSVARKGDLANATVPLTAIE -ALKLSHGIEPSKHISDVVRRAKVDIDTACILQAYLLSLGPPPNVVVHAPGSFAKHYQVHGMDKPLDDGRP -YARRFAAPPLSEESVFPTDCPQNNHASVEGRITKPQIAARKRQHIVARFYKYASEFVEHLVPVPHQGQPL -TVQEVDDRQNKPAQRARSQQVHMIDEEEMVVRAFLKREAMATPNDARNISTVPVTHTLRLSSFTLAFKQE -CLVDADWYTPCKTPAQIAQRLMDIASRNENLRERDLSRMDGSELEFQTVYVQDAAYLRWCAPEHKDELYT -LLTSEHRPQSRHKYGKYDPGWSKLSGSPTTTDGNTMKVAHADYCAARESGMGPLGAWEYVCQNIADYGDD -GVEGDQVDEDTVKRVYSMLGFDLKFLKTVRRGNPVNFLGRVFVDLWSTPASIQSPHRTLMKLHTTTNRDL -SVEEIGSRKCESYRITDGRTPFISNWINCYMRNVAYDGEVTRVPLEDIPFFTRRREDIVDTWPQTGEIPV -SLVAEELECTESAIQQHMDLLDAYVGDVMEIPRFTIAVVRPKVDALVDSEIHEAGSIAENSTNPQQQEEQ -DGRYHIEAPHDTIRDFGHNGTRRNRSRRRSRTAARPNGPDKATNAKADACKTTGRTVAKPAQGNNVQAGQ -HAEGPRRQRNLRPEANAKGDGMRRPGECQVGKTRTGTLK ->APG76146.1 hypothetical protein 1 [Beihai noda-like virus 8] -MSFNMSLVKFILPHVLVEGPGCLTRLRMLQRGVEEFARETITSKRIKIVIGGVTTVYLCYRAYGYFRDVD -IRAVAFDTRIGNVVYSWITNKLSTDVCPDNRQQVKGMVMRMTNVQLNNHSHPTAALMRRNANMFMQQACM -TLGRRSYNFQLSPTQQRLTNAGFRTVYCAKDLQMAYQNDKLKSDDVIVLTDVDYYVDMSSILRGNPTLAY -TFVPLEVAGTTEDGIYTTHADNTVELITNGGSRYRHLLWDYDSDHIVIDTWCGAYIYLIEQIKVTETRRI -IYFNPVRFVPFILGWILPGRRLKRRAFNKAGVMYSKFTKTNDEGVVELWHSMAYAGDTPACTIRSDTFQA -AYIRLITNKTPHISDVERYFSTHNVTNTLHAATLFFHIYQNCPGVFNERPELITPCVEQKDTHSYQSVDG -LASEDGKPTMRAVWPGYGNSIAFSPVKSYNNDKACLKGRIEEVKNRRYLIPPSYYSYLHEFVGHMVPSSR -MGSVTPLSYEEIWDRFSKPTQRAKLAQADYAMEGKTTVLSFQKVEAYNKVTAPRNISTLPMAHNATLGQF -TIPIMTYIFKSCHWYAFGKHPREVSQILFMKSQGTISATASDFTKLDGSIQEFFRDGFDMVAVAALHRQY -HAEWLRNSRKERNAKCRTAHGLKYESDATILSGSSMTSLLGTFVNALIMYIAYRTGYDSDQAWARLGLYG -GDDGVSFDLPAVWVRKTAAKFGMLCEASESCVGEPLSFLGRIYPDIWTSPASMADVKRQVGKLHLTGSPK -IVPDFLVLFRKAQGLRCTDENTPFLTAWCDSVMRIVRTQHCAEPENHKYWHLTKADIAYWSKYETPFEVF -SDTEHARGIVAEQFGITTAELVDYERKVSDAKTLEDLYFTDVIRTPGKVTLEAVVAGEVLHPAQTRDVQA -EILKAQDLKLSLCRYARRGEVCPYDHCKFTHTIAKVETVKKPKPKTKSKVVNQTPPRTGKQRLQTPSKNV -APVPKQQPKVSVAVTHTGKQKLQTPSKSAVPVLKQQPQVNNTKVNVAATKTTLNERFKTLASSKTSDNKS -QQAQQSTLTSVDTSTSAN ->APG76454.1 hypothetical protein 1 [Hubei noda-like virus 20] -MAALHHPNSFGAWLHRKPHAVVGHDFPGVKEPWGTRARTRLLQVFSTQTDRRTRLSAVRGLQFVRSDRTM -APGHSHPDNAAMRADASRFLTTVAVALGKRRFDVSVSSRELRGLAVGSRQYRVAKDLLSDAVHTERCSGD -FVSMVDNDYYLTEEGLAAYAGHDMGFFSLRPDGLSGKTKESAWSFQDSSTVVEQVSGGATYMHKVWDWAV -DVVVLRKGWATYIYDPVVFDVGPARTVVVLLLARIVHLPPACLKWFIPDITSFYPQRMSVESQGPFLVGK -FGPPADTRVQVMHAGNVGERAAIVKLETFRALGIAAEIPNTDRKVTGFELQPAAVERILRNTGDKVDTAG -CYLLSRYFTKHYRPLFLVNYQSKGQSLLEDGVAGAAVAAVPIAGTGCAPTSSHNNEARAIAARVVDVANK -REFSSEYKGYAEEFARLVVPIRGKGVPWSMNELRAQQDRPTQRARRLQEEQFLEDTSTKVRTSSFQKRET -YAKPGDPRLINQVPTDHTNRLCSYAASIKDHMKGKCGKWYAVGKNPLQMAQGLRGLQRSVGEQLAGGDYS -RMDGRTSVGYRQHVLEPIYTRYFGDEYKEELRFLFKREEEAKTRTQRFGVAAEMGGANLSGSGVTTDLNT -CDAAFNEYAARRKMGQEPSEAYSKLGMYFGDDSVVDPRVFSMVVAVASELGMKLVAEPVPEGAGEGYVVF -LARVYPDIRTSLASHPDVVRSLRKLCTVVAGKSPTKPHVMRLLRLKVEGVLITDELVPVLAAYARSLVRI -YNLRSLKGSESEWAACFEQDADYRRKKAVGPYPVKPEDVELLLPSVAAGLGISVEEAILLEGRLNAAASE -ADLASLATAVAGGELPDWADWVPTVGYDGI ->APG76124.1 hypothetical protein [Beihai noda-like virus 14] -MLNSMPIRDASYALVTHVWYGPSYLWGAAGHVPYLRTTVVSGVIIVSLRRWAVPSLLQVLKSHYAENRVN -SLLHKLLSETVCRINEDMRNIIHRNYHPMDYGKMRDGHPHPEAARERNAATISMHTMIVGCGYRPYSISP -SMREQDCDARRYFFSSADLAQQFRDDPIGDHHIITMTDVDYYVDMPGLISHGRPILCYTMQPVKVSASVS -EGHFLIEDNHVVFHVAGGKTVRHRIWDYSQDTVMTPCHSLSWSGAFIDALHWLVTGYPTAFTMVSYVDCW -QLSEHRRIVSIVPFSHLPTVVAQILYGTWLTPVQYDTGNGFNAIVSYGGDEPQVSIARNGEFASIQIPLA -TLQVATAAHRLATNKNLSDTQRRTKTKEEGVILHDYISHDHTEPPHVYVGSAARHYQVVMPDSTAADHNT -AGKTYARRFAPPPLGAEAVFPVETPENARASIEGRVTAPQRHAARNVGTDYAEYAEKFVRAVVGDAAHTG -WPISVDEVAIRQNRPTQRVRTAQRLMDITENFKVMAFQKREAYNAANAPRNISSVPTMHTLQLSSYTYAL -KEEVLKRFKWYIPGCTPVQIANRLQNLAEESSTLVETDFSRFDGHIGSFLRQRVEFAVYLLWVHPSMKTD -LYKLLQAELEPKAYQGGIKYHPGCSRLSGSPLTSDGNTIINAFVQYATMRKNNISHATAMANIGLICGDD -AVVPGMGITHDSRSAIAKRLGLSLKVEREVHRDSDVRQVGFLSRIFLDPWTTPASIQSPKRCLLKIHTTV -DTQVDIATAGVSKVTGYLVTDARTPLIKQWCDCYLRNAGQAEAQNTKDVPYFASQEFTSEPWPQQDHGFD -EVVADDLGINTAELLAYCTALEQYNGPVDSMPQLSIQQPEPKVDAVQDGEILQAGVTHVKQTEETILQDG -SSEQPRDLAASTGVDVSPGQADRPDARSKCRPERSSTRSTPKRGRAGKAPQPRVSADQSTAKYNPGSNQQ -SALNDGRVKQSRHPPSRRGTNGRGGRGSGRGRDRATRKSTHTTT ->APG76208.1 hypothetical protein, partial [Beihai noda-like virus 12] -MSLLALTRITFRPLTAPSGNSFLRFRPTLLGSQSRVPAEETLATFRPTELSLDQNVPSWMDFIRQYVPSR -WTCLKLFVAGSFAVLVYVQRKALCKDFTESTLYRRVSNGLHHWWNFSGTVNLNSGCRRLFQRNLWNVKVG -ISATHAHGQAARERNSATLMMINTVVACGMEPYVISPSPREKQYKASRVMYSYADLNQKFRQDPITDRTC -FVLTDADYYVDMPALLSYGRPVIMYTFCPQNAAGLVKDGYYRIENDEIVYAINGGKREYRHPVWNWNMDI -IGTEDVATSWYTLLWKCWRTLWHPVMIHFGFNPQVWGTVSHVDQFEMSDHRRIICVVPFARTYISKLSGT -MLGRCTFRVKVGDEPHVGVVYNKAGSNSGEVVPMISLAKEGELATAEMPLSKFQGVLAAHQCANSKHLSD -TQRRSSLDTNESAILHRYILNSDLPDRNIVVNHNPGKAKHYTMVNKVDTPAQQCEEPREYARSFAPPAIS -CEAVYPTEGLANTIGGIEGRVLAPQAASIARITNTIKGKPSDGVAPIVFTRLARYAHDFVLQLVPDELAG -TGVPLSVQEIDDRQDRPTQRLRSSQRTMTTSEPFVVRAFQKREAYAAANDPRNISTVPTMHTLRLSGFTY -AFKETILKKQHWYTPCRTPNEIAAQLSDIAMQCESVVETDFSRFDGHINEWTRRTVEFAAYLRWCDNGSR -GELGELLENEINAHATTSAGKYSPLGSRLSGSPGTTDGNTMIDFFVYYVAHREDHPLKTPEEAFAHCAGS -VACCGDDGLADGAIASDRALIRAATSLGLSLKIESRSRRGEPISYLSRVFPDIWTSPASHQSALRALGKI -NSTTNVTHELAEMGVAKTLGYLVTDAKTPFIGDWCRCYLRSLRRDLAVVDLTNDEAMRQRYGDHDLIDDL -PWWAKRQADRASPWPQEGMDFLPTVAKQLDVSIGELEDHIELLKQYDGPVDGMPTLQMPERPVKVDAVVD -GEVHVAGPSANSAVADSSATNPALSTPQDDNAVPEPAKRTTATPSGPNLTPKRAKKATATKV ->APG76289.1 hypothetical protein [Beihai noda-like virus 16] -MSTSELLFYEVCALGVAIVVALRVGVAVVKHARTAPADSWLCRFLASVLVTRINDGVRRRLQEGLNHLQM -SVPEGHSHAEAASERNAATQVMLNAVHSCGMRPYIISPSPREEGYDCTRAHHTLADLRQKVRKDPIRSDH -ILIMTDVDYYVDMEWLVAHGRPILAYTFQPETVSGTVKNGFFTISKDKVHYRVTGGKDVIHEIWNYNQDT -VYVVRGGMLWRWLTVLGRFLRLCHDTNVVAATIDQYAMSQHRRIVSIVPFATVPIVYNEGEMGQRLGRCK -YGDGMFNHLTFVGLNGPTVSLGMAGDCLQASLPLPLLESLKIAHECATSKHLSDTVRRARKGASDIADAD -CVVLHKYLCERVSRPIVEVHKAGSLARHFVCMNDVEKENVYDEGKRYARRYARCPISEEAVFPSELIAND -RQAVSGRVDGPQREAKTKVNLTPRTYKYIEEFINFLVPEPGIGSPWPISEVVEQQNKPLQIARNIRADMD -TDEPMVVKSMQKREAYGCSNDPRNISTVPTMHTLKLSGFEYAFKKEVLQKQHWYMPCKTPREIAQCVMDF -AQWNQKHGNGSIKGTDFSRFDGTVTIPIQIHLEQAALLKWAHPTHRAELIKLLQDELSPKAYTRFGIKYE -VGGSRLSGSPRTTNANTLDNAFTDYKALREYGMEPKPAYDVIGPKYGDDSITAGLLNERHLIKGAGELGF -KLKVETEAWSGQPITFLSRVFVDPFTTPGSIQDPRRTLAKLNTTVDAVTDINSCGIAKASAYLVTDSQSP -FVSQWCRCYLRNIGKTCTTGKSTDVPWWVQSSEFIQNPWPTEGVENLVEFVAKACDVSVGELEDHIKSLD -EYEGDVDCMPQLTVNEVVHKVAVVCDGEILQPGSGDLISQQQPKLQDAGSDQTTPKPRWCPSESRARKAR -PRNEASSETSSSTRAAERGGADRPNGKPAEKDGRKRQSAGSSHGRSEPGRQGRKDGQPGGRQRTGDGHGH -GQRRQWRKPRPL ->APG76582.1 hypothetical protein [Wenling noda-like virus 2] -MYSETLRSVMPEQFEDSPVASQGLSLLKRAAALGFAVYIWRKADVHGRVGSFASVIWNSHLVQSKLRSLK -SAAMSTHMVTHLNAGIRREIQASLKFIPTSVPPTHSHREAAMERNSATETMLAAVRSMGLDPYVVSGSPR -ESTEDGSRLYYTLADLSQRFRESPIKPTTVFIMTDVDYYVDMHALLSYHRPILLYTFQPEKVAGKVKNGF -FTIEDDIVDYRVTGGKTVRHRVWDYNQDVVATRDVDLSWWEVLCSAFMTAIGIKPWIRGTVSHIDQFALS -EHRRIVAIVPFASVKQPVLKAVTGATLRRARYSHGVLDKVNYLVHVSEEGPIASVGVQGELATAELSLER -LEQVKTAHGEATATHLSDTVRRSKLDPGTAATLHRFIRTSKAVPMEVHKPGQLARHYICVTNEDQYTNRY -DVGAEYAREFAPGPLTATAVFPSDHPANSKASIEGRVTMPQQSSGRALRINPRFNKYAREFTDHVVGDHV -HSGRPWTVEQVVQKQDRPTQRVRSAQRDMDWDENFQVLAFQKREAYNCVNHPRNISTVTTTHTLRLSSFT -YAFKAECLKDKKWYAPGKTPEEIAAAVMRLAANNDSLVQSDFSRFDGWINEWLRVNVEFPCYMRWVHSDE -RAELRDLLFVELNPKARTKLAGKYDAGCSRLSGSPLTTDGNTIINAFSAYAAAREEGHDPKDALLAIGLA -YGDDGMRAGFVPDQTMIRTAKFLGLNLAVEKRSTPGESISFLSRLFLDPWTTPASVQSPKRTLLKLHTTT -DRVKPIVDMGVAKVSGYLVTDGKTPFVSDWCEAYLRCAAREATTTTTDDSPWWVRDVEFRASPWPQDSYT -SVLHLVAEDLEVGSGELLEHITALRNYNGPISGMPRLAIPASPAKVDAVLDGEVHHAGASFVETHEPVSL -QDQVSQQDGVSPDPQRHDDFAQAVRGPTRGCPGHGRGRGSRFPRGGTRQTQQRGAPSTDGLNHRPPGRGA -HRTRGAPRRVNSHSTR ->APG76320.1 hypothetical protein [Hubei noda-like virus 14] -MLFQSAGIVLQTALDWVYPRPYQKVSMWRFWESNPPYTLGNCLYDLGHTACLAVGYCQAEPSFVLQIMKY -CGFAFLVFLLTVCAYYGYWARRFHVAFSVAIYTAFSRLVDRFCYRVNSGRRNHFNRSFKLSEFRTRWSHS -HPTAAGRRTAADISINDYILKTGKVPYNISPASRNDDDGYRSYYFSKDYVTRQRHDTLTGQHIIKMVDVD -YYLSADEFEYWLSAGNPVVMYTFCPLEAGGSVPDGSYTIDANNRVTLNVNGGGRYTHELWDWGMDHFTIE -TWAGVWIVFIDSIEAGSGWRIIQLTPMVWVPAPLSWAVPRYPMTRRAFSHQAGQTAWVSVTAQNSDGVQM -FSLARAGTDYSLTIPLSCYQSLLSRHRYAKSNRNVGDIMAHLDVFTRKGLTNCDSAIAAPMLYEYFEADP -PKLKVHLQTLGAFATHYQYQGPRELEVPRLYARDVGPKIIDAGYFPGISMNNEYLTIADRVRACRNTVVP -PSCYSRYLNEFLEMLVPVVGGLRPISVSDVMEEQDRPLQKIRNEQAKTWLYSLRKVVKAFQKRETCKLKA -PRNISTLSTDLNLKYSTYVRSFKQSYLTNLPWYVPGKSPSFIARAVCRIATTASHLLSSDFSNLDGSKSD -FLRGVYRACLLRAFGDDPALVDLLGSTYYPTCVTRHGILYDAGPSQLSGSADTTDNNTVAVAFWVYCGYR -KWGISPGDSWSFLQDSLVCGDDVLCCMPPECARTAAEELGLTLKVDVVRPGEPLTFLGRVFVDPWTTDNS -IQDPVRTLSKIHTSVADFRKVTPEAALRWKAAGYLVNDRFTPLISHYCHMVLRLQGLTEVPVLADAPEAV -RVDLPWWAIRSFEDGTGTWPHEKSERLWSIVASKLGLTIGELAGYCEVLDNLTVMQELPVLRRPTIEPTA -PVMVDGELVEPVDPPLSAEVARGMVAHDALHTADVGIQCPIIQEDAPFITPKKKGKGKKTKTSAASTSAQ -S ->APG76585.1 hypothetical protein [Wenling noda-like virus 3] -MDVCRQLIETCYAQRFRLGSGAVLLGLLVKYRQIIGFALGLGRSLRSRLFRLIVRLIDRYRKKLNPGTRR -RIQRTLTKVKVTVPEGHSHRDAATDRNTATTTMLNCAQALGLEPYVLSPSLREIEYDGRRYHYTIADLQQ -HVRDSPITKQHVIIMTDVDYYVDMNYLLSFGIPILIYSFQPETAAGLVDDGYFVIRKDSHIHYRVSGGKD -VIHQVWNYNQDTIYTQFVEGFWGFVISCLEMTGLVDGVNCVASNVDQFRMGNHRRIIAITPFSRVPFYYC -GLEGARLERCNYALGDFVGLKRIDADDVKISIAEESAFNAATLSLEDFEATSTMHRIEPSKHLSDTIRRT -GLPPKQAAVIHSYLLSEVTQPTVVVHQPGSFAKHFQSNDVRDNPGLEDGKPYARRFASSPLTHEAVYPTD -CPQNVNMSVRGRVTEPQRKAQQRQKIFPRYYKYATEFVRLLVPKPHLGHPLSIQEVDEKQDKARQKQRSL -KARMVTEEPMEVRAFLKREAYNAPNEPRNISGVPTTHTLRLSAFTHAFKRDVLARCNWYMPCKNPKQIAG -RVRYLADQQLTMRAKDLSRMDGSELSFQTRFIQDAAYLRWCCLEDMPELSLLLEAEHRPNARHKYGRYDP -GWSKLSGSPTTTDGNTMKAAAAEYFAAREYGYDPEQSWDLLQERYALCGDDSLDTAPIPDDVVQSTFGNL -GLDVKQCDVSAKGECVEFLGRKFVDPWTTPTSVQSPKRTLLKIHTTSNRTFEPHVIGRLKCEAYLITDPT -TPLVSNWCKCYLRNAPVMTESVEVPWDDLPFFARLPEHIENSWPQTTEPPIAIVANELGVDDAVVQQTMT -ALDSYKGDVMKMPGCSVSAPPSKVNALVDGQSIDVGSTCDDNSLQQMQTKDVNSTTTARFVREPSHQNAP -TCHRTNSRNGENSGTRQGATARQAAGTASSVAAQEFHAKDRQHGRKSGNQHHLPTGPTPPRPVRGTSKPD -SFDREGSRDFVRRRGRREQPQYRRMTDSLSRKAPATVQ ->ADK97708.1 unknown [Tetnovirus 1] -MLYNIAHDLTILGYDISERSLSHPRIIVRPFLTELVSVLRDVAKEALITPVIVPTTLTGSLMAYVYYQVA -NTYDQHDNPLIRIPMNCIYTLSICFPYRTNISLNHKLSDDLPLIRDYYGMPITQKDWPTSNVGWYRRYFG -TLRPNDQRLIYRYPRCPRSIPSLVRDYDWKLESVSPHPEEAAQRNAARFNLPSMALSMGYTPFTISGRPS -EATLGFNLVYNYRDTERLLRGDVLTDRNAIILVDTDYYIDMPKLLTTGLPILMYTFVPQRLAGSTQGTNY -YIDKHSQVHFRAAGGTTWNHYLWDYNHDYLTSPSVNNKIVSYQVYQTQLSENRRLIILFPKTVAPYLRRV -EATPLVRARFNQGEYCLMKTKTEQQEISISMGDEHVLTLPLDVFFELRGRFRNWKQADILYNVACLEQNA -KVLLQNKGYKTEDVNDVVARISVLHAYLRDHANQELYTLEHYTDMLHYRYDNGDLWGTTLKHAQRAAMLP -LTTAPNVAPVTDADADKTMVFGRVDTVHNKIVPPHVFNSYAHEFIELTLNGVHNLHPMDLELVFRNQNGP -QQKARRKFWFTQINPEPSTSVASFTKSESYAGIKWPRAITQTSTHNMIELSSFVYQLKQEVLKKQKWYGP -GKTPLETVSRLRDIASQSTTLIEKDYSKFDGTISRWLRENVEIPLLLAAFEPQYHQRIVANINTELNAVA -KSRNRVKYKTDGSRLSGSAITTDGNTIINAFVSYCSLRKTLSQQEAWTNMGLYYGDDGVDHHIDGVDYEH -SAKMLGLDVKLHRVECGQPLGYLGRCYPNIWLSTTSYTPLERALSKLHLVNNTSICLTEALANKAAGYLV -IDGQTPILSWYCQRILRLYNANPNTMTVQQKEDIASPWPQDDISLIRADIAMKYGDVATETNKRLILSWD -LKGQPPVVLPINGVELNKDRPAQVLGHYLAEKPPILIKQQAYETKNIKEKDVPADGDCFFHCLREHGVNR -GLSPMEMRKILRDYAKPFNVNDYPGDVFSQELQDHEPVGLEVVALTAYWLGIVIGVQCEERKAIFLCGGK -GATYWIRYKQGHYTALYLNMRPNHTIPCDLVIYLRQKAECKHKAQNVERSDRDYNTYYEHVDQIFQPASQ -TCDVHDTYEGVNPSWKVAAQLKPANAKALCNTRAKTICQAVARVLGLKYHLACYIQVLCQQRQFYGKRQA -SMTDWDRELDMRIHPFMMVRNLASLLHLDVTVRFPTSKSVQMVRYSVVDPDQTLFFNYCEKTGTWFTDAP -VVSTTSNATNSENQNHTNDKKSDEKRTSRKTNGGGNNQHQAEQPAQTSDKNGRQSGATNRQRSRFTRSGG -NSPICTSRDGRHETTNASRFSSGNKAPKPVKIVRPMASKQIASPNKLGSVSNDQRSNTHRVVSHPRSNRR -RRHNAKVVERATTHEEPASQKREPHAQPPDSN ->APG76171.1 hypothetical protein [Beihai noda-like virus 17] -MTLTQDLSEWIVYEYGDSVGQTTNSGIRREIQRNINLANSVVRKGHPHKQSAQQRNGATQGMVNMIRAMG -FEPYHISPSKREADQQGCRKYYHLRDLDTKRKNHKITERHILVMTDVDYYADLYYWLSFKRPIILYTFVP -RIVSGKVDDDSMFTIIENEVHYSVNGGSTWQHPVWDYNDDFLYVPKTPTNVGQRIIKWFLGLFGYDLGAV -VIVVDQYKVSDHRRFVSLVPTKVLPWYVKAERYGKQLTRMNYKHGNMNAVVVLDSKEPYISIAPEGNHTS -VEVPLNVFEPARTAHNLGKDNYLSSTERRVKEGIKSAYVHQFLAENTKSKVTIVHEPGEMATHYVAIRDT -AETLDEPHKTYARRFANCPIGDAEAIYPTEQFQNDMSCVEERVLKPAKEAQARISKQPQERFNKYAQEYV -DLIVPASKVGTGVPLTIEEVAELQNKPRQKQRSEQNRFNIDHHFMVKAFQKREAYSTANAPRNISSVPVT -HTLRLGSFSYAAKHDLLDELPWFMPGKSPQEIADAVHGLKEFDSVYMTDYSRFDGTVTHWIRQNVEFAVY -LRWVKPEHRAELSKLLNDEIHTKAFTKFGVKYLPDGSRLSGSSITTDGNTIDNGFVDFAALREVGYTPEE -SFAKIGLKYGDDGLTPNHVSKDVLERTSKFCGFSLKLQQANKTDEFPYVTFLSRYFPNLWHTNSSFQDPV -RALAKINTTVDTTSDVEQCGWNKTSGYLVTDPKTPVLAEWCKCYQRNVKNKARVMDKESKDLPYWIRDPN -LSVNPWQQTSKDEMVGLIEHLTGIDVADYEGKLAMYTGDVMNMPVFNLKQPAAKIDAQLIGAGKLVDRIV -SASETKVDNGSDGKQNNQRSKTRNNGSQDRYISDDKRTKRTRQPHSPSNHRLGGDDTKSYESIKRRVDRR -SKGNAGETREVAGGPRGKAGGTRRINPKSGELSTLIARAVHDSSGSANRTNGQDGAVSSQKQPDTSIPSA -LGGIVGVQRDRGQDGRGKSDTSEGHIRETPKKRSRKRRRGTGVTTPGLKGVAGDGTVGKTDDTKVDPTVA -HTIDEAASPAASNQTLVEVQTGGSTRDEASASNPQD ->APG76286.1 hypothetical protein [Beihai noda-like virus 13] -MLSKNINNGMTGEIIPSIIKIIRSGPLTEVMSSIAKIFEWVRKLLSVARPKLWEAVSRWCAEFWAGFRCG -VKLWAMLGAFAVLAQAEMAVYRTRAYKEWALKRRAQIVDTHRTTINAGVRRALQSRLVPLTSTVSKSHSH -AEAASERNAATEIMLAAVRSAGFVPYVISGSPREVTEQGVRRHYCLADLNQDMKEDPLTDKHVIVMTDVD -YYVDMPRLIARGRPIMTYNFHPEEVSGEVNNGYFTIKDDEVEYRVTGGKTVRHPIWDYNQDVVYCPRPIV -GFWATLRDWASWLFPWFIKSNGGVVAHIDSARMSKHRRITTIVPFADIATDQRLNVYGTDLTRCTYKVGR -GAQKEGLPEYNYNSLVYIAEDGPKISVGREGEIACATMPLGEFEAMQIAYHESDKKHLSDTWRRSKLEGN -APAVLHAFLSLNARPATVVHKPGQLAKHFTCLSEDKRVNPYDEPKEYARAFAAPPLSAEAVYPTQSQAND -VEGIAGRVLAPIKDSKARLNIRPEYLKYAKEFVELMVPEPGTGKPWSTAQVDEKQSRPTQQLRSKARLWD -VRERFEVKAFQKREAYNTPNHPRNISTVTTTHTLNLSCYTYAFKESVLKKQAWYMPGRTPSQIASALQDY -CATEETIHQTDYSRFDGYINRWLRVNIEHACMLRWVHSDHWAELEALLKDELHPKARNRTIVYDPEDSRL -SGSPLTTDGNTEIRSFPSYAAARMSGMSTAQAAHAIGLSYGDDGISSGLVPADLLVKVSSDLGLKLTVEA -TVSRGEPVTFLSRLFVDPWTSPTSVQSPRRALLKLHTTSNSHLDIEDCGRAKVSGYLVTDARTPFIGDWC -RTYLRCIDSAQITVDEFVSGVVPKDITTWWAAGEDFAANTWPQQEAENTLGLIAEDLGVTTGELRDHITA -LRQYSGDVMSIPTLEITKYDAPKVDCVVDGVVHHVGSVPTQIAQQTTDNDKPKNVPGMYRTNDAKSSQEV -PSRTRESEFRPFTGGRGAPRNATGAPKDSQFGVAQQRSAVRSSDRVSAARGAPANLQPTAEQLRRAPRRQ -HHGRTGNSGTRVVPSRPDRAQSTGDPTSSTNQHTNRRRTRPRRGGRQRTDPRPPTTDTRQPSGASSSATA -DGSPSAGGE ->APG76285.1 hypothetical protein [Hubei noda-like virus 18] -MARIVVATVSAMAVTTLTFGCFAVLMRRKRTKAFLASKLQDLFSVNYDPVARSAVIKSFVKHHVGARAGH -SHSDAATSRNSATESMHSAARQLGMQPYVISPSRREQGALGWRCYFHPNDYGMHTRYDVIPDDACFIMTD -VDYYADMPDWLSLARPIFMYSFMPTRAAGNVDGSNYTIINDVVRYRVNGGGDFHHQLWNWNFDTLYVDMP -CNILQQSMRWICNACGWGYRRHYFTIDSISFSPMHNIYVLLPLRTLSIDFLQLGRRLERMRFGDGAFNKL -LVNRDGELWVSIAKQGEYTAVDLSINEFEAVSATFSLASKHYLADTLRWAPSIKNDGTASILHCYLKQEI -HRPQDNLVFQPGTMSPHYIVKPKPYEEYHFYARDFAPCPLSRPALAPAVSQTNEDITILERVTKPQQRSG -RKIPPPHFVDYANEFVTKLVEGFEHTGDPWQWEDVADLQIRQHQRVRNLRSILHTTCEFVVRAFQKKEHY -SSITAPRNISTVPSEHTLEYSTFTRSFKEVVLKRAHWYVPGSNPEQIAQRVSNLARSGDSVLETDFSKFD -GHQSEWLHYHVEFPAQYLFFKEKYRYRLYELHARELSPKAYTSAGKRYSPEFSRLSGTPNTTDGNTILNA -FFQYATAREMRLSPEHAYQAIGLAYGDDGLMSSVIKPEVAVRTANTIGLPVKTHVVHYGEPVTFLSRVFR -DPWVCLSSIQEPRRALAKINSTVHPELDIRQAGLLKTSGYLITDALTPVISDWCYAYLRITAQEPTTELA -ASDANYFAQEFPDNPWPQDASIEWVDIVANLLNVQPVDIASFVEQCRVAKTLDQLPTLTIDDVDHKLDGV -IYTDTGSVEIQMLIDDEQMHAEGNREESTANAGNRTLSARPRETTKQNGRQSRDITGDQRSTIVNQPTDR -LPRKRTTRGKGRRISSQRAQTPNVMLISGKPRHASGTPARSRNSVDPATISVPQNNHPATRNQ ->APG76186.1 hypothetical protein, partial [Beihai noda-like virus 15] -MLFNREITIGSVFVAGGYVLYLHYRYSPKESFVVALFSRTRAALGHTVIKMLDWLREPLNVGLRRQIQRN -LVKIKVSVPPQHSHALSATERNSATESMRTCIASLGLEPYVISGSPRESELDGTREHYTLADLQQQVRAD -PVTDRHVIMLTDVDYYVDMHWLLSLGRPVLMYTFQPETAGGLVNEGYFVINGDSEVHYRVTGGKDVVHPI -WDYNQDTVYTEYCGGWQRYLWWFLEVIGLVDGVNCVASHIDQFHLSEHRRIIAITPFSAVPVYYRGLTGV -KLSRANYDLGGGFVGLKSMKDGDVFVSIAKTNEVNSATMPLQLFEAARTMHTVEPTKHLSDTIRRTKLEP -AAAAVVHSYMISQVREPQVVVHQPGSFGRHYQSSDARDKPGLEDGKPYARRYASSPLSAEAVFPTDTPQN -VNASVRGRITKPQEEARRRQRLVSRFYKYANEFVELLVPKPGIGEPLDAQEVDDKQDKERQRVRSAQVRM -VADEEMLVKAFLKKEAYAAPNEPRNISGVTTTHTLQLSRFTLSFKEDILKPRKWYMPARTPKQIAERVQE -IADSCDSMRMKDLSRMDGTELEFQTVYVQDAAYLRWAKPKYHAELRKRLSDEHQPNARTKYGKYDPGYSK -LSGSPTTTDGNTMKAAHAEFTALREAGFTKEEAWKELEDKFALQGDDSLDGSTVPDEIVQRTFSWLGFDL -KFIEHATSGQPADFLGRKFIDPWTTPASMQSPRRTLLKLHTTTNRTLEPHVIGRLKTDSYLVTDGKTPFI -SNWCRCYQRNVHTTKDDVSVPVEDIPFFARRQEDLAEPWPQEENLGYDIVAKDLGVTEG ->APG76581.1 hypothetical protein, partial [Wenling noda-like virus 1] -MSTRDITWFTKRAEKLKGKLISKHKKEIPLASDARRFVQKNMDLVYVGVRKGHSHRAAATERNTATETML -DVVSRRGYVPYVISPSPREKGVDGHRGYHTLADLRQDYKKDKITKNHMIIMTDVDYYVDMHEMISYGVPI -LCYTFQPSKVSGPVKDGFYTIKDNMIHYRVNGGKDVSHRTWNYNQDTVYTYDPVTRLWPTIRNKIHRSIG -IEWACAKLTRKFGIGPGGRKITVSTIDQFQLSEHRNIVSIVPFARCKENLIPLCKFGAQLEQTVYKQPGT -AAPATNAITYIGDDGPEISLGEEGKYASVALPLKTLECLRTAFNLSKTNNLSDTVRRSKVDHHDAAIIHQ -FLVSSSSLQTVEVHKPGNLAIHYQSAMKKHDLDPYEQGKTYARDYAPGPLSQTAVFPTESMSNERATIEG -RITTPQKKAKASLKLTGATKNYAREFVNLMVPHKKTSKGTPYSVAHVEAQQQKPLQRARNDANRMHDTFD -MITKAFQKKEAYSGPNHPRNISTVPHTQNAKLSGFTYAFKDDILKYLDWYTPCKTPLQIASLVQDLAAKS -TELVETDYSRFDGTFTEFVRNHVEFAVLRKWVRPEHAEELEKLLSNEANSKAFTKMGIKYFPEWTRLSGS -PLTTDGNSIANAFVSYCANRNSGMLSNEAFQKIGLVYGDDGLRNGEASDDTLMTTASGLGFDLKIINRAT -CGNKVSFLSRIYADPWTSPASVQSPARTLLKLHTTCDAHEDIEAIGWAKTQAYLVTDGKTPFISEWCRAY -QRCCTSKDIDYNDFTDIPYWTKDQESLNNSWPQESSDIWLQVVADDLEVSVAELCDHIAELEAFEGEVAD -LPRLETSLNQKPKLDVIMRGEIHAGPTVEQIATDLEEILDSSDNNLNSTGETIENGHPPDSPSDQRPSRT -DSRSVPGAIGAVSRPDEPRFRNRKRDANPSDKRPSINSQAVADARKVSKTTGTNNTRDKATEDERRGQSG -RQSPDRTSKPKSKSSSSSAPRSRKRKTSARASGVKAQGG diff --git a/seq/clusters_seq/cluster_181 b/seq/clusters_seq/cluster_181 deleted file mode 100644 index c209da2..0000000 --- a/seq/clusters_seq/cluster_181 +++ /dev/null @@ -1,168 +0,0 @@ ->YP_003858587.1 membrane protein [Bat coronavirus BM48-31/BGR/2008] -MTNSSASPPTETITVEQLKHLLEQWNLVIGFLFFAWILLLQFAYSNRNRFLYIIKLVFLWLLWPITLACF -VLAAVYRINWVTGGIAIAMACIVGLMWLSYFVASFRLFARTRSWWSFNPETNILLNVPLRGTILTRPLLE -SELVIGAVIIRGHLRMAGHSLGRCDIKDLPKEITVATSRTLSYYRLGASQRVASDSGFAVYHRYRIGNYK -LNTDHIGSDDNIALLVQ - ->YP_009824992.1 membrane protein [Bat coronavirus] -MSSSNSTFSKEEVFKAVKDWNFAVAILLLFVTILLQWGYPSRVKAIWVVKMFILWLLWPVSIAASVFAAV -YPINKVAFGFAIAFACITALMWLSYFVSSLRLLCRTGSWWAFMPETNMLLNVPFIGRTVTRPILNDSAAL -QFFILRGQVQFEGFVLGKCAPTEMPEVVTVAKAGSLVWYKKALTRTVGPKSGVVVYIKFKVGNHRVQNAN -DDDGQLAMFLA - ->YP_007188585.1 membrane protein [Betacoronavirus England 1] -MSNMTQLTEAQIIAIIKDWNFAWSLIFLLITIVLQYGYPSRSMTVYVFKMFVLWLLWPSSMALSIFSAVY -PIDLASQIISGIVAAVSAMMWISYFVQSIRLFMRTGSWWSFNPETNCLLNVPFGGTTVVRPLVEDSTSVT -AVVTNGHLKMAGMHFGACDYDRLPNEVTVAKPNVLIALKMVKRQSYGTNSGVAIYHRYKAGNYRSPPITA -DIELALLRA - ->YP_001039974.1 membrane glycoprotein [Rousettus bat coronavirus HKU9] -MSNNCTNTVPRPEVIAALKDWNFAVSVILLFITVLLQWGYPSRCKPIWVIKMFILWLLWPLSIAAAVFAA -IHPINSVAFGFAIAFACISGIMWLSYFISSFRLLCRTGSAWSFMPETDMLINIPLLGRTVTRPIISDSPA -VQFLIIRGELRFDGFTLGRCDPGDMPDIVTIARPNALHWYKRALTRNMYTRSAILVYIKYKVGNHRVQNT -TEDGDRLAMFVA - ->YP_009825055.1 membrane glycoprotein M [SARS coronavirus Tor2] -MADNGTITVEELKQLLEQWNLVIGFLFLAWIMLLQFAYSNRNRFLYIIKLVFLWLLWPVTLACFVLAAVY -RINWVTGGIAIAMACIVGLMWLSYFVASFRLFARTRSMWSFNPETNILLNVPLRGTIVTRPLMESELVIG -AVIIRGHLRMAGHSLGRCDIKDLPKEITVATSRTLSYYKLGASQRVGTDSGFAAYNRYRIGNYKLNTDHA -GSNDNIALLVQ - ->YP_009361863.1 membrane glycoprotein [Bat coronavirus] -MSNMTQLTEQQIISIIKDWNFAWSLIFLLITIVLQYGYPSRSMTVYVFKMFVLWLLWPSSMALSIFSAVY -PIDLASQIISGIIAGVSALMWISYFVQSIRLFMRTGSWWSFNPETNCLLNVPLGGTTVVRPLVEDSTSVT -AVVANGYLKMAGMHFGACDYDRLPSEVTVAKPNVLIALKMVKRQSYGTNSGVAIYHRYKAGNYRSPPITA -DSELALLRA - ->YP_001039968.1 membrane glycoprotein [Pipistrellus bat coronavirus HKU5] -MASSNVTLSNDEVLRLVKDWNFTWSVVFLLITIVLQYGYPSRSMFVYVIKMFVLWLLWPASMALSIFCAV -YPIDLASQIISGILAATSCAMWISYFVQSIRLFMRTGSWWSFNPESNCLLNVPIGGTTVVRPLVEDSTSV -TAVVTDGYLKMAGMHFGACDFQRLPSEVTVAKPNVLIALKMIKRQAYGTNSGVAIYHRYKAGNYRRPPII -QDQELALLRA - ->YP_001039959.1 membrane glycoprotein [Tylonycteris bat coronavirus HKU4] -MSSNGSLTKDEVVNIIKDWNFSWSIIFLLITIVLQYGYPSRSMMVYVFKMFILWLLWPASMALSIFSAIY -PISLSSQIISGILAAICAVMWLAYFVQSIRLFMRTGSWWSFNPESNCLLNVPIGGTTVVRPLVEDSTSVT -AVVNDGHLKMAGMHFGRCDYDRLPMEITVAKPSVLIALKMVKRQSYGTNSGVAIFHRYKAGNYRRPTIIQ -DEELALLRA - ->YP_173241.1 membrane glycoprotein [Human coronavirus HKU1] -MNKSFLPQFTSDQAVTFLKEWNFSLGVILLFITIILQFGYTSRSMFVYLIKMIILWLMWPLTITLTIFNC -FYALNNAFLAFSIVFTIISIVIWILYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGKMFVRPVIEDYHT -LTATVIRGHLYIQGVKLGTGYTLSDLPVYVTVAKVQVLCTYKRAFLDKLDVNSGFAVFVKSKVGNYRLPS -SKPSGMDTALLRA - ->YP_009113029.1 membrane protein [Betacoronavirus HKU24] -MSGQATTPEPIYSWTADEAIRFLKEWNFSLGIILLVITIILQFGYTSRSMFVYVIKMIILWLMWPLTIIL -VIFNCVYALNNVYLGFSIVFAIVSIIMWIVYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGRMFVRPII -EDYHTLTVTIIRGHLYIQGIKLGTGYTLSDLPAYVTVAKVTHICTYKRAFLDKIGDISGFAVYVKSKVGN -YRLPSSQKGNGMDTALLRNNV - ->YP_005454248.1 membrane protein [Rabbit coronavirus HKU14] -MSSTTTPAPVYIWTADEAIKFLKEWNFSLGIILLFITIILQFGYTSRSMFVYVIKMIILWLMWPLTIILT -IFNCVYALNNVYLGFSIVFTIVAIIMWIVYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGRMYVRPIIE -DYHTLTVTIIRGHLYIQGIKLGTGYSLSDLPAYVTVAKVTHLCTYKRGFLDRIGDTSGFAVYVKSKVGNY -RLPSTQKGTGMDTALLRNNI - ->NP_045301.1 membrane protein [Murine hepatitis virus] -MSSTTQAPEPVYQWTADEAVQFLKEWNFSLGIILLFITIILQFGYTSRSMFIYVVKMIILWLMWPLTIVL -CIFNCVYALNNVYLGFSIVFTIVSIVIWIMYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGTVYVRPII -EDYHTLTATIIRGHLYMQGVKLGTGFSLSDLPAYVTVAKVSHLCTYKRAFLDKVDGVSGFAVYVKSKVGN -YRLPSNKPSGADTALLRI - ->YP_009755836.1 membrane protein [Rodent coronavirus] -MSGVDTTPAPVYSWTADEAIRFLKEWNFSLGIILLVITIILQFGYTSRSMFVYVCKMIILWLMWPLTIIL -TIFNCVYALNNIYLGFSIVFAIVSIIMWIVYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGRMYVRPII -EDYHTLTVTVIRGHLFIQGIKLGTGYTLSDLPAYVTVAKVTHLCTYKRAFLDKIGDMSGFAVYVKSKVGN -YRLPSNQKGTGMDTALLRNNI - ->YP_003029851.1 membrane protein [Rat coronavirus Parker] -MSSTTSAPQTVYQWTADVAVRFLKEWNFLLGIILLFITIILQFGYTSRSMFIYVVKMIILWLMWPLTIVL -CIFNCVYALNNVYLGFSIVFTIVSIVMWIMYFVNSIRLFIRTGSWWSFNPETNNLMCIDVKGTVYVRPII -EDYHTLTATNVRGHLYMQGVKLGTGFSLSDLPAYVTVAKVSHLCTYKRAFLDKVDGVSGFAVYVKSKVGN -YRLPSNKPSGADTALLRI - ->YP_209237.1 membrane protein [Murine hepatitis virus strain JHM] -MSSTTQAPGPVYQWTADEAVQFLKEWNFSLGIILLFITIILQFGYTSRSMFIYVVKMIILWLMWPLIIVL -CMFNCVYALNNVYLGFSIVFTIVSVVMWIMYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGTVYVRPII -EDYHTLTATIIRGHFYMQGVKLGTGFSLSDLPAYVTVAKVSHLCTYKRAFLDKVDGVSGFAVYVKSKVGN -YRLPSNKPSGADTVLLRI - ->YP_009555244.1 membrane protein [Human coronavirus OC43] -MSSKTTPAPVYIWTADEAIKFLKEWNFSLGIILLFITIILQFGYTSRSMFVYVIKMIILWLMWPLTIILT -IFNCVYALNNVYLGLSIVFTIVAIIMWIVYFVNSIRLFIRTGSFWSFNPETNNLMCIDMKGTMYVRPIIE -DYHTLTVTIIRGHLYIQGIKLGTGYSLADLPAYMTVAKVTHLCTYKRGFLDRISDTSGFAVYVKSKVGNY -RLPSTQKGSGMDTALLRNNI - ->NP_150082.1 matrix protein [Bovine coronavirus] -MSSVTTPAPVYTWTADEAIKFLKEWNFSLGIILLFITVILQFGYTSRSMFVYVIKMVILWLMWPLTIILT -IFNCVYALNNVYLGFSIVFTIVAIIMWIVYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGRMYVRPIIE -DYHTLTVTIIRGHLYMQGIKLGTGYSLSDLPAYVTVAKVSHLLTYKRGFLDKIGDTSGFAVYVKSKVGNY -RLPSTQKGSGLDTALLRNNI - ->YP_009273008.1 membrane protein [Rousettus bat coronavirus] -MSGGNGTIPRSEVMAALRDWNFAISVVLLFITALLQWGYPTRCKPLWVIKMCILWLLWPVSIAAAVFAAL -YPINDVAFGFAITFACISSIMWLSYFISSFRLLCRTGSPWSFMPETNMLLNVPLIGRTVTRPILADSPAV -QFLILRGDLRFEGFNLGQCRPGEMPDTVTVAKAASLHWYKKALTRAIGKESAIIVYIKYKVGNHRVQNAR -EDGDTIAMFIA - ->YP_009072443.1 membrane protein [Bat Hp-betacoronavirus/Zhejiang2013] -MSGDSNNTITQEELFKVVKEWNFALGIMLFIFITLLQYAYATRSLGLYILKLVLLWLMWPLTFACFVLAA -IYHVNVVFMGFAITFAIIVGCMWLGYWIASIRLFRRTGSVWSFNPETNRLLNVAIRGTMYTRPLQEDTAV -IVATVARGVAVFAGHKLGRADLESLPNEITVATSRTLSYFKMSRKVNIGVGSGVATYLRYKVGNHRVPNA -RASEDQEDLLVVS - ->YP_009513017.1 membrane protein [Betacoronavirus Erinaceus/VMC/DEU/2012] -MSNDTLSQEEVIAVIKDWNFAWSIVFLIITAVLQYGYPSRSMTAYVVKMFMLWLLWPCSIALAVFSAVYP -INLVSQIISGLLAAVSVFMWLGYFLQSFRLFRRTGSWWSFNPETNCLLNVPIGGTTVVRPLVEDSTSVTA -VVTNGYLKMAGMHFGQCDYSRLPTEITVAKPNVLIALKMVKRQDYGVNSGVAIYHRYKAGNYRRPPVVVD -EELALLRA - ->YP_009724393.1 membrane glycoprotein [Severe acute respiratory syndrome coronavirus 2] -MADSNGTITVEELKKLLEQWNLVIGFLFLTWICLLQFAYANRNRFLYIIKLIFLWLLWPVTLACFVLAAV -YRINWITGGIAIAMACLVGLMWLSYFIASFRLFARTRSMWSFNPETNILLNVPLHGTILTRPLLESELVI -GAVILRGHLRIAGHHLGRCDIKDLPKEITVATSRTLSYYKLGASQRVAGDSGFAAYSRYRIGNYKLNTDH -SSSSDNIALLVQ - ->sp|Q0ZME4.1|VME1_CVHN5 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MNESIFPHWNSDQAITFLKEWNFSLGVILLLITIILQFGYTSRSMFVYLIKMIILWLMWPLTIILTIFNC -FYALNNVFLGLSILFTIISIVIWILYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGKMYVRPVIEDYHT -LTATVIRGHLYIQGVKLGTGYTLADLPVYVTVAKVQVLCTYKRAFLDKLDVNSGFAVFVKSKVGNYRLPS -SKSSGMDTALLRA - ->sp|Q0Q472.1|VME1_BC279 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MTDNGTITVEELKQLLEQWNLVIGFIFLAWIMLLQFAYSNRNRFLYIIKLVFLWLLWPVTLACFVLAAVY -RINWVTGGIAIAMACIVGLMWLSYFVASFRLFARTRSMWSFNPETNILLNVPLRGTILTRPLLESELVIG -AVIIRGHLRMAGHSLGRCDIKDLPKEITVATSRTLSYYKLGASQRVGNDSGFAAYNRYRIGNYKLNTDHS -GSNDNIALLVQ - ->sp|P69705.1|VME1_CVBQ RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MSSVTTPAPVYTWTADEAIKFLKEWNFSLGIILLFITIILQFGYTSRSMFVYVIKMIILWLMWPLTIILT -IFNCVYALNNVYLGFSIVFTIVAIIMWIVYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGRMYVRPIIE -DYHTLTVTIIRGHLYMQGIKLGTGYSLSDLPAYVTVAKVSHLLTYKRGFLDKIGDTSGFAVYVKSKVGNY -RLPSTQKGSGMDTALLRNNI - ->sp|Q9JEB4.1|VME1_CVM2 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MNSTTQAPQPVYQWTADEAIRFLKEWNFSLGIILLFVTIILQFGYTSRSMFVYVVKMILLWLMWPLTIVL -CIFNCVYALNNVYLGFSIVFTIVSIIMWIMYFVNSIRLFIRTGSWWSFNPETNNLMCTDMKGTVYVRPII -EDYHTLTATIIRGHLYMQGVKLGTGFSLSDLPAYVTVAKVSHLCTYKRAFLDKVDGVSGFAVYVKSKVGN -YRLPSNKPSGMDTALLRI - ->sp|Q8JSP5.1|VME1_CVPIA RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MSSPTTPVPVISWTADEAIKFLKEWNFSLGIIVLFITIILQFGYTSRSMFVYVVKMVILWFMWPLTIILT -IFNCVYALNNVYLGFSIVFTIVAIIMWVVYFVNSIRLFIRTGSWWSFNPETNNLMCIDMKGRMYVRPIIE -DYHTLTATIIRGHLYIQGIKLGTGYSLSDLPAYVTVAKVTHLCTYKRGFLDRIGDTSGFAVYVKSKVGNY -RLPSTHKGSGMDTALLRNNI - ->sp|Q3I5J2.1|VME1_BCRP3 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MAENGTISVEELKRLLEQWNLVIGFIFLAWIMLLQFAYSNRNRFLYIIKLVFLWLLWPVTLACFVLAAVY -RINWVTGGIAIAMACIVGLMWLSYFVASFRLFARTRSMWSFNPETNILLNVPLRGTILTRPLMESELVIG -AVIIRGHLRMAGHSLGRCDIKDLPKEITVATSRTLSYYKLGASQRVGTDSGFAAYNRYRIGNYKLNTDHS -GSNDNIALLVQ - ->sp|Q3LZX9.1|VME1_BCHK3 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MADNGTITVEELKQLLEQWNLVIGFIFLAWIMLLQFAYSNRNRFLYIIKLVFLWLLWPVTLACFVLAAVY -RINWVTGGIAIAMACIVGLMWLSYFVASFRLFARTRSMWSFNPETNILLNVPLRGTILTRPLMESELVIG -AVIIRGHLRMAGHSLGRCDIKDLPKEITVATSRTLSYYKLGASQRVGTDSGFAAYNRYRIGNYKLNTDHS -GSNDNIALLVQ - diff --git a/seq/clusters_seq/cluster_182 b/seq/clusters_seq/cluster_182 deleted file mode 100644 index 9d09cc3..0000000 --- a/seq/clusters_seq/cluster_182 +++ /dev/null @@ -1,531 +0,0 @@ ->YP_010086235.1 glycoprotein precursor [Groundnut chlorotic fan-spot virus] -MSSSLPIYLSGFIFYVTSLLVIMILMLCLILNLRQLSSSEKSPSLESQSGKKKYSGTYRSVMLSDSYTDK -EKCRYTEQNHEPPLPSNCMIVGKSTFNFLATMNEGDDYYTCPSENVTFYKKCKNINLLSDFNSEITIPVV -PVMNVENKRLLEVGSKYFEEKIGSKQHIVLHSVKLSGDCNISQLNSDETFNVNLETTEKLIGVTVKQMGQ -LDSKVQSFTGTFQYQLSEDSLDGFHYLICGDKFFHLPNVDNGLRRCVHKYQDNKTMLYTCVNFYWLKWAF -LFFLIMMPITWIMNKTKGPLSLWYDLLSIVLYPLIYLLNNLWSKMPYRCDMCGYLSLLSHDCPPKCVCGR -SDSGALHNPDCYFNRGFPKKPSKKFQFLMNTGITRNVTFYLTRFMLSTIVISILPNTLALQASCGYNCYA -DLEQSKFLYIGSHSMPSARECDCKITKDSGSLDFPFKVVETYKDITGKTQTNIANKKCYPDFDDDCADHL -DTEVLRILACAYSCEALKMYIYEPLPSVHESYKGEAYGKMKPIDLYSLQKSRTGVIDNRTILSRQEPSLS -TWEKTNSVMSKAIASLDKLDVSSISDSNTLSRTTLMYSSKVDGKYRYMIEQDLISDTGTVFEMVDGTMSH -SKKLMITHKNVGVTYTIEYLYTTADIVTTKTSIYSSCTGNCEKCWEGLGSTDNPSYHKFCLEPTSQWGCE -DFMCIAMNEGSTCGHCDNVYDMSNSLDVYRVKEVHVSSTACVNAGKGYNCFNHDDRKAYTNDNYQVSITS -TLQNDYISVGHKLALAKDGSAYTGNIADLMSADMTFGHPQLNKQGELLFAEEYIGKEQLSWDCEFIGPKK -VYIKKCGFYTFDHFSGLEKLNEGSFVITGLNKITMLKDYSLGKIKTVLDLPIELFVETTEAPKVNIIDHF -CSGCLECFKGLDCKYKINSNKHFASRISSDFCVSKHQYLIVERGSNEISMNLHCGVLPENAVLKMIVEDN -ENISLDIPLTKIEIINDKNVETLKDHRIQKSFQHKEDTDFHTFLETVTYPFNWVANFTNSALDLLKLALL -FGFIILGLYVLSKCVNLGKEAYSHATIYIKKKKHDDNDSDVDDSEMYGEKNLVRRLKYIDL - ->YP_010086063.1 glycoprotein precursor [Alstroemeria necrotic streak virus] -MKLIKLLELMVKVSLFTIALSSILLSFLIFKATDAKVEIIRGDHPEFYDDSYENELPVALPNQQKTALET -STNLMLDASSIRSRQERDGKLATASKSDSVTQKTISVLDLPNNCLNASLLKCEIKGVSTYNVYYQVENDG -VIYSCVSDTTESLGRCDNSQNLPKKFPEVPVIPITRLDNKRHFSVGTKFFISESLAHGNYPITYNSYPTN -GTVSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYLIKKPGEDAEHKVIPFSGSTSITFSEEMLDGD -HNLLCGDKSAKIPKTNKRVRDCIIKYSKSIYKQTACINFSWIRLILIALLIYFPIRWLVNKTTKPLFLWY -DLIGLITYPILLILNYLWKYFPFKCSNCGNLCIVTHECTKRCICNKSKASREHSGECPILSKEADHEYKK -HKWTSMEWFHLIVNTKLSLGLLKFITELLIGLVILSQMPMSMAQTTQCLNGCFYVPGCSYLVTSKFEKCP -EKDQCYCNVQDDKIIESIFGTNIVIEGPNDCIANQICSASSSIDSLVKCRLGCEYLDLFKSKPLYKGFPD -YTGNSLGLTSVGLYVTKRLRNGIIDSYNGTDRISGIVAGDSLDKNDTNIPENILPRQSLIFDSTVDGKYR -YMIEQALLGGGGTVFMLNDKTSGTAKKFTIYIKSVGVHYEVAEKYTTAPIQSTHTDFYSTCTGNCDTCRK -NQALTGFQDFCITPTSYWGCEEAWCFAINEGATCGFCRNVYDMDKSYRIYSVLKSTIVADVCVSGILGSH -CTRITEEVPYENTIFQADIQADLHNDGITIGELIAHGPDSHIYSGNIANMNDPVKMFGHPQLTHDGVPIF -TKKTLEGEDLSWDCAAIGKKSVTIKTCGYDTYRFKSGLEQISDIPVIFKDFSSFFLEKSFNLGKLKMVVD -LPLDLFKVAPKKPSITSTRLECSGCLLCGQGLSCNMEFFSDLTFSTAISIBVCSLSTYQLAIKKGSNKYN -ITMYCSVNPDKKKMVLYPEGNPDLSVEVLINNVVVEEPENIIDQNDEYAHEEQQYNSDSSAWSFWDYIKS -PFNFIASYFGSFFDTIRVILLIAFIFLVVYFCSMLTSMCKGYIKNESYKSRDKVEDDDDSETTKPLLIKD -TMTRRRPPMDFSHLV - ->YP_010086211.1 envelope glycoprotein [Tomato yellow ring virus] -MNRHYLLLYCLGVYLMFTITEVLLMNHAEDHEDTKVELKKLRDRYKIDDPEDLIEDRVVDTGFSFPPLDP -KVPERNTRDATTNVPSTANSQGIESLSCEDFEKANCIIKGTSDFNAHYQIKSSNSVLACITDSEKIFDAC -FFDSDIKRRTFFKMPVVPVLKLENKRVLEVGSKFFFVDSSNDPILSDPKSGMINPTVSRLSVRLSGDCII -SQVSMSSPYMIKMKSTENIGFAVKNIKDPQAMNFQTVSGDRSVYFKIDELDGNHFFLCGDKSSFIKKVDV -PVRNCVSKYADEPKKIFFCTNFSYFKWIFTFLMIAFPVTWMLWKTKKALSIWYDVIGILTYPILIVINYF -WIYFPFKCRSCGNLSFLSHECSKLCVCNQSEPSKEHAKECCLFSKDTKEWKSLSLIDHFQFTVNTKISSE -FLVFLTKMLIASILISYIPSSLALSIEKNVCVEKCFYSRDLEKLTTDKDGSAKNAVDTCECSIGDLITET -VYRGGTPISRATTKNDCVSSSYACLTSLNQAKNLFACRNGCHALSTLEKIPKVKYSKGYKGVEYSGNLTI -LKIANRLRQGFVDSQSEAKILESKVSKELSYFNSLKIDDIPPESLMPRQSLVFSTEVDGKYRYMIEMDIK -KETGSVYLLNDDSSHIPIEFMIYVKSVGVEYDIRYKYSTAKVDTTVTDYLSTCTGNCKDCQNSKAPSGHN -DFCIQPTSWWGCEELGCLAINEGAICGHCTNVFDLSTLVNVYQVVQSHVTAEICIKSLNGYDCRKHTDRA -PIQTEYYQLDMTVDLHNDYMSTDKLFAVTKQQKVLTGSISDLGDFSSGAFGHPQITINGTPLAVPATISR -DQFTWSCSAVGSKSVNIKQCGLFSYNMIYALTQSKDFSTIKEDKNKLYMTKDFLVGKLKVIIDMPKEMFK -KPPSKPMLSETKITCSGCSKCAMGLECNMEYTSDTTFSSRIEMDGCSFKSDQIGSYVGPNKKTIKAYCSD -SIKDKTIKMIPEDQEELSTELKIDQVDVVDQDTIISYDDKSAHDENIHHADTGIATLWDWVKAPFNWVAS -FFGSFFDVVRVVLVISAVLVGLYIVNYIYKLSFSYYKEKRKRKMELDLEEVTESLLTKSKRDGEPRRRSP -PKTFEFPLEF - ->YP_010085063.1 glycoprotein precursor [Soybean vein necrosis virus] -MRIKDFFVIMLLFGLNLVFLSIFINASIRGDHEVSQETSFEELSKEVMEAHEQGKIGKSLVSSRVEAFES -FNKEPIETSRRPEMNDRITQTKPGIDDQMIPKHGEGKREAPPPSKVDLSCANINPENCKVVGKTPFNFYY -QISDSEETISCLSSKVEDLKACNPQSMHVFTSAPVLPITTILNKRVLSVGSKYYIQNSIDSSSFPVQDSG -NVQLGLVNIYSVRLTGECNITKVSLTNPFFVTLTSSNKETFYSIKKIGADDVNLIKFSGEKTVELPSDSV -DGNHVLLCGDKVSEIPKIDVNKRNCLVKYKGKRYQQSACVHFNILRYLLVSIILFVPVSWFLNKTKDSMF -LWYDFLGIIFYPILYILNWLWRYFPMKCSNCGNFSLITHSCYDTCVCNKSKAKSEHAENCPIITGKAFSK -SEDQDVDDLSVEETESLTGSKKPKKASSKFRTFQLVVNTKISTGFLMFVTKVLLGFLIFSQMPKTMASKT -ATQTISQTETQVLCVSYCSAKPGCNKFLFKNEEVCIDNPKLKCNCLIRDGMINEEAYINGTRYSVSMVDN -CLDRRCEFSSNKVEDLLACRLGCGQLKKLKSSKLQSKALKQGIVPRGASISQIYSSLRLQDGYIDSEESL -HSLGDLPENKVKIEDEDIPEGVLPRQSFVYNSIVDGKYRYLISMDAIQSTGYVYSMNDAKMAPPQELVVF -VKEAGVTYTMKTLYYTAPISATHTHVYSTCTGNCETCRKEHPISGYQDYCIAPTSNWGCEELGCLAIGEG -ATCGYCRNVYDLSKKYSVRQILTSHVTVKVCFKGFAGTGCQTITDSVPFQNSYYQLSIDADLHNDDLTAG -SRVAVDPNGMLFKGNIANLKDSSLAFGHPQLNELGVLMFAKANLELSDFTWSCAVIGNKKVDVKKCGYDT -FHQYIGLEPISDYFADEIDDGTMFVKKDFKIGKINLIVDLPSELFQTIAKKPKVAMVASACKGCLSCSSG -INCDLEFTSDSIFSCRISWEHCTSEPEQIAMKKGTNVFKLKPCFALKIQLLQKIILVPSDDESMALEFET -KNVEISDPETIIDHNDDAFNEEVEYDSDTSFKSVWDYIKSPFNWVASFFGDFFEIVRVLLVLTCCAVLFI -SISKLYEICHEYYSQEQYKKSSRKKEGDLEDDDEKKAQDDIIKSLRNQVTEDTTYKRNSNKSTRKAPFDD -LIIRV - ->YP_009553294.1 envelope glycoprotein [Alstroemeria yellow spot virus] -MNRHYLLLYCLGVYLMFTITEVLLVNHAEDHEDTKMELKRLRDRYKIDDPEDLIEDKIIDTGFPFSSLEP -KIPESVPVDTETYTPPSGRVPDRNPREATTTTPPTAKPQETDTLSCDSFDKNNCIIKGVSNFNAHYQIKT -SNSILSCLTDSEKIFDACLFDQEIKRKSFLKMPVVPVLKLENKRVLEIGSKFFFVDSSNDPILTDSKTGM -SAPTISRLSVRFSGDCVIDQVSMSSPYMIKIKTTENIGFSVKNIKDPQYSTFQTVSGDRSVYLKTDELDG -NHFFLCGDKSSFIKKVDVPVRNCVSKYSDEPRKIFFCANFSYFKWIFVFLVITFPITWVLWKTKKAMSIW -YDIVGILTYPILILINYFWLYFPFKCRVCGSLSIFSHTCSKLCVCNQSDSSKEHAKECYLFNKNTKEWKS -LSLIDHFQFTVNTKVSSEFLIFITKMLFAALLISYIPSSLALSMEKNMCVEKCYYSKDLERLTTDKDGSG -KNAMDTCECAIGELITETVYRGGTPISRATTKNDCILSASSCLTSLNQAKNLFACRNGCNALSTLEKIPK -VKYSKGYKGLEYPGNLTTLKIANRLRQGFVDSQSEVRNLETKITKDLEYFKSLKVDDIPPENVMSRQSLV -FSTEVDGKYRYMIEMDIKKDTGSIYLLNDDSSHVPIEFMIYVKSVGVEYDVRYKYSTAKVDTTVTDYLSV -CTGTCKDCRKSKTPVGHNDFCIQPTSWWGCEELGCLAINEGAICGHCTNIFDLSTIVNVYQVVQSHITAE -ICIKSLDGYNCKKHTDRVPIQTDHYQLDMSVDLHNDFMSTDKLFAVTKQQKILTGSISDLGDFSSGAFGH -PQITIDGSPLSVPATLSRDQFSWSCTAVGSKSVNVKQCGLFSYNMIYALTQSKDFSIMDEENNKLYMTKD -FLVGKLKVIVDMPKEMFKKTPSKPTLSETKVSCSGCSKCAMGLECDLEYTSDTTFSARMMMDSCSFKSDQ -IGSFIGPNKKKIKAYCSDSIKEKTIKLVPEDQEDLTTELRIDQVEIIDQDTIISYDDKSAHDENIHHSDT -GISTLWDWIKAPFNWVASFFGNFFDIVRIILVVLAVSLGIYIVSYIYNLSFSYYKEKRKRKMEDDLEEVS -LSLLSKTKKNGETRRRSPPKTYDFPIDF - ->YP_009666321.1 GP protein [Groundnut ringspot virus] -MRLIKLLELMIKLSLFAIALSSVLLSILLFRATDAKVEIIRGDHPEIYDDSSENEVSTTSLMLRRTVPEV -LPGNFKAHSDRSRTERAEKQAPTLQAESSSEKAVSIPDNPNNCLNASLKCEIKGISTYNVYYQVENNGVI -YSCVSDSADNLGRCDNSQNLPKKFPEVPVIPITKLDNKRHFSVGTKFFITESLASGNYPISYNSHQTEGI -VSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYIIKRPGEDGEHKVTAFSGSVSITFSEEMLDGNHN -LLCGDKSAKIPKTNKRVRDCIIKYSKSIYKQTACINFSWIRLILIALLIYFPIRWLVNKTTKPLFLWYDL -IGLITYPLLLILNYLWKYFPFKCANCGNLCIVTHECTKKCICNKSKASREHFSECPILSKESDHEYNKYK -WTSMEWFHLIINTKLSLSLLKFITEVLIGLIILSQMPMSMAQTAQCLNGCFYIPGCNQMVTSKFEKCPEA -NQCFCSIREEKIVESIFGTNVVIEGPNNCIANQNCLESSPMDTFIKCRLGCEYLELSRNKPLFNSFVDYT -GSSLGLTSSSLYMTKRLRNGIIDSYNVTDKISGIVAGDSLKQNETSIPENILPRQSLIFDSIVDGKYRYM -VEQSLLGGGGTVFMLNDKTSGTAKKFTIYIKSTGVHYEVSEKYTTAPIMSTHTDYYSTCTGNCDTCRKNQ -ALTGFQDFCITPTSYWGCEEAWCFAINEGATCGFCRNVYDMDKSYRIYSVLKSTIIADICISGILGDQCT -KITEEVPYENSVFQADIQADLHNDGITVGELIAHGPDSHIYAGNIANLNDPVKMFGHPQLSHDGVPIFTK -KTLSGEDMSWDCAAIGKKSVTIKSCGYDTYRFKSGLEQISDIPVTFKDLSSFFLEKTFSLGKLKMVIDLP -ADLFKVAPKKPSITSTRLECNGCLLCGKGLSCNFEFFSDLVFSTSISIDSCSLSSYQLAVKKGSNKYNLT -MYCSVNPDKKKIKMYPEGNPELSVEVPINTVVVKDPENIIDQNDEYAHEEQQYNSDSSAWGFWDYIKSPF -NFVASYFGSFFDTIRVILLIAFIFLVIYLCSMLTSMCRGYVKNGSYKIRSKIEDDDDLEIKEPMMVRDTM -TRRRPPMDFSHLV - ->YP_009512999.1 glycoprotein precursor [Polygonum ringspot orthotospovirus] -MNRHYLLLYCLGVYLMFTITEVFLMNHAEDHEDTKVELKRLKDRYKIDDPEDLLEDSIKDTGMVATSGAH -PSLESKTSGRSPREVTTSSSPVLGPRGNFNFSCEGFDKSQCIIKSVSDFNAHYQIRNLRYVLACITDSEK -IFDMCLFDSDIKRRDFLKVPVVPVLKLENKRVLEVGSKFFFVDSSNDPVPIDPNAGMVNATISRLSVRLS -GDCVITKVSMSSPYMIKIKTAENIGFAVKDVRDSQAADLQTASGDRSVYFKNSELDGNHFFLCGDKSSLI -SKVDVPVRNCVSKYADEPKKIFFCANFSFFKWIFTLLVIAFPVTWLLWKTKNAFMVWYDIIGILTYPILV -LINYFWVYFPFRCKVCGNLSFLTHTCSKLCVCNQCEPSKEHAKECYLFSKDTQEWRSLSLIDHFQFTVNT -KISSEFLVFLTKMLIAAVLISYIPSSMALSMQKNVCVERCYYSKDLERLTTDKDGSSRNAIDTCDCSIGD -LITETVYRGGTPISRATTKNDCVLSSSACLTSSNQAKNLFACRNGCNALTTLEKIPTVKYSSNYRGIEYS -GNLTVLKIANRLRKGFVDNQSEVRNLESKVSKDLLYFKNLKVDDVPPSNLMPRQSLVFSTEVDGKYRYLI -EMDIKKETGSVFLLNDDSSHVPMEFIIYVKNVGVDYDIKYKYSTAKVETTVTDFLSTCTGTCEDCKTQKP -LTGRHDFCVQPTSWWGCEELGCLAIDEGAICGHCTNVFDLSTLVNVYQVVQSHVAAEICIKSVNGYDCRK -HTDKVPIQTDYYQLDMTVDLHNDYMSTDKLFAVNKQQKVLTGSISDLGDFSSSAFGHPQINIDGKPLAVP -ATLSRDQFSWSCSAVGAKSVNIRQCGLFSYNMIYALTQSRDFAVLSESENKLYMTKDFLVGKLKVVVDMP -KEMFKKPPSKPAISETKVNCSGCFKCAMGLECDLEYTSDTTFSSRLEIEGCSFKSDQLGSYIGPNKKKIK -AYCSESIKDKNIKMVAEDDDELSIELKIDQVDVVEQDTIINYDDKSAHDENIHHSDTGLATLWDWIKAPF -NWVASFFGNFFDIVRVILVIIAICVGIYIISYIYSLSFSYYKEKRNKKIEFDLEEVSQSLLKMSKRDGER -RRKSPPKTFEFPLEF - ->YP_009505546.1 envelope glycoprotein [Watermelon bud necrosis virus] -MKKYYLPIYCLSLYLLFLASEVYLLNQVDNNDQLRRIQDRYKVDDPEDLIETEEYIPAETTKLKQKKLSR -ILRNGETTTQSPTPSLNCDNFEKKHCMIKGVSEFNAHYQIDNGEEIISCISSSSNIFDICQYEREFRKTK -FKNFPVVPVLKLENKKVLEIGTKFFFVDKSNNPINVDPKVNLKSPTVARLTVRLSGDCKINQVSMSSPYQ -IKLRSEENIGVLIKNVKNPKSESIKSITGDSTINFKPEELDGNHFLLCGDKSSLIAKVDIPVRNCVAKYS -EEPKKIFFCANFSYFKWIFVFLTIAFPISWLIWKTKNALSIWYDIIGILTYPILWAINWLWPYFPFKCRV -CGCVSFLTHSCAEKCVCNQERASKTHTDDCYLFNRSKVEWRKLTLIQQFQFIINTKLSTNFLVLITKMIL -ASILISYIPSSIALKQPNLCVEKCYYNLNLDSLTTDKFGMADNGYETCECSIGNVITETVYRSGVPMSRA -TALNDCVLGSELCLVSNNQAQNLFACRNGCNSLASIKNIPNTKFNKFYKGKSFKGNLTSLKIANRLRDGY -MDSPIESKILEEESIKEYKFYKSLKVDDVPPENLMPRQSLVFSTEVDGKYRYLLEMDIKANAGSVYLLSD -DAAHSPMEFMVYVKSVGVEYDVRYKYSTAKIDTTVADYLVICTGSCADCVKQKPKVGVLDFCVTPTSWWG -CEELGCLAINEGSICGHCTNIYDLSSLVNIYQVVESHVTAEICIKSLDGYTCKKHSDRSPIQTDYYQLDM -SIDLHNDYMSTDKLFAVTKQQKILTGNIADLGDFSGSSFGHPQITIDGVPLSVPATLSQNDFTWSCSAVG -DKKVNIKQCGLYTYSAIYILSPSKDVSHLDENSNKLYMEKDFLVGKLKMVIDMPKEMFKKIPTKPVLSEV -KMTCSGCAQCAAGIDCNITYTSDTTFSSRLVMDTCSFKSDQLGTFLGPNEKSIKVYCSEEISDKSLKLIP -EDQEELTVDIQVDEFTEVDQDTIIHFDDKSAHDEKIHHSDTSISSLWDWIKAPFNWVASFFGTFFDLVRI -ILVITAACIGLYILSSIFRLSKTYYADRRRQKLEDAIESIESSVLLTNCTGVDQARKRKSPPKGYDFSLD -I - ->YP_009505290.1 GP [Iris yellow spot virus] -MNLQYLLLYCFGVYLMFTVTDVLLMNNAEDHEDMKMELKKLKDRYKIDDPEDLIENKVIDPGYSFPSLDP -KIPERIPREATTSTPSTTSVPSSTNGQVVETLSCDDFDKSKCIIKGTSDSNAHYQVKGSDSVLACITDSE -KIFDACLFDSDIKRRTFLKVPVVPVLKLENKRVLEIGSKFFFVDSSNDPILSDPKSGMTNPTVSRLSVRL -SGDCVISQVSMSSPYMIKIKSTETIGFAVKNIKNPQAMDFQTASGDRSVYFKIDELDGNHFFLCGDKSSF -IKKVDVPVRNCVSKYSDEPKKIFFCANFSYFKRIFTFLVIAFPITWILWKTKNALSIWYDIIGILTYPIL -ISINYLWLYFPFKCQSCGNLSFISHECSKLCVCNQSKPSKDHAKECYLFSKDIKKWESLSLIDHFQFTVN -TKISSEFLVFLTKMLIAGILISYIPSSLALSPERNVCVEKCFYSKDLEKLTTDKDGSIKNTIDTRECSIG -ELITETVYRGGTPISRAATKNDCVLSSSKCLNSLNQAKNLFACRHGCSALSTLNKIPKVRYDKGYKGIEF -SGNLTVLKIANRLRKGFVDNQSEVRNLESRVSKELSYFKSLKVDDVPPENLMPRQSLVFSTEVDGKYRYM -IEMDIKKETGSVFLLNDDSSHIPMEFMIYVKSVGVEYDVRYKYSTAKVDTTVTDYLSTCTGDCKGCRKSK -APSGRNDFCIQPTSWWGCEELGCLAINEGAICGHCTNVFDLSTLVNVYQVVESHIIAEICIKSLNGYDCR -KHSDRAPIQTEYYQLDMTVDLHNDFMSTDKLFAVTKNQKILTGSISDLGDFSSSAFGHPQITEDGTPLAV -KATISRDQFTWSCSAVGSKSVNIKQCGLYSYNMIYALTQSKDFSVMDEEENKLYMIKDFLVGKLKVIIDM -PKEMFKKTPSKPILSETRVTCSGCTKCAMGLECVMEYTSDTTFSSRLKMDGCSFKSDQIGSYIGPNKKQV -KAYCSDSVKDKTIKVVPEEQEELSIELKIDQVDVIDQDTIISYDDKSAHDENIHHADTGIATLWDWVKAP -FNWVASFFGSFFDLVRVVLVISAVLVGLYILGYIYKLSFSYYKEKRKRKMEFDLEEAEESLITKSNKYGE -PRRRTPPKTFQFPLDF - ->YP_009449456.1 G precursor [Calla lily chlorotic spot virus] -MKKYYLPLYCLSLLLLFFVSEVFLLSKTEHDSEITRIRDRYPVDDPDDLVENYGEYIPHRTPEADKPTKV -LSRILRDSSSTEAPRLDSFDCMKFEKKQCMIKGLSDFNAHYQIDNGNEIVSCISDSPNIFEICQYEKEFK -KTKFSKLPVLPVLKLENKRVLEIGSKFFFVDKSNNPVNIDPISGLQSATVSKLSVRLSGDCKINQIMMSS -PYQIKVQSEEPIGYLIKNIKDSKLGEIKTVTGDSTINFSPDELDGNHFLLCGDRSSLITKVDIPVRNCVS -KFSDEPKKIFFCTNFSYFKWLFVFLVIFFPINWLIWKTKDSLVVWYDIIGIITYPILWIMNRSWPYFPLR -CRICGCFSLLTHKCSEKCVCNQSKTSKTHTSECYLFIKDRTEWNCMSLIQQFQFTINTKISANFLVFITK -MIIASILLSYLPSSMAAPKSICVDKCYFSSDLKSMTTSRSGLSNNQYETCDCSIGNVITETIYQEGIPVS -RATSVNNCVAGSTACMSEGNQAENLFACRYGCHSLVSIKNIPETEFVPQYKGISFSGNLTSLKIANRLRN -GYLDDSSESKILEKESSKEYKFYKTLKVEDIPPENLMPRQSLVFSSEVDGKYRYMIEMDIKAKTGSIYLL -NDDSTHSPMEFMIYVKSVGVEYDVKYKYSTAKIDTTISDYLVTCTGTCADCRKQKPKVGKLDFCVVPTSW -WGCEEVGCLAINEGAICGHCTNVYDLSSTVNIYQVIQSHVTAEICIKSIDGYNCKKHSDRSPIQTDHYQL -DMTVDLHNDYMSTDKLFAVNKQQKILTGSISDLGDFSSSAFGHPQITIDGTPLSVPSSLGQNDFTWSCSA -IGEKKINIRQCGLYTYSAIYVLSTSKDYSVMDEESNKLYMEKDFLVGKLKVVVEMPKEMFKKLPSKPMLS -ETRAVCSGCAQCAMGISCNLTYTSDTTFSARLMMDSCSFKSDQIGTVLGPNEKNIKAYCSNEIQSKSLKL -IPEDQSELTVDIPIDEFVPADQDTIIHFDDKSAHDENKHHSDTSMATLWDWVKAPFNWVASFFGTFFDMV -RIVLVVIAICIGIYILSYVYKLSRSYYNEKRKHKMEDSISAIESDLLLNDRTGMISTRKRNPPPKNYQFS -LEL - ->YP_009408636.1 glycoprotein precursor [Tomato chlorotic spot virus] -MRLIKLLELMIKLSLFAIALSSVLLSILLFRATDAKVEIIRGDHPEIYDDSSENEVSTTSLMLRRTVPEV -LPDGNFKAHSDKSRIERAEKQAPVLQDETGTEKAVSIQEVPNNCLNASLKCEIKGVSTYNVYYQVENNGV -IYSCVSDSADNLGRCDNSQNLPKKFPEIPVIPITKLDNKRHFSVGTKFFITENLASSNYPVSYNLHQTEG -IVSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYIIKRPGEDGEHKVMAFSGSVSITFSEEMLDGNH -NLLCGDKSAKIPKTNKRVRDCIIKYSKSIYKQTACINFSWIRLILIALLIYFPIRWLVNKTTKPLFLWYD -LIGLITYPLLLILNYLWKYFPFKCANCGNLCIVTHECTKKCICNKSKASREHFSECPILSKEPDHEYNKY -KWTSMEWFHLIINTKLSLSLLKFITEVLIGLIILSQMPMSMAQTAQCLNGCFYVPGCNQMVTSKFEKCPE -ANQCFCSVRKEKIVESIFGTNIVIEGPNDCIANQDCLESSPMDTFIKCRLGCEYLELSRNKPLFNSFVDY -TGSSLGLTSASLYMTKRLRNGIIDSYNVTDKISGIVAGDSLKQNETSIPENILPRQSLIFDSVIDGKYRY -MIEQSLLGGGGTVFMLNDKTSGAAKKFTIYIRSTGIHYEVSEKYTTAPIMSTHTDYYSTCTGNCDTCRKN -QALTGFQDFCITPTSYWGCEEAWCFAINEGATCGFCRNVYDMDKSYRIYSVLKSTIIADVCISGILGDQC -TKISEEVPYENSVFQADIQADLHNDGITVGELIAHGPDSHIYAGNIANLNDPVKMFGHPQLSHDGVPIFT -KKTLSGEDMSWDCAAIGKKSVTIKSCGYDTYRFKSGLEQISDIPVTFKDLSSFFLEKTFSLGKLKMVIDL -PSDLFKVAPKKPSITSTRLECNGCLLCGKGLSCNFEFFSDLVFSTSISMESCSLSSYQLAVKKGSNKYNI -TMYCSVNPDKKKIKMYPEGNPELSVEVPINTVVVKDPENIIDQNDEYAHEEQQYNSDSSAWGFWDYIKSP -FNFVASYFGSFFDTIRVILLIAFIFLVIYLCSMLVSMCRGYVKNGSYKIRSKIEDDDDMEIKEPMMVRDT -MTRRRPPMDFSHLV - ->YP_009346016.1 Gn/Gc [Melon severe mosaic tospovirus] -MCRFSMFRFLTIAVQTSVISFVFSSVILAILVLHETNAKTEILRGDHTEVYVDQESNEITVLPKTPRTTI -PYIDPAKGDSKREVTDATTTTVQEPKIEPGIVKVNYCELAPSLKCEIKGVSTFNVYYQVEHEGTIYSCLS -DATDGLMGCEGSENLPKNFLSVPVVPLTRLGNKRLYSVGSKYFLVHEFSGVSYPVSYNSEIRNGTITLQT -VRLSGDCKIAKTAYINPYTVSLTSPEQGMGYVIKKPGQDISAKIQTFSGSAQLTFSQDDMDGEHNLLCGD -KSSQIPKTNKRVRDCIVKFSKNVYKQAACINFSWIRLIIIGLIIYFPIRWIVDKTSKPLFLWYDLLGLIT -YPVLLVLNLLWKYMPFKCSLCGSICFITHDCNARCVCNKSKATESHSESCFLLSNKSSDLSHIRSKKWTN -IEWFHFIINTRLSSSLLKFLTELLIGLIILPQMPMSLAQTAKCIDTCLYVAGCNKLVTSKYEKCPPEDQC -SCTITDSGIIENIWHSGIIVKESNNCLKNQICASAYPFEHLVKCRIGCDYLNLIKSKPLPSGFVDYSGDL -LNLDITSLHYMKRLRGGIIDSYNMTDTLTNIFPGDVTFKGFPRIPENILSRQSLIYDSVVDGKYRYLIEQ -ALLGGGGTVFLLNDKTSGAVQKLVVYVEKVGVHYEVSEKYTTAPIQSTHTDFYSTCTGNCGTCRRNQPVT -GYQDFCITPTSYWGCEEAWCLAINEGATCGFCRNVYDMDKAYKIYSVLKTTIKSTICFSGFPGASCHEIN -EEVPLETTYFQADIIADLHNDEIVVGELIAHSSDSHIYKGNIAGLNDPVKMFGHPQLSFEGKPIFSKKVL -DGDDLSWDCAAIGKKTVKIKSCGYDTYRFKSGLEQLSSIPVTFQDHKSFFLEKSFNLGKLKIIIDLPTEL -FKVPPKKPTITLSKLDCVGCYMCNLGLKCKLEFNSDVTFSSGLEMHSCSLSCYQIAVRRGQNKFNITMYC -SNNPEKRKIVMLPEGNKEISLEFPVSSVKLVEPENIIDQNDEYANEEQRYSSDSSAWTFWDYLKSPFNFV -ASYFGSFFDTVRVILLVLAIFIAVYFCSMIVQIFKNYIKNKSYKNREKEEPEDSYYGQASYPMTRTDTLA -RRKPPMDFI - ->YP_009345144.1 glycoprotein [Pepper chlorotic spot virus] -MKKYYLPIYFLTILLVFFASEVYLLSRTEKEQSIKNFQDRYKIDDPEDLIEPMLNTTKSSNIAVKSKKVL -SRILRDDGATTIQTPATATDCDAFEKKDCLIKGVSDFNAHYQVDNGEKLIACISSSMKIFDLCQYDKDIK -KITFLDFPVVPVLKLENKKVLEVGSKYFYVDKSNHPVNVNPKSGLKSPTVSKLSVRLSGDCKINQVLLRS -PYQVKVKSEEKIGLIVKNVKDQKSASIKTISGDSVVNFLPEELDGNHFLLCGDKSSLVSKVDIPVRNCVS -KFSDEPKKIFFCTNFRWFKWIFVLLIVAFPINWFIWKTKDSLMIWYDILGILLYPLLWALNWFWVYFPLK -CRICGCFSLLTHTCSEKCVCNQNKANKEHTSECFLFRKNKREWRSLTLIQQFQYTINTKISTNFLVTITK -LMIATILLSYLPRNLATNNNVCVEKCFYNMELNNLVTEKSGYKNDLETCQCMISNIITEVIFKDNNPISK -STSNNNCVSGYESCLVNNNSAQNLYVCRNGCLSYRKLSQIPDTSFDKRYKGNEFNNNLISLKTANRLRQG -YLDDPGLSKLLEKESVREYNFYKSLKIEDVPPMNLLPRQSLVYSTEVDGKYRYMIEMDIKAETGSVFLLS -NDGLHSPIEFMVYVKSVGVDYKIKYKYSTAKIDTTISDYLVTCTGKCEQCWNQKQKAGYLDFCVMPTSWW -GCEELGCLAINEGAICGHCTNVYDLSTLVSIYQVIESHVSAEICIKTVDGYSCKTYTDKVPVQTDYYQLD -MSIDLHNDYMSVDKLFAYTKHQKVLTGNIADLGDFSSSAFGHPQITIDGTPLAVPAELNQNEFTWSCTAI -GEKKVNIRQCGLHTYNMIYVLSSSTDKTNLIEDENRLYMTKDFFVGKLKIVTDMPKEMYKKIPSKPVLSE -SKVTCSGCSQCAMGIDCNLTYTSDATFSSEISIDSCSFQSSQIGTFTGYNEKRIKAFCSEEIEGKNLKFI -PEDQSELTVEIPVDEFHQVDQDTIIHFDDKSAHDENKHHSDVSLSTLWDWVKAPFNWIASFFGNFFDIVR -VLLVLLVACAAIYMLSYVARLSRTYYVNKKSSDVVFNQEIPIRQSLLQNYTGIDAPRKRRSPPKNYDFDL -EL - ->YP_009315931.1 glycoprotein precursor [Zucchini lethal chlorosis virus] -MKLLNLLELMIKVSLFTFALSSVLLAFLVLRATDAKVEIIRGDHPEVYDDSSENEVPSAVLMQQKGALLE -SRNPMLENKENRSRREQDEGFTETSRISSSSQRTISVLDLPNNCLNASFLKCEIKGISTFNVYYQVESDG -VIYSCVSDSTDGLSRCDNSQNLPKKFSEVPVIPITRLDNKRHFAVGTKFFVSESLFHGNYPITYNSYPTN -GTVSLQTVKLSGDCKIAKSNFANPYTVSISSPEKVMGYLIKKPGENAEHKVMSFTGSTSVTFGEEALDGN -HNLLCGDKSAKIPKTNKRVRDCIVKYSKSIYKQTACINFSWIRLFLIAFLIYFPIRWLVNKTTKPLFLWY -DLMGLVNYPILLAINYSWKYFPLKCSICGGLCVITHECTKKCVCNKSKASKEHSSECPILSTEAESEYNE -HKWTSMEWFHLIVNTKLSLNFLKFLTEILIGLVILSQMPMTMAQTTQCLSGCYYVPGCPYLVSGKFESCP -EKDQCYCKITKDTIVETIFGSNVVVEGPNDCIPHQDCPSLKSINSLIKCRLGCEYLDLFLNKPLFKGFSD -YSGNALGLDAVSLYVTKRLRNGMLDSYNGTERLSGIIAGDSLATNGTEIPENILPRQSLIFNSIVDGKYR -YMIEQSLLGGGGTVFMLNDKTSEAAKKFVIYIKSVGVHYKVSEKYTTAPIQSTHTDYYSTCAGNCETCRK -TQKLTGFQDFCITPTSYWGCEEIWCFAIDEGATCGFCRNVYDMDKSYRIYSVLKSTIVADVCISGILGSQ -CTLITEEVPYENSIFQADIQADLHNDGITIGELIAHGPDSHVYVGNIANLNDPVKMFGHPQLTHDGVPIF -TKKTLEGEDLSWDCAAIGKKSVTIKTCGYDTYRFQSGLEQISDTPVTFKDFTSFYLEKNFNLGKLKVIID -LPSDLFKVAPKKPSITSTKLECSGCLLCAQGLSCKLEFLSDIVFSSAIFLSSCSLSTYQLAVKKGLNKYN -ITMYCSLNPDKKKLMFYPEGNPDLSIELLVNNVVVKEPENIIDQNDEYAHEEQQYNSDSSAWSFWDYVKS -PFNFVASYFGSFFDTIRVVLLIAFLFVVVYFCSMLATICRGYVKNKSYRSRSKPEDDDEPEVKIPMLTKD -TMTRRRPPMDFSHLV - ->YP_009259254.1 Gp [Tospovirus kiwifruit/YXW/2014] -MKKYYLPIYCLALLLLFFVSEVFLISKVEHDVALEKVKQRYPVDDPEDLIEDYEDHTARQNLDYVSTPRI -LSRILRDGVTTEIPQTEHADCSKFDKNQCMIKGLSDFNAHYQVDNGNEIVSCISDSPNIFEICQYEKEFK -KTKFNNFPVLPVLKLENKRVLEIGTKFFFVDKSNNPVNVDPKSGLVSATVSKLSVRFSGDCKINQIMMSS -PYQIKVQSEEPIGYSIKNVRESKLSKIQTISGDSTINFTPDVLDGNHFLLCGDRSSLITKVDIPVRNCVS -KFSEDPKKIFFCTNFSYFKWLFVFLIIFFPVNWLIWKTKDSLVVWYDVIGIITYPFLWLMNRFWPYFPLR -CRICGCFSFLTHKCTEKCVCNQNKSSKDHTSECYLFSRDRTEWRTLSLIQQFQFIINTKLSTNFLVFLTK -MIIASILLSYLPSSMAIKNANVCVDKCYFSSDMKTMTTNKNGISSNHLETCECSIGNVITETVYQDGIPV -SRATSINNCVSGHTACMVSDNQAENLFACRYGCYSLESFKKIPEVKFENHYKGVSFSSNLTSLKIANRLR -KGYLDDFSESKLLEKESSKEYKFYESLKVEDIPPENLMPRQSLVFSSEVDGKYRYLIEMDIKAKTGSVYL -LNDDSSHSPMEFMIYVKSVGVEYDIRYKYSTSKIDTTISDYLVTCTGKCSDCIKQKPVVGKLDFCVTPTS -WWGCEEVGCLAINEGAICGHCTNVYDLSSIVNIYQVIQSHVTAEICVKSIDGYNCKKHSDRAPIQTDQYQ -LDMTVDLHNDYMSTDKLFAVNKQQKILTGNIADLGDFSSSAFGHPQITIDGVPLSVPAKLSQNEFTWSCS -AVGEKKINIRQCGLYTYSMIYVLNPSKDYSMLDEENNKLYMEKDFLVGKLKMIVEMPKEMFKKIPSKPIL -SETKVKCSGCAQCAMGINCNLTYTSDTTFSSRLMMDSCSFKSDQIGTVLGPNEKNIKAYCSREIESKSLK -LVPEDQSELTVDILVDEFTPVDQDTIIHFDDKSAHDENKHHSDTSISTLWDWVKAPFNWVASFFGTFFDI -VRIVLVILAVCIGIYILSYIYKLSKSYYDDKRKHKMEDSISSIESSLLLNDHSGMVSTRKRNPPPKNYQF -SLDL - ->YP_009162646.1 Gc-Gn glycoprotein precursor [Chrysanthemum stem necrosis virus] -MRLLKLMELVVKVSLFTIALSSVLLAFLVFRATDAKVEVSRGDHLEIYDDSAENEVPTAASIQQKAILET -LTNLMLETQTVKSRQERDKESTTTTNLGSSTQKIISVFDLPNNCLNASMLKCEIKGISTYNVYYQVENNG -VIYSCVSDSTDGLSKCDNSQNLPKKFSEVPVIPITRLDNKRHFSVGTKFFVSESLTHDNYPITYNSYPTN -GTVSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYLIKKPGEDVEHKVVPFSGSTSITFSEEMLDGD -HNLLCGDKSAKIPKTNKKIRDCIIKYSKSIYKQTACINFTWIRLILIALLIYFPIRWLVNKTVKPLFLWY -DLMGLVTYPILLVINYLWKYFPFKCANCGGICIVTHECTKRCVCNKSKASKEHSSECPILSKETEHEYKK -HKWTSMEWFHLIVNTKLSLSLLKFITEVLIGLVILSQMPMTMAQTAQCLNGCFYVPGCQFLVTNKFEKCP -EKDQCYCNVKEDKIIESIFGTNIVIEGPNDCIPNQNCFPDLPINSLIKCRLGCEYLDLFLHKPLYNGFPD -YTGSSLGLTSVGLYVTKRLRNGILDSYNSTDKLSGMVAGDSLDRNDTNIPENILPRQSLIFNSIVDGKYR -YMIEQSLLGGGGTVFMLNDKTSESAKKFVIYVKSAGIHYKVSEKYTTAPIQSTHTDFYSTCTGNCDNCRK -NQALTGFQDFCITPTSYWGCEEAWCFAINEGATCGFCRNVYDMDKSYRIYSVLKSTIVADICVSGILGSQ -CTTITEEVPYEGTMFQADIQADLHNDGITIGELIAHGPDSHIYVGNIANMNDPVKMFGHPQLTHDGIPVF -TKKTLEGDDLTWDCAAIGKKSVTIKTCGYDTYRFKSGLDQISDVPVTFKDFSSFYLEKNFNLGKLKVVID -LPSDLFKVAPKKPSITSTKLECAGCLLCGQGLFCNLEFSSDLIFSTTIYVDACSLSTYQLAVKKGSNKYN -LTMYCSVNPDKKKMVLYPEGNPDLSVEVLVNNVVVKDPENIIDQNDEYAHEEQQYNSDSSAWGFWDYIKS -PFNFIASYFGSFFDTIRVILLIAFIFLIVYFCSILTTICKGYVKNKSYKSREKVEDDDDSEIKIPMLTKD -TMTRRRPPMDFSQLV - ->YP_009126738.2 glycoprotein [Mulberry vein banding virus] -MKKYYLPSYCLGLVLLFLVSEVYLINQMEHDVQVKRFQSRYKADDPDDLVEIEEPTESIVHETRFQKKKK -LSRILRDDEATTQSSASKLDCDKFEKRYCLIKGVSDFNAHYQLDNGEEIISCISNSASIFEIYQYEKEFK -KIKFKDFLVVPVLKLENKKVLEVGTKFFFVDKSNNPVNIDPKVNLKSPTVSKLSVRLSGDCKINQVSMST -PYQIKLRSEENIGVLVKNVKDPKSGNVKTIFGDSTINFQPEELDGNHFLLCGDKSSLIMKVDVAVRNCVS -KYSDEPKKIFFCTNFSYFKWIFVFLMVAFPISWLVWKTKNALSIWYDIVGIVTYPILWILNWFWPYFPFK -CRICGCISFLTHTCTEKCVCNQSEASKDHTSECYLFSRDKKDWNELTLLQQFQFTINTKISTNFLVFVTK -MIIASILISYIPSSIALQQSNLCVEKCYYNLNLDSLTTDRFGLSKNNFETCECSIGNVITETIYREGIPV -SRATSLNDCIVGSDTCMVSDNQAQNLFACRNGCNSLNSIKNIPDTKFSKFYKGKSFRGNLTSLKIANRLR -EGYMDSPTESKILEEESTREYKFYSSLKVDDIPPENLMPRQSLVFSTEVDGKYRYLLEMDIKASTGSVYL -LNDDASHSPMEFMVYVKSVGVEYDIRYKYSTAKIDTTVSDYLVTCTGKCADCIKQKPKVGVLDFCVTPTS -WWGCEELGCLAINEGAICGHCTNIYDLSSLINIYQVIESHVTAEICVKSLDGYSCKKHSDRSPIQTDHYQ -LDMSIDLHNDYMSTDKLFAVNKQQKVLTGNIADLGDFAGSSFGHPQITVDGVPLAVPAALTQNDFSWSCS -AVGEKKINIRQCGLYTYSMVYVLSPSKDNSHLQEDKNKLYMEKDFLVGKLKMVIDMPKEMFKKVPTKPVL -SETKMTCSGCTQCAVGIDCNITYTSDTTFSSRIMMDSCSFKSDQLGTFLGPNEKTIKAYCSESIVDKSLK -FIPEDQEDLTVDIQVDEFVQVDQDTIIHFDDKSAHDENKHHSDTSISSLWDWVKAPFNWVASFFGSFFDL -IRIILVVLAICVGIYILNCIFKLSKTYYIDKRRQRMEDAVESIESSVLLTNYTGLDQTRKRKSPPKGYEF -SLEV - ->YP_006468902.1 Gn/Gc glycoprotein precursor [Bean necrotic mosaic virus] -MGFREVFVIICLFCLNLVFLDFSINAHTRGDHELSQEISFEDLSKDAVESATLLGVDGKFKSGPLLDKPD -IDDQMIPNRGKRTEPSSSSPPSSEQLTCKRINSNNCKIVGKTSFNFYYQISDNETTVSCLSSESQDYKSC -NPDAMQEFLSADVLPITTMLNKRVLSVGSKYFILNSADPSSYPVQDHGSVQLGLGNIYNVRLTGGCNITK -VSLANPFYITLSSTAKKCLCCKKIGSEEVNLMKFSSEKTIELSEDSLDGTHVLLCGDRVSEIPKIDINKR -NCLVKYKGKRYQQSACVHFNLLRYLIVSIILFFPVSWFLNKTKESMFLWYDILGIVLYPLLYALNWIWQY -FPLKCSCCGNFSLITHKCYEKCVCNLSKAKKEHAETCQILTGKIFKMKEVKAEKREAITPDEIVSLNSEQ -EKPPVIKSSRFQTFQTIINTKISSETLLLITKILLGFLIFSQIPKTMAMSNEQALCVNYCRAQIGCSKFL -WKNEETCISRKDLKCNCVIGKELISEEAYINGTRYAVSMPNTCMDDTCDVAEGDVENLIVCRLGCKKLRS -LKTAKLSKRTFSTGIFSQSLSMNQIYSTLRMQDGYIDSLEALSTLGDPPSDKVVQIDDDIPEGILPRQSF -VYSSVVDGKYRYLMSFDAIQSSGYVYSMKMLKWHPPQELVVYVKEAGVTYTIKPLYHTAPISSTHTHVYT -TCTGNCETCRKEHPLSGYQDYCISPTSNWGCEELGCLAIGEGSTCGYCRNVYDLSKMYSINQVLSSHVTV -KVCFKGFAGATCQTITDEIPYQNSYYQISLDADLHNDDLGSGSRIAIDPNGLIMKGNIANLKDSSTAFGH -PQLDKTGKLLFGKANLDLNDFTWSCAVIGSKHVDIKKCGYDTYHQYIGLEPISNHYASEISDEKLFVKKD -FKVGKINLIVDLPSELFKQPAKKPKVSLINSECKGCLSCGSGIKCTLEMTSDSIFAGNINWEHCTSEPEY -IAMKKGSNIIKVNMFCLKNPSLTKMILEPSGDSDLSLEFETRNVEIIDPETIIDHNDDAFNEEVDYDNDT -SFKSLWDYLKSPFNWIASFFGDFFEIVRVLLVLACCVFAAICLSKLFEICREYYKQEHYKKSVKSDLKDM -DEEDPEDPEDILGNLKNQITEDTLYRRNLKPKKSPFDSVMT - ->YP_001740046.1 glycoprotein [Tomato zonate spot virus] -MKKYYLPIYCLGLFLLFFVSEVFLLSKTEHESEVSRIKDRYPVDDPDDLVDDYVEQKPQEIPESRKVTKI -LSRILRDSSSTEAPQLDSFDCSRFDKKQCMIKGLSDFNAHYQIDNGNEIVSCISDSPNIFEICQYEKEFK -KTKFSKLPVLPVLKLENKRVLEIGSKFFFVDKSNNPVNVDPKSGLQSATVKLSVRLSGDCKINQILMSSP -YQIKIRSEEPIGYLVKNVKDSKISKIKTISGDSTINFAPSELDGNHFLLCGDRSSLITKVDIPVRNCVSK -FSEDSKKIFFCTNFSYFKWLFVFLIIFFPINWLIWKTKDSLVIWYDIIGIITYPFLWIMNRLWPYFPLRC -RICGCFSLLTHKCPEKCVCNQSKSSRNHTSECYLFARDRTEWNTMSLIQQFQFTINTKLSTNFLVFITKM -IIASILLSYLPSSMALNRNVCVDRCYFSSDLKSMTTSKNGMTNNQFETCDCSIGNVITETIYQDGIPVSR -ATSVNNCVVGSNMCIKSDNQAENLFACRYGCSSLTSIKSVPETGFVSQYKGLAFSGNLTSLKIANRLRNG -YLDDSSESKLLEKESSKEYKFYETLKVEDIPPENLMPRQSLVFSSEVDGKYRYMIEMDIKAETGSIYLLN -DDSTHSPMEFMIYVKSVGVEYDVKYKYSTAKIDTTISDYLVTCTGKCSDCVKQKPKVGKLDFCVMPTSWW -GCEEVGCLAINEGAICGHCTNVYDLSSTVNIYQVLQSHVTAEICIKSIDGYNCKKHSDRSPIQTDHYQLD -MTVDLHNDYMSTDKLFAVNKQQKILTGNIADLGDFSSSAFGHPQITIDGTPLSVPAELNQNDFSWSCSAI -GEKKINIRQCGLYTYSAMYVLSPSKDYSIMDEENNKLYMEKDFLVGKLKVVVEMPKEMFKKVPSKPILSE -TRAVCSGCAQCAMGISCNLTYTSDTTFSSRLMMDSCSFKSDQIGTVLGPNEKNIKAYCSDEVESKSLKLI -PEDQSELTVDILIDEFTPVDQDTIIHFDDKSAHDENKHHSDTSISTLWDWVKAPFNWVASFFGAFFDIVR -IILVILAVCIGIYILSYVYKLSRSYYDDKRKHKMEDSIAAIESDLLLNGSTKMVSTRKRNPPPKNYQFSL -DI - ->YP_717926.1 glycoprotein precursor G1/G2 [Capsicum chlorosis virus] -MKKYYLLVYCLGLLSLFLVSEVYLLNQVDTSIQLKKMQDRYKVDDPEDLIDKEEPVITEAPETKGKKLSR -ILRNDESATDQPSLSLNCDSFEKKYCIIKGVSDFNAHYQIDSGKEIISCISSSSNIFEICQYDKEFKKIK -FKNFPVVPVLKLENKKVLEIGTKFFFVDKSNNPINIDPKVNLKSPTVARLSVRLSGDCKISQVSMASPYQ -IKLRSEENIGILIKNIKSSKLENIKSIIGDSTINFKPEELDGNHFLLCGDKSSLIAKVDIPVRNCVSKYS -NEPKKIFFCTNFSYFKWVFVFLMIAFPISWLIWKTKNALSLWYDILGILTYPILWIINWLWPYFPLKCRI -CGCFSFLTHSCTDKCVCNQNEASKDHAEECYLNIKDKVEWHKLTLIQQFQFIINTKLSTNFLVFVTKMIL -ASILISYIPSSIASKQQNLCVEKCYYNLNLDSLTTDKFGMSDNGYETCQCSIGNVITETVYRSGVPMSRA -TSLNDCVIGSDMCMTSSNQAQNLFACRNGCNSLASIKTIPDVKFNKLYRGQSFKGNLTSLKIANRLREGY -MDSSTESIILEEESAREYRFYKSLKVDDVPPENLMPRQSLVFSTEVDGKYRYLIEMDIKSNTGSIYLLND -DATHSPMEFMVYVKSVGVEYDVRYKYSTAKVDTTVADYLVTCTGACTDCIKQKPKVGMLDFCVTLTSWWG -CEELGCLAINEGGICGHCTNIYDLSSLVNIYQVIESHVTAEICVKSLDGYSCKKHSDRSPIQTDYYQLDM -SVDLHNDYMSTDKLFAVDKQQKILTGNIADLGDFAGSSFGHPQITADGIPLSVPTTLSPKDFTWSCSAVG -EKKVNIRQCGLYTYSNIYSLSTSKDATQIDENNNKLYMGKDFLVGKLKMVIDMPKEMFKKIPTKPIISEA -KMICSGCSQCAAGIDCNITYTSDTTFSSRLMMDTCSFKSDQLGTFLGPNRKSIKAYCSEEIADKSLKLIP -EDQDELTVDIPVDEFIHIDQDTIIHFDDKSAHDENIHHSDTSISSFWDWVKAPFNWVASFFGNFFDLVRI -ILVIAAACIGIYILNSIFRLSKTYYVDKRRQKLEDAIESMESSVLLTNYKSVDQARKRKSPPKDYDFSLE -I - ->YP_717935.1 glycoprotein precursor [Melon yellow spot virus] -MKKYYLLVYCLVLLLLFLISEVYLLKQIKNTEKERVIKRFKDRYNVDDPEDLMDNELPIPEQYPIKDAKK -TKLSRVLRNGSTTTNAPDNVMEICTIFDKKSCMIKGVSNFNAYYQIDDGNTITSCITESSSIFETCLHGK -EIKKVVFKTYPVAPVMKLQSKKLLEIGTKFFFVDDHSNPINIDPASKMVSANVARLSVRLSGDCKINQAL -MVAPYQVSLKATENIGVVVKNIKDLNPSSVREVIGEPTINFRPDELDGNHFLLCGDKSSLISKVNVPVRN -CVSKFSDEPKKIFFCTNFQYFRWIFILLIISFPINWLIWRTRNSLSIWYDIMGIVIYPILWLLNWLWKYF -PFQCRICGCFSLAIHNCSNECVCNQGKSSRDHLQDCYIIGDQKGKWKDLKVIQQFQLIINTKISVNAMVM -VTKLIIASILISFLPSSLAASKTSLCVDKCRYNTDLSALTIDRGPMTGNGLETCECSIGLTITETIYRDG -VVVSKRTKENDCMYGSYACQYAENHIQNLFACRNGCNALKSLKDIPTTNFNRLYSGSEFKSNLTKLKLAN -RLRNGFVDSFKEAQALESESLREYMFYNSLKIEDIPPENLMPRQSLVFSTEIDGKYRYMIEMDIKSNTGS -IFLLNDDSTHLPMEFLIYVKSVGVEYSVRYKYSTSKIETTIADYLVTCTGSCNDCFNQKPKVGKLDFCVT -PTSWWGCEELGCLAINEGSICGHCTNIYDLSTLVNIYQVVESHVTAEVCIKSVDGYNCKKHTDRTPIQTD -HYQLDMTVDLHNDYMSVDKLFAVDKQMKVYTGNIADLGEFSSSSFGHPQITIDGNPLSVLSSLSANDFTW -SCSAIGEKKINIKQCGLMTYSLFYTLESSKGKTTLNVDENKLYMSKDFLAGKLKVIVDMPKEMFKKIPKK -PILSDSKISCSGCIQCAMGIDCNISYTSDTTFSARLVADSCSFKSDQIGTFLGSNKNRIKMYCSKPIEEQ -FVRLVPEDQSDLTVRIPIDDFVPVDQDTIIHIDDKSAHDENKHHSDTSLATFWDWVKAPFNWVASFFGAF -FDIVRIILVVIVVGFSIYMLNSIYKLSLSYYKEHRKKRIQTKDDDEMMEALSKNSMHVSTARKRRTPPRN -YEFSLDI - ->NP_620767.1 glycoprotein precursor [Watermelon silver mottle orthotospovirus] -MKKYYLLVYCLGLASLLFVPDVYLLNQVDDSVQLKRIQDRYRVDDPEDLIEKEEPTTIRVTRLKEEKLSR -ILRNDGSTTQSPVLELSCDNFEKRHCVIKGVSEFNAHYQIDTGDKIISCINNSANIFDICQYEKEFKKIK -FKNFPVVPVLKLENKRVLEVGTKFFFVDKSNNPINIDPKVDLKSPTVARLSVRLSGDCKINQVSMSSPYQ -IKLRSEENIGLLIKDVKNSKPANIKSITGDSTINFKPEELDGNHFLLCGDKSSLIAKVDIPVRNCVSKYS -EEPKKIFFCTNFSYFKWIFVFLMITFPVSWLIWKTKDALSIWYDVMGIVTYPILWVINWSWPYFPLKCGI -CGCFSFLTHSCSEKCVCNQSKASRGHSEECYLSTRDKFEWRKLTLIQQFQFIINTKLSSNFLVFVTKMIL -ASILISYIPSSIALKQNNLCVEKCYYNLNLDSLTTDKFGTMGNGYETCECSIGNVITETVYRSGVPMSRA -TSLNDCVLGSDLCMVSNNQAQNLFACRNGCNSLESIKNIPNIKFNKFYKGHSFKGNLTSLKIANRLREGY -MDSFTESKILEEESAKEYKFYKSLKADDVPPRNLMPRQSLVFSTEVDGKYRYLLEMDIKSNTGSIYLLND -DAMHSPMEFIIYVKSVGVEYDVRYKYSTAKIDTTVADYLVTCTGNCADCRKQNPKVGSLDFCVTPTSWWG -CEELGCLAINEGSICGHCTSIYDLSSLVNIYQVVESHVTAEICIKSLDGYSCKRHSDRSPIQTDYYQLDM -SVDLHNDYMSTDKLFAVTKQQKILTGNIADLGDFSGSSFGHPQITIDGIPLSVPSTLSQNEFTWSCSAVG -DKKVNIRQCGLYTYSAIYVLSPSKDISHLDESKNKLYMEKDFLVGKLKMVIDMPKEMFKKIPTKPVLSEA -KMVCSGCSKCAIGIDCNITYTSDTTFSARLMMDTCSFKSDQIGTFLGPNEKSIKAYCYEEITDKSLKLIP -EDQQELTVDIQVDEFIQVDRDTIIHFDDKSAHDENKHHSDTSISSLWDWIKAPFNWVASFFGSFFDLVRV -ILVIMAVCVGVYIVSSIFKLSRTYYVDKRRQKLEDAMESVESSILLTNFTRTDQTRKRKSPPKGYDLCLD -I - ->NP_619703.1 glycoprotein precursor [Groundnut bud necrosis virus] -MKKYYLLVYCLGLVSLFFVSEVYLLNQVDNSVQLRKIQDRYKVDDPEDLVEEEDNIITEIIEPRQKKLSR -ILRNDEVTTQSSVSELSCDIFEKRHCMIKGVSDFNAHYQIDNGNEIISCISNSANIFDICQYEKEFKKIK -FKSFPVVPVLKLENKKVLEIGTKFFFVDKSNNPINIDPKVNLKSPTVARLSVRLSGDCKINQVSMSSPYQ -IKLRSEENIGILIKNVKSSKSGNIKSIAGDTTINFKPEELDGNHFLLCGDKSSLIAKVDIPVRNCVSKYS -DEPKKIFFCTNFSYFKWIFVFLMVAFPISWFIWKTKDALSIWYDVIGILTYPILWTLNWLWPYFPLKCRI -CGCFSFLTHSCTEKCVCNQDKASKDHTDECYLFTKDKEEWRKLTLIQQFQFIINTKLSTNFLVFVTKMIL -ASILISYIPSSIALKQPNICVEKCYYNLNLDSLTTDKFGMTDNGYETCECSIGNVITETVYRSGVPMSRA -TSLNDCVLGSDLCMVSNNQAQNLFACRNGCNSLSSIKNIPDTKFNKLYKGQSFKGNLTSLKIANRLREGY -MDSPTESKILEEESAKEYKFYKSLKVDDIPPENLLPRQSLVFSTEVDGKYRYLLEMDIKSNTGSVYLLND -DATHSPMEFMIYIKSVGVEYDVRYKYSTAKIDTTVSDYLVTCTGKCADCIKQKPKVGVLDFCVTPTSWWG -CEELGCLAINEGSICGHCTNIYDLSSLVNIYQVVESHVTAEVCVKSLDGYSCKKHSDRSPIQTDYYQLDM -SIDLHNDYMSTDKLFAVTKQQKILTGNIADLGDFAGSSFGHPQITIDGIPLSVPATLSQNDFTWSCSAVG -DKKVNIKQCGLYTYSAIYVLSPSKDVSHLDENSNKLYMEKDFLVGKLKMVVDMPKEMFKKIPTKPIISEA -KMICSGCSQCAVGIDCNITYTSDTTFSARLMMDTCSFKSDQLGTFLGPNEKSIKAYCSEEITDKSLKLIP -EDQEELTVDIQVDEFTQVDQDTIIHFDDKSAHDENKHHSDTSISSLWDWIKAPFNWIASFFGSFFDLVRI -ILVIVAACVGLYIVSSIFKLSRTYYVDKRRQKLEDAIESVESSVLLANYTGIDQTRKRKSPPKGYDFSLD -I - ->NP_619691.1 G2-G1 polyprotein [Impatiens necrotic spot virus] -MALKETDAKIHVERGDHPEIYDEAYYDRSVDHKNEILDTLAEMLQNATGKTLRPTRDTQTVLANNEVPQS -SSGLSSTPTTISIMDLPNPCLNASSLTCSIKGVSTFNVYYQVESNGVIYSCISDTITKLGNCEGSSELPR -SFETVPVVPITKIDNKRKLSIGTKFYIIESLENYNYPIMYNSRPTNGTVSLQSVKFSGDCKISKTNIVNS -YTVSLTTPEKIMGYVVKREGSDMSHSIISFSGSVSLTFTEENMDGKHNLLCGDKSSKVPLVDKRVRDCII -KYSKNIYKQTACINFSWFRLIMIALIVYFPIRYLVNKTSKTLFYGYDLLGLITYPILLLINYLWSYFPLK -CKVCGNLCLVTHECSKLCICNKNKASEEHSEECPIITRTAEKNKKYNWASIEWFHLIVNTKIGLSFLKAV -TETLIGFLILSQMPMSMAQTAQCLDSCYYVPGCDRFVTNRYDKCPEKDQCFCAIKENSIVESNFLTNVVT -EGPMDCIPYQECKGRITENALVTFVKCRFGCEYASIFQSKPLDNGFLEYSGDTLGLNAVNLHFMKRLRNG -IIDFYNKTEKYGYISGDALKSNESDIPESIFPRKSLIFDSVIDGKYRYMIEESLLSGGGTVFSLNDKSSS -TAQKFVVYIKKVRIQYDVSEQYTTAPIQSTHTDFFSTCTGKCSDCRKEQPITGYQDFCITPTSYWGCEEV -WCLAINEGATCGFCRNVYDMDQSFRIYSVIKSTIKSEVCISGFVGAKCFTVSEEVPSESGYFQADILADF -HNDGLTIGQLIAHGPDSHVYAGNIARLNNPSKMFGHPQLSHQGDPIFSKKTLDTNDLSWDCSAIGKKTIT -IKSCGYDTYRFKTGLNQISDIPVQFTDQNSFYMEKIFSLGKLKIVLDLPSELFKTVPKKPILSSVSLSCK -GCFLCSQGLRCAASFISDITFSARLTMKQCSLSTYQIAVKKGANKYNLTMFCTSNPEKQKMIIEPEGDKS -YSVEALVDSVAVLEPENIIDQNDQHAHEEQQYNSDTSVWSFWDYVKSPFNFIASHFGSFFDTVRVVLLIL -FVFALAYLCSIVATMCRGYVRNKSYKTKYIEDTNDYSLVSTSSGKDTITRRRPPLDFSGI - ->NP_049359.1 G1 [Tomato spotted wilt orthotospovirus] -MRILKLLELVVKVSLFTIALSSVLLAFLIFRATDAKVEIIRGDHPEIYDDSAENEVPTAASIQREAILET -LTNLMLESRTPGTRQIREEKSTIPISAEPTTQKTISVLDLPNNCLNASSLKCEIKGISTYNVYYQVENNG -VIYSCVSDSAEGLEKCDNSLNLPKRFSKVPVIPITKLDKKRHFSVGGKFFISESLTQDNYPITYNSYPTN -GTVSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYLIKKPGENVEHKVISFSGSASITFTEEMLDGE -HNLLCGDKSAKIPKTNKRVRDCIIKYSKSIYKQTACINFSWIRLILIALLIYFPIRWLVNKTTKPLFLWY -DLMGLITYPVLLLINCLWKYFPLKCSNCGNLCIVTHECTKVCICNKSKASKEHSSECPILSKEADHDYNK -HKWTSMEWFHLIVNTKLSLSLLKFVTEILIGLVILSQMPMSMAQTTQCLSGCFYVPGCPFLVTSKFEKCS -EKDQCYCNVKEDKIIESIFGTNIVIEGPNDCIENQNCIARPSIDNLIKCRLGCEYLDLFRNKPLYNGFSD -YTGSSLGLTSVGLYEAKRLRNGIIDSYNRQGKISGMVAGDSLNKNETSIPENILPRQSLIFDSVVDGKYR -YMIEQSLLGGGGTIFMLNDKTSETAKKFVIYIKSVGIHYEVSEKYTTAPIQSTHTDFYSTCTGNCDTCRK -NQALTGFQDFCVTPTSYWGCEEAWCFAINEGATCGFCRNIYDMDKSYRIYSVLKSTIVADVCISGILGGQ -CSRITEEVPYENTLFQADIQADLHNDGITIGELIAHGPDSHIYSGNIANLNDPVKMFGHPQLTHDGVPIF -TKKTLEGDDMSWDCAAIGKKSVTIKTCGYDTYRFRSGLEQISDIPVSFKDFSSFFLAKSFSLGKLKMVVD -LPSDLFKVAPKKPSITSTSLNCNGCLLCGQGLSCLLEFFSDLTFSTAISIDACSLSTYQLAVKKGSNKYN -ITMFCSANPDKKKMTLYPEGNPDISVEVLVNNVIVEEPENIIDQNDEYAHEEQQYNSDSSAWGFWDYIKS -PFNFIASYFGSFFDTIRVVLLIAFIFLVTYFCSILTSICKGYVKNESYKSRSKIEDDDEPEIKAPMLMKD -TMTRRRPPMDFSHLV - ->sp|Q9IKB7.1|GP_TSWVD RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MRILKLLELVVKVSLFTIALSSVLLAFLTFRATDAKVEIIRGDHPEIYDDSAENEVPTAASIQREAILET -LTNLVLESRTPGTRQIREEKLTIPISTEPATQKTISVLDLPNNCLNASSLKCEIKGISTYNVYYQVENNG -VIYSSVSDSAEGLEKCDNSLNLPKRFSKVPVIPITKLDNKRHFSVGTNFFIPESLTQDNYPITYNSYPTN -GTVSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYLIKKPGENVEHKVIAFSGSASITFTEEMLDGE -HNLLCGDKSAKIPKTNKRVRDCIIKYSKSIYKQTACINFSWIRLILIALLIYFPIRWLVNKTTKPLFLWY -DLMGLITYPVLLLINCLWKYFPFKCSNCGNLCIVTRECTKVCICNKSKASKEHSSECPILSKEADHDYNK -HKWTSMEWFHLIVNTKLSLSLLKFVTEILIGLVILSQIPMSMAQTTQCLSGCFYVPGCPFLVTSKFEKCP -EKDQCYCNVKEDKIIESIFGTNIVIEGPNDCIENQNCIARPSIDNLIKCRLGCEYLDLFQNKPLYNGFSD -YTESSLGLTSVGLYEAKRLRNGIIDSYNRTDKISGMIAGDSLDKNETSIPENILPRQSLIFDSVVDGKYR -YMIEQSLLGGGGTIFMLNDKTSETAKKFVIYIKSVGIHHEVSEEYTTAPIQSTHTDFYPTCIGNCDTCRK -NQALTGFQDFCITPTSYWGCEEAWCFAINEGATCGFCRNIYDIDKSYRIYSVLKSTIVADVCISGILGGQ -CSRITEEVPYENTLFQADIQSDLHNDGITIGELIAHGPDSHIYSGNIANLNDPVKMFGHPQLTHDGVPIF -TKKTLEGDDMSWDCAATGKKSVTIKTCGYDTYRFRSGLEQISDIPVSFKDFSSFFLEKSFSLGELKIVVD -LPSDLFKVVPKKPSITSTSLNCNGCLLCGQGLSCILEFFSDLTFSTAISIDACSLSTYQLAVKKGSNKYN -ITMFCSANPDKKKMTLYPEGNPDIPVEVLVNNVIIEEPENIIDQNDEYAHEEQQYNSDSSAWGFWDYIKS -PFNFIASYFGSFFDTIRVVLLIAFIFLVIYFCSILTSICKGYVKHKSYKSRSKIEDDDEPEIKAPMLMKD -TMTRRRPPMDFSHLV - ->sp|Q9IKB5.1|GP_TSWVR RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MRILKLLELVVKVSLFTIALSSVLLAFLIFRATDAKVEIIRGDHPEVYDDSAENEVPTAASIQRKAILET -LTNLMLESQTPGTRQIREEESTIPIFAESTTQKIISVSDLPNNCLNASSLKCEIKGISTYNVYYQVENND -VIYSCVSDSAEGLEKCDNSLNLPKRFSKVPVIPITKLDNKRHFSVGTKFFISESLTQDNYPITYNSYPTN -GTVSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYLIKKPGENVEHKVISFSGSASITFTEEMLDGE -HNLLCGDKSAKIPKTNKRVRDCIIKYSKSIYKQTACINFSWIRLILIALLIYFPIRWLVNKTTKPLFLWY -DLIGLITYPILLLINCLWKYFPFKCSNRGNLCIITHKCTKLCICNKSKASKEHSLECPILSKETDHGYNK -HKWTSMEWFHLIVNTKLSFSLLKFVTEILIGLIILSQMPMSMAQTTQCLSGCFYVPGCPFLVTSKFEKCP -ERDQCYCNVKEDKIIESIFGTNIIIEGPNDCIENQNCAANPSIDNLIKCRLGCEYLDLFRNKPLYNGFSD -YTGSSLGLTSIGLYEAKRLRNGIIDSYNRTDKISGMIAGDSLNKNETSIPENILPRQSLIFDSVVDGKYR -YMIEQSLLGGGGTIFMLNDKTSETAKKFVIYIKSVGIHYEVSEKYTTAPIQSTHTDFYSTCTGNCDTCRK -NQALTGFQDFCITPTSYWGCEEAWCFAINEGATCGFCRNIYDMDKSYRIYSVLKSTIVADVCISGILGGQ -CSRITEEVPYENALFQADIQADLHNDGITIGELIAHGPDSHIYSGNIANLNDPVKMFGHPQLTRDGVPIF -TKKTLEGDDMSWDCAAIGKKSITIKTCGYDTYRFRSGLEQISDIPVSFKDFSSFFLEKAFSLGKLKIVVD -LPSDLFKVAPKKPSITSTSLNCNGCLLCGQGLSCILEFFSDLTFSTAISIDACSLSTYQLAVKKGSNKYN -ITMFCSANPDKKKMTLYPEGNPDISVEVLVNNVIVEEPENIIDQNDEYAHEEQQYNSDSSAWGFWDYIKS -PFNFIASYFGSFFDTIRVILLIAFIFLVIYFCSILTTICKGYVKNESYKSRSKIEDDDDSEIKAPMLMKD -TMTRRRPPMDFSHLV - ->sp|O55647.1|GP_TSWV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MRILKLLELVVKVSLFTIALSSVLLAFLIFRATDAKVEIIRGDHPEVYDDSAENEVPTAASIQRKAILET -LTNLMLESQTPGTRQIREGESIIPIFAESNTQKTISVSDLPNNCLNASSLKCEIKGVSTYNVYYQVENNG -VIYSCVSDSAEGLEKCDNSLNLPKRFSKVPVIPITKLDNKRHFSVGTKFFISESLTQDNYPITYNSYPTN -GTVSLQTVKLSGDCKITKSNFANPYTVSITSPEKIMGYLIKKPGENVEHKVISFSGSASITFTEEMLDGE -HNLLCGDKSAKIPKTNKRVRDCIIKYSKSIYKQTAGINFSWIRLILIALLIYFPIRWLVNKTTKPLFLWY -DLIGLITYPILLLINCLWKYFPFKCSNCGNLCIITHECTKICICNKSKASKEHSSECPILSKETDHGYNK -HKWTSMEWFHLIVNTKLSFSLLKFVTEILIGLIILSQMPMSMAQTTQCLSGCFYVPGCPFLVTSKFEKCP -EKDQCYCNVKEDKIIESIFGTNIVIEGPNDCIENQNCAAHPSIDNLIKCRLGCEYLDLFRNKPLYNGFSD -YTGSSLGLTSVGLYEAKRLRNGIIDSYNRTDKISGMIAGDSLNKNETSIPENILPRQSLIFDSVVDGKYR -YMIEQSLLGGGGTIFMLNDKTSETAKKFVIYIKSVGIHYEVSEKYTTAPIQSTHTDFYSTCTGNCDTCRK -NQALTGFQDFCITPTSYWGCEEAWCFAINEGATCGFCRNIYDMDKSYRIYSVLKSTIVADVCISGILGGQ -CSKITEEVPYENALFQADIQADLHNDGITIGELIAHGPDSHIYSGNIANLNDPVKMFGHPQLTHDGVPIF -TKKTLEGDDMSWDCAAIGKKSITIKTCGYDTYRFRSGLEQISDIPVSFKDFSSFFLEKAFSLGKLKIVVD -LPSDLFKVAPKRPSITSTSLNCNGCLLCGQGLSCILEFFSDLTFSTAISIDACSLSTYQLAVKKGSNKYN -ITMFCSANPDKKKMTLYPEGNPDISVEVLVNNVIVEEPENIIDQNDEYAHEEQQYNSDSSAWGFWDYIKS -PFNFIASYFGSFFDTIRVILLIAFIFLVIYFCSILTTICKGYVKNESYKSRSKIEDDDDSEIKAPMLMKD -TMTRRRPPMDFSHLV - diff --git a/seq/clusters_seq/cluster_183 b/seq/clusters_seq/cluster_183 deleted file mode 100644 index f27d548..0000000 --- a/seq/clusters_seq/cluster_183 +++ /dev/null @@ -1,382 +0,0 @@ ->YP_009551534.1 VP3 protein [Bat rotavirus] -MKVLALRRCTVQTYADTQTYIHDYNKDDYENAFLISNLTTHNILYVNYSLKVLEILNKSGIAAVEVTSDE -ELMVLMKCNFTYDYEANCIYLHDYSYYANNELRTDQFWVTKTDIEEYLLPGWKLTYVGCNGVMTQGHYSF -SFKCQNAATDDDMIIEYIYSEQLDFQTFMLEKIKERMTTALPIARLSNRVFRKKLFTSLQKKSKAIVNVG -PRNESMFTFLEFPKVKQFSNGSYQVKDTIKLRQEKWLGKRLSQFDIGQYKNMLNVVTAIYYYYQLFGDKP -KIYMAGSAPSYWIKDVLSYSIFEFETWDPLDTPFSNLHHAELFTIDTVYKLRSNSILYIDIRTDRGNTSW -KEWRNIVEQQTISNLELAYKYLSNGRCKVCCVKMTAMDLELPITAKLLHHPTTEIRSEFYLLLDICDVRS -TRRYIPKGVLYAYINTTITENVFIQDPFKVKPIKNTYIVALYALSNDFNARNSVVNLINNQVDSLITVRI -NNSFDDEPKVSFKNIYDWTFLPTDFDTKKSIITSYNACIAMYGLSLTLQHKPTGNNHLFILNGEDDYFKL -DEYANHMSISRRSHQVRFSESATTYSGYIFRDITNGNFNLIDTNTENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSVNKVSVEGGKYYEHAPIELLYACRSAKEFARLQSDLTTVRFAAEIEQYINGVYNITYADDPNYF -IGITFTNLPYECKVKTPHLTFGVLFISDNMVPDVVDILSSFKNEIEKMDMYTNYTYMLADGVYVANVGGV -LSTYFKLYNIFYRAHITFGQSRMYIPHITLSFNNMRTVRIETTKLQIKSIYLRKIKGDTVFDMVE - ->YP_008145318.1 VP3 [Rotavirus F chicken/03V0568/DEU/2003] -MRMLICNKQQLRRQAANSSIYTYNTELSQYENAFLCSNVTTDIVFVDTNHDQSMSNFVNILRTNGIARVD -VRKSDELFDILVSSSFIFDYKSSTIYLMDYSYYKEFDLATDQFWAYEELKNAGYKIEYTGYMGRERMKFI -GNYFLTNIATDDDIISAYIYDACIIDFKQFLFQKKLERLSHALDFNRLESRVLRHVIYSRSIYNHIVGPR -NESAIALLWSNMKFRHYANNLPVAINIMRQGTSKFAERINSQFDIGQYKNMMNFLTVWFRYITVYGKSPD -CAYIIGSSPARWLKDIPQHILEKIFTYDPKETEFSLHHFKQLFEISDVKSLSNNSVVYIDIRTDGDRSYS -NWEKRRNQVETESMKNMELAQMILKLKRNCIVMYKLTAMDFNIPVNAYFIHFPTTNTRSEFYLLQDELSA -TEYVTKGNCYYAINNFTSDNVFISPKFHLTKRNKGREVCALYALSNVINIKTDVLQYINQTNKGVYTLRL -ANDFDLSCTIKFKDGADFLTLPTELRSDDNTLITSHRGALGYYNLCTTHDDKPTGNNHIYIIKNVIKPID -DTYAGHYSISRRSHTVRFSETATKLAGYMFRDLVANGPDYKLSNTDINNSASGHVCNAIIYYRHNYTFDL -MNWLRKHAIGTYKIVGGRYEKHAVKEILNAIESAIMFTRLNNDLTGQNYAQQCKDVFNAFVEVD - ->YP_003896049.1 VP3 [Rotavirus D chicken/05V0049/DEU/2005] -MRLVVCSDEQSKTPLVNATLYRYRSNVHPAENAFLACNQIAEIILVINCSNFDKFSENVRSNGICCVDTR -GNDDLFKSVVSGNCLFNENEVITIDYGYYRYKTLRTMFTYIFSFPKTEFKWESVPPSELCNDDDILTNYL -YRSDKSVKFEEFIQQKILERLSFALRHEKYESRLLRTKVYNLYKNEKPIVIGPRNESMFELLSLNFTYYS -ANEFTVKDLSSLQSSRFREITLNEFDVGQYKNMLNFLSLYYYHVNHYGLPNRIVIIGSYPSKWLILLRNS -GLNCEIILYDVKLDDSQKDIREFVFRNKFYTFDDSYTDIIDNSIVYLDARIEYSRDSEIDRRKKIELDTK -IYFEWCDRLVRHFNKVTIMCKYTAMNGNLYKNIKLVNHIRTSIRSEVYLLFNIDDVSSEKVYIDKGKIYS -FIQNHITDNVFIGKTFKVTKINKSTRPVVALYSLSNTLNDRKLCLSYINTTRRGILTYRARNNFTNEDLI -SYDKFKDYSCLRTELKSSDNSIVTSLSGALGVFGCQTTFNDKADGNVHFHVIKGIERTNIDKYALHLQIS -RRSQFLRFTETATSLSGYLFRDLASEGFSNDLSETDSNNAASGHVYNALIYFRYNWRFDLIYWMEKHINS -NQIQSVGTNYYKHSIREIVNAINAAKKYAELQNDETLINYINTLIQLYPTNLLRR - ->YP_002302228.1 VP3 [Rotavirus A] -MKVLALRHSVAQVYADTQVYVHDDTKDSYENAFLISNLTTHNILYLNYSIKTLEILNKSGIAAIALQSLE -ELFTLIRCNFTYDYELDIIYLHDYSYYTNNEIRTDQHWITKTNIEEYLLPGWKLTYVGYNGSETRGHYNF -SFKCQNAATDDDLIIEYIYSEALDFQNFMLKKIKERMTTSLPIARLSNRVFRDKLFPSLLKEHKNVVNVG -PRNESMFTFLNYPTIKQFSNGAYLVKDTIKLKQERWLGKRISQFDIGQYKNMLNVLTAIYYYYNLYKSKP -IIYMIGSAPSYWIYDVRHYSDFFFETWDPLDTPYSSIHHKELFFINDVKKLKDNSILYIDIRTDRGNADW -KKWRKTVEEQTINNLDIAYEYLRTGKAKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLLLDTWDLTN -IRRFIPKGVLYSFINNIITENVFIQQPFKVKVLNDSYIVALYALSNDFNNRSEVIKLINNQKQSLITVRI -NNTFKDEPKVGFKNIYDWTFLPTDFDTKEAIITSYDGCLGLFGLSISLASKPTGNNHLFILSGTDKYYKL -DQFANHTSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNIENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSIDKVDIEGGKYYELAPIELIYACRSAKEFATLQDDLTVLRYSNEIENYINTVYSITYADDPNYF -IGIQFRNIPYKYDVKIPHLTFGVLHISDNMVPDVIDILKIMKNELFKMDITTSYTYMLSDGIYVANVSGV -LSTYFKIYNVFYKNQITFGQSRMFIPHITLSFNNMRTVRIETTKLQIKSIYLRKIKGDTVFDMVE - ->YP_392516.1 guanylyltransferase [Rotavirus C] -MRVLGLFERGNNLNFADTYVYTWNQQYSYHENAFLISNQVATTIILYLDGININEVNKAFELLNSNGIPA -LIIKPDHIGIFTSSNFTYDWQYKIVYFHEYTYYKNNEFIVSDEFWLYTNINELLPYKILYYERGMRELYA -GREYTLYNTATDDDILYKYIYEKDSIMNGTDYKKLYDTNSVKNFVHFMRLLRMRFAVPFDQLSNRITRSR -VFSKSRIHIGLRNESIPQALDNIHSQWINYSANGIVISELKGLGSYSEKKISEFGIGQFKNYMNFLTLMF -YIKNMKKKPSCTIIGAAPGYWISSMKKYFTIVTYDNKEVDSTEHHNRYFTDDDIVNVKTNGVYIDVRSEF -KTNDWRQRRKLIEEETIKWLEISYKLLENKRVEAILLKMTAMDGEIPDGYCVHSPTTYRKSEYYLLIDKH -IIKRQKIKVTKSLMYNAINTIYSDNVFISGKYSLRGKTEGVLALYCLSNTINQKEKVIQYANSFSGTCMT -VRLNNTYEVDKIIDFKTNSDHTFLPSDFTCSLNTILTSYRGYAGIFGYAITKDLKSNGNNHIYIIPNARD -ENNFDTFGSHLGLSRYSHSKRFSESATTMSGYIFRDMVSGKENMQDTDKDNYASGHVFNAIAHYRFDYTY -DIVGWLRLHKTGQFKVKSDIYKEHTDSEIRNAIESAYVYYLLDGDKVGEKYSKKMMEIWEVQV - ->sp|Q91HJ9.2|VP3_ROTHU RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQTYVHDDSKDEYENAFLISNLTTHNILYLNYSVKTLKILNKSGIAAIEIQSPD -ELFTLIRCNFTYNYENNTVYLHDYSYYTNNEIRTDQHWVTKTDITNYLLPGWKLTYVGYNGKNTRGHYKF -SFICQNAATDDDIIIEYIYSNELDFQTFLLRKIKERMTTSLPITRLSNRVFRDKLFSSILNTHKKVINVG -PRNESMFTFLNLPTIKQFSNGAYLVKHTIKLKQERWLGKRVSQFDIGQYKNMLNVITTIYYYYNLHFSKP -IIYMLGSAPSYWIYDIKQYSDFTFETWDPLDTPYSTTHHKELFFDKDINRLKDNSILYIDIRTDRGNMDW -KEWRKVVEHQTISNLNIAYKYLSTGKAKVCCVKLTAMDLELPVTTKLLHHPTTEVRSEFYAILDVWDVNT -IKRFVPKGVFYAFINNVITENVFIQPPFKLKTSSNDYIVALYALSNDFNSRQDVINLINQQKQSLITVRM -NNTFRDEPKVNFKNIYDWTFLPTDFETKGSIITSYDGCLGIFGLSISLSSKPTGNNHLFIINGTDKYYKL -DQYANHMGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYAFDLKR -WIYLHSIGKANVEGGRYYEHAPIELIYACRSAKEFAILQDDLTVLRYANEIERYINIVYNITYADDPNYF -IGIEFTNIPYEYDVKVPHLTLGVLFISDNMINDVITVLKKMKPELFKMEISTSYTYMLSDNIYVANASGV -LSTYFKLYNMFYRSHITFGQSRMFIPHITLSFSNRQMVRIESTRLKISSIYLRKIKGETVFDMSE - ->sp|A7J3A4.1|VP3_ROTYO RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQTYIHDDSKDEYENAFLISNLTTHNILYLNYSFKTLKILNKSGIAAIEVQSPD -ELFALIRCNFTYDYENNIVYLHDYSYYTNNEIRTDQYWITKTDIINYLLPGWKLTHVGYNGKNTRGHYNF -SFICQNAATDDDIIIEYIYSNELDFQNFLLRKIKERMTTSLPIARLSNRVFRDKLFPSIVNIHKKVINVG -PRNESMFTFLNFPTIKQFSNGAYIVKHTIKLKQEKWLGKRISQFDIGQYKNMLNVVTTIYYYYNLYYSKP -VIYMLGSAPSYWIYDIKQYSDFTFETWDPLDTPYSTIHHKELFFDKDINKLKDNSVLYIDIRTDRGNMDW -KEWRKIVEQQTVNNLNIAYKYLSTGKAKVCCVKLTAMDLELPITAKLLHHPTTEIRSEFYAILDVWDIIT -IKRFIPKGVFYAFINNVTTENVFIQPPFKLKMSPTDYIVALYALSNDFNSRQDIINLINKQKQSLITVRI -NNTFKDEPKVSFKNIYDWTFLPTDFELKDSVITSYDGCLGMFGLSISLSPKPTGNNHLFIINGTDKYYKL -DQYANHTGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYAFDLKR -WIYLHSIGKVAVEGGRYYEHAPIELIYACRSAKEFAILQDDLTVLRYANEIERYINKVYSITYADDPNYF -IGIKFNSIPYEYDVKVPHLTLGVLFISDNMVHNVITVLKKMKTELFKMEISTSYTYMLSDNTYVANVSGV -LSTYFKLYNMFYRNHVTFGQSRMFIPHITLSFSNKQTVRIESTKLRINSIYLRKIKGETVFDMSE - ->sp|B1NKU3.1|VP3_ROTWI RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQTYLHDDSKDEYENAFLISNLTTHNILYLNYSLKTLKILNKSGIAAVEVQSLD -ELFALIRCNFTYDYENNIVYLHDYSYYTNNEIRTDQHWITKTDITEYLLPGWKLTYVGYNGKNTRGHYNF -SFSCQNAATDDDIIVEYIYSNELDFQNFLLRKIKERMTTSLPIARLSNRVFRDKLFPSIMNIHKKVINVG -PRNESMFTFLNFPTIKQFSNGAYIVKHTIKLKQEKWLGKRVSQFDIGQYKNMLNIVTTIYYYYNLYHSKP -IIYMLGSAPSYWIHDIKQYSDFTFETWDPLDTPYSTIHHKELFFDKDVNKLRDNSVLYIDIRTDRKNIDW -KEWRKIVEQQTVSNLNIAYKYLATGKAKVCCVKLTAMDLELPITAKLLHHPTTEVRSEFYAILDVWDIIN -IKRFIPKGVFYAFINNITTDNVFIQPPFKLKASPTDYIVALYALSNDFNSRQDVINLINKQKQSLITVRI -NNTFKDEPKVNFKNIYDWTFLPTDFELKDSVITSYDGCLGMFGLSISLSSKPTGNNHLFIINGTDKYYKL -DQYANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYTFDLKR -WIYLHSIGKVAVQGGRYYEHAPIELIYACRSAREFAILQDDLTVLRYANEIEEYINKVYSITYADDPNYF -IGIKFNSIPYEYDVKVPHLTLGVLFISDNMIHDVVTVLKKMKTELFKTEISTSYTYMLSDNMYVANASGV -LSTYFKLYNMFYRNHITFGQSRMFIPHITLSFSNKQTVRIESTRLKINSIYLRKIKGETVFDMSE - ->sp|B2BMF9.1|VP3_ROTW3 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQIYTHDETKDDYENAFLISNLTTHNILYLNYSVKTLQILNKSGIAAVEIQEMD -ELFTLIRCNFTYDYIDDIVYLHDYSYYTNNEIRTDQHWVTKTNIEDYLLPGWKLTYVGYNGNDTRGHYNF -SFKCQNAATDDDAIIEYIYSNELDFQNFILKKIKERMTTSLPIARLSNRVFRDKLFKTLVSDHSRVVNVG -PRNESMFTFLDHPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNMLNVLTTLYQYYDMYHEKP -IIYMVGSAPSYWIHDVRQYSNLKFETWDPLDTPYSDLHHKELFYISDVTKLKDNSILYVDIRTDRENTDW -KAWRKIVEEQTINNLNIAYKYLSTGKAKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLIMDIWDSKN -IKRFIPKGVLYSYINNVITENVFIQQPFKLKTLRNEYVVALYALSNDFNNREDVIKLVNNQKNALITVRI -NNTFKDEPKVGFKDIYDWTFLPTDFETNESIITSYDGCLGMFGLSISLASKPTGNNHLFILSGTNKYFKL -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHATNKAGIEGGRYYEHAPIELIYACRSAKEFAKLQDDLTVLRYSNEIEDYINKVYSITYADDPNYF -IGIKFKNIPYEYDVKVPHLTFGVLNISDSMVPDVVAILKKFKSELFRMDVTTSYTYMLSDEIYVANVSGV -LSTYFKLYNAFYKEQITFGQSRMFIPHITLSFSNKKVVRIDSTRLNIDFIYLRKIKGDTVFDMTE - ->sp|B3F2X7.1|VP3_ROTTU RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQVYTHDDTKDNYENAFLISNLTTHNILYLNYSTKTLEILNKSGIAAVEIQSLE -ELFTLIRCNFTYDYENNIIYLHDYSYYTNNEIRTDQHWVTKTDIEEYLLPGWKLTYVGYNGSDTRGHYNF -SFTCQNAATDDDLIIEYIYSEALDFQNFMLKKIKERMTTSLPIARLSNRVFRDKLFPSLRKKYQHIVNVG -PRNESMFTFLNFPSIKQFSNGPYLVKDTIKLKQERWLGKRVSQFDIGQYKNMMNVITTVYYYYNLYQKKP -IIYMVGSAPSYWIYDVKQYSDFTFETWDPLDTPYSSIHHKELFFEKDVAKLRDNSILYIDIRTDRRNADW -REWRKTVEEQTISNLKLAYQYLASGKSKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLLLDIWDISN -VKRFIPKGVLYSFINNIITENVFIQPPFKIKTSKNEYIVALYALSNDFNDRMNVINLINNQKQSLITVRI -NNTFKDEPKVGFKNIYDWTFLPTDFNTTDSIITSYDGCLGIFGLSISLASKPTGNNHLFILNGTDKYYKL -DQFANHTGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNIENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSIEKADIEGGKYYEHAPIELIYACRSAKEFALLQDDLTVLRYANEIERYIHKVYSITYADDPNYF -IGIKFKHIPYKYDVKIPHLTFGVLFISDNMIPDVTRIIKDMKKELFEMDVTTSYTYMLSDGTYVANISGV -LSTYFKMYNLFYKNQITFGQSRMFIPHITLSFSSRKTVRIETVKLKIDSIYLRKIRGDTVFDMSE - ->sp|B1NKT9.1|VP3_ROTHT RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQIYLHDDSKDEYENAFLISNLTTHNILYLNYSLKTLKILNKSGIAAVEVQSPD -ELFALIRCNFTYDYENNIIYLHDYSYYTNNEIRTDQHWITKTDIIDYLLPGWKLTYVGYNGKNTRGHYNF -SFSCQNAATDDDIIIEYIYSNELDFQNFLLRKIKERMTTSLPIARLSNRVFRDKLFPSIVNIYKKVINVG -PRNESMFTFLNFPTIKQFSNGAYIVKHTIKLKQEKWLGKRVSQFDIGQYKNMLNVVTTIYYYYNLYHSKP -IIYMLGSAPSHWIHDIKQYSDFTFETWDPLDTPYSTIHHKELFFYKDVNKLKDNSILYIDIRTDRKNMDW -KEWRKVVEQQTVNNLNIAYKYLSTGKAKVCCVKLTAMDLELPITAKLLHHPTTEVRSEFYAILDVWDIIT -IKRFIPKGVFYAFINNITTENVFIQPPFKLKASPTDYIVALYALSNDFNSRQDVINLINKQKQSLITVRM -NNTFKDEPKVNFKNIYDWTFLPTDFELKDSIITSYDGCLGMFGLSISLSSKPTGNNHLFIINGNDKYYKL -DQYANHMGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYAFDLKR -WIYLHSIGKVAIEGGRYYEHAPIELIYACRSAREFAILQDDLTVLRYADEIEGYINKVYSITYADDPNYF -IGIKFNSIPYEYDVKVPHLTLGVLFISDNMIHNVVTVLKKMKTELFKTEISTSYTYMLSDNIYVANASGV -LSTYFKLYNMFYRNHITFGQSRMFIPHITLSFSTKQTVRIESTRLKINSIYLRKIKGETVFDMSE - ->sp|A7J392.1|VP3_ROTHS RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQVYTHDDSKDEYENAFLISNLTTHNILYLNYSVKTLQILNKSGIAAIEIQKMD -ELFTLIRCNFTYDYIDDVVYLHDYSYYTNNEIRTDQHWITKTNIEDYLLPGWKLTYVGYNGSDTRGHYNF -SFRCQNAATDDDAIIEYIYSDELDFQSFILKKIKERMTTSLPIARLSNRVFRDKLFKTLSVNHDKVVNIG -PRNESMFTFLDHPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNMLNVLTTLYQYYDIYHEKP -IVYMIGSAPSYWIYDVKQYSDLKFETWDPLDTPYSNLHHKELFYINDVQRLKDNSILYIDIRTDRGTMDW -KEWRKMVERQTTDNLHIAYKYLSTGKAKICCVKMTAMDLELPISAKLLHHPTTEIRSEFYLMMDIWDSKN -IKRFIPKGVLYSYINNTITENVFIQQPFKLKTLKNEYIIALYALSNDLNNREDVVKLINNQKKALITVRI -NNTFKDEPKVGFKNIYDWTFLPTDFETNGSIITSYDGCLGIFGLSISLASKPTGNNHLFILSGTDKYFKL -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSTGKASIEGGKYYEHAPIELIYACRSAREFAKLQDDVTVLRYSNEIENYINKVYSITYADDPNYF -IGVKFKNIPYKYNVKVPHLTFGVLNISEQMLPDVIVILKKFKNELFGMEITTSYTYMLSDEVYVANISGV -LSTYFKIYNAFYKEQITFGQSRMFIPHVTLSFSNEKTVRIDNTKLYIDSIYLRKIKGDTVFDMTG - ->sp|B1NKT1.1|VP3_ROTHP RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQTYLHDDSKDEYENAFLISNLTTHNILYLNYSLKTLKILNKSGIAAVEVQSPD -ELFALIRCNFTYDYENNIIYLHDYSYYTNNEIRTDQHWITKTDIIDYLLPGWKLTYVGYNGKNTRGHYNF -SFSCQNAATDDDIIIEYIYSNELDFQNFLLRKIKERMTTSLPIARLSNRVFRDKLFPSIVNIYKKVINVG -PRNESMFTFLNFPTIKQFSNGAYIVKHTIKLKQEKWLGKRVSQFDIGQYKNMLNVVTTIYYYYNLYHSKP -IIYMLGSAPSYWIHDIKQYSDFTFETWDPLDTPYSTIHHKELFFDKDVNKLKDNSVLYIDIRTDRKNMDW -KEWRKVVEQQTVNNLNIAYKYLSTGKAKVCCVKLTAMDLELPITAKLLHHPTTEVRSEFYAILDAWDIIT -IKRFIPKGVFYAFINNITTENVFIQPPFKLKASPTDYIVALYALSNDFNSRQDVINLINKQKQSLITVRM -NNTFKDEPKVNFKNIYDWTFLPTDFELKDSIITSYDGCLGMFGLSISLSSKPTGNNHLFIINGTDKYYKL -DQYANHMGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYAFDLKR -WIYLHSIGKVTVEGGRYYEHAPIELIYACRSAKEFAILQDDLTVLRYANEIEGYINKVYSITYADDPNYF -IGIKFNSIPYEYDVKVPHLTLGVLFISDNMIHDVVTVLKKMKTELFKTEISTSYTYMLSDNIYVANASGV -LSTYFKLYNMFYRNHITFGQSRMFIPHITLSFSNKQTVRIESTRLKINSIYLRKIKGETVFDMSE - ->sp|B1NKS7.1|VP3_ROTHL RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQVYTHDNSKDEYENAFLISNLTTHNILYLNYSVKTLQILNKSGIAAIEIQKMD -ELFTLIRCNFTYDYIDDVVYLHDYSYYTNNEIRTDQHWVTKTNIEDYLLPGWKLTYVGYNGSDTRGHYNF -SFRCQNAATDDDAIIEYIYSDELDFQSFILKKIKERMTTSLPIARLSNRVFRDKLFKTLSVNHDKVVNVG -PRNESMFTFLDYPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNMLNVLTTLYQYYDMYHEKP -IVYMIGSAPSYWIYDVKQYSDLKFETWDPLDTPYSNLHHKELFYINDVQKLKDNSILYIDIRTDRGNMDW -KEWRKVVERQTADNLYIAYKYLSTGRAKICCVKMTAMDLELPISAKLLHHPTTEIRSEFYLMMDIWDSKN -IKRFIPKGVLYSYINNIITENVFIQQPFKLKTLKNEYVIALYALSNDLNNREDVIKLINNQKKALITVRI -NNTFKDEPKVGFKNIYDWTFLPTDFETSESIITSYDGCLGIFGLSISLASKPTGNNHLFILSGTDKYFKL -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSTGKASIEGGRYYEHAPIELIYACRSAREFAKLQDDLTVLRYSNEIENYINKVYSITYADDPNYF -IGVKFKNIPYKYNVKVPHLTFGVLNISEQMLPDAIAILKKFKNELFGMDITTSYTYMLSDEVYVANISGV -LSTYFKIYNAFYKEQITFGQSRMFIPHVTLSFSNEKTVRIDTTKLYIDSIYLRKIKGDTVFDMTG - ->sp|B1NKR1.1|VP3_ROTH7 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQIYTHDETKDDYENAFLISNLTTHNILYLNYSVKTLQILNKSGIAAVEIQKMD -ELFTLIRCNFTYDYIEDIVYLHDYSYYTNNEIRTDQHWVTKTNIEDYLLPGWKLTYVGYNGSDTRGHYNF -SFKCQNAATDDDAIIEYIYSNELDFQNFILKKIKERMTTSLPIARLSNRVFRDKLFKTLVSDHSKVVNVG -PRNESMFTFLDHPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNMLNVLTTLYQYYDMYHEKP -IIYMIGSAPSYWIYDVKQYSNLKFETWDPLDTPYSDLHHKELFYISDVTKLKDNSILYVDIRTDRENMDW -KTWRKIVEEQTINNLNIAYRYLSTGKAKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLIMDIWDSKN -IKRFIPKGVLYSYINNVITENVFIQQPFKLKTLRNEYVVALYALSNDFNNREDVIKLVNNQKNALITVRI -NNTFKDEPKVGFKDIYDWTFLPTDFETNESIITSYDGCLGMFGLSISLASKPTGNNHLFILSGTNKYFKL -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSTNKASIEGGRYYEHAPIELIYACRSAREFARLQDDLTVLRYSNEIENYINKVYSITYADDPNYF -IGIKFKNIPYEYDVKVPHLTFGVLNISNSMVPDVVAILKKFKSELFRMDVTTSYTYMLSDEIYVANVSGV -LSTYFKLYNAFYKEQITFGQSRMFIPHITLSFSDKKVVRIDSTRLNIDFIYLRKIKGDTVFDMAE - ->sp|A4ZCW6.1|VP3_ROTH3 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRRSVAQVYADTQVYTHDDTKDDYENAFLISNLTTHNILYLNYSIKTLEILNKSGIAAVEIQSLE -ELFTLIRCNFTYDYEDNIIYLHDYSYYTNNEIRTDQHWVTKTDIEEYLLPGWKLTYVGYNGSDTRGHYNF -SFTCQNAATDDDLIIEYIYSEVLDFQNFMLKKIKERMTTSLPIARLSNRVFRDKLFPLLSKKRQRILNIG -PRNESMFTFLNFPSIKQFSNGPYLVKDTIKLKQERWLGKRVSQFDIGQYKNMMNVITTVYYYYNLYQKKP -TIYMVGSAPSYWIYDVKQYSDFTFETWDPFDTPYSSMHHKELFSEKDIAKLKDDSILYIDIRTDRGNIDW -KEWRKIVEAQTVSNLKLAYQYLASGKSKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLLLDIWDISN -VKRFIPKGVLYSFINNVITENVFIQPPFKIKTFKNDYIVALYALSNDFNDRTDVINLINNQKQSLITVRI -NNTFKDEPKVGFKNIYDWTFLPTDFNTTDTIITSYDGCLGIFGLSISLASKPTGNNHLFILNGTDKYYKL -DQFANHTGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNIENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSIEKADIEGGKYYEHAPIELIYACKSAKEFASLQDDLTVLRYANEIERYINKVYSITYADDPNYF -IGIKFRHIPYKYDVKVPHLTFGVLFISDSMIPDVVKIMKNMRNELFEMDVTTSYTYMLSDGVYVANVSGV -LATYFKMYNLFYKSQITFGQSRMFIPHITLSFNNNRTVRIETTKLKINSIYLRKIRGDTVFDMSE - ->sp|A7J3A0.1|VP3_ROTBN RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQIYTHDETKDDYENAFLISNLTTHNILYLNYSVKTLQILNKSGIAAVEIQKMD -ELFTLIRCNFTYDYIDDIVYLHDYSYYTNNEIRTDQHWVTKTNIEDYLLPGWKLMYVGYNGNDTRGHYNF -SFKCQNAATDDDAIIEYIYSNELDFQNFILKKIKERMTTSLPIARLSNRVFRDKLFKTLVSDHSRVVNVG -PRNESMFTFLDHPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNMLNVLTTLYQYYDMYHEKP -IIYMVGSAPSYWIHDVKQYSDLKFETWDPLDTPYSDLHHKELFYASDVTKLKDNSILYVDIRTDRENADW -KTWRKIVEEQTANNLNIAYKYLSTGKAKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLIMDIWDSKN -TKRFIPKGVLYSYINNTITENVFIQQPFKLRTLRNEYVVALYALSNDFNNREDVVKLVNNQKNALITVRI -NNTFKDEPKVGFKDIYDWTFLPTDFETNESIITSYDGCLGMFGLSISLASKPTGNNHLFILSGTNKYFKL -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSTNKASIEGGRYYEHAPIELIYACRSAREFAKLQDDLTVLRYSNEIENYINKVYSITYADDPNYF -IGIKFKNIPYEYDVKVPHLTFGVLNISDSMVPDVVAILKKFKNELFRMDVTTSYTYMLSDEIYVANVSGV -LSTYFKLYNAFYKEQITFGQSRMFIPHITLSFSNKRVVRIGSTRLNIDFIYLRKIKGDTVFDMTE - ->sp|B1NKR5.1|VP3_ROTAD RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQTYLHDDSKDEYENAFLISNLTTHNILYLNYSLKTLKILNKSGIAAVEVQSPD -ELFALIRCNFTYDYENNIVYLHDYSYYTNNEIRTDQHWITKTDIIDYLLPGWKLTYVGYNGKNTRGHYNF -SFICQNAATDDDIIIEYIYSNELDFQNFLLRKIKERMTTSLPIARLSNRVFRDKLFPSIVNIHKKVINVG -PRNESMFTFLNFPTIKQFSNGAYIVKHTIKLKQEKWLGKRVSQFDIGQYKNMLNVVTTIYYYYNLYYSKP -IIYMLGSAPSYWIYDIKQYSDFTFETWDPLDTPYSTTHHKELFFDKDVNKLKDNSVLYIDIRTDRGNMDW -KEWRKIVEQQTVSNLNIAYKYLSTGKAKVCCVKLTAMDLELPITAKLLHHPTTEVRSEFYAILDVWDIIT -IKRFIPKGVFYAFINNVTTENVFIQPPFKLKTSPTDYIVALYALSNDFNSRQDVINLINKQKQSLITVRI -NNTFKDEPKVNFKNIYDWTFLPTDFELKDSIITSYDGCLGIFGLSISLSSKPTGNNHLFIINGTDKYDKL -DQYANHMGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYAFDLKR -WIYLHSIGKVAVEGGRYYEHAPIELIYACRSAKEFAILQDDLTVLRYANEIEGYINKVYSITYADDPNYF -IGIKFNSIPYEYDVKIPHLTLGVLFISDNMIHDVITALKKMKTELFKMEISTSYTYMLSDNTYVANASGV -LSTYFKLYNMFYRNHITFGQSRMFIPHITLSFSNKRTVRIESIKLRINSIYLRKIKGETVFDMSE - ->sp|Q3ZK57.1|VP3_ROT41 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQVYTHDDTKDSYENAFLISNLTTHNILYFNYSVKTLEILNKSGIAAIEIQSLE -ELFTLIRCNFTYDYENNVVYLHDYSYYTNNEIRTDQHWITKTNIEEYLLPGWKLTYVGYNGNDTRGHYNF -SFTCQNAATDDDIIIEYIYSEALDFQNFMLKKIKERMTTSLPIARLSNRVFRDKLFPLLVKKHKRVINVG -PRNESMFTFLNFPSIRQFSNGPYLVKNTIKLKQERWLGKRVSQFDIGQYKNMMNVITTIYHYYNLYQEKP -IIYMVGSAPSYWIYDVRQYSEFLFETWDPLDTPYSSIHHKELFFEKDIGKLKDNSILYIDIRTDRGNVDW -KEWRKIVELQTINNLDLAYKYLATGKSKVCCVKLTAMDLELPVSAKLLHHPTTEIRSEFYLLLDIWDVNN -VKRFIPKGVLYSFINNVITDNVFIQSPFKIRTSMSDYIVALYALSNDFNNRADIINLINNQKQSLITVRI -NNTFKDEPKVGFKNIYDWTFLPTDFDTTNAIVTSYDGCLGMFGLSISLASKPTGNNHLFILNGTDKYYKL -DQFANHTGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSIEKVDIEGGKYYEHAPIELIYACRSAKEFALLQDDLTVLRYANEIENYINKVYSITYADDPNYF -IGIKFDNIPYTYDVKVPHLTFGVLYISDNMIPDVVKIMKSMKQELFGMDVTTSYTYMLSDGIYVANVSGV -LATYFKMYNLFYKNQITFGQSRMFIPHITLSFRNNKTVRIETTKLRIKSIYLRKIRGDTMFDMSE - ->sp|Q9QNB1.1|VP3_ROTHK RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQTYLHDDSKDEYENAFLISNLTAHNILYLNYSLKTLKILNKSGIAAVETQSPD -ELFALIRCNFTYDYENNIVYLHDYSYYTNNEIRTDQHWITKTDIIDYLLPGWKLTYVGYNGKNTRGHYNF -SFSCQNAATDDDIIVEYIYSNELDFQNFMLRKIKERMTTSLPIARLSNRVFRDKLFPSIANMHKRVINVG -PRNESMFTFLNFPTIKQFSNGAYIVKHTIKLKQEKWLGKRVSQFDIGQYKNMLNVVTTIYYYYNLYHSKP -IIYMLGSAPSYWIYNVKQYSDFTFETWDPVDTPYSTTHHKELFFDKDVMKLKDDSVLYIDIRTDRKNMDW -KEWRKVVEQQTVSNLNIAYNYLSTGKAKVCCVKLTAMDLELPITAKLLHHPTTEVRSEFYAILDVWDIIT -IKRFIPKGVFYAFINNITTENVFIQPPFKLKASPTDYIVALYALSNDFNSRQDVINLINKQKQSLITVRI -NNTFKDEPKVNFKNIYDWTFLPTDFELKDSVITSYDGCLGMFGLSLSLSSKPTGNNHLFIINGTDKYYKL -DQYANHMGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYAFDLKR -WIYLHSIGKVAVEGGRYYEHAPIELIYACRSAREFAILQDDLTVLRYANEIEGYINKVYSITYADDPNYF -IGITFNNIPYEYDVKVPHLTLGVLFISDNMIDEVVAVLKEMKTELFKTEISTSYNYMLFDNVYVANASGV -LSTYFKLYNMFYRNHITFGQSRMFIPHITLSFSNKRTIRIESTRLKINSIYLRKIRGETVFDMSE - ->sp|Q65526.1|VP3_ROTBS RecName: Full=Protein VP3; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MRVLGLFERGNNLNFADTYVYTWNKQYSFHENAFLISNQVATTIIIYLDKEIVNQVNEAFNLLNSNGIPA -LIIKSDHIGIFTSSNFTYDWQNKIIYFHEYTYYKNNEFIVSDEFWLNTSIQDLLPYKVLFFERGLRKLYE -GEEYILYNTATDDDIIYKYIYEKDVIMSGNDYSKLYDTKSFKNFVHFMRLLRMRFAVPFDQLSNRVTRSR -AFAKSKIHIGLRNESIPQALDNIHHYWINYSANGMRVSELKGSGSYSEKKISEFDIGQFKNYMNFLTLMF -YIKNMKKKPSCTIIGAAPGYWIPSMKKYFNIVTYDDKHVDSTEHYNRYFTDDDIASVKTNGVYIDVRSDF -KNYDWKKRRQLVEEETMRWLSITYKLLENRYVEAVLLKMTAMDIEIPDGYFVHFPTTYRKSEYYLLVDKQ -TVKRPKIKITKSLAYGAINTIFSDNVFISGKYSLKGKTEGVLALYCLSNTINPKEKVVQYANSFSGTCMT -VRLNNTYILNKIIDFKTNADYTFLPSDFQCSIKTVLTSYRGYAGVFGYAITKDLKSDGNNHIYIIPNARD -DDNFDTFASHLGLSRYSHSKRFSESATTMSGYLFRDMVSGKENMEDTDTENLASGHVFNAIAHYRFDYTY -DIVGWLKLHKMRKFRVKSNIYGEHTDDEIRNAIEAAYVYYLLDGDEVGKEYAKRIMEIWDVQTWG - ->sp|Q6WNW5.1|VP3_ROTHD RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQIYADTQVYTHDDSKDDYENAFLISNLTTHNILYLNYSVKTLQILNKSGIAAIEIQKID -ELFTLIRCNFTYDYIDDVVYLHDYSYYANNEIRTDQYWVTKTNIEDYLLPGWKLTYVGYNGSDTRGHYNF -SFRCQNAATDDDVIIEYIYSNELDFQNFILKKIKERMTTSLPIARLSNRVFRDKLFKTLSVNHDKVVNVG -PRNESMFTFLDHPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNMLNVLTTLYQYYDMYHEKP -IIYMIGSAPSYWIYDVKQYSDLKFETWDPLDTPYSNLHHKELFYINDVQKLKDNSILYIDIRTDRGNMDW -KEWRKVVEGQTADNLHIAYKYLSTGKAKICCVKMTAMDVELPISAKLLHHPTTEIRSEFYLMMDIWDSKN -IKRFIPKGVLYSYINNTITENVFIQQPFKLKTLKNEYVIALYALSNDLNNREDVVKLINNQKRALITVRI -NNTFKDEPKVGFKNIYDWTFLPTDFEMNGSIITSYDGCLGIFGLSISLASKPTGNNHLFILSGTDKYFKL -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSTGKASIEGGKYYEHAPIELIYACRSAREFAKLQDDLTVLRYSNEIENYINKVYSITYADDPNYF -IGIKFKNIPYKYNVKVPHLTFGVLNISEQMLPDAIAILKKFKNELFGMDITTSYTYMLSDEVYVANISGV -LSTYFKIYNAFYKEQITFGQSRMFIPHVTLSFSNEKTVRIDTTKLYIDSIYLRKIKGDTVFDMTE - ->sp|Q6WNW3.1|VP3_ROTH6 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRRSVAQVYADTQIYTHDETKDDYENAFLISNLTTHNILYLNYSVKTLQILNKSGIAAVEIQKMD -ELFTLIRCNFTYDYIEDIVYLHDYSYYTNNEIRTDQHWVTKTNIENYLLPGWKLTYVGYNGNDTRGHYNF -SFKCQNAATDDDAIIEYIYSNELDFQNFILKKIKERMTTSLPIARLSNRVFRDKLFKTLVSDYSKVVNVG -PRNESMFTFLDHPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNMLNVLTTLYQYYDMYHEKP -IIYMVGSAPSYWIHDVRQYSNLKFETWDPLDTPYSDLHHKELFYISDVTKLKDNSILYVDIRTDRESIDW -KTWRKIVEEQTINNLNIAYRYLSTGKAKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLIMDIWDSKN -IKRFIPKGVLYSYINNIITENVFIQQPFKLKTLRNEYVVALYALSNDFNNREDVIKLVNNQKNALITVRI -NNTFKDEPKVGFKDIYDWTFLPTDFETNESIITSYDGCLGMFGLSISLASKPTGNNHLFILSGTNKYFKL -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSTNKASIEGGRYYEHAPIELIYACRSAREFARLQDDLTVLRYSNEIENYINKVYSITYADDPNYF -IGIKFKNIPYEYDVKVPHLTFGVLNISDSMVPDVIAILKKFKSELFRMDITTSYTYMLSDEIYVANVSGV -LSTYFKLYNAFYKEQITFGQSRMFIPHITLSFSDKKVVRIDSTRLNIDFIYLRKIKGDTVFDMAE - ->sp|Q6WNV8.1|VP3_ROTP5 RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQTYVHDDSKDEYENAFLISNLTTHNILYINYSVKTLKILNKSGIAAVEIQSPD -ELFALIRCNFTYDYENNTVYLHDYSYYTNNEIRTDQHWVTKTDIIDYLLPGWKLTYVGYNGKDTRGHYNF -SFTCQNAATDDDIIIEYIYSSELDFQTFLLRKIKERMTTSLPIARLSNRVFRDKLFPSIVNIHKRVVNVG -PRNESMFTFLNFPTIKQFSNGAYLVKHTIKLKQERWLGKRVSQFDIGQYKNMLNVVTTIYYYYNLHSSKP -VIYMLGSAPSYWIYDIRQYSDFTFETWDPLDTPYSTTHHKELFFDKDVTKLKDNSILYIDIRTDRGNMDW -KEWRKVVEQQTVSNLSIAYKYLSTGKAKVCCVKLTAMDLELPITAKLLHHPTTEIRSEFYAILDVWDIST -IKRFVPKGVFYAFINNVTTENVFIQPPFKLRTLPNDYIVALYALSNDFNPRQDIINLINKQKQSLITVRI -NNTFKDEPKVNFKNIYDWTFLPTDFEIKDSIITSYDGCLGIFGLSTSLSSKPTGNNHLFIINGTDKYYKL -DQYANHMGISRRSHQVRFSESATSYSGYIFRDLSNNNFNLIGTNIENSVSGHVYNALIYYRYNYAFDLKR -WIYLHSIGEVTVEGGKYYEHAPIELIYACRSAKEFAALQDDLTVLRYANEIEGYINKVYSITYADDPNYF -IGVRFDSIPYEYDVKVPHLTFGVLFISDNMIHDVITVLKKMKTELFKMEVSTSYTYMLSDGIHVANASGV -LSTYFKLYNMFYRNHITFGQSRMFIPHITLSFSNKRTVRIENTRLKINSIYLRKIKGETVFDMSE - ->sp|Q6WNV7.1|VP3_ROTEL RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVTQVYADTQTYLHDDMKDDYENAFLISNLTVHNILYLNYSLKTLEILNKSGIAAVEVQGIE -ELLALIRCNFTYDYLNNVVFLHDYSYYTNNEIRTDQHWITKTDIENYLLPGWKLTYVGYNGKSTRGHYNF -SFTCQNAATDDDIIIEYIYSNELDFQNFMLSKIKERMTTALPIARLSNRVFRDKLFQSLSLNNDKIVNVG -PRNESMFTFLKFPSIKQFSDGPYLVKDTIKLKQERWLGKRVSQFDIGQYKNMLNVITTIYYYYNLYAEKP -IVYMLGSAPSYWIYDIKQYSEFVFETWDPLDTPYSNMHHKELFFEKDTIKLKDNSILYIDIRTDRGDIDW -KEWRKIVKEQTLSNLNIAYKYLSTGKSKVCCVKMTAMDIELPISAKLLHHPTTEIRSEFYMIVDLSDFKN -IKRFVPKGVLYSFINNITTENVFIQHPFKLRKMKNEYIVALYALSNDFNNRENVIKLINEQEKSLITVRL -NNTFKDEPKIGFKNIYDWTFLPTDFNTRNSIITSYDGCIGMFGLSISLSSKPTGNNHIFILNGTDKYEMI -DQFANHMGISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNAIIYFRYNYSFDLKR -WIHLHSVDKVKIEGGRYYEHAPIELIYACRSAKEFAKMQDDLTTLRYANEIERYINKVYSIVYADDPNYF -IGIKFVSIPYKYDVKVPHLTFGVLHISDNMIPDVISILNQMKVELFKMNITTSYTYMLSDGIHVANVSGV -LLTYFKIYNVFYKKQITFGQSRMFIPHITLSFKTNKTIRINITKLKIESIYLRKIKGETVFAMTE - ->sp|Q6WNV6.1|VP3_ROTCC RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVIALRRDLVSSYADTQVYEHDVDKDYYENAYLISNITAHNVLYVDYSIQVIEILNKSGIASLIAINKD -KLEILIKSNYTYDYYKNIVYLHDYSYYNLNELRTDQYWLTTTNIEEYILPGWKLTYVGQLGIKTRGHYTY -SFICQNTATDDDIIYDYIYSNEVDFLPFLLQALNKRLTTAVNFHRLSNRIFREYLYSKVPKDTINIGPRN -ESMFTLLRYPYITNYAANEFKVSDLIRLTQEKWIGAENSQFDIGQFKNMCNVLSTIYYYYNKYHAYPRIY -MLGSAPSYWLYDLLQVYNFDIETWDPLDTPFSKRHHKAMFAISDTEKLSDNSILYIDIRSDRNGADWREW -RQRVEDETKINLEIMRKYLQRGKTRICCCKITAMDIELPATSILLHFPTTKIQSECYVICTQEMLQDKKR -FVPKGAFYSFINNTKTDNVFVSPVYKVKPTNKFVVALYSLSNENNDRDKVIDFTQKQKRGIITLRMNNTF -NYEYRLQFKSTYDYLYLPSEVSREGTIVTSYDGYIAMHNLSLSLESKATGNNHLFISFSDANYGQIDSYC -THMGISRRSHSVRFSEAATTLSGYMFRDITNGKFNLINTNVENAVSGHVYNALVYFRYNYRFDLLRWINL -HAKDEVMIQGGRYYEHAPPELLYACQSALVFAKLQNDLTLIEYVNSVNRYILNKYNLKYADDPNYYIHID -FVDLPFKYTVPDPHLTGGLLFLNDFDIQNTITILRSVKEDLIALNLLTQYTYMLTDSIYVANVDGYLQFY -YKLYMKFYRKQIVFGQSRMFLPHITLSKEKKKPVRIDATKLVIKSIKLRKIKSDVEYCI - ->sp|Q6WAT6.1|VP3_ROTBU RecName: Full=Protein VP3; Includes: RecName: Full=2',5'-phosphodiesterase; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MKVLALRHSVAQVYADTQIYIHDETKDDYENAFFISNLTTHNILYLNYSVKTLQILNKSGIAAVEIQKMD -KLFTLIRCNFTYDYIDDVVYLHDYSYYTNNEIRTDQHWVTKTNIEDYLLPGWKLTYVGYNGNDTRGHYNF -SFKCQNAATDDDAIIEYIYSNELDFQNFILKKIKERMTTSLPIARLSNRVFRDKLFKTLVSDHSKIVNVG -PRNESMFTFLDHPSIKQFSNGPYLVKDTIKLKQERWLGKRLSQFDIGQYKNYVKCINNLISIYDMYHEKP -IIYMLGSAPSYWIHDVKQYSNLKFETWDPLDTPYSDLHHKELFYISDVTKLKDNSILYIDIRTDRENADW -KTWRKIVEEQTVNNLNIAYKYLSTGKAKVCCVKMTAMDLELPISAKLLHHPTTEIRSEFYLIMDIWDSKN -IKRFIPKGVLYSYINNIITENVFIQQPFKLKTLRNEYVVALYALSNDFNNREDVIKLINNQKNALITVRI -NNTFKDEPKVGFKDIYDWTFLPTDFETNESIITSYDGCLGVFGLSISLASKPTGNNHLFMLSGTNKYFNM -DQFANHMSISRRSHQIRFSESATSYSGYIFRDLSNNNFNLIGTNVENSVSGHVYNALIYYRYNYSFDLKR -WIYLHSTNKASIEGGRYYEHAPIELIYACRSAREFAKLQDDLTVLRYSNEIENYINKVYSITYADDPNYF -IGIKFKNIPYEYDVKVPHLTFGVLNISDSMVPDVVVILKKFKSELFRMDVTTSYTYMLSDEIYVANVSGV -LSTYFKLYNAFYKEQITFGQSRMFIPHITLSFSNKKVVRIDSTRLNIDFIYLRKIKGDTVFDMAE - ->sp|P26192.1|VP3_ROTPC RecName: Full=Protein VP3; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MRVLGLFERGNNLNFADTYIYTWNKQYSYHENAFLISNQVATTIIIYLSDTIVNEVDKAFTLLNSNGIPA -LVIRKDHIGIFTSSNFTYDWQHKIVYFHEYTYYKNNEFIVSDEFWLHTNIHELLPYKLLYYERGMRKLYD -GEEYTLYNTATDDDILYKYIYEKDAIMSGDDYSELYDDKNFRNFVHFMRLLRMRFAVPFDQLSNRVTRSR -AFFKSKIHIGLRNESIPQALDNINSQWINYSANGIMISELKGSGSYSEKRISEFDIGQFKNYMNFLTLMF -YIKNMKKRPSCTIIGAAPGYWIPSMKRYFTIITYDDKIVDSTEHHNRYFSEEDITKVRTNGVYIDVRSDF -DKSDWKKRRQLVEEETKRWLEISYRLLEGKYVEAVLLKMTAMDIEIPDGYFVHFPTTYRKSEYYLLIDKQ -IIKKQKVKVTKSLMYNAINTIYSDNVFISGKYTLRGKTEGVVALYCLSNTINQKDKVIQYANSFSGTCMT -VRLNNTYEVNKVINLNNADYTFLPSDFVCPVNTVLTSYRGYAGVFGYAITKDLKSDGNNHIYIIPNARDE -NNFDTFASHLGLSRYSHSKRFSESATTMSGYLFRDMVSGKEDMGDTDKANYASGHVFNAIAHYRFDYTYD -IVGWLRLHKNKQFRVKSDIYEEHTSDEVRNAIEAAYTYYLLDGDRVGKEYAKKIMEIWEAQV - diff --git a/seq/clusters_seq/cluster_184 b/seq/clusters_seq/cluster_184 deleted file mode 100644 index c84f243..0000000 --- a/seq/clusters_seq/cluster_184 +++ /dev/null @@ -1,220 +0,0 @@ ->YP_009553221.1 coat protein [Elderberry aureusvirus 1] -MALVSRNPNLAITPAMKAAGGQVVMQIGTMMIKKGKQMFWNGVRWVTRQAYNAMVNGGTRRGITQAGALS -GAVVAPVAVARIVRGSKPKFRKGVGSVTISHRELLGQWNNSPGLVVNQGVADNIYRVNPSNGVLFPWLQT -LASNFDQYTFGNVSLQYVPLCSTTETGRVAMYFDKDSQDLEPADRVELASMAHLTETSAWAEATLNIPTD -GLKRFTDDSATADPKLLDLGQIGVATYGGSGTNPVGDIFIRYTITFHEPQASSGLVSTIQTGTGSVNSGP -TIVSVSGSDTSTTVTFRGPGTFYVNMGQRATALANVGNSAGTTVSSITNASNGTIYTSTCVIIVNTAGGT -ITYTGTAFGNYTLNVTRTKRVTRTNII - ->YP_009259669.1 coat protein [Pelargonium leaf curl virus] -MAMVRRNNNTSIIPVTGMQLATIVGTAVAGAVGNVVKNNGGAIARTAVGFGKQVVKRFRRRKGGGNQQMI -QHVGGTGGAIMAPVAVTRQLMGSKPKFTGKMSGSVTVTHREYLTQVNNTTAFAVNGGIVDNLLQLNPLNG -TLFAWLPAIASNFDQYTFNNVVLHYVPLCATTEVGRVAMYFDKDSEDIEPADRVELANYGVLKETAPWAE -ATLNIPCDRIKRYCDDNATVDHKLIDLGQLGIATYGGSGTNAIGDVFISYSVTLYYPQPTNSLLSTRRLD -LTGASLNGNGPGYTIVSRTPTVISMTFRSTGTFVLTGAYRCLTASTLGLTGNVNVNSITVLDTLGLATSF -IINCTVSSLPSVVSFTSTGITSATINCVRATRANVVTLA - ->YP_009508247.1 coat protein [Neckar River virus] -MTMVVRNNNNALALSKKQLAGMAATAAATALKAYAVSNRDAIVDTAVNLGKKSVKAVRNRYNQRNNQMVS -HVGGMGGAIMAPVAVSRQLNGRRPKFSGTTAGSVTITHREYLTQVNNSTSFSVNGGVTGNLYQLNPLNGN -LFSWLPSIAANFDQYSFNRVAVQYVPMCGTTEVGRVGIYWDKDSTDPEPSDRVELANYPVLKETPPWGET -VLNIPVDRIKRFCDDSSTQDHKLIDLGQLGFATYGGSTTNACGDLFITYSVTLFAPQPPSTLIETLQTDA -ADVLFNRAGPNYAIISKTATTITLTFRSTGIFLVDIMYVSTGATTLNTSGGASFTEQTTIDAIGAATAST -ALVTVASLPGTISWVNLTNGAATVHITRAARRNTPLIVA - ->YP_009507825.1 coat protein [Sitke waterborne virus] -MSMVRRNQNQAIMTAGAAAVAHYVGEVAFSPTGQKLIWDGVKFIASKLWNRRSKKGRGQDLVRHPGAQSG -AIAAPVAVTRIIRASRPKFVRSKGSVTVTHRELISQIDTSAALSVNKGVTNLYRVNPTNSVLFPWLQTLA -TNFDQYTFERVRLQYVSLCGTTTTGRVALYFDKDSQDDPPSNRIELANMAHLTETSPWAEASLNIPTDRI -KRFTNDSATTDTKLIDLGQIGVGTYGSTGVAAAGDLFIHYTVTLYEPQPSAGLVSTARLIGGETFTTRTG -LITINGTSTAFGVNFNSPGTYLVIMQLKATAITDDATTSNTVVNSHFNTFSTGNSTSIRNITINEILSGI -VVTGTGFGNHGVNVVRARPVNSVTFP - ->YP_009507824.1 coat protein [Petunia asteroid mosaic virus] -MAMVRRNNNTGMIPVSTKQLLALGAAAGATALQGFVKNNGMAFVEGAVDLTKRAYKAVRRRGGKKQQMIN -HVGGTGGAIMAPVAVTRQLVGSKPKFTGRTSGSVTVTHREYLSQVNNSTGFQVNGGIVGNLLQLNPLNGT -LFSWLPAIASNFDQYTFNSVVLHYVPLCSTTEVGRVAIYFDKDSEDPEPADRVELANYSVLKETAPWAEA -MLRVPTDKVKRFCDDSSTSDHKLIDLGQLGIATYGGAGTNAVGDVFISYSVTLYFPQPTNTLLSTRRLDL -AGALVTASGPRYLLVSRSATVLTMTFRATGTFVISGTYRCLTATTLGLAGGVNVNSITVVDNIGTDSAFF -INCTVSNLPSVVTFTSTGITSATVHCVRATRQNDVSLI - ->YP_009507823.1 coat protein [Limonium flower distortion virus] -MTMVLRNNNNALAISKKQIAGMVASAAAVAVKNYVANNTTTLIESAASLGKKAYSAVRNRNKKSQAIKHI -GGMGGAIMAPVAISRQLRGGRPKFSGKAAGSITVTHREYLTQISNTNGTFTVNGGVTGNLYQLNPLNGTL -FSWLPSIAANFDQYSFDKVSIQYVPMCSTTEVGRVGIYWDKDSTDLEPQDRVELANFAVLKETPPWGETV -LTIPVDRVKRFCDDSSTQDHKLIDHGQVGFAVYGGATSNACGDLFITYSVTLYAPQPTANLIETLQINSA -DTLVERVGPSYAVISKTATTTTFNFRATGIFQTNIIYQSTGVTTISSSGGGSFVEQNTTDNLGVATASTA -IVRVTSLPATISYINATQGAATAQVVRASLRNTPSIVV - ->YP_009507820.1 coat protein [Havel River virus] -MSIVTRNSDRALARLAAPAAMAGARMIVENKEVLWNGAKWIWANLPKRKKGNKSNGGLISHPQTLPGAIA -APISYAYPVRGRKPKFQASKGSVRITHREYISVIEGNTDGNFVVNNGSGASNDFSINPLNPFVFPWLVSI -ASNFDQYTFRSLKFEYIPLANTGASGRVALFFDKDSTDPGPDDRSALANYRHLSEISPWAIARLTAPTDN -VKRFMADNTTADPKLINLGKVGWVTYASQFANLGDLFVEYTVDLYEAQPTAATLESLFRESTNGALTRVG -LPYFTLEVADGTSLVYQARVPGTYLVTVIFNNTTAGFAISISGGGIINSSFGVSSAGRTSVTANVTITRN -ANLSITSLIGATNAQIFVAKANKDNAVAVV - ->YP_009337646.1 putative capsid protein [Hubei zhaovirus-like virus 1] -MEKSVGLRKQQPKMTTVLPATLRMKNRELLSSLSSVSYDNFELLKTYKLQPGFPLTCPWFASLAQKFDKY -KIHNLKFCYESTCPTTTPGRIIFLAYSDPNQQAPVTLYEAQVSMTNYKSIQVYNYGEFRPDQHIQMRQTE -FFVRSPTTQVVDVMKYDIGIMYIYADTVTQGAVMGNIYIEYDITLMNPVPQRDTGFSLGTDLPKLNPFTA -LDYNYMPSVVQITDGNTINLKQAGTYMLTTAGNVGSGNVITPTFTNVTDSVVQAVANSTTGTASGVYQVI -VTAAQAALAQIVLKNYAQVMNLTKVA - ->YP_009037608.1 capsid protein [Moroccan pepper virus] -MAMVVRNNNTALVPYSGGKRGLQKAFGFGATIVGQKIYDNRGKIVGFMVEQGKKGLSKMANALGYGNGQK -AQNLVGGMGGAIMAPVAVSRQLRGSKPRFTGRTAGSVTVTHREYVRQVNNTSAFTVNGGIVGNLYQLNPL -NGTLFTWLPSIASNFDQYAFNNVTLHYVPLCSTTEVGRVGLYHDKDSQDPEPTDRQELANFSTLKETPPW -GEVMLRIPTDRIKRYCDDSNAVDHKLIDLGQVGIATYGGAGANAVGDVFISYSITLFSPQPTSSLLSTRQ -QSLLGATGLTTGPSYLTVSSTTTVLTLTFRATGTFMVSGHFRDTGAAVLGLAGNINVNSLTVLDTVGLAT -SFSINCSVSALPSTITFTSTAITSSTVHCVRAVRANDATLL - ->YP_009032641.1 coat protein [Cucumber leaf spot virus] -MEIARTNKNSVVKYVPAAVGAAYQMGKSIVPYAPTIVDALGNVVSRATGRKKKSKGKEVQNQIVGGIGAI -AAPVSITKRVRGMRPSFRQTKGKVHIVHRELVTSVINLVGNFRVNNNVSAQIGQFRINPSNSSLFTWLPT -IASNFDSYRFTSIRFVYVPLCATTETGRVSLFWDKDSQDPLPVDRAALSSYGHSNEGPPWAETTLNVPTD -GKQRFVTDSNTTDRKLVDLGQFAFATYAGGSNNQIGDIYVEYGVEFSEAQPAGGLTQYITKSVGATASTT -GPSYVVDANINVNATTANVEFFSPGTFLITAVVYGSTIASPSMAGGNGTLIGDLPVVGGSNASIWTCVFS -TTGVSTSVPTFTQAGTGLTRVQYTITRVNSQTAYQV - ->YP_009032636.1 capsid protein [Pothos latent virus] -MALVKRNNNMALIASEAGKLAAIKAGQVMLSPAGRELIWNGVNWVRRKLGRSKKSDVILHPGVLPGAIAA -PVANTRIIRASKPKFTRSKGSVTIAHRELLGQFNNSSGLVVNGGVSGNVYRINPSNPVVFPWLQGIAASF -DQYKFDRVQLQYVPMCATTETGRVAIYFDKDSQDVEPADRDELAIMAHLTESAPWCESTLSIPVDNIKRF -MNDNTTTDPKLVDLGQIGLATYGGGSTNPVGDLFIHYTITLFEPQPLASLVETEQTGTGAAPFGANLVTV -SSNATITIYTFEGPGVYLLALSQRAASFTTFVTAGGAVVNSHTTTITSGPAYQSICEYYCCYTWGSITYN -GTLFGNYTLQVTRAKISNNATLI - ->YP_008999613.1 coat protein [Eggplant mottled crinkle virus] -MEIVRRNNNNAIAINKKQLASMAATAAAGALSNYVKNNGAMIVQGAVNMGKKAYGAVRNRKNKGNSQAMV -HVGGMGGAMMAPVAVSRQLVGSKPKFAGRTAGSVTVTHREYLTQVNNSSAFTTNGGIVGNLLQLNPLNGT -LFSWLPAIASNFDQYVFNSVVLHYVPLCGTNEVGRVAMYWDKDSEDPEPVDRVELANFGILKETAPWAEA -ILRVPTDKTKRYCDDSTVVDRKLIDLGQLGISTYGGTGTNPVGDVFVSYSVTLYFPQPTNTLLSTRQLNL -TGALVASTGPGYINVTRTPTLITVAFRATGTFLLSGAFRDTGVAVLGVTGGIGINSQTTLDVVGVATSFL -VNCTVSTLPATLTYGSADITSVTANCTRATRANTATLL - ->YP_008828158.1 coat protein [Yam spherical virus] -MAMIVANNNKAMANIKKAGKGMVLAIGTQMIAANGKRLFWDGVSWVTRKIYNKLAGGVRQNGALVSHPGV -LPGGVAAPVAISRTIRGSKPKFIRSKGSVTVSHREYVSQVQGNATGFFRLNHDGANDLYRINPLNSAVTP -WLVNIASNFDQYRFTRLQLHYVPFCATTQVGRFAMFFDKDSEDVGPFDRAELANFAHLCETNVWAEGTLN -IPVDNVKRFNNDTTVVDPKLIDLGRLGIVTYSTNTNDFIGDVFISYTVELFEAQPTADLMGEIVGSGATV -ISSRGMNWFTQLPGTSSNTTASFIFKPGTYYVHFICDGALIVNPIVAVVGGGAVLNNKVVFTATEASISA -TIDISQPSNRVDFNFAGGTFSVWDCFATKVSRSLAITTGT - ->YP_007517178.1 coat protein [Furcraea necrotic streak virus] -MSSKKVKQRSGGLQPPPMKRDASSTKTPLKVTAPVAMSRLMVSRTPKFSSRSNNITVSHCELVANVTNTT -GVLQINNAITSSIGGVYKVNPAQGLFAWLSTLAANFDTYRFDKLIFRYVPMCATTETGRVVMMWDKDSED -PPPNDRFAISSYQHHCSFPCWGEGPLTIPVDGVKRFINDEAVVDRKLIDFGQFMFGVYGGSSTNSIGDIY -VDYTVTLMEAQPTATLTFSTDGNSSVVANRNGPEIGQVFSSTNSITCKLYFAGTYLITIRAVTTATNPVF -TILGNCTQLGNLHGVSNGSEWVGYATVSCSGVRSLLDSFTVITLTALGIYQVYVARISPSQAGL - ->YP_002308431.1 capsid protein [Grapevine Algerian latent virus] -MALALNTRRNNNGKVMEMLAYKAATAGAELALANVGGITRGVAQLGKSMMGKKKRNKNVSQVGALGGAVV -APVAVTRQIRGSKPRFSGRGTGSITVTHREYLGQVVTTADLQVNGGITGNLLKVNPLNGILFSWLPTIAA -GYDQYAFNRLSLQYVPLCATTATGRVAMYWDKDSTDLEPTDRVELANQAILKETSPWAEANLTIPTDRIK -RFCDDSAVADRKLVDLGQLGVATYGGTAVVAGDVFVSYTVTFYNPQPLATLMDTTRINASNTVITNVGPQ -YSRIDVVSGNQWLITFRGVGKFVIFGSIRGTGAVVLALSGVIVNSSTTLTTPTGAMYVANVTVSSLPASL -SYTMTTVTAGLHSAVRATRANDMGTP - ->NP_612582.2 capsid protein [Carnation Italian ringspot virus] -MTMVLRNSGTMVPQGGNKMSKMAKNASQQGLQAGAAYLMSNPKGALKKGKDAWNYMTAGGVPSGIVHSGG -MKGAIMAPVAVTRQLRGSKPKFSGRTSGSVTVSHRELITQVNNSTQFVVNGGVTGNLRQVNPLNGTLFSW -LPSIAANFDQYTFNSVTLHYVPLCATTETGRVAMYFDKDSEDLEPADRVELANYATLKETAPWAEAMLRI -PTDRIKRYNDDSSVNDRKLIDLGQIGIATYGGSGTNPVGDVFISYSVTLHFPQPTAAGVQTRRLDLTSVL -DTNVGPSYTITSSTATVYTVAFRTVGTFMLFGAVRSTGSPVIGLSSANIAINSNTTLNTAGTAFSYMTNV -TVSALPASITFTVVGVITSSTSHAVRASRTNNADMI - ->YP_001285476.1 unnamed protein product [Maize white line mosaic virus] -MARKKRSNQVQTGQGVRRAAGAVITAPVARTRQVRARPPKVEALAGGGFRVTHRELITTIANSATYQANG -GIAGLKYRMNPTYGSTLTWCPALASNFDQYVFRKLTLEYVPTCGTTETGRVGIWFDRDSEDDPPADRVEL -ASMGVLVETAPWSGVTLQVPTDNTKRFCLGAGGNTDAKLIDLGQIGFSTYAGAGTNAVGDLFAEYVVDLH -CPQPSGALVQTLRITSAGVRGPEVGPLYYNMTKAATLIDLTFFTPGTFLISIGCAATSYTSELVLGGATL -NSRTLTATGAGFSGSFNVTVTKPLDGLRIQGTGFGDCMTFAVRARVANSVTV - ->NP_945130.1 coat protein [Johnsongrass chlorotic stripe mosaic virus] -MPPQAPTRLGNAWGRRCGTGGLGFQGAWNRLRKRMTNGGGVPMIVGSGGGTVAAPVAVSRQIRSRKPKFT -SVKGQVRVTHREYVTQVSGVGSGLFQLNGGLPSGQFRVNPNNAACFPWLLSIASNFDQYRFVNLQLCYVP -LCATTEVGRVALFYDKDSGDSGPFERAELANMTHCAETPPWAEVSLTVPCDNVKRYLNDSNVTDLKLVDA -GRFGYAVYGGNANTYGDLFIQYTVELSEPQPTAGLIGEVXGNAGTVAGVVQPAYFNFDGFSTTQVAFKPT -VVGTYLMTFILDGTGLVLGNVTSSAPEGMSVLDQNVAGSATRVIYVCRVTVQRPGDRLFFNYTGTATFWN -LFVVRATRDISITT - ->NP_945116.1 coat protein [Pelargonium necrotic spot virus] -MALVRRNNNSNMVAVPAARATGAAIGSMMFSPTGAKLFWTGMDWVLDKTWQKIKNQFKSRKTDMILHPGA -YPGAIAAPVAVTRVIRGSKPKFTRSKGAVTITHRELVGQYNNSAGLVVNGSVGGTIYKLNPSNALLFPWL -QSIASNFDQYKFDNVKLTYIPMCATTETGRVALYFDKDSQDPVPADRMELANMFHLRETAPWAESNLNVP -TDNVKRFTNDNTTTDLKLVDLGQIGIATFGGSGTNPVGDLFIHYTVTFFEPQPSAGIVETEQTGAGALDS -GPDMVSVGGDAISTLIVFKTPGTFILTLDSVIPPWGLLVPTGVTVNSSTHAQATGFCQSIVNLTVSTPGG -ILQYNGTGFGNRTVNIVRAKVTNRVDII - ->NP_862839.1 coat protein [Pea stem necrosis virus] -MGNLPNNSDDFWVNKVRGWWRRASRESYSSCGCCDRCPWKAPCYHSRNSNTVVTHREYVTDVSNSSGVFV -NNSSGSSSVFRINPSNTRVFNWLSSIAGSYDSYKFTRVRFTYVPYAGSNTPGRLYLGWDADSQDVIQPDR -ASLANFSPTSEDSVWTDSYLVIPVDREWRFVDDTNISSRKLVDLGQLVLATWGGVDNTVCGEVYVEYSVE -LRQPQPPSGFVQVGRVDVPGILTFTGPAFVPASDLSISDTSFSFNLNTAGQYALSIDLQATTSGTLTVAG -NCTLLGVVKSQFASGVGIYMCIVRSTGGPNSAASISIGTLTGLSRVQFFLSRGTTSAGIRVTCISSERHL -S - ->NP_835255.1 coat protein [Cucumber Bulgarian latent virus] -MALVLRKAGNIVPYVGPAAKAGATAVYNNRKIIYDGLNWIYAKVSKRVKKKNGIMSNVVGASPGSIVAPV -ATSRQLRASRPKFMRTRGGVNITHREYVTQVNGVNGGTFQLNRTFGPGAYRVNPTNAAVFPWLLNIASNF -DKYKFTRLSFHYVPMCATTEVGRVGLFFDRDSEDSGPFDRSEVANMAHLAETPPWGEVVLQVPCDSIDRF -ISDSTVTDTKLIDLGRFGYVVYGGSTNNAYGDVFVQYTVELREPQPSSNTMEEITGNAGNSVVTTPPSYF -RLASFDATLAAFTPTTAGTYLVTLILEGTAMTAGNISTSNPSALTITGQSVVVSATKVIYVCQVIASTPG -HNLNFNFTAASATFWNFFAVRTTRDIIISA - ->NP_613262.1 coat protein [Cymbidium ringspot virus] -MAMTTTNNNRAMTRAAKQALPALGALATSGMGQQLFMSGVNYAIEKGKQFVPNRRGGKKNRNTDMVAHPG -ALSGSMAAPVAISRIVRGSKPRFIRSKGSVTITHRELVGQFNSSSALVVNGGITGNLYKINPANAVLFPW -LQTLASNFDQYMFNTLRLQYVPMCASTETGRVAIYFDKDSQDLEPVDRIELANMRHLTETAPWCEGSLRV -PVDSVKRFMNDNSTVDPKLIDLGQVGLATYGGPGTNAVGDLFIHYTVTFYEPQPSSGLTSTLQTGTGSAN -AGPTLVAVATTATTTTVTFRSPGTYLVSMVQRATTFTGVTPIALTFNSNTNTTAAGTNYSANYNVTVPVP -GAQMRFVGTGFGNYTLQVTRAKITNAATLL - ->NP_062899.1 p41 capsid protein [Tomato bushy stunt virus] -MAMVKRNNNTGMIPVSTKQLLALGAAAGATALQGFVKNNGMAIVEGAVDLTKRAYKAVRRRGGKKQQMIN -HVGGTGGAIMAPVAVTRQLVGSKPKFTGRTSGSVTVTHREYLSQVNNSTGFQVNGGIVGNLLQLNPLNGT -LFSWLPAIASNFDQYTFNSVVLHYVPLCSTTEVGRVAIYFDKDSEDPEPADRVELANYSVLKETAPWAEA -MLRVPTDKIKRFCDDSSTSDHKLIDLGQLGIATYGGAGTNAVGDIFISYSVTLYFPQPTNTLLSTRRLDL -AGALVTASGPGYLLVSRTATVLTMTFRATGTFVISGTYRCLTATTLGLAGGVNVNSITVVDNIGTDSAFF -INCTVSNLPSVVTFTSTGITSATVHCVRATRQNDVSLI - ->NP_044736.1 capsid protein [Galinsoga mosaic virus] -MKTTQPKTKPSGSPLADNNKSYRSNRTNKQKTQDQSAPVAISRQVRGSVPKLAGNRPFTFGHRELIATIS -NTVLFQVNGGVGGNLYRLNPANSSLFTWLPSISANFDQYRFLKVWLEYAPFCSTTEAGRVGLYFDKDSQD -PEPTDRVELANFGHLSETVAWSPIELHLPTDNVVRYMSDSSVSDPKLIDLGQIGFATYGGGSTNAIGDLF -IHYTIELQQPQPTYFAVQTLQSGNGSSSAGPTVAAVTASTGTSTTLTFRSPGTYLVNLFQRSLTFTSIVA -TGGTVINSQATLQSGATAYCSQHNVTVSTPGGALVATGTGFGNYNFQVTRARVQNRCDLL - ->NP_040955.1 coat protein [Cucumber necrosis virus] -MALVSRNNNMRTLAKLAAPLATAGTRTIVDNKEAIWNGVKWIWGKLPKGKKGKNGNGALIAHPQAFPGAI -AAPISYAYAVKGRKPRFQTAKGSVRITHREYVSVLSGTNGEFLRNNGTGPNNDFSINPLNPFLFPWLVNI -AANFDQYKFNSLRFEYVPLVNTTTNGRVALYFDKDSEDPGPDDRAALANYAHLSEISPWAITKLTVPTDN -VKRFISDTSSGDPKLINLGQFGWVAYSGPTAELGDIFVEYTVDLFEAQPTSPLLESLFRESASSVQTRMG -LPYFSLEVASATDLVWQARVPGTYVVTIIFNSTVGGLTPSISGGGTINSSFSVSTAGSSAYVANITIRVN -ANLSLSGLTGATNAQLFAVRAITENAVQVV - ->NP_039810.1 coat protein of 41 kDa [Artichoke mottled crinkle virus] -MAMVKRNNNTGLIPVSTKQLMALGAAAGASALQGFVRNNGAAIVGKVVDVGQKVYKAVKKRGGKKQQQIK -HVGGTGGAIMAPVAVTRQLTGSKPKFTGKTSGSVTVTHREYLSQVNMSTGFQVNGGIVGNLLQLNPLNGT -LFSWLPAIASNFDQYSFNSVLLHYVPLCATTEVGRVAMYFDKDSEDPEPADRVELANYSVLAETAPWAER -ALWVPTDRIKRFCDDSSTLDHKLIDLGQLGVATYGGAGTNAVGDIFISYSVTLYFPQPTNTLLSTRRLDL -AGTPVTASGPGYILLTRTPTVLTMTFRATGTFVISGAYRCLTSTVLGLTGGVNVNSITVVDNVGTSSSFF -INCTVSNLPSVITFTTTGITSATIQCNRATRQNDVSLI - ->sp|P11795.2|CAPSD_TBSVB RecName: Full=Capsid protein; AltName: Full=Coat protein; AltName: Full=p41 -MAMTTRNNNNVLAISKKQLGVLAASAAVGALRNHISESSPALLQSAVGLGKKALNKVRNRRKQGNQQIIT -HVGGVGGSIMAPVAVSRQLVGSKPKFTGRTSGSVTVTHREYLTQVNNSSGFVVNGGIVGNLLQLNPSNGT -LFSWLPAIASNFDQYSFNSVVLHYVPLCGTTEVGRVALYFDKDSQDPEPADRVELANFGVLKETAPWAEA -MLRIPTDKVKRYCNDSATVDQKLIDLGQLGIATYGGAGTNAVGDVFISYSVTLYFPQPTNTLLSTRRLDL -TGSLADATGPGYLVLTRTPTVLTHTFRVTGTFNLSGGLRCLTSLTLGATGAVVINDILAIDNVGTASAYF -LNCTVSSLPATVTFTTTGISSATVNVVRGTRANVVNLL - ->sp|Q9QBU3.1|CAPSD_POLVP RecName: Full=Capsid protein; AltName: Full=p40 -MALVKRNNNMALIATEAGKLAAVKAGQVMLSPAGRELIWNGVNWVRRKLGRSKKSDVILHPGVLPGAIAA -PVANTRIIRASKPKFTRSKGSVTIAHRELLGQFNNSSGLVVNGGVSGNLYRINPSNPVVFPWLQGIAASF -DQYKFDRVQLQYVPMCATTETGRVAIYFDKDQQDVEPADRVELANMGHWTESAPWCESTLNVPVDNVKRF -MNDNTTTDRKLVDLGQIGLATYGGGSTNPVGDLFIHYTITLFEPQPLASLVETEQSGAGAAPFGTNLVTV -SSNATTTIITFRGPGVYLLALSQRAASFTTLVTAGGAVVNSHTTLFSGPAYQSIANITATIPGGSITYNG -TLFGNYTLQVTRAKISNNATLI - diff --git a/seq/clusters_seq/cluster_185 b/seq/clusters_seq/cluster_185 deleted file mode 100644 index 3cfa68c..0000000 --- a/seq/clusters_seq/cluster_185 +++ /dev/null @@ -1,871 +0,0 @@ ->YP_009505610.1 polyprotein [Human rhinovirus NAT001] -MGAQVSRQSVGSHETMIHAGTGAVVKYFNVNYYKDAASSGLSKQDFSQDPSKFTQPVADVLTNPALMSPS -VEACGFSDRLKQITIGNSTITTQDAVNTIVAYGEWPSYLSDLDATSVDKPTHPETSSDRFYTLKSVEWQS -SSEGWWWKLPDCLKDMGIFGQNMFHHAMGRSGYIIHTQCNATKFHSGCLLVAVVPEHQLAYIGADARVSY -EHTHPGEKGHVIGSNSDRNNHQPDESAFFNCNGTLLGNLTIFPHQLINLRTNNSSTIVVPYINCTPMDSM -LRHNNVSLVIIPICPLRPPNPGQQTLPITISIAPIKSEFSGARQAIKATAQGLPVRMPSGANQFMTTEDE -QSPNVLPGFHPTKQIHIPGEIKTIMDIARVDSFMPINNIPTMINSIGAYNIPITGGHDNKRIVVIPLDTS -NTLFSSTLLGEVLNYFSNWSGSIKLTFFCVCDSFSTGKFLIAYTPPGAGIPETRRDAMLGVHVVWDLGLQ -SSCHLVVPWISSHFFRRTKKDDYTEGGFITLWYQTAFVSNGATGAIMVTCSACSDMSVRMLRDTPMMQQD -ENIAQNPVEEFVDHTLQKVMAVPDTQASGPTHTNKPQTLGALEIGATAEIGPETLIETRYVMNDNTNAEA -TVENFLGRSALWANFTLDRSFKSWKINFQEHAQIRKKFELFTYVRFDLEITIVTNNRGLMQVMFVPPGID -VPKQKEGKEWNAASNPSVFFQPHSGFPRFTIPFTGLGSAYYMFYDGYDGINADNTNYGISETNDMGTLCF -RALDGAENSEIKIFAKPKHTTAWIPRPPRATQYLHKFSTNYNKQKPDSGGQVEPKHFLNTRNDIKNLGPS -DMFVHTKEAIYKCAHLTKPNEQTILLAISSDLQVDSVDTPGPDNIPTCDCTTGTYYCKSRDRYFPINLTH -HSWYIIQESDYYPKHIQYDILIGEGPCSPGDCGGKLLCVHGTIGMVTAGGENHVAFIDLRNYSSLSEHQG -ITDYVTQLGSAFGDGFTNSIRDTLVGACDVSDKLTGKIIKWTIRLISALSIIVRNSSDTPTVIATLALLG -CSGSPWRYLKDKVCKWLGIDPPPSRQGDSWLKKFTEFCNAARGLEWIGDKLSKFIDWLKGKILPTLRRKS -DTLKECKKIPLYKEQVKAFATASEEAQDELLVKVSKLEKGLQDLAPLYACEAKQVREMSRELQRMMCYKK -THRTEPVCILLHGQPGCGKSLASKIIARGLTNESNVYSLPPDPKYFDGYNQQEVVIMDDVGQNPDGRDLS -LFCQMVSSTEFITPMANLEDKGRAFTSDYVIASTNMSILTPPTVSTPEAIDRRFFLDCDVKIMPAYNTNN -LLNVAKALLPCTDCPKPEFYKQCCPLTCGKALILQNKRTKASYSINTVVQQLRQEKKNRKCVEYNLTAIF -QGLGDDSTPGFIVDLLSASKDPKVIEFCEKEGWIKQSKHSKIERDFNYVQYCLNCVGSIILILGTVYALY -KLMCIAQGPYTGLPQPKPRKPELRKAVVQGPEHEFGMALIKRNCHIATTDRGGFNLLGIYDNCAVIPTHA -QVEDTILIDGVETKVLKQTIVTDENDVDTEITILWLDRNEKFRDIRRFIPDYQRDWQGMRLVTNVPKFPM -FDAEVGDVIPYGDINLSGNPTTRLLKYDYPTKPGQCGGVILNTGNIVGIHVGGNGRVGYCASLTKSYFAS -AQGEIVNKCKVQDVGLKPINTPEHSKLYPSVFYDIFPGEKEPAVLTQKDPRLETDLKTAVLSKYKGNVQI -EMNENIQIAVEHYSAQLFMLDINPEPISLEQAVYSMQNLEPLDLTTSAGFPYVTMGVKKRDILNRNTKDV -TKLQHMIDTYGLDLPYITYLKDELRAPAKIKAGKTRAIEAASMNDTANFRRVFGNLYAGFHANPGILTGS -AIGCDPDIFWSQLYASMEKHLLVFDYTNYDGSLHPVWFQALEQLLNNLGLPGELAYKLCNTTHIYKDEIY -TVKGGMPSGISGTSIFNTIINNIIIRTLVLDTYKGIELDKLKIVAYGDDVIASYPEELDPAQIAVSGLKY -GLTITPADKSDQFVKVNWDNATFLKRGFRVDKRYNFLIHPVFPEKEIFESIRWTRDPKNTQDHVYSLCLL -MWHNGEGPYKEFIDKIRKTEVGRRLYLPPYNLLERSWIDKFI - ->YP_004564610.1 VP2 [Pigeon picornavirus B] -GNTVDLMTRPGPSCDRIYTLDSFDLDNSTPAIVVPLPGVLADKGVFGQNLQFHYLYRCGWMAHVQINATQ -FHAGAWLCALVPECVVKGTDYDSTHFFESHEQAAFLETQYHQLTLYPHQIINLRTTNAATIVVPYTNIAP -ASFYTCHNTWRLIIIPLVKLMSPPSYSGTVSCTISVQPICSQFNGLREAVVAQ - ->YP_001552411.1 genome polyprotein [Rhinovirus C] -MGAQVSKQNVGSHENSVSATGGSVIKYFNINYYKDSASSGLTKQDFSQDPSKFTQPLAEALTNPALMSPT -VEACGMSDRLKQITIGNSTITTQDTLNSILAYGEWPKYLSDLDASSVDKPTHPETSSDRFYTLTSVDWTT -TSKGWWWKLPDCLKDMGIFGQNLYHHALGRSGYIIHTQCNATKFNSGCLIVAVVPEHQLAYIGEANVNVG -YDHTHPGEGGHVIGSNVRRDNKQPDEDPFFNCNGTLLGNITIFPHQLINLRTNNSSTIVVPYINCVPMDN -MLRHNNLSLVIIPIVPLRAANGVTKVPITISIAPDKSEFSGARQSVKQGLPTRLPSGSQQFLTTEDEQSA -NLLPDFSVTKIIDIPGRITNILHMAMVDSFMPLNNIETNVGQISIYNVQVTKKTADKPIVVIPMDISNTL -FSTTLLGEVLNYFANWSGSISITFMCVCDSFSTGKFLLAYTPPGAALPPDRKTAMLGVHVIWDLGLQSSC -TIVVPWMSGTFHRRTKADNYTQAGYVSLWYQTDFVSPNDGIGTIVATCSGCPDLSVRMLRDTPMMKQEKD -LTQNPVEDYIDKVVDTVLQVPNTQPSGPQHSIQPSALGAMEIGASSTTIPGDLIETRYVINSNTNSEALI -ENFMGRSALWAKIQVANGFAKWDINFQEHAQVRKKFEMFTYARFDMEVTVVTNNTGLVQIMFVPPGIDAP -DSIDSRLWDSASNPSVFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDVPRNKSNAVYGITSTNDMGTLCFR -AMEDTNEHSIRVFVKPKHTIAWIPRPPRATQYTHKFSTNYHVKKPDDTTGLLIQKHFINHRTDIKTAGPS -DLFVHTATMIYRNAHLTSLNDKTVLLALSADLQVDAADQPGPDTIPQCDCLEGCYYSKSLDRYIPVKLEA -HDWYQIEETCYYPQHIQYNIMIGEGHCQPGDCGGKLLCTHGVIGIITAGGDNHVAFTDLRPYSCLDTHQG -PIQDYVTQLGSAFGSGFTDTLKDQLTDVSAHIKDKITSKVVKWLVRIISALTIMIRNSTDTPTVLATLAL -LGCHKSPWSFLKDRLCQWLNITRPPSRQGDGWLKKFTECCNAAKGLEWIGIKISKFIEWLKNRLLPTLQH -KKEILDECKKIDLYQEQVKGFSKVDEPAQQELLIKVEKLKKGLDSLAPLYAVENKRVTNIYKELKALSAY -KTTQRTEPVCILIRGAPGCGKSLVTSIIARGLTKPGDIYSLPPDPKHFDGYDQQEVVIMDDLGQNPDGKD -LSMFCQMVSTTNFIPPMAALEDKGKTFTSKYILASTNLLNLQPPTVTVPEAIDRRFYLDLDLKILQGYQN -QVGLLDTAKALQPCANCSKPPHYKQCCPLLCGKAVVLVNRRTKGSYAINMVVQQLIEESKSRKSVGNNLT -AIFQGLGDKETPGFIIDLLSSSKDPKVIQYCQDQGYISPVHGSIERDFAYVTYALNCLGSLVIILGTIYA -LYRLMCIAQGPYTGMPTTPKKKPELRRATLQGPEHEFLNALIRRNCHIITTDKGEFNLLGIYSNCAVVPT -HAEPGDVVDIDGRLVRVLKQQVLTDMNDVDTEVTVLWLDQNEKFRDIRRFIPEHQQDWHNIHLATNVTKF -PMLNVEVGHTVPYGEINLSGNATCRLYKYDYPTQPGQCGAVLANTGNIIGIHVGGNGRVGYAAALLRKYF -AEEQGAIISKCNVKEKNWKPVNTPSQTKLHPSVFHDVFPGVKEPAALHPKDPRLEVDLTTAVMSKYKGNI -DVPMNEYIETAVDHYAAQLYMLDINPEPITMEQAIYGYANLEPLDLTTSPGFPYVSLGVKKKDILNNATK -DTRKMQQMLDLYGIDLPYITFLKDELRAPEKIKAGKTRIVEAASVNDTVYFRTTFGNLYSTFHANPGILT -GSAVGCNPDVFWSQMHAMLDGELIAFDYTNYDGSLEPVWFKALGKVLDQLGFPGHLTQRLCNTTHIFRDT -TYDVKGGMPSGISGTSIFNTMMNNIIIRTLVLETYKNINLDKLRIIAYGDDVVASYPDELDPKEIAMTAK -RYGLTITPPDKTDQFSKMTWDNVTFLKRKFKPDSKYKFLIHPVYSMQDVYESIRWTKDPKNTQDHVHSLC -LLAWHNGEEVYEDFCAKIRTTSVGKSLYLPPYKYLYRQWIDLFI - ->AET25077.2 polyprotein [Rhinovirus C] -MGAQVSKQNVGSHESGISASSGSVIKYFNINYYKDSASSGLSKQDFSMDPEKFTKPIAEVMTNPALMSPS -IEACGFSDRLKQITIGSSTITTQDTLNTIVAYGEWPQYLSDLDASAIDKPTHPETSTDRFYTLASVDWTQ -SSKGWWWKIPDCLKDMGMFGQNMFHHALGRSGYIIHTQCNATKFHSGLLLVAVVPEHQLAYVGGTNANVG -YRHTHPGETGHTITGNTANRSSGEPDDDPFFNCNGTLLGNLTIFPHQLINLRTNNSSTIVVPYINCVPMD -NMLKHNNLTLVIVPLVPLRFGNSGANTLPITISIAPDKSEFSGARQKSQIQGLPVRLASGTQQFMTTEDE -QSANLLPGFHPTPTMHIPGEITNIMHMARVDSFMPINNTSERVDVPIYNITVTRKSSSRQEDLILVLPLK -MDDTVFATTLLGEVLNYFSNWSGSITLSFICVCDSFSTGKFLIAYTPPGGALPTDRKDAMLGVHVIWDLG -LQSTCTIAVPWIAQGYYRHTKSNHYTEAGYITLWYQTDFVPGSQGGQGAVLVTCSACPDMAVRMLRDTPM -ITQEKDIHQNPVEEFIDTTLKEVLVVPDTKPSGPIHTTKPTALSAMEIGASADVTPETMLETRYVVNSRT -NEEATIENFLGRAALWTNVTMNNGFKEWKINFQENAQVRKKLEMFTYIRFDLEVTIVTNNTGLMQIMFIP -PGIKPPKAADSKEWDTASNPSVFYQPKSGFPRFTIPFTGIGSAYYMFYDGYDRASNGENTYGISTTNDMG -TLCFRALDNTTSNNNIQVYAKPKHTRAWVPRPPRATEYTYTYSTNYNRKVNETTTDLTKRHYIQKRTSVK -SAGPSDMYVHTKDAIYRNAHLASINENTILYAITADLQVDSAQEPGNDIIPACDCTTGTYYCKSKDRYYP -ITVTPHDWYPIEETCYYPKHIQYNILLGEGPCEPGDCGGKLLCKHGVIGIVTAGGEGHVAFTDLRPYSNL -AETQGPITSYVTQLGNAFGTGFTQTLETNIRETCSGLFDKITSKTVKWLVRIISALTIVIRNSSDLPTVM -ATLALLGCNGSPWKFLKTKLCDWLGVQKPPSRQGDSWLKKFTEWCNAAKGLEWIGYKISKFIDWLKEKLL -PTVQRKKETLQECGKLSLYEDQVKAFPHSSEDFQKELMTKLQVLKKNLDDMSPLYAVENKRVASMLRELK -TMTAYQKNHRTEPVCVLLHGSPGCGKSLATTIIARGLTDSGNIYSLPPNPKHFDGYCQQEVVMMDDLGQN -PDGQDLAMFCQMVSTTDFVVPMAALEDKGKCFTSNYVLASTNLSQLSPPTVTIPEAISRRFFLDLDLVIR -QGYKTPNGLLDTAKALQACTDCPKPPHYKQCCPILCGKAIILQNRRTQVSLALNMVVSQLREESMSRRRI -HTNLNAIFQGLGSKETPGFIIDLLSSCKDPRVVEYCEKEGLITKANTTLERNLERTQYYLNCLGSLIIIL -GTILAIYKLLCMTQGAYTGNANPVPRKPELRKAVVQGPQHEFVTSLIRRNCHVVTTSRGDFNLLGIYSNC -AVIPTHADCGESVLIDGVETKVVKQQVITDGNDVDTEITIIWLERNEKFRDIRRFIPEHINEWRNMRLAT -NVPKFPMLDIEIGDVTPYGEINLSGNPTCRLLKYNYPTQPGQCGAVVANVGNIVAIHVGGNGRVGYGAAL -LRKYFAESQGEIVSKKPVYDVGLKPINTPSTTKLYPSVFYEIFPGEKEPAVLSDKDPRLQTSLKEAVMSK -YKGNKGVEMNEYIITAAKHYAAQLYPLDINPEPISMEKAVYGAENLEPLDLTTSAGYPYVIMGLKKRDIL -NRNTKDTTKLQQMMDLYGIDLPYITYLKDELRSPEKIKMGKTRSIEAASMNDTVHFRMVFGNLYSSFHKN -PGILTGSAVGCDPDVFWSQIRSTMGENILAFDYTNYDGSLDPVWFDALKIVLDELGFDGELTRRLKKTTH -VYKDEVYVVDGGMPSGISGTSIFNTMVNNIIIKTLTIETYRNIDLDKLKIIAYGDDVLISYPDDLDPAEL -ANTGKKYGLTITPADKSDTFQKITWDNVTFLKRGFKNDAKYPFLTHPIYPMKDVYESIRWTKDPRNTQDH -VHSLCLLAWHNGKVQYDDFIQKIRTTSVGRTLYLPPYEYLERRWIDKFI ->APT69824.1 polyprotein [Rhinovirus C] -MGAQVSKQNVGSHESGISASSGSVIKYFNINYYKDSASSGLSKQDFSMDPEKFTKPLADVMTNPALMSPS -IEACGFSDRLKQITIGSSTITTQDTLNTVVAYGEWPEYLKDTDASAVDKPTHPETSTDRFYTLTSVEWHG -SSKGWWWKIPDCLKDMGMFGQNMYYHALGRSGYIFHVQCNATKFHSGLLLVAIVPEHQLAYIGGTNANVG -YDHTHPGEGGHEIGKNTERNDKQPDEDPLFNCNGTLLGNLTIFPHQLINLRTNNSATIVVPYINCVPMDS -MLRHNNISLVIIPLVPLRSGSTQTINRLPITISIAPDKSEFSGARQSNKVQGLPVRMPSGAQQFMTTEDE -QSANLLPGFHPTPIMHIPGEITNIMHMARVDSFMPINNITDQKIDVPIYSRTVTARPASNSSDLILAIPL -DMNNTLFSTTLLGEVLNYFSTWAGSITLSFLCVCDSFSTGKFLIAYTPPGGGLPKNRKEAMLGVHVIWDL -GLQSTCTIVVPWIAQGYYRHTKADNYTESGYVTLWYQTNFVPGSAIAQGSILVTCSACPDMAVRMLRDTP -MITQDKNTLQNPVEQFVDNVLEEVLVVPNTQPSGPIHTTKPTALSAMEIGASSDVKPEDMIETRYVVNSR -TNGEATIENFLGRSALWANIDMTNGYATWSITYQGNAQIRKKLELFTYVRFDLEITIITSNSSLMQIMYV -PPGAKPPSNNNSVEWNTASNPSIFFQPGNGFPRFTIPFTGLGSAYYMFYDGYDKVSHDEGIYGTSVTNDM -GKLCFRTPNNNSGTDIVRIFGKPKHTRAWIPRPPRATEYTHKGSTNYNRRVNPNSEDSTLTRDHYIKTRA -TVTTAGPSDMYVHTKEAIYRNAHLTSANEQTILIALTADLQVDAAEHPGDDIIPDCDCTVGTYYCKSKDR -YYPVSVVSHAWYPIEESSYYPKHIQYNILLGEGPCEPGDCGGKLLCKHGVIGIVTAGGEGHVAFTDLRPY -SSLAHTQGPISDYITQLGNAFGTGFTQTLETNLKETCSGMFDAITSKTVKWIVRIISALTIVIRNSSDTP -TVLATMALLGCTGSPWQYLKTKLCAWLGVQKPPSKQSDSWLKKFTEWCNAAKGLEWIGYKISKFIDWLKE -KLIPTVQRKKDTLLECKKLTLYEDQVKAFPQSSETFQNELTTKLQVLKKNLDDMCPLYAVENKRVTNMLK -EIKTMTAYKKTHRTEPVCILIHGGPGCGKSLATTVIARGLADSGNVYSLPPNPKHFDGYCQQQVVMMDDL -GQNPDGQDLAMFCQMVSTTDFIVPMAALEDKGKSFTSDYVLASTNLNQLSPPTITIPEAITRRFFLDVDL -KIMPGYKTHAGLLDTAKALQACPDCAKPLHYKQCCPLLCGKAIILQNRRTSASLSINMVVSQLREESTTR -KRVHTNLNAIFQGLGTDETPGFIIDLLSSSRDPRVIEYCEKEGLIVKATHTLEKNLEYTQYCLNCLGSLI -LILGTIYAIYKLLCVSQGAYSGNANPVPRKPELRKAVKQGPEHEFVHSLIRRNCHVATTSRGDFNLLGIH -DNCAVLPTHAECGDTILIDNIQTKVIKQQIITDSNDVDTEITIVWLDRNEKFRDIRRFIPEHIEEWRNMR -LATNVPKFPMMDVEVGDVTPYGEINLSGNLTCRLLKYNYPTQPGQCGAVVANVGNIIAIHVGGNGRVGYG -AALLRKYFAATQGEITTRKSVRDVGMSPINTPCKTKLYPSVFYDIFPGKKEPAALNDKDPRLEVNLKEAV -MSKYKGNKGCQMNDYVLTAAKHYAAQLFPLDINPEPITMEQAVYGTDYLEPLDLNTSAGYPYVTLGVKKK -DILNRNTKDLSKLQHMMDLYGIDLPYITYLKDELRTPEKIRCGKTRCIEAASMNDTVYFRMVFGNLYGAF -HKNPGVLTGSAVGCDPDVFWSQMKATMGENILAFDYTNYDGSLDPIWFDALKIVLNELGFDGELTEKLKK -TTHVYKDEVYTVLGGMPSGTSGTSVFNTMINNIIIRTITIETYKNIDLDKLKIVAYGDDVVISYPDMLDA -AQLADTGSKYGLTITPADKGTDFKQVTWDTVTFLKRGFRNDPKYPFLVHPVYPMEDVFESIRWTKDPRNT -QDHVNSLCLLAWHNGKQQYDDFIQKVRTTSVGRTLYLPPYEYLERRWIDKFI ->AHA35211.1 polyprotein [rhinovirus C50] -MGAQVSKQNVGSHESGINASSGSVIKYFNINYYKDSASSGLTKQDFSQDPSKFTQPIADVLTNPALMSPS -VEACGFSDRLKQITIGNSTVTTQDSLNSVLAYGEWPEYLSDLDATSVDKPTHPETSADRFYTLDSVTWGS -ESLGWWWKIPDCLKDMGLFGQNMFHHSMGRSGYLIHVQCNATKFHSGCLMVAVVPEHQLAYIGAGSTRVK -YDHTHPGDRGHEIRQSTQWGDHQPDENPFYLCNGTLLGNVTIFPHQMINLRTNNSATIIVPYINCIPMDN -MLRHNNLSLLIIPMVRLRATGTSSSTLPITVTIAPDKSEFSGAMTGQTQGLPTRIPAGGQQFMTTEDEQS -PNILPEYSSTKMIHIPGKIDNILHMAMVESFIPMNNLTGHLGQVSIYNVQVPKKGSGEGQDLIVALPLQM -DNTIFSTTLLGEVLNYFSNWSGSIKITFMCVCDAFSSGKFLMAYTPPGGRQPTTRKEAMLGTSVVWDLGL -QSSCTMVVPWMSSTFYRSTKSDKYTSGGYITLWYQTDFIPGASGGIGVLLATCSGCPDLSVRMMRDTPMI -KQPTNEIQNPVEDFVEGTLKEVLVVPNTQPSGPSHTTRPSALGAMEIGASSTATPESMIETRYVINNHTN -NEALVENFLGRSSIWANLQLDNNGFKKWSINFQEQAQIRKKLEMFTYVRFDMEVTIVTNNSGLMQIMYVP -PGLDTPNRVDDKLWDGASNPSVFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDEGRLGSLEYGISATNDMG -TLCFRALEDTTRQVVKVYIKPKHIHAWCPRPPRAVDYTNKFSPNYHTKNASNENVLEEKHYMKHRTDIKT -AGPSDLYVHTQQAIYRNAHLTTPSDKTILLAYTADLQIDTASEPGSDIIPECDCNEGCYYSASHDRYYPI -KVEAHDWYSIEETIYYPKHIQYNLLIGEGHCVPGDCGGKLLCKHGVIGIITAGGEGHVAFTDLRCYRVEA -TTQGMVSDYLNQMGHAFGEGFTQNVRDSMLSLGESVQDQISSRVVKWLVRIISALTIMIRNSADTPTVLA -TLALLGCQSSPWTFLKEKICKWLNVPKPPSKQGEGWLKKFTECCNAAKGLEWIGIKISKFIDWLKDKLIP -TVREKKETLDECKKIALYQEQVKGFPYADERSQNELIVSIDKLKKNLDKFAPLYALENKKVTELQKELKH -MLAYKKTQRQEPVCLLIHGTPGSGKSLVTTIVARGLASEGDIYSLPPDPKHFDGYNQQKVVIMDDVGQNP -DGGDLGVFCQMVSTTDFHVPMAAIEDKGMSFTSTFVLASTNLSQLSPPTIQIPAALERRFFLDTDIRVAP -GYTNGGGLLNVAKALQKCDACPKPHYYQHCCPILCGKAVTLFNRRTQASYSINTVVNQMREEANIRKSVG -SSLYAIFQGLGSDETPGFIIDLLSSSKDPRVVQYCEDNGYIIKSQNTIERHVNHLQYVLNCLGSLILILG -TLYALYKLMCIAQGPYSGIPQPIPKKPELRRATLQGPEHEFINALIRRNCHIATTTKGEFNLLGIYDNCA -VIPTHAQVSDSISIDGKEVKVLKQQVITDMNDVDTEITIIWLDQNEKFRDIRRFIPEHIQEWKNMKLATN -VTKFPMFFVDVGGVVPYGEINLSGNPTCRLMKYDYPTKPGQCGAVVGNTGNIVGIHVGGNGRVGYCAALL -RKYFGTTQGEIKVMRDVQECNIKPVNTPSKTKLLPSVFYDVFPGSKEPAALHPKDPRLEVDLDTAVFSKY -KGNCTVSENQFINLAVDHYSAQLFMLDINPEPITLEQAVYGYVHLEPLDLTTSAGYPYVALGIKKRDILN -KTTRDVSKLQTMIDKYGIDLPYVTYLKDELRTPQKIKAGKTRIIEAASVNDTAHFRMTFGNLFSKFHSNP -GILTGSAVGCDPDIFWSQIRSSLDGHLLAFDYTNYDGSLHPIWFKALGKVLDNLGFPGALMDKLSKTTHI -YKTKIYETEGGMPSGICGTSIFNTMINNIILRTLVLETYKHINLDNLRIIAYGDDIIASYPEALDPSEIA -LTATKYGLTITPADKTDTFDTVTWDNVSFLKRKFKQDQEFPFLTHPVYAMDDVYESIRWTKDPRNTQDHV -RSLCMLAWHNGKETYEDFLRKIRSTSVGRTLALPPYSQLRQQWLDNFV ->AHK24839.1 polyprotein [Rhinovirus C] -MGAQVSKQSNGTHENIVSASNGAVVKYFNINYYKDSASSGLSRQDFSQDPSKFTQPLVDTLTNPALMSPT -VEACGFSDRLKQITIGNSTITTQDSLNSVLAYGEWPTYLSDIDATSVDKPTHPETSADRFYTLRSVNWGA -TSKGWWWKFPDALSEMGVFGQNMYYHAMGRSGYIIHTQCNATKFHSGAIIVAVVPEHQLAYIGGTKANVS -YGHTHPGENGHEIRGPDSGHDRGNNNPDEDPLFNCNGTLLGNITIFPHQIINLRTNNSSTIIVPYINCTP -MDSMLKHNNVSLVIIPIVPLRVNGTGPTTIPITVSVAPYKSEFSGAMEAQRQGLPTRMPSGAQQFMTTED -EQSANILPGFHPSKKIHIPGMITNVMHMARVDSFIPLNNIEGETTKPSVYCVTVPKKTNDNVILVLPLEM -DNTLFSTTLLGEILNYFANWSGSITITFMCVCDAFSTGKFLLAYTPPGGRLPLSRKEAMLGVHVIWDLGL -QSSCTIVVPWISSNFYRRTKSDSYTEAGYVSLWYQTDFIPSVNAGTGTIIATCSACPDMSVRMMRDSPMM -KQEGKLQNNDPVESFIHTTLEEVLVVPDTKPSGPQHTTKPSALGAMEIGASSDATPESVIETRYVFNTNT -NAEADIEMFLGRSALWANLTLREGFTEWEINFQENAHIRKKFELFTYIRFDMEVTIVTNNTGLMQIMFVP -PGITGPKNAEDIRWDSASNPSVFYQPKSGFPRFTIPFTGLASAYYMFYDGYSSTKKTPDNQYGIAPTNDM -GILCFRALDDKEKTDIKVFVKPKHITAWVPRPPRAVQYTHKYSTNYHYKADENSPLKDRHFITTRESIKN -VGPSDMYVHTQDARYMCAHLTKPGTNTILLAISSDLQVDSVDTPGDDIIPTCDCTVGCYFSKSRNRYFPI -EVTPHDWYEIQESEYYPKHIQYNLLIGKGHCQPGDCGGKLLCKHGVIGMITAGGEQHVAFIDLRPYSCLS -EHQGVISDYFTQLGNAFGEGFTTNIQNHFTQISQSISDKLTRKVIKWLVRIISALTIMIRNNSDLPTILA -TLALLGCSGSPWSFLKDKICDWLGIQRPPKKQGDSWLRKFTECCNAAKGLEWISLKIGRFIDWLKEKLVP -TVQRKREILEKCKKISLLEEQANGFSSASSEDQQALIIEVDKLKKGLDELAPLYAVENKRVTKIQQNLKQ -LTAYLKTHRHEPVCVLLHGNPGCGKSLATSVIARGLTTEAGVYSLPPDPKHFDGYDQQKVVIMDDLGQNP -DGKDLAIFCQMVSTTDFIVPMAALEDKGKSFTSQYILASTNLDTLSPPTITIPEAIKRRFFIDADLVVMS -KYRNNIGLLDTGKALTPCTTCPKPPYYKSCCPLLCGKAVVVQDRKTKANFSINTIVEQLKHENECRRRVK -HNLEAIFQGLGDDTTPGFIVDLLSSSKDPKVIEYCAEQGWIGRAGSTVERDFNYVHYILNCLGSLIIILG -TIYALYKLMCLAQGPYSGLPQPPTKRPELRKATLQGPEHEFIKALIKRNCHVITTDRGEFNMLGIHDNCA -VVPTHAECGDIVNIDGREVRVLKQCILTDTNDVDTEITLLWLDQNEKFRDIRRFIPEHQQEWSNMHLATN -VSKFPMMDVEIGRVIPYGNITLSGNPTCRLLKYDYPTKPGQCGGVVVNTGNIVAIHVGGNGKVGYGATLL -RKYFAQAQGEITSISNVRDHGYSSINTPTKTKLQPSVFYDVFPGEKEPAALSEKDPRLEVDLKGAVLAKY -KGNSEVEWNENIQTAVDHYTAQLYMLDINPEPITINQAIYGMEHLEPLDLTTSAGFPYVSMGIKKKDLIN -KTTKDVTKMQEMMDKYGIDLPYVTYLKDELRAPEKIKKGKTRAIEASSINDTVQFRMIFGNLFSTFHANP -GILTGSAVGCNPDVFWSQMHACMDGELLAFDYTNYDGSLHPVWFRALGDVLDKLGFPGHLASKLCNTTHI -YKDQVYTVEGGMPSGICGTSIFNTIINNLIIRTLVLDTYKGIDLDKLKIVAYGDDVVVSYPFKLDPQEIA -NSGKSYGLTITPPDKGETFTDVNWSNVTFLKRGFRPDKKYEFLIHPVYDMKNVYESIRWTKDPKNTQDHV -YSLCLLAWHNGEDIYEEFRSKIRSTAVGRSLYTPPYSVLYRQWIDLFV ->ABK29455.2 polyprotein [rhinovirus C7] -MGAQVSKQSVGAHETMVHAGSGAVVKYFNINYYKDAASSGLTKQDFSQDPSKFTQPVADLLTNPALMSPS -VEACGYSDRLKQITIGSSTITTQDSVNTIVAYGEWPSYLSDLDASSVDKPTHPETSADRFYTLDSVQWGG -SSKGWWWKLPDCLKNMGIFGQNMYYHAMGRSGYIIHTQCNATKFHSGCLLVAVVPEHQLAYIGVDAQVSY -KHTHPGERGHEIGRNTNRDDNQPDENPFFNCNGTLLGNLTIFPHQLINLRTNNSSSIVVPYINCTPMDSM -LRHNNVSLVIIPICPLKTPSGAPRTLPITVSIAPDRSESSGARQSATRQGLPTRMPSGANQFMTTEDEQS -GNILPNFSPTKQIHIPGQIKTIMDMARVDSFMPINNLQSQLQDVGVYNITLTGTSNNRILAIPLDMSNTL -FSTTLMGEILNYFSNWSGSIKLTFLCVCDSFSTGKFLIAYTPPGASIPANRTDAMLGTHIIWDLGLQSSC -HMVIPWISSHFFRRTRKDNFTEGGFVTLWHQTAFVSNGQVGSIMVTCSACSDMSVRMLRDTPMMKQEINI -AQNPVEKFIDQTLEEVLVVPDTQASGPVHTTKPQALGALEIGATADVGPEAMIETRYVMNSNTNAEAAVE -NFLGRSALWANLTLQNSFVAWDINFQEHAQVRKKFEMFTYVRFDLEITIVTNNAGLMQIMYVPPGARAPA -NKDSKEWDSASNPSVFYQPHSGFPRFTIPFTGLGSAYYMFYDGYDGTEAGNIQYGISRTNDMGSLCIRAL -DDTTKNDVKVFAKPKHTTAWIPRPPRATQYTLKYSTNYNVIKKGTTSDLEQKHFLTYRTDITNVGPSDMF -VHTKEAVYKCAHLTTPSEKTILLAISSDLQIDSADEPGPDIIPTCDCTTGTYFCKSMDRYYPVEFRHHSW -YEIQESIYYPKHIQYDILIGEGPCSPGDCGGKLLCVHGTIGMITAGGENHVAFIDLRNYSSLSEHQGVTD -YITQLGSAFGDGFTSSIRQTLMGACDVSDKLTSKIIKWTIRLISALSIIVRNSTDTPTIIATLALLGCSG -SPWRYLKDKVCRWLGIDPPPSRQGDSWLKKFTEFCNAARGLEWIGDKLSKFIDWLKGKILPTLRRKSETL -KECKKIPLYKEQVRAFATASEDAQDELMVNISKLEKGLQDLAPLYACEAKQVREMSRDLQRMMCYKKTHR -TEPVCILLHGQPGCGKSLASKIVARGLTNESNVYSLPPDPKYFDGYNQQEVVIMDDVGQNPDGKDLSFFC -QMVSSTEFITPMANLEDKGRAFTSDYVIASTNMTVLTPPTVSSPEAIDRRFFLDCDVKIMPAYNTNNLLN -VAKALLPCTDCPKPEFYKQCCPLICGKALILQNKRTKASYSINTVVQQLRQEKKNRKCVEHNLTAIFQGL -GDDTTPGFIIDLLSASKDPKVIEFCEKEGWIKQSKCSKIERDFNYAQYCINCVGSIVLILGTVYALYKLM -CIAQGPYTGLPQPKPRKPELRRAVAQGPEHEFGMALLKRNCHIATTDRGDFNLLGIHDNCAVLPTHAQAD -GTILIDGVETKILKQTIVTDESDVDTEITILWLDRNEKFRDIRRFLPDYQRDWQNMRLVTNVPKFPMLDI -EVGDVVPYGDINLSGNPTTRLLKYDYPTKPGQCGGVILNTGNIIGIHVGGNGRVGYCASLTKSYFATTQG -EIVNKCKVQDVGLKPINTPEHSKLYPSVFFHVFPGEKEPAALTQKDPRLETDLKTAVMSKYKGNVHIEMS -ENIHIAVEHYSAQLFMLDINPEPITLEQAVYGMQNLEPLDLTTSAGFPYVTMGVKKRDILNRITKDTTKL -QEMIDTYGLDLPYITYLKDELRSPAKIKAGKTRAIEAASMNDTANFRRVFGNLYASFHANPGVLTGSAIG -CDPDIFWSQLYSSMEKHLLVFDYTNYDGSLHPVWFQALEQLLNNLGFPGELAYKLCNTTHIYKDEMYSVK -GGMPSGISGTSIFNTIINNIIIRTLVLDTYKGIELDKLKIVAYGDDVIASYPEELDPAEIAISGLKYGLT -ITPADKSSQFTKIDWTNATFLKRGFKADEKHSFLIHPTFPESEIFESIRWTRDPKNTQDHVYSLCLLMWH -NGEKPYREFVDRIRRTDVGRRLYLPPYSLLQRAWIDKFI ->ANI70116.1 polyprotein [Rhinovirus C] -MGAQVSKQNVGSHENSVSATGGSVIKYFNINYYKDSASSGLTKQDFSQDPSKFTQPLAEALTNPALMSPS -IEACGFSDRLKQITIGNSTITTQDTLQTVLAYGEWPEYLSALDATSVDKPTHPETSADRFYTLKSVEWGA -TSIGWWWKLPDCLKDMGIFGQNMYYHSFGRSGFLIHVQCNATKFHTGCLIVAVIPEHQLSYVGADDVKVR -YDHTHPGEDGHTFKAPTERGQWNPDENPFYLCNGTLLGNITAYPHQLINLRTNNSCTIVVPYVNAVPMDN -MLRHNNLTLMIVPIVPLKPGLSGSPSLPITITIAPNKSEFSGAMQKQQGLPTRMPSGAQQFMTTEDEQSA -NILPEFSPTKQIHIPGKIDSILHMAMIESFIPINNIPDHIGKIPIYSIQITRKVNDRNILILPLEMDNTL -FSSTLLGEVLNYFSNWSGSITITFMCVCDSFSTGKFLVAYTPPGGKPPTDRKDAMLGVHIVWDLGLQSSC -SIVVPWMSAGFFRHTMKDKYSSGGYITLWRQTDFVPGSTNGVGYILATCSGCPDLSVRMLRDTPLMEQPV -NNIQNPVETFTEEVLKEVLVVPNTQPSGPSHTVHPTALGALEIGASSTATPETTIETRYVINNHVNNEAL -IENFLGRSSLWANLTLNSSGFVKWDINFQEQAQIRKKLEMFTYARFDMEVTVVTNNRGLMQIMFVPPGAP -APSSHNDKKWDGASNPCVFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDETNPNSVSYGTTIFNDMGKLCF -RALEDTEQQTIKVYIKPKHISAWCPRPPRATQYVHKHSPNYHVNKGETKELTERHYLTPRNDITTVGPSD -LYVHAGHYKYINAHLTNSSPDTVLLALTADLQIDYVEDIGLDTVPTCDCMEGCYYCHSQDRYFPVKVVAH -EWYEIQESPYYPKHIQYNVLIGEGPCKPGDCGGKLLCKHGVIGIVTAGGSGHVAFTDLRPYVLDQHQGLV -SDYVTQLGGAFGEGFTANLKDSFSSLTNQIQDKITSKVIKWLIRIISALTIMVRNSADTPTILATLSLLG -CHSSPWNFLKTKLCAWLGVHRPAQKQSDSWLKKFTEWCNAAKGLEWIGHKISKFIEWLKDKLVPTVQRKR -EILEECKKINLYQEQVNGYPQASEDAQNELLVSIEKLKKGLDTLAPLYAAENKKVTDMLKHLKQMSAYKR -DHRQEPVCLLIHGSPGTGKSLITMMVARGLTSEGGVYSLPPDPKHFDGYNQQPVVIMDDLGQNPDGQDLS -MFCQMVSSTTFVVPMAALEDKGRTFTSKYVLASTNLCHLTPPTISIPEAINRRFFLDTEIKILQGYNTHT -GLLNVSKALQPCTTCPKPPHYKHCCPLLCGKAVVMVNRRTQASYSVNMIVEQMREECQSRKNIGANLHAI -FQGLNNDETPGFIVDLLSASKDPKVIQYCEEQGWIGRVSSSIERDINKVQYILNCLGSLILILGTLYALY -KLMCIAQGPYSGNAQPVLKRPELRKAVLQGPEHEFIQALVRRNCHVLTTDKGEFNLLGVHDNCAVVPTHA -QCTDTVNIDGRMVKVLKQQILTDGNDVDTEVTLLWLDQNEKFRDIRRFIPEYIQEWSHMKMATNVTKFPM -LCVDVGSAVPYGEVNLSGNPTLRLLKYDYPTRPGQCGGVVANTGNIVGIHVGGNGRVGYCAALLRRYFAQ -PQGEITSKKSTTEAGLNPINTPRNSKLQPSVFHDVFPGKKEPAVLHPRDPRLEVDLDTAVMSKYKGNPQV -ELNSFITVATDHYAAQLYSLDINPQPITLAEAAYGMENLEGLDLTTSAGFPYVTKGIKKRDILNKVTKDT -NKLQLMMDTYGLDLPYVTYLKDELRAPEKIKAGKTRIIEAASLNDTVHFRMVFGNLFSAFHKNPGIGTGS -AVGCDPDVFWSQIYANMDGCLMAFDYTNFDGSIHPIWFKALGRVLDQLGFPGELMDKLCYTTHIYKDTIY -TVEGGVPSGICGTSIFNTMLNNIIIRTLVLQTYKGVDLDKLRIIAYGDDVIVSYPFQLEPQAISNAGKTY -GLTITPADKGESFVPTTWDNLTFLKRKFRPDSKYSFLVHPVYPMEDIHESIRWTRDPKNTQDHVRSLCLM -AWHNGEEQYNKFLEQIRTTSVGRNLVLPPYTYLEQQWLDKFI ->AJO54281.1 polyprotein [Rhinovirus C] -MGAQVSRQTTGSHENAITATSGGLIKYFNINYYKDSASSGLAKQDFTQDPSKFTQPLAEVLTNPALMSPT -VEACGFSDRLKQITIGNSTITTQDALHTVLAYGEWPSYLSDLDATSVDKPTHPETSSDRFYTLTSVDWDA -SSSGWWWKLPDALKDMGIFGQNLYYHSMGRAGYIIHTQCNATKFHSGALMVVLIPEHQLAYAGGANASVG -YKHTHPGENGHKIEKRGFDDNKPDEDPFFNCNGTLFGNLTIFPHQIINLRTNNSSTIISPYINCQPMDNM -LKHNNLTLLIVPLVKLTPGTGASPSVSITVSIAPYKSEFSGAMETRYTVQQQGLPVRMPSGSQQFMTTED -EQSPNMLPGYHSSKKIHIPGMITNVLHMARVDSFMPINNIRTEIGKVSIYNIPILRKSNNDGLILSIPLD -MSNSLFATTLLGEVLNYFTNWSGSITLTFMCVCDSFSTGKFLLAYTPPGGAHPASRKQAMLGVHVVWDLG -LQSSCTMVIPWISSGFYRNTKSDDHTEAGYVSLWYQTDFVTTTTAGQGAILVTCSGCPDISVRMLRDTPM -MKQDDDVLQNNDPIEQFVHDTLNEVVVVPNTHASGPQHTTRPSALSAMEIGASSTATPESTLETRYVVND -NTNEEAEIEIFLGRSAIWTKRALDQGFLKWKISFQEQAHIRKKFELFTYLRFDMEITIVINNQDLMQIMY -VPPGIATPDSKGDNKWDSASNPSVFYQPKSGFPRFTIPFTGLASAYYMFYDGYSNTTGEPRNTYGISPTN -DMGTLCFRSLEGTGNAEVRVYVKPKHTTAWIPRPPRAVQYTHTYSTNYHYKKGDAPLEDRHFIQHREGIK -ILQNAGPSDLYVHTKDAIYICAHLTKPNDNTILLAYTADLQVDNSETEGPDHIPDCDCTSGCYYVRHLDR -YCPVTLTSHDWYEIQESNYYPKHIQYNILIGEGPCKPGDCGGKLLCKHGVIGIVTAGGEGHVAFTDLRPY -SSLSTHQGIVSDYFTQLGDAFGEGFTHNIKEHFSNLSSNITDKITGKVVKWLVRIISALTIMIRNSTDTA -TILATLALLGCQSSPWNFLKNKICQWLDIPKPPSKQGDSWIKKFTECCNAAKGLEWVAIKIGKFIDWLKE -KLIPTVQRKKETLEQCKKLALYEEQCKGFSKSDAQAQQQLIIEVAKLKKGLDELAPLYANENKRATGIQK -ELQRMTAYHKTHRHEPVCCLIRGQPGCGKSLVTSIIARGLAPEAHVYSLPPDPKYFDGYDQQKVVIMDDI -GQNPDGKDLSMFCQMVSTTEFIVPMANIEEKGRSFTSEYILASTNLDALTPPTITIPEAIKRRFFIDVDL -VIISKFRNSSGLLDTAKALQPCANCPKPACFKQCCPLLCGQAVVLQDRRSKANYPVNAIVQQLQHENSTR -KKVKDNLEAIFQGLGDPTPPGFIVDLLSASKDPKVIEYCVEQGWVGKANSTIERDLNYVHYILNCLGSLI -LILGTIYVLYKLMCFTQGAYSGLPNPVPKKPELRKATLQGPQHEFIRALVKRNCHILTTKRGEFNLLGIH -DNCAVVPTHAECGDIVNIDGRDIRVLKQQILTNTDDVDTEITLLWLDQNEKFRDIRRFIPEHQQEWCNMH -LATNVTKFPMLDVEVGEVIPYGQLNLSGNPTCRLMKYNYPTKPGQCGGVILNTGNIIAIHVGGNGKVGYG -AALLRKYFAVAQGEIVKRGQTKELGFQSVNTPTKTKLQPSAFYHIFPGTKEPAPLHASDPRLETNLDQAV -LSKYKGNPQVEWNDYIQTAVDHYAAQLYTLDINPEPLTIDQAVYGIDNLEPLDITTSAGYPYATMGIRKK -DIVNRVEKSTTRLQEMMDLYGIDLPYITYLKDELRSPEKIRKGKTRAIEAASLNDTVHFRMLFGNLFSTF -HANPGILTGSAVGCNPDIFWSQLYATLDGHLLAFDYTNYDGSLHPIWFKALGKVLTLLGFPGNLMDRLCN -TTHIYRDQVYLVEGGMPSGICGTSIFNTMMNNIIIRTIVLETYRSIDLDKLKIVAYGDDVIASYPYPLDP -THIAETAARYGLNITPPDKSDKFQEVTWDNVTFLKRAFRPDEKYKFLIHPVYSMTDVHESIRWTKDPKNT -QDHVRSLCLLAWHNGKEKYEEFLTKVRSCHVGKQLYLPPYQSLYTQWLDEFI ->AFS30772.1 polyprotein [Rhinovirus C] -MGAQVSRQNNGTHENGVTASNGSVIKYFNINYYKDAASSGLTKQDFSQDPSKFTQPLAEALTNPALMSPS -VEACGYSDRLKQITIGNSTITTQDSLHTVLAYGEWPQYLADIDASSVDKPTHPETSADRFYTLESVRWTQ -NSLGWWWKLPDCLKDMGLFGQNMYHHSMGRTGYIIHVQCNATKFHSGCLMVAVVPEHQLAFIGADGTKVK -YSQTHPGERGHTIEETTIRNRFNPDENPFYLCNGTLFGNIQIFPHQMINLRTNNSATIIVPYINCLPMDS -MLRHNNLTLLIIPLVPLRTGNTGGTTLPITVTIAPDKSEFSGPMHTQKQGIPTRIPSGSQQFMTTEDEQS -ANILPGYTPTKQIFIPGEITNMLHIAQVESFIPLNNTEGHLGKMDIYRVTVTQKADNGLIVVIPLQMGNT -LFATTLLGEVLNYYANWSGSVRITFMCVCDSFSTGKFLVAYTPPGGRLPADRKEAMLGTHVVWDLGLQSS -CSMVAPWISSTFFRRTKSDEYTSGGIISLWHQTDFVTGSTTTVGTILATCSGCPDISVRMLRDTPMTEQP -QNKLQNPVEEYIEDTLKEVLVVPNTQPSGPVHTTKPSILGAMEIGATSPITPEDVIETRYVINNHVNSEA -LIENFLGRSALWAEFQLNSGFQKWSINFQEQAQIRRKLEFFTYVRFDMEVTIVTNNTGLMQIMFVPPGID -PPSQIDDKKWDSASNPSVFYQPKSGFPRFTIPFMGLGSAYYMFYDGYDEAKDDSVKYGISSTDDMGTLCF -RALEERDGTDIKVFIKPKHTRAWCPRPPRAVNYTHKFSTNYHIKDGEQGMLRQRHYFKHRGDIKNLGPSD -MFVHTVHNIYTNAHLTTPKEDTILLAITADLQVDPAPSPGNDYIPDCDCTVGCYYCKSQDRYFPIVVAEH -DWYEIQASPYYPRHIQYNLLIGEGQSAPGDCGGKLLCKHGVIGMITAGGDNQVAFTDLRPYRDLNQHQGV -VSSYLTQLGNAFGEGFTQNIKDSFASISSSITDKLTSKIIKWLIRIISAFTIMVRNSADGPTVIATLALL -GCNSSPWSFLKDKICSWLQIQRPASKQSDYWLKKFTECCNAAKGLEWISIKIGKFIDWLKGKLVPAVQSK -KTTLAECKKIPLYQEQINGFSSASSEAQQQLIVEVDKLKRGLDDLAPLYASENKRVSDMQKQLKQLTAYQ -RTHRTEPVCLLLHGNPGCGKSLVTTIIARGLTQEAQVYSLPPDPKYFDGYNQQKVVLMDDVGQKPDGKDL -STFCQMVSTTDFIVPMAAIEEKGKCFTSDYILASTNLDTLSPPTVTIPEAIKRRFFLDADLITTSKFRNT -TGLLDTAKALQRCEGCPTPQHYKQCCPMLCGKAVVLQNRRTQANFSVNTIVEQLRHENATRKKVKHNLDA -IFQGLGDSETPGFIVDLLSSSKDPRVIQYCEDEGWIGKANSTIERDFNYVHYMLNCLGSLILILGTIYAI -YKLMCMTQGPYTGLPNPQTKRPELRRATKQGPEHEFMYALIKRNCHVITTSKGEFNMLGIHDNCAVLPTH -ADCGETINIDGREVKVLERQIITDLKDTDTEITILWLDQNEKFRDIRRFIPEYQREWSNMHLATNVTKFP -MLDVEVGTVIPYGEVNLSGNPTCRLMKYDYPTKPGQCGAVIANTGNIVAIHVGGNGRVGYGAALLRKYFA -QSQGEITAKHDVSDVGLHPINTPSSTKLRPSVFYDVFPGRKEPAALHPKDPRLDVNLDTTVFSKYKGNTE -AKWNQYVQVAVDHYAAQLTVLDINTEPLTLEQAVCGIKNLEPLDLTTSAGFPYVTMGVRKKDIVNKVTKD -VTKLQEMIDKYGIDLPYVTYLKDELRAPEKIKKGKTRAIEAASINDTTHFRMVFGNLFSTFHANPGVLTG -SAVGCNPDVFWSQMYACMDGHLLAFDYTNYDGSLHPVWFEALGRVLDKLGFPGEMMQKLCKTTHIYKNTI -YTVEGGMPSGICGTSIFNTMINNIIIRVLVLETYKNIDLDKLRIIAYGDDVIASYPEKLDPQELARTAVR -YGLTITPPDKGSTFHKIDWTNVHFLKEGLDQTRSSLFLYIQYTRWRTSMNQLDGQRTQRTHKTMFTHCVY -LHGTTERRYMKAFTDQIKSTHLGKILHLPPYSYLRSRWLDKFI ->AEL31290.1 polyprotein [Rhinovirus C] -MGAQVSRQNNGTHENGVTANNGSVIKYFNINYYKDSASSGLSRQDFSQDPSKFTQPLVDTLTNPALMSPS -VEACGYSDRLKQITIGNSTITTQDSLHTVLAYGEWPTYLSDIDATSVDKPTHPETSADRFYTLDSVEWEV -GSHGWWWKLPDALKDMGVFGQNMYYHSMGRSGFIIHTQCNATKFHSGALIIAVIPEHQLAYVGGVKVNIG -YDHTHPGQGGHRILGPKQSNDRGGGKPDEDPLFNCNGTLLGNITIYPHQIINLRTNNSSTIIVPYINCVP -MDNMLKHNNLSLVVIPLVPLHPGSSGINSVPITVTIAPYKSEFSGAMEAQRQGLPTRLPSGSQQFMTTED -EQSPNILPGFHPSKKIHIPGMITNVMHMARVDSFIPINNIQGEVGKVSMYYIPVIKKTTTEKILVLPLEM -SNTLFATTLLGEVLNYYANWSGSITITFMCVCDAFSTGKFLVAYTPPGGALPENRKQAMLGVHIIWDLGL -QSSCTIVVPWISSGFYRRTKADSFTHGGYVSLWYQTAFVPPVSGGTGRILATCSACPDMSVRMLRDSPMM -EQKNELQNNDDPVENFVESTLKEVLVVPDTKPSGPQHTTKPSILGAMEIGASSDATPESTIETRYVYNTN -TNAEADIEMFLGRSALWSKVSLTNQYAKWEINFQEQAHIRKKFEFFTYLRFDMEITIVTNNTGLMQIMFI -PPGIDHPETHNDGRWDSASNPSVFFQPKSGFPRFTIPFTGLASAYYMFYDGYDKPKGSDNNEYGIAPTND -MGLLCFRTLDSSGGNDVKIYVKPKHITAWVPRPPRATQYTHKYSTNYHYKPNSSGPDQHVLEDRHFIKTR -PHISSAGPSDMFVHTKDAIYKCAHLTNPTDETILLALTADLQVDSTNVPGPDVIPCCDCTAGCYYSRSKD -RYFPVECVSHDWYEIQESGYYPKHIQYNLLIGEGHCEPGDCGGKLLCKHGVIGMITAGGDNHVAFTDLRP -YSSLSEHQGVISDYFTQLGNAFGEGFTTNIQDHFSQITKSISDKFTSKAIKWLVRIISALTIMIRNNSDL -PTILATLSLLGCSSSPWSFLKDKICNWLQIQRPASKQNDSWLRKFTECCNAAKGLEWISIKIGKFIDWLK -GKLVPAVQRKRDTLDRCKKISLLEEQVNGFSSASSEAQQQLIVEVDTLKKGLDELAPLYASENKRVTKIQ -KDLKQLSAYLKNHRHEPVCLLLHGNPGCGKSLVTTIIARGLTQEAQVYSLPPDPKYFDGYDQQQVVILDD -LGQNPDGKDLSTFCQMVSTTDFIVPMASLEDKGKSFTSQYVLASTNLDTLSPPTVTIPEAIKRRFFLDAD -LITTSKFRNTTGLLDVAKALQPCTGCPKPAHYKTCCPLLCGKAVVVQDRKTKANFSVNTIVEQLRHENAT -RKKVKHNLDAIFQGLGDSETPGFIVDLLSSSKDPKVIEYCAEQGWIGKANSTIERDFNYVHYMLNCLGSL -IIILGTVYALYKLMCMTQGPYTGLPNPHTKRPELRKATLQGPEHEFVRALIKRNCHVITTSKGEFNMLGI -HDNCAVVPTHAECGDFVTIDGRKVRVLKQCILTDTNDTDTEITLLWLDQNEKFRDIRRFIPEHQREWSNM -HLATNVTKFPMLDVEVGTVVPYGEVNLSGNPTCRLLKYNYPTKPGQCGGVIANTGNIVAIHVGGNGRVGY -GAALLRKYFAQSQGEITAKHDVREKGLPQINTPNKTKLQPSVFYDVFPGVKEPAALSNGDPRLEVDLSTS -VLSKYKGNTQVEWNDNIQIAVDHYSAQLYMLDINPQPLTMEQAVYGIEHLEPLDLTTSAGFPYVTMGIKK -KDIVNKVTKDVTKLQEMIDKYGIDLPYVTYLKDELRAPEKIKKGKTRAIEAASINDTTHFRMVFGNLFSV -FHANPGVLTGSAVGCNPDVFWSQMYACMDGELLAFDYTNYDGSLHPIWFKALGKVLDNLGFPGHLVNRLC -NTTHIFKNLIYTVEGGMPSGICGTSIFNTMINNIIIRVLVLETYKNIDLDKLKIIAYGDDVVVSYPFELD -PMEIANKAERYGLTITPPDKGSTFQKIDWTNVTFLKRHFKPDTKYKFLIHPVYKMEDIYESIRWTKDPKN -TQDHVHSLCLLAWHNGEEVYEKFREKIRSTSVGKVLYTPPYSLLYRQWIDQFI ->AER92566.1 polyprotein, partial [Rhinovirus C] -MGAQVSKQNTGSHESAINATNGGVIKYFNINYYRDSASSGLTKQDFSQDPSKFTQPLVDTLTNPALMSPS -VEACGFSDRLKQITIGNSTITTQDAINTILAYGEWPQYLSDIDATSVDKPTHPETSADRFYTLASVEWNV -NSKGWWWKLPDALRDMGIFGQNMYYHSMGRSGYIIHTQCNATKFHSGALLVVLIPEHQLAYIGGSKVDVA -YKHTHPGEAGHKIGENDRRHDNQPDEDPFYNCNGTLFGNLTIFPHQIINLRTNNSSTIITPYINCQPMDN -MLKHNNLTLLIVPLVTLRASSTTPPVVSITVTIAPYKSEFSGAMQHQTQGLPTRIPSGGQQFMTTEDEQS -ANLLPGFHPSKKIQIPGKITSVLHMARVDSFMPINNIKAQEGSVNMYHITVTRKGTNNGLILTIPLEMSN -TLFSTTLLGEILNYFTNWSGSVTLTFMCVCDSFSTGKFLLAYTPPGGAHPKNRKEAMLGVHIIWDLGLQS -SCTMVVPWLSSGFYRRTESDDYTHAGYVSLWHQTDFIPSSSQVTGGAILVTCSGCPDLSVRMLRDTPMMK -QTNDLQNNDPIDAYVHDVLNEVVVVPDTKPSGPQHTTKPSALGAMEIGASSSAIPESVIETRYVVNDNTN -EEAEIEMFLGRSALWSKLNLTGKFAKWNINFQEQANIRKKFELFTYLRFDMEVTIVTNNKGLMQVMFIPP -GLKIPENDTDRLWDSASNPSVFFQPKNGFPRFTIPFTGLASAYYIFYDGYTTRDIPERNKYGISPTNDMG -TLCFRALDDSVDSHIKVYIKPKHITAWIPRPPRATEYTHAYSTNYHYKEKDASEDTLKSRHFMEFRTAIK -NVGPSDLYVHTKDATYTCAHLTHPNDKTILLALTADLQVDASDTQGPDHIPTCDCTQACYYAKHADRYYP -VSLTSHDWYEIQESQFYPKHIQYNILIGEGPCKPGDCGGKLLCKHGVIGIITAGGEGHVAFTDLRPYACL -TSHQGIVTDYVNQLGVAFGDGFSSNIKDHLTGLCTTISDKITTRVIKWLIRIISALTIMIRNSTDTATVL -ATLALLGCHGSPWAFLKDKICQWLNIPKPPCRQGESWLKKFTECCNAAKGLEWVAQKIGKFIDWLKEKLI -PTVQRKKETLEQCKKIGLYEQQVQGFAHSDADAQQQLLVEVSKLKRGLDDLAPLYASENKRVTNIQKDLQ -RLTAYQKTHRHEPVCCLIRGSPGCGKSLVTSIIAHGLTNEANIYSLPPDPKHFDGYNQQVVVIMDDVGQN -PDGKDLSMFCQMVSTTEFIVPMASIEDKGRSFTSQYVLASTNLDSLTPPTISIPEAISRRFFLDADLQVL -SKYRTSNGLLDVAKALQPCTKCPKPAHYKQCCPILCGQALVLRDRRTSASYPILAVVEQLRTENDTRSKV -KTNLRAIFQGLGDTTPPGFIVDLLSSSKDPKVMEYCVEQGWMGKAYSTIERDFNYVQYALNCLGSLIIIL -GTIYALYKLMCLTQGAYSGVPTPVVKKPELRKATLQGPQHEFIRALVKRNCHVITTDKGEFNLLGVHDNC -AVVPTHAECGDHVNIDGRMVKVLKQQILTDMDDVDTEVTLLWLDQNEKFRDIRRFIPEHQQEWTHMHLAT -NVTKFPMMDVEVGDVIPYGQLNLSGNPTCRLMKYDYPTRPGQCGGVILNTGNIVAIHVGGNGRVGYGATL -LRKYFAQAQGDISKRTTAKDAGYHTINTPSKSKLHPSVFYDIFPGSKEPAVLSSKDPRLEVDLDTAIFAK -YKGNVEVKWNEHLQTAVDHYAAQLFVLDINPEPITMEQAVYGITNLEPLDLTTSAGYPYAALGIKKRDIV -NKTTRDVVKLQQMLDRYGVDLPYITYLKDELRSPEKIKLGKTRAIEAASLNDTVQFRMIFGNLFSTFHAN -PGILTGSAVGCNPDIFWSQLYALLDGSLLAFDYTNYDGSLHPIWFEALGKVLDQIGFPGNLMKKLCNTTH -IYKDQVYMVEGGMPSGICGTSIFNTMINNIIIRTLILETYKGIDLDKLKMVAYGDDVLASYPFPLDAKEL -SETAKKYGLTITPPDKSTEFKPMSWDNVTFLKRKFRPDDRYGFLIHPVYSMEDVHESIRWTKDPKNTQDH -VRSLCQLAWHNGKELYDEFLERIRTCPVGRRIQLPPYSSLYRQWT ->AEE69369.1 polyprotein [Rhinovirus C] -MGAQVSKQNVGSHENSVSATGGSVIKYFNINYYKDSASSGLTKQDFSQDPSKFTQPLAEALTNPALMSPT -VEACGMSDRLKQITIGNSTITTQDTLNSILAYGEWPKYLSDLDASSVDKPTHPETSSDRFYTLTSVDWTT -TSKGWWWKLPDCLKDMGIFGQNLYHHALGRSGYIIHTQCNATKFNSGCLIVAVVPEHQLAYIGEANVNVG -YDHTHPGETGHAIGSNNRRNDKQPDEDPFFNCNGTLLGNITIFPHQLINLRTNNSSTIVVPYINCVPMDN -MLRHNNLSLVIIPIVPLRAANGITKVPITISIAPDKSEFSGARQSVKQGLPTRLPSGAQQFLTTEDEQSA -NLLPDFSVTKVIDIPGRITNILHMAMVDSFIPLNNVETNVGQVSIYNVQVTKKTGDKPIVVIPMDISNTL -FSTTLLGEVLNYFANWSGSISITFMCVCDSFSTGKFLLAYTPPGADLPPDRKTAMLGVHVIWDLGLQSSC -TIVVPWMSGTFHRRTKADNYTQAGYVSLWYQTDFVSPNDGIGTIVATCSGCPDLSVRMLRDTPMMKQEKD -LAQNPVENYIDKVVDTVLQVPNTQPSGPQHSIQPSALGAMEIGASSTTIPGDLIETRYVINSNTNSEALI -ENFMGRSALWAKIQVTNGFAKWDINFQEHAQVRKKFEMFTYARFDMEVTVVTNNTGLVQIMFVPPGIDAP -DSANSLLWDSASNPSVFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDVPRSRDNAVYGISSTNDMGTLCFR -AMEDTTEHSIRVFVKPKHTMAWIPRPPRATQYTHKFSTNYHVKKPGDDTGLLIQKHFIKPRGDIKTAGPS -DLFVHTATMIYRNAHLTSLNDKTVLLALTADLQVDAADHPGPDTIPQCDCLEGCYYSKSLDRYIPVKLEA -HDWYQIEETCYYPKHIQYNIMIGEGHCQPGDCGGKLLCTHGVIGIITAGGDNLVAFTDLRPYSCLDTHQG -PIQDYVTQLGSAFGSGFTDTLKDQLTDVSAHIKDKITSKVVKWLVRIISALTIMIRNSTDTPTILATLAL -LGCHKSPWSFLKDRLCQWLNITRPPSRQGDGWLKKFTECCNAAKGLEWIGIKISKFIEWLKNRLLPTLQH -KKEILDECKKIDLYQEQVKGFSKVDEPAQQELLVKVEKLKKGLDSLAPLYAVENKRVSNIYKELKALSAY -KTTQRTEPVCVLIRGAPGCGKSLVTSIIARGLTKPGDIYSLPPDPKHFDGYDQQEVVIMDDLGQNPDGKD -LSMFCQMVSTTNFIPPMAALEDKGKTFTSKYILASTNLLNLQPPTVTVPEAIDRRFYLDLDLKILQGYQN -QVGLLDTAKALQPCVNCNKPPHYKQCCPLLCGKAVVLVNRRTKGSYAINMVVQQLIEESKSRKSVGNNLS -AIFQGLGDRETPGFIIDLLSSSKDPKVIQYCQDQGYISPAHSTIERDFAYVTYALNCLGSLVIILGTIYA -LYRLMCIAQGPYTGMPTAPRKKPELRKATLQGPEHEFLSALIRRNCHIITTDKGEFNLLGIYSNCAVVPT -HAEPGDVVDIDGRLVRVLKQQVLTDMNDVDTEVTILWLDQNEKFRDIRRFIPEHQQDWHNIHLATNVTKF -PMLNVEVGHTVPYGEINLSGNATCRLYKYDYPTQPGQCGAVLANTGNIIGIHVGGNGRVGYAAALLRKYF -AEEQGAIISKCNVKEKNWKPINTPSQTKLHPSVFHDVFPGVKEPAALHPKDPRLEVDLTTAVMSKYKGNT -DVPMNEYIEAAVDHYAAQLYMLDINPEPITMEQAIYGYANLEPLDLTTSPGFPYVSLGVKKKDILNNATK -DTRKMQQMMDLYGIDLPYITFLKDELRAPEKIKAGKTRIVEAASVNDTVYFRTTFGNLYSTFHANPGILT -GSAVGCNPDVFWSQMHAMLDGELIAFDYTNYDGSLEPVWFKALGRVLDQLGFPGHLTERLCNTTHVFKDT -AYDVRGGMPSGISGTSIFNTMINNIIIRTLVLETYKNINLDKLRIIAYGDDVVASYPDELDPKEIAMTAK -RYGLTITPPDKTDQFSKIDWDNVTFLKRRFKPDSRYKFLIHPVYSMQDVYESIRWTKDPKNTQDHVHSLC -LLAWHNGEEIYEDFCTKIRTTSVGKSLYLPPYKYLYRQWIDLFI ->AFD64770.1 polyprotein [Rhinovirus C] -MGAQVSKQNVGSHENSVSASGGSVIKYFNINYYKDSASSGLTKQDFSQDPSKFTQPIADVLTNPALMSPT -VEACGFSDRLKQITIGNSTITTQDSLNTIVAYGEWPEYLSDLDATSVDKPTHPETSSDRFYTLESVMWHG -SSRGWWWKIPDCLTDMGMFGQNMYHHSMGRSGMLIHVQCNATKFHSGCLLVVVVPEHQLAYIGAGGVNVK -YEHTHPGERGHTLQASDVRSNHNPDEDPFYLCNGTLLGNALIYPHQMINLRTNNSATIVVPYINCVPMDN -MLRHNNVSLLIIPIVPLKANTNAVNSLPITVTIAPDKSEFSGAMKSQQQGLPTRSPAGSQQFMTTEDEQS -PNILPEYSPTKMIHIPGRIDNILHIAMVESLIPLNNIPGQVGTVGMYNVTIASKTADQEMILAIPLQMDN -TLFATTLVGEILNYFSNWSGSIRVTCMCVCDSFSTGKFLMAYTPPGGGLPTTRKEAMLGVHVVWDLGLQS -SCTLVAPWMSSTFYRRTKGSNYTSGGYITLWYQTNFVATTTGGTGTIIATCSACPDLSVRMMRDTPMIKQ -PENNIQNPVDNFVDEVLKEVLVVPDTKPSGPTHTVKPTVLNAMEIGVTPDATPESVIETRYVINNHTNNE -ALIENFLGRASLWAELQMSDGFKKWDINFQEQAHIRKKIEMFTYIRFDMEVTIVTNNQGLMQIMYVPPGI -EAPESLNDKRWNGASNPSVFYQPKSGFPRFTIPFTGLGSAYYVFYDGYDENKVGSTTYGISATNDMGTLC -FRALEDTAKQDVKVFVKPKHITAWCPRPPRAVDYTHRYSPNYHTNKGNTTELEEKHYINTRTTIKTAGPS -DLFVHTEQAIYKNAHLTTPNDQTILLALTADLQIDGCDQPGPDTIPDCDCTSGCYYSRSLDRYIPVECEA -HDWYPVEETQYYPKHIQYNLLIGEGPCVPGDCGGKLLCRHGVIGIITAGGDGHVAFTDLRPYNIKATSQG -PVTNYLNQLGSAFGEGFTANIKDQLAVVGNTIMDGLTNKVVKWLIRIISAMTIMIRNSSDTPTVLATLAL -LGCHESPWAFLKNKVCKWLGINPPAKRQGDSWLKKFTEWCNAAKGLEWVGQKISRFIDWLKEKVLPTVKS -KKEILDHCKKIPLYQEQIKGFPYATQESQEELALNIDKLKKGLDGLAPLYAVENKTVTQMQKEIKQLQCY -KRAQRIEPVCILLHGIPGCGKSLITTIIARGLATEGNIYSLPPNPKHFDGYNQQDVVIMDDVCQNPDGED -MNMFCQMVSTADFHVPMAAIEDKGKSFTSKYVLASTNMATLQPPTIQTPEALERRFFLDMDVKVLSPYSS -QRGLLDTAKALQPCTKCDKPTHYSRCCPLLCGKAVVLVNRKTQVSYSVNMIVEQLRTEYGNRRSVKTNLA -AIFQGLGDQETPGFIIDLLRSSKDPKVIQYCEEEGLILRSSTTLDRHIDRVQQAINCIGSLVVILGTIYA -LYKLMSMTQGAYTGHVEPTLKRPELRKAVTQGPEHEFMYALLKRNCHIAETRKGEFNLLGIHSNCAVIPT -HAECDKEILIDGIPTKILKQQIITDASDVDTEVTLLWLDRNEKFRDIRRFIPETIEEWHHIRLVTNVSKF -PMFFADVGTATPYGEITLSGNPTCRLLKYDYPTKPGQCGGVLGNTGHIVGIHVGGNGRVGYGAALLRKYF -CEQQGTITSIVDVRELGYSPINTPAKTKLLPSVFYNIFPGVKEPAPLSNRDSRLESNLDDTVFSKYRGNA -QVEFNEFMQVAVDHYSAQLYTLDINPAPLTLEQAAYGIPNLEALDLTTSAGFPYVSKGIKKKDILNKTTR -DTTKLAKLMEEYGIDLPYVTYLKDELRTPEKIKAGKTRLIEAASLNDTVHYRMVFGNLFSTLHANPGILT -GSAVGCDPDIFWSQLHASLGGHLLAFDYTNYDGSLHPIWFLCLEKVLTNLGFPGELMGKLSNSKHIYKNA -FYTTEGGMPSGICGTSIFNTMINNVIIRTLVLETYKNIDLDRLKIVAYGDDVIASYPEYLDPKEIAETGL -KYGLTITPADKSETFKPITWETVTFLKRGFKPDEKYPFLIHPVYSMADIHESIRWTKDPKNTQDHVRSLC -TLAWHNGEEQYNTFLSKIRTVPVGRTLSLPPYSYLRHRWLDLFI ->ADV57350.1 polyprotein [Rhinovirus C] -MGAQVSKQNVGSHENTVSASNGSVIKYFNINYYKDSASSGLSKQDFSQDPSKFTQPLVDTLTNPALMSPT -VEACGFSDRLKQITIGNSTITTQESLNTIAAYGEWPQYLSDMDATSVDKPTHPETSADRFFTLVSVTWKF -LSHGWWWKLPDALKGMGIFGQNLYFHSLGRTGYIIHTQCNATKFHSGALIVAVVPEHQLAYIGGVKVNVG -YEHTHPGEAGHTILGPNPSNARGANNQMKTPFIIVMALCWATLQSSPTNLSILRTNNSSTIIVPYINCVP -MDNMLKHNNLSLLIIPLVPLKPGNSGVNTVPITVSIAPLKSEFSGAMETRFQGLPTRIPSGGQQFMTTED -EQSANLLPGFHPTKKIHIPGLVTNIMHIARVDSFIPLNNIEGQVGRVSMYYVPVTKKVNDERILVLPLEM -NNTLFSTTLLGEVLNYFTNWSGSITITFMCVCDSFSTGKFLLAYTPPGGAHPKDRKQAMLGVHVIWDLGL -QSSCTMVVPWISSGFYRRTKADNYTEGGYVSLWYQTDFIPSNGTSAGSIVATCSHAQTCPPECSEIAHMM -QQRGTLQNNDPVSNFIDTTLKEVLVVPDTKPSGPQHTTKPTALGAMEIGASSDATPEATIETRYVYNDNT -NAEADVEMFLGRSAIWANITLNQGFYKWDINFQEHAHIRKKFELFTYVRFDMEVTIVTNNKGLMQIMFVP -PGLEPPNGKDSRLWQTASNPSVFYQPKSGFPRFTIPFTGVASAYYIFYDGYATTAKTGDNTYGISPTNDM -GTLCFRALDDTVKNDVKVFVKPKHISAWVPRPPRATEYTHKYSTNYHYKPDGEGHALTDRHFIQKRNNIK -NVGPSDLHVHTRDAIYTCAHLTKPSDKTILLALTADLQVDSADTPGGDHIPSCDCTVGCYYSKHNDRYIP -VEVKPYDWYEIQESEYYPKHIQYNILIGEGPCEPGDCGGKLLCKHGVIGIVTAGGEGHVAFTDLRPYACL -TIHQGIVTDYVNQLGTAFGDGFTSNIKDHLTGLCTSINDKLTSKAVKWLIRVVSALTIMIRNNSDLPTVL -ATLALLGCSSSPWSFLKDKICKWLQIPRPPSKQSDSWLRKFTECCNAAKGLEWISLKIGKFIDWLKEKLI -PTVQKKKEILDKCKKISLLEEQVNGFSSADSEAQQQLIVEVDILKKGLDDLAPLYAAENKRVTNIQKSLK -QQTAYLKTHRHEPVCLLLHGNPGCGKSLVTSVVARGLTSEAQVYSLPPDPKYFDGYDQQVVVIMDDVGQN -PDGKDLSTFCQMISTTDFIVPMASLEDKGKSFTSQYVIASTNLDTLTPTNVTLPEAIKNRFLMDANIIVT -SKFRNSAGLLDVAKALQPCTQCPKPDHYKVCCPILCGQAVVIRDRKTQISYSVNSVVEQLRNENDVRRKV -KHNLNAIFQGLGDTQPPGFIVDLLSASKDPKVLEYCVEQGWVGKATSTIERDFNYVQYLLNCLRSLLLIL -GTIYALYKLMCLTQGPYSGLPNPPSKRPELRKATLQGPEHEFIRALIKRNCHIVTTPRGEFNLLGIHDNC -CVVPTHAECGETVNIHGREVKVLKQQILTDMHDTDTEITLLWLDQNEKFRDIRRFIPEHQQEWTNMHLAT -NVSKFPMLDVEVGTVIPYGEINLSGNPTCRLMKYNYPTKPGQCGGVIANTGNIVAIHVGGNGRVGYGAAP -LRKYFAQAQGDIIAKHKVGVEGISPINTPNKTKLQPSVFHDIFPGTKQPAALTKKDPRLEVDLDAAVFSK -YKGNPPVTWNDNIQVAVDHYSAQLYMLDINPSPLTMEQAVYGIENLEPLDLTTSAGFPYVTMGIKKKDII -NKVTKDTTKLQNMIDKYGIDLPYVTYLKDELRAPEKIKMGKTRIVEAASLNDTTHFRMVFGNLFSTFHAN -PGILTGSAVGCNPDVFWSQMYASMDGELLAFDYTNYDGSLHPIWVQALGKGLNNLGFPGELMSKLCNTTH -IYKDDIYRVEGGMPSGICGTSIFNTMINNIIIRVLVLDTYKNIDLDKLKIVAYGDDVVASYPYELDPSEI -SKRAQHYGLTITPPDKGETFQKMDWSTITFLKRHFKPDTKHKLLIHPVYKMEDVYESIRWTKDPKNTQDH -VHSLCQLAWHNGKEVYEDFIQKIKTVEVGRTLNLPPYLYLYHRWIDNFI ->AFK79845.1 polyprotein [Rhinovirus C] -MGAQVSKQSVGAHETTVHAGSGAVVKYFNINYYKDAASSGLTKQDFSQDPSKFTQPIADALTNPALMSPS -VEACGYSDRLKQITIGSSTITTQDAVNTVLAYGEWPSYLSDLDATSVDKPTHPETSSDRFYTLASVTWGA -TSKGWWWKLPDCLKDMGIFGQNMYYHSMGRSGYIIHTQCNATKFNSGCLLVVVIPEHQLAYIGGTNASVS -YNHTHPGESGHEIGKNTTNRGTNEPDENPFFSCNGTLLGNLTIFPHQLINLRTNNSSTIVVPYINCTPMD -NMLRHNNVSLLIIPICPLRTSNGAPTTLPITVSIAPNKSEFSGAKQNQTQGIPVRMPSGSNQFMTTEDEQ -SPNILPNFHPTKKIHIPGEIKTIMDMARVDSFMPINNIQNQIQDVSVYHVQVTPGAKDKILVIPLDMSNT -LFSTTLLGEVLNYYSNWSGSIKLSFMCVCDSFSTGKFLIAYTPPGAGLPTDRKQAMLGVHTIWDLGLQST -CNMVIPWISAHNYRRTKADKFTEGGYVSVWYQTTFVSTSLAGCIMVTCSACPDMAVRMMRDTPMMKQEIN -IQQNPVENFIDETLKEVLVVPDTKETGPIHTTKPTSLSALEIGATAQVEPEDMIETRYVYNDNTNAETTI -ENFLGRSALWANLTLERGFKKWEINFQEHAQVRKKFEMFTYLRYDLEITVVTDNTGLMQIMYVPPGIDAP -NSASSPLWDSASNPSVFYQPHSGFPRFTIPFTGIASAYYMFYDGYDSNEQGNTRYGIGTTNDMGHLCIRA -LNNTDKDKVKIFAKPKHITAWIPRPPRATQYVSRYSVNYNVIKTGTTTGELEQKHFLVARPDIKNVGPSD -MFVHTHDAIFRCAHLTNPTEDTILLAISSDLQVDSASEPGPDYIPNCDCIIGTYYCHSKDRYYPIEFKQH -DWYEIQESIYYPKHIQYNILIGEGPCQPGDCGGKLLCKHGVIGMITAGGDNHVAFIDLRCYTDLAQHQGL -KDYVNQLGGAFGEGFTSTIKQTLTETCNGITDKLTSKVVKWLIRIISALTIMIRNSADIPTITATLALLG -CSGSPWKFIKSKVCHWLGVQPPPSRQGDSWLKKFTEFCNAARGLEWIGDKLSKFIDWLKSKIVPTVQRKA -ETLRECKKIPLYKEQVKAFSKATEEAQNELITNINKLEKGLLELAPLYAVEAKQVKEIQTEIRRMMCFKK -SHRAEPVCILLHGQPGCGKSLLTTVISRGLTTEAEVYSLPPDPKHFDGYNQQHVVIMDDVGQNPDGRDLS -LFCQMVSTTEFVVPMASLEDKGKTFTSDYVLASTNLNSLSPPTVTIPEAIDRRFFLDCDVKIMTGYSNNG -VLNVAKSLQPCASCPVPNYYKQCCPLLCGKAVVLQNKRTQGSYSVLTVVEQLRQERKNRQSVQVDLSAIF -QGLGDEQTPGFIIDLLSASKDPRVIEYCESEGWIKSLKQNKLERELNYTQYCLNCLGSLVLILGTVYAVY -KLMCLAQGPYSGLPQPKPSKPELRKAMIQGPEHEFGMALLKRNCHTVTTDRGEFNLLGIHDNCAVIPTHA -EPGDTILLDGYEVNVLKQQIITDLNDVDTEVTILWLDRKEKFRDIRRFIPEHVKEWSNMRLITNVSKFPM -LDVEVGGVVPFGELSLSGNPTTRLMKYNYPTQPGQCGGVIMSTGNIIGIHVGGNGRVGYCASLTRNYFAA -TQGEIIAKFGVKDLGLNTINTPSKTKLHPSVFYDVFPGVKEPAALSNFDPRLEVNLKESVLSKYKGNAGC -EMNEFIKTAVDHYTAQLFMLDINPEPITLEQAVYGTEGLEPLDLNTSAGFPYVTLGVKKKDILNRTTKDV -TKLQQMMDKFGLDLPYVTYLKDELRAPEKIRKGKTRAIEAASMNDTAFFRMTFGNLYAAFHKNPGTLTGS -AIGCDPDIFWSQIYSCMEQNLLAFDYTNYDGSLDPVWFKALEMVLDSLGFPGYLMSRLNKTTHIFKDEVY -KVEGGMPSGISGTSVFNTMINNIILRTLILETYKNINLDKLKIVAYGDDILASYPEELDPEQLAQAGVKY -GLTITPADKSTEFKKVTWQNATFLKRGFEPDTKYPFLIHPIFPMSEVFESIRWTKDPKNTQDHVYSLCLL -SWHNGEEKYNDFIQKVRSTSVGRTLYLPPYSVLYRAWIDKFI ->AIT99457.1 polyprotein [Rhinovirus C] -MGAQVSKQNVGSHESGISASSGSVIKYFNINYYKDSASSGLSKQDFSMDPEKFTKPIAETLTNPALMSPS -IEACGFSDRLKQITIGNSTITTQDALNTVVAYGEWPQYLSDIDASAIDKPTHPETSTDRFYTLTSVIWKT -TSKGWWWKIPDCLKEMGMFGQNMYHHALGRSGFIIHVQCNATKFHSGLLMVAVIPEHQLAYIGGTNVSVG -YNHTHPGEDGHEIGVNERRHDKQPDEDPFFNCNGTLLGNLTIFPHQLINLRTNNSATIVVPYINCVPMDS -MLRHNNLSLVIIPMVDLRFGTTGVNTLPITVSIAPIKSEFSGARQSRTQGLPVRLASGTQQFMTTEDEQS -ANLLPGFHPTPVMHIPGEITNLMHMARVDSFMPINNISTHINKVPIYNVPILKKSSGTQPDLILTIPLKM -DDTLFSTTLLGEVLSYFSNWSGSITLSFMCVCDSFSTGKFLIAYTPPGGGQPNDRKEAMLGVHVIWDLGL -QSTCTMVVPWISQGYYRHTKADKYTEAGFVTLWYQTDFVAGSTTGQGAILVTCSACPDMAVRMMRDTPMM -SQTLDIVQNPVEDFIDSTLEQVLVVPDTKPSGPIHTXKPTALSAMEIGASTSTTPETMLETRYVINSKTN -DEALIENFLGRSALWATVTMENGFRTWKVXYQENAHIRKKLEMFTYVRFDLEVTIVTHNTGLMQIMYTPP -GIPAPTRANGKEWDTASNPSVFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDHSGDGHIYGITTTNDMGTL -CFRALDDKQRNDIMIYAKPKHTRAWIPRPPRATEYTHMYSTNYNKRKQPTNPDNHELTKVHFLKPRPGDS -IKTAGPSDMYVHTRDAIYRNAHLTEANDNTILIALTADLQVDVADTPGKDVIPDCNCTTGTYYCKSKDRY -YPIDVTMHDWYPIEESQYYPKHIQYNILLGEGPCEPGDCGGKLLCKHGVIGIVTAGGEGHAAFTDLRPYN -NLAKTQGPISQYVTQLGNAFGEGFTQTLETNLKETCSGIFDKITSKTVKWIIRIISALTIVIRNNSDTPT -IMATMALLGCSGSPWNYLKTKLCAWLGINKPPSKQGDSWIKKFTEWCNAAKGLEWIGYKISKFIDWLKEK -LLPTVQRKKETLTECRKLTLYEDQVKAYPHSSEQFQNELTTKLQVLKKNLDDLSPLYASENKRVTNMLKE -IKAMTAYKKSHRTEPVCILIRGGPGCGKSLATTVIARGLTDSGNIYSLPPNPKHFDGYCKQDVVIMDDLG -QNPDGQDLAMFCQMVSTTDFVVPMAAIEDKGKSFTSDYVLASTNIAHLTPPTVTIPEALTRRFFLDTDLK -IMSGYKNQHGLLDTAKALQACPDCDKPLYYKQCCPLLCGKAIVLQNRRTSASLSINMVVTQLREESNTRK -KIHTNLNAIFQGLGSDDTPGFIVDLLSASKDPRVIQYCEKEGLIMKSPHSVERSLEYVQYCLNCLGSLVI -ILGTIYTIYKLLCVTQGAYTGNTKPIPRKPELRRAVVQGPNHEFLCSLIRRNCHVATTDRGDFNLLGIHD -NCAVLPTHANCDDTILLDGVETKIIKQQVITDGNDIDTEITIIWLDRNEKFRDIRRFLPEHINDWRNMRL -ATNVPKFPMLDVEIGDVTPYGEINLSGNPTCRLLKYDYPTQPGQCGAVIANVGNIVAIHVGGNGKVGYGA -ALLRRYFAEAQGEIISKRTTREVGLNPINXPNKTKLYPSVFFDIFPGEKEPAVLCNNDPRLSANLKEAVM -SKYKGNKNVEMNEYVITASKHYAAQLFPLDINPEPITMDQAVYGTEGLEPLDLXXSAGLPYVTLGVKKRD -VLNRNTRDLSKLQYMMDLYGLDLPYVTYLKDELRAPEKIKLGKTRSIEAASMNDTVFFRMIFGNLYSTFH -KNPGVLTGSAVGCDPDVFWSQMRATMGENILAFDYTNYDGSLEPVWFDALKMVLNELGFDGELTEKLKTT -THIYKDEVYTVKGGMPSGISGTSVFNTMINNIIIRTLTIETYKNVDLDKLKIIAYGDDVVISYPDPLDPA -ELARTGSEYGLTITPADKSTTFQPVTWDNVTFLKRGFKNDTRYPFLXHPVYPMKEVYESIRWTKDPRNTQ -DHMHSLCLLAWHNGKEQYEEFLRKVRSTSVGRTLYLPHYEYLERRWIDKFI ->AMB19799.1 polyprotein [Rhinovirus C] -MGAQVSRQTTGSHENAITANNGGLVKYFNINYYKDSASSGLAKQDFSQDPSKFTQPLADVLTNPALMSPS -VEACGFSDRLKQITIGNSTITTQDALNTVLAYGEWPEYLADVDATSVDKPTHPETSSDRFYTLASVDWVS -TSKGWWWKLPDALKDMGIFGQNLYYHSMGRAGYIIHTQCNATKFHSGALLVVLIPEHQLAYAGGTKANVG -YTHTHPGERGHEIRDQGRDNNRPDEDPFFNCNGTLFGNLTIFPHQIINLRTNNSSTIITPYINCQPMDNM -LKHNNLTLLIVPLVNLRPAPNASPSVAITISVAPYKSEFSGAMETRFTVQTQGLPVRMPSGAQQFMTTED -EQSPNILPGYHSSKKIHIPGKITSVLHMARVDSFMPINNVESEIGKVSIYNVTVTKKAPNSDGLILSIPL -DMSNSLFSTTLLGEILNYFTNWSGSITLTFMCVCDSFSTGKFLLAYTPPGGAHPKTRKEAMLGVHVIWDL -GLQSSCTMVVPWISSGFYRNTKSDNHTEAGFVSLWHQTDFIATTTTGQGAILVTCSGCPDISVRMLGDTP -MMKQEDTLQNNDPVEQFIHDTLNEVVVVPNTQASGPQHTTKPSALSAMEIGASSTATPESTMETRYVVND -NTNEEAEIEIFLGRSAIWTHIQLKKGFEKWNINFQEQAHIRKKFELFTYLRFDMEVTIVTNNHGLMQTMY -VPPGIDPPKTKTDNAWDSASNPSVFYQPKSGFPRFTIPFTGLASAYYMFYDGYSTTSMQPENTYGISPTN -DMGTLCFRVLDGADDAIVKVYIKPKHTTAWIPRPPRAVQYTHTYSTNYHYRTGTEGNYTLKNRHFIQHRN -NIKGLQNVGPSDLHVHTRDAIYTCAHLTQPSDKTILLAYTADLQVDACATEGPDRIPTCDCAVGCYYVKH -LDRYFPVTVTAHDWYEIQESQYYPKHIQYNILIGEGPCKPGDCGGKLLCKHGVIGIITAGGEGHVAFTDL -RPYSCLAQQQGVVSDYFTQLGGAFGEGFTHNIREHFTNLSTSITDKLTSKVVKWLVRIISALTIMIRNSS -DTATVLATLALLGCQSSPWNFLKNKICHWLDIPRPASKQGDSWIKKFTECCNAAKGLEWVAIKIGKFIDW -LKEKLLPTVQRKKETLEQCKKLALYEEQCKGFSKSDAQAQQQLILEVAKLKKGLDDLAPLYACENKRASM -IRKELQRMTAYHKTHRHEPVCCLIRGPPGCGKSLITSVIARGLTSEAQIYSLPPDPKYFDGYDQQKVVIM -DDIGQNPDGKDLSMFCQMVSTTEYIVPMASVEEKGRSFTSEYILASTNLDSLAPPTITIPEAIKRRFFID -VDLVIISKFRNANGLLDTAKALQPCANCPKPACFKQCCPLLCGQAVVLQDRRSKANYPVNAVVQQLLHEN -STRRKVKDNLTAIFQGLGDPTPPGFIVDLLSASKDPKVVEYCVEQGWIGKANTSVERDLNYVHYVLNCLG -SLILILGTIYVLYKLMCFTQGAYSGLPSPVPKKPELRKATLQGPQHEFVRALIKRNCHVLTTNRGEFNLL -GIHDNCAVVPTHAECGDIVNIDGRDIKVLKQQILTNTDDVDTEITLLWLDQNEKFRDIRRFIPEHQQEWC -NMHLATNVTKYPMLDVEVGDVVPYGQLNLSGNPTCRLMKYDYPTRPGQCGGVIMNTGNIVAIHVGGNGRV -GYGAALLRKYFAVAQGEITKKTQVKEMGLQTVNTPTKTKLQPSVFYHIFPGVKEPAPLHTNDPRLETDLD -QAIMAKYKGNLEVEWNDYIQTAVDHYAAQLYTLDINPEPLTLEQAVYGIEHLEPLDLTTSAGYPYATMGI -RKKDIVNRTNRDTTKLQQMMDLYGIDLPYITYLKDELRSPEKIKRGKTRAIEAASINDTVQFRMIFGNLF -SSFHANPGILTGSAVGCNPDIFWSQLYATLDGHLLAFDYTNYDGSLHPIWFKALGKVLTQLGFPGNLMDK -LCKTTHIYKDQCYLVEGGMPSGICGTSIFNTMINNIIIRTLVLETYKSIDLDKLKIVAYGDDVIASYPYP -LDSKFIAETASRYGLTITPPDKSDEFKEVSWSNVTFLKRGFQPDQRYKFLIHPVYSMSDVCESIRWTKDP -KNTQDHVRSLCLLAWHNGQEKYEDFLAKIRSCNVGKQLYLPPYQSLYTQWIDEFI ->AMB19800.1 polyprotein [Rhinovirus C] -MGAQVSRQSVGSHENTISTGNGSIVKYFNINYYKDAASSGLTKQDFSQDPSKFTQPLAEALTNPALMSPS -VEACGFSDRLKQITIGDSTITTQDTLNSVVAYAQWPEYLSDVDASSIDKPTHPETSSDRFYTLKSVEWGS -ESLGWWWKLPDCLKDMGLFGQNMYYHAMGRAGFIVHVQCNATKFHSGCLMVAVVPEHQIAYIGAGNTNVK -YKHTHPGEMGHTIQSSVNRSDNNPDEDPFYMCNGTLFGNIQIFPHQMINLRTNNSATIIIPYVNCLPMDS -MLRHNNLTLLIIPLVKLRNGGMGSTTLPITVTIAPCKSEFSGPMQSQKQGIPTRAPSGSQQFMTTEDEQS -ANILPGYTPTKQIHIPGEITNMLHIAQVESFLPINNIPSNKGKIDIYTIPISKKGDNDLIVVIPLQMDNT -LFATTLLGEVLNYYGNWAGSVRITFMCVCDSFSTGKFLMAYTPPGGQLPKNRKEAMLGTHLIWDLGLQSS -CTMVAPWISSTFFRRTRADNYSSGGIISLWFQTDFVSGNTANVGTIVATCSGCPDISVRMLRDTPMTKQP -ENITQNPVEEYIDETLKEVLVVPNTKPTGPTHTTKPSALGAMEIGATPDVIPEDVIETRYVINNHVNSEA -LIENFLGRSALWAELELPSGFKKWDINFQEQAQIRRKLEFFTYVRFDMEVTVVTNNTGLMQIMYVPPGIQ -PPTSLRDKKWDGASNPSVFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDEAKDGSTAYGISSTNDMGTLCF -RALEDTDHNDVKIFIKPKHTRAWCPRPPRAVDYTHKYSTNYHTKADESGNLKEEHYFRFRRDIKGIETMG -PSDMYVHTQNAKYTNAHLTTPRDDTILLAITADLQVDPVDEPGNDYIPECDCTDGCYYCRSQDRYFPIQT -RKYDWYEIQETCYYPRHIQYNLLIGEGPSQPGDCGGKLLCKHGVIGILTAGGDGNVAFTDLRPYRDLNHH -QGIVSDYLTQLGNAFGEGFTQNIKDSFTSISNSITDKLTSKIVKWLIRIISAFTIMVRNSADGPTVLATL -ALLGCNSSPWSFLKARVCAWLGVPKPPSRQGDSWLKKFTECCNAAKGLEWIGIKISKFIDWLKDKLIPTV -QSKKATLSECKKIPLYQEQIKGYPMATQEAQNELCIAIDKLKRGLDELAPLYAKENKQVSDMQKHLKQLT -AYQRTHRTEPVCVLIHGGPGSGKSLATTIISRGLAAEGDIYSLPPNPKHFDGYNQQKVVIMDDVGQNPDG -EDLSIFCQMVSTTDFHVPMAAIEEKGRCFTSDYILASTNLSRLTPPTVQIPEALDRRFFLDMDLKIMPNY -VSNGLLNTAKALQRCDGCSTPPHYKQCCPMLCGKAVVLQNRRTQANYSINMVVEQLRQEQFDRKCVKENL -HAIFQGLGSDETPGFIIDLLASSKDPRVIQYCEDEGLLKKATCHIEKEIKYLQYILNCLGSLILILGTVY -ALYKLMCIAQGPYTGFTQPSPKKPELRRAVKQGPEHEFMYALIKRNCHIATTDKGEFNLLGIHDNCAVLP -THADCGETINIDGREVRVLKRQIITDLNDTDTEITIVWLEQNEKFRDIRRFIPEYIQEWDHMKLATNVTK -FPMFFADVGLTIPYGEINLSGNPTCRLMKYDYPTKPGQCGAVIGSTGHIVGIHVGGNGRVGYCAALLRKY -FCEAQGAILTTQHVSEVGINPINTPNTSKLRPSAFYDVFPGKKEPAALHPKDSRLEVDLDKAVFSKYKGN -VEIQFNQYMEVAVDHYAAQLSVLEINTEALTLEQAVCGIKNLEPLDLTTSAGFPYVTMGIKKRDLVNKTT -RDVSKLQKMMDKFGIDLPYVTYLKDELRAPEKIKAGKTRIIEASSVNDTSHFRMVFGNLFSVFHANPGIL -TGSAVGCDPDIFWSQIYSTLDGHLLAFDYTNYDGSLHPVWFQALGRVLDKLGFPGDMMQKLSKTTHIYKD -KIYTTEGGMPSGICGTSIFNTMINNIIIRTIVLETYKNIDLDKLRIIAYGDDIIASYPDKLDPKELATTG -TKYGLTITPANKTENFEDLTWDTITFLKRGFKPDTEFPFLIHPVYAMSDVYESIRWTKDPRNTQDHVRSL -CSLAWHNGKKVYEDFLDQIKTVHLGRILHLPPYSYLRSRWLDNFI ->AEP69137.1 polyprotein, partial [Rhinovirus C] -MGAQVSKQSVGAHENSITANKGSIVKYFNINYYKDAASSGLTKQDFSQDPSKFTQPLADALTNPALMSPS -IEACGFSDRLKQITIGDSTITTQDTLNSVVAYGEWPQYLSDLDASSIDKPTHPETSADRFYTLDSVNWTS -TSLGWWWKLPDCLREMGLFGQNMYYHSMGRTGYIIHVQCNATKFHSGCLMVAVIPEHQMAYIGAGGTNVR -YDQTHPGEAGHTIMASKQWGEHNPDENPFYNLNGTLFGNIQIFPHQMINLRTNNSATIVVPYINCLPMDN -MLRHNNLTLLIIPIVKLRHGTTGTTTLPITVTIAPDKSEFSGPMQTQKQGIPTRMPSGAQQFMTTEDEQS -ANLLPEYSPTKEIHIPGKITNMLHIAQVESFLPLNNIDRHVGSIDIYGVTITGTSDNKLIVAIPLQMDNT -LFATTLLGEVLNYYANWSGSVRVTFMCVCDSFSTGKFLLAYTPPGGRLPRDRKEAMLGTHVVWDLGLQSS -CTMVAPWISSTFFRRTKADKYSSGGIITLWHQTAFVSGDTANVGTILATCSGCPDISVRMLRDTPMAKQP -QNVIQNPVEQFIDDTLKEVLVVPDTQTSGPIHTTKPTILNAMEIGATPDVNPDDVIETRYVINNHVNNEA -LIENFLGRSALWAEFRLQGGFKKWDINFQEQAHIRRKLEFFTYVRFDMEVTIVTNNTGLMQIMFVPPGIE -APDGISDKRWDGASNPSIFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDETEKTGTAYGISATNDMGTLCF -RALEDTANDDVKVFIKPKHTSAWCPRPPRAVDYTHKYSPNYHTRTGENNGTLEEKHYIKTRTNIKTFGPS -DQFVHTQYAKYTNAHLTNPNEHTILLALTADLQVDATTEPGSDVIPDCDCVEGCYYCKSQDRYFPVKTTQ -HDWYEIQETVYYPKHIQYNILIGEGPAIPGDCGGKLLCRHGVIGILTAGGEGHVAFTDLRPYRDLNHHQG -PISNYLTQLGNAFGEGFTQNIKDSFSNISTSITDRLTSKVIKWLVRIISALTIMVRNSADTPTILATLAL -LGCSKSPWTFLKEKICLWLGISKPPSKQGDNWLKKFTECCNAAKGLEWIGNKISKFIDWLKEKLIPAVQS -KKIILAECKKIPLYQEQVKGYPMADEKAQGELSVAIDKLKKGLDGLAPLYARENKLVTDMQKQLNQLKAY -QRTHRTEPVCLLIHGDPGCGKSLATTIVSRGLAAEGDIYSLPPNPKHFDGYNQQKVVIMDDVGQNPDGED -LGIFCQMVSTTDFHVPMAALEDKGRCFTSDYVLASTNMSTLTPPTVQLPEALNRRFYLDMELKIMPNYYS -AGNMLNTAKALQKCEGCAAPPYYKQCCPMLCGKAVVLQNRRTKANYSINMVVAQMREELQHRKSVKNNLQ -AIFQGLGDDTTPGFIVDLLASSKDPKVIKYCEENGLISQAKCTLERNIDHLQYILNCLGSLILILGTVYA -LYKLMCIAQGPYSGQPQPVHKKPELRKATLQGPEHEFMYALVKRNCHIATTERGEFNLLGIYGNCAVLPT -HAECGETLNVDGREVKVIKRQILTDMNDTDTEITLIWLDQNEKFRDIRRFLPETIQEWDHMKLATNVTKF -PMHFVDVGHTIPYGEINLSGNPTCRLLKYNYPTKPGQCGAVIGSTGHIIGIHVGGNGRVGYCAALLRKYF -GDTQGAIVSTTHTSECGLNPINVPTNTKLKPSVFYDVFPGKKEPAVLNPRDPRLEANLDEAVLGKYKGNK -HVEFNQYIQIAVDHYAAQLIMLDIDSAPLTLEQAVYGVKNLEPLDLTTSAGFPYVALGIKKRDLVNKTTR -DVSKLASMIDKYGLDLPYVTYLKDELRTPEKIKAGKTRIIEASSINDTSHFRMVFGNLFSAFHANPGILT -GSAVGCNPDVFWSQMYAMLDGHLLAFDYTNYDGSLNPIWFKALGMVLNKLGFPGTMMDKLSNTTHIYRDR -VYKTEGGMPSGICGTSIFNTMINNIILRTLILETYKNIDLDKLRIIAYGDDVVASYPDPLDSKEIAETGA -RYGLTITPADKSTEFREVTWDTVTFLKRKFKVDDEFKFLIHPVYSMDDVYESIRWTKDPRNTQDHVRSLC -MLAWHNGKDVYEQFLNQIRTTSVGRALNLPPYTYLRHQWL ->ACU00185.1 polyprotein [rhinovirus C8] -MGAQVSKQNTGSHESAINANNGGVIKYFNINYYRDSASSGLTKQDFSQDPSKFTQPLVDTLTNPALMSPS -VGACGFSDRLKQITIGNSTITTQDALHTILAYGEWPQYLSDVDATSVDKPTHPETSADRFYTLASVDWKV -SSKGWWWKLPDALREMGVFGQNMYYHSMGRSGYIIHTQCNATKFHSGALLVVLIPEHQLAYIGGDKVDVA -YKHTHPGEGGHTIGENSNRGDNQPDEDPFYNCNGTLFGNLTIFPHQIINLRTNNSSTIVAPYINCQPMDN -MLKHNNLTLLIVPLVTLRASTDTTPVVSITVTIAPYKSEFSGAMDSQKQGLPTRIPSGGQQFMTTEDEQS -ANLLPGFHPSKKIHIPGMITNILHMARVDSFMPINNIRNHEGKISIYNITVVRKTNNGGLILTIPLEMNN -TLFSTTLLGEVLNYFTNWSGSITLTFMCVCDSFSTGKFLLAYTPPGGAHPKDRKEAMLGVHVIWDLGLQS -SCTMVIPWMSSGFYRRTKSDEYTHAGYVSLWYQTDFIPSSQTSQGAILVTCSGCPDLSVRMLRDTPMMKQ -THKLQNNDPVDGFVHEVLNEVVVVPDTKPSGPQHTTRPSALGAMEIGASSSVIPESLIETRYVVNDNTNE -EAEIEMFLGRSALWANIDMRDKFAKWDISFQEQANIRKKFELFTYLRFDMEVTIVTNNKGLMQIMFVPPG -LEPPNGKDSRLWDSASNPSVFFQPKSGFPRFTIPFTGLASAYYIFYDGYTTRLDPSDNKYGISPTNDMGT -LCFRALDDSTNNHIKVYIKPKHITAWIPRPPRATEYTHAYSTNYHYKAPDATPQQLESRHFMKFREQIKN -VGPSDQCVHTKDAIYTCAHLTTPNNNTILLAITADLQVDSSATQGPDHIPTCDCTQACYYAKHADRYYPV -TLVAHDWYEIQESEYYPKHIQYNILIGEGPCEPGDCGGKLLCKHGVIGIVTAGGEGHVAFTDLRPYACLT -IHQGIVTDYVNQLGTAFGDGFTSNIKDHLTGLCTSITDKITTRVIKWLIRVISALTIMIRNSTDTATVLA -TLALLGCHGSPWGFLKDKICQWLNIPKPPTRQGDSWLRKFTECCNAAKGLEWVAQKIGKFIDWLKEKLIP -TVQRKRETLEQCKKIALYEEQAQGFAHSDAEAQQQLLVEVSKLKRGLDDLAPLYASENKRITNVQKELQR -LSAYQKTHRHEPVCCLIRGTPGCGKSLVTSVIAHGLTNEANIYSLPPDPKHFDGYNQQTVVIMDDVGQNP -DGKDLSMFCQMVSTTEFIVPMASIEDKGRSFTSQYVLASTNLDSLSPPTISIPEAIGRRFFLDADLQVIS -KYKTSNGLLDVAKALQPCTKCPKPVHYKQCCPLLCGQALVLRDRRTSASYPLLAVVEQLRTENDTRGKVK -TNLRAIFQGLGDPTPPGFIVDLLSSSKDPKVMEYCVEQGWMGKAHSTIERDFNYVQYVLNCLGSLLIILG -TIYALYKLMCLTQGAYSGVPNPTPKKPELRKATLQGPQHEFIKALVKRNCHILTTEKGEFNLLGIHDNCA -VVPTHAECGDFVDIDGKVVKVLKQQILTNMDDVDTEITLIWLDQNEKFRDIRRFIPEQQQEWSHMHLATN -VSKFPMLDVEVGNVVPYGQLNLSGNPTCRLMKYDYPTRPGQCGGVILNTGNIVAIHVGGNGRVGYGATLL -RKYFAQAQGDIVKTGKAKDMGYHTINTPSKSKLHPSVFHDIFPGNKEPAALNPRDHRLEIDLDTAVFSKY -KGNINVEWNEHLQTAVDHYAAQLYVLDINPEPITMEQAVYGVTNLEPLDLTTSAGYPYAALGIKKRDIIN -KTTRDTVRLQEMLDRYGVDLPYITYLKDELRSPEKIKLGKTRAIEAASLNDTVQFRMIFGNLFSTFHANP -GILTGSAVGCNPDIFWSQLYAMLDGNLLAFDYTNYDGSLHPIWFEALGKVLDQIGYPGNLMKKLCNTTHI -YKDQVYMVEGGMPSGICGTSIFNTMINNIIIRTLILETYRGIDLDKLKMIAYGDDVIASYPEKLDPKELS -ITGAKYGLTITPADKSDKFSETTWDNISFLKRKFKPDTKYPFLIHPIYSMDDVCESIRWTRDPKNTEDHV -RSLCQLAWHNGKEVYEDFIQKIKTVEVGRTLNLPPYLYLYHRWIDNFI ->AFK65743.1 polyprotein [Rhinovirus C] -MGAQVSKQSVGAHETTVHAGSGAVVKYFNINYYKDAASSGLTKQDFSQDPSKFTQPVADLLTNPALMSPS -VEACGYSDRLKQITIGSSTITTQDAVNTIVAYGEWPSYLSDLDATSVDKPTHPETSSDRFYTLESVTWNG -SSQGWWWKLPDCLKDMGIFGQNMYHHAMGRSGYIIHTQCNATKFHSGCLLVAVVPEHQLAYIGGSNAQVS -YEHTHPGERGHEIGSNTNRDRNQPDEDPFFNCNGTLLGNLTIFPHQLINLRTNNSSTIVVPYINCVPMDS -MLRHNNVSLVIIPICPLRTSGNAPQTLPVTISIAPDKSEFSGARQSVEPQGLPVRMPSGSNQFMTTEDEQ -SPNILPSFHSTKQIHIPGQIKTIMDMARVDSFMPINNIPSQIQKVGIYNIQVSAASTGKILTLPLDMSHT -VFATTLLGEVLNYFSNWSGSVKLTFFCVCNSFSTGKFLIAYTPPGAGIPETRKDAMLGTHVVWDLGLQSS -CHLIVPWISSHFYRRTKRDDYTDGGYVTLWLQTAFVSNDTVGAIMVTCSACPDMAVRMLRDTPMMKQEDD -IVQNPVEEFVDHALKEVLVVPDTQASGPIHTTKPQTLGALEIGATSNAGPETMIETRYVMNDNTNGEAAI -ENFLGRSALWSNLTLNSGFVEWKINFQEHAQVRKKFEMFTYVRFDLEITVVTNNTGLMQIMYVPPGITAP -ARIDSREWDSASNPSVFYQPNSGFPRFTIPFTGLGSAYYMFYDGYDGTSSSNTRYGISQTNDMGTLCIRA -LDDTKRNDVKIFAKPKHTSAWIPRPPRATQYLHKFSTNYNKIKVEATKELEQKHFLKPRQDIRNVGPSDM -YVHTKEAIYKCAHLTNPNENTILLAISSDLQVDSADSPGPDHIPTCNCTNGTYYCKSRDRYYPVEFVQHN -WYEIQESDYYPKHIQYNILIGKGPCSPGDCGGKLLCVHGTIGMITAGGDEHVAFIDLRNYASLGEHQGIS -DYVTNLGSAFGEGFTNSIKQTLTSACDVSDKLTGKVIKWTIRLISALAIMVRNSSDPATVIATLALLGCS -GSPWRYLKDKVCKWLGIDPPPSKQGDSWLKKFTEFCNAARGLEWIGDKLSKFIDWLKGKILPTLRRKSDT -LKECKKIPLYREQVKAFGAASEEAQNELIVNINKLEKGLQELAPLYASEAKQVREMSRELQRMMCYKKTH -RTEPVCILLHGQPGCGKSLASKVIARGLSSESNVYSLPPDPKYFDGYNQQEVVIMDDVGQNPDGKDLSLF -CQMVSSTEFITPMANLEDKGRAFTSDYVIASTNMTVLTPPTVSSPEAIDRRFFLDCDVKIMPAFNTNSLL -NVAKALLPCTDCPKPEHYKQCCPLICGKALILQNKRTKASYSINTVVQQLRQEKKNRKCVEHNLSAIFQG -LGDDTTPGFIIDLLSASKDPKVIEFCEREGWIKQSKHSKLEREFNYVQYCLNCVGSIVLILGTVYALYRL -MCIAQGPYTGLPQPKTTKPELRRAVAQGPDHEFGVALLKRNCHVAVTARGEXNLLGIHDNCAVIPTHAQP -DNTILIDGVETKIIKQEIITDENDVDTEITIMWLDRNEKFRDIRRFIPDYQRDWRNMRLLTNVSKFPMLD -VEVGDVVPYGDINLSGNPTTRLLKYNYPTKPGQCGGVILNTGNIVGIHVGGNGRVGYCASLTRSYFASAQ -GEIVNRCSVKDVGLCPINTPERTKLHRSVFYDVFPGEKEPAVLTQKDPRLEVNLKDAVMSKYKGNIKIEM -NENIHIAVEHYAAQLYMLDINPEPITLEQAVYGMKNLEPLDLTTSAGFPYVTMGVKKRDILNRNTKDVKK -LQSMIDTYGLDLPYITYLKDELRSPQKIKVGKTRAIEAASLNDTANFRMLFGNLYSTFHANPGTLTGSAI -GCDPDIFWSQLYASMENNLLVFDYTNYDGSLNPIWFQALEQLLNNLGFPGELAYKLCNTTHIYRDEIYTV -KGGMPSGISGTSIFNTIINNIIIRTLVLDTYKGIDLDKLKIVAYGDDVIASYPEELDPAEISKSGVKYGL -TITPADKSEKFEKVTWENATFLKRGFKPDDRYPFLIHPTFPEQEIFESIRWTKDPKNTQDHVYSLCLLMW -HNGEKTYRHFVDKIRTTEVGRRLYLPPYCLLERAWIDKFI ->AET72462.1 polyprotein, partial [Rhinovirus C] -MGAQVSRQTTGSHESAVNATNGGIIKYFNINYYRDSASSGLTKQDFSQDPSKFTQPLVDTLTNPALMSPS -VEACGFSDRLKQITMGNSTITTQDALHTVLAYGEWPQYLSDLDATSVDKPTHPETSSDRFYTLSSVSWTN -TSKGWWWKLPDALKDMGVFGQNLYYHAMGRAGYIIHTQCNATKFHSGALLVVLIPEHQLAYIGAEKVNIA -YDLTHPGETGHVLGRNTSRGNNNPDEDPFFNCNGTLFGNLTIFPHQIINLRTNNSSTIITPYINCQPMDN -MLKHNNLTLLIVPLVRLRFGTEASPTVSITVTIAPYKSEFSGAMETQKHQGLPTRMPSGGQQFMTTEDEQ -SANLLPGFHPSKKIHIPGMITNVLHMARVDSFMPIHNISTNIGKIDIYSLXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXELQNNDPVDTFVHGVLEEVVVVPDTHASGPQHTTRPSALGAME -IGASSNAIPESVMETRYVVNDNTNEEAEIEMFLGRSALWSKFSLTEKHAKWEINFQEQAHIRKKFEMFTY -LRFDMEVTIVTNNTGLMQIMYFPPGLKASENKDDPVWNSASNPSVFFQSKNGFPRFTIPFTGLASAYYMF -YDGYSNRKRPQDNVYGISPTNDMGTLCFRALDDADGTNXXXXXXXXHITAWIPRPPRATEYTHKYSTNYH -VPDSNETDGLKEKHFIKPREHIKNVGPSDQCVHTKDAIYTCAHLTEPNPNTILLAITADLQVDSTDIQGP -DFIPTCDCVQACYYAKHAQRYYPITVTPHDWYEIQESQYYPKHIQYNILIGEGPCEPGDCGGKLLCRHGV -IGIITAGGEGHVAFTDLRPYACLSAHQGLVSDYVNQLGAAFGDGFSSNIKDHLTGLCTTVSDKITGKVIK -WLVRVISALTIMIRNSSDTATVLATLALLGCHGSPWSFLKEKICQWLGIPRPPTRQGESWLKKFTECCNA -AKGLEWVAQKIGKFIDWLKEKLIPTVQRKKETLDQCKKIGLYEEQTKGFSHSEAEAQQSLILEVAKLKRG -LDDLAPLYASENKRVTIIQKELQRLSAYQKTHRHEPVCCLLRGPPGCGKSLVTSIIAHGLTNEANIYSLP -PDPKHFDGYNQQTVVIMDDVGQNPDGKDLSMFCQMVSTTEFIVPMASIEDKGRAFTSQYVLASTNLDSLS -PPTVTIPEAISRRFYLDADLQVTSKFKAHNGLLDVAKALQPCAKCPKPNHYKQCCPILCGQAXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGWVGKAQS -TIERDFNYIQYVLNCLGSLIVILGTIYALYKLMCLTQGAYSGIPTPIAKRPELRKATLQGPQHEFIRALI -KRNCHIITTTRGEFNLLGIHDNCAVVPTHAECGSVVNIDGREVKVIKQQILTNMDDIDTELTLLWLDQNE -KFRDIRRFIPEHQQEWTHMHLATNVTKFPMLDVEIGDVIPYGELSLSGNPTCRLMKYNYPTRPGQCGGVI -LNTGNIVAIHVGGNGKVGYGATLLRKYFAQTQGDIVKREATRDAGYHTINTPTQTKLQPSVFHDIFPGCK -EPAALSSRDERLEVDLDTAVLSKYKGNPDVKWNEYIQTAVDHYAAQLFVLEINPEPITIEQAVYGITNLE -PLDLNTSAGYPYAAIGMKKKDIINKTTKDTVKMQKMLDQYGVDLPYITYLKDELRSPEKIRSGKTRAIEA -ASLNDTVQFRMIFGNLFSTFHANPGILTGSAVGCNPDVFWSQIYAMLEGELLAFDYTNYDGSLHPIWFEA -LGKVLNQIGFPGSLMKRLCNTTHIYRDQVYHVEGGMPSGICGTSIFNTMINNIIIRTLILETYKGIDLDK -LKMIAYGDDVLVSYPYPLDARELSNTAKRYGLTITPPDKSTEFRPMSWDNVTFLKRSFRPDNKYPFLIHP -VYNMTDVYESIRWTKDPKNTQDHVRSLCLLAWHNGEMEYNKFLDRIRSCPVGRRLQLPP ->AFM84629.1 polyprotein, partial [Rhinovirus C] -MGAQVSRQSVGSHENTISTGNGSIVKYFNINYYKDAASSGLTKQDFSQDPSKFTQPLAEALTNPALMSPS -VEACGFSDRLKQITIGDSTITTQDTLNSVVAYAQWPEYLSDVDASSIDKPTHPETSSDRFYTLKSVEWGS -ESLGWWWKLPDCLKDMGLFGQNMYYHAMGRAGFIVHVQCNATKFHSGCLMVAVVPEHQIAYIGAGNTNVK -YKHTHPGEMGHAIQSSVNRSDNNPDEDPFYMCNGTLFGNIQIFPHQMINLRTNNSATIIIPYVNCLPMDS -MLRHNNLTLLIIPLVKLRNGGMGSTTLPITVTIAPCKSEFSGPMQSQKQGIPTRAPSGSQQFMTTEDEQS -ANILPGYTPTKQIHIPGEITNMLHIAQVESFLPINNIPSNKGKIDIYTIPISKKGDNDLIVVIPLQMDNT -LFATTLLGEVLNYYGNWAGSVRITFMCVCDSFSTGKFLMAYTPPGGQLPKNRKEAMLGTHLIWDLGLQSS -CTMVAPWISSTFFRRTKADNYSSGGIISLWFQTDFVSGNTANVGTIVATCSGCPDISVRMLRDTPMTKQP -ENITQNPVEEYIDETLKEVLVVPNTKSTGPTHTTKPSALGAMEIGATPDVIPEDVIETRYVINNHVNSEA -LIENFLGRSALWAELELSSGFKKWDINFQEQAQIRRKLEFFTYVRFDMEVTVVTNNTGLMQIMYVPPGIQ -PPTSLRDKKWDGASNPSVFYQPKSGFPRFTIPFTGLGSAYYMFYDGYDEAKDGSTAYGISSTNDMGTLCF -RALEDTDHNDVKIFIKPKHTRAWCPRPPRAVDYTHKYSTNYHTKADESGNLKEEHYFRFRRDIKGIENMG -PSDMYVHTQNAKYTNAHLTTPRDDTILLALTADLQVDPVDEPGNDYIPECDCTDGCYYCRSQDRYFPIQT -RKYDWYEIQETCYYPKHIQYNLLIGEGPSQPGDCGGKLLCKHGVIGILTAGGDGRVAFTDLRPYRDLNHH -QGIVSDYLTQLGNAFGEGFTQNIKDSFTSISNSITDKLTSKIVKWLIRIISAFTIMVRNSADGPTVLATL -ALLGCNSSPWSFLKARVCAWLGVPKPPSRQGDSWLKKFTECCNAAKGLEWIGIKISKFIDWLKDKLIPTV -QSKKVTLSECKKIPLYQEQIKGYPMATQEAQNELCIAIDKLKRGLDELAPLYAKENKQVSDMQKHLKQLT -AYQRTHRTEPVCVLIHGGPGSGKSLATTIISRGLAAEGDIYSLPPNPKHFDGYNQQKVVIMDDVGQNPDG -EDLSIFCQMVSTTDFHVPMAAIEEKGRCFTSDYILASTNLSRLTPPTVQIPEALDRRFFLDMDLKIMPNY -VNNGLLNTAKALQRCDGCSTPPHYKQCCPMLCGKAVVLQNRRTQANYSINMVVEQLRQEQLDRKCVKENL -HAIFQGLGSDETPGFIVDLLASSKDPRVIQYCEDEGLLKKATCHIEKEIKYLQYILNCLGSLILILGTVY -ALYKLMCIAQGPYTGFTQPSSKKPELRRAVKQGPEHEFMYALVKRNCHIATTDKGEFNLLGIHDNCAVLP -THADCGETINIDGREVKVLKRQIITDLNDTDTEITIVWLEQNEKFRDIRRFIPEYIQEWDHMKLATNVTK -FPMFFADVGLTIPYGEINLSGNPTCRLMKYDYPTKPGQCGAVIGSTGHIVGIHVGGNGRVGYCAALLRKY -FCETQGAILTTQHVSEVDINPINTPNTSKLRPSAFYDAFPGKKEPAALHPKDPRLEVDLDKAVFSKYKGN -VEIQFNQYMEVAVDHYAAQLSVLEINTEALTLEQAVCGIKNLEPLDLTTSAGFPYVTMGIKKRDLVNKTT -RDVSKLQKMMDKFGIDLPYVTYLKDELRAPEKIKAGKTRIIEASSVNDTSHFRMVFGNLFSIFHANPGIL -TGSAVGCDPDIFWSQIYSTLDGHLLAFDYTNYDGSLHPVWFEALGRVLDKLGFPGDMMQKLSKTTHIYKD -KIYTTEGGMPSGICGTSIFNTMINNIIIRTIVLETYKNIDLDKLRIIAYGDDIIASYPDKLDPKELATTG -TRYGLTITPANKTENFEDLTWDTITFLKRGFKPDTEFPFLIHPVYAMSDVHESIRWTKDPRNTQDHVRSL -CSLAWHNGKKVYEDFLDQIRTVHLGRILHLPPYS ->AER92564.1 polyprotein [Rhinovirus C] -MGAQVSRQSVGSHETMIHAGTGAVVKYFNVNYYKDAASSGLTKQDFSQDPSKFTQPVADILTNPALMSPS -IEACGFSDRLKQITIGNSTITTQDAVNTIVAYGEWPSYLSDIDATSVDKPTHPETSSDRFYTLKSVTWEV -GSSGWWWKLPDCLRDMGVFGQNMYHHAMGRSGYIIHTQCNATKFHSGCLLVAVVPEHQLAYIGGDNTRVK -YKHTHPGELGHKIGSNSERGDNQPDENPFFNCNGTLLGNLTIFPHQLINLRTNNSSTIVVPYINCTPMDS -MLRHNNVSLVIVPICPLRAPATAPPTLPITISIAPIKSEFSGARQSAKAQGLPVRMPSGANQFMTTEDEQ -SPNILPSFHSTKQIHIPGEIKTIMDMARVDSFMPINNVNQSHTLGVYYITISNVNSERKILAIPLDMSNT -LFSTTLMGEVLNYYSNWSGSIKLTFFCVCDSFSTGKFLIAYTPPGADIPESRRDAMLGTHVVWDLGLQSS -CHLVVPWISSHFFRRTRKDNYTESGFVTLWHQTAFVSNGTSGSIMVTCSACSDMSVRMLRDTPMMKQEDD -ITQNPVEEFVEHTLKEVLVVPDTQASGPVHTTKPQALGAVEIGATADVGPETLIETRYVMNDNTNAEAAV -ENFLGRSALWANLRLDQGFRKWEINFQEYAQVRKKFEMFTYVRFDLEITIVTNNKGLMQIMFVPPGITPP -GGKDGREWDTASNPSVFFQPNSGFPRFTIPFTGLGSAYYMFYDGYDGTDDANINYGISLTNDMGTLCFRA -LDGTGASDIKVFGKPKHITAWIPRPPRATQYLHKFSTNYNKPKTSGSTELEPKHFFKYRQDITSITNLGP -SDMYVHTKEAIYKCAHLTEPNENTILLAISSDLQVDSTSIPGPDNIPTCDCTTGTYYCKSRDRYYPVNLT -HHSWYIIQESSYYPKHIQYDILIGEGPCSPGDCGGKLLCTHGTIGMVTAGGESHVAFIDLRNYSSLSEHQ -GITDYVTQLGNAFGDGFTSSIRETLVSACDVSDKLTGKIIKWTIRLISALSIIVRNSTDTPTVIATLALL -GCSGSPWRYLKDKVCKWLGIDPPPSRQSDSWLKKFTEFCNAARGLEWIGDKLSKFIDWLKGKILPTLRRK -SDTLKECKKIPLYKEQVKAFATASEEAQDELMVKVNKLEKGLQDLAPLYALEAKQVREMSRELQRMMCYK -KTHRTEPVCILLHGQPGCGKSLASKVIARGLTSESNVYSLPPDPKYFDGYNQQEVVIMDDVGQNPDGKDL -SLFCQMVSSTEFITPMANLEDKGRAFTSDYVIASTNMSVLTPPTVSSPEAIDRRFFLDCDVKIMPAYNTN -NLLNVAKALLPCTDCPKPEFYKQCCPLTCGKALILQNKRTKASYSINTVVQQLRQEKKNRKCVEHNLTAI -FQGLGDDSTPGFIIDLLSASKDPKVIEFCEKEGWIKQSRCSKIERDFNYAQYCINCVGSIILILGTVYAL -YKLMCIAQGPYTGLPQPKVRKPELRKAVIQGPEHEFGMALIKRNCHIATTDRGDFNLLGVYDNCAVIPTH -AQPEDTILIDGVETRILKQTIITDENDVDTEVTILWLDRNEKFRDIRRFIPDYQRDWQNMRLVTNVPKFP -MLDIEIGDVIPYGDINLSGNPTTRLLKYDYPTKPGQCGGVILNTGNIIGIHVGGNGRVGYCASLTKSYFA -SAQGEIVNKCKVQDVGLKPINTPEHSKLHPSVFYDIFPGEKEPAVLTQRDPRLETDLKTAVMSKYKGNVE -IEMSENIQIAVEHYSAQLFMLDINPEPISLEQAVYGMENLEPLDLTTSAGFPYVTMGVKKRDILNRNTRD -VTKLQHMIDTYGLDLPYVTYLKDELRAPAKVKAGKTRAIEAASMNDTANFRRVFGNLYAGFHANPGILTG -SAIGCDPDIFWSQLYASMEKHLLVFDYTNYDGSLHPVWFQALEQLLNNLGFPGELAYKLCNTTHIYKDEM -YTVKGGMPSGISGTSIFNTIINNIIIRTLVLDTYKGIELDKLKIVAYGDDVIASYPEELDPAQIAVSGLK -YGLTITPADKSDQFVKVNWDNATFLKRGFRVDERYSFLVHPVFPEKEIFESIRWTRDPKNTQDHVYSLCL -LMWHNGEEPYKEFISKIRKTEVGRRLYLPPYNLLERTWIDKFI ->AJO54282.1 polyprotein [Rhinovirus C] -MGAQVSRQNNGTHENGITATQGSVIKYFNINYYKDSASSGLSRQDFSQDPSKFTQPLVDTLTNPALMSPS -VEACGFSDRLKQITIGNSTITTQDSLNTVLAYGEWPTYLSDIDATSVDKPTHPETSADRFYTLTSVNRGA -TSNGWWWKLPDALKDMGVFGQNMYYHSMGRSGFIVHVQCNATKFHSGALIVAVVPEHQLAYIGGTKVNIG -YNHTHPGEDGHTILGPDPTHNRGQNNPDEDPLFNCNGTLLGNITIYPHQLINLRTNNSSTIVIPYLNCVP -MDNMLRHNNVSLVIIPLVKLRPGTSGINTIPITVSIAPYKSEFSGAMEKQQLHQGLPTRLPSGSQQFMTT -EDEQSANILPGFHPSKKIHIPGQITNIMHMARVDSFIPLNNTAAEIGKVGMYCIPIPKKTNNDLILALPL -KMDNTLFSTTLLGEVLNYFANWSGSITITFMCVCDAFSTGKFLIAYTPPGGRLPQSRKEAMLGVHIIWDL -GLQSSCTLVVPWISSGFYRRTTSDNFTAGGYISLWYQTDFVPSTASTIGTIVATCSACPDMSVRMLRDSP -MMKQEQALQNNDPVSNFIDATLEEVLVVPDTKPSGPQHTTRPSNLGAMEIGASSDATPESMLETRYVYNT -NTNVEAEIEMFLGRSAVWGNIQLNNTGYYKWKINFQEQAHIRKKFELFTYLRFDMEITIVTNNTGLMQIM -FVPPGIEGPKDHEDMRWDSASNPSVFYQPNSGFPRFTIPFTGLASAYYMFYDGYSTTSTSTENEYGIAPT -NDMGTLCFRALDDKERRNIRIFVKPKHITAWMPRPPRAVEYTHKYSTNYHYKADDNTSTLTDRHFLTPRD -TITTAGPSDLCVHTKEAKYMCAHLTQPGDDTILLAISADLQVDSVREPGEDFIPTCDCTFGCYYSRSKDR -YFPIQVVAHDWYEIQESDYYPKHIQYNLLIGEGHCQPGDCGGKLLCKHGVIGMITAGGDNHVAFIDLRPY -ACLSEHQGVVSEYFTQLGSAFGEGFTTNVQNHFSQLSQSITDNLTQKVVKWLIRIISALTIMIRNNSDLP -TVLATLALLGCSGSPWSFLKDKICKWLEIQRPASKQSDSWLRKFTECCNAAKGLEWISLKIGKFIDWLKE -KLIPTVQRKKDILDRCKKISLLEEQVNGFSSADSCAQQQLIVEVDTLKKGLDNLAPLYAYENKRVTKIQK -DLRQLTAYLKTHRHEPVCMLLHGSPGSGKSLVTSVISRGLTTEAGVYSLPPDPKHFDGYDQQKVVIMDDL -GQNPDGKDLSTFCQMVSTTDFVVPMAALEDKGKSFTSQYVLASTNLDTLTPPTVTIPEAIKRRFFIDADL -VVTSKYRNSQGLLDTAKSLQPCTDCPKPPHYKSCCPLLCGKAVVVQDRKTKANFSVNTVVEQLRHENEVR -RKVKHNLEAIFQGLGDSTTPGFIVDLLSSSKDPRVIEYCNEQGWIGKAGSTIERDFNYVHYILNCLGSLV -LILGTIYALYKLMCMTQGPYTGLAAPPVKKPELRKATLQGPEHEFIRALVKRNCHVITTSRGEFNMLGIH -DNCAVVPTHAECGDVVDIDGREVRVLKQQVLTDLNDVDTEITLLWLDQNEKFRDIRRFIPEHIQEWPNMH -LATNVTKFPMLDVEVGRVIPYGEINLSGNPTCRLLKYNYPTKPGQCGGVIVNTGNVIAIHVGGNGRVGYG -AALLRKYFVQAQGEITSKSSVKDHNINPINTPEKSKLQPSIFYDIFPGKKEPAALSNRDPRLEVDLKESV -LAKYKGNPEVSWNENIQIAVDHYSAQLYMLDINPEPISMEQAVYGIENLEPLDLTTSAGYPYVTLGLKKK -DLVNKTTRDVTKLQDMIDKYGIDLPYVTYLKDELRTPEKIKKGKTRAIEAASINDTVQFRMIFGNLFSVF -HANPGVLTGSAVGCNPDVFWSQMYACMDGNLLAFDYTNYDGSLHPIWFKALGKVLDNLGFPGHLTSKLCN -TTHIYRDQVYTVEGGMPSGICGTSIFNTMINNIIIRTLILDTYKNIDLDKLKIIAYGDDVVVSYPFNLES -KEIAATGKNYGLTITPPDKGEEFTTVDWSNVTFLKRHFKPDSKYKFLIHPVYAMDDVYESIRWTKDPKNT -QDHVHSLCLLAWHNGEEVYEDFRSKIRSTPIGRSLYTPPYSVLYRQWIDLFI ->AMH87228.1 polyprotein [Rhinovirus C] -MGAQVTKQKVGSHDNTIAAQSGSVVKYFNINYYKDAASSGLSKQDFSQDPSKFTQPLADVLTNPALMSPS -IEACGYSDRLKQITIGDSTITTQDTLNSVVAYGEWPEYLSDIDASSIDKPTHPETSADRFYTLDSVDWSE -NSTGWWWKLPDCLRDMGLFGQNMYYHAMGRTGYIVHVQCNATKFHSGCLMVAAIPEHQLAYIGGGNANVK -YKHTHPGDRGHTMRPSDVRGDNNPDEDPFYLCNGTLFGNIQVYPHQMINLRTNNSATLIIPYINCLPMDS -MLRHNNISLVIIPLVRLKTGTTGSTTLPITITIAPDKSEFSGPMQNQKQGIPTRIPSGSQQFMTTEDEQS -PNILPEYSPTKIVHIPGEVTNLIHLAQVESFIPLNNIKQHEGKIDIYSVTITRKPTRDLIVTIPLQMDNA -LFATTLLGEILNYYANWSGSVRITFMCVCDGFSTGKFLMAYTPPGGTVPASRKQAMLGPHVVWDLGLQSS -CSMVAPWISSTFFRRTNSDNYSSGGYITLWYQTDFVSDSPNNNGAIVATCSGCPDISVRMLRDTPTLAFP -RSTLQNPVEDFIDTTLKEVLVVPDTHPSGPVHTTRPTVLGAMEIGATPNVLPEDVIETRYVINNHVNNEA -LLENFLGRSSLWAEFQLQSKFKKWEINFQEQPQFRRKIEFFTYVRFDMEITVVTNNTGLMQIMYVPPGAK -APTTHSDNKWDGASNPSVFYQPKSGFPRFTVPFTGLGSAYYVFYDGYDQVGDDVTKYGISSTNDMGTLCF -RALEDEQNNDVKIFVKPKHTRAWCPRPPRATSYTHKFSPNYHISVGQDQPLEVDHSFTFRTDIKELQTAG -PSDQHVHTKDAIYRNAHLTTANDNTILIALTSDLQVDYTDTPGSDYIPECDCLEGCYYCKSQDRYFPIKC -TAYDWYEIQETSYYPKHIQYNILIGEGPALPGDCGGKLLCRHGVIGILTAGGEGHAAFTDLRPYRDLNTQ -QGPITDYLTQLGNAFGEGFTQNIKDGFNDIGKNITDKLTSKVFKWLIRIISALTIMVRNSADTPTVLATL -ALLGCSKSPWTFLKDKICSWLNIPKPPGHQGDGWLKKFTECCNAAKGLEWIGVKISKFIDWLKEKLIPAV -QSKKQTLTECKKIPLYQEQVKGYPYASEDAQRELCVNIDKLKKGLDSLAPLYAKENKLVSDMQKQLKQMF -SYQRSHRVEPVCVLIHGSPGSGKSLTTTILSRGLAGEADIYSLPPNPKHFDGYTQQKVVIMDDVGQNPDG -GDLSIFCQMVSTTDFHVPMAALEDKGMCFTSEYVLASTNLSNLTPPTVQIPEALHRRFFMDMDIKIMPNF -CTPTGMLNTAKALSKCDGCPSPPHYKTCCPMLCGKAIVLQDRKTKVNYSINMVVAQIREELSGRKSVQLN -LNNIFQGLGDNETPGFIIDLLSSSKDPKVIRYCEENGLIGKAKCTIERNIDHLQYTLNCLGSLVIILGTV -YALYKLMCLTQGAYSGYTQPVAKKPELRRATVQGPEHEFLYALIKRNCHIATTDRGEFNMLGIYDNCAVL -PTHAECGETLNVDGRDIKVIKRQILTDMNDVDTEITLIWLDQNEKFRDIRRFIPESIQEWDHMKLATNVT -KFPMLLVDVGQAQPYGEINLSGNPTCRLLKYDYPTRPGQCGGVIGSTGHIIGIHVGGNGRVGYCAALLRK -YFVTSQGEITSKKNVSEANLPPINTPSSSKLYPSVFYDTFPGKKEPAALHPKDKRLEADLNTAVFSKYKG -NLQVESNQYIDIAVDHYAAQLSVLNISPEPLTLEQAVCGIKNLEPLDLTTSAGFPYVTMGVKKRDLVNKT -TRDVTKLQKMIDKFGLDLPYITYLKDELRAPEKIKAGKTRIIEASSINDTAHFRMVFGNLFSTFHANPGV -LTGSAVGCDPDVFWSQIHSMLGGELLAFDYTNYDGSLHPVWFKALGKVLDQLGFPGSMMDKLSKTTHIYK -DTIYTTEGGMPSGICGTSIFNTMINNIILRTIVIETYKNIDLDKLKIIAYGDDVIASYPEKLNPKELALT -GARYGLTITPADKSEEFTDINWDNLSFLKRKFRPDPQYPFLIHPVYSMDDVYESIRWTRDPKNTQDHVRS -LCQLAWHNGREVYETFLQKIRSVEVGRTLNLPPYSYLHHRWIDNFI diff --git a/seq/clusters_seq/cluster_186 b/seq/clusters_seq/cluster_186 deleted file mode 100644 index d121bdc..0000000 --- a/seq/clusters_seq/cluster_186 +++ /dev/null @@ -1,1631 +0,0 @@ ->YP_009026415.1 polyprotein [Pronghorn antelope pestivirus] -MEVVEFLNLHKIGRQGPPGALEPVFDKNGTPLFGDYVEIHHQSTLKLPHWRGEANVQVSDKYLPKKGDCR -TGNKFGPVSGIYLELGPKFYQDYTGYVYHRAPLEFCSRSQMCEVTRLIGRITGSDGVLYHLFTCVDGCVL -LKQATRDNPKVFKWVKNPLRCPLWVTSCSDEGGAKQKKTDNDRMKKGALKYKPQEQEKDVRKKPPDATIV -VDGVKYQVTKKGKVRSEKTKDGLYHNKNKPAESRKKLEKALLAWAFLAMLHICTAVNITQWNLADEGTEG -VHRVMFERGINRSLHGIWPQQICHGIPSYNPTNRELSMIVGMVDASIRTNYTCCNLQRHEWNKHGWCNWY -NIIPWIKVMNYSQRNLTEGTYGKECAVTCRHDSILDINIVTQARNQPTMLTGCKIGKNFSFSGEIREKPC -NYDIQPEEILHLPHECGEWYSEISHQAVDMITNGLEASRNSAAKVLSWIGRKLERIGKRAQAKSKTWFGA -QASEVYCKVEKRVGSLWYTRNCTPACLPGHTEILGAGVFDTNPQGRSLIPRLPGHITEAVILSLVALSEV -MPETSSALYIALHYFLHPMNETIGYCDKNQLNLTITTTVDKVIPNSVYVLGQWVCVKPGWWPYDSEVTLV -VNEVINVLDIGGRAARVLLQVWDAATAIAVLIFIMKVARGQLIQGLIWLLLLTGTEALECDTNFQYALAK -GTKIGPLGAEELTTTYRRLQPGEQLTDGLVTITCTNHDIIIHDQCSIERRWIAKIHPQALPTSVQFYLAA -EPKEAPKIIEMSDEFEFAICPCDALPLVKGNFNCTLTNAQAFQMVCPYGWVGTIECVKYSPTTLSTTVVQ -VYKRGRPFPRRRHCTTEEVFQGNYYTCEKGGNWTCQPGHISHGHNSDEVEECEWCGFRSLKPSAKLGRCI -RRGEKAHRLYDTRPCKEKAFTFSPAGEVECLLGGFKVRVDRSDTTNELLPMPCNPIKVGSQGPVSRAACT -YNYSQVLRNSYYEERDKFWQQYMIKDGYQYWFDLEADDHHKNWFNEFLVVVVVALLGGRYILWLIIIYMT -LTYYPDDAALVNTGDTVAIGNILFSNNFEVVSYFLLIYVLLKNEPSKRWVILLYHTLVQHPLKTLTALTL -TVVDMVKGDTNETSANQYDFMPMAALVVAGLVSLKVRDFSLIPALVLTLATNTLHLCNNMQADVTICILL -TLVVLWTYITPCFKYSRVFRLVVSLLYLVFLVRALSHIGSIHTPAIELPRVRPIVIILSYFTMTVLAVNV -NIDVASTILSITPTIMTLCTLWADMLTVLVVLPSYEVTKLYYLEKVKENETKPWVRFGLKKVKIKTLGEI -ERELVDGKEKVFELPSLSTGNSQKSSLVSIVRCCLIACVSSHWRSFYLLYLILELSYWAHSRIIKEVAGS -TNWLSRTIATMIEMNWVMDSDDVKGLKKFFILSGRFRDLMLKHKVANDRIRDWYSEGEVFGMPKILYITK -IASLSNSNQHMVCTVCETRAWVNRRSTCPKCGGLGPPVKCGMSLADFEEKKYKRIFIREFDEPGTFREEQ -DGYLAYTAKGSLFLRNLPILATKMKMVMVGNLGSELSDLEHLGWILRGPAVCKKIVCHEKTKPTVMDKLS -CFFGLMPRGSTPRAPVRFPTAIIKVRRGLEVGWAYTHQGGISSVEHVTSGKDLFVSDSMGRTRVLCQSSN -KNTDETEYGIKTDSSCAEGSRCYVFNPEATNIAGTRGALVHLRKQGCSYTCVTALGTPAFYDLKNLRGWS -GLPIFEASTGKVVGRVKVGVNEESKPTAILSGTRAVSSKAADLEVVVKKLENMARGEFKQITLATGAGKT -TELPRGLIERIGRHKRVLVLIPLRAAAESVYQYMRQKHPSIAFNLRIGDLKEGDMATGITYASYGYFCQM -TQPKLRSALVEYSYIFLDEYHCATPEQLAVMSKMHRFSDQLRVVAMTATPAGTVTYTGQKFPIEEVIIPD -TMKGEDLGENFLDIAGLKVPRDEMKNNVLVFVPTRNMALETAKNLKAKGYNSGYYYSGEDPEGLRSITSQ -SPYIIIATNAIESGVTLPDLDTVIDTGLKCEKRVRIAKKPPYIVTGLKRMAITIGEQAQRRGRVGRVKPG -KYYRSQETAAGSKDYHYDLLQAQKYGVEDGINITKSFREMNYDWSLYEEDTMVIAQLEVLNNLLISDELG -LATRNIMARTTNPEPIQIAYNSYETQVPVLFPRIVRGEVTNTYEDHNYINCRKLAEDVPCYIYATEDEDL -AVDLLGLEWPDASNQTVKGVEQALEQIVGLSTGETALLVALFGYVGYQALSKRHIPVVTDIYTIEDQKIE -DTSPLQFAPDSLASPTIEMRELAVGDVERVKEEIMTYAKKGIDFIQMQAEKMTKSTTYNTSKETVLEYMK -KFLEAIKENEDQIIRYSLWGCHTALYKSIKERLGHETAFATLVVKWLAFGEPSIDGHIKQAATDLVVYYI -INRPKFEGDTQTMDEGRRFVAALLVSTLASYTYKSYNYEHLGKLVEPVLNYLPYSASVLRMFSPGRLESV -VILSSTIYKSYLAIKKGRSDGLAGAGISAAMEIMTQNPVTIGIAVLLGVGAIAAHNAIESSEQKRTLLMK -VFVKNFLDQAATDELVKESPEKIIMALFEAIQTVGNPLRLIFHLYACYYKKWDAKEIAERTAGRNLFMLI -IYEGLELLGVDSEGKMRQLSGNYIMDLIHRVISNVSNSTNRCLKNLLWRIAPAPISCDWSNFDERIGLPT -LQYDRTETKCTCGYTKSMMKTSDGRWNVLEEKGPVLCRNRGEVGLLNYKVTSYYNQREKVNPVIKLKGEV -ELYYSGGTYKLICSHNQRTIVATSKWQVQHSEVSRLLTRFSGFGVGGSSLGDQPDYDALVHRKCATITKT -SVVFVKLEKGCAFTTDLTIQNLTKLIELVHKNKLEDQALPEVITGTIWLAFNVVNANIGYIKPTFGEKII -PEPEEATFMEEVIQIKQSKANITCVGEAEVMTTGVTKFTNVQETENQHNQVEIGIEKGQFPGPYRQTSRL -EEVIEQKDGRPYLLVIGKRTSMSMRARTAKNIKFFTGRSEILLRDLMEQGKVITVALCELEDDLIPYIDY -KGSYLNREALEALARGQPKKKKITKAIARRLLQPEEEDTSLPEWLHVDQPFQLTITRKQESYHIIGDLQS -VKEKAKQLGASENTKIVKEKNATIYTMKLSNWLSYESKYRNCDLTALFEELLLRCTPISERKDLHMASPV -QMANGNWWPLRAEVHFGRIPCIRRKTHPYEAYVELKELVERKESSKLLGEHSLRQHNHWILKKISEPGTL -NTKMMLNPGKIGGGVRKEKRKNNVYNSRIGAIMHSIGIKMEKLPVVRAQTDTRSFHSAIKEKIDKKENEQ -EPNMHPELYKIFEVFSKGELASTYDEVTWEELENGINRKGAAGVLEDLNIGEILLKDKAGVTKIIRDLRA -GKKIKYYETAIPKNEKRDVTDDWVTGDYVEEKKPRVIQYPEAHVRLAITKVMYSWVKQKPVVIPGYEGKT -PLFNVFNKVHKEWKGFQDPVAVSFDTKAWDTQVTTKDLLLIARIQKFYFKQKWHKFIDTITEHMCEVPVV -TEDGEVYIRQGQRGSGQPDTSAGNSMLNVLTMVLAFCRATGIPYKSFPRVAKIHVCGDDGFLITERPLAE -RFSRHGVQLLHELGKPQKITTENNTMKLAYNFEDIEFCSHTPIQVRWSDNSSSYMAGRETATILAKMATR -LDSSGERGSEAYERAVAFSFLLMYSWNPLVRRICLLVLSICDQPKMAQTTMFYSEGDPVAAYEEVVGHKI -TQLNRTEFRKLAKLNLSMSILGIWTKHTSKRLLEDCIKRGMAEGNHLVNADRLVSQKTGNSYTQGTGHVK -QGKHYEELIIPSGRRITTTIVERYSLGPIKTFILKRLRLLTIFLSNN - ->YP_009513240.1 polyprotein [Bovine viral diarrhea virus 2] -MELFSNELLYKTYKQKPAGVVEPVYDVNGRPLFGESSDLHPQSTLKLPHQRGSANILTNARSLPRKGDCR -RGNVNGAVSGIYIKPGPIYYQDYMGPVYHRAPLELCREASMCETTRRIGRVTGSDGKLYHIYICIDGCVL -LKRATRTQPEVLKWVYNRLNCPLWVTSCSDEGSKGATSKKQPKPDRIEKGKMKIAPKESEKDCKTRPPDA -TIVVEGVKYQVKKKGKVRGKNTQDGLYHNKNKPPESRKKLEKALLAWAILAAVLLQLVTGENITQWNLMD -NGTEGIQQAMFLRGVNRSLHGIWPEKICTGVPTHLATDYELREIVGMMDASEKTNYTCCRLQRHEWNKHG -WCNWFHIEPWIWLMNKTQNNLTEGQPPKECAVTCRYDKEAELNIVTQARDRPTTLTGCKKGKNFSFAGVI -LDGPCNFKVSVEDVLFKEHDCGNMLQETAIQLLDGATNTIEGARVGTAKLTTWLGKQLGILGKKLENKTK -AWFGAHAASPYCGVERKIGYVWYTKNCTPACLPRNTKIIGPGKFDTNAEDGKILHEMGGHLSEFALLSLV -VLSDFAPETASVIYLVLHFAIPQSHVDVDTCDKNQLNLTVATTVAEVIPGTVWNLGKYVCIRPDWWPYET -TTVFVLEEAGQVIKLGLRAIRDLTRIWNAATTTAFLIFLVKALRGQLIQGLLWLMLITGAQGFPECKEGF -QYAISKDRKMGLLGPESLTTTWHRPTKKLVDSMVQVWCEGKDLKILKTCPKEERYLVAVHERALSTSAEF -MPISDGTIGPDVIDMPDDFEFGLCPCDAKPVIKGKFNASLLNGPAFQMVCPQGWTGTIECTLANQDTLDT -TVVRTYRRTTPFQRRKWCSYEKIIGEDIHECILGGNWTCITGDHSKLKDGPIKKCKWCGYDFVNSEGLPH -YPIGKCMLINESGYRYVDDTSCDRGGVAIVPTGTVKCRIGDVTVQVVASNNDLGPMPCSPAEVIASEGPV -EKTACTFNYSRTLPNKYYEPRDRYFQQYMLKGEWQYWFDLDHVDHHKDYFSEFIIIAVVALLGGKYVLWL -LITYTILSEQMAMGAGVNTEEIVMIGNLLTHSDIEVVVYFLLLYLIVKEELVRKWVILVYHILVANPMKT -IGVILLMLGGAVKASRIDADDQSATDPCFLLVTGIVAVLMTAMVYFLLLYLIVKEELVRKWVILVYHILV -ANPMKTIGVILLMPGGVVIASRIDAGDQSATDPCFLLVTGIVAVLMIARREPATLPLIIALLAIRTSGFL -LPASIDITVAVVLIALLLASYVTDYFRYKKWLQFSFSLIAGIFIIRSLKHINQMEVPETSMPNWRPLVLV -IFYITSTAITTNWNIDLAGFLLQWAPAVIMMATMWADFWTLIIVLPSYELSKLYFLKNVRTDVEKNWLGK -VKYKQISSVYDICDSEEAVYLFPSRHKSGSRPDFILPLLKAVLISCISSQWQVVYISYLILEITYYMHRK -IIDEVSGGPNFLSRLIAAIIELNWAIDDEECKGLKKLYLLSGRVKNLIVKHKVRNEAVHRWFGEEEIYGA -PKVIAIIKASTLTKNRHCIICTICEGKDWNGANCPKCGRQGKPITCGMTLADFEEKHYKKIFIREGCHDG -PSREEYKGYVQYIARGQLFLRNLPILATKMKLLMVGNLGAEIGDLEHLGWVLRGPAVCKKITNHEKCHVN -IMDKLTAFFGIMPRGTTPRAPVRFPTALLKVRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSMGRTRV -VCHSNNKMTDETEYGIKTDSGCPEGARCYVLNPEAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLK -NLKGWSGLPIFEASSGRVVGRVKVGKNEDSKPTKLMSGIQTVSKNQTDLADIVKKLTSMNRGEFKQITLA -TGAGKTTELPRSVIEEIGRHKRVLVLIPLRAAAESVYQYMRVKDPSISFNLRIGDMKEGDMATGITYASY -GYFCQLPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSENLRVVAMTATPAGTGTTTGQKHPIE -ESIAPEVMKGEDLGSEYLDIAGLKIPPEEMKGNMLVFVPTRNMAVETAKKLKAKGYNSGYYYSGGNPENL -RVVTSQSPYVVVTTNAIESGVTLPDLDTVVDTGLKCEKRVRISSKMPFIVTGLKRMAVTIGEQAQRRGRV -GRVKPGRYYRSQETASGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYEEDSLMITQLEVLNNLL -ISEDLPAAVKNIMARTDHPEPIQLAYNSHENQIPVLLPKIKNGEVTDSYENYTYLNARKLGEDVPVYVYA -TEGEDLAVDLLGMDWSDSGNQQVVETGRALKQVTGLSTAENALLIALFGYVGYQTLSKRHIPMVTDIYTL -EDHRLEDTTHLQFAPNAIRTDGKDSELKELAVGDLDKYLDALVDYSKQGMKFIKVQAEKVKDSQSTKEGL -QTIKEYVDKFIQSLTENKEEIIRYGLWGAHTALYKSLAARLGHETAFATLVVKWLAFGGETVSAHIKQAA -VDLVVYYIMNKPSFPGDTETQQEGRRFVASLFISALATYTYETWNYNNLARVVEPTLAYLPYATSALKLF -TPTRLESVVILSSTIYKTYLSIRKGKSDGLLGTGISAAMEILNQNPISVGISVMLGVGAIAAHNAIESSE -QKRTLLMKVFVKNFLDQAATDELVKENPEKIIMALFEAVQTIGNPLRLIYHLYGVYYKGWEAKELAEKTA -GRNLFTLIMFEAFELLGMDSEGKIRNLSGNYILDLIFNLHNKLNKGLKKLVLGWAPAPFSCDWTPSDERI -SLPHNNYLRVETRCPCGYEMKAIKNVAGKLTKVEEKGPFLCRNRLGRGPPNFRVTKFYDDNLAEVKPVAK -LEGQVDLYYKGVTAKLDYNNGKVLLATNKWEVDHAFLTRLVKKHTGIGFKGAYLGDRPDHQDLVDRDCAT -ITKNSVQFLKMKKGCAFTYDLTISNLVRLIELVHKNNLQEREIPTVTVTTWIAYSFVNEDLGSIKPVLGE -KVIPEPPTELSLQPTVGLVTTETAITITGEAEVMTTGITPVVEMKEEPQLDHQSTTLKVGLKEGEYPGPG -VNPNHLVEVIDEKDDRPFVLIIGNKSSTSNRARTAKNISLYKGNNPREIRDLMSQGRIFDVALKELDPEL -KELVDYKGTFLNREALEALSLGKPIKRKTTTAMIRRLIEPEVEEELPDWFQAEEPLFLEAKIQADLYHLI -GSVDSIKSKAKELGATDNTKIVKEVGARTYTMKLSSWSTQVTKKQMSLAPLFEELLIKCPPCSKISKGHM -VSAYQLAQGNWEPLGCGVYMGTIPARRLKIHPYEAYLKLKELVEVELSRVTAKESIIREHNTWILRKVRH -EGNLRTKSMINPGKISDQLCRDGHKRNIYNKIIGSTMGSAGIRLEKLPVVRAQTDTTSFHQAIREKIDKP -ENKQTPELHEELMKVFDCLKIPELKESFDEVSWEQLEAGINRKGAAGYLESKNIGEVLDTEKHIVEQLIK -DLRKGKKIRYYETAIPKNEKRDVSDDWEAGEFVDEKKPRVIQYPDAKVRLAIAKVMYKWVKQKPVVIPGY -EGKTPLFDIFNKVKKEWDSFQDPVAVSFDTKAWDTQVTSKDLMLIKDIQKYYFKRSTHKFLDTITEHMVE -VPVITADGEVYIRNGQRGSGQPDTSAGNSMLNVLTMIYAFCKSTGIPYRGFSRVARIHVCGDDGFLITER -GLGLKFSEKGMQILHEAGKPQKITEGEKMKVAYRFEDIEFCSHTPVPVRWADNTSSYMAGRSTATILAKM -PTRLDSSGERGSTAYEKPVAFSFLLMYSWNPVVRRICLLVLSQFPEISPSKNTIYYYQGDPIAAYREVIG -KQLCELKRTGFEKLASLNLNMTTLGIWTKHTSKRLIQDCVEIGKREGNWLVNADRLIAGKTGKFYIPSTG -VTLLGKHYEEINLKQKAAQSPIEGVDRYKLGPIVNVILRRLRVMLMTVASGSW - ->YP_009508311.1 polyprotein [Porcine pestivirus 1] -MEKQIAYYLKKEKQRNGWTELVVGESYTKITTLSGKTYRGTWEMEKRSNPYGTYLPRPSPQQLTAIHPHP -VVNCKVMEYKEKDPNYGDCPNTNGVFIDEKGRRLSSPPLGIWKIRLDYGDLVNIDRPIPASGKNSYRVET -CSGELATVTLTHDRVLVEDYRGLYQWKPNCEGMVLYVKTCSDWADQVEKQEKESPPKPQRPPRRDPRKGL -QPQVPKETEVTEKKRQPSVTLVSGGQKAQVIYKGKTKNKKTPDGVYKYPGAREGDVVKVRKMLKNWHIAL -VMYLIYIITPSFAKVQWFLKDENSSGINQVLWRRQINRSLHGEWPNQICHGMPNETITDEELRSLGMIDT -SPRTNYTCCQLQYHEWKKHGWCNYPQKQAWIRRIATLQANLTGAYKGPECAVICRFNGTYNIVRQARDEV -SPLTGCKEGHPFLFSEERSDTSCLRPPSTSWVRPVKMDEASMADSFAHGVDKAIILIRKGASGIINFLDT -IGRWLPVTEAAIVPYCETYTVTGMYVHVKNCLPRGLPKHSKIISPTMIYLGEGDPAHNIQHLFGSGIAKW -VLVLLGILGEWYGELASTIYLLLEYGSEWLEHESLVTEGLFPGINITIDLPASHTVPGWVWVAGQWVCVK -PDWWPTQVWIETVVAEAWHILKILASALVNIVTAFVNLELVYLVIILVKISKGNLIGAILWCLLLSGAEG -SCLKRQDYYNVQLVVEEKTGVEKRSIMGKWTVITKEGQEPRLMEQIKMVSNKSVTEIYCYNGLNTSSWGR -QPTKQRGCSQIVPYWPGDNVLEEQYYSTGYWVNATGSCQLREGVWLSRKGNVRCQRNGTSLILQLAIKED -NDTMEIPCDPVETESMGPVAQGTCVYSWAVAPRGWYYNRKDGYWLQYIKKDDYQYWTKMPTASSAATMYR -HLLPLLVACLMGGRISVWIVAMLLSLQVEASEVGTKQLAVTLTLWKMDWTELLFYIIIMLAVKEDLIKKI -VTASLVTLKNSPVALSFLIVLRLVGGSEALPVGLLLEKMCIDQPEFGTPFLIYLWDNWKWTVLVSFSALN -HEKTIRLARKLLLATHITALTLTGLSDSIFYIMLIMTNLLIKTFIYLLGVSINWVEKEKKRLLVKRKLIY -KKTAICNQDENELENKFNKISVNADFTPCKLELLQLLRAFLVSLCFSYYKPLLYAETTLTVIVIGVQEYN -VAMARGRSVVHKLLAMAYYVYGHIQGNMFQLASIQCLLSSPRKIMKHMIENPILRKFWQGETELYNQGVN -QSKIVNPKKIGLEELHKGMCGLPTVVQNLVIYAKKNDSLILGELGYPPGDLTSDGWEILGPGRIPKITNV -ESAKMDLLSKLMTFLGIESSRVPRTPIHSTRKLLKIVRGLETGWGYTHAGGISSAKHVTGEKNLMTHMEG -RKGKYILQSQEHGADEVEYGVKTDQRAPDNALCYCFNPEATNIKGETGAMVFMKKIGKKWTLVTSDGNKA -YYNVNNLKGWSGLPIMLHSTGAIVGRIKSAYSDENDLVEELIDSRTISKSNETNLNHLIKELADMRRGEF -RSITLGTGAGKTTELPRQYLTTVGTHKSVLVLVPLKAPAESVCRFMRSKYPTINFSLRVGERKEGDVSSG -ITYATYGFCCQLNLVQLKEWISRYSMVFFDEYHTATPEQIAIISKIHALKVKTRIVAMSATPPGTVTTEG -RKFDIEEVGVATIEKGEEPKRGRVAVAGMQVPLEDLTGKNCLVFVATKEAAETEAKELRARGINATYYYS -GIDPKTLEHGMTNQPYCIVATNAIESGITCPDLDVVIDTMQKYEKVVNFSAKMPLIVTSLVKKKITREEQ -GQRKGRVGRQKKGKYYYPSGVVPNGSKDLSYLILQAQEYGVLEQVNITEYFIIMNEDWGLYDVDEVEVRI -LERMNKEILLPLGIVEKQILERSTHPEKVALLYNKLVQKSPIVYPRVQEGEVSKEYNTHNLAVYDKLKDV -NPQAIYVLAEEERATEMMGLEFEQDPSDLQDSVVQLCEDIKRYTKLSGITEKLLVGTMVGYIGYKALTRN -HVPWVSKEYCYELTDSPDTYENSFAPLDVDIQNPGESKHPEQLADHQLRQLLETGRDKAIDFLKGVREFT -SGAINSPKALSIWEKIYQYLRKHQGEIISSAAWGSATALHDSIKSRLGDEVATAVIILKYLAFGERELSG -LTRQVLIDIIVYYIVNKPRFEGDDYAKRKGRRLVIEVLMGALATYAVSNFWGVSVNKILQPISDYLPYAT -ATLAFLRPTFMESAVVVASSIYRAFLSIKHAENRSLVTQVASAALEVMGLTPVSAGLGVLLGLGLCVLHM -NIDKNEEKRTLILKMFVKNFIDQAALDELDKLEPEKIILSLLEGIQTCTNPIRAIMILYRVYYKGESFTE -ALSKMAGKSLIVMVIVEFLELTGQTQGGYIDLSANLLTFLLEKLKKMTNLAIGEARKALLPIPYLYCETW -QSDARIKAPESYDQVAVECRCGASARYSFHHGVHEVLEEKMTKWCKNFFLWGPNFSNPDPKRMTFYECGQ -ARKCPVIIMGEDITFGKYGIYVKFGHGPDGRRLIRGTTHATISREELLEILTAPSQVAIGKVKLTDYCNQ -KGMIDRKLAVLEGDKIHFWKAHRGSKITDQLTIESLTDDLGSEIRDITWELYTGGTCTVKGISLRSCAPG -QRNKATVLCDCTDVLSPCYLINGRRPSPFDVVEGYECHHRKPRATYEDLEMEEILKRRVPVYDPLCLFDI -DSKLLPPDTYYLEEDREDFEYALRCWGLGVYVVDGSVTSPPDIRIHHSSVLLLLTPGVDSELHLQYILCY -SHQAEVDIYIRGQLLEEENTATEAEGFQEGGDEGTGDVVAEDEDTLSTTESIPPLEEEEGGGELITYVVI -RGLQEERYTSHLKLNDWISENISEPHRVQIMLDGTVRVTIKEGKVKHLFGVYRIENSLEAMFKETIADLP -TATQPPRGPIYTAKELAQGNIAPVQPAANYYGMIEGRGDPMTAFEALSVLRSQKVLAKEVKISTRKAQAF -LNKVRRTAEIRASELALKRLPVLGKINGRKLIREETNIPNQRLASIMTSIGIRLEKLPVVRANTSGPKFR -QSILEKMDKYENEQVPELHEKMWAAFLVTARQDLRNTYEEVTYPELEIGINRKGAPGFFEKESSIGEVLE -KKEKIDVVIREIEKGTHLYYETAMPKNEKRDVLDDWLSEDFVTYKKPRVIQYPEAVTRLAITKIMYKWVK -QKPVVIPGYEGKTPIFEIFEKVSADWAQFKNPVAVSFDTRAWDTQVTREDLKLVGRIQKYYYKKKYWKFI -DNLTTMMEEVPVITVEGDMFLRVGQRGSGQPDTSAGNSILNVLTMLVAFSESTNLPIAAAWRACRIHVCG -DDGFLITESELGRKFAEKGVPLLASFGKPQKITEGASLKITSNFDGIEFCSHSPIRVQTPNIRWMPARPT -ATILGKMSTRLGEGATRSGEEYEKQVAFAYLLMYPWNPLIRRIGLLLLSTTDPMGKEETPCSDEGVKYVG -DPIAAYRDVWGHKLEDVGHVDQSQLSRMNYSMTYLGIWKPKTSQRLVEQCCRLAEKNNCVARADSLIKKK -VKITYDPGIGAAQVIRRWEELEWTRRKPEFTKVTAEDDLFLVLFKRLSKYIFQKIKFMQKMFTPY - ->YP_009508222.1 Hog cholera virus [Classical swine fever virus - Alfort/187] -MELNHFELLYKTNKQKPMGVEEPVYDATGKPLFGDPSEVHPQSTLKLPHDRGRGNIKTTLKNLPRKGDCR -SGNHLGPVSGIYVKPGPVFYQDYMGPVYHRAPLEFFSEAQFCEVTKRIGRVTGSDGRLYHIYVCIDGCIL -LKLAKRGEPRTLKWIRNFTDCPLWVTSCSDDGASGSKEKKPDRINKGKLKIAPKEHEKDSRTKPPDATIV -VEGVKYQVKKKGKVKGKNTQDGLYHNKNKPPESRKKLEKALLAWAVIAIMLYQPVEAENITQWNLSDNGT -NGIQHAMYLRGISRSLHGIWPEKICKGVPTYLATDTELKEIQGMMDASEGTNYTCCKLQRHEWNKHGWCN -WYNIDPWIQLMNRTQANLAEGPPAKECAVTCRYDKDADVNVVTQARNRPTTLTGCKKGKNFSFAGTVIEG -PCNFNVSVEDILYGDHECGSLLQDTALYLVDGMTNTIENARQGAARVTSWLGRQLRIAGKRLEGRSKTWF -GAYALSPYCNVTSKIGYIWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMGGHLSEFLLLSLVVLS -DFAPETASALYLILHYVIPQSHEEPEGCDTNQLNLTVELRTEDVIPSSVWNVGKYVCVRPDWWPYETKVA -LLFEEAGQVVKLALRALRDLTRVWNSASTTAFLICLIKVLRGQIVQGVIWLLLVTGAQGQLACKEDYRYA -ISSTNEIGLLGAGGLTTTWKEYNHDLQLNDGTVKAICVAGSFKVTALNVVSRRYLASLHKEALPTSVTFE -LLFDGTNPSTEEMGDDFGFGLCPFDTSPVVKGKYNTTLLNGSAFYLVCPIGWTGVIECTAVSPTTLRTEV -VKTFRRDKPFPHRMDCVTTTVENEDLFYCKLGGNWTCVKGEPVVYTGGLVKQCRWCGFDFNEPDGLPHYP -IGKCILANETGYRIVDSTDCNRDGVVISTEGSHECLIGNTTVKVHASDERLGPMPCRPKEIVSSAGPVRK -TSCTFNYAKTLKNKYYEPRDSYFQQYMLKGEYQYWFDLDVTDRHSDYFAEFVVLVVVALLGGRYILWLIV -TYIVLTEQLAAGLPLGQGEVVLIGNLITHTDIEVVVYFLLLYLVMRDEPIKKWILLLFHAMTNNPVKTIT -VALLMVSGVARGGKIDGGWQRLPETSFDIQLALTVIVVAVMLLAKRDPTTVPLVVTVATLRTAKMTNGLS -TDIAIATVSTALLTWTYISDYYRYKTWLQYLISTVTGIFLIRVLKGIGELDLHTPTLPSYRPLFFILVYL -ISTAVVTRWNLDIAGLLLQCVPTLLMVFTMWADILTLILILPTYELTKLYYLKEVKIGAERGWLWKTNFK -RVNDIYEVDQAGEGVYLFPSKQKTSSITGTMLPLIKAILISCISNKWQFIYLLYLIFEVSYYLHKKIIDE -IAGGTNFISRLVAALIEANWAFDNEEVRGLKKFFLLSSRVKELIIKHKVRNEVMVHWFGDEEVYGMPKLV -GLVKAATLSKNKHCILCTVCEDREWRGETCPKCGRFGPPMTCGMTLADFEEKHYKRIFFREDQSEGPVRE -EYAGYLQYRARGQLFLRNLPVLATKVKMLLVGNLGTEVGDLEHLGWVLRGPAVCKKVTEHEKCTTSIMDK -LTAFFGVMPRGTTPRAPVRFPTSLLKIRRGLETGWAYTHQGGISSVDHVTCGKDLLVCDTMGRTRVVCQS -NNKMTDESEYGVKTDSGCPEGARCYVFNPEAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKG -WSGLPIFEASSGRVVGRVKVGKNEDSKPTKLMSGIQTVSKSTTDLTEMVKKITTMNRGEFRQITLATGAG -KTTELPRSVIEEIGRHKRVLVLIPLRAAAESVYQYMRQKHPSIAFNLRIGEMKEGDMATGITYASYGYFC -QMPQPKLRAAMVEYSFIFLDEYHCATPEQLAIMGKIHRFSENLRVVAMTATPAGTVTTTGQKHPIEEFIA -PEVMKGEDLGSEYLDIAGLKIPVEEMKSNMLVFVPTRNMAVETAKKLKAKGYNSGYYYSGEDPSNLRVVT -SQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLSPKMPFIVTGLKRMAVTIGEQAQRRGRVGRVK -PGRYYRSQETPVGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLMITQLEILNNLLISEE -LPMAVKNIMARTDHPEPIQLAYNSYETQVPVLFPKIKNGEVTDSYDNYTFLNARKLGDDVPPYVYATEDE -DLAVELLGLDWPDPGNQGTVEAGRALKQVVGLSTAENALLVALFGYVGYQALSKRHIPVVTDIYSIEDHR -LEDTTHLQYAPNAIKTEGKETELKELAQGDVQRCVEAMTNYAREGIQFMKSQALKVKETPTYKETMDTVT -DYVKKFMEALTDSKEDIIKYGLWGTHTALYKSICARLGSETAFATLVVKWLAFGGESIADHVKQAATDLV -VYYIINRPQFPGDTETQQEGRKFVASLLVSALVTYTYKSWNYNNLSKIVEPALATLPYAATALKLFAPTR -LESVVILSTAIYKTYLSIRRGKSDGLLGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIEASEQKRT -LLMKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTVGNPLRLVYHLYGVFYKGWEAKELAQRTAGRNL -FTLIMFEAVELLGVDSEGKIRQLSSNYILELLYKFRDSIKSSVREMAISWAPAPFSCDWTPTDDRIGLPQ -DNFLQVETKCPCGYKMKAVKNCAGELRLLEEEGSFLCRNKFGRGSRNYRVTKYYDDNLSEIKPVIRMEGH -VELYYKGATIKLDFNNSKTILATDKWEVDHSTLVRVLKRHTGAGYHGAYLGEKPNHKHLIERDCATITKD -KVCFLKMKRGCAFTYDLSLHNLTRLIELVHKNNLEDKEIPAVTVTTWLAYTFVNEDIGTIKPAFGEKVTP -EMQEEITLQPAVVVDTTDVTVTVVGEAPTMTTGETPTAFTSSGSDPKGQQVLKLGVGEGQYPGTNPQRAS -LHEAIQGADERPSVLILGSDKATSNRVKTAKNVKVYRGRDPLEVRDMMRRGKILVIALSRVDNALLKFVD -YKGTFLTRETLEALSLGRPKKKNITKAEAQWLLCLEDQMEELPDWFAAGEPIFLEANIKHDRYHLVGDIA -TIKEKAKQLGATDSTKISKEVGAKVYSMKLSNWVMQEENKQGNLTPLFEELLQQCPPGGQNKTAHMVSAY -QLAQGNWMPTSCHVFMGTISARRTKTHPYEAYVKLRELVEEHKMKTLCPGSSLGKHNEWIIGKIKYQGNL -RTKHMLNPGKVAEQLCREGHRRNVYNKTIGSVMTATGIRLEKLPVVRAQTDTTNFHQAIRDKIDKEENLQ -TPGLHKKLMEVFNALKRPELESSYDAVEWEELERGINRKGAAGFFERKNIGEILDSEKNKVEEIIDNLKK -GRNIKYYETAIPKNEKRDVNDDWTSGDFVDEKKPRVIQYPEAKTRLAITKVMYKWVKQKPVVIPGYEGKT -PLFQIFDKVKKEWDQFQNPVAVSFDTKAWDTQVTTKDLELIKDIQKYYFKKKWHKFIDTLTMHMSEVPVI -SADGEVYIRKGQRGSGQPDTSAGNSMLNVLTMVYAFCEATGVPYKSFDRVAKIHVCGDDGFLITERALGE -KFASKGVQILYEAGKPQKITEGDKMKVAYQFDDIEFCSHTPIQVRWSDNTSSYMPGRNTTTILAKMATRL -DSSGERGTIAYEKAVAFSFLLMYSWNPLIRRICLLVLSTELQVKPGKSTTYYYEGDPISAYKEVIGHNLF -DLKRTSFEKLAKLNLSMSVLGAWTRHTSKRLLQDCVNMGVKEGNWLVNADRLVSSKTGNRYIPGEGHTLQ -GRHYEELVLARKQINNFQGTDRYNLGPIVNMVLRRLRVMMMTLIGRGV - ->YP_009407716.1 polyprotein [Linda virus] -MEFKILNNTKKKNNNEEEAEGNMFWRMYRRPPPGCYEPTYNLSGTPSFGPMHPPLRKGSTLRLPHWRGIA -TVGCELKNLPRKGDCTKCHANPTSGIYLNLGAVFYKDYEGEVYHRVPLEHCEEQQRCEVVKRVGRMTASD -GSLVGVLVCSDDCVLFERRRGEHTVLKWVKNPIGAPLWVQSCSDEKGAKPKNKSKQQNDRMAPGKMVTKP -KEVEADQKTRPPDATIVVDGQKYQVRKKGKAKPKTPDGLYHNKNKPEASRKKLEKALLAWAVIAIILIQQ -TTANNVTQWNLWDDKNATDVHSVMHQRQIKRSLHGIWPERICKGVPGHLATDYELKRIEGMLDASEKTNF -TCCRLQRHEWNKHGWCNWYNIDPWVAIMNRTQALLSSGQNFTECAVTCRYDTEQQINIVTQARMTPTILT -GCKKDVNFSFSGEVRTGPCNYELKPEDLMRILDHTNCKDFSYFGEGLVDDFTEATEKIRSSGYRALSWLQ -DKLEKTKKKVFGAEATPYCNVTRRVFNIIYTNNCTPAGLPDNTRIVGPGTFDISEMENKKLLPNLDYHLA -DFMVLGLVALSDFAPETASTIYLVLHYWLPQAEVHTLDTPLDTNKLNLTRNRQVSSVVPNSIWLGGQLVC -VKPRWWPYSAEITTVISGLTTVTDLVVKTIEELVSLWTEATAVAFLAALIKIFRGQPIQALAWLIIIGGA -QGLECNFELQYALAGNTSMSLLGPTALKTQWYQAADGVKITDGVVTVICNKGIFSVTPRCKEAPVRYLAI -NHPRSLSTSAWFKKIHDPADHPTETLMGEKGRAYLCPCGATPLPKPKVPFNPITIQGSAFSLTCPKNWQG -DIECNLLSPDTLAIETIYTFRKHKPYKEEPYCSYTKVVDGYLRNVHLWGHDTCVAGDIINGSQDDSVTKC -KWCGYEFNSATDLPDYPIGYCTKRGTNYLIRYKQVPCEVGGVRIGSGKVECTIGSTRVKVEQTSNELGPM -PCKPIVYSSQGPPNPKTCTFKWSYTLNNKYYEPRDEFFQQYITSGGYQYWFDLTAKDHVMDWVTRYFPII -VVALLGGRAVLWILIAYELLNHYQVGADQNTLLQAEALVIGNILMTRDLEVMVCFLLLMVLIRRQQARRA -LALVFHWMVMHPAQSAIATLVYVIGIVRAEEGQVNSDSSTQAHVVAILLFLIYHTLKERDLHTAMTLLLT -FSIKSTDYVDTHYYEIPMLFTVISLVISIYIFNIHIKTKWVALVLSMVGMVTFIRCLWLIRNIQITPPSI -PLTYISPKILIIAYLVSLTVLVNNNLDLASYVIRAGPILMSYLTLWVDILMLLVLLPWYELIKVYYLKKK -KDDIEDCFQYSGIATQGLSPYNQDFVDPKEGVHLIPSQNKSNFTRTAYLTILRALVLTAFSSIWKPLILA -ELLLESIYWTHIKVAKEVAGSTRLIGRFVAALIELNWVFDDKEAARYKKFFVLTSRVRDLMVKHKVQNDT -MRQWFEETEIFGLQKVALVVRAHSLTADSNSILCSVCEEKQNIEAKRVCPKCGNRGTGIKCGMTLAEFEE -KYYKKIYLVDGDNTQAYRREERGEVTYTARGAFFLRNLPILATKNKYILVGNLGMELQDLESMGWIIRGP -AVCKKIVHHERCRPTIPDKLMAFFGLMPRGVVPRAPTRFPVSLLKIKRGFETGWAYTHPGGISSVMHVTA -GLDMYVNDAMGRTKVQCQERNKLTDECEYGIKTDSGCSEGARCYVINPEAVNIAGTRGAMVHLRKTGPEF -TCVTAQGTPAFYNLRNLKGWSGLPIFEAATGRVVGRVKAGKNAEDSPTTIMSGTQAAKPTECDLESVVRK -LETMNRGEFKQVVLATGAGKTTELPRKLIEAVGRHKRVLVLIPLRAAAEGVYNYMRTKHPSIAFNLRIGD -LKEGDMATGITYASYGYFCQMDMPRLDAAMKEYNYIFLDEYHCATPEQLAVMSKIHRISADLRVVAMTAT -PAGAVSKVGQKFSIEEVVVPEVMKGEDLGEDYLDIAGLKIPKSELQGNVLTFVPTKKLASDTAKKLTTQG -YNAGYYFSGEDPSSLRTITSKSPYIIIATNAIESGVTLPDLDTVIDTGMKCEKRVRIENKAPYIITGLKR -MAITTGEQAQRKGRVGRVKPGRYLRGPENAGGERDYHYDLLQAQRYGLQDAINITKSFREMNYDWALYEE -DPLRITQLEVLNTLLISKDLPTVTKNLMTRTTHPEPIQLAYNSIETPVPVLFPKVKGGEVTDAYETYELM -MCRKLDNDPPIYLYATEDEDLAVDLLNLKWPAVSTASAIETEDALNKLSGLSAGETALLVALLGWVGYEA -LVKRHIPIVTDIYTIEDEKLEDTTHLQYSPDELQNTETVELKDLSAHELKEALESGKSYVKDAFEFVKSQ -VEKLPDTKIYKQVQEKSPGLLEKFLAYLSEHSSDIKKYGLWGVHTSLYNSIKERLGHETAFASLIIKWIA -FSSEGLPGMVKQAAVDLVVYYLINKPDFKGDKDTQDDGRKFVGALFVSALANYTFKNFNKSTLEGLVMPA -LNYLPYAGAALKIFVPTKLESLVILSTTIYRTYLSIKKGSSQGLAGLAVSSGMEIMNQNPISVAIAVALG -VGAIAAHNAIESSEAKRTLLMKVFVKNFLDQAATDELVKENPEKIIMAVFEAIQTAGNPIRLIYHLYAMF -YKGWNASQIADKTAGRNIFVLTIFEGLELLGLDKDSKWRDLSSNYLVDAIRKLIEKLTKILRNTTKSLIK -SLLPAPFSCTRFTRDNRIGWPHLNFDYYEINCACGYRRRVVKTVIDPVTWETLEEEGPEFCFNRGTNALA -NPRVASYYSAGEPVLPVVKREGVGEILVRGVTIQMHYDHNKILATDNWQVPFQAVTKIFTDYQGIGYQEA -YLGTQPNYKALVKRSSVTITKEGLKFIRCKKGIAYTTNLNLTHIQKLVQVCRMNELQEGVIPETLDGDTW -INYMAIIEDVGATKPSLERESYPKPYEEDPLEGPSVIVETGDVDITKVGVNQQSSSSGTVFQVVEKIYTK -LVNTNVIKIGFKEGCFPGPTKNVNSLNEHIEDKDSKPYIFICSSDKAMSNRVKTARNIKKLNTNSAIVAR -NLAREGKLIIIVLGEKYHEDIYKHADFKGTFLDRKALEALSKAKPVKKNMTRREAQYLLEKKLSEDIEVP -EWLGSEKPMFLDVTKSGETYHLLGDLNHLKAQAEQLGAKATTTINKVGKTYTMNLSTWWESERTPTFRPL -FQELLLRCRPCTREEYKSCHFVGATQLAGGNWKPVAPVVHLGTIPAKREKCLPYEAYISLKNMVENLKIE -NPGVCKKKHQWLLNKIKKQGELGLKNLVSPGSVGGSRGYRKKEFNIYNKQITSTMLAVGIKPEKFPVVRA -QTSKREFHQAIREKIDKLPNPQNRDLHKELKEIFDSVCAVKDLKHTYEEVSWDVLTVGINRKGAAGYFEK -KNVGEIIDTDRRGVEKLIKVMKTGGPIDYYETAIPKNEKRAVVDDWLEGDFVEEKKPRVIQYPEAKMRLA -ITKVMYNWVKQKPVVIPGYEGKTPLFKVFDKVFDEWKQLRDPVAVSFDTKAWDTQVTPEDLQLISEIQKY -YFKPKYHKFIETLTAEMKEVPVVCQDGEVYIRLGQRGSGQPDTSAGNSMLNVLTMIYAFCKSNDIPYKAF -RRVAKIHVCGDDGFLITERRLGENFAAMGPQILMEAGKPQKLVGEMGLKLAYKFQDIEFCSHTPIQVRWD -DNTTSYLPGRDTATILAKMCTRLDSAGERGTSSYELAVVFSFLLMYSWNPIVRRICLLVMATIGVKDPDK -SGTIFTFSGDPLGAYKEVIGHRLGQLKQTEFSKLASCNLSMSLLGIYSRHTSKRIIEDCVKIGTLNRQSP -VNADRLIAKKTGFVYEPSRGSVRVGKHYEELELDKWKKKTPLIEGAERYIPGPIKTFILKRLKVLQMIGL -KFF - ->YP_009268709.1 polyprotein [Atypical porcine pestivirus 1] -MEKQIAYYLKKEKQRNGWTELVVGESHTKITTLSGKIYRGTWEMEKRPNPYGTYLPRPNPQQLTALHPHP -VVNCRVTEYKGLDPNYGDCPNTNGVFIDEKGRRLSSPPLGIWKIRLDYSDLVNINRPAPASGKNSYRVET -CSGELATITPVHDRVLVEDCRGLYQWKPNCEGMVLYVKTCSDWADQVEKQERGNPPKPQRPPRRDPRKGL -QPQVPKETEVTEKKRQPSVTLVSGGQKAQVIYKGKTKNKKTPNGVYKYPGAREGDVVKVKKMLKNWHIAV -VMYLIYIITPSFAKVQWFLKDENSTGINQILWQRQINRSLHGEWPNQICHGMPNETITDEELRSLGMIDT -SPRTNYTCCQLQYHEWKKHGWCNYPQKQTWIRRITALQANLTGAYEGPECAVICRFNGSYNIVKQARDEV -SPLTGCKEGHPFLFSGKRSDTSCLRPPSTSWVRPVKMDEASMADGFAHGVDKAIILIRKGASGIINFLDT -IGRWLPVAEATIIPYCETYTVTGMYVHVKNCLPRGLPKHSKIISPTMIYLGEGDPAHNIQHLFGSGIAKW -VLVLLGVLGEWYGELASTIYLLLEYGSEWLEHESLITEGLIPGINITIELPASQTVPGWVWVAGQWVCVK -PDWWPTQIWIETVVAEAWHILKILASALVNIVTAFVNLELVYLVIILVKISKGNLIGAILWCLLLSGAEG -SCHIRQDYYNIQLVVEEKTGVEKRSIMGKWSVITREGREPKLMEQINIVSNNSLSETYCYNRLNTSSWGR -QPARQRGCGQTVPYWPGDNVLEEQYYSTGYWVNATGGCQLREGVWLSRKGNVQCQRNGSSLILQLAIKEE -NDTMEIPCDPVETESMGPVAQGTCVYSWAFAPRGWYYNRKDGYWLQYIKKNDYQYWTKMPTASSAATMYR -HLLPLLVACLMGGRISVWIVAMLLSLQVEASEVGTKQLAVTLTLWKMDWTELLFYIVIMLAVKEELIKKV -VTASLVALKNSPVALSFLIVLRLVGGSEALPVGLLLEKMCIDQPEFGTPFLIYLWDNWKWTVLVSFSALN -HEKTIKLARKLLLATHITALTLTGLSDSIFYMMLIMTNLLIKTFIYLLGASINWVEKEKRKLLVKRKLIY -KKAAICNQEENELENKFNRITVNADFTPCKLELLQLLRAFLVSLCFSYYKPLLYAETTLTVIVIGVQEYN -VAIARGRSVIHRLLAMAYYVYGRIQGDMFQLATIQCLLSSPRKIMKHMIENPTLKKLWQGETELFNQGVS -QSKIVNPRKIGLEELHKGMCGLPTVVQNLVIYAKKNDSLILGELGYPPGDLTSDGWEILGPGRIPKITNV -ESAKMDLLSKLMTFLGIESSRVPRTPVHSTRKLLKIVRGLETGWGYTHAGGISSAKHVTGEKNLMTHMEG -RKGKYILQSQEHGADEVEYGVKTDQKAPDNALCYCFNPEATNIKGETGAMVFMKKIGKKWTLVTSDGNKA -YYNVNNLKGWSGLPIMLHSTGAIVGRIKSAYSDENDLVEELIDSRTISKSNEANLDHLIKELANMRRGEF -RSITLGTGAGKTTELPRQYLTTVGAHKSVLVLVPLKAPAESVCRFMRSKYPTINFSLRVGERKEGDVSSG -ITYATYGFCCQLNLVQLKEWISRYSMVFFDEYHTATPEQIAIISKIHALKIKTRIVAMSATPPGTVTTEG -RKFDIEEVGVATIEKGEEPKRGRIAVAGMQVPLEDLTGKNCLVFVATKEAAETEAKELRTRGINATYYYS -GIDPKTLEHGMTNQPYCIVATNAIESGITCPDLDVVIDTMQKYEKVVNFSAKMPLIVTSLVKKKITREEQ -GQRKGRVGRQKKGKYYYPSGVVPNGSKDLSYLILQAQEYGVLEQVNITEYFIIMNEDWGLYDVDEVEVRI -LERMNKEILLPLGIVEKQILERSTHPEKVALLYNKLVQKSPIVYPKVQEGEVSKEYNTHNLAVYDKLKDV -NPQAIYVLAEEERATEMMGLEFEQDPSDLQDSVAQLCEDIKRYTKLSGITEKLLVGTMVGYIGYKALTRN -HVPWVSKEYCYELTDSPDTYENSFAPLDVDVQNPSEGKHPEQLADHQLRQLLETGRDKAIDFLKGIREFT -SGAINSPKALSIWEKIYQYLRKHQGEIVSSAAWGSATALHDSIKSRLGDEVATAVIILKYLAFGERELSG -LTRQVLIDIIVYYIVNKPRFEGDDYAKRKGRRLVVEVLMGALATYAVSNFWGVSINKILQPISDYLPYAT -ATLAFLRPTFMESAVVVASSIYRAFLSIKHAENRSLVTQVASAALEVMGLTPVSAGLGVLLGLGLCVLHM -NIDKNEEKRTLILKMFVKNFIDQAALDELDKLEPEKIILSLLEGIQTCTNPVRAIMILYRVYYKGESFTE -ALSRMAGKSLIVMVIVEFLELTGQTQGGYIDLSSNLLTFLLEKLKKMTNLAIGEARKALLPIPYLYCETW -QTDARIKAPESYDQVVVECKCGASARYSFHHGAHEILEEKKTKWCKNFFLWGPNFHNPDPKRMTFYEYGQ -AKKCPVIIMGEDITFGKYGIYVKFGHRSDGKRLIRGTTHATISREELLEILTAPSQVAIGKVKLTDYCNQ -KGIIDRKLAVLEGDKIHFWKAHRGSKITDQLTIESLTDNLGSEIRDITWELYTGGTCTVKGISLRSCAPD -QRNKAMVLCDCTDVLSPCYLVNGRRPSPFDVVEGYECHHRKPRATYEDLEMEEILKRRVPVYDPLCLFDT -DSKILPPDTYYLEEDQEDFEYALRCWGLGVYVADGPVTSPPDIRIHHSSILLLLTPGVDSELPLQYIRCY -PHQAEVDIYVRGQLLEEEDTATEAEGSQEDGEEGMGDMVTEDEDILSTTESMPPLEGEEGGEEPITYVVI -RGLQEERYTSHLKLSDWISENISEPHRVQIMLDGTVRVTIKEGKVKHLFGVYRVENSLEAMFKETIADLP -VATRPPRGPIYTAKELAQGNIAPIQPAVNYYGMVEGRGDPMTAFEALSVLRSQKVLVKEVKVNTRRAQAF -LNKIRETAEVKAPELTLKCLPALGKINGRKLIREETNIPNQRLASIMTSIGIRLEKLPVVRANTSGSKFR -QSILEKMDKYENEQVPGLHEKMWAAFLATARQDLRGTYEEVTYLELETGINRKGAPGFFEKENSIGEVLE -RKERIDGVIQEIEKGNHLYYETAMPKNEKRDVLDDWLSEDFVTYKKPRVIQYPEAVTRLAITKIMYKWVK -QKPIVIPGYEGKTPIFEIFEKVSADWAQFRNPVAVSFDTKAWDTQVTREDLRLVGRIQKYYYKKRYWKFI -DNLTAMMEEVPVVTVEGDMFLRVGQRGSGQPDTSAGNSILNVLTMLVAFSESTNLPIAAAWKACRIHVCG -DDGFLITESELGRKFAEKGVPLLAAFGKPQKITEGASLKVTSNFDGIEFCSHSPIRVQTPNIRWMPARPT -ATILGKMSTRLGEGATRSGEEYEKQVAFAYLLMYPWNPLVRRISLLLLSTTDPMGKEETPCSDEGVKYVG -DPIAAYRDVWGHKLEDIGHVDQPQLSRMNYSMTYLGIWKPKTSQRLVEQCCRLAEKSNCVVRADSLIKKK -VKITYDPGIGVAQVIRRWEELEWTRRKPEFTNATGEDDIFLALWKRLSKYIFQKIKFMQRMLTPY - ->YP_009109567.1 polyprotein [Norway rat pestivirus] -MSGVQGQPRRGSEKTVRMREYKDSFQRGLYVEIGPKLYSSYEGPVYDTIPIKITEEIQELCTTGYQVGTG -RDETQKEWEIYCCKCHPYITFLDKKTGKIKALKEEPLGCPIMIVPEEGATYTKGCDNPADTLYGSCGCTY -GKKPRVCTGRGVTLRLPHPRGKKTTPTQDIPRVGDCNNVDTSGVFLKVGGIMYRDYQGLVYHRLPKEQCR -KTKGNHKPIIKLGKVYATDGKIYKIGIREQTEVVAWRRNILGIQVFYNWVTEPLGIPIWVKTCSDPNGNT -KKKEEKTDDRLKKGGLKCKPREQEPDIKRKPGPDAVIVSEGKKYHVTCKGKVKGENTKEGLYHKKPKPQD -SRKKLEKALLAWAILAILTGLATSNVTQWNLADEYSHDMHRVMFERNISRSIHGIWPVKICKGVPNPMIT -DQQAKQIVGMVDASPSTNYTCCHLQRHEWNKHGWCNWFNVDPWITMMIYQNQRIVNKIGQECAVTCRYNH -TMGTNIVLQARSSPTSTTGCKPGAKYSFAGEIRKSKCKLEVGMEELIESLDTDWQRHTFSWEDYIIDGAT -HIIEGKRQLITKLIDKVENGLDKAKQKLNKVKKFFSSATNTDIKNKIYCEKFHVLGDLVYVNSCLPMGLP -TGARFVSKNVISLEPEKTAQIIPRLTHHLDSGILLVLVAMSDFMPETSSALYLILHFMIPNSRHRTISEE -GLTMALNLTSTEPVSSVIPTSVYVEGQWTCWKPSWWPYNADIALFFEGAFEMLELIARAVGDLMKVWTEA -TAVAFLCFLIKAFRGQILQGVILLLLLSSAEGRYNQVKVDRPDWHTLLQKDLKGVLSGKDGLYILRSNKV -WTGGSVIITDEFAVTTFIGDHTGNFKFSVKVMTTPIEMDYCIKVIDTAKFFCVMVGTPTQRDLVKPPEML -CGCGALEVQDNNSTGLISPGNVLPSKCINGWTGVVTCHCPYTDIKMKFLENTTPQKYSKNCPGTYLSDQN -FHHDCKYGSQESCIDPEPTKLPPETYEDIQECFWCSYYIKDANFTPHKGPLGWCRVGENEPYYLTNRKSC -VQGGVQIGSGEVTCLIGTTKIKVGNFNETAISFMPCNPIKEASRGPPSRTTCTYKYAKTLKNKIYDEKDR -YWGQYMVKGEYQYWFDLEQDDHVTGGLLKYLPLIMVLLLGGKMVAWLLTAYYLMEVVEATRDIASHTAVV -MGPLIKCVDYDTVCVLALIFLLIKNNTSRLVVISLYSIMKGKILIPLLIAMSIIIQGTMAHECEMETTEG -SNLFPMACVVFYCIVSFLKFGEATGVVVLILLGVMKMAQNLSVGVSAVLVLAWAVLGTVIYLSTRKHHVS -PIMTSLVALTLTAQLAGLVTNTVQQLSDVVMELRPVEITTVHKIFLVYIFYLVYIVTYKKEGTDFFTYLL -DLLLLIKMYLVVSLDCVSFFLSNFCSDVVRYKLITKKLGVPEELQNLSLNSDMEALEKSGAYLRPYSKNR -KLLECREVVYILARALLLTALGRLWFPFVFLDLFFSILLHAHKQLLREVASSKTLIASLLASTVNAVMIL -KYPGMTKTEKLYQVWTTVRREILKHEVQNPVLKNWYEDTESMHRGVMAFIVKVVGKDSILCSNCEQENKY -PCPACGAESPRIRCGWTLKDLEYTRLSKAEEKIGGVYKFRRTNIEFDFGTASHSLKKYIQMLPILATRQN -LILVGNLGYEVETLIKAGWKLRAPAIIPKIVETLQGENSVLDKLQLFFGITPMGVTPKNPTRLPTSLIKI -KRGFETGWAYTHPGGLSSVEHVTGKNDIFCSDSNGRTTIKITSSNSKTDETEYGIKTDMNTSEGARCLVY -NPEATNISGSKGAVVHLRKCGSDFKCITADGTPAYYNLNNLKGWSGLPIFDLGTGKIVGRVKAGSNVTEG -NTEIIGGTTSVLPESCDLDSTVKQIQKMERGMFLSVTLATGAGKTTELPRKLIEKIGTHKRVLVLIPLRA -AAIGVHRYMQVKYPHINFNLRVGDLKEGDMSTGITYASYGYMCQMEMPKIREMAATYNYIFLDEYHCATP -EQLAIIAKIHRVAETVRVIAMTATPVGVVASKGQKFDIKEEELAEVLKGENLGENYLNVAGLKVARTILK -ENTLVFVPTRRQAEDTAKKLRQEGVNAGFYYSGMEPESIVKNTSREPYCIVATNAIESGVTLPNLTNVID -TCLKCEKRVRIQTRAPHIVTGLKKIVITPGEAAQRRGRVGRTKPGNYYKAPVAVQGEQDYHFNLLQAQLY -GLPDGINITAQFRKMNNEWALYEEDKVLLTQLEVCNNYLLSDDLPQLTKNILARTTHPEKIQLAYNCFET -PVPIIFPEVKNGEVTSVYPDYNLVSYKVLKDQAPFSFYCTEDEDLALDLMNMEWQSPNMEQTVETGKALE -KMAKLSKLETALVGGLITYIGYKALEKRHKPFVEAIYSYQMEQVEDLVLCQVCPDDIVPKLVEEEVKLNQ -NIIDEKILKIKEWIKELNCFTQATTDRTNFKLESSNDIFEYWKKFKEYLYKNEKNIAKYGGWGLHTALHN -SISARLGTEVATALVVLKWMAFGGIEAGDYVKQAAVDVIVYYIINTPRFDGDEETASRGRKYVATVLISA -LAKYIYTNGYGDLHSLLEPILSYLPYATNLLQWFRPNQLENVVVTGHLIYKLFLSVKTGSNKGLVGLSIS -SGMELYSMNPITLCVALVLGVGAIAAHTVLEQSENKRTLLMKVFVKNFLDQAATDELCKSDPETIISAVF -ETLHTASNPIRVIFHLYMHFHKKYDIKKIIEMTAGKNILVLVVLECLEIMELDKESKLKTLSTNYIVDWI -KNYLKKLKRMATTHLIKTIVPAPFSCKVYKPSYRVKLIGQENCTRAEHRCTCGSETIVYNTGNRWEVVQR -KGSTWCRNNSVERVLSDLQNTDFYDEKNNLLAIEVDKTSTVIYERNGKTVSLENHGETLTGTSAVTISYR -DLVDVFNGRYDGFTIEGFSRGFIEPKEVYNRNEAYFLNGVVYFYKIEKCQAATKLLTNDNIKKIISTINR -RKLQPEKTPKEFLINWYPENLITDCHRIIKPCFGEKLVCVGDATHDEHHLGESTCEEEPDVWVLAPEEMT -VREGTHRVAGQVVKVEKIQTKPNERKIGYEQNQIPGNKISLTRSLVHCQAEREKKTILIFGNPRLMSATA -KHLLKKFLVIYPEKLTEANGPGVSIYIGEEKFGIFDIETKFLTSKQLKRNLTERQHDLNVEEFFSTKQSY -CEIPDYHQAENPVFITEEQNSENIYHHIGEKFFLLKLMKGKGYNVMTKQETGFKVKLSWNGQKELVRTLE -PLIREQILDVDIQKLKNCHYISTREFANGGWRPLDASTYNGKIPCKREGSLTPVQAYLELRQLKQEIKKN -KESKLGYSNLKGKEWLLNRIREPPRLMLKHLANPGGLSKGGVRTKYNYNIYNKKICGLMQEIGINISRLP -TVRAQCSTADTHEAIRTKIDKEPNKQHPELHEDLFKIFLHNIDSKYQHKFEEVGWEKLEPGLNRKGAPGF -LEDINKLGDYLTPEGKKQIDKLVRKMLRGDIPQYYETAIPKNEKRDVTDDLLELGEWPEKKPRIIQYPEA -KMRIAITKIMYNWVKQQPILIPGYEGKTPIFNVFNKVKKEWDQFQKPAIISFDTKAWDTQVTPNDLDLVA -RIQKWLYKKKYHKFIDRLTEEMKEVVVITEDGQVYIRKGQRGSGQPDTSAGNSILNVLTMAWAFCRANNL -EYRTFSKVAKIHVCGDDGFLITEDYLARKFSEEGPRLLQEAGKPQKLLTGNTMKISSNFSDLEFCSHTPI -KVRLSNGATTYMAGRDTAVILSKMATKLDESGVRSTEEYENQVAFCFLLLYPWNPLIRRICLYTLSTTVY -DKPNPNKPILVQYRGDPIGAFKDVYGFDLRAIERTELSKLSQLNLNMTILTIWHRKTSQRILEKCLEIAK -PASPVTSDRLVEKKTGIIYIPMEGHVIQGKYYEKLDLGVKNQRITRAIEGLERYKEGPIYGIFYKIRNLL -MML - ->YP_008992092.1 polyprotein [Porcine pestivirus isolate Bungowannah] -MNTFTFNTYGGSEEGNMFFRTAPTPPPGCQEPVYTSTMRPIFGEPHPPLHKHSTLKLPHWRGIKTIRVKK -RELPKKGDCSNSTTAPTSGVYVELGAVFYKDYTGTVYHRVPLELCTNQERCEGSKCVGRMTGSDGRLYNV -LVCPDDCILFERHCRGQTVVLKWISNPLTSPLWVQSCSDDKGAKPKVKPKDDRMKQGKIVTKPKETEADQ -KTRPPDATIVVDGQKYQVRKKGKAKPKTQDGLYHNKNKPEASRKKLEKALLAWAILACLLVVPVGSTNVT -QWNLWDNKSTTDIHSVMFSRGIKRSLHGIWPTQICKGIPTHLAADYELKRIHGMVDASPMTNFTCCRLQR -HEWNKHGWCNWYNIEPWINLMNNTQGLLNTGDNFTECAVTCRYDADLGVNIVTQARTTPTILTGCKKGHN -FSFSGEVRASPCNFELTAEDLLRIMDHTNCEGFTYFGEGIVDGYTEVVEKARSSGFRALTWLSSKIENTK -KKIFGAEASPYCPVAKRVFNIIYTNNCTPLGLPDKSKIIGPGTFDISGRDEFIFPKLPYHVDDFILLSLI -AMSDFAPETSSIIYLALHYLMPSNDNRDFVMDLDPNKLNLTATKSVASVVPTSVNVLGEWVCVKPSWWPY -SAEITNLIGGVITVADLVIKTIEELLNLWTEATAVAFLAALIKIFRGQPIQAVAWLIIIGGAQAQTCNPE -FMYALAKNTSIGSLGPESLTTRWYQLTSGFKLTDSTIEVTCVGANMRIHVVCPLVSDRYLAINHPRALPT -TAWFRKIHTQHEVPRERIMSESKRRYTCPCGSKPVVRSTTQFNPISISTPSFELECPRGWTGAVECTLVS -PSTLTTETIFTYRKPKPFGLENWCKYTVVEKGILYSCKFGGNSTCIKGLIVKGQREDKVRYCEWCGYKFS -SPNGLPQYPLGLCEKEQSEGLRDYGDFPCCNNGTCIDKEGSVQCYIGDKKVTVKLYNASLLAPMPCKPIV -YNSQGPPAPKTCTYRWASTLENKYYEPRDSYYQQYIIKSGYQYWFDLTAKDHVADWITKYFPIIIVALLG -GRGTLWVLIAYELLTQYEVVGDENIVAQAEALVIGNILMSLDLEIISCFLLLLIVVKKQAVRRTLALLFH -WITMNPFQSVMITVVYFVGLVRAEEGTKEGSTSGPPIHVVAILLFLLYHTVKYKDFNIAMILLITLSLKS -SSYIHTSLYEIPLLVAVISLTCSIYIFDLQVKSKLVAPTIGIIGVTLAMRVLWLVRQMTIPTPSVSISLI -DPKMVIILYLISLTITVNHNLDLASYCLKLGPFILSFLTMWVDVVILLLMLPWYELVKVYYLKKKKEDVE -TWFQNSGISTQETSPYGFDFSSPGEGVHTLPMQNKTKFCRTAYMTVLRALVITAISSVWKPIILAELLIE -AVYWTHIKIAKELAGSSRFVARFIASIIELNWAMDEKEASRYKRFYLLSSKITDLMVKHKIQNETVKSWF -EETEIFGIQKVAMVIRAHSLSLEPNAILCSVCEEKQNQKAKRPCPKCGSRGTQIKCGLTLAEFEEEHYKK -IYILEGQDETPMRKEERQQVTYVSRGALFLRNLPILASKNKYLLVGNLGMELQDLESMGWIIRGPAVCKK -IIHHEKCRPSIPDKLMAFFGIMPRGVTPRAPTRFPVSLLKIRRGFETGWAYTHPGGVSSVMHVTAGSDIY -VNDSIGRTKIQCQDKNTTTDECEYGVKTDSGCSDGARCYVINPEATNIAGTKGAMVHLRKAGGEFNCVTA -QGTPAFYNLKNLKGWSGLPIFEAATGRVVGRVKAGKNTDNAPTTIMSGTQVAKPSECDLESVVRKLETMN -RGEFKQVTLATGAGKTTMLPKLLIESIGRHKRVLVLIPLRAAAEGVYQYMRTKHPSISFNLRIGDLKEGD -MATGITYASYGYFCQMDMPRLENAMKEYHYIFLDEYHCATPEQLAVMSKIHRFGESVRVIAMTATPSGTV -STTGQKFTIEEVVVPEVMKGEDLADDYIEIAGLKVPKKELEGNVLTFVPTRKMASETAKKLTTQGYNAGY -YFSGEDPSSLRTTTSKSPYIVVATNAIESGVTLPDLDTVIDTGMKCEKRLRIENKAPYIVTGLKRMAITT -GEQAQRKGRVGRVKPGRYLRGPENTAGEKDYHYDLLQAQRYGIQDSINITKSFREMNYDWALYEEDPLKI -AQLELLNTLLISRDLPVVTKNLMARTTHPEPIQLAYNSLETPVPVAFPKVKNGEVTDAHETYELMTCRKL -EKDPPIYLYATEEEDLVVDILGLKWPDATERAVLEVQDALGQITGLSAGETALLIALLGWVGYEALVKRH -VPMVTDIYTLEDEKLEDTTHLQFAPDDLNNSDTIELQDLSNHQIQQILEGGKEYVGQAYQFLRLQAERAA -NSDKGKKAMAAAPLLAHKFLEYLQEHAGDIKKYGLWGVHTALYNSIKERLGHETAFASLVIKWIAFSSDG -VPGMIKQAAVDLVVYYIINRPEYQGDKETQNAGRQFVGSLFVSCLAEYTFKNFNKSALEGLIEPALSYLP -YASSALKLFLPTRLESVVILSTTIYRTYLSIRKGSSQGLAGLAVSSAMEIMNQNPISVAIALALGVGAIA -AHNAIESSEAKRTLLMKVFVKNFLDQAATDELVKENPEKIIMAVFEGIQTAGNPLRLVYHLYAMFYKGWT -AAEIAEKTAGRNIFVLTIFEGLEMLGLDADSKWRNLSSNYLIDAVKKIIEKMTKTATSFTYSFLKSLLPA -PFSCTKSERDPRIGWPQKDYDYLEVRCACGYNRRAIKRDSGPVLWETLEETGPEYCHNRGERGLSNVKTT -RCFVQGEEIPPIALRKGVGEMLVKGVSFRIDFDKDKILSTDKWKVPHRAVTSIFEDWQGIGYREAYLGTK -PDYGGLVPRSCVTVTKQGLTFLKTARGMAFTTDLTIQNIKMLIATCFKNKVKEGEIPATIEGETWINIPL -VNEDTGTIKPSFGERVIPEPYEEDPLEGPSVIVETGGIAINQIGVNPQSSTCGTVFTAVKDLCQTVSNKA -KNIKIGFSEGQYPGPGVAKKTLNQLIQDEDPKPFIFVCGSDKSMSNRAKTARNIKRITTTTPEKFRDLAK -NKKLIIVLLGDRYHEDIEKYADFKGTFLTRQTLEALASAKAVEKDMTKKEAARVLAMEEKDELELPGWLH -TDAPKFLDITKDNITHHLIGDMQSLRERAGEIGAKATTQITKKGSVYTINLSTWWESERLASLEPLFREL -LSKCRPVDRETYKNCHFATAAQLAGGNWVPVAPVVHLGEIPVKKKKTLPYEAYKLLKEMVDSEKEFHKPV -SREKHQWILNKVKTGGDLGLKNLVCPGRVGEPILREKKKFNIYNKRITSTMLSVGIRPEKLPVVRAQTST -KEFHEAIRDKIDKKANTQTPGLHKELLEIFNSICAIPELRNTYKEVDWDVLTSGINRKGAAGYFEKMNIG -EIIDSDKKSVEQLIKRMKSGLEFNYYETAIPKNEKRAVVDDWMEGDYVEEKRPRVIQYPEAKMRLAITKV -MYNWVKQKPIVIPGYEGKTPLFHVFDKVHKEWKNFNSPVAVSFDTKAWDTQVTPKDLLLISEIQKYYYKK -EYHRFIDNLTEKMVEVPVVCEDGNVYIREGQRGSGQPDTSAGNSMLNVLTMIYAFCKANSIPYSAFHRVA -KIHVCGDDGFLITEKSFGEAFAIKGPQILMEAGKPQKLIGEFGLKLAYKFDDIEFCSHTPIKVRWADNNT -SYMPGRDTATILAKMATRLDSSGERGTEGYELAVAFSFLLMYSWNPLVRRICLLVMSTIDTKEASQNNTI -YTFRGDPIGAYTEVIGYRLDQLKQTEFSKLAQLNLSMAILQIYNKNTTKRLIEDCVKLGNQNKQILVNAD -RLISKKTGYTYEPTAGHTKIGKHYEEINLLKDTPQKTVYQGTERYVPGPIRDFILRRLKILEIVGLKF - ->YP_006860588.1 polyprotein [Aydin-like pestivirus] -MELNNFYFLYKTSKQKPAGVEEPVYNAAGVPLFGETSEIHPQSTLKLPHDRGRGEVRTTLEKLPRKGDCR -SGNQLGPVSGIYIKPGPIYYQDYAGPVYHRAPLELFAETQFCETTKRIGRVTGSDGRLYHLYVCIDGCIL -VKLAKRGESKTLKWVKNVMDSPLWVTSCSDDNKDKEKSQKKPDRIKQGAMKISPRENEKDSKVKPPDATI -VVEGVKYQVKKKGKVKGKNTQDGLYHNKNKPPESRKKLEKALLAWAVIAILVYHPVMAENITQWNLSDNG -TTGIQHVMYQRGVNRSLHGIWPERICAGVPTHLATDVELKGIQGMMDASERTNYTCCRLQRHEWNKHGWC -NWYNIEPWIWLMNKTQANLTEGQPDKECAVTCRYDKESDLNVVTQARDRPTTLTGCKKGKKFSFAGIIME -SPCNFNVSAEDILYGDNGCGNLFQDTALYVVDGVTNTVENARQGAAKLTSWLGKQLGIMGKKLEHKSKTW -FGAHAQSPYCNVTRRIGYIWYTNNCTPACLPRNTKIIGPGKFDTNAEDGKILHEMGGHISEFLLLSLVVL -SDFAPETASAIYLVLHFTIPQSYENPKDCDKNQLNLTIGLRTEDVVPSSVWNIGKYVCIRPDWWPYETTV -VLLFEEVGQVIKLALRALRDLTRVWNSASTTAFLICLIKVLRGQVIQGIIWLLLVTGAQGQFTCEKNYRY -AIAKTTDVGLLGAEGLTTTWREYKNNFELDDGLLRAVCKSGFFTFRFHCDMGTRYLAKLHAQALPTSVVF -EKVGQQPGAREITMEDNFEFGLCPCDSKPVVKGKYNATLLNGSAFNLVCPIGWTGVVECTVISESTLHTE -VVKVFRRDKPFPSRKYCVDTKVIGEDLFHCKLGGNWTCIPGEQVAYRGGQVKNCKWCGFTFETPEDLPHY -PIGKCVLSNETGYRLVDGTTCNRHGVIIDQTGSHECLIGKTKIKVYPVDDKLGPMPCRPKEIISSEGPIS -KTACTFNYTKTLKNKYYEPRDSYFQQYMLKGEYQYWFDLDVTDHHTDYFAEFIVVVVVALLGGRYVLWLM -VVYIVLTDQMASGLQLGQGEVVLIGNLITHEDLEVVVYFLLLYLVIRDEPIKKWILLLYHALTNNPMKTA -TVGVLMLSGAVNGAESGEGGQAGLDLQFLVTVGIVVSMLIARRDPTTIPLIITVVTLRTTGLAGGLATDL -AIATVTTVLLMWTFISDYYRHKVWLQFLISTVSGIFLIRALKGLGEIEIHAPEIPSSRPLFFVLTYLISA -AIVTRWNLDIAGALLQGIPILMMAMTMWADLITLILVLPTYELTKLYYLREVKTITERNWLGGIKYKRVS -DVYEVDQSCEGVYLFPSRQRTDNTTEGMLPLIKAILISCVSSRWQLIYLLYLVLEISYYLHRKIIEEVAG -GTNLLSRFMAALIETNWTLDNNEVRGLKKFYLLSSRVRSLIVKHKVRNEVVASWYGEEEIFGMPKLVNLV -RIATLSRSKHCILCTVCEDKTWKGETCPKCGRFGPPISCGMTLADFEEKHYKKIFFREDQEDGLFREEHK -GYVQYRARGQLFLRNLPILATKVKLLLVGNLGAEVGDLEHLGWVLRGPAVCKKVTNHEKCHTTIADKLTA -FFGIMPRGTTPRAPVRFPTSLLKIRRGLETGWAYTHQGGISSVDHVTFGKDLLVCDSMGRTRVVCQNNNK -MTDETEYGVKTDSGCPEGARCYVFNPEAVNIAGTKGAMVHLQKTGGEFSCVTASGTPAFFDLKNLRGWSG -LPIFEASSGRVVGRVKVGKNEESKPTKLMSGIQTVSKNTTDLTDLVRKITAMNRGEFKQITLATGAGKTT -ELPRSVIEEIGRHKRILVLIPLRAAAESVYQYMRQKHPSISFNLRIGEMKEGDMATGITYASYGYFCQMP -QPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSENLRVVAMTATPAGTVTTTGQKHPIEEFIAPEV -MKGEDLGSEFLDIAGLKIPTDEMKGNMLVFVPTRNMAVETAKKLKAKGYNSGYYYSGEDPANLRVVTSQS -PYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLASKMPFIVTGLKRMAVTIGEQAQRRGRVGRVKPGR -YYRSQETATGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLMITQLEILNNLLISEDLPI -AVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDSYDNYTFLNARKLGDDVPAYIYATEDEDLA -VELLGLDWPDPGNQSTVETSRALKQVAGLSAAENALLVALFGYVGYQALSKRHIPIVTDIYTVEDHRLED -TTPMQYAPNAIRTEGKETELRELAQDDIQKYAEAVADYAKQGVEFMKTQALKIRETPTFKNSVDTLSDYV -RRFLDSLADSKEEIIRYGLWGTHTALYKSIGARLGYETAFATLVIKWLAFGGETLSDHIKQAATDLVVYY -IINRPQFPGDTETQQEGRKFVASLLVSALATYTYKSWNYNNLAKIVEPALACLPYASQALKLFAPTRLES -VVILSTAIYKTYLAIRRGRSDGLLGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIESSEQKRTLLM -KVFVKNFLDQAATDELVKESPEKIIMALFEAVQTVGNPLRLIYHLYGVFYKGWEAKELAERTAGRNLFTL -IMFEAVELLGVDSEGKMRNLSSNYLMEMLNKIHESIKVNIRKLAISWAPAPFSCDWTPSDRRITLRCDDY -LRVETKCPCGYRMKAVKNCSGELRLLEEEGSFLCRNKFGRGFDNYRVTKYYDDNLEEIRPGVIMEGQMEL -YYKGTTVKVDFDNSKTVVSTDKWEMDHATLTRLLRKHTGVGCGGAYMGDQPSYKNLIKRDCATISKDKVY -FTKMKKGCAFTYDLSIHNLVRLIELVHKNNLEEKEIPAATVTTWLAYTFVNEDIGTIKPVLGEKVIPEKV -EDVCLQPTVKVDTSNISVTVVGEAPVMTTGQTPVEFLDKPEDNSCQPNLKLGFEEGQYPGPSQQMSGINE -AVSGQDERPMVIIVGSNKATSNRVKTAKNVRVYKGDNPVEVRNLLREGKALVVALAEVEVDLLRYVDYKG -TFLTRETLEALSLGRPKPKDLTKAEAMRLLHPESGLVELPDWFTAEEPLFLEATIKQDKYHLVGDVTTIR -EKAKLLGATDSTKIVRQAGSKAYTMKLSNWIMQVENKHNNLTPLFEELMLRCPPGKQMRSEHMVTAYQLA -QGNWMPTSCNVFLGTIPAKRVKTHPYEAYVKLKDLLEEHGMKTLHGGSGLREHNNWIVGKVKHQGNLRTK -HILNPGRVAEQLQREGHKHNVYNKIIGSTMTAVGIRLERLPVVRAQTDTTSFHQAIRDKIDKRENLQTPG -LHGKLLEIFNTLKKNDLAQTYDAVEWEELERGVNRKGAAGFFEHKNIGEVLNTEKEKVERLIKDLKSGKH -IKYYETAIPKNEKRDVNDDWEAGDYVEEKKPRVIQYPEAKVRLAITKVMYKWVKQKPVVIPGYEGKTPLF -EVFDKVKKEWDQFHNPVAVSFDTKAWDTQVTTKDLELIGEIQKYYFKKEWHRFIDTITQHMVEVPVITAD -GEVYIRNGQRGSGQPDTSAGNSMLNVLTMIYAFCEATGVPYKSFNRVAKIHVCGDDGFLITERALGEKFA -SRGVQILYEAGKPQKITEGDYMKVAYKFDDIEFCSHTPIQVRWSDNTSSYMPGRNTATILAKMATRLDSS -GERGTTAYEKAVAFSFLLMYSWNPLVRRICLLTLSTEPEVKPSKATTYYYEGDPISAYKEVIGHNLQDLK -RTGFEKLAQLNLSMTTLGIWTRHTSKRLLQDCVNTGASEGNWLVNADRLVSSKTLRTYVPGKGHTLQGKH -YEELMLNKRTLTSYVGTERYNLGPIVNIVLRRLKVLMMACIGVRE - ->YP_002967452.1 polyprotein [Bovine viral diarrhea virus 3 Th/04_KhonKaen] -MELLNFELLYKTYKQKPAGVQEPLYDKTGTVLFGEPSDIHPQSTLKLPHPRGEKEVIVGIRDLPRKGDCR -TGNRLGPVSGLFIKPGPIFYQDYPGPVYHRAPLEQFKQAPMCEVTKRIGRVTGSDGNLYHMYVCTDGCIL -LKTAKREGHDVLKWVYNILDSPIWVASCSDEKAGARPKEKAKPDRVQKGKMQISPKETEKDSKTKPPDAT -IVVEGVKYQVKKKGKVRGKNTQDGLYPTKNKPEQSRKKLEKALLAWXILALVLWQPVGTENITQWNLKDN -GTNGIQHAMFIRGVNRSLHGIWPSKICSGVPTHLATDAELKQIHGMMDASEKTNYTCCRLQRHEWNKHGW -CNWYNIEPWIWLMNKTQANLTEGTPPKECAVTCRYDKDQEINVVTQARDRPTTLTGCKKGKKFSFAGEVV -DGPCNFNISAEDMLYDEIGCAGVFQEMAQHIVDGTTNTIEGARQGAAKLTTWLGKQLGILGKKLEHKSKT -WFGAHAATPYCNVSRKIGYVWYTNNCTPACLPMNTRIVGPGKFDTNGDDGKILHEMGGHLSELAILALVV -MSDFAPESASVLYLILHFSIPQAHEEVDQCDKNQLNLTVGLRTDEVVPSSVWNLGKWVCVRPSWWPYETA -TVLAFEEIGQVLKLILRALKDLTNMWNAASTTAFLVCLVKILRGQIVQGVIWLLLITGAQGDLSCKPEFQ -YAISETNEIGPLGPTGLTTRWHAYIKGLHITDSSVDLTCVDGSFLVYKRCVKKQRYLATVHERALSTSVE -FTLVAEPQDLEEVQMGDDXEFGLCPCDSTPIIRGKFNATLLNGPAFQLVCPFGWTGTIECTMVSDSTLKT -QVVKRFTRYRPFPRRRYCLDQVVVGEDLYECLYGGNWTCIPGDRVLYQGGEVKDCKWCGFTFEEPSDLPH -FPLGKCRLTNETGYRYVDDTTCNRDGVAITEQGTLRCKIGKVEVKVLALNKDLGPMPCKPSYITQSEGPV -SKTACTFNWTETLENKYFEPRDNYFQQYMLKGKYQYWFDLEATDHHQDYFAEFIVIIVVALLGGRYVLWL -LIVYYVXTEQGARGQQMNPGEVVLMGNMITHDSVEVVTYFLLLYLLIKDEPVKKWVLLIYHAITASPRKT -IIVAVLMFSSIVRGDDGRATQEDGLDMWFYVVLAMVCILLMVKRDPTTIPAVVIITGIKTRHCAGWLELD -MALSVVMAVVLLHTYISSYYRYKQWLQCVISLLAGFFIIRTLKAVGEYQLPVITVPDVRPLPIVLIYLLT -TTLVTHKNLDLAGIFLSNAPIVLMVLTLWADLLTLILVLPTYELTKLYYIRRVKKDVERSWLGDTSFTRV -DSVYELDGSEEGVYLFPSRQGPRAKTGDILPVLRCVLISCISNYWQWTYLTYLVIELVYFMHRRVIEEIA -GGTNTLSRIIAGLIEMSWALDEEESKGLKKFFILSARLRNLVMKHKIRNETIRAWYEEEEIYGMPKIITL -IKAASLSQSKHCILCTVCERRDWKGGSCPKCGRSGRPISCGMTLADFEEKHYKKIFIREGELDGPFRQEA -RGYLQYIARGQLFLRNLPILATKVKLLMVGNLGSEVGDLEHLGWVLRGPAVCKKIVSHEKCHTGIADKLT -AFFGIMPRGTTPRAPVRFPTSLIKIRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSMGRTRVVCQSNN -KMTDETEYGIKTDSGCPEGARCYVLNPEAQNIAGTRGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKGWS -GLPIFEASSGRVVGRVKVGKNEESKPTKLMSGIQTVSKSTTDLTEMVKRIAAMNRGEFKQITLATGAGKT -TELPRSVIEEVGRHKRVLVLIPLRAAAESVYQYMKQKHPSIAFNLRIGEMKEGDMATGITYASYGYFCQM -PQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSDSLRVVAMTATPAGSVTTTGQKHPIEEFIAPE -VMKGEDLGSNFLDIAGLKIPTEEMKGNMLVFVPTRNMAVEVAKKLKAKGYNSGYYYSGEDPANLRVVTSQ -SPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRVRISSKMPFIVTGLKRMAVTAGEQAQRRGRVGRVKPG -RFYRSQEAASGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLLITQLEVLNNLLISDDLP -AAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTNEYEAYSFLNARKLGEDVPAYIYATENEDL -AVDLLGLDWPDPGSQQTSETGKALKQVSGLSTAENALLVALFSYVGYQALSKRHIPVVTDIYTIEDQRLE -DTTHLQYAPNAIRTDGKETKLEELAIGDIERYLSAAREYASRGVDFIEAQALKVNDSPKVKETMESVHQY -VEKILEALKESQEDIIKYGLWGCHTALYKSIAGRLGSETAFATLVIKWLAFGGESLPAHVKQAAVDLVVY -YIMNKPSFPGDTETQQEGRRFVASLLVSALATYTYKTWNYNNLAKVVEPALAYLPYASKALSLFVPTRLE -SVVILSTAIYKSYLALRKGRSDGLMGTGLSAAMEMMSQNPVSVGIAVMLGVGAVAAHNAIESSEQKRTLL -MKVFVKNFLDQAATDELVKENPEKIIMAMFEAVQTVGNPLRLIYHLYGIFYKKWEAKELAERTAGRNLFT -LIMFEAVELLGVDNEGKIRTLSSNYLLELLTKFCDGLNNKVRNLVLGWAPAPFSCDWTPNDNRIRLPHEN -YVLVVTRCPCGYESRSRKMDTGEMVKLEEKGPFLCRNRPGRNYGNLRVTRYYDKDGAELKPVIKLEKQAE -LYYKGATLRVDFSNGKSVTATDKWEVDHATITRLEKRYTGAGYKGAYLGDRPNHEALVERNCATITKDKV -QFVKMAKGCAFTYDLSLSNLKRLIELVHKNKLEEKAIPQATVTTWLAYTFVNEDVGTIRPSFGERVLPES -PGDPSLQPSVVINMEAAGITVIGEAEHMTTGVTPVELNDNQTGATGLDKLEIGLERGQFPGPVPQDKSLE -EAIEEKDGRPYVLILGSKDSTSNRVKTAKNVKVYKGESMQEVRGLMREGKLLVVALREVSKDLLHLVDYK -GTFLTREALEALSMGRPRPKDATRAEITRLLNPTEEDIEVPEWFTTSEPVFLDAQIKGGTYHLVGSIQQI -KEKAKALGATDSTKIIQGLGSRVYTMKLSSWIYQNTVKENDLRPLFEELLLQCPPGGRQTKGHVASAYQL -AQGNWEPISCNVNMGTIPARRVKTHPYEAYLKLKDLLNEHKMRVETGCADLKDHNRWILRKVKHQGNLRT -RYILNPGKLSEQLEREGRKHNVYNKQIGSIMTSIGIRMEKLPVVRAQTDTVSFHQAIRDKIDKKENAQNP -DLHEKLWEVFDTLKVPEQKGKYDEVSWEELEVGINRKGAAGFLEKKNIGEVLASEKNLVEEIVKDLKRGK -RINYYETAIPKNEKRDVNDDWEAGDLVDEKKPRVIQYPDAKVRLAITKVMYKWVKQKPVVIPGYEGKTPL -FQIFDKVKKEWDGFNDPVAVSFDTKAWDTQVTSRDLHLIGRIQKYYFKSKWHKFIDTLTEHMTEVPVITA -DGEVYIRKGQRGSGQPDTSAGNSMLNVLTMVHAFCESTGVPYRSFNRVAKIHVCGDDGFLITERGLGLKF -ASKGAQILYESGKPQKILEGDQMKVAYRFEDIEFCSHTPIPVRWSDNSSGYMAGRNTATVLAKMATRLDS -SGERGTAAYEKAVAFSFLLMYSWNPLIRRICLLTLSSKPEVDPSKQATYYYKGDPIGAYKEVVGHNLHDL -KRVGFEKLANLNLSMSTLGIWTRHTSKRLLEDCVKVGKEEGNWLVNADRLVSSKTGKMYIPDEGHTLQGK -YYEELRLTRPGGPTIRVGIDRYRLGPIVNVILRRLRVLLMAAVGQKAVV - ->NP_620062.1 polyprotein [Border disease virus] -MELNKFELLYKTSKQKPVGVTEPIYDSAGNPIYGERSTIHPQSTLKLPHERGVAEVVTTLRDLPKKGDCR -SGNHRGPVSGIYIKPGPVLYQDYKGPVYHRAPLELFVETQFCEVTKRIGRVTGSDGRLYHLYICSDGCIL -LKTASKTRSAVLKWTRNILDCPLWVTSCSDDNKSEKTNEKKPDRVRRGAMKITPKESEKDSRSKPPDATI -VVEGIKYQVKKKGKVKGKNTQDGLYHNKNKPPESRKKLEKALLAWAIIAIFMWEPVAPENVTQWNLSDNG -TTGIQLLMFQRGVNRSLHGIWPEKICTGVPTHLATDAELKGIQGMMDASEKTNYTCCRLQRHEWNKYGWC -NWYNINPWIWLMNKTQANLTEGPPEKECAVTCRFDKEADINIVTQARDRPTTLTGCKKGKKFSFAGMIIE -GPCNFNVSVEDILFGDNECSSLFQDTALYVVDGVTNTVENARQGAAKLTSWLGKQLGIMGKKLEHKSKTW -FGANAQSPYCNVTRKIGYVWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMRGHISEFILLSLVVL -SDFAPETASTLYLVLHFALPQTHEVPSVCDTNQLNLTVSLRVDDVIPSSVWNLGKYVCVRPDWWPYETTM -VLLFEEAGQVVKLVLRAIRDLTRVWNSASTTAFLICLVKVLRGQVVQGLVWLLLVTGAQGQFACREDYRY -ALARTKEIGALGAESLTTTWTDYRGNLELDDGTVRATCSRGFFRFRGHCMIGPRYLASLHLRALPTSVTF -ELIPGGSAMTEEEMGDDFEFGLCPCDSRPVVKGKYNTTLLNGSAFQLICPYGWVGRVECTTVSKSTLATE -VVKIYKKTKPFPQRVGCDHTTVYKQDLYHCQMGGNWTCMRGEVVKYVGGPVKKCEWCGYVFKKREGLPHY -PIGRCMLRNETGYRSVDDTPCDRGGVVISKTGELECLIGKTTVKVFSSDKKLGPMPCRPKEVISSEGPVS -KIACTFNYSKTLENKYYEPRDSYFQQYMLKGQYQYWFDLEATDHHSDYFAEFIMLAVVALLGGRYVLWLM -VVYMILADQMTSAINLGQGEVVLIGNLITHEDHEVVVYFLLLYLIVKDEPVKKWILFLFHAMTNNPVKTI -SVGLLMLSGLVKGEGAGMTYWEGLDLQFTLLVMITASLLVARRDVTTYPLIITVIALKTTWVNSGPGIDA -AIATITTGLLMWTFISDYYKYKQWTQFLISIVSGIFLIRTLKWIGGLELHAPELPSYRPLFFILTYLISA -AIVTRWNLDIAGVLLQCVPTILMVLTLWADLLTLILILPTYELAKLYYLKGVKNGMERNWLGRITYKRVS -DVYEIDESQEAVYLFPSKQKEGTITGGLLPLIKAILISCISSKWQCFYLLYLVVEVSYYLHKKIIEEVAG -GTNLISRLVAALLEVNWRFDNEETKGLKKFYLISGQVKNLIIKHKVRNEVVAHWFNEEEVYGMPKLVSVV -KAATLNRSRHCILCTVCESRDWKGETCPKCGRFGPSLSCGMTLSDFEERHYKKIFIREDQSDGPFREEYK -GYLQYKARGQLFLRNLPILATKVKLLLVGNLGSEVGDLEHLGWILRGPAVCKKIIDHERCHVSIMDKLTA -FFGIMPRGTTPRAPIRFPTSLLRIRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSMGRTRVVCQSNNR -MTDETEYGVKTDSGCPEGARCYVFNPEAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKGWSG -LPIFEASSGRVVGRVKVGKNEESKPTKLMSGIQTVSKSTTDLTDMVKKITTMNRGEFKQITLATGAGKTT -ELPRAVIEEIGRHKRVLVLIPLRAAAESVYQYMRQKHPSIAFNLRIGEMKEGDMATGITYASYGYFCQMP -QPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSEQLRVVAMTATPAGTVTTTGQKHPIEEFIAPEV -MKGEDLGSEFLEIAGLKIPTEEMKGNMLVFVPTRNMAVETAKKLKAKGYNSGYYYSGEDPANLRVVTSQS -PYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLSSKMPFIVTGLKRMAVTIGEQAQRRGRVGRVKPGR -YYRSQETAVGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLMITQLEILNNLLISEELPV -AVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDSYDSYSFLNARKLGDDVPAYVYATEDEDLA -VELLGMDWPDPGNQGTVETGRALKQVTGLSAAENALLVALFGYVGYQALSKRHVPMVTDIYSIEDHRLED -TTHLQFAPNAIRTDGKETELKELAQGDIQRCAEAMVGYAQQGVQFIKTQALKVQENHVFKDSADTIVEYV -DKFMKAIAESKDDILRYGLWGAHTALYKSIGARLGYETAFATLVIKWLAFGGESINDHVKQAATDLVVYY -IINRPQFPGDTETQQEGRKFVASLLVSALATYTYKSWNYSNLSKVVEPALACLPYASQALKLFAPTRLES -VVILSTAIYKTYLAIRRGRSDGLLGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIESSEQKRTLLM -KVFVKNFLDQAATDELVKESPEKIITALFEAVQTVGNPLRLIYHLYGVFYKGWEAKEVAEKTAGRNLFTL -IMFEAVELLGVDSEGKMRRLSGNYLIELLQKLHDGFRISIKKFALGWAPGPFSCNWTPADNRIRLPHENY -LRVVTRCRCGYRTKAVKNCAGELILEEEEGSFFCRNKFGRGAPNYKVTKFYDGNLEEIRARLKLEGQVEM -YYKGATIKIDYSNNKLILATDKWEVEHSYITRLTKRYTGAGYKGAFLGDEPNHKSLIERTCATVCKDKIY -FSKMKKGCAFTYDLSLSNLVRLVDLVHRNKLEEKDIPERTVTTWLAYTFVNEDVGTIKPVLGEKVIPEES -DEINLQPTVTVNMSKCQVTVVGEAKNMTTGVVPLTVTKEACNGQDRSVLNIGMEEGEYPGPAVSTVTVGE -AVQSKDVRPYVLVIGSNKATSNRAKTAKNVKLYKGGDAVEVRDLIKKGEMLVVALADVEQDLLEYVDYKG -TFLTRETLEALSLGKPKAKNITKADAHRLLNPEKEQIGLPDWFTATEPIFLEAMIKQEKYHITGDVATVK -DKAKQLGATDSTRIVKEVGARVYTMKLNSWALQAERGDANLKPLFEELLLQCPPGRTVKGGTMVSAYQLA -QGNWTPTSCKVYMGTITAKRVKIHPYEAYIKLKELIEEYNMKRVTGDTGLKRHNEWILKRIKHHGNLRTK -KILNPGKVAEQLSREGHKHNVYNKIIGSTMASVGIKLEKLPVVRAQTDTTFFHQAIRDKIDKEENPQTPD -LHKELKEVFNALKIPELAATYDAVEWEELETGINRKGAAGFFERKNIGEILDTEKNKVEDIIRDLKSGRP -IKYYETAIPKNEKRDVNDDWESGDFVDEKKPRVIQYPEAKVRLAITKVMYKWVKQKPVVIPGYEGKTPLF -EIFDKVKKEWGSFDNPVAVSFDTKAWDTQVTSKSLELIRDIQKYYFKKEWHKFIETITEHMVEVPVVTAD -GEVYISEGQRGSGQPDTSAGNSMLNVLTMVYAFCRATGVPYKSFKRVAKIHVCGDDGFLITEKSLGEKFA -SKGIQILHEAGKPQKITEGDRMKVAYKFEDIEFCSHTPVPVRWSDNTTSYMPGRNTATILAKMATRLDSS -GERGTTAYEKAVAFSFLLMYSWNPLVRRICLLTLSSELGTKPSKRTTYYYEGDPISAYREVIGHNLLDLK -RTGLEKLALLNLSMSTLGIWTKHISKRLLQDCVDVGSKDGNWLVNADRPESRKTGKVYLQSGGHTVRGRH -YEDLILPRMVKPTFQGVDRYKLGPIVNVIFRRLRVMMMALVGRGM - ->NP_620053.1 polyprotein [Pestivirus giraffe-1 H138] -MELIKFELLYKTSKQKPVGVEEPVYRRTGEPVFGEPSPIHPQASLELPHQRGTRDIPTRLKDLPRKGDCR -SGNNKGPVSGVYIKPGPVYYQDYSGPVYHRAPLELFEESTMCEVTRRLGRTTGSDGLLYHVYVCLDGCII -IKTASRAQQKVLKWTKNTLNCPLWLTSCSDEGGAKKKQVKPDRVEKGRMQIKPKESEKDSRTKPPDATIV -LDGVKYQVKKKGKVKSKSTADGLYHNKNKPEQSRKKLEKALLAWAILAVLFQPVAGENITQWNLSDNGTS -GIQHAMYLRGVNRSLHGIWPEKICTGIPTHLATDTELKRISGMMDASEETNYTCCRLQRHEWNKHGWCNW -FNIEPWIALMNRTQANLTEGPPPKECAVTCRYDKNTETNIVTQARDRPTMLTGCKKGKNFSFAGTVIKGP -CNFDVSLEDILFKDEGCGNMMQDAAIQEVDGITNTVEGARQGAAKLTTWLGKQFRILGRKLEHKSKTWFG -AHAASPYCEVNKKLGYIWYTNNCTPACLPGNTKIIGPGKFDTNAEDGKILHELGGHLSEFILLSLVVLSD -FAPETASAIYLVLHYTMPQKYEVVGSCDRNQLNLTVKTRVEDVIPSSVWNIGKYVCVRPDWWPYETTTVF -IFEEVSQVVKLVLRALRDLTRIWNAASTTAFLICLVKVLRGQVIQGIVWLLLVTGAQGAITCEPEYQYAL -ARSKRIGPLGAEDLVTTWHDYKFDLKIQDPLVMVYCKNDQFFVGKRCKAGEARYLAKIHWRALPTSVVFE -KVLEENPPEELPLEDNFEFGLCPCDSRPVVKGNFNTTLINHSAFQLVCPIGWVGTIECTLVNTDTLATTV -VKRYTRTTPFPMRAGCVVYKLIGEDLHHCTLGGNWTCVPEDDGTYTGGELEKCKWCGFKFRIPDGLPTYP -IGRCMKRGKAGYRFVSEEPCNREGVEISTKGKLKCIIEKTQVKVYAADNTLGPMPCKPMEIISSEGPVSK -TACTFNYTETLENKYFEPRDEYFQQYMLKGKYQYWFDLKATDNRKDYFAEFLVIAVVALLGGRYVLWLLV -TYFVITEQEASGLQLEPGVVVMIGNLITEDNIEVVVYFLLLFLVVRDEPVKKWVICLYHCLTMKPIKTAA -VLVLLMSNVVNGEGGSKAGAGIDLYFLTTLGMVVFLVLARRDPMLIPLVVAIATFKTTKYTAGFSVDVAL -AVLLIVLLICSYTSDYFKYRKLLQCLLSIGAAVFLIRSLKWLGGVGLPSIELPTQRPLFYILVYLIATAL -VTSWNLDIAGSLIQAVPILLLIFTLWADILTLILVLPTYELAKLYYLKMVKTDVEKTWTGRVRYKRVTTV -YDLEGSGEGVYLFPSKMGGRDGFDFTLPLLRAVLISCVSSYWQTFYLMYLAIDLLYYVHRKIIEEVAGGT -NLASRLLAALIELNWTVDSEESKGLKKFFVLTSRVKNLVMKHKVRNDLVAKWYEDEEIYGMPKLVSIVKA -ASLSKTKSCILCTVCENKDWKGVNCPKCGGTGPPISCGMTLADFEERHYKRIFIREDSMNTMMCNRCQGK -HRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALMDGKVYDITEWAGCQRVGISPDTH -RVPYHIPFGSRMPGTSDQREECEGFLQYRARGQLFLRNLPILATKVKFLMVGNLGSEVGDLEHLGWVLRG -PAVCKKITSHEKCHTGIADKLTAFFGIMPRGTTPRAPVRFPNALLKIRRGLETGWAYTHQGGISSVDHVT -GGKDLLVCDSMGRTRVVCQSNNKMTDETEYGIKTDSGCPDGARCYVLNPEAPNISGTKGAMVHLQKTGSE -FTCVTASGTPAFFDLKNLRGWSGLPIFEASSGRVVGRVKVGKNEGAKPTKLMSGIQTVSKSTADITEMVK -KIVAMNRGEFKQITLATGAGKTTELPRSVVEEIGRHKRVLVLIPLRAAAESVYQYMRHKYPSIAFNLRIG -EMKEGDMATGITYASYGYFCQMPQPKLRAAMVEYSYIFLDEYHCATAEQLAIIGKIHRFSDQLRVVAMTA -TPAGTVTTTGQKHPIEEFIAPEVMRGEELGSEFIDIAGLKIPTEEMKGNMLVFVPTRNMAVETAKKLKAK -GYNSGYYYSGEDPANLKVVTSQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRVRISSKMPFIVTGLK -RMAVTIGEQAQRRGRVGRVKPGKYYRSQETATGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYE -EDSLLITQLEVLNNLLISEDLPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDTYETYTF -LNARKLGEDVPAYIYATEDEDLAVDLLGLDWPDPGVQSTTETSRALKQVMGLSTAENALLVALFGYVGYQ -ALSKRHIPIVTDIYTVEDHRLEDTTHLQFAPNAIRTDGKETELKELAVGDIDRCTEAIADYTNKGIQFIK -IQAANVMGSTAVKEVASEVKDYVQKFIDALSESKEEILRYGLWGTHTALYKSIASRLGHETAFATLVIKW -LAFGGESISDHIKQAATDLVVYYIMNKPHFPGDTETQQEGRRFVASLLVAGLATYTYKTWNYNNLSKVVE -PALACLPYAAQALKLFTPTRLESVVILSTAIYKTYLSIRKGKSDGLLGTGVSAAMEIMSQNPVSVGVAVM -LGVGAVAAHNAIESCEQKRTLLMKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTIGNPLRLIYHIYG -VFYKGWETKDLAERTAGRNIFTLIMFEAVELLGVDSEGKIRTLSSNYILDILHRLKNSLQISARKVIIGW -APAPFSCDWTASDDRIHLPSEDYQHIQTKCTCGYEMKAVKGADGKIVKVEEKGSFFCRNKYGRGPINHKV -TRYYKGDMSEVKPMAKIQGVVDFYYKGATIRVDTGNGKTVTATDKWEIDHATITRLLKKHTGIGFNGAYL -GEEPNYKDLIGRDCATITRDSVQFLKMKRGCAFTYDLTLSNLVKLIELVHKNKLEEREIPEVTVTTWLAY -VFVDEDVGTIKPCLGEKVIPEKTGDVSLQSEVILDTTSVGISVVGGSDRATTGITPVVIEKQSVTGGNQN -ILKIGLSEGEYPGPGVNRASISQAVEERDNRPWVLILGSDKATSNRVKTARNVRLYKGSDPVEVRRLMRE -GRLLVISLRDTDKGLHQYIDFKGTYLTRETLEALSMGTPKAKQITKAEVRELLSPPSEDSGLPDWLTAEN -PVFLEATIRQEKYHIVGDVDVVKTKAKELGATDDTKIVKEVGARTYTMKLSSWFTQQSNKHHSLLPLFEE -VLLQCPPKNPNPRVHMVSAYQLAQGNWEPVDCGVHLGTIPAKRSKTHPYEAYTKLKELLEEHKNSNEMGC -GMVKEHNKWILRKIKHHGNLRTKHILNPGKLSEQLARDGGKHNIYNKIIGSTMTSIGIKLEKLPVVRAQT -DTTSFHDAIRDKIDKKENLQNPTLHTKLKEIFNNLSRPELRETYDEVDWGELEIGINRKGAAGFLEKKNI -GEILTTEKKSVEEIIKKLRQGRLINYYETAIPKNEKRDVNDDWEAGDLVTEKKPRVIQYPEAKVRLAITK -VMYKWVKQKPVVIPGYEGKTPLFLIFDKVKKEWDQFQDPVAVSFDTKAWDTQVTSRDLELIRDIQKYYFK -RKWHKFLDAITEHMTQVPVITADGEVYIREGQRGSGQPDTSAGNSMLNVLTMIYAFCESTGVPYRSFNRV -AKIHVCGDDGFLITEKSLGLKFASRGAQILHEAGKPQKILEGDRMKVSHRFEDIEFCSHTPVPVRWADHT -SSYMAGRNTATILSKMATRLDSSGDRGTAAYEKAVAFSFLLMYSWNPLVRRLCLMVMSKTHEVQPNKQAI -YCYEGDPIAAYRDVIGHNLYELKRTGFEKLASLNLSMSVLGIWTKHTSKRLIQDCIEVGKGDGNQLVNAD -RLVSSKTGHIYVPGSGYVVQGRHYEELSITKRPDRQTSNGLERYNLGPIVNLVLRRLRVMLMASIGRGI - ->NP_075354.1 polyprotein [Classical swine fever virus] -MELNHFELLYKTNKQKPMGVEEPVYDATGRPLFGDPSEVHPQSTLKLPHDRGRGNIKTTLKNLPRKGDCR -SGNHLGPVSGIYVKPGPVFYQDYMGPVYHRAPLEFFNEAQFCEVTKRIGRVTGSDGKLYHIYVCIDGCIL -LKLAKRDEPRTLKWIRNFTDCPLWVTSCSDDGASGSKEKKPDRINKGKLKIAPKEHEKDSRTKPPDATIV -VEGVKYQVKKKGKVKGKSTQDGLYHNKNKPPESRKKLEKALLAWAVIAIMLYQPVEAENITQWNLSDNGT -NGIQHAMYLRGVSRSLHGIWPEKICKGVPTYLATDTELKEIQGMMDASEGTNYTCCKLQRHEWNKHGWCN -WYNIDPWIQLMNRTQANLAEGPPAKECAVTCRYDKDADINVVTQARNRPTTLTGCKKGKNFSFAGTVIEG -PCNFNVSVEDILYGDHECGSLLQDTALYLVDGMTNTIENARQGAARVTSWLGRQLSTAGKRLEGRSKTWF -GAYALSPYCNVTSKIGYIWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMGGHLSEFLLLSLVVLS -DFAPETASALYLILHYMIPQSHEEPEGCDTNQLNLTVELRTEDVIPSSVWNVGKYVCVRPDWWPYETKVA -LLFEEAGQVVKLALRALRDLTRVWNSASTTAFLICLIKVLRGQIVQGVIWLLLVTGAQGRLACKEDYRYA -ISSTNEIGLLGAEGLTTTWKEYNHDLQLNDGTVKAICVAGSFKVIALNVVSRRYLASLHKEASLTSVTFE -LLFDGTNPSTEEMGDDFGFGLCPFDTSPVVKGKYNTTLLNGSAFYLVCPIGWTGVIECTAVSPTTLRTEV -VKTFRRDKPFPHRMDCATTTVENGDLFYCKLGGNWTCVKGEPVVYTGGLVKQCRWCGFDFNEPDGLPHYP -IGKCILVNETGYRIVDSTDCNRDGVVISTDGSHECLIGNTTVKVHASDERLGPMPCRPKEIVSSAGPVRK -TSCTFNYAKTLKNKYYEPRDSYFQQYMLKGEYQYWFDLDVTDRHSDYFAEFVVLVVVALLGGRYVLWLIV -TYIVLTEQLAAGLPLGQGEVVLIGNLITHTDIEVVVYFLLLYLVMRDEPIKKWILLLFHAMTNNPVKTIT -VALLMVSGVAKGGKIDGGWQRLPETSFDIQLALTVIVVAVMLLAKRDPTTVPLVITVATLRTAKMTNGLS -TDIAIATVSTALLTWTYISDYYRYKTWLQYLISTVTGIFLIRVLKGIGELDLHTPTLPSYRPLFFILVYL -ISTAVVTRWNLDIAGLLLQCVPTLLMVFTMWADILTLILILPTYELTKLYYLKEVKTGAEKGWLWKTNFK -RVNDIYEVDQSGEGVYLFPSKQKTSSITGTMLPLIKAILISCISNKWQFIYLLYLIFEVSYYLHKKIIDE -IAGGTNFISRLVAALIEANWAFDNEEVRGLKKFFLLSSRVKELIIKHKVRNEVMVHWFGDEEVYGMPKLV -GLVKAATLSKNKHCILCTVCEDREWRGETCPKCGRFGPPMTCGMTLADFEEKHYKRIFFREDQSEGPVRE -EYAGYLQYRARGQLFLRNLPVLATKVKMLLVGNLGTEVGDLEHLGWVLRGPAVCKKVTEHEKCTTSIMDK -LTAFFGVMPRGTTPRAPVRFPTSLLKIRRGLETGWAYTHQGGISSVDHVTCGKDLLVCDTMGRTRVVCQS -NNKMTDESEYGVKTDSGCPEGARCYVFNPEAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKG -WSGLPIFEASSGRVVGRVKVGKNEDSKPTKLMSGIQTVSKSTTDLTEMVKKITTMNRGEFRQITLATGAG -KTTELPRSVIEEIGRHKRVLVLIPLRAAAESVYQYMRQKHPSIAFNLRIGEMKEGDMATGITYASYGYFC -QMPQPKLRAAMVEYSFIFLDEYHCATPEQLAIMGKIHRFSENLRVVAMTATPAGTVTTTGQKHPIEEFIA -PEVMKGEDLGSEYLDIAGLKIPVEEMKSNMLVFVPTRNMAVETAKKLKAKGYNSGYYYSGEDPSNLRVVT -SQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLSPKMPFIVTGLKRMAVTIGEQAQRRGRVGRVK -PGRYYRSQETPVGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLMITQLEILNNLLISEE -LPMAVKNIMARTDHPEPIQLAYNSYETQVPVLFPKIKNGEVTDSYDNYTFLNARKLGDDVPPYVYATEDE -DLAVELLGLDWPDPGNQGTVEAGRALKQVVGLSTAENALLVALFGYVGYQALSKRHIPVVTDIYSIEDHR -LEDTTHLQYAPNAIKTEGKETELKELAQGDVQRCVEAMTNYAREGIQFMKSQALKVKETPTYKETMNTVT -DYVKKFMEALADSKEDILRYGLWGTHTALYKSISARLGSETAFATLVVKWLAFGGESIADHVKQAATDLV -VYYIINRPQFPGDTETQQEGRKFVASLLVSALATYTYKSWNYNNLSKIVEPALATLPYAATALKLFAPTR -LESVVILSTAIYKTYLSIRRGKSDGLLGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIEASEQKRT -LLMKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTVGNPLRLVYHLYGVFYKGWEAKELAQRTAGRNL -FTLIMFEAVELLGVDSEGKIRQLSSNYILELLYKFRDSIKSSVREMAISWAPAPFSCDWTPTDDRIGLPQ -DNFLQVETKCPCGYKMKAVKNCAGELRLLEEEGSFLCRNKFGRGSRNYRVTKYYDDNLSEIKPVIRMEGH -VELYYKGATIKLDFNNSKTILATDKWEIDHSTLVRVLKRHTGAGYHGAYLGEKPNYKHLIERDCATITKD -KVCFLKMKRGCAFTYDLSLHNLTRLIELVHKNNLEDKEIPAVTVTTWLAYTFVNEDIGTIKPAFGEKVTP -EMQEEITLQPAVVVDTTDVTVTVVGEAPTMTTGETPTAFTSSGSDPKGQQVLKLGVGEGQYPGTNPQRAS -LHEAIQGADERPSVLILGSDKATSNRVKTAKNVKVYRGRDPLEVRDMMRRGKILVIALSRVDNALLKFVD -YKGTFLTRETLEALSLGRPKKKNITKAEAQWLLCLEDQMEELPDWFAAGEPIFLEANIKHDRYHLVGDIA -NIKEKAKQLGATDSTKISKEVGAKVYSMKLSNWVMQEENKQGNLTPLFEELLQQCPPGGQNKTAHMVSAY -QLAQGNWMPTSCHVFMGTISARRTKTHPYEAYVKLRELVEEHKMKTLCPGSSLGKHNEWIIGKIKYQGNL -RTKHMLNPGKVAEQLCREGHRHNVYNKTIGSVMTATGIRLEKLPVVRAQTDTTNFHQAIRDKIDKEENLQ -TPGLHKKLMEVFNALKRPELESSYDAVEWEELERGINRKGAAGFFERKNIGEILDSEKNKVEEIIDNLKK -GRNIKYYETAIPKNEKRDVNDDWTAGDFVEEKKPRVIQYPEAKTRLAITKVMYKWVKQKPVVIPGYEGKT -PLFQIFDKVKKEWDQFQNPVAVSFDTKAWDTQVTTKDLELIKDIQKYYFKKKWHKFIDTLTMHMSEVPVI -SADGEVYIRKGQRGSGQPDTSAGNSMLNVLTMIYAFCEATGVPYKSFDRVAKIHVCGDDGFLITERALGE -KFASKGVQILYEAGKPQKITEGDKMKVAYQFDDIEFCSHTPIQVRWSDNTSSYMPGRNTTTILAKMATRL -DSSGERGTIAYEKAVAFSFLLMYSWNPLIRRICLLVLSTELQVKPGKSTTYYYEGDPISAYKEVIGHNLF -DLKRTSFEKLAKLNLSMSVLGAWTRHTSKRLLQDCVNVGVKEGNWLVNADRLVSSKTGNRYIPGEGHTLQ -GRHYEELVLARKQINNFQGTDRYNLGPIVNMVLRRLRVMMMTLIGRGV - ->NP_040937.1 polyprotein [Bovine viral diarrhea virus 1] -MELITNELLYKTYKQKPVGVEEPVYDQAGDPLFGERGAVHPQSTLKLPHKRGERDVPTNLASLPKRGDCR -SGNSRGPVSGIYLKPGPLFYQDYKGPVYHRAPLELFEEGSMCETTKRIGRVTGSDGKLYHIYVCIDGCII -IKSATRSYQRVFRWVHNRLDCPLWVTTCSDTKEEGATKKKTQKPDRLERGKMKIVPKESEKDSKTKPPDA -TIVVEGVKYQVRKKGKTKSKNTQDGLYHNKNKPQESRKKLEKALLAWAIIAIVLFQVTMGENITQWNLQD -NGTEGIQRAMFQRGVNRSLHGIWPEKICTGVPSHLATDIELKTIHGMMDASEKTNYTCCRLQRHEWNKHG -WCNWYNIEPWILVMNRTQANLTEGQPPRECAVTCRYDRASDLNVVTQARDSPTPLTGCKKGKNFSFAGIL -MRGPCNFEIAASDVLFKEHERISMFQDTTLYLVDGLTNSLEGARQGTAKLTTWLGKQLGILGKKLENKSK -TWFGAYAASPYCDVDRKIGYIWYTKNCTPACLPKNTKIVGPGKFGTNAEDGKILHEMGGHLSEVLLLSLV -VLSDFAPETASVMYLILHFSIPQSHVDVMDCDKTQLNLTVELTTAEVIPGSVWNLGKYVCIRPNWWPYET -TVVLAFEEVSQVVKLVLRALRDLTRIWNAATTTAFLVCLVKIVRGQMVQGILWLLLITGVQGHLDCKPEF -SYAIAKDERIGQLGAEGLTTTWKEYSPGMKLEDTMVIAWCEDGKLMYLQRCTRETRYLAILHTRALPTSV -VFKKLFDGRKQEDVVEMNDNFEFGLCPCDAKPIVRGKFNTTLLNGPAFQMVCPIGWTGTVSCTSFNMDTL -ATTVVRTYRRSKPFPHRQGCITQKNLGEDLHNCILGGNWTCVPGDQLLYKGGSIESCKWCGYQFKESEGL -PHYPIGKCKLENETGYRLVDSTSCNREGVAIVPQGTLKCKIGKTTVQVIAMDTKLGPMPCRPYEIISSEG -PVEKTACTFNYTKTLKNKYFEPRDSYFQQYMLKGEYQYWFDLEVTDHHRDYFAESILVVVVALLGGRYVL -WLLVTYMVLSEQKALGIQYGSGEVVMMGNLLTHNNIEVVTYFLLLYLLLREESVKKWVLLLYHILVVHPI -KSVIVILLMIGDVVKADSGGQEYLGKIDLCFTTVVLIVIGLIIARRDPTIVPLVTIMAALRVTELTHQPG -VDIAVAVMTITLLMVSYVTDYFRYKKWLQCILSLVSAVFLIRSLIYLGRIEMPEVTIPNWRPLTLILLYL -ISTTIVTRWKVDVAGLLLQCVPILLLVTTLWADFLTLILILPTYELVKLYYLKTVRTDTERSWLGGIDYT -RVDSIYDVDESGEGVYLFPSRQKAQGNFSILLPLIKATLISCVSSKWQLIYMSYLTLDFMYYMHRKVIEE -ISGGTNIISRLVAALIELNWSMEEEESKGLKKFYLLSGRLRNLIIKHKVRNETVASWYGEEEVYGMPKIM -TIIKASTLSKSRHCIICTVCEGREWKGGTCPKCGRHGKPITCGMSLADFEERHYKRIFIREGNFEGMCSR -CQGKHRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALMDGKVYDITEWAGCQRVGIS -PDTHRVPCHISFGSRMPFRQEYNGFVQYTARGQLFLRNLPVLATKVKMLMVGNLGEEIGNLEHLGWILRG -PAVCKKITEHEKCHINILDKLTAFFGIMPRGTTPRAPVRFPTSLLKVRRGLETAWAYTHQGGISSVDHVT -AGKDLLVCDSMGRTRVVCQSNNRLTDETEYGVKTDSGCPDGARCYVLNPEAVNISGSKGAVVHLQKTGGE -FTCVTASGTPAFFDLKNLKGWSGLPIFEASSGRVVGRVKVGKNEESKPTKIMSGIQTVSKNRADLTEMVK -KITSMNRGDFKQITLATGAGKTTELPKAVIEEIGRHKRVLVLIPLRAAAESVYQYMRLKHPSISFNLRIG -DMKEGDMATGITYASYGYFCQMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSESIRVVAMTA -TPAGSVTTTGQKHPIEEFIAPEVMKGEDLGSQFLDIAGLKIPVDEMKGNMLVFVPTRNMAVEVAKKLKAK -GYNSGYYYSGEDPANLRVVTSQSPYVIVATNAIESGVTLPDLDTVIDTGLKCEKRVRVSSKIPFIVTGLK -RMAVTVGEQAQRRGRVGRVKPGRYYRSQETATGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYE -EDSLLITQLEILNNLLISEDLPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDTYENYSF -LNARKLGEDVPVYIYATEDEDLAVDLLGLDWPDPGNQQVVETGKALKQVTGLSSAENALLVALFGYVGYQ -ALSKRHVPMITDIYTIEDQRLEDTTHLQYAPNAIKTDGTETELKELASGDVEKIMGAISDYAAGGLEFVK -SQAEKIKTAPLFKENAEAAKGYVQKFIDSLIENKEEIIRYGLWGTHTALYKSIAARLGHETAFATLVLKW -LAFGGESVSDHVKQAAVDLVVYYVMNKPSFPGDSETQQEGRRFVASLFISALATYTYKTWNYHNLSKVVE -PALAYLPYATSALKMFTPTRLESVVILSTTIYKTYLSIRKGKSDGLLGTGISAAMEILSQNPVSVGISVM -LGVGAIAAHNAIESSEQKRTLLMKVFVKNFLDQAATDELVKENPEKIIMALFEAVQTIGNPLRLIYHLYG -VYYKGWEAKELSERTAGRNLFTLIMFEAFELLGMDSQGKIRNLSGNYILDLIYGLHKQINRGLKKMVLGW -APAPFSCDWTPSDERIRLPTDNYLRVETRCPCGYEMKAFKNVGGKLTKVEESGPFLCRNRPGRGPVNYRV -TKYYDDNLREIKPVAKLEGQVEHYYKGVTAKIDYSKGKMLLATDKWEVEHGVITRLAKRYTGVGFNGAYL -GDEPNHRALVERDCATITKNTVQFLKMKKGCAFTYDLTISNLTRLIELVHRNNLEEKEIPTATVTTWLAY -TFVNEDVGTIKPVLGERVIPDPVVDINLQPEVQVDTSEVGITIIGRETLMTTGVTPVLEKVEPDASDNQN -SVKIGLDEGNYPGPGIQTHTLTEEIHNRDARPFIMILGSRNSISNRAKTARNINLYTGNDPREIRDLMAA -GRMLVVALRDVDPELSEMVDFKGTFLDREALEALSLGQPKPKQVTKEAVRNLIEQKKDVEIPNWFASDDP -VFLEVALKNDKYYLVGDVGELKDQAKALGATDQTRIIKEVGSRTYAMKLSSWFLKASNKQMSLTPLFEEL -LLRCPPATKSNKGHMASAYQLAQGNWEPLGCGVHLGTIPARRVKIHPYEAYLKLKDFIEEEEKKPRVKDT -VIREHNKWILKKIRFQGNLNTKKMLNPGKLSEQLDREGRKRNIYNHQIGTIMSSAGIRLEKLPIVRAQTD -TKTFHEAIRDKIDKSENRQNPELHNKLLEIFHTIAQPTLKHTYGEVTWEQLEAGVNRKGAAGFLEKKNIG -EVLDSEKHLVEQLVRDLKAGRKIKYYETAIPKNEKRDVSDDWQAGDLVVEKRPRVIQYPEAKTRLAITKV -MYNWVKQQPVVIPGYEGKTPLFNIFDKVRKEWDSFNEPVAVSFDTKAWDTQVTSKDLQLIGEIQKYYYKK -EWHKFIDTITDHMTEVPVITADGEVYIRNGQRGSGQPDTSAGNSMLNVLTMMYGFCESTGVPYKSFNRVA -RIHVCGDDGFLITEKGLGLKFANKGMQILHEAGKPQKITEGEKMKVAYRFEDIEFCSHTPVPVRWSDNTS -SHMAGRDTAVILSKMATRLDSSGERGTTAYEKAVAFSFLLMYSWNPLVRRICLLVLSQQPETDPSKHATY -YYKGDPIGAYKDVIGRNLSELKRTGFEKLANLNLSLSTLGVWTKHTSKRIIQDCVAIGKEEGNWLVKPDR -LISSKTGHLYIPDKGFTLQGKHYEQLQLRTETNPVMGVGTERYKLGPIVNLLLRRLKILLMTAVGVSS - ->sp|Q96662.1|POLG_BVDVC RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -MELITNELLYKTYKQKPAGVEEPVYDQAGNPLFGERGVIHPQSTLKLPHKRGEREVPTNLASLPKRGDCR -SGNSKGPVSGIYLKPGPLFYQDYKGPVYHRAPLEFFEEASMCETTKRIGRVTGSDSRLYHIYVCIDGCII -VKSATKDRQKVLKWVHNKLNCPLWVSSCSDTKDEGVVRKKQQKPDRLEKGRMKITPKESEKDSKTKPPDA -TIVVDGVKYQVKKKGKVKSKNTQDGLYHNKNKPQESRKKLEKALLAWAIIALVFFQVTMGENITQWNLQD -NGTEGIQRAMFQRGVNRSLHGIWPEKICTGVPSHLATDTELKAIHGMMDASEKTNYTCCRLQRHEWNKHG -WCNWYNIEPWILLMNKTQANLTEGQPLRECAVTCRYDRDSDLNVVTQARDSPTPLTGCKKGKNFSFAGIL -VQGPCNFEIAVSDVLFKEHDCTSVIQDTAHYLVDGMTNSLESARQGTAKLTTWLGRQLGILGKKLENKSK -TWFGAYAASPYCEVERKLGYIWYTKNCTPACLPRNTKIIGPGRFDTNAEDGKILHEMGGHLSEVLLLSVV -VLSDFAPETASVIYLILHFSIPQGHTDIQDCDKNQLNLTVELTTAEVIPGSVWNLGKYVCVRPDWWPYET -ATVLVIEEVGQVIKVVLRALKDLTRIWTAATTTAFLVCLVKVVRGQVLQGILWLMLITGAQGYPDCKPGF -SYAIAKNDEIGPLGATGLTTQWYEYSDGMRLQDSVVEVWCKNGEIKYLIRCGREARYLAVLHTRALPTSV -VFEKIFDGKEQEDIVEMDDNFEFGLCPCDARPLIRGKFNTTLLNGPAFQMVCPIGWTGTVSCTLANKDTL -ATIVVRTYKRVRPFPYRQDCVTQKTIGEDLYDCALGGNWTCVPGDALRYVAGPVESCEWCGYKFLKSEGL -PHFPIGKCRLKNESGYRQVDETSCNRNGVAIVPSGTVKCKIGDTVVQVIAMDDKLGPMPCKPHEIISSEG -PVEKTACTFNYTRTLKNKYFEPRDNYFQQYMLKGEYQYWFDLEITDHHRDYFAESLLVIVVALLGGRYVL -WLLVTYMILSEQMASGVQYGAGEIVMMGNLLTHDSVEVVTYFLLLYLLLREENTKKWVILIYHIIVMHPL -KSVTVILLMVGGMAKAEPGAQGYLEQVDLSFTMITIIVIGLVIARRDPTVVPLVTIVAALKITGLGFGPG -VDAAMAVLTLTLLMTSYVTDYFRYKRWIQCILSLVAGVFLIRTLKHLGELKTPELTIPNWRPLTFILLYL -TSATVVTRWKIDIAGIFLQGAPILLMIATLWADFLTLVLILPTYELAKLYYLKNVKTDVEKSWGVPYPDP -QTLGGLDYRTIDSVYDVDESGEGVYLFPSRQKKNKNISILLPLIRATLISCISSKWQMVYMAYLTLDFMY -YMHRKVIEEISGSTNVMSRVIAALIELNWSMEEEESKGLKKFFILSGRLRNLIIKHKVRNQTVASWYGEE -EVYGMPKVVTIIRACTLNKNKHCIICTVCEARKWKGGNCPKCGRHGKPIICGMTLADFEERHYKRIFIRE -GNFEGPFRQEYNGFVQYTARGQLFLRNLPILATKVKMIMVGNLGEEIGDLEHLGWILRGPAVCKKITEHE -KCHVNILDKLTAFFGVMPRGTTPRAPVRFPTALLKVRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSM -GRTRVVCQSNNKLTDETEYGVKTDSGCPDGARCYVLNPEAVNISGSKGAVVHLQKTGGEFTCVTASGTPA -FFDLKNLKGWSGLPIFEASSGRVVGRVKVGKNEESKPTKLMSGIQTVSKNTADLTEMVKKITSMNRGDFR -QITLATGAGKTTELPKAVIEEIGRHKRVLVLIPLRAAAESVYQYMRLKHPSISFNLRIGDMKEGDMATGI -TYASYGYFCQMPQPKLRAAMIEYSYIFLDEYHCATPEQLAVIGKIHRFSESIRVVAMTATPAGSVTTTGQ -KHPIEEFIAPEVMKGEDLGSQFLDIAGLKIPVEEMKGNMLVFVPTRNMAVEVAKKLKAKGYNSGYYYSGE -DPANLRVVTSQSPYVVVATNAIESGVTLPDLDTVVDTGLKCEKRVRVSSKIPFIVTGLKRMAVTVGEQAQ -RRGRVGRVKPGRYYRSQETATGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYEEDSLLITQLEI -LNNLLISEDLPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDTYENYSFLNARKLGEDVP -VYVYATEDEDLAVDLLGLDWPDPGNQQVVETGKALKQVVGLSSAENALLIALFGYVGYQALSKRHVPMIT -DIYTIEDQRLEDTTHLQYAPNAIRTEGKETELKELAVGDLDKIMGSISDYASEGLNFVRSQAEKMRSAPA -FKENVEAAKGYVQKFIDSLIENKETIIRYGLWGTHTALYKSIAARLGHETAFATLVIKWLAFGGESVSDH -MRQAAVDLVVYYVINKPSFPGDSETQQEGRRFVASLFISALATYTYKTWNYNNLSKVVEPALAYLPYATN -ALKMFTPTRLESVVILSTTIYKTYLSIRKGKSDGLLGTGISAAMEILSQNPVSVGISVMLGVGAIAAHNA -IESSEQKRTLLMKVFVKNFLDQAATDELVKENPEKIIMALFEAVQTIGNPLRLIYHLYGVYYKGWEAKEL -SERTAGRNLFTLIMFEAFELLGMDSEGKIRNLSGNYVLDLIYSLHKQINRGLKKIVLGWAPAPFSCDWTP -SDERIRLPTNNYLRVETKCPCGYEMKALRNVGGSLTKVEEKGPFLCRNRLGRGPVNYRVTKYYDDNLKEI -KPVAKLEGFVDHYYKGVTARIDYGRGKMLLATDKWEVEHGVVTRLAKRYTGVGFKGAYLGDEPNHRDLVE -RDCATITKNTVQFLKMKKGCAFTYDLTLSNLTRLIELVHKNNLEEKDIPAATVTTWLAYTFVNEDIGTIK -PVLGERVVTDPVVDVNLQPEVQVDTSEVGITLVGRAALMTTGTTPVVEKTEPNADGGPSSIKIGLDEGRY -PGPGLQDRTLTDEIHSRDERPFVLVLGSKNSMSNRAKTARNINLYKGNNPREIRDLMAQGRMLVVALKDF -NPELSELVDFKGTFLDREALEALSLGRPKSKQVTTATVRELLEQEVQVEIPSWFGAGDPVFLEVTLKGDR -YHLVGDVDRVKDQAKELGATDQTRIVKEVGARTYTMKLSSWFLQATNKQMSLTPLFEELLLRCPPKIKSN -KGHMASAYQLAQGNWEPLDCGVHLGTIPARRVKIHPYEAYLKLKDLLEEEEKKPKCRDTVIREHNKWILK -KVRHQGNLNTKKILNPGKLSEQLDREGHKRNIYNNQIGTIMTEAGSRLEKLPVVRAQTDTKSFHEAIRDK -IDKNENQQSPGLHDKLLEIFHTIAQPSLRHTYSDVTWEQLEAGVNRKGAAGFLEKKNVGEVLDSEKHLVE -QLIRDLKTGRKIRYYETAIPKNEKRDVSDDWQSGDLVDEKKPRVIQYPEAKTRLAITKVMYNWVKQQPVV -IPGYEGKTPLFNIFNKVRKEWDLFNEPVAVSFDTKAWDTQVTSRDLRLIGEIQKYYYRKEWHKFIDTITD -HMVEVPVITADGEVYIRNGQRGSGQPDTSAGNSMLNVLTMMYAFCESTGVPYKSFNRVARIHVCGDDGFL -ITEKGLGLKFANNGMQILHEAGKPQKITEGERMKVAYRFEDIEFCSHTPVPVRWSDNTSSYMAGRDTAVI -LSKMATRLDSSGERGTIAYEKAVAFSFLLMYSWNPLVRRICLLVLSQQPETTPSTQTTYYYKGDPIGAYK -DVIGKNLCELKRTGFEKLANLNLSLSTLGIWSKHTSKRIIQDCVTIGKEEGNWLVNADRLISSKTGHLYI -PDKGYTLQGKHYEQLQLQARTSPVTGVGTERYKLGPIVNLLLRRLRVLLMAAVGASS - ->sp|P19712.2|POLG_CSFVA RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Short=NS2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -MELNHFELLYKTSKQKPVGVEEPVYDTAGRPLFGNPSEVHPQSTLKLPHDRGRGDIRTTLRDLPRKGDCR -SGNHLGPVSGIYIKPGPVYYQDYTGPVYHRAPLEFFDEAQFCEVTKRIGRVTGSDGKLYHIYVCVDGCIL -LKLAKRGTPRTLKWIRNFTNCPLWVTSCSDDGASGSKDKKPDRMNKGKLKIAPREHEKDSKTKPPDATIV -VEGVKYQIKKKGKVKGKNTQDGLYHNKNKPPESRKKLEKALLAWAVITILLYQPVAAENITQWNLSDNGT -NGIQRAMYLRGVNRSLHGIWPEKICKGVPTHLATDTELKEIRGMMDASERTNYTCCRLQRHEWNKHGWCN -WYNIDPWIQLMNRTQTNLTEGPPDKECAVTCRYDKNTDVNVVTQARNRPTTLTGCKKGKNFSFAGTVIEG -PCNFNVSVEDILYGDHECGSLLQDTALYLLDGMTNTIENARQGAARVTSWLGRQLSTAGKKLERRSKTWF -GAYALSPYCNVTRKIGYIWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMGGHLSEFLLLSLVILS -DFAPETASTLYLILHYAIPQSHEEPEGCDTNQLNLTVKLRTEDVVPSSVWNIGKYVCVRPDWWPYETKVA -LLFEEAGQVIKLVLRALRDLTRVWNSASTTAFLICLIKVLRGQVVQGIIWLLLVTGAQGRLACKEDYRYA -ISSTNEIGLLGAEGLTTTWKEYSHGLQLDDGTVKAVCTAGSFKVTALNVVSRRYLASLHKRALPTSVTFE -LLFDGTNPAIEEMDDDFGFGLCPFDTSPVIKGKYNTTLLNGSAFYLVCPIGWTGVVECTAVSPTTLRTEV -VKTFRRDKPFPHRVDCVTTIVEKEDLFHCKLGGNWTCVKGDPVTYKGGQVKQCRWCGFEFKEPYGLPHYP -IGKCILTNETGYRVVDSTDCNRDGVVISTEGEHECLIGNTTVKVHALDERLGPMPCRPKEIVSSEGPVRK -TSCTFNYTKTLRNKYYEPRDSYFQQYMLKGEYQYWFNLDVTDHHTDYFAEFVVLVVVALLGGRYVLWLIV -TYIILTEQLAAGLQLGQGEVVLIGNLITHTDNEVVVYFLLLYLVIRDEPIKKWILLLFHAMTNNPVKTIT -VALLMISGVAKGGKIDGGWQRQPVTSFDIQLALAVVVVVVMLLAKRDPTTFPLVITVATLRTAKITNGFS -TDLVIATVSAALLTWTYISDYYKYKTWLQYLVSTVTGIFLIRVLKGIGELDLHAPTLPSHRPLFYILVYL -ISTAVVTRWNLDVAGLLLQCVPTLLMVFTMWADILTLILILPTYELTKLYYLKEVKIGAERGWLWKTNYK -RVNDIYEVDQTSEGVYLFPSKQRTSAITSTMLPLIKAILISCISNKWQLIYLLYLIFEVSYYLHKKVIDE -IAGGTNFVSRLVAALIEVNWAFDNEEVKGLKKFFLLSSRVKELIIKHKVRNEVVVRWFGDEEIYGMPKLI -GLVKAATLSRNKHCMLCTVCEDRDWRGETCPKCGRFGPPVVCGMTLADFEEKHYKRIFIREDQSGGPLRE -EHAGYLQYKARGQLFLRNLPVLATKVKMLLVGNLGTEIGDLEHLGWVLRGPAVCKKVTEHERCTTSIMDK -LTAFFGVMPRGTTPRAPVRFPTSLLKIRRGLETGWAYTHQGGISSVDHVTCGKDLLVCDTMGRTRVVCQS -NNKMTDESEYGVKTDSGCPEGARCYVFNPEAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKG -WSGLPIFEASSGRVVGRVKVGKNEDSKPTKLMSGIQTVSKSATDLTEMVKKITTMNRGEFRQITLATGAG -KTTELPRSVIEEIGRHKRVLVLIPLRAAAESVYQYMRQKHPSIAFNLRIGEMKEGDMATGITYASYGYFC -QMSQPKLRAAMVEYSFIFLDEYHCATPEQLAIMGKIHRFSENLRVVAMTATPAGTVTTTGQKHPIEEFIA -PEVMKGEDLGSEYLDIAGLKIPVEEMKNNMLVFVPTRNMAVEAAKKLKAKGYNSGYYYSGEDPSNLRVVT -SQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLSPKMPFIVTGLKRMAVTIGEQAQRRGRVGRVK -PGRYYRSQETPVGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLMITQLEILNNLLISEE -LPMAVKNIMARTDHPEPIQLAYNSYETQVPVLFPKIRNGEVTDTYDNYTFLNARKLGDDVPPYVYATEDE -DLAVELLGLDWPDPGNQGTVEAGRALKQVVGLSTAENALLVALFGYVGYQALSKRHIPVVTDIYSVEDHR -LEDTTHLQYAPNAIKTEGKETELKELAQGDVQRCVEAVTNYAREGIQFMKSQALKVRETPTYKETMNTVA -DYVKKFIEALTDSKEDIIKYGLWGAHTALYKSIGARLGHETAFATLVVKWLAFGGESISDHIKQAATDLV -VYYIINRPQFPGDTETQQEGRKFVASLLVSALATYTYKSWNYNNLSKIVEPALATLPYAAKALKLFAPTR -LESVVILSTAIYKTYLSIRRGKSDGLLGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIEASEQKRT -LLMKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTVGNPLRLVYHLYGVFYKGWEAKELAQRTAGRNL -FTLIMFEAVELLGVDSEGKIRQLSSNYILELLYKFRDNIKSSVREIAISWAPAPFSCDWTPTDDRIGLPH -ENYLRVETKCPCGYRMKAVKNCAGELRLLEEGGSFLCRNKFGRGSQNYRVTKYYDDNLSEIKPVIRMEGH -VELYYKGATIKLDFNNSKTVLATDKWEVDHSTLVRALKRYTGAGYRGAYLGEKPNHKHLIQRDCATITKD -KVCFIKMKRGCAFTYDLSLHNLTRLIELVHKNNLEDREIPAVTVTTWLAYTFVNEDIGTIKPTFGEKVTP -EKQEEVVLQPAVVVDTTDVAVTVVGETSTMTTGETPTTFTSLGSDSKVRQVLKLGVDDGQYPGPNQQRAS -LLEAIQGVDERPSVLILGSDKATSNRVKTAKNVKIYRSRDPLELREMMKRGKILVVALSRVDTALLKFVD -YKGTFLTRETLEALSLGKPKKRDITKAEAQWLLRLEDQIEELPDWFAAKEPIFLEANIKRDKYHLVGDIA -TIKEKAKQLGATDSTKISKEVGAKVYSMKLSNWVIQEENKQGSLAPLFEELLQQCPPGGQNKTTHMVSAY -QLAQGNWVPVSCHVFMGTIPARRTKTHPYEAYVKLRELVDEHKMKALCGGSGLSKHNEWVIGKVKYQGNL -RTKHMLNPGKVAEQLHREGYRHNVYNKTIGSVMTATGIRLEKLPVVRAQTDTTNFHQAIRDKIDKEENLQ -TPGLHKKLMEVFNALKRPELEASYDAVDWEELERGINRKGAAGFFERKNIGEVLDSEKNKVEEVIDSLKK -GRNIRYYETAIPKNEKRDVNDDWTAGDFVDEKKPRVIQYPEAKTRLAITKVMYKWVKQKPVVIPGYEGKT -PLFQIFDKVKKEWDQFQNPVAVSFDTKAWDTQVTTRDLELIRDIQKFYFKKKWHKFIDTLTKHMSEVPVI -SADGEVYIRKGQRGSGQPDTSAGNSMLNVLTMVYAFCEATGVPYKSFDRVAKIHVCGDDGFLITERALGE -KFASKGVQILYEAGKPQKITEGDKMKVAYQFDDIEFCSHTPVQVRWSDNTSSYMPGRNTTTILAKMATRL -DSSGERGTIAYEKAVAFSFLLMYSWNPLIRRICLLVLSTELQVRPGKSTTYYYEGDPISAYKEVIGHNLF -DLKRTSFEKLAKLNLSMSTLGVWTRHTSKRLLQDCVNVGTKEGNWLVNADRLVSSKTGNRYIPGEGHTLQ -GKHYEELILARKPIGNFEGTDRYNLGPIVNVVLRRLKIMMMALIGRGV - ->sp|Q01499.1|POLG_BVDVS RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -MELITNELLYKTYKQKPVGVEEPVYDQAGNPLFGERGAIHPQSTLKLPHKRGERNVPTSLASLPKRGDCR -SGNSKGPVSGIYLKPGPLFYQDYKGPVYHRAPLELFEEGSMCETTKRIGRVTGSDGKLYHIYICIDGCIT -VKSATRSHQRVLRWVHNRLDCPLWVTSCSDTKEEGATKKKQQKPDRLEKGRMKIVPKESEKDSKTKPPDA -TIVVDGVKYQVKKKGKVKSKNTQDGLYHNKNKPPESRKKLEKALLAWAILAVVLIEVTMGENITQWNLQD -NGTEGIQRAMFQRGVNRSLHGIWPEKICTGVPSHLATDVELKTIHGMMDASEKTNYTCCRLQRHEWNKHG -WCNWYNIEPWILIMNRTQANLTEGQPPRECAVTCRYDRDSDLNVVTQARDSPTPLTGCKKGKNFSFAGVL -TRGPCNFEIAASDVLFKEHECTGVFQDTAHYLVDGVTNSLESARQGTAKLTTWLGKQLGILGKKLENKSK -TWFGAYAASPYCDVDRKIGYIWFTKNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMGGHLSEVLLLSLV -VLSDFAPETASAMYLILHFSIPQSHVDITDCDKTQLNLTIELTTADVIPGSVWNLGKYVCIRPDWWPYET -AAVLAFEEVGQVVKIVLRALRDLTRIWNAATTTAFLVCLIKMVRGQVVQGILWLLLITGVQGHLDCKPEY -SYAIAKNDRVGPLGAEGLTTVWKDYSHEMKLEDTMVIAWCKGGKFTYLSRCTRETRYLAILHSRALPTSV -VFKKLFEGQKQEDTVEMDDDFEFGLCPCDAKPIVRGKFNTTLLNGPAFQMVCPIGWTGTVSCMLANRDTL -DTAVVRTYRRSVPFPYRQGCITQKTLGEDLYDCALGGNWTCVTGDQSRYTGGLIESCKWCGYKFQKSEGL -PHYPIGKCRLNNETGYRLVDDTSCDREGVAIVPHGLVKCKIGDTTVQVIATDTKLGPMPCKPHEIISSEG -PIEKTACTFNYTRTLKNKYFEPRDSYFQQYMLKGDYQYWFDLEVTDHHRDYFAESILVVVVALLGGRYVL -WLLVTYMVLSEQKASGAQYGAGEVVMMGNLLTHDNVEVVTYFFLLYLLLREESVKKWVLLLYHILVAHPL -KSVIVILLMIGDVVKADPGGQGYLGQIDVCFTMVVIIIIGLIIARRDPTIVPLITIVASLRVTGLTYSPG -VDAAMAVITITLLMVSYVTDYFRYKRWLQCILSLVSGVFLIRCLIHLGRIETPEVTIPNWRPLTLILFYL -ISTTVVTMWKIDLAGLLLQGVPILLLITTLWADFLTLILILPTYELVKLYYLKTIKTDIEKSWLGGLDYK -RVDSIYDVDESGEGVYLFPSRQKAQKNFSMLLPLVRATLISCVSSKWQLIYMAYLSVDFMYYMHRKVIEE -ISGGTNMISRIVAALIELNWSMEEEESKGLKKFYLLSGRLRNLIIKHKVRNETVAGWYGEEEVYGMPKIM -TIIKASTLNKNKHCIICTVCEGRKWKGGTCPKCGRHGKPITCGMSLADFEERHYKRIFIREGNFEGPFRQ -EYNGFIQYTARGQLFLRNLPILATKVKMLMVGNLGEEVGDLEHLGWILRGPAVCKKITEHERCHINILDK -LTAFFGIMPRGTTPRAPVRFPTSLLKVRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSMGRTRVVCQS -NNKLTDETEYGVKTDSGCPDGARCYVLNPEAVNISGSKGAVVHLQKTGGEFTCVTASGTPAFFDLKNLKG -WSGLPIFEASSGRVVGRVKVGKNEESKPTKIMSGIQTVSKNTADLTEMVKKITSMNRGDFKQITLATGAG -KTTELPKAVIEEIGRHKRVLVLIPLRAAAESVYQYMRLKHPSISFNLRIGDMKEGDMATGITYASYGYFC -QMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSESIRVVAMTATPAGSVTTTGQKHPIEEFIA -PEVMEGEDLGSQFLDIAGLKIPVDEMKGNMLVFVPTRNMAVEVAKKLKAKGYNSGYYYSGEDPANLRVVT -SQSPYVIVATNAIESGVTLPDLDTVVDTGLKCEKRVRVSSKIPFIVTGLKRMAVTVGEQAQRRGRVGRVK -PGRYYRSQETATGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYEEDSLLITQLEILNNLLISED -LPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDTYENYSFLNARKLGEDVPVYIYATEDE -DLAVDLLGLDWPDPGNQQVVETGKALKQVAGLSSAENALLVALFGYVGYQALSKRHVPMITDIYTIEDQR -LEDTTHLQYAPNAIKTEGTETELKELASGDVEKIMGAISDYAAGGLDFVKSQAEKIKTAPLFKENVEAAR -GYVQKLIDSLIEDKDVIIRYGLWGTHTALYKSIAARLGHETAFATLVLKWLAFGGETVSDHIRQAAVDLV -VYYVMNKPSFPGDTETQQEGRRFVASLFISALATYTYKTWNYNNLSKVVEPALAYLPYATSALKMFTPTR -LESVVILSTTIYKTYLSIRKGKSDGLLGTGISAAMEILSQNPVSVGISVMLGVGAIAAHNAIESSEQKRT -LLMKVFVKNFLDQAATDELVKENPEKIIMALFEAVQTIGNPLRLIYHLYGVYYKGWEAKELSERTAGRNL -FTLIMFEAFELLGMDSEGKIRNLSGNYILDLIHGLHKQINRGLKKIVLGWAPAPFSCDWTPSDERIRLPT -DSYLRVETKCPCGYEMKALKNVSGKLTKVEESGPFLCRNRPGRGPVNYRVTKYYDDNLREIRPVAKLEGQ -VEHYYKGVTARIDYSKGKTLLATDKWEVEHGTLTRLTKRYTGVGFRGAYLGDEPNHRDLVERDCATITKN -TVQFLKMKKGCAFTYDLTISNLTRLIELVHRNNLEEKEIPTATVTTWLAYTFVNEDVGTIKPVLGERVIP -DPVVDINLQPEVQVDTSEVGITIIGKEAVMTTGVTPVMEKVEPDTDNNQSSVKIGLDEGNYPGPGVQTHT -LVEEIHNKDARPFIMVLGSKSSMSNRAKTARNINLYTGNDPREIRDLMAEGRILVVALRDIDPDLSELVD -FKGTFLDREALEALSLGQPKPKQVTKAAIRDLLKEERQVEIPDWFTSDDPVFLDIAMKKDKYHLIGDVVE -VKDQAKALGATDQTRIVKEVGSRTYTMKLSSWFLQASSKQMSLTPLFEELLLRCPPATKSNKGHMASAYQ -LAQGNWEPLGCGVHLGTVPARRVKMHPYEAYLKLKDLVEEEEKKPRIRDTVIREHNKWILKKIKFQGNLN -TKKMLNPGKLSEQLDREGHKRNIYNNQISTVMSSAGIRLEKLPIVRAQTDTKSFHEAIRDKIDKNENRQN -PELHNKLLEIFHTIADPSLKHTYGEVTWEQLEAGINRKGAAGFLEKKNIGEVLDSEKHLVEQLVRDLKAG -RKIRYYETAIPKNEKRDVSDDWQAGDLVDEKKPRVIQYPEAKTRLAITKVMYNWVKQQPVVIPGYEGKTP -LFNIFNKVRKEWDLFNEPVAVSFDTKAWDTQVTSRDLHLIGEIQKYYYRKEWHKFIDTITDHMVEVPVIT -ADGEVYIRNGQRGSGQPDTSAGNSMLNVLTMIYAFCESTGVPYKSFNRVAKIHVCGDDGFLITEKGLGLK -FSNKGMQILHEAGKPQKLTEGEKMKVAYKFEDIEFCSHTPVPVRWSDNTSSYMAGRDTAVILSKMATRLD -SSGERGTTAYEKAVAFSFLLMYSWNPLVRRICLLVLSQRPETAPSTQTTYYYKGDPIGAYKDVIGRNLSE -LKRTGFEKLANLNLSLSTLGIWTKHTSKRIIQDCVAIGKEEGNWLVNADRLISSKTGHLYIPDKGFTLQG -KHYEQLQLGAETNPVMGVGTERYKLGPIVNLLLRRLKVLLMAAVGASS - ->sp|P21530.1|POLG_CSFVB RecName: Full=Genome polyprotein; Contains: RecName: Full=N-terminal protease; Short=N-pro; AltName: Full=Autoprotease p20; Contains: RecName: Full=Capsid protein C; Contains: RecName: Full=E(rns) glycoprotein; AltName: Full=gp44/48; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp33; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=gp55; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Non-structural protein 2-3; Short=NS2-3; Contains: RecName: Full=Cysteine protease NS2; AltName: Full=Non-structural protein 2; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B -MELNHFELLYKTNKQKPMGVEEPVYDVTGRPLFGDPSEVHPQSTLKLPHDRGRGNIKTTLKNLPRRGDCR -SGNHLGPVSGIYVKPGPVFYQDYMGPVYHRAPLEFFDEAQFCEVTKRIGRVTGSDGKLYHIYVCIDGCIL -LKLAKRGEPRTLKWIRNLTDCPLWVTSCSDDGASASKEKKPDRINKGKLKIAPKEHEKDSRTKPPDATIV -VEGVKYQVKKKGKVKGKNTQDGLYHNKNKPPESRKKLEKALLAWAVIAIMLYQPVAAENITQWNLRDNGT -NGIQHAMYLRGVSRSLHGIWPEKICKGVPTYLATDTELREIQGMMVASEGTNYTCCKLQRHEWNKHGWCN -WYNIDPWIQLMNRTQANLAEGPPSKECAVTCRYDKNADINVVTQARNRPTTLTGCKKGTNFSFAGTVIEG -PCNFNVSVEDILYGDHECGSLLQDTALYLVDGMTNTIERARQGAARVTSWLGRQLRIAGKRLEGRSKTWF -GAYALSPYCNVTTKIGYIWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMGGHLSEFLLLSLVVLS -DFAPETASALYLILHYVIPQSHEEPEGCDTNQLNLTVELRTEDVIPSSVWNVGKYVCVRPDWWPYETKVA -LLFEEAGQVVKLALRALRDLTRVWNSASTTAFLICLIKVLRGQVVQGVIWLLLVTGAQGRLACKEDHRYA -ISTTNEIGLHGAEGLTTTWKEYNHNLQLDDGTVKAICMAGSFKVTALNVVSRRYLASLHKDALPTSVTFE -LLFDGTSPLTEEMGDDFGFGLCPYDTSPVVKGKYNTTLLNGSAFYLVCPIGWTGVIECTAVSPTTLRTEV -VKTFRREKPFPYRRDCVTTTVENEDLFYCKWGGNWTCVKGEPVTYTGGPVKQCRWCGFDFNEPDGLPHYP -IGKCILANETGYRIVDSTDCNRDGVVISTEGSHECLIGNTTVKVHALDERLGPMPCRPKEIVSSAGPVRK -TSCTFNYAKTLRNRYYEPRDSYFQQYMLKGEYQYWFDLDVTDRHSDYFAEFIVLVVVALLGGRYVLWLIV -TYIVLTEQLAAGLQLGQGEVVLIGNLITHTDIEVVVYFLLLYLVMRDEPIKKWILLLFHAMTNNPVKTIT -VALLMVSGVAKGGKIDGGWQRLPETNFDIQLALTVIVVAVMLLAKKDPTTVPLVITVATLRTAKITNGLS -TDLAIATVSTALLTWTYISDYYKYKTLLQYLISTVTGIFLIRVLKGVGELDLHTPTLPSYRPLFFILVYL -ISTAVVTRWNLDIAGLLLQCVPTLLMVFTMWADILTLILILPTYELTKLYYLKEVKIGAERGWLWKTNFK -RVNDIYEVDQAGEGVYLFPSKQKTGTITGTMLPLIKAILISCISNKWQFIYLLYLIFEVSYYLHKKIIDE -IAGGTNFISRLVAALIEANWAFDNEEVRGLKKFFLLSSRVKELIIKHKVRNEVMVHWFGDEEVYGMPKLV -GLVKAATLSKNKHCILCTVCENREWRGETCPKCGRFGPPVTCGMTLADFEEKHYKRIFFREDQSEGPVRE -EYAGYLQYRARGQLFLRNLPVLATKVKMLLVGNLGTEVGDLEHLGWVLRGPAVCKKVTEHEKCTTSIMDK -LTAFFGVMPRGTTPRAPVRFPTSLLKIRRGLETGWAYTHQGGISSVDHVTCGKDLLVCDTMGRTRVVCQS -NNKMTDESEYGVKTDSGCPEGARCYVFNREAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKG -WSGLPIFEASSGRVVGRVKVGKNEDSKPTKLMSGIQTVSKSTTDLTEMVKKITTMNRGEFRQITLATGAG -KTTELPRSVIEEIGRHKRVLVLIPLRAAAESVYQYMRQKHPSIAFNLRIGEMKEGDMATGITYASYGYFC -QMPQPKLRAAMVEYSFIFLDEYHCSTPEQLAIMGKIHRFSENLRVVAMTATPAGTVTTTGQKHPIEEYIA -PEVMKGEDLGPEYLDIAGLKIPVEEMKSNMLVFVPTRNMAVETAKKLKAKGYNSGYYYSGEDPSNLRVVT -SQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLSPKMPFIVTGLKRMAVTIGEQAQRRGRVGRVK -PGRYYRSQETPVGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLMITQLEILNNLLISEE -LPMAVKNIMARTDHPEPIQLAYNSYETQVPVLFPKIKNGEVTDSYDNYTFLNARKLGDDVPPYVYATEDE -DLAVELLGLDWPDPGNQGTVEAGRALKQVVGLSTAENALLVALFGYVGYQALSKRHIPVVTDIYSIEDHR -LEDTTHLQYAPNAIKTEGKETELKELAQGDVQRCMEAMTNYARDGIQFMKSQALKVKETPTYKETMDTVA -DYVKKFMEALADSKEDIIKYGLWGTHTALYKSIGARLGNETAFATLVVKWLAFGGESIADHVKQAATDLV -VYYIINRPQFPGDTETQQEGRKFVASLLVSALATYTYKSWNYNNLSKIVEPALATLPYAATALKLFAPTR -LESVVILSTAIYKTYLSIRRGKSDGLLGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIEASEQKRT -LLMKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTVGNPLRLVYHVYGVFYKGWEAKELAQRTAGRNL -FTLIMFEAVELLGVDSEGKIRQLSSNYILELLYKFRDSIKSSVRQMAISWAPAPFSCDWTPTDDRIGLPQ -DNFLRVETKCPCGYKMKAVKNCAGELRLLEEEGSFLCRNKFGRGSRNYRVTKYYDDNLSEIKPVIRMEGH -VELYYKGATIKLDFNNSKTILATDKWEVDHSTLVRVLKRHTGAGYCGAYLGEKPNHKHLIERDCATITKD -KVCFLKMKRGCAFTYDLSLHNLTRLIELVHKNNLEDKEIPAVTVTTWLAYTFVNEDIGTIKPAFGEKITP -EMQEEITLQPAVLVDATDVTVTVVGETPTMTTGETPTTFTSSGPDPKGQQVLKLGVGEGQYPGTNPQRAS -LHEAIQSADERPSVLILGSDKATSNRVKTVKNVKVYRGRDPLEVRDMMRRGKILVIALSRVDNALLKFVD -YKGTFLTRETLEALSLGRPKKKNITKAEAQWLLRLEDQMEELPDWFAAGEPIFLEANIKHDRYHLVGDIA -TIKEKAKQLGATDSTKISKEVGAKVYSMKLSNWVMQEENKQSNLTPLFEELLQQCPPGGQNKTAHMVSAY -QLAQGNWMPTSCHVFMGTISARRTKTHPYEAYVKLRELVEEHKMKTLCPGSSLRNDNEWVIGKIKYQGNL -RTKHMLNPGKVAEQLHREGHRHNVYNKTIGSVMTATGIRLEKLPVVRAQTDTTNFHQAIRDKIDKEENLQ -TPGLHKKLMEVFNALKRPELESSYDAVEWEELERGINRKGAAGFFERKNIGEILDSEKIKVEEIIDNLKK -GRNIKYYETAIPKNEKRDVNDDWTAGDFVDEKKPRVIQYPEAKTRLAITKVMYKWVKQKPVVIPGYEGKT -PLFQIFDKVKKEWDQFQNPVAVSFDTKAWDTQVTTNDLELIKDIQKYYFKKKWHKFIDTLTMHMSEVPVI -TADGEVYIRKGQRGSGQPDTSAGNSMLNVLTMVYAFCEATGVPYKSFDRVAKIHVCGDDGFLITERALGE -KFASKGVQILYEAGKPQKITEGDKMKVAYQFADIEFCSHTPIQVRWSDNTSSYMPGRNTTTILAKMATRL -DSSGERGTIAYEKAVAFSFLLMYSWNPLIRRICLLVLSTELQVKPGKSTTYYYEGDPISAYKEVIGHNLF -DLKRTSFEKLAKLNLSMSVLGAWTRHTSKRLLQDCVNMGVKEGNWLVNADRLVSSKTGNRYVPGEGHTLQ -GRHYEELALARKQINSFQGTDRYNLGPIVNMVLRRLRVMMMTLIGRGV - ->AQY77579.1 polyprotein [Atypical porcine pestivirus] -MEKQIAYYLKKEKQRNGWTELVVGESHTKITTLSGKTYRGTWEMEKRSNPYGTYLPRPSPQQLTALHPHP -VVNCKVTEYKESDPNYGDCPNTNGVFIDEKGRRLSSPPLGIWKIRLDYSDLVNINRPAPASGKNSYRVET -CSGELATVTPVHDRVLVEDCRGLYQWKPNCEGMVLYVKTCSDWADQVERQEKENPPKPQRPPRRDPRKGL -QPQVPKETEVTEKKRQPSVTLVSGGQKAQIIYKGKTKNKKTPDGVYKYPGAREGDVVKVRKMLKNWHIAV -IIYLIYIITPSFAKVQWFLKDENSTGINQILWQRQINRSLHGEWPNQICHGMPNETITDEELRSLGMIDT -SPRTNYTCCQLQYHEWKKHGWCNYPQKQTWIRRIMALQVNLTGAYEGPECAVTCRFNGSYNIVKQARDEV -SPLTGCKEGHPFLFSGERSDTSCLRPPSNSWVRPVKMDEASMADGFAHGVDKAIILIRRGASGIINFLDT -IGRWLPVAEATIVPYCETYTVTGMYVHVKNCLPRGLPKHSKIISPTIIYLGEGDPAHNIQHLFGSGIAKW -VLVLLGVLGEWYGELASTIYLLLEYGSEWLEHENLITEGLTPGINITIELPASHTVPGWVWVAGQWVCVK -PDWWPTQIWIETVVAETWHILKILASALVNVVTAFVNLELVYLVIILVKISKGNLIGAILWCLLLSGAEG -SCHKRQDHYNIQLVVEEKTGVEKRSIMGKWTVITREGREPRLMEQINMVSNDSLSETYCYNRLNTSSWGR -QPARQRGCGQTVPYWPGDNVLEEQYYSTGYWVNATGGCQLREGVWLSRKGNVQCQRNGSSLILQLAIKEE -NDTMEIPCDPVETESMGPVAQGTCVYSWAFAPRGWYYNRKDGYWLQYIKKNDYQYWTKMPTTSSAATMYR -HLLPLLVACLMGGRISVWIVAMLLSLQVEASEVGTKQLAVTLTLWKMDWTELLFYIIIMLAVREELIKKI -VTASLVALKNSPVALSFLIVLRLVGGSEALPVGLLLEKMCIDQPEFGTPFLIYLWDNWKWTVLVSFSALN -HEKTIKLARKLLLATHITALTLTGLSDSIFYMMLIMTNLLIKTFIYLLGASINWVEKEKKKLLVKRKLIY -KKAAISNQGENELENKFNRITVNADFTPCKLELLQLLRAFLVSLCFSYYKPLLYAETTLTVIVIGVQEYN -VAMARGRSVVHRLLAMAYYIYGRIQGDMFQLATIQCLLSSPRKIMKHMIENPTLKKLWQGETELFNQGVS -QSKIVNPRKIGLEELHKGMCGLPTVVQNLVIYAKKNDSLILGELGYPPGELTSDGWEILGPGRIPKITNV -ESAKMDLLSKLMTFLGIESSRVPRTPVHSTRKLLKIVRGLETGWGYTHAGGISSAKHVPGEKNLMTHMEG -RKGKYILQSQEHGADEVEYGVKTDQKAPDNALCYCFNPEATNIKGETGAMVFMKKIGKKWTLVTSDGNKA -YYNVNNLKGWSGLPIMLHSTGAIVGRIKSAYSDENDLVEELIDSRTISKSNEANLDHLIKELADMRRGEF -RSITLGTGAGKTTELPRQYLTTVGAHKSVLVLVPLKAPAESVCRFMRSKYPTINFSLRVGERKEGDVSSG -ITYATYGFCCQLNLVQLKEWISRYSMVFFDEYHTATPEQIAIISKIHALKVKTRIVAMSATPPGTVTTEG -RKFDIEEVGVATIEKGEEPKRGRIAVAGMQVPLEDLTGKNCLVFVATKEAAETEAKELRTRGINATYYYS -GIDPKTLEHGMTNQPYCIVATNAIESGITCPDLDVVIDTMQKYEKVVNFSAKMPLIVTSLVKKKITREEQ -GQRKGRVGRQKKGEYYYPSGVVPNGSKDLSYLILQAQEYGVLEQVNITEYFIIMNEDWGLYDVDEVEVRI -LGRMDKEILLPLGIVEKQFLERSTHPEKVALLYNKLVQKNPIVYPEVQEGEVSKEYSTHNLAVYDKLKVV -NPQAIYVLAEEEGATEMLGLEFEQDPPDLQDSVAQLCEDIERYTKLSGITEKLLVGTMVGYIGYKALTRN -HVPWVSKEYCYELTDSPDTYENSFAPLDIDVQKPSEDKHPEQLADHQLRQLLETGRDKAIDFLKGIREFT -SGAINSPKALSMWEKIYQYLRKHQGEIISSAAWGSATALHDSIKSRLGDEVATAVVILKYLAFGERELSG -LTRQVLIDIIVYCIVNKPRFEGDDYAKRKGRRLVIEVLMGALATYAVSNFWGVSINKILQPISDYLPYAT -ATLAFLRPTFMESAVVVASSIYRAFLSIKHAENRSLVTQVASDALEVMGLTPVSAGLGVLLGLGLCVLHM -NIDKNEEKRTLILKMFVKNFIDQAALDELDKLEPEKIILSLLEGIQTCTNPVRAIMILYRVYYKGESFTE -ALSKMAGKSLIVMVIVEFLELTGQTQGGYIDLSSNLLTFLLEKLKKMTSLAIGEARKTLLPIPYLYCEAW -QTDARIKAPESYDQVVVECKCGASARYSFHHGAHEILEEKRTKWCKNFFLWGPNFYNPDPKRMTFYEYGQ -AKKCPVIIMGEDITFGKYGIYVKFGHGPDGKRLIRGTTHATISREELLEILTAPSQVAIGKVKLTDYCNQ -KGIIDRKLAVLEGDKIHFWKAHRGSKITDQLTIESLTDDLGSEIRDITWELYTGGTCTVKGISLRSCAPG -QRNKATVLCDCTDVLSPCYLINGRRPSPFDVVEGYECHHRKPRATYEDLEMEEILKRRVPVYDPLCLFDT -DSKLLPPDTYYLEEDQEDFEYALRCWGLGVYVADGPVTSPPDIRIHHSSVLLLLTPGVDSELSLQYIRCY -PHQAEVDIYIRGQLLEEEDTATETEGSQEDGEEGMGDVVTEDDNTLSTTESIPPLEGEEGGEEPITYVVI -RGLQEERYISHLKLSDWISENISEPHRVQIMLDGTVRVTIKEGKVKHLFGVYRIENSLEAMFKETIADLP -VATQPPRGPIYTAKELAQGNIAPIQPAVNYYGMVEGRGDPMTAFEALSVLRSQKVLAKEVKVNTRRAQAF -LNKVRRTAEVRASELALKCLPALGKINGRKLVREETNIPNQRLASIMTSIGIRLEKLPVVRANTSSSKFR -QSILEKMDKYENEQVPGLHEKMWAAFLATARQDLRGTYEEVTYLELETGINRKGAPGFFEKESSIGEVLE -RKERIDIVIQEIEKGNHLYYETAMPKNEKRDVLDDWLSEDFVTYKKPRVIQYPEAVTRLAITKIMYKWVK -QKPIVIPGYEGKTPIFEIFEKVSADWAQFRNPVAVSFDTKAWDTQVTREDLRLVGRIQKYYYKKKYWKFI -DNLTAMMEEVPVVTVEGDMFLRVGQRGSGQPDTSAGNSILNVLTMLVAFSESTNLPIAAAWKACRIHVCG -DDGFLITELELGRKFAEKGVPLLAAYGKPQKITEGASLKITSNFDGIEFCSHSPIRVQTPNIKWMPARPT -ATILGKMSTRLGEGATRSGEEYEKQVAFAYLLMYPWNPLVRRISLLLLSTTDPMGKEETPCSDEGVKYVG -DPIAAYRDVWGHKLEDIGHVDQPQLSRMNYSMTYLGIWKPKTSQRLVEQCCRLAEKSNCVVRADSLIKKK -VKITYDPGIGVAQVIRRWEELVWTRRKPEFTNATVEEDIFLALWKRLSKYIFQKIKFMQRMLTPY ->AID49629.1 polyprotein [Bovine viral diarrhea virus 3] -MELLNFELLYKTYKQKPAGVQEPLYDKNGAVLFGEPSDIHPQSTLKLPHPRGEKEVIVGIRDLPRKGDCR -SGNRLGPVSGLFVKPGPVFYQDYSGPVYHRAPLEQFKQAPMCETTKRIGRVTGSDGNLYHMYVCTDGCIL -VKTAKREGQDVLKWVYNVLDSPIWVASCSDEKAGAKPKEKSKPDRVQKGKMQISPKETEKDSRTKPPDAT -IVVEGVKYQVKKKGKVRGKNTQDGLYHNKNKPEQSRKKLEKALLAWAVLALVLWQPVGAENITQWNLKDN -GTNGIQHTMFIRGVNRSLHGIWPSEICSGVPTHLATDAELKQIHGMMDASEKTNYTCCRLQRHEWNKHGW -CNWYNIEPWIRLMNKTQANLTEGTPLKECAVTCRYDKDKEINIVTQARDRPTTLTGCKKGKRFSFAGEVI -DGPCNFNISAEDMLYDEMGCTGVFQEMAQHIVDGTTNTIEGARQGAAKLTTWLGKRLGILGKKLEHKSKT -WFGAHAATPYCNINKKIGYVWYTNNCTPACLPKNTRIVGPGKFDTNGDDGKILHEMGSHLSELAILALVV -MSDFAPESASVLYLILHFSIPQAHEEVDQCDRNQLNLTVSLRTDEVVPSSVWNLGKWVCVRPPWWPYETA -TVLAFEEIGQVLKLILRALKDLTSMWNAASTTAFLVCLVKILRGQIVQGVIWLLLITGAQGDLSCKPEFQ -YAISETDEIDLTGPTGLTTRWYAYSERLHITDSSVDLTCVDGNFLVHRRCVRKRRYLATIHERALSTSVK -FTLVANPQDIEDVQMGDDFEFGLCPCDATPVIRGKFNTTLLNGPAFQLVCPYGWTGTVECTVVSDSTLKT -QVVKRFARYKPFPHRKHCMDQMVVGEDLYKCLYGGNWTCIPGDRVLYQGGKVKDCKWCGFIFEEPSDLPH -FPLGKCRLTNETGYRYVDDTTCNRDGVAITEQGTLRCKIGKVEVRVLALNKDLGPMPCKPSHVTQSEGPV -SKTACTFNWTETLENKYFEPRDNYFQQYMLKGKYQYWFDLEATDHHQDYFAEFIVIIVVALLGGRYVLWL -LIVYYVVTDQGARGQQMNPGEVVLIGDMITHDSIEVITYFLLLYLLIKDEPVKKWVLLIYHAITASPRKT -IIVAVLMFSSLVRGDDGRATQGDGPDVWFYVVLAMVCILLMVKRDPTTIPAVVMITGIKTRQYVAWLELD -VALSIVAAVVLIHTYISSYYKYKQWLQCVISLLAGFFIIRTLKAVGECHLPAITVPNVRPLPIVLIYLIT -TTLVAYQNLDLAGIFLSNAPIMLMVLTLWADLLTLILILPTYELTKLYYIRRVKKDVERSWLGATNFTRV -DSVYELDGSEEGVYLFPSRQCPGAKTGEILPVLRCVLISCISSYWQWTYLIYLVIELVYFMHRRVIEEIA -GGTNALSRMIAGLIEMSWALDEKESKGPKKFFILSARLKNLIMKHKVRNETIRAWYEEEEIYGMPKVITL -IKAASLSQSKHCILCTVCERRDWKGGSCPKCGRSGRPISCGMTLADFEEKHYKRIFIREGELDGPFTMMC -SRCQGKHRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALMDGKVYDITEWAGCQRVG -ISPDTHRVPCHISFGSRMPGTSGRQRASRQEARGYLQYIARGQLFLRNLPILATKVKLLMVGNLGSEVGD -LEHLGWVLRGPAVCKKIVSHEKCHTGIADKLTAFFGIMPRGTTPRAPVRFPTSLIKIRRGLETGWAYTHQ -GGISSVDHVTAGKDLLVCDSLGRTRVVCQSNNKMTDETEYGIKTDSGCPEGARCYVLNPEAQNIAGTRGA -MVHLQKTGGEFTCVTASGTPAFFDLKNLKGWSGLPIFEASSGRVVGRVKVGKNEESKPTKLMSGIQTVSK -STTDLTEMVKKIVAMNRGEFKQVTLATGAGKTTELPRSVIEEIGRHKRVLVLIPLRAAAESVYQYMKQKH -PSIAFNLRIGEMKEGDMATGITYASYGYFCQMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFS -ENLRVVAMTATPAGSVTTTGQKHPIEEFIAPEVMKGEDLGSNFLDIAGLKIPTEEMKGNMLVFVPTRNMA -VEVAKKLKAKGYNSGYYYSGEDPANLRVVTSQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRVRISS -KMPFIVTGLKRMAVTVGEQAQRRGRVGRVKPGRFYRSQETASGSKDYHYDLLQAQRYGIEDGINITKSFR -EMNYDWSLYEEDSLLITQLEVLNNLLISDDLPAAVKNIMTRTDHPEPIQLAYNSYEVQVPVLFPKIRNGE -VTNEYEAYSFLNARKLGEDVPAYIYATENEDLAVDLLGLDWPDPGSQQVNETGKALKQVSGLSTAENALL -VALFSYVGYQALSKRHIPMVTDIYTIEDQRLEDTTHLQYAPNAIRTDGKETKLEELAVGDIERYLSTAKE -YASRGVEFIKTQALKVNDSPKVKETMESVHQYVEKLLETLKESQEDIIKYGLWGCHTALYKSIAGRLGNE -TAFATLVIKWLAFGGESLPAHVKQAAVDLVVYYIINKPSFPGDTETQQEGRRFVASLLVSALATYTYKTW -NYNNLAKVVEPALAYLPYASKALSLFVPTRLESVVILSTAIYKSYLAIRKGKSDGLMGTGLSAAMEMMSQ -NPVSVGIAVMLGVGAVAAHNAIESSEQKRTLLMKVFVKNFLDQAATDELVKENPEKIIMAMFEAVQTIGN -PLRLIYHLYGIFYKKWEAKELAERTAGRNLFTLIMFEAVELLGVDNEGKIRTLSSNYVLELLTKFCGNIN -NKVRNLVLGWAPAPFSCDWTPHDDRIKLPHENYALVVTRCPCGYESRSRKMDTGXMVKLEEKGPFLCRNR -PGRNYGNLRVTRYYDKDGAELKPVIKLEKQAELYYKGVTLRVDFSNGKSVVATDKWEVDHSTITRLEKRY -TGAGYKGAYLGDRPNHEALVERKCATITRDKVQFIKMVKGCAFTYDLSLSNLKRLIELVHKNKLEEREIP -QATVTTWLAYTFVNEDIGTIRPSFGERVLPESPSDPSLQPSVVINRAAAEITVIGEAEQMTTGVTPVELN -DNQAGVAGVNELEIGVKRGQFPGPVSQGKSLEEAIEEKDGRPYVLILGSKHSTSNRVKTAKNVRVYKGDS -MQEVRNLMREGKLLVVALREVSKDLLHLVDYKGTFLTREALEALSMGRPRPKEATRAEITRLLNPTGEDI -EVPEWFTASEPVFLDAQIKGGTYHLVGSIQQIKEKAKTLGATDSTKIIQGLGSRVYTMKLSSWIHQNTTK -DNDLRPLFEELLLQCPPSGRQTKGHVVSAYQLAQGNWEPISCNVYMGTIPARRVKTHPYEAYLKLKDLLS -EHKMRVETGFADLKDHNRWILRKVKHQGNLRTKHILNPGKLSEQLEREGRKHNVYNKQIGSIMTSIGIRM -EKLPVVRAQTDTVSFHQAIRDKIDKEENAQSPDLHEKLWEVFDTLKVPELKGVYDEVSWEELEAGINRKG -AAGFLEKKNIGEVLASEKNLVEEIVKDLKRGKKINYYETAIPKNEKRDVNDDWEAGDLVDEKKPRVIQYP -DAKVRLAITKVMYKWVKQKPVVIPGYEGKTPLFQIFDKVKKEWDGFNDPVAVSFDTKAWDTQVTSRDLRL -IGRIQKYYFKNRWHKFIDTLTEHMTEVPVITADGEVYIRKGQRGSGQPDTSAGNSMLNVLTMVYAFCEST -GVPYRSFNRVARIHVCGDDGFLITERGLGLKFASKGAQILYESGKPQKILEGDKMKVAYRFEDIEFCSHT -PIPVRWSDNSSGYMAGRNTATVLAKMATRLDSSGERGTAAYEKAVAFSFLLMYSWNPLIRRICLLTLSSK -PEVDPSKQATYYYKGDPIGAYKEVVGHNLHDLKRVGFEKLASLNLSMSTLGIWTRHTSKRLLEDCVKVGK -EEGNWLVNADRLVSSKTNKMYIPDEGHTLQGKYYEELRLIGPGGPILRVGKDRYRLGPIVNVILRRLRVL -LMAAVGERAVI ->AGM75776.1 polyprotein [Bovine viral diarrhea virus 1] -MELISNELLYKTYKQKPAGVEEPVYDLVGDPLFGERGTIHPQSTLKLPHKRGERDVPTNLASLPRIGDCR -SGNSRGPVSGIYLKPGPLYYQDYKGPVYHRAPLELFEEGLMCETTKRIGRVTGSDGKLYHIYVCIDGCII -VKSVTRDHQRVLKWVHNKLDCPLWVASCSDPKNEGAVRKKQQKPDRVEKGQMKISPKESEKDSKTKPPDA -TIVVDGTKYQVKKKGKVKSKNTQDGLYHNRNKPQESRKKLEKALLAWAILAIILFQVTMGENITQWNLQD -NGTEGIQRAMFQRNVSRSLHGIWPEKICTGVPSHLATDTELKTIQGMMDASEKTNYTCCRLQRHEWNKHG -WCNWYNIEPWLVLMNRTQANLTEGQPPRECAVTCRYDRESDLNVVTQARDSPTPLTGCKKGKNFSFAGTL -MKGPCNFEINAGDVLFKDHDCTNIFQDTAHYLVDGVTNSLEGARQGTAKLTTWLGKQLGILGKKLENKSK -TWFGAYAASPYCTVERKIGYIWYTKNCAPACLPKNTRIIGPGKFDTNAEDGKILHEMGGHLSEVLLLSLV -VLSDFAPETASVVYLVLHFSIPQSHTDVVDCDKSQLNLTVGLKTEDVIPGSVWNLGRYVCIRPSWWPYET -ATVLAFEEMGQVIKIALRALRDLTRIWNAATTTAFLVCLVKVVRGQVLQGILWLLLITGVQGNLKCRPDF -SYAIAGSNKIGLLGAEDLTTTWQKYPVGMRLKDSMVEVWCKGGEIMFLKRCAREARYLATLHTRALPTSV -VFLQLFSGQGLVESVGMEDNFEFGLCPCDARPVIKGKFNTTLLNGPAFQMVCPIGWTGSVECTLVNKDTL -STAIVRAYKRVRPFPHRQGCVTQKLVGEDLYDCLLGGNWTCITGDQVKYAGGQVKTCKWCGYNFQGSEGL -PHYPIGKCKLENETGYRLVDDTPCNRNGVAIVPTGTLKCRIGDTVVQVIAMDTKLGPMPCRPYEITPSEG -PVEKTACTFNYTKTLKNKYFEPRDSCFQQYMLKGEYQYWFDLDAIDHHKDYFAEFILVMVVALLGGRYVL -WLLVTYMVISEQKASGTQCGSGEIVMMGNLLTHESIEVITYFLLLYLLLREESTRKWVLLLYHLLVVHPL -KSIVVILLMIGEAVKADSETQGHQEQVDFCFVMVVLIVMGLVVARRDPTIVPLVTIVAALRVPGLDLGPG -VDAAMAVMTLTLLLVSYVTDYFKYKKWLQCLLSLVAGVFLIKSLKHLGEIETPELSIPSWRPLTVILLYL -ILTSIVTMWKADIAGLLLQGVPILLLVTTLWADFLALILILPTYELVKLYYLKTVKTDVERSWLGGLDYK -RVDSIYDVDESGEGVYLSPSRQKAHKNFGILLPLVRATLISCVSSKWQLVYISYLTLDFMYYMHRKVIEE -VSGGTNVMSRMVAALIELNWTIEDEGCKGLKKFYLLSGRLRNLIVKHKVRNETVASWYGEEEIYGMPKIM -TIIKASTLNKNKHCIVCTVCEGRKWKGGTCPKCGRHGKPITCGMSLADFEERHYKRIFVREGDFEGPFRQ -EYNGFVQYTARGQLFLRNLPILATKVKMLMVGNLGEEIGDLEHLGWILRGPAVCKKITEHEKCHVNILDK -LTAFFGIMPRGTTPRAPVRFPTALLKVRRGLETGWAYTHQGGISSVDHATAGKDLLVCDSMGRTRVVCQS -NNKITDEAEYGVKTDSGCPDGARCYVLNPEAVNISGSKGAVVHLQKTGAEFTCVTASGTPAFFDLKNLKG -WSGLPIFEASSGRVVGRVKVGKNEESKPTKIMSGIQTVSKSSADLTEMVKKIVSMNRGDFKQITLATGAG -KTTELPKAVIEEIGRHKRVLVLIPLRAAAESVYQYMKLKHPSISFNLRIGDMKEGDMATGITYASYGYFC -QMPQPKLKAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSESIRVVAMTATPAGTVTTTGQKHPIEEFIA -PEVMKGEDLGSQFLDIAGLKIPVEEMKGNMLVFVPTRNMAVEVAKKLKAKGYNSGYYYSGEDPANLRVVT -SQSPYVIVATNAIESGVTLPDLDTVVDTGMKCEERVRVSSKIPFIVTGLKRMAVTVGEQAQRRGRVGRVK -PGRYYRSQETATGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYEEDSLLITQLEILNNLLISED -LPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDTYENYSSLNARKLGEDVPVYIYATEDE -DLAVDLLGLDWPDPGNQQVVETGKALKQVVGLSSAENALLIALFGYVGYQALSKRHIPMITDIYTIEDRR -LEDTTHLQYAPNAIRTEGKETELKELALGDMEKIMEAISGYASGGVDFIKTQAEKIKTSSMFKENVEAAK -GFVQRFIDSLTENKENIIRYGLWGAHTALYKSIAARLGHETAFATLVIKWLAFGGESVPDHIRQAAVDLV -VYYVMNKPSFPGDTETQQEGRRFVASLSISALATYTYKTWNYHNLAKVVEPALAYLPYATSALKMFTPTR -LESVVILSTTIYKTYLSIRKGKSDGLLGTGISAAMEILSQNPVSVGISVMLGVGAIAAHNAIESSEQKRT -LLMKVFVKNFLDQAATDELVKENPEKIIMALFEAVQTIGNPLRLIYHLYGVYYKGWEAKELSERTAGRNL -FTLIMFEAFELLGMDSEGKIRNLSGNYILEMIYSLQKQINRSLRRAMMGWAPAPFSCDWTPSDERIRLPT -DEYLRVETKCPCGYEMKAIRNVSGSLTKVEESGPFLCRNRPGRGAVNYRVTKYFDDNFREIKPVARLEGQ -VELYYKGVTSRIDYGRGKMLLATDKWEVEHGVVTRLAKRYTGVGFKGAYLGDEPNHRDLVERDCATITKS -TVQFLKMKKGCAFTYDLTLSNLTRLIELVHKNNLEEEDVPETTVTTWLAYTFVNEDIGTIRPVLGERVIP -DPITDINLQPEVEIDTSEVGITIIGKKPLMTTGTTPVLERVESSVTSHPSLVKIGFKEGDYPGPGRQTHT -LAEEIHERDGRPFIMVLGSKSSMSNRAKTAKNINLYTGSDPKEIRGLMAQGRMLVVALRDIDPELSELVD -YRGTFLDREALEALSLGQPKPKRVPKAMIRDLLEEREQVEVPSWFCSDDPVFLEVVVKKNKYYLAGEVEQ -VKNLAKELGATDQTRIVKEVGARTYTMKLSSWFLQESNKQTTLTPLFEELLLQCPPRARSNQGHTASAYQ -LAQGNWEPLDCGVHLGTIPARRVKIHPYEAYLRLKDLLEEEKKKPRDKDTVMKEHNKWIPKKVRHQGNLC -TKKILNPGKLSEQLDREGHKRNVYNNQIGAIMSGAGIRLEKLPIVRAQTDTKSFHEAIRDKIDKDENQQN -PELHSKLLEIFHSISHPALKHTYGEVTWEQLESGINRKGAAGFLEKKNIGEVLDSEKHLVGQLIRDLKMG -KKIRYYETAIPKNEKRDVSDDWQVGDMVDEKRPRVIQYPEAKTRLAITKVMYNWVKQQPVVIPGYEGKTP -LFNIFNKVRKEWDLFNEPVAVSFDTKAWDTQVTSRDLRLIGEIQKYYHKKEFHRFIDTITEHMVEVPVIT -ADGEVYIRNGQRGSGQPDTSAGNSMLNVLTMIYAFCESTGVPYKSFNRVARIHVCGDDGFLITEKGLGLR -FANKGMQILHEAGKPQKITEGDKMKVAYRFEDIEFCSHTPVPVRWSDNTSSYMAGRDTAVILSKMATRLD -SSGERGTTAYEKAVAFSFLLMYSWNPLVRRICLLVLSQCPETAPSSHTTYYYSGDPVGAYRDVMGRNLSE -LERPGFEKLANLNLSMSTLGIWSKHTSKRIIQDCVTIGKEEGNWLVNADRLISSKTGQSYIPGKGYTLQG -KHYEQLILKTSKGPAVGVGTERYKVGPIINLLLRRLKVLLMAAVGASS ->AAB58571.1 predicted to encode 4983 amino acids with a predicted molecular mass of 558 kDa; ILLC-BVDV ORF [Bovine viral diarrhea virus 1] -MELITNELLYKTYKQKPTGVEEPVYDQAGNPLFGERGVIHPQSTLKLPHKGGEREVPTNLASLPKRGDCR -SGNSKGPVSGIYFKPGPLFNQDYKGPVYHRAPLEFFEEASMCEITKRTGRVTGSDAKLYHIYVCIDGCII -VKSATKNHQKVLKWVHNKLNCPLWVSSSSDAKDERAVRKNTQKPDRLEKGRMKITPKESEKDSKTKPPDQ -PHDVVGVKYQVKKKGKVKIKNTQDGLYHNKNKPQDSRKKLEKALLAWAIIATVLFQVTTGENITQWNLQD -NGTEGIQRAMFQRGVNRSLHGIWPEKICTGVPSHLVTDTELKAIHGMMDASEKTNYTCCRLQRHEWNKHG -WCNWYNIEPWILLMNKTQANLTEGQPLRECAVTCRYDRDSDLNVVTQARDRPTPLTGCKKGKNFSFAGIL -VQGPCKFEIAVSDVLFKEHDRTSVIQDTAHYLVDGMTNSLESARQGTAKLTTWLGRQLGILGKKLENKSK -AWFGAYAASPYCEVGRKLGYVWYTKNCTPACLPRNTKIIGPGRFDTNAEDGKILHETGGHLSEVLLLSLV -VMSDFAPETASVVYLILHFSIPQGHTDIHGCDKNQLNPTVGVTTAEVIPGSVWNLGKYVCIRPDWWPYET -ATVLTFEEVGQVTKIVLRALKDLTRIWTTATTTAFLVCLVKVVRGQVLQGILWLLLITGAQGLTDCKPDF -SYAIAKNDEIGPLGAQGLTTQWYEYSDGLRLTDSTVEVWCKDGKFRYLITCEREARYLRVLHTRALPTSV -VFKRINDGKEQGDVVEMDDNFEFGLCPCDAKPLVKGKFNTTLLNGPAFQMVCPIGWTGTVNLCQWFNKDT -LAMTVVRTYKRYRPFPYRQDCVTQKIIGEDLYDCALRRNWTCVPGDALRYVAGPVESCKWCGYKFFKSEG -LPHFPIGKCRLKNESGYRLVDETPCNRDGVRIVQLGLVKCKIGDTVVQVIAMDNKLGPMPCRPYEIIPSE -GPVEKTACTFNYTRTLKKKYFEPRDNYFQQYMLKGEYQYWFDLEITDHHRDYFAESLLVIVVALLGGRYV -LWLLVTYMVISEQTASGRPVWAGEIVMNGNLLTHDSIEVVAYFLLLYLLLREENIKKWVILIYHIIVRHP -LKSVTVILLMVGGMAKAEPGAQGYLEQVDLSFTMITIIVVGLVIARRDPTGVPLVTIVAALKITGLGFGP -GVDVAMAVLTLNLLMISYVTDYFRYKRWIQCILRLVAGVFLIRSLKHLGEIKIPELTIPNWRPLTFIPLY -LTSATVVTRWKVDIAFILRKGPNPFADRHLWADFLTLVLILPTYELVKLYYLKNVKTDVEKSWLGGLDYK -TIDSVYDVDESGEGVYLFPSRPRKNKNISILLPLIRATLISCISSKWQIMYMAYLTLDFMYYMHRKVIEE -ISGGTNVMSRVIAALIELNWSMEEEGSKGLKKFFILSGRLRNLIIKHKVRNQTVSSWYGEEEVYGMPKFM -TIIRACTLNKNKHCIICTVCEARKWKGGNCPKCGRHGKPITCGMTLADFEERHYKRIFIREGNFEGPFRQ -EYNGFVQYTARGQLFLRNLPILATKVKMLMVGNLGEEIGDLEHLGWILRGPAVCKKITGHEKCHVNILDK -LTAFFGVMPRGTTPRAPVRFPTALLKVRRELETGLGLTHQGGISSVDHVTTGKDRLVCDSMGRTRVVCQS -NNKLTDETEYGVKTDSGCPDGARCYVLNPEALNISGSKGAVVHLQKTGGEFTCVTASGTPAFFDSKNLKG -GSNPPIFEASSGRMVGRGKVGKNEESKPTKLTSGIQSRGKNKADLTEMVKKITSMNRGDFKQITLATGAG -KTTELPKAVIEEIGRHKRVLVLIPLRAAAESCYQYMRLKHPSISFNLRIGDMKEGGDATGITYASYGYFC -QMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSESIRMVAMTATPAGSVTTTGQKHPIEEFIA -PEVMKGEDLGSQFLDIAGLKIPVDEMKGNMLVFVPTRNMPVEVAKKLKAKGYNSGYYYSGEEQANLRVVT -LQSPYVIVANIAIESGVTLPDLVTVVDRGVKCEKRVRVSSKKPFIVTGLKRMVVTVGEQAQRRGRVGRIK -AGRYYRSQETATGSKDYHYDLLQAHRYGIEDGINVTKSFREMNYDWSLYEEDSLLITQLEELNNLLISED -LPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIKNGGVTHTYENYSFLNARKLGEDVPVYIYATQAE -DLAVDLLGLDWPDPGNQQVVESGKALKQVEGLSSAENALLIALFGYVGYQALSKRHVPMITDIYTIEDQR -LEDTTHLQFAPNGIKTDGTESELKKLGSGDVEKFMGPISDYAAGGVHFVKSQAEKVKTGHLFKENVEAAK -GYVQKFIDYLTLDFMYYMHRKVIEEISGGTNVMSRVIAALIELNWSMEEEGSKGLKKFFILSGRLRNLII -KHKVRNQTVASWYGEDEVYGMPKVMTIIRACTLNKNKHCIICTVCEARKWKGGNCPKCGRHGKPITYGMT -LADFEERHYKRIFIREGNFEGPFRQEYNGFVQHTARVQLFLRNFPILATKVKMLMVGNLGEEIGDLEHLG -WILRMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEKQLEDGRTLSDYNIQ -KESTLHLVLRLRGGGPAVCKKITEHEKCHVNILDKLTAFFGVMPRGTTPRAPVRFPTALLKVRRELETGL -GLTHQGGISSVDHVTTGKDRLVCDSMGRTRVVCQSNNKLTDETEYGVKTDSGCPDGARCYVLNPEALNIS -GSKGAVVHLQKTGGEFTCVTASGTPAFFDSKNLKGGSNPPIFEASSGRMVGRGKVGKNEESKPTKLTSGI -QSRGKNKADLTEMVKKITSMNRGDFKQITLATGAGKTTELPKAVIEEIGRHKRVLVLIPLRAAAESCYQY -MRLKHPSISFNLRIGDMKEGGDATGITYASYGYFCQMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGK -IHRFSESIRMVAMTATPAGSVTTTGQKHPIEEFIAPEVMKGEDLGSQFLDIAGLKIPVDEMKGNMLVFVP -TRNMPVEVAKKLKAKGYNSGYYYSGEEQANLRVVTLQSPYVIVANIAIESGVTLPDLVTVVDRGVKCEKR -VRVSSKKPFIVTGLKRMVVTVGEQAQRRGRVGRIKAGRYYRSQETATGSKDYHYDLLQAHRYGIEDGINV -TKSFREMNYDWSLYEEDSLLITQLEELNNLLISEDLPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPK -IKNGGVTHTYENYSFLNARKLGEDVPVYIYATQAEDLAVDLLGLDWPDPGNQQVVESGKALKQVEGLSSA -ENALLIALFGYVGYQALSKRHVPMITDIYTIEDQRLEDTTHLQFAPNGIKTDGTESELKKLGSGDVEKFM -GPISDYAAGGVHFVKSQAEKVKTGHLFKENVEAAKGYVQKFIDSVIENKGTKIRYGWLGTHTPLYKSIAA -RLGHETAFATLVIKWLGLRGEPVTDHVRQAAVDLVVYYVINKPSFPGDSETQQEGRRFVASLFISALATY -TYKTWNYHNLSKVVEPALPYLPYATNTLKLTTPKGREKVMVLSTTIYKTYLSIRKGKSDGLLGYGISAAM -EILSQNPVSVGISVLLGVGAIAAHKAIESSEQKRTLLMKVFVKNFWDQAATDELVKENPEKIIMALFEAV -PTIGNPLRLIYHLYGVSTKAGKQKSYPERTAGRNLFTLIMFEAFELLGMDSEGKIRNLSGKDVLDLIYSL -HKQINRGIKKMILGWAPAPISCDWTPSDGRIRLPTDNYLRVETKCPCGYEMKALRSVSGSLTKVGEKGAF -FSGNRPGRGPVNYRVTKYYDDSLKEIKPVAKLEGLVEHYYKGVIARIDYSKGKMLLATDKWEVEHGVVTR -LAKRHTGVGFNGAYLGDQPNHGVLVERDVSTITKNTVSFLKMKKGCAFTYDLTLSNLTTLIELVHKNNLE -EKEIPAATVTTWLAYTFANEDIGTIKPALGERVVTDPVVDVNLQPEVQVDTSEVGITLVGRAALMTTGTT -PVVEKTEPNADGGPSSIKIGLDEGMLPRARTARPHLTNEIHSRDERPFVLVLGSRKFMSNRAKTRRNINL -SKGRDPREIRDLMAQGRMLVVALKDFNPELSELVDFKGTFLDREVLEALSLGPGRSKQVTTATIRELLGQ -EAQVEIPSWFGADDGVFLEVTLKGDKYHLVGDVDRVEDQAKGLGATDQTRIVKEVGARTYTMKLSSWFLQ -ASNKQMSLTPLFEELLLCCPPKIKSNKGHMASAYQLAQGNWESLDCGVHLGTIPARRVKIHPYEAYLKLK -DLLEEEEKKPKCRDTVIREHNKWILKKVRPPRKTQYKENSQPWKAIRAARLEKGIKETFIITRLATIMTG -AGIRLEKLPVVRAQTDTKSFHQAIRDKIDKNENQQSPGLTDKLLQIFHTIAQPSLRHTYGEVTWEQLEAG -INRKGAAGFLEKKNVGEVLDSEKHLVEQLIRDLKTGRKIRYYETAIPKNEKRDVSDDWQSGDIVDEKKPR -VIQYPEAKTRLAITKVMYNWVKQQPVVIPGYEGKAPLFNISNKVRKEWDLFNEPVAVSFDTKAWDTQVTS -RDLRLIGEIKKYYYRKEWHKFIDTITDQMVEVPVITADGEVYIRNGQRGSGQPDSSAGNSMLNVLTMMYA -FCEMTGVPYKSFNRVGKGHVCGDDGFLITEKGLGIKICQQWDANFCMKRASSKNNRRGKDESLPIGLRHR -ILLPYTTPRKGLITPAVIWPADTAVILSKMATRLDSSVDKGTTAYEKAVAFSFLLVYSWNPLVRRICLLV -LSQQPETAPSKQTSYYYKGHPIGAYKDVIGKNLSELKRTGFGKLANLNLSPATIRIWSKHTSKTNIQDCV -TIGKEEGNWLVNADRLISRQTGHLYIPDKGYTLQGKHYEQLHLQARTSTVIGVREERYKFGLIVNLLLRR -LEVLLMAAVGPST ->AHM88397.1 polyprotein [Border disease virus] -MELNKFELLYKTSKQKPVGVVEPIYDPAGNPIYGERSTIHPQSTLKLPHKRGVAEVVTTLRDLPKKGDCR -SGNHRGPVSGIYIKPGPVLYQDYKGPVYHRAPLELFEDTQFCEVTKRIGRVTGSDGKLYHLYICSDGCIL -LKMASRTRGAVLRWTRNILDCPLWVTSCSDDNNSKKMDGKKPDRVKRGAMKITPKESEKDSKSKSPDATI -VVEGVKYQVKKKGKVKSKNTQDGLYHNKNKPPESRKKLEKALLAWAIIAILMWQPVAPENITQWNLSDNG -TNGIQHVMFQRGVNRSLHGIWPEKICTGVPTHLATDAELKGIQGMMDASEKTNYTCCRLQRHEWNKHGWC -NWYNIDPWIWLMNKTQANLTEGPPEKECAVTCRFDKETDINIVTQARDRPTTLTGCKKGKKFSFAGMIIE -GPCNFNVSVEDILFGDNECSSLFQDTALYVVDGVTNTVENARQGAAKLTSWLGKQLGIMGKKLEHKSKTW -FGANAQSPYCNVTRKIGYIWYTNNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMKGHISEFILLSLVVL -SDFAPETASTLYLVLHFTLPQTYEVPNECDTSQLNLTVGLRVDDVIPSSVWNLGKYVCVRPDWWPYETTI -VLLFEEAEQVVKLVLRAIRDLTRVWNSASTTAFLICLVKVLRGQVVQGLVWLLLVTGAQGQFACREDYRY -ALAKTKEIGPLGAESLTTTWSNYQRNLVLDDGTVRAACSRGFFNFRAHCLIGSRYLASLHLRALPTSVTF -EPIPGGLTLTEEEMSDNFEFGLCPCDSRPVVKGRYNTTLLNGSAFQLVCPYGWTGRVECTTVSTSTLATE -VVKVYKRTKPFPRRVGCDHTTIVGEDLYHCLMGGNWTCVRGEPVKYMEGLVKQCKWCGYIFKKKEGLPHY -PIGKCMLGNETGYRAVDDTPCNRNGVMISSTGNLECLIGETTVKVFSLDKKLGPMPCRPKEVISSEGPIS -KTACTFNYSKTLENKYYEPRDSYFQQYMLKGQYQYWFDLEATDHHSDYFAEFIMLAVVALLGGRYVLWLM -VVYMILADQMASAMCLGQGEVVLIGNLITHEDHEVVVYFLLLYLVVKDEPVKKWILFLFHAMTNNPVKTI -SVGLLMLSGLVKGDGTETTHWEGFDLQFTLLVVITASLLVARRDVTTYPLIITVVALRTTQVSGGPGIDA -AIATITTGLLMWTFISDYYKYKQWTQFLISIASGIFLIRTLKWIGGLELHAPELPSYRPLFFILTYLISA -AIVTRWNLDIAGVLLQCVPTILMILTLWADLLTLILILPTYELAKLYYLKGVKNGMERNWLGRINYKRVS -DVYEIDESQEAVYLFPSKQKEGAITGGLLPLIKAILISCVSSKWQCFYLLYLVVEVSYYLHKKIIEEVAG -GTNLISRLVAALLEVNWRFDDEETKGLKKFYLISGRVKNLIIKHKVRNEVVAHWFNEEEVYGMPKLVSVV -KAATLNRSRHCILCTVCESRDWKGETCPKCGRFGPSLTCGMTLSDFEERHYKKIFMREMNTMMCSRCQGK -HRRFEMDREPKSARYCAECNRLHSAEEGDFWAESSMLGLKITYFALMDGKVYDITEWAGCQRVGILPRYP -QSPLSHLIWFTDAWDQSDGPFREEYKGYLQYKARGQLFLRNLPILATKVKLLLVGNLGSEVGDLEHLGWI -LRGPAVCKKITDHEKCHVSMMDKLTAFFGIMPRGTTPRAPIRFPTSLLRIRRGLETGWAYTHQGGISSVD -HVTAGKDLLVCDSMGRTRVVCQSNNRMTDETEYGVKTDSGCPEGARCYVFNPEAVNISGTKGAMVHLQKT -GGEFTCVTASGTPAFFDLKNLKGWSGLPIFEASSGRVVGRVKVGKNEESKPTKLMSGIQTVSKSTTDLTD -MVKKITSMNRGEFKQITLATGAGKTTELPRAVIEEIGRHKRVLVLIPLRAAAESVYQYMRQKHPSIAFNL -RIGEMKEGDMATGITYASYGYFCQMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSEQLRVVA -MTATPAGTVTTTGQKHPIEEFIAPEVMKGEDLGSEFLEIAGLKIPTEEMKGNMLVFVPTRNMAVETAKKL -KAKGYNSGYYYSGEDPANLRVVTSQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLSSKMPFIVT -GLKRMAVTIGEQAQRRGRVGRVKPGRYYRSQETAVGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWS -LYEEDSLMITQLEILNNLLISEELPIAVKNIMTRTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDSYDS -YSFLNARKLGDDVPAYVYATEDEDLAVELLGMEWPDPGNQGTVETGRALKQVTGLSAAENALLVALFGYV -GYQALSKRHVPMVTDIYSLEDHRLEDTTHLQFAPNAIRTDSKETELKELAQGDIQRCTEAMIGYAQQGVQ -FIKTQALKVQESGAFKDSATTLMDYVDKFMKAIAESKDDILRYGLWGAHTALYKSIGARLGYETAFATLV -IKWLAFGGESINDHVKQAATDLVVYYIINRPQFPGDTETQQEGRKFVASLLVSALATYTYKSWNYNNLSK -VVEPALACLPYASQALKLFAPTRLESVVILSTAIYKTYLAIRRGRSDGLLGTGVSAAMEIMSQNPVSVGI -AVMLGVGAVAAHNAIESSEQKRTLLMKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTVGNPLRLIYH -LYGVFYKGWEAKEVAEKTAGRNLFTLIMFEAVELLGVDSEGKMRSLSGNYLIELLQKLYDGFRVSIKRFA -LGWAPAPFSCDWTPADDRIRLPHENYLRVVTRCRCGYRMKAVKNCAGKLMLEEEEGSFFCRNKFGRGAPN -YKVTKFYDDNLEEIRPVLKLEGQVEMYYKGATIKLDYSNNKLILATDKWEVEHSTITRLIKRYTGAGYKG -AFLGDEPNHKSLIERTCATVCKDKIYFSKMKKGCAFTYDLSLSNLVRLVELVHKNKLKERDIPEATVTTW -LAYTIVNEDLGTIKPVLGEKVIPEESDEINLQPTVTVDISKCQVTVIGEAKNMTTGVVPLTVTKETCDRQ -EQSVLKIGMEEGEYPGPAVSTVTVGEAVQSKDVRPFVLVIGSDKAKSNRAKTAKNIKLYKGKDAVEVRDL -IRKGEMLVVALADLEQDLLEYVDYKGTFLTREALEALSLGKPRAKDITKADAYRLLNPEREQIELPDWFT -ATEPIFLEAVIKQEKYHITGDVAMVKDKAKQLGATDSTRIVKEVGARVYTMKLSSWTSQVESGNTSLKPL -FEELLLQCPPGEQSKTEHMVSAYQLAQGNWTPTSCKVYMGTITAKRVKIHPYEAYIKLKELVEEYNMKRV -TGDPGLKKHNEWILKRIKHQGNLRTRNILNPGKVAEQLSREGHKHNVYNKIIGSTMASVGIKLEKLPIVR -AQTDTTNFHQAIRDKIDKKENPQTPGLHTELKEVFNALKIPELAATYDAVSWEELEVGINRKGAAGFFER -KNIGEILDTEKNKVEDIIRDLKSGRPIKYYETAIPKNEKRDVNDDWESGDFVDEKKPRVIQYPEAKIRLA -ITKVMYKWVKQKPVVIPGYEGKTPLFEIFDKVKKEWDSFNNPVAVSFDTKAWDTQVTSKDLELIKDIQKY -YFKKEWHKFIETITEHMVEVPVITADGEVYIRRGQRGSGQPDTSAGNSMLNVLTMIYAFCRATGIPYKSF -RRVAKIHVCGDDGFLITEKGLGEKFASRGIQILHEAGKPQKITEGDHMKVAYKFEDIEFCSHTPVPVRWS -DNTTSYMSGRNTATILAKMATRLDSSGERGTTAYEKAVAFSFLLMYSWNPLVRRICLLTLSSEVGTKPSK -LTTYYYEGDPISAYREVIGHNLQDLKRTGFEKLALLNLSMSTLGIWTKHTSKRLLQDCVDVGSKDGNWLV -NADRLVSSKTGRAYLPSRGHTVQGRHYEDLILPRTAKPTFQGADRYKLGPIANIILRRLRIMMMTLVGRG -M ->NP_044731.1 putative polyprotein [Bovine viral diarrhea virus 2] -MELFSNELLYKTYKQKPAGVVEPVYDVNGRPLFGESSDLHPQSTLKLPHQRGSANILTNARSLPRKGDCR -RGNVNGAVSGIYIKPGPIYYQDYVGPVYHRAPLELCREASMCETTRRVGRVTGSDGKLYHIYICIDGCIL -LMRATRTNPEILKWVYNRLNCPLWVTSCSDEGSKGATSKKQPKPDRIEKGKMKIAPKETEKDSKTRPPDA -TIVVEGVKYQVKKKAKVRGKNTQDGLYHNKNKPPESRKKLEKALLAWAILAAVLLQLVTGENITQWNLMD -NGTEGIQQAMFLRGVNRSLHGIWPEKICTGVPTHLATDYELKEIVGMMDASEKTNYTCCRLQRHEWNKHG -WCNWFHIEPWIWLMNKTQNNLTEGQPLRECAVTCRYDKEAELNIVTQARDRPTTLTGCNKGKNFSFAGVV -LDRPCNFKVSVEDVLFKEHDCGNMLQETAIQILDGATNTIEGARVGTAKLTTWLGKQLGILGKKLENKSK -AWFGAHAASPYCGVEKKIGYVWYTKNCTPACLPRNTKIIGPGKFNTNAEDGKILHEMGGHLSEFVLLSLV -VLSDFAPETASFIYLVLHFAIPQSHVDVDTCDKNQLNLTVATTVAEVIPGSVWNLGKYVCIRPDWWPYET -TTVFVLEEAGQVIILGLRAIRDLTRIWYAATTTAFLIFLVIALRGQLIQGLLWLMLITGAQGFPECKEGF -QYAISKDRYMGLLGPESLTTTWHLPTKKIVDSMVPVWCEGKDLKILKTCTKEKRYLVAVHERALSTSAEF -MQISDGTIAPDVIDMTDDFEFGLCPCDSKPVIKGKFNASLLNGPAFQMVCPQGWTGRIECTLANQDTLDT -TVVRTYRRTTPFQRRKWCTYEKMIGEDIHECILGGNWTCITGEHSKLKDGPIKKCKWCGYDFNNSEGLPH -YPIGKCMLINESGYRYVDDTPCDRGGVAIVPTGTVKCRIGNVTVQVVATNNDLGPMPCSPAEVVASEGPV -EKTACTFNYSKTLPNKYYEPRDRYFQQYMLKGEWQYWFDLDSVDHHKDYFSEFIIIAVVALLGGKYVLLL -LITYTILFEQMAMGAGVNTEEIVMIGNLLTHGDIEVVVYFLLLYLIVKEELVRKWIILVYHILVANPMKT -IGVILIMLGGVVKASRINADDQSAMDPCFLLVTGLVAVLMIARREPATLPLIVSLLAIRTSGFLLPASID -ITVAVVLIVLLLASYVTDYFRYKKWLQFSFGLIAGIFIIRSLKHIDQMEVPEISMPSWRPLALVLFYITS -TAITTNWDIDLAGFLLQWAPTVIMMATMWADFLTLIIVLPSYELSKLYFLKNVRTDVEKNWLGKVKYRQI -SSVYDICDNEEAVYLFPSRHKSGSRPDFILPFLKAVLISCISSQWQLVYITYLILEITYYMHRKIIDEVS -GGANFLSRLIAAIIELNWAIDDEECKGLKKLYLLSGRVKNLIVKHKVRNEAVHRWFGEEEIYGAPKVITI -IKASTLNKNRHCIICTICEGKDWNGANCPKCGRQGKPITCGMTLADFEEKHYKKIFIREGCHDGLSREEY -KGYIQYTARGQLFLRNLPILATKMKLLMVGNLGAEIGDLEHLGWVLRGPAVCKKITNHEKCHVNIMDKLT -AFFGIIARGTTPRAPVRFPTALLKVRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSMGRTRVVCHSNN -KMTDETEYGIKTDSGCPEGARCYVLNPEAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKGWS -GLPIFEASSGRVVGRVKVGKNEDSKPTKLMSGIQTVSKNQTDLADIVKKLTSMNRGEFKQITLATGAGKT -TELPRSVIEEIGRHKRVLVLIPLRAAAESVYQYMRVKYPSISFNLRIGDMKEGDMATGITYSSYRYFFQL -PQPKLKTAMVEYSYIFLDEYHCATPEQLAIIGKIHRFAENLRVVAMTATPAGTVTTTGQKHPIEEFIAPE -VMKGEDLGSEYLDIAGLKIPTEEMKGNMLVFVPARNMAVETAKKLKAKGYNSGYYYSGENPENLRVVTSQ -SPYVVVSTNAIESGVTLPDLDTVVDTGLRCEKRVRISSKMPFIVTGLKRMAVTIGEQAQRRGRVGRVKPG -RYYRSQETASGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYEEDSLMITQLEVLNNLLISEDLP -AAVKNIMARTDHPEPIQLAYNSYENQIPVLFPKIKNGEVTDSYENYTYLNARKLGEDVPAYVYATEDEDL -AVDLLGMDWPDPGSQQVVETGRALKQVTGYFTAENALLIALFGYVGDQTLSKRHIPMITDIYTLEDHRLE -DTTHLQFAPNAIRTDGKDTELKELAVGDLDKYVDALVDYSKQGMKFIKVQAEKVKDSQSTKEGLQTIKEY -VDKFIQSLTENKEEIIRYGLWGVHTALYKSLAARLGHETAFATLVVKWLAFGGETVSAHIKQAAVDLVVY -YIINKPSFPGDTETQQEGRRFVAALFISALATYTYKTSNYNNLQRVVEPALAYLPYATSSLKSFAPTRLE -SVVILSSTIYKTYLSIRKGKSDGLLGTGISAAMEILNQNPISVGISVMLGLGAIAAHNAIESSEQKRTLL -MKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTIGNPLRLIYHVYGVYYKGWEAKELAEKTAGRNLFT -LIMFEAFELLGMDSEGKIRNLSGNYILDLIFNLHNKLNKGLKKLVLGWAPAPFSCDWTPSDERISLPHNN -YLRVETRCPCGYEMKAIKNVAGKLTKVEEKGPFLCRNRLGRGPPNFKVTKFYDDNLIEVKPVVRLEGQVD -LYYKGVTAKLDYNNGKVLLATNKWEVDHAFLTRLVKKHTGIGFKGAYLGDRPDYQDLVDRDCATITKNSV -QFLKMKKGCAFTYDLTISNLVRLIELVHKNNLQEREIPTVTVTTWLAYPFVNEDLGTIKPVLGEKVIPEP -PTELSLQPAVGLVTTETAITITGEAEVMTTGITPVVEMKEEPQLDHQSTTLKVGLKEGEYPGPGVNPNRL -VEVIDEKVDRPFVLIIGNKGSTSNRARTAKNIRLYKGNNPREIRDLMSQGRILTVALKELDPELKELVDY -KGTFLNREALEALSLGKPIKRKTTTAMIRRLMEPEVEEELPDWFQAEEPLFLEAKIQADLYHLIGSVDSI -KNKAKELGATDNTRIVKEVGARTYTMKLSSWSTQVTKKQMSLAPLFEELLLKCPPCSKISKGHMVSDYQL -AQGNWEPFGCGVYMGTIPARRLKIHPYEAYLKLKALVEVELSRTTVKESVIREHNTWILRKVGHEGNLRT -KSMINPGKISDQLCRDGHKRNIYNKIIGSTMASAGIRLEKLPVVRAQTDTTSFHQAIREKIDKPENKQTP -GLHEELMKVFDCLKIPELKESYDEVSWEQLEAGINRKGAAGYQERKNIGEVQDTEKHIEEQLIKDLRKGK -KIRYYETAIPKNEKRDVSDDWEAGEFVDEKKPRVIQYPDAKVRLAIAKVMYKWVKQKPVVIPGYEGKTPH -FDIFNKVKKEWDSFQDPVAVSFDTKAWDTQVTSRDLMLIKDIQKYYFNKSTHKFLDTITEHMVEVPVITA -DGEVYIRNGQRGSGQPDASAGNSMLNVLTMIYAFCKSTGIPYRGFSRVARIHVCGDDGFLITERGLGLKF -SEKGMQILHEAGKPQKITEGDKMKVAYRFEDIEFCSHTPVPVRWADNTSSYMAGRSTATILAKMATRLDS -SGERGSTAYEKAVAFSFLLMYSWNPVVRRICLLVLSQFPEISPSKNTIYYYQGDPIAAYKEVIGKQLCEL -KRTGFEKLASLNLSMTTLGIWTKHTSKRLIQDCVEIGKREGNWLVNADRLIAGKTGKFYIPSTGVTLLGK -HYEEINLKQKAAQPPIEGIDRYKLGPIVNVILRRLRVMLMTVASGSW ->BAQ08203.1 polyprotein [Bovine viral diarrhea virus 2] -MELFSNELLYKTYKQKPAGIVEPVYDINGCPLFGESSGIHPQSTLKLPHQRGSANILTNARSLPRKGDCR -KGNVNGAVSGIYIKPGPVYYQDYAGPVYHRAPLELCREASMCETTRRIGRVTGSNGNLYHIYVCIDGCIL -LKRAIRDQPEVLKWVYNRLNCPLWVTSCSDEGGKGATSKKQPKPDRIEKGKMKIAPKETEKDSKTRPPDA -TIVVEGVKYQVKKKGKVRGKNTQDGLYHNKNKPPESRKKLEKALLAWAILAVVLLQLVTGENITQWNLMD -NGTEGIQQAMFLRGVNRSLHGIWPEKICTGVPTHLATDYELKEIVGMMDASEKTNYTCCRLQRHEWNKHG -WCNWFHIEPWIWLMNKTQSNLTEGQPPRECAVTCRYDRDTELNIVTQARNSPTTLTGCKKGKNFSFAGIV -LNGPCNFKVSAEDVLFKEHDCDNMLQETAIQLLDGATNTIEGARAGTAKLTTWLGKQLGILGKKLENKSK -AWFGAYAASPYCDVERKIGYIWYTKNCTPACLPRNTKIIGPGKFDTNAEDGKILHEMGGHLSEFVLLSLV -VLSDFAPETASVIYLVLHFTIPQSYVSVDTCDKNQLNLTVATTVAEVIPGSVWNLGKYVCIRPDWWPYET -ATVFVLEEAGQVVKLGLRAIRDLTRIWNAATTTAFLVFLVKALRGQLIQGLLWLMLITGAQGFPECKEGF -QYAISKDKKMGLLGPESLTTTWHYPTKKLIDSMVQVWCEGKDLKILRTCTKEERYLVAVHERALSTSAEF -LKISDGTTGPEVIDMPDDFEFGLCPCDSKPIIKGKFNTSLLNGPAFQMVCPQGWTGTIECTLANQDTLDT -TVIRTYRRTTPFQRRKWCAYEKIIGEDIHECILGGNWTCIIGDHSKLKDGPIKKCKWCGYDFSSPEGLPH -YPIGKCMLSNESGYRYVDDTSCDRGGVAIVPTGTVKCRIGNVTVQVIATKKDLGPMPCSPSEVIASEGPV -EKTACTFKYAETLPNKYYEPRDRYFQQYMLKGKWQYWFDLDSADHHKDYFSEFIILAVVALLGGKCVLWL -LVTYMILSEQMAMGAGVNTEEIVMIGNLLTDSDIEVVVYFLLLYLIIKEESVRKWIILVYHILVASPMKT -IGVILLLLGGMVKASEINTDDQSAMDPCFFFVTGLVAVLMVARREPATLPLVVALLAIRTSGFLLPASVD -ITVAVVLTVLLLASYVTDYFRYKKWLQFSFSLVAGIFIIRSLNHINQMEVPEMSIPRWRPLALVFLYIIS -TAITTSWDIDLAGFLLQWAPAVIMMTTMWADFLTLIVVLPSYELSKLYFLKNVRTDVEKNWIGKVKYRQI -SSVYDICDSEEAVYLFPSRHKSGSRPDFILPFVKAVLISCISSQWQLVYISYLMLEITYYLHRKIIDEVS -GGANFLSRLIAGILELNWAIDDEECKGLKKLYLLSGRVRNLIVKHKVRNEAVHRWFGEEEIYGVPKVITI -IKASTLSKNKHCIVCTICEGKDWNGANCPKCGRQGKPITCGMTLADFEEKHYKKIFIREGCQEAMNTMMC -SRCQGKHRRFEMDREPKSARYCAECNRLHPAEEGDCWAESSMLGLKITYFALMDGKVYDITEWAGCQRVG -ISPDTHRAPYHISFGSRMPGTSGRQRATPDAPPADLQDFLSRIFHSEPLREEYKGYVQYTARGQLFLRNL -PILATKMKLLMVGNLGAEVGDLEHLGWVLRGPAVCKKITNHEKCHVSIMDKLTAFFGIMPRGTTPRAPVR -FPTALLKVRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSMGRTRVVCHSNNKMTDETEYGIKTDSGCP -EGARCYVLNPEAVNISGTKGAMVHLQKTGGEFTCVTASGTPAFFDLKNLKGWSGLPIFEASSGRVVGRVK -VGKNEDSKPTKLMSGIQTVSKNQTDLADIVKKLTSMNRGEFKQITLATGAGKTTELPRSVIEEIGRHKRV -LVLIPLRAAAESVYQYMRVKYPSISFNLRIGDMKEGDMATGITYASYGYFCQLPQPKLRAAMVEYSYIFL -DEYHCATPEQLAIIGKIHRFAENLRVVAMTATPAGTVTTTGQKHPIEEFIAPEVMKGEDLGSEYLDIAGL -KIPTEEMKGNMLVFVPTRNMAVETAKKLKAKGYNSGYYYSGENPENLRVVTSQSPYVVVATNAIESGVTL -PDLDTVVDTGLKCEKRVRISSKMPFIVTGLKRMAVTIGEQAQRRGRVGRVKPGRYYRSQETASGSKDYHY -DLLQAQRYGIEDGINVTKSFREMNYDWSLYEEDSLMITQLEVLNNLLISEDLPAAVKNIMARTDHPEPIQ -LAYNSYENQVPVLFPKIKNGEVTDSYENYTYLNARKLGEDVPAYVYATEDEDLAVDLLGMDWPDPGNQQV -VETGRALKQVTGLSTAENALLIALFGYVGYQTLSKRHIPMITDIYTLEDHRLEDTTHLQFAPNAIRTDGK -DSELKELAVGDLDKYVDALVDYSKQGMKFIKVQAEKVKDSQSTKEGLQTIKEYVDKFIKSLIENKEEIIR -YGLWGAHTALYKSLAARLGHETAFATLVVKWLAFGGETLSAHIKQAAVDLVVYYIINKPSFPGDTETQQE -GRRFVASLFISALATYTYKTWNYNNLVKVVEPALAYLPYATSALKLFTPTRLESVVILSSTIYKTYLSIR -KGKSDGLLGTGISAAMEILNQNPISVGISVMLGVGAIAAHNAIESSEQKRTLLMKVFVKNFLDQAATDEL -VKENPEKIIMALFEAVQTIGNPLRLIYHLYGVYYKGWEAKELAEKTAGRNLFTLIMFEAFELLGMDSEGK -MRNLSGNYILDLIFNLHNKLNKGLKKLVLGWAPAPFSCDWTPSDVRISLPHNNYLRVETRCPCGYEMKAI -KNVAGELTKVEEKGSFLCRNRLGRGPPNFKTTKFYDDDLIEVKPVAKLEGQVDLYYKGVTARLDYSNGKA -LLATNKWEVDHAFLTRLVKKHTGIGFKGAYLGDRPDHQDLVDRDCATITKNSVQFLKMKKGCAFTYDLTI -SNLVRLIELVHKNDLQEREIPTVTVTTWLAYSFVNEDLGTIKPVLGEKVIPEPPTELSLQPTLGLITTET -AITITGEAEMMTTGITPVVEMKEEPQPGRQSTFLKVGLKEGEYPGPGVNPSHLVEVIDEKDDRPFVLVIG -NKGSISNRAKTAKNIRVYKGNNPREIRDLMSQGRVLTVALKELDPELKELVDYKGTFLNREALEALSLGK -PIKRKTTTAMIKRLIEPEVEEELPDWFQAEEPLFLEAKIQADTYHLIGSVDSIKSKAKELGATDNTKIVK -EVGARTYTMKLSSWSTQITKRHMSLAPLFEELLLKCPPCSKISKGHMVSAYQLAQGNWEPLGCGVYMGTI -PARRLKIHPYEAYLKLKELVETELSKVAAKESIMKEHNTWILRKVKHEGNLRTKSMINPGKVSEQLCREG -HKRNIYNKIIGSTMASVGIRLEKLPVVRAQTDTTNFHQAIREKIDKPENKQNPELHGELMKVFDCLKIPE -LKESYDEVPWEQLEAGINRKGAAGFLESKNIGEVLDTEKHIVEQLIRDLRKGKKIRYYETAIPKNEKRDV -SDDWEAGEFVDEKKPRVIQYPDAKVRLAIAKVMYKWVKQQPVVIPGYEGKTPLFDIFNKVKKEWDSFQDP -VAVSFDTKAWDTQVTSRDLMLIRDIQKYYFKKNIHKFLDTITEHMVEVPVITSDGEVYIRNGQRGSGQPD -TSAGNSMLNVLTMIYAFCKSTGIPYRGFSRVARIHVCGDDGFLITERGLGLKFSEKGMQILHEAGKPQKI -TEGDRMKVAYRFEDIEFCSHTPVPVRWADNTSSYMAGRSTATILAKMATRLDSSGERGSTAYEKAVAFSF -LLMYSWNPVVRRICLMVLSQYPEVIPSKHTVYYYQGDPIAAYREVIGKQLCELKRTGFEKLASLNLSMTT -LGIWTKHTSKRLIQDCVEIGKKEGNWLVNADRLISGKTGKFYIPSTGVTLLGKHYEEINLKQKAGQPPIE -GVDRYKLGPIVNIILRRLRVMLMAVAGGSR ->BAW33238.1 polyprotein [Bovine viral diarrhea virus 1] -MELITNELLYKTYKQKPVGVEEPVYDQTGNPLFGERGAIHPQSTLKLPHKRGERDVPVNLASLPKRGDCR -SGNSKGPVSGIYLKPGPIFYQDYKGPFYHRAPLELFEEGFMCETTKRIGRVTGSDGKLYHLYVCIDGCIT -VKSATRSHQRVLKWVHNRLNCPLWVTSCSDTKEGGATNKKQQKPDRLEKGRMEMSKLQEAMNTMMCSRCQ -GKHRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALMDGKVYDITEWAGCQRVGISPD -THRVPYHISFGSRMPGTSGRQRATPDAPPADLQDFLSRIFQVVPKESEKDSKTKPPDATIVVDGVKYQVK -KKGKAKSKNTQDGLYHNKNKPPESRYKQKPVGVEEPVYDQTGNPLFGERGAIHPQSTLKLPHKRGERYVP -VNLASLPKRGDCRSGNSKGPVSGIYLKPGPIFYQDYKGPVYHRAPLELFEEGFMCETTKRIGRVTGSDGK -LYHLYVCIDGCITVKSATRSHQRVLKWVHNRFNCPLWVTSCSDTKEEGATNKKQQKPDRLEKGRMKIVPK -ESEKDSKTKPPDATIVVDGVKYQVKKKGKVKSKNTQDGLYHNKNKPPESRKKLEKALLAWAILAMILIQV -TMGENITQWNLQDNGTEGIQRAMFQRGVNRSLHGIWPEKICTGVPSHLATDVELKTIHGMMDASEKTNYT -CCRLQRHEWNKHGWCNWYNIEPWILIMNRTQANLTEGQPPRECAVTCRYDRDSDLNVVTQARDSPTPLTG -CKKGKNFSFAGILLRGPCNFEIAASDVLFKEHDCTSMFQDTAHYLVDGMTNSLESARQGTARLTTWLGKQ -LGILGKKLENKSKTWFGAYAASPYCDVDRKLGYIWFTKNCTPACLPKNTKIIGPGKFDTNAEDGKILHEM -GGHLSEVLLLSIVVLSDFAPETASVIYLILHFSIPQSHVDIMDCDKTQLNLTVELTTADVIPGSVWNLGK -YVCIRPDWWPYETTAVLAFEEVGQVVKIILRALRDLTRIWNAATTTAFLVCLVKMVRGQMVQGILWLLLI -TGVQGHLDCKPEHSYAIAKSDTIGPLGAEGLTTVWKDYSPEMMLEDTMVIVWCKDGKLTYYQRCTRETRY -LAILHSRALPTSVVFKKLFEGSRQENTIEMDDNFEFGLCPCDAKPVVRGKYNTTLLNGPAFQMVCPIGWT -GTVSCMLANRDTLDTAVVRVYRRSKPFPYRQGCITQKTLGEDLHNCDLGGNWTCVTGDQLQYTGGSIESC -KWCGYKFKKNEGLPHYPIGKCRLENETGYRLVDSTSCNREGVAIVPQGQVKCKIGDTIIQVIALDTKLGP -MPCKPYEIISSEGPVEKTACTFNYTKTLKNKYFEPRDSYFQQYMLKGEYQYWFDLEATDHHRDYFAESIL -VVVVALLGGRYVLWLLVTYIVLSEQKASGTQYGAGEVVMMGNLLTHDSIEVVTYFLLLYLLLREESIKKW -VLLLYHILVAHPLKSVTVILLMIGDVVKADPGNQGYLGQVDICFTMVVLIVIGLIIARRDPTIVPLVTIV -ASLRVTGLTYGPAVDVTMAVMTITLLMVSYVTDYFRYKRWLQCILSLVSGVFLIRSLIHLGRIETPEVTI -PNWRPLTLILLYLISTTVVTRWKVDLAGLLLQGVPILLLIATLWADFLTLILILPTYELVKLYYLKTVKT -DIEKSWLGDLDYKRVDSIYDLDESGEGVYLFPSRQKAQKNFSILLPLVRATLISCVSSKWQLVYMAYLTV -DFVYYMHRKVIEEISGGTNMVSRLVAALIELNWSMEEEESKGLKKFYLLSGRLRNLIIKHKVRNETVAGW -YGEEEVYGMPKIMTIIKASTLNKNRHCIICTVCEGRKWKGGTCPKCGRHGKPITCGMSLADFEERHYKRI -FIREGNYEGPFRQEYNGFVQYTARGQLFLRNLPILATKVKMLMVGNLGEEIGDLEHLGWILRGPAVCKKI -TEHEKCHINILDKLTAFFGIMPRGTTPRAPVRFPTSLLKVRRGLETGWAYTHQGGISSVDHVTAGKDLLV -CDSMGRTRVVCQSNNKLTDETEYGVKTDSGCPDGARCYVLNPEAVNISGSKGAVVHLQKTGGEFTCVTAS -GTPAFFDLKNLKGWSGLPIFEASSGRVVGRVKVGKNEESKPTKIMSGIQTVSKNTADLTEMVKKITSMNR -GDFKQITLATGAGKTTELPKAVVEEIGRHKRVLVLIPLRAAAESVYQYMRLKHPSISFNLRIGDMKEGDM -ATGITYASYGYFCQMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSESIRVVAMTATPAGSVT -TTGQKHPIEEFIAPEVMKGEDLGSQFLDIAGLKIPVDEMKGNMLVFVPTRNMAVEVAKKLKAKGYNSGYY -YSGEDPANLRVVTSQSPYVIVATNAIESGVTLPDLDTVVDTGLKCEKRVRVSSKIPFIVTGLKRMAVTVG -EQAQRRGRVGRVKPGRYYRSQETATGSKDYHYDLLQAQRYGIEDGINVTKSFREMNYDWSLYEEDSLLIT -QLEILNNLLISEDLPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDTYENYSFLNARKLG -EDVPVYIYATEDEDLAVDLLGLDWPDPGNQQVVETGKALKQVAGLSSAENALLVALFGYVGYQALSKRHV -PMITDIYTIEDQRLEDTTHLQYAPNAIKTDGTETELKELASGDVEKIMGAISDYAAGGLEFVKSQAERIK -TAPLFKENAEAAKGYVRKLIDSLIEQKDVIIRYGLWGTHTALYKSIAARLGHETAFATLVLKWLAFGGES -VSDHIRQAAVDLVVYYVMNKPSFPGDSETQQEGRRFVASLFISALATYTYKTWNYHSLSKVVEPALAYLP -YATSALKMFTPTRLESVVILSTTIYKTYLSIRKGKSDGLLGTGISAAMEILSQNPVSVGISVMLGVGAIA -AHNAIESSEQKRTLLMKVFVKNFLDQAATDELVKENPEKIIMALFEAVQTIGNPLRLIYHLYGVYYKGWE -AKELAERTAGRNLFTLIMFEAFELLGMDSEGKMRNLSGNYILDLIYGLHKQINRGLKKMMLGWAPAPFSC -DWTPSDERIRLPTDDYLRVETRCPCGYEMKAFKNVSGELTKVEERGPFLCKNRPGRGPVNYKVTKYYDDN -LREIKPVAKLEGQVEHYYKGVTARIDYGKGKTLLATDKWEVEHGTITRLVKRYTGVGFNGAYLGDQPNHR -DLVERDCATITKNTVQFLKMKKGCAFTYDLTISNLTRLIELVHRNNLEEKEIPTATVTTWLAYTFVNEDV -GTIKPVLGERVIPDPVVDVSLQPEVQVDTSEVGITIIGRGPLMTTGQTPVMEKVVPDAGNDQNSVKVGLD -EGNYPGPGLQTHTLAEEIHNRDTRPFIMVLGSKSSMSNRAKTAKNINMYTRNDPREIRDLMAEGRMLVVA -LRDIDPDLSELVDFKGTFLDREALEALSLGEPKRKQVTKAAIRELLKEERQVEIPNWFTSDDPVFLEVVM -KKDKYHLIGDVGEVKDQAKALGATDQTRIIKEVGSRTYTMKLSSWFLQSSNKQISLTPLFEELLLRCPPA -TKSNKGHMASAYQLAQGNWEPLSCGVHLGTVPARRVKIHPYEAYLKLKDLVEEEEKKPRIRDTVIREHNK -WILKKIRFQGNLNTKKMLNPGKLSEQLDREGRKRNIYNNQISSIMTSAGIRLEKLPIVRAQTDTKSFHEA -IRDKIDKKENRQNPELHNKLLEIFHTIAHPTLQHTYGEVTWEQLEAGINRKGAAGFLEKKNIGEVLDSEK -HLVEQLVRDLKAGKKIKYYETAIPKNEKRDVSDDWQAGDLVEEKKPRVIQYPEAKTRLAITKVMYNWVKQ -QPVVIPGYEGKTPLFNIFNKVRKEWDLFNEPVAVSFDTKAWDTQVTSRDLQLIRDIQKYYYRKEWHKFID -TITDHMIEVPVITADGEVYIRNGQRGSGQPDTSAGNSMLNVLTMMYAFCESTGVPYKSFNRVARIHVCGD -DGFLITEKGLGLRFANKGMQILHEAGKPQKITEGEKMKVAYRFEDIEFCSHNPVPVRWSDNTSSYMAGRD -TAVILSKMATRLDSSGERGTTAYEKAVAFSFLLMYSWNPLVRRICLLVLSQRPEIAPSTQTTYYYKGDPI -GAYKDVIGRNLSELKRTGFEKLASLNLSLSTLGIWTKHTSKRIIQDCVAIGKEEGNWLVNADRLISSKTG -HTYIPDKGFTLQGKYYEQLQLGAGTSPITGVGTERYKLGPIVNLLLRRLKVLLMAAVGASS ->AQV12951.1 Polyprotein [Porcine pestivirus 1] -MEKQISYYLKKEKQRNGWTELVVGESSTKITTLSGKTYRGTWEMEKRTNPYGTYLPRPSPQQLTALHPHP -VVNCKVVEYKEVDPNYGDCPNTNGVFIDEKGRRLGSPPLGIWKIRLDYSDLVNINRPIPASGMNSYRVET -CSGELAIVTPLHDRVLVEDYRRLYQWKPNCEGIVLYVKTCSDWADQVEKQERESPPKPQRPPRRDPRKGL -QPQVPKETEVTEKKKQPSVTLVSGGQKAQVIYKGKTKNKKTPDGVYKYPGAKEGDVVKVRKMLKNWHIAL -VMYLMYTITPSFAKVQWFLKDENSTGISQILWQRRINRSLHGEWPNQICHGMPNETITDEELRSLGMIDT -SPRTNYTCCQLQYHEWKKHGWCNYPQKQAWIRRITALQANLTGAYEGPECAVICRFNGSYNIVKQARDEV -SPLTGCKEGHPFLFSGERSDSSCLRPPSTNWVRPVKMDEASMADGFAHGVDRAIILIRKGASGIINFLDA -IGRWLPIAEAAIAPYCETYTVTGMYVHVKNCLPRGLPKHSKIISPTMIYLGEGDPAHNIQHLFGSGIAKW -VLVLLGVLGEWYGELASTIYLLLEYGSEWLEHESLVTEGLIPGINITIELPASHTVPGWVWVAGQWVCVK -PDWWPTQIWIETVVTEVWHILKILASALVNIVTAFVNLELVYLVIILVKISKGNLIGAILWCLLLSGAEG -SCHKREDYYNLQLVVEEKTGVEKRSIMGKWAVITGEGREPKLMEQINIVSNGSLSETYCYNTLNTSIWRR -QPARQRGCGQTVPYWPGDNVLEEQYYSSGYWVNATGGCQLREGVWLSRKGNVQCQRNGSSLILQLAIKEE -NDTMEIPCDPVETESMGPVAQGTCVYSWAFAPRGWYYNRKDGYWLQYIKKNDYQYWTKMPTVSSAATMYR -HLLPLLVACLMGGRISVWIVAMLLSLQVEASEVGTKQLAVTLTLWKMDWTELLFYIIIMLAVKEELIKKI -VTASLVALKNSPVALSFLIVLRLVGGSEALPVGLLLEKMCIDQPEFGTPFLIYLWDNWKWTVLVSFSALN -HEKTIKLARKLLLATHITALTLTGLSDSIFYMMLIMTNLLIKIFIYLLGASMNWVEKEKKKLLVKRKLIY -KKAAVCRQGENELENKFNKITVNADFTPCKLELLQLLRAFLVSLCFSYYKPLLYAETTLTVIVIGVQEYN -VAMARGRSVVHRLLAMAYYIYGRMQGDMFQLATVQCLLSSPRKIMKHMIENPTLKKLWQGETELFNQGVS -QSKIVNPKKIGLEELHKGMCGLPTVVQNLVIYAKKNDSLILGELGYPPGDLTSDGWEILGPGRIPKITNV -ESAKMDLLSKLMTFLGIESSRVPRTPVHSTRKLLKIVRGLETGWGYTHAGGISSAKHVTGEKNLMTHMEG -RKGKYILQSQEHGADEVEYGVKTDQKAPDNALCYCFNPEATNIKGETGAMVFMKKIGKKWTLVTSDGNKA -YYNVNNLKGWSGLPIMLHSTGAIVGRIKSAYSDENDLVEELIDSRTISKSNETNLDHLIKELADMRRGEF -RSITLGTGAGKTTELPRQYLATVGAHKSVLVLVPLKAPAESVCRFMRSKYPTINFSLRVGERKEGDVSSG -ITYATYGFCCQLNLVQLKEWISRYSMVFFDEYHTATPEQIAIISKIHALKVKTRIVAMSATPPGTVTTEG -RKFDIEEVGVATIEKGEEPKRGRIAVAGMQIPLEDLTGKNCLVFVATKEAAETEAKELRTKGINATYYYS -GIDPKTLEHGMTNQPYCIVATNAIESGITCPDLDVVIDTMQKYEKVVNFSAKMPLIVTSLVKKKITREEQ -GQRKGRVGRQKKGKYYYPSGVVPNGSKDLSYLILQAQEYGVLEQVNITEYFIIMNEDWGLYDVDEIEVRI -LERMNKEILLPLGIVEKQILERSTHPEKVALLYNKLVQKNPIVYPRVQGGEVSKEYNTHNLAVYDKLKDV -NPQAIYVLAEEERATEMMGLEFEQDPSDLQDSVAQLCEDIKRYTKLSGITEKLLVGTMVGYIGYKALTRN -HVPWVSKEYCYELTDSPDTYENSFAPLDVDVQNPGEGKHPEQLADHQLRQLLETGRDKAIDFLRGIREFT -SGVINSPKALSIWEKIYQYLRKHQGEIISSAAWGSATALHDSIKSRLGDEVATAVIILKYLAFGERELSG -LTRQVLIDIIVYYIVNKPRFEGDDYAKRKGRRLVIEVLMGALATYAVSNFWGVSINKILQPISDYLPYAT -ATLAFLRPTFMESAVVVASSIYRAFLSIKHAENRSLVTQVASAALEVMGLTPVSAGLGVLLGLGLCVLHM -NIDKNEEKRTLILKMFVKNFIDQAALDELDKLEPEKIILSLLEGIQTCTNPVRAIMILYRVYYKGESFTE -ALSKMAGKSLIVMVIVEFLELTGQTQGGYIDLSANLLTFLLEKLKKMTNLAIGEARKALLPIPYLYCETW -QSDTRIKAPESYDRVVVECKCGALARYSFRHGAHEMLEEKRTKWCKNFFLWGPNFHNPDPKRMTFYEYGQ -AKKCPVTIMGEDITFGKYGIYVKFGHRPDGRRLIRGTTHATISREELLEILTAPSQVAIGKVKLTDYCNQ -KGIIDRKLAVLEGDKIHFWKAHRGSKITDQLTTESLTDDLGSEIRDIVWELYTGGTCTVKGISLRSCAPD -QRNKAMVLCDCTDVLSPCYLINGRKPSPFDVVEGYECHHRKPRATYEDLEMEEILKRRVPVYDPQCLFDT -DSKLLPPDTYYLEEDREDFEYALRCWGLGVYVVDGPITSPPDIRIHHSSVLLLLTPGADSELLLQYIRCY -SHQAEVDIYVRGQLLDEEDTATEAEGSQEDRDERMSDVVTKDEDALSTTESMPPLEEEEGAEEPITYVVV -RGLQEERYVSHLKLNDWISENISEPHRVQIMLDGTVRVTIKEGKVKHLFGVYRIENSLEAMFKETIANLP -VATQPPRGPIYTAKELAQGNIAPIQPAADYYGMIEGRGDPMTAFEALSALRSQKVLAKEVKVNIRRAQVF -LNKVRRTAEVRASELILKCLPVLGKVNGRKLVREETNIPNQRLASIMTSIGIRLEKLPVVRASTSGSKFR -QSILEKMDKYENEQVPGLHEKMWAAFLATARQDLRNTYEEVTYLELETGINRKGAPGFFEKESSIGEVLE -RKEKIDAVIQEIEKGDHLYYETAMPKNEKRDVLDDWLSEDFVTYKKPRVIQYPEAATRLAITKIMYKWVK -QKPIVIPGYEGKTPIFEIFEKVSADWAQFKNPVAVSFDTKAWDTQVTREDLRLVGRIQKYYYKKKYWKFI -DNLTAMMEEVPVITVEGDMFLRVGQRGSGQPDTSAGNSILNVLTMLVAFSESTNLPIAAAWKACRIHVCG -DDGFLITESELGRKFAEKGVPLLAAFGKPQKITEGASLKITSNFDGIEFCSHSPIRVQTPNTRWMPARPT -ATILGKMSTRLGEGATRSGEEYEKQVAFAYLLMYPWNPLVRRISLLLLSTTDPIGKEETPCSDEGVKYVG -DPIAAYRDVWGHKLEDVGHVDQPQLSRMNYSMTYLGIWKPKTSQRLVEQCCRLAEKSNCVVRADSLIKKK -VKITYDPGIGAAQVIRRWEELEWTRRKPEPTNATVEDDIFLTLWKRFSKYIFQKMKFMQRMLAPY ->ADK63187.1 polyprotein [Border disease virus] -MELSKFELLYKTSKQRPLGVIEPVYDLRGEPLYGEKSMIHPQATLKLPHDRGRGEVTTRLKDLPRKGDCR -SGNSKGPVSGIYIKPGPVFYQDYKRPVYHRAPLEQFTEVQFCEITKRIGRVTGSDGKLYHLYVCSDGCIL -LKLASRTGSMPLKWTHNVLDCPLWVTSCSDDGKNKEAGSRKPDRVKRGAMKITPKENEKDSKTKPPDATI -VVEGVKYQVKKKGKVKGKNTQDGLYHNKNKPPESRKKLEKALLAWAIIAVCLWQPVTPENITQWNLSDNG -TNGIQHIMYQRGVNRSLHGIWPEKICTGVPTHLATDTELKEIKGMMDASDKTNYTCCRLQRHEWNKHGWC -NWYNIDPWIWLMNKTQANLTEGPPEKECAVTCRYDKEADVNVVTQARDRPTTLTGCKKGKKFSFAGEVIE -GPCNFNVSVEDILFGDNECSNLFQDTALYVVDGVTNTVENARQGAAKLTSWLGKQLGIMGKKLEHKSKTW -FGANAQSPYCNVTKKIGYVWYTSNCTPACLPKNTKIIGPGKFDTNAEDGKILHEMKGHVSEFLLLSLVIL -SDFAPETASTLYLILHFTIPQSYESPDDCDTNQLNLTVSLKVEDVIPSSVWNLEKYVCIRPYWWPYETTV -ALLFEEAGQVLKLALRAIRDLTRVWNSASTTAFLICLVKLLRGQIVQGLIWLLLVTGAQGQFACREGYRY -AISKTKEVGPLGAEDLTTTWHDYKPVFSLDDGTVRAVCTRGFFRIRPHCLIGNRFLASLHQRALPTSVSF -ELIPGGLVATTIEMGDEFEFGLCPCDSKPVVKGKYNTTLLNGSAFQMVCPFGWTGQVECTTVSPSTLATE -VVRTYKRVTPFPPRKGCNHYKIIGEDLYHCALGGNWTCIVGAQVRYAGGMVRQCEWCDFLFKEKSGLPHY -PLGKCILDNETGYRLVDSTPCDRGGVVISKTGNLECLIGKTTVKVYGQDEKLGPMPCRPKEIISSEGPIS -KTACTFNYSKTLKNKYYEPRDSYFQQYMLKGEYQYWFDLDAKDHKSDYFAEFIVLAVVALLGGRYVLWLM -VVYVVLTEQMTTAMSLGEGEVVLIGNLITHEDYEVVVYFLLLYLVMKDEPIKKWILLLFHALTNNPFKTI -SVGLLMLSGLVRGAEVGIAMVRCTPGTIDLQFTLIAVITVTLLVARRDVTTYPLIITVMTLKTTWVNSGP -GVDIAIAAITTGLLMWTFISDYYKYKQWLQILASIVSGIFLIRTLKWIGGLELHTPELPSYRPLFFILTY -LISTAIVTRWNLDIAGALLQCIPALLMVLTLWADLLTLILILPTYELAKLYYLKGVRKGMERNWLGKVNY -KRVSDVYDIDESQEAVYLFPSKQRGDSVTGGMLPLLKAILISCVSSKWQCFYLLYLVIELSYYLHKKIIE -EVAGGTNLVSRLIAALLEVSWKFDDEETRGLKKFYLISSKVKSLIIKHKVRNEVMVNWFEEEEVYGMPKL -VSVIRAATLNRSKHCILCTVCESRNWKGETCPKCGRFGPPVSCGMTLSDFEERHYKKIFIREDQSEGPLR -EEYKGYLQYRARGQLFLRNLPILATKVKLLLVGNLGAEVGDLEHLGWILRGPAVCKKITEHEKCHVSIID -KLTAFFGIMPRGTTPRAPIRFPTSLLKIRRGLETGWAYTHQGGISSVDHVTAGKDLLVCDSMGRTRVVCQ -NNNKMTDETEYGVKTDSGCPEGARCYVFNPEAVNIAGTKGAMVHLQKTGSEFTCVTASGTPAFFDLRNLK -GWSGLPIFEASSGRVVGRVKVGKNEETKPTKLMSGIQTVSRSATDLTEMVKKITSMNRGEFKQVTLATGA -GKTTELPRAVIEEVGRHKRILVLIPLRAAAESVYQYMRQKHPSISFNLRIGEMKEGDMATGITYASYGYF -CQMPQPKLRAAMVEYSYIFLDEYHCATPEQLAIIGKIHRFSEQLRVVAMTATPAGTVTTTGQKHPIEEFI -APEVMKGEDLGSEFLEIAGLKIPTEEMKGNMLVFVPTRSMAVETAKKLKAKGYNSGYYYSGEDPANLRVV -TSQSPYVVVATNAIESGVTLPDLDVVVDTGLKCEKRIRLFSKMPYIVTGLKRMAVTVGEQAQRRGRVGRV -KPGRYYRSQETAVGSKDYHYDLLQAQRYGIEDGINITKSFREMNYDWSLYEEDSLMITQLEILNNLLISE -ELPAAVKNIMARTDHPEPIQLAYNSYEVQVPVLFPKIRNGEVTDSYDHYTFLNARKLGDDVPAYVYATED -EDLAVELLGMDWPDPGNQGTVETGKALKQVTGLSAAENALLVALFGYVGYQALSKRHIPMVTDIYSIEDH -RLEDTTHLQFAPNAIKTDGKETELKELAQGDIERCAEAIVGYAQQGVQFMKTQAHKIRGEPAVKNSLDTI -IEYVNKFLATMAESKDDILRYGLWGCHTALYKSIGARLGYETAFATLVVKWLAFGGESINDHIKQAATDL -VVYYIINRPQFPGDTETQQEGRKFVASLLVSALATYTYKSWNYNNLSKIVEPALACLPYASQALKLFSPT -RLESVVILSTAIYKTYLAIRRGKSDGLLGTGVSAAMEIMSQNPVSVGIAVMLGVGAVAAHNAIESSEQKR -TLLMKVFVKNFLDQAATDELVKESPEKIIMALFEAVQTVGNPLRLIYHLYGAFYKGWEAKEIAERTAGRN -LFTLIMFEAVELLGVDSEGKMRNLSGNYLLELLQKLHDGFKTSVKRLALSWAPAPFSCDWTPSDSRIGLP -HENYLRMTTRCPCGYRMRAVKNCAGELRLQEEEGSFLCRNKFGRGVINYRVTKFYDDDSVEVRPVVRLEG -QVEMYYKGATIKVDYSSNKLILATDKWEIEHSTLTRLTKRYTGAGYNGAYLGEKPNYKNLIERDCATVCK -DRVYFSKMKKGCAFTYDLSLSNLTRLIELVHKNKLEEKDIPTATVTTWIAYTFVNEDLGTIKPVLGEKVI -PEENEEVSLQQTVVMDMSKCQITLVGSSGVMTTGTTPVVAVEDTCDGQDRQVLRIGMDAGEFPGPSVQDV -TINEAIHHADERPTVLVIGSSKAISNRARTAKNVKIYRGSDPVEVRDMIRKGEMLVVALTEIEQGLLKYV -DYKGTFLSREALEALSLGQPKAKEITRADASRLLNPEIGEVELPDWFNATEPVFLEATVKQNKYHLTGDV -ATVKEKAKQLGATDATRIVKEVGARVYTMKLSNWVSLAEGGHNNLKPLFEELLLQCPPGKQSKTEHMVSA -YQLAQGNWVPTSCNVYLGTVTAKRAKIHPYEAYVRLKELIDENNMKNIKGGSGLKAHNKWILKKIKHQGN -LRTRNILNPGKVAEQLSREGRKHNVYNKIIGSTMASVGIRLDKLPVVRAQTDTTNFHQAIRDKIDKRENP -QTPDLHENLKEVFNALKIPELASTYDAVTWEELEAGINKKGAAGFFEKKNIGEILDSQKNQVEDIIRDLK -NGRPIRYYETAIPKNEKRDVNDDWESGDFVEEKRPRVIQYPEAKVRLAITKVMYKWLKQKPVVIPGYEGK -TPLFEIFNKVRKEWGNFQNPVAVSFDTKAWDTQVTSKDLELIRDIQKYYFREEWHKFIDTLTEHMVEVPV -ITADGEVYIRQGQRGSGQPDTSAGNSMLNVLTMIYAFCKATGVPYRSFGRVAKIHVCGDDGFLITEKALG -EKFASKGIQILYEAGKPQKITAGDQMKVAYKFEDIEFCSHTPVPVRWSDNTTSHMPGRNTATILAKMATR -LDSSGERGTTAYEKAVAFSFLLMYSWNPLVRRICLLILSHEPSTNPSKLSTYYYEGDPISAYKEVIGHNL -QDLKRTGFEKLALLNLSMSTLGIWTKHTSKRLLQDCVDLGSKDGNWLVNADRLVSSKTGKTYLPSGGYTL -QGRHYEELKLPRLRIPTVQGVDRYNLGPIVNVILRRLKILMMAFVGKGF diff --git a/seq/clusters_seq/cluster_187 b/seq/clusters_seq/cluster_187 deleted file mode 100644 index d38084b..0000000 --- a/seq/clusters_seq/cluster_187 +++ /dev/null @@ -1,460 +0,0 @@ ->NP_077730.1 RNA dependent RNA polymerase protein A [Nodamura virus] -MLNYETIINGASSALNIVSRALGYRVPLAKSLALVAGSCVVYKIIVHRRTLVAFLVIGPYATVVQHRLPM -ALQRAIIEYTREDREISLFPQNSIVSAEHARKADNGHPISGGTRDVARETISLAIRAAGFRHYEISPARQ -SPAEAASHQHYAAADLVRAATEDKIQDGDVVVAIDIDYYLRDIDRYLGRGVPFMAYTFNPVEVAGRDGDS -FFRITNNQVTFDVSGGGSWSHEVWDWCAFGEFIETRDASWLAWFARAVGLTKSQIHKVHYCRPWPQSPHR -ALVWCLPVASYWRFTFIPTDLHTRTLRRVRYQDTSRPGWNSIVSTGSEGLNISLGREGADHCVTIPKVHY -DMLMGLSSAQSLSSRMIGLKYTDPSVLATVAQYYQGKNVEVADADRIGRAINPKVHWPAHVEVDEAEVSA -RVYASPLVSDENMMPMIKRWETLSLSLDRRVTFQRNPKVPGKRLRAYAIEFVDLVVPERGVGVPYSLEDT -AAMLDKPSQTLAIQQVWETVDMPPRRLIEAFVKNEPTMKAGRIISSFADMRFLLRFSSYTLAFRDQVLHA -EHNRHWFCPGLTPEQIATKVVDYVSGVEEPSEGDFSNFDGTVSEWLQRHVMNAVYLRYFNHRAQRDLRSY -TDMLVSCPARAKRFGFAYDAGVGVKSGSPTTCDLNTVCNGFLQYCSIRMTHPELTPIDAFRLIGLAFGDD -SLFERRFAKNYAKVSAEVGMVLKIERFDPAQGITFLARVYPDPYTSTTSFQDPLRTWRKLHLTTRDPTIP -LATAAIDRVEGYLVTDGLSPLTGAYCRMVKRVYEAGGAEDAAKRRSRKSHSREKPYWLTVGGAWPQDVKD -VDLMFQCAAARTGVDLETLRSLDQRLGEITDVWADITINRDNEPNPYKDTLDLEGPADGRVDDRVFQNDK -HVMRLRANQVTSSQAGAAGSGDASNDPNAHDRGSQRQQGSASVLRVPDRAAPAGVSSDEQPAHQTASRSS -ASRGGAGPGRGGRRRPGPPAKTTAGGARDGNQARAPTSGPSKRQAEGRSRSSRGPAGSRGRGK - ->YP_009337806.1 hypothetical protein [Shuangao insect virus 11] -MVKIELDSSSLCEPIVVKSLILGATTTATLYFVWRERARIVAAVAIGPYPTALVSPVSRFFQRTLIDRTK -RDVSVEWFPHNFTPTTFQRRVTDNGHPISGAVRDAARVLISDAIDSTGLKRFEISPSSRTSAGARCKHQH -YAAGDLHLDLSNQIPQTGEVIVCIDTDYYLRDVSEAFGHVNPIIMHTFSPRRVAGLDGDSVYTIKNNQIR -YQVSGGAAWSHEVWDWCGYGEFIRLDAYLNSWWSRALSWFGVRKTILTKVHHIRPWADSPDRALVWCIPQ -YTFWRFSFLADDVHARPLARVVFQDSTRPGWNSLVYLDADNKQWISIGREGEDATVEMPKIDFDVLMGLQ -SSQSVTSRMIGMKYTSPHELALVGQYYRKGTADTPDSFRLARPASVRVHWPESVEADVPEVSSRSYGPPV -VTDENMMPMIKRWEALSLSLERRVEFVRNNKIPPKKFQSYAEEFLRCVCPEAGSGVPYTLEDTASFLDKP -SQVLAVKQVWETVDMPVRRLIECFLKNEPCMKPGRIISAFADARYLLQLSAFTLAFRDRVLHAEHNEHWF -CPGLTPRGIADKVCDYVSMVDQVAEGDYSNLDGTVSVWLQRRVMNAVYLRYFHHSYRKELQAHLDMLVTC -PARAKRFGFAYDAGHGVKSGSPTTCDANTIAGGFVQYCAVRETQPELTPSEAFRAIGLAFGDDGLFDRRY -KRGWAKSAERLGLVLKIEPYQPEQGVCFLARVFPDPWNTNTSFQDPLRTWRKLHLTSRDPTIKLESAAVD -RLEGYLITDPLTPVTSHYARMILRTFGRGAESEVVRRSRKSVDREKPYWLTVGGSWPQNPADVDLMWRVI -AERTGFDDATLRDYAGRCETIDNHLAHHSCSLT - ->YP_009333376.1 RNA-dependent RNA polymerase [Beihai mantis shrimp virus 6] -MGMLSFLARVWNCLKTFGSKSLLQAFKYAIGIVLAKVSYTLWQYGKHSAYDVTRPNLLRILARLLLDKTK -QDLKKTWYPLELINPEARKSDNGHPVAGSARDSARRGITAAIDALGCRKFEVNPAGRTLDDTARDHDLLA -PGDLTLDYKISRPVEGEVVTCIDTDYYVTEGGWDRLLGGGNLGILYTFSPVTVAGSDGECPFTIKDSTVE -YTVSGGSVWRHRVWDWTAGGEYVLLYQQDYLDGDSSWMQYSVRQILQLLGFQAVTISKIHHARPFPNLAH -RALVWIVPQYKAWVHGWLPFPLHLRRLRRVDYRCPSRAGWNMYSAILDGKLTTSVGREGCSAHVELPQEH -LEVIMNLGSEHAVTSRALALGIKDPVDLALLGQMHKGVADFVDKPQVSCQPAKPTVHWPLASLADKPETT -FRNYTNPIVADCMLVPMMKRWETLTISLERRVEYVSNRIPFPKWAQDYAHEFLRCVVPDNIMRTGVPYSV -EDVGKMLTKPSQALGFRRVFDTLDVPHRRLIEGFPKKEPGLKPARLISSFNDARYLAHFSRFTLCARDEV -LHAEHNKHWFCPGLTPLEIATKVQEFVCASEQVVEGDYSNFDGSVSADAQKCVMNAVYHRYFRSEFQEEL -TGYTSMLITCPARSKTFGFKYDAGVGVKSGSPTTCDANTVLNAFLQYIAVRRTLPELSPEDAFLQIGLAF -GDDSLCNSQFSKAWTKAATQLGFALKVEKCAPDTGVTFLARVYPDAKASLTSFQDPVRTLRKAHLTGRDP -NVPLPDAACDRAEGYLVTDKETPVLGEYFRFVLRHYGPQRTAKVRADSCSEKPYWLTQGGSWPQEAKDFD -LMLHCMAARTSFEVETLRAMELHYKSAKDPWTPLPWVLGDEDPTRDTLNAEGLPSTGAVDSRTYEKDVER -VIRDGKASVLRGTPEHGAAAPRDGGEVREGAGSAGASTPQQGQQGPGQPRSVHPQGTHGHHPRDRNDAGE -ANGQRVPGPQRTSSPGSGSPPTPSTTQGVRRGGNRRGRGGQRRGGRRGRGRPT - ->YP_009329984.1 hypothetical protein [Beihai noda-like virus 29] -MMLSVSFDVSYTKIGFAVGIALAGKFAYDNWEKVQAYCRSGPYASTTLPTRLVNRPLLDLTREDIDLKFN -PLDHLTPEVPRKSDNGHSVAGAARDAARRTIEATVHSMGRRMYELSPGGHATDNKGGVLYHVAPGDLWDN -VRNKQPEANDVIVCVDTDYYVENLDEYLGTGLPHVLYTFAPLHVSGNDGDCRFRIENNEIIYEVSGGSSW -KHKVWDWTAFGEFVYSYEPVRCMSWARYLLSFLGVRKVIWHKVVFARPYESSPERALVWTMPHHAGLQIT -WMQTEVNSRRLKRQDFRCPNKPGWNAIVGLHGKNLKISIGRDGDDAQVCVAKTDYDVMMGLGSAASVTTR -CLGMGISGSADLALIGQHYSLAPKAQGEFLRLGRPMTKVDVHWPLSMQCEAPVPSFRAISSSLVEEPNLV -PAIKQWESLSRSIDERVTFQTNDKVPNSILGVYAREFVSLVVPEPGTGDPYDIEETIQLLDKPSQVLGVK -RILETIDAAPRELIECFVKNEPCMKDGRIISSFPDYRYLTMLSKFTLKFRDEVLHGEHNRHWFMPGHTPT -EIADCVCNYVGTVEVPAEGDFSNFDGTVSSWLHDNVMNAVYMKWFRVDFHEELFKLLKTLVVCPARAKRF -GFYYEAGPGVKSGSPTTCDLNSVANGFMQYAAIRRAHPTLEPELAFRLVGLAFGDDSLFDMRYRREWNWV -VDQIGMKLKIANYDHEQGVTFLARVYPNPLETTTSFQDPLRTLRKLHLTGRVATVPIEDAAMDRVEGYLN -TDAHTPIISNYCNAIQRVYASRAGSRSTRMKRKDWMQDKPYWMVTSGAWPQNPKDVGLMTECMAARLGVP -PSVVEQYCTFLDGVQHEDEVRPIVLSVVSEDPKRPYERTLAQDSLPCSEPVDTRQLAKHVSTNSSRILGQ -SERPHKGPSTGGRPVSAGNNAKPADDPRPPKLESGGASGVSPDIQFHLHHDDKTKLGGHHGGGSTLAVRA -NWGRKRSGGYGFHPHGSRWKRS - ->YP_004207810.1 RNA-dependent RNA polymerase [Penaeus vannamei nodavirus] -MKCNNLLLGVELPVCLQSRLGMVLVTGIAMVYLLLYIVTYAVIHGPRVSRRLVSYVVIGPYEAITTSRPA -MALQRAMVDMTRRDIRSDWYPLQSLLDIKPFRINDNGHKVSGAVRDSARNLITNAVSAMGFDKYEVSPGG -HTVDEQFASHRHYAVNDLHRSSGDDPIRENNVLVFIDNDYYLREPSMYFSNPNPFILFTFQPITVAGYDG -DVRYTISNNEVDYRVDGGDRWHHKVWNWCDYGEFICFPMKPRWFTFDWLISCLGVQKVTYQKIHHSRPWV -DCPNRALVWGVPQFTSYIISWLPMELHARNLTRINYQCGARPGWNCLVDHTKGKLTASIGREGNDSHVEI -SKEDLDIVLGLSTQQSVTSRLIAMGYKQPQTLALVCQFYSGNANSTLDSHIVARPSQPPVHWPIASEIDI -PTTSFRNYSNPVVCCSNLCPQLKRWEVLSNSLEHRVTMVNNTKVPNVRIARLAEEFVRLVVPENGVGVPY -SLEETREQLDKPTQVNAINQIWETEDVEVRRLIEAFVKNEPTNKPGRIISSFYDPRFLLKFSSYTLAFRD -QVLHAEHNSHWFCPGKTPSELADKVCEYIQSIGTPAEGDFSNFDGRVSQWCQEHVMNAVYHRWFNKMFTT -DLRNYTSMLVSCPARAKRFGFQYEPGVGVKSGSPTTCDLNSVLNNFTQYAAIRITKRDLSPSEAFQLTGL -SFGDDSMFDAQYQRAWNYVVDQLGMELKIEPFDLSKGITFLARVFPDPYSTNTSFQDPMRTWRKLHMSAR -VGVPVESAALDRVSGYLVTDKYSPVTSEYCKMIERCYMPTGEDIVRRRQRKDCDRKKPYWMVSGGAWPQA -EGDYELMLRVTATRTGFEVPVLINKINEFRNVHDPWDITPLDYEEPSPYKHTLDCDGQPVDAVDQRQYQD -ERKSVNLRACEAAPPGSGAGDSGGGQGDQQPASMPGPSGHKRPRQLQRVSGKTGDNLLQGDRQPAVKTKG -RRRPKRGDRDPRDGGRPGNGQTTNTRAQPARGGNGKARTPGNSSRSRPHLVVS - ->YP_053043.1 put. protein A (m.w. 112 kD) [Black beetle virus] -MTLKVILGEHQITRTELPVGIATVSGCGAVVYCISKFWGYGAIAPYPQSGGNRVTRALQRAVIDKTKTPI -ETRFYPLDSLRTVTPKRAVDNGHAVSGAVRDAARRLIDESITAVGGSKFEVNPNPNSSTGLRNHFHFAVG -DLAQDFRNDTPADDAFIVGVDVDYYVTEPDVLLEHMRPVVLHTFNPKKVSGFDADSPFTIKNNLVEYKVS -GGAAWVHPVWDWCEAGEFIASRVRTSWKEWFLQLPLRMIGLEKVGYHKIHHCRPWTDCPDRALVYTIPQY -VIWRFNWIDTELHVRKLKRIEYQDETKPGWNRLEYVTDRNELLVSIGREGEHAQITIEKEKLDMLSGLSA -TQSVNVRLIGMGHKDPQYTSMIVQYYTGKKVVSPISPTVYKPTMPRVHWPVTSDADVPEVSARQYTLPIV -SDCMMMPMIKRWETMSESIERRVTFVANDKKPSDRIAKIAETFVKLMNGPFKDLDPLSIEETIERLNKPS -QQLQLRAVFEMIGVEPRQLIESFNKNEPGMKSSRIISGFPDILFILKVSRYTLAYSDIVLHAEHNEHWYY -PGRNPTEIADGVCEFVSECDAEVIETDFSNLDGRVSSWMQRNIAQKAMVQAFRPEYRDEIISFMDTIINC -SAKAKRFGFRYEPGVGVKSGSSTTTPHNTQYNGCVEFTALTFEHPDAEPEDLFRLIGPKCGDDGLSRAII -QKSINRAAKCFGLELKVERYNPEIGLCFLSRVFVDPLATTTTIQDPLRTLRKLHLTTRDPTIPLADAACD -RVEGHLCTDALTPLISDYCKMVLRLYGPTASTEQVRNQRRSRNKEKPYWLTCDGSWPQHPQDAHLMKQVL -IKRTAIDEDQVDALIGRFAAMKDVWEKITHDSEESAAACTFDEDGVAPNSVDESLPMLNDAKQTRANPGT -SRPHSNGGGSSHGNELPRRTEQRAQGPRQPARLPKQGKTNGKSDGNITAGETQRGGIPRGKGPRGGKTNT -RRTPPKAGAQPQPSNNRK - ->NP_919036.1 RNA-dependent RNA polymerase [Macrobrachium rosenbergii nodavirus] -MKCNNLLYGVELPMCLQSSMGMVVVTGLAIVYLVIYILIYSFTHGPRLSRRLASYVVIGPYEAIAKSRPA -MALQRAMVDLTRRDVRTDWYPLNNLLMNKPHRLSENGHKTSGAVRDAARNLITSAITSLGMDKYEISPGG -HTVDEQLASHRHYAVNDLHRASADDAVKENAVIVAIDTDYYLRDPSIYFSNNNPFILHTFQPITVAGKDG -DVRFTISDNQVDYRVDGGGRWQHKVWNWCDYGEFLYLKNILGILSINWWLSFFGIRKVIYQKIQHARPWV -DCPNRALVWGLPQFTRYMITWLPIEMNARELSRVNYQCASRPGWNCLVDHTSTKLVASIGREGNDCHVEL -AKEDLDVVLGLSTMQSVTSRLLQMGYKQPQTLATVCQFYNSAAYDILSCSIVARPSQPPVHWPLASEIDQ -PTTSFRNYSNNIVTCGNLCPQLKRWEVLSNSLEHRVTMVANNKVPTPRIARFAEEYVRLVVPEANVGVPY -SLEDARKELDKPTQVNAVNQIWETVDMEVRRLIEAFVKNEPTNKSGRIISSFADSRFLLKFSTYTLAFRD -EVLHAEHNRHWFCPGLTPNEIADKVCDYVRGVATPAEGDFSNFDGRVSAWCQENVMNAVYHRWFNRKFSK -ELQKYTSMLVSCPARAKRFGFQYEPGVGVKSGSPTTCDLNSVLNNFTQYAAVRLTKPDLSPQEAFEQTGL -SFGDDSLFDKQYQLRWNYVVEQLGMELKVEPFDPSNGVTFLARVFPDPYSTNTSFQDPLRTWRKLNMTSR -TCVPVESAALDRVSGYLVTDKYSPVTSEYCHMIERCYMNTAESVTRRRQRKDCDREKPYWLVSGGAWPQR -EGDYELMMRVTAARTGFEESKLINLISQFQSVHDPWDIKPLDYQEPSPYKDTLDIDGQPVDAVDERQYQN -ERNTVNLRAGAAISQMPVPSVPGGEDCNRQSADMPGPKSSEGSEQLQGLPEQDGSNRLQCHRQPTFKAKG -GSNTKGRSRKSRNGGRSISSKTANPTTHEVGGGNGKTRVTIAKRSGPKRPHNVVSNAWETLNDNR - ->NP_689444.1 protein A [Flock House virus] -MTLKVILGEHQITRTELLVGIATVSGCGAVVYCISKFWGYGAIAPYPQSGGNRVTRALQRAVIDKTKTPI -ETRFYPLDSLRTVTPKRVADNGHAVSGAVRDAARRLIDESITAVGGSKFEVNPNPNSSTGLRNHFHFAVG -DLAQDFRNDTPADDAFIVGVDVDYYVTEPDVLLEHMRPVVLHTFNPKKVSGFDADSPFTIKNNLVEYKVS -GGAAWVHPVWDWCEAGEFIASRVRTSWKEWFLQLPLRMIGLEKVGYHKIHHCRPWTDCPDRALVYTIPQY -VIWRFNWIDTELHVRKLKRIEYQDETKPGWNRLEYVTDKNELLVSIGREGEHAQITIEKEKLDMLSGLSA -TQSVNARLIGMGHKDPQYTSMIVQYYTGKKVVSPISPTVYKPTMPRVHWPVTSDADVPEVSARQYTLPIV -SDCMMMPMIKRWETMSESIERRVTFVANDKKPSDRIAKIAETFVKLMNGPFKDLDPLSIEETIERLNKPS -QQLQLRAVFEMIGVKPRQLIESFNKNEPGMKSSRIISGFPDILFILKVSRYTLAYSDIVLHAEHNEHWYY -PGRNPTEIADGVCEFVSDCDAEVIETDFSNLDGRVSSWMQRNIAQKAMVQAFRPEYRDEIISFMDTIINC -PAKAKRFGFRYEPGVGVKSGSPTTTPHNTQYNGCVEFTALTFEHPDAEPEDLFRLIGPKCGDDGLSRAII -QKSINRAAKCFGLELKVERYNPEIGLCFLSRVFVDPLATTTTIQDPLRTLRKLHLTTRDPTIPLADAACD -RVEGYLCTDALTPLISDYCKMVLRLYGPTASTEQVRNQRRSRNKEKPYWLTCDGSWPQHPQDAHLMKQVL -IKRTAIDEDQVDALIGRFAAMKDVWEKITHDSEESAAACTFDEDGVAPNSVDESLPMLNDAKQTRANPGT -SRPHSNGGGSSHGNELPRRTEQRAQGPRQPARLPKQGKTNGKSDGNITAGETQRGGIPRGKGPRGGKTNT -RRTPPKAGAQPQPSNNRK - ->NP_689439.1 protein A [Boolarra virus] -MTIKIILGEHQITRTELLGGIVIVSGCGAVAYCISKFWGYGAIAPYPQSGGNRVTRALQRAVIDKTKTPI -KTHFYPLDSLRTVTPRRASDNGHAVSGAVRDAARRLINESIETVGGSKFELNPNPNSTMGPRNHFHFAVG -DLAQNFRDDQPAADAFIVGVDVDYYITEPDVLLEHMRPLVLHTFNPKKVSGFDADSPFTINNNLVEYKVS -GGAAWVHPVWDWCEAGEFIASRVRSSWFEWLLQLPLRCLGLERVGYHKIHHCRPWTDCPDRALVYTIPQH -TVWRFTWIDTEIHTRKLKRITYQDNTKPGWNRLEHVSDNNQLLVSIGREGEHMQITIEKDKLEMLSGLGA -TQSVNARLIGMGHKDPLYTSMIVQYYTGKKVVLSVAPTVYRPTMPRVHWPVTSDADVPEVSARQYTKPII -SDCMMMPMIKRWETMSESIERRVTFVANNKKPSDAVAKIAAEFVSLMNGPFIDLEPLTIEETVERLNKPS -QQLQLRAVFEIMGVEPRQVIESFNKNEPGMKSSRIISAFPDILFIVKVSRYTLAYSDAVLHAEHNQHWYY -PGRTPVGITDGVCEFVSDCDGQVIETDFSNLDGRVSGWMQRNIAQKAMVQAFRAEYRDEIISFMDTIINC -PAKAKRFGFRYEPGMGVKSGSPTTTPHNTQYNACVEYTALKFEYPDANPEDLFSLLGPKCGDDGLARATI -QKTINRAAKCYGLELKVEKYNPEVGLCFLSRVFVDPLNTPTTIQDPLRTLRKLHITTRDPTIPIADAACD -RVEGYLCTDAHTPLISEYCRMVQRLYGPKTSTRDVREARRSRNKEKPYWLTCDGSWPQHPQDALLMKQIV -VSRTGIDEDTVDKLIGRFAAMKDVWEPITLESEESKAAQTIDEEGVAPGSVDESLLKLNDAKQTRSNSGT -SGPHTKGGGSGTGNELPRSTKQRAKGPRQSAGLPKQGKANSKPNGNVAAGQAQHGGIPRGKTPSGGKTNA -RRAPPKAGAQPGAPTNPK - ->APG76515.1 hypothetical protein 1 [Hubei noda-like virus 24] -MKYTILQESLKTALNFLGFELPRNNEMGVVETIIGKMGSIATTVHSLMNEVKIGYQLGKIGSNGIDNPIE -KVQKFVLFASGSFSDGVEDGIIFNFVLHNVDYFAGRAWEYYCKYNRDGPFYKKSGLSIALQRMLIDKTRE -KYEVNMRPIDYFIEEMNRKVDNGHMQAGNYRDLATRLMKNAALVNGRTLYEINPSTVSDNDNGDYSHISA -QDLHAAMKKDKVKDDSIIAMTDVDYYIDDVSFFSKPNPFLISTFNPIDVSGNDADCHFRIINNEVNYAVG -GGGVWKHKVWDWSAPGEFLAIERAWKGDPIKFLLRLIGFRSVMFHKIYCWRPFEKAKNRCFVYLQPSFSC -IFNKYLENEFIYRWLQRVKYEDNARKGWNSIVSDIANCELKPEDEVLKERNDNLTISLGRAGDDLSIRMS -KKDYDVLMGFKQVQGVSNRMRDMDYDTKRTIPLFLQYFEGTCTLGQVLDTSRVMKRVGLTNPHVFFPIQG -FPGEGKATFRNISNPLLNENDLVPDLRCPDTMIQTIDKRVTSQINNVIPPTTYSVYAEEFVECLLYGESK -SKGIPYSIEEVMEKLDKNTQQALINQCQESMDLEPTIKISSFIKKETTKKSPRIISGFTDFRFIMGLSAF -TLKFRDEVLHNENNQHWFTPGKNPKRIVSDVRQYAERAGWLIEGDFTNMDGTVSKYLQDVVMAAPIMRWV -NCKEKGVLKQYLDGIVRCKAVSKLHLFKYDAGPGVKSGSPTTCDFNTILNAFLMYVAIRKTELRVADKME -CFKRIGPCFGDDSVFEEKYAAAWIKVVKEVGMDLKVVKYDETMGLRFLGRVYIDPYNSDTTMQDMVRTFR -KIHLTGRSRHVPLPTAAVDRCSGFLASDKFTPGISTYCNSIIKYYKSTDDFKNDKKRDTRGDKDSESPYW -LKFGENDSWPQDEKDRDAMFQILSNHLGLEINQVRLWDEYLANIKDPFEIQPLQIATEYYHKECIEIDGE -IGTGSLNKQESKTENENLRAEPNHRGSDDIFGRSSRMDNCNKSRNEMGGNDAELQLQTIRGCDGIHDNQH -DCLNPSNGGENSIQNQSVGTRGSLQDIGYVSPILRKDAFIEGGNRGVDETDWKSFKKGGKPGWPRSRSFD -GFHEYRYYANEGRRLSDPTCKGGCGTMEKQSNSRVSRRRIETSGESFGENATRLPVNTPKLAVQQSRYTR -EQYCNQALVGWRRKFEERNRNETTSGRIVEPRYGNTSCNKQEQRILTSNKRKGKVDKNPESSRRNGRRYE -GKRGRNGFVNKETRNSNLPLQFTGIEHPNRPEKHAFPHKEDCSEIPRADKNRECLGIPPNIEENLNHGPK -IDKEIPPTRED ->ABS29339.1 protein A [Flock House virus] -MTLKVILGEHQITRTELLVGLATVSGCGAVAYCISKFWGYGAIAPYPQSGGNRVTRALQRAVIDTTKTPM -ETRFYPLDSLRTVTPKRAADNGHAVSGAVRDAARRLIDEAISSVGGSKFEVNPNPNSSTGLRNHFHFAVG -DLAQDFRNDTPAEDAFIVGVDVDYYVTEPDVLLEHMRPVVLHTFNPKKVSGFDADSPFTIKNNLVEYKVS -GGAAWVHPVWDWCEAGEFIASRVRTSWKEWFLQLPLRLVGLEKVGYHKIHHCRPWIDCPDRALVYTTPLY -TVWRFKWIDTELHVRKLKRIKYQDETKPGWNRLEYVTDKNELMVSIGREGEHAQVTIEKEELDMLSGLSA -TQSVNARLIGMGHKDPQYTSMIVQYYTGKKVVSPISPTVYKPTMPRVHWPITSDADVPEVSARQYTLPIV -SDCMMMPMIKRWETMSESIERRVTFVANDKKPSDSIARVADTFVRLMNGPFNNLEPLSIEETIERLNKPS -QQLQLRAVFEIIGVEPRQLIESFNKNEPGMKSSRIISGFPDILFILKVSRYTLAYSDIVLHAEHNQHWYY -PGRNPTEIADGVCEFVSDCDAEVIETDFSNLDGRVSSWMQRNIAQKAMVQAFKPEYRDEIISFMDTIIHC -PAKAKRFGFRYEPGVGVKSGSPTTTPHNTQYNACVEFTALTFEHPAAKPEDLFRLIGPKCGDDGLSRAII -WKSINRAAKCYGLELKVERYNPEIGLCFLSRVFVDPLATTTTIQDPLRTLRKLHLTTRDPTIPLADAACD -RVEGYLCTDALTPLISDYCKMVLRLYGPTASTEEVRNQRRSRNKEKPYWLTCNGSWPQHPQDAHLMKQVL -IKRTGIDEDQVDALIGRFAAMKDVWEKITHDSEESAAACTFDEDGVMPGSVDESLPKLNDAKQTRANPGT -SRPHSNGGGSSNGNELPERAKQRAQGPRQPARLPKQGKADGKPNGNITAGETQRGGIPRRKGPRGGKTNN -RRTPPEAGAKPQPSNNRK ->APG76125.1 hypothetical protein [Beihai noda-like virus 30] -MISFDPAAWGWLVTSRSGLLWLGITGLAVLQLVVYLYLYCILHGGAIVQQLTASLIAGPFAVITHSRISR -FLQRNLVNLTRVDQRASWFPLKSLIDQRPTRLSDNGHATSGAVRDAARRLISGAISAAHCRKVEISPGGH -TIDEDNAIHLHVAVGDLHRPLSYHKLVEGDILAMIDVDYYIAEPDEYFGQPVPFILHTFQPTTVAGSDGD -VTFKICDNIIDYKVDGGGRWQHQIWDWCNYGEYLEFCPRPSRFCLDWWLSWIGIQRVQYQKVHHARPWLD -CPMRALVWGIPQFSCLRFTWLAMEIQPRRLCRVDFRDPRRPDWNVIVDHTQPGKLTASIGRAGDDAHVEV -SKTDLDIIKGLSTAQSVSSRLLSFGYQSTRTLALIGQYYGSKDLEVDQYTQVARPATVMVHWPVASEMDT -PETSMRSYGAPVVKCVNLCPQLKRWEVLSNSLEYRVSRVVNNKLPRPAIGIYAEEFVRLVVPDRHKGAPL -SLEETVLELNKPSQVLAIKQIWETVDMPHRSLIEAFVKNEPTNKAGRIISSFPDMRYLLKFSSFTIAFRD -NVLHSEHNSHWFCPGLTPPAIAKKVVGYVRDIVQPVEGDFFNFDGTVSEWCQRHVMNAVYHRWFARQYCQ -ELTTYTDDLISCPARAKRFGFRYEAGVGVKSGSPTTCDLNTVLNAFIQYVAVRRTKPELPKELAYSQIGL -AFGDDSLFDDQYTKEWNWCAKQVGMNLKVERCDPTTGVTFLARVFPDPWNTETSFQDPMRTFRKLHLTAR -PNIPYEDAALDRVAGYLTTDKLTPVISDYCSMIERCYGSSSDVEKRRKRKDANREKPYWLTVGGAWPQSP -TDVNLMLSCVAARTGFTEEQLTKFCEQCKTVNSPWDIKSLDTGLESPYTNTLDVDGLPVDAVDDRQINHE -RELLSVRACEGIPGEDRTDQPGPESSDRRAAQVPRPKGSQRPGCVSRLSQGDGEPRVQGDGLPIAEAQGG -GSAQGKRGGTGKSRPPRRGKAGHCTTFRSVGGRGKNVQRKRVPRHGGGRVSK ->APG76486.1 hypothetical protein [Hubei noda-like virus 21] -MTLKIILGEHQITRTELLGGIAIVSGCGAVGYCISKFWGYGAIAPYPQSKGNRVTRALQRAVIDFTKERI -NTTFYPLNSLRNVTPRRSNENGHAVSGAVRDAARRLIDEAIQVVGGTKFEINPNTNSSTGLRNHFHFAVG -DLAQDFRNDQVEDNAFVVGVDVDYYITEPDVLLEHMRPVILHTFNPKKVSGFDADSPFTIKDNVVEYKVS -GGAAWKHAVWDWCEAGEFVASRVRTGWHDWIMQLPLRLIGLEKVGYHKIHHSRPWVDCPDRALVYTIPQY -TVWRFKWIETELHVRRLEQVKFQDATKPGWNRLEFVTDDNKLMVSIGREGEHVQITIEKGELDMLSGLSA -TQSVNARLIGLGHKDPLYTSMVVQYYTGKKVVLPVSPTVYKPTMPRVHWPVTSEADIPEVSARQYTKPIL -SDSMLMPMIKRWETMSESIERRVTFVSNDKKPSDHVAAIAANFVRLMNGGIKDLDPLTIEETVERLNKPS -QQLQLRAVFEIIGVEPRQLIESFNKNEPGMKNSRIISGFSDILFILKVSRYTLAYSDIVLHAEHNQHWYY -PGRNPTQIADGVCDFVSDCDGNVIETDFSNLDGRVSGWMQRNIAQRALVQAFKPEYRDEIISFMDTIINC -PAKAKRFGFRYEPGVGVKSGSPTTTPHNTQYNGCVEFTALAFEHPSARPEDLFQLIGPKCGDDGLSRALI -QKSINRAAKGYGLELKVERYNPEMGLCFLSRVFVDPLNTPTTMQDPLRTLRKLHLTTRDPTIPLADAACD -RVEGYLCTDALTPLISDYCRMVLRLYGKQTSNIDVRNQRRSRNKEKPYWLTCDGSWPQHQQDVKLMKQIL -INRTGIDGDKIEALVGRFAAMNDVWENITHDTEESQVNHAIDEDGVIPGSVDESLCKLNDAKQTRANPGT -SRPHSSGSGGNNGNELPRRTQQCAQGPRQPARLPKQGQANSESHGNITTGKTQCGGLPRRKGPGGNETND -RRKTPLVRAKPKATGHRGRTSKR ->APG76164.1 hypothetical protein 2 [Beihai noda-like virus 25] -MDYSNGLPNREQIVVCIDTDYYVESIEHYLALSSGYISYTFAPTRVSGVDGDCAFTIREDRVEYSVSGGS -QWNHRVWDWCAPGEYLACDAPSDGGSLLAWAAYLVGFRRTTYVKVHHVRPFPELPDRAIVWAIPQYRCWR -HVLLPLAVNARRLSRVVYSDKDRHGWNVLSDHSGPAPRVSFGRAGELAHLELPQAKFEILMGLSSQQSVT -ARAIGMGITKAEDLALVGQYFNKVSVPQRAIAKTMRPAQLRVHWPAASYADANEANFRAYGTPLVTDSNA -VPMHKRWEAISVSIDHRITFVANTAVPNARIAGFAAEFVRLVVRQPHTGVPLSLEAARDELDKPSQTLAV -KRIWETADVKVRNLIESFIKKEPCSKPSRMISAFADTRFLLALSSYTIAFREDILHNDENKHWFLPGRTP -SEIAQAVVDYCGSISEPAEGDYANFDGSVSEWLQVNIMNAVMMRWVHPEHRPALAPLLGMLVHCPARTKM -FEFRYDAGVGVKSGSPTTCDLNTVLNAFLMYAAIRMTDVTLTGDEAFRAIGLAFGDDSLFEWRYRKAWER -LTVKLGMKVKIERCLPTTGVCFLGRVYVDPLVTSTSIQDPLRSLRKLHMTGRNPNVPLATAASDRVKGYL -VTDALTPIIGTYCRQVEKYYAAEYAADSKATLRADRDSEKPYWYHSSGAWPQDPADVDLMVGVIAERTGF -SREVILAYDQLISTVDPWSLRPLDRDEVAEPLTNSLDDDGQIAEEGVDERTEVNKQNVNKRALPEASKGP -GRTADADGQDDEQTQQGPRTRRGGHSRNAKGPREPGPVLPEGNQEHLRGSGGSPGETKLPTRVWPARRRG -ARRQGGNARRAGSTKRGRNQSASGAAGDRPPKVGGASPGPVS ->APG76600.1 hypothetical protein [Wenzhou noda-like virus 6] -MKISIILGEHQISRTELLGGLAIVSGCGAIVYCISKFCGYAAIAPYPQSIANRVTRALQRAVIDKTKTPI -KTHFYPLDSLRTVTPKRASENGHAVSGAVRDAARRLINEAIDTVGGSKYELNPNPNSTVGARSHFHFAVG -DLAQDFRNDVPNERAYIISIDTDYYITEPDVLLEHMRPVIMHTFNPKKVSGFDADSPFTIINNIVEYKVS -GGATWRHPVWDWCEAGEFITSRVRLNWKQWLKRLPFTLIGLHQVGYHKIHHCRPWTDCPDRALVYTIPQY -TVWKFKWIENEINTRELKRITYQDNTKPGWNRLEYVSDDNKLMVSLGREGEHAQVTIEKEKLDMLSGLGA -TQSVNARLIGMGHKEPLYTSLIVQYYTGKKVTTPVVSTVYKPTMPRVHWPVTSEADVPEVSARQYTKPII -SDCMMMPMIKRWETMSESIERRVTFVANDKKPSDKIAEIVDQFVNLMNGNVDDLEPLSFEETIERLNKPS -QQLQLRAVFEIIGVEPRELIESFNKNEPGMKSSRIISGFSDILFILKVSRFTLAYTDSILHAEHNKHWYY -PGRTPEQIASSVCDFVSDCDAQVIETDFSNLDGRVSGWMQRNIAQKAMVRAFKPEYTQEIISFMDTIINC -PAKAKRFGFRYEPGVGVKSGSPTTTPHNTKYNACVEYTALKFEYPDANPEHIFQMIGPKCGDDGISRATI -QKSINKAAKCFGLEVKVERYDPEKGLCFLSRVFVDPLNTDTTMQDPLRTLKKLHLTTRDPTIPLADAACD -RVEGYLCTDALTPLISDYCRMVLRLHGPNSSTLEVRNSRRSRNKEKPYWMTCDGSWPQHQQDTQLMKQIL -INRTGIDEDDINRLIGRFAAMTDVWEQITYDTENSTATHTIDEEGITPGSVDESFNKLNDAKQTRANPGT -SRTRTTRNLGGGKDQLPRRTKQRAKRPRQSAGLPEQSKDDRKPNGNITTGKTNSSRVSRGQTSTGGKTNT -RGKTPKTGTEPPTTTTTKRK ->APG76104.1 hypothetical protein [Beihai noda-like virus 28] -MSSQNLKARIKASGIYNMSRQPCARLSRWLVGKTAKCTDTGFNFMDTKNYGARRNRKTQNGHKHSGNERD -NGRRGIEHCLKTNGKVITEIQGSKSSRAEANVQDVITVNDLSMPRDSPRIRNSTGFMMIDTDYYVHDWRP -LLSYDKVVGMYTFSPIHVAGVDRDSPYTIQDDTVLYSVSGGGNWHHKVWDWRKTGEFITVDEPGRVCNRR -GRFLKSLGFRRKHVYKKAVIGIPDTPNRTIVWLLPQWSYLTHKFLPKVMLNEQEPSRLTYSAEGRPSWNF -IQYNDGQELMVSLGYKGGQAHVEMPKWQYDILINLPNAGAVSSRMMACHYSAMSVSLFQQYYRNAEYDTN -MSVVLGAPYELNPTPFVPQLGVSDDTFPHTWRAIGPPLLEESSMVPLIKEKSSFESSVDFRVLKNVNKLL -PPNWLDAYVHEFLECLVPRAGKGIPADWDEAVEDLDKPSQVALIRNILETLGEPPMHMIEGFIKNEPTSK -PNRIISSFPDVRYLVAMTRLTLPFREEILHEQPWFMPGKDPKQIAEEVCEYARNIQVPAEGDYSNFDGTV -SEWLQENIINAAYLRWLDPRFRQEFQPLLRMLISCPARAKRFNMLYEAGPGIKSGSPTTCDGNTMLNAFL -QYVAIRRSDRTTTPRVAFRLIGLAFGDDSLFDDKYKHEWLYVAKKVGMNLKIEPYDVEKGITFLARVYPD -PIATTTSFQDPKRTMMKLHLTSRQKTVPLADAATDRLEGYLVTDSMTPIISTYCRKMISHHASEASSLAK -RARRHDHNRDKPYWVYGRNDGKAWPQNRDDLDLVIDCMAARLGIDRDHLLAYEDHLMATSYDDMKPLKIG -DGELAGKNNIYPTTGTIAPTDNPLVILDHDHKGLPRDGSRHNHAHRCIACDTIFYHSHVIKSVEESKKFL -HICKTCRAKRKGPGASVKLNSPHLIKTTALISSDKHGKDDGVELERPAPVTQSAARLPESASNKRPKPKR -GPRSAGAQPRGRGQSSASDQLNRSSLHEAESGAANEEANGKRRTNGRNRRNIGRIGDSRVRSVPTLSTNA -DQPTTGVETSPLDPAKSNGQATRSSHVRGKRKARKRKARPTNA ->APG76466.1 hypothetical protein [Hubei noda-like virus 22] -MEYCTMVKDIFGESQIAQSQLYVGLTSALSRPTIKFLLFASGCSVVVHCISEFWGYAAIAPYPQSTGNRV -TRALQRAVIDKTKTPIKVKFYPLDSLRTTTPKRAVENGHAVSGAVRDSARRLIDEAIKVVGGAKFELNPN -PNSTEGQRNHFHFAVGDLAQDFQNQTPSEDAFIVGIDIDYYVTEPDVLLEHMRPVILHTFNPKKVSGFDA -DSPFTIKNNLVEYKVSGGAAWVHPVWDWCESGEFITSRVRQTIRQWILTLPLKLLGLQKVGYHKIHHCRP -WSDCPDRALVYTVPQYTTWRFRWINNEINTRKLKLIKYQDETKPGWNRLEYVTNDNTLMVSIGREGEHAQ -ITIEKEKLDMLSGLGATQSVNARLIGMGHKDPLYTSIIVQYYTGKKVVSPVVSTIYKPTMPRVHWPITSD -ADVPEVSARQYTKPIITDSMMMPMIKRWETMSESIERRVTFVANDKKPNDFIARVAEEFVMLMNSGISNL -HPLTIEETIDRLNKPSQQLQLRAVFEIIGVEPRQLIESFNKNEPGMKSSRIISGFSDILFILKVSRYTLA -YSDKVLHADHNKHWYYPGRNPTEIVDGVCEFTSECDGEVIETDFSNLDGRVSGWMQRNIAQKAMINAFSL -EYRDEIISFMDTIIHCPAKAKRFGFRYDPGMGVKSGSPTTTPHNTQYNACVEYTALKFEYPDALPEHLFQ -MIGPKCGDDGLARATIQKSINKSARAYGLELKVERYNPEIGLCFLSRVFIDPLATNTTMQDPLRTLRKLH -LTTRDPTIPLADAACDRVDGYLCTDAITPLISDYCKMVLRLYEPKSSSLEIRNKRRSRNKEKPYWLTCDG -SWPQHPQDIPAMKQILINRTGIDEDQVDKLIGRFTAMVDVWEPFTHDTENNGAAHTIDEEGIVPGSVDDS -FTKLNEAKQTRANAGNSRTKIKSDNGPKEQPRTTQGGSAKMENLNGGTYKAHCSSTHRQSQNMAGERGPR -LLPGGVGGLRKGYNQTKPSRDQPSPTRFQTNYRIWSGPPKKNSNVQNTTTGVRQPHRPRTTQT ->APG76281.1 hypothetical protein [Hubei noda-like virus 26] -MNSMLLSSAAAYGIARGWPQKLLRSLSTRFGTRIALVGAGVVVVTTYIMRRKWHVVRGLMLEGPYPPATS -NRTIRLAQRAIINLTRKNRSVTMYPLEFLIEQRPVRTQDNGHPVCGAVRDAGRELIESAVSAGGFTKCEL -SPASQARPCDGGMFHQHYAPADLRLGVSNEPPGERAVIVCIDTDYYLSDPGFILQYGRPVLLHTFQPTTP -SGMDGESRFRVVDDTVLYEVSGGGGWHHQVWDWCTFGEFIQTPVPRTRSLKHLLLSAVGLRETMLHKVAF -ARPWTNCPHRALVWCLPEASFWSWGVLPAEISARQLKRVQFTDPQRPGWNRIVSITDNSAVQVSFGRAGE -DAFVTLPKTEYDVLMGLSSGASVTTRLINMGYTDAITLGLTGQHYAGKPAPVDEPCRLARPVAMTHWPTT -SRADAPEIVARAYATPLVPHPSLMPMTKRLETTSLSIDRRITITKNTKVPPRAFQSLAAEFVAQVVPVGG -CGSPYTWEDAAPMLDKPTQQLGIKAIWDTADVPPRELIEAFIKNEPTAKCSRIISSFPDMRFLLGFARYT -MKFRDEVLHAEHNRHWFMPGRTPAEIATAVCDYCSRITQPLSWDFSNFDGSISEWLQSNVMNAVYHRYFG -DSRELRQYTRMLITCPARSKRFGFAYEAGCGVKSGSPTTCDLNTVASAFVQYCAIRMSCPDTTPSVAFAS -IGLAFGDDSLFDRRYQRRMNTISDCLGLSGKPEPSDPELGVVFLARVFPAPTETTTSFQDPMRTWMKLHL -TNRDPTIPLADAAVDRVEGYLLTDRCTPLTSHYCAYVLNCYREACSPAFARAKRRCWGREKPYWLTGDGS -WPQDPKDVELMEHCIAARVGLSVEQLRDHIALIKRLTPTRDPWHELTLPGLRDQSMPYKDTLDPVDQLPV -APVDLRNQRSDEQHANEREQISNRRTVPNPDSSRGRNTGRRDRPDERPRGHNARPSQLSRMHPVSGRESQ -SRDSEPAGETARRGVPARNRRRRRVQTRRERPAQQVEEPAVAGERSAAGDRSDLSGGR ->APG76106.1 hypothetical protein [Beihai noda-like virus 26] -MNFLRNYAGVALANSRSLALVADFCHNLKPNPIDITVTAACVGVVVSGQALSIALQGVETSYRFVAGNLL -NAGNLGAAALGRVHDVATSPEAAVLGRSVVQTALRCSAAASRGLGRIRAVGNVVYSKRKTIMLLICYAVN -PMVTYFAIRTLSDERLLTLFTCTFIREGETLWSKHDWSVNGDFKPRKTDNGHAGNGALRDGAQEALINIA -RRGGYDSFALWPHKQEGSNLHASFIGNDLNKDLSIQKPKPQEIVLGMDVDYYPQIHKILDWYQPCLFYTF -FPTTEIGVAGGKDLESSYTISDGVVHYSVPNGGEWVHHVWNWTYSDYISTGCNPYHRDFDPREDTGVAGW -AKFLRRIKQSLVEPDLYGDTMEFLCWLLRIKRVTTYKCMTHKISEQRRVVVLNPAYQFFTVADVVMLKDG -QYTPNYLKRFTFTDPDKPGWNRWTVQRQDDVDLVMLGQKGAPVFIALKATDFQTISHCASISSATHALGQ -AGYGTLEKKIACAYLFGDSAAFTAAELLKAPAPLPQVHPLYTAHYEDKQSWREIGAPPIRDVVSYIPDIK -NEDAALNVVHERVTKQINTKVPPRGYNGYAREFIEMAIPKEFHHKLVPCEVDESIADFEKPRQVERIRQV -LDRIDDDATSRIQSFMKKEATGLKAGRLISGFNPEFVVLINCYTRVVREEILHHERNEFWFSPGKTPTEI -VAQVREFCTANSEVAENDYSNFDGTVSAWLMSNIIKPFYALPFKAEHKPRIKVLLDNALNCSAILKKFGV -GYDPGPGVRSGWTLTCDGNCLINAFICFCAHRKAGFGVKESFDKLGPIFGDDSIFDASVAGHLSDACQCL -GMKCKIVPANPELGITYLSRVFVDPLTTETTFQDPLRTLKKLHYTGRQHNVPIEDAALDRVDGYLVNDSV -TPFVSHYCRAVQRAYYDGDVYGAGGATFEYQANAAARRERSDDATDIPYWVVLDKGNGWPQDDVDIPKMQ -KMVALRLGINENDLIEHCARLDSVVDVDGLKDCSYVKPSTALEEHLEGATQDVVVNGDHVGNSGSGSHKQ -TTSADTKREDDKPQRTDSGTSGPDQRTGQPDRALADKDRPKHGKGRTGSPGFSSGGGQEPPDNKQCLGGA -PGKTSPVKPSGGGKPAGRPASKKKKRRPPAKKSGAGGGGSASAKRDEGGPEKTQLTNQQSPGRATVGKEG -DKSNSTS ->APG76332.1 hypothetical protein [Hubei noda-like virus 23] -MQQIIELLGVNQLTRKELTYGVVLIAGCSASAYYIAKISGYGAIAPYPESDNNRVLRAMQRAVIDKTKTS -VERNFYPLDSLRTVQPKRSSDNGHAVSGAVRDAARRLINEAIDVVGGQKYEINPNSNSTTGLRNHFHYAV -GDLGQDFRNDTPNNAIIIGIDVDYYISEPDVLLKYMNPIILHTFNPIKVSGFDADSPFTIQDNIVNYRVS -GGNNWKHPVWDWCESGEFIESKVHQSWKEWIKTLPLRLIGLTKVGYHKIHHCRPWLDCPDRALVYTIPQY -TLWRFSWIKSDIHVRQLKRIQYQDINKPGWNRLEYVNENNQLMVSIGRENEHAQATIEKSQLDVLSGLSA -TQSVNARLIGMGYKDPQFTSLIVQYYTGKKMLPTVSSTIYRPTMPRVHWPITSEVDIPEVSARQYTKPIL -SDCMMVPMIKRWETMSESIERRVTFVKNDKKPTDYIAKLVDDFVQLLNNGIENLEPLSIEETILRLNKPS -QQLQLRAVFEMIGVEPRNLIESFNKNEAGMKSSRIISGFPDILFILKVSRFTLAYSDSVLHAEHNQHWYF -PGLNPTQIADKVCEFVSDCDGQVIETDFSNLDGRVSGWMQRNIAQKALLKAFKNEHHKEIISFMDTIINC -PAKSKRFGFRYEPGMGVKSGSPTTTPHNTIYNACVEYIALQYVYVDVTPSDIYQLIGPKCGDDGLSRAVI -QKSVNRAAKAFGLEIKVERYDPRIGLCFLSRVFIDPLTTNSTIQDPLRTIRKLHLTMRDPTIPLADAACD -RVDGYLVTDRLTPLISDYCQMVKRIYEPLASDVNVRLARKSRNKEKPYWLTCDGTWPQHQQDQPTMLQIV -INRTGIDEDDINKLRSRMISMIDAWEPITYDSEFSNVTHTIDEDGVAVGTMDESFLHLNDVKQTRANPEH -SFTRKKRTGVNSDELQRRTTISASGSGQSDRLCRQGRKADTSSNKRIVGKTNSARVSERRNQYNRRNKSV -KEGLPVSRESTRQRRQ ->APG76103.1 hypothetical protein [Beihai noda-like virus 24] -MRNFVGLTELFQILTRAFNFLKSFSRCLVAPLVRYVVSKIFPEYTNRGPFSGVKPTPLTIIQRYIIDLSK -KDVSVEFFPSDAFGDVQARRTNNGHQVSGAIRDAARKSISAAVTSAGLEVFELSPARQSIRSDNNCVSHA -APSDLQFDYDDPLPKEGSVIVCIDTDYYATERYFERILQNNNVMLLFTFLPRNLCGQDGDCSFRTDKGVI -TYEVSGGGCWVHRVWDWDGFGEYISFLVDTNTILGRFARALGLVKKAVYKIVVHRPYKDAPDRAIVLCLP -QFNYWVHGMVDDPVNSRPLTRRDTLDKLRPGYDSLVTLEDGQPTFKAARSGSDYQFVIPKRWIDVLIGLA -NAGAVTTRLLSFGLKDKVALAAINQYVAAKEAALPDPPRLGNPAEVRPLVHWPVASYTDEPTTSARCYAP -AIVSDCNMVPNIKRWEDTTLAISRRITEIHNTKVMPVDMHAYADEFLTLVIGDTPRLFPYSLEEVADMLD -KPKQQLMLKQIWCQLDVKARGQIEAFIKNEPTMKAPRIISSFADFKLIVQLSAYTLMARDTILHAEHNRH -WFIPGLTPPEIAEKLVEYVSGIQDPVEGDYKTMDGTVSKDLQRFVMHAFMYRAFHRDTHRPLRQLLNHLL -NSDGVAKSFGFKYQTGFGVKSGSPTTCDHNTILNAYLQYVAVRRTNKNLTHDEAFRSIGMCFGDDSVFRS -DYAKQWQIAAKRVGMTLKVEAYKPEQGLCFLGRVFLDPDTTVASMAEPLRAMRKLHITMRNPTVPLAEAA -IDRMEGYKVTDSATPVIREYCQAVIDFYKPKVDDAARLSRSDRLKELPYWATADGSWPQDPDQEERMLDI -IAARTGVEVNEWQDYINMILSTPHPWDWEPINRGLEQYEWKDTIAPCGFPSQSVDDRKYQLDLTQIDNDA -VKQYERKESPREACGQDVGDGGGDPGCAGGGSEESSGQHREGSGKPDVLLPETGQDHGKRHGVFPREAKR -GGLVRGGGRGRRQQPSKGGQTSGSRGSQHGRAGPSPRGKQDFRGGRGRGSIVRGRPDRQQLSRETTAAN ->APG76300.1 hypothetical protein [Shuangao noda-like virus 1] -MNPMAISTVVRGGEKLSHWLVSLLFRCVKSRRSCLRLALGLAGAFLAWRLRRRILAYAVTGPYDRVVGLQ -ETLARALQRALIDRTREDVHLNWFPINGITDQHPKRHNDNGHPRSGAVRDAARVAIDQVVNASGLTRYEI -SSGGRSLDEKGAHQHYAPGDLHAGVKEDPIEKGDVVIGIDIDYYLSDLDKYLGNPVPAIFHTFVPQTVAG -KDGEATFRIKDNMVKYDVPGGNEWKHQVWNWTAAGEFLEFRAPGWKPWLLSFLGFEKIVYQKVHHARPWI -DCPQRALVWLIPQYSCWRVSWLKNHIYARRLERMQFQDPTHPGWNILVHSVTEKNVGEYDVISLGREDED -AHVEIQKMHFDTLMALGSAQSVASRMVGMGYKEPMVQALVQQYYRKAPRENIDPERTLRSAGPRAHWPLT -MEAEYPEISYRSYSSPLTSDPNMVPQIKRWEALSQSIERRVTDVANHKVPPAKYNRYANEFARLVVPFAG -EVTPYSMEKTMEMLDKPSQQLGIKQILETVDMDPKRRWETFIKNEPCNKAPRMIAAAADFRFLLQYSSHT -LAVRDAILHDERHQHWFFPGKTPVQIAETLQDFVGRVDTAIETDFSNLDGTVSIWMQRNIGQAIYLRAIH -PDYRKESQRLQDFEITAPARAKRFNFAYEPGVGVKSGSPTTCDKNTLYGEFAEYCALREVYPEREPEELL -PLFGPKFGDDGVSDKRLKRKIEQVCEALGLTIKIEKPDPAQGICFLARVFPDIHFTLTSFQDPLRTWRKL -HLTARDPNIPIADAATDRLEGYLVTDRLTPITSDYANAVIKYYSSEVSSREVRDARKSRNKEKPYWCYGE -AAILETNAACAITNASWPQDAADTELMIQCISARVGVDVEVLRARQRDLQNTTNIMALPVFDRSEEHSPY -KHTLDDDSQPDGEGVGIRNFDSDHNVQCLRANPTVPEQGARVDGGGNPGNRRPGEAANQAGVQRHPELPQ -ALEEGGPRREGRRDQPAGQARRGRFPQRGAAANQGGFRRQDRGGNNPIAEEARIAFGGRRRGTPPPRGRR -GVWRGRGGGARAV ->APG76116.1 hypothetical protein, partial [Beihai noda-like virus 27] -MGQIWSCSKATARLPFAILQVIWPWRRWIAGGALIGGIVFYHRRRIGLWIGPSLYGSESAGARINQYLCD -RTRVNTWVDFNYDSNVRPIAKRAVDNGHASSGAARDSARKHLEQLIRQQGLEVYSLSPSMHDRSWSRWHE -FIVAHDFHGPYKRDEITEKHFLVGIDMDYYLHRENLEDLLSFSRPIAFYTFMPVLTGGRDGDVSFTISDD -RVEYGVSGGGDWIHKVWDWGSPGEYITVGCRARWYNPITWLGWLGIKKMYFVKRIHMRPFESLPNRAIVW -LFPHFTAWRITWLSTSFNDIQLQRKIFRDPVRDNWNRIDYEGPHERMTCIGRQGCVHSLTLPTSSLEVFL -GLEQAVSVASKAAKENINGLANAILVQYWRNSSVELEKPVLLGRSLTPAVTAHYPPGAHAEPEPPAWRAI -SAPLIDGHNNVPMGKREECLMQSIEYRVWRNINRKVPGPRYESYAREWLELLVPTVGIGRPWEYSEVLQE -MDKPRQKAVISRVLETLDMDAKIEIAGFTKNEPTMKPARIISSFGDARFLVGLSAYTLPFKEEILKGKHW -YCPGGTPIEIANKVVDYVRELSGAGSLPMEGDYASFDGTVSSWLQENVINAAYLRYFGDDKRLRSYLHGL -VTCPAHAKRFGFRYEAGPGIKSGSPTTTDGNTMLGAFFMYCAIRMTMPSITPEEAVRAIGLEFGDDSLFE -WTYHRALNRVVDDVGMKIKIVKYDAEQGLTFLARVYPDPENTNTTFQDPIRTLRKLHLTSRNSNVPMADA -ATDRAEGYLVTDARTPIISDYCRKVVETYQKETTSRRLSRVDRNVDKPYWMVHSDEETVWPQHPDDDELV -MDVFCNRTGLTRDSVAMIQNHIAGLRHIRDIRTAKLDVDLDEIYAEVVLPSGDIVGGQREVGKEIREKQI -REFFDNDDTARCDERNAGHSQPVARGRSKRGRRPANHASGERQDNECVERGGGQQCGANSQCDNAAVQQA -TIPNGAGPASEGRDNGPTASSSTNGRGGFGRRLRRFARGALSRGRGRGNAISRGGGG ->AKP18615.1 RNA-dependent RNA polymerase [Lutzomyia nodavirus] -MLTHFAVASAARVSWLWQEHKEWTLVCATALVVTPVLVVKARKHYRTGKSMVPYHSVNPTGPTRCLQRWV -VDRTREVYNMDWFLGNSHVERWPTRTNDNGHATSGAIRDAARSLIDSSISCNGWKRLEISPGGRVQPDIL -YDHMHFAPSDLATPMRMDSLNRDDYDVIACIDVDYYVEDFSYYLAKGKPIILHTFNPINVAGIDGDAPFR -IRGDVVTYDVGGGNTWQHKVWNWCAHGEFIRAIDPQRSFWQKVFGIERVVVHKVYHARPWKVCPDRALVW -TVPHSHYRRFRWLKDDMHARDLRRVKYTDQARKGWNSITSYDDNVKQLVISLGREGADAAITLRKVDYDT -LMGLDNAQSVTTRMLSMQYTDVVTQTLFGQYHHGKEVVVVDYEAVGRPASLVHWPYAMECDYPEVNARQY -AGALVDNPSLMPMIKRWEVLSESLERRVTMVINTKVPNRKIVQMAIEFVNCVVPFEGVGHPKSWEEVRDE -LDKPSQIQAVKQVWDSLDEKPRNLIECFVKNEPTSKAGRIISSFADARFLIGFSRYTLAFRDEVLHSESN -KHWFCPGLKPTELAEKVCNYVRCVPGVIEGDYSNFDGTVSAWLQEHVMNAVYRRWFGRVYRRELGWFCRS -LIESPARAKRFGFYYEAGVGVKSGSPTTCDLNTVSNAFLMYCAVRRTERMWSTRECFDRIGLAFGDDSLF -ERRYKNAWTKTAEQVGMILKVENCTSETGVCFLARVYPDPLSTTTSFQDPLRTWRKLHLTARDVTVPLAD -AAKDRVEGYLVIDALSPITSNFCRMVMRLYPEGSXCRGSTEKTKKRSSSREALLVLPL ->APG76593.1 hypothetical protein [Wenling noda-like virus 4] -MLFLIFFVLVFGLPGGGLAAYSCWVLTSRVSGMKVRDPYRGVMSEKQALLMNATRKDLAREFHPLSALTG -NVQRHGDNGHPRSGSARDTARLLIDGFITANGFHKMEISPAPRHLSEDDVVVHYSPSDLRNQVSEGKLYD -DSVVVGIDVDYYVDDWEQLLGYGHPAIFYTFSPLSVSGRDGECNFRIIDNVVHYEVDGGESWCHKLWNWT -RAGSEFIETLEPLTTWRAKLNYLATGKRKVVYHRICHARPFKDAPHRALVWLIPESSCERYMEEPVNIVT -KPLRRMNFKSPRNPEWNELVYNTTDKAMISIGRAGEDASIAIPKEECDVLLGVGCVQSVSSRMINWGYKP -GCREISLFNQYYSKTNVVNHGTERLSRPIVPRPHWPVSSNEEKPETRFRAISKPILADESAVPQIKSEET -FKQTVDVRVTRPKNRIETPPAKYASLAKEFIELVVPETGIGSPYSDADTLKMLDKKSQRLANATIADTMD -IKPRSLLSSFVKSEPTMKAGRLIQSMDDPRYLFRLSQYTLAFRDDVLHKFRWFIPGSTPTKIASRIQEYV -SEIDSPLEGDFSNFDGTVSRWLQTNVMNAVILRWVNVSQRDEARELLTNLIVTRAKSKRFNTYYDPGVGV -KSGSPTTCDLNTVLNAFVQYCAVRLTTPTLDKAAAFRKIGLAFGDDSLFDETYTAEYQRAAERLGLCLKT -PAFDPDKGVTFLARVYPDPWRTKTSFQDPERTLRKIHITARDPNVPLCDAAFDRVEGYLQTDTYTPIISA -YCRLVKKWAEPLIVSHAKRKERQDRNEFNYWLKSTGEAWPQDENDVTLMTNCLAHRIGITAERVQELDKS -LRDASSLEDIEPIERDHTDSPYKETVGPDGDLESPVGGVSPRNEQANAARTIESTNRQPEASVLKGPERG -SGSRNRFGARISQKRPHGVFRFRSKASGTGVTKSEVSTGETRGRASAGGPRTTARKDHGAEVSRPNRTNC -KDQASGPVRTYPGGRRQRS ->APG76642.1 hypothetical protein 1 [Wenzhou noda-like virus 7] -MGVLSWLAQVWYRLRVFGSKSLLQILKYATGLVLAKVAYTLREYGKHSAYDTSRPSILRTISRWLLDKTK -EDLNLSWYPLEHFHLVARNSDNGHAIAGAARDSARRGITAAIDARGCRKFEVNPANRTLDDDARDHDLIA -PGDLALDYKISTPGRDEIVALIDTGYYISQEGWDRLLGNGNLAVLYTFAPTTVAGSDGECPFTIKDNTVE -YIVSGGTTWRHRVWDWTAGGEYVLFYQQDCEKADMPWYRRLARAFLSLCGFKAITISKIQHARPFPSLPH -RAVVWIIPQHKAWVHDWLPFPLHLRRLGRVDYTCKSRPGWNMYSTIVDGKLMTSVGREGCSAHLELPQTK -LEILMNLGSQHAVTSRALNLGIKDVEALALIGQMHSGAADFVDKPQVSCQPARPKVHWPLASLADRPDTT -FRNYTAPIVQDCMLVPMMKRWETLSISLERRVDQVRNNTPFPKWAQDYAHEFLRCVVPDDIARTGVPYSV -EQVGELLNKPSQALAYRRVMDTLDMPHRRLIEGFPKKEPTLKAPRIISSFNDARYLVHFSRFTLCARDEV -LHAEHNKHWFCPGLTPEEIAKKVQEYAAAVEDVVEGDYSNFDGSVSADAQKSIMNAVYHRYFRADFQREL -DGYTSMLISCPARSKTFGYKYDAGVGVKSGSPTTCDANTILNAFLQYIGVRRTYPDLDYKEAFKNIGLAF -GDDSLCSKQYSTNWAKAATGLGFTLKIERCMPDTGITFLARVFPDVYNTLTSFQDPVRTLRKAHLTGRDV -KIPLADAACDRAEGYLVTDAETPVLGEYFRFVVRYYGPSRTGRVRADNCSEKPYWLTQGGSWPQDPGDAE -LMLKCMAARTQFEVATLRAMQQHYSTATDPWTPLPWVLGEEDPSRDTLNSEGLPGGVVDIRTLEQDVERV -IRDGEASVLRRTPEDTGGHEPAGRPVRGGEGGAPQGTRPRQQGPQRPGRLHPQEPPSHIPRDRRAPQEAH -DQGLPRAAAAARGRGRQHRRGDQPPPASTQGEGGGAPNRGAGRRRRGRR ->APG76117.1 hypothetical protein [Beihai noda-like virus 23] -MAPPGHGGAPTRPRAEDNGHGGVGAARDEARRVTVAVCQAAGFRRFELSPGGAVIEEDNAHHVHITPHDL -YRPAQHGRPKQGDAVVAIDCDYYVPDVRLFTGHGVPSIMYGISPRQVAGTEGSVAYHIEDNHVVYPVGGG -TTWRHRVWDWTAGGEFIAGDEDLTDASYPRWLASLFGIRKQYMYKVVHMRPWKLYPERVLVWLIPQFSRW -RVGFLPDQMVYREPKRVDFKDPKRPGWNMVSHVSDKGTVITSLGRDGEEAHVEIPKADLDVLMGLSSDQS -VASRLISMGYRDPATIALLCQYYKCTQSATTPGYVCLRSVQPTVHWPVATYMDAPKVNTRAYAPPLVDKS -KLVPAARRWETLSNSIDARITEVASSAVPPQKYERYVEELLTFLVPVPNRGAPLSVEETIAELDKPSQVA -AVRRVFETLDVEPRLLLDAFVKNEPVFRESRIISKWADTRMLIAMSAFSIAFKRDVLEQPHCAHWYMPGK -TPTQIAQSVRDIAAASGPLAETDYSSLDGTVSAWLRNRLIKAAFLRWVAPEDVPVLSKLLDAETTAHIRG -MGFGFVVEGGPGIKSGSPATTTEGSLVNLLAQYCGARNSGFSPRDAWYMIGLVYGDDGLMDSCLVKGISL -AARHLGLTLKANVPAPEMGVCFLARVWPSPTTTTTSMQDPLRTWQKLNLTSRDPSVPIGSAATDRLSSYY -RMDEHSPVTSVWAAKVIDLYREEVARDERRETRRDRHRERCYWDISETATWPQDPQDRELLLHATAARTG -YSEEMLLTYEEAVRNCATLEDLPTLNGTEPDAPWRNHVEREGLSARGMDESSRRRRTRNVDARAAGRLAP -VGAAGGHGGGNAPPRAPEAPGQRGAAGPRQLPALPAQRRRQGRGRDDGVVGEADHPGVPRDVRYADRPGG -PQEVGPPQQAAEQAEVPRRGVQGHSGARPIGDEQQGPPGRVHRRGGRRQGGRRGNQLGRHPQVGDRARV ->APG76319.1 hypothetical protein [Hubei noda-like virus 25] -MNAIFERLLESTASTGLTCVLGATMLLPSCEDLVEGSLIHAGRVLVGSIPQGLSFLLVQHEQKARMESPF -PSAEASSLRRSVSRWITDCTRKEGAITYYPIDFVRELEVRSNDNGHKVSGSVRDAARVLINTGLAAQGME -SYEIAPAKQSDPTRMWHLHYAPADLARAKRKDEIQENDVVVGIDVDYYIDDWSKVLGGGNPFVFFTFNPV -KVSGMDGDSRFRIRNNRVIYEVSGGGSWDHEVWDWTKYGEFIRVRLAPRGVVETLLGWIGFERVMYYKVQ -HSRPWETTSPHRCIVWGLPAYSALRASWMTDEMNARDLSRFVYADTHRRGWNSIIYHKENELIVNIGREG -SDANFTLAKEKLDVLLGLKAAQSITTRLISYGITDTTTMALMQQYFATDEAKIPDPQVVGSPMKPMVHWP -IGHLNYEPETSARVYSAPLADAPDMIPMIRRWETLSHSLESRVTKPANNTVPMPKYDRLADEFIRLVVPD -GTSLTPYGVEETAAMLDKPSQVSAVKAVWDTLDVKHRSLIEAFVKHEPTRKAGRIISSFPDARFLLMFSR -FTLKFRDEVLHAPHNSHWFMPGGTPSEIAEKLCDYVARVPFPLEGDFSNYDGTISEYLHERVMCAVMRRA -FKGENLLELRKYLKDIVYSPARAKNFGFRYEAGVGVKSGSPTTCDLNTVNTAFVMYCSLRAHKYNPEDAF -GLIGLCFGDDSLFDQTYSRAFKRVSADLGLTVKSPPFIPEEGVTFLARVFPDPWNTNTSFQDPVRTWRKL -HITVRDRNVPIGDAAVDRVEGYLVTDSLTPVTSDYCRMIKSYYTSDLAGELKASDADKRLRRADRIKEKP -FWLVEGVSWPQRVADTELMLNCIAARSKLSVGVLAAKIEELKRCDPWTLTPLNVADPNGTDYANTVMPTG -EVAGGVVDLRQFHDERAINLLRSAGKIGGRGEGDGGACENKSAQRPRRIASVQGPEVIRSVPDRRSGEGR -QGRQRPNAETRPVRHAEGGSRGVRRDRPRTNPQSTPNQNGGGRSDGPGNGPGTRTAGAPRQTNRRATQSR -RTQAETNRPAPTPRLAAEEHHQRRSSL diff --git a/seq/clusters_seq/cluster_188 b/seq/clusters_seq/cluster_188 deleted file mode 100644 index 0fa791c..0000000 --- a/seq/clusters_seq/cluster_188 +++ /dev/null @@ -1,559 +0,0 @@ ->YP_009507778.1 RNA polymerase [Pulau reovirus] -MHVKGLDDVLLEYAASISGTAPMSNSLFEKAADIINSKRRSFPYELLASIDFSVSTVIPQNIFHPPPCDD -FYYIDGLNRVRRRRIIDDDDVFVPNCSIFPLLFQMELLPNYGQLNPIIAANSKDGLANARILHTFYNTAT -NQARQVKCPLETFLLALLLSESSPMSADPTGLDESSSPPLSSNLPLYVLRRLSEILTNGFEDKSPWLLLD -VGVAWIRSPLLSASIPPLMADLTNLAIYRQICPLDDDLTSMAVQMYLQAAASGSYAHYILKTKAIFAQNT -LHNMFRQLTGGLVPIVSWLEPRSDYKFSLQGSRMVSSQDVNQTPDNTEVAEKVGHDYGCLDVVKRLRSKT -ATYTKSDHSAMTFVRDAMACTSGIFITRAPTETVMKEYTQAPSIEVPIPSRDWSSPIGSLRYLRPSCVLP -AKFLWDTWRRAASAVADSPSTWDPLTQAIMRSQYVTSRGGSGAALRDALRSADVELPTFPGVSVKVSTKI -YQAAQTADVPFEKLSRAVLAPLSMGLRNQVQRRPRTIMPMNVVQQQVAAVHTLSADYINYHLNLSTTSGS -AVIEKVVPLGMYASAPPAQAINIDIKACDASITYSYFLSVIVGAIHSGVSGRRVTSPFMGVPPSVISLTD -DTGVNSVMPLSGMQVMAQWLAKLYSRGFEYQVTDSFSPGNVFTHHTTTFPSGSIATSTEHTANNSTMMEC -FLKEWIPRSQCSDNLRKFCSMITTRNNYVCQGDDGMLIVDGLSSGKVSGDILMEFVTEIRAYGKTFGWNY -DVEFTGNAEYLKLYFLNGCRIPNISRHPICGKERASGDKLEMWPSSIDIFLGIYVNGVHDCLHWRRWLRF -TWALALSYSRRVVKHGDVPRVIQYPMWSFVYWGIPPVSAFNSDPWMFSPYMPPGDHGMYSLLSLLRPYIM -VSCDASDVDGPFGLVDHISLFNTDRLFQGYYMAQLPRSPVRSNRRDDPSAVRKFTHALDQYLFNSPELRA -RVRFGRDRWQKLMGDSLGRPPSLDDVAVKWFKGAQEADVPSSDEIQAMDLQLIKARQHNYTGFSSLLNAY -LKVSWGLCESMQVVVDPRVPLCAGVNPDNSELFLKLYSVGPMMQSTKKYFNNTLFIHRTVSGLDVDTIDK -SLLRLRSLNVPNDAIIAQLMMVGLSESDAATMAAKVRTADINAVQLARVVNLSVPDTWMSLDFDHLLKYV -VDLTPPSVRTLTTDIPSGHSWVRAILQFLGAGVAMTAVGPVRRTVLLSVHGGMSSFIRQFRKWMRASAK - ->YP_009507749.1 VP2 [Scophthalmus maximus reovirus] -MSALFNALPPELQQLSLALSGSQPLTDKTFTAAADAWHIRPRSQAYHLLDTLTFRSSVVIPNSIFVGRVW -SDYWALQDNIVIRISPEGAKDADYCHNSNIAPVLSPLKKIPEYGTLHPTIDKDASERGYPSARMASSFFK -LASSQARQVKIDPTRFLEFLLVVNATTRVPSGVDSDQPNPWLPESSPALQAIWQIMQRYKVDSKYYAPAL -VVNTGAVWWIPPPGRTNCVTVQFLITDLINLAVNAFATRLSPELEMCAVRVYLAAAATPNYAHALLDLKA -IFPNLSLHSMDRSGEFGGKCPRIEWTEPRSSYRFKWGGVTQLHEGLRPLTPSRDEKAMEKMRAYGLSDVA -QVIIRMRQSHPRHNADSVRFVRDVLSLTSGMYLVRPPTMSVLREYSQTPQIEEPIPPDWWTGAVGSLAYF -NERAKGPLSHLYSVWLEAARKVVMDPSTHDPLTQAIYKTQFVTPRGGSSAALKQALAESKVELPDFTSTG -VKRSSKIYQTAQLAHLSFQALIPAIMGQVTLGIRNQVQRRARSIMPMSNPQQTVSVPHTLVANYINKHMN -RSTTSGSAVQDKVIPLLLYASTPPRTVINVDIKACDASITYAAFLAPICGAMHQGFDLGDPSAPFMNVPS -STQYDRRNPEAPYNRPVSGLQTMTQHLAKLYQAGFSYKVDDPFSSGNSFVFPTTTFPSGSTATSTEHTAN -NGAMADYFLREYVPQHATSSTLKFIVKDMTIQNNYVCQGDDGMLILPDLGTKRVSPDDLAELMSLLEKYG -RGFGWVYDIDSSDSAEYLKLYALFGARIPNISRHPPVGKEYASPETGEIWPSLVNIAMGSFYNGVTDCLE -WRDWLRFSWAFACFASRGSFHPKIGPRVDAQYPVWSFIYMGLPPILLPGQTPFLTSVYMPAGDQGIFAIL -HQWRDYLTARATAEYPPLTRRHPVWQLADVPSLLADLGVYRGYWAAQVSRRPEPSPDDADPTNVEAMSAA -LSTYLLKDPVLRDRVVRGTNAWRRLTDSQPGRLPSRVPSLLDVPTRWIKAGRDAEKPRPSAVAMMMKDIQ -RAASSSRKDFSRLLELYLHVHVHLGPPVPLAVDPEVPHVAGADILNDDHWYKVTSLGPIAQSTKKCFDAT -LFVGKTVSGLDVEAVDATLLRLSILGAEPEEYHAFLAGIGMSDAEAHRIASAISLADAQIVQLARTVNLA -VPSSWMSLDFDTLIRSHSYPRQPGISDSSTLVRERASWINSVLRLLCATVAMTRVGPVCQATVASVDGGV -NQIVGCLRAWMRDV - ->YP_009445963.1 core RNA-dependent RNA polymerase [Piscine orthoreovirus] -MEKPKALVNQLPEDLKNLSVALSGTIELTADIWTNASKTFRTTQRHEVYDIINKIEFIDPFLVPSSLFQP -PPHKRYWDVDVRQRVVRVPKCAVPDDVYLPHANLTDVLEINTESIHKYGQLRKEIQAAAKRLDPTARIAE -TFYNLSVYQANQIKFPLERFLLCLVVSYAHELSPSPLLIDEQNVNFLTIEANPALSALKTIMLHFMEYGK -YKPPFLKTSRDIVFALYDDKRPLSSQIAPLMIGLVNYAIVIYSCNISRLISVPTVRMMLKAAGTTSYNHT -QLKLKKIIPAASLLSVYHGETVGRVPIVVWEEPREEYRFRLDGARDLPRGWKNELQGAKKAIEDASDLAS -SYGMTAEFEELRSQYSKISVHNGVGMKMIRDALAGVSSVFITRTPTDTVLQEYVHAPVIERPIPPQDWTD -PVGVVKYLKNDTQHYVARNLYATWREAAVQVANNPDNWDPNTQAILRSQYVTPRGGSGSSVKKVLTDKGV -ILKNFSKSGAKSSTKIVQAAQLASIPFTQYQDTIMAPVSHGVRIQVQRRSRTIMPFSVPQQQVSAPHTLC -GNYINKFLNKSTTSGSNVTEKVIPLGIFASSPPTRAVNIDTKACDSSITWGFFLSVICGAMHEGMDGINV -GTPFLGVPATLVEDGLDLGIVGTRSISGMQNMVQKLSQLYERGFEYEVKDAFSPGNAFTHHTTTFPSGST -ATSTEHTANNSTMMKTFLMHWLPNHTKDLELIDFVKKLDVNRNYVCQGDDGIMILPTNDGRPISSHHVES -MLELLSVFGKESGWVFDIEFNGSAEYLKLLFLNGCRIPNVGRHPVVGKERASRDQDVIWPGGIDAFIGMY -NNGVEDQFHWRRWLKFSWSMACFLSSKAVFIKGKSDVIQYPSWSFVYLGLPPIRIFDSPPWIFSPYTPGG -DLGMYSIMVTGKKYIVDRMQSSGYQKDNTDLSNESTFFRGYDYVKFMNDCGVLPGYYMSQIPRSPDKTKR -KVIGPESRDLIDSLRNYLFSDQKLTIRVNYGHRIVTDYPGRLPRKLPSLDDVPQRWFDTAVEADMASTYE -IEAMDVHLLRGQFSWYQSFSKVLEAYLSVDWELTDLNIPAGLSLDVPLVAGCDPTNGEPYYKMMGLGPMM -ESIQTYFHGTVFMSRAVSGLDVESIDVALLKMKALKVPTEVITGFLMTCGLSKPKASTVATKINFQDMKT -VQVAKLTGLNVSDKWMSMNFDRLLHSYVDVKTYVSDSSNQIRLPGGAGWLRGVIRFLGAGVVMTRVGPPQ -PVRISVIYGGGARLHSKFLNWMVSDF - ->YP_009351850.1 VP2 [Fall chinook aquareovirus] -MSALFNALPIPLQHLSQALAGEKPITDYIFSHAADAWHSQSRSSVYSLLDSLNFSVSVVIPGSIFVGRQW -QDYWALDGDIVIRISPEGAKDADYCHNSDITPILSPLKSISDYGTLNPAIEKSATERAQPTARMASSFFK -LASSQARQVKLDPARMLSFLLVVNATKRVPSGVDTDQSNPWTPESSPALYAIHRIMQHYKVNGQYYAPAL -IVNTGAVWWIPPVAGKTNCVSVQFILTDLVNLAINAFASRLSPTLEMCAVRMYLAAAATPNYAHALLDLK -AIFPNLSLHSMYRNGNFGGKCPRIEWLEPRSNYKFRWIGVTHLHHGLRPLSPSRDVPALEKLTTYGLRDV -GMTIIQLRDAHPRHTMDATRFVRDVMSLTSGMYLVRPPTMSVRREYSQTPDIQDPIPPDWWSGAVGHLRY -FNEKATGPAKWLYDTWMEAARKVMADPNTHDPLNQAIFKTQFVTPRGGSSAALKQALAQDEVELPDFTGT -NVKRSSKIYQVAQLARLPFQALIPAVLGQVTLGIRNQVQRRARSVMPMSTPQQTVSVPHTMVANYINKHM -NRSTTSGSAVHDKVIPLLLYASTPPRTVINVDIKACDASITFAWFLSIICGAIHQGFDIGNPSSSYMNVP -PTTWYDRRNPAAPYNRAVSGLQTMTQHLARLYQAGFSYKVDDPFTSGNSFDFPTSTFPSGSTATSTEHTA -NNGAMASYFLKTYVPTHAKSETLKFVVRDMSIQDNYVCQGDDGMLIIPDLGDKRVSQEDMEELIDLLTRY -GRGFGWIYDVDHSDSAEYLKMYALFGCRIPNISRHPPIGKEYASPETGEIWPSLINIVMGLFLNGVTDCL -EWRDWLRFMWAFACFSSRGSFHPKDGQRIDAQYPWWSFVYLGLPPILLEGMTPFAISPYMPAGDQGFYAV -ISSWKSVLIGLATVRYPATTRLHQIWGHADVPSLLSDLGVYKGYWAAQLPRRPEPSPEDADPTAVESMKS -ALSDYLLQDPVLRERVQRGTTNWRRLSESNPGRLPVRVPSLLDVPDRWIKSGRDAEKPRPSSVHRMMQDI -QKVSRSPRRGFSRLLELYLHVDVVLGAPIPLAVDPEVPHVAGADVLNDDHWFKVTGLGPVSQSTRRYFDA -TLFVGKTVSGLDVEAVDATLLRMKILGAEPAEFHAVLGGIGMSDAEAHQVTSGISLANAQNVQLARTVNL -AIPSTWMPLDFDSLIRMHTFPRQAGISDHTTIVRERSSWVNSVLRLLCASVAMSRAGPVCESTVAHVSGG -VGQLTGLLREWMRDV - ->YP_009259508.1 RNA-dependent RNA polymerase [Etheostoma fonticola aquareovirus] -MSALFNALPPELQHLSLALSGSQPLTDKIFTTAADAWHVRPRSQAYHLLDTLTFRSSVVIPNSIFVGRIW -SDYWALQDNIVIRISPEGAKDADYCHNSNIAPVLSPLKTIPEYGTLHPTIDKDASERGYPAARMASSFFK -LASSQARQVKIDPTRFLEFLLVTAASPRVYSGVDSDQPNPWTPETSPALQAIWQIMQRYKVGSSYHAPAL -IVNTGAVWWIPPPGRSNCVTVQFLLTDLINLAVNAFATRLSPELEMCAVRLYLAAAATPNYAHALLDLKS -VFPNLSLHSMYRNGQFGGKCPRIEWTEPRSSYRFKWVGVTQLHDGLKPLTPSRDEKALDKMRAYGLTDVA -QIIIRMRQSHPRHDADSVRFVRDVLSLTSGMYLVRPPTMSVLREYSQTPLIGEPIPPDWWTGAVGFLSYF -NERAKGPLAHLYSVWLEAARQVVMDPSTHDPLTQAIYKTQFVTPRGGSSAALKQALAESKVELPDFTSTG -VKRSSKIYQTAQLAHLSFQALIPAIMGQVTLGIRNQVQRRARSIMPMSNPQQTVSVPHTLVANYINKHMN -RSTTSGSAVQDKVIPLLLYASTPPRTVINVDIKACDASITYAAFLAPICGAMHQGFDLGDPSAPFMNVPS -STQYDRRNPAAPYNRPVSGLQTMTQHLARLYQAGFSYKVDDPFSSGNSFVFPTTTFPSGSTATSTEHTAN -NGAMADYFLREYVPQHAKSSTLKFIVKDMTIQDNYVCQGDDGMLIIPDLGTKRISAADLTELMSLLEAYG -RGFGWVYDIDSSDSAEYLKLYALFGARIPNISRHPPVGKEYASPETGEIWPSIVNIAMGSFYNGVTDCLE -WRDWLRFSWAFACFASRGSFRPKVGPRVDAQYPVWSFIYMGLPPILLPGQTPFLTSVYMPAGDQGIFAIL -HQWRDYLTARAASDYPPLTRRHPVWHLSDVPSLLADLGVYRGYWAAQVSRRPEPSPDDADPTSVEAMSAA -LSTYLLKDPVLRDRVVRGTNAWRRLTDSHPGRLPSRVPSLLDVPTRWIKAGRDAEKPKPSAVAMMMKDIQ -RAASSTRKDFSRLLELYLHVHVHLGAPVPLAVDPEVPHVAGADILNDDHWYKVTSLGPIAQSTKKYFDAT -LFVGKTVSGLDVEAVDATLLRLSILGAEPEEYHAFLAGIGMSDAEAHRIASAISLADAQIVQLARTVNLA -VPSSWMSLDFDTLIRSHSYPRQPGISDSSTLVRERASWINSVLRLLCATVAMTRVGPVCQATVSSVDGGV -NQIVGCLRAWMRDV - ->YP_009246466.1 Lambda B [Mahlapitsi orthoreovirus] -MGSPIVPQVYHTFQEALSGLRDLQNDLFVHAAIELSKTDRSEVYKWLDNIQFTVHLSLPLTLFNKPSYGD -YYYIDGSDRVRRRQLLDDDDVYVPNCRMNDVLEEVKDLPGYGRLKTVIDTAAKDGHRPSRMAATFYHTAT -SQARQIKGPIERYLQALLIAETCPLAADPCDLDQTDPPGLEENLPLLLIREIAKRIMDGEPSRTPWMFTA -ADVMWTLSPLMTSAIPPLMTDLANLAILKQMCRIPNVLIEQACLMFLNAADSGSYAWYVLKTKSIFPSNT -LHNCYREPVEGYVPEIEWLLPRTDYRFSLRGARALTSDDKNNSESNEKKNVDLGKQFGCLDVVTKLRNLT -RSIDKHDHDSVKFLRNAMACTSSIFIVRAPSETVMKEYTQSPVILKPIPPQDFEPPIGKVKYLKRDSTSI -ALHLSNAWEKAASAVANDNRNWDPLFQAIMRSQYVTSRGGSGAALRDTLKSVDVELPTFSGVKVKPSTKI -MQAAQVANVPFYKLSRAVVSPLSMGLRNQVQRRPRTIMPMNVVQQQVSAIHTLVADYINKYMNLSTTSGS -AVIEKVVPLGLCASAPPNQAINIDIKACDASITNQFFLSVIMGAIHRGVSQSNLQRPFMGVPTDRVRYIG -SDGITSSIAISGMQNMAQHLAGLYDRGFTYNVNDHFSPGNVFVHHTTTFPSGSTATSTEHTANNSTMMDI -FLRLWLPDNTKDVNLLKFAKSISIKSNYVCQGDDGIMMIDGNVTRMVSGETIRAFCDKLVEFGKEFGWNY -DIEHNGSAEYLKLFFIFGCRVPNVSRHPIIGKERATAERAEVWPATLDVLMGIYWNGVHDMFHWRQWIRF -CWVLAAQFSRQRSRHKQKTVSIQYPMWSFIYWGLPPIRVFGCPPYKISMYMPTGDMGMYALLTILRPYLL -SYANARGYNCNKDGVLGPIDHERLFNDECLYQGYYMAQLPRSPIRTNRVGEKNETQAFLKALSEYLYIDP -ALKGRVMEGKMRWRRAGFTAISHPPSLDDVAERWYRGAQEADVATADEIAAMDDILLNAYTHKYQSFSKL -LEAYLRVEWDAGEIIEPKIDLRVPLCAGVDPVNSDHFLKLYAIGPMMESTKRYFSQTLFMHRTVSGLDVE -QIDRALLKLRALGAPREAVIAQLMMVGLEESDAATLASKIMVQDIHNVQLAKVVNLAIPDSWMTLDFDSL -LKYNVKFNTRTVRSLTTDIPTDLSWLRPIFRFLGAAVIMTQAGVAPEVHLAHVRGGVRSLGVKFKRWMAA -ESKGR - ->YP_009110697.1 RNA polymerase [Cangyuan orthoreovirus] -MHVKGLDDVLLEYAASISGTAPMSNSLFEKAADIINSKRRSFPYELLSSIDFSVSIVIPQNIFHPPPCDD -FYYIDGLNRVRRRRIIDDDDVFVPNCSIFPLLSQMELLPNYGQLNPIIAANSKDGLANARILHTFYNTAT -NQARQVKCPLETFLLALLLSESSPMSVDPTGLDESSSPPLSSNLPLYVLRRLSEILTNGFEDKSPWLLLD -VGVAWIRSPLLSASIPPLMADLTNLAIYRQICPLDDDLTSMAVQMYLQAAASGSYAHYILKTKAIFPQNT -LHNMFRHLTGGLVPIVSWLEPRSDYKFALQGSRMVSSQDVNQTPDNTEVAEKVGHDYGCLDVVKRLRSKT -ATYTKSDHSAMTFVRDAMACTSGIFITRAPTETVMKEYTQAPSIEVPIPSRDWSSPIGSLRYLRPSCVLP -AKFLWDTWRRAASAVADSPSTWDPLTQAIMRSQYVTSRGGSGAALRDALRSADVELPTFPGVSVKVSTKI -YQAAQTADVPFEKLSRAVLAPLSMGLRNQVQRRPRTIMPMNVVQQQVAAVHTLSADYINYHLNLSTTSGS -AVIEKVVPLGMYASSPPAQAINIDIKACDASITYSYFLSVIVGAIHSGVSGRRVTSPFMGVPPSVISLTD -DTGVNSVMPLSGMQVMAQWLAKLYSRGFEYQVTDSFSPGNVFTHHTTTFPSGSTATSTEHTANNSTMMEC -FLKEWIPRSQCSDNLRKFCSMITTRNNYVCQGDDGMLIVDGLSSGKVSGDILMEFVTEIRAYGKTFGWNY -DVEFTGNAEYLKLYFLNGCRIPNISRHPICGKERASGDKLEMWPSSIDIFLGIYVNGVHDCLHWRRWLRF -IWALALSYSRRVVKHGDVPRVIQYPMWSFVYWGIPPVSAFNSDPWMFSPYMPPGDHGMYSLLSLLRPYII -VSCDASDVDGPFGSVDHISLFNADHLFQGYYMAQLPRSPVRSNRRDDPSAVRKFTHALDQYLFNSPELRA -RVRFGRDRWQKLMGDSLGRPPSLDDVAVKWFKGAQEADVPSSDEIQAMDLQLIKARQHKYTGFSSLLNAY -LKVSWGLCESMQVVVDPRVPLCAGVNPDNSELFLKLYSVGPMMQSTKKYFNNTLFIHRTVSGLDVDTIDK -SLLRLRSLNVPNDAIIAQLMMVGLSESDAATMAAKVRTADINAVQLARVVNLSVPDTWMSLDFDHLLKYV -VDLTPPSVRTLTTDIPSGHSWVRAILQFLGAGVAMTAVGPVRRTVLLSVHGGMSSFIRQFRKWMRASAK - ->YP_009020578.1 lambda B [Reptilian orthoreovirus] -MKLGYVPPVYDQLQQALSGLIDLTDDIFSQTANHLLSTDRSTAYKWLDNIEFKNTIYIQPTIFQKPSHTE -YYYIDGATRVRRKRLLDDDDVFVPNCSMLDVLREVDDLPGYGKLNPILDVAARKDDDPNARMSVTFYQTA -TSQARQIKAPIERFIQSLLIAESCPLAPDPTGYDDSQPPPLDTNLPLYVLREVAKIVTSGSSNRSPWMFT -ATDVMWSLSPRMTSAIPPLMTDLTNLAILRQVCRIPDDLCAHAVSVYLNAAGSQSYAWYILKTKALFPMN -TLHHAYRKPVEGIVPQMQWLDPRTDYRFMISGARRLTNNDFNQSADNLDKAIKLGEDFECLEIVQSLRKS -TSEMKTHSHESVKWIRDAMACTSGIFITRAPTETVLKEYTQAPKIEKPLLDKDFSSPVGSVRYLNKDIDS -PAVFLNDTWEAAANEVSNDSATWDPLNQAIMRSQYVTSRGGSGAALRDVLAAADVSLPTFPGVRVKPSTK -IVQAAQTSGVPFDKLSRAVLAPLSMGLRNQVQRRPRTIMPMNVVQQQVSAIHTLVADYINKHMNLSTTSG -SAVIEKVIPLGMYASSPPTQTINIDIKACDASITFQYFLSVITGAIHRGVGTTSVKRPFMGVPPTRVSTG -YNSSLSISGMQHMAQKLSQLYQRGFDYKVNDHFSPGNAFNLQTTTFPSGSTATSTEHTANNSTMMNAFLT -YWLPRNCDDDNVLKLASSMSIKSNYICQGDDGIMVLDANLLNMISGNTVNKLCECLTRFGKQFGWNYDIE -FNGSTEYLKLYFLYGCRIPNVSRHPIVGKERATSERAEIWPATIDIMLGVYTNGVHDMLHWRQWIRFNWV -LACMYSRQTTSDKTRTRTVQFPMWAFIYLGLPPIKVFGCEPYLFSVYTPTGDMGMYAILSILRPYIFQYA -KDHGYISSTEGPFGMVDHVKLFNDRKVYQGYYIAQLQRNPIRTDVTSKPEDVRRFKNALRDYLFHDPILK -MRVEEGKRRWRQSGQDYLSNPPSLDQVADKWYKGAQDADIPTTDEIRAMDEMLYRATSHKYVSFSRLLEA -YLRVEWDYDDEIPTPVIDLRAPLCAGVDTSNSDHFLKLYGVGPMMESTKRYFNQTLFMHRTISGLDVDEI -DKALLKLRTLGAPREALVSQLMMVGLDENDASTLAGKIMVQDIGSVQLARVVNLAIPDSWMVLDFDYLLK -YRIKFITNTVRSLTTDIPSDLSWMRPIFRFLGASLSMSTVGLPMTMKIRKVRYGVRNLSILFKRWMIAES -K - ->YP_004769548.1 minor core protein [Baboon orthoreovirus] -MNGIPALSELLSFQRAISGDLDLTDDIFRESAIKLYQYSRSSVYNWLDHVEFAVDFNIPVSLFHHPLHDR -YYHIDGANRVRRSQIIDEDDVFVPNCRLTDLLVPHSKFLEYGTLSPKILEQAKNGHGPSRIAATCYLTAT -NLARQIKMPLEVFIKSLIVSETCELGVDPCNVDKEVSPPLKTNLPLYLIHKISKIIMQNYKSRTPYMFTA -SDVLWSLSPLMSTAIPPLMVDLTNLAIMRQMNNMDDTLVRHACQMFLHAATSQSYCHYILATKSIFPGCS -LNLLYKNSVSGYTLDMDWLEPRHEYKFAVTGIRRLLDEDRNDAPFNEDKYMQIGVKYGCADTINYLMKET -RKFNHHDDISMKWVRDCMACTSGNFIVRAPTETVLKEYTHFVKIEKPLTQQDISGYVGEVGLISSMSQPN -VRYLHHTWKEATSRVVHDASIYDPLNQAILRSQYITSRGGSGAALRDTLKMIDIDIPEYKGLKIKNSTKI -MQAAQIANIPFNLSQRAVLAPLSMGLRNQIQRRARTIMPLNIVQQQISAIHTQVSDYINKHLNLSTTSGS -AVTEKVIPLGMYASLPPNQTINVDIKACDSSITYDYFLSVITSALHQGSTGLSESAPYMGVPTTVVRSID -SAGIGSTEVLSGIQHMVQLLALLYKRGFEYKVNDHFSPGNNFTHHTMTFPSGATSTSTEHTANNSTMMDN -FLTNWVFDNSDDIEIHKLVHHLTIKRNYICQGDDGILIVNGNNNHKVSSKSIIKLCHLLSKYGQEFGWNY -DIDFSGTTEYLKLYFINGCRVPNLSRHSICGKERATADRAEIWPRMIDLIMGIYNNGIHDGIHWRRWLRW -CWSLAACTAVVKRKDTNKLVYYPMWAFIYKGLSPIRVFGCPAYSFSVYRPTGDMGMYSLLTILKPYLLSF -ANTHGYNASVDSAFGPIDFIRLFNDYKVFQGYYAAQLPTQAHHKEDSSDPEIKKQFIKALDDYVFLDSAL -RARVAEGMNKYAKSGYIGLNKAPTLHDVSKNWYKGALEADNPTMKDVMSLYDSIESAYQHHYNGFSELLN -TYLNVEWECDTAIEPVIDIRVPLCAGIDMRNSDHYFKLYSIGPMMESSKKYFQNTLFLQKTLSGLDVNAV -DKVLLRLRALNAPSEVITSQLMMLGLSKPAAHTMASKILTMDVKSVQLARVVNLGVPDSWMMTNFDRVIN -QCVHTYPKDALNKEVNIPPDISWLRPILRMLGAALIYTMPGVGAHVYIRSNRGGMRNMSRKFRQWMAKEM -K - ->YP_004226522.1 lambda-B protein [Avian orthoreovirus] -MRVNGFDDATLSYAQSISGVIPMTNKLFEQASASIRALPRSHVYALLDDVNFSVSCVIPNRIFHHSDHSE -YFYVDAVNRVRRKQVIDPDDVFVPNCNLQGLISPMERLPNYGQLSEIISSNARDGLPSARIAATFYNISV -SQARQIKAPLETFLLPLLLSETYSLSEDPCGLDTTASPPIHTNLALWVLREISRTICGSSKDRSPWLLLD -SGVAWFMSPLMSSAIPPLMADLTNLAIYKQICSVSDEMHSLAVQMVLQAAASQSYGHYILQTKSIFPQNT -LHNMFRTLTDGIVPVIDWLEPRSNYRFMLQGARRVTSDDANQAPDNTEAAEQLGRKMGCLDVVRSLRKMS -SSITVHSHDAMTFVRDAMSCTSGIFITRQPTETVLKEYTQAPTIEVPIPQSDWSPPIGSLRYLSDACSLP -AVYLARAWRRAASAVVDNPHTWDPLYQAILRSQYVTSRGGSGAALRDALKAAEVELPQYPGVSVKVATKI -YQAAQTADVPFDKLSRAVLAPLSMGLRNQVQRRPRTIMPMNVVQQQISAAHTLSADYINYHMNLSTTSGS -AVIEKVVPLGMYASCPPAQAVNIDIKACDASITYQYFLSVIVGAIHEGAAGRRVSSSFMGVPPSVLSVVD -SSGVTSSVPISGFQVMCQWLAKLYQRGFEYQVTDTFSPGNIFTHHTTTFPSGSTATSTEHTANNSTMMDG -FLRAWIPASGASDVLKKFCKSISIQRNYVCQGDDGLMVVDGLSTGKLSGEIIDEFVKELRAYGKSFGWNY -DIEFTGNAEYLKLYFLNGCRIPNVSRHPICGKERASGDKLEMWPSTIDIFNGIFVNGVHDGLPWRRWLRY -CWALALMYSGKIVRHDDSEVLIQYPMWSFVYWGLPPISAFGSDPWIFSPYMPTGDHGFYSMLTLVRPLIA -ASSPPSDASGLFGQCDHNTLFNSELVYQGYYMAQCPRQPSRSNRRDDPDSVQRFVKALESYLYISPELKS -RVRLGRDRWQKLVGYTEKSPPSLDDVALKWFRSAQEADLPTAVEIQAMDLSLLSARRRTYQGFSKLLNTY -LRVTWDLSEPIDHAVDPRVPLCAGISPSNSEPFLKLYSVGPMMQSTRKYFSNTLFIHRTVSGLDVDVVDR -ALLRLRALNAPDDVVVAQLLMVGLSEAEAATLAAKIRTMDINAVQLARVVNLSIPDSWMTMDFDRLIRDI -VSITPLTVRSLTTDLPSGVPWARAILQFLGAGVAMTAVGPVRRPYLHSVAGGMASFIKQFRRWMRAETR - ->YP_003717773.1 RNA-dependent RNA polymerase [Broome virus] -MGDYVPPVFAAFQRYLSGLEDLDYGVFSNTAMNLQLSDRSELYRWLDKVQFRVNFSIPVTLFNKPSHAMF -YYIDGANLVRRRQLNGELDLYVPNCKLFELLEPTERLPNYGRLRKVLNTAAADGHVESRIAVSYYNTVNS -QARQIKGPLERFLQCLLIAESCPLGNDPTHVEDNDGVLPIETNLPLYVLREVARRITGNSGDRAPWLFTA -TEILWFMSPLMTSAIAPLMIDLANLAIMRQICRLPDVVTRAAVTMYLNAADSHSYSQYVLETKGLLMGAS -LHSMYRTAVSGIVPVVEWSEPRSKYKFSYQGVRNLTSRDYNHSWLCDDDLLQRAAKFGLRDVINDILTCS -KKVDKHDFESVKWIRNVMAGTSSIFIVRAPTETVIAEYSQTPVILEPIPEEALTMPIGEVRCLRNVAPST -PKFLYDVWRDAARDVCNRSQTWDALEQVIMRSQYVTARGGSGAALRDVLKNANIELPSFNGVKVKSSTKI -VQAAQVAGLSFMQLKDAILAPLSMGLRNQIQRRQRSIMPLNVVQQQVSAIHTLVADHINKHVNLSTTSGS -AVIEKVLPMGMYASSPPNQTINIDIKACDASITCQYFLSIICGAIFDGCHNVRVTSPYMGVPPTRLRVIG -DDGIASTMPISGMQHMVQSLATLYNRGFAYKVNDPFCPGNTFTHHTLTFPSGSTATSTEHTANNSTMMDG -FLRIWLPDHCKEKDVLALAERMSIQRNYVCQGDDGIMIIDSNNIDMIDGDLIKRFCQYLKQYGETFGWNY -DIDFNGTTEYLKLYFIYGCRIPNISRHPVINKERATQERSELWPATIDIMMGVFWNGVHDGLHWRQWIRF -CWCLAALYSRKINRDALTPRVIQYSPWAFVYLGLPPIRAFGSHPYPISVYLPTGDMGFYALLTVLKPFIL -AYADARGYNADSEGLFGPIDHVRLFNDLKLYQGYYMAQLPRRPVKTSRTISSNDRARFLTALHGYVFEDP -VLRMRVARGRHMWHMSGFEKVKHPPSLEDVPRKWLEGAQEADVATLEEINAMDDVLMNAMRHTYSSFSKL -LECYLSVEWDYEYGSEPAIDLRVPICAGYDPENADMYFKMTSLGPMMSSTKKYFSDKLFIGKTVSGLDVE -AVDKSLLRLRALGAPRDAIIGQLMMLGYDFGAASTLAGRILLQNVHAVQLAKVVNLAVPDSWMIFDFDRM -LHERICVFPKVSRKAFTDIAPHHAWIKGVLRLLGGAVAMSIPGVVPKLYLSEIRGGSRSMSLKLRHWMAK -EVL - ->YP_003199418.1 lambda-3 protein [Mammalian orthoreovirus 3] -MSSMILTQFGPFIESISGITDQSNDVFENAAKAFSMFTRSDVYKALDEIPFSEDAMLPIPPTIYTKPSHD -SYYYIDALNRVRRKTYQGPDDVYVPNCSIVELLEPHETLTSYGRLSEAIENRAKDGDSQARIATTYGRIA -ESQARQIKAPLEKFVLALLVAEAGGSLYDPVLQKYDEIPGLSHNCPLWCFREICRHISGPLPDRAPYLYL -SAGVFWLMSPRMTSAIPPLLSDLVNLAILQQTAGLDPSLVRLGVQICLHAAASSSYAWFILKTKSIFPQN -TLHSMYESLEGGYCPNLEWLEPRSDYKFMYMGAMPLSTKYARSAPSNDKKARELGEKYGLSSVVSELRRR -TKTYSKHDFTSVRYIRDAMACTSGIFLVRTPTETVLQEYTQSPEIKVPIPQKDWTGPIGEIRILKDTTSS -IARYLYRTWYLAAARMAAQPRTWDPLFQAIMRSQYVTARGGSGATLRESLYAINVSLPDFKGLPVKAATK -IFQAAQLANLPFSHTSVAILADTSMGLRNQVQRRPRSIMPLNVPQQQVSAPHTLTADYINYHMNLSTTSG -SAVIEKVIPLGVYASSPPNQSINIDISACDASITWDFFLSVIMAAIHEGVASSSIGKPFMGVPASIVNDE -SVVGVRAARPISGMQNMIQHLSKLYKRGFSYRVNDSFSPGNDFTHMTTTFPSGSTATSTEHTANNSTMME -TFLTVWGPEHTDDPDVLRLMKSLTIQRNYVCQGDDGLMIIDGNTAGKVNSETIQKMLELISKYGEEFGWK -YDIAYDGTAEYLKLYFIFGCRIPNLSRHPIVGKERANSSAEEPWPAILDQIMGIFFNGVHDGLQWQRWIR -YSWALCCAFSRQRTMTGESVGYLQYPMWSFVYWGLPLVKVFGSDPWIFSWYMPTGDLGMYSWISLIRPLM -TRWMVANGYVTDKCSPVFGNADYRKCFNELKLYQGYYMAQLPRNPKKSGRAAPREVREQFTQALSDYLMQ -NPELKSRVLRGRSEWEKYGAGIIHNPPSLFDVPHKWYQGAQEAATATREELAEMDETLMRARKHSYSSFS -KLLEAYLLVKWRMCEAREPSVDLRLPLCAGIDPLNSDPFLKMVSVGPMLQSTRKYFAQTLFMAKTVSGLD -VNAIDSALLRLRTLGADKKALTAQLLMVGLQESEADALAGKIMLQDVNTVQLARVVNLAVPDTWMSLDFD -TMFKHHVKLLPKDGRHLNTDIPPRMGWLRAILRFLGAGMAMTATGVAVDIYLEDIHGGGRSLGQRFMTWM -RQEGRSA - ->YP_001837095.1 VP2 [American grass carp reovirus] -MEDLFNALPQPLQQLSLALAGEIPLNDELFQAAASTWHTRQRSETYHLLDRLRFSTPIVTPQAIYRDLPW -RNCYRINQDRVERIPTTNASDDVYVPNSDISTLLSPLFSIPTYGTLHPSIEKDALELRADSARCASTFYK -IASSQARQVKLDPTRMLEFLLLLVATPRVNSGLDTDQPSMRDPTKSPALHAIWQIMQYYKVDSKYHAPAL -IVSTGAVWWIPPPGKRNVVSVQYLLTDLVNLAIIARMTDMHPTLGLCAARVYIQAATTDSYTHTLLKLKG -VFPALSIHSMYRQKGFGGKAPDIQWTEPRTKYQFKWVGVTHLHDGLRPRAPVRDEAVRKLLTEYQLADIM -APIVEMRNQHKHHDHDSVRFVRDVMALTSGMYLVRQPTMSVLQEYSQVPDIKQPIPPSAWTGTVGNVRYL -NKDVTGPARWLYNTWREAAQEIAQDRTWHDPVNQFIMGSQYVTARGGSGSSLKHSLTVTGLVLPEFSESS -VKRSSKIYQAAQIARIPFTLLTAAIHAEVVMAIRNQVQRRARSIMPLNVVQQAVSAPHTLVANYINKHMN -MSTTSGSVVTDKVVPLLLYASTPPRTVVNVDIKACDASITYDYFLSLICGAMHEGFEVGDNHASFMGVPS -SIIMDKRSALAPYSRTVSGLQTMVQHLSQMYANGFRYSVTDAFSSGNKFSFPTSTFPSGSTATSTEHTAN -NSTMMSYFLAVHAPQHIKSPNMKRVLSDMTIQRNYVCQGDDGVLLLPDEAASKISAEDMDELLTCLKDYG -TSFGWVYDIDWSDSAEYLKLFALCGCRVPNVSRHPPVGKEYASPTTDEIWPSLIDIVVGHHLNGVMDLMN -WREWLRFSWAFACYASRGAYTDKYGETHIAQYPWWTFVYLGIPPILLPGQTPFIHSLFMPPGDQAMFAIL -NNWRDLISTNTTTTFPPLKHPHHTWHLADVPTLLDSYGVYAGYHAAQHPRRPVAQPETANSESIEQITGA -LTDYLLYDPILKARVNTGRHNWSQLSQSIGLNIGGRVPSLMDVPGKWVAAGREAEKPPPSAIVYMFKSLN -RTIRRPSKHFSKLLELYIRVKVVLGAPKPLAIDPDIPQVAGADPHNDDPWFKYVGLGDIPTSTRRYFGES -LFVGRVVSGLDVEAVDAALLRLKILGAPPAAYIALLNGIGMSNSEANQIAGRVSLANAQTVQIGRVVNLS -TPSSWMTLDTAPYIQYHTYDFKPGVTNPSAQSREKFIWLSPILKLLCATAAMSIAGPVREAYVLDIHGSA -ASLSGNFREWMRAA - ->YP_398630.1 putative viral polymerase [Chum salmon reovirus CS] -MPGTYAPVPNVYHLLDHLTFRSSVVIPNSNFVGRIWSDYWALQDNIVIRVSPEGAKDADYCHNSNISPIL -SPLKTITEYGTLHPTIDKDASERGYPSARMASSFFKLASCQARQVKIDPTRFLEFLLVTASSPRVPSGVD -SDQPNPWLPETSPALQAIWQIMQRYKLNGNYYAPALVVNTGAVWWIPPPGRTNCVTVQFLITDLINLAVN -AFATRLAPELEMCAVRVYLAAASTPNYAHALLDLKSIFPNLSLHSMYREGEFGGKCPRIEWTEPRSSYRF -KWIGVTQLYEGLRPLTPSRDSKALLKMRDSGLEDVAKVIITMRRDHPRHTSDSVRFVRGVLSLISGMYLV -RPPTMSVLREYSQTPQIEEPIPPDWWTGAVGNLSYFNDKAKGPLAHLYSVWLEAARQVVMDPSTHDPLTQ -AIYKTQFVTPRGGSSAALKQALVESKVELPDFSGTGVKRSSKIYQTAQLAHFSFQTLIPTIMGQVTLGIR -NQVQRRARSIMPMSNPQQTVSVPHTLVANYINKHMNRSTTSGSAVQDKVIPLLLYASTPPRTVINVDIKA -CDASITYAAFLAPICGAMHQGFDLGDPSLPFMNVPSSTQYDRRNPAAPYNRPVSGLQTMTQHLARLYQAG -FSYKVDDPFSSGNSFVFPTTTFPSGSTATSTEHTANNGAMADFFLREYVPQHAKSSTLKFIVKDMNIQNN -YVCQGDDGMLIIPDLGTKRISPEDLAELMELLEKYGRGFGWVYDIDSSDSAEYLKLYALFGARIPNISRH -PPVGKEYASPETGEIWPSLVNIAMGSFYNGVTDCLEWRDWLKFSWAFACFASRGSFHPKTGPRVDAQYPV -WSFIYMGLPPILLPGQTPFLTSVYMPAGDQGIFAILHQWRDYLTARATSDYPPLKRRHPVWHLADVPSLL -SDLGVYRGYWAAQVSRRPEPSPDDADPASVEAMSAALSTYLLKDPVLRDRVVRGTNAWRRLTDTHPGRLP -SRVPSLLDVPTRWIKAGRDADKPRPSAVAMMMKDIQRAASSSRKDFSRLLELYLHVHVHLGPPVPLAVDP -EVPHVAGADILNDDHWYKVTSLGPIAQSTKKYFDATLFVGKTVSGLDVEAVDATLLRMSILGAEPEEYHA -FLAGIGMSDAEAHRIASAISLADAQIVQLARTVNLAVPSSWMSLDFDTLIRSHSYPRQPGISDSSTLVRE -RASWINSVLRLLCATVAMSRVGPVCQATVSSVDGGVNQIVGCLRAWMRDV - ->NP_938061.1 RNA-dependent RNA polymerase VP2 [Aquareovirus C] -MEELFNALPQPLQQLSLALAGEIPLTDHIFEQAASTWHVQPRSLTYKLLDHIPFSTPVVVPPSIYHSLDW -SKCFAVNQDRVERVPTIDDPDDVYVPNSDIGPLLTSLHTIPDYGFLHPAIENDATTLRAERARCASTFYK -IASSQARQVKLDPIRMLGFLLLVQARPRVPSGLVTDQPTRRDPTQSPALHAIWQVMQYYKVAGVYYAPAL -VVPSGAIWWIPPPGKRNVVSVQYLLTDLINLAILAHMTDMSPTLELTGVLMYLRAASSHSHAYTLLQMKS -VFPALSLRSMYRNKGFGGKAPAIEWTEPRSKYKFRWTGVTQLHDGLRPRSPSMDVPTLEVLTKYELVDIG -HIIIRERNAHPRHNHDSVRFVRDVMALTSGMYLVRQPTMSVLREYSQVPDIKDPIPPSAWTGPIGNVRYL -LPSVQGPARHLYDTWRAAARQIAQDPQWHDPLNQAIMRAQYVTARGGSSASLKFALKVTGIVLPEYDDSK -VKKSSKIYQAAQIARIAFMLLIAAIHAEVTMGIRNQVQRRARSIMPLNVIQQAISAPHTLVANYINKHMN -LSTTSGSVVTDKVIPLILYASTPPNTVVNVDIKACDASITYNYFLSVICGAMHEGFEVGNADAAFMGVPS -TIVSDRRSSVAPYSRPISGLQTMVQHLADLYAAGFRYSVSDAFSSGNKFSFPTSTFPSGSTATSTEHTAN -NSTMMEYFLNVHAPSHVKSASLKRILTDMTIQRNYVCQGDDGILLLPHEAASKISADDMNELLTCLRDYG -QLFGWNYDIDWSDTAEYLKLYALMGCRIPNTSRHPPVGKEYAAPQTDEIWPSLIDIVIGHHLNGVTDVLN -WREWLRFSWAFACYSSRGGYTNPKGQSFSAQYPWWTFVYLGIPPILLPGQTPFIHSCYMPPGDQGMFSIL -NGWRDWLISHASTTLPPLRHNHPVWGLSDVPSLLSQFGVYAGYHAAQHYRRPKPAPETASSDSINQITSD -LTEYLFYDSALKARVMKGRYNWERLSSSLSLNVGSRVPSLFDVPGKWVAAGRDAEKPPPSSVEDMFTSLN -RCIRRPTHSFSRLLELYLRVHVTLGESIPLAIDPDVPQVAGADPANDDHWFKYTCLGDIPSATRNYFGES -LFVGRVVSGLDVEAVDATLLRLKILGAPPEAFIAVLNGIGMSDSEAHQIAGRISLANAQLVQIARVVHLS -IPSSWMTLNTGPYIHHHAYDFKPGITQPSAKSRDKSIWMSPILKLLCTSYAMTVAGPVRTSIVTEIDGSA -AALSGNLRVWMRDV - ->sp|P0CK32.1|RDRP_REOVL RecName: Full=RNA-directed RNA polymerase lambda-3; Short=Lambda3; AltName: Full=Lambda3(Pol) -MSSMILTQFRPFIESISGITDQSNDVFEDAAKAFSMFTRSDVYKALDEIPFSDDAMLPIPPTIYTKPSHD -SYYYIDALNRVRRKTYQGPDDVYVPNCSIVELLEPHETLTSYGRLSEAIENRAKDGDSQARIATTYGRIA -ESQARQIKAPLEKFVLALLVSEAGGSLYDPVLQKYDEIPDLSHNCPLWCFREICRHISGPLPDRAPYLYL -SAGVFWLMSPRMTSAIPPLLSDLVNLAILQQTAGLDPSLVKLGVQICLHAAASSSYAWFILKTKSIFPQN -TLHSMYESLEGGYCPNLEWLEPRSDYKFMYMGVMPLSTKYARSAPSNDKKARELGEKYGLSSVVSELRKR -TKTYVKHDFASVRYIRDAMACTSGIFLVRTPTETVLQEYTQSPEIKVPIPQKDWTGPVGEIRILKDTTSS -IARYLYRTWYLAAARMAAQPRTWDPLFQAIMRSQYVTARGGSGAALRESLYAINVSLPDFKGLPVKAATK -IFQAAQLANLPFSHTSVAILADTSMGLRNQVQRRPRSIMPLNVPQQQVSAPHTLTADYINYHMNLSTTSG -SAVIEKVIPLGVYASSPPNQSINIDISACDASITWDFFLSVIMAAIHEGVASGSIGKPFMGVPASIVNDE -SVVGVRAARPISGMQNMIQHLSKLYKRGFSYRVNDSFSPGNDFTHMTTTFPSGSTATSTEHTANNSTMME -TFLTVWGPEHTDDPDVLRLMKSLTIQRNYVCQGDDGLMIIDGNTAGKVNSETIQKMLELISKYGEEFGWK -YDIAYDGTAEYLKLYFIFGCRIPNLSRHPIVGKERANSSAEEPWPAILDQIMGIFFNGVHDGLQWQRWIR -YSWALCCAFSRQRTMIGESVGYLQYPMWSFVYWGLPLVKVFGSDPWIFSWYMPTGDLGMYSWISLIRPLM -TRWMVANGYATDRCSPVFGNADYRRCFNEIKLYQGYYMAQLPRNPTKSGRAAPREVREQFTQALSDYLMQ -NPELKSRVLRGRSEWEKYGAGIIHNPPSLFDVPHKWYLGAQEAATATREELAEMDETLMRARRHSYSSFS -KLLEAYLLVKWRMCEAREPSVDLRLPLCAGIDPLNSDPFLKMVSVGPMLQSTRKYFAQTLFMAKTVSGLD -VNAIDSALLRLRTLGADKKALTAQLLMVGLQESEADALAGKIMLQDVSTVQLARVVNLAVPDTWMSLDFD -SMFKHHVKLLPKDGRHLNTDIPPRMGWLRAILRFLGAGMVMTATGVAVDIYLEDIHGGGRALGQRFMTWM -RQEGRSA - ->sp|P0CK31.1|RDRP_REOVD RecName: Full=RNA-directed RNA polymerase lambda-3; Short=Lambda3; AltName: Full=Lambda3(Pol) -MSSMILTQFGPFIESISGITDQSNDVFEDAAKAFSMFTRSDVYKALDEIPFSDDAMLPIPPTIYTKPSHD -SYYYIDALNRVRRKTYQGPDDVYVPNCSIVELLEPHETLTSYGRLSEAIENRAKDGDSQARIATTYGRIA -ESQARQIKAPLEKFVLALLVAEAGGSLYDPVLQKYDEIPDLSHNCPLWCFREICRHISGPLPDRAPYLYL -SAGVFWLMSPRMTSAIPPLLSDLVNLAILQQTAGLDPSLVKLGVQICLHAAASSSYSWFILKTKSIFPQN -TLHSMYESLEGGYCPNLEWLEPRSDYKFMYMGVMPLSAKYARSAPSNDKKARELGEKYGLSSVVGELRKR -TKTYVKHDFASVRYIRDAMACTSGIFLVRTPTETVLQEYTQSPEIKVPIPQKDWTGPIGEIRILKDTTSS -IARYLYRTWYLAAARMAAQPRTWDPLFQAIMRSQYVTARGGSGAALRESLYAINVSLPDFKGLPVKAATK -IFQAAQLANLPFSHTSVAILADTSMGLRNQVQRRPRSIMPLNVPQQQVSAPHTLTADYINYHMNLSPTSG -SAVIEKVIPLGVYASSPPNQSINIDISACDASITWDFFLSVIMAAIHEGVASSSIGKPFMGVPASIVNDE -SVVGVRAARPISGMQNMIQHLSKLYKRGFSYRVNDSFSPGNDFTHMTTTFPSGSTATSTEHTANNSTMME -TFLTVWGPEHTDDPDVLRLMKSLTIQRNYVCQGDDGLMIIDGTTAGKVNSETIQNDLELISKYGEEFGWK -YDIAYDGTAEYLKLYFIFGCRIPNLSRHPIVGKERANSSAEEPWPAILDQIMGVFFNGVHDGLQWQRWIR -YSWALCCAFSRQRTMIGESVGYLQYPMWSFVYWGLPLVKAFGSDPWIFSWYMPTGDLGMYSWISLIRPLM -TRWMVANGYVTDRCSTVFGNADYRRCFNELKLYQGYYMAQLPRNPKKSGRAASREVREQFTQALSDYLMQ -NPELKSRVLRGRSEWEKYGAGIIHNPPSLFDVPHKWYQGAQEAAIATREELAEMDETLMRARRHSYSSFS -KLLEAYLLVKWRMCEAREPSVDLRLPLCAGIDPLNSDPFLKMVSVGPMLQSTRKYFAQTLFMAKTVSGLD -VNAIDSALLRLRTLGADKKALTAQLLMVGLQESEADALAGKIMLQDVNTVQLARVVNLAVPDTWMSLDFD -SMFKHHVKLLPKDGRHLNTDIPPRMGWLRAILRFLGAGMVMTATGVAVDIYLEDIHGGGRSLGQRFMTWM -RQEGRSA - ->sp|P17377.1|RDRP_REOVJ RecName: Full=RNA-directed RNA polymerase lambda-3; Short=Lambda3; AltName: Full=Lambda3(Pol) -MSSTILTQFGPFIESISGIIDQSNDIFEEAAKAFSAFTRSDVYKALDEIPFHDHATVHIPPTIYSKPSHD -SYYYVDALNRVRRRTYQGDDDVYVPNCSIVELLEPHETLTSYGRLSSAIEARAKEGDPQARIATTYARIS -ESQARQIKAPLEKFVLALLVAESGDALYDPILQKYDDVADLTHNCPLWCFREICRHVSGPLPDRAPYLYL -SAGVFWLMSPRMTSAIPPLLSDLVNLAILQQTAGLDPSLVKMGVQVCLQAAASASYAWYVLKTKSVFPQN -TLHSMYETLEGGFCPNLAWLEPRSDYKFMYMGATPLSGKYARTAPSNETRARQLGEKYGLSTIIGDLRQR -TRQFTKHDFASVRYIRDAMACTSGIFLVRTPTETVLQEYTQSPEIKVPIPQKDWTGPIGEIRVLKDTTSS -IARYLYRTWYLAAARMASQPRTWDPLFQAIMRSQYVTARGGSGAALRESLYAINVSLPDFKGLPVKAATK -IFQAAQLANLPFSHTSVAILADTSMGLRNQVQRRPRSIMPLNVPQQQVSAPHTLTADYINYHMNLSTTSG -SAVIEKVIPLGVYASSPPNQSINIDISACDASITWDFFLSVIMAAIHEGVASSSIGKPFMGVPASIVNDE -SVVGVRAARPISGMQNMVQHLSKLYKRGFSYRVNDSFSPGNDFTHMTTTFPSGSTATSTEHTANNSTMME -TFLNVWGPEHTNDPDVLRLMRSLTIQRNYVCQGDDGLMIIDGTTAGKVSSETIQKMLELISAYGEEFGWK -YDIAYDGTAEYLKLYFIFGCRIPNLSRHPIVGKERANSSAEEPWPAILDQVMGIFFNGVHDGLQWQRWIR -YCSSLCCAFSRQRTMIGEEVRYLQYPMWSFVYWGLPPVKLFGSDPWIFSWYMPTGDLGMYSWISLIRPLM -TRWMVANGYVSERCSSIFGNADYRRCFNDIRLYQGYYMARLPRNPKKSGRAAPRETREQFTQALSDYLMQ -NPELKSRVLRGRSEWEKYGAGIIHNPPSLFDVPHKWYQGAQEAATATREELSDMDDTLLRSRRHTYSSFS -KLLEAYLRVRWRMCEAREPSVDLRLPLCAGIDPLNSDPFLKMVSVGPMLQSTRKYFAQTLFMAKTVSGLD -VNAIDSALLRLRTLGADKKALTAQLLMVGLQESEADALAGKIMLQDVNTVQLARVVNLAVPDTWMSLDFD -SMFKHHVNLLPKDGRHLNTDIPPRMGWLRAILRFLGAGVAMTATGVAVNVYLEDIDGGGRSLGQRFMTWM -RQEGRSA - ->ANY92092.1 core RNA-dependent RNA polymerase [Largemouth bass reovirus] -MNHDFVNRLPLELKTLAHALSGETELTNDIWIQGARSIRSSRRSEVYSWLDDIQFTDSFLYPASLFSPLS -HKQFWKIDERLRVVRQPREGIPDDVYLPNGNLTDVLEEDNTTNHKYGVLRQDIRSGAKSGKPSARISETF -YNLAVYQANQIKFPLERFLTCLMIAYQHGISEGALQADKQRDDPDPADNPALSALRQLLRHFQEHGQYKP -PFLKTSKGVVFCLYEDRRPLSAQVPPLLIDLVNYAIMIYACDIDRDISVPTVRMMIKASGTPSYNHTQLK -LKKVIPAASLYTIYENGCSGTVPIINWEEPRPDYRFRLDGIRPIPNKWKNDLPGATELRKKGENLAKEYA -MESEFHAIRKIFSQMSEHGHSSMKMLRDALAGVSSLFITRSPTDTVLQEYTHAPVIRRPIPEGDWKNPVG -AVRYLKADAQHDVARSLYGVWKEAAIHVANDPKMWDPNEQAILRSQYVTPRGGSGHSVKKSLSDSGYTLK -AFSKSGAKGSTKIVQAAQLADVPFSVYRDAIFAPVSHGVRIQVQRRSRTIMPLSIPQQQVSAPHTLCANY -INKKMNLSTTSGSNVTEKVVPLGMYASTPPTRSVNIDIKACDSSITYSFFLSLICGAMHEGFEGVNADRP -FLGVPSTTVDDGSDMGLSGVRTISGMQTCVQWLSRLYQQGFEYEVKDAFSPGNAFIHDTTTFPSGSTATS -TEHTANNSSMMNIFLRQWLPSHTDNNDVLHLASKLDIRRNYICQGDDGLLVIPSMDGRAMDADVIATLLE -KLEEFGLESGWQFDIEFAGNTEYLKLLFINGCRIPNAGRHPVVGKERASREQDVIWPGGIDAFIGMFNNG -LDDKFVWRRWLKFCWSVACFLSSKVVETSRGPSVIQYPIWSFVYLGMPPVRVLDADPWTTSVYMPSGDLG -FYAIIKNHATTFRKRMETNGYQLENTEREVSKAFPAFDFVKFLNDEQILPGYYMAQVQRTPDKSERKKAN -DEARLMIDQLDRYLFEDPMLRIRVNYGNAQLNSYSGKLPRKMPSLEDVPRRWFDSAVEADVATPMEIALM -DTHLLRAQTTRYRGFSKVLEAYLMVDWEFTSTKSPPPAMDLHTPLNAGTDEFNSDHFYKFHGLGPMMEST -RTYFAGTLFIARSVSGLDSESIDQTLLRMKAMNVPIEVISGFLMTCGLSKGEASAIATKINFQDMKTVQI -AKVTGLNISDKWMTLNFDRLLHDYVDVRTYVSDAHEMIRMPGKAGWLRAVFRFLGAAVVMTRVGPPQPVG -IRSIRGGAQRLYHRFLTWMRSV ->AFG73673.1 VP2 [Reovirus GCRV104] -MDNHDDLAVERLINCLTGELELTDDIFLAANSALRSRDRSYVYRQLNAIPFHTPVYVTRAILVNRDHRDY -YHIDSRGLVRRKLSVTVGADDDVYVPCCNIGPLLAPHRTLEGYGILDPKFAATIKDPASRIAITFAKSAT -VQARQVKDDITRFLQALLLMNGKQAIVGDEYADRSPTPPCETCPALHAVSQVIQLLGFAPPNLSPFLALP -AGGLWHMPGTAKVMPVQVPQLLNDLVNLAILRVMLRIPDELTYFGVRVLLAAAYSESYSWAVLQCKHFFP -DLSVHAMYKTNFSGGYAPIFDWPSPRSDYRFTYLGHRTMTSEDKPVLPLDLKKVDETARKFDLVDVAERM -KTYLADINTHTHASMKYAHHVMALTSSSFTVQTATESVYAEYTQNPVIEQPIPPDSWTGPIGYLRLLKTD -APAPALSLHRTWRNAAVAVAKDMRQMDPLQQAIMRTQYVTPRGGSGYSLRTSLEAANVAIRDFRNAGVKI -STKIYQAAQTAQIPFEVLLPAVLAAVTLGTRFQVQRRPRAIMPLNIVQQTVSAIHTIVADYINKHMNLST -TSGSAVQEKVIPLVLFASTTPTTVVNVDVKACDACVTYSYFLSVICAAMYEGLNPHGDPRPFMGVPVLPY -TNRVSSAMMTDEASGMQVMVQQLARLYQNGFRYMVSDPMAPGNIFELPTLTFPSGSTATSTEHTANNSTM -MDHFITTWLPEHTTNPELKAIVRQMSIRDNYVCQGDDGMCIIDNVSGRRISAESLTEFTTLLNKYGRQFG -WVYDIDASGCAEYLKIYAVNGCRVPNCSRHPILGKEMANPDAYDPWPQIINALMGLWRSGLTDAYDTVDW -TRYMWALVTIYASGSMTTTKGQRLRLAYPMSTFITLGIPPIRIWGCDPFTVSVYMPPGDMGVYALINVLR -HHLYTTIAAHGDKYRQDRGDDMFDGHNVLQFLHDTRFTHGYFAAAHHRVPLRESREVDAKARLDMISALS -DFLYHDPELRFRVIAGRRLWDTYGQGQHGAYLHRVPSLDDVPHRWYEEAREADRATLSDEERLFKSLHRA -ITRPSLMLSKLLMAYLRVRWDIGELMPAAVSPQVPLTAGMHPQNPDMFMKMTSLGPFLERVGQYFHDALF -VKRVVSGMDVNTLDAALLKLRILGAPANALVGVIMQSGFSESEAAEIAGRIQLKDARTVQIARVVNLSVP -DTWMLFNFHLLLHATVNPHGMYGQTTFTKIPPSMPWLRSILLFLGSSINMTRVGPIHQVYLARIHGTGAS -LARQFQQWMSSAHK ->AED99918.1 lambda B [Avian orthoreovirus] -MHVKGLEVELVRLSEALSGHAELTNDLFESAAAAISKKHRSYVYELLDQIEFSVDIPISQSLFHPPSYDD -FYYIDGVNRVRRKQIVDSDDVFVPNCRIKDLLVPMENVPNYGQLNPVIYDNAKDGQPSARMAVTFYNTAV -SQARQVKAPIETFLLPLIAVHAYDLSEDPCGLDLTQPPPLHSNLALFVIRRLSEILTAGFDDRAPWLLLD -SSVGWIMSPLMSTAIPPLMTDLTNLAIYRQICGISDDLMYCAVRMFLLAAESGSYGHFILKTKSLFPQNS -LHNMFRTLTGGFVPVIEWLSPRSNYQFMLQGARQVTSDDVNASPDNTEAAEKLGKDSGCLDVVRRVRKMT -STFTVSNHDAMTYIRNAMACTSGIYITRAPTETVLKEYTQSPEIKVPIPHYDWSPPIGSLRYLSDACQLP -ARYLWRIWRRAAAAVADSSNTWDPLTQAIMRSQYVTSRGGSGAALRDALKAAEVELPTYPGVSIKVATKI -YQAAQTADVPFDKLSRAVNAPLSMGLRNQVQRRPRTIMPMNVVQQQVSAVHTLAADYINYHMNLSTTSGS -AVIEKVVPLGMYASSPPAQAVNIDIKACDASITYAYFLSVIVGALHDGCAGRRPTSAFMGVPPSVLSVRD -EAGVTATVPISGMQVMAQWLAKLYQRGFGYQVTDTFSPGNVFTHHTTTFPSGSTATSTEHTANNSTMMDC -FLREWIPHSGCSAELKKFVGSLAIKNNYVCQGDDGLLIVDGLASGKVNGSIIAEFVDQLRSFGKSFGWNY -DIEYTGNAEYLKLYFINGCRIPNISRHPICGKERASGDKLEMWPSTIDIFLGIYVNGLHDAFPWRRWLRY -CWMLAAHYSRKTVRHVDGVHTIQFPMWSFVYWGMPPINAFGSDSWTFSPFMPPGDHGMYSLLTLLRPHIA -SRSPPSDSVGPFGPVDHTVLFNASRLYQGYYMAQLPRQPVRTNRRDDPDAVNRFIFALEQYLYNSPELKA -RVRLGKDRWLKLVGRLDKSSPSLDDVARKWFKGAQEADLPTSSEIEDMDNKLIAAASHSYQGFSRLLTAY -LNVTWSLEEPLPMAVDPRTPLCAGINPDNSDMFLKFYSLGPMMQSTKKYFNNTLFIHRTVSGLDVDAIDK -SLLRLRALNAPTDVMIAQLLMVGLSESDAATMAAKIRTADINAVQLARVVNLSVPDSWMTLNFDYLFKFS -VRLTPKDVRTLTTDVPAGNAWIRAIFQFLGAGVSMTAVGPVRYARITSVRGGTSALIRHFRRWMRAEAS ->BAW99715.1 RNA-dependent RNA polymerase [Pteropine orthoreovirus] -MHVKGLDDVLLEYAASISGTAPMSNSLFEKAADIINSKRRSFPYELLSSIDFSVSTVIPQSIFHPPPCDD -FYYIDGLNRVRRRRIIDEDDVFVPNCSIFPLLSQMELLPNYGQLNPIIAANSKDGLASARILHTFYNTAT -NQARQVKCPLETFLLALILSESSPMSADPTGLDESSSPPLSTNLPLYVLRRLSEILTNGYEDKSPWLLLD -VGVAWIRSPLLSASIPPLMTDLTNLAIYRQVCPLDDDLTSMAVQMYLQAAASGSYAHYVLKTKAIFPQNT -LHNMFRQLTGGLVPVVSWLEPRSDYKFSLQGSRMVTSRDVNQTPDNTEVAEKVGHDYGCLDVVKRLRSKT -AMYTKSDHSAMTFVRDAMACTSGIFITRAPTETVMKEYTQAPSIEVPIPSQDWSSPIGSLRYLRPSCVLP -AKFLWDTWRRAASAVADSPSTWDPLTQAIMRSQYVTSRGGSGAALRDALRSADVELPTFPGVSVKVSTKI -YQAAQTADVPFEKLSRAVLAPLSMGLRNQVQRRPRTIMPMNVVQQQVAAVHTLSADYINYHLNLSTTSGS -AVIEKVVPLGMYASAPPAQAINIDIKACDASITYSYFLSVIVGAIHSGVSGRRVTSPFMGVPPSVISLTD -DTGVNSVMPLSGMQVMAQWLAKLYSRGFEYQVTDSFSPGNVFTHHTTTFPSGSTATSTEHTANNSTMMEC -FLKEWIPRSQCSDNLRKFCSMITTRNNYVCQGDDGMLIVDGLSSGKVSGEILMEFVTEIRAYGKTFGWNY -DVEFTGNAEYLKLYFLNGCRVPNISRHPICGKERASGDKLEMWPSSIDIFLGIYINGVHDCLHWRRWLRF -IWALALSYSRRVVKHGDVPRVIQYPMWSFVYWGIPPVSAFNSDPWMFSPYMPPGDHGMYSLLSLLRPYIM -VSCSTSDIDGPFGSVDHVSLFNTENLFQGYYMAQLPRSPVRSNRRDDPSAVRKFTHALDQYLFNSPELRA -RVRFGRDRWQKLMGDSLGRPPSLDDVAVKWFKGAQEADVPSSDEIQAMDLQLIKARQHSYTGFSSLLNAY -LKVSWGLCESMQVVVDPRVPLCAGVNPDNSELFLKLYSVGPMMQSTKKYFNNTLFIHRTVSGLDVDTIDK -ALLRLRSLNVPNDAIIAQLMMVGLSESDAATMAAKVRTADINAVQLARVVNLSVPDTWMSLDFDHLLKYV -VDLTPPSVRTLTTDIPSGHSWVRAILQFLGAGVAMTAVGPVRRTVLLSVHGGMSSFIRQFRKWMRASAK ->AGG38806.1 VP2 [Grass carp reovirus] -MMDHVYQGLSQSLRDFIPFLRGEKDINDNDFSQASADWHGHQRSYATSLLSKIPFTTCIRVSHEIFVRRD -WRKYYRIDESGRVRRVPVIDDEDVFVPNADIRPLLIPMRSQPDYGILHPDIQSGADAGVGSDRMAAAFFK -TASSQVRQLKMDVSRPLLALLLAWCSEGSTSRVITDISTSRDIATCPPLHALQQVLAYYTKDNVPLLPTM -IVRSGPLWIVPPTGKSIPSHIPLLIADLVNLSILGYVCNIDDELLACGVEVFLAAARSESYSHTLLACKA -LFPALSLHALYRDGFIGGKVPVMEWAEPRNKYTFKWVGSRVISADILNMPPVDYTDVDRICERYGLGIIK -TRIGKHVSACNMHNHASMKLIRDVMALTSGTFIVRSATESVLKEYAQPPLIKQPIRESDWSGYIGNVRYL -KATAPGPAQYLWSRWRDAAMRLLASPRLDDPLSQAILRTQYVTARGGSGANMRDALISAGAKLPNYAGVG -VKMSTKIVQVAQIADLPFELQAAGVDAAVAMGSRNQVQRRTRVIMPLSPIQQNVSAIHTITADVINKAMN -LSTTSGSAVHEKVVPLLMYASIPPNRVINIDIKACDASITPDYFLSVICGAIHHGLSNEAGYSPFMGVPP -ADVIDATFPTNPGRRRITGKQNMVQHLARLYKKPFQYDVNDPFSPGNKFQFDTTVFPSGSTATSTEHTAN -NSTMFDYFLTHYVPQNAQSPTLKHIVRGMSIQRNYVCQGDDGICILDHYGGRRVSNEDINEFIKLLIDYG -GLFGWRYDIDFHGNAEFLKLCCLLGCRVPNASRHAPVGREHATADASEAWPGMIDIVIGMYVNGISDAYD -WRKWLRFTWALLCFVSRASINQLDRRITVQFPVWTFVYLGVPPISAFGPGPYMFSSYMPQGDLGIYSLIT -LRKEWIMQRCVDLNYSTTHVSRAFGDCDVRKLFAGLGIYAGYYAAQMPRTPPRQRQSTTPEVREEFIGAL -NRFLFYDKELTRRVERGRMLWDKFGQTIAGKYIRRVPSLRDVPSKWFSDAREADTATQGDIFRLASDLER -RFKLHPQHFSDLLEAYLRVEWDAGDVVPPAIDPDVPVVAGIDLTNDDLFFKLVSVGPLMQSVRRYFQQTL -FVGKTVSGMDVEHLDATLLRLKILGAPPEAFKGVLQMAGFSDTQANEIYSKVVIADARLVQIARVVNLHV -PDKWMLLNFDYLLRDVISHQQLLITDRNSKLPARRPWMRAILKFLGAGVVMTQVGPVRYMYVKQIKGGGA -ALSNLCEMWMRKV ->AIY69147.1 RNA-dependent RNA polymerase, partial [Atlantic halibut reovirus] -VLSTMSALFNALPLPLQTLSKALAGEIPLTDTIFTTAADTWHSRPRSEAYQLLDTLTFTTSVVIPSNIFV -GRKWEDYWELKGDIVIRISPEGDKDADYCHNSDITPILSPLKSIDDYGVINPAIEKDAAERSQPKARMAS -SFFKLASSQARQVKIDPTRFLEFLLVVNATTRVPSGVSTDQPNSWRPESSPALSAIYRIMQRYKVNGKYF -APALIVNTGAVWWLPKSRGFTNCVTVQFLLSDLINLAINAFATRLSPELEMCGVRAYLAAAATPNYAHAL -LQLKSIFPNLSLHSMYRKGTFGGKCPRIEWLSPASAYKFRWLGVTQLHEGLKPITPSRDEEALEKMKPYG -LEDVGKTIINMRDTFERHSADSTRFVRDVMSLTSGMYLVRPPTMSVLREYSQTPNILEPIPTDWWTGSVG -NVSYFNEKARGPAEHLYSTWLEASRKVVSDPNTHDPLNQAILKTQFVTPRGGSSAALKQALAQSNVDLPD -FSGTNVKKSSKIYQTAQLSNLSFNALIPAITGQVTLGIRNQVQRRARSVMPMSTPQQTVSVPHTIVANYI -NQHMNRSTTSGSAVQDKVLPLLLYASTPPRTVINVDIKACDASITYAWFLSTICGAMHEGFDIGDPALPF -MNVPPTTQYDQRNPAAPYNRPVSGLQTMTQHLAKLYQSGFCYKVDDPFTSGNSFEFPTTTFPSGSTATST -EHTANNGAMADYFLREYVPKHAKSPTLKFLVRDMTIQNNYVCQGDDGMLILPDMGSKRMDLADLDEIMSL -LKEYGTGFGWVYDIDSSDSAEYLKLYALFGCRIPNISRHPPMGKEYASPETGEIWPSIVNIVMGLFYNGV -TDCLEWRDWLRFSWAFACFASRGSHHPKIGQRVDAQYPWWSFVYLGLPPILLDGMTPFAMSPYMAAGDQG -MFAVIHQWKPFLIPKAMSSLPPLARRHAIWGLADVPSLLASYGVYQGYWTAQVGRRPEPSPDDADPGAVE -NMAAALSNYLLQDPVLRDRVTRGTTAWRRLSESNPGRLPDRVPSLLDVPTRWIKAGRDADKPKPSAIANM -MKEIQRTAMTSRRDFSRLLELYLHVNVVLGNPVPLAVDPEVPHVAGADVLNDDHWYKITSLGPVAQSTRK -YFDATLFVGKTVSGLDVEAVDATLLRLSILGAEPAEFHAVLIGIGMSDAEAHRITSTISLTDAQIVQLAR -TVNLAVPASWMSLDFDTL ->AOE46771.1 lambda 3, partial [Mammalian orthoreovirus 3] -TMSSMILTQFGPFIESISGITDQSNDVFEDAARAFSMFTRSDVYKALDEIPFSDDAMLPIPPTIYTKPSH -DSYYYIDALNRVRRKTYQGPDDVYVPNCSIVELLEPHETLTSYGRLSEAIENRAKEGDNQARIATTYGRI -AESQARQIKAPLEKFVLALLVSEAGGSLYDPVLQKYDEIPDLSHNCPLWCFREICRHISGPLPDRAPYLY -LSAGVFWLMSPRMTSAIPPLLSDLVNLAILQQTAGLDPSLVKLGVQICLHAAASSSYAWFILKTKSIFPQ -NTLHSMYESLEGGYCPNLEWLEPRSDYKFMYMGVMPLSSKYARSAPSNEKKARELGEKYGLSSVVSELRK -RTKTYVKHDFASVRYIRDAMACTSGIFLVRTPTETVLQEYTQSPEIKVPIPQKDWTGPVGEIRILKDTTS -SIARYLYRTWYLAAARMAAQPRTWDPLFQAIMRSQYVTARGGSGAALRESLYAINVSLPDFKGLPVKAAT -KIFQAAQLANLPFSHTSVAILADTSMGLRNQVQRRPRSIMPLNVPQQQVSAPHTLTADYINYHMNLSTTS -GSAVIEKVIPLGVYASSPPNQSINIDISACDASITWDFFLSVIMAAIHEGVASSSIGKPFMGVPASIVND -ESVIGVRAARPISGMQNMVQHLSKLYKRGFSYRVNDSFSPGNDFTHMTTTFPSGSTATSTEHTANNSTMM -ETFLTVWGPEHTDDPDVLRLMKSLTIQRNYVCQGDDGLMIIDGNTAGKVKSETIQKMLELISKYGEEFGW -RYDIAYDGTAEYLKLFFIFGCRIPNLSRHPIVGKERANSSAEEPWPAILDQIMGIFFNGVHDGLQWQRWI -RYSWALCCAFSRQRTMIGESVGYLQYPMWSFVYWGLPLVKVFGSDPWIFSWYMPTGDLGMYSWISLIRPL -MTRWMVANGYVTDGCSPVFGNADYRRCFNEIKLYQGYYMAQLPRNPTKSGRVAPREVREQFTQALSDYLM -QNPELKSRVLRGRSEWEKYGAGIIHNPPSLFDVPHKWYQGAQEAATATREELAEMDKTLMRARGHSYSSF -SKLLEAYLLVKWRMCEAREPSVDLRLPLCAGIDPLNSDPFLKMVSVGPMLQSTRKYFAQTLFMAKTVSGL -DVNAIDSALLRLRTLGADKRALTAQLLMVGLQESEADALAGKIMLQDVSTVQLARVVNLAVPDTWMSLDF -DSMFKHHVKLLPKDGRHLNTDIPPRMGWLRAILRFLGAGVVMTATGVAVDIYLEDIYGGGRSLGQRFMTW -MRQEGRSA ->AKH03088.1 lambda B, partial [Avian orthoreovirus] -FFLTMHVNGFDDATLSYAQSISGVIPMTNKLFEQASASIRALPRSHVYALLDDVNFSVTCVIPNRIFHHP -DHSEYFYVDAVNRVRRKQVIDPDDVFVPNCNLQGLITPMERLPNYGQLSEIISSNARDGLPSARIAATFY -NISVSQARQVKAPLESFLLPLLLSETCPLSDDPCGFDTTASPPIHANLALWVLREISRTICGSSKDRSPW -LLLDSGVSWFMSPLMSSAIPPLMADLTNLAIYKQICSVSDELHSLAVQVVLQAAASQSYGHYILQTKSIF -PQNTLHNMFRTLTDGIVPVIDWLEPRANYRFMLQGARKVTSDDANQAPDNTDAAEQLGRRMGCLDVVRSL -RKMSSSITVHSHDAMTFVRDAMSCTSGIFITRQPTETVLKEYTQAPTIEVPIPQSDWSPPIGSLRYLSDA -CSLPAVYLARAWRRAASAVVDNPRTWDPLYQAILRSQYVTSRGGSGAALRDALKAAEVELPQYPGVSVKV -ATKIYQAAQTADVPFDKLSRAVLAPLSMGLRNQVQRRPRAILHLNVVQQQISAAHTLSADYINYHMNLST -TSGSAVIEKVVPLGMYASCPPAQAVNIDIKACDASITYQYFLSVIVGAIHEGAAGRRVSSSFMGVPPSVL -SVVDASGVTSSMPISGFQVMCQWLAKLYQRGFEYQVTDTFSPGNIFTHHTTTFPSGSTATSTEHTANNST -MMDGFLRAWIPSSGASDVLKKFCKSISIQRNYVCQGDDGLMVVDGLSTGKLSGEIIDEFVRELRAYGKSF -GWNYDIEFTGNAEYLKLYFLNGCRIPNVSRHPICGKERASGDKLEMWPSTIDIFNGIFVNGVHDGLPWRR -WLRYCWALALMCSGKTVRHDDSEVLIQYPMWSFVYWGLPPVSAFGSDPWIFSPYMPTGDHGFYSMLTLVR -PLITNLSPSSDTSGLFGQCDHNVLFNSELVYQGYYMAQCPRQPSRSNRRDDPDSVQRFVKALESYLYISP -ELKSRVRLGRDRWQKLVGYTEKSPPSLDDVAFKWFRSAQEADLPTATEIQSMDLALLAARRRTYQGFSKL -LNTYLRVTWDLSDPVEHAVDPRVPLCAGVSPSNSEPFLKLYSVGPMMQSTRKYFSNTLFIHRTVSGLDVD -VVDRALLRLRALNAPDDVVVAQLLMVGLSEAEAATLAAKIRTMDINAVQLARVVNLSIPDSWMTMDFDRL -IRDIVSLTPLTVRSLTTDLPSGVPWARAILQFLGAGVAMTAVGPLRRPYLHSVAGGMSSFIKQFRRWMRA -ETR ->AIU99579.1 lambda 3, partial [Jiangxi12-B14 orthoreovirus] -TWDPLNQAIMRSQYVTARGGSGAAMRESLSVLVKELPRYSKVPVKPSTKIFQVAQLAQLTFSEYAPAVLA -STSMGLRNQVQRRPRSIMPLNVVQQQVSAPHTLVSDYINKYLNLSTTSGSAVIEKVVPLGMYASIPPNRV -INVDISACDSSITWSFFLSVIMSAIHEGAQVVGDSRSFMGVPASVVIDRTTNAQGLRMINGIQTMIQWLS -KLYRRGFIYHVNDHFSVGNEFDHLTTTFPSGSTATSTEHTANNSSMMNIFFRQWGPKYFNTNNAVKNVLR -VMKVERNYVCQGDDGMLMFSGVGGKRIDGEIVDSIIDGLKKYGQEFGWKYDVDDVNSAEFLKLYFLYGCR -IPNLSRHTIVGRERATSESAETWPAIIDQLMGVYFNGVHDALQWQRWIRYMWTLITFFSRHKGQSEKSET -CYIQYPIWSFIYLGLPPIKVFDSDAWIFSPHMSGGDLGYYGWLTLIRPLL ->AOM63686.1 lambda B [Reptilian orthoreovirus] -MEYGYVPPDYLPFQNALSGQTDLTDEIFSQAADILQHTDRSEVYKWLDKIDFTQTIYIQPTIFQKPPHDE -FYYIDGATRIRRKRIADDDDVFVPNCSMLNVLKEVDDLPGYGKLNSVLDVAARKDDNPHARMAVQFYETA -TSQARQIKAPLERFLQCLLIAESCPLADDPVGFDTTTPPPLSSNLPLYVIRELSKIITSGSSNRSPWMFT -ATDVMWAMSPRMTSAIPPLMADLCNLAIQRQICRIPDDLCEAAVTMYLNAANSPSYAWYILKTKSVFTSN -TLHNVYRKPVEGIVPEMVWLNPRTDYRFMISGARKLTANDVNQSLDNEDDAIELGKKYHCEDVVRTLRRQ -SSSIKEHTHDSVKWIRDAMACTSSIFITRAPTETVLKEYTQAPKIEKPLLDSDFLPPIGEVRYLRKEIDS -PASFLQDTWETAANKISNDHSTWDPLNQAIMRSQYVTSRGGSGAALRDILAAADISLPTFPGVRVKPSTK -ILQAAQTSGVPFDKLSRAVTAPLSMGLRNQVQRRPRTIMPMNVVQQQVSAVHTLVADYINKHMNLSTTSG -SAVIEKVIPLGMYASSPPTQTINIDIKACDASITYQYFLSVITGAIHRGTGTNVVKRPFMGVPPTRVSTG -YNSSMPISGTQHMAQLLAKLYKRGFDYKVNDHFSPGNVFTLQTTTFPSGSTATSTEHTANNSTMMNAFLT -YWLPRNCSDKNVLKFAGALSIKSNYICQGDDGIMVLDGNLLSMISGKTVGLFCDKLREYGRSFGWNYDIE -FNGTTEYLKLYFLFGCRIPNISRHPIVGKERATSERAEVWPATIDVMMGVYTNGVHDMLHTRQWIRFNWV -LACMYSRQQTKNKLRTVTVQYPMWAFVYLGLPPIRVFGCTPYSFSVCMPTGDMGMYAVLTILRQHIHDEC -VRRGYVTETSGPFGNVDHVRLFNETGVYQGYYLAQLPRNPIRTDMSSKPEDVRRFQNALRASLPPDPVSP -ARVEEGKRRWRNLTQEYLSRPPSLDQVAAKWYKGAQDADTATTDEVNAMDAMLMRATSHKYTSFSKLLEA -YLRVDWDYDDETPEPVVDLRAPLCAGVDPTNSDHFLKLYGLGPMMESTKRYFSQTLFMHRTVSGLDVDEI -DKALLRLRTLGASREAIVAQLMMVGLDENDASTLAGKIMVQDIGSVQLARVVNLAVPDSWMTLDFDHLLK -YRIKFTTSTVRSLTTDIPSDLTWMKPIFRFLGASVSMTTVGLPATMKIKSVRHGVRSLSILFKRWMAAEG -K diff --git a/seq/clusters_seq/cluster_189 b/seq/clusters_seq/cluster_189 deleted file mode 100644 index 9ec1a69..0000000 --- a/seq/clusters_seq/cluster_189 +++ /dev/null @@ -1,168 +0,0 @@ ->YP_002640504.1 coat protein [Gayfeather mild mottle virus] -MAQSGTGGSSRRPRRGRRNNNNRNNDRDKALRDLTVQVKRLAIIAASTAPSLQHPTFIASQRCRPGYTYT -SLDVRPTKTEKGHSFGQRLTIPVPVSDYPKKKVSCVQVRLNPSPKFDSTIWVSLRRLDETTILTSENVFK -LFTDGAASVLIYQHVTTGVQPNNKITFDMSNVDAEIGDMNRYALIVYSKDDVLEADEMVIHVDVEHQRIP -SATSLPV - ->NP_620759.1 capsid protein [Tomato aspermy virus] -MAQNGTGGGSRRPRRGRRNNNNNNSTARDKALLALTQQVNRLANIASSSAPSLQHPTFIASKKCRAGYTY -TSLDVRPTRTEKDKSFGQRLIIPVPVSEYPKKKVSCVQVRLNPSPKFNSTIWVSLRRLDETTLLTSENVF -KLFTDGLAAVLIYQHVPTGIQPNNKITFDMSNVGAEIGDMGKYALIVYSKDDVLEADEMVIHIDIEHQRI -PSASTLPV - ->NP_049334.1 coat protein [Peanut stunt virus] -MAPKGTGNGSRRPRRGRRSSPAEDAHARELRAVTAQLNRLVTLTAARVPTLDHPTFVSSKQCMKGYTYTT -LDIRPTQTQKGHSFGQRLNLPVPVSEFPKKKVSRVQLRLNPSPAFDSTVWVTLRKLPPGYSLASENVYKL -FTDGGAAVLTYQHIPTGIQRDNKILYNLSSVGTEIGDIGDYAIIVHSKDDTLEADEMVIHVDVEHQRIPS -LPLSRSRVQVLVRRL - ->NP_040777.1 capsid protein [Cucumber mosaic virus] -MDKSESTSAGRNRRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCRPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDIEHQRI -PTSGVLPV - ->sp|P18027.2|CAPSD_CMVY RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRLRRGSRSASSSSDANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLRPPKIDRESYYGKRLLLPDSVMEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVSASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDTLETDELVLHVDVEHQRI -PTSGVLPV - ->sp|Q83269.1|CAPSD_CMVKO RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRLRRGSRSAPSSSDANFRVLSQQLSRLNKTLSAGRPTINHPTFVGTERCKPGYTF -TSITSKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVPAIS -AMFPDGPSPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYAKDDALATDELVLHVDVEHQRI -PTSGVLPV - ->sp|Q66141.1|CAPSD_CMVPE RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTGAGRNRRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRESYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDTLETDELVLHVDVEHQRI -PTSGVLPV - ->sp|O40983.1|CAPSD_CMVC7 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -SSITLKPPKIDRGSYYGKRLLLPDSVTEFDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVNQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDIEHQRI -PTSGVLPV - ->sp|O40980.1|CAPSD_CMVNT RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEFDKKLVSRIQIRVNPLPKFDSTVWVTVRKVSASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDIEHQRI -PTSGVLPV - ->sp|P22116.1|CAPSD_PSVJ RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MASKGSGNGSRRPRRGRRSFPIKEDAHARELRAVTAQLNRLVALTAARMPTLDHPTFVSSRKCAKGYTYT -SLDVRPTKTEKGHSFGQRLNLPVPVSEFPKKKVSCVQLRLNPSPTFDSTVWVTLRKLPLGYSLASESVFK -LFTDGLSAVLMYQHVPNGIQRDNKIIYDLSPVGTEIGDMSEYAIIVYSKDDTLEDDEILIHVDVEHQRIP -SATALPV - ->sp|P16489.1|CAPSD_CMVO RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAAFGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDIEHQRI -PTSRVLPV - ->sp|Q00260.1|CAPSD_CMVM RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSASSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCRPGYTF -TSITLRPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRVQIRVNPLPKFDSTVWVTVRKVLASSDLSVAAIS -AMFADGASPVLVYQYAASGVQTNNKLLCDLSAMRADIGDMRKYAILVYSKDDALETDELVLHVDIEHQRI -PTSRVLPV - ->sp|Q83253.1|CAPSD_CMVTR RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSGSPNASRTSRRRRPRRGSRSASGADAGLRALTQQMLKLNKTLAIGRPTLNHPTFAGSESCKPGYTF -TSITLKPPEIEKGSYFGRRLSLPDSVTDYDKKQVSRIQIRINPLPKFDSTVWVTVRKVPSSSDLSVAAIT -AMFGDGKSPVLVYQYAASGVQANNKLLYNLSEMRADIGDMRKYAVLVYSKDDKLEKDEIVLHVDVEHQRI -PISRMLPT - ->sp|O72620.1|CAPSD_CMVS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESPNASRTSRRRRPRRGSRSASGADAGLRALTQQMLKLNKTLAIGRPTLNHPTFVGSASCKPGYTF -TSITLKPPEIEKGSYFGRRLSLPDSVTDYDKKLVSRIQIRINPLPKFDSTVWVTVRKVPSSSDLSVATIS -AMFGDGNSPVLVYQYTASGVQANNKLLYDLSEMRADIGDMRKYAVLVYSKDDKLEEDEIVLHVDVEHQRI -PISRMLPT - ->sp|Q83271.1|CAPSD_CMVII RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSAPSSSDANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVMEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDVEHQRI -PTSGVLPV - ->sp|Q83251.1|CAPSD_CMVM4 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSDSASAGRNRRRRPRRGSRSASSSADVNFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLRPPKIDRGSYYGKRLFLPESVTEFDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -TMFADGASPVLVYQYAASGVQANNKLLYDLSPMRADIGDMRKYAVLVYSKDDALESDELVLHVDIEHQRI -PTSGVLPV - ->sp|Q66154.1|CAPSD_CMVAS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSASSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -SSITLNPPKIDRGSYYGKRLLLPDSVTEFDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDVEHQRI -PTSGVLPV - ->sp|Q66143.1|CAPSD_CMVCS RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLRKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDVEHQRI -PTSGVLPV - ->sp|Q66140.1|CAPSD_CMVFT RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRLRRGSRSAPSSADANFRVLSQQLSRLNKTLSAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDTLETDELVLHVDVEHQRI -PTSGVLPV - ->sp|Q66120.1|CAPSD_CMVIX RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESASAGRNRRRRPRRGSRSASSSSDANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVAEFDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVTAIS -AMFADGASPVLVYQYAASGIQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDIEHQRI -PTSRVLPV - ->sp|Q66114.1|CAPSD_CMVDK RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSGSPNASRTSRRRRPRRGSRSASGADAGLRALTQQMLKLNRTLAIGRPTLNHPTFVGSESCKPGYTF -TSITLKPPEIEKGSYFGRRLSLPDSVTDYDKKLVSRIQIRINPLPKFDSTVWVTVRKVPSSSDLSVAAIS -AIFGDGNSPVLVYQYAASGVQANNKLLYDLSEMRADIGDMRKYAVLVYSKDDKLEKDEIVLHVDVEHQRI -PISRMLPT - ->sp|O40981.1|CAPSD_CMVE5 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSVGRNRRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCRPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLRKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLLAMRADIGDMRKYAVLVYSKDDALETDELVLHVDIEHQRI -PTSGVLPV - ->sp|Q66138.1|CAPSD_CMVN RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSASSSSDANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCKPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVHQYAASGVQANNKLLYDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDVEHQRI -PTSGVLPV - ->sp|Q06934.1|CAPSD_CMVKI RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSGSPNASRTSRRRRPRRGSRSASGADAGLRALTQQMLKLNKTLAIGRPTLNHPTFVGSESCKPGYTF -TSITLKPPEIEKGSYFGRRLSLPDSVTDYDKKLVSRIQIRINPLPKFDSTVWVTVRKVPSSSDLSVAAIS -AMFGDGNSPVLVYQYAASGVQANNKLLYDLSEMRADIGDMRKYAVLVYSKDDNLEKDEIVLHVDVEHQRI -PISRMLPT - ->sp|P23627.1|CAPSD_TAV RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAQNGTGGGSRRPRRGRRNNNNNNSTARDKALLALTQQVNRLANIASSSAPSLQHPTFIASKKCRAGYTY -TSLDVRPTRTEKDKSFGQRLIIPVPVSEYPKKKVSCVQVRLNPSPKFNSTIWVSLRRLDETTLLTSENVF -KLFTDGLAAVLIYQHVPTGIQPNNKITFDMSNVGAEIGDMGKYALIVYSKDDVLEADEMVIHIDIEHQRI -PSLQRSRCDSTRMHDVRRR - ->sp|P24147.1|CAPSD_CMVWL RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSGSPNASRTSRRRRPRRGSRSASGADAGLRALTQQMLKLNRTLAIGRPTLNHPTFVGSESCKPGYTF -TSITLKPPEIEKGSYFGRRLSLPDSVTDYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPSSSDLSVAAIS -AMFGDGNSPVLVYQYAASGVQANNKLLYDLSEMRADIGDMRKYAVLVYSKDDKLEKDEIALHVDVEHQRI -PISRMLPT - ->sp|Q00261.1|CAPSD_CMVP6 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNRRRRPRRGSRSASSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCRPGYTF -TSITLKPPKIDRGSYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVLASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLYDLSAMRADIGDMRKYAILVYSKDDALETDELVLHVDIEHQRI -PTSGVLPV - ->sp|P21368.1|CAPSD_CMVC RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MDKSESTSAGRNHRRRPRRGSRSAPSSADANFRVLSQQLSRLNKTLAAGRPTINHPTFVGSERCRPGYTF -TSITLKPPKIDRESYYGKRLLLPDSVTEYDKKLVSRIQIRVNPLPKFDSTVWVTVRKVPASSDLSVAAIS -AMFADGASPVLVYQYAASGVQANNKLLFDLSAMRADIGDMRKYAVLVYSKDDALETDELVLHVDIEHQRI -PTSGVLPV - diff --git a/seq/clusters_seq/cluster_19 b/seq/clusters_seq/cluster_19 deleted file mode 100644 index 7d376dd..0000000 --- a/seq/clusters_seq/cluster_19 +++ /dev/null @@ -1,1672 +0,0 @@ ->YP_010086860.1 polyprotein [Mashua virus Y] -MATATYNILFGSFECTLPRPIVVPSMAVLKPCKIEAAPKDPFAQLEKDLAPYFERRKHATIKVAKNGLAF -FKRKSEKQIARIEARARKIQEEERAFQMAPPFMVSKISVAGGPMPSDMGEADKRGIIHTVPSAKKRIVHK -RVYKSESALISLIKQIKNIAAKNSLQVEVVGNKKMKVSYTKGTKVRARVQLLHMNGERKQVDTVLEPWHT -FVLRSLARTSAWGDKVDSENVRRGDSGLVLNPAILHGNRGRYKDDMFIVRGSCEGTLLDARSKITHSVMM -RMTHYSIADKFWAGINSKWAQLRNDVSHECTADVQVEECGEVATIVAQSVMPCYKMTCGACIKEFADKSD -QDLCELIYRKLGASIGLMENTHPRFTNVIKYFKLMESLMQPLHDGSGKFDEIFRNIGHRNLSPFKYINVL -NEFFMRGKENDALQWSEAYSALLELARYQRNRTENIAKGDLKSFRNKISGKANYNLYLSCDNQLDKNASF -VWGQREYHAKRFFSNFFEEIDPAGGYEKYQIRKFPDGVRKLSINNLIVSLDLAAFRRQMEGEYEAQPNIS -KACVSSIDGNFLYPCCCVTQDDGSAVKSTIYPPTKKHLVIGNSGDAKYVDLPKGDSEMLYIAKDGFCYIN -IYLAMLINVKEDDAKDFTKKVRDLCVPKLGKWPSLMDVATTCAQLRIFYPDVHDAELPRILVDHKTQTCH -VVDSFGSMTTGYHILKASTVSQLVLFANDSLESDIKHYRVGGKLTTPPPSNADFLSSKDMRCNKARFSLS -EYEAVRLLIKGVFRPKVMRQLLLDEPYIMLLSLVSPGVLMAMFNNGSFEIATQLWINEKQSLAKIAVVLS -NLAKKVSLADTLLKQMQIIESSAEGLLQHTNAGFQLQHTYLTALTLLQRLSDKAAGDLPLVSSGFLNYET -DVINLMEKNYLDLLAEGWSDLTWREKLSAIWHARRSQRDFSKPLKPENNTDFGGMYDISPGVVLRKTMQR -VSDKISTCKNRVKECVTIRCVDFTSNIIHRLIARLPSVINMLNTFFIISFLVSMSYTLQSIVNSHVEHKR -QIAQFEFNRKEAACESIYTNLQRKIGREPTWDEYLEFMQSVNEELFVFLKGITEGTNVTHQKSESDLKSL -EQIVAFVTLIIMVFDAERSDCVFKALNKFKGVVSSLNSNVRHQSLDDILEDFDDRNQIVNIELDDDSMLS -RHSQDVTFIKWWNNQVSSGNTIAHYRTEGLFMEFTRANAAKVASDIAMSEQMDFLIRGAVGSGKSTGLPF -NLCNNGKVLLIEPTRPLAENVFKQLLGAPFFSKPTLRMRGSSTFGSSPITVMTSGFALHFYANNVEQLRD -LKFIIFDECHVLDASAMAFRSLIATYHRECKVIKTSATPPGREVEFTTQHPVKLKIEESLSFNAFVEAQG -KGTNADIIQHGSNILVYVASYNEVDQLSKMLVDKQYSVTKVDGRTMKHGNLEIVTHGTTQKPHFVVATNI -IENGVTLDIDVVVDFGMKVTPVLDIDNRSVSYSKICVSYGERIQRLGRVGRIQPGTALRIGNTEKGLVEI -PSMISTEAALYCFAYNLPVMTSTVSTSLISNCTVRQVKTMHQFELSPFFSFNFVAFDGTMHPEIHEILKK -YKLRDSITALCGQSVPYKASSKWLRSQDYERIGVRLDLPADVKIAFNIKDVPPKLHEQLWDTVLKFKNES -IFPTIRSSSISKISYTLSTDINSISRTLNFIEQLLEEERTKQNEFRSLLDHGCHSLFSIVGITNTLRAKY -SKDYTEDNIRKLEAAKGQLKEFNNLRGSGDESQLIRRFEALQFVHHQSSRKLASALSLKGVWCKSLITKD -ILVVGAVIAGGAALLWKWFTQEMTTVCHQGKLKSKRIQALKFRHARDKRSGFEINNNEDTIEEYFGSAYT -KKGKGRGTKVGMGKSHRKFINMYGFEPGDYSYIRFVDPLTGAQIEENVYADIADIQRQLGEIRQQMVADD -EIDSQLLNTNTTIHAYFMKDFGKKALKVDLTPHNPLRVSDKASTIMKYPEREGELRQTGKAVVVNVKDVP -EAPVEHESKSLLRGLRDYNPIAQTICQLTVFNDTGSSSTFGIGFGAYIIANHHLFKSFNGRMEIRSHHGL -FRVPNLKSLSVRPIKGRDMIIVKMPKDFPVFPQRLHFRQPTTSDRICMIGSNFQLKTISSMITETSATHP -VNRSTFWKHWIATDDGHCGLPVVSTADGMLVGIHSLANNMNSENYYAAFDADFEEEYLRTNDHEKWVKDW -KYNPDTVLWGSLKLTNNTPTGMFKTTKILEDLNSHLENEVREQSQRSMWMLEALKDNLVAVSYMKNQLVT -RHVVKGECIHFSRYLAENKDAADFFKPLMSFYGKSVLSQEAYIKDIMKYSEPIEIGVVDCDAFEEAVCRV -ILYLKMKGFRQCAYITDERDIFQALNMKAAVGAMYGGKKQDYFKDYTEEDKEQILKESCLRLYKGELGVW -NGSLKAELRSIEKIKQHKTRTFTAAPLDTLLAGKVCVDDFNNQFYSMHTQCCWTVGMTKFYGGWDRLLRS -LPDDWIYCDADGSRFDSSLTPYLINAVLSIRSTYMEDWDIGLQMLKNLYTEIVYTPIATPDGTIVKKFRG -NNSGQPSTVVDNSLMVVLAMHYSFVKEGITFEEFENVCKFFVNGDDLLIGVDPKYEYLLDNLQVHFSELG -LNYQFDSRTRNKSDLWFMSHRGLVKEGLYIPKLEEERVVSILQWDRATTPANRLEAICAAMIEAWGYPEL -IHQIRLFYKWLLEQEPFNSIQEEGEAPYICSLALEKLYLNKHIDDHELQAYTELFANLDEEFECDTFEVH -HQAEEKMDAGLADKMKGKQPAVESSNKTTPNPPKKDVEKDINAGTSGTHSVPRIKSITSKMRMPKSKGKS -VLNLNHLLEYTPAQVDISNTRATQGQFDTWYESVRNAYDVQENEMGTLLNGLMVWCIENGTSPNVNGVWT -MMDGEEQVEYPLKPVIENAKPTFRQIMAHFSDIAEAYIEMRNKKEPYMPRYGLVRNLRDMNLARYAFDFY -EITSRTSVRAREAHIQMKAAALKSAQTRMFGLDGGISTTEENTERHTTEDVNSNMHTLLGVRNM - ->YP_009666039.1 polyprotein, partial [Amaranthus leaf mottle virus] -STVVDNSLMVVLAMHYAFVKENLPYDEVENFIKFYVNGDDLIIAVHPSKENVLDRLASHFSSLGLNYDFT -SRTRDKSELWFMSHRGIEIEGMYVPKLEEERIVSILQWDRATKPEYRLEAVCAAMIEAWGYPHLIHQIRK -FYSWLIEQHPFSELAAEGKAPYIAEMALRRLYLDRAVENSELQDFMKYFTDLDDDIECEQYEVHHQAGEE -FDATQAGGNTQKPKKNVASPQQEDRGNGAGSSGGNQQIVSDRDVNAATKGTHMVPRIKTITSKMNFPKAN -KKNALNLDHLLEYKPRQIDLSNTRATQMQFDTWFNAVQAAYELEENQMSTVMNGLMVWCIENGTSPNING -VWVMMDGEEQVEYPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKTEPYMPRYGLVRNLRDMSLARYAF -DFYEMTSRTSTRAREAHIQMKAAALKSSQSRLFGLDGGISTQEENTERHTTEDVNPNMHTLLGVRNM - ->YP_009665141.1 polyprotein, partial [Pfaffia mosaic virus] -NNSGQPSTVVDNSLMVVLAMHYAFVQEKIEFDCVDNHCIFFVNGDDLLIAVNPDSEFLLDSMSKHFTDLG -LNYDFSSRTRNKEDLWFMSHRGIQVDGKYIPKLEEERVVSILQWDRSTLPEHRLEAICAALIESWGYPEL -THQISRFYAWLLHQHPFDEIAQDGRAPYISELATRRLYLSDAVDESELKAFLKLFVQLDEDFELEYYEVY -HQAKDTIDGGGSNTTDASPDIQPKANVEKDKEVKPGITGTHNEPKIKAGSSKSRKPTNNGASVLNTEPLI -ESIPQKIEVANTRTTQSQIGTWYEAHRIAYEPGQVERSTVINGLVVWCIENGTSPNINGVWIMMDGNEQV -EYPLKPVVENAKPTLRQIMAHFSDVAEAYITMRNEKEPYMPRYGLVRNLRDMSLARYAFDFYEVTSRTPT -RAREAHIQMKAAALKSAQTRMFGLDGGISTQEENTERHTTEDVSPNMHSFLGVRNT - ->YP_009665121.1 nuclear inclusion protein b/coat protein, partial [Amazon lily mosaic virus] -LIHQTRRFYQWLISQEPFAELALEGKAPYISELALRKLYLNKNVEQDELQEYLRLFTELDDEFECGTYDV -YHQSGSNPEIIDAGSQDDLQKNKDKGKEKANGTPVEKRDRDINTGTSGTYTVPRIKSITSKMRLPKSKGK -VVMSLDHLLKYTPSQTDISNTRATQNQFDNWYTSVKLAYDIEDDEMTTVLNGLMVWCIENGTSPNINGVW -TMMDGEEQVEFPLKPVVENAKPTLRQIMAHFSDVAEAYIEMRNNKEPYMPRYGLIRNLRDMSLARYAFDF -YEVTSRTPVRAREAHIQMKAAALKTAQSKMFGLDGGISTQEENTERHTTEDVSPNMHTLLGVRNM - ->YP_009665120.1 polyprotein, partial [Alternanthera mild mosaic virus] -VDNSLMVVLAMHYAFVQENIDFESIDDHCIFFVNGDDLLIAVNPNSESLLDSMGKHFSDLGLNYDFSNRT -RVKEDLWFMSHRGIKVDGKFIPKLEEERVVSILQWDRSNLPEHRLEAICAALIESWGYPELTHQIRRFYA -WLLEQQPFEELASEGKAPYISELATRRLYLNDAIDDSEAQQFLKFFAQLDEDFECDTFEVSHQSNTQNDE -VCAQFVHHQSGEGMLDAGKEDPKLGNMSKNEEQVTKPNNDKDVNTGSSGTHTVPRIKSITSTMRLPKSKG -KEALNLAHLLEDKPSQEDIANTRATQSQFDTWYAAVQNAYDIQDNEMATVMNGLMVWCVENGTSSTINGV -WIMMDGGEQVEYPLKPVVENAKPTLRQIMAHFSDVAEAYITMRNEKEPYMPRYGLVRDLRDMSLARYAFD -FYEITSRTPVRAREAHFQMKAAALKSSQVRMFGLDGGISTQEENTERHTTEDVSPNMHSFLGVRNN - ->YP_009665119.1 nuclear inclusion protein b/coat protein, partial [Alstroemeria mosaic virus] -TTGSGNNSGQPSTVVDNSLMVVLAMHYAFVKEGVQFGDVDRICKFFVNGDDLIIAIDPAREDILDRLAGH -FSSLGLNYDFSSRTRRKEELWFMSHKGIEFEGIYIPKLEEERIVSILQWDRATLPEHRLEAICAAMIESW -GYPHLIHQIRRFYSWLLKQQPFAALAAEGKAPYIAEMALKKLYLDRTVDNEELMAFQRLFVELDDDFECE -DFEVHHQVGEHVDAGKDDEKASKDKQVAKPPASKEIQEKDVNAGTSGTHTIPRIKAITGKMRLPKIKGKD -ALNLPHLLEYSPQQIDISNTRATHEQFNAWHEAVKQAYDINDEEMSTLMNGLMVWCIENGTSPNINGVWV -MMDGEQQVEYSLKPIVEHAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDASLARYAFDFY -EVTSRTPTRAREAHIQMKAAALKSVQTRMFGLDGGINTQEENTERHTTEDVSPNMHNLLGVRNI - ->YP_009351885.1 CP [Sunflower ring blotch virus] -GDDTLDAGKDKKQEDKTKQVSVPKNKDIAKEKDVNVGTSGTHTVPRIKAITSKMRMPKAKGTTALNLEHL -LDYTPQQVDISNTRATQSQFDTWYEAVQKSYDIGDSEMPTVMNGLMVWCIENGTSPNINGVWVMMDGSEQ -VEYPLKPIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLVRNLRDMSLARYAFDFYEITSHTS -VRAREAHIQMKAAALKSAQTRMFGLDGGISTQEENTERHTTEDVSPNMHTLLGVRNM - ->YP_009351884.1 P1 [Sunflower ring blotch virus] -MAVSTGIIFFGDIQCNLPGFQFKATQQTQVQPALRAKDPFADLERQLAKRLERQSYATIKKKKNGTICYQ -YKSDAKISALKIKENKRKLEEKQFLEAAPYLITKISIAGGVMPSDTLPVQKKGVIHTTPSRKSKKSYKKL -MMTDGQLNELLRKVKLIMAEKRSSIQLIKRKTTCLSYECRSGKTRTVVTTQHMRGINKRKDLSCDRWTEE -TMKKLAKIGFWSDRIHTDNIHKGDSGVIFDASRMQGNFGRCWNGMFIVRGKYEGKLFDARSKVTFTAMTR -MQQF - ->YP_009351870.1 polyprotein [Sunflower ring blotch virus] -MAVSTGIIFFGDIQCNLPGFQFKATQQTQVQPALRAKDPFADLERQLAKRLERQSYATIKKKKNGTICYQ -YKSDAKISALKIKENKRKLEEKQFLEAAPYLITKISIAGGVMPSDTLPVQKKGVIHTTPSRKSKKSYKKL -MMTDGQLNELLRKVKLIMAEKRSSIQLIKRKTTCLSYECRSGKTRTVVTTQHMRGINKRKDLSCDRWTEE -TMKKLAKIGFWSDRIHTDNIHKGDSGVIFDASRMQGNFGRCWNGMFIVRGKYEGKLFDARSKVTFTAMTR -MQQFSHADNFWKGLDGNWVKYRYPTDHTCTSDIPVVDCGEVAALLTHSILPCYRITCNTCAQKYAELSTD -ELTQLLNQHAKDGIQRLKDIQKPFPHVDRLLKTIKHLTEPNVTDPNVFDEVYKAIGDKQHAPFKHLNVLN -NFFLKAHGNTATDWSAAQNSLAELARFQKNRTDNIKKGDITSFRNKLSSKANYNFYLSCDNQLDKNANFV -WGQREYHAKRFFSNFFEEIDPSKGYTAYEDRIHPNGSRKLAIGNLIVPLDLSEFRQKMRGNIIKQPGTSK -QCVSTKDGNFVYPCCCTTLDDGTAVESTFYPPTKKHLVIGNSGDQKYVDLPKGESEMLYIAKQGYCYINL -YLAMLINVNEDDAKDFTKKVRDLCVPKLGKWPTLMDVATTCAQLRIFYPDVHDAELPRILVDHKTQTCHV -VDSFGSATTGYHILKAATVSQLILFANDELESDIKHYRVGGVPSDASSLSNGSSPFGENKAMFSEHHAMK -VLLKSIFRPKLLKQLLIDEPYIMILAMLSPGVLLAMYNNASFEIAVKLWINEKQSLALIATMLSSLATKV -SVAETLIAQRRIMDSAAADLLEVTCDGFKTHMTYMTAITLLQRVKERASSDEALISGGFLNYETDVVNLM -EKSYLDLLEEAWRELTWLEKCRTIWHSQRAKRFIIKPLKPTNKADLKGLYDTSPGACFTRSLSALKNEKE -KRVEQVRLYINRKSIDVSAFFVRRIFRRLPSLVAFANSLFVISLIMNIYSVLNGILIEHRMYKQKVQIMQ -QQKNEVVCVELYSTLQRKLGREFTWDEFIEYLKTVNPSIVQFAEAQMEKYEVEHQKSTAGVKNLEQIVAF -VTLITMIFDSERSDCVFKTLNKLKGVVSTLDMEVRHQSLDDIMETFEDRNQVVDFELDDEIARAQTETDV -KFSKWWENQVQKGFTIPHYRTEGHFMEFTRATAAQVASDISQSEHTDFLIRGAVGSGKSTGLPYQLSSFG -SVLLIEPTRPLAENVFNQLSSAPFFQKPTLQMRGNSVFGSSPISVMTSGFALHFFANNRSQLLGYNFIIF -DECHVLDASAMAFRSLLSMFHKSCKVLKVSATPPGREVEFTTQFPVKLIVEESLSFKSFVEAQGGKGNAD -VVQHGNNILVYVASYNEVDQLSKLLTDKGMMVSKVDGRTMKHGSLEIVTKGTAAKPHFVVATNIIENGVT -LDIDVVVDFGMKVSPFLDIDNRSIAYNKISVSYGERIQRLGRVGRFKPGTALRIGHTEKGLLEVPNLIAT -EAALHCFAYNLPVMSSNVTTSIVTNCTVRQIRTMNHFELNPFFTFNFVAHDGSMHPAIHELLKKYKLRDS -IIPLCDQSVPYRASSSWLTSLDYERMGVMLDLPPSVKISFHIKDVPPVLHEKLWETIVLFKDASIFPSIR -SSSISKIAYTLSTDLFAIPRTLILIDRLIEEERVKQNQFRSYIDESCSNMFSIVNLTNTLRSKYVKDYTA -ENIMKLERVKSQLKEFSNLQGSCDEVNLIKRFESLQFVHHQSKESLSKDLGLRGVWQKSLMVKDAIIAVA -VSFGGACLLYSWFTQSMSAVAHQGKSSSKRIQALKFRKARDKRAGFEIDNNDDTIEEYFGSAYRKKGKNS -GTKVGMGKSNRRFINMYGFEPSEFSYIQFVDPLTGAQIEENVYADIVDIQERFGEIRSKMIEEDELDAQA -TYTNTTIHAYLRKDWSDKALKVDLMPHNPLKICGKTNGIAKFPERKGELRQTGVAMEVKVSDIPKVEVEH -ESKSLMKGLRDYNPIAHTICKLKASSEFGSTEMYGIGFGSYIITNHHLFKSFNGSLEVKSHHGLFRVPNM -KSLSIKPLQGKDIVIIKMPKDFPVFPQKLHFRSPASNERICIVGTNFQEKFASSTITETSSTYIVPRSSF -WKHWIATDDGHCGLPVVSTSDGMIIGIHSLANNSNSENYYAAFDDDFENSILRSAEHMEWVKDWKYNPDT -VVWGPLKLKQSEPSGLFKTSKIIEDLFQHETVREQSNNSSWMYEALKDNLQAVAHMKSQLVTKHVVHGEC -RHFSEYLTIDKEAESFFRPLMNAYGKSLLNREAYIKDIMKYSKPIEVGVVDCDAFEEATHRVILYMQLKG -FRQCSFVTDEQAIFKALNMKAAVGAMYGGKKKDYFADFTDAEKEEIVMQSCLRLYKGDLGIWNGSLKAEL -RCKEKIMANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWDRLLKSLPDGWVYC -DADGSQFDSSLTPYLINAVLAIRCTYMEDWDVGLQMLKNLYTEIIYTPISTPDGTIVKKFRGNNSGQPST -VVDNSLMVVLAMHYAFVKEKIDFEEVEQYCKFFVNGDDLMIAVNPEKEEMLDRFAEHFSSLGLNYDFSSR -TRNKSELWFMSHCGLEIDGMYIPKLEEERIVSILQWDRADLPEHRLEAICASMIEAWGYPELIHQIRRFY -SWILEQQPFSTLAKEGKAPYIAEMALKRLYLDRLVDHDELIEFQRLFVELDDEFECGTYEVHHQGDDTLD -AGKDKKQEDKTKQVSVPKNKDIAKEKDVNVGTSGTHTVPRIKAITSKMRMPKAKGTTALNLEHLLDYTPQ -QVDISNTRATQSQFDTWYEAVQKSYDIGDSEMPTVMNGLMVWCIENGTSPNINGVWVMMDGSEQVEYPLK -PIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLVRNLRDMSLARYAFDFYEITSHTSVRAREA -HIQMKAAALKSAQTRMFGLDGGISTQEENTERHTTEDVSPNMHTLLGVRNM - ->YP_009507674.1 capsid [Sweet potato mild speckling virus] -MMVPKVNGEAVVNLDHLLVYEPTQLSISNTRATNSQFSNWYNHVKQEYGADDEEMRIILNGWMVWCIENG -TSPSISGVWTMTDEEGEQTEYPIKPMVENAQPTLRQIMHHFSNIAEAYIEMRNQKEPYMPRYGLQRNLRD -RSLARYAFDFYEVTSKTPPRAKEAHFQMKAAALRLAETRLFGLDGRTSAQGENTERHTVEDVAPNLHTLL -GMKQM - ->YP_009389250.1 P1 [Cucurbit vein banding virus] -MACIMIGDMPVNLPAPSVGVQREISSVEMLTKPSFCGWEFAPEPVVRQHHKHLCETSAKLIDEYKQRTNS -VFAELERDIAERNKGTVFKLVKRGQWTRVLRLSKEKSRCALRRMWKKESNEFFDSLDVDTIRIAEEAIKH -EDYMTQAQWVRQNAVRHKSRKVPKIFKTAKTSVNNLFRSVAKIAQENCIPIEIIGKKKRTFKTKPFMRKN -CFFLHLPHMDHKNLKREIRLEPQEEPFFTILARLNTQGYLKASDVTYGHSGLIWKREKVIGERSYLNNTF -VLRGGIKQQIFDAQRKYGYSMLKVMRQYS - ->YP_009272820.1 polyprotein [Barbacena virus Y] -METIQKSVFFGSFECVIPHTFVPQKATTPDVVQVEHKPKADPFTALEERLQSYFERRKHARVIVTKSGIT -RYKHISTNKFEHLQRKESEHAQELRAFFAGSPTVITQLNIAGGVSPSRMENSPKGVIHTTKSAKIKQPPK -QPVKVNKTQFNQLLAEIREILRNSALELEVIGKHSARGAFRERGRHKVLTLITRHSEQRLRKVDLPLNQW -QDTILLALCKSSFYGTIQKGVCIQKGDSGTCVPVRFLPDDVCETGTKVFVVRGSDNGKLVDVRRVLSHNR -MFNMEHYSMAENFWRGFNKTWVKLREEREHKCNQEIPVEQCGKASSILVQSLIPCFKMTCSTCVSESNSR -TKSELVSFLHSRVGSSLAIINDEIPEYSNVEAVLKLLQQFLEQVDVEPQIFAKIFQTIGDRTNNPFKQLN -ELNNFFAKGCLNSTSDWTKAYNSLLELVLFQKNRTDNIKKGDIASFRNKLSAKANYNFYLSCDNQLDKNA -NFLWGEREYHARRFFRNFFVEVDHEKGYAAYEERKGPASSRKIAINNLIVPLDFAEFRNKMKGELEKQPA -TGKACVSTRDGNFIYTCCCTTYDDGSAIESPLYAPTKKHLVIGNTGDSKYIDLPKGDTDTLFIVREGYCY -INLFLAMLVNISETEAKDFTKQVRDIHIPKLGKWPTLLDVATTCAQLRIFYPDIHDAELPRILVDHNNQM -CHVVDSFGSITTGYHILKASTLSQLVLFASDELQSDIKMYRVGGKLSHTVPPNADDLLTEREREKLDSNR -LDEMRAIKLLIKGIFRPKLMQQILTDEPYLIFLSVLSPGILMALFNNGAFEVAIKTWLTRKQSIAMAASM -MAGLASKVSVAETLIKQKQIIESHASGVLATMCDGLHVSQAYMLAQTVLERLCDKAISDEQLTIHGYLSY -ENDTARLLEKSYLELLEEEWKGLSWRGKLAAIWFARKQKSSIVKTLTPVKSADLKGMYDISPGAYLERVK -SRFYKWVGDSRQKVSDFLSKKVFNLTAFLVKRMFRKLPKLITMMNSIFILSGLISIASSLSLMVAEKRES -AIRIKHLEDSQKEERVLHLYTMYTMQNPEAEWKDFLQHVSVVDKELWQYLMTELEDNHVVHQRHTSEVKG -LEQVVAFITLIFMTFDSERSDCVFRTLNKLKSVVGSLDNDVRHQSLDDIIDIFDDKKHTIDFTLDDDVFN -PEVSTDTSFDSWWIEQMNSGNVIPHYRTEGEFMEFTRATSAKVASDISQSSVMDFLIRGAVGSGKSTGLP -SHLSTFGKVLLVEPTRPLAENVHKQLSGPPFFKKPTLRMRGHSVFGSSPISVMTSGFALHFFAHNVQQLL -EFKYVILDECHVLDASAMAFRSLLQAYHSGCKVIKVSATPPGREVEFTTQHPVKLIVEEQLSFKNFVEAQ -GTGSNVDVVAHGNNILVYVASYNEVDQLSKLLTDKSMLVTKVDGRTMKHGVLEIVTKGTQAKPHFVVATN -IIENGVTLDIDVVVDFGMKVSPFLDVDNRSVAYTKVSINYGERIQRLGRVGRIQKGVALRIGHTEKGLTE -IPQMIANEAALHCFAYNLPVMASGVSTSLVSKCTIRQVRTMQQFELSPYFIYNFVAHDGTMHPVVHDLLK -QYKLNDAVTPLSEQSIPFRASSKWLTARDYEQIGVHVNIQPQCKIAFHIRDIPAHLHQSLWDAVVKYKAS -SIFPTIKTASISKIAYTLSTDLNAIPRTLNLIEKLIEDERTKQNQFRSLLDNGSSSMFSIVGITNALRAR -YSTDYTSENIRKLEMAKAQLKEFNNVRGSGQGENLIAQFEALQYVQHQSYNELVNGMCLKGIWAKKLLVR -DVLVASAVAVGGIWILYSWFTQSMGSVHHQGKAKSKRIQALKMRKARDKRAGFEIDNNEDTIEEYFGSAY -TKKGKGKGTKIGMGKTNRRFYNMYGFKPDEYSYIKFVDPLTGAQIEESIYADIFKVQEKFGDIRNEMVVN -DEIDSEKVRTNTTIQAYFVKDWSDTALRVDLTPHNPLRVGENTASIAKFPERAYELRQTGKPIEVLKSEI -PEDEVEHESSSLMRGLRDYNPISHAICQVTSQTEFGSSSMFALGYGPLLIVNHHLFKSYNGTLEIRSHHG -VFRIPNMTQLQVRPVQGRDIIVVRLPKDMPVFAQRLRFRSPKNSERVCIVGSNFQEKSISSTITETSSTH -HVANSTFWKHWIATDDGHCGLPIVSTTDGCILGIHSLANNKKSENYYTAFDDAFKDNFLDSPDTRQWAKN -WKYNAANVAWGHLKLVSDSPKGMFKTTKIIEDLTRHEEEVVREQSAHTSWMLNALKDNLIAVSYMKNQLI -TKHVVKGECIHFKRYLEEDQIANEFFKPLMWAYGKSNLNKEAYIKDLLKYAEPIQVGVVNCDAFEEAVCR -VILYLNMKGFRKCSFVTDEEDIFRSLNMKAAVGAMYGGKKKDYFSEYTQADKEQILRESCLRLYSGKMGV -WNGSLKAELRCKEKIEANKTRTFTAAPLDTLLAGKVCVDDFNNQFYSRHTDCCWSVGMTKFYGGWDRLLQ -GLPDGWVFCDADGSRFDSSLTPYLINAVLTIRLTYMEEWDIGFEMLKNLYTEIVYTPIATPDGTIVKKFR -GNNSGQPSTVVDNSLMVIIAMHYAFTMRGFALDEVENHCKFYVNGDDLIIAVHPDHETFLDSLQAYFSEL -GLNYEFSSRTRNKEDLWFMSHKAIMVNGIYIPKLEEERIVSILQWDRAELPECRLEAICAAMIESWGYPE -LTHMIRRFYHWLINQEPFKQLAEEGKAPYIAEMALKKLYLNENVEESEIEEFLRVFAELDDEFECGDVEV -YHQSLTPTVPPVLDAGVNPPPKPGNSGDREKEVVQKAKTAKDKEVDAGTSGTHTVPRIKAITPKMRMPKT -SSGIALNLEHLLGYKPDQLDISNARATQGQFDTWFEAVRNAYDITPEEMTIVCNGLMVWCVENGTSPNIN -GVWTMMEGNEQIEYPLKPIVENAKPTLRQIMAHFSDVAEAYIEMRNMKEPYMPRYGLIRNLRDTSLARYA -FDFYEINSRTPARAKEAVMQMKAAALKSSQSRMFGLDGGISTQEENTERHTTEDVSPTMHSLLGVRNM - ->YP_009252419.1 CP [Tobacco mosqueado virus] -AKDDTKTTESEASVLDAGNTSKKQASREPVQQGKAIEGTSSGAVQAEARDKDVNAGTQGTFQVPRIKSIT -SKMRLPKAAGKVALNLEHLLEYTPSQLDISNTRATQSQFDTWYESVKNAYDVQDGEMGTILNGLMVWCIE -NGTSPNLNGVWTMIDGEEQVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLTRNLR -DMSLARYAFDFFEVTSRTSTRAREAHIQMKAAAVKTSQTRMFGLDGGISTQEENTERHTTEDVNPNMHTL -LGVRNM - ->YP_009252304.1 polyprotein [Tobacco mosqueado virus] -MALLANNVIMFGSVPAVIKPQVLELEQPGVLFSFLDCVADAQVSTQPIQFGTFSLHSEAKPHTVMASKAL -GQIEKSTSVTLSNQVCVIDPFAGLEEQLKPYFERRKYASIYKNAKGVTRYRRPSERKISKIKLAKHRQEE -ELRKFYNSPPSIVSSISIGGGATPSAMEAQIAGIKTATSVRIKKPQNKPLFVKRSGVVNCLIREIRQIAV -SSQLQIXLVGKKAHKISYAKLNGRDVARVDLKHMQGKKLSTDFFMDSRIEQIIAQLARVSSWGSHYKLHE -LSKGCSGFILNAERATHGEIVGHDKLLIVRGALHQRVFDARLKMNMDEVFRMTHYSAADQFWKGLESNWS -KLREGPSHECSKXFTVEDCGIVATIVAQSLIPCYKLTCGICAHNFSELNXKEVIEQLSNTTQKGLTRVGD -YAPKFTHVHHLLSVLNNLTTPISDGSEMFSTIFKMVGDKQQSPFKHLNVLNEFFARGFQNNXLDWEVARA -SLLELAQYQKNRTDNIKKGDISSFRNKLSGKANYNLYLSCXNQLDKNANFIWGTREYHAKRFFSNFFTEI -DPQKGYSQYELRRSPNTIRKLAIGNLIVPLDLAEFRTRMKGEHIKQPATSKKCISYKNGNFVYPCCCTTL -EDGTAIESTVYAPTKKHIVIGNTGDTKFVDLPKGETDMLYIAKEGYCYINVFLALLINIREDEAKDFTKK -VRDIFVPKLGTWPSLMDLATTCAQLRIFFPDIHDAELPRILIDHNSQTCHVVDSYGSISSGYHILKASTL -SQLVLFANDELDSEIKHYRVGGKSLGRAPQNADDLCTDWKVFHTPSCGISEVDAMKVLFKGIFKPKVMRE -LLLDEPYIMLLSLLSPGVLMAMYNNGAFETAIKLWINEKQSIAMIATMLSNLAMKVSVAETLLKQVAIIE -SASHDLFDVTCDGFKLHLTYHTALTLLMRLKEKSNGDVELISGGYLNFENDIIQIIEKNYLERLEEEWSA -LTWREKLSATWHARRQKRAIVKYLHPVKTXDLKGMYNISPSAVFTRAVGHTRKRITEVKGHISRAVHNRC -VSLSSYMLGRFFRCIPNARTLISTIFILSALFNIFGSMHLIVQDNKRIKLQLSNHERRIKEDLCDDLYKT -LERKLGNKPTYEEYLQFVGGINPELEVFLVEQYTPDVVHHQRGTADIKKLEHIXAFISLVIMVFDADRSD -CVFKTLNKFKGIISSMDSEVRHQSIDDIMNDFEEKNLTVDFELDDSVQKDISSVDTQFGKWWEDQVERGF -VIPHYRTEGTFMEFTRATAAMVASNIAQSSDRDFLIRGAVGSGKSTGLPYHLSTSGKVLLIEPTRPLAEN -VYKQLSGAPFFLKPTMRMRGNSVFGSSPVSVMTSGFALHFFANNITQLQEIQYIIIDECHVMDASAMAFR -SLISAYHCSCKVMKVSATPPGREVEFTTQHPVNLVVEDSISFKSFVDSLHTGGNTDVLKFGVNTLVYVAS -YNEVDQLSKLLTDKGMLVTKVDGRTMKHGDLEITTKGTKEKPHFVVATNIIENGVTLDIDTVVDFGMKVS -PFLDVDNRSVAYSKVSISYGERIQRLGRVGRIQKGTAVRIGHTEKGLIEIPQMISTEAALYCFAYNLPVM -ASNVSTSVVAKCTVRQVKTMHAFELSPFFTCNFVAHDGTMHPAIHELLKKYKLRDSMIPLSESSIPYRAS -SDWITAFEYEKIGIRLDLPQDVKIAFHIRDLPGKLHQDLWEAIMKYKSEANFPSIRSASISKIAYTLSTD -LYAIPRTIALIDKLIEDERTKQYQYRSLVDNGCSSMFSIVGITNALRAKYSQDHTGENIRKLEAAKAQLK -EFNNLGGTRDEINLIKRFESLQYVHHQSKGALARDLKLKGVWNAPLIARDAIIACGIATGGAWLLYSWFT -SQFTSVTHQGKAKSKRIQALKFRKARDKRAGFEIDNNEDTIEEYFGSAYTKKGKGKGTTVGIGKTNRKFI -NMYGFDPGQFSYIKFVDPLTGAQIEENVYADVVDVQERFGEIRHNMLIDGELETQHLNSNTTIHAYLIKD -WSDKALRVDLTPRNPLLVSKLSSSIAKFPERSGQIRQTGPSVEVDVKDIPAEPVEHESKSLLKGPRDYNP -IAQVICRLTVSSEHGSCTTFGIGFGALIIANHHLFKSFNGSLELRSHHGVFRVPNLMSLEVKPLKGRDLI -LIKMPKDFPVFPQRLHFRSPQEADRVCLVGSNFQEKYISTVISETSATYPVQRSTFWKHWISTDDGHCGL -PIVSTSDGMLLGIHSLANNRNSENYYTAFDIDFEAEYLRNDQHSDWVKNWKYNPDNVLWGPLKLTKDTPT -GMFKTTKLIEDLFAHIQEPVREQASNTSWMLEALKENLSAVAYMKSQLVTKHVVKGECMLFKQYLECDEE -AKKFFQPLMWAYGKSLLNKEAYIKDLMKYSKPIEVGVVDCDAFEEATCRVILYMRLKGFKQCAFVTDEQE -IFNALNMNAAVGAMYGGKKKDFFAEYSQSDKEQIIKESCFRLYTGKLGVWNGSLKAELRCKEKIEANKTR -TFTAAPIDTLLGGKVCVDDFNNQFYSQNINCSWTVGMTKFYGGWNRLLTSLPDGWVYCDADGSQFDSSLT -PYLINAVLSIRFAFMEDWDIGFQMLRNLYTEIVYTPISTPDGTIVKKYRGNNSGQPSTVVDNSLMVVLAM -HYAFTKEGWTFDELEEYCKFFVNGDDLVIAVRPDREKFLDTLQQHFSELGLNYDFSSRTRNKEDLWFMSH -KGILVDGIYIPKLEEERIVSILQWDRAALPEYRLEAICAAMIESWGYPKLTHEIRRFYSWLLEQAPYRQL -AQDGKAPYISELALKKLYLNCDLKSEELEAYLKFFAELDDDFECGEYEVSHQAKDDTKTTESEASVLDAG -NTSKKQASREPVQQGKAIEGTSSGAVQAEARDKDVNAGTQGTFQVPRIKSITSKMRLPKAAGKVALNLEH -LLEYTPSQLDISNTRATQSQFDTWYESVKNAYDVQDGEMGTILNGLMVWCIENGTSPNLNGVWTMIDGEE -QVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLTRNLRDMSLARYAFDFFEVTSRT -STRAREAHIQMKAAAVKTSQTRMFGLDGGISTQEENTERHTTEDVNPNMHTLLGVRNM - ->YP_009221983.1 P1 Protein [Jasmine virus T] -MGTHAGSGANIGALPREFASSGNNKGTTTLNHYLVRAAEEQQAKHRSILDNAMKKVTPRPIDLRTHYMRF -DKRGRAQAVKIPDWKLKRAEKRREAKEREERIFDQLTPYPVTQISIAGGELPRYTPYTIKWPLNKTPSRK -EVKALPRAVRLGQSELNALSSAVAKICAKMQKSVTLIGMGRAKPVHTRYIRRQGANFLQVNLEHHSGRRK -RVDVKISTSHAQIMTALVHASSWRKQDAWKYVCPGYSGVVLSVENAKEPTGRCGNDFFIIRGKHEGKFYD -ARSRITRSVMLSMIHY - ->YP_008877631.1 polyprotein [Bidens mosaic virus] -MAAVTSSIFFGSFECKLPTFIANSKTVKTEKPKAIKVDPFAELDAMLATRLEKQSYATIRRMKNGTLCYK -YKNEKQMATIKKREAARKAEIKSFMEAPPYIVSKLTIAGGGKPSELEPTKRIKRIHTTPSTKIRKSFKKT -IVTEHELNKLIRSVKQAMAAKCGSIEIIDKKLTHLSYRNKAGKQRALVTTAHMKGINKQVDFKCEPWVSS -VIYTLAKTRNWADVIHVSQLQKGDSGTVLNSNVIRGTYGRFSNGMFIVRGVYEGKVFDARSKLTRTTMLR -MQQFSNAENFWKGIDGNWARFRYSTQHTCTPDIPVVECGKMAALVTHSIIPCFKITCGNCARLYAELPTE -DLLSMLFQHTSDGLERLKECEGKFPHVKRLLGLLNSFAKPTGAKLEIFNDIYKTIGERQHAPFKQLNVLN -GFLLRGKMNTAENWEEAQTSLLELSRFQRNRTDNIKKGDITSFRNKLSSKANWNFYLSCDNQLDKNANFL -WGQREYHAKRFFSNFFEEVDPSKGYGAYEIRKNPNGVRKLAIGNLIVPLDLSEFRLKMKGDFIKQPDISK -QCVSLKDGNYVYPCCCTTLDDGTAVESTLYPPTKKHMVIGNSGEQKYVDLPKGDSEMLYIAKQGYCYINI -YLAMLINVNEEDAKDFTKKVRDMCVPKLGEWPSLMDVATTCAQLRIFYPDVHDAELPRILVDHNTQTCHV -VDSYGSMTTGFHILKAATVSQLILFANDELESDIKHYRVGGVPNDASNLSDGGRPFGSGGAMFSEFHATK -VLIRGIFRPKVMQQLLIDEPYILLMSMLSPGILLAMYNNGSFEIAVKLWINEKQSLAMIATMLSALATKV -SVSDTLLAQRKIMDAAAGDLLEATCDGFQLHMTYLTAITLLQRVKERADSDHSLISGGFLNYESDVVHLM -EKNYLDLLEEAWRDLRWHEKLSAIWHSQKARKFIVKPLLPTGSADLKGMYDISPRACFGKSLNALQKKRD -DFAARCRQYVNDKTMSISTFFISRVVRRLPSLVTFANTLFITSLFVSIVSILQSIILEHRMYKQQVLQMK -LESDERVCIELYASLQAKLGRTFTWEEFIEYLQTVNPNIVAFAEAQMAQHIVEHQQSTTGVKNLEQVVAF -ITLVMMVFDSERSDCVFKTLNKLKGVVSTLDYGVRHQSLDDFVENFDERNQTVDFELDDDIAQNQNALDI -KFTDWWDKQVQSGFTIPHYRTEGYFIEFTRATAAQVASDIAQSEHLDFLIRGAVGSGKSTGLPTQLSQTG -TVLLLEPTRPLAENVFKQLSSSPFFQKPTLRMRGSSVFGSSPISIMTSGFALHYFAHNRTQLASYDYVII -DECHVMDSSAMAFRGLLSLHHKACKVLKVSATPPGREVEFTTQYPVKLVIEDNLSFKSFVEAQGTGSNAD -MIKHGHNILVYVASYNEVDSLSKMLTDKNMMVTKVDGRTMKHGSLEIVTRGTQEKAHFIVATNIIENGVT -LDIDVVVDFGVKVSPFLDIDNRSVAYNKVSVSYGERIQRLGRVGRVKPGVALRIGHTEKGLIEIPSMIAT -EAALACFAYNLPVMSSNVSTSIISNCTVRQVKTMHQFELSPFFVYNFVAHDGTMHPEIHKILNKYKLRDS -ITPLCEQSVPYRASSSWLTVSEYERMGIVFDLPQQTKIAFHIRDVPPKLHESLWNTVEKFKDVSIFPSIR -SASISKIAYTLSTDLFAIPRTLIFVDRLIEEERTKQSQFRSYIDSGCSSMFSILNLTNTLRSKYAKDYTT -ENIQKLERVRNQLKEFHNLGGSADEHNLIKRFESLQYVHHQSKDALSKDLKLKGIWNKSLIVKDVLVAGA -VAIGGVYLLYSWFTNSMQSVSHQGKTKAKRIQALKFRRARDKRAGFELDNNDDTIEEFFGSAYREKGKKK -GTTVGMGKSNRRFINMYGFEPGEFSYIQFVDPLTGAQIEENVYADILDVQERFGDIRRKLIEEDELDPQL -TYTNTAIHAYLRKDWSNKALKVDLLPHNPLKICDKTNGIAKFPERKGELRQTGGAVEVDVEDIPKVKVEH -ESKSLMRGLRDYNPIAQTVCRLKAKTEHGVSEMFGIGFGAYIITNHHFLKSFNGTLEVRSHHGIFKVTNM -MSLQVKPITGRDIVIIKMPKDFPVFPQRIHFRAPNRNERICLVGTNFQEKSVSSTVTETSATYAVPRSTF -WKHWIATDDGHCGLPVVSTLDGNIIGLHSLANNSTSENYYAAFDEEFEPKYLRNAEHGEWVKNWRYNPDT -VVWGSLELKQSTPSGLFKTTKIIEDLMNHNTVREQSKSSTWMFDALKDGLQAVGYMKNQLVTKHVVKGEC -RHFKEFLTIDQEASDYFRPLMDAYGKSLLNREAYIKDIMKYSEPIEIGVVDCDAFEEATARVILYLQMKG -FRQCSFITDEQEIFKALNMKAAVGAMYGGKKKEYFENFSDEDKEAIVMQSCLRLYKGQIGVWNGSLKAEL -RCKEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWNRLLRSLPDGWIYC -DADGSRFDSSLTPYLINAVLSIRSTYMEDWDIGLQMLKNLYTEIIYTPIATPDGTIVKKFRGNNSGQPST -VVDNSLMVVLAMHYAFVRENITFDDIDNCCKFFVNGDDLLIAINPEKEHMLDKFASHFSNLGLNYDFSSR -TRNKEELWFMSHRGLEIEGMYIPKLEEERVVSILQWDRAELPEHRLEAICAAMIEAWGYPELIHQIRRFY -SWLLEQQPFATLAQEGKAPYIASMALRRLYMDRMVDEDELHEFTKLFCDLDEEFECGCYEVHHQVDETLD -AGKDKAKENKDKQVSNPATGGLAKAKDVNAGASGTHTVPRIKAITSKMRMPKSKGAVALNLNHLLEYTPQ -QVDISNTRATQAQFDTWYEAVRTAYDISETEMPTVMNGLMVWCIENGTSPNINGVWVMMEGQEQIEFPLK -PIIENAKPTFRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLVRNLRDMSLARYAFDFYEVTSHTSVRAREA -HIQMKAAALKSSQTRMFGLDGGIGTQTENTERHTTEDVSPNMHTLLGVRNM - ->YP_008719788.1 P1 protein [Pokeweed mosaic virus] -MATILFGSFPVDMAPYLNGVSMSPPPTRYLRAAEIPQPEEEEKIDVLEEFSRRVNAERCNRTFMKQKHVM -KGRYSQWVNFTKRELREQARKKRDEEVRKIFLSQPDSVLTRISIARGPTPSEVESQTPPRRPLNRSKRVK -KPQTMKQVSMDTECTEALIRCVRKIARKNELTVEIVGKRAYKISPAKFRGCNIPRVHVHHMDGKKRSIDL -KIHPRDTEFLNICMRQFVTKQSVTTDQLRNGDSGLMFLRNNVMGTISRSRDWFIVRGSHEGKLYDATIRV -TDAVRRTMNHY - ->YP_007354897.1 coat protein [Brugmansia mosaic virus] -SAEEPSTTDAGKGNKSTKERKEVAAPTNKEANVSKGKEPDVNAGSTGTYNVPRIKAITSKMRMPKVKNQV -VLNLGHLLEYKPAQIDISNARSTQSQFDNWYSEVQKAYDIQDSEMQTTMNGLMVWCIENGTSPNINGVWT -MMEGEEQVEFPLKPVIENAKPSFRQIMAHFSDVAEAYIEMRNKQEPYMPRYGLVRNLRDMSLARYAFDFY -EVTSRTSVRAREAHTQMKAAALKSSQTRMFGLDGGISTQEENTERHTTEDVSPNMHTLLGVRNM - ->YP_007354888.1 P1 protein [Brugmansia mosaic virus] -MEFVKETILFGTFECTIERSVTIAPQAVAVATKATPTTEKANVDPFAKLEQQLQPYLAKRQFATIRRKTN -GTLVYKHMNEKKRERKIRAAERKRKEEEAFQKAGPYFVTTITIAGGPAPSAELTERAVKKPLHKTPSAKV -KKQFKRVALDTQQLNALIKKVRKIAADNELHIEMIGKKNQSIHYKKRASTTYAYADVAHLSGKTRRVDFV -TEEWCKEYITVLAKNAAWNKKIHVNNLHKGDSGLILNSQSVVGPQGRCFDGTFIVRGVCDGVLIDARSKV -TESVMLRMIQY - ->YP_007354880.1 polyprotein [Brugmansia mosaic virus] -MEFVKETILFGTFECTIERSVTIAPQAVAVATKATPTTEKANVDPFAKLEQQLQPYLAKRQFATIRRKTN -GTLVYKHMNEKKRERKIRAAERKRKEEEAFQKAGPYFVTTITIAGGPAPSAELTERAVKKPLHKTPSAKV -KKQFKRVALDTQQLNALIKKVRKIAADNELHIEMIGKKNQSIHYKKRASTTYAYADVAHLSGKTRRVDFV -TEEWCKEYITVLAKNAAWNKKIHVNNLHKGDSGLILNSQSVVGPQGRCFDGTFIVRGVCDGVLIDARSKV -TESVMLRMIQYSTADNFWKGLESKWNSYKASQNHICEPTFSVNKCGEVAAIMTQALLPCQKLTCGKCASQ -FTELAKTDLMIQLHASLTTGIERMHDRAPEFTHVIKLLQTFYNFSDAATGGSEIFDEIFKLIGARQQSPF -THLNKLNEFFLKGHENSSEDWIEARDNLVALVRFQKNRTDNIKKGDIASFRNKLTAKAHYNLYLSCDNQL -DKNANFLWGQREYHAKRFFSNFYMEIDPTLGYASYEDRINPNGSRKLAIGNLIVPLDLADFRKKMGGIDT -QQPATSKKCVSLVDGNFVYPCCCTTMDDGSPVRSMVYSPTKKHLVVGNSGDSKYVDLPKGDTEMLYIAKD -GYCYINIYLAMLINVREEEAKDFTKKVRDIFIPKLGKWPTVMDLATTCAQLRIFYPDVHDAELPRILVDH -NTQTCHVVDSYGSLSSGYHILKASTVSQLTLFANDDMDSEIKHYRVGGFELESQYCASRSIDARVQIHDE -PQRCGVSEFHAMKLLLKGIYKPEVMAELLVDEPYIMIFSILSPSVLIAMFDNQAFEQAIKIWIHKEQSIA -LIATILSNLATKVSVSDVLTRQLQLIENTSEQLLDATCEGFRMNLAYQSALTLLARMRERAKSNTELIVG -GFDSLDYDIIYTMEKNYQDLLLEQWRALTWREKLSAGWRVRKQKSSLQKQRLVAKTVGLKETFAFSPKQY -VEGAIQRTQSTTKKVKERVWQFIDDKCVNMSSYIVSVIINRIPSARVCISSLFLMGGLLNIMNATHRLML -DTQRDKMHLEMLEQTRKENACDELYVTLERKLGMVPTWEEYCDYVKQINPELFQFIEDNYNPHQVVHQKS -FDELKKLEHIVAFISLVVMVFDAERSDCVFKTLNKFRGIVGSLNSNVHHQSLDDIANTFEDKNLVVDFEL -NDDVLQSNVGNEIHFGTWWEEQVNRGATIPHYRTEGKFVEFTRATAAKVASDIAIGSEKDYLIRGAVGSG -KSTGLPYNLSSFGNVLLIEPTRPLAENVYNQLTGAPFFLKPTMRMRGNSVFGSSPISVMTSGFALHFFAN -NITHLQEIDFIIIDECHVMDASAMAFRSLIHAFHARCKVLKVSATPPGREAEFSTQHPVKLIVEESLSFK -SFAEAQRSGSNCDVIQHGNNILVYVASYNEVDQLSKLLTDKGFAVTKVDGRTMKHGSSEIVTTGTSLKPH -FIVATNIIENGVTLDIDVVVDFGMKVSPFLDVDNRSISYNKISISYGERIQRLGRVGRFQQGTAVRIGHT -EKGLVEIPQIISTEAALYCFAYNLPVMSSGVSVSLLSKCTIKQVRVMHNFELSPFFMYNFVSFEGTMHPV -IHEVLKKYKLRDSMISLSESAIPYRASSEWMTAGDYSRIGVKLDIKEDVRIAFHSKDIPPRVHEQLWEAV -LKYKTASAFPTIRSASISKIAYTLSTDLYAIPRTLALVEKLIEEERTKQYQFKSLIDNGCSSMFSIVGIT -NVLRARYSKDFTTENIQKLESVKAQLKEFHNLNGTGDEINLIKKYESLQFVHHQSKRDLIKALALRGIWN -KSLLAKDLIVACGLAGGGIWMLYAWFMNRMSAVSHQGKNKSKRIQALKFRKARDKRMGYEIDNNQDTIEE -YFGSAYTKKGKGTGTKVGMGKTNRRFINMYGFEPGEFSYIKFVDPLTGAQIEENVYADVSDIQKQFGEIR -GDLLEKDELEPQHIYGNTTIQAYFVKDWSDKALRVDLTPHNPLRVSDKASSIMKYPEREGELRQTGKPVE -VNVKDIPKEKVTVAHEAKALLKGLRDYNPIAQIICKLTVHSEAGSTSTFGLGFGGLIIANHHLFKSFNGT -LEVRSHHGLFKVPNLKSINVKPLTGRDIIIVRMPKDFPAFPQRLHFREPNDAERVCLIGSNFQERYISTT -VSETSAIHPVQRSTFWKHWITTDEGHCGLPLVSTHDGYVIGLHSLANNRNSENYFTAFDSKFEETYLRNT -ESVDWVKDWKYNPDTVLWGPLKLTKDTPSGMFKTTKMIEDLFAYDLEEVREQGENSAWMFNALKENLIAV -AYMKSQLVSKHVVKGECILFSRYLDENKEAKEFFQPKMWAYGKSLLNREAYIKDLMKYSKPVEVGVLDCD -AFEEATSRVIIYMQQHGFRKCTYITDEDAIFSALNMNTAVGAMYGGKKKDYFEKFSQEEKAEILKQSCLR -LYKGQLGVWNGSLKSELRTKEKIEANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSMNIDCCWTVGMTKFY -GGWNKLLTAIPDGWVYCDADGSRFDSSLTPYMINAVLAIRYAFMEDWDIGFKMLQNLYTEIVYTPISTPD -GTIVKKFRGNNSGQPSTVVDNSLMVVLAMNYAFVKEGFLFEEVESICKFFVNGDDLLIAVRPDKEDFLDK -LQKHFLELGLDYTFSSRTRDKTELWFMSHCGISVEGTYIPKLEEERIVSILQWDRASKPEYRLEAICAAM -IEAWGYPQLIHEIRKFYSWMLQQPPYKDLASEGKAPYISELALKKLYLNTDIQSEELNAYLKLFAELDDE -FECAEYDVYHQSAEEPSTTDAGKGNKSTKERKEVAAPTNKEANVSKGKEPDVNAGSTGTYNVPRIKAITS -KMRMPKVKNQVVLNLGHLLEYKPAQIDISNARSTQSQFDNWYSEVQKAYDIQDSEMQTTMNGLMVWCIEN -GTSPNINGVWTMMEGEEQVEFPLKPVIENAKPSFRQIMAHFSDVAEAYIEMRNKQEPYMPRYGLVRNLRD -MSLARYAFDFYEVTSRTSVRAREAHTQMKAAALKSSQTRMFGLDGGISTQEENTERHTTEDVSPNMHTLL -GVRNM - ->YP_006522440.1 polyprotein [Arracacha mottle virus] -MKANINHKANEFLSSQAIKQSKFQSFSISDNPMASALEIFQGYFEMDTFCVGQITCGYLTPRVEPVTPET -VVTEMTIDAAGQLVEKTPLIEINEEKVEKAFAGLEKQLAQQFERRSTSKIVKTAKGHYRYRHLSDRAHMK -VCAKKEQLCNEERAFQMAPPVTPETVVTEMTIDAAGQLVVKYPWREAQATSKRMKERIVRKKIALDEAGF -KKLLKDLRKIMQEKQMNLEVVAKRQVRCAFAQFGKHSRLKIHTKHEDGVKNKIDLRIDPRCIPIICDLAK -GAGWGCAIQSNLLARGASGFVLKPDLIKVAHSRYKDNIFVVRGMSEGKLYDARVKITLHVVMSMTHFSSS -DKYWKGYTRSWELIKADTNHHCEAHYSIENCGEVGALLTQIFIPSGKITCDMCARAVPDSIGHKYKDMVR -HRLLDLDPIIAQNISHHKGFETAIAHIKGMVKVNKRNDAIFEQVFKQIGNLRKEPFIGLNKLNEFLYKGS -SATEEDWEEARQTLLTITRHMKDFVDAAKHGDISQFRNKMPSKVANNEFITCTHQLDKNAGFIWGQREPH -AKRFFNNYYKQLDPSKGYGAYEVRKNPNGIRKLAIKSLIVSMNLHEFRQKMRGEFHKQPPLSTRCVGRLE -GSYIHNCSCITNDDGSAFETPMYVPTRDQLVVGTSTDPRHIDLPKPQDENLLMVEDGYCYINIFIAMLVN -VREEMAKDFTKMVRDEIIPKLGKWPSLSDVATTCAQLRIIFPTVYNAVLPRILVDHDLQMCHVLDSYGSL -TSGYHVLRVVTVNHLIAFANEDLESEIKFYRVGGDFKGENKYQHQEDSAISMLIKGVYRPHLLHDVLEME -PHLLLLSILSPTVVIALYNNKHFDEALKLWLRKDQSISQMLLVLKTLAKKLSVAESLQAQMQLIETCTPS -IRSILYMGPHTEHSYVLALQMIERLANRKETNQVIRDLGYIDFANSAQSIIEKSYADQLEASWHALSLSE -KCSATYRSLQHKEDTTQWLRRLRRKDLKVPLSSSVIAYCGRMRTSAKGLVENSYSRTRGYIRDTMRKSLV -IMLRSTVRLFPFIEICTNVAVVLGVFIQVSIVGKKILTYYTKDKEELCNLKEDRMAQACKALHIALSKAL -GRDPTLQEYFDYLGLMSKELEEFARDQLQDMVEHQKSTLEVQKLEQIIAFVVLVFMMFDAERSDCIFKTL -NKLKGVVATMDRQVEHQSLDDIVDTFELKNEVIHFDLEDEIKVPHVNNQKTFRQWWSNQIDNSRTLPHYR -TEGAFMEFTRARAAAVASDIAHSDGLDFLIRGAVGSGKSTGLPSCLSKFGRVLLIEPTRPLAENVHRQLS -SDPFFLKPTLRMHGVNVFGSSPISIMTSGFAFHYFAHNPMQLEDYNFVIFDECHVIDASAMAFRSLLHEH -HSGVKLLKVSATPPGRETDFQTQHPVEIIIEESASHNAFVSALGSGSNLDVLRKGFNILVYVASYNEVDT -LSNLLVNKGYMVTKVDGRTMKHGSVEVNTKGRKGKPHFIVATNIIENGVTLDIDTVVDFGTKVSPYLDVD -NRCIVYNKHSISFGERIQRLGRVGRFKPGVAVRIGHTEKGLVEIPTMIATEAALLCFAYNLPVMTANVSQ -SLVDMCTIQQVRTMHHFEITPFFMVNFVANDGSMHPAIHDLLKKFKLRDSEIPLREKSIPYTASTMWMTA -KEYERIGHRTGLHEDTRIAFGAKDIPSRLHEELWECVQQYKSSSMFSSLPRSCISKVAYTLKTDVYSISR -TLGFIELLRENELEKQAQFRNLATDSFTSHFSMLGLLNAARSSRMVDHTRDNLDRLENIKNQPLEYHNLR -DSVNQTDLITKFEALQFVHHQSTNDLSKAIGLKGVWNKKLLARDLIIAAGVVAGGSWIVYECFKQKVTRV -MHQGKSSNKKRRIKELRFKNARNNQIERIMNDDDTTMAEYFGSAYTAKGKQGGRTKGMGKKNRTFISMYG -FEPTEYSYIKFMDPLTGKVIEENTIYADMQAVADEFAAERTRLMEADELDFETHRNRQTINAFFMKDGNT -RKALKVDMTPHDAFKVCKNKATIAGLPERQGEFRQTGEAKEVNLSDYPSIVDHESQTLLKGLRDFNPVSQ -VVCKLTNESDGHVTTLYGVGFGPYIIANQHLFTRNNGCLKVTSHHGTFVMPNTTQIQVSPCSQRDIVIIK -MPKDFPVFPRKLKFREPVDGERVCMVGTNFQERYLSSTVSESSAVHPIRSSHFWKHWVSTKNGDCGLPFV -AVSDGALVGIHSLGSTSERENYFVAFDNEFSEKMKLTPEQMQWERHWKYNANNVCWGGLVLKDNQPNGMF -QPIKALQDLSKDILDFVGFQSKESRWMLEVLEDNLKAVAQLPSQLVTKHVVKGECALFRTYLNVVAEARE -FFEPKMHAYGKSKLNREAYIKDLMKYAQPITVGVVNTDDFDEAVSRVILYMRQRGFKECTYVTDHTEIFR -SLNMKAAVGAMYGGKKADYFSKYTEEDKERILYESCERLFLGKMGVWNGSLKAELRSKEKIEANKTRTFT -AAPIDTLLAGKVCVDDFNNQFYSMNLNCCWTVGMTKFYGGWNTLLSQLPEGWVYCDADGSRFDSSLTPYL -INAVLAIREAFMEEWDIGWHMLRNLYTEIIYTPISAADGTVLKKFRGNNSGQPSTVVDNSLMVVLAMHYS -FIRNGIPFEDFEKVCRFFVNGDDLLIAVEPSHEHILDNMAAQFSELGLNYDFSSRTRSREDLWFMSHCGI -SVEGQYIPKLEEERIVSILQWDRATLPEHRLEAICAAMVEAWGYPELLYQIRKFYAWVLTQAPYSDLALE -GKAPYIAETALRKLYTGTDASSEEIQVYLRAFSEIDDEIECGGFEVFHENQHSLVYHQGDNQTVDAGKSK -VSSSLTPPTTLLSSGDQEEGKQLKKVKNDRDVDAGSSGAFSVPRLKGLSEKMRLPRIAGRNLLNLNHLLT -YMPDPEELYNTRATHEQLNTWYEAIKREYDVGDDGLGIMLNGFMVWCIENGTSPNLNGEWTMMDGDEQVT -YPLRPIVENAQPTLRQIMAHFSDAAEAYIVMRNAKEPYMPRYGLKRNLRDKSLARFMFDFYVITSKTPDR -AREAHLQTKAAALRTTQNKMFGLDGSVGNSEESTERHTSEDVNSNLHSLMGVRNM - ->YP_006423956.1 coat protein [Bidens mottle virus] -AKDEEKIDAGDPAKKKEQIPPPENKAITKGKDKDINAGTSGTMTVPRIKAITAKMRLPKARGSVVLNLDQ -LLEYRPQQVDLSNTRATQEQFSLWYEYVKNSYDVSDTEMATLMNGLMVWCIENGTSPNINGEWVMMDGES -QVTYPLKPVIEGAKPTFRQIMAHFSDVAEAYIELRNTKEAYMPRYGLIRNLRDMSLARYAFDFYEITSRT -PNRAREAHIQMKAAALKSAQSRLFGLDGGISTQQENTERHTTEDVNSDMHTLLGVRNM - ->YP_006423947.1 P1 protein [Bidens mottle virus] -MATNFSTIHFGSFQCKIQHPAVSVSVVAKQPPPPRTQQPCDPFAKLEEKLEAYFDKRKYATIKKLKNGTF -VYKHKTDAQIKRIKAAEARKEQELFSFKTSPPTIISSISIGGGLQPSAMPMEAQTHKKIHTTPSARKRCV -LKKVSLTDTQLLNLVKQVKNIGVDKNLTIEVIGKRRNVIRYKDFRTNVGAFIEVRHELGKRARVDLRIPN -SNIPFVQILAKTNAWGMKVKTLDLRRGDSGVILNPKKLHGKQGRSAHDMFIVRGNCEGQVFDARSRVTFS -VMSRMTHF - ->YP_006401489.1 coat protein [Pepper severe mosaic virus] -ADTTVDAGEEKEKAGSGKLKKVEGSSSDVKATDKDVNAGTIGTHTIPRIKAITGKMRLPKSKGKTALNLD -HLLEYEPQQIDISNTRATQSQFDTWFKAVQTAYDITEDEMPTVMNGLMVWCIENGTSPNINGVWVMMDGE -EQVEYPLKPIVENAKPTFRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDVSLARYAFDFYEITSR -TPSRAREAHIQMKAAALKSVQTRMFGLDGGISTQEENTERHTTEDVSPHMHTLLGVRNT - ->YP_006401480.1 P1 protein [Pepper severe mosaic virus] -MFGSIPVTLVACASQSGGAKVSIAPKALEQRIGAVVCAPQSSEKNPFAAIEAQCAQRLKHQTYATVRRGR -GGVLQYRYKTEKQMAKIDAAILKRKLEEESFQHAPPYVISTISIAGGQMPSMTTRACTKGVIHTTPSCKV -KRNQKNFVKMDAKMLSHFMKQVKQIFAAKTATVEIVRKRATKLRYSRLKYGTRAFVVTRHETGVKRRSIS -IVTRDKRSHRCTSHVRMHGEIESRHLIYQRVTAAQFEYTQDERKLWKILQQVFIVRGEHEGKLYDARSRV -SQSVMEQMTHF - ->YP_006280740.1 coat protein [Tomato necrotic stunt virus] -SGPGDVDTTKALDAGKKPAATQNKDKAVVNAPQSSNQIGKEADVNVGTKGTFAVPKVKSIHEKMLLPKAG -GKVVLNLDHLLEYEPSQINISNTRATMQQFNKWYDAVKTEYGVEDDGMGILLNGLMVWCIENGTSPNVNG -VWVMMDGEEQVEYSLKPIVENAKPTLRQIMAHFSNVAEAYIEKRNLKEPYMPRYGLVRNLRDPSLARYAF -DFYEVTSKTPNRVREAHMQMKAAALKSTQTRLFGLDGGVGTQEENTERHTTEDVSTDMHTLLGVRNM - ->YP_006272948.1 polyprotein [Tomato necrotic stunt virus] -MAMCFEMPVDMPVIQFGSLQYEVEILTEVFPQDANSLGSIEVTPVTTSVVQAPAAPIGGSSTQSEEVDYT -ESTFLAFSKLEKDLAPMFQARSLSRVRKQRNGTCYYKRKSPMAIQRHQKRQARLAKEKDEFLNSPPSVIS -KITIAGGPAPSAQLETPAVKWPLHKTPSRRVRVVHRPVSLSDREFGSFLAQLKGIMSQKNMAFELIDRKP -VRGKYRRACTNPEVIMELPHMHGVKRQRELKFTEWQSQLVLTLAKYGSWESRRHTSYFKKGDSGLVINEP -MLTGPRGMSVKGLFVIRGAHEGKLYNALTRVTSSVLHTMTHYSEAETFWKGYNKEWINLKAQTDHECVRH -YSVEECGQVASIMTQALFPCGKITCLKCSEKVFMSSQDELLESLRINADLAEKRLMQSDLNFPHVQCILQ -TVAQASTTANDDQKIFDTVFRMVGDKQQGPFKQLNELNQFFGRGTYNDKAAWTRARNSLLELAQFQKNRT -DNIKKGDLNSFRNKLSGKANYNLSLSCDNQLDANANFRWGQREYHAKRFFSNFFEKIDPTKGYNNYIIRR -NPAGARKLAIGNLIVPLDLAEFRARMKGEFVRQPGISRKCVSTKDGNFIYPCCCTTNEDGSPIESGLYAP -TMNHMVIGNSSESKFVDLPKGETDDLYIAKDGYCYINIFLAMLVNIRESQAKDFTKKVRDLCVPKLGEWP -TVIDLATTCAQLKIFYPDVHSAELPRILVDHVTQTCHVVDSYGSLTTGYHVLKASTVAQFVLLASDELDS -DIKHYRVGGKKPFGRAPDLSTLESDLEVRTGEKSPLEVSSWNAIKLLIKGIYRDEVMKQILLEEPYLLVV -GAVSPGVLMAMFNSGVFEKAMRTWISHDQSLVVIATYMSMLARKVSVADSLMQQHQIIQEAAGPLMEIMV -NGVKTQFSYYTGLTTLQVLSLRGGADKELILGGYVSNEASVLKVMEKSYLAILEEEWSALSWLGKLRAAY -SSYKQQKSIVKPLHPTVLADFEGRYNISPRVLVTNASEVSKKAICTGYSRVTGFVHNRVVNTASYFINVI -IRKLPKLNTVFNTLFVFSAFFNLFSILYSISSDIRRDRDRIANMEFNMKEAQCDDLYRALEVKLGRRPDL -DEFVSYVKGISQELGEFADMVYQPLEEEVHHQKVTEGTKKIEQIVAFMTLVMMMFDAERSDCVFKTLNKL -KSTISTMDYEVRHQSLDEIADTLDDKKLTIDFELSDDILDKHGIPMTTFGDWWINQIERGHLTPHYRTEG -KFMEFTRDTALQVANDIIHSNESDFLIRGAVGSGKSTGLPFNISRKGTVLLIEPTRPLAENVFKQLGGAP -FFAKPTLRMRGVSHFGSSPITVMTSGYALHYFANNIKQLHCIDFVIIDECHVLDASAMAFRCLIKEYHTR -CKVLKVSATPPGREVEFKTQFPVDLRVEESLSFRDFVSALGTGANSDVLTCGVNILVYVASYSEVDSLSK -LLIEKKFLVSKVDGRTMKHGDVEIATKGTKTTPHFVVATNIIENGVTLDIDVVVDFGRKVQPVLDTDNRS -VAYNKVSVSYGERIQRLGRVGRIKPGTALRIGHTEKGLVEVPAMIATEAALYCFAYNLPVMSGGVSTNLV -HNCTIPQVKTMHCFELSPFYTVNVVAFDGTMHPEIHRLLKQYKLRDSEIPLREQSIPYKASASWLSVRDY -ERIGVRLALAPEVKVAFHIGSIPDTLHEKVWEATQLFKGCTQFPSIRSSSICKIAYTLRTDVEAIPRTLA -ILDKLIEDERAKQCQFRSIIDGNCSGYFSLLQITNTLRAKYAKDHTSENIQKLESARTQLKEFQNLGTCV -QDLNVLKQYEALQYVHHQSKNEMSKGLELKGKWNKSLIARDGIIVTSVIVGGVWMLYSWFTNSVNEVRHQ -GLNGKKRVKALKFRKLRDKRMGTEVYGDDATIEDYFGSAYTKKGKGKGTVKGMGHKTRRFINMYGFDPAE -YSYIKFVDPLTGHQIEENVFADILTIQEQMGDARNEAIRADTLESQHVYAKPGIQAYVIKEGAKTALKVD -LTPHVPLKMCDNTNAIAGFPDRAYELRQTGPAKEIDIKDVPKDEVSHESKSLMKGPMDFNPIAKNICRIT -NDSDGIPISTYGIGFGGYMIANQHFFKRNNGLITFKTHHGVFKAPNSTVLKIFPIKGRDLVVVELPKDFP -VFSNKIHFRSPKDKERVCMVSSIFQEKSVTSTVSESSPIFPVAESGFYKHWISTDDGSCGLPLVSVVDGK -IVGIHSLANNYVNENYFTAFEPHFETNVLRSPEALEWIKHWKYNPENISWGYLSLNDSAPSGLFKTTKLV -TDLVEDEQNSVRWQGTSRRWMFDALQENLQAVAYVPSQLVTKHVVKGKCHHFDQFLAEDKGAREFFKPKM -DAYGKSNLNREAYIKDIMKYSKPITVGVVDCPIFEEAVCRVIMYMRVKGFKECTFVTDEESIFNALNMKA -AVGALYGGKKKDYFEKFTPEQKEQILRDSCRRLYEGKLGVWTGSLKSELRPMEKVLANKTRTFTAAPIDT -LLAGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWNKLLTALPDGWLYCDADGSQFDSSLTPYLINAVLG -IRLAFMEDWDIGFKMLENLYTEIIYTPISTPDGTVVKKFRGNNSGQPSTVVDNSLMVVLAMNYCFVKEGY -AFEEVDSICRFFVNGDDLLIAVNPTHESLLDRMGDHFSTLGLNYTFDSRTKNKEDLWFMSHCGISVEGMY -IPKLEEERIVSILQWDRAALPEHRMEAICAAMIESWGYPELTHQIRRFYAWLLEKEPFSSLVSEGKAPYI -AETALKRLYMNNPFEESELDRYLKAFADMDDEFECCTYEVYHESETQERFSVRHQSGPGDVDTTKALDAG -KKPAATQNKDKAVVNAPQSSNQIGKEADVNVGTKGTFAVPKVKSIHEKMLLPKAGGKVVLNLDHLLEYEP -SQINISNTRATMQQFNKWYDAVKTEYGVEDDGMGILLNGLMVWCIENGTSPNVNGVWVMMDGEEQVEYSL -KPIVENAKPTLRQIMAHFSNVAEAYIEKRNLKEPYMPRYGLVRNLRDPSLARYAFDFYEVTSKTPNRVRE -AHMQMKAAALKSTQTRLFGLDGGVGTQEENTERHTTEDVSTDMHTLLGVRNM - ->YP_003902969.1 coat protein [Brugmansia suaveolens mottle virus] -STTSTPAIDAGKEAKEKSVAMPPAQTKETTSSSKPRDADVNAGTSGTYSVPRIKAISNKMRMPRSKNNAV -LNLEHLLEYKPSQLDISNTRSTQSQFDIWYSEVQKAYDIQEAEMGTVMNGLMVWCIENGTSPNISGVWTM -MDKDEQVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKNEPYMPRYGLVRNLRDMSLARYAFDFYE -VTSRTSVRAREAHIQMKAAALKSTQFKLFGLDGGIGTQEENTERHTTEDVSPNMHTLLGVRNT - ->YP_003902960.1 P1-Pro [Brugmansia suaveolens mottle virus] -MAFLIPNIMFGDFMCSQDGQGCILLKERPLTAPPAALMAPMPSKPKEVKKVDPFAKLEQKLAPYLEKRAL -AKVRKGPNGLLLYRKPTETAYQRHLTKKERLRREELAFQNADPYLVTKIVIAGGEKVTPTNINVGPKKPL -NKTPSMKKKVSYKVPTITMEKLDWLIRQVKNIAIENNLKIEVIGRKKHKIRYASHKNKPIACLHLPHMDG -VKKAREVRLHDHEAQIISILANTNTFGARIWDKHLEQGTSGFIFNGELCCGQFGRCKNGMFIVRGRLDGM -MVDAQSKVSYHVMHRMHHY - ->YP_003900466.1 polyprotein [Brugmansia suaveolens mottle virus] -MAFLIPNIMFGDFMCSQDGQGCILLKERPLTAPPAALMAPMPSKPKEVKKVDPFAKLEQKLAPYLEKRAL -AKVRKGPNGLLLYRKPTETAYQRHLTKKERLRREELAFQNADPYLVTKIVIAGGEKVTPTNINVGPKKPL -NKTPSMKKKVSYKVPTITMEKLDWLIRQVKNIAIENNLKIEVIGRKKHKIRYASHKNKPIACLHLPHMDG -VKKAREVRLHDHEAQIISILANTNTFGARIWDKHLEQGTSGFIFNGELCCGQFGRCKNGMFIVRGRLDGM -MVDAQSKVSYHVMHRMHHYSTSSTFWDGLESQWKRMRPSKDHVCEPTYPIKKCGEVAAILTQVLNPCYKM -TCGSCSAKINETTLSEHVCNIHTAATVGYYHMQEVAPEFTHVTKLLEVLIDLTDSKGDATPIFEKIHKMI -GSKTQSPFTHLNQLNEFFLKGCENKGDDWIKARDSLLELSRFQKNRTDNISKGDIGSFRNKMSSKAHYNY -YLSCDNQLDKNANFLWGQREYHAKRFFSDFFSVIDPELGYENWAIRKNPNGERKLSIGNLIVPLDLMEFR -KKMCGEDTNQPLVGKQCVSMKDSNFLYPCCCVTRDDGSAVLSTFYAPTKKHLVIGTTGDQKYVDLPKGES -ESLYIAKDGYCYINLFLAMLINIREEDAKDFTKKVRDLIIPRLGKWPTLLDVATTCAQLRIFFPDIHDAE -LPRILVDHNTKTCHVVDSFGSISAGYHILKAATVAQLALFADDALDSEMKHYLVGGSSSDGEYTPIPTEE -VKVTVPLEPRLCGINEHQAVTLLLKGIYKRNVMKELLMDEPYIMLFSILSPSVLVAMYDNRAFEQAINIW -INKDQSIALIATILSNLAEKVSLAETLTRQTLLIENSAEQLMDATFRGFQLSLAYNASVDLITNLREKAR -SNCELTKGGYTDASNDFAESMEKNYQSLLQTHWNELSWRERLSAYWFSRKQKRQLTKLLRKERTANLRGV -FEYSPKPHIIQLAHLTKQKMDGAVRVTKGYIDNKCVHMKSYMFSTLLNRLPNAKIIISSVFIIGALLNMA -GTMNFFITEHENNKAAVARMALWDQENACHELYTALERKLGQRPSWDEYCEYVNKTNPSLREFIEKNYNC -DNVAHQRSTPDLKKLEHIIAFTSLLIMLFDTERSDCVFKSLNKFKGLVSSMDSDVRHQSLDDIVNNLEDK -NMTIDFELDDDVHQTISGIDTTFGKWWDTQVEKGFIIPHYRTEGLFMEFTRDTAAKVASDISISTSTDFL -IRGAVGSGKSTGLPYHLSQSGSVLLIEPTRPLAENVYKQLSGGPFYQKPTLRMRGNSVFGSSPISVMTSG -FALHYYANNLQQLQEIRYIIIDECHVLDASAMAFRSLIHAYHGHAKVIKVSAAPPGREVEFTTQYPVKLV -VEESLSFKHFVEAQGTATNCDMIQHGNXLLVYVASYNEVDQLSKLLTNRGFMVTKVDGRTMKHGDLEIIT -KGTKEKPHFVVATNIIENGVTLDIDVVVDFGQKVSPYLDIDNRSIAYNKVSISYGERIQRLGRVGRIQKG -TALRIGYTEKGLIEIPQMISTEAALYCFAYNLPVMSSGVTTSLLSKCTIKQVRVMHNFELNPFFTFNFVA -HDGTMHPAIHEVLKKYKLRDSVIPLSESSIPYRASSDWLDVADYARVGVKLDCEEKTKISFHIKDIPARL -HEKLWELILKFKTCAAFPTIKTASISKIAYTLSTDLFAIPRTLGLIENLIEDERIKQYQYKSLIDSGCSS -MFSIVGLTNSLRARYTKDHTSENIRKLEAVKAQLMEFHNLSGSRDEVNLLKKFESLQFVQHQNKNSLASA -LQLKGLWKKSLAARDIIIACGVLGGGIWMLYEIFRGKMEKVTHQGMSKSKKIKALKFRKARDKRAGFEID -ANEDTLEEYFGSAYTKKGKGKGTTVGMGRTNRKFVNMYGFEPGQYSYIKFVDPLTGAQIDDNVYADILDI -QRQFGEIRDQKVLDEELEHQHIRMKPGIEAYFIKDWTTKALKIDLTPHNPLRVSDKASSIMKYPERESEL -RQTGPPQEVDLKDLPHLEVEHEAKALLKGLRDYNPIAQTICRLTVSSEEGSMSTYGLGFGGLIVANHHLF -RSFNGSMEVKSHHGLFRIPNLMVLNIRPIKGKDIIVIKMPKDFPPFPQKLKFRSPKEEDRVTLIGSNFQE -KFISSTISETSATHPVARSSFWKHWISTDDGHCGLPMVSSYDGYVVGLHSLTNTRNSENYYTAFDDDFLN -EYLLTPSNVEWVKNWKYNPSTVLWGSLKLTQDTPSGMFKTTKMIEDLFAYQENLVRERGRKSSWMMDALK -DNLVAIAYMKSQLVSKHVVKGECRYFAQYLEQDATAKTFFKPLMDAYGMSLLNKEAYIKDVMKYSKPLDI -GVVDCNAFEKSVVRVITYLQLKGFRQCTFVTDEEEIFKALNMNTAVGAMYGGKKKDYFDGYTSEQKEQIL -RESCLRLYKGQLGVWNGSLKAELRPMEKIKENKTRSFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWSV -GMTKFYGGWNKLLTALPEGWVYCDADGSQFDSSLTPYLINAVLAIRCTFMEDWDIGHKMLEHLYTEIIYT -PISTPDGTIIKKFKGNNTGQPSTVVDNSLMVVLAMNYAFEKEGISEKEIDSVCKFFVNGDDLLIAINPAH -ESMLDRLQAHFSELGLNYDFSSRTRDKTKLWFMSHCGISVEGMYIPKLEEERIVSILQWDRAVIPEYRLE -AICAAMIESWGYPQLTNEIRRFYSWLIEQEPFAQLAAEGRAPYISELALKKLYLNTTIESHELEAYMQTF -AQFDEDFECGCYEVRHQSTTSTPAIDAGKEAKEKSVAMPPAQTKETTSSSKPRDADVNAGTSGTYSVPRI -KAISNKMRMPRSKNNAVLNLEHLLEYKPSQLDISNTRSTQSQFDIWYSEVQKAYDIQEAEMGTVMNGLMV -WCIENGTSPNISGVWTMMDKDEQVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKNEPYMPRYGLV -RNLRDMSLARYAFDFYEVTSRTSVRAREAHIQMKAAALKSTQFKLFGLDGGIGTQEENTERHTTEDVSPN -MHTLLGVRNT - ->YP_003778216.1 polyprotein [Pepper yellow mosaic virus] -MEIQAKSIMFGSFRCTFQHPCVNKAVIATETKKVFMSTPHIVDPFVQLAKDLEPYLQRRMDATIRTKANG -MRMYRHKTDKQLQRIYKMREQREAERMAFLKADASIITTISIAGGSVPSAMQEFVVHKRPLNKTASAKQR -RTFCPLKFRSGHFEKLLKDVKNIAVANDLAIEVIGKRTNRVTYVRNNSQVVARVELQHMNGKIRRVDCVM -PPSNARIVSYLARTTTWGSRINCDKLVAGDSGKVFQSSLCVGNIGRSFNNLFIVRGRYEGKLFDARSKIT -NSAFNGMQHFSNAENFWKGLDDNWARFRDETDHVCTPNYSVNECGKVAALVTHSILPCYKITCAICAKKF -VGKTNTELQEEMNENLLRGLTRLQAEASNFIHVRRLFEFLQNMIAPTGDATDAFDAVFKLSNDKLQSPFK -YINVLNDFFLRGKENNATQWGEARNSLLELARFQKNRTDNVKKGDIGSFRNKLSAKANYNFYLACDNQLD -KNANFLWGQREYHAKRFFLNFFEEIDPNKGYAAYEYRLGPNGSRRLAIGSLIVPLDLSEFRNRMKGEFVK -QPGTSKKCVSMRDGNFLYPCCCTTLDDGSAIESTLYAPTKKHLVIGNSGDAKYVDLPKGESEMLYVAREG -YCYINVYLAMLVNVKEDEAKDFTKKVRDLCVPRLGKWPTLMDLATTCAQLRIFFPDVHDAELPRILVDHN -NQTCHVVDSYGSITTGYHILKASTVSQLTLFASDELESDIKHYRVGGKLNTPAPTDADECLGGGRTQHIH -KTLMSEHHAIKVLIRGIFRPKLMRELLLDEPYIMLMSVVSPGILMAMFNNGAFEIATKLWINEKQSVAMI -ATALSNLAMKVSVAETLLQQLQIIENSSGDLLDITCDGFKLNLTYQTSLVLLHRLRDRHDGDKELNQYGF -LNYEGDVVRILEKNYLDLLAEEWQGLTWREKLSATWHARKQKRTCVKYLHPLKTADFGGMYNISPSALHA -RVVTQCKLFFTHKKRKTAEFVNNRCISISSFFLRRIFRRLPNVRVLLTSMFIIGTLVNIMGSAHLIILDS -AEHKREAERAKIRDKEDACAELYTNLSRRLGRTPTWEEYKEHLAIVNRELVEFVGDTPQSEEVEHQKSTS -DIKSVEHVVAFISLVIMMFDAERSDCVFKTLNKFKGIISSLDSEVRHQSLDTILEDFDDRNQVVDFELND -EVNNAYSGGEVHFETWWNTQVERGFTLPHYRTEGQFIEFTRANAAKVASDISHSSETDFLIRGAVGSGKS -TGLPYHLSKSGRVLLIEPTRPLAENVYKQLSGSPFFLKPTMRMRGNSVFGSSPVSVMTSGFALHFFANNL -AQLSDVQFVIIDECHVMDASAMAFRCLLHTYHATCKVLKVSATPPGREVEFTTQHPVKLIVEESLSFKSF -VDAQGNNSNADVIQYGSNILVYVASYNEVDQLSKLLTEKGYAITKVDGRTMKHGDLEIVTKGTASKPHFV -VATNIIENGVTLDIDVVVDFGMKVSPFLDVDNRSVAYTKVSVSYGERIQRLGRVGRIQPGTALRIGHTEK -GLIEIPSMIATEAALYCFAYNLPVMNGKVSTSLLAKCTVRQIHTMHNFELSPFFLVNFVSHDGTMHPVVH -EILKKYKLRDSVISLSEQAIPYRASADWMSVFEYNRIGVKLELPEDVKVAFHIRDIPPKLHEDLWKAVLK -YKTGAIFPTIKSASISKIAYTLSTDLYAIPRTLIIIDKLIEDERTKQYQYKSMIDNGCSSLFSIVGITNT -LRAKYSTDYTGENIRKLEAAKAQLKEFQNLNGSDDGMKLIRKFESLQYVHHQSKRLLAKHMGLKGIWNKA -LMARDGIIACGVAAGGIWMLYTWFTHTISNVHHQGRAKSKRIQDLKFRKARDKRSGFEIDNNDDTIAEYF -GTAYTKKGKGRGTTVGMGKSSRKFINMYGFEPGEFSYIRFVDPLTGAQIEENVYADIVDIADSFGEIRRQ -KLLDDEISVEAMEYHQTIHVYLIKDWSNKALKVDLTPHNPLRVGERFNGIAKYPERQGELRQTGAGVEVD -ISEIPKQEVVHESKALLRGLRDYNPISQAICRLTSSSEHGTCTTYGIGFGAMIIANHHLFKSFNGSLEIR -SQHGVFRIPNMMSMQVVALKGKDIIIIKMPKDFPVFPQRLHFRQPNESERVCLVGATFQEKYIAPTISET -STTHPVQRSTFWKHWISTDDGHCGLPIVSTTDGLILGIHSLANNRNSENYYTAFDGKFESEYLRNPEHAE -WVKNWKYNPNTVLWXPLKLTSSTPSGMFKTTKIIEDLFKGDTEQVREQGQNFPWMLDALQGNLQAIAYMK -SQLVTKHVVKGECIMFKTYLECDTEAREFFQPKMWAYGKSLLNREAYIKDIMKYSKPIDIGVVNCDAFEE -AICRVILYMQLKGFKECTFITDEEAIFSALNMKSAVGAMYGGKKKDYFADYTDADKYQIVRDSCLRLYRG -DLGVWNGSLKAELRSKEKIAANKTRTFTAAPIDTLLGGKVCVDDFNNQFYSKNIDCCWTVGMTKFYGGWN -RLLTALPDGWVYCDADGSQFDSSLTPYLINAVLSIRLAFMEDWDIGFQMLQNLYTEIIYTPISTPDGTIV -KKYRGNNSGQPSTVVDNSLMVVLAMHYAFVKEGIPFEEIDMHCKFFVNGDDLLIAVSPNDIDMLDNLSEH -FSELGLNYDFPSRTHDKTQLWFMSHCGISVEGKFIPKLEEERIVSILQWDRAILPEHRLEAVCAAMIESW -GYPQLTHEIRRFYSWLLEQAPYNELASNGKAPYISELALKKLYLNNNLESEELEAYLKMFAELDDEFECS -QYEVRHQADEKLAVLDAAEEDKKKRAKNEQPVDASNLKGKEKGVSTSRDNDVNTGTTGTFTVPRIKAITS -KMRMPKVGGTTILNLDHLLTYTPQQIDISNTRSTHSQFDNWYAAVKNVYDVGDAEMQTIMNGLMVWCIEN -GTSPNINGVWTMMDGEEQVEYPLKPVIENSKPTFRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRD -LSLARYAFDFYEVTSRTPTRAREAHIQMKAAALKSAQTRMFGLDGGISTQEENTERHTTEDVSPNMHTLL -GVRNM - ->YP_003778191.1 polyprotein [Bidens mottle virus] -MATNFSTIHFGSFQCKIQHPAVSVSVVAKQPPPPRTQQPCDPFAKLEEKLEAYFDKRKYATIKKLKNGTF -VYKHKTDAQIKRIKAAEARKEQELFSFKTSPPTIISSISIGGGLQPSAMPMEAQTHKKIHTTPSARKRCV -LKKVSLTDTQLLNLVKQVKNIGVDKNLTIEVIGKRRNVIRYKDFRTNVGAFIEVRHELGKRARVDLRIPN -SNIPFVQILAKTNAWGMKVKTLDLRRGDSGVILNPKKLHGKQGRSAHDMFIVRGNCEGQVFDARSRVTFS -VMSRMTHFSLAENFWRGLDTNWAKYRKSVSHTCTADFSVSDCGNVTAILTQSLTPCFKLTCGRCAQAFVN -LTFKEACRSLHEGATEGILHLDENASNFHHTKRMLEVVRELSSEVSVEDSYFDEIFRMIGSRTQSPFTHL -NALNNFFLKGKTNTTEEWRLASENLLELARFQKNRTDNIKKGDLASFRNKLSAKANYNYHLFCSNQQDKS -ASFIWGQREYHAKRIFTQYFQEIDPALGYTAYQKRRLPDSIRELATGNLIVSMDLASFREQMRGKDTQQG -GVTKSCTSSKDGNFLYPCCCVTQDDGTPVLSTVYPPTKKHMVVGNSGDSKYVDMPKGESELLYMAKDGYC -YLNIYLAMLVNIKEEEAKDFTKRIRDSYIPKLGMWPTLLDLATTCAQLRIFYPDVHDAELPRILIDHKNQ -ICHVVDSFGSISTGYHILKASTVAQLVLFADDNLESDIKHYRVGGRLSTPPPDHANNLSDFPADSSATPF -AMSEFAATKLLIKGVFRPNLMKRLLLEEPYIMMLSLVSPGVLMAMYNNGAFEMAVQLWINDRQSIATIAV -MLSNLAKKVSLADTLMQQKTIIDSSASDLLNNSFDGFQLYMTYHTSTLLLQRMRARYEGDQPLVAQGFMN -YERDVIKLMEKNYLDLLEESWRELSWREKFQSIWHARKSSRHFTENLKPAKAADFNGMYDISPRAYFTKV -LNHTQDRIKTTKQAISVYVDRKCVSVTTFLIRRILNRLPNLITIFNSLIVFSVLLSIAATLHHIITQHRS -YQKQLLGMEQMSDEAASMEIYNNLQRKLERNPTWDEFIQMAEVVNPSLAKKLMKYEQPDNVSHQGSTEDT -KQIEQIIAFVTLVLMTFDAERSDCVFKTLNKFKGTVSSLNSTVRHQSLDDIIGDFDERNGMIDFDLNDNI -HNVSSQTDETFGRWWVHQTERGHTIPHYRTEGLFMEFTRANAARVASDIIQSTHTDFLIRGAVGSGKSTG -LPFHLSNHGEVLLIEPTRPLTENVYKQLSGNPFFLKPTIRMRGCSVFGSSPVSVMTSGFALHYFANNSDQ -LNNFKYIIFDECHVLDASAMAFRSLISVYHPTCKVLKVSATPPGREVEFTTQFPVKLVVEENLSFNSFVN -ALKTLSNADVLKYGSNILVYVASYSEVDNLSKMLTDKGMKVTKVDGRTMKHGSLEITTSGTTTQPHFVVA -TNIIENGVTLDIDVVVDFGMKVSPFLDVDNRSIVYNKVNISYGERIQRLGRVGRFKEGTALRIGSTEKGL -IEIPSMIATEAALYCFAYNLPVMTSNASTSIISECTVKQVRTMHQFELNPLFMFNFVSCDGTMHPMIHET -LKKYKLHDSITPLCEQSIPYKASGKWLSVRCYEQIGVRIDACKDVKVAFHIKDIPPPLHEELWNIILKFK -HTALFPTIKTSSISKIAYTLQTDIHSISRTLARIDLLLEDERTKQAELQSLVSNNCTNMYSILGIANTLR -ARYSQDYTGENIRKLEAAKSQLREFNNIRGSADELNIIGNFEALQYVHHQSKESLASAMNLKGIWAKSLV -ARDLLVAGAVAIGGIAILWKWFRSEISLVRHQGYSKSKKIKALKFRKARDKRAGFEIDGNDDTLEEYFGS -AYTKKGKGKGTTIGMGKSHRKFINMYGFEPGEYSYIRFVDPLTGAQIEENVYADILDVQKKFGDIRTQKI -IDDELEPQATYSNQSIHAYFIKDWSNKALKVDLTPHNPLLVSERASLIMKYPEREGELRQTGQGVEVDIS -EIPKGEASHESKSLLRGLRDFNPVATVVCKLIAKTDMGIKSMHGIGFGSYLIANHHLFKTFNGALEVHTH -MGIFKAPNMTSLQVFPLQGRDLIIVKMPKDFPAFPQKLHFRGPRANERVCMVGSNFQNKSISSTVSETSP -THPIQRSTFWKHWIDTNDGQCGLPIASTHDGSILGLHSLANNNTSENYFVAFDDEFEEKHLRTSEHTEWV -KNWKYNPDKVLWGSLQLKEDKPNGLFKTTKLVSDLHESTSVREQGENTRWMYNALENNIIATNYLQSQLV -TRHVVKGECMHFSMFLSQDKEAAQFFKPLMWAYGKSKLNTEAYIKDLMKYSEPIEVGVVDADAFEEAVVR -VILYLKMKGFRKCAYITDEDAIFQALNMKAAVGALYGGKKQDYFKDFTQQDREQILRDSCFRLYKGSLGV -WNGSLKAELRCKEKIDANKTRTFTAAPIDTLLAGKVCVDDFNNNFYAKNIECCWTVGMTKFYGGWNKLLT -ALPNDWLYCDADGSRFDSSLTPYLINAIITIRSAYMEEWDIGEQMLRNLYTEIVYTPISTPDGTIVKKFR -GNNSGQPSTVVDNSLMVVLAMHYAFVREGIPYCEIEDICKFFVNGDDLLIGVNPEHEEILDRLGGHFSDL -GLNYDFSSRTRDKSELWFMSHRGLKCEGIYIPKLEEERIVSILQWDRATTPENRLEAICAAMIEAWGYPE -LIHQIRRFYKWLLEQEPFATIASEGNAPYISSLALRRLYLNEHIEDDELEAYLKTFAELDDEFECDTFEV -HHQAKDEEKIDAGDPAKKKEQIPPPENKAITKGKDKDINAGTSGTMTVPRIKAITAKMRLPKARGSVVLN -LDQLLEYRPQQVDLSNTRATQEQFSLWYEYVKNSYDVSDTEMATLMNGLMVWCIENGTSPNINGEWVMMD -GESQVTYPLKPVIEGAKPTFRQIMAHFSDVAEAYIELRNTKEAYMPRYGLIRNLRDMSLARYAFDFYEIT -SRTPNRAREAHIQMKAAALKSAQSRLFGLDGGISTQQENTERHTTEDVNSDMHTLLGVRNM - ->YP_003620392.1 CP [Freesia mosaic virus] -ATSAPEQLDAGAEAERRRKARSGETEQPIKGQEREIAKNSEKDVDVGSRASKSVPRLAKLKVRMKVPTTA -KGPVLDLDHLLEYKPDQIDLSNARATKQQFDAWFNGVQNAYELDDIQMKILMNGLMVWCIENGTSPDITG -VWTMMDGDEQVEYPLRPVIENAKPTLRQVMRHFSDMAEAYIEMRNAEKPYMPRYGLQRNLRDYSLARVAF -DFYEITSKTSVRAREAHMQMKAAAVANTTAKMFGLDGNIGTQEENTERHTVTDVSANMHSLLGVRHM - ->YP_003582554.1 coat protein [Sunflower chlorotic mottle virus] -GDNIDAGKDKKDEKKDDKNKQVASTASKDAAKSKDVNAGTSGTHTIPRIKSVTPKMRMPKSKGAIVLNLD -HLLEYTPQQVDISNTRATQSQFDTWYAAVQQAYDISESEMPTVMNGLMVWCIENGTSPNINGTWVMMEGS -EQVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLVRNLRDMSLARYAFDFYELTSQ -TSVRAREAHIQMKAAALKSAQTRMFGLDGGIGTKEENTERHTTEDVSPNMHTLLGVRNM - ->YP_003580192.1 polyprotein [Sunflower chlorotic mottle virus] -MDYATFRKFNKQTEGRFNKHHLARHNAAVKRGFVYNFDEDCYECPTCEQVFWRVEEIDNEHCCEDYLEQV -DFNYREEQQNIQDFIRKAQAAVVEATSETNVEQQTGETMMFGSFPSNIPFVSHTVPVSESSKGLDEVTNS -TLEARETVVFTVKTLSTDTPMAKCTDPFDKLDEILAKRLEKQAFATITKRKDGTLFYKYRSNKQLDKIKS -KIQKQKEEEEKFQQSPPTIITRISIAGGPAPSQVMEIGGKRGIHTVPSMKTKRAFKKQNMTDAQFTNFIK -KIKSIMAQKHASVEIITKKSTKLDYVTRSHKTCARVAVLHLKGIKRRVDFSCDSWTSNIVKQLSKVGKWQ -DQVHTTQLRKGDSGVVLNAKKLKGHQSRSWNGMFIVRGVYEGKLFDARTKVTLTTMLRMAQFSHATEFWK -GIDGNWAKYRYPTDHDCTPDISVADCGRMAALVTHSIIPCSKITCKECARKYAEMPVEELMAMLHKHAHE -GLERLGVDRSVFPHVEQLLKVVRCFSEPTAVNPDYFDEMYKSIGDKQHGPFKHLNILNNFFLKGKMNNSQ -EWVEAQKSLLELARFQKNRTDNIKKGDIASFRNKLSAKANWNFYLSCDNQLDKNANFVWGQREYHAKRFF -SNFFEEIDPSKGYLSYEIRVHPNGARKLSIGNLIVPLDLAEFRQKMKGDFIKQPTVGKQCTSLKEGNFVY -PCCCTTLDDGTAVESTFYPPTKKHMVIGNSGDQKYVDLPKGDTEMLYIAKEGYCYINIYLAMLINVNEED -AKDFTKKVRDLCVPKLGKWPTLMDLATTCAQLRIFYPDVHDAELPRILVDHNTQTCHVVDSFGSITTGFH -ILKAATVSQLILFANDELESDIKHYRVGGTPENADSLRDGESPFGPSKVMISEFEATKVLLKGIFRPKIM -KQLLIDEPYIMLMAMLSPGILLAMYNNGSFEIAVKLWINEKQSLAMIATMLSSLATKVSVSETLLAQRRI -MDTAASDLLDVTCDGFKMHLTYMTAIQLLQRTKEKAHSDEALYTNGFMNYEREVLHLMEKSYLDLLHEAW -CDLTWWEKLSAIWHSQKAKRLIAQPLRPIGKADLRGLYDISPSACLERSLKAFKNGKENVSKSIRLYVHN -KTVKVTSFFVNKIFRSLPSLVVFTNVITITSLLGSIILVLQGLIIEHKMYKRKIQQIEIESNEKVCVELY -ASLQAKLQREFTWEEYIEYLETVNPSIVDFAKKQMEQYSVKHQKSTPEVKNLEQVIAFITLITMLFDSER -SDCVFKTLNKLKGIVSTLDCEVRHQSLDDYMENFDVRNQTVDFELDDEITRGSHTQDIKFTDWWDRQIQM -GYTIPHYRTEGHFVEFTRARAVQVASDIAQSEHLDFLIRGAVGSGKSTGLPVHLSSSGSVLLIEPTRPLA -ENVFKQLSSGPFFQKPTMRMRGNSVFGSSPISIMTSGFALHYFANNRSQLTNFNYIIFDECHVMDASAMA -FRSLVSVFHKSCKVLKVSATPPGREVEFTTQFPVKLIVEDSLSFKSFVDAQGTKSNADVIQHGHNVLVYV -SSYNEVDSLSKLLTEKGMMVTKVDGRTMKHGSLEIITKGTASKPHFVVATNIIENGVTLDIDVVVDFGLK -VSPFLDVDSRSVSYNKVNVSYGERIQRLGRVGRFKPGVALRIGHTEKGLIEIPSMIATEAALACFAYNLP -VMASNVTTSIIANCTVRRVRTMNQFELSPFFTTNFVFYDGTMHPAIHEALKKYKLRESITPLCEQSIPYR -ASSAWMSAYEYERIGVSFDLPQAVKIAFHIKDIPEGLHENLWNIVLKFKDVSIFPSIRSSSISKIAYTLN -TDLFAIPRTLILVDRLIEEERVKQNQFRSYIDEGCNSMFSIVNLTNTLRSKYAKDYTAENIKKLEMVKSQ -LKEFSNLNGSVDEENLISKYESLQFVVHQSKDSLAKSLNLKGIWCKSLMVKDALIAGAVSIGGVCMIYHW -FTQSFQSVSHQGKNKSKRIQALKFRRARDKRAGFEIDNNDDTIEEFFGSAYRKKGKNPGNTVGMGKSNRR -FINMYGFEPGEFSYIQFVDPLTGAQIEENVYADIVDIQERFGEIRRKMIEEDELDSQSTLAHTTVHAYLR -KDWSDKALKVDLMPHNPLKICDKTNGIAKFPEREGELRQNGVAVEVNVSDIPTIPVEHESKSLMKGLRDY -NPIAQTICKLKVTSEFGTSEMYGIGFGAYIISNHHLFKSFNGTLEVRSHHGTFKVNNMMALQVKPIAGRD -IIIIKMPKDFPVFPQKLHFRSPKNNERMCIVGTNFQEKSASSTITETSATYMVPRSSFWKHWIATDDGHC -GLPVVSTIDGKIIGIHSLANNANSENYYAAFDDDFEEKFLRTSEHTDWVKNWRYNPDTVVWGPLKLTEST -PKGLFKPTKILEDLFEYNSVREQSKNSNWMYQALRDNLQAVAHMKSQLVTKHVVKGECRYFQEFLTVDKE -ASNYFRPLMDAYGKSLLNQEAYVKDIMKYAEPIEIGRVDCDALEEATHRVILYLQMKGFRTCSYVTDEQA -IFKALNMKAAVGAMYGGKKKEYFQDFTDKDKEDIVMQSCLRLYKGELGIWNGSLKAELRCKEKIMANKTR -TFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWNKLLRSLPDNWIYCDADGSRFDSSLT -PYLINAVLAIRATYMEDWDIGYQMLQNLYTEIVYTPISTPDGTVVKKFRGNNSGQPSTVVDNSLMVVLAM -HYAFVKECIDFEEIDECCKFFVNGDDLLIAVNPEKEDMLDRFSDHFSSLGLNYDFSSRTKHKEELWFMSH -RGLEIEGMYIPKLEEERVVSILQWDRAEQPEHRLEAICAAMIEAWGYPDLIHQIRRFYSWLLEKQPFATL -AEEGKAPYIASMALRKLYLDRTVDNSELHEFRKLFVELDDEFECGTYEVHHQGDNIDAGKDKKDEKKDDK -NKQVASTASKDAAKSKDVNAGTSGTHTIPRIKSVTPKMRMPKSKGAIVLNLDHLLEYTPQQVDISNTRAT -QSQFDTWYAAVQQAYDISESEMPTVMNGLMVWCIENGTSPNINGTWVMMEGSEQVEFPLKPVIENAKPTF -RQIMAHFSDVAEAYIEMRNKKEPYMPRYGLVRNLRDMSLARYAFDFYELTSQTSVRAREAHIQMKAAALK -SAQTRMFGLDGGIGTKEENTERHTTEDVSPNMHTLLGVRNM - ->YP_001936187.1 CP [Verbena virus Y] -AEETNQLDAGANSNKKDKQTTATPNPTPQNQVATTQQPNVPAQKDKDKDVNAGTSGTHTIPRIKSMTSKM -RMPKSKGKSVLNLDQLLDYKPSQIDISNTRATQDQFDKWYQAVSTAYDVGEKDMPTIMNGLMVWCIENGT -SPNINGVWTMMDGDEQVEYPLKPVVENAKPTFRQIMAHFSDIAEAYIEMRNKTEPYMPRYGLVRNLRDMS -LARYAFDFYEVTSRTSTRAREAHIQMKAAALKSAQSRMFGLDGGIGTQEENTERHTTEDVNPHMHTLLGV -RGI - ->YP_001931974.1 P1 [Verbena virus Y] -MAFTQGKIMFGSFECVIPHPVVAPQVIKTPKIEHSKPNVDPFAALEERLAPYFARRMHASIKQQKNGTLV -YKHKTPAAIKRIEGREARLVQEELNFKNAPPFIITNISIAGGMAPSVMEEGVAARIIHTTPKMKKPKYHK -REALNNAQFMHLLKQIKNVALLGNLQIEVIDRTKNKIDFIGEHKKRARVHVKHLRGITHRRDCVFDKWTT -SVVRILAKTNTWGDHILCTELKKGDSGVILNPDRMHGKRGRCADGIFIVRGKCDNVVLDARSRVTNSVMH -RMQQF - ->YP_001931955.1 polyprotein [Verbena virus Y] -MAFTQGKIMFGSFECVIPHPVVAPQVIKTPKIEHSKPNVDPFAALEERLAPYFARRMHASIKQQKNGTLV -YKHKTPAAIKRIEGREARLVQEELNFKNAPPFIITNISIAGGMAPSVMEEGVAARIIHTTPKMKKPKYHK -REALNNAQFMHLLKQIKNVALLGNLQIEVIDRTKNKIDFIGEHKKRARVHVKHLRGITHRRDCVFDKWTT -SVVRILAKTNTWGDHILCTELKKGDSGVILNPDRMHGKRGRCADGIFIVRGKCDNVVLDARSRVTNSVMH -RMQQFSNADKFWKGLESNWSSVRSAVTHTCTPDFSIEDCGKVATLVAQSILPCTKMTCQICAKAYCESTN -QDILTSMHTRILENQVRTRDTCSQFIHVQRFFQLLAMLSEPTHQSSEIFEEIFLAHGHKQQSPFSHINTL -NEFFQRGKENTAENWRIGQIALLELSRFQKNRTDNIVKGDLRSFRNKLSGKANFNLYLSCDNQLDKNASF -LWGQREYHAKRFFANFFEEIDPAKGYDSYQMRKIPNGARKLAIGNLIVSLDLAAFRRQMEGETLEQPKIS -KSCVSTIDGNFLYPCCCVTLDDGSAMKSTIYPPTKKHLVIGNTGDAKYVDLPKGDSGMLYIAKEGYCYIN -IYLAMLINVKEDDAKDFTKKVRDICVPKLGKWPSVMDVATTCAQLRIFYPDVHDAELPRILVDHTTQTCH -VIDSFGSITTGFHVLKAATVSQLVLFANDQLDSEIKHYRMGGLLSKMHSWVRPHPNEVNRAQRNLMKNVP -TNAQDLSQLNTMSTPPLGMSEFAAVKLLIKSVFKPHLMRRLLLEEPYLMLMSLVSPGVLMAMFNNGAFEV -ATQLWINENQSIATIATMLSNLAKKVSLADTLVTQMQIIEASADPLLNVTHAGFQLYHTYTTALTLLQRL -KDKAEGDLPLVSYGFMNYENEVVKIMEKSYLNLLEEAWSGLTLREKLYAMWFARKQRRNFSSTLNPEQKA -DMKGMYDISPNAVFKRVSGQVLGKVVQVKDSIKETITIKCVDFTSAILRRLIGRLPNFITMLNTLCAISL -LFSVCSTLQSMIHAKRGLQYQSDRIKFDKMDRACVALYNAKKVELGKAPTWSEFIEYAEEVNPEIATHLR -IYGEGTTVEHQKNDQDLKKLEHIVAFITLVMMVFDSERSDCVFKTLNKFKGVVASLNSTVHHQSLDDILE -DLDERNCSVQFELDDNFTLKGGALDKTFRQWWDQQINNGRTLPHYRTEGHFMEFTRATALKVSSDIAMSE -HRDFLIRGAVGSGKSTGLPFNLSSHGKVLLIEPTRPLAENVRRQLTQAPFFANPTLRMRGHSIFGSSPIT -VMTSGFALHFLAHNISQLKEYDFIIFDECHVLDASAMAFRSLLETYHQTGKILKVSATPPGREVEFTTQF -PVKLIVEESLSLRSFAEAQGKKGNADVTQHGNNILVYVASYNEVDNLGKLLTDAGYAVSKIDGRTMKHGD -IEILTHGTSAKQHFIVATNIIENGVTLDIDVVVDFGMKVIPILDIDNRCVTYAKTSISFGERIQRLGRVG -RIQPGVALRIGVTEKGLSEIPSIIATEAALYCFEHNLPVMTSTVSTNCIANCTVRQVKTMHQFELSPFFT -HNFVAHDGTMHPAIHEVLKKYKLRDSITALSGQSIPYKASGKWLRAVDYDRIGCNTDLAPEVKIAFHIKD -IPSKLHEVLWELVLKYRNESIFPTIKSSSVSKIAYTLSTDLNSIPRTLNLLDKLIEDERVKQSEFKSVFD -DGCQSMFSIVGLTNTMRAKYSQDYTGENIKKLEAAKGQLKEFMNIRGTNDETVLIKKYEALQFVHHQSTG -ALAKDMRLKGIWCKSLLARDVIIAGAVLTGGGMLLWTWFKDQMNSVSHQGKRSSKRIQQLKFRKARDKRA -GFEIDNNEDTIEEYFGSAYTKKGKGKGTTVGMGKTNRRFINMYGFEPGEFSYIRFVDPLTGAQIEENVYA -DIIDIQDQFGDIRTQKIIDDELEAQATYNNQIIHAYFIKDWSKKALKVDLTPHNPLLVSDKASAIMKFPE -REGELRQSGKAVEVDVDDIPTNTVEHESKSLLRGLRDYNPIAQAVCKLTVSNDVGSCSLFGIGFGAYIIT -NHHLFKSFNGSMEVRSHHGLFRVPNLKTLLVKPIKGRDMIIIKMPKDFPVFPQRLHFREPQESERVCIVG -SNFQEKSISSMVTETSATRLIPRSTFWKHWIATDKGHCGLPVVSTLDGMLVGIHSLANNNSSENYYAAFD -ENFEQDHLRTQEHSDWVKDWKYNPDTVLWGPLKLTSDKPTGMFKTTKILEDLRAFNLNEVQEQGLSERWM -LDSLKDNLIAVNYMKNQLVTKHVVKGECIHFGQYLTENPDANKFFKPLMSAYGKSRLNRDAYVKDIMKYS -APIEVGVVDCDAFEEAVCRVILYLKMKGFRQCNYVTCEEEIFRALNMKSAVGAMYGGKKKEYFEGYTDAD -REQILKESCLRLYKGQLGVWNGSLKAELRSQEKIQENKTRTFTAAPIDTLLAGKVCVDDFNNQFYSMNTH -CSWTVGMTKFYGGWDRLLRSLPDGWIYCDADGWRFDSSLTPYLINAVLAIRSAYMEDWDIGFQMLRNLYT -EIIYTPIATPDGTIVKKFRGNNSGQPSTVVDNSLMVILAMHYSFVKENIPFENFEETCKFFVNGDDLLIA -IKPDNESMLDRLQSHFSDLGLNYDFNSRTRDKSDLWFMSHRGLKYEGVYIPKLEEERIVSILQWDRSTMP -ENRLEAICAAMIEAWGYPVLIHEIRKFYKWLLEIEPFSSIAAEGKAPYICSMALEKLYLNTRVEQDEVSA -YMKTYAELDDEFECDTFSVSHQAEETNQLDAGANSNKKDKQTTATPNPTPQNQVATTQQPNVPAQKDKDK -DVNAGTSGTHTIPRIKSMTSKMRMPKSKGKSVLNLDQLLDYKPSQIDISNTRATQDQFDKWYQAVSTAYD -VGEKDMPTIMNGLMVWCIENGTSPNINGVWTMMDGDEQVEYPLKPVVENAKPTFRQIMAHFSDIAEAYIE -MRNKTEPYMPRYGLVRNLRDMSLARYAFDFYEVTSRTSTRAREAHIQMKAAALKSAQSRMFGLDGGIGTQ -EENTERHTTEDVNPHMHTLLGVRGI - ->YP_778468.1 polyprotein [Pepper severe mosaic virus] -MFGSIPVTLVACASQSGGAKVSIAPKALEQRIGAVVCAPQSSEKNPFAAIEAQCAQRLKHQTYATVRRGR -GGVLQYRYKTEKQMAKIDAAILKRKLEEESFQHAPPYVISTISIAGGQMPSMTTRACTKGVIHTTPSCKV -KRNQKNFVKMDAKMLSHFMKQVKQIFAAKTATVEIVRKRATKLRYSRLKYGTRAFVVTRHETGVKRRSIS -IVTRDKRSHRCTSHVRMHGEIESRHLIYQRVTAAQFEYTQDERKLWKILQQVFIVRGEHEGKLYDARSRV -SQSVMEQMTHFSNADRFWKGLDGNWAKFRHDVEHTCTPDLTVEDCGKIAALVTHSIIPCFKMTCGICAKN -YAEVPSTDLQKLLHTNVTEGLGRLEADKFKFAHVYQLLLMLKHLAEPVNIEASVFDDVFKSIGEKQTTPF -AQLNLLNDFLLRGKENGAKDWQKAQFAVLELARFQKNRTDNIKKGDMSFFRNKMSSKANWNLNLSCDNQL -DKNANFLWGQREYHARRFFSNFFEEIDPAKGYSAYETRVHPNGGRKLAIGNLIVPLDLSEFRQKMKGEYV -KQPNTSKQCTSLKDGNFVYPCCCVTLDDGTAIESTFYPPTKKHLVIGSSGDQKFVDLPKGETTMLYIARQ -GYCYINLYLAMLINIKEEDAKDFTKKVRDMCVPKLGKWPTLMDVATTCAQLRIFYPDVHDAELPRILIDH -NTQTCHVVDSFGSQTTGYHILKAATVAQLDLFANDELESDIKYYRVGGIPQNADDLKDSPGPTWSQRTCY -RETDLLKMLLKGIFRPKLMRSLLLEEPYMMIMAIVSPGIMMAMYNSGAFEMAVRIWINQKQSIVKIAVML -SALAHKVSIAESLLVQRQILDSAAGDLLEATVDGVNMHFSYLTAITLLTIVKERHDSDYSLLSNGFVNHD -HSVARIMEKSYLAELEAAWRDLTWLEKLRATWYSRKLRRSTVKPLHATGNADMKGLLDISPSALLGRSFA -AVRGERCIMGQLRTYININCRFSSICRRFKDYPLWSLCNTLFIVCIFLNTLFIICIFLSITSICPSMILE -HRKYKLAVQLMQEEKNEIVCMELYVSLQRKLGREFTWDEYIEYLKTVNPAIVHFAEEQIEKTVVSHQKAT -AGVKNLEQIIAFITLVTMLFDSERSDCVFKTLNKLKGTVSTLDTNVRHDTNVRHQSLDDIIEDFDDREQT -IDFELCDDIVKMDGSASTTFEMWWNKQVQMGHTIPHYRTEGQFLEFTRATAAQVATDIAHSEKLDFLIRG -AVGSGKSTGLPVQLSQMGSVLLLEPTRPLAENVFKQLSSAPFFKKPTLRMRGNSVLLFAISVMTSGLHCI -FCKHRHNECFDLYFDDGHVLDASAMAFRSLISVFHKTCKVLKVSATPPGREVEFSTQFPVKLVVEEALSF -KGFVEAQGTKSNADVLQYGSNVLVYVASYNEVDSLSKLLTDKQMMVTKVDGRTMKHGSVEIVTKGTPQKP -HFVVATNIIENGVTLDIDVVVDFGLKVSPFLDTDNRSVSYNKVSVSYGERIQRLGRVGRFKPGAALRIGH -TEKGLLEIPSMIATEAALLCFAYNIPVMSSNVSTSIVAKCTVRQVRTMHQFELSPFFMFNFTASDGSMHP -AVYDALKKFKLRDSLTPLCDQSIPYRASSAWLTAREYERIGVVIDVPSETKIAFHIKDVPPKLHEQLWSA -VIKYKDVCMFPSIKSSSISKIAYTLKTDLASIPRTLLLVEKLIEEERTKQSQFRSYIDDSCSNMFSIVNL -TNALRSKYAKDYTAENIAKLEKVRSQLTEFINIGGTADEAALIQRYESLQFVHHQKKNSLAKDLQLKGIW -CKSLIVKDLVIAGAVAIGGACLLYSWFTQSMQDVSHQGRNKSKRIQALKFRKARDKRAGFEIDNNDDTIE -EYFGAAYTKKGKNSGKTVGVGKSSRRFINMYGFEPTELSYIQFVDPLTGAQLEESVYADILDVQDHFQDI -RRQKLEDDEISREKLRDSSVIHAYFVKDWSNKALKVDLMPHNPLRICNKTNGIAKFPEMIGVLRQQEEAK -EVDVSEIPKEDVVHEGKSLLKGLRDYNPIAQIVCKLKVTTEFGVSEMFGLGFGAMIISNHHLFKSFNGHM -EIKSHHGVFRVPNLTSLKVRPLKGRDMIVIKMPKDFPVFPQRLHFRAPQSTDRVCIIGSNFQEKSVSSTV -SEVSSTFNVPRNTFWKHWIATDDGHCGLPVVSTLDGCVVGIHSLANNSSSENYYAAFDEEFECTYLRNAE -HIEWVSNWKYNPSNVLWGPLKLKEDTPTGLFKTSKLIQDLLESETVREQASHSAWMYAALQGNLRAVASM -KSQLVTKHVVKGECRHFQEYLAQNGEASDFFKPLMNEYGKSLLNREAYIKDIMKYSKPIDVGVVDCEAFE -EAINRVIVYMQLKGFQQCSYVTDEQAIFKALNMKAAVGAMYGGKKKDYFADFSDADKEEIVKQSCLRLYK -GQLGVWNGSLKAELRCKEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGW -DKLLRKLPDGWTYCDADGSQFDSSLTPYLINAVLTIRTFYMEDWDIGLQMLKNLYTEIVYTPISTPDGTI -VKKFRGNNSGQPSTVVDNSLMVVLAMHYAFVKEAIPFEHFENYCVFFVNGDDLLIAVHPEKEQMLDRFSQ -HFSELGLNYEFNSRSKKKEDLWFMSHRGIEIEGMYIPKLEEERIVSILQWDRAVLPEHRLEAICAAMIES -WGYPMLTYHIRRFYSWLLEQQPFKTLAEEGKAPYIAEMALRRLYLNRIADEEELHRFQKYFVELDDELEC -RPYEVYHQADTTVDAGEEKEKAGSGKLKKVEGSSSDVKATDKDVNAGTIGTHTIPRIKAITGKMRLPKSK -GKTALNLDHLLEYEPQQIDISNTRATQSQFDTWFKAVQTAYDITEDEMPTVMNGLMVWCIENGTSPNING -VWVMMDGEEQVEYPLKPIVENAKPTFRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDVSLARYAF -DFYEITSRTPSRAREAHIQMKAAALKSVQTRMFGLDGGISTQEENTERHTTEDVSPHMHTLLGVRNT - ->YP_611111.1 P1 protein [Daphne mosaic virus] -MTTTGSIMIGSMHIPIGMQKAEKSGKTLADIVYSEGQNIAQNLQAHIRVENTNRVQQCSALEDLINAYEE -QYEDHVERIDKKLKRKRAWITRRMEKRIARKQPAKPYEGPANDSIVDSIDVTEEYRVASGGDEATTSVQT -LKSQLKRSRCKKITERKLQLSETQITNLIRAISKIVKRRGGTISVIDKSGANDIELARGKVMAKVYHLRG -RKPRIDLKTLKHGGEKILEDIFEATVPLTMVKDETVKAGCSGFVFRYDKLHAIKSRAKGKFFIVRGRHEG -KLYDARLKVTNSIRNTMHHY - ->YP_022752.1 P1 protein [Yam mosaic virus] -MAFVMFGQFESALPLLHSGGASLTVAPNCVAPIKRDILKETRFQMMKEEAQRERAWAKLEEETRERYESF -QRGTLKRLPYGFMTLKKPSIVKLHKERIERENQEREERIFDSLSPFPITHINMCEQPVRVLENVNNNITW -SLCRSFKQKKPKRVPSMPKLSVVHFEGFLTAIGKICKKKDMSLEIIDGRKTVKAKTHLGSCYVRTKHMDG -ARKKIDLTLNERQEEMLFSLAKGLSRAPIPLSHLTYGDSGRIILNPICDTAYSRYKRGLIVRGEHEGKIY -DARVKVTRSVASTMRQF - ->NP_945136.1 P1 protein [Lily mottle virus] -ASFTVGSILVNTASVLKESFAQKVLTTPPVLVAQPVQRSFGAARMCARKAIADDEEKVAKAFSALEARCA -ARVSRMGTIRVKVTRSGTSRAQPLPKREQARLRKAERKARLDREEFLKGSPTVIDHISIAGGAQPSQDMV -EPMSTRKAPWHSVSKKARKVIPHSPTLNETGLASLMRAIAKIGAKNSMKVEIVDERRVQARYIKRDAGSY -LQVRVAHHEGLRRRRDLKLSAFADQCLTQLASTTHGTKYHHVTQIKAGDSGIVLLARRLSGWHSGSCSQI -FVVRGNHEGKLYEARRKVTLTMTHRMIHY - ->NP_787946.1 CP protein [Peru tomato mosaic virus] -AKDDSGTLDAGKDQAKDKAAKTVATAGESSVKKSDEKDVNAGTSGTFSVPRIKAISEKMRQPKANGVSAL -NFEHLLLYKPSQIDISNARATQSQFDNWYSEVQKAYDVEAAEMGTILNGLMVWCIENGTSPNVNGVWTMM -DGEEQVEFPLKPVVENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEI -TSRTSVRAREAHIQMKAAALKTAQTRLFGLDGGISTQEENTERHTTEDVAPNLHTMLGVRNM - ->NP_787938.1 P1 protein [Peru tomato mosaic virus] -METTQVNIMFGSFMCTVPHAVQLPVKPVVGTSHAELEVAVKDPFADLEVSLQPYFERRMFANVRKKQNGT -IVYKRPTANKVSKLKKRALSLKKEHEEFMLAAPSIVTTISVAGGPSPSQLQEEVKQVKPLHKTPSMKRRV -SYRNPVMTDAQLNWLVKQLKNIMAKKEGSVELVGRKTTRVHYRATHLGVRAMCELAHMRGIRKRVDVKIP -TEHVPFLKSLARVPTWGRVVHNTDVRRGDSGMILQSKLCRGHFGRSWDDLFIVRGSFEGKILDARSKLTE -TTIFRMIQF - ->NP_787937.1 polyprotein [Peru tomato mosaic virus] -METTQVNIMFGSFMCTVPHAVQLPVKPVVGTSHAELEVAVKDPFADLEVSLQPYFERRMFANVRKKQNGT -IVYKRPTANKVSKLKKRALSLKKEHEEFMLAAPSIVTTISVAGGPSPSQLQEEVKQVKPLHKTPSMKRRV -SYRNPVMTDAQLNWLVKQLKNIMAKKEGSVELVGRKTTRVHYRATHLGVRAMCELAHMRGIRKRVDVKIP -TEHVPFLKSLARVPTWGRVVHNTDVRRGDSGMILQSKLCRGHFGRSWDDLFIVRGSFEGKILDARSKLTE -TTIFRMIQFSVAEKFWRGLESNWKEMRQTVDHECITTFQVEDCGEMATIITQTLFPCRKLTCGLCAESLA -SKTNSELCELISSKASNAKQLISATHPQFQHVDKVLSVISSQLALNSVGSDNFNEIFRLVGDRTQSPFTH -LNRLNEFFLRGNQNRPEQWQDAFDDLRELVRFQKNRTDNIKKGDITAFRNKLSSKANYNLYLACDNQLDK -NANFLWGQREYHAKRFFTKFFQVIDPTDGYKAFELRKHPNGVRKLAIGNLIVPLDLAEFRNKMKGESTEQ -PKVGKQCVSMKDGNYVYPCCCTTLDDGSAIESTAYAPTKRHLVIGNSGDTKYVDLPKGETDLLYMAIDGY -CYINIFLAMLINVREDEAKDFTKKVRDIFIPKLGKWPSMLDLATTCAQLRIFFPDVHDAELPRILVDHRN -QICHVVDSFGSISSGYHILKASTVSQLILFANDELESDIKHYRVGGMDNAHPEMAQRCSISEFYAIRLLV -KGVYRPLVMREVLMEDPYILIFSILSPKVLIAMFENDAFEHAIQVWISRNQSVAMIFTILNNLARKVTLA -ESLTRQLQIIGNTAEQLLDATCEGFKLSLAYQSSLMLLTRTREASLSNMELFNQGFAHQEMELAESLEKN -YSSLLEAQWQELGWLEKLSSTLRSRRSKARLRKSLLVGKAHDFRETLSFSPTAFFTEASVRTKRWASKSK -EAVSSFVDRKCFSFAALCFNSIFEKLPSFKFFLYNIFIFSNLYRTYTVACEIIENNRSRKNKIGQMQREK -DIDACVELHSALEKKLGKNPTWEEFSEYLGKVNPELRQFFEATGGAGNVKHQDSKSDLKKIEHIIAFVSL -AVMLFDVERSDCVFKSLNKFKGVISSLNSDVRHQSLDDVIKDFETRDFVVDFELNDDISPHINATDVQFG -EWWDQQVAAGATIPHYRTEGQFLEFTRATAAKVASDIAMSSCTDFLIRGAVGSGKSTGLPSHLSTMGKVL -LIEPTRPLAENVYKQLSGSPFFMKPTMRMRGNSVFGSSPISVMTSGFALHYYANNVTQLQEIKFIIIDEC -HVMDASSMAFRSLVHAYHNSCKVLKVSATPPGREVEFATQFPVKLLVEESLSFKQFVDAQRSGGNADVVQ -HGSNILVYVASYNEVDALSKSLIERDFSVTKVDGRTMKHGDLEIVTHGTKAKPHFVVATNIIENGVTLDI -DVVVDFGLKVVPFLDTDNRSVAYTKQSISYGERIQRLGRVGRIQKGTALRIGFTEKGLVEIPQMVATEAA -LYCFAYNLPVMSSSVSTALLSRCTLRQVRVMHNFELSPFFSCNFVSHEGTMHPVIHDLLKKYKLRDSIIP -LSESAVPYRASSEWLQAIDYTRMGAHLDLPDTVKLAFHIKDIPAELHEKLWNAVKKYKREASFPTLRSAS -ISKIAYTLSTDLYAIPRTLILIEKLIEDERTKQYQFKSAIDNGCSSAFSIVGITNTLRARYSRDYTSENI -KKLEMAKAQLKEFSNLGGTGDELNLIKRFEALQFVHHQPKHKLSKALGLEGLWNKSLAARDAIIAIGVTC -GGAWMLYSWFTTEMNNVEHQGMSKAKRIQALKFRKSRDKRGGYEIDNNQDTIEEYFGSAYTKKGKNKGTT -IGLGKTSRKFINMYGFDPGQFSYIKFVDPLTGAQIEENVYADILDIQEKFGDIRRQKILDEELDPHQTRV -HTTVHAYLIKDWSNEALRVDLTPHNPLKVSDRASAIMKFPERAGELRQTGLGVVVDVKDIPQGEVVHEAA -SLLKGLRDYNPIAQTICLLTVETECGRTSTHGLGFGSLLIANHHLFKSFNGTLTIRSHHGTFRVPNLMSL -QVQPLKGKDIIVIKMPKDFPVFPQRLKFRAPTQQDRVVLVGSNFQEKYISTTLSETSSTFPVQRSTFWKH -WISTDDGNCGFPIVSTMDGCVLGLHSLANNRNSENYFAAFDDDFEAGFLRTDENTQWVRNWKYNPDNVLW -GSLKLTKSTPTGMFKTTKMIEDLIATKESEVHEQGRKTSWMLEALKDNLQAVAYMKSQLVTKHVVKGECL -LFKQYLEQDPHAKEFFQPKMWAYGMSLLNKEAYIKDLMKYSKPIEVGVVDCSAFEEATCRVIMYMRQKGF -RQCTYVTDEEEIFKALNMNAAVGAMYGGKKKDYFAEYSSQDKEQILRESCKRLYLGELGVWNGSLKAELR -CKEKIEANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSRNIDCCWTVGMTKFYGGWDKLLRALPDGWTYCD -ADGSQFDSSLTPYLINAVLAIRYAFMEEWDIGFKMLQNLYTEIIYTPISTPDGTIVKKFRVNNSGQPSTV -VDNSLMVVLAMHYCFVKAKIPFHEIDSMCKFFVNGDDLIIGVSPKAEYLLDEMGKQFSDLGLNYDFSSRT -KDKTQLWFMSHRGLMVEGLYIPKLEEERIVSILQWDRAALPEYRLEAICAAMIESWGYPKLTYEIRRFYQ -WILQHEPYAQLAQEGKAPYISELALKKLYLNVEVDSEELNAYLKFFTEIDDELECDSDEVYHQAKDDSGT -LDAGKDQAKDKAAKTVATAGESSVKKSDEKDVNAGTSGTFSVPRIKAISEKMRQPKANGVSALNFEHLLL -YKPSQIDISNARATQSQFDNWYSEVQKAYDVEAAEMGTILNGLMVWCIENGTSPNVNGVWTMMDGEEQVE -FPLKPVVENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEITSRTSVR -AREAHIQMKAAALKTAQTRLFGLDGGISTQEENTERHTTEDVAPNLHTMLGVRNM - ->NP_741978.1 P1 protein [Wild potato mosaic virus] -MESVQATIMFGSFECKLTQSVAPKATHMATLSHENPVKRVINPFTALEKSLQPYLEKRMFADVRKKSNGT -FVLKHASAKKVQKLQKLEATRLKEISDFKMGSPSIVSSISIAGGHSASQMQDEPSMKRPLNTTPSRKRKI -SFKKVGMSGTQMMNFIRQLKNIMADKCGGVELVGKRTTKLKYSRTRLGVKCICELAHMKGSRKRVDLRLN -GEHTEFVRQFARVHSWGNTVDSHMLQRGDSGVVLNSSVCRGKFGRNWDNLFIVRGEYEGKIFDARSKLTE -TTALRMIQY - ->NP_741977.1 CP protein [Wild potato mosaic virus] -ANEEGGTLDTGKDQLKEKGVKPMTASGKAVVKEANERDVNAGTSGTFSIPRIKAISEKMRQPKANGVSAL -NLAHLLEYKPSQVDISNARSTQGQFNNWFTEVKKAYDVEDHEMGTVLNGLMVWCIENGTSPNVNGVWTMM -DGEDQVEFPLKPVVENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEI -TSRTSVRAREAHIQMKAAALKTAQTRLFGLDGGISTQEENTERHTTEDVAPNLHTMLGVRNM - ->NP_741959.1 polyprotein [Wild potato mosaic virus] -MESVQATIMFGSFECKLTQSVAPKATHMATLSHENPVKRVINPFTALEKSLQPYLEKRMFADVRKKSNGT -FVLKHASAKKVQKLQKLEATRLKEISDFKMGSPSIVSSISIAGGHSASQMQDEPSMKRPLNTTPSRKRKI -SFKKVGMSGTQMMNFIRQLKNIMADKCGGVELVGKRTTKLKYSRTRLGVKCICELAHMKGSRKRVDLRLN -GEHTEFVRQFARVHSWGNTVDSHMLQRGDSGVVLNSSVCRGKFGRNWDNLFIVRGEYEGKIFDARSKLTE -TTALRMIQYSVADRFWRGLESNWKESRHPVEHACETTFSVEECGEVATLITQALFPCFKLTCGKCAEMLA -MKSDHELCECLSAQTANANALIREKHSRFKHVEKVMGVISTNLLPMSVGADNFNEIFRMIGDKVQSPFTH -LNALNEFFLRGRQNGPEQWQDAFNALRELARFQKNRTDNIKKGDIATFRNKLSSKANYNLYLACDNQLDK -NANFLWGQREYHAKRFFTKFFKVIDPVDGYTPFMLRNHPNGARKLAIGNLIVPLDLAEFRSKMKGEHTKQ -PKVGKHCVSMKDGNYVYPCCCTTLDDGSATESTVYAPTKRHLVIGNTGDTKYVDLPKGETDLLYMAIDGY -CYINIFLAMLINVREDEAKDFTKKVRDIFIPKLGKWPSMLDLATTCAQLRIFFPDVHDAELPRILVDHNS -QICHVVDSFGSISSGYHILKASTVSQLILFANDELESDIKHYRVGGLEKFDPNVAQRCSISEFYAMRMLI -KGVYRPAVMRQLLMDEPYMLIFSILSPRVLIAMFENDAFEEAAKLWVNKSQSVAMIATILNNLARKVTLA -ESLTRQLHLISHAPEQLLDATCEGFKMNLAYQSALMLLLRTRETSKSNLELITSGYAHQEMELAETLEKS -YTDLLLAQWHELSWREKLLSTWRSRRSRGRLQKITLGERVQDLRETFSFSPKILFTEASVRTKALVNKST -GMFSSFVERKCVNISAYCLGSVFSRLPSFKFLFYNVIVFGNLVNIYRNINTIVGENKRSKIKAAQADHEL -QVNACVELYAALERKLGKQPTWNEYCEYVEKMNSALLPIFRETYASAEVVHQDSKSDLKKIEHIIAFVSL -AVMLFDVERSDCVFKSLNKFKGVIGSLNSDVRHQSVDDIVKDFENRDCVVDFEIDDSISPTINATDIMFG -DWWDQQVAAGLTIPHYRTEGKFYEFTRATAAKVASDIAMSEHNDFLVRGAVGSGKSTGLPNYLSSMGKVL -LIEPTRPLAENVYKQLSGAPFFLKPTMRMRGNSVFGSSPISVMTSGFALHYFAHNITHLQEVRFVIIDEC -HVMDASSMAFRSLVQAYHQKCKVLKVSATPPGREVEFTTQFPVKLVVEESLSCKQFVDAQRTGGNADVVQ -HGVNILVYVASYNEVDMLSKALIEKDFSVTKVDGRTMKHGDLEITTHGTQSKPHFVVATNIIENGVTLDI -DVVVDFGLKVVPFLDMDNRSIAYTKTSISYGERIQRLGRVGRIQKGTALRIGSTEKGLVEIPQMISTEAA -LYCFAYNLPVMSSCVPTALLSKCTLRQVQVMHNFELTPFFTCNFVTHEGTMHPVIHDLLKKYKLRDSIIP -LSESAVPYRASSEWLRAIDYTRMGIHLDLPDTVKLAFHTKDIPAALHEKLWDAVNKYKREASFPTLRSSS -VSKIAYTLSTDLYAIPRTLILIEKLIEDERTKQFQFRSAIDNGCSSAFSIVGIANTLRARYSKDYTTENI -KKLEMAKAQLKEFSNLGGTGDETNLIKRFEALQFVHHQSKHTLSKALSLEGIWNKSLAVRDTIIAVGVAC -GGAWMLYTWFTSEINGVTHQGRSKSKRIQDLKFRRARDKRAGFEIDNNEDTIEEYFGSAYTKKGKGRGTT -VGMGKSSRKFINMYGFEPTEYSYIKFVDPLTGAQIEDNVYADIVDIQEKFGEIRRNKIIDDKLDTQATYD -NNTIHAYMIKDWSKEALKIDLTPHNPLRVSDKASTIMKFPERQGELRQTGIGTVVNVDDIPKEAVAHEAK -SLLKGLRDYNSTAQTVCLLTVETETGKTTTHGIGFGSLLVANHHLFKSFNGTLTVRSHHGIFKVQNLMQL -QVQPLKGRDLIVIKLPKDFPVFPQKLHFRAPTQQDRVVLVGSNFQEKYISTTLSETSSTFPVQRSSFWKH -WISTNDGNCGLPLVSTVDGYILGLHSLANNRNSENYFAAFDDEFESKYLRTEEHAQWTRNWKYNPDNVLW -GSLKLTKSTPDGMFKTTKMIEDLLAFEDNDVREQGQSTGWVLSALRDNLQAIAFMKSQLVTKHVVKGECM -LFKQYLESDLRAKQFFQPKMWAYGKSLLNKEAYIKDLMKYSKLIEVGVVDCDAFEEANCRVIVYMRQKGF -RKCTYVTDEEEIFKALNMNAAVGAMYGGKKKDYFADYSAQDKEQILRESCRRLYLGDLGVWNVSLKAELR -CKEKIAANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWNKLLTALPDNWVYCD -ADGSQFDSSLTPYLINAVSAVRYAFMEDWDIGFQMLQNLYTEIVYTPISTPDGTIVKKFRGNNSGQPSTV -VDNSLMVVLAMHYSFVKANIPYDEFESMCKFFVNGDDLIIAVAPEFEYLLDEMGGYFSDLGLNYDFSSRT -RDKTQLWFMSHRGILVEGIYIPKLEEERIVSILQWDRAALPEYRLEAICAAMIESWGYPQLTFEIRRFYQ -WLLQQEPYSQLAEQGKAPYITELALKKLYLNVEASSEEFEAYMRFFAEIDDEIECDSFEVRHRANEEGGT -LDTGKDQLKEKGVKPMTASGKAVVKEANERDVNAGTSGTFSIPRIKAISEKMRQPKANGVSALNLAHLLE -YKPSQVDISNARSTQGQFNNWFTEVKKAYDVEDHEMGTVLNGLMVWCIENGTSPNVNGVWTMMDGEDQVE -FPLKPVVENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEITSRTSVR -AREAHIQMKAAALKTAQTRLFGLDGGISTQEENTERHTTEDVAPNLHTMLGVRNM - ->NP_734398.1 coat protein [Cocksfoot streak virus] -AGQTMNAGATPPAPPAPPTPRPQSQQPPNPAAQPVDNEPPAPQGQRDRDLTTGSSGTFTMPPPKIFHSKM -RLPMVKGKIVVNADHLKQYKPNRVDLSNARRSQAQFEAWFAKVQEAYDVTDDQMSLLMDGLLVWCIENGT -SPNLTGNWYFMNNDVQDEYPLKPVIENARPTFRQVMMHFSNLAEAYIEMRNATETYIPRYALKRNLRDTE -CARYAFDFYEKTSLSPEKAIEVQLQMKAAAIRGKSTRMFGLDGNINSGEENTERHTTDDVTRDMHSLMGV -RNM - ->NP_734288.1 coat protein, partial [Sweet potato mild mottle virus] -AGIRDQIEALRAQQIVRPPEAQLQPDVTPAQIVTFEPPRVTGFGALWIPRQQRNYMTPSYIEKIKAYVPH -SNLIESGLASEAQLTSWFENTCRDYQVSMDVFMSTILPAWIVNCIINGTSQERTNEHTWRAVIMANMEDQ -EVLYYPIKPIIINAQPTLRQVMRHFGEQAVAQYMNSLQVGKPFTVKGAVTAGYANVQDAWLGIDFLRDTM -KLTTKQMEVKHQIIAANVTRRKIRVFALAAPGDGDELDTERHVVDDVARGRHSLRGAQLD - ->NP_734378.1 coat protein [Potato virus V] -AKEEAGSLDAGKDPAKEKSAKLPAAAGEQSSKGLEERDVNAGTTGTFTIPRIKAISEKMRQPKVNGVSAL -NFEHLLVYKPSQIDISYARATQSQFNTWFSEVQKAYYVEAGDMGTILNGLMVWCIENGTSPNVNGVWTMM -DGEEQVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEI -TSRTSVRAREAHIQMKAAALKTAQTRLFGLDGGLSSQEENTERHTTEDVAPNLHTMLGVRNM - ->NP_734369.1 P1 protein [Potato virus V] -METTHINIMFGTFECKLANPMGLAPAVIASAEHKSSNKQAANPFAALESELQPYLDRRLYAGIKMQKNGT -LTLKRATDRAVRKCKKLATARKQELEEFKLGSPSIVSKISIAGGPVVSQMQDDTIVAWPLHKTPSMKLKT -NHKKVAMTQTQLSSFIRQLKNIMIEKGGNIELAGKTTTKLHYKQTHMGVRCVCELAHVQGKRRRVDVKVS -AEHASFVLELAKVPSWGDVIRSNSLRRGDSGVILQSRVCNGSFGRSWGGFFIVRGAYEGKIFDARSKLTA -STVGRMVQF - ->NP_734250.1 coat protein [Potato virus Y] -ANDTIDAGGSNKKDAKPEQGSIQPNPNKGKDKDVNAGTSGTHTVPRIKAITSKMRMPTSKGATVLNLEHL -LEYAPQQIDISNTRATQSQFDTWYEAVRMAYDIGETEMPTVMNGLMVWCIENGTSPNVNGVWVMMDGNEQ -VEYPLKPIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDMGLARYAFDFYEVTSRTP -VRAREAHIQMKAAALKSAQPRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKNM - ->NP_734436.1 coat protein [Pepper mottle virus] -SSSRSDTLDAGEEKKKNKEVATVSDGMGKKEVESTRDSDVNAGTVGTFTIPRIKSITEKMRMPKQKRKGV -LNLAHLLEYKPSQVDISNTRSTQAQFDNWYCEVMKAYDLQEEAMGTVMNGLMVWCIENGTSPNISGTWTM -MDGDEQVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKQEPYMPRYGLVRNLRDMGLARYAFDFYE -VTSRTSTRAREAHIQMKAAALKSAQTRLFGLDGGIGTQGENTERHTTEDVSPDMHTLLGVREM - ->NP_734162.1 coat protein [Lettuce mosaic virus] -VDTKLDAGQGSKNDDKQKSSADSKDNVITEKGSGSGQVRKDDDINAGLHGKHTIPRTKAITQKMKLPMIR -GKVALNLDHLLEYEPNQRDISNTRATQKQYESWYDGVKNDYDVDDNGMQLILNGLMVWCIENGTSPNING -TWVMMDSEEQVEYALKPIIEHAKPTFRQIMAHFSDAAEAYIEMRNKKKPYMPRYGRLRGLNDMGLARYAF -DFYETTSATPNRAREAHNQMKAAALVGTQNRLFGMDGGGSTQEENTERHTAADVNQNMHTLLGVRGLH - ->NP_659008.1 polyprotein [Potato virus V] -METTHINIMFGTFECKLANPMGLAPAVIASAEHKSSNKQAANPFAALESELQPYLDRRLYAGIKMQKNGT -LTLKRATDRAVRKCKKLATARKQELEEFKLGSPSIVSKISIAGGPVVSQMQDDTIVAWPLHKTPSMKLKT -NHKKVAMTQTQLSSFIRQLKNIMIEKGGNIELAGKTTTKLHYKQTHMGVRCVCELAHVQGKRRRVDVKVS -AEHASFVLELAKVPSWGDVIRSNSLRRGDSGVILQSRVCNGSFGRSWGGFFIVRGAYEGKIFDARSKLTA -STVGRMVQFSVAERFWRGLGSHWGESKQRVEHDCETTFTVEECGEVATIVAQALFPCSKLTCGICANSIT -SKTQYELCEYLSTRAGEAERLVRSKHPKFKHVEKVMGAISTELPRITGKAEIFEDISRMIGDRTQSPFTH -LNALNDFLLRGNQNRPDQWKEAFEALRELAWFQKNRTRNIKKGNLAAFRNKLSSKANIQYVLSCDNQLDQ -ECQFPVGPEGIPCYKIFHKFFQNNQPHRGYISFELRNHPNGVRKLAIGNLIVPLDLAEFRSKMQGETTNQ -PRIGKQCVSMKDGNYIYPCCCTTFEDGSAIESTAYAPTKRHLVIGNTGDTKFVDLPKVETDLLDMAIDGY -CYINVFLAMLINIREDEAKDFTKKVRDIFIPKLGKWPSMLDLATTCAQLRIFFPDVHDAELPRILVDHNS -QICHVVDSFGSISSGYHILKAATVSQMILFANDEIDSEIKHYRVGGTNESVAGMAPRCSISEFHAIRLLV -KGVYKPTVMNQLLVDEPYMLIFSILSPRVLIAMFENEAFEEAVKLWVNKNQSIAMIATILNNLARKVTLA -ESLTRQLHLISHASEQLLDATCEGFKMNLAYQSALMLLTRTREASRSNLELFNGGYQHQELELAQVLEKN -YMELLQVQWHELSWREKLSSTWRSRRSRRKLQIATMGERVQDLKEVFTFSPRALFTEASARTKTWVDKST -GAVSSFVEKRCLNNVSAYCVGSIVKRLPGFKILICNFVIFGNLLRIYRNVSLMVAENKAHKLKAAQAEHV -MHTDACVELYAMLEKKLGKQPTWDEYCEYLKRVNPTLYTFFKETSTNENVVHQASKSDLKKIEHIIAFVS -LAVMLFDVERSDCVFKSLNKFKGVIGSLNSEVRHQSLDDVVKDFEARDFVVDFELDDSISPTINSTDVTF -GDWWDNQISTGLTIPHYRTEGKFYEFTRATAAKVASDIAMSEFSDFLVRGAVGSGKSTGLPSHLSAMGKV -LLIEPTRPLAENVYKQLSGAPFFMKPTMRMRGNSVFGSSPISVMTSGFALHYFANNITQLQEIKFVIIDE -CHVMDASSMAFRSLIHAYHTKCKVLKVSATPPGREVEFTTQFPVKLLVEESLSFKQFVDAQRTGGNADVI -QHGSNILVYVASYNEVDMLSKALIGKDFAVTKVDGRTMKHGDLEITTHGTKGKPHFVVATNIIENGVTLD -IDVVVDFGLKVTPFLDTDNRSVAYTKTSVSYGERIQRLGRVGRIQKGTALRIGFTEKGLVDIPQMISTEA -ALYCFAYNLPVMSSCVSTALLSKCTLRQVRVMHNFELSPFFSCNFVTHEGTMHPVIHDLLKKYKLRDSII -PLSESAVPYRASSEWLQAIDYTRMGVHLDLPDTVKFAFHIKDIPAILHEKLWEAVKKYKREASFPTLRSS -SVSKIAYTLSTDLYAIPRTLILLEKLIEDERTKQYQFRSAIDNGCSSAFSVVGIANTLRARYSRDYTSEN -IKKLEMAKAQLEEFSNLGGTGDELNLIKRFEALQFVHHQSKHAMSGALGLEGIWNKSLAVRDVIIATGVA -IGGAWMLYTWFTGEMNSVVHQGRHKAKRIQALKFRQARDKRAGFEIDNNEDTIAEYFGSAYTKKGKGKGT -TVGLGKTSRKFINMYGFEPTEYSYIKFVDPLTGAQIEENVYADIVDIQERFGDIRREMIEKDELETQATY -ANTTIHAYMIKDWSKEALKVDLTPHDPLRVSDKASTIMKFPERQGELRQTGVGTVVNVDDIPKENVVHEA -KSLLKGLRDYNPIAQAVCLLTVETELGKTSTHGIGFGSLLIVNHHLFKSYNGTLIVRSHHGTFKVSNMMK -LQVQPLKGRDLIVVKMPKDFPVFPQRLHFRAPTQQDRVVLVGSNFQERYISTTLSETSATFPVQRSSFWK -HWISTDDGNCGLPMVSTADGCIVGIHTLANNQNSENYFAAFDDDFEAKFLRTDDHAQWVLNWKYHPDNVL -WGSLKLVKSTPTGMFKTTKMIEDLFAFEEDEVREQAQSTGWMLNALKENLQAVAFMKSQLVTKHVVKGEC -MLFKQYLAQDMQAKQFFQPKMWAYGKSLLNKEAYIKDLMKYSKPIEVGVVDCDAFEEATCRVILYMREKG -FRKCTYITDEGEIFKALNMNAAVGAMYGGKKKDYFAEYDSHDKEQILMESCQRLYLGELGVWNGSLKAEL -RCKEKIEANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSKNIDCCWTVGMTKFYGGWNKLLTALPDDWTYC -DADGSQFDSSLTPYLINAVLAVRYAFMEEWDIGFQMLQNLYTEIVYTPISTPDGTIVKKFRGNNSGQPST -VVDNSLMVVLAMHYSFVKANIQFDESEAICKFFVNGDDLILAVAPGHESLLDEMGKHFSDLGLNYDFSSR -TKDKTQLWFMSHRGLVVEGIYIPKLEEERIVSILQWDRAALPEYRLEAICAAMIESWGYPQLTHEIRRFY -QWLLQQEPFSQLSLEGKAPYITELALKKLYLNVDSSSEEFDAYMKFFADLDDDVECTSYEVRHQAKEEAG -SLDAGKDPAKEKSAKLPAAAGEQSSKGLEERDVNAGTTGTFTIPRIKAISEKMRQPKVNGVSALNFEHLL -VYKPSQIDISYARATQSQFNTWFSEVQKAYYVEAGDMGTILNGLMVWCIENGTSPNVNGVWTMMDGEEQV -EFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEITSRTSV -RAREAHIQMKAAALKTAQTRLFGLDGGLSSQEENTERHTTEDVAPNLHTMLGVRNM - ->NP_056759.1 polyprotein [Potato virus Y] -MATYMSTICFGSFECKLPYSPASCEHIVKEREVPASVDPFADLETQLSARLLKQKYATVRVLKNGTFTYR -YKTDAQIMRIQKKLERKDREEYHFQMAAPSIVSKITIAGGDPPSKSEPQAPRGIIHTTPRMRKVKTRPII -KLTEGQMNHLIKQIKQIMSEKRGSVHLISKKTTHVQYKKILGAYSAAVRTAHMMGLRRRVDFRCDMWTVG -LLQRLARTDKWSNQVRTINIRRGDSGVILNTKSLKGHFGRSSGGLFIVRGSHEGKLYDARSRVTQSILNS -MIQFSNADNFWKGLDGNWARMRYPSDHTCVAGLPVEDCGRVAALMAHSILPCYKITCPTCAQQYASLPVS -DLFKLLHKHARDGLNRLGADKDRFIHVNKFLIALEHLTEPVDLNLELFNEIFKSIGEKQQAPFKNLNVLN -NFFLKGKENTAHEWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSAKANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNFFEEIDPAKGYSAYEIRKHPSGTRKLSIGNLVVPLDLAEFRQKMKGDYRKQPGVSK -KCTSSKDGNYVYPCCCTTLDDGSAIESTFYPPTKKHLVIGNSGDQKFVDLPKGDSEMLYIAKQGYCYINV -FLAMLINISEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMRIFYPDVHDAELPRILVDHDTQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGVPNASPELGSTISPFREGGVIMSESAALK -LLLKGIFRPKVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVRLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDAAATDLLDATCDGFNLHLTYPTALMVLQVVKNRNECDDTLFKAGFPSYNTSVVQIM -EKNYLNLLNDAWKDLTWRENYPQHGTHTEQNALSTRYIKPTEKADLKGLYNISPQAFLGRSAQVVKGTAS -GLSERFNNYFNTKCVNISSFFIRRIFRRLPTFVTFVNSLLVISMLTSVVAVCQAIILDQRKYRREIELMQ -IEKNEIVCMELYASLQRKLERDFTWDEYIEYLKSVNPQIVQFAQAQMEEYDVRHQRSTPVVKNLEQVVAF -MALVIMVFDAERSDCVFKTLNKFKGVLSSLDYEVRHQSLDDVIKNFDERNEIIDFELSEDTIRTSSVLDT -KFSDWWDRQIQMGHTLPHYRTEGHFMEFTRATAVQVANDIAHSEHLDFLVRGAVGSGKSTGLPVHLSVAG -SVLLIEPTRPLAENVFKQLSSEPFFKKPTLRMRGNSIFGSSPISVMTSGFALHYFANNRSQLAQFNFVIF -DECHVLDPSAMAFRSLLSVYHQACKVLKVSATPVGREVEFTTQQPVKLIVEDTLSFQSFVDAQGSKTNAD -VVQFGSNVLVYVSSYNEVDTLAKLLTDKNMMVTKVDGRTMKHGCLEIVTKGTSARPHFVVATNIIENGVT -LDIDVVVDFGLKVSPFLDIDNRSIAYNKVSVSYGERIQRLGRVGRFKKGVALRIGHTEKGIIEIPSMVAT -EAALACFAYNLPVMTGGVSTSLIGNCTVRQVKTMQQFELSPFFIQNFVAHDGSMHPVIHDILKKYKLRDC -MTPLCDQSIPYRASSTWLSVSEYERLGVALEIPKQVKIAFHIKEIPPKLHEMLWETVVKYKDVCLFPSIR -ASSISKIAYTLRTDLFAIPRTLILVERLLEEERVKQSQFRSLIDEGCSSMFSIVNLTNTLRARYAKDYTA -ENIQKLEKVRSQLKEFSNLDGSACEENLIKRYESLQFVHHQAATSLAKDLKLKGIWNKSLVAKDLIIAGA -VAIGGIGLIYSWFTQSVETVSHQGKNKSKRIQALKFRHARDKRAGFEIDNNDDTIEEFFGSAYRKKGKGK -GTTVGMGKSSRRFINMYGFDPTEYSFIQFVDPLTGRQIEENVYADIRDIQERFSEVRKKMVENDDIEMQA -LGSNTTIHAYFRKDWCDKALKIDLMPHNPLKVCDKTNGIAKFPERELELRQTGPAVEVDVKDIPAQEVEH -EAKSLMRGLRDFNPIAQTVCRLKVSVEYGASEMYGFGFGAYIVANHHLFRSYNGSMEVQSMHGTFRVKNL -HSLSVLPIKGRDIILIKMPKDFPVFPQKLHFRAPTQNERICLVGTNFQEKYASSIITETSTTYNIPGSTF -WKHWIETDNGHCGLPVVSTADGCIVGIHSLANNAHTTNYYSAFDEDFESKYLRTNEHNEWVKSWVYNPDT -VLWGPLKLKDSTPKGLFKTTKLVQDLIDHDVVVEQAKHSAWMFEALTGNLQAVATMKSQLVTKHVVKGEC -RHFTEFLTVDAEAEAEAFFRPLMDAYGKSLLNRDAYIKDIMKYSKPIDVGVVDRMHLRKPSIGLSSTCNV -HGFKKCAYVTDEQEIFKALNMKAAVGASYGCKKKDYFEHFTDADKEEIVMQSCLRLYKGLLGIWNGSLKA -ELRCKEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWDKLLRRLPENWV -YCDADGSQFDSSLTPYLINAVLTIRSTYMEDWDVGLQMLRNLYTEIVYTPISTPDGTIVKKFRGNNSGQP -STVVDNSLMVVLAMHYALIKECVEFEEIDSTCVFFVNGDDLLIAVNPEKESILDRMSQHFSDLGLNYDFS -SRTRRKEELWFMSHRGLLIEGMYVPKLEEERIVSILQWDRADLPEHRLEAICAAMIESWGYSELTHQIRR -FYSWLLQQQPFATIAQEGKAPYIASMALRKLYMDRAVDEEELRAFTEMMVALDDEFELDSYEVHHQANDT -IDAGGSNKKDAKPEQGSIQPNPNKGKDKDVNAGTSGTHTVPRIKAITSKMRMPTSKGATVLNLEHLLEYA -PQQIDISNTRATQSQFDTWYEAVRMAYDIGETEMPTVMNGLMVWCIENGTSPNVNGVWVMMDGNEQVEYP -LKPIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDMGLARYAFDFYEVTSRTPVRAR -EAHIQMKAAALKSAQPRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKNM - ->NP_041276.1 polyprotein [Pepper mottle virus] -MATSVIQFGSFVCNLPKSQPLCTTVHCPKQSMSTNIVRPSDPFAELEKHLEPYLQKRMDATIRQTKGGTL -VYKHMSEAKRARKLRKKQREEEEVRLFMNAAPYIVSNITIGGGEVPSKMEEVSIKRPLNKTPSRKIKKSL -TPVTFRDGHMNKFLRELRDCATRNSMTVHLIGKRKTELAFKRRASLNAVYATLHHMRGVDRKRDIVLEEW -MNDYVLNLSKVSTWGSLFHAESLKRGDSGLILNARALRGKFGRCSRGFFIVRGKSDGVVLDARSKLSMAT -VTHMEQYSTPEAFWSGLEKKWSVVRKPTAHTCKPTYSVSNCGEVAAIIAQALFPCHKLTCGECSKEICDL -TSNECVQELYKNTSLALERMNNLHPEFQHIVKVLSVVRQLTEASNHGTETFDEIFKMIGSKTQSPFTHLN -KLNEFMLKGNENTSGEWLTARQHLRELVRFQKNRTDNIKKGDLASFRNKLSARAQYNLYLSCDNQLDKNA -SFLWGQREYHARRFFLNFFQQIDPSKGYLAYEDRTIPNGSRKLAIGNLIVPLDLAEFRKRMNGIDTQQPP -IGKYCTSQLDGNFVYPCCCTTLDDGQPIRSAVYAPTKKHLVVGNTGDTKYINLPKGDTEMLYIALDGYCY -INIYLAMLVNISEEEAKDFTKKVRDIFMPKLGKWPTLMDLATTCAQLRIFHPDVHDAELPRILVDHNTQT -CHVVDSYGSISTGYHILKAATVSQLVLFADDNLESEIKHYRVGGIVENHKVQIDNQPSRCGVSEFHAIRM -LIKGIYRPSVMYELLSEEPYLLVFSILSPSILIAMYNDRAFELAVQIWLEKEQSIPLIATILTNLAAKVS -VATTLVQQLQLIELSADQLLNVTCDGFRVSFAYQSALTLLTRMRDQAKANSELISGGFNEYDQDLAWTLE -KNYQGLLHDQWKELSSLEKFRYYWSSRKRKTRLRSNIKSRSSPVASAISSLSLKPFMGKVFSHMKAGAVC -TKQGTKNFIDARCLGISTYFVGSLMRKFPSAKVLLSSLFVLGALLNITHAANRIIIDNRISREHAAALEL -YRKEDTCHELYTALERKLGEKPTWDEYCSYVAKINPAMLEFIKDSYDEKQVVHQRSTEDLKKVEHIIAFV -TLAIMLFDSERSDCVFKTLNKFKGVVCSLGSGVRHQSLDDFVSTMDEKNFVVDFELNDSVQRKNLTTEIT -FESWWDEQVARGFTIPHYRTEGRFMEFTRATAAKVASDISISSERDFLIRGAVGSGKSTGLPHHLSTYGR -VLLIEPTRPLAENVFKQLSGGPFFLKPTMRMRGNSVFGSSPISVMTSGFALHFFANNITQLQEIQFIIID -ECHVMDASSMAFRSLIHTYHTNCKVLKVSATPPGREVEFTTQFPVKLVVEDSLSFKTFVESQGTGSNCDM -IQYGNNLLVYVASYNEVDQLSKLLVAREFNVTKVDGRTMKHGELEIVTRGTKSKPHFVVATNIIENGVTL -DIDVVIDFGMKVSPFLDVDNRSVAYNKVSISYGERIQRLGRVGRIQKGTALRIGHTEKGLIEIPQMISTE -AALYCFAYNLPVMSSGVSTSMIKNCTIPQVRTMHTFELSPFFMYNFVSHDGTMHPVVHETLKRYKLRDSV -IPLSESSIPYRASSDWITAGDYRRIGVKLDIPDETRIAFHIKTFHRKFTNNLWESVLKYKASAAFPTLRS -SSITKIAYTLSTDLYAIPRTLAVVESLLEDERTKQYQFKSLIDNGCSSMFSVVGISNALRAKYSKDHTVE -NINKLETVKAQLKEFHNLNGSGDELNLIKRFESLQFVHHQSKSSLAKALGLRGVWNKSLIVRDAIIAAGV -ACGGAWLLYTWFTAKMSEVSHQGRSKTKRIQALKFRKARDKRAGFEIDNNEDTIEEYFGSAYTKKGKGKG -TTVGMGRTNRRFINMYGFEPGQFSYIKFVDPLTGAQMEENVYADIVDVQEKFGDIRRQMILDDELDRRQT -DVHNTIHAYLIKDWSNKALKVDLTPHNPLRVSDKASAIMKFPEREGELRQTGQAVEVDVCDIPKEVVKHE -AKTLMRGLRDYNPIAQTVCKLTVKSELGETSTYGLGFGGLIIANHHLFKSFNGSLEVKSHHGVFRVPNLM -AISVLPLKGRDMIIIKMPKDFPVFPQRLKFREPASTDRVCLIGSNFQERYISTTVSEISATHPVPRSTFW -KHWISTDDGHCGLPIVSTTDGFILGLHSLANNRNSENYYTAFDSDFEMKILRSGENTEWVKNWKYNPDTV -LWGPLQLTKGTPSGMFKTTKMIEDLLAFKSESVREQAHTSSWMLEVLKENLKAIAYMKSQLVTKHVVKGE -CMMFKQYLQENPRANEFFQPKMWAYGKSMLNKEAYIKDIMKYSKVIDVGVVDCDRHLRKLSLELLYTQIH -GFRKCSYITDEEEIFKALNITTAVGAMYGGKKKEYFEKFTTEDKAEILRQSCLRLYTGKLGVWEWALKAE -LRSKEKIEANKTRTFTAAPIDTLLGGKVCVDDLNNQFYSKNIECCWTVGMTKFYGGWDKLLTALPAGWIY -CDADGSQFDSSLTPYLINAVLTIRYAFMEDWDIGYKMLQNLYTEIIYTPISTPDGTIVKKFRGNNSGQPS -TVVDNSLMVVLAMHYAFVREGIAFEEIDSICKFFVNGDDLLIAVNPERESLLDTLSNHFSDLGLNYDFSS -RTRNKSELWFMSHCGISVEGTYIPKLEEERIVSILQWDRAELPEYRLEAICAAMIESWGYPQLTHEIRRF -YSWLIEKNPYADLASEGKAPYISELALKKLYLNQDVQMMSFRSYLKYFADADEEFECGTYEVRHQSSSRS -DTLDAGEEKKKNKEVATVSDGMGKKEVESTRDSDVNAGTVGTFTIPRIKSITEKMRMPKQKRKGVLNLAH -LLEYKPSQVDISNTRSTQAQFDNWYCEVMKAYDLQEEAMGTVMNGLMVWCIENGTSPNISGTWTMMDGDE -QVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKQEPYMPRYGLVRNLRDMGLARYAFDFYEVTSRT -STRAREAHIQMKAAALKSAQTRLFGLDGGIGTQGENTERHTTEDVSPDMHTLLGVREM - ->sp|P0CJ93.2|MVP_PVYN RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATYMSTICFGSFECKLPYSPASCEHIVKEREVPASVDPFADLETQLSARLLKQKYATVRVLKNGTFTYR -YKTDAQIMRIQKKLERKDREEYHFQMAAPSIVSKITIAGGDPPSKSEPQAPRGIIHTTPRMRKVKTRPII -KLTEGQMNHLIKQIKQIMSEKRGSVHLISKKTTHVQYKKILGAYSAAVRTAHMMGLRRRVDFRCDMWTVG -LLQRLARTDKWSNQVRTINIRRGDSGVILNTKSLKGHFGRSSGGLFIVRGSHEGKLYDARSRVTQSILNS -MIQFSNADNFWKGLDGNWARMRYPSDHTCVAGLPVEDCGRVAALMAHSILPCYKITCPTCAQQYASLPVS -DLFKLLHKHARDGLNRLGADKDRFIHVNKFLIALEHLTEPVDLNLELFNEIFKSIGEKQQAPFKNLNVLN -NFFLKGKENTAHEWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSAKANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNFFEEIDPAKGYSAYEIRKHPSGTRKLSIGNLVVPLDLAEFRQKMKGDYRKQPGVSK -KCTSSKDGNYVYPCCCTTLDDGSAIESTFYPPTKKHLVIGNSGDQKFVDLPKGDSEMLYIAKQGYCYINV -FLAMLINISEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMRIFYPDVHDAELPRILVDHDTQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGVPNASPELGSTISPFREGGVIMSESAALK -LLLKGIFRPKVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVRLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDAAATDLLDATCDGFNLHLTYPTALMVLQVVKNRNECDDTLFKAGFPSYNTSVVQIM -EKKLSKSLERCLERFNLARKLSATWYSYRAKRSIHSVHKTHRKGRFERVIQHITTSVLGPKRPGGQRHCL -RIERAI - ->sp|P0CK06.2|MVP_PVYHU RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATYTSTIQIGSIECKLPYSPAPFGLVAGKREVSTTTDPFASLEMQLSARLRRQEFATIRTSKNGTCMYR -YKTDAQIARIQKKREEREREEYNFQMAASSVVSKITIAGGEPPSKLESQVRKGVIHTTPRMRTAKTYRTP -KLTEGQMNHLIKQVKQIMSTKGGSVQLISKKSTHVHYKEVLGSHRAVVCTAHMRGLRKRVDFRCDKWTVV -RLQHLARTDKWTNQVRATDLRKGDSGVILSNTNLKGHFGRSSEGLFIVRGSHEGKIYDARSKVTQGVMDS -MVQFSSAESFWEGLDGNWAQMRYPTDHTCVAGIPVEDCGRVAAIMTHSILPCYKITCPTCAQQYANLPAS -DLLKILHKHASDGLNRLGADKDRFVHVKKFLTILEHLTEPVDLSLEIFNEVFKSIGEKQQSPFKNLNILN -NFFLKGKENTAREWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSAKANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNYFEEIDPAKGYSAYENRLHPNGTRKLAIGNLIVPLDLAEFRRKMKGDYKRQPGVSK -KCTSSKDGNYVYPCCCTTLDDGSAVESTFYPPTKKHLVIGNSGDQKYVDLPKGNSEMLYIARQGFCYINI -FLAMLINISEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMKIFYPDVHDAELPRILVDHETQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGIPNACPELGSTISPFREGGVIMSESAALK -LLLKGIFRPKVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVKLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDTAATDLLDATCDGFNLHLTYPTALMVLQVVKNRNECDDTLFKAGFPSYNTSVVQIM -EKKLSKSLERCLERFNLAGKIIRNMVLIQSKTLYHSVHKTHRKGRFERVIQHITTSILGPRCPGGQRHCL -RIERAI - ->sp|P0CK01.2|MVP_PEMVC RecName: Full=P3N-PIPO polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Movement protein P3N-PIPO; AltName: Full=Pretty interesting potyviridae ORF; Short=PIPO -MATSVIQFGSFVCNLPKSQPLCTTVHCPKQSMSTNIVRPSDPFAELEKHLEPYLQKRMDATIRQTKGGTL -VYKHMSEAKRARKLRKKQREEEEVRLFMNAAPYIVSNITIGGGEVPSKMEEVSIKRPLNKTPSRKIKKSL -TPVTFRDGHMNKFLRELRDCATRNSMTVHLIGKRKTELAFKRRASLNAVYATLHHMRGVDRKRDIVLEEW -MNDYVLNLSKVSTWGSLFHAESLKRGDSGLILNARALRGKFGRCSRGFFIVRGKSDGVVLDARSKLSMAT -VTHMEQYSTPEAFWSGLEKKWSVVRKPTAHTCKPTYSVSNCGEVAAIIAQALFPCHKLTCGECSKEICDL -TSNECVQELYKNTSLALERMNNLHPEFQHIVKVLSVVRQLTEASNHGTETFDEIFKMIGSKTQSPFTHLN -KLNEFMLKGNENTSGEWLTARQHLRELVRFQKNRTDNIKKGDLASFRNKLSARAQYNLYLSCDNQLDKNA -SFLWGQREYHARRFFLNFFQQIDPSKGYLAYEDRTIPNGSRKLAIGNLIVPLDLAEFRKRMNGIDTQQPP -IGKYCTSQLDGNFVYPCCCTTLDDGQPIRSAVYAPTKKHLVVGNTGDTKYINLPKGDTEMLYIALDGYCY -INIYLAMLVNISEEEAKDFTKKVRDIFMPKLGKWPTLMDLATTCAQLRIFHPDVHDAELPRILVDHNTQT -CHVVDSYGSISTGYHILKAATVSQLVLFADDNLESEIKHYRVGGIVENHKVQIDNQPSRCGVSEFHAIRM -LIKGIYRPSVMYELLSEEPYLLVFSILSPSILIAMYNDRAFELAVQIWLEKEQSIPLIATILTNLAAKVS -VATTLVQQLQLIELSADQLLNVTCDGFRVSFAYQSALTLLTRMRDQAKANSELISGGFNEYDQDLAWTLE -KKLSRPLTRPMERIKLAGKISLLLVLKKAKDSFAVKYQKQKFARCQRNIQFITETIYGKGFLPHESRSSV -HQARN - ->sp|P07993.2|POLG_PEMV RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -YVPKLEEERIVIYSTMDRADLAEHRLEAICAAMIESWGYSELTHQIRRFYSWLLQQQPFASIAQEGKAPY -IASMALRKLYMDRAVDEEELRVFTEMMVALDDEFECDSYEVHHQANDTIDTGGNSKKDVKPEQGSIQPSS -NKGKEKDVNAGTSGTHTVPRIKAITAKMRMPKSKGAAVLKLDHLLEYAPQQIDISNTRATQSQFDTWYEA -VRVAYDIGETEMPTVMNGLMVWCIENGTSPNINGVWVMMDGSEQVEYPLKPIVENAKPTLRQIMAHFSDV -AEAYIEMRNKKEPYMPRYGLVRNLRDASLARYAFDFYEVTSRTPVRAREAHIQMKAAALKSAQSRLFGLD -GGVSTQEENTERHTTEDVSPSMHTLLGVKNM - ->sp|Q02963.1|POLG_PVYHU RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3; Contains: RecName: Full=6 kDa protein 1; Short=6K1; Contains: RecName: Full=Cytoplasmic inclusion protein; Short=CI; Contains: RecName: Full=6 kDa protein 2; Short=6K2; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; Contains: RecName: Full=Nuclear inclusion protein A; Short=NI-a; Short=NIa; AltName: Full=49 kDa proteinase; Short=49 kDa-Pro; AltName: Full=NIa-pro; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-b; Short=NIb; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MATYTSTIQIGSIECKLPYSPAPFGLVAGKREVSTTTDPFASLEMQLSARLRRQEFATIRTSKNGTCMYR -YKTDAQIARIQKKREEREREEYNFQMAASSVVSKITIAGGEPPSKLESQVRKGVIHTTPRMRTAKTYRTP -KLTEGQMNHLIKQVKQIMSTKGGSVQLISKKSTHVHYKEVLGSHRAVVCTAHMRGLRKRVDFRCDKWTVV -RLQHLARTDKWTNQVRATDLRKGDSGVILSNTNLKGHFGRSSEGLFIVRGSHEGKIYDARSKVTQGVMDS -MVQFSSAESFWEGLDGNWAQMRYPTDHTCVAGIPVEDCGRVAAIMTHSILPCYKITCPTCAQQYANLPAS -DLLKILHKHASDGLNRLGADKDRFVHVKKFLTILEHLTEPVDLSLEIFNEVFKSIGEKQQSPFKNLNILN -NFFLKGKENTAREWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSAKANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNYFEEIDPAKGYSAYENRLHPNGTRKLAIGNLIVPLDLAEFRRKMKGDYKRQPGVSK -KCTSSKDGNYVYPCCCTTLDDGSAVESTFYPPTKKHLVIGNSGDQKYVDLPKGNSEMLYIARQGFCYINI -FLAMLINISEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMKIFYPDVHDAELPRILVDHETQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGIPNACPELGSTISPFREGGVIMSESAALK -LLLKGIFRPKVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVKLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDTAATDLLDATCDGFNLHLTYPTALMVLQVVKNRNECDDTLFKAGFPSYNTSVVQIM -EKNYLNLLNDAWKDLTWREKLSATWYSYRAKRSITRYIKPTGRADLKGLYNISPQAFLGRGAQVVKGTAS -GLSERFNNYFNTKCVNISSFFIRRIFRRLPTFVTFVNSLLVISMLTSVVAVCQAIILDQRKYRREIELMQ -IEKNEIVCMELYASLQRKLERDFTWDEYIEYLKSVNPQIVQFAQAQMEEYDVRHQRSTPGVKNLEQVVAF -MALVIMVFDAERSDCVFKTLNKFKGVLSSLDHEVRHQSLDDVIKNFDERNETIDFELSEDTIRTSSVLDT -KFSDWWDRQIQMGHTLPHYRTEGHFMEFTRATAVQVANDIAHSEHLDFLVRGAVGSGKSTGLPVHLSVAG -SVLLIEPTRPLAENVFKQLSSEPFFKKPTLRMRGNSIFGSSPISVMTSGFALHYFANNRSQLAQFNFVIF -DECHVLDPSAMAFRSLLSVYHQACKVLKVSATPVGREVEFTTQQPVKLIVEDTLSFQSFVDAQGSKTNAD -VVQFGSNVLVYVSSYNEVDTLAKLLTDKNMMVTKVDGRTMKHGCLEIVTRGTSARPHFVVATNIIENGVT -LDIDVVVDFGLKVSPFLDIDNRSIAYNKVSVSYGERIQRLGRVGRFKKGVALRIGHTEKGIIENPSMIAT -EAALACFAYNLPVMTGGVSTSLIGNCTVRQVKTMQQFELSPFFIQNFVAHDGSMHPVIHDILKKYKLRDC -MTPLCDQSIPYRASSTWLSVSEYERLGVALEIPKQVKIAFHIKEIPPKLHEMLWETVVKYKDVCLFPSIR -ASSISKIAYTLRTDLFAIPRTLILVERLLEEERVKQSQFRSLIDEGCSSMFSIVNLTNTLRARYAKDYTA -ENIQKLEKVRSQLKEFSNLDGSACEENLIKRYESLQFVHHQAATSLAKDLKLKGTWKKSLVAKDLIIAGA -VAIGGIGLIYSWFTQSVETVSHQGKNKSKRIQALKFRHARDKRAGFEIDNNDDTIEEFFGSAYRKKGKGK -GTTVGMGKSSRRFVNMYGFDPTEYSFIQFVDPLTGAQIEENVYADIRDIQERFSDVRKKMVEDDEIELQA -LGSNTTIHAYFRKDWSDKALKIDLMPHNPLKICDKSNGIAKFPERELELRQTGPAIEVDVKDIPKQEVEH -EAKSLMRGLRDFNPIAQTVCRVKVSAEYGTSEMYGFGFGAYIIVNHHLFKSFNGSMEVRSMHGTFRVKNL -HSLSVLPIKGRDIIIIKMPKDFPVFPQKLHFRAPVQNERICLVGTNFQEKHASSIITETSTTYNVPGSTF -WKHWIETNDGHCGLPVVSTADGCLVGIHSLANNVQTTNYYSAFDEDFESKYLRTNEHNEWTKSWVYNPDT -VLWGPLKLKESTPKGLFKTTKLVQDLIDHDVVVEQAKHSAWMYEALTGNLQAVATMKSQLVTKHVVKGEC -RHFKEFLTVDSEAEAFFRPLMDAYGKSLLNREAYIKDIMKYSKPIDVGIVDCDAFEEAINRVIIYLQVHG -FQKCNYITDEQEIFKALNMKAAVGAMYGGKKKDYFEHFTEADKEEIVMQSCPRLYKGSLGIWNGSLKAEL -RCKEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWDRLLRRLPENWVYC -DADGSQFDSSLTPYLINAVLIIRSTYMEDWDLGLQMLRNLYTEIIYTPISTPDGTIVKKFRGNNSGQPST -VVDNSLMVVLAMHYALIKECVEFEEIDSTCVFFVNGDDLLIAVNPEKESILDRMSQHFSDLGLNYDFSSR -TRRKEELWFMSHRGLLIEGMYVPKLEEERIVSILQWDRADLPEHRLEAICAAMIESWGYSELTHQIRRFY -SWLLQQQPFSTIAQEGKAPYIASMALKKLYMNRTVDEEELKAFTEMMVALDDELECDTYEVHHQGNDTID -AGGSTKKDAKQEQGSIQPNLNKEKEKDVNVGTSGTHTVPRIKAITSKMRMPKSKGAAVLNLKHLLEYAPQ -QIDISNTRATQSQFDTWYEAVQLAYDIGETEMPTVMNGLMVWCIENGTSPNINGVWVMMDGDEQVEYPLK -PIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLVRNLRDGSLARYAFDFYEVTSRTPVRAREA -HIQMKAAALKSAQSRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKNM - ->sp|P11897.1|POLG_PVYYO RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -VALDDEFEFDSYEVHHQANDTIDAGGNNKKDAKPEQSSIQSNLSKGKDKDVNVGTSGTHTVPRIKAITSK -MRMPRSKGVAALNLEHLLEYAPQQIDISNTRATQSQFDTWYEAVRMAYDIGQTEMPTVMNGLMVWCIENG -TSPNINGVWVMMDGNEQVEYPLKPIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDI -SLARYAFDFYEVTSRTPVRAREAHIQMKAAALKSAQPRLFGLDGGISTQEENTERHTTEDVSPSMHTLLG -GKNM - ->sp|P22602.1|POLG_PVYO RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3 -MATYMSTICFGSFECKLPYSPASCGHIVKEREVPASVDPFADLETQLSARLRKQEYATVRVLKNGTFTYR -YKTDAQIMRIQKKLERKDREEYHFQMAAPSIVSKITIAGGDPPSKSEPQAPRGIIHTTPKVRKVKTRPII -KLTEGQMNHLIKQVKQIMSEKRGSVHLISKKTTHVQYKEILGATRAAVRTAHMMGLRRRVDFRCDTWTVG -LLQRLARTDKWSNQVRTIHVRRGDSGVILNTKSLKGHFGRSSGDLFIVRGSHEGKLYDARSRVTQSVLNS -MIQFSNADNFWKGLDGNWARMRYPSDHTCVAGLPVADCGRVAALTRHSILPCYKITCPTCAQQYASLPVS -DLFKLLHKHARDGLNRLGADKDRFIHVNKFLMALEHLTEPVDLNLELFNEIFKSIGEKQQAPFKNLNVLN -NFFLKGKENTAHEWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSARANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNFFDEIDPAKGYSAYEIRKHPNGTRKLSIGNLVVPLDLAEFRQKMKGDYRKQPGVSR -KCTSSKDGNYVYPCCCTTLDDGSAIESTFYPPTKKHLVIGNSGDQKFVDLPKGDSEMLYIAKQGYCYINV -FLAMLINISEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMRIFYPDVHDAELPRILVDHDTQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGVPNACPELGSTISPFREGGVIMSESAALK -LLLKGIFRPRVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVRLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDAA - ->sp|P21294.1|POLG_PVYCH RecName: Full=Genome polyprotein; Contains: RecName: Full=Nuclear inclusion protein B; Short=NI-B; Short=NIB; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -QQQPFATIAQEGKAPYIASMALRKLYMDRAVDEEELRAFTEMMVALDDEFEFDSYEVHHQANDTIDAVGD -NKKDAKPEQGSIQSNPNKGKEKDVNAGTSGTHTVPRIKAITPKMRMPKSKGATVLNLEHLLEYAPQQIDI -SNTRATQSQFDTWYEAVRMAYDIGETEMPTVMNGLMVWCIENGTSPNVNGVWVMMDGNEQVGYPLKPIVE -NAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDVGLARYAFDFYEVTSRTPVRAREAHIQM -KAAALKSAQPRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKNM - ->sp|P22601.1|POLG_PVYC RecName: Full=Genome polyprotein; Contains: RecName: Full=P1 proteinase; AltName: Full=N-terminal protein; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=Protein P3 -MAIYMSTICFGSIECKLPYSPASCGHVTEEREVLASVEPFMDLEAQLSARLLRQKHATVRVLKNGTCAYR -YKTDAQIVRIQKKLERKERDEYHFQMAAPSIVSKITIAGGDPPSKYEPQTPKRVIHTTPRVRKVKKHSII -KLTESQMNHLIKQVKRIMSAKKGSVHLINKKSTHVQYKEILGTTRAAVRTAHMMGLRRRVDFRCDMWTTE -RLKCLARTDKWSNRVHTINIRKGDSGVILNADSLKGHFGRSSGGLFIVRGSHEGKLYDARSKVTQGVLNS -MVQFSNAENFWKGLDDNWARMRYPSDHTCIDGLPVEDCGRVAALMTHSILPCYEITCPTCAQQYANLPAS -DLFKLLHKHARDGLSRLGSDKDRFVHVNKFLVALEHLTEPVDLNLELFNEIFKSIGEKQQAPFKNLNVLN -NFFLKGKENTAHEWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSAKANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNFFEEVDPAKGYSAYEIRKHPNGTRKLSIGNLVVPLDLAEFRQKMKGDYRKQPGVSK -RCTSSKDGNYVYPCCCTTLDDGSAIESTFYPPTKKHLVIGNSGDQKYVDLPKGDSEMLYIAKQGYCYINV -FLAMLINVSEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMRIFYPDVHDAELPRILVDHDTQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESEIKHYRVGGVPNACPELGSTISPFREGGVIMSESAALK -LLLKGIFRPKVMRQLLLDEPHLLILSILSPGILMAMYNNGIFELAVRLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDAA - ->AGD94585.1 polyprotein [Brugmansia mosaic virus] -METGKATIMFGTFECTLERSVTVAPQTVAVVPKTTKMTEKVVVDPFAKLEQQLRPYLEKRLFATIRKKTN -GTLVYKHMTEKKRERKIRAAEKRRREEEAFQQAGPYFVTSITIAGGPAPSAELTEKVVKKPLHKTPSMKV -KKQFKNTALNTQQFEALIKKIRRIAANNELQVEMIGKRSQSLSFKRSASITRAYANVAHLSGKTLRVDFK -SEEWCRKYITILARHAEWNKRVEVNSLRKGDSGLILNSRSIVGPQGRCFDDIFIVRGMCDGVLIDARSKV -TESVMLRMIQYSTADNFWKGLESKWNTYKASDNHVCEPTFSVNKCGEVAAIVTQALLPCQKLTCGKCATQ -FTELAKTDLMIQLHASLSTGIERMHDRAPEFAHVIRLLQTLYNFSDAATGGTEIFEEVFKMIGARQNSPF -SHLNKLNEFFLKGSENTSEDWIEARDNLVALARFQKNRTDNIRKGDIASFRNKLTAKAHYNLYLSCDNQL -DKNANFLWGQREYHAKRFFSNFYTEIDPALGYASYEDRIHPNGSRKLAIGNLIVPLDLADFRKKMGGVDT -QQPAISKKCVSLVEGNFVYPCCCTTMDDGTPVKSMVYSPTKKHLVVGNSGDSKFVDLPKGETEMLYIAKD -GFCYINIYLAMLINVREEEAKDFTKKVRDIFIPKLGKWPTIMDLATTCAQLRIFYPDVHDAELPRILVDH -NTQTCHVVDSYGSLSSGYHILKASTVSQLTLFANDDMDSEIKHYRVGGFELESQYCASRNIDARVQIHDE -PQRCGVSEFHAMKLLLKGIYKPEVMRDLLVDEPYIMIFSILSPSVLVAMFENKAFEQATTLWIHKDQSIA -LIATILSNLAAKVTVANVLTRQLQLIENTSEQLLDATCEGFRMNLAYQSALTLLARMRERARSNTELIVG -GFDSLDYDIIYTMEKNYQDLLREQWCALTWREKLSAVWCARKQKSSLQKQRLVAKTADLKETFAFSPRQC -MEEAIQRTQSTTKKVKDRVWQFIDNKCVNISSYVVSVIINRIPSARVCISSLFLMGGLLNIMNAAHRLMI -DTQRDKLHLEMLERTRKENACDELYVILERKLGMVPTWEEYCDYVKQINPELFQFIEDNYSPHQVVHQKS -FDELKKLEHIVAFISLVVMIFDAERSDCVFKTLNKFRGIVGSLNSNVHHQSLDDIANTFEDKNLVVDFEL -NDDVLQSNVGNEIHFGTWWEEQVNRGATIPHYRTEGKFVEFTRATAAKIASDIAIGPEKDYLVRGAVGSG -KSTGLPYNLSSFGTVLLIEPTRPLAENVYNQLTGAPFFLKPTMRMRGNSVFGSSPISVMTSGFALHFFAN -NITHLQEIDFILIDECHVMDASAMAFRSLIHAFHSRCKVLKVSATPPGREAEFSTQHPVKLIVEENLSFK -SFVEAQRSGSNCDVVQHGNNILVYVASYNEVDQLSKLLTDKSFAVTKVDGRTMKHGSSEIVTTGTSLKPH -FIVATNIIENGVTLDIDVVVDFGMKVSPFLDVDNRAISYNKISISYGERIQRLGRVGRFQQGTALRIGHT -EKGLVEIPQIISTEAALYCFAYNLPVMSSGVSVSLLSKCTIKQVRVMHNFELSPFFMYNFVSFDGTMHPV -IHEVLKKYKLRDSMISLSESAIPYRASSEWMTAGDYSKIGVKLDIKDDVRIAFHSKDIPPRIHEKLWEAV -LKYKTASAFPTLRSASISKIAYTLSTDLYAIPRTLALVEKLIEDERTKQYQFRSLIDNGCSSMFSIVGIT -NVLRARYSKDFTTENIQKLESVKAQLKEFHNLNGTGDEINLIKKYESLQFVHHQSKKDLIKALALRGIWN -KSLLAKDLIVACGLAGGGIWILYTWFMNRMSGVSHQGKNKSKRIQALKFRKARDKRMGYEIDNNQDTIEE -YFGSAYTKKGKGVGTKVGMGKTNRRFINMYGFEPGEFSYIKFVDPLTGAQIEENVYADIVDIQKQFGEIR -GELLEKDELEPQHIYSNTTIQAYFMKDWSDKALRVDLTPHNPLRVSDKSSSIMKYPEREGELRQTGKPVE -VDVKDIPKEKVTVAHEAKALLRGLRDYNPIAQIICKLTVHSEAGSTSTFGLGFGGLIIANHHLFKSFNGT -LEVRSHHGLFKVPNLKSIHVRPLTGKDIIIVRMPKDFPAFPQRLHFREPNDAERVCLIGSNFQERYISTT -VSETSAIHPVQRSTFWKHWITTDEGHCGLPIVSTHDGYVVGLHSLANNRNSENYFTAFDCKFEETYLRNV -EAVDWVKDWKYNPDTVLWGPLKLTKDTPSGMFKTTKMIQDLFAFETDEVREQGENSSWMFNALQENLIAV -AYMKSQLVSKHVVKGECILFSRYLDENKEAKDFFQPKMWAYGKSLLNREAYIKDLMKYSKPVEVGVLDCD -AFEEATSRVIIYMQKHGFRKCTYITDENAIFSALNMNTAVGAMYGGKKKDYFEKFSQEDKAEILKQSCLR -LYKGQLGVWNGSLKAELRTKEKIEANKTRTFTAAPIDTLLAGKVCVDDFNNQFYSMNIDCCWTVGMTKFY -GGWNKLLTAIPDGWVYCDADGSRFDSSLTPYMINAVLAIRYAFMEDWDIGFKMLQNLYTEIVYTPISTPD -GTIVKKFRGNNSGQPSTVVDNSLMVVLAMNYAFVKEGFLFEEIESICKFFVNGDDLLIAVRPDKEDFLDK -LQKHFLELGLDYTFSSRTRDKTELWFMSHCGISVEGTYIPKLEEERIVSILQWDRASKPEYRLEAICAAM -IEAWGYPQLIHEIRKFYSWMLQQPPYKDLASEGKAPYISELALKKLYLNTDIQNEELDAYLRLFAELDDE -FECAEYEVYHQSAEESSTADVGKGSKPIKEKKEITTPIMKEANVSKGEEPDVNVGSTGTYSVPRIKAITS -KMRMPKAKSQIVLNLEHLLEYKPAQIDISNARSTQSQFDNWYSEVQKAYDIQDSEMQTTMNGLMVWCIEN -GTSPNINGVWTMMEGAEQVEFPLKPVIENAKPSFRQIMAHFSDVAEAYIEMRNXQEPYMPRYGLVRNLRD -MSLARYAFDFYEVTSRTSVRAREAHTQMKAAALKSSQTRMFGLDGGISTQEENTERHTTEDVSPNMHTLL -GVRNM ->AFN84612.2 polyprotein [Potato virus Y] -MATYMSPICFGSFECKLPYSPASCGHIVKEREVLASVDPFADLETQLSARLLKQEYATVRVLKNGTLTYR -YKTDAQIAPIQKKLERKDREEYHFQMAAPSIVSKITIAGGDPPSKSEPQAPRGIIHTTPRMRKVKTRPII -KLTEGQMNHLIKQVKQIMSEKKGSVYLISKKTTHVQYKEILGATRAAVRTAHMMGLRRRVDFRCDMWTVG -LLQRLARTDKWSDQVRTINIRRGDSGVILNTKSLKGHFGRSSGDLFIVTWITRRXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXFVTCVCQSLEPGQLRWILATTCAQMRIFYPDVHDAELPRILADHDTQTCH -VVDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRIGGIPNACPELGSTISPFREGGVIMSESAAL -KLLLKGIFRPKVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVRLWINEKQSIAMIASLLSALALR -VSAAETLVAQRIIIDAAATDLLDATCDGFNLHLTYPTALMVLQVVKNRNECDDTLFKAGFPSYNTSVVQI -MEKNYLNLLNDAWKDLTWREKLSATWYSYRAKRSITRYIKPTGRADLKGLYNISPQAFLGRSAQVVKGTA -SGLSERFNNYFNTKCVNISSFFIRRIFRRLPTFVTFVNSXXXXXXXXXXXXXCPAIILDQRKYRREIELM -QIEKNEIVCMELYASLQRKLERDFTWDEYIEYLKSVNPQIVQFAQAQMEEYDVRHQRSTPGVKNLEQVVA -FMALVIMVFDAERSDCVFKTLNKFKGVLSSMDYEVRHQSLDDVIKNFDERNEIIDFELSEDTIRTSSVLD -TKFSDWWDRQIQMGHTLPHYRTEGHFMEFTRATAVQVANDIAHSEHLDFLVRGAVGSGKSTGLPVHLSVA -GSVLLIEPTRPLAENVFKQLSSEPFFKKPTLRMRGNSIFGSSPISVMTSGFALHYFANNRSQLAQFNFVI -FDECHVLDPSAMAFRSLLSVYHQACKVLKVSATPVGREVEFTTQQPVKLIVEDTLSFQSFVDAQGSKTNA -DVVQFGSNVLVYVSSYNEVDTLAKLLTDKNMMVTKVDGRTMKHGCLEIVTKGTSARPHFVVATNIIENGV -TLDIDVVVDFGLKVSPFLDIDNRSIAYNKVSVSYGERIQRLGRVGRFKKGVALRIGHTEKGIIEIPSMIA -TEAALACFAYNLPVMTGGVSTSLIGNCTVRQVKTMQQFELSPFFIQNFVAHDGSMHPVIHDILKKYKLRD -CMTPLCDQSIPYRASSTWLSVSEYERLGVALEIPKQVKIAFHIKEIPPKLHEMLWETVVKYKDVCLFPSI -RASSISKIAYTLRTDLFAIPRTLILVERLLEEERVKQSQFRSLIDEGCSSMFSIVNLTNTLRARYAKDYT -AENIQKLEKVRSQLKEFSNLDGSACEENLIKRYESLQFVHHQAATSLAKDLKLKGTWKKSLVAKDLIIAG -AVAIGGIGLIYSWFTQSVETVSHQGKNKSKRIQALKFRHARDKRAGFEIDNNDDTIEEFFGSAYRKKGKG -KGTTVGMGKSSRRFINMYGFDPTEYSFIQFVDPLTGAQIEENVYADIRDIQERFSEVRKKMVENDDIEMQ -ALGSNTTIHAYFRKDWSDKALKIDLMPHNPLKXCDKXXXIAXFPERELELRQTGPAVEVDVKDIPAQEVE -HEAKSLMRGLRDFNPIAQTVCRLKVSVEYGTSEMYGFGFGAYIIANHHLFRSYNGSMEVRSMHGTFRVKN -LHSLSVLPIKGRDIILIKMPKDFPVFPQKLHFRAPTQKERVCLVGTNFQEKYASSIITETSTTYNIPGST -FWKHWIETDNGHCGLPVVSTADGCIVGIHSLANNAHTTNYYSAFDEDFESKYLRTNEHNEWVKSWVYNPD -TVLWGPLKLKDSTPKGLFKTTKLVQDLIDHDVVVEQAKHSAWMFEAMTGNLQAVATMKSQLVTKHVVKGE -CRHFKEFLTVDAEAEAFFRPLMDAYGKSLLNRDAYIKDIMKYSKPIDVGVVDCDAFEEAINRVIIYLQVH -GFKKCAYVTDEQEIFKALNMKAAVGAMYGGKKKDYFEHFTDADKEEIVMQSCLRVYRGLAGIIKRSLKAE -LRCKEKILANQTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMIXFYGGXDKLLRRLPENWVY -CDADGSQFDSSLTPYLINAVLTIRSTYMEDWDVGLQMLRNLYTEIVYTPISTPDGTIVKKFRGNNSGQPS -TVVDNSLMVVLAMHYAFIKECIEFEEIDSTCGFFVNGDDLLIAVNPDKEGILDRLSQHFSDLGLNYDFSS -RTRNKEELWFMSHRGLLIEGMYVPNPEEERIVSILQWHRADLSEHRLEAICAAMIESWGYSELTHQIRRF -YSWLLQQQPFATIAQEGKAPYIASMALRKLYMDRTVDEEELRAFTEMMVAXXDEXEFDSYXVHHQANDTI -DAGGSSKKDARPEQGSIQSNLNKGKDKDVNAGTSGTHTVPRIKAITSKMRMPKSKGATVLNLEHLLEYAP -QQIDISNTRATQSQFDTWYEAVRMAYDIGETEMPTVMNGLMVWCIENGTSPNVNGVWVMMDGNEQVEYPL -KPIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDVGLARYAFDFYEVTSRTPVRARE -AHIQMKAAALKSAQPRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKNM ->CAI65400.1 polyprotein [Potato virus Y strain C] -MATYMSTICFGSIECKLPYSPAFCGRVAEERDVLTSVDPFTNLEAQLSARLLRQEHATVRVLKNGTCAYR -YKTDAQIVRIQKRLERKERDEHHFQMAAPSVVSKITIAGGSPPSKSEPQTPKGIIHTTPRVRKVKKHSMI -KLTESQMNHLIKQVKQIMSAKRGSVLLINKKNTQVQYKEILGATRAAVRTAHMMGLRRRVDFRCDMWTTE -CLKSLARTDKWSDQVRTINVRKGDSGVILNANKLRGHFGRSSGGLFIVCGSHEGKLYDARSKVTQGVLNL -MVQFSNAENFWKGLDGNWARLRYPSDHTCVAGLPVEDCGRVAALMAHSILPCYKITCPTCAQQYANLPAS -DLFKLLHKHARDGLSRLGADKDRFVHVNKFLVALEHLTEPVDLNLELFNEIFKSIGEKQQVPFKNLNVLN -NFFLKGKENTAREWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSAKANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNFFEEIDPAKGYSAYEIRKHPNGTRKLSIGNLVVPLDLAEFRQKMKGDYRRRPGVSK -KCTSSKDGNYVYPCCCTTLDDGSAIESTFYPPTKKHLVIGNSGDQKYVDLPKGDSEMLYIAKQGYCYINV -FLAMLINISEEDAKDFTKRVRDMCVPKLGTWPTMMDLATTCAQMKIFYPDVHDAELPRILVDHDTQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGIPNACPELGSTISPFREGGVIMSESAALK -LLLKGIFRPKVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVRLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDAAATDLLDATCDGFNLHLTYPTALMVLQVVKNRNECDDTLFKAGFPSYSTSVVQIM -EKNYLDLLDDAWKDLTWREKLSATWHSYRAKRYITRFIKPTGKADLKGLYNISPQAFLGQSVQRVKGTAS -GLSDRFNNYFNTKCVNISSFFIRRIFRRLPTFVTFVNSLLVISMLTSVVAVCQAIILDQRKYRREIELMQ -IEKNEIVCMELYASLQRKLERDFTWDEYIEYLKSVNPQIVQFAQAQMEEYDVRHQRSTPGVKNLEQVVAF -MALVIMVFDAERSDCVFKTLNKFKGVLSSMDHEVKHQSLDDVIKNFDERNETIDFELSEDTIRTSSVLDT -KFSDWWDRQIQMGHTLPHYRTEGHFMEFTRATAVQVANDIAHSEHLDFLVRGAVGSGKSTGLPVHLSAAG -SVLLIEPTRPLAENVFKQLSSEPFFKKPTLRMRGNSIFGSSPISVMTSGFALHYFANNRSQLTQFNFIIF -DECHVLDPSAMAFRSLLSVYHQTCKVLKVSATPVGREVEFTTQQPVKLIVEDTLSFQSFVDAQGSKTNAD -VVQFGSNVLVYVSSYNEVDTLAKLLTDKNMMVTKVDGRTMKHGCLEIVTKGTSARPHFVVATNIIENGVT -LDIDVVVDFGLKVSPFLDIDNRSIAYNKVSVSYGERIQRLGRVGRFKKGVALRIGHTEKGIIEIPSMVAS -EAALACFAYNLPVMTGGVSTSLIGNCTVRQVKTMQQFELNSFFIQNFVAHDGSMHPVIHDILKKYKLRDC -MTPLCDQSIPYRASSTWLSVSEYERLGVVLNIPKQVKIAFHVKEIPPKLHEMLWETVVRYKDVCLFPSIR -ASSISKIAYTLRTDLFAIPRTLILVERLLEEERVKQSQFRSLIDEGCSSMFSIVNLTNTLRARYAKDYTA -ENIQKLEKVKSQLKEFSNLDGSACEENLMRRYESLQFVHHQATTSLAKDLKLKGVWKKSLVAKDLIIAGA -IAVGGIGLIYSWFTQSVETVSHQGKNKSKRIQALKFRHARDKRAGFEIDNNDDTIEEFFGSAYRRKGKGK -GTTVGMGKSSRRFINMYGFDPTEYSFIQFVDPLTGAQIEENVYADIRDIQERFSEVRKKMVEDDEIEMQA -LGSNTNIHAYFRKDWSDKALKIDLMPHNPLKICDKTNGIAKFPEREFELRQTGPAIEVDVKDIPKQEVEH -EAKSLMRGLRDFNPIAQTVCRLKVSVEYGTSEIYGLGFGAYIITNHHLFKSYNGSMEVRSMHGTFRVKNL -HSLCVLPIKGRDIILIKMPKDFPVFPQKVRFRAPTQNERICLVGTNFQEKYASSIITETSTTYNVPGSTF -WKHWIETDDGHCGLPVVSTADGCLVGIHSLANNVQTTNYYSAFDEDFESKYLRTNEHNEWIKSWVYNPDT -VLWGPLKLKKSTPKGLFKTTKLVQDLIDHDMVVEQAKHSAWMYEALTGNLQAVATMKSQLVTKHVVKGEC -RHFKEFLTVDAEAEAFFRPLMDAYGKSLLNRDAYIKDIMKYSKPIDVGIVDCDAFEEAINRVIIYLQMHG -FQKCAYVTDEQEIFKALNMKAAVGAMYGGKKKDYFEHFTDADKEEIVMQSCLRLYKGLLGIWNGSLKAEL -RCKEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWDKLLRRLPDKSGVY -CDADGSQFDSSLTPYLINAVLIIRSTYMEDWDVGLQMLRNLYTEIVYTPISTPDGTIVKKFRGNNSGQPF -TVVDNSLMVVLAMHYALIKECVEFEEIDSTCVFFVNGDDLLIAVNPEKESILDRLSQHFTDLGLNYDFSS -RTRNKEELWFMSHRGLLIEGMYVPKLEEERIVSILQWDRADLAEHRLEAICAAMIESWGYSELTHQIRRF -YSWLLQQQPFAAIAQEGKAPYIASMALRKLYMDRAVDEEELKAFTEMMVALDDEFEFDSYEVHHQVNETI -DAGGSSKKDARPEQGSIQSNPNKGKDKDVNVGTSGTHTVPRIKAITSKMRMPQSKGATVLNLEHLLEYAP -QQIDISNTRATQSQFDTWYEAVRVAYDIGETEMPTVMNGLMVWCIENGTSPNINGVWVMMDGNEQVEYPL -KPIVENAKPTLRQIMAHFSDVAEAYIEMRNQKEPYMPRYGLNRNLRDGSLARYAFDFYEVTSRTPVRARE -AHIQMKAAALKSAQPRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKNM ->ACC60229.1 polyprotein, partial [Ecuadorian rocoto virus] -PDNVLWGSLKLTKSTPSGMFKTTKIIEDLHAYKDDEVREQGQNTGWMLSALKENLQAVAFMKSQLVTKHV -VKGECLLFKQYLELDEQAKSFFQPKMWAYGKSLLNKEAYIKDLMKYSKPIEVGVVDCDAFEEATCRVIIY -MRQKGFRKCTFVTDEEEIFKALNMNAAVGAMYGGKKKDYFAEYTSHDKEQILKESCRRLYLGELGVWNGS -LKAELRSKEKIEANKTRTFTAAPIDTLLAGKVCVDDLNNQFYSKNIDCCWTVGMTKFYGGWNKPFTALPD -DWVYCDADGSQFDSSLTPYLINAVLAVRYAFMEEWDIGFQILQNLYTEIVYTPISTPDGTIVKKFRGNNS -GQPSTVVDNSLMVVLAMHYSFVEAGVLHEEFESVCKFFVNGDDLIIAVAPHFEHFLDNLGKYFSDLGLNY -DFSSRTTDKSQLRFMSHRGLLVDGIYIPKLEEERIVSILQWDRAALPEYRLEAICAAMIESWGYPQLTFE -IRRSYQWLLQQEPYNQLASEGKAPYIAELALRKLYLNVDSSAEELDAYMKFFSELDDEIECDSFEVSHQS -KEESSTLDAGKDPVKDRTAKSSATASGTSSGKVVEKDVNAGTTGTFTVPRIEAISKKMRQPKANGVSALN -FDHLLLYKPNQIDISNARATQSQFDNWFSEVQKAYDVDTNAMGTILNGLMVWCIENGTSPNVNGVWTMMD -GDEQVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEIT -SRTSVRAREAHIQMKAAALKTAQTRLFGLDGGISTQEENTERHTTEDVAPNLHTMLGVRNM ->ABY86425.1 polyprotein [Bidens mottle virus] -MATNFSTIHFGSFQCKIQHPAVSGSVVAKQPSPPRTQQPCDPFAKLEEKLEAYFDKRKYATIKKLKNGTL -VYKHKTDAQIKRIKAAEARKEQELFSFKTSPPTIISSISIGGGLQPSAMPMEVQTHKKIHTTPSARKRCA -LKKVSLTDTQLLNLVKQVKNIGADKNLTIEVIGKRRNVIRYKDFRTNVGAFIEVQHVLGKRARVDLRIPN -SNIPFVQVLAKTNAWGMKVKTLDLKRGDSGVIRNPKKLYGKQGRSTHDMFIVRGNCEGQVFDARSRVTFS -IMSRMTHFSLAENFWRGLDTNWAKYRKSVSHTCTADFSVSDCGNVTAILTQSLTPCFKLTCGKCAQAFVN -LTFKEVCRSLHEGATEGIMHLDGNASNFHHTKRMLEVVRELSSEVSVEDSHFDEIFRMIGSRTQSPFTHL -NTLNNFFLKGKTNTTEEWRLASENLLELARFQKNRTDNIKKGDLASFRNKLSAKAKYNYHLFCSNQQDKN -ASFVWGQREYHAKRIFTQYFQEIDPALGYTAYQKRRLPDSIRELATGNLIVSMDLASFREQMRGKDTHQG -GVAKSGTSHEDGNFAYSCCCLTLDDGTPVVCTVFPPTKKHMVVGNSGDSKYVDMPKGESELLYMAKDGYC -YLNIYLAMLVNIKEEEAKDFTKRIRDSYMPKLGMWPTLLDLATTCAQLRIFYPDVHDAELPRILIXHKNQ -ICHVVDSFGSISTGYHILKASTVAQLVLFADDNLESDIKHYRVGGRLSTPPPDHADNLSDFPADSSATPF -AMSEFAATKLLIKGVFRPNLMKRLLLEEPYIMMLSLVSPGVLMAMYNNGAFEMAVQLWINDRQSIATIAV -MLSNLAKKVSLADTLMQQKTIIDSSASDLLNNSFDGFQLYMTYHTSTLLLQRMRARYEGDQPLVAQGFMN -YERDVIKLMEKNYLDLLEESWRELSWREKFQSIWHARRSSRHFTENLKPAKAADFNGMYDISPRAYFTKV -LNRTQERIKTTKQAVSVYVDRKCVSVTTFLVRRILNRLPNLITIFNSLIVFSVLLSIAATLHHIITQHRG -YQRQLLGMEQMSDEAASMEIYNNLQRKLERNPTWDEFIQMAEVVNPSLAKKLRKYEQPDNVSHQGSTEDT -KQIEQIIAFVTLVLMTFDAERSDCVFKTLNKFKGTVSSLNSTVRHQSLDDIIGDFDERNGMIDFDLDDNI -HNVSSQTDETFGRWWIHQTERGHTIPHYRTEGLFMEFTRANAARVASDIIQSTHTDFLIRGAVGSGKSTG -LPFHLSNHGEVLLIEPTRPLTENVYKQLSGNPFFLKPTIRMRGCSVFGSSPVSVMTSGFALHYFANNSDQ -LNNFKYIIFDECHVLDASAMAFRSLISVYHPTCKVLKVSATPPGREVEFTTQFPVKLVVEENLSFNSFVN -ALKTLSNADVLKYGSNILVYVASYSEVDNLSKMLTDKGMKVTKVDGRTMKHGSLEITTSGTTTQPHFVVA -TNIIENGVTLDIDVVVDFGMKVSPFLDVDNRSIVYNKVNISYGERIQRLGRVGRFKEGTALRIGSTEKGL -IEIPSMIATEAALYCFAYNLPVMTSNASTSIISECTVKQVRTMHQFELNPLFMFNFVSCDGTMHPMIHET -LKKYKLHDSITPLCEQSIPYKASGKWLSVRCYEQIGVRIDACKDVKVAFHIKDIPPPLHEELWNIILKFK -HTALFPTIKTSSISKIAYTLQTDIHSISRTLARIDLLLEDERTKQAELQSLVSNNCTNMYSILGIANTLR -ARYSQDYTGENIRKLEAAKSQLREFNNIRGSADESNIIGNFEALQYVHHQSKESLASAMKLKGIWAKSLV -ARDLLVAGAVAIGGIAILWKWFRSEISLVRHQGYSKSKKIKALKFRKARDKRAGFEIDGNDDTLEEYFGS -AYTKKGKGKGTTIGMGKSHRKFINMYGFEPGEYSYIRFVDPLTGAQIEENVYADILDVQKKFGDIRTQKI -IDDELEPQATYSNQSIHAYFIKDWSNKALKVDLTPHNPLLVSERASLIMKYPEREGELRQTGQGVEVDIS -EIPKGEASHESKSLLRGLRDFNPVATIVCKLIAKTDTGTRSMHGIGFGSYLIANHHLFKTFNGALEVHTH -MGIFKAPNMTSLQVFPLQGRDLIVVKMPKDFPVFPQKLHFRGPRANERVCMVGSNFQNKSISSTVSETSP -THPIQRSTFWKHWIDTNDGQCGLPIVSTHDGCILGLHSLANNNTSENYFAAFDNEFEEKHLRTSEHTEWV -KNWKYNPDKVLWGSLQLKEDKPNGLFKTTKLVSDLHESTSVREQGENTRWMYNALENNIIATNYLQSQLV -TRHVVKGECMHFSMFLSQDKEAAQFFKPLMWAYGKSKLNTEAYIKDLMKYSEPIEVGVVDADAFEEAVVR -VILYLKMKGFRKCAYITDEDAIFQALNMKAAVGALYGGKKQDYFKDFTQQDREQILRESCFRLYKGSLGV -WNGSLKAELRCKEKIDANKTRTFTAAPIDTLLAGKVCVDDFNNNFYAKNIECCWTVGMTKFYGGWNKLLT -ALPNDWLYCDADGSRFDSSLTPYLINAIITIRSAYMEEWDIGEQMLRNLYTEIVYTPISTPDGTIVKKFR -GNNSGQPSTVVDNSLMVVLAMHYAFVREGIPYCEIEDICKFFVNGDDLLIGVNPEHEEILDRLGGHFSDL -GLNYDFSSRTRDKSELWFMSHRGLKCEGIYIPKLEEERIVSILQWDRATTPENRLEAICAAMIEAWGYPE -LIHQIRRFYKWLLEQEPFATIASEGNAPYISSLALRRLYLNEHIEDDELEAYLKTFAELDDEFECDTFEV -HHQAKDEEKIDAGDPAKKKEQIPPPENKAITKGKDKDINAGTSGTMTVPRIKAITTKMRLPKARGSVVLN -LDQLLEYRPQQVDLSNTRATQEQFSLWYEYVKNSYDVSDTEMATLMNGLMVWCIENGTSPNINGEWVMMD -GESQVTYPLKPVIEGAKPTFRQIMAHFSDVAEAYIELRNTKEAYMPRYGLIRNLRDMSLARYAFDFYEIT -SRTPNRAREAHIQMKAAALKFAQSRLFGLDGGISTQQENTERHTTEDVNFDMHTLLGVRNM ->AAB50573.1 polyprotein [Potato virus Y] -METISTQQAISSLPTNLKSSMATYMSTICFGSFECKLPYSPASCGLIVKEREVLASVNPFADLETQLSAR -LLKQEYATVRVLKNGTFTYRYKTDAQIKRIQKKLERKDREEYHFQMAAPSIVSKITIAGGDPPSKSEPQA -PRGIIHTTPRVRKVKTRPIIKLTEGQMNHFIKQVKQIMSEKRGSVHLINKKTTHVQYKEILGAYSATVRT -AHMMGLRRRVDFRCDMWTVGLLQRLARTDKWSNQVRTINIRRGDSGVILNTKSLKGHFGRSSGDLFIVRG -SHEGKLYDARSRVTQSVLDSMIQFSNADNFWKGLDGNWARMRYPSDHTCVAGLPVEDCGRVAALMAHSIL -PCYKITCPTCAQQYASLPVSDLFKLLHKHARDGLNRLGADKDRFIHVNKFLIALEHLTEPVDLNLELFNE -IFKSIGEKQQAPFKNLNVLNNFFLKGKENTAHEWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLFAK -ANWNLYLSCDNQLDKNANFLWGQREYHAKRFFSNFFEEIDPAKGYSAYEIRKHPSGTRKLSIGNLVVPLD -LAEFRQKMKGDYRKQPGVSRKCTSSKDGNYVYPCCCTTLDDGSAIESTFYPPTKKHLVIGNSGDQKFVDL -PKGDSEMLYIAKQGYCYINVFLAMLINIGEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMRIFYPD -VHDAELPSLLVDHDTQTCHVVDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGVPNACPELG -STISPFREGGVIMSESAALKLLLKGIFRPKVMRQLLLDEPYLLILSILSPGILMAMYNNGIFELAVRLWI -NEKQSIAMIASLLSALALRVSAAETLVAQRIIIDAAATDLLDATCDGFNLHLTYPTALMVLQVVKNRNEC -DDTLFKAGFPSYNTSVVQIMEKNYLSLLDDAWKDLTWREKLSATWYSYRAKRSITRYIKPTGRADLKGLY -NISPQAFLGRSAQVVKGTASGLSERFNNYFNTKCVNISSFFIRRIFRRLPTFVTFVNSLLVISMLTSVVA -VCQAIILDQRKYRREIELMQIEKNEIVCMELYASLQRKLERDFTWDEYIEYLKSVNPQIVQFAQAQMEEY -DVRHQRSTPGVKNLEQEVAFMALVIMVFDAERSDCVFKTLNKFKGVLSSLDHEVRHQSLDDVIKNFDERN -EIIDFELSEDTIRTSSVLDTKFSDWWDRQIQMGHTLPHYRTEGHFMEFTRATAVQVANDIAHSEHLDFLV -RGAVGSGKSTGLPVHLSVAGSVLLIEPTRPLAENVFKQLSSEPFFKKPTLRMRGNSIFGSSPISVMTSGF -ALHYFANNRSQLAQFNFVIFDECHVLDPSAMAFRSLLSVYHQACKVLKVSATPVGREVEFTTQQPVKLIV -EDTLSFQSFVDAQGSKTNADVVQFGSNVLVYVSSYNEVDTLAKLLTDKNMMVTKVDGRTMKHGCLEIVTK -GTSARPHFVVATNIIENGVTLDIDVVVDFGLKVSPFLDIDNRSIAYNKESVSYGERIQRLGRVGRFKKGV -ALRIGHTEKGIIEIPSMVATEAALACFAYNLPVMTGGVSTSLIGNCTVRQVKTMQQFELSPFFIQNFVAH -DGSMHPVIHDILKKYKLRDCMTPLCDQSIPYRASCTWLSVSEYERLGVALEIPKQIKIAFHIKEIPPKLH -EMLWETVVKYKDVCLFPSIRASSISKIAYTLRTDLFAIPRTLILVERLLEEERVKQSQFRSLIDEGCSSM -FSIVNLTNTLRARYAKDYTAENIQKLEKVRSQLKEFSNLDGSACEENLIKRYESLQFVHHQAATSLAKDL -KLKGTWKKSLVAKDLIIAGAVAIGGIGLIYSWFTQSVETVSHQGKNKSKRIQALKFRHARDKRAGFEIDN -NDDTIEEFFGSAYRKKGKGKGTTVGMGKSSRKFINMYGFDPTEYSFIQFVDPLTGAQIEENVYADIRDIQ -DRFSEVRKKMVENDDIEMQALGSNTTIHAYFRKDWSDKALKIDLMPHNPLKVCDKTNGIAKFPERELELR -QTGPAVEVNVKDIPAQEVEHEAKSLMRGLRDFNPIAQTVCRLKVSVEYGTSEMYGFGFGAYIIANHHLFR -SYNGSMEVQSMHGTFRVKNLHSLSVLPIKGRDIILIKMPKDFPVFPQKLHFRAPIQNERVCLVGTNFQEK -YASSIITETSTTYNIPGSTFWKHWIETDNGHCGLPVVSTADGCLVGIHSLANNAHTTNYYSAFDEDFESK -YLRANEHNEWVKSWKYNPDTVLWGPLKLKDSTPKGLFKTTKLVQDLIEHDVVVEQAKHSAWMFEALTGNL -QAVATMKSQLVTKHVVKGECRHFKEFLTVDAEAEAFFRPLMDAYGKSLLNRDAYIKDIMKYSKPIDVGIV -DCDAFEEAINRVIIYLQVHGFKKCAYVTDEQEIFKALNMKAAVGAMYGGKKKDYFEHFTDADKEEIVMQS -CLRLYKGLLGIWNGSLKAELRCKEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMT -KFYGGWDKLLRRLPENWVYCDADGSQFDSSLTPYLFNAVLTIRSTYMEDWDVGLQMLRNLYTEIVYTPIS -TPDGTIVKKFRGNNSGQPSTVVDNSLMVVLAMHYAFIREGIEFEETDSTCVFFVNGDDLLIAVNPDKEDI -LDRLSQHFSDLGLNYDFSSRTRNKEELWFMSHRGLLIEGMYVPKLEEERIVSILQWDRADLAEHRLEAIC -ARMIESWGYSELTHQIRRFYSWLLQQQPFATIAQEGKAPYIASMALRKLYMDRAVDEEELRAFTEMMVAL -DDEFEFDSYEVYHQANDTIDAGGSNKKDTKPEQSSIQSNPNKGKDKDVNAGTSGTHTVPRIKAITSKMRM -PKSKGAAVLNLEHLLEYAPQQIDISNTRATQSQFDTWYEAVRMAYDIGETEMPTVMNGLMVWCIENGTSP -NVNGVWVMMDGNEQVEYPLKPIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLIRNLRDMGLA -RYAFDFYEVTSRTPVRAREAQIQMKAAALKSAQPRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKN -M ->ACE80691.1 polyprotein precursor [Pepper mottle virus] -MATNVIQFGSFVCNLPKFQSSCTTMHCPKQSISANVVHPSNPFAELEKRLEPYLQRRMDATIRLTRGGTL -VYNHMSEAKRAKKLRKKQREEEEVHLFMNAAPYIVSNITIGGGVAPSRMEEVSIKRPLNKTPSQKVKKSF -TPVTFRDGHMEKFLRGLKNCATRNNMTVHLIGKRKTELAFKRRASSDAVYATLHHMRGVDRKRDIVLEEW -MNEYVHNLSRVGTWGSLFHAESLKRGDSGLILNARALRGKFGRCSRGFFIVRGKSDGVVLDARSKLSMAT -VLHMEQYSTPEAFWSGLEKKWSVMRKPTAHTCKPTYSVSNCGEVAAIIAQALFPCHKLTCGECSKEICDL -TSSECVQELYKNISLALERMNNLHPEFQHIVKVLSVVRQLTEASNHGMEIFDEIFKMIGSKTQSPFTHLN -KLNEFMLKGNENTSEEWSTARQHLKELVRFQKNRTDNIKKGDLASFRNKLSARAQYNLYLSCDNQLDKNA -SFLWGQREYHARRFFLNFFQQIDPSKGYLSYEDRTIPNGSRKLAIGNLIVPLDLAEFRKRMKGIDTQQPP -IGKYCTSQLDGNFVYPCCCTTLDDGQPIRSAVYAPTKKHLVVGNTGDTKYINLPKGDTEMLYIALDGYCY -INIYLAMLVNISEEEAKDFTKKVRDIFMPKLGKWPTLMDLATTCAQLRIFHPDVHDAELPRILVDHNTQT -CHVVDSYGSISTGYHILKAATVSQLVLFADDNLESEIKHYRVGGTVENHKVKIDDQPSRCGVSEFHAIRM -LIKGIYRPSVMYELLSEEPYLLVFSILSPSILIAMYNDRAFELAVQIWLEKEQSIPLIATILTNLAAKVS -VATTLVQQLQLIELSADQLLNVTCDGFRVSFAYQSALTLLTRMRDQAKANSELISGGFNEYDQDLAWTLE -KNYQGLLHDQWKELSSLEKFRYYWSSRKRKTRLRSNIKSRSSPVASAISSLSPKPFMGKVFSHMKAGAVR -TKQGTKSFIDARCLGISTYFVGSLMRKFPSAKVLLSSLFVLGALLNITHAANRIIIDNRISREHAAALEL -YRKEDTCHELYTALERKLGEKPTWDEYCSYVAKINPAMLEFIKDSYDEKQVIHQRSTEDLKKVEHIIAFV -TLAIMLFDSERSDCVFKTLNKFKGVVCSLGSEVRHQSLDDFVNTMDEKNFVVDFELNDSVQRKNLTTEIT -FENWWDEQVARGFTIPHYRTEGRFMEFTRATAAKVASDISISSERDFLIRGAVGSGKSTGLPHHLSTYGR -VLLIEPTRPLAENVFKQLSGGPFFLKPTMRMRGNSVFGSSPISVMTSGFALHFFANNITQLQEIQFIIID -ECHVMDASSMASRSLIHTYHTNCKVLKVSATPPGREVEFTTQFPVKLVVEDSLSFKTFVESQGTGSNCDM -IQYGNNLLVYVASYNEVDQLSKLLVAREFNVTKVDGRTMKHGELEIVIRGTKSKPHFVVATNIIENGVTL -DIDVVIDFGMKVSPFLDVDNRSVAYNKVSISYGERIQRLGRVGRIQKGTALRIGHTEKGLIEIPQMISTE -AALYCFAYNLPVMSSGVSTSMIKNCTIPQVRTMHTFELSPFFMYNFVSHDGTMHPVVHEILKRYKLRDSV -IPLSESSIPYRASSDWITAGEYRRIGVKLDIPDETRIAFHIKDIPPQIHQQLWESVLKYKASAAFPTLRS -SSITKIAYTLSTDLYAIPRTLAVVESLLEDERTKQYQFKSLIDNGCSSMFSVVGISNALRAKYSKDYTVE -NINKLEAVKAQLKEFHNLNGSGDELNLIKRFESLQFVHHQSKSSLAKALGLRGVWNKSLIVRDAIIAAGV -ACGGAWLLYTWFTGKMSEVSHQGRSKTKRIQALKFRKARDKRAGFEIDNNEDTIEEYFGSAYTKKGKGKG -TTVGMGKTNRRFINMYGFEPGQFSYIKFVDPLTGAQMEENVYADIADVQDKFGEIRRQMIIDDELDHRQT -EVHNTIHAYLIKDWSNKALKVDLTPHNPLRVSDKASAIMKFPEREGELRQTGQAVEVDVSDIPKEVVKHE -AKTLMRGLRDYNPIAQTVCKLTVKSELGETSTYGLGFGGLIIANHHLFKSFNGSLEVKSHHGVFRVPNLM -AISVLPLKGRDMIIIKMPKDFPVFPQRLKFREPASTDRVCLIGSNFQERYISTTVSEISATHPVPRSTFW -KHWISTDDGHCGLPIVSTTDGFILGLHSLANNRNSENYCTAFDSDFEMKVLRSGENTEWVKNWKYNPDTV -LWGPLQLTKGTPNGMFKTTKMIEDLLAFKSESVREQAHTSPWMLEVLKENLKAVAYMKSQLVTKHVVKGE -CMMFKQYLQENPRANEFFQPKMWAYGKSMLNKEAYIKDIMKYSKVIDVGVVDCDAFEEAIIRVIVYMQIH -GFRKCSYITDEEEIFKALNMNTAVGAMYGGKKKEYFEKFTTEDKAEILRQSCLRLYTGKLGVWNGSLKAE -LRSKEKIEANKTRTFTAAPIDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWNKLLTALPDGWIY -CDADGSQFDSSLTPYLINAVLTIRYAFMEDWDIGYKMLQNLYTEIIYTPISTPDGTIVKKFRGNNSGQPS -TVVDNSLMVVLAMHYAFVREGVVFEEIDSICKFFVNGDDLLIAVNPERENLLDTLSSHFSDLGLNYDFSS -RTRDKSELWFMSHCGISIEGMYIPKLEEERIVSILQWDRAELPEYRLEAICAAMIESWGYPQLTHEIRRF -YSWLIEKNPYADLASEGKAPYISELALKKLYLNQDVQNDELQVYLRYFAEADEEFECGTYEVHHQSSSRS -DTLDAGEEKKKNKEVATVSDGMKNKEVESTRDSDVNAGTVGTFTVPRIKSITEKMRMPKQKKKGVLNLAH -LLEYKPSQVDISNTRSTQAQFDNWYSEVMKAYDLQEEAMGTVMNGLMVWCIENGTSPNISGTWTMMDGDE -QVEFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKQEPYMPRYGLVRNLRDMGLARYAFDFYEVTSRT -STRAREAHIQMKAAALKSAQTRLFGLDGGIGTQGENTERHTTEDVSPDMHTLLGVRNM ->AOE23680.1 polyprotein [Potato virus Y] -MATYTSTIQFGSIECKLPYSPAPFGLVAGKREVSTTTDPFASLEMQLSARLRRQEFATIRTSKNGTCMYR -YKTDVQIARIQKKREEKEREEYNFQMAASSVVSKITIAGGEPPSKLESQVRRGVIHTTPRMRTAKTYHTP -KLTEGQMNHLIKQVKQIMSTKGGSVQLINKKSTYVHYKEVLGSHRAVVCTAHMRGLRKRVDFRCDKWTVV -RLQHLARTDKWTNQVRSTDLRKGDSGVILSNTNLKGNFGRSSEGLFIVRGSHEGKIYDARSKVTQGVMDS -MVQFSSAESFWKGLDGNWAQMRYPTDHTCVAGLPVEDCGRVAAIMTHSILPCYKITCPTCAQQYANLPAS -DLLKILHKHASDGLNRLGADKDRFVHVKKFLTILEHLTEPVDLSLEIFNEVFKSIGEKQQSPFKNLNILN -NFFLKGKENTAREWQVAQLSLLELARFQKNRTDNIKKGDISFFRNKLSAKANWNLYLSCDNQLDKNANFL -WGQREYHAKRFFSNYFEEIDPAKGYSAYENRLHPNGTRKLAIGNLIVPLDLAEFRRKMKGDFKRQPGVSK -KCTSSKDGNYVYPCCCTTLDDGSAVESTFYPPTKKHLVIGNSGDQKYVDLPKGNSEMLYIARQGFCYINI -FLAMLINISEEDAKDFTKKVRDMCVPKLGTWPTMMDLATTCAQMKIFYPDVHDAELPRILVDHETQTCHV -VDSFGSQTTGYHILKASSVSQLILFANDELESDIKHYRVGGIPGACPELGSTISPFREGGIIMSESAALK -LLLKGIFRPKVMKQLLLDEPYLLILSILSPGILMAMYNNGIFELAVKLWINEKQSIAMIASLLSALALRV -SAAETLVAQRIIIDTAATDLLDATCDGFNLNLTYPTALMVLQVVKNRNECDDTLFKAGFSHYNMSVVQIM -EKNYLSLLGDAWKDLTWREKLSATWHSYKAKRSITQFIKPIGKADLKGLYNISPQAFLGQGVQRVKGTAS -GLNERLNNYINTKCVNISSFFIRRIFRRLPTFVTFINSLLVISMLTSVVAVCQAIILDQRKYRKEIELMQ -IEKNEIVCMELYASLQRKLEREFTWDEYMEYLKSVNPQIVQFAQAQMEEYNVRHQRSTPGVKNLEQVVAF -ITLIIMMFDAERSDCVFKTLNKFKGIVSSMDHEVKHQSLDDVIKNFDERNEVIDFELNEDTIKTSSVLDT -KFSDWWDRQIQMGHTLPHYRTEGHFMEFTRATAVQVANDIAHSEHLDFLVRGAVGSGKSTGLPVHLSAAG -SVLLIEPTRPLAENVFKQLSSEPFFKKPTLRMRGNSVFGSSPISIMTSGFALHYYANNRSQLTQFNFIIF -DECHVLDPSAMAFRSLLSVYHQTCKVLKVSATPVGREVEFTTQQPVKLVVEDTLSFQSFVDAQGSKTNAD -VVQHGSNILVYVSSYNEVDTLAKLLTDRNMVVSKVDGRTMKHGCLEIVTKGTSAKPHFVVATNIIENGVT -LDIDVVVDFGLKVSPFLDIDNRSIAYNKISVSYGERIQRLGRVGRFKKGVALRIGHTEKGIIEIPSMIAS -EAALACFAYNLPVMTGGVSTSLIGNCTVRQVKTMQQFELSPFFIQNFVAHDGSMHPVIHDILKKYKLRDC -MTPLCDQSIPYRASSTWLSVSEYERLGVVLDIPKQIKIAFHIKDIPPKLHEMLWETVIKYKDVCLFPSIR -ASSISKIAYTLRTDLFAIPRTLILVERLLEEERVKQSQFRSLIDEGCSSMFSIVNLTNTLRARYAKDYTA -ENIQKLEKVRSQLKEFSNLNGSACEENLMKRYESLQFVHHQATTSLAKDLKLKGVWKKSLVVQDLLIAGA -VAIGGIGLIYSWFTQSVETVSHQGKNKSKRIQALKFRHARDKRAGFEIDNNDDTIEEFFGSAYRKKGKGK -GTTVGMGKSSRRFVNMYGFDPTEYSFIQFVDPLTGAQIEENVYADIRDIQERFSDVRKKMVEDDEIELQA -LGSNTTIHAYFRKDWSDKALKIDLMPHNPLKICDKSNGIAKFPERELELRQTGPAMEVDVKDIPKQEVEH -EAKSLMRGLRDFNPIAQTVCRVKVSVEYGTSEMYGFGFGAYIIVNHHLFKSFNGSMEVRSMHGTFRVKNL -HSLSVLPIKGRDIIIIKMPKDFPVFPQKLHFRAPVQNERICLVGTNFQEKHASSIITETSTTYNVPGSTF -WKHWIETNDGHCGLPVVSTADGCLVGIHSLANNVQTTNYYSAFDEDFESKYLRTNEHNEWTKSWVYNPDT -VLWGPLKLKESTPKGLFKTTKLVQDLIDHDVVVEQAKHSAWMYEALTGNLQAVATMKSQLVTKHVVKGEC -RHFKEFLTVDSEAEAFFRPLMDAYGKSLLNREAYIKDIMKYSKPIDVGVVDCDAFEEAINRVIIYLQVHG -FQKCNYITDEQEIFKALNMKAAVGAMYGGKKKDYFEHFTEADKEEIVMQSCFRLYKGSLGIWNGSLKAEL -RCKEKILANKTRTFTAAPLDTLLGGKVCVDDFNNQFYSKNIECCWTVGMTKFYGGWDKLLRRLPESWVYC -DADGSQFDSSLTPYLINAVLIIRSTYMEDWDLGLQMLRNLYTEIIYTPISTPDGTIVKKFRGNNSGQPST -VVDNSLMVVLAMHYALIKECVEFEEIDSTCVFFVNGDDLLIAVNPEKESILDRMSQHFSDLGLNYDFSSR -TRRKEELWFMSHRGLLIEGMYVPKLEEERIVSILQWDRADLPEHRLEAICAAMIESWGYFELTHQIRRFY -SWLLQQQPFSTIAQEGKAPYIASMALKKLYMNRTVDEEELKAFTEMMVALDDEFECDTYEVHHQGNDTID -AGGSTKKDAKQEHGSIQPNLNKEKEKDVNVGTSGTHTVPRIKAITSKMRMPKSKGATVLNLEHLLEYAPQ -QIDISNTRATQSQFDTWYEAVQLAYDIGETEMPTVMNGLMVWCIENGTSPNINGVWVMMDGDEQVEYPLK -PIVENAKPTLRQIMAHFSDVAEAYIEMRNKKEPYMPRYGLVRNLRDGSLARYAFDFYEVTSRTPVRAREA -HIQMKAAALKSAQSRLFGLDGGISTQEENTERHTTEDVSPSMHTLLGVKNM ->AGT56190.1 polyprotein [Potato virus V] -METTHINIMFGTFECKLANPMGLAPAVIASTEHRSSNKPAADPFAALESELQPYLERRLYAEIKMQKNGT -LTLRHASERAVRKCKELSAARKRELEAFKLGTPSIVSTISIAGGPTVSQMQDDAIVVRPLHKTPSAKRKT -VRGKLVMTQTQLACFIKQLKNIMIEKGGNIELAGKTTTRLHYERTRLGVRCVCKLAHMQGIRRRVDVKMN -ADQTYFVSKFAKVPSWGDSVKSCSLRRGDSGVILQSRVCKGTFGRSWGGFFIVRGEYEGKIFDARSKLTE -STVRRMVQFSAAERFWRGLESHWSESKLHVDHSCETTFTVEECGELATIVAQALFPCSNLTCGICANSLA -SKTQYELCEYLSTRAGEAEKLVHMKHPNFKHVERVMGAISTELSRVTGEADIFEDIFRMIGDRTQSPFTH -LNSLNNFLLRGNQNKPEQWKDAFEALRELARFQKNRTDNIKKGDLAAFRNKLSSKVNIQFILSCDNQLDQ -KCQFLMGPERISRQTVFHKVFQSYQPHRGYSSFELRNHPNGVRKLAIGNLIVPLDLAEFRSKMRGESTNQ -PKIGKQCVSMKDGNYVYPCCCTTLKDGSAIESTAYAPTKRHLVIGNTGDTKFVDLPKGETDLLYMAIDGY -CYINVFLAMLINIREDEAKDFPRKMRKKLIPKLGKWPSVLDLATTCAQLRIFFPDVHDAELPRILVDHNN -QICHVIDSFGSINSGYHILKASTVSQLILFANDELESEIKHYRVGGTQSPDSGMAQRCSISEFHAIRLLV -KGVYKPTVMKQLLVDEPYMLIFSILSPRVLIAMFENEAFEEAVKLWVNKNQSIAMIATILNNLARKVTLA -ESLTRQLHLISHASEQLLDATCEGFKMNLAYQSALMLLTRTREASRSNLELFNSGFQHQELELAEVLEKN -YMELLQVQWHELSWREKLSSTWRSRRSRRKLQIATMGERVQDLKEAFTFSPRALFTEASARTKTWVDKST -GVVSSFVERRCLNNVSAYCVGSIVKRLPSFKILICNFVIFGNLLRIYRNVSLMVAENKAHKLKAAQAEHA -MHTDACVELYAMLEKKLGKQPTWDEYCEYLKRVNPTLYTFFKETSTDENVVHQDSKSDLKKIEHIIAFVS -LAVMLFDVERSDCVFKSLNKFKGVIGSLNSEVRHQSLDDVVKDFEARDFVVDFELNDSISPTINSTDVTF -GDWWDQQISTGLTIPHYRTEGKFYEFTRATAAKVASDIAMSEFNDFLVRGAVGSGKSTGLPSHLSAMGKV -LLIEPTRPLAENVYKQLSGAPFFMKPTMRMRGNSVFGSSPISVMTSGFALHYFANNITQLQEIKFVIIDE -CHVMDASSMAFRSLIHAYHTKCKVLKVSATPPGREVEFTTQFPVKLLVEESLSFKQFVDAQRTGGNADVI -QHGSNILVYVASYNEVDMLSKALIDKDFAVTKVDGRTMKHGDLEITTHGTKGKPHFVVATNIIENGVTLD -IDVVVDFGLKVTPFLDTDNRSVAYTKTSISYGERIQRLGRVGRIQKGTALRIGSTEKGLVDIPQMISTEA -ALYCFAYNLPVMSSCVSTALLSKCTLRQVRVMHNFELSPFFSCNFVTHEGTMHPVIHDLLKKYKLRDSII -PLSESAVPYRASSEWLQAIDYTRMGVHLDLPDTVKFAFHIKDIPAILHEKLWEAVKKYKREASFPTLRSS -SISKIAYTLSTDLYAIPRTLILLEKLIEDERTKQYQFRSAIDNGCSSAFSVVGIANTLRARYSRDYTSEN -IKKLEMAKAQLKEFSNLGGTGDELNLIKRFEALQFVHHQSKHAMSGALGLEGIWNKSLAVRDVIIATGVA -NGGAWMLYTWFTGEMNSVVHQGRHKSKRIQALKFRQARDKRAGFEIDNNEDTIAEYFGSAYTKKGKGKGT -TVGLGKTSRKFINMYGFEPTEYSYIKFVDPLTGAQIEDNVYADIVDIQERFGDIPPEMIKKDELQTQATY -ANTTIHAYMIKDWSKEALKVDLTPHNPLRMSDKARTIMKFPERQGELKQTGVGTVVNGDDIPQENVVHES -KSPLKGLRDYHPIAQTVCLLTVETELGQTSTHGIGFGSLLIVNHHLFKSYNGTLMVRSHHGTFKVPNMMT -LQVQPLKGRDLIVVKMPKDFPVFPQRLHFRAPTQQDRVVLVGSNFQEKYISTTLSETSATFPVQRSSFWK -HWISTDDGNCGLPMVSTADGCIVGIHSLANNRNSENYFAAFDDDFEAKFLRTDEHAQWVKNWRYNPDNVL -WGSLKLVKSTPTGMFRTTKMIEDLFAFGEDEVREQGQSTGWMLNALKENLQAVAFMKSQLVTEHVVKGEC -MLFKQYLEHDMHAKQFFQPKMWAYGKSLLNKEAYIKDLMKYSKPIEVGVVDCDAFEEATCRVIVYMQQKG -FRKCTYITDEGEIFKALNMNAALGAMYGGKKKDYFAEYDSHDKEQILMESCQRLYLGELAVWNGSLKADL -RYLQKIEANKTRTFTAAPIDTLLAGKVFVDDSTNQFSSKNMDCWWTVGMTKFYGGWNKLLTALPDGWTYC -DADGSQFDSFLTPYLINAVLAVRYAFMEEWDIGFQMLQNLYTEIVYTPISTPDGTIVKKFRGNNSGQPST -VVDNSLMVVLAMHYSFVKANIQFDESEAICKFFVNGDDLILAVAPGHESLLNEMGKHFSDLGLNYDFSSR -TKDKTQLWFMSHRGLVVEGMYIPKLEEERIVSILQWDRAALPEYRLEAICAAMIESWGYPQLTHEIRRFY -QWLLQQEPFSQLSLEGKAPYITELALKKLYLNVDSSSEEFDAYMKFFADLDDDVECTSYEVRHQAKEEAG -SLDAGKDPAKEKSAKLPATAGEQSSKSIEERDVNAGTTGTFTIPRIKAISEKMRQPKVNGVSALNFEHLL -VYKPSQIDISNARATQSQFNNWFSEVQKAYDVEAGDMGTILNGLMVWCIENGTSPNVNGVWTMMDGEEQV -EFPLKPVIENAKPTFRQIMAHFSDVAEAYIEMRNKSEPYMPRYGLVRNLRDMSLARYAFDFYEITSRTSV -RAREAHIQMKAAALKTAPTRLFGLDGGISTQEENTERHTTEDVAPNLHTMLGVRNM diff --git a/seq/clusters_seq/cluster_190 b/seq/clusters_seq/cluster_190 deleted file mode 100644 index 723e79a..0000000 --- a/seq/clusters_seq/cluster_190 +++ /dev/null @@ -1,240 +0,0 @@ ->NP_056660.1 hemagglutinin [Influenza B virus (B/Lee/1940)] -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTQTRGKLCP -NCFNCTDLDVALGRPKCMGNTPSAKVSILHEVKPATSGCFPIMHDRTKIRQLPNLLRGYENIRLSTSNVI -NTETAPGGPYKVGTSGSCPNVANGNGFFNTMAWVIPKDNNKTAINPVTVEVPYICSEGEDQITVWGFHSD -DKTQMERLYGDSNPQKFTSSANGVTTHYVSQIGGFPNQTEDEGLKQSGRIVVDYMVQKPGKTGTIVYQRG -ILLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTK -YRPPAKLLKERGFFGAIAGFLEGGWEGMIAGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLNYLSELE -VKNLQRLSGAMNELHDEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGPS -AVEIGNGCFETKHKCNQTCLDRIAAGTFNAGDFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSLA -VTLMIAIFIVYMVSRDNVSCSICL - ->sp|P22092.1|HEMA_INBVK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -KCLNCTDLDVALARPKCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYEHIRLSTHNVI -NAETAPGGPYKVGTSGSCPNVTNGNGFFATMAWAVPKNDNNKTATNPLTVEVPYICTEGEDQITVWGFHS -DSETQMVKLYGDSKPQKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQR -GILLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGT -KYRPPAKLLKERGFFGAIAGFLEGGWEGMIAGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLNSLSEL -EVKNLQRLSGAMDELHNEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGP -SAVEIGNGCFETKHKCNQTCLDRIAAGTFNAGEFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSL -AVTLMIAIFIVYMVSRDNVSCSICL - ->sp|P09767.1|HEMA_INBVI RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -LMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCLNCTD -LDVALGRPKCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVINAETAPG -GPYIVGTSGSCPNVTNGNGFFATMAWAVPKNNNNKTATNPLTVEVPFICTEGEDQITVWGFHSDSETQMV -KLYGDSKPQKFTSSANGVTTHYVSQIGGFPKQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQRGILLPQK -VWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAK -LLKERGFFGAIAGFLEGGWEGMIAGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLNSLSELEVKNLQR -LSGAMDELHNEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGPSAVDIGN -GCFETKHKCNQTCLDRIAAGTFNAGEFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSLAVTLMIA -IFIVYMVSRDNVSCSICL - ->sp|P09766.1|HEMA_INBUS RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -LMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPNCLNCTD -LDVALGRPKCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTRNVINAERAPG -GPYIIGTSGSCPNVTNGNGFFATMAWAVPKDNKTATDPLTIEVPYICTKGEDQITVWGFHSDNKNQMVKL -YGDSKPQKFTSSANGVTTHYVSQIGGFPNQTEDGGLPQSGRIVVDYMVQKPGKPGTIVYQRGVLLPQKVW -CASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLL -KERGFFGAIAGFLEGGWEGMIAGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLNSLSELEVKNLQRLS -GAMDELHNEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGPSAVDIGNGC -FETKHKCNQTCLDRIAAGTFNAGEFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSLAVTLMIAIF -IVYMVSRDNVSCSICL - ->sp|P12443.1|HEMA_INBSJ RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -IVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTQTRGKLCPNCLN -CTDLDVALGRPKCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSARNVINAET -APGGPYIVGTSGSCPNVTNGKGFFATMAWAVPKNKNKTARNPLTVEVPYICTKGEDQITVWGFHSDNEIQ -MVTLYGDSKPQKFTSSANGVTTHYVSQIGGFPNQTEDEGLQQSGRIVVDYMVQKPGKTGTIVYQRGVLLP -QKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPP -AKLLKERGFFGAIAG - ->sp|P03463.1|HEMA_INBSI RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -NCLNCTDLDVALGRPKCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTRNVI -NAERAPGGPYIIGTSGSCPNVTNGNGFFATMAWAVPKDNKTATNPLTVEVPYICTKGEDQITVWGFHSDT -ETQMVKLYGDSKPQKFTSSANGVTTHYVSQIGGFPNQTEDGGLPQSGRIVVDYMVQKPGKTGTIVYQRGV -LLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKY -RPPAKLLKERGFFGAIAGFLEGGWEGMIAGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLNSLSELEV -KNLQRLSGAMDELHNEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGPSA -VDIGNGCFETKHKCNQTCLDRIAAGTFNAGEFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSLAV -TLMIAIFIVYMVSRDNVSCSICL - ->sp|P03461.1|HEMA_INBMD RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -VTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTQTRGKLCPNCLNCTDMDV -ALGRPKCMGTIPSAKVSILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTRNVINAETAPGGPY -TVGTSGSCPNVTNGKGFFETMAWAVPKNKNKTATNPLTVEVPYICTKGEDQITVWGFHSDDETLMVILYG -DSKPQKFTSSANGVTTHYVSQIGGFPNQTEDEGLKQSGRIVVDYIVQKPGKTGTIVYQRGVLLPQKVWCA -SGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKE -RGFFGAIAGFLEGGWEGMIAGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLNSLSELEVKNLQRLSGA -MDELHNEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGPSAVEIGNGCFE -TKHKCNQTCLDRIAAGTFNAGEFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSLAVTLMIAIFIV -YMVSRDNVSCSICL - ->sp|P18878.1|HEMA_INBIB RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCLNCTDLDVALGRP -KCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVINAETAPGGPYIVGTS -GSCPNVTNGNGFFATMAWAVPKNNNNKTATNPLTVEVPFICTEGEDQITVWGFHSDDETQMVKLYGDSKP -QKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDHMVQKSGKTGTITYQRGILLPQKVSCPSGRS -KVIKGSFPLIGEADCLHEKYGALNKSKPYYTGAHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|P12442.1|HEMA_INBGL RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -IVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTQTRGKLCPNCLN -CTDLDVALGRPKCMGTIPSAKVSILHEAKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTSDVINAET -APGGPYTVGTSGSCPNITNGEGFFETMAWAIPKNKTAMNPLTVEVPYICTKGEDQITVWGFHSDNETQMV -ILYGDSKPQKFTSSANGVTTHYVSQIGGFPNQTEDEGLKQSGRIVVDYIVQKPGKTGTIVYQRGVLLPQK -VWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAK -LLKERGFFGAIAG - ->sp|P10448.1|HEMA_INBBO RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -LMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTRSHFANLKGTQTRGKLCPNCFNCTD -LDVALGRPKCMGNIPSAKVSVLHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTSNVISAETAPG -GPYKIGTSGSCPNVTNGSGFFETMAWAVPKNKTAMNPVTVEVPYICAKGEDQITVWGFHSDSETQMGRLY -GDSNPQKFTSYANGVTTHYVSQIGGFPNQTEDEGLKQSGRIVVDYIVQKPEKPGTIVYQRGILLPQKVWC -ASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLK -ERGFFGAIAGFLEGGWEGMIDGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLNSLSELEVKNLQRLSG -EMDGLHNEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGPSAVDIGNGCF -ETKHKCNQTCLDRIAARTFSAGEFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSLAVTLMIAIFI -VYMVSRDNVSCSICL - ->sp|P03462.1|HEMA_INBHK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -LMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTQTRGKLCPNCLNCTD -LDVALGRPKCMGNIPSAKASILHEVKPGTSGCFPIMHDRTKIRQLPNLLRGYENIRLSARNVTNAETAPG -GPYIVGTSGSCPNVTNGNGFFATMAWAVPKNKTATNPLTVEVPYICTKGEDQITVWGFHSDDETQMVKLY -GDSKPQKFTSSANGVTTHYVSQIGGFPNQAEDEGLPQSGRIVVDYMVQKPGKTGTIAYQRGVLLPQKVWC -ASGRRKVIEGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLK -ERGFFGAIAGFLEGGWEGMIAGWHGYTSHGAHGVAVAADLKSTQEAINKITKNLFSLSELEVKNLHRLSG -AMDELHNEILELDEKVDDLRADTISSQIELAVLLSNEGIINSEDEHLLALERKLKKMLGPSAVEIGNGCF -ETKHKCNQTCLDRIAAGTFNAGEFSLPTFDSLNITAASLNDDGLDNHTILLYYSTAASSLAVTLMIAIFI -VYMVSRDNVSCSICL - ->sp|Q67374.1|HEMA_INBNY RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -NCLNCTDLDVALARPMCIGTIPSAKASILHEVRPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVI -NAERAPGGPYRLGTSGSCPNVTSRSGFFATMAWAVPRDNKTATNPLTVEVPYICTKGEDQITVWGFHSDN -KTQMKNLYGDSNPQKFTSSANGVTTHYVSQIGGFPNQTEDGGLPQSGRIVVDYMVQKPGKTGTIVYQRGV -LLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKY -RPPAKLLKER - ->sp|Q67369.1|HEMA_INBBK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -NCLNCTDLDVALARPMCIGTIPSAKASILHEVRPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVI -NAERAPGGPYRLGTSGSCPNVTSKSGFFATMAWAVPRDNKTATNPLTVEVPYICTKGEDQITVWGFHSDN -KNQMNKLYGDSNPQKFTSSVNGVTTHYVSQIGGFPNQTEDGGLPQSGRIVVDYMVQKPGKTGTIVYQRGV -LLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKY -RPPAKLLKER - ->sp|P18880.1|HEMA_INBYB RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPNCLNCTDLDVALGRP -MCMGTIPSAKASILHEVRPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVINAERAPGGPYRLGTS -GSCPNVTSRNGFFATMAWAVPRDNKTATNPLTVEVPYICTKGEDQITVWGFHSDNKAQMKNLYGDSNPQK -FTSSANGVTTHYVSQIGDFPNQTEDGGLPQSGRIVVDYMVQKPGKTGTIVYQRGVLLPQKVWCASGRSKV -IKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|P18879.1|HEMA_INBNA RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCLNCTDLDVALGRP -KCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYEHIRLSTHNVINAETAPGGPYKVGTS -GSCPNVTNGNGFFATMAWAVPKNDNNKTATNPLTVEVPYICTEGEDQITVWGFHSDNEIQMVKLYGDSKP -QKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQRGILLPQKVWCASGRS -KVIKGSLPLIGQADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|P18877.1|HEMA_INBFU RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DLICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPNCLNCTDLDVALGRP -KCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTRNVINAEWAPGGPYKIGTS -GSCPNVTNGNGFFATMAWAVPKDNKTATDPLTVEVPYICTKGEDQITVWGFHSDNEAQMVKLYGDSKPQK -FTSSANGVTTHYVSQIGGFPNQTEDGGIPQSGRIVVDYMVQKPGKTGTIVYQRGVLLPQKVWCASGRSKV -IKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|P68760.1|HEMA_INBF8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCLNCTDLDVALGRP -KCTGTIPSAKASILHEVKPVTSGCFPIMHDRTKXRQLPNLLRGYEHIRLSTHNVINAEKAPGGPYKIGTS -GSCPNVTNGNGFFATMAWAVPKNDNNKTATNSLTVEVPYICTEGEDQITVWGFHSDNETQMVKLYGDSKP -QKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQRGILLPQKVWCASGRS -KVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|P68757.1|HEMA_INBF5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCLNCTDLDVALGRP -KCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKXRQLPNLLRGYENIRLSTHNVINAETAPGGPYKIGTS -GSCPNITNGNGFFATMAWAVPKNDNNKTATNPLTVEVPYICTEGEDQITVWGFHSDNETQMVKLYGDSKP -QKFTSSANGVTAHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQRGILLPQKVWCASGRS -KVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|Q67381.1|HEMA_INBVM RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -KCLNCTDLDVALARPKCTGTIPSAKASILHEVKPVTFGCFPIMHDRTKIRQLPNLLRGYEHIRLSTHNVI -NAEKAPGGPYKIGTSGSCPNVTNGNGFFATMAWAVPKNDNNKTATNSLTVEVPYICTEGEDQITVWGFHS -DNEIQMVKLYGDSKPQKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQR -GILLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGT -KYRPPAKLLKER - ->sp|Q67380.1|HEMA_INBVL RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -NCLNCTDLDVALARPMCVGTIPSAKASILHEVRPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVI -NAEGAPGGPYRLGTSGSCPNVTSRNGFFATMAWAVPRDNKTATNPLTVEVPYICAKGEDQITVWGFHSDN -KAQMKNLYGDSNPQKFTSSANGVTTHYVSQIGGFPNQTEDGGLPQSGRIVVDYMVQKPGKTGTIVYQRGV -LLPQKVWCASGRSKVIKGSLPLIGEADCLHAKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKY -RPPAKLLKER - ->sp|Q67377.1|HEMA_INBP3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVQTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -KCLNCTDLDVALARPKCTGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYEHIRLSTHNVI -NAETAPGGPYKIGTSGSCPNVTNGNGFFATMAWAVPKNDNNKTATNPLTVEIPYICTEGEDQITVWGFHS -DNEAQMVKLYGDSKPQKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQR -GILLPQKVWCASGRSKVIKGSLPLIGERDCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGT -KYRPPAKLLKER - ->sp|Q67375.1|HEMA_INBP9 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -NCLNCTDLDVALARPMCVGTTPSAKASILHEVRPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTQNVI -NAERAPGGPYRLGTSGSCPNVTSRDGFFATMAWAVPRDNKTATNPLTVEVPYICTKGEDQITVWGFHSDN -KTQMKNLYGDSNPQKFTSSANGVTTHYVSQIGGFPNQTEDGGLPQSGRIVVDYMVQKPGKTGTIVYQRGV -LLPQKVWCASGRSKVIKGSLPLIGEADCLHAKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKY -RPPAKLLKER - ->sp|Q67373.1|HEMA_INBHL RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -NCLNCTDLDVALARPMCMGTIPSAKASILHEVRPVTSGCFPIMHDRTKIIQLPNLLRGYENIRLSTHNVI -NAERAPGGPYRLGTSGSCPNVTSRSGFFATMAWAVPRDNKTATNPLTVEVPYICTKGEDQITVWGFHSDS -KTQMKNLYGDSNPQKFTSSANGVTTHYVSQIGDFPNQTEDGGLPQSGRIVVDYMVQKPGKSGTIVYQRGV -LLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKY -RPPAKLLKER - ->sp|Q67372.1|HEMA_INBIN RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -KCLNCTDLDVALARPKCTGTIPSAKASILHEVKPVTFGCFPIMHDRTKIRQLPNLLRGYEHIRLSTHNVI -NAETAPGGPYKIGTSRSCPNVTNGNGFFATMAWAVPKNDNNKTATNPLTVEVPYICTEGEDQITVWGFHS -DNETQMVKLYGDSKPQKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQR -GILLPQKVWCASGRSKVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGT -KYRPPAKLLKER - ->sp|Q67370.1|HEMA_INBGD RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MKAIIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCP -NCLNCTDLDVALARPMCMGIIPSAKASILHEVRPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVI -NAERAPGGPYRLGTSGSCPNVTSRSGFFATMAWAVPRDNKTATNPLTVEVPYICTKGEDQITVWGFHSDK -KTQMKNLYGDSNPQKFTSSANGVTTHYVSQIGDFPNQTEDGGLPQSGRIVVDYMVQKPGKTGTIVYQRGV -LLPQKVWCASGRSKVIKGSLPLIGEADCLHAKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKY -RPPAKLLKER - ->sp|Q07926.1|HEMA_INBF2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCLNCTDLDVALGRP -KCMGTIPSAKASILYEVKPVTSGCFPIMHDRTKXRQLPNLLRGYENIRLSTHNVINAETAPGGPYKVGTS -GSCPNVTNGNGFFATMAWAVPKNDNNKTATNPLTVEVPYICTEGEDQITVWGFHSDNETQMVKLYGDSKP -QKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQRGILLPQKVWCASGRS -KVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|Q07925.1|HEMA_INBF1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -DRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCLNCTDLDVALGRP -KCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKXRQLPNLLRGYENIRLSTHNVINAETAPGGPYIVGTS -GSCPNVTNGNGFFATMAWAVPKNNNNKTATNPLTVEVPFICTKGEDQITVWGFHSDDETQMVKLYGDSKP -QKFTSSANGVTTHYVSQIGGFPNQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQRGILLPQKVWCASGRS -KVIKGSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKLANGTKYRPPAKLLKER - ->sp|P12441.1|HEMA_INBID RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -IIVLLMVVTSNADRICTGITSSNSPHVVKTATQGEVNVTGVIPLTTTPTKSHFANLKGTKTRGKLCPKCL -NCTDLDVALGRPKCMGTIPSAKASILHEVKPVTSGCFPIMHDRTKIRQLPNLLRGYENIRLSTHNVINAE -TAPGGPYIVGTSGSCPNVTNGNGFFATMAWAVPKNNNKTAMNPLTVEVPFICTEGEDQITVWGFHSDDET -QMVKLYGDSKPQKFTSSANGVTTHYVSQIGGFPKQAEDGGLPQSGRIVVDYMVQKSGKTGTITYQRGILL -PQKVWCASGRSKVIKRSLPLIGEADCLHEKYGGLNKSKPYYTGEHAKAIGNCPIWVKTPLKQANGTKYRP -AAKLLKERGFFGAIAG - diff --git a/seq/clusters_seq/cluster_191 b/seq/clusters_seq/cluster_191 deleted file mode 100644 index 97321b9..0000000 --- a/seq/clusters_seq/cluster_191 +++ /dev/null @@ -1,237 +0,0 @@ ->YP_010084457.1 nucleoprotein [Lepeophtheirus salmonis rhabdovirus 127] -MALTKLVLVSDPTKSITIGKSILVTPASFPSQWFADNPGEKPRVIIDVPKTLQDEDVSSVFFQYITTRTP -VPVWAICKSIKYLLDQDFITLPAKEEWKSFGRLLGRGGTQVFLNDLSDITWNPVEIITPPGEKNRRTDGE -LYLALILVLISVRIDREPIRAGQVRLMERFSSLPSPSGTTAAGILRDSHVSVTDELRFFLAITDMYLFRK -GPTARYSLLRQGTIITRHRDQTFLSEFDHISNITAMEPSEVMTWVTLDSVSTEILKTVRDTEEIYCPFSY -APYMMDLGISAKSPYSVTENPLSHLWIHVTGVILNNPRSMNARIIGETTFHQVILSSALLAYRCATSPLL -SQKFSGQSDLRGVEESIRVLSESSSYFSNTEDLDLEDDPQQNTPMAYVAWCDNKWNRRLFTFVDSAMLGL -TRPLRERQMGHIFRDFWAGFRNQIAEDLNVPLKNWTRTAIEGAGRI - ->YP_007641363.1 nucleocapsid [Perch perhabdovirus] -MDAGAIVVRSTKASFVPLQPQLEDEINYPADFFVNQKIPTFVRYYENAKPEDLLGMIFGELSESRLPSEL -VTSYIYSVMSKWTETLDAPWESFGIEFGDVNDILTPFSLLKCIVSDQALADYKTVAVPGDIDPIAMMIFL -LAPYRIVGIQNEEYQARVIQTIQNQIDSLGAKRLNVRSLKNITTLTRSPNYLKLVAAIDMFYFHFKNSQE -RAVVRVATLGSRHKDCAALSTLNHIVQFTGKPLIEVLDWVFTDQVAQEVSRMMRPGQEIDQADSYMPYLK -DLGLCRKSPYSSSANPGVHCWAQMTCALLGSKRSQNAIASTEENLVNLTRNAEIMAYVLGTGAVLVKALE -IGGVKGTDPDDITVDVDGAGEPTTLEALDWLDFVQTNGCQLTPKMEAKIRVMSLRIQNARKDTIGAYLKG -RAVQNE - ->YP_009362082.1 nucleoprotein [Morreton vesiculovirus] -MSVTVKRIIDNSVILPKLPANEDPVEYPGDYFKKTNEVPVYINTSKTLNDLRGYVYQGLKTGNVSIIHVN -SYLYAALRDIKGKLDKDWISFGVQIGKTGDEVGIFNLVSVKTLEGIIPDGVSDASRTSADDAWLPLYLLG -LYRVGRTQMPEYRKKLMDGLINQCKMINEKFEPLVPEGRDIFDVWGNDSNYTKIVAAVDMFFHMFKKHEK -ASFRYGTIVSRFKDCAALATFGHLCKITGMSTEDVTTWILNREVADEMVQMMLPGQEIDKADSYMPYLID -FGLSSKSPYSSVKNPAFHFWGQLTALLLRSTRARNARQPDDIEYTSLTTAGLLYAYAVGSSADLAQQFCI -GDNKYVPDPNAGGLTTNAPPQGRDVVEWLGWFEDQNRKPTPDMLLYAKRAVNSLQGLREKTVGKYAKSEF -DK - ->YP_009513003.1 nucleoprotein [Jurona vesiculovirus] -MSSIVKRISTGTNVLAVLPASEDPVEYPEDYFKENPGKVKVFISRGTDLNKLRQFVYEGIKSGDVSVCHI -NSYLYQVLKGIQEEAPSEWKSFGVQIAVKGQKIGIFDLLEVDDYRGPIPDGKSSSGRTADDDKWLPMLIL -GLYRVGRATQEDYKKMLMSNLNAQCKLRSNQAEEIVDDTSDFYQAWGNDSNFLKIVAAVDMFFHYFKKNP -EANIRFGTIVSRFKDCAALTTLAHLQKVTGLPIEEVFSWILTRSVEDEVCRMMKPGQEIDQANSFMPYLI -DLGLSTKSPYSSVKNPSFHFWGQLTALLVQSSRAKNARVPDDIPYAELTKAAQLFGFAIGHSSDLEQRFA -LGDKVYKRDEAEASGSLLEPPTSKDVIEWLAWWDDVGNIPTPEMDAFAKRAVHGMIDVRTKTIGEYARKI -FS - ->YP_009505537.1 nucleoprotein [Radi vesiculovirus] -MLSKIKHIASGTEVEVRLPASEDPVEYPGDYFSKNRQKPKLYICDGANLDDMRQSVEQGLISRNVDICHV -NAYLYHVLKDIEAVADQDWSSFGISIAKAGDRVKLFDMLDVETLNQKPSAGQACPTATKEDDRWLPMYLL -GLYRVGRATVVSYQSELLEALSNQCKLRSKEFKELVQTQSDFFEAWGNDLNFTKIVAAVDMFFHKFKKHP -DAALRFGTIVSRFRDCASLSTFHHLVKVTGLSLEVVGTWILNDQVAKEIIQMMKPGQEIDQADSYMPYLI -DFGLSTKSPYSSVKNPCFHFWGQTTALLLRSGRAKNARAPDDIPYQSLTHASLLFAYAVGRTSDIAQRFS -TGETYKSETAGTSGQPKGNPLVDAVEPSSSNVVHWLAWWDDVGRVPTKEMEAFAKRAVHGLTDLRVKSIG -RHIKTHFE - ->YP_009505532.1 nucleoprotein [Piry virus] -MSVSVKRISTGTQVVAALPASEDPVEFPAEYFAKNPGKIPVFISTRLDLDKLRQYVYMGLTTGEVNVCHI -NSYLYRVLQNIEDEARDNWVSFRVTIAAKDTTVRLFDMLEVKEYAGLVPDGKENANLTQTVDEWLPMYIL -GLQRVGRATDERYRGDLYDHLCAQCKLKSPSFESLGNPADNNYASWINDSNFLKLVAAIDMFFHHFKKHE -EAVIRFGTITSRFKDCAALSTMAHLVKVTGMPVEEVMTWVLNKPVEDEVCRMMTPGQEIDKADSYMPYLI -DFGLSTKSPYSSVKNPCFHFWGQLTAVLVNSARARNARVPDDIPYQELTQAALLFAYATGRSSDLAQRFV -LHNKEYIKAAPDASDNSEREESKEAPTTRDVVEWLAWWDDIGQVRTRDMEVFARRAVHGILDPREKSIGA -YARGYFA - ->YP_009505527.1 nucleoprotein [Carajas virus] -MNSIVKKVIDDTVIQPKLPANEDPVEYPADYFKTSKQIPLYINTDKTLAELRAFVYQGLKAGNPSIIHVN -SYLYLALKDIKATLERDWTSFSITIGKQGEEITIFNLVSVRPLVITVPDGRTDPDRSPNDDKWLPIYLLG -LYRVGRTKLPEYRKKLMEGLEMQCKIMYPDFVPIVPEGMDFFDVWGNDSNFTKIVAAVDMFFHMFKKHER -ASLRYGTIVSRFKDCAALATFGHVCKVSGMSTEEVTTWVLNREVADELCQMMFPGQEIDRADSYMPYMID -FGLSQKSPYSSVKNPSFHFWGQLAALLLRSTRAKNARQPDDIEYTSLTTAGLLLAYAVGSSADISQQFYM -GDEKYISDPSAGGLTSNAPPKGRNVVDWLGWFEDQGGNITPDMYSFAKRAVCSLQGLRDKTIGKYAKGEF -DK - ->YP_009505486.1 nucleocapsid protein [Drosophila ananassae sigmavirus] -MSAPMEEDHIPGSSNQNRQQPITKGEVTSSSIYKVTKEKVEFSSEPTAAEAVYPSAWFNNTKTKPTLIIP -QCPPGQVYSVEVLRLNVVEHLLSGTLTKDIAMLYVYTELSRIEGTLSEDWTSFGVRIGLRDEKVTPFSPV -TVKQEGNVVHLSPKSGECQYAYWALASILSVYRLSRTSYADYRSRMSESLKGQSEIVRPATALGYDEMSI -TYGAWKDDQNFRKMIATIDMFYVKFPGLQFDLIRFGTVSSRYKDCAALTSLFNLKHTMGVSKESQISLWI -GNEVIANQFIRITKPGEEIDKVNSYFPYLIDFGLTIKSPYSSVLNANFYFFANTAAILMGNTRARNAVYH -EIDSSSSTLMNSVLLGYAHAGRSMNSQMFFQSEEDLKLHEENSEMREALKATQIGVRVATDKEPEDRDAS -DWFDYMDKINWTYTDKMKLFNQSIKRTLADCRDGSLGNKLKALLPVPENSDRESL - ->YP_009505475.1 nucleocapsid protein [Lake trout rhabdovirus 903/87] -MDNSLVVVRATKAAFVPVRPKLEDEVNYPSEFFVDGKIPAFLHYYADKTQAELLGMIFGELSESRLPSEL -VTSYLYNVIKDWVEKLDAAWESYGTTIGDLNEVINAFSLMSYQVAAQPLPDYKVGAVPADIDPVAMVIFL -LAPYRIVGIQNEEYQTRVMQTIQNQLDSLGAKKLSVRQLKNITTLTKSPNYLKVVAAVDMFYFHFKNNNE -KAVVRVSTLGSRHRDCAALATLNHIVQFTGLTLLEVLDWVFTDQVAMEIARMMHPNQEIDQADSYMPYLK -DFGLCRKSPYSSSANPGTHCWAQMTCALLGSKRSQNAIANTEENLVNLTRNAEIMACVLGTGATLVKAIE -INGVTGSEDDDDATEEDGTAEPTSMEALDWLDYVKANNCQLTPKMEARVHMMSQRIQHARKDTVGAYLKA -RVSADH - ->YP_009505322.1 nucleocapsid protein [Vesicular stomatitis Indiana virus] -MSVTVKRIIDNTVIVPKLPANEDPVEYPADYFRKSKEIPLYINTTKSLSDLRGYVYQGLKSGNVSIIHVN -SYLYGALKDIRGKLDKDWSSFGINIGKAGDTIGIFDLVSLKALDGVLPDGVSDASRTSADDKWLPLYLLG -LYRVGRTQMPEYRKKLMDGLTNQCKMINEQFEPLVPEGRDIFDVWGNDSNYTKIVAAVDMFFHMFKKHEC -ASFRYGTIVSRFKDCAALATFGHLCKITGMSTEDVTTWILNREVADEMVQMMLPGQEIDKADSYMPYLID -FGLSSKSPYSSVKNPAFHFWGQLTALLLRSTRARNARQPDDIEYTSLTTAGLLYAYAVGSSADLAQQFCV -GDNKYTPDDSTGGLTTNAPPQGRDVVEWLGWFEDQNRKPTPDMMQYAKRAVMSLQGLREKTIGKYAKSEF -DK - ->YP_009177647.1 nucleocapsid protein [Cocal virus] -MAATVKRVIDDSVITPKLPANEDPVEYPADYFKKSRDIPVYINTTKSLADLRGYVYQGLKAGSLSIVHVN -SYLYAALKDVKGKLDRDWITFNIQIGKAGDNVGIFDLVTVKNLEGVCPDGVSDATRTSADDQWLPLYLLG -LYRVGRTQMTDYRKKLMDGLIAQCKLINEKFEPLIPEGRDFYDIWGNDGNYTKIVAAVDMFFHMFKKHEK -ASFRYGTIVSRFKDCAALATFGHVCKITGMSTEEVTTWILNREVADEMVQMMYPGQEIDKSDSYMPYLID -LGLSQKSPYSTVKNPAFHFWGQLTALLLRSTRARNARQPDDIEYTSLTTAGLLYAYAVGSSADLSQQFYV -GDDKYISENTTGGLNSNAPPQGRDVVEWLGWFDDNQRKPTADMLQYAKKAVMSLQGLRDKTIGKYAKAEF -DK - ->YP_009094384.1 nucleocapsid protein [Perinet vesiculovirus] -MRIFDTLDVQEYTGVIPDGKASNDRTANDDTWLPMLILGLYRVGRATQEEYRKNLMNGLRMQCKLRNINA -EDLVSESDDFYNLWGNDPNYLKLIAAIDMFFHHFKKHEEASLRYGTIVSRFKDCAALTTLAHLVKVSGMS -VGDVMTWVLNQPVEDEVCRMMTPGQEIDKADSYMPYLIDLGLSTKSPYSSVKNPCFHFWGQLTALLIQST -RAKNARVPDDIPYQELTKASQLFAYAIGRSADLAQRFAINNKKYTREPADDDDALELSQEPPTGRNVVDW -MAWWDDVGQVPTKDMEHFGRKAVHGLTDLRMKTIGAYAKSYFGN - ->YP_009094340.1 nucleocapsid protein [Scophthalmus maximus rhabdovirus] -MKRANTTRIVENLDLGASSRPEYPSKFFANKKKPSISLRLATTDAQLDAMRAYIKSNLKRGDGQLGVEDV -KAYVASVMMKQKEQADTKWESFGLVIADAGVEITIANLFEVTTLKWKDAPVATETATAEDDIWMVLTLLG -LARISENTQYDAYADKLAANLGNLIKARTNGKEKCPSYARFSGWTRDRDFMKLVAGIDMFYHHFPKSEWA -QIRFGTITSRYKDCAIISSLEHLRKTTALSPKQLQGWILTDAAADEYEQVMKPDEEIEKGDSYTPYLSDM -CLSARSPYSATACAALHLWVHMTCIYLSSERSKNARIPLETAMVTIQTNAALMGYALKSRAVLVQAFVDT -TAAPQSASGPSSAPSISPNVHGNPRAAEPESVDGDDWLSYLQDEGGRLPDVIVKHCNAITQRILTDRAAS -LGAWMRDHPL - ->YP_009094273.1 nucleocapsid protein [Yug Bogdanovac vesiculovirus] -MFSKIKHIASGADVEVRLPASEDPVEYPSEFFSKLQQKPKLYVADGHTVEQLRQFVEQGLISRNVNIVHI -NSYLYHVLKDTEALCDRDWTSFGINIGKAGSKVKIFDMLDVEYLENKPNAGQACPEACKDDDVWLPMYLL -GLYRVGRATVPSYQNDLMEALNTQCKFRSPKFRELVQAQSDFYEAWSNDLNFTRIVAAVDMFFHRFKKHS -DAGLRFGTIVSRFRDCASLSTFHHLVKITGLSLADVGSWILNDQVAREIIQMMRPGQEIDHADSYMPYLI -DMGLSTKSPYSSVKNPCFHFWGQMTALLLRSSRAKHARVPDDIPYPSITNAALLFAYAVGRTSDIAQRFT -TGDVYESSKKGGASKLLSVASVAEPETSEVIKWLAWWDDKGKSPTKEMESFARRAVHALTDLRANSIGKY -AKTHFDQ - ->YP_009094263.1 nucleocapsid protein [Grass carp virus] -MSVTRIKTNAAVAAVLPANEDQADYPATFFEGGNEIQLYVNRGEKLDVLRQYVYMGLQEKNCKIQHVNAY -LYAVLKDEEERLEADWESFGQKIGNQGEKIGPFGLVKVRDIPDGLPDGKLNADVSAEDDAWLPLFLLGLY -RVGRASETAYRTMLMEALVKQCKAIKSDWVSPVTATHKYFDVWGNDGNYLKIVACVDMFYNHFKKSVKAT -FRWGTIVSRFKDCAALATLGHLVKITGLTIEEVFTWVLQTEVADELVRMMKPGQEIDNSASYMPYLIDMG -ISAKSPYSTIKNPSFHFWGQLTAALCRSKRALNARQPDEIDSVSISNAGLLMAYALGSSPDIEQQFSNGD -TYRKPPKASSHLVTEEPKTRAVVDWIAWYSDVDNKPTDEMLLMAKRVAGTISGPRENSVGKWIKQTYG - ->YP_009094202.1 nucleocapsid protein [Tench rhabdovirus] -MSVIRIKTNAAVAAVLPANEDQADYPATFFEGGNEIRLYVHRGEKLDVLRQYVYMGLQEKNCKIQHVNAY -LYAVLQGEEERLEADWDSFGQKIGNQGEKIGPFNLVKVGDINDGLPDGKLNAEVSAEDDAWLPLFLLGLY -RVGRASETTYRTLLMEALIKQCKAIKSDWVSPVTSTHKYFDVWGNDGNYLKIVACVDMFYNHFKRASRHV -RWGTIVSRFKDCAALATLGHLVKITGLTIEEVFTWVLQTEVADELVRMMKPGQEIDNGASYMPYLIDMGI -SAKSPYSTIKSPSFHFWGQLTSALCRSKRALNARQPDEIDSVSISNAGLLMAYALGSSPDIEQQFSNGDT -YRKPPKAESXLVTEEPKTRAVVDWIAWYSDVDNKPTDEMLLMAKRVAGTISGPRENSVGKWIKQTYGQ - ->YP_009094174.1 nucleoprotein [Malpais Spring vesiculovirus] -MAPTVKRVSDNTIVQAVLPASEDPVEFPKDYFNANPGKIKVYISKQKDIGTLRQFVYEGIKSGDVSICHI -NSYLYFALKEIKDVAASDWTTFGITIASKGATMQIFDTLIIEEYRGQVADGKLNATRTVDDDKWLPMLIL -GLYRVGRATQEEYKKTLMSGLMAQCKLRHPNAEEIVNETDDFYQAWGNDSNFLKLVAAVDMFFHQFKKHP -DAGLRYGTIVSRYKDCAALSTMSHLHKVTGLPIPDLMTWVLTKSVEDEVCRMMTPGQEIDKADSYMPYLI -DLGISTKSPYSSVKNPCFHFWGQLTALLVQSARAKNARVPDDIPYAELTKAAHLLAYAVGHSSDLEQRFS -IKDKQYKREIASDESLGDIGSEPPTGKDVVDWLAWWDDVGRVPTPDMNSFAKKAIHGLVEIRDRTIGEYA -KRTFT - ->YP_009094121.1 nucleoprotein [Pike fry sprivivirus] -MSVIRIKTNAAVAAVLPANEDQADYPATFFEGGNEIRLYVHRGEKLDVLRQYVYMGLQEKNCKIQHVNAY -LYEVLKGEEERLEADWDSFGQKIGNQGEKIGPFKLVKVGDITEGLPDGKLNAEVSAEDDAWLPLFLLGLY -RVGRASETAYRTLLMEALIKQCKAIKSDWVSPVTSTHKYFDVWGNDGNYLKIVACVDMFYNHFKKSIKAT -FRWGTIVSRFKDCAALATLGHLVKITGLTIEEVFTWVLQTEVADELVRMMKPGQEIDNGASYMPYLIDMG -ISAKSPYSTIKNPSFHFWGQLTSALCRSKRALNARQPDEIDSVSISNAGLLMAYALGSSPDIEQQFSTGD -TYRKPPKEGSYLVTEEPKTRAVVDWIAWYSDVDNKPTDEMLLMAKRVAGTISGPRENSVGKWIKQTYGQ - ->YP_009094097.1 nucleocapsid protein [Vesicular stomatitis Alagoas virus] -MAAVVKRIIDDTLIVPKLPASEDPVEYPADYFKKTSEIPLFINTTKSLAELRGFVYQGLKSGVVSIIHVN -SYLYAALKDVQAKLDRDWTSFGVNIGRAGANVGIFDLVSVKGLEGVCPDGVSDASRTSADDNWLPLYLLG -LYRVGRTQMTDYRKRLMDGLINQCKMIDDKFEPLIPEGRDFFDLWGNDNNYTKIIAAVDMFFHMFKKHER -AYLRYGTIVSRFKDCAALATFGHVCKITGMSTEEVTTWILNREVGDEMIQMMKPGQEIDKADSYMPYLID -FGLSQKSPYSTVKNPAFHFWGQLTALLLRSTRAKNARQPDDIEYTSLTTAGLLYAYAVGSSADLTQQFYM -GDNKYVPDNSDGGLTTNAPPQGRDVVEWLGWFDDNHRKPTPDMLQYAKRAVMSLQGLRDKTIGKYAKSEF -DK - ->YP_009091825.1 nucleocapsid [Maraba virus] -MSVTVKRVIDDSLITPKLPANEDPVEYPADYFKKSRDIPVYINTTKSLSDLRGYVYQGLKSGNISIIHVN -SYLYAALKEIRGKLDRDWITFGIQIGKTGDSVGIFDLLTLKPLDGVLPDGVSDATRTSSDDAWLPLYLLG -LYRVGRTQMPEYRKKLMDGLINQCKMINEQFEPLLPEGRDVFDVWGNDSNYTKIVAAVDMFFHMFKKHEK -ASFRYGTIVSRFKDCAALATFGHLCKITGMSTEDVTTWILNREVADEMVQMMYPGQEIDKADSYMPYLID -LGLSSKSPYSSVKNPAFHFWGQLTALLLRSTRARNARQPDDIEYTSLTTAGLLYAYAVGSSADLAQQFYV -GDNKYVPETGDGGLTTNAPPQGRDVVEWLSWFEDQNRKPTPDMLMYAKRAVSALQGLREKTIGKYAKSEF -DK - ->YP_009047081.1 nucleocapsid protein [Vesicular stomatitis New Jersey virus] -MAPTVKRIINDSIIQPKLPANEDPVEYPADYFKNNTNIVLYVSTKVALNDLRAYVYQGIKSGNPSILHIN -AYLYAALKGVEGTLDRDWVSFGRTIGKREENVKIFDLVKVEELKTALPDGKSDPDRSAEDDKWLPIYILG -LYRVGRSKVTDYRKKLLDGLENQCKVASTRFESLVEDGLDFFDIWENDPNFTKIVAAVDMFFHMFKKHER -APIRYGTIVSRFKDCAALATFGHLSKVSGLSIEDLTTWVLNREVADELCQMMYPGQEIDKADSYMPYMID -FGLSQKSPYSSVKNPAFHFWGQLAALLLRSTRAKNARQPDDIEYTSLTCASLLLSFAVGSSADIEQQFYI -GEDKYTTEKDDGLKKSEVPPKGRNVVDWLGWYDDNGGKPTPDMLNFARRAVSSLQSLREKTIGKYAKAEF -DK - ->YP_008767239.1 nucleocapsid N [American bat vesiculovirus TFFN-2013] -MNSRVRDVDSQKVYSVCLPAEEDPVEYPSEYFTRHKTKPVLFVSQKTSLEDLRQYVYQGLRTGEVYISHV -VSYLYMVLKEHKETNGEKWVSFEIQIADKDEEVSPFCPLIIKEDESKIPDGKKCPDCTIEDDKWLPTFLL -GLYRIGRATIPEYRALLMKNLMIQCKNLSHRAVALVRDTETFYDGWGNNPNFCKEVAAIDMFYHRFKKSD -RAVIRFGTIVSRYKDCAALSTFAHLNKVTGMSPLSIVLWLTHPALVKDFETMMKENQEIDKADSYMPYLI -DMGLSRKSPYSSVKNSSFHFWGQMTALLARSDRAKNARVPSDIPKSDLTTAAWIFGYAISRTSDLKVRLV -QDNSTSDIPNPEHDHLGPEPPSSRDCAEWMAWWVDQGGIPTADMKSFGRGVVSALTDLRAGSVGKFAKAY -FEGV - ->YP_008686602.1 nucleoprotein [Eel virus European X] -MAAMNSIKVTVRANGQDFTPVCPKMEDEVAYPSDYLDANGLPTFQLYYNDLSKKDLLDLIWGEASEARLP -SELVTAYVYKVVSEWKERLESDWSSFQFQIGKAGEEITPFNLIGMTVNSQKLADYKKAVAPEGIDEVAMV -IYLLAPYRIVGIKNEDYQDRVITNIQNQLDSLGAKKLQVKALKNVTTLINSANYLRMVAVIDMFYYHFKN -SQDRAVVRIATLSSRHKDCAALSTLNHITSFTGRSFVQVLDWVFTDQVAKEIGRMMRAGQEIDRPESYMP -YLKDLGLSRKSPYSSSANPGTHCWAQMVCAMMGSKRSQNAIASTEENLSNLTRNAEIMAYALGVGADLVK -GLIIGDQKEGDSGVIQDEEGMDEPNNMEAQDWLEYMASKGFKLTPNMELQVRHMCLRITNPRKATLGSYL -RERYSSSL - ->YP_007641382.1 nucleocapsid protein [Isfahan virus] -MTSVVKRIATGSSVLAVLPANEDPVEFPGDYFLQNPGKIRVCINRKLDVATLRQYVYEGLKNGDVHVCHI -NSYLYQVLKDTRDEAQSDWISFGVSLAVKGGIVSVFDTLMIEDYRGEAPDGRKCDGRTIDDDKWLPMLIL -GLYRVSRATQEDYKKSLLQKLYAQCKLRSPQAEELVEDAAEFYEVWSNDSNFLKLVAAIDMFFHKFKNHA -DAGLRWGTIVSRFKDCAALATLSHVQKVTGLSIKEVFTWVLNKSVEDELCRMMKERQEVDKADSYMPYLI -DFGISTKSPYSSVKNPCFHFWGQLTALLVHSHRAKNARVPEDIPYNELTTAAWLFAYAMGRSSGLEQRFT -TDDSYYQEDEDINKGLGVKAPTTRDVQMWLAWWSDIGKVPTQDMETFARREVLGLTEIRSKTIGEYAKKT -FSV - ->YP_007641377.1 nucleocapsid protein [Chandipura virus] -MSSQVFCISTGQTVSVCLPANEDPVEFPGAFFTPNARKPTVYIKKETDLSLLRSHVYDGIKDGSVTVSQI -NSYLYMVLKDIREKPDKNWTSFGVELGKKNEPMGIFDLLNVEDVKGKELDKKGQDTRLPGDDLWLPTLIL -GLYRVSRATQVEYKKTLMTNLYAQCKLRTKDAEEIVDETAEFFNAWANDSNFTKIVAAVDMYFHHFKKSD -HAPIRFGTIVSRFKDCAALSTLSHLQKVTGLPIEEVFTWVFNKSVQDDLLRMMTPGQEIDQADSYMPYLI -DMGLSTKSPYSSTKNPSFHFWGQLTAFLVKSARAKNALVPVDIAYHELTTAALLFAYAIGRSSELEQRFV -LNGKKFTKEKDSRDDNDTTPPSERNVVVWLAWWEDIKHEITPDMKAFAKRAVERVGDIRVNSVAEYARKL -FA - ->YP_802937.1 nucleoprotein [Siniperca chuatsi rhabdovirus] -MEHQIIRRVSTGAEVKAVLPATEAQATYPADGMADGAMPLIIIEFQDLTASEIKQMILAGIQERRLDADI -VVRYLCEVIQHWDEVNNNEIWTSFGIELAKIGQAVNPLSLLRVRYGGRAVPDLAVAQGQADVQDKALVIL -LLGIYRVASITNDAYKTRVMDAIQQQALEADPKIQLNIRVLAGNKHLLSNPNYLRMVAAIDMFFYRFKES -ERANVRVSTLTSRFKDCAALSTFAHVASFTGLTLSGVLDWVFTETVAAEVEKMMKPGQEIDKEASYMPYL -KDMGLSKVSPYSATKNPGFHTWGQSACALMGSIRSQNALRASEDNWLNLKLNAEIMAFALGTSAELVKAF -DIGGNTDDQDDGGAPEGEPNLAEDAGMPKKRDAMEWFMYMEGRDFKLTEEMKEGLRRMAGNIKNPRPGTI -GDLLKHQAL - ->NP_116744.1 nucleocapsid protein [Carp sprivivirus] -MSVIRIKTNATVAAVLPASEDQADYPSTFFEGGNEIRLYVNKEEKLDVLRQYVYMGLVEKNCKIQHVNAY -LYAVLKGERELLEADWDSFGHKIGIQGEKIGPFNLVRVEDIPDGLPDGKLNAEVSAEDDAWLPLFLLGLY -RVGRASETTYRTLLMESLIKQCKAIKSDWVSPVTATHKYFDVWGNDGNYLKIVACVDMFYNHFKKSIKAT -FRWGTIVSRFKDCAALATLGHVVKITGLTIEEVFTWVLQTEVAEELVKMMKPGQEIDNSASYMPYLIDMG -ISAKSPYSTIKNPSFHFWGQLVAALCRSKRALNARQPDEIDSMSISNASLLMAYALGSSPDIEQQFSTGD -TYRKPPKEASYLVSEEPKSRSVVEWIAWYSDVDNKPTDDMLMMAKRVAGTISGPRDNSVGKWIKQTYG - diff --git a/seq/clusters_seq/cluster_192 b/seq/clusters_seq/cluster_192 deleted file mode 100644 index fe8e1a1..0000000 --- a/seq/clusters_seq/cluster_192 +++ /dev/null @@ -1,257 +0,0 @@ ->YP_010229112.1 nucleoprotein [Clo Mor virus] -MTNQLTFSSKDGLDKWFLNFRQNFGLSGTFTNSASICGGPADLSTYLTLNPKTDREKDAYYSQAMIDAVK -KCAPLYECAWNSCTGMVERGLKWFKDNAAITLELDNIYANVRKKEPNLSELNAYQNFAIKWREDTKYAIN -DLTSVAGGEVLTQYAVSSNIILDVQTMLKDMIKRRNLVLGSAPTRSNVQPEHIIFVDAWINGQTHVAALP -PWGSMDKKNSANHTLLATGLCKLMQTKDERAIQKAQMRVVELEEMLQDPDKHGLDKDTAYVHLLQIKASI -DEARTMGVDKAAMMSQAANMDVPFSSYYWMYKAGVTSETFPSLSQFLFEMGTQARGKEKMFKVLNNMPFK -WGKGVIKLFADNTFEGNRLYLHPLVLTSGRLSDMGACFGAFPVAYPGRVLEGSGHPRYILNLKTTDQNPC -AETIVKLFQINKTYYPNYEAQEVIPSEHLLHQSFIGKKGPFLNVSKVKGTATNVQIISG - ->YP_010229108.1 nucleoprotein [Dera Ghazi Khan orthonairovirus] -MAGYNFELDFPTVLDWESWYLGYKSKHPQLNSMFTNYDSFASGVPDLTQLQQEMSRHKDDPRGLKDSIFG -RAVVKLTEHAAPIKECAWLVNKTFITDALQWFETNKDQDYVKIWDASYTTLMSSLPSLEQVQAYQRAAIK -FRQNVLMPKDTAFQTLGGEVLVNYKVNKTIITSVLDMLKDMENKRSALRDPSGAPSSNRKETIDVNSKWM -MGWLNDEAALLDMPPWGAWDKTSARGLPIALTSIVKSEQVLKVDLTDTVNDKKARIARLMSLKTDEAKDF -DTDAIRKMHDVLDKWSTFKTSLKATPAQTGEPGFGQQAAALDTVFSACYWLWKSGVSVNSFPALSKFLHE -LGSKVVGKTKLASVLKACGWKWGKGLLNIMSIGNFNGNKIHMHPAVLTAGRMSSDMVISFGSVPAYNADL -AEESVGSIRSILNFETNRRNSCAEGIVKLWDVFCAGYEYQEEEIVPPEHMLHQSFLGKVSPFQNVSKREG -DALKVHITS - ->YP_010229106.1 nucleocapsid protein [Qalyub orthonairovirus] -MSALDFEDKQGWEDWFSRFRRTIPLYNVNTYSHCTNKDIPDLLNYITEMSGLDNEKEKNACYGRAVVEAT -KGLAPIREFAWCASNGIVRKSLAWFTNNRDSAILKSWDESYNKLKGELPSVEQLGDYHKCAKAWRKDIGF -EAVELTSALKGDVVTHYAVSERNVDTVKLMLEDMLAKRKARFESDEGRGAVAFRAGGTQPDHINWTKRWL -TDETLLLMCPPWGNWRKKNKQDQLLGATAIANIEQTSDIKAMEIAEMKLEAIKATAMNAEECRQRGLEQK -AVQRTAEEIDACLVGARTLIKESRDSGRISKYHQQMAAMDTAFSAHYWLWKISGSVPILPVISQWLFELG -QRPAGPKKVSAMLNGMPYLWAQRMLDLFAADKFIGNKIYMHPAILTPGRLSDMTAAFGLFPVAEPSRVME -GTGCIRTVLNLKTAGNNPCAEVIVNLFKVFSAGFDPKNEEIVPPEHMLHQSFLGKHSPFQTAADVGGTFA -KVKVVPSTLSRV - ->YP_010229103.1 nucleoprotein [Farallon virus] -MATRMRYQNYALDFKGIGDWNTWWSGFKAKHANINSNFTNFESFSNGMFDVGTFIDEAQKIQGNSHADQQ -RDAILAQAVVQVTKHAAPVKYGGWLVNRSYVETAIDWFQQNSQTTEVKLWDASYDRLKAQLPDLDQVKGY -IKSAVRFRSETGLPGDIGFAVLQGATAAQWKVPMDYITEIQEMLTDMRNRQNLVFGSNRNKEQSRRNGEY -MASLILGTESILGEVPWGSWNKRNKGDKKLLLSSTAIMNALQNNLITEDDIKRFEHDMKNEHATEKDDDV -KKAISDILTMLEAFKVERQAMNSNNTGGFIQQGSNIDVIFSSFYWVWKSGCSVNEFPALSKFLNGLGQKF -VGKAKMLETLSGVKFKWGKGLLNIISKDNFADRIHMHPAVLTSSRINNDMVSCFGIVPAHNPDLAENGCA -SLRNITNFETRKNNICAAAIASLFRVYKAAYPRYDQQEVVPMEHMLHQSFLGKTSAMQNSSMIVGNALDV -NIVA - ->YP_010085112.1 nucleocapsid [Estero Real virus] -MSTRRGDYDYALDFPTIKDWEDWYTEFKGEFAYLGSNDTNFDSFFNGLPDLTNFRNEMEVARNQSNGLKD -SIVAKAIVEATKYAGPVKEAAYLVNKSYVTKALKWFDINRNMKEFTSWDADYKALMSELPSLDQVENYKL -CAQKFRKDTELPKTPCFRVLTGEVLTYYTVNRRDIDTINELMKDMKQKRDKVAPGGANRNKEQSQANGDW -VAGFVKGEISYKEPPVWGGWLKKNKNPGRAMLLCSTALQNAVSRRLITDDEIALCIQELEQMLETPGFDG -DGLTMKNIIEAMKNFEQDKAYMNAKSAGGVGFQAQSAALDSVFSSFYWVWKSGATVDSFPGLAKFCHELG -QRSVGKVKLTEVLSNTGWRWGKGLVNNMTKRFNIDPIHMHPAVLTAGRVITEMTACFGVVPPHEPYKAAE -GCGSLRNLLNLETGVRNVCASAICDLFNVYKQGYPRYEDLIVPMEHMLHQSFLGKASPYQYAGLLDGDAF -KIEIVPGQGLRGSEV - ->YP_009304991.1 nucleocapsid protein [Wenzhou Tick Virus] -MAPLPQSVLIFTNRQGLDDWYKGFKDANTGLNFLTTCTTSESLCKEVLRADTHPGGVTDPEKDANLAGKL -HTRLADLAPIYECAWTSSNLIVGRSLQWFEANQDKPFMQWNKVYDALRARLPTVAEVEQYQDAALRWRAD -IGYHINDLTMATKESVVKTYRVSPNIIMDIRDLLEDMKRRKNEMLGIKPGEERAPSAHVDQFASWVKLGD -WSVPCPWGDWSKKNKAEQLLSTTAAAGVVGKKLMRDAELKTSLAAMVASIRASANDEQYDPDALKKLGDN -LEGIYPTMQAYLADMRTKESGGFVQQGSAIDTAFSSHYWAWKAGIKVETFPSLSAMLFALGKAPLGRKKV -ERKLQDCPFMWSQKLGNLFSTLKNDAIHMHPGILTPGRMCSDMVCAFGAFPVSAPEKIRDGSSSPRFLLN -LRSDGENPAGQAISYIFREYKVAYPDWKTKDIVPVEHMLHQSFLSKMGPFVNVSQVPGQALSVNILPLEK - ->YP_009304988.1 nucleocapsid protein [Tacheng Tick Virus 1] -MAPLPKSLLTFSDASGLDSWFKDFEAKNIMSEEYTNSKSFCFDLRMATQWKKLPTRAENDAMIAQLVHER -LKTCAPIKEFAWTACDGMVERGLNWFDRNKDGETMTWAANYEALKGRLPTTAEVNQYQKAALQWRTDTNY -AINKYTAAISDSVVKIYQVNNKIVTDIRDLLSDMVARRNKALGIKPGEERVPAEHVDSFSNWLKQGDWSA -PCPWGDWEKKNKKGNSLIVTACAGVINRALFKEEELKERLKSLAGDASLASKTEGFDPKKCEDTAKILLD -LYGKAKAFISGGDGSSQSGGFVQQGSALDTVFSSYFWAWKCGVKKDVFPALSSMLYALGKNPTGKTKIIK -VLKASPYTWAHKMTEMFSTLSTDPIHMHPGVLTAGRLTTEMVASFGAFPVSDPSKAADGASSPRFLLNLK -SSDMNPAATTVSRMFYEYRQGYPDWRDEEIVPVEHLLHQTFLSKLGPYVNVSQVQGNALAVKITEYIVTK - ->YP_009293589.1 nucleocapsid protein [Huangpi Tick Virus 1] -MAVSKLDFTNKAGLDTWFGGLAHEGIILEKLFTNSPALISTIIGSQQWKPGEKKYNDSIIAKLMREHLKF -CAPIYECAWTSCNGTVNRGLQWFEKNKDLPVMEWHQKYEELKARLPTPEEVRQYQQAALKWRSDTGYFIN -QFTEASTDSVMKVYSVTSRNVTDIRQILEDMVRRRKEALGIKDNEAVRAPAEHAKPLSDWILLGDWTAPC -PWGDWWKENQKGHKLAATGLASAIQRGYIKKAEAITKLGAMAVAARAGMTVGDYDTVACGKLAQLFKDLP -GEAEVFLSGAVNSDGFVQQGSALDSVFSSFYWAWKAGVTLRTFPSLSNMLYQFGFSDAGKAKLTKQLKAS -PFKWAQNFPDLFSTLQEEPIHIHPGVLTAGRMDDMTACFGAFPVSEPSKMINGGFSKRYILNLRTDGNNP -SADTVVNLFKEYTIGYPEWKNEPIVPIEHLLHQTFLSKAGPFVNVFQVTGNALNVQITGDLSI - ->YP_009553334.1 nucleoprotein [Tunis virus] -MADYTYKLDFRSELEWNAWYNEFKGIHPTLNSNLTNFDSFMMELPNTREFIDDMARHREDARALKDSIFG -KAVIGLTAHSAPVKECAWLSNRTFIKHALEWFEDNKNKQMVKLWDAEYNKLMTTLPTLKQVQSYQDAARQ -FRIDVPLPKDQAFAVLEGEVLVEYKVGRDISKTIMDMLLDMERRRSETRNPGAGGAENRRETLDNNAAWM -NSWLRGRHGILDMPPWGPWDKTSSNGYLIAHTSILKAEQNIEEDLDDLVEERRNDVALLYARPAAELADF -DITVIELIIKTLETFQDFKRRIRARTGQNVGGFGQQAAALDTVFSSCYWMWKAGVTETSFPALSKFLHEL -GSKAIGKTKLLSVLKSCGWKWGKGLASIISAGDFQGDRIHMHPAVLTSGRLSMDLVLSFGAVPTFNPDLA -MEPVGSLRSLLNMETNRGNTCAKAIVQLWDVFNAGYRYEEEDIVPPEHMLHQSFLGKISPFQNVSLRRGD -ALKVKIIT - ->YP_009551659.1 nucleoprotein [Great Saltee virus] -MASGGRMRFQNFALNFTDANQWNQWWSSFKRSHPELNTTITNFDSFCSTIGDVNNIIEALRKIQGNKHAN -AEKDALIAKAILIITKNAGPVKLCAWLVNENYVTVAINWFEKNSKAEDVAIWDVAYEKLMRQLPMESQVR -AYQRAAIRFRKETGLPDDIGFAVLTGQTPREWRVTKETAMDIQAMLIDMISRRRTMTGGNRNKEQSRENG -TFIAGLVKGEESFLGDIPWRRWNKKNEKGLHISSTALMNAIQRGLITEAEVQQFIDDMKKEGAAELDEDI -KKGISEMLDALEAFKVEKPKMSSTTTTGGYVQQGSNMDIIFSSFYWVWKSGCNKRDFPALSKFLNNMGQK -IVGKTHMIETLETVKFKWGKGLINIISTEGFADKVHMHPAVLTCGRINNEMVACFGVVPAHKPDLASSGC -ASIRHLTNFETRQTNAAAVAITSLFRVFKAAYPQYDQEEIVPAEHMLHQSFLGKYCAFQNASQLDGDALD -VILTEGN - ->YP_009551598.1 nucleoprotein [Avalon virus] -MASKLDFEDKEGLNKWFSEFRQNFNLSTSCTNSLSLCKELPDLTKYLSMEPKTDREKDAFYSQAVIDAVG -NAAPIYECAWTSCTGMVKRGLKWFEDNQQQTTELIAIYDNVKKRVPLLSELNTYQQAARKWRLDVKYAIN -EFTETASGNVLNTYSVPNDIILDVQTMLKDIIRRRNLVLGASANRSTVQPDHVRYVQSWVMGTTHPASLP -PWGETNKKNSSNRSLFATGLCKLSQTVDEDILRKAKKRLEELEKMVEDPDKHGLDKDAAGEHLKGVRASI -EEAETMTESSGAMMSQAGNIDLPFSSYFWAYRAGVTPNTFPALSQFLFELGTQARGKEKMCSILNNTPFK -WGKGLVGLFADNSFQGNKMYLHPMVLTSGRLSDMAACFGAFPVAYPGRALEGAGHARYILNLKTSGKNAC -AHAITQLFKIDTSYYPDYESKEVIPSEHMLHQSFIGKKSPFINVSRVKGNAANVQIVSG - ->YP_009666120.1 nucleocapsid protein, partial [Artashat orthonairovirus] -MANMLKIKTVAELDNWLKNFPPYVTASTAVTNSKSLAGHLESIEPYRAKVRDNMSQPEKDALYSQAAMGL -FKFGAPIRECVWTSSTGVFERSMKWFEDNHTTPTFITWDEKYAALKTDVPTLEQLKAYQKSAIAWRVDIG -YNAGPLTAILEEQLVDKYAVPMTIITDIQRMLDDMIAKRNNLLKSPANKGVVQDEHIRWVKDWLEGKCHA -ACAPLWGFDKKNTAGKYLFATGMAKIHQTVPKFDVLDKFDGRVQELSKIKKGDEVYTSAAIDSALKHLEG -IRAEAAELIEGSSSYLAQCAQMDVPFSAYYWLWKAGVTEKSFPSLSDFLFQLANKQVGTGKMVKILSGRG -ETWAAPIVQLFSAKETFKGDRIRMHPAVLTAGRLSDMGACFGAVPVNRPEDVTQGAGFARFALNFKTGRG -NPCATNIVELFRLQDEMGEVSVENIVPSEHLLHQSLVGKRSPFQNAYNVKGNAIEVKVEQG - ->YP_009666116.1 nucleocapsid protein, partial [Burana virus] -MPLPVSQLTFTNVEGLNTWYEEFKRRNTRLTFSTLCTISESLCKEIHPASMVEIKGSRQEKDAKLAQLMH -ERLMDMAPIKECAWVASQNMVEKSHAFFESKKDTPMMSWHQRYTYLKANLPTEEDVHAYQQCALAWREEV -GFEFNDLTKACTDEVVKVYTVSGEIVTDLKDMLNDMKVRRDAALNGAQPRRAEAVDRQAHVRAFADWLRA -GDWRAPCPWGNWEGKNKAGNLLATTATIGLVTNKTFTMQEIEQKIHNMVTDIDASKHEEHYSPEAINKLR -HTLSLIPLNARNFQAVQARAGSGFVQQGSAIDTAFSSHYWTWAAGVKPELFPCLSSMLFALGKAPQGRTK -LDQKLRDCPFAWAQKFREMFSTIKEDAIHMHPAVLTPGRMASDMVCSFGAFPVADPDLISQGSSSPRFLL -NLRSEEQNPAGMTIAALFREFKLAYPDWRNELIVPSEHYLHQSFLSKQGPFVNVYQVQGNALNVTINGVG -PRA - ->YP_009666114.1 nucleocapsid protein, partial [Chim orthonairovirus] -MSELKFETKEGLETWFKTFEQRVPLFSINTYSKCLSDKAPNLGLFKVQMEETKNDRQKNAIFGRAVVEAT -RNFAPYKEFAWTASNHIITKSQEWFDKNIANPKFVSWHSSYDTLKGKMPELPQLSDYQECAQSWRTFTDY -EILPLTQSLTGEMTTRYVVDGSFSPTILRMAQDMVAKRKAMFNGTIPGEESQGKEAHIEWTRRWVEGEIE -STMPPTWGSWTKQNSKGNLLGATALANLEQTKKIGAIAKAKEILKALKLLGANLVECNAKGVRSEAVTRI -AVEVDACITEAEKLIEDSKNSTTTSKYYQQMAAMDVVFSAHYWLWRANIGVGTFPIFSQWLFELGQRPIG -PRKVDEMLHSMAYNWSTSILNSFALRSFDENRMYMHPAVLTESRLSEMITCFGLFPVANPERAKEGTGAI -RNLMNLRTTGKNMCAQVIAELHNVFTSGFPNTLQCIVPPEHMLHQSFLGKHSPYQSAYKLKGDFTKVQVV -SEHSV - ->YP_009666320.1 nucleopasid protein [Wuhan Millipede Virus 2] -MSSSRRQKGRGMRDSIDLTEQDQRVGFGDIAAMCIDVFGEASKLMSAYGIMNEIPASANIPVEKPNIGPA -KYMEEVNNYTGAVALFAWLNCKFNMLEIIDFFNNNWDDDDMLVLRNSYAKLSGSGTPSVSQLESYKNIVN -SFRESLEDTVFIDQLNNLEGEVRDFKKLAIPDKYAITITAIYRDMKASRKAQQAKQQGGQEPKQDQVTQD -KQCLKLLEASSISRIVDRKLTASNKTDNTRCLLTDCLVRWESSTNDRNMRVYDRAVGACPALGAYRSIAA -NQLAALKEGQGAPGKEGNARYDQQIAAMDIIFNAYFFMKITNIADVDSISKLSTILYQVFISPPGKVKKM -VMITKDLESVQLNGITQFIGTNTDIHTAPWIMTWGRLQDIATVISIYPISNLMKGVQAACPRNILFLKQD -SSFFECMSELKLIEQRDADQHKMNPLDQIRTSDHMMSQFLLRKTSAYQCSINLSGNIFK - ->YP_009513193.1 nucleoprotein [Thiafora orthonairovirus] -MENLIDFTGVDGLQRWLKLTFPDTPIGKELTNFGSFMSVVPDLSEFELQARKAGSDQEKDAIYSKALIAA -TRKAAPIAACAWTSSNDMVTKGLKWFEDQITKENPKFISWHKEYEFFKKNVPTVEQLMDYQTSALEWRRD -TGYSVIPETATLTSKVVAQFSVPGVYVVAVQDMIKDMVARRGGGPKRGVSDEHIRCCLDIMNGNYSAIIN -PSWGELDKKNKQGLMLLATGFAKLREVHGPVAMVKVGQTVDKFKAWCQNQDILDKTKADEACNILEQAVN -ESLTLGGGAAIYRNQVAQIDTVFSSYYWMWRAGVTSQSFPLLSDFLFELGQNARGNIKVVKALERTGLKW -SRPLLSLFADNTFKVGRIHMHPAVLTVGRLSEMGACFGIIPATHPQSAVLGSGFAKNILNVKTDGLNPSA -SLVVQLFDIQRQSRMLTDLDVVSSEHLLHQVLVGKKSAYQNAFQVRGNATDTKIVGFDPPKLKRGSNMRS -SFDPKKTEVNFAFEPEAPIVQVAGLGPIRMGTKEEVAKQIEDARHRLEVQKLTEISEGVMAWEQSKRAEM -SQKRKVTLGGPTTQTQTLTIQEQTVTPGSSVPRAGSVVQMAPLSGIHPIQQQFLPKVQLAPEGVQYINWQ -QVTQHPASDNKHMDWQHTAQPQAPSHTEDFPDDIFAPYQGPTV - ->YP_009361834.1 nucleoprotein [Keterah virus] -MSKLVFENVEGLNEWFNAFSAGKGLDNRSSNSLCFGYEAPDYTNYAIRVGEARDDKEKNAIYGQAVIAAT -RFIAPLKECAWVSCKGMIERSMKWFDIAAHGNEDFKIWHDNYNALKSRVPSLMEITGYRTSVLNWRAATR -FEELDTVQTLYGEMRMDYAINADIAPTIVEMLNDMKDKRERAFGANGGSRGRVSQEHVGWVRLWLDGQID -PLSIPQWGSWDKTNSSGKRLGATGIVNLYDPQNYDSLALAEIKYGEAVAAARTAARDLDPAAITATLQRI -RACIDEAKEIANAREGNGNVAFTQQMAAMDVAFSSHYWLWRSGCKFESFAPLSQYLFELGQRPVGSAKID -KMLGEMPWMWARGLRSSFADKTFQDKIHIHPAVLTQGRLLDMASCFGAIPANNPERAREGTGNPRFILNL -RRSGRNLCGTVAAKLFEVFKAGFNVQESEIIPAEHMLHQSFLGKQSHLQYAGMLQGDFTKIHIVNA - ->YP_009507852.1 nucleocapsid protein [Hazara virus] -MENKIVASTKEEFNTWYKQFAEKHKLNNKYTESASFCAEIPQLDTYKYKMELASTDNERDAIYSSALIEA -TRFCAPIMECAWASCTGTVKRGLEWFDKNKDSDTVKVWDANYQKLRTETPPAEALLAYQKAALNWRKDVG -FSIGEYTSILKKAVAAEYKVPGTVINNIKEMLSDMIRRRNRIINGGSDDAPKRGPVGREHLDWCREFASG -KFLNAFNPPWGEINKAGKSGYPLLATGLAKLVELEGKDVMDKAKASIAQLEGWVKENKDQVDQDKAEDLL -KGVRESYKTALALAKQSNAFRAQGAQIDTVFSSYYWLWKAGVTPVTFPSVSQFLFELGKNPKGQKKMQKA -LINTPLKWGKRLIELFADNDFTENRIYMHPCVLTSGRMSELGISFGAVPVTSPDDAAQGSGHTKAVLNYK -TKTEVGNPCACIISSLFEIQKAGYDIESMDIVASEHLLHQSLVGKRSPFQNAYLIKGNATNINII - ->YP_009361831.1 nucleocapsid [Nairobi sheep disease virus] -MQNQIVADNKDAILAWHKTYSEKHRLKSVLTNSASFCETIPDLSGYEVSMRLVSSESEKDSVYASALVAA -TKFCAPILECAWTSCTGMVERGLDWFENNKETVKIWDAEYGKLRTEAPSPEQLLGYQRAALKWRKDTKYG -INRNTAALAAAIATEYRVPGSIVVNVKDMLSDMIRRRNKILNRDGSEDVPKRGPVSKEHIDWARDLAQGK -FLVVFNPPWGDINKAGKSGIALAATGMAKLIELDGPKIAEDLRESLKGLVAWINAHKDEVENGKEVVDGL -TKHLQKALELAKQSSAMRAQGAQIDTVFSSYYWLWKAGVTAEMFPTVSQFLFELGKVPRGNKKMKKALSS -MPLKWGKKLLALFADDSFTANRIYMHPGVLTAGRMSELGVCFGAIPVANPDDAAEGSGHIKNILAQTTDT -QAGNPCAQNIVALFNIQKAGFDIESMDIVALEHLLHQSLVGKRSPFQNAYNIRGNATSIQII - ->YP_009246491.1 nucleoprotein [Yogue virus] -MSQLEFKDVKGLNEWYESFKKEVPIYSQGANSACLGSELVDLSQFKIDIDSQATESAKNAVYGKAVVAAT -RKLAPIYECAWTSCEGTFERSMAWFRDNADKPEFKLWHDKYNELKSNLPEPEQVEAYQTCSSAWRQAIGY -EINANTSTLRGAIVEEYGVSKEIVGTVKDMLVDMVNKRKKRLGGVVVSGNKASDDHINWMKSWLDDEIEL -FSVPTWGSWAKLNSRGQRLAGTAIANIKQKKDGDAVETAKEKLEKMKAIASNPAVLETDGYSEDAVKKMC -GEVESAIEEAEKLLAETGGGADSKYQQQAAAMDVPFSAHYWAWKSKVNDASFPALSQWLFELGQRPIGAT -KINAMLGELPFVWARNLRSAFASSNFNGSKIYMHPAVLTAGRLSDMAACFGAFPVGNTARAVEGTGNTRF -LLNLKREGDNPCATMVTSLFDVFSAGFKYQDVEIVPPEHMLHQSFLGKTSPFQTASKIDGSFTEINIVAA -PNKPTPYKFVPPVLTPKKQREKKRTK - ->YP_009246487.1 nucleoprotein [Kasokero virus] -MSELSFKDVNGLNSWYEEFKKEIPIYTAGANSACLSPDLVDLSQFKMDIDSQTSENAKNAVYGRAVIAAT -RKLAPIYECAWTSCEGIFERSMTWFNNNSDKPEFKVWHDKYNDLKSNLPEAEQILAYQTCSGSWRKEIGY -EINQNTSTLKGDIVEEYGVNKNIVGTVKNMLVDMVNKRKKRLGGVVVTGAGTSEDHITWMENWLDSEIEP -FSIPGWGSWAKQNSKGTRLAGTAIANITQKKDSDALEKAKEKLEKMKKTVSDAAALESEGMSEDAAKKMC -QEIEASIGEAETLLGASVAQGESKYQQQAAAMDIPFSAHYWAWRSKVNENSFPALSQWLFELGQRPIGAA -KVNTMLGELPFIWARNLRGSFASSNFNGNKIYMHPAVLTAGRLSDMAACFGAFPVANPSRAIEGTGNTRY -LLNLKREGDNPCASMVTSLFDVFSAGFKYQDMDIVPPEHMLHQSFLGKTSPFQTASKIKGSFTKINVVAA -PNKPTPYSFTPAVIRKPKEKKVKK - ->YP_009227120.1 nucleocapsid protein [Tofla virus] -MENKIVAESREEFHAWFKAYSDKHKLSKTHTESASFCREVPSLETYRLKMQFAATEAEKDAVYSTALIEA -TKFCAPIMECAWSSSTGMVRKGLEWFETNKESEIVKVWDANYATLRRGTPDAEALTAYQKAAIAWRKEVG -YNLNPQTHVLKQAIAAEYKVPGTIVANIKEMLSDMIRRRNLILSGGSDDAPKRGPVGREHIDWCREFAKG -KFLAVLNPPWGEIGKAGKSGYPLLATGLAKLAELEGPDVLNKAKENIVKFQDWLKQNKDQLDEERAKVIL -DSLVASHKTAVALAKQSNAFRAQGAQIDTVFSSYYWIWKAGVTPITFPSVSQFLFELGRNPKGQKKMHKA -LTNTPLKWGKKMIELFADNDFKQNRIYMHPCVLTSGRMSELGVTFGAVPVTDPDDAAHGSGHTKAVLNYK -TGADSGNPCARIISELFEIQKAGYDIQSMDIVASEHLLHQSLVGKRSPFQNAYLVKGNATNINII - ->YP_009111286.1 nucleocapside [Leopards Hill virus] -MSELNFKDLNGLNNWFEEFKKDVPIYTSGANSACLSSGLVDLSQFKLEIDAQSSESAKNAVYGRAVIAAT -RNLAPIYECAWTSCEGIFERSMSWFNDNADKPEFKVWHDKYNDLKSNLPEVEQILAYQNCSSLWRKDIGY -EINQNTSTLKGDIVEEYGVNRNIVGTVKNMLVDMVNKRKKRLGGVVITGAGTSEDHITWMENWLDGEIES -FSIPGWGSWAKQNSKGTRLAGTAIANIMQKKDSDALEKAKEKLEKMKKTADDPASLESEGMSEDAAKKMC -QEVEASIEEAEVLLGTNVAQGESKYQQQAAAMDVPFSAHYWAWRCKVNENSFPALSQWLFELGQRPIGAA -KINTMLGELPFIWARNIRGSFASSNFNGNKIYMHPAVLTAGRLSDMAACFGAFPVANPQRAAEGTGNTRY -LLNLKREGDNPCASMVTSLFDVFSAGFKYQDMDIVPPEHMLHQSFLGKTSPFQTANKIKGSFTKINVVAA -PNKPTPYVFTPASAKKIKEKKARK - ->NP_950237.1 nucleoprotein [Crimean-Congo hemorrhagic fever orthonairovirus] -MENKIEVNNKDEMNRWFEEFKKGNGLVDTFTNSYSFCESVPNLDRFVFQMASATDDAQKDSIYASALVEA -TKFCAPIYECAWVSSTGIVKKGLEWFEKNAGTIKSWDESYTELKVDVPKIEQLTGYQQAALKWRKDIGFR -VNANTAALSNKVLAEYKVPGEIVMSVKEMLSDMIRRRNLILNRGGDENPRGPVSHEHVDWCREFVKGKYI -MAFNPPWGDINKSGRSGIALVATGLAKLAETEGKGIFDEAKKTVEALNGYLDKHKDEVDRASADSMITNL -LKHIAKAQELYKNSSALRAQSAQIDTAFSSYYWLYKAGVTPETFPTVSQFLFELGKQPRGTKKMKKALLS -TPMKWGKKLYELFADDSFQQNRIYMHPAVLTAGRISEMGVCFGTIPVANPDDAAQGSGHTKSILNLRTNT -ETNNPCAKTIVKLFEVQKTGFNIQDMDIVASEHLLHQSLVGKQSPFQNAYNVKGNATSANII - ->NP_690574.1 nucleocapsid protein [Dugbe orthonairovirus] -MENQIKANNKKEFDEWFKPFSEKLQLRSNLTNSASLCDRVPDLALAEMKMALATDDKEKDSIFSNALVEA -TRFCAPIYECAWTCSTGVVQKSLSWFDKNKDFIKLWDAKYMDLKKGIPEPEQLVSYQQAAQKWRKDVGYE -INQFTRSLTHPVVAEYKVPGEIAVDVKEMLSDMIRRRNVLLNGDGENAGKKGPISREHVSWGRELAGGKF -QVVFNPPWGDINKCGKSGIPLAATAMVKVAELDGSKKLEDIRQALLDLKKWVEDNKDALEDGKGNELVQT -MTKHLAQAVELSKKSNALRAQGAQIDTPFSAYYWAWSAGVKPETFFTLSQFLFEMGQSARGGKKMIKALT -STPLRWGKGLINLFADDDFLGNRLYMHPAVLTPGRMSEMGACFGVIPVASPEDAILGSGHSKNILNFKID -TSVQNPCASTIVQLYRIQKSGFDLESLEVVSTEHLLHQSFVGKRCPTQNAYKVRGNATNVNII - ->sp|P15190.1|NCAP_DUGBV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MENQIKANNKKEFDEWFKPFSEKLQLRSNLTNSASLCDRVPDLALAEMKMALATDDKEKDSVFSNALVEA -TRFCAPIYECAWTCSTGVVQKSLSWFDKNKDFIKLWDAKYMDLKKGIPEPEQLVSYQQAAQKWRKDVGYE -INQFTRSLTHPVVAEYKVPGELLLMSRMLSDMIRRRNVLLNGDGENAGKKVLISREHVSWGRELAGGKFQ -VVFNPPWGDINKCGKSGIPLAATAMVKVAELDGSKKLEDIRQALLDLKKWVEDNKDALEDGKGNELVQTM -TKHLAKHVELSKKSNALRAQGAQIDTPFSAYYWAWSAGVKPETFFTLSQFLFEMGQSARGGKKMIKALTS -TPLRWGKGLINLFADDDFLGNRLYMHPAVLTPGRMSEMGACFGVIPVASPEDAILGSGHSKNILNFKIDT -SVQNPCASTIVQLIQNPEIWL - ->sp|P27317.1|NCAP_CCHFV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MENKIEVNNKDEMNKWFEEFKKGNGLVDTFTNPYSFCESVPNLERFVFQMASATDDAQKDSIYASALVEA -TKFCAPIYECAWVSSTGIVKKGLEWFEKNAGTIKSWDESYIELKVEVPKIEQLANYQQAALKWRKDIGFR -VNANTAALSHKVLAEYKVPGEIVMSVKEMLSDMIRRRNLILNRGGDENPRGPVSREHVEWCREFVKGKYI -MAFNPPWGDINKSGRSGIALVATGLAKLAETEGKGVFDEAKKTVEALNGYLDKHKDEVDKASADNMITNL -LKHIAKAQELYKNSSALRAQGAQIDTAFSSYYWLYKAGVTPETFPTVSQFLFELGKQPRGTKKMKKALLS -TPMKWGKKLYELFADDSFQQNRIYMHPAVLTAGRISEMGVCFGTIPVANPDDAAQGSGHTKSILNLRTNT -ETNNPCAKTIVKLFEIQKTGFNIQDMDIVASEHLLHQSLVGKQSPFQNAYNVKGNATSANII - diff --git a/seq/clusters_seq/cluster_193 b/seq/clusters_seq/cluster_193 deleted file mode 100644 index 061e9dd..0000000 --- a/seq/clusters_seq/cluster_193 +++ /dev/null @@ -1,135 +0,0 @@ ->YP_010088125.1 VPg [Dendrobium chlorotic mosaic virus] -GRKRKNQKLRFRDARDRKVGREVYGDDETIEHVFGSAYTARGKKKGNATTKNLGKKNRKFVNMYGFDPTD -YSYVRFLDPLTGHTIDSGVLFDMSLIQDEMQEQRLSFLAEQEDSGQLKAAYTQVKAYFVNTANSKALEVD -LTPHNPLLLGENSASVAGYPEREFELRQTGHAKEIDITQVPQQQEIIEHE - ->YP_009667133.1 NIa-VPg [Paris mosaic necrosis virus] -GNKRKIQKLKFRNAHDRKVGREVYADDYTMEHTFGEAYTEKGKQKGSKHTKGMGKKTRNFIHMYGVEPEN -YSMIRFVDPLTGHTMDENPRVDIRIVQDEFGEIRKRKIIDDELDSQMVISNPGIQAYFIGKGTEEALKVD -LTPHRPTLLCMNSNAIAGYPEREDELRQTGLPVKIARDQVPKQNEMVELE - ->YP_009458614.1 VPg protein [Saffron latent virus] -GKKRMTQKLKFRDAYDRKVGREVYADEYTMEHTFGEAYTKKGKQKGSTATKGMGKKSRNFFHMYGVEPEN -YTTLRFVDPLTGYTKDENPRVDMRLVQQEFGEIRTQMIEEDTLDRQRVIRNPGIQAYFLGAGTEKALRVD -LTPHIPTLLCRNSNNISGHPEREDELRQTGPAVEVLMDQVPKSNERVEME - ->YP_007001300.1 VPg [Blue squill virus A] -GKKRQMQKLKFRDARDRKLGREIYADDYTMEHTFGEAYTKKGKVKGSTHTKGMGRKTRNFTHIYGVEPDN -YNFIRFVDPLTGHTMDENTRVDIRIVQEEFGEVRKQKILDDELSRERVVSNPGIQAYFIGKNTEEALKID -LTPHVPTLLCQNSNAISGFPEREDELRQTGPPVHIKVSEVPASNKIVETE - ->YP_006405410.1 NIa-VPg protein [Basella rugose mosaic virus] -GKKRKIQKLRFRDARDRKMGREVYGDDGTIEHYFGAAYTEKGKKKGNNNTKGLGRKNRRFVHMYGFDPTE -YSFVRFVDPLTGHAQDEGITADMSIIQEEIADIREKAMLNDDDLIDYIRQNPGIQAYYMKHGSDKALRVD -LTPHNPLLVCRSATIAGYPEREIELRQTGPPKVVNVNEVPKVEKDQVASE - ->YP_006395358.1 NIa-VPg protein [East Asian Passiflora virus] -GKKRTLQKLKFRDAYDRKMDREVYADDYTMERTFGEAYTKRGKRKGSTETRGMGRKTRNFIHMYGVEPEN -YSMIRFVVPITGHTMDENPRVDIRIVQEEFGDIRMKMIEEDKLDTQHLVSRPGLQAYLFGKNTEDVLKVD -LTPHIPTLLCQNTNAISGFPERENERRQTGPPVRVSKSEVPEPNEHVELE - ->YP_004940325.1 NIa-VPg protein [Yambean mosaic virus] -GKKRMIQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGKQKGSTKTKGMGRKTRNFIHMYGVEPEN -YSMIRFVDPLTGATMDESPRVDIRLVQEEFGEIRRQKIEQDELSTEKVRSMPGIQAYFIGKNTEEVLKVD -LTPHRPTLLCKNSNAIAGFPERENELRQTGLPTRIARNEVPEPNEDVAVE - ->YP_004564595.1 VPg [Hardenbergia mosaic virus] -GRKRQIQKLKFRDARDRKLGREVYADDNTMEHTFGEAYTKRGRVKGSTHTKGMGKKTRNFTHIYGVEPDD -YNFIRFVDPLTGHTLDEAPRTDIRIVQEEFQEMRKQKVLDDELEWGHVSANPGIQAYFIGKGTEEALKID -LTPHRPTLLCQNSNAIAGFPEREDELRQTGAPVRVKMSDVPKPNEAVEVE - ->YP_004306482.1 VPg protein [Passion fruit woodiness virus] -GKKRQVQKLKFRDAFDRKVGRVAYADDHTLEHTFGEAYTKRGKVKGSTHTKGMGRKTRNFTHLYGVEPDN -YNFIRFVDPLTGHTMDESTRVDIRIVQDEFGEVRREKIDNDELDPHAIVSRPGLQAYFIGKNTENALRVD -LTPHIPTLLCQNSNAIAGYPEREDELRQTGAPIPVPIADVPKRNEHVELE - ->YP_003620389.1 VPg [Freesia mosaic virus] -GKGFRGGKRSTQKLKFREARDKKVGREVYGDDGTIEHYFGAAYTSKGKQKGNHTKKGMGRKNHRFVHFYG -FDPAEYSFVRFVDPLTGYAIDESVTCDISLVQDEISEARRLARENDTELPNAMRTRPGIQAYFMKNNSNN -ALRVDMTPHNPLAMGAKSTSIAGHPEREFELRQTGAAQVVQASDVPSVQDDVVRTE - ->YP_003208057.1 NIa-VPg [Canna yellow streak virus] -AKSKRSKQKLRFRDARDRKLGREVYGDDATMEKYFGEAYTKKAKKGNKTHGMGAKTRRFCHVYGVDPTEY -DMIRFVDPLTGITLDDTTQPDMELVQEHFQAVRNQLILDDQLDKQHLYTAKTIHAYFIKHGTRSALRLDL -TQHVPTLLCQKSNAIAGFPEREGELRQTGTPITIDKDAVPKPNEADVEHE - ->YP_002321505.1 NIa-VPg [Zantedeschia mild mosaic virus] -GKKRRNQKLHFRDAYDRKMGRMIVADDNTMEQTFGEAYTKRGKVKGSKHTKGMGRKTRNFVHIYGVEPDE -YSFIRFVDPITGHTMDESPRVDIRIVQDEMQDVRIKMLENDDIAPQQIYRHPGISAYLVATNAEKALKID -LTPHLPTLLQRNTNAIAGFPEYEGELRQTGAPLVIDRASAPAANQVNLE - ->YP_001974442.1 VPg protein [Fritillary virus Y] -GKNRKNQKLKFRDAHDRKVGRVVFADDNTIEHTFGEAYTKKGKQKGSTKTKGMGRKSRNFTHMYGVEPEN -YSMIRFVDPITGHTIDDNPRVDMRLIQDEFGEIRDKWLAEDKVEKQYIYHNPAIHAYFLGKNTEEALKVD -LTPHIPTLLCRNTNAIAGFPEREYELRQTGMPIKVPLSEVPKPNEIVDLE - ->YP_001816832.1 VPg protein [Telosma mosaic virus] -GKKRMMQKLKFRDARDRKVGREVYADDYTMEHTFGEAYTRKGKQKGSTHTKGMGKKSRNFYHMYGVEPEN -YTTIRFVDPLTGYTMDEHPRVDIRLVQDGIGEVREACMADGELDRQAIMYKPGIEAYPFGKGTEEVIKVD -LTPHNSRVVCRNNATIAGFPERDGELRQTGMPQTLPRSALPPPNERVTTE - ->YP_001718528.1 VPg protein [Banana bract mosaic virus] -GKRKFQKLKFRDARDKKLGREAYGDDGTIEHLFGEAFTRRGKVKGSSKTVGIGKKTRKFVNMYGFDPTDY -SYIRFLDPVTGATRDENVNAPIQLIQDELGNIRNVMSYEDDYVREKLKEGTGIKAYFVKENAMNALEVDL -TPHNPQLLCRSGSTISGYPEREFELRQTGPARVIPSKEVPIKNETPVEFE - ->YP_842356.1 VPg protein [Wisteria vein mosaic virus] -GKKRQIQKLKFRDAFDHKVGREVYADDYTMEHTFGEAYTKKGKQKGSHHTKGMGRKTRNFIHMYGVEPEN -YSMIRFVDPLTGHTMDENPRVDIRIVQQEFDDIRNEMIKEDELDPQRVYQNPGLQAYFIGKNTEEALKVD -LTPHRPTLLCQNSNAIAGFPERENELRQTGLPQKITKMEIPKANERVEVE - ->YP_529496.1 NIa-VPg protein [Konjac mosaic virus] -ARNKRQIQKLKFRDARDAKMGREVYANDDTMEHYFGEAYKKKGKKTGKTKGMGHKKRQFTTFYGVNPDDF -SLIRYVDPITGYTVDADPLESVHTVQAEFDAIRNDMIASGDTYPQRFYSDHSNRIRAYLQRKNASHALAV -DLTAHMPTLVCPSGTIAGFPEREGEVRQSGKFVQDVMPAKNEYEYIAHE - ->YP_077274.1 viral protein genome-linked [Watermelon mosaic virus] -GKKRQIQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGKQKGSTRTKGMGRKSRNFIHMYGVEPEN -YSMIRFVDPLTGHTMDESTRVDIRLVQQEFGEIREEMIGADELDPQRVYHNPGIQAYFIGKNAKEALKVD -LTPHVPTLLCQNSNAIAGFPEREGELRQTGLPQIVPKVDVPRAKERVEVE - ->YP_054398.1 VPg protein [Agropyron mosaic virus] -GDSKRARQKLQFKQGRANKEYNEVYADEDTIRENFGEAYTKKGRKGANFTKGTGKKTHEFTHFYGIDPTQ -YELVRYIDPLTGYTIDVNAQQAVNARSLEQAFIDERENLHEESLLAPGATFIPSDLQAYFINTQTRRALR -VDLEPHNPMRVGHRTNNIAGFPDREGEFRQSRPARPVNISQIPDKKDSCVAHE - ->NP_954625.1 NIa-VPg protein [Beet mosaic virus] -GKRRQMQKLKFRNARDNKVGREVYGDDGTIEHFFGAAYTERGKRKGNNSTKGMGTKTRRFVHMYGFDPTE -YSFVRFVDPLTGYSKDESVQTDISLVQSEIGEYRQKCMEDDDELIDFIKQKPGIQAYFMKNGSDKALQVD -LTPHIPLLSCAKTATIAGFPERESELRQTGTPIVVNKNVVPGEHKEVVREE - ->NP_734355.1 NIa-VPg protein [Bean common mosaic necrosis virus] -GRKRMMQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGKQKGSTHTKGMGKKSRGFIHMYGVEPEN -YSTLRFVDPLTGHTMDESPRVDIRIVQDEFGEIRRQKINEGELDKQAVVARPGLQAYFLGKGTEEALKVD -LTPHRPTLLCMNSNAIAGFPEREDELRQTVPMSAVPKPNEVVELE - ->NP_734418.1 NIa-VPg protein [Peanut mottle virus] -GKRQNQKLKFRDARDRKVGREVYGDDGTIEHFFGEAYTKKGKSKGNHTVKGMGRKTRRFIHMYGFDPTEY -SFVRFVDPLTGYAIDENITCDISLVQDEVAEVRKQFINEDEISAQSIAENPGIIAYYMSRNADKALKIDL -TPHNPLAVGRGGSSIAGFPEREYELRQTGKPLEVKKSEVPPVSKDVVATE - ->NP_734109.1 NIa-VPg protein [Dasheen mosaic virus] -GKKRQIQKLKFRDARDRKLGREIYCDDNTMEHTFGEAYTKKGKQKGSTHTKGMGRKNKNFVHIYGVEPEQ -YNFIRFVDPLTGYTLDENPRADMQLVQEEIGKVRRELINEGELEPQAIYSRPGIEAYFINNNAAEALKVD -LTPHRPTLLQLNSNAIAGFPEREDELRQTGQPVKIYKDLVPKANEYVAME - ->NP_734189.1 NIa-VPg protein [Zucchini yellow mosaic virus] -SKKRRSQKLKFRDAYDRKVGREIFGDDDTIGRTFGEAYTKRGKVKGNNSTKGMGRKTRNFVHLYGVEPEN -YSFIRFVDPLTGHTLDESTHTDISLVQEEFGNIREKFLENDLISRQSIINKPGIQAYFMGKGTEEALKVD -LTPHVPLLLCKNTNAIAGYPERENELRQTGTPVKVSFKDVPEKNEHVELE - ->NP_734198.1 NIa-VPg protein [Soybean mosaic virus] -GKKRQIQKLKFRDAFDRKIGREVYADDYTMEHTFGEAYTKKGKQKGSTRTKGMGRKSRNFIHLYGVEPEN -YSMIRFVDPLTGHTMDEHPRVDIRMVQQEFEEIRKDMIGEGELDRQRVYHNPGLQAYFIGKNTEEALKVD -LTPHRPTLLCQNSNAIAGFPEREDELRQTGLPQVVSKSDVPRAKERVEME - ->NP_734385.1 NIa-VPg protein [Cowpea aphid-borne mosaic virus] -GKKRMMQKLKFRNARDRKVGREVYADDYTMEHTFGEAYTKKGKEKGSHKTKGMGRKTRNFIHMYGVEPEN -YSTIRFVDPLTGFTMDEHPRVDIRIVQDEIGEVRGKLMDEGELDRQSIKHNPGIQAYFFGKGTEKALKVD -LTPHRPTLLCMHSNNIAGYPERENELRQTGLPQEIDLKDVPAPNEDVGVE - ->NP_734119.1 NIa-VPg protein [Bean common mosaic virus] -GKKRMTQKLKFRDAFDRKVGREVYADDYTMEHTFGEAYTKKGKQKGSTKPKGMGRKTRNFIHMYGVEPEN -YSMIRFVDPLTGATLDEGTRVDIRLVQEEFGEIRNRMIDEDELDANLIRSKPGIQAYFIGKNAEEALKVD -LTPHRPTLLCKSSNAIAGFPERENELRQTGLPAHIKRSEVPEPNEEVAVE - diff --git a/seq/clusters_seq/cluster_194 b/seq/clusters_seq/cluster_194 deleted file mode 100644 index 38df9d3..0000000 --- a/seq/clusters_seq/cluster_194 +++ /dev/null @@ -1,843 +0,0 @@ ->YP_009508466.1 RdRp [University of Giessen virus] -MAVRAIKLRSTIHELNCCRDTGLKFNGELIEMNNILDEAIGPHETVKTIIPDGYLIDGKNNIISILEAST -RAEPGDQNRKVELDRAKYDGIEDVLRPIGWSLNIITISERKPRINNIPESLMFKLLSTSISILSYTTDVH -EWVSEEDYLELKRSLTSYDFRTLTDEFEGQSLIFDIESTSDPYKDLFLWMSENEEKMPFSFEWRGPKITE -SISNFKREDAQLRLLELMRHSSIGLNLKTSHLSSLNKLKSLNLLNTRRRQNRVYDYLALKLFLEIQDRQD -FPEGWFPSVNDRLVTVDSITSSVKAYQRLMMKMIGSLQHLQNEVGNKKRKEELHLLEKFLIDASVTLVEP -LKLREAYMKLEFNRTIPQPKLQLKKLNDFPCKFDEAITSEESRTIEKVALNLVLSQKTRSTPRASEKEEF -FFQSIGGCLLLYKCTGEGQKAFSLLCKVRDKESSPLQSFSFFSFNINPSRIFPLLFSTKPIENLIDQLMM -LVNPIHDDIDRLKETIEKQRSVNDFLVSSEYSEDQLDELRRKLKYLIYGVLTNPTKRLQIELQSQRYYIM -DALSIIHHQNLEDKVVGNCITYDEFFLRHYAHELINEILSCKNIGLFLKITWGLNISYMCHLITKETPDR -LSDLRDCYEKFFNPKFKFMSNLIYNCKTVDELVERMEAEVESFIVCDEPSFETKPFLYEPILADFLSWLS -TEKFNGRDGEQLLDPKDYLTSNVDILDLTSNKSTFKRSRTTNGETLDEQYDTEKLLKRVISERLHKRVVR -SHRESVKSSPDELGQQWNTKLERKGKGNGKTIWRTENNRRRATRMAYEEFSNIIQGLIVETESEEDLTSF -LLQVGRCLEEVNEDLEKLKSKEANDQPEASKHKLILDVVCDLLGPEVRDLIRSTTYSTKLSDLPTDLLEE -DSYVKIVEEVMRRVPLEFPKGADTIDVTNISAGMVVSKYELGEFFSSFKFLLLWGGFNNYQGTYDHRSGP -QSTFLSISNKYRGESMISTRITNSEALQERLLAIRYGAPTLRNVLFSTLNLKVKDSEVGPNNKPLQFGLA -IKEQVGGPRELYVGDSDTKLITRVLEETSRNLGSKLHNSCLNSDKKFSNFMKRIARSFYENEIVLSMDHS -KWGPYNSPLQYHLMFESMESINDVNGKPLNFSFVKTILKWHLFKAVETPLILAEDVVLSALDVSSGRRER -LIGKERSFETFMINSILSNKSVPSQVHSWFDMGQGILHYTSDLYGSLVSEYITVKIREFFDVRSSTMNTS -DDMVLLFHLKYKNEDPNQRDKLLTMINFLCLVSNCLNKHISPKFCCSPLVGEFKSHFEVEATMVPLFVKF -FAASMNNFRCKTPMDLYNTCDTIVEQGICNGMSLRLADSVKERMVEMLGWLGYVNDPLMKPIETRQQDWL -EGCLAYRKLRSLEAWLMESGIERAKLDVIKLDLLKIIRELRDSLIAPSVAYKQMIEVCEMNLHGITIWYP -THHGEFKLIVRSKLNLGTTINETCENLLVKKLINHYSRYSKQGLGMLIAEGLERSAFQSSVVTGFIGLSI -SLSGSCVRNGDGTFLNLKDSRSISRPVAEVLPSLVMQSVCAVGDWVCGHESTLDKRVNKSIYMRTSLINT -AEFRFGLDELTATVEICMPDLFDKYLKDIVPPDKRLLMRHSWKVRPEMELLMDCANNGLSIFDGRIDRQE -EAVVLVDYYNPERLVRRTMKLEKVGSERSTRKRLQAIANRMILNSILEAKIIDKTMVLDAVKVTPFDRPL -EDLKSYKTSKIRRYIQQVLLGRETHYRLNEDEPNEPSSTNAHVYYEGSSENHLPDGTWIVGAKIGNYKPI -KLQLSFQQISDDLKMQATLVTHEGRANFQDFIYLKRSIIADDEFEEPEMLDLLDFRDVIIVESSRNDGKP -CFLFMGHIIPLESSSCESMLTQMSYVEWDELWRLLRTYFITRLKGEISSLSRARFGALWNLVGWIFSVFS -LEEVMNMGFHLLDSFGEFLVLTDRVFPTRGPTLVFHKSRGVLVSSPVGSLLYKGHRLMTYGDWVAGGPKL -EELED - ->YP_009508470.1 RNA-dependent RNA polymerase [Tavallinen suomalainen mies virus] -MGSHQPEDAELARLKEIVLQVLSYERDLYKPSYMTNIAGEMAIGAIRLRSSIHELNCCRDTGLKYNGELK -EMNELLDESIGPHETIKTIVPDGYLIDKNNNILSILEASTRSEPSDQSRKVELDRLKYDGLDSLLRPNGW -TLNLILISERKPRIGKVPEALMFKLLSTSLSILSYTTDIHNWISEEDYLELKKSLTSYDFRTLTEEFKGQ -NLLFDVESTGDPYGELLDWMSTNSEKMPFSLNWEGPKMTELIEDFKREDKQLRLLEIIRHAATGMNLKTS -HLSMLNKIKSLNLLNTRRKQNRVYDYLALKLFVETVERLDYPKGWFPSVNDRLVTVHSVDLPTRDYENSI -KKMINSLKIIQDEVGNRQKIKEIQTFTRYLEESSSSLLEPSVLREMYYNLASNDSIPQPVLRLKIMNDFP -CKSQEIVISAEESETIEKAALNLILSSKTRSTPRASLEEEFFFQSVNGCFLLYKCTGEGQKAFSFLCKVV -DGDQSRYEFFSFNINPSRLFPLIFSAKPIEILVDQLIMLINPIKEDIKRLEDTIRKQESVNSFLTSSEYF -DNQIDDLRIRVKYLIYGILTTPTKRLQVELQSQRYYIMDTLSIIHHKDLKDKVVGHCITYDEHYLRNYAH -SLINEILPCKNIGLFLKVTWALNISYLCHLITKETPDRLSDLRDCYEKFFKPKHKFMSNLIYDCKSVDEL -VERMEIEVNDFILCDEPSFEIKPFLYEPILADFLKWISNQKFNGLDGEQLLNPKDYLTSNIDVLDLTSNK -STLKRSTKNTGGDLDQQYDIEKLLKRVISERLHKRIVQSNRTSDEAQNKTGNPRKHELVGKAGEERKWKA -GGERRKKTRLAFDEFLGIVQGIIVETDSEDELTNFLVRVNRCLEELGNELEDSKTSHNGDSSSPESKFQL -VLTIITEELGHEMRDLVRSTCYSTKLSDLPSDLLKEENYEKIVRETMSRMPLEFPKNADTIDVTNVSAGM -VAAKFEQGEFFSSFKFLLLWAGFNNFQGTYDHRSGPQSSFLSISNKYRGESMLSTRITNSEALQERLLAI -KYGAPTLRNVLFSTLNLEMKNSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRILEETSRNIGG -KLGNSCLNSDKKFSSFMKRIARSFYEGEIVLSMDHSKWGPFNSPLQYHLMFEAMEAITDSEGKQLDFSFV -KTILKWHLFKAVETPQILAEDVVLSSLDISLGRRERHVGKEKTFETFMIDAVLSNRSVPSQIHSWFDMGQ -GILHHTSDLYGSLASEYITEKIRELFGFRSSTMNTSDDMVLLFHTKYKTGDVSQKDTLLTVTNFLCLVSN -CLNKHISPKFCCSPLVGEFKSHFEVEATMVPLFTKFFAASINNFRCKTPMELYNTCDAIVEQGVCNGMSL -RLADSVKTRMVEMLAWLGYTNDPLMKPVDTRQQDWLEGCLSYRKLRSLEAWLMENGIEEVKLTVLKLELL -KVIRELRESIIAPSVAFKRMVELSKGMIGDIDQWFPTLHGEFKLVVRSKLNLGTTINETCENLLIKKLIN -HYSRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGACVRKGDGTFLTLRESRIITKSVPEIFPSL -VMQSVCAVGDWVCGSESEIGKQLNKSIYMRTSLVNTAEFRFGLDELTAAIEMTMPDLFDKYLRNIVPPDK -RLLMRHSWRIRPEMELLIECAKDGLSIFDGRIDRQEEAVVLVDYYDPQRLTRRIIKLSKGSHERTARKGL -QTITNRMILNSILEPKIIDKTLVMDAAKLAPYTGTMEELKAYGESGLRRYVQQVLLGRDSHYRQREDEPA -DISINDVIVYGRGSPEEPLEDGTWIRGAKLGRFNSIKLQLSFQEISSEVKMQATMVTFEGRAHYQDFIYL -KRSLVADMDFDQPELADNLEFRDIIIIENPRPDGKPCLLFMGCVITLESKIVGSAVTQLSESEWEELWRL -VRTYFITRLKGEVSCLPKARFDALWGMVQRIFGHSAVEDVVAMGFHHLDSFIEFLTFTDRVFTTKGPKLV -FHRLKGILVAYPAGPFLYKGHRLICYGDWLSGGSKPEELGD - ->YP_009019203.1 L protein [University of Helsinki virus] -MGTTSPEDAELNRLKELMLRVLSYERDLYKPNILSESAGQLAVNSLKLRSTIHELNCCRDTGLKFNGEVL -DMNEILDRAIGPHETVKIVVPDGYLIDRENNVISVLETSTRSDISDRNVKIELDRLKYEGMEQVTRGLGW -TLNVIVISESRPRIGNIPESLMFKLLSTSLSILSYFVNTSGWISEEEYIELKKSMTSYDFKTLTEEFSGQ -NLLFDIESDLDPYYSLLQWFDTNKSSAPFSSKWDGPEITRRIEDFPRKGRQLRLLEILRNSFDGLNFESG -HLSSLNKLKSLNLLNTRRQQNKVYDYLSLQLFVNSVTSTDFPNGWFPSVNDRLVKVESVXFTVMLNVNIN -XTKMIDSLTALQKESGSKMRAQDFIMLKEFLRDQLAVLKSPDELESSYRLIKRNSSVPDPSISLKIVDDY -PTDGGDYTKYLSPSDCQIIEKVAINLILSQKTRSTPRSSHHEEFFYQSINGCLLLYKCSGEGQKAFSLLC -RSNPKPKFFSFNINPSRLFPLIFSCDAIDGLIDQMMMLINPISEDNDRLQPSILKQKSVNEFLVVKPFAE -NEIETLSQELRYLIYGILTTPTKRLQLELQSQRYYIMDCLSLIHHVDLADKVVGKCLTYDEWFLRSLAHT -LINNILESSNIGLFLKVTWCLNISYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMTNVIFNCKSVDELV -ERMELEVNDFITRDEPTYESKPHLYEPILADFLNWLSREKFNGLDGEQLLDPKDYLTSGVDVLDLTSNKS -TFKRCKGLTEDLDPTYNTDKLLKRVICERLHKRVVTKRSEEASEIKDCQSGPSEKRSKSSWSPTGKPAKR -TRMIYDEFSTIIQGLITELECTDTMDDFLVNIGKLLKEIELDLENLSRNAREEDPKLNNKFKKYDLVLES -IESLLGSEIRDLIRSTCYRTKLSDFPSDLIKEDSCKLVVEDVMSKVPTDFSETDTVDVTNITAGMVRARY -DSQEYFSSFKFLLLWAGFNDFQGTYTHRSGPQSSFLSMSNKYRGESMISTRITNSEALQDRLLAIKFGAP -TLRNVLFSTLDLNIKSSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGNKLPNS -CLNSEKKFFGFMKTIARSFFEDDIVLSMDHSKWGPYNSPLQYHLMFEAMEEINDVHGKKLDFSFAKTILK -WHLFKAVETPQILAEDVMMSALDISIGPRVEDKAQERDIEDIHAWNLSFQTKGLPISNTFLVLTWARGIL -HHTSDLYGALASEYITLKIKGIIWVLRCSTMNTSDDMVLLIHAKYGKRMNSDDEFLEVLTNLTNFIYLVS -NCLNKHISPKFCCSPLVGEFKSHFEVEATMVPLFVKFFSAAINNFRCKTPMELFNTCDTIVEQGVCNGLS -LKLADCLKRRMVNMLGWLGYVGDPLMHPVKSRQQDWLEGCLAYRKLRSLKAWLQSTGLSKTELCILDLNL -LKVIRDLRGSVLAPTAAYQTMIEVCNVTFKDRTVWFPTKFGEFKLVVRSKLNLGTTINETCENLFIKKLV -NHYSRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGPCVRKGDGTFLTLRESKTVIKPVAEVFPS -LVMQSVCAVGDWVCGVENELSKQANKSIYMRTSLVNTAEFRFGLDELTAAIEMTMPDLFDKYLKDIVPPD -KRLLMRHSWKVRPEMELLIECANEGLSIFDGRIDRQEEAVVLVDYYEPSRLLRRVMRLEKRGSERTARKG -LRAIINRMILDSILEPKIIDKVMVMDATKLAPYEGSMEELKSHGESGVRRYIQQVLLGRESHYRQREDEP -SEIVSNNVVVYGRGTPDNPLEDGTWLKGAKLGTSNPIKLQCCFQQVSNDIKIQATLITHTGRAHYQDFMF -LKRVIISDSDFDEPEMLDTLDFREVVTVENPKPNGKPCFLFIECVIPLESDLVGSIMTHMSEAEWEELWK -LVRTYFITRMKGEISCLPKARFDALWGMVSRIFGYSTVEKVVNMGFHQLDSFAEFLTFTNRVFTTKGPTL -VFHRLKGILVSYPAGPLLYKGHRLMLYEDWVSGTPEPEALED - ->YP_009019197.1 L polymerase [ROUT virus] -MGTISPEDAELNRLKELMLRVLSYERDLYKPNILSESAGQLAVNSLKLRSTIHELNCCRDTGLKFNGEVL -DMNEILDRAIGPHETVKIVVPDGYLIDRENNVISVLEASTRSDISDRNVKVELDRLKYEGMEQVTRGLGW -TLNVIVISESRPRIGNIPESLMFKLLSTSLSILSYFVNTSGWISEEEYIELKKSMTSYDFKTLTEEFSGQ -NLLFDIESDSDPYYSLLQWFDTNKSSAPFSSKWDGPEITKRIEGFPRKGRQLRLLEILRNSFDGLNFESG -HLSSLNKLKSLNLLNTRRQQNKVYDYLSLQLFVNSVTSTDFPNGWFPSVNDRLVKVESVVHGDVKCRHQL -NKMIDSLTALQKESGSKTRAQDFIMLKEFLRDQLAVLKSPDELESSYGLIKRNSSVPDPSISLKIVDDYP -TDGGDYTKYLSPSDCQIIEKVALNLILSQKTRSTPRSSHHEEFFYQSINGCLLLYKCSGEGQKAFSLLCR -SNPKPKFFSFNINPSRLFPLIFSCDAIDGLIDQMMMLINPILEDNDRLQPSILKQKSVNEFLVAKPFAED -KIETLSQELRYLIYGILTTPTKRLQLELQSQRYYIMDCLSLIHHVDLADKVVGKCLTYDEWFLRSLAHTL -INNILECSNIGLFLKVTWCLNISYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMTNVIFNCKSVDELVE -RMELEVNDFITRDEPTYESKPHLYEPILADFLNWLSREKFNGLDGEQLLDPKDYLTSGIDVLDLTSNKST -FKRCKGMTEDLDPTYNTDKLLKRVICERLHKRVVTKRSEEASEIKDRQNGPSEKKSKSGWSPTGKPAKRT -RMIYDEFSTIIQGLITELECTDTMDDFLVNIGKLLKEIELDLENLSRNVREEDPKLNNKFKKYDLVLESI -ESLLGSEIRDLIRSTCYRTKLSDFPSDLIKEDSCKSVVEDVMSKVPTDFSEADTVDVTNITAGMVRARYD -SQEYFSSFKFLLLWAGFNDFQGTYDHRSGPQSSFLSISNKYRGESMLSTRVTNSEALQDRLLAIKYGAPT -LRNILFSTLNLEMKDSEIGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGNKLNNSC -LNSDKKFSSFMKRIARSFFENEIVLSMDHSKWGPFNSPLQYHLMFEAMEGINDIDGKRLDFSFAKTILKW -HLFKAVETPQILAEDVILSSLDISLGRRDRQIGKERTFETFMVDAVLSNKPVPSQVHSWFDMGQGILHHT -SDLYGSLASEYITKKIKDIFGVRSSTMNTSDDMVLLFHMKYKDRDDDQKDKLLLITNFLCLVSNCLNKHI -SPKFCCSPLVGEFKSHFEVEATMVPLFTKFFAASINNFRCKTPMELFNTCDAIVEQGICNGMSLKLADSL -KGRMVEMLGWLGYVGDPLMKPVVSRQQDWLEGCLSYRKLRSLESWLMDVGIEKVKLEVLKLELLKVIKEL -RESSIAPSVAYKKMVEISRSQLGEITLWFPTLNGEFKLIVRSKLNLGTTINETCENLLIKKLINHYSRYS -KQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGPCVRKGDGTFLTLRESKTVIKPVAEVFPSLVMQSVC -AVGDWVCGVEDELSKQANKSIYMRTSLVNTAEFRFGLDELTAAIEMTMPDLFDKYLKDIVPPDKRLLMRH -SWKVRPEMELLIECANEGLSIFDGRIDRQEEAVVLVDYYDPSRLLRRVMRLEKRGSERTARKGLQAIINR -MILDSILEPKIIDKVMVMDATKLAPYEGSMEELKTHGESGVRRYIQQVLLGRESHYRQKEDEPSEITSNN -VVVYGRGTPETPLEDGTWIKGAKLGTSNPIKLQCCFQQVSSDIKIQATLITHTGRAHYQDFMFLKRVIIS -DSDFDEPEMLDTLDFREVIIVENSKPNGKPCFLFMGCVIPLESDLVGSIMTHMSETEWEELWKLVRTYFI -TRMKGEISCLPKARFDALWGMVSRIFGYSTVEKVVDMGFHQLDSFAEFLTFTNRVFTTKGPTLVFHRLKG -ILVSYPAGPLLYKGHRLMLYEDWVSGTPEPEALED - ->YP_006590093.1 L protein [CAS virus] -MDPDTNLLKNVILEILSIEPDLYKQSSIVDDPYKLAMSAIRLRATIHELNCCRDLGIIHNTKEISLNMVI -DRAIPIHPTFQHIVPDGYTIDRANMTIIVLEASTRSMPSDQKRKITSDKLKYSGVEDHLKHEGWLFNIIV -ISETKPRNGNVPERLLFELLKLSLSILSYSDKSSQWISEEEYDELKRSLTTYDFKTLTSEFSGTKLYTDL -DEPSETLDADILSWLASLETQNKLPFNTAWDGPQITKLIHKWRDIELQPKDYKPLRLMRLMSSATTGLNL -PTKHLSLLNKLKSLNLLNSRRHQNILYDYMAFMSLPEMNDYPSGWFPSVNDRLVSVKSIDSNFRQLEKLK -KRLMISMFKLQASTPKGYIHDLLKDAIEMVKSCKIVKPNFKMRNRGPVPEPFINYKIAREREGKTKDYNN -IDKQRIEDCALNLLLSQKTKSCPRSSNETEYFFQSRKGQLLIYKASGEGQKAFSLLVETQVAKNHHVNIF -LSFNINPSRLFPLIFSSESINAVLRQYKDLLTFIDTPIADITDNVIKSQQAINPFLTSNIMTEELAKQTL -HKLKVLIYGVLTNPTKRLQVELQSQRYYIMDALSLIHHKDLVSKVVGNCITTDEYFLRSLAHDLINTVLS -CGNMSLFLKVTWCLNISYLCHLITKESPDRLNDMRDCFEKFMKPKIEFMSNPIINSKNVDELIDKFEDES -ERFFLNAPTIEVKPSVYKPVMSDFLRWVKMNKFNGQNGEPLLSDENVLSSSVDVLDLTSNKSTFKRTKNG -EIESALDVLYNPKLIIKRIICEKLHKNTVKSSSIKERNKGITTEKSTIIVKLEELSSTIERIIGDEGLLD -ELEYGEFLLQMLDVADEIKEEITNKAGVPDEKELTNYEIVLETISSIVGTESKNLIKSTCMSTKLSDLTS -DILSEDCMKEIIESVITRLPSSFPRDAELVSLDNVSAGLVVARLQEGDIFSSFKFLLLWAGFNNFQGTYT -HHSGPQSSILKLSDKYRKKMMISSRVTNSESIQERLLNVSFGAPTLRNILFSTLDMDIKNSEIGPNNKLL -QFGLSFKEQVGGPRELYVGDSDTKLITRVLEESSRNLGSLLENSCLSSEKKFDSFMKEISRAFYNGYIIL -SMDHSKWGPYNSPIQYHMLYEALEEIRGANGKKLNLAFAKTILKWHLFKAVEVPVTIIEDIYQTMTDISL -NNRERRPDKERSYETYFINQLKTKKIKTHDNKVPSQIHSYFDMGQGILHYTSDLYGSLASEYICNKVNEL -FNIKLISMNTSDDMVVIVKRMFRAKHINSIREEMMYLMNYIYALSNFLNKHVSPKYCCSPLVGEFKSHFE -VEGKVVPLFIKFFSACIGNFRCKNPTELFNTCDTIVEQGVCNGLSLTVADCLKKRMVNMLDWLGYSSDPQ -MHPHTSRQQDWLMGCLSYRKLRSLETWLLENGVEELTLDVVRGKLYEVIQSLRMRMIAPIVALEKMRDVV -RTHLGKIDIWFPTLWGGFRLIVRSKLNLGQTLVESCENPLVKKLMNHYSRFTKQGLGLLISEGLERSAFQ -SSVVTGFIGLSISLSGPCVKSENGNFIPIHQSKQITKEVCEVYTTLLMDSVCSIGDWVCGEDRQLNKFQP -HGVYVRTSLINTPTFNYGLDELTATLELTSPELFDKFLATLVPIDKRLNLRTNWSVRPEMELLVECSKLG -MSVFDGKFDRKEESVMLTEYFKLEHLVTRSLPLQKTHKSGTAVQELNRICDGMILSSILRPQMVEPSLIG -DVLKTEPFTSSLEVLKDIKVSGLMRYIKQVLLGRKSYYKFGEEHADGDQNLFDYQFTGTPEEPIKGYWTT -TISYRDSKPKISLTIRQEFVEGGVESQAVLATVVGRPHLQDFLLLKRKHLEYSDYPESIDLIEFGDVKVI -EKTVGHGQPVVLYMASLFPIGLTKIAEQGIGCHNEKNDATLKSLLKTAILNMTPDDVSLLPRSKLDAIDH -VVRNVYLRFNNSSAVSYGLHKLQSFEKILQLNGRIYETKESTLVFHHKKGVLVEDLKGLMSYKTLRVSEW -REKQEPEEARVEVLEE - ->YP_006590089.1 L protein [Golden Gate virus] -MSSICPEDSELERLKGLVLEVLSYERDLYRPGWISNTAGEMAMNAIKLRSTIHELNCCRDTGLKHNNDLK -EMNELFDEAIGSHETVKTIVPDGYLIDKNNNVLTVLEVSTRTEPSDQTKKVQLDRLKYDGFENLLRPLGW -TLNVITISEKKPRIGRIPEILMFKLLSTSLSILSYTTDICNWISEEDYLELKKSLTSYDFRTLTEEFKGQ -RLLFDIESAEDPYKDLFDWMLKNSEKLPFSLNWEGPKITEMIQDFKREDKQLRLLEIMRSAVTGLNFRTN -HLSLLNKLKSLNLLNTRRKQNKVYDYIALMLFVRDSEIHDFPKGWFPSVNDRLVRVDSVDSPLSVYKKLV -ERMIKSLQSLQNEVGSKQKLGELKILIKFLEESSNNFIEPPVLKTMYFGLPARVLVPQPSLCLKIINDVP -YEPQTTIVTSDETDTIEKVALNLILSQKTRSTPRASMSEEFFFQSVNGCLLLYKCTGEGQKAFSILCKSY -KQGELRYSFYSFNINPSRLFPLIFSAKPIANLVDQLIMLINPICEDAERLQETIKRQESVNEFLISSEYS -DKQIDDLKVKVTYLIYGILTTPTKRLQIELQSQRYYIMDTLSIIHHKDLKAKVIGNCITYDEYYLRDLAH -GLINEILSCKNIGLFLKVTWALNISYLCHLITKETPDRLSDLRDCYEKFFKPKFQFMSNMIYDCKSVDEL -VERMEQEVNDFIQCEEPSFETKPFLYEPILADFLKWISTEKFNGIDGEQLLNPKDYLTSNIDVLDLTSNK -STFKRSKNHHMNDVLDQQYDTEKLLKRVISERLHKRITKSTKAGDDPSTAKSFKSGGESVKERNWKAGGE -KRKKTRLAYEEFLGIVQGLIVETDSEEDLNDFLLQINKCLHEIDDELKKMNPKEINDLQKTKYCLVLDVI -RELLGPEVCDLVRSTCYSTKLSDLPTDLLRKEAYERIVGETMSRAPLEFPRNVDTIDVTNISAGMVVTKY -ELKEFFSSFKFLLLWAGFNNFQGTYDHRSGPQSSFLSISNKYRGESMLSTRVTNSEALQDRLIAIKYGAP -TLRNILFSTLNLEMKNSEIGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGNRLNNS -CLNSDKKFSNFMKRIARSFFENEIVLSMDHSKWGPFNSPLQYHLMFEAMESINDTDGRRLDFSFAKTILK -WHLFKAVETPQILAEDVILSSLDVSLGRRDRQIGKERTFETFMVDAVLSNKPVPSQIHSWFDMGQGILHH -TSDLYGSLASEYITKKIKEIFGVRSSTMNTSDDMVLLFHIKYKNEDSNQKDELLLITNFLCLVSNCLNKH -ISPKFCCSPLVGEFKSHFEVEATMVPLFTKFFAASINNFRCKTPMELFNTCDAIVEQGICNGMSLKVADS -LKGRMVEMLGWLGYVADPLMKPVESRQQDWLEGCLSYRKLRSLESWLMDLGIGKLKLDVLKLELLKVIKE -LRESSIAPSVAYNKMVDISKSELGEITLWFPTLNGEFKLIVRSKLNLGTTINETCENLLIKKLINHYSRY -SKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGACIRKGDGTFLTLKESKNVVKPVAEVFPSLVMQSV -CAVGDWVCGVESEMDKQMNKSIYMRTSLVNTAEFRFGLDELTAAIEMTMPDLFDKYLKDIVPPDKRLLMR -HSWKVRPEMELLIECANKGLSIFDGRIDRQEEAVVLVDYYEPGRLLRRTMKLEKRGPERTARKGLQAIVN -RMILDSILEPKIIDKTMVMDATKLAPYEGSMEDLKSHGESGVRRYIQQVLLGRESHYRQKEDEPNEITSS -NVVVYGRGTPEFPLEDGTWLRGAKLGTSNPIKLQCCLQQISNDLKIQATLITHTGRAHYQDFMFLKRVII -SDSDFDEPEILDTLEFRDVVVVENSKPNGKPCFLFMGCVIPLESDLVGSIMTHMSEAEWEELWKLVRTYF -ITRMKGEISCLPKARFDALWGMVSRIFGYSTVEKVVTMGFHQLDSFAEFLTFTDRVFTTKGPTLVFHRLK -GLLVSYPAGPLLYKGHRLMLYKDWASGTPEPETLED - ->AKH49015.1 L polymerase [unidentified Reptarenavirus] -MGSTCPEDSELERLKALVLQILSFERDLYKPGWITDTAGEMATNAIKLRSTIHELNCCRDTGLKFNGELV -ELNNILDEAIGPHETVRTIVPDGYIIDGSNNIITILEASTRAEPADQNKKVELDRMKYDGVEDVLRPLGW -SLNLIVISERKPRIGNIPELLMFKLLSTSISILSYTTDVHSWVSEEDYLELKRSLTSYDFRTLTDEFKGQ -NLIFDIESCSDPYQELFAWMSNNSHEFPFSLEWKGPEMTEMISNFKRNSRQLRLLELMRHACTDLSLKTN -HLSQLNKLKSLNLLNTRRKQNRVYDYLALRLFVKISDKQDFPMGWFPSVNDRLVTVKSVVTSKENYDRLL -KKMIISLQHLQDEVGNKGKKEELGNLKDYLTVVSEDLTNPAELGEEYRNLCVGARVPSPELQLKIMNNYP -KDPNGVITSEESETIEKVALNLILSQKTRSTPRASEMEEFFFQSVGGCLLLYKCTGEGQRAYSLLCKKGN -GCILSFFSFNINPSRLFPLLFSTKPIEMLIDQLMMLVNPIHDDIERLQATIEKQESVNDFLTSSEYSEDQ -LEGLRTRVKYLIYGILTSPTKRLQLELQSQRYYVMDVLSIVHHKGLSDKVVGNCITYDEYYLRHFAHELI -NEVLSCKNIGLFLKVTWGLNISYMCHLITKETPDRLSDLRDCYEKFFNPKFRFMSNLIYNCRSVDELVER -MESEVDDFIKCDEPSFEVKPFLYEPILADFLKWLSNEKFNGYDGEQLLDPKDYLTSSVDVLDLTSNKSTF -KRSSRDDNGLLDVQYNVEKLLKRVMSERLHKRVTRSNRDTAGEIQSSNPSKQQNWRAEKGQKGEKGSNWK -VEGRRRNVTKLAFEEFSKIIQGLMIETESSEDMSDFLALINKCLQEVGEDLDDMKSRESDNQPEISKHRL -ILEVIHDLIGPEARDFVRSTCYSTKLSDLPTDLLEENSYRKIVEEVVKRAPLEFPKGSETIDVTNISAGM -VVNKYELKEYFSSFKFLLLWGGFNNYQGTYDHRSGPQSTFLSISNKYRGESMISTRITNSEALQERLLAI -RFGAPTLRNVLFSTLNLDMKGSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNLGN -KLSNSCLNSDKKFSRFMKRIARSFYEDEIVLSMDHSKWGPYNSPLQYHLMFEAMENIKDVNGKPLDFSFL -KTILKWHLFKAVETPQILAEDVVLSALDVSSGRRERLVGKERTFETFMIDSVLSNKSIPSQIHSWFDMGQ -GILHHTSDLYGSLVSEYITGKIKEFFDVRSSTMNTSDDMVLLFHLKYKNKSSDQRDKLLAMINFICLVSN -CLNKHISPKFCCSPLIGEFKSHFEVEATMVPLFVKFFSASMNNFRCKTPMELYNTCDTIVEQGICNGMSL -KLADSVKSRMVEMLGWLGYVDDPLMKPIETRQQDWLEGCLAYRKLRSLEAWLMESGVSKIKLDVMKLDLL -KVIRQLRESSIAPSVAYNQMVKISATSLGEITQWFPTLHGEFKLVVRSKLNLGTTINETCENLLIKKLIN -HYSRYSKQGLGMLIAEGLERSAFQSSVVTGFIGLSISLSGSCVKKGDGTFLSLRESRSIRRPVAEVFTSL -VMQSVCAVGDWVCGTDSGPDKKVNKSIYMRTSLVNTAEFRFGLDELTATVEVCMPDLFDKYLRDIVPPDK -RLLMRHSWKIRPEMELLMECAGEGLSVFDGRIDRQEEAVVLVDYYGPERLTRRTMRLEKTSSERSIRKRL -QAIENRMILNSVLEAKIIDKTMVLDAAKLAPFDGSLDDLKAYRSYKIRRYIQQVLLGRETHYRLNEDEPS -ELLSMNPHVYYEGSSNRHLPDGTWIVGAQLGNHKPVKLQCCFQQIGMDLKMRATLVTHDDRAHFQDFIYL -KRSIIADEDFDEPELLDLLEFADVIVVETPKSTGKPCFLFMGHIIPLDSNSYDSIMTQMSYAEWDELWKL -VRTYFITRLTGEVSSLSRAKFGALSSLVGSIFSIYTPQEVIDMGFHLLDSFLEFLTLTDRIFATKGPILV -FHRLRGILVGSPVGSLIYKGHRLMTYEDWMSGGPRLEELED ->AKN10715.1 RdRp [Aurora borealis virus] -MGSICPEDSELERLKSLVLEVLSYERDLYRPGWISNTAGEMAMNAIKLRSTIHELNCCRDTGLKHNNELK -EINEIFDEAIGSHETVKMIVPDGYLIDKNNNILSVLEVSTRTEPSDQTRKVQLDRLKYDGLEDLLRPLGW -TLNIITISEKKPRIGRIPEILMFKLLSTSLSILSYTTDICNWISEEDYLELKRSLTSYDFRTLTEEFKGQ -KLLFDIESTEDPYKDLLDWMLENNGKLPFSLNWEGPKMTEMIQDFRRELKQLRLLEIMKNAVTGLSFRTN -HLSLLNKLKSLNLLNTRRKQNKVYDYLALMLFVRNSEVHDFPKGWFPSVNDRLVQLDSVDSPISTYKKLI -ERMVRSLQNLKNEVGNEQKKGELESLVRFLEDSSNNLIEPPVLKVMYVEMLPSVSVPQPLLSLKIMNDMP -SEPQTTTVTSEEADTIEKVALNLILSQKTRSTPRASMSEEYFFQSVNGCLLLYKCTGEGQKAFSLLCKSY -RQGELRHSFYSFNINPSRLFPLIFSAKPIANLIDQLIMLINPIHEDIERLQDTIKRQESVNEFLVSSEYS -DKQIDDLKIRVTYLIYGILTTPTKRLQLELQSQRYYIMDALSLIHHKDLETKVIGNCITYDEYFLRELAH -GLINEILSCRNIGLFLKVTWALNISYLCHLITKETPDRLSDLRDCYEKFFKPKFQFMSNMIYDCKSVDEL -VERMEQEVNDFIQCGEPSFETKPFLYEPILADFLKWISKEKFNGIDGEQLLNPRDYLTSNIDVLDLTSNK -STFKRTKKHHMDGVLDQQYDTEKLLKRVISERLHKRITKSAKVKDELRETGSSKVRDEHTKEKKEKEKDW -RAGGEKRKKTRLAYEEFLGIVQGLIIETDSEEGLNDFLLQISKCLQEIDDELKESSPKETSDLQKTKYCL -VLNVIRELLGPEICDLVRSTCYSTKLSDLPTDLLKEEAYEKIVSETMSRAPLEFPKDADTIDVTNISAGM -VVTKFELKEFFSSFKFLLLWAGFNNFQGTYDHRSGPQSSFLSISNKYRGESMLSTRVTNSEALQDRLLAI -KYGAPTLRNILFSTLNLEMKSSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGN -RLNNSCLNSDKKFSNFMKKIARSFFEDEIVLSMDHSKWGPFNSPLQYHLMFEAMEGINDTDGKQLDFSFA -KTILKWHLFKAVETPQILAEDVILSSLDISLGRRDRQIGKERTFETFMVDAVLSNKPVPSQIHSWFDMGQ -GILHHTSDLYGSLASEYVTKKIRDIFGVRSSTMNTSDDMVLLFHVKYKNKDDDQRDKLLLITNFLCLVSN -CLNKHISPKFCCSPLVGEFKSHFEVEATMVPLFTKFFAASINNFRCKTPMELFNTCDAIVEQGICNGMSL -KLADSLKERMVEMLGWLGYVGDPLMRPIVSRQQDWLEGCLSYRKLRSLESWLVDMGIGEIKLKVLKLELL -KVIKELRESSIAPSVAYKKMVEISKSELGEITLWFPTLNGEFKLIVRSKLNLGTTINETCENLLIKKLIN -HYSRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLTLRESKTIIKPVAEIFPSL -VMQSVCAVGDWVCGVENELGRQANKSIYMRTSLVNTAEFRFGLDELTAAIEMTMPDLFDKYLKDIVPPDK -RLLMRHSWKVRPEMELLIECANEGLSIFDGRIDRQEEAVVLVDYYEPSRLLRRIMKLEKRGPERTARKGL -QAIINRMILDSILEPKIIDKVMVMDATKLTPYEGSMEELKTHGESGVRRYIQQVLLGRESHYRQKEDEPN -EIKSSNVVVYGRGTPEAPLEDGTWLKGAKLGTSNPIKLQCCFQQVSNDLKVQATLITHTGRAHYQDFMFL -KRVIISDSDFDEPEMLDTLEFRDVIIVENPKPNGKPCFLFMGCVIPLESDLVGSIMTHMSEAEWEELWKL -VRTYFITRMKGEISCLPKARFDALWGMISRIFGYSTVEKIVSMGFHQLDSFAEFLTFTDRVFTTKGPTLV -FHRLKGILVSYPAGPLLYRGHRLMLYEDWASETPEPEVLED ->AKH49177.1 L polymerase [unidentified Reptarenavirus] -MGTVGPEDVELNRLKEIVLKVLSYERDLYKPNMLSESAGQLAVNSIKLRSTIHELNCCRDTGLLFNGEVL -DMNEILDRSVGPHETVKTVVPDGYLIDKDNNVISVLEASTRSEVSERNTKVELDRLKYDGMEGVTRGFGW -TLNVIVISESKPRIGNIPESLMFKLLSTALSILSYSVTTSNWISEEEYIELKKSLTSYDFRTLTEEFSGQ -KLLFDIDSEEDPYHSVFQWVDAQRASAPFNLMWKGPEMTERINNFPRKKKQLRFLEILRHSLGGLNITSG -HLSMLNKLKSLNLLNTRRNQNRVYDYLSLQLFVRAVSSTDYPKGWFPSVNDRLVRVESVTQHDIKCRQKL -GKMIISLTALKKESGSKSRASEFETLIDFLEENLKTLLDPWELESSYNTMNHSKTIPEPNIELKIIDDYP -SSEDDLVGTLSSSDCKTIEKVVINLVLSQKTRSTPRSSFYEEFFYQSVNGFLLLYKCTGEGQKAFSLLCK -SGQKNEFFSFNINPSRLFPLVFSCEAIDGLIDQFMMLVNPISNGVDRLESSIQKQSSVNEFLVSDSFQEE -GLSGLRNSLRYLIYGILTNPTKRLQLELQSQRYYIMDALSLVHHIDLADKVVGTCITYDEWFLRSLAHNL -INKVLSCSNIGLFLKITWSLNISYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMTNMIYNCASVDELVE -KMEADVDNFITKDEPTFDAKPHLYEPILSDFLKWLSREKFNGLDGEQLLDPKDYLTSGVDVLDLTSNRST -FKRCKNVSNGLDPTYDTNKLLKRVICERLHRRVVHKTSRGSYGDSKPIKNPEGFSSRLSDDSKERDRIIT -KSKLNKGWAPSKTQTKTRLVYEEFASIVQGLIVELECTEVVDDFLVNIGKLLKEIELDFQTSGGNAGGES -HVGGIELRKYDLVLEKIGSILGSEVRDLVRSTCYTTKLSDFPSDLIKEDSCKLVVEAVMSEVPMDFPEVD -TVDVTNITSGLVRVKYDSKEYFTSFKFLLLWAGFNDFQGTYNHRSGPQSSFLTLSNKYRGESMLSTRITN -SEALQDRLLAIKFGAPTLRNVLFSTLNLDVKKSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITR -VLEETSRNIGNKLQNSCLNSERKFSNFMKAIARSFFEDEIVLSMDHSKWGPYNSPLQYHLMFEAMEEIND -IHGKKLDFSFAKTILKWHLFKAVETPQILAEDVILSALDISVGKRVRDRAKERRFETFMLDLILSNKGLP -SQIHSWFDMGQGILHHTSDLYGALVSEYITTKVKELFNIRCSTMNTSDDMVLLMHTKYKKEMRSNCGFLD -GLVDLINFIYLVSNCLNKHISPKFCCSPLVGEFKSHFEVEATMVPLFAKFFSAAINNFRCKTPMELYNTC -DTIVEQGVCNGLSLKLADSLKGRMVDMLGWLGYTGDPLMLPLKSRLQDWLEGCLAYRKLRSLRSWLTLHG -FTRIELIVLDLNLLKIIKDLRGSIIAPTVAYSQMVESCETVFKGGTVWFPTNYGEFKLVVRSKLNLGTTI -NETCENLLVKKLVNHYSRFSRQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGLCVRKGDGTFLNLRDS -KSITKPISEIYTSLLMQSVCSVGDWVCGEESKMEQPVNKSIYMRSALVNTAEFRFGLDELTSTIEICMPD -LFDKYLKDIVPPERRLLMKHSWKVRPEMEMLVECANRGLSVFDGRIDRSEEAVVLIDYYDPERMVRQNLK -LEKVNPERTVRHSLRDILNRMILNSIIETRIIDKSMVEDATKITPFRGSINELRTYKTSGLRRYIQQVLL -GRDSFFKLNEEEVLYPSGATIYMEGSEEEPLQDGTWTVIAVMGAYNPIKLLCKFQKVVEDVKMEAILITH -VGRAHFQDFVFLKREIISDHDFDWPEMLDILEFRDVVLIENPPPNGKPCYLFMGSIVPVDLGRSQSVMTP -MNQLEEEEKWRLLRTYYMTRIQGEVSSMPRQRFEALEVMTRDVLNNSGVKENVERGFHLLDSFSEFLART -SRKFATTGPTMVYHKRLGICVGDPQGPMIYKSVRITLLEDWLGGGPGPVAVDDDDD ->AKH48937.1 L polymerase [unidentified Reptarenavirus] -MGSIPEDVELERLQKLVLEILSYERDLYKPGWISNIAGEMATNAIRLRSTVHELNCCRDIGLRFNGELRE -INTLLDEAIGTHETVKLVIPDGFLIDKTNNVMTVLETSTRAEPTDQNRKVSLDRQKYDGVEDILRPNGWS -LNLITICERKPRLGKIPEILMFKLLSTSLSILSYTTETQSWISEEDYLELKRSLTSYDFRTLAEEFKGQS -LIFDIEPVEDPYESLLGWMQGNEEKMPFSLDWEGPKMTELICDYKKEDGQLRLLEIMRCSKVGLNLRTSH -LSSLNKLKSLNLLNTRRKQNKVYDYLALKLFVSMSERQDFPTGWYPSVNDRLVKVESVDSKMEVYERLMR -RMIESLQALKREIGSKQKLEELKNLTDYLENSLADLVEPSALKDTYRTLNLNNAVPQPVLELAILKDKPR -RPQTIDITPEESEIIEKVALNLILSQKTRSTPRASSKEEFFFQSINGCLLLYRCTGEGQRAYSLLCNVSE -KQESPFMNNASLSFFSFNINPSRLFPLIFSKKPISELVDQMIMLVNPIIEDADRLQPAILKQESVNGFLI -SSEYSCDQIEGLKTRLRYLIYGILTTPTKRLQVELQSQRYYVMDVLSIIHHKELARKVVGNCITYDEYFL -REYAHNLINEILSCKNIGLFLKVTWALNISYLCHLITKETPDRLSDLRDCYEKFFKPKNQFMTSLIYNCK -DVDELVERMELNVNDFIQCNEPSFETKPFLYEPILADFLKWISNEKFNSFDGEQLLDPKDYLTSNVDVLD -LTSNKSTFKRSRKTTNASLDEEYDMEKLLKRVITEKLHKKVIQSGKSKIEDKDIEPKLGNKDKKNKKWSV -RNEGKKSATKLVFEEFSRLVQGLISDNDHGENVESVEDFLIKTSKCLKDIGRDLSNSGLEENSDLNKTKY -SLVLEIISNLLGPEARDLVRSTCYSTKLSDLPTDLLREESYKIVVEETMSRCTLEFPKNVDVIDVSNISA -GMVVSKYELGEYFSSFKFLLLWAGFNDFQGTYDHRSGPQSSFLSISNKYRGESMISTRITNSEALQDRLL -AIKFGAPTLRNVLFSTLNLEMGKSEVGPNNRPLQFGLAIKEQVGGPRELYVGDSDTKLITRILEETSRNI -GSKLINSCLNSDKKFSNFMKRIARSFYEDEIVLSMDHSKWGPFNSPLQYHLMFEAMEAILDVNGKKLDFS -FVKTILKWHLFKAVETPQILVEDVVLSALDISLGRRDRCIEKERNFETFMVNAVLSNKSIPSQIHSWFDM -GQGILHHTSDLYGSLASEYISKKIRDIFEVRSSTMNTSDDMVLLFHFKYRIGDEDQKNKLLLLVNFFTLV -SNCLNKHVSPKFCCSPLVGEFKSHFEVEATMVPLFSKFFAASINNFRCKTPMELYNTCDTIVEQGICNGM -SLKLADSVKTRMVEMLSWLGYVNDPLMKPIESRQQDWLEGCLAYRKLRSLEAWLTETGIERAKLDVLKIE -LLSIIRELRESSISPSVAYRVMVDASKRILGDISLWYPTLHGDFKLIVRSKLNLGTTINESCENLLIKRL -INHYSRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLALRDSRTVNKPVAEIFP -SLVMQSVCAVGDWVCGAETGPDKENNKSIYMRTSLLNTAEFRFGLDELTVAVEMSMPDLFDKYLKDIIPP -DKRLLMRHSWKIRPEMELLIECAKDGLSIFDGRIDRQEEAVVLVDYYDPKRLIRRILKQNKKSPERTARK -SLQSIVNRMILDSVLEPKIIDKTLVLDAVKQVPFEGSMDELKSHKTSGIAKYVQQVLLGRETHYKQREDE -SVEISSNDVVVYSRGDPERLLPDGTWVVGAKLGSSNPIKLHCCFQQVGSDIKLKASLITHEGRAHFQDFM -YLKRIILGDTDFEEPEMLDVLEFNEVVIVESPRPTGKPCFLFMSSVIPLESGISASAMTQMSDQEWDELW -RLVRTYFMTRMKGEISCLPKARFDALWWMVRQIFGVFTVDKIVQMGFHLLDSFIEFLTFVDRIFVTKGPT -LIFHRLKGILVGSPVGTLSYKGHRLMTYEEWKSGGPKVVELED ->APX61227.1 RNA-dependent RNA polymerase [Kaltenbach virus] -MGTIGPEDLELKRLKEIMLAVLSYERDLYKPNMISESAGQLAINSIKLRSTIHELNCCRDTGLVFNNEVL -DMNEILDRAIGPHETVKIVVPDGYLIDKGNNVICVLEASTRSEVADRNMKVELDRLKYDGMEQITRSVGW -TLNVITISESKPRIGNIPESLMFKLLSTSLSVLSYFVNTSGWISEEEYIELKKSMTSYDFKTLTEEFSGQ -KLLFDIESESDPYQNLFHWIETNKNSAPFGSEWAGPEITKRIGNFVKKERQLRLLEILRHSHGGLEFNSS -HLSPLNKLKSLNLLNTRRQQNRVYDYLSLQLFVRSVKSTDFPKGWFPSVNDRLITVESVIKERLNCEHAL -KKIMMSLLALEKESGSKSRALDFRALRGFLKIQLETLMSRVELELRYNSISQSSIVPKPEISLKIVDDYP -NGDKLSEGCLSPSDCLTIEKVAINLVLSQKTRSTPRSSHHEEFFYQSINGYLLLYKCSGEGQKAFSLLCR -SDESYKFYSFNINPSRLFPLIFSCEALNGLIDQMMMLVNPISEGLDRLESSIQKQKSVNEFLTERRFSES -EIDGISNRLRYLVYGILTTPTKRLQLELQSQRYYVMDCLSLVHHVDLTEKVVGKCITYDEWYLRSLAHTL -INDILCCSNIGLFLKITWGLNISYLCHLITKETPDRLSDLRDCYEKFFKPKFQFMTNMIINCKSVDELVE -RMESEVNDFITRDEPTYESKPHLYEPILADFLCWLSKEKFNGLDGEQLLDPKEYLTSSVDVLDLTSNKST -FKRSKKPSETLDSVYDTDKLLKRVISERLHKRVVGNQQSTDRKKDSDKKGVNSTWSPSGKSGKKTKLVFE -EFSTLVQGLIVELECTETVDDFLVNIGKLLKEIESDLETSIGSGGIDGCDAKVRKYDLVLEVIDSLLGVE -VRDLIRSTCYRTKLSDLPSDLIKEDSCRQIVEAVMSKIPTDFGEVDTVDVTNITAGMVRTRFDSGDYFSS -FKFLLLWAGFNDFQGTYNHRSGPQSSFLSVSNKYRGESMISTRITNSEALQDRLLAIKFGAPTLRNILFS -TLNLDVKSSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGNKLPNSCLNSEKKF -CGFMKSIARSFFEDEIVLSMDHSKWGPYNSPLQYHLMFEAMEEINDIAGRKLDFSFAKTILKWHLFKAVE -TPQILAEDIMLSALDISVGKRVRDESKERTFENFMINSVLSNKGLPSQIHSWFDMGQGILHHTSDLYGAL -VSEYITTKIKEFFGIRCSTMNTSDDMVLLIHTKYKDGRGSVEDLTEVLTNLMNFIYIVSNCLNKHISPKF -CCSPLVGEFKSHFEVEATMVPLFTKFFSAAINNFRCKTPMELFNTCDTIVEQGVCNGLSLKSADNLKRRM -VNMLGWLGYTDDPLMLPIKSRQQDWLEGCLAYRKLRSLKSWLELSGLSRVELDVLDLNLLKIIKDLRGSI -IAPAVAHRTMIEVCESSLGGRSVWYPTNFGEFKLVVRSKLNLGTTINETCENLFIKKLVNHYSRFSKQGL -GLLIAEGLERSAFQSSVVTGFIGLSISLSGACVRGDNGTFLNLKESKSVTKSASEVYSSLLMQSVCAVGD -WVCGVESKIERPVNKSIYMRSSLINTAEFRFGLDELTATVEICMPDMFDKYLKNIVPPEKRLLMRHSWKV -RPEMEMLIECAKNGLSVFDGRIDRSEEAVVLVDYFDPERLIRLNLISEKNNPERTVRHSLRDIMNRMILN -SIIETRIIDKSMVDDATKITPFRGSMGELRVYKTSGIRRYIQQVLLGRETFFKLNEEDSPSLNDATVGYE -GLEENPLPDGTWVVEATMGTYFAIKLLCRFQKVSETTRIECTLITHTGRAHYQDFVFLKRKIISDYEFDW -PELLDMVDFRDVILVEAPIPNGKPCYLFMGSIVPMDMGRSESIIVSLNPSENEEQWRLLRTYYLTRIEGE -VRSMPKSRFDALRTMTKNVLSGVGVKENVQRGFHLLDSFLEFLERTDRKFKTSGPIIVFHKRLGICVGDP -QGPMLFKNVRITLLKDWCTEKPEPINVDDDE ->AKH48918.1 L polymerase [unidentified Reptarenavirus] -MGSLCPEDAELGRLKELVLGVLTYERDLYKPGWIVDTAGGMAVSAIKLRSTIHELNCCRDIGLKFNGELK -EMNELLDEAIGPHETIKTIIPDGYLIDKTNNIISVLEASTRSEPSDQNRKVDLDRQKYNGIQELLRPKGW -SLTVVTISERKPRLGEIPESLMFKLLSTSLSILSYTTDTHDWISEEDYLELRRSLTSYDFRTLTEEFKGQ -DLMFDIESAEDPYKHILDWMLENEDKLPFSLDWKGPEITKSIQDFKREVSQLRLLEIMRHSKTNLTLKTG -HLSSLNKLKSLNLLNTRRKQNKVYDYLALTLFVTIPDKQDFPSGWFPSVNDRLVSVDSVDLTLVTYKRLI -EKMVCSLQNLIKEIGSRQKVEELSGLIRYLEESRVGLVEPIVLKEAYQNLSLNGGIPQPEINLKIINDYP -ANPQTMNINAEESETIEKVALNLILSQKTRSTPKASLKEEFYFQSIGGCLLLYRCTGEGQRAYSLLCNTG -EEGGSFFSFNINPSRLFPLIFSAKPISNLVDQLMMLVNPIHDEIDRLKDTIEKQESVNSFLISSEYHEEQ -LDELRVRVKYLIYGILTNPTKRLQVELQSQRYYIMDALSIIHHKDLEEKVVGNCITYNEYFLRDFAHELI -NEILTCKNIGLFLKVSWGLNISYLCHLITKETPDRLSDLRDCYEKFFKPKFKFMGNLIYDCKDVDELVSQ -MEAEVDNFIQSSEPSFEIKPFLYEPVLADFLKWISTEKFNGLDGEQLLDPKDYLTSNVDVLDLTSNKSTF -KRSKKSPSNGNLDQQYDVNKVLKRVISERLHKRVVHSKKKDEIENHDKFKMKKIDDKRKSAKWGAGGERR -KASKLAFEEFSEIVQGLIVETESEDDLNTFLLQINGCLQEINDDLEGSRQTEKEHNIQPKTKYELVLGVI -SQVLGPEVRDLIRSTCYSTKLSDLPCDLLKEESYCEIVKETMQRLPLEFPESADTIDVSNISAAMVVTKY -DSGEYFSSFKFLLLWAGFNNFQGTYDHRSGPQSTYLSLSNKYRGESMISTRITNSEALQDRLLAIRHGAP -TLRNVLFSTLNLEISKSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNLGSKLPNS -CLNSDKKFTNFMKRIARSFFENEIVLSMDHSKWGPFNSPLQYHLMFEAMESITDLNGKALDFSFVKTILK -WHLFKAVETPQILAEDVVLSALDISMGRRERSFEKERVFETFMVNAVLSNKSIPSQIHSWFDMGQGILHH -TSDLYGSLASEYITKKIKDLFCVRSSTMNTSDDMVLLFHLKYRNNDSEQKDKLLSMINFFCLVSNCLNKH -ISPKFCCSPLVGEFKSHFEVEATMVPLFTKFFSASLNNFRCKTPMELYNTCDAIVEQGICNGMSLKLADS -MKERMVDMLRWLGYVDDPLMKPIETRQQDWLEGCLSFRKLRSLEAWLVEVGVEKIQLEVLKLDLLKIIKE -MRESSISPSTAYKMMVEASKVVLGEIVQWFPTLHGEFKLIVRSKLNLGTTINETCENLLIKKLINHYSRY -SKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLNLRDSKAITKPVAEVFPSLVMQSV -CAVGDWVCGSETTPDKENNKSIYMRTSLVNTAEFRFGLDELTAAIEMSMPDLFDKYLKDIVPPDKRLLMR -HSWKIRPEMELLIECAKEGLSVFDGRIDRQEEAVVLVDFFQPKRLVRRIMNREKRGPERTIRRELQAITN -RMILNSVLEPKIIDKVTVLDATKLAPFEGSMHELKSYHTSGIRRYIQQVLLGRDTHYRQREDEPIDISSN -DVVIYSRGAPEEPLSDGTWVVGARLGTKNPIKLQCSFQKISSDLKVKASLITHEGRAHLQDFIYLKRAII -SDDTFDEPELIDLLELNDVTIVECPRPNGRPCFLFMGCIIPIESTSIKSIMTQMSESEWDELWRLVRTFF -ITRMKGEIECLPRPRLKALEGMVRQIFGVFSVEKVFQMGFQLLDSFVEFLTLTDRIFTTRGPTLVFHRSE -GVLVGSPVGSLLYKGHRLMSYADWALGGPKLRELED ->AKH49043.1 L polymerase [unidentified Reptarenavirus] -MGAIGPEDAELKRLRELMLAVLSYERDLYKPNMLSESAGQLAINSIKLRSTIHELNCCRDNGLIFNGEVL -DMNTILDKAIGPHETVKIVVPDGFLIDNENNVVSVLEASTRSEVSDRNVKVELDRLKYDGMENITRSFGW -TLNVIVISESKPRIGNIPESLMYKMLSTSLSILSYFVNTSGWISEEDYIELKKSMTSYDFKTLTEEFSGQ -KLLFEVEPDSDPYQGLFQWISAHMDLAPFSSKWDGPEVTRKIEDFTKKRNQLRLLEIMRCSHGGLELASG -HLSFLNRLKSLNLLNTRRQQNRVYDYLSLQLFVRSVKSTDFPIGWFPSVNDRLVMVKSVIDGKGNCEGQL -TKMMASLSALERESGGRSRAQDFKSLRDFLKAQQEILMSPAELETAYNTIKLSMTVPQPTISLKIIDDYP -KKEGVLKERLSPHDCQTIEKVAINLILSQKTRSTPRSSYHEEYFYQSINGHLLLYKCSGEGQKAFSLLCK -SKKTSEFFSFNINPSRLFPLIFSYEAVGGLIDQMTMLINPISIEEDRLQPSIKKQQSVNHFLVKKTFSEN -EIGETSEKLRYLVYGILTTPTKRLQLELQSQRYYIMDALSLVHHVDLTEKVVGKCLTYDEWYLRSLAHNL -INDVLSCSNIGLFLKVTWCLNVSYLCHLITKETPDRLSDLRDCYEKFFKPKYQFMTEPIVNCKSVDDLVE -KMESEVNNFITRIEPTFDPKPHLYEPILADFLNWLSKEKFNGLDGEQLLDPKDYLTSNVDVLDLTSNKST -FKRSKPLASGLDSTYDTDKLLKRVICERLHKRVVAGANGKLVDGTKNYQFIGSGNGGHNTKGSNSGWSPS -RKPAKRTKLVYEEFSTIIQGLIVELECTDTVDDFLVNIGKLLKEIESDLESPTRDANESNECNSNVKRYD -LVLEVIESLLGAEVRDLVRSTCYRTKLSDLPSDLIKEDSCRSVVEVVMSKAPMEFGEADTVDVTNITAGM -VRTRFDSKDYFSSFKFLLLWAGFNDFQGTYTHRSGPQSSFLAISNKYRGESMISTRITNSEALQDRLLAI -KFGAPTLRNVLFSTLSLDMENSEIGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGN -KLPNSCLNSDRKFYGFMKTIARSFFEDEIVLSMDHSKWGPYNSPLQYHLMFEAMEEIADVNGKRLDFSFA -KTILKWHLFKAVETPQILAEDIMLSALDISVGKRVRDRSKERTFESFMLDSVLSNKGLPSQVHSWFDMGQ -GILHHTSDLYGALVSEYITTKVKSFFGYRCSTMNTSDDMVLLIHTKYMGGKNPNEDLIEVLVNVINFIYL -VSNCLNKHISPKFCCSPLIGEFKSHFEVEATMVPLFVKFFSAAINNFRCKTPMELFNTCDTIVEQGVCNG -LSLKLADCLKKRMVDMLAWLGYTEDPLMFPVKSRQQDWLEGCLAFRKLRSLKAWLETQGHSKTELNVLDL -NLLKTVKELRGSVIAPAAAYRDMIETCEVVLKGRTVWFPTSYGEFKLVVRSKLNLGTTINETCENLFIKK -LVNHYSRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGLCVRNGDGTFSSLRESKSITKPVSEIY -SSLLMQSVCAVGDWVCGLESKAERPINKSIYMRSSLVNVAEFRFGLDELTATVEICMPDMFDKYLKNIVP -PEKRLLMRHSWKVRPEMEMLIECAKNGLSVFDGRIDRSEEAVVLVDYFDPERMIRLNLAQEKNNPERTVR -RSLRDIMNRMILNSIMETRMIDKSMVDDATKTAPFTGSISELRVYKTSGIRRYIQQVLLGRDTFFKLNEE -DSSYPNGASIGYDGLEEKPLPDGTWIVKAIMGNYNAIKLLCRFQKVVDTVRIECTLVTYIGRAHYQDFIF -LKREILSDHEFDWPELLDMVEFRDVILIENPPPNGRPCYLFMGSIIPMELGRSQNIMVDMGPSESEEQWR -LLRTYYLTRIEGEIRCMPRLRFDALEIMTRDVFNNVGVEENIQRGFHLLDSFSELLDRTDRKFDTSGPAV -VFHRKLGICVGDPQGPMLYRNVRVSLLKDWLTKGAEPVVIDDD ->AKH49123.1 L polymerase [unidentified Reptarenavirus] -MSSILPEDAELGRLRELVLQVLSYEMDLYRPGWISNIAGEMAVNAIKLRSTIHELNCCRDTGLRFNNELR -EMNDILDEAIGPHETVRMIIPDGYLINSSNNIVFVLEASTRAEPADQTRKVELDRAKYDGIEDLLRPLGW -SLNVITISERKPRISKIPESLMFKLLTTSLSILSYTTDVHNWVSEEDYLELKKSMTTYDFRTLAEEFKGQ -NLIFDIEPADDPYGDLLKWMLNCEEEMPFSLKWKGPEITDSISDFKKKKFQLRLLELMRSSMTNLNLRTS -HLNLLNKLKSLNLLNTRRKQNKVYDYLALKLFLEISEKQDFPKGWYPSVNDRLVTVESVTSDVEDYRRLI -GKMVESLHNLQSEVGSREKKNELQVLKNYLTESSAVLIEPSRMKEMYSGLEFNHRTPQPKLELKIVNDYP -CEELNKSISSEESETIERVALNLVLSQKTRSTPRASEGEEFFFQSIGGCLLLYKCTGEGQRAYSLLCKEQ -RGPSCAFYSFNINPSRLFPLIFSAKPIERLIDQLMMLVNPICDDTDRLHDTIKKQESVNDFLVSSEYSED -QLDGLKINVKYLIYGILTSPTKRLQVELQSQRYYIMDTLSIIHHKDLKDKVVGNCITYDEYFLRDFAHGL -INEILSCKNIGLFLKVAWGLNISYMCHLITKETPDRLSDLRGCYEKFFQPKFKFMSNLIYNCRTVDELVE -RMESEVDDFIKSDSPSFETKPFLYEPILADFLRWLSTEKFNGLDGEQLLDPKDYLTSNVDVLDLTSNKST -FKRSKTSHTDLLDEQYDVAKLLKRVISERLHKRVTQSKRGDSEQNQFNPSKPQQDRKSTEKGRQGRKKRV -QGVENKRKRTTRLAFEEFSKIIQGLIVEAELEGDVDSFLVQVGSCLNDIGRDLNEAIEKEKGKKEENDGQ -SEVSKHKLVLDVIGELLGPEIRDYVRSTCYSTKLSDLPTDLLEEGSYRKVVEEVMTKKPQEFPRGVETID -MTNISAGMVVAKFELGEFFSSFKFLLLWGGFNNFQGTYDHRSGPQSSFLSISNKYRGESMISTRITNSEA -LQERLLAIRYGAPTLRNVLFSTLNLDVRGSEVGPNNRPLQFGIAIKEQVGGPRELYVGDSDTKLITRVLE -ETSRNIGNKLPNSCLNSEKKFSKFMKRIARSFYEDEIVLSMDHSKWGPFNSPLQYHLMFEAMENIRDVNG -KSLDFSFVKTILKWHLFKAVETPQILAEDVVLSALDVSSGMREREIGKEKSYETFMVNSVLSNRSIPSQI -HSWFDMGQGILHYTSDLYGSLVSEYITTKIKSIFNVRASTMNTSDDMVLLLHLKYKAKDSNQKDNLLAMV -NFLFLTSNCLNKHISPKFCCSPLVGEFKSHFEVEATMVPLFVKFFAASVNNFRCKTPMELFNTCDTIVEQ -GICNGLSLKVADSLKTRMVEMLGWLGYTNDPLMKPIDTRQQDWLEGCLAYRKLRSLEAWLLETGVDKAKL -DVLKLSLLKIIRQLRDSLIAPVTAYNQMIELCTASLEGRTQWYPTLNGEFKLVVRSKLNLGTAINETCEN -LLIKRLINHYSRYSRQGLGMLIVEGLERSAFQSSVVTGFIGLSISLSGMCVRNKDGTFSNLRDSRSVTRP -VAEVYTSLVMQSVCAVGDWVCGFDSEPDKQTNKSIYMRSSLINTAEFRFGLDELTATVEVCMPDLFDKYL -KDIVPPDKRLLMRHSWKIRPEMELLVDCAGRGLSIFDGRIDRQEEAVVLVDYYNPERLVRRTMKLEKTGS -ERSVRKGLQAISNRMILNSILEAKIIDKTMVMDAAKVSPFGGHIEDLRAYKISGIRRYIQQVLLGRETHY -RLNEDEPSGFSSTHVLVYYKGSPTNLLPDGTWFVGAKLGNYSPIELQCHFQQISDNLKIRLTLVTYEDRA -HFQDFLYLKRSMISDEDFSEPEILDLFEFRDVVIVERPKSDGKPCLLFMGYVISLDSNRSESMMTEMSTA -EWDECWRLVRTYFLTRLKGEISCLSRARFNALYGLVKRIFSTSTVEEVVAMGFHLLDSFVAEFLTSTTRV -FVTKGPVLVFSRSEGILVGSPVGSMIYKGHRVMTYEDWTSGGPKLREIVD ->AKH49031.1 L polymerase [unidentified Reptarenavirus] -MGTETPEDAELSRLKELVLNVLSYERDLYKPGWLVDSAGGMAMNAIKLRSTIHELNCCRDTGLRFNNELK -EINEILDDAIGPHETIKTIVPDGYLIDKSNNIISLLEASTRAEPSDQTRKVELDKLKYDGINEILRPKGW -SANIIVVSERKPRIGAVPESLMFKLLSTSISILSYTTDTHSWISEEDFLELKRSLTSYDFRTLTDEFKGQ -KLIFDIESSDDPYKEILDWMLKNESEMPFSLDWKGPEITESINDFKREAHQLRLLEILRHSVSGLDLKIS -HLSSLNKLKCLNLLNTRRKQNKVYDYLALKLFVRGSSSKDFPKGWFPSVNDRLVKVESVDSSAADYGKLM -GKMIDSLRALQREVGNKQKVQELQVFINYLEKSNKELTEPEVLRAMYEGLSVNVEVPQPELDLKIINNIP -HEEQTMIISFEESERIEKAALNLILSQKTRSTPRASQREEYFFQSVNGCLLLYKCTGEGQRAYSLLCESK -IGGESFHKFFSFNINPSRLFPLIFSKKPIHELIDQMIMLVNPIKDEIERLQPTIEKQESVNPFLISSEYN -ETQIDDLKTRVKYLIYGILTNPTKRLQVELQSQRYYVMDTLSIVHHRDLADKVVGKCITYNELFLRSFAH -DLINEILSCKNIGLFLKVTWGLNISYLCHLITKETPDRLSDLRDCYEKFFKPKFKFMSNLIYDCKSVDEL -VERMEIEVNDFIKCDEPSFEIKPFLYEPILGDFLKWISNEKFNGLDGEQLLDPKDYLTSNVDVLDLTSNK -STFKRSRKATHDTLDSQYDMDKILKRVISERLHKRVVQSNREGANLHDKQQQMVENKEGKKRKWRVAGER -RKTTRLAFDELTEIVQGLMVETESEDDLNSFLIQIGKCLQDINTDMESSKSKEGSEQPKTKYNLVLNVTC -ELLGPEVRDLIRSTCYSTKLSDLPTDLLVEDSYRKIVEETMLRAPLEFPKEIDVIDVSNISAGMVMVKFE -TKEYFSSFKFLLLWAGFNNFQGTYDHRSGPQSTFLSISNKYRGESMISTRVTNSEALQERLLAIRYGAPT -LRNVLFSTLDLEMKNSEIGPNNRPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNLGNKLVNSC -LNSDKKFSSFMKRIARSFFENEIVLSMDHSKWGPFNSPLQYHMMFEAMENIIDVNGKHLDFSFVKTILKW -HLFKAVETPQILAEDVVLSALDISLGRRERQIGKEKTFETFMVDAILSNKSVPSQVHSWFDMGQGILHHT -SDLYGSLASEYITRKINDIFGVRSSTMNTSDDMVLLFHIKYNNGNPEQKDKLLSMINFLCLISNCLNKHI -SPKFCCSPLVGEFKSHFEVEATMVPLFTKFYAASINNFRCKTPMELFNTCDTIVEQGICNGMSLKLADSL -KSRMVDMLRWLGYIDDPLMKPIETKQQDWLEGCLAYRKLRSLEVWLVENGVEKIKLDVLKLELLKIIKEM -RESSVAPSVAYTAMVNASKTVFGEMTQWFPTLHGEFKLIVRSKLNLGTTINETCENSLIKKLINHYSRYS -KQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLNLRESRSINKLVAEVFPSLVMQSVC -AVGDWVCGSETELGRKMNKSIYMRTSLVNTAEFKFGLDELTAAVEMMMPDLFDKYLKDIIPPDKRLLMRH -SWKIRPEMELLIECAKEGLSVFDGRIDRQEEAVVLVDYYNPERLTRRALKQGKVSSERTTRVGLQAVINR -MILDSILEPKIIDKKMVLDALKITPFGGSLEELKAHKTSGIGRYVQQVILGRDTHYRKNEDDFMEVTSSN -VITFGRGTPDDPLPDGTWIKGARFGSTNPIKLQCCLQQVSSDLKVRATLVTHEGRPHYQDFLFLKRAIIS -DEDFDEPEMIDLIEMEDVIIVENPKPNGKPCFLFMGCIIPLESKSVDSVMAQMSDSDWDELWKLVRTFFI -TRIEGEISCLPSSRFNALWGMVRRIFGVSTVEKIIQMGFHLLDSFAEFLTFTDRVFNTKGPTLVFHRSRG -ILVSSPVGSMLYKGHRLMLYSDWVSGGSGLEELED ->AKH49085.1 L polymerase [unidentified Reptarenavirus] -MGSVGPEDAELRRLKDTMLMVLSYERDLYKPNMLSESAGQLAINSIKLRSAIHELNCCRDTGLVFNGEVL -DMNEILDKAIGTHETVKTVVPDGFLIDRENNVISVLEASTRSEVSDRNVKAELDRLKYDGMEKVTRCLGW -TLNVIVISESKPRIGAIPESLMFRLLSTSLSVLSYFVNTSGWISEEDYLELKKSLTSYDFRTLTEEFSGQ -KLLFDIESESDPYHNLFHWVERNKDSEPFSSSWDGPNITKKISDFVRKDKQLRLLEILRHSCGGLNLDSS -HLSSLNKLKSLNLLNTRRQQNKVYDYLSLQLFVRSIKMTDFPKGWFPSVNDRLIEVDSVISGDVDCERQL -SKMVKSLTILQRESGSKARAQDFEALKMFLNDQLETLASPSELRSLYDAVTKNPRIPKPSIALKIVEDYP -STKEVPTRHLSQTECSSIEKVSINLILSQKTRSTPRSSHHEEFFYQSINGFLLLYKCSGEGQKAFSLLCN -CNQNLSFFSFNINPSRLFPLIFSHDAINGLVEQMMMLVNPISEDVKRLEPSIHKQCSVNGFLVSKMFVES -ELEPLSQEIRYLIYGILTTPTKRLQLELQSQRYYIMDSLSLVHHRELMDKVVGKCLTYDEWYLRSLAHDL -INTVLRSSNIGLFLKITWSLNVSYLCHLITKETPDRLSDLRDCYEKFFKPKFQFMTNMIVDCKSVDELVE -KMESEVNNFITKDEPTYDSKPHLYEPILADFLAWLSRNKFNGLDGEQLLDPKDYLTSGVDVLDLTSNKST -FRRSKTTKEGLDTTYDTDKLLKRVICERLHKRVVGKPGGGFNSKSKDCKSTRAEFRTNSSEYSTDSNMNR -SNSSWSPSKRPARKTRLVYSEFSSIIQGLIVELGYTEAMDEFLVNIGRLLKEIESDLEASLEKDSEGKLS -VSHRKYDLVLEAINSVLGSEIRDLIRSTCYNTKLSDFPTDLVKEDSCKQIVETVMQKEPIEFCDVDTVDV -TNITAGMVRARYDSREYFSSFKFLLLWAGFNDFQGTYNHRSGPQSSFLAVSNRYRGESMISTRITNSEAL -QDRLLAVKFGAPTLRNILFSTLKLDVGASEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEE -TSRNIGNKLPNSCLNSEKKFSGFMKTIARSFFEDEIVLSMDHSKWGPYNSPLQYHLLFEAMEEINDVNGK -KLDFSFAKTILKWHLFKAVETPQILAEDIMLSALDISVGRRVRNETKERTFETFMLNSVLSNKGLPSQVH -SWFDMGQGILHHTSDLYGALVSEYITTKVREIFNLRCTTMNTSDDMVLLIHTKYMRNGKSSDDFVEILVN -LVNFIYLVSNCLNKHVSPKFCCSPLIGEFKSHFEVEATMVPLFAKFFSAAINNFRCKTPMELFNTCDTIV -EQGVCNGLSLKLADCLKKRMVDMLGWLGYTDDPLMFPIKSRQQDWLEGCLAYRKLRSLKSWLEQLGLQRL -ELGILDLNLLKVIKDLRGSVLSPSAAYRTMIEVCDVVFKNETIWFPTKFGEFKLVVRSKLNLGTTINETC -ENLFIKKLINHYSRFSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLNLRDSKLIT -KPVSEVYSSLLMQSVCAVGDWVCGEESEIGRPINKSIYMRSSLINTAEFRFGLDELTATVEICMPDLFDK -YLKNIVPPEKRLLMRHSWKVRPEMEMLVECANNGLSIFDGRIDRSEEAVVLVDYYDPERLTRVNLRLEKT -NPERTVRHSLKEIMNRMILNSMIETRIIDKSMVDDATKITPFRGSVSELRVYKTSGIRRYIQQVLLGRDT -FFKLNEEEVTYPNEASIYNEGTEEKPLPDGTWIIKAIMGRHNPIKLLCKFQKVIEDVKIEVILVTFIGRP -HYQDFVFLKREIISYSEFDWPELLDMVEFRDVVLIENQPPNGKPCYLYMGSIIPVDLGQSDFIVVSMDQS -EKEEKWRLLRTYFLTRIKGEVELMPRLRFSALESMTRNVLSGVGVEENVQRGFHLLDSFLEFLDRTDRKF -DTTGPVMVYHRRLGICVGDPQGPMLYKNIRVTLLKDWPVKRPNLLVIDD ->AKH49005.1 L polymerase [unidentified Reptarenavirus] -MGAMGPEDAELNRLKELMLMILSYERDLYKPNMFSESAGQLAVNSLKLRSTIHELNCCRDTGLRFNGEVL -DMNEILDKAIGPHETVKIVVPDGYLIDTENNVVSVLEASTRSEVYDRNVKVELDRLKYDGMEQVTRSRGW -TLNVITISEQKPRIGNIPESLMFKLLSTSLSILSYFVSTSGWISEEEYIELKKSLTSYDFKTLTEEFSGQ -KLLFDVEAKADPYKDLFQWIDLNKDQAPFNTNWQGPEITKRLEAFVKKERQLRLLEILRHSHGGLNLNSS -HLSSLNKLKSLNLLNTRRQQNKVYDYISLQLFVKSTTSTDFPEGWFPSVNDRLIKVESVIHGDLKCEYQL -EKMMKSLTALGKESGSKSRAREFENLKEFLADQLKVLMNPHELESSYNKITRNFGIPQPMISLKIIEDYP -NDDKDPSRCLSSSECQGIERVAINLVLSQKTRSVPRSSHYEEFFYQSINGYLLLYKCSGEGQKAFSLLCK -SGQKLKFFSFNVNPSRLFPLIFSCEAIDGLVDQMIMLINPISDEIHRLEPSIEKQKSVNEALVSKTFLES -EIHHLSQELRYLIYGILTSPTKRLQLELQSQRYYVMDSLSLVHHTDLVEKVVGKCLTYDEWFLRSLAHTL -INNILMCANIGLFLKVTWCLNVSYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMTDVIINCKSVDELVE -RMEVEVDKFITKDQPSYSPRPHLYEPILADFLSWVSRNKFNGLDGEQLLNPKDYLTSSVDVLDLTSNKST -FKRCKKQTEELDSTYNTDKLLKRVICERLHKRVVTKDSKCSKSDAHTYPARKREETHNDNSKRSNSKWSP -SEKPAKRTKLIFEEFSSLIQGLIVEMECVDTVDDFLVNIGRLLREVELDMEKSIVGDGETKFSNGPGLKK -YDLVLETIESLLGSEVRDMIRSTCYRTKLSDFPSDLIKEDSCKMVVEAVMAKVPTDFGEAETVDVTNITA -GMVRTRYDSSDFFSSFKFLLLWAGFNDFQGTYNHRSGPQSGFLPISNKYRGESMISTRITNSEALQDRLL -AIKFGAPTLRNVLFSTLKLDVKTSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNI -GSKLPNSCLNSEKRFYSFMKTIARSFFEDEIVLSMDHSKWGPYNSPLQYHLLFEAMEGINDINGKKLDFS -FAKTILKWHLFKAVETPQILAEDIMLSALDISVGRRTRDKSKERVFETFMLDSVLSNKELPSQVHSWFDM -GQGILHYTSDLYGALASEYITFKVKEFFNLRCSTMNTSDDMVLLIHTKYKWVKSSSGEILEALVEVINFI -HLVSNCLNKHISPKFCCSPLIGEFKSHFEVEATMVPLFTKFFSAAINNFRCKTPMELFNTCDTIVEQGVC -NGLSLKLADCLKRRMVNMLGWLGYVDDPLMLPIKSRQQDWLEGCLAYRKLRSLKAWLESSGVSKLELKTL -DINLLKVIKDLRGSIIAPTAAYHAMIESCKVILKERTIWFPTNFGEFRLVVRSKLNLGTTINETCENLFI -KKLVNHYSRYSRQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGPCVRKGDGTFLNLRESRFITKSVSE -VYSSLLMQSVCAVGDWVCGAESEVERQVNKSIYMRTSLVNVAEFRFGLDELTATVEICMPDMFDKYLKNI -VPPEKRLLMRHSWKVRPEMEMLVECANNGMSVFDGRIDRSEEAVILVDYFDPERMVRVDMRTEKCNPERT -IRHSLRDIMNRMILNSIMETRMIDKSLVDDATKTTPFKGSMSELRTYRTSGIRSYIQQVLLGRDSFFKLN -EEETTCPNEATVYTEGTEERPLPDGTWTVKAVMGNYSPIKLLCKFQKVVGVVKIEVILITHVGRAHYQDF -VFLKREIISDPEFDWPELLDMVEFRDVILVENPPPNGKPCYLFMGSIIPMDMGHSENVMVSMSPSENEEQ -WRLLRTYFLTRIEGEIRSMPRMRFSALESMTRNVLSGTGIEGNVQRGFHLLDSFSEFLDRTDRKFNTKGP -IIVYHKKLGICVGDLQGPMLYKNVRVTLLSDWPVKKPDLVVIDDDS ->AKH48980.1 L polymerase, partial [unidentified Reptarenavirus] -MSSILPEDAELGRLRELVLQVLSYEMDLYRPGWISNIAGEMAVNAIKLRSTIHELNCCRDTGLRFNNELR -EMNDILDEAIGPHETVRMITPDGYLINSSNNIVFVLEASTRAEPADQTRKVELDRAKYDGXEDLLRPLGW -SLNVITISERKPRISKIPESLMFKLLTTSLSILSXXXXXXXWVSEEDYLELKKSMTTYDFRTLAEEFKGQ -NLIFDIEPADDPYGXXXXXXXXXXEEMPFSLKWKGPEITDSISXFKXEELQLRXLXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXTRRKQNKVYDYLALKLFLEISEKQDFPKGWYPSVNDRLVTVESVTSDVEXXXXXX -XXXXXSLHNLQSEVGGREKKNELQVLKNYLTESSAVLIEPSRMKEMYSSLEFNHRIPQPKLELKIVNDYP -CKKLNKSISSEESETIERVALNLVLSQKTRSTPRASEGEEFFFQSIGGCLLLYKCTGEGQRAYSLLCKEQ -RGLSCAFYSFNINPSRLFPLIFSAKPIERLIDQLMMLVNPICDDIDRLHDTIKKQESVNDFLVSSEYSED -QLDGLKINVKYLIYGILTSPTKRLQVELQSQRYYIMDTLSIIHHKDLKDKVVGNCITYDEYFLRDFAHGL -INEILSCKNIGLFLKVAWGLNISYMCHLITKETPDXXXXXXXCYEKFFQPKFKFMSNLIYNCRTVDELVE -RMESEVDDFIKSDSPSFETKPFLYEPILADFLRXXXXXXXXXXXXEQLLDPKDYLTSNVDVLDLTSNKST -FKRSKTSHTDLLDEQYDVAKLXXXXXXXXXXXXXXQSKRGDXEQNQFNPSKPQQDRKSTEKGRQGRKKRV -QGVENKRXXXXXXXXXEFSKIIQGLIVEAELEGDIDSFLVQVGSCLNDIDRDLNEAIEXXXXXXXENDGQ -SEVSKHKMVLDVIGELLGPEIRDYVRSTCYSTKLSDLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXETID -VTNISAGMVVAKFELGEFFSSFKFLLLWGGFNNFQGTYDHRSGPQSSFLSISNKYRGESMISTRITNSEA -LQERLLAIRYGAPTLRNVLFSTLNLDMRGSEVGPNNRPLQFGIAIKEQVGGPRELYVGDSDTKLITIVLE -ETSRNIGXKLPNSCLNSEKKFSKFMKRIARSFYEDEIVLSXXXXXXXXXNSPLQYHLMFEAMENIRDVNG -KXLDFSFVKTILKWHLFKAVETPQILAEDVVLSALDVSSGMREREIGKEKSYETFMVNSVLSNRSXPSQI -HSWFDMGQGILHYTSDLYGSLVSEYITTKIKSIFNVRASTMNTSDDMVLLLHLKYKAKDSNQKDNLLAMV -NFLFLTSNCLNKHISPKFCCSPLIGEFKSHFEVEATMVPLFVKFFAASINNFRCKTPMELFXXXDTIVEQ -GICNGLSLKVADSXKTXMVEMLGWLGYTNDPLMKPIDTRQXXXXXXXXXXXXXXXXXAWLLETGVDKVKL -DVLKLSLLKIIRQLRDSLIAPVTAYNQMIELCTASLEGRTQWYPTLNGEFKLVVRSKLNLGTAINETCEN -LLIKRLINHYSRYSRQGLGMLIAEGLERSAFQSSVVTGFIGLSISLSGMCVRNKDGTFSNLRDSRSVTRP -VAEVYTSLVMQSVCAVGDWVCGFDSEPDKQINKSIYMRTSVINTAEFRFGLDELTATVEVCMPDLFDKYL -KDIVPPDKRLLMRHSWKIRPEMELLVDCAGRGLSIFDGRIDRQEEAVVLVDYYNPERLVRRTMKLEKTGS -ERSVRKGLQAISNRMILNSILEAKIIDKTMVMDAAKVSPFGGHIEDLRAYKISGIRRYIQQVLLGRETHY -RLNEDEPSGFSSTHVLVYYKGSPTNLLPDGTWFVGAKFGNYSPIELQCHFQQISDDLKIRLTLVTYEDRA -HFQDFLYLKRSMISDEDFSEPEILDLFEFRDVVIVERPKSDGKPCLLFMGHVISLDSNRSESMMTEMSTA -ERDERWRLVKTYFLTRFEGEISCLSRARFDALYGLVERIFSTSTVEEVVAMGFHLLDSFVVEFLTSTTRV -FVTKGPVLVFSRSEGILVGSPVGSMIYKGHRV ->AKN10710.1 RdRp [University of Helsinki virus] -MGAIGPEDAELNRLKELMLKVLSYERDLYKPNMLSESAGQLAVNSLKLRSTIHELNCCRDTGLKFNGEVL -DMNEILEKAIGSHETVKIVVPDGYLIDKENNIISVLEASTRTEVSDRNIKVELDRMKYEGMEQITRGLGW -TLNVIVISESKPRIGNIPESLMFRLLSTSLSILSYFVNTSGWISEEEYIELKRSMTSYDFRTLTEEFSGQ -NLLFDVEPDSDPYYNLLQWFDKNKDLAPFSSKWDGPEITKRIESFPKKGRQLRLLEILRNSYGGLSFESG -HLSLLNKLKSLNLLNTRRQQNKVYDYISLQLFVKSVKSTDFPDGWFPSVNDRLVKVESVIHSVVKCKRQL -NRMMDSLTALQRESGSKARAQDFIMLKEFLRSQLAVLMSPDELETSYRLIKRNPDIPSPSISLKIIEDYP -NEDSDFTRHLTPSDCHTIEKVAINLVLSQKTRSTPRSSHHEEFFYQSINGYILLYKCSGEGQKAFSLLCK -SDSKPKFFSFNINPSRLFPLIFSCDALNGLVDQMIMLVNPISEEIDRLKPSISKQRSVNEFLVNKVFTED -EIETLGCELRYLIYGILTTPTKRLQLELQSQRYYVMDSLSLIHHADLADKVVGKCLTYDEWFLRSLAHTL -INTILESSNIGLFLKVTWCLNISYLCHLITKETPDRLSDLRDCYEKFFKPKYQFMTNVIYNCKSVDELVE -KMELEVNSFITRDEPTYDPKPHLYEPLLADFLGWLSKEKFNGMDGEQLLDPKDYLTSGIDVLDLTSNKST -FKRCKGLSEELDPTYDTDKLLKRVICERLHKRVVTSHSEKNNNKDEAQSCRSGPKRTRPGWSPTGKPAKR -TRLIYDEFSAIIQGLIAELECVDTMDDFLVNIGRLLKEIESDLEDSSGDAKEREFNPNDKFKKYNLVLEV -IESLLGSEVRDLIRSTCYRTKLSDFPSDLVREDSCKLVVEEVMSRVPTDFSEADTVDVTNITAGMVRARY -DSQEYFSSFKFLLLWAGFNDFQGTYNHRSGPQSSFLAASNKYRGESMISTRITNSEALQDRLLAIKFGAP -TLRNVLFSTLDLNIKSSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGNKLPNS -CLNSEKKFSGFMKTIARSFFEDEIVLSMDHSKWGPYNSPLQYHLMFEAMEEINDVHGKKLDFSFAKTILK -WHLFKAVETPQILAEDIMLSALDISVNRRIRDKAKERTFETFMLESVLSNKGLPSQVHSWFDMGQGILHH -TSDLYGALVSEYITIKVKELFGLRCSTMNTSDDMVLLIHTKYGKRLKSDSEFLEVLTNLINFIYLVSNCL -NKHISPKFCCSPLVGEFKSHFEVEATMVPLFVKFFSAAINNFRCKTPMELFNTCDTIVEQGVCNGLSLRL -ADCLKRRMVDMLGWLGYIDDPLMFPIKSRQQDWLEGCLAYRKLRSLKAWLESTGLSKTELKIMDLNLLRV -IRELRGSVLAPTAAYQAMIESCDTVFKGRTIWFPTQFGEFKLVVRSKLNLGTTINETCENLFIKKLVNHY -SRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTYLSLRDSRSITKSVSEVYSSLMM -QSVCALGDWVCGEESGVEVPVNKSIYMRSSLVNVAEFRFGLDELTATVEICMPDMFDKYLRSIVPPEKRL -LMRHSWKVRPEMEMLVECANNGMSVFDGRIDRSEEAVVLVDYFDPERMVRLNLRSEKSNPERTVRHSLRD -IMNRMVLNSIIDTRIIDKSMVDDATKVTPFKGSISELRVYKTSGIRRYIQQVLLGRDSFFKLNEEDSAYP -NEATIYFGGTEEEPLSDGTWIVKAVMGSHNYIKLLCKFQKVVDVVKIEVILVTFLGRAHYQDFIFLKREI -ISDPGFDWPELLDMVEFRDVILVENPPPNGKPCYLFMGSIVPIDMGHSESIMVPMSQSENEEKWRLLRTY -YLTRLNGELESMPKLRFSALESMTRNVLGGVGVMENVQRGFHLLDSFSEFLNRTDRKFNTTGPIMVFHRK -LGICVGDPQGPMLYKNVRISLLENWPTKRPELLVVDDDC ->AKH49181.1 L polymerase [unidentified Reptarenavirus] -MGSLGPEDVELNRLKDLTLKVLSFERDLYRPTWISDTAGEMAVSAIKLRSTIHELNCCRDTGLKFNNEQK -ELNDILDEVFEEHETVKTIVPDGYLIDFNNCIVSVLEASTRSEASDQCTKATLDRMKYDGIEIMLRPLGW -TLNVIVISEKKPRIGSIPESLMFKLLTTSISVLSYTTSVSDWISEEDYLEIKRSMTTYDFRSLTEEFEGQ -GLIFDIQSEEDPYSTLLRWLETKTPPFSLEWEGQQITEALLDFKERKKKLRLLEIMRASACGLSLSSEHL -SILNKLKSLNLLNTRRQQNKVYDYLALVLFVKAGKSTDFPSGWIPSVNDRLIRIDSIDLEESNCKRLITK -MIISLRAIAKESGSKTKAQDFEHLIRFLEDMTEEFKDLNELTKAALGLNPTPLVGRPHIELKITNDFPKT -RGEVKGVQPLTDNECSNIEKVALNLVLSQKTRSTPKASDLEEFFFQSFGGCMLLYRCTGEGQKAFSLLCN -TKGVREFFSFNINPSRLFPLIFSKSPINELINQMMLLVNPIDGELEKLEETINKQRSINVFLVENKFNSS -EIDDLRGELKYLVYGILTTPTKRLQVELQSQRYYVMDAMSMVHHRDLKEKIVGHCITHDEYYLRALAHRL -INKILSCFNIGLFLKVTWSLNISYLCHLITKETPDRLSDLRDCYEKFFKPKFQFMSNLIYNCKTVDELVE -RMEAEVNDFIRCDEPSLEMKPFLYEPILADFLSWVSNEKFNGLDGEQLLNPKDYLTSSVDVLDLTSNKST -FKRSRGCGNVLDPAYDTGKLLKRVICERLHKRVTKKKKDGKEMGINNSEDNKNGSIKDWKPGKEKGKKTR -IVYEEFSTIIQGLLTEIGSDEGLDDFLLRVNSSLEEIGLELEKIKSGEVEQQGQLSLEMNKYSYVLDKIS -SILGHEIRDVIKSTCYSTKLSDLPSDILTENGYKTIVESIMEDRPLEFQRGVETVDITNITAGMVVAKFE -AGEYFSSFKFLLLWAGFNNFQGTYDHRSGAQSSYLSLSNKYRGESMISTRVTNSEALQERLLAIRYGAPT -LRNVLFSTLNLEIGNSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGNKLTNSC -LNSERKFSDFMKRIARSFYENEIVLSMDHSKWGPYNSPLQYHLLYEAMESINDVYGKKLNFSFVKTILKW -HLFKSVETPQILAEDIVLSALDISVGRRQRQIDKERTYETFMINSLLSKSITPSQIHSWFDMGQGILHYT -SDLYGALVSEYITRKVRDLYGIKCSTMNTSDDMVLLIHTKYKPDDLEIHSKLVTVINFIYLVSNCLNKHI -SPKFCCSPLVGEFKSHFEVEATMVPLFVKFFSASINNFRCKTPMELFNTCDTIVEQGICNGMSLKLGDSL -KRRMVDMLGWLGYIGDPLMHPVVSRQQDWLEGCLSYRKLRSVEEWLVKEGITRQNLEVLKAALLSVVRDL -REGLIAPSAAYTKMCQICLTTFNSKEVWFPTKHGEFKLVVRSKLNLGTTINETCDNLFVKKLINHYSRYS -KQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGQCVRKGDGTFLTLRESRAVTRPIGEIFSSLIMQSIC -SVGDWVCGIESVLPKPVNRSIYMRTSLLNVAEFKFGLDELTATVELCMPDLFDKYLKDLVPPEKRLLMKH -SWKVRPEMEMLMECANDGLSVFDGRIDRSEEAVVLVDFNDPTRSQRRVIRQEKIGSERTVRNSLQSILNR -MILNSILEPRIIDKVLVQDATKITPFTGSVSELKSYKTSGVRRYIQQVILGRDSFYRLNEGSSEYPSDEI -VMFREGSEEKPMSDGTWIIGARIGLYNPIKLSCIFNKIGHEQKMRVSMLSYIGRAHLQDFLYLKRFIISD -LGFDKPEALDTIDFSEVNLIENPSPNGKPCYLYMGSIVPFDLGQPQDILTPMGTMERDERWRLLRTYFLT -RQVGEIELLPLARFTALDHMVTDTFEEMGVEEIVEKNFHVIECFEEFLKRTNRCFCTQGPTIVFHRTRGI -LVASPNGTMMYRNLRVETLESWLKGELELEVLED ->AKH48991.1 L polymerase [unidentified Reptarenavirus] -MGSASPEDAELTRLKELVLGVLTYERDLYKPGWMTDDAGGMAIRAIKLRSTIHELNCCRDTGLRFNGELK -EVNEILDETIGSHETIKVIVPDGYLIDRENNILSFLEASTRTEPGDQNRKVQLDRLKYDGLENILRPKGW -SLNVITISERRPRIGDIPESLMFKLLSTALSILSYTTNTHHWISEEDYLELKRSLTSYDFKTLTEEFKGQ -NLTFDIESSEDPLNHILDWMINNQEKMPFSLSWEGPKITEMISNFKKENVQLRLLEIMRHSAVSLNLKTN -HLSFLNKLKSLNLLNTRRKQNKVYDYLALKLYLEMSETLDFPKGWFPSVNDRLVTVESVDSSAAVYGNLI -KKMINSIQILINEVGSKQKSRELESLKDYLEESSNNLVEPSRLKTDYFQLPLDNGVPQPEVVLKVVNDFP -RKRNIAITSEESEVIEKVALNLILSQKTRSTPRASPREEFFFQSVNGCLLLYKCTGEGQRAYSLLCRALL -GGVHSLKFFSFNINPSRLFPLIFSAKPIASLIDQMIMLVNPISDDIERLQATIKKQESVNNFLVSTEYHE -SRIDDLRVRIKYLIYGILTSPTKRLQVELQSQRYYIMDALSIVHHKDLKEKVVGNCITYDEYFLRSYAHS -LINEVLTCKNIGLFLKVTWSLNISYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMSNVIYDCKSVDELV -EKMELEVDRFIQCDEPTFDARPFLYEPVLADFLKWISTEKFNGIDGEQLLDPKDYLTSNVDVLDLTSNKS -TFKRSNKNTGESLDKEYDVSKLLKRVISERLHKRVVQSSREVEAKDPAGIKVQNEERKKKDWKPGGEKRK -ATRLAFEEMSGIVQGLIIEMESGEEVDDFLLQIGKCLQEIGSDLKESKQKDVSNQPETKYSLVLDEICKL -LGPEIRDLVRSVCYSTKLSDLPVDLLRNDSYKIVVERVMLKFPLEFPKDVETIDVTNISAGMVVTKYELE -EYFSSFKFLLLWAGFNNFQGTYDHRSGPQSTFLSISNKYRGESMISTRITNSEALQDRLIAIKHGAPTLR -NVLFSTLSLEMKNSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNLGNKLTNSCLN -SEKKFSNFMKRIARSFFEDEIVLSMDHSKWGPYNSPLQYHMMYEAMESLLDVNGKPLDFSFAKTILKWHL -FKAVETPQILAEDVVLSALDISLGRRDRQLGKERSFETFMVNAVLSNKTVPSQIHSWFDMGQGILHHTSD -LYGSLASEYITRKIKDYFDVRSSTMNTSDDMVLLFHFKYKANDEDQKNKLLIMTNFLCLMSNCLNKHISP -KFCCSPLVGEFKSHFEVEATMVPLFSKFFSASVNNFRCKTPMELYNTCDTIVEQGICNGMSLRLADSVKA -RMVEMLSWLGYVNDPLMKPVESRQQDWLEGCLAYRKLRSLESWLIESGVDDIKLKVLKLELLKVIRELRE -SSIAPTTAYKVMINICKAALGEITLWFPTLHGEFKLIVRSKLNLGTTINETCENLLIKKLINHYSRYSRQ -GLGLLIAEGLERSAFQSSVVTGFIGLSISLSGQCVRNGDGTFSSLKESKQITKSVAEVFPSLVMQSVCAV -GDWVCGSETELDKRNNKSIYMRTSLLNTAEFRFGLDELTAAIEMNMPDLFDKYLKDIIPPDKRLLMRNSW -KIRPEMELLIECAKDGLSIFDGRIDRQEEAVVLVDYYNPERLIRRTIKIEKRGPERTTRKGLQAVTNRMI -LNSVLEPKIIDKMMVLDAVKTTPFEGRLEDLKTYGTSGIRKYIQQVLLGRETYYRQREDEPADISLGDVI -IYSRGSPEEPLPDGTWIEGAKLGAYNTVKLQCCFQKVSTDLKVQASLVTFEGRAHFQDFMFLKRSMIANP -EFDEPEMLDLLEFRDVMIVEKPRPNGKPCFLFMGHVIPLESSAIDSVMTQMLDSEWDELWRLVRTYFITR -MEGEISCLPRARLNAVWSMVQQIFNVSTVEKVVQMGFHLLDSFSEFLKLTDRVFLTNGPTLVFHRTRGLL -VNSPVGSLLYKGYRLMPYDEWMGGGPKLEELED ->AKH49097.1 L polymerase [unidentified Reptarenavirus] -MGSVAPEDAELERLKELTLEVFSFERDLYRPGWISNIAGEMAMNAVKLRSTIHELNCCRDIGLNFNGDMK -EMNDILDNAIGSHETVKLIVPDGYLIDRTNNIISVLETSTRAEPSDQNRKVQLDRLKYDGMEDLLRPKGW -TLNIIAISEKKPRIGKIPESLMFRLLSTSLSILSYSTDIHCWISEEDYLELKKSMTSYDFRTLTEEFKGQ -DLIFDIESVGDPYGKLLDWMQNNDSKMPFNLEWEGPTMTEMIQNFDKEEFQLRLLEIMKFSKLGLKLKTN -HLSVLNKLKSLNLLNTRRKQNKVYDYLALKLYLTIPETQDFPKGWFPSVNDRLVTIESIDGNITTYKTLI -EKMINSLNNLQKDVGGRQKLNELKVLVSYLENSLDDLIEPSMLKRAYAALTLNDQIPQPKLSLLIMNDFP -NKPQIPEVSPKESEIIEKVALNLILSQKTRSTPRASTREEFFFQSVSGCLLLYRCTGEGQKAFSLLCESP -KEGVLNFYSFNINPSRLFPLIFSSKPISRLVDQLIMLINPIIEDIDRLQSSIEKQESVNSFLTSTEYRDD -QIDELKVRVNYLIYGILTSPTKRLQVELQSQRYYIMDALSLVHHRDLKEKVVGKCITHDEHFLRNLAHEL -INEVLSCRNIGLFLKITWSLNISYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMSSLIYDCKSVDELVE -RMESNVNGFIHCEEPSFETKPFLYEPILADFLKWISTEKFNGSDGEQLLNPRDYLTSNVDILDLTSNKST -FKRSKNLAGSDLDQQYDTDKLLKRVICEKLHKKVVHSGKKKNDEMDQGQKDKVSAKPTKRWKVGRERKKT -TRMVFEEFSKSVQGLIIELESDEDLSDFLIRVDKCLQDIDEKLESSKLKENEDSQTTKYKVVLNVILELM -GPEIRDLIRSTCYSTKLTDLQSDLLREDAYKSIVEEIMNRFPLEFLRNVDTIDVSNISAGMVVVKYESKE -YFSSFKFLLLWAGFNNFQGTYDHRSGPQSTFLSISNKYRGESMISTRITNSEALQERLLAIKFGAPTLRN -VLFSTLNLEIKNSEIGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGSKLQNSCLNS -DRKFSAFMKRIARSFFEDEIVLSMDHSKWGPFNSPLQYHLMFEAMESIIDVNGKKLDFSFVKTILKWHLF -KAIETPLILAEDVMLSALDISLGRRERFIKKERCFETFMVNEILSNKPIPSQIHSWFDMGQGILHYTSDL -YGSLASEYITKKIRDIFEIRSSNMNTSDDMALLFHLKYKLNDETQKLMLLSMINLFTLISKCLNKHVSPK -FCCSPLVGEFKSHFEVEATMVPLFTKFFAASVNNFRCKTPMELYNTCDTIVEQGVCNGMSLELADSVKMR -MVEMLGWLGYTSDPLMRPIESRQQDWLEGCLAYRKLRSLEAWITDTGVEKIKLDVLKLELLKIIKQLRES -SIAPSTAYKMMVDTCSITLGDMMLWFPTLHGEFKLVVRSKLNLGTTINETCDNLLIKKLINHYSRYSKQG -LGLLIAEGLERSAFQSSVVTGFLGLSISLSGACVRKGDGTFLTLKESRCIGKLASEVFSSLVMQSVCAVG -DWVCGPETSPDKANNKSIYMRSSLVNTAEFRFGLDELTVAIEMNMPDLFDKYLRDIIPPDKRLLMRHSWK -IRPEMELLIECAKDGMSIFDGRIDRQEEAVVLVDYYKPDKLIRRILKEDKKSFERTARKSIQSIQTRMVL -NSILEPKIIDKTLVLDAAKIAPFEGSLENLKGFGTSGVGRYIQQVLLGRETYYREREDEPAENPITDAIL -YGRGDPEHPLEDGTWIRGVKSGSINVIKLQYTFQRVSSDLKIQASLITHEGRSNYQDFMYLKRSLISDTD -FEYPEMIDLIEFNEVIIIETPKASGRPCFMFMGAIIPLESGITASATVTQMSRNEWDELWKLVRTYFITR -IEGEVLCLPKARFDALWWMVRQIFGVFSIDEVVQMGFHLLDSFIEFLTLTDRVFVTRGPKLVFHRLKGVL -VASPIGSLLYKGHRLMTYEEWKSGGPELVELDD ->AKH48993.1 L polymerase [unidentified Reptarenavirus] -MGTIGPEDAEHNRLKEIVLAVLSYERDLYRPTIISESAGQLAINSLKLRSTIHELNCCRDTGLIFNNDVL -DMNEILDRAIGPHETVKTVVPDGYLIDKENNVISVLETSTRSEVCDRNTKVELDRMKYNGMEQMTRGLGW -TLNVIAISESKPRIGGIPESLMFKLLSTSLSILSYYVNTSGWISEEEYIELKKSMTSYDFKTLTDEFSGQ -KLLFDIESEDDPYHNLFRWFSINKDSSPFRSNWNGPEITRRINNFTRAKRQLRLLEILKHSYGGLNLNSN -HLSTLNKLKSLNLLNTRRQQNKVYDYLSLQLFVRSVTSTDFPKGWFPSVNDRLVQLDSITYTDVDYRYQL -KKMMVSLRTLQKESGSKSRSQEFETLKNFLDDQLVTLMTPHELRSSYDKITQIHNVPEPHISLKIVDDYP -SDMKENFTCLTTSDCQTIEKVAVNLILSQKTRSTPRSGHHEEFFFQSINGYLLLYKCSGEGQKAFSLLCK -YGLESKFFSFNINPSRLFPLIFSCDALNGLIEQMMMLVNPISSEVDRLEPSIQKQRSVNEFLVSRTFDET -EVTAISNRLKYLIYGILTTPTKRLQLELQSQRYYIMDSLSLVHHIELADKVVGKCLTYDEWFLRSLAHTI -INDVLSSSNIGLFLKVTWCLNVSYLCHLITKETPDRLSDLRDCYEKFFKPKFQFMTNMIFNCKSVDELVE -KMELEVDNFIVREEPTFESKPHLYEPILSDFLSWLSKEKFNGLDGEQLLDPKDYLTSNVDVLDLTSNKST -FKRSKRVNGGLDSVYDTDKLLKRVICERLHKRVVKNSPEINQTPKTRAKTDLTNGPNNRRKPNQDWSPGK -GPAKKTRLIFEEFSAIIQGLIVELDYTDTVDDFLVNIGKLLKDIELELENSLKIGDAGSLADNTTLRKYD -LVLDVINLLLGSEVRDMVRSTCYRTKLSDFPSDLIKEDSCKQIVETIMSKVPMDFCETETVDVTNITAGM -VRTRYDSGDYFSSFKFLLLWAGFNDFQGTYNHRSGPQSNFLTISNRYRGESMISTRITNSEALQDRLLAI -KFGAPTLRNVLFSTLDLNMKTSEIGPNNKPLQFGLALKEQVGGPRELYVGDSDTKLITRVLEETSRNIGN -KLPNSCLSSDRKFYGFMKSIARSFFEDEIVLSMDHSKWGPYNSPLQYHLMFEAMEEISDINGKKLDFSFA -KTILKWHLFKAVETPQVLAEDIMLSALDISIGRRIRDKSKERIFESFMLDHVLSNRSLPSQIHSWFDMGQ -GILHHTSDLYGALVSEYVTRKIKEFFGLRCSTMNTSDDMVLLIHTKYGKGAGLNEDFTETLVNVVNFIYL -VSNCLNKHVSPKFCCSPLVGEFKSHFEVEATMVPLFTKFFSAAINNFRCKTPMELFNTCDTIVEQGVCNG -LSLKLADSLKRRMVDMLGWLGYVDDPLMTPIISRQQDWLEGCLSYRKLRSLKSWLESSGFSKIELHILDL -NLLKVIRDLRGSVIAPSAAYQTMLEICNTVFKGKLMWFPTNFGEFKLVVRSKLNLGTTINETCENMFIKK -LVNHYSRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLNLRDSRSITKSVGEVY -SSLLMQSVCAVGDWVCGEESDAERPVNKSIYMRSSLVNTAEFRFGLDELTATVEICMPDLFDKYLKDIVP -PEKRLLMRHSWKVRPEMEMLVECANNGLSVFDGRVDRSEEAVVLVDYFDPKRLVRLNLRTEKNNPERTVR -NSLRDIMNRMILNSIMETRIIDKTMVDDATKVTPFRGSVSELRVYKTSGIRRYIQQVLLGRDTFFKMNEE -DTVCSKEASVFVEGTEEEPLPDGTWNVGAVMGRYNSVKLLCKFQKVIEDIKIEVILVSHVGRAHFQDFIF -LKREIISRREFEWPELLDNVEFRDVILVENPPPNGKPCYLFMGSIVPIDMGRRENIMVSMSQSENEEQWR -LLRTYYLTRIKGEVESMPNLRFSALESMTRNVLSGAGVELNVQRGYHLLDSFSEFLNRTDRKFQTTGPII -VFHRKLGICVGDPQGPMLYKNIRITLLKDWPIRRPELIVVDDD ->AKN10696.1 RdRp [University of Giessen virus] -MGSYCAEDAELKKLKEIALQVLSYERDLYKPGWISDIAGEMAVNAIKLRSTIHELNCCRDTGLKFNNELT -EMNSILDEAIGSHETVKTIVPDGYLIDGNNNIISILEASTRAEPADQNRKVELDRAKYDGVEDILRPIGW -SLNIITISETKPRINNIPESLMFKLLSTSISILSYTTDVHGWISEEDYLELKKSLTSYDFRTLTDEFRGQ -NLIFDVESTNDPYKDLFLWMSENGEKLPFSFNWRGPNITESISNFKKESVQLRLLELMRYSSVGLNLKTS -HLSSLNKLKSLNLLNTRRKQNKVYDYLALKLFLDIQDRQDFPEGWFPSVNDRLVTVDSVTSSVETYGKLM -VKMVGSLQHLQNEIGNKRKKEELQLLEKFLTDASTNLVEPSRLREAYTKLEFNRAIPQPKLQLRILNDFP -CKFLETITAEESKTIEKVALNLVLSQKTRSTPRASEREEFFFQSISGCLLLYKCTGEGQKAFSLLCKVRG -KTSPSQLYSFFSFNINPSRIFPLLFSAKPIENLIDQLMMLVNPIHNDDIDRLHETIEKQRSVNEFLVSSE -YSENQLDDLRRRLKYLIYGVLTSPTKRLQVELQSQRYYIMDTLSILHHKDLEDKVVGNCITYDEYFLRNY -AHELINEILSCKNIGLFLKVTWGLNISYMCHLITKETPDRLSDLRDCYEKFFNPKFKFMSNLIYNCKTVD -ELVERMEAEVNSFIVSDEPSFEVKPFLFEPILANFLSWLSTEKFNGRDGEQLLDPKEYLTSNVDVLDLTS -NKSTFKRSRATNGETLDEQYDVEKLLKRVISERLHKRVVRSHRETAESNPDKLSQQQNSESEGKGKGKGK -TRWRTENTRRKATKLAFEEFSNIIQGLIIETESEDDLTSFLLQVGKCLEEVNEDLEKSKSKDVNDQPETN -KHKLILNVICDLLGPEVRDFVRSTTYSTKLSDLPVDLLEESSYAKIIEEVMKKAPLEFPKDVDTIDVTNI -TAGMVVTKYEVGEFFSSFKFLLLWGGFNNYQGTYDHRSGPQSTFLSISNKYRGESMISTRITNSEALQER -LLAIRYGAPTLRNVLFSTLNLKIENSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSR -NLGNKLHNSCLNSDKKFSDFMKRIARSFYENEIVLSMDHSKWGPFNSPLQYHLMFESMESINDVNGKPLN -FSFLKTILKWHLFKAIETPLILAEDVVLSALDVSSGRRERMIGKERSFETFMINSVLSNKSVPSQIHSWF -DMGQGILHYTSDLYGSLVSEYIAVKIREFFNVRSSTMNTSDDMVLLFHLKYKNEDSNQRDKLLTMTNFLC -LVSNCLNKHISPKFCCSPLVGEFKSHFEVEATMVPLFVKFFAASMNNFRCKTPMELYNTCDTIVEQGICN -GMSLKLADSVKERMIEMLGWLGYIDDPLMKPIETRQQDWLEGCLAYRKLRSLEAWLEESGIEKAKLDVVK -LDLLRIIRELRDSLIAPSVAYKQMIEVCEMNLHGIKIWFPTHHGEFKLIVRSKLNLGTTINETCENLLVK -KLINHYSRYSKQGLGMLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRNGDGTFLSLKESKSIVKPAAEV -FSSLVMQSVCAVGDWVCGDNSTPDKRMNKSIYMRTSLINTAEFRFGLDELTATVEICMPDLFDKYLKDIV -PPDRRLLMRHSWKVRPEMELLMDCANNGLSIFDGRIDRQEEAVVLVDYYSPERLVRRTMKLEKVGSERST -RKRLQAIANRMILNSVLEAKIIDKTMVLDAVKVTPFNGPLEDLKSYKTSRIRRYIQQVLLGRETHYRLNE -DEPSEPSSTSALVYYEGSSRNRLSDGTWIVGAKVGNHKPIKLQLSFQQISNDLKMQVTLITYEGRANFQD -FLYLKRSIIADEDFEEPEMLDLLDFRDVVVIESSRNTGKPCFLFMGHIIPLESNSFESMLTTMSYVEWDE -LWKLLRTYFITRLKGEISCLSRARFGALYNLVEWVFSVFSLEDVMSMGFHLLDSFSEFLALTDRVFETRG -PTLVFHKSRGVLVGSPVGSLLYRGHRLMTYKDWMAGGPELEELED ->AKN10698.1 RdRp [Suri Vanera virus] -MGSMDHEDAELERLKEIVLQILTYERDLYRPGLISNTAGEMAVGAIKLRSTVHELNCCRDTGIRFNGELK -EMNEILDEAIGVHETVRTVIPDGYLVDSENNVISVLEASTRAESSDQTRKVELDRKKYDNMEDILRPLGW -TLNVITISERKPRIRNIPESLMFKLLSTSLSILSYTTDVHNWVSEEDYLELKKSLTTYDFRTLTDEFKGQ -SLIFDIETTEDPYKDLLAWMSEAGRVMPFSLNWEGPEITRLISDFKREETQLRLLEVMRHSCTSLNLKTS -HLSTLNKLKSLNLLNTRRKQNKVYDYLALRLFLEACENQDFPKGWFPSVNDRLVTVDSINSPVDYYGKLI -EKMISSIRKLQNEIGSKGKKEELQTLMDFLIDSSTRLIEPSEMEKKYTRLDHNKAIPRPEIELKIQNKFP -SKRKDGNVEVISLEESETIEKVALNIILSQKTRSTPRASTNEEFFFQSIGGCLLLYKNTGEGQKAFSLLC -KNAGSSKIPLSFYSFNINPSRMFPLIFSAKPIEDLINQLMMLVNPIHDDIERLETTINKQRSVNDFLVSS -EYRENQLHGLRIKLKYLIYGILTNPTKRLQVELQSQRYYVMDVLSLIHHRDLKEKVVGNCITYNEYYLRN -YAHGLINEILSCKNIGLFLKVTWGLNISYLCHLISKETPDRLSDLRDCYEKFFKPKIKFMTNLIHDCKSV -DELVEKMESEVNDFIKCDEPSFELKPYLYEPILADFLKWLSTEKFNGLDGEQLLNPKDYLTSNVDVLDLT -SNKSTFKRSKTIADGQLDRQYDMEKVLKRVISERLHKRVIQSNRDNSECDGKNTTGEQQKQKNGMESKKK -GRGWKAEGERRRTTKLAFEEFSNIVQGLIVETESEEDINSFLMQVGRCLRDINDDLTKSKLEGTSDQSDT -CKHKMILGVICELLGNEARDFVRSTCYSTKLSDLPSDLLEEDSYKRVVEEVMRKMPLEFPRNQNTIDVTN -ISAGMVAAKYESGEFFSAFKFLLLWGGFNNFQGTYNHRSGPQSSFLSISNKYRGESMISTRITNSEALQE -RLLAIKFGAPTLRNILFSTLSLKMGDSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETS -RNLGSKLPNSCLNSEKKFSKFMKRIARSFYEDEVVLSMDHSKWGPFNSPLQYHLMFEAMENIVDVNGKPL -DFSFVKTILKWHLFKAVETPQILAEDVVLSALDISSGRRERHVDKERSFETFMVNSVLSNKSIPSQIHSW -FDMGQGILHYTSDLYGSLVSEYITLKIKTLFGVRSSSMNTSDDMVLLLHLKYDPNDSNLRDRVLAITNFL -CLVSNCLNKHVSPKFCCSPLVGEFKSHFEVEATMVPLFTKFFAASINNFRCKTPMELYNTCDAIVEQGIC -NGMSLKLADSLKTRMVEMLGWLGYTHDPLMRPIETRQQDWLEGCLAYRKLRSLEAWLMEMGVDKARLDVL -KLELLKLIRELRDSVMAPSVAYKKMVDACTSGLGGVTMWFPTHHGEFKLIVRSKLNLGTTINETCENLLI -KKLINHYSRYSKQGLGVLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLTLKESRSITKPVAE -VFSSLVMQSVCAVGDWICGHDSELEKGVNKSIYMRTSLVNTAEFRFGLDELTATIEVCMPDLFDKYLKDI -VPPDKRLLMRHSWKIRPEMELLVECANEGLSVFDGRIDRQEEAVVLVDYYNPKRLIRRTMKLEKTGSERS -IRKRLQAIENRMILDSILEMKIIDKTMVLDAVKTTPFGGSLEDLKAYRASGVRRYIQQVLLGRDTYYRLN -EDDQVEPNLSGIFVRYLGSPEHPLPDGTWLVEAKFGSNNPITLKCSFQRISTDLKVVLTLLTYEGRPHFQ -DFIYFKRSIIADEDFEYPELLDLSDFRDVILVENPKHDGKPCFLFMGRVIQLDSNLSDSIMTQMSGPECD -ERWRLVRTYFMTRLKGEVSNLSRARLNALEGMMGRIFNTSTLDEAVGMGFHLLDSFVEFLTLTDRVYATR -GPILVFHRTRGVLVGSPVGPLEYRGHRLMTYKEWTLGGPSVEKLED ->AKH48996.1 L polymerase [unidentified Reptarenavirus] -MGTVGTEDEELQRLKEILLAVLSYERDLYNPSIISNSAGQLAVNSIKLRSTIHELNCCRDTGLIFNNELL -DMNEILDRAIGSHETVKIVVPDGYLIDRENNVISVLETSTRSEVSDRNIKVELDKLKYDGMENITRCLGW -TLNVIAISEAKPRIGNIPESLMFKLLSTSLSILSYSVNTSSWISEEEYIELKKSMTSYDFRTLTEEFSGQ -KLLFDIESENDPYLGLLNWINDNKDRAPFSLKWDGPRMTKGIEGFGKKDKQLRLLEILRYSQSGLNFDTN -HLSLLNKLKSLNLLNTRRQQNKVFDYLSLQLFVRSVSLTDFPEGWIPSVNDRLVRVESVIHSTTNCECLL -NKMMKSLTALQKDSGSKARIQEFDTLKEFLRKQLELLSSPSELESLYGVIKKNSIVPEPCISLKVVEDYP -RGKETPTVRLSSLDCQAIEKAAMNLILSQKTRSTPRSSHYEEFFFQSINGCLLLYKCSGEGQKAFSLLCK -TVEGSKFLSFNINPSRLFPLLFSCEPINDLVDQMMMLINPISEEIDRLEPSIQKQRSVNKFLTSRTFLET -EIDKLREDLRYLIYGVLTTPTKRLQLELQSQRYYIMDSLSLVHHVDLADKVVGKCLTYDEWYLRSLAHTL -INTILGSSNIGLFLKVTWCLNISYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMTNMIFNCKSVDELVE -KMEADVDNFILKEEPTYEPKPHLYEPILADFLGWISKDKFNGLDGEQLLSPKDYLTSSVDVLDLTSNKST -FKRCKKSTATDQLDPTYDTDKLLKRVICERLHKRVVANSSKDSNFANQINQNPNESNSDVKLKGMKLKSR -VCYKGGPNPCWSPSKKPAKKTRIVFNEFHTIIQGLISELECVDSVDDFLVNIGKLLSEIESDLESSLQCA -DEKSAGKNADFKRYDMILETISSVLGSEIRDMVRSTCYRTKLSDFPSDIIKEESCKLIVEAVMSKVPTDF -TETETVDVTNITAGMVRARFDSKEYFSSFKFLLLWGGFNDFQGTYNHRSGPQSSFLTISNKYRGESMIST -RITNSEALQDRLLAIKFGAPTLRNVLFSTLKLDVAASEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTK -LITRVLEETSRNIGNKLPNSCLNSERKFSAFMKTIARSFFEDEVVLSMDHSKWGPYNSPLQYHLMFEAME -EIKDINGKRLDFSFAKTILKWHLFKAVETPQILAEDVMLSALDISVGRRVRNEDKERTFETFMLDSVLSN -KTLPSQIHSWFDMGQGILHHTSDLYGALASEYITRKVRDLYNLRCTTMNTSDDMVLLMHTKYKEGQGSHE -NFLNSLVEVINFIYLVSNCLNKHVSPKFCCSPLVGEFKSHFEVEATMVPLFVKFFSAAINNFRCKTPMEL -FNTCDSIVEQGVCNGLSLRLADSLKRRMVNMLGWLGYTEDPLMFPIKSRQQDWLEGCLAYRKFRSLRAYL -ESSGLSKVKLNILNLNLLNVIKDLRGSLIAPTAAYQAMVKACDLIFKGMTIWYPTQNGEFKLVVRSKLNL -GTTINETCENLFIKKLVNHYSRYSKQGLGLLIAEGLERSAFQSSVVTGFIGLSISLSGSCVRKGDGTFLS -LRDSKTITKPASEVYTSLLMQSVCAVGDWVCGEESTAGHPVNKSIYMRSSLVNTAEFRFGLDELTATVEI -CMPDLFDKYLKPIVPPEKRLLMRHSWKVRPEMEMLVECANNGLSVFDGRIDRSEEAVILVDYFDPERMVR -LNLKSEKSNPERTVRHSLRDIMNRMILNSIIETRIIDKSMVDDATKITPFKGSINELKVYKTSGIRRYIQ -QVLLGRDTFFKLKEEETTYPNEATVYYGGSEDRPLPDGTWSVKAVMGNYYSISLLCKFQKVVDEIKIETI -LITYTGRAHYQDFIFLKREIISDLEFDCPELLDTVEFRDVIVVENQPPNGKPCYLFMGSIIPIDTGRSEY -IMVSMSQSEKEEKWRLLRTYYLTRIKGELDSMPRLRFSALESMTRNVISGAGVEGNLERGFHLLDSFSEF -LNRTDRKFETMGPVLVFHKKLGICVGDPQGPMLYKNIRVTLLKDWLTKPELVVIDDDD ->AKH49017.1 L polymerase [unidentified Reptarenavirus] -MSIAPEDVELERLKGIVINIFSYERDLYNPGWISNIAGEMATGAIKLRSAIHELNCCRDTGLRFNNELHE -MNDILDDALGTHETVKLIVPDGFLVDKNNNVISVLEASTRTEPSDQTRKVQLDKLKYEGIESLLRPKGWS -LNIITISEKKPRIGRIPESLMFQLLSTSLSILSYSTDIHNWISEEDYLELKRSLTSYDFRTLTEEFKGQD -LIFDIESVGDPYEDILNWMQENEEKMPFSLNWEGPTMTESIQSFKREDWQLRLLELLRYSVTNLKLKTSH -LSSLNKLKSLNLLNTRRKQNKVYDYLALRLFVKISERQDFPKGWFPSVNDRMVTIKSVNLTMEVYESLIR -KMITSLNNLQKEVGSKQKLDELQVLTGYLENSLDGMIEPSVLKDNYMRMSFNEEIPQPKLELEILRNMPN -EPQVIGVSHEESEVIEKVALNLILSQKTRSTPRASDEEEFFFQSINGILLFYKCTGEGQRAYSLLCKDLK -EGILNYFSFNINPSRLFPLIFSARPIAKLVDQLIMLVNPITEDIDRLQPSIKKQESVNKFLTSSEYHDSQ -IEDLKARVTYLIYGILTNPTKRLQLELQSQRYYIMDALSIVHHKDLKEKVVGKCITYDEYFLRGLAHELI -NEILSCKNIGLFLKVTWCLNISYLCHLITKETPDRLSDLRDCYEKFFKPKHQFMSSLIYNCESVDELVEK -MEMNVNDFIKCDEPSFEIKPFLYEPILADFLKWISTNKFNGLDGEQMLDPKDYLTSNVDVLDLTSNKSTF -KRSAKAKGSSLDQQYDVEKLLKRVICEKLHKRVTQSNRQETKNKTEDVSESKLGKNRKSWTAGSKRKRMT -KMAFEEFSNIVQGLIVETGSEECLSDFLIQVNKSLQEIEEELENSRSSNEEGSKEQTKYSLVLGVINDLL -GSEIRDLIRSTCYSTKLSDLPTDLLNEEAYKKLVEEVMHRLPLDFPRNVDTIDVSNVSASMVVVKFEAEE -YFSSFKFLLLWAGFNNFQGTYDHRSGPQSSYLSISNKYRGESMISTRITNSEALQERLLAIKFGAPTLRN -VLFSTLNLEISNSEVGPNNKPLQFGLAIKEQVGGPRELYVGDSDTKLITRVLEETSRNIGSKLQNSCLNS -NKKFSNFMKRIARSFFEDEIVLSMDHSKWGPFNSPLQYHLMFESMESIIDVNGKKLDFSFAKTILKWHLF -KAVETPQILAEDVVLSALDISLGRRSRSVEKERNFETFMVNTVLSNKPIPSQIHSWFDMGQGILHHTSDL -YGSLASEYITQKIKDIFDLRSSTMNTSDDMVLLFHLKYKAENDNQRSLLLSVINFFTLVSNCLNKHVSPK -FCCSPLVGEFKSHFEVEATMVPLFTKFFAASINNFRCKTPMELYNTCDTIVEQGICNGMSLELADSVRSR -MVEMLGWLGYTDDPLMMPVELRQQDWLEGCLAYRKLRSVEAWLIQKGVSKIKLDVLKLELLKIVRELRDY -TIAPSTAYNKMVEVSKSTLGDIAQWFPTLYGDFKLVVRSKLNLGTTINETCENLLIKKLINHYSRFSKQG -LGLLIAEGLERSAFQSSVVTGFIGLSISLSGQCVRKGDGTFLTLRESKCINKLVAEVFPSLVMQSICAVG -DWVCGSETEPDKKNNKSIYMRTSLLNTADFRFGLDELTAAIEVSMPDLFDKYLRDIVPPDKRLLMRHSWK -IRPEMELLIECAKDGLSIFDGRIDRQEEAVVLVDYFKPIRLIRRIMKHDKINPERTSRKSIQSIMTRMVL -NTILEPKIIDKTLVLDATKQTPFVGSVDDLKNHKSSGIGRYIQQVLLGRETHYREREDEPERIQLKNASV -YGEGDPKRPLPDGTWIRGARFGSHHSIKLQLCFQQVSSDLKVQASLITYEGRAHFQDFMYLKRSMIANTD -FEEPEMADTIEFEDVVIVEKPRPSGRPCFLFMGSVIPLDSGISASATTQMSRDEWDELWRLVRTYFITRM -EGEISCLPRARFNSLWWMVRRVFGVFEVEMVVQMGFHLLDQFLEFLTHTDRVFVTRGPTMVFHRTRGILV -SSPIGSLSYKGHRLMTYEEWKSGGPGLEELED diff --git a/seq/clusters_seq/cluster_195 b/seq/clusters_seq/cluster_195 deleted file mode 100644 index bab10e8..0000000 --- a/seq/clusters_seq/cluster_195 +++ /dev/null @@ -1,135 +0,0 @@ ->YP_009551533.1 NSP5 [Bat rotavirus] -MSLSIDVTSLPSITSSIYKNESSPASSTLSGKSIGRNEQYVSADADAFSKYMLSRSPEDIGPSDSASNDP -LTSFSIKSNAVKTNADAGVSMDSSTQSRPSSSVGCDQVDFSLSKGVKISANLDSNLSISTEVKEPKLKAE -KKSRKHYPRIEAESDSEEFVLDDSDSDDGKCKNCKYKKKYFALRLKMKKVAIQLIEDV - ->YP_002302224.1 NSP5 [Rotavirus A] -MSLSIDVTSLPSIPSTIYKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSAQSRPSSNVGCDQVDFSLNKGLKVKANLDSSISISTDTKKEKSKQN -HKSRKHYPRIEAESDSDDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|B3SRY1.1|NSP5_ROTWI RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSATSTLSGKSIGRSEQYISPDVEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQLDFSLNKGINVSANLDSCISISTDHKKEKSKKD -KSRKHYPRIEADSDSEDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|B2BRG5.1|NSP5_ROTW3 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKNESSSTTSTLSGKSIGRSEQYISPDAEAFSKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSFNKGIKMNANLDSSISISTISKKEKSKSD -HKSRKHYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKRKYFALRMRMKQVAMQLIEDL - ->sp|B3SRX3.1|NSP5_ROTHT RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQLDFSLTKGINVSANLDSCISISTDHKKEKSKKD -KSRKYYPKIEADSDSEDYVLDDSDSDDGKCKNCKYKKKYFVLRMRMKQVAMQLIEDL - ->sp|B3SRV7.1|NSP5_ROTHP RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSLEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQLDFSLTKGVNVSANLDSCISISTDHKKEKSKKD -KSRKHYPRIEADSDSEDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKRVAMQLIEDL - ->sp|A3DSL0.1|NSP5_ROTHL RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRSSSNVGCDQLDFSLTKGINVNANLESCISISTDHKKEKSKKD -KSRKHYPRIEADSDSEDYILDDSDSDDGKCKNCKYKKKYFALRMRMKRVAMQLIEDL - ->sp|B3SRR7.1|NSP5_ROTH7 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSFNKGIKMNANLDSSISISTNSKKEKSKNE -HKSRKHYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKRKYFALRMRMKQVAMQLIEDL - ->sp|B3SRS5.1|NSP5_ROTAD RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRNEQYVSSDIEAFNKYMLSKSLEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQMDFSLTKGINVSASLDSCVSISTNHKKEKSKKD -KSRKHYPRIEADSDSEDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|P04516.2|NSP5_ROTHW RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRNEQYVSSDIEAFNKYMLSKSPEDIGPSDSASNNP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQMDFSLTKGINVSASLDSCVSISTNHKKEKSKKD -KSRKHYPRIEADSDYEDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|Q3ZK65.1|NSP5_ROT41 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRNELYVSPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSHAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSFNKAVKVNANLDSSISISTDQKREKSKKD -HKNGKHYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKRKYFALRMRMKHVAMQLIEDL - ->sp|Q9QNA5.1|NSP5_ROTHK RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRNEQYVSPDIDAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQMDFSLNKGINVSASLDSCVSISTNQKKEKSKKD -KSRKHYPRIEADSDSEDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|Q9E8F2.1|NSP5_ROTRF RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKNESSSTTSTLSGKSIGRSEQYISPDAEAFSKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSFNKGISMNANLDSSISISTSSKKEKSKSD -HKSRKHYPKIEAESDSDDYILDDSDSDDGKCKNCKYKRKYFALRMRMKQVAMQLIEDL - ->sp|Q993T4.1|NSP5_ROTRH RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKHESSSTTSTLSGKSIGRSEQYVSPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSLSKGIKVNANLDSSISVSTVSKKEKSKSD -HKNRKHYPRIEADSDSDEYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|Q910F1.1|NSP5_ROTAM RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSNIFKNESSSTTSTLSGKSIGRNEQYVSSDIEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQMDFSLTKGINVSASLDSCVSISTNHKKEKSKKD -KSRKHYPRIEADSDSEDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|Q8V9C4.1|NSP5_ROTHJ RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKNESSSTTSTLSGKSIGRSEQYISPDAEAFSKYMLSKSPEDIGPSDSASNDP -LTSFSNRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSFNKGIKVSANLDSSVSISTNVKKEKSKND -HRSRKHYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKRKYFALRMRMKRVAMQLIEDL - ->sp|Q8V9C3.1|NSP5_ROTH3 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKNESSSTTSTLSGKSIGRSEQYISPDAEAFSKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSLTQSRPSSNVGCDQVDFSLNKGIKVSANLDSSVSISTNVKKEKSKND -HRSRKHYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKRKYFALRMRMKQVAMQLIEDL - ->sp|Q80IQ5.1|NSP5_ROTKU RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -SLSIDVTSLPSISSSVYKNESFSTTSTISGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDPL -TSFSIRSNAVKTNADAGVSMDSSAQSRPSSDIGYDQMDFSLNKGIKIDATVDSSISISTTSKKEKSKQEN -KNKYKKCYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKKKYFALRLRMKQVAMQLIKDL - ->sp|P18036.2|NSP5_ROTHB RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKHESSSTTSTISGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNPDAGVSMDSSTQSRPSSHIGCDQVDFSLNRGIKIDAMVDSSISISTKSKKEKSKHE -TKNRKCYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKKKYFALRLRMKQVAMQLIENL - ->sp|P18037.2|NSP5_ROTH5 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSVYKNESSSTTSTISGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSAQSRPSSDIGYDQMDFSLNKGIKIDATVDSSISISTTSQKEKSKQE -NKNKYKKCYPKIEAESDSDDYILDDSDSDDGKCKNCKYKKKYFALRLRMKQVAMQLIKDL - ->sp|Q03054.1|NSP5_ROTPY RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSLTKGINVSANLDSCISISTDHKKEKSKKD -KSRKHYPRIEADSDSEDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL - ->sp|P17467.1|NSP5_ROTRA RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNKSSSTASTLSGKSIGRNELYVSPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSHAVKTNADAGVSMDSSTQSRPSSNVGCDKVDFSFNKAMKVNANLDLSISISTDQKREKSKKD -HKNKKCYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKRKYFALRMRMKHVAMQLIEDL - ->sp|P19715.1|NSP5_ROTP5 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIFKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSNVGCDQVDFSLTKGINVSANLDSCVSISTDNKKEKSKKD -KSRKHYPRIEADSDSEDYVLDDSDSDDGKCKNCKYKKRCFALRVRMKQVAMQLIEDL - ->sp|P23048.1|NSP5_ROTHD RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTGLPSISSSVYKNESSSTTSTISGKSIGRSEQYISPDAEAFRKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSAQSRPSSDIGYDQMDFSLNKGIKFDATVDSSISISTTSKKEKSKNK -NKYKKCYPKIEAESDSDDYILDDSDSDDGKCKNCKYKKKYFALRLRMKQVAMQLIKDL - ->sp|P23047.1|NSP5_ROTH6 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSISSSIYKHESSSTTSTISGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSTQSRPSSDIGCDQVDFSLNRGIKIDAMVDSSISISTKSKKEKSKHE -TKNRKCYPKIEAESDSDDYVLDDSDSDDGKCKNCKYKKKYFALRLRMKQVAMQLIENL - ->sp|P23046.1|NSP5_ROTBV RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSLSIDVTSLPSFSSSIYKNESSATASTLSGKSIGRSVQYVSPDAEAFSKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSVQSRPSINVGCDQVDFSFNKGIKVNANLDSSISVSTNSRKEKSKGD -RKSRKHYPKIEAESDSDEYVLDDSDSDDGKCRNCKYKRKYFALRMRMKQVAMQLIEDL - ->sp|P04515.1|NSP5_ROTBU RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MYLSIDVTSLPSISSSIYKNESSSTASTLSGKSIGRSEQYVSPDAEASSKYMLSKSPEDIGPSDSASNDP -LTSFSIRSNAVKTNADAGVSMDSSVQSRPSSNVGCDQVDFSFNKGIKVNANLDSSISVSTNSRKEKSKND -HKSRKHYPRIEAESDSDDYVLDDSDSDDGKCKNCKYKRKYFALRMRMKQVAMQLIEDL - diff --git a/seq/clusters_seq/cluster_196 b/seq/clusters_seq/cluster_196 deleted file mode 100644 index c72d6d3..0000000 --- a/seq/clusters_seq/cluster_196 +++ /dev/null @@ -1,353 +0,0 @@ ->YP_009552848.1 P1-P2 polyprotein [Apple luteovirus 1] -MLFDDLICASFKVVKDFISHIYNNLRTVYKKFKVWLWELQGKFSQHDAFVDACYGYMDDVEQFEWDCYSA -YNDADVELALARLHLDTVLKAPKVTGWPVPTRPDGAPTTTEVPKYPTLHELAEKIRTSVRRERVFQAAGE -ASGDKDAEPESEVPEGWHVDVWNKFQDEERTYWENYYANPIELAPQVIPVNPGVAEPPMPKPIYTERVAF -TEDELFKAEARLTRAKRSYSSTIEDIKDQYEEEKGEGYFGRFFNTFEQRMHYVKRARSRRAKTDQLCHKV -QGKLSQVAELPDFYELCTVREVETGEFHTVMDEGEEIKRPIVKVSRSIKPECRRDAQSYIRKYIRSKNNR -VGADEIGVATINRYVAQFADDMKLDMASSEFLARTALTIVPVVTKQEMVQAMVIHSPAARKARADLAALE -GQDFLEGLLTASGFESPFSILGLPEIVVRSGCLPRKVKSRISYLSQFSLGLDYRVPNPSFHNALVAVERR -VFTVGKGDDIVRPPKPRRNIFEERLGYFRDKIVADVGPLRTCTVAQLVSTYKSSKRRQYELAAFKLRKKP -VCKEDADVTAFLKMEKHWMCKAIAPRLICPRSKRYNIELGRRLKLNEKRFMHAIDNVFGSATVLSGYDNF -KQGRLIAGKWNKFRNPVAIGVDASRFDQHVSTEALKWEHSIYNKVFGDPLLRDLLDWQTVNKCSLFVEDK -MLRFKVKGHRMSGDINTSMGNKLIMCGMMHNYFRELVVKAELCNNGDDCVIICERKDEKKFDGLGKWFWE -YGFNMAIEPPVYSLAKLEFCQSRPVCINGKYRMVRRPDSIAKDANTMLSMQNAEDVKSFMSATGQCGMIL -NSGVPILDAYHSNLYRGSGYKKVSESFIDRVISYGTDERLQGRRTRVEEPVTMENRLSYWDAFGVDPQTQ -VLVERYLNNLRIGCEPLGVKIVTPLLTSTLLEIPYFKPLNLAP - ->YP_009551922.1 RNA dependent RNA polymerase P1-P2 fusion [Apple-associated luteovirus] -MFFDELITASIKVVRDFIAYIYNNLKNVYKRFKMWLWELQGKFSQHDAFADMCYGYMDDVEEFEWELQTQ -LDNAETQMLLAEKHLQAMLKAPKITGWPTPTRPAGAIPAVPVKTRTLRELADEVRARQGIDTGGHATMDV -PSPSAIPLPDLSDEDLRFILSDTIETDPEHVVVFPPGYEEKEPRVLELPSSPKEHIIQEPIATLGQAYTP -EDIEKARAEFARARLDYTVCLEETLDAYEAEKGDGYFGRFFNTVAHRMAYIKKCKARRAKTDLLAHKISN -RVRSAPSVAEFHSLCNVVEEPTGEYKNIHKDEGENLREEVMKVVRYIKPDKVREAQQYIRHYVRAKNNRL -SADEVSSATINRYVQQFAEDNKLSLSSTHLLIRAALTMVPVITKEDMMTAMVIHGPAARKARSDLSTLEG -GDFLGGLLTAAGFESPFSILGLSAIVIRDGARPRKISSKINFLSDLSLGLSYQVPNPSLHNALVAVERRV -FTVGKGQDIVLPPRARREVFDKLNYFRDFVVKNVGYCKTHSPMSLAQTYKSGKRLQYIHAVLQLRRKPIC -RQDANVTAFLKMEKHLMCKDIAPRLICPRSKRYNVELGRRLKFSEKKFMHAIDNIFQSPTVLSGYDNFKQ -GEIIARKWAKFQSPVAIGVDASRFDQHVGEQALRWEHSIYNGVFGDPQLREILDWQVLNKVSLFVEDKML -RFKVRGHRMSGDINTSMGNKLIMCGMMHNYFRELGVKAELCNNGDDCVIICEREDEHLFSGLGKWFLEYG -FSMAIEKPVYHLEQLEFCQSKPVCVNGRYRMVRKCESMAKDAHSMLSMRNKEDVKSFMSATGQCGMILNS -GVPVLDSFHKCLYRCSGFKKVSEGYIEKVISYGTDERLQGRRTRVEEPVTLENRLSYWHAFGVDPQTQVL -VERYLDNLQVGIEPLGVKILTPLLDRILLSIPDYPVPRLRQ - ->YP_009664865.1 RNA-dependent RNA polymerase P1-P2 fusion protein, partial [Barley yellow dwarf virus SGV] -NGDDCVIITERENEHLFXGMYDHFLHYGFNMVTEQPVYELEKLEFCQSRPVRIGGRYRMVRRPDCMGKDS -TTLLSMLNESDVKSYMSAVAQCGLVLNAGVPILESFYKCLFRSSGYKKVSEEYIQNVISYGTDERLQGRR -TFEETPITTDNRMSYWESFGVDPKIQQIVERYFDNLTVSAQLQSVKVTTPHLQSILLSIPENTYQHHY - ->YP_009664860.1 RNA-dependent RNA polymerase P1-P2 fusion, partial [Barley yellow dwarf virus kerIII] -VKDFISHCYSRLRSIYYSLKRWLWEIQGKFKAHDAFVNMCYGHMSDIEDFEIPLAGEFEVREGEMEIARA -KLALMIAQRSEIGVTEAYGKYASLAGCVHVPVDYKPSIKELEAAAESLEGHISEHLKAAKEASKIYIKEK -GVGFISSFNSLKARVQHVKVQTLLEKENRSAAEKIKAQLFAKEDIAELREFTAVTKEKNGLTKVVTETDD -EGKSYKKEEPVEVEVRRIKDTPEDREKAAAWIRAYIKIKNSSLSADELSMATIARYVDTLGERELLDMDS -RIYLKKCAFVLVPLPTPEDIDIQMAINSPAARAKREQLAILRAEGFLEGLCTEVGFESPFSILGLPDISV -TDGARLRKQSSCIHYLSQTSLGLAFQAPNASLHNALVAIERRVFTVGKGDEAVFPPRPEYSDIFTDSMSY -FSQAVVNKTGYCKVFPEPLLALSYRAGKRSQYFKAIESLKIKPYEKKDSHVTAFLKKEKHWMTKPIAPRL -ICPRSKRYNVIVGTRLKFNEKRIMHAIDSVFESPTVLSGYDSFQQGKIIANKWRKFSNPVAIGVDASRFD -QHVSEQALKWEHSIYNDLFNDPLLKEALEHQCDNNISMFVEDKMLRFRVRGHRMSGDINTSMGNKLIMCG -MMHEYFKSLDVRAELCNNGDDCVIICERKDEKKFKGMYEHFLSYGFNMVTEEPVYKLTKLEFCQSRPVQI -YGKFQMVRRPDCIAKDSHTLLSMLGPEDVKSYMSAVAQCGLVLNSGVPILESFYKCLYRSSGYKKVSEEY -IKNVISYGTEERLQGRRTYKETPITHMSRMSYWESFGVDPLNQKIVERYFDKLVVSAELQRVKVTTPHLQ -SILLSIPEKTPPSTQ - ->NP_150431.3 replicase [Soybean dwarf virus] -MFNFDSLVSATAKVVKDFIHFCYNRARHVYYALKRWLWELQGVFAAHDAFVDMCYDAMYGVEEFEWELQK -QFSSAEHDVLIAKHEFERLLKDGAPLRAWPQPCAPIGSFRSSDDFQEAAREAENLSLMDPEPSLIKGSGD -YSLDNPNRIEKFINLVQKKEVLSATEQMIKHAYEEHIGEAPFGKWFNTLPSRMNYIKRAASKKAKAAKRS -NSVRQMVNEVNVIPDFISICDVVQVDTGEKLPPKKDKDGEPLEPEPKMKMMRRVRPEHYGDARSYIRQHI -RNNNMRLIDGSDVSHATINRYALKFCEDLELDMTSTAMLVDYAMTMVPIPLKNDIERAKIVHSPAARQLR -QDLGVLNAEVFLEGLCSDSGFESPFSILGLPEIVVRSGATPRKSRSVISFLSQFTLGLDYQCPNPSLHNA -LVAVERRVFTVGKGNEVVLPYKNKPGIFTNLNYFRDSIVNKVGCPRTHTPEELAATYHSGKRSLYNAAVQ -SLKKKAVERSDANVTAFLKMEKHLMSKKIAPRLICPRNKRYNVELGRRLKFNEKKFMHAIDSTFESPTVL -SGYDSFRVGKIIANKWSKFKRPVAIGVDASRFDQHVGVEALQWEHSIYNGAFKDPILKELLHWQTENRIM -LFVEDKILKFKVKGHRMSGDINTSSGNKLIMCGMMHYYFKTLGVKAELCNNGDDCVIICERKDENKFQHM -HSWFKDYGFDMQIETPVYKIGQIEFCQSKPVKINGYYRMVRKPESISKDAHSLISMASAEDVKTFMSATA -QCGMILNSGVPVLDAYHKCLFKASGYKKVSQEAIERIVSFGTQDKLGLRKERVEEPITMDNRLSYWESSG -VDPQTQVLVERYFDNLLVHIEPRGVKRLTPLLDKTLLSIASVARNSVSLPILSK - ->NP_813789.2 RNA-dependent RNA polymerase P1-P2 fusion protein [Barley yellow dwarf virus GAV] -MFFEILIGASAKAVKDFISHCYSRLKSIYYSFKRWLMEISGQFKAHDAFVNMCFGHMADIEDFEAELAEE -FAEREDEVEEARSLLKLLVAQKSKSGVTEAWNDFFLKSRGGVYAPLSCEPTKQELEVKSEKLERLLDEQH -QFEVRAAKKYIKEKGRGFINCWNDLRSRLRLVKDVKDEAKDNAKVAAKIGAEMFAPVDVQDLYSFTEVKK -VETGLTKEVVKEVNGEETKRLEPILEEVRSIKDTAEARDAASTWITETVKLKNSTLNADELSLATIARYV -ENVGDKFKLDIASKTYLKQCATMSVPIPTTKDIKMKMVLQSPEARARRERMDVLDSVGFLEGLCTASGFE -SPFPILGLPEIAVTDGARLRKVSSNIRYLSQTHLGLVYKAPNASLHNALVAVERRVFTVGKGDEPIYPPR -PEHDIFTDTMDYFGKSIIDEVGYCKTYPAQLLANSYSAGKRAMYHKAIASLKTTPYQQRDANVQAFLKKE -KHWMTKDIAPRLICPRSKRYNIILGTRLKFNEKKIMHAIDSVFGSPTVLSGYDNFKQGRIIAKKWQKFAC -PVAIGVDASRFDQHVSEQALKWEHGIYNGIFGDSELALALEHQISNNIKMFVEDKMLRFKVRGHRMSGDI -NTSMGNKLIMCGTMHAYFKKLGVEAELCNNGDDCVIITDRANEKLFDGMYDHFLQYGFNMVTEKPVYELE -QLEFCQSKPVSINGKYRMVRRPDSIGKDSTTLLSMLNQSDVKSYMSAVAQCGLVLNAGVPILESFYKCLY -RSSGYKKVSDEFIKNVISYGTDKRLQGRRTFEDTPITNHSRMSYWESFGVDPKIQQIVERYYDNLTVSAQ -LQSVKVTTPHLQSILLSIPENHSQNDY - ->YP_009380510.1 RNA dependent RNA polymerase P1-P2 fusion [Peach associated luteovirus] -MLFDTLIYASAKVVKDFVSYLYSNLKFVYKSLKAWLLKLQGKFIQHDSFVDMVYGFMDDVEEFEWEAQID -YHDAHLQLALAQQKLESMQNAKGPLPEWPQPMRPLNADPIGPPTLIDGEVLPDPIVDKAKFASDQCNVVV -QNAFDEAEVLGQTSILCHAKLKVCQVEDEIKEKYAQEKGELYLGRFFNTLAKRMDYVKRCASRRLESSRL -AAKVQKQVFQVENVPDFESMCIMEEVATGETKEVKGGKDEDEPKTINIKKWVRRLRLDDPVVQRDACKFI -RRHVVHHNCRLSSDEVSVMTINRYVSQFCDLMKLDQNSTEYLMRAAMVMVPIVTKQDLATTMVIHSPAAR -DLRAMKDTVESAVFLDGLCHASGFESPFSILGLPDIVVRTGAVPRKSRSVISYLSQFSLGLDFRVPNPSL -HNALVAVERRVFTVGKGTDVVLPLVPSHGIFNKLAYFRDSIIKEVGFCKVHSPMALAMTYQAQKRTQYIH -AVLSLRRSPVQVKDSFVTAFLKMEKHWLCKDIAPRLICPRSKRYNVELGRRLKFAEKKFMHAIDNVFGSP -TVLSGYDNFKVGQIIARKWGMFQRPVAIGVDASRFDQHVSKAALKFEHSIYNGVFGDPQLKELLKWQTTN -KVSLFVEDKMLRFKVVGHRMSGDINTAMGNKLIMCAMMHNYFRELEVKAELCNNGDDCVIICEQEDEWKF -SRMSEWFNEYGFNMKVEAPVYILESLEFCQSHPVNIGGSYRMVRRPDSISKDAHSMLSMRNKEDVRSFIS -ATGQCGMILNSGVPILDAYHNALYRASGYKKVSEEYIQKVISYGTDERLQGRRTRVEEPVTMENRLSYWH -AFGVDPQTQVLVERYFNDLQVSIELRGVKIVTPLLQSILLNIPQFKPPPI - ->NP_620674.3 RDRP frameshift protein [Sweet clover necrotic mosaic virus] -MGFISFSLFDVDKLLVWVSKFNPGKILSSICNLGVDCWNRFRKWFFGLNFDAHMWAVDAFMLLMPFYTEQ -MERVVDDFCSETQESKLEDCLELDPSVNEFFDEEVYKRDEEGVMVLQRTSSRKHIKRVRAGMMQAAIKAV -EKRITNRHTIFGDDMGKVDEAAVRATASDICGEFKINEHHTNVLVYAAAYLAMTPDQRSIDSVKLAYNPK -SQARRTLVTAVRENKAVAGFKSLEDFLGGPLSFPVEDAPYPILGIPEIRVAEKRASRVMKSKRVVGLPAV -SAGLKVCVHQTSLHNMIVSLERRVFRVKNAAGELVVPPKPIQNAFDSISYFRDAWLRKLSHKGQVLKSSI -ADVVACYSSEKRKLYQKAADSLEKKPVQWRDSKVQAFIKVEKLECDTKDPVPRTIQPRSKRYNLVIGQYL -RLNEKKMLDAIDDVFGEKTVLSGLDNRAQGRAIAHKWRKYQNPIGIGLDASRFDQHCSVDALKFEHTFYK -ECFPGDQQLEQLLKWQLTNTGSALLPTGELVRYRTKVGRMSGDINTGLGNKILMCSMVHAFLKETGVRAS -LANNGDDCVLFCEKGDYEQINRNLEQWFLCRGFEMTVEKPVDVLEKVVFCRSQPVCIATQWAMVRQLGSL -SRDCFSTQDWLNPKTFKDAMNALGQCNGIINDGVPIHMAQAKLMHKSAETGSSIWRSLHKQMEYRWRDRL -GKRTNLLWSEVEDATRLSYFRAFSIEPYVQRIVEEYLSQVEVTCEGRETNVLPTHYSRIHKDLIKSR - ->YP_009342339.1 hypothetical protein 2 [Wuhan insect virus 20] -MELANTYSSGKKAQYISAVLNLRKRPVEQKDSYVTAFLKMEKHWMCKKIAPRLICPRTKRYNVELGRRLK -FNEKKFMHAIDAVFGSPTVLSGYDNFNVGKIIKKKWDKFNEPVAIGVDASRFDQHVSEQALRWEHSIYNK -VFHDPELALLLKWQLTNYVSLFVEDRMLKFKVKGHRMSGDINTSMGNKLIMCGMMHKYIKDLGVKAELCN -NGDDCVIICERSDERKFDGMQDWFLNFGFNMVTEEPVYEIEKLEFCQSKPVSVGGKYRMVRRPDSISKDS -HSLLSMKSREDTKSFMSATAQCGLVLNSGVPILEAFHRSMYRGSGYKKISDAYLKKVISYGTDERLGTRR -SWNDEPVTMENRLSYWKAFGIDPNTQELVERYFDNLDVCIESRGVKSLTPFLQSIVLNIPQHPRFC - ->YP_009342338.1 hypothetical protein 1 [Wuhan insect virus 20] -MFDQLLTASVRVIRDFISFCYNKAGSIYCSLKKWLWDLQGKFQQYDAFVDLCYGFMDDVEEAEFERLQVY -EDSLMEESLARKELSRILALPVAGCWPMPTRPPGSVNCEEYGYESALPADHQALIPEENVQAVKPVPLKL -QPKTAVSQEERETAFLNFAAATAKFDQIKETIKDLYAEERGPTPFGRWFGTLKQRMATVKQAKIRRERSK -VYAAKVEQEMAYKENIPELLALTICVEVDTGEPLPVKKDEQGAVRAGFSPGTKKVLMRQISSSPSDRKDA -ANWIRHYVRNKNRRLSSDEVSHATIQRYVEQICSDLKLDLSSTTFLLDKALMTVPVPTKRDLKSAMIVHS -PAACSLRRELAVLNSSVF - ->YP_009342259.1 hypothetical protein 2 [Wenzhou tombus-like virus 6] -MIKPIAPRLICPRTKRYNVILGTRLKFNEKRIMRAIDSVFGSPTVLSSYDSFAQGRIIARKWAMFNNPVA -IGVDASRFDQHVSVQALQWEHSIYNAIFHDPELREALSHQLKNNISLFVEDKMIRFKVDGHRMSGDINTS -MGNKLIMCGLMHHYFKTLGVRAELCNNGDDCVIICENKDKHLFDNIYEHFLQFGFNMVTEPVVECLEKLE -FCQSRPICVNGAWRMVRRPDSIAKDSHTLLSMLNAEDVKSFMSATAQCGLVLNSGVPILEAFYRCLYRSS -GYKKVTEEYIKAVIGYGNEEKLQGRRNPVSIPVTDSTRMSYWESFGVDPKTQQIIERYYDDLTVSTQLQP -VKLTTPHLQSILLEIPQHPTI - ->YP_009342258.1 hypothetical protein 1 [Wenzhou tombus-like virus 6] -MFDLLIGASTKAIKDFLNYAFNKVRHIYYSFKRWLWELAGAFTQHDAFVDLCYGHMADIEEFEYPLAEEY -LTVEEQHKLAMSYLEMLQKNYELEDEHINRAAERTLERSLWPSKPVDEWPCATNAEGVFTTGPQSSPYIQ -KPQSSRLAMAVQKATDTMVDLHHKSLDVQCEYTKHKGLGYFSWWNTLPSRMRYVHRMAIKRSANEEAAKK -LQSQVNRFENLPELLALTVVKQEPTGKVKISKKLVKKTEKIPSLDTEIEEEVQVEVEDEVEEPIMRDVRS -LIDSPEARRDAARWMRAYLKMKNHTLGADALSQATIGKYIADIGEKLELDMASRQYLLHQSLVATAIPTH -SEITREMIIQSPAARELRHRVAVLNAEGF - ->YP_009316228.1 P1-P2 polyprotein [Cherry associated luteovirus] -MLFDTLLFASAKLVKDFVSYLYANLKFVYKSLKRWLLELQGKFRQHDSFVDMVYGFMDDVEDFEFDCVVD -LEAAELQFSKAKYMLEQMEKARGVLPEWPQPMRPLGADPIGPPTLIDGEVIPDPIVDRVKFENDQAHVIV -QNAFSEAEILGQTSIFCHAKYDLIQAEERIRDKYAREKGEHYFGRFINTFSSRLAYVKKCAARRIESSRL -AEKVQKQVFQVDNVPDFEAMCDMVQIETGETKEVKGGEDGSEPTTVPIKKWVRRLRLDDAKVKKDACKFI -RRYVIHHNCRLNSDEVSVMTINRYVAQFCDLFKLDQNSTDYLMKAALLMVPIVTKEDLVSTMVIHSPAAR -DLRAMKDTVESGVFLDGLCTASGFESPFSILGLPDIVVRTGAVPRKSRSCINYLSQFSLGLDFRVPNPSL -HNALVAVERRVFTVGKGEDVILPFSPLPRIFGKLAYFRDAIIKEVGFCKTHSPMALAMTYQSQKRTQYIH -AVLSLRRSPVQVKDSFVTAFLKMEKHWLCKDIAPRLICPRSKRYNVELGRRLKFAEKKFMHAIDNVFGSP -TVLSGYDNFKVGRLIEQKWRKFRRPVAIGVDASRFDQHVSKQALKWEHSIYNGVFGDPQLKDLLKWQLTN -KVSLFVEDKMLRFKVTGHRMSGDINTAMGNKLIMCALMHNYFRELDVKAELCNNGDDCVIICEQEDEAKF -SLMSAWFNEYGFNMKIEDPVYELEALEFCQSHPVKIGGSYRMVRRPDSISKDAHSMLSMKNXEDVKSFIS -ATGQCGMILNSGVPILDAYHNALYRASGYKKVSEEYIQKVISYGTDERLQGRRTRVEEPVTMENRLSYWH -AFGVDPQTQVLVERYFNDLQVSIELRGVKIVTPLLQSILLNIPXXXPPPI - ->YP_009143309.1 RNA dependent RNA polymerase P1-P2 fusion protein [Nectarine stem pitting associated virus] -MIFDLLISASTKAIKDFISFLYSKCRNIYCRFKKWLMDFSEYDAFVAECFETMFEVETFQEEVVDAFIRI -EDELAAAEAKLKEVHNPYLWGKISEYIFPSRPEDVEVAKLQVYAKEVQMRVFIDDTLDDMEEAVSGTMSE -SQVEALALTPNQLKARLKKAAKHRRQKQAAKKMREAMDKVEKIAELSDWTTFEHVEVVDQKHSHPAREEQ -GEDGKKIIPAKIAEKWIWVRNIKTGEEKRARHFIRAYVMSKNLRLRGDDVSKVTIQRYVEQFCDANDFSL -EAKTQLIKVALMMVPVPTKTEIDMAMVVHCPRAEALRHQLECIESRVFLDGLGSDSGFLSPFSLLGLPEI -VVHSGAIPRRNSCNISFLTQLTLGLDYQSPSPVLHNALVAVERRVFTVGKGDEIVLPPQATRGIFGKLAY -FRDRIVEDVGYCKTYSPMELASTYHSSKRAAYTRAVLSLRHSPVNQHDAQVTAFLKMEKHRMGVKAIAPR -MIAPRSKRYNVELGRRLKFNEKKFMNAIDHVFGSKTVLSGYDNRGVGKIIASKWKKFQNPVAIGVDASRF -DQHCSVEALKFEHSIYNAVFGDAELAQLLNWQLDNKMKMFVEDKILKYNVKGHRCSGDINTAMGNKLLMC -AMMHNYFREIEVNAELCNNGDDCVIICERDDESKFSNIYNWFLDYGFNMVCGPSVYKLEELEFCQGKPVF -INGRCRMVRKPDSMSKDVHSLLSMQNQEDVKSFMSATAQCGLVLNSGVPILEAFHRCLYRNSGYKKVSEA -FLKRCISYGNDERLGGRRTAREEPVTLENRLSYWRSFGVDPRTQFIVEEYLDNLVIDTIPRGVKRLTPLL -SAIVLNA - ->NP_620523.2 88 kDa protein [Red clover necrotic mosaic virus] -MGFINLSLFDVDKLMVWVSKFNPGKILSAICNLGIDCWNRFRKWFFGLNFDAHMWAVDAFIPLMPHYTEQ -MERVVDDFCSETPESKLEDCLELDTSVNEFFDEEVYKKDEEGVMKLQRSAARKHIKRVRPGMMQAAIKAV -ETRIRNRHTIFGDDMGKVDEAAVRATASDICGEFKINEHHTNALVYAAAYLAMTPDQRSIDSVKLAYNPK -SQARRTLVSAIRENKAVAGFKSLEDFLGGPLSFPVEDAPYPILGIPEIRVAEKRASRVMKSKRVVGLPAV -SAGLKVCVHQTSLHNMIVSLERRVFRVKNSAGELVVPPKPIQNAFDSISYFREEWLRKLSHKGQILKSSL -ADVVACYSSEKRKLYQKAADSLEKKPVQWRDSKVQAFIKVEKLECDTKDPVPRTIQPRSKRYNLAIGQYL -RLNEKKMLDSIDDVFKEKTVLSGLDNRAQGRAIAHKWRKYQNPIGIGLDASRFDQHCSVDALKFEQTFYK -ACFPGDQQLETLLKWQLSNTGSALLPTGELVRYRTKGCRMSGDINTGLGNKILMCSMVHAFLKETGVRAS -LANNGDDCVLFCEKGDYEQINRNLEQWFLCRGFEMTVEKPVDVLEKVAFCRSQPVCIATQWAMVRQLGSL -SRDCFSTQDWLNPKTFKDAMNALGQCNGIINDGVPIHMAQAKLMHRIGGNRKFNLDALHKQMEYSWRDRL -GKRTNLLWSEVEDATRLSYFRAFGIEPYIQRIVEEYFSQVEITCEGRSTNVLPTHYSRIHKDLIKAR - ->YP_008083724.1 RNA-dependent RNA polymerase P1-P2 fusion [Barley yellow dwarf virus kerII] -MVFFELLIGASVKAVKDFISHCYSRLKSIYYSLKRWLWELQGKFKAHDAFVNMCYGHMSDIEDFEIPLAG -EFEVREGDLEIARAKLALLIAQHSDIGVTEAYGKYASLTGSIPVPEDYKPSVKELGSAADSVESLISEHL -QAAREASKIYIKEKGVGFINSFNSLKARFKHVKEQTLLFKHNEEAASKIKQQLFAVENIDELHNFTEVTQ -EENGLKKTIIKEVNGEEQYFEMPIKVDVRRIKDTPVDREKAATWIRAYIKAKNSSLTADELSFATIPKYI -ENLAAKHDLSAESRTYLTKCALIMVPLPTSEEIAIKMTVQSPAARSRREQIEILDARGFLDGLCSETGFE -SPFSELGLPEISVTDGARIRKQSSKIHFLSQTSLGLVFQAPNASLHNALVAVERRVFTVGKGNEITLPPR -PELDIFTESMKYFSDEIVNQVGCCKVFSPEFLAFSYSSGKRSQYLKAIESLKMKPYEEKDSHVTAFLKKE -KHWMTKPIAPRLICPRTKRYNIILGTHLKFNEKKIMHAIDCVFGSPTVLSGFDSFQQGKVIARKWRKFSN -PVAIGVDASRFDQHVSEQALMWEHSIYNDIFNDPFLKTALSHQLNNNILMFVDDKMLRFKVKGHRMSGDI -NTSMGNKLIMCGMMHAYFKSLNVDAELCNNGDDCVIICERKDEAKFAGMYDFFLKYGFNLVTEHPVYELE -KLEFCQSRPVCVNGKYRMVRRPDCISKDSHTLLSMLGQEDVKSYMSAVAQCGLVLNSGVPILESFYECLY -RSSGYKKVSEEYIKNVISYGTEERLQGRRARQIEPITLGTRLSYWETFGVDPRTQEIVERYFNSLTISTQ -LQRVKVTTPHMQSILLSIPEKNPPATQ - ->YP_001949736.1 RNA-dependent RNA polymerase P1-P2 fusion protein [Rose spring dwarf-associated virus] -MLLFEGLLTASARVVKDFISYIYSRLKSVYYSLKRWLWTLQGKFQPHDAFVAMVYGYMDDVEDFEVPLAL -EYEVTERELKEAQDELNRLESKLRFTSFNPWVNLVKKTFTREVAPEPFWPVPSRPDCDDPCISSPLIEDE -EEVSGPEPEPIFSQQKLDERLCMEARMDVLNSEVKFDKVRERINIVYQEVRGARPFGKIFNTMHQRMKHV -GKCLKRRSEASARSVEFEKRVNAKTDIADFHSLCEVEEVETGEFHPVKQDADGEDLPRLPKIEVVRRIKD -NCHRPAATWIREYVRCKNSQLSADEVSHATITRYVEQFCEKNKMDMDSRVFLMQRALLMVPIPKPIDIDI -AMTVHSPAARELRSIVDTAASSVFLNGLCRVPGFESPFTILGYPGIVIRDGARPRKTSSYISYVSQVALG -LNYQVPNPSLHNALVAVERRVFTVGKGDKIELPPQPKSEIFQRLAYFAEGVIRHSGYCKTHSPLELAMSY -ESGKRALYLKAVRSLQSSPVNANDAKVTAFLKMEKHLMCKPIAPRLICPRSKRYNVELGRRLKFNEKRIM -RAIDAMFESPTILSGYDSFRVGRIVAAKWGKFRDPVAIGVDASRFDQHVSRQALKFEHSVYNGIFCDPIL -KKLLKWQLRNEVVLFVEDKMLQFTIDRMRMSGDINTSMGNKIIMTGLMHLYFRDLGVQAELCNNGDDCVI -ICDKKDQRKFDNLAAWFLDFGFSMAIEDPVYELEKLEFCQGHPLCINGSYRMVRKPESMSKDAHSMLSMK -HHEDVKTFLSATAQCGLVLNSGVPILEAFHRCLYRTSGYKKVSEGLIKKVISYGQDERLGGRRAIREEPV -TMGNRMSFWKAYGVDPRTQVLVERYFDNLTVNIELRGVKNLTPLLQSILVGVPDFPDFSQI - ->NP_840014.2 RNA-dependent RNA polymerase P1-P2 fusion protein [Barley yellow dwarf virus PAV] -MFFEILIGASAKAVKDFISHCYSRLKSIYYSFKRWLMEISGQFKAHDAFVNMCFGHMADIEDFEAELAEE -FAEREDEVEEARSLLKLLVAQKSKSGVTEAWTDFFTKSRGGVYAPLSCEPTRQELEVKSEKLERLLEEQH -QFEVRAAKKYIKEKGRGFINCWNDLRSRLRLVKDVKDEAKDNARAAAKIGAEMFAPVDVQDLYSFTEVKK -VETGLMKEVVKEKNGEEEKHLEPIMEEVRSIKDTAEARDAASTWITETVKLKNATLNADELSLATIARYV -ENVGDKFKLDIASKTYLKQVASMSVPIPTNKDIKLKMVLQSPEARARRERMDVLDSVGFLEGLCTASGFE -SPFPILGLPEIAVTDGARLRKVSSNIRYLSQTHLGLVYKAPNASLHNALVAVERRVFTVGKGDKAIYPPR -PEHDIFTDTMDYFQKSIIEEVGYCKTYPAQLLANSYSAGKRAMYHKAIASLKTVPYHQKDANVQAFLKKE -KHWMTKDIAPRLICPRSKRYNIILGTRLKFNEKKIMHAIDSVFGSPTVLSGYDNFKQGRIIAKKWQKFAC -PVAIGVDASRFDQHVSEQALKWEHGIYNGIFGDSEMALALEHQITNNIKMFVEDKMLRFKVRGHRMSGDI -NTSMGNKLIMCGMMHAYLKKLGVEAELCNNGDDCVIITDRANEKLFDGMYDHFLQYGFNMVTEKPVYELE -QLEFCQSKPVSINGKYRMVRRPDSIGKDSTTLLSMLNQSDVKSYMSAVAQCGLVLNAGVPILESFYKCLY -RSSGYKKVSEEFIKNVISYGTDERLQGRRTYNETPITNHSRMSYWESFGVDPKIQQIVERYYDGLTVSAQ -LQSVKVTTPHLQSILLSIPENHSQNEY - ->NP_620064.1 RNA-dependent RNA polymerase P1-P2 fusion [Barley yellow dwarf virus MAV] -MFFEILIGASTKAVKDFISHCYSRLKSIYYCFKRWLMEISGQFKAHDAFVNMCFGHMADIEDFEAELAEE -FAEREDEVEEARSLLKLLVAQKSKTGVTEAWTDFFTKSRGGVYAPLSCEPTRQDLEVKSEKLEKLLEEQH -QFEVRAAKKYIKEKGRGFINCWNDLRSRLRLVKDVKDEAKDNARAAAKIGAEMFAPVDVQDLYSFTEVKK -VETGLMKEVVKERNGEEEKHLEPIMEEVRSIKDTAEARDAASTWITETIKLKNSTLNADELSLATIARYV -ENVGDKFKLDIASKTYLKQVASMSVPIPTNKDIKLKMVLQSPEARARRERMDVLDSVGFLEGLCTASGFE -SPFPILGLPEIAVTDGARLRKVSCNIRYLSQTHLGLVYKAPNASLHNALVAVERRVYTVGKGDKAIYPPR -PEHDIFTDTMDYFQKSIIEEVGYCKTYPAQLLANSYSAGKRAMYHKAIASLRTIPYHQKDANVQAFLKKE -KHWMTKDIAPRLICPRSKRYNIILGTRLKFNEKKIMHAIDSVFGSPTVLSGYDNFKQGRIIAKKWQKFAC -PVAIGVDASRFDQHVSEQALKWEHGIYNGVFGDSELALALEHQITNNIKMFVEDKMLRFKVRGHRMSGDI -NTSMGNKLIMCGMMHAYFKKLGVEAELCNNGDDCVIITDRANEKLFDGMYDHFLRYGFNMVTEKPVYELE -QLEFCQSKPVSINGKYRMVRRPDSIGKDSTTLLSMLNQSDVKSYMSAVAQCGLVLNAGVPILESFYKCLY -RSSGYKKVSEEFIKNVISYGTDERLQGRRTFQDTPITNHSRMSYWESFGVDPKIQQIVERYYDNLTVSAQ -LQSVKVTTPHLQSILLSIPENHSHNEY - ->NP_619711.1 RNA dependent RNA polymerase [Carnation ringspot virus] -MAIFELFSFDIDKLLVWVSKFSPGKILSAICRLGLDSWNNFRKWFWGLNFDKHEWAVDHFMPLMPRFSSD -MDEVAKRIVTPKSKPKLEDCLEIDTAVEECFNEECFEPQEDGSMKLKRVAAPQQIKRVRTGMIEDAISAV -EARIRNRHMIMGDDMGLVDEAAVRATAMDICGEYKINEHHTRCIIYAAAYRAMTPDQESIDATKMAYNPK -SQARRDLVSILRKNISFGGFKSLEDFLSAPVSFPVEDAPYQILGIPEIKVADKRASRVCKFKRVVGLPSL -SAGQSVCVHKTSLHNMIVSLEQRVFRVKNETGEFVVPPQPSKGAFDSISYFREAWKKKLYSKGPVVKSSI -DDVVACYSSEKKKLYQKGAATLSHRPLHWRDSKVRAFIKVEKLECDKKAPVPRTIQPRSKRYNLCIGRYL -RLNEKRMLDAIDAVFGEKTVLSGLDNKAQGRAIAKKWSKYESPIGIGLDASRFDQHCSKDALKFEHSFYR -ECFPDDKTSPDLLDWQLENEGSALMPTESLVKYRTRCRMSGDINTGLGNKILMCSMVHAYLKEVGVNASL -ANNGDDCVLFCEKGDFNRINDSLREWFLCRGFNMVVEEPVECLERVVFCRSQPVCVATKWAMVRQLGSLS -RDCFSTQNWLNPTTFRDAMNALGQCNGIINDGVPVHMAQAKRMYAAGGNRKFDLKALHKQMEYSWRDRLG -ARTNLLWSEVEDSTRLSYFRAFGIEPAVQRIVEGYFSESKISEEGRQTNFLPTHYSRLHKDLLVPRYLN - ->NP_563609.1 RNA-dependent RNA polymerase P1-P2 fusion [Bean leafroll virus] -MFNFDSLITASSRVVKDFIHFCYNRARSVYCALKRWLWELQGKFDAHNAFVDLCYDAMYGIEKFEEELAE -EYTLAESEVALAECHYRYLVSNGAPLTHWPVPCPPPGAHRSIDDYEDSVDEAGAFEEVIPSVPKPEPIPS -KDGKKDHSKSNPFRVKAFINLVKAKEVLQATEQKIKVVYEEEIGESPFGRWFNTLPSRMHYIKRALKKRA -VNAKRAKHVTSMMKEVNVIPDFVACCEVVQVPTGEMIPPKKNEHGEDVGEPTPKTKMVRRVLPECAAEAR -SYIRQHIRNNNMRLIDGTDVAHATINRYALKFCEELDLDLPSTTMLVDYAMTMVPLPLKNDIERAKIVHS -PNARRIRDELDCLTSSVFLEGLCSDSGFESPFSILGLPEIVVRSGAIPRKSRSKINFLSQFTLGLDYQCP -NPSLHNALVAVERRVFTVGKGNEVVRPYSPLQGQFEKMNYFRDAIVKDVGCPKTYTPEQLAATFKSGKRS -LYNTAVLSLKRKAVEKQDSFVTAFLKMEKHMMCKKIAPRLICPRNKRYNVELGRRLKFSEKKFMHAIDNV -FKSPTVLSGYDSFKVGRIIADKWGRFKRPVAIGVDASRFDQHVSKQALEWEHSIYNGCFRDKQLEELLKW -QTENKVMLFVEDKILKFKVSGHRMSGDINTSMGNKLIMCGMMHNYFRELGVEAELCNNGDDCVIICERKN -EKRFSDMHRWFKEYGFDMQIEKPVYKLTELEFCQSKPVKINGFYRMVRKPQSISKDAHSLISMASAEDVK -TFMSATAQCGLILNSGVPVLEAFHNCLYKASGYKHVSQELIDKVVSFGTQDKLGLRKERVEEPVTMENRL -SYWESFGVDPQTQVLVERYFNNLQVSIEPRGVKRLTPLLDAKLLNIDIPARCSVSLPTILQ - ->NP_037635.1 RNA-dependent RNA polymerase P1-P2 fusion protein [Barley yellow dwarf virus PAS] -MLLFELLIGASVKAVKDFISHCYSRLKSIYYAFKRWLMEISGQFKAHDAFVNMCFGHIADIEDFEAELAE -EFALKEDEIEEARSLMRLLTAQKAKTGVVEAWTDFFVKSRGGVYAPLSCEPSKAELEAKADKLERLLEDM -HKFEVQAAKKYIKEKGRGFINCWNDLRSRLKLVKEVNEEAKDNIKAASKIGVEFSAPTNIQDLYAFTKVE -KVETGLMKEVTKMVHGEETKHMEPITEDMRSIKDTAEDREAASKWITEVVKLKNSTLSADELSLATIARY -VENIGEKYKLDIASKTYLKQCAMMSVPIPTQKDIKMKMVIQSPAARAARERVAVLDSQGFLEGLCAASGF -ESPFSLLRLPEIKVTDGARLRKVSSNIRYLTQTHLGLVYKAPNASLHNALVAVERRVFTVGKGDKAIYPP -HPEHDIFTETMDYFAESIIDKVGYCKTYPAQQLALSYSAGKRSQYFKAIESLKKEPYQQKDSNVQAFLKK -EKHWMTKAIAPRLICPRSKRYNIILGTRLKFNEKKIMHAIDSVFRSPTVLSGYDNFTQGRIIASKWQKFA -SPVAIGVDASRFDQHVSEQALKWEHGIYNGIFGDTELATALEHQLVNNIKMFVEDKMLSFQVRGHRMSGD -INTSMGNKLIMCGMMHAYFKMLGVEAELCNNGDDCVIITERANEKLFDGMYDHFLKYGFNMVTEAPVYEL -GELEFCQSKPVRIDGKYRMVRRPDCIGKDSCTLLSMLNEADVKSYMSAVAQCGLVLNAGVPILESFYRCL -YRSSGYKKVSEEYIKNVISYGTDERLQGRRTFKETPITSDNRMSYWESFGVDPKIQQLVERYFDDLTVSA -QLQSVKVTSPHLQSILLSIPENNSQNEY - ->sp|P29045.1|RDRP_BYDVR RecName: Full=Putative RNA-directed RNA polymerase -MFFEILIGASAKAVKDFISHCYSRLKSIYYSFKRWLMEISGQFKAHDAFVNMCFGHMADIEDFEAELAEE -FAEREDEVEEARSLLKLLVAQKSKTGVTEAWTDFFTKSRGGVYAPLSCEPTRQELEAKSEKLEKLLEEQH -QFEVRAAKKYIKEKGRGFINCWNDLRSRLRLVKDVKDEAKDNARAAAKIGAEMFAPVDVQDLYSFTEVKK -VETGLMKEVVKERNGEEEKHLEPIMEEVRSIKDTAEARDAASTWITETVKLKNSTLNADELSLATIARYV -ENVGDKFKLDIASKTYLKQVASMSVPIPTNKDIKLKMVLQSPEARARREPLDVLDSVGFLEGLCTASGFE -SPFPILGLPEIAVTDGARLRKVSSNIRYLSQTHLGLVYKAPNASLHNALVAVERRVFTVGKGDKAIYPPR -PEHDIFTDTMDYFQKSIIEEVGYCRTYPAQLLANSYSAGKRAMYHKAIASLRTVPYHQKDANVQAFLKKE -KHWMTKDIAPRLICPRSKRYNIILGTRLKFNEKKIMHAIDSVFGSPTVLSGYDNFKQGRIIAKKWQKFAC -PVAIGVDASRFDQHVSEQALKWEHGIYNGIFGDSELALALEHQITNNIKMFVEDKMLRFKVRGHRMSGDI -NTSMGNKLIMCGMMHAYFKKLGVEAELCNNGDDCVIITDRANEKLFDGMYDHFLQYGFNMVTEKPVYELE -QLEFCQSKPVSINGKYRMVRRPDSIGKDSTTLLSMLNQSDVKSYMSAVAQCGLVLNAGVPILESFYKCLY -RSSGYKKVSEEFIKNVISYGTDERLQGRRTYNETPITNHSRMSYWESFGVDPKIQQIVERYYDGLTVSAQ -LQSVKVTTPHLQSILLSIPENHSQIEY - ->AFP55349.1 RNA-dependent RNA polymerase [Soybean dwarf virus] -MFNFDSLVSATAKVVKDFIHFCYNRARHVYYALKRWLWELQGVFAAHDAFVDMCYDAMYGVEEFEWELQK -QFSSAEHDVLIAKHEFERLLRDGAPLRTWPQPCAPIGSFRSSDDFQEAAREAENLSLMDPEPSLIKGSGD -YSLDNPNRIEKFINLVQKKEVLSATEQMIRHAYEEHIGEAPFGKWFNTLPSRMNYIKRAASKKAKAVKRS -NSVRQMVNEVNVIPDFISICDVVQVDTGEKLPPKKDKDGEPLEPEPKLKMMRRVRSEHYGDARSYIRQHI -RNNNMRLIDGSDVSHATINRYALKFCENLELDLTSTAMLVDYAMTMVPIPLKNDIERAKIVHSPAARQIR -QELGVLNAEGFLEGLCSDSGFESPFSILGLPEIVVRSGATPRKSRSVISFLSQFTLGLDYQCPNPSLHNA -LVAVERRVFTVGKGNEIVLPYKNKPGIFSNLDYFRDSIVNKVGCPRTHSPEELAATYHSGKRSLYNAAVQ -SLKKKAVERSDANVTAFLKMEKHLMCKKIAPRLICPRNKRYNVELGRRLKFNEKKFMHAIDSTFESPTVL -SGYDSFRVGKIIANKWSKFKRPVAIGVDASRFDQHVGVEALQWEHSIYNGAFKDPILKELLHWQTENRIM -LFVEDKILKFKVKGHRMSGDINTSSGNKLIMCGMMHYYFKTLGVKAELCNNGDDCVIICERKDENKFRHM -HSWFKDYGFDMQIETPVYKIGQIEFCQSKPVKINGYYRMVRKPESISKDAHSLISMASAEDVKTFMSATA -QCGMILNSGVPVLDAYHKCLFKASGYKKVSQEAIERIVSFGTQDKLGLRKERVEEPITMDNRLSYWESNG -VDPQTQVLVERYFDNLTVHIEPRGVKRLTPLLDKTLLSIASVARKSVSLPILSK ->BAA97674.1 54 kDa protein [Rice virus X] -MIVSLEQRVFRVKKDGAFVRPPLPVPGAFNSCIAFRILWLAKLHSEGPVLKSTVAEVVQCYTAEKRKLYE -AAAQTLAWKPICRRDSHIRAFIKVEKLESDVKDPVPRTIQPRSRRYNLCLGQYLRLNEKRFTRTIDLVFG -EATVMSGYDNVTQARYLRSKWDSYPDPVAIGLDASRFDQHCSPEALEFEHSFYTKVFNDPTLDELLSWQR -VNKGTAVVMTGECLKYEVNGCRMSGDINTSLGNKLLMCAMVWTYLQAHDIDAKLANNGDDCVLFCSAGSV -AKIMSTLPGCFLNWGYTMEVEDPVRIFERTVFCRSQPVCVDGTWAMIRQLGSLSRDCFSTHDWSNEMTFR -DNMNAIGQCNGIINDGVPVHMEQAKAMWRAGGSRNFNVETLRSQIEYSWRERLGNRDRLLWSPVVPSTRL -SYFLAFGIEPCVQEAVEAYLARVSLSAGGQTLPGLPRHYSRIHKDLLRCRTANFFAS ->AGN54066.1 RNA-dependent RNA polymerase P1-P2 fusion [Barley yellow dwarf virus kerII] -MVFFELLIGASVKAVKDFISHCYSRLKSIYYSLKRWLWEIQGKFKAHDAFVNMCYGHMADIEDFEIPLAD -EFVASEEELAMAQAKLKYMVALEAESGVEDAYTQLCIKKGYHSLTTMAKPTKGELAASTEALEAKISSHY -SLIANTNREYIKNKGKGYINTFNSLFNRLHFVKKEADLAKENEDAAWKMQQQLFSVENIQELHDFTEVAR -EENGCKKMITKLVNNEEIQEEVPVKVEVRRIKCSEQAREKAAIWIRAYIKVKNSTLTADELSMATISKYV -ENLCEKHSMSAESRTYLTKCACLMVPLPTREEIDIKMTIQSPAARSRREQIEILDAQGFLNGLCTESGFE -SPFSILGLPEISVTDGARLRKQSSRIRFLSQTSLGLVFQAPNASLHNALVAIERRVLTVGKGSEITLPPR -PEQEIFTESMKYFSDEIVNHVGYCKVYSPEALAMSYHAGKRSQYFKAIESLKVRPYEEKDARVTAFLKKE -KHWMIKPIAPRLICPRSKRYNIILGTHLKFNEKKIMHAIDGVFGSPTVLSGFDSFQQGRVIAKKWQKFTN -PVAIGVDASRFDQHVSEQALKWEHSIYKDIFNNPILELALSHQLKNDISMFVEDKMLRFKVEGHRMSGDI -NTSMGNKLIMCGMMHAYFKSLNVEAELCNNGDDCVIICERKDEAKFSGMYDFFLRYGFNLVTEHPVYELE -QLEFCQSKPVCVNGRYRMVRRPDCMSKDSHTLLSMLGPEDVKSYMSAVAQCGLVLNSGVPILESFYECLY -RSSGYKKVSEAFIKNVISYGTEERLQGRRARQIEPITLGTRLSYWESFGVDPRTQEIVERYFNGLQISTQ -LQRVKVTTPHLQSILLSIPEKPPPATQ ->ABY73571.1 RNA-dependent RNA polymerase [Barley yellow dwarf virus PAV] -MVFFEMLIGASVKAVKDFISHCYSRLKSIYYSFKRWLLEISGQFKAHDAFVNMCFGHIADIEDFEAELAE -EYAEKEEEIEEARSLLRLLTAQKSKSGVDEAWTDFFLKSRGGVYAPLNCEPKSIELDAKADKLEKLLEDM -HLFEVRAAKTYIKEKGRGFINCWNDLRSRLQLVKSVKDEAKDNIKAASKIGVEFSAPTYIADLYTFTEVT -KVETGLTKETTRVVNGEEETSTVPLVEDVRKIKDDEVSRESASNWIREVIKLKNSTLSADELSLATIARY -VENLGEKYKLDIASKTYLKQCAMISVPIPSQKDIKTKMVIQSPAARALRERVAVLDSQGFLEGLCTASGF -ESPFTILGLPEIAVTDGARLRKVSSNINYLTQTHLGLVYKAPNASLHNALVAIERRVFTVGKGDSAIYPP -HPEYDIFSETMGYFAESIVNKVGYCKTYTPQQLALSYSAGKRAQYFKAIESLKKEPYQLKDSNVQAFLKK -EKHWMTKAIAPRLICPRSKRYNIILGTRLKFNEKKIMHAIDGMFGSPTVLSGYDSFTQGRIIASKWQKFA -SPVAIGVDASRFDQHVSEQALKWEHGIYNGIFGDNELALALEHQLVNNIKMFVEDKMLTFKVRGHRMSGD -INTSMGNKLIMCGMMHAYFKKLGVEAELCNNGDDCVIITERANEHLFGGMYDHFLKYGFNMVTETPVYEL -GELEFCQSRPVRIGGNYRMVRRPDCIGKDSNTLLSMRNESDVKSYMSAVAQCGLVLNAGVPILESFYRCL -YRSSGYKKVSEEYIKNVISYGTDERLQGRRTFEETPITTDNRMSYWESFGVDPKIQQLVERYYDNLTVSA -QLQSVKVTTPHLQSILLSIPENNSHNEY diff --git a/seq/clusters_seq/cluster_197 b/seq/clusters_seq/cluster_197 deleted file mode 100644 index 2211fc3..0000000 --- a/seq/clusters_seq/cluster_197 +++ /dev/null @@ -1,307 +0,0 @@ ->YP_009337910.1 hypothetical protein 1 [Hubei diptera virus 13] -MSVEDLVDFVGETARLEVESQVGDTLFSRNGLKERAKKIALSATLLCARALLGTVLVLCCGAWATAAVMA -LVSVAKHAMWYQYDSLYKLIVQSFVPEPEPEPDYFSGLISDVTALVKRVDLTLLSLLLVVLMILASIWIS -MGYVYYSMRRVSYKVRGIQYEAMQAGSEFREGEVPKFQVRVLLPGVFVNSHQGYGIRVENWLVLPTHVLT -GSEILLEGSRGRVVIPTDHEPSKLHPDVAYVWLEDSQWTTLGTRSAKTIPITSCHVRCTGQKGYSMGTVN -KTNIIGVLRYSGSTVPGMSGAAYVAQDRVVGMHTGASMEKNLGVAAALFVNELKKKIKNEAIFLPSSNNS -EDAAYQMKSFKNTKWDAKLLEALAENADDMDLGSWGGNVDFDPDWKAESAEEPKKQNPIELATLIMKQHG -PSGEETGFSLLNTKVLEDIKDLQERLTIVEEYIKTKISKKKEPKPIVTYDCEECGAKTTSESDLTKHQAK -HVKHKCEICDITCQTALRLANHVANAHRTAKPESAYPGDARVVVQTAPETQAFLDPRSNSPKRKNRSSKR -SSSTSSKKQDSLPWEKLLYQMVQSQNRLEEYLRSERRAMAGPASATQPK - ->YP_009337872.1 hypothetical protein 1 [Hubei diptera virus 12] -MDTQNNDTHRRQTLGPTNVVVGWILDIFWPLIPVFKMLNVVCKVCVVYALAVAFTGVYRLVLWISRTPAQ -VTMNVTVFVTKLFHRLVLLVHWPIEKLVELMFMEPEPEPIPLTWTETLLEGLETKIRSILPVVAMITQSV -VVYIVGSFIASYLLYRLTKKPVKTLVMRSRGVYVGEAMREGSQFVPVKSIPPGQVSIMKSGLLTDSHIGY -GLRVHDCLVTPAHVADLEKTFVLANRGVKVLFTPTTRIPSRVIPDLVYIPVEPSIWARLGATAVKMQRGV -PKLANQVTCTGLPGATTGLLRSTTRMGVYSYSGSTLPGMSGAGYFVGNCCFGMHTGNIAGENVGIASSLI -MREWRCIYKGESSPDFVDTSVPIVKKTWDDVEIDAAATKAWLEDEDFWEDRVANWGQKSFAENAFITEET -VVKQQGNDGEEKVYRTTVVPSDLTQRVSLLEQAMAKVGLVKCAQCDEVFVGKTLEQHKKDHAVRHPCEQC -DMVCLTVEKLSRHVKEFHSRVECDRCSTVCKNMDKLANHRKNCKAVGDVQLMEVPSSSTHPRTPLVETHP -QVPVAEEVKESAFAIDSRKLVKNSPFLGQRQPSRTKNSKRSQSGSSLKVASPHSPSQGEMLSQILTSQKS -MQESFERFLQVMVGQVSVTKQN - ->YP_009337746.1 hypothetical protein 1 [Wenling sobemo-like virus 1] -MFGIKALAERVLGGVMAVIRFVYVVGVFALQVAAADVLLVAGDYTNYNTVANLANGNGLYNWLLPTTYFK -HLFTALVVVIAGRFMFEFRSTVNALKPPSYDGDADRMKAYTFTVKDFSKDYMRQPGFTSESMRAGSVIHT -NLGEVKGCLHVLDNNSKTVGFAQVVKGHIVMPEHVLMAADGGRLCGPYGGLVALNPKMFELVKTDVVVCP -LVESHRKILAVKDADFRPSFRNGAFAQMRTTPLMDPDAHMVKPGCVVQGKVQPNDMFGMVSFSGSTRPGM -SGGGYYVDGALVAMHLCGGLSNMGVSMGFVMESVARPEADLDTYEWLMKSAEYEDGFEWDNTHDPSLVIV -KDVNNRYHEVDLDYAPAEFYDVLGMRKPKVGVRNRGIDYSNKDYEPEAKVGYDHQIMKTGYLKDPSSLPK -EMLPVGTRLRAFVEEEDVEDQDDPVQIDDAFQRARDRLHDYFKNLIAELAADVARPSKEEAAINVEPFLE -ESRALVEQKGKISRRLQEINTVMHGAKKAQKVGKTQLLASKTQTLEEMRQAKEMKKHADAATQLLRTNDL -KKKSKNARQNAKRKEQVDQMRLTQDYLMEQLQQKTGQTANDLHQQMLDAMRARPSTSQAGFIKK - ->YP_009337248.1 hypothetical protein 1 [Shuangao sobemo-like virus 1] -MLNALWNQRKLFVVGITLYLVLSGWSGLPLHTLVFRTVRYLVWKYVLRDTIAGQFLEELSSEILGKEMPP -PPPPPPPKPIPPLTDRLYEDWIGLYGRTVNSTTRVLEKAYYSSWTSWFHMACNTIAIIVAIRTLFVAISR -IIPGSPRTAVATWAKCKIRGIHYEAAIQGSLLRKATIPEWQVEVLTPGFLSDTHVGWGVRVVDTLVVPTH -VLVEAGPNYLLKGRTGSVLCNGLPRDSRIMQDISYVPVEVSTWSKLGTPSVKRKRIDVETVQSVSCCGLE -GASTGPLRQTRVRGLMYYEGTTMPGMSGAGYYIGNTCLGIHLGASTNVNCGATIMVPFAEIPAPNNLVVQ -VERSAKRPKKTRPPSGTSADPTYHAMDNTKTAWGYADIREALDDYDRFIGPSDWNNPTDDFDYDQDLGYE -YEEEAATRGNTLMEQIRRMSVEDRKLWMDSINAVATEEAVAARSTPVANPLPSVRPMVQTRQGVPRQVTF -DEIIVHNQTGVGTSTTIHTQPQPQRLAGPPHLGDLVRDLIKRVTILEQKMIQRDERMVEIENAVGTLQDA -VAKYGSPEELEKLRNDLSGYRHTMQEIAADACIMTQDQVGYFRCEQCGKVLHSQYDLNEHRISVHKWKRV -SKGRPTGSCSIASEIYGPKENPPKEEKPVSQPQRNRREVHEEELEKALPYKCTDCNRRFATESARLAHFG -AVHAIHIAPESAIPTDSQDPVKTDRAFLGQRSRLRKQRRTKSADTSVSLAGQTLLQSLQAGQSEISHCLQ -SVSRSLNELQKVIHGRNSGTTQK - ->YP_009336913.1 hypothetical protein 1 [Sanxia sobemo-like virus 5] -MVFGDLTSCIRMGYNHSFIRRFVVSAVATQLIIVSYGFVSRLAQWVVLVLSHLLGPLFLGAVEGIEVGGI -KPYKLWLEVGVEPPRPPAYQRLVDGICEWILNHEQETSMMLGVFACLVMLRLLFKLPVRRMSYKLRGIRF -ESVKPGSELLAGVKEPAFQPRIMQPGLFVNSFQGYGIRLGKFLVMPRHVYDACEGQVMMRGPKSSYIIAN -APIQSRVVRDLVYIPLAEKVFSDCGIPSATMARRLAKGALVTCIGEQGASSGIIRPLSIMGMLSYTGSTV -PGMSGAAYIVNSMSRKVHGIHHGVVGENNVGTSSLIVAKELKALEGRMFGESPLGNEVEEQLADRRGGYK -AWAEDDLDEVVLDAWEADDDDWAYDDDAMDYEEDVVWSRESEDEDFWDEGPTRWESRKRRPRKKKAVRRV -VGLGHAASRPEIEISGKVKHQSGPQAQSKASPEKAAPPEKTVRQAFNELEARVSKLEKRVSSLEARLPDR -KEVAQKVDKAVSAVRTRPEPKRPPGSVVCMECKRRFPHVRALVAHNDEKHRVKGESALKEDSKKVVKTTR -GRFLGNSPKNPGDGPRGSSKLSPRSSQFRSILESQSKMSDCLCRLEKSFDLLARAMAGPSSEPRRN - ->YP_009336905.1 hypothetical protein 1 [Sanxia sobemo-like virus 3] -MAQNEGNRTNFLTEISDTYTRITRAASLMVRIGIYYATAVSFCLLYRLTRWVLSQPVTWTLNAAEFVEHV -FYGVGTWALWPLDKGADIVVSFLSGPKPEPTVTERIIEEVNEKAEYLYSFSAYLPSLCYITMVVLIVLLL -WVLSRRYLRTTLMRMRGVYIGESMRNGSKFMPAQIPSGQVAVMSAGMLVDNHIGYGLRVGNVLVTPYHVI -RDIVNPILSYGGKKICVNVAHVVASKCIPDVAYLMLDETQWTRLGVPKVKVVTKTTNVATSVTCVGLSGQ -SVGLLRKSSRIGMMIYSGSTIPGMSGAGYFVNNQCHGMHNGVIMHDNVGVSMSCIYGELKMIFRGESSHD -FGEEVVKKSAGVRIFKTWSDEDLVKQFHEMWQEPDFEEDISGAWGMRLGEESADKIIKLTGQSPDQPEMV -YRTTVECKSEAELRERIIKLEQVVEALKIEKCDQCSAMFVGVTLAQHKKQHSKHPCISCTNVFGSKKDLE -QHVIKTHVKYPCDHCGVVCRTEVKLKNHRESCKVSGGGIPMKNLKGESAFAMDHKKIVKTDPFLGQQRTS -RKKNGRSSERTSPAKVANHRSPSQEEILCQILQSQKNMQQNFEKFLQVMAGPKPATTQN - ->YP_009336856.1 hypothetical protein 1 [Sanxia sobemo-like virus 4] -MMWLAITGVTVMTFGFWCFANVLASAGRRSVQRMRGIRMESVREGSRFERAEIPDNQVAVRIPGTFTDEH -IGYGVRFADYLVVPRHVLELSVQGKLRENVLLVGKNGKLIVTINATQSRGCSDLVYVNLSAKTWSILGAP -HIKWANESKNVQVTCTGMPGQSNGRIRKTRQEWMVSYEGSTLPGMSGAAYMNENLVMGVHQGATGAFNMG -FSSELVTAEMSLLLRNEDTGDTNVEPKQSRYLSAVQDQSFGKNFYDRIAALDRLEKKYGAGSDWNLDGAA -NYDQQFDFESRPRPGVSNSSRPVRVAVPQGGVQLVNHNQTGRTTLIEVQHQDMLDAFANARVLDRLAVLE -RAVGELRAQQPPLENDRLVALEQAVRDLKPREMPVVMERAQPSYAEVAKTAPSEKKPRKKYACKLCNIVT -RTQMRLDNHIASNHKTGQVQMESAIPSDTGKSGKIIKMGSFLEKRSSSPRNKSNQSSRSSRFSEKKNHSP -LPEGSLSEMIASQRSISADLKELLKVMVGQSSAMTQKCGVSPTTQC - ->YP_009336846.1 hypothetical protein 1 [Beihai sobemo-like virus 21] -MRLCVSPFMVSCAIIIAVYTHIGIMIGVMVGDFTDLPFRELNKQLLRTHYVHAFWASLNFLGEFLRSMHT -FLWALVEFILAQNFSLESVGFIALLVVPTIHVLYVIFRPKPIRFGEIEEILDGYLAFTGESLQEGSPLQQ -VQPESVPKGQAVLIAETATARYLQGQVTRMDNVEVTDTVIERWNKLGIDYDFSIVNSGETKFACYAGLSH -CTTRSGKYFIAKGNDLNVQVPCYSVPSLAAEDLMFFLVPANKVSVLGNPVVKPHSTDLPANVRVITSAAK -YGEKFGSWSTFGRLDRDDVTGCCRFTGSTISGYSGAGYYASNAWVGIHRGHRSGLNFGFLAAYVKTVKNV -TFLDKAVTGESDTELARLLGLLREDGPMAYSKLSKRKSGDPSIDLYEYNGDYFYVDRDDVNSYQREEWQN -YLDAMQMDNETEDRQVRRRNKSRRRDDTDDFEGEAGSVDLTHLNSRGGAVRTECGEEIQGTMLLPPPRCD -STGNVTDHAREKRADEIALLLIKQKLDRLSEKMKQSFVNYTFEQKLGWMKSPQELWHLTGKMLSIQSALT -HWIKPVAEAYSETMSRVAELTPWEEEAKKKLAKSRASYNKANGTPAPPASFDPILESWYEATEKLHEQIM -EISERYPPPSVTTEGDVADPEDFEGETGDHQLEDLLEILRNPTQPPLTQPLEKEQTSRFQMKHLSGAPIV -KQTAAKSPTTLPVVPAVISSGDDLEVGADAESFSASQAVSHPTEDFIERSSLTSQATSRPPVLSDRGQRH -ASASNQLETPLASNGQIQNDQPTQLPKASAIIPECSSETTSQMSGPHGMNLTKSAKTSGKSSLSSPRQES -RFETVWPEKSKLTSNKKLVSLLTMVERPDWVRQADWDKLINKRLFLRVNLLTDTCLQEYCLTRPSWSHQY -TQDQWEDYLQKESQAQQLVSLKTQLEKIQQQADSHKGSARQPQAQKQKKKKGKQANATPVAEPTTSN - ->YP_009330268.1 hypothetical protein 1 [Hubei tetragnatha maxillosa virus 6] -MTLFSKIKFFSKMFIGAYLTYTALRLYGDVMAVAEKLALGILFTKVIETVTPPPAYTPGYRGELERAWEY -GTEFAQNIGMLEWLMIGLVLVMSFFIYYRGRRTIHRIRGIKYEAMMEGSAFTNGKPLPCQVAILIPGLIR -DTHNGYGIRVEDFLVVPTHVISMSAEHVIAGSRGKAMVDTTIRFESKVHPDISYIPLQQTTWAMLGTPRA -HFSTALDLFVEIYGPRGTSRGYLRRTNVMGQISYTGSTISGMSGSVYQADGKVCGMHTGYMEKSINVGVA -SQLIALEVSHLKMVRLEQSGLEEPPEDPKEWDMAQMSGHVADKYSTRREQELDYLPKHLRDKIKEKPIED -EKLYKSGGLVWADMIDESAIKNTRRQVVQTQGKKPTSVDIPLMDMKIFERLDQTDINIEAARRTLMERID -AHEEAIFKLEEKINFLTNKIYEKLGVGKPDKYKCDKCTVECNTETALDNHVKSEHPQPERFPCEECTQVF -PKYGALVQHRIQNHTPKMFRCIFCTFEHKDRTEVVKHSEQHVPESVAFLENRKSSTLSSSPTSSTSHELT -PSRKHHRSPYKSTTESLPKTKKGSKPLVKASVSRPEVRKQN - ->YP_009330131.1 hypothetical protein 1 [Hubei sobemo-like virus 22] -MKYGKSFSLAVAGAVGGRYVFIPVVKLAWNWVVFILWTYNPVFLCGSALEEAVLSLNPEWPAPPKPDPPI -ISVEMAKIGFSQLRRLVNWIEEFSIYDILAYFMCGIIVLILISKTYQLVKDSTRQAVLRARGVSFESMRA -GSVLVKGEIPKYQVAVLRAGLLRDGHVGYGIRVGNYLVAPHHVVTDAGEEVILSSKLAKVMMPPASAIRS -RVVTDLVYVWLPESFWVKLGVPSAKFAQTTENPLATCAGPEGVSSGLLRKSQVLGLVNYFGSTLPGFSGA -AYEAAGHCMGIHIGAVAGNNMGISAVVVVKELAHLVRQESSQDVAASYSQQLGAPKKQMWGAIQIGEIAD -RNWGDDAWHLEQSLDYDQILSFDDESKPRPAVPQAVLDFQPTIKLTQHSDSAAEVSYSLYPSKSEAGVEA -RLTRLEERCVRLEQAVLLLQEGKGKFPCDSCPVVCRTQEKLDAHVGSAHVSRPREYMDCSVCGVKVRSDR -LEKHALKCIRSNPVKPESAVPCDARVSVKTDRTVPFLGKRSRPVKLRPSKNTSTTRATNRQSQLLQELQS -SVREFQRVCAMFSSAPQQATNGQNLAPARS - ->YP_009330123.1 hypothetical protein 1 [Hubei sobemo-like virus 23] -MFITEFVSKILSNIRNAIVPFVMAYILYYFMDRKEEYIDTYDAVIDSVRPKIVVQPSAEFDDFIDEFLSK -AWTHIRRYVSLKGHRIWYLIVKVLLVVLTVYLLYKGIRKLFSFIKIKMYDFCGVKDFRGEAMKAGSSFGK -GTVPDYQVEIHRSGTFMFSFSGYGLRYGDVLVVPTHVIGNSRDFKLVGKKTAYISLDFVASTLMNDVSYV -RLGTDVWSALGTKSCKYIKPNYTPQVVTIVGKQGATSGILRKSECEFMMEYEGSTIPGMSGAAYFTQAGP -LAMHSGVVLGRTNVGYTINAICMEVDTTFPPSVVGEGRIADANEDYEFSGRRKKAAWRESKVKSSWDNSS -IKARLGFADVSDERIAELERFANADDAWTKDMKMDYNVKLNFEGENFDPSKKIFATDGHGKKVIILGTSD -LNFSGFKPYSATASGQAPDEPQIRIGAVEPSLGDIVRDHERRIVALEAKIKSLQSKPPGYVCGHCAKPFD -LEESLMQHVKSKHIKGESAYKDHFDDILNKDKKTFLEQKPSPTKNAPNSRSTSRSKEKKVPSTSREGNRS - ->YP_009330119.1 hypothetical protein 1 [Hubei sobemo-like virus 25] -MNKVLLAIVPFFLWGVWDVVDMVLGGSLNERVVKAVKTRLGIQEEPKTGFAAAAETASDIFYGATNATER -IFIQMGAGSTMEEYAVWIKTMLYHSLLILVFLACNAAVIVLLIGFVRMLYNKASDWIVQPAVWKLRGVSY -EAIRPGSGFVPAEIPKYQISVCKPGLFADEHSGYAIRVDDNYAVLPRHVLPDTERVMLVGATGRRIALAC -PCLESSKHPDLVYMYVGKDNFTKLGATLAPVAESEGGHATCVGPLGATAGRLTKGAQVGTLYYNGSTVAG -MSGAAYVIGGRVVGIHHGTLDNKKFNFGTATQLISLELRNLVTFESSEDLGVKAGFTMEEDDDEETLGVK -GRKKAEAAKKRSAWNNQDVVNYVHRKDDVVGGMFVGTSWADLLDPRDGFYEGESTRPKTQKKKLIVTVPE -ASVSFTPQNQTGSGQLVMPVRCDESCSTRLESLALEVNEALAALEKRLTAVETEMVRRTAPKDPHPCDQC -SVICTSEEKLLNHRRTSHPVSYSCTHCSFSDPSASELAAHVKTVHKATQYKCDCGVECRSELRLRNHQES -CKRVSNMPPMEGESAIPSDTGATSKTVKQRVPFLGRTSSSPRRTTRPSLRSSTSSGTRSPSPALEGILSQ -MTESLKSIEGLLKNQPKATAGPSSEAQRS - ->YP_009330114.1 hypothetical protein 1 [Hubei sobemo-like virus 28] -MTTNSEQIYNPLSEEVEAQPRCGSWLSRALKWVLAVLVAAVTVPLIWSAFCGLMIGLSGWVLGWYRTQHL -ALYELAKNAFGPPPSPPEPDVVEQYAQKLLDFAERVDSTLLATLLVAIIMVISIWITFGLLMRSLRRISY -KLRGIHLESMQPGSDFTASDIPSYQVKVLSPGLLGDSHQGYGIRVGSWLVVPLHVLNSVSELMLQGPTGQ -KMVMRKQYEVSRLHADVAYLYLEKEQWSKLGAKNAKTASMCRGFARCYGPRGYSMGSMDRSGLFGMLVYS -GSTIPGMSGAAYELMGKVVGLHTGCTNTTNMGVSAALFVEELMTKTPLKEESASALGISSGVGEQSAAVY -SLGSKIGKQIEAWDKAQIKERLANPDSRPWHEDDEIDYDQDLGLESASKPKKLVPLGIVQQPLLLQSDSP -KEEVLTLADVTGLAARVTALEECVRELQQLAIKKKAEKQEFKCPDCDIACRTELRLSNHLKFTCAKRVSF -DCELCETKCRTAETLKRHMVNSHTKVKIEGESAYPGDSKVVVQTGPFLAKRRASQKGRKKTSSRSSSSSG -DRSRSPSLEETLSLMTSSLLRIEKSLNKNQGDTAGQSLATMLN - ->YP_009330089.1 hypothetical protein 1 [Hubei sobemo-like virus 35] -MESIKQLALETLQNAGQLQLVGCLIILAVVVSNRRLITFPMVPLALWLILWDTRERIVYRPVMPDFSWVQ -AWVLMASESFQRAFEASKAYMMGVIGVMVLLRVWISLRQSLKEVSLRLRGVTRVQYEAMREGSAFEKAPI -PACQVQICEAGLFVNSHLGYGIRVENCLVVPRHVLLAGKWPTNKLIISVPLRPSMVLEGRMEESQKFDLA -YFFLGEAQWAALGAKKATLTASSLQGRASCAGYAGLSRGDLKKTNSVGQYSYGGSTKAGYSGAAYVMQGQ -VAGIHIGVDCEVNVGLSSRLIAKELEYLTVTEARRNHGVSGLAAYDTPVQLVETHDNWQDLDIEELMYAS -RSGDERATEWLRKNQHKHKKHPMYWESMKQALPSMDPETLLQVQNAFNKAFTFLQEETCSCSGLLHACEG -KRGKVIDQRPSTSRVIGQSDVPQEAEQGLTETPLHRANMLKRIESLEENEKILVESVSNMDENVKDLRGN -VTQIDDDIYKIYGRIAFLEERLQNVELWAIDRGFANLPAALFSEDSPQVVAQKRRTSKNPAIPLVERISA -LKLKAYGESTKPRKIVTPPLIRKVRDAIATRTQSKNVEVELEHISAAEEAREKMSSMTQKQQRERYKELR -NKQNLTPEEEQFKQQHLESRRKRNARRRTGKKSTGNSRSTSSSSGKNEPSTSTQ - ->YP_009330085.1 hypothetical protein 1 [Hubei sobemo-like virus 30] -MTFLLVSFLVRWAKHINARVCALVSAGSEGLYSVLDEISPSPTPEIRKQKKGKVLTVIDDILRNIDFREY -MDFDWIDALVIAACSVLTLWASYMIFRVMGRNGRRIVQRLRGIQNEAMIDGSAFHAGEIPKFQIGIARSK -LFVDVHVGFGIRSKNFLITPRHVLEGVDLKEVLLVGPTGKVIVSLCPQPSRLVSDLTYTYIESAIWTKLG -ARSANLNNTPITTMVECCGKDGKSNGRIRKTNMFGLISYTGSTLPGMSGAAYVFNNQVQGIHKGAAGRYN -LGVSSAVLAAELSKLCPPTADESLPAKDAEDYVEQFQQQNTWTTQQLEKKIQEMDKYTGWSTQETMDFNQ -TLNWDESSPSTSDSTSGAATKSNPMFVNYVAQGILSDCKDIPTVEFTSRLESLWSQYPVILNRLCAVEKE -LEAIKAPKPVANCESQTEPEVVPEASKVVTVVDKTPFCFLCSKHFATENGYQHHISNSKKHTVAESAIPG -DTGDKGKVVRTAGPAPFLGPATTSQKRKKTSSSKSSGRSARRNQSPPNQGHPSEMMSSLKNIELVLKNLV -QVMDGQKSGKERN - ->YP_009330083.1 hypothetical protein 1 [Hubei sobemo-like virus 29] -MGNRVAVRRLEDEETGSTGSGASPVYAATTSPDPNAWVNGVIWWCSKALLALLMVVTTAIVVYRWMAYAV -RSATRKLRSHMEEIYSLLDYISPSETEAEREEKVENVYVRVRSAIEDLPIPSLLLTPSSDWVFLAFGVVT -LLVGCYVSMRSFGRVSRNLVHRIRGIQYESVREGSSFRSAKVPAYQIAVMEAGLLSDTHIGYGCRYCDYL -VLPRHVLEKDGALVTTVLLKGPLSKVMTNISPVQSRVIDDLVYCYLEPKTWSCLGAAKGKWANKLMSLHV -TCTGIQGQTAGRLARTAIRWMMSYTGTTIEGMSGAAYESQGEIHGIHQGATGPFNLGISSLLVTQEMALL -CQVESSLDTNKDAKVPLFYNQANAKLWSQIEAMDELLERYSSDSWALGGEVDYSKKLDFGEEAARRRPVS -KSHLNVTLPEGGIRLANQNNTGSTTDYVVVTSAEKEFLMELGRSAILERIEALETKVAALGKAEIPCPHC -ETTCRTRERMDHHIASAHPKVYRCQFCEVSCRTEKKLQNHLENNHVVKKESAIPADTGKSGKVVKTGSFL -GKRQGSQRNNTVSSARSSSLSSRAPPSPCLEESLSGLMASQRSIESSLKKLLEVMAGRNSAMQQS - ->YP_009330070.1 hypothetical protein 1 [Hubei sobemo-like virus 27] -MNPIILENEETQENVLLERTPQRSFINRIFWKVVVVFATLLSLTALIPLLWLVIRALTYRLYVAVEYQVV -TQYEALWRFLAGLGQDPPPEPSVIEKVADHVTDMIRRIDSNIIAIGVIGIITFLAVVVSTFFVLRSLRKI -SYRIRGIQFEALRPGSTYTKEEIPNFQVRVGQPGLFSASHVGYAVRIGPNYMAVPHHVIRGQSTVLLTGP -KGRCIVDVVATQSRMYTDLSYCWVDERVWTTLGISIAKLQPYTSRTVVRCYGQLGYTSGSIYKTSLSPGI -IAYEGSTENGTSGAAYVLAGKVLGLHSAHNPSSNMNFGVSSNMLLTEYAMLMKPEGKKTSATLDHYTGDA -PVTNVGKGWSISESMAKKEQEWNDVKLKNLATAAYSHDWTNPPEIDYNANLDWGSDDEESAKVKRPVFQA -GAFVPATVTLQHQGAEGEMVETGLMNVNLVEMVGALSKRVEELEKFCASITEPVQRLIEDVDGLKNRKVV -NATAKAVAKVTCECGVEVAEGKLENHILNAHPKPIVQMACPDCHVMVAAFKMDAHIKNSHPPSTKVKCSF -CEIQCKDSNRLANHIANVHRVMEVKPESAFSSDETIKVATRPFLGRSQRPRSNSPRMRPQQLSRTSNVST -KSQNSPSLEAVLSLMTASLQNIEKRLNAKPPGTDGQSSGIGPN - ->YP_009330068.1 hypothetical protein 1 [Hubei sobemo-like virus 33] -MFPLIAMIKVFCCVLTAGWLRYIYLRVEMIPGLLAAWLIRMEEKWMDALDAWVCRIALGPSEKPAPVPLT -FWEEMVYTLSPYFDVAIRRLVFIGYSLTAIFVVVLAFMAVKKPLRRTVLRLRGITFESMQPGSEFVEGAV -PDFQVGIYDAGTFVDTFIGYGIRFGSLLVLPAHVIKHVKQLVIEGRTGRLGLNTSYIPSKVSTDLVYIPM -TEAQWSRVGTSTAKVPKKLVNSLVSCTGRKGTSTGLLTQTDVLGIMKYSGSTISGMSGAAYYSGQTVYGM -HTGVAGDYNIGVTATLIMAETRRLVLGESPTLDEMKGLNRASAAKVRSGWDTTHLMKQVDSMYADEIGWA -ADEEMDYGVQLTFDGEASEEAIEKWMTFFTAMPSMQRESAINLLQSYTNASRAAKGQSDEPTPITLPKDF -VSMRLDAIEKRLDKITALEQRVKTLEEKIVAGVRKPGIAIPNHSPKPFPCGYEKCYKAFNKPEARMAHQV -AVGHVVGESAFGADEKPTVGTAPSPVFRQRPLQKKKPSTSSRNSSSSQERGKPSTSQQQNQSDTLVSLMR -TIGDLQKSLEATVGLLSATTQNSTV - ->YP_009330059.1 hypothetical protein 1 [Hubei sobemo-like virus 31] -MYNVIDAFTYAVLGYAATQAPGLSLAADVIWRSIKWLFNVSFRETKAYLKPPTRREVMRDWANRLVERGL -AEINAIQALEWSIIGLVLVLLVLVWKRGRRTVHRIRGIKYEAMMANSHFHEGKPLECQVAILIPGLLTDK -HNGYGFRVEDFLVVPKHVVAGKDEIMLKNLFFPEKKLMISTAGRIDSKLNVDLSYILLTKKSWSTVGVSK -SSIIAGTKSLMQSVTIYGPKGVSEGALRHTNMIGQLAYTGSTIPGMSGSVYTSEGRVLGMHTGAFEKKIN -VGLAGSMIKEEVKYLTVVQLESSEELLEVAADNGDWNDAMMNGYVNDKYSQRQYELDQRYLEDKKAKEEE -EKAYTKRFYARLASGEIDDWTSDDFLGESAIKLARQLVERADRKKKTIKAESSDGEDIPLQDMALYRRLT -DMEATIERLAERLAFVENRLCRETAMGQVVGYRCDECRTSCTTAEKLENHKKAAHPKVEKYPCPSCDTVC -NTQAKLSNHMLSNHIPKIELYQCPLCNDRLEKEALMQHISKHQPEAAEEAKEAVAFLGRERSSNQSSRNG -STSWSAERPRQADQPSPYRSTTEYLKKKKPSSGKPQ - ->YP_009330032.1 hypothetical protein 1 [Hubei sobemo-like virus 20] -MEAEQQLQQEKYLLDVMVDKVTVIGRRIMMAYKLTVNAVFLFSVYSWICRHKEMVIRPKSRYELLWELVI -EHSQPLVVVGVVVASIVVALLVRRSRRPVRRAIMRLRGVSLEAMREGSDFRAGDQPKFQVAILRPGLLSD -SHYGYGIRVGDFLVTPEHVVAGMPEVILSANGNKVQVPTVPMLRSRAFSDLVYIRVSDVAWSRLAIRTAV -LSQKTMATNLFVSVYGQKGVSSGLLSKSQMVGLLVYHGSTVPGMSGAAYTVGNAVYGIHCGVVANENMGV -ASLLLAAELKRYMIGEASEDFAAQDIWKSQPKKTWDSSDVFKVVERRFKYTDEEQGEMLARGATWGQVCE -WADESIAGAMATKPKTVKPHVTIEDGTIAMKMHPQGGSESGEEMTILAQLPAGASPKASNTYQAEEVIAD -LVRRVLELERRMDRVEHPMVEVPLPEAKRQFYSCTMCSGKFTDPVAHARLHEKPICPQCGISCTDEQAVR -NHLRASHPQRIQCDRCGVTCRSSERLARHRKTCIPMTDGSFTKESADQVDSRKVVRTAGAFLGKKASRAR -RSASSTRSSSSSDKSPPCPSRQDYLKLILESQRSTQLALENLLSSMTGPSSGILQS - ->YP_009330011.1 hypothetical protein 1 [Hubei sobemo-like virus 34] -MPGTSNVESIMDIVILKQLVIIGKCLLVVGIAAGTVFMSVGLYTLFSLIPGTIKNMLVNWPREMVQAKYR -EYLEPYGLVEEKPEPVIPKPIKIEPVRVEIESLLQRCYIEVCESIYLMIGWIFINKYTVILMCILSLIIL -VIYRRYFRHFVSKYYKKTVWWMRGVDTVTPPYRVESIIPGSDFNPDMVIPSYQVEIHSSGIWTDKFVAYG -VRFHDFLVTPRHVLTAVSNLKGELMIKTRRGSKIIRSQIMDSIGLNDMAYIKLESSIWADLGVTSPSFPK -QLTEVPSSVVTCVGKPGGSTGSLKFTDFMGFWNYTGSTVPGMSGAAYFIGNVCYGVHQGVIGNINAGAMS -LAIVEELKVLYNPEGYKMPRSSTTPLNSDAKETEFETPFTRPKKYTKEDYAEFIKKKQAEGDLWADDKPE -FESNRKGEAKPEMTQEELFFKILGLTPEQARKLLPFIDQLARTPTSTQQPPSEEKMTGHSPDGPQIPVTK -IDLETRVSILENSKVRLEAENSVLKEKVKHLEDHIDKIARWSVLHYGFGRKKYECAFPQCDRIFVGKQAL -IAHKLASDHLLEGDKLLPNPVVQGESAFPADNKTKEELGFQKKPLQKNHQPKQSGTPLRNTLNMPEKPKP -STSTQEDPNDLKTMMKEMHKILQILVQKSVGPNSATTQN - ->YP_009329989.1 hypothetical protein 1 [Hubei sobemo-like virus 36] -MPLHVYRQAVPDILIGKNGSYFEISNAPMIESDSVTDLCYVTVKPQIFSQLKTSIARFPKGMVEGFVSAV -GRSGASQGSLEKAASRGIVIYNGSTKPGYSGAAYLIGNQIYGMHIGHSSGANVGISHVVLQYDLNKYKYH -VTVDKEGSVTFSSPGMMGSKEEDLTRVYDGKWSADEFLRERKGLKSWNDIKLDDKALWANEFESTLRSLP -PDVLKFVVDRAGAELQKSNITVQGQSDDEIPVDIHIYSPQQQESLSQRVTNLEARVSKLEQKKAPKQGPV -QKVTVFKCLQCDSTSTTRLAVANHMITKHQVPEESLDLGAILAMEKEVKPEGVLSSDESDLVGNGKVFRN -RSLSPPKKSSNSKSSSSPSRKPNRFQQSAQNQKNMENLMNKLNENLLKLVQNLPGPSSAQGQK - ->YP_009329969.1 hypothetical protein [Hubei sobemo-like virus 26] -MNTTANTNNSEGFQGEPGGMFRVRLLGEEPVEPVYRPTSEEVGESILTKALRKLAFWTGLMVLAALSIVS -LLVIVYRWLAHGAACVRRAVFSQFSYMYRWLDEFSPSQTEWERAEKERTLRERFGEMWSQAVGFYSVPLF -LSGMDWIDWILLLAVVILTLIGLRLAARGCFSSGARIVRSWRGVHYEAMRPGSFFVKGDVPDCQVSVMLP -GLLSDSHQGYGIRYGEYLIVPRHVVAGHQELILSSKRAKVAVNVTFAQSRLNEDLAYMYVGQNAFSQLGA -VNAKFPKKFMSTYATCTGLPGAVSARVAKSIIRGKLVFDGSTLPGMSGAAYMAQGLVLGIHQGQSGMINV -GLSADLFRAEIKYLVRQESVTGHYDRTDDDRPSKFQANWNYEEIEKMSELRYSQDDWAFDAAIDYEEQLD -FGESMVRKPGPRVRLVPDVEGALRVEVAGQNTDGKSVSFDAVQSHHLDFLDTLRAANVLERIEALESRIK -PKEQVAPKESAPPAFPCSECRARCHTEEKLANHMMVHKQYPCESCDKVFTGEAKLARHLRSAHPVQPESA -VPEDTGKSGRQVKMEKVPFLGKKASNQNRKKSLSLNSSASAGKKGSPSVEASQCLISEFQKSMRVLLKEF -AETLAGQNSATMRN - ->YP_009329967.1 hypothetical protein [Hubei sobemo-like virus 18] -MEPQPQVFPVEEGVYCEPTLDGFVEKIWAKIKKVSLVIWRVWLLLVHAWLYYTTKDYFKEKEEKPEVWFP -RLRNQFNDLSSILFKLSLTFDLEWFVLVVTTTSLAFLTFVFVIKRSRKTLMMIRGVRFEAMVEGSDFVKG -DIPKYQVAVMKAGLLRDVHVGFGIRVNNFLVVPTHVINEVGNAMILKKKIKIQVSMGQRIISDYVSDLTY -LPISNQIWADLGVTKSNLAKESLNLAAMATIVGNGGQTTGLLRKCAIPFFYTYSASTVPGMSGAAYAINN -IVHAIHCGVVGENNSAISTVVVNKELSKIMKGEAVGATSISSNVDMSWLPDVENVWNDDLFEDYNDKKEK -RLIAAREKHGDKYNPPTSGWSAMMDYDDNFAEQYGESSSGSKSSLNVGKNKSPVKVRITPQNNTDTESEI -EVYVHPADAKPTTKSQLEDKISRTENGSLENLHLRIQRLEGEVFKIAKCQVCGMWVNQLSKHVKDMHKEK -MSYPCGKCSAICRSKEKLASHMLSHPERPKCDRCGGFFRREENLVNHRMTCLPKVRFNARNEEVVVVGES -AIPSDFKTSVKVDKKDFLGKQGSQRKNLRSSKKTSIASGEKDLLTSQLEFQSAMLKSQDAMLELLRGLAL -GTNGQNLVTSQN - ->YP_009329965.1 hypothetical protein 1 [Hubei sobemo-like virus 19] -MEPQPQENNFEQEELLVQQPILDDKELNLFAKMKRICVITWRSWLAIVHLWLYISITKYLDRRAEELKME -EKMKVWFPVFRKQLCFIYNQLLVATQYFDAETFVLMLISALLSILTLWLFFKKSRKTLMSLRGIKFEAMV -EGSHFVKGDIPKYQVAILKAGLLRDVHVGFGIRVNDFLVVPSHVINEVGNDLLLKKNGKIQVSIGQRIIS -DYVSDLAYLPISSTVWASLGVTRANLAKESLALAAMATVTGNEGQTTGLLRKCAIPFFYTYTASTVPGMS -GAAYVSNNMVHAVHCGVVGEHNSAISTVVVLKELSKIMKGEAVAATSISSNIDMQWMPDIDKVWHDDIFD -KYVEEKENRLLNARAKHGDKYNPPEQGWAAMMDYDDDFAETYGESFNVGKNPRSPMKVRITPQGIIDSDS -DIDVFVQRTTDAKPTRRLELEDRIANTEDGTLTNLHLRIKRLEQKVFQVDKCEICGAVTNQIAKHMKTFH -GREEECWPCEKCSTVCKTKEKLERHLLSHPERPTCDRCGITCRTQLTLLNHRNTCLPKVAFNKKTEKVTL -VGESAIPADFKTVVKVDKNGFLGKKGSQKKDSRSSKTTLNLSGEKDPLTSQLEFQSEMLKSQSAMLKLLR -DLAQNMNGQNLDIMQN - ->YP_009329999.1 hypothetical protein 1 [Beihai sobemo-like virus 26] -MWNPDLEATELVCSSWADVERASFFRDFIQPHLTQDNLNSVLLVVATASVLSFLQYASILFVRRCRSARS -LFFESVQAGAPFYSSGESPPCQVEMRKGSRLVGFGIRVGDWIVTPQHVADSSDNVWGGTSMFTFENYSTL -CTDAVAIRIPANWNDVRKASVGALCKNLIVRCVGPMGEWSCGRLAEADLWGGLDYFGSTDHGFSGAAYAS -GNTVYGMHCGGSGPNKPNFGWSLSFLSCFVDDLAEEQRTPVYEEPSVREVTTQAGKRKIKKDQGRFVRYT -QEPTPVSVGRHVDSSIVDDVAANADNWFKDLGYEAALVESITKKVMNELSGNGLGPLRGASTVSAVASPT -SKSSEEKAPSPAADAQLPSTAQQSSPSPQLRQTSTVTTVPEKLSKRMKKEFQAAQVEQLAWLRETLTQRF -GFPVAALDTTGWDLQRLQSHVFVLQALEAQSRGKVLSCTPASCPATDQSQQGASKSEPSTGR - ->YP_009329987.1 hypothetical protein 1 [Hubei sobemo-like virus 32] -MEFLRQLTWFKMAWLIAKFYMGFVMIMNISTILTFLVTLLVSLTWKMIKKVALVDLFYEGFGSVVDGEVI -HTVVEAVREAHEATRPVEPPSWWEEAYVWLMDMAMDNFDVLRICLVMVAGLLVLLAVKRLVWRRAKRLVY -TMKGFHCEAMVEGSEFGSGDIPSFQIPMYRAGIFVSTFLGYGVRVDNTLCVPTHVVNLARGGQLMADTWI -LPRTGILSKLHPDVTYYYLPEKTWSVLATSKPKVGPVMAAVVVSCAGPDGVSHGHLRQTPDLGQVTYSGS -TLPGFSGAAYFNGDVLFGMHTGVACERNIGVAAHLLQFEAREAFGQMEYKGESPLNDKTHDKVLKTQNRV -VTWKSSDILEKAKKLWGPAGSSWADEVEREFGEYENAADPLDEIISELDDASKRKILAKLMNSTEKVVVM -GQAPVTATMEWEKENPMHVLMENLVATVNEQETIIRRHGAEINGLKAKVANLEKKRTFAVNESGLRDCLK -SAGDKLVSAANSIGNAIVKVAEEVSPVREGEKDEIEMQEITDSVETAEEVGKNLEPDDSQKVYNPTTMKI -KCFHCERTFRTMLGLKTHKMVKHESAFKSDFRNPVKMQGNAFLGKRYPSRNLSRTSRSSNDSNQSMSRSA -NPRGAPYTMEQLKKVLSELLPTMVGQSSEKQQN - diff --git a/seq/clusters_seq/cluster_198 b/seq/clusters_seq/cluster_198 deleted file mode 100644 index a1bc653..0000000 --- a/seq/clusters_seq/cluster_198 +++ /dev/null @@ -1,596 +0,0 @@ ->YP_010229110.1 glycoprotein precursor [Clo Mor virus] -MRPSGLFLNCPLGVNRTCFTLLMLANLTTISSSHTGNNTTTSASTSAQPTPTTTPNNTVPTGTNTSAEAS -SVPTQTPSPSVQSLSHANLSTNSTGRTSRSGRRKGSLLNFAKDTMDEMFGKLQVLKVAFDAGYRAKAMEI -TLGDLFSTFIEGGRVLLNRSSAVKIIEESPTEYRNTGLHFRGPGPHSVMNEQMVLFSFFPQTDRREIIYN -LTGIDSDTLINPLGIEPWGHTRNGSIGDPEEWYCIGREQHKYNNINKKTIEGASCNMGQETTHTHISNMH -YDNIMVATPIDSPVLIIHYLTTNLYLSAKGCYMRAVNLPCVKNIVGNMTGWWTSVDSWFNPVTTLIIDRT -HHNNDECVLRFCAMRKTKEVVKGVWETKRFPVEVSLSTDYMRSTRRLLSVHDNHQASKLHSKCTSNSTLI -PFKSATSIGDKSSRKGTLVTFCNGTRMTNLPLSEFHGCYSVSVKKSYFQCSSLSKHNNCTVGKKLVDCDG -DKCLEVELLGTGKVRVVRGLKSHSLLCKDKCLIPTFSDTSGDLIIYCPGNQQHVLESNLIDISCPFHDWA -YGVPLYICRASHKPHLVYTWLFIILLGYPCSYLSFSLLKFALIITCKILRFLRLKLSRKGSGSCNICEDT -FCCPLDTEVHEGACTVQICPYCSARFNKEQLKVHNRRCVLRTTRKSDLLALYTYQVTPWFLSYPLLMLKK -STKSLAKLSWSVLVVVVLLLLVSPVKGLGTGLLPKDNWEKTVDLIDQCDNYCLETEDMCYCSDDNSPTPS -TSRKLLSLQETYKKMKKALVLEKTINLHAPWGLLHIESTYTPSASIENINLSWDSEEEIGDKVLLSGKST -GILKLVEKTGTSWSLSSNKASESRTLTVSILDYTQQYRTEFIYMTGDRLISDWSHGACTGDCPDKCGCSS -RTCRQMKWLHARNWGCNPTWCWGVKTGCTCCAIDVEQPFEDYIAILMKTEYVGTDIAACVELNSEERECA -MVSAGVEFETGPVTVTFSDPNNIIERLPQQVALVYLKDKKTGRFDIGHPDLVTTANNLCKVQSCSHGGIG -DYQMFSVDHLVKEDIVNLHFMKTDKLVKEETDWMSWQGIETSYYCNPGAWPTCTNTGVVKQNKEAFKNLN -KIERILSNSFTFQTNRVSTNHSTVTWDVKAKPRSGAGEVSVYINVEGLQLHSKKITLNGLRLTVLECSGC -FGCTTGISCRFTVTLKSPESFAVHLTSNTEHVLVAKTTAIARQPESPDSKPQTVKLFSPIKIEEICLSIE -EWGLCKDCEDERKLSCVKVDLKKPESVMLENRGTVKSSANETCGSGTLSCWAGSVGNFFRNLGGFFGSMF -GSWIKGIILFAMLACLIALLIFLGPSGLKVLLCCVRRPRYTRLITEDPKESPVLKDISRSVKEGKIKAVM -EQLKNKGTFSHLSNI - ->YP_010229109.1 glycoprotein precursor [Qalyub orthonairovirus] -MRTKNGARHYNPYGLYCIIALVFVGACGANQRKDQKEIPGGRGKNTMETVEPFQEQGKAAEWYFETLRDD -EDLANNIRRKRDSIGNSTSASEGSGEEPRATTPGEGADSSTKPTDNESRSQGPGTESVIKPSEQPTSSTQ -ISQVTPLLPSTTVPLPTAPTKPEQAMAASREDERQEQGEANSSPAGKPQGLQNSNRQAEPSSTTGRKLLS -INESETVTRSSSALGFSAELVNALSSVGKGMMVDIRTGMSAFKLGMAFQEKKTWTERKVRDLLGSLFTTA -IKAPTKAQEKREVRDVIETSGGGDTDFKVLRLRTGKGDSDDALYSVSNIYFPMFSRQYEINIDDFDTVLQ -PVHKGNFSAHGAYVFCQTEADPAYMEQGIFGNWSTHHCWLGDKCNGPAQEIEIQDLNFENIKVVSSSDMP -VLIIDYLTAQLGYNYNDLRMHVTWGDCLLLLPFNPVACFSPIRGSTLYPVEHFVIEKKWILPNSSLTLCG -VKRQSQTSMKGVWTVEKKLVKINFGSENPRHGRKLLSIGERKRKDWCVSGGMLSKHYRYRLSTDNYSIPG -PYVGFCNESKITNLPLGPEQGCYSVGKMQVHYQCTPRSSAFQAKPQCNITSTEHCGKDRICINVRLNGQG -HISYSTESGEKGVEFCSPECGFSIRKEMFNDVVLTCPSGKQHRLTINAVDFDCPMKDWLGEKSLYICRMT -HRPKLFYTVLLWSFFGYIATRMLIGCLWRTLLFLCKLTSCLKGKLDRGRGYCECCKEWVNSSEEWQRHDL -CLVNKCPYCIKRVSSQDLKKHVSKDCLEREKVLENDKTVLLARRTPRFILKISAFLNECSVSASRLSWSI -TLLVLILLLIRPVTSFKTVDPVKGIWEDEMLEVEYCDKSCIQLDDGCVCEVQEQKRDAFSMRKPLSLHSD -QDKRAYAKELTKTRKIMKSIDVEAPWGTIHVPEAYSPPRSMKHISLSWESNQHVGDKIIVSGKSTSVMKL -EPKSSISWLMTDVDAAEEKILTVSLLDYTQVYASRLEYISGDRKVSLWSKGSCTGNCPSKCGCNRKTCQT -QEWYHVRNWRCNPSWCWGVGTGCNCCAADVTELYDNWLVSVWNTEHQRTPVIACVEFDHENRVCEAIEAG -VEMNLGPVTVSFSDPFGEEKKLANRIALFHKIPGTTSHIDLLHNYGVTSAREMCSVQSCTHGSAGDYQIF -SPDVLVMDDVTSTNFFKKYKNTSSIWMAWEGVSMSYYCNPGDWSTCVGQNLVERNAEAFQNIYNLESNYS -SSFFFHSTRVRGLEDTLSLDLKGRPLSSGGDLNVFVTVQGLELHSKKVVLEGLRIKLTDCTGCYACTEGG -TCSLSLSIKEPQEFTLHLVSETKGVVIPDTSFIVKADADTTSKIRIFSVLKDTEICVSLLESNLCTSCSK -EQTRSCVKAALEPPEAIVLEHRGTLFAKSNQTCGASALSCWASSVSGFGKGLSSLFTGIFGSVLKGLLAT -IVPGVIIFLLVMYGNRLSFVLSLCKRGRAIVKRPTGHQKLNDFSSILNDETLSEKEKEFMKLISGKVK - ->YP_010229104.1 glycoprotein precursor [Dera Ghazi Khan orthonairovirus] -MFNKMGFLTIWLLCSLCSLSADSETSTAYENTTSLSPTSMLPSNVSTTSEPAPSPTTLTPTTQLPLFSEN -ELNNSIIVILNQTNIGRRTVRSVQYFTDRTTRMRMALKLLESAYYAGYRAKSYEDSTAKLFGTFLKGFSA -LFLQPSKPKLKPTPETMVGQPPELQEVDTSDEMEGTYYSFQLREASRGNNFTTTIFLDLVANKTIKFPVP -DTGYMLGFGKQTSLICNEAKPGTDDHNVVKKEWVYPFNEQTECHADNDVYLENLNYINLFKSSLDNSGLV -VINYLTTYLRFRYYNCEMLIEVAGCVMRTVRFARWAYFDFNNDTHAYPIAHIMINRHVDNTGCMIALCAI -INDAEETITRSKFKGVLIHVQPERKQLRHLLSVEPSHDNGAVKQKYRNKCNTKNQLLPYMRSIIHTLKNP -LPGQKVAFCNGTKHTSLPLGSLHQCYSVANVQTHHQCPGLSHNVKAVYDEENVSCTVDYHTIECDQGYYC -FHLKMNGSGPYTVRGDGYSHVDTCDGSCTVKVPLTESSFVITCPDGEGHLLRYNLFNHSCPLIKYLGNSA -FFICRATKRPGVFYAAFFWLFGGCPFTYLVFTLLKYGTLFLTKIIIKLKLLADRSKGICQQCGDFVRSRP -EWQRHEDCKFGNCPYCRSRLSLSSLKKHICQCLEREAVLDRDSTTVERRLVPSMLRLLGTVICWLQRAAV -KSTWLLVSFVLVIVLISPVQSLHNVNLKPGLWEKEVVEVELCDDKCYVSNDRCYCKPNTEDTSRLEAGNR -KLLEVDFPFKLGYYGSKAVQIWNNTVSASVVLDVKTPWGTVNVGKTHSPSYSTSSIRMTWSSVIKDDHGH -IILSGKSTSLMPVGKDTGLSWELTTEKSSEKRILTVSVLDSTQIYNARFNYLTGDRKIGSWMHGTCSGNC -PDKCGCDRTSCISQTWMKSRNWHCNPTWCWRVDEGCTCCAADVETLYNDWIVTKWSLEYVSTEALICVDY -DHDTRSCDILNGELTFHAGPYKVQISEVMSEKFKLPDEISIFHKVPGDDTRIDLMKVHHVTSARNMCKLQ -SCTHGSAGDSQVYNLNHFISNDIRSEYFFLQKDKKKVEREHWMSWEGVDLDYHCDSGHWPKCYANGAVTH -NKEAFENLLKVEQDYLQSFFFHVLACRLNGSIPALELQARPKRQAGNINVYVEVNKLRLNPIDAEITELK -MSIYECKGCYGCLEGGECTIGFLIKGVEKIGLHVISRTDHVTVSESTIIVSALERAKTTIKFFSAVKVKE -LCFTVEELDICKSCDPDYKVCKTTELKEPEGILIEHRGSLITTQKDNCTGKIVCWSGSFLSLFSGLGKFL -SFLGGGLLKGVLYILLPGLVIFAFIVWGPQLLSLMRAKGLIRRGLKGRLPLKMDEGILSLEKMFTKDKLQ -QDDLLNLIVKNK - ->YP_010229113.1 glycoprotein precursor [Farallon virus] -MKGTLVFGTTMCLIVVTFYMLLWLSAQPVTAYGTYYTPGNWRDNHGLSVNSYDDYIFSNFLDQVGATSTG -VHGGTQKLVPLENRTLVVTIENAENITSKLAPLQTRLVQSFLSTQNDSLSSTLQDLIKKFTLTTYNSLFE -TEVDELDKLLAQEDTYSFIPRSNISGNLVSIRITTNRTSKMVIKPTLGALQAKGTDEYLVCTENSWEESV -EDVYPTVYAQRNCQTIGACCRGKEHNMDAEDLNFVNLYTTSPKGSEYIFINYHTSHLSFYVSNCELVIDV -AGCVLHTYLAEKTNYQMMAGNVTFPVAHVIIPKVEKNRECVLTLCGITKGERVPGVGWKMVEHVVHVHPF -WNEKRRESQSISRRKLLSMDPVAVQGYKWPMRCNTKNQMIPFKRSMLHHHLRSVAGRKIVYCNSSIITDQ -PLSSLHGCYQVADKRSYFQCPGLAANAKSQKEKVNCTVEQAIQRCEKAYCLQLRMNGTGLVTVKGRNWSK -TQRCDNKCLISLEKKEDVQIVCPDGTVHKLIQNTVDINCPFKEKFGGVTLYICRATNRPRLFYFWVLWII -LGFPMLFLVFSVSRFLTLLSSKVVICFKRKLDRKKGKCMHCGCYVNSVYEWQRHYECKVGECPFCRKRYS -VLGLQQHAPTCLDMKSVITKDEDIVNEILLPKPLLLLGTILSKARKGTSKFMWIIVMITVLIFLVQPVNS -IENVHLQPGEWEEEINEVSICTDDCIFLEDHCVCPGKEHKRYKRELLSERMKDVSALYQADVQAPWGNVH -IDGTFKPKYSEKSIKMSWSSAEYDELGKLKLNGRAEALLKLEPKSGVTFELSSEKSLEKRMLTINLIDFT -QVYKTRFEYITGDRKLGNWMHGTCSGDCPNKCGCDTPTCLNTKWINSRNWHCNPTWCWRMDAGCTCCGTD -VVEPFDKYLLSKWKLEYSGTAYIACVEFSKDKRTCDVIADGTVFEHGPYKIQLSDVENIQTKLPEEVALS -HTILSDGTFDLLSVKEVLSSENLCKLESCAHGGAGDFQIFDLRSITGNNIDNEHFLAPKAELKKLKHSWM -SWNGVVQRYTCSVGHWPECGTSGVVEKNSDAFRNLLTVSENYTNDFYFHALHTSLGASVPTLDIEGRPHK -GGGSIQVMVEVDGLILEPKEAIISRLDLSLHQCTGCFGCVTGVLCTGTVLIEGVEDINLHLRTRTEHFEI -SHASFPVHTHNVTYFEIRGFSPIKLNRICLEVEEGKNCKSCPQPVTSCTNADLAPPKEIVLEHRSTLKAT -QIDKCGSGFSCWLSGVGSFFKGISGFLGNFFGSYLTSIITFLLLIAGIAALIFLGPRVLCCLKFFKKGRA -VLHMGQKEIQNEGILGLSKAFLSNKDLDPDDMRILLRKSKLN - ->YP_010085110.1 glycoprotein precursor [Estero Real virus] -MDRHDHLDRALLLFVCLLLFYLPFILKDMCNCGLTQTCSVSHDLMFYRFAMAEELGPTPTNPAEKASGAS -PTNHVPLTTKGQVIMSRDQTVSLEETLPPEAPSQVRFTIERSRRMIENRGLREELDMVLDKAVKQLDNYA -VIISKPTLPKRESSVEVDSTHLELAISADLDDEDLFEDLMEESSAYVFAASKDTSKLKIVVKKENNTTAL -VNRNITFGYLQGGDGMYLLCHERAWDEDSNNLVNMSVVKQGCDRGYGCCGVEEPQVIWVEDLDYPNLFMS -SPKGSNFTIINYLTSYRLFSYQGCSMRIEAGGCLMKTSVDNNWNPFYMYYNEKILPVAHILVPRTKENIN -CRIRMCAIMHGRESKNGIHTSKFRTVEVKIFKGQEVRTRKLLSVDPRISSYKWQHSCNTRTMMFPYQKSL -VHTVGRSIAGDKMTFCNGTRHTSLELGGPYNCYTVGHQQTLFQCPGLKRHRKGEKVDVNCTVDLHPHKCN -HGICIKIKMEGSGFVKLSGTSWSAIKKCDKECNAYFGQGDNMVVQCPDGKLHHVHANRIDFDCPFVDYTK -GASLYVCRATSRPKLLYALIFWAFGGVPLMITVLTVLRFICYLASLVCIYLKSVRDRSRKHCEGCGCFVN -SCYEWQRHELCKNGSCPFCKNRYSVSGLLEHAPKCLDRKRVESSDLEVVNLERLPRPLRQLGTLCSKARG -RTVKALWVLIMIISFMMVISPASSTMIEFKQNGLWTEELEEVEICRSECEFLEDKCRCLAKHEQLSRTLL -ALMPKETSTYTVDVQAPWGTVRIGDTIKPRYSPKAITMSWTTVDSLPDSEHIELSGRSETYIPLLEKTGI -TWTLSDHSAAEKRTLVINILDFTQVYETRFLYLTGDRTVGNWMHGTCTGDCPDKCGCDTSSCTYARWRYS -RNWHCNPGWCWAMDSGCTCCALDINKFYTDWLVSKWAVTYKGTEVLACVEYNSDYRSCDVFHAGMTISHG -PFKVQFSEPKNIGKKLPETILLVHGAKGASDGLDLHMIHEVTSGVQACRLQSCTHGSVGDMQILNLNDMI -GNDVYFEHYFTNEQKKRGAPKADGEDDRVWMSWDGVVQRYHCVATKWPDCEASGIVTRNHASFQNLIKTS -FNYSAEYFFHALHVSLNQSVPTLELEARPHEMAGGLDIFLEVDKLRLKKKEASLTDLQLLVESCEGCYGC -VSGIDCTVSIVMSGISEIYFHLKSKTEHFVISSSSIPVTDQEKKRATVKGFTPIPLKQVCLEIEESGLCK -KCSPTVSCIPVSLSEPKAVALEHRSMLHVQLKDNCTSVISCIGGGLQGFLSNLSALFGSFFGPWFTGIFM -TALFFIVAVLTVLYGPTAFRLAKVVCCSKSRKLKSARGILKLYQKAEKERLLSGKGQAVDSDDLATYFKK -DK - ->YP_009553333.1 glycoprotein precursor [Tunis virus] -MRFILLYYSLGILTAGYLVCCTNNTTTTNNATSVTPQIGLNSTVPTNSSTTGNLTSRHPVIDGIVKAFSF -FSSGIDRTDLAANLLSSYYYTQYFRNKLKQSYTSLSSAVLDAFKVIVVGPYRGENRTAALQPTPPSSLEK -LVSMVNDRILTGYEEVEYKFFKKDSVADRGYSVRVRFELNQTGTKSYTRQPVDELGYLWSEDGGVSMVCL -EGRWYDSKADSILRTKATGSSIPGDCSTFQPTPLKSLSYQNLFLSKDAGHNLRVVNYHTTQFGFSFLNCE -VVLESAGCVMRTVKLPEWINLNFHNDTHQYPLLHILINENLDNTGCKLRMCAVSNRAGKSLGEMRFKGVE -VLVDRPISGTGRKLLDVREPVTRGYKNRNICNTKNQLLPYQKSLMHNLHRSVPGTKVSYCNGSVHTTLPL -GSLHGCYSVGTVTTHHQCPGLKRGLSGKMSTIENVNCSIDYHIKECSNGHYCFQVTMLGSGAVTVKSNHH -HEVVNCKHECLLSLPDTQEDTIVTCPDGKSHRLLYNLLIHDCPFERYLGHNAFFICRMSHHPRLVYCLFF -WIFGGCPLLYVTFTLIKFSILALAWTVIKVRRTLTREKGTCDQCGDFVPTGPEWQRHDQCKQGSCPYCRS -RFSISGLKQHIQVCLERDRVRNSDEEVMNRKLVCYPISIAGRLASKAQKHTVKVGWFIILLILLLLSTRP -ANGLQNVELQKGIWEQEVEEVEVCKVDCTVESSLCYCEMEQLQDFHSGRRLLFNHPAMSGIYSKNPNVTR -RTVEVINNVKAPWGIVNVKSTHQPTYSSSALQMSWSSSDELEDGSIVLSGRSSSILKLEPNTGVTWNIKA -KQSKEERKVSISVIDHSQIYNARFQYLTGDRTVGSWMHGTCTEVCPEKCGCTMSSCHHLEWLKSRNWHCN -PLWCWAMDQGCTCCAIDIQNVYNNWVVSKWTLEYIGTEALVCVDYDNSERECDIVNTATQFESGPYQFQV -SDVSNVQHRLPSEILIFHKIPKDADTLDLMKHYHITSAENACKLQSCTHGSVGDSQIYDLDRLIGNDIDA -EHFFKNVSGKERGHWMSWEGVTMDYHCNQGHWPDCVYTGVVEQNSEAFANLLSVEQDYTKTFFFHNVNCR -INGSVPALDLQARSKVSPGSLSVFLEVKGLTLMSDKAHLTSLSFRTLSCTGCYGCVEGGTCSVIVAMSGV -PKIGLHFVSMTEHVTVEQSTELIEENEPTTTNIRFFSAAPVKELCIKLKEFTLCKTCDKAKQVSCVKVSL -KDPERVLLEHRGTLVSTTTDNCTSIVSCWAQSFTGFGLGIGNLLSYLGGSLLKGIILFVLPLATVVLLIL -YGPSVVRLLVKFRRLRGLARKARARRFDNDGIMEIRQALLKKEDKDEHDLMNYFVKNK - ->YP_009551658.1 glycoprotein precursor [Great Saltee virus] -MKESIVGGVVLSLFVTTFYLIIWFATHPSSASYVYYAPTLGGGYSGFQVNSYDDYMYTNFLQQEKAQAHK -IHAGTQKLVPAGQNKTLVVTFENVQNITSKLTPLETKIAQAFLETQNDSLSNVLLDIVKKFSLTTYNAML -EGVNSDLSDLLAEEDTYRFHPKVKISGNLVALRIETNRTSKMVIKPTLGALQAKGKEEFLICTENSWEDN -EFDAVPKNVVQRNCQNVGACCWGERHHIDADDLNFINLYTTSPKGSDYIFITYHTTHLAFYVEKCEMVID -VGGCIMHTFVANSTNYQMMTNNMSFPIAHLIIPRNKVNWDCTVTLCGLTKGERKDNRGWVSKEHVIVINP -FYNEWKKRGKTITQRKLLSTEPIAVSGYKWPLSCNTKNQMIPYKRSRLHHHLRSVAGKRVTYCNSSLISD -LPLGDIHGCYQVSDYKTYFQCPGLSKGIGKENVNCTIDPIPQSSGDAVYIGINMTGTGLVTIKGDGWNVI -EKCSWKCKVQVPSIEDVQIKCPDGSIHQMVMNKIDIKCPFKDKFNGLPIYVCRATNRPKTLYFLLLWITI -GFPTMYILLTFVRWWLAILSKTIICAKRKLDFKKGKCVHCDTFVPSVYEWQRHDGCKHGECPFCRKRFSV -LGLQQHACQCLDKKTVLMKDEDAVNEVLIPKMLLVLGNTFSKARRGFGRTLWALVIIATFIFLIRPVSGI -KKVVLKPGLWEDELNEVAVCQDTCNFAEDRCFCEEEAEVSAKGRLGRKLMSESLKQKSAKYSADVQAPWG -NVHIEESFKPKYSENSIKMSWTSVTENEFGKLTLNGRASSHIQLEPHTGVTFELSSEKSLEKKLLTVNII -DFTQVYKTRFEYLTGDRELGDWMHGTCSGECPAKCGCDTPTCLNTQWKNSRNWHCNPTWCWRMDSGCTCC -GTDVVSPFSEHIISKWKVDYQGTAYIVCVEFSQGKRVCDVVSDSMVFEYGPYKVQLSETTNIQRKLPTEI -ALKHHVTKEGTFDLLAVEEVLSAENLCKLESCSHGGAGDYQIFDLKAITGNNIDDEHFLMPKKELQKLKH -SWISWNGVIQRYFCSVGHWPTCEASGVVRHNKEAFVNLQQISENFTDDYYFHSLHVSLGPSIPVLDLEAR -PKKGGGSIEVLIEVEGLVLEPKEAEITRLDIDLLGCSGCYGCVTGITCFGTILMEGIDDINIHLKSATEH -YQVSSSSIPVHTHNHTTFEVKGFSPIKLNKICLSVEEGKNCRTCPQPVSSCTVANLQAPQSILLEHRSTL -KSTQKDNCTSAFECWMAGAKNFFKNLSSIFGNILGKYFTSIFVILTLCLAAFAFVFVGPKALFCLKFFKK -GRALIGIGKGKKDIKYEGILGLRRALGEVTDPDDLRSLIRKSNKKE - ->YP_009551599.1 glycoprotein precursor [Avalon virus] -MRALPALLVVWHVACYVALNGSGIAIRTTAATNTTQATSTNASTNMTSSSNSSKQNFLANTYKVFRGAVK -RTANDIIMAKDAFWAGYNARKLETTLSSLFTTFIEGGKILLSSNDAVDIINNSSPSYGNTGLNFVRGTDV -LMPLGHQPRIGVLSFFSDYDNREIIYNWTAIDSDLIWNPEGIEEWSYSKNETGKDRAPWYYIGKGQHLYN -NVNKSTIKKGTCEKTLNVNHIHYSNVHYDNIVVATPPDSPVLIIHYLTSGYHLYADKCYMRAVNLPCVRT -VNGTMSNWWTSTDNWFNPVTTFIIDLSYHHNEECTIHLCGMRKTREISRGVWETKRTPVTITFSAEPFRQ -SRRLLTVKSTKIKTGVHSRCASNSTLIPFKSANSVHEGGPRKGELTTICNGSRLTNLPLGEMHGCYTVSM -KKSFFQCSSVSTNSNCTLGSRLVNCNQGKCLEVDIDGIGKVRTVRGLHSHSQTCNRKCQIPTFSDAKGDL -VVYCPGNQQHVLESNLIDISCPMHDWLYGVPLYICRASHKPHLVYVWLLFLFLGYPVVYLLLAIARLVLT -LLCRIIRYFLLRSGMKGPKQCRECLDTLCCPLDAEVHEGACLVQLCPYCSTKFEKAKLQIHNARCIYRTE -RKADLLALYTYQTTPAVLGFLLSQLKKSIAIISKVSWSLTALILFLVLVSPVSSLSTGPLPEGYWEKTVD -LVNQCDNQCVITDDQCICTEDYEVHEFHPRKPLSLQESFRKMKKALALEKTVNINAPWGLLHIESTFSPT -ASAENINLSWDSEEEVGEKVLVSGKSTGILKLVEKTGMSWTLSSNKASESRMLTVSILDYTQLYKTEFIY -ITGDRSVSDWSHGACTGNCPKKCGCDSQTCHQIEWLHARNWGCNPTWCWGVKTGCTCCALDISHPFEEHL -VVLMKTEYMGTDIAACVELNSEERECSMVSAGTQFDTGPVKVTFSDPTNIVDRLPQQVALVYKVDKRTML -FDIGHPDMVTTANNLCKVQSCSHGGIGDYQMFSVDHLVKSDLINLHFMKENKLNSDDSSWMSWQGVETNY -YCNPGAWPTCTYTGVVRQNREAFLNLHKVERVLSDSFVFQTNRVSTNHSVLTWDVKAKPRNGGGEISVYV -SVDGLQLHSKKITLEGLRLTVLECDGCYGCTTGITCRVTVSIRAPDKFAVHLKSETEHLIASKTTVIAKD -PSIQDAKPQLLKLFTPVSINQVCLSIEEWDLCKDCSSQLKISCVNINIKKPDSIMLENRGTIETAANETC -GSSTISCWTGAVGSFFKGIGGFFGSVFGSWLKGIMIFLICAGLVLLLVLLGPTGIKAVFLCRRRPKYLRL -PTGSTDKESPLLQDINRSVKEGKIKAVMEQLKNKNSFSHFADI - ->YP_009666121.1 glycoprotein precursor, partial [Artashat orthonairovirus] -MSTEASPNIQLWRMLFSNVCLLALLLSVCESTEEGDNTIAVPSSQQEGQAAVTNATISNHISDVAVQPTT -KVADKRTIFDALQEGVGELWKKTDAAGTLLKTGMALERGKQGAKKGLESALGAFKSIISSVSGEVRDFMV -VVSQKTETGPEATTDINTQSRKQMQDSAVAAQSFHFRNGSLLPHVKWRMFALDNDFEISKLSSIRSINSR -STCVLKTGDRRDLERTAYFNKTWGLGCVSTDYMVEHYTQLTMDNAFLSTPEWSPVVVISYLSFGLGYVVH -NCAGSIHLDECRYDFPANETIGFWDKGEQRTTPVGSFIIDVTSSSSQHCEITVCGIRKTRRGPDGVWDTK -QIRTRIVFGEPPKHKLRRLLSIDGGRRGEKGPVRCSSGGSLIPMTRAELIRDNEGSPHDQVRICNGSRMT -EMPLMSMHGCYTVHKIRRFLQCFGGSYNQFMNCTVQKSLEQCGITQKCIRVNLRGFGMVKMSRGSTVSIK -KCVESCLFEVPDTEKGEVQIVCPNGAQHVLVDNIVDLNCPGSESFKGIPLYVCRMSHRPHVIYFMILWLL -GGFPALRVVCWLIEVFIRCCCKVYRKIHEVRDKRKGECEFCKDKVESCAEREIHDGNCFNCTCPFCAGRF -QRDSLRIHVKVCGRRKDVKEEVSMLLDLVRIPRLCRVLLVLLSRWSKIVSKFFWILTLVICFTVVVSPVQ -GYHTVPVENGYWEEGKEFVNFCKESCEMTTEGCYCTYPDGTTQFMKRTLLSLDKKLSGMKKLIKETTGTH -GINVKSPWGLLRVEDTFKPSGSVENIRLSWDSAKVVGNKVTLDGKSVGIIKLVPRTGMSWVLGAQEASET -KTLTVSILDYAQIYGTEFLYLTGDRTTGTWSRGACTGECPSKCACSHKTCLSKQWLHARNWGCNPTWCWG -VNTGCTCCAIDIKTLFETTAVTLWKTEYLSTQVVACVELTNEERTCELVETGMKIQIGPVSITFSDPRNI -QGKLPTEVALIHDIGDARNSLVDFIHPKKVIGTNNLCKLQSCTHGGAGDLQVYHIDNLIKDDITNFNFLK -DLDGALDGTWMSWQGVDLDYNCIPGSWPNCLYTGVVTHNKEAFDNLEKAEIDYSNLVYFHSNRVFGNGSV -FTLDLKTRPKDSGGEMSVHVDVSGLELHSKEVVLVGLKMHEFSCLGCYGCTSGVDCTVVVTVEEPDLFTV -HLESTTEGFIISDTSIMARKDQAEGNPKHTIKGFSATKLDMVCVQIKEKKLCPECEEGFLKACTKANLED -PKNVLLEHRGTVKSKSNQTCGDSTLGCWVNGVKSFGKGISGFFTDWFGSILKGALAVVVPIAVIVCLVLF -GPRIIFFLRYFKKGRALMKKDYGGYAKIDLEGMRKIQKKELDEDEKKLLMSLRKRF - ->YP_009666117.1 glycoprotein precursor, partial [Burana virus] -MARACFWAVALLLLLPDWGLANKSSPSPTNSTPVTSAASPAKPNTTASSGGFFTNSLGSIVYSFNSLKNA -SLQYKSRVLNYVNNSVQQIKSWGTGMVSFVIPYKGKANNSGQMAILSVKVGQSYDQLKQKFGRFNTTGWF -NLLGKKIVLSVAEGMQVMTDGLGGLLSDPTLRITGSTYIIRPRKGGVPLWNGLVERMPPPTCAGSKIVRN -SGFRSFNVEAQLDKQEPLVVIAYSTAHLGLEVEDCWATVESHDYIALLADNTRFIRPNLDDDSLHYPIIH -ITVNKHHLSKVCRVTMCSIREPQGPSTVIQEAKIEKVVVEIHPTKAVRHPIRKLLSVSQDIVKEPCSTGT -KVVSVVKLDTHTANNSNPGPYKSICNGTKIVNGFAPPELGCYSVSRKTVAVQCPTEEKLTTKEYGDCTFK -RSSQNCNYGYLCITVSTPGRGTVKISSGEAKDHQDCNKECDFTIKGFEAVLTCPNGEKHQIISSEVETNC -PLHTYGHLPIWVCRMSFRPVMVYTLLAWYFLGYAAWRLCFFVICTLLRLGSFLTRCTRVRMDKTRGLCEC -CGEWVPTKYHWQRHESCRNGRCPYCRAVCSTEKLKKHVKDCIERENRLREDEEAVTIKYIPSALRVTTIA -LSTVSKIGAKLMWLVGLFVIFYLCIHPVYAIKDTAVEEDLWAKEVDFVNHCSSDCVQGEEDCTCLVPAEP -AVVTRKLQSIFPDFEALKRLASHHQGALRPASKKRSIDVTAPWSTVYLQDAFAPSYSGKHISLSWTETSS -SGEHITVNGKSEAILKLEAGTSFMWEITSPTSSEKRRVFLSILDHTQVYNTRFLYATGDRLIESWMHGRC -TGSCPDKCSCDDHLCHHSEYDDFTNWRCNPTWCWNIGTGCACCALGIKQPFTDWFISKWELEYISSPVIA -CIETSPDDRICQEVSAGTVLQLESISVQFSDPTGIEKKLPKEVALVHKMPGLNIFDLSKKIKLVDGRTLC -DIQSCTHGPAGDIQFYSISSLFDQDHINLNKLSKKTGLNKTNSWASWSGVTSYYTCHPGHWPDCHSTGVV -MHNAEAFENLMNQEDLGESYFFHEEKMYVNRTPTLSIKGRPSFGAGQITALLDVQGMMLKATHVKPDGLT -IDLSSCKGCFGCSQGFTCVVRVKITKPDTFTIHLLSKDPDIIVPSTSINAKSDSTTTHEMHFFSTASKSE -VCVETEEVDSSGKRASSCCAAQLSEQKAVVLENRRTLHSTSDANCTTGYFTCVGSNLSSFFSSIGAFFRR -YFGSIWAGIVTAVLLCGVVALAILFGPQIFSLCLICCRKRSGYRRLQQFESLRAEWTEARKSVEEEKKKN -KAVEQYLAKLSKAK - ->YP_009666115.1 glycoprotein precursor, partial [Chim orthonairovirus] -MRGEKNVLLLRHCWTALLVCSTITTAVSDSDFTFERDIRETEGGGASNKGEGARRLLAHTLQTPADETSG -NPQTHGKFHKERSFDTDNIRSGGRVFDDTYQDDEDYARLSRGRRSAESPAPAAEGSGEESPSTGGTTVPP -SSAVGASVSGRSAADTPEESQKSDNSGHSGTGRDTTPTSVATPEMSPTLPASPSEQSESLKEEDGEEEAQ -GVETRGDTFAGASQGGRNDSGGPQDSMQPQAEQATPTMALAVTPTPGLEPPPEPISTSAPESSKTLHEAE -EKAAEDNRASKNQDTGPRTDESAQNTSTSTEQPNDIEDPTTHRESKSLEPAPPEPAVSTRRLLSINGTST -PSLTDTFSNMFQKVGNKLSAKIRTGYDMFKLGVQFEKSRVWTEEKVKGILSDFKLILLSNNRSSRSPEAV -ETVEVRGVSEIALQPLRRQAQGPEDDVLYSGRVNLFPTHSRLYEFSMDDDELGFRSVYKKNMSGAGIVLV -CKNHTKGTYDHIDPGVDWSFADSGCAIETNCPGVPKEVRLRDLNFENIKVVSTPEMPVIIIAYLTTAKGH -KHHKLKLFAENSGCLIGHPYTSSYCITMARWKDYYPVTHFTIEKKWIEDDSYLELCGAKKGAPTKFKGVW -ELTYQRVKIILGQENPRQGRKLLSLSPRIKRDWCISRGLLAKYDRYMVSTSTRSLPHPRIGFCNKTKLTS -LPLNREQGCYSVGKMRVQYQCHPKTLAHQSLPECNITAVDACDHTHVCVKVKLNGQGHISYSAESGESGV -NFCSPDCTFSVVSDPYNEILVTCPSGKQHKLTVNAVDLNCPLTSIIGDYAFYVCRMTHRPHIVYILIIWL -SAGYLALRLILAITGVLGIILCRLTAYLRTKLDPNRGLCPCCGVWVRAAEEWGRHDRCKMSQCPFCNKRA -SGDVLRKHVKEECKERCIIQDKDRATIVFNRTPRLLLKSFAFMADYAVAIARTSWSIAALVCLILLIKPV -TSFKTTEAVGGYWEDSEREVFNCRQDCIQLDDGCVCEDDSRPASPFSSRQLLQLHTDQEKVSIAKSLSSQ -KRKVVKSIDIEAPWGTVHVPETYEPPQSIKHISLTWESTHLAGDKVILSGKSSALMKLNPKTSISWLMDD -KDAAEQKILTVSILDYTQVYSARLEYVTGDRKIELWSEGSCTGDCPDRCGCQRNTCHTQKWMHVRNWRCN -PTWCWGVGTGCSCCASDITEVYQDWLVSVWHIEHQRTPVIACVEFDHTDRICEVIEAGVEISLGPVSVSF -SDPYGEEKKLSDKVALYHKIPGTTSHVDLLHTHAVTSAKDFCTIQGCTHGSGGDFQIFDVDALVIDDVTS -GNFFKKYTNNTKVWMAWGGSTMSYYCNPGDWTTCMSENIVQSNGEAFHNLYISETNFSATHFFHSARTRG -LDDTLSLDLKARPISSGGDLSIYVSVQNLELHSKKIVLEGLSLTLSDCTGCFACTQGATCGIQLGIKTPA -EFHLHLKSENPNIVVPDTSFSVKADEPTNSKIRVFSVIKEPEICISILEKQNCPSCTDRDTKSCVKAVLE -APESILLEHRGTLFAKSNQTCGGSVLGCWTSSAGNFFKGVGSFFTGAFGSVLKGMLISAIPLLLIVGTVL -FGDKISIALRLCKRGRALTKVKQYRPLIPYDSLLNDQNLSETERSLMKSITGKQA - ->YP_009513192.1 envelope glycoprotein [Thiafora orthonairovirus] -METKWVVAVALVMQLYQLLSLTVSSTSTTTPTVESTTSPNTSSATNATTGASGPTAQGISSSTSNQSVKS -VDQIVSYAANIWDSTLEALFTKDTSCNKNLSWCRLEVTDTHGLTPYVKHLYNLSNSKYNTFCQSKKGNFG -FIKKEKFTFDVTTGPERMLLKDIQCANVIYDGVTKDGYLLHVLFGGRRVFFYSCRYTIITKNCRIRASHD -APVPLPGYGNWTTAMYSVFLEHRSAAETCKIYFPCLNKGKPLGNGGFKIKGFFVTGLTKPEGTGRKLLTA -ADPEPDEDCGSASHLKQITNHHLVTDFKDGPGDVISICNGSTFFHGRMPDGLGCHSIRSIKVSHHCGHHS -TKCTVEPDLKACSHGKCILIRMSNRGMVKLTRGTTVETIRCGTECMLPPLDGEGDILIDCPGGTQHFLQK -NIIDLDCPNYPYFKEFMLYVCRASHRPKTTIAFFAWLSVGYILLSTVCSISLWSLKIMCKGIELCKSKLP -HNSGECKVCRQHYSSELGQQLHEANCKNGLCPYCSNRLPENSLYKHAEVCPRRKVTEDTIREHDDFNSTP -WFFVFIFGISEYKGTTIKRATWLMILLALLLVSLSPVYGNELFFDGIGDGQLEKGLWDEEIGLVENCHQE -CFITETECLCPSFETGRKLLFFHLLNKQIRSGRKMKLLSSISLDTPWGVVKIEKSFKPVLSMSNLQLSWT -SEEEVGGKIVVSGKSTAVLKLKEKTGMVWELSSSKATEKKTLIVSVMDYTQEYKTQLQYLTGDRLVSEWP -RATCTGPCPDRCACHTSTCTWKAWPNSRKWTCNPTWCWGVGTGCTCCGMDIEKPYQNYLAAKWSTEYIKT -DVVVCVETSDEERHCDIIQAGSRFHLGPITVLISDPQNVVKKLPSEVATIHKVQSSEVDVMHVDRILTAN -GLCKLQSCTHGSPGDIQIFKPDYLVKHSISKRINAIEDHWWANDTWMSWQGTDLDYYCTTGSWPTCTYSG -VVRQNTDSFKNLETTEFDLLEEYFFHSSRVEVHGRTLNFPVKSRPKEGGGELTVLVEVNGLELHSKLIVP -VGLMFKITSCKGCYSCSSGFICDVVLGVDSPPELTVHAECTNPNIVLTEGSLIARQGQTSTAKIKGFSVL -KTTRLCIVLQESKVLEKLIEDCTELKLDDPKDVIIERGSTLLSHQNDSCSYGLGCWLANVGTFGSGLSMI -FQNYFGSVILGFLFFVLPVVLLLVFFCLGDKIFFCRKFKWCFKSNKEDKEKFKQMVTELKQTNLIKKMKE -EAKTSWRGLANKALGKSTKEE - ->YP_009361833.1 envelope glycoprotein [Keterah virus] -MRHTDMFNFGLRHVIGCVILCYIIDITRCSDVGNNSLSLHLDESWKTGNMSIKEGRNGTVDTENVLNVSE -RTDKAKSRSRRLHGGYNYRTIIVRSNTHHKPSSGIKVVGKSRHVISNIHRVNGTRIHQKGNHGGAAEIKG -VSKLKQDNPTKAQQHKEGTGLSDGDPQEDGAIPRPARSPTTSATTEVSTSTPSPETTASPGDGDTQEAPG -IETNSTPTPPTVESQSEVTLTEGLDTPSTSQTTSTANQSTAQTTDQNTASPTISTLTTEPSETTLSAAEE -VQATELTADDPNVTTLSAPISSEQPNVSSAHLTRKLLEVDNATAKTLIESSNQTDKTAESNSSAQVLTTA -MPTRETTDLSSTTFLSMTANGTLTNIITKPVIPTSISRNKRRAEDWRKLLHITVHSPDDDSDISTAEQTV -TTITNLTSPAQYSEQQKHENTRFFSMDLDSDSWDYKSTSLPGQKVAIICAKGVLEEKLGDIYPHVEIKKL -WPDKTSENLTSCEFVKTCPGKPIDIKLPNVNFENIKVMSTPDMPVIIINYLTTHYGFLDYNLMYHFENRG -CMYLIPIRSSYCWFLRSKNVFSPVSTIIIERKFLTQDSKMLMCAIKKSGHGEIKGTWKYTKQPIRIWFSD -DRTMGLRKLLSVQVRQQGKSKVNTYCHSRSHLIPVEQHQLSTTSRIVPRPRIALCNDSVITELPLGQEHG -CYSVGKIKVHYQCTPDEHAVQVPNECNITISDNCPDNEVCFLISLNGQGHVSSAVVGHSPLVKRCLNKCT -FGFKKTSDLDVLFTCPGGKQHRIQTNLVDIQCPMHEMLGKAALYICRATYRPKALYFTLFWITFGYVCFC -LVMEVVKISVLIACKLINFFMEKADRTRGYCEHCKLWVNSYQEWWDHKSCCACKCPFCGFNFARSEFPKH -AKDCTSRAVKIENINAVLAVRRTPRVLIWIAVALTKYFKTVCRLSWATVLVVMCLLIISPVQGLNTGPLP -EGIWNEYENEVFNCKESCMKLPDSCVCNDQEQNLKTEFNTMRKLNSIIMSGPTPGQAGKIHTVLKSIDVE -APWGTLHVPESYTPAQSAKHISLTWESSQAVGDKIILSGRSSTVLKLEPKTSTVWTMETRDASEKKTLTV -SILDFTQMYSAEFLYATGDRSIKTWSEGSCSGDCPKNCGCNTQTCHIQSWLNVRNWRCNPTWCWRVGTGC -SCCASDVTELYTNWLTTVWRVEHKKTAVVACVEFDHEQRICESVEAGVEIQLGPVKVGFSDPFGETQILN -QRIALFHKIPEVTTHIDLFHNFGVTSATSFCNIQSCTHGTVGDYQIFDPDVFVLDDVTSTNYFKKLNNKT -KVWMSWEGVSVSYYCNPGDWTTCVSDGVVEKNSEAFANVFKSETNYSTTHFFHSSRVVSQGKTLSLDLKA -RPISAGGDLTAFITVDGLELFSKEIHLEGLKVSMGSCTGCFACNLGATCSFTLSIRKPEKFNLHLISKTA -GVVVPDTSFLVESDKFNTYTIKVFSVDKKTDICVEILEGKFCKTCPKENLVSCKNFILDDPKEVALEHRS -TIFAKSTQSCGNSTLSCMLSGAKSFFSGIGSFFSGYFGSILKGVLFTVLPIILVVLAVFYGPKMLFILRF -CKKGRALTSMNYEPIKQITNIDDFLKTEASSDEGGNFLKSVLGPKLKPA - ->YP_009507851.1 glycoprotein precursor [Hazara virus] -MEGSYWWLSLLALLAWGANGESTSPAETSPAPTTPNPPVVNPSLRRKIVNQRILSAMGMDSDPSNEALNG -VCQSIHSNGCNANELKLRLADFFIDTNSSQCYDEILVKKPCSSLTPAHNSHWVPRGLDKSEVDKIFDTKL -KLFFSQSRKVTCLSASALNPSQFVKHFQVKIQETSGPAKQSLRSLHCVNLVWSHSHKGEKEVVHVLQSAV -PVKLKNCLAMLNFRQCYYNQQSEGPVVVPSYQHNGEKWVTGAYTMTVEVDKHADGPCEISTTCITEGSEI -KPGVHSLRGFKTTLVIHGKRNTGRRLLSSSNARQECSSGTFLGEGGSAQVVGPKNDGPGDHITFCNGSVV -TKIRLGQEHGCYTVRRIKTYRNCRPEEGSSACEVDDELKPCGAQKCMNVHLSVKGLVKTSRGSNVQVHSC -DKDCLIQIPEGFGDIQIDCPGGTQHYLESNVLDVDCPMYNRLGGLMLYFCRMSHRPRTCLALFIWLGAGY -GITCIAGYMVYYAILALSMLTRCLKRKYMVKGDFCLKCEQKCVTSLDQTLHDESCSYNICPYCGNRLPEE -GLRRHVPSCPKRKQRLEEIDLYLDYLLVPCPLHFALSTAVKLGTLLKRLSWVTVFLCLFLTAIAPVQGQV -TTSPVLPSNQSTECTLLPPPVFLIFSAVLMSKTLKRMGPVNKVGAAGHSARRTNSPKNLYKSKQIANTKS -GPREPRRRVVVKALLILTASSALQSIHLAQAFDSGSLPEGAWEEEMQLVQGCNQECSLEEDECSCPDGQS -MTRKLLFFKGLNSAASKMASSHRLLTSVSIDTPWGAIKVESTYKPRLASSNIQLAWNSIEEQGDKVILSG -KSTSIIKLEEKTGMQWSLGSESAAEEKRLLVSILDYTQVYSSTFQYITGDRTVSEWPKATCTGDCPDRCG -CSTSSCLYKSWPHSRNWRCNPTWCWGVGTGCTCCGVDILRPFNKYFVTKWTTEYVRTDVLVCVELTDQER -HCDVVEAGSQFVIGPVRVVVSDPQNVQTKLPSEILTIQKLEGNQVVDIMHATSIVSAKNACKLQSCTHGS -PGDMQILHTDNLIQHSHDGGLNLADLNPLVNSTWMSWEGCDLDYYCTTGSWPSCTYTGINSENTESFDNL -LNTESNLCERFHFHSKRISASGSTLQMDLKGRPNSGGGELSVLVDVKGLELHSKKISLKGLSFKTLSCSG -CYACSSGLSCTVEVRIERPDEFTVHLRSVSPDIAVAEGSIIARRMTGGPLSRLRAFAVRKVKKICFEIVE -KSYCKDCKNEDTTKCIEVELQPPKDILLEHKGTIIKRQNETCVSGLQCWTESASSFVSGVGSFFRNYLGS -ITLGIVLTLLPVAVVLLFFCYGDKLFKLCSCFRCCRGLSRGKVRKELDEDELRNKLKKFSKEGELFGKEK -KDARTIALLLSGKGKNYKELV - ->YP_009361837.1 glycoprotein precursor [Nairobi sheep disease virus] -MVSLEKGLILLLLMHIAIASTGGSLGLPGLNGEDHTDDPEVGGDGPTEEYTEDDPESTTVSIPTTPPVLG -QGTEEVPATSGEAEAGYSTTDVPDTVSEKVEDEQDTTPSTQVTPDQPEQSTTSLPDPWTAISTRIGRELL -SVESDTTKSSLVTVGHPQQPIFLDGQPVEEVTHPVNERDVTEALRKYDKMKKLLSQRILSKMGMARDFTD -EELDVWCHERFDNCSSNDIENRIRDFFLISDQTECFDEVLVKRLCESTNPIVGEPWQVAGIKEEIVRREM -GRRIFRFFTPTLKVTCVSGSLNPSDQFVRFYDPVIERTSGPTIQNYDSMHCLNIENGLAKPSKMVVLNVL -MTTVEVRLESCRAFINAHQCIYTQHADGQVMVPTFVDHSGTRRTIGAYTMSFNLTDERNRACIIRTTCVV -KGKEVKKGQSQLRGFPTSIRLFKSVTGKRRLMAEEGDWTDCGSGTQLDMTMAVSVHNDKKGGPGKKLTIC -NGTTVSDSTLNEGLGCYTINKVITGKACKVGNSTGSCEVQTELQKCETGKCILVKQKSKGVVKLKRGKTV -IITECQGSCLFAIPQDTGDITIDCSGGRQHYLEINIVDIHCPGKDKWKGFMLYICRVSSRPLIALTFGLW -LAAGYLITCLVSFIIYNAVLLLSIAIKKVRQGREKKGDLCIKCEQHCMNLYDQELHELNCSFNLCPYCAN -RLSDEGLPRHVPRCPKKSERLEEIELYINYTRVPCILRWILSTSVQVGTAVKRLSWFSVLVTLFILTISP -VQGFPLESPPIGAATDSRIMYGVVGFMFALIMMLNLKKSHYGSIFHVIDGFGRCNLCDTRIDSLMEETAH -DLCCMFDCCPYCHRVSESNGSHCFHVRICVAKEISKKMLKAGGRLFTNKLVSREGTFANRIQLLYRRSKV -VSTLVVTVLLLLVLLKPTTAFDSGPLPDGTWEEEEKLVRNCGQECILDQGECLCPGEHRAGRKLLFLNGL -QDAARRMTESHRLLTSVSIDAPWGAINIESTFKPVLAASNIDLSWNSAEEQGDKIILSGRSTGIIKLQER -TGLMWKMSSEKASESKNLLVSVMDFSQLYNSIFQYITGDRSLSEWPKAVCTGDCPDRCGCQTSTCYHKEW -PHTRNWRCNPTWCWGIGTGCTCCGMDVERYFNKYFGVKWALEYVRTDVVVCVELTNEERHCDLVQAGSRF -SIGPVSVTLSDPQNVVNRLSSNIMTIQEIADNGILDLMHVSKVISAENACKLQSCTHGSPGDLQILHTDN -LIKGDMSGGINLAHLDPQVNTSWMSWEGCDLDYYCTVGDWPSCTYTGVNSINTDSFTNLINTETDYTGKF -HFHSKRISARDDTLQMDLKARPNSGGGEMTVLVEVNGLELHSKRISLKGLRLTNLKCDGCFACSQGLTCT -ITAKLESPDEFTIHLRSTSRDVVVAETSVTARKVETGARSGFRAFAVRDVKKLCLEVVEKDYCPSCTVED -LKICVDVSLEPPKDILIEHKGTIIQHYNKSCDEGYNCWVGSVSGFFVGVKDFFEKNLGGVLIGLVSTILP -LVLTVLFFIYGKKLFCLCRLCHKKCCRGSGRGRDGYSRLSQEEEIKEIIKKFSRNGELLGKGENDKRTVA -RMFMDGQSTKKAIKEVA - ->YP_009304990.1 glycoprotein precursor [Wenzhou Tick Virus] -MALKAFYPFLMGLYIVLYITSPCTATNNTTQAPTSSTITVPATSPGASQPSPTVDTIEAILTNVTRLPLI -LKDEALKLLNDSVSQLSSWGTFTMSIVTPHLEKASNKAQMLHVMFNSGRNYEKLSSRLKSMNLSSIILGF -GKKFSISWFDGLRVSPNGLGGTSGTLANRFLGSYYFLTERKGGVPVWPGLTDRMPEPTCEGSRTIRNLAF -RSFNIEVSLEQREPIVTVAYLTSYLGISVQDCSATVENHGAMGQLTDVGGYIRSSVSNDSVLHPIIHITV -DRNAILKTCVITLCSLREPPSSVGTAAKEVRPEKLVFEITPGATPSPTRKLLSSSLLQLKAPCNTGTKVI -TAVKYETNTHDQPTPGPYRTFCNGTKILKGFAPNDLGCFSVSRKVVKVQCPVTADDIKPENGDCSYTRSH -EDCPKHHICISVKTPGRGMVKMSSEKDRAYEDCDRECSFALEGLEATLVCPNGEKHSIVSSEVETGCPMA -EWGGLPRWICRMSFRPSVVYLLFAWWFLGYMLCKLGFNVLAAVLRLAAWVIRIVRLRADAMRGTCEECGT -WVQSRLHWQRHENCRNGRCPYCRLSCSKAKLLLHVKECVQRKSGLQEDAEAVTIKLVPFILRAAVVAVSS -MTRIMSKASWFLGLFVLFYICIHPVYSIKDTLVEEDLWEKEVDFVEFCNLNCIQGEDDCTCPPPLGMGPS -NGRHLLSLFPDPKKLAELSSQGGHQIKAITKKRALDVTAPWGTVHVADAYKPSYSGKHISLSWTESSSSS -DHVTLNGKSEAIVNLEAGTGLMWEISSPSSSERRRVFVSILDHTQIYNTRFLYATGDRTVDTWMQGRCTG -DCPENCACTTHLCHYSKFDDFTNWRCNPSWCFSIGSGCACCALGLKETYRDWFVSKWELSYIESQVIACV -ETSPEDRICELVSAGSMIQLGPVSVQFSGVSGVSQKLPPEVAIFHKSPKQKMFDMAKKIRMVNGKTLCDI -QSCTHGPVGDFQLYRVAPLFDNDHINLNSINGNGGLNSTNSWMSWSGVTSYYTCHPGHWPDCHSTGVVPH -NTEAFQNLWDSGDVSVNYFLHSEKLMMGDNPTLNLKGRPSFGAGQLTALLEVQGLTLKSVKIKPEGLHVE -LSSCKGCYGCSTGFTCLVRVKINKPETFAVHLVSEDPDIIAPSISILARSEQANIQELRFFAAADKKTLC -IKLAEEDGSTGTVRACVNLQLDGQKAVLLENRRTLHSTSDSNCTTGYLDCTSSNFLSFFGTIGSFFSHVF -GRWWVGLLVALLVIAVVACLVLFGPSSLGVLIACCRARKGYKRLMQFDDIRDEWSQARKKVDEEKKKGRA -VEELLGKLSKIK - ->YP_009304987.1 glycoprotein precursor [Tacheng Tick Virus 1] -MAKAILWKVFTFIFFVLTNNALSDDPSSSNSSSTQQQPAANATTVQTAKGSILESAPELLGVSLQFLRTK -LSVYTKDRVMRFINTSLMQTQAWGSGLETVLNPILPGKWEALKVVFDTGRRLEQVKSLVRSLNASGDING -VDWSKVPIVNTLVDLAVDGLGWLNWSQITPETTGSVYMLQKRHSGVQAWPGMEVKVPSPQCSGTRTVTNQ -AYASFNVEVQYDSLEPIVVLAYATSYLGIDINNCPAVVEHHGRFSILTDKSSFVRPSTTGDNKFYPVIHV -SFNQAELKTTCSVVVCSIRESYYTPKGQFKESTLERIEFAFTPASRSTGQRRRLLADMSSMIKLPCSSGT -KVITAVQYQVHTVDRPVPGPFRSFCNRTKILNSYAPPDLGCYSTSRRLTKVQCPTRPEHVTREAGDCSYT -RPSEPCPSGYLCISVQTPGRGIVKLATEKQKHSEDCSKSCNFKLEGYEGVLTCPNGEKHALFSSEMQSSC -FLSNYGKLPLWVCRMSFRPVMVYLMCAWYLLGYAALRVAIFAACILLRCLSSAIKKARVLKDDTRGSCEH -CKTFITDKYHWQRHDNCRNGRCPYCRTSCSAERLPIHAKECNSRTSCLIEDEEAVTVKLVPCTLRVAIVW -MSSMSKMLGRAAWVLGLFILFYICVHPVSALKDTGLEEDLWEKEVEFVQFCDMNCFQSEEECICAPEQEG -ETFMVRKPLSLFPHKEELSQLSSSKGSKPEQRSGPLPSQKRVIDVTTPWGALHVDDAYKPSYSGNHISLS -WTEVSSSDDHVTVNGKSQAILSLETGTGAMWEITSPKSSESRRVFVTILDHTQVYNTRFLYATGDRVVES -WMHGRCTGDCPTQCGCTDHFCHQNQYDDFTNWRCNPTWCWSIGSGCACCALGIKALYKDWFVSKWEVEYV -ESPVIACIETSPEDRICQEVSAGVTLQLGPISVQFSDPSGITNRLPREIGVFHKTPSLKSFDIARKVKLV -DAKTMCDIQSCTHGPVGDIQFYDVDPLFSGDHINLNSVGAGKGLNSSNSWTSWSGVTSFYTCHPGHWPDC -HSTGVVQQNSEAFQNLWNTGDVGVNYHFHSEKLSMATSPTLTLKGRPSYGAGQITALLEVQGLKLKSLHV -KPEGLHLDISGCHGCYGCSTGFLCSVRVKITHPDQYAIHLQSEDPNVIAPGVTLMARADSTPTYELHFFS -AVKTEEVCLRVKELDAEEKVARSCSAAQLSPQENVALEHRRTLHSTSNSTCTTGYWSCYTSNVISFFSSL -GGLLKTVFGGIVPGVISFIIILLLVALLIIFGPNIFRAVIACCRAKRSYRRLMPFEELKAEWSAARRSVQ -EEKQRNQEAQALLEKLSKVK - ->YP_009300678.1 glycoprotein precursor [Shayang Spider Virus 1] -MSRVHLILGVLMHLHIINCYTIESVDSAPEVDSNDFTYQDTSCIKVREFIEDHFFGGYVQDGVGPYRKLN -FDNLPSYVEENSDVSKGFIYLGPAKSKLGHNFMTVGKIDNKELGIPIACGHTYCILQKYWEPKNGKIEFT -TISFTNCRYTINKVKFLESELPSLACSMDHHKKAVVAITTRPDGEPLTFIEGSMYPEDLSSMKRNDKYKN -ITFYSLKTVLSQCKDYKDDSHGFRRLQSVESCAIDISKAKFDKKRELIVSMYGEGVVVVVTDHSSETQYC -SHTCHFKIWETENVYVTCPNGFQHKLKVEKKMHEDCIGADLALIGIIFIAICRGTTRPYIFLAFIIWGFV -GYALIPVLYLILAFFYRAILRSLSHVTITLRKKLNIKGGRHMNVFDEEAGVCEYCGTMFMSSKLAVLHAN -CELMQCPYCKKAFEGSDKVKSEKQFRKHCIKCPKYQEAKDRIIFELQKRREKEMDSLPSEGRSSSFSSTL -RYFEDATIIAWIHRGTYLIILLILFGFSVAPTNALGQYESLTEDELIKLQIDEKITAEKAMSDMIQSDLN -YFSVTDAESVICGIRCWNSPDGCNCGIPDLAKSSNEILKKELGVLREVRKAEEQLNEDSFKDANYGKDGH -IHITDRASNSSNLHQSEREETKAPHHRKRHDKNNNEMSRVLGYDSEEDDTSTVTESDNVTLDGSDVFFRR -KRSIPGDFAAKSSGHHHRKAKKDGHGKEDKKVENDLSIMDKNLILHSVIDKDWEKGLKFDLSDHKAMYTM -GGQGIKCKECLAMNYAHANLNPNIRKEYHSSLQTLEQIQTDWGLIKLPNTLNARNDISNIAVSWETQKTV -GKKIIVQGTVTGKLNIGERSGQSWEITSPDSDEKIKLTILIFDAAQVYKLTDKFTTGSRYITKWFRGMCT -GDCPDKCACGRHTTCHYKKWDNDRKWTCNPTWCWTVAGGCSCCAADMLTKTPAWLIRKMSATHISSQMIV -CLKLGSEKIHCELIKENKAIKFKELQVTFSKPYGEIKHLGEEISVVYNNKAMTLGSLAHPDFIWLDDEIC -TGECTHGTIGDIKADNPVLFFFESTFLISGREHLSLSWSGVDFEHTCHSGSWPSCEYYNLVEDIDSLIEE -QNKTSKHLLNEFKLKKYTGTESGAFIYILPKYQTGMIDYTIQANGVELNKKEYKFKASKFEIKTCKGCFG -CKDGFTCQLDVSCGEKVDFNVHLSSKNRFIQFDQNTINLDFKEGNRVIREVHGVSLLNETEIEICIDEDG -SCAKKKITLEKPLTKLGRRETVVELNNNDYLNNEKCSGLSWFGCFFSNVGNFFKNIYDWMMYCFQNWWTI -LILLLVMVGLVVCFYFFGYIKFFFSSRFTSSYHYDPDVGYISKRIRKPVDVASEAKVELGGKHAEAFRSR -KGTRNSYYQSSA - ->YP_009293595.1 glycoprotein precursor [Sanxia Water Strider Virus 1] -MMLSYCATRKVIPLWTVYCYLILATVNTVDCNLEGLSQNDLPISDRLQIVQSTASDPSNGALIKYMYHGR -QFDTIHFNFLMSSSEFTLLKPKNSTCAILKGPLIDKEDFKHFTFYSATCTIKRYEDFTVSVVGEDKKTSL -SFNDDLSTTCHSSLTSVWQFQLTTSNEHLSINNFLLCKNGSLFNIQQSNILIHECTTLYLIKNLQPCLEP -LSQGKKVCSISSWKSEGEDLKLHLLNSPSGSINIITDTDKIQRFCNSACEFKVRAINYISLTCTDGSQDF -KQVHKEVVESCPFITSSKIGYLALTFCRLSTHPLLLWFLVLWIILGYPICILFSWISTKLYRLSIYVFRY -VSIKKCINHNICYDCNSEYKFDNLIELHSTCINGICPYCRVGVGENVIDHVKSCKSKVYRYKEQLTLLQS -NSKLEHGAALTVSIIRSKLTIITIYILVLLFAMVPMTNSEHFIPNITDQYGHVIEFNTTAEDYLSLFKST -DSLLKPAQGNDYYSKLASELKRCDFNCFHVNNECQCEDRRVHRFKRDLSSNNLGHYPIVSPKGFINYNST -YQPTSDDETIQLTFNTITKTPTTSVVSGESIYRMPFQNNEGISFRISTDEDFEVKTISVSLHDAFQVYKS -KFVKWVSDRTLKISEEFGCTGSCDDLCKCEISTCKVAKWQDDRGWGCNPSWCWSISDGCTCCKASALENF -SSGLLGIWEVELSYFGLTLCLSDSMLSYKCKYLEGPGTFLIGKYELSIGNPTGNIKKVSKFIATKHNVGQ -THSNIDQIVEIYSDPNICYQEHCTHGEIGDYSFRSIEDISTYADKKPTTYMVWKTTSLSRQCSFGNYPKC -YSDDMVSSLSDKFDYFYKNKQILKNDFRIVTSTLNQDRSGNPSLVLKVQPKMNYGYIEGLIKVRGLELQH -KSVSVKVDTYILKTCGGCRNCRRGFWCTLRLSISEPLKFNIHLYSEDPTVAIDTRTIEVGRYPKDYNVSM -FTPILIREVKICIKEVDMCKTIKNISLDEPSFYLTHQGDSIYSSLPNKTYDGQNLFYSIYGGIKATTVAM -FDVIRGFWSNIYIVLMVASVLVLLIFLKYLGLLRLVFDVLTCGFCRRKVRYNKSAEKKPLLKRT - ->YP_009293588.1 glycoprotein precursor [Huangpi Tick Virus 1] -MGKNVSLTIIDKASKNFANWGGRMTTILIPNSSKLKRDWDMLNLVFTSGVQYERARQEFKKLDTSKAWEW -LTKNVEVALNTGIKLTLTGLGGFEQNLANNYTGSVYINTPKKPAVPKWTGFVENEYFNLQCTGERRVVNQ -AFKSFNIEVQYQRQEPTIIVAYTTLFLGIETKGCKATAENHGQISMLSELGSYIPTEDEVNSTYPVVHVI -LNQHDLDSVCEITLCSIPEQHFRKPGEIKPFKAERITFAFKPPVPPKGANRRLLSLDAGGLKGRCNTGTR -IISAIKYQVHAVGHSKPGPYKAFCNGTHVANHLMGEEHGCYSVSRSIAKVQCPTDPLAVSNDSSSCTFSK -VSDSCPKGKTCIEVHTQLRGPVKVTTDKEHLLEDCSGECSFTLRGKSAVIICPNGETHHFVSSVMDTKCP -LAEYGRLPVWVCRMSFRPTAVYLLAAWYLLGYMVWRVVVLFLCLIVRLVTMCFKYIRVRNDPSRGICEKC -NQFVPSRYHWQRHENCNNGRCPYCRLSQSRDRLAIHVKDCVQKEKCSEEDNEAVAVKLIPAWIRVALAAM -NAFSSISAKVAWLVGIVVLMYLCVHPVSALKDTAPGQDIWSEEVKFVDFCDISCAQTETDCACDPHEEGH -KSRKLMLWEDPGLFIKKLMEASNRTKQVQRKKLNRPEHSMRRSIDVTAPWGSLHVGDAYSPSYSGSHISL -TWNEVSATDDHVTINGKSQAVLKLEVGTGLMWEIKNEKSSEVRRVFISILDFTQQYSTRFMYATGDRIVG -NWMHGRCKGDCPHNCDCSHKLCTYNSYQHFTNWRCNPTWCWEIGTGCVCCALSVTEYFHDWFVTKWELEY -IDTPVIACLESSASDRICQVVSAGSVLQAGPISVQFSDPTGIEKKLPKTVLMFHKTPEQPIFDLARKVKM -VDGKMGCEIQSCTHGPVGDVQYYNIEGLLDGDHINIKGDIRPEFENSTGVWISWAGTSTYYTCHTGHWPD -CHSTGVVEHNAEAFRNAWNSGNASGDFFFHTEEIRVENGPPTLNLKARPNWGGGQIQVLLDVQGLTLKAK -KVRPEGVKLELNHCEGCFGCSIGFTCVVRLSISSPDQFSMHLVSTDTDIIAPSSSIIARSDRVESIESRF -FASHEVKEMCLEVKETLDEDVPVRTCVGLNLKPQEEVLLENRVTLHSTSNATCGDSYWYCLTSNISSFWL -SFGDMVRRVFGGLVGGLIFIVIIGLAVFLSIFFGPGIFRFLISCFLKRRAGYQAVPFEEIREEYRRAREE -VEEEKKKGKHAAALLTKFSKLV - ->YP_009246490.1 envelope glycoprotein [Yogue virus] -MSLNNGVRTYVGFVALFLILFGKLTVADGNTTLVMPTTENTSRGPTSPGNTTTQSMVTKEGNSTVKQTES -TTEEPRIGTLGSTAIRPTTGVRVERDQSRIGETIDRLVVRLQSGSSKLTLSRPVRRDLTMEKKEFETAVA -GLELDEISLEKLKQESLYRSVTKVTFALRPNARFAYEAEHGSEVMITGKLNRFTRFDRVNIDDRTMFESF -QNLTNSNMIALCTYFGLYYNSTTKGTKAIKGATCNMDEMCRAEIEVANVGHLDHYENIKVFDKPELPVIV -IAYLTRQMGFTYKDLVLQEQLENCLFFHPYNPKVCMETNWHNRVYPITHFVIERKFLKPSSSLTLCGIKK -GMPGKIKGTWYFSKTRVRIHLGDPETAGRRRLLAVERRRRLPSRVNIRCQFGSHLIKMDKYAIVNEHRSL -PNARSGFCNNSIFTNLPLGSEFGCYRVGSEKTHIQCKPHHHAYDGEQTCNITSPENCEDNHLCAEVTLNG -QGIVTARAHDGRVELKHCLEKCSFSFKMVSDLEILFTCPSGEQHRFHSNIIDAQCPFRDRLGKYALYVCR -ATHRPTLLYIVILWLVAGILIVTTIIQLAVLCMKVYCYLVIKLKSKLDRGRGTCTFCNESVCSTEEWQRH -EGCRRGKCPYCGCKGGDVDIKRHASVCLQRETTLEHDKGIIEIRRTPRWALKIGCLLNGMQGKPARGAWL -VVLIVMLIILVKPVSGFATDDKIDGIWEEGIVEVTNCRQGCWYENDICQCEEKERGGSRRLLSETPTQKP -TAAKSVKSHTSGRQIRRSLDVEAPWGTIHIPETYSPVGSVKHISLSWESSKVVGKKVILSGKSTALLKLN -PRTSTSWEMSSEDSNEKKVLTLSILDYTQVYSSRFEYITGDRKVTTWAEGSCTGPCPKECGCSDPTCHTK -EWPNSRNWRCNPTWCWGIGTGCSCCAAKVVDLYERWLVSLWQIEYLKTPVVACLEFDHDNRVCDVVEAGI -EMQLGPVTVAFSDPFGEQRILPRRIAVYHKRDTDHEHVDLLHNHGIGGADQYCKLESCTHGTAGDYQITD -PDALVYDDVTSMNYFKKIDTHNKEWMSWEGVNLGYYCNPGDWTTCTAENIVERNSESFKNRNDMERNFTK -SHFFHSSRVYGAGNSLAMDLKARPIQSGGSINVYITVNNLELSSKKVALEGLRLQLRSCSGCFGCNIGAE -CQISMSITEPEQFHLHLKSLTPGITVPDTSFLVTSEEERNFKVRIFSIEKSGNFCLELFESKLCKTCRRE -DVSACTSLNLEDPKPVLLEHRSTLFSKSNKTCGGGLLSCWSESAGGLLKGVGSFLVGQFGSIFKGILSFL -IPIALVAGLIFFSPQIFGLLRMCKKGRSVVGYKRRFYRPLTEDKEVNLTAEEKAFLGGVIGKKKE - ->YP_009246488.1 envelope glycoprotein [Kasokero virus] -MLLSDGVWKFAVAIVLCTILCTVATDSSGNVTEVSPTQGKANSTGSAEGSSTTPSLGPKEGANSSEPVRR -NSSESTTVSDSQMGGNKTGVSTKQPTPPAKVETATPPAVLQMANGSDSGKTTTSGPTTAPTRKKGGRPGI -RGGSAAVRAVSRVLARVRSGERNLVISREAGGDLQQAREALEEAVMNMEIDNLALEQMDEETTYRSVQRE -RLALPQGQKFAYEEEHEGEVMLVGKLSRYTRFERVDVDNLDSLAKFSNHSSVSTLTLCTHFGHYYNATTK -GTTTSVHTQCDFNTQCKGIEEHVQIEKVANYDNIKILNILELPVIIIAYFTRHFGFTYSNLVMHEQIENC -LFFHPLNPVACMKNSWSSRSYPVVHFVIERKFLKSDSSITLCGLKKGNPTGMKGTWFAEKHRLTIDLGDP -EVAGRRRLLGLEKRKRPLKRQDIRCHSGSHLVKVKKYAATNEYKSFPDAKVGFCNDSMITNLPIGHEFGC -YRVGSVSTHIQCKPYHNAYDGEKDCNVTSTEDCEQDMLCAEVKLNGQGIVTARTQLGEVQLKHCLEKCHF -GFKKVNDLEVYFTCPDGKQHRLHSNAIDANCPFQKHLGKYALYACRATHRPIMLYTVIAWLTVGVMALSI -TLQVLSLLIRTYCYFVICVKAKLDRGKGKCPSCNDMVNSSEEWQRHQNCKRGKCPYCGTKGSEIDLRKHA -NVCLQKETVLEHDANVLNIRRTPRLALRLGCLVNSLQGKPTRLTWFVVLLCLFCLLIRPVSSFRTNLQKE -GAWEEGIEEVEYCKQDCWFENDICMCEKETGKISRRILSVEPSKEPHKHIAPGTKKILRSIDVEAPWGTL -HIPETFSPAGSAKHISLSWESSKLVGNRVVLSGKSTAILKLNPRTSTSWEMSSPDANEKKVLTLSILDYT -QIYSSRFEYITGDRKVSTWSEGSCTGPCPKNCGCDDPSCHTREWLNSRNWRCNPTWCWGIGTGCSCCSAQ -VVDLYESWLVSIWQIEHIRTPVVACLEFDHENRVCEVVEAGIEIQLGPVTVAFSDPFGEQKLLPKRIAVY -HKRDSDHEHVDLLHNHGIGGAEQYCKLQSCTHGTAGDYQILNPDALVFDDITSINYFKKIDAANKVWMSW -EGVNLGYYCNPGDWTTCTAENVVVRNSEAFQNRNNLERNYSVSHFFHSSRIYGAGKTLSMDLKGRPVQSG -GNINVYITVNNLELNSKKVNLQGIKVALKTCSGCFGCNLGAECQVSLAISEPEEFHLHLRSRTPGVTVPD -TSFLVISGEEKVFRIRVFSIQKTGNFCVEILESKHCPDCKGEDLVSCVGLELEDPKPVLLEHRSVLFSKS -NQTCEGGVISCWAGSAGNLFKGIGSFLSSHFGSIFKGLLMAVLPVLLIAGGIFFSPQIISFLRLFKRGRS -VVGYRKKGYRPLTEEKDLDLTAEEKAFLSGIIGKKKE - ->YP_009227121.1 glycoprotein precursor [Tofla virus] -MALIRPFILIIITLLGCTAEESTTEAVTEATTTANPLATDTPLRRRVINQRILSVLGLGPDTVSTKLEQA -CKDIYTGECKLEDMSARVPAFFMERNKSICFNDILEKKSCLEHPPTLNPNWKSWGMSATEVAKYYDTKIK -LFFTSSMRLSCFAANLVEPDQFVEKMSTSIQATSGPAKQNVRSMHCTNIVWSHVQESEVEVIHVLLSTVP -LRTKNCLSRVNMRQCTFTKQSDGKLDLPSYKHGGKYWIPGAYTMSVSVDRPADGPCEITTTCITEGSEVK -PGLHRMRGFETTIVVPSKRPTGRRLMGTNSDSNPCSSGTFLGEGSSAQVVGDKNDGPGDHITFCNGTYVS -KMKLGKQHGCFTVRRVKAYRSCHPRETPAACVVDEELRECEGQKCMNIHIEVRGLVKITRGKNVEVITCD -RDCLARIPSGKGDIQIDCPGGRQHYLETNVVDINCPGSERFHGLMLYFCRMSHRPKTCISFFIWLAVGYG -LTCITGTALYYLLLFVCKAVKGIKRRFLMKGDFCIKCEQKCATSLEQALHDENCSYNLCPYCGNRLPEDS -LCRHVPNCPKRKERLEEIDLYLDYQLLPFLLYILLKLALNFGILLKRLSWFAVLLILFLVTLAPVQGNPT -QPETDTESDSTTLSLLLAMEALLALSLILHVAFEAYRLRVRAQLKREKEVSKAVMLRPEAAVLLTGKPLC -LGDWDTESSKNSRQGAKFRLVSLVRFNAAVVLVVLVVGLFATSAMGFDSGPLPKGIWEEEQELVQECGQE -CSVQDEECLCPGESKNMRKLLFFKGLNSVASRMLNTHKLMTSISIDAPWGAIQVESTYKPKLPVSNIELA -WNSVEEQGDKIILSGKSTSILKLEEKTGVQWSLGAESASEEKRLLVSVLDYTQVYSSTFQYITGDRTISE -WPKATCTGDCPDRCSCRTSTCLYKTWPHSRNWRCNPTWCWGVGTGCTCCGVDIERPFNKYFAVKWSTDYV -RTDVLVCVELTDLERHCDVVEAGSQFVIGPVRVVVSDPQNVQTKLPPEVLTVQKLEKHSHLDLMHVTNII -SAKNACKLQSCTHGSPGDMQILHTDNLIQNSHDDGANLAEVIPEVNTTWMSWEGCDLDYYCTTGSWPSCT -FTGVNTENSDSFENLLNTEANLVDRYHFHSKRIFAQGSTLQMDLKGRPITGGGELTVLVDIKGLELHSKK -VVLKGLEIKSFSCTGCYSCSSGVSCSVDVKIEKPDEFTVHLRSTDPNTVISEGSIVARKLTGGPQSKVKA -FTALKAGKICIEIVEKSYCPSCKDSDVKKCINADLQPPKDILLEHKGIIIKHQNNSCNSGIQCWSESTTS -FLNGVGSFFKNYFGSIAAGVLLTLLPVLAVMFFFLFGDKIAKVFLCLRCCKGLSRRSRMQGEKEEELKKM -LKKFSKGGELFSRGSRDARTVAMVLAGKGKDYKEQV - ->YP_009111285.1 glycoprotein precursor [Leopards Hill virus] -METYHGSRYTATSCLFFVIMLVVAITADNNVTETTTAEVVSNTTGSTEGDTTSKGMDTTQIPQINQTSAT -TRSNNITTKTSRSESGVDPSATQPLLVSSQASGTIGQQRGLSPTPDPGARIRVKGGKRRAERLTARLDVN -GNRVVLKREISGNLQLAKEQLEEAVLNMEIDSLDLEQLEEESPYRSMQRTRLMLPKLESFAYNEEQEEDV -MVTGKLSRFTRFERINIDKLESITVFQNLTNRSVLTLCTYFGHYYNKTLKGTTVSIHHKCDIETQCKGLT -EHVSIDRITNYDNIKIINIMELPVIIIAYFTRHFGFTYKNLVIHEQIENCLFFYPQNPVACMENNWGGRS -HPVVHLVIERKYLKADSSITLCGLKKGNPTSLKGTWFAEKTKVTIDLGEPQTAGRRKLLAIERRRRLPGR -EDIRCHSGSHLVKIDKYAPTNEFQSFPNAKIGFCNDSIITHLPLGQEFGCYRVGSVKTHVQCKPYHHAFD -GEKTCNVSSDGDCREGELCAAVKLNGQGIITARTHLGEVQVKHCLEECQFSFTRVNDLEVSFTCPDGQQR -RLHSNAVDTNCPFQNKLGVYALYVCRATHRPLVLYTTFIWFVVGTIVLATSLQMISVTVKLYCYLVVLAK -RKLDTGKGVCTDCGESVLSTEEWQRHQACKKGKCPYCGVKGSGNDVQKHVINCLQRETVLEHDLNVLTIR -RTPRYALRLGCFLNALQGRPIRLIWLVVLLLLFVFLIKPVRSLETNDQTEGLWEEGIEEVEKCGKGCWYN -QDVCTCDKEEPLHTSRHILSVPAKDDTEKATSKDRQGKKVMRSLDVEAPWGTLHIPETFSPAGSVKHISL -SWESSRVVGKRVILSGKSTAILKLNPKTSTSWEMTSPDANEKKILTLSILDYTQIYSSRFEYLTGDRKVT -TWSEGSCTGPCPKDCGCNDPSCHTKQWLNTRNWRCNPTWCWGIGTGCSCCSAKVVDLYKNWLVSIWQIEH -LRTPVVACLEFDHENRVCDVVEAGIEIQLGPVTVAFSDPFGEQKILPQRIAVYHKRDVDHEHVDLLHNHG -IGGAEQYCKLQSCTHGTAGDYQIINPDALVFDDITSMNYFKKLDVYNKLWMSWEGVNLGYYCNPGDWTTC -TAENIVVRNSEAFSNRNNLERNYSVSHFFHSSRVYGSGKSLVMDLKGRPIQSGGNINVYVTVNNLELNSK -KVVITGLKVNLRACTGCFGCNLGAECQISLSLTEPDEFHLHLKSVTPGVTVPDTSFLVTSSEEKMFNIRV -FSILKDVNFCIEVLESKHCPECDKKDLQSCLQLTFEDPKPVLLEHRSVLFSKSNQTCGDSTISCWSSSAG -ILFKGIGNFLSTHFGSIFRGILLSVLPILLIVGLIFFSPQIISLMRLCKRGRSVVGFRKRFYKPLTDGAD -MGLSAEEKAFLTGIFGKKKE - ->NP_950235.1 glycoprotein precursor [Crimean-Congo hemorrhagic fever orthonairovirus] -MHISLMYAILCLQLCGLGETHGSHNETRHNKTDTMTTPGDNPSSEPPVSTALSITLDPSTVTPTTPASGL -EGSGEVYTSPPITTGSLPLSETTPELPVTTGTDTLSAGDVDPSTQTAGGTSAPTVRTSLPNSPSTPSTPQ -DTHHPVRNLLSVTSPGPDETSTPSGTGKESSATSSPHPVSNRPPTPPATAQGPTENDSHNATEHPESLTQ -SATPGLMTSPTQIVHPQSATPITVQDTHPSPTNRSKRNLKMEIILTLSQGLKKYYGKILRLLQLTLEEDT -EGLLEWCKRNLGLDCDDTFFQKRIEEFFITGEGHFNEVLQFRTPGTLSTTESTPAGLPTAEPFKSYFAKG -FLSIDSGYYSAKCYSGTSNSGLQLINITRHSTRIVDTPGPKITNLKTINCINLKASIFKEHREVEINVLL -PQVAVNLSNCHVVIKSHVCDYSLDIDGAVRLPHIYHEGVFIPGTYKIVIDKKNKLNDRCTLFTDCVIKGR -EVRKGQSVLRQYKTEIRIGKASTGSRRLLSEEPSDDCISRTQLLRTETAEIHGDNYGGPGDKITICNGST -IVDQRLGSELGCYTINRVRSFKLCENSATGKNCEIDSVPVKCRQGYCLRITQEGRGHVKLSRGSEVVLDA -CDTSCEIMIPKGTGDILVDCSGGQQHFLKDNLIDLGCPKIPLLGKMAIYICRMSNHPKTTMAFLFWFSFG -YVITCILCKAIFYLLIIVGTLGKRLKQYRELKPQTCTICETTPVNAIDAEMHDLNCSYNICPYCASRLTS -DGLARHVIQCPKRKEKVEETELYLNLERIPWVVRKLLQVSESTGVALKRSSWLIVLLVLFTVSLSPVQSA -PIGQGKTIEAYRAREGYTSICLFVLGSILFIVSCLMKGLVDSVGNSFFPGLSICKTCSISSINGFEIESH -KCYCSLFCCPYCRHCSTDKEIHKLHLSICKKRKKGSNVMLAVCKLMCFRATMEVSNRALFIRSIINTTFV -LCILILAVCVVSTSAVEMENLPAGTWEREEDLTNFCHQECQVTETECLCPYEALVLRKPLFLDSTAKGMK -NLLNSTSLETSLSIEAPWGAINVQSTYKPTVSTANIALSWSSVEHRGNKILVSGRSESIMKLEERTGISW -DLGVEDASESKLLTVSVMDLSQMYSPVFEYLSGDRQVGEWPKATCTGDCPERCGCTSSTCLHKEWPHSRN -WRCNPTWCWGVGTGCTCCGLDVKDLFTDYMFVKWKVEYIKTEAIVCVELTSQERQCSLIEAGTRFNLGPV -TITLSEPRNIQQKLPPEIITLHPRIEEGFFDLMHVQKVLSASTVCKLQSCTHGVPGDLQVYHIGNLLKGD -KVNGHLIHKIEPHFNTSWMSWDGCDLDYYCNMGDWPSCTYTGVTQHNHASFVNLLNIETDYTKNFHFHSK -RVTAHGDTPQLDLKARPTYGAGEITVLVEVADMELHTKKIEISGLKFASLACTGCYACSSGISCKVRIHV -DEPDELTVHVKSDDPDVVAASSSLMARKLEFGTDSTFKAFSAMPKTSLCFYIVEREHCKSCSEEDTKKCV -NTKLEQPQSILIEHKGTIIGKQNSTCTAKASCWLESVKSFFYGLKNMLSGIFGNVFMGIFLFLAPFILLI -LFFMFGWRILFCFKCCRRTRGLFKYRHLKDDEETGYRRIIEKLNNKKGKNKLLDGERLADRRIAELFSTK -THIG - ->NP_690575.1 glycoprotein precursor [Dugbe orthonairovirus] -MSKRVLIIAVVVYLVFTTQNQITGNHTTINSSSPSTTEASSTPTVSRTPQTTTTSTAVSTTITATTTPTA -SWTTQSQYFNKTTQHHWREETMISRNPTVLDRQSRASSVRELLNTKFLMLLGFIPKGEVNHLENACNREG -KNCTELILKERIARFFSETEKESCYNTYLEKHLRSVSPEVSLTPYRVLGLREDILLKEIDRRIIRFETDS -QRVTCLSASLLKPDVFIREQRIDAKPSNGPKIVPVDSVACMNLEANVDVRSNKLVIQSLMTTVKISLKNC -KVVVNSRQCIHQQTGSGVIKVPKFEKQQGGTWSSYIAGVYTATIDLLDENNQNCKLFTECIVKGRELVKG -QSELKSFNIEVLLPRVMKTRRKLLAVTDGSTECNSGTQLIEGKSIEVHKQDIGGPGKKLTICNGTSVLDV -PLDEGHGCYTINVITSKRACRPKNSKLQCSIDKELKPCDSGKCLSISQKGAGHIKVSRGKTILITECKEH -CQIPVPTGKGDIMVDCSGGRQHYLEVNIVDIHCPNTKFLGGIMLYFCRMSSRPTVALLLGIWIGCGYILT -CIFSFLLYHLILFFANCIKQCRKKGERLGEICVKCEQQTVNLMDQELHDLNCNFNLCPYCCNRMSDEGMS -RHVGKCPKRLERLNEIELYLTTSECLCLSVCYQLLISVGIFLKRTTWLVVLLVLLGLAISPVQGAPTEVS -NVKQDGDYSICYFIFGCLVTAALLLKVKRTNSNGIVVVVDSFGRCPYCNEFTDSLFEEVLHDTLCSLCVC -PFCEKQALDLVTLEEHVKECYKVATRKDIFKILGRKFTNALVRREKLFTTGLQLFINKTNVVVFALIMCF -LLLLTGHNASAFDSGDLPDGVWEESSQLVKSCTQFCYIEEDVCYCPAEDGVGRKLLFFNGLQNSVKRLSD -SHKLLTSVSIDAPWGRINVESTWKPTLAASNIAMSWSSTDIKGEKVILSGRSTSIIKLKEKTGVMWKLVG -SGLASEKKKPFRFPIMDFAQVYNSVFQYITGDRLLSEWPKAVCTGDCPHRCGCQTSTCMAKECHTQECVS -THMVLGIGTGCTCCGMDVERPFNKYLGVKWSTEYLRTEVLVCVEVTEEERHCEIVEAGTRFNIGPITITI -SDPQNIGSKLPESLMTVQEIDDSNFVDIMHVGNVISADNSCRLQSCTHGSAVTTRFTALTALIKDDHSSG -LNLAVLDPKVNSSWLSWEGCDMDYYCNVGDWPTCTYTGVVTQKLREFLKLDQHRKRLHTTLSFSLKKNLS -KRSHTSVRLEGKTVTRMEVKVTALIEVDGMELHSKTIRLSGIRLTGLKCSGCFSCTSGISCSVNAKLTSP -DEFTLHLRSTSPNVVVAETSIIARKGPSATTSRFKVFSVRDTKKICFEVVEREYCKDCTPDELTTCTGVE -LEPTKDILLEHRGTIVQHQNDTCKSKIDCWSNSISSFASGIGDFFKHYIGSIAVGVLGTVLPFALLILFF -IYGDKMLWPFKVFCRPCRRCCRKNEGYNKLAEEEELRDIIRKFSKSGELINKDAKDKRTLARLFMSDNPK -LKKEKKLSEIA - diff --git a/seq/clusters_seq/cluster_199 b/seq/clusters_seq/cluster_199 deleted file mode 100644 index 8b68040..0000000 --- a/seq/clusters_seq/cluster_199 +++ /dev/null @@ -1,107 +0,0 @@ ->YP_010088023.1 hypothetical protein KM760_gp2 [Ivy ringspot-associated virus] -MTLATRKVAESYREALAATEEIQSPAQGFVRPDEVKGGISAAVATTKQLNTIICLLVDLNEKVDDLAARV -KVLEDQVKQPVTASVPADVLAKLNNLTIGRKVEPKGQLRVFTDPKVIIEKEKAKLK - ->YP_010087855.1 hypothetical protein KM754_gp2 [Camellia lemon glow virus] -MSADYLEYQSALKNTELIETPAEGFAKAGTVAGGLRTIIHQNNTLLELCISLHRRIDSLEARIKSLEAQK -GVLPDDLLTKFQNLSLQKKPVETKGLLRIKGDPKKALADEIRKWELRS - ->YP_010086796.1 hypothetical protein KM646_gp1 [Grapevine badnavirus 1] -MSNYLYSQGTVTYKEAIKATESIESPALGFVKPSDYKGGISAPTAQIKQNNTQLQILVGISESLRDIKDD -LKVIRESLRQIQSKEGSSSSAALPEELVEKLNNLSLGESKPSREKRGQLRVFKDPLRILEEEKAKLK - ->YP_010085994.1 ORF2 [Aglaonema bacilliform virus] -MSYINTQASTAYQEAITATNAIEEPAIGFAKPADFTGAQLGTKCVIKQNNLQIELLAKILSEVTKVKEVL -EQIKKEKAKEIPEDLITGLKNLKLGPTKEKSGLLRVYKNPKTLLEAEKSKQ - ->YP_009553547.1 ORF2 protein [Cacao Bacilliform SriLanka Virus] -MSGSSSSSRIIATKSYKDAILASDEVDPPAVGFAKASEGTASNLATIVKQNNLIIALLTVVAERITEKEL -PIVESSSAGLEEALDEITDQLRNLKLRSTGKEPVITETKGKLYVFKDPLQILKEEKEKAKR - ->YP_009553218.1 ORF2 [Dioscorea nummularia-associated virus] -MSTEDLELKLTLEKLSKTEFTPTQGFTKEVSQSNLIRQHNTIIELLLTLHQKVDKLTQNVKQIQIKEAEL -SSVVKNLQTKNSTEEKPVVKTSPKVFAVWEDPKLQVEAYKRKYGQQVYSHTSHKGHN - ->YP_009508442.1 ORF2 [Dioscorea bacilliform TR virus] -MSEELKKALQSTESIEPPSIGYVKPHDYQGKLAGAIAAVQKQNNTLIQLQIQQFEKLSQIYTAIKDLKQQ -ASPSGLSTEVLDQVIDKLGKLSIQSKVPEKQGKLLVWKDPCLIYKEELEKL - ->YP_009508410.1 ORF2 protein [Dioscorea bacilliform RT virus 2] -MNSESLEYKRALQAVESLEPPALGFIKPADFRAGLATASAAVQKQNNTIIQLLLQLSEKVNSLQAEIRTL -RKEPELPDEILDQITSRFNKLSIAEAPSEPRGRILVHTDPVKIFEEEKLKL - ->YP_009508407.1 ORF2 protein [Dioscorea bacilliform RT virus 1] -MNHTEEYKRALQATEAIDPPAVGYIKPTEKLALAVINLQKQNNTLTNLITQIFEKLTQIQTEVRSLKSQA -SPVAASDELLDKVITKLGRLSIADKLPEKQGKLLVFKDPVLIYQEEAEKLKRR - ->YP_009506266.1 ORF2 protein [Dioscorea bacilliform AL virus] -MSEELIRALKTVEHIEPPAVGFVRSYDYQNKLAGAVASTQKQNNTILQLFVQLFEKVAEVKADLEVIKKQ -LAAPTEREASDSLLDQVISKLGKLSLADKVPEKPGKLLVWKDPALIYKEELEKLS - ->YP_009408592.1 P2 [Jujube mosaic-associated virus] -MTSWAYTAEKQSYKDAVAATESIEAPAVGFARPAEYRNTLASLGAVTKQNNTILVILTELTEKVNFLQLQ -VQQLQQQVLKGKAPENLEQSISDLSNKLAGLKVDGADSAIPKKKGVLYVYQKPSDILDREKARK - ->YP_009345074.1 hypothetical protein [Cacao yellow vein banding virus] -MSLADKKADPSFVEAITQIQRLGEGAGFEVSSGATASKGISTIISQLNVLVYQITALHQKVDALDARVRA -IQAGKATDYSDQLEKLTADLSNLSLGTATTKPVVKKKVNKVYFYKDPKQILQEEKTKWQSQK - ->YP_009345070.1 hypothetical protein [Cacao mild mosaic virus] -MSNLANRRASSAYTDSLEATEELNSPALGFIDPTQYRGPTASAIAQIKQVNTLICLVVDLTEKVEALTAK -VRSLEAVFKATEKDKGTEELLKQLQGLKLGETSRPPQKEGTLRVFIDPKTLVEKARNEKR - ->YP_009229918.1 hypothetical protein [Blackberry virus F] -MSDWRVTQGSSVYKEATQALEGLDQPALGFARGTDFAGATNSSLQGIIKQQNLQIQLLVKLTEKVEDLQQ -EIKTLKAAKAKAAAESSSEDLESQIIGLSKKLDKVSLGTGVVPKKRTPYYVKEDPAKIFEREFAKTK - ->YP_009140787.1 hypothetical protein [Grapevine Roditis leaf discoloration-associated virus] -MSYIVSQGTNSYREAVRATEGIESPAAGFVKPAEFQGGTSSAKIQIKQNNTIIQLLVQIAETLKDLRDEQ -QILKGEIRQLQKEKAATSISEELVEKLNNLSLGVAEKKIPEKRGTFRVFKDPLKILEEERAKLPK - ->YP_009130663.1 hypothetical protein [Taro bacilliform CH virus] -MSHINTQDTETYTSALQATEEIEAPAVSFAKPADNKGPNSGTSTLIKQNNTQIELLVRLTEEVKALREEL -AQFRNEKGQVSSIPDDLISGLKNLKLSDQKPREAAGKLRVFKNPLEEYKKEKARKC - ->YP_009121746.1 ORF2 [Yacon necrotic mottle virus] -MSLANQKASISYQEAVEATEGIETPALGFIRPSDYKTGATSLSSAIIKQNNTLLYLLIAQAQKLEEIKEE -IEKLKRNLTTLEKRESAPLNLEDSIDSLTKRIDNLSLTKKNPVGGKQGPIYVFKDPNKIFKEEYEKELQP -KRR - ->YP_009116630.1 hypothetical protein [Rubus yellow net virus] -MNPRWQETAAKETYIKAIQATASLTSNGTGQGFIEPHTYTGGQLSTNLAKQNNTIIELLVQVLEKNLDLE -RAVANLTGQVTRLEKAVADKEAVKLPEKVLEDLTKEFGKVNLGKGKGKKRKVSSRDRTSTCGKPLHSIQ - ->YP_009002584.1 hypothetical protein [Hibiscus bacilliform virus GD1] -MSHSRTRATASYKEAVAATEDIQPPAVGFAKPSDNVGAQSSSSVIIKQNNTIIQLLASLSEKVNTLETEV -RHIKNVFDSREPSTSTLPTDLIEKLEGLTLTDKQERPKERKGTLFAFKDPYVILQEEKEKLKKAT - ->YP_008567618.1 ORF2 [Piper yellow mottle virus] -MSYINTISTSAYKEALQATEQLEEPALGFVKPRDHNREGIQKSVTAVTKQNNTLIQLVVDLHEKINTLEE -KIEKLRKEPPQSTGISADLVTKLENLTLQDQGKKPKESRGKRLVFKDPLEIIKAEKRKLDGPGPSKNSGG -GPNHSIQAKPEKDA - ->YP_006495798.1 hypothetical protein [Gooseberry vein banding associated virus] -MSSWEDTSSKQIYKDALTATNTLYTNGIGEGFVKPAELTGGQVTVKAIRQNNTLIELVVGISAKLDNAAA -QLRSLDRRISELETAIQAKEAVSLPESIVDDLTKEFAKLDAGTGRKAPKIGKKGKFFVWKDPKNDDQNPN -RASTSRN - ->YP_006273074.1 hypothetical protein [Fig badnavirus 1] -MSSYIVSQGTNSYKEAIKATEGIESPAAGFVRPADFQGGTSAARVQIKQNNTLIQLLIQIAESLKDIREE -QRVLKEEVRQLQKDKAASITEELVERLQSLSLGVPEKKVAEKKRTFRVFKDPLRILEEEKEKLPK - ->YP_004732982.1 hypothetical protein [Grapevine vein clearing virus] -MSTWQIAAAAEEYKNAIKATATLTKDERAVGFVKPHEFEPNFSDTNIQRQNNTLIHLLIQSLEEIKELRA -QVQTLNDRIITLEKGKAPVTLPDNVVEQISTQLKEAKFGQPKEGLVKGTKGTFRVWK - ->YP_001036292.1 ORF2 protein [Dioscorea bacilliform virus] -MSLTSESKEYQLAIKATEAIEPPAVGYTRPADYKGLATAIASIQKQNNTLIQLFTQLFTQMSQLQTEVRS -LRIEQGSSAQTDQLLDQVITKLGKLSIQDKLPEKKGKLLVHKDPVIIYNEEKAKIQ - ->YP_610964.1 hypothetical protein DMV_gp2 [Dracaena mottle virus] -MSEWRQTQASDTFREALQATESISRSALAFTDPEQPGPSKVIIRQLNTLLQLVYQLHLKVDKLQEQVDKL -NRKEAAAKEIALPSNLIDEISNKLSTLKISEPKSAIIKAPRRTYFFTDPQVILNKERARKS - ->NP_569152.1 unknown [Citrus yellow mosaic virus] -MTYQNTEKTPTYKRALEATEPINSPALGFINPEDYSGGITGTKALIKQNNLLIQLVVELSVNVNSLSEQV -AQLTRQLGKQPQQGSSTATLPDDLVDKLKNLSLGTEKKKEKRGTFYAYKDPYLIYKEEVEKLKKQQQ - diff --git a/seq/clusters_seq/cluster_2 b/seq/clusters_seq/cluster_2 deleted file mode 100644 index 95c9947..0000000 --- a/seq/clusters_seq/cluster_2 +++ /dev/null @@ -1,5598 +0,0 @@ ->YP_010229220.1 RNA-dependent RNA polymerase [Balsa almendravirus] -MYDDDLEIEDDNICPKRKTLNNKDIPLINEDYNLNSPIMKDIINNIITFNACGTFGTHHKNRTERTWKPF -IINKIHCPNLNTIEESYRVIYDYLKLKDIEVDSTLNNFINVVEEEIMKVKPITDKFINSVYLKNVDFSVK -NVINNNQTLISLLNKSREISLLLEVMIGKMERVNAKNYNKRYTFKQLNDLIEFKTNFFSGYISEELLLDK -NFRILLDKNMLLMMKDIINGRFNTILLTALNLENKYTINFEKLLAELFDKGDTLIRKTGNDGFDAIALLE -PLCINHLNKLANKTRPKILNPNTFEKYLNDKISSSGKEECIFLTFVNNMIGDIDNVNDMTILYGSFRLWG -HPFIQYEEGLLKVKEQVRMEKPLIDPNYAKLLANDLMKVVMIKNWRKTKSWNVRDTEHNAKIKGISPLLK -NTWPTTKEFIELEGHWDELDIEAVLDIPEDLDDSTIFADKTHSLTRSEVERYVKRDNKNPIPTKRVMKTY -LEQERVNVKEFVMKIDNEGLSKDDLIIGLKAKERELKKYGRFFTLMTWNLRLYFVISEYMIKKDLIPLFP -GLTMSDGFIDLINKILDRTEGQRNDEYQKITYSNHIDYSKWNNHQRDEAVGPVFTVIDKLYGLNNFFRRT -HNLFKQCVVYYPERPDYYGKDSAFYWEGQPGGFEGIRQKGWSLVGVLCLMRESNFRNTKVEILAQGDNQV -IFTNYDLGKKLTEEEMDKKLEKIYWNNDNIMGRIQRACIKIGLIINNDETVQSSGFTVFGKIPIYKGNIL -NLMTKKANRAGGVTNDQLPTVANIMSSINSIALTICQHDPTIRQAVYIQLILGIWILNLLKQWTPMIKTG -KTPSVLNKFSLLKFLYHDQCLGGNTGMALTRFLIRRFPDPITECLSFYKIYDDPKLDIITRKSFCHMGNP -IIKNITTWSFNKLCEDPLSLNIKRGSNIITIIKNQVKISLINHSPNINNKLLKRSLTSVRDQEYYLLTFL -KNIKPVFPRFLADFKQASICGFIDNVIGLVQNSKTIRNMFSHEFESKVLKLVTKWELEQWENMLSEQFNI -GNYWSCSSSKADELREKSWRTKIIGSTVPHPFEYQNNFVKSIARYVADNHKDIITCIIPNKVVDDLNYHG -FNRPYLGSNTRETSSSLQPWEKELTNPVYIKASKLRRGINWIIDSDSMLSQSIYQNLSYVTGLKMEEIME -PIKKHRTGTGQHRYKSSRQDNGGFCNITPNILSWFTVTSDYMSDISDVNYDFMYQASLIYTETIGANIVQ -LNNTLSSFGMSISCRSCIRPLEDLRLESSMIYRPDLKMKEFWLKTIFKTNVELNLDLLNYSDIITDLHNK -DISYYVGIHQGIGFTMYQDQIDTNISVSDLFSIGVMMKLNSEHWCIGLIDGLLIGASYSVTSSETFLVNH -HHLSMIYNRCCKLIRHLVNNNSFNAILRLNDINSWMTNISNYIPPSYPPTMANMSRSFLSLGFEILDSEL -MKYKDVIVKKLYDNMIIYEDFNTDNFKLVLHIGLKVLKSVKSDKFNSNRMKKIRDIFIMYKDYRDDIENT -QKRDLLMAEIIDKSSMIRISTKEMKTVVEGNFIDKACEFKEDVYTDDYSFDLNYIIANKYDIINNTTRSN -TIECPFISGLRPYRCATGAHYKMNDILNWLDIYPSYVLVGGDGSGGMSSLILRKYINAKIIFNSLMEYND -INTRGGQPGRPQAIMKLPRDYRNRCINLNTAWMEPSDLCESNTWDNFVDILKGFHSDNKLDMIILDMEAR -TIDKYISIYKNLVSYIKLLMKENFVLITKCYSGMIGTILGIIHENIDNVNVLLINTRFTTSYSTEIYIVI -KRGNHFLDLSLIKEKRDITDLVFGFKTDEEEFKRALDINVPYLYSLIPRSLLENYEIYLIGYLIDMDIPS -SMSKLFVNLIVSGYINLGMKEIGYYSLIQGGNEQNLISSDSRIKKFLSLLISVLFFESYINQDIEKYKLA -NLLNNNKVYVRNNKVNMCLKIYTVDKGGPDLKDFEPLRDNSFINLLLRSMIGCNQTIVYQDEESIKNHNP -KSYKNNLNKYIN - ->YP_010229226.1 RNA-dependent RNA polymerase [Rio Chico almendravirus] -MHNSIIPDTFLSTIGSRPEEINFLHTVENNYQILSGYQRYLEINLLIEVMISKEKRLSIKNYDNEYFLEK -MVKGNLIRVKTTNFNGYISDEIFYDIDKKILLDKNILLMLKDIITGRMNTLIVLDLNIGNKYSKNFSGIL -VELFRKGDEMIEQLGNIGFESIALLEPLCVERLSELANATRPRIRNPDTFSKYLDTKMNTGNAKSTKFLK -DLREQISKIQNIHDLTVLYGSFRLWGHPYIEYEEGLNKVKKQVRLNKDTIDENYAKLLANDLMKTMLIRH -YTKTKVWNVIDNAHNREIPGSIDLINNKWPKLREFRLLEGHWDELEIEAILDIPEDIDDSSIFSDKTHSF -DRSEVESFVKRDNNNPIPTKRVLKTYLEKPRINVKEFVNMVDKEGLTYDDLLIGLKAKERELKRFGRFFT -LMTWNLRLYFVISEYMIKRDLIKIFPGLTMADGFIDVLKKMLDRTAGQRNFEYENITYANHIDYEKWNNH -QRDEAVGPVFSVIDKLYGLKNFFRRTHQFFKDCIVYYPERPDFYGVDGPYYWNGQPGGFEGIRQKGWSLV -GVLCLMRESKYSNTKVEILAQGDNQDVFTNYRINTKLNDQELDEELEKIFKNNDNLMKRIKIASEKIGLI -INEDETVQSSGFTVYGKVPIYKGNILNLETKKVNRISGVTNDQLPTAANIMSSVNSTALTVCQYDATIKS -GVYIHEIFGIMTLNSLKLWNPMGAFGEKIERYNKGDVAKWLYHDQCLGGNTGMALTRMLIRKFPDPITEG -LAFYKLMSELIKDDSIRNSFLEMGNPEYKAVGSLSINKLLEDPTSLNIKKGSNISVIIRDQVKRSLIRYS -SNIKNKLLRNSLEKSDNHEQYLIDFLTTISPVFPRFLSDFRQASICGYLDSVIGLVQNSKTIRIMFSNEF -ENTVRELVNKWEREQWIKIRTLRKDKLLRWKCSSTHADKLRNESWQRNIIGATVPHPYEYQRNWINNLRD -YIARNEDRDIISCVVPKKVIHSFDHHGGNAPYLGSNTKESSSSLQPWEKEFTNPIFHKASNLRRGINWMI -KPDSKLAKSIYNNLKYVTNVDLSEEINSIRKHRTGTAQHRYKTNRQDNGGFCNITPNILSWFVVTSDYMT -DLSDVNYDFMFQASLLFSETYGAHVIKEGVDLSSFAMGISCQDCIREIYDLNLESTIIYNPNTISKVFWL -GEIIKTNVISNDDMLENLFPNTDNHGSDISYWVGFHQSVASLIKLDRIDDRLKLSDLYSVGVMMKINPVS -WHYGFIDGIILCGAFSVVNQLSLNAKNKYKYMVLNKAYRLMRRIVSDSDFISILRLNNISEWLLDASSYV -IPSYPPSSANISRSFSSIAVESVLERFDSKNRWLPNINKMIIFQDFDFDQFKLLILIGIQVLRSINTDMN -NIGRERVLHKIFIYYNQYKLNKNDHVAYSELLYLLGIRESRIQISTRELKNVVESNDIRRDITDQRVVYM -DDEDFRLRAVDTRNMQNQVNSDKLSGKECPFISGIRPYRCATGAHYKMNDILNWLQINPDLAIVGGDGSG -GMSSLVLRKFLNVNVIFNSLMEYKEIALKGAQPGKPQAIMKLPLSYKSRCLNLNTCWMEPSDLSEVSCWL -NFGKYLDGFKIKNRKLDLLILDMEARTVENYQKIYQLASVFIKRYLSNGGVAILKSYTGIFTETYKELYS -IASLGDLYCVNGRFTSNFSTEFYYVFKRGSLLPKPKGITMVKSIEQLNFSLSTEIDEFIRALKIDVGYLY -AKIPAQLRENYEAYLMEYLNQLGITPMYSSVFIKLIMVNRVSDGLLGIIYQSCISQSNDQNIISSDQTLI -RILCFIISVLYYLSYIREEIILFKRANWLNNNKIDIINDKIGRQFYIYCYTRESPASHRKSIGPIRDNTF -INLLLRSLMGCHYTLVYQEDQELANLNMPRFSNNLQELLNI - ->YP_010229213.1 RNA-dependent RNA polymerase [Coot Bay almendravirus] -MEDLSIDEMYDMIDDSWEEVEYEEIDDDDDANRMSKRVKQDKNRVIINSDFNLNSPIMPDVLNNIVNLVN -NGISPIHHLNRTMLAYNEIKNILPKNIKLGDINETYRAMRTFLLSDVKSNDYKEFLNTWITEIDSVKEIP -DKFLKSILSKPLAFDLNSIFDKHDALSILLDKFLEINLIIEVMSNRNRLTVKNYSDKYEFERLIGGSYRI -KTYNWVGLLTDSIFIDTKNKVLYDYNMMLMIKDILIGRLNTLLLIALNLEGRYSESCMKDLIRLFVIGDK -FLLKKGNAGFDSISVLEPICIEQMSSIANKTRPRIIQPDNYTNYIQSKVETDDIISNRFVQNVRDIVYSK -SNIYDITAFYGSFRLWGHPFIEYEIGLGKLKEQVRMEKPNIDKKYCSLLANDLMRDMLINFYKKNKKWNV -IDNEHNRSIPGTEELLNNSWISLKSKKLLEGHWHELDIERILEVPEDISDSDLFADKTHSKNLKEVKKIA -SRDKRNPIPTERLLRTYLKEDRIDISKFINEIDANGFTADDLLIGLKAKERELKRFGRFFTLMTWKLRVY -FVISEHLIKKDIIPFYKGLTMADGFIEVMEKMLDRTSGQRGSDYSKITYANHIDYTKWNNHQRDEAVGPV -FSVLDKMYGLTNFFRLSHEIFKKCVVYYPERPEFFGKDSQFYWEGQPGGFEGIRQKGWSLVGILCLLREA -KKRNTEVDILAQGDNQVVFCKYKVPSDINDSDLDNELKKIYQNNEYLMSSILKAADKIGLIINQDETVQS -ANFTVYGKIPVYKGNILNLETKMANRLSGITNDQLPTSANIMSSVNSMALSICQRDSSVRCAVYYQAIFG -IFILNIIKKWNAVSCQGMTNQILNMDPLIRWLYHDKCLGGNTGMALTRFLIRRFPDPITETLVFYKKMHD -TTSVSSIKKIMNFMGNPTQRPLNRASINKLAEDPTCLNIVKSGDISILIRSQVKKSLINHLPNIKNKLLH -NALKNSKKQEFYILTFLQGVTPCFPRFISDFKQSSACGYIDGIVGLVENSKTIRSMFSQEFEGKIIDLSI -SWEREQMLRSVNDCNNVGKQWDCSSTHADHLRLLSWGTHVVGATIPHPYEYHDHFVSDVRSAVENNKDLM -TCLISPKINLDINFHGPNKPYLGSNTKESSGAYQSWEKELTNPLFKGAAQLRKNINWTVERGSNLSKSIM -NNLNYVTGLDMGETESGNKKLRTGTAQHRYRSSRQDSGGFCNISPNVLSWFTVTSDNMNDLSDRNYDFMF -QASLIYAETLGAHIVKEVNSVSSFGLSISCKKCIRPLSDLKLNSKMIYAPHTISKKFWLNSIIKSEIVIK -EDVYSELFPYIDQCPMNGSFSIGLHQSIASLARSYNLSDDFHMSDVLSMGVMMKLDPHQWFKGLKVGIAL -ISGYTLLQHPSFINEGRVYEKYYNRYKSMINMLVSDQDLCARLRLSNIDEYMTYKTSQMSVNYPAKNSDL -SEKMSIILTESLINSHNTLMNVKSYILRDPIIYNDYNNDIIKKVIVIGAEVVSNLKDNCENYSRQKLFNL -LKQCLIGNVDLRSFNNISNKMFLSTSELKTHAENLDKFKREYPREVYNDSRIAEKPKFMKLNLTNVQNSK -KHKGNETHMLQSLKPLRFATSAHYKLNDILNNLKLNPRFALVGGDGSGGMSSLLLRKYENIELVFNTLLE -VGSETLKGGNPGAPQAISSLPVKYKTRCVNLDYSWTEPSDLSTDQCWRCLSSYTTRMKFDLMIIDAQARE -ENEFLDIYKKMIQNKRKIMDDKGVCIIKCYTGIAKNVFDILYKETSDNIYGIQSVFSRDFTTEFYLIITE -KNINISNCDMVESIDAFNLSKRTVNEELKRAKYVKDLKLYRKVPQSLSEKYIFKFANLMKGLNLNFTMSK -VVSSLLINDDYKKGFKIIAEKCLFDNLSENEIPSDQALSKLIAVLSGILCFVGIDEDDNFPIYMSNSLNV -NPINVCISTEERYIYLSFDKKHFKNIISKRIYPIYTSTIGATVTRCLIGMNNMDLELLKYDNNINFDYNE -SDFFD - ->YP_010086056.1 RNA dependent RNA polymerase [North Creek virus] -MYRFGVYDVEQDEGGEQNDFVGKEEQHDLSSDLWADVEDYIQGSKDVDNSPSYLNNQDYTLNSPLICDEL -DAFKEFLTKGIKAPLYNKSWDSRVSWMKTLNVDWSNIKETSEYHKTCAMLWSKETTGTVEFRKLIERTDE -DAKKTYTILESYLKGWTNRMFPFQSRRKSSEETLKYGSYMLEMLKISWILNAGTEYELTQLKAAIGFETV -GKEGCFEAVRYQSPLLGNVVIGSGVSYFEAINMLWDRAMILMFKDTATARFHTLFAIQHRYTDHYHVTHL -ASVEKMYKLGDAVLGGCTKVAYEAFGMIEPLSSLKLSELAGDFRPLIPRFPHFRGHVETKIAELTLKNPK -VADLFNHLQAINDKRLLLTVYGSFRHWGHPFIDYFTGLEALYQNVSSDKEKIDKSYAELLASDLAFKVLR -KEFWTKYTWYVDIEKVPDTNPLYEHIKNNTWPSSDILLNYPPEWHKLPLLPCWEVPKVVDPAIIYSDKTH -SITKSELIKHLKQNPHSRIPTRKVLHTLLTTKSTDWPSFLKEIDERGLQEDDLVIGLKAKEREIKWKGRF -FALMSWRLREYFVFTEYLIKKNVIPLFKGLTMADDQTTLIKKMIQNTSGQGGDDYKHITFANHIDYEKWN -NFQRYESTAPVFRVIGQFFGLPNLFVRTHEFFEKALVYYRDRPDLMKVVDNQVHNRDETKRVSWNGQKGG -LEGLRQKGWSVLNLLVIERESRIRNTEIKTLAQGDNQVICTQYVINPSKTEAELSNHILAAMQNNDVIIA -AIRNATARIGLRINEDETLQAADLLIYGKTIVFRGNLTCLEEKRYSRVTCTTNDQLPSLGNVLSTVSTNC -LTIAHYSKSPLNAMMSYNWLSNLVINILSMHNPALRTAPKNLVSNPDKLDTRAFRIAAIYLDPSLGGIAG -MSLTRFHLRMFPDPVSEGLSFWKVVYRSSKDLEVKKLAIVFGDPKLMKYTAKNFEKLIEDPASLNIPRGL -SAQNLIKEEIKNALFRDPGMIKHEVIKDAVLYVKKSEKAFTSFLSSITPCFPRFISEFRASTYFGLTSAI -LGLFENSKTIRNVFKRRFRSVVDQAIIKCELASIEALLGRITQTVGRIWTCSSSQADSLRTRSWNRAVIG -TTVPHPAEMISDVKIGGTGCDGCGRPIPHSIHLVVLVPQGLSFPEDVRGPYPSYLGSSTGESTSLIQSWE -KDTDISFLRKASRMRRAFHWFVDPESNLENRINLNLKGLTGEDPGTTIAGFKRTGSSLHRFGCSRVSAGG -YIANSPVYGSRMIISTDNFQLLGDTNYDFMYQSLMLYGQQTVGEIHGESPRSETYHFHIGCKECLRKIEE -PTIDTPFVFNFPDVSQRLSKWKASNIPWMNETQSLEIPKGRWNSLSQSEQSREVGALHGVIFGNLGKSYA -DTNVLNGLFPNALRNKLHGAAYLMGLRDGLYRAAAVDAMHRRVFYRSTSPDEVVSACYDGIVKSIVSHSD -FLAFTQGEAILYNLKTYSHRVPASYPLNNTDLGVMTKSFLSSFTYSQWRGTCYNGETHLWIFADFVSPQL -SGVLIIAYELARLLCRPNDPAFKRKCRDLSDLLSALRKGEVSGNIQDMVKLTFYHLALWSEVRHAIKYAK -PSTLIPRIKREPIVFTSEIRVPVQVFTVEFTSYEQPIQLLNVPRIQNPLISGLRIPQIATGSFLKVDGLL -TYLKLMVQDALVGGDGSGGISALVLRKYDNTRLIFNSLMNMDGVDLSGALPSPPSAIDSMPDNVKSRCVN -LKNAWANPMDLSQESTWTAFTKMKRLHSMRLNLAIFDMEVQDDKSMDKIECLMEEKLPLLMETNCTVIIK -SYASRLLRNGSLISRVCSHFHNVMFTQNLLSSSHTSEVYVVCQHLRTNSVMKMYPRWDKMVTDIQQLYCF -RDYESEFNRAQQVLALNVLQGIPVVYLPDPMKELEGIWSDLSKDKISIAKSLKLSGDGRSSDESHTHSPH -YHWLAINDSSIPQSWKTDIRVEIPGDQILSKYFAYYIGVHLYLALLMRDVELAKMCQYMIDEPFLIYFYQ -VKKSKVDRLGRRYHSLGWSIDEKAGWINAKQVFVQNRLALIGSVIRYHAHLSKCLGPDRDVFWNSKLLEV -NKQLTRDHLTSNTGIYNLLE - ->YP_010087313.1 polymerase [Muir Springs virus] -MDFSYEQLLDPIDVLEEELLDFDLETEDLTDDEVEYLPNVKYKNLEGKDYNLNSPLISDLIDSGREYILN -LNKYFSHERNNPELDQFARALKAIGFSKFDLKKSSDHHRYMSNYIYNSDRKHLKLDIIPRWKEVLELTRN -PVEVTIKHVLGSKLHSDQQGYIDRLKYITVDGPFARKTRLHHEWEKFTTLHYITYIMNSKAFSDNKDWVR -EVFETMETTEVDPEMITIIGTGLNKKETSWIVSDNFALNVRTGLFIAKDFLLMMKDITLARCMSKLSMVN -RKSPNTTSDMLDFLDRLYSSGDNILTRHGNMAYKHIKLLEAACLERWNQLGHKYRPLIPISNSMSEHLKN -QLEENQELYLISNKFFELIEKIEDPWVVAQAYGTFRHWGHPYIDYLNGLKDLEKRVNEDIKIDRQYTEKL -ASDLAFIVLKDQFSKHKRWFARPNAGLSQDHPIRKCIENNVWPNTKVILDFGDNWHKLELLQCFEIPDAI -DLSDLYSDKAHSMQYSDVLNHIRFKKSKKNIPALRVIGTLLEKENPNIKEFLQRINDHGLDEDDLIIGLK -AKERELKDKGRFFSLMSWNIRLYFVITEYLIKLHFVPLFSGLTVADDLNTVTKKLLSATEGQGLDDYEKV -YIANSLDYEKWNNRQRYESNEPVFTVMGKFLGYPKLISYTHKIFENSFIYYNGRMDLMGVDGNVIYNLYE -DKLVCWQGQLGGFEGVRQKGWSVLNYLILRREAATRNTAPKFLAQGDNQIVITQYTLTSKSTTEIIQREL -KNIWENNMHIMQRIQAATGRIGLVINNDEVLTSAELLVYGKIPVFRGKLLPLETKRWSRVSTVTNEQIPS -FSNSLASSTTTALAVNQHSENPIEVMAQHHFFSSFAGTLVTFVNPILGFDPIKYDLLSVNTRKLFLVRLL -YKDPSVGGVCGTNLLRFFISRFPDPLSETLTWWKILFENTKDLEIATIALECGNPKYGSVNDKTLAMLLE -DPMSLNIPGGLSSDTMIKNKIYEGLINQMTGKKIKNELVVESLTFFNNYKDNFVRWLFSIRPIFPRFISE -FYTSTYFYITESVLSIFQNSRTIRKVFSSRFPKEVYMTIVKGEQMSIRSLLDHKKGTVRECIWACSASKA -DEMRKLSWGRKMVGITTPHPAEFTKEMVCSEGCTSPHIVAKKIPFSGRKKWTKGRMMPYLGTKTKESTSI -LQPWEKRLEIPLLRKACDLRKAIRWFVNDDSNLAKSIYKNLESMTGIDLKEELRNYRRTGSSKHRLRNSR -VSNEGNPAISYNNLTYVTVTTDSLGDINSENYDFMYQSILCWCGVLSSLPSNINRECETTHFHLRCDGCF -RTVEEEILDAPSIYPFPNVQSSVRRMLTQDIKLKYLPRISTPDENIWETLSTKDKSWHIGRAQGFLWGLN -VFTKTTKEVEGDLFPTSITKKVDPENYMDGLHRGFCLGATLSPMYTRYGSLSRMARLKFEGAYWEIVDAA -MKTNLPSMIDHHNFRPFLKRTGGDLIKSYPARKEELVLVLKKWFLHKMVTERKNNSIWESKRVIAFADMD -TEFVLCLFRLAESILCCYQNDTLSAGQARVLGSAKETIELISKYNNSDINERELNRLTEIVVASNLKAHE -VVDSQARHAASSLPDIAKSENYIEIISYDEFRGYGGKTIRLEYQPGDMIDWKDGMVKNFYVPRLKNPLIS -GVRIVQYSTGAHYKYKDIEREFQIKGDGIFSGDGSGGIGANHLRLHKNSRVIFNSKLELEGDSLKGLAPA -GPGAYTVSGEDVVTRCINYSTCWEEPSDLSDEKTWKNFLRIIKEKDLDVEVFCCDAEVQEEKTTEMIEDY -ILRYVPSILNRRTGTLIYKTYFNRLLNPNSITHFLGMFFHRCYGFLPTTQGSFTSEIYIICQYPKEVDSV -SRTELTYTSIAELYNNVRVMETYQNEFARACGLIYDDMTYGLGPKIPFLDPEELAIFLSTVGLDTGWALL -ISEQLHLSCSNGLHPIIILWILGFIISRHLVSVTSWFRVGTKFPPSVQLQKMLAALFGIWFGISYIMEDV -ESYARISSLYNQEIFFSLGLTKVIVRKKDNKELGQFSTWKIGPGKNSKLIDIGPKAGITQSMIRALIVLY -RGKHITRDISQEDKLEGDRILSLFGKGLNLKILMQRTGINYLQVGEKNPEEIPIILEEEIQEETLEEITE -EFDQS - ->YP_010087308.1 polymerase [Bahia Grande virus] -MDFSYEQLLDPIDVLEEELYEFDFEYDDYTDDDQTPLPNIKYKNLEGKDYNLNSPLISDVIDSGREYIIN -SKKYFSHERTNPELEQFSKALMAIGFSRFDLRKSSEHHRYMSSYIYGNEKKHMKIEIIPRWKEVLELTRN -PVEVTSHKILGSKSQSDQEGYINRLRYITVDGPHARKTRLHQEWEKFSTLHYITYIMNSKAFSDNKNWVR -EVFETIETSEVDPEIITIIGTGLSKKEVSWIISENFALNVRTGLFVSKDFLLMIKDVTLARCMSKLSMIN -RKSPNTTYDMIKFLDSLYESGDKILTRHGNLAYKHIKLLEAACLERWNQLGHKFRPLIPISSSMSDHLRT -QLEENQDLYMVSREFFDLIGKIEDPWVVAQAYGTFRHWGHPYIDYLNGLKDLEKRVNENIKIDKNYAEKL -ASDLAFIVLKDQFGKHKRWFAKPNKELDENNPMRKCIENNVWPNTKVILDFGDNWHKLELLPCFEIPDAI -DLSDLYSDKAHSMQYSEVLNYVKYKKSKKNIPALRVIGTLLEKENPNIKEFLQKINDEGLDDDDLIIGLK -AKERELKDKGRFFSLMSWNIRLYFVITEYLIKLHFVPLFSGLTVADDLNTVTKKLLSATEGQGLDDYERV -YIANSLDYEKWNNRQRYESNEPVFTVMGKFLGYPNLISYTHKIFERSFIYYNGRLDLMGVDGYHIYNLFD -DKMVCWHGQLGGFEGVRQKGWSVLNYLILRREAATRNTAPKFLAQGDNQIVITQYTLTSKSTQAIIEREL -RNIWENNAHIMHRIQQATSRIGLVINNDEVLTSAELLVYGKIPVFRGKLLPLETKRWSRVSTVTNEQIPS -FSNSLASSTTTALAVNQHSENPIEVISQHHFFSSFAGTLVTFVNPILGFDPIKYSQLSERNKKLFLLRLI -YKDPSVGGVCGTNLLRFFISRFPDPLTETLTWWKILVENSKDKEVVKIALECGNPKFGGINDKTLAMLLE -DPMSLNIPGGLSSDTMIKNKIYEGLIHQMGLKLIKNELVVESLTFYNDYKAQFVRWLFSIRPIFPRFISE -FYTSTYFYITESVLAIFQNSRTIRKVFSKRFPKEVYLTIVKGEQMSIDSLLTTKRGIVREAIWKCSATKA -DEMRKLSWGRDMVGITTPHPAEFTQELLCSDGCSEPHIVAKKVIYSDRKLWTKGKMMPYLGTKTKESTSI -LQPWEKRLEIPLLRKACDLRKAIRWFVEDNSNLAKSIYKNLESMTGIDLREELRNYKRTGSSKHRLRNSR -VSNEGNPAIGYNNLTYVTVTTDSLGNINSENYDFMYQSILCWCGVLSSLATNRYRDHETTHFHLKCNDCF -RLVKEEILEAPSVYPFPNVRSSVRRMLTQDIKLKYLPRISAPDENTWDTLDVDQKSWHIGRAQGFLWGLN -VFTKTTKEVEGDIFPTSITKKVEPENYMDGLHRGFCLGATLSPMYTRYGSLSRMARRKFEGAYWEIVDEA -MKTNLPNMIDHKNFKPFLRRTGGDLIKSYPARKEELVLVLKKWFLHKMVSERKNNSIWESKRVIAFADMD -TEFVLCLFRLAESILNCYQNEALSAGQARVLGNAKETIDLISKYNNSNINADEIERLQQILMASDLKDHE -VVDSQARHAASDLPELAKSENYNEVIKYVEFRGYGGKTIRLEYQPSDLIDWKGGMVQDLQVPRLKNPLIS -GVRVVQYSTGAHYKYKDIEREFQIAGDGIFAGDGSGGMGANHLRLHKSARVIFNSKLELEGESLKGLAPA -GPGAYTVSGEDVVERCVNYTTCWEEASDLSDEKTWKNFFRLIKEYSLDIEVFCCDAEVQDPYITNKIESN -ILKYISLILNKRTGTLIYKTYFNRLLDPNTITHFLGMFFHRCYGFLPTTQGSFTSEIYIVCQYPKTLDST -SKTELTYTSLFNIYQNIRVMETYQNEFDRACSLLFSDMTEGLIDKTPFLDPEELAIFLTTVGLDTGWALL -IAEQLQISCSNKLHPIIILWILGFIISRHLVSITSWFRRGTKFPPSIQLQKMLAALFGIWYGVSYIMNDA -ESYSRISVLYNQEIYFSLGLTNMVYRKKDDMELGQFSTWKIGPGDNSKLIDIGPKAGITQTMIRAIVVLY -KGEHITSIVTKEDKVEGDRILSLFGKGLNLKTLMERTGINYLQIGERNPQEIPYTLEEEVLEEVVEENTG -EFDQS - ->YP_010087302.1 polymerase [Garba virus] -MFDFEYESSPDYIDDIEGVLHSATKPTRLNLQDYNLNSPLIKELNIKVEEKSQGLIDTTRNRELDIFLEN -HNVPIRPWEHNHRLLSQLLSNECPQTTWFDKIWNKTHNDSNLTIEIVNIFLEYFNQPTKIRHDKQDLPRE -IRAWGQLFMETVILTIFMNNDKNESAKRLLKSCIIRSGKQNHKCQNIQYNFPSLGLIEVSRSGVIKDNHF -LCRNFVLMLKDITGGRVFSYASMIDRVDTAVSQRDLADFVSIWTIGDQLLGMRGNDAYDCFKLIEPITNN -SMINMAQKIRPEIKLRSEFEEFVNSEIQRFADQGIYFPKIVSNLLAQNMNLEFHLGVYGFFRMWGHPYIQ -HEEGLSKLYEQVTCKKTINDELAQCLASDLAFKVLEKKFNEHRKWFVNKDLVPKDDPLSEYIKTDTWPPS -KVISNYGDKFHLLPLEKCFEIPDFIDPTLIYSDKAHSLPKDELMADISIHRYGPCKTQRVLDTLIHTDFT -YWKEFLQEINDYGLEDKWLVIGLKAKERELKIIGRYFALLSWKLREYFVVTEYLIKIHFIKLYDGLTMAD -DLKSVLGKLINRSMYQGSPNYNSVTFANHIDYSKWNNHQRKESNKYVFRVMGQFLGYPNLFERTHEFFEK -SLIYYAGDRSLLRASEGKIINSGQIRACWEGQAGGLEGLRQKGWSLLNIILLERIARKRNTKIKLLAQGD -NQVVCTSFKLTNTREETLQKHLNEVTEQNSKIMEDIKQGTADLGLIINQEETMISTEYLNYGKVPVYRGN -ICGLKMKRWARVNCFSNDSLPNLSNVISTVSSTALSVSHFSVSFVDPIYNYNFFGNLAKNLLEIFDPCVG -GPLKFKNHRFISCSKALFLDPSLGGVCGMNLNRFLIRNFPDPITESLAFWNLIYMNTNNPLIKRLCCNAG -NPHVQRGVRDDFRSLLEDPTSINIPRGLSPLTMLRNEIRQNMLSSAWTIQNGIIKDITLLGSKEDEELLD -FARSTKPLFPRFLSQLKSSTSCGIRDSIVGMYENSKTIRKFFLDNLRDDFDDKVIQSELDAVKKLDTLYE -MDHMGWTCSSSKADQLREISWGTEIVGMTIPHPTELFDKPQNKTSCKCLDSSDDQYLTTVWDNDETDLYA -GRGSLIPYLGSQTSEGTSIITPWERDSKIPFIKRIMKIRNALNWFIDPKSNLGKSVCNVIEAVTGYKVDV -DKSQNKRTGSAIHRFSAERQSCGGYNAISPTTLTRLFTTTDTLGNINKTNYDFMYQSAIISMQTLLSKPQ -GYTICGKRVYHSHIKCKECLREISEIEIDAEFEFKPESMRDLIIKWIPDLDDSWKMRTEKIYPNTDVNLI -PYSKLNHQVGVTTGFAFAEMSVKGDDAEGFSRLFPNSISSKVDPENYLKGIIQGVINCSALNCLSRRSLN -TLRDPFTSIGGNVITIINYLTSNSQFLSLCKSENLTNHFLNQPHKIPPSYPLNIEDQVSIIKSVMREYTS -FLLIEKREFNKEILLFPDIVGTGLEMSLQLGAEAYNILISQQNKKVKIEKLRMIKGLNIQFRLKEDVEFN -PTTLLVKFINQEIRHVLKFHTEVPLTRKIPVTFGAECYGRVYPIPITYRYLKGKEVNVTVPEISNPLISG -LRLFQMATGAHYKLRSIIHHFNIKYNYFLCGGDGSGGMTSCLLRLNISSKGVFNSLLKLGDSSTRGTKPS -PPSAIAALGESSDRCVNMKTCWENSTDLCDQATWEYFRSFITYEKFDLMVFDMELTDYKSMGMILHNLDY -YITDLLKRSGTLIFKTYLHVVVNTENNPLQVISSWFKEVYSCQTEFTSSHSSELYIVGTKLDKNRVIKNI -DPDCLIQIINDNFVFRSSREEFVRAQNLRKKDLMGGVHFTLRTPLRVDLENLLNSGGVPSGISHRLSNDL -EHCRLDNTDLKWELIKIIDHFCFESGWNEYKPKLPSDQQLQGSLSVILGVLYSLMLDYNTDKQEVFMDLI -NKGFCVYINCNEKEKEKMFKVTWNLSREGKYVSLRSKLASIGNCIRTCEKLSERLKEVTYRPNKSWLTKK -LSYTGIPTIWNMSRWTCDHQEVFSNDWNALDDINIQEMSYDF - ->YP_010087182.1 RNA-dependent RNA polymerase [Cuiaba virus] -MDVEVEEYEYGYEVNEEQSLEDEVSARKKKGDLISISRYDYNLNSPLVLDKVVNIWRYLRKQSILPIYKR -EEFKRYEDYFREERISIGTLEDPTSQRLYEKTFIKSWVVDRDWINIMKSVISDCNLVAPVVNAFMKGLEL -SENRFTWEDPMVDELGTKFLIYHKIVILMNNCNCIRGEGLAKLFNWKFFNPDQHKKILCEQHYYIGKDKN -LGTVMITKDFVYFSKVKTIASRDQILMIKDILLGRLNLFCTLDPRSQEAADVKGWLSCGDNLIKGYGNDG -YKIIKMIEPVCNNLMSKEGNDLIPEFPSFNTFDEYIKQERTKLENEFPLSKRFFEETEKGEIEEIILKFG -AFRYFGHPAINYLKGLNDLYDLTHEEKDIDEEFINVLASDLAYKVINTKFIAEGKWYIEVPEEGHILRPY -VQSQTWPSNHVIAQIGDNWHKFKLKKCFDIPEFIDPSELYSDKAHSIRKEELKLHLMRYPNDPIPTRRVL -QTLTSEADTKWVSFLEEVDKNGLSDDDLIIGLRVKERELKEGGRFFALMSWNLRQYFVVTELLIKKHFLP -LFDGLTMADDMNTVITKMISKTGGQDEESCKKVITISNHLDYEKWNNNQRGKSNNPVFKVMGQFLGYPNL -ILRTHEFFEKSLIYFLNRPDLMCVYQGEIQNKTDIRVCWNGQDGGLEGLRQKGWTITSMLMLNRLPRKNN -TLIKTLAQGDNQIVVTSYRPRTWENEVERQMIYNEIFDNNEKIMKEVTICANKMGLRVKKEECMQSIGYL -NYGKVLIIKGIIHPIISKRVARISSISNDQLPTMANILSTVGSSILSVSHFSIDMKSMFKLYVFFFALVR -RIWELYDCIIGESISALIPVDTDQRMKYLVKLMFLDPSLGGICGMSLSRFLIRGFPDPITEGLCFWKITY -NNTKDKVLKAVCIEAGNPKLLAFRPAHFRKIIENPSALNLPGSMSPALMLKEKILEEMIKERHTYKNSIV -RNSIDYYSQEHQNIITWLLNLTPLYPKFVSEFYSSTFLGIALSHIGMFQNARTIRNIMKKRLGSSFDEVM -VKSERDTINMSLSNSKQRYSQMWECSSSQADRLRLFGWGQKVYGITIVHPLELFGKSGIGNISCEHCLDN -GIGFVTTIVPKGFPAEMRKRGPYPSYLGSKTSESTALVNTYEKESKIPLIDRAGKLRISIGWFVNPNGFV -ADAITDNLSTLTGEDWSIKNKQPFKRTGTSQHRYGCSRQSQGGYCAQNPVLSSHMMTTTDTLEEISKKNY -DFMFQAVILYCQYITYLRYKSSEEHLTVHHHINCHDCLREIEETEIEACYPLCLRDVSDTIKLWLPQNCK -FSETRIMVDTERIDIEDMPLDLLHEQAGICVGFLYTQERFSKNKLYDESALFPLALRKKLNPTVFCRGII -QGIMISCTGHIVGRKIMDKNSVVRIMIQSLGYYVIRSMSRDKAFISLSLGGELEEYLSTIRHRIPPSYPC -NNNDIGLIIETGLKGLLNQQLSNVYRSQKLNEDNLYLYPEMSDKEVMCNYGVLKEMLGQLVKCDPPRRKQ -LIKLRELKLLLLEEKDSIKPSLWLSKRYKCRIITSEVRHALKNRPFKYEKPSGQVIWGREYTCSCDKIEI -SGSIEEDTLMINKPPKIKNPFISAIRTGMLATGSHYKIRSIMREFEIHPRDALCAGDGSGGIGAFVLRSN -PNTRIIFNSLLELAGEDLRGCKPSPPSAIVDASGNADRCVNLRSCWEKPSDLTQSSTWSYFKDLKRKHKL -KINLITMDMQVVDEDAQNAIDNNFLSHGISLLETQCFVVYKTYVHRLLEKNNIVSKLWSRFERVFLSRTG -LSSSHTSEIYVVFIDLSRFIKPDFPMWQSVAKWIKQGPCYRSCEEEFNRGLMFLNQNLMCGIPDLLNPDP -VLELSNCLQSLGITNKVSLIISNLIQANSANSMSSVCEASIMILISQLTGVFNKESWVPSNTDVENIGAI -YCGFSLYLAWINRDFLNYQIPIWMINTYFWIWFGRKEISFSKLKRSKTVRLNQRVSPIQQIFRSLAKMNI -KEQMSGRKSIDFFLKKFRQDWDYQCIQRRINLFRNTELNRPEYVTSLSEIQSDDLVEITYTN - ->YP_010086786.1 polymerase-associated protein [Ohlsdorf virus] -MIKILNYQSFISLKREKKHLTHLNSISVKGSLLGKLFDMAGFIGFHAGDIERMEMDRFEDEVHALEHENW -ASELWDDSEDLIRGSNKIDTSPQYMNNQDYTLNSPLICDELDAFKDYLRSGKKNLLYYTKSWDNRVGWMK -VLPIDWSNVKPTECYHKECASLWAQHPKHTNDIVNLIRSSDLGAEQTHHVVSTYCKGWLKRDLKFASRVK -NSADTLKYGSYMLELIKISWILNATTVYERDSLQESVGFTYLNNGEKQGGVMYDSKVFGKIYLGGGVLYF -QDINMLWDRNMLLMFKDTSTARFHTLFAIQHRYQDKYISDHLAVIEEMYRLGDLVLKYDTISAYESYGMI -EPLSSLMLSELAGNFRPLIPRFPHFRNHVEGKISDLSKINPETVPFFEILKKITNKRILLTVYGSFRHWG -HPFISYLTGLESLYQNVTSTKEKIDPEYAELLASDLAFKILKKEFWSKFRWHVDAKLMPDNNPLKEHVIA -NTWPSMDVLLAYPPKWHELPLIPCWEIPEVVDPSIIYSDKTHSIQKSELINHLKYKPNSPIPTRKVLDTL -LHSPSTNWPRFLKLINDKGLNVDDLVIGLKAKEREIKWKGRFFALMSWKLREYFVFTEYLIKKNVIPLFK -GLTMADDQTTLIKKMLTNTIGQGGNSYQHVTVANHIDYEKWNNFQRYESTAPVFTVLGKFFGMPNLFTRT -HEFFQKSLIYYRDRPDLMKVDKGVVVNRDIRKRVCWNGQAGGLEGLRQKGWSVLNLLVIERESRVRNTLV -QTLAQGDNQVICTQYEINPSKNEIELKDNLKNVMTNNEVIIDAIRKATAKIGLRINEDETLQSADLLIYG -KSVVFRGNLTCLEEKRYSRITCTTNDQLPSLGNIMATVSTNCLTIAHYSKSPINAIMSYNWLGNFVMQVL -AIHNPALRTSASKLVSRPELLNGLEYKIAALYLDPSLGGIAGMSLTRFHLRMFPDPVTEGLSFWKIIYHN -THDQRLARLAIKFGQPKLMKYNSKHFAKLLEDPSSLNIPRGLSAQNLIKEEIKRALLEEPNNIKHEVIRD -AVLYVKTSEDKFISYLSSIKPCFPRFVSELRSSTYFGLTNAIIGLFENSKTIRNLFKRKFRNMVDTAIVQ -CELGSIESLIARTLQAETSMWKCSATHADKLRKTSWSRDIIGTTVPHPCELISDVQPGGLACQACPLPTP -RSIHVIVIVPQGMDLPEDYRGPYHPYLGSSTGESTSLIQSWEKDTDISFIKKASNMRRSFNWFVEPNSNL -GKSISLNLESLTGESSGDTITGFRRTGSALHRFGCSRVSSGGYIANSPVYGSRMIISTDNFQLLGDKNYD -FMYQSLMLYAQQTAGEIHNESTESATYHFHIKCTECLRLIEEPMLDSKSIFKFPNVSKKLEKWKPSSTPW -MKESISVEIPEGNWETLNQQSRSREVGILQGVVFGNLSQSYSDTNVLNGLFPLAMRNKIHGPSYLMGLRD -GLYRAATVDATHRRIFYKAASPQDVIRACYLIQVDRITKHSDFLNFTQGDAIIHTLKTFNHRVPPSYPLN -NTDLGLLVKGYLGSYTYDMWRTISFSSTPDLWIFADFLSVQLSGMLIISYELSLALSRTHMNIFKQKAKS -LGDLLSVIRNGELQTKVQDIIYTNDKIKLCTQEVRHAIKHAPANTLIPRMKVDPIVFSNKVEGKVYEYPV -SFSSEYTEPPLVVVPRKQNPLISGIRIPQIATGAFLKIECLIRHLKLTPADMIVGGDGSGGISASLLRHN -VSSRLIFNSLLEMEHVDLSGSLPRPPSAIDYMPDNVRDRCVNLNNAWEHSMDLSKEATWKSFVSLKHKHL -LRIDLAVFDMEIQNEEMTGNIESLMTKYIPKLLEINSTLIVKTYMARLYSKESILIKVASMFHNVIITQN -DMSSSQTSEVYVVMQRLRTRDIIKLYPQWESFQSLLDHAFCMRSYDSEFARALRVMNLDLRTGIPKVFLP -SFPLELTGIWNSISHDRVAICQWSDFISECKAYLGPFSITTLGLLANQVIPTSRWTQDNDMVIPGDQELS -RYFAYYVGTFLYYALVQKDYDLARKCQSMIDNPFFIFFYSNTHKPRKNHGQLLYSMSWSLHEKKNWIKHK -KVFLQHKMALIGSTIRYLLAIFNAPGHESFQKCFDKLLLINKNLTEKHLRAHTGVFDLLK - ->YP_010086769.1 polymerase [Holmes Jungle virus] -MDLLDNEDFDGQNFFDDLENDLGEDINEFEDNFIQDFLSEEDRMEFLNNNDYNLNSPIITEHIIELVKFI -KGQQYNPIFFRQSWIIFKDLYKKGKINEEISYIDKDYLHVRLFDFIRNPPILGNYKYADILRKSLKHHNL -SKEFLQIWFKKWGHSDVLDNCNIWENYNRLLSREFLWWSEIFYDSHVLTLHMNCSGKKEAKNLVRIFNST -PLLDKNKKLMGFIWNSNTMGELLILPDYILCNNLSSIWDRPFLLMIKDVTMSRVQSITSMTFSIDPVYSD -LQIKKLIDLYNYGDDFIYKVGNLSYDGLKLLEPICNLQLCKLAHDYRPLIPEFPEFGQHVWRSINEKSFN -TYELFEIFRLITEEDNLDMVLNYYSIFRHWGHPNIDYFEGLQKLHDQVNMVKTIDDDYAQKLASDLAYKI -LKKNYFGKKKWFVDPVKISDKHPLKKHITNSTWPNQFEIEDFGDNWHQLPITKIFDIPDLIDPSLIYSDK -SHSMDRDEVIDFVSKNPGKAIPTRRVLQTMLNKPATDWKSFLQKINDIGLSLKSLIIGLKAKEREMKRIG -RFFSLMSWELREYFVFTEYLIKEYFVPLFHGLTMADDLQSVIKKMLENSQGQGSDNYEYVSIANHIDYEK -WNNHQRKESNYYVFKVMGQCFGLPNLFTRTHEFFEQSLVYYPQRADLMTVNGNTLDNFSEYLVCWNGQKG -GLEGLRQKGWSVLNYLVIERESRIRNTKVKVLAQGDNQTISTFYHLQSHFDDRELIDQLHNICKNNKAIM -NAIEQGTTKLGLIINRDETMISADYLNYGKVPIFRGVIRGLHLKRWSRVNCVTNDQVPSLSNSLASCATN -ALTVSHYSEDPLNAIYLHSVFGNITITLLLEYNPALRTSVKLALKDPDLVEHVWFRLLLLYLDPSLGGIS -GTSLTRFLIRMFPDPLTESLSFWKFIHDNCYEPNLQNLCSIIGNPSLMEFQIEHLDKLIENPTSINLVRG -ISAANLIKNEVKANLIESIPIVSNQIIKHALEYTRDEEQIILQWARSIKPLFPRFLSEMVNSTYYGITTS -LIGLFQNSKTIRSQFKKKYHKRIDDVVFRSEIIGISSLLKIIKIASSTPTKIWTCSSSQADYLRKQSWGQ -DILGMTIPHPLEMLGTPDNMTNLCSLCKINDVISDYIAVMIPKGFSLSKIVKGPYPPYLGSKTSESTSII -QPWEKETNIPLIKRASKLRNAISWFIEPESNLAKSIMENMKSLTGEDWGDGLKGFKRTGSALHRYSCSRV -SNGGFCASSPAKFMWMICTTDTMSNLTGKNYDFMFQSLLIYSQSTGGVIWGNRPDPVNLHFHIKCVHCIR -EIGEPILESDWVLKLPSVSHILNAWRPDSTKSWGEEKMQLDVPSRNWERVNPGEKTYHVGFIMGFIFTDM -LLSHSKHVSDSSLFPLGISKKLIPKAWFDGLIMGIQKSCALQISHRRNLLELKKPRVVQWGSSYFTIEKI -CESPGFLGLVRDGPLFQEIISVPHKVPASYPLNNFDLGALSRNYLKTKLFNIFENPNSIKQYDTIWTFAD -LQSHEIIGSLALSHIAYKLIMDTKKNKHFQNQVRLIQNAYISIKNGDWDKIDQKKALRQVSVCDQEVRHS -CKFDIGPFVLDCKEKVLNWEDEYVGDITCVELEFTHELETKTSDVTFSRRFCPLISGLRTFQMATGSHYK -IRTIIKRLNLKWSFGLVCGDGSGGVASYLCRSNPFGEVVFNSLLELDGIDFKGSHPSPPPAITALGSIKN -RCINHGDCWQAPSDLSNHETWNYFGKLARERHKKYDLIIIEADISDIKILRQTREFISSFAKAYLSDNGS -LICRGFLENLWTGTDNITDLLCRTFKEIKWVQTNLSSNFTQEVYVVFQHLNTYPDNRYINWKSLHKKILD -FYCFQTNAKEFERSLLLSKSNLMIGVPNELVVSPNIELSSILTRIGLETGFAVSITKSWIRNLNKNAVNY -VLAVNALVFESVIPITRRSKKRISPPSNQSIELVLGFLFGSYLWISLNVKSLPIYNWLVDKLCDHVDLYI -SYHLKDSKREIKYCLSWNLSKPDSIDMVWKRIRLHRQSTLIGNVIRCWQRMYSVDDRLINEEQVDKILSF -YNKGLNTKILKSSSSIFL - ->YP_010086586.1 polymerase [Sawgrass virus] -MDLQFPVCPDNDLSFNYDLVPDEDSPRPSKNRTVVGADYNLNSPLLDWRVQNLITALVTGQNAAGNSQFA -NELRSIRGRLGDNNITPRKLVPSHDHHRLVPDIIYTSHSWVQVKLGPRISDMWEGSHSVLRAMDKAILGG -VKHDPNQAVLDILCQQVRVDKKTRQEFKSFLNLHYLICLMNARRVKYAHQLSSQVFIQCDLDTTGPTTSF -TICDRKGNAQVIGTKHHVIFVEHGVLVDKNFVLMLKDVSLARLMAMCAVIGREPHKPGNYCANKLRQLYD -EGDKLLVTHGNTAYKAIKLLESECVNQWSKLGREHRPLIPESTGLTDHLDTTALELSNDFKIDVKPFRSV -VRRERDPWVVAQMYGAYRHWGHPYIDSLKGLRKLKERVTRQLSIDEDFAEQLGSEMAFLVLQDRFKKERK -WYCTTKGLPNNSPLKKCIEDGVWPTTRVIHEFGDNWHKLDLLPCFEVPEEIDPADLFSDKAHSLPRSKLL -EHVSTNPRVPIPGVRVIETLITTDVPPIRSFLQDINDHGLSKEDLVIGLKPKERELKDEGRFFSLMGWNL -RLYFVITEYLIKKLFVPLFKGLTMADDLNTVTKKMIAATEGQGLSDYSKIYIANSLDYEKWNNNQRYESN -QHVFRVMGKFLGLPEVFALTHKFFQASLVYYCDRPDLMKVSGNSLVSTNEAIPVCWEGQAGGFEGLRQKG -WSIVNYLILRREIMARNTGTLILAQGDNQIIIPKYKIVNKIDDQGLRAELDHIWENNNNLMERIRNSTNA -LGLTINRDEVVTSAELLVYGKVPIYRGVVLPLETKRWARVSSVTNDQLPSLATAISSTVTSALAVCLHTD -DPVQTMWQYGLIGCFVMALNSIFNPLVGIDPFRWNDLSNSDKKEVGIRALYKDPSVGGICGSNLFRFILG -RFPDPVCESLSWWKLIYQNTNDAIVRKIALECGHPQLGKVGPETWSRLLEDPTSLNIPSTLSSNTLIKEQ -VYEGLCQKANEGSIKNRRLRESVLYNDAHKSTFVHWLFTITPTFPRFLSEFYTATYFRLTEGIISTFQNS -RTIRSVFSANFSEKVEKVIKRSEKSSVQLLVRPKISASTPHMWDCSASHADLLRSLSWGRKIEGSTVPHP -GEMLVERACDGCSGPHVVAKKTGMESYGSWTRGPLMPYLGSKTSENTSVLQPWEKNIEIPLLRQACQLRR -TIDWLMDPDDKLATSIYNNIKSMTGLDLKDETYQALRTGCGRHRLRSARVSNEGTPSCGYPPLMYVAVTT -DSLGDLNKENHDFMYQSVICWAGVLATLQNNQHLSRDTTHFHIRDEGCIRTINEERLTAPTEYRFPDVSS -AVKRMLSVELVVKTSTRHSDPLPAVWNDIPDNDKSWHLGRAQGFLWALSVFDGSTDELKDVLFPVSITNK -VCVWEYMHGLHRGLMLGSVFPPLFARYGSLDTKASLRFQGAYWNAVTLALDQSKLPDLLWNKRFSQFSAH -YGASVIKSYPARRDELVSTLRQWLITRIQDDFRDEDAGHPHAIIVFAEMDSDYVINMLRLAEKTLGVFLR -PRLGTKDLHDISYARTLTQLLMAQKHEKISDLDSRRLQKEIRGKSLPPASLVSSEARRAAADITCTLGEP -ENQPPTPALKECGISTDVISVEFTPLDNNWQGYPYLDNLPQRRLRNPLAAGARLIQLSTGAHYKFKDLMC -HIRPSGDGVFCGDGSGGMGACYLRMFPKRRVIFNSLLELEGESMKGMAPPGPGAYTASGPDVTSRCVNHD -TCYQEPSDLRDQTTWDSIIHTARVHKMRIGILCCDADAWDVDSVRSIERGFLYGCENLLRPGKGTAVFKT -FWYHMLDPRSIIHQMGTLFEEVTVCCPYTQGSNTSEAYVVGHRLKRAETTHKCIATEQTLLRVYKALKVS -RTYEQEFKRANSMTFELMTQGMEARTPYSDSITVMEFFISLGVKSGLALEIANQVLELAWNLVHPEAMMR -LLAFLLTRDTVDIESRITDHLLIPSSTQLQRAVAVVYGIWLGTSLVLNDPKWYSIPIRMYQSPTTVYFEG -YARGSNKYMRWGFGHGRFTKVVDQGERVGVTQSVIRLFQCLYRGSWTHREPTRDDTLLINGVLSKYSKLM -SCRKVDDATPITLRLPTANPPTLPMMEQPDD - ->YP_010086581.1 RNA-dependent RNA polymerase [Harrison Dam virus] -MEFEYDDDYNLLDLDEESQYSRSRPVETLNLSDYNLNSPLLTNFNERAENFKKGNLRTTENKENDTLLIK -SKVALVSHKENHNWFGKKLLEESCSTTWFDKILLKTFKDANETLEIPKTFLRQMNLPCVEKAEKNIKHKI -VRSWGQGLIETTILIILLNNRKKRIFDFYVKSLPKTSTPKGLTGFSFPWLGDFFLGDGCILLKTGQLFDK -TFLLMIKDVLNSRVCAYLSCLDRIDDSFSQIDLDCVIKMWNLGDLELQRHGNSAYDCFKFIEPIANKDLI -ENAQKIRPDIRLESEFDNFVLEEIKSFERKGFVFPKAIAELLALNNNLEFKLTVYGSFRQWGHPYINFTE -GLEKLHEQVTMPKQIDDDLAQSLASDLAFKVLEKKFQERREWMVEKKLVPQKDPLKEYIDNDLWPPSKVL -ADYGDNFHKLPLKKCFEIPDFIDPTQIYSDKAHSVTLDELIKDLNENRKGPCQTLRVLDTLIKTEATNWK -EFLQEINDHGLEKKWLLIGLKAKERELKIIGRYFALLSWKLREYFVITEYLIKTNFIHLYDGLTMADDLK -GVMMKLLSRSDGQGTPDYSSITIANHIDYSKWNNHQRKESNQYVFRVMGQFMGYPKLFERTHEFFEKSLI -YYAGDRSLLRPTSRGIEPTTCIKSCWQGQAGGLEGLRQKGWSILNVILLDRISRKRNTRIKLLAQGDNQI -ICTHFKITASDQESRKMCINEILKQNKNIMDDIKVGANRLGLIINMDETMVSTEFLNYGKVPVYRGNILG -LKSKRWARVSSYSNDNLPNLANIMSTVSSTALSISHFSQSICDPIINYNFFGNLARNILEIFDPCLNDMI -IVRKNRRTYCVKSLYLDPSIGGVSGMNLNRFLIRNFPDPITESLSFWKIIYDNTKDQFIKNLAAECGNPT -VKPGSLDDLQSLLEDPTSINIPRGLSPITLLRNEIKSNMLANVSKIENKIIKDVTIIGQHYEKDLLIFLR -SINPIFPKFISQLKAGTVCGIKDSFVSLYENSRTIRRNFKDSMRDDFDRKVVECESKAISKLTKDIVVQS -IGWNCSSSKADMLRKISWGDDIVGMTIPHPSELLENPIHMSKCECRDGSKGPYLTTVLNVESDYLECQRG -KTIPYLGSATSEGTSIITPWEKESKIPFIKRVMKMRNSINWFVKPDSNLAKSIGNLIQSVTGIEIETQGE -DKKRTGSAIHRFSTERQSNGGYNAVSPMVLMRMFSTTDTLGEICEKNWDFMFQSLIIHMQTQLSLPRGYY -QSGQYTYHSHVSCQGCLREIEDIFLESKTIYEPPSIVHMVSEWIPDLENQWIERNTREYIKIDLKTISEE -ELNYQVGQTSGFVYSELMTCGRQNEIASSLFPNSIGQKIIPEHYIQGLIQGIINSATLNCLSRKSLNTLK -DPYSSIGSNCVGIITKMANDSQFLTLFRSKNLNHYLLKYPHKIPSSYPLNNLDQTLILRSVMREVLKLRL -IEKRKKNMSLILFSDINSHEIEIPMILGNVAFNTLLWNCSNKEKVEKLRRIKNTNIEARDKTKSHEIHLR -EDIRIFKANQEIRHALKFRDCITKIDETKVPFGSESYGRIFSIKVEFSAQEINTHKIDVPKISNPLISGL -RLFQMATGAHYKIRSIIKSFNLKFKWFLSCGDGSGGITSCLLRLEKESEGIFNSLLSYENLALRGSKPSP -PSAVSALGSDSSRCLNLETVWEYPSDLRERKTWDYFLYESKKKRSKFDLIVLDMEVTSKEDARKILELFD -LYLCSLLKSDGKVIYKTYLDVVINEEYNALKVISEHFTECHVVQTEFTSSNSSEVYIIGSVREKVKISRN -ISNETVQKIIKSNKVFSSFDDEWARAKIIRSKDMYKGVPQVLMTPVETEIEWLLSVLGVPAGISHSISEM -MTHGKHNYVEIKWDLIKIADHFAFETGVYKKNQHMPSDQNCINFVAFLCGAFYSLSLDYDIDQQSKLTWL -ISNGIYIQFQNVFDEYRNEYRLRWKFSKTGKYINIRAKCAMIGSTIRTLERLRLRLPCNHYKISKFLMTN -NLMWTGIPEFWELKEPYKVDRVPIAKIDEGFIPEFNTCDLNYDF - ->YP_010086569.1 polymerase [New Minto virus] -MDLQFPTVPDWDARPDDTWANDEELRVSEKKRTIIGADYNLNSPLLDWRVANLTEALINKAKASGNGFFA -NELRQLRNLCSDVGYSPTRLKNTDIHHTLIPTLIYTTPNILNLNLGSRYMALWDGVIEVLQSMDKAILGH -HTSYENKDVLDHLVGAAKGDHATKQELRSFLVYHFLTCAMNSRTDKHLIGLFKDVFRQGEVEVEGPGPSF -KVYDNKGRLVLFGSAHHVIFPTWDVLVDKNFILMLKDVALGRLMAMCAVVGRDPEKPGLKCRAQLRDLYM -QGDVLLERHGNKAYRAIKLLEAECTSRWNELGHRHRPLIPISNGLRDHLDSTAKELRETLGIDARMFREV -VQREDDPWIIAQMYGAYRHWGHPYIDSLVGLKKLHDRVTRDLVIDEAFAEQLGSEMAFLVLQDRFQKEKK -WYCTSRGLPEASPLKRCIDEGVWPTSKVIRDFGDNWHKLDLIPCFDIPDEIEPADLFSDKAHSMPRSKVL -EHIVTTPNKPIPGLRVIQTLISEDVPSVKDFLEDVNRNGLSEEDLVIGLKPKERELKEEGRFFSLMGWKL -RLYFVITEYLIKKLFVPLFKGLTMADDLNTVTKKMIAATEGQGLLDYSKVYIANSLDYEKWNNNQRYESN -QHVFRVMGRFIGLPEIFALTHKFFQRSLVYYCDRPDLMRYERGSLSNVSEDTPVCWQGQQGGFEGLRQKG -WSIVNYLILRREILLRNTGTLILAQGDNQIIIPKYKIVNKLDETGIRMELKNIWDNNASLMDRIRASTSS -LGLTINKDEVVTSAELLVYGKVPIYRGVVIPLETKRWARVSSVTNDQLPSLATAVSSTVTSALAVCQHTD -NPVYTMWHYGKVGCLVLTINTYFNPLTGADPFKFKRLDLAAKKKLAVRTLFKDPSVGGVCGSNLFRFLLS -RFPDPVCESLSWWKLIYSNTVSCDLKEVALEAGCPAIGKVSSETWSRLLEDPTSLNIPSTLSSNTLIKEQ -IYEGLCQKANDGTILNRRLRESVLYNDNHKAAFVEWLFTIKPTFPRFLSEFYTSTYFRLTEGIISTFQNS -RTIRTVFSTEFTEHVNDVIIKSELSAIKLLLNPKLGKPLTNVWSCSAAHADQLRLLSWGRTIEGSTIPHP -GEMLVECKCESCMTPHIVAKKTSIEQANTWTRGPLTPYLGSKTSENTSVLQPWEKNIEIPLLRQACQLRR -AIDWLMDPNDNLAKSIYNNIQSMTGISLEEEDNQVLRTGCGKHRLRSARVSNEGAPACGYPPLMYVAVTT -DSLGEINQANHDFMYQSLICWAGVVSTLRDNSLRLSDTTHFHIRDPQCVREITEDKLSVDTEFRFPDVSA -SVKRMLSCEMVVKTSTRHTTPLPSRWDDTTDQDKSWHIGRAQGFLWALSVFDGSTDELKDALFPVSITNK -VCVWEYMHGLHRGLMLGSTFPPLFARYGSLDTKASLRFQGAYWNAVGQALEVSKLPDLLWNTRFAKFAAH -YGASTIKSYPARKDELVSTLRQWLVSRIQEDFSDPAGWLPTPVVVFAEMDSDYVINMFRVAEKTLHVFSK -QRLGTKDLRAISYARMMVRLLMAQKHERISPEDNRKLQKEIRGAALPAISLVSSEARRAASDIAAISCQA -DPNEDAPKLRECGISVEVVPLEYVPLDTDTGPYSYITHLPSPRIRNPIVSGVRLVQLSTGAHYKFRDLLC -HVKVDGDGVFCGDGSGGMGACFLRMFPHRRAIFNSLLTLEGESMKGMAPPGPGAYTASGISVTCRCVNHA -TCYQEPSDLRDLSTWDNIIALSRKFKLRIGVLCCDADAWDESSVRNIEAGFLYGCEKLLRKQVGVAIFKT -FWHHIKNPNSIAHLMGTMFDTVWACFPYTQGANTSEVYLVGQGIHPVQTTHKAVVSEETLLRVYSGLKST -RTYKEEFVRARSMSFELMTNGMEPRIPYTDATTIMELVISLGVKSGLALEVANMILESAWNLVHPESLLR -VLIFLLTRDTVDIESEVTDHLLIPSSSQLQRSVAVVYGLWFGTSIVTGNYGWYDKPIINYQKPTTVYFEA -THVGPRKFMRWGFGPGKFTKTVDHGERVGVTQALIRLLVSVYRGRWEHRNPRPSDTKSVNTMLQHYSRRI -TCKRVEDQTPVLLSPARGKVPICYVLEAPDE - ->YP_010086564.1 polymerase [Kwatta virus] -MDESLGICLLDEEYCEPNSSNPSLLNLSDYCLQSPILNEINVNIFEYLYGSGKDLRESWWRLVIKEANTK -IKPWDENHRWLSDILWCDIGDSSFYKTWLQLTHEDIEYASELCDIYLQKVCKLKFELPDLDYDKNIMRFG -ELGLECHIVVCLMNNLGNKDLERLCGASLTRKYNKQEKVTYYKWNSGNKLSGVFCKDFLITQDGHLLTKG -FLLMIKDICIGRHNVLCGFQLDDSLRPLVEVMTQIFKLGDVEMKRWGNDVYDSIKLLEPICSQRMIELGQ -KYRDKVPIPDDFKDFIDKTCVDMENVGYKFPKELREILAEITSIIHVVEIYGTFRLWGHPYITLLKGLEQ -LKEQTTMEKKIDPNLAKELASDLALKVLQQNYKKTNTWAVDKKKVKTSNPLYEHIQNDTWPTPKEIAEFG -DRFHELPLTPCFEIPDFIDPSQLMGDKAHSLQKKEVLRDLREHRSGPVKTARVLNTFLTKPNLRVKEFLK -KIDQDGFDNDDLIIGLKAKERELKIIGRFFALLTWNLRTYFVLTELLIKEHFIPLFDGITMADDLKGVIS -KMINRSDGQGVDHYREITYANHMDYTKWNNHQRGAINNGIFEVMGKFLGYPNLIKRTHEIFEKSTIYYAG -DRSLLKSANGEIENSSPIIACWHGQAGGLEGLRQKGWTIASLLMIERVARLRNTKITTLAQGDNQIVCCS -FKLNFGNDLGLMDKCLGEVYQQNNKIMSDIKNYAERMGLIIKKEETMCSSELVNYGKNIMFRGNLINPKS -KRFARMTSLNNDCLPNLANSLSTSSSLCLSISHFDLTPILGIKSFVYFCSLSKVLIELFDPCLAGSISPK -NRRWYTLRTMFLDPSLGGVCGMNLNRFFIRSFPDPITESLSFWKIIQDNVSQDDYKMRLFASNCLNPPVK -GCVGNDITMLIENPTSLNLPGGLSPVNLLRQEIKKSLFENNSSIKNELVRDVTRMAYKEDSSFIAFVKTI -RPIFPRLLSQLKTGTVIGIRDSIAGTYENSRTIRKLFASKFREDFDSLVIKSEQQSLSKLDTTVSGELIL -IKCTASQADSLRKRSWGSDIVGVTIPHPSEILESPKEFFQHECVDLDPRYITTITNKERDDVINGRGPGV -PYLGSATSEGTSILTPWEKDTKIPFLKRVLSLRTPLGWFVDPDSNLGKSIKNMIGAIVGQGHLNLTEGFK -RTGSAIHRYGCERQSSGGYSAISPCLLMRMFTTTDTLQGMETQNYDFMFQANIIFIQTCLSVTLNSDKLG -QKFYHSHVRCTSCIREIQEINVDSAGLYDPPSFVSKIKEWIPEIDDSWKTKAKLTIKSIDPNKIVQSVLV -TQIGQTIGFVYSHMFFKGKHQSVEKTLFPLSIRNKMNPKHFFRGLLTGMIAASSVNFLAHKIPSKLKHPK -EGVQGMSFKIINSLALNPSFLSFVKSGNLQKYILLEAHKTPPSYPTSPLDSGLIVRMFLKRLSDHVVSDF -KISEPVFIFSDLVSTSMVAAYLLAIRCSKTLFTKKDLNVKVLQQIKNTNIHIRSEEDFNLAQLELGLSLV -LVPSEVRHLAKFTLDYTVEEQRLKFGQELVGDLYPIHAVFDSDWTSPQLNIPQILNPVVGMTRLVQLATG -SHYKVRTILTKLQISYTFFLSGGDGSGGITSMLLRNNCKSRGIFNSLLDLTGLQLKGSAPAPPAAVDQLG -PDRTRCLNVFDCWQRPNDLRESETWEYFKQITVDENRKFDLIVLDMEITDPKSAQKIVHRVLEYVPLILT -SRGTLIYKSYLIEATKKDGVINLLGQYFDNLILCQTSFTSSNSSEIYAVCQDMLDRPAPRYLSSITLMKL -SVTNLVFEEPRQAMIRASSILEKNAFTGVPLELIPPIYSELELMLEILGIPGGIIPQLSRCMMSTKAEHG -TKVWQLIMIVDYFIMESGRVCTTTRIPSEQELKSYMSFLVAAFFSIKLSTSDTDVDHLLHYINKGVTLHF -YTNQRLVNRKHTLISKWEVSLKGKGIWLRDQGAALNGCGRTLNRVWKESSLKIDFFHNTFPRTKHLDCTG -LDDLWNGRKLSTVHIINSTITQESRLKDVKYSNFDYE - ->YP_010084461.1 RNA-dependent RNA polymerase [Lepeophtheirus salmonis rhabdovirus 127] -MDFELEGDRWNHSSLLEEDVDHVSNKPKGFESHYLNQKDYTLNSPLLRDELDELQSFVLNVPHNPLFSKK -DWILWKDVLSGLNKTIGRRTWSSRFPTWWSKSLDGLWNVSGFNSWLNQTNKDSKETFIIPKVFLKQWISR -EVGYRAKRITHDAMLKMGQMFLDFHFVIQAMNSVSKSERDSLGKYCGVGKMVMSGHNVQCFNGGDGSTWI -ISSDHAYQIESNMMLDRNLMLMVKDTMIARVMTYLTMADRLDGRYSNRDLDNLVDFYKFGDQCLKEHGEG -AYNALKMIEPLCIRAISLITREKKPLLQVPRVFEDYMEQQAVLVLESIPTFELLDRHISNEQKIDLLLVY -FGSFRHFGHPILDYKEGLRKLHHQVTLPKEIDTDYAQALASDLARKVLHSQFKKTKTWSVTRELVDPDHP -FFRHIHENYWPTYKEVDSFGDKWHTLPLAACFDIPDLIDPSMIYSDKSHSLNRKDLISQLERNPGEPLPT -KRVLKTLLEEPATDWKNFFQNIDENGIDKDHLMIGLRGKEREMKPVGRFFALMTWRLREYFVSTEYLIKR -HFVPLFSGLTMADDLTELIRKMMISSTSQGCETYEQIGIASHVDYEKWNNHQREEATFWVFEVMDKFFGF -KSVISRTHEFFKKSLVYYLGRPDLLTPNGDTLETKGEDIMCWEGQDGGLEGLRQKGWSILNMLVIEREKK -VRNAKVTLLAQGDNQVITAHYKTETPPDASEEDLIGPLRRITENAEEIMDAIRTGVSKLGLIIKMDETLQ -SSSLTIYGKIFFIDGRTAVTETKKTSRNTSTVNDQLASYASIIGTVSTNVLTVSHFDTSPVEPILLHNFF -SNMCRLLIEKHNPALRRSMLGLIDNNLDLALLEYKWASLYLDPSLGGISGCSLTRFLTRMFPDPLTESLA -FWKGIYEGTDDSRLKKFCANCGNPELKKYTSLDFPKLLEDPTSLNLARTVSAQTILKNEVKRYMYDDYSN -FKNEIVKEATFYCKENEDNLLSFLESIKPLFPRFLSEFKSATFLGIADSIVGLYQNSRTIRNMFAKRLQI -DLDSKIIEGERESIKSICNRWGGRTEIWECSSNHSDYLRESSWASPLVGTTVPHPFEMTRAWHDDSSLCG -NDKNLMRDHVQVHIPYGLKDYLNKRGPFSPYLGSKTSESTNIITPWERDTNIPLLKRATKLREVISWFVE -PESNLASSILGNLYSLTGDRWDQDLKGFRRTGSALHRFHCQRVSPGGYCATSPSKLTWINSTTDTLCSIG -RENYDFIFQSLILYSQVTSGELHDRKEDWGIYHFHVGCKKCLRKIEEVTLDATFEYKPRDSSQVVKRWIP -EDHIEPPIRKVVPIPVGDWDSVPHYSKCKAIGLVQGFIVGDSFLRDSSLYKDADLFPLSIRTRLDGRNYF -DGILEGLTRAAALDLLYKRSQGKVDSIRDSVTATIHYLSLALTENSSFRNCCRSPNLQAILVSSSHRIPS -SYPIRSDDLSLLLRTYLVLRHRNLGMEAYKTSVIWIFADIMVREIMGPMALSSTALKYMGKRHWNKTDVR -IIKALRDYVSSLRSKESAEVPLSKYTKNVFRTFNQIRAAMKDCPEADDEAHILEWGEPVVGRLIGLSLKT -MTSKEDKFNREVFVPRYQNPMISGLRLFQCSTGTHYKIISILRNFQISWIDAISAGDGSGGITALLLREN -LTSRVIFNSLLKLSGVELRGASPAPPSAVEALGESKRRCVNLNTAWQNQSDLRLERTWDSWRDMITSSNL -KVNLLIIDAEAQTTKNHETILNVLLKKVNGVLMPGGTLLFKTYLHYLLQERTMKFGELISNFKEVHAVQT -IFSASRSSEVYLVCRYRRRVRKEIYPLDRMSIYRHKSIFLCYNSLESEIKRARVVMNLPMFQGIPRELVP -DLVIELQILLGMAGFGQEKVVQLADHITTKKITMESLTDWFLMSGTAHHFRAGRSKNKPRPPTLGDCKKG -AVIMIGSLISKGLITAETWQIEKAQNIIQSGFYFWWRSWESRKTSQYKTDWRLSRGGLFRLVYLKDKMAG -ISGVIRTLDRIRRHYRDDKKLKQGALTSPSISGGVLELLRKNFVQPPPDDIVSILPADPFVEGIRE - ->YP_010084456.1 RNA-dependent RNA polymerase [Lepeophtheirus salmonis rhabdovirus 9] -MSFLEFANAEGLVAGEGDIVEDQLCDENHPHCGEVFDTIKFLNSDDYTLNSPLIKDELENLEIYIRTGVT -NKMWERPDWGLWKSFLQRRTLGINQIEIFHKEWPKLFRTGSWDVSLFEKWITRTTATAEITKVIPEIFFR -AWLGKELKYTPRVIEDNWIKITGQVFLDLHLIIQAMNAATRGEIASLKKFTELDDHCVTNGRSMLRYKMG -NGETVFLTKHHVYFPRSGWLWDKNMTLMVKDTLIARVMTYLTLINRTDNRYSRLDIANLRSFYDKGDRIL -LKIGNEGFDYLKMIEPMCIRTMAMITRERKPLLQVPSTFEDFIRDKVDELTGTCSEMGSLWDLIAGEQKL -MMVLVYFSSFRHFGHPVLDYFEGLNKLHEQVTAVKVIDEQYANQLASDLAFKVMKSQYKRGRVWSVNLEE -MSVNHPFYNHVRAGSWPTEREIESFGDKWHKLPLKQCFDIPDLIDPSVIYSDKSHSLNRTELIEGLTRYP -HKPIKTCKVLRTFIEREATNWKDFFEGVNDNGLERDDLVIGLRGKEREVKRVGRFFALMSWKLREYFVST -EYLIKRHFVPLFSGLTMADDLTELTRKMMKSSSGQGDLGYDKVGIANHIDYEKWNNQQRLAATEPVFTVM -DKFLGYSRLISRTHLFFEGSLVYYLGRPDLLVPNGDSLTSRGDERVCWEGQAGGLEGLRQKGWSILNMLV -IEREMKVRNAKVTLLAQGDNQVITTHYKTELPVECEDLDTSIILARIVKNNEAIMNAVRDGVGKLGLRIK -MEETLQSAAITVYGKNFIVDGSPLVTETKKTSRNTCTTNDQIPSFGSILSTISTNTLTISHGDTSPIEPI -WMFNFFGNLARSLIELHNPAIRRSMYGLFQEDSQLIGKGYLWSSLFLDPTLGGISGCSLTRFMMRMFPDP -LTEGLTFFKKIHNHTEDKDLKGFCEQAGNPPIKAYIEDDFPKLLESPTSLNLSRSISAQTILKNEVKKHM -LRNYHEFKNSVVKECTEYASERESIMLAFLRSISPMFPRFCSEYKSATFLGISDSIIGMYQNSRTIRGLF -AKKMRRELDTKIYRGERAAIKSIVTRWRERKDMWTCSSSHADLLRKLSWGEDLVGTTVPHPWEMLGTFHE -VTVPCSPLDYNRNDYITVHVPQGLDEYLIRRGPLQPYLGSKTSETTSILTPWEKETSIPLLKRAARLREV -ISWFVKPGSNLASSILNNLRCLTGEDWSQDLAGFERTGSALHRFQCQRVSPGGYCATSPSKMTWMNATTD -TLYDVGSINYDFMFQSLLLYSLITTGEVHAGRGSGAVYHYHVDCSGCLREIEDIVLESVLIYAPGDKSHI -IKRWIPTDHVEPPVKKRATIRRGNWFAQPYLIRCYMIGVTQGFVVGDSALMGNSLYKDSDVFPLTLLHKL -DGEQYLNGVLDGLYRAVSLSLLHKRSLEKLRNPIDHLKMGLDVVISGLVDNPGFCNLCRGGNIRSVLVSS -SHKIPASYPVGSTDIQSLVYSFFMRRSLARDFVRNPVKKYIWIFSDVYVREIIGPFAMSYTAFRMMSKKS -WKKKDIKEIRALRDYIVYLRSKESEATPDGKYSTSARLADVQLRAAIKLQDPDMTLTRNAVWGEEFCGPI -RIFRLQYIEGLAFDAEPPMTIPRKSIPLISGLRWFQCATGAHYKYRSLLKALDIQWTDAICGGDGSGGIS -ALLLRENRQSRVIFNSLLTLEGVELRGSSPGPPSAVEAMGEMKGRCINFTDAWRNPSDLNERSTWDYFRA -LIQDHQLCIDLITCDAEWSNRGGPDSLLANMLPNVLSVLVRGGTFIYKTYLDQVLNHMTRELEVMGGRFR -TFMVATSELSSSGTSEIFLIFEGKRKLSDRVLGHINWWPIRMDLDAFFCFREDSDELNRARTICLQDLFV -GVPSELIPDIRIEFGEMLRSLGIIEDTRRKLLILLTGRGVNLILLLKLIVHLASRECLGAGPRLLPRKPP -SIGQVKKLGAFCTSALYLKGLEENDLGSYVRANQFIKEGFPLCWSKTKHYNRVSQEITFLHSWNLLGRGL -NRVAYLQDKMAHMGSIIRVMSRLDRELSGPHLQRKALEAAFGLFLGGKGIYRETGTIELFDTEEKLSFPS -IRGCLTGVEEVEIGYRD - ->YP_007641367.1 RNA dependent RNA polymerase [Perch perhabdovirus] -MSDEDNWEDPYWGDSVNDADIPEWLSDDITRGYPLNQKDYSLNSPLISDHTVALVRYLNDRVTEKRFERS -ETAFSGLTRLTKQSTWKHPEQYNHKWMGAWFAKEENCEEFNKLVEGTNNDVLETEEVLQAFLKGWIGKTT -SAPTKTGWSVRQKSYGSKFLKLHKMILIMNAQSEEEQSLLQNTLSLTIADKELRIYKGESVNLGRFLLTP -EFLLLLEQEIVLDRTFILMMKDTLIGRMQTLACFMNREDKKYEDNIITKMESLYRLGDRMVEQIGDEAYA -GIKLLEPMCNLRLAEIARTYRPLVPEFPHFRLHVEASVVEESLNNRHLEDFYEFVNSESNVETLLAFFGS -FRHWGHPYINYFEGLVKLNKQVTLPKEIDQDYAEALASDLSYMILRKHFNTRRVWAVNKDKLDPKHPLIE -HIKNATWPTPKQIDDFGDNWHKLPLIKIFEIPDLIDPSVIYSDKSHSMGRSEVLEHVERKPHQPIPTKKV -LETLLKKPATNWPEFLSRIEEDGLPKESLIIGLKGKERELKKAGRFFSLMSWELREYFVITEYLIKTHYV -PLFKGLTMADDMTEVVKKMLERSQGQGEDDYEHISIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPSL -IEKTHDFFQDSLIYYNGRPDLMEVRGNELLNKTDKLVCWDGQAGGLEGLRQKGWSILNLLVIQRESKIRN -TKVQTLAQGDNQVVCTQYRVIDRSDEVIVLKISVLVDFGDTKGYWLCKPVTLYGHDQQSKVIMDAIEGGT -NKLGLLINNDETIQSADFLTYGKVPIFRGNIRCLETKRWSRVTCVTNDQLPSLANVMSSVSTNSLTVSHF -DVSPIESIRQYLYFGNFARRLVEFHNPAIRSPVLLDIKNENQRKIYLNATLYLDPSLGGISGMSLTRFLM -RMFPDPVTEGLSFWKLIFDNTGDEAIKKLALMAGDPELARKRDSIDKLIENPTALNLSKETSALSVIKKE -VRMRLYQDCDKFENRLIADAIGIARDEESHLEQFLLSIRPLFPRFLAEFKAATFVGITESLISLFQNSKT -IRNIFKRRYARELELKIVRCEWNSLLMLKNLGDKTPQRNIWSCSSTRADELRQESWGTKVIGTTVPHPIE -MINCSHTGGTCQQDEVLDYINVSIVQDLRNCLNSKGKVPAYLGSKTSETTSILQPWEKETKIPVIKRAAK -LRAAITWFVEPESDLSNSILNNISSLTGEDWSGSIQGFKRTGSALHRFTSARVSAGGFAAQSPARLTRMM -ATTDTFREIGSDNYDFMFQSLLLYSQMTAGELYGDNPTTVYHFHLSCKKCLRKIEEPTLNSDFEYQPIDR -SEILNKWKPTTTGWSQEKVAPTITEGDWDSLSHQEQSFQVGKSIGFLFGDLTMIKNNHAQDSSIFPLSIQ -HKITPSEFLEGLLDGLIKAAALSTIHRRNFDHHSKYRATVSGTLDYLIETISNSAGFTNLTRNGPLQQCL -LSVPHKIPPSYPLSKSDLGSLARNYLRDLYSHSTRDQYKTRWNNNWIFSDMMSSNIIYPFVISISCVGLA -YGKHWDQKSADRLRGIRGLAEMIRSSDEVQLPVGKNFSTVNQEIRHAIKHHAQPEAREEEKQTTNGQKSW -WYQFGHRQSTLGEPPIEKQLSRPAQVRDPLISGLRTAQIATGAHYKIRSILTGLAIQPHDALCGGDGSGG -IGACLIRMYPFLKLVYNSLFEVTDLDMRGSAPGPPSALAAMGKMGKRCVNLDNAWKNPSDLSHSDTWDYF -SRVIDEENLRCDLWVFDMEVRSHEMSEEIERHIVKNLDVMPTGGTLIYKTYLTKLADMKVTILDTLGGYF -RKVSLVSTDATSSHSSEVYAVFQDKLNKRRLEIYPNWRSCETSMDIHPCWRSEKEEFSRAKKFQKYNRNM -GVPARLITSEFQDMHVLGVTAGVENGIAATLARDVELNQDDPTTVAFLWLFVTLQHMIPIGRGFKIPASS -RVESYLAILMGFCSVLHLQQNEEEKYRRLKTCFSKAGPFFCNSSGWSTKAGINKSMRMDRKMATIGSVIR -TFSKMDLKRDIQFGKLSSMMSKYVAKDTLKNMKFQSGIWEYIGGDVIGEKTATNEWSPVEREVAWRD - ->YP_009388616.1 RdRp [Culex rhabdo-like virus] -MYRFGVYDVEQDEGDGQNDFVGKEEQHDLSPDLWADVEDYIQGSKDADTSPSYLNNQDYTLNSPLICDEL -DAFKEFLTKGTKAPLYYNKSWDSRVAWMKTLSVDWSNVRETSEYHKICAMLWSKETNGTVEFRKLIEQTD -EDAKKTYTILESYLKGWTNRLFPFQSRRKNSEETLKYGSYMLEMLKVSWILNAGTEYELTQLKAAVGFEA -VGTEKNLEAVKYKSPLLGTVVIGSGVAYFEAINMVWDRAMILMFKDTATARFHTLFAIQHRYSDHYHPAH -LTSIERMYKLGDAVLGGDAKVAYEAFGMIEPLSSLKLSELAGDFRPLIPRFPHFRDHVERKINELAARNP -KVADMFNHLQAINDKRLLLTVYGSFRHWGHPFIDYFTGLEALYQNVSSDKEKIDKDYAELLASDLAFKVL -KKEFWTKYTWYVDIKKVPETNPLYEHIKNNTWPSSDILLNYPPEWHKLPLIPCWEVPKVVDPAIIYSDKT -HSITKSELIKHLKQNPHSRIPTKKVLHTLLTTKSTDWPAFLKEIDEEGLQEDDLVIGLKAKEREIKWKGR -FFALMTWRLREYFVFTEYLIKKNVIPLFKGLTMADDQTTLIKKMIQNTSGQGGDDYKHITFANHIDYEKW -NNFQRYESTAPVFRVIGQFFGLPNLFVRTHEFFEKALVYYRDRPDLMKVVDNQVYNRDDKKRVSWNGQRG -GLEGLRQKGWSVVNLLVIERESRIRNTEIKTLAQGDNQVICTQYVINPSKTEAELSNHILSAMQNNDVII -SAIRNATARIGLRINEDETLQAADLLIYGKTIVFRGNLTCLEEKRYSRVTCTTNDQLPSLGNVLSTVSTN -CLTIAHYSKSPLNAMMSYNWLSNLVINILSKHNPALRTAPKTLVSNPDKLESRAFRIAVIYLDPSLGGIA -GMSLTRFHLRMFPDPVSEGLSFWKIVYRSSTDLEVKKLAIVFGDPKLMRYTAKNFEKLIEDPASLNIPRG -LSAQNLIKEEIKSALFRDPAMIKHEVIRDAVLYVKKSEKSFTSFLSSVTPCFPRFISEFRSSTYFGLTSA -ILGLFENSKTIRNVFKRKFRSVVDQAIIKCELASFESVISRTNQTVGRIWTCSSSQADALRKRSWNREII -GTTVPHPAEMISDVRIGGTGCDGCGKPNPYSIHLVVLVPQGLSFPEDVRGPYPSYLGSSTGESTSLIQSW -EKDTDISFLRKASRMRRAFHWFVDPESNLGKSINSNLQGLTGEDPGTTIAGFKRTGSSLHRFGCSRVSAG -GYIANSPVYGSRMIISTDNFQLLGDTNYDFMYQSLMLYGQQTVGEIHGESPRSETYHFHIGCKDCLRKIE -EPTIDSPVVFHFPDVSRRLSKWKASNTPWMNETQSLEIPKGRWDSLSQSDQSREVGVLHGVIFGNLGKSY -SDTNVLNGLFPNALRNKLHGAAYLLGLRDGLYRAAAVDAMHRRVFYRSTSPDEVISACYDGIVKSLVSHA -DFLAFTQGEAILYNLKTYSHRVPASYPLNNTDLGVMTRSFLSSFTYNQWRGTCYNGDTHLWIFADFVSPQ -LSGVLIIAYELARLLCRPTDPTFKRKCRDLSDLLSALRKGEVSGNIQDMIRLSSSISLCDQEVRHAIKYA -RPSTLIPRIKREPVIFTSEMRVPVQIFTIEFTSEEQSIPFLEVPRIQNPLISGLRIPQIATGSFLKVDGL -LTHLKLMVQDALVGGDGSGGISALVLRKYDNTRLIFNSLMNMEGVDLSGALPSPPSAIDSMPDNVKSRCV -NLKNAWSNSMDLSQESTWSAFNKMKRLNSMRINLAIFDMEVQDDKSMDRIEELMEERLPLIMETNCTVII -KSYASRLLRPKSLISRVCSHFHNVMFTQNLLSSSHTSEVYIVCQHLRNNSVMKMYPRWDKLFDDIQELYC -FKDYESEFNRAQQVLALNVLQGIPVVYLPDPMKELEGIWNDLSKDKISIAKWVNFSGSGRSSMKSYAMAT -LSLIGNQVLNTTSWKTDSRVEIPGDQILSKYFAYYIGVHLYLALLMRDVELAKMCQYMIDEPFLVYFYQV -KKKKVDGLGRRFHSLGWSIDEKAGWINAKKIFVQNRLALIGSVIRYHAHLSKVVGPDRDNFWSDKLLEIN -KQLTKDHLASHTGIYNLLE - ->YP_009182186.1 putative RNA-dependent RNA polymerase [Tongilchon virus 1] -MYRYGVYDVEQDEGDGQTDFVGKEESYDISPDLWTDVEEYMQGSKDADNSPSYLNNQDYTLNSPLICDEL -NAFKEYLTKGTKTPLYYNRSWDSRVSWMKTLSVDWSNVRETSEYHKICAELWSKETVGTTEFRKLIEQTD -EDAKKTYTIMESYLKGWTNREFPFQTRRKNSEETLKYGSYMLEMLKISWILNAGTEYELTQLKNAIGFDN -VEAAKSLEAVKYKSPLLGLVVIGNGVAYFESINMLWDRAMILMFKDTSTARFHTLFAIQHRYADHYHTAH -LASIEKMYKLGDAVLSGDPKVAYEAFGMIEPLSSLKLSELAGDFRPLIPRFPHFRGHVESKIAELAAKNP -KVEDMFTHLQAINDKRLLLTVYGSFRHWGHPFIDYFTGLEALYQNVSSEKEKIDKSYAELLASDLAFKVL -RKEFWTKYTWYVDIDKVPETNPLYEHIKNNTWPSSDILLNYPPEWHKLPLKPCWEVPKVVDPAIIYSDKT -HSITKTELIKHLKQNPHSRIPTRKVLHTLLTTKSTDWPAFLKEIDEQGLQEDDLVIGLKAKEREIKWKGR -FFALMSWRLREYFVFTEYLIKKNVIPLFKGLTMADDQTTLIKKMIQNTSGQGGNDYKHITFANHIDYEKW -NNFQRYESTAPVFRVIGQFFGLPNLFVRTHEFFEKALVYYRDRPDLMKVVDDQVYNRDDTKRVSWNGQKG -GLEGLRQKGWSVLNLLVIERESRIRNTEIKTLAQGDNQVICTQYAINPSKTEAELSGNILAAMQNNDVII -AAIRTATARIGLRINEDETLQAADLLIYGKTIVFRGNLTCLEEKRYSRVTCTTNDQLPSLGNVLSTVSTN -CLTIAHYSKSPLNAMMSYNWLSNLVINILSIHNPALRTAPKTLVSCPEKLEGRAFRIAAIYLDPSLGGIA -GMSLTRFHLRMFPDPVTEGLSFWKIVYQSTKDTEIKKLAIVFGDPKLMRYTAKNFEKLIEDPASLNIPRG -LSAQNLIKEEIKSALFRDPAMIKHEVIKDAVLYVKKSEKTFTTFLSNITPCFPRFISEFRSSTYFGLTSA -ILGLFENSKTIRNVFKRKFRSIVDQAIIKCELASLESLLGRISQKVGRIWSCSSSQADTLRKKSWNRTII -GTTVPHPAEMISDAKVGGTGCDSCSKPRPYSIHLVVLVPQGLAVPEDVRGPYPSYLGSSTGESTSLIQSW -EKDTDISFLKKASRMRRAFHWFVDPNSNLGKSINSNLKGLTGEDPGATIAGFKRTGSSLHRFGCSRVSAG -GYIANSPVYGSRMIISTDNFQLLGDTNYDFMYQSLMLYGQQTVGEIHGESPNSETYHFHIGCKGCLRKIE -EPTIDSPSEFKFPDVSQRLLKWKTSDVPWMNETQSLEIPKGRWESLSQSDQSREVGVLHGVIFGNLGKSY -ADTNVLNGLFPHALRNKLHGAAYLLGLRDGLYRAAAVDAMHRRVFYRANTPDEVISACYSGIVNSIVSHA -DFLSFTQGEAIVHNLKTYSHRVPASYPLNNTDLGVMTKSFLSSFTYNQWRGTCYNGETHLWIFADFVSPQ -LSGVLIIAYELARLLSRPNDPSFKQKCRELSDLLSALRNGEVSGNIQDIIRISSSIYLCDQEVRHAIKYA -RAASLIPRIKREPVVFTSEIRVPVQVFTIEFTSHELPVPSLLVPRIQNPLVSGLRIPQIATGSFLKVDGL -LTHLKLMVQDALVGGDGSGGISALVLRKYDNTRLIFNSLMNMDGVDLSGALPSPPSAIDSMPDNVRSRCV -NLKNAWANSMDLSQESTWTAFMKLKRLNSLRLNLAVFDMEVQNEQSMDRIEQLMEIKLPLLMETNCTVII -KSYAARLLRKNSLISRVCSHFHNVMFTQNLLSSSHTSEVYVVCQHLRNNSVVKMYPRWDKLLIDLQQIYC -FQDYDAEFNRALQVMSLNILQGIPVVYLPDPMKELEGIWNDLSKDKISIAKWVNFSGDGRSSMKSYAIAT -LSLIGNQVLNTTSWKTDSRLELPGDQILSKYFAYYIGVHLYLALLMKDAELAKMCQYMIDEPFLIYFYQI -KKKKADVKGRQFHSLGWSIDEKSGWINMKKVFVQNRLALIGSVIRYHAHLAKSVGSDRDDFWSTRLLEVN -KQLTNDHIHTATGIYNLLEYST - ->YP_009176999.1 L protein [Santa barbara virus] -MDSFFVEDDVSDEIIQLDDDSCFYNVDLEVEEQTGSELLNNNDYNLNSPLIYDDYEFLWEIILLNANPPI -HDSKLPEVNLIKDSLRIFFPSFEGLRSDIESPHNFLFSWLITCDLNEFSGIFSKIVLKAANDANQTKSIL -EDFFGCQFPCNIQVLEKMPRYAWKLGEAFWIFHNIILYMNASTDFERDELKSKYGIKPMISPDKKVKFGT -INDPRFGEILVTKNFCTFLMKGVVIPKNTILMFKDILISRFQTILSLSGEIDKPYNENQLMKLITLYNLG -DQIILQNGNKGYDLIKMIEPLCNLRLAELAREFRPKIPEFPEYREFINASIKEFEEVGIRCAAQFKELVL -SADNLELTLVFYSIFRHWGHPVIEYTEGLNKLYEQVTMTKRIDEDYAQALASDLAFKVLRKKFFEDKIWY -VDKEKLSKHHPLRKYILNNTWPNKQTILEFGDRWHLLPLIQCFEIPDFIDPANIYSDKAHSLNKQELLDW -LSKNRKGPIKTKRVLTTMLNTPATNWKEFFEEVDQNGLSDEDLLIGLKAKERELKRKGRFFSLMTWRLRE -YFVATEFLIKKHFVPLFEGLTMADDLITVVKKMIRNSNGQGLNDYSRITIANHIDYEKWNNHQRKESNKY -VFKVMGQFLGYPNLIVRTHEFFEKSVIYYAGRADWIGVKRNEFINLTEHRSFWNGQKGGLEGLRQKGWST -LNYLVIERESNLRNTDVKVLAQGDNQTITTNYVLRTYRTDQELQSHIEDICQNNNIILQEIIKGTGKLGL -IINKNETVQSADYMNYGKVPIFRGIIQGLNPKRWSRANFVTNDQLPTFSNVLSSISTNSLTVAHYSPRPK -TAVYLYNLVGNLGIDVLMYHNPALRCDPVKVIKDQKYLLSSEFKILALYLDPSLGGISGTSLNRFMIRNF -PDPLTESLSFWKKIYENTSNDIVKQLAVTIGYPDLNKFETKDIEKLIEDPTGLNLRHGINVTSIIREEIK -KNLIKQSKWIKNEIMRFATTYASTETDRTLRWLTSIRPIFPRFLSEMFNATFLGAVKSTVGLFSNSRTIR -NLYKKEYRKKIDSLIIKSEEISIVALINIIKSSKKNTKKLWECSSELADQLRKRSWGNEIVGMTVPHPSE -ILSSPEAQSDCHINAKKRENQSFGSSYVTVLLPHGLPTGKERGPFDPYLGSNTSEGTSLLTPWEKETKIP -LLRRAAKMRDCISWFVEPGSNLSNSILNNLNALTNLDWSNMVRGYKRTGSAIHRFSSSRVSSGGFAACSP -ELLTWMVTTTDSLLGLNDQNYDFMFQSLILWSQMCLVLDNQEKRASIYHFHIDCSKCIREIEDVILEAPF -ELRFKNVGTIIDKWVPGGINQSIHDQHIENLPTGRWELLTDRDKSFHIGVGIGFLFTDMSLSGNHHSEDS -SLFPVSLRERLIPDTFFEGLLIGLLRGSSLQLTHRRNILKGKKISHALLGTCNYAIELLTKNPVFLYFAM -GKTLFDEVSRIPHRIPPSYPVSLSDGGSIIRSYLRGRLFEFRTFPSQQRDKEIWIFSDIQYPKLMSAFIL -SYVSLKVLMKCVAQKEFPTLIKELQALYIESVTENSRPVHKVLKQDDCQPYLCNSEIRHAAKYIENKTST -IVTQTLVFKEEAYGKINSFIATWSQEESKESNLEIPKLQNPLISSMRTFQFATGAHYKLRSIIRGLQIKF -KDFICGGDGSGGMTSCLLRLSPFSRGIFNSLLEIESFGLKGIKPPPPSAIQEVNSIRQRCVNCDSCWEEP -SDLSSDNTWRNFISLKKEHQLDINLMVFDMEVRSPEMSKKIEVLLLKNIPHLMQKKGIIIYKTYTSRILK -KQNVIINQGGEIFDDVILTCTEMSSSMTSEVYLVCFYDPNSPQKKELFFDIKAFELWAQKNVPVFQTLEQ -EFDRAISLKNVDFEMGVPLELFPDSRSDLTGILVSLGLEGGYAMHLLRELHHYQKRNGPTYIWALTSLLA -NSILDLSKLSIEAKSFPSDGDCIKLVSWLSGVLNWFSLCTEEIQSHKTIHYLIREGFIIEKLEGAYQLKF -NIWTIRDLKQLPCDFKMIQKRKNKRLYLDSELNTIGAITRNLIRLFGFQNETISSKLFDYITTNYNKNIN -WKSFGCQLNILNWSF - ->YP_009552820.1 large protein [Riverside virus 1] -MYGYGFADIERNELDAFETEVHQIEHETWTSDLWNDSEALMEDPGVMNTSPQYMNNQDYTLNSPLICDEL -DAFKDYLVHNRDSKLYHTKSWDARVTWMKKLKVDWSNVKNTMAYHKECAELWSQESVRTQEFLDIIKKSD -NGARETYTVLGTFLKGWTQKDYPYQGRLTNSGETIKYGTYMWEMIKISWILNATTEYERDHLSVSVGFSY -LDPANKRGGILYKSSVLGNVYIGDGIMYLKRINMIWDRNMLLMFKDTSTARFHTLFAIQHRYQDIYHKDH -LSAIERMYQLGDMLLKYDTKSAYEAYGMIEPLSSLKLSELAGTFRPLIPAFPHFRNHVETKISDLSRINP -SVKPFFAHLSTLTDKRILLTVYGSFRHWGHPFIDYLTGLESLHQNVTSTKENIDKEYAELLASDLAFKIL -KKEFWNKYRWFVDGSLMDDTDPLKEHVLNNTWPSLDILLNYPPIWHKLPLKPCWDIPEVVDPSIIYSDKT -HSIKKSELMTHLRKNPNSPIPTKKVLDTLLSTKSTNWPEFLKEINEKGLDDDDLVIGLKAKEREIKWKGR -FFALMSWRLREYFVFTEYLIKKNVIPLFKGLTMADDQTTLIKKMLTNTVGQGGNDYKSVTIANHIDYEKW -NNFQRYDSTAPVFLVLGKFFGLPNLFVRTHEFFQNSLIYYRDRPDLMMLKDGQVVNKYPNKRVCWNGQAG -GLEGLRQKGWSVLNLLVIERESRIRNTLVQTLAQGDNQVICTQYAINPSKEDGTLRSNIEAAVQNNDVII -NAIRKATARIGLRINEDETLQAADLLIYGKTIVFRGNLTCLEEKRYSRITCTTNDQLPSLGNVLATVSTN -CLTIAHYSKSPINAMISYNWLGNFVLTILNVHNPALRTRPGLLVNKPKELDSREFKIAALYLDPSLGGIA -GMSLTRFHLRMFPDPVTEGLTFWKLIHQHSKDIGIQKLAIKFGNPKLMQYKTKHFEKLVEDPASLNLPRG -LSAQNLIKEEIKRTLLNRPHLIRHEVIRDAVTYVKKSEAQFMKFLEGIKPCFPRFLSEFRASTYFGLTSS -ILGLFVNSKTIRNIFKNFFRPMVDKAILQCELGSIESLIDRINQKAGKIWKCSAAQADDLRLKSWNRAII -GTTVPHPAELISNVQSGGINCTGCKEPHPQSVQLTVLVPQGLQGPEDCRGPYHPYLGSSTGETTSLIQSW -EKDTDISFLRKASHMRRSFHWFVDPSNKLGKSINKNLKSMTGEDPGDTISGFKRTGSALHRFGCSRVSSG -GYIANSPVYGSRMIISTDGFQLLGDTNYDFMYQSLMLYAQQTVGELHKESSDSGTYHFHIGCPSCLRIID -EPQLDSATEYDFPDVSVRLSKWKVSDTPWMNDAVGIEIPEGDWNALSLSDQSKEVGILQGVIFGNLGQSY -ADTNVLNGLFPLALRNKVHGPSYLAGIRDGLYRAASVDATHRRLFYKAASPCDVVRACYVTLVNNISNHN -DFLTFTQADAIVHTLKSFSHRVPPSYPLNNTDLGVMVKTFLLNFTYPQWRAMNFATSPKLWIFADFVSVQ -LSGVLIIAHSLSNKLKDCHLPDFKQKCKILSDLLGGVRSGEMTTEVQNIVGSRGDIFLCTQEVRHAIKYG -AKADLRPKIRQAPPVFSNEVHVRVIETVVEFSIVEEDQPLIQVPRIQNPLISGMRIPQIATGAFLKVEAL -LNHLKLRPIDALVGGDGSGGIGSLVLRKYDWTRLIFNSLLDMDNVDLGGSLPRPPSAIDYMPDSVKSRCV -NFEKAWENPMDLSKPSTWRSFKGLKHKHGLRINLAIFDMEVRDDKMSSDIEDLMAKHLPSLMEVNSTVIV -KTYVQRLLSKNSVLLKLGSLFHSSVVTQNDLSSSHTSEVYVVFQSFRIKEIVQLYPMWITLTNRLMKSFC -FNSYDQEFARASLMYRVDLVTGIPALFLPDPVCELSSIWNTMAHDKISTARWTSLVSEGKNSMQSFVMST -LALIGNQVLQTTKWSDTSFISIPGDQDLSRFFAYYIGTHLYLALTLQKPDLGKWCQYMIDEPFLIYFYQE -MHTPRNSHSNAVHSLGWSIHEREGWVTKKKIFVQHKMALMGSVIRYLSHITKSPGLARQDYWDTRLTGLN -RNLTRRHLLSSTGIYDLIQITP - ->YP_009552805.1 RNA polymerase [Zahedan rhabdovirus] -MDLIYEEFNLDVENDPLEQETIEFDEIDQWIWDDDVLQQDLYNNKIGLSNQDFNLNSPLIKDEIEYASNY -MHKAQRDPRFAHLDDDLKLLKKVLIKLKVPLIHLSSPDIHHNLIHDLLYNDDTSICKEDVLERWREVWEM -TKDIPEITERFILNAEPDPPAYDTRFDRLHGWRNEDIELGREWNSFINLHFITHLMNSSSVYGVPNWTKE -LLKLKTWKSKPEWDSIKVLGESSTRFVWVVTKKFAYHKSTNCLLDKNFLLMLKDIALARVMSKLSLLSRT -DRQTGDDDYLKMRLLYHQGDRLLSSFGNNAYKVIKLIEAVCTERWNELGQSHRPLIPLSSTLRDHLERTL -QEFPEMLGQANNFFRIVKLEQDPWMVGQFYGAFRHWGHPYINYMDGLHSLFSRVTEHIEVDRDYANVLAS -DLAFIVLKDQFYKRKKWFATTYGLEHDSPLKKCIEEGVWPTAKVIEDFGHNWHTLELLPCFDIPDTIDMT -DLYSDKAHSMIRSDVLKHIQLNPYKPIPALRVMETLLRTQCPNIPEFLRELNEDGFTWEDLVIGLKAKER -ELKDAGRYFALMSWRLRLYFVITEYLIKLHFVPLFSGLTVADDLTTMTKKLLTATKGQGLDTYDKIYVAN -SLDYEKWNNRQRFESNNPVFTVMGKFLGYPNIISLTHIAFQNSLIYYNDRPDLMVVENGRVYNKEGATVC -WEGQLGGFEGLRQKGWSILNYLVLRREALTRNTGTRFLAQGDNQIVITQYTLVSKNTDEAMKREVLNIWE -NNQTIMDRIRKATGKLGLKINEDEVVTSAELLIYGKVPVYRGKVIPLETKRWSRVSTVTNDQIPNFANSI -AGGTTAALSVSQHSEDPIEILYQHNFFGAFCGAMLSLFNPITGADPIRYHCTDFDKRREFFVRLLYKDPS -VGGVCGTNLLRFFLSRFPDPVTESLTWWRLMYDNTEDHVIRRIAVECGNPRLGTQTLEAQTRLLEDPTSL -NIPSGLSSNTMIKNKVKEGLLDLARTERLENRLVKESLLYTDHYHEQFVSWLLSIRPVFPRFLSEFYTGT -YFRIAEGIVSVFQNSRTIKKVFSRKFPNEVYKVILKSEIYSIASLYKGFKGDLFDDIWDCSASRADQLRT -LSWGPNLIGVTTPHPAEMLVERYCIYNCDGPHAVSKKIPLSKRSRWTRGPLTPYLGSKTKESTSVHQPWE -KQIDVAFLRRANDLRRAINWFVVPGSNLAESIYNNLYSLTGIDLRDEVRNYQRTGSSKHRLRCARVSNEG -VPGVGFNFLMYTTVTTDTMGDINEENYDFMYQSLLIWASVISTLRTNPYSETDTTHFHIRDKLCLRVIEE -EILESPTIFEFQDMSRSVRTMLAHDLEVKHVPRISIPWNLNWDEQENQLQSWYLGRAQGFLWGVAVYDNT -TDEAATTLFPTSITRKVSPLVYMEGIARGFCLGATLTPLYTRYGSLSEKARLKFEGSYWDIVDRAVLMTN -LSNMVNHSQFRPFLRRTGADIIKSYPALPLEVSDVLKRWFLKRLIADREVDDRWVTYDVVIFADMYTEYV -IGLFRTAEAILPVFRHEKLSGDDLKKLNRAKRTLELLAAYNESKITREQFDLLEECLRNPDYPGYHVVSS -EARHAASRMVPPVVHIEQVVWKQYNEYSGTGGSRVRLDYQPLDEDPTNWPGYQLRVKQIRCTLMSSLRVV -QLSTGAHYKYKDIMAEFETSGDGIFCGDGSGGIGANHLRKYSGSRVIFNSKLDLGGESFKGLAPAGPGAY -TMSGDEVCGRCVNYNSCWEDPSDLSEVPTWENFLSIIKTHQLDIRIICCDAEVQDDTTSDKIEQLLLEYS -LKILRGKNALVIYKTYWRRITQTHTFIHRAGFYYSEVYAFMPDSQGSFTSEVYLICKGLKQPDSPTPTVL -TNTSMREIYSALKVNATSDNEFARGRAFGLDNIAVGLYDHVPYRSLEDIAIFLASVGTDTGFALWISEAL -RLECRKGLHPFNVMWILGFVVTRHVLPVTSWYRRTMKMPVSTQLQRAVACMFGIWFGVSFMFKDNISFRL -INERYQRESAVSVGLSGPIYKKKSKKLLGHFTTWRFGLGKNMKMVDPGPKAGITQQMTRLIYMLYGGRPI -QRDYTQEDEKVCDGILGKLDRNAKVSILKARSGISYMQWGATNPLEIDPQLEDQIEVQEEPLGDETGELD - ->YP_009552125.1 L protein [Menghai rhabdovirus] -MDEIEFLDHYEEEGDYFEDEESLDTQDFRFNKKKIDNKNSIIIESDFNLNSPIMPDIINNICDMVNLGRE -PMHHKNRTMLSYNKIGKILKGKKQLNGIDDSYRAMRYFLMDEDHSKYYRMFLDNWNTEVKSIKEVSDKFL -ETIKSKPLQFDIKYTVLNSEFLISNLDKFLEVSLLIDIMSDNKRLLIKNYPVDFEFTIIEENLLSFKTKH -WKGHLSDKMLYDSKNNILLDRNMLLMIKDLTIGRFNTMLLLSLNIEKRYSDEVCDLFKNILKLGDSYLLQ -EGNKGFDSITMLEPICVEQMDKLANETRPEILQPKHYSDYIKSKTETSDKRANEFISKLRNIIYSVNNVY -DITCLYGSFRLWGHPYIEYEIGLGKLKEQVRMPKPDIDESYCALLASDLMRDMLINYYKRNKRWNVIDNE -HNRSIPGTKNLINNSWITMKDRSSLEGRWHLLEIEKIFDTPEDIADSSLFADKTHSLQLKDIINNVKRDK -LNPVPTERLLKTYLKEERVNIQEFIQKIDKDGFSKEDLVIGLKAKERELKRYGRFFTLMTWTLRLYFVSS -EYLIKKDIIPNYKGLTMADGFIEVMEKMLDRTKGQRGNKYQYITYANHIDYSKWNNHQRDKAVGPVFSVL -DKLYGYNTFFRLSHKIFEQCTVYYPERPDYFGKDSQFYWEGQPGGFEGIRQKGWSLVGILCLMRESKIKR -NTQVEILAQGDNQVVFSKYVLPVFKNKQDREIELKNIYYNNESLMSYILKASTKIGLIINQDETVQSANF -SVYGKIPIFKGNILNLETKAVNRVSGITNDQLPTAANIMSSVNSMALSISQRDSSIRCAVYYQLIFGIMV -LNIIKAWNAISLQGLNSVVISKNALARWLYHDKCIGGNTGMALTRFLIRRFPDPVTEALVFYKRMYEESN -DKEVKSSMLTMGWPIFRKYNTIALNKLAEDPTCLNIVKSGDIAILVKSQVKKALIGHSSNIKNKLLKNAL -LSSERQEEYILKFLEGVKPCFPRFISDFKQSSVCGYIDGIVGLVENSSTMKKMFSNEFDRKVKKMSIEWE -RIQIDKAMNVLYVNQRIWTCSSSHADYLRNSSWNINIFGATIPHPYEYHNTFFENIQKALMTSKDMMTCL -VSPKISLNICDHGPNTPYLGSNTKESSGVYQPWEKELSNPLFKNAAQLRKNINWTVDPNSNLAKSIINNL -SYVTGIHTDELQTENKKYRTGTAQHRYRTSRQESGGFCNISPNILSWFTVTSDNMNDLSDINYDFMFQAS -LIYAETVGAHLVQVNPETASFGLGISCKECIRPLQDLKLQSSFIYSPSGISKKFWMNQLIKTEILENVDL -YSDYIEKTDDVPNRGSFSIGLHQSIGIMISLSSMNKEYHISDILSMGVLMKIDPKEWIEGFKYGFSIFVG -YNLLQHPEFLNGGRCFSMFKNKYYQLARVLAEDKDFCARLRIQNLDDFLSYKSKTLSPSYPASSNELSKK -FVAILSDHLSKSYENNMLIKPIVSKDCIIYNDYDNDIFKKILIIGSEVIDKLVDDKHNKGRSRIYNSLKE -CTFDRISLRAVSNLPEKLLISSSELKTHAENMIKVNKIYKKSVYTDSEIGLSLKVMELHSWNVQNSEKLE -KHKTNIMQSVKSFRFATSAHYKLNDILNNLMIKPPRYILVGGDGSGGMSSLCLRKFKNSEVVYTSILEIG -AENLKGGNPGPPQAISHLPEPYKSRCANHSYAWTEPGDLSSEICWKNIKSYTMRMKFELIVIDAHPREIE -EFEDIYRLLMKFYNDVLSKDGSVIIKGYTWMIESLYKILRTNKEVNIYGVQSEYCRDQTSEFYFIISTSD -ILFKDPIHVSSVSELNRSLYSLESELNRAQTIKSLNLHELIPVDWREQYIHTLTGLLSTMNLNLSLVEYV -VVLVYNKDYNSAFKTVANRCLFDNLSDNLIPSNQYLIKVISFLSGLLQTKAMLEHDIRSLEIASRLNTEP -TFITIDAREKGIYIGDSFLNNPKYVMKKIFPSDELIDATVVRCLLHLMNDPLYQIDIRADPVHMVYNSED -FF - ->YP_009552115.1 RNA-dependent RNA polymerase [Merida virus] -MEDFDFCDLLDCQDEAAYADGEDELRGEFDEDGDKMYSDPGSVPGHLVDHDYTLDRPLIGEELDAFVSHM -KGHLYNRHFSNGSWKFRESWLRDQGAKIEDLTGSATFHRWLVRLIRSPRPTGDIDKVLSEVDEGAKESFP -IVSGFLKKWCDLKTSFKKRSDMKASTKQMGSIYLTFHQIVLMMNSSTESEGEGLGKMFGLKFDWRDGNPA -FVGELSDLGKVFICNGVLIFDRSGQSLDRDMTLMVKDLAGGRFQTLIHSEFKEAPAYPTSHYKNILSLFE -AGDELLKDLGSSAYSIIKLLEPVALEKMHVLASAARPLIPDFTQFGDHLKKEKLSAIQKSPKASNFFRLI -EQSVDINFLLTAFGSFRLWGHPFIDYLEGLKKLHENTTMGKQIDKAYAEALASDLAYKVLHDEFLKSRRW -HVDGRLLPKDHPLKAYVDKNQWPSSGLIQSLGDIWHLLPLTPCFTVPDMFDPSIIYADKFHSPTRSEIIR -QLTKGVGNIKSKSVLESFIQKPATDWKAFLERINNEGLDLEHLAIALSAKEREIKDIGRFFALMTWELRE -YFVVTEYLIKLFYVPLFKGLTMADDLNTVTMKMLKASDGQSARDYKTITIANHIDYSKWNNHQRPEANNP -VFKVMGQFLGLPNLFTRTHEFFSKAFIYYKNRSDLIGTDGTKLFNKPGPLVCWEGQPGGLEGLRQKGWSI -TNYLCIERQAKIRSTSLRALAQGDNQVLATRYTIRPHRSEQELVEHIQSAVYNNKAILDSVRAGTAKLGL -IINENETLQSASLMVYGKVIMYRGLFTCLSEKRYSRVLCTTNDQLPNLSSVIGTIGTNCLTVCHYSDTPF -DAILQYNWLGNFGRVMIEMHNPAIKRPMGDIIKSWNEEERRSYRLYFLLLDPALGGVGGMSLTRFLIRQF -PDPITESLTFWSLVRELEISGEITTLAVKAGNPRMGKYRSSHFKKLIENPTGLNLPRGISSLTVLRTKIR -HGLLANVEKIGNEVVKNSLRRVKADNGRFLSFLESIKPCFPRFVSEFYSSTFMGIVEGTISLFENSKSIR -KNLKSKLGSEFDEIVVRSEFSSISGLKQGGNDRRSRLEWKCSSTKADDLRRMSWGGRVIGATVPHPLELL -SCGKLQNLNCAECSAPGYQADYVAAVCPQEPFWRGPERGPYDPYLGSKTAETTSLIQPWEKETNIPLIRR -AVALRKVISWFVERDSNLARILCDNVKALTGEDLGGLARGYKRTGSYNHRFYCARQSNGGFSGISPNWAG -WFIITTNTLSHIGRDNFDFMFQSLIIFSQVTAVARQSHLKSGGTHHFHIKCLDCLRPVEEPTLDTVVEYT -FPDMSVELAKWKPAEVPWFTIKPVIELQEGDWSALDFRVQSFHLGRILGFYYTDTRGQRGREVDDLFPIV -LGKYVDPDGFIDGIVDGIFRASSLSLSHRKTATMGQNHAEALTSNFFSNASSLSRDGGFITLMTEPDMYQ -PIRRAPQRISPAYPSSRMDLSESISAILFQRYRSNIQASRKYCPMSADLWIFADFISVSVAGTFILADRA -CTVFFKNKLVKADKDQLAVISGIDSIVRSADTSQEYLDILMQSVKVYCTPNEGREAARQIRRIRAMSEMD -VEEEPGEFNLQISMWTRSTPVAYSVTPTVFSNVGVPDIRSPVISGLRLAQLATGSFYKIEAILDNAGLVY -QDFISGGDGSGGCTATLLRRSISSRAIFNSLMAGENLSFRGSSPAPPSALVQMPAWIKSRCVNLENCWQK -ASDLRRPETWKQFQKTVSEHLMKVGLIFLDMELIGQTDEDEILDCLLRYGLSILRPHGKILYKTYAGQLL -GSANNVLTKIGSCFHRVSLYQTSMTGSHSSEVYVLMEGQVVNRSSIQYPDLSSCKDWLLDSFCFRTVDEE -RERALRLFNWESVEGIPRRFFPDLELEFHNLLRTSGIDPATVPIIAQNIYYSSPHQLPSILIGAILGGTE -SVFPTARFRRVSGLIPSDQKILKWSAMVFGVAYWLSLAMDEPYLYRKTHIVNQQGISFSYLVEYSDDQHT -HGALKWSLSRNLGRTKTVRLDSQQAQQSRWIRALTRLCVSRNLDSDIDLIKSVARSIAPNVKVSTILARS -GTLDVFTDEILLPPGKWGTGYEDEVDLMREPDEDSL - ->YP_009551692.1 RNA-dependent RNA polymerase [Merida-like virus KE-2017a] -MEDFDFCDLLDCQDEAAYADGTDGLEGEFDEDGDKMYSDPGSVPGHLVDHDYTLDRPLIGEELDAFVSHM -KGQLYNRHFSNGSWKFRESWLRDQGAKIEDLTGSSTFHRWLVRLLRDPRPTTAIDKILSEVDEGAKESFP -IISGFLKRWCDVKTSFKKRSDMKASTKQMGSIYLTFHQIVLMMNSSTKLEGEGLGKTFGMAYDWRDGNPA -FVGDLSDLGKVFICNGILIFDRSGQSLDRDMTLMVKDLAGGRFQTLIHSEFKEAPAYPTSHYKNILSLFE -AGDELLKDLGSSAYSIIKLLEPVALEKMHVLASAARPLIPDFTQFGDHLKKEKLSAIQKSPKALNFFRLI -EQSVDINFLLTAFGSFRLWGHPFIDYLEGLKKLHENTTMPKQIDKDYAEALASDLAYKVLHDEFLKSRRW -HVDGRLLSKDHPLKAYVDKNQWPSSGLIQSLGDIWHLLPLTPCFTVPDMFDPSIIYADKFHSPTRSEIIR -HLTKGFGNIKSKSVLESFIQKPATNWKEFLERINREGLDVEHLAIALSAKEREIKDIGRFFALMTWELRE -YFVVTEYLIKLFYVPLFRGLTMADDLNTVTMKMLKASDGQSARDYKTITIANHIDYSKWNNHQRPEANNP -VFKVMGQFLGLPNLFTRTHEFFSKAFIYYKNRSDLIGTDGTKLFNKPGPVVCWEGQPGGLEGLRQKGWSI -TNYLCIERQAKIRSTSLRALAQGDNQVLATRYTIRPHRSEQELVEHIQSAVYNNKAILDSVRAGTAKLGL -IINENETLQSASLMVYGKVIMYRGLFTCLSEKRYSRVLCTTNDQLPNLSSVIGTIGTNCLTVCHYSDTPF -DAILQYNWLGNFGRVMIEMHNPAIKKPMGDIIKTWTDQERRSYRLYFLLLDPALGGVGGMSLTRFLIRQF -PDPITESLSFWSLVRELEISGEISTLAIKAGNPRMGKYRSSHFKKLIENPTGLNLPRGISSLTVLRTKIR -HGLLANVEKIGNEVVKNSLRRVKADNGRFLSFLESIKPCFPRFVSEFYSSTFMGIVEGTISLFENSKSIR -KNLKSKLGSEFDEIVVRSEFSSISGLRQGGNDRRQRLEWKCSSTKADDLRRMSWGGRVIGATVPHPLELL -SCGKLQNLNCAECSAPGYQADYVAAVCPQEPFWRGPERGPYDPYLGSKTAETTSLIQPWEKETNVPLIRR -AVALRKVISWFVERDSNLARILCENVKALTGEDLGGLARGYKRTGSYNHRFYCARQSNGGFSGISPNWAG -WFIITTNTLSHIGRDNFDFMFQSLIIFSQVTAVARQSQIKSGGTHHFHIKCLDCLRPVEEPTLDTIVEYT -FPDMSIELAKWKPAEIPWFTVKPVIELQEGDWSALDFRVQSFHLGRILGFYYTDTRGQRGREVDDLFPIV -LGKYVDPDGFIDGIVDGIFRASSLSLSHRKTATMGQNHAEALTSNFFSNASSLSRDGGFITLMTEPDMYQ -PIRRAPQRISPAYPSSRMDLSESISAILFQRYRSNIQSSRKYCPMSADLWVFADFISVSVAGTFILADRA -CKVFFKNKLVKADKDQLAAISGIDSIVRSADTSQEYLDILMLSVKVYCTPSEGREAARQIKRIKAVSEMD -VDEEPGEFNLRIPMWTRSTPVAYSVTPTVFSNVGVPDIRSPVISGLRLAQLATGSFYKIEAILENAGLVY -QDFISGGDGSGGCTATLLRKSISSRAIFNSLMAGENLSFRGSSPAPPSALVQMPTWIKSRCVNLENCWQK -ASDLRRPETWRQFQRTVSEHMMKVGLIFLDMELIGQVDEDEILDCLLKYGLSILRPHGKILYKTYAGQLL -GAVNNVLTKIGPCFHRVSLYQTSMTGSHSSEVYVLMESQVVNRSSTQYPDLSSCKDWLLDSFCFRTVDEE -RTRALRLFNWESVEGIPKRFFPDLELEFHNLLRTSGIDPATVPIIAQNIYYSSPHQLPSILIGAILGGTE -SIFPTARFRRVSGLIPSDQKILKWSAMVFGVAYWLSLSMNEPGLYRKTHIVNQQGISFSYLVEYRDDQRT -HGALKWSLSHNLGRTKTVRLDSQQAQQSRWIRALTRLCVTRNLDSDIDLIKSVARSIAPNVKISTILARS -GTLDVFTDEILLPPGKWGTGYEDEVDMMGEPGEDSI - ->YP_009666839.1 polymerase [Vaprio virus] -MDFSMEDTFFDETLEDYNFVDDLQLDDESDIRPNYFSFKNLNVQDYNLNSPLIADDIFGYKAHLLKRPFP -EVFRKKEWEVRDLLFKRLKYNFVKLIDPEDIHRWWGKVCLKPVDNINQIKQLLDMVWKDHSQTSLVYKTF -ISNWFKDNREILQSQLPIKNPDIMKWGELFLQLHELVLILNASDEEELKSLCKDNNKKLLRMEGLVVGSR -VDNFFGTCYMAGGAILFLDHNVLVDRGFLLMMKDLCIARFNTLMGMVLRIDNLYNEADVQSLIDLYRVGD -KILVESGVPGYALLKLLEPCCNLLFCIKAKEYRPLIPDFPSFSNHIYAAFEEASKISSYAKEFRDSILNA -RTIELLTVFYGSFRHWGHPFINYLEGLRALYEQVNMVKNIDDSYSQALGSDLAYMVLKRKFSEKKRWFVD -PDKLDKNHPFKEHIRNNTWPTPKQIEDFGDNWHKLPLIKCFEIPDVIDPSLLYSDKSHSMDLSEIIDHIQ -NKPNEPIPTKKVLQTLLNRPATNWPNFLRQIDQNGLGKNSKAIGLKPKEREMKDKGRFYSLMTWELREYF -VITEYLIKTHFVPLFSGLTMADDLNTVLSKLLDRSQGQGGIDYENICIANHIDYEKWNNHKRYEATRYVF -EVMGKFLGYPTLIEKTHLIFQECLVYFNDRPDLMEVKNGRVENSSDFRVCWNGQLGGLEGLRQKGWTVVD -LLMINREAKIRNTLVKTLAQGDNQVVCTIYKLNHSPTEFDLMRNLKNIHTNNDVIMSAIKKGTEKLGLII -NEDETMQSADYLNYGKIPIFRGRILNLFSKRLSRIMCTSNDQILSFGNIMTTVSTNALTISHFDESPVHA -LHYYDFFGNLTRNVLEKHNPILRGPPKMKLKCDLNNIHYKIATLYLDPSLGGVCGMSPSRFLTRGFPDPV -TESLSFWKYIYHRSSQEYIKFFARACGDPPISPADRGGLNKLLEKPCSLNIPKGLSITNLLKTEIKKSLQ -SSSSDIQNEVISHALDYLNHEEEGLMNFLGNIKPLFPRFLSEFRASTFVGIVDGLVGLFQNSRTIRRSFS -RKMQRDINRMTYKSELSTYSLLTRFSNMVPSGMWDCSATKADRLRHLSWGEPVLGTTVPHPLEMFGDGHI -KEGDGCKRCILGTGEGDFITTLIPLGLCTYHERRGPYPAYLGSRTSETTSIIQPWEKETNIGLIRKSLKL -RNSIHWFVEPESNLAKSILSISKGLTGEEWNQSIGGFKRTGSALHRFGCSRQSSGGYAAINPCKLSWVIS -TTDTFSIIGDENYDFMFQPSILCAQLNAVEICDQHKGSVAVHHHLSCFDCLRKIEEPTLDSSFIYNHPDM -SRILSKWKPENSAWGEKKRSFEITKMKASDFGHKELSFQIGRASGFLYGDMLLGDNKHVEDSSLFPLSLQ -SKVFPDMFLQGLLDGMIKATGISVIHRRSVAKLNRPRPTLIGGVTHCIENLSLNVHFINLVRKGPIYRYL -TSRPHKVPSSYPISDSDLGAISRSWLKKEFSVLEKTTQFYSPLYRRVCIFSDMASPEIIGPYLLSVKTLP -LLFKIKISKKEADQLRSLRDESTSIRECNDHKVDHVDPKSAVQCLEEIRHAAKEFKGVKWEFSEQLKWGT -EVSGRIEDVHVEYTTSKMTGLKVHIDQFRCPLMSGLRIFQMATGAHYKLRTMIIELDIKYKDFICGGDGS -GGMTSCLLRQNWKSRGIYNSLIEYSGVSTRGARPGGPPAVEALGNEKVRCVNFDSVWEEPTDLSTESCWV -NFSRLISKHQLSVNLMVFDMEVREPKISDKIESLLEEYGTSLLERRGTVIYKTYLNRLKAQPDDNVLTKV -GKYFRRVRLVQTSFTSNRSSEVYVVMEGLKSRPGNNIYVRWDLLDSEVTKFAALRSEEDEFQRAIDLKHW -NLLEGVPVELIPDLETELGSMLSILGLDDGISVQLARHAIFLGKHDPGTMFWVLVGVTANGIINMTAEHI -TGYKVPSDAECVNMISFLVGVGYWLAYQTNNLELFKYLNYINDSFLIVQLVKVRMKKKNKLTKEMVDHFR -VDWRIDKIGHFSKKVSLVSKQAMLGSWIRILRRACAPSAHFEPVPEKIWRSFNKKLTWKNIQSRTGILDV -LINRKIGYKTGELTLETPDEMEVSYVD - ->YP_009666516.1 RNA dependent RNA polymerase [Caligus rogercresseyi rhabdovirus] -MYYDWEDESENWDLQDEEENPEDLYSDETTGQRKTTLKREDRDYINNRDYSLNSPLIRDQLDSLYQFVRG -QSIVRYNQQADWKDIKGLIKGQSWLLETNWSERFHSWWTRSYENPWADTAEIDAFIRKVNKDAQVTGEVV -RAFFDSWIGKDIPILPKENLPPWVQTQGALFLITHKLTLFMNSRTVRERESLINTFGGKLREDTDEWAID -ISHSHWGLLTVSRDHVIFWRDQIILDLNLILLMKDTAIGRFNTYLTLIQRQDRRYSVQDLNAVREFYRLG -DNITRGEGNDAYRQLKWIEPVCVTRLSMLAKEFKPLLQLPDDFERFVLDEINGMPNGRGLRDFANLILQT -DSIDLVLVFFSSFRHFGHPFLDYIAGLDKLHEQVTRVKVIDLAYADALGSDLAFKILRSQYKKTKTWSLH -KDQVHRIPEKLRKHVLDETWPTDTVIEELGDVWNKLPLKKCYDIPDLIDPAILYGDKSHSVNRSVLLQHL -TRYPGKPIPTKRVITSFISRPATNWPEFLAEINESGLTEDDLIIGLRGKEREMKVDGRFFALMSWRLREY -FVITEYLIKRHYVPLFSGLTMADDQTSVTKKMLQATAQQGTEGYSRISISSHLDYEKWNNHQRAESTDPV -FEVMGHFLGYANLFTRTHEFFQKSFVYYVGRSDLLTTRGNRIVNSTGARVCWDGQDGGLEGLRQKGWSIL -NLLMIEREKKIRNTKVTVMAQGDNQVITTHYRAEVTPDMTDDAIRGSMQTLVENTRVIIRAIRSGAARLG -LIIKEEETLQSFNLHIYGKVVSLNGCVLGLETKRTSRITSATNDQIPSLGSVMASATTNVLTVSHYDSSP -VDPILMFNFFGNMTRRVVELHSPALRAPMYGVSKTDPLLESREYKWASLFLDPTLGGISGCSLTRFLMRL -FPDPLTESLSFWKKIHEGTSSSALREFAKVVGNPRLKHYVESDFPKLLENPSGLNLVHSISAQTILKEEV -KRHMRENGSSFKNEIIRDATVYSTTNTDTLNLFLARVEPCFPRFASEFKSATYLGIADSLVGLYQNSRTV -RNLFSARMGRELDAKILQGERSNIRFLTSLRERTGGIMWDCSATRADELRTKSWGRKLVGTTVPHPFEMI -NFHHTRPTNCPETTSAVSECDYVTVHLPYGLFDYRMRRGPLPAYLGSKTSESTDILKPWEKETNIPLLKR -AAKLREAIAWFIEPESNLANSIIQNLNALTGDEWVEDLKGFRRTGSAIHRFYCQRVSPGGYASTNPSRLT -YMFSTTDTLSNIGSTNYDFMFQSLILYSQVTSGEIHDGERKEIIDHYHISCSGCLRSIEEVMLDSPYIYN -PPDVSNRIKKWIPEEHVDAPLQPRPDLIEGPWENVPYFEKCRVVGVIQGFILAELLQFSRSEASDPALFP -LGLSQKLYGPTYLMGLIEGLARSASLAMLQRTSVNKVPDPYKTLQTLLLHLARGLSQNPGFCNMCRGGSL -RESLMARAHSIPAQYPIQADSVNSLCAAFVHSQLSGLRDMLKNRSTERLWVFSDLLVRGVMGPFLLSGEP -LSFCLKSTWTNTDKQRLRALRDTLLGLRSSESGDLPPPELTRKARKAGVQIRAAITENLNLLPEPEPLLW -GPEISGMVFQHTVTIAEDLGDTRKTALSYPARIQCPLISGLRLAQLATGSHYKIRSILQAFNIRCRDALI -GGDGSGGISALILRYYPSSRVVFNSLLSPEHLDLRGGSPGPPSAVDAMGRGKLRCVNLRTAWEENSNLEE -EATWIQFKKHKARYRLQFSLLIFDAEFPSGHSTRFIKLLTDHVGDLLELDGTLMVKSYDQALEKHGETIL -LPLARIFSSIRLVQTQFTSSFSGEYYIVCQGKLHKRRPRGVIDWNKVHEALLASFSRQSDTDELFRAQVL -YNLDKYQGIPLSLVPPLELEIFHLLKRLDFPLSITSTLSKLLIDSKTKWVPITQLMVGCISHYHFGAGYA -RKEVTPPSLVSVRATAGALLGILYISAIRTGDDNLFRSANSMIATNLPIHWVRLDVDKWGRRVRDQSKTG -WLLGSWNLSGKGLSRGVYLKGEMSVLGRWIRVLSRVSKIQGKGNENPEEIRLEESVKFDIRSAIRWSPYK -ELMNGSIKLVSAAIQVTSGEEVPRSEGFRD - ->YP_009664719.1 L protein, partial [Bas-Congo tibrovirus] -MDSLVNEYDDSHYYSENYDVFDEDEVVFNDVQSEIENINTKDYNLNSPLIFNKLEGFYSKLLNGHCNPLF -SHKDHDRLLEFLKLTREPLRIYQGTKDSTQKIIASILRNYPVTKRGERFISQWLATAEKTQTFPDSFLQG -WLGDTPYDFSLSVQKIRNMKYNHRRYMSLFMDFFLIVNLINSRSNRERDNLVKLLGGTLHYIGDLYLGCQ -TVFPILGRVLIMTDFIFCIDSGDLLDQNSLLLIKDTAVGRYNTFCFLNMAQIENSFDEEEKDRLELLYQL -GDEMIISAGNQAFEGIKLIEAICNEAICLYTEKFRPEFPKFRSFSDHIRGEIQEKVKNGLNNIEQIHFLI -KGEPNLNMVIVYYSVFRHWGHPFIDIEEGLEKLHSLVTTPISVNEDYVNALASDFAFKVLRSKYREKKVW -YIDVTLMDKNSVLFDYVKNNAWPSQAIINKFGDNWHTLPLLPCYDLPEMVDIAAIYSDKSHSKTYSEVAQ -EIQMNPNRPIPTCRVLQTLIEEPAENWPLFLKEINDNGLSKEDLIIGLKPKEREEKRIGRYFALMSWKLR -QYFVYTEHIIKTHFVPLVKGLTMADDLHTLIEKMLDSTCGQGSDSYQYISIANGIDYQKWNNXQRYESTE -PIFTVMGKFIGYPKLFSRTHKFFQESFVYFPDRPDRMYVNNGTINGYPGEYYCSQGQAGGFEGLRQKGWS -IISCLMIEREGRCRNTQVSCLAQGDNQIIITKYKTDSWSNLRELKTNLLNIYNNNACIIESVRKGAANLG -LIINEDETIQSIEYLNYGKIPIIRGKIIGLPPKRWSRVTCCTNDQLPSAGNLLSSVSTAALMVSHFCNSP -LDAMYCFHLFGCMVLSLSKCHNPALRGSPSSFIKDHDYLNDPLFTGVALYLDPSLGGIGGTSSTRFMIRL -FPDFVTESLTFWKLIYKGTSSNLIKSLCVIIGNPSIAAFNPSHFEKLIENPGSLNSIRGVSSSNLLKTKI -KESLNQNAERIKNKIIRDAAIYNRDKEPELMAWLESINPLFPRFISEYANSTYYGMNNSLLGLISNSRTM -RKVFKNNFGKEIDDVIIRSEIISLSSIIRILKTAQSNPDCFNDIWECSASHADKLREISWRRRVYGMTVP -HPAEMFQHAACFGGECKFCELSGSNSTYITTLAPKGVRHISIDEPRGPYPPYLGSKTKEGTSILQPWEKE -TNLPLMRRASDLRRVISWFVDPDTNLAKSILNNLTSLTGESWEGRIEGFKRTGSALHRFQCSSIENGGFA -ACSPTPLCWLIVTTDTLSGLDQNYDFMYQPSMIYSQLSTICMNNCTPTVYHSHISCQGCIREIEDITLDA -GWEYCPEDVSQILSSWMPPTTSSWINKERTRANVASQICKWEINTNEEKSFHIGLSIGFCFADITLGSHD -IIQKSDLFPLVIQKKMEPYHFIRGILRGLVIGGALHLTQRRSLLMGPKSHTAVMGIRYFLIEEITQDPKF -IHLMSNGPLNEFINMYPHKVPSSYPLTTIDSGSIIRGVLKRMTKDNNFIRNPDLVKPWVFSDMQSSYLLG -SFGLAVIADRIIKDGLLSKVSRTRLQELQRDYIDILNDRIHPSNLEYYLGQLLPCPSELRHASKEMPDVT -SLGPSFSANHIWNFSIKSTCYRVPLRCSSNPAVGPIRNISKHWNPSVSSLRLFQCATGAHYKIDSILNDF -NILPLDCLCGGDGSGGITSLLLRKFQHSKVIFNSLLCAEGIRFLGSHPSPPSAVSSLGSLSSRCVNYNDV -WRNPSDLRLSATWAYFRRLKDIHNLKITLMVFDMEVQDLSSIIEILHLLSNNLSYILEERGSLIFKTYLS -SLLSRGEDNPLYALERWFDQVDCVTCDYSSTKTSEFYLVCQRSGLKPYPVSYPTLDFLDDLWNISFINSD -LKDEYQRITKIKKMLPSLSGIPTNLVPDWRVDLSTLLVITGLESGISSDLSDQLSTQTGEDAIG - ->YP_009664711.1 RNA-dependent RNA polymerase, partial [Muscina stabulans sigmavirus] -FIDYLEGLRKLHQQVTCPKHIDKDYAETLASDLAYMVLRSQFKSKNRWMVDPNLMSDKDILKRFVEENIW -PTPVIIEEYGHKWHLLPLIQCFEVPDMIEPSLIYADKSHSMKRSEIRSHILARPGTPIPSKKVLNTLLNK -ESTNWPEFLRKVNENGLDEDDLVIGLKEKEREIKIYGRFFSLMSWELREYFVVTEYLIKKYYVPLFYGLT -MADNLTTVMRKMMESSNGQGLNDYSQISIANHIDYEKWNNHQRKDSTFPVFKVMGQFLGYPMLIARTHEL -FEKSFIYHSGRGDLLKVEGNTIVNNSDAMTCWNGQAGGLEGLRQKGWSILNLLVIRRESASRNTQVKCLA -QGDNQVICTQYKMQKYRTDDELRSNIQRIVDNNDRILEKIEEGTKKLGLIINQDETMRSADYLNYGKIPV -FRGNVMNLENKKWSRVNCVTNDQIPTLANVMSSVSTNALTVAHFSDSPINVMYHFNFLGNFVRILLERHN -PALRGSVQQFLKTKKNALNSRNYKIATLYLDPSLGGVCGTALTRFLIRQFPDPVTESLTFLKMVYQGTSD -PGLKALMSTMGHPAIKVSDMTDYNKLIEDPLSLNIPRGVSSMTMIKDKIKENLISYGPSIKNEMVRDAVN -HMSEEEAGFKDFLTSIRPVFGRFISEYMSATFMGITNNLVGLFQNSRTIRNVFSKKLSRELDHIIIKGEQ -ISYLTVLRFDPDSCTDNMWKCSASHADDLRNTSWGTKIVGATIPHPAEMVSRVYRNNGDCPACASDGEDR -LYISLVIPDGLKDFWSSRGPYPAYLGSKTSETTSLLQPWERECNVDFMKRPANLRKVIGWMVDPDSTIAE -SIYQNLLAMTGEEWDRVVTGFKRTGSALHRFSCSRQSSGGFTAQSPVKLTRMVTTTSTLQDIGSTNYDFM -FQSCILYCQITAGEKHHDDGTPGFYHFHIGCKNCLRQIEE - ->YP_009664710.1 RNA-dependent RNA polymerase, partial [Drosophila tristis sigmavirus] -NEFDNIWSKTLNDANLTKIVPDTFFDYLLDRTPVSHQVTKHPQIIKYREDCGRIFWELHQITLLLNITTV -EGLTSIHTRMPLEILKQRTPKFGIKTTLKNLGTVLIFDGFIYFLNDQLLFDRNMLLMAKDTIGARFQTLL -SIEVRYDDHYTDQSGINLCAVYHVLDRILMSDKEKAYDVVKMVEPICNLKLSEMARKMRPNIPEFPKFKL -HVQKSIDELSTKIPRAAALYQLIMGMTHVEDVLTIFGSFRHWGHPFIDYRTGLNKLYEQVTVQKDIDTEY -AGVLASEFAKKILITKYKETKSWSLNIDDLDPDHPLTPAFVSMRLPDPEEVISAGHTWHLLPLKPCYEIP -DMIDPSNIYSDKSHSYTRKELKAHLQSNSGSRVPSHKVLKTFISRKATCWPEFLQRVNDHGLDLDSLIIG -LKAKEREIKKFGRFFALMSWELREYFVVTEYLIKLFFLPLFKGLTMADDLTMLIRKMMDSTHGQGLKDEE -YITIANHLDFEKWNNHQRAEATDPVFKVMGQFVGYPNLFVRTHEFFQKSWIYYADRGDQLQVIGDQIKSK -GQERFCWNGQPGGLEGLRQKGWSIISLLIILIEGDISNTGIKCLAQGDNQVICTQYKPNPTLNAEHKEAH -ILNIIHNNNQILERIEAGTKKLGLIINRDETMQSADYMTYGKLPVYRGNFRCLEGKRWSRVLCVTNDQLP -TFGSIMSTISSNALTVSHFSESPINPIYHYNLLGNFGRIMNEYFNPATQSILSSQLKRPALIKTKEFKIA -TLYLDPSFGGIGGISLTRFLIRTFPDPITESLSFFKIIASHTSDRDISQLFINFGHPPLAEPSLTNFCKL -MEDPLSLNIFRGISSKTVLRNEIKDQLIDHIGSISNEVVKLSVLYIKQSEPILNNFLYNISPLFPRFISQ -FKSSSFFGIADSVLGLFQNSKTIRNYYSRALGKKIDMTILKGELVSVANLIKHCGKKNLGNTLWKCSSTQ -ADHLRRQSWGRPVLGATIPHPAEMIGKVCRLQPGCKDCSDQKKTQMYITAMLPKGLWNYWDYKGPLPAYL -GSSTSESTSILRPWEKETDIPLVKRASDLRKAIGWFVEDGTPLADAILDNLKSLTGEDWDSSGPGFFRTG -SALHRFSCSRQPSGGYSAQCPVKSTWMSITTNTLVDLGEKNHDFMFQALILYVQALVGELHDNNPSQGWY -HGHISCLDCLREILEPHLQSAYRYSPPDLSRVLEKWKPASSSWSRTIPRYQLLPKNWGFLSGKDKSYHIG -RAEGFLYAEKVLHRQQHIQSNSLFPLTLQRRIDPESYMEGLVDGIMRDVSLSIIFRDRIKTRSGYLPGFI -GKCLHLIYKISEDDCLVSLWRAEKFEKLFSSIPRKIPPSYPTSNADLGALGRNFLRYKFHSFTLSSRQDK -ISELVGKDVWIFADINHSLVIGLMALSQKILPYIYRNELSARDKEYIRSTKKVIQELRGVRTDIDLILPL -IKDSSTNIYGTDSEVRHAAKSIVPDEPLITSIESQPKLWGAEYVCPVDLVTVYPTIHKARSSCQLSVPRI -QDPLISGLRLFQAATGAHYKVRSILAALQVHPRGAICGGDGSGGISSMILRTYPACRVIFNSLLDLTVSA -LRGSEPSPPAAIGCIPNLKLNCVNYNDAWQHPNDLSEAQTWRYFTDIRRQRKMKIDLIILDMEVSEEKIH -MAIMERVSEFCHLLLESGGTLIFKTYLKLILNTEQSILHKLINRYRSCQLAFTSLTSSHSSEVYCIFHGP -KLSKTPDPCYPDILAMVGLLSTSPSQCTREME - ->YP_009362274.1 polymerase [Chaco virus] -MFLDNEYDFSDQNNPYELSDDDLKRCNGKKSDLSCLSKYDYNLNSPIIIDKIESLYLYLNKKKIIPLYDS -EEFRKYERWLKSEKVHINDIITPRDISQSYSIIVKQDWNIDKEWSNIVRQTLNDEELVEDIYDSFKTFIC -QNKYKDTKIMLDAPINILGTKFLYLHKLVILMNNCNCIKGKNTGDLFGFQFHNPKQHRIPKCEDHYYRGF -HKLLGMVIVTKDFIYLSSTESLIGRDHLLMFKDIVLNRMNTLISNYYCQLTHLNSHLVKWFSAGDECIIS -YHNDGYKIIKMIEPICNNIMSSYGNQLIEGFPDFPTFSRFIEDEIKDLVSKYPKSRDFFKITKEGKINDI -VCKFGCYRFFGHPIIDYIKGLEDLYDLTHKVKNIDSHFIDKLASDLAYLVLEKKFREDKIWYTDELPKNH -ILHEFRRDQIWPSSFIINKFGDNWHKLPLTKCFDIPEFIDPSELFSDKAHSVDLHVLINHIRHDNKKPIP -TKRVLTTLLEKNNINWVDFLQRINDKGFETDELVIGLRAKERELKDKGRFFALMSWNLRNYFVVTEYLIK -THFIGLFEGLTMADDMNTVITKMIGKTAGQDKSSSKRIITITNHLDYVKWNSNQRAESNNKIFRVMGQFL -GMPNLIERTHEVFNKSFIYFVNRPDLMSVKEGQIVNNSSNKVCWQGQLGGLEGLRQKGWTISSMLMLNRL -PRRRNTMIRTLAQGDNQIVITTYRPRQWSCDEEKLIIFQEIKANNDEIMKEVANGAVKMGLEIKKEECMQ -STGFLNYGKVLIIKGVIYPITSKRIARVSSINNDQVPTMANILGTVSSCILSISHFSTDIFPILDMYKFF -FLLLRNIWEIYDCILGDTVSDIIPIDKLRRKLYLTMLMFLDPSLGGICGMSLTRFMIRSFPDPVTEGLTF -WKLIHDNTKSRELKKLCIQMGRPKLMDYRGSHFRKLLENPSSLNLPGSMSPVLILKDKILEEMILERHNF -KNQIVRNSINFYCEQHQNIIKWLSDLEPWYPKFISEFYSSTFLGIVQSHISMFQNSRTVRNVMKQKIEGG -FDEIMIKSELDTIMQSISDTRHKGGSMWRCSAEKADQLRREGWGRKIYGVTVVHPAEMFGETGLGNISCD -NCINKGTDFITTIVPKGFPLSMADRGPYPSYLGSKTAESTSLVNPWEKESKIPLIRRAAHLRVAIGWFTQ -PDSYVSQAIYENLSKLTGEDWTGTQKECFSRTGTSQHRYGCSRQSQGGYCAQNPVASSYMITTTDTMGEI -AKINCDFMYQASILFCQFLTYERYKNTHDHMTVHHHIKCTLCIREIEEVEITSPREIILPDVSETIKSWL -PPDCKFTELKSIIKIEKVDIDDLRQEVIHVNAGRCIGFLYSQGKFERSKTYDDSALFPLSLKGKLNPIML -CRGIITGILYSCTIFLISRRLFNNKGSVRIMLESLGNYVIKSLSLDKSFISLGINGEIENYMSTISHRIP -PSYPSNNNDIGLILESGLKYTLSRFLDKAYSDKNIKKGNIVIFPEMNDAENICIYGIGREIFSILCQNDP -IRKTQMNMIREMKGLLIEIGRTDVCTKWLQEKYKIMVISSEVRHAIKNMPYKYEKPKITNAWGKEYSCPV -DSIELSGRSDKDQLTVILPPKIKNPLISSVRTAMIATGSHYKIRSILNKFNIPVQDAICAGDGSGGIGAL -ILRLFPTSRIIFNSLLDLTGEDLRGCKPSPPSAISCTIDDESRCVNLRSCWEKPSDLSKIDTWKYFSFLR -KHHKMKINMMTFDMQVVEEDIQLAIDDNFIRYGIPLLEDKCIVIYKMYVHRLLSEVNFAEKVWPYFERVH -LARTSLSSSHTSEIYLIMCDIRRTYKDSNIDWYDCKDWIKNSYCYKTCQEELDRARGFLGKDLSVGVPTH -LLSDPTSDLVNCFQSLGVTNRVSLIIGKTLKTNLGSSQYNVSISSCNILIYNVNNPDNPMRYVPSNTDVE -NIAAIFCGFGLYVSYITGDFKLYQFIIWVINTYFWLWYDSGLYSLRKQRYYKTVRLNQKISPIQQICRAL -IRINNKSDTEQKKNKNFFYHLFRTDFDEESIDSKIQLFNSINDFDRPDYVTSLEDSNKEEESMTYVN - ->YP_009362266.1 polymerase [Klamath virus] -MEPLTIELDDFWSPLDNPDDEDPDWDNARKGRPITVKNLDYNLNSPLLRDELDAFISFSYGRNYPPIFYQ -KGWEVSRHVVLREGLLPFVSPEKFHPWVGRYLLVEEVPSSLARKILLLTEAHAKITHVIPEIFFKGLNLP -AKPFLTRLNIDSVLDLFAKFLDFHFLTLFLNHFGEDLTPLQSLIPFVVETTDEGMIYALETATVGKVWCT -QCHILFPSGVLMNRNLVLMVKDTLLARFQTIMTMYPRHDRKFNLEDVMVLQRIYRLGDQMLVKMGNTAYD -HLKMLEPICNYRLTQLAREYRPRIPVFPAFGDYIEDTIRELARDNDLMRTFYMNIIGLSKVDLVIQIFGC -FRHWGHPYIDYFEGLEKLHEQVTMEKEIDDQLAQSLASDLAYLVLKSQFKKTKKWFVDLGQLTPRHPFYN -QIRDNTWPTPKAIEDFGDNWHRLPLVPCFEIPDLTDPSLIYSDKSHSIYRSELIQHIRKNTNQRFPTRRV -LNTFLETPARDWKAFLKTIDEEGLPDNALCIGLRPKERELKRVGRFFALMSWELREYFVFTEFLIKEHYI -PLFHGLTMADDMTGVIRKLLESSNGQGLDTYESITISNHLDYSKWNNHQRYESNCHVFKVMGQFLGYPNL -ISRTHEFFKRSLIYFINRPDLMMVRGDTLVNRGAAMVCWNGQAGGLEGLRQKGWSILNLLLILRLGRLRN -TEIKVLAQGDNQVLNMHYKLPTHRSDQELDDCIGEVVRNNQYIMMEVDRWATRLGLIINRDETMQSADFL -IYGKVPIFRGNVTIPESKRWARVNCVTNDQLPTFANIISTVSSTSLTVSHFSNSFLDAIELYNYLGNLSR -LLLETFNPILGSPLAILPEFRAHLGTLGYLVSALYLDPSLGGICGMSLTRFLIRNFPDPVTEGLSFWKLI -SENTTDISLRRLALKFGNPNLARYKPDDLIKLMEKPESLNIPNSIAAQIVIRSEIREILRRNVKKIKNNI -IADAVLYGMQAESHLLRFLGTIKPLFPRFLAEFKAGTYLGLTESLVGLYENSKTIRNRFLGEREHEIDFL -IIKSEKSGISHLMSYSQNYHLDLPWACSASRADQLRRESWGDRVIGATIPHPVELLRVPQNVKGLCAQCT -AGKKDYLTTFVKIQIEHGVWSRGPYLPYLGSRTSETTSLLTPWEKETIIPLIKRAARLRNSINWFVQPDS -NLGRSILNNLQALTGEDPGSFNRGFLRTGSAIHRFSSSRQSAGGFSALSPAILSRFLTTTDTLSDLGSEN -YDFMFQSLILFAQSSIVDRFPESGSYVVHHHLGCRSCLRTIEEPILDAGIEYRPIDVSRRIRLWIPSQGP -ILETKVALELSLGDWDKVDPMAKSFHVGRAIGFVFADAVYSGSKLVEESSLFPNSIRMKLHPRPFYEGLL -DGLLRGCSIHITHRRNVATLKRPRETLIGSLYYVTGQLSNNAQFINLIRDGPLHNYLLSKSHRTPPSYPL -SKWDLGGIFRHVLKEMNLRTGHQGKRDFGTNVWVFADLVGVEIAGPLVLSTSILGLISRISFTTADANKL -REFKSLEISLRNKEASPLVLLHTKSVLLCPSEVRHAVKSIVISPDLYPLPKYTFGREAIGKVYGFRLLYE -LSGEKQTSIEMSVPRMFCPLISGLRVVQLATGAHYKVRSILHRYSISFRDFLCAGDGSGGLTSMCLRLNP -DCRGIFNSLLVLDGYDLRGSRPSLPSAVCALGSMARRCVNYESCWEEPSDLTMQSTWDSFQHLRLQHKLK -IDLMIFDMENRDDQSRQIETLLSTNTSAILERGGSIIFKTYVHRLLSADTHQWLGSLSRKFEDVYLNQTE -FTSTFSSEVYILFHRFCDPVLGIRGIDLESLKTYLQGTMVFKDSDYEFKRALEVAKQDLLSGVPSELLPN -PEIELSTGLEIAGVESGRSAVLARFFLDPRIRLEDKYWTIKVLLFDAVVGLSETHKVKPAIPSDNKLLKM -ISFLIGLEYWWSLCTREQRLFNFWNQCLSSDTQVSFTVSETSKGFVLSAFVGVSRGVVKKRLRLQGLSAP -IGAAIRACYRSVGAHHCQPESLNWKYILQFNRGLTLKRVRERSNITDYYRTYSVDRTLKVSSEIVNSSKK -TDSSLVD - ->YP_009362238.1 polymerase [Iriri virus] -MDQFETFDLYDQDFLSSDPEASSLDDRQDNKQLLFNQDYNLNSPILNDSRLDFLMILKDLRGENRSLIAL -NKREESRLESDLNQLIQLGAITEDSRRKIIQDNQSELLTVQKAHGFSFAFNAQISEHKSAQMYISMVEDS -LKQSLELKSIGETYLQDAMLYPDVSEFKREQFFVCHGGIFLLYHALVLAMNSRSEEEGRDALKWNPDILS -AKTLSSPSGHDVKSAPTWVIKSETVGVMVLWSEFVLFLDQHLLMNKNQLMMYKDTLLARCQSWISILIDG -GKEMEHRLSTLKSLYKLGDKIISQMGTDGYDLIKMIEPLSVSRLQELSDSLKPLFPPFDDFKNHVTEKCM -LFDSNAHHLGSDLKDFIFRQDQFETLLDTFSSFRHWGHPFIHYEEGLKKLKEQVRLEKQIDTEYVECLAS -DLAFKILKSKFSEDKKWYVDASKMDKKNLLYQFVEENTWPNQTVIDRFGDNWHRLPLTQCFEIPELLDPA -QIYSDKSHSGTREEVLDYLAKERRGPIRTKKVLKTMLETPERDWKAFFDQIDKYGFAENSLIIGLKGKER -ELKIIGRYFALLTWDLRDYFVSTEYLIKRFFVPYFSGLTMADDLLGVIKKMLSNAQGQGRNDYEYITIAD -HIDYEKWNNHQRDESNKSIFRVMDQFLGYNNLISRTHEVFQRSWIYYAGRSDVLERRGNKIVDNTGKNCF -FWEGQAGGLEGLRQKGWTTVSFLVVERESRKRNTLVKVLAQGDNQIVTTHFKTRKCRTEGELSECLMEIR -RNNDILMKAIVDGTNKLGLIINDDETVKSTDFLIYGKVPIIRSQMKGLSIKRWSRVNCVTNDQLPSLGSV -LSSATTNALTTAHFSPSPLNSVKNHLFFCNLGLNLIKQFNPALGRGMDDKSESYKNSFENNLGRAILIYL -DPSLGGRCGTNLGRFLIRMFPDPITEGLSFWRILGERTESRGLKRLAAEIGNPELKRRETKDLEKLLEDP -TSLNYKKVPSIQGVLKNEVKSSLLRSSHNIKNNIMKLSLRFHAEEETRFIKWLLSIKPLFPKFLSECYGA -SFHGLVKSLIGLFANSKSIRNICRVDYKGNLSRIVQNFEEDILRSTSDLVRRAERSHRSLWACSSTLADE -LRRRSWGDEVIGMTIPHPSELLESPRRSDECQNCQGNAGTTYLTLLCPEGIPQKKTVRGPFEPYLGSTTS -EGTSILTPWEKETSVPLIRKAEKLRNCISWFVRPDGNVSKSILNNLQALTGENWDQDLHGYQRTGSALHR -FGCSRVSSGGFCASSPENPSWVVMTSDTLGSINERNYDFMYQSLFIYSQAKLFLNSKSAEPGIWHAHLKC -QDCLREIPEIFLESDFEFCFRDVHHFLEKWVPRIKDTITERSRDVNLISEDWTCIKIQKKSYYVGKIMGY -LYGDRILNKVNSVEESSLYPLSIRNKLDPPDYLKGICHGVMIAAGLSILLRRNVIKGKRIDQALMGSSSW -VINELAKSEKFIHFLSGSTILKYLIRLPHKPIASYPPNSVELGYMFRTKCLKIIERLINDPSSHESDKKL -FRNIWIFSDIQDGRIIGSLVIGSLMNLKTLRIHKKTETDWMRQIQKMYVSLMEKTGTDLHPMLGIVLNSL -NIYRTESEIRHCMKEEPDQVLLESPYDFEGEEAYGYVLKRDLEDLLLSPESQSEEEDDPPAPKIQNPLIS -SLRLVQLSTGAHYKLRSLIKTQGIDFQDFMCLGDGSGGMTSCLLRLSKTSRGIFNSLLDLSTTPLRGMKP -GPPSAVLELGGEADRCVNHLTCWEHPSNLCYSSTWRYFDSIIYKSELDINLIVIDAEFREVEMARKIEDN -LISFLSRWNKRTTVIYKTYYDRVDERKSFLMSKLSIFKKINFCVTEFTSSGSSEIYLLLEFDPSRTLNYR -TEDFQKIRRELRQICFCFKSPLEEFKRGLKIAREKDLLSGVPARILPDGLEELISVMTYYGVESGHSMKL -AGDMRKHQDRDASWLSVIFALASNKHLNWSHYPETPRWCSDGEAKKILCFYVSALFVLSIWTEDPSYYLW -GEQFMKAGGNIQYSDRKVKITPGKTRHDVKGLYLDDKMSLIGGTIRCLFLCFGREARRGSARSHDSLICN -YNAGCRSKNMGRKLELPKTFWD - ->YP_009362228.1 polymerase [Ord River virus] -MDLLENDSFNDLEFDADLINNLEDDLDEFEDNFLQDCLSEEDRMEFLNNNDYNLNSPIILDHIIELVKFI -QGKSYNNIYYRPNWLIFKDLFKKGKIDQEIIYINKDYLHVKWFGFLKSPIFLPENKYAKIVRESLKHQSH -IIEFLQIWFRKWGLSDKLDNCNLWENYNRLLSREYLWWSEIFYDSHIMTLHMNCSGKKEARNLVKLYKST -PLLNKDNKLIGFIWLSHTMGEVLILSDYVLCNALGSIWDRPFLLMIKDITMSRVQSLTSMVFSLDPVYSD -SKIRNLIDLYTYGDDFLFKVGNIGYDGLKLLEPICNLQLCRLAREYRPLIPEFPEFGQHVWRSVNEKSMN -TFELFEIYRLVTDEKELDMVLNYYSVFRHWGHPNIEYFEGLQKLHDQVNMEKQIDDDYAQKLASDFAYKI -LKKHYFEKKKWFVDVSKLTKKHPLFKHITNSTWPNQFEIEDFGDKWHQLPITKIFDIPDLIDPSLIYSDK -SHSMNRDEVIDFVIRNPGKPIPTRRVLQTMLDRPATDWKSFLQKINDFGLDITALIIGLKAKEREMKRIG -RFFSLMSWELREYFVFTEYLIKEYFVPLFHGLTMADDLQSVIKKMLENSQGQGSNDYEFVSIANHIDYEK -WNNHQRKESNFYVFRVMGQCFGLPNLFTRTHEFFERSIIYYPQRADLLTVEGDTLVNNSEFLVCWNGQKG -GLEGLRQKGWSVLNYLVIERESKIRNTRVKVLAQGDNQTISTFYHLQSHFDNAELADQLDHICKNNKAIM -NAIEQGTTKLGLIINRDETMVSADYLNYGKVPIFRGVIRGLHLKRWSRVNCVTNDQVPSLSNSLASCATN -ALTVSHYSDEPLNSIYLHGLFGNITITLLMEYNPALRTSIRFALKDPDLIDHIWFRILLLYLDPSLGGVS -GTSLTRFLIRMFPDPLTESLSFWKFISENCSDPNLQNLCAVIGNPAIMDFQIEHLDKLIENPTSINLIRG -ISAANLIKNEVKNNLIESIPRVSNQIIKHALEYTRDEEQMILQWVRSIRPLFPRFLSEMVNSTYYGITTS -LIGLFQNSKTIRSQFRKKYHKRIDDVVFKSEIIGISSLLKIIKISVSTPTKIWKCSSTQADYLRKISWGQ -DILGMTIPHPLEMLGTPDNLTNLCSMCRSKDILSDYIAVMIPKGFSLVSIQKGPYPPYLGSKTSETTSII -QPWEKETNIPLIRRASKLRNAISWFIEPGSNLAKSIMENIKSLTGEDWGEGLKGFKRTGSALHRYSCSRV -SNGGFCASSPSKFMWMICTTDTMINLTGKNYDFMFQSLLIYSQSTAGVVWGKRAEPVNMHFHIKCPDCIR -EIGEPILESDWVLKLPSVSHILNAWRPDSDKSWGEEKMQLEVPIRNWEKVNPGEKTYHVGFIMGFIFTDM -LLSHSKHVSDSSLFPLGISRKLVPKAWFDGLIMGIQKSCSLQISHRRNLLELKKPRVVQWGSAYFTIEKI -CESPGFLGMVRDGPLLNEIISVPHKVPSSYPLNNFDLGLLSRNYLKTKLFNIFDNPNSIRKYDTIWTFAD -LQSHEIIGSLALSHIAYNLIMSTKKNKNFQNQVRLIQNAYISIKNGDWENIDQKRALRHVSVCDQEVRHS -CKFDIAPFVLESQEKTCQWGEEFIGDVIIAEVEFTHELETKNNDIVFARRFCPLISGLRTFQMATGSHYK -LRTIIKKLDIKWSFALVCGDGSGGVTSYLCRSNPFGEVVFNSLLELDGIDFKGSHPSPPPAITALGNIKN -RCINYHNCWQSPSDLSLKETWNYFAQISLDKNKKYDLIIVEADISDPKTLRNTRELLSQFVKIYLNENGS -LICRCFLENIWTGLDNINELLMRSFKDIKWVQTSLSSNFTQETYVIFQKLNNYPDNRYINWKNLSVKILD -FYCFQTNKKEFDRSLLIGKSNLIAGVPNDLMVAPNVELSSLLVKIGLETGFAVSIIKSWIRNLNKNAVNY -VLAVNSLIFESIVPVTRKSKKRLSPPSNQSLELILGFFFGSYLWITLNVKSLDMFNWLTDKLCGHVDFYL -SYHLKESKKDIKYCLSWSLKNPDSNDMIWKRIKLHRQSTLIGNVIRCWQRMYSVDERYISEEKIDRILSF -YNKGLHSKILQSNSSIFL - ->YP_009362218.1 polymerase [Sripur virus] -MEEYDYEEIYDNSAEIDDVDLLFADGILDSFELPKDLLSLSKYDYNLDSPIIIDKIVELVKYLKGEAVIP -LFYNPSFEYYKEVFCKERIQVKDLSDPFKPQLLIYNYLHEPVSITAEFRKLIENTKLDTLCLSEVINSFY -KGLGDDQPISFLLSPTPEITSYGLKFLELHKLVIMMNNCNCSRGPEIAKLFKSQFLPDTKHKLVRCEKHY -FKFQSSSIHNVIITSDFLYSLTYNIIISREHLLMIKDMCLARFNCLMELSMNKRNSSDFLNWLNAGDLCL -KAYGDSSYKIFKLIEPICNSLMSDYGSNIIPQFPKFTEFSDFINNELYDKIRVYPLSRDFFDKTFTGNLD -DTLFKYGAFRIFGHPVIDYFEGLEDLYKLTHEEKLIDHEFINTLASDLAFIVLDKKYKEDNIWYVDKSQI -KKSDPMYMYIMSNTWPPADKIQKYGNRWNKLPLIRCYDLPEFIDPSELYSDKSHSIQLTALLEHIQFNNN -VPIPTKRVLETMLKKENTNWIKFLQEVDEKGFEKDDLVIGLRAKEREMKNKGRFFALMSWKLREYFVVTE -YLIKKHFIPLFQGLTMADDLNTVIDKMIQSTSGHDKEDCKRLVTVCNHLDYEKWNNNQRGESNNPVFKVM -GQFLGFPNLITRTHEIFENSLIYFVNRPDLMTVRNGTVENKTEKRVCWNGQSGGLEGLRQKGWTITSMLM -LLRIPRKRNTLIRTLAQGDNQVVITTYRPRVARTETEKLEIYQEIKDNNEKIMKEVDRSAVRMGLRIKKE -ECMQSIGYLNYGKIIIINGILYPLLSKRVSRMSALSNDQLPTMANILSTVGSNILTISHFSINIKEVMLR -FCWYISFFRRVWEIYSCILGRSIKKDLPKNNVDHKYYIIKTAFCDPSLGGICGISLNRFLIRGFPDGVTE -ALSFWKLVYENTEHRWLKELCVIFGNPKLNIFQPQHFRKLLEQPDSLNLPGGMTPALLLRDKILEGMENQ -LSEIKNDMIVRSIQYKRDEEDRVLNWLSSLEPWYPKFQSEFYNSTFLGIVESQLSLFQNARTIRNMMRKK -IEIEFNLILITSEIDNIKSVLTKPQCLGSIWRCSNEQADHLRMTGWGNKIYGVTVTHPSEMLGVINLGNH -CCDICATMDTPYITVLVPKGFPQNMDQRGQYPSYLGSKTSESTALINPWEKETKIPLIKRASHLRVALGW -FVDSDSKIAKSIFNNLESLTGEDWSQGQLESYQRTGTAAHRYGCSRQSSGGYCAQNPIISSHMITTTDTL -GEWSAKNYDVMFQSVILYCQFTTFVKYSNITEGFSVHHHFKCQYCPSEIEEKKITSKTDLYLPDVSDIIK -SWLPKDIQFAEKNKILNLPTIDFTTLTQWELNYQIGLTTGYLFGSSILSSKEIYDPTALFPLTIKNKINP -LNYCKGLVRGLIIVSSIHIVVRKILSNTGSIKMILEGILSTLILKLCSDRSFLSITRDSNLGFYFETFPH -KIPGSYPQNSHDLGLICKCALNNMMRDSLRSCYSSRSNLKRRLFIFPETNDPVLISSISIGEAIACELTR -SDPPNRKSVGILKGLKELYINSINKNVPVEWITQQQNVYVINSEIRYILKHNPYQQQDKFDVLKWGTEFT -SKIDYIIMSGTTQHTKLSLKTIPKIKNPTISSMRSAQLATGTHYKIRSILKTFKPLVKDGICGGDGSGGI -GALMIRLYPFCRIIFNSLLDITNDDLKGSKPSTPSAIRAMGIDSERCVNLDSVWQSPSDLSQRTTWDYFL -RCKKTFAMKINFIILDMQVVEDDIQNNIDRLFVEYSPRLLQDKCFILYKVYLDRLIKEDNVVNKMWNIYD -KVTLVRTELSSSHTSEVYVYAEDLNRKPKNYLPDWDDCKKWLELQFCYRDNDKEFSRAIQFARKDMLIGV -PISLTNKIKDEIVSILQILGITSRVSVTIGDIIERNEKYYLECLVFLKVTATYFSLKRNILNHRPSNTEV -ENCLLLLCGCLLAESILIRKIELNNLVLNIINRYGWIYLDLDSAAWTIEKPFNNGITKTVRIDSRLSIIQ -HISRCVFRLAINQGEPPLRTEIINRMLKQMVRAWDIESMSKTSGCFPARNISVPSYLVSEDPEVDEACYT -SRD - ->YP_009362209.1 polymerase [Gray Lodge virus] -MENLWEPEASEDLLFEDDRDILEFVDESLFNEELDPMEFLNQFDYNLNSPIINDHLEELEKYLRNELYNK -IFEKPTWSFIKQARHDTNWQLNLSRASWKYHEYIFSILCGRLTRHQGRFKIFLSQVLSASQKTGAILSIF -LREWAGHQFDPKEHYKIELLDLLSPEVESWGELFLNTHLMILHMNATGQREKENLKKVFKSQLIKKTGKN -SKDSSFFMMYLSFLGKVAIFEGYAIMLDIGLILDRNMILMLKDICVSRTQVIISILYNDEAIAVKKQRID -SLINLYKIGDQFLKLTGNLGYDGIKLIEPICNLQLCKLARQYRPLIPEFPEFEAHVRRSIQEKSMGSTLL -YELFQIVEKEKSLKQVLTYYSVFRHWGHPDIDYDEGLEKLHAQTTMEKTVDDSYAQALGSDLAYKVLRKM -YFEKKKWFVDLDQMEPNHPLRTHVMNNTWPNQYQIENFGDHWHELPITKIWELPDLVDPAIIYADKSHSM -DRSEVLEWIRARPNQPIPSRRVLQTLLIKKETDWVSFLQEINDKGLSLEDLVIGLKAKEREMKRIGRFFS -LMSWRLREYFVYTEYLIKEFFVPLFKGLTMADDLQEVVKKMIENVSGQGLDDYSFISIANHIDYEKWNNH -QRFESNRYVFRVMGLCFGLENLFLRTHEFFQKALIYYNGRPDLMQVIGDEVINTTQIRVCWNGQAGGLEG -LRQKGWSILNLLVIERESKIRNTLIKVLAQGDNQTISTCYELALCYDQEEVVKEILKIVKNNEAVMSAIR -KGTEKLGLIINNDETMVSADYLNYGKVPIFRGIIRGLDEKRWARVNFGNNDQVPSLGSLLSSVSTNALTV -SHFSPDPVCAMILHNLFANSTFEILKIYNPALRNSLQYVIKDLDLLLSDEFRILLIYLDPSLGGIGGTSL -TRFLIRAFPDAVCESLTFWKIIHDGIQEHNSLKNLTVQVGYPKLADFQVEHLSKLIENPTSLNIVRGISA -TNLLKNEVKKNLVESRGRIENNIIRSSLDYLHQNEEEIMAWVFHVKPLFPRFLSEFINSTYFGITKSIVG -LFQNSRTIRTQFRRKYARGIDDVICRSEIAGICSLLSIVKDSKIEMRNIWKCSADHADHLRRISWGADVL -GTTVPHPAEMIRNIDNLHNDCSGCRTGDNTYLSVLVPKGLQSAEFQKGPYHPYLGSRTSETTSLIQPWEK -ETTIPLLKRAVRMRNAISWFVHPDSKLSNTILANLFALTGEHWEGRQSGFKRTGSALHRFTCSRQSNGGF -SASAPTNLTWMICTTDTMEGLSSKNYDFMFQSLIIYTQATVGVRWKKNAEPLNAHFHLSCKSCLREIDEP -WLESEWELKFPQVSHIISAWRPNPDAPWGKEKVKLEILQGSWEAVRDLEKAYHIGHIIGFVYTDMLLSHS -QHVNDSSLFPLGIRDKLSPKEFFIGLFIGLERAASLHLIHRRNLIEMKKPRIAQWGLSFYIIEELSKNTA -FLGFIRDGPLHNELISTPHKIPSSYPLNNIDLGSISRTYLKTLLAHWYNGDLKLDLSKRVWLFSDFQTHE -LMGSISLSITTLKMIMGHKTKQFQDTVRKIQETYINIKNDQWDLLNIPELCCNLISSPQELRHAVKYGIE -TPVTVLKAQGWEPEFVGKCIEYPIRYDVVDKRPFSKTLIPRRTFPLISSLRLNQLATGAHYKIRTIMIHH -GIRWDFALCGGDGSGGISAYLCRSNPTGRVVFNSLIMLDGVDLKGSHPNPPSAIIALGVEQTRCINLHTA -WENPSDLSKRSTWNYFASISIKQKEKFDLMVFDMEIVDDEMIGRIENLLKEFSLQLLRDGGTVIFKTYIH -RLLYNTSIIDLIGPQFESVSANQTTLSSSYTSEVYVVFQKFRPGIFPTLYPDKMELANELEGCFCYSNHS -KELKRARDLLTADLYKGVPPELISDPILDLSTTLVILGLESGYAMSIAKSGPRYFRDPSSYLIALTVLIA -EANLNTTRAIKNLSVPSNHECSNILSGVVALWTWIATQTNNLALYSECYEMLNQPCMISFGVRIKKDKTF -TKWSTSERLIVTKDLRIANKTAIIGQLLRLYFKTFKNCECRPDQRRINNILNFYNKSLRFSHIVSNTNIM -RFLEHF - ->YP_009362200.1 polymerase [Keuraliba virus] -MDPDDPLSFDETAEDYDYLSEFLSAEEYISKADKFEYKNLNIQDYNLNSPLIADDIYGYKRHLLKEPYPI -VFKKKEWDDRDLCFKTLKCDYNKLKEPNELHKWWSQLILKEYHGNNRIKKLLLEAWEDHLETSVVYTTFL -KHWVNDIRELKIESLPLEDDRIMKWGEYFLHLHDMVMMLNASDEDEKESLNRWMNSKILRKNGKLVGIRV -DNFLGTCYLAGGAVLLLDHNVLIDRGFLLMMKDLCVARFNTLLGLTLRVDDTFSTEDVNSLIRLYSTGDK -VLQEAGSQGYKVIKLLEPCCNLVFCIKAREYRPLIPEFPSFQNHVNDAFQEVAKFSTYALQFKEALMQAR -TVELLTVFYGSFRHWGHPFIDYLEGLKALNEQVNMEKDIDDEYAQALGSDLAFLVLKKKFSEKKRWFVDK -DKMPLDHPFRDHVLNNTWPTPKQIEDFGDKWHLLPLVKCFDIPDVIDPSLLYSDKSHSMDLDEIKEHLQT -KKNEPIPTRKVLQTLLNRPATNWPEFLQRINDNGLSPNSLVIGLKPKEREVKEKGRFFSLMSWELREYFV -ITEYLIKTHFVPLFSGLTMADDLNTILSKLLDRTQGQGGEDYENICIANHIDYEKWNNHKRYASTRYVFR -VMGQFLGYPQLIEMSHLIFENCLIYFNDRPDLMEIVNGFIRSIIGYLVCWQGQKGGLEGLRQKGWTVVDL -LMIAREARIRNTLVKTLAQGDNQVVCTIYKLNYSPTLQDLIRNLENICRNNEIIMEAIKKGTVKLGLIIN -EDETMQSADYLNYGKIPIFRGRILNLFTKRLSRIMCTSNDQILSFGNIMTTVSTNALTISHFDESPVHAM -HYYSFFGNFTRLVLERHNPILRGPPKQKIQSKFFDRSYKIASLYLDPSLGGVCGMAPTRFLTRGFPDPVS -ESLSFWKFLYAHTDSQWVQDLVRKFGEPPITTADKGGLSKLLEKPSSLNIPKGLSITNLLRTEIKKSLQA -SIPEIKNEVISHALDYLNHEEEKLMGFLGSIKPLFPRFLSEFRASTFVGIVDGLVGLFQNSRTIRRSFSK -KMQRDINMLTYKSEISTYELLTRFNKMMPGNIWRCSSERADQLRRMSWGEPVLGTTIPHPLEMFGKGCKR -VGGSCPKCENGTGEGDFITTLAPLGLNQYKLKRGPYPAYLGSKTSETTSIIHPWEKETNIGLIKKSLKLR -NSIHWFVNPASNLASSILNVSLGLTGEDWSGSMGGFKRTGSALHRFGCSRQSAGGYAAVNPCKLSWVLST -TDTFSIIGDKNFDFMFQPSILCAQLNTIELFDDHVGSLTVHHHLSCRSCLREIEEPILDSSFIYHHPDMS -QILSKWKPENSSWGERKDRYILKQVKASDFTHRELSYQIGRSTGFLFGDMLLGENKHVDDNSIFPLSLQQ -KVFPDMYLNGLMDGLMKATGISIIHRRSVAKLSRPKPALIGGMMHCIDNLCKNVNFINLVRKGPLYSFLT -SQPHKVPASYPVNDSDLGIISRSFLKREFSHIEKTSSNYKPTFFRVCIFSDMASPELIGPYMLSTKVLPL -LFKTQINEEDGKKLRAYRDESVSVRECDNDIVHQINPKSAVQCVEEIRHAAKEFKGVEWKSKARLQWGKE -ITGRIVECRVEYTTDDNLPSISALPQFRCPLISGLRIFQMATGAHYKLRTMIKELGIKYHDFLCGGDGSG -GMTSSLLRLNWRSRCIFNSLIEYSGVSVRGAQPGGPPAIEALGPDKTRCVNFHSVWEDPTDLSDAACWDN -FLKIKKDHNLKIDLIVLDMEVRDNKIADLIEDNLEDQGLRLITRHGTIIYKTYLTRLFLQPSCNILTKVG -KYFKRVKLIQTSFTSSRSSEVYVLMESLKLRAGVDKYVRWTKLECDVQKFALFKSEEEELRRADYLKCLN -MLEGVPPELIPDLEIEMSSVLSSMGLDDGVSVQLARHVIILGKHDPGTMLWVLLGVGGNGIISMTSEHVS -QFEVPSDSACINMISLIIGCCYWLSHQMSDSRLFKYCNHINDNYIVMQFFKVKCVRLNKKKRLKSVSFRV -DWRIAKEGRIKKKVMLSSKQALIGAWIRLLRRACRPTYDFSPIPEKIWESFNKKITWDNIFERTGLGDIV -IDMNPGFDNGTLILDQPSEVVPSYVD - ->YP_009362194.1 polymerase [Nkolbisson virus] -MDCFDFDDPCDLEINDDEDFVLENEEDFYSKLFSFDELNNTDYSLNSPLIIDDVYSYWKKGRGEDHITVT -KSKAWVDRDIITESAEINWSMIHSPHNNHSWWGNFNQLTIQSTPKVDRLLEQTASDHEVTHDVIRAFFKG -WTGEIMENMCEEIRSVPIQIKKWGELAMHCHRLTILLNSKKSSEWNVLLKRKGKKVFTDAMGKTIGIRFK -DSIFGMIVIGGGLVIFEDHNRVLDRNFLLMIKDVYIARYQTTLGLYLRKTKDYDINDVTYLNELYRKGDE -VLEYNGSSSYDVFKLLEPCCNLRFCELARKERPLVPEFESFKHHITTAMDEVKDHLGSHEFLADILKVEA -LKMVVVVYGSFRHWGHPYIDYLEGLVKLHNQVTVQKDIDHDYANSLASDLAYIVLRRKFQEYKKWFVVES -QVDKNHPFKVHIINNTWPTPKQVEDFGDKWHELPLCKCFEIPDVVDPSNLYSDKSHSMTRTEVLDFIRSG -KSGPIPTLKVLTTLLNTPATDWPEFLKQIDTEGLDVEYLIIGLKAKEREIKRHGRFFSLMSWALREYFVI -TEYLIKTHYVPLFSGLTMADDLTTVISKLLDRTQGQGGADYSNVCIANHIDYEKWNNHQRLESTGPVFKV -MGQFLGYPNLIYRTHEFFQKSIIYYNGRPDLMEVVNGQIRSKEGFTVCWDGQAGGLEGLRQKGWSILSLL -VIQRESKIRNTRIKVLAQGDNQVICTQYRLRDISTPVGLNQSLQEVVQNNEAIMRAIKEGTNKLGLIINE -DETMQSADYLNYGKIPIFRGRILNLFSKRLSRVMCTTNDQLPTMANVMGTVSTNALTISHFDDSPLNAIY -YYDILGNMTRRMIEQHNIILRGPVKSVMRNFNPNSKAYRILSLYQDPSLGGVCGTSLTRFLTRMFPDPVT -EGLSFWKKVYENTVEPDLAEICKHAGNPKLSTQSEGGFNKLMENPTSLNIPKGLSLTNLLKDEIKKCLLR -EASSIRNHIIRVAAEYCLQEEDRLMLFLESIDPLFPRFLSEFRSATFLGITDGLIGLFQNSRTIRSSFSR -RMERDINQLVIKSEIGTYLSLSSELEVGRMWDCSATQADLLRRLSWGREVLGTTIPHPIEMFGGACSTQG -GCQYCEDGKTDDFVTTLAPLGFASVHVRKGPYPAYLGSKTSESTSILQPWEKETSIPIIKRAAKMRNAIN -WFVDKDSNLGRSILSVLKGLTGEDWDTVSDGYKRTGSALHRFSCSRMSAGGYAATAPTRLSWMVTTTDTL -TIVGSKNFDFMFQPTILFAQISVAERYKDFQGSCTVHHHLSCNGCLREISEPILDTFKEYEHPDVSHILE -KWKPEDSSWSKKKELFDLPVLPIDNMDSFEISYQVGRAEGFLFGDMALSENKHMDDSSIFPLTLQQKLNP -EPYFDGLLDGLLRSVSISIIHRRSVAQMKKPRPTLIGGMIHVIDLLCEKPPFLNLIRIGPLHNYLLKDPH -KVPASYPISDADMGSIVRSWLKRRFFDMEQRNMIYSPRILRIVVFADMTSPEVIGPYLLSSKITPLLFSP -VLSKQSVTNLRGLRGLSSQVRDVFEQDCSVFKDVDGFVCREEIRHASKHIYRGHQSEKLLNWGPEFIGEV -TSIELEKSSTEVRPKNQDYGQYRCPLMSGLRLAQLATGAHYKIRTLVARLGIRYHDFICGGDGSGGMTAA -LLRWNRHSRGLYNSLLEYDKSSSRGAKPGAPPAIVGCTGLESRCLNISNVWEDPSDLSADSTWVKMGEYV -KEYRMRIDLIVLDMEVRSEEMSSAIEGRVAEYGLSYLQENGVLIYKSYLTRMSADGLPVVEQLKNYFRQT -LICQTSLSSSRTSEVYVVFRGKLVKPRRTYFDWILFQDKARNFSCNSSEQDEFDRCLRLEPKDLLKGVPQ -ELIPDWEVELCTLLGILGVSDGISSQLAREIKFAPWNRKINSIWAMLVVGSNFIMDTTSEHTGDISIPSD -PSCEKMATLELGFFYWYCWLKRDLNLFKYCNKVNDGRSVYCFSRKAIIRNKEVMTHLEWNHKGPGQIRKG -VNTVNIQAGIGKIVRLLARELDCNQILEWNIVELICKRFNKSLSKHQIKRKTGLLEFFRCVEHYQGDSRQ -LKTLDTEAECSWRS - ->YP_009362189.1 polymerase [Joinjakaka virus] -MLKNKYKYKTTMDYYFEEEEQSYQNWAESYEIEDEITDEGLGAQDDDGPSMELLNQYDYNLNSPIINDNI -YYLSHWIHGFDVPNIFLIKSWDVVKELINKGKIMTKIWESKDHPHKFLFSWMVKNKFLSTRFKSLVESST -QFATQTLEIPESFFKHCKLAKQGLDYFSLLDNKISEEMWGYGEIFWDFHLLTLIMNKTGHKELVQLKENF -GGQLVKLSNNIFGFKIKSLFFGDVIIVEQFMVSLNHGFIFDRNLILMMKDLTISRFQTLCSMALNIETPF -QVTKLEDLVNLYSLGDQIISRRGNSGYTGLKLIEPMCNLQLCKLAHKYRPLLNLSQDFERHVNDSVLEED -DEFGTLSQMYHQILAENEVEMVLIYYSIFRHWGHPHIEYIEGLRKLHEQVTMEKNIDDQYAQQLASDLAF -KMLKGRFFEKKKWFVNKALIDKNNILYKHIMNDTWPNPHSINQFGDNWHKLPIIQMFDIPDFIEPSTIYS -DKAHSMSRSEVLEHVRTNPDKPIPTRRVLQTLLKEEATNWGEFLRQINDIGLDLDDLVIGLKPKEREQKI -IGRFFSLMSWKLREYFVCTEYLIKTFFVPRFKGLTMADDLQEVMKKMLTNSNGQGLDDYSYVSIANHIDY -QKWNNHQRYESNCHVFKVMGQCFGLPNLFTRTHEFFQKSLIYYASRPDLMLVEGDSLKPKNNSELVCWDG -QAGGFEGLRQKGWSVLNCLVIERESKVRNTSIKILAQGDNQTISTMYKLQTSQDERELISELDHIVKNNE -AVMRAIRRGTERLGLLINEDETMISGDYLNYGKVPIFRGSVKGLHAKRWSRVNFSTNDQIPSLASNISSV -STNALMVGHLSGNAINPIFLHNFFANLSIEIMMQWNPALRNKPSKLIKDSNILVDWRFRALLIFLDPSLG -GIGGTSLTRFLIRMFPDPVCESLSFWKTVYNNTTNIELKELIPKIGLPALEDFQPEHLDKLIESPESLNL -KRGVSITNLMKTEIKKSIIANSSLINNKIIRDAVEYLADEEGSLFAWARNVTPLFPRFLSEFMECTYYGI -TKSVIGLFQNSKTIRNQFKKKYPVRLDEVVLKSEIIGLASLIKIVKSKERPYMWECSSKLADTLREISWG -TKVLGTTIPHPIELLGPPQNLNNYCDSCRKNDSQMDDHLTVMIPKGLLRCSYQRGPYTPYLGSSTSETTS -LMQPWEKETKLPLLKRAAKIRNAISWFIDPNSNLAKSIMANLEALTGIDWSGSTPGFKRTGSAIHRFTTS -RVSSGGYSAMSPGVLSWMICTTDTLCNLTGKNYDFMFQSLIVLSQALMSMVWWENPYPVNGHFHINCLSC -IREIQEPTLESQWVLPVRKVDHLLKTWIPSDPNSWSKEKVKLTFKNANWETLPIGNKVFHIGQNMGFLYV -DMLLSHNKHTEDSSLFPLGLGPKLIPSEFFLGLLNGIERGCSLQLAHRRNIIELKKPKQALWGSIYYALE -CLSMNVSFLGLLRQNNLLNEVMSIPHKIPSSYPLNNIDLGAIGRNYLKSLMFQSVRSRKLTTREKTIWLF -ADTQAHENAGSTVLSVLAHKELIEGKKPKLVQQNIKRLQELYIKIKNDEWPMEIVELIKEHVQSSDSEVR -HAVKEMVQVEVDSESRELNWGEEASFQVHNYYVAYTELPMPKVIMTVPRRQCPLISGLRLFQYATGAHYK -IRSILKNFKIDRVHALIGGDGSGGISSYVCRAHPLNKVIFNSLLELEGIDFKGSHPSPPQAIFELGPTKD -RCVNWKTVWSKPSDLSLPITWDYFEEEVANNKMQINLEIFDMEVRDDEMIDKIETLVVTRGIGLLSVKGT -LIFKTYYSRLMGSNRKNILDKMRSLFKEVWMCQTDVSSTFTSEVYLVFYELLDTPLADRYVDYEVLGNYW -ENIFCFWSDTDEFKRALTMKKQNMLCGVPKKILANPSIELSTLLVCVGLESGLAVSIAQSVENYVMKRQG -LNFAVSLMGLVFESTLPVASKTILSLYHLPSNNILEEVFSFLIGVYYWISYTIESKDLFSWCNWVIHDGL -NVKLLKPKDVRVKRTNVPVYQASWRLYLRIGEETIMSKNIKFKSRQALIGQTIRILEKIFGNQNHQPISD -CHINSILIPYNKGLSLKILYDHTDIMFFLLNCN - ->YP_009362180.1 polymerase [La Joya virus] -MDTLENDDAFFQDYEHDFDLDGLDMFGEFEESFVQDFLSEEDQMEFLNTNDYNLNSPIILEHVTELTKFL -LGHSYNPLYYRPSWDFIKKLNLKQGLGYQPQIQDLDYAHHKLFGFLLSPATGFTSTFFKILRDSLSAHSL -NKEILNLFFKHWGGNNILDSHTAWDDYNRLGTKWFAWWSEIYYETHVITLHLNCSGKKEAKNLTSLYHTL -QIIHDNKVLGFQLSLPILGQIIILGEYVICPRMACIWDRPFLLMIKDLTMSRTQSILSMTFSLEPRYTDA -EIEKMTQVYVTGDNYLLNTGNLGYDGIKLLEPICNLKLCELARSYRPKIPEFPEFRGHVEKSVKDKSLGQ -HELLDIFHMVMEEPSLEMVLNFYSIFRHWGHPNIEYQEGLRKLHEQVTMDKNIDDSYAQSLASDLAYKIL -KKCYFEKKKWFVDKNQMSGNHKFYKHVINSTWPNQFEIEDFGDNWHLLPITKIYDIPDLVDPSLIYSDKS -HSMNRTEVLNFLRTSPGKTIPTRRVLRTMLTKPATDWNRFLKRVNDEGLDMDSLIIGLKAKEREMKRIGR -FFSLMSWELREYFVFTEYLIKEYFVPLFHGLTMADDLQSVIKKMLENSQGQGRNDYEYVSIANHIDYEKW -NNHQRKESNCHVFRVMGQCFGLPNLFLRTHEFFEKSLIYYPQRPDLMCPSGDTLVNLTDILVSWDGQAGG -LEGLRQKGWSVLNYLVIERESRIRNTRVKVLAQGDNQTISTFYHLQPSFDDQELKEQLTNITKNNKAIMQ -AIESGTTKLGLIINQDETMVSADYLNYGKVPIFRGVIRGLHLKRWSRVNCVTNDQVPSLSNSLASCATNA -LTVSHYSPDPINAMYLHNIFGNITISFLLEYDPALRTSPKKVIRDSELLDSPHFRSLLLYLDPSIGGVSG -TSLTRFLIRMFPDPLTESLSFWKFIHDHSRNPLLSNLASSAGNPELMEFSIEHLDKLIENPSGINLTRGI -SATNLIKNEVKQNLIRSTSQISNEIIRHALEYTRDEESALLQWARTIKPLFPRFLSEMVNSTYYGITTSL -IGLFQNSKTIRTQFRKRYHKRIDDVIFKSEIIGLSSLFRIIKVPTSCPQRIWSCSSTHADYLREKSWGQK -ILGMTIPHPLELLSKPGNMTNFCAFCSVPSAYSDYISVLVPKGFNLSGHTKGPYPPYLGSKTSESTSIIQ -PWEKETNIPLIKRAAKLRHAISWFINPESNLAKSILGNLVSLTGEEWNQSLEGFRRTGSALHRYSCSRVS -NGGYCASSPSRFMWMICTTDTMDSLSGKNFDFMFQSLLIYSQATTSTRWGPKDSPAHLHFHIGCSSCIRE -IGEPILDCDWVLNLPKVSHILNAWRPDPTAKWGEVKTTLVIQKRDWQKLGPSQKSYQVGHIMGFLFTDML -LSHSKHVSDSSLFPLGISRKLIPKAWYDGLLMGIQRSCSLQIVHRRNLLELKKPRVVQWGSSYFVIEKIC -DSPGFLGLIRDGPLFEELLSIPHKIPSSYPLNNHDLGLLARDYMKTKLFATFEQTTVPGSEVVWSFADLQ -SHEILGSLGLSLKSYQMIMAIKKDKSFKDHIRLIQTSYISVKNGVWDELDMTKILDNISVCDQEVRHACK -FDIGPFLTESPKNALTWGPETYADLMIIPFHYTHEPTEKPTIHFPRRFAPLVSGLRLFQMATGSHYKVRS -ILKQLGIKWSFALVGGDGSGGVSGYLCRSNPFGEVVFNSLLELDGIDFKGSHPSPPPAIAALGSDSVRCI -NLTTCWEHPSDLTRQETWDYLGKFTNTKSKQFDLIIIEADIPDPDKIRSLSTHLHTFTLTHLNPAGTLIV -RSFLPHVYNEYNNLLETLGRHFISVDMYQTGYTSNFSFEVYIVFRKMFHGPPILRYIDWSSFQLGVAKSF -GYGTIHTEFERSKKVGKLNHLNGVPVDLILDPQVDLSNLLVRVGLESGFAVSIAKAWKRYKAGDGVNYVL -AVTALVSESIVTTTRLALHKPNPPSNSDLENLFSFIYGVRLWMALVLDSEEIFGSTVHILSTSADIYISF -KPRVSSKNPKPSFETKWKFTHINSENLIHKRVRLHRKSSLIGSIIRCFVMMFGTDPCQMNREKITTILQY -YNKGLSAKKIEESTDLLTL - ->YP_009362166.1 polymerase [Itacaiunas virus] -MDLLEDNSWYLEREDPSEDWDEVEEIWDNTSENKTRDDLNFMHNCDYNLNSPILLDPFLDLFLLSRGDEI -QQRTIYFNQVESEHYSRLLKWIKENSNTLLFAQHPSPDSVVSNIHKTLINNPKFLPLISEAEELLKVGSD -FSDQISPFLSKFEGLLGGEFPRDSIVADQDVLVTTGRFLLSHSLVCIANSVSMEERKTICKKFGLQDRMN -LIWKDNALKEDDGKQNTRFWSMIVREPCVGNIMVTRDFVILLDSKTVLIKNHLLMVKDVFLARFQSMISI -YIDRPFSPERLENLKMIYKKGDQLIQTLGNKGYDGVKLLEPIALDKISRLADAVKPLFPPFLDFRDHVER -EVKNFGSRDLVDLYEHIQRIKSPYLVLDAYSVFRHFGHPIIEYQEGLKKLHDQVTLEKEIDVNYSECLGS -DLAYKVLKKKFDEDKIWYVEKDLVPNRHPLYPFIRDNTWPPSSVVDNLGDIWAALPLKKCFEIPDIINPS -QIYSDKSHSGTRTEVLDWVSRKRQGPVPTKKVLETMLKTPTRNWKEFLDKIDREGLSPEHLIIGLKPKER -EIKRIGRYFALLSWELRDYFVVTEWLIKQHFVTLFKGLTMADDYVGVIKKMMNSSQGQGSKDYQRITIAN -HIDYEKWNNHQRKESNDGVFKVMGRFLGYPNLISRTHEFFQNSWVYYAGRSDVLGSRNGSLVDLSGKGIY -FWNGQKGGLEGLRQKGWTTVSYLIIEREAKRRNTAVRVLAQGDNQVITPQYRTQKYRNENELIENLKNIR -KNNDEIIGHIIEGTRKLGLLINNDETVRSTDFLIYGKIPIYHSQILGLPLKRWSRVNCVNNDELPTFSSV -VSSVTTNSLTVAHFSPEPTNAIRNHLFFGNLGLNLLFKYSPSLRGSMRDRFPSSDWEDEEVVRMILLYLD -PSLGGQTGTNLNRYFIRMFPDPITESLTFWRRIAVNSPSDSKFRRLACFAGDPELKQFEPRDFEKLIEDP -AGLNFKRPTSINNILRSEIKKALIKGVGSVKNEVMRESLHYHASEEDRLLRWLMTIQPLFPRFISEFYSS -TFHGVVKSLISLFCNSKSIRRVCKNSFKGGLEDIQRRNEIESMKSAIVLKKKIVSNMRCPEIWPCSSSKA -DDLRKRSWGQTVTGMTVPHPAELLGEIEFSHSCSTCLRKGESSHRPEFVTIMAPYGIGDPLTKGPFSPYL -GSHTSEGTSLVTPWEKESTIPIIRKAERLRNSISWFVKEGGEIALSILDNLYALTGEDWSGSIKGFQRTG -SAHHRFSCSRVSGGGYTACSPSGCSWMMLTSDTMGRLNEVNLDFMYQSLFVYAQGKLVFEKKNSGNPGVR -HVHVACKSCIREITEIRLESSSPFSFRPVFHFLNKWVPNMKDAYKTAPRINLEEGPWDVVDPGLKIFFVS -KAMGFLYGSRIVNQIESVDETSLYPLGIVKRLIPECFFWGLSSGLLCSASLGVLHRRTVLEGVKPEQALL -GCYSWIVDELVKVDKFLGFISQPSLLIHLFGRRHRPICSYPPSISETSRLLKPYLKELALSLISREAVDR -NLSKSVKGDVWVFSDISDFKIVSPYILGNWAFSVFKKSKDNQKKKNDLKEIQGILIKSTTGELGHSINWG -ELTPFSVYSCRSEIRHSLIDIPAYKEKEMAYDFGPDECFGEVWTRKLHWTGDQAERSSLNVPKKQDPMIS -SMRLAQLSTGAHYKLRSILRGLNIKYKDFICGGDGSGGLTSALLRYNRKSRGVFNSLMDLDNVNLRGSKP -GPPPALLELGSEKDRCVNLMSVWEEPSDLSTKRAWDNFSILTTRYGLNVDLICVDAEVREDSVSDQIELN -LMRFISSWIDRCTVIYKSYVGRLLKPSPIISDGSKLFSSVTLSTSEFSSSFTSEVYLVCTFKRGIPGLAS -VGFPDLKRMESDLKEISLIFRSHEEEFERALKLKRKDMLIGIPKRVLPDPFQELSGCLISCGLISGQAMD -LTRKLPNLKKEGISASSAILALVSDAHIHWSSKIKKRIWLNDSQVRKILSLYVSVLICHSIWGNCSNTAA -RYQSILDRGGFVTSDENGKFKIGARAESGCQFGKVLFLDDCMSDVGGIARSFFLCFGFSSEPLSCQVFDR -VTGDYNKGCGYKRFVEKIMFD - ->YP_009362159.1 polymerase [Barur virus] -MDDEEFTPFGGETFFDASEDLEETETDQETYKFDQLNKTDYSLNSPLIVDDVQSYVAKRKGLPHELHTSS -YSWDVRDRYLDFHRHDLGSVHDPSLNHCWWGRVNQYPVDQTPLMDRLLLDTAVDHRETHPVIKSFFSGWL -GEDTEDLSQEIIGAPREIRRYGELALILHYLVIALNSVTISELENIRRKFRLKMTTENNIIIGARLNLPV -FGRTHVFGGLIWFEDQNIVIDRNFALMMKDTYFGRFQTLFGMHCRKVNPHPVEELHELLDIYEAGDFLLE -MNGSDGYDVIKLLEPCCNLRFCEKAREERPLVPEFESFKHHINNSKDDVKHMYGASVFLNLILQIQSLSL -LTVVYGSFRHWGHPYIDYMTGLKKLHDQVNMPKIIDGDYAEALASDLAYLVLKKKFSEHKKWFVDDNAVP -RNHMLFPHIRNNTWPTPKQIEDFGDKWHTLPLIKCFEIPDVIDPSNLYSDKSHSIGRSEVIDYVRSGKCG -PIPTRKVLDTLLHTPSTNWPEFLEKVDREGLDEEDLVIGLKAKEREVKKYGRFFALMSWALREYFVITEY -LIKTHYVPLFSGLTMADDLTTVISKLLDRTQGQGGLDYDNICIANHIDYEKWNNHQRLESTGPVFKVMGQ -FLGYPNLIWRTHEFFEKSLVYYNGRPDLMEVHNGELSPKDHSMVCWQGQKGGLEGQRQKGWSILSLLVIQ -RESLIRNTKVKVLAQGDNQVICTQYKLRDTHDTTSTLKCLDEVVKNNDIIMKAIKAGTNKLGLIINEDET -MQSADYLNYGKIPIYRGRILNLYTKRLSRVMCTTNDQLPTMSNIMATVSTNTLTIAHFDETPINAIYYFD -ILSNMTRNILESHNVILGGRVKDFLPSRFLKRRSYKILMNYLDPSLGGACGTSLARFLTRMFPDPVTEGL -SFWKCVWANTTDALLSDVAKHAGNPRLSTLHDGGFEKLLENPSSLNIPKGLSLTNVLKEEIKKCLLKEAP -NLRNEIVRIAAEHCLMEEDRLMGFLESVDPLFPRFLSEFRSATFFGITDSLIGLFQNARTIRSIFSKKLE -RDLSHLTQKSEMESYRAVACELTEGRMWDCSAEHADLLRRISWGRPVLGATVPHPLEMFSKSVRVDRGCP -LCIEGQDDDFIVTIAPLGLSSYNKRKGPYPAYLGSKTSESTSIIQPWERETNVPVIKRASRMRTAINWFV -ESGSNLCVSILSILKGLTGEDWEQKTEGFRRTGSALHRFSCSRTSAGGYAALAPTLLSWMITTTDTFETI -GSDNYDFMFQPSILYGQVYTACKYKDQGGGITVHHHLGCQLCLRKIEEPTLDSSRLYEHPDVSHILAKWK -PDNTQWGQNKEIYKLTEVEGKDISPFELSFQIGRAEGFLFGDMLLSENKHMDDSSLFPLTLQYKLNPKAF -YEGLLDGLLRAVSLTIIHRRSVAQMRRPRPTLVGGMIHCIDAITQRPPFLNMIRDGPLHYYLMSEPHRIP -ASYPISDSDMGSIVRSWLKRTFFRLEQKTVNYSPLVNRLCIFADMTVPEIIGPLVLSSEILPLLFHVTLN -KNQLSDLRELRDLSAVVRDIYNPARAQIGSKNAILCKEEIRHALKYNYKKTESNPPLIWGTEYLSYINYF -QLLPQSKPTTVVNPIVGQHRCPLISGLRVGQLATGAHYKIRTIVARFGLNYRDFLCGGDGSGGMTAALLR -FNQTARGIFNSLLEYEKSSSRGSKPGPPPAITACTHVINRCVNYLTTWSEPSDLSKESTWENFSFHVQRN -FLKIDLMVFDMEVREFSMSTNIENLIESRGLEILELNGNLVYKTYLTRHMDGKMPVIERLSKYFHSTYVT -QTGLSSSRTSEVYIVFLRKRDRALYQPYDWNHFWLSSQKFAALCSEKDEFERALKVNPKELAEGIPDVLF -PDLEVELCTLLGILGVHDGTSSQLARELKFVPNGLKASVLWAILLVSLNNIFDVTSEHTSIIGIPSDPSC -EKAVTLLLGFFYWFSWLTKDLPLFKYLNKINDDQCPISFNRLHIQRGNKVGHVLSWSCSRKGQVAKSVNT -ASIQAGIGKIIRLFSQSTYEKQSINWKLVWAITHRFNKSLNSTLIKERTGIWEVWNRCRIYEGSVKHLKT -SSEDEHTSWRS - ->YP_009362154.1 polymerase [Rochambeau virus] -MEPEELDNNWNFDHDPYWENDVDPSSEISANKENAKLLFNQDYNLNSPILNDTRSDFLNILESTWGYSGK -IIALNKEEEESLRADLQEILKLEIYTKKEWKELICSNSSESKTVSLCHQLFFLVDRNRKMDNLKSTYKSM -LERALKHTLETKEIGETFFRQPFKFPKLEMLTGDDKLLEWGGWFVLLHTFTLAMNCRSEQEFREAIGWNK -DIKRWKQVRDQERTIRIIGQNKEHSRPGRFLDEGILLETTSLGPIIMWREFLFLIRDKLLLNKNQVMMYK -DTLLARSQSLLSIMIDGGEEGDVRSGTLKRLYKLGDDIITNMGTEGYNLIKLLEPMSVSRIQEISDEIKP -LFPPFEDFRNHVEAKSKEFDKDESKWGSRLYSLVRSQISFDILLDIFSAFRHWGHPFIDYKDGLRKLKEQ -VRLEKDIDEDYAEALASDLAFKILKSKFLEDKIWYVDIEKLDKNNLMYQFVEENTWPNQTIIDKFGDQWH -LLPLTRCFEVPELFDPAQIYSDKSHSGTREEVLDYLARDRRGPIRTKKVLKTMLETPERDWKAFFEKIDK -EGFPDNSLIIGLKGKERELKIMGRYFALLTWDLRDYFVATEFLIKKFIVPYFGGLTMADDLLGVIKKMLS -NAHGQGRPDYDFITVANHIDYEKWNNHQRDESNKSIFRVMDNFLGYNNLISRTHEIFQKSWIYYAGRSDV -LERRGNKIVDISPEGCFFWEGQAGGLEGLRQKGWTAVSFLVVERESRKRNTLVKVLAQGDNQIVTTHFKT -RKTRTSGELVESLIEIRRNNDILMQSIVNGTNRLGLIINDDETVKSTDFLIYGKVPIIRSQMKGLPIKRW -SRVNCVTNDQLPSMGSVLSSATTNALTTCHFSPNPVNSVKNHLFFSNLGIELVCFYNPALGKSMICEENY -FKDSILDPLGRIILIYLDPSLGGRCGTNLNRFMIRMFPDPITEGLSFWKDVGERSDKISIRNLAAEIGRP -ELKRREVKDLEKLIEDPTSLNFKKTPSIQGILKNEVKRSLLRKSYMINNNIMKLSLRFHEEEEERYIRWL -LSIRPLFPKFLSECYGASFHGMVKSLIGLFSNSKSIRNVCKEDYRGDLFKIVMNFELDILKSTAELVNRS -RRSHGRLWTCSSELADDLRRRSWGEEIVGMTIPHPTELLCLPYRSETCRDCSATEGTVYLTALCPQGIQR -GKGAKGPFEPYLGSNTSEGTSVLTPWEKETSIPLIRKAMKLRNCISWFVKEKGNVSNAILNNLRALTGDE -WDQDLSGYQRTGSALHRFGCSRVSSGGFCASSPELPSWIVMTSDTLGSLNETNHDFMYQSLFIYFQALLM -VDVETSAPGIWHCHIKCRSCIREIPELFLESEYPFEFKDVHHFLEKWVPNMRDTFSEKARTVMVDSRDWD -IIHRENKSYYVGKVLGYLYGDKILNKGQSAEESSLYPLSIRLKLNPQKYLLGICDGVLISSSLSILLRRN -VIKGKRIDQALMGSSSWVMNELVRQEKFLHFLSGSSVLGYLLRLPHKPIASYPPNGVELGYMFRTQAIKL -IESTITQGRKEKKRLNNLESIWIFSDVRDNKIVGSMIIGSLVVMKIVSSSKKRDIGWMKQMQQAYINILD -KQKETLLPVVGEMVRSLHIYGTDSEIRHSMKEEPEFEAFEMDYDFGEEEESGYVVSRDLDDILVSGETGE -TDEIKAPKIQNPLISSLRLAQIATGAHYKLRSILTEKRIEYADFMCLGDGSGGMTSCLLRFNRLSRGLFN -SLLDLSSTPLRGMKPGPPSAVLELGSEAKRCVNLNTCWENPNNLCYGVTWRYFEREVQRKALNVTLIVID -AEFRDETMAKNIEENLILFVLRSGKAATIIYKSYFDRLKEKSCELLRRNALFKKVQFCVTEFTSSGSSEV -YLVVEYDPGKSIIQRQYTQSTVSASLKKICYCFKTGADEFRRALNLVKTKEMLKGVPLRLVPRGMEELVS -IMTFYGVESGHAMKLAGEMRGHGRDKENWLSTIFSLASNKHLNWSHYPSKPTWCSDGEARKILCLYVSAL -FVQSIWEEKFQLYVLGEEFLRAGGNIRFDGQSIEIKTGRTRHDVKGLYLDDKMALLGGTIRAFFMIFGFE -KRSLSPKEHDLKVKDYNSGCRSKIMKSKLKLPHSFWT - ->YP_009362143.1 polymerase [Landjia virus] -MENLDDIGEENDINTEDSSFDDELQIDWDEIVDPMEFLNQYDYNLNSPVINEHLQHLEYYLDKKTYNPIY -YKKVWDTILHVINRQGKLIQNCLKDKAWKYHNWMFNKILGWYKPKSHSIFRLFLNKSVSNAKETGIILQT -FLKVWAKKDVSTDDLYDHTKLDNIPGQFWYWGDLFLSIHILILHMNATGQREKTQLANTFHAKIEQLAHD -SYFEYKSPMLGKVYIFDGYAYLKDDNIILDRNMLLMTKDICVSRFQVALSIAFGRFELTDSIDRMNKLQI -IYEYGDHHMLGNENLGYDGIKLIEPICNLQLCHLARQYRPRIPMFLDFQNHVQKSVAEKSGNTDYLQRMY -HHILTENDLTQLLIYYSIFRHWGHPDIEYLEGLEKLHQQTTCEKNIDHGYAEALASDLAYKILKKKFFEK -KKWFIILEQLPQNHPLRKHIENNTWPNQYQIQEFGDNWHKLPIDKVFDLPDVVDPALIYSDKSHSLNRSD -VLNHIRNNPNKVIPTKRVLQSLLEKPETNWKEFLQQINDFGLDLEDLIIGLKAKEREMKRIGRFFSLMSW -KLREYFVYTEYLIKEHFVPLFKGLTMADDLQEVVKKMLENVSGQGLNDYSFISIANHIDYEKWNNHQRYE -SNCHIFKVMGQCFGLPNLFLRTHEFFQKSLIYYNGRPDLMVVNGDTIDNIDPTRRVVWNGQAGGLEGLRQ -KGWSIVNLLVIERESKIRNTLIKVLAQGDNQTISTCYEMNQVNSEEELDQEIKNIINNNNAVMQAIKSGT -EKLGLIINEDETMVSADYLNYGKVPIFRGIIRGLDAKRWSRVNFGNNDQVPSLGSLLSSVATNSLTVSHF -SDNPINAMVLHNLFANLTIELLKIYNPATRSPLSHHIRDRNILESREFRILLIYLDPSLGGIGGTSLTRF -LIRMFPDPVTESLSFWKLIADNCEDHDIKFLAISCGYPNIEPFEPHHLDKLIENPVGLNISRGISATNLL -KNQVRTNLINNRSRIHNTIIRTSLDYVADEEESLYLWARTIRPLFPRFLSEMVNATYYGITNSIIGLFQN -SRTIRNQFKKKYAKRIDDIVCKSELIGISNLIKIVKGKYHCSQSAWSCSSTRADELRELSWNNKVLGTTV -PHPLEMIQEATNVGEDCRNCRNGSATYLSVLVPKGLSQSKTTKGPYPPYLGSKTSETTSLIQPWEKETNI -PLLKRAVKLRTAISWFVDPSSKLASSILHNLQVLTGEDWGACQKGFKRTGSALHRFTCSRQSNGGFSASS -PTALTWMICTTDTMESINDKNYDFMFQSLIIYSQATTSCIVEGSGQSHNVHYHISCQECLREITEPWLES -EWELQLPNVSHLIKSWRPDPEASWGVEKVPLNLPEGEWDQVSSSDKSYHIGHIIGFLYVDMLLSHSKHVE -DSSLFPLGIRDKLEPRPFMEGIFVGIQRACSLQLIHRRNLIELKKPRIAQWGLAFYAIESLSQSPGFLSF -VRDGPLYVEFMLSPHKLPSSYPLNNIDLGSIARSFMKKMLVDWFSGNYKPDLNKLIWLFADLQSHDIVGS -SALSLQALQLVMTKSKSPHFRTEVRKLQETYINIKNDEWGNVIVPDLCRFILTSDQELRHAVKYGLVSSK -FSKLKKHNWGDEWTGNITKVQVSYDHHPTQSTINQIPRRSYPGVSALRLNQFATGAHYKIRGLIKQLNIK -WNAAICGGDGSGGISAYLCRSNPYGKVLFNSLLIMDGIDFKGSHPSPPSAIISLGSDCERCLNLFDVWKN -PSDLSSEKTWEYFYKEGLKHNVLWDLLVFDMEVTSEEVIDKIEENLTKWSLILLKKGGSIIFKSYVGRLL -SGKGIISKLGEQFTEVFCCQTDVSSSYTSEIYVVFSNLMIDKCPKLYPDLLQLSRHLNNAYCFSNHDKEL -KRAVRLNKENLLEGVPLSLLSDLTVDLSTVLTILGMESGYAMSIAKSWTRYNNTKNINYIIGTVLLICES -NFPISHSTEDVIQVPSNTKVCNILIAVTSLWIWIAIMTENLALYAKTYEMVNEPVSIYFSVAKKTGKKDV -FYPIWNLEPIQNGKRKRIRISSKQSLMAQLIRIWRRMFGCSGGIPNTTHIEKFAQFYNKGLKTKRVLTST -GILNFLTHT - ->YP_009362128.1 polymerase [Manitoba virus] -MFEESDDINDLDHDTSFDSSFDDELQIDWEDIVDPMEFLNQFDYNLNSPIINEHLQELEKYLQKLNFNPI -FYKRSWTNVAQAVANLGLPVNQICKNEDWKYHKWVFQKMLSSYKRDDKPVFKEFIIKSVKNTKETGCVLE -LFLKKWSNLDLKSGEIYDELKLNNLPGQFWFWGDLFLSLHLIVLHMNSTGKKESVSLSQTFKSKIVPVAD -DYYMLHMSPMLGPVMIFEGYCIIIKENIILDRNMILMGKDMCISRFQVALSILYSGLDPATIIDKLNKLQ -STYEYGDRHMWINHNLGYDGIKLIEPICNLRLCELAREFRPKIPEFPDFHRHVLGSIREKSGQGDYLTRL -YHQIMRERELGQVLTYYSIFRHWGHPDIEYLEGLKKLHEQTTCVKDIDDDYAQQLASDLAYKILKKMFFE -KKKWFVNLSQVPPNHLLYEHIRKNTWPNQYQIQQFGDHWHDLPIIKVFDLPDVVDPSLIYSDKSHSLNRS -EVLAHVRDHPNKVIPTKRVLQTLLEKEETNWKNFLQQINDYGLDLDDLVIGLKAKEREMKRIGRYFSLMS -WKLREYFVYTEYLIKEFFVPLFKGLTMADDLQEVIKKMLENVSGQGLSDYSFISIANHIDYEKWNNHQRY -ESNCHIFRIMGECFGLPNLFLRTHEFFQKSLIYYNQRPDLMMCQGDEMFPIHNDQFVCWNGQAGGLEGLR -QKGWSIVNLLVIERESKIRNTLIKVLAQGDNQTISTCYEMNTVHNEEELTQEINNIVRNNAAVMNAIRSG -TEKLGLIINEDETMVSADYLNYGKVPIFRGIIRGLDQKRWSRVNFGNNDQVPSLGSLLSSVATNSLTVSH -FSITPINAMILHNLFANLTIELLKIYNPATRSPLSYYIKDKEWLESRYFRILLIYLDPSIGGVGGTSLTR -FLIRMFPDPVTEALSFWKLIADNTDDPNLRALAINCGNPPIEPFEPEHIDKLIENPVGLNIIRGISASNL -LKNQVRNNLILNRSKVNNIIVRSSLEYVADEEESLYLWARSIRPLFPRFLSEMVNATYYGITNSIIGMFQ -NSRTIRNQFRKKYAKRIDDVVCRSELIGISNLIKICKGSRSQSSFSWQCSATHADKLREQSWGTKVLGTT -VPHPLEMIGKYDNLFGECIDCNSGSATYLSVLVPKGLDQCSDVKGPYPPYLGSKTSETTSLIQPWDKETN -IPLLRRAAKLRTAISWFVEPNSKLAQSILKNLESLTGEDWSACQRGFKRTGSALHRFTCSRQSNGGFSAT -APTTLTWMICTTDTMENINDKNYDFMFQSLIIYSQVTTAVVALGSKLSKNIHYHISCKECLREITEPWLE -SEWVLQTPNVSHLIRSWRPDPNANWGLEKIPLPLLHGDWEKVPEMEKSYHIGHIIGFLYIDMLLSHSKHV -EDSSLFPIGIREKLSPKPFFEGLFMGIQRACALQLIHRRNLIELKKPRIAQWGLSFYAIESISMAPGFLS -FVRDGPLYIDLMMSPHKLPSSYPLNNMDLGSLVRSYLKKMLVDWFTGLYNPDLNKKIWLFADLQSHDVAG -SSGLSLLSLKLVMEKGKGKDFQDKVRSLQEMYVNIKNDGWNLLDVVEVIRNIYTCDQELRHAVKFNIPKG -NIRLKPLIWGNEWKGSVQKYQVRYDNLKIDFQIPKVPRRCHPLVSALRVNQFATGAHYKIRSIINDLNLK -WQYAICGGDGSGGISSYLCRSNPYGKVLFNSLLMMDGIDFKGSHPSPPSAITALRGDKERCINLDNVWKY -PSDLADEQTWIYFKNVAEELKIKWDMIILDMEVVNHEMMSKIENNVRKWGTSILAKNGSIIFKTYIERII -NYEGILDKIGPEFLEVFCCQTEVSSSYTSEVYVVFHYLTLDKVPSLYPDKVDLSAQLSKAYCYQNHKSEF -NRALKLSREDMMIGVPKEIQSDLIVDYSTLLTILGLESGYSVSIAKSWTRYKNPNHINYIIGCTILVMES -NYPTSHSIKEDVLVPSNTKVFNMLSILIGLWSWIALNTGNLALYSKTYDMLNEFVSIYFSIIPTKKQNIK -YPTWSLHPQKGSRMKKLRVNDQQSVIGQLLRIWQRMFQGLPCSPDSTKIEKMIQFYNKGLRANRVLKNTS -LLDFLLYRD - ->YP_009362117.1 polymerase [Marco virus] -MDRVESNNDPLGIESEYYDSYEDEFLLDENIIVKDDIPKEEQMEFLNHFDYNLNSPVILDHVIELKKRIN -KQPYNKVFEKKDVWDNIELLCKKLKMTFPADHENISQIHRQFFRIIRSTPYKPGFFEKILDKTCVAANET -KIVLESFCKGWIGKQLDNPEYHSKIFGFSNDSFRKWGNWFWSFHKLTLLMNGTGPKEMQSLDKTLGSTPV -NNQGVRIGSYLSVPELGDCYIISGYLILPDLNQIWDRNFLLMGKDLMISRVQTIISMLISTSPRYLPNQI -NNLITLYLLGDEFVALAGNLAYDGIKLIEPVCNLRFCQLAHEFRPLIPLPNDFENHVKRSITEKSLGYNL -LSIMFQSILKEKDLNMVLTYYSVFRHWGHPTIEYMEGLEKLHQQVMMEKVIDDEYAQKLASNLAFKILKK -TFFEKKKWFVDITQIPRYHVLYKHFEMNTWPNSHKISQFGSNWHKLPIQKIYEIPDLTDPSLIYSDKSHS -MQRSEILNHIRTHPNTPIPTRRVLQTLLTKPETNWREFLQKINDEGLSRDSLVIGLRAKEREMKRIGRFF -SLMSWELREYFVYTEFLIKEYFVPLFKGLTMADDLQSVINKMLENCSGQGLNDYKIVSIANHIDYEKWNN -HQRFESNQHIFRVMGMCFGLENLFLRSHQFFEESLIYYVGRPDLLAVVGDTLVAREGHVCWNGQKGGLEG -LRQKGWSIVNLLVLEEESKHRNTMMKILAQGDNQTISLFYELNPTDNEGELRKELQNIVSNNNSIMDAIR -TGTGKLGLIINEDETMVSADYLNYGKVPIFRGIIRGLDAKRWSRVNFTTNDQVPNLANTLSSVSTNALTV -SHYSADPMNAMVLHNFYGNFTLQLLDWYNPAVRAPIKEVLIQADLVDSMYYKILMLYLDPSLGGVGGTSL -TRFLIRMFPDPITESLSFWKVVHDHTTDKQLKSICCQIGEPKLEDFSPGHLDKLIESPTSLNLKRGISST -NLLKNEVKINLIKNKHHVANTIIKDALTYVSKNEVSILNWARSIKPLFPRFISEMVSSTYYGITMSLVGL -FQNSRTIRNQFKTTFQKKIDLIIHKSELSGIASVIRILSRGYITRNIWRCSSTLADDLRKKSWAEPVLGT -TIPHPMELIGSAWNLDHNCQICPLGGQRSNYISLMLPKGLGKVLIEKGPYPPYLGSKTSERTSILQPWDK -ESSVPIIRRACKLRNAISWFVEPDSNLAKSILNNLEGLTGEFWGDRISGFKRTGSALHRFTCSRQSNGGF -SASSPTNLTWMICTTDTMTELGDSNYDFMFQSLLIYSQATTGTQLKGSNDTHHLHFHINCTECLREITEP -NLDSGWEMTFPAVDRLLASWRPDPKAKWGEEKVSFNLPTYSWESLSPQEKSFQVGQGIGFIFTDMLHSHS -HHMSDSSLFPLGISGKIIPRDFFSGLLLGINRSCSLALISRRNLLELQKPRIAQWGAVYYAIEQLTASGN -FTTFISGKVMYMELITVPQKVPPSYPLNQNDLGLIARTYLKKKAFDIFNNLSPDLNNKDVWVFSDLQSHE -IIGSIMLGKKAYTLIMCKQKSQTFRKSVGMVQEAYINIKTGEWSDMDVQEVQHEIKTCDSEIRHACKFDI -GPYIKDLSLSTPMVWGSEVSFPLSSIGVSYSKESCYPKTQHYVPQLKMPLVSGLRLFQHATGAHYKIRSI -LSGYKIQWNSALVGGDGSGGISAYLCRSNANGKVVFNSLLELDGVDLKGSHPAPPSAITGLGQIGSRCVN -ADTVWKYPSDLSQWSTWQYFKKCLKEFELIKYDLMIFDMEVTDLSTIEQIEAHVTNIGLTMLSRNGCLIF -KSYYSRIMSDKKCLIDRIGGFFESVLLVQTGCSSSFTSEVYIVCKTYKGNDGQDLYPIYSELWDKRDKIF -CHKANEFEFRRAIQVKQTNMFKGVPREMISDIKVDWSTLLVILGIDTGYAVSLSKESDNFINQGLEYGAL -ITVMTMEATYPTWVYSVEMPGPPSNPKLRKGIGMLVGYYLWASVTMGDMHLFQFCKYILDHGVTIYFGIE -KRTIKTYVRESKSNKDQEAEEIEVYHGTWSFWEFPESTSSKFIKLDRDQALLGQVIRLLQRLSFPMAGSI -NLSKINSELQSYNKGMTIESTKKRSDSLYIIQRQ - ->YP_009362110.1 polymerase [Sena Madureira virus] -MFKPDEFDINETENVYELSDDDLRKCNSKKTDLPSISRYDYNLDSPIIIDKIVSFFDYLHGRKTIQLYND -VEFKKYEIWLKSEKVNVKDIISPKNLSTIYEKMIINDWKVTDDWKSILIQTLKDQDLTEEIFNSFKLYLF -EKQSGVNKTTNLTGNINIMGTKFLFLHKLVILMNNCCCARGKRLGELFGFYFHNLKNHKIPRCEDHYYRG -YHEILEKILITKDFIFFGKTNTIVSRDHILMFKDIILNRMNTFISNHIYEDYDLNDKLSKWLNAGDKCII -NYGNDGYKIIKMIEPICNNIMSEIGNTLIEEFPSFPTFNEFINGEMTTLIREYPISEEFLRLTNSGSLKE -VICKFGCYRFFGHPIINYLKGLEDLYELTHKIKNIDEKFIDKLASDMAYMVLEKKFREDKIWYIDELPKG -HLLYEFRRDQIWPSAYIINTFGDNWHNLPLTKCFEIPEFIDPSELYSDKAHSLQLNELIDHIKNNNKKPI -PTRRVLTTLLHTENINWIDFLKKINDEGFDVNDLIIGLRAKERELKEGGRFFALMSWVLRNYFVVTEYLI -KLHFIPLFEGLTMADDMNMVITKMIGKTAGQDKESCKKIITVANHLDYMKWNSNQRGESNNKIFRVLGEF -LGMPKLIERTHEVFENSFIYFVNRPDLMTVRDGKIVNSTSHKVCWQGQLGGLEGLRQKGWTISSMLMLNR -LPKRRNTMIRTLAQGDNQIVLTTYRPRIWTTENDRKTIYEEIKLNNNEIMKEVSKGAVKMGLEIKKEECM -QSIGFLNYGKVLIIKGVIYPIISKRIARISSISNDQVPTMANILSTVSSCILSISHFSVNIEPILKMYGF -FFPLLRNIWEQYDCILGDTVSDIVPTKSDERREYMIKMMFLDPSLGGVCGMSLNRYLIRSFPDPVTEGLS -FWKIVYEHTEDKMIRDLCISFGRPKLADYKHSHFRKLLENPSSLNLPGAMSPVLVLKEQILLEMIEERHN -FKNKIVKQSIDFYCEQNENIIRWLSDLHPWYPKFISEFYSATFIGIVQSHIGMFQNARTIRNVMKGKIAE -GFDKIMVNSEIDTIKQSISKTGYKGRDIWRCSTERADQLRREGWGRIIYGVTVVHPSEMYGLTGLGNISC -DFCILGEEEFITTIVPKGFPKEMSNRGQYPSYLGSKTSESTSLVNPWEKESKIPLIRRAAHLRVAIGWFT -DPQSYVASAIYENLLKLTGEEWKGNQSESFGRTGTSQHRYGCSRQSQGGYCAQNPVLSSHMITTTDTMGE -IAKINCDFMYQASMLYCQFLTYERYKNCENHITVHHHIRCKGCIREIEEVEITAAREIVLPDVSDTIKSW -LPPNCNFTEVKSLIEVSKIDVDDLKVEVLHVNAGKCVGFLYSQGKFERLKTYDESALFPLSLKGKINPIM -FCRGIIIGVVYSCVLFLISRRMLNNKGSVKIMIESLGNYILKSLSTDKAFISLSLNGEIEFYLSTIPHKI -PPSYPSNNNDLGLILESGLKLILTRYLDKIYLDKDIKRQSIIVYPEMNDAESISSYGIGREILNILCKND -PPRKTQMNKIREMKSLMLEIGKSDTCSNWLQEKYKITVISSEVRHALKLHPHKYEKPMESLNWGNEYKGE -IDYIPLSGTTKEDHLVINQPPRIRNPLLSSIRTGMLATGSHYKIRTILKHFHLDVRDALCGGDGSGGICA -MIMRTYTNSRTIFNSLMDIAGEDLRGCKPSPPSAIVSASKDPTRCVNLYTCWEKPSDLSKDETWSYFLHL -KKNHNLSINLMTFDMQVTEEEIQDDIDANFIKYGLPILENTCLVIYKTYIHRLLKPNNFIDKIWPHFENV -YLARTNLSSSHTSEIYILMKNIQTININSRVDWGDCQRWIQNSYCYKSCREELDRGRTLIGQDLDVGIPT -QLLSDPAQDLVNCFQSLGITNRVSLIIGKTIKSNIKSSQINVCTSACNILIYNVNNPDNTLRYVPSNTDV -ENIGTLFCGFGLYISLMMNDFRLYQVVIWIINTYFWVWYDRGLLSLRSAKYQKTVKLNQRISPIQHICRS -LLRMCNKYEDKQKKSKDFFYHLFRSDFNEEFIDNKIQFFNSVNNFVRPEYVITLDECKEEISELTYMD - ->YP_009362086.1 polymerase [Morreton vesiculovirus] -MDVNDFEIDDQVTFDEEDYVTQEFLNPDERMTYLNHADYNLNSPLISDDIDNLLRRYNSMPVPKMWENKP -WEGVLEMLTSCQANPLPSTKIHNWMGRWLMSDDHDTSQGYSFLHEVDKEAELTFDIVETFLKGWGGCVIK -FQKKEGFHDAFKVVASLCQKFLDLHKLTLILNAVSQDELNNLSRTFKGKNRTANSGNIITRLRVPSLGPA -FVTLGWVYLKKLDLLFDRNVLLMIKDVIIGRMQTILSMIGRNDALFSEQDIFTLLTIYRIGDRIVERLGN -QAYDLIKMVEPICNLKLMNLAREYRPLVPKFPHFEQHILQSVQEAKKIDNGISYLHDQILSLQSVDLTLV -VYGSFRHWGHPFIDYYAGLEKLHKQVTMEKTIDSSYANALASDLARTVLQNQFNEHKKWFVDEGLISADH -PFKNHILENTWPTAAQVQDFGDKWHHLPLIKCFEIPDLLDPSIIYSDKSHSMNKSEVLRHVRLNPTTPIP -SRKVLQTMLDTKATDWKEFLQNIDEHGLDEDDLVIGLKGKERELKLAGRFFSLMSWRLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMLDSSSGQGLDNYEAICIANHIDYEKWNNHQRKESNGPVFRVMGQFLG -YPSLIERTHEFFEKSLIYYNGRPDLMRVSNNTLVNATNQRVCWQGQAGGLEGLRQKGWSILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKSRNDLELQSALNQMVLNNEHIMNAIKAGTGRLGLVINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAENPINAMIQYNYFG -NFARLLLMMHDPALRKSLYEVQSSIPGLHSITFKYAMLYLDPSIGGVSGMSLSRFLIRAFPDPVTESLSF -WKFIHDHTKEDHLKEISAVFGNPDIARFRLTHIDKLVEDPTSLNIAMGMSPANLLKTEVKKCLIESRQSI -KNQVIKDATIYLYHEEDKLRSFLWSINPLFPRFLSEFKSGTFLGVADDLISLFQNSRTIRNSFRRKYHRE -LDDLIIKSEVSSLIHLGKLQLRRGAYRIWSCSSTQADTLRYKSWGRTVIGTTVPHPLEMLGPHSKKEGPC -VACNASGFNYVSVYCPSGINNVFLSRGPLPAYLGSKTSESTSILQPWERESKVPLIKRATRLRDAISWFV -DPNSNLAKTILDNIHALTGEEWSKKQHGFKRTGSALHRFSTSRMSHGGFASQSTAALTRLMATTDTMRDL -GDQNYDFLFQATLLYAQITTTVVRNGYLSSCTDHYHITCRSCLRTIEEVTLDSTMDYSPPDVSHVLKTWR -NGEGSWGQEIKQIYPVEGDWKTLSPAEQSYQVGRCIGFLYGDLAYRKSSHADDSSLFPLSIQNRIRGRGF -LKGLLDGLMRASCCQVIHRRSLAHLKRPANAVYGGLIYLIDKISASAPFLSLTRSGPLRSELETVPHKIP -TSYPTSNRDMGIIVRNYFKYQCRQIEKGKYKTHYTQLWLFSDVLSIDFLGPLSISTILMTLLYKQSLSAR -DKNELRELANLSSLLRSGEGWEEVHVKFFSRDILLCPEEIRHACKFGIAKEINTESYYPPWEKEVTGPIT -IHPIYYTTVPHPKILDSPPRVQNPLLSGLRLGQLPTGAHYKIRSIIRGLKIHFKDVLCCGDGSGGMTAAL -LRESRHSRAIFNSLLELSGSIMRGASPEPPSALETLGDDKRRCVNGSTCWEHPSDLSDTKTWDYFLQLKN -GLGLQLDLIVMDMEVRDPIISQKIEYNVRHYMHRLLDQNGVLIYKTYGTYLQSITQNILTIAGPLFRSVD -LVQTEFSSSQTSEVYCVCQGLKNMIDEPHVDWSLLRDRWNQLYAFQTEEQEFIRAKKMCQRDTLTGIPAQ -FIPDPFVNLETILQIFGVPTGVAHSAALTASSHPNELVTVSLFFMTIISYYNLNHLRKSPSVPPPPSDGI -AQNVGVSFVGISLWLSLIELDLKLYKRSLRAIRTSFPIRLETVRVLDGYKLRWHVHGLGIPKDCRISDSL -AAIGNWIRALELIRNQSNQKPFCERLFNQLCRLVDYHLKWSTLRDQTGVRDWLTGHVSINDKSILITRSD -VHDENSWRS - ->YP_009513007.1 polymerase [Jurona vesiculovirus] -MDLESEQWGDPLSEENFISGQVPKEDRVRNLNSVDYNLNSPLISDDIKYLISRYHGKAVPGIWKKKNWNQ -TLDDLQKLQFSPIQVERMHHWYGEWLLSNPINIEQGKAFLADVDKESEITYCVVESFLKGWTGTSCHFKI -KDGPHMDYVSALCQKFLDLHKLTLLMNASTHTEESSLANTFKIKKYSEDRTVKLPTLGKVKISGQFLLLI -TQSIILDRNFLLMMKDVIIGRMQTILSMINRSDERFSSTDITDLRALYATGDNILRELGNQGFDLIKTIE -PICNLKLSEMAREYRPLIPDFPHFREHVVTTVKELSGLNTRVQTLFDNIMGLKNLEVVLVVYSSFRHWGH -PFIDYIEGLKKLHHQVTLEKDIDEDYAKALASDLARIVLTKEFNEKKRWSVDYDKLPANHVFKEHVRDNT -WPTPALIQDFGDKWHELPLVQCFDIPDLIDPSIIYSDKSHSMNKREVMNHIKSKSDQPIPSKKVLKTMIE -EPATNWLEFLEMIDKHGLDDDDLIIGLKGKERELKIAGRFFSLMSWKLREYFVITEYLIKTHFVPLFYGL -TMADDMTSVIKKMLESSSGQGLNDYSAISIANHIDYEKWNNHQRRTSNEPVFKVMGQFLGYPNLISRTHE -FFEKSLIYYNGRPDLMRVRNGVVENSSDTRVCWNGQAGGLEGLRQKGWSILNLLVIQRESKIRNTSVKVL -AQGDNQVICTQYKTKQHRSEIELRGALEQMKSNNQTIMDSIERGTNRLGLLINQDETMQSADYLNYGKVP -IFRGVIRGLETKRWSRVTCVTNDQLPTCANLLSSVSTNALTVSHFSVNPINAMIQYNYFSNFSRLLLYMH -DPALRCSLYEAKYRHLQIDSLGFKLAMAYLDPSIGGVCGTALTRFLIRSFPDPVTESLSFWKLIHDNTTN -QTLKNLSIQFGNPKIAQFRPSHIDKLLEDPTSLNIAMGMSPTNLLKTEIKKNLLRTRGSIKNKIVKDAVS -YIHSEDENLRSFLWSINPLFPRFLSEFKSGTFMGVASSVVSLFQNSRTIRNTFKNFLSNQIDDLIIRSEQ -TSLEHLASYVGKHSIKIWVCSASHSDSLRRKSWGRQVLGTTIPHPLEMHGRGHLKNASSICCQHRTLDYI -SVHCPKGLNNVLDSRGDLAAYLGSKTSESTSILQPWEKESKIPLIKRATRLRDAIHWFVEADSNLAKSIT -NNIVSLTGEDWGSSVKGFKRTGSALHRFSTSRMSHGGFAAQSPACLTRMLSTTDTMRDYATDNFDFMFQA -SLIYSQASASVMLYGTSVPNTIHFHTACKGCIRKIEEPWLESPRIYNSKNVSNVLGGWRNGQGAWGSSIQ -QLKPVPGNWDTLSPSEKSYHVGRALGFLYGDLIGQSSSRSEDSSIFPLSIQYKLRGRGFMRGILDGLVRA -SACQVIHRRSIALLVKPANAIYGGLIFLIDRLSNSSSFINLCRDGPIREELRSIPHKIPTSYPTSLMDMG -INVRNYLKYQCKSVELGKYKSDIETLWIFSDLMSVTFSGPFALSSKILKHLYKPTLSKKDRTNLRKLSNF -SQMLRSKECWDGLEQEYLSSKLSVCEEEVRHACKFGIKAVALEIPTHQWGEEESGWVNTLKVELLPYEVP -KNLLNCPRKQNPTISGIRLGQLPTGAHYKIRSILKEKQIKYRDFLCGGDGSGGMTAACLRYNPRSRGIFN -SILEFDGSSMKGSSPDPPSALETVYNGMKRCVNALDCWEYPSDLSLKDTWKYFKILIQEHSLKIDLIVLD -MEVRELDVTEKIENQIRNNIYDILSTNGTLIYKTYGSVISSERSNSLTRLGPLFEDVELLQTEYSSSSTS -ELYFVGRKLKNFVDMKWIDWASLEYGWDKIFCFRDSLDEFKRARSLLFKEHLKGIPRSFLPDPLVNLETL -MQISGIPSGIAHQLCLDFKAHSVSGITASLLIMSLSAQFAIDTTRRVSALIPPSDGRLHKMACCIVGVSL -WTSIKYNDILLNKTCVESINRSFPIRLSWDQGFNQWNTYGPGLPKDIRISDGSAILGNWIRGMELMNLSG -DDFDGREFDRISRKYIRTLSYQHVKRSTGLKNWIRGKVSKEDMSLMRLSSGEIPAEHWVE - ->YP_009512997.1 polymerase [Flanders hapavirus] -MEEENEDFNTLEDEFELSQELDQELEVDWMEAIDSMEFLNQFDYNLNSPIINDHLEEFEKFYQNQSYNPV -FEKNTWKSLRQLLISAKVKLKVDLDDWKYHRYCISLLSRGYRPKDKSLFRTYLTECVKKTQETGIVCKVF -LEFWAKKVFDLNEVYSLDLLNLFPTDVWFWGDLFLSVHLLILHMNSTGGRESLQLQETFKSLIAPCDDGT -NGFLINSLMFGKVLIYGGYAYLFDDQILLDRNMLLMLKDICISRVQVCTAIVFGCKEIENKIRSLNGLVT -LYKIGDQHLLIEGNIGYDGLKLIEPLCNLRLCQLAREFRPRIPEFVDFKQHVLNSVREKQGNSQYLSLFA -NTILNEDDVDQVLVYYSIFRHWGHPDIDYLEGLDKLHLQTTMDKIIDDEYAQTLASDLAYKILKKMFFEK -KKWFVDINQMPDDHPFVHHVKSNTWPNQYQIQEFGDRWHTLPITQIYELPDVLDPSLIYSDKSHSLNRNE -VIEWVRQNPYQPIPTKRVLQTLLEKPETNWVSFLQKINDEGLDLDSLIIGLKAKEREMKRIGRFFSLMSW -ELREYFVYTEYLIKEYFVPLFHGLTMADDLQEVIKKMLENVSGQGLDNYEYISIANHIDYEKWNNHQRYE -SNCHIFKVMGQCFGLPNLFLRSHEFFQKSLIYYNQRPDLMIPLEDRLESIDPNQLVCWNGQAGGLEGLRQ -KGWSIVNLLVIERESKIRNTLVKILAQGDNQTISTCYEMVSTFSIEEEDLEINKIVQNNNAVMKAIRNGT -EKLGLLINDDETMVSADYLNYGKVPIFRGIIRGLDYKRWARVNFGNNDQVPSLGSLLSSVATNALTVSHF -SKNPIEPMFLHNLFANLTIELLNIYNPAVRSPLSKKVREKDWIASKEFRILLIYLDPSLGGIGGTSLTRF -LIRMFPDPVTESLSFWKIIAEQSDIQWLSRLATACGYPTLEEFQPEHLDKLIENPVALNICRGISAVNLL -KNQVRENLIINRSKISNSIIRLSLDYIQQEEITLYAWARSIKPLFPRFLSEMVNSTYYGITNSIVGMFQN -SRTIRNQYRKRYAKRIDDVICVSELIGIASLIKICKRSYNSSDIIWECSSSWADELREKSWGEKVLGTTI -PHPMEMLKNADNLCNYCHGCSNKSASYLSVLIPKGLSHSDQTKGPYPPYLGSKTSETTSLIQPWDRETNI -PLLKRAVRMRNAISWFVDPESKLASSILNNLESLTGEDWTEHNVGFKRTGSALHRFTCARQSNGGFSANS -PTNLTWMICTTDTMEAINDKNYDFMFQSLIVYAQATASVIWDGNPNCANIHFHIQCDSCLREISEPWLES -EWELILPDVHHLLSSWRPNPDAAWGKSKVKIELKEGNWDILSNQTRSRHVGHIMGFIFTDMLLSHSKHVE -DSSIFPIGIRNKLVPNDFFEGLFLGIQRSCALQLIHRRNLLELKKPRVAQWGLGFYAIESICDNQGFINF -LRDGPLYDTIMCSPHKIPSSYPLNNKDLGSIARSYLKNMLVNWFNKKLILNFNQNVWLFADLQSHDITGS -IAISIQALKLVMSTKQTPRFKETVRKIQEIYVNVKNDKWDLINVPELIKNITTCPQELRHAVKTNMEKVL -VSDRKLQWGSEWFGGIYIHPVLYDSLDKVYDPIHVPRRAHPLISALRTHQFATGAHYKIRSIIENLKITW -SYAICGGDGSGGISSYLCRSNPNGKVLFNSLLIMDGINFKGSHPSPPAALVALRGGKNQCINLEDVWKYP -SDLSQENTWAYFRQKGRQVGARWDLIVLDMEVISEEIIEAIEHHVANWGLQLLEPRGSIIFKTYIERLIN -KNSIIDLIGPQFLDVLVCQTEISSSYTSEVYVVFKHLEVANIPKLYPDKQDLSARLSHCFCFSNHDGELR -RARHIQLENLMVGVPPEIISDITVDLGTLLTILGMESGYAVSIAKSWNRYRGKHHINYLLSIALLCSESN -FQTTHGLHKGLNIPSNSALLNMLVCLTSLWIWISLKTNSLSLYSRTYEMMNQPIVVNFGRFLKKDKIYQT -WSLTKKMEKSKTIRLSKVSSQIGGLVRLFQRMFQYDPVIPDDQQIQKILKFYNAGLRPKRLLHSTGMFEF -LHYS - ->YP_009512988.1 polymerase [Curionopolis virus] -MELGECLEFPEHLDLEDSDFFQDQAYSKDQKEDNSKLLFNQDYNLNSPVLNDSREDFLNVFEDPSSQKLP -IISLNRREETFLRTSLNSLKNAKIFGMDEEKKFLSTNRSVNLTVGHCHELFFKDRSILSSVVTNLYPDLL -KSSLEYCLKTKAVGEAYFGQSLEFPSLESFLEKENLIKWGEWFLFLHSLVLGMNCRSEEELSHAFSWTKK -ITSYKVIPSKKGEEGSEGNFLEKGVIINTPFLDGIVLWREFLLIPCKKLLLNKNQVMMYKDTLLARTQSM -ISILIDGGEMMLDRMNNLEKLYQIGDEIIIKMGSDGYDLIKLLEPMSISRIQELSDSIKPLFPKFEEFKT -HVEIKAVGFDQSSGGLSSTLYKFICKQDQFSTLLDTFSSFRHWGHPFINYKEGLKKLKHQVRLEKDIDED -YAESLASDLAFKILKNKFLEDKRWYVDLSKMDSKNIMYQFVEENTWPSQNIIEKFGDNWHRLPLTQCFEV -PELLDPAQIYSDKSHSGTREEVLDFLANNRRGPVKTKKVLQTMLETPERDWRAFFTSIDENGFEPNSLII -GLKGKERELKIIGRYFALLSWDLRDYFVATEYLIKKYIVPYFGGLTMADDLLGVIKKMLSNAQGQGRDDY -EMITIADHIDYEKWNNHQREESNRSIFQVMDKFLGYNNLISRTHQVFQQSWIYYAGRSDMLESRGKRIVD -ISKEGCYFWNGQDGGLEGLRQKGWTVVSFLVVERESRKRNTLVKVLAQGDNQIVTTHYKTRKTRTEGELL -ESLMEIRRNNDILMRSIIEGTNKLGLIINDDETVKSTDFLIYGKVPIIRSQMKGLPIKRWSRVNCVTNDQ -LPSMGSVLSSSTTNALTTCHFSSSPIESVKNHLFFCNIGIELIRRFNPALGKGFDTDSEVYKKAIEDPLG -RIILIYLDPSLGGRCGTNLCRFSIRMFPDPVSEGLSFWKYIGKRCTELRSLAAEIGNPELKRREMKDLEK -LLEDPTSLNFKKIPSIQGVLKNEVKRSLTKQSYKIKNNIMRLSLRFHEEEEERYIKWLLSIKPLFPKFVS -ECYGASFHGLVKSLIGLFSNSKSIRNICKCDYKGDLSRIVRNFEIDILRSTSDLVTKARRSSRSIWACSA -SLADVLRKRSWGDDIVGMTIPHPLELLGRPERSESCSGCKNSDGTVYVTALCPQGIPKKSESRGPFEPYL -GSNTSEGTSILTPWEKETSIPLVRKAMRLRNSISWFIEPGSHVGKAILNNLEALTGEKWDQDLGGYQRTG -SALHRFGCSRVSSGGFCANSPEGPSWIVMTSDTLGTLNEKNHDFMYQSLFIHLQGRLLVEPTKQVPGIWH -SHIECKECIREIQELKLESDFEFEFKPVHHFLEKWIPNMKTAFSEKNRSISIEIKEWDIVNGGSKSYQVG -KVMGYLYGDKILNRTASAEESSLYPLSIRNKLNPGEFTKGVCHGIMIAGSLSILLRRNVIKGKRIDQALM -GSASWIVNELVRQEKFLHFLSGSSVLDYLLRLPHKPIASYPPNGVELGYMFRTRCLKLIERSINSPKDTV -CFSRLLSTIWIFSDIRDNRIVGSLIIGSLVVMKIIKASKKTDTNWMKQMQQAYITLLGSKGEDQNPIVGE -MTNCLSVLGTDSEIRHSMKSEPDWEAMTSEYDFGQEEEYGYVVSRDLDEVLLQKLDAEEESEKAPKIQNP -LISSLRLAQLATGAHYKIRSLIRDQKLKYSDFMCLGDGSGGMTSCLLRENRLSRGIFNSLLDLTSTPLRG -MKPGPPSAVLELGSESCRCVNLLTCWENPSNLCFSSTWRYFDKLIARERLDIDLIVIDAEFRDRKMAESI -EENLLMFLFRHSKRTKVIYKSYYDRMSVEGCLILKRSQIFKKITFNVTEFTSSGSSEIYLVLEFDPNLTK -TARDLDQMKISSALRKICFCFKSQREEHTRALKIAREKNLLKGIPQRVLPNGLEELISIMIYFGVESGHA -MKIAGKMREEKGNPSNWLSVIFSLASNKHLDWSHYPNKPRWCSDGEVQKILCLYVSTLFIRSIWEEDLVL -YKAGERFLRTGGGIVFSAKKVQIKSGKTRHDVKGLYLDDKMALLGGTIRSFFLLFGTDQKRPQRKEHDKI -VREFNEGCRSKIMIEKLELEVPFWT - ->YP_009508535.1 RNA-dependent RNA polymerase [Beatrice Hill virus] -MDPNFEFFEDGGYDYDFSEELGDEGIEDIDSTDYCSLNLINSFDYNLNSPITPEKLNNCILYCQGLPYEK -IFYSFDYPKIKTMLANFKMVNVDDLPYYSELVKIWPHLISTIHCPEDGRNFFINAFDNFEFIYNIIRSFY -KGWYNKEPPVTHLEVLNSLDRLLDQDLSWFSIFLDLFFIVNLMNAKTVMEQKNICVKKRWKAFKLDGEIL -YFSGETKTLGTFALSGEFILLVSHNILLDRNTTLMIKDTLVGRFQTILSMICLQSEYKYSDVEIDYLRQL -YSLGDKILYTYGSEGYDIIKTLEMICNNWICDESFKYFRPMNDFTSFRNHVNDTINYLVTDGYPLAKNWF -NHIMSTTSINLILVFYGSFRHWGHPPIEVLQGLKNLEGLVNEKHKVDDDYCQALASDLAFKVLKKKFKED -KKWYVDYQRMEPNNLLYNHIKNNTWPNPQTRIQFGDNWHKLPLTKCFELPDMIDLSSIYSDKSHSLTRSQ -VIEHVRYHSDKPIPTKRVLNTLLETENVNWPEFLTSINNFGLPQEDLVIGLKPKEREMKRTGRFFSLMTW -NLRNYFVMTELLIKEHFIGLFNGLTMADDLQGLIKKLLDRTTGQGDSKIKKINIANGLDYTKWNNYQRYD -SNRYVFKVMGQFLGYEKLIERTHQFFEQSLIYYPQRPDLMIVQNNTLENRGSDIVCWNGQLGGLEGLRQK -GWSVLNYLMIERESKVRNSQIKILAQGDNQIIFTSCFLDPYYSDDELLDNMNRAKDNNDAIMNAVMKGAE -KIGLVINMDETIQSCCYANYGKVIIFRSKILGLATKRWSRVTCSSNDQIPSLGTLLASVSTNAMTVGNFS -ETPHDAILGHLIFGLIVLEVLAQHNPAIRGDPSKYIVQHRLMEHPLFKIILLYLDPSLGGIGGTSLNRFL -IRAFADPVTESLSFWKLIYENCDNNIIKNLCLEVGNPSLATYSDDHFLKLVEKPESLNIPKGISSTNMIK -EQIKISLINNAHNIKNRIIHDVTVRIQEEEPALIAWLKSIKPVFPRFLSEMASSTFYGLSNNLISLFTNS -RTIRNCFKTKCLKEVDYLIIKSEVIGIVSCLKLIIKTHTNKYDSIWTCSASQADKLRKLSWNEDIIGMTV -PHPIEMHKIGYVINGECSHCYRNELSQCYISILTPRGIPVTNYYCEGGPYKPYLGSSTNEGTSVLQPWEK -ETKIPIIKRAARLRDVISWFVGEDSNLSESIINNLESLTGENWGNYMRGFKRTGSALHRFRCARISNGGF -SACSPTKSSWMIITTDTMTGLDEANYDFMFQASIVFSQVTVGSLHGSNSQVYHMHLNCQKCLREIQEPIL -ESDWILKPKPVYELLKQWRPDPDLPWGFNNQICEIQNHSTEWDLETNTSKCYFVGLILGFLFGDKVLSNT -AQSDNNLFPLSIRTRLDPSYFYGGLLRGFKLISAVHLTHRRNVISGQESRSMLYGTLYYLIEEVSCDTDF -IQFVSTGNLHSELFYSPHKIPPSYPLSGKDLGSLARSYLKYQLKDSNINSELKYVWVFADIRSPKLLCTL -GISLHVEKLLFKESLTKKDKELIKRYQEDYILGNNNDLPSETLNFYISGLRFCESEVRHSCKFSLPKLDY -SINQFSSSWGPECRGYVNEVDIICVANEISCNHIDVVQHRNPIISGLRLFQCATGAHYKIRSILQNYSVI -YHDALIGGDGSGGISALCLRYNIRSKVIFNSLLQLEDSILSGSRPTGPSAVASMGDMKFRCVNFDTVWQE -PSDLREIQTWRYFMRLKNEFNLKIDLAVFDMEVTDVDSIKKIDNLLISHLYEIFTTNQNTLIYKTYLDRL -LNYPEMLLKLCQHFKSVKAVTTEFSSFKTSEIYLLCQNLEAYPVTSRTSISLDTRQQLINLAYANVPLTK -EINRALNIYKRKDLMNGIPIHLVSDPFLDLSTLFVMSGSLTSDACAILQTNTRSNLLNILVSELCKLTNI -IFELTVIHPRKIKVPSSPNMNNYFALVIGIGTWLALTLGDQDYIRLMDAIINKHVYEEIYFYALANNKGI -INQWRISENHTNKLICKKRFHVSHKLATIGQVIRSCELSYRTISNPNPLSSQEINELMLQKNKKLKLKYV -LKSCDLFFYLPDAVLS - ->YP_009508527.1 polymerase [Kanyawara virus] -MNYSSYHRDDNLLDWDLAEETYDEDRNSFDQAEDGQPDDTFHFDSLNQNDYSLNSPLISDDLDGYINHRC -NKNYPKVFNQSDWKKRDQFFDKLGVDFENIRPTSYLHKWWGWVNLSKDIDTTRASAFLLNVISSHQETFI -IPQTFYKGWVGEHLNDPTTVQNLLTPNILRWTELFLMMHDIILIMNANSEIEINNLKRILNFKVMRKHGI -AVGYRLQTHLGPAYITGKVLLFKDHRVLIDRLFALMMKDTWIARMNTLIGIKLRSSIEAPDAYIKTLEEL -YKVGDKMLVEAGNDAYDGIKMLEPMCNLRYSDLAHEFRPLIPPFPSFGIHIEKSVSALEKLMRNIRPFRD -IILNVFDVELLTIIYGSFRHWGHPFIDYMEGLEALYNQVSAPKIIDKEYAEKLGSDLAYLVLRKKFVEQK -KWFVDFKKLEPQHPFAPHIKENTWPTPKQISDFGDHWHELPLMKCFDVPEVIDPSLLYADKSHSMDRDEV -IRHIQKNPQVPIPTKKVLDTLLHTKATDWPVFLDQIDKVGLPRNVKIIGLKGKEREVKKKGRFFSLMSWM -LREYFVITEYLIKEHYVPLFNGLTMADDLTTVISKLLDRTQGQGLNDYNQICIANHIDYEKWNNHQRKEA -TGPVFKVMGQFLGYGNLIYRTHEFFEESLIYYNGRPDLMTINKDSLENLTSKKVCWDGQAGGLEGLRQKG -WTVVGLLMLRRESRIRNTQIKILAQGDNQVICTQYKLQSSETELELRKKLQNVWMNNYNIMKAIQVGTEK -LGLIINNDETIQSADYLNYGKIPVFRGRILNLFTKRLSRVMCVTNDQLLNYGNIMATVSTNALTIGHFDV -TPRDAITYYNLFGNLTRIMIERHNPVLGGPVHNFFSKQDLNNIKYKIMSLYLDPSLGGACGTSLSRFLTR -AFPDPVTESLSFWKLLHDATQDITLKGICCNAGNPRLSSARSAKDLIKLIEKPESLNIPRGMSIANLLKS -EIKKSLQCGITLIRNEVISDAVLHLNREEGPLLTYLWSIKPLFPRFLSEFRAATFIGITDNLVGLFQNSR -TIRTTFSKRMFRDLNKLTWECELSTYRALVDYRVRGSSMWKCSAHQADLLRQISWGQKVVGATIPHPVEM -FDSGTRTDSGCPKCKDKDDDYITCLVPKGLNKIKTEKGPYVAYLGSRTSESTSILQPWDKDYNVSVIKRA -AKLRNSIHWFIEGGSSLAESVLSVLSGLTGEVWSGGIPGFKRTGSALHRFACSRQSSGGYTACNPAGLSW -LITSTDTFRVIGSKNYDFMFQSSILYSQISTIEQYLGTDLPLSVHYHLKCVECLREIEEPILSSSLKYAH -PDVHLILNKWKPASTSWALEKPNYAIPQVLLTNLSPQEISYQVGRAGGFVSADQILGGAELLEDASIFPL -TIQNKVDGHEYLRGLFDGLLRACCLQIIHRRNMIYPKKARSTLFGTLIHIIDRICFSPIFLNLIRKGPIF -HELLSVPHKTPPTYPISDTDMGSLSRNWLKHELYDIETKTYYSTRYPLVLIFSDMSGPEMIGPYLLSSII -IKNLMNLKSRRDHVSTLRSVRSIAGSVREGSVNIIQINMVKLAIKCDSEIRHACSHVAEHNITHTRKLLW -RSELVCGVKTFEIHKTRTVTTPSKQIVIPRIACPLITGLRAAQLATGSHYKIRGIVQHFGIKWDDFICGG -DGSGGMTAALLRMNNNSRCIYNSLIEFKGSSLRGSSPGEPPAISFCLNNPNRCVNLNKAWQESSDLSSED -TWRNFATLIKNFNLHINLIVIDAEIRDNAIAKKLADRLEHDALDVLSQKGTLIIKTYCETLMDPSISALN -RIGSYFRELYLCYTALSGSHTSEMYAVLLNKREKKELDYHIDQSSLLNAILHSCAFQSPKSEFDRALNVK -HMDMWKGIPPELVPNVKIQLETLFSIAGVETGLTYSLSQMIVVNKAHFSSILGSMAVASNSLCNVTQSSL -TDWNIPSDGKLKKLLTMIVGFAYWISWATENLQLYEYCNQVVNFGLTIGIRKIEQDRRKRISWRVGGNCS -VAKYISLRDCNAGLTHWIRTLSQLVSSSSPQWTWGQQFSHFNKALTCKFVEKNTGIYQICRDRILSEGFG -TTGDYEQMCTNESCWRS - ->YP_009505541.1 polymerase [Radi vesiculovirus] -MDNLELYERSWNEVEGEEFDRPGRFDKEDQSTVLSQFDYNLNSPLIKDDIENLILRYRGHPVGQLWVNKD -WDDILGLLNWRNADPRGAGDLHGWFGEWIQSSNHPVSQGVEFLSRVDAEAEITFEVVKSFLLGWTGSKVS -FSPKSGPVLSICETLCQKFLDLHKIVLIMNASTEEEKLTLTKTLGLKLKRKWISGKFVSVGRVWVSKGFC -WLETQRLIMDRNFILMMKDVIIGRMQTLLAMINHTNPIWTPSNIMQLIKVYQIGDSILRELGNDAYDIIK -CVEPICNLKIAEMAREFRPLIPEFPRFKYHIQDTVDKLSVKSKGIRDLYSHIMSISNIEMVLAVYGSFRH -WGHPFINYIEGLKKLHEQVTMPKDIDKEYAEALASDLARLVLEQEFGRKKRWSVDPSLVPADHPFRNHIL -DNTWPTPVQIQDFGDQWHKLPLVQCFDIPDLIDPSIIYADKSHSMNKSEVLTHVKKYPNRVIPTRKVLQS -MLDKPATNWLEFLEEVDKHGLCDDDLIIGLKGKERELKLIGRFFSLMSWKLREYFVITEHLIKTHFVPLF -GGLTMADDLTSVIKKMLDSSSGQGLNDYSSVCLANHIDYEKWNNHQRKESNEPVFRVMGQFLGYPNLISR -THEFFEKSLIYYNGRPDLMKVVGNSLENRTAVRVCWNGQAGGLEGLRQKGWSILNLLVIQREAKIRNTSV -KVLAQGDNQVICTQYKTRNHRSDLELKAALADIQSNNDAIMTAIERGTTKLGLVINQDETMQSADYLNYG -KVPIFRGSIRGLEFKRWSRVTCVTNDQLPTCANLMASVSTNALTVAHFDTTPINAMTQFNYFGNFARLLL -YMHDPAIKMSFHDSRFPHKGVFSYAFKVGMLYLDPSIGGVCGTALSRFLIRGFPDPVTESLSFWKVIYNH -TSNLELKSLAVRFGNPKIALFRIDHIEKLLEDPTSLNISMGMSPANLLKTEIKKNLIEKRSRIANQIVRD -SVFKVVRDDRNLNDLLWSIDPLFPRFLSEFKSGTFSGVASSIISLFQNSRTVRNMFKEYLSRELDSLVYR -SELSSLEHLVSYGTRQDQMRIWECSAEQADYLRLLSWKRKCLGTTVPHPLEMHGRGCIKTILSDCCRVSR -LDYISVHCPKGLCRVLDSRGPFPAYLGSKTSESTSIIQPWEKESKIPLIRRATRLRDAIHWFVSPSSNLA -RIINDNIRSLTGEDWSQSAEGFKRTGSALHRFSTSRMSHGGFASQSPASLTRLVATTDTMTEFNQDNYDF -MFQASLLYSQMSTSVMLMNTECSNTLHFHVKCLKCIRKIEEPWLETSSGFRFEDVSTTLASWRNGAGSWG -EMREQLKAMSGDWGSLSPVEKSYHVGRAIGFLFGDLTAQNSRHADDSSIFPLSIQKRLRGRSFLRGLLDG -LMRASACQVIHRRSLSRIKRPANAVYGGLIHLIDKLSQSSAFINFCREGQIREELQSIPHKIPASYPTSN -LDLGINIRNYLRYNCHRVSTGSYKSDISDIWVFSDLMSVGFAGPLGLSTKLLSALYKPSLSKRDRETIRK -INNLSQLLRSNEELPVAYRSFLERKILCCDEEIRHACKFGIPQRVYEGSYPGWGDEASGKCYPIKLVFTS -QDNAKSLSMPRRIQNPLISGLREAQLPTGAHYKLRSIIRSFGIKYNHFICGGDGSGGMTAALLRLNRYSL -GVFNSLLDFSGSTMRGSAPDPPSALETLGGERVRCLNGEEVWEAPSDLSEQKTWDYFRQFKSDRNLRIDL -MVFDMEVRELATSERIQRLLRNNIHSLLESSGTLIFKTYGTMIAQMPENVVNVIGPLFYETYLVQTEYSS -SQTSEVYFVGRTLKGFVDSKSVDWADLSYHWTKLFCFRTAEEEYRRAKDISRADTLAGVPPEFLPDPLTM -INTLLEIGGVPSGVAYNLSYDLHLLEAGGLTKAIVLMSMLSHFNINTIREHPVRPNPPSDGNLIRLASAM -CGIGLWISIEYNDTRLYKTILRIIERSYPFRILSTEQHGKYKISWSSKEGQGIRKDVRVCDKMANIGQWM -RILRRLRWEQSNLEREKVSTFLGKISGGLSLLYIRKSTGIFELLSGTISDDDRSGVYVTKETIDSDDWRD - ->YP_009505536.1 RNA-dependent RNA polymerase [Piry virus] -MDSVDDFRDDFFLEENFFNGKLSKDKRIRGLNSVDYNLNSPLISDDVDNLLKRSRGGNVPRQWKKKNWDR -PLMWMNRNHTIRFRTSDTLHKWFGGWLLHKDFDYTQGRQFLAQVDKESKETFEVVSSFLKGWVGKDVEFQ -EKTGHLFKEAAQYCQRFLDLHKLTLLMNASTAKEQESILNTLRVKNKLEEGVELSLKSLGKVVIVDQFIF -FPQQLQIIDRNFMLMMKDVVIGRMQTILSMINRSDDKFPEKETEYLLQVYKVGDMILRSLGNDGYELIKT -VEPMCNLRLSDLARTFRPKIPVFPRFRAHVQHTVEELSEQSPLIKDLFDLIDNTPSVDITLVIYGSFRHW -GHPFIDYFKGLEKLHTQVTLKKEIDSEYAEALASDLARVVLTKEFNEKKKWAVDLNKVPKEHPFYSHIYD -NTWPTAALIQDFGDNWHRLPLIQCFEIPDLIDPSVVYSDKSHSMNKKDVINHIQNKPDQIIPSKKVLETM -INNEATNWLEFLEMVDKHGLEDDDLIIGLKGKERELKIAGRFFSLMSWKLREYFVITEYLIKTHFVPLFH -GLTMADDMTAVIKKMLESSSGQGLSDYSAVCLANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPNLILRT -HEFFEKSLIYYNGRPDLMTVRNGQIENNSNQRVCWQGQAGGLEGLRQKGWSILNLLVIQREAKIRNTAVK -VLAQGDNQVICTQYKTKQHRDEQELAGALEQMRINNNTIMEAIEAGTNKLGLLINQDETMQSADYLNYGK -VPIFRGVIRGLETKRWSRVTCVTNDQLPTCANLMSSVSTNALTVAHFDTTPINAMVQYNYFGNFSRLLLE -FHDPAIRTTLYSDSLKHLNFKSFAFKIGMLYLDPSIGGVCGMALSRFLIRSFPDPVTESLAFWKLIYHTT -KNKELQRLSLKFGNPKIAVFRSSHIDKLLEDPTSLNIAMGMSPANLLKTEIKNNLLQRRSTIRNRIVRDA -VFHVHKEDANIRAYLWSITPLFPRFLSEFKSGTFMGVADSIVSLFQNSRTIRNVFKDYMSYTIDDLIVKS -EITSLEHLCSYESRPTPSKIWGCSATQADMLRRQSWTRKVLGTTIPHPLEMEGKGVIKTNLSKCCDNSAQ -DYISVHCPKGLVNILDSRGDLPAYLGSKTSESTSILQPWEKESKIPMVRRATRLRDAIHWFVEPDSNLAK -SILLNIKSLTGEDWGNSIQGFKRTGSALHRFTTSRVSHGGFSAQSPAALTRMMATTDTMGNYATKNFDFM -FQACLLYSQMSTSIMLENTQTSNTVHFHTRCLGCIREIEEPTLESPGLYKGQDVHQILTKWRNGQGSWGC -EVNQLSPREGDWESLSPAEKSYHVGRTLGFLYGDLVGQSSHRAEDSSIFPVSIQSRVRGRGFLRGILDGL -IRASACQVIHRRSIATLIKPANAIYGGLIFLIDKIGSSPSFINLCRDGPLRHELSSIPHKIPTSYPTSNI -DMGLCIRNYLRYQCKSVELGKYKSDISDLWLFSDLMTVEFAGPFSLSTKILKCLYKPTLSKHDRTNIRKI -SSFSRVLRSQEAWEESYREYLTANLLVCREEVRHACKFGILKDLTEKEGPRWGREATGTLLSIDVFFRNK -AVQKRLKVPMRVQNPTISGLRLGQLPTGAHYKLRTIVHQKKIGYQDAICGGDGSGGMTSMLLRWNKGSRC -IFNSILEFDGSALKGTSPDPPSALETVQNGLKRCVNAKTCWENPSDLSDPNTWEYFLALKKEFTLNIDLI -VLDMEVREPTISQKIEQCIRQYVSKLLSPKGTLIYKTYAKWIAELDINALTVIGPLFESVSINQTIFSSS -QTSEVYMVCTKQKGFVDVGYVDWDDLQYHWDKLFCFKDVYQEYQRAKEVSYLDTLQGVPAAFLPDACVNL -ETLMQLSGIPTGISHQLGLEFKSGLCSSISYAVSMMGLITQFALDTIRIQTAEYAPPSDGKLVHMSSALV -GICIWLSLEYNDPGLNARCMEIIHNSFPIRWRVENQRLTWNTYDETFRSKDVRINDKMANIGNWIRGMYL -MRMRPGPLNDNEVDSVTRKYIKGLNAQHMKKRTGVFSLLQKKISKKDKSLLHIASDTIKSEHWVE - ->YP_009505531.1 polymerase [Carajas virus] -MDFLPVEQEEDWGYAEDDFSSSDYLDFEERMTYLNQADYNLNSPLISDDIYYLSRKFHSYGIPPMWNLKE -WDGPLEMLKSCQADPIPHDLMHKWFGTWLEDFDHDSAQGIVFLREVDKEASETYDLVDTFLKNWAGKSYP -YKAKERYLDQMKIIGPLCQKFLDLHKLTLILNAVGPEELKNLLRTFKGRTRDLSTKDPCTRLRVPSLGPV -FICKGWVYIHKHKILMDRNFLLMCKDVIIGRMQTLLSMIGRSDDAFTQQDFFTLVNIYRTGDIILQEKGN -LAYDLIKMVEPICNLKLMKLAREYRPLIPPFPHFENHVKNAVDEQSKVSRRIKVLFELIMGIKNVDLVLV -IYGSFRHWGHPFIDYFEGLNKLHKQVTMSKEIDTEYANALASDLARIVLTKQFDSVKKWFVDKTKIPSAH -PFFKHIMDNTWPTAAQIQDFGDHWHELPLIKCYEIPDLIDPSIIYSDKSHSMNRSEVLGHVRRSPHLPIP -SKKVLQTMLDTRATNWVEFLEMVDKHGLEKDDLIIGLKGKERELKLAGRFFSLMSWKLREYFVITEYLIK -THFVPLFKGLTMADDLTSVIKKMLDSSSGQGIDDYSSVCFANHIDYEKWNNHQRKESNGPVFRVMGQFLG -YPRLIERTHEFFEKSLIYYNNRPDLMWVNEDTLINRTQQRVCWEGQAGGLEGLRQKGWSILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKSRDQSELINALDQMVKNNNKIMEEIKKGTSKLGLLINDDETMQS -ADYLNYGKVPIFRGVIRGLETKRWSRVTCVTNDQIPTCANLMASVSTNALTVAHFASNPINSMIQYNYFG -NFSRLLLFMHDPALRRSLYDVQNEIPGLHSKTFKYAMLYLDPSIGGVSGMALSRFLIRAFPDPVTESLSF -WKFIHDHTDDEYLKSLSIAFGNPDIAKFRLEHISKLLEDPTSLNISMGMSPSNLLKTEVKKCLIENRTSI -RNDIIKDATIYLNQEEAKLKSFLWSINPLFPRFLSEFKSGTFLGVSEGLISLFQNSRTIRNSFKGKYRKE -LDHLIVKSEISSLKHLGGIHFKLGNGKIWGCSSSQSDLLRYRSWGRKLVGTTIPHPLEMHGAASPKEAPC -TLCNCSGLTYISVHCPKGITEVFSRRGPLPAYLGSKTSETTSILQPWEKESKVPIVRRATRLRDAISWFI -DPDSTLAQSILDNIKSLTGEEWGGRQHGYKRTGSALHRFSTSRMSNGGFASQSPAALTRLIATTDTMHDY -GDKNYDFMFQASLLYAQMTTSISRWGHVGACTDHYHVRCDSCIREIQEIELNTGVQYSPPDVSYVLTKWR -NGSGSWGTVTKQLIPKEGNWTVLSPAEQSYQVGRCIGFLYGDLVHKKSHQADDSSLFPLSIQHKVRGRGF -LKGLLDGIMRASCCQVIHRRSVATLKRPANAVYGGVIFLIDKLSMSAPFLSLTRTGPIREELENVPHKMP -ASYPTNNRDLGMTVRNYFKYQCRIIERGQYKSHYPTIWLFSDVLSVDFIGPMSLSSGLMRLLYKNSLSKK -DKNELRDLANLSSLLRSGEEWDDIHVKFFSQDLLFCSQEIRHACKFGIIRDKVSLEVDHGWGKEAYGGCT -VLPVFYRSQIYKKSLTVPPRIQNPIISGLRLGQLPTGAHYKIRSIIMTLKINYQDFLSCGDGSGGMTACL -LRLNPNSRGIFNSLLELDGALMRGSSPEPPSALETLGSQRTRCVNGGTCWEHPSDLSDPNTWKYFIGLKR -GLGLQINLITMDMEVRDPVISHKIEANIRAFLYDLLDPEGTLIYKTYGTYLAEEERNILTEVGPLFHTTD -LVQTIYSSAQTSEVYCVCRRLKKYADQQHVDWSLLTDGWSRLYAFSVNRLEFQRAQSLRKLDTLQGIPSF -FIPDPFVNAETLLQIAGVPTGISHTAVLHGSLHSEQLITLGIFFCALISHHTMNIIRISPVPPSPPSDGS -ISRMCSAITGILFWVSLVEKDLTLYNSLLSIIQRSFPIRWYKNKEKNGWSQCWGANGDGIPKDTRLNDSM -ANIGNWIRAMELLCNKTAQMPFSPKLFNRLAAQYDRELTWKKVLAKTGLADLLTGQISQIDRSVANVRSE -PSNENSWQD - ->YP_009505526.1 RNA polymerase, partial [Durham virus] -MEEYDDFEYDWFDQSAQDDWELEIGNKKLELGCLKNVDYNLDSPLISDTLENFSNFLIGLPYSPLFQTEY -WEDCKAFINSRRRQEVPNHNQIHNWIGRYFAKSGGGVLLATELLELVNKHAQVTFTIPEAFFKCLKMRPA -CFKDKGQTFKEKELLQKFLETHICTLFLNHKSQELSCFHKIARVKVTQLQKLEGIDIRLSTIGDISIVGE -FALINRTILIDKNIMLMIKDTMLARFQTLLTSIDRCDQKFSLHQVQNILKIYELGDNALARIGSQAYDGL -KLLEPICNLRLTELARLHRPLIPSFPRFRDFVVQSIRDLAQVMPELVEIARIIRREVDPNIVIQVFGLFR -HWGHPFIDYQEGLEKLHTQVTMQKEIDDNLAQALGSDLAYLVLRDQFRSKKKWFVDIDQLSKNHPLYVHV -YNQTWPTPKQIADFGDNWHRLPLTTCFEIPDFLDPAVIYSDKSHSIQRSEILSHLKTNKYKKLPTRRVLT -TFLETPARDWKEFLSRINDVGLDRESLCIGLRAKERELKRQGRFFALMSWELREYFVVTEYLIKEHFVPL -FKGLTMADDMNGVIRKLLQSSSGQGLDNYDQISIANHLDYSKWNNHQRMESNRYVFEVMGKFLGYPNLII -RTHEFFSKSLIYFVNRPDLMCVIGDTVHPIGPTRVCWEGQAGGLEGLRQKGWSILNLLLIMRVGKLRNTS -IKVLAQGDNQVLNMHYQIPSHRTQDELQVCIEEIVRNNNAIMQEVDRWTQRLGLIINQEETMQSADFLIY -GKVPIYRGNVLLPEAKRWSRVNCVTNDQLPTFGNIMATVGSTALTVSHFSTSFRDPIILYNWLGNFARIL -LEMYSPIVNHPVTSLVQSPKDLESPQYLIAALYLDPSLGGISGMSLSRFMIRGFPDPITEGLSFWKKIFQ -FTEIPIVKDLALKFGNPKLSRFRVEDLPKLLEKPTSLNLPSSLSVHMLIRTEIKMILQRNVRQIKNEIIQ -DAITYTMRAEEHLLRFLCSIKPLFPRFLAEFKAGTYLGMTESLVGLYENSKTIRTKFLREKERDLDRLVQ -KAEIDGLIHLIYDFKNAQYLQVWDCSAQKADALRMISWGSKVIGSTVPHPLEMFDRDYDLTKTCRMCYRS -NGKNYLTTFVKGAGLRLINNKGEYLPYLGSATKESTSLISPWERESKVPLVRRATRLRVAINWFVEPDSN -LSASILNNLRSLTGGEVGTFSRGYRRTGSALHRFGSSRQSTGGYNALSPAVLSRLLTTTDTLSDLGDQNY -DFMFQSSILFAQAVCADDVLNGEDRIIHHHLSCKLCLREIEEPTVESGLIYQPLDVSTNIKKWVPENTEL -LTETNTVELAVGNWDRLCGEEKSYHIGRAIGFVFGDFVYGVSVKVEESSLFPVTLRYKLCPTGFYSGVID -GIFRACSLHVTHRRSIALFKKPRETVAGSVLFVVSRLTTSSPFLTLIREGPLHNYLLRFGHKTPPSYPLN -QADLGSILKQYLKGKLIHQLYRVSQYKPLSATVWIFADILGSEIAGPLLLSSELAPFVLKPVRTAAMSSR -LRSLQELETNLRSKVIVKLGQEIKIPHYLCESEIRHAAKSITVEDSYSIAPELRFGPEVTGKVFGTVIEF -SSAEQYVIFKMEVPRRTTPLISGLRTAQIATGSHYKIRTILRELDIQYRDALCGGDGSGGLTALLLRLNP -YCRAIFNSLLDLQDYDLRGSKPSPPSAVAALYSGSTRCVNFTDCWENPSDLTKFQTWEYFKKLRAQHKLQ -LDLMIFDMENRDDQSSQIESLLEQNLHGLLELGGTLIFKSYGARLLAGGQKNPTLILGRHFEKVLICQTS -FTSNFSSECYIVCLNLMHKQILPVSVNFPKILQFVKQTYAFSTYKKELLRAVDLKAYHMDSGIPPELTPS -PTVEIESILEAAGFETGVSASLSVLLASGNLGSSEVFGLLSILCVQNLVQLTALHPDPPAIPSDNKLIKA -LSFLVGLVYWWSWVIEDFQVFKVIDLYFNEDILVHYLVLTSPKGYLKKIFFGTPAPGVTKRIRLRGKGSA -VGSVIRCLSRTQPKQKKHNINLWTVLKKVNSQLTYDLIQSRTNVLGFWKSYYPA - ->YP_009505519.1 L protein [Ekpoma virus 2] -MDQLDNFNILDDDSETSFLENEDFDSIVDDSVNLEYINTKDYNLNSPLTFEGIENFYDFILTGASNPVFD -SQDHQSYNELIVKCPDLRISERRTLDDIVKFNSWTLSNYSVTGISHSFMNCWMKRILDTFEVPRSFLYGW -LKTIPKNISTYIERIEKVPEEEKQLLSLFLDLHLLVALLNARSKRERENLRKLLIVKTVNIDSSQPIEVI -NNSFFGPTILFSDYIMMPRHQIILDRNNVLALKDTSVARFNTLIYMRISDSEYKYSEDEISRLKQLYRIG -DSMIYSIGNVGYQGIKLLETICNGAICKKAETYRPLFPPFESFQDHITKSVSELVNMGITHMKEIYDLIY -SETSMQMLILYYSIFRHWSHPIIKVESGLIKLEELVNRDLIIDEAYANILASDLAHKILYRKYKECKKWF -VDVSQLPINHPLQEHIMMNTWPPASKIRAFGDNWHTLPLTKCFDIPDVVDLSLIYSDKSHSIDKPEIISH -ILNSPSRPIPTKRVLKTLLEEPAVDWPLFLQRINDEGLYDNELAIGLKPKEREEKIDGRFFSLMTWNMRN -YFVMTEYLIKTHIVPLFQGLTMADDLQKLIGKMINNTEGQGNEGYDNITISNSIDYTKWNNLQREESTKP -VFTVIGQFLGYPLLIARTHEIFQKSLIYYPSRPDKMYVSGGKLHSIPGTKYCWQGQKGGLEGLRQKGWSV -VSLLMIERESRSRNTMVRCLAQGDNQIISCLYKTDPWSNKHDLINNLLNIKHNNDMIMKAIRDGATKLGL -IINEDETMQSIDYLNYGKIPLIRAKMIGLTAKRWSRVTCCTNDQLPSLGNLMSSVSTAALTVGHYSNNPI -DAIMGYHIFGNLSLTLSLCHNPALRGDPSYYINLSHMLQNRYFLMLILYLDPTLGGIGGTSLLRFIIRLF -PDPVCEALSFWRIVGNSTIDIGLKKLALTVGSPKLATFSLEHIEKLIESPFSLNIPRGVSPSNMIKEEIK -KQLHVTAEKIGNKILRDATIYYRDHEPSLLAWLSSITPLFPKFVSEFASSTYYGLSRSLLGLIINSRTLK -NLFKTKFVKEIDDVILKSEVLSINSLINIVVRSDHYSGHEMMWVCSSELADQLRECSWGRPVLGMTIPHP -AEMLENLPANGGECPNCINGFWKDTYVTTLAPRGLNPIQIDDPCGPYPPYLGSNTKEGTSILQPWEKESN -IPLIRRATEMRRAICWFVKPGSLLANSINNNIKSLTGEDWSGHIHGFERTGSALHRFHCSRASNGGFAAC -SPAPLRWVIVTTDTMSNLPGNYDFMFQSSLIFSQISSICQTRRDCSVYHAHISCTKCVREIKEYTFDSMW -EYQPSDCSQLLKGWLPVTVKEWVSKQNPEIKHSKNSLDWSVLTVEDQSFNIGSTIGFCFGDETLGRGQEL -NETTLFPVVIRSKLNPLCFFKGVLQGLRMAGALHLVHRRSLLLGPKSQTAVQGILYFLVEEITQSNNFIQ -FVSHGNMLMEVNKVPHKIPASYPLSNIDSGCLIRGYLKHMMWKYPDLLEDKPLYRPWAFADIQDIKILGS -LGLATIACRIIRDGNISKLGRTRIKDLQQDYIDLMNDHITQSKIDYYISQINTCPSELRHACKMLSDWVP -MTIPDTKVWTVDIKGDCHPVHLGFSSSNDPYCMNILRKVNNPSISGLRLFQCATGAHYKLHMIFDHLDIR -PRDILVGGDGSGGISALCLRYFPSSSIIYNSLLIADGIKYCGSHPSEPPALTAMGELTKRCINYNDVWRH -PSDLAKEETWNYFLLLKRNLKLDLLIFDMELTDNDVYQRIIKNLKKFVGFLLEENGTIIFKTYLKNLLVD -NNLNVVHALEKNFMQVVCINNSWSSSFTSEYYVAFRTYTHKPFPQRFLSVEDKDNLWAQSFVNHPIEEEF -KRCLRAFDCHRSIVGVPPHLCPDWRVDLSTLFVISGLESGISASLCNPVHSKDFSDIYAITLTGISLLNQ -HIFNNLGASSEKIFPTSGKLLKLIIPIIALSTILSIDYHNLACWELLHYLYNIPSLQVSIRNTEIDKKIQ -TSWKFHFQGELGRNEIQKAVSLRSSMSVLGQWIRVIKLGLDDRVVKLENIDKILSGSSILFKKYFPKGGI -EKIWKMSNILYFMPEKSMRT - ->YP_009505511.1 L protein, partial [Ekpoma virus 1] -MKCSHHINPFLHTDSLLSQNRHLHSITPGISNMKKTTGIMDYNLLDEQHFQEDTIFEFDIQGTLTDEYDD -IETNPLFSIDLLNNKDYNLNSPLTYDGLEAFYSYLHTGSCNPLFFNQDFITLREWIARQPKPYMFPKQTS -DDLVKKLAQLRMNYQHVRQADCFLGKLADDVDKTYMIIEAFYNAWCCREPPHSKEFLTTKIQQLGSNIKK -WLDSFLSYHIITLALNSRSIMEQKNLAKSFNLVVRVNKGEFIMIQGDFPELGEVLIFRDHVVLLESRTII -DRGHLLMMKDIAISRFQALFTMHLLKDEYTFSDKEVESLRDLYLYGDLLLTSHGVGGYDSIKMIEPLCNK -KMCELGELELPLMPKFESFGRHVTRSCEEMIQKGQIELLPMIKIVDEAKTVQMTLAYYSCFRHWGHPDID -VLGGLAKLEGLVNSSSSIDSEYAEVLASDLAYKVLKTMFKRKKKWFVNPELLPNSNPLKEYVLNNTWPTK -RVREEYGDNWHKLPLVKCFEIPDMVDPSMIYSDKAHSIQKHEIITHLKSDRTKPIPTRRVLKTLLEREAI -NWPEFLQQVNDFGLDEDDLVIGLKPKERELKILGRMFALMSWNLRHYFVITEWLIKENFVPLFTGLTMAD -DLQALIKKLLETSEGQEADSYTNITISNSLDYEKWNNYQRKESNGPVFKVMGEFLGFPNLILRTHEFFEN -SLIYFPGRPDLMTVKDNKVHALQGTKSCWDGQKGGLEGLRQKGWSILSFLMIEREARIRNTLVKVLAQGD -NQIITTHFKTDPWVNTEQLEENLQRIQANNEMIMRAIARGAGKLGLVINQDETMQSIAYLSYGKVPIFKG -NILGLPLKRWSRVTYCSNDQVPSASTLLSSVSTSALAVCHFSRRPHDAIAGYHVLGLLTLNLLQLHNPAI -RGDPRRYLRDAELLEHPITKIALLYLDPSLGGVGGTCFGRFIIRMFPDPITEGVSSWKLVYSGCSNPLLK -NLCVIIGNPDLAPYKPEHFEKLIEAPESLNIPKGISAVNMIKEQIKTNLQMNSHSIKNQIIKDAARHSLE -NEGKLFAWLRSIQPVFPRFVSEFANCTYYGLTMSLLSIFSNSRTIRNVFKSKCYKEVDTMIVKSEVLSFA -SILKITKRVITSGSSIMPIWKCSSSQADMLRRKSWNCEIIGTTVPHPFELLEVNLSNDGLCPSCSSKPYN -NPYVAVLSPKGIPLTHCISRGPYPPYTGSSTSEGSSIIQPWEKASKIPIIKRASSLRNTISWFVDEKSNL -AKSILNNLESLTGESWGKCVIGFKRTGSPLHRFKCSRVSSGGYTACSPSLLTWVIVSTDMLKDLGDENFD -FMFQPLMLYAQTTTTSFGTSNTLISHYHIRCKDCIRVINEPVLESGWTYTPQDVSNLLKRWRPETCKEWG -TVKTLVDPPLNQVLWEDLSPQDQHYSIGLTIGFCYADITLGGKEYISSNSLFPLGIREKLSPIDFFPGLV -RGLKLGGCLHITHRRSVLISSKASLAMNGAIYYLVEEISKHKQFLQFVSHGPLSKEILRAPHKIPSSYPL -NTWDTGSIVRTYLKRLVQKDDIFEPIFHVWVFADLRSIEVIGALGIAVLADRIIGRGKINKLGKERLKEI -QQDYVDLMNEILIGSKINYYCKALLFCDSELRHAVKYRLGSNEILDKEEDQSWNTEWSEPVDVVEVMYTD -KKPTFDISLAPHCQNPTISGIRLFQCATGAHYKLNSILDSLNIDPRLAIVGGDGSGGLSALLLRRYPFVK -VIYNSLLCAEGISFQGSRPAPPSAVIAMGKMADRCCNLSTVWREPSDLRKTVTWEYLLRCVSEQREKADL -IILDMEVTSEEDITRITENLVNYLALLAKQSVIVIFKTYFHRLCIQDLTNPLCILGKRLDLVKGVTTKFS -SFMTSEVYLVGEGLRLKSTKDIYPDAISIKAIQKITKRYKSFHSECDRAFLLYRRSNKFTGVPRTLIPDP -FIDLGTLFVVAGIETGIASTISDTLRGGSLASLQTSLLTFLILLNESLFRTCHKTLDPAIPSTPSIRHL - ->YP_009505503.1 RNA-dependent RNA polymerase [Drosophila melanogaster sigmavirus HAP23] -MDFEIEDPYDPFSMDTYLDPQDPSFGDLESMRHLSNVDYSLNSPMIADELEAFIRWLQCGCTDPRWNEDR -WVRTKQGLFSGQSPTTIEGAATFTGWFGNFNLKRRYYIVRQFKMILEKAQADSEETKPVVDAFLRGWINH -KGVTLTSKITLPEEELKWGYYFWELHIVTLHLNCTTDQERTHLIKSFKSKSRGLPDVFDFTLYTRNFGPL -SIAGGYVYIFDHNRMLDRNAILMMKDTYVARFNSFLALSNRADCVFPEDAHYRLQMLYELGDMVLDEGGT -SGYNGLKLLEAMCSSRITDLAQSKKPLIPDFPDFRSHVRAKVREESANTPSIGKMYELIEGTTSYDTLLT -FYGSFRHWGHPYINYLAGLEKLYIQTTVEKEIDQEYVEKLASDLAFLVIQDRFRKTKKWPVDPLLIDKDH -PLVEYIRTSSWPNNSIIKNFGDGWHTLPLTKCYDIPDVIDPSLLYSDKSHSMTRSEVRGWMTSHPGKPIP -SRKVLSTLLNSPSTNWPVFLQQVNDSGIPIEQLIIGLMQKKEEQKIDGRFFSLMSWDIRDYFVMTEYLIK -THFVPLFKGLTMADDLTTVIGKILENSRGQGEADYENLTITDHIDYEKWNNHQRGEANNPIFLVMGKFLG -YPHLIERTHEIFEKSWIYYLNRADLMDFDGEGNLMNRTELRVCWNGQKGGLEGLRQKGWSICNLLVLRRE -SLATNTVVKTLAQGDNQVLSSRYRIRTSRDQNQLQSNIEDICRNNRSLMERIRIGTGKLGLIINHDETIK -STEYMNYGKTCVIHGNIRNLETKRWSRVTCVTNDQLPTLSNVMATIGSNALTVSHYSDSPINSMYHYNFM -GNFVRIMNEIHNPALRGPVSSIEGVTGQSFSRLSYLLAVLYLDPSMGGACGMSLTRFLIRMFPDPITESL -TFLRIVAMNVHSDEVRQTFIQFGNPKLKTFSPEDLSKLLEDPLSINVPKGLSAINLIKDAIKLSLHRSVD -EIANEIIAEAVIHQKDHEEGFLMHLTQISPLFPRFLSEYKAGTYLGIAEGLIGLFQNSKTIRNQFRRNLD -IGYDSIVIKSEIATIRDLTGYRLEDAERVEMWPCSSTQADYLRRVSWQQVVYGATIPHPAELFGLPLRAA -PTCPNCTTTFPMNLYISVLIPLGFKGLKDTRGTCVAYLGSSTTESTGIVNPWEKEAVVPVIKRAASLRNG -IGWFIEPGSNLAQSILNNLQSLTGESWSQNSGGVRRTGSALHRFSCSRQSSGGYTAQNPSKLTRMIATTN -YLADLGDENYDFMYQSCLLNALISVGEIHPVDGSQGYYHQHVNCASCLRPIKEVTLESPAPYSHTITSNL -LDKWKPDGSKWSVSRPSIPLRSGEWECVSHDRQSYHVGFIQGFIYGDSVWGIRSMADDPALFPLSFRNKV -NPRAYLLGILHGLLRSCTVSVVHQRCFRSSRAVKQTTLGLCSMTVSRLVQNDGFLNILRDEQFTAVFRSI -PHRIPPSYPMVTQDIGDLASNYLKRQLMTEGLAYFRSVKSGSGNEAWIFADANHPMIVSLITVSGLMTKI -LAKDIWQKRDLEELKELRSLSVQAREQDDPHTDITAMELLAAEWVVCSDQETRHAVKYNTRTESVTDRRL -TVTWGDEYTSSADFVTVVFSVEEIRTPPGMLIPRIQNPLISGLRTAQIATGSHYKLRSILSKLRLNVRGA -LVGGDGSGGLTALVCRMYPTSRVIFNSICDFSDVRLKGTTPAPPSALSHSLNDCTQVVNYSDSWAHPSDL -TDTKTWKYFVDITKSKSIQVDLIILDMEVVDESSISKIEDNLMRYGPQLLTRDGVILFKTYLTRIFKAQE -MILTKCGHVFSQVELWYSDLSSSQTSEVYVLMSGQTKLSHLQVRKPDLMQLRTDVSSFPVFAPPIVEFKR -ARKAAGLDLTVGVPPLLLPEPGPEMINLLSSLGVRPDISFSITRSFGDNMSTEFLPLHLFLLALNGIYDV -TTGYRTPPGPPSDQVCIKLGIWIVGYRIWSGYVQDSYAKASFGQKLIDNFVPFNFWNKQIGRSWFPHWSL -IKPQTYTKNIQLDSEMAHIGSVIRILHRNFPKARRNPPGDLVDNNCSRINKGISCLNKDMKTGILRWMGD -GRDLSGVNVTTRISNLYVTQDDQTASFRN - ->YP_009505497.1 RNA-dependent RNA polymerase [Drosophila immigrans sigmavirus] -MDGYYSEQLEMTYGSEEIEMANLDALLPELMSMKDATMNNLSNVDYSLNSPLISDEIDEFLRFVRMRYKP -VRWSGKLWGDRLSGFGACFSPTKIIPSKDWHSSWAKMNLNPKFNQTDFSLLFRVATDAAKITAEIPQAFF -EGWLGTKPTWTYSHTIPSSIEKWGHLFWELHTVILILNAVSREEVVELQGTTKCRDCSPAGNIMSQSLNL -PNFGQVILSWGFVWFKELGWVLDRNSVLMMKDTYIARFHTLLSMYQRCDNEFGKHGWEVVNTLYKLGDEI -LAQSGVPGYKALKLLEPICNLRLTELARSHRPLIPEFPNFKLHIQKSLSELKTSLRVNGSTLYRHIMLQT -DLPILFAIFSSFRHWGHPYIDYVKGLEKLHKQVTLDKDIDPHYVNILASDLAFIVLESTYQKTQKWSVDL -TALPQGHCMRENILSSTWPDLPVLEKMEGHWHELPLTKVFDIPDLIDPSAIYSDKSHSLTRSELRSWMKL -KPGVPIPTHKVLSTLLSNPATNWPEFLKKVNDYGLELEHLIIGLKAKEREIKEEGRFFSLMSWALREYFV -ITEYLIKEFFVPLFSGLTMADDLTTVIKKMLNNTIGQGGVDYQNICIANHFDYEKWNNHQRKESNNPVFR -VMGQFLGYPELICRTHEFFEKSWIYYNDRPDMFTLQGDSIICKSGHYAWNGQAGGLEGLRQKGWSILNLL -VIRRESLLRNTKVKVLAQGDNQVIFTSYSLNKHRDQEELISNINAVVQNNQSIIDLITKGTKKLGLLINH -DETIQSADYMIYGKIPAFRGNLQNLETKRWSRVTCVSNDQLPTLASIMSSVVSNALTVSQYAHSPKNPIY -HYNLIGNLAYEIIRFHDPAVRGPLEKYAEDRKLLRSIEFRVLLLYLDPSLGGVSGVSLTRFLIRMFPDPI -TEALSFWKKTYPYIGHTSLRRIIERVGNPRLATPSIDGFSKLMEDPSSLNIRHGISSTTLIKNLIRSSLF -RNLGDIKNEIIKDAASHCKQEEPRLLKFLYGVRPVFPRFLAEYKASTYLGITDSLIGLFENSKTIRNQFK -RYLSRSIDKVITKCELAGVGMLLSIVSSPHSFNMWVCSTRRAQELRYVSWGVSIHGSTVPHPIELLGYAT -SNPVNCRKCSEPFPSNLFIALLFSEGLANYRLSAGPFKAYLGSTTSETTSILQPWDRETKIPVIKRAAKL -RDSIGWFVDPDSNLASTIYQNLASLTGEDWSGSKVRGKKSGSALHRYRTSRLSAGGYTAQNPSKLTRMIL -TTNTMSSLGDTNYNFIFQSLLLYAQMTAGEMHDGNPSRAVYHLHVNCTSCIKEISEITLENKQAYQHPDV -SSKLNKWKPTGSNWSTISVTPEIPIGDWSSMDIAGKSWAVGVAEGFVFTDLGLSKNRHAEDSSIFPLSLA -GKLKVSAYMMGLMEGALRATVLYVATRAGFMKRQVTPDLFWGSVFVGYKLLASNAGLINIWRGKEFEHCF -RRTAHKIPAQYPPSDEDISRMGMTFFRVKQGSFASLRSWHLENHGIWIFSDINTANTIGCLAIAGKIFDA -VNNPSQGGDLKEKLRVYRNTMIQCRTGDFEIDTRELFAITSSLRFVNREIRHSMKEVDKEDPDLKLPTFV -LKSMKWTPELVGYVHSTKLDYMHGRYIPPDVPIVQIQNPLISGLRTFQCATGAHYKVRSILTHFNFPVAD -ALVGGDGSGGIGSSVLRQYPNSRLIFNSLLVLDNVHLRGSDPGPPSAIQSIPEIRDRCVNLTNSWYYSSD -LSQGQTWDYFRSLIKEHGLNVNLVILDMEVVDHSVSDNIEYLLGLFATSYPEVCIIYKTYLSRLMKQKNV -LTTVGKYYRNVYLTTTQLSSSQTSEIYVVMTGLHMKVNHLQTPIVTKESLVSIKLPCLMTLDQELDRAFS -LKTMNMLKGVPDNLYPQGLDSMRDLLDLLGVKANLAYVIMQNLGNIPDKNHYLLSFPIMILIFQGIVDTN -NIHSIQQNPPSNSKVESIGCFIIAHLLWYGWVKEEKGIIKAGLAFLNHVFPFTWREELIRHKGTSLYKNT -WTLRPAPGVSKYLHLDHKMALIGHIIRTLRVTFPRTLEGVVPRPINEVLKRVYSRMTWQTFNSMSGVVDL -YQGAMSLDHLSGHPGDLSYTISDSSVFREKAWTS - ->YP_009505491.1 RNA-dependent RNA polymerase [Drosophila ananassae sigmavirus] -MEEDSFSQFLTDPMLDDEQFNLFEDSDIPSVPGSSITGLSNNDYSLNSPLVADEMVEYVRYVKYGTTSKR -WSMKRWESRRTIMERHVNLDKLLFADSFHKFFAEFNLYHPEDSTEFKELLTESINDSKDTMEIPNAFIRG -WIGPEFVPRKEVKFTKEILRWGSFFWELHQVILCLNAKTHFEATNLSKWIKMKLVWCEERKGMSVDLKNF -GLVLMSEGFVYFKNHNILLDRNCVLMMKDTYAARFHTLLAMSSRVDKKFTNLDIDKMNEIYKLGDELLAR -EGSDGYKGLKLIEPICNLRLTELARKYRDKIPRFPKFKVHVTNKVRALGTRFHPISTMSRLIMKEDSVEL -VLTIYGSFRHWGHPMIDYKAGLEALYSQVNAKKIIDRIYAEQLASDLALRVLKYKFHQTKTWYVDVDLMD -DSPMKDMMRQNLYPTPAMISDFGDNWHKLPLKKCFNIPEMIDPSLIYSDKSHSIQYNELVDHLQGPNRNL -PVPSKKVLTTLLEREATNWPEFLERVDKVGLPSNSLLIGLKLKEREQKDKGRFFSLMSWELRDYFVFTEY -LIKTHFVPLFEGLTMADDLTTVTQKMLSSSSGQGNDDYNTITIANHIDYEKWNNHQRGDANGPVFKVMGQ -FLGYPNLIARTHEFFEKSLIYYSDRADLMMVRDGKVVNMSDFLVCWDGQKGGLEGLRQKGWSIVNLLVIE -REGKSRNTTVQTLAQGDNQVICMKYKPRTSSGDRDLIKNLQEIVQNNNNLMSNIEGGTKRLGLIINNDET -MQSADYLNYGKVPVFRGNIRGLETKRWSRVTCATNDQLPSLGNIMATVTSNALTVSHHSTSCINSIYHMN -LLGSFVRNIIMKHNPSIQSAPLFDPDSGLTVKSRTFKILSVYLDPSLGGVSGTSLTRFLIRAFPDPITEA -LTFWRIIHNNTVDNDIKLLCAKVGNPRTVPFQSRHISKLAEDPQSLNIPRGISSKTMIKEEIKYALLLNR -SKIKNEVVSSILDHIQRDELSLWTLLESIKPRFPRFISELRASTFSGLANEIVGLFQNSRTIRNQFKHKF -SERVNKVIMQSELLSIKTLCKYDSERHQFQMWSCSSQKADNLRVRSWGDTVVGATIPHPMEMTTSGHLLT -EPCNLCNLGNLRNQYIAVHLPEGLTNYTDKRGPCAPYLGSRTSESTSILTPWERESKVPLIKRAMKLRNS -IGWFIDPDSNLAKTICDNLTALTGEDWSGKVTNYRRTGSALHRFSSSRQNTGGYAAQSPAKLTWINSTTN -TLTDIGDQNYDFIFQSLLIYSQVTVGELHDGIPAQGCYHFHLTCLDCLRPIEEPTLETEMKYKFQDMSKL -LEKWKPENVPWSHTRDPVYLPQGDWSAVANSRKSYHIGRAEGFVFGTGSLSKTDHIERANLFPLSFRGKV -CPINYMEGLLDGLVRSSAIEVINRRQVIHCLKPQSALMGSILNLIRVIGTSPSLITLWRTDDFLDLFYQS -RHKVPDSYPLKDVDLTSLGTSYLRELLANSKQYITWGNYKPERIWIFADLDKKKWIGLFGISTKLLPILC -NPVVKSTSFQLFRDMKSLTSSILTMDFTYIEPPIDNVIGDLVGLDREVRHALKCDSYDFIKENLRARTIG -RGQWGREYICKVQAYDVHYDNGQLTDNPTPIPNVPKFTNPSISGFKTFQCSSNAHFKLRGIIHHIHFKPN -DIICGADGSGGMSAMLLREFSTSRLIFNSFLKMEGVSLRGSNPSPPSAIHECIEVRDRCINLMDVWQNPS -DLALGVTWTYFRRLIHRFNLKVNLMVFDMEYQSEEITRKIEENLILNLDIMKDRNCCVIYKTYLGILYNQ -QENVLTRIGPLFKSVQVMHTELTSSQSSEVYVVMQFLKRDNIFRRNVNLAKLISDTMISWPVFQSIDSEI -DRAQSVMRMDLMMGVPRSLRIDPFIELGSVLRSLGVQSGTTFALLGVGDKHSEPIPPYQPILVYLVSMNS -IFDVIMESSHPLNIPSDETVLNIGSLMIGFMLWYGHQFDLRYEIARCLSILNNNFPFNWGCLETSGGRFR -QVSSLCNKMRDRKYLNMASKIGLISHLMRLFHLAYGNMEMLNDTKTLDNALKKFNAGFNCKRLGVRNGVI -SDIHSLSPIITEEASPGFEIMDPTGLEEVVWRS - ->YP_009505485.1 RNA-dependent RNA polymerase [Drosophila affinis sigmavirus] -MENDYEDPIGCDIDPDHFTESYDFCEDDIIPTKASKISTNLSNKDYSLDSPLIRDEIDDFIKYWSCSHPP -IRWVHYIWTIRRKFIIYLGAHRFKPLGADKFFHWFGSIIDQPMDKNGFDRVWRKTIQDAKITTIVPDTFF -DHLLGKIPTPHQVTNDSESIGHRISYGRLFWEFHLITLALNISSSNALDNFAVKVMILIRFQIRTNTCFV -IKLNNIGEVWIMEGMVYLAKHNMLMDRNMVLMVKDTVAARFHTLLAIENRYDTHYTPHSAKNLISVYKSL -DKVLEEHGESAYDLIKMVEPICNLQLSALARAFRPSIPEFPNFRRHIETSINKLCETIPQSKHLLQKLRG -LNHVEDVLTVFGSFRHWGHPFINYKEGLNRLYTQVTMKKEINVEYANGLASEMSKTILMSKFKETSSWSV -YLDQMSKDHPLYNPFVDARLPDSGELDRAGKTWHLVPIKPCFKIPDIIDPSNIYSDKSHSLTRSELIELF -RRSNVTRIPSHKVLKTFINSPSTCWPEFLQRVNDQGLDDDSLIIGLKAKEREIKKYGRFYALMSWELREY -FVVTEYLIKTFFLPFFKGLTMADDLTMLVRKMMDSSNGQGGDNDDNITIANHLDFEKWNNHQRAEATDPV -FRVMGQFIGYPNLFTRTHEFFEKSWIYYADRGDGLAIIDGNLVNKGPDRFCWNGQPGGLEGLRQKGWSLL -SLLIILLESQISNTSVKCLAQGDNQVICTQYKLNPSASQNYREAQIANIVRNNNQILLRVEQGTNKLGLI -INRDETMQSADYMTYGKLPVFRGNFRCLEGKRWSRVLCITNDQLPTFGNIMSTISSNALTVSHFSESPVN -PIYHYNLLGNFGRVMNEFFNPATQCVMSTLFKRPEMTKSQSYKIASLYLDPSFGGVGGVSLTRFLIRNFP -DPVTESLTFFRIISNHTDNLKLKALFIQFGNPTLEEATLINFSKLLEDPLALNINAGLSVKTTLRNAIRQ -HIIANRTSINNELVAVAAQYLNEAEQTLNCYLHSINPLFPRFISQFKSASFFGVANSIVGLFENSKTIRN -AFTKSLGDVIDRAIVRSEIISITSLGKHEQLATRNQELWRCSSSHADKLRKLSWGRKVIGATIPHPAEMI -GPLFNRVPFCKACSSGSGSNMFVSVMLPKGLIRYWDSRGPFTAYLGSSTSESTSILRPWEKETDLPVIKK -AADLRKVIGWFVDNDSNLASAILANLESLTGEKWDIVGSGFFRTGSGLHRYICVRQSNGGYSAQCPVKST -WMSITTNTMIDLGERNYDFMFQALIIYTQCLAGELHDNNPLQGWYHGHISCPECLREIEEPKLETIDVFS -PPDLSSIMRKWKPEGTEWSQKIPQYHIEPKSWETITDTSKSYNIGRIEGFLFAEKTINKLNYIESKSLFP -LTLQKKVHPEPYMEGLVDGIMRDVALSIVFRDRTRAYNGYIPAFIGKCLQIIFKLSEDDCIIALWRHERF -EELFATIPRKIPPSYPTSNSDLGALGRNYLRYKLQVYTGQFKLTTIRPILDKSIWIFADVNHTLVIGLLV -LSQKLLPLIAQHQFSNAERDTIRSIKQLVQHLRGKVNDDPEVTRLLTHVDVNIHSTPSEVRHAAKYITQP -ATCDFASSDLFLNWGPEFKCSVSEVNVYPTVEVQRSVYTVSVPRIQDPLISGLRLFQAATGSHYKVRGIL -YKLSIRPRGAICGGDGSGGISAMVLRTYPHCKVIFNSLLDLTQSSLRGSEPSPPAAISCIPGIRRNCINY -DDAWQHPNDLAEQPTWDYFSDVIKKHKLNIDLIILDMEVQKPETHRRIMDMVETNAHLILGETGCLIFKT -YLSLLFSSDTSIVHRLVDRYMVCKIFTTSLTSSHSSEVYCVFGRPKVTRTPPPCYPDMLGVAAMINEFPS -QSTRKKEFERALQINVAAVLTGVPTQILSDPHLEFVSYLHSIGVRAGVANTIMEVIKMNKVPYMIDWPLF -VLLSVLNDLIDVTHVYSARKDPPSDQKIINIGAFLCGFFKWMGWALRRQIYNDRAQTIIEQGFPFTWDCV -KSAKTKGWRTIWSVCGHGDVKKLVMINHKMSSVGFVIRYLTLIFGTLVDQVDSESLAETLRKRDSSLSYE -SFLENTDILQYFILGKPIVYTGLLRGFDIERGECRENTWVS - ->YP_009505479.1 polymerase protein, partial [Lake trout rhabdovirus 903/87] -MSYDDTWEDPHWGDSTDDADIPEWLSDDIVRGNPLNQKDYSLNSPLISDATIALMNYLNDGLTEKRFERS -NANFALIQKTLKTQRWKHPEVHNHKWMGLWINKEETCKAFANLIDQTNADVLETEGILQAFLKGWIDQTT -SAPTKTGWTARQRSYGAKFFLLHRMILLMNAQSEEERDLLQKSLHLTRAIEKELIYRGESTTLGKYTLTS -DFLLLQDQEIILDRTFVLMLKDTLVGRMQTLACFMNREDKKYEDGIIEKMEKLYWIGDKMLADIGDDAYA -GIKLLEPICNLRLAEMARTFRPLVPEFPHFRQHVETAVQEESQENFHLSDFYDFVNAEQNVETLLAFFGS -FRHWGHPYIDYFEGLKKLNKQVTLPKEIDETYAEALASDLAYMILRKHFNTKRIWAVAKERVANDHPLKD -HIKNATWPTPKQIDDFGDNWHKLPLTKIFEIPDLID - ->YP_009505474.1 hypothetical protein [Nishimuro ledantevirus] -MDEEDYSSFGEEKFFDAAEEIEELDTDPETYRFDQLNKTDYSLNSPLILDDVQAYVAKRKGLEHELHTSS -YNWEIRDRYLDYHQHDLGSVHDPSLNHCWWGRLTQLPVETTPLIDKLLLDTAIDHRETHPVIESFFSGWL -EETTSDLSQEIVNTPREIKRYGELSLILHYLVIALNAVSISELENIKRIFKLKMTTENHTIIGARLTLPI -FGETHVFGGLIWFEDQNIVIDRNFALMMKDTYFGRFQTLFGMHCRKVNPHPIEEIHELLDIYEAGDFLLE -MNGSEGYDVIKLLEPCCNLRFCEKAREERPLVPEFESFKHHISNSKEDVKHMYGASVFLDLILQIQSLTL -LTVVYGSFRHWGHPYIDYIAGLKKLHDQVNMPKNIDKDYAEALASDLAYIVLKKKFSEHKRWFVDTNLVK -PDHMLQSHIKNNTWPTPKQIEDFGDKWHTLPLVKCFEIPDVIDPSNLYSDKSHSIKKSEVLEYVKSGRLG -PIPTLKVLDTLLHTPSTNWPEFLDKIDREGLDNDDLVIGLKAKEREVKKHGRFFALMSWKLREYFVITEY -LIKTHYVPLFTGLTMADDLTTVISKLLDRTQGQGGMDYDNICIANHIDYEKWNNHQRLESTGPVFKVMGQ -FLGYPNLIWRTHEFFEKSLVYYNGRPDLMEVYNGQLVSKGNNLVCWQGQKGGLEGQRQKGWSILSLLVIQ -RESLIRNTKVKVLAQGDNQVICTQYKLRDTHDTSSTLRCLDEVIKNNDVIMKAIKNGTNKLGLIINEDET -MQSADYLNYGKIPIYRGRILNLYTKRLSRVMCTTNDQLPTMSNIMATVSTNTLTIAHFDETPINAIYYFD -ILSNMTRNILEKHNVILGGRVKDFIPSRLLKRRSYKILMNYLDPSLGGACGTSLARFLTRMFPDPVTEGL -SFWKCVWANTTDALLSDISKHAGNPKLSTLQEGGFEKLLENPSSLNIPKGLSLTNVLKEEIKKCLLKEAP -NLKNEIVRIAAEHCLSEEERLMGFLESIDPLFPRFLSEFRASTFFGITDSLIGLFQNARTIRSIFSKRLE -RDLSHLTQKSEIESYRAVSCELTEGRMWNCSAEHADLLRRISWGRKVLGATVPHPLEMFSRSIRVDRGCP -LCVDGQDNDFLVTLAPLGLSSYYKRKGPYPAYLGSKTSESTSIIQPWERETNVPVIKRASRMRTAINWFV -EAGSNLGCSILSILRGLTGEDWEQQTEGFRRTGSALHRFSCSRISAGGYAALAPTLLSWMITTTDTFDII -GSDNYDFMFQPSILYGQVYTACKYRHHNGSITVHHHLGCKGCLRKIDEPTLESSRIYEHPDVSHILAKWK -PENTQWGQNKETYRLKEVEGKDISPFELSFQIGRAEGFLFGDMLLSENKHMDDSSLFPLTLQQKLNPKAF -YEGLIDGMLRAVSLTIIHRRSVAQMRRPRPTLVGGMIHCIDALTQRPPFLNMIRDGPLHSYLMSEPHRVP -ASYPISDADMGAIIRSWLKRRFFKIEQKMVNYSPLVQRVCIFADMTAPEIIGPLVLSSKILPLLFHITLS -KKQLSDLRELRDMSAIVRDVYNPARAQIGSANAILCREEIRHALKYNHKKIESNVPLAWGPEYISYISHF -QLQPLIKPLPIPNPVVKQHRCPLISGLRVGQIATGAHYKIRTIVARFGLNYRDFICGGDGSGGMTAALLR -FNQTSRGVFNSLLEYEKSSSRGSKPGPPPAITACTHVINRCVNYWTTWSDPSDLSKEVTWENFSFHIQRN -FLKIDLMVFDMEVREFNMSAEIECLIESRGLELLELNGNLIYKTYLTRHIDGIIPIIHRLGKYFHSVYAT -QTGLSSSRTSEIYVVFLRKRDRAVYQELDWNHFWLSSQKFGALCSEAEEFQRALKINPNDLACGIPEVLF -PDPEVELCTLLGILGVHDGTSSQLAKELKFVPSGLKSSVLWAILLVSVNNIFDVTSEHTVIIGIPSDPSC -EKAVTLILGFFYWFTWITRDLPLFKYLNKINDDQCPISFNRLQVKRHGKIGHVLSWSCSRKGQVSKSVNT -ASLQAGIGKIIRLFSQAANERQTINWKLVWAITNRFNKSLNASLIKERTGIWEIWNRCKLYDGNAKPLKS -SSEDETTSWRS - ->YP_009505470.1 polymerase [Mossuril virus] -MEDENEDFDQLQNEYEISADLDGELDVEWLEQIDSMEFLNQFDYNLNSPVINDHLEELEKYIRNREYNPV -FEKSTWHFTKQAFVKHGFLATKENTDVWKYHRFCISYLRRGYKPGQASLFRSYLTSCINNSRETGIVLQV -FLKAWAKKTVDLTSVYNIDILNDIPNEVWYWGDLFLSIHLIILHLNCTGPREALQLNATFKSSGVEGVED -KTSFQFNSNMFGRLVVSGGYVFLKDHSIILDRNMLLMLKDMCVSRVQVVLSILYPEGDLYRKHQSAKKLI -DLYLIGDSHLLEEGNTGYDGLKLIEPICNLRLCQLARRYRPLIPEFPEFQNHVYRSIAEKQGNSPYLPAF -LNAIINEEDVNQVLIYYSVFRHWGHPDIDYMEGLEKLHAQVTMEKIIDDDYAQALASDLAYKILKKKFFE -KKKWFVDIDRLDDKNLLYNHIKSNTWPNQYQIQEFGDNWHTLPITKIYDLPDVLDPSLIYSDKSHSMNRS -EVLDWVRNNPNSPIPTKRVLQTLLEKPETNWVKFLQKINDEGLDLDSLIIGLRAKEREMKRIGRFFSLMS -WELREYFVYTEYLIKEFFVPLFKGLTMADDLQEVIKKMLENVSGQGLDGYDFISIANHIDYEKWNNHQRY -ESNCYIFKVMGMCFGLPNLFLRSHEFFEKSLIYYNQRPDLMVCRDTTMSPQSPDFHVCWDGQKGGLEGLR -QKGWSIVNLLVIERESKIRNTLVKVLAQGDNQTISTCYELVPTYDEEELVHEIDKIIQNNNAVMNAIRGG -TNKLGLLINEDETMVSADYLNYGKVPIFRGIIRGLDAKRWSRVNFGNNDQVPSLGSLLSSVATNALTVSH -FSETPVTAMILHNLFANLTIELLKIYNPAVRAPLNQKVRDHSWLGSREFRILVIYLDPSLGGIGGTSLTR -FLIRMFPDPVTESLSFWKVIAANTDDKKLKDLAITCGHPILEEFNPEHLDKLIENPVALNISRGISAVNL -LKNQVRTNLINNRSKIQNSIIRLSLDYVHQEEITLYAWARSIRPLFPRFISEMVNSTYYGITNSIVSMFQ -NSRTIRNQYKNRYARRIDDVICVSELIGIANIIKICRRSNFEDQDLWKCSSTLADELRLASWNDKVLGTT -IPHPLEMLGEADNLTNHCNGCLTSSSYLSVLVPKGLHMTKRMKGPYPPYLGSRTSETTSLIQPWDKDTNI -PLLRRAVKMRNSISWFVDPDSKLASSILNNLESLTGEDWSAHQVGFKRTGSALHRFTCARQSNGGFSASA -PTNLTWMICTTDTMENLNDKNYDFMFQSLIIYAQATTSVIWGDREDCVNVHYHINCPECLREIEEPWLES -EWELILPDVHNLLGSWRPNPDAEWGTSKVNLDIPESDWESVPPKTKSQQIGHIMGFLYTDMLLSHSKHVE -DSSLFPLGIRGKMHPQEFFEGLFLGVQRACALQLIHRRNLLELKKPRIAQWGLSFYVLESLCESVGFLNF -IRDGPLHEAIMMSPHKLPSSYPLNNRDLGSIGRSYLKNLLVQWFNGNLRLNFNSQAWLFADLQSHDIIGS -ISISIQALKLVMTTKQNQRFKETIRRIQEIYINVKNEQWELINVPELIRNVLVCSQELRHAVKFHMERPP -QAIRGLNWGKEWTGSVIRYQVLYDSLQKVHDPLGVPRRGHPLISGLRLNQFATGAHYKIRSLLTDLKIHW -TGAICGGDGSGGISAYLCRSNPNGRVLFNSLLMMDGINFKGSHPSPPAAIMALRGDRSRCINLDDVWKHP -SDLSKENTWAYFFQAGKIQKIKWDLIILDMEVTEMDIMEKIEKNVATWGLQLLEPKGTIIFKTYVNRVMN -YNSIIDKIGPQFSEVFLCQTSVSSSYTSELYAVFKCLEISTVPKLFPDKQDLSYALQRTHCFQNHYNELK -RARELQSADLLLGVPREVLSDVIVDFGTILTILGLESGYAVSIAKSWQRHKGKNHINYLLAVCLLCCESN -YQTTHKIKTNLNVPSNPQLLNMLTVVHSLWSWIALKTNSLDLYAQTYEMINNSVRVNFGRHLKKDKIFQS -WNFSGQGEKSKTIRLSHRSSQVAQLIRLYQRMFKDDPVVPHNQNIQVILKFYNEGLRPARLMKSTGLFEF -LLYV - ->YP_009505326.1 L polymerase protein [Vesicular stomatitis Indiana virus] -MEVHDFETDEFNDFNEDDYATREFLNPDERMTYLNHADYNLNSPLISDDIDNLIRKFNSLPIPSMWDSKN -WDGVLEMLTSCQANPIPTSRMHKWMGNWLMSDNHDASQGYSFLHEVDKEAEITFDVVETFIRGWGNKPIE -YIKKEKWTDSFKILAYLCQKFLDLHKLTLILNAVSEVELLNLARTFKGKVRRSSHGTNICRLRVPSLGPT -FISEGWAYFKKLDILMDRNFLLMVKDVIIGRMQTVLSMVGRIDNLFSEQDIFSLLNIYRIGDKIVERLGN -FSYDLIKMVEPICNLKLMKLARESRPLVPQFPHFENHIKTSVDEGAKIDRGINFLHDQIMSVKTVDLTLV -IYGSFRHWGHPFIDYYAGLEKLHSQVTMKKDIDVSYAKALASDLARIVLYQQFNDHKKWFVNGDLLPHDH -PFKSHVKENTWPTAAQVQDFGDKWHELPLIKCFEIPDLLDPSIIYSDKSHSMNRSEVLKHVRMNPNTPIP -SKKVLQTMLDTKATNWKEFLKEIDEKGLDDDDLIIGLKGKERELKLAGRFFSLMSWKLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMLDSSSGQGLKSYEAICIANHIDYEKWNNHQRKLSNGPVFRVMGQFLG -YPSLIERTHEFFEKSLIYYNGRPDLMRVQNNTLINSTSQRVCWQGQEGGLEGLRQKGWSILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKSRNVVELQGALNQMVSNNEKIMTAIKVGTGRLGLLVNDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAENPINAMIQYNYFG -TFARLLLMMHDPALRQSLYEVQDKIPGLHSSTFKYAMLYLDPSIGGVSGMSLSRFLIRAFPDPVTESLSF -WRFIHLHARSEHLKEMSAVFGNPEIAKFRITHIDKLVEDPTSLNIAMGMSPANLLKTEVKKCLIESRQTI -RNQVIKDATIYLYHEEDRLRSFLWSINPLFPRFLSEFKSGTFLGVADGLISLFQNSRTIRNSFKKKYHRE -LDDLIVRSEVSSLTHLGKLHLRRGSCKMWTCSATHADTLRYKSWGRTVIGTTVPHPLEMLGPQHRKETPC -APCNTSGFNYVSVHCPDGIHDVFSSRGPLPAYLGSKTSESTSILQPWERESKVPLIKRATRLRDAISWFV -EPDSKLAMTILSNIHSLTGEEWTKRQHGFKRTGSALHRFSTSRMSHGGFASQSTAALTRLMATTDTMRDL -GDQNFDFLFQATLLYAQITTTVARDGWTTSCTDHYHIACKSCLRPIEEITLDSSMDYTPPDVSHVLKTWR -NGEGSWGQEIKQIYPLEGNWKNLAPAEQSYQVGRCIGFLYGDLAYRKSTHAEDSSLFPLSIQSRIRGRGF -LKGLLDGLMRASCCQVIHRRSLAHLKRPANAVYGGLIYLIDKLSVSPPFLSLTRSGPIRDELETIPHKIP -TSYPTSNRDMGVIVRNYFKYQCRLIEKGKYRSHYSQLWLFSDVLSIDFLGPFSISTTLLQILYKPSLSGK -DKNELRELANLSSLLRSGEGWEDIHVKFFTKDILLCPEEIRHACKFGIAKDNNKDMGYPPWGRESRGTIT -TIPVYYTTTPYPKMLEMPPRIQNPLLSGIRLGQLPTGAHYKIRSILHGMGIHYRDFLSCGDGSGGMTAAL -LRENVHSRGIFNSLLELSGSVMRGASPEPPSALETLGGDKSRCVNGETCWEHPSDLCDPRTWDYFLRLKA -GLGLQIDLIVMDMEVRDSSTSLKIETNVRNYVHRILDEQGVLIYKTYGTYICESEKNAVTILGPMFKTVD -LVQTEFSSSQTSEVYMVCKGLKKLIDEPNPDWSSINESWKNLYAFQSSEQEFARARKVSTYFTLTGIPSQ -FIPDPFVNLETMLQIFGVPTGVSHAAALKSSDRPADLLTISLFYMAIISYYNINHIRVGPMPPNPPSDGI -AQNVGIAITGISFWLSLMEKDIPLYQQCLAVIQQSFPIRWEAVSVKGGYKQKWSTRGDGLPKDTRISDSL -APIGNWIRSLELVRNQVRLNPFNEILFNQLCRTVDNHLKWSNLRNNTGMIAWINRQISKEDRSILMLKSD -LHEENSWRD - ->YP_003126913.1 RNA dependant RNA polymerase [Drosophila melanogaster sigmavirus AP30] -MDFEIEDPYDPFSMDAYLDPQDPSFGDLESMRHLSNVDYSLNSPMIADELEAFIRWLQCGCTDPRWNEDR -WVRTKQGLFPGQSPTTIEGAATFSGWFGNFNLKHRYYVVRQFRMILEKAQADSEETKPVVDAFLRGWINH -KGVTLTSKIALPEEELKWGYYFWELHIVTLHLNCTTDQERTHLIKSFKSKSRGMPDVFDFTLYTRNFGPL -SIAGGYVYMFDHNRMLDRNAILMMKDTYVARFNSFLALSNRADCVFPEDAHYRLQMLYELGDMVLDEGGT -SGYNGLKMLEAMCSSRITDLAQSRKPLIPDFPDFRAHVKAKVIEESVNTPSISKMYELIEGTTNYDTLLT -FYGSFRHWGHPYINYLAGLEKLYMQTTVEKEIDQEYVEKLASDLAFLVIQDRFRKTKKWPVDPLLIDKDH -PLVDYIRTSSWPNNSIIKNFGDGWHTLPLTKCYDIPDVIDPSLLYSDKSHSMTRSEVREWMTSHPGKPIP -SRKVLSTLLNSPSTNWPMFLQQVNDSGIPIEQLIIGLMAKEREQKIDGRFFSLMSWDIRDYFVMTEYLIK -THFVPLFKGLTMADDLTTVIGKILENSRGQGEADYENLTITDHIDYEKWNNHQRGEANNPIFLVMGKFLG -YPHLIERTHEIFEKSWIYYLNRADLMDFDGEGNLMNRTELRVCWNGQKGGLEGLRQKGWSICNLLVLRRE -SLATNTVVKTLAQGDNQVLSSRYRIRTSRDQNQLQSNIRDICKNNRSLMERIRIGTGKLGLIINHDETIK -STEYMNYGKTCVIHGNIRNLETKRWSRVTCVTNDQLPTLSNVMATIGSNALTVSHYSDSPINSMYHYNFM -GNFVRIMNEIHNPALRGPVSSIEGVTGQSFSRLSYLLAVLYLDPSMGGACGMSLTRFLIRMFPDPITESL -TFLRIVAMNVHSDEVRQTFIQFGNPKLKVFSPEDLSKLLEDPLSINVPKGLSATNLIKDAIKLSLHKSVD -EIANEIIAEAVIHQKDHEEGFLMHLTQISPLFPRFLSEYKAGTYLGIAEGLIGLFQNSKTIRNQFRRNLD -IGYDNIVIKSEIATIRDLTGYRFEDAEKVEVWSCSSTQADYLRRVSWQQVVYGATIPHPAELFGLPLRAA -PACPNCTTTFPMNLYISVLIPLGFKGLKDTRGTCVAYLGSSTTESTGIVNPWEKEAVVPVIKRAASLRNG -IGWFIEPGSNLAQSILNNLQSLTGESWSQNSGGVRRTGSALHRFSCSRQSSGGYTAQNPSKLTRMIATTN -YLADLGDENYDFMYQSCLLHALISVGEIHPVDGSQGYYHQHVNCTSCLRPIKEVTLESPAPYSHTITSNL -LDKWKPDGSKWSVSRPSIPLRSGKWECVSHDRQSYHVGFIQGFIYGDSVWGIRSMADDPALFPLSFRNKV -NPRAYLLGILHGLLRSCTVSVVHQRCFRSSRAVKQTTLGLCSMTVSRLVQNDGFLNILRDEQFTAVFRSI -PHRIPPSYPMVTQDIGDLASNYLKSQLMTEGLAYFRSVKSGSGDEAWIFADANHPMIVSLVTVSGLMTKI -LAKDIWQKRDLEELKELRSLSVQAREQDDPHTDITAMESLAAEWVVCSNQETRHAVKYNTRTESITDRRL -TVTWGDEYTSSADFVTVVFSVEEIRTPPGMLIPRIQNPLISGLRTAQIATGSHYKLRSILSKLRLNVRGA -LVGGDGSGGLTALVCRMYPTSRVIFNSICDFSDVRLKGTTPAPPSALSHSLNDCTQVVNYSDSWAHPSDL -TDTKTWKYFVDITKSKSIQVDLIILDMEVVDEISISKIEDNLMRYGPQLLTRDGVILFKTYLTRIFKAQE -MILTKCGHVFSQVELWYSDLSSSQTSEVYVLMSGQTKLSHLQVRKPDLMQLRTDVSSFPVFASPLLEFKR -ARKVAGLDLTVGVPPLLLPEPGPEMINLLSSLGVRPDISFSITRSFGDNLSTEFLPLHLFLLALNGIYDV -TTGYRTPPGPPSDQVCLKLGIWIVGYRIWSGYVQDSYAKTSFGQRLIDNFVPFNFWNKQIGRSWFPHWSL -IKPQTYTKNIQLDSEMAHIGSVIRILHRNFPKARRNPPGDFVDRNCSRINKGISCLNMDMKTGILRWMGD -GQDLSGTNVTTRISNFYVTQDDQTASFRN - ->YP_009362258.1 polymerase [Oita virus] -MDLMEDWNEFGGQDGIDNLMEEDDLDLINDNRFHFQHLNQNDYSLNSPLISDDLDGYIRHRRGQSYPRVF -RQSDWWMRDILFDHLKTNFENIRETSDLHYWWASISLQRDIDTSRCEKFLDVLRKEALETAPVLHAFYRG -WIRAELIDPLNNVYISDRNCLKWGELFLMMHDLILILNANSPQEIDELRKILNFQSLKHEGRYIAHKIKT -HLGICYIVGKILYFQRSETIIDRLFALMMKDTWIARFNTLVGLFLRTEECFERDDIEYLHKLYDLGDVVL -KSLGNEGYNCIKLVEPICNWKFCEFAKTFRPLIPEFPNFTRHINRAIEEQGAYYQCNLAFCEFIRDVPNL -KVLAVVYGSFRHWGHPFINYLDGLRALHEQVTLPKNIDENYANRLGSDLAYLVLRKKFSEDKKWYVDKTK -LPQDHPLKRYIEENIWPTPKVIEDFGDRWNHLPLLKCFDVPDVIDPSLLYSDKSHSKNKHEVLDFVSSRP -GEMIPTEKVLKTLLKKKSTNWPEFLRGVDEFGLSDDSLIIGLKGKEREIKIKGRFFSLMSWELREYFVVT -EYLIKEHYVPLFNGLTMADDMTTVIAKLMDRTQGQGGDGYEQICIANHIDYEKWNNHQRKIATGPVFKVM -GAFLGYPNLIFRTHEFFEQSLIYYNGRPDLMQVRNGTLENNSEHMVCWQGQQGGLEGLRQKGWTVVGLLM -IRREARIRNTKVKILAQGDNQVICNQYRLRHSTDEVELRQNLQDIWHNNNAIMNAIQIGTQRLGLIINED -ETMQSADYLNYGKIPVFRGRIINLFTKRLSRIMCVTNDQLLSFGNIMSTVGTNCLTISHFDTSPKDGIIY -LNYFGNMTRLMIERHNPVLGSAIKTTIPEVVGNLNYKRRTLFLDPSLGGACGISLARFLTRAFPDPVTEG -LSFWKCVYNGSYDPELRQFAIDCGHPKVKPASSSKDMAKLLEKPNSLNIPKNMSLINLLKTEIKRSLQQS -VDRIENEVIRDAIDYLNENEEVLMDFLWSIEPLFPKFLSEFRSATFLGVTDGLVGLFQNSRTIRTSFSRK -LYRDINQLTWECEIGTFRSLVGQTRNFLEIWSCSASHADNLRLISWGRRVIGTTVPHPLEMFGPLELLKG -PCKKCSGGIPDFITCLAPLGLTDYLNRKGPYVAYLGSRTSESTSILQPWDRESKVSLIKRAVKLRNAIHW -FVEKESNLGHAILSVLQGLTGEVWSQSHESFKRTGSALHRFTCSRMSSGGYAACNPTKLSWVIISTDTFE -IVGSKNYDFMFQPSILYGQISLTEIGKGISREMTGHLHLECRSCLREIEEPVLDSRIQFDHPDVSGVLKK -WKPDKTAWSVNKPRFPLQEIPISGVSAEEISYQAGRVGGFIIGNEILGDQLYLEETSLFPLSIQGKVIGQ -EYLYGLLDGLFRSSGINAIHRRNIVHPKVLQSTLMGSVIHCIEGITENKSFLALCRKGSILHELVHSPHK -VPPTFPISDIDMGSLIRTWLKKRAFDMDRSWKIIKKFPRVLLFSDVVGSEVMGPLLLSSLIMRELAEGLQ -SDSGRMKKGWNNRIRDLREMSTAIRSGVADFKVPDYLLRGGVTCGEEMRHACKYMRTFHHQDTPHLIWGR -EVVGDVVIKELDLVEHLDQISLKQLPKFPKIQSPLISGLRFGQIATGAHYKLQAIIKRVGINYSDFLCGG -DGSGGMSACLSRINPTARYIYNSLIEYRGSSLRGSSPGVPPAIQNCTYDPERCVNKDNAWMEPSDLSCLN -TWTNFRRLKEKHRLKIDLMVFDMEIRSKDTQAKIEQLLEEEGINMLEPGGTVIYKVYGSDLSCHPNNNII -ARLGKYFLSTSVVTTSYSSSFTSELYAVFRKRLEKATKNKYIRFESLCDALTICWALSDHKSELERARKI -SYIEAIQGIPKELIQPVKVQLETLLSIMGVESGLSYSLSMRIESSQNPGDVISCIVVGVNSVINVTAEHK -DEWIIPSDGEIKGALACLAGVGYWVCWRNLDVRLYKYLDSLIKIAPIVSIKKLVNHTTGRKSIGWKLGGP -GNVFKKVSLSDSLASIGSWIRVLGQKTLGPWDQNINYNVVKEFNKGLTNQKVEEYTGIADVLLGRSRSAG -NVVENLKQEEIKEESSWQS - ->YP_009362251.1 polymerase [Sweetwater Branch virus] -MDDLDSTDWEYEIGWDEADSLEYEDLESVTDQYCELTLLNLHDYNLNSPIIPDKIDTLIKYLNGLPYEEI -FFTPDFEFVQEALKLNKIVNLQDLPSFDQIIKIWPQLISSRTKTHEEGRAVLEETFKDLTQMYEVIRAFY -KGWLNQDPPQDVGTVIESIEKIPYDEIYMFGTFLDLFFITNLINARTIMEQKNICLKKKWKANLINKRLI -FFSGNAGSFGPFILSREYLYLIDYHMILDRNMILMIKDTLVGRFQTIFSMRTLQTEYKFTEIEILELKKL -YELGDNILMSNGKEGYDIIKTLEMICNDWLCTESFKYYRPMPDFINFRNHVLQTIEDLSVNISPIAKQWY -QHVHDCNNIHLILVYYGSFRHWGHPPIEVLRGLEALENLVNEDHIIDDDYCQALASDLAYKVLKKKFKED -KKWYVDASIVPADNLLHDHIKNNTWPNSNTRVQFGDNWHRLPLVKCFDLPDMIDISSIYSDKSHSIQKQE -IIQHIQLYPYRPIPTKRVLNTLLEKESVNWPEFLSQIDKDGLPDDDLVIGLKPKERELKRTGRFFSLMSW -NLRNYFVITELLIKEHFLGLFNGLTMADDLQNLIKKLLDRTSGQGDSVFKKINIANGLDYTKWNNYQRKN -SNKYVFRVMGQFLGYPSLIEKTHEFFETSLIYYPSRPDLMMINNGIVTNKTQQIVCWNGQLGGLEGLRQK -GWSVLNYLMIERESKIRNSQVKILAQGDNQIIFTSCFLDPYYSEDELLDHMLRARRNNDAIMDAVLSGAG -KIGLVINMDETMQSCCYANYGKLVLFRGKILGLPTKRWSRVTCSSNDQIPSLGTLLASVSTNSMTVGNFS -ETPHDAILGHLLFGLITLEILFKHNPAIRGSPESYIKQHELMNHYLFKILLLYLDPSLGGIGGTSLNRFI -IRAFPDPVTESLSFWKIIGENTNDPHLQKLAISAGSPLLASYREDHFQKLVEKPESLNIPKGISSTNMIK -EQIKSSLINNAHNIRNKILRDVTIRIRDEEPSLFAWLRSIKPVFPRFLSEMASSTFYGLSENLISLFTNS -RTIRNCFRSKCVKEVDYLIIKSEIIGIVSNIKLVIRALTNSDGAMWECSATRADELRKRSWGCDIVGMTV -PHPIEMHSVASAVGGECGLCFYDELSSNYISVLTPKGIPQTDYNGPNGPYKPYLGSSTNEGTSILQPWEK -ETKIPTIKRAARLRDVISWFVEDGSNLGISILNNLESLTGENWGNFLRGFKRTGSALHRFRCARISNGGY -SACNPTKSTWMIVTTDTLSHLNEANYDFMFQASIIYSQVSVSSTLYRFNQVHHVHIDCHQCLRPIEEPVL -ESDWVYKPKDVSELLKSWRPDPLSPWGCTKKLCVIHNNNQDWEYEKPDHKCFFIGFVLGFCFGDQVLSGV -QAIDSSLFPLSIRSKLNPDYFYGGLLKGLKCISSLHLTHRRNILNGRETRVILYGTLYYLIEELSCNSDF -IQFVSVGHLHNELLLSPHKIPPSYPLSGKDLGSLVRSYLKFKIKENDLDQQLDYLWIFADIRTPKLICSF -GISIYTDTLLSKQQLTKKDKENLKVYQNDYILANNDELPEISMTYYLRKLKFCESELRHSCKFTIMSPAD -ALQRTTIVWGSEASGSVSIVHGEYQVNQSGVKVDLVPQLRNPIISGLRLFQCATGAHYKIRSILKNYSLQ -FKDVIVGGDGSGGITSLCLRLNRTSKAIFNSLMILEDTVLNGSRPSGPSAVASLGADRCRCVNYETAWQE -PNDLRSYETWEYFAKLVHKHNLKVNLMIFDMEVTDQESIRSIDEHLIQNLRSLYSQKSSYLIYKTYTNRI -LNQDPIILKIGQNFKNVDFVTTEFSSFHTSEIYVVAHNLEDMPILSRGWLSDSTLIQVKNLSYASQTVSS -EVQRAFKIRARPDLLNGVPIHLMTDPFLDLSTLMVMSGVMSSDACKILQTGSNHINVLNLIISESVIAMN -NIFELTSIKPKGVKIPSNPELNTLFSLILGIGLWTSLICKDEDQIRLLDAIINKHVYTEVTITARKVTKG -YLGSWSIMNRFQDRVVCQKKYHVSQKLAMIGQVIRCCELCYRNKKCKDTYLSEKELDDTLKSRNKKLRLK -YILEMSDLLFFYSPHIFHST - ->YP_009362243.1 polymerase [Mount Elgon bat virus] -MDELSHNDEWNDYASDDDLNSYEFDDLEDEENFNLQSEIKFHYDNLNQNDYSLNSPLIEDDILGYIQHRR -KQKYPSVFRQTDWLIRDKFFDKTDVNFWDVKLPKELHQWWGKINQIKHINTSRGKAFLIDVIENHRETSI -IPKVFYEGWCKKEFEEPLNTTNIFDEHILKWTEFFLMMHDLILIMNANSSYEINNLKKILKFKIAKKDGK -IVGFRLATHFGLTYITGKTIFFRDHNVLIDRLFALMMKDTWIARMNTLLGMKLRTLHIFSIEEYNIILSL -YKLGDKMLSEIGNDAYDAIKLLEPICNLRFCELAHQYRPLIPPFPAFGVHIDNSVNELIDKTKIITLFKD -TILNIDSLELLVVVYGSFRHWGHPFINYLEGLEALHTQVTVEKTIDEAYAESLGSDLAYMVLRRKFIEEK -KWYVDIAKVPNKHPFKQHIQENTWPTPKQIDDFGDHWHELPLTKCFEIPDIIDPSLLYSDKSHSMNRDEV -IDHLKKKPNEPIPTKKVLDTLLHTKSTNWPEFLKMINEIGLPRNAKIIGLKGKEREVKKKGRFFSLMSWM -LREYFVITEYLIKEHFVHLFNGLTMADDLTTVISKLLDRTQGQGLDNYSQICIANHIDYEKWNNHQRKEA -TGPVFKVMGQFLGYENLIYRTHEFFEESLIYYNGRPDLITVDGDSLKNKTEATVCWEGQKGGLEGLRQKG -WTVVGLLMIRREARIRNTLVKILAQGDNQVICTHYKLRGYEDERDLRTNLQDIWNNNQRIMEAINRGTNK -LGLIINNDETIQSGDYLNYGKIPVFRGRILNLFTKRLSRIMCVTNDQLLNYGNIMATVSTNSLTISHFDI -CPRDAIYFFNFFGNLTRLMIERHNPVLGGPVSSHFNMLELRSLKYKIVSLYLDPSLGGACGTSLTRFLMR -AFPDPVTEGLSFWKLIYETTTIRELQLICQNAGNPRLSSAKSSKDLIKLLEKPESLNIPRGMSIANLLKA -EIKKSLQCNISKIRNEVISDALIHLNKEEGNLLSYLWSIKPLFPRFLSEFRAATFIGITDNLVGLFQNSR -TIRTAFSKKLYKDLNKLTWDCELSTYRALIDNRKRGCGIWKCSSTHADLLRQISWGQKVIGATVPHPIEM -FGPPSKTEGNCESCKFRSDDYITCLIPNGLNKIKMKKGPYLAYLGSKTSETTSVLQPWEKETNISTIRRA -AKLRNAIHWFINPNSKLSSAVLSILEGLTGEDWSQSVEGFKRTGSALHRFSCSRQSSGGYTACNPTGLSW -MITSTDTLRIIGSQNYDFMFQSSILFGQITSIEKYYMCDIPITLHYHLKCPQCLRPIDEPSLDSPLIYKH -PDVARTLKKWKPADTNWFKKKPSYCIPMCDFKMINPNEISFQIGRAGGFLSGNKALGDCEYLEESTVFPL -SIQHKIDGFSYLSGLYDGLLRACCINVIHRRNMIYPKKARPTIFGTLLHCIDLLSQSSVFVNLTRKGPIF -QELINVPHKTPPSFPVSDLDMGNIIRNWLKNLLYESENLERYEPRYKKVCLFADMTGPEVIGPYVMSSII -TQIIMNKKSTRSQIDKLREVRNLATSVRSGLIEEIKLPIESLCYRCDSEIRHACSLIKDKILPNKLNLIW -GREMICGVKEFPIYTTASIKDVSLILKIEKRNCPLITGLRVAQLATGAHYKLRGIVKYFGIKWSDFLCGG -DGSGGMSAALLRLNPRSKCIYNSLINFKGASLRGASPGAPPALENCCNDGFRCVNKDVAWEEPSDLQERA -TWENFKRHQQRSNLQIDLIVLDMEILDDTVIEKVYRNIEEYGLDILRKRGTIVCKCYADHIIRTWPNSLL -TKIGTYFNEIFLCYTDLSGSYTTEFYAVFIGKKEKRDINRYLDVESLRNAMINCLANKANKEEFDRAIKI -KYMDLWKGIPNELKPSMKIQFETLFSISGVETGLCYSLSQVITMNKNAFGKIFGALIVACNSLCNTTQEH -LDLWEIPSDGDIRKMMTMLLGFSYWLAWCSEDLKFYEYCLYLSNNPVVIGIQKRELHKRKTITWHIGGKC -HIAKKISLKEENAGIGSWIRVLSQLTNKISYDDNWERETLYFNQKLSYRHVDKKTGILEIIKRRIISIGN -GEPIKEEDVKEETSSWRS - ->YP_009362003.1 polymerase [Fukuoka virus] -MDDEEFSSFGDETFFDASDELEENEIDQETYRFDQLNKTDYSLNSPLIVDDVQSYVAKRKGLPHDLHTSS -YNWDIRDRYLDFHRHDLGSVHDPSMNHCWWGRANQFQIEQTPLIEKLLLDTAIDHRDTHPVIGSFFSGWL -GENTDDLSQEIIGTPREVKRYGEFALILHYLVIALNSVTIAELENIRRKFKLKMTTENNIIIGARLQLPI -FGKTHVFGGLIWFEDQNIVIDRNFALMMKDTYFGRFQTLFGMHCRKVNPHPIEEIHELLDIYEAGDFLLE -MNGSEGYDVIKLLEPCCNLRFCEKAREERPLVPEFESFKHHINNSKDTVKHMYGASVFLNLIIQIQSLSL -LTVVYGSFRHWGHPYIDYITGLKKLYDQVNMPKVIDNEYAEALASDLAYLVLKKKFSEHKKWFVDVTAVS -KTHMLFPHIKNNTWPTPKQIEDFGDKWHTLPLTKCFEIPDVIDPSNLYSDKSHSIRKSEVLDYVRSGKIG -PVPTLKVLDTLLHTPSTNWPEFLEKIDREGLDEEDLVIGLKAKEREVKKYGRFFALMSWALREYFVITEY -LIKTHYVPLFSGLTMADDLTTVISKLLDRTQGQGGIDYDNICIANHIDYEKWNNHQRLESTGPVFKVMGQ -FLGYPNLIWRTHEFFEKSLVYYNGRPDLMEVHNGKLVSKDNNLVCWQGQKGGLEGQRQKGWSIVSLLVIQ -RESLIRNTKVKVLAQGDNQVICTQYKLRDTHDTTSTLRCLDEVVKNNDIIMKAIKTGTNKLGLIINEDET -MQSADYLNYGKIPIYRGRILNLYTKRLSRVMCTTNDQLPTMSNIMATVSTNTLTIAHFDDTPVNAIYYFD -ILSNMTRNILESHNVILGGRVKDFLPSKLLKRRSYKILMNYLDPSLGGACGTSLARFLTRMFPDPVTEGL -SFWKCVWANTNDALLSDIAKHAGNPKLSTLHDGGFEKLLENPSSLNIPKGLSLTNVLKEEIKKCLLKEAP -NLKNEIVRIAAEHCLMEEDRLMGFLESIDPLFPRFLSEFRAATFFGITDSLIGLFQNARTIRSIFSKKLE -RDLSHLTQKSEIESYRAVACELNEGRMWDCSAEHADLLRRISWGRTVLGATVPHPLEMFSKSLKVDRGCP -LCIEGQDNDFIVTIAPLGLSSYNKRKGPYPAYLGSKTSESTSIIQPWERETNVPVIKRASRMRTAINWFV -ESGSNLGVSILSILKGLTGEDWEQQTEGFRRTGSALHRFSCSRISAGGYAALAPTLLSWMITTTDTFEII -GSDNYDFMFQPSILYGQVYTACKYKDQGGGITVHHHLGCKYCLRKIDEPILDSSRVYEHPDVSHILSKWK -PDNTQWGQNKETYKLKEVEGKDISPFELSFQIGRAEGFLFGDMLLSENKHMDDSSLFPLTLQHKLNPKAF -YEGLLDGMLRAVSLTIIHRRSVAQMRRPRPTLVGGMIHCIDAITQRPPFLNMIRDGPLHFYLMSEPHRVP -ASYPISDSDMGSIVRSWLKRNFFRLEQKTVNYNPLVNRLCIFADMTAPEIIGPLVLSSKILPLLFHVTLN -KNQLSDLRELRDLSAVVRDVYNPSRAQIGSKNAILCREEIRHALKYNYRKTESNPPLVWGAEYISYINHF -QLMPQNKSTVIPHPIVGQHRCPLISGLRIGQLATGAHYKIRTIVARFGLNYRDFLCGGDGSGGMTAALLR -FNQAARGIFNSLLEYERSSSRGSKPGPPPAITACTHVINRCVNYMTTWSEPSDLSKSTTWENFLFHVQRN -FLKIDLMVFDMEVRELSMSTEIECLIEAKGLDILELNGNLIYKTYLTRHIDGRIPVIERLGKYFHSTYVT -QTGLSSSRTSEIYVVFLRKRDRALYQPFDWNHFWLSSQKFAALCSEKDEFDRALKINPKDLTEGIPDVLF -PDLEVELCTLLGILGVHDGTSSQLARELKFVPSGLKASVLWAILLVSLNHIFDVTSEHTTIIGIPSDPAC -EKAVTLILGFFYWFSWITKDLPLFKYLNKINDDQCPISFNRLQIERGNKVGHVLSWSCSRKGQVAKSVNT -ASIQAGIGKIIRLFSQSASEKQSINWKLVWAITNRFNKSLHASLIKERTGIWEVWNRCKLYEGSARPLKT -SSDDEQTSWRS - ->YP_009361995.1 polymerase [Kern Canyon virus] -MDSYELSDDRNLLNDDFTDEYTSFLDELSKEEGEYFESNFFQFENLNLTDYNLNSPLIADDIIGYLAKLR -GEKYPPIFEKSEWEERDALMKHLKFDPWDILDPAEMHKWMGNFFKTQPNNFVLINKLLSKTFDDHQITGE -VFYEFKKTWSSDIRRLSKADIPTNEKILKWGEWFIEFHHITLCLNASSPRELEVLGKTLGGRVLKKQGNT -VGIRLTSSIGPVYIAGGIAYFPDNKVMLDRSFTLMVKDICVGRFNTLLGMCIRLDDRFTDEDITKLVDLY -EKGDDILSTFGNSGYKAIKILEPACNLMYCEMARTYRPLIPDFPSFRQHVLMAFREFEDEHISATHFKDH -ILHEDSLDMITIYYGSFRHWGHPFIDYFSGLEKLHTQVNMRKDIDDNYAQSLGSDLAFIVLRKKFFEMKK -WFVKKGMVAANHPFKDHIENNIWPTPKQIEDFGDNWHRLPLDKCFEIPDVIDPSLLYSDKSHSMNLDEII -DHIQTRPNEPIPTRKVLQTLLERPATNWPEFLQRINDHGLEKNAKAIGLKPKEREQKIDGRFFSLMSWDL -REYFVITEYLIKTHFVPLFNGLTMADDLTTVITKLLDRTQGQGGADYENICIANHIDYEKWNNHKRYEAT -RYVFEVMGKFLGYPRLIEMTHLIFQECLIYFNARPDLMEIRNGMVVNATDKLVCWNGQLGGLEGLRQKGW -AIVDVLTILREIKIRNTMVKTLIQGDNQVVCTLYKLNHSPSQIDLLNNLRDIHRNNAVIMEAIKRGTERL -GLIINEDETMQSADFLNYGKVPIFRGRVLNLFTKRLSRIMCATNDQILSFGNIMTTVSTNCLTISHFDES -PIASMLYYDFFGNLTRNVLEIHNPILKGPPSKIVSESLDDPYYKISSLYLDPSLGGVCGMALTRFMTRGF -PDPVTESLSFWKYLYDNSHLEVIQNFARMAGDPPLSRATEDGLLKLLEKPSSLNIPKGLSITNLLKTEIK -RSLQQSIEQIKNEVIADALDYLNHEEEHLMSFLKSVKPLFPRFLSEFRSSSFVGLVDSLVGLFQNSRTIR -RSFSKRMSRDINRLTYTSEISTYSLLTRFRLACPPGIWSCSSSHADQLRLLSWGERVLGTTIPHPLEMFG -SGTVKTLEGCTLCDDSENPNDFITTLAPLGLATYYDRRGPYPAYLGSKTSESTSIIQPWERETKVSLIKK -ALKLRGAIHWFVQPESNLAKSIFSISKGLTGEDWDKSIGGFKRTGSAIHRFSCSRQSSGGYAAINPAKLS -WVLSTTDTFSIIGDKNYDFMFQPSILYAQLNTIEMLDGVCGSQTVHHHLHCKDCLREIDEPILESDWIYF -HPDVSKVLDKWKPDSTEWGENKTSFELTKITAKDFHFIELSYQVGRSTGFLFGDMLLGDNRHVDDSSLFP -LTIQSKVIPDTFLDGLLDGLLKAVSINVIHRRSVAKMTRPRPALIGGMIHCITEISGNPNFLNLVRKGPL -FHYLSSSPHRVPPSYPINDSDLGSLVRNWMKKEFSKLERNAKGYTPKYNRICIFSDMASPEIIGPFLLST -KTLPILFKQNIGKSEAKKLRALRDESAAVRECSDDSVININPRVGVQCLEEIRHAVKVLKDIKKTSGQRI -KWGKEVIGDIMSVKVEYTTSCESHNYPDTPQYRCPLISGLRLFQLATGAHYKIRTIIVRYGIIYKDFICG -GDGSGGMTSALMRLNSKSRCIYNSLIEYNGISTKGARPGGPPAVEALFDGPDRCVNFSSVWEDPTDLSES -TTWERFKYYIDSFHLKIDLIVLDMEVRDPLISDKIEDQVEKYGPSIISKQGSIVYKTYLSRLFKQPSVNI -LTKVGKYFKDVSICQTSFTSSRSSEVYVIMTTLKVRPGNQIFPRLHSVHKDRFKFAVFRSEDEEFDRAYR -LMSIRTLEGVPLQLLPDLETEISSILSQHGVDDGVSVQLARVVLITGKTDPGTMIWSILGIASNNIIDIT -SEHLDGFHIPSDQACSSLLSLIVGIGYWISVYTKDSKLFSYLNHICDSFMIFQFRKVLVYKTKSVGEKPR -YRVDWGVGIKGAISKKIFLTSKQATLGSWIRLLSRSVPFGLEYKPNPELIWKSFVKKLKWKNVKSRTGLM -EMLISRNPGLGEGTVVLDKPKSIASAERD - ->YP_009361989.1 polymerase [Kamese virus] -MEDENEDFDQLQSEYELSHELDGELDVEWLEGIDSMEFLNQFDYNLNSPVINDHLEELEKYLRNREYNPV -FSKATWHFTKQAFNKHGYVHTKEDPDEWKYHRFCISYLRRGYKPGQASLFRSYLSSCISNARETGIVLQV -FLKAWAKRTVDLNRIYDVNILNDIPNEVWYWGDLFLSIHLIILHMNCTGPREALQLNSTFKSSGVDGVED -KTSFQFTSNMFGRLVISGGYAFLKDHSIILDRNMLLMLKDICVSRVQVILSILYPEGDLYKKHQSAKKLI -DLYLIGDSHLLEMGNIGYDGLKLIEPICNLRLCQLARNYRPLIPEFPEFQNHVYRSVAEKQGNSPYLSAF -LNAIVNEDDVTQVLIYYSVFRHWGHPDIDYMEGLEKLHTQVTMDKIIDDDYAQALASDLAYKILKKKFFE -KKKWFVDIDKVDEKNLLYHHIKSNTWPNQYQIQEFGDNWHTLPITKIYDLPDVLDPSLIYSDKSHSMNRS -EVLDWVRNNPNSPIPTKRVLQSLLEKPETNWVKFLQKINDEGLDLDSLIIGLRAKEREMKRIGRFFSLMS -WELREYFVYTEYLIKEFFVPLFKGLTMADDLQEVVKKMLENVSGQGLDTYEFISIANHIDYEKWNNHQRY -ESNCYIFKVMGMCFGLPNLFLRSHEFFEKSLIYYNQRPDLMVCRENTMNPSTMNCHVCWDGQKGGLEGLR -QKGWSIVNLLVIERESKIRNTLVKVLAQGDNQTISTCYELIPTYDEEELLHEIEKIVHNNNSVMNAIRSG -TNKLGLLINEDETMVSADYLNYGKVPIFRGIIRGLDAKRWSRVNFGNNDQVPSLGSLLSSVATNALTVSH -FSETPVTAMILHNLFANLTIELLKIYNPAVRSPLMEKVRDHFWLTTREFRILVIYLDPSLGGIGGTSLTR -FLIRMFPDPVTESLSFWKVIASNTEDKKLRDLAITCGHPILEEFNPEHLDKLIENPVALNISRGISAVNL -LKNQVRTNLINNRSKIQNSIIRLSLDYVHQEEITLYAWARSIRPLFPRFISEMVNSTYYGITNSIVSMFQ -NSRTIRNQYKSRYARRIDDVICVSELIGIANIIKVCRRANFEDKELWTCSSSLADELRLKSWNERVLGTT -IPHPLEMLGSADNLTNQCGGCLTSSSYLSVLVPKGLHMTNKVKGPYPPYLGSRTSETTSLIQPWDKDTNI -PLLRRAVKMRNSISWFVDPDSRLASSILNNLESLTGEDWSSHQIGFKRTGSALHRFTCARQSNGGFSASA -PTNLTWMICTTDTMENLNDKNYDFMFQSLIIYAQATTSVIWGGKEDCVNVHYHINCPDCLREIEEPWLES -EWELVLPDVHNLLGSWRPDPDAAWGTSKVNLELPDSDWESVPQTMKSRQVGHVMGFLYTDMLLSHSKHVE -DSSLFPLGIRGKMHPQEFFEGLFLGVQRACALQLIHRRNLLELKKPRIAQWGLSFYVLESLCESIGFLNF -VRDGPLHEWIMMSPHKLPSSYPLNNRDLGSIGRSYLKNLLVQWFNGGLRLNFNMPAWLFADLQSHDIIGS -ISISIQALKLVMTTRQNQKFKETIRRIQEIYINVKNEQWDLINVPELVNNILVCSQELRHAVKFHMESPP -KAIKGLNWGKEWTGSVIKYPVLYDSLEKVHDQLTVPRRGHPIISGLRLNQFATGAHYKIRTIISELKIHW -TGAICGGDGSGGISAYLCRSNPNGRVLFNSLLMMDGINFKGSHPSPPAAIMALRGDRTRCINLEDVWKHP -SDLSKANTWAYFLQTGRLQKIKWDLIVLDMEVTEMDIMEQIEKNVATWGLQLLEPKGTIIFKTYVNRVLN -YNSIIDKIGPQFSEVLLCQTNISSSYTSEIYAVFKCLEINTVPKLFPDKQDLFHSLQRTHCFQNHNNELK -RAREIHAADLLSGVPQEVLPDIIVDLGTILTILGLESGYAVSIAKSWQRHKGKNHINYLLAVCLLCCESN -YQTTHKIKGSLSVPSNPQLLNMLTVINSVWAWIALKTNAINLYSQTYEMLNNIVRVNFGRYLKRDKIFQN -WNLSGQGEKSKTIRLSHRSSQIAQLIRLYQRMFKDDPVVPQNTNIQTILKFYNEGLKPTRLMKSTGLFEF -LMYV - ->YP_009361979.1 polymerase [Parry Creek virus] -MDLLENETFNDINEESDYIYDLGDCIEEFEDDFVNDFLSEEDRMEFLNNNDYNLNSPIIIEHIIELVKFI -SNQSYNNIYFRPNWLIFKDLYKKGKIKEGIVNIDKDYLHLKLFNFIKSPTFLPENQYAKIIRTSLKHHSA -VTEFLQIWFKKWGFSDKLDCCNIWENYNRLLSREFLWWSEIFYDSHIITLHMNCSGKKEARNLIKLYKST -PLLNKDGKLQGFIWKSQTMGELLILSDYILCNNLESIWDRPFLLMIKDIMMSRVQSITSMTFSIEPIYSD -LQVKKLIELYSSGDEFLCKTGNLGYDGLKLLEPICNLQLCKLARNYRPLIPEFPEFGQHVWRSVNEKSMN -TYELLEIYQLITNETSLDMVLNYYSVFRHWGHPNIDYFEGLQKLHDQVNMEKHIDDDYAQKLASDLAYKV -LKKHYFEKKKWFVDLTKIKKTHPLHKHITNSTWPNQFEIEDFGDKWHLLPIIKIFDIPDLIDPSLVYSDK -SHSMDRDEVIDYMIRNPGKPIPTRRVLQTMLNQPATDWKSFLKKINDFGLDLKYLIIGLKAKEREMKRIG -RFFSLMSWELREYFVFTEYLIKEYFVPLFHGLTMADDLQSVIKKMLENSQGQGADDYEFVSIANHIDYEK -WNNHQRKESNYYVFRVMGQCFGLPNLFTRTHEFFEKSLIYYPQRADLMSVEGNTLVNNSPYLVCWDGQKG -GLEGLRQKGWSVLNYLVIERESRIRNTKVKVLAQGDNQTISTFYHLQSHFDENELVEQLNHICKNNKAIM -NAIEQGTTKLGLVINRDETMVSADYLNYGKVPIFRGIIRGLHLKRWSRVNCVTNDQVPSLSNSLASCATN -ALTVSHYSEDPLNAIYLHSLFGNITISLLMEYNPALRTSVKFALKDPDLVDHMWFRILLLYLDPSLGGVS -GTSLTRFLIRMFPDPLTESLSFWKFIHDNCNDVNLQNLCSVIGNPPLMNFQIEHLDKLIENPSSINLIRG -ISAANLIKNEVKNNLIESIPHVSNQIIKHALEYTRDEEQMILQWARSIKPLFPRFLSEMVNSTYYGITTS -LIGLFQNSKTIRSQFRKKYHKRIDDVVFKSEIIGISSLLRIIKISTQTPIKIWKCSSTHADYLRKASWGQ -DILGMTIPHPLEMLGTPDNLTNLCNACKSKEIISDYIAVMIPKGFSLSGTVKGPYPPYLGSKTSESTSII -QPWEKETNIPLIRRASKLRNAISWFIEPGSNLAKSIMENIKSLTGEDWGEGLKGFKRTGSALHRYSCSRV -SNGGFCASSPSKFMWMICTTDTMVNLTGKNYDFMFQSLLIYSQSTSAVIWGKRSDPVNMHYHINCIDCIR -EIGEPILESDWVLKLPSVSHILNAWRPDPSQSWGEEKMQLEIPHKNWDRVNPGEKTYHVGFIMGFIFTDM -LLSHSKHVSDSSLFPLGISRKLAPKAWFDGLIMGIQKSCSLQISHRRNLLELKKPRIVQWGSAYFTIEKI -CESPGFLGMVRDGPLLNEITSVPHKIPSSYPLNNFDLGALSRNYLKTKLFNIFENPNSIKKYDNIWTFAD -LQSHEIIGSLALSHIAYNLIMGQKKNKQFQNQVRLIQNAYIAIKNGDWANIDQKRALRLVSVCDQEVRHS -CKFDIGPFVLENKRPNLDWGDEYIGDLTTIEVEFTHEKEEKNSDIIFVRRFCPLLSGLRTFQMATGSHYK -LRTIIKKLNIKWSFALVCGDGSGGVTSYLCRSNPFGEVVFNSLLELDGIDFKGSHPSPPPAITALGSIKE -RCINYDNCWQAPSDLSIKETWDYFSQISRSKNKKYDLIIMEADIGNDITLKKVRNLLSQFAKCHLNENGS -IICRSFLEKIWSGADEINELLSRTFRDIKWTQTTLSSNFTQEVYIVFQYINHYPDNRYINWKTLSRKILD -FYCFQTNSKEFERSSTIGKSNLLVGVPIELMVTPNVELSSILVKIGLETGFAVSVTKSWIRHLNKNAVNY -VLAVNALLFESVIPITRKSKKRAPPPSNQSLEMILSFFFGSYLWISLNVKSLEIFNWLLDKLCNHVDFYL -SYHLKESKKDIKYCMSWSLKKPDNQDMVWKRIRLHRQSTLIGNVIRCWQRMYSVDDRLICEEKVDKVLSF -YNKGLNSKIIKDNSTIYL - ->YP_009361970.1 polymerase [Mosqueiro virus] -MEPENEDFEDTYDQWEIGEEIGDELQDDWYEVIDSMEFLNQFDYNLNSPIINDHLEEIEKFLHNKDYNPV -FYKTTWPDVKEVFKRANLTLSFNANCDWKYHSFIFLDLKDGYKAHKKGIFLPLVRKVLESSKSTGIIIET -FFRAWAHLQLDLDEVYSSSKLDNLPTEFLYWGDIFLSLHLIVLHLNVTGDREAKQLSDTFKSRIIKTSTG -LIGFVYNSLMHGVVYIIGGYCYFKNGGTLLDRNMILMEKDICISRVQVAMSLLYKQGDLYQNCEAIKKLL -NLYLLGDEYLRATKNVGYDGVKLIEPICNLRLCQLAREYRPKIPEFVDFRNHVYTSVQEKDDPTHLLSSF -MNNILSETKVDQVLVYYSVFRHWGHPDIDYLEGLEKLHAQTTMEKNIDDDYAQALASDLAYKILKKKFFE -KKKWFVDKTKLPEDHPFYNHVDSNTWPNQYQIQQFGDNWHKLPIDQIYDLPDVIDPSLIYSDKSHSLNRS -EVLEWIRSNPNNPIPTRRVLQTLLEKPETNWVEFLKRINDYGLDLDSLIIGLKAKEREMKRVGRFFSLMS -WELREYFVYTEYLIKEYFVPLFKGLTMADDLQEVMKKMLENVSGQGLDTYDYISIANHVDYEKWNNHQRY -ESNCYIFKVMGMCFGLPNLFVRSHEFFQKSIIYYNQRPDLMTPLDETLLNKDPNSLVCWDGQAGGLEGLR -QKGWSIVNLLVIERESKIRNTLVKVLAQGDNQTISTCYELATTYTDEEEASEINKIIQNNNAVMNAIRTG -TTKLGLLINEDETMVSADYLNYGKVPIFRGIIRGLDAKRWARVNFGNNDQVPSLGNMLSSVATNALTVSH -FSVQPIDSMVLHNLFGNFTIELLKLYNPALRSPLHNKIKDAQWIDMREFKILVLYLDPSLGGIGGTSLTR -FLIRMFPDPVTESLAFWKFIHDNTQDKMIKQLAISCGYPILEDFQPHHIDKLIENPVGLNISRGISAVNL -LKNQVRQNLVQNRSKILNTIIRSSLDYIDQEETTLYAWARSIRPLFPRFMSEMINATYYGITNSIVGLFQ -NSRTIRNQYKTRYAKRIDDVICISEIIGIASMIRIAKRALAAENNIWECSSTHADELRLNSWGTPVLGTT -VPHPLELLNQADNLSNLCGGCKASGSYLSVMIPKGLRNTRTVKGPYPPYLGSRTSETTSLIQPWDKETNI -PLLKRAVKLRNAISWFIKPESTLAASILNNLESLTGEDWSSFHTGFKRTGSALHRFTCSRQSNGGFSASS -PTNLTWMICTTDTMEALNEKNYDFMFQSLIIYAQATASVCWDLRDDPINVHFHVSCGKCIREIEEPWLES -EWELLLPDVHYLLGSWRPDPNASWGSSKVRMPITEGNWEKVPQSEKSFHIGHVIGFLFTDMFLSHSKHVE -DSSLFPLGIRGKLFPPTFFEGLFLGVQRACALQLIHRRNLIELKKPKIAQWGLSFYVLENLCESTGFLNL -LRDGPLYQEIINQPHKLPSSYPLNNRDLGLIGRSYLKTLLVRWFSGQIKLDFNKKIWLFADLQSHDIIGS -MSISLEALKLVMTSKQNLQFRNSVRKIQEIYVNVKNEKWEVINVPELTKNIITSPQELRHAVKFGVTIQV -KPEEVLPWGKEWVGNVITYDVLYDSIDKVYDPVIVPRRTHPLISALRTNQFATGAHYKIRSIINHLNIKW -TAAICGGDGSGGISAYLCRSNPNGKVLFNSLLMMDGINFKGSHPSPPSALVALRGGGKNCINLHDVWKHP -SDLAQKSTWEYFKREGITKGIHWDLIVLDMEVVNEDMIEAIESNIQQYGLQILSPRGSMILKSYIGRLIN -ANGLLNKLGPQFQFVKLCQTEASSSYTSEVYVVFYSLELTTVPQLYPDKQDLSFKIRTAFCFKNHADEFN -RAKRLQQQDLMIGVPSSLIPDPTVDLSTMLTILGMESGYAVSIAKSWVRYKGKNHVNYLIAITLLCCESN -YQTTHKCYKEITIPSNPKIANMMVVTLALWIWISIKHDSLALYSQTYELINSQSVINFGPFSSKKGSVYQ -NWSLTEKLAKSKVFRISSRSSRIGQLVRLYQRMYQRDPMKMDETQIQNILSFYNKGLIPKRLLFSTGVMN -FLLNM - ->YP_009361959.1 polymerase [Hart Park virus] -MEEENEDFNTLEDEFELSQELDQELEVDWLEAIDSMEFLNQFDYNLNSPIINDHLEEFEKYFQNHSYNPV -FEKVTWRTLKQLMKSAKVTLTSDPDTWKYHRYCISLLSRGYRPGDQSLFRTYLTECVEKTQETGVVCKTF -IEFWAKKHVDLKEIYSLDLLNLFPADVWFWGDLFLSVHLLILHMNSTGSRESMQLKETFKSNLIPCDDGS -IGFLINSLMLGKVLIYGGYSYLFDDNIVLDRNMLLMLKDICVSRVQVCTALAFGCKDLTDKIKSIDKLVN -LYKIGDQHLLVEGNIGYDGLKLIEPICNLRLCQLAREFRPRIPEFVDFKNHVLNSVREKQGNSQYLSMFA -NTILNEDDVDQVLIYYSIFRHWGHPDIDYLEGLEKLHLQTTMDKTIDDEYAQTLASDLAYKVLKKMFFEK -KKWFVDISKMADNHPFVHHVKSNTWPNQYQIQEFGDQWHTLPITQIYELPDVLDPSLIYSDKSHSLDRNE -VIDWVRQNPNQPIPTKRVLQTLLEKPETNWVTFLQKINDEGLDLNSLVIGLKAKEREMKRIGRFFSLMSW -ELREYFVYTEYLIKEYFVPLFHGLTMADDLQEVIKKMLENVSGQGLDNYDYISIANHIDYEKWNNHQRYE -SNCHIFKVMGQCFGLPNLFLRSHEFFKKSLIYYNQRPDLMVPHEDRLESIDPNQLVCWNGQAGGLEGLRQ -KGWSIVNLLVIERESKIRNTLVKILAQGDNQTISTCYEMISTFSVEEEDLEINKIVQNNNAVMKAIRNGT -EKLGLLINDDETMVSADYLNYGKVPIFRGIIRGLDYKRWARVNFGNNDQVPSLGSLLSSVATNALTVSHF -SKTPIEPIFLHNLFANLTIELLSIYNPAVRSPLNKKIREKDWIKSKEFRILLIYLDPSLGGIGGTSLTRF -LIRMFPDPVTESLSFWKVIAEQSEEQWLSKLAISCGYPALEEFLPEHLDKLIENPVALNICRGISAVNLL -KNQVRENLIMNRSKISNSIIRLSLDYIQQEEVTLYAWARSIKPLFPRFLSEMVNSTYYGITNSIVGMFQN -SRTIRNQYKKRYAKRIDDVICVSELIGIASLIKICKRAQNSTDSIWTCSSTWADQLREESWGGKVLGTTI -PHPIEMLKNADNLCNYCQGCAQKSASYLSVLIPKGLSHSGQTKGPYPPYLGSKTSETTSLIQPWDRETSI -PLLKRAVRMRNAISWFVDPASKLAGSILNNLESLTGEDWTEHNIGFKRTGSALHRFTCARQSNGGFSANS -PTNLTWMICTTDTMEAINDKNYDFMFQSLIVYAQSTASVIWDGNPNCANIHFHIQCDNCLREISEPWLES -EWELILPDVHHLLSSWRPNPDAAWGKSKVKIELREGNWEILNNNARSKHIGHIMGFIFTDMLLSHSKHVE -DSSIFPIGIRNKLVPNEFFEGLFLGIQRSCALQLIHRRNLLELKKPRIAQWGLGFYAIESICDNQGFINF -VRDGPLYETIMCSPHKIPSSYPLNNKDLGSIARSFLKNMLVDWFNRKLVLNFNQKVWLFADLQSHDITGS -AAISIQALKLVMSNKQTPRFKETVRKIQEIYVNVKNDQWDLINVPELVKNITTCPQELRHAVKANMDKVS -ILERKLQWGREWSGSVYSHPVLYDSLEKVYDPIFVPRRTHPLISALRTHQFATGAHYKIRSVIENLKINW -SYAICGGDGSGGISSYLCRSNPGGKVLFNSLLMMDGINFKGSHPSPPAALVALRGGKKQCINLEDVWKYP -SDLAQEITWAYFRQKGRQVGAKWDLIILDMEVTSEDVIIAIEKNVSNWGLQLLEPRGSIIFKTYIERLIN -KKSIIDLIGPQFLEVLVCQTEVSSSYTSEIYVVFKTLEVANIPKLFPDKQDLSARLSHSFCFSNHNSELK -RARNIQSENLMIGVPLEIVSDLTVDFGTMLTILGLESGYSVSIAKSWNRYKGKHHINYLLSVALLCSESN -FQTTHRLNKGLDVPSNSSLLNMLVCLTALWTWISVKTNSLSLYSQTYEMMNQPIVVGFGRYLKKDKIYQS -WSLINRLEKSKTVRLSKVSSQIGGLIRLFQRMFQNDPVVPDDKQIQKILKFYNAGLRPKRLLYSTGMFEF -LNYS - ->YP_009361873.1 polymerase [Le Dantec virus] -MDLDDYLAYDESIEEYDYLSEFLSSDECSIKPNYFLYKNLNVQDYNLNSPLISDDIYGYKRHLTGFPYPI -VFKKKEWEKRDVCFKNLKYDFNKLREPEELHRWWSGLISNEYHGNQRIISLLKEAWEDHADTSVVYTTFL -KNWLGDDRELNINMIPWNEDRVLKWGEFFIQLHDMVLMLNASDDEEIKSLNRWMNSKILKKNGELAGIRI -DNFLGTCYLAGGSAFLMNHNVLIDRGFLLMMKDLCVARFNTLLGMYLRVDHKFSQEDINSLMELYSIGDK -ILEESGSQGYKVIKLLEPCCNLMFCIKAREFRPLVPDFPSFRNHVDRAFQDTTEFSTYSIRFKNLILETR -TIDLLTVFYSSFRHWGHPFINYFEGLRALHEQVTIQKNIDDDYAQALGSDLAFLVLKKKFSEKKKWYVDK -DLLPSNHVFKEHILNNTWPTPKQIEDFGDRWHLLPLIKCFDIPDVIDPSLLYSDKSHSMDLDEIREHIRY -KKNEPIPTRKVLQTLLHRPATNWPQFLQRINDHGISPNSLAIGLKPKEREIKEKGRFFSLMTWELREYFV -ITEYLIKTHFVPLFSGLTMADDLNTVLSKLLDRTQGQGGTDYENICIANHIDYEKWNNHKRFESTRYVFK -VMGQFLGYPSLIEITHLIFQKCFVYFTDRPDLMEIIDDIIQSTFGFLVCWSGQLGGFEGLRQKGWTVIDL -LMIAREARIRNTLVKTLAQGDNQVVCTIYRLNFSPTLQDKINNLNKIHQNNEVIMDAIKRGTTKLGLIIN -EDETMQSADYLNYGKIPIFRGRILNLFTKRLSRIMCTSNDQILSFGNIMTTVSTNALTISHFDESPVHAM -HYYNFFGNFTRSVLERHNPILRGPPRIILRKENFDVNYKIAALYLDPSLGGVCGMAPTRFLTRGFPDPVS -ESLSFWKFLHDHTNNQLLKDLSRKFGDPPITTADRGGLSKLLEKPSSLNIPKGLSITNLLRTEIKKSLQS -SIPDIQNEVIAHALDYLNHEEDRLMGFLSSIKPLFPRFLSEFRASTFVGIVDGLVGLFQNSRTIRRSFSK -KMQRDINMMTYKSELSTYDLLIRFKSSLPGNMWECSSDRADQLRRMSWGEPVLGTTVPHPLEMFGKGFIR -EGGICEGCVKGTGQGDFITTLAPLGLSDYYLRRGPYPAYLGSKTSETTSIIHPWEKETNIGLIKKSLKLR -NSIHWFVNPMSNLAQSILSVSSGLTGEDWSGSMGGFKRTGSALHRFGCSRQSAGGYAAINPCKLSWVLST -TDTFSIIGDKNFDFMFQPSILCAQLNTVELFDGVKGSITVHHHLSCKKCLREIEEPILDSTFLYVHPDMS -QILSKWKPDNSPWGERKDRYTLQQIKASDMTHRELSYQIGRSTGFLYGDMLLGDNKHVDDNSIFPLSLQF -KVFPDMYFQGLIDGLMKATGISIIHRRSVAKLNRPKPALIGGMIHCIDNLCKNVNFINLVRKGPIYGFLT -NHPHKVPSSYPINDSDLGVISRGFMKREFSNIEKMTTCYKPLYHRVCVFSDMASPELIGPYLLSTRVLPI -LFKSQITEEDGKKLRSYRDESVSVRECGSELVNQINPKSAVQCIEEIRHAAKEFKGVEWKGKNKIKWGKE -ITGRVSECNVEYSTEHTSPTLSNIPQYRCPLISGLRIFQMATGAHYKLRTIVSELGIKYHDFLCGGDGSG -GMTSALLRLNWRSRCIFNSLIEYSGVSVRGAQPGGPPAIEALGPDKSRCVNFATIWEDPTDLSTTDCWIN -FAKIKRDHNLKIDLVVLDMEVREDRIADLIEDNLEEFGLKLITRHATILYKTYLTRLIKQPTCNVLTKVG -KYFKRVKLIQTSFTSSRSSEVYVLMDTLKARAGVDKHIRWAKLECDIQQFAVFRSEIEELRRAEYLKGLN -MLEGVPKELIPDLEIEMSSVLSSMGLDDGVSVQLARHVLILGRHDPGTMFWVLVGIGGNGIISMTSEHIS -GFEIPSDSACINMISLVLGCGYWLSYQMSDEKLFRYCNHINDNHIVLQFFKRRYTRISKKEETKKISYRV -DWRVSEEGHIRKKVMLSSKQALIGTWIRLLRRTCKPGYEFSPAPEEIWKSFNQKITWKNIKERTGLWDMI -IDKNPGFEHGHLVMEVPQDKNPSYVD - ->YP_009345051.1 RNA-dependent RNA polymerase [Wuhan pillworm virus 2] -MFEFEYNDVLELTDDDLAQTQWHDLLGKEGIRSLLNQQDYSLNSPLIEDRVNELLISMSDSEYVPRIGAK -VTKEAASVFKEHVQPRNLRGVSEHHKIFASISLNSEVKTKSYEKWSETTNYFASKTEEITNSFFKGWLGE -TSRDTTKPLLDRRIRKWGELFLDSYWITMAMNEQTKTGLETICAKRSWIVSYEGPSKIPVIYGRSPVWGD -FIITGGFFLSEAEKTIIERPFFLMMKDTWLARFNTMVMLSGAQGADYPKTLIENLVRIYEIGDLALIEYG -NDAYEGIKLLEPICLDRLGEITRKYRPLIPRFEEFTKFISETIVKLPLQLRTRIQQMRAIIDRVDLEIEV -INVYGIFRHWGHPYINYLQGLQKFFDQTHCRKDIDKEFAGLLASDLARMVLKKIWTEKKFWAVDIGKISK -RHPLYQHIEENTWPKSDKSLGMGDTWHLLPLVQCFEIPDVVDPSQIYADKSHSLDRSEVLQWIKGGSKGP -VPTRRVLKTFLTKKATNWPEFLEKIDKEGLDWESLVIGLRAKERELKLTGRFFALMSWELREYFVITEWL -IKKYFVPLLRDLTMADDLNDVISKMLSSTSGQGLDDYSVVSISNHLDYEKWNNHQRKESNDPVFRVMGQF -LGKPNLFTRTHEFFEKSLIYYVDRPDLIRVDEENRVYCPAELVAWEGQPGGMEGLRQGGWTMTGGLNIKR -SSRVRNTAVQAMIQGDNQVISTKYKLRKVHGDEDLVDALQEIIRNNNMIIQHIRDNAAKLGLIVNESETL -KSAEVLVYGKVPVVRGNVLGMDSKRYSRISCVNNDQIPSIGSIMASVGTNILTASHFSTSPRKHIEHFNF -YCNLVLTLIEDFNPTTKQSIHDHFDPKNLNSQLSFRIRATYLDPSLGGVSGMSLTRFLIRMFPDPVSEGL -SFWKVVHDNTPVTYLKDIARASGWPKLASYSITHFDKLLENPTSLNTIHGISLTYYLKQEIRKSLLRGVT -KIENEIVEMAVRYTKDGGEEFIRFLEGITPCFPRFLSEYKAATFFGIADSVLQLFENSRTIRTHLADKFP -VRATEVQFKAEVMTIKGLCESRSIAPYLWDCSATHADMLRAHSWEREIVGATIPHPIELLGKLIKFNDGP -ESCCIPQEDMRHLTTYIPFGLINYTSSRGPLPAYLGSRTKETTSVIQPWEKESKVPLLRRALKLRDAIHW -FVNPKTKLAKSILSVLNGLTNIEWDDGLEGYKRTGSRIHRFTSSRQSAGGYSATSPCKLTRLNTTTDTFT -DFSEDNYDFMFQALILYCQVSAGELHDNDPRQGTYHAHINCNQCIRPITEEELTSELEFIHPNCYEQVKL -WIPGGEVVIKENSNTEITVGDWNSVHSHEKSNAIGRAIGFLFGDLIYTTSAIIIESNLFPNSLNGLQHPD -SFFEGLLSGLAMAGILDILFRRSTQEKDDPTPVIVGSVRYLTRQLCASVPFLNTCRSPIFHNLLISIPHR -SSPSYPASTKDLGKLVLNYFGYLLRRDISDLLRRLTRDSNRIQWVFADLYGTKMVGLLSIARYASTYIYN -FGKMSDRNWFKRARSILRGLKNDIQCLRSEDWTGVKIITKQIRLCTLELRKAIKECKSQVQVISPPITWD -GPTPFPISSVNISYGSMDFSSFNMTIPQRSCPLISGLRIMQLSTGAHYKMSAIVKKLGLKYVDFLCGGDG -SGGMTACLLQLNLTSRGIFNSLLDFDGVMLRGGRPSPPSALLSTGSNRYRCVNFSDCWESPSDLANRDTW -EYFHRLVDKFNLDIDLILLDMETRCHTVTQRIVDQLHGGISEFLKIGGTVIFKTYLGLLVNKKIDILEKL -GVHFGHIVGVQTSVSSMYTSEIYLVFMKYTQKPYTTSYPKEESLVALVDSSYSLRSAKEEFCRALSLKKY -DFISGFHDLLIPNRELELLSLCEKTGLYSGVAESFIRSYLGKVSESQKNISNKFFALMILVVNSSIPVTV -YHKIEPTPPSDQVTEDLLSLMCGFLYWFAWSIEDQELYDIAYSRFSDCHPLFWSWVTVRPGKKFLKRQEK -IEATIKGTTSKQEYTKFYRQSWALDRGSVNKSISLDRSAAAVGQWIRIFSRWGKKASPIDIEQTIKCMEP -YNHGLDKKTLRLFTGIPQYFSKVRASTKGWSHPGQVGAESSWVQ - ->YP_009344989.1 RNA-dependent RNA polymerase [Xinzhou nematode virus 4] -MTYTPEINDRSLKKTSTLITMFSDDFDLAMVEMQDNWTWEEDTPESEVPITTGISLVTVDYNLNSPLIRD -EIDSLLLKLQHKIYPLKYDTKWEFQESYQMLTRTRLNWENFKGSETFHHWVGREIFQKSVVYGNLNTQWS -WIVRSLELSHQISTTFIQHLAGKSVEIPNWAYSKIEVLHNSACDKLREELLLFNEFHLMVLIMNSKSQNE -RSSLELNHFVNISFTPGMKMNSFICHFNSKNFGTILITNGFCVFLRDTRLMDRNMILMIKDTLAGRACSK -LGLVCASDLQDRWVETVIKLNEFYRQGDEILIGVDNYAFDMIKMIEPWCNLQFMKLSSTKLPLIPTDESF -SQHLEKNLKEFQSKYNIDCTKLINLITEETDPEIVALYFGAFRHWGHPFLDYIEGLNILHHQVTVESPID -LEYANILASDLAYKVLHLQFRRRKEWFVDLDQLKGNHILIPHIMYGTWPTQKQIIDVGPTWHLLPLKKCF -EIPTGIDPSVLYADKSHSMNKSEVIQFILEHPGQNVPSKKVLKTALEVEGVDILQFLSDIDVNGLAQDDL -VIGLKGKEREVKRVGRYFSLMSWNLRLYFVLTEFLIKRYYVPLFSGLTMADDFNTVIRKLLDRTMGQGGL -TYEYITLANHFDYSKWNNTQRGKANNPVFKVMGQFLGLPNLFVRTHEFFEQSLIYYNERTDLMRVRGGEL -IPTTDAKVCWNGQLGGLEGLRQKGWSVVSLLVIERESKIRNTKVKVLAQGDNQVICTFYKLPKEKSHDIA -TAEIPHVWNNNKEIIKAISSGTKKLGLLVNEDETLTASNFLNYSKLLIFCGNMLSPVTKKYSRVTCITND -QIPSLGNVLSSVSTTCLNVAQFKNSSIDAIINYTFFGTFCLYYVASHSPLLEGPIPNWNVQNPTNDLKSI -AAYALFLDPSLGGVCGMSLTRFLIRQFPDPLTESLSFWKCVYENSINEDIRNLAIKAGYPRFASCTPLAF -SKLLENPMSLNLSRYSSPQSLLRDAVHDQLRHISESIPNPIFKQSIVYLNHEAERLVEFLMSIKPCFPRF -LSEFKSATFLGITESIVGLFQNSRTLRAVFKVKFAKELARRMIQAEKTGLKVLEDIMPRSYEKMWSCSSK -QADLLRELSWGKKIIGTTIPHPIELLGRMTNVQNICPECGSKPYPDSFISVLFPYGQRYSIYSRGPLPPY -LGSSTAESTSLFHPWEKETDIPLLEKSMKLRSTLGWFVRCKSNLGQSIFNNLESLTGEKWNEDIGQIERT -GCATHRFYCARQSSGGFAGISPNLLTYTFVTSDTLGTLNLVNHDFMYQSLLLYSQHCAVERSGKLQYSTG -FHLHISCDQCIRQVEDFVLESEFEYQPSLASNILKEMSGKECVWLPHIPKIDIQIVNWLTLSFAEQSFRI -GVIQTLVFSIAVGNQEAHLYESTLFPMNVCNLVDGTSYLLGNLEGLMLGSCYLGMYHRDIYDPKRPIRVI -DGAREHLISLLFESPHYLAGIQPILSESLLKLVPHRAPPSYPSHKYDLSRNVRAIFYYHISKKTLAFPPY -NKWRKTLLVFGDFKSSKWIGLLSATHNIRSLSQFYTLSNKMVVKIEEAKGVIQFLLKEGIESSIDIDENF -WTNQIFHSLKNLRSVKEEVRLAAKGIIKPPYTPDSSPLNIHLLWGPEYVCDTQEISLYFSTRKPFTNHYL -AVKRLNDPLISGLRIGQLATGAHYKLRGILATIRPVRDFICGGDGSGGMTAAILRYYPKARGIFNSLMEP -DDKGGLMGISPAPPAALLEMPSIVSSRCVNYQSVWKEPTDLTHPNTWMNFLHLKKKHQLEISLIVIDAEV -VTMESFINIGNLLLSNIKNLLSFNGSIIIKMYGSLLNDQDYISLIGKFGSIFRTVKALTTSLSSSFTSEF -YLVCQELRSLSPYEYFLTESSKESFATLLRSEKSYKEEFHRAIMIKQMDLMQGIPISVIPPLADELFILL -DHLGVEGGTGRSIAQYVIHVDEDNIISYCYGLLIICSNSIICTTRLEVDTFTLPSLENLQKHFSLYIGIW -LYLSWLREDLEMYQCVSWWLSHPISYGFIFGSDQDRRSIRWTWNRGHIQKVLYKPEKNALSAQLIRLLSR -IAHHSTEDWNPYSTLLVSVESYCRQYNSKLTVSHVLFSTGLFDLAIDADSEMENFNSNAHVPSLPIDTGY -YQLDVEFLEGSWTN - ->YP_009344982.1 RNA-dependent RNA polymerase [Xinzhou dimarhabdovirus virus 1] -MEGYFDEFDDDAVFVTEDEIDWDEYSVAPQSGVELPSFDYNLNSPLLRDEIDALIETLQHRGTDQRFVDK -WNFKESIYHLSRLQSSWSRVKGSNGFHRWFVNNIIRKEIYPVNVEELWDAMRHHGELSYQIAITFLKDLT -SSCEHGDYNSFLKPDVLSSGVWIKTRSELEWFWWFHMITLIMNSSNGFERQNLKVLLERFNVDVNERGGM -NSFRFTVYTSPIGAFHIVPGFIYFQGKHRILDRNMTLMIKDVLGARTTSKLSLSNYKGSDYPKDVVTRLD -ELYLLGDNILDEADNYAYDILKMVEAQCSLRFMELSRELRPLIPLDNNFRHHVEEAVEEFEDYFGKCASP -LFELISSSTNPYEVALFYGSYRHWGHPFLDYFEGLNKLYEQVQMVRPIDVNYANILASDLAYKVLSTEFS -KKKTWYVNEDQVPQDHPLRKHIVNNTWPSVQEVVDFGPNWHRLPLIQCYEIPYGIDPAVLYADKSHSMDL -SDIISHISNSPYKPIPSKKVLRTALYTPGVNVREFLSEINENGLSKEDLVIGLKGKEREVKRTGRYFSLM -SWNLRLYFVITEYLIKKYYVPLFSGLTMADSFNMVMRKLIDRTSGQGEKGYERITFANHFDYSKWNNTQR -GKANNPVFRVMGKFLGLPNIISRTHEFFERSWIYYNDRSDLMELVEGEIRNRTTQRVCWNGQLGGLEGLR -QKGWSVVSLLVIEREGKIRNTKIKILAQGDNQVVCTSYKLPADMTHQTVTDEIPHIWENNREIVKAIVSG -TTKLGLVVNEDETLTASDYLNYGKVILYRGNMLPLITKRWSRVTCVTNDQIPTIGNIMSAVSTNALTVAQ -FSNVLDESILNYVYFALYCLTYLSLHSPILKGPIARWNNLSKQEQERIYAKAIYLDPSLGGVSGMSLTRF -LIRQFPDPVTESLCFWKSLYHLSENSMIKRLCLEAGYPRLSLPTSENFSQLLENPVSLNTPKGLSAQTLL -RNAVREQLVTHVDKIENQLFRQSINYLTTETSSLIEFLRNITPLFPRFLSEFRSATFVGLTESLVGLFQN -SRTIRQIFKARFSREVAAQMILSEEGSVNSLMSSPRKLGLIWECSSTHADELRSASWGEPVCGTTIPHPY -EFMGRITSGFSFCAECDDEPYPSSYISVCYPYGLVYDVSHRGPMAPYLGSVTSESTALFHPWEKEVDVPL -LKRSMRLRSAINWFVRSGTNLSNSIIGNLNSLTGLEWDEGEIQFERTGSALHRFHCSRQSAGGFASVNPN -LLMYTFVTSDTLGDLNLTNHDFMYQSMLLYCQLVSVERNIYNKTSNYHHFHISCKLCVRELNEVVLNSSY -TYIPPDSFHILKEMSGQDFLWKESIHRVQLMDGPWDQLSRREKCFHIGTSQALLYGLMISNHDLGALDQS -IFPESVARHMRPTSYMLGIIRGLLIAGCYMGIYHRELYDPKRPHRTIAGSIEYLIDDLINSPFFMTSLCK -WDFESLINNMNHRIPPSYPSSKSDLGRSITTFLHHHLSKRTIFTNPYAKWSKRLWIFADFKTPKWVGLSI -IAKKLSSLYNTALLSTKDLQGVREMKELIHYFLQTPVSTDVNCTLPPPLLQQLLILSREVVSCKHEIRSA -VKNMISETPTVTPPSSAEGFNDLTWGPEFICKSKVLIVNYTSSYNKGHSGKLMPCWNDPLISGLRLAQLA -TGAHYKLRSILIEIPTFRDVICGGDGSGGMSAAILRMSKYSRLIFNSLMTHETAGFSGVSPGPPAAISHM -NKTVRERCVNLMTCWEEPSDLSESGTWKNITKLIDKFEMNIDLIVLDMEVQSDDTYHKIFLHLYNRIHEL -LSENGSIIIKFYGSKLSDPRFVDHLNFLGTNFLNVQAYYPELSSSFTSEFYIVGTTLLPSSSTRYYIMQD -AIEEIQHECFVSHTIKEEFKRALRIKQMNLLQGVPPQLIPDLKEELFLLYKSVGLEAGLSKLMTDTVSSE -INSSNVVSYSLSMMTLVSNSIISTTRWITSSLNYPSLEELQKHYSIFLGTWLYTAWVLEDQQLYTLLQWW -MRNPVKYGFTIKIWNKTKRMSWQWNRGRIVKCLYKPTRSSIAGQLIRLLSRISTSSTETLKPIDNIFNAV -LKNVRNLNKGFTKMTVKQNTGLFYIFDNSFTTEDTDESEIEEEPIINQESGSRITKIEEAYDIKLPVEEG -SWNN - ->YP_009344978.1 RNA-dependent RNA polymerase [Xingshan nematode virus 4] -MDFDFNDLLQNEQGEWDPFELDSCFPSEEENGADLPSFDYNLNSPLLRDDIDCLLTGLQGKGTSLRYYSK -WNVNEAHRHLATIEPFWGNVNGSYSFHSWVAFLINMDHCDHDMLKEQWEWIEAALKQPAIVVNTFFRYLY -QSDTIDLFKSISKSDIIRDCLMTRTKSEISLFGHFYYIVLFLNSGNIKIREKLIQELQYSIIRHKDTDVN -NSFLVGLSMGSLGPVYITTGFVYLKKYQRLLNRNMVLMIKDLLAARSCSKLALYGIGSQEYYTCAISKLD -LLYHEGDLIMQKADNYGFDFIKLLEGLCSFRFMELSRNYRPLIPPDTRFRDHLQKNIEEFESKWNLDCSS -FTSIILSESNPLYLCNFYSVFRHWGHPFIDYLEGLETLYQEVNREIIVDTDYSDKLASDLAFKILSTEFH -RQKKWFIDIGMLATSHPLYKHVLNNTWPTKKQISDLGDEWHRLPLKQCYEIPPGIDPSALYADKSHSMNR -DEIITFIKLHPNEIIPSKKVLHTALTTPELNLPIFLREINDNGVKENSLVIGLKGKEREVKRIGRFFSLM -SWELRMYFVVTEYLIKKFFVPLFSGLTMADDYNSVIKKMLDRATGQGESDYQYITFANHFDYSKWNNTQR -GAANDPVFLVMGKFLGLPKLFVRTHEFFEKSWIYYNDRGDLISVQDDCLTNKTEKRVCWIGQKGGLEGLR -QKGWSIVSLLMIERESKIRNTLIKVLAQGDNQVICSYYKLPKDQTHSACRSEIPHVIENNGYIVKAIIEG -TGKLGLRINRDETLTSTDYLNYGKVVFFRGNMLPLAIKKWSRVTCTTNDQIPSLKNILGTISTNALGVTQ -HSPTISDGIINYTFFSLYCLGFLRHHNPLQGSSIPSLDPRKMLSSDFNKVIVDLLFLDPSLGGLCGTSLA -RFLIRQFPDPVTESLSFWKIIHDYCGNPELERMCIINGNPPLASPGKTQLVKLLENPMSLNIPKSLNPAT -ILREAVRSQLTKSVAVIQNRLFQEAIVYLRDEEYNLIDFLLSVKPLFPRFLSEFRSGCFLGIVESLVNLF -QNSRTMRLVFQKKFHKDIIGLLRSSESNCIARSIRTHLLSVGRIWCCSTEQADDLRYMSWGEDIVGTTIP -HPLEMIKCVQFEKVECKFCQSPAHPLDVSYISVIYPHGFYRVVGQRGPLPPYLGSKTQETTSVFQPWEKE -VEIPLIYRAMKLRNTIQWFVPQGSNLSKSIINNLNSLTGLNWSETDLVFERTGSAIHRFHSSRQSAGGFC -SVSPNLICYTFVTSDTFGDLNSKNHDFMYQSLLLFAQLNSTMINLFQRSSRSHHFHIQCRHCVREIPDIK -LESSIVYQPRLVKDSIETMSGCKIVWIRPLPSFNLPVGNWLELTTEEKCYHIGTAQGLLYSLFTCNFESH -NLDQSLFPETITKYVRKEYYLLGILRGLLLGSCYISVFHRDVYSQKRPIRVTHGGLEYLIQRLLISPYFI -PSVCRWDLERLSRNIPHRIPPSYPSNKFDLGSMIAAFWHHHIQVQTLRQKMFRDWNRHIWIFADFRTSRW -IGLTICAFYLRFIYGKLILSSTQLQKIRVVKEIISYFLQSEHQVTTLDHLEPIHLNRIKRISQKVKSCHL -ELRLAAKELPLEAEKEDPFESRRSLWGQELMCSVRILELSFSLNPTTVKWILPRRICPLISGLRVAQLAT -GAHYKLRPLLGRISDLQDGLCGGDGSGGMTAAFLRYSPKSRAIFNSLMCPEDNSFMGLRPSPPAALTGME -KAIRKRCVNLFSCWAEPSDLRDPNTWENFLKLKREHLLCINLIILDMEVRDLCSFKRIIDLLINYQEQIL -EVHSYVLLKCYGSLLPEESYKLAVENLCSHYRSIEAGVTELSSGFTSEFYLILQDLLTTPTSSKYLSDLS -LGELRAFVPASRSEIAEFNRALKIKNLEMCLGIPAHLIPDLEAELFSLLCHIGLETGISRAITENIKSEI -NESNCVAYEIAVLGLISNSLINTTGFYIEPFNYPSLTTLQIHYAAFVGIWLAISWQMEWFELYSNIQWWL -TSPIYYGFTLSKTQHDLRLIWSWNRGAIKKHLHKPSKLSISAQMVRLLSRLSKHAYGVETLPSQIVLAHE -EITGKYNKNLGIEKIFQSTGLLEPIHLAVEIILPRLHHNIPWNDHTYPAEDVEDLCVSSEFTDNHAWTC - ->YP_009337295.1 RNA-dependent RNA polymerase [Hubei diptera virus 10] -MDFAFDDENYSSTSILSDGIEYEDEFIHDTFHEPSMDYLNNVDYSLNSPLTKDELVDFVEYLKYSTIPTR -WNIKIWNKRRERLNPIINLSKLRPIEHFHRWIGYLNTHVSPDLKEIKHLMARAGADAKETAQVVDAFLQS -WIKKTPGFKDKSRVHDEILKWGALYLEFHKLTLVLNASNKEECLALTKSCKALWYSDQENGTDMLIHLRT -LGSAVVTKGFVFLKTHDILMDRNMVLMSKDMYAARFQSLLSMCNRYDEKYPANSWLRLNELYNLGDQLLE -SIGDDAFHMIKLLEPACNLRLAELARRFRPKIPEFPAFKHHIFAAVRQVASEWSISSDLIELVLREDHID -TVLSYYSAFRHWGHPLIDTIEGLNKLYEQVTCEKNIDPLYPEILASDFAYIVLKDQYKKKKKWMVDLDKV -APTNILYNCIRSNSWPTPAVIENFGDNWHRLPLIACFEIPDMIDPSVIYSDRSHSMTRDEIINHLTTNPG -MPIPSRKVLTTLLHSDTTDWKAFLERVDKYGLDLNELVIGLKEKEREIKKKGRFFSLMSWSLRDYFVVTE -YLIKKHFVPLFYGLTMADDLTTVMRKMMENANGQGLDDYSYISIANHIDYEKWNNHQRLESTGPVFRVMG -QFLGYPNLIYRTHEFFQQSLIYHGGRGDLMTVRDGKIVNSGSTRVCWEGQAGGLEGLRQKGWSILNLLVI -RREGSHRNTKIKCLAQGDNQVICTQYELQKSRSDQEMEENILKVVQNNQVILAKIEEGTRKLGLIINKDE -TMQSADYLNYGKVPVVRGNIRALETKRWARVTCVTNDQIPTLSNVMATVSTNALTVAHYSDSPINTMYHL -NFLGNFARILLEFHNPALRCPVYSILKTKDALNSLSYKIACIYLDPSIGGVCGTSLTRFLIRQFPDPITE -SLTFIKKVFEGTTNPEIKKICYEFGCVKLGDPMLIDIRKLIEDPLSLNIPRGISALTLIKNKIKDELIYH -VSDIRNKIIRDAVLFHQAEEASLVSFLASIQPRFPRFLSEFKSGTFIGITESLIGLFQNSKTIRNFFSKK -LSKTIDALIVKSELISYTSLLRYDLTYSHISIWSCSSTKADNLRKDSWGGPVIGATIPHPMELIGRFELN -AGSCSYCEYNAVERLFVSLILPNGLVNCWDQRGPYSAYLGSRTSETTSLLQPWERNAVIPLIERAAKLRN -AIGWFISPDSKLAESIYKNLFSLTGEDWDRVVSGYKRTGSAIHRFSCSRQSSGGYTAQSPVRLTRMITTT -STLQDIGDRNYDFMFQSCIIFSQITAGECHYGNREAGYYHFHISCPECLREIEEPILDTNVEYSHPDVYE -VLNKWKPESTPWSKKRTIANIPPSDWSDLPDYSKSYYIGCAEGFLFGDREGSGRSAVVEGSLFPLTIAKK -VNPHYYMDGILNGLVRAASLSTLFKLSVTDLIKPQSALVGMVIYLIEKLSLDIAVQNLWRHEHFLLLFTS -IPHRTPPSYPLKGRELGELGKNFLEYKFMRSGNYLTYNQSSSQDIWIFSDINDLTTAGLMGLSSSSMRDL -YQTHLTADTKRNIRRYKDVIVALRSDDVDISLFNLDQILKTVRYTDREVRHAAKDLSTYRPPQLTSLIEN -DWGIEVTVPITCKEIPFGPESQKPCLIPLVPRSQNPLISGLRTFQCATGAHYKLRSLLRGLNIKCMDSLC -GGDGSGGIGSMVLREYPHTRLIFNSLLNLDNVNLRGSNPAPPTAIAMIPHVSRRCINLHDAWSNPNDLSF -ESTWEYFLSLKRQFKLRIDLVILDMECVTEAISSKIEQNLVTYLHDLVEKKCVVIYKTYASLLLGENSCL -RRIGKYFKQTQLCYTDITSSNSSEIYCVFSNLWRDQILNVHPNLQEVVSFVTTCPVFKSPESEFHRAIRI -RRLNLEQGIPRSFLVDKEIELINLLVSLGVHSGISVRLTRYLASSDQFYSYLILLTVVMNSLIPTTILYR -SRPNPPKDVLVSNSAAWICGFSLWLSVCSEDFSLNALALEIIKTGFPFSWTAYKINKHWKVFWSAVENYQ -VAKHLYIDSRMATIGQVIRALTRFRRTPFRCYSVEKYDEDIIHFNFGLRRKYVDSQTHIFRLLTQKNLAS -FKAQGTTGYDFVSPDLELHHWHS - ->YP_009337216.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 1] -MDYYENFENDYPCDPSDGYLDELPGLTETELLISKERAMTHLANVDYSLNSPLIADELDEMIRCARTGTR -PDKASGLSLRYKVMEFVLGDFAEFRSCKRTSEFHHWFGSDNLKTNWKRTDFFQILTEAQGASVLTGEIVN -AFLKGWLNKDPIPVPDKTQMPEGSLYWGTRFWELHKVTLMTNATSKNELSRLIKKFGGQLIPTETETDCI -LYPSPIFGDLYVFPGNIFMKDHRILLDRSTLLMMKDTYVARFNSFLAIYNRVDNLFSADAADQLAELYRI -GDVILKEGGSKAYKSIKLLEPMCTSRIAFLAQKFRPLIPLFPKFEEHIKTSVSEQADVTPSIRDLHKLIQ -RTTDFEILLTFYGSFRHWGHPFVDYLKGLEKLYKQTHLLKTIDESYANKLASDLAYMVIKDQFNRNGSWP -VDDFLIKDDHPLKFHTHENTWPTTQVIRNFGDNWHKLPLKKCFDIPDVIDPSIIYSDKSHSMTRKQVVKH -LRTNQGAAIPSIKVLSTLLKQPATNWPKFLKQVNDTGISEDHLIIGLRAKERELKEEGRFFALMSWEIRD -YFVMTEYLIKTHFVPLFKGLTMADDLTTVIGKILENTEGQGETGYENITVSNHIDYEKWNNHQRKEANNP -VFDVMGKFLGYPNLISRTHEIFEKSWMYYNQRGDLIGVNRDGVIFNKDKNKRVCWIGQEGGLEGLRQKGW -SICNLLVLRRESASVNTRVKVLAQGDNQVINSHYKLKTSRNDEQLINNIRDVIQNNDLLMRRVTDGTNRL -GLLINQDETVQCTEFMNYGKNCVIRGNLRNLETKRWSRVTCVTNDQLPTMANIMSTTSSNALTVSHFSNS -PFNSMFHYNFVGNLVRLVCEAHNPAIRSAISEALELQGDELTQLEYYIRTMYMDPSIGGVSGMSLTRFLV -RAFPDPITESLTFAKLVYHNTTNLRIKKLMVSFGHPRIRVDQRDITKLIEDPLSLNIPRGIDATTMIKEQ -IKRSLYEESGTIGNSIIKSALKYSQMNEQGFLRHLRSIKPLFPRFLSEYYAATFFGMTSGLVGIFQNSKT -IRNNFKTKLSYKYDGIITTSELYSLKNLLGMFVDKRAADTMWTCSAKRADQLRLHSWGSKVHGATVPHPA -ELFGPPNMVTAKCEDCWKPFPYRMFISVLIPKGFRNLTTERGTCAAYLGSSTSESTSILQSWEKEVKVHL -MDRAGKLRNCIGWFVDREGEVAEGILGNLKALTGVDWSGSLTGFRRTGSAIHRFSCSRQSHSGYAAQNPS -KLTRMISTTNSLVELGDQNYDFMFQNCLLNALLSVGEVHQSPGPGFYHQHIRCLHCLREIEEIQLKTNIK -FTHKDVSQILEKWKPETTSWFKEITTIEIKGGNWDALTAGEKSLYVGIAQGFIYGDSQWSGNKLATDPAL -FPLTISKKVHPDNYFTGFIRGLLLSSLLLAIQQRRLPTIKSYYRNALGQVSLMIDKMKENVNILNNWRDE -YFINLFCSVPHQIPPSYPMMSEDIGMLGSNYLKYRLSQYGLKELSSAVLFNQLWIFSDLYRSNIVGLFGI -ATRCQRLLAKDIWNKSTKEELKTLRQTAVAVREQVMLTEQEKDLLLRLVSHVYYTDREIRHAVKGLETLR -PNLVKTDLVWGEEYCCTVTGVTVFCSPLPYIPPLPSVPRVQNPLISGLRLTQLATGSHYKMRGILNELGV -CPRGAIIGGDGSGGLSALVLRMYPIVKILFNSLCVYDKVYLKGNNPSPPSAITHTLTDPGRCVNLYDAWR -HPNDLREIDTWNYFCETIREHRIVCDLIILDMEVTDDESIDLIENHLHDYISNLLTSAGTLIFKTYLSRV -FSGKTNILDKLINVFSSLTLYWTELTSSESSEVYVVMSGIKTHRPGYQVFVDYNSLIRDTKLAPCFRGAL -KEFDRAVKIPTNLLTRGIPAQLLVDPSTELIKTLTRIGLRSDISFRYLEQYGNFKKPSESFPLFVLVVSY -LTGIHLSRVSSPGPLSDGGVSNLGCWIAAYLIWIGYKSKHYYVYKLGQEFINKYFPYSHRIFKVGMNFFS -GFSLTETKDTRKFVQLDSKMALIGSIIRNLTRFYDKPGCFNINQDYCNSILVTINPAITTRILNSRTGLM -DLLMKCNTYKIPRIAIEDIRIISDDYEVRTHQLRD - ->YP_009337139.1 RNA-dependent RNA polymerase [Hubei diptera virus 9] -MSLSDTEDVDVDDQWQYEDWNEYFPEPPSMELLNNKDYSLNSPLLAKELNSFIEYLRTRLSWDDDTPPSW -QRMSTILSTYINQTTLIGPAKFHKWLANFNQIHIPHYTGFQKLMSHVTRDANETVEVVNAFLRGWLKKKF -MLKHQDITNPAVLKWGSYYLELHIVTLLVNANTREEKEQILRRFNGKFLIESDWEYGSELSLLNFGKIIV -VESVIYLVNHNVILDRNMLLMAKDMYVARFQSLLSLRYRVDNKYPLDVVDKLERLYLLGDEVIRAIGDEG -FDYIKLLEPSCNLRLTELAREYRPLIPEFPLFKEHVLKSINKLSSEFGVGTEFFDHVLHEESVEVVLVYY -SSFRHWGHPFIDTLAGLEKLYTQVTCEKDIDESYAEMLASDLAYIVLEDQFKKKKKWFVNLEELPRDHHL -YPFVKSQTWPTANIIEDFGDNWHKLPLIKAFEIPDVIDPSIIYSDRSHSMNRSEIINHLNKNPTKPIPTK -KVLKTLLSEPATDWKEFLKAINDVGLSWDDLVIGLKEKERELKTAGRFFSLMSWKLREYFVITEYLIKTF -YVPLFYGLTMADDLVTVMRKMLETSSGQGLDNYDVIYLANHIDYEKWNNHQRQASTGPVFKVMGQFLGYP -NLIYRTHEFFEKSLIYYGYYPELLSVVNGEVANNSDKRVCWNGQAGGLEGLRQKGWSILNLLVIRREGAH -RNTQVRCLAQGDNQVICTLYKLQKYRTQEELQENIQRVVDNNNVILNRIIKGTERLGLIINRDETMQSAD -YLNYGKIPFFRGNLRNLETKRWSRVTCVTNDQIPTLANVMSSVTTNALTVAHYSDNPLNTIFHFNFLGNF -VRLLIEGHNPALRSPLVSQLKVPQHLQGLGYKIATLYLDPSLGGVCGTSLTRFLIRQFPDPITESLSFAR -VVCIGTESDRIREIMIGFGNIPLTVPIEPDFNKLIEDPLSINIPKGVNALTLVKNEIKKNLFRSQDNIRN -HIIQTAVKYLEEEEDNLLTYLLSIRPMFPRFLSEFKESTYLGITESLVGLFQNSRTIRNAFHKKLNRTID -AIIIKSELISYTNLFKHNLPRSNGVPWDCSASHADMLRSDSWGIEIIGATVPHPIELLSNFERTTGTCTL -CTSDYPKTLFVSLLIPNGLCQVWNSRGPYPAYLGSRTSESTSVISPWERTTNIPLIDRAARIRSAIGWFI -SPNSNLAKSIYNNLRSLTGENWGGTVEGYKRTGSALHRFSCSRQSNGGFAAQSPVNLTRIVTTTSTLQEI -SEVNYDFMFQSCIIFSQITAGEIHKDNCNHGFYHFHISCNHCLREISEPNLESPTEYLPKDVSGILASWK -PDETPWSKEKPILHLSLSDWESISDFKKSFFIGLAEGFLFGEKILNNLGGITTNSLFPLSVGKKVEPQNY -IKGVLEGLMRSSSLSVLYRWSVATLNRPQSAVVGGVCHLIDIIAGDTSFQNLLRFPRFLDEMTSIPHHTP -PSYPLKGVELGELGRNYMEFFYLKMIAQYRSKWCRSEAWVFSDMSDLTITGLLGVSGEVLQLLYSRKITT -ETKNKLRSLRDLISTIRSDDPSLELPSLAPFIQNLSFSDQEVRHAAKCISPNLQASPLLNSQPTKWTKEI -CGSITSQTVDYTSTHQFLNPDFEIPRVQCPLISGLRQFQCATGAHYKVRSIIAKLNIRYRDAIVGGDGSG -GLGSMVLRQNNKTRLIFNSLLELDNVNLKGSNPSPPAAIYMVKEIRDRCINLKDAWRNPSDLSLTETWDY -FAELITRRNLHINFIVLDMECRDIAINHKIERNLINFIYRLELTDVTVIYKTYGAYLIDPTVSPLLSLGK -YFSDVKMVTTQFSSSFSSEVYLVLSKLIVIQVTLFYPDWLQVEEFIRRLPVLSEVQDELNRAISLKSLDL -LQGVPSNYHPDELVELVNLLQHLGVLSGTSVQISQVVTNKARVDRSENELLVLLISSVSSILDLNTKPIN -CPRPPSDETVSNLGSLFTGISLFLSWANEDQSMFLHANKIIRHGFPFNWTSTLEGRRWDKIVSLRYPLRV -KKYLYLDHKMALIGQIIRNLSRVFPAKLPSFDSVVTASLLKTIDKRLDRNIFERQTSFLSCLCDGTSMDL -EGSKYACTDLFNPTIAVSDI - ->YP_009337071.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 2] -MDLEDHEGNFLDEESFSEYHLAAIDSHDFMEENRSDSMNYLNRVDYSLNSPMIVDEMREFVKYCKGQPYD -PRWNMKVWNLRKIGFNNPQELNSPDTFHSWFGKYNVSINPSLDRITKLLVSTDKDAQSTYPVVSAFFKGW -LNKNIQNPKNHNVDPKIKSWGALFLETHFITLLMNANTITEIENMNGLLTIKKIPDSIRGSIIYVSPVFG -EVYICLGYVYIPKFKRIFDRTTILMMKDVYNSRFHSLLSMTQRVDNKYQLDDWKNLNKLYTMGDVMLGYA -GNQAYKCIKILEPLCNNQLMIKAHKYRPLIKYYNNFQGHLDNTVNELRMICPNISTFVNHINQAGSVELT -LTYFGSFRHWGHPYVNSLIGVKKLHDRVNKEIKVDDKYAGQLASDLARKVLESKFKEKSKWFVLKDELEK -DHPFYNHIQLGTWPTPKQIKDFGDKWHLLPLEKCFDIPDLIDPSNIYSDKSHSMNRSQVISYINKRSPGS -IPTKKVLETMINSPATDWKEFLERIDKRGLDWEELIIGLKEKERELKEDGRFYCLMSWALREYFVVTEYL -IKLHFIPLFKGLTVADDMTTLIKKMMDNSSGQGLDDYSRVSYANHLDYEKWNNYQRIESNGPTFRVMGQF -LGYPNLIYRTHEFFQKSVIYYTQRPDLMMVKDNKIINKTENVVVWEGQLGGFEGIRQKGWSILNALSVER -ESRNLNTRVTLLAQGDNQIICSNYKLQPSRDLAELNINLERIKSNNDSIMAGIDKGVSKLGLKINKDETM -QSADFLSYGKVPIFRGNIRPLEEKRWSRCSCVTNDQLPTLGNVISSVSSNALTISHFSPSPINTIIHYNF -VGNLIRNLLDLHNPATRDSIKSIKNSDIPDAHVSYCIKAIYLDPCIGGVSGMSLTRFLIRMFPDPVSESL -SFWKLIYHNIQKEYQPLISSMGYCRIATLTSDNFTKLLENPLSLNLKNTISPVSKIKEVIRESLYQKGNT -IENLMIRDAILYSKKHFDEVNMFLMSIDPLFPRFLSEFRSSTYLGITESMIGLFENSKTIRNIFHKNMMA -NIDKIIVSSEIQSLTSMHSLEWSKYKKLMWDCSASRADHLRLESWGRKVIGATVPHPIEMLHCLEFKGIN -CKVCDSVDKERGHLITLLPDGLYKYLDSRGKYMAYLGSRTSETTSIIQPWERESKIPLIKRAAKLRNVIH -WFVEPNTNLALSIFSICKGLTGEEWDSGTVGFKRTGCGPHRYASSRQSAGGYSAQSPAKLTRMTTTTDTM -GSINEDNYDFMYQSSILFSQITVGELHDNRFNSGIYHTHINCEKCLRKIENPTLDSKSIFIHPNVSDKLS -KWKPESSPWAETKITVDLKLGDWDSLDDVVKSYHIGRVQGFIFGDSLISKSNIVESTALFPLGLASKVSP -KEFLEGLLDGLIRIGSIYALSRRSVMELKKPRATLLGIVLYLIESLSLDPSLINIWRNKNFIQEFSNQPH -KIPSSYPLSNFDLGSLGRYYLKLLFKKVCIINDQYQSTHKTLWIFSDVNDFRLVGSMMLSKKIAYLLYNK -ALKSSDIDKLRGLKDSLNYYREQSKIQPLTLLESDPHILRVDREVRHAAKEMEPKVDLRDHSEIDWTEEE -YADIHRHKVNYTSQRETRPQHSVPRIQDPLISGLRAFQCATGAHYKLRSIIIKLGIRYNDVICGGDGSGG -VGSWILRHNKSSRLIFNSLLNVEGVYLRGSSPSGPSAIELIPEISRRCVNLTESWKNPNDLTLPATWDYF -KFIKEKNNMNIDLLIFDMEINNIEQYNRVVSLMETKMGDLITKNGNLIVKTYWNILYGKEVSVLEQIGIY -FKKVDIIWTDYTSSHSSEIYIVMRCYTDRNINLYPSYIGIEDFMRSSPCYRDDEQEFSRAIKLKLKNHLR -GVPHRYHQDPFVHLSTLLMTLGVSTGVAAVLGESVKYPSSLTNPINTAFVIYLVSINNILGITHGTKGEI -TVPSDASIWNVGILTIGFAYWLCLSRERLALYKWCLHVLKYQFPFSWCNISKRSFHQKRYSFLKKYPSSK -NFHFDKELAKIGQVIRIFIRLFNTEIGQLDTKILDRDLEAFDQHLSCRKITQLTDIFELIDSPETVMCDE -SVSNRVILEDYVVESSYVN - ->YP_009337012.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 3] -MDFYDRDNYMESGFDHTDNIYSEWTSEEYPEIGDLELPRLKDFINNSDYTLDSPLLPDQLSNLIAYLEEG -RKDPLHYHPSWDEVSSWAQSVHVIPRALVRVSDIHKALFGHLARMNPRLFTRANNLIDTVDNDACNTFEV -LRIYVLHWLQKHLRYESRKYMNVYTISMLQIFIEFHILTCFINAKQELEIQSLLDGGLGIQKDIRRGIYF -ESEIFGKIWLTKGYCFIPELNLLLDRDLVLMMKDMGAARYQTLMNIESRYESDYDSRHLDIIIDLYRSGD -RLLGQYGNRAYNIMAAVEPHCNGKFVELAALHRPLVPPFPRFEEHLVNTRRELEMEMPGAEEFLLLIKNL -DNLQVTLTAYGCFRHWGHPFIDYEEGLRKLHENVTKEVEVDEAYAEKLASNLAKKVLKDQFHKKKQWFVD -INHPEEFGVLDPHIRNNTWPPPAVIDAFGPRWHLLPLTQCFDIPELSDPSLIYSDKSYSMNLSEIVNHLN -SDPYRRLESRKVLDALIKLPPTNFKELLREIDEHGLEKDHLAIGLSAKERELKIIGRFFALMTWKLREYF -VFTEYLIKTHFVPLFSGLTMSDDHSTLVKKLIECTSGQGIDGCDSIGISNHIDYRKWNNMQRDKATKPTF -TVMDKFFGYKRVISRTHEFFENSLIYYKARPDWLTVSQGEVTNRSPEHLGCWQGQAGGLEGLRQKGWSIL -SLLMIEEQADFSNVNIKVLAQGDNQVICCNYTPRYSRTEEELVNNLRDIVNNNDRLISSVRIGIEKLGLV -INEDETMQSADFTNYGKVVIFRGHICCLEEKRYSRVTCSANDQLLTIGSTLSAVSTNCLTVAHFSKSPIR -AMIQYNWLANFVRRVLELYHPGLCSTLKALVSKHTVNPLESVFYKIAYMSLDPSLGGVGGMSYARFHVRA -FPDAVTESLSFWKVIADHTTSEQLRAFAIEMGHPRLLRYEDSHFIKLIEDPSALNIPKSISAMSVLKEQV -KLSLINRSEEIGNHMIRTSLEYYKENYDQIVIHLSTITPCFPRFVSEMYGGTACGIFESLISLFENSRSI -RNKTILSNYSDIHRQLTKSELASFVSLVLKSTFTATQKSIWACSADHADNLRNMSFARKIIGSTVPHPLE -MFGLTERAGDNCRGCTTGTNPTSFITTIIPQPFTSVTRGKYPPYLGSRTQEMTTILKQWEKETRIPFVKR -ASDLRRVIKWFVDPDSNLAKSIFKMIESSTGELHETSSVQGIRSGSAPHRFRNYKTSSGGYCANSPSYSS -QMIVTTDSMSDLGDTNYDFMFQCSIIFAQQTASLLHEGKKHGEIYHTHISNSLNLREITEPDLDCPYVMT -FSPIHHLLSKWKPDSVEWFESKVVYSIPLNDWTALSQFEQSYQIGRAHGFCYGEQVLSHRSREVNGILFP -VGTSGHLYPQPFLAGLLDGVMRAAALNSIHKRNQRENRKPRPALSTLTYSLLNKMCQDNAFINMTRHPNF -QHELMSTRYKVPAEYPSSNLDTGNQILSYFTDAYELLFVDDDYKTKYQNIWLFTDLQGDGTIGLFIMADK -AIKLMFKRALDESSQRYLVGLAEISGLIREGKAPQEDFQSIMPITRAYMSGYDIRSACKEIHVPTAIARP -KVWLDHTQMPRFGVTAARVHYMPIPTTSGLQPPGKYQMPLVSGLRIIQRSTGMFYKLEELLRHFVVSCKD -FICGGDGSGGGTAHLLNRYPSSRGIFNSLPDITKVALRGTRPSPPAAVEHAPGQASERCVNFFTAWKEPS -DLRERSTWDNFMRLKRNYNLSLNLAVFDMEVMDYDSIKQIMLLLSEYLPRIMDHNSTIIVKSYVAEILSE -RSPLHLFFYKMNTVHLTQTGISSSKTSEVYIVGQNFKSDYGSALFPDLELLSGFLSSCYCYRSFQDEFRR -ACSIQEKPNRIFTSRLLMPDPIAEFRVDLQAIGIRGAVISQISQMWGGHGTIKGHECILLTMALVSNDRI -EMNRPLHQAFQVPNDQTCLHILTIMLGFHMWLAMDCKNERLAQTCQNFLNDTITIWLGVKEVKIRGERLH -VPIWSLSKMLQKRKTFHLDDKIAKIGRIIRFCQRRIPRSSHREPINWDFINHTLANQNRRLDMGMLEERT -GLFDLMDPSFRQEVYPVNSGFEVVNPEVEPAWDS - ->YP_009336837.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 2] -MKKTNISTKNVTMDSEFGDDYGELHDRELGFSESNLGFNHQSERSSPLEGLSNVDYSLNSPLISDEIDEF -LKFIQGVPYRKSWNKRLWSHRKDLLKEFNVDPCKIRPTSEFHRTFARILLDPNVCTKHFKSLITGAQRES -KETWEIPHAFLKAWINLDVTIPDKDKVTDETMKYGALWAELHIATLLLNAMSSKERQNLCRQFNAVQKTV -KGNDGILIDLLNFGTVMILNGLIYFKKYKILADRHMLLMMKDVTNARMQSLMSLQNRQDKKFDAEDIKTI -ENIYKIGDQMILRGGNKAYDGIKLIEPICNLRLTELAQRERNRIPLCPDFKNHIRESIQGDGQNSVFLER -LFLSILEIEKVDVVLVIYGSFRHWGHPFLEYLEGLKKLREQTTLPKQIDTDYANKLASDLAYIVLKNQFD -KNKKWFVDVDRLPPDHKLRDNIVNNTWPTPFQIKDFQDEWHRLPLSKCFEIPDVVDPSLIYADKSHSVCR -SEIIEHIKSNPMTPIPSKKVLETFINTPATNWKEFLTEVNDNGLDMEHLVIGLRGKEREIKRIGRFFALM -SWKLRDYFVITEYLIKLHFVPLFSGLTMADDLNTVVKKMLDTSNGQGLDVYDYITMANHIDYEKWNNHQR -GEANNPVFTVMGQFLGYPSLITRTHEFFEKSLIYYNNRTDLMYVRDGVVLNKDDDTPVCWNGQAGGLEGL -RQKGWSIVNLLVIKREGRVENTRLSILAQGDNQVVCTQYKLQKTRTTRELDICIDRVLLNNDKIMRNIEE -GTRKLGLLINKDETIKSADYLNYGKVPIFRGKIKGLESKRWSRAACVSNDQLPTISNILSTISSNALSVG -HFAESPVDAICHYNFLGNLTLGVLNLHNPAVKGPIKSCLNPKDQVSYMSKNYKILLLYLDPSLGGICGMS -LTRFLIRSFPDPLTESLAFWQGIFPLVSTPTQRLISAIGSPIMGRYDRGAFAKLLENPLALNLRHSVNPV -QMIKDEIRRELIKNCDSIKNKIVQHAIQHSRDEEESLYAFLETITPRFPRFLSEYKAATYLGMTESLVGL -FQNSKTIRNVFSRRMKKTIDEIIVKSEIQSVKGMIDIVQRGKRSGINVWRCSSEKADVLRQISWGNDIVG -ATIPHPFEMIKSPTLSYKCPHDEAETVIERSFLSVLVPKGIPLNDAKKGPYKPYLGSRTTESTSLLRPWE -NESKVPLIRRAAELRKAFNWFVNPDSHLGRSILNNLHSLTGEVWIEEGVKAQRSGSALHRFSCSRQSQGG -YIAQSPFCGTWMLETTDSMHGLGEQNYDFLFQALLLYGQITTGEIHRGLRSSGYYHYHIQCHSCIREINE -ITLDAGYEYHPKEVSDILNSWKPEGTEWITKRQSVEMKPGVWGPKRLEEKSYHIGKTQGFLFGDMVHKSR -HGKDDSSLFPLVLRDKLNPKLYMTGLITGLIQASSIAALYKRSIQTLKRPKQILLGQVLYAIDLLSLNEG -VINIWRSDRFLEEFITVPHRIPSSYPLNNSDLGALGRNYMRTLFTRFQTIYQELPPSYHETWIFGDCMDP -DVVVPFCLSKISTDILYVYGVSKSSQARLREIKEVMAEDDVIKKQVSFSDMAKTMEIKLVGQEVRHALKG -IKPEKEAEKGESSLRRAGWDTELVGQLIEFPVSFEINPRMIKYLPDIPRIQDPLISGLRLFQCPTGAHYK -MRTILEQKEINFNNALVCGDGSGGMTSMVLRLNPTSKAIFNSLLVLEGVELKGSSPSPPSAISCIPEVKD -RCINYDNAWENPSDLTHEDTWEYFVRLKDQHELYLDLIIVDAETNDYQVINEIERLLAKYIHQLLPRSGS -VIFKTHMDPLLRTWDSGLLSLLGPCFAEVSLAVTTLSSSHTSEVYIVMKHPTTRIMNCKPSLEKIIPYIK -YLPAMRSPREEFDRALQIPTDRMFEGVPPNLISDPSTDLVVLLISVGVETGVSALIGEMTRQATDEQQRD -LPYYILLTTMNSLFTLTRGDRESSTCKDQVVYNWGSFLTGFLFWLAWNRKIFMIKKNAQQYISGVFLFSW -SLIKTKKKGLFFRKISMLGRYSSEKNVYLDSKMALIGNVIRVLTRLFPQTCRVKYDETKLNHLLNTDNAG -LTINLVKKTSDVLHLLENHEIDFPEKTPYVNAYQAEEDTQTWTAY - ->YP_009315878.1 L protein [Gata virus] -MKKTITAIMDYSIEYVDDYWSDLIQDTDFDVFSGEYLNKKQNNKLNCLVNVDYSLNSPLIPDETEELIKY -LLSRPYRNSWTLPKWSNFKAGLKHYNVPLNSIRQPSTFHQWWGKFNRLNPRDTSVISKQLDSAQRDSDLT -FPIIRSFLKGWIGKNVNNPTKSNVNKDTLKWGQYSYELHVVTLILNSVNQEERIAIAQEFTEFNISESGV -GHNSSFNSKNLGKIIISDGLIYLLNHGYILDRNMLLMMKDTYLARFHTLLSMQNRVDNHFPPNSCLTMSK -LYTLGDKCLDHGGNDSYSALKLIEPLCNLRLSELAHEYRPKIPQDPNFRDHLVRMGEELSANLPPMRELI -RLINTQHHVETVLTMYGSFRHWGHPFLDCLEGLRALYDQVTMEKIIDFNFASELASDLAYIVLRDKFDKD -RTWYVDASLLDDDHVFKKHIVDKTWPTPKQILDFGPNWHILPLVQCFDIPDMIDPSTIYSDKSHSMDLGE -VKNHILSKSEKPIPSRKVMESYLHRKQTNWPEFLRSINDQGLDKEKLLIGLKGKERELKIKGRFFALMSW -ELREYFVITEYLIKKHFVPLFKGLTMADNSTVLTKKLMDNTYGQGTDNYDHVSFANHVDYEKWNNHQRGA -ANNPTFKVMGQFLGYPNLISRTHEFFEQSLIYYNQRPDLMEIIDGQIVNKRGHRVCWSGQSGGLEGLRQK -GWSITNLLIIRRASIRRNTRVQILAQGDNQVICTQYSLHKTRNDQELQQCLNDISRNNDDIMREIEEGTR -KLGLIINRDETMRSADFLSYGKVPVFRGNIRGLETKRWSRVTCVSNDQLPGLGNILSTVSSNALTVSHYS -ESPINSMIHYNFLGNFVTNLLDRHNPAIKMSTQRSVKHSQFLKTFTFRAGLLYLDPSLGGITGMSPGRFL -MRMFPDPVSEALSSWKVIYNNIDPKLKMSVLNIITPKLGKVSASSFSKLLEDPLSLNIPTGIKALTLIKK -EVKKSLISNVHKIKNEIVKDALIYSRTEEPRFLNFLRSVKPLFPRFLSEYKSATYLGITESLIGLFENSK -TIRNVCSKKMSLEVDKITVRSEIVGIVNLVNKARVKGSHHKIWNCSSSMADELRLRSWGSPVVGATVPHP -LELISKFHEYKGRCKLCALPGESGAYISVLIPAGLYNYWESRGPYQAYLGSKTSETTSLLTPWEKEAVIP -LIERASRLRNAINWFVEPDSNLARCIKQNLDSLTGEDSGETDTSFRRTGSAPHRFSCSRQSSGGFSGQSP -VKLTRMCATTDTLSEYNQRNYDFMYQSLLIYSQITAGEIHDGKIGQGFYHFHTSCQRCIRESYDITLESP -GIFQHIDVSQKLIAWKPQNSMWFKEKRMLRLNPCLWDRLSVFDKSFHVGRAQGFLYGMLSYKKNQNANDS -SLFPVVMRNKVLPAPYMIGLLDGLSRAGALACLSRRSVSELTKPRPTILGTVLHLIEKISQNPGLVNTWR -GNNFQMEFSTVPHKTPSSYPLNNIDLGSLGRNYLKFMYLRHFLRSEDAYSAHPDLIVFSETSAPDMVQSL -ALSGQLTNLLYKKGLDKSHKDALRSIKVILHQIQDQSDLSQDLSWFHGRMTILDREVRHACGDIIVESSQ -LEEMLKSRHKWGAEEHGTVLALELEKMTTRDTEEPPPVEIPRVQDPLISGLRLFQCATGSHYKVRSLLRH -FKIQYRDAISGGDGSGGIGSMLLRYNPSCRIIFNSLLNLEGVTLRGCGPSPPSAISSLIHIKDRCVNLNH -AWMEPNDLSQVDTWETFKINIKKYKMNIDLIILDMEIVNQTVMDKIEDCVSSYISEILSVDGCLIFKTYL -DRILNNKSNIVSKVGLLFEDVFVCTTQLSSSFTSEVYIVFRRLSTGNIFLHPSLNEVRSRLSEFPVCRSP -EQEFERALSVIKMDLLKGVPKIMITDPFIELSTFLVSLGVETGIAALLGESITKPALTNTAEMPVLVFMV -GVASLLKLNSGSKVKNSSTDRLTRSTGIMLIVFAMWYAVQTNDINLYKRAKRHIDTSFTLSWRFRMIKDN -WFSFVSVQSLTNIHRSLHLDSDLAAIGQGIRIYTKVFRDFKKLEDVKKLNEMLMVINKGWSIKGLKNSTD -IFQYVWGDLCSTSGDPKPSGKWSQVDVQDSGAYLD - ->YP_009315873.1 L protein [Orgi virus] -MTNVDLFFEQNEGDLWSVDSEMDLQINPKKINFLSNYDYSLNSPLIIDELENLYNFLVGISYRPSWNLNK -WKNFRDAIKKVNFKLSNLRKPDQFHRWLARFFLAFEPKLDFINKLLEKSQDDAEETGTLLVTFLRGWLGK -VVVLEDKSNIPDDILKWGALYIDTHLITLAMNSVSVEEVKNLEASIYLQVIGDYADHIWTLRTKSFGKVL -ITQNFVLLLTYGVMLDRQTLLMVKDLAVARFQTMTSMINRMDERFNEEDWLKISALYRRGDEVMSHAGVQ -AYNLIKMVEPTCNKRLSDIAKERKELLPDFTNFDQHLEQSMNALSQTLPGTRDFLNLILHERSVPVLLNM -YGSFRHWGHPYLDYQEGLRNLEAQVNMPKTIDEKYANALASDLAFMVLQKEFKEKKKWFVDIDQLDRKHP -LYRHVRDKTWPTPKKIIDFGDNWHRLPLQKCMEIPDVIDPSVIYSDKSHSMQYSEVYRHILTRSHDPIPT -NKVLESFLQKPATEWKKFLQEVNDNGLTLEDLIIGLKGKEREIKIGGRFYALLTWALREYFVITEHLIKT -HFVPLFKGLTMADDMTTVIKKMMDTTSGQGSEGYENICIANHFDYSKWNNHQRAKANNPVFDVMGKFLGY -PKLISRTHEFFEKSLIYYLDRPDQLRCHDGRIVNKPGQLYCWQGQLGGLEGLRQKGWSIVNLLAINRASK -SNNTFVKVLAQGDNQVVCTNYRVQKYRNHEELVQSLERIWENNNKLIHHIEQGALKLGLIINRDETLQSA -EYLVYGKVPVFRGNFQCLESKRWSRITCVTNDQLPTLANILSTVGSNALTVAHFSDSPLEPMAHYNFMGN -FCRNLLELHNPAIKGPISRTTLGPNFNRSIEYKCLALYLDPSLGGISGTSLTRFLIRGFPDPVTESLAFW -KLLYDHGPTWLKRLARAVGNPRLGSVTNLTFSRLLEDPLSLNIPGGINPLTLIKEEIKLELLGNLGRLRN -NTVKLILGHVRSEEERFVSYLRGITPLFPRFLSEYMSATFLGAAKALIGLFQNSKTIRTAFTKLMDKKIN -NIIFRGEIMGLQHLDDYGARRTIVQHIWECSAKKADELRLLSWGSKIYGATIPHPVEMINNTTIPTPVCE -GCNQDPPYNVYVSTLIPHGLRNYKHHRGPYQAYLGSNTSESTSILQPWEKESKIPMLKRAVRLRNAINWF -VPPGSPVADSILSNLRGLTGEDWDRGSRGFKRTGSALHRFSCSRQLSGGYSAQNPARLTWICATTDTMKE -LGSQNYDFMYQALLLYAQLTACELHEGNRFQGFYHSHISCKLCLRTIEEINLTSQGSFQHADVSYLVRAM -IPDGSEIISIKPVVDVPLGDWSSLSPTVQSYHVGRAEGFVFGELTLSGNRHCDDASLFPLGIKDKVIPRG -YMNGLLDGLKRAGAVHCISKRSVADLNKPKAALLGVTLHLINQVMTNTALLNVIRTAGFENLFKSIPHKV -PPSYPLSDLDLGSLGRNYMRWIYLKEKHDLYEDDPSYTPLWLFSDMNHYGIAGPYACSSRLIKLLNVTSL -SKATKEQLRTAKDLVHTIKDPDADPSNLLKIHSKLYYVDHELRHACKFIVRDSVSRPIDLGQTTLRDWGI -EIKFGINYSRVEYLAIQTDQDWENFTIQKRQIPLISGMRLFQFATGAHYKIRSILREMDIRVRDVVAGGD -GSGGVGAAILRLHPNSSLIFNSLLDLSKVNLRGSSPSPPSAISEVESVRKRCINFDDSWQNPNDLANGDT -WSYFIKIAKDHNLYINLVVLDMEVTDDSVSNRIERCLSHFIFQLGRSDVTLIYKSYLHRLVQDSNPLLYS -VGPQFLHVYFVTTDISSSSTSEIYVIMSGRRLDCNFKLYPHLTAENLNLSWVTKSDESEFDRAIRLKKSP -MFQGIPPRYQMNIQGDWIAFLGSLGIETGIAGLLGELIAERTVITAPVLPWIILIVGLNSLLGLSLGFNL -PQAPPTDNEVLCTGVLVVGFQYWISVSFEKLSGYHGANLAINDYFPFSWKIIEEEVGGKKSKVLRKKKFR -YVSFLGKYSTTKNLHLDSRMAAIGQFIRLLATTFQYPKFFPDSSVLDKAFETLGFKIRTKVIQDRTDLLQ -YFNKSQYVQIPGTLDIPEVVEQMDTEEAYLI - ->YP_009305122.1 RNA-dependent RNA polymerase [Yongjia Tick Virus 2] -MKKTTAIMFDDYNDLWEDEGWGAEGPAPELHQLRFINRTDYTLNSPLIPDDLRNYIAHLNGLEPESHIDT -AQWALRDEAIGSLKDRHMFLPVSRIHSWWANYTRQPLESTPLLDEALNSVRADHEDTFPVLRSFLKGWLG -RESYPLKIQSCSDTKRYGEKFLVWHRVILMLNSSTELEGKTLAKRTGARYHLGEHGGWFRWKIPLLGKVI -IGGGLVILPNVQIILDRNFSLMIKDTYGARFQTLFGLHNRVEDVFSDGEIKQLIELYTAGDQIVQDLGTD -AYDTIKLLEPVCNLRYCELARESRPLIPEFPAFRHHVEASIHATPYSSGKETFFRILMTVCSLRMITVFY -GSFRHWGHPFIDYLEGLIKLHQQVTMPKEIDTGYAQALASDLAYLVLRKKFAEKKKWFVNHLQIPPNHPF -RDHIHHNTWPTPKQVDDFGDHWHELPLTKCFEIPDVIDPSLLYSDKSHSLPRAEVIQFVKSGKKGPIPSL -KVLDTLLKTSATNWPEFLQRVNDDGIPRDQLVIGLKGKERELKKNGRFFSLMSWMLREYFVVTEYLIKLH -YVPLFSGLTMADDLTTVITKLLDRTQGQGGTSYENICIANHIDYEKWNNHQRQEATAPVFRVMGQFLGYP -NLIARTHEFFQQSLIYYNGRPDLMIVSKDSLKSRGQHLVCWEGQAGGLEGLRQKGWSVLSLLVIQRESKI -RNTKVKTLAQGDNQVICTQYKLRPSGCAGDLLQNINDVVKNNNIIMSAVKAGTKKLGLIINEDETMQSAD -YLNYGKIPVFRGKILNLFTKRLSRVLCTTNDQIPTLANVLGTVSTNVLTISHFDPGPLNSFYYYDILSHF -TRRLAEWHSPILGISLPELFKEEAEIFDTPEYKILCTYLDPSLGGVAGTSLSRFLTRMFPDPVTEGLSFW -KLVFDNSSDAHVRAAALAAGNPPIGDSRGGSGFEKLMEDPSSLNIPRGLSLSNLLKAEIKKCLLKNVNEI -KNQVIANAATYCQREESRLLLFLQSIDPLFPRFLSEFRAATFLGITDGLIGLFQNSKTIRLVFSRRMLRD -VNDLVYRSERQSYLGLIQRSKQSGRIWGCSASKADELRRWSWGRDVLGATVPHPLEMFGKACLKEGVCRI -CEDYQSDDYISTLAPLGLSKAGSEKGPYMAYLGSKTSESTSILQPWEKEVTVPLLKRASKMRNXIHWFVR -PDSNLAKSILSILTGLTGESWDTCTEGFKRTGSALHRFTCARISSGGYAAINPARLNWLITTTDTLLCIG -SDNFDFMFQPSIIFAQVSVAEGFSESSSSIHVHHHLACKHCLRKIEEPKLESPFVYSHPDVSHILKSWKP -EHTSWASTKCNYQIPVIGIRDLDPAEICYQIGRAEGFLFGDMLLGDNKHVSDSSLFPLTIQFKVEPRLYY -EGLIDGVLRAACLTVIHRRSVAQLKKPRPTLNGAIIHCLDILCDLPNLLNLVRKGPLYQVLMGDPHRTPS -SYPISDKDMGGILRSWLKRKYLDFEKDNINYRPRYNRVCIFADMSDTEVIGPYLLSTQVVSCLFKERLTR -SDLSKLRELCSLSESVREGKEGNLQLLTRVPSVVCKEEIRHACKDLGVTRDYQIPSYKWGKEYVGEIHCF -PVEFSVDPVSHSNSLPSLQFRCPLVSGMRLFQMATGAHYKIRTIIVRHGLSFRDFLCGGDGSGGMTAALL -RLNHSSRGLYNSLLEFSGSSMRGAKPGPPPAIIGCPGIESRCLNLHTVWDEPSDLSQRQTWEVFHQHKRR -HKMTLDLIVLDMEVRDEAITRNIIHQVETEGLRLLDIGGSLIFKTYITSLIGNSQNVLTHLGRYFKEVVL -TQTSLSSSRTSEVYVIFCQLMEKKRRDHHVNWVDLWTKVPKAFVYRSEEEELERACAIPIGQILSGVPKE -LLPDVEVELGTFVNILGVGSGTSGVLAKDFVYNGSMYGPETLWTLIIVCVNMLIDVVGEHLNSWPIPSDT -ACESVAILLLGTGYWWAQQTKDIILFKVLNSINDTDLSLSFKKRERLRSEMPMKILRWNLEGKGSVHKRI -PTRSLQAGIGQCLRLCNRMLSYKRSTDKGLVDSLVRKYNLNFSWAQTARHTGVMNLLIHRQTPVKRGAVA -LQEPEQTERSWRS - ->YP_009305117.1 RNA-dependent RNA polymerase [Wuhan Tick Virus 1] -MKKSSNPPMEEEGESELFETDKFYEVSLAEDTELSLEDVEACLSQLPKSHNSPLYNVDYNLNSPLIRDQA -DALLSKLRGTRIAARFERNTTIYKQYKSLSAQVTDWKLVQATNGFHKWAATRVFPREMSTSHFYEQWDTR -MTEIVLPMEIVTTFWHDLTGRKPPSPEYLLRAKWLQGEPRYDWQKKLLSEGENFWFFHIITLIMNSKDKE -ERDNLIKQGVYLNLASLKITSTAKKDRGCYLLEGSHPDYGRFYVGPGCLYLKKEERLLDRNMVLMLKDTL -IARFCSKMCLLNRAEPDSTTLATEKLTEVYHLGDQILRELDSDGYKALRLLEPVCNLRLAELAAAYRPEI -PVSPRFREFLLREIAGQEELAPGVIHNFFSRIMTETSFIQVIVYYGIFRHWGHPFIDYLAGLNKLHEQVQ -APKKVDVKYANALASDLAYIVLKHEFDQQKKWFVDPDKMEPDDPLRDHVLNCTWPTPVQIEDVGDRWHLL -PLLPCYEVPQTIDPAVLYADKSHSPNYEEIYNHIASGTSDPIPSKKVLITALRTDQRNLREFLKLVNDRG -LPRACLAIGLKGKERELKEIGRFFSLMTWALREYFVSTEYLIKTYFVPLFEGLTMADDLKGVTRKILDCS -AGQGNKNSCDVGFANHLDYEKWNNHQRKESTSPVFTVMGQFLGLPKLIARTHEFFEQSFIYYGDRPDLMT -IKDGEIVNVDENQKVCWNGQLGGLEGLRQKGWSVLNLLVILRESKIRNTKVRVLAQGDNQVICTKYKIPS -NLDVNAHAEELEKVFTNNMTIIDSITLGANKLGLIINKKETLIASDYLTYSKVPVFRGNIYPHEVKRYSR -VTCIPNDQIPTIASAVAAVATSSLTVAQFSNSLLNPMACYCLFGTMVLSIHRFHSPLLKKAILDGATAME -RQCFMIRALYLDPVLGGTSGTSLTRFLIRQFPDPVTESLSFWKRLGLITGSSIVKLIALEAGHPPLASNQ -NDNLSKLLEKPMSLNIPKGLSATTLLKAEIRKGLTDNLDEIQNVIVRESLRYQNAQEANIKNFLLSVRPL -FPRFLSEFYSATFIGITEGVLGLFQNSRTIRNTLSHRFNKRVNTLLTLSEALCVKTSSRAVYSQGHTMWP -CSAELADKLRRDSWGEGIVATTVPHPYELIHSYKEGSVLCSECPKGMPERERVTVDFPHGFPTTFTERGP -LCAYLGSATTESTSLFQPWEKEVRTPLLERATRLRVAVNWFVRVGSNLSNAIFENLKALTGWDWFEMENV -FYRTGCPGHRYRSSRQSNGGFSAISPNGPMWVMVTADTMPTIGKDNYDFMYQSLMLYAQTVSLETKLAAR -SSVKCYHFHIQCKGCLRELKEFELDTTQTLQLPDVSEVVSSMSGGTMPDLTTLKSLQVAEGSWEDLTPSE -KCFHIGVAQGALYGFYCVDNDGRKSDTALFPISLLPYLEPRAYLTGILKGVLMAAAYDTIYRRLSVLQHR -PKSTLLSAAYHVLECLCKEPSFITVLNKESIMGLLTDEGHRVTPSYPSSGVHLGAMGLGFLSRHLTKNTL -DLDPWRSYWRRVWIFSDFKSPKLVALMLLGHELWLMLKAVYVPRAHLSTIRQIKDIIHYYCALDLSLHVS -ETPAVLNKSFLGPVLKAGSWCSREVRHATQALPLYRHIHRHKEEDWGQEICGGLKSILLDYSSRPTDPVN -DVILVRVNDPLISGLRPFQMATGAHYKLRCLLKMIPFVQDCIVGGDGSGGMTACLLREFRSTRCIFNSLL -DLSDRHLRGVAPSPPSAITALPSGMRNRCVNLQTAWENPCDLREEATWSNFEWLIRMNHLTVNLIVLDME -VVDELSIQQIVKNLREWIHKLMKPNGCLIFKLYGTREQALNGQTLSLLGSLFKQTHGAQTQFSSFGTSEF -YLVGVGLLEGKILAPYIETNSLRELLDSLGAAKHPKEEFNRALSISPKDMWMGVPRQMRPDPVVEFLGLF -SSLGLETGVASDLAFTIQAQIQKGVRRDGLVLTVLILLSNAILPITRWMHLPIAPPSDQRLQKLLSGFMG -CWEFLAWSYSAYGIHEKLQSKMYRSITFWYRANPRKVGKSKGHCLEWSFTPMPWPFKQLPKLEHHATIGQ -VIRVFSYFWPTRETAPFSSYEQLMFLLRIHLRSFNRGLRPEDVLSHTGLFEPVLGPRLIEDYPWMGTVGG -RKFRFLPGDSAAEEVMHTWED - ->YP_009305107.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 9] -MEFSTNDYFQDFLQIDHTQEDELVNNLLYEASTTTKVMRHLSNYDYSLNSALVSDELDALLSWLKGGQAE -PRWFKPDWKIIKQLLADHSNFRDIKPTSTFTEWFGHFNLTQPYKPVKRFNDILQRANSCSISTRPVVDSF -LKGILGKSLNWQGFSHLNVSTECLKWGSLFWELHIISLLLNCTTSREANLLSESTSASIIHVPNVKHQRY -LFKLLTKNFGEAIVGLGVVYFGKLKRLLDRNTILMMKDTYVARFNTLFTLSNRMDGLYGYEAQQAITKFY -RMGDQILTEGGTKAYQSIKLIEPLCNNRLANLSQQFRPLIPIFKDFERHIKKSVEEAAEITPSINSLYQT -LEEITDFDIILTLYGSFRHWGHPYIDYFAGLQKLYEQVTLPKQIDKKYAELLASDLAFLVIKDQFKTKKW -WPVDPNSLDKDHPLRNYIENNTWPNNSVINNFGPYWHKLPLIKCFEIPDVVDPSIIYSDKSHSLTRSEMK -AFLAAHPNSSIPSHKVLSSLLSNPSTNWPIFLDRVNKHGISLDNLIIGLKEKERELKIGGRFFALMSWEI -RDYFVMTEYLIKTHFVPLFDGLTMADDLTTVIGKILRNTEGQGDTSYENVTFADHIDYEKWNNHQRAEGN -NPTFRVMGQFLGYPNLIERTHEIFEKSWIYYNRRGDLIGVDDNDKLYNKGPHRVCWNGQRGGLEGLRQKG -WSIVNLLILKRESLAVNTDIKVLAQGDNQVICSRYRLRQSRNDNQLLDNLNDVSKNNKLLMGRIAKGTEK -LGLIINHDETMKSTEFLNYGKTCVIRGNIRNLETKRWSRVTCVTNDQLPTMANVLSTTSSNSLTVSHFSD -SPINPIVLYNFYGHFVRTICEFHNPALRGPVSILLTKKDLKRLDSLFYLVSSLYLDPSIGGVCGMSLTRF -LIRVFPDPITESLSFLKIVHDNTYDPELREIMCQLGNPKVRGDHDPDISKLLEDPLSLNIPRGIDATNMI -KEKIKKSLSLSADKIQNLIISKAVQHQVNYELTFLNHLKEIEPLFPRFLSEYRSASYFGIIDSIVGLFQN -SKTIRNQFKGHLSVEYDSIIIKSEIYSIRLLLGLIRKPEKRKGMWSCSSSQADFLREISWGRKVYGATVP -HPAEFLNIPKLTRGSCHGCDQEFPHYLYLSVLIPIGFQHLKTAKGSCSAYLGSSTLESTSILQHWEKETR -IPLLKRAASLRNAIGWFVDPDSNLARSILNNLYSLTGESWDDNIKGFKRTGSALHRFNCSRQSNGGYTAQ -NPSKLTRMISTTNSFAELGSVNYDFMYQSCLLHALLTVGEVHSTRQGQGFYHVHIKCRDCLREIEEITLN -CPAPYRPEEVYQDLEKWKPDNVSWSLKTPIVNIRQGHWDTLEASEKSYHVGIIQGFIFGDSIWGRVARST -DPALFPLTIGKHVVPRLYMEGLLIGMVRSSILSITHQRLVERQEKYHSLIIGNTCLIITELAKNQNVLNI -WREVKFQTLFASVSHRLSPSYPMIEVDIGYSGANYLIHLLINLAPKFLRNKHVERDESIWIFSDTYRFEI -VALIAVSEECWRLLLNKKLDKEVKHRLKMLREVGSWIRTLADPDDTNLLLVNNLLSPLCYTDREVRHAVK -GLQIEDEDDALRQDNWSVTYNSKVLSVAVSFTPDPALGYPMKLFSNLRFQNPLISGLRTIQLATGSHYKL -GGILKYAGVNPRGALCGGDGSGGITALVMRMFPSCQTIFNSLCDYKDVRLRGNSPSPPSAIVHASCNPGN -CVNYKTNWQNPNDLSQRETWEYFIDLTKQYCLILDLIILDMEVKDPKTIDLIESLSLNYFSKIGAPGSIM -IFKTYLTRIFGSKDNILNKIQGRYTRVEVLSTSISSSQTSEVYILIGNQTNSSTYLDIYPDLSQLQLEIQ -SYPLFSSPLQEFMRAIEVDKQDLIEGVPPNIRPDPTCELLSVFQKLHIRSDLCHRFADTYGNKPKSPMVP -FHLFVLCVHGLIPFTTGSVFKQGPPSDGVCLKVSSLIAGFLIWMGLKMKNYQITKLGQGLIDRYAPFYSE -SIKHGNYYFQSFSFINEKKHRKILQLDSEMALIGSIIRVFQLNYPNAHLFPDINTLEQNWLIFNKAITYS -WFDQMTDLIKYIRKDKKALNQDPTPKVSLLNDKEEVITKETTYRD - ->YP_009305102.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 5] -MDLLDESDETQEINDQDFDLETSSSFELDEDEFELSDQIKYHYQNLNQNDYSLNSPLISDDLEGYLAHKQ -QRNYPLVFKQPDWEKRDKFFEKVEIDFSKIKKTDELHKWWGKLNQINYLNTNRAKSFLRNVISNHLETLP -IVEAFFESWIGKKLNEKQKSFTLEYKEIEKWCELFLMMHDLILIMNANSKYEIKKLKKILKFKTVKTDHN -IIGFKVPTHLGLCLITGKIMIFKDHNIMIDRLFALMMKDTWIARMNTLIGLVLRTEHNFDFKDIETIDEI -YNLGDQMLEKIGNEAYDSIKMLEPMCNLRFCELAREFRPLIPPFPGFSNHIERSVNELQIMNPKISKLKE -IIEKIDNVQLLLVVYGSFRHWGHPFIDYLLGLEALHTQVHLPKRIDENYAKLLGSDLAYLVLKKKFTEEK -KWYVDLTKIEQNHPLYKHIQENTWPTPKQIEDFGDKWNELPLTKCFDIPDIIDPSLLYSDKSHSMNRDEV -INHVSRYPNVPIPTKKVLDTLLHTKSTNWPVFLQEINDHGLPRNSKIIGLKGKEREVKKKGRFFSLMSWM -LREYFVITEYLIKENYVPLFSGLTMADDLTTVISKLLDRSQGQGGKDYTNICIANHIDYEKWNNHQRKAA -TDPVFKVMGEFLGYPNLFTRTHEFFQESLIYYNGRPDLMRVNKDSLTNATNQIVCWEGQEGGLEGLRQKG -WTTVGLLMIRREARVRNTTVKILAQGDNQVICTQYKLRPYQNEQELGGNLQDIWYNNHSIMNAIQNGTNK -LGLIINEDETIQSADYLNYGKIPVFRGRILNLFSKRLSRIMCITNDQLLNYGNIMATVSTNALTVCHFDR -SPHDGMIYFNLFGNLTRLMIERHNPVLGGPLNDFFPDKLNSTVYKILSLYLDPSLGGACGTSLTRFLTRA -FPDPVTESLSFWKVIHQTLKDPILRQLCCEVGNPKIAVMSNVKDFIKLLEKPDSLNIPKSMSIANLLKAE -IKKSMQLNVSTIKNEVISDAIIYLNQEEETLLNFLMSIKPLFPRFLSEFKSATFIGITENLIGLFQNSRT -IRTAFSRKLYRDLNKLTWDCELSTFKALLEFRFKGNTMWECSASHADLLRKLSWGSKVLGSTVPHPIEMF -KNVSESGSKCKYCKIRSDDYITCMVPKGLKDVEIERGPYTAYLGSRTSESTSILQPWDKETNISIIRRAV -KLRNSIHWFVDENSILSEAILSIAQGLTGEDWSGKIKGFKRTGSALHRFSCSRQSSGGYAACCPTNLSWM -ISTTDTFRIIGNENFDFMFQPSLLYGQISASEMLRETKEPTIFHYHLSCDKCLRKIEEPTLDSKLKYKHP -DVSKTLIKWKPDNSLWYTEKPTYEIKNVDLSELNEHEISFQVGRIGGFLLGNEILGDQDYLEGASLFPIS -IQFKIIGYDYLYGLFDGIVRSCLINVIHRRNMIYKDKAKATLLGTLIYLIDKIGENIFLINLCRKGEVHK -ELMIRPHKTPPTYPISDYDMGILIRNWLKTEAFNLDNNFRYESKFKKLCIFSDINGAEIIGPYCLSTLIL -QNFSGKIGKNYTKNLREVRSIASKVRSGELEIIQKRINNIAYKLNSEVRHACKFFKERILIKTNQFTWGK -ELICQTYSYKIHFVGLDFDLLDKRDLKINKKQCPLITGLRLAQLATGAHYKLRSIIYHFGIKYRDFLCGG -DGSGGMTAYLLRHNSNSRGLYNSLLTFKDTSLRGTSPGWAPAIEMCTNDPSRCVNGFNSWEDPSDLSKEE -TWENFMYQIKKHQLNIDLIVLDMEIQNDDIKSNIERQILEKGINLLHTHGSIISKVYGSDLSKNLTDNIV -RKIGKFFNEVYLCNTEFSGSHTSEMYAVFLNLKQKPDKNKYPEKDSFIYAIEQNFSLKSDEFEFDRALKL -KEYDMLAGIPKELIPDKRIQMEGLLSYHGVETGLSYSLSELIINSIAKKEKQVDSIIGYIVVACNSIVSI -TRESLENWEIPSDGNLRKMFNILIGVSYWLSWCTTNLKLYQYLNYLIAKGIIIGITKITKKDKRKYVSWK -VGGACHIAKKINLDTEMSSIGAWIRILSQVCKKGSNNWDYDVYSREFNKGLNRKKIQKRTGIEEVIKEYK -ISSGEGKILSDEIDETKEISWRS - ->YP_009304980.1 RNA-dependent RNA polymerase [Wuhan House Fly Virus 1] -MEFDQNYCDDIIEETNQQIWEDDESLASTFLDQPSMEYLNNVDYSLNSPLVPDKLDAFFEYLKYGLENPR -WDAGSWKRMKFIIHNEQSGLSVDKASELHRWFGKFNLDAAVVNHKTKMFLQKCLSDANETIEVTNAFLHS -WLKKESKLSHTMPQSPDFLRWGTLFLETHDIVLLLNASNSAEKEALCQRSQGGVIKDGDDIVGHYINLLS -CKKIIISGDLIFFATFGVVIDRNFLLMMKDVYAARFQTFWALEDRVDCKFNRYDSDIMSQVYKTGDKYLS -LVGDRAYEFIKLLEPACNLKLTELARTFRPLIPEFPSFKEHIIKSIQEKSIALSLDSTLFEIIQNQTSVE -VILTIFGSFRQWGHPFIDTVSGLEKLYQQVNSDKDIDTEYANVLASDLTYMILKDQHTKKKKWFVDDTQV -PVDSLLYPHILHNTWPTPHIIEQFGDHWHELPIIKCFDIPDVIDPSLIYSDKSHSVTRSELKEHIAKSPY -KPVSNPKVLKSLLTKPATDWPSFLESIDKYGLDLEYLIIGLKEKEREIKKDGRFFSLMSWQLRDYFVITE -YLIKQHFVPLFDGLTMADDLTTVIKKMMDNANGQGLDTYDYISIANHIDYEKWNNHQRKESTTPVFKVMG -QFLGYPNLISRTHEFFERSLIYHGGRTDLMRIVNGEVENNSDIRVCWNGQKGGLEGLRQKGWSILNLLLI -KREGSSRNTSIKTLAQGDNQVICTQYRLQKYRTQEEMVERIQKIVQNNQAIISNIENGTRRLGLIINKDE -TMQSADFLSYGKVPVFRGTIRNLETKRWSRVMCTTNDQIPTQANVLATVTTNALTVAHYAEGPINSMFHY -NVIGNFARNLINIHNPALRCEVGTILVQPNQLTRLSYKIASLYLDPCLGGIGGMSLTRFLIRQFPDPVCE -SLTFLKMVYAGTKNTAIRGACIEMGMNPLRFSSSSDFAKLIENPLSLNIPRGISALTMIKNAVREQLVSN -SSEIENKIIKVAVEYMASNNDEMNAFLSAIQPVFPRFISEFKSATYLGITESLVGLFQNSKTIRNLFRRR -LARTLDEVIIKSELISYCTLFKYDKLNKGSQIWDCSASHADLLRLTSWGMHILGATVPHPAEMIQTFVRA -DPSCHLCVDLSQSSQFISLIIPRGLYKYLDHRGPYPAYLGSATLESTSVVQPWEKTTNIPLIERASRLRN -SIGWFIDPSSTLADAIYGNLKALTGEDWDNLTKGFKRTGSALHRFSCSRQSTGGFAAQSPAKLTRIMTTT -STLQELGDVNYDFMFQSCILYAQMTAGEIHDQNPDHAFFHFHVHCSACLREIEEPTLEAKRQIIFPDVSA -VLNNWKPSTSSWSKTRPIYNVEISDWNILSLFDKSFNIGRAEGFLYGDKLGSKRVAVAEGTLFPLTIAKK -VNPSAYMDGLLDGIIRASGVNSLFRWSITQLTDPRHALIGGVLYIIDRICLDPALQNIFRAPSFLTLLSS -VPHAIPPSYPLREYDLGLLGKNYLEYKYISSGNYNHSLYYVSKTPWIFSDINDLTTIGTLGLSSFIVSLL -YKKQLTSQDKGQLREYKSLTTTLKASPEDISIEHLHQFLFNLKFTDREVRHAAKDLETLVDRTNSSTYSH -TVKWGPECVGEISTIELAFSENEVYLNDRIQIPQIQNPLISGLRLVQLATGSHYKIRSIIKGLEIKFSDT -LCAGDGSGGVGSMTLRLNPRSRLIFNSLLELEGVNLRGSNPAPPSAIAMLPNIANRCVNLHTCWNNPSDL -SKTGTWTYFAELKIKYHLHLDLGIFDMECREDDMFLSILSRIKEFSSLLFQKKCVIIFKTYAHILLSELS -PITHLAPYFEFITLSHTEFTSSHSSEIYIIFRNLKSKPDKCLYPDWGRIQPWIATLPVFQDEQTEFQRAL -QLKKYNMIKGVPPFFLPDASIELIHMLVKNGALSGSSAIIANLILSRREGEKNISLVLASLILVLESIIQ -TTRPFRSKPRPLSDDQVLSVGSLMIGFSLFISYTTENLGLYHYAHELLHKGFNLDWGHRKIGQYFYVWIP -GCTGPSKHLYLDNKMANIGQIIRCLVRIFPKNLTTCTVSDIDQILNQINKGLRYENFNRSTGLLDVFD - ->YP_009304656.1 RNA-dependent RNA polymerase [Wuhan Fly Virus 2] -MSFSELLNEDHLWVDDSESSFSFDDLTDYEIEVNDYMGNLPKMEHLNNVDYSLNSPLTIDETVEFLDYCL -TGRAGKRWNMKKWIARKEIFTPFLQKYRYKDPAKNHQWVGSLGFTKSLDLKLAKSFISMVNKDAADTSEV -VIAFLKTWLKKDYQYRHRDKILDRIMKWCQFFLEFHQITLILNSCSKEELKYLVTKNQGKLLQGKSDSIG -ALVQTHNFGSVVISEGFCFFLQHEIVADRNLILMVKDMCAARFHSLLSLMGRYDEMFPENAWAILDSFYR -EGDKLLMSKGNEAFDVIKLIEPACNLRLTQLARMHRPLIPEFEDFGLHVHKSIDTVCEKLRVKKDLFKMI -ENCENVEMVLTMYGSFRHWGHPYIDYLNGLRLLHYQVNCEKQIDTNYANQLASDLAYIVLHDQFKKKKTW -MVDIDQMDRNNLMYPFVKQNTWPTPALIDDFGDHWHQLPLKKCFDIPDMFDPSTLYSDKSHSMNKNEVID -FMRKNPDQPIPSKKVLYTLLTRPSRDWPTFLKQINDEGLDINALIIGLREKEREIKINGRFFSLMSWDLR -DYFVITEYLIKTHFVPLFYGLTMADDMTTVVRKMMENTSGQGSDDYEHIGIANHLDYEKWNNHQRYQSTE -PVFTVMGKFLGYPNLIARTHEFFEKSFIYHGGRADLMKISNGNIVNKDPSKMVCWQGQKGGLEGLRQKGW -SILNLLVIRREGQDRNTRIRCLAQGDNQVICTQYKLQKFRTEEELKTNITNIVANNQYILKRIEDGTKKI -GLMINQDETMQSADYLNYGKIPIFRGNMRNLETKRWARVTCVTNDQVPTLANTMSTVSTNALTVAHYSAS -PINAMFHLNFLSNFARRLIEDHNPAIRASVREKLKDPEKLETREHKIATAYLDPSLGGVCGTSLTRFLIR -QFPDPVTESLTFLKMVYRGTSKLWLRKLICEMGEIPVTFAKLADKKKLIENPLSLNIPRGVDILTMIKNK -IKEKLLNERHKVKNGLIRGALDYHKREEDSLYAFLFSINPLFPRFVSEYKSATFLGITESLIELFQNSRT -IRNVFSKHLSRELDSIMVKSEVISYAVLLKFGTNRHPKTLWKCSATYADLLRKASWGQKILGATVPHPAE -FLTCTKIKSGRCLKCEQPGEAALYVGLIIPDGLKDYWRVRGPYAAYLGSSTNESTSILRPWEKETNIPML -TRASNLRKSIGWFVLPESNLAESIYQNLHALTGEDWDRVSANFKRTGSALHRFRCSRQSSGGYAAQSPVK -LTRISTTTSTLQDLGDQNYDFMFQTCILYAQMTAGELHDGDPHYGGYHFHFACVECLRPIDEPILDTEST -YKHKDIYTLLNKWKPENSAWFTSRMIPELEEGDWSKVPPAEKSFHIGRAEGFLFGEKLTTGRSTAVEGTL -FPLTLAKKVNPVLYCDGLLDGLLRASSLNVIFRYSVNELKNPKAALIGGVLFLVSRISEDLALQNLWREE -RFLMLFMSIPHRTPPSYPLKGQDLGSLGRNYLEHRLLRLASIWVRTAVEKTTVWLFSDMGDLRSAGLLGL -SSQLVKILYTNHLTERTKNQIRERMSIIVALRTESDLTSDQVRCVLTGVLTTDSEVRHAAKDMSKYITPS -KRHVNENAWGPELACDIYSVELPYSPDPGAPEENMSIPRKQNPLISGLRLFQCATGSHYKVRSILICLNI -QIRDALCGGDGSGGIGSMVLRLSSRSRLIFNSLLDLSGVNLRGSNPAPPSAINMVEEVADRCVNLKTAWL -NPHDLTDSETWLYFIELKKEHGLHLNLIVLDMEAKDNGAIRDIIKHLKDHMYQLLESKCTVIFKTYGKFL -LDAELSCVSILGSFFARTCLTFTEVSSSSTSEAYVVFQDLLDGQITHMHPDWKVVKTFVKSLPVFQSESD -EFARALQLKKYNFMMGVPERYQTDVLLDLTDLFRSLGVETGVSYKIASYLYSKRFTNTISEVVVAMIVAC -NSLISITRGFPCPPRPPSDPDVLHLGVIFVGVGLWSSYVCEEIYPYVNANAVMEGVFPFSWSYYKDNGFH -YHQWSISQNLHVKKHLHLDSKMASIGQVIRILRRSFPSNLSPMDVKTMNADLQTFNRHLKVEYFEHLTGV -LGSMFSDYLNATSTQSNPTFSSPDLGATVPHYTS - ->YP_009304479.1 RNA-dependent RNA polymerase [Taishun Tick Virus] -MKLRARFKLLLFVGLVLIMAAFEAIADYTLFEGEDLFTREDWEESEFETLDYELLGTTKSGALTDTSETT -IINVDYNLNSPLTTDLVDHALDRLKTGKRIPRFLQSTPTLSKSVDLLKSTQISWKSFKGCLTYHSWVGAL -LVSLRGPPITLWNIFTSLLTVWNPCFETLETFVTVWLKHGLPSRTSMQKFAVFKDLDLRVEGEKVQKELE -LFWFFHRVTMMMNSSSTRERLNLQESSYTNLNWEVRELDKNNFLACGSVQNLGKVFVTRDLVALPDQHQL -LDRNMVLMAKDLFVARVSSKLSLVGRPQQHVFRQRLFKLSTFYQLGDRLLAKYGNRVFPAIKIIEAVCNH -RIIQLAQSHRKLVLISSDFGDFIKSAIKDLAKEEGIEANEFFDHILNETDVEAVLLYYGSFRHWGHPFID -YQAGLEKLYRRVTEIKNIDIDYANSLASDLAYKVLYTEFGRQKKWFVDSNRLEPGHPLRSHVINGTWPTL -PEIEDFGDRWHELPLTACYKLPDFLDPSILYADKSHSLNRDEFVRHLRQHPGCPIPSKRVLNTALHTPPT -HVGHFLKQINDFGLEDNDLIIGLKPKEREVKSEGRFFALMSWKLREYFVITEYLIKKFYLPLFGALTMAD -DYVTVVKKLLSSSSGQGLSDYSQVNYSNHLDYSAWNNHQRKEATDPVFRVMGEFFGLPNLFTRTHEFFQR -SWIYFPDRADQIFLNPEGIPYSPNHQFFWEGQAGGLEGLRQKGWTLLNLLMILKESKIRNTKVTVLAQGD -NQVVNTSYPIPGRPTKDALAQFMIQIQRNNTAIMNAILDGTTRLGLTLNREETMVSSEYLNYGKVPLIRG -QMFPLETKRWSRTTSLTNDQLPTFGNILSSVSTNALTVCQASSSVIPSLINYVFFGLFSSCLLCLHNPLL -QGSPWPKQNLETVPGIGTFFVRALFVDPSLGGISGTSLTRFMIRQFPDPVTESLTFWKAVVGGASQGIVK -DVGLEAGNPTLRRFRSRDFGRLVERPTSLNLPRGISALSLIQEQVRNNLYLNHSKLGNPLFREAASHIKT -EETQLVTFLESVKPCFPRFLSEFKSASYFGIVDSLISLFENSRTIRRQFSRRFSNKVDKLLRESEHFAVL -SLHRRLKPGKMWKCSSQHADFLRTMSWGRPLVGATVPHPFEYLEFSDSRLEDCSSCLSSNELTRDKIMVT -VSRPFDPSLMKTGPLSPYLGSYTIEATTLFNPWEKEMKLPFLARASRLRQCVGWLTDPESKITDSIYKNL -ELLTGATWTRETLISERTGTVLHRFRSSRQDHGGFVSINPNCLRYLSVTADTMRFCKQQNWDFMYQASLI -FAQLKVSQLLLHGRMQGVNPHFHPCCLLCFRQVEDITLDSGATYNPVVRGTELQKISTAYMAQSTLYRPY -PQIQEGDWISLSASQQSFHIGAAQGLLFGILKAERGSDHEDPRLFPMTFLDKVDPDPYFAGLMKGLLSAV -CCEGIFYRDLVVRRRPITVLSNIAMGYIRSLADNLGLITTTGSGVLHQYMTEVSHKISPAYPGTISNSSV -SVFSVLQLLWLQRRFRTPPYNDWSKELWIFADFQSPKLMSVSCVCHELYQCYFIREGTSIPKRKMANLKN -LVQLYSSLQPIEGNEEQVFQQVWNDCQFITTSVFLCKKDVRYAASELPKIQAILPVSSTSWGREFACGVD -FVSLNFSAYRGMYPLGLDIPQVNIPLISGLRLAQLATGAHYKIRAILRQITWFGDFICGGDGSGGMTSAI -LRMSRTSRGIFNSLLDLSGADPRGVALNPPEAITILPDSIKVRCVNYETCMYEPSDLTEGVTWSSISRLI -NDHNLNIGLIVLDMELRDPESSITIIRHLRTIIAPKCPQCLVVIFKTYATYLYATQFAILEEMARGFKKI -SAATTDLTSSHSSEIYLICEGQNSVPSPDFHITTDSAIDLIQMCRAKATPRQELIRALAVKRMDTLVGIP -PALIPDPETEFLSLIHHSGILAGEGLSIIREMQRRCIAAPDDIVSCLLGLTSIILNRECNVTRLSLKPKS -IPSDPSIQKMAAWYYATWLYVSWITESLVLYRLLVQRIPGKLDFSYSPTVSTQNPRRYAGYWNWSRRLDQ -KKSFWTLTEYALMGTIIRCYRKYFSTTLYSQFPNHTTLLSQIESFTTSFNKNLNKEIILSRQDIFGVLAT -PSSIQIPSQFLQGYQSFEPGSRVPLGTVDDDDGDDDLLGLDDHDEPQHGVGSWTSS - ->YP_009304331.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 3] -MDLEDFQDVFDTGSYQEAALAEELECQLGEVTDLLTKIPQNPNSPLYNVDYNLNSPLITDHVEALRTLLT -GYDPPKRLKYNLNVRRQAASLRAKPMNWRFVKAADHFHSWVSTGVLNKTLTTSYFHNQWNPYATENLSAM -QVVLEFWEDLTQQHVTTAYELLRARWFFGTPLSEWHKRLLEDGEAFWFFHIVVLLMNSKDEEERTNLIQQ -GNFLQLTSVPIPVKSKWDKGSFLITGLHPDFGEFRVGPGCLLLVKDERLLDRNMTLMIKDTLVARFCSKL -SLLNKIGELRDEEHVRNLTHLYRAGDELIKHMGSEGYRVLQLLEPVCNLRLAEIASSFRPEIPTSPRFRE -FLKGEISEADKLSPGAVHCFFDIILGETDFKQILIYYGVFRHWGHPFIDYFAGLKKLHEQVQMEKDIDEA -YAESLASDLAYIVLKHEFDRQKKWFVDATQMDIKDPLYEHVRNCTWPTPQQIQDIGDRWHKLPLRACYEI -PQTIDPSVLFADKSHSPNYSEVFTHIASGKEEPIPSRKVLKTALDTPHRNLVDFLQEVNDHGLPKDSLTI -GLKGKERELKDVGRFFSLMTWDLREYFVSTEYLIKMYYVPLFEGLTMADDLKSVTRKILECTTGHGQIGY -KVVSFANHLDYEKWNNHQRKKSTFPVFKVMGQFLGMPNLFAQTHDFFEQSFVYYGERPDLMTVQGGKIVN -NTEHIVCWNGQLGGLEGLRQKGWSVLNLLVILRESKIRNTQVRVLAQGDNQVICTRYKIPENLDLKGLRD -ELTKVFKNNMTIIDAITAGANKLGLLINQKETLIASDYLTYSKVPVFRGNIYPHEVKRYSRVTCIPNDQV -PTVASAVSAVATSSLTVAQFSNSILNPLACFCLFGTMVLAIHRFHSPLLKKAILLDASPDQVQSFVIRAL -FLDPVLGGTSGTSPTRFLIRQFPDPVTESLSFWKRTWEITKSPVVRNIALEAGHPQLAAASVDNLSKLLE -KPMSLNIPKGLSAITLLKGEIRRGLTENIIEIRNDLVVEALKFQNAQEHKIKNFLLTIRPMFPRFLSEFY -SATFIGITESILGLFQNSRTIRTTLSYKFGEKIGILLTLSEALSVKVSAKRAQELGFKMWDCSSQHADHL -RQLSWGMEIKGTTVPHPYEMIRHYTIGSALCSECPKGFPEKERITVDFPHGFPGDFTTRGPLSAYLGSAT -SESTSLFQPWEKETKIPLLERATRLRVAINWFVMVDSNVAHAIFENLRALTGLDWSEVENIFSRTGSALH -RFRSARQSNGGFSAISPNGPMWVMVTADTMPELSRKNYDFMYQSLMLYAQTVSLEVRVMTTIQKKTFHYH -VRCIRCVREIEEVKLTTHLTLTLPDVSSSITRMSGGIMPDFSTVKSLHIQEGVWTELSVSERCFHVGVAQ -GALYGFYYVDNDKRCSDTSLFPVSVLPYLNPRSYLIGILKGILMAASYHMIYRRNVVMLTEPRSALISAA -YYIIEAICRESSFISVLNKDSFLMVLTEEGHRVSPSYPSSGVHLGSMGVGFLGRHLTRKTLSQSPWTNMS -ETVWIFSDFKSPKLAALMLLSHRLWVLVRGAYIPKTSQSLIREIKDIIHYYCSKDVGSHSDHDPEILKKS -LLTPVLSVGRWCPREVRHAVQSLPIYQHIPKSSVKDWGKETRGSVTVVELDFTSQPTEKISDLLLLRVND -PLISGLRPFQMATGAHYKLRCLLRMIPIVEDFLVGGDGSGGMTSSLLREYQDSRCIFNSLLDLSDRHLRG -VAPSPPAAIAALPVPMRDRCVNLKTAWENPSDLCETDTWEHFQTLITHYDLNITLMVFDMEVVAEWAIVR -IVELVRRWIHKLLSPEGTLIFKMYATRELASRGETVSRIGCLFKRTFAATTQYSSFGSSEFYLVCIHPLS -EKAAAMFVETSSLRRCLAMVGAAKDPEEEFKRAQTVIPADMWRGVPSHMRPDPKVEFIGVFSQLGLETGV -AADLAEMLEARCETPLPRIGLVLAVLALLSNSILPITRWITPPYCPPSDQSLQKLLSGFVGCWEFIAWSY -NWYSFHSNLQLFLFDAIQFHYRLNPREMGKSRGFSLEWTFTRLDWSFKRLPRLEHHATVGQVIRCLAAFW -PSQATEGLPPPNIIQNIMAVHLKSYNKSLHPADVFSHTGLLEPMTGFRRKDRIPWEGRINVSRFRAKAEA -FVAPEATPSWDG - ->YP_009302018.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 10] -MDSEQACIEDSLPGIDFESDPFNIKDILCDKELNKNVMKHLSNQDYSLNSALVIDELEAFTNWLKNDIAS -PRWKKSDWEILRIHYRSKMSLKTLKDPVTFTNWFGEFNLKTDYVKHPHFISIFDDSQSNSRLTRPVVDAF -VLGVFGKILNWSKLDNIQLPNECAKWGSYFWELHLISLLLNCTTTKEARYLVSLAECELLITPFTKRTKY -IFEYQTRNFGTCIVGLGYCNFITLRRLLDRNTILMLKDTYIARFNTLFTLTYRVDGLYGIKAFESMKTFY -RLGDQILKEGGTRAYDSIKLIEPICNNRVANLAQQFRPSIPILNEFEQHIISSVEKAKMTTPSVELLYQK -VEAETDVDIILTLYGSFRHWGHPYIDYFTGLEKLYEQVSLDKKIDLKYAELLASDLAFLVIKDQFQTKKW -WPVDKEKVPEDHPLKKYIMNNTWPNNSTIVNFGDHWHELPLIKCFDIPDVVDPSIIYSDKSHSLTRSEIK -NYLTLHPNSSIPSKKVLSTLLESPSTNWPEFLQRINDFGISIEHLVIGLKEKERELKIGGRFFALMSWEI -RDYFVMTEYLIKTHFVPLFDGLTMADDLTTVIGKILRNTEGQGEDGYESVTFADHIDYEKWNNHQRGEAN -NPTFKVMGQFLGYPNLIQRTHEIFEKSWIYYNRRGDLLGIDDNDNLFNKGQHRVCWNGQAGGLEGLRQKG -WSIVNLLILKRESRTVNTDIKVLAQGDNQVICSRYKLRKSRNPDQLYNNLMDISKNNKALMDRIAEGTGK -LGLIINHDETMKSTEFLNYGKTCVFRGNIRNLETKRWSRVTCVTNDQLPTMANVLSTTSSNALTVSHFSD -SPINSIILYNFYGHFVRIICEKHNPAIRGPLHSILKISEIKYMYDLYYLISSLYLDPSIGGVSGMSLTRF -LIRVFPDPITESLTFLKIVHDNTSDAEIKKIMRQLGNPKILPDSEPDISKLLEDPLSLNIPHGIDAVNMI -KERIKKSLYLSADKIQNSIVSKAVQHQRDYELSFVNHLREIEPLFPRFLSEYYAATYFGIVDTIIGLFQN -SKTIRNQFKGSLQIEYDSIVIRSEVYSLKVLMGIIRRPPTSSQMWNCSASHADHLRSSSWGRYVHGATVP -HPAEMLKIPELTRGICGHCNEPFPHYLYLSVLIPKGFKNLKTHKGTCSAYLGSSTLESTSILQHWEKETK -VPLLRRAANLRNSIGWFIQPGSALAQSILNNLRSLTGEDWGEHIREFKRTGSALHRFSCSRQSSGGYAAQ -NPSKLTRMISTTNSFADLGNVNYDFMYQSCLLHGLMAVGELHHGIENQGFYHIHINCKDCVRPIEEITLN -CQVAYNHEEVYTDLEKWKPEGSSWGSKTPIIEVPQGNWEILSEADKSYHIGIIQGFIFGDSIWGRISKAS -DPALFPLSLAKKVVPVTYMEGLLTGIIRSSVLSITHQRLMEIRDKYHSLIIGNACLVIYELIKNPNILNI -WREESFQKLFASVSHRLSPSYPMIELDIGYSGSNYLIHLLVLHGPKFLKSKHEPRDSKIWIFSDTYRFEI -VALLAIAEECWRLLLNAKLNKETRNQLKNLREAGSWIRTLNETKIDHIQIISRFLTPIIYTNQEVRHAAK -KLFQEEVQVEKPSLNWRLYYRSEVQAIAVEFSPFKSAFPDPMLMKFRYQNPLISGLRLAQLATGSHYKMS -GILEYLSIRPRAAICAGDGSGGLTALLIRTYPFCRVIFNSLCDYKDVRLKGNSPSPPSAILHASGNPEQC -LNFRTNWQNPNDLSQEETWEYFGSIIAQNSLIIDLIVLDMEVSDEELMDQIEIYSLKYLLKIGAVGCVLI -FKTYLTRIFGREENLLTRVKGLFSRVEVLTTSISSSQTAEVYIIISNPIQVGKETGIHPNLDSLWTEVQN -FPLFKTPVSEFKRALELDKKDLLRGVPHYLKPNPVLELLGILEKLGIRSDLCHRYADTFGNKPPNPYIPF -HLLMLSIHGLVPYTTGFREKSGPPSDGVVLRLSALISGFFIWMGLKLKNFPITKLGQKLIDEHVPFSYES -IKQNNLYFHSFSLYERRKVTKILQLDSEMALIGNVIRVLQLNFSKATRFPNVKHINSYCEKFNKAITYSW -FGKTTGLIKILRLEKDILLEKDHQVKQVSLISADHVGLSKELTYRD - ->YP_009301743.1 RNA-dependent RNA polymerase [Wuhan Insect virus 7] -MDFVQGFGDDETDYGLLDSYSCTDIENEEFRYEFFHKRMTMLNNVDYSLNSPLLPDEVGEFTRYLNGQPY -QRRWSDKLWKKRRLAFSKRGPIHGVENFHKWVGKMNMDLRPSLRQIRKILAQTYKDSMSTFDVPAAFFKG -WIKETVHNPKRETQCPEIERWGAMFLELHLVTLLLNACNMDEVKELKKIITFSEEPSTFEAGFHIITPSF -GKIDICLGYIHFATYQRVLDRSMLLMMKDMYSARFHALCSMTQRVDNKYQVTDWRRLQDLYEAGDNMLYH -SGSEAYAGIKMVEPTCNMKMVEIADKARPLIKPYTAFKTHVVEKIYELSPTLPGLMRLYKIIEQTESINL -ILAYYGAFRHWGHPFIQALEGLKKLHQQVTKHLKVDEKYAECLASDLAYKVLKTKFKETSRWYVDLTLLP -SDHSLKAHITDGTWPTPKQILDFGDNWHKLPLIACFDVPDLIDPSQIYADKSHSMTRDEVIAFLESKRLG -SIPTRKVLETMINSGATNWKKFLEEVDKYGIELKHLIIGLKAKERELKAQGRFFSLLSWVLREYFVVTEY -LIKIHFLPLFKGLTMADDLTTLTKKMLDTSSGQGLSGYDKISYANHLDYEKWNNYQRIESNGPVFKVMGQ -FLGYPNLIYRTHEFFQKSIIYYNDRPDLMVVENGMIVNRTEERVVWNGQAGGLEGLRQKGWSVLNALSIA -REGRDRNTKLALLAQGDNQIIFTNYKMQDYRDERELKCNLERIRINNDQIMKSIESGIIKLGLKINQDET -MQSADFTNYGKIPIIRGNIYPLETKRWSRAACVTNDQLPSLGNVMSTVSSNALTIAYSSLSPKNTIVHLN -WAGNFVRNLIDMHNPAMRRGIHHIRGFEDPQGRHGYIVKALYLDPCLGGVSGMSLNRFLIRMFPDPVSES -LSFWKLIYQNSNSIYRNLYLSLGHPRLAEHSLKSFNKLLEDPLTLNLKNSISPISMMKEVIRSSLYDKAA -HIPNRLIRDAVQYSKDHNEALTQFLYLINPLFPRFLSEFKSASYLGITEAIIGLFENSRTIRNVFRSKMS -QKIDTIIVQSETQSLSHMHSTHWSTTPSTLWDCSSEHADTLRRVSWGRPVLGATIPHPIELLQWSTLNDS -VCKICESSGVQRHHLITVVPKGMEDPLAERGPYPAYLGSRTSETTSIIHPWERETKIPLIDRACRLRNVI -HWFVDPGTPLAQSIFSILEGLTGEDWTAGTMGFRRSGCGLHRFCCTRQSCGGYAAQSPAKLTRMVTTTDT -MGEINEVNYDFMYQSLILFSQITVGECHEDNPTQGVYHLHFNCEDCIREIQNPTLSCDEVYIHPDVSETV -SHWKPEASPWSIVRNKPVLELGDWELLPQREKSYHMGRIQGFIFGDTLVSNRALTEQTALFPLSLNGKLD -PDSFMDGLLDGILRISGLNSLSRRSVMNLKKPRPTLLGTVLWIIEELSLDPAVVNLWRGKNFLQEFSRVP -HKIPGSYPLSNYDLGSLGRSYLKLRFKQWCIMNDAYIPDPSTVWIFSDVNDTRLVGSLMISAPVTKFLYK -RVIDSKSTNKLREFKGYLTSVRDPSLEQNLTGLPLEEKFYRVESELRHAAKNMVPEIREISSNLSDWSEE -FICDCHVTEVVYTNRVHVHSSHTIPRIQDPTISGLRLFQCATGSHYKIRSIIKNMNISYHDVLCGGDGSG -GIGSWLLRNNPNSRLIFNSLLNLEGICLKGASPSGPSAIELLPEISDRCVNLRDSWKHPNDLTQPSTWSY -FRQLKTKHHLKIDLIVLDMEQAEDADNIQIIQNVASNILSILSSQGTLIYKTYLKQILSPSSRVLIEIGQ -FFSKVDLCWTDYSSSHTSEVYVVMQIPSDKNLKLIPDMRSLIEETSKFPAFRSPEDEFERALRVMRFKGM -KGVPSRYTIDPFVESSTLFLILGVETGVAATLGEMVRNPAKLFNSTNTVYVIVMVALNSILKLTRGHITA -VPIPSDSAVTSAGCLICGFLIWVSLMIEDKALHQWVMFSLSTAFPFSWDSYSEKELNYLRVSFRDLHIRT -KNLHLNSQLSLVSQVIRMLFRLFPSSPVSPSPNAIDAFLDKFDLHLTVKEFKKSCDLFKLLHLPVFPMKL -KLDQREGIIQENSTLCAFQD - ->YP_009300669.1 RNA-dependent RNA polymerase [Shayang Fly Virus 2] -MVSLEIKSYFIHLLNSRHMKKINNLLKSIDIKLSVKMSFSELLNEDHLWNNDSNESISFDDIDEYDVDPD -ACMVNLPKMEHLNNVDYSLNSPLTIDETVEFLDFCRNGRAGKRWNLKRWEVRKEIMQPFLQKYRYSDPGK -NHQWVGSIGSIQRPNLQRAKEFLETVNKDASETAEVVVAFLRAWLKKDFQYIHKNRVSDDITKWCQFFLE -FHQVTLILNSCSKEEMKHLTEKGNGKLLNGKGNAIGALIQTPNFGSIVISEGFCFFLHQGIIADRNLVLM -VKDLCAARFHSLFSLCNRHDNLFPDGAWTLLNQFYSEGDKILRSNGNEAFNVIKLIEPACNLRMTQLARW -HRPLIPEFEDFGIHVRKSITEVCEKLRVRGDLFKLIDRCEHVEMVLTMYGAFRHWGHPYIDYLNGLKLLH -HQVNCDKEIDEEYANKLASDLAYIVLSDQFKKKKTWMVDISKVDKNNLLYPFIQQNTWPTPALIDDFGDH -WHELPLIKCFEIPDMFDPSTLYADKSHSMNKDEVIDFMKKNPDRPIPSKKVLYTLLMKPARNWPAFLKQI -DEEGLDLNALIIGLKEKEREIKINGRFFSLMSWDLRDYFVITEYLIKTHFVPLFYGLTMADDMTTVVRKM -MENTSGQGSDDYEHIGIANHLDYEKWNNHQRYQSTEPVFTVMGKFLGYPNLIARTHEFFEKSFIYHGGRA -DLMKVVNGSIVNKDPERMVCWQGQKGGLEGLRQKGWSILNLLVIRREGQDRNTKIRCLAQGDNQVICTQY -KLQKYRTDEELQDNIKNIVSNNQYILKRIEEGTKKIGLRINQDETMQSADYLNYGKVPIFRGNMRNLEIK -RWARVTCVTNDQVPTLANTMSTVSTNALTVAHYSASPINAIFHLNFLSNFARRLIEDHNPAIRASVREKL -KDPEKLETREHKVVTAYLDPSLGGVCGTSLTRFLIRQFPDPVTESLSFLKMVYIGTTKLWLRRLICEMGD -ISVTPAKLADKKKLIENPLSLNIPRGIDILTMIKNKIKEKLLDERGKVKNGLIRGALDYHKREEDSLYAF -LFSISPLFPRFISEYKSATFLGITESLIELFQNSRTIRNVFSKYLSRELDFIMVRSEVISYAVLLKYGST -HYIKPMWKCSATYADVLRKASWGQKVLGATVPHPAEFLSVTKIQSGKCSQCEKPGEEALYVGLIIPDGLS -DYWRVRGPYAAYLGSSTNESTSILRPWEKETNIPMLTRASNLRKSIGWFVSPESNLAESIYQNLHALTGE -DWDRVSANFKRTGSALHRFRCSRQSSGGYAAQSPVKLTRISTTTSTLQDLGDQNYDFMFQTCILYAQMTA -GELHDGDPHYGGYHFHFACRECLRPIEEPMLDTDSTYKHKDIHSLLNKWKPVDSVWFTSRMVPELNEGDW -SAVPPNEKSFHIGRAEGFLFGEKLTTGRSTAVEGTLFPLTLSKKVNPILYCDGLLDGLLRASSLNVIFRY -SVHELKNPKAALIGGVLFLVSKISEDLALQNLWREERFLLLFMSIPHRTPPSYPLKGKDLGSLGRNYLEH -RLLRLASVWIRTTSDKSIVWLFSDMGDLRSAGLLGLSTQLVRVLYTNHLTEQTKNQIRERIGLIVALRTE -SELTEDQLKCVLNGVVTTDSEVRHAAKDMMKYVAAPPQLPKGENWGSELACDVYCTDVPYSPVAKTPTQE -LSIPRKQNPLISGLQLFQCATGSHYKLRSILICLNIQIRDALCGGDGSGGIGSMVLRMSPRSRLIFNSLL -DLSGVNLRGSNPAPPSAINMVGEVAGRCVNLKTAWLNPHDLTDPETWSYFIELKKEYGLHLNLIILDMEA -QSEEAILLIIQNLRRYMYQLLEPRCVVIFKTYGVLLLKPTVSCINILGSFFARTQLTFTEVSSSSTSEVY -VVFRDLLEGQITHMHPDWDVVVSFVQSLPVFQPDATEFNRALQLKRHNFMKGVPSRYQTDILLDLTDLFR -NLGVETGMSYKISSYLNAKRFTRSIDEVIVALIVACNSIVSITRGFPQAPVPPSDQAVLHLGILFSGVGL -WASYVCEEFQTYSNIIRVLDGTFPFSWTSVMYQGFHYHRWSVAEKLRVKKYLHLDSKMAAIGQVIRILRR -SFPANLGPMNISIINSDLQTFNRNLTIELFELTTNALGAMFSDSLANPSNKPTPSFSSPDLGNIIPHYTS - ->YP_009288322.1 RNA-dependent RNA polymerase [Huangpi Tick Virus 3] -MDFHEERQAFADFVGSIEHLLSDNFRDLGEDIFDYIKAXEQDEAQXMSPLIQHDYTLNSPLIRDRVDALL -TYLQTKVPDLRFRDDKAFREAFLMCGDLNVNWRDFNASNGFHSWLAHSIFQRHLKSHNLLTRLTQFREEG -EECMQVVKDFWKGLTGLTCRDNSDHMKADVLRSSLGMAGHGRMMDDLEAFWFFHRVILLMNSLSDPERQN -ICKADAQDLGLSVQTGDRFAFKASGHHQDFGDFCIVPGYLVLLSERRLLDRNMVLMLKDTLIGRFCVKIS -LISREDGMYDKDTVQKVTQLFERGDLLLHRLDNQAYDHIKLVEAHCCQRTSELAARHRPLVPLNHNLRDH -LAGKVKELDSLGQVVSRPFFDLILTEEDHHVVRLFYGCHRLWGHPFLDYFRGLEKLHTQTTVEKDIEIDY -VNLLASDLAYIVLHDQFQKRKEWFVDLTKMDESHPLYESVKHQVWPTPAVIQDFGDHWHELPLTQCFDVP -ETIDPAVLYDDKSHSIPRSEVVNHVKSGKTSAIPSRKVLSTVLSEPQTHVPSFLKEVNDEGLREDDYAIG -LKGKEREVKREGRFFSLMTRRMREYFVITEYLIKLFYVPLFLSLTMADDMTSVIAKLMDSSIGQGNPGYE -TIGFANHLDYSSWNNHQRLESNGPIFKVMGQFFGLPLIFWRTHDIFQRSLIYYNERPDLMEWTGSELRTK -PGSPGPVCWNGQAGGLEGLRQKGWSIVNLLVIMREGKVRNTLVRTLAQGDNQVICTRYKIPTCPTPAELR -EHLVEAYNNNNYIMQAIEAGTKKLGLIINHDEVLTSADFMVYGKIPLYRGNLEIAEGKRWARTTCVTNDQ -IPALGSLMACVSTAALTVAQFSNSILDPMLLYVWFGWFVLVVLEFHCPLLQDGGFLSGLPAGSDSRKIFF -LRSLFTDPSLGGISGTSLTRFLVRDFPDPVTESLSFWKHMYRHSTSVLVKKLALESGSPKLATATPDAFV -KLLEKPTSLNLPKGLSAATLVRNEVRQALINNVKSIKNPLFSHAISYMRDNTTPVHLYLQSITPLFPRFT -SEFSAATFLGLTESLVGLFQNSRTMRRMFSSKFSEKVGRLLRLSELSAIRYSLAPLRIYSDMEIWICSSS -QADLLRHRSWGQPIIGTTVPHPLEYLAPFTAPFALCTGCETAEQWRDYVSVTFPHGFSVSNEKKGPLGAY -LGSKTSEATTLFQPWEKELRMPLIRRAAQMRNSIHWFVKPDSNVACSILNNLKALTGEDWTERATEYQRT -GSALHRFHCSRQSSGGFTSISPAVLTYVMVTADTCKELSKGNYDFMYQASLLYSQLVACEINNHSRSRLQ -NFHFHIKCDXCIRPVCDIFLDSPYAYEPPDESETIRQMSGTVADWSTSRILPAVRTGHWDDVPLRDQCYH -VGVAQGALFGFLCVENDPEASDASLFPFSILRGVLPMPYLSGIMQGIMMASAYQAAFHRQATSLRNPTPV -LRGAALFIINRLSMQPAFQSLCNDARILSVLTNSRHKVPASYPPTAGDLGAVIKSYFVDLLINPNSNPPS -RNQLRNLWLFSDFRTPRLSGLMILTHRILTILRMGIRTKETLSELATIKKSISYYCSDGQFTGPSSVIDS -DGTSTLVGRLVSYVHLCTSEARHALYRTVRVVEVGESIAAPSEWGSEYCSTVQAVRLELTTEPVQATDLP -NLVQVTDPLISGLRLVQLATGSHYKVRGLLQQVQNVRDAIVGGDGSGGISSCILRLYPRCRVVFNSLLEV -NSRHLRGVAPGPPSAVTCLPEHMQRRCVNLHTCWADPSDLSLQATWDNFQQLCNTHHLHVSLMVFDMEVR -TEEMSFHIIRRLRNNINFLLSHDGTVIFKTYGTSIIKDQGRSLSTLATLFRESLLCTTSLSSSQSSELYF -MGTGLRRDRIDRPFVSTRSCLSALQITGAWKGVSEEFTRACSIVPRDLELGVPSALLMDSPSEVTQMLVS -VGLESGVAFDMGFLVGSCLSVSIDPMVVVLTILSVASNSHLNVTRWQQGKARVPSDQKLYRLANLIIGSW -MYLAWFYRQESWHLEALKLARGPIEWRVRLWTILESGNLHSSLSWNFVGPGHLLKTLPRTDSYAQIASTI -RMWARAFKLYDATSVPEWNDTWRMVEKLATVHNSGLTATRIRGKTGLVAFLQRRFPCARPDYPAQEWTDE -LDSAVLEVQPDAEEDSTAASWRG - ->YP_009287864.1 RNA-dependent RNA polymerase [Bole Tick Virus 2] -MYEDDRLSEEGPPDPGGGDDLELEIPPLEDFLRERVFSGSSLNRFDYNLNSPLIPDQVEHLLSALKGRNV -KNKYTKNPTFVTKVQSATLYPTDYSQTLASSGFHCWIAREVFQKRLGSRNFRRVFDLSHPDAESAMRVGM -DFWEALTGHRTVPESEGLREEIFMKDTKSEVVTRMQEDGEAFWFFYQVILLMNSATPSERRSLVATMTDL -RLELKQEEDPEVFAFRGTHPDWGSFVVGPGFIHLETHGRLLDRNMALMIKDTMVARFTGKMSQIFDAHKG -RQYLLVTKLHRLYQLGDEIMAMRGNDFYDVLQYLEPHCNQRLSDLAWKYRKRIPRDRKFSDFLDQEVLKL -EAIGEGPVYSFFKTISKEPDWEVVLRYYGIFRHWGHPFIDYLAGLKRLHSQVSIKKVVDHEYAEALASDL -TYIVLKHEFDKQKRWFVDINKLDPKHKLYQSIKDCTWPTPGLVDDFGDKWHLLPLIPCYEVPASIDPSVL -LADRSHSPDRPEVEKAIQEAPDRPIPSLKVLESALQKPQRNTREFLTSINDVGLPRRSLVIGLKGKEREI -KKNGRFFALMSWDLREYFVSTEYLIKMFYVPLFQGLTMADDLNSVTKKILGCTFGQGLAGYTAVTFTNHL -DYEKWNNHQRREATDPVFRVMGQFFGLPELFVRTHEFFQKSLIYYGERPDLMQVVDGKVSSIGDTMVAWE -GQEGGLEGLRQKGWSVLNLLVILREALIRNTQVLTLAQGDNQVICTQYKVPDGLKDVDLDEELLHISQNN -LAIMNAIHAGTSKLGLIINQKETLISSDYLTYGKVPVFRGCIQPHAIKRYSRVTCIPNDQIPSIGNSIST -VGTSAITVAQFSKSILDPWICYILFGYMVLRLHMYHSPLLKGSLLPLIGANSFFFILRALFLDPVLGGIS -GMSGTRMLIRQFPDPVSEGLSFWKMIYQTSRDIRVQLLALSAGEPPLKPYQVEDLGKLLEKPVSLNLPKG -LSAVTLVKNEVRKWLVHSKADFKNKMIAEAVSFVEQEEPEVQRFLTSIQPLFPRFLSEFAAGSFLGLTES -IVGLFQNSRTIRAIFSSRFRREISDLLIKSELIATLLLSKPPRPSTNTIWFCSSERADNLRSQSWGRKVY -GTTVPHPAEMFGLPTRGGADCESCREVYPVNEHVTVCFPSGLDLSCDQKGLLAPYLGSRTSESTSLFQPW -EKDVKLPLIERALKLRVAIHWFVDPGTNVAKAILENLRSLTGLDWEETNVAFSRSGCVEHRYKSVRQSNG -GFSAVNPTGISWVIVTADTMPMIGQGNYDFMYQATMLYSQTTSLEINQLLNEPDLRHHYHIRCTSCLREV -SNIKLDSPFELRLPDVHESVFTMSGGISPQFTRTEGVAIPKGDWRRLSPIDKCFHIGVGAGANYGILQVD -NDPCKGQSILFPTSLGRRLQPIPFLMGLLQGLLMAASYEVVYRRLVMWKREPSQTLLGAGYHLIECICNE -DAFISMTGLESFQRVLLRSSRRVPPSYPASNRDLGQLAKSFLESHLLRKSNIKGAWAQKGETLWVFSDFR -TPRMTGLMILTHRLWEILRQDKVSSAATDEIRMIKDQIAYYSSRDRLFTIPYNPAELHLPSTSANFPRVK -WCESEIRHAGREGLSIVFIPPADDKTWGPEFVCTITATELDFSTLEEEKTGVLVVPRCNNPLISGLRVVQ -LATGAHFKLRGILAKTDVGRDGLIAGDGSGGMTAAFLRFYPQSRALFNSLLVVKDRQLKGVAPGPPSAIA -AMPKSVRDRCVNADSAWKEPADLTLPETWTHFKTLQSRHQMEFSHLIFDMEVVSERDIKKIVDHLVNNLH -DLLIPTGFLIFKMYGSREAGTGARDLERLGRLFKKAYGVTTGLTSSQSSEFYLVGQGLYRGKITAKNLTR -SSFTKLLRRLPAMQSPEKEFTRALNVSPKALMTGIPPEVLPDPKLDLISILSYLGLESGVAIKYASIVMA -SQQMPEVPKACLVILGLQLLSNSLVPLTKWLPGSYAPPSDQSLIGLISAFIGCWDFISWYYGSLRIHTRL -AVFKAGLVHWCYREVYRSTKKGVQKGVEWDWFEPSWARKGLSKPFEPNVAGQIIRIFARIWPTREFDRYP -LWQDFEVMLAAYFPLFNKGLHPLDTLFHTGLWYPGVGSRRVRVESWAAEAEEELKQMTKQGEFAVEFGWE -M - ->YP_009177651.1 L polymerase protein [Cocal virus] -MDLNDFELEDKFDLSEEDFLNREFLNPDEQMTYLNHADYNLNSPLISDDIDYLIKKYNSEQIPAMWDAKN -WDGVLEMLTACQAKPVSSTTMHRWLGRWLMSDTHDASQGFCFLNEVDKEAEITFEVVETFVRGWGARELK -YRRKDAEGESYRVVAPLCQKFLDLHKLTLILNSVSDVELRNLVKNFKGRTRTTDRGTCITRLRTPSLGSV -FVTQGWLYVKQLDMILDRNFLLMMKDVIIGRMQTILSMINRDDQMFTESDVFALLRIYRIGDAVLKKMGN -QGYDLIKLVEPICNLKLMKLAKVYRPLIPDFPHFERHIEQSVLEGEKRDKNIRYLYQEIMSLKTVDITLV -IYGSFRHWGHPFIDYYAGLEKLHSQVSMTKNIDTQYAAALASDLARIVLQQQFNEHKKWFVDLKRIPDKH -PFYSHIKENTWPTAAQVQDFGDLWHELPLTKCFDIPDLIDPSIIYSDKSHSMNRSEVLRHVRNSPNTPIP -SKKVLQTMLDTTATNWSEFLNQIDKYGLDDEDLVIGLKGKERELKLAGRFFSLMSWKLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMLDTSSGQGLDNYESICIANHIDYEKWNNHQRKESNGPVFRVMGQFLG -YPNLIERTHEFFEKSLIYYNGRPDLMQVSGNTLINRSNQLVCWQGQAGGLEGLRQKGWSILNLLVIQREA -KVRNTAVKVLAQGDNQVICTQYKTKKSRDDTELRSALKQMVSNNENIMQAIKEGTGKLGLVINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAENPVNAMVQYNYFG -TFARLLLMMHDPALRRSLYDVQMIIPGLHSTTFKYAMLYLDPSIGGVSGTSLSRFLIRAFPDPVTESLSF -WKFIYSHAKSDTLKEICIAFGNPDIAKFRLTHVDKLVEDPTSLNIAMGMSPANLLKTEVKKCLIESRQQI -KNQIIRDATIYLHHEEDTLRSFLWSINPLFPRFLSEFKSGTFLGVADGLISLFQNSRTIRNSFKKKYHRE -LDDLIVRSEVSSLTHLGKLHIKRGSGKLWRCSSSHADDLRYRSWGRTVIGTTVPHPLEMLGPHHKKETPC -VACQSSGFTYVSVHCPKGIHDVFASRGSLPAYLGSKTSESTSILQPWERESKVPLIKRATRLRDAISWFI -DPESNLSKVILKNINALTGEEWSKKQIGFKRTGSALHRFSTSRMSHGGFAAQSTAALTRLMATTDTMRDL -GDQNYDFLFQATLLYSQVTTTVVRENTKTSCTDHYHITCKTCLRPINEITLDTPMEYNPPDVSSVLKSWR -NGEGSWGHEVKQIYPIEGDWNKLPPTEQSYQVGRCIGFLFGDLAYRKSSHADDSSLFPLSIQNRVRGRGF -LKGLMDGLMRASCCQVIHRRSLSHLKRPANAVYGGVIHLIDKLSASAPFLSLTRSGPLRLELETVPHKIP -TSYPTSNRDMGVIVRNYFKYQCRLVERGKYKTHYPQIWLFSDVLSIDFLGPLSISTSLLDTLYKPALSSH -DRNELRELANLSSLLRSGDGWEDIHVKFFSKETLLCSEEIRHACKFGIVREVIDSTYYPEWEKEAVGGIY -VHSVCYSTKQYPKLLSCPPRIQNPLLSGLRLGQLPTGAHYKLRCLLAKLKIAYRDFLSCGDGSGGMTAAL -LRYNRMSRGIFNSLLELSGAIMRGASPEPPSGLETLGQERRRCVNGDTCWEHPSDLSDPATWDYFVKLKK -GTGLCLDLIVMDMEVRDPSMSLKIEQNLRHNIHNLLQQEGTLIYKTYGTHLVEAEENVLTLLGPYFQRVE -LVQTDYSSSQTSEVYFVGRGLKNHVDEPWVDWSFLEDTWTSMFAFQTAEKEFARAKGIMARDTLRGIPRQ -FIPDPFVNLETMLQIAGVPTGVAHSAALKASEHPGELVTLSIFYMTLVSYYNINHIRRSPVEPSPPSDGE -SQQVGSAIVGISFWLSLIENDIPLYKKSLRAIKESFPIRWDVIKIKDTYQQIWNTFGPGIPKDSRLSDAL -ANIGNWIRSLELVRNKVSQERFSTSRFNEMCRIVDYHLSWRSLRSTGIQNWLSGRVSTIDKSILIVKSNP -IAEESWRA - ->YP_009177247.1 polymerase [Adelaide River virus] -MESFDEIYDFNEECNFFDQLINHDQDEWEDSAVDYIEDQMELINNYDYNLNSPLLFDDILELYKGLDDGQ -DKSDNALRYNYIKYIKFNHIYLQFPDMKIYSDFFISELYPESSTVENYLSKFQEIFQSWGGEINEASEVL -KAFLRGWFQNRMFDKQIDEIPKRLNYLPDEVKVWLMQFIKYHKIILIMNARSEMEKTELARKEGLIIIKN -SKSDTNPVGIILVDKGSDQEWIIWENAVLLLRKNLLFNRNYLLMIKDVMISRSQSLISMVALKFNKDFAD -RDIKNIMRLYRHGDDIIHEHGNKAYNGIKMLEPICNLRITELARSHRDKIPDFPKFRNHILNKILELKQD -AIDITQIFTLISQETDLDMLLVYYGSFRHWGHPFILYLDGLKKLNKITNQEVKVDQEYARILASDLSFKV -LREMFLKKKKWFVDHKKMDKNHILYPFIKGNTWPTQSVLDKFGDHWESLPIIKCFDIPDMIDPSVIYSDK -SHSYDRSEVIRFIQDPAIKRIPTKRVLSTMISKTAKNWPEFLKEINDHGLEWEKLVIGLKAKERELKIEG -RFFSLMSYDMRDYFVMTEYLIKKYYVPLFKGLTMADDQNTVVKKMLKVSKGQGLTDYKEITFANHLDYEK -WNNYQRRESNGPVFRVMGQFLGLPHLIERTHEFFENSLIYYNGRPDLMYVRNKVVHSKGDDIVCWEGQKG -GLEGLRQKGWSVLNCLMIERESKIRNTQIGLLAQGDNQVITTHYKVEPYQNDNELIFHINNIISNNNRIM -DAIIEGTEKLGLKINMDETIQSADYINYGKVPIYRGNILGLNQKRWSRVNYVSNDQLPSCSSILNSASTN -ALTVCHFSKTFLDAMCGHLLFGSFGLMLLHYHNPALRKSTWDVIKIKNKERRLMNILLLYLDPTLGGIGG -TSLNRFLIRAFGDPVTESLSFWKFVYNNTSDVELKNLAVACGNPKLAFFKAEDMMKLAEKPSSLNLKRNL -SYQNMIKLEVKNNMIKNINQIKNEIIHDATKNCLNEESKLCNWINSINPCFPRFINQFVEATYYGVTMSL -MGLFINSKTIRSTYKKRYRKELDLVIVKSEILSLQSLLEIVQNSLSETSNYKKIWDCSSGHADRLRRLSW -NRVIVGATIPHPIEIIEGSNCNGVDCPLCLTRGINKHVTVMSPLGFPNGIYRRGPYDPYLGSRTRESTSV -LQPWEKETKIPVIKRASDIRKGINWFIKPDSLLAKSIYNNVKALSGEDWSEQIEGYLRTGSALHRFGSDR -VSSAGFAANSPVLLSWCIVTTDTMLGLNDKNYDFMYQTLIIFSQIHHLTNSIFVRANNLSHYHIQCGKCL -REIEEIWLDCKWEYQPRSVSQILEKWRPENLTEWGNKKIHLNCSDDTSNWKRLDDYSKASSVGKSIGFII -SEYLFSKDEHIEIKSLLPNSIKEKIHPLGFLNGILDGLIVSGSLNLLQRRNPIIYKKPKTSVVGIAYFLI -DKISMIPEVNNFMSDDSIYDILIRIQHKVGNSYPLTLSDLGLLLRNYLKYLVESRVNKKSYLLEDKEAWI -FSDMKDSEVIGAYGLGHLSYSILWDKPTHSSKGRMMIQECQTMYIMLMNQEDYNKPNNIDGSSHGECQKN -NMLKKLSLITKRLKFVSEEIRHAVRGMSYKKQSSITLDTPRIWGEEYICGIRKREVFLTRIHPTNQSFET -IEVPYLSNPLISGLRLNQLATGAHYKLRGIIINEGIKYRDFLCAGDGSGGMTSCLLRINHYSKGIFNSLL -IVDQRPLYGSRPSPPNAIVEVKEVKDRCVNLYTVWDKPSDLQSQETWTYFRQEKIKNSLRLDLIVLDMES -VNDNTILNISSNLKENISKLLEFGGSVIFKSYLGRIKSTKLNIIDLIGNMFKEVSLINTDLSSMFTSEIY -ILCKNYQPGLRQRLYLDRTLIDYDDPFFFVNNSEENEFKRAIDINLFEIAKGVPNSLKPNLISDLSTMLI -LSGVDNSRVAIMCSRFVIGEFNSVELGLIIKVIVSESFINSTSKIDTNQKALEPLSSQEIKKMMSAVLGT -QLYTSYRLKDINIFCKINRILNDTKSSTLYCYLSKSQTPYWSFEHIIGQRNIILWSKPFNLQDKQATIGS -WIRFLGHYSLESKESSDKIYINLRKVEHFLKGINRSYKAGKVGI - ->YP_009177215.1 polymerase [Yata virus] -MDFFNESVDLSDVNDFNFEEIYDDLDDTNSLFDDFDDPMELINNKDYNLNSPLIRDELDQLYSYLTYNIS -PRDKRRLREFEEVELYINSCNLSGKLSHPDTIQSCIGKDRLEIINTFKYQLFGEALDREIKKGKKVLDAF -TRGWIGSTVMDNLDFLKIWMDVPQVAKSWFEKFMGFHKLILILNRTGEYETRELIERLNITRLQFKGKDL -CLKYDNSVVGTMIIFKNYVYSYKWNLILDRNTCLMIKDVLISRFQTILSMLLAQYEVKYTDADVNHLVRI -YEEGDSLLNKHGNKAYSGIKMLEPMCNLQITEIARLSRPLIPEFPNFKNHIINSVIELDKKGIDIAPFKT -LVDSVNNLDLLLTIYGSFRHWGHPYLDYLDGLEKLHTQVNLNLKVNTDYANLLASDLAYKVLHNMFFEKK -KWFVDHTKLDPKCKLTQHIRKNTWPTQGVIDEFGDKWHTLPLTKCFDVPDMIDPSMIYSDKSHSMQRSEV -LECIQNHSDKPIPTRRVLKTLLEKEATDWPKFLERVDKYGLDWESLVIGLKGKERELKEAGRFFSLMSWE -LREYFVVTELLIKQHYVKLFKGLTMADDLQTVIKKMLDTSHGQGTRTYDAITIANNIDYEKWNNYQRMES -NGPVFRVMGQFLGYPNLILRTHEFFQKSLIYYNQRPDLMRVVGKSAMNSTKHIVCWEGQLGGLEGLRQKG -WSVVNYLMIERESKVRNTLVKVLAQGDNQTITTHYKTETWRNEEELKSHINRMVENNKAIMDNIIAGTMK -LGLKINEDETMQSADYINYGKVPIIEGVIRGLNTKRWSRVNFVTNDQVPNQTSVLSSVSTNSLTVAHFSN -TALDAMVGHLIFGTFGLLMLDFHNPALRTSPIKLIKDKAAYQSDEFRILSLYLDPSLGGTGGTSLTRFLI -RMFPDPVTESISFWKIVHDNTADADLKRLAISCGNPRLSQFRDTDLDKLIDQPESLNIPRGISANNLIKG -EVKKNIIWQANSIKNKVIQDAAKNCLTEENILFNWLRSIKPLFPRFISQFASSTYYGVTQSLIGLFTNSK -TIRGTYRRTYKKELDQIVLKSELISITSLISIIKRANGICKYLNIWGCSASQADYLRRLSWNTSVLGMTI -PHPIEMFEACNSGQSLCKYCTSDDIPHTDAYITVLCPKGIPKKAEFKGPYSPYLGSRTLESTSILQPWEK -ETRIPVIKRAADLRKAISWFIDEDSNLAKSIYNNLSALTGETWDERIEGFKRTGSPLHRFTCSRVSAGGY -TACAPGKICWTIVTTDTMNSLGSTNYDFMYQASMIYAQSQCIESIQGANRSMVYHYHIRCKDCLREIEEP -ILESDWIYEPMNVAHILEKWRPDQVTKWSKNRSRVQVTDDSKLWSSINDFQKSREVGKTIGFLYGDIILG -KGRDLEERSLFPLSIRSKIDPREFFSGLLTGIKLASSLHLTHRRNIIILKRPSLALFGTVYYVVERLTED -SIFLNFISGENLYHEICSIPHKVPTSYPLNHIDVGSIGRSYLKSRVRDILENKELTTSWAFSDMRSIKLI -GSYALSHETIKLISKQEGGKEDRVKISQLQQIYVNLVNDDNEDIDNGSIIKELSKHLRFCDQEIRHAVKF -DIKILPMSRPILDTRSWGQEYVGNIIEFKIVLDGYNTAGVTDTPTINVPYRSNPMISGLRLNQIATGAHY -KIRTLIRQLNIKYTDFLCGGDGSGGITAYLLRENPCSRGIFNSLLCLDGVPLHGSKPSPPPAVMEMGKLK -EHCVNLLSVWKEPSDLSWEDTWIYFRKCKSEYNLKIDLIVLDMECTDVPTVCIIFDCLRKHLGYLLQNGG -CIIIKTYYSLLLKRETSLVDRVAEIFSFIGVYQTSMSSTNTSEVYVVARGFSPGLKPKMGSVKSVLESEY -KNALCNRAPIDEFNRAQALRFSKLDSGLPIDLIPNLVVEFSTLLTIAGLDGVTMAFLTRSRCTHGLNGLE -LGIIVKLLVSEHYISTTKVIHKSVNIPSDQELKKMFSCLIGIDLALSWLYKDPRVYEICDMMINEDFKVV -IYKFKNHQRWKILSLNKELKSNDIQYKICSVRSKMANMGSWIRLWAKKFQSNSTNKVVRADKVNGVLKSI -NRGLDLTIISEKTGLLI - ->YP_009177203.1 polymerase [Koolpinyah virus] -MDSYYSFEEDENYDYSFNEIDNNFGYDDFNEDELGNMFDENMELLNNYDYNLNSPLLRDNLDELDDYIKK -NISPRDNGKLKEFTLIKETLKTMKVTLNMIISPEFLQNLIAKDRLTHEKLDKFHTFGKVLCSNVDETKGV -LRAFLKGWIQKPEYIDNLNLEMYWCDLPLLAQTWFEKFIGYHNIILILNRTGLYELNELKKRLPIHEVRT -SEGVALAHKSNCLGNWLIFRNYAYSKRLNILFDRDSLLMVKDVMVSRFQTLLSMKLSKYEVMYGEQDIEV -LMDIYRIGDEILLHKGNKGYKGIKLLEAICNLKLVEYARTARPLIPEFPNFKNHILKSLRELEEEDGLEM -YNLYDAIMGVNKLDLILTIYGSFRHWGHPFINYLEGLEKLESQVNAKLEVDEEYCNKLASDLAYKVLKKM -FFEKKRWFVDKNLVSKKSKMYEHITQNTWPTQGVIDDFGDNWHRLPLIKCFDIPDMIDPSIIYSDKSHSI -NRSDVLKHIRENPNKPIPTKRVLKTLLEKPATVWPEFLKRVNDHGLDWESLVIGLKAKERELKDAGRFFS -LMSWELREYFVFTEYLIKEHFVPLFKGLTMADDLQTVIKKMIDVSSGQGTETYENITIANNIDYEKWNNY -QRYESNHAIFTVMGQFLGYPKLIARTHEFFEKSLVYYNQRPDLMRVVGNEVISSNSRKVAWEGQKGGLEG -LRQKGWSVVNLLMIEREVKIRNTLVKVLAQGDNQTITTHYKTETFHQENELMEHIKNIVSNNNAIMESII -IGTRKLGLRINEDETMQSPDYINYGKVPIVNGVIRGLNTKRWSRVNFVTNDQIPNQTSTLSSVSTNALTV -SHFSNTSIDAMIGHLVFGNFGLLMLDFHNPALRTSPQNLVKQKSLYESREYKILSLYLDPSIGGVGGTSL -TRFLIRMFPDPITESLSFWKCIYENTEDDVLKQLACAAGNPKLAIFKPEDLDKLIESPEGLNIPRGISAN -NLIKNEVKKNLIMNASQIRNRIIQDAARNCLHEEHKLFTWLRTIKPLFPRFLSQFASSTYYGVTMSLMGL -FTNSKTIRSTYRKSYKKELDNIIIKSELISMSNLIGIISRSRSKISRMWKCSSTQSDHLRTLSWGQKVLG -MTIPHPLEMYKGVNITKELCTFCTEDMDGLANAYITVLCPKGIPKIVTRKGPYSPYLGSKTKESTSILQP -WEKESNIPVIKRASDLRKAISWFIDEDSNLAQSIFKNLESLTGEDWSGVIEGYKRTGSALHRFSCSRISS -GGYTANAPGKICWTITTTDTMNDLGDNNYDFMYQSSMIYCQMQCLETVGETEVSVACHYHIKCKDCLREI -EEPILESEWVYDPLDVSDTLKQWRPEAMITWSKEKRKIKINKQAKEWDALTGAEQSHEIGKTIGFVYTDM -LLNKKGVVEDKSLFPVSIRDKLLPGQFYEGLLMGVKLNTSLQLTHRRNIVILKKPLLALIGAMYYVIERI -GEDGTFLSFVSMNNMYTELGIFPHKVPSSYPLNHKDVGLLARNYLKNILPKVLKLPNPTTSWIFSDIKTP -TMIGSMGLSLETVKLISKREGAKSDKLKISELQGLYVAIMNDEEMQNQNTEKLIDEICHKLCFCGSEIRH -AAKFGNITGDRENMNNKEESEVMNWGQEYVCRLQSFKIIMDKGTDESVRDIETSVGYRCNPLISGLRLNQ -IATGAHYKIRSIIRNMNLKYQDFLCGGDGSGGITSCLIRESPTSRGIFNSLLCLEGIPLHGSKPSPPSAV -LELGYLSKNCVNLTTVWKEPSDLGWEETWKYFIDTKIENSLRIDLIVMDMEVINQQIYKNILKCLGKYIG -AILMEGGCLIFKSYLSCILKEESSIIDKVGESFDLISMIQTELSSTNTSEVYILFQGFHNNIKVGLKSNK -VKIELDGNNLYINRSQEEEFERAIKFRGENLGVGIPNHLVNNFNVDFSTLLSISGLDGVNTALITEYADN -KEINGIELSTIIMVLISENYINTTKKISEKKIKDYLPSDQSLKKMFGCLASIGLWRSWIKRDVGRYKFID -DLINDKGILIIWGNDMSKISWDIMINDETRWKIKKQVDIRSKMAYMGQWIRLLTRHYGNNEYTEKDRNMQ -TETESENL - ->YP_009177014.1 L [Kumasi rhabdovirus] -MEDYCSDWSESFDDLDLSSESDFDSQEETFNDGKFHFQHLNQNDYSLNSPLVSDDLDGYLAHRTHKEYPR -VFRQKDWSKRDRLFDHIGVNFSTILPSNKLHRWWSRVSQVKDLPVTRCKRFLEAVMTEASETFCVPQTFY -KGWIKKDLKNHYEKRLVADYETLKWGELFLMMHDLIIILNHNSEEELKEISRLLKIKKVKSASEVVGLTV -NTHLGPCYVTGKVLYFPEHKVIIDRLFALMMKDTWIARFNTTVGLHYRTEHIYTQEEIKFVNSLYRAGDK -VLESLGNDAYNCIKLLEPICNWKFCEMAKGYRPLIPTFPNFTAHVNASIDEVGAVSFECTQFCEKIKSIN -TLEVLTVVYGSFRHWGHPFINYLEGLEALHTQVNMPKTIDQEYAEILGSDLAYCVLRKKFVEDKKWYVDK -TQVPQNHPFYSYIHDNIWPTPKVIEDFGDRWNTLPLIKCFDIPEVIDPSLLYSDKSHSRNRHEVVNFLLT -HPGEPIPTEKVLNTLLHKEGTNWPEFLREVDLNGLPSDDLIIGLKGKEREVKVKGRFFSLMSWKLREYFV -VTEYLIKEHYVPLFNGLTMADDMTTVISKLMDRTQGQGGSDYSQICIANHIDYEKWNNHQRKDATGPVFK -VMGQFLGYPNLIYRTHEFFENSWIYYNGRPDLMTVRDGRLLNSTPQRVCWEGQLGGLEGLRQKGWTVVGL -LMIRREARIRNTAVKILAQGDNQVICTQYKLRPHANDEELIKNLQDIWNNNNAIMSAIKRGTDKLGLIIN -EDETMQSADYLNYGKIPVFRGRILNLFTKRLSRIMCVTNDQILSFGNIMSTVSTNCLTISHFDTGPLDAI -LYYNFFGNMTRLMIERHNPVLGCATHKAVPQIMHNLAYKLRCLYLDPSLGGACGTSLSRFLCRAFPDPVT -ESLSFWKLIARSTNNHALRLFAISCGYPDVREASQPSDISKLLEKPNSLNIPKNMSLTNLLKTEIKKSLQ -QSTTEIQNKTIRDSVIYLNANEQLLMDYLWTISPLFPKFLSEFRAATFIGITDGLVSLFQNARTIRTAFS -KKLYRDINYLTWECEIGTFRYLSFHVKEGVSLWDCSAHHADSLRFRSWGRPVIGTTIPHPLEMFGTIEMF -KGRCTRCDENSNDYITCLAPQGFSHISLQKGPYVAYLGSRTSESTSILQPWDKESNVSLIRRAVKLRNAI -HWFVEPDSRLSKSILSVLQGLTGEEWSDKREGFKRTGSALHRFSCSRMSSGGYAACNPSKLGWMIISTDT -FSIIGSDNFDFMFQPSIIYGQASLGEVGGLREGSLSGHLHLSCKACLRKIEEPTLDSPREFKHPDVSWIL -RKWKPDTTPWFKTKAKYEIDSILVENLTKREVSYQAGRAGGFIMGNMILGDQLYLEESSLFPLSIQNKVL -GSDYLEGVLNGMLRSSTINALHRRNVNHPRLMKATIMGSLIYCINELTLNKSFLTLCRKGPILEDLCNSP -HKVPPTYPISDKDMGLMARSWLKRQAYRLERDEGMIDKYPKIVVFSDLVGSEVMGPYLFSSMVVKELFVK -HVKGKTGFNKKRINQLRELSTNIQTGTLTESLPSSLLKNGRTCPEEVRHACKSFKNTKCTGVVRLDWGKE -IVGDIISIELTQTETPPVLKEWDPLKIPKVQSPLITGLRFAQLATGAHYKLRSIIVRMGIGYQDFLCGGD -GSGGMSACLARINPLSHYIYNSLVEYQGTSLRGSSPGVPPAIGNCSPHPFKCVNGETAWMEPSDLSQQDT -WLNFKRLASRNNLNIDLIVLDMEIRDKLTQLKIESNLESHGLEILNPGGSVIYKCYASDLNATPHSNALQ -KLGKYFKHVFLVSTSFSGSFTSEMYAVFMCRLHKTSKNKHIELCSLRNALTRCYALSTHESELQRACNIS -YGKMVQGVPPSLIPDLKVQFETLFSIMGVETGLGYSLSERISTVRSQYSGEIVLSCVVVGLNSIISVTSE -SNNTWKIPSDGELLNLLVCVVGLGYWISWKTSNVKLYQYLDKLIEISPVIAIIKGTGKKSPSKKRLTWDI -GGKGHIFKKIGLGPGLAGIGSWIRALGSLDVHINSLRLHPPTLSDFNKGLTEHKVCKRTGFYSILENFKL -CESDMSQDLLDTPEPKETSWQD - ->YP_009176985.1 RNA-dependent RNA polymerase [Walkabout Creek virus] -MDFEFDDDYNVLEFEDEESFTRNRPVETLNLSDYNLSSPLLSDFNERAENFKKGNLRTTENRENDTLLIK -SKVNLISHKENHKWFGLILRKDRCNTSWFDKILAKTLKDSNVTLEIPKIFLRQMNLPYIEKTEKIIKHQI -VRVWGQGLIEMTILTILINNRKKRISEFYIKSLPKKESAKGLVLFDFPWIGEFFLGDNCILLKSGYLLDK -TFILMIKDVLNARVCAYLSCLNRVDDSFGPDDLDGLIRMWSLGDLELKKHGNDAYDCFKFIEPIANKDLI -ENAQKIRPEIKLESEFDKFVEDEILAFSRKGFDFPRQISNLLNINKNLEFKLSVYGSFRQWGHPYINFIE -GLEKLHEQVTMKKTIDDNLAQALASDLAFKVLEKKFQERREWMVEKKLVPQKDPLKEYIDNDLWPPSKVL -ADYGDNYHKLPLKKCFEIPDFIDPTQIYSDKAHSVTLDELIKDISENRKGPCKTLRVLDTLIKTEATNWK -EFLQEINDKGLEEKWLLIGLKAKERELKIIGRYFALLSWKLREYFVITEYLIKTNFIHLYDGLTMADDLK -GVMMKLLSRSDGQGTSDYSSITIANHIDYSKWNNHQRKESNKYVFRVMGQFMGYPNLFERTHEFFEKSLI -YYAGDRSLLRATSRGIEQATSIRSCWKGQAGGLEGLRQKGWSILNVILLDRISRKRNTRIKLLAQGDNQI -ICTQFKITASDEESRRLCTKEILKQNKNIMDDIKIGANQLGLIINMDETMVSTEFLNYGKVPVYRGNILG -LKTKRWARVSSYSNDNLPNIANIMSTVSSTALSISHFSQSICDPILNYNFFGNFARNILEIFDPCLNDMI -IIKKNREAYCIKSLFLDPSIGGVSGMNLNRFMIRNFADPITESLSFWKIIYDNAKDQFIKNLAADCGNPV -VKPGSLDDLQSLLEDPTSINIPRGLSPITLLRNEIKSNMLANVSKIENKIIRDVTVIGQHYENELLVFLR -SISPIFPKFISQLKAGTVCGIKDSFVSLYENSRTIRRNFKDSMRDDFDRKVVECEFKALSKLSRDIVVQS -LGWNCSSTKADVLRKISWSDNIVGMTIPHPSELLDNPTHMSKCECRDGSKGPYLTTVLNVDSDYLENQRG -KTIPYLGSTTSEGTSIITPWEKESKIPFIKRVMKMRNSINWFVKPDSNLAKSISNLIQSVTGIEIETQSE -DKKRTGSAIHRFSTERQSNGGFNAISPMVLMRMFSTTDTLGEICEKNWDFMFQSLIIHMQTQLALPRGYY -QTGQYTYHSHIACQGCLREIEDIFLESTMVYEPPSILHMVAEWIPDLENQWIERNTRDYNKIDLRTISEE -ELNYQVGQTSGFVYSELSSCGKQSEIASSLFPNSIGQKIIPDHYIQGLIQGIINSATLNCLSRKSLNTLK -DPYSSIGSNCLSIITKIASDSQFLTLFRSKNLNQYLLKYPHKIPSSYPLNNLDQTLILRSVMREVLKIRL -LEKRKKNQSLILFSDINSHEIEIPMILGNVAFNTLLWNCSNKEKTEKLRRIKNTNIEARDKTKSHMIHLR -EDVRVFKASQEIRHALKFREIKTVQSEIKLEFGPECYGKIYPIKVSFSTQESKAIKIDVPKISNPLISGL -RLFQMATGAHYKIRSIIKSLNIRYRWFLSCGDGSGGITSCLLRLEKEAEGVFNSLLSYENLALRGSKPSP -PSAVSALGMDSHRCVNLDTVWEYPSDLRERKTWDYLLYESKKKRSKYDLMILDMEVTEKEDAKKILNLFD -LYCCSLIKTEGWVVYKTYLDIIINEDYNALKVISEHFSDCQVVQTEFTSSNSSEVYIVGHQREKVKITRN -IDTNTINKIIKENKVMTSFDSEWDRAKAVRCKDMFKGIPQTLITPIETEIEWLLAVLGVPAGLSHSISEM -ITHGQQHCTELKWDLIKIADHFAFETGVYKKSPSMPSDQSCINFVAFLCGAFFSLSLDYDLDQQAKLTWL -ISNGIYMQFSNLFDDSRDEYRLRWKFSKSGKYINIRSKCAMIGSTIRTMERLKLRIPCNRYKPSKYLMTS -NLSWTGVPMFWEHKGPFKVDRSSVAQIDEGYIPDFDLDALNFDM - ->YP_009176971.1 L [Inhangapi virus] -MDSQHYDESLFSDLEDIHTEDFVYDEEEQEVLFLNNKDYNLNSPIILDNYDDLLRIEKGLPTETTTGRVL -LELNQIKNVRDQIRMETRTAYTPLPPKTEVHKHVVSKFIRGLTALRRGTWYQLITHVAKISEETKKICEN -YLDMELPLYLDKLKCRGTEEMFLYGEKFWYFHKLVLIMNHSGPGELKHLCEKMKIKDFTNVKNNPALIRT -VGYLDPSVGKCYIFENFIYWPDLNLVLPRNMILMIKDIFISRFQNMLSMALDLDHIYNIHDINKMEEIYL -VGDNIIGSKGNIGYDLVKMVEPICSLGFYNLSRKVRPLIPKFSEYEEHINTSVENLSYIHPGIKTLCDAI -LSIDRIETLLIVYGSFRHWGHPFIEYEEGLDALHTQVTMEKEIDEDYANALASDLAFKILKKGFFEQKKW -FVSRKDLNKNDKMYDHVVNNTWPTYSQILEYGDNWHKLPLEKYFEIPDLIDPSAIYSDKAHSMGRQELID -YLQNNKKGTIKTYRVLNTMLSKEATDWKKFFQQVNDEGLPDDVLIIGLKAKEREMKRIGRFFSLMSWELR -EYFVSTEYLIKKYYVPLFKGLTMADGLMTVVKKMIDSSCGQGNLDYNTVSIANHIDYTKWNNHQRRKSNH -PVFTVMGQFLGYPNLITRTHEFFEKSWIYYAGRADKIWTDGRRIYNQGSGKYCWDGQAGGLEGLRQKGWT -ILNYLVIERESKRRNTLIKVLAQGDNQTITTTYKTQVYRTEDELKSNLEKMVENNQSILDSIISGTKKLG -LIINKDETVQAADYMNYGKVPIYRGVIRGLTGKRWSRANFVTNDQLPSLSNVISSVSTNALTVCHFSKVP -FSSIYLYNFIGTLGLELLTYHNPALRSNPHSILRDGTLIKTPEFRALALFLDPSIGGVSGTNLNRFMIRM -FPDPVTESLSFWKRVHDNTKEKWIKELAITVGYPEIKSFEIEDLDKLIEDPTSLNIKHGINVANVIKEEI -KKQLINNIELIRNEIMQHCAVYLDKEESQILAWLRSIKPLFPRFISELYNSTFLGTVKNLLGLFINSRTI -RNVYRKKYRSDLDNMILKSELISLSNMILIVKKSRSNFNRIWDCSSNHADRLRRESWGQEIVGMTVPHPS -EILSDPIDRRFCKEDEIGSLTADCITILHPYGIPIECRKGPYVPYLGSNTSEGTSILTPWEKETNIPMIQ -RATKLRDTISWFVEPDSNLSRSIMNNLESLTGISWNKQMKGYKRTGSAIHRFSSSRVSSGGFAASSPWGL -SWMISTTDTLKQLNDKNYDFMFQSLLLWSQIRVLMLIDGQGNSGVHHCHIKCESCIREIDEIVLDAPYQM -KFQDVSTIVRKWIPGDIDNSIQENPIIELIEGDWAILDDNEKSFQIGMGMGFVFGDMCLTGNWHMSDSSL -YPISLRNKLIPIDFFNGLLTGVLRSASIHLISRRNLLKGIRSDHMLWGTSNYVLETLSEQEQFIMMLNLS -KLYQELIRLPHKVPCSYPPSLSDCGIIFRNYMRQLLIKQSRHPIPDSLSTWIFSDLQSPKIMYPYILSKK -TCKIIMRGYINQRSKDEIRELQGQYIDIIHGKVDNLLTLERYLSSPNTYVCSSEIRHAAKDISTKATIEI -DSGRIWGQEYAAHAKILPMFYGATDKKWKNTICVPKIQNPLISSMRIIQLSTGAHFKLRALLTELNLKYC -DFICGGDGSGGMTSCLLRYNKFSKGIFNSLLEMENQGMKGSRPPPPSAVMELGGDAERCVNLYSAWEEPS -DLKSQATWESFIKNKCEHKLNVDLMVFDMEVRDQETSNKIEENIIKYTPQIMRKGVVIYKTYVSRILSQE -SPLVTYSSKIFYNVNMGLTEVTSSQSSEVYLICYFDSNQIQSIIEKPDYEKIEKILSSESFVFKTEEEEF -RRALRLRTKNMEMGVPKELIVDPSDELIGIFISYGLHSGYAMHIIKQNKDYQGLSSTSFVFSLVTLTLNN -ILNFTDHNYNDAPLPSDKQCQKVGSLWCGFLNWLSLCIGDIRFHSKSNILIKRGFTIIKSPKNRKSIQIS -ITPGKIENKKHLYLDNAIGDIGAITRTLIRAFGFQGDKIRSKVYNYLIIQYNKNLVMDVVRRTLIIPGMD -EMIV - ->YP_009094476.1 large protein [Dolphin rhabdovirus] -MDDFDFNDLIDEIDLPDWLSTDIVSGKPLNSKDYSLNSPLIGDFIDAACEYLRPYPNINPRYEGYIDLFN -DVRSAAIENKIVVNDDHKDCHKWLGTHLLSLHDDTRYRKLIQLVNQDCKELKPIIDSFFRIWGLEESGPV -EKRINNPWVYHYGGLFLSWHQIVLIMNSKSGEERIKLSKFIKGTLKKNDDKIWSYQGTMTGIGKVIIWAD -LVLLLNHHLLIDRNFSLMIKDLLIARTQTMMAIIGREDGKYDINTYNTINQIYVLGDHMMRKFGNDCYDA -LKLIEPMCNLRLADLAHMYRPLIPDFPHFRRHVETSVVDLSHIMSEIYEIFKIIDNIESVEDVLTIFSSF -RHWGHPFINYIEGLKKLHDQVTMPKNIDRQYANTLASDLAYLILKKYFENHKHWAVEKDRVGRRCLMREH -IMNSTWPTPKQIEDFGDHWHELPLSKIYEIPDLIDPSVIYSDKSHSMNRSEIIQHILEHPLKPIPTRKVL -RTLLEKPATDWPSFLKRIDEEGLNEEALVIGLKGKERELKQAGRFFSLMSWELREYFVITEYLIKHHFVP -LFKGLTMADDMTEVIKKMLERSQGQGELDYQHVSIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPSLI -ERTHEFFEKSLVYYNGRPDLMKVENGVVLNKTDNLVCWNGQAGGLEGLRQKGWSILNLLVIRRESKIRNT -RVQTLAQGDNQVICTQYRLQPTRNEDELIYALNQIKDNNAVIMSAIETGVNKLGLIINNDETIQSADFLT -YGKVPIFRGNIRGLETKRWSRVTCVTNDQLPSLANVLSSVSTNALTVSHYSVGPTEAIRQYLFFGNFARR -LTEQHDPAIRAPIKDVVSKPELLKNPIYKACVLFLDPSIGGVCGMALTRFLMRMFPDPITESLSFWRMLY -LDSNVPWVRSLALKAGYPELARNKESNLNKLIENPSALNLRKETSALSVIKNEVKSQLYRDAEKIGNKMI -SDAIAQSRDEEPYLEAFLASIRPLFPRFLSEFRSASFIGITDSLISLFQNSKTIRNIFRSRYAIQLEERV -VKCEVMSISTLIDYVEKETYFEMWICSSSHADLLRFESWGTKVVGATVPHPFEMLKIPKPYSCISCHVDG -KLDYITLNVVQGLKDCLLSKGPMPAYLGSKTSETTSILQPWERETKIPIIRRAANLRSAISWFIEPDSNL -AKAILNNLESLTGEDWSGMIKGFRRTGSALHRFSSSRISSGGFAAQSPARLTRMMSTTDTFRDIGDDNYD -FMFQSLLIFSQMIGGELLKMSETGGVLHFHMNCNHCLRKIDEPKLESSLPYNPVDKSYILNTWKPERTEW -SEENVMPDLNEGDWNKISHAEMSFHVGKAQGFLYGDLLMTGNHLSDQSSLFPLSIQWRLKPQEFFDGLID -GLIKAAALSTIHRRNFDHPSKFRSTFFGTLDYIVDQLTLNAPFCNLVRSGPLSIQLSSVPHRIPPSYPLT -QSDLGSLARNYLRQRVKFLTKDHNYHPRWNQNWVFADMMSPVLINPFVLSYMCVKLTFSKRWGQHERNKL -MVLRNTAQEIRKKITKFKLPVHQSFRYTDQEVRHACKYTLKEDEINQEDPLIWGNELIVGVETIDVMYNR -TAELKTTSEVKQRRDPTISGLRLFQFATGSHYKLRAIIYQLNLKYDDFLCGGDGSGGITSALMRYQPFSR -CIFNSLLETEDIDLRGSAPSPPSAVKALGKMSQRCVNLNDVWKNPSDLSDQATWEYFHQLKSEHKLNINL -MVFDMEVRSNKMSESIETLIKNNLHILEYGGHLIYKTYLSRLFTDRTTILEVIGGYFKKVMLVYTEATSS -HSSEVYVLGYKKMTKRALEVYPCWGHLMLISQAHPCFNDDNQELIRAQKLSKMQMFKGVPNRLIPDLETE -ITTLCLSMGVENGISVILSEEVMIIRDSNPLQSTLYLVMTIHNQILTPTRLKAIPSNNIVQNVLSLLIGF -GLILSVYENKSNSYSNLKMCIDQFAPFYINKTTWNVNSGLNKSIRLDNKMALMGQVIRICQKIGMDGKFN -LRDLEHRLYLRNKRFSLKKLKEESGFFDYVLGSVRPFGKFLGSADSPEERVSAWRD - ->YP_009094471.1 L [Niakha virus] -MEEYEFDYFDFNDEIDLETDDFNLQDDEESSFEVPKDLLSLSKYDYNLDSPIIIDKIVELTKFVQGKSAV -SLFVGPNFPFYRDLLNLERVVLSDLCDPLMPHDFIHQYITEPVKLSREFSLMLKNTEADANCLSEIVTAF -FNHLGDYSTGTTAPDVTSEIIQYGEKFLELHKIVIMMNNCNCARGSELGSLFGSSFSPNIYHNSPKCERH -YFKFKSSSVGDLIITKDFVYSKTFNILISREHILMIKDICLARFNCFVHLEMTHQKRDDFKNWLKAGDTC -LLHYQENAYKIFKMTEPICNSLMSDYGSEIIPEFPKFTDFSCFINNELFNISAQFPKARDYFDMTMRGDL -RDILIKYGSFRIFGHPMINYLEGLEDLYTLTHEPKMIDLNFIEVLASDLAFIVLEKKFKEDNLWYVDRDQ -MPEDHILYKYVRENAWPPVNVIQKVGDNWHRLPLIRCFDLPEFIDPSELYSDKSHSIQRSELFDHILRNN -NSPIPTCRVLETLLKKPNTNWMKFLQEVNDQCFEKDDLIIGLRAKERELKNKGRFFALMSWRLREYFVVT -EYLIKKHYIPLFKGLTMADDLNTVIEKMIQSTSGHDREDSRRLVTVCNHLDYEKWNNNQRDESNHAVFKV -MGQFLGFPNLITRTHEIFQKSLIYFVNRPDLMTTQNGEIINRTEQRVCWQGQDGGLEGLRQKGWTITSML -MLLRIPRKRNTLIRTLAQGDNQVVITTYRPRTARNEAERLGIYKEIKENNRKIMEEVDRGAVKMGLRIKR -EECMQSIGYLNYGKIVIINGILYPLVSKRLARMSAISNDQLPTMANILSTVGSNMLTVAHFSVYIKPVVL -SYCWFASFFRRVWEMYSCILGTNIKSMLPKNVADHRHYIVKMTFCDPSLGGVCGISLNRFLIRGFPDSVT -EGLSFWKIVHSQTDKDWLKDLCVLFGNPRTNVFTRSHFKKLLEKPDSLNLPGGMTPALLLRDKILEGMEN -QVKDIKNEMIVTAINYKKDEEDRVLTWLASHQPWYPKFQSEFYSSTFLGIVESQIGMFQNARTIRNMMKK -KIEVEFNEVLIKSEISSIRSVTVKPQTIGKIWECSNTQANRLRLESWGNKIYGITVTHPSEMLGRTGLGS -LCCEICNAQNEPYVTVIVPKGFPRSMDSRGQYPSYLGSKTAESTALINPWEKETKIPLIKRASHMRVAMG -WFVPSNSTIAQSIFNNLKSLTGEDWSTGQLESYQRTGTAAHRYGCSRQSSGGYCAQNPLISSHMITTTDT -LGDWASKNYDVMFQSVILYCQYLTFSKYKHLSEGFSVHHHFGCKKCPEEIIEKSIECPTTLTLPDTSSII -KSWLPTNVQFSEVNKIVLLKNIDFESIPLWSINYQIGLTTGYLFGTSSLNGKEMYDQSALFPQTIKNKID -PENYCAGLIKGFMIVTATHIVTKKILINTGSIRMILEGLLSTLILRLSSDRSFLSITRDANLGQYFETFP -HKIPGSYPQNSMDMGLICKCALNKIMRNGLRKLYHKKLSDSGQLLIFPETNDPVSICGLLIGLEILKQLT -KHDPPTRSEVDKLKGLKELLVNSINKNIPTEWVSNQKNIHITNSEIRYILKMRPTEYLCDRQSLMTWGQE -FTCPVDQILISGSSEKSQLTSRLIPKIKNPTISSLRTAQLATGSHYKVRSILKQNKPYVQDGICGGDGSG -GLAAMMLRLYKFSRVVFNSLLDIQGDDLKGSKPSCPSAVKAMGVDSERCVNMNEVWQCPSDLSQRATWEY -FVTCRKRYSLKYNFIILDMQVVEDTIQDKIDLLFVEYAQKLLKQQCFVIYKVYLSRLFKENNVVERMWPV -FDKIYLSRTDLSSSHTSEVYIYAEDMNPKKKHYEPDWKDCDQWISQQFCFRDNTQELTRALRYVRQDLSI -GVPGVLINDIKDEIVSILQILDLTSRLSVIIGSVVSEFQHRDLEVLKFFKLSSTYFILRRNDFKHKASNT -EVENALIVLCACFLAESLLKENVKLNNLALNIINHYGWIYFDLESNKWSLNTPFKQGFTKTVRIDSRISL -VQHITRCFCRIAGPSKRIKEENREVDRMLQKIIKAWSVTKIEEKAGVSPLNSCIIVPKYINEEEIKDHEV -QYTIRE - ->YP_009094441.1 RNA polymerase [Sunguru virus] -MELSHDQNIDDWCADWGLEEENQKSTKKAEILNLSDYNLNSPLLIDWSVSVFSFRRGLSKTTGSNLNDSV -ISQIVYPIKTHEDNHRWFGNLLRSIHAREKDPFKEFEIIFAEVNQAAECTAELTAIWLDHLKLPYLRSNK -KFIKHDYIKHWGQLLYEVSLLIIYLNNPKLEYAASLLINHSIDKPKRLINNKVVGATTYLGVGFELMFRV -LRRFTVCSGGIITSDGFALDKNFLLMIKDVVNSRVCAYLSAVNRVDSSFSDLCLDQIVEVWKEGDKLLQT -LGNSSYNIFKMIEPVANNELVISAQTIRPLIKLDSEFEKYVEQEIQTLVDKGITFLKDLQIKMRAMDDLE -FNLSVYGSFRQWGHPYIDFTAGLEKLYQQVTMPKKINAALAKSLASDLALKVLETKFNERREWMVHKDQI -SANNPLRKYIVEDIWPPFKVIHEYGDRFHELPLRACFEIPDFIDPTQIYSDKAHSIDKDELKEVIRKGLK -GPVPTKRVLDTLLRTEQTNWKEFLTEIDRNGLEEKWLIIGLKPKERELKIDGRYFALLSWKLREYFVITE -YLIKTHFVKLYDGLTMADDLKDVVGKLLSRSMGQGTPDYTSITFANHIDYSKWNNHQRKESNGPLFRVMG -QFLGFPSLIERTHEFFEKSLIYYAGDLSLLRLEKGNVVPSTSIRSCWQGQPGGLEGLRQKGWSLLNIVLL -DRISKKRNTRLRLLAQGDNQIVCSQFRLTDIREDKVIEHIEEVKQQNKAIMADIESGTGELGLIINKDET -MLSTEYLNYGKVPVYRGNICGLKTKRWARVSCYSNDNLPNLSNILSTVSSTALSISHFSLSPVDPMLNYS -WFGVWAIRWLQLFDPCLAGPIPVNLNDQVFLIKALYLDPSLGGVSGMCLNRFLIRNFPDPLTEGLSFWKV -IFNKTKSDQIRKIAGDCFNPAVRLGSVDDFKALLEDPTSINIPRGLSPITMLRNEIKINMVNNAHNIKNQ -LLRDVTVIGTQEENKIMVFLRSIKPIFPKFLAQLKASTITGIKDSFVSMYENSRTIRKNFRDNMRMDFDL -KVIQSETMAFNRLLKTAPSLSNASICSSSQADLLRKLSWGSEIVGMTIPHPIELIGIPIPRTICNCDIKD -FGPYLTTVETVDDPNLFNKRGSLTPYLGSKTSEGTSIISPWEKESKIPFLKRVLKMRNVINWFVKSDSNL -AASIFNLIESITGEKVNSCVEGYARTGSAIHRFSCDRQSSGGYSAVSPAALMRLFSTTDTLGELNSKNWD -FMFQSLIIMSQTALSKPIGFWKLGNTTYHSHIQCRECLREIHDIHLEASHIYQPKSFVHMVQNWISDLNQ -QWVSKQLRSYDEVRPANMTKDELDYNIGQTTGFLYGELIIRGSTDLTTPLFPNSIGNKLQPRFYFLGLTQ -GLILCATLNSLSRNSLNTLVNPISSIGGNTITLVNKLIFDPQFLSLLRSDLFMKWLLTHPHRIPPSYPLH -PNDQAVIIKSVLRMMIKDLLLDQPRTIKKIILFSDSSSGELELPYVLSAIAYNTVMSPYPKQKKIEKLRT -IKNLNIEVRDKLRSNSIGKLSGIQVFLIPQEIRHVVKFGPSLPSGSVDDIELPFGEEYAGWVSSVAIEFQ -STFDSTLSNISIPQKSDPTMSGLRPFQIATGAHYKVRCILKSFDIKYNWFLCGGDGSGGITSCLLRYNLK -SQGIFNSLMDIEGISLRGSKPAPPSAVEALGKRKIGCLNHNTVWQAPSDLRRNETWDYFSKWTNIERRKF -DLLIFDMELTSDQDGLSILKKLDRYLDTLLVQEGSVIYKTYLNTLLKFMYNPLHVILSHFSEVHVCQTEL -SSSSTSEVYIFGRHRLKWRNDRFPTNTALKKIIQQNFVFSDGASEFERAKQVRRKNLLMGVPRELIPDLN -IELENLLNVVGVPAGLSNAISDDLLRQSGDIRETKWTLIRLCDKFVMRTCEILPYKHLPSDQILITYIGF -LVGGLISLYLDDDKQDTVYFQKVIQLGVMVDIQNDPGKPIIWKFGSKGKGVNIRCKMALIGSTIRVFERL -SEKMKTKSIKLFKHHYTLNLLKTGIPQLWDQSAPLGSDVFKNAVSSHLDFEASQSYD - ->YP_009094434.1 L protein [Malakal virus] -MDLYDELDGFDPYDNEVDELDDSINNYLGEVQNDLDTMELINNKDYNLNSPLISDQLDELIGYLRGYEEL -PNNKVTNWEKEEMIIIKKVLKNFNDLRYIKESTSLHQMFGKLLNRVMVTNRYNQVGEDLKNVLRGIEEIP -KSFLKGLGVNNSIAQVDIERKWNDIPAKAKSWFLKFFEFHKLVCVLNANSKMELEDLQRKEELIKINLES -LGYKDHKCYVMDLETTGRWIIFDNYVYSETECSLLNREFVLMMKDVLISRFQTILSMEVCHDEYSYTDYD -IENLMKLYAYGDEILTLHGNKGYKGIKLLESICNLRIVQIVRESRPLIPTFPNFENHIYHSIHELMRDRG -IDLSNFSNIVLNEKTIDMVLVYYSSFRHFGHPFIDYLAGLEKLESQVNKDCHVDIGYANLLASDLAFKVI -RKIFCEKKKWPVKKEQVNPRHKFYNHIKHNTWPTQQLIEEFGDHWHELPLDKCFDIPDMIDISILYADKS -HSLDRSEVLKVIREGNHRRIPTKRVLQSLLDRPATNWPEFLQRVNDHGLDWEKLVIGLKAKERELKEEGR -FFSLMSYELRDYFVATEYLIKKYYVPLFEGLTMADDLNTVIKKMLDVSSGQGTRNYDYVSIANNIDYEKW -NNYQRKESNGPVFRVMGQFLGMENLIVRTHEFFENSLVYYNQRADLMMVQGRNCLNRTGTKVCWEGQKGG -LEGLRQKGWSILNYLMIERESKIRNTKVKILAQGDNQTISMHYKTESWQDEEELREHIQRMAENNNQIMD -AIIEGTNKLGLRINHDETMTSADYINYGKVPIIEGTIRGLPTKRWSRVNFTSNDQLPSTSTILNSSSTNA -LTVAHFSERPLDAIVGQMVLGSLGLIILDYHNPALRRKTSEVIKEASLQNRLYNILLMYLDPSLGGIGGT -SLTRYFIRGFPDGVTEALSFWKLVYENTDDEQIKKLCCSIGEPELNSFKPEDLDKLIEKPESLNIKHGLS -SSNMIKGEVKKNLVENCGKIKNEIIQDAARNLISEETQLFLWLRTISPLFPRFLSQFAESTYYGVTKGLI -GLFTNSKTIRGIYKKKYKKELDEVLIRSEIQSINSQINVLKRAKYIDKKIWNCSAELSDKLRFRSWGKEV -LGTTVPHPCEMFGGSRGGEDMCKLCMKEEYTNCYLTVMTPKGVPNKCEYRGPYYPYLGSNTKESTSILQP -WEKEAKVPVLKRACELRKSINWFVNPESNLAKSIFNNLRALTGEDWEDQIQGYKRTGSSLHRFSCSRVSS -GGFAASAPSALTWCISTTDTMCGLGDTNYDFMFQSTIIWCQMLTAIKERGVNQSKIYHYHINCKSCLREI -SEPELNSSWEYTPRDVSKILEKWRPRSMTEWGETKVHLDIVNHDQDWDQMEEGEKSYEVGKTIGWICADS -LLSKSQEIDIKSIFPISIRSRLKPRSFLEGMIDGFKLCGTLNLTHRRNYMIFKKPKLAIQGTVFFLIDRS -SYISELVNFIGHPNFYQEMSKLPHKVPASYPMNMSDVGSIFRNYMKQIYYEGKEKVSRMVSWVFSDMRTN -ELICAYGLSHVTHRVFVSQNSTKEIKIMLQQCQDLYIDILNNEVGDLSRLEKQMQECLRHLKFVSSEIRH -AVKFRFMGDNLNTESATIRNEDDDINQWGNEYVGEIDYIEPTYYSDPRMFKIEKKSIPYKSNPIISGLRV -NQIATGAHYKLRTIILRCGIAYRDFICGGDGSGGMTSCLLRLSHCSRGLFNSLLVLDDKPLHGTKPTPPS -AVLELGSSRARCVNWDTVWKEPSDLSKPETWEYFKREKIKHYLNINLIVLDMEAINEVIISQIFNELQKN -LVKLLDEGGWLIVKTYLSHLIGADTNIIDKVSHWFKEVKICTTSLSSTNTSEVYIVLCDFRYKIVKGKIM -NRDDLWSRKEFFKVFKSDKEEFDRAKKLIVEPLELGLPDKLKPDKLIELSQMLQISGLDGVTMSCLCQPE -NMDFFSMRELLLLIEILISEKYIGTTRKHKKDCNCELETPLSDQDLKKWMGCLISINLYLCIKNGNFRLY -EKLNSIVNNEGWNNICVMKDKENKLKWELAKYDTKNKNQLSWKKRFNLKDKMAFMGNWIRWARRNDNNTK -GVKPGKINNFLQYINKGLNLKHVVGNLDSIILEGVGNME - ->YP_009094424.1 putative RNA-dependent RNA polymerase [Oak-Vale virus] -MKKTIMDYDALSEDIVSGCRKRPSKLNLVDYCLQSPLLTDIQDKIAQWRERKISTLSDDWIWVMQNSGVE -IRGWRETHHWLGGLLSELADLKGTPYLNLWESMANRDIRTADKVAQGFFEGLKIEFPSIKQINNHGCGGF -GEIGLACHILVCVMNNMDNPCFNILTGEHMTRHILHSGKVVGIWVHKDLGRLLFNKDFVVTEKGYLLEKN -FVMMMKDVCLGRYCVGIGEGLLSKDVQLIKKVWKIGDEELRSHGNNSYDSIKLLEALCSERMISLGQSHR -PDIIIPEDFSVFLRDSVQEMEANGFKFPKEIRQLLSGVSDVEMVIEIYGMFRLWGHPYIDLLDGLCQLHK -NVTMEKDIDLGFAEQLASDLAYKVLENRYKIENRWYVDKSKVDKKHPLYDHIQNDTWPTPVEIHNFGDNF -HKLPLTPCFEVPDFIDPSLLMGDKAHSLRFKEVASDIKSGGKGMIKTQRVLKTFLETEQLDVKKFLDALE -EEGFDHEDLIIGLKAKERELKIIGRYFALMSWLLRTYFVLTELLIKEHFIPLFDGITMADDLKNVIGKMI -SRSDGQGRDDYKEVTYANHMDYSKWNNHQRGKINNPTFKVMGMFLGYPKLIERTHEIFEKSLIYYAGDKT -LLKIEGGEIVNAGGSIACWRGQAGGLEGLRQKGWTITSLLMIERVSRLRNTKITTLAQGDNQIVCCSFKL -SYGYDEEIKKKCLLEIYEQNQKIMKDIQENALRMGLIIKMEETMTSTEMINYGKNIVYRGNLCNPKSKRY -ARMCTLNNDCLPNIANCLSTTSSLCLSIGHFDVSPTNGILSYLTFGSLGLNLISMYDPCLNGMITTHNKE -EYVYRALFLDPSIGGVCGVNLNRFLVRSFPDPVTESLSFWKLVGENTEDKILKRVSLQAFNPNVSRCIDN -DITLLIENPTSLNIPSGLSPTNLIRQEIKLALFNNVHNIRNSLVRDVTKLAFKEDSQFIRFVKSINPLFP -RLLSQLKTGTVIGIRDSITGIYENSRTIRKEFCELFREDFDDLVIKSETQSLRILDAPARELSLSFICSA -KQADSLRKRSWGGEIVGVTIPHPCEVLMPPENLRGHDCDESHSAFITTVANKEREDTLNGRGPCVPYLGS -ATSEGTSILTPWEKETKIPFLKRVMKLRTPIGWFVDNESNLGKSIKNMIEAIVGPGQLKFTRDFKRTGCA -IHRYGCERQSAGGYAAVSPCLLMRIFTTTDTMIGMETQNYDFMFQANIIFTQTLVTFKIINQSKGITVYH -SHLKCKSCIREVKDIKVDSSLIYNPESFYANLKQWIPTMDDSWRSRESAPIFAGNVEDYSRREITFNVGQ -TIGFIYGHMFFQGKSKNVESTLFPVTLREKLEPEPFLNGLVLGLAISSSTNFLSGKIPSKIVYPKEGVVG -VACRVINSLVLNPSFLALVKEGPLSIYMSRTAHKTPASYPTSALDCGVICKMFLKQILSSGINTFKIKKP -IMIFSDMIDPSLIAAYTTGCQIYEELCNPNKDTRRLVSLKNTNISNRSKKDDLQMDPTLPVILISSEIRH -LAKFSIRINQEEERLTFNQEWTGDVYPIVLEFSPDKPSSTLNVPQRLNPVVGICRMAQIATGSHYKVRSL -MKYYKLPSGFFLSGGDGSGGITSMLLRYNKTSKGIFNSLLTFDGLTLKGSAPAPPAAVYSLGPDRNRCIN -LHTCWRNPSDLSSEETWIYFSRLVEKEGKLLDLIVLDMEITEREVSTKIVNMVCKFAIKLMKKTGSVIFK -TYLSEFGMSDGAPHVFSQYFDRVVSNQTSVTSGNSSEVYLLLQDPMARPTTRYVSDLSIMKVSATNLVHE -EFNSAARRAQSLLQSDPWKGIPKQLIPPLNSELQIALEILKVPGGVIHTLINSHGQIPKKEKGYGLISII -DFYMFKTGLAGKLHVPGDQECKTYMSFLLSALMAIECHGDVPKISHLVKYINNGIILSFKLQSHKKRMVQ -SWTVSTKGKEIWMRDQGSALNGFGRTMVRLLGSNLISEKALLGVKRTKALKLTGIREVAEGRNIPEKIKM -RGIVHQEDALKLSEDACMDYE - ->YP_009094412.1 RNA-dependent RNA polymerase L [Coastal Plains virus] -MDYLDEQPLDDYGYIDSYEYLEQDDYQFEEDTQFQDDLYEFSLLNNLDYNLNSPILPDRLNALILYLNDL -PYDQIHYQPTFEKVRNLFNRLNIKNTSEIPTVQEIYRLWPKIINRRFDNTRGISFLDRQFADIDKTYEII -RSFYNGWLGGTFTVKTCEDIQQSIKALPDLAYYWLTTFLDLFDIINLMNAKTILEQKNIIKRINGSVLIE -NKRMIGFIGKSRQLGRWAMVEEYLFLPKDSIIFDRNLLLMIKDLLIGRFQTVLAMKSLTYETAFSEEDIS -DLLNLYSIGDAILMKHGPSGYDSIKCLEMFCNNWLCEYSFKINPTYPRFDSFKGHVEETVQEQINAGQIE -ISDFFKQVDKSNKIDLTLVYYSSFRHWGHPPIEILEGLNKLEELVNEDHDVDDDYVQILASDLAYKVLKK -KFSTDKKWYVDHTQLPNDHMLKAHIVENTWPTLHTRNQFGDKWHTLPLVKCFDIPDMIDLSSLYADKSHS -MRLSDVINHVRQFPNKPIPTKRVLSTLLQEEAVNWPLFLKNIDEYGLPKEDLIIGLKPKERELKRTGRYF -SLMSFNLRHYFVITELLIKEHFVPLFSGLTMADDLQELTKKLLDRISGQNGPETNRINIANGLDYTKWNN -YQRRDSNKYVFRVMGQFLGYPSLIEKTHEFFEKSLIYYPGRPDLMDIQNGTLVNKGNIKVCWNGQKGGLE -GLRQKGWSILNYLMIERESRVRNSMVKILAQGDNQIIFTSCLLESYYDQEELNDNLNRAKQNNETIMNAI -IKGADKLGLVINQDETMQSCSYANYGKVVLFRGRILGLPTKRWARVTCSTNDQIPNLGTLLSSVSTNAMT -VGYFSDAPHDAILGHFIFGLITLGLLMIHNPAIRGDPRRYIKANALIPHYITKITLLYLDPSLGGIGGTS -LTRFLIRGFPDPVSESLAFWRLVYLNTLDPLIKRLCCKVGNPPLATYCQNHFTKLVENPESLNIPKGISA -GNMIKEQIKNNLIKNADNIKNNIIHDAISQIVTDEAILLAWLQSIKPVFPRFNSEFTAATFYGLSTSLIG -LFTNSRTIRNCFKTQCLKEVDTLIIKSEIIGLASVLKVTSHVINDLVHDTIWDCSSTQADRLRQMSWGQR -IIGITVPHPIEMHKVSLVTGSECQFCSINNYQNNYITVICPKGLQEIQHDDQIGPFRPYLGSGTSEGTSI -LQPWEKETKIPIIKRAARLRETISWFVLPESNLGNSILNNLHALTGEDWSIQLRGFKRTGSALHRFKCSR -VSNGGYSACNPTRSTFLVITSDTMVDLEGSNYDFMFQASMIFGQVSVGSLGYLKPCCFHCHINCDECLRP -IEEPILESEWIYQPLDVSDILRRWRPDPDSDWGFSKQICAIQDNQSQWEILDDDAKTFYIGLILGFIYTD -GLLGKNLGGNQDNLFPLSIRNKLHPEYFYSGLLRGIKLSSSLHLTHRRNIISGKDPKNVLFGAIYYAIEN -ITVDADFVQFVSVGPLHLELYKMPHKIPPSYPLSTIDLGSLARSYLKYRIKMDDLDQYLDSVWAFADLRS -TKLLCTLGLSILTDRLVSQPNLNKLGKERLKQLQEDYIKASNDELDIQSTNYYISRLKFCESEVRHACKF -SMKSSDFNVSTEEWKWGNEAWGKINKIEINFDPDQKSRISSPCMTLQNPTVSGLRLFQCATGAHYKLRSI -IKGFSIYVQDAVVGGDGSGGITALLLREFRSSRIVFNSLLNFETTSTTGSRPSPPSAVEALGEMGKRCIN -KDNIWKEPTDLRERETWDYFRKCITDYDLTINTIILDMEVTSDMDISKIEDLVAEYAYVLFNAKNSTLIF -KTYLHRLESDSSAALKFGKIFKRIYAVNTEFSSTKTSEIYLVCQDLCPIKITNRCSLSDTSIQDLRKMAF -INADFVDEFKRAKQIYSRTDLISGVPSAFLTDPLVDLSTLLVTCGMMSSDAYLIISHSSDNLSDFVEFLL -YNTILLCNCVFDLTLISKNGIKIPSNPSINNFMSFLIGISIWLCLICSDEDISLQIHQYLNPKKKQRIFF -YSRGVKNGDLAFWRLEEDHALHRVCIKNICTKHKMALIGQVIRLCTLHSLNFKDRMKAIKLDIKSINTKL -KKRNKKMTVKYFNTQTDIFRFMPKNIFK - ->YP_009094403.1 L protein [Kimberley virus] -MDPYDELDGFDPYDNEVDGLDDSLNNYLGEVQDDLDAMELINNKDYNLNSPLISDQLDELIGYLRGYEEL -PSNKVTNWEKEEMIIIKKVLKNFNDLRYIRESTSLHQMFGKLLNRIMVTNRYNQVGEDLKNVLRGIEEIP -KSFFKGLGINNSIAQVDIERKWNDIPAKAKSWFLKFFEFHKLVCVLNANSKMELEDLQKKEELIKINLES -LGYKNHKCYVMNLETTGRWIIFDNYVYSETERSLLNREFILMMKDVLISRFQTILSMEVCHDEYSYTDDD -IENLMKLYAYGDEILTLHGNKGYKGIKLLESICNLRIVQIVKESRPLIPAFPNFENHIYHSIHELMRDRS -IDLSNFSNIVLNEKTIDMVLVYYSSFRHFGHPFIDYLAGLEKLESQVNKDCHVDIGYANLLASDLAFKVI -RKIFCEKKKWPVKKDQVNPKHKFYNHIKHNTWPTQQLIEEFGDHWHELPLDKCFDIPDMIDISILYSDKS -HSLDRSEVLKVIKEGNHRRIPTKRVLQSLLDRPATNWPEFLQKVNDHGLDWEKLVIGLKAKERELKEEGR -FFSLMSYELRDYFVATEYLIKKYYVPLFEGLTMADDLNTVIKKMLDVSSGQGTRNYDYVSIANNIDYEKW -NNYQRKESNGPVFRVMGQFLGMENLIVRTHEFFENSLVYYNQRADLMMVQGRHCLNKTGIKVCWEGQKGG -LEGLRQKGWSILNYLMIERESKIRNTKVKILAQGDNQTISMHYKTESWQNEDELKEHIQRMVDNNNQIMD -AIIEGTNKLGLRINHDETMTSADYINYGKVPIIEGTIRGLPTKRWSRVNFTSNDQLPSTSTILNSSSTNA -LTVAHFSERPLDAIVGQMVLGSLGLIILDYHNPALRRKTSDVIKEASLQNRLYNILLMYLDPSLGGIGGT -SLTRYFIRGFPDGVTEALSFWKMVYENTDDEQIRKLCCSIGEPELNSFKPEDLDKLIEKPESLNIKHGLS -SSNMIKGEVKKNLVENCGKIKNEIIQDAARNLISEETQLFLWLRTISPLFPRFLSQFAESTYYGVTKGLI -GLFTNSKTIRGIYKKKYKKELDEVLIRSEIQSINSQINVLKRAKYNDKKIWNCSAELSDKLRFRSWGKEV -LGTTVPHPCEMFSGSRGGEDMCKLCMKEEYTNCYLTVMTPKGVPNKCEYRGPYYPYLGSNTKESTSILQP -WEKEAKVPVLKRACELRKSINWFVNPGSNLAKSIFNNLRALTGEDWEDQIQGYKRTGSSLHRFSCSRVSS -GGFAASAPSALTWCISTTDTMCGLGDTNYDFMFQSTIIWCQMLTAIKERGFNQSKIYHYHINCKSCLREI -SEPELNSNWEYTPRDVSKILEKWRPRSMTEWGEVKVHLDIVNHDQDWDQMEEGEKSYEVGKTIGWICADS -LLSKSQEIDIKSIFPISIRSRLKPRSFLEGMIDGFKLCGTLNLTHRRNYMIFKKPKLAIQGTVFFLIDRS -SYISELVNFIGHPNFYQEMSKLPHKVPASYPMNMSDIGSIFRNYMKQIYYEGKEKVSRMVSWVFSDMRTN -ELICAYGLSHVTHRVFVSQNSTKEIKIMLQQCQDLYIDILNNEVGDLSRLEKQMQECLRHLKFVSSEIRH -AVKFRFIGDNLNIGSATIQNEGDDMNQWGNEYVGEIDYIEPTYYSDPRMFKIEKRLIPYKSNPIISGLRV -NQIATGAHYKLRTIILRCGIAYRDFICGGDGSGGMTSCLLRLSHCSRGLFNSLLVLDDKPLHGTKPTPPS -AVLELGSSRARCVNWDTVWKEPSDLSKSETWEYFKREKIKHYLNINLIVLDMEAINEIIISQIFNELQKN -LVKLLDEGGWLIVKTYLSHLIGGDTNIIDKVSHWFKEVKICTTSLSSTNTSEVYIVLCDFRYKIVKGQIM -NREDLWSRKEFFKVFKSDKEEFERARKLIVEPLELGLPDKLKPDKLIELSQMLQISGLDGVTMSCLCQPE -NMDFFSMRELLLLIEILISEKYIGTTRKHKKDCNCELETPLSDQDLKKWMGCLISINLYLCIKNGNFLLY -GKLNSIVNNEEWNNICVKKDKESKLKWQLAQHDVRNKNQLSWTKRFNLKDKMAFMGNWIRWARRNDNNDK -GVKSGKINNFLQYINKGLNLKHVVSNLDGIILEGVGNME - ->YP_009094394.1 L protein [Puerto Almendras virus] -MDLTDYDGYDNSFADEVFGEEYIDENTVGMQRRQRTLKTPLVNDDYNLNSPIMPDIINGLYEYYYKGTKP -SHHTAHIMKIINGLSSAGIKFRSIGSIYHTYKHAYNYLINKHCLPSEKTRKFVMDWNFNFNNIKVIPDTF -VQTIGSKPYKDMILSKIIDDDYLMNLLDKYLEINFIIEMMVQGESRVKYMNHDKNWHVKQIGNCNNNIFS -IRTSRFNGIINEEVLVLNNDYIIYDKNILLMIKDILIGRFNTLLIVKFNTEDRYTPNLMQTMKSIFDLGD -RHVLNKSNLAFEDFTLLEPICINRFDHLSSKTRPLIPRHMNFQNYIESKVIDPDKDRQLFKNKLYGLISS -IDNPHDLTVVFSSFRLFGHPVIEYEEGLLKVKSQVRMKKNIDEKYAKSLASDLMKIILNRHYNKTKKWNV -IDNVHNKNIPGTGFLIKNVRPNKRELNLLGDRWDELEIDPIFEVPEDIDDSNIFSDKTHSLDLDEIIKYQ -KRDKTNPIPTKRVLKTYLEKEKVNVKHFVNSVDASGLPEKALVIGLKAKERELKRFGRFFTLMSWDLRLY -FVISEYLIKKDIVKLFDGLTMADSFIDVINKMLSRTNGQAHCTYDKITFANHIDYSKWNNHQRDEAVGPV -FSVMDKCYGLTNFFRRSHKFFQDSKIYYPERPEYFGKESENNPFYWEGQVGGFEGIRQKGWSVVGILCLL -RESKDRNTKTEFLVQGDNQVIFNSYTTPKHVVNQELIDEIDKIRNNNEYIVDKIKLGSIKLGLLINDDET -LQSANFSSYSKIPIYKGNILNLETKKYNRVNGLTNDQLPTSSNIMSSVNSTALSVCQYDPVCRNAAYWHG -VFGIFIMMLLGLWNPMGEFATDSLRFEVTHLIRWLYLDQCLGGNTGMSLSRLLIRRFPDPITESLSFYKK -MAEMIKNPTIKKLFTSFGYPIKSRITDFSFNKLFEDPTALNILKGGNILLIIKDQVKKSLINIKHEIKNQ -VLRNALGQSVEQEKYLITFLKTINPCFPRFISDFKASSICGYIDQIVGLVQNSRTIRNLFSYEFEGQVRL -KVRKWELMMWRSILDDEIRDTAGMWKCSSTHADYLRRTTWGKEIIGSTIPHPYEYQSKLIFNIEDHLQHN -AKNIITCVIPRKYRKDINLLGMSRPYLGSNTKESTSVLQPWEKEITNPLYRKASSLRRGINWLTDRESML -SKSIYNNLKYVTNVDLSSELETQRKRRSGTAEHRYRSDRQSNGGFCNISPNILTWMIITSNNMNDLSDVN -YDFMYQASLIYTETVGASILQDGHYIPSFGMGISCTECIREVKNIKLDSKFIYNPQIILKQFWLNKLIYT -SVKESEEILNDIYPIATDSYIKNIPYHVGFHQALGFLLIADNMVDMMSISDLFPIGVSLKINTSSWLTGV -IDGLVIGSCYSVLNNEDFLSGRHQYSIIGHRFHTLVGRLGEDSSFTPILNLNNVCYHITRASKFAPPEYP -PSNSSLIKCFKTVCLYLFSFDKDLRYQRITQLYKDFKIFDDFDNDEIKLVIRLGYLAYKTVLTEHYNTSK -SKTINQIKNYLTELRKEMSDKEKFREASLIIEPHGINISILPKEVRYVVENDYKEQFRRVELNVYPDTKE -LGVEWFKYVRGVNLNTKVEKRRGNEIPFISGLRVYRCATGAHYKLNVILNELEIDPKFCLIGGDGSGGLN -ALVLRKYLNSTTVFNSLLELNETNFRGSSPGTPQAVNMMPIDYSSRCINLEDCWLNPSDLTTSECWNYFA -ETVRKAKRKLDLIILDMEARDSISYQAIYVHLLDHLNLLEKGGTIIGKCYTNLIQDLNYVWENLYLLGFN -IYFCNNKYTSSYSTEVYVIIRKIPVAFSDRSIRLNHLYELNRSIRSDEEEFERGLKLNVRKMYSQIPPNL -TECYSVYYLEYLNKLGIKMGIGEQSIQLLIRNKEYNKYIDMILYYSTVDVNMGAREIISDNQITRLVCWY -IGLLLYISYNKKDLEIYTYAVQLNNSYLTISMNRNTRSFYLNTQINNFNGYKKTVPPLRDNNHINSVLRI -MCGLNVVGDLQLTKVKWDNKMQTNFTIFN - ->YP_009094388.1 polymerase [Perinet vesiculovirus] -MIEPEDLFESYEDEDNFKTNKVDKDRRFKGLNAVDYNLNSPLIRDDILYLLYRSRGKSVPGMWAKKNWSQ -TIELIRELGVELQDPDKLHNWFGDWLLFDQHQYQQGEKFLQDVDKQAEETFEVVRAFVKGWVGLDIKYQS -KDSESFIRAAKVCQKFLDLHKITLLMNSSTIRERENLLSTFKVKLSGDKLCMTVPTLGRVYISNQFLILP -DQKILMDRNFLLMMKDVIIGRMQTMLSMINRSDHKFTESHIRFLVRVYQTGDKIIKDLGNDGYELIKMVE -PICNLRLSDLAREYRPMIPEFPHFRGHIENTVRDLKSKSHLIDTLFHLIDECKDVDSVLVIYGSFRHWGH -PFIDYFQGLKKLHTQVTMKKTIDTEYANALASDLARIVLTKEFNEKKRWSVDFNSVPPSHLFYNHIKENT -WPTPALIQDFGDHWHELPLVQCYDIPDLIDPSIIYSDKSHSMNRRSVLEHVRKNPNTVIPSKKVLQTMIA -SPATNWIEFLDMVDKEGLPEDDLVIGLKGKERELKIAGRFFSLMSWRLREYFVITEYLIKTHFVPLFHGL -TMADDMTAVIKKMMESSSGQGLNDYSSICLANHIDYEKWNNHQRKESNGPVFRVMGQFLGFPNLISRTHE -FFEKSLIYYNGRPDLMKVQGNSLVNNSNQRVCWNGQAGGLEGLRQKGWSILNLLVIQRESKIRNTAVKVL -AQGDNQVICTQYKTKQHRTDHELRSALLQMKLNNDFIMEAIEHGTGKLGLLINQDETMQSADYLNYGKVP -IFRGVIRGLETKRWSRVTCVTNDQLPTCANLMSSVSTNALTVAHFDVHPLNAMIQFNYFGTFAKMLLTMH -DPAIRSSLWKFEEQIPGLHSVAFRVAMLYLDPSLGGVCGTALSRFLIRSFPDPVTEGLSFWKVVHDNTIN -PLIKRLAVSFGNPKIAIFRQSHIDKLLEDPTALNISVGMSPANMLKTEIKKNLLLKRNDIGNKIVKDAVS -YIHTEDESLRNFLWSIDPLFPRFLSEFKSGTFMGVASSVVSLFQNSRTIRNVFRDYLSDQIDELVIKSEI -SSLSHLGSYSSVDTCASIWKCSSTQADLLRKRSWRRRVLGTTVPHPLEMHGRGVVKTCKSKCCDNNSCDY -ISVHCSKGLKDVLDSRGPLPAYLGSKTMESTSVFQPWEKESKVPIIRRATRLRDAIHWFVEPGSNLANSI -LSNISALTGENWDTSLSGFKRTGSALHRFSTSRVSNSGFCAQSPAALTRMMSTTDTMSEFATKNYDFMFQ -AGLLYSQISSTMLLLGTECSNTVHYHVTCRECIRTIDEPTLESPRSLRCKDMHYTLESWRNGSGSWGLSI -NQLKPMVGKWDDLSPSEKSYHVGRTLGFLYGDLVGQSSHRSEDSSIFPVSIQKRLRGKGFLKGIMDGLVR -ASACQVIHRRSVSSLIKPANAIYGGLIYLIDKISSAGSFTNLCREGPIRAELASIPHKIPTSYPTSSSDM -GSAIRNYLKFQCKSVELGRYKSDLEDLWLFSDLMTIEFAGPFALSTKILKCLYKPTLSQADRTNIRKLSG -LSRILRSQENWTEANMEFLSSQLLICEEEVRHACKFGIPKELSETINTKWGKEICGRIYRNPILYSIKRC -KKSLRVPPRIQNPSISGLRLGQLPTGGHYKIRSIYEGYKFRVRDALCGGDGSGGMTAATLRYFPGIRVIF -NSILEFDGYSMKGTSPDPPSALETVTKGLTRCVNARDCWEHPSDLSTPQTWEYFVQLKRSHTMNLDLIVL -DMEVRDINISNKIEDMIRRFVSQLLDKNGTLIYKTYATTISQEEQNFLTKVGCLFESIEWVQTEFSSSFT -SEIYIVCRKLKSIVDAPYPDWDALEYSWSELFSLQEYSREFMRMQRVANLDTLMGIPNEFMPDPAVNLET -LLQIAGVPSGIAHQLGREVIISKASGLSIAFSLMSLISHFTLDTVRITKNKFNPPSDNRLSKMASALTGI -LFWVSIVYSDVLLNSRLEYICKTSFPIRYQSEIGKTLEWNSHSDDFKSKDIRISDKLANIGNWIRALQMF -NLRVGKLVPREVDSYTTRYISPLNYNHIKRVTGLYGLLTGRISRYDRSLMNVTSDTIESDHWTD - ->YP_009094383.1 L protein [Arboretum almendravirus] -MDLFEYDESNGWDDLSLDNELEWDDNIIHHKRKTKGKIDTPLVNDDYNLNSPIMPDIIDGLYNYFSNGIL -PSHHSSHIGKIIKSFLGHSIKFRESGSIHKTYHHAYNIIKTIESNPSNKTKKFVKDWSNNFLDIKGVIDL -FVESIGSQKYNNFILENITLDDDLINLLDKYLEINFIIELMVQGRARVKYTNYDRNWKVKLLETPSKELI -QINTTRFKGILNQEVLILSEEYVIYDKNILLMMKDVIIGRFNTLVITKFNTEGRYSKDLPDILKRIFILG -DQHVVDLGNSAFEDFTLLEPLCINRFDYLANKTRPNIKRYYNFEKYLIDKITDEDSRKQKFKHVLKMEID -NIDNPHDLTALFGSFRLFGHPVIEYEVGLLKVKEQVRMKKNIEPGYPKKLASDLMRIALTKHYNKNNTWN -IINNDHNREIIGSDKLFDKFRPNKTDLSIIGDRWDELEIEPIFEIPEDIEDSNIFSDKTHSLDLDDIIKF -KKRDSTNPIPTRRVLKTYLEKEKINARKFVQNVDKEGLPKKALVIGLKAKERELKRFGRFFTLMTWDLRL -YFVISEYLIKKDLVKMFDGLTMADSFVEVMNKMLSRTRGQAHNTYENITFANHIDYSKWNNHQRDEAVGP -VFSVMDKLYGLKNFFRRSHQFFKDSIIYYPERPEYFGQDSGDNPFYWEGQPGGFEGIRQKGWSVVGILCL -LRETRDRNTTTEFLVQGDNQVIFNHYNLQKHLNPTELQEELDRIIGNNSYIIDKIKTSSSNLGLLINDDE -TLQSANFSSYSKVPIYKGNILNLETKKYNRVNGLTNDQLPTSSNIMSSVNSTALSVCQYDPVCRNSAYWH -GVFGIFVMMLLGLWNPMGEFATDTLRFEVKHILRWLYLDQCLGGSTGMSLSRLLIRRFPDPITESLSFYR -YMAKHSQVSEVRKLFISFGFPRKNRVTDFSFNKLFEDPTALNIVKGGNILLIIKDQVKKSLIKMRTDIKN -EVLRKALDQSVEQERYLLNFLKTIKPCFPRFISDFKSSSICGYIDQIVGLVQNSRTIRNLFSGEFEKSIK -LKVRRWELLMWNSVFERNINNHQLWECSSSHADYLRQWTWEREIVGSTIPHPYEYHSKIIFDVEKHIEID -SKDLITCVVPRKYSRDINCLGHSKPYLGSNTKESTSVLQPWEKEITNPMYRKASALRRGINWLTNRDSLL -SKSIYNNLRYITNVDLSDELESLKKRRSGTAEHRYRSDRQSNGGFCNISPNILTWIIVTSNHMDDLSDTN -YDFMFQASMIYAETIGASLLYDMNVLYSFGMGISCSKCIREIKNIQLESQFVYNPESILKQFWLSNFIFT -EVKEADEKLDDLYTFTDDDYIRNIPYSVGFHQALGYFLSVEKYQDNRNISDLFPLGVSMKISPGEWITGL -IDGLVISASYSVLNNADFVKGRFPISIVGNRVHTLVTKLCDEPAFVPILNLNNVSVWITTSSRLSPPEYP -PSNKSIIRCFKTLFMFLYSLDKNNRFERLKFLYKSLKVFNDFDNDYFKIVLQIGFLTFVNLQSELPNTSR -VRNINNVRKHIEDLREESNNKELFRERFDRHFNYTVKIYILHKELRYVVENDYVKISDNLETQVYHDSDE -KGANWVDYDQLTDLTINVKKEDGKELPFISGLRVYRASTGAHYKMNLLLNELHLSPEFCIVGGDGSGGMS -ALILRKYINCKVIYNSLLEVNETNYRGSNPGTPQAIASLPQIYKDRCLNFEKNWLNPNDLTSIDCWKYLV -SKNIRGNSLIDLIILDMEARETDKYRAIYENLLTNISNLDPNGVAIVKSYTNIMADINDILLLFRNRGYS -IFITNSKYSSSYTTEVYLVITKRSVNLKSYKNYKPNITRLDFFNNSIADDIHEFKRGLEIDVRKMYTRIP -PPLRECYSVYYLEYLNELKVQIGIGESTIGLFLHGKYKEYIDKCLYYMIINVNLGLRELLSDHQLIKLIC -WYIALLLFIAYKTKNFTLYNDALFLNNNKLEIHMNRMSRLFCINQKMNIDSYHKNLQPIRDNTYINLMLR -VMIGMDLSMDKDHNKAGHWTTKMNSNLTEGLW - ->YP_009094372.1 RNA-dependent RNA polymerase L [Almpiwar virus] -MLFEEDDVFGADESIFSEYGDWDEEIYDDPNKGLYTLSSSDYNLDSPIIIDKIHNFYLFLTNQQYSQLFD -QGDFNLYESFLKKEKINIINIKNPLLCQNFIGDYLLKPVSSTGEWVKLLKKVISDTNSMKELNDSFLREL -GLRSLQDMEYISSSTINNYGSKFLCWYKIILGMNNINCKRGIFLNDVTSLTFTPNESHKKAGCLRHYFTG -KMQDLGQVIVTKDFVYLKDYHELISRDFALMIKDLLAGRIMCLLDLKIKGRNDSTLLKFFKEGDKLLRTY -RNDSYKIFKMIEPVCNNTLTKNGSELIPEFPKFTTFDTYINQETNQLIQEFPASKEFLETVKYAETMECI -DIYGCYRLFGHPIIDYFKGLQDLYDLTHSEKVIDEEFVQNLASDLAYMVLEKKFREDKKWYVDKKKIKPS -HPLYEYISQSAWPPSHEIQAFGDKWHKLPLIKCFDIPDFIDPSELYSDKSHSIGYSELVDHLIHKPNTPI -PTKRVLTSLLNNPDTNWTEFLSRVNEYGFELEELIIGLRAKERELKIKGRFFALMSWALREYFVITEWLI -KQHFLPLFEGLTMADDLNTVITKMINKTTGHDHSSCTELITICNHLDYEKWNNNQRGKSNDPVFKVMGQF -LGYPNLITRTHEVFEKSFIYFVNRPDMMVSNGTDIASKDKLVCWKGQLGGLEGLRQKGWTITSMLMLLRL -PKSSNTLVRTLAQGDNQIVVTTYRPKHSLNQMERNSIYLEIYRNNNNIMKEVRIGATRLGLHIKEEECMQ -SIGYLNYGKIIVLRGVIFPVITKRICRINSLSNDQLPTMANVLSTVGSNILTISHFHIDLRYIFTIYSFF -INFSRVIWELFDCIIGKPVPGIPSSPDNYLNYIISVAYQDPSIGGVCGLSLTRFLIRSFPDPVTESLTFW -KIIYDNTENKKLKGLCVSMGNPKLNSMRVGHFKKLLENPGSINLAGGMSPAILLKDAITSEMQKDKMNYR -NQIIVDSLKYYESEGPRLIDWLREQRPWYPKFQSEFYSSTFMGIVESHVGMFQNARTIRNCMKMKIEKRF -NEVIMKCESQNISYNTRKINTQRLDIWTCSAERADELRSMSWGQKIYGITIPHPAEMMGKTGMGGLNCEI -CISGPQPFISTLVIDGFPQDVRRGRFRSYLGSRTRESTSLTNPWEKETKIPIIKRAAHLRVSIGWFVSHE -SPIADMIYQNLKALTGEDWSVGTAGKFKRTGTAQHRYGCSRQSQGGYCAQNPVISSHMITTTDTLGEWAA -TNYDFMFQATILYCQLLTFTRYQHLTDGFSVHHHFKCLNCIREVDEVEIDCSNSIGLPDVSNVLKGWMTG -EKIFSENKITIEIPEANLDHWTQDQLNFQIGFTTGFLFGHSVDSRSIGYDESALFPLGMKKKINPVEYTE -GLVFGIMVSSAIHLVTIRPPINKGSTKTMLKGHCHTVITYLTDSEAFQAMCRGGPMLAYFESFQHKIPAS -YPLNNHDLGLILNNGLKTICNNQADMIYEFKTHKVSRVIIFPETNDSKLISSISLGVNLLSELCKQEIPS -QELKSRIKIIKELIINLSMNQIPRRWIYKNTSMSTVNQEIRHLLKERPYTYQVPGKGYKWGSEYVCKVDI -CYIEGSTEKSTLPDVQIPRWKNILMSAIRLGQIATGSHYKIRSVLTTLKLSIRDAICAGDGSGGIGALIL -RMYPLSRVVFNSLLDLTNSDLKGSKPSPPSAIDTMGPLKTRCVNLEDVWEYSSDLSQVSTWNYFVDLKTR -HHMSVNLMTLDMQVQTEDIQEKIDILFCAFAGRILEKKCTVIYKTYVHRLIKPTNIVNRAWEIFSSIAFA -RTELSSTLTSEIYIIFQNLSSRNKNYYPHWSNVTPWIMNSYCFKDPKSELKRARKFIGENLLIGIPKNLI -SNPEMDIAELLQILGVTNRVSLLISEMIEQFLYIRPSDTIHAAVALAGKFIFNALKSDKPPSNTTVENII -LIHIGFWTYLAYLTNDLELYKLTNAWTNIYGWIHFNPGTSWSFNKGRRCKTVRVDTRISIAQHLTRSLLK -MHNDSFINEDVDGAEHLTRAFCSRWSVQHIHLTSGSFEWKGPWSYPKYINYLTEDVKTNEACDVN - ->YP_009094346.1 RNA-dependent RNA polymerase [Scophthalmus maximus rhabdovirus] -MDGTEEIKDSVPLWMETDELESEEKQEWMEFINNVDYNLNSPLIRDEVDSFVKYLNTDNLESRFARFRQW -KIDKKCIKELLPSAPRWVGSSGSQRIWSYLCKSNTSARKYSHILLKQTQRESNEISGIQQAFFKGWIGKD -IGPVEKKHPVEVTKWLEIFLEFHIMVLMLNAKSKSERDNLCTTFGFALSEDRRSAGWDSQFLGPIIITGS -WILIVNRHTVMERNYALMIKDTCVGRFMTLSSMIGRFDEMFTDEHLRSVLHFYALGDEYVSLNGNEAYSG -IKLVEPMCNDRLAELAAEFRPLIPKFTNFTEHVRTAVEEAADPGGHLHMLHGLIKRETDVNLLLIYYGSF -RHWGHPYVEYAEGMEKLHRQVTMKKDVDDKMAQCLASDLAYMVLKKQFDSKRKWMVNKEALPDKHPFKEH -IADSAWPTPAQIEQFGDNWHKLPIIRCFEVPDMIDPSQIYSDKSHSMQRSEVIAHILEKPNEPIPTRKVL -ETFLNKPATNWPEFLQNINDNGLPWESLVIGLKPKERELKLVGRFFSLMSWELREYFVVTEYLIKKHFVP -LFKGLAMADDLTEVIKKMLDSAYGQGLDDYSAVCIANHIDYEKWNNHQRKESNRYVFRVMGQCLGYPNLI -ERTHEFFENSLVYLNMRPDEMVVDGRHVRPRGDMMSCWEGQAGGLEGLRQKGWSILNLLLIRRISKIRNT -KIKILAQGDNQVICTQYKLPSTRNEKETVDAIKGMVQNNNAIMESIEEGTKKLGLLINQDETVQCADYMN -YGKVPIFRGNIRGLETKRWSRVTCVTNDQLPTFANVMSSVSTNALTVSHFATSPIDPIRHFLYYGTFARI -LLELHNPAMRGRHVDIIRDRRFDPSQSEYKACCLYLDPSLGGISGTSLTRFLMRMFPDPVTESLTFWKIV -HDNTEVPWIRKLSVGAGNPRLSPGSSADLSKLIEDPTSLNIPKGISALTIMKEEVKKNLYRISHKLENHM -ARDAIDYSRDEEEQMMLFLSSIRPLFPRFLSEFKNATYLGITDSLIALFQNSRTIRNLFTSKYKREIDHK -ILKGEITSMVRLCTIERQRLGNDIWQCSASQADRLRSESWGSQVVGTTVPHPAELLGTPSLSSATCEGCL -GPNDRRGYITVSVPRGLAGYLDSRGPLMAYLGSKTSETTSLLQPWERETKIPLIKRAAKLRTPISWFVQP -DSNLAASIMGNLESLTGENWSNAIAGFKRTGSALHRFSCSRVSAGGYSGQSPAKLTRMVSTTDTFMAPTD -DNYDFMFQSLLIHSQITVGEIHDGVKENAVYHQHISCTGCLRKIDEPYLESEWVYNFQPVHTQLSQWRGG -QGGWSTASEPIVLQPADWTKMSKRLQSFHVGRSIGFMYGDLTCISSSQKDDSSLFPLSIQRKLIPEAFFE -GILEGLVRAASLDLINRRSVRKLSRPYVAILGTVGYLIGELSSSAGMRNLTRKGPLSSELTTVPHKVPPS -YPMTDLDLGALLRNYLQTKFRHNYDGGWQNYTSLDTRIVIFADMRSERIMGPLILSSMAMKHLFKSNITP -ADTEKLRLIANLSSALRDEDCPTPDLSSLSSKCYTVDSEVRHACKFFIGKQASGAKTLKWGQEVTGTIVQ -VKVMFSDKPSKASKLSEVAPRVQNPTISGLRQVQLATGSHYKIRSIIKEMGIKYKDFISGGDGSGGITAA -CLRLNQGSRCIFNSLLEYDQVTLKGSAPSPPSAVVAMGGMSERCVNLEYCWQTPSDLSQEATWDNFRKEK -DDHNLSLGLIVLDMEVRDHSTASRIAQLTRDYAYLLMAPHGTLIFKTYLTVLGTFEDNPLSILAPIFSDV -RLVQSQFSSSHTSEVYLVGRRSRGGVMIPASIQWDVLNLAVKKMACWRTDKEEFDRALSVSRLNHFKGIP -KTLIPDVGSDLTVLMQAAGLEGGVATMVSHLLTHSPSGLKGSDVMTILAVTANAVVNITRATLTRPGPPS -DQNCVSLASMITGSLIWISIWSGDFKLNQFANLLISTTFPLFWNTWEVKDKKGNKLWKEGWSVKKGLINK -SSRLDAKMANVGHWIRTWSRLNWVPEPTFNAQDLDHKLSYFNIGLTATAVERQTGLLDLLSCQGTLASAE -YHDVWSEVTPDMAWRD - ->YP_009094323.1 large protein [Culex tritaeniorhynchus rhabdovirus] -MADYHPEDFDDYLDGDEEPREIAFDDEFLLEDAAPMWERAEHIIQHDYSLNSPLIADELDNFVKYMRGEP -YEPIHQRASWSDRASFLRDHVGDIWNLGGTSSFHMWFPTLLNEELDLGEISDLISSVDRDAAATGEVVAA -FYKGWTKELWASTDRSGMNIETKRLGAAFLHLYRMTLFANASGKKEVETLLDTYKWTALDVSRGEVCTRI -EGWGWVGVGHGVCYIGFGRQLMDRNMLLMLKDVVGGRFQTLMHAQFKVDPPYPCSLYTSVSRVFKAGDKI -LRAHGSFGYRAIKRVEPLATERLHQVASSSRPRLPVLDNFKKYLDATSEEIETVIPEAADLRVEINNISD -PDTLITVYGAFRLWGHPFVNYMEGLKKLYENVTIPKVIDLATADILASNLALMKLREMFNKEKTWYVDAE -LLPIGHPLKETIERSAWPSAGLINEFGHHWHELPIKPCHSIPAFVPPPTVYSDRSFSVDLPEIISHIESG -LLSPIPTRSVLEAFISKPATNWKEFIEMVNESGFPPEALVIALRVKEREIKDIGRFFALMSWMVREYFVI -TEYLIKEFYLPLFSGLTMADDFNTVTDKMLKCSDGQGTNDYKTVTIANHLDYTKWNNHQRAEANNPVFKV -MGQFLGYPNLFTRSHEIFQKSLIYYKDRPDLMQVVEGRVVNKEGSFVCWNGQDGGLEGLRQKGWTIMNYL -AIDHFGRIRNTELSVLAQGDNQVLCTQYKIRESRTDAEEDANIADAFLNNQIIFDAVQAGTAKLGLIVNA -EETLQSASLLLYGKVIMYRGVFKGLDEKRLGRILCTTNDQLPNLGSIAATVVTNVLMMSHYGNSVKNPIT -QYNWLGNFVRNIIEVHNPAIKGPVSGCLDPRVEVDWLQYKCFFLFLDPSLGGVGGIALTRFLVRQFPDPI -TEGLSFWKVVYENTGSQDIKRICLRAGHPRMCIYETKHLEKLIENPTGLNLPKGISVVTVLRGRIRAALL -GKSALLGNEIVRDALDFIHDDKGNFLSYLETINPLFPRFLSEFHSATYMGLVESIINLFENARTIRTKFQ -RDLGSDLDGLIVKSEISSISLLLKVRHPGTCDIWSCSSIRADELRETSWGRPVVGATIPHPLEMCGDLHV -RSGVCDGCRAEGLRGDHITVQAPFAFPDPVDTRGPYNPYRGSMTAETTSLIQPWEKTTKVTLIRKALDMR -RMITWVVEPNSNLGRAIFDNITSITAEQASGVASGYKRTGSGIHRIRTSRQEAGGFNPISPNLPTWLTVT -TDSMDHLTQQNYDFMYQALLIFAQTTVIETHKKAASGQTYHLHVSCLECVRPIEDPSLETPLVYQFPAVS -DKLSKWKPADVPWFEVKELLEVEEGNWSAVGPNAKAYHIGRTLGFFFGDRFAHKWGKKDDLFPLVLRKHL -IPLPFLIGVSDGLLRASAISLLHRKSVGDGRGYKSALTGNYHTFTMALAADVSFQQIAYSGLMLDLISRG -PHKIPSNYPASHDDIASVIRAFLNHLFNRNTKFADSYHPAHSDLWIFSEFLAVDVAGPFILSTEIVSILL -RTPLSRAQKERLRSAARDDGQMRSGAYGAQCLTTIPGYDQTKLCPAEVRYACREIELAESVFDEPEDEEF -GEEWTGYPLAMAVDWTAKKETPSSLEIPHIRDPTISGLRLSQLATGSHLKLGSLSKIWTTRIRDVLVGGD -NSGGWTSRLLRMYPAGRAIFNSLACGEGIHTRGSKPSPPSAIVQMNRRIRDRCVNLDTCWEDPSDLRQRP -TWSNFCNLASSKSMSINGIFIDVQRLDIWGRQSILHNLLTIGVSLFTHDGLVVYKTHLLDLLDLQQNIIS -EFGRRFQRVYLATTDISGSGSGEVYIVAENPRTRPEGARQPNWNSVKTWMLKCPAFRSRQAEFDRARTLF -NLNTLAGVPERFQPEISQEITDILLAIGVDPSQCDMFRASFQRDPQHAIEGVLALIGGAVADALKLGHYS -GAKALIPSDPRLIKIMCFILGAATWVSLALGDYPLYNRIQEVNQKCARFRYQLLKRDDRPGLCCLKWSLT -ARLEGEKAVRLDSHLAFQAWVIRTLTRWSTSRAETVNWREVDRRLQMLHGTPGTRHLCEWTGIYDVFLDD -FSVDNDDCKGRWERIAIPELQDA - ->YP_009094143.1 RNA polymerase [Moussa virus] -MDNEDYIYDNYQDPYDWDAMFQEEDQMRGSTKKSIRLINNTDYNLNSPLIRDETDGYLRKIQGKTPIRGQ -GENQRTARAIKSVLTELGYNLLRLKDSQWHHKALPDMIYEQPGKLSLDEVLSVWGKSWDVATEPIKTTEL -TLTGTEVHGGSYTKSLRELKNPDNHRFSSKIEFEQFLNLHLIVCLMNSTSHTASKKIVTKMCVNSSFRIK -ENIGVTIMATLNQNIRWIITPKVCVNLTSGLILDKNFIMMMKDICLARFLSTVTIKNRSDHHNGLEAVSV -LRSLYLEGDNLVRLHGNKAYKCIKMVESECTERWNKLGNRHRPLIPLSTSLEEHLREQEMSLSREDGIWG -AEFLNIIRTQEDPWIIGQLYGAYRHWGHPYIEGLVGLKKLHDRVQKNLTIDKEFAEKLGSEMAFMVLEQR -FKKEKRWYCTSKGLEENSALKACIDQNIWPTHKVIRDFGDKWHTLELLPCFDLPDEIDSADMFSDKAHSL -NRDDIIQHLKDRPNSPIPTKRVIETLLNTDLPSVRDFLRDINENGLGLNDLVIGLKEKERELKEEGRFFS -LMGWKLRLYFVITEFLIKKFYVPMFKGLTMADDLNTVTKKLLKATEGQGRDDYDEIYIANSLDYDKWNNN -QRYESNEHVFRVMGKFMGLPEIFAMTHKFFQQSLVYYCSRPDLMKVEGNTLVNVNPETPVCWNGQQGGFE -GLRQKGWSVVNYLILRREIMTRNTSTMILAQGDNQIIIPKYKLVNKRNTLEMRKEINNVWMNNAHLMNRV -RESTQALGLTINKDEVVTSAELLIYGKIPIYRGKIIALESKRWSRVSSVTNDQIPSLSNSVSSATTSAIT -VCQHSDDPIETMYQYGFVGSMVLALTSWYSPILGPDPYSLQNMKGEDFSIFVWRMLYKDPSLGGVCGTNL -MRFLISRFPDPVCESLAWWKLIYHNTNSLLLKQLCLECGDPPIGNVNATTLSMLLEDPTSLNIPGTLSSN -TLIKDQIYIGLANRVADGEIKNRQVRESINYTSTYKEGFVSWLFSITPVFPRFISEFYTGTYFKITEGII -SIFQNSRTIRTVFSSEFDRKLQQVIYKSEESSVKLLKRRLTLRSLNSIWSCSSSLSDQLRKQSWGFPLIG -ATIPHPAEMVQETSCGACTGPHVVGKKTGAIKFSEWSRGPLMPYLGSKTSETTSVMQPWEKSIDISILRH -ACNMRRMIDWITETDDNVSKTIYNNIESLTGLNLKEEERSYSRTGSGQHRLRCSRVSNEGNPAVGFNNLM -YIAVTTDSLGEINGENYDFMYQSLLCWAGILATLPTNLLVGSDTTHFHIKCEQCLRRIEDEKVSAPTEYV -FTDVSEQIKRMIGNDIVVRTSRRHTTPAKINWELLKSDEKSWHLGRAQGFLWGLGMFADCLEEWEDILFP -LSITNRVSVSGYMKGLHRGFLLGACLPPVYSRYGTLDTKAQLRFVGAYWAIITSTLGQSKLPELINHKKF -NRFTAHYGSSVIKSYPARKEELVGVLRKWFLNQMVEDHHNVEFWKSRPVVTFAEMDSDFVLNMFRIAEKL -LPAYRRHQLGSRDLKAIKWGRKIIDLLNKQRQEKIEQHESKELDKLINGHLLPKCSLVDQEARKAASSIS -QDQCDDDYNVELFSTRGHEEGLGCDGVVVEYQPEGSVDYKRGVVGTLQVGKVRDPTIAGKRLIQLSTGAH -YKLKDLLCRINPKGDGMFIGDGSGGMGACYLRLYPERKVIFNSLFQMEGESMKGVAPQGPGAYTSCGENV -WSRCVNYSTCYQEHSDLSDNQTWETFLGLIERHKLRVGVICCDAEVFDNTITDKIEDNIRFYVEKIFRYG -KGIIIYKTYWERLLLVHSLAHDLGEIFETVEILMPDTQGSHTSEIYVVGHKLKRTKTTGRKIMTEMTMIQ -IHQLLKINKGNEQEFIRARSLNYETMCNGLELRVPFTDSVDVMEYLIGLGVKAGMALQVSNDLIDLSNNN -MHPIHLMYALVYVISRDTINIETGTKGTVSVPASSKLQRLIAGLFGIWFGISELLQDYNMHKLILKLYQE -AVSVAIYPIKRRKYTFTGWKIGTGGFTKVVDPGERAGVTQSMIRMINCLYRGRWVNRDVKSSDVSKLSLF -LRKLSKSVTPQLIEERTGIVTACDNEEVDDGQVTYLEERED - ->YP_009094277.1 RNA-dependent RNA polymerase [Yug Bogdanovac vesiculovirus] -MSHIDSDGTNWDPLLLDEREGVLKLSAEERSNALSQFDYNLNSPLIRDDLDNLLMRYMGHPVGGLWSQKD -WDGVLTLLYHRNAEPRAVSDMHKWFGEWIRSRDHPVDQGAEFLKRVDEESEITYDVVQAFLRGWAGVESS -IRRKSGEPMYMCESLCQKFLDLHKMVLYLNASTETERDALRRTLGLKHKKGFTSGKFISLGRVFFEKGFC -WLESQRFVMDRNFILMMKDVIVGRLQTVLAMIGHENPVWSDGNIKKFTQIYDIGDSILAELGNEAYDLIK -CIEPICNLRLSELAHSYRPLIPLFPRFHQHISDSVDSLAVRSTRIRALHRAIMDLQEVDIVLAVYGSFRH -WGHPFIDYTEGLRKLHEQVTMPKVIDHAYANALASDLARIVLEQEFNKKKKWSVNPASVSLNHPFRTHIL -ENTWPTPAQIQDFGDHWHELPLIQCFDIPDLIDPSIIYSDKSHSMNRAEVLNHVRKHPNKIIPTKKVLKT -MLEKPATNWLEFLDEIDRNGLGEDDLVIGLKGKERELKLIGRFFSLMSWKLREYFVITEHLIKTHFVPLF -HGLTMADDLTSVIKKMMDSSSGQGLTDYSAVCIANHIDYEKWNNHQRKESNGPVFRVMGQFLGFPNLISR -THEFFEKSLVYYNGRPDLMRVSGNSLTNTTNLRVCWEGQAGGLEGLRQKGWSILNLLVIQREAKIRNTSV -KVLAQGDNQVICTQYKTRNHRDSIELHSALQDIQSNNDAIMSAIERGTTKLGLVINQDETMQSADYLNYG -KIPIFRGTIRGLEFKRWSRVTCVTNDQLPTCANLMSSVSTNALTVAHFDTNPTNAMTQFNYFGNFARLLL -YMHDPAIKLSFHDQKFSLKGVFSYAFKIGMLYLDPSIGGVCGTALSRFLIRSFPDPVTESLAFWKLIYHN -TGKKELRVLAARFGNPRIAVFRLEHVDKLLEDPTSLNISMGMSPANLLKTEIKKNLIENKGRIKNQIVRD -SVFRVVKDDKHLTDFLWSIDPLFPRFLSEFKSGTFAGVASSIVSLFQNSRTVRNLFKEFMSKELDILVWR -SEISSLEHLVSYGIRRDTPAIWSCSASQADYLRTLSWKRKCLGTTVPHPLEMHGRGDIKSILNECCRTSS -MDYISVYCPKGLTDVLSGRGPFPAYLGSKTSESTSIIQPWEKESKVPLIRRATRLRDAIHWFIDPKSNLA -SAINDNLSSLTGEDWSQAAPGFKRTGSALHRFSTSRMSNGGFASQSPAALTRMVATTDTMIEFNQENYDF -MFQASLLYSQMSTSVMLRDTGISNTVHFHVKCRDCIRKIEEPWLETASAYKFDNMSTTLNEWRNGTGSWG -ERREQVPLQNGDWESITPAEKSYHVGRAVGFLYGELANQNSKHSDDSSIFPLSIQKKLRGHSFFKGMLDG -LMRASACQVIHRRSLAQIKRPANAVYGGLIYLIDKLSLSHPFVNFCREGQLREELRSIPHKIPASYPTSN -IDLGVNIRNYFRYHCARVSRGIYKIEVPQIWVFSDLMSLDFVGPFALSGKLVKVLYKPALSKKDRNSIRR -INNLSKLLRSNENLPDVYQNYIKERVKCCREEIRHACKFGIPPTLSGTDYKDWGDEDYGRCYPIRVEFSS -QTSTKKLTMPQRIQNPIVSGLRTAQLPTGAHYKLRSIIKHFVIRYSHFICGGDGSGGMTAALLRMNRQSL -GIFNSLLDYSASTMRGSAPDPPSALETLGGERHRCINGDTVWEAPSDLSETSTWQYFHALKSERRLSIDL -MVFDMEVQDPNTSALIEQRIRENLHTLLDPRGTLIYKTYGTMIARQEKGALETFGPLFQDVHLTQTEYSS -AETSEVYLVCRGLKGFVDAKEVDWEDLAYHWGKLRCFAGADSEYDRAKSISRRDGMVGVPKEFLPDPIAS -LGTLMEIAGVPSGVAHNLSIDLDMAPVSGLSKAIALCIMLSHHTVNTLREQPALPNPPSDGKVINLGSAL -CGIGLWVSLFYNDKRLYHTCLQCIRVCFPFRCRTLKLKNGKYRMEWGVREQLRINKDVRLCDKMAAIGQW -IRCLSRLRWQEGALEVSWVNAFLSGVSAGLTVIQTKNTTGVFDFLKGNISKEDHSRTLVTKETIDSRDWR -E - ->YP_009094267.1 RNA polymerase [Grass carp virus] -MFDWESQDVPTGLPDEDSYFPTSKLNVEERMHYLNNVDYNLNSPLISDDIEYLSLKHFGRSIPALWRAKN -WEIPLEMLKDVSKIRSWDQIHPWMGKWFDSGNKSPQGESFLRTVQAESEVTAEIPITFLKGWIGKDIKYP -VKQGHGAVHTLMQKVLDLHKLTLLINSVDSTETNKLCESFGLDPKFSRFNTHSLGVVRYCPGWIFIEDAS -VLLDRNFLLMMKDTLIGRLQTLLSMLGNYEMSEEQIDQHTATMLSLYSYGDQIISKSGNDGYSKIKLLEP -ICNLRLSELAHTYRPLVPDFPHFKDHVETSVRDEDTSDRLLSAIFQLVQETDDIQLILTIYGSFRHWGHP -FISYFEGLQKLHDQVTLPKQIDRAYAEALASDLAYTVLQRKFSEEKKWYVDPDGLPARHPLKEHIENGTW -PTAAQTQDFGDRWNQLPLTKCFEIPDLLDPSVIYSDKSHSMNRREVLDHVATTPNKPIPSKKVLETMLNN -PATDWPSFLKTVDEKGLPRDSLIIGLKGKERELKIAGRFFSLMSWQLREYFVITEYLIKTHYVPLFKGLT -MADDLTSVVKKMLDNTNGQGLDDYSSICIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPRLFERTHEF -FESSLIYYNGRPDLMDVRGDSLINTTDKLVCWEGQAGGLEGLRQKGWSVLNLLVINRESSIRNTVVKVLA -QGDNQVICTQYKTKNYKNEEELKMLLSAMVDNNQTIMDSIVTGTGKLGLIINNDETMQSADYLNYGKVPV -FRGILRGLETKRWSRVTCITNDQIPTLAGVMSSVSTNALTVAHFAASPINAILQYHYFANFCLLMIAMHN -PAIRSSMYTRMFRKCHIMSKEFRAVTLYLDPSLGGVCGISLARFLIRAFPDPVTEGLSFWKLIYHNCQSD -WLKKLAKRCGNPKLARFRPEHIPKIIEDPAALNISMGMSASNLLKTEVKGHLIRTADSIQNQIIREAAEY -LGQEEESLNEFLWDIEPFFPRFLSEFRSSTFVGVTDSLIGLFQNSKTIRGLFRSFYKRELDRLVVKSELS -SLEHLGSYRKETPDSIWECSSSQADLLREQSWGRSVIGMTVPHPLEMFGIGHLKESDCVPCQTSGLTYIS -SYCPKGINNWYCTVGSLAAYLGSKTSETTSILQPWEKDSKVPIIRRATKLRDSISWFVQPDSKLAKSIQQ -NLKALTGEDWAEDIQGFKRTGSALHRFTTSRISNGGFSAQSPAKLTRIMTTTDTMRDLGDQNYDFMFQAG -ILYSQMTTGEMREGSTNSTATHYHISCKSCLREIQEPMLESRVIYNPPSSSRIIRSWIPGTAGMMEESKS -MVLRDRDWEPLTRHEKSYHIGRCQGFLYGDLTYQKTGRSEESSIFPLSIQYKVEGGGFLKGFCDGIIRAS -AVQALHRRVSSIVSTADVIYGGALYLTNQVGDSPPFQNLCRAGPLREELERIPHKMTSSYPTSNSDMGYL -IRNYLKRSLKQLSRGRYETKEGPLWIFSDVRTKKFLGPFSLSSDALRCLYKNKLSKKDKNAVRNLSQLSS -RMRTGDLSDGEVGKIESRFSFTPAEMRHACKFTIGKSKNPVQPSEWSQEAHGSITSYPVFYSTSSVKKPD -WSFSRLQNPTISGLRVSQQATGAHYKLRSILKGLKIHYQDAIGCGDGSGGLSSCMLRENKHCRVIFNSLL -ELTGNTLRGSTPDPPSAINGIPHIKDRCVNLENVWEHPSDLSHPDTWKYFKDLKDQFNLDIDLIVMDMEV -QDIQISRKIEQNLRDSVASILSRQGTVVYKTYMTILAENDQSVLETVGVLFEDVQLCQTQYSSSQTSEVY -CVMRRLRQRVDSQFVDWQGLVRQGIESKIYCNQPLENEFQRALGIYQTDTLVGVPRELIPNLAVELETLL -EIGGLSGGILGKLVLDLEEGRLGFTMGLIVSCILISEAAINTTRLTTKREYPSSGSCQRMAVCLMGAAIL -LSIQHKSIENHKGVMRMLKTSMPIRITHSPGKEGKLKTKWSSVSRTGLAKDVRLMSNMAGVGAWIRVWSR -MKTFERKWEAREADHWLKLHNQRLSIASAGRNTGVITVLHGTGDRLDRSVPTISSAPRDSGSWVE - ->YP_009094206.1 RNA polymerase [Tench rhabdovirus] -MFDWESQDVSSGLPDEDFYFPTSKLSVEERMHYLNNVDYNLNSPLISDDIEYLTMKHFGRSIPALWKIKN -WELPLEMLKNVGRIRSWDQIHPWMGKWFDSEHKSPQGESFLRTVQAESELTAEIPITFLKGWIGKDITYP -VKKGHGAVHTLMQKVLDLHKLTLLINAVDSTETTRLCDSFGIDPKFSRFNTHSLGVVRYCPNWIFIEDAA -VLLDRNFLLMMKDTLIGRLQTLISMLGNYEMTEDQTYQHTDTMLSLYSYGDQIISKSGNEGYSKIKLLEP -ICNLRLSELAHTYRPLVPEFPHFKDHVETSVQEEDTTDGLLSSIFQLVKETDDIQLILTIYGSFRHWGHP -FISYFEGLKKLHSQVTLPKVIDREYAAALASDLAYTVLQRKFSEEKKWYVNADELPAKHPLKEHIENGTW -PTAAQIQDFGDRWNQLPLTKCFEIPDLLDPSVIYSDKSHSMNRREVIDHVTTTPNKPIPSKKVLETMLNN -PATDWPSFLKAVDEEGLPRDSLIIGLKGKERELKIAGRFFSLMSWQLREYFVITEYLIKTHYVPLFKGLT -MADDLTSVVKKMLDNTNGQGLDDYSSICIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPRLFERTHEF -FESSLIYYNGRPDLMDVRGDSLVNTTEKLVCWEGQAGGLEGLRQKGWSVLNLLVINRESSIRNTIVKVLA -QGDNQVICTQYKTKNYKNEEELKMLLSAMVDNNQTIMDSIVTGTGKLGLIINNDETMQSADYLNYGKMPV -FRGILRGLETKRWSRVTCITNDQIPTLAGVMSSVSTNALTVAHFAASPINAILQYHYFANFCLLMIAMHN -PAIRSSMYTRMFRKCHIMSKEFKAVTLYLDPSLGGVCGISLARFLIRAFPDPVTEGLSFWKLIYHHCQSD -WLRKLAKRCGNPKLARFRPEHIPKIIEDPAALNISMGMSASNLLKTEVKGHLIRTADSIQNQIIREAAEY -LGQEEESLNEFLWDIEPFFPRFLSEFRSSTFVGVTDSLIGLFQNSKTIRGLFRSFYKRELDRLVVKSELS -SLEHLGSYRRETPDTIWECSSSQADLLREKSWGRSVIGMTVPHPLEMFGTGHLKEADCTPCQTSGLTYIS -SYCPKGINNWYCTVGSLAAYLGSKTSETTSILQPWEKDSKVPIIKRATKLRDSISWFVQPDSKLAKSIQQ -NLKALTGEDWEEDIQGFKRTGSALHRFTTSRVSNGGFSAQSPAKLTRIMTTTDTMRDLGDQNYDFMFQAG -ILYSQMTTGEMREGSTNSTATHYHISCKSCLREIQEPMLESRVVYNPPSSSRIIRSWVPGTAGMMEESKS -MVLREVDWDPLTRHEKSYHIGRCQGFLYGDLTYQKTGRSEESSIFPLSIQYKVEGNGFLKGFCDGIIRAS -AVQALHRRISSIVSTADVIYGGALYLTNQVGDSPPFQNLCRAGPLREELERIPHKMTSSYPTSNSDMGYL -IRNYLKRSLKQLSRGRYETKEGTLWIFSDVRTKKFLGPFSLSTDALRCLYKSKLSKKDKNAVRNLSQLSS -RMRTGDLSDEEVGKIEARFSFTPAEMRHACKFTIGKSKSPNVMSEWGQEAYGSITSYPVFYSTSSTMRPD -WSFSRLQNPTISGLRVSQQATGAHYKLRSILKGMKIHYQDAIGCGDGSGGLSSCLMRENKHSRVIFNSLL -ELTGNTLRGSTPDPPSAINGIPQIRERCVNLDNVWEYPSDLSHPDTWKYFQDLKEQFNLEIDLIVMDMEV -QDVQISRKIEQNLRDSVASLLSKQGTVIYKTYMTILSENDQSVLETVGVLFEDVQLCQTQYSSSQTSEVY -CVMRRLRQRVDSKFVDWQSLVRQGIGSKIYCNQSLENEFNRALKIYQVDTLVGVPRELVPNLAVELETLL -EIGGLSGGILGKLVLDLEEGRLGFTMGLIVSCILISEAAINTTRLTLKKEYPSSGACQRMAVCLIGAAVL -LSVQHKSVENHKGVIRMLRASMPIRITHRPGKEGKIKARWSSISRTGLAKDVRLTSNMAGVGAWIRVWSR -MKQFERKWEAQEADHWLKLHNQNLSISNAGKNTGVMTILHGTGDRLDRSVPTISSAPRDSGSWVE - ->YP_009094178.1 RNA-dependent RNA-polymerase [Malpais Spring vesiculovirus] -MDTYENETWPDDSEEDFFSGKYTKENRIKGLNNADYNLNSPLIRDDLVYLIERFKGRPVPPLWKKKRWEE -TIRVMKEKQMEPVDPSCLHNWFAEWLMFDTHSSKQGSEFLASVDLESETTYVIVDAFLKGWINKEIKYQR -KCSKYLTTVHTYCQKFLDLHKITLILNSSTEVEFTELSKTLKSPKLVKDHEISLPSIGTAIILAPFILLP -DQNIILDRNFLLMMKDVIIGRMQTLLSLMNRVDGRFSESDIAYLIKIYRLGDKIIKHTGNDGYDLIKMIE -PICNLRLSDLARKYRPLIPEFPRFREHVETTVANLSGKCKFINDLFQEIDNSPNVDITLVVYGSFRHWGH -PFIDYFEGLNKLYNQVTMEKEIDEEYSEALASDLARIVLAKEFNEKKRWSVDYNLVPPDHPFKAHIRDNT -WPTPAAIQDFGDKWHLLPLIQCFDIPDLIDPSIIYSDKSHSMNRRDVINHIKKHPDKPIPSKKVLKTMID -QPATNWLEFLEEIDKNGLPLDDLVIGLKGKERELKIAGRFFSLMSWKLREYFVITEYLIKTHFVPLFHGL -TMADDMTAVIKKMLESSSGQGLLDYSAVCLANHIDYEKWNNHQRKLSNGPVFKVMGQFLGFPNLIYRTHE -FFEKSLIYYNGRPDLMRVKDDTVENAGTTQVCWNGQAGGLEGLRQKGWSILNLLVIQREAKIRNTAVKVL -AQGDNQVICTQYKTKQHRNEEELKMALNQMKANNDAIMNAIEQGTNKLGLLINQDETMQSADYLNYGKVP -IFRGVIRGLETKRWSRVTCVTNDQLPTCANLMSSVSTNALTVAHFDLNPINAMVQYNFFGNFVRLLLNMH -DPAIRSSLYNPKLNIPGLNSFAFKVGMLYLDPSIGGVCGTALSRFLIRSFPDPVTESLAFWKIVYQSTDN -PNLKKLALSFGNPKIALFRPSHVDKLLEDPTSLNIAMGMSPTNLLKTEIKKNLLRNRTTIRNQIVKDAVS -YIHSEDSPLRTFLWSINPLFPRFLSEFKSGTFMGVAASVVSLFQNSRTIRSHFRDYLSNEIDELITRSEI -TSLSHLGHYDTKIPPCKVWDCSASHADLLRKVSWGRPVLGTTIPHPLEMHGQGTIKTNVAECCNNNSLDY -ISVHCPKGLFSVLDSRGDLPAYLGSKTSESTSILQPWEKESKIPMIRRATRLRDAIHWFIEPDSNVAKSI -LNNIKSLTGEEWGESIGGFRRTGSALHRFTTSRMSHGGFSAQSPATLTRMMATTDTMRDYSVDNFDFMFQ -ASLLYSQMTSSVLLLNTTTSNTIHFHTRCKSCIRKIDEPWLDSPKIYQGKDVSRVVSGWRNGSGCWGEAI -TQLKPIKGDWDSLSPPEKSYHVGRTIGFLYGDLVGQSSNRSEDSSIFPLSIQNRIRGRGFLRGLLDGLIR -ASACQVIHRRSITNLIKPANAVYGGLIYLIDKISSSSSFLNLCREGPIREELGNIPHKIPTSYPTSTSDM -GIHVRNYLKYQCKSVELGKYKSDISDLWVFSDLLSVGFAGPFSLSTKILKTLYKPTLSHHDRTNIRKLSG -LSRMLRAQETWDPKVEEYLTSNLLICEEEVRHACKFGIPKAVIQLTEEKWGLEACGKIHSIRVEFRAQKT -EKNLPVCPRIQNPTISGLRLGQLPTGAHYKLRSILVEKRIRFRDCICAGDGSGGMTAACLRFSKGSRAIF -NSLLEFNGVNMKGSSPDPPSALETIERGLTRCVNSTSCWENPSDLSDIKTWTYFKELKKLHSLKIDLIVM -DMEVRDPKVSFRIEENVRQAIYGLLEPDGCLIYKTYGTIISEQASNPITLIGPLFESIELIQTEFSSSHT -SEVYMVCSKLKTHIDSPYPDWETLRYHWSTLLCFSDDIAEYKRARGVMAIDTLLGIPSRFLPDPEVNLET -LLQIANIPSGIAHQISSNIVHETTNGLTASFCVMSLISQYTIDTIKSRETRYHPPSDNKLSKMASALVGI -SIWISIKYSDVKLNKTCTDVIKRSFPIRWQQNSPHNRVQWSVEDGYCNVKDVRINDKMANIGNWIRALEL -LQFPQGALIKSDFNRFVKRFIRGLNFEGVVNSTGVMSFLKCKVSKDDKSLFYPINELIEDEQWRD - ->YP_009094167.1 L protein [Xiburema virus] -MDFFNDDCIDEAGFEQWEQIDDDLIYESDIGTEFYNINSKDYNLNSPIILDAYNDLRRAEKGHPTETVVG -RGSKEIDEIINMRKIHKAYREIPNGDTMYKRVYSSFLINKPPTDKPNTSWSQLLKHVCNVSEETKKICEA -FIRKMLPQYKETLLGHLNDDILQLGERFWLFHKMTLILNHSGPSELEELMKSMRIEPLITPSGIRITKLP -KTDYGDILIFENFVYFIGLDIIIPKSVTLMLKDIFISRFQNILSMIMDLDQNYTSRDILKLMSLYSVGDR -IIAQSGNKGFDVVKMVEPICNLQLYDLSRQRRPLIPEFTAYRGHIEKSVTTLKEINPDIEKLFHIIESAT -NVELVLNMYGAFRHWGHPYIEYEEGLEALYRQVTMEKSIDTDYSEALASDLAYKILKKQFFEKKCWFVDP -AKVPNNDKMKEHITKNTWPTYAQVLAYGDNWHKLPLTQCFEIPDLIDPSSIYSDKAHSLNRQELIDHLQI -NRKGVVKTKRVLNTMLNTPATDWKSFFQKVNDIGIPDDALIIGLKAKEREMKRVGRFFSLMSWELREYFV -STEYLIKKHFVPLFKGLTMADGLMTVTKKMLESSMGQGNPDYETVSIANHVDYEKWNNHQRRLSNQAVFR -VMGQFLGYPNLISRTHEFFEKSWIYYAGRCDRLWTDGNKIGSSGSGRYFWNGQAGGLEGLRQKGWSILNY -LVIEREAKMRNTVVKVLAQGDNQTITTTYKIQSTRTKEELKDALANICKNNQAILDGIIEGTKKLGLIIN -FDETVQAADYMNYGKVPIYQGVIRGLTGKRWSRANFVTNDQLPSFANVLSSISTNALTACHFSRSPLTSI -YLYNFIGCLGIEMLNYHNPAIRGSPYSAMRDAHLLQRKEFRAIALFLDPSLGGISGTNLNRFLIRMFPDP -VTESLTFWKKVYENTDQTWIKSLCVVCGNPELKDPEIADLDKLIEDPTGLNIRHGINVNNIIKEEIKKQL -IETSDQISNDIMRHCATYLETEEQQILAWIKSIEPLFPRFVSELYNATFLGTVRSMLGLFINSRTIRNTY -KKKYRSDLDKLIIKSEMIAISSMLYLVRSCDTGLNGMWICSSEHADLLRRRSWGTNIVGMTVPHPCEFID -DPVDKRFCLNDVGEGYKNNYITVMFPKGIPKTPNKGPYVPYLGSNTSEGTSIMTPWERETNIPMIQRATR -LRNAISWFVEPDSPLSESILGNLKSLTGLDWSEHIKGFKRTGSAIHRFSSSRVSAGGFAASSPWALTWLI -STTDTLSDLNESNYDFMFQSMLIWSQIRTVICHDGIQESGIQHTHIACDKCIRPIEEITLSTPYYYQFKD -VNPIIRKWIPGNITDSISEIPLIQLNEGDWEMLSTSEKGFQIGAGIGFVYSDMCLTNNWHMGDSSLYPIS -LRNKLSPSHFFRGLLIGMMRGASINLVNRRNLLKGIRSDQMLWGTVNYVIDSLSEQEQFITMINMSYLYK -ELTRYPHKVPCSYPPSLSDCGIIFRGYMRQLLLTVSRNKLEKSDETVWIFSDLQSPKIMYPLLLSVAAGR -IITKGCLNKTSKDQLRDIQERYIKVINDAHLSQGILEKYLPEMEVYLCSSEIRHAAKNITLDPRMIPMCA -LRWTQELACPILTYPVHYGFYNQRVYPVPKYQNPLISSMRLVQLSTGAHYKLRSILRGLNLTYRDFICGG -DGSGGMTSCLLRYNKFARGIFNSLLELEDHGMKGSRPPPPSAVLELGSDGERCLNLYSAWEESSNLANPS -TWVNFIKHKRDKDMDINLMVFDMEVRESGISNKIEENLLMYSPQLVNKGVIIYKTYCDRLLNQPSPILEQ -SSRIFHTVSLVTTEVTSSQSSEVYFVGYLDINQIQNRVEFVNKEKLYSTLKTGSPVFQTLEDEFKRALRL -KRYDFEMGIPMELKIHPQDELIGLFVNLGLQSGYAMHLLKDIKLYQDDSSTTFIMCLIVLTLNGMFALTD -RSYDKKPLPSDNMCKKSGVLWIGFLNWLSLVMEDFKFHKSAQDILDQGLYVSKRLHFKNRYLVQLGSGKG -SAKNGQTKNLYLDNMMSDIGSVTRGLIRGFRFKGGKVNKKMFNMIISNYNRGLLWEKFGQLIHLPQLTYI - ->YP_009094138.1 protein L [Berrimah virus] -MDSFDVIDTDDSLNTYWEENEVESEILDNLWDNEEEESMDLINNKDYNLNSPLIIDPLLELKNWVVDVRG -LSHELNNSFVSYEYEEFDIIKRVAKNFINPIHLREPEDAHKVFSRYLNQTIVTNDYWNLGETLSRLIEGI -REVGASFYRGLGLDETLIIGDIRRNWNDVPPLGKSWFLKFFELHRIICVMNARSGIELTNLQKKNQLRKI -KLPGNLEDKGHKCWIIEFDISGRWVIFDNYAYWENERIILNREFILMMKDVLISRFQTILSMTVCTDEYK -YTEENIETMMALYKEGDLLLENHGNKSYKGIKLLESICNLRLIKIVRKSRPRIPEFPNFENHIYSSINEL -RLERGIDLSRFSNVILKEESVDMVLAFYSSFRHFGHPWIDYLVGLDKLESQVNKDCQVDISYANLLASDL -AFKILRKNFLEKKCWSVDKTKLDKNQKLYNHISHNTWPTQQIIDEFGDHWHELPLTQCYEIPDMIDISQI -YSDKSHSLDRSEVLKIIKEQKHKRIPTKRVLQTLLEKPATNWPEFLKAINDHGLDWEKLVIGLKAKEREL -KEEGRFFSLMSYELRDYFVSTEYLIKKYFVPLFEGLTMADDLNTVIKKMLDVSSGQGTREYDYITIANNI -DYEKWNNYQRIESNGPVFTVMGKFLGLPNLFTRTHEFFQKSLIYYNQRPDLMMVRGNECLNKSGVKVCWE -GQKGGLEGLRQKGWSILNYLMIERESRIRNTKVKILAQGDNQTISMCYKTESWQNEEELESHIENMVSNN -NQIMSAIIQGTEKLGLKINLDETMTSADYINYGKVPIIEGTIKGLPTKRWSRVNFTSNDQLPSTSTVINS -SSTNALTVGHFSERPHDAVNGHLLFGSLGLLLLDYHNPAIRGQISDFIKEATISNKLYNILLLYLDPSLG -GIAGTSLTRFFIRGFPDGVTESLTFWKIVGESTNDDSIRRLSSAAGSPELSPFKPEDLDKLIEKPESLNI -RHGLSSSNMIKGEVKKNIIENCSKIKNEIIRDAARNLVSEENQLFLWLRTIDPLFPRFLSQFAESTYYGV -TKSLINLFTNSKTIRGIYKKKYKKELDQLMIKGEVRSIMGLIKIVNRSKIGGNSMWECSAHLADSLRKRS -WGKEVLGTTVPHPAEMFQCYRGGEDSCKFCRENGLGNNYLTVLMPKGMPQKCHYRGPYYPYLGSNTKEST -SILQPWEKETKVPVLRRACDLRKSINWFVDPESMLAKSIFNNLKALTGEDWEDQIKGYKRTGSSLHRFGC -SRVSSGGFSANSPSCFTWCIATTDTMCGLGEVNYDFMFQSTLVWCQMSSIIKERGKLQSIIHHYHIKCNK -CLREIREPVLESSWEYKPRNVSQILEKWRPKSMNMWGEEKIHVEIKDNDEEWEYLSKEDKSYEIGKTIGW -LVGDSLLSHKRNYEFKSLFPVSIRSKLDGFPFLEGILDGFKICGSLNLTHRRNYMILKKPKLALQGTVFF -LIDRSSFISEFTNFISHPSIYNSIKRLPHKIPTSYPMNLSDLGSILRSFLKQLYYRRKELILRKVSWVFS -DMRTNEVICSFGLSHLTYKILIHEGLNKEMKLKLQQCQDLYISIMNESNDELDKTRVKREMVECLKNLKF -VSSEIRHAVKFRYGESRTHEVSQYEDTIEDRVWGIEYSGEANYLDIWYLTSSSEENKNLVRDKRIPYHSN -PLISGLRVNQIATGAHYKLRTIVERSKLTYRDFLCGGDGSGGMTSCLLRIKPVSRGIFNSLLILDDKPLH -GTRPSPPTAIMELGNDSMRCVNCYDVWKEPSDLSRVETWRYFVKIKKQNSMMIDLIVLDMEVINNDMMEG -IYQNVKNHLIYLLEEGGCLILKTYLVYLLKSEMNILDMLGHLFSSVQLVNTNLSSTKTSEVYVLFKDYRN -KLVPCLQFDRKVLVENWEFFYINRKIEDEFGRAERLLNEELGKGIPIELQPNSLIELSQMLQNSGVDGVA -MSAISQEENLSFFSTEELAVICLIIISESHLTTTKKHKKNCNCAQERPSSDQEIKIWISGIVGIAMYLAL -LKGRIDSFTFLDDVINSERKNINVWFNQESELCWGIDLPGSKDNNKGLWRKRFSIRDKMAFMGNWIRLLH -RQKLKNNKEEYKEGRVNNFLKFLNKGLSLGKVKENYDARFKMLI - ->YP_009094125.1 RNA-dependent RNA polymerase protein [Pike fry sprivivirus] -MFDWESQDVPSGFPDEESYFPTSKLSVEERMHYLNNVDYNLNSPLISDDIEYLTMKHFGRSIPALWKAKN -WEIPLDMLKDVSKIRSWDQIHPWMGKWFNSEHKSPQGESFLRTVQAESELTAEIPITFLKGWIGKDIPYP -VKRGHGAVNTLMQKVLDLHKLTLLINSVDVTETKRLCESFGIDPKFSRFNTHSLGVVRYCPNWIFIEDAA -VLLDRNFLLMMKDTLIGRLQTLVSMLGNYEMTEDQTYQHTDTMLSLYSYGDQIISKSGNEGYSKIKLLEP -ICNLRLSELAHTYRPLVPEFPHFKDHVETSVREEDTTDGLLSSIFQLVKDTDDIQLILTIYGSFRHWGHP -FISYFEGLRKLHSQVTLPKIIDREYAAALASDLAYTVLQRKFSEEKKWYVDADELPDRHPLKEHIENGTW -PTAAQIQDFGDRWNQLPLTKCFEIPDLLDPSVIYSDKSHSMNRREVLDHVTAAPNKPIPSKKVLETMLNN -PATDWPSFLKSVDEEGLPRDSLIIGLKGKERELKIAGRFFSLMSWQLREYFVITEYLIKTHYVPLFKGLT -MADDLTSVVKKMLDNTNGQGLDDYSSICIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPRLFERTHEF -FESSLIYYNGRPDLMDVRGDSLINTTEKLVCWEGQAGGLEGLRQKGWSVLNLLVINRESSIRNTIVKVLA -QGDNQVICTQYKTKNYKNEEELKMLLSAMVDNNQTIMNSIVTGTGKLGLIINNDETMQSADYLNYGKMPV -FRGILRGLETKRWSRVTCITNDQIPTLAGVMSSVSTNALTVAHFAASPINAILQYHYFANFCLLMIAMHN -PAIRSSMYTRMFRKCHIMSKEFKAVTLYLDPSLGGVCGISLARFLIRAFPDPVTEGLSFWKLIYHNCQSD -WLKKLAKRCGNPKLAKFRPEHIPKIIEDPAALNISMGMSASNLLKTEVKGHLIRTADSIQNQIIREAAEY -LGQEEESLNEFLWDIEPFFPRFLSEFRSSTFVGVTDSLIGLFQNSKTIRGLFRSFYKRELDRLVVKSELS -SLEHLGSYRKETPDAIWDCSSLQADLLREKSWGRSVIGMTVPHPLEMFGTGHLKEEECIPCQTSGLTYIS -SYCPKGINNWYCTVGSLAAYLGSKTSETTSILQPWEKDSKVPIIKRATKLRDSISWFVQPDSKLAKSIQQ -NLKALTGEDWEEDIQGFKRTGSALHRFTTSRISNGGFSAQSPAKLTRIMTTTDTMRDLGDQNYDFMFQAG -ILYSQMTTGEMREGSVNSTATHYHISCKSCLREIQEPMLESRVIYNPPSSSRIIRSWVPGTAGMMEESKS -MVLREVDWDPLTRHEKSYHIGRCQGFLYGDLTYQKTGRSEESSIFPLSIQYKVEGSGFLKGFCDGIIRAS -AVQALHRRISSIVSTADVIYGGALYLTNQVGDSPPFQNLCRAGPLREELERIPHKMTSSYPTSNSDMGYL -IRNYLKRSLKQLSRGRYETKEGTLWIFSDVRTKKFLGPFSLSTDALRCLYKSKLSKKDKNAVRNLSQLSS -RMRTGDLSDEEIGKIEARFSFTPAEMRHACKFTIGRSSVPNIMSNWGPEAYGSITSYPVFYSTGPTTKPD -WSFSRLQNPTVSGLRVSQQATGAHYKLRSILKGLKIHYQDAIGCGDGSGGLSSCLLRENKHCKVIFNSLL -ELTGNTLRGSTPDPPSAINGIPQIRGRCVNLDNVWEYPSDLSHPDTWKYFKDLKEQFNLDIDLIVMDMEV -QDVTISRKIEQNLRDSVASLLSKQGTVIYKTYMTILSENDQSVLETVGVLFEDVQLCQTQYSSSQTSEVY -CVMRRLRQRVDSKFVDWQSLVRQGIGSKIYCNQSLENEFNRALRIYQVDTLVGVPKELVPNLAVELETLL -EIGGLSGGILGKLVLDLEEGRLGFTMGLIVSCILISEAAINTTRLALKKEYPSSGSCQRLAVCLVGAAVL -LSVQHKSVENHKGVIRMLRTSVPIRITHQSGKEGKIKARWSSVSRTGLAKDVRLTSNMAGVGAWIRVWSR -MKHFERKWEAQEADHWLKLHNQSLSISHAGRHTGVMTILHGAGDRLDRSVPTISSAPRDSGSWVE - ->YP_009094101.1 L polymerase protein [Vesicular stomatitis Alagoas virus] -MDVHDFELEDNIELTEEDYASREFLSNEEQMTYLNHADYNLNSPLISDDIDYLIKRYNAEQVPGMWSSKS -WDCVLEMMRSCQAHPISSSSMHKWMGGWIMSENHDASQGFGFLNEVDRESELTFEVVETFIRGWSGKEVA -HKRKDKCSETYRIVSFLCQKFLDLHKLTLILNAVSLDELDHLLKNFKGKKRLSTLGSPIARLRVPSLGPV -FLVKGWLYVKKLEMIWDRNFLLMIKDVIIGRMQTLLSMIEREDDMFHEEDILSLLRIYRIGDSILVKQGN -QSYDLIKTVEPICNLKLMKLARVFRPMIPDFPHFERHIRTTVRNLANIDSAITFLFDEIMNIKTVDLTLV -VYGSFRHWGHPFIDYYEGLKKLHHQVTMPKTIDKGYAAALASDLARIVLQQQFSENKKWFVDKSAIPKKH -PFYNHITENTWPTAAQIQDFGDHWHELPLTKCFEIPDLIDPSIIYSDKSHSMNRSDVLRHVRNSPNTPIP -SRKVLQTMLETKATNWGEFLSSIDKYGLELEDLVIGLKGKERELKLAGRFFSLMSWKLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMMDTSSGQGLDNYDSICIANHIDYEKWNNHQRKESNGPVFRVMGQFLG -YPNLIERTHEFFEKSLIYYNGRPDLMRVNGNTLENRTPLNVCWQGQAGGLEGLRQKGWSILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKARNDAELLGALQQMVSNNESIMLAIKQGTEKLGLLINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAKDPINAMVQYNYFG -TFARLLLMMHDPAIRRSLYEVQLTIPGLHSLTFKYAMLYLDPSIGGVSGMSLSRFLIRAFPDPVSESLAF -WRFIYCHSKSELLKEISSVFGNPDIAKFRLSHVDKLMEDPTSLNIAMGMSPANLLKTEVKKCLLESRQDI -KNQIIKDATIYLHHEEEKLRSFLWSINPLFPRFLSEFKSGTFLGVADGLISLFQNSRTIRNSFRRKYHKE -LDDLIIKSEVSSLMHLGKMHLKRGSCKMWGCSSQHADSLRYKSWGRTVIGTTIPHPLEMLGSYHKKEAPC -LECNTSGFTYVSVHCPRGITSVFQSRGPLPAYLGSRTSESTSILQPWEKESKVPIIRRATRLRDAISWFV -DPDSNLSQTILKNITALTGEEWSKKQIGFKRTGSALHRFSTSRMSHGGFAAQSTAALTRMMATTDTMRDL -GDQNYDFLFQATLLYAQMTTTVARNNVAFSCTDHYHITCKSCLRTISEITLDTPMVYDPPDVSHVLKSWR -NGEGSWGQEVKQLYPREGIWSDLSPAEQSYQVGRCIGFLFGDLTYRKSTHADDSSLFPLSIQNRIRGRGF -LKGLLDGLMRASCCQVIHRRSLAQLKRPANAVYGGVIFLIERISASAPFLSLTRTGPIRLELETVPHKIP -TSYPTSNRDMGVIVRNYFKYQCRLIEKGQYKSHYPQIWLFSDVLSIDFMGPLSISSSLLSLLYKPSLSSK -DKNELRELANLSSYLRSGEGWDDVHIKFFSKDTLLCSEEIRHACKFGIYKEVFSTSSYPTWGSEAFGAIS -SQPVFYSTRESRKCLDTPPRVQNPLLSGLRLGQLPTGAHYKLRSILRQLHVNYRDFLSCGDGSGGMTASL -LRENRHSRGIFNSLLDLSGTVMRGASPEPPSALETLGSERVRCINGSTCWEHPSDLSDPRTWKYFSKLKR -DVGLTIDLITMDMEVRDPSITKLIEMNLKSHLYELLEQEGTLIYKTYGTYITEFDDNILTMIGPFFQQVD -LVQTEFSSSQTSEIYFVGRRLKRHVDAPWVDWSFLHDTWRTQYAFRSSVQEFVRASKFLKKDTLSGIPSQ -FIPDPFVNLETMLQIFGVPTGVSHLIALKASDHPTELVTLAIFYMITVSYYNINHIRIYPEKPNPPSDGM -AQNVGGAIVGLSLWVSLMEKDVQLYERSLKSIHTSFPIRWMSVPVPNGYQQIWDTYGRGVSKDCRLSDSL -AAIGNWIRAMELVRNKTSQSAFDQHLMNSLLKLIDHHLSWRELKKTGIKHWLVGRIASLDKSIITIKSDI -MDENSWRD - ->YP_009094027.1 L protein [Kolente virus] -MEEDDFFFSESSDDFETPTDFESSDDGEFQDLRFHFQHLNQNDYSLNSPLVADDLIGYIHHRLNKYYPKP -FEQIDWKRRDALFNELDVNFDNVKLPHQLHSWWAKISSSEEYPLTRVRNFLETVRAESDITFPVIEAFYK -GWVNKRVENTASQGRRVPKSSLKWGELFLMAHDIVLLMNSNSETERKELKDILTYKSVKAGNETVGYKLK -TLLGPCYIVGKVCFFSDHKVLIDRLFLLMMKDTWIARFNTLLGMVYRTEFQFSDMDVEYMALLYKKGDSV -LMRLGNTAYDTIKILEPICNYKYCEFAHVFRPLIPEFPNFERHVKQSIDELSQECWESQQFCKHLLDVPH -IELLTVVYGSFRHWGHPFLEYLVGLQALHEQVTVPKVIDHAYANKLGSDLAYIVLRKKFVEDKKWYVDHT -KLTKKHPLRQYIKDNVWPTPKVIEDFGDNWNNLPLIKCFDIPDVIDPSLLYSDKSHSRTRSEVINFLQLH -PGEVIPTEKVLNTLLHTPGTNWPEFLEKVNNEGLPANDLIIGLKGKEREVKIKGRFFSLMSWRLREYFVV -TEYLIKEHFVPLFNGLTMADDMTTVISKLMDRTQGQGGEDYTQICIANHIDYEKWNNHQRKDATGPVFKV -MGQFLGLPNLIYRTHEFFEQSWIYYNGRPDLMAVVDGRLINSGTNIVCWDGQAGGLEGLRQKGWTVVGLL -MIIREARVRNTAVKILAQGDNQVICTQYKLRPSESELDLRVNLQSIWENNNAIMAAINQGTNKLGLIINE -DETMQSADYLNYGKIPVFRGRILNLFTKRLSRIMCVTNDQILSYGNIMSTVSTNCLTIAHFDSSPLDGIM -YYNFFGNMTRLMIERHNPVLGAPISRFLKDIKGNKIYKIKSLYLDPSLGGACGTSLARFLCRSFPDPVTE -GLSFWKVVHLHASTPELRKIAIDCGYPHIREANNSLDFAKLLEKPNSLNIPKNMSLTNLLKTEIKKSLIE -GVSEIKNETIRDAVVYLNSQEKLLMDFLWSIEPLFPKFLSEFRAATFVGVTDGLVGLFQNSRTIRAAFSK -RLTRDINQLTWECELGTYRHLCRGIKLHVGIWSCSSHHADRLRYSSWGRKVVGTTIPHPLEMFGRLKLFT -GSCSQCSGQTPDYITCIAPQGLNLWRLKKGPYTAYLGSRTSESTSILQPWEREHNVSLIKRAVKLRNAIH -WFVNIESKLGTSILSVLEGLTGLRWDQECSGFRRTGSALHRFSCSRMSSGGYAACNPSKLSWIIVSTDTF -EIIGGDNYDFMFQPSILYGQLSMIESWETKMDSAVGHLHLSCNLCLRKITEPILDSLIEYKHPDVSKILE -KWKPAHTAWFKIKPKYDLRSVSVSDLSPNEISYQAGRVGGFLIGNMILGDQLYLEETSLFPLSIQMKVTG -QAYLEGVLNGILRSACINTIHRRNLIHIKQLKSTLMGSVLHCINGLSENKGFLALIRKGPVLTELVRSPH -KVPPTFPVTDRDVGLMVRSWLKKQAFILDKFDHVEEKYKQLLIFSDMVGGEVIGPYLLSTLVLKVLFQTE -RKGKQLEAQVKIYRELSTALRQQADIKTIPTELFRGGVVCSEEVRHACKNLQSAEDEGESKLRDWGPEYV -GDIYEVEIHFSDQSDINSDPTSVPSVPKIQSPLISGLRLAQLATGAHYKLRAIITRMGISYTDFLCGGDG -SGGMSACLSRLNVRSRYIYNSLMEYVGASLRGSSPGLPPAILDCTRDPSRCVNGETAWMEPSDLSKPDTW -KNFKRHISNHGLHIDLMVFDMEIRSKATQGEIEMLLETNGMDLLSPKGTLIYKCYGSDLAEHLDCNIIGR -LGKYFQRVYLVTTSMSASFTSELYAVFLRKRIRTDKNKFPQRASLINGIQKSWAMSTYQSEMDRAVTLVP -SLTLRGVPYELIPNKRVQLETMLTAMGVESGLCYSISQRMERALTFHEYSDVLSSLIIAINSVINVTSEH -QSDWIIPSDGALIHALVTILGAGYWIAWINRDARLYRYLDEFFLHAPVIYLRKWEHEKTLRKMVTWKTTG -PGHVIKRLPLSSNVAGVASWIRVLAVDPVGKVNGYFSGRLCQEFNKKLCYKIVRKRTPIFDIWSSSDRDI -SHHPTNLLDTVDETESTWQS - ->YP_009094022.1 RNA-dependent RNA polymerase [Fikirini rhabdovirus] -MEDHEDSFDDLTDDLDFLSDLDHEEEIDFKELRYHFQHLNQNDYSLNSPLVQDDLIGYIQKRQNKSYPKP -FEQSDWKRRDLFFTFLKVNFDYVKHPSQLHSWWASVSLSNTYPTDRIKMFLDTVKSESDVTFPVVEAFYK -GWVNRVVKNPMTEGRKIPYSCYKWGELFLMAHDIILLMNANSVQERRELKKTITYRNIKDGDNILGFKMS -THLGPCYIVGKVCYFMDHKVLIDRLFLLMMKDTWIGRFNTLLGMLYRTECSYRDVDIEYLSDLYRLGDNV -LLRCGNEAYNTIKLLEPICNHLFCEFAHIYRPLMPAFPNFERHVMESIEDVSTRSWESRLFCQRILEIPH -LDLLTVVYGSFRHWGHPFLDYLVGLKALHEQVTVKKEIDEEYAGKLGSDLAYIVLRKKFVEDKKWYVDYQ -KMDPHHPLRQFIKDNVWPTPKVIEDFGDRWHTLPLLQCFDIPDVIDPSLLYSDKSHSRDRSEVISFLQSH -PGEVIPTEKVLNTLLKTKGTNWPEFLHQVNETGLPEDSLIIGLKGKEREVKVKGRFFSLMSWKLREYFVV -TEYLIKEHFVPLFNGLTMADDMTTVISKLMDRTQGQGGEDYTQVCIANHIDYEKWNNHQRKRATGPVFIV -MGQFLGFPKLIYRTHEFFESSWVYYNARPDLMVIRDGRLENLGPEIVCWNGQDGGLEGLRQKGWTVVGLL -MIRREARVRNTAVKILAQGDNQVICSQYKLKPYVNELDLRTNLRAIWENNNSIMAAIVKGTNKLGLIINE -DETMQSADYLNYGKIPVFRGRILNLFTKRLSRIMCVTNDQILSYGNIMSTVSTNCLTIAHFDSCPDDGIF -YYNFFGTMTRLMIERHNPVLGSSVGKYLKDLNSCTAYKIKSLFLDPSLGGACGTSLARFLCRAFPDPVTE -GLSFWKVVHLNTYSSTVRKVAVDCGYPKIKEANHSLDFAKLLEKPNSLNIPKNMSLTNLLKTEIKKSLVN -GVSDILNETIRDAVIYLSAHEKLLMDFLWSVDPLFPKFLSEFRSSTFIGVTDSLVGLFQNARTIRTTFSK -KLTRDINQLTWECELGTYRYLSRGIKLHVAIWSCSSHHADRLRQSSWGRKVVGTTVPHPLEMFGKLNIFH -GHCYLCDGSTPDYLTCLAPQGLNNWKLRKGPYTAYLGSRTAESTSILQPWEREHNVSLIKRAVKLRNAIH -WFVDLDSNLGRSILSVLEGLTGLRWDQECSGFKRTGSALHRFSCSRMSSGGYAACNPSKLSWIIISTDTF -EVIGGENYDFMFQPSILYGQMSMINCWNNQMDSAVGHLHLTCRLCLRKIQEPTLESMMPYKHPDVSRILD -RWKPAHTLWFKIKPKFDLRTVSVAEMSPHEVSYQAGRVGGFLIGNMILGDQLYLEETALFPLSIQHKVVG -QAYLDGVLNGLLRAASINTIHRRNLVHIKQLKSTLMGSVLHCINGLSENKGFLALIRKGPIMLELINSPH -KVPPTFPITDRDMGLMVRSWLKKHAFMLDRFDHLTEKYKRVVVFSDMVGGEVIGPYLLSSLVLKALFLTD -RKGKHLERLIKVYRELSTSLRLNADLKDIPNTLLGGGVVCCEEVRHACKNMRASTTPSNPAIRDWGPEYV -GILEYREIVFRDESDVQSDPTSLPEIPKLQSPLISGLRVAQLATGAHYKLRTIIARLGITYSDFLCGGDG -SGGMSACLSRLNSRSRYIYNSLVEYTGTSLRGSSPGIPPAIRDCTKEPGRCVNGESAWMEPSDLSQVETW -ANFSRLADHHQLDIDLMVFDMEIRSKKTQERIEELIETHGLDILKHRGSIIYKCYGSDLALDLKNNILSR -LGRYFNRVLLVNTDLSASFTSELYAVFLKKRIKSDKNKYPSRASLIDAIKICWALGSHKQELQRARSLVP -SKLMMGIPPELVQTRYVQLEAMFASMGVESGLCYSLSQRTDQALTIGNSAEVLSCLILAINSIINITSEH -LDNWPIPSDGALCNALVIVLGAGYWIAWNNGDCRLYAHLDSFYSKPPTVHLRKWEHEKTQRKMVTWKLIG -PSHVQKRLPLSSSLAGIAAWIRVLATDQLPPIKDYYAGRLCAEFNRKLSYKVVQKRTPIFDVWACSARDI -SSLPENLLEDVHNVETTWQS - ->YP_009094017.1 polymerase [Long Island tick rhabdovirus] -MDLQFPVCPDGEAHQEYDLVPDEDTPRPVRQRTVVGTDYNLNSPLLDWRVQSLITALVVGQHASGNSSFA -NELRALRSLMSEENVSPRKLLPSGDHHRRLPELIYSSRRWVKINLGPRITELWEGSREVLLAMERSVLGQ -VIHDPNRLVLDILCHQAQVDKKTRQEIKTFLNLHYLICMMNARKPKFASQLARHIFRECNLDLSGPTFKY -AIKDKNGQTQVVGTRHHVVFLQSGVLVDKNFVLMLKDISLARLMAMCSVVGRDPEKPGNYCANKLRLLYN -EGDKLLANHGNLAYKAIKLLESECVNQWSRLGHEHRPLIPESTGLADHLDSTAEELRASYGIEVIPFCSV -VRRERDPWVVAQMYGAYRHWGHPYIDSLKGLKKLRERVFKELEIDEEFAEQLGSEMAFLVLQDRFQKERR -WYCTADGLPPDSPLRRCIEEGVWPTSKVINDFGDNWHKLNLLPCFEVPEEIDPADLFSDKAHSLPRSKIL -DHVATKPRIPIPGVRVIETLITTDVPPVKTFLQNVNDHGLSPEDLVIGLKPKERELKDEGRFFSLMGWNL -RLYFVITEYLIKKLFVPLFKGLTMADDLNTVTKKMIAATEGQGLCDYSRVYIANSLDYEKWNNNQRYESN -QHVFRVMGKFLGLPEIFSLTHKFFQSSLVYYCDRPDLMRVTENSLENVNEETPVCWEGQAGGFEGLRQKG -WSIVNYLILRREIMMRNTGTLILAQGDNQIIIPKYKIVNKVNDEGLVTELKNVWDNNSNLMDRIRRSTHA -LGLTINKDEVVTSAELLVYGKVPIYRGVVLPLETKRWARVSSVTNDQLPSLATAVSSTVTSALAVCLHSD -DPVQTMWHYGLIGCFVVALNTTFNPLIGVDPFKWESLPTRTKKEVGIRTLYKDPSVGGVCGSNLFRFLLG -RFPDPVCESLSWWRLIYYNTTDDCVQDIALECGHPQLGKVGPETWSRLLEDPTSLNIPSTLSSDTLIKEQ -VYEGLCQKANDGTIKNRRLRESVLYNDAHKATFVHWLFTITPTFPRFLSEFYTATYFRLTEGIISTFQNS -RTIRSVFSTTFTEKVEKVIKKSEKSSIRLLITPKTSATHPKIWDCSASHADNLRCSSWGRKVEGSTIPHP -GEMLVEKACDGCTGPHVVAKKTGMDFYGTWVRGPLMPYLGSKTSENTSVLQPWEKNIEIPLLRQACQLRR -TIDWLMEPDDKLATSIYNNIKSMTGLDLKDETYQALRTGCGRHRLRSARVSNEGTPSCGYPPLMYVAVTT -DSLGDLNKDNHDFMYQSVICWAGVLATLKGNKYLMRDTTHFHIKDPKCLRVISEEKLTVPTEYKFPDVSE -SVKRMLSVELVVKTSTRHTDPLPVVWSDVPDTDKSWHLGRAQGFLWALSVFDGSTDELKDVLFPISITSR -VCVWDYMHGLHRGLMLGSVFPPLFARYGSLDTKAALRFQGAYWNSINEALEKSKLPELLWNKRFAQFSAH -YGASVIKSYPARRDELVSTLRQWLISRIQEDFRDETVGVPHSVVVFAEMDSDYVINMFRVAEKTLGVFLK -VRLGTKDLHDISYARVLVQMLMAQKHEKITDSDNRKLQQEVRGKNLPSISLVGSEARRAAADIEIAVSGP -EHTPLVLPLRECGISTDVVPVEYIPRDNDWAGYSFAETLPTERIRNPMAAGARLVQLSTGAHYKFRDLLC -HITLSGDGIFCGDGSGGMGACYLRMFPHRRVIFNSLLSLEGDSLKGMAPPGPGAYSASGQDVVARCINYD -TCYQEPSDLRDQTTWENIITQVKRSKLRIGVVCCDADAWSPTSVRQIESGFLYGCERLLRPGRGTAIFKT -FWYHILNPESIIHRMGALFEHVWVCCPFTQGSNTSEAYLVGQKLKKTETTHKCLVTEQTLLRVYKTLKAS -RTYEQEFRRATMMSFELMTAGMESRAPFSDSITIMEFFISLGVKSGLALEMSNQVLDLAWDLVHPEAMLR -LLSFLLTRDTVDIETRVEGHLLIPSSTQLQRSVAVIYGLWFGTSLVTRDEKWYSVPVRLYQNPTRVYFEG -HQRGNFTYMRWGFGHGKFTKVVDQGERVGVTQSLIRLMQALYRGRWSGRDPSASDTKRVNAVMANYSRLM -TCKKVDAATPITLRLARPDPLVNHILELPEE - ->YP_009091830.1 RNA-depedent RNA polymerase [Maraba virus] -MDVNDFELHEDFALSEDDFVTSEFLNPEDQMTYLNHADYNLNSPLISDDIDFLIKKYNHEQIPKMWDVKN -WEGVLEMLTACQASPILSSTMHKWVGKWLMSDDHDASQGFSFLHEVDKEADLTFEVVETFIRGWGGRELQ -YKRKDTFPDSFRVAASLCQKFLDLHKLTLIMNSVSEVELTNLAKNFKGKNRKAKSGNLITRLRVPSLGPA -FVTQGWVYMKKLEMIMDRNFLLMLKDVIIGRMQTILSMISRDDNLFSESDIFTVLKIYRIGDKILERQGT -KGYDLIKMIEPICNLKMMNLARKYRPLIPTFPHFEKHIADSVKEGSKIDKGIEFIYDHIMSIPGVDLTLV -IYGSFRHWGHPFINYYEGLEKLHKQVTMPKTIDREYAECLASDLARIVLQQQFNEHKKWFVDVDKVPQSH -PFKSHMKENTWPTAAQVQDYGDRWHQLPLIKCFEIPDLLDPSIIYSDKSHSMNRSEVLRHVRLTPHVPIP -SRKVLQTMLETKATDWKEFLKKIDEEGLEDDDLVIGLKGKERELKIAGRFFSLMSWKLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMMDTSSGQGLDNYESICIANHIDYEKWNNHQRKESNGPVFKVMGQFLG -YPRLIERTHEFFEKSLIYYNGRPDLMRVRGNSLVNASSLNVCWEGQAGGLEGLRQKGWSILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKSRNDIELKAALTQMVSNNEMIMSAIKSGTEKLGLLINDDETMQS -ADYLNYGKVPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAENPVNAIIQYNYFG -TFARLLLMMHDPALRISLYEVQSKIPGLHSLTFKYSMLYLDPSIGGVSGMSLSRFLIRSFPDPVTESLAF -WKFIHSHARSDSLKEICAVFGNPEIARFRLTHVDKLVEDPTSLNIAMGMSPANLLKTEVKKCLLESRQSI -KNQIVRDATIYLHHEEDKLRSFLWSITPLFPRFLSEFKSGTFIGVADGLISLFQNSRTIRNSFKKRYHRE -LDDLIIKSEVSSLMHLGKLHLRRGSVRMWTCSSTQADLLRFRSWGRSVIGTTVPHPLEMLGQHFKKETPC -SACNISGLDYVSVHCPNGIHDVFESRGPLPAYLGSKTSESTSILQPWERESKVPLIKRATRLRDAISWFV -SPDSNLASTILKNINALTGEEWSKKQHGFKRTGSALHRFSTSRMSHGGFASQSTAALTRLMATTDTMRDL -GEQNYDFLFQATLLYAQITTTVVRNGSFHSCTDHYHITCKSCLRAIDEITLDSAMEYSPPDVSSVLQSWR -NGEGSWGHEVKQIYPVEGDWRGLSPVEQSYQVGRCIGFLFGDLAYRKSSHADDSSMFPLSIQNKVRGRGF -LKGLMDGLMRASCCQVIHRRSLAHLKRPANAVYGGLIYLIDKLSASAPFLSLTRHGPLREELETVPHKIP -TSYPTSNRDMGVIVRNYFKYQCRLVEKGRYKTHYPQLWLFSDVLSIDFLGPLSISSTLLGILYKQTLSSR -DKNELRELANLSSLLRSGEGWEDIHVKFFSKDTLLCPEEIRHACKFGIAKESAVLSYYPPWSQESYGGIT -SIPVYFSTRKYPKILDVPPRVQNPLVSGLRLGQLPTGAHYKIRSIVKNKNLRYRDFLSCGDGSGGMTAAL -LRENRQSRGIFNSLLELAGSLMRGASPEPPSALETLGQERSRCVNGSTCWEYSSDLSQKETWDYFLRLKR -GLGLTVDLITMDMEVRDPNTSLMIEKNLKVYLHQILEPTGVLIYKTYGTHIATQTDNILTIIGPFFETVD -LVQSEYSSSQTSEVYFVGRGLRSHVDEPWVDWPSLMDNWRSIYAFHDPTTEFIRAKKVCEIDSLIGIPAQ -FIPDPFVNLETMLQIVGVPTGVSHAAALLSSQYPNQLVTTSIFYMTLVSYYNVNHIRRSPKPFSPPSDGV -SQNIGSAIVGLSFWVSLMENDLGLYKQALGAIKTSFPIRWSSVQTKDGFTQEWRTKGNGIPKDCRLSDSL -AQIGNWIRAMELVRNKTRQSGFSETLFDQFCGLADHHLKWRKLGNRTGIIDWLNNRISSIDKSILVTKSD -LHDENSWRE - ->YP_009047085.1 L polymerase protein [Vesicular stomatitis New Jersey virus] -MDFDLIEDSDNWGDDESDFFLRDILSQEDQMSYLNTADYNLNSPLISDDIVYIVKRMNHEDVPPIWRSKE -WDSPLDMLRGCQAQPMSHQEMHNWFGTWIQNIQHDSAQGFTFLKEVDKESEMTYDLVSTFLKGWVGKDYP -FKPKNKEIDSMALVGPLCQKFLDLHKITLILNAVSLGETKELLTTFKGKYRMSCENIPIARLRLPSLGPV -FMCKGWTYIHKERVLMDRNFLLMCKDVIIGRMQTFLSMIGRSDNKFSPDQIYTLANVYRIGDKILEQCGN -RAYDLIKMIEPICNLKMMELARAHRPKIPKFPHFEEHVKGSVRELTQRSNRIQTLYDLIMSMKDVDLVLV -VYGSFRHWGHPFIDYFEGLEKLHTQVNMEKHIDKEYPQQLASDLARLVLNKQFSESKKWFVDPSKMSPKH -PFYEHVINKTWPTAAKIQDFGDNWHKLPLIQCFEIPDLIDPSVIYSDKSHSMNKKEVIQHVRSKPNVPIP -SRKVLQTMLTNRATNWKAFLKDIDENGMDDDDLIIGLKGKERELKIAGRFFSLMSWRLREYFVITEYLIK -TYYVPLFKGLTMADDLTSVIKKMMDSSSGQGLDDYSSVCLANHIDYEKWNNHQRKESNGPIFRVMGQFLG -YPSLIERTHEFFEKSLIYYNGRPDLMTVRNGTLCNSTKHRVCWNGQKGGLEGLRQKGWSIVNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKTRSELELRAVLHQMAGNNNKIMEEIKRGTEKLGLIINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANLMSSVSTNALTVAHFAENPINAMIQYNYFG -TFARLLLFMHDPAIRQSLYNVQEKIPGLHTRTFKYAMLYLDPSIGGVCGMALSRFLIRAFPDPVTESLSF -WKFIYEHASEPHLKKMAVMFGDPPIAKFRIEHINKLLEDPTSLNISMGMSPANLLKSEVKKCLIESRSSI -KNEIIKDATIYMHQEEEKLRGFLWSIKPLFPRFLSEFKAGTFLGVSEGLINLFQNSRTIRNSFKKRYHKD -LDELIIKSEISSLSHLGSMHYRLGDNQIWSCSASRADVLRYKSWTRKVVGTTVPHPLEMHGPPSKKEKPC -HLCNSSGLTYISVHCPKGITDVFNRRGPLPAYLGSNTSESTSILQPWEKESKIPIIKRATRLRDAISWFI -PPESPLSTCILNNIRALTGEDWSSKQHGFKRTGSALHRFSTSRMSNGGFASQSPATLTRMIATTDTMRDF -GTKNYDFMFQASLLYGQMTTSISRYGNPGSCTDHYHIRCKGCIREIEEVELNTSLEYKTPDVYHILEKWR -NNTGSWGHQIKQLKPAEGNWESLSPVEQSYQVARCIGFLYGELTHKKSRQADDSSLFPLSIQLKVRGRGF -LRGLLDGLMRSSCCQVIHRRSVSTLKRPANAVYGGLIYLIDKLSASSPFLSLVRTGPIRQELEQVPHKMS -TSYPTNIRDLGSIVRNYFKYQCRPVERGHYKTYYNQIWLFSDVLSTEFIGPMAISSSLLKLLYRPSLTKK -DREELRELAALSSNLRSGEDWDDLHIKFFSNDLLFCSQEIRHACKFGIKKDNEDITFYPNWGTEYIGNVI -DIPVFYRAQNVQKDIKVPPRIQNPLMSGLRLGQLPTGAHYKMRTIVSRLKISYHDFLACGDGSGGMTAAL -LRHNRTSRGIFNSLLDLSDTMLRGSSPEPPSALETLGGERVRCVNGDSCWEHPSDLSDENTWKYFLHLKK -GCGMSINLITMDMEVQDPAISYRIESLVRQYVPVLLESDGCLIYKTYGTYIATQKDNSLTLVGSLFHSVQ -LVQTDLSSSNTSELYLVCKRLKDYVDTPFVDWIELYDHWEKQYAFKSFKDEFNRARSLTPETTLIGIPPQ -FIPDPGVNLETLFQIAGVPTGVAHGITHHILQSKDKLISNAIGSMCVISHFIINTIRTTDSMPGPPSDGD -VNKMCSALIGTCFWLSWMESDLNLYKTCLRSIMKSMPVRWFRVLKNEKWLQKWDCKGDAVPKDSRLGDSL -ANIGNWIRAWELIRDGNKSEPFDSMVAETLTKSVDKSLSWRKISKSTGIPRLLNSDVDLVDQSILNVQID -IVENQAWQN - ->YP_008767243.1 polymerase L [American bat vesiculovirus TFFN-2013] -MDLIEENDXWGLPPESDFSLEGVYSEDDRISFINTYDYNLNSPLISDDVDYLIARHHGYNVPQIWQKKDL -SCPLSWMEEAKKDWHKSAEHHKWFGSWIKNENHEVTQGISFLNRVESESILTHEIVESFLWAWCKKEVKY -VSKGQGWGIKRCYALAQKFLDLHKVILQMNASSLTEVQNLQLLLNTVEVRGGHKFQLHSLGTVVVSKNFL -FLLHSSQVFDRNFVLMMKDVVVGRLQTTLAMINRVDSKFSPDSIQILYNVYNLGDRALASIGSRAYDSIK -MIEPICNLRLTELAHEYRPLIPPFPKFGEHVKKTIQDLTQECVEISDIFEIISCIKDVELVLLIYGSFRH -WGHPYIDYFAGLEKLHQQVTIPKEIDTKYADALASDLAKMVLQQMFREKKRWFVSLEHLDKKHPFYNHVI -QNSWPTMAQILDFGDKWHELPLIKCFDIPDLIDPSVIYADKSHSMNRSEVIRHILYKSDTPIPSRKVMKT -MLETPATNWVEFLESIDKEGLDHEDLIIGLKAKERELKTVGRFFSLMSWKLREYFVITEYLIKTHFVPLF -HGLTMADDLTAVMKKMMESSSGQGASDYDAIAIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPNLIYR -THEFFENSLIYYNNRPDLMRVVNNTVENSSDQRVCWNGQAGGLEGLRQKGWSILNLLVIARESQIRNTSV -KTLAQGDNQVICTQYKTKNYRNENELVCLLEQIKLNNQAIMDAIETGTTKLGLIINQDETMQSADYLNYG -KVPIFRGVIRGLECKRWSRVTCVTNDQLPTCANLMSSVSTNALTVAHFDQDPVNAIVQYNYFGNFTRLLM -EMHNPAMRSPLSRTHIQYDNLAFKVGVLYLDPSIGGVCGTALTRFLIRSFPDPVSESLTFWKMIYPFVRT -PQLKQLCVSFGNPKIAKFRPDHIEKLLEDPTSLNMAMGVSPINLIKTQIKNNLIQNRNSIENRIVRDAVT -HIHQDDEDMRNFLWSIEPLFPRFLSEFKSGSFMGVATSVVSLFQNSRTIRNIFKNRMGRELDGIISNSEK -TSLEHLASYNRESNESMWSCSATHADYLRVQSWGRPVLGTTVPHPAEMHGSGEIKTPYSTCCNSSKFDYI -SVHCPKGLSNTLNERGPLPAYLGSKTSESTSILQPWERETKIPVIRRATRLRDAIHWFIEPDSNLAKSII -NNIESLTGEIWSVSLEGFKRTGSALHRFTTSRVSHGGFSAQSPAKLTRMLSTTDTMRDLGDVNFDFMYQA -SLLYSQISTSVILDGSKYSGVVHFHIKCNECLREIVEPTLDTIKEYRPVRVDHILSAWKNSGSSWGDPVV -HLKIRKGDWTRLNTFQKSYHIGRAIGFLYGDLVNQKSRRSEDSSIFPLSLQHHLNGAGFMRGILNGLVRA -SCCQVIHRHNIHTIKRPANSIFGGLIFLIDQISDSTPFQNLCRAGPIREALNGIPHKVPASYPTSNSDLG -ISIRNYLKYQCKRIERGQYKDDFPDIWVFSDTMSVNFAGPIALSSSIMSCLYKPALKKQDKDNLRVLSNL -STMMRSGEIWGEAESALLQNNLLACSEEIRHAGKGIIIPDSPVDPPLKWGREYIGTVVSTEVSLSIKTEE -NKLKRPPRVSDPLISGLRAAQLPTGGHYKIRTLIKGFGIHYQDFLCGGDGSGGMTAALLRISPKSKCIFN -SLLDYNQSNMRGSSPDPPSALETAPNAAPRCVNSNTCWEDQSDLTLNETWTTFNRYKEKHNLKLNLLVLD -MESVSEDATNTIAKMVRAHMNILLERDSYVIFKTYGTIISGKQENPLSILAPLFERTYLAQTTVSSSHTS -ELYIVGKYFRKSSINLSVIWGDLEVWWENIYAFRGYHSEFERAILLSKANTQEGVPLSLLQDPWISLETL -LQIAGVPGGVSHNICMELKNHSFVFLEVTYILCSIVSLFTINHIRVFSKRPGPPSDGAVTRMASALTGIF -FSLALRYSDLRLYTLLYKMNNIAFPIRIRVTKVNKGYKLSWSCHGESDFAKDCRLNAQQANIGQWIRTLH -RCNIPRGLPDYNKVNKTLRQVNEKLQVKSMIDLTGIKDVFERKIDPEDKSVPFAACEVNKVDNWCD - ->YP_008686607.1 large protein [Eel virus European X] -MYDEDHSRGYESDDHYDLPEWLEEDIQSGNPLNQKDYSLNSPLIVDLTEALVKYLNQGNIERRFLRHQDK -FENIQSEIRNIAWRNPSSSNHRWWGKWAQQTEKSPEFVRLLSDVNQDIEETSDVLMSFLKGWIQDTTSVP -TKLNWTSTQLSYGSKFFFMHKLILFMNAQSDEERVILQGHIKVSETKKTGIYKGSHPSLGNFVLTSEFLL -LERHRVILDRSFLLMVKDTLVGRFQTLASFMNREDKKYPEDVIEKVETLYSLGDQLLEDLGDEAYSGIKL -LEPACNLRLAELAREFRPLIPEFPHFRNHVETAIAEESVFSPGITEFFNHVNKETNVEIILAYFSSFRHW -GHPYIDYFQGLIKLNKQVTLEKDIDTEYANALASDLAYMILRGHFNTKRVWAVDKSLVSKQHPLSEHIFN -ATWPTPKQIDDFGDHWHELPLIKIYDIPDLIDPSVIYSDKSHSMGREEVLKHVQRNPTQAIPTKKVLETL -LQKPATNWPEFLSSIEKDGLPKDSLIIGLKGKERELKKAGRFFSLMSWELREYFVITEYLIKTHYVPLFK -GLTMADDMTEVVKKMLERSQGQGEDDYEHVSIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPSLIEKT -HDFFEQSLIYYNGRPDLMQTDGDELQNRTEALVCWNGQKGGLEGLRQKGWSILNLLVIKRESKIRNTKVQ -TLAQGDNQVVCTQYRIMPTRSTLELQAELEKVKKNNQVIMDAIETGTNKLGLLINNDETIQSADFLTYGK -VPIFRGNIRCLETKRWSRVTCVTNDQLPSLSNVMSSVSTNSLTVSHFDVSPLESMRQYLFFGNFARRLVE -FHNPAMRVPISLEDLDSKQKSVYLNAVLFLDPSLGGVSGMSLSRFLTRMFPDPITEGLSFWKIVYEHTTS -KDTQLLCRIAGSPELARRQNNLDKLIENPTALNLSKETSALSVIKKEVRSRLYKDCDKFKNKLIADAIGI -ARDEEAHLELFLMSIRPLFPRFLAEFKAATFVGITESLISLFQNSKTIRNIFRKKYAKELELRVVQCEYR -SINLMLSLADRSHLDEMWTCSASRADELRTLSWGTTIIGTTVPHPLEMINHAHIGQKCDSLETLDYINVT -VVQDLTDCLTSKGKLPAYLGSKTSETTSILQPWEKETKIPVIRRAAKLRAAITWFVEPDSLLAQSILNNI -ESLTGEDWSASISGFKRTGSALHRFTSARVSAGGFSAQSPARLTRMMATTDTFREIGSDNYDFMFQSLLL -FAQMTTGEIYKRSPATNFHFHLSCHQCLRKIEEPTLNSDFAYNPIQRSDILDKWKPQTTDWSSERKAPEI -EEGNWDRLTHQEQSFQVGKSIGFLFGDLTMTKNSHAQDSSIFPLSIQYKITAAEFLEGILDGIVKASALS -TIHRRNFDHHSKYKSTVSGTVDYLIELISESAGFTNLTRNGPLKACLLTIPHKIPPSYPLSQSDLGAMSR -NYLRLLHRRMSTGTYKTRWPTNWIFSDMMSPNIIYPFVISVSCVGLAYSSSWTKKSADKLRGLRGVAELI -RSSDDVQLPVGKLFKTVNQEIRHAIKHHASDDAEIPESHIQEGWKKELIVNINMQPIDYSRTATVKSLDR -PAQIRDPLISGLRTAQLATGSHYKLRSILVQNRIQVTDALCGGDGSGGIGACVLRQYPFAKLIYNSLFEI -QDLDMRGSAPGPPSAIAAMGNMSMRCVNRDSAWKNPSDLSHTSTWEYFQSLMTQHQLRCNLWTFDMEVRS -HDISDAIEEQIVANLHLLPKNGTIIYKTYLTKLSDMETTILDRLGGFFKRVSLVSTDATSSHSSEVYALF -QNKLDKRQLEIHPNWSSCNLGSDIHPCWKSEDEEFERARRFFHMKRQQGVPTRLRPTLDSEIQVLSVSAG -VENGIAMTLAMDVSNQVSDPTTGAFLWLLVTLQHICPIGPSFKIPSSSAVESYLAILIGFSSIYQLQTGN -NKAYASIKTCLSQSAPFFCNPDGWGCVKGLDKSMRMDRKLALVGSVIRAWSKWNLTQSINFHKLDGMMRH -YLPKGTLRQIGCKTGIWDYINGAVKGVRSAANQDSPKESAAAWRD - ->YP_008686601.1 RNA-dependent RNA polymerase [Drosophila obscura sigmavirus 10A] -MKKITEITVTFKMNDYDDVENYRDGDFLSPEDCLEQEDEEEFSQYMIKPTMEHLSNVDYSLNSPLIADET -DEFMKWCLKPGKNLRWNVKLWSNRKMGLGPTFKSNNLVPTGDFHKQWALFNQSKVKQPTLFRTLFSSAVN -NANKTKEIPISFFRGWLKEELNWNYKDNIPQSIMKWGDLFWELHVITLILNSVTRSEVLELQQKFKCKDY -VQTDKVYSQELKTINFGTIVVSWGFVWFKDLRWFMDRNTLLMMKDTYVGRFHTLLAMFHRCDDVYTEEDR -STMSLVYGIGDKIIGLHGILGYKVIKLVEPLCNLRLCEIARSYRPAIPEFPAFRRHISITLNKLRLKDGV -SGRELAEMITKIKSVNLLFAIFSSFRHWGHPFINYRVGLAKLFKQVNVPKEIDHDYVQLLASDLAKKVLE -TKFQEKQIWFVDKEKVPKNHILYNYIQQGTWPEKPAIEKMEGKWHLLPLTKVFEIPDLIDPSVIYSDKSH -SMDRNEIVEWMRLHPGQKIPSKKVLSTMLNKPATNWPVFLQRIEDEGLSKNSLVIGLQAKEREIKDEGRF -FSLMSWELREYFVVTEYLIKEHFVPLFHGLTMADDLTTVMKKMLDNTIGQGGKDYTQICIANHFDYEKWN -NHQRKESNGPIFRVMGQFLGYPSLIERTHEFFEKSWIYYKDRADCLEVKGDRIYSTEGQFCWNGQLGGLE -GLRQKGWSILNLLVINRESKIRNTMVKCLAQGDNQVIFTSYKIEQYRTNEERNFNIRAIVQNNNEIIDSI -SNGTKKLGLLINHDETIQSADYMIYGKVPVFRGVFQSLETKRWSRVTCVTNDQLPTLASIMSSVVSNALT -VAHSSHSPKNPIFHYFLLGNLAYELIGIHDPALRGSIARQTKNPEHVRSTTFRNLLLYLDPSMGGVSGVS -LTRFLIRMFPDPITESLSFLKIVHDNTKNIAIKTLMRSAGFPRLAIPTLENFSRLLEDPSSLNIKHGAHP -NSLIRNLIRDTMFEGSWDIKNDIIRDAVHHTKVEDPHLNKFLYYITPAFPRFLAEYRASTYVGIVDSLIG -LFENSKTIRNQFKKSLGKSIDLVIIKSELASVNSLIILSQNLDPPNIWHCSTYYADKLRRISWGKEIYGA -TIPHPIEALGYVSMNPSQCIGCTKSYPENLFVALMLNEGLHDYTTSAGPYKAYLGSKTSETTSIMQPWER -EGKIPVIKRAAKLRDAIGWFIDPTDYLAESILQNLQALTGEDWSGSQVRGRKTGSALHRYGSSRQSSGGY -AAQNPAKLTRMILTTNPMASLGEINHNIMFQTFLIYAQATIGELHDHKETRVTAHIHLTCKDCIQPIGEI -QLTSHYKYRHPDVHNKLARWKPDGSAWSDTRKKIEVRPGDWNALNHMEKSWAIGLAEAFVFIDLELSKNR -HAEDSSIFPLSLSGKLNPREYCMGLIEGVLRATMLYIVTRSTLLKRNLDPEILWGSAFVSYKALSRNIGL -INLWRGSEFERLFRRVPHRISPQYPATNDDIGHLGLTYLMALAPAYLATRTHRLKDVGVWIFSELNTPIA -IARLGLAGRMIEELRSSYQKRKEVIRSCRMTLSLIQGPEDSWSTDDLMSLLTSFRFTDQEIRHSLRGITR -YDPVLFPIPKFRLKQDEWGEEICGSVKSILIEYHKGPYNHLDITIPRIQNPLISGLRTFQCATGSHYKVR -SILKYYNIKPVDALVGGDGSGGLGSLVLRTYKMCNIIFNSLLDLTGVDLRGSDPGPPSAINAFEDLKERC -VNLQTCWQNPSDLSRRETWDYFRSLIKNHKMSINLIILDMEVKSSSMIRKIEQQLANFCVTLSEVTIIFK -TFAAELLGAPNVLTTVGRHFSNVYLTTTQFTSSQSSEVYVVMQGLYKTINNPHTPILTLQTFQKIRLPAL -QSIDSELIRAYSLSGLDMMKGVPRQYFPDTAGSVQLLLDRLGLKANYVNRVTKILSEQKKNNSTLASLAL -MILMVHGLMDTISGYDTQMNPPSNGTVENIGSVIIGHMLWFGWAWKHGPLIKKALFMIEKCFPFTWILKS -ERYSTKMYHYNRCSLAGHKGVQKYLHLDHKMALIGQIIRIFDSTFYLGGIMYDSTVLNSHLRQVVRHLTV -EKFNENTTILGLYSDGIDLKKSVQASKGFTVTAVIDNSKGDRAWIE - ->YP_007641386.1 large polymerase protein [Isfahan virus] -MDEYSEEKWGDSDEESFGTGKYSDESRIRGLNSVDYNLNSPLIQDDLYYLMERVRGRPVPPIWKAKNWTE -TIHLVQESRLDYLPTQKLHSWYAEWLMEESHDSSQGLAFLKEVDKDSLETYEVVMSFLRGWCGGAPAYKK -KEGRHIAKIGSLCQKFLDLHRVILIMNASTQMELSNLAETFQASSVSKKIITTPSMGKMEMSGQFALAYQ -QKVILDRNFLLMMKDVVIGRMQTLLSMVSRTDDKFSDGDISYLIKIYQLGDKIIQSLGNDGYELIKTIEP -MCNLRLSDLAREYRPLIPEFPHFRQHIEGTVSELRKKTALIVDMFKMIDRTPGVDITLVIYGSFRHWGHP -FIDYFAGLTKLNSQVTMGKQIDDEYVACLASDLARIVLTKEFNEKKRWSVNYNLVPQDHPFHEHIRDNTW -PTPAVIQDFGDKWHELPLTQCFEIPDLIDPSIIYSDKSHSMNRQDVLNHVKRKPDQPIPSRKVLQTMIDT -PATNWLEFLEEIDKNGLSDDDLVIGLKGKERELKIAGRFFSLMSWKLREYFVITEYLIKTHFVPLFHGLT -MADDMTAVIKKMLESSSGQGLKDYSAVCIANHIDYEKWNNHQRKRSNEPIFKVMGQFLGFPNLISRTHEF -FEKSLIYYNGRPDLMKVQDGRLVNTTKQLVCWEGQAGGLEGLRQKGWSILNLLVIQRESKIRNTAVKVLA -QGDNQVICTQYKTKQHRNETELRSALTQMKLNNDAVMKAIESGTNKLGLLINQDETMQSADYLNYGKVPI -FRGVIRGLETKRWSRVTCVTNDQLPTCANLMSSVSTNALTVAHFDVTPLNAMIQYNYFGNFSRLLLNMHD -PAVRCSLFQLSQKHKIDLFSFEFKVGVLYLDPSIGGVCGTALSRFLIRGFPDPVTESISFWKVIYNNTQD -NRLKKLCTAFGNPKIAQFRYSHIEKLLEDPTSLNISMGMSAANLLKSEIKKNLLRKRRTIGNSIVRDAVT -YIHSEDEKIRSYLWSINPLFPRFLSEFKSGTFMGVASSVVSLFQNSRTIRNVFKDYMSSAIDELITKSEV -NSLEHLCKYKGVRNFDQVWKCSASQADYLRRLSWGRKVLGTTIPHPLEMLGAGTIKNNSSTCCEHSGQDY -ISVFCPKGISNVLIERGPMAAYLGSKTSESTSILQPWEKESKIPIIKRATRLRDAIHWFVEPSSNLAKSI -LQNITALTGEEWGSSLEGFKRTGSALHRFTTSRMSHGGFCAQSPAALTRMMATTDTMSDYAKDNYDFMFQ -ACLLFSQITTSVLLLETTISNTVHFHTRCINCVRKIEEPWLESPSVLQSKDVSNVLASWRNGGGSWGEQL -HQLKPLKGDWEILTPAEKSYHVGRTLGFLFGDLTGQSSIRADDSSLFPLSIQKRLRGRGFLRGVLDGLVR -ASACQVIHRRSLTQLKRPANAVYGGLIFLIDKISASSTFINLCRDGPIREELSSIPHKIPTSYPTSNADL -GLHIRNYFKFQCKSVELGKYQSDLEDLWLFSDLLSSGFAGPYALSSKVLKSLYKPSLSRRDRNNIRKLGA -LSRLLRSHENWSELHKEFLTSQLLLCQEEVRHACKFGIPKNVSAKSSMVWGKEAVSYVLDIPVEFTSQKQ -TKHLNACPRIQDPTISGLRLGQLPTGAHYKIRTILNAYNIKCRDVLCGGDGSGGMTAACLRYYSNSRAIF -NSILEFDGSSMKGSSPDPPSALETVDQGMVRCVNATTCWENPSDLSQERTWDYFLHLKKSFNMKIDLIIL -DMEVRDFQISKLIEGNLRLKISKLLEKNGTLIYKTYGTIICSETSNVLTTLGPLFHSVYIVQTGYSSSFT -SEVYVLFSKQKSFVDSPYVDWGSLQYNWEKLACFRNPRQEFKRALRIRSSRSLMGIPSSFLPDPLVNLET -LLQISGVPSGVSHQLVTDVKSSGASGLSSAIGLLGLISHFTLDVTKLYVQEYRPPSDNRLIKMASAITGI -SYWISIAYHDQQLNQALTSVIKKSFPIRWGLINHRLHWSVSDRFHRSKDVRLSDCLAGIGNWIRGMELMK -LPAGMFSHKEVNMILSKYIRGLNYHTISSRTGILEILKSQFSIIDRSLMTITTDNIQSSDWTD - ->YP_007641381.1 large protein [Chandipura virus] -MDLNPVDDAAELSEENFFSGKLSKECRIRGLNSVDYNLNSPLVSDDLTYLLNKFKGKPVPIRWKMKKWDS -ILDQLRKHDLEYLRPSDLHQWFAEWMLYSKHGSKQGEDFLKTVDEEASDTFEVVRSFIRGWTGGEINFVR -KSGKHMGYCAELCQKFLDLHKLTLLGNAATDNELLQLSKTFGDDKIYKKRLIKLPSLGRVIFDSGFFIVL -DQRVLMDRNFMLMMKDVIIGRMQTVLSMISRCDDKFSSKDIDFLLKVYSTGDKIIRKLGNDGYELIKTVE -PMCNLRLSDLARRFRPLVPPFPHFRRHIESTVDELSAKTPLIRELFSLIDTSPNVDSTLVVYGSFRHWGH -PFINYFEGLEKLHKQVTMEKEIDTNYSEALASDLARIVLTKEFNEKKQWAVDYHRVPTSHPFKNHIRDNT -WPTAAVIQDFGDHWHELPLIQCFDIPDLIDPSIIYSDKSHSMNRSEVLNHVRTKPHTPIPSKKVLESMID -KPATNWLEFLEEIDKNGLSDEDLVIGLKGKERELKIAGRFFSLMSWKLREYFVVTEYLIKTHFVPLFHGL -TMADDMTAVIKKMLESSSGQGLTNYDSVCIANHIDYEKWNNHQRKLSNGPVFKVMGQFLGYPNLIYRTHE -FFEKSLIYYNERPDLMKVRNGILENSTHQRVCWNGQAGGLEGLRQKGWSILNLLVIQREAKIRNTAVKVL -AQGDNQVICTQYKTKQYRNDIELRQALNQMAANNDVIMKAIESGTNKLGLLINQDETMQSADYLNYGKVP -IFRGVIRGLETKRWSRVTCVTNDQLPTCANLMSSVSTNALTVAHFDVHPLNAMIQFNFFGNFARLLLIMH -DPAIRQSLNQLKGPNINVHSYGFKVAMLYLDPSIGGVCGTALSRFLIRSFPDPVTESLSFWKLIHHSTSD -IRLKNLSEQFGNPKIAVFRESHIEKLLEDPTSLNISMGMSAANLLKTEIKKNLLQKKSSIGNQIVKDAVY -YIHSEDEKLRTFLWSITPLFPRFLSEFKAGTFMGVASSIVSLFQNSRTIRNVFRDYMSQTIDDLIVKSEL -TSLEHLSNYTDRKGSGGIWSCSAEQADKLRRMSWKRPVLGTTVPHPLEMHGRGTLKSPLSKCCKESRMDY -ISVHIPEGLNKVLDGRGSLPAYLGSKTSESTSILQPWEKESKIPIIRRATRLRDAIHWFVDPDSNLARSI -LNNIESLTGEKWEGALKGYKRTGSALHRFSTSRVSHGGFSSQSPACLTRMMATTDTMRDYAQLNYDFMFQ -ASLLYSQMTSSVILMGTTVSNTIHFHVTCRKCIREITEPMLESPREYRGKDVHLVLAKWKNSSNGWGETL -QLLKPVEGDWDTIPPVEKSYHVGRILGFLYGDLKSQNSSRADDSSIFPLSIQMRLRGRGFLRGILDGLVR -ASACQVIHRRSVALLSKPANAIYGGLIYLIDKISASTSFTTLCRDGPIREELSSIPHKIPTSYPTSNSDM -GLHIRNYLKFQCKTVELGKYQSDIKDLWLFSDVMTSNIAGPFALSTKILKCLYKPALSQKDRNNIRKISN -FSKMMRSQLSWDPTSSEFITSQILVCNEEIRHACKFGIPKLSLKFDDPVWGPEDYGLIWSIPVDYSSQSV -PKNLKPCPRIQNPSISGFRLGQLPTGAHYKLRSILRKKNIHYRDALCGGDGSGGMTAAVLRYNLKARAIF -NSILDFDGSTMKGASPDPPSALETVVNGRTRCVNAESCWENPSDLSEQRTWDYFKFLKTHHGLKIDLIVL -DMEVRDFAISASIEKCVRNNVSSILEEDGVLIYKTYGSTIAAESSNAVVNIGVLFESVELIQTEYSSTST -SEVYMYCRKIKKFVDAPHPDWISLDYYWSKLFCFRSYKEEFFRSYEVSRKESLKGIPNSFIPDPLVNLET -LLQIAGVPSGISHQLAIDIKESQLTQITAAMVLCGMIANYTLDVTKKRDSYNPPSDGRLIRMSAALVGIS -FWISVKYYDKELNFELEQILSRSFPIRWMLSRNYLFWTTKKGFRNAKDVRLSGNMANIGNWIRCMELLHL -PPGSLSKDEVTTTCGKYIRSLKYSVILQQTGIIDLWKSRVASDDRSLMEVKTEFIESEHWVD - ->YP_007641376.1 RNA-dependent RNA polymerase L [Tibrogargan virus] -MDHNFEFYDDGGYDYDFSEENVDDCIENVDCTDYCSLNLINSYDYNLNSPITPEKLNNCLSYCQGLPYDQ -IFYNPDYVKVKLMISHFKTVNVDDIPYYSELVKIWPKIISNVNCPQKGREFLVNAFDNFEFIYTIIRSFY -KGWYKKEPETTYLDVLTNLNRLLDRDLSWFSMFLDLFFIINLMNAKTVMEQKNICLKRKWKAYKLNDEII -YFSGQTETLGVFALSGEFVLIESQNLLLDRNTTLMIKDTLVGRFQTILSMICLQNEYQYQENEISYLRQL -YDLGDQILSTYGSEGYDIIKTLEMICNNWICDESFKYFRPMPDFTSFRSHVTNTIQDLVNNGYALASAWF -NHIMNTTSINLVLVFYGSFRHWGHPPIEVLQGLRNLEGLVNEKHDVDDNYCQALASDLAFKVLKKKFRED -KKWYVDHKKMDQNNLLYTHIKNNTWPNPQTRIQFGDNWHKLPLIKCFELPDMIDLSSIYSDKSHSLTRSQ -VVEHVRYNSDKPIPTKRVLNTLLETENVNWPEFLKSVNDFGLPPEDLVIGLKPKEREMKRTGRFFSLMTW -NLRNYFVMTELLIKEHFIGLFNGLTMADDLQGLIKKLLDRTTGQGDSKIKKINIANGLDYTKWNNYQRYD -SNRYVFRVMGQFLGYDMLIERTHQFFEQSLIYYPQRPDLMMVQNNTLENRGNEIVCWNGQLGGLEGLRQK -GWSVLNYLMIERESKVRNSQIKILAQGDNQIIFTSCFLDPYYSDEELLDNMMRAKDNNEAIMNAVMKGAE -KIGLVINMDETIQSCCYANYGKVIIFRGKILGLSTKRWSRVTCSSNDQIPSLGTLLASVSTNAMTVGNFS -ETPHDAILGHLIFGLIVLEVLAQHNPAIRGDPSKYIVQHKLMSHPLFKIILLYLDPSLGGIGGTSVNRFL -IRAFADPVTESLSFWKLIYENCNDNVIKNLCLEVGNPSLAVYNDDHFLKLVEKPESLNIPKGISSTNMIK -EQIKLSLINNAHNIKNKIIHDVTVRIREEEPALIAWLKSIKPVFPRFLSEMASSTFYGLSNNLISLFTNS -RTIRNCFKTKCLKEVDYLIIKSEVIGIVSCLKLVIKTHTNKPDNIWTCSAAHADKLRKQSWNEDIIGMTV -PHPIEMHKIGYVVNGECLHCYENKLSQCYISILTPRGIPNTDYYCEGGPYKPYLGSSTNEGTSVLQPWEK -ETKIPIIRRAARLRDVISWFVGEDSNLSESIINNLEALTGENWGNYMRGFKRTGSALHRFRCARVSNGGF -SACSPTKSSWMIVTTDTMTGLDESNYDFMFQASIIFSQVTVGSIQRSTSQIYHMHLNCQECLREIKEPLL -ESDWVLKPRPVHELLKQWRPDPDLPWGFKNQICEIKNHSTEWDLEPNTSKCYFVGLILGFLFGDKVLSNG -IQTENNLFPLSIRSRLDPSYFYGGLLRGFKLIAAIHLTHRRNVISGQDSKSMLYGTLYYLIEEVSCDTDF -VQFVSTGNLHNELFYSPHKIPPSYPLSGKDLGSLCRSYLKYQLKDSDMSSELRYVWVFADIRSPKLLCTL -GISLYVERLLFKESLTKKDKELIKRYQEDYILGNNNELPTDMLNFYISNLRFCESEVRHSCKFSLPKIEY -SLNQATSTWGQECWGYVNELDIICVADTISYPKIQVPQYRNPVISGLRLFQCATGAHYKIRSILKHYSVQ -YRDALIGGDGSGGISALCLRYNARSRIIFNSLLQLEDSILSGSRPTGPSAVASMGDMKLRCVNFDSVWQE -PSDLRELQTWKYFLRLKQDFNLKIDLAIFDMEVTDEISISQIDDMLISHLHCIFTTQTNTLIYKTYLDRV -LNYPDMLLKLCQNFKSVKAVTTEFSSFKTSEIYLVCQNIETYPILSRTALEEETRQRLLKLAYANSPLSH -EISRAFKIYSRKDLMDGVPNHLISDPFLDLSTLFVMSGSLTSDACAILQLKNRSNLLNILISELCKLTNI -IFELTVIHPKKIKVPSSPNINNYFALVIGIGTWFAFVLNDPDYIRLIDAIINKQVYTDIYFYAQKNNKGI -INQWKVSDNQSGKLICKKKFHISHKLATIGQVIRSCELSYRTITNPLPIQSTSVNQLIQQKNKKLKLKYI -FKSCDLFFYLPDSILDTST - ->YP_006200965.1 L protein [Obodhiang virus] -MESFEELSYYSAELDQLEQQIDFNDEGFLEEINSYENDSMEFINNYDYNLNSPLLFDDILELYAKLEEEY -SGDTLHPNHRYINYIKHNNIHLKTPDMKDYSDFFVSELYPDIGVVENQLVNFQSIAQNWGVEIDGSAEIL -KSFLRGWFKTRLYDEKVDELSKSLNYLPDQVKVWLIQFIKYHKIILIMNAKSEMEKADLASKENLTIIRL -SKNDKQVCGIIFSDRITKQKWLIWENAVLLVEKNMLFHRNYLLMIKDVLISRFQSVLSMVSLKFNKKFTD -EELRKIIQLYKYGDDIILSNGNEGYNGIKMLEPICNLRITELAREHRNKIPEFPKFRNHILNKVLELKNE -GVDISKILNLVLWEDDLDMLLVYYGSFRHWGHPFILYLNGLRKLNNITNQTVEVDKEYARMLASDLAFKV -LKEMFLKKKKWFVDHKQMAKNHILYPFIKGNTWPTQSVLDKFGDHWESLPIAKCFDIPDMIDPSVIYSDK -SHSYDRSDVIKFIQNPQTKRIPTKRVLSTMISRPARNWPEFLKTINDHGLDWEKLVIGLKAKERELKIDG -RFFSLMSYDMRDYFVITEYLIKKYYVPLFKGLTMADDQNTVVKKMLKVSKGQGLIDYKEITIANHLDYEK -WNNYQRKESNGPVFRVMGQFLGLPNLIERTHEFFEKSLIYYNGRPDLMTVRGKEVISNKGEVVCWEGQKG -GLEGLRQKGWSVLNCLMIERESKIRNTQIGLLAQGDNQVITTHYKTEPYQTEEELHTHINNIIANNNKIM -DAILEGTEKLGLKINMDETIQSADYINYGKVPIYRGNILGLNQKRWSRVNYVSNDQLPSCSSILNSASTN -SLTVCHFSKTFLDAMYGHLLFGSFGLMLLHYHNPALRKSTWDVIKLKGLEKRLMNILLLYLDPTLGGIGG -TSLNRFLIRAFGDPVSESLSFWKFIYENTNDIEVKKLAVSCGNPKLAFFKPEDMMKLAEKPSSINLKRNL -SYQNMIKLEVKSNMIKNISQIRNEIIHDATKNCLSEESKLCTWINGINPCFPRFINQFVESTYYGVTMSL -MGLFINSKTIRSTYKKRYSKDLDLVIIKSEILSLQSLLDIVKTALYNQMSLPKIWSCSSEQADRLRKLSW -NRPIVGATIPHPIEMLDGSNCNGVDCPQCLIKGTDKHVTVMSPMGFPSKIFRRGPYDPYLGSRTRESTSV -LQPWERETKIPVLKRASDIRKGINWFIKSDSLLAKSIYNNIKALSGEDWNEQIDGYLRTGSALHRFGSDR -VSSAGFAANSPVLLSWCIVTTDTMMGLNDKNYDFMHQTLIIYGQIHHLTNSIFVKENNLSHYHFKCLKCL -REIEDVWVDCKWEYQPRSVHSILEKWRPENLKEWGIQKVHLDCTDNTKEWKKLDDYSKGSSVGKCIGFII -SEYLFSKDEHIEIKSLLPNSIRGKLHPLGFLNGLLDGLIVSGSLNLLQRRNPIIYKKPKTSVVGISYFLI -DKISMVPEVNNFMSDGAIFNILTKVQHKVGNSYPLTLSDLGLLLRNYLKHLVEQRANQKYYLLEDKEAWI -FSDMKDSELIGAYGLGHLSYFILWDKPTHSSRGRMMIQECQTMYIMIMNQDEMNVDIKSNETKEEMTTQT -NPLKKLDSIAKRLKFVAEEIRHAVKGMHYNSMSIKEEQVTQKWGDEYICNIRKREVYLTRIIPINQNIDD -ETVPYLSNPLISGLRLNQLATGAHYKIRGIIINEGIKYRDFLCAGDGSGGMTSCLLRLNSYSKGIFNSLL -IVDQRPLYGSKPSPPNAILEVGEIKDRCVNLLTVWDKPSDLKKEETWVYFKQEKIKNSMRIDLIVLDMES -IDDDTIMSISLNLKEYISRLLEFDGSIIFKSYLARIKSKKLNIIDLIGSMFREVSLINTELSSMFTSEVY -ILFKNYQPGLRQKLYLDRELIRNRDPFFFVYNSEEAEFERASKIDLNLISKGIPDNLRPNLVADLSTMLM -LSGVDNSRIATLCSRFVMGEFNSLELGTIIKLIVSESFINSTAKIDTNKKALDPLSSQDIKKMMSAIVGI -NLYISYKTKNISLFSDMNRILNSSNPPTLYCYLSKCNTPFWTLEKSQTPKMMLWSKNFSLSDKQATIGSW -VRFLGHYSIRYEISDTQSSLDVPKINHFLKSLNKSYKV - ->YP_006202628.1 L gene product [Kotonkan virus] -MDSYGFNDDMNDYDQVSYENIDELELDNFNEDELGNMFDENMELLNNYDYNLNSPLLRDNLDELDKYMKQ -NINPRDNGKMVEFQLIRDTLRIMKVDLNSVIGPELIQNVIAKDRLTTEKLEKFYSFGDILCSNVDETKGV -LKSFLKGWINKPEYINNFDLKKHWCDLPLLCKVWFEKFISYHNIILILNRTGRYELAELKKRLNIQEVKI -NGEVSLVHKSNSLGSWLIFRNYVYSKKLNIVLDRNTLLMAKDVMISRFQTLLSMKMSKYEVIYDEVDIAV -LMDIYRIGDEVLLNNGNKGYKGIKLLEAICNLKLVESARNARPMIPEFPNFKNHILKSLRELEEEDGLEM -YELYTRIMGVQKLDLILTIYGSFRHWGHPFINYLEGLEKLESQVNANLDVDRDYCERLASDLAYKVLKKM -FFEKKKWFVDKKLMDKRSKMYEHVMQNTWPTQGVIDDFGDNWHRLPLIKCFDIPDMIDPSIIYSDKSHSI -NRSEVMKHIREKPNKPIPTRRVLKTLLEKPATIWPEFLKKVNDFGLDWESLVIGLKAKERELKDAGRFFS -LMSWELREYFVFTEYLIKEHFVPLFKGLTMADDLQTVIKKMIDVSAGQGTETYENITIANNIDYEKWNNY -QRYDSNSAIFTVMGQFLGYPKLIARTHEFFEKSLIYYNQRPDLMRVVGNEVVSMDNKKVAWEGQKGGLEG -LRQKGWSVVNLLMIEREVKIRNTLVKVLAQGDNQTITTHYKTETFHNDAELQEHIRHIVNNNNAIMESIV -IGTRRLGLKINEDETMQSPDYINYGKVPIVNGVIRGLNTKRWSRVNFVTNDQIPNQTSTLSSVSTNSLTV -SHFSNSSIDAMIGHLVFGNFGLIMLDFHNPALRTSPRNLVKQQSLYESREYKILSLYLDPSIGGVGGTSL -TRFLIRMFPDPITESLSFWKTVHDNTDDTIIKQLSCAAGNPKLANFKPEDLDKLIESPEGLNIPRGISAN -NLIKNEVKKNLIMNASQIKNRIIQDAARNCLCEEHKLFTWLRTIKPLFPRFLSQFASSTYYGVTMSLMGL -FTNSKTIRSTYRKSYRRELDNIIIKSELISMSNLIGIISRSRSNFSKIWKCSSSQADHLRLLSWGQKVLG -MTVPHPLEMYHGINITKELCKFCTEDVEGLANAYITVLCPKGIPDKVSKKGPYNPYLGSKTKESTSILQP -WEKESNIPVIKRASDLRKAISWFIKEDSNLAKSIFKNLESLTGEDWSGVIEGYKRTGSALHRFSCSRISS -GGYTANAPGKICWTITTTDTMNDLGDNNYDFMYQSSMIYCQMQCLEAVGDTEVSVACHYHIKCKECLREI -EEPTLESEWVYDPLDVSETLKQWRPETVQTWSKERRKIQINRKTGNWETLNGAEQSHEIGKTIGFVYADM -LLNKKGVIDDKSLFPISIRDKLLPGKFYEGLLMGIKLNTSLQLTHRRNIVILKKPLLALIGAMYYVIERI -GEDGAFLSFVSMNEMYAELGIFPHKVPSSYPLNHKDVGLLVRNYLKNILPKVFKLPNPNTSWIFSDIKTP -TMIGSMGLSLETVKLISKREGIKSDKVKISELQGLYVAIMNDEEMQNQKTEKLIEEVCKKLCFCSAEIRH -AAKFGKIMEQGRKNNPGEGKGVINWGQEYVCKLQSFRIIMDKKSDESVKDVDHHVDYKCNPLISGLRLNQ -IATGAHYKIRSILKNMNLKYQDFLCGGDGSGGITSCLIRESPTSRGIFNSLLCLDGIPLHGSKPSPPSAV -LELGYLSKNCVNLNTVWKEPSDLSWDETWRYFIYTKKENSLRIDLIVMDMEVINMQVYKDILRCLGKYIG -SILIEGGCLIFKSYLSCLLKEELSVVDKIGESFDLINMIQTELSSTNTSEVYLLFQGFHNNIKVGLKSNK -QKILMDGESLYINRSQEEEFNRAMKFRSEDLGMGIPTCLINNFNVDLSTLLSISGLDGVNTALVTEYAST -GEINGMELSAIIMILVSENFINTTKKVNSERVKEHLPSDQSIKKMFGCIAGIGLWRCWMKGDIEEYRFID -NLINFQGVLKIWMNNSGKLSWDIQIDEDTKNKITKRVDIRSKMAYMGQWIRLLCRKYSKGNDRRDEGNVR -NVNRILRTCNKNLKLKHIIENTGMGHFL - ->YP_003518294.1 RNA-dependent RNA polymerase [Ngaingan hapavirus] -MEYLDEDIWSTEETDLLSDHDVIDEFDLDQSYMDDQIEESMEFINRFDYTLNSPIILDHVVNLIEYCNDG -PYEKIFFKSSWPTIAQIIKKHKIRLNQCTSSYIDNIYKYIFSELMYKRPDDYKFKEILNESFNGANDTLE -ILRAFLRGWLGNEQEEDWNISLLYNQSEHFWIWSELFWECYHLVLLLNSTGKKEDEKLKKIISFKSYCPE -QSSSFKILDTQFFGQVCVIDCYIIMIQYGIILDRNCILMIKDMCLARSQTIISIIYDDKDYGQDDKEGMI -QRLTRVYCLGDQYLSILGNDGYEGIKCLEPMCNSAFCDLAHKFRPLIPRFQDFKTHVENSTIEKDRETGF -LCEIKRIVDNAENVEEIIVYYSIFRHWGHPSIKYLEGLKKLEQQVNMKKEIDDDYAQSLASDLAYKVLKK -HFFEKKTWGVSLDDMDPKHPLYKHIKDNLWPNQFVIDQFGDNWHKLPIIKIYDIPDFLDPSLIYSDKSHS -MSRSEIISHVHHNPNKPIPTRRVLSTLLNREATNWAEFLQRINDEGLPRDCLAIGLKAKEREVKLVGRFF -SLMTWELREYFVCTEYMIKQFFIPLFQGLTMADDMQEVLKKLLSSSSGQGLDNYNLISIANHFDYEKWNN -HQRYASNCHVFKVMGQCFGLPNLFLRTHEFFEKSLIYYANRPDLMMVQGDSLINRTEHMVCWEGQKGGLE -GLRQKGWSGLNLLVIEREAKIRNTKLKILAQGDNQVISSFYSINFTYNEDELIRELNKIVQNNRIIMNAI -REGANKLGLIINEDETMVSADFLSYGKTPIFRGVIKGLDPKRWSRVNCTTNDQLPSIGNTLATVSTNALT -VSHFSNSPLNAMRLHNLFGNIVVTIILHWNPALRDKPADLVKHPQKLTDRRFRAGLLYLDPGLGGIGGTS -LTRFLIRDFPDPITESLSFWKLVYENTVDPLFKSLAASVGHPQLEQFEIKHLSKLLEDPNGLNIKRGISS -VNMLKNEVRKNLLLNVNNINNIIIRSAIEYLLEEEQSLMSWIMSIKPLFPRFTSELCSATYYGITNSILG -LFQNSKTIRNSYRKLYHQEIDQIIIKSEIIGMSSLLKHIGNDHKEIWDCSSGWADELRLTSWGEKVFGTT -VPHPIEILATPKSFSNYCPECLSSTSNPGYVTVMVPYGLAGSKEKRGPFPPYLGSRTSETTSLIQPWEKE -TNIPLVKRAAKLRKAISWFIEPDTKLANSILNNIESLTGENWAGLIKGFKRTGSALHRWSCSRVSNGGFS -ATSPSCFSWMICTTDTLSTLNDKNYDFMFQSLLIHSQVTTSAVIPTSSSSIICHFHLKCNHCIREIQDIW -IDSEWEYHFPNKSNVVAAWRPGTTNWGESKVSINMTKGNWDSVKQTEKCFLIGQIMGFIFSDMLLSHSKH -VSDSSLFPIGLANKLIGRSFLDGIYRGIRKSCSLQLIHRRNLLEGKKPKVALWGSIYYNIERLSETSGFL -ALVRSGSIIKEVESHPHKPPSSYPLNNMDLGSVIRSYFKFKLNNALNPKSEEQHQLWIFADTESHDIVGS -FLIGHLADRLIMNMRDEKTFKDKLRSLQELYINMKANIWSPDLIEQIQSRVFVCEQEVRHASKEIVAIED -VDKNLSWGKEYTGSISSLVVNFGPDEEFEPSFFVPRRQHPLIAGLRTFQMATGAHYKVRTILQAFGIDWN -HALVGGDGSGGISAYLCRSNPLGKVVFNSLLVMEGIDFKGSHPSPPSAITEIGSCKSACINYTDVWKYSS -DLSRLSTWQYFEHLSKTQDWKPDLMIFDMEVNDLGVMSKIEALIMREGIKLLCRGGTLIFKTYLGRLINT -KQNIINLVGSYFFETTICQTSLSSSFTSEVYVVFSKLLDKPKHLKFLRVDEVIEFAKQSYCFSNFDKELT -RGRKLHKDDLFIGVPKELQSDITTDLSTLLGIVGMHYGYSVSISRSWLHHRLKKSGLSYLISILSLLGES -IIPTTAWMREEFNPPTINELENLFGVYIGIISWIAVATGNTQLFGHTYESIEKELTFNYMFKKGKKGFRI -TWSINTCMEKKKTFRLNSKMALAGQVIRLAQRMFGSDITGLDIQQIESILQFYNKGLTVSHMIKNTGLYR -WVEYI - ->YP_002333280.1 RNA-dependent RNA polymerase [Wongabel hapavirus] -MDLLENDNFDDNDYNYNIEDDLGEELFDFEDNFIQDFLSEEDRMEFLNSNDYNLNSPIIIEHIIELVKYI -RGQNYNPIYYRPNWNIFKDLAKKEKINLEIFYIDKDYLHIHLFNFLKNPILLGRNRYAEILRQSLKHHDL -TKEFLQIWFKKWGYSQILDNCNIWENYNRLLTREYLWWSEIFYDSHVSILHMNCSGKKEAKNLVKIFKST -PLLDKNQKLIGFIWNSNTMGELLILPDYVLCNSLSSIWDRPFLLMIKDITMSRVQSLTSMVFSLDPIYSD -RQIRKMIDLYNLGDDFLYKVGNLGYDGIKLLEPICNLQLCKLARDYRPLVPEFPEFGQHVWRSVNEKSLN -TYELFEIFRLITEEDNLDMVLNYYSVFRHWGHPNIDYFEGLQKLHDQVTMEKIIDDEYAQKLGSDLAYKI -LKKHYFEKKKWFVDPAKIPENHQLKKHILNSTWPNQFEIEDFGDNWHKLPITKIFEIPDLIDPSLIYSDK -SHSMDRDEVLDFVIKNPGKVIPTRRVLQTMLNKPATDWKSFLQKINDFGLDLKSLIIGLKAKEREMKRIG -RFFSLMSWELREYFVFTEYLIKEYFVPLFHGLTMADDLQSVIKKMLENSQGQGSNDYEYVSIANHIDYEK -WNNHQRKESNYYVFKVMGQCFGLPNLFTRTHEFFEQSLIYYPQRADLMHVEGDTLTNSSASLVCWNGQKG -GLEGLRQKGWSVLNYLVIERESRIRNTKVKVLAQGDNQTISTFYHLQSHFDENELIDQLANICKNNKAIM -NAIEAGTTKLGLIINRDETMISADYLNYGKVPIFRGVIRGLHLKRWSRVNCVTNDQVPSLSNSLASCATN -SLTVSHYSEDPLNAMYLHSVFGNITITLLLEYNPALRTSVRLALKDSDLVDHMWFRILLLYLDPSLGGIS -GTSLTRFLIRMFPDPLTESLSFWKFIHENCEDPNLQNLCAIIGNPSLMEFQIEHLDKLIENPTSINLVRG -ISAANLIKNEVKNNLIESIPIVSNQIIKHALEYTRDEEQMILQWARSIKPLFPRFLSEMVNSTYYGITTS -LIGLFQNSKTIRSQFRKKYHKRIDDVVFRSEIIGISSLLKIIKTASQTPTKIWLCSSTQADYLRRQSWGQ -DILGMTIPHPLEMLGNPDNMTNLCSLCKHNDVISDYIAVMIPKGFSLSKVVKGPYPPYLGSKTSESTSII -QPWEKETNIPLIRRASKLRNAISWFINPDSNLAKSIMENMRSLTGEDWGDGLRGFKRTGSALHRYSCSRV -SNGGFCASSPAKFMWMICTTDTMVNLTGKNYDFMFQSLLIYAQSTGSVIWGHKPDPVNLHFHIRCLGCIR -EIGEPILESEWVLKLPSVSHILNAWRPDPTKGWGEEKMQLDIPFRNWEKINPGEKTYHVGFIMGFIFTDM -LLSHSKHVSDSSLFPLGISRKLIPKAWFDGLIMGIQKSCALQISHRRNLLELKKPRIVQWGSAYFTIEKI -CESPGFLGLVRDGPLFQEIISVPHKVPSSYPLNNFDLGTLSRNYLKTKLFNIFENPGSVKQYDTIWTFAD -LQSHEIIGSLALSHIAYKLIMDTKKNKHFQNQVRLIQNAYISIKNGDWEKIDQKRALRQVSVCDQEVRHS -CKFDIGPFVLEKKEKMIHWGEEYIGEVSSIELEFTHELEGKTEDIIFSRRFCPLISGLRTFQMATGSHYK -VRTILKRLGIKWSFSLVCGDGSGGVASYLCRSNPFGEVVFNSLLELDGIDFKGSHPSPPPAITALGSIKN -RCINHHDCWQAPSDLSNIETWNYFAKIAQEKNRKYDLIIIEADIPDARVLRKTRDYLSSFVKMYLSDNGT -LICRGFLENIWTGIDNINDLLCRTFKGIKWVQTTLSSNFTQEIYIVFQHITTHPDNRYINWKSLSKKVLD -MYCFQTNKKEFDRALVLSKSNLMEGVPVELVVSPSAELSSILTKIGLETGFAVSITKSWIRNLNKNAVNY -VLAVNALVFESIIPVTRKSKKRIPPPSNQSLESILSFFFGSYLWISLNVKSLEIYSWLVDKLCDHVDLYI -SYHLKESKKEIKYCLSWSLKKPDSTDMVWKRIRLQRQSNLIGNTIRCWQRMYSVDDRAISEDKIDKILGF -YNRGLNCKTLKSSCSIFL - ->YP_802942.1 L protein [Siniperca chuatsi rhabdovirus] -MDYSQEYVNDYDDPFAEYEAFSNFQDFFEKGRPLNQKDYNLNSPLIGDEIDAFLTYIKTHSISARFVRNK -KRWDLYKEHVLYPHSMIQDHTVIHQWLGNHLLNMQQTQEYKTLVSHVNEMTRSADEILQPFLKGWKGEST -SPTRKDPNLLQHTGLEYGSGFLGWHKVTLLMNSQTRLERENLITSGFQGLESVLESDDLWIGRIKDPCIG -TWIITDNLVWSDKSGILLDKNTVMMIKDTLIGRIQTLLSLINREDKKFDDQTPKKLYNLYRLGDKMLKNK -GDDAYEAIKMLEPMCNLQLSKLAHEYRPLIPDFPHFENHVRRTCGDLSQEHPEVLEILELVLSLRNVEEV -LLFFGSFRHWGHPFIDYFEGLKKLNKQVTLQKEIDDKYANALASDLAYIVLKKEFDKKKHWAVNKEAMSE -KHPFYQHVSEHTWPTPKQVDDFGDNWHKLPLTKVFEIPDVIDPSIIYSDKSHSMQKSEVLDSIMNHPNTP -IPTKKVLSSLLEKPATDWPKFLESVDLNGLDEEDLVIGLKGKERELKKAGRFFSLMSWKLREYFVMTEYL -IKHHFVPLFHGLTMADDMTQVMKKMLDRSQGQGENDYQRVSIANHIDYEKWNNHQRRESNGPTFRVMGQF -LGYPSLIEKTHEFFEKSPIYYNGRPDLMQVTGNQVINSTDKLVCWQGQKGGLEGLRQKGWSILNLLIIRR -ESKIRNTRVQTLAQGDNQVICTQYMLSPSRDEKEMKEKLKHIAENNGVIMSAIERGTNKLGLIINNDETI -QSADFLTYGKIPIFRGNIRCLEGKRWSRVTCVTNDQLPNLSNVLSSVSTNALTVSHFDISPLNAMRQYCF -FGCFALKLVSMHNPALRGSHESRLGFDISTDSTIAATLFLDPSLGGASGMSLTRFLMRMFPDPVTEGLAF -WKVVYNNTDLGWVKRLCTKAGHPKLAKGEMNNIGKLIENPSALNLKKETSAISIIKNEVKRFLYDKCIDF -GNKIISAAIEETREEEPYLDNFLASIYPLFPRFLAEFKAASFLGITDSLVSLFQNSKTIRGVFKNKYAKE -IELKVLTCEINLLKLIHDFEKAEIFPMWDCSSLQAKMLRQESWGMPVHGATIPHPLEMLSLKDFHHRCEM -MDYITVSVIEPLNKCLESKGRLPAYLGSKTSETTSIIQPWDRETNIPMIKRAAKLRNAISWFVNPDSNLA -KSIMNNLEGLTGEKWDGALEGFKRTGSALHRFSSARVSSGGFSAQSPAKLTRMMSTTDTFWGIGTDNYDF -MFQSLLIHSQITTGEVLGDSDATGTLHFHLDCKSCLSKIEEVVLESRVTYSPEDKSGLLNKWKPEGSAWS -KERTRPEIQSTAIERLNKSSIHYNMGRAQGFIYGDQKMTGRGGDESSLFPLSIQYKVRADLFLNGLMDGL -VYASSLATIHRRNFDHPTKFQATQYGTLEYLVEELTSHPPFLNLLRSGPLSEILRSVPHRVPPSYPLTNR -DLGALARNYLRYMLQTLKQSHQRKDYDANLIIFADMMSPDVIYPYALAQKCARLAFKPKWTPTDTETLRQ -LRETASSMRANPDFKMYLSNKIVAVDHEIRHASKTIQEKTSFKEEKLTWGKELSGKVTISDVVVSNEKQA -VPSETVKQRKDPLISGLRHFQMATGAHYKLRSILDAKKITYRDFICGGDGSGGLTSCLLRHSPASRGLFN -SLLEIKETDLRGSSPSPPSAVFHLGPESQRCCNLLSAWENPSDLSQALTWDYFRSEMIKSNLKVDLMTFD -MEVRDVEMSEKIGTMIEENLDLLSEKGTLIYKTYLSRFASVNVCAPERFSKYFLKAELISTQFTSSHSSE -VYLVCTGKRRNPKNLKFVNWKMTWALAKVHKCWNDTETELKRAFELQQMDMYQGVPKKLISDWENDLLAL -TLGLRVESGIASVLCSFLSTHRRILSGTTLLAIWAHVALYQRRPGTSPPSVRHCQEIGIISTGFLYCLAL -ARADVSLYSKVKMMTDHAFPLHYHKSRWNTTTGLNQTVRLDNKMASLGSVIRLFSRLGLQGDADWRKVDE -SCRLIVPQCTMKWVLAHTGLAGLTKGEWSLGEVIAQEEWEEREAAWTE - ->YP_238534.1 RNA polymerase [Tupaia virus] -MESWPEDNVDSFESSPFWELEEDWVAPKNKGQLGSVKNTDYNLNNPLLSDGLTAFCRYLKGKSFDKIFHL -HRWISTKALMEESKIRVEGNPEALHHWMGEYFLNQDIPLSRFKPLWDLVIKHSRLTHIVPELFVRSLGRY -HLPYLERGERNWEKLYLTKFMEWHLLVIVMNHFEDDLSSIAPLINLKKKSSAHGICYSARVSGVGEVLVF -DWILVLPNGLILNKNFVLMIKDTLLARFQTLITMYPRHDGKFTLEDVRTLLKVYSLGDRMLYTIGNEAYD -LLKFVEPICNLRMTQLANKHRPLIPEFPNFRQYLEAELPELCKLSPIIMELWDTITSLDDPELVVQIFGC -FRHWGHPFIDYGEGLQKLYEQVTMPKIIDDELAQALGSDLAYLVLRSQFKKTKKWFVDPSKLPMNHPLKK -FVETSTWPTPKVIEDFGDKWHTLPLTQCFDIPDLVDPALIYSDKSHSVNRRSLIQHVSSGAYSKFPTKRV -LTTFLTEPARDWKSFLQQINDRGLPDDALCIGLRPKERELKRAGRFFALMSWELREYFVFTEYLIKEHFI -PLFKGLTMADDMTGVIKKLLECSNGHGETDYSNITISNHLDYSKWNNHQRYESNKYVFQVMGSFLGYPNL -ISRTHEFFQKSLIYFINRPDLMVVKGNTLEPKGQMRVCWNGQAGGLEGLRQKGWSIVNLLLIMRVGKLRN -TEIKILAQGDNQVMNSHYKLPAYRTDFELLECISEIIRNNKYIMQEVDHWTQRLGLIINKDETMQSADFL -IYGKVPIFRGNITIPESKKWSRVNCVTNDQLPTFGNVMSTVSSTALSVSHFSNSFLDPIEFYNLLGNFSR -ILLEMFNPVLNKSLLQFFTDWSQFEDVGYLISVLYLDPSLGGVCGMSLSRFLIRAFPDPVTEGLSFWRRL -STVTSDPNLRKLFLSFGNPPLGRFKMEDLTKLMEKPESLNIPSSLSAQILIRTEIREILRRNVRVIKNEI -IVNAISYGMQAEEHLIRFLYSIKPLFPRFLAEFKSSTYLGLTESLVGLYENSKTIRNRFLGQREREIDDL -VQRSEYVGIKYLVQVRKERTTPGPWNCSASHADRLRRLSWGQPVIGATIPHPFEMLGKVCYLFRGSGCEC -PDSSNYTTTFVNWDAESVMSRKGPFLPYLGSKTSESTSLINPWERETIIPLIKRAAKLRNAINWFVRSDS -LLARSILNNLRALTGEDPGQGNPGFFRTGSALHRFACSRQSSGGFSALSPAYLSRFLTTTDTLQGIGDRN -YDFMFQSLILYSQSSLCVQINRNVQGIVHHHISCNECLREITEPFLEGSFEYKPKDVSRHVRKWIPGGNQ -ILTEKLRLEFQYGNWEAISDAEKTYHVGRAIGFVFSDYAFSSSAQLEESSLFPLSIRNSLTPELFYEGLI -DGLIRGCSIQITHRRNVALLKKPRETLVGSVFFAITKITLNTPFLSLVRVGAIHDYIIRNSHRTPPSYPL -SKWDLGGILRHYLKTRFLHLLRTGYSSRYTSVWIFADLAGIEVGGLLCLSSHLLEYSVSPNKTKLGAERL -RKFKEIEINMRQKTQVDLSCLDLRRVYLCKSEVRHSVKSIDKKTVQSEAPLYKFEEEEVGYVVAWDVSYL -SAPALSPKEELTVPRLQCPLISGLRTIQLATGAHYKVRSILNHFNIQFDDFLCGGDGSGGLTAMCLRWNR -FSRGIFNSLLDLSGYDLRGSRPSEPSAIAALGADAARCVNRQTCWQHPSDLTDKSTWNYFVDLKAEFGLT -IKLMIFDMENRDEQSFLIEDQIIDYLPRLLSRSGSLIFKTYCHRLISQQNPLLLKRLGRHFKRSCLVQTE -FTSNFSSEVYVVLMDYVPGNSLAGIVDYTEMTRFLGKRFVFSNPVDEFKRALGIKRKAMEKGIPSELLPD -PEVELATVLEICGLESGRAASVAEICCSKSISPKVKYLFVRSITFCSFFNLTSGFSERPHVPSDSKLLRF -FSFFIGLEYWWSWVSEELPRFERMNQFLREDLFITHESKKGKDFWVRKVFLGAFDIGVVKRLRLQGSLAG -IGSAIRSLRRAVPLNETGLTLSVSELIGRFDRGLTPSVLSSRSNLLTYIQDYRSNLDLPVSSEIINTGIR -GDMATTE - ->NP_116748.1 polymerase [Carp sprivivirus] -MFEWESQDTPSGLPDEESYFPTSKLSVEERMHYLNNVDYNLNSPLISDDIEYLTLKHFGRAIPSLWKVKN -WEIPLEMLKGVSIIKTWDQIHPWMGKWFDSEHNCPQGESFLRTVQAESELTSEIPVTFIKGWIGKEIKFP -VKRGHHAVHLLMQKVLDLHKLTLLINSVDSGETEKLCESFGLNSKQSKFETYSLGTVRYCPGWIFIDKAE -ILLDRNFLLMMKDTLIGRLQTLLSMLGNCEMEIEQIYTHTETMLSLYSYGDQIIEKAGNNGYSKIKLLEP -ICNLRLSELAHKYRPLVPDFPHFQEHVETSVREEDTTDGLLSAILSLVNNTEDIQLILTIYGSFRHWGHP -FISYFEGLQKLHDQVTLPKQIDKEYAAALASDLAYTVLQRKFSEEKKWYVDSIALSSKHPLKEHVDNGTW -PTAAQIQDFGDRWHLLPLTKCFEVPDLLDPSVIYSDKSHSMNRKEVIDHVISTPNKPIPSKKVLETMINN -PATDWPTFLKAVDEEGLPRDNLIIGLKGKERELKIAGRFFSLMSWQLREYFVITEYLIKTHYVPLFKGLT -MADDLTSVVKKMLDNTNGQGLDDYSSICIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPRLFERTHEF -FESSLIYYNGRPDLMDVRGDSLVNTTDKIVCWEGQAGGLEGLSQKGWSVLNLLVINRESSIRNTVVKVLA -QGDNQVICTQYKTKNYKNEDELKMLLTAMVENNQTIMNGIITGTGKLGLIINNDETMQSADYLNYGKVPV -FRGILRGLETKRWSRVTCITNDQIPTLAGVMSSVSTNALTVAHFAASPINAILQYHYFANFCLMMIAMHN -PAIRSSMYTKMFRKCHIMSKEFKAATLYLDPSLGGVCGISLARFLIRSFPDPVTEGLAFWKMIHHNCQSD -WLKALSKRCGNPKLARFRPEHIPKIIEDPAALNISMGMSASNLLKTEVKGHLIRTADTIQNQIIREAAEY -LGQEEESLNEFLWDIEPFFPRFLSEFRSSTFVGVTDSLIGLFQNSKTIRGLFKSYYKRELDRLVVKSELS -SLEHLGSYRKETPDSIWECSSTQADLLREKSWGRSVIGMTVPHPLEMFGKGHQKELECIPCQTSGLTYIS -SYCPRGINNWYSTVGSLAAYLGSKTSETTSILQPWEKDSKIPIIKRATKLRDSISWFVPPDSKLAKSIQQ -NLKALTGEDWEEDIQGFKRTGSALHRFTTSRVSNGGFSAQSPAKLTRIMTTTDTMRDLGDQNYDFMFQAG -ILYSQMTTGELRENSTNSTATHYHITCKSCLREIQEPMLESRIVYNPPSSSRVIKSWIPNATEIMEESKP -IKLREVDWDPLTRYEKSYHIGRCQGFLYGDLTYQKTGRSEESSIFPLSIQYKVEGSGFMRGFCDGIIRAS -AVQALHRRVSSIVSTADVIYGGAQYLTNQVGDSPPFQNLCRSGPLREELERIPHKMTSSYPTSNSDMGYL -IRNYLKRSLKQLSRGRYETKEGPIWVFSDVRTKKFLGPFSLSTDALNCLYKNKLSKRDKNAVRNLSQLSS -RMRSGDLSDEEIGKVEARFSFTPAEMRHACKFTIGKTQVPIVMSEWGQEAYGNITMYPVFYSTTKTEKPD -WTFSRLQNPTISGLRISQQATGAHYKLRSLLKGMKIHYQDAIGCGDGSGGLSSCLLRENKHCRVIFNSLL -ELTGNTLRGSTPDPPSAINGIPQIRDRCVNLNNVWEHPSDLSHPDTWKYFGELKAQFNMDVDLIVMDMEV -QDIGISRRIEQNLRDHVHSLLSRHGTVIYKTYMTIMSENEKSVLDIVGVLFEDVQLCQTQYSSSQTSEVY -CVMRRLRQKVDSQHVDWQSLVRQGINSKVYCNLPLDKEFERALNLYQIDTLVGVPRGLIPNLAVELETLL -EIGGLSRGILGKLVLNIEEGKLGFTMALIVSCILISESAICTTRLSNKREVPSSGACQRMAVCLIGAAIL -LSVHHRSIENHKGAIRMLRHSVPIRISSKLRKDGKLQSRWSSISREGLAKDVRLNSNMAGVGAWIRVWSR -MKDRERRWEAREADSWLKTHNKGLSMEHVRRHTGVLDILHGTGDRLDRSVPTVSSAPRESGTWVE - ->NP_065409.1 protein L [Bovine ephemeral fever virus] -MDSVDFLDSEDHDQNSWNGEEFGDDFLEYIWDQDEEESMDLINNKDYNLNSPLIIDPLVELRNWINNEKG -HSESDLRSQQSFEFKEFDIIKRVLRNFINNVNLRRPEDSHHIFAKFLNQAIVTNDYWNLGETLSKIVEDI -REVGASFYRGLDLDENIIIDNIRRNWNDVPQLGKSWFLKFFELHRVICVMNARSNIELKNLQSKNKLKKI -KLPKELEERGHKCWIFDLDISGRWIIFDNYAYWELQRIVLNREFILMMKDVLISRFQTVLSMNVCTDEYK -YTEENIETMMSLYREGDLILEEHGNKSYKGLKLLESICNLRLIKIVRKSRPKIPEFPNFENHIYSSLNDL -RVERGIDLSKFSNIILREESIDMVLAFYSSFRHFGHPWIDYLTGLDKLESQVNKDCQVDIQYANLLASDL -AFKILRKNFLEKKCWSVDKNKMDKKHKLYHHISHNTWPTQQIIDEFGDHWHELPIIQCYEIPDMIDISQI -YSDKSHSLDRSEVLKIIKEQKHKRIPTKRVLQTLLEKPATNWPEFLKAVNDYGLDWEKLVIGLKAKEREL -KEEGRFFSLMSYELRDYFVSTEYLIKKYFVPLFEGLTMADDLNTVIKKMLDVSSGQGTREYEYITIANNI -DYEKWNNYQRIESNGPVFTVMGRFLGLPNLFTRTHEFFQKSLIYYNQRPDLMMVRGRECLNRLGVKVCWE -GQKGGLEGLRQKGWSILNYLMIERESRVRNTRVKILAQGDNQTISMCYKTESWQNEEELDNHIKNMVSNN -NQIMQAIINGTEKLGLRINLDETMTSADYINYGKVPIIEGTIKGLPTKRWSRVNFTSNDQLPSTSTVINS -SSTNALTVGHFSERPHDAINGHLLFGSLGLLLLDYHNPAIRGQISEFIPEANINNKLYNILLLYLDPSLG -GIAGTSLTRFFIRGFPDGVTESLTFWKIVGEYTNDQDIKRLASTVGSPELSPFKPEDLDKLIEKPESLNI -KHGLSSSNMIKGEVKKNIIENCSKIQNEIIRDAARNLVSEENQLFLWLRTINPLFPRFLSQFAESTYYGV -TKSLINLFTNSKTIRGIYKKKYRKELDQLMIKGEVRSIFGLIKIVNRSKQFVMPIWDCSASLADSLRKRS -WGKEVLGTTVPHPAEMFKGYRGGEDSCSFCRGNGSNNNYLTVLMPRGIPMKCHYRGPYYPYLGSNTKEST -SILQPWEKETKVPVLKRACDLRKSINWFVTPDSLLAKSIFNNLKALTGEDWEDQIKGYKRTGSSLHRFGC -SRVSSGGFSASSPSCFTWCIATTDTMCGLGEVNYDFMFQSTLVWCQMSSIIRERGNLHSKIHHYHIKCNK -CLREIQEPVLESGWEYQPRNVSQILEKWRPKNMKTWGEEKIHMDIKDNDDEWDNLTVEDKSYEIGKTIGW -LVGDSLLSHKRNYEFKSLFPVSIRYKLEGLPFLEGILDGFKICGSLNLTHRRNYMILKKPKLALQGTVFF -LIDRSSFISEFTNFISHPKIYKAIKSLPHKIPTSYPMNLSDLGSILRSFLKQLYYRRKELIIKKSSWVFS -DMRTNEVICSFGLSHLTYRILIQEGLNKDMKLRLQQCQDLYINIMTESKEELDKSSAKREIVECLRELKF -VSSEIRHAVKFRYITGEKGEVQLIKEMEERRTWGDEYTGKANLLDVWYLTSQSSENKNLVKGIKIPYHSN -PTISGLRINQIATGAHYKLRTLINMTRITYRDFICGGDGSGGMTSCLLRLKPLSRGVFNSLLILDDKPLH -GTRPSPPTAIMELGEDSLRCVNCYDVWKEPSDLSKQETWKYFVKLKKQNSMMIDLIVLDMEIINDEVIED -IYQNTKNHLIYLLEEGGCLIIKTYLTYLLKENTNILDMLGHLFTSVQLINTNLSSMKTSEIYVLFKNYKN -RLTPCLQFDRNIILDNWSFFYINKPIREEFERARELLNEDLGMGMPKELEPNPLIELSQMLQNSGVDGVA -MSAISQEENLSFFSTKELAVICLIIISESHLVTTKKHKNDCNCLQKKPYSDQEIKSWMSGIIGIGLYLSL -LDNKTNSFEILDYLINSDFKSIHVNFNKERELCWSINYSSNEKKGKDLWKKRFSVKDKMAFMGNWIRLLH -RQKVKNQKCEYKEGRINNFLKFINKGLNLQKVKSQYEEEIAKLL - ->NP_041716.1 polymerase (L protein) [Vesicular stomatitis Indiana virus] -MEVHDFETDEFNDFNEDDYATREFLNPDERMTYLNHADYNLNSPLISDDIDNLIRKFNSLPIPSMWDSKN -WDGVLEMLTSCQANPISTSQMHKWMGSWLMSDNHDASQGYSFLHEVDKEAEITFDVVETFIRGWGNKPIE -YIKKERWTDSFKILAYLCQKFLDLHKLTLILNAVSEVELLNLARTFKGKVRRSSHGTNICRIRVPSLGPT -FISEGWAYFKKLDILMDRNFLLMVKDVIIGRMQTVLSMVCRIDNLFSEQDIFSLLNIYRIGDKIVERQGN -FSYDLIKMVEPICNLKLMKLARESRPLVPQFPHFENHIKTSVDEGAKIDRGIRFLHDQIMSVKTVDLTLV -IYGSFRHWGHPFIDYYTGLEKLHSQVTMKKDIDVSYAKALASDLARIVLFQQFNDHKKWFVNGDLLPHDH -PFKSHVKENTWPTAAQVQDFGDKWHELPLIKCFEIPDLLDPSIIYSDKSHSMNRSEVLKHVRMNPNTPIP -SKKVLQTMLDTKATNWKEFLKEIDEKGLDDDDLIIGLKGKERELKLAGRFFSLMSWKLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMLDSSSGQGLKSYEAICIANHIDYEKWNNHQRKLSNGPVFRVMGQFLG -YPSLIERTHEFFEKSLIYYNGRPDLMRVHNNTLINSTSQRVCWQGQEGGLEGLRQKGWTILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKSRNVVELQGALNQMVSNNEKIMTAIKIGTGKLGLLINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAENPINAMIQYNYFG -TFARLLLMMHDPALRQSLYEVQDKIPGLHSSTFKYAMLYLDPSIGGVSGMSLSRFLIRAFPDPVTESLSF -WRFIHVHARSEHLKEMSAVFGNPEIAKFRITHIDKLVEDPTSLNIAMGMSPANLLKTEVKKCLIESRQTI -RNQVIKDATIYLYHEEDRLRSFLWSINPLFPRFLSEFKSGTFLGVADGLISLFQNSRTIRNSFKKKYHRE -LDDLIVRSEVSSLTHLGKLHLRRGSCKMWTCSATHADTLRYKSWGRTVIGTTVPHPLEMLGPQHRKETPC -APCNTSGFNYVSVHCPDGIHDVFSSRGPLPAYLGSKTSESTSILQPWERESKVPLIKRATRLRDAISWFV -EPDSKLAMTILSNIHSLTGEEWTKRQHGFKRTGSALHRFSTSRMSHGGFASQSTAALTRLMATTDTMRDL -GDQNFDFLFQATLLYAQITTTVARDGWITSCTDHYHIACKSCLRPIEEITLDSSMDYTPPDVSHVLKTWR -NGEGSWGQEIKQIYPLEGNWKNLAPAEQSYQVGRCIGFLYGDLAYRKSTHAEDSSLFPLSIQGRIRGRGF -LKGLLDGLMRASCCQVIHRRSLAHLKRPANAVYGGLIYLIDKLSVSPPFLSLTRSGPIRDELETIPHKIP -TSYPTSNRDMGVIVRNYFKYQCRLIEKGKYRSHYSQLWLFSDVLSIDFIGPFSISTTLLQILYKPFLSGK -DKNELRELANLSSLLRSGEGWEDIHVKFFTKDILLCPEEIRHACKFGIAKDNNKDMSYPPWGRESRGTIT -TIPVYYTTTPYPKMLEMPPRIQNPLLSGIRLGQLPTGAHYKIRSILHGMGIHYRDFLSCGDGSGGMTAAL -LRENVHSRGIFNSLLELSGSVMRGASPEPPSALETLGGDKSRCVNGETCWEYPSDLCDPRTWDYFLRLKA -GLGLQIDLIVMDMEVRDSSTSLKIETNVRNYVHRILDEQGVLIYKTYGTYICESEKNAVTILGPMFKTVD -LVQTEFSSSQTSEVYMVCKGLKKLIDEPNPDWSSINESWKNLYAFQSSEQEFARAKKVSTYFTLTGIPSQ -FIPDPFVNIETMLQIFGVPTGVSHAAALKSSDRPADLLTISLFYMAIISYYNINHIRVGPIPPNPPSDGI -AQNVGIAITGISFWLSLMEKDIPLYQQCLAVIQQSFPIRWEAVSVKGGYKQKWSTRGDGLPKDTRTSDSL -APIGNWIRSLELVRNQVRLNPFNEILFNQLCRTVDNHLKWSNLRRNTGMIEWINRRISKEDRSILMLKSD -LHEENSWRD - ->sp|P16379.2|L_VSNJO RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDFDLIEDSANWEDDESDFFLRDILSQEDQMSYLNTADYNLNSPLISDDMVYIIKRMNHEEVPPIWRSKE -WDSPLDMLRGCQAQPMSHQEMHNWFGTWIQNIQHDSAQGFTFLKEVDKESEMTYDLVSTFLKGWVGKDYP -FKSKNKEIDSMALVGPLCQKFLDLHKITLILNAVSLGETKELLATFKGKYRMSCENIPIARLRLPSLGPV -FMCKGWTYIHKERVLMDRNFLLMCKDVIIGRMQTFLSMIGRSDNKFSPDQIYTLANVYRIGDKILEQCGN -KAYDLIKMIEPICNLKMMELARLHRPKIPKFPHFEEHVKGSVRELTQRSNRIQTLYDLIMSMKDVDLVLV -VYGSFRHWGHPFIDYFEGLEKLHTQVNMEKHIDKEYPQQLASDLARLVLNKQFSESKKWFVDPSKMSPKH -PFYEHVINKTWPTAAKIQDFGDNWHKLPLIQCFEIPDLIDPSVIYSDKSHSMNKKEVIQHVRSKPNIPIP -SKKVLQTMLTNRATNWKAFLKDIDENGLDDDDLIIGLKGKERELKIAGRFFSLMSWRLREYFVITEYLIK -TYYVPLFKGLTMADDLTSVIKKMMDSSSGQGLDDYSSVCLANHIDYEKWNNHQRKESNGPIFRVMGQFLG -YPSLIERTHEFFEKSLIYYNGRPDLMTIRNGTLCNSTKHRVCWNGQKGGLEGLRQKGWSIVNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKTRSELELRAVLHQMAGNNNKIMEEIKRGTEKLGLIINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANLMSSVSTNALTVAHFAENPINAMIQYNYFG -TFARLLLFMHDPAIRQSLYKVQDKIPGLHTRTFKYAMLYLDPSIGGVCGMALSRFLIRAFPDPVTESLSF -WKFIYEHASEPHLRKMAVMFGDPPIAKFRIEHINKLLEDPTSLNISMGMSPANLLKSEVKKCLIESRSSI -KNEIIKDATIYMHQEEEKLRGFLWSIKPLFPRFLSEFKAGTFLGVSEGLINLFQNSRTIRNSFKKRYHKD -LDELIIKSEISSLSHLGSMHYRLGDNQIWSCSASRADILRYKSWTRKVVGTTVPHPLEMHGPPSKKERPC -QLCNSSGLTYISVHCPKGIIDVFNRRGPLPAYLGSNTSESTSILQPWEKESKIPIIKRATRLRDAISWFI -PPESPLSTCILNNIQALTGEDWSSKQHGFKRTGSALHRFSTSRMSNGGFASQSPATLTRMIATTDTMRDF -GTKNYDFMFQASLLYGQMTTSISRYGTPGSCTDHYHIRCKGCIREIEEVELNTSLEYKTPDVSHILEKWR -NNTGSWGHQIKQLKPAEGNWESLSPVEQSYQVARCIGFLYGELTHKKSRQADDSSLFPLSIQLKVRGRGF -LRGLLDGLMRSSCCQVIHRRSVSTLKRPANAVYGGLIYLIDKLSASSPFLSLVRTGPIRQELEQVPHKMS -TSYPTNIRDLGSIVRNYFKYQCRPVERGNYKTCYNQIWLFSDVLSTEFIGPMAISSSLLRLLYRPSLTKK -DREELRELAALSSNLRSGEDWDDSHIKFFSNDLLFCSQEIRHACKFGIKKDNEDITFYPNWGTEYIGNVI -DIPVFYRAQNVKKDIKVPPRIQNPLMSGLRLGQLPTGAHYKMRAIVFRLKIPYHDFLACGDGSGGMTAAL -LRYNRTSRGIFNSLLDLSDTMLRGSSPEPPSALETLGGERVRCVNGDSCWEHPSDLSDENTWKYFLHLKK -GCGMSINLITMDMEVQDSVISYKIESLVRQYVPVLLESDGCLIYKTYGTYIATQEDNSLTLIGSLFHSVQ -LVQTDLSSSNTSELYLVCRGLKDYVDTPFVDWIELYDNWEKQYAFRSFKDEFQRAQSLTPETTLIGIPPQ -FVPDPGVNLETLFQIAGVPTGVAHGITHHILQSKDKLISNAIGSMCVISHFTINTIRTTDSMPGPPSDGD -VNKMCSALIGTCFWLSWMESDLNLYKTCLRSIMKSMPVRWFRTLKNEKWSQKWDCKGDAIPKDSRLGDSL -ANIGNWIRAWELIRNGNKSEPFDSMVAEALPKSVDKSLSWRKISKSTGIPRLLNSDIDLVDQSILNVQID -IVENQAWQN - ->sp|Q8B0H5.1|L_VSIVS RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MEVHDFETEESNDFNEDDYATREFLNPDERMTYLNHADYNLNSPLISDDIDNLIRKFNSLPIPSMWDSKK -WDGVLEMLTACQANPIPTSQMHKWMGSWLMSDNHDASQGYSFLHEVDKEAEITFDVVETFIRGWGNKQIE -YIKKEKWTDSFKILAYLCQKFLDLHKLTLILNAVSEVELLNLARTFKGKVRKSSHGTNICRLRVPSLGPT -FISEGWAYFKKLDILMDRNFLLMVKDVIIGRMQTVLSMVCRIDNLFSEQDIFSLLNIYRIGDKIVERQGN -FSYDLIKMVEPICNLKLMKLARESRPLVPQFPHFENHIKTSVDEGAKIDRGIKFLHDQIMSVKTVDLTLV -IYGSFRHWGHPFIDYYAGLEKLHSQVTMKKDIDVSYAKALASDLARIVLFQQFNDHKKWFVNGDLLPHDH -PFKSHVKENTWPTAAQVQDFGDKWHELPLIKCFEIPDLLDPSIIYSDKSHSMNRSEVLKHVRTNPNTPIP -SKKVLQTMLDTKATNWKEFLKEIDEKGLDDDDLIIGLKGKERELKLAGRFFSLMSWKLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMLDSSSGQGLKSYEAICIANHIDYEKWNNHQRKLSNGPVFRVMGQFLG -YPSLIERTHEFFEKSLIYYNGRPDLMRVHNNTLVNSTSQRVCWQGQEGGLEGLRQKGWSILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKSRNVVELQGALNQMVSNNEKIMTAIKIGTGKLGLLINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAENPINAMIQYNYFG -TFARLLLMMHDPALRQSLYEVQDKIPGLHSSTFKYAMLYLDPSIGGVSGMSLSRFLIRAFPDPVTESLSF -WRFIHVHARSEHLKEMSAVFGNPEIAKFRITHIDKLVEDPTSLNIAMGMSPANLLKTEVKKCLIESRQTI -KNQVIKDATIYLYHEEDRLRSFLWSINPLFPRFLSEFKSGTFLGVADGLISLFQNSRTIRNSFKKKYHRE -LDDLIVRSEVSSLTHLGKLHLRRGSCKMWTCSATHADTLRYKSWGRTVIGTTVPHPLEMLGPQHRKETPC -APCNTSGFNYVSVHCPDGIHDVFSSRGPLPAYLGSKTSESTSILQPWERESKVPLIKRATRLRDAISWFV -EPDSKLAITILSNIHSLTGEEWTKRQHGFKRTGSALHRFSTSRMSHGGFASQSTAALTRLMATTDTMSDL -GDQNFDFLFQATLLYAQITTTVARDGWTTSCTDHYHITCKSCLRPIEEITLDSNMDYTPPDVSHVLKTWR -NGEGSWGQEIKQIYPLEGNWKNLAPAEQSYQVGRCIGFLYGDLAYRKSNHAEDSSLFPLSIQSRIRGRGF -LKGLLDGLMRASCCQVIHRRSLAHLKRPANAVYGGLIYLIDKLSVSPPFLSLTRSGPIRDELETIPHKIP -TSYPTSNRDMGVIVRNYFKYQCRLIEKGKYRSHYSQLWLFSDVLSIDFLGPFSISTTLLQILYKPSLSGK -DKNELRELANLSSLLRSGEGWEDIHVKFFTKDILLCPEEIRHACKFGIAKDNNKDMSYPPWGRESRGTIT -TIPVYYTTTPYPKMLEVPPRIQNPLLSGIRLGQLPTGAHYKIRSILHGMGIHYRDFLSCGDGSGGMTAAL -LRENVHSRGIFNSLLELSGSVMRGASPEPPSALETLGGDRSRCVNGETCWEHPSDLCDPRTWDYFLRLKA -GLGLQIDLIVMDMEVRDSSTSLKIESNVRNYVHRILDEQGVLIYKTYGTYICESEKNAVTILGPLFKTVD -LVQTEFSSSQTSELYMVCKGLKKLIDEPNPDWSSINESWKNLYAFQSSEKEFARAKKVSTYFTLTGIPTQ -FIPDPFVNLETMLQIFGVPTGVSHAAALKSSDRPADLLTISLFYMAIISYYNINHIRVGPIPPNPPSDGI -AQNVGIAITGISFWLSLMEKDIPLYQQCLAVIRQSFPIRWEAVSVKGGYKQKWSTRGDGLPKDTRISDSL -APIGNWIRSLELVRNQVHLNPFNEILFNQLCRTVDNHLKWSNLRKNTGIIEWINRRISKEDRSILILKSD -LHEENSWRD - ->sp|Q8B0H0.1|L_VSIVC RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MEIHDFEADEFIDFNEDDYATREFLNPDERMTYLNHADYNLNSPLISDDIDNLIRKFNSLPIPSMWDSKN -WDGVLEMLTSCQANPIPTSQMHKWMGSWIMSDNHDASQGYSFLHEVDKEAEITFDVVETFIRGWGNKLIE -YTKKEKWTDSFKILAYLCQKFLDLHKLTLILNAVSEVELLNLARTFKGKVRVSSHGTNICRLRVPSLGPT -FISEGWAYFKKLDIIMDRNFLLMVKDVIIGRMQTVLSMVCRTDNLFSEQDIFSLLNIYRIGDKIVERQGN -FSYDLIKMVEPICNLKLMKLARESRPLVPQFPHFENHIQTSVDEGAKIDRGIKFLHDQIMSVKTVDLTLV -IYGSFRHWGHPFIDYYAGLEKLHSQVTMKKDIDVSYAKALASDLARIVLFQQFNDHKKWFVNGDLLPHDH -PFKSHVKENTWPTAAQIQDFGDKWHELPLIKCFEIPDLLDPSIIYSDKSHSMNRSEVLKHVRMNPNTPIP -SKKVLQTMLDTKATNWKEFLKEIDEKGLDDDDLIIGLKGKERELKLAGRFFSLMSWKLREYFVITEYLIK -THFVPMFKGLTMADDLTAVIKKMLDSSSGQGLKSYEAICIANHIDYEKWNNHQRKLSNGPVFRVMGQFLG -YPSLIERTHEFFEKSLIYYNGRPDLMRVHNNTLVNSTSQRVCWQGQEGGLEGLRQKGWSILNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTKKSRNVVELQSALNQMVSNNEKIMTAIKIGTGKLGLLINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANIMSSVSTNALTVAHFAENPINAMIQYNYFG -TFARLLLMMHDPALRQSLYEVQDKIPGLHSSTFKYAMLYLDPSIGGVSGMSLSRFLIRAFPDPVTESLSF -WRFIHIHARSEHLKEMSAIFGNPEIAKFRITHIDKLVEDPTSLNIAMGMSPANLLKTEVKKCLLESRQTI -RNQVIKDATIYLYHEEDRLRSFLWSINPLFPRFLSEFKSGTFLGVADGLISLFQNSRTIRNSFKKKYHRE -LDDLIVRSEVSSLIHLGKLHLRRGSYKMWTCSATHADTLRYKSWGRTVIGTTVPHPLEMLGPQHRKETPC -APCNTSGFNYVSVHCPDGIHDVFSSRGPLPAYLGSKTSESTSILQPWERESKVPLIKRATRLRDAISWFV -EPDSKLAMTILSNIRSLTGEEWTKRQRGFKRTGSALHRFSTSRMSHGGFASQSTAALTRLMATTDTMRDL -GDQNFDFLFQATLLYAQITTTVARDGWTTSCTDHYHITCKSCLRPIEEITLDSSMDYTPPDVSHVLKTWR -NGEGSWGQEIKQIYPLEGNWKNLAPAEQSYQVGRCIGFLYGDLAYRKSTHAEDSSLFPLSIQNRVRGRGF -LKGLLDGLMRASCCQVIHRRSLAHLKRPANAVYGGLIYLIDKLSVSPPFLSLTRSGPIRDELETIPHKIP -TSYPTSNRDMGVIVRNYFKYQCRLIEKGKYRSHYSQLWLFSDVLSIDFLGPFSISTTLLKILYKSSLSGK -DKNELRELANLSSLLRSGEGWEDIHVKFFTKDILLCPEEIRHACKFGIAKDNNKDMSYPPWGRESRGTIT -TIPVYYTATHYPKMLEMPPRIQNPLLSGIRLGQLPTGAHYKIRSILHGMGIHYRDFLSCGDGSGGITAAL -LRENVHSRGIFNSLLELSGSVMRGASPEPPSALETLGGDKSRCVNGETCWEHPSDLCDPRTWDYFLRLKA -GLGLQIDLIVMDMEVRDYSASLKIETNVRNYVHRILDEQGVLIYKTYGTYICESEKNAVTILGPMFRTVD -LVQTEFSSSQTSEVYMVCKSLKKLIDEPHPDWSSVNESWKNLYAFQSSEQEFARARKVSTYFTLTGIPSQ -FIPDPFVNLETMLQIFGVPTGVSHAAALKSSDRPADLLTIGLFYMAIISYYNINHIRVGPVPPNPPSDGT -AQNVGIAITGISLWLSLMEKDIPLYQQCLAVIQQSFPIRWEAVSVKGGYKQKWSTRGDGLPKDTRISDSL -APIGNWIRSLELVRNQVRLNPFNEILFNQLCRTVDNHLRWSNLRKNTGMIEWVNRRISTEDRSILILKSD -LHEENSWRD - ->sp|P13615.1|L_VSNJH RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDFNLIEDSTHWEEEESDFLLRDILSKEDQMSYLNSADYNLNSPLISDDMVYLIKRMNHEEVPPIWRSKE -WDSPLDMLKGCQAQPLSHQDMHNWFGTWIQNIQHDSAQGFTFLKEVDKEAEMTYDLVSTFLKGWVGKEYP -FKPKGREIDSIALVGPLCQKFLDLHKVTLILNAVSLGETKELLTTFKGKYRMSCENIPIARLRLPSLGPV -FMCKGWTYIHKERVLMDRNFLLMCKDVIIGRMQTFLSMIGRSDNKFSPDQIYTLANVYRIGDRILEQCGN -RAYDLIKMIEPICNLKMMELARLHRPKIPKFPHFEEHLKGSVRELTKKSNKIQALYDLIMSIKDVDLVLV -VYGSFRHWGHPFIDYFEGLKKLYTQVNMEKNIDKEHPQQLASDLARLVLLKQFSESKKWFVDLSKMPPKH -PFYEHVVNKTWPTAAKIQDFGDNWHKLPLTQCFEIPDLIDPSVIYSDKSHSMNKKEVIQHVRTKPNIPIP -SKKELQTMLTNKATNWKVFLKDIDGNGLDDDDLIIGLKGKERELKIAGRFFSLMSWRLREYFVITEYLIK -TYYVPLFKGLTMADDLTSVIKKMMDSSSGQGLDDYSSVCLANHIDYEQWNNHQRKESNGPIFRVMGQFLG -YPSLIERIHEFFEKSLIYYNGLPDLLTIRNGTLCNSTKHRVCWNGQKGGLEGLRQKGWSIVNLLVIQREA -KIRNTAVKVLAQGDNQVICTQYKTRKTRSELELRAVLHQMAGNNNKIMEEIKRGTEKLGLIINDDETMQS -ADYLNYGKIPIFRGVIRGLETKRWSRVTCVTNDQIPTCANLMSSVSTNALTVAHFAESPINAMIQYNYFG -TFARLLLFMHDPAIRQSLYTVKEKIPGLHTRTFKYAVLYLDPSIGGECGMALSRFLIRAFPDPETESLSF -WKFIYEHARSLHLKKMAVMFGDLPIAKFRIEHINKLLEDPTSLNISMGMSPANLLKSEVKKCLIESRSSI -KNEIIKDATIYMHQEEEKLRGFLWSINPLFPRFLSEFKAGTFLGVSEALINLFQNSRTIRNSFKRRYHKD -LDELIIKSEISSLSHLGSMHYRLGDNHIWSCSASRADVLRYKSWTRKVVGTTVPHPLEMHGSPSKKENPC -QLCNSSGLTYISVHCPKGITDVFNRRGPLPAYLGSNTSESTSILQPWEKESKIPIIKRATRLRDAISWFI -PPESPLSTCILNNIRALTGEDWSSKQHGFKRTGSALHRFSTSRMSNGGFASQSPATLTRMIATTDTMRDF -GTKNYDFMFQASLLYGQMTTSISRYGSPGSCTDHYHIRCKGCIREIEEVELNTSLEYRTPVVYHILEKWR -NNTGSWGHQIKQLKPAEGNWESLSPVEQSYQVARCIGFLYGELTHKKSRQADDSSLFPLSIQLKVRGRGF -LQGLLDGLMRSSCCQVIHRRSVSTLKRPANAVYGGLIYLIDKLSASSPFLSLVRTGPIRQELEQVPHKMS -TSYPTNIRDLGSIVRNYFKYQCRPVERGHYKTYYNQIWLFSDVLSTEFIGPMRISSSLLKLLYRSSLTKK -DKEELRELAALSSNLRSGEDWDDLHIKFFSNDLLFCPAEIRHACKFGIQKENEDIALYPNWGIEYIGNVI -DIPVFYRAQNVQKDIRIPLRIQNPLMSGLRLGQLPTGAHYKMRTIISRLKIPYHDFLACGDGSGGMTVAL -LRLNRASRGIFNSLLDLSDTMLRGSSPEPPSALETLGGERSRCVNGDSCWEHPSDLSDKNTWKYFLHVKK -GCGMSINLITMDMEVKDPTMSYKIELLVRQYVPVLLESDCCLIYKTYGTYIATQKDNSLTLIGSLFHSVQ -LVQTDLSSSNTSELYLVCRRLKDYIDTPFVDWIELYDSWENQYAFKDIKDEFHRARSLKPETTLVGIPPQ -FIPDPGVNLETLFQIAGVPTGVAHGVIITSMQSKNKLISNAIGSMCVISHFVMNTIRTTDSMPGPPSDGD -VNKMCSALIGTCFWLSWMESDLNLYKTCLRSIMKSMPVRWFRALKNGKWFQKWDCKGDAIPKDSRLGDSM -ANIGNWIRAWELIRDGNISEPFDAAAVEMLTTSVDKSLSWKKILKTTGIPRLLNSDTDVIDQSILNVQID -IVENQAWQN - ->AMK09277.1 RNA-dependent RNA polymerase [Pararge aegeria rhabdovirus] -MDGSDLYTNFDDQPDGLLESIDDRLGSLRGRDHRVPLEGLINVDYSLNSPLISDEIDEFLLYIRGRPYRT -SWNQKLWLHRKLALTHVGIKPDHIKPPSEFHSTFFKYLKCWKPLTHRLDKLLTQANKVHITTWEIPKAFF -KTWLGKDLEPPEKSSLSPDTQKYGSIWLDLHFITLFLNASSKTELKELSQHLSATFKDMSAYSIIRVHLP -NLGFCTITNGIVFISNLGILADRNMILMMKDVTNSRFQSLMCLQNRLDDKFSREDLHCMERIYRAGDELI -IKNGNIGYDGVKLLEPLCNLRFTELAQLERPRIPLSPDFKTFLRTSIEESGVNREGLIVVSEIIDNVSRV -NLLLVIYGSYRHWGHPFLEYLEGLRKLRIQTNMNKDIDSEYAGRLASDLAMTVCKDQFTKTKKWPVDVTA -LDKSHKLYDCISQGTWPTPFLIRDIGETWHLLPLKKCFEIPDVVDPSIIYSDKSHSLNRSEILAHVQRFP -NKPIPSKKVLETFIKTPATDWKKFLQEVNDQGLDPEYLVIGLRGKEREIKRIGRFFALMSWKLRDYFVIT -EYLIKIHFVPLFSGLTMADDLNTVMKKMLDTSNGQGLDSYEFITLANHIDYEKWNNHQRGESNSPVFRVM -GQFLGYPDLISRTHEFFEQSLIYYNGRPDLMCLANNTLHNKNPDIPVCWNGQRGGLEGLRQKGWSITNLL -VIRREGRVENTRISILAQGDNQVICTQYKLQKVRTDDELDNCIQKVLKNNQRIMGNIIKGTERLGLIINQ -SETIRSADYLNYGKVPIFRGKIMGLESKRWSRVTCVSNDQLPSIGNIMSTVSSNALSVGYFSESPINAIS -HYNFIGNLTLEVLSIHNPATKCALEKKLAPREVKYYLSLHYRILLLYLDPSLGGICGVSLTRFLIRSFPD -PLTEGLSFWKGIYPHLNRGLQGLIYKIGNPQLCPYSRTHFPKLLENPLALNLKHGVNPVQVIKDEIKKSL -IRGCDKIQNHIVRHAVIHSRDEEQPLYAFLESITPRFPRFLSEYKASTYLGMTEGLVGLFQNSKTIRNMF -SSSMKREIDNIIITSEIQGVRLLLGIVKAGLMQSGPCWPCSSEQADTLRTISWGGPVLGATIPHPFEMMR -IPQLSTRCSHTSEGLSLSDVYLSVLVPKGMPNHQGKKGPYKAYLGSKTKESTSLLRPWENESKIPLIRRA -ADLRKAFGWFINQDSNLGRSILSNLSALTGENWEDNQPEKARSGSALHRFSCSRQSQGGYIAQAPLFGTW -MLETTDTMSQLGSTNYDFLYQAQLLYSQMTIGEIHNGCQTTAMYHFHIDCIQCLRPIEEVKLDSDYIYIH -PSVSDVLESWKPEGVAWLTKRTILKLPKGNWARLDRNEQSFHIGKMQGFLYGEMTYRHRHMQEDASLFPL -VLREKLSPRHYMKGLLYGLIHASAIAALYRRSVQNLRRPDALLLGQVLFLIDNISCNSGLINVWRGQGFL -GEFILIPHRIPPSYPLNNHDLGSLGRNYLRATFTEFKRNHGNLPRTYEDLWIFSDFIDSDLIIPYVIAKD -SINLLYLNKVTPQIVPRIRELKELLGSDDPGEQSDAMSRILQLRRVYMLDQELRHALKSIGPLKSLEYYT -RDLQEAGWGPEYIGDVIEIPIAYEVDPPGVTDLPLINHRQDPLISGLRLFQCPTGAHYKLRTIIERLKIN -FQDVLVGGDGSGGMTSCLLRMNPISRAIFNSLLDLEGVELKGSSPSPPSAIACIPEICRRCVNYQDVWKG -PTDLCREGTWINFVNLQKLHELSIDLLVFDVETKREGDLLIIEQLLSKYVNQLLTKNGVIVFKTHVDRLL -RTWDTGLMTLAGSCFRKVSIVVGTMSSSGTSEVYLVMRYPRAGSLNCKPAIRSLIRSIHIIPSQRSCFDE -FRRALAIPIHKLFKGVPKSMIPDPHTELCVLLISIGVESGIGALVAELWRQSTYEQQTVLPYYTLFTVLN -SLLQLTRGEKELTVSPDRVVYNVGGFLVGFLNWFAWITHCYRLKALAQSYIDHCFLFSWKRFKTKKNLIM -KKISFLGAYTSEKNVYLDSKMALVGSVIRVFARLMGPPRYPQFNEMSIDHLIKAENIGNNLTFIRKTTDI -LDVLDPRTPLPKKAQPFIGVTLTKRPEVAWTQDQI ->ACZ81407.1 RNA polymerase [Moussa virus] -MDNEDYTYDNYQDPYDWDAMFREEDQMMGSTKKPIRLINNTDYNLNSPLIRDETDGYLRKIQGKTPIRGQ -GENQRTARAIKAVLTELGYNLFRLKDSQWHHKALPDMIYEQPGKLSLDEVLSVWGKSWDVATEPIKTTEL -TLTGTEVHGGSYTRSLRELKNPDNHRFSSKIEFEQFLNLHLIVCLMNSTSHTASKKIVTKMCVNSSFRIK -EDIGVTIMATLNQNIRWIITPKVCVNLTSGLILDKNFIMMMKDICLARFLSTVTIKNRSDHHNGLEAVSV -LRSLYLEGDNLVRLHGNKAYKCIKMVESECTERWNKLGNRHRPLIPLSTSLEEHLKEQEMSLSREDGIWG -AEFLNIIRTQEDPWIIGQLYGAYRHWGHPYIEGLVGLKKLHDRVQKNLTIDKEFAEKLGSEMAFMVLEQR -FKKEKRWYCTSKGLEDNSALKACIDQNIWPTHKVIRDFGDKWHTLELLPCFDLPDEIDSADMFSDKAHSL -NRDDIIQHLKDKPNSPIPTKRVIETLLNTDLPSVRDFLRDINENGLGLNDLVIGLKEKERELKEEGRFFS -LMGWKLRLYFVITEFLIKKFYVPMFKGLTMADDLNTVTKKLLKATEGQGRDDYDEIYIANSLDYDKWNNN -QRYESNEHVFRVMGKFMGLPEIFAMTHKFFQQSLVYYCSRPDLMKVEGNTLVNVNPETPVCWNGQQGGFE -GLRQKGWSVVNYLILRREIMTRNTSTMILAQGDNQIIIPKYKLVNKRNNLEMRKEINNVWMNNAHLMNRV -RESTKALGLTINKDEVVTSAELLIYGKIPIYRGKIIALESKRWSRVSSVTNDQIPSLSNSVSSATTSAIT -VCQHSDDPIETMYQYGFVGSMVLALTSWYSPILGPDPYSLQNMKGDDFSIFVWRMLYKDPSLGGVCGTNL -MRFLISRFPDPVCESLAWWKLIYHNTNSLLLKQLCLECGDPPIGNVNATTLSMLLEDPTSLNIPGTLSSN -TLIKDQIYIGLANRVADGEIKNRQVRESINYTSTYKEGFVSWLFSITPVFPRFISEFYTGTYFKITEGII -SIFQNSRTIRTVFSSEFDRKLQQVIYKSEESSVKLLKRRLTLRSLNSIWSCSSSLSDQLRKQSWGFPLIG -ATIPHPAEMVQETSCGACTGPHVVGKKTGAIKFSEWSRGPLMPYLGSKTSETTSVMQPWEKSIDISILRH -ACNMRRMIDWITETDDNVSKTIYNNIESLTGLNLKEEERTYSRTGSGQHRLRCSRVSNEGNPAVGFNNLM -YIAVTTDSLGEINGENYDFMYQSLLCWAGILATLPTNLLVCSDTTHFHIKCDQCLRKIEDEKVSAPTEYV -FTDVSEQIKRMIGNDIVVRTSRRHTTPAKINWELLKSDEKSWHLGRAQGFLWGLGMFADCLEEWEDILFP -LSITNKVSVSGYMKGLHRGFLLGACLPPVYSRYGTLDTKAQLRFVGAYWAIITSALGQSKLPELINHKKF -NRFTAHYGSSVIKSYPARKEELVGVLRKWFLNQMVEDHHNVEFWKSRPVVTFAEMDSDFVLNMFRIAEKL -LPAYRRHQLGSRDLKAIKWGRKIIDLLNKQRQEKIEQHESKELDKLINGHLLPKCSLVDQEARKAASSIS -QDQCDDDYNVELFSTRGHEEGLGCDGVVVEYQPEGSVDYKRGVVGTLQVGKVRDPTIAGKRLIQLSTGAH -YKLKDLLCRINPKGDGMFIGDGSGGMGACYLRLYPERKIIFNSLFQMEGESMKGVAPQGPGAYTSCGENV -WSRCVNYSTCYQEHSDLSDNQTWETFLGLIERHKLRVGVICCDAEVFDNTVTDKIEDNIRFYVEKIFRYG -KGIIIYKTYWERLLLVHSLAHDLGEIFETVEILMPDTQGSHTSEIYVVGHKLKRTKTTGRKIMTEMTMIQ -IHQLLKINKGDEQEFIRARSLNYETMCNGLELRVPFTDSVDVMEYLIGLGVKAGMALQVSNDLIDLSNNN -MHPIHLMYALVYVISRDTINIETGTKGTVSVPASSKLQRLIAGLFGIWFGISELLQDYNMHKLILKLYQE -AVSVAIYPIKRRKYTFTGWKIGTGGFTKVVDPGERAGVTQSMIRMINCLYRGRWVNRDVKSSDVSKLNLF -LRKLSKSVTPQLIEERTGIVTAYDNEEVDDGQITYLEERED ->AGX86091.1 RNA-dependent RNA polymerase, partial [Beaumont virus] -GGRFQAMMHIQYKEYPEFHPEHLASIIRLFKVGDNFISSYRSRGYKAIKMIEPLVMGELQSRVEQSRPLI -PTFHHFTEHLRREITTLAETMVEIIDFHSEIHTCPDIDSLLTCYGLFRLWGHPFIDYMDGLRQMNGYTTK -KLDIDEDYAEKLGSDFALIVLRHKYRTEKKWYVDVEQLEDGHYLKSYVQTNTWPTAEQIAGMPETWNKLP -LLKCFDIPDMIDPSMIYSDKRHSMDLDEIVDFLLNTNYQTPIQTRSVLRSQMEREATDIKQFLQDINDRG -LPLKELVIGLRAKERELKLVGRFFALMTWSLREYFVITELLIKKHFVPLCKGLTMADDYNTVMSKMIQAS -EGQSTDGYDNVTIANHVDYAKWNNHQRKEANNPVFRVMGQFVGMPELFCRTHEFFESALYYYRDRPDLMI -VEDGDIRNRGPDLVCWRGHPGGCEGLRQKGWSVVNYLALLRESKKWNTRMRYLMQGDNQVICTFYKTRSS -RSQEEMEGYLNDIHANNNAIMEAIQVGTQKLGLMFNNDETLQSSQLMVYGKVVLINGSITGLPEKRLSRC -LCTTNDQLPSIGSVSGTVVTNALTVCNYSETFLNAIIQYNWIGNYARNLLELHNPALRGPHPKWEVRGGK -IVYDRRFKIRYLYLDPSLGGVGGVSLPRFTIRQFPDQITEGLSFWKLIHDNTQDSAIKDLALECGHPRLC -TYDTSHFPKLMENPSSLNLSTGFSVLSVVKNKIKDALLSGDIQIKNHVLASAIETASNHDETLMLVLERS -TPCFPRFLSEFRSSSFYGIVENFTGMFINSRTIRTILSHHLGLELDDKVIASEKASLAQLAYVPSNSASN -LSQWDCSSTKADELRELSWGRPILGATVPHPLELMGSVKRVDADCLDCNRGEPFSDRVTIRIHYPFPEVY -SGRGPYRPYLGSKTSETTSLIQPWERETNIPIIKKAFSLRRVINWFVKPDSKMAEAIYENIKSLTGEDAR -EAALGFKRTGSGLHRFACSRQSNGGFSAVAPNLASHMIMTSDTMNYTAQSNYDFMYQSLLLFAQVGAVTV -HSLQETGGTYHVHVNCKMCLREITGPEIDTPIDCNFPDMSSLLNSWKPDSVQWYREKPSIKLVTGDWKSL -HNEEKSRQVGSILAFYHSDKIATSPDQLADLFPVVLKSKLDPIPFLEGICLGLCRASGMQLLRRPSIYRG -SGYREALYASLYESTKELCSNPAFLSLCSTGYLTEIISTCSHKIPASYPLSMEELGQILSTYIRTVYKSQ -LSSGRLRNHLLMPLWLFSEFLDSEIAGPYILSTNVLMTLIKPKIKTTDINLLKASNRDETLLRSKEVDSD -LINKLTMSNSVLLCQEEVRHACKSMIPPVKYTSSPVFPVFYEGYISIPRSHKLTYSLEKSNVWNLISSPP -DLRDPTVSGLRLPQISTGAFLKFRGILDHYQIQYQDYLCGGDGSLGLTSSLARRNLSSKFIFNSLFEGDM -NHSKGVTPSPPSALSHMPLSVYSRCVNYLDCWQKPSDLSHSETWTYFKSEIIKHRLYLDLIVLDMQVVSE -ETIQAIEINVLSNIGVILRPLGIFNNVS ->AJR28310.1 polymerase [Aruac virus] -MDSIELDDCAYENDFDESAGFDESWIGGEESGSETFYLNNKDYNLNSPIILDGYQDLIKLSLNLPTETTT -GRGELEIQQITGIRRRYVPSKEVRSPNDLFKETYRKIVIPSIKSDRRPWTKLLNHVCEISEETKKICEAY -LEKDLPIYKDKMLASINEDILQLGEKFWIFHKLVLALNHSTEDEFSVLAPKLMMLKVPIYNNTVKISYIK -ESEYGSIYVFENYIYLVDLDLILPRNLVLMLKDIFISRFQNLLSMILDTENLFDKRDLLAMSTLYKTGDN -IIASVGNKGFDILKLIEPICSLQFYELSRLRRPLIPEFPSYREHINKTIEELTLIHPGIKSLFFQIESVA -KVDLLLIIYGSFRHWGHPYIEYEEGLEALHKQVTMEKEINTQYAESLASDLAFKVLKKTFFEKKKWAVDL -TLLNKKDKLYDHISKNTWPTYSQIQSYGDNWHKLPLIQCFDIPDLIDPSIIYSDKAHSLQRQEIIDHLQS -NRKGVIPTKRVLTTMLETPATDWKEFFQMVNDNGLPEESLIIGLKAKEREMKRIGRFFSLMSWQLREYFV -STEYLIKEHFVPLFKGLTMADGLMKVTKKMLESSLGQGNEDYNTISIANHIDYEKWNNHQRYESNHPVFK -VMGQFLGYPNLISRTHEFFQKSWIYYAGRADKIWTDGRSLRNVGSGRYTWNGQAGGLEGLRQKGWSILNY -LVIEREAKLRNTMVKVLAQGDNQTITTTYKIRPTRTQDELLSAINDICKNNQAILDAIILGTTKLGLIIN -KNETVQAADYMNYGKVPIYWGVIRGLNGKRWSRANFVTNDQLPSLANVLSSVSTNALTVSHFSRTPTTSI -FIYNFIGTIGLEILNYHNPAIRNSPCKVLRDGHLIQTPEFRAIALFLDPSLGGISGTNLNRFLIRMFPDP -VTESLTFWKKVYHNCTLEWIKNLSCACGYPDIKDLETTDLDKLIEDPTGLNIKHGINVTNIIKEEIKKQL -IYNADRINNEIMRQCAIYLSNEEEQILSWIRSINPLFPRFVSELYSATFLGTVKSMLGLFVNSRTIRNTY -RRKYRSDLDKLIVKSEIIALSSIVLITKRSKTGSSHMWSCSSSHADLLRNNSWGVNIVGMTVPHPCEFLS -KPIDKRFCGLDLASGLGKDYITVLYPRGLPKLPCKGPYVPYLGSNTSEGTSILTPWERETNIPLIKRATR -LRNAISWFITPDSPLARSILNNLRSLTGLDWSEQIKGFKRTGSAIHRFSSSRVSNGGFSASSPWALSWVI -STTDTLSQLNDNNYDFMFQSMLIWAQIQTIMECDGVLESGIHHLHISCEACIRPIEEITLETPYEYTFKD -VSTIIKRWIPGGLKNSITDIPMVQVNTGDWSLVSDEDKSSFIGIGVGFVFSDMCLSDNWHMNDTSLYPIS -LRNRLHPSSFFRGLTIGILRGASISLINRRNLLKGIRSDQMLWGTANYVIEVLSEQEQFLTMMNLSYLYQ -ELIRYPHKIPCSYPPSLSDCGIIFRGYMRQLLLNISRTNLSNNDSITWIFSDLQSPKIMYPFILSVTACR -IIMRGCLTKQTKDRIRDLQGRYITIINSESDAGGLILSYLEESEVYMCSSEIRHAAKDINLRRELMVRPP -LVWGKELCTSISRSPIFYETNSKNTDPAPRCQNPLISSMRLVQLSTGAHYKIRSILQNYPIKYQDFLCGG -DGSGGMTSALLRWNPFSKGIFNSLLELEDHGMKGARPPPPSAVLELGDDGERCVNLYTAWEEPSDLKEES -TWQNFIKLKNEYKLTIDLIVLDMEVRSLEMSRSIEENVIKYIPQLMKKGVLIYKTYVDRIRQPGSPIVDT -SSVLFYLTSLVTTEVTSSQSSEIYLIGHFDQSQCQSKKEPVGYYRTIDELKKISPVFQPLSVEFQRALRL -KNKNFEMGVPKQLIISPQEELIGLFVSLGCQNGYAMHLLKNLSSYQDYSSTTFIFSLLSLTLNSLFNFTD -SKFDALSLPSDKSCKRAGIMWAGFLNWVSLCREDIKYHQSSQGLLHGGMFISKRKKPSSSALICLGSGRP -IKTVGQTKYFYLDDSIGEIGSITRALIRGFGFKGEKIRQDLYNMIISDYNKGLIWKKLETKIQFPQQSYL ->AMK09271.1 RNA-dependent RNA polymerase [Ceratitis capitata sigmavirus] -MESFGDLNLNYIDMAEDMRDSDIQHLFDDQESQLIEESMTHLSNVDYSLNSPLIPDEVEDFLKWNNDLST -GKRWNLNKFQRMKTLFNEDLNQVPLDCLKNFPKWFGQFNLKTFYNPEPKFVKLYKEAYDLSLKTKPIVDS -FLKGWINQVPNTKTLEYTKLTTEANKWGSYYWELHCLVLLLNCTNKHEAEALTESIKRVNYLGYNGGHTF -KIRLSNFGHVTVGYGCALFKDLNLLLDRNTVLMMKDTYVARFNTLIAVQFRTDNMFPDQSCKLLIEMYRL -GDNILKEGGIKGYDSIKLLEPMCTDRLSHLAQEYRPLIPLFPDFTEHINQLIKERSKETPSIILLRRLIN -TTENVELVLTMYGSFRHWGHPFINYLEGLEALYNQVTLKKLINKKYTGFLASDLALLVIRDQFRTKKQWP -VDETLVSDTHMLKTFIANKTWPDNATIKNFGNKWHTLPLIKCFEIPDVIDPSQIYSDKSHSITRSELRKL -LSKGNVSHIPSKKVLSSLLSEPSTEWPKFLKKVNDCGIDEDHLIIGLKAKERELKTIGRFFALMSWQIRD -YFVMTEYLIKEHFVPLFKGLTMADDLTTVMSKMIESSAGQGGIDYENITIANHIDYEKWNNHQRKESTDP -VFKVMGQFLGYPQLITRTHEIFEKSWIYYNNRGDLMMIDGSGNLVNKTKHRVCWQGQEGGLEGLRQKGWS -INNFLVIQRESYSVNTRVKVLAQGDNQVICSQYKLQNHRNQSELINHIQEVVRNNDILFKRIVKGTSKLG -LIINQDETVQSADFLNYGKTCVFRGNIRNLETKRWSRVTCVTNDQLPTLSNTLSTVSSNALTVAHYSLSP -INSMYHYNFIGHFARLIVEFHNPALRRSMYTYSKKFPKSAFKTLGYLIASLYLDPSIGGICGMSLTRFVV -RSFPDPVTEGLTFLKLIFEHTTDMTIKQIIVKMGNPQIAKKKQTDITKLLEDPLSLNIPRGIDANTMIKN -EIKKSLLKSRNKIKNNIIKHAVDHQVRFESAFLNHLITISPLFPRFLSEYRAATYFGITDSLIGLFQNSK -TIRNQFRGNLTIRYDKIIIESELTNINQLVKFGQLKAYTPKIWDCSPSHADLLRFQSWGQTVHGATIPHP -VEFFSCCCEAQNKCRLCKPLFPDSLYISILIPVSLKTVWENRGTCSPYLGSSTVESTSILQSWERETKMP -VIRRAAKLRNVIGWFVESNSNLATSIINNIHSLTGEDWSGSTSGFRRTGSALHRFNCSRQSSGGYPAQNP -TVLARMIATTDTLADLGDQNYDFMFQNCILSCLMNASLVHPTGKDQGYYHSHIKCNKCLRPIEEIQLNCP -APYNPPDVSQILQDWKPSESPWSIQNPIINIPHGNWDILSHSEKSYHIGVIQGFIFGDSSWGYSTAADDP -SLFPLTIRDKVEPETYLRGLLHGILRSGLISVTHLRLLPRANSYHSHILGHASITIDRLSKNNNMINIWR -SSNFVHTFSQIPHQIPSSYPMVTIEAGSMGHTYLQHLLNVWGRSFLVNEHDGFEKHLWIFADVNKPLYIG -LLALSEAVYSTLNTSRLTKIDKLKLIEYRKVSSNMRDVSTFTLDCIQVISPLLNPLLTTDREVRHSIKFS -VKPVVTPSTRYVPALSEWKSMSLPCAKFYFVDYEVEKQLHPTFDCNIRYQNPLISGLRLAQLATGSFYKI -ICILEGIEISPKDILCGGDGSGGISALMLRRYPFSRLIYNSLCDYNQVILKGSSPSPPSAINQIIPDSNR -CINLETSWCNPNDLSDTATWDYFLNLKREYGLNINLMLFDMEITDFNVIRRIERSLNNYLDKIGSIDQTI -IFKTYLTYLFNSTENILTLIGPKFAEVRICYTPLTSSQSSEVYIVFQGLLRLKNYHHKYLNWGSLMKKTR -KFPLFRTEYQEFLRALELKKHNLLFGIPRLFIPEINDDLCKLFDTLGVRSDISYRISKFCGYWDSSNLCW -AYLMIVTNYIISYTIQYVERPNIPSDGTIINLASWITGFTIWLGYATGVFEITRIGQLFVTYYFPFYFNP -RETISTNKKGQNVSQWVTEWGTINQTPEFKHLQLDNKMAILGRVIRVLTRSLGSHIVTGNIGDINAICHI -INPGITYNSFMSCTSYETLIHSNLKDSFFLTSTRKITNVLTPQVENEHFWKS ->AMK09253.1 RNA-dependent RNA polymerase [Drosophila sturtevanti sigmavirus] -MENESIFDTLSDNIVTSDSPLDELFNDLMEEGFWNPDPLKNPAMLSNKDYSLNSPLMKDEIEDFIIHWKD -ERCPLRWNDKSWKLRRQTIKRHINNFNPLPPEQFFSWFGGFSRRQIQQNQFDKAWEMTLADASITKHVPD -VFFKYLLGKVPQPKIITWNPEVLSYRRGCGRLFWEFHVITLALNLTTLGSITQLRTRFPLEVLEQTDRRF -SMRMKFPXIGEVVVMDGFVYICKDRILCDQNMILMCKDTLAARFHTLLCIESRYDNHFNEHSGYYLWRMY -GLVDDILVEYNEQAYDLVKLIEPMCNLRLSEMAREYRPSIPEFPRFRVHIQKAIHDLSETIPQARNVLDT -ILGLNHVEDVLTVFGSFRHWGHPFIDYKEGLKKLHAQVTMPKQIDIQYANLLASEFAKMILYEKYRESKI -WYVDITKMLVSHPLYEAFSRLILPDADEIEQAGPIWHELPIIPCFSIPQIIVPSNVYSDKSHSLTRSELK -QHYKRGYVTRIPSHKVLNTFLETPATNWPQFLKRVNDEGLSPNSLIIGLKAKEREVKKFGRFFALMSWEL -REYFVVTEYLIKLYFLPFFKGLTMADDLTMLIKKMMESSSGQGLDTDDYITISNHLDFEKWNNHQRAEAT -DPVFRVMGQFIGYPNLFSRTHEFFSKSWIYYADRGDQIKIQDDTIMNTGDDLYCWNGQPGGLEGLRQKGW -SIISLLIILVESKISNTGVKCLAQGDNQVICTHYKLNPSNDPEYRAHQIANVVQNNNQIISRIEDGTGKL -GLLINRDETIQSADYLTYGKIPVFRGNFRCLEGKRWSRVLCVTNDQLPTFGNIMSSISSNALTVSHFSES -PINPVYHYNLVGNFGRVVNEFFNPATQNVLSHLLTHSAYLHTIEYKIAALYLDPCMGGVGGLSLTRFLIR -TFPDPVTESLTFFKIIAQNSPDEQIKNLFTHFGNPRLAPNTPSNFVKLLEDPLSLNIYKGVSVKTLLKNE -IKQQLIENVFQIKNEIVALSARYMSSNELALNNFLYRIQPLFPRFLAEFKAASFFGIAESVLGLFQNSKT -IRNNYARHIGKRVDQTILKGELLSITNLIRHGITNATRATLWRCSSSHADHLRRQSWGRHVLGATIPHPA -EMIGKLYLKAPECDGCQDPSVSRMFVSVILPKGLKDYWSKRGPLAAYLGSSTSESTSILRPWEKETDIPV -IKRATDLRKAIGWFIMEDSELAKAILENLKSLTGEEWDSSGPGFFRTGSALHRFSCSRQPSGGYSAQCPV -KSTWMSVTTNTLTDLGEKNYDFMFQALIIYSQCLAGELHEGLERQGWYHGHITCLECLRAIEEPTLNSVT -PFSPPDLSRIMAKWKPEDLSWSKQVPKYQVEVRDWDSISGEEKSYHIGRIEGFLYAEKVLHNQGHIQSNT -LFPLTLQKKVDPDSYMAGLVDGIMRDVALNIVFRDRALSKKGYLSAFLGKCLTLIYKISNDDCLISLWRH -ERFEAVFSTIPRKIPPSYPTSNSDLGALGRNYLRFKLQNFTRPKHNDSLDRLLSQSIWIFADVNHSIIVG -LLTLSQRFLKIIYKKDPLINKASDLGALKLFVQSIRSQSLDYDLITPLIKDCGLKVWGTKSEVRHAAKTI -IPSDLPVPLELTPTESWGREYTCHVDLITVYPTKEQSRSSVTLSVPRVQDPLISGLRLFQAATGSHYKMR -GILDTLRIYPRAAIGGGDGSGGICAALLRMYPECKVLFNSLLDLSQSSLRGSEPSPPAAISSIPQLKVNC -VNYHDAWEHPNDLSKPETWAYFKSVKKAKNLVIDLIILDMEVTDLQTNVGIMEEVKLNAHLLLSPRGHLI -YKTYLSLLLTPDFSVVHRLIBRYKLCQLAFTDLTSSHSSEVYCVFQCPKSTVTPHPCYPDLESLIPFLQQ -TPSQRSREQEFERARRIRTSLLLDGIPGNALSNPYVEFVSYLHSIGVRAGVANSIIKTVYETREQSITVL -FYLMISSLCDMIDCVHGHHKRQTPPSDQKIIGIGAYICGIFKWLGWKLNSFNTAEHAQQIITRGFPFSWS -CRWNLQRKMWVSRWGLTGLYEVKKLVKINHKMSSVGFVIRYLELMFPNLTPVMDDRGLDLLLLTRDASLS -LRSFLIGTDIIQCFVDGLEMKFEGYSRAGVVNAAESHRIGPWVS ->ABW24037.1 L protein [Carp sprivivirus] -MFEWESQDTPSGLPDEESYFPTSKLSVEERMHYLNNVDYNLNSPLISDDIEYLTLRHFGRAIPSLWKIKN -WEIPLEMLKGVGIIKTWDQIHPWMGRWFDSEHNCPQGESFLRTVQAESELTSEIPVTFIKGWIGKEIKFP -VKRGHHAVHLLMQKVLDLHKLTLLINSVDSSETEKLCESFGLHPKQSKFETHSLGTVRYCPGWIFIEKAE -ILLDRNFLLMMKDTLIGRLQTLLSMLGNCEMEIEQICTHTETMLSLYSYGDQIIEKSGNNGYSKIKLLEP -ICNLRLSELAHKYRPLVPDFPHFQEHVETSVREEDTPEGLLSAILSLVNNTEDIQLILTIYGSFRHWGHP -FISYFEGLQKLHDQVTLPKQIDREYAKSLANAAALASDLAYTVLQRKFSEEKKWYVDSITLSQKHPLKEH -VDNGTWPTAAQIQDFGDRWHLLPLTKCFEVPDLLDPSVIYSDKSHSMNRKEVIDHVISTPNKPIPSKKVL -ETMINNPATDWPTFLKAVDEEGLPRDSLIIGLKGKERELKIAGRFFSLMSWQLREYFVITEYLIKTHYVP -LFKGLTMADDLTSVVKKMLDNTNGQGLDDYSSICIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPRLF -ERTHEFFESSLIYYNGRPDLMDVRGDSLVNTTDRIVCWEGQAGGLEGLRQKGWSVLNLLVINRESSIRNT -VVKVLAQGGNQVICTQYKTKNYKNEEELRMLLTAMVENNQTIMNGIIAGTGKLGLIINNDETMQSADYLN -YGKVPVFRGILRGLETKRWSRVTCITNDQIPTLAGVMSSVSTNALTVAHFAASPINAILQYHYFANFCLM -MIAMHNPAIRSSMYTKMFRKCHIMSREFKAVTLYLDPSLGGVCGISLARFLIRSFPDPVTEGLAFWKMIH -HNCQSDWLKALSKRCGNPKLARFRPEHIPKIIEDPATLNISMGMSASNLLKTEVKGHLIRTADTIQNQII -REAAEYLGQEEESLNEFLWDIEPFFPRFLSEFRSSTFVGVTDSLIGLFQNSKTIRGLFKSYYKRELDRLV -VKSELSSLEHLGSYRKETPDSIWECSSTQADLLREKSWGRSVIGMTVPHPLEMFGKGHQKELECTPCQTS -GLTYISSYCPKGINNWYSTVGSLAAYLGSKTSETTSILQPWEKDSKIPIIKRATKLRDSISWFVPPDSKL -AKSIQQNLKALTGEDWEEDIQGFKRTGSALHRFTTSRVSNGGFSAQSPAKLTRIMTTTDTMRDLGDQNYD -FMFQAGILYSQMTTGELRENSTNSTATHYHITCKSCLREIQEPMLESRIIYNPPSSSRVIKSWIPNATEI -MEESKPVKLREVDWDPLTRYEKSYHIGRCQGFLYGDLTYQKTGRSEESSIFPLSIQYKVEGSGFMRGFCD -GTIRASAVQALHRRVSSIVSTADVIYGGALYLTNQVGDSPPFQNLCRSGPLREELERIPHKMTSSYPTSN -SDMGYLIRNYLKRSLKQLSRGRYETRDGPIWVFSDVRTKKFLGPFSLSTDALNCLYKNKLSKKDKNAVRN -LSQLSSRMRSGDLSDEEIGKIEARFSFTPAEMRHACKFTIGKTQVPIVMSEWGQEAYGNITMYPVFYSTI -KTEKPDWTFSRLQNPTISGLRISQQATGAHYKLRSLLKGMKIHYQDAIGCGDGSGGLSSCLLRENKHCRV -IFNSLLELTGNTLRGSTPDPPSAINGIPQVRDRCVNLNSVWEHPSDLSHPDTWKYFGELKAQFNMDIDLI -VMDMEVQDIDISRRIEQNLRDHVHSLLSRHGTVIYKTYMTILSENERSVLDIVGVLFEDVQLCQTQYSSS -QTSEVYCVTRRLRQKVGSQHVEWQSLVRQGINSKVYCNLPLDKEFERALNLYQIDTLVGVPRELIPNLAV -ELETLLEIGGLSGGILGKLVLNIEEGKLGFTMALIVSCILISESAICTTRLSNKREVPSSGACQRMAVCL -IGAAILLSVHHRSIENHKGAIRMLRHSVPIRISSKLRKDGKLQSRWSSISREGLAKDVRLNSNMAGVGAW -IRVWSRMKDRERRWEAREADSWLKTNNKGLSMEHVRRNTGVLDILHGTGDRLDRSVPTVSSAPRDSGTWV -E ->AMK09247.1 RNA-dependent RNA polymerase, partial [Drosophila montana sigmavirus] -RPRREDGIWAPEGFKNPSCLSDRDYSLDSPLMRDELDDFILYWKESKTPIRWDHTSWAFRKRCIKKAQVK -FVPRSPESFFKWFGELSSRDMTSNYFDQIWRKTVEDARLTKAVPDTFFAYILGSVPLQKRITTQQAVLKY -RLDCGRLFWEFHLITLFLNLTSFEGLKQLQTILPVEVLLIKDRRIGVRMTLTNLGDVLIADGFVYLMGDR -LLLDRNMVLMVKDTIAARFHTLLAMEHRYDNHXTDTSGLKLLDIYKRLDSILIIHMEGGYDVVKMVEPMC -NLKLSELARAYRPSIPEFPNFRRHIEDTVTELEKTIPRTRDLFDAIMNLNHVEDVLTVFGSFRHWGHPFI -NYKEGLLKLKKQVTMKKEIDEDYANMLASEFAKMILLDKYKETKAWSLHIDQLEVGHPLTSAFKEMRFPD -PDDISEAGHTWHLLPLKPCFEIPDIIDPSNIYSDKSHSYTRSELTAHLAKGGSTRIPSHKVLKTFLTRPA -TCWPDFLQRINDHGLDLDSLIIGLKAKEREIKKFGRFFALMSWELREYFVVTEYLIKIFFLPFFRGLTMA -DDLTMLIRKMMESTSGQGLEGEDYITIANHLDFEKWNNHQRAEATDPVFRVMGQFIGYPNLFVRTHEFFQ -KSWIYYSDRGDQLMVREGEIRDRSGGVFCWNGQPGGLEGLRQKGWSIISLLIILIESRISNTGVKCLAQG -DNQVICTQYRLNPSNDPVYKKVQIQNIVRNNNQILGRVEAGTKRLGLIINRDETIQSADYLTYGKIPVFR -GNFRCLEGKRWSRVLCVTNDQLPTFGNIMSTISSNALTVAHFSESPINPVYHYNLLGNFGRNVNEYFNPA -TQSILSSKLKQPHLLNCLEYKLAALYLDPSFGGMGGLSLTRFLIRTFPDPITESLVFFKIIAQNSEDENI -RRLFSRFGNPTLAEPSLSNFTKLLEDPLSINIYKGISVKTLLKNEIKKQLLENSASIKNEIVALSARYMR -FNEAHLNNYLYQIKPLFPRFLSEFKSASFFGIADSVLGLFQNSKTIRRFYSRQLGREIDLTILKGEIISL -TALLRYGQTSKQADDLWKCSSQHADKLRQVSWGHPVLGATIPHPAEMIGKLYRKHPSCQGCQDPHVSSMF -VSVIIPAGLFDYWNKRGPLAAYLGSSTSESTSILRPWEKETDIPIIRRASDLRKAIGWFIEHDSNLAKAV -IRNLESLTGEVWDSSGPGFFRTGSALHRFSCSRQPSGGYSAQCPVKSTWMSVTTNTMVDLGDENYDFGDE -NYDFMFQALILYVQCIAGELHDHHPEQGWYHGHIQCRACLRTIEEPVLDSIITYEPPNLDEVMVKWKPEG -SEWSKTVTHYQVPVENWNMMDGYIKSYNIGRIEGFLYAEQLLHRQRHIQSNSLFPLTLQNKVYPEQYMEG -LVDGVMRDVALSIVFRDRPKTINGYIPGFVGKCLHVLFSLSDDDCLISLWRSERFESLFATIPRKVPPSY -PTSNSDLGALGRNYLRHKFQKFTRPDSLPNIILLTEQKIWVFADVNHKMIIGLLALSNKLLPLIFQINLR -QTDREQLRSIKSMIQELRNPNSDIDFLNSALLSGCKTMCSTKSEVRHAAKSIPILPINPESPYPPGLIWG -PEFCCEVSEITVYPVCDRTRSHITLSVPRIQDPLISGLRLFQAATGSHYKVRGILRYLGCHPSGAICGGD -GSGGISAAVIRSYPGCRVVFNSLLDLTQSSLRGSEPSPPAAVGGFPLLRNNCVNFADAWQHPNDLAQEDT -WRYFLHVKKVHKMKIDLIILDMEVSDYTIHTDIIKAVKTHAHTILSERGILIFKTYLSLIIDPSRSVVHQ -LIDRYMSCALFTTALTSSHSSEIYCVFKSPKVTVTPAPCFPDLAGIAHLRNPIPSQQTRLEEFRRALAIE -PRLLLQGVPLSALSHPATEFISFLHSIGVRAGVANSLLQEVSIAPKNEYINVLDCNDKIPR ->AJG39202.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 8] -MEYNYDGFANFNLDCENDQEIELVQNLLYETTSSTKIMRHLSNFDYSLNSALVSDELDSLISWLRGSPPQ -ARWYKSDWKTIKECLSHYANLMEIKQTSTFTRWFGNFNLYHKYTVNRSFFSILSRSNSCSIRTRPVVDAF -LKGLINKNIDWKNFNHLDLPEDCLRWGTLFWELHTISLLLNCTTSNEARLLTESTGAELLNTPSTKYKKY -IFKIQTGNFGKIIVGFGFAYFIKFKRLFDRNTILMMKDTYVARFNTLFTLSNRVDGLYGREVRVAMTLFY -KLGDQILLEGGTEGYQSIKLIEPLCNNRLANLSQKFRPLIPIFEDFEKHIYNSVKEASILTPSIQILHQT -LEEINNYEIILTLYGSFRHWGHPYIDYFSGLDKLYDQVTLPKKIDVKYASLLASDLAFLVIKDQFKTKKC -WPVDNKLVPKNHPLKNYINNNTWPNNSVINNFGPYWHLLPLIKCFEIPDVVDPSIIYSDKSHSLTRSEIK -AFLRSNPHSPIPSHKVLSSLLSNPGTNWPLFLEKIDKEGISLDHLVIGLKEKERELKIGGRFFALMSWEI -RDYFVMTEYLIKTHFVPLFDGLTMADDLTTVIGKILRNTEGQGDDSYENITFADHIDYEKWNNHQRAAGN -NPTFRVMGQFLGYPNLIERTHEIFEKSWIYYNRRGDLIGVDDDDNLYNKSIHRVCWNGQQGGLEGLRQKG -WSIVNLLILKRESLSVNTNIKVLAQGDNQVICSRYHLRQSRNDNQLIDNLQDISRNNKLLMEKIAKGTEK -LGLIINHDETMKSTEFLNYGKTCVVRGNIRNLETKRWSRVTCVTNDQLPTMANVLSTTSSNALTVSHFSD -SPINPIVLYNFYGHFVRTICEFHNPALRGSIRSILTKKEQKKLDDLFYLVSSLYLDPSIGGVCGMSLTRF -LIRVFPDPITESLTFLKIVHDNTCDKDLKEIMCRLGNPKVKGDHDPDISKLLEDPLSLNIPRGIDATNMI -KERIKRSLSISAEKIKNSIVAKAVQHQRDYELTFIHHLKEIDPLFPRFLSEYKSASYFGIVDSVVGLFQN -SKTIRNQFKGFLDVEYDTIIIKSEVYSIKLLLGLLRKSEVKQEIWSCSAAHADHLRETSWGRKVYGATVP -HPAEFLGTPQLTQGNCLKCDQDFPYFLYLSILIPVGFKNLKTTKGSCSAYLGSSTLESTSILQHWEKETK -IPLLKRATSLRNAIGWFVEPGSNLSQSILNNLYSLTGELWEDNIKGFKRTGSALHRFNCSRQSNGGYTAQ -NPSKLTRMISTTNAFAELGNKNYDFMYQSCLLYSLLVVGEVHEAKLGQGFYHVHIKCKDCLREIDEINLE -CPNSYNPEEVYEELEKWKPETVPWSSKTPIINVRSGNWDSLSDFEKSYHVGIIQGFIFGDSIWGRVSNST -DPALFPLTIAKHVYPRLYMEGLLIGMLRSSILSITHQRLVERQEKYHSLIIGNTCLVITELAKNPNVLNI -WREISFQTLFSSVSHRLSPSYPMIEVDIGYSGANYLTHLLIDLAPRFLKDEHIERDKKIWVFSDTYRFEV -IALLAVAEECWKLLLNKRLDKEIKHKLKLLREVGSWIRTLTNPESEYLFLIHKLLSPILYTNREVRHAVK -DLILPLDPPNPPGNTWKLNYCSTVKAIAVNYRSEKSNNYPASSFLNARFQNPLISGLRTMQLATGSHYKI -GGILKYLKVHPRGAICGGDGSGGITALVMRNYPTCRTIFNSLCDYKDVRLKGNSPSPPSAIIHASCNPEN -CVNYKTNWQNPNDLSQSETWEYFTELTINNCLIIDLLILDMEVKDFNTINRIEILALEYFSRLGSPGAFL -IFKTYLTRIFGSSDNLLTKIKGKYTRVEILSTSISSSQTSEVYIVIANPTSTTLEVEVYPDLDKLHSDVR -SFPLFSSAIKEFKRAILLEDQDLIGGIPFNIQPDPTCELLSVLQRLNIRSDLCHRYADTYGGKLMSPIIP -FHLFVLCIHGLVPFTTGSTTFQGPPSDGICLKLASLISGFVIWMGFKLRNFRITKMGQQLIDHYIPLCTE -STKIGTHYFQTFSLFEEKKHKKFLQLDSEMALIGAIIRVFQLNYPKASIFPDIDLMNQNWIMFNKAITYT -WFDQTTGLIRFLRDEEKPIYSQIAPKISLFEDNKSNEVKEITYRD ->AFJ94647.1 large protein [Eel virus European X] -MYDEDHSRGYESDDHYDLPEWLEEDIQSGNPLNQKDYSLNSPLIVDLTEALIKYLNQGTIERRFLRHQDR -FENIQSEIRNIAWKNPSSSNHRWWGKWAQQTEKSPEFVRLLSDVNQDIEETSDILMSFLKGWIQDTTSVP -TKLNWTSTQLSYGSKFFFMHKLILFMNAQSDEERVILQRHIKVSETKKTGIYKGSHPSLGDFVLTSEFLL -LERHRVILDRSFLLMVKDTLVGRFQTLASFMNREDKKYPEDVIEKVETLYSLGDQLVEDLGDEAYSGIKL -LEPACNLRLAELAREFRPLIPEFPHFRNHVETAIAEESVFNPGITEFFNHVNKETNVEIILAYFSSFRHW -GHPYIDYLQGLIKLNKQVTLEKDIDTEYANALASDLAYMILRGHFNTKRVWAVDKSLVSKQHPLSEHIFN -ATWPTPKQIDDFGDHWHELPLIKIYDIPDLIDPSVIYSDKSHSMGREEVLKHVQRNPTQAIPTKKVLETL -LQKPATNWPEFLSSIEKDGLPKDSLIIGLKGKERELKKAGRFFSLMSWELREYFVITEYLIKTHYVPLFK -GLTMADDMTEVVKKMLERSQGQGEDDYEHVSIANHIDYEKWNNHQRKESNGPVFRVMGQFLGYPSLIEKT -HDFFEQSLIYYNGRPDLMQTDGDELQNRTEALVCWNGQKGGLEGLRQKGWSILNLLVIKRESKIRNTKVQ -TLAQGDNQVVCTQYRIMPTRSTLELQAELEKVKKNNQVIMDAIETGTNKLGLLINNDETIQSADFLTYGK -VPIFRGNIRCLETKRWSRVTCVTNDQLPSLSNVMSSVSTNSLTVSHFDVSPIESMRQYLFFGNFARRLVE -FHNPAMRVPISLEDLDSKQKSVYLNAVLFLDPSLGGVSGMSLSRFLTRMFPDPITEGLSFWKIVYEHTTS -KDTQLLCRIAGSPELARRQNNLDKLIENPTALNLSKETSALSVIKKEVRSRLYKDCDKFKNKLIADAIGI -ARDEEAHLELFLMSIRPLFPRFLAEFKAATFVGITESLISLFQNSKTIRNIFRKKYAKELELRVVQCEYR -SINLMLSLADQSHLDEMWTCSASKADELRTLSWGTTIIGTTVPHPLEMINHAHIGQKCDSLDTLDYINVT -VVQDLTDCLTSKGKLPAYLGSKTSETTSILQPWEKETKIPVIRRAAKLRAAITWFVEPDSLLAQSILNNI -ESLTGEDWSASISGFKRTGSALHRFTSARVSAGGFSAQSPARLTRMMATTDTFREIGSDNYDFMFQSLLL -FAQMTTGEIYKRSPATNFHFHLSCHQCLRKIEEPTLNSDFAYNPIQRSDILDKWKPQTTDWSSERKAPEI -EEGNWDRLTHQEQSFQIGKSIGFLFGDLTMTKNSHAQDSSIFPLSIQYKITAAEFLEGILDGIVKASALS -TIHRRNFDHHSKYKSTVSGTVDYLIELISESAGFTNLTRNGPLKACLLTIPHKIPPSYPLSQSDLGAMSR -NYLRLLHRRMSTGTYKTRWPTNWIFSDMMSPNIIYPFVISVSCVGLAYSSSWTKKSADKLRGLRGVAELI -RSSDDVQLPVGKLFKTVNQEIRHAIKHHASDDAEIPESHIQEGWKKELVVNINMQPIDYSRTATAKSLDR -PAQIRDPLISGLRTAQLATGSHYKLRSILVQNRIQVTDALCGGDGSGGIGACVLRQYPFAKLIYNSLFEI -QDLDMRGSAPGPPSAIAAMGNMSMRCVNRDSAWKNPSDLSHPSTWEYFQSLMTQHQLRCNLWTFDMEVRS -HDISDAIEKQIVANLHLLPKNGTIIYKTYLTKLSDMETTILDRLGGFFKRVSLVSTDATSSHSSEVYAVF -QNKLDKRQLEIHPNWSSCNLGSDIHPCWKSEDEEFERARRFFHMKRQQGVPTRLRPTLDSEIQVLSVSAG -VENGVAMTLAMDVSNQVSDPTTGAFLWLLVTLQHICPIGPSFKIPSSSAVESYLAILIGFSSIYQLQTGN -NKAYASIKTCLSQSAPFFCNPDGWNCIKGLDKSMRMDRKLALVGSVIRAWSKWNLTQSINFHKLDGMMRH -YLPKGTLRQIGCKTGIWDYINGAVKGVRSAANQDSPKESAAAWRD ->APG78763.1 RNA-dependent RNA polymerase [Hubei dimarhabdovirus virus 4] -MFSDQGVHEYEVLEGELDDFSNFANQYGDGDESLYSYQTETGMETLNLVDYNLNSPILLDSLRELNKFLA -GKPYEKIQYREWWPKVQQSLRVAKIRTPDDFGFEPHKAVAKIFSEPCKPDPVAMQIFQESQDSARALWEI -PRALIKGWLGKDSEYSLLTLDRETERYLSLYLFWYDIVLILNAKTMKEVTNLEKLRPLWRFDQKSRFWTI -DSPHGELKVGWNLIINTTRRYMLDTQFGLMIKDVFAARFQTCASMQIYKRSSADGLMNVILIQNLYKSGD -DLMIKHGNLVYDTIKMLEPRCNLELCKRAHVYRPRIPDFPEFRRFVEMETDNLEREYPGSKAFLDQVGVF -RNVEGVLVAYGSFRQWGHPAIDYFEGLESLHDNVTKEKDIDTGYAEALASDLAFLVLRKKFVEEKRWYVD -KDRLPKEHLLYDCIRTSTWPTMSKIQEVGDHWHELPLEKCFQIPDVIDPTTLYSDKSHSIQRSEVLDHVR -KGTLKPIPTRKVLRTLVETKATIWGEFLRTVDLHGLQFDDLVIGLKGKEREIKRKGRFYSLMSWNLRQYF -VVTEYLIKKHYVPLFDGLTMADDMSQVMKKLIRVTEGQGTDDYQKISIANHLDYEKWNNHQRHAATFPVF -HVMDKFLGFQRLISRTHEFFEGSLVYYKERPDLMAVSGTNLINKTGRRVTWTGQAGGFEGLRQKGWSILN -LLVIRRESNRKNVKVQILAQGDNQVISSQFKIQAYRTEEELDENLEGIKYVNQQVMDGINAGTASLGLTI -NRSETMQSADYMCYGKVPVFRGVVYPLVTKRWARVTCVNNDQLPSLGSVGATATTNALMVSHFSTSPLES -IRMFHWVCSFIRIVLFRHNPALRGPILYEENRFKIENFKEMVDAAYQYLDPVLGGVCGMSLTRMMIRQFP -DPLTEALAFWKVVNDNTQSEWVRKLACTVGNPRIAVYKRDDIAKLVENPTSLNIKGGINVSNLLKEAIRK -YMILHSSKIKNNVIRVATEYAGRHEEKFRVHLDSIKPRFPRFLSSYRSATFFGISDGIVSLYQNSRTYRT -HFGKKQGSNLDTLVRRSEELSIDVLKSIAIRADSSQYVMWVCSSTRADQLRALSWGSPVKGATVPHPIEM -IGDRGECVGSCSRCQPTPRGQTFLMVSTPKGLSKYLTHRGSMPAYLGSKTAETSSIFQPYEREAVDPFME -RVAKLRIAIDWFIRKDTKLASSIFSTFTGLTGEDWSESVEGFLRTGSAYHRFGCNRQSAGGYYPGSPAKT -TWMFATTDTMSGIGLTNYDFMFQALLVYAQLTEGEVVDNSAYSRVGHYHIKCLDCLREVDEPWLECGIPY -KHPDVSAMVSQWKPENTEWSRINKPIPIPVGVWTRVSQDEGSHHVGMAGGFLFADCVLSSVRDIENLHIF -PLSIREKLTPRTYYQGVLEGIVRAASLDCVARRSTMLLKDHTQAILGTILTVIDVLVRDPNFVNLCRGGA -LLEALRRSPHKVPPSYPARNADLGSLIRSYFKNLAMQMVFSSKAHMPIYGTTWVFSELSSPAVLGPLILG -SELRTIILKKVYTKSDKQRIMQCKQQSLLVRGKEKDLSGLTKLVKGFWATAQEVRHALKGYSAPDPSLIA -PQSTKRLVWGSEWSGSAQIYEIPFTSVAQKKSTLEVPKRSSPLVSGLRTAQLATGAHYKIRSILHGIKIP -VEDALVGGDGSGGMTSAILRSYPHSRCIFNTLVEYQDVLLKGASPDPPSAIMALPPMDRSRCVNLEQVWR -YSNDLSFRATWAHFEVLKQQHDLDLSLLVFDMEVTNEETIIKIIENLRTHVHSLLRGNGTVIFKSYVHWI -MSNNASVLRTLGPYFRHVRLVTTSVSSTFTSEMYVVMTGLVNHVEEFLKYPDEESLRMALARAYVYRSDQ -YEFERAVAISKHNLLKGVPSNLIPRLELELGSLLHRLGVEPGKAHNLVVSWLPSMTLSYVDSVLWITWIL -FESVVQTAQGTRREALQPPSDSALENLFSWAIGAGMMMSVYRNDIRLFLKMQRLLDDGVFFSWTPVEIEG -RYHPKWSICDLFGVRKTLYMDRNQALIGQVIRLLHRLSKEIDWSQKIGGKLVHEIIRFNRGSLVSIRGKL -TGFWDFYKLAE ->AJR28559.1 polymerase [Connecticut virus] -MDLQFPICPDSETVHGYDLVPDEDVPIPPRPRTVVGQDYNLNSPLLDWRVQGLITALTTGQHAAGNSNFA -NELRAMRGLMSECNVSPRRLISTDEHHKLIPELIYSSRNWIKTKVGPRIGELWEGSKEVLLAMERAILGH -VTHDPNQHVIDILCQPMKVDNKTKHEMRTFLNLHYLICLMNSRKPKFATQLAQQVFQNCDLDLSGPTLKY -SLRDKLGNTQLLGTRHHVVFLPSGVLVDKNFVLMLKDISLARLMAMCAVVGRDPDKPGNYCANKLRLLYD -EGDKLLSCHGNLAYRALKLLESECVNQWSKLGHEQRPLIPESTGLAEHLDATTNELLSEHKIDATPFKSI -VRRERDPWVVAQMYGAYRHWGHPYIDSLKGLKKLRERVTKTLDINEAFAEQLGSEMAFLVLQDRFQKERK -WYCTPDGLPQESPLRKCIEDGVWPTSKVISEFGDNWHKLNLLPCFEVPEEIDPADLFSDKAHSLPRSQIL -EHVSSKPRIPIPGVRVIETLITTDVPPVRAFLQDVNDNGLSSEDLVIGLKPKERELKDEGRFFSLMGWNL -RLYFVITEYLIKKLFVPLFKGLTMADDLNTVTKKMIAATEGQGLLDYSRVYIANSLDYEKWNNHQRYESN -QHVFRVMGKFLGLPEIFALTHKFFQSSLVYYCDRPDLMRVTDNSLINLNEDTPVCWEGQAGGFEGLRQKG -WSIVNYLILRREVMMRNTGTLILAQGDNQIIIPKYKIVNKMDDDGLVTELHNIWANNQNLMDRIRKSTQA -LGLTINKDEVVTSAELLVYGKVPIYRGVVLPLETKRWARVSSVTNDQLPSMATAVSSTVTSALAVCLHSD -DPVQTMWHYGLIGCFVVALNTIFSPLIGTDPFRWDSLSRRVKKELGIRSLYKDPSVGGVCGSNLFRFLLG -RFPDPVCESLSWWRLIYHHTQDTSVRDIALECGHPHLGRVGPDTWARLLEDPTSLNIPSTLSSETLIKEQ -VYEGLCQKANDGSIKNRRLRESVLYNDAHKATFVHWLFTITPTFPRFLSEFYTATYFRLTEGIISTFQNS -RTIRSVFSSSFSDKVEKVIRKSERSSIRLLISPKLGSSCPKMWDCSADHADTLRALSWGRKVEGSTVPHP -GEMLKERGCDGCEGPHVVAKKTGMESYGKWVRGPLMPYLGSKTSENTSVLQPWEKNIEIPLLRQACQLRR -TIDWLMEPDDKLASSIYNNIRSMTGLDLKDETYQALRTGCGRHRLRSARVSNEGTPSCGYPPLMYVAVTT -DSLGDLNKENHDFMYQSVICWAGVVATLKDNTLLSRDTTHFHIQDRQCLRVIAEEKLTAPTEYRFPDVSD -SVRRMLSVELVVKTSSRHTDPLPVIWKEIPDNDKSWHLGRAQGFLWALSVFDGSTEELKDVLFPVSITSR -VCVWEYMHGLHRGLMLGSVFPPLFARYGSLDTKAALRFQGAYWNATNEALERSKLPELLWNKRFAQFSAH -YGASVIKSYPARRDELVSTLRQWLISQIQEDFRDETVGAPRAVVVFAEMDSDYVINMFRVAEKTLGVFLK -TRLGTKDLHDISYARVLVQLLMAQKHEKITDSDNRRLQREIRGNNLPSISLVGSEARRAAADIEITVNGP -EHTPVALPLRECGISTDVVPVEYVPRDKDWAGYSFASTLIVDRVRNPIGAGARLVQLSTGAHYKFRDLLC -HVTLSGDGVFCGDGSGGMGACYLRMFPHRRVIFNSLLTLEGESMKGMAPPGPGAYSASGPDVTARCVNYE -TCYQEPSDLRDLSTWESIIATSKRSKLRVGVICCDADAWDPESVRHIETGFLYGCERLLRQGRGTAIFKT -FWYHILDPGSIIHQMGVLFEHVWICCPFTQGSNTSEAYVVGQGLKRAETTHRCVATEQTLLRIYKTLKVS -RSFEHEFSRAVAMSFELMTAGMEARTPYSDSITVMELFISLGVKSGLALEMANQVLDLAWDLVHPDALLR -LLGFLLTRDTIDVETRVSDHLLVPSSTQLQRSIAVVYGLWFGVSLVTQDKKWYSIPIRLYQKPTRVYFEG -WKRGSNTYMRWGFGHGKFTKVVDQGERVGVTQSLIRLIQALYRGRWETRAPSPYDTRAVNAVMVKYSRLM -TCKKVDAATPITLRAPSRDATIYNVIEVPDE ->AEJ07657.1 putative RNA-dependent RNA polymerase [Oak-Vale virus] -MKKTIMDYDALSEDIVSGCRKRPSKLNLVDYCLQSPLLTDIQDRITQWRERKISTLSDDWVWVMQNSGVE -IRGWRETHHWFGGLLLELADLKGTPYLNLWESMANRDLKTADKVAQGFFKGLKIEFPSIKQINNHGCGGF -GEIGLACHILVCVMNNMDNPCFNILTGEHMTRHILHSGKVVGVWVHKNLGRLLFNKDFVVTEKGYLLEKN -FVMMMKDVCLGRYCVGIGEGLLRKDVQLIKKIWKVGDEELRSHGNNSYDSIKLLEALCSERMISLGQSHR -PDIIIPEDFSAFLRDSVQEMEADGFKFPKEIRQLLSGVSDVEMVIEIYGMFRLWGHPYIDLLDGLCQLYK -NVTMEKDIDLGFAEQLASDLAYKVLENRYKIENRWYVDKSKVDKKHPLYDHIQNETWPTPVEIHNFGDNF -HKLPLTPCFEVPDFIDPSLLMGDKAHSLQFKEVASDIKSGGKGMVKTQRVLKTFLETEQLDVKKFLDALE -EEGFDHEDLIIGLKAKERELKIIGRYFALMSWLLRTYFVLTELLIKEHFIPLFDGITMADDLKNVIGKMI -SRSDGQGRDDYKEVTYANHMDYSKWNNHQRGKINNPTFKVMGMFLGYPKLIERTHEIFEKSLIYYAGDKT -LLKIEGGEIVNAGGSMACWRGQAGGLEGLRQKGWTITSLLMIERVSRLRNTKITTLAQGDNQIVCCSFKL -SYGYDEEIKKKCLSEIYEQNQKIMKDIQENALRMGLIIKMEETMTSTEMINYGKNIVYRGNLCNPKSKRY -ARMCTLNNDCLPNIANCLSTTSSLCLSIGHFDVNPTNGILSYLTFGSLGLNLISMYDPCLNGMITTHNKE -EYVYRALFLDPSIGGVCGVNLNRFLVRSFPDPVTESLSFWKLVGENTEDKILKRVSLQSFNPNVSRCIDN -DITLLIENPTSLNIPSGLSPTNLIRQEIKLALFNNVHNIRNSLVRDVTKLAFKEDSQFIRFVKSINPLFP -RLLSQLKTGTVIGIRDSITGIYENSRTIRKEFCELFREDFDDLVIKSETQSLRILDAPAKELSLSFICSA -RQADSLRKRSWGGEIVGVTIPHPCEVLMPPEDLRGHDCDESHSAFITTVANKEREDTLNGRGPCVPYLGS -ATSEGTSILTPWEKETKIPFLKRVMKLRTPIGWFVDNDSNLGRSIKNMIEAIVGPGQLKFTRDFKRTGCA -IHRYGCERQSAGGYAAVSPCLLMRIFTTTDTMIGMETQNYDFMFQANIIFTQTLVTFKIINQSKGITVYH -SHLKCSSCIREVKDIKVDSSLIYNPESFYANLKQWIPTMDDSWRSRESAPIFAGSVEDYSRREITFNVGQ -TIGFIYGHMFFQGKSKNVESTLFPVTLREKLEPEPFLNGLVLGLAISSSTNFLSGKIPSKIVYPKEGVVG -VACRVINSLVLNPSFLALVKEGPLSIYMSRTAHKTPASYPTSALDCGVICKMFLKQILSSGINTFKIKKP -IMIFSDMIDPSLIAAYTTGCQIYEELCNPNKDTRRLVSLKNTNISNRSKKDDLQMDPTLPVILISSEIRH -LAKFSIKISQEEERLTFNQEWTGDVYPIVLEFSPDKPSSTLNVPQKLNPVVGICRMAQIATGSHYKVRSL -MKYYKLPSNFFLSGGDGSGGITSMLLRYNKTSKGIFNSLLTFDGLTLKGSAPAPPAAVYSLGPDRNRCIN -LHTCWRNPSDLSSEETWIYFSRLVEKEGKLLDLIVLDMEITEREVSTKIVNMVCKFAIRLMKKTGSVIFK -TYLSEFGMSDGAPHIFSQYFDRVVSNQTSATSGNSSEVYLLLQDPMARPTARYVSDLSIMKVSATNLVYE -EFNSAARRAQSLLQSDPWKGIPKQLIPPLNSELQIALEILKVPGGVIHTLINSHGQMPRKEKGYGLISII -DFYMFKTGLAGKLHVPGDQECKTYMSFLLSALMAIECHSDVPKISHLVKYINNGIILSFKLQNHKKKMVQ -SWTVSTKGKEIWMRDQGSALNGFGRTMVRLLGSNLISEKALLGVKRTKALKLTGIREVAEGRNIPEKIKM -KGIVHQEDALKLSEDACMDYE ->AJG39213.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 11] -MEEYGEFLDVEAPIPCNQEEAIFFRTVPINERMLFLNTTDYNLNSPLIKDGIDQFRQAVFGREVKRMWYK -NKWGPLVERLQHVRGDILDTSLMHRWFARWMNDERINSSEGRAFLKNVDQEAMETFIIPETFYKGWVQKL -LVFKKKSETVLTLCSHYAQKFLDLHRITLLSNAVSELEQINLCRLTKCTRYLDRHQDPYFLGHFLSLGKV -EISTHWVYLRTQGVLLDKNFLLMLKDVAVARLQTLLSMDNRIDKLFSEKDLRDVVSIYKAGDEILIQQGN -DGYDSIKLVEPMCNLRMATLARAYRPLIPDMPSFREHIDRTVEEKGERCPPLRRMRALIDSEENIHKVLI -YYGSFRHWGHPFINYIAGLKKLHDQVTMEKEIDDDYAQALASDLARVVLTDHFNKKKVWSVHEELVPPNH -PFLSHIQENTWPTPAQIEDFGDKWHLLPLKKCFDIPDLIDPSVIYSDKSHSMNRSEVIEHVQKRSDKPIP -SKRVLQTMLKTPATNWKEFLKDVDEKGMDRDDLIIGLKAKERELKKDGRFFSLMSWKLREYFVVTEYLIK -THFVPLFKGLTMADDMTEVMKKMLDSSSGQGMPDYSSVCIANHIDYEKWNNHQRADSNKHVFRVMGQFLG -YPRLIERTHEFFEKSLIYYNNRPDLMGVAGDSLYNAREELVCWEGQSGGLEGLRQKGWSILNLLVIQREA -RIRNTTVKVLAQGDNQVICTQYRTKKARSEEELKSALKQIVNNNQQIMDAIVRGTEKLGLVINNDETMQS -ADFLTYGKIPFFRGTLMCLETKRWSRVTCVTNDQVPTCGNLLGTVLTNALTVAHFSHTPTNAIIQYNYFG -NFVRLLIYMHDPALRGNLLKIPELKPYLNEFEVKITFLYLDPSLGGINGLSLTRFLIRAFPDPVTEGLSF -WKLVHGASNNERLKNVCIKAGHPRLAYFKESDISKLLEDPTSLNLITGSNPTNLIRTEVRKNIIETRSSI -RNEIIRDAAEYLHRGEAELIWFLWSITPLFPRFLSEFRAGTFIGVAESLISLFHNSRTIRMAFREHYRAE -IDKMIIRSEINVLMSLTKFTKEGRTNLSIWSCSSEQADILREGSWKRAVIGTTIPHPIEMHGAGQKWEQG -CAFCNTAGLDYISVHSPTGFGNMVGERGMLPAYLGSKTSEATSIFQPWEKESKIPIIKRATRLRDAIAWF -VLPNSNLARSILNNLQALTGVDWSQNLGSFQRTGSALHRFSTTRMSHSGFAAQSPAPLTRMIATTDTMYD -LGDKNYDFMYQASLLYAQMTTSVLREDSERSSTTHFHIGCQKCLRPIVEPTLEAPFPYEPESMAAIVGKW -KNDDTEWFESIAQVIAPPSNWTRLSPYEQSFHVGRALGFLYGDLVGQSSHRCNDASIFPLAIQKRVFGNG -FLQGILDGLVRASACQVIHRRTLSKYLKPERTIYGGVIYLVDQLSKCTTFLALIRTGPIRYELDSVPHRI -PASYPTSQSDLGYIVRNYFRSEFSKILTCKYSTKFTHLWAFADYLSSEYLGPFCLSTRILNLCYGGYVSK -VRREQLRVIASYSGMLRSGESIDDKTLKSIVRDVKVCTHEVRHACQFIDVRTPPPPRREIWEKEWVGRVQ -YYPVHYTTEVIDFSLKAPLWIQDPTISGLRTAQIATGSHYKIRSLVHEFNIKYKVFLSAGDGSGGITSAL -LRWNPKSRGIFNSLMDLSQTIMRGSSPDPPSALEVLGPDTSRCINYKGYWEHPSDLTQSSTWIYFTQLKS -SNNLRLDLLVFDMEVKNMKDLSTIEFYLRHYMYELLEPSGCVIYKTYATHLATSEDHILNVVGQFFVEVY -ICQTEISSSHTSEVYIVMRRLRDKANPYNVDWIALQDFWNNLFCFRTPQVEFDRALSLMKQNLQLGVEGR -FLPDPKVFFETLIQGAGVPTGIAHAFVSLIADPCMNLGTYAVVCMTLISLYIIPTVRGHPVEPNPPSDQA -CHRLGTAWVGMTIWISLQKQDLRIHEECLRVIKTAFPIRIYRYMKEEKHYLGWNCYNTKGIIKDVRIGDG -LANVGNWIRVLERLRNHYRQLAFDTNLYTRVIRRFFKNISFEEICKTTGLWGFLKLKSSHEDLSMLSPEK -FELTDEAWRE ->ALJ30354.1 L protein [Eelpout rhabdovirus] -MDYSDEYAEGFNDPFLEDEFSSNFSDFFEKGVPLNQKDYNLNSPLIGDEIDAFLRYVRYRAVDLRFQSHT -IKWKSYLEHFNTGVNYIQDHTAIHQWIGKHFLSIQTTQEYEDFLTGVNALTEAPDQVLTAFLSGWDQSTT -GPTRKDFDQLRGKGLQYGAGFYNWHKITLMMNSQSKLERENLVSSAFQGFKPLSESETYWVYQLEDPSIG -TWTVTENLAWSDENGVVLDKNTVMMIKDTFIGRIQTLLSLTSREDKKFPEETRETLFRLYQLGDEMLRES -GDDAYEAIKMLEPMCNLRLSQLAHEYRPLIPDFPHFEHHVRTTCEELAKKHPLVLVILDLILSTKNVEEV -LLYFGSFRHWGHPFIDYFEGLKKLHKQVTLPKVIDDNYAQALASDLAYIVLKKEFEKKKHWAVDGDLMMD -NHPFKKHISEHTWPTPKQIEDFGDSWHHLPLTKVFDIPDVIDPSVIYSDKSHSMQRSEVLSSIITTPNKP -IPTRKVLSSLLDKPATDWPRFLNEVDKVGLDREDLVIGLKGKERELKKAGRFFSLMSWRLREYFVMTEYL -IKHHFVPLFKGLTMADDMTQVVKKMLERSQGQGEIGYERVSIANHIDYEKWNNHQRLQSNGPAFRVMGQF -LGYPSLIEKTHHFFENSLIYYNGRPDLMKVTGEDVQNATEKRVCWQGQQGGLEGLRQKGWSILNLLIIRR -ESKIRNTRVQTLAQGDNQVICTQYRISPSRDDVELRQKLNHIKENNQVIMNAIEAGTNKLGLIINNDETI -QSADFLTYGKIPIFRGNIRCLEGKRWSRVTCVTNDQLPNLSNVMSSVSTNALTVSHYDISPKDAMRQYCF -FGCLSLKLVSQHNPALRGSHASKLNLELDQPESVAAVLFLDPSLGGACGMSLTRFLMRMFPDPVTEGLAF -WKTVHENSTVPWLLQLCVRAGHPDIAQGGLDSISKLIENPAALNLRKETSAISVIKNEVKKFLYQKSGEF -NNRMIATAIEQTREEEPYLDLFLSSITPLFPRFLSEFKSASFLGITDSLVSLFQNSKTIRGVFRNKFARE -VEQKVLSCEINSLRTLGNVLDDLRGYMWKCSSLHAKELRRESWGMHVHGATIPHPLEMIRLKDFGHSCDK -QDYVTVSVVEPLSQCLESKGRLPAYLGSKTSETTSIIQPWDRETNVPMIRRAAKLRDAISWFVTPGSNLA -KSIINNIEGLTGEDWGGSLDGFKRTGSALHRFSSARVSSGGFAAQSPAKLTRMMSTTDTFRDIGSDNYDF -MFQSLLIYSQITTGEILGDTEQTGTLHFHLHCDSCLSKIEEVTLDSTMLYQPEDRSEILSKWKPEGSDWS -QERERPVLQAASLSDYPNAVIHYNIGRAQGFLYGDQKMTGGGGDNSSLFPLSLQYKVKADVFFRGLLDGL -VYASSLATIHRRNFDHPTKFQATQYGTLEFLVESLTEHPPFLNLVRSGPLSTILTSVPHGIPPSYPMNNK -DLGVLARNYLRYMLQSLKTSHSRRDYDTRIIIFADMLSGSVIYPYVLAQECANLAFKATWTKKESEALRT -LRETAASMRSNPDFKMPLPNRIRSVEHEIRHASKQVFEASDEEIESLYWGREAIGGITDSDVILSSEKKA -QVKEEVPKHKDPLISGLRSFQMATGSHYKMRSILTGHQVKYRDFICGGDGSGGLTSCLLRQSKHSKGLFN -SLLEIKDTDLRGSSPSPPSAVQHLGSEKARCINLGTAWENPSDLSQQVTWDYFKKEIRENALMIDLLVLD -MEVKQVQISEEIESCIEENLDILRPNGVIIYKTYLDRFNKGELTIVDRLSKYFSKVHLTSTDFTSGHSSE -VYVLLKGKRNSPRSVKFIDWPMTWELSKVHKCWRSNKEELERAKQLQRIDMYQGVPEKLVSDWENDLLTI -TLSLGVESGIASVLCSYLSCHKTVYAASTLLAIWTHVTLYQIRPGSAPPSISRCQNIAVVSTCLMFALSL -SRMDLDLYGLAKRILDNMFPLFYEKGRWNTKGGLNRSIRLDNKMATLGKILRVFSRLGLRDDVNWTQCFL -SSQLMARGCDLKWLRRETGIPQLMRGDWTNKLNVMSEEWVKSESAWTD ->ALO28655.1 polymerase [Lone star tick rhabdovirus] -MAVIHPTDHHQQLHEKNNNKSNTYLIIEIMLELEYSEPLEDLIETLDSYEYSLLEDGSYVSSEVYTSGFI -SQDYNLNSPLLPDETDALYKYLTNKSTDPRYNHRKSEWELIEKGLIRLNYDMSKLHSSSSNHQSMIDILT -LDRDSVLRIDVIKRWKDCWDQSKDPSNTTIKHITNSPNLEYPNYRKRFHLLTDPPPTLMPLQNEWKIFLE -AHFITHLMNSNLTHQSRSWVSKIFYTKTSQKLNHALITLIGQTKSSIKWIVTTNYTLHLETGSIFTKDFI -LMIKDITLARCMSLLSLTYRGDRTDNLADAVKLRKIYDAGDTIVREYGNDSYKILKLIEAEATEQWNMLG -SKYRPKIPKSDSLLQHLEETYTEGDWDQHIIRPFILCIRTEDDPWMVGQIYGTFRHWGHPYINYLKGLKE -LEARVNENIKIDRKYASTLASDLAYLVLKHQFQKKKIWYASSQGLPAKSPLKDCIDKGVWPTTKVIEDFG -DNWHRLKLLPCFDVPDTIDMTDLYSDKAHSMDRPQVLDHIKNNPYRPIPGRRVMDTLLTEQNPNIPQFLK -EINDLGIEQNSLIIGLKAKERELKDKGRFFSLMSWKLRLYFVVTEYLIKTYYVPLFSGLTMADDLTTVTK -KLLTATIGQGTNSYDKIYIANSLDYDKWNNRQRLESNSAVFKVMGLFLGYPNIFVRTHEFFENSLIYYND -RPDLMTVRGQVVSNRNPDKVVTWNGQLGGFEGLRQKGWSVLNYLVLRREALTRNTKTKFLAQGDNQIVIT -QYSYMGDRSDTHLDREILNIWENNQDIMRRIQTATQKLGLLINEDEVVTSSELLIYGKIPVFRGKVIPLE -TKRWSRISAVTNDQLPSFSNSIASATTSALAVCQYSDSPIEVIYQHHFFTSFVFSLLSWINAILGYDPLN -YNQKDPTTLKKIVIRSLYKDPSVGGVCGTNLLRFFISRFPDPVCESLSWWKILYHNSTDKIVKSIALEVG -NPQLGEVNSLTRSMLLEDPTTLNIPGGLSSDTVIKNKIFEGLLDRVHDGYIKNTLVKESLIYTDTYKERF -VTWLFKINPIFPRFLSEFFTSTYFRITESIISIFQNSRTIRKAFSRSFPKEIYEIIVKGEHISINCLIKP -RTGQLSEKIWTCSASHSDKLRSQSWGPNITGVTTPHPSEFLVESLCELSCEGQHIVAKKIKLEDRQQWTK -GPLMPYLGSKTKESTSVIQPWEKHIEVPLLKRACDLRKTINWFIMPQSNLASSIYLNLHSLTGLDLSEEL -TDYKRTGSSKHRLRCSRVSNEGNPSIGYNNLTYVTVTTDSLGDINEDNYDFMYQSLLCWCGVLSSLPENI -WANCDPTHYHIKDIKCLRPVKEDILESPSVFCFPDKSKNVKAMLSQNIEMKYLPRYTPHITTDWDLFSDG -DKSWHIGRAQGFLWGLANYMDTLEEEAQVLFPTTIMRKVHPIPYMHGLHRGFSLGATLTPCFTRYGSLSE -KARLKFEGCYWKLIESALLKSNLPNIINHLSFKSFLERTGSDIIKSYPATRLELTEVIRRWFLRRLIAER -HQDNHWKAIPVVVFADMNTEYIIGMFRISESIYSVFQHEKLSATDLQRLSNATKTMKLLSEYNDSKIEPT -QIKRLSNTFKSPAYPKYQIVTSETRHAAGQITGPYIITEDITQRREYRECPGQGGDTIVCDYQPKGLLDY -GRNALYRLQINQIRCTLFSSVRIVQFSTGAHYKYKDLSDTFNLKGDGIFAGDGSGGMGANHLRKYPSVRV -IFNSKLDLEGESWKGLAPAGPGAYTVSGESVIARCVNYATCWEEPSDLSSLATWNNFIRLKEEHDLNIQV -IMCDAEVQDPSTTDLIERNMLIYLDRFFPLNSGVIVYKTYWMRVLNNETIIHRFGLYFHKVSVFMPRTQG -SFTSEIYIVAEGRKIPENPAHTELTMATLLTIYNKLKVMRTFEQEFNRALGFTMDTATTGLEDRVPFTRL -EEIAVFLTSTGLETGLALKVSEIIAKECHDGLHPYNLMWYLGFILSKKILPITHWFKKGQRMPSSNKIQK -LWAGLFGIWFGVCLTMEDEMSFAKITTIYQGPIPLSVYLTQIQSSKSKKTKKSVRNFSMWKICEGKYQKV -VDPGARAGITQQMTRLIRVLYRGYSVRRDYSPDDIVKCNSLLKELDKGANVQVLKERSGISFTEIFETIE -GGGEIELEQEPEEEEEEPPIIEELD ->AJG05826.1 RNA-dependent RNA polymerase L [Bivens Arm virus] -MDHNFEFQDDGGYDYDFSEENVDDCIENVDSTDYCSLNLINSYDYNLNSPITPEKLDNCLSYCQGLPYDQ -IFYNPDYVKVKLMISHFKTVNVDDIPYYSELVKIWPKIISNVNCPQKGREFLVNAFDNFEFIYTIIRSFY -KGWYKKEPEITYLDVLANLNRLLDRDLSWFSMFLDLFFIINLMNAKTVMEQKNICQKRKWKAYKLNDEII -YFSGQTETLGTFALSGEFVLIESQNILLDRNTTLMIKDTLVGRFQTILSMICLQNEYQYQESEINYLRQL -YDLGDQVLSTYGSEGYDIIKTLEMICNNWICDESFKYFRPMPDFTSFRSHVNNTIQDLVNKGYALASAWF -DHIMNAASINLVLVFYGSFRHWGHPPIEVLQGLRNLESLVNEKHDVDDSYCQALASDLAFKVLKKKFRED -KKWYVDHTKMDQNNLLYTHIKNNTWPNPQTRIQFGDNWHKLPLIKCFELPDMIDLSSIYSDKSHSLTRSQ -VVEHVRYNSDKPIPTKRVLNTLLETENVNWPEFLKSVNEFGLPPEDLVIGLKPKEREMKRTGRFFSLMTW -NLRNYFVMTELLIKEHFIGLFNGLTMADDLQGLIKKLLDRTTGQGDSKIKKINIANGLDYTKWNNYQRYD -SNRYVFRVMGQFLGYDMLIERTHQFFEQSLIYYPQRPDLMIVQNNTLENRGNEIVCWNGQLGGLEGLRQK -GWSVLNYLMIERESKVRNAQIKILAQGDNQIIFTSCFLDPYYSDEELLDNMMRAKDNNEAIMNAVMKGAE -KIGLVINMDETIQSCCYANYGKVIIFRGKILGLSTKRWSRVTCSSNDQIPSLGTLLASVSTNAMTVGNFS -ETPHDAILGHLIFGLIVLEVLAQHNPAIRGDPSKYIVQRKLMSHPLFKIILLYLDPSLGGIGGTSINRFL -IRAFADPVTESLSFWKLIYENCDNNVIKNLCLEVGNPSLAVYNDDHFLKLVEKPESLNIPKGISSTNMIK -EQIKLSLINNAHNIKNKIIHDVTVRIREEEPALIAWLKSIKPVFPRFLSEMASSTFYGLSNNLISLFTNS -RTIRNCFKTKCLKEVDYLIIKSEVIGIVSCLRLVIKTHTNKPDNIWTCSAVHADRLRKQSWNEDIIGMTV -PHPIEMHKIGYVVNGECSHCYENKLAQCYISILTPRGIPNTDYYCEGGPYKPYLGSSTNEGTSILQPWEK -ETKIPIIRRAARLRDVISWFVGEDSNLSESIINNLEALTGENWGNYMRGFKRTGSALHRFRCARVSNGGF -SACSPTKSSWMIVTTDTMTGLDESNYDFMFQASIIFSQVTVGSIQRSTSQIYHMHLNCQECLREIKEPLL -ESDWVLKPRPVHELLKQWRPDPDLPWGFNNQICEIKNHSTEWELEPNTSKCYFVGLILGFLFGDKVLSNG -VQTENNLFPLSIRSRLDPSYFYGGLLRGFKLIAAIHLTHRRNIISGQDSKSMLYGTLYYLIEEVSCDTDF -VQFVSTGNLHNELFYSPHKIPPSYPLSGKDLGSLCRSYLKYQLKDSDMNSELRYVWVFADIRSPKLLCTL -GISLYVERLLFKESLTKKDKELIKRYQEDYILGNNNELPTDMLNFYISNLRFCESEVRHSCKFSLPKIEY -GLNQVTSTWGQECCGYVNEIDIICVADTINYPKIQVPKHRNPAISGLRLFQCATGAHYKIRSVLKHYSIQ -YRDALIGGDGSGGISALCLRYNARSRIIFNSLLQLEDSILSGSRPTGPSAVASMGDMKLRCVNFDSVWQE -PSDLRELQTWKYFLRLKQDFNLKIDLAIFDMEVTDEVSISQIDDMLISHLHCIFTTQTNTLIYKTYLDRV -LNHPNMLLNLCQNFKSVKAVTTEFSSFKTSEIYLVCQNIETYPILSRTALGEETRQRLLKLAYANSPLSH -EIGRAFKIYSRTDLMDGVPNHLISDPFLDLSTLFVMSGSLTSDACAILQLENRSNLLNILISELCKLTNI -IFELTVIHPKKIKVPSSPNINNYFALVIGIGTWLAFVLNDPDYIRLMDAIINKQVYNDIYFYAQKNNKGI -INQWKVSDNQSGTLICKKKFHISHKLATIGQVIRSCELSYRTITNPLPIQSTSVNQLIQQKNKKLKLKYI -FKSCDLFFYLPDSILDTST diff --git a/seq/clusters_seq/cluster_20 b/seq/clusters_seq/cluster_20 deleted file mode 100644 index bcc454c..0000000 --- a/seq/clusters_seq/cluster_20 +++ /dev/null @@ -1,764 +0,0 @@ ->YP_010087206.1 P3-P5 fusion protein [Pumpkin polerovirus] -MNTVAVRSSNGTRRRRRNRRPARRVRVAMVQAIGPAQRGGRRRRNRRRVNRGGRARGGRQGETFVFSKDN -LLGSSSGSITFGPSLSESPAFSSGILKAYHEYKITMVKLEFISEASSTSSGSISYELDPHCKLSSLQSTV -NKFGITKNGSRSWTAKFINGLEWHDATKDQFRVLYKGNGSSTVAGSFKITIQCQVQNPKXVDGSSPDPPP -PPPQPAPKGARFWGYEGNPQCKIITAENDRNIDVKSLYSVSMYKWENEKWDTINLQANYSRNDRRCAEPY -MVVPADKGKFHVYIECNGEFVVKHIGGDIDGSWLGNIAYDRSQRSWMVGEYKGCKIENFQNNTTFVPGHP -DATMNGKHFDTARVVEVDWFASFHLICDDDEGGWLLYPPPIQKDSSYNFTVSYGNYTEKYCEWGAISISI -DEDDENGNVPNRRIPKRNSIQGKENPLPAETGQRQDLNTPSIEVPDAGLGKQEEKENSSLTQTGQRQDLN -TPSQEVLDPGSSKRSEPESESSSLPPDDGDAPEYTGEDPWEGITINLPKDDEATTTASRLKGNLRPPGLP -KPQPTRTIRNFDPDPDLIEAWNPDVSYPGYTAEDVAAATVLAGGSISDGRSILKKRDERILNSRDKWSFA -SSLTGGTLRASEKSEKLAKLTTAERRHYEKLKRQSGKTVAAEFLESIIQYRG - ->YP_010084334.1 readthrough protein [Pepper vein yellows virus 2] -MNTEGVRRNNNGNGGSRGTRRRRRPRQVRPVVVVAPPGRTRRGNRRRRSGGRNRNRVGGRSSNSETFIFN -KDSIKDSSSGTVTFGPSLSESIALSGGVLKAYHEYKITMVNIRFVSESSSTAEGSIAYELDPHCKLTSLQ -STLRKFPVTKGGQATFRASQINGVEWHDTSEDQFRLLYKGNGTKGVAAGFFQIRFTVQLHNPKXVEGSTP -PPPQPGPEPPPPTPQPCAKSRFWGYEGNPQNKILTAENDRNIDSRPLNFVSMYKWEDEKWDKVNLQAGYS -RNDRRCMETYLTIPADKGKFHVYLEADGEFVVKHIGGDLDGSWLGNIAYDVSQRGWTVGNYKGCKIKNYQ -TNTTFVAGHPDSKMNGKSFDSARAVEVDWFASFELECDDEEGSWMIYPPPIQKDVSYNYTVSYGNYTEKY -CEWGAISVSIDEDNEGYAPRRIPRKGETTRSDPEKDYSENKPQKENWNTKPLDAGKTLREGKPDTNPSSD -IRVKLNYSLSLEDSEDELIDEPNFVPGKRMNLEAIPLHNPADKDYILRDRGIATTLVEPAYLQDVTPQNK -IEEDPWKHVRDFKSSHVSKGPASVASGSTLRGNLRGRSMPPPLPPPAEKVGDSLLTSSTQWDPPGSPLTP -FKDKELAKPPSDARSSISSRLTGGLLKSRVDKDLASLTTAQRQQYEITRQTLGKTAAQKFLQSCKEGDS - ->YP_010086857.1 P3-P5 fusion protein [Faba bean polerovirus 1] -MNTVVGRRTINGRRRPRRQTRRAQRSQPVVVVQTSRTTQRRPRRRRRGNNRTGGAVSTRGASSSETFVFS -KDNLAGSSSGAITFGPSLSDCPAFSNGILKAYHEYKISMVILEFVSEASSQNSGSIAYELDPHCKLNSLS -STINKFGITKPGRRTFTASYINGTEWHDVAEDQFKILYKGNGSSSIAGSFRITIKCQFHNPKXVDEEPGP -SPGPSPSPQPTPQKKYRFIVYTGVPVTRIMAQSTDDAISLYDMPSQRFRYIEDENMNWTNLDSRWYSQNN -LKAIPMIIIPVPQGEWTVEISMEGYQPTSSTTDPNKDKQDGLIAYNDDLNEGWNVGIYNNVEITNNKADN -TLKYGHPDMELNGCHFNQGQCLERDGDLTCHIKTTGDNASFFVVGPAVQKQSKYNYAVSYGAWTDRMMEI -GMIAIALDEQGSSGSARTKRPKRAGHSMAVSTWETINLPEKENSEQLETSQRQDFKTPLIAEESSDDLDV -EERGLPLPVEEDIPDFIGDDPWSNISTREPQEEEAMSQSSVLKPQLKPPGLPRPQPVRTIRRFEPTPDLV -EAWRPDVNPGYSKQDVAAATIIAGGSIKDGRSMIDKRNKAVLDGRKSWGSSLASSLTGGTLKASAKSEKL -AALTTSERAIYEKIKRQQGATRASEYLEQLLAGNNPDPRL - ->YP_009976131.1 P3-P5 fusion protein [Phasey bean mild yellows virus] -MNTVVARNNGMGRRKRRNRRVTRRQRVVVVQAPGLPRRGRRQRRNRRRASRGGRAGGGRSSETFVLSKDN -LAGSSSGSITFGPSLSEKPEFSSGILKAYHEYKIXXXXLZFISEASXTSSGSIAYELDPHCKYSSLQSSI -NKFGITKNGSRSWSSKFINGEEWHDASEDQFRILYKGNGASSIAGSFRITFKCQFQNPKXVNDSSPPPPP -PPPQPGPSPPPPEPKPCKGARFWGYEGVPQNKIITAENDRNIDVRGLNFVNMDKWEDDNWTSVQLQANYF -KNDSQYAEPYMVVPADRGKFHVYIEASGLMAVKSVGGKADNSCRGFIAYDTSRRGWNVGNYKSCVIENYK -SKTNFVLGHPDLNINGCKFDSARGMEADWYASFQLTCDDDDGAWILYAPPIPKDSLYNYTVSYGEYTENM -CEWGTVSISVDEDNQGNEIKIKPTKGELRWSYPEADFFRESQRQDFKTPTETPVVSVNWAGSPENNVYVP -GDEVEQVPDYVGGNPWDNIGDQQSPPEPDRSSTRSSLKGTLRPRELPQPQPTRTITKFEPNPDLVEAWRP -DINVGYSKEDVAAATIIAHGSIHEGREALQRRDKAVLGSRSRWGLSSTSSLTGGTLKASALSEKLGKLTT -TERVQFEDIKRRLGRTRASEYLEEKLSEKQEGKL - ->YP_009552852.1 P3-P5 polyprotein [Apple luteovirus 1] -MVVRRRQPVRRNIRRRRNGPRRFAAPPRVVVVPGRPRRRRRNGRTNPRANRGRITFSSRPAEVFTFTVDD -LKAGSTGILKFGPGLSQCAAVSGGVLKSYHQYKIIGLTCGYVTNASSTTAGAFALEIDTTCSRSALESRI -ISFPVTKNTSKFFPPGVINGQNWVSSDTNQFFLLYGGNGSKTEIAGQLLIKVMITLQGPKXVDAAPSPSP -KPDPKPSPPPPSPKPAKERRFFAYSGIPKTKIKTKGNDDSIIASSNLEQQVFRYIEANNQKDVTLNARWY -STSTVKNKPMIVFDVPAGDWFVDFLCEGYMPIEAIGGSEDQKWMGIVAYNNDTADIWSVGVYDNVSITEL -NITSSWKLGHKDLELNGCHFHDGQVVERDSIGSCKVSSNTGGSLFLVAPSIMKTAKYNYCVSYGDYTDKT -LEFGFVSMVFDERDGANTAVPHIRRELKNVKYLRPSPVRLNDGGDYIDEVQKPIAAAPPSAKRPTSSRFV -VAPEPKPEPAPEPKPEPAPEPQPEVSQSPQREPAVPTKEPAVPTNEPFWPISVIDSIHVAEVTTSDESKI -RVPLETRDPDGNILSLHPGGLNAMGRDLQQFERDAVYKMWVEGQAEDIRRKQIETDAASARSISENDYRQ -INQEIRAAELPNQPNFVYRDDPIVKQNSTSDFIAARRADFDEQSVSDLKSNASTRTITGNLGGGKLKKKA -NDLDDVEDKILKALPEIDYKPSEILGVKARYHGGCGKWRDTFDSSMNCRCWMPTLEWQQVDFQYKGKASK -NEGKSIISWPP - ->YP_009551926.1 P3-P5 polyprotein [Apple-associated luteovirus] -MNSAAVRRRARGTARRRNGRRVIRATPRLVVVPGLGRRRRNGRPRPRTNRIGSARLTRAPEEFTFTVDDL -RANASGIIKFGPSLSQCAAISSGVLKSYLEYKIISVAIQYVTNASSTTAGAFSLEVDTSRTRSALDSRVI -SFPVSKNYSRSFNASLIKGLSWVPTTDDQFHLLYKGNGSSDIAGQFIIRTRIVLQGPKXVDAAPSPSPDP -PAPQPSPTPKQERFFAFSGVPKLTVRTRENSDSIILPNEFPNQVLRYLEDDKQEDRWVSARWYTSNNMQA -VPMLIFDVPQGVWFVDFMCEGYQALSAVGGAEDQKWMGFVAYNDNNSDSWNIGVYNSVAITDLNLLTKWK -LGHKDMELNGCHAKDGQVIERDAVGSCKVDASGGAGRLFIVPPPIMKTEKYNYCVSYQDYTDKNLDLGFV -TVCFDERDGAQRACNLLHRAAWLSGNDQIHAWATPAPLQDYLSDLDDAPSDVESEPKTGTDKITPLQESD -KITPEPVSDKVTQADSRVISWLNNDTTNDTETDTDYSKLKPPLPPKQQVELTRENVADIVRKDILRDTTL -PFSRFSGDPLTERAEEDARSMRSSGSIGGRSITPSLSGSLGRGVLKSGPSVPHIKHIPTGKPASLFVKID -KKSFLTDAERAEYDKIRASFSKARADEYLDFIFNARNTSSSN - ->YP_009666038.1 putative coat protein, partial [Chickpea stunt disease associated virus] -GRRTINGRRRPRRRNRRRQNQPVVVVQTPRNTQRRRRRRRGGRNRTGGRIPSGPGASSETFVFSKDNLAG -SSTGTVTFGPSLSDCPAFSNGMLKAYHEYKISMVLLEFISEASSTSSGSIAYEVDPHCKLSTLSSTINKF -GITKNGRKQFAASFINGQEWHDTSEDQFRILYKGNGSSSIAGSFRITIRCQFHN - ->YP_009664866.1 putative coat protein P3, partial [Barley yellow dwarf virus SGV] -MNSQGPRRNARKNVRRRNRTVRPVVVVQAPGTGRRRRNGRRPARRRANHLPRPAGRSEVFTFSVNDIKGN -SNGVIKFGPSLSQCPALSDGILKSYHDYAITNVQIFYRSHASSETEGALFIELDNSCTQSALASYINSFT -ITSSGSKTFTAGSINGTTMKNYLSDQFYLLYKG - ->YP_009664862.1 aphid transmission protein P5 [Barley yellow dwarf virus kerIII] -MNSAGRRRNNRNVRKSKPKPKPRAVRTVVMVQPNGAGPRRRNNRRSRGRGSNPLSGSAGRSEIFVFSVDG -LKTNSSGILKFGPGLSQCPALSGGILKSYHRYKIANVKIQYKSHASSTTTGAIYIELDTSCTQSALGSTI -NSFSINQSGSKTFNTEQIDGKDYRETTLNQFYLLYKGSESESNTAGQFIVTFRVMNTTPKXVDSSGPEPA -PTPTPAPTPTPTPTPKPAPEPAKRFFEYTGVPIVTIQTRETSDTIILNKFENQTLQYLEDETASTRTIEA -WWNGNNNVSAQAAFIFPVPEGSYSVNISCEGMQSVDHMGGTEDGYWIGLIAYNNSTTDNWGVGNYQGCTI -TKFLATNTWRPGHKDLKLNECSFTDGQIVERDAVMSFHVTATIKNASFYLMAPKTMKADKYNYVVSYGGY -TNKRMEFGTITVTFDESGSEASRVKKHEGSMLRHNVVLFNNWTDPLPNLPPQEAEHTAVMTGDSSPENSD -TEAEKAYNLATRFIPDANEDVLPSKEDLSKKPMDSRGYTIPKPPARVEEPEVLDQYNYAPMYADDVRKAP -STSLYNTKPKNTGRTFLSRFVESSRTADAGQSEATTSRMTREQLKEYTRIRNTWGLTAAKEYKASLE - ->YP_004869995.3 read-through protein [Brassica yellows virus] -MNWTNVDARWYSSNNVKAVPMYVFPVPEGTWSVEISTEGYQPTASTTDPNKGKVDGMIAYSDDQSEVWNV -GINQNCNITNLKADNSWKYGHPDMEINNCHFNQGQVLEMDGTISFHVETTGSDASFFLVGPAVQKQSKYN -YAVSYGAWTDRDMELGLISVSLDEKDGSRGSAMKRPRREGHSKAVSTWETINLPEKENSEKSITSQRQDS -KTQPQQGFSDAGSEDNKEWDFTPGTRMFLPEDFEEPREAQNDDLLRDRGIVVDPSLLEIPDSPPPRADHK -DTDPWAEVERYKSSHKALVGEDTRSRQSSLTGGSLAGGSLRKLKPVTPDEYEQAKLKDESRLQYEQDLAE -YHRSLKRPSSALIPRDDGELMEMDPSQRKLMKNAGVNLDKYGRPIKKGFLSFRK - ->YP_004869993.1 coat protein [Brassica yellows virus] -MNTVVGRRTINGRRRPRRQTRRIQRNQPVVVVQASRTTQRRPRRRRRGNNRTGRTVPTRGAGSSETFVFS -KDNLAGSSSGAITFGPSLSDCPAFSNGILKAYHEYKISMVILEFVSEASSQNSGSIAYELDPHCKLNSLS -STINKFGITKPGRKTFTASFINGIEWHDVAEDQFRILYKGNGSSSIAGSFRITMKCQFHNPK - ->YP_009351867.1 P3-P5 [African eggplant yellowing virus] -MNTGGRKNVNYRRRRQRRTVRPVQQMVVAVPSGQPRGRRRRRNRNRRRGVRGGPRSGGSSNSETFVFNKD -SIKDNSAGTIKFGPNLSESIALSGGVLKAYHEYKITMVNIRFVSESSSTAEGSIAYELDPHCKLKSLQST -LRKFPVTKGGSTTFRAAQINGVEWHDTADDQFFLHYAGNGTSGKTAGFLQIRFTVQLHNPKXVDAVSPGP -VPPPQKREWFGYGGPVYMQRYSRKSTENTDLKPHPEPWACTYWDEDNCLAEILYTRYVSHTLDVINVAID -IPMKVGEYYILIMCVGANTVSGHIDSNVAGDEFVGVQTGLSGDRWQFLPGDGNSYKEENSYIHDVLTSDQ -VTIAGSTFRTGDLARANYSTRGRLIIKKAGNISILLPPYRRSHAFNYTKSFANYGWFSWKAGTIFMEFDR -DDTGNRRIAPGAIFPTIPVIDRDAKPLYPGDHQQERQPDKTPSPDVSDAGSDTDDHGSTETMALDFGHIS -DSANEVQSEESEWPKLPPLRHPVRPRESAAPDRTVTAFKPNTELLEAWDNKHFDPGFTKEEVAAATIINH -GSIQDGRNAIEKRDRSIERKTLSWAPEEKKPVSPAIARLRAEKPLRGGSLSGGSIKGGSETASSLGGGSL -VGGSLRKRVTLEESIVQRMSTEQRLRYEALKKQDRRAADDFLWTIEVPPEVDEKIRSNPLNKRHNPG - ->YP_009508733.1 coat protein [Barley yellow dwarf virus GPV] -MSTVALRNGNGPRRRRVSRRWVVARTQPMVMVATNGQPRRRRRRRPAGRGRRRVPRSTGGSHGETFVFAK -DSLKGNSSGSLTFGPSLSEYPAFKDGILKAYHEYKITNCVLQFITEASSTAAGSISYELDPHCKSSSLTS -TINKFSITKTGARSSPAKMINGLEWVANDTDQFRILYKGNGDANTAGSFRITMKVLFQNPK - ->YP_009506761.1 coat protein [Sweet potato leaf speckling virus] -MSTVVVRNNGSRQRTRRRRNQRRSTRGQPVVVVTAPRNTGGRRRRRRGGRTTRRAALPGGRSIRQTFVFS -KDNLKGSATGSFTFGPSLSECEPFEGGVLKAFHEYKITNILLQFVSEASSTSSGSISYELDPHCKISSLS -STVNKFSVTKGGARSFTARMINGLEWHDSSEDQCRILYKGNGGNDIAGSFRVTITVAFQNPNR - ->YP_009506760.1 coat protein [Groundnut rosette assistor virus] -MNTVVVRRPGNGRANRRRNRRAPRRNPVVVVQTPRQPNSGRRRRRNRRRSNRGSRNRGGSGETFVFSKDN -LTGSSSGSITFGPSLSDCPAFSSGILKAYHEYKISMVKVEFVSEASSTSSGSIAYELDPHCKSSSLQSYV -NKFGITRSGQRSWMGRYINGVEWHDATEDQFRFLYKGNGSSAIAGSFRFTIKCQVQNPK - ->YP_009465712.1 ORF5 [Pepper enamovirus] -MKISTAENDRDIVAKSFNYARFYKWEDDKWETVNLSAGFASNDSEKAQPYIIVPADKGKFQVYLECNGFQ -AVKSIGGKADGCWSGLVAYEATGPGWLVNQYVGCTITNYQSSMKFVCGHPDVELNGCKFKANRGVEADFY -ASFDLEADDDDSKWVLYAPDLRKDSDYNYVVSYGGYTDKICEVGSISISIDEVNAEGSGSNAHSALWRLS -EPIDKEKSLKLPLKRRSCWSSDSE - ->YP_009465711.1 coat protein [Pepper enamovirus] -MANNGRRNRPRRAKKAPRAAPVVVVRNAPARPRRRSGGGRRSRRGGGAGEWRPYHLYGLKGNDKGYLTFG -PDGQTPSLGGGTLKACAEYKITALKVQWKSQASATVNGSMAIELGLGSTITTVSSRATSFKLSVSGSKSF -SGKELGATGRMLPTGDSASGEKGENQFRLAYNGNGPADVCGDLLCFFKLQCAMPK - ->YP_009455743.1 putative read through protein P5 [Pepper vein yellows virus 5] -MNTGGVRSDNNGNGGSRNTRRRRRPRQVRPVVVVAPPGRARRGNRRRRNGGRNRRSRDRVGGRSSNSETF -VFNKDSIKDSSSGAVTFGPSLSESIALSGGVLKAYHEYKITMVNIRFVSESSSTAEGSIAYELDPHCKLT -SLQSTLRKFPVTKGGQATFRASQINGVEWHDTSEDQFRLLYKGNGTKNVAAGFFQIRFTVQLHNPKXVEG -STPPPPPPSPGPEPPPPSPQPEPCKKSRFWGYEGNPQSKILTAENDRNIDSRPLNFVSMYKWEDEKWDKV -NLQAGYSRNDRRCMETYLTIPADKGKFHVYLEADGEFVVKHIGGDLDGSWLGNIAYDVSQRGWTVGNYKG -CKIKNYQTNITFVAGHPEAKMNGKAFDSARAVEVDWFASFELECDDEEGSWMIYPPPIQKDSSYNYTVSY -GNYTEKYCEWGAISVSIDEDNEGYVPRRIPRKGEMAWSHPEKDYSENKPQKENWNIEPLDAGETQRERQL -VKTPSPDVSDAGSDIDDDLIPVDLIERVKRGEKLDPMEQLEYDRHRYRSRDVEPEDSTVDDTSSYPRITP -REPVEQLPAGRTTSDFAPKAELLEAWDNKHFNPGYSEAEVAAATIIKAGSIMEGRSMIRERDKKILRAAT -SWKPEEKPESPSIAKLRSSKLTGGSLRANSETASSIGGGSLSGGSLKPKRTIEQGIVEMMTTAQRLEYER -LKTINTGKANEFLWSIGKPPPPNPDPFNRRI - ->YP_009407910.1 P3-P5 readhtrough protein [Wheat leaf yellowing-associated virus] -MNTGGNRTRRNARRRANRRRSTRPVVVVRPTPKPRRVRRRRASAGGGAVRGPGGRSNREVFTFTVDDLKA -NSSGILKFGPNLSQYAAFNNGILKAYHEYKITSLTIQYNSCSSSTTSGAIALEVDTSCSQTTTGSKIVSF -PVKSNTRKTFPTSFIRGKDFVTTTADQFWLLYKGNGDSSLAGQFVCRFECQFQNPKXVDGASPQPEPTPT -PAPTPPPKKNAFFGYEGVPTSIVKTRRNDEYMDVGSLSSVKLYFWKDESWSIEYLSANYSQNDSNRATPY -FLIPVSEGKYSVYIECEGFQAVKAKDGPNDGKMSGFITYSEGQNGWMARAYTGCTISNYRATDSKVAGHP -DLEVNGCNVTDQLVERDFYCSFHLDATGDGYFALQAPPIEKSDHHNFVVSYGNFTNKTLEWGSVSISIDE -VNTTEAHQRSNRDKTAGGNSRLGAVLDLGTYESTIDKPAPRATESTEPKPSPALAPRAAAPEPQLKISDK -PDPKIQNWLNSLEDESVVDEVLNETVYAPPKPPSLKPTSEDTLRQADKVRRALMANASQNSPPKDHLVNV -ETSSTFGGSLQGGSLHGGSLQGGSLRGGSLGGRSSRPPLPTIASPPRGRSVSPPASMASTLRGGSLSGGS -LRGGNINVRREMTREESREYTRLRNSMGKTAARNYADSINAKYP - ->YP_009380513.1 P3-P5 polyprotein [Peach associated luteovirus] -MPTKKGKGKGKKGKKNGKGGNSGANAKSVVVNVQSGGRGRTGRSASGGNRVAGSGPGDHSNRFSFTVDDL -NSASSGILKFGPNLSQYTNFSNGILKSFHEYKITNLTVKYVSYASSTTSGAFAIEIDTSRKQTDLKSRII -SFPVSKGFSRGFQARVLRGLLWHPTSEDQFWLVYKGNGKSTDIAGQFVISFNVNFQGPCXVDAAPQPSPT -LDPTPPTPPPEPTPVKQERFICYAGVPRATIQTRENDESIALKRLEDQNLRFLEDDYQSTTSIASCWYSQ -NNVQATPMFIFPLDEGDWYVDIVCEGYQAVMAIGGDEDKKWLGFIAYNDDEQANWNVGNYRNVVCSELLV -TSSWKFGHKDLVLNGCNFQRGQVIERDSVITFKVKSGNGGGEFFLVGPPVMKTEKYNYVVSYGGYTDKTM -EFGSINDCADERADGARGAGIRAKLGDLDHHNWNLCDLPPLQIPDVKLDVVPSVNKVNNSPPIGFNADRP -PPIPNPSQLPPSSSSDEKLRKKIMDWQLDSGPMKNDPDRDDAIAREPPEWREGHHLGRTHTRAEIFPRET -SMVIIPQQDETPEVQADTVVTSVATEAVQVTTVPVSAQPAYISQLPPSWRQDKPPMVLSQRQMELANKAL -NSAMSGEFSDDDETHSVAQSTASNRSLFGGGLFGKKKGRR - ->YP_009373265.1 aphid transmission protein [Grapevine enamovirus 1] -MVARSKKAGKKKSAGPGNRRRLQPRARQMVVVSTQRPRKPRPRRTRGRGSQGGGLNAGVNFTFLVNSFAG -NASGTIKFGPNLTESSAFVGVLGSFQRYRIVSLQVHYVTEASKMDRGCIAYHVDTSCSMRASGLLPTTSW -PVTQSALKTYGAGVLGDQPHYEHTKEQFWFLYKGNGSSDIAGHLRLTIRVVFTNTLXYLDSSPPSPTPPP -SPRPPAETALLIGYCGTPTCSIATRQSKESIIVGKTEMIQLFRWQDDRWETVSIPIEQTRLSDRDTHLAR -FQIERTGTFRIHIQCEGLQCVADAKAEEEEEWKGIITYSPTGGVFSVVPLGDSVLSDKQQSTTLTKGHKD -SSINGDKLSGQVVEVDWTCSFDVLCTSPNTGFGMFFPDIMKDQHYNFVVSYGDYTWKTMEYGTISIDIDQ -VNDSQGRPCDSRRGKGVPRHWKRAIRGKEQPYNAPGPAGDRKEGSAQVPVSIAPMAEPTVLPRTMTPPAP -MVMEPVRRTGIEVAPIAPPSPPPLPPVPKPPVESNQPAASPQKKKSSSSPWIRF - ->YP_009352255.1 read-through protein [Cowpea polerovirus 2] -MNSAGVRNSNGRRTRRGRRIQRRQRVVVVQASGLPRRRRRQRRNRRAPARGGGPGRGSSDTFVFSPDSIK -GSDSGYFTFGPSLSAKPEFCNGILRAYHEYKITMVKLEFISEASSTSSGSIAFELDPHCKYSSVQSSINK -FGIVKGGNRTWNARQINGLEWHDATEDQFRILYKGNGGSAVAGAFRITFRCQFQNPKXVDGSSPPPPPTP -QPGPSPPPPDPQPCKKFRFWGYEGVPQNKIITAENDRNIDVRGLNFVKFDKWEDDNWTSVDLQAGYSVNN -SQYAEPYMVVPATKGKFHVYIEASGLMSVKSVGGKADNSCRGFIAYDTSRRAWNIGNWKGCVFESYKSKT -QFVLGHPDLVINNCKFDKARGMEADWYASFQLSCDDDDGAWVLYAPPIPKDSLYNYTVSYGEYTENMCEW -GTVSISIDEDNQQGNNALKPQKGEMLWSTPEKELPGGQRQDSKTPAIKVVPVTGQDQAPIPTKPFKFVGH -TALQNAAYEAVEDLGLPIKPTINEFGRIGDGYSIEVPEYVPPKQYIQYCNDQITARKFQDADDAEAMRLK -TLDDFRSCGDSRVQNLSRESEIAEIDAIYARRVQSQETDKMNLSFTPDWGDYDFKRDRTPSPDRSSQKPN -LKGKMRGVLKRTSDQASSTVSSLTGSLRPRRLDPRLERLTTAQRFSYENMLRVNKDQAARFLDREFGPL - ->YP_009352248.1 read-through protein [Cowpea polerovirus 1] -MNTVVVKRRNGGPNRRRNRRAARSNTMVMVQAPRPTGRGGRRRRNRRRPNRGGRNRGGSGETFVFSKDNL -SGSSSGAITFGPSLSDCPAFSSGILKAYHEYKISMVKVEFISEAASTSSGSIAYELDPHCKSTSLQSYVN -KFGITRNGQRTWTGRLINGVEWHDTTEDQFRILYKGNGSSAIAGSFRITIKCQTQNPKXVDDSSPPPAPA -PQPTPPSPTPEPKPCKKDRFWGYEGVPQSKITAARNDQFIDVKPLNYVQMYKWEDDKWDRINMQASYARN -DKSYAEPYMVIPANKGKFHVYVECNGMMAVKSIGGKSPDSWNGLIAYDTHRKAWDVGNYKGCVIENYQKN -NTFVAGHPDVELNNCKFESDRGVECDCYLSFQLTCDDEDGAWCLYAPPIPKDDRFNYTVSYGAYTERNCE -WASVSISIDEDNGTGNEVKRKPRGGNYDWASPGVLPTEDYNDRGRPEGKQVMDQSIHGQTFSEISGPGEG -SKSQGVTDDAMSDISGQLVPVLTTSPLAGFEEYSPPASPPPPFELPVPALEWKPPSPPSSPPMTRNRTGL -FKGLRAKIKQNKADLAETASRVSRGEEFADTPANIDSRFRELQASNTAANLPSYEPRERDVWEGVRFANQ -RAQDTDTRSRTSTLQGGTLRGGILRSPKQQRFGRMEDVD - ->YP_009342340.1 hypothetical protein 3 [Wuhan insect virus 20] -MPTQVFRYIEDEKFYNFNLNARFYSENSLKAVPMIVFPVPAGSWSVAISAEGYQPTSSTTDPHRGKIDGM -IGYSDDEADVWNVGVYANVEITNNKADNAWKYGHPDYEVNNCHFNQRQCIERDSDISFHVKTTGDNAAFF -LVGPAIQKQSKYNYAVSYGDWTDRDMEIGLIHVSLDERHESGSKKVRRLHKGAVKQSTTHRSMTTQLVSL -DRSSSASSSLEDVAGERKPYQTPSVATEVKIPGSSSSGSLVVRSKPTSGFKLRLGR - ->YP_009342260.1 hypothetical protein 3 [Wenzhou tombus-like virus 6] -MKTDRYNFVVSYGGYTYKRMEFGTISVTLDENEVKGVSRPRKEHKSISAAHTLYPDYESLLNASQEQTLS -EESDAIKAYEQAANRQGDDSESDAEVLPPPGYEPETIVGLPPPGPGRVPYRADPISIPNVVVKPSNNRNT -GSFLSRLVESQKTAPRDEETLSVTSARTTGSLTPEERIEYWRIYRSRGKAAADFYKKRIEDNPG - ->YP_009337733.1 hypothetical protein 5 [Hubei polero-like virus 2] -MQAPPIQKSDDYNYVVSYGGYSDKDLEWGMLSISIDEKDEDGFSRHRRVRAPKAPRALEPAPHPGNLLDA -LAERKPQQTPLNNEGTFKLERNRSEKKLAPFDARSDPEPENILFRDLGGLRDNSSDEGESNNVSDWLNDT -STEIGQFDQIVETTPTWNPEPVARPPSPTITETLSSIPRTEQIMRMPGVSDPSDRPFVKSVLDQKHKKSF -AQRLVPSLGGSRSSALQGGTLRQKHSDAIKNYMTGAEQIEANRIRAQQGKTAMMEYINSLNLHDRVQ - ->YP_009337732.1 hypothetical protein 4 [Hubei polero-like virus 2] -MNTGGARRKGRNGKRTRTGRARRNPVRPIVMVAPTRPTWRNRRRPTRSNRGRGQLRNAGGTSNSETFVFN -KDSLKGNSKGTITFGPSLSESVALSGGVLKAYHEYKITKVNVRFFSESASTAEGSIAYEVDPHCKLTELG -STLRKFTITKAGQATFGASKINGLEWHDSSEDQFKIHYKGNGSTTTAGYFQIRYWVSLHNPK - ->YP_009337099.1 hypothetical protein 3 [Changjiang polero-like virus 1] -MNSSYIDSRPLSYVNMYKWEDEKWSTVHLTAGYSRNDGEEIQPYMVIPASKGKFRVYLECEGFMAVKSIG -GKADGCWAGLMAYDSTKSGWSVSEYVGAKITNIQANTNFVCGHPDVKLNDCTFKKNRGIECDFVCSFHLD -CDDEDGKWLLYPPPVQKSDDFNYIVSYSNFTDKWLEWGMISISIDEINSPSSLQGLPRRRLTQQLTTPEG -QPDTNPAIGEQATGPAPILSPNPALGEEKLESIQRWLSFSPSDSEQDIPAPPDPERPTLPQEVFRVPPSN -SIPSVIAPSLAGGSLRGSLFGGSLKPRSLDSGKLPETTPRDNVSVAGSLTGSLVGGSLRGGALKSPRLDT -AQAKEHARILKQLGKTAAAEYLERVRASQSSGQDFK - ->YP_009330063.1 hypothetical protein 3 [Hubei polero-like virus 1] -MNTVVVRRNGRRGLRLRRRRRRNARTQQMVVVTQPQRTQRRRRQRRGRGTSSRRGAVGRGNNFETFVFSK -DNLKGSSKGTFTFGPSLSDCPAFASGILKAYHEYKIISCNMEFISEASSTAAGSIAYELDPHCKLTDLAS -TVNKFSITKGGKKTFTARLINGVEWHDATEDQFRVHYKGNGDSTTAGSFRITIRVATQNPK - ->YP_009316231.1 P3-P5 polyprotein [Cherry associated luteovirus] -MPKGKKGKGKGRKGKKNGRNRAASVAKSVVVNVQPGRGGGTGRGNARGNRIPNPGPGDRLDRFTFTVDDL -KANDSGTIKFGPSLSQYANFSNGILRSFHEYKITNLTVKFVSYASSTTSGAFAIEIDTSRKQSDIRSRII -SFPVAKGFTRSFQSKVIRGLIWHPTTEDQFFLIYKGNGKAEIAGQFNISFTVNFQGPQXVVEAPQPSPTP -EPTPSPPPPQPTPCKQEKFYCYAGVPTATIQTRENDESIILKSLGSQEFRYMEDDYQSTRYLQSTWYSQN -NVQATPMIVVPLDEGDWYVDIVCEGYQAVDAIGGDXDKKWLGFIAYNDDEQSNWNVGNYRNVVCSELLVT -SSWKFGHKDLVLNNCNFQRGQVIERDSVITCKVKSGDGGGSFFLVGPPVMKTEKYNYVVSYGGYTDKTME -LGSVTIAIDERAAGAAGVGIRAKRNNNCFESHVPMSMPQLTIPDSVLDVVPSRDLIASSLPPLPPNRKPA -RQLIVHDPVNDWLTNHNPYNISQTRQDVLDNESREWREGHHLGRVATQNEVYRPEQDIVIFKQRDDQEAV -VNDTVTQPVPSTQAVDTLNSNNPPAAPYLAQGDIGPSQVQARLMQEALERVRSQYDSGEIETSSVAGSTS -SRRSVLGGSLFGKRKGR - ->YP_009315898.1 phid transmission P5 protein [White clover mottle virus] -MNTVVVRNNGRRRRNRRPIRRAQRRNPVVVVQPARQPQRRRRRRRNRRRAARGSTAGRRGSSETFIFSKD -NLKGSDSGRITFGPSLSDCPAFSSGILKAYHEYKITMVKLEFISEAASTSSGSIAYELDPHCKASELGSY -INKFGITKSGQRTFSARFINGIEWHSSDEDQFRILYKGNGNSAIAGSFRITIKCQTQNAKXVDDSSPPGP -APTPPPPPPPAPSPEPQPCKKFRFWGYEGVPQNKIITAQNNRNIDVRALNYVKFWKWEDDNWSEVNMQAN -YSTNNSQYAEPYMVIPASKGKFHVYLECDGQMAVKSVGGKADNTWRGLIAYDTSRRMWNVGNYKGCVIEN -YKMSSTFVNGHPDVELNDCKFDKARGVEADWYASFQLTCDDDEGSWLLYAPPIPKDSLYNYTVSYGEYTE -NMCEWGAVSISIDEDNGSTGNEVSDYCRRGYMRQSLPEGKLEQQPYVEDVDKINSWKENQSETSSDSEDV -AFANKMRGKLPNQTKLPPKGFLSRLKPSEKEEIVQAKPTQVTDDDVLRGMKAVGVFANPSYVHPVREKLE -DIERQELMKELEKDLQEINRLEPPDEISAEENIPDFVEPVLPVPDPDWFNEHTAKTISVIEHPWDFPPIE -DTSKKKLRGTLSQAGGSISGKSSLGGGTLRRSTEDVWRETLKSKLSTSDRNRYERIVKGQGKTVADQFLR -DRAA - ->YP_009254741.1 P3-P5 fusion protein [Pepo aphid-borne yellows virus] -MNTVAVRSSNGTRRRRRPRRSARRVRVAMVQAIGPAQRGGRRRRNRRRVNRGGRARGGRQGETFVFSKDN -LSGSSSGSITFGPSLSESPAFSSGILKAYHEYKITMVKLEFISEASSTSSGSISYELDPHCKLSSLQSTV -NKFGITKNGSRSWTAKFINGLEWHDATEDQFRILYKGNGSSSVAGSFKITIQCQVQNPKXVDGSSPDPPP -PPPQPAPKGARFWGYEGNPQCKIITAENDRNIDVKSLYSVSMYKWENEKWDTINLQANYSRNDRRCAEPY -MVVPADKGKFHVYIECDGEFVVKHIGGDIDGSWLGNIAYDRSQRSWTVGEYKGCKIENFQNNTTFVPGHP -DATMNGKHFDTARVVEVDWFASFHLICDDDEGGWLLYPPPIQKDSSYNYTVSYGNYTEKYCEWGAISVSI -DEDDENGNVPNRRIPRRDSIQGKENPLPAETGQRQDLNTPSEKVPDAGSGEHEEKENSSLAETGQRQDLN -TPSQEVLDPGSSKESEPGHFEGSSLPPDDGDAPEYIGEDPWEGITVDLPKDDEATTTASRLKGNLRPPGL -PKPQPTRTIRNFDPNPDLIAAWNPGVSDPGYTAEDVAAATVLAGGSISDGRSILKKRDERILNSRDKWSF -TSALTGGTLRASQKSEKLAKLTTAERQQYEKLKRQSGSTVAAEYLESIIKFRG - ->YP_009249825.1 aphid transmission protein [Alfalfa enamovirus 1] -MPTKSKAPQRRRRQPKRKAVVADLVVQPRARPRRPRRRRNRRGSGQNGSHTVDFSMVHGPFNGNSTGTIK -FGPSSDCQCVKGNLNAYQKYRITWLKVVYQSEAASTDRGCIAYHVDTSTTKRATDVVLLDTWNVRSNGSA -TFGREILGDQPWYESNKDQFNFLYRGTGGSDVAGHFRINGKIQLMNASLXGDDAPPSPGPDPGPPPPPPP -SPAPKGARFWGYEGTPELRIISERNDNFIDVKSLSTVRLFKWEDENWSTVTLSAAYIKNDRSEAIPYFLI -PSSKGSFSVYIECEGFQAVKHIGGTADGCWCGLVAYDRSKDGWAARMYSGCNITNYRASSTTVGGHPDAE -LNDCKFRPERCVESDFSCSFHMECEDDGYWALQAPPIQKSNDYNYIVSYGNYTEKVLEWGTVSISIDEVN -SNGTASPRSLRGRPRKPVVLQETAVPPPIPPGGAMDCPVGNREDDQTGTYGSRPLRRSNPRWDPFGSRNP -WS - ->YP_009249572.1 P5 [Maize yellow dwarf virus-RMV2] -MYYWHDESWSKETLSAGYVQNDSSRATPYFLIPTVVGNYKVYIECEGFQAVKAKGGENNGKMAGFITYDP -QQAGWQAYSWAGCTLSNYRITDGCVQAHPDLKVNGCSFTKGQCVERDVVISFDLSVASEGYWALQAPPIE -KTDDHNFIVSYGNYTEKILEWGMVSISIDEINSSNDAQRVPNRNKDTSEPNRLTDFTNSASVGVTVTDKN -LDSEPEGQLVVQTPSLQTPANPVAEPASKVSAKVVAWLNNPDPESDADAPPPPTPWDSSQVRLRSREEAN -LEPSPQNASPREYMNKTYEDDVASQATKTPTLSGGSLRPRLKQTSDSAKDNSSQVGSQTGTLTGGSLRPS -LQGGRLASQQFRMTTEQAKQYDLIKRSMGRTRANEYYEECRKAAEGS - ->YP_009249570.1 P3 [Maize yellow dwarf virus-RMV2] -MNTGGRNGRRARNRRRARNNNRAQPVVVVAANPRRGRPRRRRRPSGNTAGRPGVRRGPRETFVFSKDSLT -GNASGKVTFGPSLSECAAFSGGILKAYHEYKIKIILEFISEAASTAEGSIAYELDPHNKLSSLASTINKF -SIVKGGRRVFASNQIGGGVWRDSSEDQCTIHYKGNGKSSVAGSFRITIEVNVQNPR - ->YP_009246453.1 P3-P5 [Barley virus G] -MNTGGRNGRRTRSRRRVRPASRTQPVVVVAAGQRRRRPRRRGRRTGNTSGGSGIRRGSRETFVFSKDSLT -GNASGKLTFGASLSECAAFSSGILKAYHEYKISKVTLEFISEASSQSEGSIAYELDPHNKLSALSSTINK -FSIVKGGKRTFTSNQIGGGVWRDSTEDQFAILYKGNGKSSIAGSFRVTMDVLTQNPKXVDGASPQPAPAP -TPAPTPPPTPAPATQPKFYNYSGVPESRIQSRKNSEFIDIYSLSFIKMYYWHDESWSSETLSAGYVQNDS -SRATPYFLIPTHVGSYKVYIECEGFQAVKAKGGANNGKMSGFITYDPKQSGWQAYSWSGCTFSNYKVTDG -CVEAHPDMKVNGCSFSKGQCVEKDVVLSFDLTVSEEGYWALQAPPIEKSDDHNFIVSYGSYTEKILEWGM -VSISIDEINNNDAHRVPKRNKDARELRRLTDFTNSDSVGVLVKDKDLNSEPDGQLVVQTPAQPETGGELT -VQNPPPKPALQVSTRVQQWLDDSEPESDADAPPPPAPGPTQVRLPTLNERNLKPSPPRSPRRDMNVTYED -DVASRTSRTPTITGGNLRPKLKSVSDDVETQSVTPSRTGSLTGGSLRPSLQGGRLGQQQFRMTAEQARQY -DMIKRSFGKTRANEYYEELKKAAGSSS - ->NP_050010.3 putative aphid transmission factor, partial [Sugarcane yellow leaf virus] -VGDAPPTPTPTPTPPAPTPAPAPKYFGYQGVPNNIVKTRGNSEYLDVGPLENVTMYLWKDESWSIEQLSA -GYRVNNRERAIPFVLFPVDKGKYSVFIQCEGFKAVKAKGGTNDGKMSGFLCDNANLAGWRAYAYSGCTIS -NYRTSDSNVPGHPDMKVNGGSFTDQLVERDFSCSFHLEVPQQGYFGLEAPPIEKSDHFNFVVSYANFTDK -ILEWGSISVAIDEVNDGAYSRSKWDKTTAMSARLPTQVSAPSEVYTPEAPEQPTNEGTESMPPAPNPALG -PDNPATKEPTPKIVDNPDPKVLNWLNNQRDKPVVEEVLRESPIYAPPPPPSLVPTHADTLRQADKVRRAL -MANASRSASPPPTNTEVPVLGATDTASLSGSLRGGSLRGNLGSRPSLPRVADSPPRSVSPARSETSTLQG -GSLSGGSLRGGNLQALPEATREQRREYERLKNSQGKTVAKAHAKEHGYKPPKSSSSLFG - ->YP_009238503.1 putative read-through protein P5 [Ixeridium yellow mottle virus 1] -MNTGAGRNVGRRRRRRTNTIPRRRNRVVVVQASRQPQRGARRRRVRRRPAGGSGVGVRRSRETFVFTKDS -IAGSASGSITFGPSLSESPAFSSGILRAYHEYKITMVKLEFISEASSTSSGSISYELDPHCKSSSLQSTV -NKFGITKNGAKTWTARLINGQEWHDATEDQFRILYKGNGASSVAGSFRITITCQLQNPKXVDGTSPPAPA -PPQPPPPPSPPPPPPPEPTPCKGARFWGYEGNPQSKIITAENDRNIDSQPLHFVYMWRWEDEKWEKVTMQ -AGYSRNDRRCMEPYFVIPANKGKFRIYLEADGEFVVKHIGGGYEGNWLGNIAYDVTQRGWNIGEYKGCKI -SNYQAQLTYVPGHPDARMNAKQFDSNRVIQVDWFASFEMECDDDEGSWRIYPPPIQKDSSYNYTVSYGNY -TEKYCEWGTVSISIDEENPKGKAPKFKPRKGAMTPATPLALNDLPEDLSQRPDYNTPPTGSSVELERSRS -EKPLKHLDLRSLDSEPINLLARDLRGLDDNSSDGEEKKPNDVSSWLENTDAFEEVVENEPDWNSEPVARP -PSPTETQTLTSVPNTEQVMRMPGVYDPSDRPHARAVLDEKHSKSFAKRLLPSLGGSRTSALQGGALRQKH -SDTIRRYMTTAEQREANRRKQQLGETAMKQYIEGLNLHERVQ - ->YP_009162335.1 P3-P5 [Luffa aphid-borne yellows virus] -MNTAGGRRRNGSYVTSRTLRNRRRRQRRAARVRNVIPPGGGPIMVLPNLQSRRRRNRRNRRRRGGGVSRG -SGSTEVFKFILPDLKGNSAGTIKFGPSLSQKPEFATGILKSYARYKISQVTLSFRSEASATDGGALVYQL -DTTCAATQLDSKLYRFSISNRAPQNVTWRGAQIRGEEWHSTSSEQFWVLYQGNGEAKVAGSITVTMVVNF -LDPKXVDAVSPTPGPTPPPPPXPPPPVKREWFGYGGPIYMDRFSRRSTEGTDLKQKPQPWGCTYWDEESC -VAESLNVRYVTHTPDIINIAIDIPVKAGEYYILLMCVGANTVSGRIDKNVLGDEFVGVQTGLPGDSWGLL -PGDGNSYKEENSYKYEVLTSGQISIAGSTFRNGDQARSNYSTRGRLFVKKTGKISILLPPFRRSHAFNFV -QSFADWNWFNWKVGTIFMEFDRDDTAITRLGRAIGVAFPPPPVALPGEPLLGEVDSDGKHSKTPEAGSPR -SSVDEYDANIAQFRDIREAEKRGVEHKILPTTLPPIIPEFPPTPIHQTVRDLGGLVDSPDTKAPIGVADW -IQVNADPVDEINSPSDEEVSARPPSPTESSAFTSIPNTETVLNLPGVYPSDRHVASSLLDNKRSKSFGKR -LIPSLGGSRASALSGGSLRQKHSDLIKSKMTTAEQAKAQKIRQELGKGAQTRYIESLNLHDRV - ->YP_009143311.1 ORF3 [Nectarine stem pitting associated virus] -MSQRNRRSQNAGWLPPMRQQPPRWSSQRQGAPAPRIVYVTGPPVQPKKAAKKKSPPSKQPQPTSPFPAFK -FTIDDLKGDASGVLKFGPKLDQYQAFVNGIMKSFHDYRISSVVIRYVSNAASTTPGAMAFEIDTSCTQTE -LSSKVMSSPLNRSFTKTFSGPTIRGNLWLNTKQEQFWLLYKANGAKSDIAGQFIITLHTHWQNPKSXRDD -APPPEPTPCPPSPTQSRFWGYEGVLQSVISTRTHDDFVHPKPLSFCSMYKWEDENWTKENFTANYSRNDS -DEAFPYVLIPCSPGSFSVYVECQGFMVVKARSGNYNGHWLGCVAYNVNRSGWVAQEYSGCKITNYKVSHT -FVSGHPDVVLNDCHFHDDQGVECDSIISFHLDCDVDGFWALQPPPIQKNDHYNYVVSYSDWTDKTLEWGS -VSISVDEVNQGACTAIRGKQEIRETLTSNYTAPAVAGGSVHFEKENSDKPVEIVKDNTPLPEYMKETPRS -VATTVPPWPPQPSVWRKPTSAAPTKKSNSFLGFRKG - ->YP_009111316.1 P3-P5 readthrough protein [Sauropus yellowing virus] -MNTAGRKGRRGNGKRRFNNVTRQQRTIQPVVVVTPNGQPRGRNRGRRNRLRNRGNRGRTARGSVQSETFV -FNKDDLKGSSHGTIKFGPNLSESVALSAGVLKAYHEYKIVMVNIRFVSESSSTAQGSIAYELDPHCKLDA -LKSTLRKFPITKGGQATFRASEINGEKWHDTTVDQFRLLYKGNGAASETAGFFQIRFTVQLHNPKXVEAS -SPNPGSTPPPPPPPPPCPKRVWFGFGGPVYFQRFSRNISESTALKAEPEPWDCTYWDEDSCIAEKLAVRY -TIYDAETIQLVIDIPVKRGVYYFLLYCVGANTVSGNVDSTVVGDEFLGLQTGRLGDQWVFEPGSGNRYTE -EAVLRHSVSTTGQLTIADATMREGDLASCNLSTKGRLEVMDEEFVHIYLPVYRRNQAYNFTKSYAVWDWF -RWKAGTIFMELDRDDDGLRRRPLFGQAFAQPPSIDRAAIPRDMVVENEERKLLETQPEIESGLSVTKTDS -DDIQRIVEKVDCGLSLEPWEQYEYDKYIYDLPHSPNKDPSPPEVESASETPPLSPLDSTTYFPGYTRGDV -AAATIMAGGNVHDGREMLAERDRKIRRLQDARKIFDFPKSRFTPSLGGGSLTGGSLKRDSLSLQLQSLST -TDRRQYETIKRQRGKTAATQWLEDLLSKST - ->YP_009100305.1 P3-P5 fusion protein [Strawberry polerovirus 1] -MARRPRGSRGGKGKKKQNAGRRNPSRMARPAQPIYVVQRPPNQGTGRRGRRNRNRRLRAQGYGLGGKHET -LKFTKEDIKRNSSGYITFGPSLSEHPAFSNGNLKAYKHYRITRINVEYLSESTDQAPGAIKFEMDTSLSA -TKVTSPIHRFPIKKNGRYTWTAPHINGQLTRETTSDQCRFLYEATTTSTEPAGVFNFTYDVHLMDNKYVE -GASPSPSPPPPGPAPPPPPPPPPAPPPEPTPAKRFWGYDGTPVSKISTARNDQNIDVKSLNSIRLWKWEN -ESWTDTVLTANYSKNDRVEAIPYFLVPVAKGTHRVYIECEGDYGVKSIGGKADGCWGGIICFDSKKDGWM -ARPYSSCKMTNYKARTTFVCGHPDAELNGCKFPKERGVESDCQISFHLEVEDDGYFAMQPPPIQKSHEYN -YDTSYAAYTDKNMEWGSISISIDEVDTARPEYESTFRNKPEKPNSITRWISQLNVEKLVVGAETTAEETN -QQSDQIPVGTYRKRASDDDYPLLYEYDDNPPDSEIENWEFVTPTPIAPDLPRPVPRTETRFAPKATLLRK -VDPEHFDTNPSIDEAERLAEFHRFTPAQNREAAEQMEVKTQNKRETWAPSVAGSTSSRFTGNLRGRFASR -PDPRLAQLTTEQRRAYESERNSLGSQAAKIWLDNLFKS - ->YP_008130305.1 CP readthrough protein [Citrus vein enation virus] -MVSRNQRRRNRPRRMRRRQAPNRVVVMGNNPTRSRRRNAPARRRRAVNRSTGEMRPYHLYGLKCNDKGYL -TFGPSGQTPSLGGGILKAFSEYKITQLRVQWKAQASSTAAGSMAIQIGLGTSLTALDNRAISFKLTSSGQ -RVFTARDLGGDGRMYNSSNEDQFRLAYQGNGDSTLGGDLLCFFRLETRLPKXVDADPPSPPSPEPSPEPP -PQPPAPTPARCRFWGYEGIPSVSVSSAENDRDVEVRALSTIDLYKFEDENWSTVHLRAGYSTNDRVHAQP -YIVFPIEKGEFDVYIECEGFQAVKSIGGKADGSWEGLIAYSTSDSGWLVSEYVGVSITKYQSSTAFVGGH -PDTRLNDCSFKQDRAVECDIVCSFRLSADSDNAKWLLYAPWIQKASEYNYIVSYGAYTEKICELGSISVN -IDEVNEQQGPSPASKRWGRRRLDRERQLVKTLVNQPSSDLEEAKETGSLRAPGWRERVEKEKKKEDKPSR -FSWR - ->YP_008083741.1 P3-P5 [Maize yellow dwarf virus RMV] -MNLGGRRNGRRGTRLRRRVRIARTTQPMVVVAQTQRRRRIRRRGRPSGDTSGGPRGRGGSRETFVFSKDS -IAGSASGKLTFGASLSECAAFSGGILKAYHEYKITKVILEFISEAPYTAAGSIAYELDPHNKLSTLASTI -NKFSIVKGGKRAYTSKQIGGGVWRDSSEDQFAILYKGSGNSSVAGSFRITMEVHTQNPKXVDGASPAPDP -TPTPTPTPTPTPTPTPTPVTQEAFYGYSGVPECKIQSRKNSEFIDIYSLNFVKLFYWRDEAWSSETLSAG -YIQNDSLRATPYLLVPTKKGKYSVYIECEGFQAVKAKGGNNDGKMSGFVTYDKDQSGWQVYSWAGCSLSQ -IKVKDTGVVAHPDMKVNGCSFTKGQLIERDFICSFHLEATEDGYWALQAPPVEKSDDHNFIVSYGSYTEK -ILEWGSVSISIDEINRTEARKIPKRDKDLSQSGRLADMTNVPTVGVVVAATTKPDLPVEQPNQLAVVEQP -TEEQQKKRATIDQWLDAVEALAPPEPEGLVTQVRLPTAAERGLTSQKLPLHQRGTFKDLANRDADTYSVA -SRGLTGGLRGTPATPQVETIEENPVQVDDDSKSLVSSSSRLTGGLRLRTSYRMTTQEAKQYDEIRRSFGK -ARAKAYYDDLCKANWY - ->YP_008083726.1 aphid transmission protein P5 [Barley yellow dwarf virus kerII] -MNSVGRRRASKNVRARSNRTVRPVVVVRTNPNGRRRRAPRRPRRGRANPILGPAGRSEVFVFSINDIKAN -SSGVIKFGPDLSQCPALSSGILKSYHRYKISNVKIEFKSHASSTTVGAMFIELDTACTQSTLGSYINSFT -LSKSGTKTFNAQQIAGKEFRETSVNQFYLLFKANGVTSDTAGQFIITLRVSNMTPKXVDSSTPGPGPKPK -PDPTPTPAPEPKTPKRFFEFIGTPSGVIQTRESSDSISVSKLGDQTFQKIENEKSNDVFLSSYWQYSNSV -YAQAAFVIPIYQGSYSVNISCEGMQSVDHIGGEQDGYWIGLIAYSNSTDDVWGVGNYQGCTITKYLVTNS -WRPGHQDLKLNDCAFDKGQIVERDAVLSFHVEAVGDNPSFYLLAPKTQKTDKYNYVVSYGGYTNKKMEFG -TIAITCDESDVEALRNARHANYPIKENHQELYHGSGTLLPAYSPDRVEVYPDITSSERTVQPRPSEEPKF -RDIQNQEELSSLFDLYDLATSEIPDAREDVLPTKQEMSKKPIDSLGTEIPRPRSMSPEYPRPRSRSPEYP -RPRSRSPEPIGTYHGQNIYDDDVPKQVAERLREAAELPSTMLYNRKPKNRNSSFLSRFIEQNKSPDATAP -SEATTSRMTRDQLKEYTRVRNQYGLTAAKQYKASLGQ - ->YP_006666508.1 P3-P5 readthrough protein [Suakwa aphid-borne yellows virus] -MNTVVVRNRNGMGRRRRNSRRNAKGNRVVVVQAPGAPQRGRRRRRNGRRPSRGGRARGTSQGETFVFSKD -NLTGSSSGAITFGPSLSDSPAFSSGILKAYHEYKISMVKLEFISEASSTSSGSISYELDPHCKLSSLQST -VNKFGITKSGSRTWSAKFINGMEWHDATEDQFRILYKGNGSSSTAGSFRITIRCQVQNPKXVDGASPPPA -PTPPPPPPGPPPEPTPCKGARFWGYEGNPQSKIQTAQNYRNIDFRPLNYVSMYRWEDEKWDKVELQAGYS -RNDRRCMETYFEVPASKGKFHVYLEADGEFVVKHIGGDLDGSWLGNIAYDVSQRGWNVGNHKGCNIKNYQ -SNTSFVAGHPDATMNGKQFDKARAVEVDWYASFELECDDDGGSWRIYPPPIQKDSSYNYTVSYGNYTDKY -CEWGAVSISIDEDNPTGRAPQSIKPRKGVMTWSMPEPERQLAEQTPAEEPSETSGLGGPPLIKFGEDSTG -SQGDHITKSQIPEASTPMHQIVRDLGGLTDSTNRAPRGVAEWIQVDADPVNEEEYSSDGMECASPPSPTR -TSILTSIPNTETVLGLPGVYPSDREVASSLLNDKRVKSFGKHLLPSLGGSRTSALSGGTLRQKHSDLIKS -KMTTAEQAQAQKIRRELGKGAQTRYIESLNLHDRV - ->YP_004207918.1 putative read through protein P5 [Pepper vein yellows virus] -MNTGGVRRNNNGNGGSRNTRRRRRPRQVRPVVVVAPPGRTRRGNRRRRNGGRNRRSRNRVGGRSSNSETF -IFNKDSIKDSSSGSVTFGPSLSESVALSGGVLKAYHEYKITMVNIRFVSESSSTAEGSIAYELDPHCKLT -SLQSTLRKFPVTKGGQATFRASQINGVEWHDTSEDQFRLLYRGNGTKNVAAGFFQIRFTVQLHNPKXVEG -STPPPPPPSGPEPPPPPPQPEPCKKNRFWGYEGNPQSKILTAENDRNIDSRPLNFVSMYKWEDEKWDKVN -LQAGYSRNDRRCMETYLTIPADKGKFHVYLEADGEFVVKHIGGDLDGSWLGNIAYDVSQRGWTVGNYKGC -KIKNYQTNITFVAGHPEAKMNGKAFDSARAVEVDWFASFELECDDEEGSWMIYPPPIQKDSSYNYTVSYG -NYTEKYCEWGAISVSIDEDNEGYAPRRIPRKGEMAWSYPEKDYSENKPQKENWDTEPLDTGEMQRERQLV -KTPSPDVSDSGSEFGADPIPQDLIDKVNRGEALDPMQQLEYDKYRFQENVIELSESQDQSSEYPKLPPPV -PHKPLPLGRTEKFFRPRADLLEAWDKDHFDPGYTKEEVAAATIISHGSITDGRAAIEERDNKIQRARVSW -PHDKSTTSPSIAKLREETSEKKALFGGSLRRGSEAASSLGGGSITGGTLKSKRTVEEGIVPKLTTTQRLR -YEQLKARSQTAANEYLWSVEIPPEKPPGPSTFKGRS - ->YP_003915150.1 P5 protein [Cotton leafroll dwarf virus] -MNTVVGRRTINGRRRPRRRNRRRQNQPVVVVQAPRNTQRRRRRRRGGRNRTGGRIPGGPGASSETFVFSK -DSLSGSSSGSITFGPSLSDCPAFSNGILKAYHEYKISMVLLEFISEASSTSSGSISYEVDPHCKLSTLSS -TINKFGITKNGRKQFAASFINGQEWHDTSEDQFRILYKGNGSSSIAGSFKVTIRCQFHNPKXVDDGPPPP -GPSPPPSPSPPPPVPSRFWGYEGNPQCKILTAENNRNIDSRPLNFVSMYKWEDEKWDKVNLQAGYSRNDR -RCMETYFVIPASRGKFHVYLEADGEFVVKHIGGDRDGNWLGNIAYDVSQRGWNIGDYKGCRISNYQSNAV -FVAGHPDAEMDGKHFDAARAVEVDWFASFELTCDDEDGAWRIYPPPIQKDSSYNYTVSYGDYTEKYCEWG -AVSVSVDEDNSTGTKSRIKPHKGVMMWSHPEKENSEGESESETDQGKDLKTPDATTLVDFDSDDNSSSKS -AESIPDNTDLNPWNAVVSSKSDRPFKQEDDRVSTSSRLSGNLRRPGSGNPQLRSPLGREKAPEPSESDLD -AARIKGLPPPREQPPGFKPTRSISTFNPEPDLVEAWRPGTGPGYSKEDVAAATILAHGSIADGRSMLDKR -DQEVLRSRSSWGTGGFLKKMKTSSSDKAEKLAKLSTAERREYELIKNSSGKTQAALFLEQKVMDR - ->YP_003029840.1 coat protein readthrough [Wheat yellow dwarf virus-GPV] -MSTVALRNGNGPRRRRVSRRRVVARTQPMVMVATNGQPRRRRRRRPAGRGRRRVPRSTGGSHGETFVFAK -DSLKGNSSGSLTFGPSLSEYPAFKDGILKAYHEYKITNCVLQFITEASSTAAGSISYELGPHCKSSSLTS -TINKFSITKTGARSFPAKMINGLEWVANDTDQFRILYKGNGDANTAGSFRITMKVLFQNPKXVDAEPGPS -PGPSPTPPPPPPEPTPPVEERFIVYTGVAHTTILAQSTDDAISLRNIPDQRFRYIENENFYWFNIEAQWY -SNTNIKAVPMFYFPIPEGQWSVEISTEGYQATSSTTDPNKGRVDGLMAYDDSSEGWNIGIGNNVEITNNK -ADNTWKYGHPNLEINSCHFKQQQCLERDGVISCHVKTIGPSATIFVVAPPVQKLSKYNYAVSYGAWTERD -MEIGLITVTLDEKRDSGSARKKILRSGLPEATNFTLAAHPEKENFGIQTSESPLAPAISPKAQLLTLSDS -DSEDDPLSASPDVGFGGTRLLVDTDIKTIPDPSVAEAFVNSAHVGEDPWADVRAFKSAQRPPRGPSSVAS -GSISGGSLRGTLRPASKPARETPYERDENKTTRRQKSRFSFGGGRSLKSHRAETVNLPKQAKENALDHPS -HDVKRRIKTLPPS - ->YP_001949738.1 aphid transmission protein P5 [Rose spring dwarf-associated virus] -MSTVVVRQQARNNSRRNGQAQQAQGRSRQPNKARPVVVQVQPSRNGRRPRRRGGRRSSRRRGSRMASSRS -HWEDYKFTINNLKASDAGVVKFGPSISQCSALKSGIFKSFHEFKITNLNVKYITHAASTTSGAFAVEVDT -SCTQTTLKSYLQTVPVAKCGQFSWPAGKIRGTGWLPTPDPDKTPVDKDNQFFLLYAGNGPSSVAGQFVIT -ARCWFQSPREXRDAAPAPSPSPPSPTPAPTPAPTPQPERFFVYAGVPGVDIQTRETDDSIIVGRLNSERL -RYVEDEQQTLVDIRADWYSNNSVEAVPMLLFDLEEGSWSVDAECQGYQAVTAVGGSEDSNWLGFIAYNNA -NGATWNVGEYNNVKITKLLNTSSWKKGHKDVVLNGCHFNDGQIIERDSTMSFHCEVGHGGGTILLVAPPV -CKSDKYNYVVSYGEYTTKHMEFGSIAICFDEKNDGARAGKFLGAPFPRAGHVVREQQTEIARFPAGSDVP -IVKISDNDFATSTQPAAHILPVPSSVQLPDDPVSKKVRSWIENSSEDLRLAREAEQTDSDWEPLPPPAPP -PGPRQLPTDTSGATQAIRNQILRDHTQPYSGPGTPPVFDDIEDTRSTTRTESSRRSFLSGVLRPQLKPKS -NLRPTSSLPRKLTADQEARVKAVRESFGSAAAKRLRDEFLEGKVSPDQIY - ->YP_001949872.1 read-through protein P5 [Melon aphid-borne yellows virus] -MNTVAARNQNGMGRRRRNRRRTSKSNRVVVVQTTGQPQRGRRRRRNPRRSPRGGRAGGRPGETFVFSKDN -LTGSSSGAITFGPSLSESPAFSSGILKAYHEYKISMVKLEFISEASSTSSGSISYELDPHCKLNALQSTV -NKFGITKSGSRTWSAKLINGLEWHDATEDQFRILYKGNGSSSTAGSFRITIKCQVQNPKXVEGTSPSAPT -PTPPPPAPSPEPTPCKGARFWGYEGNPQSKIQTAENNRNIDSRPLNYVSMYRWEDEKWDQVNLQAGYSRN -DRRCMETYFVIPANKGKFHVYLEADGEFVVKHIGGDLDGSWLGNIAYDVSQRGWNIGNYKGCSIKNYQSK -TTFVAGHPDASMNGKNFDAARAVEVDWFASFELECDDDEGSWRIYPPPIQKDSSYNYTVSYGNYTEKYCE -WGAVSISIDEDNATGRVPQKIKPRKGVMTWSTPEPERQPVEQTPVQEPSETSGLDAPPTTKQEDETTDDL -GGRFKEPQIPEFSTPMHMLARDLGGLTESSSRAPEGVASWVQLEADPVNESEYSSDNETIASPPTTTVSS -PFTSIPNTERVLQMPGVYQGDRQIASSVLDEHRKRSFAKRLLPSLGGSRASALSGGTLRQKHSDLIKQYM -TAAEHAEAQRIRNQLGKGAQTRYIESLNLHDRV - ->YP_001931932.1 readthrough protein [Tobacco vein distorting virus] -MNTGGARSNNGNGGSRVSRPRRRARSVRPVVVVAPPRGARXRTRRRRNGGRNRRGRNGVGGRSSNSETFI -FNKDSIKDSSSGSITFGPSLSESVALSGGVLKAYHEYKITMVNIRFISESSSTAEGSIAYELDPHCKLSS -LQSTLRKFPVTKGGQATFRAAQINGVEWHDTTEDQFRLLYKGNGTKGVAAGFFQIRYTVQLHNPKXVDAE -PGPSPGPQPQPTPSPSPQKHERFIAYVGIPMLTIQARENDDQILLRSMGLQRMKYIEDENQNYTNIDSQF -YSQSNVNAVPMYYFNVPKGTWSVDISCEGYQPTSSTTDPNRGRSDGLIAYSNSDSDYWNVGEADGVKISN -LRNDNTYRXGHPDLEINSCHFRDGQLLERDATISFHVEAPDDGRFFLIGPAIQKTAKYNYTISYGEWTDR -DMELGLITVVLDEHLEGSGSGNLARRERRSLHQHCKSLYAPEGPPENKPWDDNQIIVGERQLRKTLSMDM -SEAGSDLREGKLESNPSGDARDELNYTLNLSDSEDELIDEPNFVPGMRMNLEAVPLANPADKDYILRDRG -ITTTLXEPAYMQGVAPQDKIEEDPXKHVRDFKSAHASKGPASVASGSTMRGNLRGGTLRGRSMPPPLPPP -AEKVGDSLPSGKLQWDPPGSPLTPFKDKELAKPPSDARSSISGRLTGGLLKSRVDKDLASLTTAQRQQYE -ITRQTLGKIAAKEFLQRCKEGGS - ->YP_667840.1 CP-readthrough protein [Chickpea chlorotic stunt virus] -MNTVVVRNNGRRRRNRRTVQRARRRNPVVVVEAPRQPQRGRRRRRNRRRASGRSTAGRRGSSETFVFSKD -NLAGSSSGSITFGPSLSDCPAFSSGILRAYHEYKISMVKLEFISEAASTSSGSIAYELDPHCKSTSLGSY -INKFGITSNGQRTFAARLINGIEWHSSDEDQFRILYKGNGGSAIAGSFRITIKCQTQNPKXVDDSSPPGP -SPTPPPPPPAPAPEPQPCKKYRFWGYEGVPQNKIVTAQNDRNIDVRGLNYVKFYKWEDDNWTEVNLQANY -SVNNSQYAEPYMIIPASKGKFHVYLECDGQMAVKSVGGKADNSWRGLIAYDTSRRMWNVGNYKGCTIENY -RKTDSFVLGHPDVEVNDCKFDKARGVEADWYASFQLTCDDDEGSWILYAPPIPKDSLYNYTVSYGEYTEN -MCEWGAVSISIDEDNSSTGNEVKIKPGRGHLVHRALPEGTLEQQPLEDVQVKEYFWKENQSETTSDSDGE -SFISKIKGKLPMTTKLPPKGFLSRLKPSEKEETARSKESEVKPEDVDNLVRAAGKEFQYGIYDDARERLH -NKEFNQNMEELESDLEEINRLEPPDIDVWRGKDTAETVAVFEDPWEFFRKQEDPNPPKLKGTLSKIGSSI -GGGSLSGGNLRRAAESVNEDSMKFKLSTTERNQYERIRKSKGETAARVYLRSRFSS - ->YP_077188.1 CP readthrough protein [Carrot red leaf virus] -MATYGLTKRATGIKPMVVVNTPSRRPRRRTRTKPIVVVQTARTGRRRRRRRGRGSGNPRTMGGSGGGISS -QKFVFSKDNIAGSSGGVLTFGKDLSDHPAFSNGLLKAFHQYKITNMRVRYISEAPSTAGGSISYELDPSC -ELDKLSSTIYKFGVLKNGQASWSAEKINGKEWHSSSENQFRFLWKGNGSNSTVGSFEIHYTCLFQNPKXV -DAEPGPSPGPSPPPLPTPTPEPTPKQHERFIVYVGSPTMDIQARENDDIITLTEPGPQNWRRIQDEDMNE -VSLDSRFWTNSDLKAKPMFYFPVPAGSWSVDITCEGYQPTSDPTKQGDNRSDGLIAYSADNNDNLWNVGQ -TGSLKISNLRGINTFKAGHPKLVVNGCNFNDGQVMERDGTLSFHVQTEKDGSFFLTGPPVQKQGRYNYTV -SYGEWTKRILEFGLITVVLDEHLDNSGSNKFKRPPRNGHQWAGLVTDTVMENTVSDKTPDPPKSKRKLEP -EKANSDNKLPEPQKRKLVIDPKLDEVEVESIPDAPEDFNWATLGIPRGKGPASLAGFNDTELARGKDQSS -DDELPSHFSAPGLDEIPAKPQPVDLSQTSFDYGTHIPNTDDLGMFDRPGDKPVVSGILDKQRVKSFGKRL -LPALSGSTTSSLQGGTLRQKYSDATRKYMSSEEVVKANKIRTSLGKTALANYLKSLNLHERVGSSPSQEQ - ->NP_840098.1 aphid transmission P5 protein [Beet western yellows virus] -MNTVVGRRTINGRRRPRRQARRAQRSQPVVVVQTSRTTQRRPRRRRRGNNRTRGAVSTRGASSSETFVFS -KDNLAGSSSGAITFGPSLSDCPAFSNGILKAYHEYKISMVILEFVSEASSQNSGSIAYELDPHCRLDALS -STINKFGITKPGRRAFTASYINGTDWHDVAKDQFRILYKGNGSSSIAGSFRITMKCQFHNPKXVDEEPGP -SPGPSPSPQPTPQKKYRFIVYTGVPVTRIMAQSTDDAISLYDMPSQRFRYIEDENMNWTNLNSRWYSQNN -LKAIPMIIVPVPQGEWTVEISMEGYQPTSSTTDPNKDKQDGLIAYNDDLNEGWNVGIYNNVEITNNKADN -TLKYGHPDMELNNCHFNQGQCLERDGDLTCHVKTTGDNASFFIVGPAVQKQSKYNYAVSYGAWTDRMMEI -GMIAIALDEQGSSGSTRTQRPKRAGHSMAVSTWETINYPEKENSEITETSQRQDFKTPLHISESSDPLEV -GKGGMPLPADENIPDFVGDDPWFEISTRKSQEEEAMSHSSVLKPQLKPPGLPKPQPVRTIRDFDPKPDLV -EAWRPDVNPGYSKEDVAAATVMYGGSVHEGRSMIDKRDKAVLDGRKRWGSSLASSLTGGTLKASAKSEKL -AKLTSSERAQFERIKRQQGATRASEFLEQLLAGTNPDPRS - ->NP_840025.2 aphid transmission P5 [Cereal yellow dwarf virus RPV] -MSTVVLRSNGNGSRRRRQRVARRRPAARTQPVVVVASNGPARRGRRRRPVGPRRGRTPRSGGGSRGETFV -FSKDSLAGNSSGSITFGPSLSEYPAFQNGVLKAYHEYKITNCVLQFVSEASSTAAGSISYELDPHCKASS -LASTINKFTITKTGARSFPAKMINGLEWHPSDEDQFRILYKGNGASSVAGSFKITLRVQLQNPKXVDAEP -GPSPGPSPDPPPPPSPSPEPAPAKEERFIVYSGVAHTIISAQSTDDSIIVRDIPDQRFRYVENENFYWFQ -IAAQWYSNTNTKAVPMFVFPVPIGEWSVEISTEGYQATSSTTDPNKGRIDGLIAYDNSSEGWNIGAGSNV -TITNNKADNSWKYGHPDLEINSCHFNQNQVLEKDGIISFHVKATEKEANFFLVAPPVQKTSKYNYAVSYG -AWTDRDMEFGLITVTLDEKRGSGSPTRKSLRAGHAGVTTTTDLVALPEMENSGIETSETPSAPVTSSKAP -LPTVSDSESEDDPLSAAPDVGFGGTRLLIDTDIKTIPDPDVADAFVNSAHVGVDPWAEVRAFKRAQRPPR -GPSSVASSSLSGGSLRGSLRPKTEDPKDSSKSKSRKWSLGSLR - ->NP_840017.2 aphid transmission protein P5 [Barley yellow dwarf virus PAV] -MNSVGRRGPRRANQNGTRRRRRRTVRPVVVVQPNRAGPRRRNGRRKGRGGANFVFRPTGGTEVFVFSVDN -LKANSSGAIKFGPSLSQCPALSDGILKSYHRYKITSIRVEFKSHASANTAGAIFIELDTACKQSALGSYI -NSFTISKTASKTFRSEAINGKEFQESTIDQFWMLYKANGTTTDTAGQFIITMSVSLMTAKXVDSSTPEPK -PAPEPTPTPQPTPAPQPTPEPTPAPVPKRFFEYIGTPTGTISTRENTDSISVSKLGGQSMQYIENEKCET -KVIDSFWSTNNNVSAQAAFVYPVPEGSYSVNISCEGFQSVDHIGGNEDGYWIGLIAYSNSSGDNWGVGNY -KGCSFKNFLATNTWRPGHKDLKLTDCQFTDGQIVERDAVMSFHVEATGKDASFYLMAPKTMKTDKYNYVV -SYGGYTNKRMEFGTISVTCDESDVEAERITRHAETPIRSKHILVSERYAEPLPTIVNQGLCDVKTPEQEQ -TLVDEDDRQTVSTESDIALLEYEAATAEIPDAEEDVLPSKEQLSSKPMDTSGNIIPKPKEPEVLGTYQGQ -NIYPEDVPPMARQKLREAANAPSTLLYERRTPKKSGNFLSRLVEANRSPTTPTAPSVSTTSNMTREQLRE -YTRIRNSSGITAAKAYKAQFQ - ->NP_813791.1 aphid transmission protein P5 [Barley yellow dwarf virus GAV] -MNSVGRRNNRRRNGPRRARRAGAVRRMVVVQPNRAGPKRRTRRRTRGGGANLISGPAGRTEVFVFSVNDL -KANSSGTIKFGPDLSQCPALSGGILKSYHRYKITNVKVEFKSHASASTVGAMFIELDTSCSQSTLGSYIN -SFTLSKSATKNFTAQQIDGKEFRESSVNQFYMLYKANGSTSDTAGQFIITIRVANMTPKXVDSSTPEPTP -QPQPEPKPDPQPTPEPQHKRFFEYVGTPYVIIQTRESSDSIAVKSMNDQSFQYIENETSEQRTVQAWRTS -NNGVQAQAAFVFPIPAGEYSVNISCEGLQSVDHIGGNRDGYWIGLIAYQNQSGDYWGVGNYAGCDITNLL -GTNTWRPGHEDLELDGCKFTNGQIVERDAVISFHVKAQGADPKFYLMAPKTMKSDKYNYVVSYGGYTDKR -MEFGSISVTVDESDVEAQRYNRHTSTVGKAENRDYGWMSVLPPYDPNQVPEQEEEQPMVDKEMDSRPPVE -PPSPTSDTEAERAFDLREEELTRARLEYEAATESIPDAAPDVLPSKSEMSSRPIDHDGRSLPKPQSKEVL -GTYQGQNITPDDVPPVIAEKLREVNRAPSTLLYDRQPKTPKSFLSRFVETNKTSLASPGSQSSTSGMTRE -QASEYTRIRKSMGLTAAKEYKASLADTW - ->NP_150434.2 hypothetical protein [Soybean dwarf virus] -MVAVSNVAIQRRRTRRAARRAPRVQLMAVPTVTSRPQRRGRQRRRRRNNRGGSFISGGSGKAHTFVFSKD -GINGSSKGSITFGPSLSECKPFSDGILKAYHEYKITSILLQFITEASSTSSGSIAYELDPHCKYSEIQSL -LNKFSITKSGSKRFPTRAINGLEWHDTSEDQFKIHYKGNGESKIAGSFKISINVLTQNAKXVDGEPGPKP -GPDPAPQPTPTPEPTPAKHERFIAYTGTLSTLISARQSSDSISLYSIRSQRIRYIEDENSSWTNIDAKWY -SQNSVEAIPMFVYPVPEGTWSIEISCEGYQAASSTSDPHRGKCDGMIAYDDDSSKVWNVGQQNNVTITNN -KADNDWKYGHPDLTINGDRFDQNQVVEKDGIISFHLVTTGPNASFFLVAPAVKKTAKYNFCVSYGDWTDR -DMEFGMVSVVLDEHLEGARSSQYVRKTPRSGHVGVNRSHRLQDNFVPTEYVSDEDSSSNSSIVSNRPSTP -DNDSDAKFAESMKGKLPSQTKLPPKGFLSQLSTKEKKEISNSKPSNVEGLVGPLVAAYGYPSQTGVHDAA -REILQAKEAAENLAELERDLKEINKLEPPDVIVQEEIPDFVPPSEKILKEDDPDYVPPIWHNADQAVLVS -SYEPPDWSRPAYESGDPPKKTGTLKGTLSKLGGSLRSGESSLRGSLRKTQDQTDLDNKLSKLSVIQRSRY -QRILNNLGKMRARTYIDGLDLD - ->NP_114364.2 putative protein P5 [Beet chlorosis virus] -MNTVVGRRTINGRRRPRRQTRRAQRNQPVVVVQTSRRTQRRPRRRRRGNNRAGRTVSTRGTGQSETFVFS -EDNLAGSSSGAITFGPSLSDCPAFSNGMLKAYHEYKISMVILEFVSEASSQSSGSIAYELDPHCKLNSLS -STINKFGITKPGRRAFTASYINGTEWHDVAKDQFRILYKGNGSSSIAGSFRITIKCHFHNPKXVDEEPGP -GPGPSPSPQPAPQKKYRFIVYTGVPVTRIMAQSTDDAISLYDMPSQRFRYIEDENMNWTNLDSRWYSQNS -LKAIPMVIVPVPQGEWTVEISMEGYQPTSSTTDPNKDKQDGLIAYNDDLKEGWNVGVYNNVEITNNKADN -TLKYGHPDMELNSCHFNQQQCLERDGDLTCHIKTTGDNASFFIVGPAVQKQSKYNYAVSYGAWTDRMVEI -GMIAIALDEQGSSGSARTERPKRVGHSMAVSTWETINLPEKEDSEKLKTGQRQDLKTPFTISGSSDVKGI -EKRDLPLPADEDIPDFIGNDPWSNVSIRKLQEEEAMTSKSGLRPQLKPPGLPKPQPVRTIGNFNPTPELV -ESWRPDVNPGYSKEDVAAATILYGGSIKDGRSMIDKRDKAVLDGRKHWGSSLASSLTGGTLKASAKSEKL -AKLTSRERAEFERIKRQQGTTQASEYLEFILKSMNPD - ->NP_056751.2 CP read-through protein [Potato leafroll virus] -MSTVVVKGNVNGGVQQPRMRRRQSLRRRANRVQPVVMVTAPGQPRRRRRRRGGNRRSRRTGVPRGRGSSE -TFVFTKDNLVGNTQGSFTFGPSLSDCPAFKDGILKAYHEYKITSILLQFVSEASSTSSGSIAYELDPHCK -VSSLQSYVNKFQITKGGAKTYQARMINGVEWHDSSEDQCRILWKGNGKSSDSAGSFRVTIKVALQNPKXV -DSGSEPSPSPQPTPTPTPQKHERFIAYVGIPMLTIQARENDDQIILGSLGSQRMKYIEDENQNYTKFSSE -YYSQSSMQAVPMYYFNVPKGQWSVDISCEGYQPTSSTSDPNRGRSDGMIAYSNADSDYWNVGEADGVKIS -KLRNDNTYRQGHPELEINSCHFREGQLLERDATISFHVEAPTDGRFFLVGPAIQKTAKYNYTISYGDWTD -RDMELGLITVVLDEHLEGTGSANRVRRPPREGHTYMASPHEPEGKPVGNKPRDETPIQTQERQPDQTPSD -DVSDAGSVNSGGPTESLRLEFGVNSDSTYDATVDGTDWPRIPPPRHPPEPRVSGNSRTVTDFSSKADLLE -NWDAEHFDPGYSKEDVAAATIIAHGSIQDGRSMLEKREENVKNKTSSWKPPSLKAVSPAIAKLRSIRKSQ -PLEGGTLNKDATDGVSSIGSGSLTGGTLKRKATIEERLLQTLTTEQRLWYENFKKTNPPAATQWLFEYQP -PPQVDRNIAEKPFQGRK - ->NP_620487.1 CP read-through protein [Turnip yellows virus] -MNTVVGRRIINGRRRPRRQTRRAQRPQPVVVVQTSRATQRRPRRRRRGNNRTGRTVPTRGAGSSETFVFS -KDNLAGSSSGAITFGPSLSDCPAFSNGMLKAYHEYKISMVILEFVSEASSQNSGSIAYELDPHCKLNSLS -STINKFGITKPGKRAFTASYINGTEWHDVAEDQFRILYKGNGSSSIAGSFRITIKCQFHNPKYVDEEPGP -SPGPSPSPQPTPQKKYRFIVYTGVPVTRIMAQSTDDAISLYDMPSQRFRYIEDENMNWTNLDSRWYSQNS -LKAIPMIIVPVPQGEWTVEISMEGYQPTSSTTDPNKDKQDGLIAYNDDLSEGWNVGIYNNVEITNNKADN -TLKYGHPDMELNGCHFNQGQCLERDGDLTCHIKTTGDNASFFVVGPAVQKQSKYNYAVSYGAWTDRMMEI -GMIAIALDEQGSSGSVKTERPKRVGHSMAVSTWETIKLPEKGNSEGYETSQRQDSKTPPTASGGSDTLDV -EEGGLPLPVEEEIPDFVGDNPWSDLSTKNSQEEEAMSSESGLRPQLKPPGLPKPQPIRTIRNFDPTPDLV -EAWRPDVNPGYSKADVAAATIIAGGSIKDGRSMIDKRNKAVLDGRKSWGSSLASSLTGGTLKASAKSEKL -AKLTTSERARYERIKRQQGSTRASEFLESLLAGEDPDSRF - ->NP_620480.1 CP read-through protein [Beet mild yellowing virus] -MNTVVGRRTINGRRRPRRQTRRAQRSQPVVVVQASRTTQRRPRRRRRGNNRTRRTVSTRGTGSSETFVFS -KDNLAGSSSGAITFGPSLSDCPAFADGMLKAYHEYKISMVILEFVSEASSQNSGSIAYELDPHCKLSALS -STINKFGITKPGRRAFAASYINGADWHDVAKDQFRILYKGNGSSSIAGSFRITMKCQFHNPKXVDKEPGP -SPGPSPSPQPTPSKKYRFIVYTGVPVTRIMAQSTDDAISLYDMPSQRFRYIEDENMNWTNLDSRWYSQNS -LKAIPMIIVPVPQGEWTVEISMEGYQPTSSTTDPNKDKQDGLIAYNDDLKEGWNVGVYNNVEITNNKADN -TLKYGHPDMELNSCHFNQGQCLERDGDLTCHVKTTGDNASFFVVGPAVQKQSKYNYAVSYGAWTDRMMEI -GMIAIALDEQGSSGSAKIERPKRVGHSMAVSTWETINLPEKENSGEFKTDQRQDLKTPPTSGGSSDMPDI -VQGGLPLPIEEDIPDFIRDDPWSNIPAKTSREDEAASSKSGFKPQLKPPGLPKPQPVRTIRNFDPEPDLV -EAWRPDVNPGYSKEDVAAATVMYGGSVNEGRSMIDKRDKAVLDGRKSWGSSLASSLTGGTLKASAKSEKL -AKLTSSERAQFKRIKRQQGATRASEFLEQLLAGTNPDPRS - ->NP_620103.1 hypothetical protein CABYVgp4 [Cucurbit aphid-borne yellows virus] -MNTVAARNQNAGRRRRRNQRPARRDRVVVVNPIGGPPRGRRQRRNRRRPNRGGRARRGSPGETFVFSKDN -LTGSSTGSITFGPSLSESPAFSSGILKAYHEYKIIMVQLEFISEASSTSSGSISYELDPHCKLSSLQSTI -NKFGITKSGLRRWTAKQINGMEWHDATEDQFKILYKGNGSSSVAGSFRITIKCQVQNPKYVDGSSPPPPS -PSPTPPPPPPPQPQPQPCAQRFWGYEGNPQNKILTAENSRNIDSRPLNFVQMYKWEDEKWDKVNLQAGYS -RNDRRCMETYLTIPADKGKFHVYLEADGEFVVKHIGDELDGSWLGNIAYDVSQRGWNVGNYKGCKITNYQ -SNTVFVAGHPDATMNGKSFDTARAVEVDWFASFELECDDEEGSWAIYPPPIQKDSSYNYTVSYGNYTEKY -CEWGAISVSIDEDNNGNEPRRIPRRGVMAWSTPEPSFSGDDSQRQDFNTPSLEERGSDALESEEKKEEDN -LLDLEEENIPDVDDDDLWKGISRASEAGTAEDDRASTSSRLRGNLKPKGLPKPQPTRTITEFNPGPDLIE -VWRPDLAPGYSKADVAAATVLAGGSVHEGRDMLERREAKVMDSRKKWGILSSTSSLTSGALKKLSAQSEK -LATLTTGERVQYQRLKNSMGSTVAAEYLEKVLADKTS - ->NP_620066.1 aphid transmission protein P5 [Barley yellow dwarf virus MAV] -MNSVGRRNNRRRNGPRRARRVSAVRRMVVVQPNRAGPKRRARRRTRGGGANLISGPAGRTEVFVFSVNDL -KANSSGTIKFGPDLSQCPALSGGILKSYHLYKITNVKVEFKSHASASTVGAMFIELDTWCSQSTLGSYIN -SFTISKSATKTFTAQQIDGKEFRESTVNQFYMLYKANGSTSDTAGQFIITIRVANMTPKXVDSSTPEPSP -QPQPEPKPDPQPTPEPRQKRFFEYVGTPYVVIQTRESSDSIAVKAMNDQSFQYIENETSEQRTVKAWWNS -NNSVQAQAAFIFPIPAGEYSVNISCEGLQSVDHIGGNRDGYWIGLIAYQSQSGDYWGVGNYVGCDITNLL -GTNTWRPGHEDLELNSCKFTDGQIVERDAVISFHVKARGADPKFYLMAPKTMKADKYNYVVSYGGYTDKR -MEFGTISVTVDESDVEAERYSRHTSTVRRTENRDYGWMNVLPPYNPDQVPEQEDEQPVVDKEMDAGSPID -TASLTSDTEAEKAFDLKEEELTRAILEYEAATVSIPDAAPDILPSKSEMSSKPIDRDGRSLPKSQTKEVL -GTYQGQNITSDDVPPVIAEKLREVNRAPSTLLYDRQPKQPKNPLTRFVLSNKTSTASPGSQSSTAGMTRE -QASEYTRIRKSLGLTAAKQYKASLDDT - ->NP_620027.1 aphid transmission protein [Pea enation mosaic virus 1] -MPTRSRSKANQRRRRPRRVVVVAPSMAQPRTQSRRPRRRNKRGGGLNGSHTVDFSMVHGPFNGNATGTVK -FGPSSDCQCIKGNLAAYQKYRIVWLKVVYQSEAAATDRGCIAYHVDTSTTKKAADVVLLDTWNIRSNGSA -TFGREILGDQPWYESNKDQFFFLYRGTGGTDVAGHYRISGRIQLMNASLXGDDAPPSPGPDPGPQPPPPP -PPSPTPVGARFWGYEGVPESRMISERNDHDIDVKPLSFITMYKWEDESWTSVKLSASYLQNDQVEATPYF -LIPSSKGKFSVYIECEGFQAVKSIGGKSDGCWGGLIAYNRKKDGWQARAYTGTVLSNYRSTTTVINGHPD -CEVNDCKFKPDRGVESDLICSFHLEAEEDSYWALQAPPIQKSSDYNYVVSYGGYTEKSIEWGSVSISIDE -VNQTASASPWRGRARKLAILQETAVPPPFPPGGVMDYHLGDREGDQTGTSEKGLLKKPPLPKWDLQRSRS -PLD - ->NP_563611.1 coat P3 and systemic movement/aphid transmission P5 fusion protein [Bean leafroll virus] -MVARGKRVVVRQLQTRARRRLPVVLATAPVRPQRKRRQRGRNNKSRGGNGFARRSSQVHEFVFSKDNLNG -NSKGSITFGPSLSECKPLADGILKAYHEYNITNVELAYITEASSTSSGSIAYELDPHLKNTTIQSKINKF -SITKSEKKKFSRKAINGQAWHDTSEDQFRILYEGNGDAKIAGSFRVTIKVLTQNPKXVDGEPDPPGPGPD -PPPPTPAPTPQPQPTPKHERFIVYTGVPESRISAQSTDDSISVYSLQNQRLRYIEDENANWTNIEARWYS -NNNVKATPMFIFPVPQGKWSVEISTEGYQPTSSTTDPNNGKCDGLIAYSDDDKTDVWNVGVQKNITLSNN -KADNTWKYGHPDLEINNCKFNNRQVLERDAYISFHVETTGPNASFFLVAPPVQKTARYNYAVSYGAWTDR -MLEFGSVTVALDEHLEGGNSSRYIRRSPRPGHLESTRTYDLHLLPHMDDLIAANTTAVVDGYGSSISIDR -QVLVAVDNHIVDSGDETDDLPGYSSSSSEAPKLPAVNQTKLPPKGFLSRLRESEIEEIADKPTEITTPEV -RQLADATHQPFAAGMYNDAFERLSINEKKRNFDSVKDDIAEIERTLPKEEYRVPKLPRIKPASEVDNDHY -GESQQTLAEIERRNVWASKDDAVAVESPPPGYPLGPIEARELMPVEWSRPDYEPPKPKKSLFGRLKSQAK -VEANPSSPRNDIRRSSFSSFRR - ->NP_054687.1 aphid transmission P5 [Cereal yellow dwarf virus RPS] -MSTVVLRSNGNGSRRRRQRVARRRPAVRTQPVVVVTPNGPARRGRRRGPARPRRRRTPGLGGGGRGETFV -FTKDSLAGNSSGSITFGPSLSDYPAFQNGVLKAYHEYKITGCILQFVSEASSTAAGSIAYELDPHCKISS -LASTNNKFTITKTGARSFPAKMINGLEWHPSDEDQFRILYKGNGASSVAGSFKITLRVQLQNPKXVDAEP -GPSPGPSPDPPPPSPSPEPAPAKEERFIVYSGVAHTVITAQGTDDSIIVKDIPDQRFRYVENENFYWFQI -AAQWYSNTNTKAVPMFVFPVPIGEWSVEISTEGYQATSSMTDPNKGRIDGLIAYDNSNEGWNIGAGSNVT -ITNNKADNSWKYGHPDLEINSCHFNQNQVLEKDGLISFHIKATEKEANFFLVAPPVQKTSKYNYAVSYGA -WTDRDMEFGLISVTLDEKRSSGSPTRKSLRAGHTQVASTTDLVASPEKDNSGIQTSETPAVPVTSSKAPI -PMVSDSESEDDPLSAAPDVGFGGTRLLLDTDIQTVPNPEVAEAFLNSAHVGYDPWAEIRAFKNAQKPVRG -PSSVASGSITGGSLRGTLRPASEPARETPYERDENKTTRRQKSRFSFGGGRS - ->NP_037637.1 aphid transmission protein P5 [Barley yellow dwarf virus PAS] -MNSVGRRGPRRANQNGPRRRSRRTIRPVVVVQPNRAGPRRRNGRRSGRRGPNSIPGSTGRTEVFIFSVDN -LKANSSGTIKFGPSLSQCPALSDGILKSYHRYKITSIRVKFQSHASAATSGAIFVELDTACKQSALGSYI -NSFTISKTASKSFRAEAINGKEFQESTIDQFWLLYKANGTTTDTAGQFIITINVSMLTPKXVDSSTPEPK -PAPEPTPAPQPTPTPQPTPEPTPAPVPKRFFEYVGTPTGVISTRENSDSISVSKLGGQSMQYIENEKCES -KVIDSFWSTNNNVSAQAAFVFPVPEGSYSVNISCEGFQSVDHIGGNEDGYWIGLIAYSNSSGDNWGIGNY -KGCSFKNFLATNTWRPGHKDLKLNDCQFTDGQIVERDGVISFHVDATGTDACFYLAAPKTMKTDKYNYVV -SYGGYTNKRMEFGTISVTFDESDVEAERIARHSETPARHNHILLSESYEEPLPTIIDQGLCDVKTPEQEI -VKVDEEDRQTVSTEPDIALQEYEAATAEIPDAEEDVLPSKEQLSVKPVDSSGTPLPKSKEPEVLGTYQGM -NIYPEDVPPVARQKLREAAKAPSTMLYDKAPKGSKSILSRFVEGNRSKATPAAPTVSTTSNMTREQLREY -TRIRNSLGVTAAKEYKAQFQ - ->NP_050008.1 capsid protein [Sugarcane yellow leaf virus] -MNTGANRSRRNVRRRANRRRQTRPVVVVRAPPGPRRVRRRRARVGGNAVRGPGGRSNRDVLTFTVDDLKA -NSTGILKFGPNLSQYAAFNNGLLKAYHEYKITSLTIQYNSCSSDATPGAIALEVDTSCSQTTTGSKITSF -PVKRNAKKVFPAPFIRGKDFMTTSADQFWLLYKGNGDSSLAGQFVCRFECLFQNPK - ->sp|P11626.3|MCAPS_PLRVW RecName: Full=Minor capsid protein P3-RTD; AltName: Full=P74; AltName: Full=Readthrough protein; Short=RT protein -MSTVVVKGNVNGGVQQPRRRRRQSLRRRANRVQPVVMVTAPGQPRRRRRRRGGNRRSRRTGVPRGRGSSE -TFVFTKDNLMGNSQGSFTFGPSLSDCPAFKDGILKAYHEYKITSILLQFVSEASSTSSGSIAYELDPHCK -VSSLQSYVNQFQIPQGGAKTYQARMINGVEWHDSSEDQCRILWKGNGKSSDTAGSFRVTIRVALQNPKYD -SGPEPGPSPQPTPTPTPQKHERFIAYVGIPMLTIQARESDDQIILGSLGSQRMKYIEDENQNYTNVSSEY -YSQSSMQAVPMYYFNVPKGQWSVDISCEGYQPTSSTSDPNRGRSDGMIAYSNADSDYWNVGEADGVKISK -LRNDNTYRQGHPELEINSCHFREGQLLERDATISFHVEAPTDGRFFLVGPAIQKTAKYNYTISYGDWTDR -DMELGLITVVLDEHLEGTGSANRVRRPPREGHTYMASPREPEGKPVGNKPRDETPIQTQERQPDQTPSDD -VSDAGSVNSGGSTESLQLEFGANSDSTYDATVDGTDWPRIPPPRHPPEPRVSGNSRTVTDFSPKADLLEN -WDAKHFDPGYSKEDVAAATIIAHGSIQDGRSMLEKREENVKNKTSSWKPPLPKAVSPAIAKLRSIRKSQP -LEGGTLKKDATDGVSSIGSGSLTGGTLKRKETIEERLLQTLTTEQRLWYENLKKTNPLAATQWLFEYQPP -PQVDRNLAEKPFQGRK - ->sp|P17521.1|CAPSD_PLRVR RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP -MSTVVVKGNVNGGVQQPRRRRRQSLRRRANRVQPVVMVTAPGQPRRRRRRRGGNRRSRRTGVPRGRGSSE -TFVFTKDNLVGNSQGSFTFGPSLSDCPAFKDGILKAYHEYKITSILLQFVSEASSTSSGSIAYELDPHCK -VSSLQSYVNKFQITKGGAKTYQARMINGVEWHDSSEDQCRILWKGNGKSSDPAGSFRVTIRVALQNPK - ->sp|P10470.1|CAPSD_PLRV RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP -MSTVVVKGNVNGGVQQPRRRRRQSLRRRANRVQPVVMVTASGQPRRRRRRRGGNRRSRRTGVPRGRGSSE -TFVFTKDNLMGNSQGSFTFGPSLSDCPAFKDGILKAYHEYKITSILLQFVSEASSTSSGSIAYELDPHCK -VSSLQSYVNKFQITKGGAKTYQARMINGVEWHDSSEDQCRILWKGNGKSSDTAGSFRVTIRVALQNPK - ->sp|P09515.2|MCAPS_BWYVG RecName: Full=Minor capsid protein P3-RTD; AltName: Full=P74; AltName: Full=Readthrough protein; Short=RT protein -NTVVGRRTINGRRRPRRQTRRAQRSQPVVVVQTSRATQRRPRRRRRGNNRTRGTVPTRGAGSSETFVFSK -DNLAGSSSGRITFGPSLSDCPAFSNGILKAYHEYKISMVILEFVSEASSQNSGSIAYELDPHCKLNSLSS -TINKFGITKPGKAAFTASYINGKEWHDVAEDQFRILYKGNGSSSIAGSFRITIKCQFHNPKYVDEEPGPS -PGPSPSPQPTPQKKYRFIVYTGVPVTRIMAQSTDDAISLYDMPSQRFRYIEDENMNWTNLDSRWYSQNSL -KAIPMIIVPVPQGEWTVEISMEGYQPTSSTTDPNKDKQDGLIAYNDDLSEGWNVGIYNNVEITNNKADNT -LKYGHPDMELNGCHFNQGQCLERDGDLTCHIKTTGDNASFFVGRPAVQKQSKYNYAVSYGAWTDRMMEIG -MIAIALDEQGSSGSAKTKRPKRVGHSMAVSTWETINLPEKENSEEIQTSQRQDFKTPPTAGGGSDMLDVE -EGGLPLSVEEEIPDFVGDNPWSNITTENSQEEEAMSSKSGLTPQLKPPGLPKPQPIRRLKSFDATPDLVE -AWRPDVNPGYSKADWAVATIIAGGSIKDGRSMIDKRDKAVLDGRKSWGSSLASSLTGGTLKASAKSEKLA -KLTTSERARFEQIKRQQGSVRASEFLEQILAGKDPDPKFLRDR - ->sp|Q00011.1|CAPSD_BYDVR RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP -MNSVGRRGPRRANQNGTRRRRRRTVRPVVVVQPNRAGPRRRNGRRKGRGGANPVFRPTGGTEVFVFSVDN -LKANSSGAIKFGPSLSQCPALSDGILKSYHRYKITSIRVEFKSHASATTAGAIFIELDTACKQSALGSYI -NSFTISRTAAKVFRAEAINGKEFQESTIDQFWMLYKANGTTTDTAGQFIITMSVSLMTAK - ->sp|P17966.1|CAPSD_BYDVM RecName: Full=Major capsid protein; AltName: Full=Coat protein; Short=CP -MNSVGRRNNRRRNGPRRARRVSAVRRMVVVQPNRAGPKRRARRRTRGGGANLISGPAGRTEVFVFSVNDL -KANSSGTIKFGPDLSQCPALSGGILKSYHRYKITNVKVEFKSHASASTVGAMFIELDTSCSQSALGSYIN -SFTISKSATKTFTAQQIDGKEFRESTVNQFYMLYKANGSTSDTAGQFIITIRVANMTPK - diff --git a/seq/clusters_seq/cluster_200 b/seq/clusters_seq/cluster_200 deleted file mode 100644 index 6c1cb86..0000000 --- a/seq/clusters_seq/cluster_200 +++ /dev/null @@ -1,318 +0,0 @@ ->YP_010085023.1 G protein [Pohorje myodes paramyxovirus 1] -MNPLAVHTAAMSNFYGVNATQTTDARKENHIGGQDVFRYTSMIVGLLSLFTIIALNVTNIIYLTESGGTM -ESIKNSQQSLSGSMKETTGILIEDLKPKTDLINSMVGYNIPTQLSLIYSTIKNDVLKQCTPTFMFNNTIC -PVAEHPIHSSYFEEINLSSFAACALPNGRVVMNSEVTYTEYPSFIPGSTSPGSCVRLPSFSLSPTIFAYS -HTIMGHGCSELDVGDHYFSLGRIADHGHENPVFETITEWFINDKVNRRSCTVAAGQYEGWMGCVIMTETF -LDDLSSRDTGRVSITYLDVYGRKREWIYTRSEIRFDQNYASLYFSVGSGVVIGDNVHFLIWGNLYFPIEE -PAFCTAPQCRTFNQQQCNQAQRPEAFGGHQMVNGILSFKTTTRGKPVLSVRTFSPKLIPLGTEGRLIHFQ -NINRTYIYLRSTGWHALPLTGRIIFTVPLNIEWIQQTAVSRPGRSPCGASSRCPQQCVTGVYTDLFPMGP -NYEYSMTAFLDSETDRVNPTLAFINTGSIIYRKTLTQSNQKAGYTTTTCFIFKLRIWCLSIVELSPSTIT -EFEPVPFLYQLDVGCRMSPSGQIMPLSYGERSLSIGPYKPARKECYLEQVGDQYYLIISIPNSIQAYTIR -DLDPEKIPHTGLYINDICPVLLNVYTTMSATARMMTAIMVGQWQFRPVNRPGGTRVNLPNKLANATRNEM -YSPEDPGHSYYVDRLIDQYNYQTLPEGALVEICLKLNRGNNLTIIQDIQCRVYKYSRVKSNWSIGPTSSP -TGITMRDMITQLNKFSTAFSPPLQCNHSFPCPCPCNCSSTIGTTKEPLTRLQHNNSTHTRTAGLNTSHNR -LLSTTIKSAHVTNSSKRRDQDSATHSHTSPETPASQTEKHHTATHPHTPPTKDQTGATGHPAANETSHHP -TPRTTTNPDIHHTTPPPGNPQPGNTAPHPEAATKAPTTTPQPRTNHTADAAPQADPPQKLNPTEQPIKTP -TPTTTPTAPTTYPNRTTEPNPENNDTPIHPHNDPTRDTTTSPANHNTLDPHGDPEAEGTTTSETTSRSKP -AGDTKETEHETLDSPKDPHVDTTTSATTTDEGVDKTSTNTTQHAHPTPKQRSQTTDNTTRPDSPQQDTST -QTDTPRDQPNSPGADPGQPPPTDPPEEQREGGQPDNKKQEETSSNNTKPEDAKITPDKAESRETSKPNSS -IPTKEEERPNSQPLLRGGESQTLRLSNIEDLHIDDTSPSHTSHSEVQPTPKPPSEENPSFELIDVSHNNP -KPDESLTKPCYQIDRHCTDNYLSTIGPLIDCYNKTEEVLCRLQTQTIDYMEKNCPGGYLNTSMASILGVA -YSEYGDCRRGESLKPGHNYICMINGTSYLADREFICRKPSYKVMTNLTTTKLFHSSQSPFDTVGHLIGKY -PFSYQEFIEWAGHYYPRPTEYMCGLGEFCGYTFVFFLSPDFNAYFEPTIYYKSIEKLNRSPIQIYNLCGR -VFEVADQLTEPYHNVIVTPLKNKVLILRSLSYNDFADLGGNKTSCDTILQRRAYFSVETSVAKEARKNKG -KLEAVTKSPNEKITRMKRATNPSYGATPSYDTFGDLILSALESLWYKRR - ->YP_009666854.1 G protein [Mount Mabu Lophuromys virus 2] -MIMNPINTQMTNYYGVGGNKDREAIQTSSTNSTCHSALTYTSMIVGLLSLFTLIALNVTNITYLIGSGGS -MNAIKEKQESLGALVRDSVSLTTEEIKPKVDLINNIVSYSIPSQLTQIQHTIKNEVLRQCGPSFVYNNTV -CPVVENPSHSQHFKMINPYTISECANTGSFIQVKSHISFIDYPSFIPGSTRKGGCVRIPSFSLSDTIFAY -AHNIIAQGCQDSSQSDQYLSIGRIEDHGTDIPVIETIAEWYLNDGLNRKSCSVASGDTYAIMGCTIVTSD -ERTDYLNPGIGRLSITYLDVFGRKKEWIFTQEEIELDREYLALYFSVGSGVIIDDEIYFLVYGDLAEPMP -GNVFCHAPGCAAVNQDVCNAATKPNWFGRHQIVNGILSFTADFESRPRLRVRTIPPSKTWLGAEGRLMYS -TVARKTYIYVRSTGWHALAQTGIIDLTGDLSIQWVDQLVLSRPGTSGCPAANRCPKECVTGVYTDAFPLG -VNYDVSVSVVLATQSTRSNPRLMVVNGTNIIYVKTVTSASQKASYTTTTCFVFKMRIWCLSIIELSPGAV -GEFVPVPFLYQLNLGCVDKDTGVTEQLSSYSGKDTYTLTPYETPKTECYLELSGDDMYFAVKVFGNHQSY -RLTWKAQAKTTEDIATVSQLCHEVLKYMTDRGDVFNNNTVVDTEHVTLTPVTLSAGTRVTLTKTDSTPVA -NETTSMSTAIKATLDRTTRSVTTRLTESTAFNHTSSVLNQITVTPTSSTTEANHTSTPITRVSQHETSSV -VTHGQLNNTLNATGSWRLRYSNLFERGYSEMMKWWGNNGD - ->YP_009666846.1 G protein [Mount Mabu Lophuromys virus 1] -MADYYKTGGIITPVKKPTEEERNHKSNVLAYTSLILGILSVIVLILLNITNITMTIKKDSINGALNSKPI -MTETQGNKISDLIESDLIPKTNLINNMVSYQIPTSLTQIYSLIKRDVLQACTPKFDHEGSQCPVNSNPFH -SGSFSLINRNTFSRCPDPNNNLGMRESIKLMDYPSFIPGPTRPGGCSRDPSFDLGNKIFAYTHNVVPQGC -GYTEMTMQYFNIGRVTDVNADMPFFEILTQWYLDDGLNRKSCTVVTSDEGAWILCIVTSESEEKDYADQG -IGRVFIGYMDIYGRKKSWYLDEPEIQFDLPMAAMYFSGGSGVSDEGKIYVLIYGGLMTAVSGDVFCDAPG -CDNPSQDMCKKASMPKSRSQRQMVNGLFIFDDNPLEAPKPIVKLIPPSQNWVGSRGRLYKSDYPKIFFLY -ISSDSWHSLPKIGIIAVGDQTYLHWVENVAVSRPGPENCMYGNRCPQECLGAPYTDIFPLDSNFEIGISV -TLKSYQVNKNPVITLVTQNKIISETEVTNDHHGAQYTTTSCFKYARSLWCLSIVAFEPATVGERAPVPLL -YKVPVYCKASGTRLTIPIPYFSNGSRPIVVLTNELYPPEVPKFQTQDDFNKLQLSPYHFERPGTTGPPST -TSKLQTTRSLHVDTSTQVIKQVTPTTLSEPTTREQTPTTANTLSTKEMLTTVKTIDENTTRITAQHHDTT -QRSTITTLNKTAQTTEGTGQHQITTHNITRRDTTPNTDGHSISTARQPNIQSHTAKDENTPDIYNNVRRI -GIQRETKTSRSRDRRPKKQKLDDYASKDQHNTFEDQGLWDKISSYLLPIQSDYYGIEDPELDSIDLFDYR -HVTSSSHNRVMIYQ - ->YP_009505440.1 hemagglutinin-neuraminidase [Simian Agent 10] -MEYWKHTNHGKDAGNELEKSMATHGNKPTNKIIYILWTIILVLLSIVFIIVLINSIKSEKAHESLLQDIN -NEFMEVTEKIQMASDNTNDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEITIRNDNQEVPPQ -RITHDVGIKPLNPDDFWRCTSGLPSLMKTPKIRLMPGPGLLAMPTTVDGCVRTPSLVINDLIYAYTSNLI -TRGCQDIGKSYQVLQIGIITVNSDLVPDLNPRISHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNHDGSISTTRFKNNNISFDQPYAALYPSVGPGIYYKGKIIFLGYGGLEHPINENAIC -NITGCPGKTQRDCNQASHSPWFSDRRMVNSIIVVDKGLNSIPKLKVWTISMRQNYWGSEGRLLLLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNNECPWGHSCPDGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTATERVNELAIQNRTLSAGYTTTSCITHYNKGYCFHIVEINQKSLNTFQP -MLFKTEIPKSCS - ->YP_009179211.1 hemagglutinin-neuraminidase [Caprine parainfluenza virus 3] -MEYWGHTNNPDKINRKVGVDQVRDRSKTLKIITFIISMMTSIMSTVALILILIMFIQNNNNNRIILQELR -DETDAIEARIQKASNDIGVSIQSGINTRLLTIQNHVQNYIPLALTQQVSSLRESINDVITKREETQSKMP -IQRMTHDDGIEPLIPDNFWKCPSGIPTISASPKIRLIPGPGLLATSTTINGCIRLPSLVINNLIYAYTSN -LITQGCQDIGKSYQVLQIGIITINSDLVPDLNPRITHTFDIDDNRKSCSLALRNADVYQLCSTPKVDERS -DYSSIGIEDIVLDIVTSEGTVSTTRFTNNNITFDKPYAALYPSVGPGIYYDNKIIFLGYGGLEHEENGDV -ICNITGCPGKTQHDCNQASYSPWFSNRRMVNAIILVNKGLNKVPSLQVWTIPMRQNYWGSEGRLLLLGNK -IYIYTRSTSWHSKLQLGTLDISNYNDIRIRWTHHDVLSRPGSEECPWGNTCPRGCITGVYNDAYPLNPSG -SVVSSVILDSRTSRENPIITYSTDTSRVNELAIRNNTLSAAYTTTNCVTHYGKGYCFHIIEINHKSLNTL -QPMLFKTEIPKSCN - ->YP_009094449.1 haemagglutinin protein [Porcine respirovirus 1] -MEETKVKTSEYWARSPQIHATNHPNVQNREKIKEILTILISFISSLSLVLVIAVLIMQSLHNGTILRCKD -VGLESINKSTYSISNAILDVIKQELITRIINTQSSVQVALPILINKKIQDLSLIIEKSSKVHQNSPTCSG -VAALTHVEGIKPLDPDDYWRCPSGEPYLEDELTLSLIPGPSMLAGTSTIDGCVRLPSLAIGKSLYAYSSN -LITKGCQDIGKSYQVLQLGIITLNSDLHPDLNPIISHTYDINDNRKSCSVAVSETKGYQLCSMPRVNEKT -DYTSDGIEDIVFDVLDLKGSSRSFKFSNNDINFDHPFSALYPSVGSGIIWKNELYFLGYGALTTALQGNT -KCNLMGCPGATQDNCNKFISSSWLYSKQMVNVLIQVKGYLSSKPSIIVRTIPITENYVGAEGKLVGTRER -IYIYTRSTGWHTNLQIGVLNINHPITITWTDHRVLSRPGRSPCAWNNKCPRNCTTGVYTDAYPISPDANY -VATVTLLSNSTRNNPTIMYSSSDRVYNMLRLRNTELEAAYTTTSCIVHFDRGYCFHIIEINQKELNTLQP -MLFKTAIPKACRISNL - ->YP_009094151.1 hemagglutinin-neuraminidase protein [Salmon aquaparamyxovirus] -MPPAPSPVHDPSSFYGSSLFNEDTASRKGTSEEIHLLGIRWNTVLIVLGLILAIIGIGIGASSFSASGIT -GNTTKEIRLIVEEMSYGLVRISDSVRQEISPKVTLLQNAVLSSIPALVTTETNTIINAVKNHCNSPPTPP -PPTEAPLKKHETGMAPLDPTTYWTCTSGTPRFYSSPNATFIPGPSPLPHTATPGGCVRIPSMHIGSEIYA -YTSNLIASGCQDIGKSYQNVQIGVLDRTPEGNPEMSPMLSHTFPINDNRKSCSIVTLKRAAYIYCSQPKV -TEFVDYQTPGIEPMSLDHINANGTTKTWIYSPTEVVTDVPYASMYPSVGSGVVIDGKLVFLVYGGLLNGI -QVPAMCLSPECPGIDQAACNASQYNQYLSGRQVVNGIATVDLMNGQKPHISVETISPSKNWFGAEGRLVY -MGGRLYIYIRSTGWHSPIQIGVIYTMNPLAITWVTNTVLSRPGSAGCDWNNRCPKACLSGVYTDAYPISP -DYNHLATMILHSTSTRSNPVMVYSSPTNMVNYAQLTTTAQIAGYTTTSCFTDNEVGYCATALELTPGTLS -SVQPILVMTKIPKECV - ->YP_009094119.1 attachment glycoprotein [Tailam virus] -MSQLAAHNLAMSNFYGIHQGGQSTSQKEEEQPVQGVIRYASMIVGLLSLFTIIALNVTNIIYMTESGGTM -QSIKNAQGSIDGSMKDLSGTIMEDIKPKTDLINSMVSYNIPAQLSMIHQIIKNDVLKQCTPSFMFNNTIC -PLAENPTHSRYFEEVNLDSISECSGNEMSLELGTEPEFIEYPSFAPGSTKPGSCVRLPSFSLSSTVFAYT -HTIMGHGCSELDVGDHYLAIGRIADAGHEIPQFETISSWFINDKINRRSCTVAAGVMETWMGCVIMTETF -YDDLDSLDTGKITISYLDVFGRKKEWIYTRSEILYDYTYTSVYFSIGSGVVVGDTVYFLLWGSLSSPIEE -TAYCYAPGCSNYNQRMCNEAQRPAKFGHRQMANAILRFKTNSMGKPSISVRTLSPTVIPFGTEGRLIYSD -FTKIIYLYLRSTSWYVLPLTGLLILGPPVSISWVTQEAVSRPGEYPCGASNRCPKDCITGVYTDLFPLGA -RYEYAVTVYLNAETYRVNPTLALIDRSKIIARKKITTESQKAGYTTTTCFVFKLRIWCMSVVELAPATMT -AFEPVPFLYQLDLTCKRNNGTTAMQFSGQDGMYKSGRYKSPRNECFFEKVSNKYYFVVSTPEGIQPYEVR -DLTPERVSHVIMYISDVCAPALSAFKKLIPAMRPITTLTIGNWQFRPVDISGGLRVNIYRNLTRYGDLSM -SAPEDPGTDTFPGTHAPSKGHEEVGHYTLPNEKLSEVTTAAVKTKESLNLIPDTKDTRGEEENGSGLNEI -ITGHTTPGHIKTHPAETKVTKHTVIIPQIEEDGSGATTSTELQDETGYHTEDYNTTNTNGSLTAPNERNN -YTSGDHTVSGEDITHTITVSDRTKTTQTLPTDNTFNQTPTKIQEGSPKSESTPKDYTAIESEDSHFTDPT -LIRSTPEGTIVQVIGDQFHSAVTQLGESNAIGNSEPIDQGNNLIPTTDRGTMDNTSSQSHSSTTSTQGSH -SAGHGSQSNMNLTALADTDSVTDQSTSTQEIDHEHENVSSILNPLSRHTRVMRDTVQEALTGAWGFIRGM -IP - ->YP_512253.1 attachment glycoprotein [Beilong virus] -MSQLAAHNLAMSNFYGTHQGDLSGSQKGEEQQVQGVIRYVSMIVSLLSLFTIIALNVTNIIYMTESGGTM -QSIKTAQGSIDGSMREISGVIMEDVKPKTDLINSMVSYNIPAQLSMIHQIIKNDVPKQCTPSFMFNNTIC -PLAENPTHSRYFEEVNLDSISECSGPDMHLGLGVNPEFIEFPSFAPGSTKPGSCVRLPSFSLSTTVFAYT -HTIMGHGCSELDVGDHYFSVGRIADAGHEIPQFETISSWFINDKINRRSCTVAAGAMEAWMGCVIMTETF -YDDRNSLDTGKLTISYLDVFGRKKEWIYTRSEILYDYTYTSVYFSVGSGVVVGDTVYFLIWGSLSSPIEE -TAYCFAPDCSNYNQRMCNEAQRPSKFGHRQMVNGILKFKTTSTGKPLLSVGTLSPSVVPFGSEGRLMYSE -ITKIIYLYLRSTSWHALPLTGLFVLGPPTSISWIVQRAVSRPGEFPCGASNRCPKDCVTGVYTDLFPLGS -RYEYAATVYLNSETYRVNPTLALINQTNIIASKKVTTESQRAGYTTTTCFVFKLRVWCISVVELAPSTMT -AYEPIPFLYQLDLTCKGKNGSLAMRFAGKEGTYKSGRYKSPRNECFFEKVSNKYYFIVSTPEGIQPYEIR -DLTPDRMPHIIMYISDVCAPALSAFKKLLPAMRPITTLTIGNWQFRPVEVSGGLRVNIGRNLTKEGDLTM -SAPEDPGSNTFPGNHIPGNGILDAGYYTVEYPKE - ->YP_338084.1 attachment glycoprotein [J-virus] -MNPVAMSNFYGINQADHLREKGDQPEKGPSVLTYVSLITGLLSLFTIIALNVTNIIYLTGSGGTMATIKD -NQQSMSGSMRDISGMLVEDLKPKTDLINSMVSYTIPSQISAMSAMIKNEVLRQCTPSFMFNNTICPIAEH -PVHTSYFEEVGIEAISMCTGTNRKLVVNQGINFVEYPSFIPGSTKPGGCVRLPSFSLGLEVFAYAHAITQ -DDCTSSSTPDYYFSVGRIADHGTDVPVFETLAEWFLDDKMNRRSCSVTAAGKGGWLGCSILVGSFTDELT -SPEVNRISLSYMDTFGKKKDWLYTGSEVRADQSWSALFFSVGSGVVIGDTVYFLVWGGLNHPINVDAMCR -APGCQSPTQSLCNYAIKPQEWGGNQIVNGILHFKHDTNEKPTLHVRTLSPDNNWMGAEGRLFHFHNSGKT -FIYTRSSTWHTLPQVGILTLGWPLSVQWVDITSISRPGQSPCEYDNRCPHQCVTGVYTDLFPLGVSYEYS -VTAYLDQVQSRMNPKIALVGAQEKIYEKTITTNTQHADYTTTSCFAYKLRVWCVSIVEMSPGVITTRQPV -PFLYHLNLGCQDTSTGSLTPLDAHGGTYLNTDPVGNKVDCYFVLHEGQIYFGMSVGPINYTYSIVGRSRE -IGANMNVSLNQLCHSVYTEFLKEKEHPGTRNNIDVEGWLLKRIETLNGTKIFGLDDLEGSGPGHQSGPED -PSIAPIGHN - ->NP_899660.1 hemagglutinin-neuraminidase protein HN [Fer-de-lance virus] -MDPKSYYCNEDLRSDGGEKSPGGDLYKGIILVSTVISLIIAIISLAFIIDNKINIQSLDPLRGLEDSYLV -PIKDKSESISQDIQEGIFPRLNLITAATTTTIPRSIAIQTKDLSDLIMNRCYPSVVNNDTSCDVLAGAIH -SNLFSQLDPSTYWTCSSGTPTMNQTVKLLPDNSQIPGSTYSTGCVRIPTFSLGSMIYSYSHNVIYEGCND -HSKSSQYWQLGYISTSKTGEPLQQVSRTLTLNNGLNRKSCSTVAQGRGAYLLCTNVVEDERTDYSTEGIQ -DLTLDYIDIFGAERSYRYTNNEVDLDRPYAALYPSVGSGTVYNDRILFLGYGGLMTPYGDQAMCQAPECT -SATQEGCNSNQLIGYFSGRQIVNCIIEIITVGTEKPIIRVRTIPNSQVWLGAEGRIQTLGGVLYLYIRSS -GWHALAQTGIILTLDPIRISWIVNTGYSRPGNGPCSASSRCPAQCITGVYTDIFPLSQNYGYLATVTLLS -GVDRVNPVISYGTSTGRVADSQLTSSSQVAAYTTTTCFTFNQKGYCYHIIELSPATLGIFQPVLVVTEIP -KICS - ->NP_604441.1 HN glycoprotein [Human respirovirus 1] -MAEKGKTNSSYWSTTRNDNSTVNTHINTPAGRTHIWLLIATTMHTVLSFIIMILCIDLIIKQDTCMKTNI -MTVSSMNESAKIIKETITELIRQEVISRTINIQSSVQSGIPILLNKQSRDLTQLIEKSCNRQELAQICEN -TIAIHHADGISPLDPHDFWRCPVGEPLLSNNPNISLLPGPSLLSGSTTISGCVRLPSLSIGDAIYAYSSN -LITQGCADIGKSYQVLQLGYISLNSDMYPDLNPVISHTYDINDNRKSCSVIAAGTRGYQLCSLPTVNETT -DYSSEGIEDLVFDILDLKGKTKSHRYKNEDITFDHPFSAMYPSVGSGIKIENTLIFLGYGGLTTPLQGDT -KCVINRCTNVNQSVCNDALKITWLKKRQVVNVLIRINNYLSDRPKIVVETIPITQNYLGAEGRLLKLGKK -IYIYTRSSGWHSNLQIGSLDINNPMTIKWAPHEVLSRPGNQDCNWYNRCPRECISGVYTDAYPLSPDAVN -VATTTLYANTSRVNPTIMYSNTSEIINMLRLKNVQLEAAYTTTSCITHFGKGYCFHIVEINQASLNTLQP -MLFKTSIPKICKITS - ->NP_067152.1 hemagglutinin-neuraminidase [Human respirovirus 3] -MEYWKHTNHGKDAGNELETSMATHNNKLTNKIIYILWTIILVLLSIVFIIVLINSINSEKVHNSLLQEIN -NEFMEITEKIQMASDNTNDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEITIRNDNQEVPQQ -RITHDVGIKPLNPDDFWRCTSGLPFLMRNPKIRLMPGPGLLAMPTTVDGCVRTPSLIINDLIYAYTSNLI -TRGCQDIGKSYQVLQVGIITVNSDLVPDLNPRFSHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNYDGSISTTRFKNNNISFDQPYAALYPSVGPGIYYKGKIIFLGYGGLEHPINENVIC -NTTECPGKTQRDCNQASYSPWFSDRRMVNSIIVVDKGLNSIPKLKVWTISMRQNYWGSEGRLILLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNDECPWGHSCPNGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTATERVNELAIRNRTLSAGYTTTSCITHYDKGYCFHIVEINQKSSNTFQP -MLFKTEIPKSCSQS - ->NP_037645.1 hemagglutinin-neuraminidase HN [Bovine respirovirus 3] -MEYWKHTNSINNTNNETETARGKHSSKVTNIIMYTFWTITLTILSVIFIMILTNLIQENNHNKLMLQEIR -KEFAAIDTKIQRTSDDIGTSIQSGINTRLLTIQSHVQNYIPLSLTQQMSDLRKFINDLTNKREHQEVPIQ -RMTHDRGIEPLNPNKFWRCTSGNPSLTSSPKIRLIPGPGLLATSTTVNGCIRIPSLVINHLIYAYTSNLI -TQGCQDIGKSYQVLQIGIITINSDLVPDLNPRVTHTFNIDDNRRSCSLALLNTDVYQLCSTPKVDERSDY -ASTGIEDIVLDIVTNNGLIITTRFTNNNITFDKPYAALYPSVGPGIYYKDKVIFLGYGGLEHEENGDVIC -NTTGCPGKTQRDCNQASYSPWFSNRRMVNSIIVVDKGIDATFSLRVWTIPMSQNYWGSEGRLLLLGDRIY -IYTRSTSWHSKLQLGVIDISDYTNIRINWTWHNVLSRPGNDECPWGHSCPDGCITGVYTDAYPLNPSGSV -VSSVILDSQKSRENPIITYSTATNRINELAIYNRTLPAAYTTTNCITHYDKGYCFHIVEINHRSLNTFQP -MLFKTEVPKNCS - ->NP_056878.1 hemagglutinin-neuraminidase protein [Murine respirovirus] -MDGDRSKRDSYWSTSPGGSTTKLVSDSERSGKVDTWLLILAFTQWALSIATVIICIVIAARQGYSMERYS -MTVEALNTSNKEVKESLTSLIRQEVITRAANIQSSVQTGIPVLLNKNSRDVIRLIEKSCNRQELTQLCDS -TIAVHHAEGIAPLEPHSFWRCPAGEPYLSSDPEVSLLPGPSLLSGSTTISGCVRLPSLSIGEAIYAYSSN -LITQGCADIGKSYQVLQLGYISLNSDMFPDLNPVVSHTYDINDNRKSCSVVATGTRGYQLCSMPIVDERT -DYSSDGIEDLVLDILDLKGRTKSHRYSNSEIDLDHPFSALYPSVGSGIATEGSLIFLGYGGLTTPLQGDT -KCRIQGCQQVSQDTCNEALKITWLGGKQVVSVLIQVNDYLSERPRIRVTTIPITQNYLGAEGRLLKLGDQ -VYIYTRSSGWHSQLQIGVLDVSHPLTISWTPHEALSRPGNEDCNWYNTCPKECISGVYTDAYPLSPDAAN -VATVTLYANTSRVNPTIMYSNTTNIINMLRIKDVQLEAAYTTTSCITHFGKGYCFHIIEINQKSLNTLQP -MLFKTSIPKLCKAES - ->sp|P04853.1|HN_SENDZ RecName: Full=Hemagglutinin-neuraminidase; Short=HN protein -MDGDRGKRDSYWSTSPSGSTTKPASGWERSSKADTWLLILSFTQWALSIATVIICIIISARQGYSMKEYS -MTVEALNMSSREVKESLTSLIRQEVIARAVNIQSSVQTGIPVLLNKNSRDVIQMIDKSCSRQELTQHCES -TIAVHHADGIAPLEPHSFWRCPVGEPYLSSDPEISLLPGPSLLSGSTTISGCVRLPSLSIGEAIYAYSSN -LITQGCADIGKSYQVLQLGYISLNSDMFPDLNPVVSHTYDINDNRKSCSVVATGTRGYQLCSMPTVDERT -DYSSDGIEDLVLDVLDLKGRTKSHRYRNSEVDLDHPFSALYPSVGNGIATEGSLIFLGYGGLTTPLQGDT -KCRTQGCQQVSQDTCNEALKITWLGGKQVVSVIIQVNDYLSERPKIRVTTIPITQNYLGAEGRLLKLGDR -VYIYTRSSGWHSQLQIGVLDVSHPLTINWTPHEALSRPGNKECNWYNKCPKECISGVYTDAYPLSPDAAN -VATVTLYANTSRVNPTIMYSNTTNIINMLRIKDVQLEAAYTTTSCITHFGKGYCFHIIEINQKSLNTLQP -MLFKTSIPKLCKAES - ->sp|P03425.1|HN_SENDH RecName: Full=Hemagglutinin-neuraminidase; Short=HN protein -MDGDRGKRDSYWSTSPSGSTTKLASGWERSSKVDTWLLILSFTQWALSIATVIICIIISARQGYSTKEYS -MTVEALNMSSREVKESLTSLIRQEVIARAVNIQSSVQTGIPVLLNKNSRDVIQMIDKSCSRQELTQLCES -TIAVHHAEGIAPLEPHSFWRCPVGEPYLSSDPKISLLLGPSLLSGSTTISGCVRLPSLSIGEAIYAYSSN -LITQGCADIGKSYQVLQLGYISLNSDMFPDLNPVVSHTYDINDNRKSCSVVATGTRGYQLCSMPTVDERT -DYSSDGIEDLVLDVLDLKGSTKSHRYRNSEVDLDHPFSALYPSVGNGIATEGSLIFLGYGGLTTPLQGDT -KCRTQGCQQVSQDTCNEALKITWLGGKQVVNVIIRVNDYLSERPKIRVTTIPITQNYLGAEGRLLKLGDR -VYIYTRSSGWHSQLQIGVLDVSHPLTINWTPHEALSRPGNKECNWYNTCPKECISGVYTDAYPLSPDAAN -VATVTLYANTSRVNPTIMYSNTTNIINMLRIKDVQLEVAYTTISSCITHFGKGYCFHIIEINQKSLNTLQ -PMLFKTSIPKLCKAES - ->sp|P19758.1|HN_SENDF RecName: Full=Hemagglutinin-neuraminidase; Short=HN protein -MDGDRGKRDSYWSTSPSGSTTKLASGWERSSKVDTWLLILSFTQWALSIATVIICIIISARQGYSMKEYS -MTVEALNMSSREVKESLTSLIRQEVIARAVNIQSSVQTGIPVLLNKNSRDVIQMIDKSCSRQELTQLCES -TIAVHHAEGIAPLEPHSFWRCPVGEPYLSSDPKISLLPGPSLLSGSTTISGCVRLPSLSIGEAIYAYSSN -LITQGCADIGKSYQVLQLGYISLNSDMFPDLNPVVSHTYDINDNRKSCSVVATGTRGYQLCSMPTVDERT -DYSSDGIEDLVLDVLDLKGSTKSHRYRNSEVDLDHPFSALYPSVGNGIATEGSLIFLGYGGLTTPLQGDT -KCRTQGCQQVSQDTCNEALKITWLGGKQVVSVIIQVNDYLSERPKIRVTTIPITQNYLGAEGRLLKLGDR -VYIYTRSSGWHSQLQIGVLDVSHPLTINWTPHEALSRPGNEECNWYNTCPKECISGVYTDAYPLSPDAAN -VATVTLYANTSRVNPTIMYSNTTNIINMLRIKDVQLEAAYTTTSCITHFGKGYCFHIIEINQKSLNTLQP -MLFKTSIPKLCKAES - ->sp|P08492.1|HN_PI3H4 RecName: Full=Hemagglutinin-neuraminidase -MEYWKHTNHGKDAGNELETSMATHGNKITNKITYILWTIILVLLSIVFIIVLINSIKSEKAHESLLQDVN -NEFMEVTEKIQMASDNINDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEITIRNDNQEVPPQ -RITHDVGIKPLNPDDFWRCTSGLPSLMKTPKIRLMPGPGLLAMPTTVDGCVRTPSLVINDLIYAYTSNLI -TRGCQDIGKSYQVLQIGIITVNSDLVPDLNPRISHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNHDGSISTTRFKNNNISFDQPYAALYPSVGPGIYYKGKIIFLGYGGLEHPINENAIC -NTTGCPGKTQRDCNQASHSPWFSDRRMVNSIIVVDKGLNSIPKLKVWTISMRQNYWGSEGRLLLLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNNECPWGHSCPDGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTSTERVNELAIRNKTLSAGYTTTSCITHYNKGYCFHIVEINHKSLDTFQP -MLFKTEIPKSCS - ->sp|P06167.1|HN_PI3B RecName: Full=Hemagglutinin-neuraminidase -MEYWKHTNSTKDTNNELGTTRDRHSSKATNIIMYIFWTTTSTILSVIFIMILINLIQENNHNKLMLQEIR -KEFAAIDTKIQKTSDDIGTSIQSGINTRLLTIQSHVQNYIPLSLTQQMSDLRKFINDLTTKREHQEVPIQ -RMTHDSGIEPLNPDKFWRCTSGNPSLTSSPKIRLIPGPGLLATSTTVNGCIRLPSLAINNLIYAYTSNLI -TQGCQDIGKSYQVLQIGIITINSDLVPDLNPRVTHTFNIDDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASTGIEDIVLDIVTSNGLIITTRFTNNNITFDKPYAALYPSVGPGIYYKDKVIFLGYGGLEHEENGDVIC -NTTGCPGKTQRDCNQASYSPWFSNRRMVNSIIVVDKGIDTTFSLRVWTIPMRQNYWGSEGRLLLLGDRIY -IYTRSTSWHSKLQLGVIDISDFNNIRINWTWHNVLSRPGNDECPWGHSCPDGCITGVYTDAYPLNPSGSI -VSSVILDSQKSRENPIITYSTATNRVNELAIYNRTLPAAYTTTNCITHYDKGYCFHIVEINHRSLNTFQP -MLFKTEVPKNCS - ->sp|P16071.2|HN_PI1HW RecName: Full=Hemagglutinin-neuraminidase -MAEKGKTNSSYWSTTRNDNSTVNTYIDTPAGKTHIWLLIATTMHTILSFIIMILCIDLIIKQDTCMKTNI -MTVSSMNESAKTIKETITELIRQEVISRTINIQSSVQSGIPILLNKQSRDLTQLIEKSCNRQELAQICEN -TIAIHHADGISPLDPHDFWRCPVGEPLLSNNPNISLLPGPSLLSGSTTISGCVRLPSLSIGDAIYAYSSN -LITQGCADIGKSYQVLQLGYISLNSDMYPDLKPVISHTYDINDNRKSCSVIAAGTRGYQLCSLPTVNETT -DYSSEGIEDLVFDILDLKGKTKSHRYKNEDITFDHPFSAMYPSVGSGIKIENTLIFLGYGGLTTPLQGDT -KCVTNRCANVNQSVCNDALKITWLKKRQVVNVLIRINNYLSDRPKIVVETIPITQNYLGAEGRLLKLGKK -IYIYTRSSGWHSHLQIGSLDINNPMTIKWAPHEVLSRPGNQDCNWYNRCPRECISGVYTDAYPLSPDAVN -VATTTLYANTSRVNPTIMYSNTSEIINMLRLKNVQLEAAYTTTSCITHFGKGYCFHIVEINQTSLNTLQP -MLFKTSIPKICKITS - ->sp|P12566.1|HN_PI3HX RecName: Full=Hemagglutinin-neuraminidase -MEYWKHTNHGKDAGNELETSMATHGNKLTNKITYILWTIILVLLSIVFIIVLINSIKSEKAHKSLLQDIN -NEFMEITEKIQMASDNTNDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEITIRNDNQEVPPQ -RIIHDVGIKPLNPDDFWRCTSGLPSLMRTPKIRLMPGPGLLAMPTTVDGCVRTPSLVINDLIYAYTSNLI -TRGCQDIGKSYQVLQIGIITVNSDLVPDLNPRISHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNYDGSISTTRFKNNNISFDQPYAASYPSVGPGIYYKGKIIFLGYGGLEHPINENVIC -NTTGCPGKTQRDCNQASHSPWFSDRRMVNSIIVVDKGLNSIPKLKVWTISMRQNYWGSEGRLLLLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNNECPWGHSCPDGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTATERVNELAIRNRTLSAGYTTTSCITHYNKGYCFHIVEINHKSSNTFQP -MLFKTEIPKSCS - ->sp|P12565.1|HN_PI3HW RecName: Full=Hemagglutinin-neuraminidase -MEYWKHTNHGKDAGNELETSMATHGNKLTNKIIYILWTIILVLLSIVFIIVLINSIKSEKAHESLLQDIN -NEFMEITEKIQMASDNTNDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEITIRNDNQEVLPQ -RITHDVGIKPLNPDDFWRCTSGLPSLMKTPKIRLMPGPGLLAMPTTVDGCVRTPSLVINDLIYAYTSNLI -TRGCQDIGKSYQVLQIGTITVNSDLVPDLNPRISHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNYDGSISTTRFKNNNISFDQPYAALYPSVGPGIYYKGKIIFLGYGGLEHPINENVIC -NTTGCPGKTQRDCNQASHSPWFSDRRMVNSIIVVDKGLNSIPKLKVWTISMRQNYWGSEGRLLLLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNNECPWGHSCPDGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTATERVNELAIRNRTLSAGYTTTSCITHYNKGYCFHIVEINQKSLNTFQP -MLFKTEIPKSCS - ->sp|P12564.1|HN_PI3HV RecName: Full=Hemagglutinin-neuraminidase -MEYWKHTNHGKDAGNELETSMATHGNKLTNKIIYILWTIILVLLSIVFIIVLINSIKSEKAHESLLRDIN -NEFMEITGKIQMASDNTNDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEITIRNDNQEVLPQ -RITHDVGIKPLNPDDFWRCTSGLPSLMKTPKIRLMPGPGLLAMPTTDDGCIRTPSLVINDLIYAYTSNLI -TRGCQDIGKSYQVLQIGIITVNSDLVPDLNPRISHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNYDGSISTTRFKNNNISFDQPYAALYPSVGPGIYYKGKIIFLGYGGLEHPINENVIC -NTTGCPGKTQRDCNQASHSPWFSDRRMVNSIIVVDKGLNSTPKLKVWTISMRQNYWGSEGRLLLLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNNECPWGHSCPDGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTATERVNELAIRNRTLSAGYTTTSCITHYNKGYCFHIVEINHKSLNTFQP -MLFKTEIPKSCS - ->sp|P12563.1|HN_PI3HU RecName: Full=Hemagglutinin-neuraminidase -MEYWKHTNHRKDAGNELETSMATHGNKLTNKITYILWTIILVLLSIVLIIVLINSIKSEKAHESLLQDIN -NEFMEITEKIQMASDNTNDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEIIIRNDNQEVPPQ -RITHDVGIKPLNPDDFWRCTSGLPSLMKTPKIRLMPGPGLLTMPTTVDGCVRTPSLVINDLIYAYTSNLI -TRGCQDIGKSYQVLQIGIITVNSDLVPDLNPRISHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNYDGSISTTRFKNNNISFDQPYAALYPSVGPGIYYKGKIIFLGYGGLEHPINENVIC -NTTGCPGKTQRDCNQASHSPWFSDRRMVNSIIVVDKGLNSIPKLKVWTISMRQNYWGSEGRLLLLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNNECPWGHSCPDGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTATERVNELAIRNKTLSAGYTTTSCITHYNKGYCFHIVEINHKSLDTFQP -MLFKTEVPKSCS - ->sp|P12562.1|HN_PI3HT RecName: Full=Hemagglutinin-neuraminidase -MEYWKHTNHGKDAGNELETSMATHGNKLTNKIIYILWTIILVLLSIVFIIVLTNSIKSEKAHESLLRDIN -NEFIGITEKIQMASDNTNDLIQSGVNTRLLTIQSHVQNYIPISLTQQMSDLRKFISEITIRNDNQEVLPQ -RITHDVGIKPLNPDDFWRCTSGLPSLMKTPKIRLMPGPGLLAMPTTVDGCIRTPSLVINDLIYAYTSNLI -TRGCQDIGKSYQVLQIGIITVNSDLVPDLNPRISHTFNINDNRKSCSLALLNTDVYQLCSTPKVDERSDY -ASSGIEDIVLDIVNYDGSISTTRFKNNNISFDQPYAALYPSVGPGIYYKGKIIFLGYGGLEHPIDENVIC -NTTGCPGKTQRDCNQASHSPWFSDRRMVNSIIVVDKGLNSTPKLKVWTISMRQNYWGSEGRLLLLGNKIY -IYTRSTSWHSKLQLGIIDITDYSDIRIKWTWHNVLSRPGNNECPWGHSCPDGCITGVYTDAYPLNPTGSI -VSSVILDSQKSRVNPVITYSTATERVNELAIRNRTLSAGYTTTSCITHYNKGYCFHIVEINHKSSNTFQP -MLFKTEIPKSCS - diff --git a/seq/clusters_seq/cluster_201 b/seq/clusters_seq/cluster_201 deleted file mode 100644 index 07495c7..0000000 --- a/seq/clusters_seq/cluster_201 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_010087205.1 P3a, partial [Pumpkin polerovirus] -TDYKFLAGFASGFLLSIPLSAAGLYLVYLKISAHVRSIVNEYGRG - ->YP_009976130.1 P3a protein, partial [Phasey bean mild yellows virus] -IDYKFLAGFSSGLLAAIPVTVVGLYFVYLKISSHVRAIVNEYGRG - ->YP_009552851.1 P3a protein [Apple luteovirus 1] -MDYHLLAGFFLGFLASIPITVCVCYVAYIKISQQVRSIVNEYGRA - ->YP_009551925.1 P3a protein [Apple-associated luteovirus] -MDFKFLAGFSVGFIASIPIVIVVCYYAYLKISAQVRAIVNEFGRG - ->YP_009455742.1 P3a [Pepper vein yellows virus 5] -MDFKFLAGFAFGFLSAIPISIAGIYLVYLKISAHVRAIVNEYGRS - ->YP_009407909.1 P3a [Wheat leaf yellowing-associated virus] -MDYKLLAGVLIGTLITIPLVTIATYLAYQKVSARVRDLVNEYGR - ->YP_009352254.1 protein 3a [Cowpea polerovirus 2] -MDFRFLSGLALGFILSIPVSIFALYCIYLKISAHVRSIVNEFGRGP - ->YP_009352247.1 protein 3a [Cowpea polerovirus 1] -MDYKFLAGFATGFISSIPISVVALYIVYLRISSHVRSIVNEYGRG - ->YP_009254740.1 P3a [Pepo aphid-borne yellows virus] -MDYKFLAGFASGFLLSIPLSAAGLYLVYLKISAHVRSIVNEYGRG - ->YP_009179364.2 protein 3a [Melon aphid-borne yellows virus] -MGQADFRFVAGFTSGFLAAIPLCALGLYLIYLKISAHVRSIVNEYGRG - ->YP_009179365.2 protein 3a [Potato leafroll virus] -MDYKFLAGFALGFSSAIPFSVAGLYFVYLKISSHVRSIVNEYGRG - ->YP_009186830.1 protein 3a [Chickpea chlorotic stunt virus] -MDYKFLAGFAAGFVTSIPISVCAIYIIYLKISTHVRSIVNEYGRG - ->YP_009186831.1 protein 3a [Carrot red leaf virus] -MDYKFLAGTATGFILAIPICVIGLYYVYLKISSNIQSIVNEYGRG - ->YP_009186833.1 protein 3a [Bean leafroll virus] -MGSFDYKFLAAFVLGFVSNIPVTALGIYIVYQRILKEIREIINDGR - ->YP_009186829.1 protein 3a [Tobacco vein distorting virus] -MDFKFLAGFASGFLSAIPISVAGIYLVYLKISAHVRAIVNEYGRSQE - ->YP_009186828.1 protein 3a [Cotton leafroll dwarf virus] -MDYKFLSGFTAGFLVAVPISTLGLYLIYLKVSAHVRQIVNEYGRG - ->YP_009186827.1 protein 3a [Pepper vein yellows virus] -MDYKFLAGFAFGFLSAIPISVAGIYLVYLKISAHVRAIVNEYGRG - ->YP_009186823.1 protein 3a [Maize yellow dwarf virus RMV] -MDYKFLAGITLGLCITFPFIILGVYKVYRTVSNDTSKLANEFGRP - ->YP_009186825.1 protein 3a [Suakwa aphid-borne yellows virus] -MGQPDFKFLAGFTSGFLIAVPISALGLYLIYLKISKHVQSIVNEYGRG - ->YP_009179366.1 protein 3a [Wheat yellow dwarf virus-GPV] -MDFHLLAGFAIGFISSIPISIVGVYLVYLKVSTHVREIVNEYGRP - ->YP_009179363.1 protein 3a [Rose spring dwarf-associated virus] -MDYKLLAGYCLGFISSVPLTIGLSYLAYLRISLQVRKIINEYGRGETTGSQQL - ->YP_009179362.1 protein 3a [Cereal yellow dwarf virus RPV] -MDFHLLAGFALGFISSIPISVVGVYLVYLKVSTHIREIVNEYGRP - ->YP_009179360.1 protein 3a [Cucurbit aphid-borne yellows virus] -MDYKFLAGFSSGLLSAIPITVIGLYFVYLKISAHVRSIVNEYGRG - ->YP_009179357.1 protein 3a [Cereal yellow dwarf virus RPS] -MDFKFIAGFATGFISSIPISVLGVYYVYLRISTHIREIVNEYGRP - ->YP_009179206.1 protein 3a [Turnip yellows virus] -MDYKFLAGFAAGFVSSIPISVISIYFIYLRISKHVREIVNEYGRG - ->sp|P0DJZ8.1|ORF3A_TYYVF RecName: Full=Protein ORF3a -TDYKFLAGFAAGFVSSIPISVISIYFIYLRISKHVREIVNEYGRG - diff --git a/seq/clusters_seq/cluster_202 b/seq/clusters_seq/cluster_202 deleted file mode 100644 index 5c988cd..0000000 --- a/seq/clusters_seq/cluster_202 +++ /dev/null @@ -1,234 +0,0 @@ ->YP_010086770.1 nucleoprotein [Taiwan bat lyssavirus] -MDADRIVFKVRNQLVSVKPEVISDQYEYKYPAIKDKKKPSITLGKEPDLKTAYKSILSGMNAAKLDPDDV -CSYLAKAMELFEGVCPEDWNSYGINIAKKGDKITPATLVDIIRTNTEGNWAQAGGQDLTRDPTIHEHASL -VGLLLCLYRLSKIVGQNTGNYKTNVADRMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGAY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -TPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVIQSCAPHEMSVLGGYLGEEFF -GRGTFERRFFRDEKEMQDYEDAEATKIEIALADDGTVNSDDEDFFSGETRSPEAVYTRILMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNKTYSSDSR - ->YP_009325514.1 nucleoprotein [Gannoruwa bat lyssavirus] -MDADKIVFKVNNQVVSVKPEVIVDQYEYKYPAIRDQKKPSITLGKAPDLNKAYKSVLSGMNAAKLDPDDV -CSYLAAAMELFEGICPEDWTSYGILIARKGDKITPATLVDIKRTDIEGNWALTGGQDLTRDPTVAEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLTGTY -DMFFSRVEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQVRSLNATVIATCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDERELQDYEAAESMKTDMALADDATVNSDDEDFFSGETRSPEAVYTRIMMNGGRLKKSH -IKRYVSVSSNHQSRPNSFAEFLNKTYSNDP - ->YP_009325412.1 nucleoprotein [Lleida bat lyssavirus] -MDSEQVVFKTKREIVVLKPEVISDQYEYKYPAIQNREKPSITLRKAPDLNIAYKSVLSAFNTAKLDQEDV -CSYLAAAMRLFEGQCPEDWTSYGIMIARQGDTINPGNLVNIQRTDQEGNWAQAAGSGVMRDPTVAEHASL -VGLLLCLYRLSKIVGQNTANYKTNVADRMEQIFETAPFAKIIEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIDHLYGAIRVGTVVTAYEDCTGLVSFTGFLKQINLTASEAMLYFFHKNFEEEFKRMFRPGQETA -TPHSYFVHFRSLGLSGKSPYSSNAVGHMFNLIHFVGCYMGQIRSLNATVIQTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRSVDEMRTYEELEEKRIDAALEDDATVDSEDEDNWSGESRSPEAVFNRIMMNKGRLRPAH -IRRYRGVSANHQARPNSFAEFLTKVYSDTS - ->YP_009094484.1 nucleoprotein [Lyssavirus Ozernoe] -MDSDRIVFKVHNQLVSLRPEVISDQYEYKYPAIVDKKKPSITLGKAPDLNTAYKSILSGMNAAKLDPDDV -CSYLAAAMVYFEGICPEDWTSYGINIARKGDKITPAVLVDIQRTNTEGNWAQAGGQDLTRDPTTPEHASL -VGLLLCLYRLSKIVGQNTGNYKTNVAERMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGVY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTTFIRQINLTARDAVLYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHTFNLIHFVGCYMGQVRSLNATVIQSCAPNEMSVLGGYLGEEFF -GRGTFERRFFRDEKELQDYEAAEATKIDLALEDDGTVNSDDEDFFSGETRSPEAVYSRIMMSGGRLKKSH -IKRYISVSSNHQARPNSFAEFLNKTYASDTR - ->YP_009094327.1 nucleoprotein [Khujand lyssavirus] -MDADRIVFKVKNQLVSVKPEVIVDQYEYKYPAIVDRQKPSITLGKAPDLNKAYKSILSGLTAAKLDPDDV -CSYLAAAMELFDGTCPDDWTSYGILIAKKGDKITPATLVNIQRTDVEGNWALTGGLDLTRDPTVAEHASL -VGLLLSLYRLSKVSGQNTGNYKTNVADRMEQIFETAPFAKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAKEAILYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVISSCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELKEYEAAESVKTDTALADDGTVNSDDEDFFSGETRSPEAVYTRIMMNGGRLKKSH -IRRYVSVSSNHQARPNSFAEFLNKTYSSDPR - ->YP_009094268.1 nucleoprotein [West Caucasian bat lyssavirus] -MDSEHIVFRVRNEIVTLKPEVISDQYEYKYPAITDKKKPSITLGRAPDLSIAYRSILSGFNAAKLDPDDV -CSYLAAAMPLFEGVCPEDWISYGIIIARKGDKINPSHLVDIMRTEVEGNWSQSGGADVTRNPTVAEHASL -VGLLLCLYRLSKIVGQNTANYKTNVADRMEQIFETAPFVKIIEHHTLMTTHKMCANWSTIPNFRFLVGTY -DMFFSRIDHLYSALRVGTVVTAYEDCTGLVSFTAFLKQINLSARDAILYFFHKNFEEEIRRMFRPNQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQVRSLNATVIQTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDERELQDHLEAEEAKIDIALADDATVDSGDEDFYGGESRSPEAVYNRIIMNKGRLKKLH -IKRYRSVSSNHQARPNTFAEFLNKVYSDDN - ->YP_009094179.1 nucleoprotein [Shimoni bat lyssavirus] -MDSEKIVFKVRNQVVSLKPEIISDQYEYKYPAILDGRKPGITLGRAPDLNTAYKSILSGMNAAKLDPDDV -CSYLAAAMQLFEGVCPEDWTSYGIVIAKKGDKITPEDLIDVTRTNVEGNWAQTGGTDMTRDPTSAEHASL -VGLLLCLYRLSKIVGQNTANYKTNVADRMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGAY -DMYFARIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLSARDALLYFFHKNFEEEIRRMFEPGQETA -IPHSYFIHFRALGLSGKSPYSSNAVGHTFNLIHFIGCYMGQIRSLNATVIQACAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKEMQDYAELEGIKIEAALADDGTVDSDDEDFFSGETRSPEAVYSRIMMNNGRLKRSH -IRRYISVSSNHQARPNSFAEFLNKVYSDGS - ->YP_009091809.1 nucleoprotein [Bokeloh bat lyssavirus] -MDSDKIVFKVHNQLVSVKPEVIVDQYEYKYPAIRDQKKPSITLGKAPDLNKAYKSILSGINAARLDPDDV -CSYLAAAMELFEGICPEDWLSYGILIARKGEKITPATLVNIQRTNIEGNWALTGGYDLTRDPTVAEHASL -VGLLLCLYRLSKVSGQNTGNYKTNIADRMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLFSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVISSCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELRDYEAAESVKNDVALADDGTVNSDDEDFFSGETRSPEAVYAKIMMNGGKLKKSH -IRRYVSVSSNHQARPNSFAEFLNKTYLGDQR - ->YP_007641402.1 nucleoprotein [Duvenhage lyssavirus] -MDAERIVFKVRNQLVSVKPEVISDQYEYKYPAITDKKKPSITLGRAPDLKTAYKSILSGMNAAKLDPDDV -CSYLAGAMILFEGVCPEDWVSYGIHIARKGDKITPATLVDIVRTNTEGNWAQTGGQELTRDPTISEHASL -VGLLLCLYRLSKIVGQNTGNYKTNVADRMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRVDHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVIQSCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQDYEEAEATKIEAALADDGTVNSDDEDFFSGDTRSPEAVYTRIMMNGGRLKGAH -IRRYVSVSSSHQARPNSFAEFLNKTYSSDSR - ->YP_007641397.1 nucleoprotein [Irkut lyssavirus] -MDSDRIVFKVHNQLVSLKPEVISDQYEYKYPAIDDKKKPSITLGKAPDLKTAYKSILSGMNAAKLDPDDV -CSYLAAAMVFFEGICPEDWTSYGINIAKKGDKITPAVLVDIQRTNTEGNWAQAGGQDLTRDPTTPEHASL -VGLLLCLYRLSKIVGQNTGNYKTNVAERMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGVY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTTFIRQINLTARDAVLYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHTFNLIHFVGCYMGQVRSLNATVIQSCAPHEMSVLGGYLGEEFF -GRGTFERRFFRDEKELQDYEAAEATKIDLALEDDGTVNSDDEDFFSGETRSPEAVYSRIMMSGGRLKKSH -IKRYISVSSNHQARPNSFAEFLNKTYASDTR - ->YP_007641392.1 nucleoprotein [Aravan lyssavirus] -MDSDKIVFKVHNQLVSVKPEVITDQYEYKYPAIGNQKKPSITLGKAPDLNKAYKSILSGMNAAKLDPDDV -CSYLAAAMELFEGVCPDDWASYGILIAKKGDKITPTTLVDIIRTDVEGNWAQTGGQDLTRDPTTAEHASL -VGLLLCLYRLSKIVGQNTGNYKTNVADRMEQIFETAPFVKVVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVISSCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQDYELAEATKTDLALADDGTVNSDDEDFFSGETRSPEAVYTRIMMNGGRLKKSH -IRRYVSVSSNHQARPNSFAEFLNKTYSGDQR - ->YP_007641387.1 nucleoprotein [Lagos bat lyssavirus] -MDSDKIVFKVHNQIVSLKPEIISDQYEYKYPAITDGKKPGITLGKAPDLSTAYKSILSGMNAAKLDPDDV -CSYLAAAMQFFEGVCPEDWVSYGIIIAKKGETITPNVLVDITRTNVEGNWAQAGGADMTRDPTIAEHASL -VGLLLCLYRLSKIVGQNTANYKTNVADRMEQIFETAPFIKVVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFARIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLSARDALLYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRALGLSGKSPYSSNAVGHTFNLIHFIGCYMGQIRSLNATVIQTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKEMQDYAELEGAKVEASLADDGTVDSDDEEFFSGETRSPEAVYSRIMMNNGRLRKSH -IQRYVSVSSNHQARPNSFAEFLNKVYSESS - ->YP_006742180.1 nucleoprotein [Ikoma lyssavirus] -MDPEQVVFKSRKEIVVLRPEVISDQYEYKYPAIENKEKPTITLQKAPDLNIAYKSVLSAFNTARLDQEDV -CSYLAAAMRIFEGNCPEDWISYGIIIAKKGETINPGHLVNIKRTEQEGNWAQVAGAGVIKDPTDAEHASL -VGLLLCLYRLSKIVGQNTANYKTNVADRMEQIFETAPFVKIIEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIDHLYGAIRVGTVVTAYEDCTGLVSFTGFLKQINLTAGEAMLYFFHKNFEEEFKRMFKPGQETA -TPHSYFVHFRSLGLSGKSPYSSNAVGHMFNLIHFVGCYMGQIRSLNATVIQTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRNIEEMKTYEELEERRVEAALEDDGTVDSGEEDDWTGESRSPEAVFNRIMVNKGRLRPHH -IKRFRNVSANHQARPNSFAEFLSKVYSDTS - ->YP_001285393.1 nucleoprotein [European bat 2 lyssavirus] -MDADRIVFKVHNQLVSVKPEVIVDQYEYKYPAIKDRKKPSITLGKAPDLNRAYKSILSGINAARLDPDDV -CSYLAAAMALFEGICPDDWESYGILIARKGDKITPANLVNIQRTDVEGNWALAGGLDVIKDPTTAEHASL -VGLLLCLYRLSKVSGQNTGNYKTNVADRMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIRQINLTAKEAILYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGSYMGQVRSLNATVIATCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDERELAEHEAIESTKTDVALADDGTVNSDDEELYSGGTRTPEAVYTRIMVNGGKLKKSH -IKRYVSVSSNHQARPNSFAEFLNKTYSSDPR - ->YP_001285388.1 nucleoprotein [European bat 1 lyssavirus] -MDVNKVVFKVHNQLVSVRPEVISDQYEYKYPAIKDKKKPSITLGKDPDLKTAYKSILSGMNAAKLDPDDV -CSYLAGAMVLFEGICPEDWTSYGINIAKKGDKITPATLVDIHRTNTEGNWAQTGGQDLTRDPTTPEHASL -VGLLLCLYRLSKIVGQNTGNYKTNVADRMEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGAY -DMFFARIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIKRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVIQSCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQDYEAAESTKVDVALADDGTVNSDDEDFFSGDTRSPEAVYTRIMMNGGRLKRSH -IKRYVSVSANHQARPNSFAEFLNKTYSSDPR - ->YP_142350.1 nucleoprotein N [Mokola lyssavirus] -MESDKIVFKVNNQVVSLKPEVISDQYEYKYPAILDGKKPGITLGKAPDLNTAYKSILSGMKAAKLDPDDV -CSYLAAAMHLFEGVCPEDWVSYGIVIAKKGEKINPSVIVDIVRTNVEGNWAQAGGTDVIRDPTMAEHASL -VGLLLCLYRLSKIVGQNTANYKTNVADRMEQIFETAPFAKVVEHHTLMTTHKMCANWSTIPNFRFLVGTY -DMFFARVEHIYSALRVGTVVTAYEDCSGLVSFTGFIKQINLSPRDALLYFFHKNFEGEIKRMFEPGQETA -VPHSYFIHFRALGLSGKSPYSSNAVGHTFNLIHFVGCYMGQIRSLNATVIQTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKEMQDYTELEEARVEASLADDGTVDSDEEDFFSGETRSPEAVYSRIMMNNGKLKKVH -IRRYIAVSSNHQARPNSFAEFLNKVYADGS - ->NP_478339.1 nucleocapsid protein [Australian bat lyssavirus] -MESDKIAFKINNQLVSVKPEVIVDQYEYKYPAIKDQRKPSITLGKAPDLNKAYKSILSGMNAAKLDPDDV -CSYLAAAMELFEGICPEDWTSYGILIARKGDKITPATLVDIRRTDIQGSWALAGGQDFTRDPTIAEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFAKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRVEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVISTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQDYEAAEAMKIDLALADDGTVNSYDEDYLSGETRSPEAVYTRIMMNGGRLKKSH -IRRYISVSSNHQSRPNSFAEFLNKTYSSDS - ->NP_056793.1 nucleoprotein N [Rabies lyssavirus] -MDADKIVFKVNNQVVSLKPEIIVDQYEYKYPAIKDLKKPCITLGKAPDLNKAYKSVLSCMSAAKLDPDDV -CSYLAAAMQFFEGTCPEDWTSYGIVIARKGDKITPGSLVEIKRTDVEGNWALTGGMELTRDPTVPEHASL -VGLLLSLYRLSKISGQSTGNYKTNIADRIEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQVRSLNATVIAACAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQEYEAAELTKTDVALADDGTVNSDDEDYFSGETRSPEAVYTRIIMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNKTYSSDS - ->sp|A3RM19.1|NCAP_RABVI RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDADKIVFKVNNQVVSLKPEIIVDQYEYKYPAIKDLKKPSITLGKAPDLNKAYKSVLSGLNAAKLDPDDV -CSYLAAAMQFFEGTCPEDWTSYGILIARKGDKITPDSLVEIKRTDVEGNWALTGGMELTRDPTVPEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFIKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQVRSLNATVIAGCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQEYETAELTKTDVALADDGTVNSDDEDYFSGETRSPEAVYSRIMMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNKTYSSDS - ->sp|P15197.2|NCAP_RABVA RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDADKIVFKVNNQVVSLKPEIIVDQYEYKYPAIKDLKKPCITLGKAPDLNKAYKSVLSGMNAAKLDPDDV -CSYLAAAMQFFEGTCPEDWTSYGILIARKGDRITPNSLVEIKRTDVEGNWALTGGMELTRDPTVSEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQVRSLNATVIAACAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQEYEAAELTKTDVALADDGTVNSDDEDYFSGETRSPEAVYTRIMMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNKTYSNDS - ->sp|Q0GBX9.1|NCAP_RABVD RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDADKIVFKVNNQVVSLKPEIIVDQYEYKYPAIKDLKKPCITLGKAPDLNKAYKSALSSMNAVKLDPDDD -CSYLAAAMEFFEGTCPEDWTSYGMLIARNRDKITPYSLVEIKRTDVEGNWALTGGMEMTRDPTGSEHTSL -VGLLVSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFVKLVEHHTLMTTHKMCANWNTIPNFRVLAGTY -DLFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMLEGRQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHLFNLIHFVGCYMGQIRSLNSTVIAACAPHEMSVLGGYLGDEFF -GRGTFERRFFRDEKELQEYEAAELTKTDVALADDGTVNSDDEDYFSGEARGPEAVYARIMMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNKTYSSDS - ->sp|Q8JTH3.1|NCAP_ABLVH RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDSDKIVFKVNNQLVSVKPEVIVDQYEYKYPAIKDQKKPSITLGKAPDLNKAYKSILSGMNAAKLDPDDV -CSYLAAAMELFEGVCPEDWTSYGILIARKGDKITPATLVDIKRTDIEGNWALTGGQDLTRDPTVAEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFAKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRVEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVISTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRNEKELQDYEAAESMKTDIALADDATVNSDDEDYFSGETRGPEAVYTRIMMNGGRLKRSH -IRRYISVSSNHQSRPNSFAEFLNKTYSSDS - ->sp|Q66T65.1|NCAP_RABVB RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDADKIVFKVKNQVVSLKPEIIVDQYEYKYPAIKDSRKPSITLGKAPDLNKAYKSILSGMNAAKLDPDDV -CSYLAAAMQFFEGACPDDWVSYGIMIARRGDKITPNSLVDIRRTNVEGNWALTGGMELTRDPTVPEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREALLYFFHKNFEEEIRRMFEPGQETA -IPHSYFIHFRSLGLSGKSPYSSNAIGHVFNLIHFVGCYMGQVRSLNATVIATCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQEYEAAELTKTEMALADDGTVNSDDEDYFSSETRSPEAVYTRIMMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNKTYSSDS - ->sp|O55611.1|NCAP_RABVN RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDADRIVFRSNNQVVSLRPEIIADQYEYKYPAIKDLKKPCITLGKAPDLNKAYKSVLSGMNAAKLDPDDV -CSYLAAAMQFFEGTCPEDWTSYGILIARKGDKITPNSLVEIKRNDVEGNWALTGGMEMTRDPTVSEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFVKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQIRSLNATVIAACAPHEMSVLGGYLGEEFF -GRGTFERRFFRDEKELQEYEAAELTKTDVALADDGTVDSDDEDYFSGEARGPEAVYARIMMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNKTYSSDS - ->sp|Q82994.1|NCAP_LBV RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDSERIVFRVHNQVVSLKPEIISDQYEYKYPAITDGKKPGITLGRAPDLSTAYKSILSGMNAAKLDSDDV -CSYLAAAMQLFEGVCPEDWISYGIHIATKGETITPDVLIDVTRTNVEGNWAQAGGTDMTRDPTIAEHASL -VGLLLCLYRLSKIVGQNTANYKTNVADRMEQIFETAPFVKVVEHHTLMTVHKMCANWSTIPNFRFLAGTY -DMFFARVEHLYSALRVGTVVTAYEDCSGLVSFTGFIKQINLSARDALLYFFHKKFEEEIKRMFEPGQETA -VPHPYFIHFRALGVSGKSPYSSTAVGHHFNLIHFIGCYMGQVKSLNATVIQTCAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKEMQDYADLEGARVEASLADDGTVDSDDEDFFSGETRSPEAVYSRIMMNNGRLKKSH -IRRYVSVSSNHQARPNSFAEFLNKVYSESS - ->sp|Q08314.2|NCAP_RABVF RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDADKIVFKVNNQVVSLKPEIIVDQYEYKYPAIKDLKKPSITLGKAPDLNKAYKSVLSGLNAAKLDPDDV -CSYLAAAMQFFEGTCPEDWTSYGILIARKGDKITPDSLVEIKRTGVEGNWALTGGMELTRDPTVPEHASL -VGLLLSLYRLSKISGQNTGNYKTNIADRIEQIFETAPFIKIVEHHTLMTTHKMCANWSTIPNFRFLAGTY -DMFFSRIEHLYSAIRVGTVVTAYEDCSGLVSFTGFIKQINLTAREAILYFFHKNFEEEIRRMFEPGQETA -VPHSYFIHFRSLGLSGKSPYSSNAVGHVFNLIHFVGCYMGQVRSLNATVIAACAPHEMSVLGGYLGEEFF -GKGTFERRFFRDEKELQEYETAELTKTDAALADDGTVNSDDEDYFSGETRSPEAVYARIMMNGGRLKRSH -IRRYVSVSSNHQARPNSFAEFLNNTYSSDP - diff --git a/seq/clusters_seq/cluster_203 b/seq/clusters_seq/cluster_203 deleted file mode 100644 index 3e2aa32..0000000 --- a/seq/clusters_seq/cluster_203 +++ /dev/null @@ -1,746 +0,0 @@ ->YP_010087631.1 putative L protein [Strawberry cytorhabdovirus 1] -MNFLEKLEDDISDTQAIVSETSLADYHLRNPLKEITWWDKERLPTRLYKDRLKIREIFGDRITLGHPSSL -HNLTSSKLPNMDMRQMECALGDLALRMLMDNGILPRGSRAPIKKVLNAAREVPRMYWNGMRFWGRTLLDL -NANASNRRKPAGEMTIPSVLKFDDERDKIYIFRTCSVLSLRSNTPSQNILIDGDWMRMLSDLYTQRWLVR -CGSAIGRCFNPEHYPTAEVIERIYQWGDSVIADLGNTGYKVIKTFEPLMIGYIQCGGEGSMVPPDRFLRN -TMKDLREADVRFSTHAKKLLQCIDGITNRHHLVQLYGLHRSWGHPTVDSKAGMEKLMKIGKKDIIKDNRL -SVNAGRMFKLLFCKEYRAKFGTYPRIVESGTLLSTEILENDPSAVMRGSHDLEAWDRIRFQRTYKLPETF -NLSMIVADKAISPTRSELARIIETKGTVMDSDLRRGVKRWLNDRSLNAIEFLENINDGIFPKDHLIIGLT -PKERELNSVPRMFSLMSHLLRVYVVVTEQLLSDHILEMFPQITMTDTLLDLTRKMYSTVKNQSSLKKNMS -KERGWASRTVCISLDFEKWNGHMRKEMTQGVFTAVGELFGLPELYNMTYDLFSKCYYYLADGSYVPALSE -NKELIVDEPLSFENHRGGMEGLRQKGWTLYTVCGLEVILSKYDCEYKIMGMGDNQVLQITVYTKFTDHTG -RASDAGVRQMADTLQKIFSDLVESFTASGLPLKPLETWMSEDLYLYGKVPIWKGVPLTMDLKKLMRTFAM -SNEGIMTLENSLSTVSSNALAATQASPCIWPAYVIYVLMTSLCIEDYLDYHPILGRGMLQEKETWKKNDS -WVLKSRTSSNVYYQLQPESWKLGREKLRLLISMIPKSINGYCGANIYEMMVRGFSDRLSRDLSYINNIRL -SSATPAWIVEYLERWISPIYMPEKNYSLLLEDVCAVNLISPRSPLAGVRQVVSRYLSGGMRIENPEFMQL -VRAKGDEDSRYLAECLCESDELHVRLLHDVFDATVYGYVDSILSKVTKTSTIQKLAIQSDSVRVFETIES -DETNYFRFFKWRCFAAGEPLVSNCATTQCKVMREKGWGKTIRGVTTPFPLSYLIETDCGEQGVCDCQDGY -LSIHYPDKQLPNEMWNGDIGGNPPYLGSMTKEKVVVGSGGKIYSAEPLIRRPVNLLRTINWFVPPDSNMA -KVIEKCASSVTDLEIDRFKGMVEGTAGTEAHRYQDSSTFRGALSSSNFLFSTRCHISTDNLTRYSKGAEN -TDFHYQAAFCVLLELSNMYVTNKLREDDVICRFKHFKQCCYECIHPVEDDFIDLPNAKAVNVIPSYVDNE -YLYTTSSKIRILERISPLYDRTADIVTSEEYDGMGGAEKSRLLHRTIADRIIKDIIKGRGTDTHVTVGLT -SVKSYERTMYFKLDPKVMIDTVMSGLREICGWTVLRSHPERTKPSPNEISRVLINCLNSADTHCFLGLGM -FYCWEETTRRFSRAYAEIVSPSTNPISVSSACEAIRCSLLSLVHRDLGIGLSRSSIILHDEKNETLVYKI -LLCEQIRTQTKCVSCIAETCRLETGDLWRDFRLLSCQYGHRVADWVTAAPWRKSYITVERLRKDCCSSSW -KDRMPELILRGCTPENNFLVRLIGSHQIRFRGEAVDYQEPRGQLERISSGITIFHLATLGTMPTSTIYKM -QDIIGGMGISLKNKTCLCLGDGLGSSSTVLRSMGCSSVISSTMIEPDDAIPHSYAHNVLPVPQVYGVSGI -EYAKSIHRHNDIRNANWERDWHEELSSVDVVYSDAEIVNPEEHRTRYDVLSKILLSGRREITVVKDYIWS -VEELANKIGVVHSCGSSQWSLVTTRFRSHHYPEVWWIVRDSNPKKGRTPVSPIALNILNIWENIKRDLSD -DSSGYVLTSEENHRIAMMTPDLVTGKMISYVRAWSTFQMIGNLLPSGGTYTHVYYYILKTKRPPTVKQSD -GPDKKLYLSDYLELRSKLFAIAASMIAPIDIRLKMIKESCKWSLTWENYGSQWEVKLVRSEETNEPECDI -IKYVPTLSVLMKQQGLLFEKVGSVVGFEINRRREEVCFPVTQLSLTLRRSQIGTDK - ->YP_010087295.1 replicase [Raspberry vein chlorosis virus] -MDYASLQHGEGGGIRKEDSLPDFHMRNPVTQLEWFTDKTKLTSRNKFDLGVVNSVGKHRVKIGSHIDLYL -DRNLDMTMVPLSPLLESLADVSLRLSIDTDILGHNRSFDIDGVIKAMLKTDVIYWNGMRFWGHILKIMNA -LSSNRKPPTGVVVVNGTGRVNAGSYTVIVMKTSVMITRDNTEYLLYDGDWIRLTSDVFTQRFLFLTGANA -GSIINSTQYPTIEMIQTVMEWGDCVLRDTGNNGFKVIKTYEALVIGVIQMRGKGDIIEPDRFLKNTLQDL -FDDSIELHKRAKEIVSILMSVTNIHHLTQLYGMHRIWGHPLVEPLKGMQRVINIGRKNIIKDSSLASDAG -RMFKLIFCREYKAKNGHYPPVLSGPELIITELSEGDHRATSKNGHPLSDWDRVKFKQLFQLPETFNLSMI -VADKSISPTRSELRTLIRTKRTVMSPDKRRGVKRWLEDTTLNPREFLNSVNEGLFPDDHKVIGLTPKERE -LNPTPRMFALMSHLLRVYVVLTEQLISDHVLKYFPQITMTDTLLDLTKKMYSTVKHQSIQNRQRGKDNLW -ASRVICMSLDFEKWNGHMRKEMTSGVFTALGDLFGLTEIYNVTYDIFKECYYYLADGSYVPSCTTDNIGL -SINEPQSFINHQGGMEGLRQKGWTLFTVCALEVVLSRHDCSYKIMGMGDNQVLQITLYTNKVSEGGLPSE -EGLSEMRKNMALIFSELVETFTDAGLPLKPLETWMSEDLYVYGKIPVWRGVPLPMDLKKIMRMFPFSNAD -VMTLENALSTISGNALSSTQATACIWTPYVMCVMMHSMCIHDFLEYHPLLGEGLSNSVDGDNSWILRTSE -GETYNFELTKQKGLTTRHLNILMQIVPRVLTGYNGINVLEMMMRGFPDNLSRDVSYLSAVKASCKTPGWL -RELLDNWMCPIYMPQINYYNLVTDVTAVNLLSPRSTSSGIKQVVTKYLSSGKDIKNEEFKNLMQTKQKKH -EEFMAELICSGHELHIKLIHDLVDATIYGYVDSILSKVVKTNTIQRLAMQHSEEDTFKTIYRDEKTYYKF -FKWRSCSRGEAPSSLCPTDICREMRLKGWQKELRGITIPHPFSFMKEAECDHRMGCDCKDGYMSIHLPDG -QLPDDMWMNSIGANPPYLGSMTKEKVVVGAGGKVYSGEPLIKRPLNLMRSINWFVPEDSVTAEMIKILVS -SVSDIDPEPYVGQSEGVAGAELHRYKDSSTSHGALTSSSYLLSTRYHISSDHFHRYCRGSENTDLHFQAL -YCFLVEMTNLEIIRCLQTNALIPRLKHYRQKCERCIKPVKEDFVDLSNDRILTAIPSRKSNIYLYVNADK -IRILEDRSPLSKISPKDISADTYFTMSSYRKRLWLQDIIADKIINSIIGMGEVDKTMDTGTLTNPSFERT -MYTKLDPKYILDSVMGGLSICAEWRWLESTGHHKMCTPGELARNMITIILAADTAGLMGLGMFYCWEESS -RSLLLTYPEMIPPNTNPMTFESCCSALKTSLVSLSLKRRWSTATRFYGLADDEKSSLFVVKKMLYQKYGV -ESKCIACKRLISKLQKQDIQRLRFLICRSGHSTFGALVDIPWASSYVTIERLRKDCDSYNPTGQNNIRVN -PVYQRCCPIEIVELLRDSDIIARGEQDDFISRDYLTPFPVKHHEFNLYHLYTLDTLPTKTKSKYMSLIGP -LRKNIVGKNIFLLGDGLGSTSALLSNMGAQHVITSTLLDPGRAIPQTYVHNVTPNVITTCNSRSVDSSTM -INKVNDIIDDRWSDSWRDPTVDCDVCISDIEIFKREDNDSRRKMLISILSLRSWKMCVIKDYIYNASDMS -NSIKIVSSSLPRRWMLMTTPLRSASYSECWWVIHDSKQVNHKLTLGVDPSKLNRHWNKFLELMTTNPEVE -DISDSVRNYLLSFMDSNDAIKMVSYLRAWMTIPSLGLVYPDKGSFTRVYYYLKKNKQPAYARLRRSENRL -KLYDKDYYKLRDILLSIALAMCSTDSIVIREMSQSDKWFLEWEEKEVGHWDCVLMKSAQSEGLIAKIEDY -IPVLRVMMEREGLVFSKLGDTVRFTWLPKSERDKDYVRFPISRTASLKSRVLVHDKRSRMHV - ->YP_010087164.1 polymerase protein [Yerba mate chlorosis-associated virus] -MDDIFDDSIFDDDSEDMQARPLSSIGDFHLRSALSLPEVDELECGRGRRNEQVAWRRYKESFPRNNYKTC -YPAKWLGLILNHAGSDFMYSKLQEIDNKLNDVALQLVKDEVAALKKMFPPGSTVHHMLHQTTEDHTIMTS -EYSLLKWKLMGAVQYCNSLDHDRDTTGLNCFFSELRGIKVLQVCDKGGILLCGELVFLYYGEFLELLPNS -NLMVNNNTLEKIVFYPQRIDFLRMLSDKVAERDNIVVATKMGNISTPLCYPPLYVLRGVWNIFDQGLRED -GNEFYKVVKVYESIIVGLIINLKPSGYIPPGNDFVENTLKDIDEKGKRYVQALIDLLSYEQMNFQQLSQL -HGLFRTWGHPIIDPIAGVQKMKKIGQSKKIINPLLPIMMDRKFKEKFYMSYYKKNQREYPPHSFKPFDSE -SYLHKQVSENRKINKEDPAYHPQDWDQIVCEKTYSLPETFNLSLLVADTAISPTREELKRAETNLRGALN -PEWRRGVLKWMKDGVINCLLLLSLINICSTGLPLMFLIIGLYPKEREVNPVARMFSLMTLLMRAYFVITE -DMLSKHILPHFKSISMTFDLLKLTKKLTGISRRQQKQLTEGRTFCINIDFEKWNLNFRRETTGPLFESLG -RIFGKKNLYNRTYDIFYNSLMYLSDGSYMPLFDDQLRWKHNEPEKAFLHHLGGNEGLRQKGWTIGTSLML -DIVCEEHKIDYQLLGQGDNQVLMVTIYSDLAKTLGIEHNAVKLDIQDKLTKFLNALYSKSNLIGLPIKPL -ETWISDQFFAYGKVPIYEGVAGYQSLKKISRCFPFSNDDIMTLDNALGAISASFSSACMADTSPLPHYFI -SKKQSLLAAYWFQKFHPLKSEPVDISKPPKFERRVSSYSMLKSYPLGQFEMLEMIIQIPKILGGLNTHNL -MGCYARGDPDPLTSAYCWMFQRKNYYFKQGDLRKSQLYENWIAPLINPVPRYNLLFSDPYAANLWSPFST -KTIAQQMIRAQVHQLAGDSQFALWFKELMRISSYEGRDRLIELLESPDRVFPRLGYAVIKGSLYGYSESV -VSKIDKTVTLSRMTTRTDDVVGTIWIGELELWRYFAWRSGLFLAREHLTACSREYAQIVRNQSWKKEIYG -VTSPCSYEVIKRSDEQCSENFIRVRTEVKSLLYRDRLEMFNGSAMPYLGSKIKEDAGFQVTNVAYGTDPL -LARPVKLLNLLGWIIPSGSNFEELILRNLQSVTDFPLQIIHDNKNLLTDDPEQKFTEGIGERGAMWNFIF -SIGSHCSANTLQWTDAGGKGDIVLQFQSLLCMIQFSFLNMLRGNCPTFQEDWITDCHRCITKTCKEQFDI -DKPVPDDLFPDVQHNPYLFVPKDKVSIVVNKTLQLLKSFKTMSIGHLKSTVGSRTASRIVNFHLARDVCR -QLGKHSSNIIDLEMAVLSADSFYALKINPGMLFNYIQLILWASLYKKGEHEGRQPNWIIDKGLLIKKLMK -TPTAGFKGLLILFYSESVLNWLRTQSFFSPPHSYPVDISHGLVSMKTTLINYMRESTECQSQFVPLVLHE -KLQSYAELIKVKIFNNLVHNQNRCKYCFFEIASIDPEDIRDIERWCHIKCESDHRVIPDSVIIKLSYFAD -SFKNIVDESPQFNFDRSTNLSEAKALKKARQKILKEIGEILRNNGIFMTLHNLLHDHTPVDLVHSRPFST -QWDYCYFLSSPITLPTRGYPRVVEVIGGRLSIIEDCVHAVIIGDGFGGSSLAVKELLPKTMVSSWTIFDS -QKGLPNADHLATPPSHFKSLLPIQNYSSKWYGDIFSEEFDKAWKQDTGLMACDLLISEIELNHYPVNKSR -QLLRDLVHKVLTLRRKFIFIKCQIYEPREMRDLLEIISEHQVTWELISTPLVNIDYGEFWIFCQVTDRRI -DLNKIIDDFPSMCIKKMEEKRNTIELQVNHKEYESFWTKYHDIASELEIPDSPLELCVQDTYGWFYTAGI -TAWDRYDYTKMFNEVRQYKQPEIVMDKGKLKNQYMYSGDSENLRIRLIAIALSMLESLESIHDHLWGNKI -LILKWNIIKQEGRDGKLGFSYPFLVKNNGEYKEMEKNVLCKYISIIRYIKHKLDNKISFEEIGDSIRFTY -VPKRVSQEGNQVKKKLYWRISKTMAEYINMVDDN - ->YP_010087157.1 putative polymerase [Papaya cytorhabdovirus] -MSFFFEEGLVDEDGAQVFMRGLGDFHLRSAITLPKLAQLEKGHGRKREVKSIAKVKEKELFAADPGRFLS -LFFQLSLQSFGNDVQIPYLNELVELLEVEHSAIRPLTQDQDIWDQCLNLLKTGEIRTNYGAGKLMFQLLV -VYSMILSSGRTWEQGGKVFQKEKDGTPWISIFGVKVWMLGEFIAIPYSESLKFGVEDPQDINDCAQELRA -DRRTLPKQDKLLILTLDMVRMAADKFSERENIEIANHLGSSVCPIEYPPPDILGQLLEIMDRELWVFGNH -FYKFIKTYEAIVTGVVLDKKRSPFSGKVSFLNSTLEGLEPEFQEISQKLVNLLWDGSLTIHHLTQFFGLF -RIWGHPVVDTKKGIEKVFQIGGVKKRIDEETSINAGRKFKEIFFTNYRSKEGVYPNCDVTEDNYVCNSIR -DNSVINLKDISYNILMWDSVKIKKTFEIPKTFNLTMIIADKAVSADRDEIDELKGDATKILDPFKRRGVL -KWIKQGMVNCKALLHYVNYNRCGLPKKCRIIGLYPKEREINPVARMFSLMSLMMRAYIVVTESMLADDIL -RYIPGVTMTFDLLELTKSMVQATRDMFYQGEHSRTFSINIDFEKWNLNFRKEATYHVFRNLGELYGLTQL -YNRTYDIFRNSVLYLSDGSYLPVFDENLNLVDDGKGLARTGHLAGLEGLRQKGWTVFTAVVIAQVCDKLG -IDYKLMGQGDNQVLVVKIYSSRARFLGIEHPRSVQEIEEKMEQLVKDLSTEFLKLGLPIKPLETWVSDQF -FSYGKVPIFKGLPCAMSLKKIARVFAFSNEDLMTTDNALGAIIANSQAAAMSSLSYVVPYIMLKLQSLMC -IGLFQSYHPLVGDAPTDFNKPGHFKTRRTAEARPKSYVNDSLTDPSLFRKLAVSVPKIFGGFNSANAFHM -IMRGFPDRASMDASWIYAMVQQTDTLFTRSLMNMLRVVFDSVKDYSYLIQDPESINIVMPPSTKTIIKNM -VRDQLRGIKGNTEASELFFNVLDHTSRTHVDDLCVRLTSNDSLNVRFLHDIMGSTLFGYCDSVSSKIDKT -VTLSRMTSFSHNVVGVLAKGEKNVWDYFKWRILHHKGRKVKETCPTEFVRNIRDEGWKKHIIGVTTPFPF -HFLTTDPSLAIQERVGSYLYAVISEYALRDPGYLFLTLGKSLPYLGSIIKEKLQRSEVSLAFGSEPLISR -PVRMLRTIGWFIPESSNWAELLKQLVRAVADIDPEILITVPDEVKGSMEHRYQDFSTSHGTFWGTLFGPC -THVNMSTNKWTNYQKDGVNFTLQYQAVLCFMQAVIINNSTTPSATKMMKFYECCSQCIVPVETDFPELQE -PVPPSSIPSEPSNPYLFLRKDDVDLIQEEIFTSLKGRSKVSTENFAENSRDMYWYLVESLASKFSYSSST -AGNIQSDPLDMNSKNKSSFKKIRPADLFRVVLRNCWVNYHNENNKGRRTPSWERDKIYISRQLSLLPPRS -FSCLGGFFFWDSSLKALRQKKWFTPPVSYPASPLGIAISCKSSLIAMANLLTSLEVPISTTIDVTKERDS -VVTKNQLCFAVTGEIRSKCTSCAMEAFSSRITRKTTQESLVKLKCEWGHSVYDNTSEKKKKFTLLSLDQV -ADLLTPPIVMDMRAERHEPVLFPPPFLLFSSASSPQYQDEPTICEHVSFNRDLLEAKLISFVQIPTRSYG -RVYEMLSGLKITQRRDRVLVMGDGFGWSSVVTKMLNPLSEVWSWNLIDISQSPPHILHQSSPPTHYKFKM -EIKNELSYSTISDIFHDGFEKSVADLDDIAGRFHLVISEIELCHNPVEGDQSYVDVIKRLMLMRSNSFLV -KVVVQDSPRLMEIVNFVANHFSTWAVFNSLESPAYSGIFWLLLTGLKKPGHIRYLSDECAELMIDSIKEQ -LLSPKIELMGMLRPFSKSHELLALSSIGTSSYDTIASMTNEWLSQVGMVSWRSSNFTRMLNELRHFKLPY -ELLDLEGRDRKYYFKEDHERLRLRLICLAGSMLTSQYLQAEFLTTRWKLIWSTKGSKGMLDDDYYYNPVL -TANGAEEDNDIIRVLSRYVKSIQLCRITTPRAIVFSKFGKKVTFQYIPHKELSGNDDGTLSGASLLCFPV -SKNSSYRLVFIDD - ->YP_010086794.1 RNA-dependent RNA polymerase [Cabbage cytorhabdovirus 1] -MNFEIESEGIKKKLYDPLPDFHLQNPLYSLNERIQAWKNKKRLPVRLYKSFHALSSASNNLEEGNPIDLL -NLFLSLPVISVHPLPAYALDDCLYKLRLDSKSDHNISTKLLKDCWLKVCANFPARLWSSMREGQLLLSGL -NALSSRRPLPSGFVKISETLYERRTHSVRWLISPALLGVCVNRSNKIKLIDSDWLRSVVDVCTERFLVCL -GLTLGSSYNPDHYPTWDRLLPVLTWGDDVLKKHGNSGFKLLKAFEAIVLGVLQTKSTGTFVDNHRFLNNT -LADLIDENVDFGVRAKSLVSYISQFESPHHLTQLYGLHRIWGHPIVDPAKGMIKMITIGQKDIIEPGPLP -EVLGVHFKKMFIKSYKDKNGVYPKVRDQGTTLEQLLLGNQDWGLCSSLDLEGQWSTLKFEKNFEIPESFN -LSMIVADKSVSPTLNELKANVLTRGTVMNSELRRGVLRWINSESIDPREFLKDTAEGKFPHDHKIIGLRS -KERELNPTPRMFALMSHLMRVYVVITESMLSEHILPHFPQITMTDDLLSLTKKTYTTVRNQSANKAKSRL -MASKTVCMSLDFEKWNGHMRQEATLHVFKSLGELFGLDDLYHVTYDIFKESYFYLADGSYVPVFNANGDF -APEPPLSFTGHKGGQEGLRQKGWTIFTVVGLDKICSEHNCSYKIMGMGDNQVLQLTMYTNKVDIQGAPTE -QGMKDMQRTLKNVFSDLLETFNSLGLPLKPLETWISEDLFVYGKYPVWKGVPLSMDIKKIMRIFSNSNQE -MMTAENMFNTVGGNAQAATQASPVLGVSYMIGLFMMSVCADDLLDYHPLLGEGLLKSLADQPEWVITIKK -EKPRKTKLGTWRPSRMLIRRLMSMVPRVLGGYVSFNLFGLLMRGFPDPVSLALSQLYAYGVKDADDDALL -VILKRWCDPIYMPDVSLKLLIEDVSSVNLLAPVTPTAGLRRVVEKYLAEGRAIRNQEFKDLMKTRVADVE -DVIADQLCKGDTLHIRLLHDIMEATIFGYIKSIVSKVTKSSTILSIAVDKSTRDPLAKVIEDERNYFKFF -VWRCSVEGGNLLPDCPTDLAKSMRLNGWGKSLIGVTVAFPLSYLTKTTCYRKDQGCNCEDGFISLYLPDN -NVTPKEWNTAIGRNPPYLGSMTKEKLVISSGAKIYSGEPLIKRPIELMRVVGWFVPENSNTADIIRSCVG -AVSDLNPNEFRGITEGSSGSEIHRYKDSSLKHGALCSSNYLYSTRYHVSTDTFSRYAKGSQNYDMMFQAN -LCYIVESTHLDVIDLNASGEITPKVTHFNQSCYHCISPLDETFHDLRDGEAARVIPSNKTNRYLYVRAEK -VSLKLYLPPFPGWITGTMPPSEMLRMTNLERKTWLVESVVDNICIDIQGATSESNYLTTALLDIKEHNRL -FYLSVSPEAVYNTLCSRMIMMAEWRCLTSADWKVPTASSIERAITAMIGDMNTDKLSGMAGFFTWPEAMK -RYYFANEIVEPDTIPVNVASACKAIKISLINLLSSGKTFDVKRQHYILLEETKTSKLVLKMMIYEILRAR -TSRWCCLRVIGNMSPYDLANSNASLLTCHNSHVLFPKGVEGMINRAQITMDALKKSIDSEEIQDTYHVRR -ELIQPLLETSCRIGFSSSLFRAQLILNPNVDDHRFVSVRPSGAGDLCKLFSLPTGAEYKYTDIVSFLIHD -IKRLKGALVLGNGLGGSSNVLRRMWRGKLIISTLVDTGESIPQAYPMCNNAFKFSLDPDVDSSSMINRVN -DISHEGWVKSWANIIPPDVDFCVSDIEIINPTQNYDRNQVMRKVLALKSWKMLLLKDYVYSASELESRLS -IALQHSDDVKMLFSGARQRVVPEFWWVIKKMKQDPLKAEIGYHKRVMQEIWSDFEHHLNYSDPLIPEILT -NINHILMDEGRLAAITGRIKLWATLPIAGSALPHKGSYTRFFGYLQRGKKPADIRWEKDDLGRKLYMSDY -DQLREILLGLAASMIAPLDKRNQFVDSTQYWALIWKPSRTGIWVPMLKKMERSLAPAHIYDMVPGLSIMM -RRDRLLFKEYGNTIEFKPDRNRKKLCFPITKAAFIRIEREL - ->YP_010086418.1 L protein [Maize yellow striate virus] -MDFLDDEVKKRMRGLGDFHLRSAIVPIDINGLKEGKGRARELKSFKKIIRNYPDVYKGDPASVLATILKS -SSSGELRSILMFRETVELINAEYKGLGFLTANQDPMEKLLMLLMKVRGIQSRYASSKISYQRLLMCANAM -NSQREYGVFNLDEDVVDGAPSLTVNGITVGIWGELITVSSPDMPISLFSLDVLRMIVDKLTERDNVIVSS -FIGEKIFPQIYPRVETISKIFDLFDSWLYLKGNSGYKLMKTFEALITGVILQTETSPYHNSSDFLENTIL -GLDHGEQEVARRLVDILTDANPTPHHLTQIMGLFRLWGHPEVDAKKGVEKVRLIGTKRKYISEHASLIAG -RKFKEIFFSEYFRKNRVYPACEVNPDCWLHEFITSSLGINFKDPRYHISDWDSVEPKETFSIPTTFNLSM -IVSDTAISPTREEIYECQEQGVPPMDPFIRRGVLKWMRDGLIDCDSLLRGINDHPKGLDKNNRIIGLYPK -EREMNPVARMFALMSLKMRSYVVITENMLSENILPYIPGITMTYSMLDLAKEMIRSTRAQSKQGDFSRTF -CINMDFEKWNLNMRKESTYYTFLEVGRLFGLPQLYNRTYDIFRNSLIYLADGSYTPSLDDKLESLEKDLD -LCYTNHIGGFEGLRQKGWTIFTVVLIAHVCDQLGIQHRLMGQGDNQVLMVTIHSKNARLCGIDSQASKNE -ISDKLKILIRRLQETFDCVGLPLKPLETWISDIYFSYGKMPIYKGVPLCSSLKRISRIFYFSNEDLMTID -NALGAVTANSQAAVMADTHPAIPYFIAKWQHLQCLSVFSRYHPLVGEPMMSQESIIKFRMRLDKGEMWES -ETDQTFPRETLLMVYASVPKTLGGLNIVTYFDMILRGYSDPPMKDYQFLTLLTEGATGNLRRGLINWGKI -LLSPSVDYLHILQDPTSLNILCPPNSNTLIKRMIHNTIEEMESESEFAGWFKELISISAEKKMDGIVEKL -TSGEEINARLCHDIMGATLFGYSDAIASKVDKTVTLSRMTVAQQDVVSSLVNGEKRIWNYLLWRTNYKGG -QPRISECPSKQIRHLRDKGWKKKIIGISTPYPFHYMGGLGDTDRPDSYVEVVVNDLVLSHPDRLLLTSGA -SLPYLGSVTKEKLHNTAARAAYGTEPLITRPLRLLRTIGWFIDEKSNLAKSIENLLKAVTDLDPEEVIVI -PEHVKGSMMHRYVDMALKHGSLWMPSFGPPSHLSISTNYFAEYAKGSKNVTMHFQALLGLVQYSVINRCM -SEEPRKILRYYRTCKDCITPVDEPSEDIRESLSEQEIPSRPDNPYLYVKKEKIALIHKRDLDNYESISVV -NKSHLIQYPYIGRKFLTEVLAVRAASSILYQSSNDESGVFDISGVSRTIFLKLDIRVFLLTITKMLWIGA -SASARVASGEIYPSWEFMKGSLIRRVWEAPASAFSILSGLYLWEENINEMVLYPWAVMPLTYPATPNSVC -IAAKNSLIGFMSRLDSIVLSSSWLVSPLIKVDPGVLLKSNLLFYKGKIKSECNSCVLVGMSCRVKSSSDW -SEISRIKCQAGHSVFTLKGWRMIRKVVLDIETLADHVPTATRRVKERLPRGFPLSLKSGITYELTSNMNF -PGSSNVSYQMPGEEFLLRPKYDYSDNYEYSLPTRALYRVYEGISEVTDFLNYGSVLVMGDGFGYSSLVCK -MVNPNAKVLSWTLIDTSSGIQHCLRLSRPPTHYMSNLEIDNSPTIELPSDVSDARFPDSMKYIVREKKID -IVISEVELLYSGVSQSSEELIELYWGTESKCILHKYQFKSFSEIKNLIEVVRGYYNSWKIFISGSVNFKN -GSFWILMWDKRLEKLANTKLTYNSVISLYDTLRFNHERMLMVSPGEYCHDINKYLDNTFLKHYREQLLDI -WFQDASIIHWRSRDFTQMYYALRTGKRPASVLDTQGNTVYYLHSDMAEKIFERLLTLALSLLKPENRNIG -LLDLPWRLKWEKSQETVSVRSTYRWAPALIQDGNTSIPDRVKSNIARYLPGVAAVRRSLGSLYEVVPDRV -RFQNPGRTSKVLKFEITRGASFTTPY - ->YP_009553369.1 L protein [Rice stripe mosaic virus] -MDLDDGGLWRRARGLGDYHLRSALVTPSLERFRSRKGRHREQLCFDRMKSLGWMLRWVDQGKLLGYLMVE -ANKSLPKSIANQELLVETLKLEYGCLRQIIMTDGDLHDQVISYLDRKSISTHYTHGREVFQEALIVVMAL -SSGREPPDHVNNLGYEMLNEELEVPVVRTYGVIFYLFGDLIYVKYPEEEGMITLDMFRNLTDKFSERENI -MIATQLGTEILQEIYPSETVLKTVFSLWDKGLLKEGNDFYTVVKTFEAIINGMLIKNNDGTYYDPSAYLR -ETIMGLPVRLRDYAQTLVSYLDSLPFNPHHLSQIGGLFRLWGHPIVDPNAGVRKVRLLGTADKMNLTHIP -TLAERKFKEIFYLSYYEKHRVYPNHNLNGEIEGSYLLSQLAQMALVNPKHANYSLVDWDSVNTLETFPFP -KSFNLSLIIADKAVSPNREEWLELRRKGGTQMDPHIRRGPLKAMKDGVIDCEKLLRKINRNPSGLAKKHR -IIGLYPKEREENMVPRMFALMSFDMRAFSVVSESMIADHIIPHIEGVTMTKSMLALQKEMIISTKSQASS -TQSDSITFCLNIDFEKWNLNFRRWMTEGVFREMGRLFGLPEIFNRTYDIFKKSIIYLADGSFDLLLTDEL -EIEPGTNPDCAYTGHVGGFEGLRQKGWTVFTAVLISSICDEMGIKTHLMGQGDNQVLMLTIYSRAARETG -DLKSAPAVLEITNTLETFKSRLVSLFANLGLPIKPLETWVSEELFAYGKTPIYRAVPLAMSLKRISRVFA -FSNEDLMTLSNALGAISANAQAASMCDVHPMVSYAIAKWQHLCCAIIFSNYHPLCGCAPHVSGEEWAIKL -RLPSGKKIQETSDEEIDERDLMKLIVTIPRSLGGYNTLTLYEMIMRGFSDPVSRDMCWLFAIASESTGKL -RGYLINWIKPIVSPEVNAQHLIQDPTALNLLVPPNATSVIKRMIDKSLEALPKRSQFAVWFSEILEISGD -KEISKLAEALTRTDSLNPRFLHDILGATLYGYCTAITSKVDKTVTLSRMALASKDVVGALIKGEMRLYSY -FGWRTLQSRGLPLTTRCPNKWVRIIRDISWQKQIKAVSVPYPTHFLSEDISETDRPDSWIECYIDDAPTS -DRSCMIYSTGKALPYLGSVTREKLTTRGAKAAYGTEPLVLRPINLVRTIGWFIEEDSNFAELIKMLLGAV -TDLPIEEVLYIPEMVSGSMAHRYLDMSTQHGSLWMPLYGPATFLHMSTNTFVQYLKGTENVTLHFQCVMG -LIQYAIVNKALGECPTKRMTRFFRSCPDCIVPIDDTLEDLPEVPSLDLIPERTTNPYLYLKKEKIELNVR -HRLAVINEIRVIARDEIEETPLLANNTLEDVMSLRAAQRIFYTAKGKEAQWDLQTADREGYLKLDFVSVI -RKIIGHLFVMESETLKAGSDYPTFQLQQRRIMRRVRQSDTSNFVHLGGFFCWQESIARIQKLKWSVMPAT -FPITAESVSLAAKMSLIGAMTSGLTPKRCDGVLAENLIPDVTRQAKNIICLDKVFQSRCDYCYTAAMTNR -WSDSINSDSIFNMRCERGHTILSPRMLHQLRRTILPEGALYTLAVRVVHQSPPEASQPLQITPCRRERYQ -ILSEADMPRQTGYSGVYRRPTNQICMYTEVELAKKYRLPTNSLYRILDLHDCFFSQKLMDTRGNILVVGD -GYGYSSLLTKCLNPDRNVVSWTYIEPSEALPHSLRISKPPMHYKADVQIDSSPSIDRISDIHNSSYPDEF -AKVVTKNGITALISDIETVYVSGEKSVASLINLAWNNQIQLGALKLELMTDPLEKVVEYAHNAYQRWELF -TLPGANLGGGVLYIGFYGRREKLTGYIIPHSGVETLMDRLATEVDEDRGRLRAEDRDRWEQLDTMESKIH -LLQYHRMRLDLWFGSAYLSGYLSEDMTEFFYSVKTSYRPPAISWEKGNQARYLYGSREEILFMSMITVAL -SSYLEDQDVLREFLSSSGWKLQWKKDKKNPRHWSPYLERSDSQLVWKKNKAMIFKLVSVLRGSKPREEYK -MGKASDSIVFRYIPRKVREGEVPLCFPISKVASMSV - ->YP_009664709.1 L protein, partial [Strawberry crinkle virus] -ADVYTQRFLFCIGAAIGKSINPMQYPDLKTIEAVMRWGDGVLRVLGNEGFKVLKTYEAIVIGVIQEKGER -GIISPGLFLSNTLQDLFDDKMIYKEYAEYLIEIIRPVDNLHYLTQLYGMHRIWGHPMVDSKKGMEKVISI -GRKNIIRNSSLSRDAGRMFKMLFSREYRSKHGMYPAVHEYPSELVTKLLENDGSATNVKIHRIEEWDRVV -FKQMFQLPETFNLSMVVADKSISPTRSELATIIKTRRTVMSPEKRRGVIRWLEDTTLNPREFLKAVNEGN -FPDDHKIIGLTPKERELNPTPRMFALMSHLLRVYVVLTEQLLSDHILKYFPQITMTDTLLDLTKKMYSTV -RHQSVLNKKRGSDRTWTSKVICMSLDFEKWNGHMRKEMTLGVFTPIGDLFGMTELYNVTYDIFSECYYYL -ADGTYVPDIHKGDLLVSEPFSFQNHQGGMEGLRQKGWTVFTVCALEVVLSQYDCTYRIMGMGDNQVLQIT -LYTGKLNEDGSASEEGLDEMKETMRRIFEALVNTFTSAGLPLKPLETWMSEDLYVY - ->YP_009177021.1 polymerase protein [Alfalfa dwarf virus] -MDFLVFNDDEHQAKVNKAPLPDYHLRNPIKPLLWLHDDSRLTSRSRVDKAYLKKLGMGRIELGSHIDLYL -TENMKFDTESTLPLEDAIADLIVRLKIDVDVMGKSRGVSVDSIAEAVVSAPKHLWGGMRFWGRVLSIMNA -RSSKRPLPPGHSEVDGVVRVRNGALHVTVLNTGILINRDRSKYLLYDGDWVRLAADVYTQRYLYITGAYV -GLHINPSQYPSIANVESVIRWGDNVLSELGNEGFKVLKTYEALVIGVIQLKGEAGVVNPARFLNNTLQDL -FDDSPTYHRHATEVVKILQDISNLHELTQLYGLHRIWGHPMVNSAKGMEKVMMIGQKEIIKDDRLAADAG -RMFKLLFCKEYKAKHGQFPPIREYPSRLVTELLEGEGSAVYLGRHSLEDWGRVKFQQCFQLPETFNLSMI -VADKSISPTLSELRTLIKTKRTVMSQDKRRGVKRWLEDTTLDPKVFLEQVNRGEFPDDHKVIGLTPKERE -LNPTPRMFALMSHLLRVYVVLTEQLISDHILKYFPQITMTDSLLDLTKKMFSTVKHQSVQNKKRGKDKTW -ASKVICMSLDFEKWNGHMRKSMTSGVFTALGDLFGMSELYNQTYDIFGECYYYLADGTYVPGIEGDKLKV -ENPSSFTGHKGGMEGLRQKGWTLFTVCGLEVILSQHDCTYKIMGMGDNQVLQITLYTNKVDNAGLPTDEG -LREIKLSMNDIFHKLIETFTDAGLPLKPLETWMSEDLYVYGKVPVWRGVPLPMDLKKIMRMFPFSNADVM -TLENALSTVSGNALSATQASACIWTPYVMCILMNSLCIKDFMTYHPLLGEGLMSGMTGQEGWTLRTASGE -RYDFSAAGLTLPGIRSVSLCMQMIPRTLTGYNGVNILEMMMRGFPDNLSRDVSYIMSVIKSAAAPHWLAE -ILRRWVKPIYMPSINYATLVQDVTAVNLLSPRSPSSGIKQVVTTYMSSGASIKNEEFKDLMLTKDKLHEE -FLSELLCTGDDLHIRLIHDIFDATIYGYVDGILSKVVKTNTIQRLAMSQSSKDIFDVIESDEKTYFKFFR -WRCAQEGDTLRSDCPTEMCREMRQEGWKKNLRGITIPHPHSFMKETLCDHIIGCDCSDGYMSIHLPDGQM -PNDVWLNSIGGSPPYLGSMTKEKVVVGAGGKVYSSEPLIRRPINMLRSINWFVPPESNTANIIRMLVASV -SDIDPEPYAGVSEGAAGAEVHRYKDSSTSHGALTSSSYLLSTRYHISSDHFYRYCRGSDNTDLHFQALYC -YLVERTNLEIVKAIRNQDPIKRFKHYKQCCYTCVKPVQDDFIDIPDDRVLTAVPSRKSNKYLFVKSEKIR -ILEDRSPLSRLNAIAMETQEYDAMSPRMKRLWLQDIIADKIVSDIMGQSSEDTSMEASLLDVKSFERTMY -LKLDPRYIIDCVMGGLSLCAEWRWLESTGHQKDCTGGEISRSIISIVRGASSMSMIGLGMFFCWEDSAAR -FANTYPEIVTPISNPLTIETCCSAVKTSIISLALKKRWSLPDRISIIADDEKSSMWVMKKLLYQFRIKQD -KCLDCRRLISKMTSTDIKRMRTLQCRQSHKPFDRAANTPWRSSYVTIERLRKDCDSTDIQSSKAVKGHMK -KMKANFCTALITKGDIIMRPEVATPPADDPLPSFPEDFHRFSEYHLMNIDTVPTRTKSKCTVLLNPYLDD -IKGRDVFVVGDGLGSSGVVVALMGAKKVITSTILDPERAIPHTYVHNISPISLKFDLNDVLDTKTMINKM -NNIMDKGWTDSWKGTTLDCRALVSDIEIFRREDRAMRSRALRQLLSLKEWSFAVIKDYIYTCSELAERIQ -IIMTSSPSSWRLITTKLRSANYPECWWVLRNSHVIEDKLGLSIETGKLNRVWNNYLEVMTQEVELDEMTE -REEIELRSLHGPEVLSSMLSHVRNWLSLPIVGLLYPNGGNFTRIYLYMKKYKQPAWVKTQRVDSALKLYD -KDYYRLRDILLCLALGLCESDQDVVTELKRTENWYLNWVEKETGVWDCELTRSTTSEGMRADIEDYLPYV -RIMMIKDQLCKYNICDTIKFRPSSRGDQDIHFSISKTSYMKPSKLIKDKRMFGTD - ->YP_009362280.1 RNA-dependent RNA polymerase [Colocasia bobone disease-associated virus] -MADFDPFDEIRRPMKGLGDFHLRSALVPINLERLKLGEGRWRERRAFTQMRQAFDDISVGDPAKLLSEIM -SISRYEEPENLPLIEETVELLQMEIDGLSFLTSPGDPLAKVLKRLKDPQTRPTSSLYGPKILFQRGLMCL -NAMTSERDYSFFDLPHDESGFPMIEIGPLRLILGGDLFGSIIGMKSLEIFSLDVFRMIVDKLTERDNVLV -ASLLGRSIFPQVYPNPRNLIEIFTIFDEWLMAKGNAGYALLKTFEALTTGVLLSHESSLFNDTELFLKNT -LIGLEEDERKVALSYITLLRSIKNLTPHHITQIMGLFRLWGHPVVDAKKGMKKVRTIGSKRKIISDHTAK -TAGRKLKEIFMSEYYRARHQYPEYSVLDSDNWIIKLLETQLPINLSDPRYHLSDWDSIQIEKTFVSPNTF -NLSMIVSDTAISPTREEILKCQAEGKPVTDPMVRRGVLKWMKDGVINCDELLKEIDKSPLGLDLNNRIIG -LYPKERELNPTARMFALMSLKMRSYVVVTENMLSENVLRYIPGVTMTYNLLDLAKEMIHSTSSQRLQGAT -SRTFCINMDFEKWNLNMRKESTQYVFENLGRMFGLPSLYNKTYDIFRNSLIYLADGSYTPKLSEGLECME -KDPDLAYTGHIGGFEGLRQKGWTIFTVVLIAYVCDELGIHYKLMGQGDNQVLMVTIYSQHAKLSGLDSPG -SISEITSSLQTLQTXLIEVFGDVGLPLKPLETWVSDTFFSYGKFPIYKGVPLCSSLKRISRVFYFSNEDL -MTVDNALGAVTANSQSASMADVHPAVPYAIAKWQQLQCLNVFSKYHPLVGGPPAVLGAPFSFSMRTKAGE -FLKFYGEPIENQRSLIKVFATIPKTLGGLNIITYFDMIMRGFSDPPCRDYQWLSLLVDHSPADIRPYLRN -WHHLLLNDSVDYLHLLQDPTSINIFSPPNSNTIIKRMIQQTIAKLPKDSEFASWFQELMGISADKAVEPI -VEKLTASAEINVRLCHDILGSTLFGYADSIASKVDKTVTLSRMTVGKEDVIEALVMGERRRWNYLGWRST -SSAGEAPPSSCPSFNIRYYRDKGWKKRVLGVSTPFPFHFLTRDRSKTNRPDSYIEVVMNDVGVGNTNALL -MTAGSSLPYLGSVTKEKLQASATRAAYGTEPLIARPVRLLRAIGWFIPEKSNWSVTLRNLLLSVTDLDPS -VVISIPEHVKGSMAHRYLDFVLKHGSLWMSLFGAPSHLSMSTNSLTEYARGSKNVTFHFQAALCLVQFAS -LNINMSDLPRKVMRFYRGCPHCITPVDEPNNDLESPVLPEDFPSRPGNPYLFIGKEEIELIHSQTANLFE -EIKRLGKDDMIKSPGLSRTLLTEVVASKSAQAILRNDSSRQDAGLSDIGGMSRTVFLKLSVRNVFVTTLK -FIWIGLSSGEAVVESGAYPSWNYMKRSLIRKLWETPLASFTLLTGFYIWEEMINEMKSIPWVVMPLSYPL -TPSSLGVAAKNTLIRLAERVQRFPAQGSMLITPLISMNFGMVLKHNLLFNRGEFRSSCPDCIVSGMTSKL -NQRADWKSLKAIRCDAGHHVFLLNSWRRLQRVMLDLETLGDLVPSIPRTSKRKTNLVIVPPVVNQVNEIF -STSTPKSYADTPSRLPGDEVINAPNMIVNLELKFSIPTKSLYRVHEALSHLDDCMEYGSILCLGDGFGYS -SMAAKVISPGASVYGWTMIDTSTSVQHCLRLSRPPTHYRLDVGVDSSLSIDRVSDVYSPRFPKEFQEVIR -EKRVDLVISEIEFRYSGKRDDPDLMIGLFYQAGVLRFLIKVEVDGLEIINKYVNCAVKMYSFVEVFETSL -CGLHTGDVWIHGEGKRDAPQMNRCLDQNSTIQLYQSLRHSSSVDDQWDISESVKIINRVFSKTSLTVDIQ -IMLNIWFQDGHIIHWKEEDFSRLYYGIKTGRRPKEVLDTTGHGVYYLHFDQSQKMFIRLMTLALSLMENR -YTISSILNSQDNQWVMKWRKQGIHGGSRHNYQWSPELIRVRYKSRFWSSAAVNEIKRYLPPVKQIRPIAT -RQISEVPDRIRFMYVRRETQAMKLCFPISKLSSYTVAR - ->YP_009506261.1 polymerase protein [Cacao swollen shoot Togo A virus] -MEDDIFDDFRTFMRGLGDYHLRSAITPVRVNEMKEKIGRKRELKAFNRILSAFPNIIAGDPSLLLAKIFR -RIKKEEPREIPMLDETLRLLKAEFKHMGILSVKGDPIDRLINMLDNRAIIKSKHTQLKIKFQRLIMCANA -INSLREHKIFGLDEDESTGQPCLQLGQMVIIIWGEFITVRSKRVIDSIYSLDVLRMIVDKLTERDNVLMA -TYIGRKIFPDVYPSVSLIQRVFNVFDRRLLASGNSGYTLLKTYEALVTGVILKKETSQYIRSEEFLSNTL -AGLEAHERDVATELIQLLSADDVTPHHLTQVMGLFRLWGHPEVDALKGLEKVQKIGTATKFISEYSSLLA -YRKFKEIFYMEYFKKNRKYPECVVSEECWFYENVVTGAVINTKDPRYHLSDWDLVESKETFSIPTTFNLS -MIVSDTAISPSREEIKKCSDEGRAAMDPSIRRGVLKWMKDGLINCDGLLRGIDKKMNGLDIEERVIGLYP -KEREMNPVARMFALMTLKMRSYVVITENMLSEDILPYIPGITMTYNMLDLAKEMIRATRSQGKQGEFSRT -FCINMDFEKWNLNMRKEGTYYVFQELGRLFGLPTLYNKTYDIFRNSTIYLADGSYNPKFDSDLQWVDDKS -GKSYEGHIGGFEGLRQKGWTVFTVVLIAYVCDSLGISFRLMGQGDNQVLMVTIHSKNARLSGIDSQASIN -EISDKIKSLITRLQEVFDGVGLPLKPLETWVSDIYFSYGKMPIYKGVPLCSSLKRISRIFYFSNEDLMTV -DNALGAVTANSQAAVMADIHPAVPYFIAKWQHLQCLSVFSRYHPLVGEKMDWDIDSYRFTLRMKDGTIWD -DEQPNGLSREIRLMVMASIPKTLGGFNIVTYFDMILRGYSDPPMKDYQWLLILTKGSTGALKTALKNWYR -VVLSPSIDYLHLIQDPTSLNLLCPPNSKTLIKRLISETITSLDTQSEFAGWFQELMEISAEKKMETLVKK -LTSKDEVNVRLCHDILGATLYGYSESISSKVDKTVTLSRMTVTSKDVVSRLAIGEKKTWNYFLWRTNYDQ -GEDIVSLCPSEQIRHLRKKGWKKDVVGISTPYPHHFLGGEDETDRPDSYVEVVVNDVVLSHPDRLILTTG -SSLPYLGSVTKEKLHSTSARAAYGTEPLITRPIKLLRAIGWFIDEESNWAESIRNLLKAVTDLDPGKVIS -IPEHVKGSMMHRYLDMALAHGSLWMPSFGPASHLSMSTNTLLEYAKGSKNVTLQFQAMLGLIQFCTINRL -LSSEPRKTVRVYRTCPHCIKPVDEPKEDLPTPLTEEDIPSRPDNPYLYVEQEKIALVHKRELDNYESLPI -IHRSYLLTYPHIGRRLLTEILATRVATSILYNSQEAESGMTDIAGLSRTIFLKLDIYTTFLTAIKMIWIG -ISSTPRVMSGEVFPSWSFLKKSIIRRIWESPPSSFSILAGFYLWEETVRELSDLSWAVMPLSYPATPTSI -GIAAKNSLIRLAQKTMEIKINSSWIVSPLVKVDPGILLKSNLLFWRGGFKAQCSDCIQAGMSCRIRSYYA -WDDLSRIRCNQGHSVFTLKAWRMLKKIVMDIETIGDTIPSVPRKQYSPEVSRLSLTRSEGTSQILVKSSE -IQGYSEFPHQVPGNEYLERPDLNVQLRYSYSIPTKALYRIHEGLSALESLRDYGAILVLGDGFGYSSVMC -KFMCKNSRVYSWTLIDASSGVQHCLRLSKPPTHYSRHLKIDITSTIDLLSDVSNPNFPKTLSTFCHDKQI -DLIISEVELLYSGAIMSPSQIVMMYYQSGTTRILHKFDFKEFSEIVELIQCMRHCFSDWVIFQTPSVGFH -SGELWVYMNEPRQTIINHKYLSYNATIAIYDKMRFAHENMGRFHPGEYLDRVNQYLDSTYLASFREQLLD -TWFQDATIMYWKEQDFSQLYYSLRTGKRPSFVYDTQGNTVYYLHADMTEKLFERLMTLALSQLEDLQNQL -PVILSRNWKLKWERSQEKVGVRSSYKWAPALSTEEHTPIPHRVKTSILSHLPGVMAIREGRSKYTVIGNK -IRFTHCSQSPRELKFAISRMATFSSPYA - ->YP_009352236.1 L protein [Tomato yellow mottle-associated virus] -MDFISKLMDDTEGLAQSISETPLADYHLRNPLKRLEWWDKQFVPSRQHKDRLRIRQSYPRAEPLKDPSSL -HRMTHHIIPSMDSNKFSEALGDMVYRLRMDNGILPCGSRLPIKSVMRAASSMPKRYWDGMRYWNKVLYYL -NAFASNRRCPDGSSDVPAVLSLLGEGNKLLVYRSCVLLITEEMKYGAVLDGDWIRMISDLYTQRWLVRTS -ASIGRAVNPYHYPSDEVIEKIYHWGDQVLTDLGNEGFAVIKVFESLIIGYLQCKGVNELVPSDRFLRNTL -KDLRMSSTTHSEYASRLLEIMSRVENKHHIIQIYGLHRSWGHPTVDSEAGMMKLMNIGKKNIIKDDTLSL -NAGRMFKLLFSKEYRAKYGAYPKIHDSGTLLATELEQNDPSATSKRLHDLKEWDRIKFRQAYKLPETFNL -SMIVADKAISPTRSELVDCIRRKGTVMDSDLRRGVKRWLNDKSLDPIAFLQQVNDGLFPKDHLIIGLTPK -ERELNRVPRMFSLMSHLLRVYVVVTEQLLSDHILEMFPQITMTDSLLDLTRKMYNTVRNQSSLKKRHNKE -KGWASKTVCISLDFEKWNGHMRKSMTSGVFTAIGDLFGLSELFNVTYDLFSESYYYLADGSYVPSIDDDG -NLVVDEPKSFINHQGGMEGLRQKGWTLYTVCGLEVILSKYDCEYRIMGMGDNQVLQITVYSNIVDESGKA -TAEGLLQMSGILDDIFKDLVRSFTESGLPLKPLETWMSEDLYLYGKVPIWKGVPLTMDLKKLMRTFPMSN -EGVMTLENALSTVSSNAMAATQASPCIWTAYCIYVLMTSLCIDDFLDYHPILGDSLYKTLDKDKHWVLRS -HRFSAIRYQLPKESWTMTRHSLRRAISIIPKSLSGYCGANIYEMMVRGFSDRLSRDLSYLNNIVNGGNTS -GETATLINNWINPLYMPECNYSMLLEDVYAVNLLSPRSPLSGVRQVVQRYLNSGMKIENPEFMQLVRAKN -DQDCRYLAECLCEGRELHIRLLHDVYDATIYGYVDSILSKVTKTTTIQKLAIQSDSVKVFDTIMKDERNY -FAFFVWRCFQSGTPFETRCATTQCKLMREQGWKKIIRGVTTPFPLSYMQETNCSTATGCDCADGFISVHY -PDKQMPNESWCSDIGGNPPYLGSMTKEKVVVGTGGKIYSAEPLIRRPIRLLRTINWFVPQHSSMAKIIEA -CVSSVTDMETSKFKGMEEGTAGSEAHRYQDSSTFRGALSSSNYLYSTRCHISTDSLVRYSKGAENTDFHY -QATFCVILELSNMYLSNKIRGEEVVARFKHFRQCCYECIHPIEEDFVDLSSEKALSVIPSFKDNPYLYTP -SSRIRVLERISPLYELSDRELSHEDYDNISGRRKAVLLHRSICDRIIKDIVTGQKSETHVSVGLTSVKAY -ERTMYFKLDPRIMVDTVMMELRRVSKWTVLRSHPERTDASDNEIERVMIDILNSADTHGFLGLAMFFCWE -ETSLAYSRIYPEVVPPATNPISVFSACESVRTSMISLVSKRIVTGLKRSEIILHDEQNEKLIYKFLILDD -LEKATRCKACISMIERSELSDVWRTLSFYSCHYGHKMSDWMKKSPWIKSYVTVERLRKDCDNISNERAAD -RIRLNSSTKKFNFNITLLSSDTLRIRPESNDKAIPPEIVSDVRSGFTVYHLATVMSMPTSTSYKMQDIIG -GCGIQILGRKCLCIGDGLGTSSTVLSALGAESVTSSTMLEPDEAIPHAYSHNVLPVPQFYGIGNIDATKA -ANRHNDVRNQAWSSDWAEELRSCDVLYSDAEVVNPDDHESRFELVKKIALSGRRPVTVIKDYIWSAEELS -NKIGIMWASRARRWELITTRFRSHNYPEVWWVLHDAVSPTSDTILYPIPNKVQCLWIGIERALKSHEYSI -SGEDNALISSLHDRQMLYKMISRVRAWAVFDLIGSLLPKNGSYTSLYYYILKTKRPFSIKASESSSHKLY -KSDYLELRGKLFAIAVSMMADVNDRLSMINRSHHWSLIWKKYSDKWDVSLEEKEEINEPPCDVIKYVPAL -NLMMKEHGLLFKNCSNKVEFRCTRSREELCFPITSLSERLRREAPRKRRTDKITT - ->YP_009301361.1 RNA-dependent RNA polymerase [Wuhan Insect virus 6] -MDFLSLINEDDCSQQPKKSVPLPDYHLRSPLKPLDWIHMDHNRITSRNRLDLNYLRNYVRHFIVGNPIDL -ISAFNDKIPNQNTESWSKVTGDLLIRMGIDRDILPEETHPDFEGIRDIICLLDNGLWNRMRFWNSVLLVL -NALSSSRPVPEGFIMSRGVVKITCLKTTEVIVMRTCIVVRCGRGSGTIYDGDWVRMSSDLHTQRFLIFLT -SRLGQKVNQFVYPGVDLISSIISWGDDVLFNFKNEGYKLLKTYEAIVIGVLQARGNDSITNPGAFLGNTL -TDILMENPKMHNFAMKLVRILETVSNPHHMTQLYGLHRAWGHPIVDSTKGMDKVIRIGRKDIVMRTDLSE -DSGRMFKRLFAKEFKARNGMYPPIYNGHTLLHTKLQEGDSSATDLRVHPLIEWDRVKFKKVFDIPETFNL -SMIVADKSISPSRTELVSIIKRKGTVMDAGKRRGVNRWLNDNSLRPKEFLKDINEGKFPEDHQIIGLTPK -ERELNPTPRMFALMSHLMRVYVVITEQMLSDHVLNMFPQITMTDTLLDLTKKMYVTVKQQSNVGRKTGVK -HRWASRVVCMSLDFEKWNGHMRKTMTKPVFTSLGDLFGLSELYNMTYDIFEGSYYYLADGTYIPKVDSSG -NLEVTEPYSFTGHKGGMEGLRQKGWTLYTVCCLEVILSKYNCTYKIMGMGDNQVLQITLYTNKIDLRGSP -TVEGLSDMKTTLGLIFDDLINSFTLSGLPLKPLETWLSEDLYLYGKTPLWKGVPLSMDMKKLMRTFPWSN -ADVMTLENALGTISGNASSATQSTSCVWLPYLIGLIMSSLCITDFLSYHPLIGSSLSRRGSELGHWILAM -SKLNKKKYRTEMRGLSTDHIRLVIQIMPRTLTGHNGLNLYEFMMRGFPDNLTRDVSYLTAVLKCPSAPKW -LKSVIENWLSPIFMPDTNYSTLLQDVTSVNLMSPRAPTAGIKQTVERYMTDERLIKNKEFRDLMATKVKE -HEEYLSECLCEGKILHIRLLHDIYEATIYGYVNSILSKVTKTSTIQNLAMAKSSFDVFDVICRDEVNCYN -YVIWRSSAKGMQLSLSCPTEFCKEIRLIGWGKDLRGVTTPYPASYLIESNCDVTDSCMCDDGYLSVHFPD -DQITNEMWMFDIGGNPPYLGSTTKEKVVIGTGGRVYSSEPLVKRPINLLRTINWFVPPDSNAARLILSTV -SAVTDLDPHPYQGILEGTAGSEIHRYRDSCTSHGTLTSSCFLFSTRYHLSTDQLTRYSKGAENTDIHYQA -VFSWMVELCNMYVTNRIRGGDVVTKFKHYKQSCYRCINPIPEDFIDIPSGKAADTVPSQKDNPYLYASKA -TIRISEKISPLFVMSPREFSYDQYQSMTNMQRLVWLHDIIADRIVSDVVSNSSDDTAVSIGLHDVRSYER -TMYLKLNPKYLINKVLSRLLIVAEWGVIKSGHVGLSMDDDIKRTLINILENANPTSFLGLGMFYCWEESS -SRMDVYPEMIRPNTNPISSASACEAMQKNMIGLSLGRKWKDSNRIAILADEEKYNFVIVKMFLYNYLKSH -SQCNLCRRLTSSLQIGDVRYLRTLTCDRGHVVFDRMIKVPYSASNVTVERLRKDVSNTMRANRSRARITN -PMKGQYSIEMVSSTQMGRQYSSVRDQDNGILEYDYPQDYHRYSIYQLIMLTQLPTNTRYKYSDILARVGK -RIVNSNVFCLGDGLGSTSSLLWELGASKIICSTLLTPDDAIPQTYVHNVPPMLSDLGDDVDFKHMINLPN -NILDSRWHDSWKSVVSNCDMVLCDVEILGRNKAKERLILMEKILRINNWRTAVIKDYLFSPDDMCNQIRR -IASSQPKGWSLVTSKFRSAHHPEVWWLIDRSREVTGSISVGPFVGNVLSTWWTVRRELMESYNDDILTST -DMKTLAFINSGHNARRMETYVRSWLIFPVIGSMLPQKGSFTQLFYYLKKTKRPGKIHTVRGNSNLRLYSS -DFSDLRIKMFALAVSMLADINDRLTMIKESSEWDLVWYEDKYKDWRPKLTRSLDNQLPRCEVINYVPMLS -SIMLREGLIFRSHTDDVMFRPDRKREELCFPISVVADKNKVAYYPVVRQ - ->YP_009300875.1 RNA-dependent RNA polymerase [Wuhan Insect virus 5] -MDFLDFADDFRNEDGAQRGSRTDQLPDFHLRSPLKPMTYLYDDTVRSLRNQEAIELLTEIRRDLKEGDPI -TLRSMFTDYAEVESTAGAEDSISDLLVRIERDSRLNFPLPVEMMIDAVRQFSDNFPIILWSRMRLLSKIL -STMNAISSRRSPPGYYIKIKKNLYATMIRNTRLLMTSTILGVEIIDESTGHGFVLCYDSDWIRMISDVYT -ERFLLSVGSGFGHHMNPDHYPSQSIIEKLWLWGDSVLAEFGNDGFKLLKAYEALCIGTIQSRHSSQIIGC -RTFLENTINDLLLEDSRYEHHVILLLELFESVESPHHMTQLYGMHRIWGHPIVDSTKGMSKVMLIGRKEI -SRSGVVAVDVGRSFKIMFCREYRKKNGVYPKFVDNGSQLCEAMKKNDGSILDSRLMSNAEWDELSFDKCF -EIPETFNLSMVVADKSISLTRSELRENVRRRKTVMNQEKRRGVLRWINDQSIHPREFLKQVNDGDFPDDH -KIIGLTPKERELNPTPRMFALMSHLMRIYVVVTEQMLSDEVLGMFPQITMTDSLLDLTKKLYSTVKPQSD -GASVRRRNKTWTSKTVCISLDFEKWNGHMRYESTYHVFKALGELFGLPNLYNRTYEIFQESLIYLADGSY -LPRYSGNEMIMEEPLSFTGHKGGMEGLRQKGWTIFTVCGLNMVCSKYNCTYKIMGMGDNQVLQITLYTYK -VAASGEATEAGSYEMKLTLESLFADLIYTFRQLGLPLKPLETWMSEDLYLYGKYPLLRGVPLSMDLKKIM -RMFHNSNDDVMTIENGMGTVYGNAASATQLSCCSIVPYMVGMFMASYCASCFLEYHPLLGHGILKEVEAK -STWDLFMPGKPRQFIPIGRRTFNIQCLRLLMQLVPRTLGGYNSLNIFEIIMRGFPDNLSRDLTYIYQIVR -LDKRDALDEALTNWIHPIYMPDKNFKLLIEDVSSVNLLSPRSPSSGIRQAVEKFIGSGRTVKNLEFKDLM -NSKIKHQADMLAEKLCSGSDIHIRLMHDIYASTIIGYVDGILSKVTKASTIQRLAVATNEKDIMQVVVND -EINFFRFFLWRSSTRPNNEISECPTEMAKRLRSESWGKSLRGVTTPFPMAYLSPTRCGETGNCLCMDGYI -SIHLPDSQETNVRWNRTLGSNAPYLGSITKEKVVTGVGSKVYSSEPLIRRPLNLLRAINWFIPPKSITAS -VIRELTHAVTDINPTSYEGQSEGTAGAEVHRYRDMSLKHGALSSSNYLYPTRMHISTDNFVRYSKGGDNY -DVHFQACLCSLTEWCNMRLMSWLKYEEWIPKVIHFKQTCYCCVTKLDESFVDLPDPLTNRYIPSRKTNPY -LFVSGKLLTEVHKMRPLLSRSLSVMPVEEYVRMDCKDKMRWLVDVVSDKIYLDIISQRGEDNSFSLCLTD -VKAYERTMFLKLSPREVYLCLIDKLLRHSDMTMTKTVSGRRAVGKIQVDRALALIHSCPVSSFLGLGMFY -SWSETIQKMHFSSFCVMPNTFPITTESMCMAARTTLSGLIEVQWGRRDRFSNVLVYDFAKALHIHKLFSW -TVISRYSKCNDCIVAIADTDSGAFGTDELTIMCCSGHDAMELIRSKCKISYVTLERLRKDAENAVSIGCE -DIASKINFSYPEMSRMSRTEITTLIDFRRMRHDLVAWRCDMTLPSRSLVFCNQNRLVTTSSLHKVLSYPT -STAYRYVEILSAYGTVIRGRVMVVGDGLGVTSELVSIYCHASRVTISTLADTGLAAPQTYPHSVQPIVRS -SPYKIDGSTMIDKHNDILSESYTEEWRPVMASLNSLLSDIEIIGPERSDDRTSAIRKLLEICDWEGFIIK -DYIYSLREFTDRCSIILPKMNGDIKLVTSKMRSVRAPEVWWIGQNSKAEYTVRRCYDPRSIRELWDDCVR -SLLHDTEYQDPTVLEDLTRRVLSRANLASVHSVVLEWSSLAVVGKMLPSDGSYTEAFYKLYKGKRPKIVS -DDRDNADRILHLSDYYKMREILFGLAVSMIADIKMRQRLINESELWVLDWEPNQKYTVWVPYLYKSDGRF -DLPQINVADYVPYLSMFMAKKRLLFREVKKSIRFRSVGGVRSHLVFPVSGSAYATFTGFNSARRKHND - ->YP_009300689.1 RNA-dependent RNA polymerase [Wuhan Insect virus 4] -MFYLVKMDFHDINDESEFRQKYDGLPDFHLRNPLRSFPHHIIEIKNKSTDKRVKSSLEALRGVRKTLKGG -RSHDLWTDAHSYLVDDTLGEIGISLQDTIERLSLDSYLSHYFAVDTMQDLIMSSSDRLESKYMINMNNFQ -IFVVIINALSSRRPIPEKYKEHQRSGVVHFSTISGNQVLLTPSFLGLIKYEYPTEIILYPSDWVRCSSDV -HTERFLLNLTANIGNELNREHYPPWSVISKILTWGDQVLIRYGNPGFKLIKTYEALCIGYLLKSGDDKIV -DNSAFWMNTIRDLVAEDDRWCLDLAELTDILHSLDSIHWFSQVYGLHRIWGHPEVNSDDGMTKVIKIGRK -DITLSDKTPKEAGNHFKKMFMSEFLKRNRRYPNLYYSGSDKEVVSMIDTNNPNLVDLQKGYDNVFEEITL -SKNFQIPETFNLSMIVADKSVSPTVSELKRNIKSRKSVMNSELRRGVLRWLNDDSVDPREFLIKVSEGKF -PEDHKIIGLTPKERELNPTPRMFALMSHLMRVYVVITESMLSEHVLPMFPQITMIDSLLDLNKKIIGNSK -KQLSNFKDGRRVGKKTICMSLDFEKWNGHMRKSSTYYVFEELGNLFGMGNLYNATYDIFEQSYIYLADGS -YVPSIDHEGELEVSEPRSFKGHKGGMEGLRQKGWTLFTIVCLDMICKRHNCIYNSMGMGDNQILMLTYYT -YKVNLDGSIKESGIQEIKEKHKRLFDDLIDVFGELGLPLKPLETWASECLFLYGKFPVWKGMPLSMDMKK -LMRVFSFSNMDIMTIENMLNTVAGAAAAATQSSPCVLVSYLVGIFMLCFTARQILHYHPLMGKSVIVKTY -QYDYAMRKGKNIDARMWKVAISGRLRSFGLNNQQVGISDLVLLMITVPRSLGGYVTYNLPSIMIRGFPDP -LSRDLYSIMGMIRSSLNLRISAYLENWSRVIFMPEINYKMMMEDILSVNHLNPITPMSHIRQTVAQFLSS -HRKVKNKEFVDLMAVSKDPNKELLSNMLCSGRKLHIRLLHDIYESTIIGYVDGIISKVTKTSTIANLAVR -HAQKDINDAISNTEINYFHFFNWRSFHFGQPWETDCPTRYAKHVREFGWKRELKGVTVPFPLSYMDRTAC -YSNLGSTCKCNDGYVSVHLSDDVNYNEWNASIGKSLPYMGSMTKEKVLVQSGIKIYSSEPLIKRPLRLMR -AINWFVPEESETAKVIEKCVQSVTNISTEQFKGVEEGTSGAEIHRYNDSSLSHGTLTSSNYLYSTRYHMS -TDNLFKYSKGGENYDVHFQSMLCLISEFINIEVFQITSGFRSTRLPRVIHWKETCNRCTSVVDDSFSDIK -FCGSWRYIPSKPQNRYLYVEEDRLSYNKEIRPFLSMMNRYMTEGEYNRMSDVTKYCWMIDSISDKIVSQI -STTQDAGELDDQVDLHGGDIFNRVAYLKVDPHDLFEEVSNKLIYIAMGSILVKGDFILPNAEALKERCQT -MISDCPVGNFIGLGLLYSWAETRQMMDLDANWEPDDDPPSLRGACEASRRVLYSYISNIPAALRPRKTFN -LVDEMKDIGLCYKLIACQKLMSYEGYCSYCMIELMSAPVREYTEQILHAICKRGHHVLERYRLKVNLSYV -SMDRLRKDCMAHVRSIKEVTKKMNRQINLKYDHLITLFRTTEHRPKFYRVSDNALRIASDARLRFDSESM -LYSETDIMKVITKPTSALYKYSEIFNHLRLKEFKSDILLLGDGSGWTSSLLRENIHHNSTIYVSTLISSE -SVMPQTMPHLFDHTMNLSNIDKTSMVNKVNNILDDRWGEDWEPIASVCGILISDIELIGENRYQDRELMF -RKLLSVTSWKIACIKDYVYNYRELSRRVDIIVRGSVSFELLTSVHRQRKLPEVWWVIKNSTLIDMGFDDN -ETCLNFVPEIMTSFWSNTMSGLCKRNAIEWPLTLEIDDHLLNKSMYDSMIQRARIYCTVPTVGCLIPHQN -NFTRVLGKLQSGFRPAKVSFSRWERSVKLYKSNEIKLTEILIIIAASMISNVKTRVDFINNARFMMVKWS -GKPNTNTWMPRLEMNTERNPGFEVNIDYIGVLNRFFNKQQLCFREVSFPIKFAYSKKRGTLCYPVAKNMV -IRLPK - ->YP_009177231.1 L protein [Barley yellow striate mosaic cytorhabdovirus] -MDLLEDDVKRRMRGLGDFHLRSAIVPIEINSLRAGIGRARELRAFRRIMQYHPNVFCGDPASTLAQILRT -SSSGELKSILMFKETVELIKAEYKGMGFLTSNQDPMERLLMLLMKVKGIRSRYASSKIAYQRLLMCANAM -NSQRSYSVFQLDTDVVPGAPSLTVNGLTVGVWGEFITISSADNPISLFSLDVLRMIVDKLTERDNVIISS -FIGEKIFPHIYPKVDVITQVFDLFDNWLYYKGNSGYRLMKTYEALVTGTILKRDKSNYHNSEDFLENTIA -GLEQDEQEVARKFVHILDDSKPSPHHLTQIMGLFRLWGHPQVDAKKGVEKVRTIGTKKKYISEHASQIAG -RKFKEIFFSEYFRKNRVYPACIVEEDCWLNEFIVQNLGINLKDPRYHLSDWDCVEPRETFSIPTTFNLSM -IVSDTAISPTREEIFSCQEKGIPPMDPFIRRGVLKWMKDGLIDCDSLLKGINSCQTGLDKDNRIIGLYPK -EREMNPTARMFALMSLKMRSYVVITENMLSENILPHVPGITMTYSMLDLAKEMIKSTRSQGKQGDFSRTF -CINMDFEKWNLNMRKEATYYTFLEIGRLFGLPQLYNRTYDIFRNSLIYLADGSYTPKLDDNLDSLEKDQN -LCYTDHIGGFEGLRQKGWTIFTVVLIAHVCDQLGIQYRLMGQGDNQVLMVTIHSKHARLNGIDSPGSKNE -IAGKLQMLLRSLQDTFSEVGLPLKPLETWVSDTYFSYGKMPIYKGVPLCSSLKRISRIFYFSNEDLMTID -NALGAVTANSQAAVMADIHPAIPYFIAKWQHLQCLSVFSRYHPLVGEPMMEHEGTVKFQMKLDKGEKWES -ESDQIFSRETLLMIYASVPKTLGGLNIATYFDMILRGYSDPPMKDYQFLNLLTEGSTGQLRQGLVNWRRV -LLSPSVDYLHLLQDPTSLNILCPPNSNTMIKRMIHSTIEGIDSNSEFSGWFKELIGISSEKKMDGIVEKL -TSGEEINARLNHDIMGATLFGYSDAIASKVDKTVTLSRMTVAQQDVVGSLVAGEQRVWRYLMWRSNYRGG -EVRISRCPSKQIRYLRDKGWKKKVIGISTPYPFHFIGGIEDTDRTDSYVEVVVNDLVLSHPDLLQTASGS -SLPYLGSVTKEKLHNTAARAAYGTEPLITRPLRLLRTIGWFIDENSNLAKSIENLLRAVTDLDPSEVIVI -PEHVKGSMMHRYVDMALKHGSLWMPSFGPPSHLSISTNYFAEYAKGSKNVTLHFQALLGLIQYSVINKCM -SGEPRKILRFYRTCPDCITPVDEPKEDIAEILSDKEIPSRPDNPYLFVKKEKIALIHKRELDNYESITVI -HKPFIIKYPHVGRRFLTEVLSVRTANAILYQTSLEDSGVLDISGVSRTIFLKLDIQAFFLTIAKMIWIGA -SSTARVASGDIYPSWAYMKDSLIRRVWEAPSSAFTILSGLYLWEENINEMVRYSWAVMPLTYPATPSSVC -IAAKNSLIRFMGRVENVSIASSWLVSPLIKVDPGILLKSNLLFYRGKIRSECNSCVTVGMSCKIRSSSDW -SEIAKIKCKSGHSVFTMKGWKLLKRLVLDIETLADNVPTAPRKTLPRADRKFPLVAPYGITHELANQSTF -SGASEVSYQMPGEEFLLRPKDDYSDKYEYALPTKAIYRVFEGVSEVPEFKNFGSILVLGDGFGYSSLVCK -MINPDAKIISWTLIDPSSGIQHCLRLSRPPTHYMSNMQIDNSPTIEYPSDVSDPKFKEALKEVVEKRKVD -LVLSEVELLYSGVEMTELDMVLQYWESGVQHVLHKFQFQTFTQVRDLIESVRSLYGSWKIFISGTVNFKS -GEFWLKMWDRRDDPISKSKLTYNSTILLYDTLRLNHENMLLVSPGGYCSDLNKHLDATFLKGYREQMLDI -WFQDASIIHWRAKDFTQMYYALRTGKRPAHVLDTQGNTVYYLHADMSEKIFERLLTLALSLLKSESRFIG -ILDLPWKLKWEKSQETVSVRSKYKWAPALVQEGNVLISDRVRSNIIRYLPCVSAVRREMGVSYETVPKRI -RFCPPGKNTKMLRFDITKGASFTTPY - ->YP_009091823.1 L [Farmington virus] -MAFDPNWQREGYEWDPSSEGRPTDENEDDRGHRPKTRLRTFLARTLNSPIRALFYTIFLGIRAVWDGFKR -LLPVRTEKGYARFSECVTYGMIGCDECVIDPVRVVIELTEMQLPIKGKGSTRLRAMITEDLLTGMRTAVP -QIRVRSKILAERLGRAIGRETLPAMIHHEWAFVMGKILTFMADNVGMNADTVEGVLSLSEVTRRWDIGNS -VSAVFNPDGLTIRVENTGYIMTRETACMIGDIHAQFAIQYLAAYLDEVIGTRTSLSPAELTSLKLWGLNV -LKLLGRNGYEVIACMEPIGYAVLMMGRDRSPDPYVNDTYLNSILSEFPVDSDARACVEALLTIYMSFGTP -HKVSDAFGLFRMLGHPMVDGADGIEKMRRLSKKVKIPDQSTAIDLGAIMAELFVRSFVKKHKRWPNCSIN -LPPRHPFHHARLCGYVPAETHPLNNTASWAAVEFNQEFEPPRQYNLADIIDDKSCSPNKHELYGAWMKSK -TAGWQEQKKLILRWFTETMVKPSELLEEIDAHGFREEDKLIGLTPKERELKLTPRMFSLMTFKFRTYQVL -TESMVADEILPHFPQITMTMSNHELTKRLISRTRPQSGGGRDVHITVNIDFQKWNTNMRHGLVKHVFERL -DNLFGFTNLIRRTHEYFQEAKYYLAEDGTNLSFDRNGELIDGPYVYTGSYGGNEGLRQKPWTIVTVCGIY -KVARDLKIKHQITGQGDNQVVTLIFPDRELPSDPVERSKYCRDKSSQFLTRLSQYFAEVGLPVKTEETWM -SSRLYAYGKRMFLEGVPLKMFLKKIGRAFALSNEFVPSLEEDLARVWSATSAAVELDLTPYVGYVLGCCL -SAQAIRNHLIYSPVLEGPLLVKAYERKFINYDGGTKRGAMPGLRPTFESLVKSICWKPKAIGGWPVLMLE -DLIIKGFPDPATSALAQLKSMVPYTSGIDREIILSCLNLPLSSVVSPSMLLKDPAAINTITTPSAGDILQ -EVARDYVTDYPLQNPQLRAVVKNVKTELDTLASDLFKCEPFFPPLMSDIFSASLPAYQDRIVRKCSTTST -IRRKAAERGSDSLLNRMKRNEINKMMLHLWATWGRSPLARLDTRCLTTCTKQLAQQYRNQSWGKQIHGVS -VGHPLELFGRITPSHRCLHEEDHGDFLQTFASEHVNQVDTDITTTLGPFYPYIGSETRERAVKVRKGVNY -VVEPLLKPAVRLLRAINWFIPEESDASHLLSNLLASVTDINPQDHYSSTEVGGGNAVHRYSCRLSDKLSR -VNNLYQLHTYLSVTTERLTKYSRGSKNTDAHFQSMMIYAQSRHIDLILESLHTGEMVPLECHHHIECNHC -IEDIPDEPITGDPAWTEVKFPSSPQEPFLYIRQQDLPVKDKLEPVPRMNIVRLAGLGPEAISELAHYFVA -FRVIRASETDVDPNDVLSWTWLSRIDPDKLVEYIVHVFASLEWHHVLMSGVSVSVRDAFFKMLVSKRISE -TPLSSFYYLANLFVDPQTREALMSSKYGFSPPAETVPNANAAAAEIRRCCANSAPSILESALHSREVVWM -PGTNNYGDVVIWSHYIRLRFSEVKLVDITRYQQWWRQSERDPYDLVPDMQVLESDLDTLMKRIPRLMRKA -RRPPLQVIREDLDVAVINADHPAHSVLQNKYRKLIFREPKIITGAVYKYLSLKSELTEFTSAMVIGDGTG -GITAAMMADGIDVWYQTLVNYDHVTQQGLSVQAPAALDLLRGAPSGRLLNPGRFASFGSDLTDPRFTAYF -DQYPPFKVDTLWSDAEGDFWDKPSKLNQYFENIIALRHRFVKTNGQLVVKVYLTQDTATTIEAFRKKLSP -CAIIVSLFSTEGSTECFVLSNLIAPDTPVDLEMVENIPKLTSLVPQRTTVKCYSRRVACISKRWGLFRSP -SIALEVQPFLHYITKVISDKGTQLSLMAVADTMINSYKKAISPRVFDLHRHRAALGFGRRSLHLIWGMII -SPIAYQHFENPAKLMDVLDMLTNNISAFLSISSSGFDLSFSVSADRDVRIDSKLVRLPLFEGSDLKFMKT -IMSTLGSVFNQVEPFKGIAINPSKLMTVKRTQELRYNNLIYTKDAILFPNEAAKNTAPLRANMVYPVRGD -LFAPTDRIPIMTLVSDETTPQHSPPEDEA - ->YP_006576506.2 RNA-dependent RNA polymerase [Persimmon virus A] -MDYMAFCTGGAGDGETDVKANDKFDNLPDYHLRNPLHPMKYLYTEANRSPIRIRKSLSSLRSHKKTLTEG -SPEDLITSMGDLIPIANRSKLYTGLGDIIMRLTLDEEALPQLNGLHMEHLITEITPEMNLYLWDCMRFWG -DVLLTMNAMSSRRPAPSCSVELQFGCRGIHMNDGVTCYITGSVIAMESADDSKGLICYDADWIRMASDVW -TQRFLLNLSTLIGNKLNSVVYPKWALVKDLIEWGDTVLKICGNEGYKLIKNYEAICIGELLSRGDPDSIV -DNFRFLNNTVSDLYLESHIFGSKAEELIQILRGHASPHHITQVYGLHRLWGHPIVDNRKGMEKVKIIGRK -EVTRDINIGKIASRYFKINFCKEYRKKYRKYPNIQPSESNLGKLINSNDPGALSVSHVDEQEWDEINFGK -TFVIPETFNLSMIVSDKAISLTRSELVKNVRSKKSVMNPEKRRGVLRWINDVTLNPKEFLQKVNDGQMED -DHKIIGLTPKERELNPTPRMFALMSHPLRIYVVVTEQMLSEHILPMFPQITMTDSLLDLTKKTYSIARRQ -SPTLKLPSKGKLWASRTVCMSLDFEKWNGHMRKESTEPIFTCLGDLFGMKELYNVTYDLFSESYLYLADG -SYVPIVKNGELIEEGTLSFSGHKGGMEGLRQKGWTIFTVCCLDMICSKYNCTYKIMGMGDNQVLQITVFT -YSVDGAGVATDKGKREMRGIIYTLFDDLVSTFGKLGLPLKPLETWMSEDLHLYGKYPVWRGVPLCMDLKK -IMRMFAYSNDDIMTLENALGTIYGNAASATQGTCFCLLPYIVGIMMSSLCVMDFMDYHPFLGEGLNKHMA -SDMAWNLSGKDIKTSKIKIGEHGMNNSMIRLMIQIVPRTLGGYNSLNMYELMMRGFPDNLSRDLSYIYKI -LMGSPPGFENCLKNWLAPLYMPTKNYQLLIEDVSSVNVISPRTPLAGIKQTVEKFISDPKRIRNPEFRGL -MSGKIRDQAQELAASLCEGDDLHIRLLHDIYEATIIGYIDSILSKVTKSSTIQRLAVSKSKFDSMSAVYK -DEINCFRFFLWRCSVRSDEAISPCPTTHAKKMREKSWGKNLRGITTPFPMSYLARTECGENKLCNCEDGY -ISVHFPDKQINEYMWNTSIGRNPPYLGSMTKEKVITGLVGKAYSSEPLIRRPLRLMRVINWYVPPSSNTA -DVIRSTVRAVTDTDPDHHEGKVEGTAGAEVHRYRDTSLKHGALTSSNYLYPTRYHISTDHFTRYSKGGEN -YDVHFQACLCSIVEQTNIWISDQNRQCNPISKFQHYKQSCYECVNIVDETFIDIPDKRTSDLIPHRKENN -YLYVKEDQMQDTLAYSPLISLKLTYLTNQMYQLMEASTKYRWLVETIADLVASDICSGNQNESFFSTGLT -DVKSYERTMFLKINPKDLFFSVLNRLRTFARWKCASTKVSRMPTIKDIQRYLESYLVRVESDCMSGLSMF -YGWEETYKKMNFHRAIILPNTVPASLFSSCESVRKTLIGMMVDEDAYMARENYYIPEDTKNNRFIIKLMI -SDYITTKTRCEPCILTVFNTESSTLLESLYSLVCSEGHMVFQEKMKIYQSHVTIERLRKDCASGMESKKT -SFARTDLIPLPQNTVADLINFDRMRQRQINWGSALALSPPGSKVMSDGQSYTNQTSLRKIYSLPTSANYK -YMELFSRYLPLIKGKNTFLVGDGLGSTSLLLSDMTGNNILVSTLLETDDAMPQSYPHLMQPIAVRESKTN -VDRKTMINRVNDLSSESWAKDWKDAASNCEIVVSDIEILGKRNCFQRDNVLNKILTLKDWDFAIIKDYIF -SIEELYDRLRYILSKVKGKMTLVSLGSKTPGVPEVWWVIRKTDHSRTVQLSYDPRSIKEEWNDAMYMLED -LDRDGVPKYIMDDMNERLFINNGLDTMHNIVRYWSTLPMVGNALPKKGNFTDLFYRLSSGKRPAIIHVER -ENKQLRMYVKDHYKIREVLFGMAVAMLANIKDREKILNESEQWILEWELGKESSDWYPYLYRSDDSLSSK -INVNDYIPYLSCMMIRDRLSFGKFGKSIRFKNENMKKKDVFFPISATANLKVKPYKKNR - ->YP_002308576.1 L protein [Lettuce big-vein associated varicosavirus] -MSLASRMTSVGGADNYGESDYGWDETVLGDMHLNSAINLDLFKEFLHIDPPVYKVKENHRLTEELRELQS -LARKGSKIEIGFQRLFARMFPRDGNLIPMDSTMTRMIMKIIRDSGTKYKLGIPLLGISEEMIKKGAMVPS -NLVYSFNCFLNIIYGRSEWIRSEGIAIRFKMYEHGRFIRRDLTISEKEYNFIVGKEVVEIRASRRKERFI -ADYNSLLLLLDVAGQRICAHLCSQLGEISGVPGSLSRYHLETLCTAGDRMIERCGNKAYEVLGMYEALCV -GRLLENNPDGITDHTQFSANCEEELQELIAGSVEPAFMKSQVDLIKTTLEKMKNQDISNAFCLYRVWGHP -TVDIYEGMKKVHTIGTKVKVIPPNLGTIMVCQFRKMFMSTFFKKHHRYPPITGTPGEYLERCLKDNVAIR -IEHLAYNLRDFEFIRIGETYSVPDTFDMCHVLNDKAVSPDMSELLESIKNGKGTSCGAKRRGMLRWMEGD -SLNCKSFLSDIDEKGLSEEDLLIGMYEKEREIKVAARMYSLMTERMRYYFVLTEGLIADYILPHFPEITM -KDSLNVLLKKMWESGGQRSIGSMDVNINIDFSKWNTNMREGPTSDTFREMDGIFGFKRLIARTHEIFNAS -LVYSASGKYLPTIEDGRILDDPPMCYRGHLGGFEGLRQKGWTVATVCLLAYLSEQNKIQMKLMGQGDNQI -IRLRMPTSYWDSLRLTEEMKKKEARILSDKFVHEMDIIFTGVGLPIKVRETWKSTRLFMYGKVMLLDGRQ -LPQWYKKTLRSYALSNEGTLTISGVIGTIATNMCAAGGGSEVPCVMYLFFLLLAEWSLEFMFRYHPFTRV -GIKDGSSMEFRLNEKGGYVHKQTRKTNNLWLKSLLVLVPTAVGGSVTIPLTGFIMRGFPDKASEGYAWLK -FLGSSKSPIQGFLKNFYTFLPNDTVEADMLVQSPFSLNHKRPPTPGLQTKENIREWLLSTPRFQQNRFIR -SMQVLLSGFDKKSVCRELLTERMNPLISHEVYETFGHVYCEGIVARVENTRTIRTLHLSREDRKPIVAKL -MTDEMAYIAYMWWRGNTKGEVFEECATKQARKGRNVGWKREIMGITTPHPLEVLFQSVCRPGDQCQRSDD -YITSKLVDDGKFPPFLGSKIKNKVYSLQDEEARREPLIKTGARLARQFNWIGMGENMRGLVLKNVGSICD -VSVFDKFVDDDPSDNLYTGSLMHRFTPSSVSEGCFINYAPQVGHKVFMSSDTLPSLSRGQTNYTFHFQAM -YCFLQYSISKSGNEGSYHHHIMCQDCVVPVEDEFDDIPNETPSIVKAQEEQYVSIIRTTLGYIHTKPRSA -MVLEDKSPIGRYIEDVEGHEKELYSGVVELLCWKSALEILGRTRDTHATVGTEDLQGWPRIYAYKVSRRH -IIGKVTSFILYILAVQIGELPLPYSMERVSRRAIDVVSRVGLEGFSAVASLCLGRDIPMVNDVVTIVDGF -AYPETVSVCLRSIKASILMTIGKVIRVDGFMSRRSVYPTESMTSDDFLRILGFKAVIFYGCTKIHEKCQL -KGLDQVTYAEMMCHHRCLEKLLSSNLLTHMTMDRAMKYLPIKITKILPKISSTRPNTIAVTREVETENRE -FSDTFPIDERVTYPEMDLKTNQMIQYPTSSIYKWSDILLGIEHYDHVVVMGDGTGGTSMVAAHMFPNSTI -YPMALLESKNLIPQDMESLAPPMSRKLTNVDSSLLIDLPDDIRKPTFRTRMLERVSLMRGNILIISDIEG -TGTLFRDIVSTCLYMPTSTDVLMKTHLADLCGSYYMMKGAGRIRLRGSRLANLRYGEVFVSFRVTGGNIR -PNRRGLGNCIQEVMIGLMNTQIETATGMLSQIESMFPLAADMSMNIAMMKMASWGGSFSRKVLGEDGLKL -MGYVYQYINTHYHFASSSYRPGDNRTVTPRRKEDLTKLLCSIMLGVYGEDTETIEEVSKYTLIGSKKGVP -GKSYFKVLMWKTGTKRALEHDEYMVGRAIRNYRTRILEAKKLDGPIGLPFHSGSLRKIATWGYKIPISAS -GGWIDNHLQI - ->YP_002308376.1 L protein [Lettuce yellow mottle virus] -MEIYQDEDAPRKNIFDPLPDYHLQNPLYSISGLLDKIKKKERVNYRFHQSCRVLSKECSKLVEGDPILLH -RLSDNWFIDTEPCIISEIFEDCSYRLQMDSLNPNQFDIKMLSAAWESLKNRFPVDYWVRMRGMQRILVAM -NAVSSRRPPPPRYSTADPLKAIMEVNKGRLIITGSLLGYKQDGEDHLVIFASDWVRGISDVHTERFLVHI -GATLGQDMSKDHYPSSAQIEYIIQWGDRVLNKAGMTGFKLLKAYEAIVLGVVQMKCTSEFIDSGRFLRNT -LNDIWDEDFWLGERAVELVKYLEGIESPHHLIQLFGLHRIWGHPIVNPSKGMEKMIIIGQKDITKAGKMP -QLMGIHFKKMFLGAYKSKQGVFPHVKGETPLSRALRANEDWADIEKSFEESEWAELEFDKTFAVPESFNL -SMIVADKSVSPTLSELKENILTKKTVMNQELRRGVLRWINHESIDPREFLEQVNKGEFPHDHKIIGLRSK -ERELNPTPRMFALMSHLMRVYVVITESMLSEHVLPYFPQITMTDSQLDLTKKMYSTVKNQSVRKKQIGAI -FDSKTVCMSLDFEKWNGHMRKESTYHVFKALGELFGMTDLYNMTYDIFKDSYFYLADGSYLPKINESGDF -VPEPPFSFTGHKGGQEGLRQKGWTIFTVVGLDWICRKHNCTYKSMGMGDNQVLQITMYTYQVDSSGKATK -MGLEEMKTVLFGLFDDLLDGFAELGLPLKPLETWISEDLFVYGKYPVLKGVPLTMDLKKVMRIFPFSNQE -TMTVENVLNTIAGNAQAATQAAPFIGVSYLVGLFMSSVCTEDLLTYHPLIGKGLMEVLRDDDCWGLKFRG -GHSVQTKVGKVRITRGEIRRLMQTVPRILGGYVTFNLWSLLMRGFPDPLSLSLSQLYAWDLQSATDRTSK -YLLRWVKPLFMPERSMKLLIEDVSSVNLLAPVTPTAGLRRVVERFLSDGRVIRNSEFRDLMTSRDPDMED -VISEHLCSGDHLHIRLIHDIMESTIFGYIKSITSKVTKSSTIVSLAIGKTKGDPLRRLMLDEENYFRFFL -WRCSVEPTFELPDCPTDLAKQMRYLGWGKELIGVTVAFPWSFLTKAQCMENGSFCDCEDGFISLFLPDSP -VTRDQWNLGIGTNPPYLGSVTKEKVVITTGSKIYSGEPLVKRPINLMRVIGWFVPEESETAKIIQSCVTA -VSDIDPLQFRGVTEGTSGSEIHRFRDTSLKHGALCSSNYLFSTRYHVSTDTFTRYAKGSQNYDMLFQANL -CAIIEGMHQYIIATNKSNMMQQKTHHYKQICYSCINPLDEEFYDIQSSRLPLLIPSKKKNKYLFVPKEKI -SMVLEYLPHAGWDLGKLSDDALDSMNPRTKLQWLTDAIADNIMIDVTGPAGEESFTTVSLMDVKEHNRLF -YLTARPKDVYDQVCNRILILAEWRCMSKSDWKTPTDESIVRAAEALLVDTPVTRWYGMTGFFSWPSSMMH -YYAYPEIQEPDTIPVTSFSACRSIRQSLLGLIGSTRKFPSRQTRIISEDVKTSKMTLKLMVFDWIKKNTT -CRACWRSVGVLSTHNLANLDTRTFMCAQRHFPFQRFNSKSVMKSRITLDSLRKSIDIKDDGEKESQLRST -IHPLTLTTCITLFESSIIRAEIIPFCDPIDDDKITVRPIEGVDLHKLVSLPTNASYKYMEIFSREIQELN -KYKSVFITGNGLGGTSQVLSELWGGRIIISTLLDTGSAIPQVYPHCDSALKNTGAASIISHLMVDRANDV -LHDRWETDWNPVFTSYNIQVLISDIEITGEEIETRSAVLSKMIHAHEWKFAILKDYIYSRSELENRLSII -LGLYAKVEIITCNTRQRTMPEVWWILKDRKSSSFKRLGYHRSVIRQQWECFKNNINQRDWALGEVLTELN -HRLASDDKLISMMVRTKSAFSLPIVGCVFPHKGNYTRLLGYLQRGKKPIDISIISTQSNKRLYSSDFEKI -RWVLFGIACSMCAKITDRERMLDQSDRWMLDWKPAGERNWAPYLWYSRHKSTPIHVCDYIPILSLVMKKE -RLLFHGSGQTIEFKFSLSREKCCFPVTKTAAIKFGLCK - ->YP_425092.1 RNA-dependent RNA polymerase [Lettuce necrotic yellows virus] -MDLWNEETTPKKNAYDSLPDYHLQNPLYAITDQLSMLKRGKRLNYRLTSSYKLMKSQSTDIKEGDPILLK -EWARNWFSETELYVVDQTLSDCENRLSLDETDDLHFDTHLLRESVRMWRCEFPHDDWVRMRGMQNLLIVM -NAISSRRPPPPRHTCIIPGLSKITVEGGVVLVTSSLLGFQPEGDKETTVFAADWVRAVSDVYTERFLVLS -GAILGRCLSDEHYPQVLDLEFIINWGDTVLRRKGNKGFKLLKAYEALVLGVVQGKSESDFIDPDRFLRNT -LNDIIDDDKDLGAYAVLLIQRLQQIDSAHHLIQLFGLHRIWGHPLVDPAKGMEKMIIIGQKDIVRETSRP -EVMGVHFKKLMAQGYREKHGVYPNVKGNGELETLIKNNHDWKEVGSLDNDNHWRLLRFDKTFSIPESFNL -SMIVADKSVSPTLSELKENILRKKTVMNQELRRGVLRWINHDSIDPREFLEQVNDKKFPDDHKIIGLRSK -EREMNPTPRMFALMSHLMRVYVVITESMLSEHILPYFPQITMTDSQLDLTKKTYSTVKNQAAKIRRSGAL -YDTKTVCMSLDFEKWNGHMRKESTFHVFEALGDLFGMENLYNETYDIFKDSYFYLADGSYVPSMDAQGNF -TPEPPYSFTGHKGGQEGLRQKGWTIFTVVCLDWICRKHNCTYKIMGMGDNQVLQLTMYTYRVDASGKATE -RGREDMRRVLFGLFDDLLDVFSDLGLPLKPLETWISEDLFVYGKYPVLKGVPLSMDLKKIMRIFPFSNQE -TMTIENALNTIAGNAQAATQAAPFLGVSYLVGIFMISLCSHDMLVYHPLIAKGLREVLRENRTWGLKFKG -AHQVKTDIKGEMVDETNLRRLMMNVPRILGGYVSFNLYGLMMRGFPDPVSLAYSQLFSWGVPTGGSDQRD -YMLRWLKPIFMPERSMRLLVEDVSSVNLLAPVTPTAGLRRVVEQYLTDGRVIKNAEFRDLMMSRDNELED -VISEHLCSGEHLHIRLIHDIMESTIFGYVKSITSKVTKSSTIVSLAIGKAKGDPLTRLMSDEENYFRFFM -WRSVINPHYDIPACPTDLAKQVRRIGWGKELIGVTVAYPWSFLKKTDCYESGCLCTCDDGFISLFLPDSP -VTPQEWDRSIGKNPPYLGSMTKEKVVISTGSKVYSGEPLVRRPINLMRVIGWFVPEESETAKIIMSCVSA -VSDVNPLIFKGMTEGTSGSEIHRFRDTSLKHGALCSSNYLYSTRYHVSTDTFTRYAKGAQNYDMLFQANL -CAIVETTHQYVLKTNQSKEPQRKTHHYKQTCYSCINPLDESFYDVKSSKLSQLIPSKKTNKYLYVPEAKI -SMTLEHVPAKSWEFGTLSSDGFDQLSVNLRLQWLTDAVADNVVIDILNPAGEESYTTTSLMDIKEHNRLF -YLTIRPRDFYDQLCNRILILAEWRCMSLSDWKTPTTEAISRAAEAIIGDTPISRWYGVTGFFSWPSSMER -YYVYPEIQEPDSIPVTALSACRSVRNSLLGLLGSSRKFRGRNTRIFSEDAKASKLSLKLMVYDWVKKKKK -CRACHREIGIMSAHQLSSTLPNSIICPKGHYVTQGLKDLDIMRSRVTLDSLRKCCSSDEIPTEPMEKKIT -EWAPLTSTTCRTLFDSSSMRSELIPYSPTGIESSINVQPIPKSDLYKLISLPTNAMYKYMEVISRNIEGI -MNCKTAFVTGNGLGGTSKVLSNMWPGRIITSTLLDTGDAIPQVYPNCDKGSSSYARGTVISDLMVTRVND -VNHLLWGEDWKPVFQSYETDLCISDIEINGELNGESRQTMIATVTMAHDWKMVIMKDYIYNMREMENRLS -ILLPVFKSLELITCNSRQRVMPEVWWIMKARRSSGKLLGYHRSVIRQIWDGVKEGINTADWAMESVFSEI -NRTIASTADMIAMTIRLKAFFSLPIVGSVLPYKGSYTRLLGYLQRGKKPEDISLITSDDGKRLYLSDYEK -VRSVLFGLAVGMCSSATERDRMLDESEYWAIDWIPSGPHIWLPYLFKGVERSTLIHVYDYIPMLTLIMKR -ERLLFKSSSDIIEFKYTNNRDSCCFPITKTAKIKFNIK - ->NP_597914.1 polymerase [Northern cereal mosaic cytorhabdovirus] -MEDDIFDGFRTFMRGLGDYHLRSAITPVRVNEMKEKIGRKRELKAFNRILSAFPNIIAGDPSLLLAKIFR -RIKKEEPREIPMLDETLRLLKAEFKHMGILSVKGDPIDRLINMLDNRAIIKSKHTQLKIKFQRLIMCANA -INSLREHKIFGLDEDESTGQPCLRLGQMVIIIWGEFIAVRSKRVIDSIYSLDVLRMIVDKLTERDNVLMA -TYIGRKIFPDVYPSVSLIQRVFNVFDRWLLASGNSGYTLLKTYEALVTGVILKKETSQYIRSEEFLSNTL -SGLEANERDVATELIQLLSADDVTPHHLTQVMGLFRLWGHPEVDALKGLEKVQKIGTATKFISEYSSLLA -CRKFKEIFYMEYFKKNRKYPECIVSEECWFYENVVTGAVINTKDPRYHLSDWDLVESKETFSIPTTFNLS -MIVSDTAISPSREEIKKCSDEGRAAMDPSIRRGVLKWMKDGLINCDGLLRGIDKKMNGLDIEERVIGLYP -KEREMNPVARMFALMTLKMRSYVVITENMLSENILPYIPGITMTYNMLDLAKEMIRATRSQGKQGEFSRT -FCINMDFEKWNLNMRKEGTYYVFQELGRLFGLPTLYNKTYDIFRNSTIYLADGSYNPKFDSDLQWVDDKS -GKSYEGHIRGFEGLRQKGWTVFTVVLIAYVCDSLGISFRLMGQGDNQVLMVTIHSKNARLSGIDSQASIN -EISDKIKSLISRLQEVFNGVGLPLKPLETWVSDIYFSYGKMPIYKGVPLCSSLKRISRIFYFSNEDLMTV -DNALGAVTANSQAAVMADIHPAVPYFIAKWQHLQCLSVFSRYHPLVGEKMDWDIDSYRFTLRMKDGTIWD -DEQPNGFSREIRLMAMASIPKTLGGFNIVTYFDMILRGYSDPPMKDYQWLLILTKGSTGALKTALKNWYR -VVLSPSIDYLHLIQDPTSLNLLCPPNSKTLIKRLISETITSLDTQSEFAGWFQELMEISAEKKMGTLVEK -LTSKDEVNVRLCHDILGATLYGYSESISSKVDKTVTLSRMTVTSKDVVSKLAIGEKKTWNYFLWRTNYDQ -GEEVVSLCPSEQIRHLRKKGWKKDVVGISTPYPHHFLGGEDETDRPDSYVEVVVNDVVLSHPDRLILTTG -SSLPYLGSVTKEKLHSTSARAAYGTEPLITRPIKLLRAIGWFIDEESNWAESIRNLLKAVTDLDPGKVIS -IPEHVKGSMMHRYLDMALAHGSLWMPSFGPASHLSMSTNTLLEYAKGSKNVTLQFQAMLGLIQFCTINRL -LSSEPRKIVRVYRTCPHCIKPVDEPKEDLPTPLTEEDIPSRPDNPYLYVEQEKIALVHKRELDNYESLPI -IHRSYLLTYPHIGRRLLTEILATRVATSILYNSQEAESGMTDIAGLSRTIFLKLDIYTTFLTAIKMIWIG -ISSTPRVMSGEVFPSWSFLKKSIIRRIWESPPSSFSILAGFYLWEETVRELSDLPWAVMPLSYPATPTSI -GIAAKNSLIRLAQKTTEIKINSSWIVSPLVKVDPGILLKSNLLFWRGGFKAQCSDCIQAGMSCRIRSYYA -WDDLSRIRCNQGHSVFTLKAWRMLKKIVMDIETIGDTIPSVPRKQYSPEVSRLSLTRSEGTSQILVKSSE -IQGYSEFPHQVPGNEYLERPDLNVQLRYSYSIPTKALYRIHEGLSALESLRDYGAILVLGDGFGYSSVMC -KFMCKNSRVYSWTLIDASPGVQHCLRLSKPPTHYSSHLKIDITSTIDLLSDVSNPNFPKTLSTFCHDKQI -DLIISEVELLYSGAIMSPSQIVMMYYQSGTTRILHKFDFKEFSEIAELIQCMRHCFSDWVIFQTPSVGFH -SGELWVYMSEPRQTIINHKYLSYNATIAIYDKMRFAHENMGRFHPGEYLDHVNQYLDNTYLASFREQLLD -TWFQDATIMYWKEQDFSQLYYSLRTGKRPSFVYDTQGNTVYYLHADMTEKLFERLMTLALSQLEDLQNQL -PVILSRNWKLKWERSQEKVGVRSSYKWAPALSTEGHTPIPHRVKTSILSHLPGVMAIREGKNKYTVIGNK -IRFTHCSQSPRELKFAISRMATFSSPYA - ->YP_009130620.1 polyprotein [Black grass varicosavirus-like virus] -MDTLEHAEANELEDDDYFLPDEGPLHDMHLASAINLDQIQELMYPGTIKYKIDIPYYLRDEYMLIESLCK -GDKIKMELGMLAPSLKLSKPGGELSTMDLPAYKEIGNLVCQALRNRDIMIPISMNDVALKLPGVIVYRSW -GIAFAELLKIICTRAEVMRGGSQPVYQGTGIEAGAAHCQFQVNGNWYQIVARKNLSTLYDRQENVMYIGN -IHSLLLLMDTIGQRICLMVANQIAELYDTPGWVDQGKIDQILSTGDDILEEMGNDGYETIAMFESLVIGK -VLRATPDDITECHKFLYNCMDEVENMLLNSAMRGRIIELVHRWLAIFDSLSIKELSNVFCLYRCWGHPVV -NIYEGMEKVYDIGTEEKEVSPWVATDILCQFRKTFLINYYEKHHYYPNVLISEEVENSYVAQCIKKGSSI -EEMYPTYDTKDFLGIEIQKIWDIPITFDLCHILNDKAVSPNQKELTDSVVRYQNTTGGQNRRGLLRWLRG -KSLNCREFLREIDQNGLPEDECIIGMYEKEREIKIKARMFSLMSERMRYYFVLTEDLIAKHVLELFPAIT -MKDSQNVLQKKFWTVGGMGKDSTFDVNINIDFSKWNSNMRNELTQPMFQQLDKIFGYTNLISRTHEIFES -SFVYSSSGKYVPTVKFGKLQEDPPMAYRGHKGGFEGLRQKGWTVATVCALSAIAERRNVRTKLMGQGDNQ -IVRILMPTQRWKSNELTIKEMIQNAKQIQKAFVTDMERTFEEAKLPIKVRETWASTRLFMYGKMILHDGD -AMPQWFKKVLRSYALTNEGQVTVAGVVGTIATNMASAAGLSEMPDVMYLIFVAMTEWSLGYLLEYHPFTR -KCILREHEYHVKIPGAHRGRDYRVRSVNKRRLVVSMILIPTAAGGSVTVPLPGFIMRGFPDHASEAYAWI -KMLAEVKSPYKTMLKNWYTFIGNDSIQPDMLIQSPSSINFLKPPTPSFQGRMNVRELLLSGEFDQNQFIK -EAGTILGVFDRKKVCSAMLTDPMNPFITSEVYSTYAHVYIDGVIKRVENTSTIKKLAQKVKTKTQVIKAM -MYNEHNFIMFISWRAMKRGTIDYDCATQQARMARNIGWGRTITGVTTPHPVELGIGHICKGRQDECPPTD -YIYVRINEKGEFVPYLGSRIKCKVVSEQDIDARREPLIAVGSRICRYSSWLGIGPNLMEIVEKNLAVVCD -TSVYDKFIDDDPKGLLSSGSIDHRFTPAGASEGVFINYAPQIGCNVYMSSDCMPTYGRGQTNYTLHFQAL -YCWLQYISSRRAESVFMHHHIECEDCVVPTTDEVPDIQCPFPYFDNIYPPRVRDSISRALGFIDRKGGLD -IMSNVRSLCHEAEPGMFDVRQLQKGVMWTLAIKIGFRLYHGGLFGSDDTRVEDLQEYPRIYSYKLYRDTL -LVNVAHVMMMLAAIEMDKAPDGEELAKVRRRATDKLLSKPIGSFKGLGGLTLGRTDENPDNSHLFMTGSF -PETVFSILNTSKTALIETIGQIGRLSIEGMGHLPVAKGSLSNKQFRFLIMMRCLLECRNSHYFQHFQKIP -EDEPGHPADPQNDVYRMLVKTPVLDMSLDKMVKSLAVINERKTLPIIEWNNISFESTEVICRTGTPRSIF -IGNRMIVEQNSRREYREVTLPTSSIYKWAGILFHKPLKRHIIVLGDGTGGTSLLVKTFNQDSTVYPCSYL -ETHKIIPQDMECLMPQLSRGYEGISGRVLLNVPDDIKDPLWSERMSSEINDMGVERTSIVCDIETVGLCV -LLDAFRSLPQGVQVVMKTYAKDILTNIMSIMGLNQVEVGFSPHLNQSNHEVFLMGHISQNSFPDPDMMIN -QMVKLYGKVRADPRSRILEEMRMINKVFKPLKNTSVSLSLAHINNLGITMTREILQLDGLEIWGYALQFV -NRHYVDPSHRAFLADKKTMNRKRKRDLTRAFRILLGVVVNPGYLDIKRKWGIRTPKEFKPRGMNLILARD -CDDMGVKLTKKDKLASECLHCFWTRKMRKAPLDAPDPPGEDWMESATRVRSFASSLSEHSSHDYINVIET -E ->AKJ77889.1 RNA-dependent RNA polymerase, partial [Iranian citrus ringspot virus] -MCADKFAERDLVLRNCSVYHNVLPSIYPDQSMIDNLLLCGDLLILKEGNSGYKAIKCYEAIMTGVLLSRC -EAHILDKQEFLRTTVKDLLSESPQFDWIIRMWIDVADKCVSDHHISQLYGMYRLWGHPVVDSKEGLKKVM -RLGKASKSISQELAKRAGYSFLEEVYKRYKKKWGRYPVFKLAVKDEQVQTLCEASYLINCLVSNEIFDEK -RDGYTSKDWDYVITQKTFDIPDTFNLTMVVDDKAISPPKSYLMKVAAGSEKFMNPFERRGVLKWMNEDYM -NCAEFLMDINDNGLPDDDCVIGLYPKERELNSVPRMFALMSAKMRNYVVVTEHMIADDVLPFFPQITMMD -DLLSLTKKIYGTTRKQAYSSHYSSGESERKRIFECSVCMNMDFEKWNLNMRKESTYYVFQEMGRLYGLEN -LFNETYDMFSRSFVHVSDEGFSLELEETESGQKRLKVDNVHSYIGHIGGFEGLRQKGWTVFTVTVIKMIL -SEFHVSYKLMGQGDNQVLLITMRTDKVSENGDLSPEGFEELHKTLSSIISSLESIFLGLGLPLKTLESWR -SENFFLYGKFPVKSGVPLSMSLKKLSRSFPFSNEDSMTTDNVLGSIFTNAQAACMSDVIHIPAYYSGVFE -TMYGSLLVSRWHPLIGKGFSDILEEGTSWFTFENIIEGDYQSSKKVVVDSTEFCSLDVFWEVLITCPKSL -GGSNGITEYEFVMRGFPDNQTRDMTYLFEIIDANKKSSDAGILELISKIMNFVRICLSRSLNLDFLVEDP -CAINLIQPKTPLTMLRTKVKNVLGNNLSFKNKNFLSLFKLSNDTRKRDLLNKLASGDQIFPRLLHDCYAA -SLFGFVDGVVSKVDKTVTVQRMCLELSDDDLVLGMCRVEENYIRYLYWRVNSFRTSKYPGEPQLNCPTNY -IRWARDFGWKKEILGVTVPYPSHTLRYKGGIHSLICQGSNMLTCHISDFVPDSVSEMLTTLGSSPPYLGS -YTKEKIKSYDRTALYSSEPLLKRIIRLMRVLGWGNLEESNLHQYLERLLESMCDIDGSIFMINKDDIGGS -IEHRYKDSA ->AAP03645.2 putative RNA-dependent RNA polymerase, partial [Strawberry crinkle virus] -LDDPIGRSINPMQYPDLRTIEAVMRWGDKVLRALGNEGFKVLKTYEAIVIGVIQEKGERGIISPGLFLSN -TIQDLFDDRMIYKEYAEQLIEIIRAVDNLHYLTQLYGMHRIWGHPMVDSKKGMEKVISIGRKNIIRNSSL -SQDAGRMFKMLFSREYRSKHGMYPAVHEYPSELVTKLLENEGSATNVKVHRIEEWDRVVFKQMFQLPETF -NLSMVVADKSISPTRSELATIIKTRRTVMSPEKRRGVIRWLEDTTLNPREFLKTVNEGNFPDDHKIIGLT -PKERELNPTPRMFALMSHLLRVYVVLTEQLLSDHILKYFPQITMTDTLLDLTKKMYSTVRHQSVLNKKRG -SDRTWASKVICMSLDFEKWNGHMRKEMTLGVFTPIGDLFGMTELYNVTYDIFSECYYYLADGTYVPDIHK -GDLLVSEPFSFQNHQGGMEGLRQKGWTIFTVCALEVVLSQYDCTYRIMGMGDNQVLQITLYTGKLNDDGS -ASDEGLSEMKETMRRIFEALVNTFTSAGLPLKPLETWMSEDLYVYGKIPLWKGVPLSMDLKKIMRMFPFS -NAEVMTLENALSTISGNALASTQATSCIWTPYLVAILMNSLCIRDFLEYHPLIGQGLMHVTGHCKEWRLR -LPNGERHNFLIDTDTVPSRRKLTLVMQMIPRTLMGYNGINILEMMMRGFPDNLSRDVSYLRSVEDCTSCP -DWLKKIIHAWLQPIYMPHINYATLIQDVTAANLLSPRSPSSGIKQVATQYLGTGTKIRNQEFKG diff --git a/seq/clusters_seq/cluster_204 b/seq/clusters_seq/cluster_204 deleted file mode 100644 index 9b8ae28..0000000 --- a/seq/clusters_seq/cluster_204 +++ /dev/null @@ -1,444 +0,0 @@ ->YP_008470969.1 replicase protein [Ageratum latent virus 1998] -MENDQFTPSSSSRSGFPAIDVNNLIKSYIEHVKADEVTNVGRFLGEVALKEIKSQVDTTNGDFQKLNVGF -RLTPDEKNALKTSFPGLEIVFKDSCQSSHSFAAAHRVCETLDIYKRFNTKTEKIIDLGGNYVTHAKHGRA -NVHSCCPILDVRDGARHTDRYISLAAAVEKHHKELPVDFCCHKFEDCDVKAPYAMAIHSISDIPIATVAK -HCVRRGVRKLIASVMMDPAMMLYERGHIPLLNVDWEKEDVIDGGTSKTLIHFHFVDAPGLSYSHDFSILS -QYMVTNQVIVGDGYSYRVERTADLNGVFIVEMTISMTDGSTMNHMKQLTDISCAWLAKLRKKVFVKLAVP -VSTEWFTEDFEIRWALMDESLVRYVTEAAFRQYSETKDPKTVVQYIATMLSSSSNHVVINGITMRSGSPI -AIDEYVPLAVTFYTMAAWRYKMIAPGINAVTTKVRKNIDQYMDSSDETFSDLLVEAQKLLLPDDDLGLKN -CEKIPDLIKSAGLRTIKGKSLKKSGDDKAILRSHSVFKEVLYDIKHFFGLTVTGSDFNFVDGTPANLKST -VVWKIFEKNVEFPSCLDISECSYDLMNKHIAQKSVDEENERRSRDFKDARDKALITIAKVLEKSDVPDGL -LPILDLCDVKQELIAAQNSLSLTPEAINTTASRGDSSITVNPYAESIKEAIQYFNELEMVNTRNLRALGE -YIGWRAASIQTYRALKGRNESVKVFIPFENKWYPDDKGLTTFERAMSEDGYVTLNWDNDGRSLTDACRKS -ISRYNALVVDDSCIFNAGQRMIPAMESALKMKPDFKVTIVDGVAGCGKTTHLKRISRFDASPDLVLTSNR -SSADELKDTLNCCDAMKYRIRTVDSYLMLKSWFSADRMLFDECFLTHAGCVYAAATLAQVKDVIALGDTE -QVPFISRLPEFRMQHHKLVGKIETQTTTYRCPRDATYCLKKIFYKTKSVKTASYVDRSLELCPINCAVQI -PCESDTLYITHTRADKDSLLKIPGFKKENIKTTHEAQGETWDKVVLFRLSKTTNLLHSGKGPDLGPCHNL -VALSRHRKSFRYYTVAPNDLDDQIVRSVTIAKTLSDGDLDAVRFSSN - ->YP_009551612.1 unnamed protein product [Apple necrotic mosaic virus] -MDPVALSELLVSTLSKQCADETSAIGKVFADRGVALVERSLPKAEGERLNVSFYQTPEQKALLERNFPGR -VIHFTNKDSSSHSFAAAHRLLETDYIYRCFGTTEEPIIDLGGNFVSHMKQRRYNVHSCCPLLDDRDGARF -TERLISLKTYLRSHKEELHSADYCEHRFEECDRKAEYVMAIHATSDLPITELCKALANKGTKKMIFSIMI -DPSMLIRDSGIIPNFDVRWEIDHAADLVTFDFIGAPCLGYQHKFSVLKQYLTTNAVVVGDKQAFRVERKS -DFGGVMIIDITAVAGYKPGMVVGGSRSCAWSNLVQGKTVVHTVEGVEDWWYDITKRSKVLVDTKVLTRVL -EASFRQYKPTTSPESMIQNIATMLSSSTNYTVINGVTLQAGESLHFDDYVSIATTIYVRTKKMFDSLPQN -IDKLQEQRIVRVRDHTNGQVYGKLGSFIGDVLLPNTVEQRVSDPRGKGREIAYDLQSKSWSEETRNFLYS -CLGRQGAPQTLINDPDLFLPLSVVLSTNWEGAATLSVSDVYDQVVKPQYDRIEDEIKQRREDAEKNDGFQ -RNLLTIAKWIENHPTGEMPKGLGKIAALVPDLVDIREQVVEKPSDLVVNKYASEIQEAITYFETEMDIST -RKLQSVGEHCEWKKQKLMTIWSSDESRRIYDPSTNSWFGPPSPHRVQPTARYERGLTKDGFVPILWKTVG -EVGSFRKTTKFEDANYFEVDENCRRNLMRYPCVFFDSSCEFSPGTRLVPVLKEALHMEANFERKLVDGIA -GCGKTTKILAEAKMVTEDPDLVLTSNRSSAVELREKLPGSQLIKAQRVRTCDSYLMNGKKIHSKRVIFDE -CFLQHAGCVYAAATLAKADELIMFGDTSQIPFVSRIPNMRLVNHKVGCDEKRDHNLTYRCPIDTTMALSK -WFYRKNIKTANRVMRSMSIKPISSVNQIDVSHDLFLTHTQADKCTLLATGKFPRDRVFTSAEAQGKTEGN -VAFVRLNRTSINLYTGKDPLMGPCHSLVAMSRHTKRFTYYTTAETDSDDLMVKAIRDVSSANDERVISYL -HTDYKL - ->YP_009551567.1 methyltransferase-helicase [Tea plant line pattern virus] -MDSEILSLLSNALAKQSCNEDTSVGKIIADHGARVVRNALGTVDYSDRPLDVSFVLTSEQQALLKAHFPG -RSVRFSNKSSSQHSFAAAHRVLETDFVYSFFPEDAVVVDIGGNFSNHVRNRRHNVHSCCPILDVRDGARY -TERFMSLTKFMNKHPTEKCEPQYCKNTFQCCELKADYAMAIHSLSDLKLEDLCRAMLSRQIKKTVATIMM -TPDMLVDDSGFIPHFEVRWTIDRPNDRIYFDFENASNLGYDHCFSTLMSYMRYNAVRIGNSAFRVERTMD -FHGVMVVDITYAENWHPSISSLSGGRSCAWFSKLKNKVVLQLVSNTLLPWAFKKRELVLDKRTISRVMES -AFRQYNPDQPVEKAIQNISMLLSSGTNHIVVNGVSVVAGTPLEQEDFVDAATTIYYITKQRYNGLRSKLT -AMHNIETKNSSSSVFGEKMATTSLLSKFPLFKQNDLPVDHVLPTLGECVQALVGRDPEVEGDQQLIINKW -DEFTGVWADVLCGSIRTNQDMIRKPLEVIPLEEFIQSKIGAFNGVLSIPVEKEFREKQSAINSEALKHKA -EEKRMRDAILTIATLIESGNKDLKLPLGISGSSFIPNVVDKTQTTIVEDVTNPHFEAIDECHNYLSTIEE -NSDRRFKGVMSHIVAGRVPDSVFYGNKDLKAYCPQTATWKTHRDHPDVLEYSVGITPNGKIPVSYDNGKF -DSRTLHLMREFQVVLFDQSCVVDNVSMIKSALERSKTMKCDTSITVVDGVAGCGKTTKIVDAVDLNEAGG -VLVLTSNKNSALELREKIVGSTIVKARVIRTVDSYLMMSTPFEANKVFIDEGFMQHSGCIYAALTLAKAK -ECFIFGDTEQIPFISRCPLMRLRHQMIVGSKTVRCLDTHRSPMDATCLLNKLYKQKRPVKTTSKVARSVS -VHPISSNQQIPSEPALYLCYTQAEKMDLLSTSHLKGRRVLTVHEAQGESVDNVIFCRLSRTSTDLTSGKH -PIMGPCHALVALSRHKKSLKVYSKASTLDMNDILYNLCSEKISERELTQAFTISERLKLDLS - ->YP_009551515.1 replicase protein [Cape gooseberry ilarvirus 1] -MDSQCSTSSSSRSCVPAVDINNLVASYIEHVKADDNSNVSRFLGEVALRELKSQVDTSNGDFQKLNVGFR -LSPDEKNALKNSFPGLEIVFKDSCQSSHSFAAAHRVCESLDIYKRFNTKTEKIIDLGGNYVTHTRHGRAN -VHSCCPILNVRDAARHTDRYMSLAAAVEKHHRELPVDFCCKKFEECNVQAPYAMAIHSISDIPIATVARH -CIRRGVRKLIASIMMDPAMMLYDSGRIPLLNVVWEKEDVVEEEGITLLKEGKKKTLIHFHFVDAPGLSYT -HDFSILSQYMVTNQVIVGGGYSYRVERTADLNGVYIVELTLGMTDGMTLNHLRPLTDISCAWLANLRKKV -FVKIAVPVSTEWFTEDFEMRWALMDESIVRYVSEAAFRQYSKTKDPETVVQHIATMLSSSSNHVVINGIT -MRSGSPVAIEEYVPLAVTFYTMAAWRYKMIAPGIEAVKTRVKKNIDLYSRNSEPTLSDALVEMQKSVLPD -DDFGLKNCEKIPDVIKSVGLRCFKGKSLKKVGDDVSRLRSHSMFREVLHEIREFFGLTITGEDFNFVEAT -PAKLKSTTVWEAFAHNMEFPACLDVSECSYDLMNKHLATKAEDERVEKQTREFLDARDRALITIAKVIEK -NEVPDGLMPILNLLDVEKELMTAKNSLSLTPEAVNTIHDNDQSLIVNPYAESIKEAIHYFNELEMVNTRN -LRTLGQYIGWRPSDPTTYRALKGRNESVKVFIPFENKWYPDNKDLQTYERAMTEDGYVSLQWDKDGSLTP -TCIKSISKYNALVVDDSCVFNAGQSMIPALESALKLKPNFKVKIVDGVAGCGKTTYLRRISNFLANPDLI -LTSNRSSSDELKETIDCSEAMKYRIRTIDSYLMLKNWFASDRLLFDECFLTHAGCIYAAATLAQVKEVIA -LGDTEQVPFISRLPEFRMQHHKLTGDIEVQTVTYRCPRDATYCLKSQFYKNKSVKSASLVERSLELCPIN -SAVQIPCEEDVLYITHTRADKDVLLKIPGFKKENIKTTHEAQGETWDKVVLFRLSKTTNLLHSGKGPELG -PCHNLVAISRHKKSLRYYTVAPNDLDDQILRCINISKTLSSRDLDAVRVASTPR - ->YP_009508872.1 replicase [Tomato necrotic streak virus] -MDSIVEHHSNVLRLDDLLNDVVRRNAANASTEVGRIISDAAVKVVKRQVDLTPAKPLNVSFALTPEDQNA -LRRDFPGREMQFRNSATSSHAFAAAHRVCETDYIYSRFQTESTTIIDIGGNFCTHAKMGRDNVHSCCPIL -DVRDGARYTDRFLSIAGALEKQPERELRLNYCDHKFEDCDVSAPWAMAIHSISDIPITTVVKHCFRRGVK -KLIASVMMDPMMLLATEGFIPRLNVKWEVETIGKKREISFHFIDAPGLSYTHNYDVLMQYMTCNQVIVSG -KAAYRVERVADLSGVFIVEITLASTNKDRLDLIPMRDVSCAWMSSLRRKTLVRIAIPQLKNSWEIKHVIM -DTDFVRRVAEVSFRQYKSETPIENLVQSVATMISSASNHCIINGVTMQTGSPVPIDYYVPLAVPLLHTLE -VVTTWLSLRWKWCDREECPLLTQMLTLTLSMLKEKPFPQKDLCRRPLKGFSFPRKQKVDDNTMLLYSCPI -LESIVDEIKSALGWDVWATDDAVIQSLPSFYKMEDVFEVTSEHYCLSHTLNVDYWLEGLYDSYDELRKVH -QQKLLEEEARKTKVEKALLKIAEVLESDDCPKGLLPLKVEPLVASLIEKKTDEIVTRPQCSDASKPHINP -YADAIKEAMSYYHELEVVATRNLRGVGDYLGWRTKSNYSAVWGGDESRCVLEPLTRRWFNRVKSVEYERG -MTVDGFVHLAWKNGDLTPDTWATLSKYNVLLFDSTCIFDARSRLIPGLEKALTMDCKASVVIEDGVAGCG -KTTSLLKQTKIETDILLSANRETAKDARESGCIPEVMKYRVRTLDSYLMLKRWFTAERLLVDECFLVHSG -IIYAAATLGQVKEIIAFGDTKQIPFVSRIPTFGLKHPSIKGVLKPKLITYRCPRDATAILSEKFYKQKVK -TFNPIASSVSLININSGMEIPAEKDTLYIMHTQADKCAMLRHPGINVNNVMTTHEAQGKTFDNVILVRLS -KTTNLLYSGKMPDAGPSHNLVALSRHRKTLRYFSVYADDPDDIITSGIRWSKTLDEQELAGYRASS - ->YP_009165996.1 replication-associated polyprotein 1a [Privet ringspot virus] -MDRSISSTSTTSDHQAIDVDNLVASYVRNIRADDGTNVSRFLGEAALREIRSQIDTSCGDFQKLNVGFKL -TPDEKNALKSNFPGLEIVFRDSCFSSHSFAAAHRVCETQDIYNRFQTKTEKIIDLGGNYVTHAKQGRANV -HSCCPILDVRDGARHTDRYISLAASVERRHQELPVDFCCRKFEDCNVQAPFAMAVHSISDIEIGQLAKHC -VRRGVRKLIASVMMDPLMMVYDKGHMPLLNVDWEKEDVVVDGVITSTLIHFHFVDAPGLSYTHDFNKLSK -YMTSNQIIVNDSYSYRVERTACLSGVYIVEMTLSMSDEISLAHLKPMRDVSCAWLSNLRKKVFVKLAVPV -SMEWFTESFEIRWALMDEHLVRYVSEAAFRQFSVTKDPQVLVQYIATMLSSSSNHVVVNGITMRNGSPIA -LDEYVPLAVTFYVMAAWRYKMIAPGIDALKTRVEKNFDKIDDKGVIQEDFNVVNELLTDAGLKNVNLPKI -SDLTKSFGLRVLKGKDTVKLRDDTVLVRQRSLFREILYDLKKTFGLTLVGDDYNLISSVPSHMKALDVWR -VFKDNLGFDSCLNAADCVKDLLDKHSEVMKEKLRQETERKAFLDARDKALVTIAKAIDKDDKIRDGLLPI -LDLCEIKEDLIAAKNSLGLTQEAIHSSDTRLPVTSATEVNPYADSIKEAISYFNEIEMTNSRNLKALGCY -LNWKAGNSWMYSALRGRNENVRVYVPFERKWYPDSRDLPQYERAMSEDGYVSLHWNGNEISANCQNIIGK -YHVLVVDESCVFNSGQRMIPALESALKLKPNFKVTIIDGVAGCGKTTHLKKLVNMSGNPDIVLTSNRSSS -DELKESIQCDESMKYRIRTVDSYLMMKNWFSSKRLLFDECFLTHAGCVYAAATLAQVEDVVALGDTEQVP -FISRLPEFRMQHQRISGKIEVQTTTYRCPRDATACLKKFFYKEKTVKTASVVETSLDLNPIASVVQIPCE -DNVLYMTHMRADKDALMKIPGIRKQNVKTTHEAQGETWDNVIMFRLSKTTSLLHSGKDPTLGSCHNLVAL -SRHRKSFRYFTVAPNDLDDQIVKSINFSKTLTSGDFDAVRCLPNK - ->YP_009104367.1 methyltransferase/helicase [Lilac leaf chlorosis virus] -MDPKSITDLLVSTLQKQCSDETTAIGKAFSDNGLQLIERALPKHQGEKLFVSFQLTADQQALLRRNFPGR -DIHFANSDSSSHSFAAAHRLLETDYIYKCFGTSTEPIIDLGGNFVSHIKRQRYNVHSCCPLLDDRDGARF -TERFMSLKTYMNTHPKECCEADYCQNRFECCDRSAQYVMSVHSTSDLDMRVLCKALAVKGTKKMIMSIMM -DPNMLIRDTGFLPNFNVQWEIDYTADEVTFDFVDAPCLGYKHKFSVLKQYLTTNAVVVGKTKAYRIERKS -DFGGVFIVDITEVAGYHPGMPVGTARSCAWMNLLKNKTIVHTVEGVEHWYFDVERRSKVLVDTKVLTKVL -EASFRQYKPTTEPRNMIQTIATMLSSSTNYTIINGVTLQAGESLQFDDYIAVATTIYVRTKRVFDELESN -VARLQSHRLENIPTELVGKVGAVGAFIADAFSRESYQTETKKNGNVSKLKLTSTVSKDVRSFLHYLIGKQ -GQNRHLISDPTLFVPLELVLETDWKVTRFLSVDDAKMDILKPAIDKIRLEEAEKLESLKKSEAFNRSITT -IAKWIELHPTGELPKGLGEVAALIPETKDIQLLVPREETSDKVVNKYASEIAEAIQYFETEMDTNDRKLK -SIGEHCQWSNKSTATIWAGDDSRRVYLPQANKWVGPHTIARVGPMCQYERGLTKDGYVPMLWEGDTLFVD -EQCRRNLLRYSAIFFDKSCEFAAGLRLIPALKEALTREAKFVRKLVDGVAGCGKTTKILAEGKLSGDNPD -LFLTSNKSSAMELRERLVGSQFVKSQRVRTVDSFLMNGTKKLTAERMFFDECFLQHAGCVYAAATLAEAE -ELVMFGDTQQIPFVSRIPHLRLKNSKVGADEKREFNMTYRSPADATFALSKWFYRKNVRTANRKIRSLRL -KPIVSINQVEAGYDLYLTHTQAEKHTLIATGRFDKTKVFTSAEAQGKTVGKVAFVRLTRTSMSLYSGKDP -LMGPCHGLVALSRHTNSFDYYTVADTDGDDIIAKAIRDVGNESDEKVWSYIHSDFSL - ->YP_008519304.1 replicase P1 [Blueberry shock virus] -MDPVKVSELLVSVLQKQCSDENTAIGKAFAEHGVQLVERTLPKSRGEKLNVSFQLSAEQQALLRKNFPGR -EINFLQSDASSHSFAAAHRLLETDFIYKCFGTTTEKILDLGGNFVSHLKRGRYNVHSCCPLLDDRDGARF -TERFISLKTFYSAHSEERHEASFCNKTFQQCEEHADYAMAIHAISDLPITELCQSLATKGVKKMILSVMM -DPNMLIRDVGEIPNFNVRWEIDRDKDKITFDFVDAACLGYTHKFSVLQQYLTTNAVIVGKSKAFRIERKS -DMGGVFIIDITEVAGYHEGMSVGVSRSCAWTTLVRNKTVVLTSNVHDDWWYDVERKSKVLMDTKVLTRVL -EAAFRQFKPNAEPEAAIQSIATMLSSSTNYTIINGVTLQAGESLSIDDYVAVATTVYVRTKEVYESLAKN -IEALAGPKMINTNTQECVDANQGLVRRHHVNPVNFVHREIYDFFWGHKDVKRTTRGKEETLEVGRNFRKT -VRGYLYECVGKQGANNFLISNPNFFVPLQNVLEAEWDGTPSLSVAETYKHVVGTELDRLDREREERSNRM -QTDVKLQNAILSIAKWVEANPTAEGPKGLGEVVALIPDHVKAFAETPDIKTGVINKYASEINEAITYYEA -EVSSAERKLREVGNHCNWSNSFIATIWSGDDSRRVYLPRQNKWLGPPSVVRPEPTAAYERGLVKDGYVRM -AWDEGTLHVDEVFRKSLLQYSAIFFDKSCEFAAGLRLLPALREALTKEAKFTRKLVDGVAGCGKTTRIIS -DGKLMGDSPDLFLTSNKSSAMELREKLEGSALIKASRVRTCDSYLMHGSKVKTKKLLFDECFLTHAGCVY -AAATLSEAEEIVMYGDTEQIPFISRIPHLKLRCHKVTADDKVQVNKTYRCPADATYCLSRWMYKRNLKTA -NRTIRSLGLRPIVSSAQIDKNYDLFLTHTQAEKHTLIASGFPKEKVFTSAEAQGKTVNNVAFVRLTRTSI -SLYTGKDPLMGPCHCLVALSRHTKKFDYFTVADSDSDDLIAKAIRDSRSASDERIYSFVHNDFKL - ->YP_002455928.1 replicase [Asparagus virus 2] -MDTIVQHESTVLRVDDLINDTIRRNAARPETAVGRLVSDAAVQVVARQCDLTPAKPLNVSFQLSPEDQNA -LRRDFPGRELQFRNSSYSSHSFAAAHRVCETDYIYGRFQTENTTIIDIGGNFCTHSKMGRDNVHSCCPIL -DVRDGARYTDRFMSVAGSLEKQPDRELRLNYCSNRFEECDVSAPWAMAIHSISDIPITTVVKHCFRRGVK -KLIASIMMDPMMLIATTGFIPRLNVKWEIETESDGKSRRISFHFVDAPGLSYSHNYDVLMQYMTCNQVII -SGKAAYRVERVADLSGVFIVEITLAATAGIERLDLVPMRDVSCAWMTSLRRKTLVRVAVPQYRHAWEIKY -IIADTDFVRRVAEVSFRQYKPDTKLEDLVKSIATMISSSSNHCIINGVTMQTGTPVAIEDYVPMAVTFVA -FAISRHKSIAKGIKMVEQRGTNIMDPNDHYDYEMDGSDVKSFSNHIIPMKNTIKSLFFPSKAKIGDNSML -IYSQSLMSTVVDEIKTLFGWDVWDTDDAVIQSLPSFYKMEDVFQVTSDHYCLSHTLSVDYWLEGLYDSYD -ALRKAHKKKLEEEEAYKTKVENALLKIAEVLEKPDVDDGLKQLKTIPLINSLLEKKTEEIVTKPQCRDSE -KPHINPYADAIKEAIAYYHELEMVNTRNLRGVGDYLGWRTKTNYASVWAGEESRMVLEPMARKFHSKDRD -VPIPEYERGMTVDGFISLSWKDGEITRETWKSLAKYNVVLFDQSCVFDAGSRLLPGLNKALVMDASFKVV -IEDGVAGCGKTTSLLKQAKPDSDLLLAANRETAKDAKTSGVVPDALLYRVRTVDSYLMLKNWFTADRLLV -DECFLVHAGLIYAAATLARVKEVIAFGDTKQIPFVSRIPTVTLRHASVIGVLKPRTITYRCPRDVTAILS -EKFYHTKVKTFNPVKISLDLININSAVEIPVVKDALYITHTKADKFALKKLPGMGNVDVLTTHEAQGKTR -DNVILARLSRTTHLLYSGKMPDAGSSHNLVGLSRHKKSLRYFSVFADDPDDQIASGIRWSKTLDEQELSA -YRAANSVSFMKPSEGSSGMCSAPSLS - ->YP_002308569.1 replicase [Blackberry chlorotic ringspot virus] -MDFTSIPSERRVSLPALNVDSLIADYVSNVRSDEATNVGRFLGEVALREIKSQVDTSNGDFQKLNVGFRM -TPDEKNALKSNFPGLDIVFRDSCYSSHSFAAAHRVCETLDIYNRFNTRTEKIIDLGGNYVTHAKQGRANV -HSCCPILDVRDGARHTDRYISLAASVEKKHRDLPVDFCCHKFEECQVKAPFAMAVHSISDIPITTVASHC -VRRGVRKLIASVMMDPLMMIYDKGHIPLLNVSWEKMDVEESDGKFRTMISFHFDDAPGLSYSHDFETLSK -YMTTNQVIVNNAYSFRVERTACLSGVYIVEMTLSMTDGHSTAYLKPMRDVSCAWLSTLRKKVFVKLAVPI -SAEWFTEPFEIRWALMDEHLVRYVSEAAFRQYSKTKDPETLVQYIATMLSSSSNHVIINGITMRSGSPID -LEEYVPLAVTFYVMAAWRYRMIAPGVEAVTTRVEKNVDQIDSSGNIKSDFNVVNELLKEAGLVDPNLPHI -RDVVKTAGLRVFGRKTVTRIRDDVSIVHPRNLLKEIIYDVKRLFGLTLSGSDYNLVDGVPAHMKATDVWS -VFMENLAFPSCLNVNECVNELLVNHMEMVEHDKQEEERKKNFLDARDAALITIAKALEKDSKIEDGLLPI -LDLCDIKDKLTSAKNSLSLTHEAIVASDSRLSSTVVNPYADSIKEAIRYFNEIEVVNTRNLRAIGTYLNW -RAATDRNSYRALKGRNDSIRVYVPFERKWYSDEKDLPQYERAMTEDGYVTLQWCNGNLSDNCYQSVRKYH -VLIVDDTCVFNSGQRMVPAMEAALKMNPTFKVTIVDGVAGCGKTTYLKKLARMEANPDLILTSNRSSSDE -LKDTIDCAEAMKYRIRTVDSYLMLKSWFSSDRLLFDECFLTHAGCVYAAATLAQVKEVIALGDTEQVPFI -SRLPEFKVQYHKLCGKIEVQTTTYRCPRDATACLKTFFYKRKTVKSASRVDRSLELCPINSAVQIPCVEN -TLYMTHTRADKDALMKIPGFNKNNIKTTHEAQGDTWDNVIMFRLSKTTGLLHSGKGPDIGSCHNLVAISR -HRKSFQYYTVAPHDCDDQIVKCINYSKTLSVGDLDAVRLISDN - ->YP_941474.2 replicase [Strawberry necrotic shock virus] -MDLNNYPTERRVGLPALSVDNLIADYVSNVRSDEATNVGRFLGEVALRELKSQVDTSNGDFQKLNVGFRM -TPDEKNALKSNFPGLDIVFRDSCYSSHSFAAAHRVCETLDIYNRFNTRTEKIIDLGGNYVTHAKQGRANV -HSCCPILDVRDGARHTDRYISLAASVEKKHRELPVDFCCHKFEECQVKAPFAMAIHSISDIPITTVAAHC -VRRGVRKLIASVMMDPLMMIYDKGHIPLLNVTWEKVDVEESDGNFRTMISFHFDDAPGLSYSHDFNTLAK -YMTTNQVTVNDTYSFRVERTACLSGVYIVEMTLSMTDGHSTAYLKPMQDVSCAWLSSLRKKVFVKLAVPI -SAEWFTEPFEIRWALMDEHLVRYVSEAAFRQYSKTKDPETLVQYIATMLSSSSNHVVINGITMRSGSPID -LEEYVPLAVTFYVMAAWRYRMIAPGIEAVTTRVEKNVDQIDTNGHIKQEFNVVNELMKEAGLVDPNFRDV -VKTAGLRMFGKKTISKTRDDVSIIHPRNLLKEIIYDIKRIFGLTLTGSDYNLVDGVPAHMKATDVWNVFM -ENLAFPSCLNVKECVNELLVNHMEMVEHEKREDEKRQSFLDARDSALITIAKALEKDPTIEDGLMPILDL -CDIKDKLTTAKNSLSLTHEAIIASDSRLSSSVVNPYADSIKEAISYFNEIEMVNTRNLRAIGTYLNWRAA -TDRNSYRALKGKNESIRVYVPFERKWYFDDRDLPQYERAMTEDGYVTLQWCNGGLSDNCYQSVRKYHILV -VDDTCVFNSGQRMIPALEAALKMNPNFKVTIVDGVAGCGKTTHLKKLARMDANPDIILTSNRSSSDELKS -TINCAEAMKYRIRTVDSYLMLKTWFSSDRLLFDECFLTHAGCIYAAATLAQVKEVIALGDTEQVPFISRL -PEFRVQHHKLCGNIEVQTTTYRCPRDATACLKNFFYKKKTVKSASRVERSLELCPINSAVQIPRVENTLY -MTHTRADKDALMKIPGFVKDNIKTTHEAQGDTWDNVIMFRLSKTTGLLHSGKGPEIGSCHNLVAISRHRK -SFRYYTVAPHDCDDQIVKCINYSKTLSSGDLDAVRLISDN - ->YP_001285482.1 putative viral replicase [Citrus variegation virus] -MDTIVQHESSVLRVDDLINDTIRRNAARPETAVGRLVSDAAVQVVARQCDLTPAKPLNVSFQLSPEDQNA -LRRDFPGRELQFRNSSYSSHSFAAAHRVCETDYIYGRFQTERTTIIDIGGNFCTHSKMGRDNVHSCCPIL -DVRDGARYTDRFMSVAGSLEKQPERELRLNYCSNRFEDCDVSAPWAMAIHSISDIPITTVVKHCFRRGVK -KLIASIMMDPMMLVATKGFMPRLNVQWEVETDEKEKSRRISFHFVDAPGLSYSHNYDVLMQYMTCNQVII -NGKAAYRVERVADLSGVFIVEITLAATAGIERLDLVPMRDVSCAWMTSLRRKTLVRVAIPQYRHSWEIKY -VIADTDFVRRVAEVSFRQYKPDTPLEDLVKSVATMISSASNHCIINGVTMQTGTPLAIEDYVPMAVTFVA -FAMSRYKSIKEGVKMVKQRGTNICDPNDHYDYEKDGSDVKNFSTHVIPMKNTIKSLFFPMKAKINDNSML -IYSQSLMTTVVDEIKTLLGWDVWDTDDAVIQSLPSFYKMEDVFQVTSDHYCLSHVLSVDWWLEGLYDDYD -VLRRAHKKKLEEEESHKTKIENALLKIAEVLEKPDVDDGLKQLKTLPIINSLLEKKVEEIVTKPQCRDSE -KPHINPYADAIKEAIAYYHELEVVNTRNLRGVGDYLFWRTKSSYASVWGADESRVVLEPMARKFYSRDKN -VPIPEYERGMTSDGFISLVWKDGEITRETWSSLSKYAVVLFDQSCVFDAGSRLLPGLNKALVMDANFKVV -IEDGVAGCGKTTSLLKQAKPDSDLLLAANRETAKDAKNSGIIPDALLYRVRTVDSYLMLKNWFTAGRLLV -DECFLVHAGLIYAAAALARVKEVIAFGDTKQIPFVSRIPAVTLRHASVIGTLKPRTVTYRCPRDVTAVLS -EKFYNTKVKTFNPVKTSLDLISINSGMEIPVVKDALYISHTKADKFALKRLPGMSNVDVLTTHEAQGKTR -DNVILVRLSRTTHLLYSGKMPEAGSSHNLVGLSRHKKSLKYYSIFADDPDDQIASGIRWSKSLDEQELSQ -YRAAESVSSMKPSEGSSGMCSAPGLS - ->YP_611154.1 putative viral replicase [Prune dwarf virus] -MTSSEITAANVHELLVKVLEKQCADETTTVGKAFSEKAKQSLNKTFGLNDESKQLKISFDLTAEQQTLLK -RHFPGRSVIFSNSSSSSHSFAAAHRLLETDFIYQCFGNTDETILDLGGNYISHLKQRRYNVHCCCPLLDV -RDCARHTERLMQYTTYKTSRPDEVHEPNFCENTFQDCSLQGKYAMAIHSTSDLPLGELCESLRKKGVMKF -ICSVMIDPEMYIKDRGHIDHFNLDWHVDKDKDRIYFDFVDAPCLGYDHKYSTLMEYLHYNAVDLGDAAFR -VERKTDFHGVMIIDITYCSGYKPGIELNAGRSCAWLTKLKSKTLVMATDITSVVHPSLEAVSRRHILVDT -KVLSRVCEASFRQYKPNVDAQSAIQSICTMLSSATNHCIINGVTMIAGTPLKLVDYVPVATTIYYRVKKI -YDAIPRSLGMINNLRTTGEMLDYATKQKGGIPDDRKLFSDYAFEPLRCLLSYVGSTPTRVETYTRDDGSI -EQCALYERWGNSWNLFKGFLSGYMEVEGFLVSDPQFFVPLTGVLHMKKLISDAGKVLSVKELLEEQRALV -ALKMREQIAEREKAEKSRREYEKAIIQLAAWTKAHPDAKVPKGLSVEEPLMPDVVKKVTADEVVPDCNPY -SDAISEAIDYLRSTAEISKSRLQQLGEHCRWKKYGFSTVWAGDESRRIFLPKENRWVGPTSTRQVGPKAQ -YERGYTVNGYVNFTWDDAGNVSDACVRSLREYEIVIVDDSCVFSSVEKVIPSLEKALKMNCDFSITIMDG -VAGCGKTTKIKSIASMVGDDIDLLLTSNRSSAIELKEAVEGSQLVKSRFIRTCDSYLMTNNAPKAKKMLF -DECFMQHAGVIYAAATIAGVSEVIAFGDTEQIPFISRNDMFLLKHHVLKGDHVKQTITYRNPADTVYALS -KFFYRKKTPVKTKRHILRSIKVKPINALSQVEVDASAVYVTHTQAEKASLLATPSFKSCKIYTTHEVQGG -SFDKVIFVRLTRTSNHLYSGKHPIMGACHGLVALSRHKSEFIYYTLAGGDNDDILLKACQYAERADDSDI -VKHYV - ->YP_164801.1 replicase [Fragaria chiloensis latent virus] -MDFQNLSSSAVPSPVSVQDLLSETLKRQCTDETTAIGKLFAEEAKRLVTQSFGSDAAKPLNVSFQLSAEQ -QSLLKRNFPGRVIQFSNSSSSSHSFAAAHRLLETDFVYSCFGNKNATILDLGGNHVSHLKMERHNVHCCC -PLLDGRDCARHTERLISYSTFKASHPQEIHDMNFCENAFQHCDEKADFAMAIHSTSDLPLDVLCKALLKK -GVRKFICTIMIDPDMLVKDRGFIEHFNVSWTIDRYADRIWFDFNDAPCLGYDHKYSTLMQYLTYNAVDLG -DSAYRVERKQDFSGVMVIDITRCAGFVPGIPLNCGRSCAWFTKIRNKTIVNISDIRDEVNGITLIQRGVL -IDTKVLIRVCEASFRQFKPNVEARVAVQNICTMLSSATNHCIINGVTMIAGTPLSVDDYVPVATTVYHRV -KKIYESVGTVLNNMNTYRDLLAKHRAMTVDDLLNADFSTQAEAGLFSLLRILAFPIRFVAKLTGLLPPGT -LTEVVGKTGSVERHTFVRKWGATFLNIARHILMEYQVMTPYLADPELFVPLESVMRAHCDEFGPVLQIPE -MLSVRGLKNSIDEKIASDRAEIIAEKLEADKLRAKQEKAILTVAAWIDAHPDGKVPVGLGLSSEDGKFLY -PKPTTEVVTEVGDDVVNPHADSIKEAIMYFETMSATSQSTLIELGNHCRWANYGFTTVWAGDENRRIYQP -SLNKWTGPMSVRNPTYRGEYERGMTVDGYVTLQWKDGSITEECRRSLFKYEIVIFDDSCIFAAAERMIPS -LRKALTIFSDFSVTIMDGVAGCGKSTSIVRNASLDPNCPDLVLSSNRSSAEDLSIKIPGTRIVKSRYVRT -CDSFLMTNSPPTAKKMFFDECFMQHAGCIYAAATLARCSEVYAYGDKEQIPFISRCDSIRFTHEKLEGKV -VQQLVTYRCPVDATAALSKFLYKKKKNIVTRRNVDRSISIVPINAVSQIEIDDKAVYITHTQAEKKALQS -ADGFSRMKVFSTHEAEGGTFDKVYFVRLSRTSTHLTAGSHPTMGACHGLVALSRHRTVFRYYTTATSDSN -DILYKACRYASASSDSELEFARYVPPIGEVIKL - ->YP_054422.1 replicase [Humulus japonicus latent virus] -MDFLAIQQLLQKSIEAQANDATSEIGKAISERALKLVENATEKRPCAEPLNVSFACTAEQQAVLRSHFPG -REVRFSNKSSSSHSFAAAHRLLETDFIYGCFNDRNSPILDLGGNYVSHFKRGRFNVHSCCPLLDGRDGAR -HTERMLQYDAYKRANPRILEEADFCNCRFEDCSHMANYAMAIHATSDMPLDVLCRTLAKKGVKKFICSIM -ATPEMVCFEKGEIEHFNVAWEVDKNADRIFFDFIRCSVLGFMTTSTPTLLQYLTVNAVKGKNWAYRVERK -NDMNGVIIVDITFISGFNDKTDVSAGRSCAWMNKLKSMTLVRIAGLPKSLYGGEYQLITRRGVLINTKVL -TRVIEAAFRNFKPDTPMQNAVQSIATMLSSSTNHVVVNGVSIVAGTPLQMRDYIPLAVAIYVYIKRGYDA -IPTLFERTGHAMTKNIFASQSVLAKVVDAFISEKSNLRMAVSDAEYLYSGEFSNKKEKDALYEKTEMWVK -NSFFSLLKTTFCQELDHELGILSSPDLFIPLDRVLEDEFEIPTVLTVEEEFAGLQKKREADEKAMRVQLD -KDRAEKLKLDKAILSIAAYIDKAGDKAPIGIAKLIPDIAKLSKPEQVFEEPDAIINPYADSISEAIEYIE -STEVIIEDKLKLAGNSCDWSRRGMNCCFVGDDSRRVFLRNSNAWVGPPHIPNAAPTEKYEVAMTKDGWVF -LEWEAEGFVLTEKCKRTLPDFILVNKDCVFQSGRRIIPALKQALKKDARFKVKILDGVAGCGKSYQIANS -VDLSDVNRDLVLTSNRNASIELREVIKASPLMKAKYVRTCDSYLMASRPEKARRLLFDECFMRHAGFVYA -AATLAECEEVLAYGDTEQIPEISRNPAFKFRCHKLEGEIVQQTVTYRCPADAVYVLNKHFYKTKRNIKTK -RHNPMRSLNIHAINSVRAVPVDKSALYLTYTQAEKEQLKSAFPGVKVLTVHEAEGASVPKVILVRLSRTS -LSLYTGKDAVFGASHALVAISRHTHCFEYYNYAATDQDDIIWKACRDALTCSDETLLSFCC - ->YP_006446.1 p1 protein [Parietaria mottle virus] -MDQDSLPSSSRSGVPFVDINSLLNSYIEHVRSDETTYVGRFLGEVALREIKSQVDINNGDFQKLNVGFQL -TADEKNALKTSFPGLEIAFKDSCQSSHSFAAAHRVCETLDVYKKFNTKTEKIIDLGGNYVTHAKHGRSNV -HSCCPILDVRDGARHTDRYMSLASAVEKHHKDLPVDFCCKKFEDCNVQAPYAMAIHSISDIPITTVAKHC -VRRGVRKLIATVMMDPAMMIYDSGHIPFLNVDWEKEDVECDGKVKPLIHFHFVDAPGLSYSHDFSVLSQY -MITNQVIVGDGYSYRVERTNDLNGVFIVEMTLSMTDGITMNHMRPLSDISCAWLTRLRKKVFVKLAVPVS -HEWFTESFEIRWALMDESLVRYVSEAAFRQYSKEKNAETVVQYIATMLSSSSNHVVINGITMRSGSPIKN -EEYVPLAVTFYAMAAWRYKMIAPGLAAVATKANRNIDEYREKEIKARNSDETLSDVLVDIQKSILPDDDL -GFKNFEKLPDMIESVGLRCIKGKTLKKIRDDTTLLQSRSFIRETLREIRAFFGLTLTDSDFNFVEGTPAS -LKSMVVWKTFVRNLEFPSCLDVSECSYNLMNKHLAQKVEIEREEKKRKDFLDARDRALITIAKVLEKPDV -PDGLLPLLDLCEVKDELVAATNSLSLTPQTIDVAADDSTVNPYAESIKEAIHYFNELEVVNTRNLRTLGS -YINWKPASTPHTYSSLQGRNESVKVFIPFENRWYPNTKDLQPYERAMTEDGYVSLQWTNDGKSFTDQCFA -SLRRYGAIVVDDSCVFNSGQRLIPALESALKIKPRFSVKIVDGVAGCGKTTHLKKISRLDANPDIVLTSN -RSSSDELKEALTCPEAMKYRIRTVDSYLMLKSWFSASRMLFDECFLTHAGCVYAAATLAQVSEVIALGDT -EQVPFISRLPEFRMQHHKLSGEIETQTTTYRCPRDATYCLKSMFYKNKSVKTASVVERSIDLVPISSPIQ -IPCENDVLYITHTRADKDALLKIPGFKKENIKTTHEAQGDTWDKVVCFRLSKTTNLLHSGKGPELGSCHN -LVAISRHRKSFRYYTVAPNDLDDQLVRCTGLVKTLSNSDLDSVRRLRT - ->NP_733823.1 replicase p1 [Prunus necrotic ringspot virus] -MDPESLSQLLVSTLQKQCADETSTVGKVFSERGVALVERSIPKAEGERLNISFALSAEQKSLLSRNFPGR -DIHFAQRDSSSHSFAAAHRLLETDYIYKCFGTTEESVIDLGGNFVSHIKQKRYNVHSCCPLLDDRDGARF -TERLISLKTYLRTHKEERHEADYCECRFEECPRRADYVMAVHAVSDLPITDLCAALTKKGTKKMILSIMM -DPNMLLRDVGEIPNFNVRWEIDRTEDIIRFDFIDAPCLGYQHKFSVLQQYLTTNAVIVGDKAAYRVERKS -DFGGVFIVDITAVAGYRPGMVVGGTRSCAWSTLIRNKTVVHTVDGEDHWWYDVTRRSKILVDTKVLTKVL -EASFRQFKPNVEPESMIQNIATMLSSSTNYTVINGVTLQAGESLPYGDYVAIATTIYVRTKRMYDSIRTN -IDRLNETRIVSIKDETQGEVYGKLGNVLGNLFAPTATTQIIAGPKGREDAYELHPKSWSDEVRNFFYCCV -GRQGVGHTLLSDPDLFVPLEVVLQAKWTGGSVLTVKTLFDDVVKPEYDRVEEELQRVRDDRDKSEKLQKA -ILTVAKWIEAHPDGKLPKGLGEVAALVPDMVDLRESVVAVEPKAVVNKYASEIQEAITYYELEADISAKK -LRSVGEHCNWSKKFISTIWAGDESRRVYIPHTDSWLGPPNVPKPEPTGQYERGMTADGYVLMAWSGSSGQ -KFDGPLQVDAVCRKELSRYPAIFFDKSCEFAANLRLLPALREALTVEAKFTRRLMDGVAGCGKTTKILNE -CRMCNDQPDLVLTSNRSSAMELREKLPGSQLLRSTRVRTSDSYLMNPKRPSSVRVIFDECFLQHAGCVYA -AASLAGAEELVLFGDTKQIPFVSRIPHFRLKDHLVSADEKVMSNLTYRCPADATMALSKWFYRRNVKTAN -TTLRSMSVKPIVSVSQIDRDFDLYMTHTQAEKHTLIASGVVPKDKVFTTAEAQGKTESRAALVRLSRTSM -SLFTGKDPLMGPCHSLVAMSRFKRQFVYFTVADTDSDDLIAKAIRDVSNSSDDTVSSYIHRDHKI - ->NP_620753.1 viral replicase p1 [Tulare apple mosaic virus] -MDSVVEHASSVLRLDDLLNDVVRRNAANAATDVGRLISDAAVNVVRRQVDLTPAKPLNVSFALTPEDQNA -LRRDFPGRELQFRNSASSSHAVMQLRTVLCRDRTIFIVRFSKPETTTIIDIGGNFCTHAKMGRENVHSCC -PILDVRDGARFTDRFMSIAGALEKQPERELRLNYCDHKFEDCDVSAPWAMAIHSISDIPITTVVKHCFPR -GVKKLIASVMMDPMMLIATEGFIPRLNVHWEIETIDKERIISFHFIDAPGLSYTHNYDVLMQYMTCNQVI -VAGKAAYRVERVADLSGVFIVEITLASTNKDRLDLIPMRDVSCAWMSSLRRKTLVRIAIPQLKNSWEIKH -VIMDTDFVRRVAEVSFRQYKSETPIENLVQSVATMISSASNHCVINGVTMQTGSPVPIDHYVPLAVTFVA -YARSRYRMVKPAMEMVRQRGVSIIDPDANVDYVHDKGEVIATGNAVKTAIKGLLFPTRTKMNDNSTLIYS -CPILESVVDEIKTVLGWDVWSTDDAVISSLPSFYKMEDVFEVTSEHYCLSHTLNVDYWLEGLYDSYDELR -KVHKKKLMEEEARKTKVEKALLKIAEVLESDDCPSGLLPLKIEPLVASLIEKKTDEIVTKPHCSDVSKPH -INPLCRNAFKGKPCFITHGNWEVVANGANLEEVWGGVPSGWAQGNRNYFRWCGGSGWIQGCVLGTIGPQV -VSTGIGSVGIRSGGMDGDGFVSLAWKDGDLTPNTWAALSKYNVVLFDSTCVFDARSRLLPGLEKALTMDC -KATVVIEDGVAGCGKTTSLLKQTKIETDLLLSANRETAKDARESGSIPDVMKYRVRTLDSYIMLKKWFTA -ERMLVDECFLVHSGIIYAAATLAQVKEIIAFGDTKQIPFVSRIPTFTLKHPSIKGVLKPKLVTYRCPRDA -TAVLSEKFYKKKVKTFNPISSSLSLININSGMEIPAERDTLYIMHTQADKCAMLRHPGINANNVMTTHEA -QGKTFDNVILVRLSKTTNLLYSGKMPDAGPSHNLVALSRHRKTLRYYSVYADDPDDMVASGIRWSKTLDE -QALSNYRVSG - ->NP_620772.1 putative viral replicase [Tobacco streak virus] -MDSLSLPTVCDVVVPALNVDNLIRDYVSNVRADDSNNVSRFLGEVALKEIKSQVDTSNGDFQKLNVGFRL -TPDEKNALKANFPGLEIAFRDSCHSSHSFAAAHRVCETLNIYNRFKTKTERIIDLGGNYVTHAKQGRSNV -HSCCPILDVRDGARHTDRYISLAASVENRHRELPVDFCCHKFEECDVKAPFAMAIHSISDIPISTVATHC -VRRGVRKLIASVMMDPLMMLYDKGHIPLLNVDWEKEDVEETGKTLIHFHFVDAPGLSYSHDFDTLSQYMI -TNQVIVNNSYSFRVERTACLSGVYIVEMTLSMTDGHSLAYLKPMRDVSCAWLSSLRKKVFVKLAVPISAE -WYTEQFEVRHALMDESLVRYVSEAAFRQFSKTKDPETLVQYIATMLSSSSNHVVINGITMRSGSPIKFDE -YVPLAVTFYVMAAWRYKMIAPGIDAVKTRTEKNVDILDEKGLIKEDFNVVNALLEDAGLIEPKLPHFTDV -IKNAGLRGFGKKTIEKTRDDVLLIKPRSLLREVIYTVRSVFGLTILDSDYNLVSGVPSHMKATHVWSVFV -GNLAFPSCLNVNECVNELLVNHMEMMEETKKEETRQQAFKDARDRALMTIAKAIEKDQTIKDGLLPILDL -CKIKEELTAASNSLSLTPEAIEQTDSRLMKASGSDVNPYADSIKEAIHYFNEVEVANTRNLRSLGIYLGW -SIPKNKQTYDALQGRNESVRVYVPYENKWYPSAPTSQYERAMTVDGYFSLPMEFEAITDGCRREISKYHL -LVVDDSCIFCSGQRMIPALEAALKLVPTFKITIVDGVAGCGKTTHLKKIARIDSSAAGSPDLVLTSNRSS -SDELKEVIDCPDVMKYRIRTVDSYLMLKSWFSAERLLFDECFLTHAGCVYAAATLAQVKEVIAFGDTEQV -PFISRLPEFRMEHHKVKGKISCTTDHLQMSKRCHSLFKENFLQKQDCEVSERCSSLAELCPIQSVIQIQP -ERDVLYMTHTRADKETLMRIPGMPKDRIKTTHEAQGETWDHVVMFRLSKTTNLLHSGKGPDLGPCHNLVA -ISRHRKSFRYFTVAPHDNDDQIVKCINYARSLSSGDLDGVRVLI - ->NP_620677.1 putative replicase [Spinach latent virus] -MDTIVQMKVLCYESMILLMIPSDVNAPRPETAIGRLISDAAVSGVLRQCDFTAAEASQCFVPIITGRSEC -IETRFSGREIQFRNFSYSSQSFAAAHRVCEADYIYSRFQTRNTTIIDIGGNFCTHSKMGRDNVHSCCPIL -DVRDGARYTDRFMSVAGALETQPDRELRLNYCSNKFEDCDVSAPWAMAIHSISDIPITSVVKHCFRRGVK -KLIASVMMDPMMLVASQGFMPRLNVQWEIEPDEENKGSRRISFHFVDAPGLSYTHNYDVLMQYMTCNQVI -ISGKAAYRVERVADLSGVFIVEINPGGNCWNERLDLVPMRDVSCAWMTSLKRKTLVRVAIPQYIHSWEVK -YVIADTDFVRRVAEVSFRQYKPETPLQDLVKSVATMISSASNHCIINGVTMQTGTPLAIEDYVPMAVTFV -AFAKSRYDSIKEGMKMVRQRGTNIADPNDHYDYEKDGSDVKSFSNHIIPMKNTIKSLFFPMKVKMNDNSM -LIYSQGILSTVVDEIKTLFGWDVWDTDDAVIQSLPSFYKMEDVFQVTSDHYCLSHTLSVDWWLEGLYDSY -HDMRVAHKKKLDEEESRKTKVENALLKIAEVLEKPDVSDGLKALSELPIISSLLEKKSEEIVTKPQCRDT -EKPHINPYADAIKEAQAYYEELEVVNTRNLRGVGDYLFWRKKSNYASVWGADESRVVLEPMARKFYSRDR -NVSIPEYERGMTVDGFVSIKWVDGNITEDTWKCLSKYAVVLLDSSCVFDAGSRIMPGLKKALVMDANFKI -VIEDGVAGCGKTTSLLKQAKPDSDLLLAANRETANDAKASGVIPKALEYRVRTVDSYLMLRTWFTAERLL -VDECFLVHAGLVYAAATLARVKEVIAFGDTKQIPFVSRIPTVKLRHASVVGTLKPRTITYRCPRDVTAVL -SEKFYGTKVKTFNLVKQSLELKNIDSSTEIPVEKDTLYIAHTQADKHALMRLPGMNGIEVLTTHEAQGKT -RDHVILVRLSKTTNLLYSGKMPDAGGSHNLVGLSRHKKSLRYFSVFADDPDDQIATGIRWSKTLDEQELA -AYRAAG - ->NP_619576.1 replicase [Elm mottle virus] -MESIIQHESTVLRVDDLINDTIRKNAARSETAIGRLVSDAAVRVVARQCDLTPAMPLNVSFQLSPEDQNA -LRRDFPGRELQFRNSSYSSHSFGGAHRVCETDYFYGRFQTKNTTIIDIGGNFCTHSKMGRDNVHSCCPIL -DVRDGARYTDRFLSVAGSLEKQPERELRLNYCSKKFEECDVPAPWAMAIHSISDIPITTVVKHCFRRGVK -KLIASIMMDPMMLVSTKGFIPRLNVQWEVETGEEKKSRRISFHFVDAPGLSYSHNSDVLMQYMTCNQVII -NGKAAYRVERVADLSGVFIVEITLAATPGIERLDLVPMRDVSCAWMTSLRRKTLVRVAAPQYRHSWEIKY -VIADTDLVRRVAEVSFRQNKPDTPLEDLVKSVATMISSASNHCIINGVTMQTGTPLSIEDYVPMAVTFVA -FAMTRYKSIKEGVKMVKQRGTNIVDPNEHYDYEKDGSDVRSFSNHILPMKNTIKSLFFPMKAKINDNSML -IYSQSLMSTVVDEIKTIFGWDVWDTDDAVIQSLPSFYKMEDVFQVTSDHYCLSHTLSVDWWLEGLYDDYD -VLRRAHKKKLEEEESHKTKVENALLKIAEVLEKPDVDDGLKQLKTLPIINALLEKKEEEIVTKPQCRDHE -KPHINPYADAIKEAIAYYHELEVVNTRNLRGVGDYLFWRTKPNYSSVWGAEESRVVLEPMARKFYSKDRH -VPIPEYERGMTVDGFISLVWKDGEITKETWKSLSKYNVVLFDQSCVFDAGSRLIPGLNKPLVMDPNFNVV -IEDGVAGCGKTTSLLKQAKPDSDVLLAANRETAKDAKTSGVIPETLLYRVRTVDSYLMLKNWFTADRLLV -DECFLVHAGLIYAAATLARVKEVIAFGDTKQIPFVSRIPTVTLRHASVIGKLKPRTMTYRCPRDVTAVLS -EKFYNTKVGTFNPVKTSLELININSGVEIPVVKDALYISHTKADKFALKRLPGMSNVDVLTTHEAQGKTR -DNVILVRLSRTTNLLYSGKMPDPGSSHNLVGLSRHKKTMKYYSVFADDPDDQIASGIRWSKNLDEQELSK -YRAAG - ->NP_613282.1 hypothetical protein CiLRVs1gp1 [Citrus leaf rugose virus] -MDSFVASPSNVLRLDDILNDVVRRNAANASTDVGRLISDAAVNVVRRQVDLSPAKPLNVSFALTPEDQNS -LRRDFPGRELQFRNSASSSHAYAAAHRVCETDYIYSRFQTENTTIIDIGGNFSTHAKMGRSNVHSCCPIL -DVHDGERYTDRFMSIAGALEKQPDRELNLNYCDHKFEDCDVKAPWAMAIHSISDIPITTVVKHCFRRGVK -KLIASIMDGSNDVNRHRSFIPRLNVRWEVETIDKERKISFHFVDAPGLSYTHNFNVLMQYMTCNQVIVTG -KAAYRVERVADLSGVFIVEITLASTNSHRLDLIPMRDVSCAWLSSLRRKTLVRVAIPQLKNSWEIKHVIM -DTDFVRRVAEVSFRQYKPDTPLENLVQSVATMISSASNHCVINGVTMQTGSPVMIDYYVPLAVTFVAFAR -SRYRMIKPGMEMVRQRGVSIVDPNADVDFVNVKGEAIATKSMVKTAIRGLLFPTRTKVDDNTVLLYTCPI -LESIVDEIKTALGWDCWATDDAVIQSLPSFYKMEDVFEVTSDHFCLSHTLNVDYWLEGLYDSYDELRQAH -KIRLAQEEARKTKVENALLKIAEVLESDTCPSGLLPLKLEPLVSSLIEKKTDEIITKPQCSDASKPHINP -HADAIKEAISYYNELEVVATRNLRGVGDYLFWRQKSNYASVWGADDSRCVLEPLSRRWFNRQNRTEYERG -MSVDGFVRLAWKDGDLTPDTWASISKYNVLLFDSTCVFDARSRLLPGLNKALVMDCKASVIIEDGVAGCG -KTTSLLKQAKIESDMLLSANRETARDARESGAIPDVLKYRVRTLDSYLMLKKWFTADRLLVDECFLVHSG -IIYAAATLGQVKEIIAFGDTKQIPFVSRIPTFSLKHPSIRGKLKPKTITYRCPRDATAVLSEKFYETNVK -TFNPTSSSLSLIDISSSMEIPAEKDTLYIMHTQADKCAMLRHPGINPDNVMTTHEAQGKTFNHVILVRLS -KPTNLLYSGKMPDAGPSHNLVGLSRHKSTLRYYSVYADDPDDMIATGIRWSKTLDEQALARYRAGDLVSL -S - ->NP_604469.1 putative methyltransferase/helicase [Apple mosaic virus] -MDRAALSNLLIATIQKQCLDENSAIGKAFSERGIDVFDRNLPKRPEGEKFNISFAVTAEQQAFLRRNFPG -RDIKFTQSDSHSHNYAAAHRLLETDFIYGCFGTVDEPVIDLGGNFISHLKRRRYNVHSCCPLLDDRDGAR -FTERMISLKTYYRTHQDEKHEADYCKCRFEECDRKADYVMAIHATSDLSLEKLCKALANKGTKKMIMSIM -MDPNMLIRDYGEIPNFNVRWKIDHEADTIKFDFIDAPCLGYQHKFSILKQYLTVNAGVVGGTQAFRVERR -ADLGGVFIVDITAVAGYHKKMIVGGSRSCAWASCVKGKTVVNTAEVVDDWFKKLTKRSCVLIDTKVLTRV -LESSFRQFKPTTDPASAIQTMATMLSSSTNYTVINGVTLQAGESLPFSDYVSVATTIYVRTRKMYEAIEQ -NIKKLIEPQLTNIHDVLPAQPDSGFSAHEGLSGFLGNLFMPLPKKVQVDGLKSRRDVYEIEGKSWSEWVK -SEAYLFLGRCKVEQDLISDPKLFIPLERVLATKWQGNTTLTVDDLVETMVKRVRIGWRKRGTRDVKFLSL -QKLQRNLLTIAKWCENHPTGAMPKGFEKSAALVPEMIDLNEVVESKSPSEAVVNKYASEIQEAITYFKTE -METNDKRLKSVGDHCEWSKKYMRTIWAGDESRGLYIPTRGAWLGPRSVPKGERRGKYERGLTKEGYVLLR -WDNEKRGELDYDCKRNLMKYPVIFFDKSCEFAAGLRLIPALERALGMEAKFSRRLVDGVAGCGKTTKILA -EGRLTGEDPDLFLTSNRSSAMELREKLVGSPLSKSQRVRTCDSFLMNGSKIKSKRIIFDECFLQHAGCVY -AAATIAEAEELVMFGDTQQIPFVSRIPYMKLRNHKVSADERRAHNMTYRSPADTTMALSKWFYKKSIRTA -NRTIRSMIVKPIVSVNQVDNDFELYITHTQAEKHTLIATGKFDKNKVHTSAEAQGMTERNVAFVRLSRTS -ISLYTGKDPLMGPCHGLVALSRHTKKFVYFTVADTDAEDLIAKSIRDVNTASDDKVASYIHGDHKI - ->NP_602312.1 putative viral replicase p1 [American plum line pattern virus] -MTCDAQTTEISELLDAVLKARCADESSTVGKVFADYAAQKVRNSLGDSKVRRPLTVSFQLTPDQQALLRH -NFPGREIRFSSSAPSSHSFAAAHRILETDFLYDCLSSEAPVIDLGGNFSTHLKNKRHNVHCCCPLLDYRD -GARHTERIYSWDKYSKHNRGYDRTLVLSEYVSRVYRSADYAMAVHSTSDIPLVELCSALRCHGVRKFVCT -MMMTPEMLFQSSGYIPHFEVRWTVDKKADRIFFDFENAPNLGMTILHSDQLFPGELCRPGRSRLSCGKTQ -DGGVVVIDITYVDGYYWGLSLEGGRSCAWFSRIRDKVLVNTVSDFDPYSNDVVRKEVLMDREVLTRVVEA -AFRQYKPTTAMETAVQSIAMLLSSSTNHVIVNGVTMIAGTPLEIYEYVPVAVAVYKHVRHYYNMVSTIFD -LINSEVQVKTSVPRFDSSAVPTVDMWGDAAMIEGAMEEVEISPSFIGSLFREQLISESDYERVVRLPGVS -LKRWWEEFRAKHLGTKRSFLRIFSDPEFCIPFYDYVVANHGSFLQIFKQKDVEMGDLAKERKQSRELLRK -SIREEEERKEAEERRLSKAVIRIAQWLEAHPNGKIPSGLGDIKDFLPVIHAKSEVNEGVKEGVVLNPYAE -NITEAIEYLDSVFTIQRRTMDSIINHCEGGRVISPAVFAGFEDLRIFKPSSNRWYAPSGKLPQAEEQYSF -GYVVGGDRVTIHWAGGEFSVDTRKALSVYSAVFFDKSCVVDTSGPIRESLRGALTRDTNVEITLIDGVAG -CGKTTKIVKSADIDREGGCLILTSNRNSAEELRSKVRGSQLVKSRVIRTVDSYLMAKNPPTADVVYFDEC -FLQHSGVILAAVTLAKAKKLYAFGDTEQIPFISRNPLFRFKHRFLTSNRRIECLDTFRSPADATFLLGKY -FYKKKSQIKTTSRVQPSISVKPISVHDQIEVDKSAIYCCYIQAEKAELLSKPQFKNMEVATIHEKQGESV -DKVIFFRLSKTSTELTTGKHPVMGPCHALVALSRHKKEFVYYTRAATVDTSDCLYKACMDVPETKELYEV -FTPSERSSLVLD - ->NP_041192.1 125.7 kd protein [Alfalfa mosaic virus] -MNADAQSTDASLSMREPLSHASIQEMLRRVVEKQAADDTTAIGKVFSEAGRAYAQDALPSDKGEVLKISF -SLDATQQNILRANFPGRRTVFSNSSSSSHCFAAAHRLLETDFVYRCFGNTVDSIIDLGGNFVSHMKVKRH -NVHCCCPILDARDGARLTERILSLKSYVRKHPEIVGEADYCMDTFQKCSRRADYAFAIHSTSDLDVGELA -CSLDQKGVMKFICTMMVDADMLIHNEGEIPNFNVRWEIDRKKDLIHFDFIDEPNLGYSHRFSLLKHYLTY -NAVDLGHAAYRIERKQDFGGVMVIDLTYSLGFVPKMPHSNGRSCAWYNRVKGQMVVHTVNEGYYHHSYQT -AVRRKVLVDKKVLTRVTEVAFRQFRPNADAHSAIQSIATMLSSSTNHTIIGGVTLISGKPLSPDDYIPVA -TTIYYRVKKLYNAIPEMLSLLDKGERLSTDAVLKGSEGPMWYSGPTFLSALDKVNVPGDFVAKALLSLPK -RDLKSLFSRSATSHSERTPVRDESPIRCTDGVFYPIRMLLKCLGSDKFESVTITDPRSNTETTVDLYQSF -QKKIETVFSFILGKIDGPSPLISDPVYFQSLEDVYYAEWHQGNAIDASNYARTLLDDIRKQKEESLKAKA -KEVEDAQKLNRAILQVHAYLEAHPDGGKIEGLGLSSQFIAKIPELAIPTPKPLPEFEKNAETGEILRINP -HSDAILEAIDYLKSTSANSIITLNKLGDHCQWTTKGLDVVWAGDDKRRAFIPKKNTWVGPTARSYPLAKY -ERAMSKDGYVTLRWDGEVLDANCVRSLSQYEIVFVDQSCVFASAEAIIPSLEKALGLEAHFSVTIVDGVA -GCGKTTNIKQIARSSGRDVDLILTSNRSSADELKETIDCSPLTKLHYIRTCDSYLMSASAVKAQRLIFDE -CFLQHAGLVYAAATLAGCSEVIGFGDTEQIPFVSRNPSFVFRHHKLTGKVERKLITWRSPADATYCLEKY -FYKNKKPVKTNSRVLRSIEVVPINSPVSVERNTNALYLCHTQAEKAVLKAQTHLKGCDNIFTTHEAQGKT -FDNVYFCRLTRTSTSLATGRDPINGPCNGLVALSRHKKTFKYFTIAHDSDDVIYNACRDAGNTDDSILAR -SYNHNF - diff --git a/seq/clusters_seq/cluster_205 b/seq/clusters_seq/cluster_205 deleted file mode 100644 index 56ea6e7..0000000 --- a/seq/clusters_seq/cluster_205 +++ /dev/null @@ -1,174 +0,0 @@ ->YP_010086234.1 movement protein [Groundnut chlorotic fan-spot virus] -MDMISRIGSSINSTVTNGLQNLGRTNEDTDVLGSDNRTLMRMPTKRDAEKARETRLQGFKERNVIESGPS -ELGEYDGNPVITSDLSILERLEVNTSNHISNWKTDVFLGNGQEMVKKDINLIPTWDSKKEYMQISRVIIW -IVPVAPGTKGKIKAALVDRNKAESEQIIFQKEGVLTDPLCFIFYMHWSYLKSVNNKALCPQLKFISNEVY -KKNVPFAVANFAWRKVFCNSPIAMTEVQPDLIVLNRGITIRNKALLEAVKCIVPNGNNGKTIKKQIESLS -KSLEAAALEDESESTGAEMKELTFDL - ->YP_010086062.1 nonstructural protein [Alstroemeria necrotic streak virus] -MLTLFNNKKSSKSLKTDESPLVSLTKQNGNVEISKSWSQSDEQAALAKSMDVSKGKILLNTEGTSSLGSY -ESDTITESEGYDLSARMIVDTNHHISNWKNDLFVGNGKQNATKVIKICPTWDSRKQYMMISRIVIWVCPT -IPDPTGKLVVALVDPNMPPEKQVILKGQGVITDPICFVFYLNWSIPKINNTLENCCQLHLMCNQEYKKGV -SFGSVMYSWTKEFCDSPRADKDKSCMVIPLNRAIRARSQAFIEACKLIIPKGNSEKQIKRQLKELSSNLE -RSFEEESEIISDNVAKLSFSDEI - ->YP_010086210.1 NSm [Tomato yellow ring virus] -MSRITNVFENFMKNDNKELIPAVKTTDSENRLALSRKVTKKDVDAAMKNKASTFNGNQYISSSDSTVLGS -YEDGKSIENSSDDILSRLIVEKSTHLSNWKDDSLVGNGDDKVSCTINIIPTWDSKRKYMHLSRLIVWVVP -TIPDSKGFVKATIIDQNKMTPKERLIIGKQGTLENPLCFVFHLNWSFNKDRNVPKRCMQLNLTSNEKYAK -GVSFASVMYSWVKNFCDTAIASDSNTCDVIPINRAKAIRSAALIEACKLMIPKGTTGKAIKNQITSLQKI -AEKVALEEDAEESTDVLEIEIDNLDDQF - ->YP_010085062.1 nonstructural protein [Soybean vein necrosis virus] -MSNFVAKAIEMGQGMIPKSNGEIKEYQNPITESQGRHKLDLGNYKGKEVDKIVKKNMELSKGSFVRDTTG -SSELGVYESGTTLSSDSNLDLAAKMIVDKSNHISKWKNDVLVGNGKELIKKKLHLKPNWDSMKQFMMLSR -VIVWICPVSPDTSGYLKIGIKDQCSEDKNAFVARGEGKINTPICFYFNLNWSYPKEKNTLEFCPIAIMET -DQQYKKGAPLASVMYSWCKEFCGSPRYYEKSDCYVIPISPAVRFQSAAMIEACKYMIPKGSSGKAIKKQI -EELGKYLEQAALDEENEEGGMESGSSFPSLVEMKPI - ->YP_009553293.1 nonstructural protein [Alstroemeria yellow spot virus] -MSRITNVFEKFISNENNDLSPAIRKIDSDDKLALSRKVTQKDVDIAMRNKASTFNGNQYISSSDSTVLGS -YEGGSSIETSSDDILSRLIVEKSTHLSNWKDDSLVGNGEEKVSCTINIIPTWDSKRKYMHLSRLIVWVVP -TIPDSAGFVKATIIDQNKLTPKEKLIISKQGTLENPLCFVFHLNWSFNKDRNVPKRCMQLNLTSDEKYAK -GVSFASVMYSWVKNFCDTAIASDSNTCDVIPINRAKAIRSAALIEACKLMIPKGTTGKAIKNQIISLQKI -AEKVALEEDAGEGSEMLEIELDEPSLFE - ->YP_009512998.1 putative movement protein [Polygonum ringspot orthotospovirus] -MSRIANVFENFTKNENRELAPAVKKTDKDNRLALSRKVTSKDVTDAMKKKASTFNGNQYISSSDATVLGS -YEGGSSIENSSDDILSRLIVEKSTHLSNWKDDSLVGNGEEKVSCTINIIPTWDSKRKYMHISRLIVWVVP -TIPDSQGFVKATIIDQNKLTPKERLIIGKQGTLANPLCFVFHLNWSFNKDRNVPKRCMQLNLTSNEKYAK -GVSFASVMYSWVKNFCDTAIASDSNTCDVIPINRAKAIKSAALIEACKLMIPKGTTGKAIKNQIVSLQKI -AEKVALEDDADEVTEVLEIEIDDPNDQF - ->YP_009505545.1 nonstructural NSm protein [Watermelon bud necrosis virus] -MSRFSNVIESFRSSKNSSKELVPAVKTENNKNILARNVSQKDIDTAIMNKVKTLNGNQYISGGDSSVLGT -YSGESEIEASSDDILSRLVIEQSAHLSNWKNDSLVGNGNDKVSFTINVMPTWNSKRKFMHISRLIIWVVP -TIPDTKNNVKITLIDPNKMTKEEKIILSRQCSLKDPMCFIFHLNWSFPKERNTPGQCMRLNLTSDEKYAK -GVSFASVMYSWVKNFCDTPIASENNTCDVVPINRAKVIQSAALIEACKLMIPRGTSGKAIANQIKSLQKA -AEKLALESEQEEKDIDVDIEMDSLLEI - ->YP_009505289.1 NSm [Iris yellow spot virus] -MSRITNVLESFKKNDNKELIPAVRTIDSDNKLALGRKVTKKDVDAAIRNKASTFNGNQYISSSDSSVLGS -YESGESVENSSDDILSRLIVEKSTHLSNWKDDSLIGNGDEKVSYTINIIPTWDSKRKYMHLSRLIVWVVP -TIPDSKGFVKATIIDQNKMTPKEKIIISKQGTLENPLCFVFHLNWSFNKDRNVPKRCMQLNLTSNGKYAK -GVSFASVMYSWVKNFCDTAIASDSNTCDVIPINRAKAIRSAALIEACKLMIPKGTTGKAIKNQITSLQKL -AEKVAFEEEADENDDVLEIEIDNPKNRFDEL - ->YP_009449455.1 NSm [Calla lily chlorotic spot virus] -MSRISNVLSSFIPTNSSNNALVPAIKNDNDKSIIARQISKHDVNRALENKARSFNGKQYVAGMDSSVLGS -YSSGGDIEATPDDLLSRLVIEQSTHLSNWKNDSLVGNGNDKVSFTINIMPTWDSRRKFMHISRLIVWIVP -TVPDSKSMVKATLIDQNEMTKDKKIIIGKQASISDPACFIFHLNWSFPKERNTSKQCFQLNLTSDKKYAK -GVSFASVMYSWVKKFCDTPIASESNTCDVIPINRAKVIKSAALIEACRLMIPKGTSGKQISNQIKKLQNV -AEKLQLESEMSEDDEELDIKIDFEDKLEL - ->YP_009408635.1 nonstructural movement protein [Tomato chlorotic spot virus] -MLTFFGSKKPSESEKTDEGPLVSLTKRNGNVEVSRSWSQSNEKEALAKNMDVSKGKILLNTEGTSSLGTY -ESDSITESEGYDLAARMIVDTNHHISNWKNDLFVGNGKQNATKIIKICPTWDSRKQYMMVSRIVIWICPT -IPDPTGKLLIALIDPNMPSDKQVILKGQGTITDPICFVFYLNWSIPKANNTPENCCQLHLMCSQEYKKGV -SFASVMYSWTKEFCDSPRADKDKCCTVIPLNRAIRARSQAFIEACKLIIPKGNSAKQIKKQLKDLSTNLE -KSVEEEEEGVCDNIAKLSFVDEI - ->YP_009346015.1 NSm [Melon severe mosaic tospovirus] -MLNYLRSKSLSKQDKKQPKPQDYLEKVGDKMLVKKEWTKSDERDIMLSSLNSNKGKIVADSSKLSSLGTY -ETVDISEREDYDIAARMIVDTNHHISNWKNDQFIGHGKQHAVKIVKICPTWDSKKAYMMISRLVVWICPT -IPDPTGRLLVALIDPNKSAKTQVVLKGSGTIEDPICFVFYLNWSIPKENNKPETCCQLHIMCDQEYKEGV -SFASVMYCWTKEFCDSPRADLNKSCTVIPINRAIRARSQAFIEACKLIIPKGNGGKQIKSQIEDLCKNLE -LSAKEEEDSGEKCCPELTFDDGI - ->YP_009345143.1 nonstructural NSm protein [Pepper chlorotic spot virus] -MSRLTNVLSNLLATKEEKNTDLTSIVKKDEGRSNREFLTRAISKKDVETALNNKAKTINGKQYISGMDSS -ILGSYSGGESIDSSHDDILSRLVVEQSTHLSNWKNDSLVGNGNDKVSCNVQIMPTWNSSKRFMSLSRLIV -WVVPMIPDPKGCVKATIIDQNKMTVSEKVIIGKQSSLADPMCFIFHLNWSFPKERNNPKNCMILNLTSNE -KYSKGVSFASVMYSWVKNFCDTPIASEKNTCDVVPINRAKIIQSAALIEACKLMIPKGTSGKQISSQIKR -LQSMAEKVAIEEDSGEINEIDVSIDPDYGSL - ->YP_009315930.1 movement protein NSm [Zucchini lethal chlorosis virus] -MMTLFRNKLSAKSAKKEEDPMSALTKKNGSIEVAKSWKPSDERAALQKSMDNSKGKIIVNSENLSSLGTY -DAVDITESEVHDLAARMIVDTNHHLSSWKNDFFVGNGSKYAMKVVKICPTWDSRKQYMMVSRIVIWICPT -IPNPTGKIMVALVDPNMPVEKQIILKEQGTITDPICFIFYLNWSIPKVNNTPETCCQLQMICSQEYKQGV -SFASVMYSWTKEFCDSPRADLEKSCTMFPLNRAIRARSQAFIEACKLIIPKGNSEKFIRKQLQELSTNLE -KSVKEEEEVSENVAKLTFEDEI - ->YP_009259253.1 Nsm [Tospovirus kiwifruit/YXW/2014] -MSRFSNVVNSLLNSNSSSNELVPAIKNENDMSVLARQVSKRDVDKALENKAKKFNGKQYVSGMDSSVLGS -YSSDGTVEANSDDILSRLVVEQSTHLSNWKNDSLVGNGNDKVSFTINIMPTWDSRRKYMHISRLIVWIVP -TAPDSESLLKATLIDQNEMTADKKIIVSKQSSLLDPVCFIFHLNWSFPKERNTPKQCFQLNLTSNKKYAK -GASFAAVMYSWVKNFCDTPIAAEKNTCDVIPINRAKVIKSAALIEACRLMIPKGTSGKQISNQIKRLKTV -AEKLALEAEASDNEEELDIKLDLDNRLDL - ->YP_009162645.1 movement protein [Chrysanthemum stem necrosis virus] -MMTLFGNKGSQRQAKREEGPLVSLTKQNENVEVARSWTSSDEKAALKRNMDSSKGKILLNTEGTSSFGTY -ESDSITESEGYDLSARMIVDTNHHISNWKNDLFVGNGTSNATKVIKICPTWDSRKQYMMISRIVIWVCPT -IPNPTGKLMVALVDPNMPSDKQIILKGQGTITDPICFVFYLNWSIPKMNNTPENCCQLHLMCSQEYRKGV -SFASVMYSWTKEFCDSPRADKDKSCMIIPLNRAIRARSQAFIEACKLIIPKGNSEKQIRKQLKDLSSNLE -RSVEEEEKGVSENIAKLSFIDEV - ->YP_009126737.1 NSm [Mulberry vein banding virus] -MSRLTNVLSSFQPSNNSSKELVPAVKNENNRNILTRQVSKSDVDNAMKNKAKTLNGKLYISGEDSSVLGS -YSGESAIEATADDILSRLVVEQSTHLSNWKNDSLVGNGSDKVSFTINIMPTWNSGRKFMHLSRLIVWVVP -TIPDSKSNVKATLLDQNKLTLSEKIVISKQASLKDPMCFIFHLNWSFPKERNTPKQCMQLNLTSDEKYAK -GVSFASVMYSWVKNFCDTPIASEKNTCDVVPINRAKVIQSAALIEACKLMIPKGTGGKQISNQIKSLQKV -AEKLALESEKEENEVEIDIDIDMDKSLEV - ->YP_006468901.1 non-structural movement protein [Bean necrotic mosaic virus] -MSKLLSKIIELSQELSQGAAGNKNEFQSYENPIVNKNGKQTLLLGNYKEKETDELVKQSLDLTKGGFVRN -SAGTSELGVYESGLSLSSDVDLDIAAKMIVDKSTHISNWKSDVLVGNGNNLIKKKLSLKPNWDSLKQFMM -LSRVIVWICPVSPDTSGYIKIGLKDQCSEDKEAFVAKGEGKINTPICFYFNLNWSYPKEKNTLEFCPIII -MESDQKYKKGAPLASVMYSWCKEFCSSPRYYEKSECYVIPISPAVRFQSAAMIEACKYMIPKGSSGKAIR -KQIESLGKHLEQAAIDEENEEVSNETESSFPPLKLMT - ->YP_001740045.1 NSm [Tomato zonate spot virus] -MSRITNVLSSFIPTNNSNNELVPAIKNENDKSIIARQISKRDVNQALENKAKSFNGKQYVSGMDSSVLGS -YSSGNEVEATSDDLLSRLVVEQSTHLSNWKNDSLVGNGNDKVSFTINIMPTWNSRRNFMHISRLIVWIVP -TVPDSESIVKATLIDQNEMTVDKKIIIGKQTSLSNPACFIFHLNWSFPKERNTPKQCFQLNLTSNKKYAK -GVSFASVMYSWVKNFCDTPIAAESNTCDVIPINRAKVIKSAALIEACRLMIPKGTSGKQISNQIKKLQTV -AEKLALESEMDENESELDIKIDVDNTLDF - ->YP_717925.1 non-structural protein [Capsicum chlorosis virus] -MSRISNVLESFRPSNSSKKELVPAVKMENNRGLLARNVSQKDIDSALLNKAKTINGKQYVSGGDSSVLGT -YSNEMVVEATSDDILSRLVVEQSTHLSNWKNDSLVGNGNDKVSFTINIMPTWNSGRRFMHISRLIVWVVP -TIPDSKNSVKATLIDQNKMTKADKIVISRQASLKDPMCFIFHLNWSFPKERNTPKYCMQLNLTSDEKYAK -GVSFASVMYSWVKNFCDTPIASESNTCDVVPINRAKVIQSAALIEACKLMIPKGTGGKQISNQIKSLQKV -AEKLALEAEDEGESIDVDIEIDNLLEIS - ->YP_717934.1 nonstructural protein [Melon yellow spot virus] -MSPLSTVLAVFRTQKTVEKGPLCNENNKSYLSRQVSQVDVEAAMKSKAMTANGKQYISTIDPCLLGSYNK -DKNIDSSSDDILSRLVIERSTHLSSWKNDSLVGNGEKKVTFVANLIPTWNSNKKFMHLSRLIVWVVPTIP -NPKGFVKATLVDQNKTTIDEKIIVSGQSSLTDPMCFIFHLSWSIPKERNTLKQCTQLIFTSNEKYTEGIS -FASVMYAWAKNFCDTPIAAESTTCDVIPINRAKVIRSAALIEACKLLVPKGTSGKQITNQIKQLQKIAEK -CAMESEGEDYAEEIPIDIDEPERSRLEI - ->YP_009225.1 non-structural protein fragment, partial [Tomato spotted wilt orthotospovirus] -KAMDASKGKILLNIEGTSSFGTYESDSITESEGYDLSARMIVDTNHHISNWKNDLFVGNGKQNANKVIKI -CPTWDSRKQYMMISRIVIWVCPTIPNPTGKLVVALIDPNMPSGKQVILKGQGTITDPICFVFYLNWSIPK -MNNTPENCCQLHLMCSQEYKKGVSFGSVMYSWTKEFGDSPRADKDKSCMVIPLNRAIRARSQAFIEACKL -IIPKGNSEKQIKKQLKELSSNLERSVEEEEEGISDSVAQLSFDEI - ->NP_620766.1 nonstructural protein [Watermelon silver mottle orthotospovirus] -MYRLSNVLKPFRLSNNTNKEMVPAVKTENNKSIIVRNVSKKDIDEAIMNKVKTANGKQYVSGIDSSILGT -YSSELDTGESDVGDSSDDILSRLVVEQSTHLSNWKNDSLVGNGNDKVSFTISIMPTWNSGRRFMHISRLI -LWVVPTIPDSKNSIKVTLLDQNKMTREEKIILSRQTSLKDPLCFIFHLNWSFPKERNTPKQCMQLNLTSD -EKYAKGVSFASVMYSWVKNFCDTPIASESNTCDVIPINRAKVIKSAALIEACKLMIPKGIGGKQLSNQIR -ALQKAAEKYAMEAENDADVIDVDIEMDNLLEI - ->NP_619702.1 non-structural protein [Groundnut bud necrosis virus] -MSRFSNVLESFRPSNSSNKELVPAVKKENNRSILARNVSKKDVDSAIMNKAKTLNGKQYVSSGDSSVLGT -YSSESAVEATSDDILSRLVVEQSTHLSNWKNDSLVGNGNDKVSFTISIMPTWNSNRRYMHISRLIIWVVP -TIPDSKNNVKASLIDPNKMTKEEKIIISRQASLKDPMCFIFHLNWSFPKERNTPKQCMQLNLTSDEKYAK -GVSFASVMYSWVKNFCDTPIAAENNTCDVVPINRAKVIQSAALIEACKLMIPKGTGGKQISNQIKSLQKA -AERLALEAENDDESLDVDIEMDNLLEI - ->NP_619690.1 nonstructural protein [Impatiens necrotic spot virus] -MNSFFKSLRSSSSRELDHPRVTTTLSKQGADIVVHNPSANHNNKEVLQRAMDSSKGKILMNNTGTSSLGT -YESDQISESESYDLSARMIVDTNHHISSWKNDLFVGNGDKAATKIIKIHPTWDSRKQYMMISRIVIWICP -TIADPDGKLAVALIDPNKSVNARTVLKGQGSIKDPICFVFYLNWSIPKVNNTSENCVQLHLLCDQVYKKD -VSFASVMYSWTKEFCDSPRADLDKSCMIIPINRAIRAKSQAFIEACKLIIPKGNSEKQIRRQLAELSANL -EKSVEEEENVTDNKIEISFDNEI - ->sp|P36292.1|MVP_TSWV1 RecName: Full=Movement protein; Short=MVP; AltName: Full=Non-structural movement protein; Short=NSm -MLTLFGNKRPSKSAGKDEGPLVSLAKHNGSVEVSKPWSSSDEKLALTKAMDASKGKILLNIEGTSSFGTY -ESDSIIESEGYDLSARMIVDTNHHISNWKNDLFVGNGKQNANKVIKICPTWDSRKQYMMISRIVIWVCPT -IPNPTGKLVVALIDPNMPSGKQVILKGQGTITDPICFVFYLNWSIPKMNNTPENCCQLHLMCSQEYKKGV -SFGSVMYSWTKEFGDSPRADKDKCMVIPLNRAIRARSQAFIEACKLIIPKCNSEKQIKKQLKELSSNLER -SVEEEEEGISDSVAQLSFDEI - diff --git a/seq/clusters_seq/cluster_206 b/seq/clusters_seq/cluster_206 deleted file mode 100644 index 2955e9b..0000000 --- a/seq/clusters_seq/cluster_206 +++ /dev/null @@ -1,814 +0,0 @@ ->YP_009508474.1 L protein [Ryukyu mammarenavirus] -MEEVISQLRDLCLNYIEQDDRLSRQKLNFLGQREPRLVLIEGLKLLSRCIEIDSADKNGCTHNSEDKSVE -TILIEGGIACPGLPLIIPDGFKLIDNTLILLECFVRSSPSAFESKFIDDTNKLSSIKEDLAVAGVTLVPI -VEGRVDYGNSSMPDWVNHKFRDLLFKLLEYSSQNEKVFEESEYFRLCESLKTTVDKRSGIDSMRVLRDAR -SSHNEDIMRLCHAGVNPNLTNEEVALGVSALFSKFRQKLFKGELKRSFLKVNPETIIHNFSTLYDGIDDV -DDICALTQDALHCCPLMRFVNGDTTGNIEPLSTGDCYNKVLSMLNKVKSLKLLNTRRRQLLNLDVLCLSA -LSKLMNRKNNGKIDTSKYWLGCCYTSVNDRLVSYSSTKDEFLRLLRNRKKSKKLKQLTLEQIYNQSISEF -IGKVNKCLNVAGLTFSDYGLSDSLSECLISIKEFQEITREGSHPLMFYTKFDNYSFHSGVQAVRDGSGLR -KLSSVCLAITNSMKTSSVVRLRQNQLGSNRYQVVECKEVFFQPIKTEAGLYHLLYQKTGEASRCYSIQGP -SGHLVSFYADPKRFFLPIFSDEVLDQMIQVMLSWLESSPDLVELMSDIEIALRLLVMVLLTNPSKRNQKQ -VQNVRYLSMALVSDFYCVELMEKMKEQLITSAEKVVYRLLRFLVKKVFASGSKVLLTAKFKFMLNVSYLC -HLITKETPDRLTDQIKCFEKFFEPKSEFGFFVNPKETITAEEEDAFLQQMSKFVSKEEDCQSTKPGVNLT -VFSYMVSSFNNGTLIRKGEKSLGVLDPMTNSGCATALDLASNRSVVVNKVLNGERMLEYDFNKLLVSTVS -QITENFIRKQRYKLNHADHEYKVSKLISKLVLNDPPSEGQKKKKKNCAQECTVFEDEGEDTFFGELKNRV -DNTILNYKRGSLKHDAESSKPVKGLHHLQEILCGEKAVLRKLILSEISYHLVEDFDPSCLTLEEVQYICE -ALESNTKLGPLYFTSTLKDLCSLDEMAPNLCRKFFSECDWLACLKMILLQMNANAYSGKYRHIQRQGFNF -KFDWDKLEEDVRISERESNSESLSKALSLTKCMSAALKNLCFYSEESPTSYTSVGPDSGRLKFALSYKEQ -VGGNRELYIGDLKTKMFTRLVEDYFEAFSSFFSGSCLNNEKEFENAILSMTINVRQGYLSYSMDHSKWGP -MMCPFLFLMLLQNLKLSEEQYVKNGKDHISTLLTWHMHKIVEVPHPVVSALMKSYVKEGLGLLKGTQTTV -TQEIFATYFDRGIVPSHISSLIDMGQGILHNASDFYGLISERFINYCIGLVFGEQPESYTSSDDQVTLFD -LNISKLVEEDPEEVQVLLEFHSYLSKLLNKFVSPKSVVGRFAAEFKSRFYVWGEEVPLLTKFVAAALHNV -KCKEPHQLCETIDTIADQAVANGVPVKLVNNIQKRTLSLLEYSNFPLDPFLLNTETDVKDWLDGSRGYRV -QRLIERLCPQETKLIRRLVRRLHNNLKTGEFSEEFLVDLFSKEKADTILKIGEMLNMSEGLEDLTKICWL -NLNELFPLRMVLRQKVVYPSSMSFQEERVPSLIKTLQSKLSSKFTRGAQKLLSEAITKSAFQSCISSGFI -GLCKTLGSRCVRDRNRGNLYIKRILDDLNQDDRILKLHDEKSGIILYELNRSKSSYDHKEYYLDYLRPLM -WDYICVSLSNSFELGVWVLAEPVEKSSSSKDTLVSQISPHHYVARKPSGTRLLEDRINLNHVIQSVRRLF -PKIYEDQLLPFMSDQSSKNMRWSPRIKFLDLCVLIDIHSESLSLISHVVKWKRDEHYTVLSSDLVQSHER -HDTSLTDELVVSTRDICKNFLKQIYFESFVREFVITSSTLGNFSWFPHKSMMPSDDGVEELGPFQSFVTK -VINKNEERPMYKTDVQFGFGWFSYRLEKVFFNPSLFTKAHLLSSGELNSLNQFWDGLLSGSGSPLGLTII -VEFTHNQNNTTHLRKFSLIFQIECEPVAVGVVRFESCRYMYKGEVDKRMIDECLSLLRSDTVFKRQNSVF -DVNSEVLKDYVLLPQALGEFIEVEVLNSSLILNEIRSYDFERIGPDWEPTPLTLRNGTLLEGKSVVQELH -IDLSTKDMRIFLGELEGCQDLPMILGKLLQHRLKTGDHLLNVEIHTVLTSLELSRETLVPGLQNLVDWIL -FKDYRLCYSKFKQTIMYENTLGRFRLKGRPCDDWLSQATIQEID - ->YP_009505806.1 RNA-dependent RNA polymerase [Loei River mammarenavirus] -MEETLSEIRRLVGGYVPSSEGLARQKVAVLNQREPRFVLIEGLKLLSLCIELDSCLANACIHNTESLSVE -MILYNNNILCPSLPTIVPDGYKLKGNILVLLECFVRSNPANFQQKYTEDLVKLESIKGDLLKSGIQLIPI -VDGRTNFGTTLIPDWVCERLRHLFFKLMEFQQESNSAFEESEYQRLCEALSQNVSKLSGLDSINTLKDCR -AEHFNNLLKKCHEGIQSDMKGVSIRESIELMFQSFRNKLKAGSIKAQFVKVSQQGLLNSFNNLYIDELGD -TLESYEQLRDSFGNISPIIKFLYLTPETQEVVKQTDIDNIVSRLKSLLNKVKSMKAFNTRRKLLLIFDTI -ILMGHVKYYKEKNRFLDQEWLGSSFCSVNDRLVSLQETTRSLAMWVERRHRNMVDKSGTLPAPSKEAVFK -SLIQKTFEKIQLVLESINLSMDSYGVNVEDIDVEYDELLKCQKEGIQPTMHYNATCPKKFPYKLSQMSCD -SSDDFRMLSSLCLSIVNSMKTSSVPKLRHNEIGKNRYEVVTCREAFYQDVKTPKGEFKLIYQKTGEGPKC -YAINDSTVGEVCSFYADPKRYFLPVFSFDVLAETIKVMLSWLIDCSELSEQMGEIGWLVKAIVVLVLCHP -TKRSQRFLQNIRYFIMAFVSEYHHTKLVEKLKEQLITRPEYLLYSLVRRLLNIVLSESVKSMLTNRFKFI -LNVSYFCHLITKETPDRLTDQIKCFEKFLEPKLAFGSCIVNPKELSAPEELDDYYYGADKFLEKPSPLDP -AETIFGKPGVNKRLFSMMVSAFNAGLLFKGGEVKGNFVDPMVNSGCATALDLASNKSVVINKYLDGERIL -EYDISKLTSAAVCQLTEVFSRKGKYLLNKNDYDYKVQRVIAKLVLGESNKKSKSKPAASDINHEDYESLF -SYEQQGFFEEVKKRVDSVLCNYKPLNEGHLSFEDQGEPSLTDLKLVISNDLHRKLICSELSTHVVEEFDH -SLFSESFYYEVCERVHSHQVLKDKYFYDLSGGPCPISQISKSVASHFYENGDYFQCFKSILLQMGANKVS -GKYMHHKHRMVNFKLDHSKLMDDVRISERESNSEALSKALSLSNCTSSALKNLCFYSEESPESFNSLGPD -TGRLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEAFTKQFKGSCLNDEKEFENALVSMRLNVSLA -YLSYSIDHSKWGPMMCPFLFLMLSQNLEMKSPAALEGIKSKDLISTLLCWHIHKMVEVPINVVTALMKSY -IKRNLGIMKSNHITPTEAFFFNEFEIGNVPSHISSILDMGQGILHNTSDLYGLISEKFINFCLSQVSNGL -IDSYTSSDDQISMFDGELTEMFDSHPEEFQIILEFHNYLSDRLNKFVSPKSVIGKYVAEFKSRFFVWGEE -VPLLTKFVAASLHNVKCKEPHQLVETIDTIIDQSVANGVPVSLCNKIQERTLQILRYAKYPLDPLLLLTN -SDVKDWVDGNRGYRVMRNIEAICPESTRKVRLMLRRLYNKLKIGELHEEFTAMYLSSEPRASINNLMKLV -CDEFLTDEELSYCWLNLSTHFPIRMVLRQKVVYPSVLNVEEEKIPTIIKTLQNKLSSNFTRGAQKLLSEA -INKSAFQSSIASGFIGLCKTLGSKCVRDQDRGVHHIRSILNNLEQMSEVKHLVVEGCDIWKYSTLDDVKS -DRWETTLLRPLLWDYLCIALSTALEIGPWVLGDPKPKLEVKLKNTRNCDYFLLKPQNTRILEDRVSMNHL -IHSVRRLYPEVFEKHLLPYMSDLASVKMKWSPRIKFLDLCVVLDVNCEALSLISHVVKWKRTEHYVVLMS -ELQNSHERHHASLVDERVVTTLEVMDNFMKQIFFESYVRPFVVTTRTIGSFTWFPHKSSIHEGEGLERLG -PFASFVEKVISKGIERPMYEYDMQSGYTWVDISVSPSVIAAAELVRVGMIEEGRYHDFWDFWQELLTRIS -EGLRVLKTIKVSIKSGGGTVTKQFMIHLSFTGNLDPVTENLSLVLQEAAYSGSTDTTYLESVWGLILTDP -NFSTKCVKWFFSTDTMSDLLTNTQTLEDQVLIDANLDRESMKIAGNDFVRVGPDWEPVPLVMKEGALWEG -DRKVKDISVELRTQDIRIFVDELFEDHLDVMKLSLGKILQHLERQNVSLINVDVLEVFTLKYGDCLGVEI -LTDIMSQTEGWFSFRGATICYSKSRGCLMKHIPGGRLRLKGKLCEPLRVEAEPVEDID - ->YP_009508476.1 polymerase [Souris virus] -MEDECKEAKDLVVRYIPTDNRLARQKLLFLSQTEPRFLLIEGLKLLSLCIEIDSCDQNGCLHNTDEKSVE -FILFEHGFTCPGLPLVVPDGYKLIDNTVVLLECFVRSSEASFEQKYKEDYLKIVSLKSDLLQSGLTLIPL -IDGRSSYATNLMPEWVNQRIRHLLFSLLKFEQENEKLLEESEYIRLVESLNFKAGKLSGVDSINILKDFR -SDHYRNILRLCHSGINPLMSVDEVNRSIIEVFHKFRSGLNSGELKPSFRQTNLTEILKEFNELYEEVIPF -TEDDRRDIFEDAINSCPLMRMLFKVLPQPNSHLKSNETTTTSKTLLSVLNKLKSSKVLNTRRKLLLGFDV -LILICHCYDRKKGKGGFKEEWVGCCFRSVNDRLVNIFSTQKDLEKLLVARHRSKGGSCVAVDDLFNSYIE -SLTSKIIGGLKLINFDFVSSSFDWLIFKEVDYYEVMRFHENIPNSSCPIMVYDSKELLTDRIQLESNSLD -LSKCLARLSSICLALVNSMKTSSTAKLRQNEFGKQRYKVVRCKECYSQAFKLESEEFHLLYLKTGEGSKC -YSINNTLADHVESFYADPKRFFAPIFSGDVLVKMKEVMLSWLIPCDELKPHLADLNQLLGVLLLLILTNP -TKRCQKLLQNMRYITMAAVSDYHHVRLMEKVKEDLITDAEFTVYRVLRKIWSIILDPEVKTLLTNRFKFL -LNVSYLCHLITKETPDRLTDQIKCFEKFLKPKINNQYAFINPGEIASNEELSQTLASMEKFTSKNSLDPR -SVKQDSPGICKLIFSCMISSLNNKSALSHQERNALSSDPLVSAGCATALDLASNKSVVVNKFQGDERLLN -YDYNKLLAAVVCEMSESFIRKGRLFHSKEDYDYKVNKILSKLVLGPTERLGKVDGDSMEPFLDEEQEAML -SNIKDSIDQVLLNYSSQQTVSTDSKSMKGIHHLDLMIDNKVFKRLVITEVSHHFVEDFDQSILPESIYEE -ICNNAYNNSQLRGLYFLDDDEELCPIEKMSQKVAKKFFIEGDYFSCFKILLLQMNANALQGKLTHYNRKL -VNYRFDMERLMNDVRISERESNSEAMSKALSLTNCLSSALKNLCFYSEESPTSYNSVCPDSGRLKFALSY -KEQVGGNRELYIGDLRTKMFTRLVEDYFEALTKGFKGSCLNSEEEFLNAVMMMKLNVRQAWLSYSMDHSK -WGPMMCPFLFLLTLQNLRLSSDADNQHTNSGKDYISSMLCWHIHKIVEIPFPVVQAMTRSFLKRKLGLME -NTSCSDVETFFFEKLQSKVVPSHISSLLDMGQGILHNVSDFYGLVTEKFINLCLKQIFQESVDSFTSSDD -QITIFGHELSQMVETQPEEVLLLIEFHNYLSNMLNKFVSPKSVVSRFVAEFKSRFFIWGEEVPLLTKFVA -ASLHNVKCKDPHQLCETIDTILDQSVANGVPVGLCNLIQERTLNLLRYSQYPIDPFLMYQFSDVKDWVDG -TRGYRMQRSVERCDPDGTTFIRKILRRIYDKLKRGQIHEEFTTSFLNKEPSDALRYLCRLIDLEMPAVDV -GSLRWLNLTHYNPLRMVLRQKVVYPSKLDWEPIKIPSIVKTIQNKLSSTFTRGAQKLLSEAINKSAFQSS -IASGFIGLCKTIGSRCIRSDDKGTHYIRTIMSILSNCRSVHQVECSGGIICWRVNDLNEESLELEWIKPM -LRPLLWDYFCITLSNALEIGPWVLGDPFEKEGVLTEFDSCNYFPIKPTSHRLLEDKVGINHLIHSVRRLY -PSIFEEHIMPFMSDLASLKLKWTPRIKFLDLCVTLDVMCEAVSLVSHIVKWKREEHYVVLCGELSCMHQR -HSATLQEERVISSSDVIDNFVKQVYFDSFIRPFVITSRTLGSMTWFPHKSALPMGESSERLGVLQSFVMK -VIHKGIERPMFRSDLHYGYTWVDCAEESVRINVNALIRAGLTETEVFEDIGEFFDYLAKVGEGHTQLTLT -IVFKSSRQFGSLSQTFRIILNLIGRMLDNGEFCPSNIQPLFSGEIDQRLIKECLPLLSNLDLFKNDDTPW -PLLTGEIADYIRGGTVKLGEFVDLRVSDKQSILDTLHPDDFVRVGPDWEPVPLVACNGEVKEGQLVLGGL -RPNLHTPDFLVLVEELVGEDATLLVSTLRGIFSERMKQGLTRVDILSVLSSTPNGLTILTQSLCDLGDWV -EFQGYHLCYSRSLGRLMKTSPLGRQRLKGRLCVPVGESDYVEDID - ->YP_009141010.1 RNA-dependent RNA polymerase [Okahandja mammarenavirus] -MEEYVSEIRSLVSRYISSDPRLSRQKISLLSQNEPRFILMEGLKLLSTCIEIDSSDMNGCTHNSDNLSVE -AILFTNRILCPGIPLVVPDGYKLMGKTLILLECFVRSSSENFEKKYSEDITKLQSLKDDLNSAGVNVVPL -VDGRMSYHNSLMPDWVCDRLRHLFCSLLEYSQESNALFEESEYLRLIDSLSGNSNRVSGLESLNTLKDCR -SAHHLDIMTLCHEGINNMMSEIEIKSAMEFEYNVFRNKLLNGEIPKVVVKTDKDQLLKDFSNLYVDAGIT -QQDDVDSLILEGVKNSPILRFVYNDLIFDEKDQKDNHVKWLAPNVIRLLNKVKSLKIFNTRRKFLLILDS -LILIAHIYDQATYGSISEELWLGSSFLSVNDRMVDLNSTKEELMRWLFRRANNQFKRTSSNGDPTQKFSD -ILLELLDKTMNKIIKSLSAVGLSLSFYSVHDGYYKFITLEQIESFLHSGIKPLMHYEKLNDSDYKYGSTQ -FDVGQLLMTFLCYPPYQLALINSMKTSSTTKIRQNKRGANRYKIVTCKESYYQEIKTIKGSYVLIYQKTG -EGSKCYSINHKDYGHITSFYADPKRYFVPVFSSGIFNHLVKTMISWLVESSETVHQINDVEKLLKFCILM -ILSHPSKRSQKLLQNVRYFIMAYSSEFHLVGLLEKLKEDLITPIEFILYRTIRKILNILLGNLKVPVFSN -IFKFILNLSYLCHFITKETPDRLTDQIKCFEKFIKPKLDFGSINVNPFDIPSQDELIETLVSADKFFCKP -TCHDESFSYGTPGVSKQIFSCMVSAFNAGLLYKNTETVSKFKDPITVSGCATALDLASNKSVVTNRFKEG -ERILNYNFNKIVSNAVCEITDTFSRKGRYHLLKGDYDYKVQKIISKLVLREGSKCPKGKGCDPSEKDAEW -SDIMDVFDGQQSMIFERIKQSVDDIISLYCDESTQESNKPFSITDLLIMGVDKLQFQLLVSELSRHPIED -FDIGLFDEEFYEDICSQCYENEELRHKYFTDTSETSVTISLMSKALTKKFFDECKYFQCFKTILLQMHGD -KMTGRHSHYNKARLGLKFNYQSFQNDIRVSERESNSEAISKALSLVNFSSSALKNLCFYSEESPQSFTSI -SPDTGRLKFALSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEAFANQFKGSCLNNELEFKNAIISMKLNV -SLANLSYSMDHSKWGPMMCPLLFLACLRNIKVDPLSDTVDSPSKDHISTLLCWHIHKMVEVPFNVVNAMM -KSYIKRSLGLMKESHMTDVESFFFSLFDENVVPSHISSILDMGQGILHNTSDFYGLLSERFINYYLSYIF -PDEIESYTSSDDQITLFGSKISNYDESGSEDIILTLLNFHFYLSDQLNKFVSPKSVIGRFVVEFKSRFFV -WGEEVPLLTKFVAAALHNVKCKEPHQLAETIDTIVDQCVANGVPIAVCNSLQERVIRLLEYAQYPIDPFL -LNINSDAKDWIDGNRGYRIMRNIEALDSKGTKIIRSVMRKLYNQLKTGKLYEEFTASFLTGDSYECLMKI -CKLFDVEFKTEDLYAMSWLNLSSFHPIRMVLRQKVIYPNVLNVDEERIPTLIKTLQSKLSMHFTRGAQKL -LSESINRSAFQSSIASGFVGLCKTLGSKCVRDEEKRTFYVKSVIENLDCVEGLGHTIKNRCHLWLCDRDP -RSLSSEWYVGLLRPILWDYFCIGLSTALEIGPWVLGEPKIKTATKGLKFRSCDYYPTKPTGTRLLEDKVG -YSHIIYSIRRLYPDLFEKHILPFMSDLASLKMKWSPRIKFLDLCVVLDVNCEALSLISHVIKWKREEHYV -VLSSDLHNMHERQHTTLVDQRVVSTEEICKNYLKQLYFESYIRSFVLTTRVLGSFSWFPHRSALPESEGL -GNLGPFAPFVEKVIYKGIERPMFRYDLYMGFSHLDFRVENPVINLSSLIASGMTESGRYDSFTEFWQELQ -APKEGSMESLMNVRFTIKSQGESVDQKFKIALKFNGFVSSGFEYYPEQIAVYYSWECESKYILECWNLLL -TNDSFRTGGTVWYFSTENISDYLTCEVDKNPLLPVKVIIPAGLMELSPDDFERVGPEWDFCPLIMKEGAL -WEEDRMITRVNVDLNDSDSLIFLKELMEDYFDLTKLSPGKMLRDRVKSGLHLKNVDLVSIIKENFSQGSM -ELLNEIFSHVDEWCEFRSYKLCYSKSLKKVMIACSNGGKRLKGIPCRELDEDPVVEDIE - ->YP_009141008.1 RNA-dependent RNA polymerase [Mariental mammarenavirus] -MEDIVRELRHLVSSYLNNDSRLSSQKLCMMTQSEPRFILMEGLKLLSLCIEVDSCEANDCEHNSENKSVE -MFLFERGVLCPGLPFVTPDGFKLVGNTLIVLECFVRSNPTAFQQKYEEDNMKLSLLKSDLGAVGITLIPL -IDGRTCYYNTFMPEWVCDRVKYLLFEALKFQQENYALFEESEYLRLCESLSSSSPRLSGIENLSSLIDKR -ASHYDKILEKCHQSINAEIQPLQVREKISNLYQSFRNKLNKGDVPKVFKRVNRTSLIDELSNLYNDIIPQ -TSEDVSDLTRIFPTLSPITRFCYLQQEEQLERIEVSHISSQISCLRAAFNKVKSMKVLNTRRKLLLLIDF -IILSKHVEIKATHGISKVADEWLGSSFLSVNDRLVSLLATQKDMKKWLTRRLKLKSHGDKKQAMSSLDNE -YSHPVNHCQNCHLVSNKSSQDVVSRCKSTSPFEKLKKWIPSGRVLKRCSDPPADILYYKVPVTSHYTIVC -VLLGIRKDNSNTEGVSPVHSHKDIHDAICKSSTVIASINNCIMREVVLHSDVPTIEITGHCLILSKIWNG -EMKCCYDAHERMIVKVLLVSVREVAELMILVCWCWRGSSLGRTRSQKCNGDCYSLYMREALLCSGVLHLI -QCWKGYRCLCRLRSRTFPHRMQNEMRPMMPQVATLERPFRMARNERVMRTMFITSSIMGGTSWKKVTICP -IITRFGAVQKCQEAGCATALDLASNKSVVINKYRNQERVLDYDINRLTAVAVCQLTEVFKKKGKYLLDPQ -DYEYKIQQVISDLILGKRDKDGAELIEEVSQPDDFLRDLTSQVTSVLNNYRETPSGGLRQEMSGSRGKSL -KDLSLLVDADLDRRLIIGELSIHLVEDFDRSLFTEDWYKSVCDKAYGHSQVRELYYYDSPDGTCPIEKMS -QAVASRTYHSGDYFSCFKSLLMQMNADALTGKYNHYRSAVTFNFNYNKLVDDTRISERESNSQALSEALS -LTKCTSSALKNLCFYSQESPQSYTSIGPDTGRLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFESY -TNQLKGSCLNNEKEFENAVLSMKLNVSLGHLCYSMDHSKWGPMMCPFLFLMLYRNLKNPTSKDDTDSMEK -DHISTLLSWHIHKIVEVPFNVVNAMMKSFLKRKLALMKDTVQTTTETLFFQEFERGNVPSHMSSILDMGQ -GILHNTSDFYGLITERFINFCVKCVCHGSVDSYTSSDDQISLFDLHLTEFFDKDPEEFHCLLEFHNYLSD -NLNKFVSPKSVIGKFAAEFKSRFFVWGDEVPLLTKFVAAALHNVKCKEPHQLAETIDTIIDQSVANGVPV -RLCNKIQERVINILEYAQCPIDPFLLFCESDVRDWVDGNRGYRMMRNIEALLPSGTEKVRKVLRVLYNKL -KLGELHEEFTTAYFSQNKLDSVNSLFDMFGLEPPDEKDLNTFWLNLSAHHPIRMVLRQKIVYPSCVEPDT -EKIPTIVKTLQNKLSSNFTRGAQKLLSESVNRSAFQSGIASGFIGLCKTLGSKCVRDADKAVYYIRGLIK -QLMEVPFLQSVTVNRVTLWQSSCRMQSDHLKWPLSLLRPVLWDYLCISLSTALEIGPWVLGDPKNKPPMK -TLRWSPCDYFPLKPHNTKILEDRIGLNHIIYSIRRLYPDLFEKHLLPYMSDLASKKMKWSPRIKFLDLCV -TLDVNCEALSLISHVVKWKREEQYVVLSQELAESHERAHTPLTEERVVSTADVASNFLKQLYFESYIRPL -VATSRTLGSFTWFPHKTSLPLSEGLERLGPFASFVEKVVYKGIERPMYKYDIFSGFSWVDYEIDPTVLNV -NQLIMSGIDFQSIKSNQDFVDAAARLRDGCVKVFKTVRFVVRNQGDSIAQKFSLTLHFVGSLTQDGCYIP -NQLHVTYSGDVDKIMIHSCWEVAKRDDEFKVGKKAVWVLSLEQISDLIENSDNLSPFLLLNVDLNSIDLS -FCEDEMERIGPEWECVPLIVKEGALWEGNQRIKSFTPNLLTQDIEVFVTELLSDHRDLLIRSLSKIIADR -VKLGMHLKSCDLISVLKRESPDGWLNFLNDVLSTSDTWVEFESYSLCFSKSLGGVMKHTATGTYRLKGRL -CERVTLEPAQGPLEIE - ->YP_009116793.1 polymerase [Gairo mammarenavirus] -MEEQISEAKELVSKYLSDDERLSKQKLAFLVQSEPRLLLIEGLKLLSLCIELDSCQANGCDHNTDELSVE -NFLCQNRILCPGLPLVVPDGFKMTGNVLIILECFVRSSPANFEQKYREDSIKLDSLKNDLSRIGVTMLPL -IDGRTSFYTEHLPEWVNDRLRFLLFSLLSYSQESMKLFEEAEYARLCESLSISGNKRSGIENINTLQDHR -AGHYDELLRLCHTGINNSMSPVDVKREVIQEFQAFRNKLRDGVLERQFRKVDQEELLSAFNNMYGLELRE -GQGETQEIMEAYKHANPIISFIYSELPEVNTSSMKDSYVPNWRALLNKVKSLKLLNTRRKLMLTFDSIIL -LVNVMDLRSKGKLCETEWLGSSFLSVNDRLVSLYSTQKELKAWLYRRVCNSAHHRNQDNMNLYGMFLKVI -NCVVKKASEALSHVGLNFSNYSVKELDLSEELFHKMLQLEVDGVEPTMNYEKNELDRYPYDIKEVNCDDN -DDWKRLSSVSLALVNSMKTSSTAKIRQNEHGKSRFKIVRCREAYFQDFCIGGHKLKLIYQKTGECSKCYA -INDSVKGEICSFYADPKRYFPAIFSQDVLQDVVDCMISWLSECSEIKDSLKELKLLTKMMIILILTNPTK -RAQKLLQNLRYFIMAFVSEYYHKDLMKKLREDLITECEFLLYRIIRRVLNIVLNNEVKSMMTNRFKFVLN -MSYLCHFITKETPDRLTDQIKCFEKFIEPKLHFGSVNVNPEETANKAELSSLISSATSFMNKPSCFGGGD -VVMKIPGVSKKLFSLMVSSFNNGSLFRQSELKDGIKDPLITSGCATALDLASNKSVVVNKYKDGERVIEY -DYDKLVATAVCQLSEVFMRKGKYVLQREEYDYRIQQVVSDLVIGRNKKREGDTTLEASDGIDEILLEGGA -SEYFERIKQSIDSVMNKFNWSVSNEKESRETSCDLGDLKDVISDKIHFRLIQSELSTHMVEDFDMSTLPL -NVYQDICEKIYNNHDLRVKYFYLDSLQSCPITKIAQAICKRTYDDGEYFQCFKSILLQMNANKLSGKFNH -YRSKCLNFKLDRDRLFDETRISERESNSEALSKALNFTDCATAALKNLCFYSQESPQSYTSRGPDTGRLK -FSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALTSQFKGSCLNDEHEFENAILSMKINVSLGLMSYS -LDHSKWGPMMSPFLFLAMLQNINWASIDTLSDMKSKDYVSTMLSWHIHKIVEVPFNVVTAMMKSFLKMKL -GLKKQLTMTDTERFFFEHFQLGQVPSHISSILDMGQGILHNASDFYGLITERFINYCLSCLYDGRIDAYT -SSDDQISLFDKMLSELSEKDPTEFEYILEFHNYLSDQLNKFISPKSVIGRFVAEFKSRFYVWGDEVPLLT -KFVAASLHNIKCKEPHQLAETIDTIIDQAVANGVPISLCNKIQVRTLNLLRYAQYPIDPFLMNCNSDVKD -WVDGNRGYRIMRNIEMIDPSGTKKIRSLLRRLYNKLKTGELHEEFTTAYLSGDPYESLQKLTTFFDSEPL -KDEELGLSWLNLASYFPLRMVLRQKVVYTGAVNVEEEKLPTIVKTLQNKLSSSFTRGAQKLLSEAINKSA -FQSSIASGFVGLCKTLGSKCVRGPERENLYIKSVTAGALELSNIERTVRNGMEVWNISPPFRTQHCNGCS -LMGYFRPVLWDYLCIALSTALEIGSWVLGEPVIKSVLSPVNFRPCDYFPIKPSITKLLEDKVGFNHIIHS -FRRLYPEIFEKHLLPFMSDLASVKMKWSPRIKFLDLCVMLDVNCEAMSLVSHIVKWKREEHYVVLSADLS -VAHSRSHESMADERVVSTEDAAQNFLRQIYFESFVRPFVATSRTLGSFTWFPHKSSLPGSEGLSSLGPFG -TFVEKVIYKGTERPMYRHDLFSGYSWLDFDIQEIYFDTNQFIRMGYCETNVFTSINEFLSELVDVETVQL -SMTINFQIKSQGESLRERFSLYCRFKGVFDADHKYHLNDAEVMFGGSVNRAAIVDCWNLVMSNAHFSKSN -SVWHVTSSNVCDYLRDSSMVGEVIPIEVVINRDVLRVENLNFERVGPETNVVPLTIEKGYICEGQRKLLP -FNPSIHDQDLEVLIRELYDDNRDLLQRMLHEMLLSRNSQGLQWHCLDIIKVVTLCLPSDSQSFLKSVFVD -LDFWIDFKGYSLCYSKSKSTVMIHSSEGNLRLKGKLCRELFSSAREIEEID - ->YP_009113209.1 L [Wenzhou mammarenavirus] -MEEILSEVRRLVGCHFPQMEQIARQKALFLAQREPKFTLIEGLKLLSLCIEIDSCKSNACBHNSESLSVE -VLLFKNNILCPSLPYVVPDGFKLKGNVLILLECFVRSNPANFQQKYTEDLTKLEXLRVDLSKAGIQLIPI -VDGKTSFYTSIVPDWVCERFRHLLFKLLEFEQESNSEFEESEYQRLCESLSQSTSRLSGVDSLNILADHR -SGHYEDIIQKCHVGINSTLSAREIREKVTMIFQTFRNRLRNQTIKHHFAKVNPTQLLKCFTELYESELSN -AVDTMDSIRSEFCTVSPIVRFLYMRTPGGSKREEEPQTDKSNTLICGLRSMLNKVKSMKVLNTRRKMLLL -FDTLILMAHVRFFKERSLYAEAEWLGSSFCSVNDRLVSLDETIQSLTKWVRARQRNYSKKIPEYVTPTLN -QVFQSMIKNTYKKIESALEYIHMKPELYGVSLNALEVDIEELMVFKKDGVQPTMSYEPSQAKVAPYDVEM -MNVQSDDDFRVLSSLCLSIVNSMKTSSVPKLRQNEVGASRYKVVRCREAFYQDLNTQVGCFKLLYQKTGE -SSKCYAINDEKSGEVCSFYADPKRYFLPIFSHEVLPETIRTMMGWLDGCDELKESLADIHWLTKAIVVLI -LCQPSKRSQRFLQNLRYFVMAMVSEYYHVKLIEKLKEPLITKCEFFLYRLVRKLLVTLFDPSVNSLLTNR -FKYMLNVSYFCHLITKETPDRLTDQIKCFEKFLEPKIDFGSCFINPSDVITDDELDTLLYGLDQFLAKPD -ISEGINIXXGKPGINKEIFSLMVSSFNAGLLFKESEVXGDFIDPLISSGCATALDLASNKSVVVNKFTKD -GRVLEYDMNKLTSAAVCELTETFSKKGKYLLNKDDYEYKVQRVISKLVTKGTAGTMKKEEVDLDVDDIFE -GESKEFFNCVKQRVDSILANYQSGVKTQDVQSHQASLSDLSEFVSDPVKQRLIMSELSTHMVEDFDPNLL -SESFYEEFCKSVHDSVVKEKYFYNTSLGPCPISLISKSVASRFYEAGEYFQCFKSLLLQMGGNKFSGKFM -HHKHNNVNFKFDHSKLLDDVRISERESNSEALSKALSLSNCTSAALKNLCFYSEESPESFTSVGPNTGRL -KFSLSYKEQVGGNRELYIGDLRTKMYTRLIEDYFEAFTKHFRGSCLNDEKEFENALIAMRLSVSLAQLSY -SLDHSKWGPMMCPFLFLMLVQNIDLKSPSALEGIKSRDLISTLLCWHVHKMVEVPYNVISAMMRSYIKRN -LGVMSSDHMTPTEAFIFNEFEIGVVPSHISSVLDMGQGILHNTSDFYGLITEKFINYCLRLVSDGSVGSY -TSSDDQISLFDSDLTSLHDKGDEDFLCILEFHNYLSDMLNKFISPKSVVGRFVAEFKSRFFVWGEEVPLL -TKFVSASLHNVKCKEPHQLAETIDTIIDQSVANGVPVKLCNMIQDRTLALLRYAKYPLDPFLLFNKSDVK -DWVDGTRGYRIMRNIENICPEQTGKIRSMLRLLYNKLKVGELHEEFTAVYLSSEPKESINKLMALVGKES -LTDSDLSLCWLNLSTHHPLRMVLRQKVIYPSVVNVEEEKIPTIIKTMQNKLSSHFTRGAQKLLSEAINKS -AFQSSIASGFVGLCRTLGSKCVRDSTRGVHHIXSILEQLATTNGVVHDQINGWDIWKVPNHSSNTDDSGH -NWVLTLLRPILWDYLCIALSTALEIGPWVLGBPKPKFEVKIRNRRSCDYFLLXPQNTRILEDKVSMNHLI -HSIRRMYPEMFEKHLLPYMSDLAATRMKWSPRIKFLDLCVVLDVNCEALSLISHVVKWKRSEHYVVLMSE -LQESHERQHVTLLDERVVSTENVSDNFIKQILFESFIRPIVITSRTLGSFTWFPHKSAIPQGEGIGRLGP -LSSFVEKVIFKGIERPMYEYDLSSGFSWIDLDVKPSVITAAELTRLKVTETDVFDDFWDFWNFVLQNSNE -GFRVMKTIHVTVRSKGGSGGKNFYIHLQFNGLVDPLKMEVTMTLSEASYSGNVDLIFLESIWTLILTDPN -FSSNCVSWYFSTETISDAVRGGAQVLGDLVLVDVGLDRESLRLSGIEFERVGPDWEPVPLVLKDGYLWEG -ERKLAPLTAELHTDDLKVFIQELHEDHEQLLLDSLACLINTQLAQRVXLXHVDVIDALEKICGEAXSTLI -LTKVMSXVDXWVDFKGYSICHSXSRGELMKQTPGGSLRLKGRLCEPLTQVMADVEEID - ->YP_009019199.1 L [Merino Walk mammarenavirus] -MEERVSEIKHLVCTYLREDVRISRQRLTLLSQSEPKFILIEGLKLLSLCIEIDSCEQNGCNHNTDNKSVE -VILLENKILCPGLPFVVPDGYKLIGSTLILLECFVRNNTANFEVKYSEDLTKLSALKNDLLNIGVKMIPL -VDGRVNYYTSLMPEWVCDRLKYLLTTLLEYSQESTALFEESEYARLVESLTNTASRSSGIDSINVLKDCR -AQHHQDILSICHEGINNMMTELEIKTLLEKEYNVFHNKLQSGKLEKRIIRTDREKLLREFGDLYEKEGLP -PTDDVWELIREGISSSPILRFVYAKFENQESKESIYNQSDTSNCLPLNVIRLLNKIKSLKVFNTRRKFML -MLDTIVLLSYIKHGDNTYPIECWLGNSFLSVNDRLVSLNATKEDLMKWLKRRTAKTAVATENEMTRLNTI -LHELIGKVMLKISKALKSVNLSFETYFVSPDFYHSVTLEQIEDFKMEGVQPSMYYRKLESTDYSYKLEEF -SVDTASDFLMLSSLSLGLINSMKTSSTAKLRQNEVGKNRYDIVHCKESYYQTLKTPKGTFSLLYQKTGEG -SKCYSINSSLLGHVSSFYADPKRYFVPIFSHNILSEMITTMMSWIIESGEVTQNLKEINAVLKFIVVLIL -SHPTKRAQKLLQNLRYFIMAYSSEYHLTSLLDKLKETLITPIEYVLFRLLRCLLRLLLVDTKKTVFSNVF -KFILNTSYLCHFITKETPDRLTDQIKCFEKFLEPKIKFGSVNVNSKDVPSEEELLDTITLGEKFLNKSTC -KDEKFEFGVPGVSRELFSCMLSAFNQGLLYEQSETLSKFKDPLMVSGCATALDLASNKSVVVNKYEQGQR -VLDYNFNKIVSNAVCEISEVFHRKGRHCLLKSDYDYKVQKIISRLVLGGGDKKGSLQKNKRESDAEWSDV -SDVFDSQQSDYYQRIKDAVDDVVSRYGLDASNQATKEVKPCLSDLFKANIDKLQYQLILSEITRHPVEEF -DFTLFDDHFYQNICTSLYSDNEISSKYFLRESSSLVSIKDMSKALCKKFLDDEQYFSCFKLILLQMHADK -MVGRFSHYKRKTINYKFDMEEFQKGVRLSERESNSEAISKALSLNNFTSSALKNLCFYSEESPQSYNSVS -PDTGRLKFALSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEAFTNQFKGSCLNNEKEFENAIISMKLNVS -LANLSYSMDHSKWGPMMCPLLFIACLQNIKLAPSMGLDCNSSKEYITTLLSWHIHKIVEIPFNVVNAMMK -SYLKRGLGLMKNSSITETEAFFFSSFDSNIIPSHISSVLDMGQGILHNTSDFYGLISERFINYCLRLIFP -DTIESYTSSDDQITLFGPSISKLAEDEDELVEVILNFHYYLSDQLNKFVSPKSSIGRFVAEFKSRFFVWG -EEVPLLTKFVAASLHNIKCKEPHQLSETIDTIIDQCVANGVPLKLCNLIQLRVIRLLEYSQYPIDPFLLN -VHSDAKDWVDGNRGYRIMRNIERIDPEGTKLIRSIMRKLYNQLKQGKLHEEFTASFLSGDIYQNLYKICS -MFGVEVGNTDLFSIGWLNLSACMPLRMVLRQKVLYPGIVNVEDEKLPTIVKTLQSKLSQHFTKGAQKLLS -ESINRSAFQSSIASGFVGLCKTIGSKCVRDSDRGTHYIKSVLNCLKKVEGVVPLTIEGMQVWKLNTDLKS -IDDCWVVQLLRPILWDYFCIGLSTALEIGPWVLGEPKIKTVSKVIKFRCCDYFPTRPSSTRILEDRVNYN -HIIHSIRRLYPDVFEKYLLPFMSDLAATKMKWSPRIKFLDLCVVLDVNCEALSLVSHVVKWKREELYTVL -SSDLAVMHERQNTTLVDQRVVCSEDICKNFLKQLFFESYLRSFVATSRTLGSFSWFPHRTSLPESEGLKM -LGPFATFVEKVIYKGIERPMYRYDLFMGFSHLTYVIENPVFNLSQLIASGLTETGVYESLGAFWDALKGL -KPGSIEILVTIRFRIKSQGESYAQTFNLILSFRGSIAEGNRFIPSSLGVQYSGQTDDKYLMDCWNLVKAD -ETLKIGEAHWFISMSNVSDYFVERVDGDSVLVCKVVLTKELMSVLKEDMVRVGPEWEYEPIVVRQGSLWE -GEHRMIDIVVDINDDDFRVFMDELLDDHFDIFIKSLHNIIKDRLRSKRSFESLDMLGVLSSKDGVDAIGI -INQCFQGIEGWCEFKTHKLCYSNSLGKVMVACSNGSKRLRGVQCEELGWSPGVEDID - ->YP_006858709.1 L protein [Lunk virus NKS-1] -MAGIILVPMVDGRCTYENSMMPDWVNHKFRDLLFRLLEFSNENERIFEESEYMRLCESLKTSVDRRSGID -SMKVLRDDRSSHNDEIIRMCHTEINPSMSSEEVALGINQIYGEFRRDLLSGELKRNFRVSNPGQLISEFT -HLYEGNLYKDDLKEICKLAVRSCPLMRFINSSLKGSDKHRCRVSYVDNLLSMLNKVKSLKLLNTRRKQLL -NLDVLMLSALIKFEKTDPLRSKDSNYWLGCCHVSVNDRLVSHQSTKNDFLKVLRNRQKSGPFRGVPLSII -FDNTLSGFISKVKKCLQLAGLNFMNYNLTENLSDECAIKFSDFFEFTRDNPPPTMHYEKVDCYNYDSMVS -LENAAFQKLSSISLAITNSMKTSSVVRLRQNESGAQRYKSVECKEVFYQDVKTDSGDFYLLYQKTGEVSR -CYSIHDHSSHIASFYADPKRFFLPIFSHDVILEMLDIMMSWLTPCSDLEGSIPSVHLALRTLVLLLLTNP -SKRNQRQVQNLRYLTMALVSDFHHVELMDKLREDLITDAEKLVHQLMVYLVREVFLVEKKTLLTTRFKFM -LNVSYLCHLITKETPDRLTDQIKCFEKFFEPKKDFGFFVNPKEVITDEEKDLLYKQMVKFTSKDLKCQSK -TPGINKKAFSLMVSSINNGTLVCKGEKKLDLLDPMMNSGCATALDLASNKSVVVNKTLNGERLLEYDFNK -LLVNSVSQITENFMRKGKLTLNAGDYEYKVSKAVSKLIISTSKGGGADKLETDDLKPDHIFDGQEELEFF -HEVKDRVESIMLNYGVRKQTEYSDTHSKERKGLHHLQDVLPGEKAGLRKLILSEISYHLVEDFDPSCLSQ -DDLKYVCERTQTHNVLGKLYFTKEFKEQCTLDDMAPNLCRRFFEDGEWFSCFKMILLQMNANTYSGKYRF -NHRQQLNFKFDRCKLEEDARISERESNSESLSKALSLSKCLSSALKNLCFYSEEAPTSYTSIGPDSGRLK -FALSYKEQVGGNRELYIGDMRTKMFTRLIEDYFESLTGFFSGSCLNDEKEFENAILSMTINVRQGFLSYS -MDHSKWGPMMCPFLFLMLLQNLKLSDEQYVRGGKDHISTLLAWHIHKMVEVPHNVVSAMMRSYIKDKLKL -LKGSHCTPTERLFRNYFEKGVVPSHITSLIDMGQGILHNASDFYGLLSERFINYSISLLYGEAVDAYTSS -DDQITLFDERISNLAEDDPEEVLILLEFHSHMSALLNKFVSPKSVVSRFAAEFKSRFYVWGEEVPLLTKF -VSAALHNVKCKEPHQLCETIDTILDQAVANGVPVRLVNRIQSRTLSLLKYANCPIDPFMLNCQTDVKDWL -DGSRGYRIQRLIETLCPSQTKVMRKLVRRLHHKLKNGELSEEFLVDLFNRDKPQVIEHLGKVLDLTEDLT -GLGDLCWLNLNEQFPMRMVLRQKVIYPSSMNFNEERLPSLVKTLQNKLSSKFTRGAQKLLAEAINKSAFQ -SCISSGFIGLCKTLGSRCIRNKEKETLYIKKVVSELEKDARVSAELSKVHDITLYKLNKGNTTVPCPAID -STCFLRPILWDYICITLSNSFELGVWVIADPSPPPEDGFMSSQMNLNDYVGRKPTGVRLLEDRVTLNHIL -QSVRRLFPKIYEDQLLPFMSDQSSKMMKWSPRIKFLDLCVLIDIHSECLSLISHIVKYKRDEHYVVLTSD -LARCHSREDTSLKDEFVVSSSDVCRNFLKQVFFESFVREFVITSRTIGNFSWFPHKSMTPSDDGIELLGP -FQTFVSKVVNKGFERPMYRTDLQHGFGWFSYQFSDIICALAQLVQIGLTETHLFNGMTEFWNYVIENTSG -ILQLNFSVNFTHNQSGGSCLRKFSISFQIKCQVTDVGQSRMLDCTYYFQGDVDRRLLDECLCLLRTDMMF -KGNNVRLDLRSDEFQEYVKDPLSLGCIVELVITNSDAILKDVTSDDFQRVGPEWEPVPLSIKNGNLYEGC -MLVQPLSLALGNKDFLIFLVETEGHPTQSVSLAALFKHRFKTGEHLMDVDIQLVLRDAQIAKHTLIDPLK -EVTDWFRFRDTSLCYSKAKETIMYETSIGRFRLKGKSCDDWLVEAVQEEIE - ->YP_004933733.1 L gene product [Luna mammarenavirus] -METSVCEAKDLISKYLANDQRLSRQKLNFLIQSEPQNLLIEGLKLLSLCIELDSCEANGCEHNTEELSVE -VILLNRGILCPGLPFVVPDGFKLTGNVLVLLECFVRSSPSNFEQKYNEDSVKLNSLKQDLQSVGVTLLPI -IDGRTVFDHTFMPEWANEKFRSILFSLLQFAQESSRMLEESEYSRLCESLRNVEGKRSGLESINILQDCR -TTHHEEILKLCHMGIDNSMSSIDVKREIINEFQAFRNGVSSGAIPRQFRRVDKSELLKSFCKMYPSDFGA -EAESMEKLRGEFLTLSPTITLLYADIDDTEELRPKHSCVPAWRSLLNKIKSLHILNTRRKTLLLFDSIIL -LCHAVDKEVVGHFEDSEWLGTSFLSVNDRLVSLTATKKELYQWMERRRTNQVRNLGSGHEHQGLVYYNLV -SSTLRKAEQALALVNLKFSDFGVLENIISISSFEKIMGVEVRGVMPSINYEKNDLSDFPYKLSPALETED -DLKRLSSISLAIVNSMKTSSVVKLRQNERGAERFKKVICKEAYCQEFYMAGTRFRLLYQKTGECSKCYAI -NNSRKGEVCSFYADPKRFFPAIFSQSVISETIKVMVSWLNDCLELKQDLRDIEALLKMIFILVLTHPSKR -SQKFLQNLRYFVMAYVSEYYHKDLLSKLEEKLITKVEFFLFRVLRTLISKVLNPTINTMLTNRFKFVLNV -SYICHFITKETPDRLTDQIKCFEKYLEPKLEFDSVNVNPLEPAEATELSSLLMSGAAFLGKTSCTEMGGI -QFKKPGISKKILSLVTSSFNNGTLFSKQEFKGEIKDPLVLSGCATAMDLASNKSVVINKYVDGERVLNYD -YDKLVAAAVCQLSETFERKSKYFLSKEEYDYKIMKVIASLVIGSTKTSDGGISTEELDEVLISGAASSYF -EDIKESVRTVMESYKSLSDEKLGKVNAGDINDLHKVVDNPMHLKLILSELSNHLIEDFDQSLMSDDDYKA -VCEKVYLNDELKGKYFYLGPMDSCAISEITRAVTTRTYENAEYFLCFKSILLQMNANRLVGKYSHYKSKC -INFKLNRERLMDDCRLSDRESNSEALSKALSLTNCTTAVLKNLCFYSQESPQSYTSIGPDTGRLKFSLSY -KEQVGGNRELYIGDLRTKMFTRLIEDYFESLTGQFRGSCLNSESEFENAVLSMKLNVSLAQVCYSLDHSK -WGPMMNPLLFLVILQNIHWNSSDILEDVKSRDYVSTLLSWHIHKLIEVPHPVVNAMMRSYLKTNLGLKKS -LHETLTEAFFFRHFKLGVVPSHISSILDMGQGILHNASDFYGLITEKFINYSIGLLYEGTLKSYTSSDDQ -ISLFDHKLTNLLDRDPDEFEYILEFHNYLSDRLNKFISPKSVIGRFVAEFKSRFYVWGDEVPLLTKFVAA -ALHNVKCKEPHQLAETIDTIIDQSVANGVPVKLCNLIQQRTLDLLRYAQYPIDPFLMYCDSDVKDWVDGN -RGYRIMRNIEQLCPGGTKKVRYFLRRLYNKLKTGELHEEFTAAYLSGDPSESLQKICSLLNVDPLEESEL -SLCWLNLSAYFPLRMVLRQKVIYTGALNLEEEKLPTLVKTLQNKLSSNFTRGAQKLLSEAINKSAFQSSI -ASGFVGLCKTLGSKCVRGFDKSNYFIKSIVSQLEGRTDVKGFILKGMNLWSVPVCQRCSNDWLIKFLRPV -LWDYMCIALSCALEIGPWVLGEPKLKAKIPAINFKPCDYFPLKPTITKLLEDKVGFNHIIHSFRRLYPSL -FEKHLLPFMSDLASTKMKWSPRIKFLDLCVVLDVNCEAMSLISHVVKWKREEYYIVLSSELSEAHDRSHM -SLTEERVVSTEDVAKNFLRQIFFESFIRPFVATSRTLGSFTWFPHKTSLPASEGLNLLGPFASFVEKVIY -KGVERPMFKSDLYMGFSWMDMVIKPAYLNLNQLIASGITLDQQFASLDEFWEALQSLPSRSTQLTFSVFF -TIKSKGETLSEEFAFHVKTWGMIDHTGSFVLSRLEVLYSGQVHRSLLLDCWRLVLNTDQFCKPEPVWIVD -ADNLSEFLEDPKSIGQVVRLNVKLSENVTGLTEYDFVHVGPNPEPLPLVVEDGYLIEGRKKILPINPSIH -DQDMEVLISEMDGSCVNSPPIVVGNILRQRLDQGLHWLELDLVGVVKKCRPNDYKVFLKDALQDVCEWLG -FNGYNLCFSKTLGDIMVHSSQGKYRLKGRQCDKLFEEVREVQDID - ->YP_003090217.1 polymerase [Morogoro mammarenavirus] -MEELLGECKDLISKYLAGDERLSQQKLAFLVQTEPKMLLIEGLKLLSLCIEIDSCQANGCEHNTESLSVE -LILQKKGVLCPGLAFVVPDGFKFSGNTLILLECFVRTSPTNFEQKYREDMVKLEALKSDLNSVDIVLLPL -IDGRTSFHTELFPEWANEKFRNNLFSLLEYSQQSSRMFEESEYSRLCESLTKTGVRTSGIESLNILSDSR -ADHHERLLQLCHRGLNNRMTPLEVRKEIILEYQAFRNKLREESIPRQFVKTDRIKLLDDFNTLYVDREGD -GPHNLNVLRERFIWSSPIVTALYGDYGSVHLGEGGDLPTSSCVAGWKSLLNKIKSLKLLNTRRKLLLTFD -TIILLAHLRDEKQLGSMVSSEWLGSSFLSVNDRLVSLEATQRELKNWLSRRRDNIVKKGHPQPQNLSMCF -YDIIKKLLTRAEEMLKVVNLSFCDYVTETDILERESFEKLIHLERRGVQPTMSYERLCERDFPYPLLDID -SQGQNDLKRLSSISLALVNSMKTSSVAKVRQNEHGAARYKKVRCKEAFNQSFVMGEKRFNLIYQKTGEGS -KCYAINNSERGEVCSFYADPKRFFPAIFSQDVISKVLDAMSSWLSDCVELKEQEASLKLLLKMVIILILA -NPSKRAQKFLQGLRYFIMAFVSDYHHKQLMEKLREDLITDSEYLLYSVVRTIFSIVLDNKVTTMLTNRFK -YVLNLSYMCHFITKETPDRLTDQIKCFEKYLEPKLEFDSLNVNPKESGDEEEKLLLLNSAEKFLSKPDCL -TGDTISYKQPGVSRKLFSMMTSSFNNGSLTKGGDLKGGFKDPLVTAGCATALDLASNKSVVVNKYSDGER -VLNYDHDKLVATSVCQLSEVFERKTKYLLNKEDYDYKVQKTLTDLVIGTKKDKQGGGKSGEVEQLDEVLL -SSDALGCLEEVKESIDTVLNRYKFDRALDDKKEHIEGEHKSLTDLKKVMEVKGFHSTHYNLIQAEITHHT -IEDFDESVLPNGSYQLISEGFYENLDLRNRYFYLDSLESCPIRCITQAVSTRTLNEGQYFQCFKSLLLQM -NAGRLTGKYSHYKNKCLNFKIDRERLMSDVRISERESNSEALGKALSLTNCTTAVLKNLCFYSQESPQSY -TSLGPDTGRLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALTKQYKGSCLNDENEFSNAILAMK -LNVSLAQVSYSLDHSKWGPMMSPFLFLVFLQNLHWETQDDLGDIKSKDHISTLLSWHIHKLIEVPFNVVN -AMMKSYLKSRLGLKRTFHETITESFFFESFRVDEVPSHLSSIIDMGQGILHNTSDFYGLISERFINYCIK -CLFEEGVESFTSSDDQITLFGKGLTELMGSDSEEFQNILEFHYYLSDRLNKFISPKSVVGTFVAEFKSRF -YVWGDEVPLLTKFVAAALHNVKCKDPHQLAETIDTILDQSIANGVPVSICNALQVRTIDVLKYAQYPIDP -FLMFLNSDVKDWVDGBRGYRIMRNIEGILPRGTEKVRKILRVVFNKLKTGELHEEFTAIYLSGDPVESFT -KLSELIGESELQKDDLSVCWLNLTAHHPLRMVMRQKVLYTGAISVEDEKLPTLVKTLQSKLSSGFTRGAQ -KLLCEAVNKSAFQSSIASGFVGLCKTLGSKCVRYLDKTTTYIRSLISRLSEIQGVKKLKLGGVDLWDTRE -LTDSIPEDLAGYLRPVLWDYFCISLSTSLELGPWVLGEPKIKSKKPNINFNPCDYFPMKPTHTRLLEDKV -GFNHIIHSFRRLYPSIYEKHLLPFMSDLASTKMKWTPRIKFLDLCVVLDVNCEAMSLISHVVKWKREEHY -IVLSSDLAVAHDRTHLPLTDERVVTTYDVVQNFMRQVYFESFVRPFVATSRTLGSFTWFPHKSSLPESEG -LGLLGPFSSFVEKVIYKGIERPMYKNDLHSGYAWLDFECSPAVVNLSQLIACGLTQQKICREPEELFDLL -SEVSTGGVQITLTINFQVKSQGESLSEKFSIHLLWKGEILEGGVFKPYSLEGMYSGKVHRSALVDCWRLA -QTSSWFKRDCRSMWQLSTENLCEYLADSHSIPDAIPLPVFLEGEIMCLDVYDFNHVGPDYDDIPLVVDSG -YLLEGTRKLIPFNPKIFDQDLRVFIGELSEDHRDVLIDSLSRMIKSRVEQSLHWLQVDIVGVVEETMPGS -HADFLNEVMSRTDGWVDFKGYSLCFSKSLGKVMVQSSEGTLRLKGRLCRSLHDNPPPPEDID - ->YP_002929493.1 large RNA-dependent RNA polymerase [Lujo mammarenavirus] -MDSLKNELLQLISRNFPNDERLAPQKLKVLCQTEPKFIMIEGLKLLSTCIEIDICDENGCIHNTEEKSVE -LILRENGFVVPSLPCVTPDGFKVSGNMLILLECFVRLNPLEYEQKYNNDMKKLSSLRGDLEHSGITLVPL -VVGRSGYENNSIPDWVVFKFKNLIFRLLEYVQENEAMLEESEYIRLSESLKGNGSKLFDLDAFNLLKNNS -GEHFENIMRLCVEGVRPDIPLSEVNSKIVENFLEFKAKLKKGVIPPLFMMTDKERLLKEFCDLYLDQGVS -SAPESKWELLRDFKEATSFTNFIYFDSKNDEGHPEQDKAEKVGNDRHYHRKSCGMISMLNKSKSIKLMNT -RRKLLLGLDVVRLSHLISLKNEFPRGDDDESVWCGQSFTTVSDRLVSVMSTQRDLKKHLFRLSRMPKNKS -KGKTKALNEIFLDYRRLILNKVFNSLNAHGLTAHSYGLEASDFENWPSLEDFMSFKKSGSIPVMNYERDN -FRPQMHLDETSFSEDITDLRMISSLSLSLVNSMKTSSVSKIRQNASGKNRYGRVSCEECFFQEIKSPKGT -FTLIYQKTGESSKCYSINRDGEHICSFYADPKRYFLPIMSSEVIKGVIDTMVQWLSDVKELEGCIDEIKI -LLKIIFMSVLLNPSKRSQKFLQNLRYFIMAFFGLAHHVDLMEKVKEDLITKSEYLIYRCVRKVMILVLGD -SVETMLTSRFKFLLNLSYICHFITKETPDRVTDQIKCFEKFLEPKLKFKSVFVNPKNDVTKEESSLLLES -GSRFLNKDEFDVKAPGVSKQMLSLMLSFVNSTSGFTPDELAKIKTNPLKDARCSTALDLSSNKSVVIPKT -DDKGNSVDYDYDKLVGVSLCDIVEKFHNKTKFCLSPEQLEYKILKSISHTMAEENRKEKKKKTTTRDSQT -SVSESIDLEESEDSVPLEELLPSESLSKIRCSIDKIFSKYQHKQNPKKVQDTEGISVSEALRDLVANHRD -RNLILSEVSYHLVEDFEESLFPDSFYEDFCERVGNSPKYSKLVMTETVLESCPIEMFTKNITRKFYEEGD -WFKCFKTILLQLNVNHYSGRFKHNSRLRLNYKFDYKKLYEDARISERESNSEAMCKLLSFTKCITSTLKN -LCFYSDESPTSYNGAGPDTGRLQFSLSYKEQVGGNRELYIGDMKTKMYTRFVEDFFESLTGQLNGSCLND -EAQFEEAISEMKQCIREGNLSYNMDHSKWGPTMCPLLFLTVLKNIKYIKDFDKPIEHISTLLSWHVHKYV -EVPFNVINAITKSFVKRQLGIQQGVINTKTEEFIFSHLDHGIVPSHISSILDMGQGILHNASDYYGLITE -KFINYTLKLLFRGELKSFTSSDDQISLFDKELSATLRDDTEELLCFLNYHYYLSSQLNKFVSPKSSLCEF -VAEFKSRFFVWGEEVPLLTKFVAASLHNVKCKTPHQLSETVDTIVDQCAANGVPINICNLLQKRCINLLR -YCRFPINPFLLNVNTDIKDWIDGTRGYRIQRQLENLFPDSTGTVRKLVRRLYNSLKNDEVREDFLAELFS -RDPFTVLKSLFKHYDLDEPQLRDCWLNLEAHHPIRMVLRQKVLFPSALQIESVNLPTVIRVLQNKLSHSF -TKSTQKLLSDSVNKSAFQSSVASGFIGLAKTIGSKCVRDADRNCHYIVEILSKLESLPGLKVIMKDDILI -VELESEDGSIQSDWPPECEWLRPMIRPILIDYLCIVLSNCLELGTWVLGDPEPPQRGPFLGRSSHDYVAV -FPVKSAVLEDKVGYNHILQSVRRLYPSLFETHLLPFLDDNSLRKSSWAPKIRFLDLCVSLDITCEAISLI -SHVVKWKRSEQYTVLTLDLSNSHNRKFTTMIDSKVISTSDTCINFLKQLFFESLIRPVLLSSRTLGSFTW -FPHASMMPKGEGAPSLGPFENFVLKTIHKGQERPMYRDDLSSGFSWIDLKDFKAYISSAHQRISRVSSAD -AGRDCFNLDERVVITITHNFIKRNRNGACDSKFSIIHRIHGSVSDASEGFLMVEVLGVECGFSGAVRDEF -VRTNILAIARSLSFSKEDMTVFVQRQMIDQHEEPSNQDTIMVELIPGESFSVDDPLAYTRLGPDWVPVGL -VLIDGDVKELKETVAQLKVSLDTKDVMIFLAELYPEHMALIKKGIETMLKKSQKHEVDLSRVDLIKCFKD -VGLTEHDLVDCFSGVIGWVKLSSYTLAYSKHLKDLVIAHPGGGMRLGGVCCRLLREGTSTIEIE - ->YP_516229.1 L protein [Mobala mammarenavirus] -MEEQISEVKDIISKYLSNDDRLAKQKLAFLVQSEPKLLLIEGLKLLSLCIEIDSCEANGCDHNTKELSVE -NFLSENRVLCPGLPMVVPDGFKLNGNVLMILECFVRSSPANFEQKYREDLVKLNSLKEDLMTVGITMLPL -IDGRTNFQTDRLPEWANERFRTLLFSLLAFSQESSRMFEEAEYSRLCESLNVSGGKRSGIENINILSDHR -SEHFDELLKLCHVGINNHMSSLDVKREIIQEFQAFRNKLQNGVIERQFLRVNREELIKAFNEMYTLRVGD -KPELLDSLLNDYYHSCPLITMLYCELPNGKSCQSDISHVRGWRSLLNKVKSLRLINTRRKLMLIFDSILL -LAHMKDLSVNGHLVESEWMGSSFLSVNDRLVSLPATQKDLKTWLQRRTNRLSHSHQSQSAYEVFSTMVNR -VLNKAKEVLLLVNLTFKDYNVDEDILSESSFTEMMSLEVNGVEPTINYEKNPIDRFSYNIQAMDPDNQSD -LKRLSSISLALVNSMKTSSTVKLRQNEHGKLRYKCVRCKEAYYQDFLIEGHRLMLIYQKTGECSKCYSVN -DAVVGELCSFYADPKRYFPAIFSDSVLQEMIDTMISWLTECSELKEFIKEIKSLLKMVVMVVLTNPTKRI -QKFLQNLRYFTMAYVSEYHHKDLLEKLREDLITNCEFLLYRITRSILNIVFNVNVTTMITNRFKFILNLS -YLCHLITKETPDRLTDQIKCFEKFIEPKMKFDSVNVNPLEPADQEELRSLLMSADKFLSKPDCFGDEGIL -FKTPGVSRKIFSMMVSSFNNGSLFKQAELKNGVKDPLVVSGCATALDLASNKSVVVNKYTDGDRIIEYDY -DKLVATAVCQLSEVFSRKGKYVLSKEDYDFKIQQIMSDLVIGRSKLHGSEIGLNSCEEVDEVLIEGGAAD -YFDSIKQSVDTVMSKFSWSGSESSATLKSECSIDDLSLALQDKAQLRLIRNELSCHMVEDFDVMTLPYDT -YEEICKSVYSDPSLRSKYFYLESLESCPLTKMAQAVCTRTFHDEEYFQCFKSLLLQMNANKLSGKFNHYK -SKCLNFKLDRDRLFNETRISERESNSEALSKALSLTNCTTAALKNLCFYSQESPQSYNSQGPDTGRLKFS -LSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALTSQFKGSCLNDEHEFENAVFSMKFNVSLGLLSYSLD -HSKWGPMMTPFLFLATLQNINWPSLDTLSDAKSRDYVSSMLSWHIHKLVEVPFNVVTAMMKSFIKSKLGL -KKNLSETMTERFFFEHFRLGKVPSHISSILDKGQGILQNTSDFYGLISERFINYCISCLYEGNVDAFTSS -DDQISLFDKSLSDLLEKDPDEFEYILEFHNYLSDQLNKFISPKSVKGNFAAEFKSRFFVWGDEVPLLTKF -VAASLHNIKCKEPHQLAETIDTIIDQAVANGVPVKLCNIVQERTLNLLRYAQYPIDPFLMFCSSDVKDWV -DGNRGYRIMRNIEMLEPNGTRKVRSFLRRLYNNLKTGLLHEEFTAAYLSGDPYQSLAKLSKIFDTEILND -EELGLSWLNLSAYYPLRMVLRQKVIYTGAVNVEEEKLPTIVKTLQNKLSSNFTRGAQKLLSEAINRSAFQ -SCIASGFVGLCRTLGSKCVRGPERENFYIKSIMNQSMMMEGVSRELVMGVDVWRVRNPLDNSRAQQKWGN -YFRPILWDYLCIALSTALEIGSWVLGEPKLKSPLPQMKFRPCDYFPMKPSVTRLLEDKVGFNHIIHSFRR -LYPDIFEKHLLPFMSDLASTKMKWSPRVKFLDLCVMLDVNCEAMSLVSHIVKWKREEHYVILSDELSISH -DRSHESLADERVVSTEDVSENFLRQIYFESFARPFVATSRTLGSFTWFPHKTSLPESEGLASLGPFGTFI -EKVIFKGIERPMYRHDLFSGYAWLDFDFGEFYINSSKLIQYGLTEMRYFEDLSEFMSMLSSLKPGSIEIS -LTVNFQVKSQGESLREKFFIHCKFYGSFDVDGKFEFNNIGVQYSGAINRSAVLDCWRLILTNSHFLGDKV -IWHLNTANIKDYLKDGSMVGEVVPIEVIINRDALRLDTLDFERVGPDVNVVPLVVKDGYIFEGDKKLVPF -NPSIHDQDFEILVKELCIDDKELLKDMIQKMITVRGSQGLQWHSLDIVAVLTKNMPTNYKDFITESLSVL -DSWTGFKGYSLCFSKTKNTLMIHTSEGNLRLKGKLCRKLFDDPVHVEDIE - ->YP_516233.1 L protein [Ippy mammarenavirus] -MEESLRETKLLISRYLRQDERIARQKLAFLGQSEPRSLLIEGLKLLSLCIEIDSCDTNCCTHNTEGQSVE -NFLFQNHILCPSLPLVVPDGMKLNGNILIILECFVRSNPTNFQQKYQEDSVKLDSLKGDLERAGISLIPI -IDGRTSYYNSLMEEWVCDQFRHNLFKLLEFEQENNALFEESEYLRLCESLNVSGGRASGAQGLHSLLDCR -GEHYNEILKACHIGIDPSIGGVELKGQIENLYQVFRQKLKKGVIKHQFRKVDQKSLLKEYCEMYKGIGIC -GVEETTVDALAAELPNISPILRYIHLRIDSESNAEVNEISNLPTGLRSAFNKVKSLKVLNTRRKLLLLID -TIILMSHCYVRELFPTLCERDWLGSSFFSVGDRLVSVGAIQHDLSKWLKRRLKANGGVGQKSTELHKMIN -TMIQKSSKALGDVGLSFESYGVSFDFLNKVGLEEIMRFKIVGVTPTISYIKTNQQPPIPLREFSAEDDSD -LKMLSSLSLSLVNSMKTSSTVKTRQNAMGRERYRVVQCKECYYQELGNEYRDLVLLYQKTGEGSKCYSVN -SKRVGEICSFYADPKRYFCPIFSENVITKVIDTMMTWLMGIVELEDSLRDIKKLTKMILLVILCQPSKRS -QKLLQNLRYFIMAFVSDYHHVELFDKLREELITDAEFFLFKLLGKILTILLNDEVSTMLNNRFKFILNIS -YFCHFITKETPDRLTDQIKCFEKYLEPKIQFGSLTVNPKETPTDEEKDDILHGVNMFLSKKTCDEVDDPP -SKKPGVSKKVFSLMLSAFNSGLLFKESELKKGMKDPLEDSGSATALDLASNKSVVINKYTKDGRVLDYNY -DKLVSVAVCQLSEIFSRKGKYLLNKEDYDYKIQEVLSSLVIGSSKSEQPEEILDVDSDYMDQLKASVERV -LDQYKPNRGVRSQNNDKSVNDLKIIVEDELSRRLILGELSYHLVEDFDKGLLSENFYKEVCEKAFNNKDF -RTKYFYDSEAGLCPIEKMTQALATRTYMSGEYFHCFKSLLLQMDANKLSGKYSHYKSQNLNFRFDHGRLM -DDSRISERESNSEALSKALSLVNCLTSALKNLCFYSQESPSSYTETGPDTGRMKFSLSYKEQVGGNRELY -IGDLRTKMFTRFVEDYFESYTKQLEGSCLNNEKEFEKAILGMKLGVSLAHASYSLDHSKWGPMMCPFLFL -MLYRNLSPKLKGTEVELKGCDNISTILSWHIHKLVEVPFNVVTAMMRSYIKRKLGIMKDTSQTITESLFF -SEFERGVIPSHFSSVLDMGQGILHNTSDFYGLISERFINYALRLVSGNPIEAYTSSDDQISLFSHKFTEL -MDTDPEEFLIYLEFHNYLSSLLNKFISPKSVVGRFVAEFKSRFYVWGDEVPLLSKFVAASLHNIKCKEPH -QLAETVDTIIDQAVANGVPVSVCNEVQKRTLRLLEFSKYPIDPFLLHSDSDVKDWVDGNRGYRIMRVIEQ -TLPEGTASVRSLLRILYNKLKSNELHEEFASAYLSQNRSETLVGLAELMGVKPPSTEDLMICWLNLTACH -PLRMVLRQKVIYPSALNLEEEKVPTLIRTLQNKLSSGFTRGAQKLLSEAVNKSAFQSSIASGFVGLCKTL -GSKCVRDPERESHYIKSIIQYLQTHCNVKPLNKGHLNLWVYESKTDDTQSASVKPWQIELLRPLLWDYLC -IALSTSLEIGPWVLGEPVFKVKSDFWKPRPCDYFPLRPAHNRILEDRIGMNHIIHAVRRLYPEMFEKHLL -PYMSDLAAMKLKWSPRIKFLDLCVTLDVNCEALSLISHVVKWKREEHYIVLSDDLLVSHDRKHTTLMDET -VVSTSDVADNFLKQIYFESFVKPFVATSRTLGSFSWFPHRSSLPQGEGIERLGPFSTFIEKVVFKGIERP -MYRYDLFMGYSWLDYEIELAHLNQSQLIASGLTEESCFEDVDQFWHYLSTLKVGSVKLSKTVRLTQKTQG -KLQGQKFSVHLNFTGFITNSCTFVPKQLEVLYSGPVDEHFVIDCWSLLKSDREFKAGASEWFVHSDVVDA -YISTASPSSEAYPLDVWLEPDLLELSVSDISKVGPEVNIVPLVVEDGHLLELKEKVAIINPVILDQDIEV -FINELKEDHWDLLVCKFADILKHRQCCNLYLINVDILTIALRILNDKAEEFISKSMQEIDQWFDFKGYSL -CFSKSRRQVMRHSSTGTMRLKGRLCQPAFYVEVVEEID - ->YP_170708.1 polymerase RDRP [Mopeia virus AN20410] -MEELLSESKDLVSRYLLEDERLSKQKLAFLVQTEPRMLLIEGLKLLSLCIEIDSCKANGCEHNSEDLSVE -ILLQRQGVLCPGLPFVVPDGFKFSGNTLILLECFVRTSPINFEQKYKEDTIKLESLKPDLSSVDIILLPL -IDGRTNFYTDLFPEWANERFRHILFSLLEFSQQSSKMFEESEYSRLCESLTKAGVRTSGIESLNVLTDSR -SDHYERVLELCHRGINNKMSILDVKKEIVSEFHAFRNKLKEGEIERQFVRTDRRQLLRDFNNLYIDREGD -TPSEIDPLKERFVKSSPMVTALYGDYDRYRQEGVDRDSCLQNHFQSSVPGWKSLLNKIKSLKLLNTRRKL -MLTFDAIILLAHLKDLKCHGELLGSEWLGSSFLSVNDRLVSLQETQKDLKKWIERRMVSAMKKKGGVGTL -CQRSELIFFDIINKLLTKAKEALSSASLCFRDYVKEEDILEEDSYERLMLMEKRGIQPTMSYEKEEGNQF -PYPLIELEADSIEDLRRLSSISLALVNSMKTSSVAKVRQNEYGAARYKRVRCKEAFNQSFIMGSGNFNLI -YQKTGECSKCYAINNPEKGEICSFYADPKRFFPAIFSHCVIYETINTMMSWLSECIELRDQQKTLKLLLK -ITMILILVNPSKRAQKFLQGLRYFIMAFVSDFHHKQLMEKLREDLITEPEHLLYSVVRSILNIILGEGVS -TMLTNRFKFVLNLSYMCHFITKETPDRLTDQIKCFEKYLEPKLEFDSININPSEEGDEDERMLLLESANK -FLSKETSMSNNRISYKVPGVSRKFFSMMTSSFNNGSLFKKGDDLSGFKDPLVTAGCATALDLASNKSVVV -NKYTDGERILYYDHDKLVAASVCQLSEVFQRKTKYLLSKEDYDYKVQKAISDLVVGKKSGSSNPNSQGAP -DELDELFLDSCALDCLEDVKKSVDVVLEKYRYDRKFPVGNGSEEKSLTDLRKVLGTEDVGCVYYRLIQAE -IAHHMVEDFDESLLPGDAYEMICKGFFKDLELRSKYFYLDSLDSCPITCITQAVSTRTFNDQQFFQCFKS -LLLQMNAGKLAGKYSHYKNKCLNFKIDRERLMNDVRISERESNSEALGKALSLTNCTTAVLKNLCFYSQE -SPQSYTSLGPDTGRLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALTKQYRGSCLNNEKEFHNA -ILAMKLNVSLGQVSYSLDHSKWGPMMSPFLFLVFLQNLRWETRDDIEDIKSKDYVSTLLSWHIHKLIEVP -FNVVNAMMRSYLKSRLGLKKSLHQTSTEAFFFEYFKQNRIPSHLSSIIDMGQGILHNASDFYGLVSERFI -NYCIKCLFEDEVDSYTSSDDQISLFGKDLSDLLSNEPEEFQAILEFHYFLSDQLNKFISPKSVIGSFVAE -FKSRFYVWGDEVPLLTKFVAAALHNVKCKEPHQLAETIDTIIDQSVANGVPVTLCNAIQERTLNLLRYAQ -YPIDPFLLFLDSDVKDWVDGNRGYRIMRNIEAILPESTQKVRKVLRTVFNKLKLGELHEEFTAIYLSGDP -ADSFKKLTSLVGDDTLSEEDLSVCWLNLTTHHPLKMVMRQKVIYTGAVELGEEKLPTLVKTLQSKLSSNF -TRGAQKLLCEAVNKSAFQSGIASGFIGLCKTLGSKCVRFSDRSTAYIKSLVSRLSALDSVSSLKVKGVDL -WILGKEHTKAAEEALGFLRPVLWDYFCIALSTSLELGSWVLGEPKVKEKTSSIPFKPCDYFPMKPTTTKL -LEDKVGFNHIIHSFRRLYPSLFERHLLPFMSDLASTKMRWTPRIKFLDLCVVLDVNCEAMSLISHVVKWK -REEHYVVLSSDLAIAHERSHLPITDERVVTTYDVVQNFLRQIYFESFIRPFVATSRTLGSFTWFPHRSSI -PESEGLDNLGPFSSFIEKVIYKGVERPMYRHDLYSGYAWLDFECAPAILNLGQLIASGLTEQHVFESVSE -LLEAFADLSVGSVQISVTVNFQVRSQGESLKEKFSLHLLFKGVVLEGGLFKPHSLDVTYSGSVQRSAIKD -CWRVAQTSTWFKRETTSIWLLSTENICDYLRDSSPIPDVIPLSVLLNEEILDLEEHDFTHIGPEHVEIPL -VVDSGYLIEGTRKLLPFNPNIHDQDLNVFIGELMEDHSEILERSLSKMLRSRMDQGLHWLQLDIIGVVGR -CMPEGYENFLTRVFSGIDFWADFKGYSLCYSRSQASLMIQSSEGKFRLRGRLCRPLFEEVGPPLDIE - ->NP_694872.1 L protein [Lassa mammarenavirus] -MEEDIACVKDLVSKYLVDNERLSRQKLAFLVQTEPRMLLMEGLKLLSLCIEVDSCNANGCEHNSEDKSVE -RILHDHGILTPSLCLWYPDGYKLTGNVLILLECFVRSSPANFEQKYIEDFKKLEQLKEDLKSVDINLIPL -IDGRTSFYNEQIPDWVNDKLRDTLFSLLKYAQESNSLFEESEYSRLCESLFMTSGRLSGVESLNVLMDNR -SNHYEEVIASCHQGINNKLTAHEVKLQIEEEYQVFRNRLRKGEIEGQFLKVDKSQLLNELNNLYADKVVA -EDNIEHLIYQFKRASPILRFLYANVDEGNEKRGNQTIGECQVQCWRSFLNKVKSLRILNTRRKLLLIFDA -LILLASKHDLMKQKCLKGWLGSCFLRVKDRLVSLEATKRDLEKWGERGNRLRSRITQSSQCLSKNQILNS -IFQKTILKATTALKDVGISVDHYKIDMEVICLNSYDLIMDFDVSGVVPTISYQRTEEETFPYVMGDVELL -GTTDLERLSSLSLALVNSMKTSSTVKLRQNEFGPARYQVVRCKEAYCQEFSLGNTELQLIYQKTGECSKC -YAINDNKVGEVCSFYADPKRYFPAIFSAEVLQTTISTMISWIEDCNELEGQLNNIRSLTKMILVLILAHP -SKRSQKLLQNLRYFVMAYLSDYHHKDLIDKIREELITDVEFLLYRLIRTLMNLVLSEDVKSMMTNRFKFI -LNVSYMCHFITKETPDRLTDQIKCFEKFLEPKVRFGHVSTNPADTATEEELDDMVYNAKKFLSKDGCTTI -EGPDYKRPGVSKKYLSLLTSSFNNGSLFKEREVKREIKDPLITSGSAALDLASKKSVVVNKYTDGSRILN -YDFNKLTALAVSQLTEVFSRKGKYLLNKQDYEYKVQQAMSNLVLGSGQLKSDADGADLDEILLDGGASDY -FDQLKETVEKIVDQYREPVKLGSGPNGDGQPSINDLDEIVSNKFYIRLIKGELSNHMVEEFDHDILPGKF -YEEFCNAVYENSRLKQKYFYCGHMSQCPIGELTKAVSTRTYFNHEYFQCFKSILLIMNANTLMGRYTHYK -SRNLNFKFDMGKLSDDVRISERESNSEALSKALSLTNCTTAMLKNLCFYSQESPQSYDSVGPDTGRLKFS -LSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEAISLQLSGSCLNNEKEFENAILSMKLNVSLAHVSYSMD -HSKWGPMMCPFLFLTVLQNLIFLSKDLQADIKGRDYLSTLLMWHMHKMVEIPFNVVTAMMKSFIKAQLGL -RKKTKQSITEDFFYSNFQAGVVPSHISSILDMGQGILHNTSDFYALISERFINYAISCICGGTIDAYTSS -DDQISLFDQSLTELLQRDPEEFRTLIEFHYYMSDQLNKFVSPKSVIGRFVAEFKSRFFVWGDEVPLLTKF -VAAALHNIKCKEPHQLAETIDTIIDQSVANGVPVHLCNLIQKRTLNPLQYARYPIDPFLLNCETDVRDWV -DGNRSYRIMRQIEGLIPNACSKIRSMLRKLYNRLKTGQLHEEFTTNYLSSEHLSSLRNLCELLDVEPPSE -SDLEYSWLNLAAHHPLRMVLRQKIIYSGAVNLDDEKIPTIVKTIQNKLSSTFTRGAQKLLSEAINKSAFQ -SSIASGFVGLCRTLGSKCVRGPNKENLYIKSIQSLISDVKGIKLLTNSNGIQYWQVPLELRNGSGGESVV -SYFRPLLWDYMCISLSTAIELGAWVLGEPKTVKVFDFFKHNPCDYFPLKPTASKLLEDRVGLNHIIHSLR -RLYPSVFEKHILPFMSDLASTKMKWSPRIKFLDLCVALDVNCEALSLVSHIVKWKREEHYIVLSSELRLS -HSRTHEPMVEERVVSTSDAVDNFMRQIYFESYVRPFVATTRTLGSFTWFPHKTSVPEGEGLHRMGPFSSF -VEKVIHKGVERPMFKHDLMMGYAWIDFDIEPARFNQNQLIASGLVDPKFDSLEDFFDAVASLPPGSAKLS -QTVRFRVKSQDASFKESFAIHLEYTGSMNQQAKYLVHDVTVMYSGAVSPCVLSDCWRLVLSGPTFKGKSA -WYVDTEIINEFLIDTNQLGHVTPVEIVVDMERLQFTEYDFVLVGPCTEPTPLVVHRGGLWECGKKLASFT -PVIQDQDLEIFVREVGDTSSDLLIGALSDMMIDRLGLRMQWSGVDIVSTLRAAAPSCEGILSAVLEAVDN -WVEFKGYALCYSKSRGKVMVQSSGGKLRLKGRTCEELTRKDECIEDIE - ->NP_694845.1 L protein [Lymphocytic choriomeningitis mammarenavirus] -MDEIISELRELCLNYIEQDERLSRQKLNFLGQREPRMVLIEGLKLLSRCIEIDSADKSGCTHNHDDKSVE -TILVESGIVCPGLPLIIPDGYKLIDNSLILLECFVRSSPASFEKKFIEDTNKLACIREDLAVAGVTLVPI -VDGRCDYDNSFMPEWANFKFRDLLFKLLEYSNQNEKVFEESEYFRLCESLKTTIDKRSGMDSMKILKDAR -STHNDEIMRMCHEGINPNMSCDDVVFGINSLFSRFRRDLESGKLKRNFQKVNPEGLIKEFSELYENLADS -DDILTLSREAVESCPLMRFITAETHGHERGSETSTEYERLLSMLNKVKSLKLLNTRRRQLLNLDVLCLSS -LIKQSKFKGLKNDKHWVGCCYSSVNDRLVSFHSTKEEFIRLLRNRKKSKVFRKVSFEELFRASISEFIAK -IQKCLLVVGLSFEHYGLSEHLEQECHIPFTEFENFMKIGAHPIMYYTKFEDYNFQPSTEQLKNIQSLRRL -SSVCLALTNSMKTSSVARLRQNQIGSVRYQVVECKEVFCQVIKLDSEEYHLLYQKTGESSRCYSIQGPDG -HLISFYADPKRFFLPIFSDEVLYNMIDIMISWIRSCPDLKDCLTDIEVALRTLLLLMLTNPTKRNQKQVQ -SVRYLVMAIVSDFSSTSLMDKLREDLITPAEKVVYKLLRFLIKTIFGTGEKVLLSAKFKFMLNVSYLCHL -ITKETPDRLTDQIKCFEKFFEPKSQFGFFVNPKEAITPEEECVFYEQMKRFTSKEIDCQHTTPGVNLEAF -SLMVSSFNNGTLIFKGEKKLNSLDPMTNSGCATALDLASNKSVVVNKHLNGERLLEYDFNKLLVSAVSQI -TESFVRKQKYKLSHSDYEYKVSKLVSRLVIGSKGEETGRSEDNLAEICFDGEEETSFFKSLEEKVNTTIA -RYRRGRRANDKGDGEKLTNTKGLHHLQLILTGKMAHLRKVILSEISFHLVEDFDPSCLTNDDMKFICEAV -EGSTELSPLYFTSVIKDQCGLDEMAKNLCRKFFSENDWFSCMKMILLQMNANAYSGKYRHMQRQGLNFKF -DWDKLEEDVRISERESNSESLSKALSLTKCMSAALKNLCFYSEESPTSYTSVGPDSGRLKFALSYKEQVG -GNRELYIGDLRTKMFTRLIEDYFESFSSFFSGSCLNNDKEFENAILSMTINVREGFLNYSMDHSKWGPMM -CPFLFLMFLQNLKLGDDQYVRSGKDHVSTLLTWHMHKLVEVPFPVVNAMMKSYVKSKLKLLRGSETTVTE -RIFRQYFEMGIVPSHISSLIDMGQGILHNASDFYGLLSERFINYCIGVIFGERPEAYTSSDDQITLFDRR -LSDLVVSDPEEVLVLLEFQSHLSGLLNKFISPKSVAGRFAAEFKSRFYVWGEEVPLLTKFVSAALHNVKC -KEPHQLCETIDTIADQAIANGVPVSLVNSIQRRTLDLLKYANFPLDPFLLNTNTDVKDWLDGSRGYRIQR -LIEELCPNETKVVRKLVRKLHHKLKNGEFNEEFFLDLFNRDKTEAILQLGDLLGLEEDLNQLADVNWLNL -NEMFPLRMVLRQKVVYPSVMTFQEERIPSLIKTLQNKLCSKFTRGAQKLLSEAINKSAFQSCISSGFIGL -CKTLGSRCVRNKNRENLYIKKLLEDLTTDDHVTRVCNRDGITLYICDKQSHPEAHRDHICLLRPLLWDYI -CISLSNSFELGVWVLAEPTKGKNNSENLTLKHLNPCDYVARKPESSRLLEDKVNLNQVIQSVRRLYPKIF -EDQLLPFMSDMSSKNMRWSPRIKFLDLCVLIDINSESLSLISHVVKWKRDEHYTVLFSDLANSHQRSDSS -LVDEFVVSTRDVCKNFLKQVYFESFVREFVATTRTLGNFSWFPHKEMMPSEDGAEALGPFQSFVSKVVNK -NVERPMFRNDLQFGFGWFSYRMGDVVCNAAMLIRQGLTNPKAFKSLKDLWDYMLNYTKGVLEFSISVDFT -HNQNNTDCLRKFSLIFLVRCQLQNPGVAELLSCSHLFKGEIDRRMLDECLHLLRTDSVFKVNDGVFDIRS -EEFEDYMEDPLILGDSLELELLGSKRILDGIRSIDFERVGPEWEPVPLTVKMGALFEGRNLVQNIIVKLE -TKDMKVFLAGLEGYEKISDVLGNLFLHRFRTGEHLLGSEISVILQELCIDRSILLIPLSLLPDWFAFKDC -RLCFSKSRSTLMYEIVGGRFRLKGRSCDDWLGGSVAEDID - ->sp|P14241.1|L_LYCVW RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MDETIADLRELCLNYIEQDERLSRQKLNFLGQREPRMVLIEGLKLLSRCIEIDSADKSGCIHNHDDKSVE -TILIDSGIVCPGLPLIIPDGYKLIDNSLILLECFVRSTPASFEKKFIEDTNKLACIKEDLAVAGITLVPI -VDGRCDYDNSFMPEWVNFKFRDLLFKLLEYSSQDEKVFEESEYFRLCESLKTTVDKRSGMDSMKILKDAR -SFHNDEIMKMCHDGVNPNMSCDDVVFGINSFFGRFRRDLLNGKLKRNFQKVSPGGLIKEFSELYETLTDN -DDILMLSKEPVESCPLMRFITAETHGHERGSDANTEYERLLSMLNKVKSLKLLNTRRRQLLNLDVLCPSS -LIKQSISKGLEND - ->AHE76148.1 RNA-dependent RNA polymerase [Cardamones virus] -MEEILVEARRLVGCHFPQMEQIARQKALFLAQREPKFTLIEGLKLLSLCIEIDSCRSNACEHNSESLSVE -VLLFKNNILCPSLPYVVPDGFKLKGNVLILLECFVRSSPANFQQKYSEDLTKLESLRMDLSKAGIQLIPI -VDGKTSFYTSIVPDWACERFRHLLFKLLEFEQESNSEFEESEYQRLCESLSQNTSRLSGVDSLNILADHR -SGHYEDIIQKCHVGINSNLPAREIREKVTMIFQSFRNRLKSQTIKHHFAKVNLAQLLKSFTELYESELGN -IADTIDSLRNEFCTVSPIVKFLYMRMPEGSARGEETQTEKPNTLICGLRSMLNKVKSMKVLNTRRKMLLL -FDTFILMAHVRFFKEESLYAETEWLGSSFCSVNDRLVSLDETVQSLTKWVRARQRNYSKKVPECVTPTLN -QIFQDMMKNTYKKIESALEYINMKPESYGVGLDALEVDIEELMAFRKDGIQPTMSYEPSQAKEVPYDVEI -MNIQSDDDFKVLSSLCLSIVNSMKTSSVPKLRQNEVGASRYKVVRCREAFYQDLNTQVGCFKLLYQKTGE -SSKCYAINDEKSGEVCSFYADPKRYFLPIFSHEVLPETIRTMMGWLDGCEELKEHLADIHWLTKAIVVLI -LCQPSKRSQRFLQNLRYFVMATVSEYHHVKLMEKLKETLITKCEYYLYRLVRKLLVTLLDPSVKSLLTNR -FKYMLNVSYFCHLITKETPDRLTDQIKCFEKFLEPKIDFGSCFINPSDVITDDELDTLSYGLDQFLAKPN -ISEGASIVHGKPGINKEIFSLMVSSFNAGLLFKESEVKGDFVDPLVSSGCATALDLASNKSVVVNKFTED -KRVLEYDINKLTSAAVCELTETFSKKGKYLLNKDDYEYKVQRVISKLVTKGTTGTMEKEEVNLDVDDIFE -GESKEFFNCVKQRVDSILTNYQSGVKSQDAQLHQASLSDLSEFVSDPVKQRLIMSELSTHMVEDFDPNLL -SESFYEEFCNSVHDSTLKERYFYDTSLGPCPISLISKSVASRFYEAGEYFQCFKSLLLQMGGNKLSGKFM -HHKHNTVNFKFDHSKLLDDVRISERESNSEALSKALSLSNCTSAALKNLCFYSEESPESFTSVGPNTGRL -KFSLSYKEQVGGNRELYIGDLRTKMYTRLIEDYFEAFTKHFRGSCLNDEKEFENALIAMRLSVSLAQLSY -SLDHSKWGPMMCPFLFLMLVQNIDLKSPSALEGIKSRDLISTLLCWHIHKMVEVPYNVISAMMRSYIKRN -LGVMNSDHMTPTEAFIFNEFEIGVVPSHISSILDMGQGILHNTSDFYGLITEKFINYCLRLVTDGSVGSY -TSSDDQISLFDSELTSLHDKSDEDFLCILEFHNYLSDMLNKFISPKSVVGRFVAEFKSRFFVWGEEVPLL -TKFVSASLHNVKCKEPHQLAETIDTIIDQSVANGVPVKLCNMIQDRTLSLLRYAKYPLDPFLLFNKSDVK -DWVDGTRGYRIMRNIENICPEQTGKVRSMLRILYNKLKVGELHEEFTAVYLSSEPKESINKLMALVGKEL -LTDGDLSLCWLNLSTHHPLRMVLRQKVVYPSAINVEEEKVPTIIKTMQNKLSSHFTRGAQKLLSEAINKS -AFQSSIASGFVGLCRTLGSKCVRDSTRGVHHIKSILEQLATTKGVIHDQINGWDIWEVPNHSSNTDDSGH -DWVLSLLRPILWDYLCIALSTALEIGPWVLGNPKPKFEVKIRNKRSCDYFLLKPQNTRILEDKVSMNHLI -HSIRRMYPEMFERHLLPYMSDLAATRMKWSPRIKFLDLCVVLDVNCEALSLISHVVKWKRSEHYVVLMSE -LQESHERQHVTLLDERVVSTENVSDNFIKQILFESFIRPIVVTSRTLGSFTWFPHKSAIPHGEGIGRLGP -LSSFVEKVIFKGIERPMYEYDMSSGFSWIDLDIKPSVITAAELTRLKITEASVFDDFWDLWNFILQNSNE -GFRVMKTIHVAVKSKGGSGGKNFHVHLQFNGVVDPLKMEVTMTLSGASYSGNVDLIFLESIWTLILTDPN -FSSNSVSWYFSTETVSDAVRGGRQVLGDLVLVDIGLDRESLRLSGIEFERVGPNWEPVPLVLKHGYLWEG -ERKLAPLAAELHTDDLKVFIQELHEDHEQLLLESLACLVNTQLAQRVPLIHVDVIDALEKICGEAKGMLV -LTEVMSQVDAWVDFKGYSICYSKSRSELMKQAPGGSLRLKGRLCEPFSQVMADVEEID ->AIT17661.1 polymerase [Lassa mammarenavirus] -MEEDIANAKDLISKYLANNEKLSRQKLAFLVQTEPRMLLMEGLKLLSLCIEVDSCKANGCEHNTEDKTVE -SILSDHGILTPALCFVVPDGYKLTGNVLILLECFVRSSPANFEQKYLEDYKKLEQLKNDLESVGINLIPL -IDGRTTFYNEQIPDWVNDKLRDTLFTLLKYSQESNALFEESEYSRLCESLALTSGRLSGIESINVLTDKR -AKHFEEIISSCHQGINNKLTSHEVKSLIEEEYQIFRNKLRQGNIESQFVKTDKMKLLEDFRKLYSDKIDT -CDDNIEQLTFQFRRASPVLKFLYADLDSGKERVADSQSEQMQCWRSLLNKIKSLRILNTRRKLLLIFDIL -ILLASRLDCIRHGDKLPAGWLGSCFVSVNDRLVSLESTKKDLKRWMDSRIQRGRHRRGKIPKGRGAGALS -LKEEQNCELLAIINRVLTRATNALREVGIETNSYGVDLKILDCSVYDAIMDIEISGITPTISYQKTQEDM -FPYTLGVIDILDVTDLERLSSLSLALINSMKTSSTVKLRQNEFGPSRYQVVRCKEAYCQEFFINRMGFKL -LYQKTGECSKCYAINNNEVGEVCSFYADPKRFFPSVFSSNVLQKVVDVMVSWIEECTELSEQLITIKLLT -KMILILILTHPSKRCQKFLQNIRYFVMAFVSDFYHKDLIEKIREDLITEVEYLLYRLVRHLLKIILSEEV -VSMMTNRFKFVLNISYMCHFITKETPDRLTDQIKCFEKFLEPKLEFGHVSVNPKDDASEEELAEMVYNAR -KFLGKECCADAEKVRYKKPGVSKKFISLLVSSFNNGSLFKEKEVKKTLRDPLITSGCATALDLASNKSVV -VNKYTDGSRVLDYDFNKLTALAVTQLTEVFARKGKFLLNKQDYDYKIQQAMSNLVLGSKGGSCDTNNADL -DEILLDGGASEYFDQLRCTVDNIVSQYREQPERQQQTGCNAPSISDLDKVVEEKLLIRLIKSELSNHMIE -DFDREILSEDQYIKICENTYNDDTLRSKYFYVGPMNSCPISELAKAVVTRTFLDQEYFQCFKSILLVMNG -NKLMGRYSHYKSKCLNFKFDTGRLADDVRISERESNSEALSKALSLTNCTTAMLKNLCFYSQESPQSYNS -TGPDTGRLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALTSQLAGSCLNNEKEFDNAILSMKLN -VSSAHVSYSMDHSKWGPMMCPFLFLTIIQNLILLSDDLQADLKGKDYLSTLLTWHMHKMVEIPFNVVSAM -MKSYIKSQLGLKKKTTQSMTEDFFNSNFQIGTVPSHISSILDMGQGILHNTSDFYALISERFINFAVRCV -SGGQIDAYTSSDDQISLFDQNLTELLSRDTEEFKTLLEFHYYMSDQLNKFVSPKSAIGRFVAEFKSRFYV -WGDEVPLLTKFVAAALHNVKCKEPHQLAETIDTIIDQSVANGVPVDLCNQIQKRTLSLLCYAKYPIDPFL -LNCETDVRDWVDGNRSYRIMRQIERLIPDACKKMRSMLRILFNKLKTGELHEEFTTNYLSGEHTTSLQNL -FKLLGVEPLSDSDLGFHWLNLATHHPLRMVLRQKIIYSGAVNLEDEKVPTIVKTLQNRLSSTFTRGAQKL -LSEAINKSAFQSSIASGFVGLCRTLGSKCVRGPNKENLYIRSIQTQLLGIQGVEAVMGDNGIQIWKVSPE -VKDGGDAVVTYLRPLLWDYMCITLSTAIELGAWVLGEPKQAQTLDFFKHNPCDYFPLRPTVSKLLEDRVG -MSHIIHSLRRLYPSLFEKHILPFMSDLASTKMKWSPRIKFLDLCVALDVNCEALSLVSHIVKWKREEHYV -VLASELRNSHSRTHEPMMEERVVSTADAVDNFMRQIYFESYVRSFVATTRTLGSFTWFPHKTSIPEGEGL -QRLGPFSSFIEKAIYKGIERPMFKFDLMMGYAWIDFDIEPAQINLNQLIASGLTEELRLDSLEDFFDLLS -TMPVDSVQFYQTIRYKIKSQDASFKETFCIHLNMIGLVNHFGEFVVNDIQALYSGSVSPCVLSDCWRLAL -SGPTFKGRSAWFVESDVVNEFLKDTQQLGDVIPMKLIINPDKLQFSEFDFSKVGPDNEPVPLVVCRGALW -ESDRRVATFTPSIQDQDLEMFVREIGDSSPHLLVGALKSMMSDRLKQRIQWTGVDIVSILTKQRPVDYAD -ILSELLESLGEWIDFKGYALCYSKSRQRVMIQSSGGSLRLKGRTCSELFGPEKCIEDIE ->AMR44580.2 L polymerase [Lassa mammarenavirus] -MEEDIAQAKDLVSKYLVDNERLTKQKLAFLVQTEPRMLLMEGLKLLSLCIEIDSCRANGCEHNTEDKSVE -VILNENGILTPALCFVVPDGYKLTGNVLILLECFVRSSSANFEQKYLEDFKKLEQLKSDLESVNINLIPL -IDGRTTFYNDQIPDWVNDKLRDTLFSLLKFSQESNALFEESEYSRLCESLSMTSGRLSGIESINVLKDNR -TDHFTEIIANCHQGINNKMTSHEVKVMVEEEYQIFRNELRQGVIESQFVATDKEKLLSRFSRLYEGEIAE -HDDNLDQLVHQFKRASPLLRFLYAGLGNDTGRVRPADCDEQMQCWRSFLNKIKSLRILNTRRKLLLIFDA -IILLASRFDLSKSKQRFSSGWLGSSFESVNDRLVSLESTKRDIKKWIERRLIKNDGHRHRGINRSSDRNE -TLLSIIKKTLDKAISTLKDVGIEITMYKVNMAIFDCNVFDSIMGFEMSGIVPTMSYQKTQSEVFPYTLGV -VDLEETVDLKRLSVVCLALINSMKTSSTVRMRQNEFGPARYQQVRCKEAYCQGFTINGTEFKLLYQKTGE -CSKCYAINNNEVGEVCSFYADPKRFFPAIFSDSVLQSVVDIMMSWIEDCIELKTKLKAVKLLTKMILVLV -LVHPSKRCQKFLQNLRYFIMAFVSDFHHKDLMDKIKEDLITEAELILYKLVRSLLEILLSSDISSMMTNR -FKFILNVSYMCHFITKETPDRLTDQIKCFEKFLEPKLDFGHVSVNPRDHPEEEELEDMIHSIRQFLKKDT -CTDGKGIKYKKPGVSKKYLSLLTSSFNNGSLFKEKEVKRELKDPLITSGCATALDLASNKSVVINKYTDG -TRVLDYDFNKLTALAVTQLTEVFSRKGKYLLNKQDYDYKIQQAMSNLVLGPDQQKAGIEDGDLDDILLEG -GASEYFERLRATVDKIVSQYREPYSKKNSLIGEDHPSVVDLEKVIDNKFYIRLIKGELSNHMVEDFDYEI -LPEEFYKSFCDSVYDDSDLQKKYFYSGPMNSCPISELTKAVVTRTYLEAEYFQCFKSILLVMNANKLMGR -YSHYRTKCLNFKFDTGRLTDDVRISERESNSEALSKALSLTNCTTAMLKNLCFYSQESPQSYNSIGPDTG -RLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALTGQLTGSCLNNEKEFDNAILSMKLNVSLAHV -SYSMDHSKWGPMMCPFLFLAVLQNLVLLSKDLQADVKGRDYLSTLLTWHIHKMVEIPFNVASAMMKSYIK -SQLGLRKGTSQTVTEDFFYSNFQVGVVPSHISSILDMGQGILHNTSDFYALISERFINYAIKCVCGGQID -AYTSSDDQISLFDQNLTELLSRDPEEFRTLLEFHYYMSDQLNKFISPKSVIGRFVAEFKSRFYVWGDEVP -LMTKFVAAALHNIKCKEPHQLAETIDTIIDQSVANGVPVHLCNLIQLRTLALLHYSRYPLDPFLLNCDTD -VRDWVDGNRSYRIMRQIERLTPDACKKVRSMLRILFNKLKTGELHEEFTTNYLSGEHTTSLQNLFKLLDV -EPLSESDLGYHWMNLAAHHPLRMVLRQKIVYSGAVNLEEEKVPTLVKTLQNKLSSAFTRGAQKLLSEAIN -KSAFQSSIASGFIGLCRTLGSKCVRGPERETLYIKSVQAQVMSIEGIQLELDGQGIQLWKVPPDVRLDQN -PATSYLRPLMWDYMCIALSTAIELGAWVLGEPKEAKNLGFFKHNPCDYFPLRPTASKLLEDRVGANHIIH -SLRRLYPGIFEKHLLPFMSDLASTKMKWSPRIKFLDLCVALDVNCEAMSLVSHIVKWKREEHYIVLASEL -RNSHTRIHEPMVEERVVSTADVLDNFMRQIYFESYVRSFVATTRTLGSFTWFPHKSSVPEGEGLKRLGPF -SSFVEKVIHKGIERPMLKFDLMMGYAWIDFDIEPARMNFNQLIASGLTEEMKLDSLEAFLDCMSELPVGS -IKFTQTIRYRIKSQDASFKETFSIHLNMSGFVDQQGRYVVCDVQPMYSGPVCTSVLVDCWRLAASSPVFK -GKSTWFINSEVVNEFLDHTEQIGDVIPIDLVIEADKLQFHEYDFVMVGPEVEPVPLVVCRGSLWEGDKKL -ASFSPTIHDQDLDMFIKEAGDSSRDLLVGALRSMISDRLRQRMQWTGVDIVAVLTRQRPDDHKDVLGTLL -EGLDDWVEFRGYSLCYSRTRKSVMVQSSIGSLRLKGRTCVELFKEPTPVEDIE ->ANH09760.1 polymerase [Lassa mammarenavirus] -MEDDIAYVKDLIAKYLVDNEKLSRQKIAFLLQTEPRMLLMEGLKLLSLCIELDSCKANGCEHNSDNKSVE -VILQDFGILTPSLCFVVPDGFKLTGNVLILLECFVRSSPANFEQKYIEDLKKLEQLRDDLKSIDINLIPL -IDGRTSFYNEQIPDWVNDKLRDTLFSLLRFAQESNSLFEESEYARLCESLTTTSSRLSGIESMNVLSDRR -SNHFEDVIAICHQGVDNKLTAHEVKMSIEEEYQVFRNRFKKGEINSQFRKVDKEKLLSDFDTMYSDEIIE -CGCVEELVFRFKRASPILRFLYCELTSDEDNRKVGNSDQMQCWRSFLNKVKSLRILNTRRKLLLVFDVII -LLAHRADRSRCGKEDSMGWLGSSFISVNDRLVSLESTKRDLKKWLERRLSSELKKHEGPTLSPSQHRNKI -LKSVIQKALAKANDALKDVGVRPDHYGVDMEVLNCDVYDAVMDLEVSGVTPTMNYQKSQDDRFPYEMGVV -DVLDSADLSRLSSLSLAIVNSMKTSSTVKLRQNEFGAARYQTVRCKEAYCQEFFVDGVNFKLLYQKTGEC -SKCYAINNFSVGEVCSFYADPKRYFPAIFSSDVLQKVVDVMVSWLENCAELSDQLHTIKMLTKMILVLIL -AHPSKRSQKLLQNVRYFIMAYVSDYHHKDLIEKVKEKLITDVEFLLYRLINMLLKIVLHKDVNSVLTNRF -KFILNISYMCHFITKETPDRLTDQIKCFEKFLEPKLEFGHVTVNPLDKAEEDELQDMVHNAKKFLSKGTC -VDSNKIEYKCPGISRKYLSLLTSSFNNGSLFKGKEVKRELKDPLITSGCATALDLASNKSVVVNKFTDGT -RVLNYDFNRLTALAVTQLTEVFSRKGKFLLDKQDYDFKVQQAMSNLVLGKNQTNSNIDEVNLDEILLEGG -ASIYFDELRETVEKIVDQYKEPLKHHSNSQDTALPNISDLEKVVQNKFHIRLIKGELSNHMVEDFDHELF -PEEFYKEFCDEVYNNEDLKLKYFYCGSLGSCPISELTKAVASRTYHDCEYFQCFKSLLLMMNGNKLMGKY -SHYKTKNLNFKFDTGKLSDDVRISERESNSEALSKALSLTNCTTAMLKNLCFYSQESPQSYDSVGPDTGR -LKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEAITTQLTGSCLNDEREFENAILSMKLNVSLAHVS -YSMDHSKWGPMMCPFLFLITLQNLILLSEDLQADLKGRDYLTTLLTWHMHKMVEIPFNVVSAMMKSYIKA -QLGLRKTTSQTVTEEFFYSNFRVGVVPSHISSILDMGQGILHNTSDFYGLITERFINYAINCVCGGQIDA -YTSSDDQISLFDQNLTELMQRDPEEFMILLEFHYYMSDQLNKFVSPKSVVGRFVAEFKSRFFVWGDEVPL -LTKFVAASLHNVKCKEPHQLAETIDTIIDQSVANGVPVQLCNLIQIRTLNLLQYARYPIDPFLLNCETDV -RDWVDGNRSYRIMRQVERLIPDGCLKVRSMLRKLFNKLKTGELHEEFTTNYLSGEHKTSLQNLCKLLECE -PLEPTDLQYHWLNLAAHHPLRMVLRQKIIYSGAVNLEDEKIPTIVKTLQNKLSSTFTRGAQKLLSEAINK -SAFQSSIASGFIGLCRTLGSKCVRGPDKEYLYIKSIQSQIAELKGVELLSDNFGTQFWKIPAHLSVEDNT -VLTYFRPLLWDYMCISLSTAIELGAWVLGEPKVTRPMEFFKHNPCDYFPLKPTASKLLEDRVGFNHIIHS -LRRLYPGLFEKHLLPFMSDLAATRMKWSPRIKFLDLCVALDVNCEALSLVSHVVKWKREEHYIVLSSELR -NSHSRTHEPMVEQRVVSTSDVVDNFMRQVYFESYIKSFVATTRTLGSFTWFPHKSSIPGGEGLQRLGPFS -SFVEKVIHKGIERPMYKYDLMMGYAWIDFDIEPAQLNGNQLIASGLTEGNYESLEDLLDAMAGLRSGSVK -FNQTVRFQVKSQDTAFKETFSIHLEFLGGIDTKHRYCVLETKVMYSGSVNQCVLPDCWRLVLAGATFKGK -SAWFVDTKTINEFLEDTKQLGDVIHIELLVDKEQLQFTEYDFSLVGPDAESVPLVVCRGALWERNTKLAD -FTPSVQDQDLEIFIKEVKDSFGDMLIGTLATMISDRLKLRKHWVEVDIVLVLSKLLPHEYMVVLEGILES -LGDWVDFKGYALCYSKTKNKVMIQSSGGKLRLKGRTCEEFIRAAVCVEDIE ->ABI96821.1 L protein [Lymphocytic choriomeningitis mammarenavirus] -MDETISELRELCLNYIGQDERLSRQKLNLLGQREPRMLLIEGLKLLSRCIEMDGADKSGCIHNHDDKSVE -TILIESGIVCPGLPLIIPDGYKLIDNSLILLECFVRSTPASFEKKFIEDTNKLASIREDLALAGVTLVPI -VDGRCDYDNSFMPEWVNFKFRDLLFKLLEYSSQDEKVFEESEYFRLCESLKTTVDKRSGMDSMKILRDAR -SLHNDEIMRMCHEGINPNMSCDEVVFGINSLFSRFRRDLEIGKLTRNFQKVKPEGLIKEYSELYETLAYS -DDISTLSKEAVESCPLMRFITAEISGHKREGETGTEYERLLSMLNKVKSLKLLNTRRKQLLNLDVLCLSS -LIKQLKSKGLKNEKHWVGCCYNSVNDRLVSFYSTKEEYIRLLRNRKKSNLFRRVPLEELFRISMNEFIVK -IQKCLSVVGLSFEHYGLSKHLVHECNISFTEFESFIKVGNHPIMHYTKFEDYNFEPNTEQLRSVQSLRKL -SSVCLALTNSMKTSSVARLRQNQLGSVRYQVVECKEVFCQVIKLDSEEYHLLYQKTGESSRCYSIQGPNG -HLISFYADPKRYFLPIFSDEVLHNMIDTMISWIRSCPDLKDCLTDIETALRTLLLLMLTNPTKRNQKQVQ -NIRYLVMAIVSDFATTSLMDKLREDLITHAEKMVYRLLRFLVKTIFGTGEKVLLSAKFKFMLNVSYLCHL -ITKETPDRLTDQIKCFEKFFEPKSQFGFFVNPKEAITPEEECVFYEQMKKFTSKEADCQRTTPGVNLEAF -SMMVSSFNNGTLIFKGEKKLSSLDPMTNSGCATALDLASNKSVVVNKHLNGERLLEYDFNKLLVSAVSQI -TEGFMRKQRYKLNHSDYEYKVSKLVSKLVIGTSRAEVEKPEDEPTGACFFEGVEETKFFKALEDKVSSTI -MQYKKGWKGNKGEGGGTLQNVKGLHHLQVALSGKMAHLRKVILSEISYHLVEDFDPSCLTNDDMKFVCEA -VEGSEELSPLYFTSAVREQCGLDEMAQNLCRKFFSEGDWFSCMKMILLQMNANAYSGKYRHLQRQGLNFR -FDWGKLEEDVRISERESNSESLSRALSLTKCMSAALKNLCFYSEESPTSYTSVGPDSGRLKFALSYKEQV -GGNRELYIGDLRTKMFTRLIEDYFESFSSFFSGSCLNNDKEFENAILSMTINVREGFLNYSMDHSKWGPM -MCPFLFLMLLQNLKLGDDQYVRSGKDHVSTLLTWHMHKLVEVPFPVVSAMMKSYVKSKLKLLRGSETTVT -ERIFREYFEMGVVPSHISSLIDMGQGILHNASDFYGMISERFINYCIGVIFGERPEAYTSSDDQVTLFDR -RLSELVETDPEEVLVLLEFHSHLSGLLNKFVSPKSVVGRFAAEFKSRFYVWGEEVPLLTKFVSAALHNVK -CKEPHQLCETIDTIADQAVANGVPVSLVNCIQKRTLALLKYANFPLDPFLLNTTTDVKDWLDGSRGYRIQ -RLIEELCPGETKIIRKLVRKLHHKLKNGEFNEEFFMDLFNRNKKEAILQLGEILDFGEDLSQLANINWLN -LNEMFPLRMVLRQKVVYPSVMTFQEERIPSLIKTLQNKLCSKFTRGAQKLLSEAINKSAFQSCISSGFIG -LCKTLGSRCVRNGNRENLYIKKVLEDLSTDEHVTRIQKHDGIMLYIYDKQSDLENHRDHTSFLRPLLWDY -ICISLSNSFELGVWVLAEPVMGKNSENSSVKHLNPCDYVARKPESSRLLEDKVSLNHVIQSVRRLYPKVF -EDQLLPFMSDMSSKNMRWSPRIKFLDLCVLIDINSESLSLISHVVKWKRDEHYTVLFSDLTNSHQRSDSS -LVDEFVVSTRDVCKNFLKQVYFESFVREFVATTRTLGNFSWFPHKDMMPSEDGAEALGPFQSFVLKVVNR -SVERPMFRSDLQFGFGWFSYRMGDIVCNAAMLIKQGLTDSRAFKSLKDLWDYMLTYTEGVLELSITVDFS -HNQNNTDCLRRFSLILLVKCQLLGPGVAELLSCSHLFKGEVDRRLLDECLHLLRTDPIFKVNNAVLDVRS -EEFEDYMEDPLILGDCLEVELIGSKKMLDGIKSLDFERVGPEWEPVPLTVKMGALFEGRNLVQNIHVKLE -TKDMRVFLAELDGYERTTDVLGNLLLHRFRTGEHLSGSEIGVTLRELHMDRSVMLLPLSFVPDWFTFKDC -RLCFSKSKNTVMYETVGGRFRLKGKSCDDWLAGTEVEEIE ->AIT17835.1 polymerase [Lassa mammarenavirus] -MEEEIAYVKDLVSKYLSDDERLSRQKLAFLVQTEPRMLLMEGLKLLSLCIEVDSCKANGCEHNSNARSVE -VILHELGILTPSLCFVVPDGFKLNGNVLILLECFVRSSPVNFEQKYLEDLKKLEQLKNDLKSIDINLIPL -IDGRTTFYNEQIPDWVNDKLRDTLFSLMKYAQESNSLFEESEYSRLCESLSVSTSRFSGIESINVLLDKR -TNHFDDIIASCHQGINNKMTAHEVKNQIEEEYQIFRNKLRQGQIEDQFVKVDKERLLKEFHCLYDSEMVS -NEDGVEELMYSFKRASPVLKFLYADLTNGVDRSSPTNSSQMHCWRSFLNKVKSLRILNTRRKLLLIFDVM -ILLAYERDKIKCEDQAQEGWLGSSFISVNDRLVSLEYTKRDLKRWVDRRLQSECSKSASSTHSVRLDRNQ -ILSCMLQKTVTKAISALKDLNVNVDTYGVDLTILDGHVFSKVMNCEASGVVPTMSYQKSDEGLFPYSMGT -VSVSESADLERLSTLSLALVNSMKTSSTVKLRQNELGAARYQKVRCKEAYCQQFFIDGVEYSLLYQKTGE -CSKCYAVNNNLVGEVCSFYADPKRFFPAIFSSEVLTKVVEVMVSWIEDCSELKDDISSIKVLTKMILVLI -LTHPSKRCQKFLQNLRYFIMAFVSDYYHKDLINKIKEKLITDVEYLLFRVVRALLSTVLSPNVTSMLTNR -FKFVLNISYMCHFITKETPDRLTDQIKCFEKFLEPKLEFGHVSVNPLDHAESDELSEMVYNAKKFLGKEC -CVESAEIRYKKPGVSKKYLSLLTSSFNNGSLFKGKEVRKELKDPLSTSGCATALDLASNKSVVINKYTDG -CRVLNYDFNKLTALAVTQLTEVFSRKGKYLLDKQDYEYKVQQAMSNLVLGSQGKDVETDRADLDEILLEG -NAMSYFDELKETIEKIVDQYRDLKGPDQRSTTGEICSIDDLNEIVKEKLYIRLIKGELSNHMVEDFDYNT -LPDTFYKEFCDIVYHHQEFKQKYFYCGPMVSCPIGELTKAVVTRTYSDQEYFLCFKSILLLMNGNRLMGR -YTHYKSKCLNFKFDTDKLSTDTRISERESNSEALSKALSLTNCTTAMLKNLCFYSQESPQSFNSVGPDTG -RLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALTTQFSGSCLNDEREFENAILSMKLNVSQAHV -SYSMDHSKWGPMMCPFLFLATLQNLILLTGDTQADAKGKDYLSTLLAWHMHKMVEIPFNVVSAMMKSYIK -AQLGLRKSTSQTLTEDFFYSNFHLGVVPSHISSILDMGQGILHNTSDFYGLISERFINYAVSCVCGGQVD -AYTSSDDQISLFDQNLTELMSRDQDEFKTLLEFHYYMSDQLNKFVSPKSVIGRFVAEFKSRFYVWGDEVP -LLTKFVAAALHNVKCKEPHQLAETIDTIIDQSIANGVPVSLCNLIQKRTLNLLKYARYPIDPFLLNCNTD -VRDWVDGNRSYRIMRLIEELAPEACSKVRSMLRKLFNKLKTGELHEEFTTNYLSGEHVSSLRNLCELMGT -EMLDDSDLEICWLNLATHHPLRMVLRQKVIYAGAVSLDDERIPTIVKTIQNKLSSTFTRGAQKLLSEAIN -KSAFQSSIASGFVGLCRTLGSKCVRGSNKENLYIKSLQSEVLAIQGVECLTNDLGVQIWRVPMRVREESE -GKSVLSYFRSLLWDYMCISLSTAIELGAWVLGNPKPAGQLEFFKHNPCDYFPLKPTASKLLEDRVGMNHI -IHSLRRLYPSLFEKHLLPFMSDLASTKMKWSPRIKFLDLCVALDVNCEALSLVSHIVKWKREEHYIVLSS -ELRLSHTRTHEPMIEERVVSSSDVVDNFMRQIYFESYIRSFVATTRTLGSFTWFPHKTSIPEGEGLQRLG -PFSSFVEKVVYKGIERPMFKYDLMMGYAWIDYDLEPAKLNYSQLIMCGLTDVKFKCLEDFLDGISELPVG -SVRFSQTIRFQIRSQDASFKENFSIHLNFIGSINHQGKYLVDNVEAMFSGSVNQCVLPDCWRLVLSGSVF -KGKSAWFVDTEVINEFLEDTKELGPVTPIEIVVDLRKLQFSQYDFTLVGPEIEPVPLVISRGALWECDSR -LATFTPAIHDQDLELFIREVRDGSQDLLIEALISMFSDRLKLKKHWVDVDIVSVLKKTVLELQRPILVRL -LEAVGDWVDFKGYSLCYSKSLKEVMIQSSSGKLRLKGRTCIKLFDTLVQVEDIE ->ADU56621.1 polymerase [Lassa mammarenavirus] -MEEDIASVKDLVSKYLIDNDKLSRQKLAFLVQTEPRMLLMEGLKLLSLCIEVDSCSANGCEHNTENKSVE -SILHDHGILTPSLCFVVPDGYKLTGNILILLECFVRSSPANFEQKYVEDLKKLEQLKDDLKSVDITLIPL -IDGRTSFYNEQIPDWVNDKLRETLFSLLKFAQESNSLFEESEYSRLCESLSMTSGRLSGVESINVLTDKR -TTHYEEIITLCHQGINNKMSAHEVRTQIEEEYQIFRNRIRQGDIESQFVRVDQEDLMHKFNNLYSDEVVV -QEDTIDCLIHQYKRVSPIMRFLYADIEGRANKDIMTTEDQMQCWRSFLNKVKSLRILNTRRKLMLVFDIL -ILLASRRDFMRNKCNNPKGWLGSSFVSVNDRLVSLESTKRDLKKWLERRCRVEVSKPHITAPLPCLDKNQ -ILRTIIQKTIEKATSALADVGIDVSHYGIDMDIITPQTYDDVLSFDVSGITPTISYQKSEEEKFPYPMGT -VGIMDSVDLERLSSLSLALVNSMKTSSTVKIRQNEFGAARYQVVKCKEAYSQDFTLDGENFQLLYQKTGE -CSKCYAINNNSVGEVCSFYADPKRFFPAIFSAEVLQSTVDVMVSWIGECTELVDQMISIKSLTKMILILI -LAHPSKRSQKLLQNLRYFVMAYVSDFYHKELIQKLKERLITKVEFLLYRLIRALLNIVLHKDVKSMLTNR -FKFVLNVSYMCHFITKETPDRLTDQIKCFEKFLEPKLDFGHVTVNPTDLATKDEMDEMIYNAKMFLSKKG -CTEVSRLSYKKPGVSKKYLSLLASSFNNGSLFKGKEIKKEMKDPLITSGCATALDLASNKSVVVNKYTDG -SRVLNYDFNKLTALAVTQLTETFSRKGKHLLNKQDYEYKIQQAMSNLVLGPKARTVDIDSTDLDEALLDG -GASEYFDELRGTIEKIIDQYKEPVKSPSHMKGDGAPLMSDLEKIVSNKFYIRLIKSELSNHMVEDFDYEI -LPEGFYKEFCDTIYNDSEMKDKYFYCGPMTGCPIGELTKAVATRTYLDLEYFQCFKSIMLLMNGNKLMGR -YSHYKSRCLNFKFDTSRLTEDTRISERESNSEALSKALSLTNCTTAMLKNLCFYSQESPQSYNSIGPDTG -RLKFSLSYKEQVGGNRELYIGDLRTKMFTRLIEDYFEALSLQLSGSCLNNEKEFENAILSMKLNVSLAHV -SYSMDHSKWGPMMCPFLFLAVLQNLVFMSKDLQADIKGRDYLSTLLTWHMHKMVEIPFNVVSAMMKSYIK -AQLGLRKSTSQTATEDFFYSNFQVGRVPSHISSILDMGQGILHNTSDFYALISERFINYAISCVCDGSID -AYTSSDDQISMFDQNLTMLMARDPEEFKTLLEFHLYMSDQLNKFVSPKSVIGRFVAEFKSRFYVWGDEVP -LLTKFVAAALHNIKCKEPHQLAETIDTIIDQSVANGVPVHLCNLIQLRTLSLLQYARYPIDPFLLNCETD -VRDWVDGNRSYRIMRQIEKLAPEPCRRVRSMLRRLFNRLKTGELHEEFTTNYLSGEHLTSLENLSEMLGV -EPPSKADLELCWLNLAAHHPLRMVLRQKIIYSGAVNLDDEKIPTVVKTIQNKLSSTFTRGAQKLLSEAIN -KSAFQSSIASGFVGLCRTLGSKCVRGPNKENLYIRSIISSIAGIKDIEMLTNNHGVQFWRVPLKVRGECE -SVLAYFRPLLWDYMCISLSTAIELGAWVLGEPKESKPLAFFKHNPCDYFPLKPTASKLLEDRVGVNHIIH -SLRRLYPTMFEKHILPFMSDLASTKMKWSPRIKFLDLCVALDVNCEALSLVSHIVKWKREEHYIVLSSEL -RQSHSRIHEPMIEERVVSTSDAVDNFMRQIYFESYVRSFVATTRTLGSFTWFPHRTSIPEGEGLQRLGPF -SSFVEKVIHKGIERPMFKHDLMMGYAWIDYDIDPARFNQNQLIASGLVDVRLESLEDFLESMGSLPPGSV -KLNQTIRFQIKSQDACFKESFSIHLEFVGSMTQQGRYIVDDVSAMYSGSVNSCVLPDCWRLVLSGPTYKN -DSSWFVDTEVIKEFLIDSKELGPVTPIKIVVDVDKLQFTEYDFVQVGPHTEPVPLVVNRGALWECDRKLA -AFTPVIQDQDLEIFVKEIDDSSHDLMVGALTAMIADRLKLKVQWSGVDIVQLLKKAMPDSAMRVLHNVLR -SIDEWVEFKGYALCYSKSKGKIMIQSSGGRLRLKGRTCEELLEEVGGVEDIE diff --git a/seq/clusters_seq/cluster_207 b/seq/clusters_seq/cluster_207 deleted file mode 100644 index acd05e1..0000000 --- a/seq/clusters_seq/cluster_207 +++ /dev/null @@ -1,161 +0,0 @@ ->YP_010087355.1 coat protein [Cnidium virus X] -MVLTAEETAASSTSTAPPPPPPIAPQSNSQPSPTDFSNPLAMPALKDLVAAGSASNAVAEPSTISAIANS -IGLLGLNRANIAKGFWDIALHCADVGSSASVTLVGRCEPLGETRAEIARVIKQHCTLRQFCMFYSRIVWN -ILIKEKRAPAGWMRHNYTEADRYAAFDFFSGVLNSASLQVPLIRQPTATEILAHNTNARVSIYRTRIDQS -QKATTAVEITGGTARPTPLLLLPPP - ->YP_009664755.1 capsid protein [Banana virus X] -MEAVQKTKNPLGSITKEAIKELKLEPESLNVMDSVQATSLVNILKRNYKTDEKTIQIALIELAAYCHSNG -SSPFLEPAGESKIPGCSLSDLVASIKESKCSLRQCNAFFANIIYDWSIENLVAPANWRSSGFHEDTKYAA -FDFFHGVGHPNALVPEGGCKYTPPDKEIHAANISRQHKIIDANISKGNSILNIGEVTGGREGVRSKLLLR -NEFQTEV - ->YP_009357238.1 coat protein [Watermelon virus A] -MAEEDKGAKGKSVKGKTSDTKDKNKWTEEKIKEKFKGNPFLKFIVVPKEEKGDIHIAAPKIASAEQLESI -MDAMELKEDAFIRRSKIFALQCASSQATEKTIFNVEGTDGDQRFDLNSFALTVKRFCTIRQFCAAWAKYT -WDYMIRNNLAPDGWKDRGYPMAHRFAAFDAFHGVISDHSIPHPNMIRMPSEDEIAANEVNKNVAIHRSNQ -RQNIGYSIATEVTKGKPQNAPKIKFIED - ->YP_009357229.1 coat protein [Cassava virus X] -MATTTTTTAFQAEDMCAAPSLDDLSKVTHLPSNSSVATPEQIRAIGALWTQLGVPPAALAFTAWDLARHC -ADIQATKASTMIGISPPCNIQRSTLAGAVKSVTTMRRFCSYYAKVVWNMLLKENTPPASWAKMGFIEEAK -FAAFDFFDAVRSPAALEPKGGLIREPTAIEVNAFQTAKFVAINRASVAQGNLTSTLAEVTRGQAGTKPTL -QLLN - ->YP_009215378.1 coat protein [Asian prunus virus 3] -MTTSVSAATTDVMSTSVETAATIAPANEPVIQQVIYPKSTAVATSVAPVMTHTAQRVEDPFKTRTSFSLP -SLTSNLGTLSSTATAAASSTTSDVKSSTFENWREKLKTKDDLNFPRTTGVEHLGSFEAGEGSRGGSGGPK -VHGVSPSTMAYERQNEAEDSNVKTTGVSLGSRQRMVFEVARKRAQSNIEADRDNMAPPFASSDPFSRPKV -QDVQRFSYEPSSPDVATAENIEYIRADLVRAGVPKKDLTFAMWDIARYCADAGSSESTEFIGTSSYGGRV -TRMEIAAVIKKHTTLRRFCGFYAKIVWNIMLVTNIPPSGWMKRGYKENTKFAAFDFFVHVSNNAALEPEN -GLVRKPYHEELVAAQANKGVILHRTESAQDKNASTAHEVTGGRAGPRSRLTLKGKEMD - ->YP_009204565.1 coat protein [Asian prunus virus 2] -MTTSQSTATADVETSKVETSPPPVVTSEPVLQQVVFSDTSNAATTPPPPVTTKTSTAATKGVERSWFGLP -SKTTGLGTLSATATSTSPLLSASGRASQLDENLKKLKAKDESFFVLNSEVEDLEAKASGKVQGPRGVVPS -MMSYEKPEKGKVVEESKGLSLGSRQRLVFEKARQRAQVNIEADRDSLAPPFASADPFSRPKVQDVQRFSY -EPSSPDISTAEEIEHIRSDLVRAGVPESTLTFSMWDIARYCADAGSSASTEFIGTSSYSGRVTRMEIASV -IKKHTTLRRYCGFYAKIVWNIMLSTNIPPSGWVKKGYKKNTKFSAFDFFEQVSNNAALEPENGLVREPNH -EELVASQVNKGISLHRTEAAQDKNVSTAHEVTGGRAGPRSRLLLKGKEMD - ->YP_009186838.1 coat protein [Actinidia virus X] -MTDPSKNVSGGPTNPPPKVASGSAPPQGKTPEPTPTDTSDPTRGPSLSQLRQVKYVSATTSVATPEEIKL -LGSLYERMGIDKNEVAPAMWDLARAYADVQSSQSAVLVGTTPSNPAITRQALSRQVLTINVTPRQFCSYF -AKVVWNMCIDSGIAPANWVKMGLQEPERFAGFDFFEAVLSPAALEPEGGLVRQPTMREIQAHALTKAGAL -ARQKIQNGNYISNLAEVTRGRVGGVNTMYAIEEPPEL - ->YP_009124992.1 hypothetical protein [Citrus yellow vein clearing virus] -MSFDYTHPLYRSYPFPHYCEFDRHQLCDHHPVLKPPTHKPSAPNSLMSTNDNKGKEPLHPTPSGPNDTTP -KPIPVPTPSVTPTAAGKENQEPIEKRITHAFHAEAKTHNNGVSPPAFNPNNMNAVPLNLLNLNLRYSPVT -NSIANPKQTEAIGKAWVRILNIDPANVFLYAIDLARACADAGSSPEADIIGANEDLNPVVERNALALVVR -DFCPLRAFCAYYSRVVWNLMIKADQPPANWMKSGVDENAKFAAFDFFHGILSPASLYVPLERHPTSAERI -ANQAMFAVKIANAPGNGTDLTMDHVAFTKGRTTQHSGLRPTPFNI - ->YP_009094351.1 coat protein [Asian prunus virus 1] -MSVSSAAVVSTVSSTSSEAVPVTSSENEPVISQLDLPPISSGVSGVSTTPSTATSSVENPFKPRTSFSLP -SLTAGLGTLSATATSSAPAATSEARTSSLESWKEKLKNKDEEPRRVLSEPESLGSFEAGAGSGPRGVSPS -TMIHSKLEVKKGPDLEATGVSLGARQRMVFEAARKRAQANSEADRESLAPPFASGDPFSRPKVQDVQRFS -YEPSSPDVATAENIEYIRADLVRAGVPTKDITFAMWDIARYCADAGSSESTEFVGTSSYGGRVARMEIAA -VIKKHTTLRRFCGFYAKIVWNIMLVTNIPPSGWMKKGYKENTKFAAFDFFVHVSNNAALEPENGLVRKPY -HEELVAAQANKGVILHRAEAAQDKNASTAHEVTGGRAGPRSRLTLKGKEMD - ->YP_004089623.1 coat protein [Apricot latent virus] -MATSGQDTTSANPAVTRNEETPVVTEVQATNAVATTPEVVPVATAPLPASTQPTATTSSFEVSFTPATSI -SATPMTFPEPVVSQLVPFPPLVATGQSSVQTTAVPDTSRLQQMAAANRGFSEGLRVHPLPITPASSNPFT -TGNIFTSSVFSGRGSNAATSSEAIGEPTPQRVFQSSQGSNPPAQGHYSQQHTSGSVGNVITPFTLGNRAP -RRAASSIGGTRRRLDSVGLKSIMYEPQAGVVATDAKMRAIGRALIEMGIREDQLTEVGVYLARHCADVGA -SDKSTLLGTFPGSDITLEEVGTRIKQTEGCTLRQYCAYYAKHVWNLMLQTQKPPANWVGKEFKFETRYAA -FDFFFGVESSAALEPADGLIRLPTQSERVANNTSKEIQMYRIRSMEGTQAVNFGEVTGGKIGPKPVLSIK -K - ->YP_001960944.1 coat protein [Lettuce virus X] -MGTPQAVNTPVNNPPRPTPVLQRPPANSSGPNLADPNRAPSMEDLAQVKYVSSSSAVATPAQITKLGEWF -VKVGIEPNSTGPAMWDLARAYADVQASRSAMLTGASAADPNVSRQSLARQLDAVFLTPRQFCMYFAKVVW -NILLTTQVPPASWAKLGYSYDCRFAAFDFFDGVLNPAALDPADGLVRQPSPREIQAHQVAKSASLARQRI -SEGNFVSSLSDVTHGRIGGINSMYAIEAPPEF - ->YP_001715616.1 coat protein [Asparagus virus 3] -MANTTKDSTSTKAPEPVPVDLSDPTRAPSLKDLQAIKYVSTTTAVATPDEIKLLGDLFKKLGVDGASVGP -AMWDLARAYADVQSSRSATLSGTTPSNPAITRQALARQFYVINITPRQFCMYFAKVVWNMMIDSNVPPAG -WVKHGLPEDCKFAGFDFFEGVLSPSSLDPADGLIRQPSQREVQAHSTAKYGALARQRIQNGNFVSNLAEV -TRGRAGGVNSMYAIEAPPEL - ->YP_001497157.1 coat protein [Peach chlorotic mottle virus] -MLYSVYELLSNLLIFDRNSAFVPFMATTAAATASATVQPTTQQEVTAGLQSTTSSTFPTVTPVETPARVA -TTRSDPVTSGYSLGNVTAALTRPTGSLPITVGLGALNLGDGGAVAVGGVTATTTPAAATVPMGRTESMEW -FNRTYNNPASSAPFAFGVQPGTNLGVPTQLGSSTGPVRRPRQKFTLKPINRPESSVVGSGMSKRLSIRDL -AAIDYKPQAGSVASEEKISQIGLEFTKIGLSSDQLTEVGVFIARHCADVGSSNQSRLIGTFPGSDVELEE -LATIIKSTAGCTLRQFCAYYAKMVWNLMLETQTPPAIWSSKGYRDENKYAAFDFFYGVDSSAALEPVDGI -IRKPTNSERVANETMKEAMSFRAAARDGTQSTNIGEITGGKAGPKPRLTIKQ - ->YP_667848.1 ORF 5 [Malva mosaic virus] -MSNSGSAAAAPSQPSAAKKPAENIPSQEPQPADPADPTRAPTLEDLKAINYVSTTTAVATPAEIKLLGDL -FRKKGIDANAVAPAMWDLARAYADVQASRSAILSGSTPSNPSITRTALAKQLYSIDLTPRQFCMYFAKIV -WNMMLATHTPPANWAKQGLPEDCKYAGFDFFEGVLSPSALEPADGLIRMPNQKEIQAHSTAKYGSLARQR -IQNGNYVSNLAEVTHGRAGGVNAMYAIEAPPEF - ->YP_319831.1 coat protein [Alstroemeria virus X] -MAGENTPPTPPTAPAGSAADLANPNRSPTVSDLAKLNYQSTTTSVATPEEITALGVLFTSAGVDPNSVPL -AMWDLARAYADVQSSRSAMLVGSTPSNKSITRQTLARKLDQVNITPRQFCMYFAKVVWNILISSNTAPAG -WAKLGYPEECKFAAFDFFDGVLSPAALDPADGLIRQPNTREIQAHSTAKFGALARQRISNGNFVSTLAEV -THGRVGGVNAMYSIEAPPEA - ->NP_663728.1 CP [Pepino mosaic virus] -MPDTTPVAATSSAPPTAKDAGAKAPSDFSNPNTAPSLSDLKKVKYVSTVTSVATPAEIEALGKIFTAMGL -AANETGPAMWDLARAYADVQSSKSAQLIGATPSNPALSRRALAAQFDRINITPRQFCMYFAKVVWNILLD -SNIPPANWAKLGYQEDTKFAAFDFFDGVTNPASLQPADGLIRQPNEKELAAHSVAKYGALARQKISTGNY -ITTLGEVTRGHMGGANTMYAIDAPPEL - ->NP_620719.1 coat protein [White clover mosaic virus] -MATTTATTPPSLTDIRALKYTSSTVSVASPAEIEAITKTWAETFKIPNDVLPLACWDLARAFADVGASSK -SELTGDSAALAGVSRKQLAQAIKIHCTIRQFCMYFANIVWNIMLDTKTPPASWSKLGYKEESKFAGFDFF -DGVNHPAALMPADGLIRGPSDAEILAHQTAKQVALHRDAKPTWHKRCQLC - ->NP_619750.1 capsid protein [Potato aucuba mosaic virus] -MVDSKKTETPQVIDASKKTESSKTSHAGRVQFLSAPKQFSASDVRSSPTLTDLDEIAYEVRTTSIASPAE -IEAVSQLWIMNTEIPADKVALIAIDMARAYADVGASRKAVLLDAPALAPTVARSRLAQLMAGAGISPRQF -CSYYAKIVWNLMLHKNEPPANWAKIGFKEDYKFAAFDFFDAVDSPAALEPSQWVRHPTDKERAAHGVVKW -ASLSRERLQEGTSITTVAELNKGHLGGYNNLPALMAPPS - ->NP_570730.1 coat protein [Asparagus virus 3] -MDKLDAGQPQRKQAEPVPADLSDPTRAPSLKELQAVKYVSTTTSVATPDEIKQLGELFQKLGVDGSSIGP -AMWDLARAYADVQSSRSAMLAGTTPSNPAITRQALARQFYIVNITPRQFCMYFAKVVWNMMIDSNVPPAG -WVKHGLPEDCKFAGFVFFEGVLSPSSLDPADGLIRHPSQREIQAHSTAKYGALARQRIQNGNFVSNLAEV -THGRAGGVNSMYAIEAPPEL - ->NP_203557.1 capsid protein CP [Indian citrus ringspot virus] -MSFDYTDPTFRNYPFPHYCDFDRHQHCDHDLRTNPPPTEPPSRKSKLMSTSENKGKQPLHPPPTEGFPKP -PPPPSSTPTTPTPPDQTKAPEPIEKRIIHAFHAEPKTHTNGEAPPAFNPNNMNAVPLNLLNINLKYSPVT -NSIANPKQTEAIGKAWVRILQIDPANVFLYAIDLARACADAGSSPEADIIGANEDLNPVVERNALAGVVR -DFCPLRAFCAYYSRVVWNLMIKADQPPANWMKSGIDEGAKFAAFDFFHGVLSPASLYVPLERHPTAAERI -ANQAMFAVKIANAPGNGSELTMDHVAFTKGRITADSKPRPTPFNT - ->NP_054029.1 coat protein [Cymbidium mosaic virus] -MGEPTPAPAATYSAADPTSAPKLADLAAIKYSPVTSSIATPEEIKAITQLWVNNLGLPADTVGTAAIDLA -RAYADVGASKNATLLGFCPTKPDVRRAALAAQIFVANVTPRQFCAYYAKVVWNLMLATNDPPANWAKAGF -QEDTRFAAFDFFDAVDSTAALEPAEWQRRPTDRERAAHSIGKYGALARQRIQNGNLITNIAEVTKGHLGS -TNTLYALPAPPTE - ->NP_040782.1 coat protein [Narcissus mosaic virus] -MATPSTQTTDPKPANADLSDPNRAPSLEDLKKIKYESTTTAVATPAEIQLLGDLFKKLGLDANSVAPAMW -DLARAYADVQASRSAVLSGTTPSNPAITRQALARQFYVINITPRQFCMYFAKVVWNLLLDSNVPPAGWAK -QGLPDDCKFAGFDFFEGVLSPAALDPADGLIRPPSQREIQAHSTAKYGALARQRYRMETSFPPWLKSLTV -GSAVSTPCTPLKHLQNCNRNTSKLKLVCGL - ->sp|P37993.1|CAPSD_PAMV RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -MVDSKKTETPQVVDASKKAENSKTSQAGRIQFLSAPKQFSASDVRSSPSLADLDEIAYEVRTTSIASPAE -IEAVCQLWIRNTEIPADKVALIAIDMARAYADVGASRKAVLLDAPTLAPTVARSRLAQLKAGAGISPRQF -CSYYAKIVWNLMLHKNEPPANWAKIGFKEDYKFAAFDFFDAVDSPAALEPSQWVRHPTDKERAAHGVVKW -ASLSRERLQEGTSITTVAELNKGHLGGYNNLPALMAPPS - ->sp|P15406.1|CAPSD_WCMVO RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -MATTTATTPPSLTDIRALKYTSSTVSVASPAEIEAITKTWAETFKIPNDVLPLACWDLARAFADVGASSK -SELTGDSAALAGVSRKQLAQAIKIHCTIRQFCMYFANVVWNIMLDTKTPPASWSKLGYKEESKFAGFDFF -DGVNHPAALMPADGLIRGPSEAELLAHQTAKQVALHRDAKRRGTNVVNSVEITNGRSDPIGPLITYPQ - ->sp|Q00467.1|CAPSD_CMVSI RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -MGEPTPTPAATYSAADPTSAPKLADLAAIKYSPITSSIATPEEIKAITQLWVNNLGLPADTVGTAAIDLA -RAYADVGASKSATLLGFCPTKPDVRRAALAAQIFVANVTPRQFCAYYAKVVWNLMLATNDPPANWAKAGF -QEDTRFAAFDFFDAVDSTGALEPAECSAAPLTATCALDREVRRPCPSAYPERQPHHQHCRGHQGPSWLHQ -HSLRSACTPY - diff --git a/seq/clusters_seq/cluster_208 b/seq/clusters_seq/cluster_208 deleted file mode 100644 index 77c05d4..0000000 --- a/seq/clusters_seq/cluster_208 +++ /dev/null @@ -1,828 +0,0 @@ ->YP_010087189.1 RNA-directed RNA polymerase L [Mengla dianlovirus] -MNSHPTQYPDARLSSPITLDHCDLLSRSCGFYSSYSHNPKIKNCRIPSHIYRLRNSVQLKAFLSNCSVLT -LPFSSIWETLLNQFKIHSKNYNDVLNYLKIDEATSYANWDQEFLQSYLKTAISDPDYLNSPKFPTDPDFA -KRNNEYYHALILVHHLTQLARRVKSQRGSTRNHWELTSDNFSLFGIGDFFLVKIKLPLLLKAYKSLQDAI -PNLRLWYGEQNLLEYYQTDEYLVSFASYESFIMLKDVIIERFNTIEIINRAKIEDQAYQDYPDLLTVLNL -YKAGDNIISLSGELGFKLIKFLEPLCASCIQTVGIFTPRKYWFQSQMIKSYNETVIEISNELKLTNSQMD -DLYKFSRIIIQARYTPQQYCELFSLQKHWGHPCLHNDTALKKVRQHATSVKILKPKILFETFCTFKFIIA -KHHFLAQGGWYKVTHNLDLTPYLKKHILMNSFPNQSEIYEHLWEWYHVKHEALYSTQIISDLSIFIKDRA -TAVPRECWDSVFDNSVLGYTPPLRFQTKRVPEQFLSQPDFTLSRVIEFAERMEYLDDANRNFSFSLKEKE -LNVGRTFGKLVYSVRNVQTLCESLLADGLAKAFPSNMMVVTEREQKESLLHQASWHRNSASIGDNAIVRG -ASFVTDLEKYNLAFRYEFTKPFIDYCNQCYGVKNLFDWMHFLIPLCYMHVSDYYSPPYGLNAENRERPPE -CENGYYYHLGGIEGFQQKLWTCISCAQITLVELRTRLKLKSCVMGDNQCITTLSLFQMDTTPENQEKIAE -LNAARVAVELANITGFSGIFLKPEETFVHSGFIYFGKKQYLNGIQLPQSLKTMARCGPLSDSIFDDLQGS -LASIGTAFERGISETRHIFPSRWVAAFHSFTAVNLLNSTHLGFPANTSLDIVSLGKPLTYNEKVLALITP -QVLGGLSFLNPEKIFYRNISDPLTSGLYQLRHSLMMLGQDSLFTILIAKIPGQADESDFVMNPLGLNVPG -SRDITTYLRQIVRQNITLTSQNRVINSLFHIGADIEDKEVCKWLLSSIPVMSRFAADIFSRTPSGKRLQV -LGYLEGTRTLLASKTLNLSADGTMIMKLRDLTKKRWRHWFSYLDNFDEDLSDSLDTLHCTVDIADLLRAY -SWDDVLQGKKLIGATLPCILEQFTAHWEKYDQTLYNYAAKENVKPEKICKMEEYISCALDKTIVQAHPNA -DRLSWTIGNHAPYIGSRTEDKIGHPPYKVNCPSAAFKETVEIVSRMLWVTQGLNGKEDFIEPFVKARINL -PLETLRNFLPTHYSGNIVHRYNDQYGQHSFMANRMSNSSTRMIISTNTLGKFAGGGQAAIDSNIIFQNAI -NCGVAIMDIRYATDSAPSEPVKKMRLYLNQCCTRNVPAQYLSFSKPLSVDLSKYKENELIYDPDPLSSGI -KGRTKELNPAALSLDLNRSDINSYAFITTSSWTLANTIMTSIIYDQSSGSTDPISTGVTKSFVTQFLIFP -LDGLFYSFGAWLLQLIVPFNDLRKYQDKNGLFFQLRSSVQNFSHRSLRILQGTFKHELVIQRLAKPLPLI -TLLLGGAAGEKGVSDSVRLFLLASLHYFTDNFIGIINNNQKDMPVWMYFPSEGQQLLPIVKYLTRLYLIL -TGYSLRKRPNKELIDSICNKLWIYPARSTQSNHYYASANFWRNKKHDVNQAEYYSKRKQNRYLSPSDRRV -INHSEGAIDQTSVSTTGGVTTDIIIPDQSNPENICNSSESHKERLTTVGSDDDWHRKNQTQYITSNTRLD -KVKSSSINSISVKSNFVVKETSDSYFTNKGISSLRERNFNEQHPSLRITKSVLGKLNYKDIPEEEKLTAF -SRILIVQCSNISSACLHFKSKKQKANDQVVLNDFLHQFKQSLQRAPESNDYCRFTGVVSSMHYKLSDILP -KRKFNSIVCLAEGEGGGARYLLMTQDVKFLFFNTLASTTQIETEILSGRVIPRMLYGTPYLNERLDSGQI -ILNNLSSQITDITDPRWIGLVKSLLPKDIDLLTMDAETPGNFSRVPLYEVILNLIQLYLGHLPKVLILKI -FLFDVPGTKFIISRLINYYMKIDLKKPFSSSARTSEWYLVAELPREQGVTIIIPDEALDGYLITAMRRQI -IAIPYWFSYIEKIYTRDLHLSYFKLGFPLIENAFYHRYYIPKYKDLTIYSRIKRYLTDGRNYIRKLINEL -QSGEALTELKQHFILSSKGRVNKVYNDLIKIDLILVAVETKKTWSQLKQQMPDFQIICKNHLIEKCCTNA -DQHLVKLDFLKNTTVAEEKFLNRIVGLIIMFPSGIN - ->YP_010085051.1 L protein [Wenling thamnaconus septentrionalis filovirus] -MESAFVGGGLDPGTGGVSRSQIMFPDPHLDSPILPESRDAILIICGHSDLVQTKNSKVLRVGRHPRFSRV -ASKVSDILAIISTEAQGSTLLTGVKPQHMYGRIFQMKTGSTSKSLRRYQDAVKCAYTVEGLQLDDLQGVL -SEWFRHTPSLQQGVMEKLKRLKESSPTKHETEILTRWTIWHAMVEHMRLTKKNRRRSDPVEPVLLRTEQI -DLYEIEEFCLVHDKQSLTWCLLNTEECLMFSDTLISRFNSIRYLRMTLAESPDALVPTPEDVLSLYRMID -SWFRRLGQVTYTLVKFLEPICVGLTLMDSEIIHQRAHFLTEQVCALRDKLGSEGLSKSDAEFFTRSFVNK -LASITGNPNKASEIHGCFRHWGHNTIIVGPAVEAIKKHACQIKALRLGTLTRVHCVWVMLVMKHHYHSHN -RSWAYAKIDKYLAPHLDHHRRMSTFPSDDESLAYLHEWSHVTPGVLYSLDSIEDPRVFVKDKSVAPDKSH -WDCVFDQTLLGYWPPFYNKSRRLADCFVQDEEFSPYDLIDYVESGEVFVDPEFNINLSMKERELSAGRPF -GKLTYKARQCQALGEYLLAEGPGHAFESNTMCHSELELMKEMATQSQVQTTSEDFIPRAGEPCGATMVTD -YAKFCANFRHETCRQVALTAGKLFGLKGFFAWQHQRIASSRIYVADYHHPPTRTTEQNRLDPPDDETVWH -GHTGGIEGFQQKLWTMFCCALLHLASMETKVKYSAMIQGDNQIITGILAATGSETRDELRIRAVDEVKRL -GHRMMLLAKQIGLTLKPEETFVAGSCTMYSKRLLLHGNDLTGALKIICRSMPNSSAVFDDPDAQASSISS -CGSRSVGRGVSILTSYLVAEFHVALSYKLTELYHLGIPSGLSKAAGLPPLNNYELYLLVNIGTALGGFSS -NSLMRYLVRHEPDPVSGAIHWLFLKGRTNPDHLRTLTKVLRSVTPSKDILSLIKDPRAVSLPTCRTISSL -VKHAVKEGLRETAKNPILSQLFHENADEDDLALAVFLLATEPCIPVFSSEIFSNSIAGVRDKISGFVDST -KTTLASALTRGYAGGMQLNIVNILIFRYRFQVSLVRELPEKLDKRVLKCSLDIAHDLRKQSWGAILKDIG -AGTLKGDSHPTVFEQFTLSEAGPEGCELCEGGSDVHLDMRVVPSRHPGPMRYKRGGLVPYIGSTTSEKLA -PPIVRVDMMSGPLKSCVRTASILAWVCEDNDRTKVAIDWAIKQRCEVESEDIKLLIPSSTNSNVHHRLHD -NFGKNNFTSNRPLNFSSQVHLSTNTMGIYSGEGGGQDGNMIFQNVKHLGLSLLDLGCGDMTTPQSYNFHL -HGIGCCVRTMDADFVSSFNTEPPDIDLAKGNPLIYDPNPLGGSNLEKIRMVNTNQHTKDMLKTDPTSRAQ -SATQALAQHLLSRLHVALKRTTDRGTVYLPQEDGVGNISEMIQLGPLKVFKEVGLQLLVEIFQHSIRDSI -LQGLGLDGGFTGFVASLPSGSFSVFNNMLTVRKIREPLRDYALKRGFSYNRDWSTAHAFNELVRFCIIHL -CTDTLKDPNELKNSSLVRHVFRSDRYFDWEAFTLVVWSAVRDLNQTIPNLHYRQWVDLLNRIQSSPSHQG -MFGKSAVVSVAARIVSEGGGFDLTCLVELRRHLELTNVHVWECDPAAWAREAVKQEKGGERTDDVACKIL -IVQKLCHLGDLDSAIKTLQRLGTSRVECVHGEPLSRSTNATTVFPEPTVRCTSTSLREIFSIRRTGISSS -AHYKILELLPLMMNKRPTRACCVAEGAGGFAKTLCSILSIEKVHYSTLVDWGKATPHYTANDVGSELRVT -SKGDLDPKIENLVRGPNDLRDPTTVTFLTKKCKQVDILTCDAEMFFKDLSRDSNLYKNIMILAANILSST -GTLIMKFFLGDTPVSCFLISAANRMFHEVFLVKPFYSSPDSSEVYLVAQSMKPEKVKVVEELDQYMDLPK -FPIWHDDLVPVVKRFRERLIDGQKIRAWEKQDLTLKLDAQLVSMGWTSNRNQILSQLGVRTDVADLHECA -TELLSMATGVMTGLFGSFSERPVTVRSVGRGEQKTLRRALLLYLGGILLVRRGERSGRYITRTQLRQFLP -ISVVDNGRGTTPCVNVRSCDGVTYIDLPYLMGTGQLKTLQKLSGHF - ->YP_010085045.1 L protein [Wenling frogfish filovirus] -MDLDYTVPKHELQFMEGRLCSPITGDSIDLVARSVGKLSNVSRNPALQTCRIPCHIYRLSRYDEVTRFLN -GTSSRFYPFHRLFPDLLTEESSMSDSISVAVMQGGVEGLDWADEEVKHSAKWLQDHTDRLLGQPEMTPKM -SQCIKESGYFIPYMCWISIINEVRRCQKHREDQGRFFSTCLGRYQLLVAGEFFFIKWTLTPEDITAILGG -TYHEEELPQGENPTTFADGTCALVAGVLDELLMVKDVVVARLNATVAARAAHQEDDLPDHPNPDSLQLVF -EKGDDLLRLLGNEAYPIIKMFEPLMLGRAQLFGVMTERKSWFLKTMIRATNTLISGVQGSKEKTAGRAWL -TAILSLGCRPQQMCEAFSVQKCWGHPILHAEDAIKKVQKHATVRKVISINEVLEVFCVFKYQILKHHFDT -VGGWPTPLHETTLTPGINRFMKAHQYPTLQQAESFLYEYVHFHHGQIYSSDIAHDLRLFVKDKATACEKP -YWDSSFDRNILGYDPPRQPTPRRVPEAFLAQEDFSPQEIIEYAENLGYLDKDQINFSFSLKEKELGIGRC -FGKLPYKTRNLQTLCEGLLSGGIAHAFPNNMMVVTERELKEALLSQGHSHRNATAHDDNTVIRGATFVAD -LEKYNLAFRYELTRPFIEYCNTCYNTPNLFNWMHLLIPLCYMHVSDRFNPPHGLNETNRDNPPIGPSAYR -QHAGGIEGLQQKLWTSISCSQILLTELRTGFKIESAVQGDNQSVTTKTVFTKSEPPEVQENIAEDTAAKV -AAALAKSTNRIGIRLKPEETFVHSGFIYFGKKQYLNGVLLPQSNKVFLRCGPFADSLYDDLQGMLASIGT -CVERAMSETRHVLGIRGLGAFHTWVSVRNLDRHHLGVEPTQSLTVLALGKELTNSAIKRALRTPQVLGGL -GFLLREKMFYRNLPDPVSSALYQMKWAAETLDQYEEYLAALSVAPGSATELELCSSPLSLNIDSSQDITM -YLRRLVRDGITSTARNKIIKTLFHAQSADEDTAIAKFLVSSTPVFPRFASSMMELTPSGKRLSILGYLEG -TKTLVSSNITRSNHAESLIAKLNDITLDRWSCWFNTDKGLLQIAIAAELITERCTMVLAQTLRLYTWKDL -LEGRNMIGSTLPCMLEQFTIIQQTEGDTCSSCHDPPPDVHQFFAIGCFNHSAIVSRPDDRRIHWTLGPHL -PYIGSRTEDKIGDPPVKLKRSTPPMKEAAKLMSHFQWVTGSKTEGAKYATPFVGARVALEPEVVELLAPT -HFSGNICHRYADHELSKAFMANRMPNVASHAICSTNHLGPYSGGSKEAIDTNIIFQSLINYMVAVFDLSN -QNTRTEKGKRVFIHAHPRKCCTKEVPSEYITFSGEPITLPTLYQDNIFVYDPDPLAAGFSRWDDLPVADL -LTHINQAKLEDLKRTPELFAWSFAEEILKETLLHDLSGLANGSKQSKGFITEFLSFPVTGILYCFGCQML -IWLSRLLTTRDLDECDLTYTGVFTLLKSMHHGAYKTLFDTFVHPQVQERFFPVVGRVIYDVEGQAGLAAR -ANVVRMFLMACLRHAATTITDTKDRQTKVVWVGFPLEGETEDICREHMDRSYNIATRIIESDRLTATANR -DNFVVRSSIYLCAVTKTLYRFQTLSWAREIRAASHTIPKSQQPPAPKKRDKPDGSYLGPQAATLGLRVPV -MRASSGFSKDVAGLLPCNVQGLCRMIRSASSSNHFCRWTGKHSSMHYKLDELLEGKRTYQQVATLAEGEG -SGARLLILKYGAHSVLFNTLANPNQETTEVHGGRVRPRMLVDIWDRANIELNNSLLQNTDLSKASWCTHA -KSLKGLPVSLITMDADVKEAETSLAIADTIEQCLLSGFLSRGGDLVLKVYLDMIHVVHRYLCVALTYFGH -VELRKPISSDRRSVEWYIVCQHLTDKIPTAHAGCETWSYDDTEALIISCMQRQVTHIAKWLGVLKSQEFT -ARHKEYHGLGFEWVSSSLFRRLGLVGDVDGWAGVLSQSIDMFSQQILRQLAAMRSARTSLNKERHFVASP -LGRLSHLSYEHWKRQLLLGVTEVGEFTRRVMDAKNHEVYTCQHLVFTYRPHCADSVRLSSTFVSRPDIAF -LKLAERFVAMTIARRG - ->YP_003815440.1 RNA-dependent RNA polymerase [Bundibugyo ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLKNCRLPKHIYRLKFDATVTKFLSDVPIVT -LPIDYLTPLLLRTLSGEGLCPVEPKCSQFLDEIVSYVLQDARFLRYYFRHVGVHDDNVGKNFEPKIKALI -YDNEFLQQLFYWYDLAILTRRGRLNRGNNRSTWFANDDLIDILGYGDYIFWKIPLSLLSLNTEGIPHAAK -DWYHASIFKEAVQGHTHIVSVSTADVLIMCKDIITCRFNTTLIAALANLEDSICSDYPQPETISNLYKAG -DYLISILGSEGYKVIKFLEPLCLAKIQLCSNYTERKGRFLTQMHLAVNHTLEELIEGRGLKSQQDWKMRE -FHRILVNLKSTPQQLCELFSVQKHWGHPVLHSEKAIQKVKKHATVIKALRPVIIFETYCVFKYSIAKHYF -DSQGSWYSVISDKHLTPGLHSYIKRNQFPPLPMIKDLLWEFYHLDHPPLFSTKIISDLSIFIKDRATAVE -KTCWDAVFEPNVLGYSPPNKFSTKRVPEQFLEQENFSIDSVLTYAQRLDYLLPQYRNFSFSLKEKELNVG -RAFGKLPYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGENATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNRCYGVKNLFNWMHYTIPQCYIHVSDYYNPPHGVSLENREDPPEGPSS -YRGHLGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDSNEQEHSSEDNAA -RVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRIAPLSDAIFDDLQGTLASI -GTAFERSISETRHVYPCRVVAAFHTFFSVRILQYHHLGFNKGTDLGQLSLSKPLDFGTITLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLRTYLQMINMDDLFLPLIAKNPGNCSAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRTITLSAKNKLINTLFHSSADLEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKVINNNAETPILDRLRKITLQRWSLWFSYLDHCDQVLADALIKVSCTVDLAQILREYTWAH -ILEGRQLIGATLPCMLEQFNVFWLKSYEQCPKCAKSRNPKGEPFVSIAIKKQVVSAWPNQSRLNWTIGDG -VPYIGSRTEDKIGQPAIKPKCPSAALREAIELTSRLTWVTQGGANSDLLVKPFVEARVNLSVQEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLVVSTNTLGEFSGGGQSARDSNIIFQNVINFSVALFDLR -FRNTETSSIQHNRAHLHLSQCCTREVPAQYLTYTSTLSLDLTRYRENELIYDNNPLKGGLNCNLSFDNPL -FKGQRLNIIEEDLIRFPHLSGWELAKTIIQSIISDSNNSSTDPISSGETRSFTTHFLTYPKVGLLYSFGA -IVSYYLGNTIIRTKKLDLSHFMYYLTTQIHNLPHRSLRILKPTFKHVSVISRLMSIDPHFSIYIGGTAGD -RGLSDATRLFLRVAISSFLQFIKKWIVEYKTAIPLWVIYPLEGQNPDPINSFLHLIIALLQNESPQNNIQ -FQEDRNNQQLSDNLVYMCKSTASNFFHASLAYWRSRHKGRPKNRSTEEQTVKPIPYDNFHSVKCASNPPS -IPKSKSGTQGSSAFFEKLEYDKERELPTASTPAEQSKTYIKALSSRIYHGKTPSNAAKDDSTTSKGCDSK -EENAVQASHRIVLPFFTLSQNDYRTPSAKKSEYITEITKLIRQLKAIPDTTVYCRFTGVVSSMHYKLDEV -LWEFDSFKTAVTLAEGEGSGALLLLQKYKVRTIFFNTLATEHSIEAEIVSGTTTPRMLLPVMAKLHDDQI -NVILNNSASQVTDITNPAWFTDQKSRIPTQVEIMTMDAETTENINRSKLYEAIQQLIVSHIDTRVLKIVI -IKVFLSDIEGLLWLNDHLAPLFGSGYLIKPITSSPKSSEWYLCLSNFLSASRRRPHQGHATCMQVIQTAL -RLQVQRSSYWLSHLVQYADINLHLSYVNLGFPSLEKVLYHRYNLVDSRKGPLVSILYHLTHLQAEIRELV -CDYNQQRQSRTQTYHFIKTTKGRITKLVNDYLKFYLVVQALKHNCLWQEELRTLPDLINVCNRFYHIRDC -SCEDRFLIQTLYLTRMQDSEAKLMERLTGFLGLYPNGINA - ->YP_009513282.1 RNA-dependent RNA polymerase [Bombali ebolavirus] -MASSHTQYPDARLSSPIVLDQCDLVTRACGIFSAYSLNPQLKSCRLPKHIYRLRYDPTVAQFLSDVPVAT -LPIDYILPVLLRAISEGEYCPLEPRCKQFQNEILDYTLQDARFLEYYLRTTGSEEKEGNLTANPALKNVI -LKNEFLPQLYFWYDLAILARRGRLNRGNARSTWFVHDKLIDILGYGDYIFWKFPLSLLPMNENGIPHAAM -DWYQRGLFQEAIQGYKYIVSVSTADVLIMCKDIVTCRFNTVLIAAVARIEDHVHIDYPDVSVISTLYRAG -DYLLSILGSEGYKIIKFLEPSCLAKIQLCATYTESKGRFLTQMHLAVIHTLEELLNGRNLKTFQLQKIRE -FHQIIVRLRSTPQQFCELFSIQKHWGHPILHSERAIQKVKRHATIMKALRPIVIFETYCVFKYSVAKHYF -DSQGTWYSVTSDKALTPSLQSYIRRNQFPPLPMIKDLLWEFYHLDHPPLFSTKVISDLSIFIKDRATAVE -QTCWDAVFEPNVLGYNPPYRFTTKRVPEQFLEQENFSIDSVLNFAQSLDYLLPQYRNFSFSLKEKELNIG -RTFGKLPYLTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSFV -TDLEKYNLAFRYEFTSPFIEYCNRCYGVRNVFNWMHFIIPQCYMHVSDYYNPPHGLSLENRETPPDGPSA -YRGHLGGIEGLQQKLWTSISCSQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLESDPDEQELHAEDNAA -RVAASLAKVTSACGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTAARMAPLSDAIFDDLQGTLASI -GTAFERSISETRHILPCRIAAAFHTFFSVRILQHHHLGFNKGTDLGQLAISKPLDFGTITLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLKKYLQMLDMEDLFLPLIAKNPGNCSAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRTITLSAKNSLINTLFHASADFEDEMVCKWLLSSNPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNDSDTPILDRLRKITIQRWGLWFSYLDQCDQALAEALDKIHCTVDLAQILREYSWAH -LLEGRRLIGATLPCMLEQFQVWWLKPYEQCPACNSLKDAGASPYVSAAIKQNIVSAWPNRARLSWTIGDG -IPYIGSRTEDKIGQPAIKPRCPSAALREAIELASRLTWVTQGGANSDLLIKPFLEARVNLSVEEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIR -FRNKDPSSIPFNRAHLHLTRCCTREVPAQYLTYTTTLKLDLTRYKDNELIYDNDPLRGGLNCNLTFDHPL -FKGERLNIIEEDLIRFPHLSGWELAKTILQSIMSDSSNSSTDPISSGETRSFTTHFLTYPIIGLLYSFGA -LTSFYLGGTIISTKKIKIEEFLYYLTTQIHNLPHRSLRVLKPTFKHASVIARLVDIDPHFSIYIGGSAGD -RGLSDAARLFLRISIKTFIDFIQDWIIIRKVATPLWIIYPLEGHSPHPINNYLNRVISLCECQSISRKLP -ASNDQTGTMITQNLVYMCKSTASNFFHASLAYWRNRQKSQTKKRLNACATKSHKASSQKGLTTDPFNLTT -TKLHTNLTPCRWRLDMGSKDPVRSQRAIPTEQMRSSLDNMSEENTTHSTLPNNSLAESWIHPDFSTAPDS -GTRCHSRCLAVPFFAQASGRNSQNTAVSTQYISIISTLLSQIKTMVDTTRYCRFTGIVSSMHYKLDEILC -GLGNFPTAITLAEGEGSGALLLIQKYGTRNLFFNTLATEHSIESEIVTGSTTPRMLLPIMERFYKDELHI -ILNNSASQITDITSSCWFTSQKNRLPHNVDIITMDAETIENIDRSRLYEAVKHVIVNHIDTAALRVVVLK -VFLSDVKGMIWLNDNLAPYFGAGLLVKPITSNARSSEWYLCLTNFLTLHRVAPHQGHVSCLQVICKALHC -QLRRNSYWLSHLMHYGSRDLHIEYINLGFPSLEKVLYHRYNLVDSGRGPLTSVMQHLVHLQSEIRELVSD -YHQLRQSRTQTYHFIKTSKGRITKLVDDYLKYYLIIRALKHGKDWRHEFHQLPELVSVCKRFYHVKNCQC -DDRFWVQTLYLSRMPESELKLLERVTGFLTLFPEGFQNPP - ->YP_009055228.1 RNA-dependent RNA polymerase [Marburg marburgvirus] -MQHPTQYPDARLSSPIILDQCDLLTRSLGLYSHYSHNPKLRNCRIPYHIYRLRNSTALKTFLQNCSILTV -PFHSIWDHIITSIQHDAINHINDFKYLLPSELIKYANWDNEFLRVFLNKILRLDHAFTNSAKLQCEDFSP -KENPYYWGMLLLVHLSQLARRIKGQRGSLRSNWKFIGVDLELFGIADFVIFKVPIKAIIRNATSLQASKP -GLKTWYRDQNLTPYLCDDEFVVSIASYECFIMIKDVFIERYNTWEICARAWVEDNEEADYPPLGILRDLY -NQGDQIITMYLEDGFKLIKHLEPLCVSCIQTYGIFTPRKYWFQSQMIKSYYDELQSLNLKLQIPDNRTEC -AQNFIKTIIQAKLTPQQYCELFSLQKHWGHPVLYNDVALDKVKKHAQSTKILKPKVMFETFCVFKFIVAK -NHYHSQGSWYKTTHDLHLTPYLRQHIVSNSFPSQAEIYQHLWEWYFVEHEPLFSTKIISDLSIFIKDRAT -AVNRECWDSVFDRSVLGYNPPVRFQSKRVPEQFLGQADFSLNQILDFAEKLEYLAPSYRNFSFSLKEKEL -NIGRTFGKLPYRVRNVQTLAEALLADGLAKAFPSNMMVVTEREQKEALLHQASWHHNSASIGENAIVRGA -SFVTDLEKYNLAFRYEFTRHFIDYCNRCYGVKNLFDWMHFLIPLCYMHVSDFYSPPHCVTENNRNNPPDC -ANAYHYHLGGIEGLQQKLWTCISCAQITLVELKTKLKLKSSVMGDNQCITTLSLFPIDAPNDYQENEAEL -NAARVAVELAITTGYSGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTMARCGPLSDSIFDDLQGSL -ASIGTSFERGASETRHIFPSRWIAAFHSMLAVNLLNQNHLGFPLGFSIDVSCFKKPLTFSEKLIALITPQ -VLGGLSFLNPEKLFYRNISDPLTSGLFQLRNALEFLRKEELFYILIAKKPGLADASDFVMNPLGLNVPGS -REIITFLRQTVRENITITSQNRIINSLFHIGSDLEDQRVCEWLLSSNPVMSRFAADIFSRTPSGKRLQVL -GYLEGTRTLLASRTISLTTEGTMLMRLRELTKSRWKSWFSYIDALDDDLSESLEKFICTVDVANFLRAYS -WSDVLKGKRLIGATLPCLLEQFNVKWVNLSEDLKEQFKLSSDLGSPTDLLQYDCNGLHSKGADNAELNYV -SCALDRKIVQKHPSDNRLAWTIGNRAPYIGSRTEDKIGYPPLRVNCPSAALKEAIEMVSRLLWVTQGTAD -REKLLIPLLNSRVNLDYQTVLNFLPTHYSGNIVHRYNDQYGQHSFMANRMSNTSTRAIISTNTLGKYAGG -GQAAVDSNIIFQNTINLGVAVLDITLSLSKLSSTSNVSFRLMLSKCCTRHVPSEYLFFDKPLDVDLNKYM -DNELVYDNDPLCSGIKGRLGRVSRSTLSLSLNVSDIGSYDFPTIAAWTLGETIIGSIFSDESSQSTDPIS -SGCTKTFVTHFLVYPVESIFYAFGANLIVESLSLSRINSIKSLSDLTFLISSTIRNLSHRSLRILQSTFR -HELVLTRLAHHIPLISLMLGGSAGEKSSSDAVRLFLTASYQNFINNFSCLMRKNQSPLPVWLYFPSEGQQ -LKPILKILQRLSCLLTTKKVQNHRPVADTCFLTDNFWVYPSKSTRTNHYYASLNYWRDKANKIKNTSFSH -LINYSFSEPSLHASSISSSQEVVNLKHTSRLDETPNMSERAQSTNHEPTALQEVCTEIPYSEQDPAKSYL -LLENTRFRDDQKILRHDQKAERGEPLSLQVSSRGCLQALTCPHHPSPSQTTTEPLSMLRNCDAIKAALRS -ETNDPRLMSSILDMRSLKTPMRIESRNTSLLQPSECLSTSKGKSVLSREQASYLYVDCSNISSISLDSGF -RNMSDRNQVQMLINTYKRDLYTCFDSNQFCRFTGVVSSMHYKLYDLLPAGKLGKAICLAEGEGSGARLLL -KWKETDYLFFNTLATDSQQEAEILSGRVIPRMLYNIDKLSVLLESRKLILNNLTIQITDITNPLWLDSVI -QYLPEDSDILTMDAETTKEETREQLYKTIINIWARTSPNIPKTSIIKVFLLDYGGTLFLMKNAIQYYGQV -QLKKPYSSNAKNSEWYLCCGKRRVQRLRVDFPDQVGIFLICKAMSRQRQAIPYWLKHIEKNYPASLHEFF -ITLGFPSLESSFCHRYTIPFTEGTALFHKVQSYVRQGRQHLHSLMLDYENNSPLLDLRNHFICSLRGKIT -KYYNDILKLNLVVRAVERGKNWSQLVESLPNMHSVCITHVDHECIGCERRLLLKLDFVRNTKIAEQKLLN -RVIGYILFFPFGFSRPK - ->YP_004928143.1 RNA-dependent RNA polymerase [Lloviu cuevavirus] -MQEAQYPDARLSSPIVLDQCDLVARACNLYSNYSYNPTLKKCRLPKHIYRLQYDIAVNEFLAGVPLSTLP -IDYLVPLIINANTDSIKIQDQPSIKNHIKDGISFALHDSGFLNYYLKTIGINTMIDLGTFHNSAKKILLN -ERIIDEAVTWLDLVVAARRARMNRGNTRSTWYASGELIDILGYGDYIFWKIPLKLLPLNKRGVPHASAPW -YDPTTFKSCSEGSTVVVSISTVEVLLMIKDVAFSRMNTLLICKLAELEDTLTDYPTVESLHDLYRSGDYL -ISLLGSTGYDIIKYLEPLCLGRIQLLSNFTPRKTWYYSQMQVALLKDLAERTKGRELTLYQQTKIRSFHQ -ILFRLKLRAQQWCELFSIQKHWGHPVLHSELAIAKVKKHGTCLKVLRPSIMFDTFCVFKYMVGKHHFDNT -GHWYRVTHDKNLTPTLKTYIQKNHFPTFRDVRKFLWEFYLVQHEDLFSTKVISDLSIFIKDRATAVESIC -WDAVFEPNVLGYAPPMRFITKRVPEQFLAQEDFSLEAVISYAEKLQYLEPVNRNFSFSLKEKELNIGRTF -GKLPYLTRNLQTLCEALLADGLAKAFPSNMMVVTEREQKETLLHQASWHHEQGNLGDHVQVRGASFVTDL -EKYNLAFRFEFTRPFIEYCNHCYNIKNAFNWMHYLIPSCYMHVSDYYNPPHGLTLENRGYPPEGPSSYRG -HLGGIEGLQQKLWTSISCAQISLAELRSGFKTRSAVMGDNQCITVLSVFPDHYQEDLQELEAEDNAARVA -AILARITASCGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTAARMAPLSDAIFDDLQGTLASIGTA -FERAIAESRHIFPIRIMAAIQSYLAVKVLQENHLGFPKNTDLGVLALGKPISAKMIRLCLSIPQVLGGLS -FLNPEKCFYRNLSDPVTSGLYQVKKYLAYIGQGDLFPLFVAKRPGDASAIDLVLNPLGLNYPGAQDLTSF -LRRIVRQSITFHSKNKLINSLFHANADLEDEYLCEWLLSSEPVMSRFAADIFSRTPSGKRLQILGYLEGT -RTLLASRNLHTNSESSLLSRLRALTTKRWQLWFSYMDQYDEDLGDVIGQLTCTLDIANVLREYSWSHVLQ -GRRLIGATLPCVPEQFELTWLTGDKACKHCQSKLRDKKKPYVSAALVDKIISQRPSYHRLSWTIGTLTPY -IGSRTEDKIGQSAFKPRCPSAALRETIELASRILWVTQGSSQAEDIIRPFCEARINLPVQELFKLLPSHY -SGNIVHRYNDQYGPRSFMANRMSNTATRIIVSTNTLGPYSGGGQAARDSNIIFQNVINFAVAVLDIQNSF -LDQPMSEFKHIHLHIKDCCTREVPSQYLTYKANFGMNLQKYQSNELIYDKDPLRCGLTCRVSVENFFLKH -HFKNSVEGELLRYPHLSAWGLANTVMTSLLADMNNASTDLISGNEVKSFTSQFLAYPLIGIFYSFGAITT -FKLGRNLSLQKITKSQIIYYLDSQLYNLPHRALRVFKTTFQHPAVLESLLTIDPSFSFFLGGASGGKSVA -DATRLFLRLAIQVFLNFISLYSQQKIGKTLPLWVVFPLEGEPDEPILEFLSELLRALSHPLPDQEASTDT -RIQPSCVFRSEQIYRSMSTMSNFFHASLACWRDRRQGRARGSSNVKGTILLYDIKGLLSLGWKDCSLSNA -PLKNSLSSSHCSRDILEHRSRNITECPPLLSRRTRISQESKHARNIVQNTQHVRSATLPGDAPPDPTHRP -GCLRLNVFKTEQDETGLSTEETIEQLLARLKMMPDTTSYCRFTGVIASMHYKLDEVLYPTNETPTVALLA -EGEGSGALLLIRKYRARQIFFNTLATGNMVEAEVLMGESVPRMLLPLLPSINNKEIKIFFNTSVTMKTDI -TDPKWFDSIEDRLPRSLDLLVMDAETIGNSIRIPLYNAVIKCIDRDKMQDKPKCVIVKLFLNDYLGTCWI -LNHITLRYNKVEIIKPLSSNARSSEWYLKAEQPVLSSELNYINVNWEGHTTKLIKKALHCQLSRQSYWVT -HLMSYANLDLHREYIRMGFPPLTSVLHYRYHMVATESSPLLTIRRHTNLLLQEIRELVRDYFLSRCTRTQ -AFHFIKTQKGRITKLANDYLKWRLVLSALERYTLFEQALNNIEPYIQVCILGPCNKALCSNDHLPIPLLY -LTRTDQAERKLLNRLVGLVQFFPDGL - ->YP_003815431.1 RNA-dependent RNA polymerase [Tai Forest ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSAYSLNPQLKNCRLPKHIYRLKYDTTVTEFLSDVPVAT -LPADFLVPTFLRTLSGNGSCPIDPKCSQFLEEIVNYTLQDIRFLNYYLNRAGVHNDHVDRDFGQKIRNLI -CDNEVLHQMFHWYDLAILARRGRLNRGNNRSTWFASDNLVDILGYGDYIFWKIPLSLLPVDTQGLPHAAK -DWYHESVFKEAIQGHTHIVSISTADVLIMCKDIITCRFNTLLIAAVANLEDSVHSDYPLPETVSDLYKAG -DYLISLLGSEGYKVIKFLEPLCLAKIQLCSNYTERKGRFLTQMHLAVNHTLEELTGSRELRPQQIRKVRE -FHQMLINLKATPQQLCELFSVQKHWGHPVLHSEKAIQKVKKHATVIKALRPIIIFETYCVFKYSIAKHYF -DSQGTWYSVTSDRCLTPGLSSYIKRNQFPPLPMIKELLWEFYHLDHPPLFSTKVISDLSIFIKDRATAVE -KTCWDAVFEPNVLGYNPPNKFATKRVPEQFLEQENFSIESVLHYAQRLEYLLPEYRNFSFSLKEKELNIG -RAFGKLPYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGENATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNRCYGVRNLFNWMHYTIPQCYIHVSDYYNPPHGVSLENRENPPEGPSS -YRGHLGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETESSEQELSSEDNAA -RVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRIAPLSDAIFDDLQGTLASI -GTAFERSISETRHVVPCRVAAAFHTFFSVRILQYHHLGFNKGTDLGQLSLSKPLDFGTITLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLKTYLQMIHMDDLFLPLIAKNPGNCSAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRTITLSAKNKLINTLFHSSADLEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINHNTETPILDRLRKITLQRWSLWFSYLDHCDQVLADALTQITCTVDLAQILREYTWAH -ILEGRQLIGATLPCILEQLNVIWLKPYEHCPKCAKSANPKGEPFVSIAIKKHVVSAWPDQSRLSWTIGDG -IPYIGSRTEDKIGQPAIKPKCPSAALREAIELTSRLTWVTQGGANSDLLVKPFIEARVNLSVQEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLVVSTNTLGEFSGGGQSARDSNIIFQNVINFAVALFDLR -FRNVATSSIQHHRAHLHLSKCCTREVPAQYLVYTSTLPLDLTRYRDNELIYDDNPLRGGLNCNLSFDNPL -FKGQRLNIIEEDLIRLPYLSGWELAKTVIQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGA -LISYYLGNTIIRTKKLTLNNFIYYLATQIHNLPHRSLRILKPTLKHASVISRLISIDSHFSIYIGGTAGD -RGLSDAARLFLRTAITVFLQFVRKWIVERKTAIPLWVIYPLEGQSPSPINSFLHHVIALLQHESSHDHVC -AAEAHSRVETFDNLVYMCKSTASNFFHASLAYWRSRSKNQDKREMTKILSLTQTEKKNSFGYTAHPESTA -VLGSLQTSLAPPPSADEATYDRKNKVLKASRPGKYSQNTTKAPPNQTSCRDVSPNITGTDGCPSANEGSN -SNNNNLVSHRIVLPFFTLSHNYNERPSIRKSEGTTEIVRLTRQLRAIPDTTIYCRFTGIVSSMHYKLDEV -LWEFDNFKSAITLAEGEGSGALLLLQKYKVETLFFNTLATEHSIEAEIISGITTPRMLLPIMSRFHGGQI -KVTLNNSASQITDITNPSWLADQKSRIPKQVEIITMDAETTENINRSKLYEAVQQLIVSHIDPNALKVVV -LKVFLSDIDGILWLNDNLTPLFGLGYLIKPITSSPKSSEWYLCLSNLLSTSRRLPHQSHTTCMHVIQTAL -QLQIQRSSYWLSHLVQYANHNLHLDYINLGFPSLERVLYHRYNLVDSQKGPLTSIVQHLAHLQTEIRELV -NDYNQQRQSRTQTYHFIKTIKGRITKLVNDYLKFFLIIQALKHNCTWQEELRALPDLISVCTRFYHTRNC -SCENRFLVQTLYLSRMQDSEIKLIDRLTGLLSLCPNGFFR - ->YP_001531159.1 RNA-dependent RNA polymerase [Marburg marburgvirus] -MQHPTQYPDARLSSPIILDQCDLLARSLGLYSHYSHNPKLRNCRIPHHIYRLRNSTALKTFLQNCSILTV -PFHSIWDHILTSIQYDAINHVDDFKYLLPSELVKYANWDNEFLKAYLNKILGLDHVFSASARSQCEDFSP -KENPYYWGMLLLVHLSQLARRIKGQRGSLRSNWKFIGTDLELFGIADFVIFKVPVKTIIRNAVSLQASKP -GLRIWYRDQNLTPYLCDDEFIVSVASYECFIMIKDVFIERYNTWEICARAWLEDSDGADYPPLDVLGELY -NQGDQIIAMYLEDGFKLIKHLEPLCVSCIQTHGIFTPRKYWFQSQMIKSYYDELHDLNLKLQISDNKAEC -AQNFIKTIVQAKLTPQQYCELFSLQKHWGHPVLYNDVALDKVKKHAQSTKILKPKVMFETFCVFKFIVAK -NHYHSQGSWYKTTHDLHLTPYLRQHIVSNSFPSQAEIYQHLWEWYFVEHEPLFSTKIISDLSIFIKDRAT -AVNQECWDSVFDRSVLGYNPPVRFQSKRVPEQFLGQADFSLNQILEFAEKLEYLAPSYRNFSFSLKEKEL -NIGRTFGKLPYRVRNVQTLAEALLADGLAKAFPSNMMVVTEREQKEALLHQASWHHNSASIGENAIVRGA -SFVTDLEKYNLAFRYEFTRHFIDYCNRCYGVKNLFDWMHFLIPLCYMHVSDFYSPPHCVTEDNRNNPPDC -ANAYHYHLGGIEGLQQKLWTCISCAQITLVELKTKLKLKSSVMGDNQCITTLSLFPIDAPNDYQENEAEL -NAARVAVELAITTGYSGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTMARCGPLSDSIFDDLQGSL -ASIGTSFERGTSETRHIFPSRWIASFHSMLAINLLNQNHLGFPLGFNIDISCFKKPLTFSEKLIALITPQ -VLGGLSFLNPEKLFYRNISDPLTSGLFQLKNALEFLEKEELFYILISKKPGLADASDFVMNPLGLNVPGS -KEIITFLRQTVRENITITSQNRIINSLFHIGSDLEDQRVCEWLLSSNPVMSRFAADIFSRTPSGKRLQVL -GYLEGTRTLLASRTISLTTEGTMLMKLRELTRNRWKSWFSYIDALDDDLSESLEKFTCTVDVANFLRAYS -WSDVLKGKRLIGATLPCLLEQFEVKWINLSEDLREQFNLSSDSKSTINLLPYDCKELRLEGSNDTELNYV -SCALDRKVVQKHPSVNRLAWTIGNRAPYIGSRTEDKIGYPPLRVNCPSAALKEAIEMVSRLLWVTQGTAD -REKLLIPLLNSRVNLDYQTVLNFLPTHYSGNIVHRYNDQYGQHSFMANRMSNTSTRAIISTNTLGKYAGG -GQAAIDSNIIFQNTINLGVAVLDIALSLAKLSSASNVTFRLMLNKCCTRHVPSEYLYFDKPLDVDLNKYM -DNELVYDNDPLCSGIKGRLGRVSRSTLTLSLNVSDIGSYDFPTIAAWTLGETIVGSIFSDESSQSTDPIS -SGCTKTFVTHFLVYPVESIFYAFGANLIVESLSLSRIKSIKNLSDLTFLISSTIRNLSHRSLRILQSTFR -HELVLTRLAHHIPLISLMLGGSAGEKSSSDAVRLFLTASYQNFINNFSCLMKKGQSSLPVWLYFPSEGQQ -LKPILKILQRLSDLLSPDKIQKRKILADTCCPIGSFWVYPSKSTRTNHYYASLNYWRDKANKVKNTPFSH -LINCSFPEFSSHTSSVSSNQQVTNSKYIVYPENITEINARTRLINYGSTALQGMDTKMPLSEQNLVENCR -PSEGIRFKDNQKITKHDQRCEREESSPQQMFPEDNMQTPAHIHSSSPFQILIKSLDAHEDFDASKIILNS -EINNLNLTEYTLNTKLLTTPTRTEILDTSPLQSSRYSSTSRERSLLSREQASYLYVDCSNIPSISLDPGF -RSMSDQNQVQMLINTYKRDLHACFDSNQFCRFTGVVSSMHYKLYDLLPPGKLKKAICLAEGEGSGARLLL -KWKETDYLFFNTLATDSQQEAEILSGRVIPRMLYNIDRLSALLESRRLILNNLTIQITDITNPLWLDSVI -QYLPEDSDILTMDAETTKDETREQLYKTIVNIWTRTSPNIPKISIIKVFLLDYEGTLFLMKNAIQYYGQV -QLKKPYSSNAKNSEWYLCCGKRRIQRLQIDFSDQVGIFLICKAMSRQRQAIPYWLKHIEKNYPASLHEFF -LTLGFPSLESSFCHRYTIPFSEGKALFHKVQSYVRQGKQHLHSLMLDYENNSPLLDLRNHFICSLRGKIT -KYYNDILKLNLVIKAVEKGKNWSQLVEILPNMHSVCIVHVDHECSGCEKRLLLKLDFIRNTKIAEQKLLN -RVIGYILFFPFGLFKSGSLRA - ->YP_138527.1 RNA-dependent RNA polymerase [Sudan ebolavirus] -MMATQHTQYPDARLSSPIVLDQCDLVTRACGLYSEYSLNPKLKTCRLPKHIYRLKYDTIVLRFISDVPVA -TIPIDYIAPMLINVLADSKNVPLEPPCLSFLDEIVNYTVQDAAFLNYYMNQIKTQEGVITDQLKQNIRRV -IHKNRYLSALFFWHDLAILTRRGRMNRGNVRSTWFVTNEVVDILGYGDYIFWKIPIALLPMNTANVPHAS -TDWYQPNIFKEAIQGHTHIISVSTAEVLIMCKDLVTSRFNTLLIAELARLEDPVSADYPLVDNIQSLYNA -GDYLLSILGSEGYKIIKYLEPLCLAKIQLCSQYTERKGRFLTQMHLAVIQTLRELLLNRGLKKSQLSKIR -EFHQLLLRLRSTPQQLCELFSIQKHWGHPVLHSEKAIQKVKNHATVLKALRPIIIFETYCVFKYSVAKHF -FDSQGTWYSVISDRCLTPGLNSYIRRNQFPPLPMIKDLLWEFYHLDHPPLFSTKIISDLSIFIKDRATAV -EQTCWDAVFEPNVLGYSPPYRFNTKRVPEQFLEQEDFSIESVLQYAQELRYLLPQNRNFSFSLKEKELNV -GRTFGKLPYLTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSF -VTDLEKYNLAFRYEFTAPFIKYCNQCYGVRNVFDWMHFLIPQCYMHVSDYYNPPHNVTLENREYPPEGPS -AYRGHLGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLESSPNEQERCAEDNA -ARVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGIQLPQSLKTAARMAPLSDAIFDDLQGTLAS -IGTAFERSISETRHILPCRVAAAFHTYFSVRILQHHHLGFHKGSDLGQLAINKPLDFGTIALSLAVPQVL -GGLSFLNPEKCLYRNLGDPVTSGLFQLKHYLSMVGMSDIFHALIAKSPGNCSAIDFVLNPGGLNVPGSQD -LTSFLRQIVRRSITLSARNKLINTLFHASADLEDELVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGY -LEGTRTLLASKMISNNAETPILERLRKITLQRWNLWFSYLDHCDPALMEAIQPIKCTVDIAQILREYSWA -HILDGRQLIGATLPCIPEQFQTTWLKPYEQCVECSSTNNSSPYVSVALKRNVVSAWPDASRLGWTIGDGI -PYIGSRTEDKIGQPAIKPRCPSAALREAIELTSRLTWVTQGSANSDQLIRPFLEARVNLSVQEILQMTPS -HYSGNIVHRYNDQYSPHSFMANRMSNTATRLMVSTNTLGEFSGGGQAARDSNIIFQNVINFAVALYDIRF -RNTCTSSIQYHRAHIHLTNCCTREVPAQYLTYTTTLNLDLSKYRNNELIYDSDPLRGGLNCNLSIDSPLM -KGPRLNIIEDDLIRLPHLSGWELAKTVLQSIISDSSNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGAL -ISFYLGNTILCTKKIGLTEFLYYLQNQIHNLSHRSLRIFKPTFRHSSVMSRLMDIDPNFSIYIGGTAGDR -GLSDAARLFLRIAISTFLSFVEEWVIFRKANIPLWVIYPLEGQRSDPPGEFLNRVKSLIVGTEDDKNKGS -ILSRSGEKCSSNLVYNCKSTASNFFHASLAYWRGRHRPKKTIGATNATTAPHIILPLGNSDRPPGLDLNR -NNDTFIPTRIKQIVQGDSRNDRTTTTRFPPKSRSTPTSATEPPTKMYEGSTTHQGKLTDTHLDEDHNAKE -FPSNPHRLVVPFFKLTKDGEYSIEPSPEESRSNIKGLLQHLRTMVDTTIYCRFTGIVSSMHYKLDEVLWE -YNKFESAVTLAEGEGSGALLLIQKYGVKKLFLNTLATEHSIESEVISGYTTPRMLLPIMPKTHRGELEVI -LNNSASQITDITHRDWFSNQKNRIPNDADIITMDAETTENLDRSRLYEAVYTIICNHINPKTLKVVILKV -FLSDLDGMCWINNYLAPMFGSGYLIKPITSSAKSSEWYLCLSNLLSTLRTTQHQTQANCLHVVQCALQQQ -VQRGSYWLSHLTKYTTSRLHNSYIAFGFPSLEKVLYHRYNLVDSRNGPLVSITRHLALLQTEIRELVTDY -NQLRQSRTQTYHFIKTSKGRITKLVNDYLRFELVIRALKNNSTWHHELYLLPELIGVCHRFNHTRNCTCS -ERFLVQTLYLHRMSDAEIKLMDRLTSLVNMFPEGFRSSSV - ->NP_066251.1 RNA-dependent RNA polymerase [Zaire ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRNCKLPKHIYRLKYDVTVTKFLSDVPVAT -LPIDFIVPVLLKALSGNGFCPVEPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVDEHFQEKILSSI -QGNEFLHQMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISMLPLNTQGIPHAAM -DWYQASVFKEAVQGHTHIVSVSTADVLIMCKDLITCRFNTTLISKIAEIEDPVCSDYPNFKIVSMLYQSG -DYLLSILGSDGYKIIKFLEPLCLAKIQLCSKYTERKGRFLTQMHLAVNHTLEEITEMRALKPSQAQKIRE -FHRTLIRLEMTPQQLCELFSIQKHWGHPVLHSETAIQKVKKHATVLKALRPIVIFETYCVFKYSIAKHYF -DSQGSWYSVTSDRNLTPGLNSYIKRNQFPPLPMIKELLWEFYHLDHPPLFSTKIISDLSIFIKDRATAVE -RTCWDAVFEPNVLGYNPPHKFSTKRVPEQFLEQENFSIENVLSYAQKLEYLLPQYRNFSFSLKEKELNVG -RTFGKLPYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNRCYGVKNVFNWMHYTIPQCYMHVSDYYNPPHNLTLENRDNPPEGPSS -YRGHMGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDADEQEQSAEDNAA -RVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRMAPLSDAIFDDLQGTLASI -GTAFERSISETRHIFPCRITAAFHTFFSVRILQYHHLGFNKGFDLGQLTLGKPLDFGTISLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPLIAKNPGNCTAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRTITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDLAQILREYSWAH -ILEGRPLIGATLPCMIEQFKVFWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPNASRISWTIGDG -IPYIGSRTEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLEARVNLSVQEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIK -FRNTEATDIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDSNPLKGGLNCNISFDNPF -FQGKRLNIIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGA -FVSYYLGNTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPHFSIYIGGAAGD -RGLSDAARLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLYQIVELLVHDSSRQQAF -KTTISDHVHPHDNLVYTCKSTASNFFHASLAYWRSRHRNSNRKYLARDSSTGSSTNNSDGHIERSQEQTT -RDPHDGTERNLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHNVKFQDHNSASK -REGHQIISHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGIVSSMHYKLDEV -LWEIESFKSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTTPRMLLPVMSKFHNDQI -EIILNNSASQITDITNPTWFKDQRARLPKQVEVITMDAETTENINRSKLYEAVYKLILHHIDPSVLKAVV -LKVFLSDTEGMLWLNDNLAPFFATGYLIKPITSSARSSEWYLCLTNFLSTTRKMPHQNHLSCKQVILTAL -QLQIQRSPYWLSHLTQYADCELHLSYIRLGFPSLEKVLYHRYNLVDSKRGPLVSITQHLAHLRAEIRELT -NDYNQQRQSRTQTYHFIRTAKGRITKLVNDYLKFFLIVQALKHNGTWQAEFKKLPELISVCNRFYHIRDC -NCEERFLVQTLYLHRMQDSEVKLIERLTGLLSLFPDGLYRFD - ->sp|Q1PD54.1|L_MABVA RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MQHPTQYPDARLSSPIILDQCDLLARSLGLYSHYSHNPKLRNCRIPHHIYRLRNSTALKTFLQNCSILTV -PFHSIWDHILTSIQYDAINHVDDFKYLLPSELVKYANWDNEFLKAYLNKILGLNHVFPTSARSQCEDFSP -KENPYYWGMLLLVHLSQLARRIKGQRGSLRSNWKFIGTDLELFGIADFVIFKVPVKTIIRNAVSLQASKP -GLRVWYRDQNLTPYLCDDEFIVSVASYECFIMIKDVFIERYNTWEICARAWLEDSDGADYPPLDVLGELY -NQGDQIIAMYLEDGFKLIKHLEPLCVSCIQTHGIFTPRKYWFQSQMIKSYYDELCCLNLKLQISDNKAEC -AQNFIKTIIQAKLTPQQYCELFSLQKHWGHPVLYNDVALDKVKKHAQSTKILKPKVMFETFCVFKFIVAK -NHYHSQGSWYKTTHDLHLTPYLRQHIVSNSFPSQAEIYQHLWEWYFVEHEPLFSTKIISDLSIFIKDRAT -AVNQECWDSVFDRSVLGYNPPVRFQSKRVPEQFLGQADFSLNQILDFAEKLEYLAPSYRNFSFSLKEKEL -NIGRTFGKLPYRVRNVQTLAEALLADGLAKAFPSNMMVVTEREQKEALLHQASWHHNSASIGENAIVRGA -SFVTDLEKYNLAFRYEFTRHFIDYCNRCYGVKNLFDWMHFLIPLCYMHVSDFYSPPHCVTEDNRNNPPDC -ANAYHYHLGGIEGLQQKLWTCISCAQITLVELKTKLKLKSSVMGDNQCITTLSLFPVDAPNDYQENEAEL -NAARVAVELAITTGYSGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTMARCGPLSDSIFDDLQGSL -ASIGTSFERGTSETRHIFPSRWIASFHSMLAINLLNQNHLGFPLGFNIDISCFKKPLTFSEKLIALITPQ -VLGGLSFLNPEKLFYRNISDPLTSGLFQLKNALEFLEKEELFYILIAKKPGLADASDFVMNPLGLNVPGS -REIITFLRQTVRENITITSQNRIINSLFHIGSDLEDQRVCEWLLSSNPVMSRFAADIFSRTPSGKRLQVL -GYLEGTRTLLASRTISLTTEGTMLMKLRELTRNRWKSWFSYIDALDDDLSESLEKFTCTVDVANFLRAYS -WSDVLKGKRLIGATLPCLLEQFKVKWINLSEDLREQFNLSSDAESTINFLPYDCKELRLGGSNDTELNYV -SCALDRKVVQKHPSVNRLAWTIGNRAPYIGSRTEDKIGYPPLRVNCPSAALKEAIEMVSRLLWVTQGTAD -REKLLIPLLNSRVNLDYQTVLNFLPTHYSGNIVHRYNDQYGQHSFMANRMSNTSTRAIISTNTLGKYAGG -GQAAIDSNIIFQNTINLGVAVLDIALSLAKLSSSSNVTFRLMLSKCCTRHVPSEYLFFDKPLDVDLNKYM -DNELVYDNDPLCSGIKGRLGRVSRSTLSLSLNVSDIGSYDFPTIAAWTLGETIVGSIFSDESSQSTDPIS -SGCTKTFVTHFLVYPVESIFYAFGANLIVESLSLNRIKSIKNLSDLTFLISSTIRNLSHRSLRILQSTFR -HELVLTRLAHHIPLISLMLGGSAGEKSSSDAVRLFLTASYQNFINNFSCLIKKGQSSLPVWLYFPSEGQQ -LKPILKILQRLSDLFSPDKVQKRKILADTCYPVDSFWVYPSKSTRTNHYYASLNYWRDKANKVKNTPFSH -LINCSFLELSSHTISVPSNQQMTNSKYIVHPENIPETNARTELMNYGSTTLQGMDIKMPLSEQNLVENCR -PSKGIRCKDNQKIIKHDQRYGKKESSSQQMLPKDNMQTPAYIHGSSPSQTIIKSLDVHEDFDASKVILNS -ETNNPNLTDCTLNTKFLTTLTGTEILGTSPLQPSRYSSTSKERSLLSREQASYLYVDCSNIPSISLDPGF -RNMSDQNQVQMLINAYKRDLHACFDSNQFCRFTGVVSSMHYKLYDLLPPGELRKAICLAEGEGSGARLLL -KWKETDYLFFNTLATDSQQEAEILSGRVIPRMLYNIDKLSVLLESRRLILNNLTIQITDITNPLWLDSVI -QYLPEDSDILTMDAETTKDETREQLYKTIVNIWTRTSPNIPKISIIKVFLLDYEGTLFLMRNAIQYYGQV -QLKKPYSSNAKNSEWYLCCGKRRIQRLQIDFSDQVGIFLICKAMSRQRQAIPYWLKHIEKNYPASLHEFF -LTLGFPSLESSFCHRYTIPFSEGKALFHKVQSYVRQGKQHLHSLMLDYENNSPLLDLRNHFICSLRGKIT -KYYNDILKLNLVIKAVEKGKNWSQLVETLPNMHSVCIVHVDHECFGCEKRLLLKLDFIRNTKIAEQKLLN -RVIGYILFFPFGLFKSGSLRA - ->sp|Q91DD4.1|L_EBORE RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRQCKLPKHIYRLKFDTIVSKFLSDTPVAT -LPIDYLVPILLRSLTGHGDRPLTPTCNQFLDGIINYTLHDAAFLDYYLKATGAQDHLTNITTREKLKNEI -LNNDYVHQLFFWHDLSILARRGRLNRGNNRSTWFVHDEFIDILGYGDYIFWKIPLSLLPVTIDGVPHAAT -DWYQPTLFKESILGHSQILSVSTAEILIMCKDIITCRFNTSLIASIAKLEDVDVSDYPDPSDILKIYNAG -DYVISILGSEGYKIIKYLEPLCLAKIQLCSKFTERKGRFLTQMHLSVINDLRELISNRRLKDYQQEKIRD -FHKILLQLQLSPQQFCELFSVQKHWGHPILHSEKAIQKVKRHATILKALRPNVIFETYCVFKYNIAKHYF -DSQGTWYSVISDRNLTPGLNSFIKRNHFPSLPMIKDLLWEFYHLNHPPLFSTKVISDLSIFIKDRATAVE -QTCWDAVFEPNVLGYNPPNKFSTKRVPEQFLEQEDFSIESVLNYAQELHYLLPQNRNFSFSLKEKELNIG -RTFGKLPYLTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGENATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNHCYGVRNVFNWMHYLIPQCYMHVSDYYNPPHNVNLSNREYPPEGPSS -YRGHLGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDPEEQEQSAEDNAA -RVAASLAKVTSACGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTAARMAPLSDAIFDDLQGTLASI -GTAFERAISETRHILPCRIVAAFHTYFAVRILQYHHLGFNKGIDLGQLSLSKPLDYGTITLTLAVPQVLG -GLSFLNPEKCFYRNFGDPVTSGLFQLRVYLEMVNMKDLFYPLISKNPGNCSAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRSITLTARNKLINTLFHASADLEDEMVCKWLLSSNPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNNSETPVLDKLRKITLQRWNLWFSYLDHCDQLLADALQKISCTVDLAQILREYTWSH -ILEGRPLIGATLPCMVEQFKVKWLRQYEPCPECLNKKGSNAYVSVAVKDQVVSAWPNTSRISWTIGSGVP -YIGSRTEDKIGQPAIKPRCPSSALKEAIELASRLTWVTQGSSNSEQLIRPFLEARVNLSVSEVLQMTPSH -YSGNIVHRYNDQYSPHSFMANRMSNTATRLIVSTNTLGEFSGGGQAARDSNIIFQNVINLAVALYDIRFR -NTNTSDIRHNRAHLHLTECCTKEVPAQYLTYTSALNLDLSRYRDNELIYDSNPLRGGLNCNLTMDSPLVK -GPRLNMIEDDLLRFPHLSGWELAKTVVQSIISDNSNSSTDPISSGETRSFTTHFLTYPQIGLLYSFGAVL -CFYLGNTILWTKKLDYEQFLYYLHNQLHNLPHRALRVFKPTFKHASVMSRLMEIDSNFSIYIGGTSGDRG -LSDAARLFLRTAIASFLQFLKSWIIDRQKAIPLWIVYPLEGQQPESINEFLHKIFGLLKQGPKNIPKEVS -IQNDGHLDLAENNYVYNSKSTASNFFHASLAYWRSRKSRKTQDHNDFSRGDGTLTEPVCKFSSNHQSDEK -YYNVTCGKSPKPQERKDFSQYRLSNNGQTMSNHRKKGKFHKWNPCKVLMESQRGTVLKEGDYFQNNTPPT -DDVSSPHRLILPFFKLGNHNHAHDQDAQELINQNIKQYLHQLRSMLDTTIYCRFTGIVSSMHYKLDEVLL -EYNSFDSAITLAEGEGSGALLLLQKYSTRLLFLNTLATEHSIESEVVSGFSTPRMLLPIMQKVHEGQVTV -ILNNSASQITDITSSMWLSNQKYNLPCQVEIITMDAETTENLNRSQLYRAVYNLILDHIDPQYLKVVVLK -VFLSDIEGILWINDYLAPLFGAGYLIKPITSSARSSEWYLCLSNLISTNRRSAHQTHKACLGVIRDALQA -QVQRGVYWLSHIAQYATKNLHCEYICLGFPPLEKVLYHRYNLVDTGLGPLSSVIRHLTNLQAEIRDLVLD -YTLMRESRTQTYHFIKTAKGRITKLVNDFLKFSLIVQALKNNSSWYTELKKLPEVINVCNRFYHTHSCEC -QEKFFVQTLYLQRLRDAEIKLIERLTGLMRFYPEGLIYSNHT - ->sp|Q6UY63.1|L_MABVO RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MQHPTQYPDARLSSPIVLDQCDLLARSLGLYSHYSHNPKLRNCRIPHHIYRLRNSTALKTFLQNCSILTV -PFHSIWDHILTSIQYDAINHVDDFKYLLPSELVKYANWDNEFLKTYLNKILRLDHVFPASARSQCEDFSP -KENPYYWGMLLLVHLSQLARRIKGQRGSLRSNWKFIGTDLELFGIADFIIFKVPVKTIIRNAVSLQASKP -GLRVWYRDQNLTPYLCDDEFIVSVASYECFIMIKDVFIERYNTWEICARAWLEDSDGADYPPLDVLGELY -NYGDQIIAMYLEDGFKLIKHLEPLCVSCIQTHGIFTPRKYWFQSQMIKSYYDELCDLNLKLRISDNKAEC -AQNFIKTIIQARLTPQQYCELFSLQKHWGHPVLYNDVALDKVKKHAQATKILKPKVMFETFCVFKFIVAK -NHYHSQGSWYKTTHDLHLTPYLRQHIVSNSFPSQAEIYQHLWEWYFVEHEPLFSTKIISDLSIFIKDRAT -AVNQECWDSVFDRSVLGYNPPVRFQSKRVPEQFLGQADFSLNQILDFAEKLEYLAPSYRNFSFSLKEKEL -NIGRTFGKLPYRVRNVQTLAEALLADGLAKAFPSNMMVVTEREQKEALLHQASWHHNSASIGENAIVRGA -SFVTDLEKYNLAFRYEFTRHFINYCNRCYGVKNLFDWMHFLIPLCYMHVSDFYSPPHCVTEDNRNNPPDC -ANAYHYHLGGIEGLQQKLWTCISCAQITLVELKTKLKLKSSVMGDNQCITTLSLFPIDAPNDYQENEAEL -NAARVAVELAITTGYSGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTMARCGPLSDSIFDDLQGSL -ASIGTSFERGTSETRHIFPSRWIASFHSMLAINLLNQNHLGFPLGFNIDISCFKKPLTFSEKLIALITPQ -VLGGLSFLNPEKLFYRNISDPLTSGLFQLKNALEFLGKGELFYILIAKKPGLADASDFVMNPLGLNVPGS -REIITFLRQTVRENITITSQNRIINSLFHIGSDLEDQKVCEWLLSSNPVMSRFAADIFSRTPSGKRLQVL -GYLEGTRTLLASRTISLTTEGTMLMKLRELTRNRWKSWFSYIDALDDDLSESLEKFTCTVDVANFLRAYS -WSDVLKGKRLIGATLPCLLEQFKVKWINLSEDLREQFNLSSESELTINLLPYDCKELRLGGSHDTELNYV -SCALDRKVVQKHPSVKRLAWTIGNRAPYIGSRTEDKIGYPPLRVNCPSAALKEAIEMVSRLLWVTQGTAD -REKLLIPLLNSRVNLDYQTVLNFLPTHYSGNIVHRYNDQYGQHSFMANRMSNTSTRAIISTNTLGKYAGG -GQAAIDSNIIFQNTINLGVAVLDIALSLAKLSSASNVTFRLMLNKCCTRHVPSEYLFFDKPLDVDLNKYM -DNELVYDNDPLCSGIKGRLGRVSRSTLSLSLNVSDIGSYDFPTIAAWTLGETIVGSIFSDESSQSTDPIS -SGCTKTFVTHFLVYPVESIFYAFGANLIVESLSLSRIKSIKNLSDLTFLISSTIRNLSHRSLRILQSTFR -HELVLTRLAHHIPLISLMLGGSAGEKSSSDAVRLFLTASYQNFIHNFSCLMKKGQSSLPVWLYFPSEGQQ -LKPILKILQRLSDLLSPDKVQRCKTLADTCCSIDSFWVYPSKSTRTNHYYASLNYWRDKANKVKNTPFSH -LINCSFLELSSHTSSVSSNQQVTNSKYIVHPEDIPEINTRTKLIEYGSTALQRMDIKMPPSEQNLVENCR -PSKDIRFKDNQKITKHDQRYEKKESSQQQMSPEDNMQTLAYMHNSSPSQTFIKSIDVHEDFDASRVILNS -KINNFNLTDCTINTNLLTTPTGTEFLDTSPLQSSRYSSTPRERSLLSREQASYLYVDCSNIPSISLDPGF -RNMSDQNQIQMLINAYKRDLHACFDSNQFCRFTGVVSSMHYKLYDLLPPGELRKAICLAEGEGSGARLLL -KWKETDHLFFNTLATDSQQEAEILSGRVIPRMLYNIDRLSALLESRRLILNNLTIQITDITNPLWLDSVI -QYLPEDSDILTMDAETTKDETREQLYKTIVNIWTRTSPNIPKISIIKVFLLDYEGTLFLMKNAIQYYGQV -QLKKPYSSNAKNSEWYLCCSKRRIQRLQIDFPDQVGIFLICKAMSRQRQAIPYWLKHIEKNYPASLHEFF -LTLGFPSLESSFCHRYTIPFSEGKALFHKVQSYVRQGKQHLHSLMLDYENNSPLLDLRNHFICSLRGKIT -KYYNDILKLNLVIKAVEKGKNWSQLVETLPNMHSVCIVHVDHECFGCEKRLLLKLDFIRNTKIAEQKLLN -RVIGYILFFPFGLSKSRSLRA - ->sp|Q66802.1|L_EBOSM RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MMATQHTQYPDARLSSPIVLDQCDLVTRACGLYSEYSLNPKLRTCRLPKHIYRLKYDAIVLRFISDVPVA -TIPIDYIAPMLINVLADSKNAPLEPPCLSFLDEIVNYTVQDAAFLNYYMNQIKTQEGVITDQLKQNIRRV -IHKNRYLSALFFWHDLSILTRRGRMNRGNVRSTWFVTNEVVDILGYGDYIFWKIPIALLPMNSANVPHAS -TDWYQPNIFKEAIQGHTHIISVSTAEVLIMCKDLVTSRFNTLLIAELARLEDPVSADYPLVDDIQSLYNA -GDYLLSILGSEGYQIIKYLEPLCLAKIQLCSQYTERKGRFLTQMHLAVIQTLRELLLNRGLKKSQLSKIR -EFHQLLLRLRSTPQQLCELFSIQKHWGHPVLHSEKAIQKVKNHATVLKALRPIIIFETYCVFKYSVAKHF -FDSQGTWYSVISDRCLTPGLNSYIRRNQFPPLPMIKDLLWEFYHLDHPPLFSTKIISDLSIFIKDRATAV -EQTCWDAVFEPNVLGYSPPYRFNTKRVPEQFLEQEDFSIESVLQYAQELRYLLPQNRNFSFSLKEKELNV -GRTFGKLPYLTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSF -VTDLEKYNLAFRYEFTAPFIKYCNQCYGVRNVFDWMHFLIPQCYMHVSDYYNPPHNVTLENREYPPEGPS -AYRGHLGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLESSPNEQERCAEDNA -ARVAASLAKVTSACGIFLKPDETFVHSGFIYFGPKQYLNGIQLPQSLKTAARMAPLSDAIFDDLQGTLAS -IGTAFERSISETRHILPSRVAAAFHTYFSVRILQHHHLGFHKGSDLGQLAINKPLDFGTIALSLAVPQVL -GGLSFLNPEKCLYRNLGDPVTSGLFQLKHYLSMVGMSDIFHALVAKSPGNCSAIDFVLNPGGLNVPGSQD -LTSFLRQIVRRSITLSARNKLINTLFHASADLEDELVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGY -LEGTRTLLASKMISNNAETPILERLRKITLQRWNLWFSYLDHCDSALMEAIQPIRCTVDIAQILREYSWA -HILGGRQLIGATLPCIPEQFQTTWLKPYEQCVECSSTNNSSPYVSVALKRNVVSAWPDASRLGWTIGDGI -PYIGSRTEDKIGQPAIKPRCPSAALREAIELTSRLTWVTQGSANSDQLIRPFLEARVNLSVQEILQMTPS -HYSGNIVHRYNDQYSPHSFMANRMSNTATRLMVSTNTLGEFSGGGQAARDSNIIFQNVINFAVALYDIRF -RNTCTSSIQYHRAHIHLTDCCTREVPAQYLTYTTTLNLDLSKYRNNELIYDSEPLRGGLNCNLSIDSPLM -KGPRLNIIEDDLIRLPHLSGWELAKTVLQSIISDSSNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGAL -ISFYLGNTILCTKKIGLTEFLYYLQNQIHNLSHRSLRIFKPTFRHSSVMSRLMDIDPNFSIYIGGTAGDR -GLSDAARLFLRIAISTFLSFVEEWVIFRKANIPLWVVYPLEGQRPDPPGEFLNRVKSLIVGIEDDKNKGS -ILSRSEEKCSSNLVYNCKSTASNFFHASLAYWRGRHRPKKTIGATKATTAPHIILPLGNSDRPPGLDLNQ -SNDTFIPTRIKQIVQGDSRNDRTTTTRLPPQSRSTPTSATEPPTKIYEGSTTYRGKSTDTHLDEGHNAKE -FPFNPHRLVVPFFKLTKDGEYSIEPSPEESRSNIKGLLQHLRTMVDTTIYCRFTGIVSSMHYKLDEVLWE -YNKFESAVTLAEGEGSGALLLIQKYGVKKLFLNTLATEHSIESEVISGYTTPRMLLSVMPRTHRGELEVI -LNNSASQITDITHRDWFSNQKNRIPNDVDIITMDAETTENLDRSRLYEAVYTIICNHINPKTLKVVILKV -FLSDLDGMCWINNYLAPMFGSGYLIKPITSSARSSEWYLCLSNLLSTLRTTQHQTQANCLHVVQCALQQQ -VQRGSYWLSHLTKYTTSRLHNSYIAFGFPSLEKVLYHRYNLVDSRNGPLVSITRHLALLQTEIRELVTDY -NQLRQSRTQTYHFIKTSKGRITKLVNDYLRFELVIRALKNNSTWHHELYLLPELIGVCHRFNHTRNCTCS -ERFLVQTLYLHRMSDAEIKLMDRLTSLVNMFPEGFRSSSV - ->sp|P35262.1|L_MABVP RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MQHPTQYPDARLSSPIILDQCDLLARSLGLYSHYSHNPKLRNCRIPHHIYRLRNSTALKTFLQNCSILTV -PFHSIWDHILTSIQYDAINHVDDFKYLLPSELVKYANWDNEFLKAYLNKILGLDHVFPASARSQWEDFSP -KENPYYWGMLLLVHLSQLARRIKGQRGSLRSNWKFIGTDLELFGIADFIIFKVPVKTIIRNAVSLQASKP -GLRVWYRDQNLTPYLCDDEFIVSVASYECFIMIKDVFIERYNTWEICARAWLEDSDGADYLPLDVLGELY -NQGDQIIAMYLEDGFKLIKHLEPLCVSCIQTHGIFTPGKYWFQSQRIESYYEELCSLNWKFKISGNKAEC -AQNFIKTIIQGKLTPQQYCELFSLQKHWGHPVLYIDVALDKVKKHAQSVKILKPKVMFETFCVFKFIVAK -NHYHSQGSWYKTTMDLHLTPYLRQHIVSNSFPSQAEIYQHLWEWYFVEHEPLFSTKIISDLSIFIKDRAT -AVNQECWDSVFDRSVLGYNPPVRFQSKRVPEQFLGQADFSLNQILDFAEKLEYLAPSYRNFSFSLKEKEL -NIGRTFGKLPYRVRNVQTLAEALLADGLAKAFPSNMMVVTEREQKEALLHQASWHHNSASIGENAIVRGA -SFVTDLEKYNLAFRYEFTRHFIDYCNRCYGVKNLFDWMHFLIPLCYMHVSDFYSPPHCVTEDNRNNPPDC -ANAYHYHLGGIEGLQQKLWTCISCAQITLVELKTKLKLKSSVMGDNQCITTLSLFPIDAPDDYQENEAEL -NAARVAVELAITTGYDGIFLKPEETFVHSGFIYFGKKQYLNGVQLPQSLKTMARCGPLSDSIFDDLQGSL -ASIGTSFERGTSETRHIFPSRWIASFHSMLAINLLNQNHLGFPLGFSIDISCFKKPLTFSEKLIALITPQ -VLGGLSFLNPEKLFYRNISDPLTSGLFQLKNALEFLEKEELFYILIAKKPGLADASDFVMNPLGLNVPGS -REIITFLRQTVRENITITSQNRIINSLFHIGSDLEDQRVCEWLLSSNPVMSRFAADIFSRTPSGKRLQVL -GYLEGTRTLLASRTISLTTEGTMLMKLRELTRNRWKSWFSYIDALDDDLSESLEKFTCTVDIANFLRAYS -WLDVLKGKRLIGATLPCLLEQFKVKWINLSEDLREQFNMSSESESTINLLPYDCKELRLGRSNDTELNYV -SCALDRKVVQKHPSVNRLAWTIGNRAPYIGSRTEDKIGYPPLRVNCPSAALKEAIEMVSRLLWVTQGTAD -REKLLIPLLNSRVNLDYQTVLNFLPTHYSGNIVHRYNDQYGQHSFMANRMSNTSTRAIISTNTLGKYAGG -GQAAVDSNIIFQNTINLGVAVLDIALSLAKLSSASNVTFRLMLNKCCTRHVPSEYLFFDKPLDVDLNKYM -DNELVYDNDPLCSGIKGRLGRVSRSTLSLSLNVSDIGSYDFPTIAAWTLGETIVGSIFSDESSQSTDPIS -SGCTKTFVTHFLVYPVESIFYAFGANLIVESLSLSRIKSIKNLSDLTFLISSTIRNLSHRSLRILQSTFR -HELVLTRLAHHIPLISLMLGGSAGEKSSSDAVRLFLTASYQNFINNFSCLMKKGQSSLPVWLYFPSEGQQ -LKPILKILQRLSDLLSPDKVQKHQILADTCCPIDSFWVYPSKSTRTNHYYASLNYWRDKANKVKNTPFSH -LINCSFLELSSHTSSVSSNQQVTNSKYIVHPENIPEINARTKLIDYGSTALQGMDIKMPLSEQNLVGNCR -PSKGIRFKDNPKTTKHDQGFVGKDSSPRPMSPEDNMQTPAYIHSSPPYQTLTKSPDVHEDFDASKVILNS -EINNLNLTDCTLNTKSLTTPTGTEILGISPFRSSRYSSTSRERSRLSREQASYLYVDCSNIPSISLDPGF -QNMSDQNQVQMLINTYKRDLHACFDSNQFCRFTGVVSSMHYKLYDLLPPGELRKAICLAEGEGSGARLLL -KWKKTDYLFFNTLATDSQQEAEILSGRVIPRMLYNIDRLNALLESRRLILNNLTIQITDITSPLWLDSVI -QYLPEDSDILTMDAETTKDETREQLYKTIVNIWTRTSPNIPKISIIKVFLLDYEGTLFLMRNAIQYYGQV -QLKKPYSSNAKNSEWYLCCGKRRIQRLKIDFSDQVGIFLICKAMSRQRQAIPYWLKHIEKNYPASLHKFF -LTLGFPSLESSFCHRYTIPFSEGKALFHKVQSYVRQGKQHLHSLMLDYENNSPLLDLRNHFICSLRGKIT -KYYNDILKLNLVIKAVEKGKNWSQLVETLPNMHSVCIVHVDHECFGCEKRLLLKLDFIRNTKIAEQKLLN -RVIGYILFFPFGLFKSESLTA - ->AKG65642.1 polymerase [Zaire ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRNCKLPKHIYRLKYDVTVTKFLSDVPVAT -LPIDFIVPILLKALSGNGFCPVEPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVDDHFQEKILSSI -QGNEFLHQMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISLLPLNTQGIPHAAM -DWYQTSVFKEAVQGHTHIVSVSTADVLIMCKDLITCRFNTTLISKIAEVEDPVCSDYPNFKIVSMLYQSG -DYLLSILGSDGYKIIKFLEPLCLAKIQLCSKYTERKGRFLTQMHLAVNHTLEEITEIRALKPSQAHKIRE -FHRTLIRLEMTPQQLCELFSIQKHWGHPVLHSETAIQKVKKHATVLKALRPIVIFETYCVFKYSIAKHYF -DSQGSWYSVTSDRNLTPGLNSYIKRNQFPPLPMIKELLWEFYHLDHPPLFSTKIISDLSIFIKDRATAVE -RTCWDAVFEPNVLGYNPPHKFSTKRVPEQFLEQENFSIENVLSYAQKLEYLLPQYRNFSFSLKEKELNVG -RTFGKLPYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNRCYGVKNVFNWMHYTIPQCYMHVSDYYNPPHNLTLENRNNPPEGPSS -YRGHMGGIEGLQQKLWTSISCAQISLVEIKTGFKLXXAVMGDNQCITVLSVFPLETDAGEQEQSAEDNAA -RVAASLAKVTSACGIFLKPDETFVHSGFIYXXKKQYLNGVQLPQSLKTATRMAPLSDAIFDDLQGTLASI -GTAFERSISETRHIFPCRITAAFHTFFSVRILQYHHLGFNKGFDLGQLTLGKPLDFGTISLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPLIAKNPGNCTAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRTITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDLAQILREYSWAH -ILEGRPLIGATLPCMIEQFKVVWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPNASRISWTIGDG -IPYIGSRTEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLEARVNLSVQEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIK -FRNTEATDIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDNNPLKGGLNCNISFDNPF -FQGKQLNIIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGA -FVSYYLGNTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPHFSIYIGGAAGD -RGLSDAARLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLHQIVELLVHDSSRHQAF -KTTINDHVHPHDNLVYTCKSTASNFFHASLAYWRNRHRNSNRKDLTRNSSTGSSTNNSDGHIKRSQEQTT -RDPHDGTERSLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHNVKSQDHNSASK -REGHQIISHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGIVSSMHYKLDEV -LWEIENFKSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTTPRMLLPVMSKFHNDQI -EIILNNSASQITDITNPTWFKDQRARLPRQVEVITMDAETTENINRSKLYEAVHKLILHHVDPSVLKAVV -LKVFLSDTEGMLWLNDNLAPFFATGYLIKPITSSARSSEWYLCLTNFLSTTRKMPHQNHLSCKQVILTAL -QLQIQRSPYWLSHLTQYADCDLHLSYIRLGFPSLEKVLYHRYNLVDSKRGPLVSVTQHLAHLRAEIRELT -NDYNQQRQSRTQTYHFIRTAKGRITKLVNDYLKFFLIVQALKHNGTWQAEFKKLPELISVCNRFYHIRDC -NCEERFLVQTLYLHRMQDSEVKLIERLTGLLSLFPDGLYRFD ->AJZ74605.1 L, partial [Zaire ebolavirus] -YPDARLSSPIVLDQCDLVTRACGLYSSYSLNSQLRNCKLPKHIYRLKYDVTVTKFLSDVPVATLPIDFIV -PILLKALSGNGFCPVEPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVDDHFQEKILSSIQGNEFLH -QMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISLLPLNTQGIPHAAMDWYQTSV -FKEAVQGHTHIVSVSTADVLIMCKDLITCRFNTTLISKIAEVEDPVCSDYPNFKIVSMLYQSGDYLLSIL -GSDGYKIIKFLEPLCLAKIQLCSKYTERKGRFLTQMHXAVNHTLEEITEIXXLXXSQAHKXREFHRTLIR -LEMTPQQLCELFSIQKHWGHPVLHSETAIQKVKKHATVLKALRPIVIFETYCVFKYSIAKHYFDSQGSXX -XVTSDRNLTPGLNSYIKRNQFPPLPMIKELLWEFYHLDHPPLFSTKIISDLSIFIKDRATAVERTCWDAV -FEPNVLGYNPPHKFSTKRVPEQFLEQENFSIENVLSYAQKLEYLLPQYRNFSFSLKEKELNVGRTFGKLP -YPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHXXXXXGXXAXVXXXSFVTDLEXYX -LXFXYXFTAPFXXYXXRCYGVKNVFNWMHYTIPQCYMHVSDYYNPPHNLTLENRNNPPEGPSSYRGHMGG -IEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDAGEQEQSAEDNAARVAASLA -KVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRMAPLSDAIFDDLQXTLASIGTAFERS -ISETRHIFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGQLTLGKPLDFGTISLALAVPQVLGGLSFLNP -EKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPLIAKNPGNCTAIDFVLNPSGLNVPGSQDLTSFLRQI -VRRTITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYLEGTRTLL -ASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDLAQILREYSWAHILEGRPL -IGATLPCMIEQFKVVWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPNASRISWTIGDGIPYIGSR -TEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLEARVNLSVQEILQMTPSHYSGNI -VHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIKFRNTEAT -DIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDNNPLKGGLNCNISFDNPFFQGKQLN -IIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGAFVSYYLG -NTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPHFSIYIGGAAGDRGLSDAA -RLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLHQIVELLVHDSSRHQAFKTTINDH -VHPHDNLVYTCKSTASNFFHASLAYWRSRHRNSNRKDLTRNSSTGSSTNNSDGHIKRSQEQTTRDPHDGT -ERSLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHNVKSQDHNSASKREGHQII -SHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGIVSSMHYKLDEVLWEIENF -KSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTTPRMLLPVMSKFHNDQIEIILNNS -ASQITDITNPTWFKDQRARLPRQVEVITMDAETTENINRSKLYEAVHKLILHHVDPSVLKAVVLKVFLSD -TEGMLWLNDNLAPFFATGYLIKPITSSARSSEWYLCLTNFLSTTRKMPHQNHLSCKQVILTALQLQIQRS -PYWLSHLTQYADCDLHLSYIRLGFPSLEKVLYHRYNLVDSKRGPLVSVTQHLAHLRAEIRELTNDYNQQR -QSRTQTYHFIRTAKGRITKLVNDYLKFFLIVQALKHNGTWQAEFKKLPELISVCNRFYHIRDCNCEERFL -VQTLYLHRMQDSEVKLIERLTGLLSLFPDGLYRFD ->AKO69552.1 RNA-dependent RNA polymerase, partial [Bat filovirus] -QKTWGHPVLHNELAIGKVKDHATKLKLLRPNMIFYTYCVFKYMVAKHFFETQGTWYPMTHDRALTPTLNT -YIKRNMFPSLKNIQFVLWEFYHIRHLPIYSTKIVHDLSIFIKDRATAVQKPYWDAVFESNVLGYYPPNRF -ESKRVPEQFLNQDNFSIDSVLTYAENLEYLLPENRNFSFSLKEKELSIGRTFGKLPYLTRNVQTLCEALL -ADGLAKAFPSNMMVVTEREQKESLLHQASWHYNRTSTSENVTVRGSSFVTDLEKYNLAFRYEFTRPFIDY -CNECYGVKNLFNWMHFLMPQCYIHVSDFYNPPHCLTSQNREHPPPCQNAYYGHLGGVEGLQQKLWTSISC -AQIILTELRTGFKLRSAVMGDNQCITVLSLLPVGMGQSEQEVISETNAAIVATDLAYITSACGIFLKPEE -TFIHSGFIYFGKKQYLNGIQLPQSIKTASRIAPLSDAIFDDLQGSLSSIGTAFEKAIAETRHLIGIRAIS -SFHSYLAVRLLQYHHLGFKKDTDIGLLALKKPLDYSTIVVTLSIPQVLGGLSFLNPEKCFYRNIGDPVTS -GLFQLKMYLKMRQMMDLYPLLISKTPGNSTALDLVLNPLGLNVPGSQDITSFLRKLVRKSITLHSKNKLI -NSLFHVGADSEDETICQWLLSSEPVMSRFAADIFSRTPSGKRLQILGYLEGTRTLLASKVINSDPEISVI -KQLQNITIKRWAIWFNLHADIDPDLLEVVKRINCSLDLAQLLREYTWAHILKEKKLIGATLPCLLEQFKI -CWMTTTSTNQVCHGCIDQSPYISGLLLNNIVCARPNDQRLQWTIGSSNPYIGSRTEDKIGQAPLRPRCPS -SALREAIELASRLTWATQGSGTNADLIKPFVKARVNLEVEDIQKMLPVHYSGNI ->AKG96060.1 polymerase, partial [Zaire ebolavirus] -QYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRNCKLPKHIYRLKYDVTVTKFLSDVPVATLPIDFI -VPILLKALSGNGFCPVEPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVDDHFQEKILSSIQGNEFL -HQMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISLLPLNTQGIPHAAMDWYQTS -VFKEAVQGHTHIVSVSTADVLIMCKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXKYTERKGRFLTQMHLAVNHTLEEITEIRALKPSQAHKIREFHRTLI -RLXXXXXXCCGVISXXXXWGHPVLHSETAIQKVKKHATVLKALRPIVIFETYCVFKYSIAKHYFDSQGSW -YSVTSDRNLTPGLNSYIKRNQFPPLPMIKELLWEFYHLDHPPLFSTKIISDLSIFIKDRATAVERTCWDA -VFEPNVLGYNPPHKFSTKRVPEQFLEQENFSIENVLSYAQKLEYLLPQYRNFSFSLKEKELNVGRTFGKL -PYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSFVTDLEKY -NLAFRYEFTAPFIEYCNRCYGVKNVFNWMHXXXXXXXXXXXXXXXPPHNLTLENRNNPPEGPSSYRGHMG -GIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDAGEQEQSAEDNAARVAASL -AKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRMAPLSDAIFDDLQGTLASIGTAFER -SISETRHIFPCRITAAFHTFFSVRILQYHHLGFNKGFDLGQLTLGKPLDFGTISLALAVPQVLGGLSFLN -PEKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYLEGTRTL -LASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDLAQILREYSWAHILEGRP -LIGATLPCMIEQFKVVWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPNASRISWTIGDGIPYIGS -RTEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLEARVNLSVQEILQMTPSHYSGN -IVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIKFRNTEA -TDIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDNNPLKGGLNCNISFDNPFFQGKQL -NIIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGAFVSYYL -GNTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPHFSIYIGGAAGDRGLSDA -ARLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLHQIVELLVHDSSRHQAFKTTIND -HVHPHDNLVYTCKSTASNFFHASLAYWRSRHRNSNRKDLTRNSSTGSSTNNSDGHIKRSQEQTTRDPHDG -TERSLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHNVKSQDHNSASKREGHQI -ISHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGIVSSMHYKLDEVLWEIEN -FKSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTTPRMLLPVMSKFHNDQIEIILNN -SASQITDITNPTWFKDQRARLPRQVEVITMDAETTENINRSKLYEAVHKLILHHVDPSVLKAVVLKVFLS -DTEGMLWLNDNLAPFFATGYLIKPITSSARSSEWYLCLTNFLSTTRKMPHQNHLSCKQVILTALQLQIQR -SPYWLSHLTQYADCDLHLSYIRLGFPSLEKVLYHRYNLVDSKRGPLVSVTQHLAHLRAEIRELTNDYNQQ -RQSRTQTYHFIRTAKGRITKLVNDYLKFFLIVQALKHNGTWQAEFKKLPELISVCNRFYHIRDCNCEERF -LVQTLYLHRMQDSEVKLIERLTGLLSLFPDGLYRFD ->AKI83296.1 polymerase [Zaire ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRNCKLPKHIYRLKYDVTVTKFLSDVPVAT -LPIDFIVPILLKALSGNGFCPVEPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVDDHFQEKILSSI -QGNEFLHQMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISLLPLNTQGIPHAAM -DWYQTSVFKEAVQGHTHIVSVSTADVLIMCKDLITCRFNTTLISKIAEVEDPVCSDYPNFKIVSMLYQSG -DYLLSILGSDGYKIIKFLEPLCLAKIQLCSKYTERKGRFLTQMHLAVNHTLEEITEIRALKPSQAHKIRE -FHRTLIRLEMTPQQLCELFSIQKHWGHPVLHSETAIQKVKKHATVLKALRPIVIFETYCVFKYSIAKHYF -DSQGSWYSVTSDRNLTPGLNSYIKRNQFPPLPMIKELLWEFYHLDHPPLFSTKIISDLSIFIKDRATAVE -RTCWDAVFEPNVLGYNPPHKFSTKRVPEQFLGQENFSIENVLSYAQKLEYLLPQYRNFSFSLXXXXXXXX -XXXXXXXXXXRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNRCYGVKNVFNWMHYTIPQCYMHVSDYYNPPHNLTLENRNNPPEGPSS -YRGHMGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDAGEQEQSAEDNAA -RVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRMAPLSDAIFDDLQGTLASI -GTAFERSISETRHIFPCRITAAFHTFFSVRILQYHHLGFNKGFDLGQLTLGKPLDFGTISLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPLIAKNPGNCTAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRTITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDLAQILREYSWAH -ILEGRPLIGATLPCMIEQFKVVWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPNASRISWTIGDG -IPYIGSRTEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLQARVNLSVQEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIK -FRNTEATDIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDNNPLKGGLNCNISFDNPF -FQGKQLNIIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGA -FVSYYLGNTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPHFSIYIGGAAGD -RGLSDAARLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLHQIVELLVHDSSRHQAF -KTTINDHVHPHDNLVYTCKSTASNFFHASLAYWRSRHRNSNRKDLTRNSSTGSSTNNSDGHIKRSQEQTT -RDPHDGTERSLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHNVKSQDHNSASK -REGHQIISHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGIVSSMHYKLDEV -LWEIENFKSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTAPRMLLPVMSKFHNDQI -EIILNNSASQITDITNPTWFKDQRARLPRQVEVITMDAETTENINRSKLYEAVHKLILHHVDPSVLKAVV -LKVFLSDTEGMLWLNDNLAPFFATGYLIKPITSSARSSEWYLCLTNFLSTTRKMPHQNHLSCKQVILTAL -QLQIQRSPYWLSHLTQYADCDLHLSYIRLGFPSLEKVLYHRYNLVDSKRGPLVSVTQHLAHLRAEIRELT -NDYNQQRQSRTQTYHFIRTAKGRITKLVNDYLKFFLIVQALKHNGTWQAEFKKLPELISVCNRFYHIRDC -NCEERFLVQTLYLHRMQDSEVKLIERLTGLLSLFPDGLYRFD ->AKC36028.1 polymerase [Zaire ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRNCKLPKHIYRLKYDVTVTKFLSDVPVAT -LPIDFIVPILLKALSGNGFCPVEPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVDDHFQEKILSSI -QGNEFLHQMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISLLPLNTQGIPHAAM -DWYQTSVFKEAVQGHTHIVSVSTADVLIMCKDLIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRKGRFLTQMHLAVNHTLEEITEIRALKPSQAHKIRE -FHRTLIRLEMTPQQLCELFSIQKHWGHPVLHSETAIQKVKKHATVLKALRPIVIFETYCVFKYSIAKHYF -DSQGSWYSVTSDRNLTPGLNSYIKRNQFPPLPMIKEXXXXXXXXXXXXXXXXXXXXXXXXXIKDRATAVE -RTCWDAVFEPNVLGYNPPHKFSTKRVPEQFLEQENFSIENVLSYAQKLEYLLPQYRNFSFSLKEKELNVG -RTFGKLPYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNRCYGVKXXXXXXXXXXXXCYMHVSXYYNPXXXLXXXXXXXXPEGPSS -YRGHMGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDAGEQEQSAEDNAA -RVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRMAPLSDAIFDDLQGTLASI -GTAFERSISETRHIFPCRITAAFHTFFSVRILQYHHLGFNKGFDLGQLTLGKPLDFGTISLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPLIAKNPGNCTAIDFVLNPSGLNVPGSQDL -TXXXXXIVRRTITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDLAQILREYSWAH -ILEGRPLIGATLPCMIEQFKVVWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPNASRISWTIGDG -IPYIGSRTEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLEARVNLSVQEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIK -FRNTEATDIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDNNPLKGGLNCNISFDNPF -FQGKQLNIIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGA -FVSYYLGNTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPHFSIYIGGAAGD -RGLSDAARLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLHQIVELLVHDSSRHQAF -KTTINDHVHPHDNLVYTCKSTASNFFHASLAYWRSRHRNSNRKDLTRNSSTGSSTNNSDGHIKRSQEQTT -RDPHDGTERSLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHNVKSQDHNSASK -REGHQIISHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGIVSSMHYKLDEV -LWEIENFKSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTTPRMLLPVMSKFHNDQI -EIILNNSASQITDITNPTWFKDQRARLPRQVEVITMDAETTENINRSKLYEAVHKLILHHVDPSVLKAVV -LKVFLSDTEGMLWLNDNLAPFFATGYLIKPITSSARSSEWYLCLTNFLSTTRKMPHQNHLSCKQVILTAL -QLQIQRSPYWLSHLTQYADCDLHLSYIRLGFPSLEKVLYHRYNLVDSKRGPLVSVTQHLAHLRAEIRELT -NDYNQQRQSRTQTYHFIRTAKGRITKLVNDYLKFFLIVQALKHNGTWQAEFKKLPELISVCNRFYHIRDC -NCEERFLVQTLYLHRMQDSEVKLIERLTGLLSLFPDGLYRFD ->AJZ74613.1 L, partial [Zaire ebolavirus] -TXXXXDVPVATLPIDFIVPXLLKXLSGNGFCPVXPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVD -DHFQEKILSSIQGNEFLHQMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISLLP -LNTQGIPHAAMDWYQTSVFKEAVQGHTHIVSVSTADVLIMCKDLITCRFNTTLISKIAEVEDPVXXXXXN -XKXVSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXATAVXRTCWXAVXEPNVLGYNPPHKFSXKRVPEXXXXXENXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXNVGRTFGKLPYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTXDDXG -EHATXRGSSFVTDLEKYNLAFRXEXXXPXIEYCNRCYGVXNVXXWXXYTIPQCYMHVSDYXXPPHNLTLE -NRNNPPEGPSSYRGHMGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDAG -EQEQSAEDNAARVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRMAPLSDAI -FDDLQGTLASIGTAFERSISETRHIFPCRITAAFHTFFSVRILQYHHLGFNKGFDLGQLTLGKPLDFGTI -SLALAVPQVLGGLSFLNPEKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPLIAKNPGNCTAIDFVLNP -SGLNVPGSQDLTSFLRQIVRRTITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTP -SGKRLQILGYLEGTRTLLASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDL -AQILREYSWAHILEGRPLIGATLPCMIEQFKVVWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPN -ASRISWTIGDGIPYIGSRTEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLEARVN -LSVQEILQMTPSHYSGNIVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNV -INYAVALFDIKFRNTEATDIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDNNPLKGG -LNCNISFDNPFFQGKQLNIIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTY -PKIXLXXXFXAFVSYYLGNTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPH -FSIYIGGAAGDRGLSDAARLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLHQIVEL -LVHDSSRHQAFKTTINDHVHPHDNLVYTCKSTASNFFHASLAYWRSRHRNSNRKDLTRNSSTGSSTNNSD -GHIKRSQEQTTRDPHDGTERSLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHN -VKSQDHNSASKREGHQIISHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGI -VSSMHYKLDEVLWEIENFKSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTTPRMLL -PVMSKFHNDQIEIILNNSASQITDITNPTWFKDQRARLPRQVEVITMDAETTENINRSKLYEAVHKLILH -HVDPSVLKAVVLKVFLSDTEGMLWLNDNLAPFFATGYLIKPITSSAKSSEWYLCLTNFLSTTRKMPHQNH -LSCKQVILTALQLQIQRSPYWLSHLTQYADCDLHLSYIRLGFPSLEKVLYHRYN ->AKC37134.1 polymerase [Zaire ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRNCKLPKHIYRLKYDVTVTKFLSDVPVAT -LPIDFIVPILLKALSGNGFCPVEPRCQQFLDEIIKYTMQDALFLKYYLKNVGAQEDCVDDHFQEKILSSI -QGNEFLHQMFFWYDLAILTRRGRLNRGNSRSTWFVHDDLIDILGYGDYVFWKIPISLLPLNTQGIPHAAM -DWYQTSVFKEAVQGHTHIVSVSTADVLIMCKDLITCRFNTTLISKIAEVEDPVCSDYPNFKIVSMLYQSG -DYLLSILGSDGYKIIKFLEPLCLAKIQLCSKYTERKGRFLTQMHLAVNHTLEEITEIRALKPSQAHKIRE -FHRTLIRLEMTPQQLCELFSIQKHWGHPVLHSETAIQKVKKHATVLKALRPIVIFETYCVFKYSIAKHYF -DSQGSWYSVTSDRNLTPGLNSYIKRNQFPPLPMIKELLWEFYHLDHPPLFSTKIISDLSIFIKDRATAVE -RTCWDAVFEPNVLGYNPPHKFSTKRVPEQFLEQENFSIENVLSYAQKLEYLLPQYRNFSFSLKEKELNVG -RTFGKLPYPTRNVQTLCEALLADGLAKAFPSNMMVVTEREQKESLLHQASWHHTSDDFGEHATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNRCYGVKNVFNWMHYTIPQCYMHVSDYYNPPHNLTLENRNNPPEGPSS -YRGHMGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDAGXXXXXXXXXXX -XXXXXXXXXXXXXGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTATRMAPLSDAIFDDLQGTLASI -GTAFERSISETRHIFPCRITAAFHTFFSVRILQYHHLGFNKGFDLGQLTLGKPLDFGTISLALAVPQVLG -GLSFLNPEKCFYRNLGDPVTSGLFQLKTYLRMIEMDDLFLPLIAKNPGNCTAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRTITLSAKNKLINTLFHASADFEDEMVCKWLLSSTPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNNTETPVLDRLRKITLQRWSLWFSYLDHCDNILAEALTQITCTVDLAQILREYSWAH -ILEGRPLIGATLPCMIEQFKVVWLKPYEQCPQCSNAKQPGGKPFVSVAVKKHIVSAWPNASRISWTIGDG -IPYIGSRTEDKIGQPAIKPKCPSAALREAIELASRLTWVTQGSSNSDLLIKPFLEARVNLSVQEILQMTP -SHYSGNIVHRYNDQYSPHSFMANRMSNSATRLIVSTNTLGEFSGGGQSARDSNIIFQNVINYAVALFDIK -FRNTEATDIQYNRAHLHLTKCCTREVPAQYLTYTSTLDLDLTRYRENELIYDNNPLKGGLNCNISFDNPF -FQGKQLNIIEDDLIRLPHLSGWELAKTIMQSIISDSNNSSTDPISSGETRSFTTHFLTYPKIGLLYSFGA -FVSYYLGNTILRTKKLTLDNFLYYLTTQIHNLPHRSLRILKPTFKHASVMSRLMSIDPHFSIYIGGAAGD -RGLSDAARLFLRTSISSFLTFVKEWIINRGTIVPLWIVYPLEGQNPTPVNNFLHQIVELLVHDSSRHQAF -KTTINDHVHPHDNLVYTCKSTASNFFHASLAYWRSRHRNSNRKDLTRNSSTGSSTNNSDGHIKRSQEQTT -RDPHDGTERSLVLQMSHEIKRTTIPQENTHQGPSFQSFLSDSACGTANPKLNFDRSRHNVKSQDHNSASK -REGHQIISHRLVLPFFTLSQGTRQLTSSNESQTQDEISKYLRQLRSVIDTTVYCRFTGIVSSMHYKLDEV -LWEIENFKSAVTLAEGEGAGALLLIQKYQVKTLFFNTLATESSIESEIVSGMTTPRMLLPVMSKFHNDQI -EIILNNSASQITDITNPTWFKDQRARLPRQVEVITMDAETTENINRSKLYEAVHKLILHHVDPSVLKAVV -LKVFLSDTEGMLWLNDNLAPFFATGYLIKPITSSARSSEWYLCLTNFLSTTRKMPHQNHLSCKQVILTAL -QLQIQRSPYWLSHLTQYADCDLHLSYIRLGFPSLEKVLYHRYNLVDSKRGPLVSVTQHLAHLRAEIRELT -NDYNQQRQSRTQTYHFIRTAKGRITKLVNDYLKFFLIVQALKHNGTWQAEFKKLPELISVCNRFYHIRDC -NCEERFLVQTLYLHRMQDSEVKLIERLTGLLSLFPDGLYRFD ->AAV48581.1 polymerase [Reston ebolavirus] -MATQHTQYPDARLSSPIVLDQCDLVTRACGLYSSYSLNPQLRQCKLPKHIYRLKFDTIVSKFLSDTPVAT -LPIDYLVPILLRSLTGHGDRPLTPTCNQFLDEIINYTLHDAAFLDYYLKATGAQDHLTNIATREKLKNEI -LNNDYVHQLFFWHDLSILARRGRLNRGNNRSTWFVHDEFIDILGYGDYIFWRIPLSLLPVTIDGVPHAAT -DWYQPTLFKESILGHSQILSVSTAEILIMCKDIITCRFNTSLIASIAKLEDVDVSDYPDPSDILKIYNAG -DYVISILGSEGYKIIKYLEPLCLAKIQLCSKFTERKGRFLTQMRLSVINDLRELISNRRLKDYQQEKIRD -FHKILLQLQLSPQQFCELFSVQKHWGHPILHSEKAIQKVKRHATILKALRPNVIFETYCVFKYNIAKHYF -DSQGTWYSVISDRNLTPGLNSVIKRNHFPSLPMIKDLLWEFYHLNHPPLFSTKVISDLSIFIKDRATAVE -QTCWDAVFEPNVLGYNPPNKFSTKRVPEQFLEQEDFSIESVLNYAQELHYLLPQNRNFSFSLKEKELNIG -RTFGKLPYLTRNVQTLCEALLADGLAKAFPSNMMVVTERGQKESLLHQASWHHTSDDFGENATVRGSSFV -TDLEKYNLAFRYEFTAPFIEYCNHCYGVRNVFNWMHYLIPQCYMHVSDYYNPPHNVNLSNREYPPEGPSS -YRGHLGGIEGLQQKLWTSISCAQISLVEIKTGFKLRSAVMGDNQCITVLSVFPLETDPEEQEQSAEDNAA -RVAASLAKVTSACGIFLKPDETFVHSGFIYFGKKQYLNGVQLPQSLKTAARMAPLSDAIFDDLQGTLASI -GTAFERAISETRHILPCRIVAAFHTYFAVRILQYHHLGFNKGIDLGQLSLSKPLDYGTITLTLAVPQVLG -GLSFLNPEKCFYRNFGDPVTSGLFQLRVYLEMVNMKDLFCPLISKNPGNCSAIDFVLNPSGLNVPGSQDL -TSFLRQIVRRSITLTARNKLINTLFHASADLEDEMVCKWLLSSNPVMSRFAADIFSRTPSGKRLQILGYL -EGTRTLLASKIINNNSETPVLDKLRKITLQRWNLWFSYLDHCDQLLADALQKISCTVDLAQILREYTWSH -ILEGRSLIGATLPCMVEQFKVKWLGQYEPCPECLNKKGSNAYVSVAVKDQVVSAWPNTSRISWTIGSGVP -YIGSRTEDKIGQPAIKPRCPSSALKEAIELASRLTWVTQGGSNSEQLIRPFLEARVNLSVSEVLQMTPSH -YSGNIVHRYNDQYSPHSFMANRMSNTATRLIVSTNTLGEFSGGGQAARDSNIIFQNVINLAVALYDIRFR -NTNTSDIRHNRAHLHLTECCTKEVPAQYLTYTSALNLDLSRYRGNELIYDSNPLKGGLNCNLTIDSPLVK -GPRLNMIEDDLLRFPHLSGWELAKTVVQSIISDNSNSSTDPISSGETRSFTTHFLTYPQIGLLYSFGAVL -CFYLGNTILWTKKLDYDQFLYYLHNQLHNLPHRALRVFKPTFKHASVMSRLMEIDSNFSIYIGGTSGDRG -LSDAARLFLRTAIASFLQFLKSWIIDRQKTIPLWIVYPLEGQQPESINEFLHKILGLLKQGPKSIPKEVS -IQNDGHLDLAENNYVYNSKSTASNFFHASLAYWRSRKSRKTQDHNDFSRGDGTLTEPVRKFSSNHQSDAK -YYNVTCGKSPKPQERKDFSQYRLSNNGQTMSNHRKKGKFHKWNPCKMLMESQRGTVLTEGDYFQNNTPPT -DDVSSPHRLILPFFKLGNHNHAHDQDAQELMNQNIKQYLHQLRSMLDTTIYCRFTGIVSSMHYKLDEVLL -EYNSFDSAITLAEGEGSGALLLLQKYSTRLLFLNTLATEHSIESEVVSGFSTPRMLLPIMQKVHEGQVTV -ILNNSSSHITDITSSMWLSSNQKYNLPCQVEIIMMDAETTENLNRSQLYRAVYNLILDHIDPQYLKVVVL -KVFLSDIEGILWINDYLAPLFGAGYLIKPITSSARSSEWYLCLSNLISTNRRSAHQTHKACLGVIRDALQ -AQVQRGVYWLSHIAQYATKNLHCEYIGLGFPSLEKVLYHRYNLVDTGLGPLSSVIRHLTNLQAEIRDLVL -DYNLMRESRTQTYHFIKTAKGRITKLVNDFLKFSLIVQALKNNSSWYTELKKLPEVINVCNRFYHTHNCE -CQEKFFVQTLYLQRLRDAEIKLIERLTGLMRFYPEGLIYSNHT diff --git a/seq/clusters_seq/cluster_209 b/seq/clusters_seq/cluster_209 deleted file mode 100644 index dddd17e..0000000 --- a/seq/clusters_seq/cluster_209 +++ /dev/null @@ -1,299 +0,0 @@ ->YP_009553738.1 Cap [Guangxi orbivirus] -MSAAHAVIHVARGFETQLANVYLPVIKLSGKEDLNHLWRIIGQYNTDVYVTGHLTRWTLRQLRAFCFIFV -SRRGQIVNLMDGPAPQDISFPNNYPKDSLSKDFEIKIGVDRMHLRKRFGNLLRGWTMKFATEFHGCEAET -LMMADPRRHTIYGLPPSPPAIGIDSAVYEPYLNDLPTDEKLVSLLDYMLFSADVVYYVGSGDLRTVMKFR -HKDLARFNRVKWICIDPISPVSPAPNIICYKSMITHPGQLRELKLDGDGLEHMLIWDVRSDKTGRTPEEW -EIVTQNEDAMGACIALYNRDWLALACVKYRIPAGSRAPVGVFTSMLVPQPSAPTTMYELRSIMRLEGFSH -VDRSHIPTARDLVVEHSDCIRLVQNFHGAARGKMLKKSLLEYLHISRVDGLEHRSGKPRVDLFYLTNKCN -SNKKKKIHEVLALSNVATVWIGEETLTGYDDFKFSSQTLMLRYSSKERMVLDGNGVILYLMWKGAFKEEP -RKIIYDPSWAMKFGVVMRRSYGEDIVPDVSLCRFVGLRRLSTQYRLNTDFIHRRADVLKRLGLDVSGHLF -VALVSGAYCFDMHWWVKMIKEWSVLSERDKLMSLANAKADIIEWREENANAPWHRPEDLAAALSLVSSLQ -IPVVSNTDIAKWLEILR - ->YP_009507720.1 VP4 [Orungo virus] -MEPFAVLHLSAKLAPLVREGFIPYVDLDNIKTLNDLWIEIGKCSSDVYAVGRINRWTIRQLRAYRFIFVS -EKRTITLKDIKITPDVPIRCADGLDLKLLETTIGRKRLVLRKTFGDILRKYALSQSVHLHGSEAETLNMA -DPTIHHVKGLPMIAPNHLNPTKPWSDLDDTETDEKLVSMLDYAMYSAQEVHYVGSGDGRTIRLFAKRDKK -RFDRAKWVCYDPIMTDIGLTNVIAVKHYVNTFRDMLPYLNTGDRVERLLLWDVSGDAAKHDRFWEAQRTE -EDRRGESVAVSLTEYFALAIIKHRIPIYEEKYRCTTSWIVPQPGAVREMYEMRNIILLNGFSRVNRAHIP -QAGVMEIEADVLREMVRNFHGAGRGRLLKKSLYESLHIHQVDGLEDSEDGARSDLFYLTNQRNVNSIQSI -RRVLGNSTVSTLWVSRERVFEYDDFNFDRSALMLWHRGREHLLFDGNGAVLYLMWQHGDRYRKDVNYDPS -WAEHFAVLIREPQPWRPVPDLSLCRFISLRRVSTVIRIRGDIHRKSDQVKRLGLDLSGHLFVTLISGAYL -ADLRWWFRMIIQWSKQRRQEKIDDLAKVNAEVVEWKEEKADEPWHRKEDLIAALIAAKSITGDQHIDGWI -AELRDME - ->YP_009507709.1 VP4 [Lebombo virus] -MVEPHAVIYLTAEFEPLLHECYIDVWRLKGNETLNDVWLENGRFSSDVYALGPIVKWTIRQLRAHRFLFV -STSKKIRVKDCEIAPDVYITRETLLKGMKAVETEIGRKRVRLRKSFGDVLRTYALRNAVVLHGSEAETLM -VADPKVHQVYGLPREIPNDMQPDKTWTDADDSPTDEKLVSMLDYMIYSAEEVHYVGAGDGRTLAEFKKRD -PNRFRRVQWYCYDPIFKMEDVNVHGLRKLVRTSKDIELTPGRKDRVLLWDVSADSENRADPRAWERERLL -EDERGACIALALRTDFSLACVKFRIPNRRTVVLPTSMIIPQPGAHEMMYECRNIMRLEGFSRVNREHLPT -VVTRDIDCDALRGMVRNYHGRWRGRVLKRVIFEGLHIMKQNGLDWEGELPRSDLFYMTNRANVGREVDIE -RVARESVISTVWTSALQNFDYDDFKVHRNKLMFWNVGNDRLIFDGNGLMLFLMWRYPGICKKTVNYDPCW -AERYGAVIQEAIPEPPIPELSLCRFVGLRKQSSWLRLNASRAHYKSDEVKQLGLDLSGHLYIALMSEGYV -ADLRWWFRMILEWSAQDRAKKIADLAKLNGEVIEWKEEKASEPWHKREDLIAALRAFISFSPYHLVPVAS -IIRHLDGLRNA - ->YP_009507704.1 VP4 [Eubenangee virus] -MAEPHAVLYVTSELEPLAELAFLPKWELSGDESLNELWLKNGCFGQDVYCYGRIAKWSARQLRAHGFIFI -STKKSIRLKDCMMPVDIRIPLDFLIKYDVKKFETFIGRKRISLRKSFGNILRRYALSKANYFHGSEAETL -NIANPRIHHVKGMPRDPPTHYSWHNKIMPDDDEGTDEKLVSMLDYMMYSAEEVHYIGSGDLRTLYMFKKR -NPRRFNQATWHVYDPIAETTEMSNVIVHKEMVTHHGQIMANINVLKRVERIFIWDVSGDRGPMNSEEWEE -KRDREDRKGESMALELEGAFSLALIKHRVPQHMDKYWCTTSALIPQPSAPKGMYELRNIIRLNGYSYVNR -SHIPPHAMVRLNTRDMQAMCERFHVSGKGKKLKKLIFEFLHIEREDGLAADLQVPRADLFYLTCAENRTR -WEDVKTTVMASQISTLWVGKNRLFDYNDFRVERSEVMLTFSSREVRVFDGNGAVLYLMWKYPYMFDKTLN -YDPAWAANFAVMMKEPIPQPAVPDLSLCRFIGLRIASSIVRLNNPGIHAVPDELKAIGLDLSGHLFMTLV -SGAYLADLRWWLAMILEWSSQDGEEKKRDIERAHAEVIEWKEDMAFKPWHVRNDLIAALREYTKYCTERE -KPSLTGWIMHLQNP - ->YP_009507694.1 VP4 [Equine encephalosis virus] -MEPCAVFHLSGNLTHLLPEVFLPIWDLSRIKVLNDLWIERGKWVSDVYALGDVSKWSIRQLRSHRFIFIS -TNTRVAVRGEWIHPDIKIPRDKANRLSAKELETIIGTQRLKLRKKFGDMLREYAMVTCVEFHGSEAETLN -FVDPRKQRVFGLPRTPYTYNNPKKTWRDAEDQGTSEKLVSMLDYGVWSADQVHYVGSGDLRTLKQFAQSD -PKRFRRIEWFCYDPISPAGDLSNVYTFKRCVTSPSDLNITKRDCANIERVFLWDVSTDKGSLDSIEWRKK -REKEDRLGEAIFRAIAGMFSYGIIKHRVPEGNEDYCIYTSDLLPQPGAPHDMYELRNLVMGRGHTWVDRS -HLTQPQFKWVNPATCRRMVIDIHGKHLGKIEKKLLYEYLHITRRDGLTHEGQGKRADLFYLTNRCNTPYK -ERIVSVAKVSEIATLWVSRMTTPDYDDLPMSRSELMLRLSGNGKILLDGNGAILFLMWRYPNRFKRTTNY -DPAWAECFAVSFREDIPEDPIPELSLCRFIGLRGESSRIRLNAVKYHFVPDMLKNMSLDLSGHLYITLVS -GSYVADLEWWLHMILRWSQKNAEEKVSSIKRLKGELIEWKEEKANSAWHLREDLIAAFQAFRAHCDIFGI -DDDHIQPWVSAARDIS - ->YP_009507731.1 VP4 [Warrego virus] -MPEPRAVLYVTQDLEHLLSDVFLPVWKLNGTETLNDLWLANGKYATDVYAYGVIQWLSYRQMRGHNFILI -SSKRKIKLSDAIVNADIMITSETVQSGNSKVFETELGHKRIKLRQRFGNILRQYALMSATYLNGCEAESL -NVSDPKQHICYGLPEKPPHYDNNYVIDYTIDGATDEKLVSMLDFYIYSADEVFYIGSGDLRTMLSFKKRS -PTRFNRIVWYCFDPITPNVSLPNVYTFREIVTSKEQLLRHCKGNNVERCLIWDVSCDRGGLDDSEWEKQR -MNEDRLGEEIARDLSSVFAYALIKHRIPQFCERYLCYSSYLFAQPGAPRDMYELRNFIKLKGFIHVERIH -LREPWIRRIEVKQAVTLVENCHGRDKGRVLKKRMYEFLHIIPYDGLYSEGTPRADLFYLTNRRNQGAMNA -ISRIISTSEIATLWVGRRVLVDYDDFTYDRNSAMLKFSTERTRVLDGNGAVLFLIWRYPDVFKKGLSYDP -SWAMNFMVAIAEPVPDPPIPDISLCRFLGLRTDSSMLRLNSPNVHKVPDILKNMGLDLSGHLYITLVSNF -HLTDMRWWFQMIIYWSSKDKAGKLSDLRKSKGEVIEWKTEMEEKPWHVRNDLIAALSEYKKVSEHVLQIE -EWIQYLRTL - ->YP_009507676.1 VP4 protein [Corriparta virus] -MAHTVLYLSGRLAPITKDLFLPTWQIRKGSDLNVLWLENGQYFSDVYAIGDISHLTLRQLRGHNFLFILH -KKDRVFAKDGEVPRDIEIDDAQLRNSSFPLPKQLERLIGHGRISLRRFFGNAVRKYAFSVASEFNGSEVE -TVMQADFKKHKVYGLPENPPAIGIDKPRDMPYDDDRGTDEKLVSMLDYAVMSADVVYYVGCGDMRTLRLF -ARQDPKRFSRAVWLCIDPIVPKSWHKNVICMNQLIESPNDLRGLYSDKKGESILLWDVRSDRGVMTDWEW -EDLCHEQDDLGDRVALKNSDWLAYSIIKRRIPKFRSSLEMWSSLLIPQPGAPEDMYELRNVIKGNGFSYI -DRSHIPEPKSRRVSINQMRKLVLYHGKHRGKKLKISLIEYLHITRRDGLSVVSACSAHLFYLTNKRNLDR -NLAIAEVVRAAEISTLWIGNQTPVGYDDFTWDRRDAMTRYSNQDTMVLDGNGFMLFMMWKIDSKLKYTRY -DPYWAENFAVIFKKRTKFEPVPDVSLCRFIGIRTESSMLRVRTDMIHHAPDVLKDMGLDLSGHLYVTLAS -GRYCTDLTWWFDMILKWSCEEKEAKIRMLNESGAEVIEWKKEKEGEPWHILPDLIAALRQAEIQDFGGLA -CQYRKWVQYLRAI - ->YP_009345882.1 VP4 [Orbivirus SX-2017a] -MPEPHAVIYVTKELLPLIENSYLPIWYLSGKESINELWLENGKFAADVYAYGLINQWSYRQLRGHGFIFV -STQKKIQLSDVLMDVDVRVSKKIAAERDVKAFESEIGRRRLRMRKNFGDILRDYAFRNAVVFHGSEAETL -NDANPRLHKVCGLPEQPPYYDDYTARFEPFPDSATDEKLVSMLDYALYSAEEVHYVGAGDLRTLFKFKQR -SEGRFKRVIWHVYDTILPTHAESNVYNHRHYVDRPKDMLQYMNMTKRVERILIWDVSSDRGEQTDDEWDR -TRFAEDRLGEEIAMEMSGTFSIAIIKHRIPFREQYNCISSFLIPQPAAPKTMYELRNVMLLRGYSHVDRK -HIPQAKIMSVQSHLAQKMVELYHGVDRGKRLKKMLFEWLHIGHVNGLYYEGEEARADLFYLTNSLNHRDW -GRIKKVLRTSMIGTLWCGRTQLYDYDDFVVERPKAMLELSYRDVRVMDGNGAILFLIWKHSEIYKRGLNY -DPAWAQNFIVTLREPIPNPPVPDISLCRFIGLRVESSLLRVRNPTMHEVADELKKLGLDLSGHLYVTLMS -GSYVADLMWWFEMILKWSSLGAEEKKAQLRSSGAEVIEWKDQMADRPWHVKNDLIAALREYQYKMARREP -VQVQSWIDLLRDL - ->YP_009158903.1 capping enzyme [Chobar Gorge virus] -MSRIHAVLHLSRDAHTILKDSFLPRLDLSDRPDLNTLWIRNGQFSGDVIATGPVDSYTLRQLRGHGFIFI -GTRGARIRTKTCAVQPDIILTKNMTTKQAETKIGEIRTRMRRVFGNALRQYASRLCTVFHGSEVETLVAM -DPLTVEVCGLPKRPPVGTSRSRISYTHDSGVDEKLVSMLDYALFGFRTVIYVGSGDGRTIKRFFQQHPKR -AASLRWICIDPIQPVYTKHVLHYREEITHPAQLQRFRSHDPTIMLWDVRSDRGELDDLGWEELTAREDAL -GRRVALENREWLNAALLKTRVPFSDFTIETSELLFQPGAPAEMYEVRNWLQLDGPGLRRDWLGPPRELVV -DRAWLVEGCQRLHGRDRGRRMKTEMIAYLHIKRMNGLDDTRVGQRADLFYLTNAQNPAELVEEAISRSTV -CTLWAAGDPTYDYDDWVYDPRLAMLKHSTQERMVLDGLGFMLFGMWQGWIEETLSFDPWWAANFIVIFPR -SRFPPVPDVSLCRFVGLRTASSHLRIREFDAHAKADLVKSTGLDLSGHLYVTLHTGWYTCDLVWWFNMIL -EWSVQDADSKRRDLDAAGAQVLEWKEDRASDPWHLRCDLVAALRIYQEREERRSPYLASLVTPWVEKLRT -GAGAP - ->YP_009158884.1 capping enzyme [Wad Medani virus] -MDPHAVIHISSSLAHLLADAYLPVWRLTGTETKNQLWIQNGTYATDVYATGPIRGMPYRVARAHGFLFVG -GANDKVTLADATLTPDIRVRNVRSMKELETQIGRQRLRLRQRFGDLVREYAWTRAEFFNGSEIETLARDL -ACEKRILGCPQMPPLANSLSDEIRPLQGDGPTDEKLVSMLDYATFRVDTVIYVGAGDGRTVKQFRKKEPE -RFSRIRWILIDPIICEAFDTNVSCHKDCIRQEKDLLPYRTARGTTLLLWDVRTDRGEMSDRHWESQCKHE -DRLGVSIAEGNRAWISLALLKTRVPFDDAMDVLTSALLFQPGAPQDMYEVRNLLVFSGRPWLPRPTRQRV -DLNRVRLGVQMYHGVMRGRVLRRLNCQYLAIETSDGLKNEHGPRADLFYLTNEGNGPPESLINVALKSEI -STFWIRQSRTLSYNDRPFPAQMLMLRCSTDSHMVNDGLGFILWMIWKGYCSPTPSFDPSWAASFAVVWRR -VYTPCVPDVSLCRFVGLRSVSSTLRIREPHAHEYSDLVKSMGLDLSGHLYVTLVSGAYISDLRTWINMIL -KWSNLPAQEKVAQLEKHRAQVIEWKEDRADQPWHLREDLIAALRAYARLSGDDVSVWIKLLN - ->YP_009158880.1 capping enzyme [Chenuda virus] -MIEPHAVIAVAPSLRRFLRDVHLPIWRPATAELNELWRQNGRYQTDVYFDGSPESLTVRQARAHGFLFVG -GSAERFALKDVAIRPDIVIRRACDAKDFETQIGQSRVRMRRSFGNIIRGYAWTRATYFHGSEVETVVRDH -LVEKRIYGLPPTPMLAAPRTQCLEVPSACDGPVDEKLVSLLDYTCHRVKNVIYVGAGDGRTLLSFRRKDP -TRAQAIHWTLIDPITPDIPHDNVLVVRTAVRQPSDIVPYRRDGPTALIWDVRSDRGTLDDDSWERRAASE -DLLGRAVAEGNRWLDISSIKLRIPTGGLLTLRASCVTFQPGAPSDMYELRVIYSHQRDWLPVSNITLDLD -WIRRMVPLVHGRDRGRRLRTSLIQFLHIERRDAFHCIETPRADLFYLTNARNSGADIFTVVERSEVSTLW -ASREPTLEYDDIPVSRTEVMLRCSTETHCVVDGLGFVLLLMFLHELDLETSFDPQWAARFIVVFRRRDLS -AVPDVWLCRFIGLRVASSIIRIRDPGIHRVADLVKSTGLDVSGHLFVTLVSGAYAVDLICWFRMIVEWSV -LGREAKLKQLAQAGAEVIEWKDDRADQAWHRPEDLRAALKIFSRMCPSLSAFVEARLDDLRIIERTQGKR -SP - ->YP_009047262.1 VP4 [Umatilla virus] -MSHAVIHLSKSLEHLASKLFLPTWKIEGDKTVNELWIENGRYFTDVYAIGRLHALTIRQARGHSIIFVVY -GDDSTRLKDAIAPRDIHIPKGSLDLRGPEVHKMLERIIGAKRVRLRAEFGNVLRKYAFKNCITFHGSEIE -TVTKSDPRKHKVYGLPELCPMITRFGVMDNEIYQSDAACDEKLVSMLDYAIYSCDVVYYVGCGDLRTLRI -FQKRDPQRFSRVKWVCIDPIAPNYGSSNVKVYPCVFKEPKDLLKFKQTGKEHMLIWDVRSDKGDASPWEW -EDICREQDALGEETARKNKSWLHMAIVKRRIPQYQEQLTLYGSCVLPQPGAPQNMYELRNVIIHHDAPSH -IDRKHLQEAQLFYVNVKKAREMVAKFHGLDRGRKLKRSIIETLHIMRDNGLTSQHQEKRCDLFYLTNKRN -EDYQMQISQILRESEIATLWVGDTKPYGYDDFKMDRRSLMLRYSAEDRLVIDGNGFMLLLMWQGEIDARA -IRYDPFWAEQFCVIVKYTDFERNLPDISLCRFIGLRAESSLMRIRSDHLHERTDLVKRLGLDVSGHLYVT -LVSGRYCVDLLWWFRMIMEWSSMNAKDKLQRMREKNVEVIEWKDDKVDEPWHVREDLIAALRHAKEMANL -SSMDVHRWIVYLHGFALK - ->YP_008719927.1 capping enzyme [Changuinola virus] -MPEPHAVIYLTNELVQVILHAFLPKWRLTGAETLNDLWLQNGLYHYDVYAYGEISRWSYRQLRGHGFIFV -STRKRIKLADGEVEVDILLKSTFIHGTTKQLETEIGRRRLRLRKGFGDILRKYAFMVSKVLNGSEAETLN -DADYKIHRVCGLPAKPPVYGDGCEISYRRDGGTDEKLVSMLDYMIYSANEIHYVGCGDLRTLLKFKKRSE -KRFNQVEWHVYDKIVKYIDLPNVRIHNHFVYKASDIMKNVDVSKKRERLLIWDVSTDRIAHTNLEWDAHR -GREDRLGEVIATELEGMFALALIKHRIPTNIESYRCVTSHLIPQPGAPHDMFELRNVIRLSGFSWVDRTH -IPAYKYINVCSETCRKMVVKYHGTDRGKELKKRLFEYLHIERVNGLHQKNEESRSDLFYLTNVVNKNDVK -LIDGVVKTSMISTLWVSKRELYDYEDFPFERNEIMLRFSSRERRVFDGNGAVLFLLWNYPHIFTRNDHYD -PSWAMNFAVIMREPVPDPPVPDVSLCRFIGLRAESSQLRLNSPSTHEASDVVKELGLDLSGHLYVTLMCN -GYIADLMWWFAMILKWSAQDRDKKMYDLKISKATIIEWKEEMASKPWHVKNDLIGALREFGYQKKQLEPQ -ALSWIEALRMT - ->YP_008719907.1 VP4 [Mobuck virus] -MSTPHAVIYVAKGFEHQLNETFLPVIRLTGKETLNTVWRILGKHQTDIFVTGNIIPWSLRQLRGLNFIFV -GRKGQKIQTRDGIAPLDIAFPAIYPKDASAKSFETKIGTDRVGLRKKCGDLVRKWCTTFAVEFHGSEAET -LMCTDPRRHRVYGLPIPPPGLGFSTTVKLPYLDDGPTDEKLVCMLDYFVYDFDVIYYVGAGDMRTLMKFR -SKDIERFNRTTWVCIDPISPACSYQNVVVMPILLETPEQLRGLMRFGEGLTHGLIWDVRSDRGDSSNEEW -EMRARNEDIMGTLVAMANRDWLCMACVKLRIPMGEEVFTVCTSLIVPQPMAPATMFELRTILKLNGYSRI -ARDHLIDARDVTVTHDECVTLVRNFHGFSRGRKLKRAILQFLHIMRADGLNHRSVLPRVDMFYLTNRRNN -SNIPLINYILSTSAFATVWIGEETQTGYDDFRYSSTALMLKFCNEERMVLDLNGFILYLMWKGCLGDEGF -RESYDPAWACKFGVVCMRRGPIEIVPDVSLCRFVGLRTHSTMLRLNTDYVHKRPDILKRLELDVSGHLYI -SLVSGAFCFDLLWWIKMIRDWSSLDEVGKLYLIAQCKAEMIEWREEGANEPWHKLEDLRAALILASTLDL -SGVRRRDFERWIDMLH - ->YP_008658423.1 Cap [Wallal virus] -MAEPHAVLFVTKELVPLLKNSFLPVWELKGNETLNELWMQNGKFASDVYCYGNVSPWTYRQLRAHGFIFI -STKKNVTLKDGALNVDIRIPTEVFASNDLKQLETYVGKKRLTLRKQFGNILRRFALAKASVLHGSEAETL -NVANPRVHKVRGWVRCPPIYGEFAIAPWEVSDDATDEKLVSMLDYFIYSAEEVHYVGCGDLRTLHKYAQR -NKKRFSNILWHCYDPIAPADPNVNVRVHRTIVKSGSQLMEQMNMLKRVERVLIWDVSSDRGNKNVVEWEQ -QRSEEDRLGESIAKELEGTFSMALIKHRVPQLVDEYWCSTSILIPQPMAPKDMFELRNVMKLSGFSYVKR -DHIEKWREMKIDVRRAQNFCVKYHRTNTGRKLKKMLFEYLHIEQEDGLRAKLDEARADLFYLSNRRNQAF -WHCIRDVLKVTQIGTLWVGKRRLFDYDDFVVSRSTVMLQCSYRDVRVFDGNAAVLYLLWKYPEMFDKTWS -YDPGWAQNFAVIIHDSCPEPPVPDVSLCRFIGLRLQSSMIRLNSPSAHEMADHLKKIGLDVSGHMMMTLM -SDAHVADLYWWIRMILEWSSQDAAGKLRDLHRSKADVIEWKEEMEGKPWHLKNDLISALIEYGRFCREGE -RESINSWIEHLRNL - ->YP_003896060.1 VP3 [Great Island virus] -MFGPHTVILLHQSLRRYRKELYGPSFQIRRDWTLNDLWRENGRYATDVYAEGDVSWLTIRQVRAHGIIFV -GPAGARFPMRDAVLSPDVIIRSVRDAKDLETQIGRGRIKLRRTFGNAVRNYAWARATYFHGSEVETVTHG -DIRGKKLFGLPPRAPLAYPEVDVEFASDGPVGEKLVSMLDYAVHGYDTVLYVGAGDGRTVTQFSRKDPER -FRRTRWVLIDPIVREEGWPSNVTTSRRRVDTPRDLARYQCNGATLLLWDVRSDRGTQADREWEETCRRED -DLGESVAIANSAWLDSALLKRRVPFSDVLRCTVSVLCFQPGAPADMYELRSFLALSGHEWLGEPMSVTLP -TEPLRRRIQRYHGKDRGRSLRTRLIQTLHIEQCDGLQSELTPRADLFYLTNRRNTPESVFRVVSRSEIST -LWVGARLLSYDDYTMSGAEVMLRCSTESHMVVDGLGFLLLLMLWGEVANTLSFDPGWAGQYAVVFRRRRV -PPVPDVWLCRFIGLRANSSLLRIREPCAHDTPDLIKRMGIDLSGHLYVSLVTDKYVADLRTWFQMILTWS -VLGAEEKKDAIARAHGEVIEWKDQMESKPWHLREDLIAALRAFRLLAPEHLHPYIDDAVGHLRVFPDPGR -RTQEG - ->YP_003240111.1 VP4 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MPEPHAVIYVTEELTHLIKESYLPVWEIRGDETLNELWLTNGKYSSDIYAHGKIETWSYRQLRGHGFIFV -STRKTVQLSDVLMNVDVRIPKDIIKGVDVKAFETIIGRRRLKLRKMFGDVLRSYAFRKAIILHGSEAETL -NDANPRLHKVYGLPKEPPMYHEGLCAQGPFLDEPTDEKLVSMLDYAIYSCEEVHYVGSGDGRTVMCFAKR -SPERFHRIVWHLYDPIAVDLKYNNVYVHRMFVDGKRDIIRNVNLLKRVERLFIWDVSTDRGDMSDREWER -HRFAEDRLGEEIAMSTSGLFSMAIIKHRIPRFMEQYHITSTYLFPQPGAPSDMYEVRNVMRLRGYSHVDR -TRHQDAQVHTIVQKDVCKLVEWYHGREKGKRLKKMLFEYLHIMRENGLYAENEEPRADLFYLTNRCNYDM -WREQKRVLRVSQIATMWVGGDQLFDYDDYSVPRALLMLECSHADVRVLDGNGAVLFLIWRYPDMYKRGLN -YDPSWAMKFVVTMKEPVPDPPVPDISLCRFIGLRVESSLMRVRNPRVHQVNDELKRMGLDVSGHLYVTLL -SGAYATDLLWWFKMILEWSSQNKEEKIRQLKASKAEVIEWKDEMAERPWHVRNDLIAALREFKFKIQKRW -DAPVESWLDLLQRL - ->YP_052969.2 hypothetical protein BTVs4gp1 [Bluetongue virus] -MPEPHAVLYVTNELSHIVKDGFLPIWKLTGDESLNDLWLENGKYATDVYAYGDVSKWTIRQLRGHGFIFI -STHKNVQLADIIKTVDVRIPREVARSHDMKAFENEIGRRRIRMRKGFGDALRNYAFKMAIEFHGSEAETL -NDANPRLHKIYGMPEIPPLYMEYAEIGTRFDDEPTDEKLVSMLDYIVYSAEEVHYIGCGDLRTLMQFKKR -SPGRFRRVLWHVYDPIAPECSDPNVIVHNIMVDSKKDILKHMNFLKRVERLFIWDVSSDRSQMNDHEWET -TRFAEDRLGEEIAYEMGGAFSSALIKHRIPNSKDEYHCISTYLFPQPGADADMYELRNFMRLRGYSHVDR -HMHPDASVTKVVSRDVRKMVELYHGRDRGRFLKKRLFEHLHIVRKNGLLHESDEPRADLFYLTNRCNMGL -EPSIYEVMKKSVIATAWVGRAPLYDYDDFALPRSTVMLNGSYRDIRILDGNGAILFLMWRYPDIVKKDLT -YDPAWAMNFAVSLKEPIPDPPVPDISLCRFIGLRVESSVLRVRNPTLHETADELKRMGLDLSGHLYVTLM -SGAYVTDLFWWFKMILDWSAQNREQKLRDLKRSAAEVIEWKEQMAERPWHVRNDLIAALREYKRKMGMRE -GASIDSWLELLRHL - ->YP_460041.1 VP4 [Peruvian horse sickness virus] -MSTTHAVIYLAKGFGPQLKDVYLPILKLSGRESVNDLWKWVGQYNTDIYATGPLQKWSIRQLRGLGFIFV -GRRGQSILTRDGTAPLDIIFPQNYPEDSTSKSFETKIGIDRVYLRKKFGDILRNYALKFAVEFHGSEAET -LMVTDPKRHKVFGLPELPPALGIQTDFNAPYSDDNHTDEKLVSLLDYFVYSVDIMFYIGCGNLRTLLKFR -HKDETRFNRVTWICIDPIVPESPAPNIICYPNMITHGGQLRALKKSAENFEYGLIWDVRSDRGSLSGDEW -DSITRKEDELGVYIALANRDWIHMACIKFRIPTSEQSFNVYTSILVPQPSAPITMFELRNILVLDGFSTV -DRSHIPKARTLEIKHVDCCSLVRNFHGNSRGRKLKKAILEYLHITRSNGLEHKGTLPRVDLFYLTNKRNR -EKMQEIGEVIEKSVLSTVWIGPELRSGYDDFTYPTQTLMIKYCKKEKMVIDGNGYILFLIWKGVLGDEGF -KVSYDPSWAAKFGIVCLRRSAVDMVPDVSLCRFVGLRRHSTMLRLNSDQVHRRPDILKRLGLDVSGHLFV -SLTVGGFCFDLLWWIKMIKEWSILPEAQKLFAIAEAHAEIVEWREENANEPWHRPEDLRAALSLISTMDM -PLLRKSDYTRWMDMLR - ->YP_443928.1 VP4 [Yunnan orbivirus] -MSSTHAVIYLARGFEPQLADVFLPILQITGKEDLNSLWKAIGMYNTDIYCQGTLQKWTVRQLRGLGFIFC -ARRGQTILTLSGPAPIDIVFPSNYPRDTNSKEFETKIGVDRVHLRRKFGNILRDWAFKFAIEFHGSEAET -VMMADPRRHTVYGKPTSPPALGIPSQIDTPYSSDHPTDEKLVCLLDYMTYSADVVYYVGSGDLRTLQKFR -AKDQKRFERVTWFCIDPIVGESFAPNVICMRLLITDPSHLRGLKKPGNLEHMLLWDVRSDKTGHDSEAWE -RITAYEDDLGQYVTMCNRDWLSLACLKLRIPVTKQIFNVFTSLIVPQPNAPITMFELRSIVRLNGFSHVD -RSHIPLGRVITVQHADCCTLVKNFHGSMRGKRLKRNLLEYLHITQRDGLEHRSGLPRVDLFYLTNNCNRN -RVDIIDEILETSALATVWIGNAVHTGYDDFVYSPQKLMLRFCSQQRMVLDGNGFVLFLMWKGALGKDGYK -LSYDPAWASQFGVVTLRTYPTDLAPDVSLCRFLGLRRYSTMLRLNTDHVHRKPDILKSLQLDVSGHLLIS -LISGAYCFDLYWWIKMIRDWSVLPETDKLMMISQYKAEVVEWREDKANEPWHQVEDVRAALILAAELHLP -AVCASDYLRWLEILR - ->YP_052936.1 minor core protein VP4 [Palyam virus] -MEPCAVLHVSGDIVPLIEKGFLPIFDVNRISTLNDLWIERGKFGTECYCYGTLTKWTIRQLRGHRFIFVS -TKKRIHLKDTIITPDVVISQQVLSGGLRELETIIGFKRIGLRKNFGDQLRKYALLSCRFLNGSEAETLNV -AEPNVHKVRGLTMGPPTHLNLLGSYENDGPTDEKLVSMIDYITYSADQIYYIGCGDLRTLKLFAKRDKRR -FNRVRWFCFDPIAPESFAENVLVRKTKIENYKDLKKYMDSGSVERVLIWDVSGDGKKGTIEWEQQRASED -RMGEQIAKGLTKFFSFAVIKHRIPKDNEVYSCYSSLIIPQPGAAQDMYECRNIIKLEGFSKVDRTHLGEA -RIHYVSPKDLRLLIHRFHGFGRGRKLKKSIFEYLHIERVNGLDSLDEPRADLFYLTNHRNAARVEDIRRV -VEQSTISTLWVGKRPLYDYPDFRYPRCDAMLRFSNITNRVFDGNGALLYLMWKYPEKFSKKINYDPAWAE -NYCVILKEDIPRIPVPELSLCRFIGLRTVSTMMRVQTNSVHQISDKVKKMGLDLSGHLFIALMSDSYISD -IMWWFDMILNWSVLNREEKKRKLFEMNAEVIEWKEDRANEPWHIKPDLIAALMEFSRFINVMMTDEACVT -KWILYLRSKE - ->YP_052957.1 inner capsid protein [African horse sickness virus] -MEPYAILYVTQEIEYLLKDSFLPKWELDGIKDLNTLWLERGRMACDTYAIGKIEQWSVRQLRAHRFLFIS -TKRKIRLKDCTISPDIFILKKELKEYDMKRFETLIGRRRVTLRKSFGNMLRAYAFQHVTVLHGSEAETLS -YADPKRHVVKGQPKAAPMYDHPDRWWRDVDDGPTDKKLVSMLDYIIYSADEVYYVGCGDLKTLEQFASRD -RKRFDRIKWICIDPIAPETSYANVKVVREKVVSARDLKHYLMRDEVERLLIWDVSADGLKGTIEWEKQRF -KEDRNGENIAEALCADFALALIKHRIPEESDEYICRSSWLIPQPGAPITMYELRNLMRLDGYSHVERKHI -PRAYARKIDAEVARRLVEEYHGEDVGRLLKRSLYEDIHIERADGLTDGDERTRADLFYLTNMRNVAFMHD -VYRVVEKSFISTLWVSNRQNFTYDDVPVNRNFITLRFSKKNRRVLDGNGAILFLMWQHPKDFPKTMNYDP -SWAENYAVIFYHALTSPVPDLSLCRFIGLRLMSSTLRINSDRAHQVTDILKKLGLDVSGHLFICLMSNSY -VADLDWWFRMILEWSVKDREGKLAALSEAKAELIEWRDEKADEPWHIKNDLLAALFEFIYFAKHFDINER -YVESWIQYLRNA - ->YP_052945.1 VP4 [St Croix River virus] -MDPSHVVLYLSRSLCRYLGAGADLLTLRGAEDPQWLWQASSTRFKDFYCTGPIHNFSIRQLRGYSFLFVV -YEGDRVRCLDGDVPRDVVITPHQLSSLKGQKDLESLIGKARVPLRRTFGDEIRKHAATFCDSFSGSEAET -IMMLRTDRYHISGRTPNPPNSNFPYPSLPFKGDRECGDKLVAMLDYLIPHAEYRAIYVGSGRGDTVQSFA -RRSPRRFSLGQWVLIDANVSWEAPPSNVLLYQGYVHSVDDVAQFLVPGALNQILIWDVRTDNVGLSKFQW -EERAMEQDMLGENTAEALQSELAAALLKHRIPQFSDNRDEVFTSTLLPQPGAPAGMYEMRNFCRLDGPRT -FNRSIPTAQRHPIKYDECRAMVEELHRSRRGANLRRRIFEFLHIQDEDGLLHFGEKTAHLFYLTNSCNEE -HIGDLRAIVRSAGIATLWVGGEIFGYPDFSYDRRLAIADFCTKDRMVYSGLGYILLLMWEGSVPTSLPFD -PWWADSFAVIVKRREVPFLPDVSLCRFIGIRERSSQLRLRFREVHDVMDVVKSLGVDASGHLFIGILSSN -YVFDPYIWVNMIMLWSQQSRTDKIRDIESHGAAVIEWDRSHMDKPWHSFDDLTASLVALGKILPPQRYRS -FLSRVRGWLDSYA - ->sp|P33427.1|VP4_BTV2A RecName: Full=Core protein VP4 -MPEPHAVLYVTNELSHIVKSGFLPIWRLTGVESLNVLWLENGKYATDVYAYGDVSKWTIRQLRGHGFIFI -STHKNIQLADIIKTVDVRIPREVAKSQDMKAFENEIGRRRIRMRKGFGDALRNKLFKMAIEFHGSEAETL -NDANPRLHKIYGMPEMPPLYIEYAEIGTRFDDEPTDEKLVSMLDYIVYSAEEVHYVGCGDLRTIMQFKKR -SPGRFRRVLWHVYHPIAPESSDPNVIVHNVMVDSKKDILKHMNFLKRVERLFIWDVSSDRSQMDDDEWES -TRFAEDRLGEEIAYEMGGAFSSALIKHRIPNSRDEYHCISTYLLPQPGADADMYELRNFMRLKGYSHVDR -HMHPDASVMKVVSRDVRKMVELYHGRDRGRFVKNRLFEHLHIVRKNGLLHESDEPRADLFYLTNRCNMGL -EPSIYEVMKKSVIATAWVGRAPLYDYDDFALPRSTVMLNGSYHDIRILDGNGAILFLMWKYPDIVKKDLT -YDHAWAMNFAVSLKEPIPDPPVPDISLCRFIGLRVESSVLRVRNPTLHETADELKRMGLDLSGHLYVTLM -SGAYVTDLFWWFKMILDWSAQSKEQKLRDLKRSAAEVIEWKEQMAERPWHVRNSLIAALREYKRKMGIRE -GASIDSWLELLRHL - ->sp|P33429.1|VP4_BTV13 RecName: Full=Core protein VP4 -MPEPHAVLYVTNELSHIVKNGFLPIWKLTGDESLNDLWLENGKYATDVYAYGDVSKWTIRQLRGHGFIFI -STHKNVQLADIIKTVDVRIPREVARSHDMKAFENEIGRRRIRMRKGFGDALRNYAFKMAIEFHGSEAETL -NDANPRLHKVYGMPEIPPLYMEYAEIGARFDDEPTDEKLVSMLDYIVYSAEEVHYVGCGDLRTLMQFKKR -SPGRFRRVLWHVYDPIAPECSDPNVIVHNIMVDSKKDILKYMNFLKRVERLFIWDVSSDRSQMNDHEWET -TRFAEDRLGEEIAYEMGGAFSSALIKHRIPNSKDEYHCISTYLFPQPGADADMYELRNFMRLRGYSHVDR -HMHPDASVTKVVSRDVRKMVELYHGRDRGTFLKKRLFEHLHIVRKNGLLHESDEPRADLFYLTNRCNMGL -EPSIYEVMKKSVIATAWVGRAPLYDYDDFALPRSTVMLNGSYRDISILDGNGAILYLMWRYPDIVKKDLT -YDPAWAMNFAVSLKEPIPDPPVPDISLCRFIGLRVESSVLRVRNPTLHETADELKRMGLDLSGHLYVTLM -SGAYVTDLFWWFNIILDWSAQNKEQKLRDLKRSAAEVIEWKEQMAERPWHVRNDLIRALREYKRKMGMRE -GASIDSWLELLRHL - diff --git a/seq/clusters_seq/cluster_21 b/seq/clusters_seq/cluster_21 deleted file mode 100644 index 8ff7b3d..0000000 --- a/seq/clusters_seq/cluster_21 +++ /dev/null @@ -1,453 +0,0 @@ ->YP_010085065.1 nucleocapsid protein [Macaua virus] -MSELVFDDPGQLVTSSFNPEVQYQNFKKEYISGMTYEHARVFFLSGKKAKEALTKRSEETVLSNFSGWRI -PIANTHYPGNRNMALPDDALTLHRVSGFLARYLLEKVLSAPEAEKLIIKTKIINPIAASNGITWEDGPEI -YLSFFPGAEMFLESFKFYPLAIGIYKVQKKMMDPKFLEKTMRQKYAGMDATTWTQTKTNEVINAVVVVSN -LGWKKTNVSTAAREFLLKFGIQL - ->YP_010085101.1 nucleocapsid [Maguari virus] -MIELEFNDVAANTSSTFDPEIAYVNFKRIHTTGLSYDHIRVLYIKGREIKTSLTKRSEWEVTLNLGGWKV -AVFNTNFPGNRNSPVPDDGLTLHRLSGFLARYLLEKILKVSDPEKLIIKSKIINPLAEKNGITWADGEEV -YLSFFPGSEMFLGTFKFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEAATWTVSKVNEVQAALTVVSG -LGWKKTNVSAAAREFLAKFGINM - ->YP_010085092.1 N protein [Tahyna virus] -MSDLVFYDVASTGANGFDPDAGYVDFCVKYGEAINLHSVRIFFLNAAKAKAALARKPERKASPKFGEWQV -EVVNNHFPGNRNNPIDNNDLTIHRLSGYLARWVLEQFKENEDAAQRELIKTTVINPIAESNGIRWDNGAE -IYLAFFPGTEMFLETFNFYPLTIGIYRVKQGMMDPQYLKKALRQRYGPLTADKWMSQKTVAIAKSLKDVE -QLKWGRGGLSDTARTFLQKFGIRLP - ->YP_010085084.1 nucleocapsid protein [Anadyr virus] -MIELEFNDVAANTSSTFDPEVAYINFKRIYTTGLSYDHIRIFYIKGREIKTSLAKRSEWEVTLNLGGWKV -TVFNTNFPGNRNSPVPDDGLTLHRLSGFLARYLLEKILKVSDPEKLIIKSKIINPLAEKNGITWADGEEV -YLSFFPGSEMFLGTFRFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEASTWTVSKLNEVQSALTVVSG -LGWKKTNVSSAAREFLARFGINM - ->YP_010085082.1 nucleocapsid protein [Snowshoe hare virus] -MSDLVFYDVASTGANGFDPDAGYMAFCVKYAESVNLAAVRIFFLNAAKAKAALSRKPERKANPKFGEWQV -EVVNNHFPGNRNNPINSDDLTIHRLSGYLARWVLEQYKENEDESRRELIKTTIINPIAESNGVRWDSGAE -IYLSFFPGTEMFLETFKFYPLTIGIYRVKQGMMDPQYLKKALRQRYGSLTADKWMSQKVTAIAKSLKEVE -QLKWGRGGLSDTARSFLQKFGIRLP - ->YP_010085077.1 nucleoprotein [Trivittatus virus] -MSELVFYDAPSTGANGFDPDAGYVAFIAAHAGSYDLSAVRIFFLNAAKAKNALSRKPEGKVSIKFGEWSV -EVVNNHFPGNRNNPIGNNDLTIHRISGYLARWVLEEFKGQDDEAQKDIIRSTIVNPIAESNGIHWDSGAD -AYLSFFPGTEMFLESFDFLPLAIGIYRVKNGMMDVQYLKKALRQRYGTMTADKWMSTKTTVIAKTLKRVE -SFKWGKGGLSEAARAFLSKFNVKIP - ->YP_010085069.1 nucleocapsid protein [Facey's Paddock virus] -MTDFVFNDVPQRATSTFDPEAAYVAFENRFRANLTVDVARIFFLNQKKAKDRLAKTARATVDITFGGVVF -PVVNNHYPEYQRNPVPDDGLTLHRLSGYLARWLIDQCNASPVRMTEIRTKVIIPLAEVKGCTWNDGASMY -LGFAAGAEMFLQSFTFYPLVIEMQRVLKDGMDVNFMRKVLRQRYGTKTAEQWMRDDIVAVKTAFEAINTI -SWARSGFSPAAREFLRQFGINI - ->YP_010084297.1 nucleocapsid [California encephalitis virus] -MSDLVFYDVASTGANGFDPDAGYVDFCAKYGESINLAAVRIFFLNAAKAKAALSRKPERKANPKFGEWQV -EVVNNHFPANRNNPIGNNDLTIHRISGYLARWVLEQYKENEDESQRELVKTTVINPIAESNGIRWENGAE -IYLAFFPGTEMFLETFKFYPLTIGIYRVKNGMMDSQYLKKALRQRYGSLTAEKWMSQKTGMIAKSLKEVE -QLKWGRGGLSDTARTFLQKFGIKLP - ->YP_009667051.1 nucleocapsid [Shuni orthobunyavirus] -MANQFIFQDVPQRNLATFNPEVGYVAFIAKHGAQLNFDTVRVFFLNQKKAKMVLSKTAQPSVDLTFGGIK -FTLVNNHFPQYTANPVPDTALTLHRLSGYLAKWVADQCKTNQIKLAEAMEKIVMPLAEVKGCTWTEGLTM -YLGFAPGAEMFLETFEFYPLVIDMHRVLKDGMDVNFMRKVLRQRYGTLTAEQWMTQKIDAVRAAFNAVGQ -LSWAKSGFSPAARTFLAQFGINI - ->YP_009667045.1 nucleocapsid protein [Tacaiuma orthobunyavirus] -MSGIDFIYDDPGRIQQSDFVPREEYAVFCSKFALVLILQNIRIFFLNAGKLKAALKLSPKRKVKAKFGTL -EVEITNTHNRAFADSKLEKGDITLHRLSGFLAKKILDIYNAGSFDLQTQIKAEIIIPLAEVAGVSWANST -PEIYLSFCPGSEFFLADFKFYPLAIGIARVKKDLMKPEFLAKLLRQRYGNMTPGEWMKTHSVSVQSAISE -IEKYPLMKVNSRPHVEEFLKSLGLSAHYINGALRG - ->YP_009667041.1 nucleocapsid [Patois virus] -MSYPVLEFEDPKRERSNSEFDPGTAYKLFKDRHGEFLDFPTISRFYANAKAAKLRLRATEAPRATLRFRD -WRVEVVNNHNPRLGQIEVLPTELTLHRISGFIARHLLDIANGTDQARIVDMQDQIANPLALSKGITWSDG -ATIYLSFFPGTEMFLDSFKFYPLAIGIYRVQIGDMDAEYLKKALRQQYGALKPNEWAILKKDAVKTAVSH -IAALKWGSKGFSQAAQDLLKEFGIKV - ->YP_009667037.1 nucleocapsid [Zegla virus] -MSYPVLEFEDPKRERTNSEFDPGAAYKLFKDRHGEFLDFPTISRFYANAKAAKLRLRATEAPRATLRFRD -WRVEVVNNHNPRLGQIEVLPTELTLHRISGFIARHLLDIANGTDQARIVDMQDQIANPLALSKGITWGDG -ATIYLSFFPGTEMFLDSFKFYPLAIGIYRVQIGDMDAEYLKKALRQQYGALRPNEWAILKKDAVKTAVSH -IAALKWGSKGFSQAAQDLLKEFGIKV - ->YP_009666999.1 nucleoprotein [Bozo virus] -MVELEFHDVAANTSSTFDPEAAYANFKRVYTXGLSYDHIRIFYIKGREIKVSLSKRSEWEVTLNLGGWKI -AVYNTNFPGNRNSPVPDDGLTLHRLSGFLARYLLEKMLKVSEPEKLIIKAKIINPLAEKNGITWNDGEEV -YLSFFPGSEMFLGTFKFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEASSWTVSKLNDVQSALTVVSG -LGWKKTNVSAAARDFLAKFGINM - ->YP_009666994.1 nucleoprotein [Birao virus] -MVELEFHDVAANTSSTFDPEVAYANFKRIHTNGLSYDNIRIFYIKGREIKTSLAKRSEWEVTLNLGGWKV -AVYNTNFPGNRNNPVPDDGLTLHRLSGFLARYLLEKMLKVSEPEKLIIKTKIINPLAEKNGITWKDGEEV -YLSFFPGAEMFLGTFKFYPLAIGIYKVQKKEMDPKYLEKTMRQRYMGLEASSWTVSKVSEVQSALTVVSG -LGWKKTNVSAAAREFLAKFGINM - ->YP_009666986.1 nucleocapsid [Potosi virus] -MIELEFNDVAANTSSTFDPEIAYVNFKRIHTTGLSYDHIRIFYIKGREIKTSLTKRSEWEVTLNLGGWKI -TVFNTNFPGNRNSPVPDDGLTLHRLSGYLARYLLEKILKVSDPEKVIIKSKIINPLAEKNGITWSDGEEV -YLSFFPGSEMFLGTFKFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEASTWTVSKVNEVQAALTVVSG -LGWKKTNVSAAAREFLAKFGISM - ->YP_009666978.1 nucleocapsid [Serra do Navio virus] -MGDLVFYDVASTGANGFDPDAGFVAFMAGHGESINLTAVRIFFLNAAKAKAALSRKPERKATPKFGDWQV -EIVNNHFPGNRNNPIGNNDLTIHRISGYLARWTLEHFREGEDEAQKELIRTTIINPIAESNGIHWANGAE -IYLSFFPGTEMFLEAFKFYPLTIGIYRVKHGMMDAQYLKKALRQRYGTLTADKWMAQKTVLITKSLKDVE -QLKWGRGGLSDAARTFLSKFGVKLP - ->YP_009666973.1 nucleocapsid [San Angelo virus] -MSELVFYDVASTGANGFDPDAGYLAFCVKHGESISLSSVRIFFLNAAKAKAALSRKPERKANPKFGEWQV -EIVNNHFPGNRNNPIDNLDLTIHRLSGYLARWVLEQFIENEDESQRELIKTTVINPIAESNGIKWENGAE -IYLSFFPGTEMFLEIFKFYPLTIGIYRVKQGMMDPQYLKKALRQRYGSLTADKWMSQKVGAIAKSLKDIE -QLKWGKGGLSDTARTFLQKFGIKLP - ->YP_009666971.1 nucleocapsid [Melao virus] -MGDLIFYDVASTGANGFDPDAGYLAFTIAHGEAINLSAVRIFFLNAAKAKAALSRKPERKATPKFGDWQV -EIVNNHFPGNRNNPIGNNDLTIHRLSGYLARWVLDLFKENEDESQKELIQSTIINPIAESNGIHWANGVE -IYLSFFPGTEMFLEAFRFYPLTIGIYRVKHGLMDPQYLKKALRQRYGTLTADKWMAQKTTMIAKSLKDVE -QLKWGKGGLSDAARTFLQKFGVRLP - ->YP_009666966.1 nucleocapsid [Lumbo virus] -MSDLVFYDVASTGANGFDPDAGYVDFCVKHGESINLHSVRIFFLNAAKAKAALARKPERKASPKFGEWQV -EIVNNHFPGNRNNPIDNNDLTIHRLSGYLARWVLEQFKENEDAAQRELIKTTVINPIAESNGIRWDNGAE -IYLAFFPGTEMFLETFNFYPLTIGIYRVKHGMMDPQYLKKALRQRYGSLTADKWMSQKTVAIAKSLKDVE -QLKWGRGGLSDTARVFLQKFGIKLP - ->YP_009666962.1 nucleocapsid [Keystone virus] -MGDLVFYDVASTGANGFDPDAGYVAFMANHGESISLSTVRIFFLNAAKAKAALTRKPERKATPKFGEWQV -EIVNNHFPGNRNNPIGNNDLTLHRISGYLARWVLEHFGEGEDESQKELIKSTVINPIAESNGIRWGNGVE -IYLSFFPGTEMFLELFKFYPLTIGIYRVKHGMMDAQYLKKALRQRYGTLTADKWMAQKTSMITKSLKDVE -QLKWGKGGLSDTARAFLAKFGVRLP - ->YP_009666955.1 nucleocapsid protein [Bellavista virus] -MSVAIFEFEDVRREGNNSNFIPGDAYKLFINNHGEFLDFPTIANFYANAKGAKLKLRSSDARKALLRFRN -WRVEVVNNHNPRLGKAEVLPTELTLHRISGFLAKYLLTIANGNDPARVLDMQAAIPNPLALEKGIGWSAG -AEIHLAFLPGTEMFLEEFKFYPLALGIYRVQQGDMDPEFLKKIMRQQYGAMKPSEWLVAKKETIKTAVSI -VSTLKWGSKGFSSAAQDLLKEFGIKV - ->YP_009666947.1 nucleoprotein [Fort Sherman virus] -MIELEFNDVAANTSSTFDPEVAYINFKRIYTTGLSYDHIRIFYIKGREIKTSLTKRSEWEVTLNLGGWKV -TVFNTNFPGNRNSPVPDDGLTLHRLSGFLARYLLEKILKVSEPEKLIIKSKIINPLAEKNGITWSDGEEV -YLSFFPGSEMFLGTFKFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEASTWTVSKVNEVQSALTVVSG -LGWKKTNVSAAAREFLAKFGINM - ->YP_009666944.1 nucleocapsid protein N [Enseada virus] -MSLISFEFDDNGRERAVSGFRPVEAYQAFITAHEQDLTFDSIRVFFLRAREAKSKLRGADGANILLTFGT -WRVKVVNNHNPKFARSDIESSALTITRISGFLAKYCLDIMNGSDEETKALCKSRVLNPIAEARGITWDTA -GPQVYLAFAPGTEMFLDDFKFLPLAIAIYRVQQKEMDPSFLQKVLRQYYGTLSSEEWMKQKKKLVKEAVA -RVSKLPWGQKGLSGPAKDFLKEFGIKV - ->YP_009666927.1 nucleocapsid protein [Buttonwillow virus] -MSEFIFNDVPQRSTSTFDPEAAYVAFEAQYRASLSVSVARIFFLNQKKAKDRLRQTSQPNVNLTFGQSVF -PVVNNHFPQFQSNPVPDNGLTLHRLSGYLARWLMDQIRVGGSVREAEIRGAIVIPLAEIKGCTWNDGNAM -YLAFAAGTEMFLQTFTFFPLAIEMQRVLKDGMDVNFMKKVIRQRYGTLTAEQWMRQEVTAVTAAFNAVGL -LPWARSGFSPVAREFLRNFGINI - ->YP_009666925.1 nucleocapsid protein [Utinga virus] -MAEFVFNDVPQRSTSTFDPEVAYATFEAAFRQTLNVNVVRVFFLNQKNAKNVLRKTSRPNVDLTFGGATF -PIVNNHFPQFQSNPVPDNGLTLHRLSGYLARWSMDQMRTNPIRQAEFRAAVVVPLAEIKGCTWNDGDAMY -LAFAAGAEMFLNTFTFFPLVIEMHRVLKDGMDVNFMRKVLRQRYGTKTAEQWMKDEIIPIKAAFEAVGML -SWARTGFSPAARDFLRQFGINV - ->YP_009666922.1 nucleocapsid protein [Mermet virus] -MADAIVFNDVPQRAQSTFDPEAQYVVFENTYRANLTINTARIFFLNQKRAKDTLRQTPRPTVNLTFGQCT -FPVVNNHFPQFQSNPVADTALTLHRLSGYLARWLMTFYSQSPVNQAAVREAVVIPLAEVKGCSWDDGPAL -YLGFASGAEMFLQTFTFFPLVIEMHRVLKDGMDVNFMRKVLRQRYGALTAEQWMRQELVAIRAAFEAVGA -LPWARTGFSPAAREFLAQFGIRINYPIIIINSEIKYIK - ->YP_009666919.1 nucleocapsid protein [Ingwavuma virus] -MAEAIVFNDVPQRTQSTFDPEAQYVVFENTYRANLTVNTARIFFLNQKRAKDTLRQTPRPTVNLTFGQCT -FPVVNNHYPQFQSNPVDDSALTLHRLSGYLARWVMTFYAQSPVNQAAVREAVVIPLAEVKGCSWNDGPAL -YLGFAAGAEMFLQTFTFFPLVIEMHRVLKDGMEVNFMRKVLRQRYGALTAEQWMRQEIVAIRAAFDAVGA -LPWAKSGFSPLAREFLAQFGIKI - ->YP_009666913.1 nucleoprotein [Ilesha virus] -MIELEFHDVTANTSSTFDPEVAYANFKRIHTNGLNYDHIRIFYIKGREIKTSLAKRSEWEVTLNLGGWKI -AVYNTNFPGNRNNPIPDDGLTLHRLSGYLARYLLEKMLKVSEPEKQIIKSKIINPLAEKNGITWDDGEEV -YLSFFPGSEMFLGTFRFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEASTWTISKLAEVQSALTVVSG -LGWKKTNVSAAARDFLAKFGINM - ->YP_009666909.1 nucleocapsid protein [Schmallenberg virus] -MSSQFIFEDVPQRNAATFNPEVGYVAFIGKYGQQLNFGVARVFFLNQKKAKMVLHKTAQPSVDLTFGGVK -FTVVNNHFPQYVSNPVPDNAITLHRMSGYLARWIADTCKASVLKLAEASAQIVMPLAEVKGCTWADGYTM -YLGFAPGAEMFLDAFDFYPLVIEMHRVLKDNMDVNFMKKVLRQRYGTMTAEEWMTQKITEIKAAFNSVGQ -LAWAKSGFSPAARTFLQQFGINI - ->YP_009666907.1 nucleoprotein [Batai virus] -MIELEFNDVAANTSSTFDPEVAYANFKRVHTTGLSYDHVRIFYIKGREIKTSLSKRSEWEVTLNLGGWKI -AVYNTNFPSNRNNPVPDDGLTLHRLSGYLARYLFEKIVKVSEPEKLLIKSKIINPLAEKNGITWADGEEI -YLSFFPGSEMFLGTFKFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEAGTWTVSKVNEVQAAVNVVST -LGWKKTNVSAAAREFLAKFGIAM - ->YP_009666901.1 nucleocapsid protein [Jatobal virus] -MSEFVFNDVPQRTTSTFDPEAAYVAFEAKYGQVLNAGVVRVFFLNQKKAKDVLRKTSRPMVELTFGGVKF -TMVNNHFPQFQANPVPDNGLTLHRLSGYLARWAFTQMRSPLKQAEFRAAIVIPLAEVKGCTWNDGDAMYL -GFAAGAEMFLQTFTFFPLVIEMHRVLRDGMDVNFMKKVLRQRYGQKTAEQWMKEEIIAVRAAFEAVGTLA -WARTGFSPAAREFLRQFGIDL - ->YP_009666899.1 nucleocapsid protein [Cachoeira Porteira virus] -MSEIAFEDVGQITSSTFNPDVQYTNFKRKHTTGLTYDNIRVFYINGKLAKEKLSKRSEETITLDFAGWKV -LVYNTHFSNNRGMAIPDEALTLHRLSGYLARYVLERYLSVQEAERLLITTKIINPIAASHGITWNDGPEV -YLSFFPGAEMFLEAFKFYPLAIGIYKVQKKLMEAKYLEKTMRQKYAGLDAALWTQQKYAEVTNAVAVVSG -LGWKKSNVSAAARDFLSKFGIQL - ->YP_009666897.1 nucleocapsid protein [Sororoca virus] -MSEIAFEDVGQITSSTFNPDVQYTNFKRKYTVGLTYDNIRVFYINGKLAKEKLSKRSEETITLDFAGWKV -LVYNTHFSNNRGMAVPDEALTLHRLSGYLARYVLERFLSVQEAEKLVIMAKIINPIAASHGITWNDGPEV -YLSFFPGSEMFLEAFKFYPLAIGIYKVQKKLMEAKYLEKTMRQKYAGLDAAQWTQQKYTEVTNAVAVVSG -LGWKKSNVSAAARDFLSRFGIQL - ->YP_009666894.1 nucleocapsid protein [Iaco virus] -MSEIIFDDVGQITSSTFNPDVQYINFKKNHTTGLNYDQIRVFFLNGKKAKETLAKRSEESLQLNFGGWRV -VVMNTHFPGNRNMLIPDEAMTLHRLSGYLARYLLERILSGPEHEKVVITSKIVNPIAASNGITWTDGPEV -YLSFFPGTEMFLESFKFYPLAIGIYKVQKKMMESKYLEKAMRQKYSGMDATLWTQQKYAEVTNALAVVSN -LGWKKSNVSAAARDFLAKFGIQL - ->YP_009666891.1 nucleocapsid protein [Anhembi virus] -MSEIVFEDAGQITSSTFNPDVQYIAFKRNHTNGLTYDQIRIFFLNGKKAKETLAKRSEESVQLNFGGWRV -LVMNTHFPGNRNMLIPDEAMTLHRLSGYLARYLLEKMLAVQEQERLVITNKIVNPIAASNGITWLDGPEV -YLSFFPGSEMFLEAFKFYPLAIGIYKVQKKMMESKYLEKAMRQKFAGMDAALWTQQKYSEVTNALAVVSN -LGWKKSNVSAAARDFLAKFGIQL - ->YP_009666882.1 nucleoprotein [Jamestown Canyon virus] -MGDLVFYDVASTGANGFDPDAGFVAFMADHGESINLSAVRIFFLNAAKAKAALARKPERKATPKFGEWQV -EIVNNHFSGNRNNPIGNNDLTIHRLSGYLARWVLEHFNSDDDESQRELIRSTIINPIAESNGIHWNNGPE -IYLSFFPGTEMFLEIFKFYPLTIGIYRVKHGMMDPQYLKKALRQRYGTLTAEKWMAQKTVLIAKSLKDVE -QLKWGRGGLSDAARTFLIKFGVKLP - ->YP_009666876.1 nucleocapsid protein [Sabo virus] -MASQFIFDDVPQRNAATFNPDTGYVAFISKYGQHLNFTVARVFFLNQKKAKMVLHKTAQPSVDLTFGGVK -FTVVNNHFPQYIANPVPDTALTLHRMSGYLARWVAELCRGNQIKLAEAATLIVMPLAEVKGCTWNDGYTM -YLGFAPGAEMFLETFEFYPLVIDMHRVLKDGMDVNFMRKVLRQRYGTLTAEEWMTTKIEAVRAAFNSVAQ -ISWAKTGFSPAARAFLANFGIQI - ->YP_009666866.1 nucleocapsid protein [Tensaw virus] -MIELEFNDVAANTSSTFDPEVGYVNFKRVHTTGLSYDHIRIFYIKGREIKTSLTKRSEWEVTLNLGGWKV -TVFNTNFPGNRNSPVPDDGLTLHRLSGFLARYLLEKILKVSDPEKLIIKSKIINPLAEKNGITWADGEEV -YLSFFPGSEMFLGTFKFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEAATWTISKVNEVQSALTVVSG -LGWKKTNVSAAAREFLAKFGINM - ->YP_009667019.1 nucleocapsid protein [Witwatersrand virus] -MQTGAGSLPIPTIRQADITYGVGDDRPASTFNPEVQYLAFVDRYNQVLLIDNIRSFFLHARDAKGKMANI -NKDKICLNFGTLKLELVNNYRPGVAKRVVADNEYTLYRISGYLARFLLDSYNADPAWRDGMKVIVNPISA -KLGITWEAGPEVYLGTLPGTEMFLGHFQYYPLAFLILRIKRGEVPPQMASKALRQRVDGKLSAQWMTEDV -QAVKTAVANVEALKPIFSGISATMSSFLSQFGIRM - ->YP_009666935.1 nucleocapsid protein [Tataguine virus] -MANPDFTFDDSERLNIEPFRPDVAYTTFDLMHKPIIKDIRIFFLNAGKLKKKLTAYPKAEITVKFGDWTV -TVKNTHNPALGTIKLAETDLTLHRISGFLALKLLKLCSYGQNDQAELIRAEVVNPIAESQGVTWQNSNVD -MYLSFFPGTEFFLTEFRMYPLAIGLVRVKKNLMKPDFLVKMLRQKYDGKDPAKWMVEDIGKVTAAVKEVS -KHPLIKMNLVPHIKTFLTQMGIGTGGLLL - ->YP_009666887.1 nucleocapsid [Leanyer virus] -MSTGPDFIYDDRPAAVSSTFNPEKGYMDFITAYGKNINADNVRIFFLNHKKAKDSLKGSPKVEVDLQFGT -LRVKVVNNHNPRNRDNPVADNAITLHRLSGYLAKWCFDEIDHGQIEEAEVKSKVVIPLAEAKGCKWGDGV -ALYLAFAPGAEMFLKDFEFYPLAIDIQRVVKDGMDITFMRKVLKQRYGTKTADDWMISEVTAIQSAVKVV -AKLPWAKAGFTAAAKNFLAKFNISV - ->YP_009666106.1 nucleocapsid protein [M'Poko virus] -MIEVEFHDVADKSSTYDPEVAYAKQKRVHTTGLSYDHIRILYKRRKNKTSPTKTTEWERTVKLGDGKNTA -DKTNFPGNSKNPGTDDGPTPNRLRKTLPRYLLKKKMKVSEPEKLIIKSKISNQGAEKNGINGKDGEEINN -SFIPGTEMFLGTFRVYPLAIGIYKVKSKEREPKYLEKTKRQRNMGQKAANWTVSKWTEVQSALTVVSSLG -WKKTNVSAAARDFLAKFGINIKAGMHFESS - ->YP_009664556.1 nucleocapsid protein [Umbre virus] -MADSKLTFEDTQDVSRSTYNPADEYDTFVGVYREFLTIDNIRIFFINAAKAKAAMAKLKTETVEVTFGTL -VVKLVNNHRIGQTQREVADDELTLHRLSGYIAKYTLHLYKSAKKAGEREAITTKIVNPISAKMGFQWSVG -PEIYLSTLPGTEMFLDTFKMFPLAFILLRVKRGEIKVEMAKKAMRQKYGDKDASEWLQEDIETVKGAIKT -VEKLKPTLTGLAASMTAFLQQLGINTK - ->YP_009512927.1 nucleocapsid protein [Wyeomyia orthobunyavirus] -MSEIAFDDIGQIAASTFNPDNQYIGFKKGFTVGLTYDQIRIFFLNGKKAKETLSKRSEETVTLNFGGWRI -PIVNTHFPGNRNIDLADDALTLHRVSGYLARYLLEKVLSAQEPEKVIIKTRIINPIAASNGITWDDGYEV -YLSFFPGSEMFLEAFKFYPLAIGIYKVQKGMMDVKFLEKTMRQKYAGLDATVWTQQKYTDVINALLVVNG -LGWKKSNVSAAAKDFLSKFGIQI - ->YP_009512924.1 nucleocapsid protein [Tete orthobunyavirus] -MPKGGKSDPEPSINVVASAAFEDDEIDYHFTAGDDGGAPFNPMTAYKRFMEVHGKELNLPNIKVFFLKAR -QAKEIMRSKAKSEMTFTFGSLTLTFKNTHHPSNRHLAVEQDDLTINRVTGFMAHAILLTHRDPKHKDAVE -KTIINPIAESKGVTWKEGANVYLSFFPGTEMFMLEFKFFPLAVGLARCHKEKMDTEYLKKPMRQMLTDGT -KAQVWLGAKIEEIRKAYKVCMNLKFVKAGFSEAAREFLKEFGLDQDKN - ->YP_009362058.1 nucleoprotein [Guaroa virus] -MAEIEFFDVAQNATSTFNPELQYATFKRTNTTGLNYDNIRIFYLNGKRSKDTLSKRSEQSIVLNFGGWRI -PVVNTHFPGNRNSPILDDSFTLHRVSGYLARYLLERYLTVSAPEQAIIRSKIINPIAASNGITWEDGPEV -YLSFFPGTEMFLETFKFYPLAIGIYKVQKKMMEAKYLEKTMRQKYAGLDAAQWTQQKYNEINAALSVVSS -LGWKKANVSSAAREFLARFGISL - ->YP_009508268.1 N protein [Main Drain virus] -MIELEFHDVAANSSSTFDPEVAYASFKRVHTTGLSYDHIRIFYIKGREIKTSLSKRSEWEVTLNLGGWKV -AVFNTNFPGNRNSPVPDDGLTLHRLSGFLARYLLEKILKVSEPEKLLIKSKIINPLAEKNGITWADGEEV -YLSFFPGSEMFLGIFKFYPLAIGIYKVQRKEMEPKYLEKTMRQKYMNMDAATWTVTQVSEVQAALTVVSG -LGWKKTNVSAAAREFLAKFGINM - ->YP_009507887.1 nucleocapsid protein [Koongol virus] -MADADLVYDGGEIVTSSTYDPRIQYEKFVAKYKEHLTINNAKIFFIKAAKAKSEMAKKKKSRMRIVFGTL -DVELVNNHNPSEVQVRVEDEDLTLHRLSGYLALWILNQYKQTAAIREAIITIIINPISAKMGITWAQGAE -LYLSTLPGTEMFLSDFKLYPLAFTLVRIKRGEIPEVMAKKALRQQYEGKPSSRWMVEDAPMIKAVIEKVE -AIKPAYSGLAATMAKFLQEMGIKR - ->YP_009507883.1 Structural nucleocapsid protein N [Kairi virus] -MSEIEFHDVAANTSSTFDPEAGYAAFKRRHTTGLNYDHIRIFFLNGKKAKDTLSKRSETTITLNFGGWKI -PVVNTHFLENRNMPVPDDGLTLHRVSGYLARYLLDRVYSAGEPEKLKIKTTIINPIAASHGITWDDGEEV -YLSFFPGSEMYLTTFKFYPLAIGIYKVQRKLMDPKYLEKTMRQRYMNLDASQWTQKHFSDVNSALTVVSG -LGWKKANVSIAARDFLNKFGINI - ->YP_009507880.1 nucleocapsid protein [Guama virus] -MSDLVPTFEFEERNVAQGRNNFIPSEAYAVFLNEHAASLTVENMRVFFLRAYDAKQKLKNTEARSATLKF -GTAKFLVRNNHHDRNANMELEPNDLTLHRISGYLARFIKHLIDTDRPAAAQIQKNIVNPIAESLGITWDH -GDLIYLSFFPGTEMFLEDFRMIPLAIGIYRVQQKQMKAEFLKKHMRQQYGDMPASQWMTLKKADVQNALT -LVSKLPWTRAGLSAAARNFLAEFGITL - ->YP_009507875.1 nucleocapsid protein [Guajara orthobunyavirus] -MSDVANFEFGERLIAEGRNPFVPDLAYAKFVRDHGAVLTPDNVRIFFLRAYDAKQKLKTTTARTANLKFG -TATFTVKNNHNERNANIEVEAEDLTLHRISGFLAKYTKESMVDENAADMIREKIVNPIAESLGISWDNGD -DVYLSFFPGTEMFLDTFKMLPLAIGIYRVQQKQMKAEFLKKHLRQQYGGLPASQWMSIRKADVKNAVTLI -SSLPWGKAGLSAAARSFLADFGITL - ->YP_009507873.1 structural nucleocapsid protein [Gamboa virus] -MSDSLYVFDDDSTASSSTYDPTQEYNVFISTHGDQLTPANIKCFFLHARTAKARLAKDPAERRTLTFGSW -KVEVVNNHYRRATPVTVQDHDNTLHRISGYLALYCLQTSSQNKQNFERIKSVVINPISESMGINWQHGAM -IYLSTLPGAEMFLTEFQLFPLAFAVVRVKKNLASPETVKKVLRQRYDGKLPGEWMNSELEKVKTAITKVE -ALHKTFVGVTARMIEFFTALGINLDMRR - ->YP_009507867.1 nucleocapsid protein [Bimiti virus] -MSDLVPTFEFEERNVAQGRNNFIPSEAYTVFLNEHAANLTVENIRVFFLRAYDAKQKLKNTAARSATLKF -GTAKFLVRNNHHDRNANMELEPNDLTLHRISGYLARFLKHLIDTDRLAAAQIQQNIVNPIAESLGITWSH -GDLIYLSFFPGTEMFLEDFRMLPLAIGIYRVQQKQMKAEFLKKHMRQQYGEMPASQWMTLKKADVQNALT -LVSKLPWTRAGLSAAARTFLAEFGITL - ->YP_009507864.1 nucleocapsid protein [Batama virus] -MSKVKRGESEPSISVAASAAFAEDEIDYHFSAGDDGGAPFNPMAAYKEFMETHGKDLTVTNIRVFFLKAR -QAKEIMRSKAKSEMTFTFGSLTLTFKNTHHPSNRHLTVDQDDLTINRATGFMAYAILLTHREPKSKDAVE -KTIINPIAESKGVTWKEGANIYLSFFPGTEMFMLEFRFFPLAVGLARCHKEKMDTEYLKKPMRQMLTDGT -KAQVWLGAKIEEIRKAYKVCMNLKFVKAGFSEAAREFLKEFGLDQDRN - ->YP_009507863.1 nucleocapsid protein [Anopheles B virus] -MASQVDFAFEDTGNITQSDFIPDVGYTAFCLGKTAHLSLENIKIFFLNAGKLKQQMKTCSKTKIKAKFGT -LEIELVNTLNRSLGQVSLQPNDVTLHRASAYLARKALELYREGQADFQAAMRDQFVMPLAEVAGVQFKPE -VPPELYIGFAPGAEFFMKLFKCYPLAIAVVRVKKGQMQPEFLAKSLRQRYAGAPPAEWMSTNAAAIKAAT -AMVEKYPMMKVASRPHIEKLLSELGLSAPTIQQALTK - ->YP_009507861.1 nucleocapsid protein [Lukuni virus] -MDYVFDDPGHVVVSNFIPEESYVTFSAKYSAHLTTANIRIFFLNAGKFKKMLKGNSKQKVQAKFGTLELS -LVNTHNPANREVTLLKDDLTLHRVSGFLARKALHLFRTMTFDQQEVFKDEIIMPLAEKAGVTWLNAPCAE -MYLGFAPGTEFFLKDFVFYPLAIGIARVKKGLMSPDFLSKTLRQRYGGIAPADWMTVQKSLVKVAVDNVD -KFPLMKATAQPHIEQFLLELGLSRTSILSMKR - ->YP_009362986.1 nucleoprotein [Wolkberg virus] -MSDLVFYDEPSRVSNGFNPEEQYNRFFTSGNAVQSMATIRTFFLNAKKAKDRMASKPDKKLTLKFGSWAV -EVINNHFPGNRDTQIMDTDLTLHRISGYLARYVLETYLGSNTIGKSEITATIVNPIAESHGIRWTAGAEV -YLAFFPGTEMFLDRFNFYPLAIGIYRVKKGMMDAQFLKKSLRQRYDGMTADQWMQSKTGEVMQAIRVLET -LPWGRSGLGDAARQFLTKFGITI - ->YP_009362076.1 nucleoprotein [Kaeng Khoi virus] -MSEIVFYDEPLRIQSGFDPERQYMEFIRGVGNGISLPSIKIFFLNARKAKDKLSLRSDRKISLKFGTWSV -EVVNNHFQGNRDSTIGNMDLTLHRLSGYLARHVLELYLAANPVNQANIRQTIINPIAESNGIHWDSGAEI -YLSFFPGSEMFLEKFNFYPLAIGIYRVKRGMMDAQFLKKSLRQRYGQLTADQWMQTKTEDVMRAVTVLEG -LAWGRSGLSEAAKQFLNKFGITI - ->YP_009362072.1 nucleocapsid protein [Madrid virus] -MSVPTFEFTDDDRGPVASSFDPQQAYNEFISNHGESLSIDNARIFFLRANEAKQKLRKSSAKIAMLKFGS -WKVEVVNNHYPGNASNPVADNSLTLYRISGFLARYILELHNDSDHRAEIEEKIVNPIAESKGVTWQAGAK -IYLAFFPGTIMFLYEFEMLSLAIYLYRAQKDEIDPSLLKKPLRQKYRKDNPEKWMREKKVMIQGAIGRIA -KLPWGTTGLSSQAREFLKEFGITMK - ->YP_009362069.1 nucleocapsid protein [Marituba virus] -MATPLFEFSVEERGQNSSTFDPKQAYKSFVDEHREELTLENIRVFFLRANEAKQKLRKSSAKLANLKFGT -WKVTVVNNHYPANTANTVADGELTLHRISGFLAKFILDLYADTEHRPEIEEKIINPIAESKGVTWAQSAK -IYLAFFPGTEMFLHEFEMLPLAIYIYRAQKGEIDVSLLKKPLRQQYKNDTPDKWMKEKKVMIQGAVSRIS -KLPWGTSGLSSQAKDFLKEFGITMK - ->YP_009362055.1 nucleocapsid protein [Capim virus] -MGEVANFEFGERLIAEGRNPFVPDVAYARFIREHVPMLTPGNIRIFFLRAYDAKQKLKTTTARTANLKFG -TATFTVKNNHNERNANIEVEAEDLTLHRISGFLAKFVRESMVDETASKMIRDTIVNPIAESLGITWENGD -DVYLSFFPGAEMFLDTFHMLPLAIGIYRVQQKQMKAEFLKKHLRQQYGGLAASQWMSERKEDVKLALTLI -SRLPWGKAGLSAAARNFLADFGITF - ->YP_009362052.1 nucleoprotein [Nyando virus] -MSELVFYDVEPTAQNGFDPDKQYVAFKASAGAGLNIVSARIFFLNARKAKDQLARRPEPKVGLKFGTWQV -EVVNNHFQGNRDNPIGDSDLTMHRLSGYIARYILDQYLAGNSVAQAGIQLQIINPIAESNGIKWSAGAEV -YLSFFPGTEMFLEKFNFYPLAIGIYRVKKGMMEAQFLKKSLRQRYGQMTADQWMQTKSDDVMRAVAVLEK -LSWGRSGLSEAARQFLGRFGIVI - ->YP_009362050.1 nucleoprotein [Bwamba orthobunyavirus] -MSDLIFYDVDSVNANEFDPDTGYLDFKNNYPGALNTNTARTFFLNAAKAKNVLRNKPDKKVNPKFGNWEV -EVVNNHFPGNRNNPIGKDDLTLHRISGYLARWILEEYKRDDESEKEIIESTVINPIAESNGIRWSDGAEI -YLSFFPGTEMFLEPFKFYPLAIGIYRVKHKMMDAQFLKKALRQRYGKMTAEKWMSTKVKTIGEAVRNVEK -LKWGKGGLSDAARNFLKKFDIAMI - ->YP_009362047.1 nucleocapsid protein [Caraparu virus] -MSVPTFEFTDDDRGPTASSFDPQQAYNEFIGNHGENLSVDNVRIFFLRANEAKQKLRKSSAKIAMLKFGS -WKVEVVNNHYPGNASNPVADNSLTLYRISGFLAKYTLELHNDSEHRAEIEEKIVNPIAESKGVTWQAGAK -IYLAFFPGTVMFLYEFEMLSLAIYLYRAQKDEIDPSLLKKPLRQKYKKDNPEKWMREKKVMIQGALGRIA -KLPWGTTGLSAQARDFLKEFGITMK - ->YP_009362044.1 nucleocapsid protein [Oriboca virus] -MATPLFEFSVEERGQNSSTFDPKQAYQRFIDEHRDELTLENIRVFFLRANEAKQKLRKSSAKLANLKFGT -WKVPVVNNHYPANTANTVADGELTLHRISGFLAKFILELYADTEHRPEIEEKIINPIAESKGVTWAQSAK -VYLSFFPGTEMFLHEFEMLPLAIYIYRAQKGEIDVALLKKPLRQQYKNDTPDKWMKEKKVMIQGAVSRIS -KLPWGTSGLSSQAKDFLKEFGITMK - ->YP_009117084.1 nucleocapsid [Maprik virus] -MADNDFVFDDDSTTVSDYDPNAGYKYFMSGSYDINLPSVNAFYKNAAKVKQQMRLKAKKKITMQFKDLVV -EIVNNNYPGYQNNQLSNTDLTIHRLSGYLSRYVIEEYSEAQQAGNRKQADIWKGVINPIALSHGVTWEHG -HLIYMSFAPGAEMFMDTFSFYPLAIGIFRAKQDPEQAQYLKKALRQRYNGQKAEVWMQKNQKDVQQAVDE -ISKLPWTKSSMSEAARQFLSKFGIRI - ->YP_009028568.1 nucleoprotein [Cat Que virus] -MADAIVFNDVPQRAQSTFDPEAQYVAFENTYRANLTVNTARVFFLNQKRAKDTLRQTPRPNVNLTFGQCT -FPVVNNHFPQFQSNPVNDTALTLHRLSGYLARWLMTFYAQSPVNQAAVREAVIIPLAEVKGCSWDDGPAL -YLGFAAGAEMFLQTFTFFPLVIEMHRVLKDGMDVNFMRKVLRQRYGALTAEQWMRQEIVAIRAAFEAVGA -LPWARTGFSPAAREFLAQFGIRI - ->YP_008709778.1 nucleocapsid [Murrumbidgee virus] -MADIDFVFDDTTSVVGDFDPKAGYEYFISNYTSELNLGSLNTFFKNVAKAKAQLRMKAKRQVTMKFGDLE -VVIHNNNYPGYQNNELSTADLTLHRLSAYLIKYAADEVKEAMRANNRAQAAVWKGLILPLSLSHGVTWEH -GYILYMSFTPGAEMFMDTFMFYPVAIGIYRAKQDPEQAQYLKKALRQRYAGQKAEVWMVKHQKDVQAAVE -EVSKLPWTKSSMSEAARQFLAKFGIKI - ->YP_008400136.1 nucleocapsid [Brazoran virus] -MSLSVTQGFDFADDQPGDPQFVFDPRQAYQVFISTYGQGLQDLSAVRIFLSKARQCKLKMREKRIPQINT -RFGALVLPLVNCHHPDFRQNRIPGTALTLRRVSGFIAMYIMFQYEVSPANRAIIERTIVNPIARAKNLDW -DIGYKLYLSFLPGAEFYLLELDFFPLCIAMYRVKDGKLDEDFLDRIMAQQVEGMNQDLWTVKYEGLIEKS -EKIVNNLQWKDKPAGRITQAGLEFLRKRGIAMRKYGPSAPLSIQGATALPMLTSTQSQQQQLQSQQSATP -AAYTFPGMQYFQPQMYQSIPQASIYQPQIQLQQPMINQQQYASLGAKPKVNSQLMTPISFGAPQVAPRQQ -GLPFLNAAGPNLQSVIPPQQKLQAAPLPQLTVTQEESDAGASAGSLDDMTEEQLTFGDFSAGEDRLSYYE -KLGYTPEQIKEAEAKYLAEQQQ - ->YP_006590083.1 nucleocapsid protein [Simbu orthobunyavirus] -MANQFIFEDVPQRNLSTFSPEAGYVAFIGRYGQQLNFSVVRVFFLNQKKAKMVLHKTAQPNVDLTFGGVK -FTLVNNNFPQYTANPVPDNALTLHRLSGYLARWTAEQVKNNQVKLAEATAAIVMPLAEVKGCTWNDGYTM -YLGFAPGAEMFLETFEFFPLVIDMHRVLKDGMDVNFMRKALRQRYGLLTAEQWMTQKIVEVKAAFDAVGQ -IAWAKSGFSPAARAFLQQFGITV - ->YP_001497161.1 nucleocapsid [Akabane virus] -MANQFIFNDVPQRNAATFNPDAGYVAFISKYGQQLNFTVARVFFLNQKKAKMVLHKTPQPSVDLTFAGVK -FTVVNNHFPQYTANPVSDTAFTLHRISGYLARWVAEQCKANQIKFAEAAATIVMPLAEVKGCTWSDGYAM -YLGFAPGAEMFLETFEFYPLVIDMHRVIKDGMDVNFMRKVLRQRYGQLTAEEWMTSKLDAVKAAFGSVAQ -ISWAKSGFSPAARAFLAQFGIQI - ->NP_982305.1 nucleoprotein [Oropouche virus] -MSEFIFNDVPQRTTSTFDPEAAYVAFEARYGQVLNAGVVRVFFLNQKKAKDVLRKTSRPMVDLTFGGVQF -AMVNNHFPQFQSNPVPDNGLTLHRLSGYLARWAFTQMRSPIKQAEFRATVVVPLAEVKGCTWNDGDAMYL -GFAAGAEMFLQTFTFFPLVIEMHRVLKDGMDVNFMKKVLRQRYGQKTAEQWMREEIVAVRAAFEAVGTLA -WARTGFSPAARDFLRQFGIDI - ->NP_671970.1 nucleoprotein [La Crosse virus] -MSDLVFYDVASTGANGFDPDAGYMDFCVKNAELLNLAAVRIFFLNAAKAKAALSRKPERKANPKFGEWQV -EVINNHFPGNRNNPIGNNDLTIHRLSGYLARWVLDQYNENDDESQHELIRTTIINPIAESNGVGWDSGPE -IYLSFFPGTEMFLETFKFYPLTIGIHRVKQGMMDPQYLKKALRQRYGTLTADKWMSQKVAAIAKSLKDVE -QLKWGKGGLSDTAKTFLQKFGIRLP - ->NP_047213.1 N protein [Bunyamwera virus] -MIELEFHDVAANTSSTFDPEVAYANFKRVHTTGLSYDHIRIFYIKGREIKTSLAKRSEWEVTLNLGGWKI -TVYNTNFPGNRNNPVPDDGLTLHRLSGFLARYLLEKMLKVSEPEKLIIKSKIINPLAEKNGITWNDGEEV -YLSFFPGSEMFLGTFRFYPLAIGIYKVQRKEMEPKYLEKTMRQRYMGLEAATWTVSKLTEVQSALTVVSS -LGWKKTNVSAAARDFLAKFGINM - ->sp|P16993.1|NCAP_BUNGE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MLELEFEDVPNNIGSTFDPESGYTNFQRNYLPGVTLDQIRIFYIKGREIKNSLSKRSEWEVTLNLGGWKV -PVLNTNFPGNRNNAVPDYGLTFHRISGYLARYLLGKYLAETEPEKLIMRTKIVNPLAEKNGITWESGPEV -YLSFFPGAEMFLGTFRFYPLAIGIYKVQRKEMDPKFLEKTMRQRYLGIDAQTWTTTKLGEVEAALKVVSG -LGWKKTNVSSAAREFLSKFGIRM - diff --git a/seq/clusters_seq/cluster_210 b/seq/clusters_seq/cluster_210 deleted file mode 100644 index 8239eee..0000000 --- a/seq/clusters_seq/cluster_210 +++ /dev/null @@ -1,245 +0,0 @@ ->YP_009666504.1 caulimovirus viroplasmin [Angelica bushy stunt virus] -MGFLNYLPLVAHSSAMENTNADLLDMQELILIQEIEAKTQELRLLRLRKEYLKSLNKISDQQRVDPTPCS -EKSKNVASPVTLSTGKDGLNPCVDSLHKTVRDVQTSENVSKKALMLKPNGGIQIPEKSIDHPIVRPVGVK -NYYVVFNGPYPGIYTSWNIAEKAVKGHSNVQHRRFKDYAEAKAAAAIFTNNEQKAPLELILDDSRIDPYK -TALEKITNLKVIGKKVQVAKHQLEFPLEDDMDFNVKVENSYEDFSYVYSIARRATPRQFELEHFYTTDKT -NISYINAFPLADPDLIYESYSFGLLNTVYPSEKMEEIKLFPEQFTKAVIQFKKKCLSKEQQVFIKFQSTI -GFWEGHEDMENFISPYHYVNIGAVKERIYFPSKEINIILQKEDLKQIAEEKALNIIERLFTLQEEAKIHV -NMATYTVLVTSFSHKGMTTEDKKKLEAFKERIISPLAFGRHEESFCERKKKLLARLGVQYSCKFCQKKTE -DNGASASTLTSGSPASNMESILSL - ->YP_009165751.1 ORF6 [Atractylodes mild mottle virus] -MDNSESLRLKISIMEKELEIEKMRLQYALLIEEQNRSSLASGDKPLKKQADALPKSIIVSSTTQQKESDN -PCSSGSKLSSSPVQTASGKDSTNPLKGVAFPKSQENQVQSSRLISEKREILDKCQSSRLITKMSDQELSL -KPNGSKDESLKGIMVPNTVKHYYCVFNGPLAGIYEEWKNAKAATENIPNVKHKKFKSLLEANVAAGKYAK -ENPCETPRLISRADSLKPITFSEVIRQPKETKVSLGKPVSSQPPAPPKEQHEELSLDGFQYWYKAAREAD -ESKLVDEQFFTTDNANLSYFNFVKNANPDQVYEAFVYGLVRTIYPSINLQELRSFPKDFIKAVKKFRTKT -SSGKADRDIYLKVSSAIPVFINGEIAYKPHHVIQIGFSKDVQYTPSKVMPTTVEAKDLIELAKRKFNNVL -ERVFEFNDDSKVFINYVDHKVLLHSKKATTIGEEDVQKILQLRKTVTNHLIFKIHFEDICDLIKKKESSF -SCPLCKATALIKGSLQVGTSSSDDSHHMGDDIGFAHIFGGPPEDKPTK - ->YP_006907835.1 inclusion body matrix protein [Horseradish latent virus] -MEEIDKLLLQEKILLLELDLVRAKISLARATGSMQQKEEIPCRETPVNTLTSKLVIMEPGQSSKSITIPE -QTAHGKESTNPLMASLLPKETKVVQTTRLVKPEDFLRPNMGIPIPEKAEPSSSVGPNRPEKEIQTPHNNY -YVVYNGPYAGIYDNWGCAKSATNGVPGVAHKKFATITEARKSADEFTTAAGKDRLNFVPKGELVKPKTFA -KAVTSPKKSTQWITLGTKKPKKDPEPKEIAFQPEITIEDFQYLYDLGRKYDGEGDTTFFTTDKKNISMFN -FLKNADPQMISECFQAGLIKTIYPSANLQEIKYLPKKIKDAVKKYRTNCIKNTEKDIFLKIKSTIPVWSA -TGLLHKPKYMIEIGVSGKVRPEESKSMESTIQKEELMDLAVLKAQTFIDSLMKINQREKIFVNMVDYETL -VYSKNLKKTTQEDRSVINKFQQKLISGKILGFHSPAICQHIKVTAEKEDCDYHCNQCESSKGKAIVCDKP -ADSGPADNGGPQTREDASADSGSRTTDTTHSASG - ->YP_006732335.1 inclusion body matrix protein [Dahlia mosaic virus] -MEEELKALRFKKKVLEIELNSLCEKISLYETMLTDISVQEPTQVKTEASPSQTVDGKDGSNPLIPDALEK -SITVKAEPSPVQDKPANTNGTNTTSSPVANGSGNGISNPLMADTLPKSEQEVSLRPTYSEAAMKPPRINE -RFYVIYNGEQPGIYDDWAIASKCIGKGTVFKKFSSYSDAIISASSYTIRHGGSIKWKGLRPIVPKVQERK -VFLRDLKTKPEEPSQMDIYPAMSFEDFISIWNKVRRLTPEAYEKEHLCSDDKASRSLLIIGQNANPDLTF -KAFNAGLVKVIYPSNNLQEIGRFPEGFKKAVKKFRSKIAAAKDAPIFIQCTSTLPDWEEEHSYQAYHLVE -IGLSKNREFNPPQEMKDSGDLLYQLAQVRTNGFTRILQKTQSINGETKIKINYSHERVLITSHYGATISR -QDVSILSSFEESIINNDVMVGTSTKLLLCKQLKKLLADNHNCSACAGGASTSNNTSFTACSDSSSNNEEK -DVSSDEQGPEDLPI - ->YP_006607893.1 transcriptional transactivator [Soybean Putnam virus] -MESSESLKLKIAIMEQELKLMKMRLEYNLLVEQKSSITLDSQSPNEQAGILPKNTIVSSSTQQKDKTIPS -TNAGKQIVSSPEQTATGKDKSNPLTAEALPKSLNQTQSSRLISETVNLRPNQGLKIERIQDDEFQTPKPN -TKTQHYYYVVFNGANAGIYEDWETAKTAVNGVPNVKHKKYRSLLEATTAAKLFAKDNFCAEAKLINSSDC -LKPNTFCEALKQGKKTKVSLGRPVQQSTVQKSSNDQDQEEPLCARGFEYWYLEGRRASESKLIEERFFTT -DNANVSYFNFLKNSHPDQVYEAFRYGLVRMIYPSNNLQELKSFPKGFVSAIKKFRIKTSKDSGKTDRDVF -VKVLSSIPAFDESGVMLHEPHHVIQIGFSKGEKYSPSKVMPSRVEKKDFPELAKAKFSNLLDKVYDFQDE -DRIFVNYTDSRILLFSKGSKPIGKEDIRCILQFQQQVTRQDIFKMHFEDICSMIKDKVGPAHCCPLCKAT -DAIKRSLMVGPTITTSTSSTRDESFKVDGPVFLPAGPIDFLEEE - ->YP_001931968.1 inclusion body/transactivation factor [Eupatorium vein clearing virus] -METVEHLKLKQQALQLELELIQTKLKLAELEQQCPSTAVNVEVPAMEKVIKPPTNKGKGLASPPQTVGGK -DQSNPLTVNVLPKDIGEGSSSSKAEQICLKPNQGITAPVKSGIHISEAFHEVVKIQSSTKKQFYYVVFNT -ANAGIYPSWDLAKAATNKVSGVLHKRFNSFHDARKAADFYTEDNGGERLQFISNANALKPSYADVASKKI -NDINKKKVLGSLPQKKVEEPKDDESVQGFEATLEMQDFIYLRDMARSANKKSILEGKFFTTDKKDISMFN -FVPGANPELVYEAFCYGLVSQIYPAENLLELRSFPNDLVKAVKQFRKKITSKPNALIYLKITSSIPTWDE -SYQLLSLPVRIIEIGLCKKANYHDSIQQKDDGENDLHKLAADRYSKVLDKAHSFYQESKVFVNFTDEYVL -MYSKTNKVIPEDHAIKIRKYQDGITKSTFLGFHSETVVGPGP - ->YP_001931962.1 inclusion body/transactivation factor [Lamium leaf distortion virus] -MENLAEIYSQLEQAEKIQRTMFQQLSQNATTIFDKARVGIPYDPVSDPETASLLRDIRLISQKIDDLTLL -RTAVEKVNSEPCTEKSLQSESSPEQTATGKDTSNPLKDSSFPKAMPEVQTSSKLVKPSDFSLRPNGFMGN -PMPKNLVSKLVDSQESVQGIPIPYVFKDYYVVFNGPLPGIYTNWPAAQQATKNVSNVLHKKYKGFIEART -AADLYCKNHGLEPLKFYSEEATLQPKQPKRKVPSGELPSSSLKEADTPDVNIVMEDFMNVYKAARAHKDE -RFFIDHFFTTEKKNLSFYNFCECSDPEIVKDAYLCGLIKTIYPGPNLLEISLLPKEIRRNVKHFRRKCIK -DPSKKIYLKFSSTIPRWGKEGEQVYWPHHHITMGVRSEEEQYQPSRQMEATLEVQDLEELAVQKIQQFIE -KMFEFSKEDKTFVNLIWNRVLITSKSFKPLSTSHVELILLFQKRLKNHYDFGPHHPLICKSIEKKSVEYS -CLNCSKGKRPKKDGHVEDSATTSSSSS - ->NP_861547.1 TAV [Cestrum yellow leaf curling virus] -METAIIAMETQLQQMLNNLQIAKNEAKEAQQKVAKLEVEISKFQSGIEMMKQFMPAITSEVCKQSVVDPS -SNSEKMQEIASGKKPIAEGVSATSPEQTATGKDISKPLMADALPKSINSTNVETSPVQTVTGKDSSKPLM -ADALPKSINSVKTETGKKSWADIATEDEREPSSLVQLPLSGKKFYVLFNTPRKGIFSDWSQIAPLITGVK -GAVHKSYPTMEAAKKALKDAEQMNGLKASSLEKLQPRVPAKKRQSIQEMVMARGGFKQNTPQNTYVFSPE -NRTMVNKKIFEWKKDEPNSEFYPIEIRGQTKIVLFPGADPVFAYTAYLMGYVKQIIIFEEFKFLSCFPRL -FANCVEKFYNKIGRRETVINVRSSFPLLDETMKIRIPAINVAVMAMFNKQFEPFAEEIKLDIQLEDVLAS -IEGVYERTQKIDERSKLKVWYQSDSTILFGTSPKEIEDVDIRSLLRFEEMFKAMETGPLVNLQDEERKIL -CQKMQKYKGHSCQLCKSESSGPQTSEEGLQESEDEDFSVLV - ->NP_659398.1 hypothetical protein [Mirabilis mosaic virus] -MKIPHKTHPFFKGGELNQKQEKQKPVMEKELQALRIKEKILLVELDSIRKQISIYAELTGSLDQEGSASH -SKPSPQQTADGKDGSNPLNPDALGKSITENLVPSPEKDESKKVVSLRKTESGLYIPTTSPVANGSGKDTT -NPLTADTLLKSDNEDINLRPSYASMMMKKPLPAEKFYVVYNGDHPGIYTDWAHVLKASSSQKGIIIKKFL -SFAEANISASKYSIRHGGIIKYKGPIPILPKKEAAAPRRRLVQKPEEKKEDHFPKVSFEQFISLWRKARD -TVPEDYVKEQYWTDDKNSRSLFIFGPNADPVLVFAAFNAGLIKTIYPSGNLQEIKEFPSEFKKAVKTFRS -KIAKAKDANIFIRVTSSLPDWEKEEDYQGYHFLEIGLAQEREFRPSERMEDSENLHQQIIQVRINGLINI -LTAMGKIDEKSKTKINYAHQHVLITSYCGTPISSTDINILSSFEDKIIMLKIRVGATTKTLLCQKLKKMM -PDSHLCEACEAGPSTKKISEASSSGPSTHSSISEQQDEGNDVSHDV - ->NP_619549.1 unnamed protein product [Figwort mosaic virus] -MEELKALRLKEKILEIELNSVKMQIHAYEESLKATTVNSVQEGEILQTESIPECPAQGKETPNPVKADSL -LKTILGNERQNPLEGKSSKLVNLTPKSDKDKVKSSPVANGSGKDSTNPLNPVALGKSKMTILGQKQADEE -EFKPDYLRAASNGQSWFAVYKGPNKEFFTEWEIVADICKKRQKSKRFRSKEQAEVSISLYNKDIQDPVNF -LRPVKLVKEERAAQPLKFKAIAAEQTIQFDEFRQIWEKSRLSDLEDGVQEKFYTNDSASKSTYTFVENAE -PYLVHTAFRAGLAKVIYPSPNLQELKWFPEGIVKAIKNFRKKVLNAKDAAIFIKIFSSIPDWVQSTRYEP -YHFIQIGIAKTKKELPSSKVCKEEFSVQSLNKVRVQSLQTISQKLQEINEESSIKVNYCSSTCIMVSKFQ -KKTSTEDLKLVGIFESNLVNIEQLACGDQTKKEWCRIVRRTYQKHLCLYCKDKADSSSTSGEQNNVEKSC -PDSPLTNAYDERSDDHKRIPSI - ->NP_612578.1 Inclusion body matrix protein [Carnation etched ring virus] -MERHEQILKEIAFLELRLQSLKLELEFVRSSGSEQKGKIEPFRPNSECSATPMQTAIGKESSNPLMAISL -PKAEKKHSKASEVPSPHKTVKEFSEIPKDFLRPNQGIQIPKKNEDHSSSSSKEEKGIQNPKKDFYVVYNG -PYAGIYDHWGTAKKATNKIPGVSYKKFKDMLSARTSADIYTNAQFGEKLKYIPGATTSPKSFAEALTTRP -SNMKSLGKPKFIKIEEDDDVGFNPEFDLKSFLYIYKYGRNLEEEHFLTDRAFTIDKKEISYLNFVNNSDP -EGILESFKAGLVRFIYPSTNLQELRLLPKVLKSSVQRFRKKCIKDSEKEIFLKIKSTIPCWEDYYNGLDD -SVSYRPNYLVQIGISKGVNYQPSQKMEAVVLKEQWQGIAEEKAIEFFQAIEDILSNEKIFIIYCDDRILI -YSSSPKERTKEDLMAILNFQSEVSSCKLLGFHSDKICSYLNKKASVGKPYSCPQKGKAVITSGPSFSVED -TLSDTE - ->NP_395470.1 putative translational transactivator [Blueberry red ringspot virus] -MEGAIKTLENQISTKEQSFQAKKQKLAELEAEINNLRSTLAILSGDSSKLSPSVPEEKKYSVLANIEEVN -KQVASARSKKEYYVIFNGPMKGIYDEWHKAAPHIQGQSSIIHKKYPTIDEAKKALEGSYAAITNAPASPK -DSKVLLGRFKVPSVPTIDSIQTIESKMKALKVTPKKYNDYMEILYNYKDQHKLLHFYPKYRDTIGYKAII -LPEASAFTTYELFKNGLADTIYFSDSKIFNDFPERIKQTINNYFKRFAKERPCYIKLFSTHPTFSIQGEE -DMPSYSVLQIGISNGDMPLMDTLHMPVPKHEELKQINLQNFIGVINHLSNLTANIKMLYKSDTMIIYSKA -TKEIEPDQEAVFIEFEKNFIENKIPKMTGEMKKELCNHMTKEDHPGHYCPILSIHSAGMIRSQYQVKTKK -RSPMEVEE - ->NP_068730.1 putative inclusion body protein [Soybean chlorotic mottle virus] -MEQAIKQLRNQQKQLDQQIEQQKQTLNSLISRREEVTKGIQTLELLSGTTLVPDQQIHEALQPAVSESTK -GNQEIKTWKQIFDEEHELTREEKIDATVGQKKKAYVIFDGPWKGIYQDWHIVKSKVNAQPYRYKGYNSLE -EAKLAHKQAYAEVTKADEVKVEKTMKSFAKNNVAEKIHGLHKSGPKELTEAEFYRNWKMITEWTEESANL -GFYPDCSKQVKAVFFIGADPHLLSSFYQSGLISYIYLQEDEGQKGAISKATSQLPKELRRTCQQYQLSFA -KTREFYLAIQSTYPVFDEEKMLVPAKHLVKLGISASSYPENKIVKTNFNFSLFINSVDKLYNYIRQYGTT -IKGFKVLMKTQLCLAVCLIRDQAEESSKIMVMEFELDISTLTGIFSNLPKELKKATCEKMHRYKSHLCES -CEINFPELSETMNVSNDEKRSTKSVSSDEINLSAENDGYQHS - ->NP_043934.1 hypothetical protein [Strawberry vein banding virus] -MEQVALSYEESLLHQMNEVKLSIYDLEDQLSAKRYEFDLLERKLRRHTSAVKSQQDNITGTLPREAVIIP -EPRKLEVTQQLGEGQTQSTELSSRQQPEEGKPNNSQSYTGIRPDQLFQSADNQTTKVESAPQVELRPQAP -LSGSKTYVIYDGPNQGIYDSWALVAPIKQNYHHQAFSTRKEAELALQTYIVQKHQKKPIEQHQPKEQKAQ -FPESDYKKALASTKAAPNKNLVVLGRMFKQQPKITEKSKVFERPLVTKDHFIHNYLVAQTHDNCEEHKFF -TVDSKTLSLYGFIEGADPEYVREAFHCGLISLIYPGENLKEISLLPKDLYRAIKNYRTRVCSAQSRPIYL -RITSTFLEWENDDILIPYHWIEVGLSNGKIPWDKSYKKTATVEYLPEITALRPKRIKAVYNQCTKIFADS -KKKLNYADDKIILISNYSELIKEKELITLSEYEARFTSGQLAITEKTARQWCLDAPEPHLCSRCEAARVV -HKTHSEKASSTDTEKDNHSSSSNM - ->NP_042514.1 hypothetical protein [Peanut chlorotic streak virus] -MEDIFKMISEKKEKLKEEIEEKRSLVSRLQKEISDKEKELSALSQTEQSLGLSIKKEQPEKLVIQSSSEK -YDEEERAKRYYVIYNGPGKGIYDEWGKASLFITGVKGIRHKKFLSKKEAQDSFNEENKEAAKTAEVSKAY -LEKLQSPKPQTSRMVNLGKIPSPRTIDHILTKADLQEKARLTKKIYDDDYMFLKKYSDDDKQTSIYPVDS -KSLGAKAVVLPEADNIKTYMLYQCGFIHAIYFKSLDIFRYFPENMKRAIQVYAQRMLKAEKGMREGFLRI -YSTNPEFDESGEVVQPAIQLCQIGGSNSNYPVMGVIEDVDELEAFLHNYTYILQKGISVQGRINYSAKST -IIWSQQARKPSEQDIQILTEFLLRTVKNEFNFSAEVKQEICLRLQRDFSEDHVCQLCEQSSGDLEPIKEE - ->NP_056729.1 inclusion body matrix protein [Cauliflower mosaic virus] -MENIEKLLMQEKILMLELDLVRAKISLARANGSSQQGDLSLHRETPEKEEAVHSALATFTPSQVKAIPEQ -TAPGKESTNPLMANILPKDMNSVQTEIRPVKPSDFLRPHQGIPIPPKPEPSSSVAPLRDESGIQHPHTNY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAHKKFATITEARAAADAYTTSQQTDRLNFIPKGEAQLKPKSF -AKALTSPPKQKAHWLMLGTKKPSSDPAPKEISFAPEITMDDFLYLYDLVRKFDGEGDDTMFTTDNEKISL -FNFRKNANPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKVVPTESKAMESKIQIEDLTELAVKTGEQFIQSLLRLNDKKKIFVNMVEHD -TLVYSKNIKDTVSEDQRAIETFQQRVISGNLLGFHCPAICHFIVKIVEKEGGSYKCHHCDKGKAIVEDAS -ADSGPKDGPPPTRSIVEKEDVPTTSSKQVD - ->sp|P03557.2|IBMP_CAMVD RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLLMQEKILMLELDLVRAKISLARANGSSQQGELSLHRETPEKEVAVHSALVTFTPTQVKAIPEQ -TAPGKESTNPLMASILPKDMNPVQTGTRLAVPSDFLRPHQGIPIPQKSELSSTVVPLRAESGIQHPHINY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAHKKFATITEARAAADAYTTRQQTDRLNFIPKGEAQLKPKSF -AEALTSPPKQKAHWLTLGTKKPSSDPAPKEISFAPEITMDDFLYLYDLVRKFDGEGDDTMFTTDNEKISL -FNFRKNANPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKVIPTESKAMESRIQIEDLTELAVKTGEQFIQSLLRLNDKKKIFVNMVEHD -TLVYSKNIKETDSEDQRAIETFQQRVISGNLLGFHCPAICHFIMKTVEKEGGAYKCHHCDKGKAIVQDAS -ADEGTTDKSGPPPTRSIVEKEDVPNTSSKQVD - ->sp|P03558.1|IBMP_CAMVC RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLLMQEKILMLELDLVRAKISLARANGSSQQGDLPLHRETPVKEEAVHSALATFTPTQVKAIPEQ -TAPGKESTNPLMASILPKDMNPVQTGIRLAVPGDFLRPHQGIPIPQKSELSSIVAPLRAESGIHHPHINY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAYKKFATITEARAAADAYTTSQQTDRLNFIPKGEAQLKPKSF -AKALTSPPKQKAHWLTLGTKRPSSDPAPKEISFAPEITMDDFLYLYDLGRKFDGEGDDTMFTTDNEKISL -FNFRKNADPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKVVPTESKAMESKIQIEDLTELAVKTGEQFIQSLLRLNDKKKIFVNMVEHD -TLVYSKNIKDTVSEDQRAIETFQQRVISGNLLGFHCPAICHFIKRTVEKEGGTYKCHHCDKGKAIVQDAS -ADSGPKDGPPPTRSIVEKEDVPTTSSKQVD - ->sp|P16666.1|IBMP_CAMVB RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MEDIEKLLLQEKILMLELDLVRAKISLARAKGSMQQGGNSLHRETPVKEEAVHSALATFAPIQAKAIPEQ -TAPGKESTNPLMVSILPKDMKSVQTEKKRLVTPMDFLRPNQGIQIPQKSEPNSSVAPNRAESGIQHPHSN -YYVVYNGPHAGIYDDWGSAKAATNGVPGVAHKKFATITEARAAADVYTTAQQAERLNFIPKGEAQLKPKS -FVKALTSPPKQKAQWLTLGVKKPSSDPAPKEVSFDQETTMDDFLYLYDLGRRFDGEGDDTVFTTDNESIS -LFNFRKNANPEMIREAYNAGLIRTIYPSNNLQEIKYLPKKVKDAVKKFRTNCIKNTEKDIFLKIKSTIPV -WQDQGLLHKPKHVIEIGVSKKIVPKESKAMESKDHSEDLIELATKTGEQFIQSLLRLNDKKKIFVNLVEH -DTLVYSKNTKETVSEDQRAIETFQQRVITPNLLGFHCPSICHFIKRTVEKEGGAYKCHHCDKGKAIVQDA -SADSKVADKEGPPLTTNVEKEDVSTTSSKASG - ->sp|P22547.1|IBMP_CAMV4 RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLLMQEKILMLELDLVKAKISLARANGSSQQGELSLHRETPEKEEAVHSALATFTPTQVKAIPEQ -TAPGKESTNPLMASILPKDMNSVQTEIRLKRPSDFLRPYQGISIPQKSELNSTVTLHGVESGIQHPHINY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAQKKFATITEARAAADAYTTSQQTDRLNFIPKGEAQLKPKSF -AKALTSPSKQKAHWLTLGTKRPSSDPAPKEISFAPEITMDDFLYLYDLGRKFDGEGDDTMFTTDNEKISL -FNFRKNADPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKVVPTESKAMESKIQIEDLTELAVKTGEQFIQSLLRLNDKKKIFVNMVEHD -TLVYSKNIKDTVSEDQRAIETFQQRVISGNLLGFHCPAICHFIERTVEKEGGTYKCHHCDKGKAIIQDAS -TDSGPKDGPPPTRSIVEKEDVPTTSSKQVD - ->sp|Q00957.2|IBMP_CAMVN RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLLMQEKILMLELDLVRAKISLARANGSSQQGDLPLHRETPEKEEAVHSALATFTPTQVKAIPEQ -TAPGKESTNPLMASILPKDMNPVQTGIRLAVPGDFLRPHQGIPIPQKSELSSTVAPLRAESGIQHPHINY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAHKKFATITEARAAADAYTTSTQTDRLNFIPKGEAQLKPKSF -AEALTSPPKQKAHWLTLGTKRPSSDPAPKEISFAPEITMDDFLYLYHLGRKFDGEGDDTIFTTDNEKISL -FNFRKNADPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLVHKPRQVIEIGVSKKVVPTESKAMESKIQIEDLTELAVKTGGQFIQSLLRLNDKKKIFVNMVEHD -TLVYSKNIKDTVSEDQRAIETFQQRVISGNLLGFHCPSICHFMERTVEKEGGSYKVHHCDKGKAIVQDAS -ADSGPKDGPPPTRSIVEKEDVPTTSSKQVD - ->sp|Q05651.1|IBMP_CAMVW RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLLMQEKILMLELDLVRAKISLARANGSSQQGDLPLHRETPVKEEAVHSALATFTPSQVKAIPEQ -TAPGKESTNPLMASILPKDMNPVQTGMRLTVPGDFLRPHQGIPIPRKSELSSTVAPLRAESGIQHPHINY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAHKKFATITEARAAADAYTTSQQTDRLNFIPKGEAQLKPKSF -AKALTSPPKQKAHWLTLGTKKPSSDPAPKEISFDPEITMDDFLYLYDLVRKFDGEGDDTMFTTDNEKISL -FNFRKNANPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKLVPTESKAMESKIQIEDLTELAVKTGEQFIQSLLRLNDKKKIFVNMVEHD -TLIYSKNIKETVSEDQRAIETFQQRVISGNLLGFHCPAICHFIVKIVEKEGGTYQCHHCDKGKAIVKDAS -ADSGPKDGPPPTRSIVEKEDVPTTSSKQVD - ->sp|Q02954.1|IBMP_CAMVE RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLLMQEKILMLELDLVRAKISLARANGSSQQGDLSLHRETPVKEEAVHSALATFTPTQVKAIPEQ -TAPGKESTNPLMASILPKDMNPVQTGIRLAVPGDFLRPHQGIPIPQKSELSSTVVPLRDESGIQHPHINY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAHKKFATITEARAAADAYTTSQQTDRLNFIPKGEAQLKPKSF -REALTSPPKQKAHWLTLGTKRPSSDPAPKEISFAPEITMDDFLYLYDLGRKFDGEGDDTMFTTDNEKISL -FNFRKNADPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKVVPTESKAMESKIQIEDLTELAVKTGEQFIQSLLRLNDKKKIFVNMVEDD -TLVYSKNIKDTVSEDQRAIETFQQRVISGNLLGFHCPAICHFIERTVEKEGGSYKVHHCDKGKAIVQDAS -ADSGPKDGPPPTRSIVEKEDVPTTSSKQVD - ->sp|P18617.1|IBMP_CAMVP RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLIMQEKILMLELDLVRAKISLARANGSSQQGDLPLHRETPVKEEAVHSALATFTPTQVKAIPEQ -TAPGKESTNPLMASILPKDMNPVQTGIRLAVPGDFLRPHQGIPIPQKTDLSSTVAPLRAESGIQHPHINY -YVVYNGPHAGIYDDWGCTKAATNGAPGVAHKKFATITEARAAADAYTTSQQTDRLNFIPKGEAQLKPKSF -AKALTSPPKQKAHWLTLGTKRPSGDPAPKEISFAPEITMDDFLYLYDLGRKFDGEGDDTMFTTDNEKISL -FNFRKNADPQMVREAYAAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKVVPTESKAMESKIQIEDLTELAVKTGEQSIQSLLRLNDKKKIFVNMVEHD -TLVYSKNIKDTVSEDQRAMETFQQRVISGNLLGFHCPAICHFIERTVEKEGGSYKCHHCDKGKAIVQNAS -ADSGPKDGPPPTRSIVEKEDVPTTSSKQVD - ->sp|P13218.1|IBMP_CAMVJ RecName: Full=Transactivator/viroplasmin protein; Short=Tav; AltName: Full=Inclusion body matrix protein -MENIEKLLMQEKILMLELDLVRAKISLARANGSSQQGDLSLHRETPVKEEAVHSALATFTPTQVKAIPEQ -TAPGKESTNPLMASILPKDMNSVQTENRLVKPLDFLRPHQGIPIPQKSEPNSSVTLHRVESGIQHPHTNY -YVVYNGPHAGIYDDWGCTKAATNGVPGVAHKKFATITEARAAADAYTTNQQTGRLNFIPKGEAQLKPKSF -AKALISPPKQKAHWLTLGTKKPSSDPAPKEISFDPEITMDDFLYLYDLARKFDGEDDGTIFTTDNEKISL -FNFRKNANPQMVREAYTAGLIKTIYPSNNLQEIKYLPKKVKDAVKRFRTNCIKNTEKDIFLKIRSTIPVW -TIQGLLHKPRQVIEIGVSKKIVPTESKAMESKIQIEDLTELAVKSGEQFIQSLLRLNDKKKIFVNMVEHD -TLVYSKNIKDTVSEDQRAIETFQQRVISGNLLGFHCPAICHFIMKTVEKEGGAYKCHHCEKGKAIVKDAS -TDRGTTDKDGPPPTRSIVEKEDVPTTSSKQVD - diff --git a/seq/clusters_seq/cluster_211 b/seq/clusters_seq/cluster_211 deleted file mode 100644 index e616e67..0000000 --- a/seq/clusters_seq/cluster_211 +++ /dev/null @@ -1,196 +0,0 @@ ->YP_009345014.1 hypothetical protein 2 [Wuhan insect virus 34] -MDATTPDNETISWILYVMEKEYTEAAWRLPGSWWSWEAFVEAVRHLEMTSSPGIPYMKEAPTIGDWLRWD -GVQCDDFQLRRLWHEVQQVFDEDFRLKLRVFIKQEPHKKSKAEDGRWRLILASPLNVQVAWHMLFSYMND -IEIDKAYYLPSQQGLNLSGGMWKLYLNQWKTSRQTCGMDKTAWDWTAPWWVFLVDLEFRRRMCRRGDVGS -WLRKAKVLYHQMFEQPILVLSDGSEFEQLYPGIMKSGCVNTISTNSHAQCFVHLAVCRKNNLPYYPLPKC -VGDDTLSTEEQIPANIMDLYAEFGVRIKSVTDTLEFVGREFRDCGPIPMYVGKHVAKAHYVSEENLVSYL -DSMAREYCHSESYYRVWEALAERLGIDLPLSRESYLYWYDYQGW - ->YP_009342464.1 RdRp [Wuhan house centipede virus 5] -MQVFGVPWGFERERGYPRMPATTPPSFNTRVQEAFTRIKNEVPIISRYLEESTNYVWPSRQIASELKSLQ -VHSERYFKLSTNAMHPDKDLKSAILYHAESSYGKARWTLPEDWWSWRAFKEAVRNLDMTSSPGIPYMKEA -PTNGKWLKWDGILCDSLQLERLWHDTQKVIEPEFDLLLRVFIKQEPHKKRKADEDRWRLIMAAPLSVQVA -WQMCFRYMNDLEIEKAYDLPSQQGIILVSGGWKVYSQQWKEQGQTCGMDKSAWDWTAPRWALALDLEFRK -RLCNKGMNIAWEHISETLYRQMFDNPILVLSDGTAYRQVVPGIMKSGCVNTISTNSHCQVFIHIAVALTY -KIPLKPLPRCVGDDTLCTIGQSPGGIISYYDSFGVILKSISDTLEFVGREFTDEGPIPMYIGKHVVKAQH -VRDEDLSMYLDSMAREYCHSPEYFEVWKRLADELECSLPLSREAYLYWYDFPED - ->YP_009342442.1 hypothetical protein 2 [Wuhan insect virus 17] -MRSVDDELLFPSEAGDRIYPGLDARQRAARMERESFGVHTREFAQPASAHPEPSSEAREVVLAMLEQEFD -PSQWQLPDDWDSFDSYERVLSRLNMQSSPGYPYSSQAPTNGEFLGWDGVECQNRQRVMILWRDVKRLFEE -EQVEVILTTFVKEEPHTLKKVGARRWRLIQAAPLHVQVWWQLLFCYGNDAMVRESINIPAQQGFTIVGGA -WKSYLDSWRNKGLRCGLDFSAFDWTVRKWMLDDALRLRLALGRGRRLGDWHRQARKAYHAMYVNPKVLFS -DGSLWEQTVPGIQKSGVVNTIADNSVIGRMNYLHAWLVYLAEAEQVDPELYQRPASVHCGDDMLVASKAK -LLKPYLSRFGLVVKYLTQGLEFCGHKFRSAGMIPMYWTKHVKMMMHATDVTSSLDAMLRMYAHCTKKWKE -WAALADELGVKRFSVLLYRHWKDRPADWSPAAQTLW - ->YP_009342317.1 hypothetical protein 2 [Wuchan romanomermis nematode virus 3] -MREAPTIGKWLKWELDHPDPIQACRLWIMVQQVFAGTYDHYFRVFVKDEPHKIAKAREGRWRLIIASSLP -VQVAWNMAFRAMNDLLIKKVYRTPALQGLILCYGGWKRFLAYAQTNNWTMSKDMSGWDVNAPGWVFDADL -ELRTRLCSNPSASWIRLVRMLYDDAFRDAKLLFSNGLVYQQQFSGFMKSGVYNTISTNSNCMFFLHVIAS -RRARIPLRPIGAVGDDTIQSPFPDAYIDHLQSLGCVVKEQNVGLEFIGTDFRSGEPRPMYFDKHLVSICN -TEDVPSTLDSFCRLYAHEPDKLAFWLELGRQLGVSLRSSAYYQFWYDSPWARMLEW - ->YP_009337875.1 hypothetical protein 2 [Hubei diptera virus 14] -MEEIYSKCVVDVTNFFSYEKFIEAVWALDNTSSPGYPFMFEKTTIGDWLEFNGYKHSETQIAKLWIMVND -LVNCEEWDVLWRCFIKQEPTKSYKIESSRYRLIMCPPLHLQVLWQMVFAAQNAIEISKAYYIPSQQGISM -PYGRWKLFYEQWKNKGITSGTDATAWDWNLPGWMIRLDLEFRKRLVRGLTSNWEKLANKLYENAFRDCKI -IFSCGTVLQQQSWGVMKSGCVNTISTNSHCGVFYHFIYCFENKVEINPLPSVVGDDKLQHPKHCENIETY -EKYGMLIKSVSDTMEFVGHEFRETGPCPMYIYKHIYNLLYQENDLLPEVLDGYLRLYANSEEFNMWYDIA -RELGLLVNCRTRTYYKSWYNSPNGGKSVGKA - ->YP_009337869.1 RdRp [Wenzhou shrimp virus 9] -MEEAYAAVRWDIPSDFLSREHYERTLLRLDLQASPGYPYLREAATIGLWLGVTLEGQFAPDQVERLWQHI -LLILSGEFDHYYRVFVKDEVHRKKKADEGRWRLILASALPMQVLWHMLFAPMNDLEAEKIFHTPSAFGVS -FVYGEWKMFKNYCRVKRLEVAIDKSGWDWNAPGWVFDADLQLRYRLCNQAERPAGHLWFALARKLYDDAF -EHSRCLLPSGQVYVQEFSGFMKSGIVNTISTNSHAQIMLHMLACKRSGEPVTPILACGDDTIQAATSAGY -IEELAKAGCIVKSVDRKLEFMGFNFEGAMQPIYTVKHIASFTYKSEELHGEILDSMCRMYAHHPWFDCWK -MLAGSLGHNMKSRAWYQFFLDSTANIRITKSI - ->YP_009337260.1 hypothetical protein 2 [Shuangao sobemo-like virus 2] -MCFGSFKLPKPGTAVPGWTLYRVLAPTATAGNKLVPNERVARVFAELRPTAPGPIKQAFEEADKLTAPPT -TAKDQWDSFYTQSVARHASLKTYVEPDERLFSMILYQAEQTYSSCIRKLPLGWDTRSHFDKTLRKLDRTS -SPGWPLCKQASTIGDWLYPGSILDPDPMKAEQLWMMVQQVFELEYEHFFKVFIKPEPHKEEKSLARRWRL -IMASSLPVQIAWHMAMGHLEEAFLREQPFIPLAYGEPFFAGSWLRFKESCKKTKITWATDKKAWDWNSPG -WVYQACRELRVRLTRFDHTHDQQRWFRVVNWLYDDAYRSSKLLLASGHVYQQTTAGLMKSGLVPTISDNS -LSQDLIDMAAQLSVGRLPCKKRVTGDDVIQEKPADPQAYIQRVQSFGCKIKQAATKLEFMGFDMDGDLTP -IYPQKHLWNFLHQKEEYLEQVVGAYLRIYANSPQHSQFWRAVADKLNLRVMSPSFYSFFMNNPEAAMKLG -PVRYREGLLGGAGLV - ->YP_009337222.1 hypothetical protein 2 [Shuangao sobemo-like virus 3] -MEEIYSPVVWNLPDNYLSYDSFVKVCYGLDFRSSPGVPYCYDYPTVGDWFHRKALDLSEQRLQILWLDVQ -DLINGKLDPILRYFIKREPHKKKKIAQKRWRLIASTPLNVQVLWHMLFDFQNDKEIEQVYSIPSKQGMSL -VHGQWKFYKRQWKSKGFNFCVDAEAWDWTMPYWLILWDLDFRYRMGRGRKMDEWRLLALNLIQYMFEKPL -VCLSDGSLYRQLLAGIMKSGCVNTISTNSHGQIMDHIIVCVRENLPIYPLPDACGDDKYQNEINAGSVEA -FSKIGVKIKLMEKGHDFIGHDHSLESGPVPLYFDKHLFSYCIEKPEFLSEFLESMCFLYTHSEKFSFWYD -LALKHNSRVKSRFYYKYMYDIPVA - ->YP_009336757.1 hypothetical protein 2 [Beihai sobemo-like virus 27] -MKSFAVQSRTAHIVRERTISPTDEELEHILYHAEQALAPAKWKLPSNWNSRTAFEAALAELDWQSSPGYP -LLREAPTIGDWLLIKGTLERDPSKVERLWDMVQKVLKGEYIHYWRVFIKSEPHKRSKALEGRWRLITAAS -LPVTVAWMMTFKKLNDRLSHYDAALPVQQGYVWCSGGWKNFKRRIEQDGLTISVDKKAWDWGAPGWAFEA -DLELRTRLCLNPSPEWHRVATLLYADAFQTAKLLLPNGIVYEQQFHGFMKSGCFNTISTNSNCQILLHYL -AEYRWAKAEGVSIKEHSKILACGDDTLQAFYTPLYGELLEQAGCTVKEAAQNTDFMGYNYDGPPEPMYFA -KHLVKFALQKPALRPETLDSYMRMYATSESRHFWRAVANELEIHLMSDSYYARWANVPEP - ->YP_009330130.1 hypothetical protein [Hubei sobemo-like virus 41] -MHSGYVIPADWNSKSRFMTLLLTLDYSSSPGYPYLREAPTIGKWLRTDECGSFDPVQVERLWYDVNMVMA -GSYEHLFRAFVKDEPHKIAKAKENRWRLIIASSLPVQMVWRMLYTGQNEALNKYHDWCPSKHGFVFCYGG -WMKFIAQAKTKGLNVSRDISGWDVGAPGWVFEVVGAWRESWPRATDSWIRVHRMMYDDAYKSSRIIFSNG -VVVRQLFGGFMKSGLFNTISDNSLAMGAIHSLACVRSGLPFGHYIVTGDDIAQSTVSAGYLDALYKLGCR -VKEVLYHLEFMGVNFSSGKPEPMYTQKHLFNLLTKEQFIAETMDSYCRYYAESSKYHWFTEIAKELAVPV -KSRWFYQFWYSSPLAEIYHKLG - ->YP_009330126.1 hypothetical protein 2 [Hubei sobemo-like virus 40] -MTQAPTIGEWLFKGELEPDPTRKELLWLMVTDVIEGNYEHLFRVFVKHEPHTERKALAKRWRLIIASSLP -VQIVWQMVFGNIEDALLQQTGRTPSAYGLVYGAGGWKRFRDMLVQRKVNLCVDKSGWDMNSPGWVYELCL -ELRKRLCTDLTPAAERVMDMLYRDAYQSSKLIFGDGTILEQQFSGFMKSGLFVTISDNSFSQYFLHVLAC -LRLRIPLGTFYATGDDTIQSLPPNVEEYLDHLEYAGCEVKEYMNGYQFMGFELKDYGPHPIYLGKHFWNL -LHQEDENLEQTIDSYLLNYCKVDEAFDYLRALSIELGYAPRSKQWYRFLMDNPLALEGNWTRPGFVDPAS -RLG - ->YP_009330118.1 hypothetical protein 3 [Hubei sobemo-like virus 38] -MDASPGLPFCKHYKTNAELLGFDGFNCDAVKADCLVNMFYYWLDNIQVYPFRIFIKDEPHKLSKKQKGRW -RLIFSSPLFYQILEHLLLDPLDEMESLCQWDLPTKLRWHPFWGGAQMGVSNFDNPVSLDKQCWDWTLTGQ -FAELDNALRSNLVKAPNKWHELFETVNDLIYNKAVFQFSSGHQFQQVEPGLMKSGLVRTLSTNSHCQCFI -HWLAEQKCGHSHKFWTIGDDLLVDDPCDDYLRYTSDYCILKEVEPGYNFAGFNLKTKTPLYWSKHIYRLL -YVDEKVIPDVLDNYQRLYVYSDKKFNIFQDMLYDLDPTKIRSQAYLKRWAVQKPSNFKFLIN - ->YP_009330095.1 hypothetical protein 3 [Hubei sobemo-like virus 37] -MDSTPGFPFCRHYKTNAELLGFNGLNVDHSKTQIVVSSFLKWLEDPVEYNFRMFIKDEPHKPSKVDEGRW -RLIFSAPLFYQILEHLLLDPLDELEKDNQWSLPTKLGWHPFWGGAQMCRSTFDKPCSMDKKCWDWTLTHY -LVELDTQLRKRLVIAPDIWYDLLDKLTSMSFYTASFQFSSGHVFRQTKEGIMKSGLVRTLSTNSHCQYFI -HLLACNKCGHNHVCWIIGDDVIVSDPCSEYLEYTSHYCLLKDVDWNYHFAGFDLLKNVPLYWSKHVSRLL -YSSDEVIPEILDNYQRLYVYDQSKFNFFQRLLAEYDLSKLRSRDYLLRWSVQKPSNFKFMFKT - ->YP_009330092.1 hypothetical protein 2 [Hubei sobemo-like virus 45] -MTQAMIPEDDLREKVLSVMEDWYKPCRWVLPDDWFSFERFRLVLNRLDRSSSPGYPYCGYKPTIGEWLGF -DGFVYDPIQVQLLWLDVQRVRDGESDLVQRVFIKREPHKKSKAAEGRWRLIMAFPLDHQVFWHMLFDYQN -DLEISHATSIPSQQGIWLHGGAWRGHIQRWKQFGYNVGLDKSAWDWTYPSWLLDWDLQFRYRMGHGSKME -EWMNLASREWKLAFGVGAKFITTQGWLLEQQVPGVMKSGAVVTIASNSHAQAMLHVLVCLDEGVDYEPFP -ACCGDDTLQRLDQASVEGYAKYGVVVKSASEGLEFMGHDFLDTGPAPLYLEKHFSRFLHLEEEIVPDFIE -SMARLYVKTPYYWLWEHISVAMGCIVPSKFALERWYDHSD - ->YP_009330082.1 hypothetical protein 2 [Hubei sobemo-like virus 49] -MTSSPGYPYMREATTNALWLKWDGVNVDSMQKQRLWHDVQLVLSDEFEGYLRVFVKQEPHKQRKMLDKRW -RLIVASSLPVQVAWHMLFYQLNDLEIDNSYEIPSQQGILLVKGGWRQYYNSWVERGLVCGLDKSAWDWTA -PKWAIDMDLELRRRLGRGDKMDEWHRIAKSLYRRMFEDPKLILSDGTVYQQKVPGIMKSGCVNTISTNSH -CQVFVHLAVCIENNLPMYPLPVCCGDDTLQAVVHVNDLEAYRRFGVVVKSASDNIEFVGHEFDARGPQPM -YILKHLKKVQYTTDEILSQYLDSMARMYTHSDYYVVWETLAEELGIDLPMSRESYTYWYDYDW - ->YP_009330080.1 hypothetical protein 2 [Hubei sobemo-like virus 48] -MEQAYSPVVWSLPDDFDSRTRFDWAIRRLDMQSSPGMPYMREAPTNGKWLKWDGVEYDAMQANRLWHDVQ -CVLSDDWEHVIRVFIKQEPHKKHKAREGRWRLIMASSLPVQLVWHMLFSYMNDLEISECYNIPSQHGLIL -VGGGWKDYLRSWKEEGLSVGLDKSAWDWTAPRWVMDWDLDFRYRMGRGKRMEEWHRLAKLMYHHMFDHPV -LQLSDGTLLRQTVPGIMKSGCVNTISTNGHAQVMMHCVVAEDSNVPYEPYPKTCGDDTLEHPMHTQSLEY -YGRYGVVVKSVSENMEFVGHEFTDSGPHPLYISKHMKKLQYLADDIIPDFLDAMARMYVHTRYFSIWEDL -AIVNGTPLPMSKMAYRYWYDFSV - ->YP_009330039.1 hypothetical protein 2 [Hubei sobemo-like virus 44] -METLRRAGQALEETTSETVNGIKSLLGAVSDCLEEFQWPHNADDLTSFRIHADKYFRLATNACIPDLELQ -ERVLNKTEEWYAPVRWKLPDNYLSKEVFKTVLRRLDFSSSPGYPYCKRKQTIGEWLGFDGFQFDPVQVDL -LWMDVQRAILGESELIMRVFIKQEPHKAAKAVEGRWRLIMAFPLAHQVLWHMLFDYMNDLEITKAAEIPS -QQGIVLYGGAWKSHLARWKQQGYDTGLDKSAWDWTYTYWLMQMDLQLRYRTGRGFKMMEWFQLATREYEL -AFGVGAKFITTDGYELEQLVPGLMKSGSVNTISSNSHAQAMLHALVCIEGGVDPDPYPACCGDDTLQKMS -QALIPLYEKYGVVVKSASEGMEFIGHEFLDSGPQPLYMRKHLNRFLHMKDEYLGDYLDGMSRLYAKTPYF -EFWSWLGDVYDVPVPSKQYVNNWYDHSY - ->YP_009330003.1 hypothetical protein 2 [Hubei sobemo-like virus 46] -MEEAYREVIWQLPDNYLSYEQFLVAVSRVDMKSSPGWPYVKEGSTNAQILQWNGFTCSEYALGRMWNEVN -HYLQSDEPIVLSCFIKSEPHKISKVQEGRWRLIMASPLHVQIVWNMFFMYLNDLMVKKAYHIPSQQGLVL -PNGGWKAYLDQWRSKGYDVGLDKQAWDWTAPIWLISMCLELRYRLCRGRMRGQWYNIARKLYRDMYDNPT -IMLSNGQVYYQTIPGIEKSGCVNTISDNSFMQIGVHVLVCLDLGLPLYPLPVAVGDDTLQCASQTADVEA -YAKFGAIVKSASAGIEFVGHEFTTNGPVPLYLGKHVVKSMHVADEYLPEYLDMMCRMYCKSPIFSYWACL -ANELNCPVFSQDYYSRWYDYESRF - ->YP_009329996.1 hypothetical protein 2 [Hubei sobemo-like virus 47] -MEEAYRPVVWRLPDDFMSYERFLIAVSRLDMSSSPGYPYVKEHSTNRQVMEYDGFKCSDYALARMWTALN -AYLDGQTELYLSCFIKSEPHKMAKVEEGRWRLIMASPLHVQICWNMLFMYQNDLEISKCYEIPSQQGIVL -PGGGWKVYLKQWKSRGYDTGLDKSAWDWTAPNWLIDLDLDFRRRMGRGSRMDDWWKIARKLYDDMFVSPL -ILLSSGALYKQIVPGVMKSGCVNTISTNSHMQVMVHILACWEQGVDYEPLPVCCGDDTLQRKAQAVDVGA -YEKFGAIVKSASEDMEFVGHDITDAGPVPLYLGKHVVKAMHVPEAYLDDYLDSMCRMYCKSPVYEFWDDL -AFDCGVAVYSQEYYRRWYDYEE - ->YP_009329960.1 hypothetical protein [Hubei sobemo-like virus 43] -MPRGGAVDELASLKAHAEFFRQSRESGLEVEPLDRERILHACETAYAAARYSLPSDWLEKTHFYRVVYDL -EFRSSPGYPYCLASSTIGDWLGWNGVGCDGEKLEELWFDVLAFLSGERDSFYRVFIKSEPHTLAKRDAGR -WRLIICPPLYEQVAWTMVFGPGNDREIETVGRTPSMQGMSLPGGLWKDWLAIFQQRGLNVAMDKSAWDWT -AHEQLISMDLELRHRLLTGPNRGRWRQLAERLYDGAFNHPRLVLSSGAVYQQTEPGVMKSGCVNTISSNS -HMQVFVHCLACLRYGLPLTPLPVAVGDDTLSSLSNLVEPSAYRFTGAVVKEVDLDMHFVGHRWYESGPVP -TYSAKHFVRFAVTGADYVPDFLDSMVRLYAHHEGFQKVWRWLAYRRGIDLPSEAFVKFWYDYPDDVIQYY -G - ->YP_009330107.1 hypothetical protein 2 [Hubei sobemo-like virus 42] -MDRILYVMEQLYESVRVDHYNWFCYDNFLKVVRCLEFSSSPGYGFSRTAPTIGGWLKFDGMNFDPQRLSE -LWHMVNVCYREPKLFSYWKVFIKREPHKTSKMENKRWRLIQCCPLDVQVLWHMLFAKSNELEANESMSIP -SVQGMVLPFGGWKEHYNRWMKNKLFFGSDKTAWDWTASEWMIELDLELRRRLIHTDDDWITQAAKVYENA -FYDAHLILGDGRIYRQMYPGIIKSGCVNTISINSRCQVMLHLLYSFRKGISPYPMVVAVGDDTLQAEEHA -VDKQMYERFGVVIKSVSETLEFLGREWNDDGPRPMYVSKHIFSLCYKNIELTPQILDALMREYVNEDSMF -NFLTILTRELGFSSNVHSRDYYKYWMDNPDAQYLH - ->AMO03215.1 hypothetical protein 2, partial [La Tardoire virus] -MRSAYGPSLMXPDDFDSKERFEWAVTRLDMQSSPGMPYMREATTNGQWLRWNGIECDRFQLNRLWYDVKM -VLTDQWEHLIRVFIKQEPHKVSKSKEGRWRLIMASSLPVQIVWHMLFAYQNDLEIEHCYHIPSQQGIVLV -GGAWKQYRRSWVENGLTVGLDKSAWDWTAPKWCIDLDLEFRRRLGRGRRLGEWQRLAKLMYHYMFDSPTI -VLSSGAMYRQTVPGVMKSGCVNTISTNSHCQLFVHCVVAEELHLPYEPYPKACGDDTLQHVMHTGDIDCY -LKYGVVVKSVSEDVEFVGHEFAESGPQPLYMGKHLKKLQYIGDDILPQYFDSMARMYVHTRYFDIWRDLA -EANNTP ->APG75826.1 hypothetical protein 2 [Hubei sobemo-like virus 40] -MHTMETRYFSCVRDLPSDWDSRASFDRYVKTLDRTSSPGWPLCKEANTNGKWLYPNDTLEPDPARAEMLW -QMVQQVMAGEFDHIFKLFIKPEAHKKEKAEAKRWRLIMAAALPVQIAWKMTIGHLEEALLAEQPFIPPAY -GEVFFGGGWRRFSENCRRKKITWATDKKSWDWNSPGWVYLACRDLRVSLTRNHTPKWLKVLFWLYADAYQ -HSKVLLSTGDVYEQLTAGLMKSGVPPTISDNSISQDLLSCAASLSVGEEPPPKKITGDDVLQKKPKEPDR -YLERLQTFGCVVKEAVDKMEFMGFSFEKTIEPIYKSKHVWNVSHQQDENLEGVLDAYCRLYAHQPDFLEF -WIGVGRRLGIVLKTPAFYRYFMDNPNALKSQSFGTPVYRDLAGVRL ->APG75881.1 hypothetical protein 3 [Sanxia water strider virus 12] -MDSSPGLPFCKQYKTNAELFGFDGISVDHSKVQIFLSCFYKWLEDLTPYNFRVFIKDEPHKQSKIDEGRW -RLIFSAPLFYQVLEHLLLDPLDKLEKDCQWNLPTKLGWHPFWGGAQMCQSTFDNPCSMDKKCWDWTLLPF -LVDLDTQLRKRLVVAPDIWYTLLDKLTDMSFSTAQFQFSNGFVFKQTLPGIMKSGLVRTLSSNSHCQFFI -HTLACMECGHWHKCWVIGDDVIVSEPCECYLKATQKYCILKETTWAYHFAGFDLSNIVPLYWSKHVSRLL -YSDDSIIPEILDNYQRLYVYHPQKFAYFQKLLAQYDITKLRSRDFLLRWSVQKPSNYKFFIYT ->APG75754.1 hypothetical protein 3, partial [Hubei sobemo-like virus 39] -MKATPGYPLCRNYPTIGDLLGFDGFRCDPLRVENLMNQFYYWLSDPVSYPFRVFIKDEPHKQSKIDQNRW -RLIFGSFVYLQILEHALYGKMDELEADNQWRLPTKNRWQPFWGGAAMCKSSFDDPVSMDKTCWDWTVSEL -IVELDYEFRKRLVVAPPSWYWYHELVHKIGFQQSKFVFSSGLILEQQFVGLMKSGLVRTLSTNGHGQYFI -HLLSEMACGHHYPFWCLGDDTIMNSPCDLYLEETKKYCLLKQIDYGHEFAGFDLSCIQPLYWSKHYARLL -YADEETLPEVLAAYQRLYVYAPNKLRLIQRLLQELDITLVRSEGY diff --git a/seq/clusters_seq/cluster_212 b/seq/clusters_seq/cluster_212 deleted file mode 100644 index 0042949..0000000 --- a/seq/clusters_seq/cluster_212 +++ /dev/null @@ -1,179 +0,0 @@ ->YP_009337251.1 hypothetical protein [Beihai weivirus-like virus 9] -MVLHRGKKHAALGRKTAKTHTKYATRARGVVSAAPPLTKGGIARHKAERHKVISGYRREEDKLNAFLPGH -LTLPRAVAPYSVVRTIVNFPLACSDRGKMCVIQPMVNEDAGSNKITFTNCIGWVSDTDQSSGPVPTTNTP -LGINMPSVGNTGVECVPAAISVRITCPSPLIAATGQLFLGRWNMPADRKNYVHYDDMEKGFLAFAKPEPY -TAAMMATCTRQVSAIPRDFNDYCEFHNYEDTNFTDALKPWGGMTPILYCLSPVSGGNVTQYNLQICVEWR -YRFKMDDPAASSHEFHFPHPIDKVNGLIARMSGKPGVDVVKKGDKSPPDVLTK - ->YP_009337227.1 hypothetical protein [Beihai weivirus-like virus 20] -MAGKGTRKNGNNARRAPRRQGGRAANRSTATRVLATGVGASVPKPFGSRPGQGLACWDAKHPSHLALPRA -VGPYTTVRATRRVQLNTHCNVIGTFQEGHIAPSQAGDWSEVVLVADVTATNPINGHLNTRSVTVDLSGLG -DAATLVPSALSVQVMCPTALQTASGIIYAGVMNTQAALGGRVDSWDDWCNKFVQFQSPRLLAASKLALRG -IQINSYPLNMTEVSKFTPLRKEADLQFEYTDQRVEPTGWAPIVIYNPEGTTLELLITVEYRVRFDLDHPA -SASHTHHPVASDSTWDRMVRSATALGNGVMDIADVVANTGMAVGRAAMVGRALTNGAARALPALTM - ->YP_009337205.1 hypothetical protein [Beihai weivirus-like virus 11] -MPKPQRNRNVLKRALKRKQGGRDLYGIKQGVGRVTRTPFPKGRTKKRSMAATIKHALNAMNPCHLPLPRA -VGGYTIIRTTDIVNTPQPAVLFGTFKGPAQQFTETTWLSTVGVRNVAGGLDKPINDPNAAGGGTGNAHFF -PSVALAETSLNGARMVPAAMTVQIMGSSSLQDAAGIVYIGRSKTVLDLMGDTRSWDTVMKELVAYSAPRL -CSAGKLSLRGVEVNAIPNNMSVLSDFVPRRNPANEQQVWDDTTYACDFEGFGPIFVYNPDFVPLRYLVTI -EWRMRFDPLNPAYAGHVVHKPASESTWSQVISSAEALGHGVRDIADVAADVGMVGVAAAPFLM - ->YP_009337184.1 hypothetical protein [Beihai weivirus-like virus 12] -MPASKSQRVRAVMKKALKKKQGGANLYGIKQGAAMVNTRPFPRRARRNGRGSSDARLATMIKYGLNALHP -CHLALPRAVGGYSVVRTTDIINSNAATMFFGTFKGPGNQFTETTWLSTIAIRGVAPDTAINGTGNAFLYN -SSALQAPSLSGTRMVPAAMTVQIMNGQSLQNADGIAYIGKSKTVLDLMGDTRTWRTVFEDLVSYSAPRLC -SGGKLALRGVQVDAVPNNLSVLSDFVPRRIPTEGARTWDEDQAALDFEGFAPIFVYNPDNIDLKFLVTIE -WRMRFDPLNPAYAGHVTHTPAAESTWSKVLAHAESLGHGVMDIADVVADVGAAGLRARDAIAPLLA - ->YP_009337163.1 hypothetical protein [Beihai weivirus-like virus 4] -MTPNKPAQRNGRKLRDSGDKSKRPQRRRGGAASRTHANRVLATGVGNVPTKAWGSSRGGSLLCWDAKHPH -HLSLPRAVGPYTTIRATRRVATGRIANIIGTFKSRHNSSSLGEASADTKWSEIIMISDHVSANPINGTDN -TFFNNIDLGELGSAATLVPSALTVQIVCPDNLAADGAKGVVYAGVMNTQAMVGGKSDSWDAYMNRFVQFQ -SPRMLAATKLALRGVTINSYPLDMSEVSDFTQLHEREGLEYTGTMSSLSPQPAGWAPICIYNPQGALLEL -LITVEYRVRFDLEHPASASHVHHPVASDSTWDKLTRKASSLGHGVMDIADVVANIGSAVRTGRQMLSAFG - ->YP_009337103.1 hypothetical protein 2 [Beihai weivirus-like virus 13] -MVKKFQLKKKRVGQKAATRSKATRVLAQGAGAVPRKAFGAGKSLARKGWGMSMRRRMMEGLNARLPMHLG -LPRPVGPYQVIRTTTLHTTSAAVVIFAPLMRDASTTSNGHPVWYHACGLEDAAPGPINGGAGTRMISMPL -DGIGSAADIVPAALTVQVMNKASLQQAEGLFTMARVSQQLALGGETRTWEDFTHEFNSYFKPRLLTGAKL -ALRGVTCNAVPINMNEYSDFRPGIPAISPAFGWYTEVAPAALSPIVFTQTGTPVDISFLVTIEWRVRFDP -FHPAAASHTFHPSTPDGVWNSIIGAASAAGHGVVDIADEVADVGFDAAAVAGVAAAL - ->YP_009337073.1 hypothetical protein [Beihai weivirus-like virus 21] -MARRRNTRVTNGRSGRARRRPNAKGASRSVATRVLATGVGASVPKAFGSVAGYGLHCWDAKHLAHLPLPR -AVGPYTTIRATRRIQISTHANVIGTFQCGSNMPEQHFDWSEAVMVTDVVSGSAITAPSNAQLITLDLGGL -GDAATLVPSALSVQIMCPTALQSASGIVYAGVMNTQTAIAGRNETWDSYMEKFVQFQNPRLLAASKLALR -GVQINSYPLNMTEVSKFTPLCKLADSSAFTLDGASIEPAGWAPIVIFNPSGASLELLITMEFRVRFDLDH -PASASHTHHPVASDALWDRMVKGAVALGNGVVDIADVVANTGMAVGRAVAVGSRLAAASRAMPALAV - ->YP_009337048.1 hypothetical protein [Beihai weivirus-like virus 7] -MARARKNGTQARKRMPRRGRRSGAPAVQAQGTGTTVAVPFGSNGRRRKSMAAMAMQGWNAFHPYHLPLPR -AVGPYTVIRTSTLITNSHKFMQFGTFVDDNGFWTNVVGLGSVVSSDPINGGGNTALFTVPTPAVASLSGT -GFTCVPASLSVQVMNNTALMQANGIFGGGVCHTQMSLGGRAETYNDLSTEFISYMRPRLMSGGKLALKGV -QMDSYPMNMSALANFEKITKNIDHNITYSAGPYATGMAPIIFVNQSEAEITYLACIEWRVRFDIGSAAVA -SHVHHGVTPDSLWNDCVQTAVSLGHGVKEIADTVATVGTSINNVRAALFRANPQPMLVD - ->YP_009337014.1 hypothetical protein 2 [Beihai sobemo-like virus 6] -MRTGRRQLRRRRRAALPRRRRARAATALRPTNRTHATNVLATGVGAAPKRAFGCTTGHTLACWDAKHPHH -LPLPRAVGPYTVIRATKRVQVSTVANLIGTYQRVAVTSPHQGAWSEVIMVSDVNNLGLINSTNNAHSISV -DLDGMGDSATLVPSALSVQIMCPTALQTASGIIYAGVMNTQAQLGGRSETWDSYMNKFVQFQSPRLLAAS -KLALRGTQINSYPLNMAEVSKFSSLDRAGDVDFTWSNDKYESTGWAPIVIYNPQGATLELLITVEYRVRF -DLDHPASASHKHHPIASDGMWDKMVRQASSLGHGVMDIADVVANAGMAVGRAMTVGNKLMGAGRSMLALA -A - ->YP_009337005.1 hypothetical protein [Beihai weivirus-like virus 5] -MAKGRSSLKPVTQARRWNRRKGGGVTRATAPRVLAQGACAVPKKAFGAGKNPNGWKKAMTLGLNARLPYH -LGLPRPVGPYQVIRTTKVHTTKSGCVIFTPLQRRHDSLPGEPRWYAACGMEAVNTGVSINAANNATMISM -PMDVLGSACDVVPAAMSVQVMCPTALQNASGAFTMARVNQQLTFGGDTRPWGQFITQFNSFFRPRLLTGG -KLALRGVHANAYPLDMSEYAHFAGVVPSLGTTTWNAITQSATRLPAV - ->YP_009336993.1 hypothetical protein [Beihai weivirus-like virus 16] -MAQRKSRRRNGNSQQNGQQNGQQLALRRRRNGRRGPNARTLVPGVGSTPGSPFGGIVGSDLRCWDAKLPL -HLPLPRAVGPYTVIRCTRRINTANRQMLFGTFQDDDGTLGLVWNNICALGAINHHDPINAANNTQRYTID -LGGLGSGCSLVPAAMTVQVMNPNALQTTSGVIYAGVMNTQAAFGGDSTAYGDRFDTFVEFQNPRLCAAPK -LALRGVQMSSYPLNMSKVSEFTGLGVATDSVITWSDPTLRPTGWAPIMIYNTAGTTLEYLVTIEYRVRFD -LDNVAVASHTHYPVAKDTTWDKLTHMASMLGNGVQDIADIVSTVGGAARAMRPYISSGSSLPMIAA - ->YP_009336986.1 putative capsid protein [Beihai sobemo-like virus 8] -MARNGRRPDRPPRRNRRPPFRRGGRRSTAERVQAQGVGCVAPVPFGGKRVASIQGWNAFSPAHLPLPRSV -GPYCIVRTTTRVFSNKKFVTITCTLSEDGTWQAVCGFAEGDLGFGSAIDGANNTQVLGSTFPGLTAGAGT -SAITVVPSALSVQILNGEALQTTNGILAAGVVKTQLALQGRTETWEAFSNRFLAYMAPRLMSAGKLALKG -VQMNSYPLNMNVLSYFAPLDPTVFSGNITWKSGENFQIYPEGWAPMCILNQDAVDITYLIAQEWRVRFDL -ANPAASAHRHHGVTSDGLWDKMVSMASALGHGVQDLPEFIANVGSAARAANQTLRMINAGTHYLPMLTG - ->YP_009336974.1 hypothetical protein [Beihai weivirus-like virus 3] -MAKTMRAKKLARDLRRVKRDLKSKPATRRNATKALAQAAMAAPRRNFGTKSAGGTLRACIKSLDARVPRT -IGLPRAVGPYSVIRTTRLWSSSANFIMFVPFTSDDSWHNWCGIEDVISSDPVNGGNNSRPITIPLADLSH -AAEVAPAALTVQVMNPSSVQTAQGMFAMGRANQQFDYGGSTETWDTLRDRFISYFSPRLLTGGKLALRGV -KCSTYPLDMSEYSHFMPVADYPTPFTWLTVKPTALAPIIFVQNNATPQTLEFLITVEWRVRFDPGNPAVA -SHTHHDTTPDGVWNSVCREMSSMGHGVEELAEDVMELGAMGGAARLAATALL - ->YP_009336961.1 putative capsid protein [Beihai weivirus-like virus 2] -MAKKFVKKLPQRRRRPVIKRNMKATRSHATRVLAQGAGAITKKAFGAGKKTTTWRMALRRGLNARLPYHL -GLPRPVGPYQVIRTTKLHSTSARVVVFCPLMQKWNGQDDAPRWFEACGIEDVDATKPINDATGNAHMIGM -PLTGIGSAAEVVPAAMTVQVMCSDPLQTAQGIFTMGRVSQQLPLGGETRSWDTFKTQFDAYFKPRLLTGG -KLALRGVTCNAIPLNMNEFSEFASPQAAENNFIWNDTVVPAALSPIVFTKDPGEVGSSITFLVTIEWRVR -FDPFHPAAASHTFHPSTPDSLWNRVIGAASSMGHGVEDIADEVAELGADAAAAAVVGALAF - ->YP_009336958.1 hypothetical protein 2 [Beihai sobemo-like virus 3] -MPRRWGRSSPSDAVLVTGVQQGAAAAVPKAFGSTAGRRWGNRRRTSANSRPNNRWQRRKRAGIAESACWD -AFSPVHLALPRAVAPYATIRTTAIWNPDVDAGDGNKFALFGPYLDGSADAGQWSNLFSLTANTALSNPKN -TNGGAKYYAFESMISGSWKAASVTPAAFSIQIMNPEALQTSSGAVYIGRCKNRVSVQEGVLTETWQLLAE -ELVSYSNPRICSAGKLALRGVQIDAIPNNMSELANFTTLKEYSDHTTNLSSTVPHPVHPSGFNPIFVYNP -DGVKLQILVCCEWRVRFDPSNPAYAACSSHKPTSDTTWHKHMQHALAAGNGVLDIAEKVARYGVPIAKAA -GYLGGA - ->YP_009336950.1 hypothetical protein 2 [Beihai sobemo-like virus 9] -MANGKRVKKKIVKRKGNGRRSTADQVQAQGTGRAPRVPFGNGGGVKSTIPRLPASCWDAFSTAHAPLPRA -VGPYTVVRTTRLITTNSRFALVGSFARFGGTAGAADGVPLWSNICCATEAAGGSIGSTAATTFYAIPSPV -PDTLTGDAGGGTLCPSAISVQVMGYDSLQNAHGQIAAAVCPVRMDLRGSTRSWDGVGAQFLAYFRPRLMS -AGKVTLRGVQMDSHPLSMADVSQFRGVDEVPEALNPLGTTPNSWSLADAPYDTNGWAPMVVYNPSSANLS -LLITFEWRVRFDISNPAVASHSHHGVSSDVSWEKHIAAATRQLPGVIDIVEKVANTGMAVASMLRG - ->YP_009336945.1 putative capsid protein [Beihai weivirus-like virus 17] -MAQKKSNKSAQPQRRKQRARATASRQHATRVLATGVGASVGKAFGSTSGVGLHCWDAKHKAHMPLPRSVG -PYTTVRATRRVQVSTHCNVIGTCQTQGGSAEAKHWSEIIMLSDVTASNPINATNNVQSTTIDLGGLGDAA -TLVPSALSVQIMCPTALQSASGIIYAGVMDTQAAIAGRTDTWDAWMNKFVQFQNPRLLAASKLALRGVQV -NSYPLNMTEVSKFTPLNKHSDATYTLAAGADEPSGWAPIVIYNPQGANLELLITVEYRVRFDLDHPASAS -HTHHPVTPDAVWDRMVRSAVALGNGVMDIADVVANTGMAVGRAALVGRRLSALPSAALPALM - ->YP_009336926.1 hypothetical protein 2 [Beihai sobemo-like virus 7] -MARLPVRRKIVKRKTNGRRSTADKVQAQGTGKAVRVAFGNGNGKRNGKLSIPRLPSGCWDAFCQSHAALP -RAVGPYTIVRTTRLIKTTSRWAMVGTFARFGGVAGPADGVPLWTNICCATEDGAGAIGGTAATRFYGIPL -PVADTLAGPSGSGTLCPAAISVQVMANQNLQQATGQLAAAVVPVRMDMRGTTRTWSDIESQFVSYFRPRL -MSAGKLVLRGVQMDSHPLSMADVSQFRGAHEVTAALNTDGVTPAAWSLANAPYDTNGWAPMVIYNPDNAS -LSLLITIEWRVRFDLSNPAVSSHTHHGVSSDVSWEKHIAAATRQLPGVLDIVEKVASTGMAVASMVRG - ->YP_009336901.1 hypothetical protein 2 [Beihai sobemo-like virus 5] -MVSPGKRVKRTIRKQKAKVIKDVTLLRGIKQGVGMVVKRPFGSAKARPRRTANRGRNISKKAALCALTNA -HLPLPRAVGAYTVVKTTTVIDSASRAMLFGTIKGNRSNHPDPAWYDTVAVTAGTSSTTAVGAADNAKFYL -DTALASSGFASCRLVPAAFTVQVMCPKSLQTADGIVYIGRCKQVLDLMGNTRTWTTVMDDLVSYSSPRLC -SAGKLALRGVKVDAIPNNMSQLSDFCPRAILTSSDTNKWTWGQGGVDAQFEGFAPIFVYNKNTVDLQYLV -TVEWRVRFDPGNPAYAGHTFHPVSSDTCWSETLKGMEAEGHGVVDLAEGIADFGDAAMTAVGALL - ->YP_009336877.1 hypothetical protein 2 [Beihai sobemo-like virus 4] -MARRRAIKDTTLTVGIKQGTGAVTNAPFGSSGSHRPRGGQRSARRGSRKGRGGGGRRRGLNLSCWNAFAE -PHLPLPRAVAPYTIIRTTAIWNPSSDHQRRLALFGPIMDVGSDAGQWSNYYSISANVTLSSLRNSANGAY -LSSFGSMSSSSWGAASVTPAAFSVQILNKEALQTSTGMVYIGRCKNKVHLAEGDNSTSWQDLADNLVSYS -NPRMCSAGKLALRGVHVDAVPNNMSELAKFTTLHQSGDAPITIDATDLTHHEGFNPIFIYNPDAVALQVL -VCCEWRVRFDPSNPAYAACRMHKPSTDTSWAEHLQQAVALGNGVADIVEVVARAGNALRAVW - ->YP_009333317.1 hypothetical protein [Beihai narna-like virus 9] -MAKQNGVRRTVQKKVKSDRRSRADVVQAQGTGRTVQRAFAGTKRATGLEGWDAFSPAHLPLPRSVGPYTV -TKTTALISTNDRINIIGTFAETDTTGTKLWTNVGLVKSVNSALPISDPANTFLHTIPFPGNNITGSGLSA -TPAAVSVQIMNPNPLQTSSGIVAAAVCPTQLDLRGRTETWAEFGTEFISYMRPRLMSAGKLALRGVQMDS -YPLNMGALAEFRPVNGFGDTTIQWDSANPTHPQGWAPIVIVNQASSEDPPLELNLLVTIEWRTRFDIGNP -AVSSHSHHGVSSDQHWDRLIRAATNRGAGAMDIVERVANAGTAAIGAYNAARPILNQLPMLANF - ->YP_009333272.1 hypothetical protein [Beihai narna-like virus 10] -MSNNTRSPIKKKNGGSRGRRPIRGARLAPFRGLAQSMALVPARAFGGASSSHSVLKGLDAFDEHHVALPR -AVANYTVIRTTQVLNQADATLSVFGPLAITDFQAPGYGTQWSNGFCVQSVDPQQSINVANNAVLKTFSFM -GGNNTSWNGVRMTPAAFTIKVMNPEALQTTRGAVYIGRAKQQLNLGGNVRTWNDLANALVSYSAPEIVAA -GRLALRGVKVDALPYDMQSLSDFRSGKLLQDNVFTWGDQSTDFDGFAPIFVYNPDQVKLQILVCCEWRVR -FDPENPAYASHTYHRPTSLAYWDRVQRIGNSVGNGVFDLIEKAAPAVIGNMAQRAVGRIAQGVASSSVPL -LMNAAV - ->YP_009333268.1 hypothetical protein [Beihai narna-like virus 8] -MAANNKKTTVTTTTTQSNGASIPRRWGRAARRPLEGIKQGVGQVTIEAFPRAARRRPRRRTGNPMRGYFN -ALSPHHLALPRATGPYMVCRTTTRFSSTGVVHLLGPMTAIPVAQTESPWAAVVGVTHPGGGHVNPMNGIN -NVIPIIDAGLNVSGFNDSRVTPAAFTVQVMNPGALQTTSGIVYQGRVNNVPALMDDPRTWAAYADSLVSF -CSPRMCSAGKLALRGTQTSLVPFNMSKLAEFSERSLVVPGATTWNPGTLGLQFQGFAPMFVYNPDGIELE -YVVTIEWRVRFDPSNPAHAGSEYHPPSSDSLWSRCVEAASSVGNGVEDIADVVAAVGAAAALL - ->YP_009330022.1 hypothetical protein 2 [Beihai sobemo-like virus 10] -MSSKGRQARRRNRRAAAQPRRRHLKGPGGGAMSRGSRPTNRSHATNVLATGVAAAPKKAFGCTIGHTLHC -WDAKHPHHLPLPRAVGPYTVIRATKRITSGRAANIIGSFQFKDGASTTPDFGNWSETIMVSDVNPTAPIN -QSNNTFASSVELGGLGAAATLVPSALSVQIMCPTALQTASGVIYAGVMNTQAALAGRTETWFSYMEKFVQ -FQSPRLLAASKLALRGVQINSYPLNMSEVSKFTQLKGTGDENFAWEHFNPAGWAPIVIYNPSGTTLEMLI -TVEYRVRFDLDHPASASHSHHPVASDSAWDRLVRQASSLGNGVMDIADVVANAGMSVGRAIAVANRVNNV -LRPLPLAAAAA - ->YP_386496.1 major viral capsid protein [Heterocapsa circularisquama RNA virus 01] -MTRPLALTNGGNTNGGNNGGSRRRPPRQRRQGRRRNRRRGGGGGGPRNNAAMVLAQGAGSVPGMPFGSWP -SRSTMRAWDAFHPEHLPLPRSVGPYCVVRTSSLITSSDKVMLFAPMVGSAGCWLTACAMGSRTEGGAING -LDNTNVYTVPFPGIATTGSSITVVPAALSVQVMNPNPLMSTTGIFGGTVSHTQLNLAGRTETWNDFSMEV -ISFMRPRLMSAGKLALRGVQGDSYPLNMSALSNFNCLSDVAEGKLSWTDSSGHYPAGLAPLVFVNEAKQT -MNYLVSVEWRVRFDIGNPAVAAQRHHGITPEWKWDDMIKTAIARGHGIMDIAERVANAGSFVANAAIAAR -RAMPALMAA - diff --git a/seq/clusters_seq/cluster_213 b/seq/clusters_seq/cluster_213 deleted file mode 100644 index 60a1d68..0000000 --- a/seq/clusters_seq/cluster_213 +++ /dev/null @@ -1,168 +0,0 @@ ->YP_009551532.1 NSP2 [Bat rotavirus] -MAELACFCYPHLENDSYKFIPFNSLAIKCMLAAKVEKKDMDKFYNSIIYGIAPPPQFRKRYNTNDNSRGM -NFESEMFIKVASLVCEALNSIKVTQTDISSVLSRVVSVRHLENLVLRRENCQDVLFHSKELLIKSTLIAI -GQSKEIETTATAEGGEVVFQNAAFTMWKLNYLDHTLMPILDSNFVEYKITLNEDKPISDIHVKELVSELR -WQYNKFAAITHGKGHYRIVKYSSVANHADRVYATFRNNAKLSMNNDFNLLDQRIIWQNWYAFTSSMKQGN -ALDVCKKLLFQKMKQDKNSFKGLSTDRRMDEVSQVGL - ->YP_008145316.1 NSP2 [Rotavirus F chicken/03V0568/DEU/2003] -MAELGCFVWVEELDGSEDTCVFRAFSRKAVDVLTKYDFKDDDTEVVQTIYGPTPPRKHLRRFKTRTNKSG -FHWDNDVYDGCCKMLATVLNTAHLKGEQAKKLLNSVMSVRHLEGIYKRMNDAEDRLLDDDGKTHLLSVLI -MLGATKKIETTVTSEGGTIEYMNKYFTIFKLDYSNYKMAPLQTIEYKITFNSDSDNIPDDAFKKLGGYIK -FNYNKYMPITHGKGHWRLVHYSETAQHAERIAATLKAIKAIRPDYKTMQLSEYVTARNWMEFMLAIESGM -DVQKAKDQCLFKRVQFTKEVKAHARELAISSMSVINGN - ->YP_003896053.1 NSP2 [Rotavirus D chicken/05V0049/DEU/2005] -MAELGCFVNVVESDSTFTFIPIKSKAINILLTTKNDDDNPIDTIIYGLTPVPKYKRRFASDMSPSGINYD -NELFDKVAIKLAEILNFGVKKMSVQQAMMMLTRVFSVRHLESLYYRMMDEDDIIHDNIDLQFKSVMVMLK -LTKSFELTLTAEGGKLLYVDKTYALWELDYRKYKLMPVKAVEYKITLNSATEDADSERKMAAYVKYHYNR -FAVISHGFGHYRLVPYQQVLNHAERTFATYNAIKKTKSDHSFVRLSKTLLNTNWQDFMNAVEYGKSMSEC -RNELFKSSKTSNPVKKHVNILQLDEMSTVN - ->YP_002302221.1 NSP2 [Rotavirus A] -MAELACFCYPHLENDSYKFIPFNNLAIKAMLTAKVDKKDMDKFYDSIIYGIAPPPQFKKRYNTNDNSRGM -NFETIMFTKVAMLICEALNSLKVTQANVSNVLSRVVSIRHLENLVIRKENPQDILFHSKDLLLKSTLIAI -GQSKEIETTITAEGGEIVFQNAAFTMWKLTYLEHQLMPILDQNFIEYKVTLNEDKPISDVHVKELVAELR -WQYNKFAVITHGKGHYRIVKYSSVANHADRVYATFKSNVKTGVNNDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKRLLFQKMKPEKNPFKGLSTDRKMDEVSQVGV - ->YP_392488.1 nonstructural protein 2 [Rotavirus C] -MAELACFVSFSLTEDKVVWYPINKKAVQTMLCAKVEKDQRSNYYDTILYGVAPPPEFRNRFKTNERYGLD -YESDQYTELVNLLADTLNMVSMPTEKFQFDIVKTVVQVRHLENLLCRIKDVNDILNANVKLRVKAVMIAC -NLVNETETTPLTESNDIVYQDSYFTITKLDYSNHKLLPLMADEYKITINTKTDIPDRNQTAFAAYIRYNF -NKFAAISHGKRHWRLVLHSQLMSHAERLDRKIKSDKKHGRQFSYDDGDMAFVHPGWKTCIGQLCGGTTFE -VAKTSLYSIKPSKTVRTATNKIESDLISMVGN - ->sp|Q3ZK62.1|NSP2_ROT41 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETSMFNKVAVLICEALNSIKVTQSDVANVLSKVVSVRHLENLVLRRENHQDVLFHSRDLLLKSVLIAI -GHSKEIETTATAEGGEIVFQNAAFTMWKLTYLEHELMPILDQNFVEYKITVNEDKPLSESHIKELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKSNSKNGGMIEFNLLDQRIIWQNWYAFTSSMKQGN -TLETCKKLLFQKMKRESNPFKGLSTDRKMDEVSQIGI - ->sp|Q86484.1|NSP2_ROTPC RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFVSFSLTEDKVKWFPINKKAVKTMLCAKVEKDQRSNYYDTILYGVAPPPEFRNRFKTTERYGLD -YESDQYSEVANLLADVLNMVSMPTEKFQFDIVKTVVQVRHLENLLLRIKDTDDILSENVKLRVKAVMIAC -NLVNETETTPLTESNEIVYQDSYFTITKLDYSSHKLLPLMADEYKITINTKTDIPDRDQTAFAAYIRYNF -NKFAAISHGKRHWRLVLHSQLMSHAERLDRKIKSDKKHGRQFAYDDGDMAFVHPGWKACIGQLCGGTTFE -VAKTSLYSVKTSKTVRTATNKIESDLISMVGN - ->sp|B3SRR4.1|NSP2_ROTH7 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETPMFNKVAVLICEALNSIKVTQSDVASVLSRVVSVRHLENLVLRRENHQDVLFHSKELLLKSVLIAI -GHSKEIETTATAEGGEIVFQNAAFTMWRLTYLEHKLMPILDQNFIEYKITVNEDKPVSESHVKELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKSNNKNGNMLEFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKRLLFQKMKRESNPFKGLSTDRKMDEVSQVGI - ->sp|P03538.1|NSP2_ROTBU RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNNLAIKCMLTAKVDRKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETSMFNKVAVLICEALNSIKVTQSDVANVLSRVVSVRHLENLVLRRENHQDVLFHSKELLLKSVLIAI -GHSKEIETTATAEGGEIVFQNAAFTMWKLTYLEHKLMPILDQNFIEYKITVNEDKPISESHVKELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKSNNKNGNVLEFNLLDQRIIWQNWYAFTSSMKQGN -TLDICKKLLFQKMKRESNPFKGLSTDRKMDEVSQIGI - ->sp|A4ZCW3.1|NSP2_ROTH3 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNSLAIKCMLTAKVDKKDLDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NFETQMFTKVATLICEALNSLKITQIDVASVLSRVVSVRHLENLVLRKENHQDILFHSKDLLIKSVLIAI -GQSKEIETTATAEGGKIVFQNAAFTMWKLTYLDHQLMPILDQNFIEYKVTLNEDKPISDGHVKELVAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKNNIKSGIASDFTLLDQRIIWQNWYAFTSSMKQGN -TLEVCRKLLFQKMKQEPNPFRGLSTDRKMDEVSQVGI - ->sp|Q03240.1|NSP2_ROTHD RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNNLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETSMFNKVAALICEALNSTKVTQSDIASVLSRVVSVRHLENLVLRRENHQDVLFHSKELLLKSVLIAI -GHSKEIETTATAEGGEIVFQNTAFTMWRLTYLEHKLMPILDPNFIEYKITVNEGKPISESHIKELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATYKSNNKNGNMLEFNLLDQRIIWQNWYAFTSSMKQGN -TLDTCKKLLFQKIKRESNPFKGLSTDRKMDEVSQIGI - ->sp|P03537.1|NSP2_ROTS1 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYRFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTSDNSRGM -NYETSMFNKVAALICEALNSIKVTQSDVASVLSKIVSVRHLENLVLRRENHQDVLFHSKELLLKSVLIAI -GHSKEIETTATAEGGEIVFQNAAFTMWKLTYLEHKLMPILDQNFIEYKITLNEDKPISESHVKELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKSNNKNGNMIEFNLLDQRIIWQNWYAFTSSMKQGN -TLEICKKLLFQKMKRESNPFKGLSTDRKMDEVSQIGI - ->sp|Q86505.1|NSP2_ROTRF RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLESDTYRFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETPMFNKVAVLICEALNSIKVTQSDVASVLSKVISVRHLENLVLRRENHQDVLFHSKELLLRSVLIAI -GHSKEIETTATAEGGEVVFQNAAFTMWKLTYLEHRLMPILDQNFIEYKITVNEDKPISESHVRELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKSNNKNGNVIEFNLLDQRIIWQNWYAFTSSMKQGN -TLEICKKLLFQKMKRESNPFKGLSTDRKMDEVSQIGI - ->sp|Q03245.1|NSP2_ROTHW RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNNLAIKCMLTAKVEKKDQDKFYNSIVYGIAPPPQFKKRYNTSDNSRGM -NYETIMFNKVAVLICEALNSIKITQSDVANVLSRVVSVRHLENLVLRKENHQDVLFHSKELLLKSVLIAI -GQSKEIETTATAEGGEIVFQNAAFTMWKLTYLDHKLMPILDQNFIEYKITLNEDKPISDVCIKELVAELR -WQYNRFAVITHGKGHYRVVKYSSVANHADRVFATYKNSAKSGNVIDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKKLLFQKMKQEKNPFKGLSTDRKMDEVSHVGI - ->sp|B3SRX8.1|NSP2_ROTWI RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNNLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTSDNSRGM -NYETVMFNKVAVLICEALNSLKVTQSDVANVLSRVVSVRHLENLALRKENHQDVLFHSKELLLKSVLIAI -GQSKEIETTATAEGGEIVFQNAAFTMWKLTYLDHELMPILDQNFIEYKITLNEDKPISDVCVKELVAELR -WQYNRFAVITHGKGHYRVVKYSSVANHADRVFATYKNITKNGNAIDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKKLLFQKIKQEKNPFKGLSTDRKMDEVSHVGI - ->sp|B2BRG3.1|NSP2_ROTW3 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYRFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETPMFNKVAVLICEALNSIKVTQSDVASVLSKVVSVRHLENLVRRRENHQDVLFHSKELLLKSVLIAI -GHSKEIETTATAEGGEVVFQNAAFTMWKLTYLEHSLMPILDQNFIEYKITMNEDKPISESHVKELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKSNNKNGNMIEFNLLDQRIIWQNWYAFTSSMKQGN -TLEVCKKLLFQKMKRESNPFKGLSTDRKMDEVSQIGI - ->sp|B3SRX0.1|NSP2_ROTHT RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNNLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETVMFNKVAVLICEALNSLKVTQSDVANVLSRVVSVRHLENLVLRKENHQDVLFHSKELLLKSVLIAI -GQSKEIETTATAEGGEIVFQNAAFTMWKLTYLDHKLMPILDQNFIEYKITLNEDKPISDVCVKELVAELR -WQYNRFAVITHGKGHYRVVKYSSVANHADRVFATYKNSAKSGNVIDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKKLLFQNMKQEKKPFKGLSTNRKMDEVSHVGI - ->sp|B3SRV4.1|NSP2_ROTHP RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNSLAIKCMLTAKVDKKDQDKFYNSIVYGIAPPPQFKKRYNTNDNSRGM -NYETPMFNKVAILICEALNSIKVTQSDVANVLSRVVSVRHLENLVLRKENHQDVLFHSKELLLKAVLIAI -GQSKEIETTATAEGGEIVFQNAAFTMWKLTYLDHKLMPILDQNFIEYKITLNEDKPISDICVKELVAELR -WQYNRFAVITHGKGHYRVVKYSSVANHADRVFATYKNNAKSGNVTDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKKLLFQKMKQEKNPFKGLSTDRKMDEVSHVGI - ->sp|A3DSK8.1|NSP2_ROTHL RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETSMFNKVAILICEALNSIRVTQSDVANVLSRVVSVRHLENLVLRKENHQDVLFHSKELLLKAVLIAI -GQSKEIETTATAEGGEIVFQNAAFTMWKLTYLDHKLMPILDQNFIEYKITLNEDKPISDICVKELVAELR -WQYNRFAVITHGKGHYRVIKYSSVANHADRVFATYKNNAKSGNVTDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKKLLFQKMKQEKNPFKGLSTDRKMDEVSHVGI - ->sp|B3SRQ6.1|NSP2_ROTH6 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETSMFNKVAMLVCEALNSIKVTQSDVASVLSKVVSVRHLENLVLRRENHQDVLFHSKELLLKSVLIAV -GHSKEIETTATAEGGEIVFQNAAFTMWRLTYLEHKLMPILDQNFIEYKITVNEDKPVLESHVKELIAELR -WQYNKFAVITHGKGHYRVVKYSSVANHADRVYATFKSNNKNGNMLEFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKRLLFQKMKRESNPFKGLSTDRKMDEVSQVGI - ->sp|B3SRS2.1|NSP2_ROTAD RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNSLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTSDNSRGM -NYETTMFNKVAILICEALNSIKVTQSDIANVLSRVVSVRHLENLVLRKENHQDVLFHSKELLLKSVLIAI -GQSKEIETTATAEGGEIVFQNAAFTMWKLTYLDHKLMPILDQNFIEYKITLNEDKPISDVCVKELVAELR -WQYNRFAVITHGKGHYRVVKYSSVANHADRVFATYKNNTKSGNVTDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKKLLFQKIKQEKNPFKGLSTDRKMDEVSHVGI - ->sp|Q9QNA8.1|NSP2_ROTHK RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLENDSYKFIPFNNLAIKCMLTAKVDKKDQDKFYNSIIYGIAPPPQFKKRYNTNDNSRGM -NYETPMLIKVAILICEALNSIKVTQSDVANVLSRVVSVRHLENLVLRKENHQDVLFHSKELLLKSVLIAI -GQSKEIETTATAEGGEIVFQNVAFTMWKLTYLDHKLMPILDQNFIEYKITMNEDKPISDVHVKELIAELR -WQYNRFAVITHGKGHYRVVKYSSVANHADRVFATYKNNAKSGNVIDFNLLDQRIIWQNWYAFTSSMKQGF -TLDVCKKLLFQKMKQERNPFKGLSTDRKMDEVSRIGI - ->sp|Q03244.1|NSP2_ROTA1 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPCDREGASVARYSRSAIKCMLSAKIDKSHSSQPYDTQVYGLAPPPVYKKRFNDGNNSRGMN -FDTDMYDKVADLLVQILNGIKIGKDKAAEIMAVPISVRHLENLIYRIENKDDILSADPNLITKSVLIAMG -LIKDCELTTTAEGGDIVFQNQGFTMWRLDYKSHVLMPITDPNFVEYKITLNHTNPIDDKIVKELVAELRW -QYNKFAVITHGKGHYRVVRYSTVANHADRVYSTFKSIQKRNPSYKFNELDTRVIWTNWAAFVKSMLNGMK -LDDSKRLLFTKMKPNESSFKGVTTERKLDEVSLLG - ->sp|P09366.1|NSP2_ROTP5 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MAELACFCYPHLEKDSYKFIPFNSLAIKCMLTAKVDKKDQDKFYNSIVYGIAPPPQFKKRYNTNDNSRGM -NFETSMFNKVAILICEALNSIKVTQSDVANVLSRVVSVRHLENLVLRKENHQDVLFHSKELLLKAVLIAI -GQSKEIETTATAEGGEIVFQNAAFTMWKLTYLDHKLMPILDQNFIEYKITLNEDKPISDACVKELVAELR -WQYNRFAVITHGKGHYRVVKYSSVANHADRVFATYKNNAKSGNVTDFNLLDQRIIWQNWYAFTSSMKQGN -TLDVCKKLLFQKMKQEKNPFKGLSTDRKMDEVSHVGI - diff --git a/seq/clusters_seq/cluster_214 b/seq/clusters_seq/cluster_214 deleted file mode 100644 index 3552391..0000000 --- a/seq/clusters_seq/cluster_214 +++ /dev/null @@ -1,618 +0,0 @@ ->YP_009552718.1 replicase [Blackberry virus A] -MSLGASSQRVAYANLYSNLGDKIEQSKVIKATRVNEHEESVSGKFDYFISDEAYDYLSSKGVPLSAHCYR -PHPHPISKMIENHILFNVLPNYLRPRNLFISIKEDKMVGVISVARNRNKVDAVSNSSVYNRLIHAKDSLR -YKDPVRDLDLSLNKNLKEKMNQADLVVIHDEVHYWALVDFQKFLGLSNKPVLYSIIYPPELSAGIDYSLN -PMLYEFKVNKSDSFTWAPDGSYNGAYTQPINPRLLTTMKLEDPNGNSWTLTKLETIGAHHVFLATPGSLV -TEESYIMRIHPHPPGKHSGPTQHKTKVEGEFGQPDAPSAISKIRQLTRGDETAEEIMFVGRLARQISNTK -CFGEDGLFRFGKEMLLTLEHVMGAKFTYLLDKNEFDVWNLESTLKLLCKPKITIERHFRAYTAPDHELPL -EDLHNALNGFLDGKRRLINSNEWVVLPDREPRPYSALGKKERDDVLHHALKRTEELKRVIGANPVVVKNL -NQRYYCEQCPTPIFKKNLQKVRTNIYMEVPCIGTKRPIELLKEGLINEEEYERRMQTPTERVEAPESDIE -SESTVSCGEEDNDWLENVTKIKGLVKNVCLLGPISEAMKMDVATLLSACNSMDPNFARFISNSGLSMNGF -VMMIRMLDLTISIKKGPYRYSRKGLRAPFGITVNKDHAEKCDFVDFGDRTTDLLLMGPNVGICSMLITGK -SANLIRDSFQKNYTGIVLCDLKNGLPTFDQNYSEVIRVITTLGFAGSGKSSYLTQVLHLSREHCAVVSPR -TNLAAEWKKWLKGTSHQVHTFETYLKVGATGPRLLVFDEAPLFPPGYIDLAIMMKRPTDVVILGDPLQCS -YHSEKDRLKLSPIEPSIFKRLGGASIQCQCGTKILVSAAKANEPTAVGEPDSIKGRQIWFYSRNGEGYKY -TGAEHASKGWPEELDDILVSHGYDPKMFNHCLFQMFEAGARIPYHADDEPVLTLRGNPILTISSGKSTHG -FSCKQGSIEFDSSSASFLMPNDFQKTHKHCVGARGPRMSWTFRSTEPITITPKKDRVPYLLFSHRLPEKQ -KLFEGLRCAGPGELKLAELPQERGGDKTLTFKRREHVDENKIMTVGQSQGLSLDVVQIVFDAGALKTSGQ -TMITALTRAREGINMVFPLGRDYTAKNARDPFVRAVAEKRMIGKQELMNLIKDSCSFDVEYLEEELYIGL -TMDEVEDKLDADPYLKAMLLMLEHEEMDEEEMEEEFCPEGSRTHLPLSEFTNEQFASELKAKEEREQYHY -QLGYSNQIRDDISGERYAGPEKSRSSIYMKHTDSKNVKETQILRLREEQEKLLQGLPHWKVHVWNLCRKL -EFPEVAPAMELTDKELEFTEKRVQKSKALLEAHGYRSDPDWPSNILRVFIKNQTCTKMEKRGCDAKAGQT -IACFSHAVLCKFGPALRRTEAQFRALLPESTLIFSQKNYDDLDEWCKTYFNDLSGTDSDYEAFDRSQDAT -ILGMEVEMLKYFGWEQELISEYTELKLMMGCTLGELSVMRFSGEFGTFFFNTVCNMAYTYMRYDIRKDHP -IAFAGDDMVAPGRLIQTCAHNDIIDKLTLKAKVNYSNEPLFCGWRVSPLGIAKDPNLLLDRWEMAVEQGR -LHECMCNYALEASYGYRLSDSLFELNIDIDAYQELIRKVVKVKRKLPEQISKLFSGHDDIDSAGEE - ->YP_009552539.1 replicase [Grapevine virus G] -MSLGAGSQRSAYANLISNLDSESTSKLKLLKGEEVLKIESEANNLYDYFVSDDVYDFLAKKGIPLSNQCF -RVHSHPASKIIENYFLFGVLSNHIVSNSIFISLKESKLIRLGVRKANLKQNVNLVNRLIHAKDSLRYADP -IRNLDFTTLDSEMMGKLKTASRVFVHDEIHYWSLVDFQNFLGLIEGPLLYTIIYPPEVHLGYESSLHPEL -YEFRYIKDRSAFIWAPDGNYGASYTQPVNPWLLSTNKLHDSDGRSWTLTKLETIGSHHLFLCVPGSRIVE -DQYIFTDFTLVDPRLFSSYANRNPRLRASFVRRTAHYLMALKKADSASAVSKLRQLSKSDETADEILFVG -GLAKCISELKYFNNVSGLLDLGEVLKNTFEHMFLSGIALYLVDKQAYHMQKMRLDLKNLVTPTLVVSCSF -KEYKGRKAGSFTKMEDYMDQVFLGELTGEFQPQYAMIPMFERDPYCIVFEEGKEVLEIPKLERECCRFKQ -MLGRPKVEEQRPFYSAERPSDSDTFVYMETLPKYFMKVMLIGDGEAHTLYDEGLIDAAEYIRRCQATNST -STEVVQEQILEALIDEEVPVEEQMELGSTLEAVTEAKKNLCLLKPLAEHLKIDHPILVGHMVSIDSSFAR -FLNDDGLGLPGLLMIAAAKNMTVSISRKGGGYVHIDGDFSPLGIEITGNHATLVPYERLRNDPKDMLKIS -ETCGSLMYTVRAERAMRLVTAFKKGFTGVMLNEFLKKWERVESRVSGTHELTLSSFLGFAGCGKTTFLLK -MLKCNTGMTATVVSPRRNLADEWAKDLEGTSHNVYTFEKYLKGASSCDLLVIDECGLYPPGYIDLVYFMK -EFKHVVILGDPLQCSYYNEKDNILLKESAELFKELTLPTTDKCVCGLTMEIKEYTGPSFSNEFGTGDKLN -GRDAWFYSRGGEGYTYSKISHSSRGWNKELDQVILACGYEPSMFDHCLAQEYTKGGKIGFHSDDEKCYPI -DNPILTVQLDGACHFSISCKKGSKTFSIDGPKFFLMKNGMQRSHKHAVEADKPRASLTFRSTKPLQLSEP -IEENVGCPYMMFTNRLSRKNSIFGVKAHGTGGFEVKEINTLNQDLPTICFCRDFLEKKKEMKEIMTVSQS -QGLSRKLVQLVLDTGSISAEDTNVITALTRARNGIHIFYDVPKGVVLGTARSRVLKSFLKQEVVDKKMLM -LILSEQTKLKFHLLEENNQIGSTRAEIEEKLAGDPGLKAMLTILDAEEMEEELMEPEVCDEVSRTHLALS -EFRNELFPTELKAKEDREAHIHNVGFSNQIRDDVPTERVAGPYGPSSIYLHHTSEDDVTFILSIRKRLRF -ADYEKNSRALAMKGHIGEQIFEVFSRRIGLGHVPQVDQTETDLLFTQKRIEKSAALLEAHSIRSDADWPS -NIIKIFIKNQCCTKMEKRGIDAKAGQTIACFAHAVLCRFGPLLRKTELQFRKMIPEHILIFSQKNYDDLD -HWAKDYFLDFTGTDSDYEAFDRSQDATILGFERSFLRYFDWPEEMINEYVELKLRMGGALGDLAIMRFSG -EFGTFFFNTICNMAFTYLRYKIGPYQPLAFAGDDMVAPGKLEVDMTYHDLLSLLQLKAKVNYSDQPLFCG -WRLSPFGIVKDPNLLLDRLEMKRAEGTLELCIANYALEASYGYKLSDHLHLLNIDLDAYQELVRKIIKLK -CKLPLNISALYSAEEDIISDGEG - ->YP_009551967.1 Replicase [Grapevine virus J] -MSISVSSQRMAAAALYNNGDKDTVDQIKKLKTAGLLHSEEEDDGLFDYYVDDDVRDILGSKGINFSIHSY -RNHPHPVSKMLENHILYKLAPNYLREHVLIISCKESKVKMLLLKNKKMNKNVDSYNRLVHAKDHFRYVQA -VKETSIPCSTTFSEKESHADDIFIHDEVQYWNLHEMQDFLGTLRRCTRVIYSIVYPAEVDVGFEASIFPE -AYDFRISGEGFIWMPDGNSEGSYWQPKNSWLLSTSKTIDSEGRTWTITKLNSIASHHLFACTLGSTINES -EYEYADSTVIHPMFNLRGLRDYRDMRLRTRYIRPVLLYLLALRKPDPESAVAKLRMLSHKNESMHEALFV -AQLAKQIRDTSLYDRMGNFNLKSAVGNSFKEWLGPNATYFLDRSGYHANSLESFIKNCDNVRFSISRTYR -ERVIKVHPIILSDLEWGSEGTWDMVYLSNLISMDREHTPYVLNASEVIGRVTSFPLGRILRAWELIADMC -QRNEVNYNRVVYVFDQEDLINLKSYLIKVNTYEYVTSRAIKYDWKPQDVKQGYLSSGAYLNFLKPTQSER -ATVVSGDEQEDEEEKCACGLCLTSKTLSAALTNDLVVQLEAIPLFNELNKNRLSAFFSRHSAEYAYRGGS -HQSRGWLDVLDKLRSALGLGDDFDHCLVQRYERGSGIGFHADDEECYLPGMKVVTVNVGGQCTFSVKCKD -NSIKDFKLDGPSVLVMGAGAQVDHKHRVQDCSDGRLSITLRNKTKDYIDDASGSEYEEERLDGEDLFARL -DKDKSFLCSIQCIAEHMRVDVPTCTALIAGKDTQVLNEISKGGVTLATMINLCKSLDIETTITGEGSVYI -AGSFRPLFLSLEKGHLVRVNEGYSDRSSVSALKLNKNITKCSFTTDAAKARVLAESYKEGYTGILLNRFK -SGKCFKGDWDEKEVSVWLSTGFAGSGKSHYIQSVLKNCEVERTLIITPRKNLAADWAKKVSKKHKVVTLE -KALLNDYTDFRSIVIDEIGLFPPGYLDLLVYATRCTNYVVLGDPLQCAYYSKEDSIILEKNNENIYKRFH -GMKVYLMYSHRLKPNKLFDIECSGEGISGQEDPSKRPVIVASRAEKLKQPNGYTVSETQGLTFKDSVVKL -DRDWVRKGDGDVVVAFSRHRGDCEIVASKSDKEYLIKNSESLMLKKILVNETISRLDLCERVKQEMDEVN -FSFSEDRLANSEEFEERLSGDPYLKALLNILEEIQEEEIEMPEPEAPEPLRTHLPLSEKLNELEPTNLKA -KEDREVLTSFGLTDQIDDQGFKSSPGPQTHKALYLHHDSQDDATFMLSVKKRLRFRDMETNMRKFKECEG -VGPQLFHELKKTYNWTRVEQLPALDKCDADFLKKRIQKSAKLIERHSYRSSPDWPSNYLKVFLKNQTCTK -LEKRGVDAKAGQTIACFCHSVLCRFGTKLRQTEKALKNMLPANVMIYSQKNYSDLDKWCKTFVNSFTGTD -SDYEAFDRSQDEKILRLEVEVLKFFLWEEELINEYVTLKLMMGCSLGNLAIMRFSGEFGTFFFNTVANMA -FSCMRYNLSYNTPICFAGDDMYSPGQLNVRHDYDKFLDTLELKAKVNFGDKPLFCGWRMSPYGIVKEPNL -ILDRWKIAEGKGNLKDCLVNYALEAVYGYRLSEFLYELNIDIDAQQELTRKIVKVKDQLPEKISRLFSRD -ATEHWSDGESEVLQCTPEGELI - ->YP_009551946.1 replicase [Grapevine virus G] -MSLGAGSQRNAYANVISNLDAESSSKLKLLKGEEVLKIESDANNLYDYYVSDQVYDFLVSKGIPLSNQCF -RVHSHPASKIIENYFLYNVISNHIVSNTIFISLKESKLVQLGVKKAHIKDNVNLINRLIHAKDALRYSDP -VRNLDLSTLKPEFKEKLSSAKRVVIHDEVHYWSLLDFQNFLGLIDGPLIYSIIYPPEVHMGYESSLHPDL -YEFRYIKDRTAFIWAPDGNYGASYTQPVNPWLLSTNKLHDSMGRTWTLTKLETIGSHHLFLCVQGSKIVE -DQHIFTDFTLVDPKLFASYANRNPRLRASFVRRTAHYLMALKKADSASAVSKLRQLSKSDETADEILFVG -GLAKCISDLKYFNNVSGLLDLGEVIKHSIEHMFFSGLALYMVDKQAYHMEKMRVDLKNLVTPTLVVACGF -KEYKSLNSKHFMKIEDYIDSKFEGGLTGEFEPQYAMIPMFERDPYSRTFAEGEERLVIPKLERECARFKQ -MLGPPRVVEQKAKYFTERPADGEDHVYIETVPKFFIKVMLLEDGAPHILYQEGLIGVDEYIKRATYQKSQ -DEPVGVVEAVETTVDDEVPVEEQMDLGGTLEAVVEAKKNLCLLKPLSEHLKVEHPILVGHMISIDSSFAR -FLNEDGLGLPGLLMIAAAKNMTMAISRKGGGYLHIDGEYNPIGIEISSNHATLVPYERIRNLPSDMLRVS -ETCGTLSYTVKAERALRLVNAFKKGFTGVLLNEFVKQWGRVETRVVGDHELQVSSFLGFAGCGKTTFLLK -MLKCNTGIIASVVSPRRNLADEWSKDLEGTSHNVYTFEKFLKVSASCDLLVVDECGLFPPGYLDLVFFMK -EFKHIVILGDPLQCSYYNEKDNIVLRENSTLFKELRLPKVNQCLCGLSLDVKDYVGPSFCNLPGTGDKLK -GRDAWFYSRNGEGYTYSGIKHNSRGWPESLDEVIRACCLEPQSFDHCLAQEYCAGGKIGFHADDEKCYPI -GNPILTVQLDGACHFSVSCKKGASTFVLDGAKYFLMPNGMQATHKHAVEADKPRASLTFRSTRPVTLEQH -SESTLKCPYMLFTNRLSRKNNVFGVKSHGTLGYEVKEINTLNHDLPTICFSRDYLEKKKEMKEIMTVSQS -QGLSRKIIQLVLDTGSVSAEDTNVITALTRARNGIHVFFDVGKETVLSTARSSILKAFIKREIISKKMLM -SLLSSETKIPFEFIEENHQIGSTRAEIEQKLEGDPGLKAMLTILDAEEMEEEFMEKELAPESSRTHLALS -EFRNELFPTELKAKEDREAHIYGQGYSNQIRDDVPSERMAGPYGPSSIYLHHRSEDDITFILSIRKRLRF -ADYEKNCRSFALKAHIGEQIFDVFSRRIGLGHVPQVDPTESELQFTQKRIEKSAALLEAHSIRSDPDWPS -NIIKIFIKNQCCTKMEKRGIDAKAGQTIACFAHSVLCRFGPLMRKTELQFRRMIPEHILIFSQKNYDDLD -RWAKEYFLDFTGTDSDYEAFDRSQDATILGFERSFLRYFDWPEEMISEYVELKLRMGGTLGDLAIMRFSG -EFGTFFFNTICNMAFTFLRYKIGPYQPLAFAGDDMVAPGKLEVDMAYHDLLGLLQLKAKVNYSDQPLFCG -WRVSPFGIVKDPNLLLDRLEMKRSEGTLHLCIANYALEASYGYRLSDHLHFLNIDLDAYQELVRKIIKLK -SHLPANISALYSSEEDIISDGEG - ->YP_009551905.1 putative replicase [Grapevine virus H] -MAISVSSQRAAVSNLFCNGSSTEVENIKTLKTKALLKAEEQSDGLFDYHTSDFIKDRLSSKGIHISVHSF -QSHPHPISKMIENHLLYNILSQYINNTTMFVSCRESKVRRLMTGKSKSILKNQRINRLIHAKDALRYLEP -LKSLDLFSDIEMDKLVEGASRIVIHDEVQYWSLGDFQRFLGAIGKVDEVLYTIVYPAEISAGYTGSLYPE -AYTFELKGDYFIWRPDGQADGWYRQPVNPWLLSTKKTIDSKGETWTITKLCSIASHHLFFAKKGSLVTED -EYAYDDYTLIDPALVIKGKRNRDVVNLRERYITPCLLYLLALKKPDAHSAVAKLRQLTHGEENPVEALFL -AQLAKQIQSNTLFDKMGNFEAKAACWSALTCAIPSSLSYLMDHDDFHRRSFESFVKNLRTAEVRVERFFR -DYQPDRRYDITRGIGDEEWDQIIREGYLMKLRELDRQSEPYSCSGFSGPDRWNNSISLIKAARKAFEESR -PTEPEYNKVVPINMEGYMSNLRLGLTGKGMLKATTCLLLTYPLEPTQRLNQDLSNLEDVERSQIQLSTKA -TVKDAEVNTADDCSCCSEFVETKRIEGLGLAALSPELITPDQLKGRKGGFYSRHSGTYSYNGGSHVSLGW -PTALQRLADALKLDESFDHCLIQSYEAGGKIGFHQDDEQCYDGEQEVVTLNLLGNATFTVKCKHNRHREY -NLTGGDVLTMRSGAQKILWHSVVSKESGRMSLTFRNHIVHETKSVSDEISEYEENTPVFDDSISFLNDNK -KSLCALDCFAEHMHVDREFCISTINAHNPRLVQELEKGGATLSTLIQTSHLLGYGGVIHKDGVGIKVCGG -TNPIHMELTEDHVSTCELPKCNPDFKSAMELSSTISKAHYSLDHNDARVLANSFEEGNTGVLLSKHKGGR -FNVPDQAKAIEVFTCFGFAGSGKSHYPQCVLKCCDVGKVLVVSPRKALASDWETKTNKRCIVRTHEKALV -ESNIFDAVIFDEIGLLPHGYLDLFFILRPSKCYLLLGDPLQNGYHGTRDEITLSPISKTVFHKIVGEVSY -LFYTHRLPKQQSVFEVPSFAYSPKEVFLETTGKIKEGASGVLVCSRKAKDAYKGEAFTIGESQGLSFNEV -YLKLDNDWALISDETVVVAFTRARQVVHLVGTKAQVDHLLKKAKSDFLKKILSGKKVGKFDLLNNLRKKI -PGISFLEEEQRFADTDDLEDKLVGDPYLKGLLQLLDNVQLDEPELPEDEAPEPTKTHLYLSAHENELAPY -DLKAKEMREQKVHGLLTDQIDEVGYKGEEANPSTHKALYLKHMNSDISTFMLSVKKRLRFHDLERNRRRY -NKVAASKIGEQMWALVRKTYDLRAPLYLPPLDDDAAKFMEKRLKKSSALIEKHSNRSDPDWPSNFLKIFL -KQQVCTKMEKRGVDAKAGQTIACFCHSVLCKFGPQLRRTERLFRAMLPDNVMIYSQKDYKDLDAWCKNYV -HTFEGTDSDYEAFDRSQDERILNFEVEVLKFFLWPEDLINEYIELKLMMTCDLGDLAIMRFSGEFGTFFF -NTICNMAFTCMRYHVTKETPLCFAGDDMYSPGTLKQKHEYDEVIKSLTLKAKVHYSNEPLFCGWRMSPYG -IVKDPNLLLDRWKIADRDGKLENCMVNYAIEACFGYRLGEMLYDLKIDLDAQQELTRNIVLIKHRLPKGV -AKLFNRLEDECGSDGEELSFKLKPEGGLDDGYVVPDD - ->YP_009664761.1 replicase, partial [Mint virus 2] -AGDPYLKGLLSLLEDIEEVEPEVPETTVLQPERTHLYLSAGSNEVSVSELCEKMNREVHTEAGLTDQIDE -VGYKGESANPMTHKALYLHHKNDDVATFMLSVKKRLRFRDAEKNSRKYEKNKGFGKQMFKILQQTYNLVH -PNSLPELDRCEAEFTRKRIAKSRNLIEKHSYRSDPDWPSNYLKIFLKQQVCTKMEKRGVDAKAGQTIACF -SHAVLCRFGPQLRRTEKALRAQLGDNVMIYSQKNYTDLDNWSRLYVDGMIGTDSDYEAFDRSQDEKILSF -EVEVLKFFLWPEELINEYVTLKLMMGCSMGDLAIMRFSGEFGTFFFNTICNMVFTCMRYCITKDTPICYA -GDDMYAPGVLQVKSDYEHVLKELQLKAKVQVSTSPLFCGWRMTPYGIVKDPNLLLDRWKMAKRDGKLDLC -MINYALEAVYGYRLGEHLYDVNIDIDAQQELVREIVKVKDKLPKNVSKQFSKDPNECFSDGEELDLRVSP -EGTFQGEQEV - ->YP_009664756.1 putative replicase, partial [Actinidia virus A] -AASLMQNGSTVEVEQIKTLKTNRLLKSEKRSDGLFDYYISDFLRDYFAKKGVHTSVHSFQAHPHPCSKMI -ENHLLYNIVLTYATEKTLFVSCKASKIKHFLKIMKSNKKKGKGEVDLKNNLRYNRLVHAKDHYRYDNPHR -EFDLTHLPELRRLSRESETMVIHDELQYWSLFDFQSVLGQMEKVNRILYTIIYPAEIQAGHQHSLFPEAY -QFEMRGSYFIWMPDGQADGAYKQPVNPWLLSTSKTIDSQERTWTICKLTTFGSHHVFSATLGSTITEDEY -IYDDYTVIPPAVIMPGRRDYTHMQLRSRFVQAVLLYLMALKKPDASSAVAKMRQLTNGEENAAEALFVAQ -IAKQLQEAKLYDSMGSFSLQEAIWNSLCGALGDSITYFMDKQKYKMMSMERFIMGCGSAKISIQREFRDF -HAQRDKLCPVIGASWTGVEDPEWEEIYLRNLQEQDVPGTSYNLHGEEIINRKELRSDLMVFCARLDLINN -TGTVEEMNIEVLISPLDNFKALRLFIIRIGPIRGLTSRGLPWQNDAIKRYEQGVIDAKRLEEIMFGVKRI -ERVSGKTLRTVQEGPAKCTTACTCGATITTFRADGLPNMEGFIESWCDKLKGRKACFYSRHSASYEYNGG -NHQSAGWPEEIEEMAKKLNLDESYDHCLAQVYEAGSGIGYHADDEDCYNDPSVVTVNLKGEAVFKTKCTN -ELTFDLGAGDVLIMHKGFQKTHKHSVVSKTPGRVSLTFRNSIKQADSDSDHLSEYEETESPFDETLEILG -KNIKSLCCLDRIAEHMGVSREVCASHIHAKMPRAVEEFKEGGMSISTLLQVLKQLDVAGYICSERGEVRT -LGKFKEISLFASDDHIEPFPGEKGDCTFESAMDFNPDVSRVHFVVDQEKANHLSESFREGFTGISLNKFR -GGVPRTTPQKFSREVHTLMGFAGSGKSYYPQTLLKGGAYKSSLVITPRKALASDWESKIAKGADVATFEV -AFKVKKRFDNLIIDEIGLLPPGYIDLAILHFNPECVLLLGDPLQAEYHNKQDELFLAPITESIFSRLQGK -VNYLFKTHRLPRNQEIIEVPSKGELSPDYVGGAQKQRTADLILHASRSAKDKGAQGSSTIGEAQGLSANA -VRLEMDLDWGLISDQHFMVALTRARKSLQVNIKSELRKHLINTARSPFLKNFLQGKRITKAMLLAALNEK -GMDVDFIEKEMRFADSDDMEDKLAGDPYLKGLLKLYQDVEMEEVEIIEPHLGEPQKTHLPISAHENEISV -SLLKAKEHREVLTSAGITEQIDDEGYKGDTADPMTHKALYLHHKNSDVATFFLSVKKRLRFRDQERNTRK -FNKCRGFGAQMFNILKKTYKLQQPLQLPDLDRVETEFVKKRLNKSKNLIEKHSYRSDPDWPSNYLKVFLK -QQTCTKMEKRGVDAKAGQTIACFCHAVLCRFGVQLRRTEKALRAQLGPNVLIYSQLNYTDLDKWCKNFVP -SMIGTDSDYEAFDRSQDEKILDFELEVLKFFLWPEELIEEYKCLKLMMGCSMGGLAVMRFSGEFGTFFFN -TICNMAFTCLRYNINESTPVCYAGDDMYAPGALTLNKSYEHILDQLSLKAKVRVSTTPLFCGWRMSPYGI -VKDPNLLLDRWKIAHRNGNLPNCMVNYAIEACYGYRLGEYLFDVNIDIDAQQELVRAIVKVKHLLPKAIG -KLFSKDPTECFSDEEEAGLQVRCEGGLNTEAAQD - ->YP_009465945.1 replicase [Grapevine virus I] -MSLGASSQKVAYANLYANIGSDKLSEVRDEKAKIVASIESYANNLFDYFVSDDVYDFLVSKGLPLSINCF -RTHSHPISKMIENHFLFNVIAHHMTDKTTFVSLKEDKLDSIKSRKKGFKGNPNVLNRLIHAKDVLRYRDP -LKCLDFSHSKEVVNDLRHARRVIVHDEIHYWDLGEFQKFLGLLQGDLVYSVIYPAELQAGYTFSLNPTLY -EFRIDSSGKFFTWAPDGVMSCSYRQPIMDWLLTTNKTVDCTGRTWTLSKLETIGSHHMFLCTLGDLVTEE -EAVYTDYTLVDPKLIGNIELKLPRIRAEFMRKTLHYLMALKKPDSASAVSKLRQLCKGDENADELIFSGA -IAKEIADSKYFTEVGGFLDMRKYLNVSFARMFGVKAEYLLSRKWFQLDTLTETIKLMVPVEVRIKMGMRG -AVDRSGLYRSVKYMGSLKDRLEHKGISMNVDELLDAVPDRKPRPYSMIFEDGSGMMEVGDPRAELEEFKG -KLGNAKVEDQKMVFFKSKPSWGGNFCYQEVAKNIYIKVYLICNPPLHELLLDGMITLEEYKKMVGDNPVV -EEMSVDPQEEVKEVEANETKGASLEKLGEVKKNICLIKPIADHFGMNPEILIAKVSSEVPNFTRYLTDKG -LSLPGFYMLCKNMKLTLSLFSDNGFLHIDGDYKPLGICIKGDHATPARYVKAKNDPSLAVAVNSGIGRMR -IEVFSDVATDLKHSFEKGFTGLTLNDIQGKWAGNVPKGKSASLEVSTCFGFAGSGKTSCLTQMLKMGLGL -EVVVVSPRRALAEEWKKELIDTDVKVFTFENFFSKYSNKVDLLILDESPLLPPGYIDLVHYTKEVDHIVV -LGDPLQTSYHAESDALTLAEVEKDIFKRLKPSGEGLCPCGLQVPVKVYTGPDPMLDFSNGDKLKGRSALF -FSRNGDGYKYNGGSHESRGWPSVLNMVIDACGYNADSFDHCLAQLYEGGSKLAAHSDDEPVYPILNPILT -VQLSGVGSFGLSCRKGDTSMQLKGGAFFLMPNGCQKTHKHSVRALTARVSLTFRSTKVLDLPTESVKRVP -YMLFTNRLSSEQKILGVKAYGYGSFRTREVSKLDKEMLTLCFSRKTVEEMGSKLNIYTVGQAQGLSRDYV -QLYFDSGALKTTDETVITALTRARKGIDIFYKVKKEDLKKCTSNALKEFLTKGAVSAETITKGVLSKLEG -AQLLLENVYIGNEMENIEMKLAGDPGLKAMLTILEDEEMELEEMQQESCREEGRTHLALTTFSNEQFAYD -LKAKEHREFYLHGTGFSKQIRDDIPSEYHAGPCAPSSIYLHHTSDDDVLFFMSIRKRLRFADMQKNYKNF -RMKESLGKSIFSEFLKRAAFMNFYNLPEVSEVEMEMDFTRKRIEKSASILEAHSYRSDPDWPSNYLRIFI -KAQDCTKMEKRGSDAKAGQTIACFAHSVLCRFGPLLRRTEAQLRKIIPEHILVFSQKSYDDLNDWCKTYF -NSFCGTDSDYEAFDRSQDGAILAFEIELLKHFQWPEEIIEEYKTLKLMMGCSLGDLAVMRFSGEFGTFFF -NTMCNMAFTFLRYKIGPYQPLAFAGDDMVAPGQLVVDQGMSSVLNQLELKAKVNFSNSPLFCGWRVSPHG -IVKEPNLLLDRLEMKRAEGKLDGCIANYALEASYGYRLCEHLHELNIDLDAFQELIRKIVMLKHKLPAYV -ANIFSDEGDLVSSDDE - ->YP_009389463.1 RNA-dependent RNA polymerase [Grapevine virus K] -MSISVSSQRMAAASLYNNGDKDIVDQIKNMKTAALFREESQMDGLFDYYVEDDIRDFLSERGINFSVHSF -RSHAHPISKILENYILYVLAPNYMREKVLIVSCKESKVRLLKLKHKKLEKSCEVYNRIVHAKDHFRYDLA -ERSRCIQLNKNFIRAERDCEDIFIHDEVQYWSLNEMQEFLGSLKKCTRVVYSIVYPAELDCGFHASIFPE -AYDFEVKGDYFVWYPDGKSEGAYSQPVNKWLLSTSRTMDSEDRVWTITKLNSIGAHHLFACTLGGTISEE -CYEYSDSTVMHPLKSLSGLRNYRDFRLRTRMIRPVLLYLMALRKPDPESAVAKLRMLSHKSESMREALFV -AQLAKQIRDTSLYDRMGNFDLKKAIKICVSSWIGDELTYVFDRDEFNASSLEKFIAGCDNVRVKIHRVFR -DRVVMVHPVVMSDLEWHSDGSWEIAYIANLISMDHPREAYEMAGADVIEARTAVPITRVLRAQEMVSELS -KREDVRINRRTYIVRVADLWKLSLYLIKINSVEYMTSRAIGYNWKPADLRCGYIDSEVYADILFGVRPTL -SKAEKNEVVECADKACSCGKCTMLQVELDNADQEELLKMPLNDKLKNRSCAFYSRRSKEYKYVGGSHQSR -GWSGLLDRVRAALKLDDTYDHCLVQKYAQDGQIGYHADDELCYLPGVSVVTLNLGGTCIFSVKCADGREQ -DNELQGLMALKMGPGCQQDHKHKVSKCSEGRMSLTFRNCTVDMSKDDSDDSDYEETKVDSEDILGRLERD -KDFICSLKCIADHMHMDIPTCSALIAGKNPQVLNEIGRGGITLATLLGVCKTLNIDTVVYGKGSVAVRGS -YKRLYVKVEEGHLEAGSEVPYACTIDAAMSLNPNMSSCSFKLDSKKARTLMESFQEGYTGVMLNRFKKGK -HTPQEWEERVVPVWVSLGFAGSGKSHYVQSVLKNCEVEDVLVVSPRKNLAMDWSGKIRKGHKVVTLEQAL -SKNFTSYKTVVVDEVSLFPPGYLDLLCYMTRAERLIIMGDPLQCGYYNQDDEIVLEPIREDVFKRLWGKK -TYLMYSHRLKPGKLFDIECYGEGVLAQPNEERPVICASRKAKEANKNGYTVSETQGLTFKFAVVQLDRDW -ALKDDGDVVVAFSRCRGDVQLRVSEPDKKYLTINAKSGMLKKFLVGERISRVDLCEAVRKRLDDVVFAFS -EERLANSNEFEERLAGDPYLKSLMNILEEIEAEEIELPEVSAPEPMRTHLPLSTHSNELDAFELKAKEQR -EAFTNFGLTDQIDDKGYRDAPGPHTHKALYLRHESSDDATFMMSVKKRLRFRDMEANTRRYQQCEGIGPQ -LFRELKKTYRWMQPSSLPSLEHCDMDFLKKRMKKSAKLIERHAYRSSPDWPSSYLKVFLKNQTCTKLEKR -GVDAKAGQTIACFCHSVLCRFGPKLRQTEKALKSMLPANVMIYSQKNYSDLDKWCKNFVNDFRGTDSDYE -AFDRSQDEKILRLEVEVLKFFLWEDELIEEYVTLKLMMGCSLGNLAIMRFSGEFGTFFFNTIANMAFTCM -RYQMSYNTPVCFAGDDMYSPGYLAQRHDFDETLDKLELKAKVNYGDKPLFCGWRMSPFGIVKEPNLILDR -WKMAVGRGDLENCMVNYAIEAVYGYRLSEHLFELNIDIDAQQELTRLIVQVKDRLPPKIANLFSRDSTES -WSDGEKDAIECTPEGELISS - ->YP_009373228.1 RNA-dependent RNA polymerase [Agave tequilana leaf virus] -MSLGASTSRVARANLYSSLGDKVESIKTVKAARLAVEEENADGRFDYYVSDEAYDFLSSRGIPLSLHCHR -VHPHPISKMIENHILFNVFRNLARSRNTFVSLKESKLVSCKLNKSKFTSLDNVNNVYNRLIHAKDALRYQ -NPIREIDFSASRHLGPELVGSDIVFVHDEVHYWSLSDFQQFLTFCSTPVVYSIIYPAEIQLGYEISLNPT -LYKFKLNKDGVSFTWYPDGAQSGAYVQPLNNWLLSTYKTIDGRSRSWTISKLESIGAHHVFLATPGSLVT -EMEYTYADYTLLCPKKFRSATNRNPVIRAELARKTAHYLMALKKPDAASAVSKLRQLTKSDETPEEVMFL -GNLANTVSKSKYFQTDGTFLLGKALARSFKRACGKWFTYLTDKEEFNRWSLESSLMALKTPELTISRVFR -DYIIPPHQRPLKEIDEAIDLIFKGDKTSWVMRGDIIIPDREPQSYCTTEVVERRTRCVGTQVRKLEVYLR -ALLSYEVKEAFKSKTYYCEGVPLGLFHKSYVCVGKNLFRTTPLLQTKRPIDLYKEGLISLEEYEFRMLDL -PPCPTSRVEPKQDQPGTPSTEENFSFGSVEPEEDADWLKGAETIMNLKNTCMIKPVVKAMGIGADMVLSL -CNSVEPNFTRYLSSKGLSICGFLRMVEILKLQVYMQRFDYEVSINGERSALGIKIEGEHATECPYKDVGF -NVSNLLQMSQAYGTTKIKLNSAHARLLANSFCKNFTGVLLKEHKDVLAELEDFEKEVEVGCFFGFAGSGK -SSYLCHTLRFMKEPCDIVVPRRALMEDWANWIRGTPHKVYTFETYLVKGRKNPSHLIVDEVGLYPPGYFD -LVQGLKRPGHIIMLGDPLQTTYYSKDDEVALAENGGCIFKRMVGDAIGIPYLLFSHRIPTKQKIFEMECT -APGEFEIEQVRSLNKNLCTLTFKRFSAEEYQGFTSVMTVGQAQGLSRDEVQIIIDEGSLHCDENTVITAF -TRARKKIKMFFTIEKDRVLQVAKSETLKRIIKGKRTTRENLLAHLRTQCPSKFLIIDGEEYIGANTMEEI -EERLRGDPLLKSMLLILNAEEMEEEEAENEEAPEFSKTHLPLSERTNEQFASELTAKELREQYEKGVGYT -DQINNNFESERYSSPYSRSSIYLHHKSDDDLTFLLSIRKRLRFADYERNKTLYLSQESSGKQIFDVLKRK -LGFPEVAPTFSLSEKEMEFTQKRINKSAELLEAHSYRSDPDWPSNILKVFIKNQVCTKMEKRGVEAKAGQ -TIACFSHAVLCKFGAQLRKTEEEFRSLLPDNILIFSQKNYDDLDEWCKTYFTDFRGTDSDYEAFDRSQDG -MILAMEVELLNYFKWPTELIEEYKELKLMMGSSLGDLAVMRFSGEFGTFFFNTVCNMAYTYMRYEIPLEH -PVAFAGDDMVAPGLLKVSSVYNEILRKMTLKAKVNYGDKPLFCGWRVSPLGIVKDPNLLLDRWGIAEEKG -RLHECMCNYALEASYGYRLSDSLYELNIDLDSYQELVRKIIKIKRKLPTHISSIFSSEQDVVSDGETTE - ->YP_009352883.1 replicase [Arracacha virus V] -MSVSVSSHRVACATLFSNGDQEGVAEIKKLKTSGLLAAEVRSDGLFDYYVEDHIRDYLSSIGVHTSVNSF -RCHSHPISKMLENHILVNVFSHYLRKKNLFVSCRNSKINAVLKNNKANVSFEHYNRFVHAKDPLRYTEFI -KELDYSFMPALVKKAQGAEVCFIHDEVHYWSLENFQDFLGHLECEQVIYSIVYPVEIQMGIPFSLNPQLY -EFEDEGQGSFRWFPDGKASGSYVQPTNPWLLTTSKTEDSRLRGWSITKLESFGAHHVFLATRGVCTNDKR -DCYNDFACINPLSFCSKVCRGMRRIRSSLAKEVFTYLLALRKPEPQSAVAKIRQMSKGNIMEDEIMFLGL -VARKLQGMNIFVDKKNSSINEFSLLELFTDVLMAGLGEKASLFLFQKRKIAADLQGLLGFLAPAEFKVDK -VFRERRIKKVIYRISEPVLSESTMDEELNGDLILNEIRRNGREPAPYDNPAVVLPRIDVGLFEFMEYVGR -LRNFYRNPPKAYESPVIFHNDLKNPMSKCFVWVSKEWGLVREVKLIAGINDEDSLRNGLITHEEYLQRKN -LDNGQKSNEVSQRTKEITSNKEGGTDKIECICGMGFEVGNFKGRGLELTRGDKLKNREAWFFSRSGESYS -YTGASHASRGWPTELNTLINSLGYESTSFDHCLVQRYDAGAELKLHKDNESCYPAGNSILTWNQSGSCTF -NIECKQGSMKIVLEEGDHLMMPNGCQTSHRHGVSGCTNSRTSYTFRSTKVHDPEGPLRVLEEQSEGSISS -YEEEDEEEDTAVGGLTKGRKVCALNALSAHLGLNHETIIAKVLGEDKRAALALKSGGVSLSTLMRCCKAL -SLSGCVHSEFGGFRMDGVVKEINLYVEGDHVELSDRVCTSRGLKSAMLLNPETSVVTFSAKKEYAKNLVN -AFTAHETGVVIKEKLMGAHLESCEQEIMMSCGFAGSGKSHYTQTVLSFESSPQTLIISPRKALKLDWQKK -VHKKHACETYEKALFSLHKFKNVVVDEIDLFPEGYLDFIVCASDWECVVVLGDPLQAQYYNQDDRLKLGG -TPDIFSRLCGTVNYLMYSYRLPTNQNLFEINCYGDGNAQSKKKDAQVLVCSREGLVGRDKAYTVGESQGL -SFQDVRLIEDKGLRHIKNGTMMVAMTRSRNSFMVDAKKTQGCSFLNAVQNGRRITLSELKSELSLRLKSF -NCHYSPYLVSNMESIEEKLANDPYLLGLGNLCFDDEFEQEEMAEEWSAEEAMRTHLFISEESNTLYSSTL -KGKEEREYVSEHTGSSDQIDDVGSTREFTMVGPLSYRSRYLDHKHADDTTFFMSVKKRLRFADYERNKRS -YERKVCYGEQMFRKMREFLGMPDSPWVSPLEASEQEFIQKRAQKASKLIAAHQDRSDPDWPSNYVKIFLK -QQLCTKMEKRGVDAKAGQLIACFSHSVLYRFGAIIRQSEKTMSNLLPQNWLIFTQKRFEDLDEWCKEHMT -EQVGTDSDYEAFDQSQDGTILAFEVALLRYLHWPEELVEEYKELKLMIGCKLGSLQVMRFSGEFGTFFLN -TCCNIAYTIMRYEITPETPIAFAGDDMFSPRVLTVSHAYDHFIEDKKIIKLVAKVRVSRDPLFCGWRMTV -YGIIKDPNLLLDRWKAAIEKGNLKECMDNYALEASFGYRVGDNLYDLDIDLDAQSELTRRIIKHKHQLSR -GVAEIFSGSGDVASDEEDDWKFLFH - ->YP_009051684.1 replicase [Prunus virus T] -MAFSYRTPAEEFLSKLPSASQETVDEYALRSLRDQEKASSRNYSYHLTDTQKSFCSKVGVPLSVNNFMVH -PHPYCKTMENFLLHDNLFHYRNLIDTYVSIKEEKVGLLNRSRGLNFHRVINRCVADRDNIRYKNCVSKSF -FEDTRNHAPNQSKSWFFHDELHHWDVNEFADFLGNFKPKKVIASCVFPVEVFSSDESCNPSFYRYLIHEK -KKGEVRFTFFPDGSKESSYFQRSSDWIFRFKYFEIGSEIYTLSFLRSIKCHHLILIEKGKLETNDYYISD -EAECASLRMFGTAVAGKITAPIRVEVLKREMIYLLSLKKSDMNSAAAKLRQLSQEDYHPQELCFFLNLAG -RIESVKGIFKDRGLVSTVIDCFAQTFPDRIARWISKTTDENSFLRLISSMEGMKLTIKRESFPVENFLEF -DVKDGISEQFILDLMEEKMSGGTDAKMDTSYSVATSRNKNFVLLDFKQRKWARLAEKEEKEPEQRIEIPG -EIQELSFEEARFYHGIPGMKRAFDSRVEHDSITGSFTKRRDPVLLTNYAHWGLPKREGIREVVMWDAEEE -ISQKEGGLKVMEGVIHPNTLSSDCAEDSESCGSVSGNDESMSSEEKEECEYINGRFSPNGSFVESLSMLL -NSKAAYLHGGRKTILFSTVTGLDYGFGPHKYKQIPCDFMEDLLKKVGFNSCLAQMYGEGGSIGAHYDDEK -VYDDDEILTWNLEGEADFTMFKKTGTESVHLTRNQVLVMPKGCSRGEEKFKHAVENCTEGRISITFRHQK -RFMNGEPVEIENFKRSFIQLPDNLCDLISKMSNACFLDCLADHLCMNRSAVFNLLFDQDKSVITNVLEDK -GFTLSEVIDHLMNLDIPGRIVSNGEVINYLEKGSFKPIDLLMRDGHIGLNVQHDVLYDTKEVKVEELIGA -DIIRPHFSVERARVLVKSMMEGMTGVILNRFKHAFNELLPRHENRVMCIAGFAGSGKSRALQGVCASVLN -KKNVILSSPRKNLLRDWESKIDEKLKGKERLIKLKTFELAISAITRMVKKEQDGKLTVIIDEATLLPGGY -LDLVNSLVPEGSTIILLFDPLQSHYYSKSDVRVNLGPVLTPIFGQEFRYRGYSYRFPKLFDLEDFEYGHG -DVDPNHMRVFAQPQAVREAIKRPVFLCPSDDKRSELSNFGEAYTFGTSQGLTFDFVCISIDMDGSVTSDF -HWMVALTRARRGFCFLTCASTSMRTFMDNNRAKLIGKVLKKEQISKKFWWNLGGRALEGARAVKKDEFSK -LGKTREEFEESLEGDPWLKGMLNYLEGDDANDPEPEEPIRKDSPPRTHLMIAPVEHQFAEEMHLLRAREF -REFRNSNLWSEQFDDCRKTRKVIHNRAETFEQIYPSHKNSDTLTFWAAIKKRMKMSDPYSERRKLERCMP -VGENLCRLFVEEYGLKRGWQVDIESTEREFLLKRVEKAKKMIEAHSERSDPDWMVNHFFLFMKTQLCTKF -EKRFSDAKAGQTLACFSHQVLARFGVPIRVAEKKLRAQLGENIYIHSGKQLDELNEWCMGYAKGYGTDSD -YESFDRSQDALILAFELHLLRFLGWSVDQVEDYVTLKLRLGCRLGYLAIMRFTGEFGTFFLNTCCNMLFT -CLRYKINKNTPIAFAGDDMFSPGRLEVRRDREFLLNRFSLKAKVNFSKEPMFCGWRMTPYGIVKEPKLVL -ERFKIAEERGCFKECLINYCLEVSFAYRLGERLYDVIKNIQDKQALVRIVVKNKKFLPKKIRKEFESFDH -EFGGCGSVQEEGECDRVHRFGCGLECNI - ->YP_006590065.1 replicase [Grapevine virus F] -MSISVSSQRVAAASLYTNGDKTIIDQIKVIKSERLKKIEVEVDGLFDYYVSDFMRDFISKLGIHTSVHSF -VAHPHPVSKMFENYILYRCISSYIGNSNLFISCKEAKVKRFKFGRNGLEAKNTRYNRLIHSKDHFRYEWC -TRNKNLEHIPEVREGTKTCDTVVIHDEVQYWSLADLQNFLGNVEDNTNVLYSVVYPAEITTGITFSLFPE -AYEFEVHDGYFIWYPDGKAEGAYKQPINPWLLTTSKTEDVRYRTWTITKLQTVGAHHLFSCTPGSTITED -SYTYDQFTLINPYNCFAGRVHGRQTVRLRARMVKPVLLYLMALRKPDCGSAVAKIRMLSHDEESCEEAIF -VAELAKLISDLSLYNSMGAFNAWEAVKKVFHRAMGPWWTYLVNRRLFNEDSMHEFIANCDSAVITVPRIY -RACVEVRKTLRAPLGHHWHEDEEVFGLLYLSELAKGDRCSEVYSIPARELQEARVVAPITRILRSHELVR -EQMLVQEVELNTVHIAATPGNLIKMFRKCVIRLSAFRFMETRLLTEYNSVEESLTNGWMTDERAMEILFG -VVEAPILQEKVSEVEMPTADHTVGPATCSKITDATKGKVEVFLKQVKYLDKLKGRVCAFYSKYSTGYTYT -GGSHISQGMPESLVELRRSMGLGEEYDHCLVQKYEKDGAIPFHADDEKCYLPGSCVVTLNMHGTAEFMLK -ENGKEEVHRVTLEDGDVLVMPEGVQENYKHSVLVKSEGRISLTFRNKTVDYMNSDAVSDYEEDAPDVDDG -LRSIQANRANLCTLQCIADHIKLDLPILVARLNATNPEYISELSKGGPTLPTFINLCAKMDIPLQISGVH -GGLQTRGNFKKLCVFMGEDHVERVEETVGRNNAYDAFSLHPNSGRGTFYPNREYAEKLSESMFEGHTGIL -LNKFKRGKSVIKDVRKEIKFVSFFGFAGSGKSYYPQTVLQHTFGIRALVISPRKALAADWSSKIGSRAKV -VTFEKAVVEEEVFSCIVVDELGLYPPGYLDLICLLHEPSTLVLLGDPLQGTYYNKDDRIKLATVSHNVFA -RLEGSLPYLMYSHRLSKSNRTFDIDTHGNLESAGSQRVKKLDTKMPTIYAARATKESAEGTAYTVSETQG -LSFKDVQVLIDKDWALKEDGDVIVAFTRARGTTKYMCSDSDMDMLKARAKSEVLRSILRAGKVGRDTILN -SLRKEMPNAEPVFTEARLANTEEYEEKLMGDPYLKGMLRLLQEEEIEEEECEEPMAPEPVKTHLFLSEGV -NEMAPFMFVNQSGQRVKLGDRFNREQKTTAGLTAQIDEMGYAGQNENPCTHKALYLHHKMDXAATFMLSV -RKRLRFRDPEKNRARYEKHKGIGRQMFDVLKKTYHWTSIDSLPPLEKAEADFMKKRLNKSAALLERHNIR -SDPDWPSNIIKIFLKQQVCTKLEKRGVDAKAGQTIACFSHAVLCKFGPIMRQTERKLRELLPPNVMIYSQ -KNYSDLDVWAKTYVDTMVGTDSDYEAFDRSQDEKILDLEVEVLKFFLWPEELIREYVELKLQMSCSMGNL -AIMRFSGEFGTFFFNTMCNMVFTCMRYNVTDKTRLCFAGDDMYAPGVLEVRTDYEHILDELSLKAKVQVG -DRPLFCGWRMSPYGIVKEPNLVLDRWKIAKGNGSLHDCMVNYAIEASYGYRLSEYLYDINIDIDAQQELT -RQIVLVKDKLPPKVSSIFSDDANESWSDGDDEFLIKLSEPQLRMGLF - ->YP_004935358.1 ORF1 gene product [Actinidia virus B] -MSISVSSQRMAAASLMQNGSTVEIESIKTLKTERLKKCETRSDGLFDYYVSDFLRDYLAKKGVHTSVHSF -QAHAHPFSKMIENHLLYNIMSQYIEKTTLFMSFKGSKIKKLLLNHKSGADLKNLKCYNRLVHIKDHLRYT -DPHKELDMAHLPELARLSETCETAVIHDEVQYWSLQDFQLVLGQMNKVKRLMYSIIYPAEIDQGYEHSLF -PEAYHFERRGGYFIWLPDGQSDGAYKQPINPWLLSTSKTIDSRGRTWTIGKVTSFASHHLFVASLGSTIT -EDEYTYDDYTVIPRGALSSGRRDYSGMYLRSRYVQAVLLYLMALKKPDSSSAVAKMRQLTNGDENPAESL -FMAQIARQLQDAKLYDSMGTFNLKEAVWNGFCGALGDSITYLIDKEQYKVSSMEKFILRCSSARITITRT -FRDYQPRRTKLGPELGTSWTGEEDEELQEIYHVVVKEGDRERTPYSLKGDEILDNKERRSDLMIQCARMD -LLENTKELPSINEPMVFSPIDGFRSMRRFMIREGPIRGFSGLAIGWENDPNIRLKEGRITHEQYENIISG -TKQATKKQMGQITKCPCGAELKRTKVEGGYIRSLIPGWTDQLQGRKAAFYSRHSEEYSYPGGSHKTRGWP -AEIERMRNELGLGEDFDHCLCQIYEKGAGIPYHADDETCYKEPSVVTVNLFGEADFKTKCTNELSFRLTD -GDVLTMGKGFQSNHKHSVQNTGPGRVSLTFRNSIISNQADEDDLSEYEETEAGFDETLVVLEKNVKNLCC -LDRIAEHMGVKREVCASIIHSKMPRAIEEFKEGGMSISTFIHVVKQLDLACYIQNERGNIQVPGKFRELK -VSATGEHMSAYLGPTATSTLATALDFNPDVSRLSIEVTQSRAIHLLESFREGFTGVNLNKYQKRPLGTDI -DNTLIDVYGLFGFAGSGKSYYPQTLLRCCNMKDTLVIVPRKALKADWSEKVKDGAIVRTFESAFNGRKGY -ENIIIDEVGLLPPGYIDLVHANFQYDTMLLLGDPLQSEYYNKGDSLFLEPISESVFDRLMGKKNYLYKTH -RLPSNQKLFDVPSKGERSENYLKGAEGDANYDLIITASRAAKEKRGQKGSTIGESQGLSVRRVKLVIDRD -WGLLNDKAVMVALTRARNTLSVEVDKSMKEHLKVHAKSSILKMFLRGQMIKRELIMEMMGTDNGDVELIE -KETRFADSDDMEDKLSGDPYLKGLLRLYDDVEMEEEEVPDVSLPEPQKTHLPISTKENELAPSLLRAREH -REARTPAGTTEQIDEMGYKMEPENPMTHKALYLHHRNSDVATFFLSVKKRLRFMDREKNHRRFNKVKGFG -KQLFKVLKETYNLRQPDKLPDLDRIEAEFARKRLNKSKNLIEKHSYRSDPDWPSHYLKIFLKQQVCTKME -KRGVDAKAGQTIACFCHAVLCKFGPLLRRTEKALRAQLGDNVLIYSQLNYTDLDKWCKNFVPSMLGTDSD -YEAFDRSQDERILDFEMEVLKFFLWPEEVINEYKELKLMMGSSMGSLAVMRFSGEFGTFFFNTICNMAFT -CLRYKINKDTPICYAGDDMYAPGHLIISKEHEGTLDQLSLKAKVRVSTEPLFCGWRMSPYGIVKDPNLLL -DRWKIAKRGGNLDQCMVNYSLEACYGYRLGEYLFDINIDIDAQQELVREIIKIKHKLPKGIRKLFSSDPT -ECGSDGEELEFRVRNEGGIESPTETED - ->YP_002117775.1 replicase [Grapevine virus E] -MSLGASSQRVAYANLYANIGSDKLSEVRDRKASTVNSIEAYASGLFDYYVSDDVYDFLASKGLPLSINCF -RTHSHPISKMIENHFIFNLIGNNLAKDSTFISFKEDKLVLLENKKSRIDGNTCIINRLVHAKDALRYKDP -LRNLWFDEDQKTMKGLAEPDRVIIHDEVHYWNLKDFQRFLSYINCPLIYTVIYPAELHAGYPFSLYPELY -DFRVSEDGKTFTWMPDGKCCGSYKQPVNPWLLSTNKTMDLKGRTWTLTKLESVGSHHLFLCTPGDLVTED -EAVYNDFTLVDPKLFSSLTQRMPKLRAGYMHKVIHYLMALRKPDAASAVSKLRQLSKGDETTDEMIFSGT -IATQIAELKYFTEVGGLIDLTRALKVGFARLFGQSIEYFSNKKWYHLDCFTNTVQFMVKAEVRIKMGYRP -MVDGLGPEHEVVEPAGLEKRREYQGLRGVEAIADGGVPERKRQPYSMVFSDCERMCDAGDPVGELNEFKR -MLGHPNNCENQKWMYFEATPTPGQNFVYQEVAKDIYIKVYLITNPPLHELLLEGLITLEEYKSMRGQLPG -TETSIVVRKDEVQETEADDSFDNDMVKLKEIKKNLCLIQPIAEHFALKAPVLISKATVEIPNFARYLSDK -GLSMPGLYMLCKNMGLTLSILSDEGYLHLQGSYKPLGLVIKDDHATPGRYIQRQNRPAEALAVNPGVGQM -EIEVVSENARALQASFEKGFTGLILNDHRGKWAAKIPQGARAQLTVSMCLGFAGSGKTSSITQMLKLGHQ -MAVAVVSPRKNLCEEWKKELLDTDVCVYTYESFLLNHKHKLDLLILDEIPLFPPGYIDLVHFIKSVEHIL -LLGDPLQTSYHADGDALTLSGVEGDIFRRLQAKKSGICPCGMHFKPSRYMGPNVEFDFDEADKLKGREAI -FFSRGGEGYKYNGGDHKSSGWVGELDQIIDACNINADSFDHCLVQRYIPGGSIKPHADNEPIYPVNNPIL -TVQLTGSCTFTLSCRKGDTSLHLEGAQFFLMPNGRQKGHKHSVVAHDHRVSLTFRSTRPLELMSGKIQAV -PYLFLTNRLSSKQKIMEVPSYGVSDFEVKEIKKLNKETLTICFSRATVEEERDNLTICTVGQAQGLSRDV -VQIMFDHGSLKCADETIIIALTRARKAVHLFYKIGKTDLKNCSSPILRAFISNGKIPEKLLVDKVRGKLG -DCRLLTENVFIGADSATIGDHLAGDPGLKAMLLILEAEEMEPEIFEEETVPETIRTHLGVTTFANEQFAF -GLKAKEEREHHIHGTGFSTQIRDNIASEFHPGPSAPSSIYLHHTAEDDVLFILSIKKRLRFADFEKNCAS -FERKKKLGESIFTEFLKRADFMNFTYPPQVDETSMELDFTMKRIQKSARILEAHSYRSDADWPSNYLKIF -IKNQDCTKMEKRGSDAKAGQTIACFSHAVLCKFGPILRKTEAQLRKILPPHVMIFSQKNYEDLDKWSKDY -FNDHSGTDSDYEAFDRSQDGAILAFEICLLRHFLWPEELIEEYKTLKLMMGCQLGDLAVMRFSGEFGTFF -FNTMCNMAFSYLRYQLGPYQPIAFAGDDMVAPGRLVVNESMNSVLNQLELKAKVNYSDSPLFCGWRMSPY -GIVKDPNLLLDRLEMKRAEGKLDDCIANYALEASYGYRLSDHLYDLNIDLDAFQELIRKIVMLKHKLPPA -IASLFKEEEDIVSSDEEA - ->YP_002019748.1 replicase [Potato virus T] -MSFSFRTPAELFVQSLPKEYAEACFKSHAANFQIRSDKGVGLFDFACSSVVKERLTKAGIPVSAFCNQEH -SHPASKMIENHLLYNILPNYLNLKNYTAISIKDSKVRKLLKNGVDSLETFNRLFSCKDALRYVDPETCDM -DKFIARVHHSTRIFLFDELHYWSMNSLSDFLDRSNVKELLATIVFPIEILLGSKRSLNPELYEFEISRGK -LHFFPDGCTSESYSQPKDCDILKVNRIVTKTGKIFSVELIHTIGANHMVMIKEGSFDVDSERFFDRSSAL -TTSLLMPTRAGKALRIRRKFLLRLIIYLFSLKKPDHHSAIAKIRQSSDDSIFCDEIMLADHVGKIFEKLD -PASPFGVKGVFDLLTSIFKDIFLLDGLFNWSDRRKSEKFVEFMRALDYQTNKVVTCTFSGGVMRSGFLAE -FFLDNDCEASEGLDEVISRFDTFFDPKKEYSAHALRVNIKDRTPNPYGPMKARTPSVVLHREYITKVEFS -SKAKKVNVLKERLTGEVSFEEARLQRMRYSDSQFVAGLAPKLRYVKMLTWKKDQKLFSEFPSEESNEVEM -EDAFELRKGRTLKRGTKERDEDGANSEECEQLDSEDDVGSFDYEETKADSYEIDFEAILNRVNSGGLRGV -CLLDALAKITGTKREITLSILLGRDGTWADWFLKDKGATFDDVFKAVSDLDLNCTICTKEGSFNAHVNRN -YKHNFLYLFDEHVSLERPKVMLFEQVRHQKIDFLGAFEKCPGAGKFRYEALAERGSLLASALKDNLTGVI -SSKFNWDPKCEFVDIEKEILVVAGFAGSGKTRGICQIVKSMFNNKKTLVLSPRKNLADDWVKNLANLHRP -SHVKVMTFEAGLRRVQKSSLIVIDELSLMPNGYLDMLINMNEEATFITLFDPLQARYHAKSDVLRVSPEN -DVDRIKVPKYLFFSKRMSSELDFFDVRCSSDQKKWELHGKQYREPAALFRDIKGQEFTILSPSFETAREM -SKYADIKDGCKSMTFGESQGLTVNKAVIVVDQDLVATSVLHWIVALTRSRQGFVILVHKVFDMKTLIQPV -QNSIIGLVLRGVKVQENIFINTAGKCLSEAEIVEELETFKRTEEDEDLLEGDPWLKGQLFLCQSVELDEV -TPEEPLRHESPPRTHLPLPVEGLTPLLMSNVKAREDREFITPSGWSKQFRDDKENVDWRNVSYADAFETI -YPKHEASDDITLWAAIQKRIVMADPFRNAMKLQKVEPISAEIFNEMNKILLLNPHVSVDRDQVYKEFLRK -RLNKSKKLIESHSERSSDDWPIDHFFLFMKSQLCTKFEKRFVDAKAGQTLACFSHKLLTRFGPAFREFEK -KFTANLPPSWYIHTMKNFDQLNNWVINYVDQEEGTESDYEAFDRSQDAIILGLEIECLKLFGWDQDLIDD -YRKLKLRMGCRWGAIAIMRFTGEFGTFFFNTIANIAFTCLRYNITRDTVIAFAGDDMYASGKLEIRKDRE -DLLAHLTLKAKVQFTEKPMFCGWYIKKMGIVKEPRLVLERWLIAERKKVIDQCFINYSIEVSYGYRLGEY -LWEYFDNLEDFQAIVRLVIKKKKQLPPAIRRIFETSNGVDFSGEVQETMGGEGEHHGSCGLWCNLH - ->NP_619654.1 putative replicase [Grapevine virus B] -MSISVSSQRVASAALYQNGSTDQIEEIKKIKSSRLLNSEKHSDGLFDYHVPDFLRDYFAKKGVHTSVHSF -QAHPHPCSKMIENHILYNIVSQYVDDSTLFVSCKESKLRTLFMKRPKSLSNVTQYNRLVHAKDVLRYTDP -VRELDMEHLVKLSELSKRAKTAFIHDEVHYWSLKDFQSFLGHLGGTQRLLYSVIYPAELHIGFEQSLFPE -AYEFDLLGEYITWYPDGKADGSYKQPVNPWLLTTSKTVDVHGQTWTLTKVLTMGAHHLFLATRGSTLTEE -EYHYDDFTVILPKKLLQGRRRNKMPYLRSRFITSVLLYLLALKKPDPSSAVAKLRQLTNGEESTAEALFV -AQLSRQIQETKLYDKMGNFSLKECIWSAVSSAMGDSLVYFFDKARFYNESLESFILNCGPAKIEIKRVYR -DAVYRNQGVCPHLVSFDGSPDGVMDELYLQHIRDMDRSSEPYSLTSAEVLESSIGCRISLIEMGRAMLKF -HQQQDVMINERVVLSPLDDFRSMRKALTDRDGILGTTCLLLEWEYDPLKRYSMGLITATELNAAIIGTTK -ITAKKEMAKECSERYQQCSCGVRLPMRKLETPLKVPTEEFTDALKGRRAAFYSRHSWNYSYTGANHASKG -WPEWVSNIAEKLGLGEDFDHCLAQIYEEDKGINFNADDEPCYTDPEVVTVNLNGNANFHLKCGSESVVPL -SDGDVLIMPKGFQKTHKHAVTGTSAGRISLTFRNGINAPDEGSDKMSEYEETHRIGGKPGCPREEQKESV -SLQILADHMRVDLAICTSMVFAKDPRAREEVKRGGMTLGTFICVLKSLNLGAYIESERGCLYVNGAYKEL -SCYAEDDHISEWSGPRKETTFSNALSMNPDIRVVKYEVCEQRAKKLVDSFQEGFTGVCLNKFQKQKSSFN -LVNGKELIDVHLTLGFAGSGKSFYPQCVLKNSHYANSLVIVPRKALCSDWSNKVHPDVKVVTFESAFRQQ -KKGYGLIVIDEIGLLPPGYIDMVHGYFCYDSLLVLGDPLQCEYHSKADHFFLGQEESVFKKFKGHCNYLY -KSHRLPRNQKLFEIECDGAEGEGVTFNKPRAKDLTLCASQRRKDSEKDVNTVGESQGLSANRVNILLDKD -WSLVNDETVIVAFTRARKEINIIGDASLVNNLKRSAKSTVLKKILGGERVTEGLILSLIRKKLPDVIWLR -RRCCSGQSDEMEEKLSGDPYLKGLLCLIDETEEEEVEIPEPELLEPQKTHLPLCVGENELSISDLRSKES -REVSTEAGRTDQIDELGYKGEPENPMNHKALYLYHQNSDVATFFLSIRKRLRFRDPEKNRRKFNKCKGFG -AQMFGVMKSVYNLKQPDHLPLLERAEQDFMKKRIAKSAKLIEKHSYRSEPDWPSNYLKIFLKQQRCTKME -KRKVDAKAGQTIACFCHAVLCRFGPLLRQTEKALRDQLGPNVMIYSQKNYTDLDKWCKGFVHTLDGTDSD -YEAFDRSQDEKVLDFEVNVLKFFLWPEEMIEEYVTLKLMMGCSMGSLAVMRFSGEFGTFFFNTICNMGFT -CLKYSIRADTPICYAGDDMYAPGILVTKSEFKHILDELQLKAKVNYTRSPLFCGWRMSPYGIVKDPNLLL -DRWKIAERDGSLKNCMVNYALEAIYGYRLGEHLFDLNVDIDAQQDLIRRIVLIKHLLPQSLQRFYSDEER -ECFSDGEEFNLKVRNEGGLDESEFAEE - ->NP_619662.1 putative replicase [Grapevine virus A] -MSISVSSQRVAVSNLYTNGSEESVKAIKELKSKRLLETETRLDGLFDYYIPDTLREILTGYGMEFSVHSF -QGHAHPVSKMIENHMLYRVAPNYFSSNTLVVSCKESKIKRLRLKNANNRNLNFTQYNRLVHANHHHRYEN -AFRELDVGNLTNLINKEDQSECIFIHDEVQYWSLDEMQRFLGSLSKVDRVVYSIIYPSEVEAGYSQSLFP -EAYTFDLKDRRLVWYPDGKAEGAYTQPVNPWLLRCSKTEDSKGRSWTITKLQTIGAHHLFSAIKGSYLTE -ESYKYDNFTIINPNDVLKGKRGGKPLYLRARMIKPTLLYLLALKKSDSNSAVAKLRMLSSREENMDEALF -VAQLAKQIKDTALYDKMGNPNLRSILSESFYDIAGSLFTRLFNRPEYDARCLEKFIRSCETTEIHVERRY -MEGIRRGASFKVQNVMDWVEDDSANALSEVNFLDISWNDRVSEPYGIECIHGEGSRIRVPLSRILRAHEL -IAGVQTDVEINFPRYVCSSRALIHFRQYLIKLGRFSFMESRAIKDIEDIQAGLEEGVITEEEAELRLLPT -TKPKITEIHMDDDTPGTSGESDVEKFKSVRSLCREEIYSEKLKGREVAFYSRHSKEYKYNGGSHRSLGWD -EALNELTQELGLDDSYDHCLIQRYTAGGSIGFHADDEPCYLPGGSVVTVNLHGDATFEVKENQSGKIEKK -ELHDGDVYVMGPGMQQTHKHRVTSHTDGRCSITLRNKTVDYEARKGDEDSEYEEDKAELDEGIDYLQKNQ -GNMCSLKAFADHMQLSTPSVIAIVNGASPQTLREIEDGGYSLATLVNLSKALDFPIAIHGERGYAETPGS -YRRLHLKITSGHVEPFEGVTSKGGFREAMLLGDGVGVGHFRVDKAKADRLAQSFYNGNTGVLLGKYNKGK -MHTGEIEEPKEVLTAFGFAGSGKSHWCQTILKHCSVEKVLVISPRKVLRDDWVAKISKKHRVVTFEVAFM -DDYGCKDIVIDEIGLLPPGYIDLVIAAHQPRTLVLLGDPLQSTYHSKRDNVVLEASQEDVFNRVRGKLPY -LCYSHRLPRNCKLFEIECMGAESEKRVVYRSNRLKDEPTICATRAMKEEKGSGWYTVSETQGLSFKSCLI -YLDEHWAKKEDEDVMVALTRSRGEIGIHVTPALKKKLITNAKSTLLKKVLKGETYRRSEIVAMVRKHIPE -TTVLFEESRLAETVDYEARLAGDPYLKSLLALYDEIEMEDIEIEEPVTLEPTKTHLALSTKMNELAPFDL -KAKEHREQHTEAGRTEQIDENGYQGEVGDPMTHKALYLRHTSDDTATFMMSVKKRLRFRNYEANRRKYKT -CHGIGHQMFSVFKDTYQLKEIDSLPELERCEMEFMKKRIEKSTGLIEKHAGRSDPDWPSNYLKIFLKQQT -CTKMEKRGVDAKAGQTIACFAHSVLCRFGPILRQTEKALRELLPEKLMIYSQKKYMDLDKWAKTWVESMM -GTDSDYEAFDRSQDEKVLDLEVEVLRFFLWPEDLIREYEELKLMMGCALGDLAVMRFSGEFGTFFFNTVC -NMVFSCMRYHIDRNTPMCFAGDDMYSPGILRVKKDYEATLDQLTLKAKVHISEEPLFCGWRMSPFGIIKE -PNLILDRWKIALRSGNLSLCLVNYAIEASFGYRLSEHLYDVNIDVDAQQELVREIVIKKHLLPKKISDLF -SEDECERHSDGDEDFLSNDVARLYRIE - ->AFV39891.1 replicase [Potato virus T] -MSFSFRTPAELFVQSLPKEYAEACFKSHAANFQIRSDKGIGLFDFACSSVVKERLTKAGIPVSAFCNQEH -SHPASKMIENHFLYNILPNYLNLKNYTAISIKDSKVRKLLKNGVDSLETFNRLVSCKDALRYVDPETCDM -DKFIARVHHSTRIFLFDELHYWSMNSLSDFLDRSNVKELLATIVFPVEVLLGSKRSLNPELYEFEISRGK -LHFFPDGCTSESYSQPKDCDILKVNRIVTKTGKIFSVELIHTIGANHMVMIKEGSFDVDSERFFDRSSAL -TTSLLMPTRAGKALRIRRKFLLRLIIYLFSLKKPDHHSAIAKIRQSSDDSIFCDEIMLADHVGKIFEKLD -PASPFGVKGVFDLLTGIFKDIFLLDGLFNWSDRRKSEKFVEFMRALDYQTNKVVTCTFSGGIMRSGFLAE -FFLDNDCEASEGLDEVISRFDTFFDPKKEYSAHALRVNIKDRASSPYGPMKARTPSVVLHREYITKVELS -SKAKKVNVLEERLTGEVSFEEARLQRMWYSDSQFVTGLVPKLRYVKMLTWKEDQKLFSEFPSEESDEVEM -EDAFECEEKENSEEEGPKERNENGANSEEYEQLDSEDDVGSFEYEETKADSYEIDFEAILNRVNSGGLRG -VCLLDALAKITGTKREITLSILLGRDGTWADWFLKDKGATFDDVFKAVSDLDLNCTICTKEGSFNAHVNR -NYKHNFLYLFDEHVSLERPKVMLFEQVRHQKIDFLGAFEKCPGAGKFRYEALAERGSLLASALKDNLTGV -ISSKFNWDPKCEFVDIEKEILVVAGFAGSGKTRGICQIVKSMFNNKKTLVLSPRKNLADDWVKNLANLHR -PSHVKVMTFEAGLRRVQKSSLIVIDELSLMPNGYLDMLINMNEEATFITLFDPLQARYHAKSDVLRVSPE -NDVDRIKVPKYLFFSKRMSSELDFFDIRCSSDQKKWELHGKQYREPAALFRDIKGQEFTILSPSFETARE -MSKYADIKDGCKSMTFGESQGLTVNKAVIVVDQDLVATSVLHWIVALTRSRQGFVILVHKVFDMKTLIQP -VQNSIIGLVLRGIKVSREHLINTAGKCLSEAEIVEELETFKRTEDDEDLLEGDPWLKGQLFLCQSVELDE -VTPEEPLRHESPPRTHLPLPVEGLTPLLMSNVKAREDREFITPSGWSKQFRDDKENVDWRNVSYADAFET -IYPKHEASDDITLWAAIQKRIVMADPFRNAMKLQKVEPISAEIFNEMNKILLLNPHVSVDRDQVYKEFLR -KRLNKSKKLIESHSERSSDDWPIDHFFLFMKSQLCTKFEKRFVDAKAGQTLACFSHKLLTRFGPAFREFE -KKFTANLPPSWYIHTMKNFDQLNNWVINYVDQEEGTESDYEAFDRSQDAIILGLEIECLKLFGWDQDLID -DYRKLKLWMGCRLGAIAIMRFTGEFGTFFFNTIANIAFTCLRYNITRDTVIAFAGDDMYASGKLEIRKDR -EDLLAHLTLKAKVQFTEKPMFCGWYIKKMGIVKEPRLVLERWLIAERKKVIDQCFINYSIEVSYGYRLGE -YLWEYFDNLEDFQAIVRLVIKKKKQLPPAIRRIFETSNGVDFSGEVQETMGGEGEHHGSCGLWCNLH ->ABH06988.2 194 kDa protein [Grapevine virus A] -MSISVSSQRVAVSNLYTNGSEESVKAIKELKSKRLLDTETRLDGLFDYYIPDTLREILSGYGMEFSVHSF -QGHAHPVSKMIENHMLYRVAPNYFSSNTLVVSCKESKVKRLRLRNANSRNLNFEQYNRLVHAKDHHRYEN -AFRELDVGNLTSLINKEDKHQCIFIHDEVQYWSLDEMQRFLGSLNSVDRVVYSIIYPAEVEAGYSQSLFP -EAYTFDLKDGRVIWYPDGKAEGAYTQPINPWLLRCSKTIDSKDRAWTITKLQTVGAHHLFSAIRGSYLTE -DSYKYDNFTVINPNDILRGRRGSKPLYLRARMIKPTLLYLLALKKSDSNSAVAKLRMLSSREENMDEALF -VAQLAKQIKETALYDKMGNPSLRSILSESFFDIAGNLFTRLFNRPEYDARCLERFIRACDTTEIHIARRF -MEGTRRGAPLKVQDVIDWVEDESANVLSEVNLIEVSKDDREASPYGSSAMDARNKRLLVPLPRILRAQSL -IMDMQAAVEINVIRIVCSPKALIHFRCYLIKLGRFRFMESKALCDIEDLQAGLEEGLLTEDEVEARLLSS -ESEGEVEECVVKPAPVPIDEKEEIKYSGVRKLCKESIYTDTLKGGEAAFYSGYSKEYSYTGGSHRSLGWD -KALDELVAELGLDESYDHCLIQRYSKGGSIGFHADDERCYLPGGSVVTLNLHGEAIFEVKENATGKIQQI -KMRDGDVYTMGPGMQQTHKHRVVSCTEGRCSVTLRNKTTDYETRLEGNNSEYEEDVAELDEGIEYLQKNS -GNMCSLKAFADHMQLSIPSVISIVNGASPQTLREIESGGISVATLVNLSKALDFPVAIHSERGYAETVGD -YRKLHLKITAGHVEPFDGVNSKGGFKEALLLSDGIGIGRFRVDKNKADRLARSFYNGNTGVLLGKYNKGK -MHAEWLEEPKEVLTAFGFAGSGKSHWCQTILKHCAVEKVLVISPRKVLKDDWTSKISKKHKVVTFEVAFM -DDYGCKDIVIDEIGLLPPGYIDLVIAVHRPRVLVLLGDPLQSTYHSKRDNVVLEASQEDIFSRVQGNLPY -LCYSHRLPKNCKVFELECMGAECDKRVVYRSNRLKDEPVICATRAMKEEKGSGWYTVSETQGLSFKSCLI -YLDEHWGKKEDEDVMVALTRSRGEIGIHVSPALKKTLMSSAKSTLLKKVLKGETYRRSEIVAMTKKHIPE -VVVMFEESRLAETVDYEARLAGDPYLKSLLSLYDEIEMDEVEMEEPVALEPIKTHLALSEKLNELAPFDL -KAKEYREQYTEAGRTEQIDEEGYKGENANPMTHKALYLRHTSDDTATFMMSVKKRLRFRNFEANRRKYRA -CHGIGQQMFSVFKEIYQLKEIDSLPELERCEMEFMKKRVEKSAGLIEQHAGRSDPDWPSNYLKIFLKQQT -CTKMEKRGVDAKAGQTIACFAHSVLCRFGPILRQTERALRELLPENVMIYSQKNYMDLDKWARTWVEGMT -GTDSDYEAFDRSQDEKVLDLEVEVLRFFLWPEDLIREYEELKLMMGCSLGDLAVMRFSGEFGTFFFNTVC -NMVFTCMRYHIDRNTPVCFAGDDMYSPGILRVKRDYEGTLEQLTLKAKVHISEEPLFCGWRMSPFGIVKE -PNLILDRWKMAKRNGDLSLCLVNYAIEASFGYRLSEHLYDINIDVDAQQELVREIVEKKHLLPKKIADLF -SDDECEAHSDGDEDFLSKDVAGLYRVS ->AFV73358.1 195 kDa replicase [Grapevine virus A] -MSISVSSQRVAASNLFTNGAEEQIKAIKELKSKRLLETELGLDGLFDYFIPDSVREILTGYGMEFSVHSF -QGHAHPISKMIENHMLYRIAPHYFSNRTLIISCKESKVKRLRVSNKNRDFNFTQYNRLVHAKDHHRYENA -TRDLDVGSLCGVINLEEATECVFIHDEVQYWSLDEMQRFLGSLKHVKRVVYSIVYPAEVDAGFSQSLFPE -AYTFDLKDGRLTWYPDGKAEGAYTQPVNPWLLGCSKTVDSESRSWTITKLQTVGAHHIFSAVLGSFLTED -SYKYDNFTIINPNDILRGRRGCKPLYLRARMIRPTLLYLCALKKADSNSAVAKLRMLSNREENMDEALFV -AQLAKQIKDTGLYDRMGNPSIKSLLSEAFHDIAGNLFTRLFNKPEYDARCLEKFIRACETTEFHLPRRFM -ERVYKGSTVMIQRVVDWVEDEEANALNEVNLQELSRNDRQPESYGTSELHRETRRAYVPITRILRSHALI -LESAKTVELNRIVIVCSARSLSNLRLFLVRLGRFRYMESRGLTDVQDMQEALEDGLLNEEEVEARLFTYQ -GSDSEGEAVEECVTGGLETNNDKSGTPSFSKVRKLLEENIFTDQLKGREVAFYSRNSKVYNYNGGSHRSL -GWDEALDALVAELGLDESYDHCLIQRYKKEGSIGFHADDEPCYLPGGSVVTVNLNGEAIFEVKENKSGTT -EEIHMKDGDVYTMGPGMQQTHKHRVRSLSDGRCSITLRNKTVDYEAQRKEETSDYEEDVADVDEGIEYLQ -RNSANMCSLKAIAEHMQLSIPTVVSIVNGESPQTLKEIESGGVSVATLVSLSRALGFPIALHGDRGFAQT -QGDYRKLHLRVGDGHVEPFEGVASTGGLREALLLSDGVGQGIFRVDKAKADRLAQSFYSGCTGVLLGKYN -KGKMHSAELEEPVEVLTSFGFAGSGKSHWCQTILRSCDVEKTLIISPRKVLKDDWVGKISKKHKVVTFEV -AFMDDFGCKAIVIDEIGLLPPGYIDLVISVFRPSTLVLLGDPLQSSYHSKRDNVVLEASQEDVFSRIKGA -LPYLCYSHRLPKNCKIFEIECMGNETEKRVTFRSKRGKDEPVICATRAMKEANGSGWYTVSETQGLSFKT -CMIKLCEHWAKKEDEDVMVAFTRSRGEIAIDVDRKLKEMLLRTSKSELLKKILSGETYRRSSIIALVKKH -IPEAVIIFEENRLASNVDYEERLAGDPYLKSLLSLYEDIEEEEVTLEEPVALEPIRTHLPLSEKLNELVP -FDLKAKEDREQYTDAGRTEQIDEEGYAQWSANPMSHKALYLRHTSDDTATFMMSVKKRLRYRSYEGNRRK -YLACHGIGRQMFSVLKETYQWRDIESLPELEKCEKEFMRKRVEKSAALIEQHSGRSDPDWPSNYLKIFLK -QQTCTKMEKRGVDAKAGQTIACFAHSVLCRFGPLLRQTEKAFRELLPENVMIYSQKNYMDLDKWAKTWVE -GMLGTDSDYEAFDRSQDEKILDMEIEVLKFFLWPEEMIKEYETLKLMMGSALGDLAIMRFSGEFGTFFFN -TLSNMVFSCMRYHLSKNTPICFAGDDMYSPGVLQVRKDYEKTLDELTLKAKVHVSEEPLFCGWRMSPYGI -IKEPNLILDRWKIAQRSGTLDLCIVNYAIEASFGYRLSEYLYDVNIDVDAQQELIREIVEHKHKLPKKIA -GLFSDDECEAHSDGDDDFLLDDVGGKYRIG ->ABH06996.2 replication-related protein [Grapevine virus A] -MSISVSSQRVAVSNLYTNGSEESVKAIKELKSKRLLETETRLDGLFDYYIPDTLREILTGYGMEFSVHSF -QGHAHPVSKMIENHMLYRIAPNYFSSNTLIVSCKESKIKRLRLKNANNRNLNFTQYNRLVHAKDHHRYES -AFRELDVGNLTNLISKENQSECIFIHDEVQYWSLDEMQRFLGSLSKVDRVVYSIIYPSEVEAGYSQSLFP -EAYTFDLKNGRLVWYPDGKAEGAYTQPVNPWLLRCSKTEDSKGRPWTITKLQTVGAHHLFSAIKGSYLTE -ESYKYDNFTIINPNDILRGRRGGKPLYLRARMIKPTLLYLLALKKSDSNSAVAKLRMLSSREENMDEALF -VAQLAKQIKDTALYDKMGNPNLRSILSESFYDIAESLFTRLFNRPEYDARCLEKFIRSCDTTELHVERRY -MEGIRRGAPFKVQNIIDWVEDDSANALSEVNFLEISWNDRIRTPYSTVGSHIAESKIRVPITRILRAHEL -ITGAQEDVEINLPRFVCSARALMHFRRYLIKLGRFRFMESRAIKDIEDIQAGLEEGVITEEEAELRLLPS -ATPNVTVALIEETAPGPSEEMGKERFLGARNLCREEIYSEKLKGREVAFYSNHSREYKYNGGAHRSLGWD -RALTELRQELGLDDSYDHCLIQRYTAGGSIGFHADDEPCYLPGGSVVTVNLFGDATFEVKENKSEKIEKK -ELHDGDVYVMGPRKQQTHKHRVTSHTDGRCSITLRNKTVDYETRRGDDGSEYEEDKAELDEGIDYLQKNQ -SNMCSLKAFADHMQLSIPSVIAVVNGASPQTLREIEDGGISLATLVNLSKALDFPIAIHGERGYAETSGS -YRRLHLKITSGHVEPFEGVTSKGGFKEAMLLGDGVGLGHFRVDKAKADRLAQSFYNGNTGVLLGKYNKGK -MHASETEGSMEVLTSFGFAGSGKSHWCQTILKHCSVEKVLVISPRKVLKDDWVAKISKKHKVVTFEVAFM -DDYGCKDIVIDEIGLLPPGYIDLVIAAHQPRTLVLLGDPLQSTYHSKRDNVVLEASQEDVFARIQGRLPY -LCYSHRLPRNCKLFELECMGAESEKRVVYRSSRLKDEPTICATRAMKEEKGSGWYTVSETQGLSFKSCLI -YLDEHWAKKEDEDVMVALTRSRGEIGIHVTPALKKHLITNAKSTLLKKVLKGETYRRSEIVSMVRKHIPD -TTVLFEESRLAETVDYEARLAGDPYLKSLLALYDEIEMEDVEIEEPVALEPTKTHLALSAKNNELAPFDL -KAKEYREQYTDAGRTEQIDEEGYQGETGDPMTHKALYLRHTSDDTATFMMSVKKRLRFRNYEANRRKYKA -CHGIGHQMFSVFKDTYQLKEIDSLPELERCEMEFMRKRIEKSTGLIEKHAGRSDPDWPSNYLKIFLKQQT -CTKMEKRGVDAKAGQTIACFAHSVLCRFGPILRQTEKALRELLPENVMIYSQKNYMDLDKWAKTWVESMM -GTDSDYEAFDRSQDEKVLDLEVEVLRFFLWPEELIKEYEELKLMMGCALGDLAVMRFSGEFGTFFFNTVC -NMVFSCMRYHMDRNTPICFAGDDMYSPGILRVKKDYETTLEQLTLKAKVHVSEEPLFCGWRMSPFGIIKE -PNLILDRWKIAKRSGNLSLCLVNYAIEASFGYRLSEHLYDVNIDVDAQQELVREIVVKKHLLPKKISDLF -SEDECEAHSDGDDDFLNNDVAKLYRIE ->AOX49252.1 replicase [Grapevine virus B] -MSISVSSQRVASAALYQNGSTDQIEEIKKIKSSRLLNSEKHSDGLFDYFVPDFLRDYFAKKGVHTSVHSF -QAHPHPCSKMIENHILYNIVSQYVDEATLFVSCKESKLRTLFMKKTNKPLNTVTQYNRLVHAKDVLRYTN -PIRELDMEHLDKLSELSKRAKTAFIHDEVHYWSLKDFQSFLGHLGGTERLLYSIIYPAELHIGCEQSLFP -EAYEFDLLGEYITWYPDGKADGSYKQPVNPWLLTTSKTEDVHGQTWTLTKVLTMGAHHLFLATKGSTLTE -EEYHYDDYTVILPKKLLQGRRRTKMPYLRSRFITSVLLYLMALKKPDPSSAVAKLRQLTNGEESTAEALF -VAQLSRQIQETKLYDKMGNFSLKECIWSAVASAMGDSLIYFFDKSRFYNESLERFILDCAPAKLQVNRVF -RGAVYRNCRVSPHLASFDGATDEVIDELYLQNIRDQDRDREPYQATGAEIPDKREVCRLSLIEMGRLVLK -YHQEQQKDINLEVVISPLDNFNALRNALTCRNGIVATTCLLLTWEYNPLKRYEMGLIGAKELNDALFGES -RVEVTESKTKPATVECQTCACGLQLPLKKLKCEVDVPTHEFKDVLKGRKAAFYSRHSLSYNYNGGSHASK -GWPEWLDGIATALELDESYDHCLAQMYDAQGGINFHADDESCYTNPTVVTVNLNGNAHFRVKCKDEVTIE -LKHGDVLTMPAGFQSTHKHAVTSLSDGRVSLTFRNGVNAPDEGSDKMSEYEENAPELEESLDALEKNRKS -LCALQILADHMRVDLAICTSMVFAKDPRAIEEVKRGGMTLGTFICVLKSLNLGAYIESERGCLKVNGAYK -ELSCYAEEDHVSEWTGPRKDTSFTNALLMNPDIRVVKYEVCEQRAKKLADSFQEGFTGVCLNKFQKQKSS -FNLVNEKEMIDVHLTLGFAGSGKSFYPQCVLKNAHYSNTLVIVPRKALCSDWINKVHPDVKVVTFESAFR -QQKKGYGLIVIDEIGLLPPGYIDMVHGYFAYECLLVLGDPLQCEYHSKGDHFFLGQESSVFKRFKGHCNY -LYKSHRLPKNQRFFEIECDGADGEGLSFNKPRGKDLTLCASQRRKESEKDVSTVGESQGLSANRVNILLD -KDWSLVNEETVIVALTRARKEVNMIGDNTLISNLKRSAKSMVLRQVLKGERVPEGMILSLIRKKLPDCDL -VKKEMLLGASDEMEEKLTGDPYLKGLLCLVDEIEEEEVEIPEPELLEPQRTHLPLSVEENELSISDLRAK -EYREASTEAGKTDQIDELGYKGEPENPMSHKALYLYHQNSDVATFFLSVRKRLRFRDAEKNRRKYNRCRG -FGAQMFQVLKGTYKLRQPDHLPSLERAEQEFMKKRIAKSAKLIEKHSYRSEPDWPSNYLKIFLKQQRCTK -MEKRKVDAKAGQTIACFCHAVLCRFGPLLRQTEKALRDQLGPNVMIYSQKNYTDLDKWCKNFVRSIDGTD -SDYEAFDRSQDEKILDFEVNVLRFFLWPEEMIEEYVTLKLMMGCSMGSLAVMRFSGEFGTFFFNTICNMG -FTCLKYSIRSDTPICYAGDDMYAPGVLVTKSDYKHVLDELQLKAKVNYTRSPLFCGWRMSPYGIVKDPNL -LLDRWKIAERDGSLKNCMVNYALEAIYGYRLGEHLFDLNIDIDAQQDLVRRIVLIKHQLPQSLQRYYSDE -ERECYSDGEEFSLKVKNEGGLDPVEFESDAF ->ADH51680.1 replicase [Grapevine virus E] -MSLGASSQRVAYANLYANVGSDKLSEVRDRKAKAIDDIESYANNLFDYYVSDDVHDFLAAKGLPLSVNCF -RAHSHPISKMIENHFIFNLIGNNLTKDSTFVSFKEEKLVVLKSKKSRLDGNMAIINRIIHAKDALRYKDP -LRNIWFDEDPVSLKNLRDSTRVVIHDEVHYWGLYDFQKFLCLINCPLVYSIIYPAELHNGYTFSLYPDLY -EFILSPDGKTFTWKPDGKASGSYRQPVNSWLLSTNKTMDLNGRTWTITKLESIGSHHLFLCTLGDLVTES -EAIYDDYSLVDPRLFSNLEQRMPKLRASYMHKVIHYLMALKKPDAASAVSKLRQLARGDETTDEMIFSGV -VATQIAELKYFSEVGGLIDLSKFMKVGFARFFGQQVEYILNKKWYHLDSFTQMVKLMVKAEVVIKMGYKP -VVGGNQNILVEPLVNGLSSRLKSAGLQALEDLAEPGVPERSRSPYSFIYNDGERMCDAGDPVLELAEFKK -MLGHPKNTFEQKWCFYPTKPADGSNFTYQEVAKNIYIRVYLIANPEPHVLLLEGLIDLEEYKRMVSAQPT -RQTVVTMVEEVTQKPEEEEKFEQSMEKLREVRNNLCLIKPIADHFGLPVPVVISKATVEMPNFSRYLNEE -GLSMPGLYMLCKNMNLTLSILADSGYLHVQGAYKPLGLRITGDHAVPYRYIKSKNDPSDALMVNPGVGSM -EIEVSSTYAAKLQASFEKGFTGLKLNDFGGKWTNKKKLGRVENLTVSTCFGFAGSGKTSGITHMLKLGHG -MEVVVVSPRRALSEEWKKELKDTDVKVFTFENYFLKHNHKADLLILDEVPLLPPGYIDLVHFSKPVGHIM -ILGDPLQTSYHADSDAITLAEVEGDIFKRLHPISKGKCACGLTVVPCKFNGEVPKFDFSAADQLKGRKGL -FYSKGGEGYKYNGGQHESRGWLDTLDTIVESCGYCANDFDHCLVQEYVAGGSIGAHSDDEPIYPLDNPIL -TVQLSGSSTFTLSCKKGDTALELDGAQFFLMPNGCQRSHKHAVKAHEQRISLTFRSTRPLELMSVKELGV -PYLFLTNRLSAEQNILGVPSFGSGHFEVKEVKKLSKELLTLCFSRATVEEEQKNLDICTVGQAQGLSRDV -VQLYFDSGAMKCSDEVVITALTRARKGIHMFFKVSRNDLKKCASEFLREFIISGKIKEGNMLAKIEAKLG -NCKFTRRNVHIGSGSAEIEEHLKGDPGLKAMLLILEAEEMEPEFMQQEVVPETIRTHLALSTFHNEQFAS -ELKAKENREHYLYGTGYSTQIRDDKDSEYHPGPSAPSSIYLHHTADDDVLFYLSIKKRLRFADYEKNARS -FRLKEKLGQSIFSEFLKRADFMNFTYPPAVDDTQMALDFTMKRIQKSANILEAHSYRSDADWPSNYLKLF -IKNQDCTKMEKRGSDAKAGQTIACFSHAVLCKFGPILRKTEAQLRAILPPHVLIFSQKNYDDLNTWSKRY -FDDFSGTDSDYEAFDRSQDGAILSFEICLLKHFLWPEELIEEYKTLKLMMGCQLGDLAVMRFSGEFGTFF -FNTMCNMAFTYLRYFIGPNQPIAFAGDDMVAPGQLIVNNTMSSILNQLELKAKVNYSDSPLFCGWRMSPY -GIVKDPNLLLDRLEMKKAEGKLDDCLANYALEASYGYRLSEHLCELNIDLDAFQELIRKIVMLKGRLPKQ -IASLFDDSDDIISSDEDLE diff --git a/seq/clusters_seq/cluster_215 b/seq/clusters_seq/cluster_215 deleted file mode 100644 index d379e36..0000000 --- a/seq/clusters_seq/cluster_215 +++ /dev/null @@ -1,606 +0,0 @@ ->YP_009337807.1 hypothetical protein 1 [Sanxia picorna-like virus 13] -MSTPANKPLKTLEIRHKTAAWIEYREDNVVYAVPKRSTYIETTHLPFGGKSTYVWSVKLNKLSAPALWKK -YGGGETQQLESQHRFTSVITLENVVLKKQKRVSKLVVRATHTRHVRRPTKVVRKAPRAHTQSGIKKPREK -SAPVKEARKTPLELRKERDIMQAKIAAIKEKQRRQQRPQEVRDRAKLAILRERRAKMVSKLETQSGIAAF -ASKFGGYVATAAATVVAAKVASRKVKSVVDDIKVRIMTMYRQALKKTVKAVAAALAVALLWHLSSKIPLV -RSIVPWFLGAIGITVLCPHILDFFRESAVETQGIEFGPASLIATIFALFAFKKPAHFAAPEFMKRMASYE -RTSSGLDAFIDWLKSAIEACINTARKCFGKESIAVFKSNNTLSRQWVRKVNDIVWKIETARVRTSPELGD -ELVALHQEGQALYKQLVGTSVQRELAQGLNRLSDVLLPMIGSINARDNNRQEPVMVLLQGEPGIGKTLMA -TFFCATVLMLSDTVGKEAGYDEIMRHLWQKGSSQYWEGYQGQAAFIMDDAFSQVYTPGADGDNDFMNIIR -MVNVWSFPLNMATLASKGSAYFNSKLIFATTNMQTLHEAASAVCCEPAAITRRIKHPYKVRVKKLYALPD -GKINPAALEEELRIAQSAKGSWFERFPWHIWEFYRHDFVSGQTHTKFVSALDVIKATAEDIKKAARVHNK -SESLLRDFVGAGKDERTQTVKPVVPPVQQPRLVDPFATLTQAHAEREAVVESGSAPVAPMACAHTQGNLV -PGASIIASLATIYTVYRPEIRERTQAVAWAAIFGKTPERPEISKMPVLHKWFYGVAVFAVVLAVFLAIHS -LISGIFSLLGFNNKVKATTESNRNAQPYRRYSAQTQGDEIEKFSQGDHIYNNVYRNQYRLKITLTNKTER -VLGSVLFIDNGLCVFPAHFYDDIESAVTSDMITLRSAIAFQSATGLAPATMTVESFLSNKTLVHKHLDLA -FMVCKMAGPRKISQNFVKEQDLRTCFNGSRFRLDLIDNEYKHSAMRQVCFIKDACMRKGLMTNGSNGVLK -VDRVIEYGPVMTKHGDCGAPLCLINTSVQGRIALGVHSSGDRDSFKGYASVVTQELIEEARIKLDVPTDC -FSEDLAERGVTAVTQGVAPESLDGFTVHTVLEKPVTTCSMSKFYKTYLYGSVGEYTCRPAHMRPVRTPEG -VVYPMTNALAAYSTPTNLVFGPELSDITYEAFKPLFAETKTWTRDIFTFEEAIIGRADKFRSIPRNTAAG -FPYVYDVRNGKKEFFGDEDMYDLTSDRCKELRKRVEYVIDSARRNKRLSHVFIDFLKDELRSAAKVEAVA -TRMISSAPLDYTVAFRMYFGAFTSAVMTKNIEVGLAPGINTFGAEWKHMGNALSETGFATFAGDFKAFDA -SEQPDVHNAILDEINRWYDDGEDNARIRRVLWLELTNSRHIGGDGDNQRFIYSWHKSLPSGHPFTTIVNS -LYSLIMLVHAFRQSTGSCIGFWNNVRPFTYGDDNIVAVREKLVPTYNFNVVAKHMDDIGMKYTPDNKSGG -ADDTTPLEELTFLKRRFVPGNGTYLCPLELDSFLYTHYWCKNRKLESTIITDVLENCLHELSLHTEEVWA -EHFGALLDTFERHGIVPNLRPTQDAYRRSVLSRTDSWY - ->YP_009337727.1 hypothetical protein 1 [Sanxia atyid shrimp virus 3] -MWSCLTPIDTLREWDSLVSSSDAGVEFGVFAPFLSRSVYESQAVISPFVFDFPRIRQYEIRISSAYFYVG -RGGLPAVVRDAIARRFAEQQAIDLYRRFLSAYELESRLNESRFVAACDALMSLLAEEDGIDSMSFERILF -QPLLQQRFMCREQGGSTRTEREQIQRRKAVEKEIARKKATVSNESRKRREVDIRRKRSTKFSTVVNEQSG -DFWSFKKKEAEPKPKQEDEPAKKAGLMRAIRDAGKAMDSTMSVLQYATETCSTVQNLIEQIQDGMRSVLE -VVKKYGPPALGVCIGLVILYWIKTSDTVSDFIWKMLEAGFATMFSAGIWSVVKQLFDNVGSVKEESGMSS -SVLSRIVSLGFVTHAFGVDKRYMADTLMKRISMVDRCSNGLETMVEWAIDLFQKLLAASNDFFDVGVFKR -FLKHESEIDRVVKEVHELEKEMFQDPSKNVDDRVVRMNVLAGSIANLRAQFHGCRDIARQLDALMLVLSR -LKAPLRAAAGNSAGYRQQPVSLAIYGDPGVGKTLMVQNLCVSVLKLAELLPANLTAQQASNQVYCKAWNS -EYLDGYTGQPVYLVDDWMMKRATAQDTSNGFLDLMTYYGSYKAMLNYAALEMKGVFEFSSKMLVMTTNLK -NVMTGTQGTMECPEAIIRRIDFPIHVRVKKEFRRPGSMELDYSLFQEELRTCGGDVVSAFPWHVWEWVPM -NFHVGNSNFFSSDEVPGLPMINLIIDLVEKLGKRKESHMETLQQVQKILDAPVLPLEELRALRVREEGGV -FLKEPSTWSSCTLNSHGISEIQPETVGCPVHARLEHKSHVMAELGMPAEIYDELYPEDGNDCVSNPDCCF -GRSGAPECCFGSPGTPGLSEEYKIRNSEFLIVRIHAALEKSLKSWREAHIAWKVLYFGTVGTAIAYGSFE -FVKFICSMVRSIWDYVTGLMFPKVIREQSNRVTKPRAVMYKVLQQGPGPCLTNNVYANSFKIMVKGFCGD -ALILGQVTFLYHDFFVMPNHFLRNIRERIESADIGPNSQVILRNCVNAELDVGHGLSVQEFLDFPYEFVE -DRDLAFGRYTNAMNARKDIRKFLLKDADITSVGGLPVRVDTARTDVSGVLVPYNERIAFMCPSVEKGKAV -MQCGPVRHRHWMRYRATTEVGDCGALLTLQHAKYYNNRVWCGIHIGGDGDWGYSTILTSELVERALDKLR -EKTGCPLKAELDMVETDEQSGLYTQCGVQMEDSLEFPFYAADEDPDQRMAFGSFQSLGKVNKPVSIPVKS -KLKQTFIGREKVFGETNLVPVELGWVGPGETALTRALKPYAGPPKFVDKWWLKPGIRAGMKKFSECTTNI -EGRVLSYREAVVGNPALGLKGIPRGTSVGYPMCLKAEDKSYFWGDGNEFDLENPRAKKLESEVMALAKLV -EDGIRPFFICRGFCKDETRKQGKDARYIAGTSIHYYILCRMYFGVVVAAQMTLHTHNGMCPGIREFCEWP -WLRHWVTQHGDKCWDGDFKGFDTTQLPRFLFGLLGFINEWYDIRGAERSANTARYVLFMDLAFSRHIVGG -GFQLDHIVQWSRSMPSGHPLTAFINSAYSMCCMSCAYLNWTGRVDFWEQAACATLGDDNINGASDEVVDL -YNQVTVAEFLLQELGLTYTDSSKSGQLRFFTTIENVSFLKRSFHMVNGRETCPIEIPSILHSTYWVKESR -YASADKICSDLLENALGELSMHGPEVWDMWSPIVRETMRRLGVVPSNDTTNLNDYLDYMLSREDSSWSGL -QIRTRFG - ->YP_009337713.1 hypothetical protein 1 [Sanxia picorna-like virus 11] -MDNQINRFAPPQKVHAVIKTTVVDFRVQRLSRKYLDGSLTNQGAKQKRQFDRLSSSDKREWREALQRAIA -NVKNHCAMQALRGGKLRGKSAHRFERMTKLEAALISQFDERVEFQSGIAVAAGSVAAASIAFASYQTKKV -SDSVATAVDTGNDLMRLLIDQINGFVSKITDVGSVMSKIALAILLVWMMVNYGHLPIFVAVVLACAGSYL -PEAIEMIKSIAPKGISLQNGGVSLAAHLLSLGCTMWIPGKDVKSVTGEFLKRASYFPRATEGIEAFMKKG -LEMFEGFINFVLRRNEESWISLQGKTDVFVSWKRKVIAMLKFMQEQPVVPIEKIRECKDLLLTGYGLYQV -LVTQESKRELNFWIEKLAVKMQPHQGALQAESNIRPMPYFIMIGGSTGVGKTSLMRLIGSTILMLSGEVK -PSEALEHLWQKGTTEYWNGYVGQKCLIMDDAFQVKGKPGDMDSEAMQVIRAVGNWSYPLNFADLESKGKF -YLDTPLVIGTTNSRNVKAEWAPFITEPEALVRRFQGSYWIELNPDYKTEDGRFDFTKVNDEFRGALQRIA -AMKSEGRRLSVSDVMDQMPWQVWTLKQHGFDRENISEDTAPGGLRNAVEIAAREIRQRRESNVEQIQDLK -NWTSILGEALDADLELQSGLPPSMSAFVNAMSETGISDTVNRRLFEVSTRQDEIQEESQWDIPMIGVQPD -DFVGWLSSDDDRSETQSMVDGVYIMPEAEVEHHGILRKLYDVLTSWFDKLPIRKMVAGVLAAAISYMTVS -FVLGIVIKLVCAAVTAVWALLSSIFKLFGFKKSECEFQSNDGTVHTVKNRGVEIATFNSLQRVNLHTGIE -SQVGVPPTEAVHDHVYANTLLCTIDGAIVGQFLGLGQDVYIFPKHFLLHIRKMDPGMVMKFTSVRHGVTG -TISCRAFLQCNMQEVPGYDIAGVSFGAVFMKANKNILHFFLANHEVKSLLRGNNTAVRLDVAHPDKDGKV -QRYIYHSNRCEYVGSVTESTRGDKLSGLVKYEAATVKGDCGAPLTVAENRYYGGRCVMGLHSAGRDSAML -REGYATVVTQEVARELFKTLSTYHEDIARDDIIDVPEGETLINLQTALDAVGLTSGSFELIGTLVEPVNI -STSSKLKPSDMQRDQVFGPTPLKPAVLRTVEVDGVVQHPMVNGVKAYQTDLECKSVRDLELVTEIAMQKH -WQATEKHSRDILSFEDAVVPPEHWKLKPFNRKTSAGYKYRKFVTPTKPGKVFALGLEGDVDFANENLQKV -KEDTLEIISKAKEGVRTLHLCTDFLKDELRPLAKVESVATRVIAGTEFDYSVAVRMYFGAYMAAMFDTYV -SNGMAPGINHYKEWFMLAEALTKVGNNIFDGDFSRFDSSEQPWVHISLLGYINRWYRFNNDKWSLEDDRV -RYILWLDLVHSRHITGVGSRLQYVVQWNKSLPSGHPLTTIVNSMYSLVTLTGCYIAATGELNMWDNAYLC -TFGDDNVNSVSDEKRDEFNQVTVAHWMKELFGLAYTAGHKDAQLVPYTSLDKVTFLKRSFLVDDDDAGGL -IVNTPNNGWVAPLALESFLYEGYWYKNSRDVSLDLVTRIEHSVLEMALHEQHVWDKYFPRLEEWCSRSGV -CIGLKNRSAARNEVKTRFDVWF - ->YP_009337210.1 hypothetical protein 1 [Hubei picorna-like virus 21] -MFFSKFSPAAKRDYLSAISTSLGNSFRKQLMPCEDASSGFFEILDEVLEFCYYDCPKEFDSYDEEAHLRY -VFKEVESTYLIRIADEVRAMAKHRLRGFELVRFQCAITGALMQWYAATKKAFYRLSRVEAADVLYDSVLA -AVHAEQNDEASLSSFRIDVSDIVAKTESVRIEEPIEPQDDGDWRLKWHVAKEKKVKKQNAVKRASTAEVE -QRSKKLPVCKATNVLGAEQRKKMVVPILLGRNKPLVLVKSPSDVSFKIPTNKEIDRAGRDRIQRLARKRR -DALLLKDLPKRAREQSIKKQRDKRVPVFQAGDVKSGYAAIFGTLAAAGVITAGVGYLGYKTYKKYKKMEA -QASEVVEQCKKAADDVSALVASARSVMEKADAHADGVFKAADDAGGLIAMARSIVERVKAAVTNDFWIVP -IVVAALYFASYYPGGIAVSVLTLAIMHFMPKSIWKHVGSYFTDARPQDGDCSMIGKVLAVVCCFSCMRNF -SSFSNPYIIGELAKRLSNFPKMSEGWNALAEWTITCVEAGINWILSFFSAKRISLLYRKKSVLEDWCNRV -DAQVKYAMSKEFTLSNSVLDTYVQLIAEGYDLREIYRGTPLMRELTECLARVSELLRPHQGSLNARKNFR -VEPEFLLLRGAPGIGKTLMTVFMASHVMVRSGLISGDDPEAVQSNIFQKGNSPYWNGYSGQITLVKDDAY -QLVDQPNVDENEFISDIRAISSWSYPLNFADLDSKGKIYFTSQFIIGTTNRDNLEHSRESIYAPEAVYRR -IAHPYTLDVVPEFKNEDGTLNFNKYEVEKNKSQTFPWHIWRMYKHDYRTGVTDMRKAYSALEVIEGIAAR -LRKKNAEHNACKDDLTKYILNLPKLIEGKIGAQLDCAQPLLQQEEIRPVEEGEFLVNSRSAYGPKTQAQW -FKWFKHLSQDEKIAEMSRLEELYPEDYDEPEFQAGREFSGREHWDQLKEKMNEGVNDESEQEDLEWMAEN -AVKHAFNASVHTRARLHGFASLTIFAYELSKLAIKIWLAWKAFKLVFGFVFDLVAKAFEYINPFNKKDLK -GGLQSNRPIGKVNPNAAERQAGMTPIVHNAFESGYKMICVGPIKDVVLGTVTFVGDNLAVYPTHFDDSIE -RSKDSGCTDLRFIAAKGEAYHFTFPIATFQEFRRFKAADEVTFVEFGQVRSHRKIDTNFIEESDLQCING -RNCKLPVCEVSVGNKFMPGYDAGRSMTNMFEISKPIYRPRGVQFKSFKLDRAVEYMAATENGDCGSLLCL -TDSSRFNGRAIIGFHVAGSPNGCAYSVPITQKMIKEAQEYFQTIKDDFFEDASDRGVVLQSGDVEFFPAG -SFQPIGVLADGPNLNPNTKYYKTQYFGELGDVDLSPAHLRPVVIDGKTVYPMLNAIAPYNTPVTHYLPGD -WNTTVYSAMIPFTSCSRANTRTIFDFETAVLGDPRLGYFRSVPRNTAPGYPYCLERKPGKKEYLGYGEVY -DLEGPKAQELKKRVEYIISQAKSNTRLCHIFVDFLKDELRPARKNDLVQTRLISSSPMDYTIAFRMMFGA -FMNAVMQHHIHCGMAPGICTYTEWEVLWTALTRKGDKVIAGDFKAFDSSLQPPVLWAILEFINDWYDDGE -ENKRARKVLWCDLVHSRHVGGNGTDQVHVYQWNKSLPSGHPFTTIVNSMYSLTMLVAAVSKLVRKDTSHF -WNICAAVTYGDDNVLNVCDSVAPLVTQVALGQVMQDFGMKYTSDDKTAELGSHSEMGCITFLKRSFRKKK -GRVVCPSDLDSFLYTFYYGKNKKLHDEIMISVMENALEELSMHDEELWNQHAPKIYACLSRRVVPRFPCR -QQAYLNAVLLRSDNWY - ->YP_009337055.1 hypothetical protein 1 [Hubei picorna-like virus 20] -MDKQTQNLSSPSLLRRPVRSSPFASVIFRENPIKRINGFVTDYYETLPDEPAPPEFLMDRLARLFHLALV -DGILNSTVYQFRKLDPTERSYEANRYLLSDEDYYESLPEKRVIAYFDDGLKQEIEDGVHEAFRSVVYSSH -CRTKAIMLKMQAIAKEMTTQSGRELHQERRERRDQIQRDAAKKKLEEEKKKVPKTKRMQERKATRAIREM -TKIQLQGLRDLAAGAAFTALGVLGVRLMRKTDRMLDDLTSFLNGIRSAGAQLRGILKHLWFVPLVLLLKF -FVGQWRNSVLTAALIAFMPKFFGFDLWTHISEFFPSGEIELQSNFDVFSKLLSVAFTFSVFRGKVSASKV -GEFCKRLANIERMSKGWEAFLTWTMTALECLVNYVRERFGKERVELFKRADKLALDWASDVEDFLKEDAI -GKEIDPAILDRVLALLQQGYGLKESYRNTEVGKLIDVLVARLSTAVAPHLGSVSARNNFRFEPVATFLYG -LPGTGKTLMAVPFVAALLLKSGLVETPCTPDDVTREMWQKGTSEYWQGYDRQKAIIMDDAFQNRAFSGDK -DNDYFNMIKMCGSFSMPLNFADLASKGKIFFASKLIFGSTNLASITSEARVVIQEPEAVARRINFGFQLF -VNDEYKKYDGKLDYALFRREWDKCIENLQPGDDPLLAFPWYIWYVQQHDFIHGSSVGAQIPLSAAIVMIS -NELTMRLQSHDITKTYLDKMITSYGVVAQAGRTLMDDHAYVVGSAVKLMKKALAKTRDAFMDDTKCVGQL -FKIAVFATGSFFALKLIEAVLSALYRLLTGIFSRKKKTSLQSNRPLTKAVPIKSKITLQHGGTKVVMDNI -YANTYKMFHKSSKFVIGQVLFIMGELAVQPQHFTETVEEQLSKGVFSPDDKLVFRNSVNADHSVEFTVEQ -YLAFKRLSKRDSDVEFIKFESIRAHRKIINSFVTERDVRYVGGNPGILHVCEVDDRRTLLNTTMRQAWRL -PSINVGKSPLYFDDRRMDRYYSYGVITSAGDCGAPLTLENADVFGGRCMLGIHCAARLTDNTGFSNVITR -EMVDQAVKEFSIIEDNFADDIESRGIKLQCGDDLPFVNMGSFLPIGTVDKPISISPKSKFYKTHLYGSIG -EYTHAPAILGPVVRNWERIWPMEQAVKPYSSPLLHLELPLLQDAVHTAFKPFVEQTRNVNRELYDFEDAV -RGVPQDKFRSIPRNTAAGFPYVYDVKGGKKEFFGEEMDYDLTGQRARDLRKRVDYILDQARKGIRLSHVY -VDFLKDELRPIEKVEAVATRLISSAPLDYVVAWRILFGNFSSAMMRHNVATGMAPGICTYSDWSRVAMYL -QQHGSAVFDGDFKAFDSSEQPCVHDAILEAINLWYNDSHENQLARKVLWLDLVHSRHIGGRGDNQRYIYQ -WNHSLPSGHPFTTVVNSLYSLVTLIYCYFDLTNDRRNFWGHVSPLTYGDDNVCNVDDDKVESFNQITVSE -SMKKNFNLTYTAGHKDQDLEPYTDLAHVTFLKRGFLLDKGRWLCPLELESFLFTHYWCKNKKLETQILIS -DLENALEELALHEPSLWSVYGRRVADILRDKFGRETNCCPTRESYLSLVLSRTDEWY - ->YP_009336909.1 hypothetical protein 1 [Wenzhou picorna-like virus 33] -MSFTTNESEVVVNVKLLRRAEREAIQRACNIRRLKKAFKSLPKYEREQVIASARDAKYSFVREESGITDY -LYGAAAVAAGAAGAAAGFSIAKTSHEIGEAVKDTLSGVNAVMQPAGAIANSVGGALEYLYSLVKQVYDTC -ANIVGKFSQLIFGIFVVAMKACFGLVNMASRLFDSVVSMVAPDFHLLDSLEEDDVLPQSGCMSYLPQVVT -LVCTFFVPTYSTKAYMSEVMRRVGSYDRTASGISSVFTSIAMMVQDCINVVLRRFGVDEIQLIGEAEKQV -LEWCRQVDDVFKIIDTSTPQIKDLQFAQSLLAVGYNFKKVTTASHLLHTIDRTLEKLNHKLVAHKGIMND -ENAFRQQPILVMFGGGSGIGKTNLIKSLAGAILQLADMCKPEHVAQNLWQKGDTQYWNGYVGQLVYIMDD -VFQKKEVAGGAENEGFTIIRAVSNWPFPLNFADVESKGRFYFSSKLMIGTTNVDDIQRAAGGVLQYPEAV -VRRIEHGYWLELADDYKTERKTLDYAKLKRVHDERLRTLFADGNQPTKMEVLRSFPWEAFEARPHNFASG -APGRVGGFTSLLDIAVEIAEELKARSERHEAECTMTLDWLTAISRARPEAGFSSALIDPTVGVSSQASHS -GEPPQTGDPPSSLRGTMERQIDDLVARRLRASTSTTPEERTAEFAAGLDGVAEMCIGDIKREQEKRRTAI -EYIVYLFKTVAPSVLALLNVAMFLASGAISVWLHYKFIQGICSLVSYAFKCVLSLMEMARDFIFGTTPVE -QSVHVEHKTMPRKKTQLAPPSAVIQMGNPPADNLADIAYKNSYKIMLGNGEEAKSVGQVLMLRQSFGVMP -CHFLTCPEGPLRFISCAGNGHVIHSSVQALKACRVIALPTSDMVFVDLKPILVRAHRDIVKHFVTDKTWN -TLKDMRNTSVRLDVARMSGDSLDRHVMYSSFLRFDPQITTIVTQVDNVWAYDCPTKVGDCGAVLSISEPC -YYGGKAILGIHIAGKTNSLMSVGSREGYAAALTLEFIEKALDKFKPTPVVDRFHEDLEGRGIELEEVEDI -ETQCGVVGGSITYVGSLSAAHSISQAGKSKLMRTGFSGWGRCPVAPAPLRPVYRDGILIKPMHQAMANYK -KPVQPSAVRNGAAIMGLAMKMHNLHTKHCTRKILTFEESVLGVPEMKIKNTNRSTSPGWPWRLECANGKR -DIFGSGVEFDLYTEKAMALRGRVEEVLEAAKRGERLAHVFADFLKDETRPHAKVEQVMTRAISGAPLDYT -IAVRMYFGAFLSSMFLHNTVSGMAPGLNYYSDWSTLARELLRKGEKMFAGDFKAFDASEQPDIHLLILDY -INDWYDQYGADPEGRRVRTVLFEDLIHSRHLTGDSYKLDTIVQWNKSLPSGHPLTTAVNSMYSLFTLTAC -YVHLTKDHENMWDHVFICTFGDDNVVSADDDTIAVFNQVSVAKAMQELFHLTYTSDKKDAELVPYETIHD -ITFLKRSFVRSQSDGGWIAPLAMDSILYRTYFYQNPRNYVRDQATNFKEALMELSLHGEEEWDERFQAAA -VYCRDNNIDFCITSREQARQMCLARTDVWF - ->YP_009336760.1 hypothetical protein 1 [Changjiang crawfish virus 3] -MNTRSQIENTVRRYMDRSLLLGTKKQQKQFESITKLQKREWREVLQRIIYAKKLQRTKKSVLLVKARRAA -LKQSHTSSAEQHLSQFLNSDDVELQAGSGTVMAAAAVACGLAAVGIKKDVAKLASRASGSLDITDSLTTR -ILARFEEFVETTKKVGGWVFKFAVCLAILWLLNRYGGAAALAGGLIAIAAVYVPEAVTILKQHAGIQQQA -ETSTSATVLALSSLLLVGSGRTPTYMGDFLKTITQLPRLADGMDFFIEKSIKMVEAFINYVLKRDSSEWI -SIGDKKSLVDQWRSKCAGVCKVFDTTPKPSRETVMAAQKLVQEGYGFLQVMKGESNRREISIWLDKVNDR -LTPHLGTLAAENNFRMMPYCMMFGGKSGVGKTSIVQAVASFTLLLAGSVPASEVLPNLWQKGLSEYWNGY -LGQKCIIKDDCFQVKGVAGQHDCEAMEIIRAIGNWACPLNFADVDSKGRYYLDIDLMIGTTNASNIKADW -EPFITHPEAVVRRFQGAYWLELNEDYTTPEGRYDYEKIDLIYRTRLMAYAERKKNDPSWKPSVDDALDCF -PWDAWIVRTHTYDNSEPLRGPVLTGGLRAAVKMAATAIRERRQAHEKSVASLGTHLKFVEDAMNDISFQA -GSELGESSRSVGGLEDLLACPPPHNGEPRVIPWLETSLEFDFTTADPASDVGDWSTPLCEFVMEEELHDK -SWVMRLKEALLKWTHSVYAYIGLEIPVAWQGVVDTMALGVSLAVIIGGVKILWGVVSNILKAFGVTSRPT -EQSNDKAPETKKPPKALDLPKVKLQLGSPPAEGAHDAVYSNMFLFDLVHKTNPALNQHFGNVLGIGDTVI -LLPRHFCKKIEQMDNSDQYKVQLTFAVEMDHKVSYETKKFMSLRSMALEGFDLMAIDLTKAGGMRSMRNI -VEYFFKADELANIMRGSNIPVRLETIRPDTKGEYIRRTLNAPGVEYVGTVAATGGTLMRGCVKYPMSTMK -GDCGSPLMIEENRYGGRCILGLHVAGKTGYFNREGYATIISKDTVRELWLYLTEYKDLGSQELDEILWSG -PVHSQLDMQAGLKETGLVGGSVVVLGKLKEPLNVATKTAINPSPLKDEEVFGPCPTAPAVLGSVVRGDAV -VHPMARAVEAYQSPVLVGDPSSLSSVVSLAMKRFTQETMRYPRTILPMYETIITPQFWRLKSMNRKTSAG -FKYRKRIPDLAKYPGKTYFIGRGDEFPESAPGYKELVADVEHIISEAKKGNRTLHLFTDFLKDELRPLEK -VESVKTRMISGAELDYTIAVRMYFGAFQSAMFSTRIKNGMAPGINQYTEWGDLTDKLLSKGGAVFDGDFS -RFDASEQPWVHLAILNYINSWYKLSPDWQPEDDVVRGVLWEDLIHSRHVTGIGNKLEYVVQWHKSLPSGH -PLTTVVNSMYSLITLTGCYVKLTGDTQDMWEHVFMNTFGDDNVVGVDESVRDIFNQVTVCQVMMSSFGLT -YTAGAKDGTLVPYTDIHNITFLKRTFVQDMDMSEHFIPLPNYGWIAPLNLDSVLYTVYWYKSKKDPYGDI -AQNAENLLAELALHEEDKWDLYFPKLYKWCSSNNIVLPFFDRMTARAHVKARLDAWF - ->YP_009336753.1 hypothetical protein 1 [Shahe picorna-like virus 9] -MNTTNTEKSGTVFMTKFQARVNARSQREKDIIARYKARMEELRKREPKSSRLNRVKNAKSESFLEKIMFS -ESSDDEAQYEMGFWPQGFSDAMRIARKVERAADSVADLASYFNGKIEELTALFKSTMRGYLWQVPLFCLA -FCIADKMGISLFILGGLCPLLMTYLSAFWTEHYEQPRHQGAEDVLSMLATLILSSIMPKNASSTVIAETV -LRRVGNFSKSAEGFRALFSTLIEFSEKIINGIADYFKADHVQFMDTTAKVLRNWMTKVDAFETICTYREP -TIAELRNAVELQGEGIALKNVAKTTPTIIALNKYLEKLGMLVSVRRGALNAAGCFRQEPAFCLLGGESGV -GKTVLQRYIAVSALVFSGVLGNSEGIEQLWAKGASKYWNSYMGQLCLIWDDIFQVKKPASTEESEFMFII -KAVSNFMLPLDFADVESKGRFAFTSPLIVASTNEMDVKSAASNFVRCPEAVSRRISDGYWVKVKDEFKRP -GTEFLDYTKWATAFAKAVEDKKPGEQVEALIPSAWEFYSHDFEGQPCLGTTPKSINEIIMALANNLKNRM -IRHEADLKVSEKYCNAAKGINALSNYDAVAKAEISLKKPSIYQGLLTKITDNDGFMTSPVHQVGTWDEES -FVDSQDHFDDEEEDVKRDIDDMIEEHTTFVALDERAVDARGNVLDRKPLSHNGLTVKAFVAAITKFTEDK -TNYARSTTSSTMSKLRNAIWGNTPSEMPMYDIDMESTTYTDIMVNKWLENHVMREAISEEVEDMKKMEMT -FFQRILSALCTFGEMIPVSLKKARDSLLKMVEPGVQFTYDQFIAFKAGNMSAWASLSMKIAFIAIAVSVQ -KIMLSLMRKLVIATANFFYDCAVALLSAFGVDMEAKEQSHNPEGKKGLPRVEFVRAPTHQGTENHDFTVR -KVLSNCFAMTAIQGKDRTYLGSLQFFDGDLAAMPHHFWRQMTQKVQNDTVIEFTNADQDKYNFTMNMRKF -LSFPNLSYKESGLDLIFLKMDKRGIKAMKNIKQLLFSEAQMSEFTRISQQVTLHSVQSVTKGDNASRIVI -SRMESPGLKYLSSFSVQGNEYVQTFQYTANTSQGDCGSPLLLTDSRYHKGIYLGMHFAGARAFTGSRGYA -TVITREMVDQASEKLGCYTDNFVQDMSSMGITVSECDAQEQCGITGDNNLVDGSVTLLGKVDKPVNSSGK -TQLKLSPLGEAEIFGPCPKMPAILHPVNKDGAIIYPMIKSMDPYRTKHEWRDVPNLELCAEILTRSFSRA -TKDFPKIVLTPEEAAKGIPSMGVKAIPRDTSPGYPYRLEGSVGKKAWFGNEQEYDLNNPKWFSLKNRVIS -MRDQILEGKRPAVLYVGFLKDELRNKEKVEAVKTRYVSSCPQDYTLLCKMYFGAYIGARLQLNVKEGFGP -GMNPITDWSTMVDYLKQAGNNNFAGDFKSFDASQQPYIHQVILDHINAWYRMADGWKEEDEKVRNMLWLE -LIHSRHLVGKSHEAKYIVQWNKSLPSGHPLTTIVNSLFTVIVIGTAYVKATGDIEGLEEHLKTVPFGDDN -VNSVFDKMTELFNQVVLAEELDETFGLTYTDDVKDAELKPFKDIEECTFLQRGIIRDPTAPGGWRAPLAE -GSYLWSTYWYRSNKACEDDMFNNIKSMQGEMSQHPQEVWDHRMSQLLPWLKENNLLHKLPFQSREAALQW -RMAHVDTWV - ->YP_009336747.1 hypothetical protein 1 [Changjiang picorna-like virus 7] -MTFTFSPKNRSERQFSINAKVAKVVSNAQKESLLNGSAKQKRQFERLTKSEKREWRESLQRHISAIKDRL -ASRVMTGMKIRGKTMYRWKKLTQLEETLIENMDEMTPVELQTGLIGKAAIASGVALGAVAVAKAAQSVAS -ATDSASKLVQASQGVVGKVDDLLSTFTASVTSFVERLKEFGQIIWKPCLIALAVWILTRYTNIPILASVV -ISAVGLFLPELTDMLTKYIPKAFKFQDGGLSTVADMITMMMTCWVPGNSAKSVTGEFMKRVSNFPRASDG -METFMKKVLGLVEQLINFVTQRSGDQRISLQGKLDAYQEWRNEVIEKMKFLAQNPMLPIEEIRKVKDLQI -TGLGFHQVMMTAESKRDLCYWMEKLNLALSPHEGAINAENNMRAMPVCIMLGGDSGVGKTTLLRYIASCI -LMLSKECSVKEALENMWQKGTTEYWNGYIGQKCLVMDDCFQVKPKAGDMDSEAMQFIRGVGNWSMPLNFA -DLGSKGKIYLDSPLVIGTTNCRNVHAEWAPFITEPKALVRRFQTAAWVRVRPDFAIEGRFDFDKVNGMFA -SAIEEIVSDIAARKERGDGMPTANDILDKLPWHVWDMYVHTFDRENIGDTMYPGGLRAVIQNAADDIIKR -KEVNRKEIKDMTKLLQALDDCMIETQTGLEEARLRVQQAAQAERLVTVGTFPLPEIEEVDSPRIQPGFVF -NSDAERFQHEQQFASYERMVDRGEQSFATQLLYRREHQQALNELKEAEKEHENFFLKFSRLVNSWIQNML -ERFHLHHILGDNSQYILKLGLTSIAAYTITTAIIKIAVGIVGAVCSVFRFITNMFGMRGQKIDDDEEPKE -KKQEIKMASFNETVKSQVGVPPREDVYDIIFANTLKCRTDDAVVGQFVGLGSDVFLFPKHYLIMMRQMNE -DIELEFTAAKDGRKMRMTIGDFLCLKMIEMPGFDIAGVAFGRCFAKANRKIVKFFLTQHELKNVMRGGNT -PVRLDVATYNKDHSLRQRTLYSPTCYYHGKAMDQNTNTELDGLVQYVANTVAGDCGAPLTIAEARNYDAR -CILGFHSAGRDAPTGRLGYSTLISQEVAREMFNNLKTYEDLVNNHTEFMTQPVGTARVEMQTELQEKGLV -RGSFELLGTLHVPVNAPSKTKLKPSAMNEDKLFGPCPVAPAVLSAKEVDGVVKEPMIEGLKAYQTPLQYR -NPAQLRPIVDMAMERHWEATKHFSRDILGFEEAIKAPVGWKLKPINRKTSPGYIWSDYVTPKTPGKTAFF -GHEGEYEFDYDNNKALDSLRTSTWHIIMEAEQGRREVHLCTDFLKDELRPIYKVQNVATRVISATPVDYT -IVVRQYFGAFLAAMFATHVENGMAPGINQYTGWYKLATALKGANRKSVFDGDFSRFDASEQPWIHEGILD -YINRWYRFNNPNWTQEQENVRNVLWLDLVHSRHISGFGNQLQYVVQWNKSLPSGHPLTTAVNSMYSLITL -TGCYVRATGDSTDMWSHAFLNTFGDDNITAVDDEMKDRFNQVTVAGLMKDMFDLTYTPGDKSGVLVPYTD -LEECTFLKRSFKIDDAVFNRLTLTGQNFGWVGPLAEESWLFTGYWYKNARSPVTDMVSRLEFSLCELCLH -SEEKWNEIFPKLQTWCCRNGIPLKLTSREATRSFVSERMDVWF - ->YP_009336737.1 hypothetical protein 1 [Changjiang picorna-like virus 8] -MRVKFQIAICRGISSPRPVHATRACTALERSDFSDPDSYRMAPILSYGQSALPSIAPPMENSTSRVAPSP -KVQKVASVSTRTISVMNFTRNDLVTMCDKAVLCNDAKRALNRLDSNALRMERESMTRLQRRDLRELERRI -AASHREVAKFYAESKFRREISYGLARSSKQALHHDLLFGDELESQSGLLLGAIAAASGATIANAAHTAAS -DLKRGVDTLVDISKRLEEPVNDACQSINGIASRMSNVKSPFEMVGEYLRKSLDDLKSKLLQWWKPVCALI -FIGLFIAYSSIAWFGTVISTLFSSMFPDLFSRVASFFESSEIESQGAVDLAAYAATIGCCAFVPSRDPAV -MVGEIMKRVGGADRSISGFSTIIEKGIAYLEKVVNAILSMFSEKEVKWTSQLDRVLSKWSTKVDDFERIC -ITRNPTMAELTQAMALMQEGVGLRQTIKSHANSIFLSKYMDRLGGAIAAHRGAISAENAFRMQPITAMLG -GASGVGKTTVLKWLAASVMLLTEAVPHDEILNNMWQKGLSEYWNGYVQQFCYVMDDCFQQKTDGSQLDNE -AMFLIRAVGNWAFPLNFADLDSKGRFYFSSPLVIGTTNVPNIKDYVSNLVAEPSAVVRRIQYGHWVFVNP -EFQKGEGPYPSALDYSKVEKYYRDKVNALEAPFTREQLLDCIPWHAWQLSPHDFSGCAPPAPCVGGVTLL -DLVKEMSQEYTKRMNTHSQEVQDLKSWSEKLSSGIKSQSGSENTGEIFHADMLNLLKDIEENDLFDGLEG -VFDYTDPLGSKKKQKEYVLPTMEESKRMIHNCRLAREAGAKHRFKWLSDAVKGISTFITTRIPLLGECIS -FLVEPFTDPFPTSTSLQIWCRRLVSLGIVSALFAGLTLIVRGVVELTQFTIKRIFGCKSQSNTAPLVAKP -SKNDIVLPRIVPQSGGWEDVTVDLVYNNTYKVLLCTPNQPINVLGQLLFVEGNLAVMPFHFWRDITAEKG -KEAVVTLVSVYNNSVTQSVPVESFLKMQVCPLQESDVIFMKFDNRMLKCHRTITNRFMDEDRLKSLFKNT -RNNVRLDVAKIDDNLKTSRATYVSGVCEYVPTGVPVKDLGEVKGLCKYTAPTSAGDCGAPLSLFDPRYYG -GSGLIGIHVAGKANLLSRSGYATVISRELIYEARSHLDTYTDNFVFGMKCDNMVNVGVLSKDEEQMLAQA -GIVAGSFLPIGIVDQPVNIATKSKIKKSPIQDAQIFGPSPTAPAILYPKKVGDETVYPMAKAMEAYQSPA -EFREIENLDAIVEMATKPFFEQTTGYDKSILSFEDAVAPPPHLKLKPINRKTSPGYPFKLWEPEYPGKTA -YFGKEGEYQTPENNEHCEYLRDRVEKMIDQCRRGDRPAVVCMDFLKDELRPLKKVENIATRAISGSPLDY -VIAVRMYFGCFLAAMFASCVESGLAPGINPYTDWHVLAEKLTAKGGKVFAGDFSRFDASEQAYILYAILG -VVNRWYRENNPAWKPEDEKIREMLWLDLVHSRHLTGVGNKLEYIVQWNKSLPSGHPLTTMVNSFYSLITL -TACYAHLTGDLKDMWDHVFINTFGDDNVNGVDDSTIEKFNQVTVSKAMKELFNLTYTSDKKDSELVPYET -IDQITFLKRSFVRDDAANGGWVAPLDPNSFLYISYWFRNPKDMRTDLYRNVEQTLSELSLHEPAKWDEHY -PSIEKFSLEEGLPIPFRTREAAYQWVQSRNDAWY - ->YP_009336729.1 hypothetical protein 1 [Changjiang crawfish virus 2] -MSVGPLLNDTFRSPIGLRILLILIPEGTPNNLRTRYNNMNTSKMTNVQRIVSRYYEGSMLRKSKKCQRKF -ESFNKAEKREWREELQRRIAAVKENLHYNRTATRAYLTKPKGKNKWKLERISRSEGMLLDMIERDLYAEE -GDVELQGGMDNIVKAAMATAGVAAAVCASTASRVIGRVGKESETLVSQFRSQFGEFVDSLKKLSGWLFKF -VLAAVGSWFVFHYAAAPLICAVVTSMVKAHAPECMGTMGVGKQSFGDAATLASLLCCLLVPTMSGSAGKI -AGNFMRTVAVFPKFSDGLAAFIDAVLEYVEKFVNWVMRREGEDKWSFGRKKDISTQWRNSVLELCKRVDT -HAHVPMTLVHEMRDKVKEGYGLMQFMAHRESKDEIARWIDKLNTRLAPHLGALSAENNMRPMPYLAMLGG -ASGIGKTSVVQVFAAMILALSGEVPADQVLQNLWQKGLSEYWNGYVGQRAIIKDDCFQVRGVAGAQDSEA -MEIIRGIGNWACPLNFADLSMKGRVYLDVALMVGTTNAKNIKADWEPFITCPEALVRRFQGSYWLELNPW -YATIEGKYDFHKISDIYGSRLRAFTRRRKDNPDWVPSEDDVLDLFPWDAWIVKRHGFDHSNPESGSILPG -GMKEAVKIAASTIRMRKIEHAKTVENINAHVNFASEAFAGLSFAAAEAEKQAGGPYVVSEGLKATTSKTV -WEENSHRVGEGSVWSQNFVYVHGKLSIDEDGEPILLDLERVQETWTERAIRTIKMWFSKVHGFVGSLTEK -LGVPHTTTTYTISRGEQQCHNLDAYIIDSCIWALGLSAVFKMVKYTTRILWAGVEMLFKMFGVSKQSNNP -PAKEKGLGKFEFPRVKLQLGDVDLQVGVPPEDAVHEVVYKNMYMLGVRKQGVYTQIGNMLCIGQQIFIMP -GHFDEYVVTNLGNDLEARVEIKHCTSELIVSLERHVYIACNRARFEDGTDLVGIRLDEHVNLRSHRNIMN -HFLTEDNMSSLLRSTKVATRLDVGREQGNGRGVTHTTLSSGVLEYTKSVSANDGSTLKSLVRYEMPTKSG -DCGGVLTLSENRFYGGKCVIGLHVAGKADIFARSGYATIVTHEAVREVWMALYGESSPKVTVHDMVTPVF -GEDLVNLEAKLQEQGIIGGSISYIGPAVVPVPIATKSAIKQSPMHEDKPFGDCPVAPAQLRPLHTDEGIV -YPMAKAVEAYKSELLVKDPQSLEIAADLAFKPLFNATAGMCADVLTFEEAVSEIPEGMKLKPLNRKTSAG -YKYKGFVTPNEPGKTHWLGKEGAVDFTTRPMAQLKCDVMKLIDSATMGIREVHICTDFLKDELRPLEKVK -AVKTRMISGTELDYTIAVRMYFGSFCAAMLANPVISGMAPGINHYTQWGSLAERLLSKGGKVFDGDFSRF -DASEQPWVHNAILEVIQKWYRRSPNYRAIDEDVRYTLWQDLIHSIHITGSGCMADQVVQWHKSLPSGHPL -TTVVNSMYSLLTLTACYIHLTGDSTDMWDHAFINTFGDDNVTGVDDVMCEKFNQVTVADAMMDLFGLTYT -AGAKDGKLVPYTTIDKITFLKRGFIPDDIGEQSVIGGAPCLGWVGPLALESFLYTPYFYRSNKGPLLDVQ -SNCEILQGELSLHPKDVWDEYNPKLEAWAHKRGVPLKFGSRASARAYIKTRFDVWF - ->YP_009336698.1 hypothetical protein 1 [Changjiang picorna-like virus 11] -MKKKKEIKVQLDPDLKTFTGAKSTQDSKEALWRLMKQGRVYTRADYFKVVNQGPSSIVLESDKKEGIALD -QLVDATSNLNLKIKRKVPRVPKKDTGTKPMRVLPGKQIFRGSIPPTYVMPQSLKPQRKALLGEYERFGYR -DEQKVEYKKALYRIKEERYEKPTRAQRESLKRDSREIKRQLFDRLTGITAQAGIGLNHGLSDTTLTQIKD -IASNMNVSLSLNDSVIDTIKEMTNGLKSMFQSSNMTVSGAASVLHDTMSHLASVTNSGLQTVDSALNGIT -TILWVVPLLAATYYACTHLREFMSTSAFAAVTLAMSTILPTGLWDNIKDYWPTCTRDVESEDSDTTDYRS -VEEEFESQSGVFEAKSLAHLIAATLTYMSIGKKDTLGIMKGLVDKMPNYSRSVDSWEKLSTYILQALEIF -INYVRKQFGADNISLLKTGIQAVDSWCTRVMTVLNESQTGGDIMNPDSVHLLCALRDEGSSLTNMYRFAK -DVSPILHRYLGMLDELCRVCSAAMHSFKGGRPQPVVMALTGEPGVGKTFLCKMITSLVLKGIITAEHAQR -LNYNFDSEVFMKGTTEYWNGYSGQMAVVVDDWGQTVPVPGGENDFIDLIRMANCWSYPLNFADVDNKGKN -FFKSSFLLLTTNAKNIDYCQRVILEPEAVSRRIDHGYEITVSPEYVLNGKLNFQKVIEEMEETKKFPYHA -WKLYKYRFAVGSEAGRIENVPIALDEAIEAASGAIRRNRGMFENNSEMVSTMLKQHYGVSAQGGTFEQFL -EIGKKVYSNLTIPTLRFQLDALRQVGKSVKEHFSSLMEELSTNGTQTVITLIVGITSTVLIFGALKMAIQ -TIFSWFKKSTKTFSKVKNALRKKVMIPDPILAQAIESLRKEDFQTAELQGDGTYDLCLRFTPEVLKKAYE -RLTSTTLQSNEPQNYKLKYTHVKGSRVIESDVEMQGDLYGNSMSDTCARNLFSLRIVTDLGTQKLGHVMM -VRGGLGIFPEHFIDIIERGLNEDFSSKDSIVVQNLKDHTYVIKYKIEDFLNFKRVACEGRDCVMIKFESM -RASRDVTSFFVTEKDLTHLHDIRLRLDSLEGKEELIHRSRFLKARRSHKLEYAGVHGSRKIFENYEYEGF -TSYGDCGSLATLQDYPSLGCRRILGIHVAGSAKSGIGFTSIIHAGHIDEMLKHFDEPQEIFPQAESYLTL -AEPVSVGSFTGLNYADKTYNMNPSSSLIKTPMYGLWGDLKKKPAPLAPFLSKETGEIIKPMVKAVEGYAS -PVLHFEKEKVAKAAYHAFAPLRSLTKEHSRRIVSFEEAVAGVPDTNINGIPRGTSPGYPYVLDGVTSKKP -FFGGSEEYTFDNEKALAVRERVDAILENARSNIRLPHVYVDFLKDELRPTAKALSGQSRLISAAPMDYVI -AFRMMFLSFTSAVQNTRIRNGVGVGINHFTEWDLLAKRLQSKGKRCVAGDFKGFDKEEQPAIHWAIVDQI -NAWYDDGPENARIRRVLWLEVVHSRHFGGLDGKADRFYQWNKSLSSGHPATSIINSFYGLILFNLVWADI -VGPVMASEFWEHVYVCTYGDDNVLNIDEDFTDVFNQDTIASGMKRYGMTYTNENKDGDVAPTRELTDISF -LKRGFRFEKRLTRWVGPLDLDSILYTAYWARSKTGIKQNVADNLEFSWSELALHESSAWVEHADQLRVKF -SEVMGGEPKHYFTRNNYQDIAMSMVPAWEK - ->YP_009336674.1 hypothetical protein 1 [Changjiang picorna-like virus 10] -MQAIDSGSFDDVNIALGMRVAKVTFIMSMMERIKIDEADDLQVRHLNLILDAKKRADDAQDERERAIKAM -DASIASMRALPQVVHDAWELPAMVPRIRRRRIKVILEDDVPKPSYKERRAALVLKRASRTRGRLRSALSR -IAVLKRKLMDRVREGVNVRRAAQAAAIAAEHAARCAMASAKGAFWTLANYAGRFTRSMMHATSQLRETMP -QSGSAAREARARRDDQQRASASAKQRAVYKAQPKIQREADIKAQRDKRSGVIEAESGRVLPVLAGAVGAT -LLHKVWSVLTKTERVLTSAESLVRAFKKGARLVKEHVGKVLWVVPLVLLAHRMLASGEFPSKIAMAAIVG -CLCKAAGPALWKHIAEFFPRDGVLEQSGVGDVSAKLVAVVMTFTALRGKVCANTVTDLMRRLSLMTRASS -GLTEFFDWVGQAVECCVNGIRQLFGKEAIQMYKDSEKPLREWMKRVDDVALSISICEEDPSPALLNKLVQ -LMKDGDTFKNVYRNTPVAKRIDEVIVKVNSLLMPHTGALNARNNFRFEPDACLFLGEPGIGKTLLAVPLC -ATVLTRAGLVPAAKTFSEVASEIWQKGTSEFWNSYSGQACVVMDDAFQARTDASDKENEYMTLIRMCSSW -SYPLNFADLSSKGKIFFQSKFIFGTTNVDSIRSSAGICISEPAAVVRRINHPYRLVLKPEYKSNGFLDHH -KYEAELHKCAKNKGMDHFPWYMWEVRRHDFLSGITDTVVRPLKEVVVEIIDSLKKKTDSHGATVAYLKGF -VNSLQESDQVIQDDTTVEVDSEIKPQSGGVGVKLWTPYSSVVMSAYKVYKSDLLQKFSSTQRFSAALTVG -VACALTVVGVRLAIKTALGLLHALLSGVAALFGGVKSIVTGGNKQPKVQSNRPITVPKKLKNTDIRLQAG -DVNVINNVYANSYKLWFADKESNPVILGQVIFICDRLAVMPDHFNTVGLKDKFDEGSIDDDTVLHFRNAV -TNAHSFDIKYGTFKTFKQDRSEKKDVSFVVFNGVRAHRNISNAFLHQKELDYLGGAAARLDICEIDDRVR -LREDFARRVYVFPSVSMGKNLKYGKRTLEEYATYKATTSFGDCGAPASLVDNSSFGGRTVFGIHVAGNEM -RGVGFSALVTSEMVKEAMAKNNIIVDNFMVDISNHGVVAQSSYVLPPTHGGTFLPLVTIDKAVNTAVRTS -YFPTELYGTFGEYEYLPAPLAPVFRNGVLVDPMENAVKNYSSPLFLEVPAELDQAVHVAMSRLTAATKDY -SRRIYTFEEAVLGIPQQKFRSIPRGTSAGFPYIFNVNDGKKSFFGNGQDYDLTTVKAKELRKRVEFVEAC -AQRGERCAHVFVDFLKDELRSRKKVEDVATRLISSAPLDYTILWRMYFGAFSSAMMNNHTLSGMAPGICT -YVDWDLLAELLRSKGSDVFAGDFKGFDASEQEPVLMALLKYINKWYDDGPVNARVREVLWLDLVHSRHIG -GPQGDRRHIYQWNKSLPSGHPFTTICNSMYSLVTLVACYIRLTGDRTSFWTNVFTVTYGDDNVNNVNTWA -ASMFNQVTVADAMKSMFGLVYTSDKKDADLVETTVLDNVSFLKRAFELGFDGWSCPLELDSFLYCVYWCK -NKRKKDDIIKDELEIALQELSLHDEKVWDKWAPRVYRLLESRDVVPLCPCSYTEYRALVRSRADAWY - ->YP_009336619.1 hypothetical protein 1 [Changjiang picorna-like virus 6] -MHIACQFFLTLGYKQSTHQIQRTMNAFNKTNAVSTLVRRYTDKSLQVGDNKQRRKFEMLTKQDKRDWREA -LQRHIAALRSRAGSRPLRRAFQSKPKGKNAHKINRISRYEESLASMVMGSDGYVEKQSYASAATIGVAAA -LSTTGAYYMYKRLSKMADKVVSVSDSIGAQVNDGIAKFRQYFSDFIDSLKRVGSWLAKFTICAAGLWFVN -KYVNAPALSVVITSMVLASVPEASNLFGIQKQSFDGSCNLIALLFTLLAPTIGGKYGFMVNTFMRTVGAF -PKFSEGLPVFMDKIMDVVEKAIDWILKRTTGGSFSFERKMDLTTKWRNEVLAMCNEVDTQPKVSLETVHA -MQKKVQEGYGLMQLMTHKQSKDEVARYIDRLNSRLAPHLGTLASENNMRVMPYCAMLGGGSGIGKTSVVQ -VYASMILVLAGEVKASEVLQNLWQKGISEYWNGYLGQRAIIKDDCFQVRGVAGAQDSEAMELIRAVGNWA -CPLNYADVDSKGRYYLDVALIVGTTNAANIRADWEPFITCPEALVRRFQGAYWLELNKEYENDMGRFDFE -KVSRVYASRLSSFAARVASEPTWKPTEDDVLDLFPWDAWTVHMHDFSNSNPLNGPVLPGGMKQAVKDAAN -SIKARKAAHRDTVQNITEHLKVAESVIDKLFVDKQAGATIVGEISATTSDNFERDLRASYPGQSIQYSTV -EVKGLLTAGTDGEPILLDLERRDATFCETVKANLVAWANRLVSYVGDTLDKTLGPSVGEFNGEPTLNVAR -VAVEGALCGLALTCVFKLIHGAVGMLWAMVEALFKAVGINTQSNAPPAQSKDKGLKKFEFPKVSLQLGAP -PQEHVHDNVYNNMYAIGYDDGGVYMPVGNIIGIGGQVFVMPAHFDDYLAKHANADADVVLIMCSNVHMIT -RIPLKLFKSFRRARFESTTDMVGISFEKYAPIRQHRVIVGYFLKETEISNILRGTNVAVRLDIGRRRKDK -EIVRTTLMSHRSEYVPMVSANDGSKLTSLIRYDMPTMSGDCGAPLMLSENRYYGGRCILGLHVAGKTTLM -SREGYSVIASQECMREIWLRLGPSEEVEVDTVHSQMRQVTNEEFVTLEAGLVEKGIIGGSMSYLGPLNEP -VNLAPKTALIPSPMHADEPFGPCPVAPAILYPVVKDGLRVYPMARAVEAYQSDVVVKAPQVLDIAAEVAF -KPLMKVTQDFPRDVLSFEEAVVPPEGWKLKPLNRKSSAGYKYRSYVTAAKPGKVAFLGKEGDVDFSRPEL -DIVRKDVTSIISHAKRGVRLPHYCTDFLKDELRPLEKVEAVKTRMISGTELDYTIAVRMYFGAFNAAMLA -TPVVNGMAPGINHYTQWGELATRLISKGGAVFDGDFSRFDASEQPWVHMKILEVINQWYAMKGGTEEDDR -VRTILWEDVIHSVHITGDSSSHGQLVQWHKSLPSGHPLTTVINSMYSLLALTTCYIHLTGDSRDMWEHVF -INTFGDDNVAGVDESVRDVFNQVTVASAMSELFNLTYTAGAKDGKLVPYTDIYNITFLKRSFLRDEETSD -IIGSAPCMDWVGPLAKESFLYTPYYYRNKKDPRKDITDNCDILLGELALHPKSMWDEYFPLLKQWCVKND -IELTFESRSAARAYITTRFDVWF - ->YP_009336530.1 hypothetical protein 1 [Changjiang picorna-like virus 9] -MDKLNLGTPYVTVATVKRTISVSDYLDVKKLDRMLALGLITISGNYGKSYLNGGRPQLSEHLDRDCRYYT -EVRTYFATLLILWDYVNVIPAAKRANLCEAREQSTIDHKFRRLQRDHDRRNFSKSRETPAMRRSRDRQLQ -DRRSEKDSRTAYFAGVTEQAMGRLLMGSDNVKRVEKLVENLETLTSKASPAIDAGVDLISLIHKGIESMV -ATLKQTLGFMLWAVPVSLLLYYIYRRFGVTSAVAGLAIIGVARAILPKDLWTKLHTFFTPAEEQSNMNFM -SHILCSTASFVFLRGKTSADVVDDLLRKLANLPRAVTGLEGFLEFMKKGVMQVVEFFAKRFHPNLAKRME -KLRDPVDVWIRKIDELELASVTNQSDPDQKALDELVDCCVQGAAYRDAFRHTPMEKFICEAFAKAKRLLS -PHTGALSARNNFRVEPVSVFLYGGAGVGKTSILTRFATSALVMSGLCEAKDAKANLWQKGTSKYWNSYAG -QLALGLDDFGQEKPYQGQEESEYMTLIRAKGCWSFPLAMADVESKGKTFFRSKLMVATTNVDKMKDQVGT -ILYSDSAVERRIDYPLRLEVHPDYLKEGGVPGELDYEKLQKEVARRAQLYRDGKLTLRSPCDVYPWYVWK -VYKHDFFSVQTGEPVEYDLHDLLVEISKKLRDRSAMHKNAEADLDDFLAGLTPIKEQSGREIEEISTFLD -GDFLDLDEISEMTLEQFAEQREKVETSLRMHTMSKTLKFQDRWELFKLWINTQITSVAAVIHRNKWIILG -VVAVAAAVGLLIAAVRGMWSFVKSFFSKKKDNDKGQRRQSNRLVTKPRPVVQLGSDNAVLRTFYSDTYKM -FVEYVVGGEALCEIFGQVQFLNGGISVCPYHFITSLESLEKSKDVGTEVRVNMRNAINGDLEYGAAKAVT -AAQILKLPRERVPGEDVVFMNWEPLNVRAHTAKEHHIIEEEHFKLLSMASRPARLSICDIDINGVLQSGT -MPRFFQCEKLIAHKPMRLTNSSENARVWEYCLNTQEGDCGAPLTLTKQDGVGKYYLGFHIAGDGHNKGFS -AVVTKEMYDRHTAKLGVKGRPVVKDTLMPACEQAGRPILEFEDTDKIPEAYNSGSFTVIGKVEKAVNVSS -KSKIFPTVLHGVLGPAVDVPVALGPVRDGAHTIYPMENAIQANKTPVMVVDTDLPIWQQAMHMAGRKFME -VTGPSRLNARVLTFEEACIGNPILKLKAINRNSSAGYPYCLEYSSGKKHFFGRGDEYDLTGPAAQLLRAD -VQKIIEKAKKGERSPVIYNDFLKDERRSPEKVANHMARLISSSPLDYTIAVRMYFGAILSAMFSNSIDSG -LAPGACVYQDWGTLAKHLQSKGDAVFDGDFKRFDSTQQPDLLMLILDFINDWYSVNGVPVGPHECLEGGI -CEDNLVRYVLFQDLIHSRHLGGLSSKHDIVYQWNKCLPSGHPLTTLVNSLFSLLMLSYSYIVLTGDRLGF -WDHCFANSFGDDNIVNVDDETKDVFNQVTVAKVLWEDLGMVYTAGAKDGSEIPYKTLEECTFLKRSFHFD -GKWLAPLELNSFMQTFYWAKNKLFVDQTIRSDLNNALEELSLHTQEVWDEHAPRLMQAARKFDPCFTPNA -PLTLAAHRDVVCSRTDWY - ->YP_009336953.1 hypothetical protein 1 [Wenzhou picorna-like virus 31] -MAHCCFNCQWALPSIAPHMGNYTIVERSSLNEQNKKVGKLVEKHYDSSLKFAGIKGKEKLEGLSKKEKRE -WREALQRAISNIKNRKIARIMATGHKPRGKTRSWVRANSTKESNFLWWNSQEPYDVVELQSGLTSAVVSS -AAVVGAALAGKTMVDLSGAANRAGQAADVASSAVNEIKQAVTSTSSRVIPVIDRVNMGADLFGTILTKFN -EFIEQLKSAGGWISKFVVAVVAYWLVSTLSDSTFFVGSIVALVSAFAPEIVSFVKGATGVEQQSGVGNIA -AMVALLGTLIVPGNGRMPTAMSHFLRNVGHFPKVCEGLEVFVDKSLKLAESALNFVLRRESTNWITLGRK -RSLVDAWRCECIRICAEFHSTPKPSRELVHLASKKVQEGYGFLQLFVTNDMKREVGMWVDKLNVCLNPHL -ATLTAEHNVRPMPYMMMLGGGSGKGKTSVVQAVASFILLLSGEVKAGDVLPNLWQKGLSEYWNGYLGQRA -IIKDDCFQVRGMPGQHDSEAMEIIRAIGNWACPLNFADVESKGRYYLDVALMVGTTNAKNIRADWEPFIT -CPEALTRRFQGAYWIDISKDWDAERKFDFYRVQTEYQHNLARFTQRKKDNPGWKPSKEEVLDIFPWNVWE -VRMHTYDNSNPENGPVFQGGLKRAVLDAADEIVRRREMHVKTVQHLNDHLIIMEDVLNDITFDEVQTQAG -SIYANIDTFVSAAQSMSATCSNPMLGSVRRYVDSMPEAPFSVISDISSFEITLDREEDLMPLREFREREE -SVVDMTGAPILEVCSDPSVCGGELTRYRHRGWPRREKTDSEQREEIRLLNERLHTFINYDDYTREDAEEE -QWYAKAYEFMKMTVCRLCHFVGITDCTAKHLISEFTVVYLSCIIIKAAVTGLWAVCKGFLKLIGVKPRVG -AQSNDVAPKENKGLKKFDFPKVTLQLGTPPSEGVHDAIFRNMYTIEVVHSDKTRSIFLGTCLGVGDSVYL -MPRHFLKRIEECLAGGDTSWRVVVTLGYQRTHRVSFTLTQFLGFRTAIMDGFDLIGVDFGRIAGLRANRN -IVKYFLESSELSNLMRGSNTAVRLDVLRPGNDGVAVRNIMHSSSLEYSGTVVAHDGYSMRGCVKYQMPTL -AGDCGGPLTLQENRYFGGRALLGLHVAGRSSFFSREGYATLMPQETVREIWLMLSSVRDSSAESMDDLLV -SQHGEEAIRVQNGLLEAGLVGGSITYLGEVKTPLMVAVKTAYKPSPMQEDGIFGPTPTRPAVLQPIMAEG -KIVYPMARAVEAYQSPVLVGDSDQLRVAADMAFKKHMEVTKRFPRDVLSFEEAIVPPEGWKLKPLNRRSS -AGYKYRDVIPNLARYPGKTYFLGHEGDVDFSNPNLGKVRDDVNVILTKARRGERDLHIFTDFLKDELRSL -EKVDQVRTRMISGAELDYTLAVRMYFGAFQAAMLATCVSNGMSPGINHYTEWGSLAEGLLSKGGAVFDGD -FSRFDASEQPWVHEAILSYINRWYAQGSDWKLEDDVVRTVLWQDLIHSRHLTGTSSQLRYLVQWHKSLPS -GHPLTTTVNSMYSLLTLSACYMKLTGDANDMWEHAFLNTFGDDNVAGVDDQVREQFNQVTVGPVMSELFG -LTYTPGVKDGIPVKYTDIGGVVYLQRSFLPDNDKETLLTNCPNVGWVAPLNFKSFMYTPYWYKNSKDCRM -DLERNCEILVCELALHPISVWDEKFPKLEKWCSDNEIPLRFINRDAARAHIKTRLDVWF - ->YP_009333535.1 hypothetical protein 1 [Beihai picorna-like virus 79] -MDSASNAKVILNTTVDARGYVHVALHVPSTPIVWLHANEDKVEIEMIHQRRISTNTKFALPKRQQIAQWK -KERKDRQAQRRLRRTQKYCDLMMAESQGLFDFTLNHRVDVSDTIKETAEEFKNQLLSTFSEISGQTNATV -TDIKDSLSSVMQQVGSHVQNFMDAVKNIFWLIPIIACVCFVATKPSKTALAAALFGVLSMFLPSCLNPLT -EMVSSFITKHEIESQMGSMDIKTIMKIVSYGTFLLTMGGCDKALKLFDAFQNVTMRESSINGKRSTFIAE -TISTIQDTINHVLSLFGKDKISVFKSGVDEVDAWCAQVSEVTKNFSLCESDISIDVVESLSDLRRQAVDL -ENLYRMDKVCGPVLSKYVNQMDMICRANASALSSFKNIRPEPVCLSLTGKPGTGKSMLCDILTHAVMAKA -MPVEKIIANKGDFKGEIFAQGSSEYWNGYCGQYTHVMDDFMQQVNAVGATDSDAMNLVRIVNSWMYPLNF -ADLENKGKNNFRSKFVMLTTNVANMNEPLRRVITDPGAVFRRMHFPYQLGVRREFRRPGCGEFDANALDV -QKFMAYKSQYNEIPTQAWFFAKFDFENGKRVDNTRRISFDELVDEISRTLIARNESFLNREGPIVNMVNK -ILQERGIESQAGEQIPVISTGRLIECTTCHHLPLVYSNATKTLQQSMEYLKDNITSVRETLVSSLWNPIA -FAKNNPVIATLLAIGSLSIFFMIARFALSSLCKYLFGEEDPKVDLEGQLIDYKTLKDLGEKKDMPCDVQN -NVSKNLFEFTIVDEYRGWHINCGTCVALAGRTFMLPNHFITEMENLEGASSTTKVHLRNVFNNEFDTEIA -FSEVMRFQKIRKPDEDIAFMRMPISLACQDIVDKFIDETDLHKLKSCDVMLACARVIPHQVVRDRCFGIG -ERFDRYMVDGGKRTVKRGYKYNIPTAKGDCGGLLMLGPKTVLTGGRKITGMHSAGSPKLGLGFSTIVTRQ -QVCEALNRFHEIRDCDLPHEITGECNDFPVKGSFMPLYTVSKPSVMPPYTKLCKTPLYEAWSENTRFPAH -LRSFKDENGDEIVPMINALSKYNGPVRVYPEQDIEDVAWVAWKCVTDATAHITDRKIFSFEEAAAGDESN -PLFRSVPRRTSAGYPFTVHGASGKKDFFGYAEEFDFDSDNCKWLRTYVESIISSAKEGKRQFHVFNDFLK -DERRSEKKNKTGQTRLVSGAPIAYVLAFRMYFGRFCSALMEARIESGCCAGVNVYQEWGYLRDHLLNKSE -KVIAGDYSAFDSSEQPQIHNAILKYINEWYNDGAENARIREVLWLDLTNSRHIGGDGKNNNLIYQWNHSL -PSGHPATTAVNSMYNLFLFAYCWKDIMGLENLGDYNKHVRVVVLGDDNVVAISPSVVEKYNQHSVTEAMT -RLYMTYTTEAKDDEEVDSVRTIDQVTFLKREFRDENGHCFGPLAMETILQMPYWCRDKNNVTEIMQSNFE -TAMMELSAHPQETWDEWAPVMLKEFNSLGYKTAGPPSRTYYQARFKAAKCDY - ->YP_009333141.1 hypothetical protein 1 [Sanxia picorna-like virus 12] -MSSINANAKMYANGLVVKSAAQARIERDHHQQYVAKQRYTALRKEIPKKLREREIEDARRVKRMLNEGES -SEYGGIREQGFASTIAVSAVGAAAAAAMYSVNRMRKEVSGAVDRVDEALASTSVSVVSTAHLLVDRVNTT -IEGFANTAKSILGAFWIVPTAILAHYIVGHFSDIPLLPVMASLFLAKLFGDEIWNLLGKWFAFQEQSGEG -ACSIGALIISAACAVCIPTRSASGVAGEIMKRMSSYVRAKEGFECFFKDALKYAERAVNAILSFFSEKEV -NWIGTSERLVDRFCDKVTVFESLVRTESDKVQLADLLAAVSVQQEALGLKMTVRDPQTLLRIERGLTRLS -LLLQPYQGAITASRNFRPEPTFICMYGGSGVGKTTLATKMACTILVKSGLCEPDEALRNLWQKGNTEYWN -GYVNQKCLVMDDCFQVKPVKGETDSEYMNVIRMIGNWAYALNFADLESKGKFYFDTPLVIGTTNCANIYN -MADMVVTEPAAVVRRIKFPYRIEVNPQYWGEEKQLDYKKLEAEFSANLDAYDKRGERSSLSASMDAYPWE -AWKLVRHDFSNPEAGGPERTVRSLIDEVVERIVSSREAHLQSVDNLNRFMRNIGDAMDAGRGVKEQGGLS -EATSLQGDFYEDCVEESTSTVDKSAIERALRIASGELDVDLDEIRPVRLTESVRDFVMDGIPRMSVGADT -PRASSFHAHINDTQYRRPNRPSYSFRYVIMKRLAQEVYKRIYSWAENVRKFFTGLTAGGVIKFAFCTLAA -TMVVGLAVGVFAAVRYIFKAVKSKFAGTPRGTPQSNVKESAGPSRKALFKPKSIKTQSDIQRNRVHNNIF -ANTFKLVVHAEEQDFVVGQIQFVQLHVAMMPKHFRRQLEERLADGGVKETSLMSFVHATHNQIVKFKVGE -FLACKIVEVEDSDLLFMRFPNGFISAASKITQHFLKAEDLNKVIQAKPAIRLDIAELDLKWQEKSKGALI -SRNTMLANTFNFLPNLASQAYENSNVLEYQMPTEEGMCGAPLCISENRYYGGACYLGMHVAGAPGWFSRV -GYSNVVTQEQALAAVAELETVTDNFREDAKKRGFPIVDVDPEEQSGLLTNSFITGSFTLIGRAEKPVGLN -PSTKLILSPIGEEQPFGLNSLAPARLRPFMRDGERVSPMSVGLSGYATPLDYRPLPNMDAIVSMATKPFR -LASIGDYRGILTKREAVEGIEGMKLKPIARGKSPGYPFVHEVRNGKREYFGNDGPFEFESPQCVKLLARV -DEVIANAKEGVRLCHIFTDFLKDETRPLRKVEQGETRVISGAPLDLVIATRMYFGAWMASMFRHCVVSGV -APGINPYNEWYLLASRLSSKGEKVFDGDFKAFDKSEQPYVHYAILDFINHWYGGTEEDERVRGILWLELV -HSRHLGGDGVVQDIVYQWSKSMPSGHPLTTPVNSMYSLITLTGCYAKATGDNESMWEHAFINTFGDDNIT -NVSDKVAEVFNQVTVARDMDELFGLTYTAGSKTGELVPYTDLGSCTFLKRSFVRDVAGSGGWIAPIDITS -HLYSCYYYKNKRDPNADMAHNLEEMLGELSLHGIQVWEQYSGIAFEVLGHMGRVPRYMTREGYRSMMRQR -LDAWF - ->YP_009329819.1 replicative protein [Bivalve RNA virus G2] -MKTSPASKLRVYDRFSGSIQNANLSSARYQDMDGFKGRTHTVFRKCRKMDEYLSMDGQSFDRLEQKFKAL -VVRGRLTVCDGKRVKAHQKIKALRDNRRNAKKGETEDVESQGFFTGLSSALFPLAAPLQSMAQNGVSVNH -NLPPEFLATFCSVSESLKSSLDNFTDGVSSLGAKADGWKQSFTEGGKKFLQMIWVACIIGFGLHLLGTPG -WTIAGLLTVIGIVASLAQDNIRELFLAIKEYLTKISEPTAQSGLSLDSLGHVLALCCTFFSVPQGVDWQK -AASIVNSKMAHYNQSVDGWGRMAEFIFTRLECMLKYITDHYGVEPIRLSTTGHEMVDSWCDRVGKLLMNY -NVGNPLGPDEVMYLQALVREGDHIATQIRWNTRAHNVFVRYQRDLTALCVANGAALNSIRGQRVQPVCWG -LTGAPGIGKTLLCAEMMSYIIAHTMPVERVEEIKSNLLSEVFQKGSSPYWEGYTGQYGVVMDDFGQSRVA -LGSDEDNDYMMLIRMINMWAYPLNFADLNNKGKNFFRSKAIMLTTNLSTFTPEASKVVNDLGAVYRRIHF -PLRLGVKKQFCRVVNGKVVDSLAQNALDYEVFCAERDRCEEEGIGFPWHVWFVREHDFQEGVSRPHNMEL -MDVMRRIIDKIRRSEADHMATMDPRERLVQRIIDERKQAAVQPQGGLSDLLEPGCNTSFSDRVREGAFEA -RKACVGAVTASQHKLDDMRNECKKAFEQIVESIKAHPVLSFLTGSTFVFGLFSLLRFCVANCADKFVSQS -NPPDGVLNERPVYADTLRLSIVRNMLHMTVVDENKKRFANMGTVLGLRDRIIMVPKQFSADLEQAIKSKQ -IDSRHTIQFTRLVQPGFKFELTYEVFCKLRRYDSASEQDFLALDLANHVGLLFKDITDKFVRESDLEYFR -KKRHIGVILEGVESNGREITRLMRAGKAVLNSRPVEVGHATAPYRVGNCWDYDIPTVRGDCGNVLFVDNN -ESTTRPRVVCGFHTGGALSLGRGYSVCITQELLQCLPPKKIDEIEHNNAEIVSQANSFAVGGSFVPLYKT -SLYSCLSPDTAIVKTVLHNNWFCSKKEPVMLKPFINDEGVCIKPMVNALVKYNGPLLTYDEGFVSRCSYV -AFQKIFELTLGQPRFLLTYEQAVAGIPGLEFFDSIPRSTSAGYPYCLQGHNGKKKFFGTSQNYDFSNSHC -KKLFEEVSRVEELAKRGERSLHVFVDFLKDEKRSFEKVAKGETRLISSAPLVYTILFRKYFMCFMASVMK -NRIYCGVAAGVNPYSEWNMLARELLTKGNDFVAGDFKWFDSTQQPQIHNAVLDRINEWYDDGPENSLVRK -VLWLEVTNSRHLGGSGNRNNTIYEWNRSMPSGHPGTTTINSFYNLILFVMSFERCCGRSNVMEFWNQVSI -AVLGDDNVLSIASDCVPKFNQKTIGESMAEFGMSYTNEKKAGEVGETRTIGEVDFLKRSFLLVREGPLAG -TFVAPLSLDTIIEMPYWCKNKNLISEITQDTFETALMELSAHPKEVWDKWSPLMCSSYRRAGFRTLLPED -QEQYFYQYCNGTVRY - ->YP_009255227.1 nonstructural protein [Antarctic picorna-like virus 1] -MTSNANKSKAMARLERDAHQVKSAKERYKAARKLFPKQQRERDIKAERKAMQNMRVVGPQEEAFSHVVTG -VAGAAFVGLATAIGRIASSSEKVSQSSSKLFDTIRVKIEEFSKFCKDTLGSVWIIPVAILAHFLLAPHFH -QPIILTFAGVMLAKLFGADIWKAVSGFFQPEQQSGGGITGIGGLICTILCTAVLPTRNAAMFLGELMKRM -SNFDRAKEGFESFFGYALKYAERAANCLLRMFSLKEVQWVSQSEKLADEFCAKVDDFEKLVRSGSTLVNT -EKCIEMAKLQVDAIGLKTTIRDDRMRVKIERTLSRLTMLLQPYQGAITAARNFRPEPTFLCFYGGSGLGK -TTMVTKFACTVLVMSGLTTFDDALKNLWQKGNTEYWNGYVNQKCLIMDDCFQIKPVPGDADNEYMNVIRM -IGNWAYALNFADLESKGKFYFDTPLVIGTTNCAGVAQQAGVLITEPEAVVRRIKHPYKIWVNEDYQTADG -KLDYAKVEAEFSANLDALEVAGNATPEQHFMAYPWNAWHLTYHNFSQPVESGARKEISALILEIVAEIKR -SKDSHESGLVNLNRFLKGIGEGPVFQSSLGDEAFGEILHDPEEEEPLDVEGDPLMDLGFDSAACDIDEDE -VLRGSFDGGPPGSNFPIPKMGLFNDRYADDPEILGYNGRQYTKLYARSSNVVHDWKAKLYAKFPALFVAG -NFAIGVLFGYAAMQIAKTVFNFCRSMFGMILGRKGVPRGRVTHQSNVRETRGVPPKVFFSSQTRAESGYV -DKAHVHNLIYENTHKMYLDYDTEMEVQIGQIQFIESNLAMAPKHFSRQMYDLLEKGKICKDSKLTLIRAI -YGTKTVFTVSEYLAMNRATVPERDIEFLMFPRGSLLASKKITQFFLTDEQYQKAINSGGAVRLDVMLEQT -KKDGRHQVRHTLSSNRTEYMKSIGAQGTMNKDVLQYDMDTEKGMCGAPLTIAENRYFGGNCYLGFHIAGS -PGLFQRKGFCAIVTLEMVLDAKKKLRIVTDDMIADLEKRGVKLDFGTEEQSGFPRGNGLIEGSFTYIGQV -DKAISLSPNSKLKLSPIGELEVFGTNPQRPAQLKPFLNGEGERVVPMLKGLEAYATPAEYREVPNLEAIV -SLATRPFREASVDDYNGIFTKEEAIMGVEGLKIKSISRSTSAGYPYVLETKAGKKDFFGCESDFSFDSEE -CVALFERVEHIVENAKQGVRLAHVFVDFLKDETRPHAKVDAGASRVISGAPLDYVVAFRMYFGAFMASMF -KHHTKSGMCPGINPFSDWWQLASKLQQHGDKCFDGDFKRFDSSEQPYLHYAILDFINRWYDDGEENAQIR -SILWLDLIHSRHLGGNGRDQSYIYQWNKSLPSGHPFTTPVNSLYSLITLTACYCKATNDFTNMWDHVYIA -TFGDDNITNVDDETSEVFNQVTVARDMQEQFGLTYTAGSKEAELKPYSTLEECTFLKRRFVQDPLGAGGW -VAPLDPASFLFTSYYYKNNKDMVGEMRNNLENMLGELCFHSIEMWDKYFPTVSEVLVEGGFVPNFESREA -YQQMMKARIDAWF - ->AFR11849.1 nonstructural polyprotein, partial [Nedicistrovirus TFN-2012] -IVDIASLPFREATQFESRRLFTFDEAVKGVEGLKIKAVNRTTSAGFPYVYTCAKGKTDFFGDVGEYDLTS -EKCLELKARVEYILDQARQGIRLGHVCLDFLKDELRPDEKVDPCQTRIISGSPIGYVLACRVMFGALHSL -RVFATITTTGLCPGINPYSDWWQLVGHLKRGGKTKFFDGDFKRFDASEQPYLHWEILDFINRWYDDGEEN -ARIRTILWMDLVHSRHISGMAGDIRYIVQWIKSLPSGHPLTTVVNSWYSLITLTACFRHLTHGVVPFRDM -WDHFTPATFGDDNISGVSDAVSEVFNQVTVAAKMQELFGLTYTSGVKGEALRPYKTLEECTFLKRGFSND -EGERFFGGWLAPLAPGSFMYTSYYYKNARNMNKELAIKLDGTLGEMCLHPQEFWDEHAPKVMAVMREHLG -VEPMFTSREGYLEETAARTDFWF ->APG77492.1 hypothetical protein 1 [Hubei picorna-like virus 19] -MPITMSKKFFPMAGRTEPSLNQRYRVSKMVEETYDASLMNGSKKQKKQFEKLSKSDKREWRDALQRNISA -IKMRLASRVLTGMKVRGKTMYRWKQLTRAEELLIDMIENTDDEELQVFQWKQVLQPEDTLIELQAGGWFD -ITRLKKIDVAVESMTKTSNDTQEFIQFLKEQITSLVQNLAKIGDTMAKIALASLFAWLLMSFTHLPLVYA -GILALVVVFIPELKEWITSLVPLGAVQTQSMDTTMIASMLAMFTTMWIPGKTASGYTAEFMKRVTNFPRA -SEGLEAFITKVCDLFSSFIKFVCDKLGCKLTFTQKENAYKAWKQEVYDMMKQLDVESTVPMEEIRKCHDL -VTRGYGFHHVLVTDASKKDLNFWLEKLNLKMQPHRGALQAEVNVRAMPYFFMFGGASGVGKTSLLRLVGT -TTLILADEVSASGALEQLWQKGTTQFWNGYVGQKCLVMDDAFQVIGKTGDPDSEAMNVIRACGNWSMPLN -FADLTSKGRFYLNTPLMLGTTNCRNVASSWSAFITEPEALVRRFQGAYWVELNNEYATEDGRFDFNKFDT -AFDNAMQRIIEKTQEGHKYTKESLMDELPWNAWNLRHHDFKSDNVRGELLDGGLRTAVDIAAKEMIHRRE -VNKKQIKNLQTWTGALKQALDNDLTLQSGITMNEIADETEEEIFEDAVDEPVVKEQGPTTYLEKVKSVGT -SFLRGIDPIYERVKEGLTAERSEFDWLKQLFAKLMTWIKTLPLIKHIRLEDDTTTDVVQVTMAMMAFGII -LKVIRAAISGFWALIGGFMSFFGIKPIAVQSNDGIAVKKSSPNFMRLTSFTDVTEQVGIPPNEAVHDHVF -QNTVKCVTDKGELGQFLGLGSDVFIFPKHFLKAIRALDSTTNLKFMSIRHGNEFVITAEAFLARPIIEMD -EFDVAGISFGDVFIKANRNIVKYFLLQEELKKLLLGGNTSVRLDVANVRNGSIQRNIYHSKTCQYAGILT -ETGGEKMAGLLKYNAATVKGDCGAPLCVSENRYYGSRCVMGIHSAGRDSVFCREGYATSVPQEVAMMMYM -KLATYHDDCVQESKALRIPNSSERIQLQAELQAKGLVDGSFELLGVLNKPVNIATETKLKATVMQEEKLF -GECPTAPAVLRSKTVDGVVQHPMANALKAYQTPLICKSTAQMEIVTDVAMEQHWKATEHEPQVILSFEDA -IVPPEHWRMKPINRKTSAGYKYKDFQTPKTPGKTYFLGHDGDVDFNRTELNVIRRDVKYIIDEAKKGVRT -LHLVTDFLKDELRPLKKVEAVATRGIGGTELDYTIACRMYFGAFMAATFNTHVTNGMAPGINHYKEWFMI -AEGLLDGGRRDKVFDGDFSRFDSSEQPWVHSCILRYINKWYRRNNDAWKPEDDRVRYILWLDLVHSRHVC -GVGNTLSYVVQWNKSLPSGHPLTTIVNSMYSLITLTGCYMKRMDGATDLWQHAFINTFGDDNLTAVDDEV -CDKFNQVTVAEDMRELFDLAYTSGKKDAELVPYTSIENVTFLKRTFKVDDDAQGGLIWCSPNRGWVAPLA -PESWLYEGYWYKNSRDPHTDMKNRLTHTLCEASMHDAQVWEEVFPKIENFCHRHGIEMPLTSRAAVRDHM -KSRFDVWF ->APG76687.1 hypothetical protein 1 [Beihai picorna-like virus 78] -MEHPSVIPTWLEIGLGVLNYDFYKMSNLAKSQSGFVCKQIISRAEARAEREAYQRYCAKLRYKAARRAIP -KPIRQKAVRLNRRLKEEAAFLCASLDSSDLEPQSGLSYLSSVAGIATNLISGSSLLGAASSVQESTKRVA -DSVETASTSLSNLLDTIRVKIEEFATAAKTIMGLWWVVPVGLLMYAVYREYGSMPLIAIGLPLLFAKILG -STWAYASKFFGGLQPQDSGEVAALLATLVCSCFVPYKSEVQFTGEILKRVGSFDRSVEGFKSLFEYGMKY -AEKLINAFLSLFTESELHLTDRSDALLRQWMLKVDSFERVCRSGNPTLDQLQEAVQLQIEGIGFRQVLRT -PHALAACNRYTERIGVLLQAHRGALNAANAFRQQPVFLVFGGLSGVGKTSLLKNFGTLALLVAGETTAKE -AVTNLWQKGTTQFWNGYVGQKCLVLDDCFQEKPMPGKDDSEYMQIIRSVGNWAYPLNYADLESKGRFYFN -SPLMIGTTNLVSVKDVAGAVVNCPEAVVRRIQYGYWIEPHPDWSRDGRLDYARVEKEFATRMSNLPESCG -LRDIVNCFPWEAWRAIPHDFAGQLNTGAPPMDLSQLVFDIGDELKARKGHHYTSVAALESWLQKVENASN -DLAPQGGVKMGDLWQYAVNSTAFVEDLEDRQRREHQEYDRQHHTYLQTVLGIFKNIAVGFLHLAKAVAVA -PTMTATGVISTLEKLNEVCDGLIAKASREEGLAMAIAFPLGAALGVFVGTHIFLGIYRVIGMVAGLAWSA -VKQIVELLFGSRKQRRAAYASNLSFEKQSNVKEGPVKAKANFTFKRIGEEQLGVPPKDPQQDYIYENTYK -LVVDDCNDPQSQVVGQVQFIEGNLAVMPEHFRRHLRERRSPGDVLWFLAVTPGGFKFKLTVETFLAFRGV -KLPGVDLEFVRFDVRTLKSHRSIMSYLLDERQMAALLKCTNTVVRLDVAELVHSKGGVYVQRTSHRSDCL -RYVSNMKIGGMDAECLVEYKAQTKEGDCGAPLCIAENRYYGGRSYIGFHVAGSTGVYRYGYATYVTLENA -QAAAKVLGCYRDRFHEDLEQRGINLQPVDAEEQSGIVGDGKLVSGSFLLIGKVDKPLSMAGKTALKASPL -QEDGIFGEPPTMPAILRPIRGPDGEIISPMVQGLKAYQSDLEYRDVALIDGIVELATKKHLEVTKYAPRF -LLTPEEAVVGVEGLKLKKIARDTSAGYPYRLDGTVGKKAFFGETGDYQLDGPEWEALRERALYVVDSAKQ -GIRLAHVFTDFLKDELRPLHKVESVATRVISGAPLDYVIAVRMYFGAFLASMFSSHTESGMAPGINHYTE -WHRLATRLLEKGDRVFGGDFSRFDSSEQPYVHMKILEYINRWYARNPDHSAEDDRVREILWLDLIHSRHL -SGDSHTLQYVVQWNKSLPSGHPLTTPVNSLYSLITLTACYVHATGDIKDMWDKVFINTFGDDNVCSVSET -VSEVFNQVTVSKLMKDIFNLTYTSDKKGAELIPYEDIEKVTFLKRSFKRDGHMGSGGWVGPLARDSFLYI -PYWYRNNRDPQGDLLRNIEHMLGELCLHEPDEWDKYYQPLFAWASYNDLTLPFSNREAARDWISTRTDVW -Y ->APG79006.1 hypothetical protein 1 [Shahe picorna-like virus 9] -MLCIMNTTNTEKSGTVSMTKFQARVNARSQREKDIIARYKARMEELRKREPKSSRLNRVKNAKSESFLEK -IMFSESSGDEAQYEMGFWPQGFSDAMRIARKVERAADSVADLASYFNGKIEELTALFKSTMRGYLWQVPL -FCLAFCIADKMGISLFILGGLCPLLMTYLSTFWTEHYEQPRHQGAEDVLSMLATLILSSIMPKNASSTVI -AETVLRRVGNFSKSAEGFRALFSTLIEFSEKIINGIADYFKADHVQFMDTTAKVLRNWMTKVDAFETICT -YREPTIAELRNAVELQGEGIALKNVAKTTPTIIALNKYLEKLGMLVSVRRGALNAAGCFRQEPAFCLLGG -ESGVGKTVLQRYIAVSALVFSGVLGNSEGIEQLWAKGASKYWNSYMGQLCLIWDDIFQVKKPASTEESEF -MFIIKAVSNFMLPLDFADVESKGRFAFTSPLIVASTNEMDVKSAASNFVRCPEAVSRRISDGYWVKVKDE -FKRPGTEFLDYTKWATAFAKAVESKKPGEQVEALIPSAWEFYSHDFEGQPCLGTTPKSINEIIMALADNL -KNRMIRHEADLKVSEKYCNAAKGINALSNYDAVAKAETSLKKPSTCQGLFTKITNNDGFMTSPVHQVGTW -DEESFVDSQDHFDDEEEDVQRDINGMIEDHTTFVALEERAVDARGNVLNRKPLSHNGLTVKAFVAAITKF -TEDKTNYARSTTSSTMNKLRNAIWGNTPSEMPMYDIDMESTTYTDIMVNKWLENHVMREAISEEVEDMKK -MEMTFFQRILSALCTFGEMIPVSLKKARDSLLKMVEPGVQFTYDQFIAFKAGNMSAWASLSTKIAFIAIA -VSVQSIMLSLMRKLVIATANFFYDCAVALLSAFGVDMEAKEQSHNPEGKKGLPRVEFVRAPTHQGTENHD -FTVRKVLSNCFAMTAIQGKDRTYLGSLQFFDGDLAAMPHHFWRQMTQKVQNDTVIEFTNADQDKYNFSMN -MRKFLSFPNLSYKESGLDLIFLKMDKRGIKAMKNIKQLLFSEAQMSEFTRISQQVTLHSVQSVTKADNAS -RIVISRMESPGLKYLSSFSVQGNEYVQTFQYTANTSQGDCGSPLLLTDSRYHKGIYLGMHFAGARAFTGS -RGYATVITREMVDQASEKLGCYTDNFVQDMSSMGITVSECDAQEQCGITGDNNLVDGSVTLLGKVDKPVN -SSGKTQLKLSPLGEAEIFGPCPKMPAILHPVNKDGTIIYPMIKSMDPYRTPHEWRDVPNLELCAEVLTRS -FSRATKDFPKIVLTPEEAAKGIPSMGVKAIPRDTSPGYPYRLEGSVGKKAWFGNEQEYDLNNPKWFALKK -RVISMRDQILEGKRPAVLYVGFLKDELRNKEKVAAVKTRYVSSCPQDYTLLCKMYFGAYIGARLQLNVKE -GFGPGMNPITDWSTMVDYLKQAGSNTFAGDFKSFDASQQPYIHQVILDHINAWYRMADGWKEEDEKVRNM -LWLELIHSRHLVGKSHEAKYIVQWNKSLPSGHPLTTIVNSLFTVIVIGTAYVKATGDIEGLEEHLKTVPF -GDDNVNSVFDKMTELFNQVVLAEELDETFGLTYTDDVKDAELKPFKDIEECTFLQRGIIRDPTAPGGWRA -PLAEGSYLWSTYWYRSNKACEDDMFNNIKSMQGEMSQHPQEVWDRRMSQLLPWLKENNLLHKLPFQSREA -ALQWRMAHVDTWV diff --git a/seq/clusters_seq/cluster_216 b/seq/clusters_seq/cluster_216 deleted file mode 100644 index 0328916..0000000 --- a/seq/clusters_seq/cluster_216 +++ /dev/null @@ -1,355 +0,0 @@ ->NP_758892.1 env protein [Simian immunodeficiency virus SIV-mnd 2] -MLRYIILGIIVGLGLGNQWVTVYYGTPKWHKAETHLFCATENDSLWVTTSCVPSLLHYEEQPIPNITWNF -TGPMEENEVVMQAWGAISSMIDAVLKPCVKLTPYCVKMQCTKGKDLATPIPTTSTTTTTTTTKTVANTTE -LDIDTNNTETTTQQNRVCKFNTTGLCRDCKLEIEENFRYEDVTCTNGTNNTYSCYMTQCNTSVITQDCNK -ASTDEIKFRLCAPPGYVLLRCLERLNVSKKCTNITAVQCTQPLPATTSTMCGSSGTKHDYNELIQTNTKK -GKEEFHDHKYVYRVDEKYNLQVVCRRKGNRSVISTPSATGLIFYSGLEPGKNLKKGMCQLKGQWGIAMHD -LAIELRKIDSSIWRNVTKGYCKGLKRKENRTGCALKTIKVSDYTTKGEPGAETIMLLCGGEYFFCNWTKI -WRTWNDQNSSVWYPWMSCNIRQIIDDWHKVGKKIYMPPVSGFNNEIRCSNDVTEMFFEVQKTEEGYIIKF -VPQDEVQNRFTAVGAHYQLVKVDPIGFAPTEVARYHLPEARQKRGAVLLGMFGLLGLAGSTMGSVAVALT -VQSQALLNGIVEQQKVLLSLIDQHSELLKLTIWGVKNLQARLTALEDYVADQARLSMWGCSFAQVCHTHV -PWPNDSITPNWTSETWLEWDKRVTALTDNMTVNLQKAYELEQKNIYELEKLGDWTSWASWFDFTWWLKYV -KIGLLIVIVIIVLRILACLWSVLGKFRQGYRPLPYVFKGDYLRPHNLKQPGKEREEEPDSEKQSTKSDSS -KVEFGKPWSKEQIRDWLKTSRGYVWLKNLQAVIEYGWQELKTAGGKIFKVLQGYAQGLWSRGHQWGLSTA -ACFRAIARGIINIPRRIRQGAEVLLN - ->NP_056844.1 env polyprotein [Human immunodeficiency virus 2] -MEPGRNQLFVVILLTSACLVYCSQYVTVFYGIPAWKNASIPLFCATKNRDTWGTIQCLPDNDDYQEIILN -VTEAFDAWNNTVTEQAVEDVWHLFETSIKPCVKLTPLCVAMNCSRVQGNTTTPNPRTSSSTTSRPPTSAA -SIINETSNCIENNTCAGLGYEEMMQCEFNMKGLEQDKKRRYKDTWYLEDVVCDNTTAGTCYMRHCNTSII -KESCDKHYWDAMRFRYCAPPGFALLRCNDTNYSGFEPKCTKVVAASCTRMMETQTSTWFGFNGTRAENRT -YIYWHGRDNRTIISLNKYYNLTMRCKRPGNKTVLPITLMSGLVFHSQPINTRPRQAWCRFGGRWREAMQE -VKQTLVQHPRYKGINDTGKINFTKPGAGSDPEVAFMWTNCRGEFLYCNMTWFLNWVEDKNQTRRNYCHIK -QIINTWHKVGKNVYLPPREGELACESTVTSIIANIDIDKNRTHTNITFSAEVAELYRLELGDYKLIEITP -IGFAPTDQRRYSSTPVRNKRGVFVLGFLGFLATAGSAMGARSLTLSAQSRTLLAGIVQQQQQLLDVVKRQ -QEMLRLTVWGTKNLQARVTAIEKYLKHQAQLNSWGCAFRQVCHTTVPWVNDSLSPDWKNMTWQEWEKQVR -YLEANISQSLEEAQIQQEKNMYELQKLNSWDILGNWFDLTSWVKYIQYGVHIVVGIIALRIAIYVVQLLS -RFRKGYRPVFSSPPGYLQQIHIHKDRGQPANEGTEEDVGGDSGYDLWPWPINYVQFLIHLLTRLLIGLYN -ICRDLLSKNSPTRRLISQSLTAIRDWLRLKAAQLQYGCEWIQEAFQAFARTTRETLAGAWGWLWEAARRI -GRGILAVPRRIRQGAELALL - ->NP_054372.1 envelope protein [Simian immunodeficiency virus] -MGRLLIKILIIAIGISIGIGNLYVTVFYGIPVWKNSTVQAFCMTPNTNMWATTNCIPDDHDNTEVPLNIT -EAFEAWDNPLVKQAESNIHLLFEQTMRPCVKLSPICIKMSCVELNGTATTKATTTATTTMTTPCQNCSTE -QIEGEMAEEPASNCTFAIAGYQRDVKKNYSMTWYDQELVCNNKTGSEKGSKDCYMIHCNDSVIKEACDKT -YWDTLRVRYCAPAGYALLKCNDKDYRGFAPKCKNVSVVHCTRLINTTITTGIGLNGSRSENRTEIWQKGG -NDNDTVIIKLNKFYNLTVRCRRPGNKTVLPVTIMAGLVFHSQKYNTRLKQAWCHFQGDWKGAWKEVREEV -KKVKNLTEVSIENIHLRRIWGDPESANFWFNCQGEFFYCKMDWFINYLNNRTEDAEGTNRTCDKGKPGPG -PCVQRTYVACHIRQVVNDWYTVSKKVYAPPREGHLECNSSVTALYVAIDYNNKSGPINVTLSPQVRSIWA -YELGDYKLVEITPIGFAPTDVRRYTGPTREKRVPFVLGFLGFLGAAGTAMGAAATTLTVQSRHLLAGILQ -QQKNLLAAVEQQQQLLKLTIWGVKNLNARVTALEKYLEDQARLNSWGCAWKQVCHTTVPWKYNNTPKWDN -MTWLEWERQINALEGNITQLLEEAQNQESKNLDLYQKLDDWSGFWSWFSLSTWLGYVKIGFLVIVIILGL -RFAWVLWGCIRNIRQGYNPLPQIHIHSSAERPDNGGGQDRGGESSSSKLIRLQEESSTPSRINNWWLNFK -SCSLRIRTWCYNICLTLLIFIRTAVGYLQYGLQQLQEAATGLAQALARAAREAWGRLGAIVRSAYRAVIN -SPRRVRQGLEKVLG - ->sp|Q89607.1|ENV_HV2EH RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MAHVNNYLLVTLLLISIYGYMGKNFVTVFYGIPAWKNASIPLFCATRNRDTWGTVQCLPDNDDYTEIQLN -ITEAFDAWDNTVTDQATKDVWSLFETSIKPCVKLTPLCVTMKCNKTWSSASKETTTSSASLRSSTQTLLN -EDSKCIQNDSCAGIGLEEMIDCQFKMTGLKRDESKQYKDTWYKQDLVCEKGTRSNESKCYIKTCNTSIIQ -ESCDKHYWDSLRFRYCAPPGFALLRCNDTKYSGFMPNCSKVVVSLYRMMETQTSTWFGFNGTRAENRTYI -YWHGKDNRTIISLNSYYNLTMHCKRPGNKMVVPIRTVSGILFHSQPINKRPKQAWCWFKGNWTEAIQEVK -ETIKNHPRYSGTTNISQIRLAEHARSSDPEVRYMWTNCRGEFLYCNMTFFLNWVENRTGLKRNYASCHIR -QIVNTWHKIGRNVYLPPREGELSCNSTVTSLIANIDWIDKNLTNITVSAEVSELYKLELGDYKLVEITPI -GFAPTSIKRYSSVTPRNKRGVLVLGFLGFLATAGSAMGAASLTLSAQSRTLLAGIVQQQQQLVDVVKRQQ -ELLRLTVWGTKNLQARVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWVNESLKPDWNNMTWQQWERQVRF -LDANITKLLEEAQIQQEKNMYELQKLNQWDIFSNWFDFTSWMAYIRLGLYIVIGIVVLRIAIYIIQMLAR -LRKGYRPVFSSPPSYTQQIPIRKDRGQPANEETEEGGGNNEGYRSWPWQIEYIHFPIRQLRDLLIWLYSG -CRTLLSKTFQTLQPVLQPLRLPPAYLRYGISWFQEAIQAAARAAGETLASAARTSWGVLRRAAGEIIAIP -RRIRQGAELALL - ->sp|Q76638.1|ENV_HV2UC RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MAHTSNHLFILLLLISVYGFLGHKKNYVTVFYGIPAWRNATVPLFCATTNRDTWGTVQCLPDNGDYTEIS -VNITEAFDAWNNTVTEQAVDDVWSLFETSIKPCVKLTPLCVAMRCNNTGTNTTTKPITTPITTTKPSENL -LNDTSPCIKNDTCPGIGLENTVDCYFNMTGLRRDEKKQYKDTWYEKDLECNGNSTSTICYMRTCNTSVIQ -ESCDKHYWDSLRFRYCAPPGYALLRCNDTNYSGFMPKCSKVVVSSCTRMMETQTSTWFGFNGTRTENRTY -MYWHSKDNRTIISLNKYYNLTMHCRRPGNKTVIPITIMSGLNFHSQPLNTRPRQAWCWFKGNWIEAIREV -KETIIKHPRYKGTNNTERIRLVGPSAGSDPEVRHMWTNCRGEFFYCNMTWFLNWVENRTGTTQKNYVTCH -IKQIVNTWHKVGKYVYLPPREGTLSCNSSVTSLIANIDVYYDGNDTKTNITMSAEVGELYRLELGDYKLV -EITPIGFAPTEIKRYSSTTPRNKRGVMVLGFLGLLAMAGSAMGATSLTLSAQSRTLLAGIVQQQQQLLDV -VKRQQELLRLTVWGTKNLQTRVTAIEKYLKDQALLNSWGCAFRQVCHTTVPWPNETLTPDWENMTWQQWE -KRVNFLDANITALLEEAQIQQERNMYELQKLNSWDVFGNWFDFTSWMAYIRLGLYVVAGLIVLRIVIYIM -QMLARLRKGYRPVFSSPPSYTQQIPIRKHRGQPANEETEDEGGNEGAYRSWPWQIEYAHFLIRQLRNLLI -WLYNGCRNLLLKTSQILQPALQPLRLSLAYLQYGISWFQEAIQAATRAARETLANTGRALWKALRRTAEA -IIAIPRRIRQGLELALL - ->sp|Q74126.1|ENV_HV2KR RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MDSRNQLIVAILLTSACLIYCAQYVTVFYGIPAWKNASIPLFCATRNRDTWGTIQCLPDNDDYQEIPLNV -TEAFDAWNNTVTEQAVEDVWNLFETSVKPCVKLTPLCVQMECNSTSTESSNSTSEGSTVPEILNETTSCI -TNNSCSDLGSEEVVDCRFNMTGLQLDKPQQYSETWYSKDVVCDTTNGTSRKCYMNHCNTSVITESCDKHY -WDAMRFRYCAPPGLCLLRCNDTNYSGFEPKCPKVVAATCTRMMETQTSTWFGFNGTRAENRTYIYWHGRD -NRTIISLNTHYNLTMHCKRPGNKSVLPITLRSGRVFHSRPIINERPKQAWCWFGGDWKKAMQEVKQTLVK -HPRYRGTNDTQKINFTQPGKGSDAEVVYMWTNCRGEFLYCNMTRFLNWIENRAHPQRNYAPCHIRQIINT -WHRVGQNIYLPPREGELVCNSTVTSIIANIDMFDNQTSITFSAEVAELYRLELGDYKLVEITPIGFAPTS -EKRYSSAPQRNKRGVFVLGVLGFLATAGSAMGAASLTLSAHPGLYWAGIVQQQQQLLDVVKRQQEMLRLT -VWGTKNLQTRVTAIEKYLRDQARLNSWGCAFRQVCYTTVLWENNSIVPDWNNMTWQEWEQQTRDLEANIS -RSLEQAQIQQEKNMYELQKLNSWDVFGNWFDLTSWIKYIQYGVYVIIGIIALRIVIYVVQLLSRLRKGYR -PVFSSPPGYIQQIHIHKDWEQPDREETDEDAGNSIGDSSWPWPIAYIHFLIRQLIRLLTGLYSVCKDLLS -RSFPTLQLIFQSLQRALTTIRDWLRLTIAYLQYGCEWIQEVLQVLARTTRETLASAWRDLWGAMGRIGRG -ILAVPRRIRQGAELALL - ->sp|P15831.2|ENV_HV2D2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MAYFSSRLPIALLLIGISGFVCKQYVTVFYGIPAWRNATVPLICATTNRDTWGTVQCLPDNGDYTEIRLN -ITEAFDAWDNTVTQQAVDDVWRLFETSIKPCVKLTPLCVAMNCSKTETNPGNASSTTTTKPTTTSRGLKT -INETDPCIKNDSCTGLGEEEIMQCNFSMTGLRRDELKQYKDTWYSEDLECNNTRKYTSRCYIRTCNTTII -QESCDKHYWDSLRFRYCAPPGFFLLRCNDTNYSGFMPNCSKVVASSCTRMMETQSSTWFGFNGTRAENRT -YIYWHEKDNRTIISLNTYYNLSIHCKRPGNKTVVPIRTVSGLLFHSQPINKRPRQAWCWFKGNWTEAIKE -VKRTIIKHPRYKGGAKNITSVKLVSEHGKGSDPETTYMWTNCRGEFLYCNMTWFLNWVENKTNTTRRNYA -PCHIRQIINTWHKVGKNIYLPPREGELSCNSTVTSLIANINSDNSTTNISVSAEVSELYRLELGDYKLVE -ITPIGFAPTDVRRYSSVKPRNKRGVMVLGFLGFLAMAGSAMGATSLTLSAQSRTLLAGIVQQQQQPVDVV -KRQQELLRLTVWGTKNLQARVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWPNETLTPNWNNMTWQQWEK -QVHFLDANITALLEEAQIQQEKNMYELQKINSWDVFGNWFDLTSWIKYIHLGLYIVAGLVVLRIVVYIVQ -MLARLRKGYRPVFSSPPSYTQQIPIRKDRGQPANEETEEGGGNDGDYRSWPWQIEYIHFLLRQLRNLLIW -LYNGCRTLLLKTFQILHQISTNLQPLRLPVAYLQYGISWFQEALRAAARATGETLASAGETLWEALRRAA -RAIIAIPRRIRQGLELTLL - ->sp|P32536.1|ENV_HV2S2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MCGRNQLFVASLLASACLIYCVQYVTVFYGVPVWRNASIPLFCATKNRDTWGTIQCLPDNDDYQEIALNV -TEAFDAWNNTVTEQAVEDVWSLFETSIKPCVKLTPLCVAMRCNSTTAKNTTSTPTTTTTANTTIGENSSC -IRTDNCTGLGEEEMVDCQFNMTGLERDKKKLYNETWYSKDVVCESKDTKKEKTCYMNHCNTSVITESCDK -HYWDTMRFRYCAPPGFALLRCNDTNYSGFEPNCSKVVAATCTRMMETQTSTWFGFNGTRAENRTYIYWHG -RDNRTIISLNKFYNLTILCKRPGNKTVVPITLMSGLVFHSQPINRRPRQAWCWFKGEWKEAMKEVKLTLA -KHPRYKGTNDTEKIRFIAPGERSDPEVAYMWTNCRGEFLYCNMTWFLNWVENRTNQTQHNYVPCHIKQII -NTWHKVGKNVYLPPREGQLTCNSTVTSIIANIDGGENQTNITFSAEVAELYRLELGDYKLIEVTPIGFAP -TSIKRYSSAPVRNKRGVFVLGFLGFLTTAGAAMGAASLTLSAQSRTSLAGIVQQQQQLLDVVKRQQEMLR -LTVWGTKNLQARVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWVNDTLTPDWNNITWQEWEQRIRNLEAN -ISESLEQAQIQQEKNMYELQKLNSWDVFSNWFDLTSWIKYIQYGVYIVVGIIVLRMVIYVVQMLSRLRKG -YRPVFSSPPAYS - ->sp|P19503.1|ENV_SIVSP RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MGCLGNQLLIALLLLSASGIYCVQYVTVFYGIPAWRNATVPLFCATKNRDTWGTTQCLPDNGDYSELAIN -VTEAFDAWDNTVTEQAIEDVWNLFETSIKPCVKLTPLCITMRCNKSETDRWGLTGTPAPTTTQTTTTQAS -TTPTSPITAKVVNDSDPCIKINNCTGLEQEPMVSCKFNMTGLKRDKKREYNETWYSRDLVCEQNSNETDS -KCYMNHCNTSVIQESCDKHYWDAIRFRYCAPPGYALLRCNDSNYSGFAPNCTKVVVSSCTRMMETQTSTW -FGFNGTRAENRTYIYWHGRSNRTIISLNKYYNLTMRCRRPGNKTVLPVTIMSGLVFHSQPINERPKQAWC -WFGGEWKKAIQEVKETLVKHPRYTGTNKTEQIKLTAPGGGDPEVTFMWTNCRGEFLYCKMNWFLNWVENI -QNGSRWTSQNQKERQRRNYVPCHIRQIINTWHKVGKNVYLPPREGDLTCNSTVTSLIAEIDWINGNETNI -TMSAEVAELYRLELGDYKLVEITPIAFAPTSVKRYTTTGASRNKRGVFVLGFLGFLATAGSAMSAASVTL -SAQSRTLLAGIVQQQQQLLDVVKRQQELLRLTVWGAKNLQTRVTAIEKYLKDQAQLNSWGCAFRQVCHTT -VPRPNDTLTPNWNNMTWQEWEKQVNFLEANITQSLEEAQIQQEKNTYELQKLNSWDIFGNWFDLTSWIKY -IQYGVLIVLGVIGLRIVIYVVQMLARLRQGYRPVFSSPPAYVQQIPIQTGQELPTKEGEEGDGGGRGGNR -SWPWQIEYIHFLIRQLIRLLTWLFSSCRDWLLRNCQTLQPVLQSLSRTLQRAREVIRVQIAYLQYGWRYL -QEAAQAWWKFVRETLASAWRDLWETLGRVGRGILAIPRRIRQGLELTLL - ->sp|P12492.1|ENV_SIVS4 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MGCLGNQLLIALLLVSVLEICCVQYVTVFYGVPAWKNATIPLFCATKNRDTWGTTQCLPDNDDYSELAIN -VTEAFDAWDNTVTEQAIEDVWNLFETSIKPCVKLTPLCIAMRCNKTETDRWGLTGNAGTTTTAITTTATP -SVAENVINESNPCIKNNSCAGLEQEPMIGCKFNMTGLNRDKKKEYNETWYSRDLICEQSANESESKCYMH -HCNTSVIQESCDKHYWDAIRFRYCAPPGYALLRCNDSNYLGFAPNCSKVVVSSCTRMMETQTSTWFGFNG -TRAENRTYIYWHGKSNRTIISLNKYYNLTMRCRRPENKTVLPVTIMSGLVFHSQPINERPKQAWCWFEGS -WKKAIQEVKETLVKHPRYTGTNDTRKINLTAPAGGDPEVTFMWTNCRGEFLYCKMNWFLNWVEDRDQKGG -RWKQQNRKEQQKKNYVPCHIRQIINTWHKVGKNVYLPPREGDLTCNSTVTSLIAEIDWINSNETNITMSA -EVAELYRLELGDYKLIEITPIGLAPTSVRRYTTTGASRNKRGVFVLGFLGFLATAGSAMGAASVTLSAQS -RTLLAGIVQQQQQLLDVVKRQQELLRLTVWGTKNLQTRVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWP -NETLVPNWNNMTWQEWERQVDFLEANITQLLEEAQIQQEKNMYELQKLNSWDIFGNWFDLTSWIRYIQYG -VLIVLGVIGLRIVIYVVQMLARLRQGYRPVFSSPPAYVQQIPIHKGQEPPTKEGEEGDGGDRGGSRSWPW -QIEYIHFLIRQLIRLLTWLFSSCRDWLLRSYQILQPVLQSLSTTLQRVREVIRIEIAYLQYGWRYFQEAV -QAWWKLARETLASAWGDIWETLGRVGRGILAIPRRIRQGLELTLL - ->sp|P22380.1|ENV_SIVGB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32 -MSTGNVYQELIRRYLVVVKKLYEGKYEVSRSFSYTMFSLLVGIIGKQYVTVFYGVPVWKEAKTHLICATD -NSSLWVTTNCIPSLPDYDEVEIPDIKENFTGLIRENQIVYQAWHAMGSMLDTILKPCVKINPYCVKMQCQ -ETENVSATTAKPITTPTTTSTVASSTEIYLDVDKNNTEEKVERNHVCRYNITGLCRDSKEEIVTNFRGDD -VKCENNTCYMNHCNESVNTEDCQKGLLIRCILGCVPPGYVMLRYNEKLNNNKLCSNISAVQCTQHLVATV -SSFFGFNGTMHKEGELIPIDDKYRGPEEFHQRKFVYKVPGKYGLKIECHRKGNRSVVSTPSATGLLFYHG -LEPGKNLKKGMCTFKGRWGLALWSLAKELNKLNDSIKVNQTCKNFTSTGEENKQNTDKQKEFAKCIKTLK -IDNYTTSGDRAAEMMMMTCQGEMFFCNVTRIMRAWNDPNEKKWYPYASCQIRQIVDDWMQVGRKIYLPPT -SGFNNHIRCTHRVTEMYFEMQKIDSNETKMQIKFLPPSETSNQFVAYGAHYKLVKIMPIGIAPTDVKRHT -LPEHHKEKRGAVILGILGLLSLAGSAMGSVSVALTVQSQSLVTGIVEQQKQLLKLIEQQSELLKLTIWGV -KNLQTRLTSLENYIKDQALLSQWGCSWAQVCHTSVEWTNTSITPNWTSETWKEWETRTDYLQQNITEMLK -QAYDREQRNTYELQKLGDLTSWASWFDFTWWVQYLKWGVFLVLGIIGLRILLALWNTISRFRQGYRPVFS -QDCQQNLYRKRPDNGEEESNSLELGEHNSENLKEESLNRSLIEDLTSFARE - ->sp|P27977.1|ENV_SIVVG RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MKLTLLIGILLIGIGVVLNTRQQWVTVFYGVPVWKNSSVQAFCMTPTTRLWATTNSIPDDHDYTEVPLNI -TEPFEAWADRNPLVAQAGSNIHLLFEQTLKPCVKLSPLCIKMSCVELNSSEPTTTPKSTTASTTNITAST -TTLPCVQNKTSTVLESCNETIIEKELNEEPASNCTFAMAGYVRDQKKKYSVVWNDAEIMCKKGNNSNREC -YMIHCNDSVIKEACDKTYWDELRLRYCAPAGFALLKCNDYDYAGFKTNCSNVSVVHCTNLINTTVTTGLL -LNGSYSENRTQIWQKHRVSNDSVLVLFNKHYNLTVTCKRPGNKTVLPVTIMAGLVFHSQRYNTRLRQAWC -HFQGNWRGAWKEVKNEIVKLPKDRYQGTNDTEEIYLQRLFGDPEAANLWFNCQGEFFYCKMDWFLNYLNN -RTVDPDHNPCNGTKGKGKAPGPCAQRTYVACHIRSVINDWYTLSRKTYAPPREGHLQCTSTVTGMSVELN -YNSKNRTNVTLSPQIETIWAAELGRYKLVEITPIGFAPTEVRRYTGGHDRTKRVPFVLGFLGFLGAAGTA -MGAAATALTVQSQHLLAGILQQQKNLLAAVEAQQQMLKLTIWGVKNLNARVTALEKYLEDQARLNAWGCA -WKQVCHTTVPWQWNNRTPDWNNMTWLEWERQISYLEGNITTQLEEARAQEEKNLDAYQKLSSWSDFWSWF -DFSKWLNILKIGFLDVLGIIGLRLLYTVYSCIARVRQGYSPLSPQIHIHPWKGQPDNAEGPGEGGDKRKN -SSEPWQKESGTAEWKSNWCKRLTNWCSISSIWLYNSCLTLLVHLRSAFQYIQYGLGELKAAAQEAVVALA -RLAQNAGYQIWLACRSAYRAIINSPRRVRQGLEGILN - ->sp|P27757.1|ENV_SIVV1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MTKFLGIFIVLGIGIGIGISTKQQWITVFYGVPVWKNSSVQAFCMTPTTRLWATTNCIPDDHDYTEVPLN -ITEPFEAWADRNPLVAQAGSNIHLLFEQTLKPCVKLSPLCIKMNCVELKGSATSTPATSTTAGTKLPCVR -NKTDSNLQSCNDTIIEKEMNDEAASNCTFAMAGYIRDQKKNYSVVWNDAEIFCKRSTSHNGTKECYMIHC -NDSVIKEACDKTYWDELRLRYCAPAGYALLKCNDWDYAGFKPECSNVSVVHCTTLMNTTVTTGLLLNGSY -SENRTQIWQKHGVSNDSVLILLNKHYNLTVTCKRPGNKTVLPVTIMAGLVFHSQKYNTRLRQAWCHFQGN -WKGAWKEVQEEIVKLPKERYQGTNDTNKIFLQRQFGDPEAANLWFNCQGEFFYCKMDWFLNYLNNLTVDA -DHNHCKNNAGKGRSPGPCVQRTYVACHIRSVINDWYTISKKTYAPPREGHLQCTSTVTGMTVELNYNNQN -RTNVTLSPQIETIWAAELGRYKLVEITPIGFAPTEVRRYTGGQERQKRVPFVLGFLGFLGAAGTAMGAAA -TALTVQSQHLLAGILQQQKNLLAAVGAQQQMLKLTIWGVKNLNARVTALEKYLADQARLNAWGCAWKQVC -HTTVPWTWNNTPEWNNMTWLEWEKQIEGLEGNITKQLEQAREQEEKNLDAYQKLSDWSSFWSWFDFSKWL -NILKIGFLAVIGVIGLRLLYTLYTCIARVRQGYSPLSPQIHIHPWKGQPDNAGEPEEGGRTGKSKSTH - ->sp|P04577.1|ENV_HV2RO RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MMNQLLIAILLASACLVYCTQYVTVFYGVPTWKNATIPLFCATRNRDTWGTIQCLPDNDDYQEITLNVTE -AFDAWNNTVTEQAIEDVWHLFETSIKPCVKLTPLCVAMKCSSTESSTGNNTTSKSTSTTTTTPTDQEQEI -SEDTPCARADNCSGLGEEETINCQFNMTGLERDKKKQYNETWYSKDVVCETNNSTNQTQCYMNHCNTSVI -TESCDKHYWDAIRFRYCAPPGYALLRCNDTNYSGFAPNCSKVVASTCTRMMETQTSTWFGFNGTRAENRT -YIYWHGRDNRTIISLNKYYNLSLHCKRPGNKTVKQIMLMSGHVFHSHYQPINKRPRQAWCWFKGKWKDAM -QEVKETLAKHPRYRGTNDTRNISFAAPGKGSDPEVAYMWTNCRGEFLYCNMTWFLNWIENKTHRNYAPCH -IKQIINTWHKVGRNVYLPPREGELSCNSTVTSIIANIDWQNNNQTNITFSAEVAELYRLELGDYKLVEIT -PIGFAPTKEKRYSSAHGRHTRGVFVLGFLGFLATAGSAMGAASLTVSAQSRTLLAGIVQQQQQLLDVVKR -QQELLRLTVWGTKNLQARVTAIEKYLQDQARLNSWGCAFRQVCHTTVPWVNDSLAPDWDNMTWQEWEKQV -RYLEANISKSLEQAQIQQEKNMYELQKLNSWDIFGNWFDLTSWVKYIQYGVLIIVAVIALRIVIYVVQML -SRLRKGYRPVFSSPPGYIQQIHIHKDRGQPANEETEEDGGSNGGDRYWPWPIAYIHFLIRQLIRLLTRLY -SICRDLLSRSFLTLQLIYQNLRDWLRLRTAFLQYGCEWIQEAFQAAARATRETLAGACRGLWRVLERIGR -GILAVPRRIRQGAEIALL - ->sp|P05883.1|ENV_HV2NZ RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MKGSKNQLLIAIVLASAYLIHCKQFVTVFYGIPAWRNASIPLFCATKNRDTWGTIQCLPDNDDYQEITLN -VTEAFDAWNNTVTEQAVEDVWNLFETSIKPCVKLTPLCVAMNCTRNMTTWTGRTDTQNITIINDTSHARA -DNCTGLKEEEMIDCQFSMTGLERDKRKQYTEAWYSKDVVCDNNTSSQSKCYMNHCNTSVITESCDKHYWD -AMRFRYCAPPGFALLRCNDTNYSGFAPNCSKVVAATCTRMMETQTSTWFGFNGTRAENRTYIYWHGKDNR -TIISLNNFYNLTMHCKRPGNKTVLPITFMSGFKFHSQPVINKKPRQAWCWFEGQWKEAMQEVKETLAKHP -RYKGNRSRTENIKFKAPGRGSDPEVTYMWTNCRGESLYCNMTWFLNWVENRTGQKQRNYAPCRIRQIINT -WHRVGKNLYLPPREGELTCNSTVTSIIANIDAGDQTNITFSAEAAELYRLELGDYKLVEITPIGFAPTSV -KRYSSAHQRHTRGVFVLGFLGFLATAGSAMGAASLTLSAQSRTLLAGIVQQQQQLLDVVKRQQEMLRLTV -WGTKNLQARVTAIEKYLKDQAQLNSWGCAFRQVCHTSVPWVNDTLTPDWNNMTWQEWEQKVRYLEANISQ -SLEQAQIQQEKNMYELQKLNSWDVFTNWLDFTSWVRYIQYGVYVVVGIVALRIVIYIVQMLSRLRKGYRP -VFSSPPGYIQQIHIHKDQEQPAREETEEDVGSNGGDRSWPWPIAYIHFLIRLLIRLLTGLYNICRDLLSR -ISPILQPIFQSLQRALTAIRDWLRLKAAYLQYGCEWIQEAFQALARTTRETLAGAGRDLWRALQRIGRGI -LAVPRRIRQGAELALL - ->sp|P12449.1|ENV_HV2SB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MSGKIQLLVAFLLTSACLIYCTKYVTVFYGVPVWKNASIPLFCATKNRDTWGTIQCLPDNDDYQEIPLNV -TEAFDAWDNIVTEQAVEDVWNLFETSIKPCVKLTPLCVTMNCNASTESAVATTSPSGPDMINDTDPCIQL -NNCSGLREEDMVECQFNMTGLELDKKKQYSETWYSKDVVCESDNSTDRKRCYMNHCNTSVITESCDKHYW -DAMRFRYCAPPGFVLLRCNDTNYSGFEPNCSKVVASTCTRMMETQPSTWLGFNGTRAENRTYIYWHGRDN -RTIISLNKYYNLTILCRRPENKTVVPITLMSGRRFHSQKIINKKPRQAWCRFKGEWREAMQEVKQTLVKH -PRYKGTNDTNKINFTAPEKDSDPEVAYMWTNCRGEFLYCNMTWFLNWVENKTGQQHNYVPCHIEQIINTW -HKVGKNVYLPPREGELSCESTVTSIIANIDVDGDNRTNITFSAEVAELYRLELGDYKLVEVTPIGFAPTA -EKRYSSAPGRHKRGVLVLGFLGFLTTAGAAMGAASLTLSAQSRTLFRGIVQQQQQLLDVVKRQQEMLRLT -VWGTKNLQARVTAIEKYLADQARLNSWGCAFRQVCHTTVPWVNDTLTPEWNNMTWQEWEHKIRFLEANIS -ESLEQAQIQQEKNMYELQKLNSWDVFGNWFDLTSWIKYIQYGVMIVVGIVALRIVIYVVQMLSRLRKGYR -PVFSSPPGYIQQIHIHKDWEQPDREETEEDVGNDVGSRSWPWPIEYIHFLIRLLIRLLTRLYNSCRDLLS -RLYLILQPLRDWLRLKAAYLQYGCEWIQEAFQALARVTRETLTSAGRSLWGALGRIGRGILAVPRRIRQG -AEIALL - ->sp|P18040.1|ENV_HV2G1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MCGKSLLCVASLLASAYLVYCTQYVTVFYGVPVWRNASIPLFCATKNRDTWGTIQCKPDNDDYQEITLNV -TEAFDAWDNTVTEQAVEDVWSLFETSIKPCVKLTPLCVAMSCNSTTNNTTTTGSTTGMSEINETSPSYSD -NCTGLGKEEIVNCQFYMTGLERDKKKQYNETWYSKDVVCESNNTKDGKNRCYMNHCNTSVITESCDKHYW -DAIKFRYCAPPGYALLRCNDTNYSGFEPKCSKVVASTCTRMMETQTSTWFGFNGTRAENRTYIYWHGRDN -RTIISLNKYYNLSIHCKRPGNKTVVPITLMSGLVFHSQPINTRPRQAWCWFKGKWREAMQEVKQTLIKHP -RYKGTNDTKNINFTKPGRGSDPEVAYMWTNCRGEFLYCNMTWFLNWVENRPNQTQHNYAPCHIRQIINTW -HKVGKNVYLPPREGQLTCNSTVTSIIANIDVNSNQTNITFSAEVAELYRLELGDYKLIEVTPIGFAPTRE -KRYSSAPVRNKRGVFVLGFLGFLATAGSAMGAASLTLSAQSRTLLAGIVQQQQQLLDVVKRQQEMLRLTV -WGTKNLQARVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWVNDSLSPDWNNMTWQEWEKQVRYLEANISQ -SLEQAQIQQEKNMYELQKLNSWDVFGNWFDLTSWIKYIQYGVYIVVGVIVLRIAIYIVQLLSRLRKGYRP -VFSSPPGYLQQIHIHTDRGQPANEGTEEDDRDDDGYDLPWPINYIHFLIHLLTRLLTGLYKICRDLLSTN -SPTHRLISQNLTAIRDWLRLKAAYLQYGGEWIQEAFQAFAKTTRETLASAWGGLCAAVQRVGRGILAVPR -RIRQGAEIALL - ->sp|P24105.1|ENV_HV2CA RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MERGRNQLLIAILLASACLIYCRQQYVTVFYGVPAWKNASIPLFCATKNRDTWGTIQCLPDNDDYQEIPL -NVTEAFDAWDNTITEQAIEDVWNLFETSIKPCVKLTPLCVAMKCNISTSDTTMIRTTTPSTAKEAPISDN -SPCIRTNNCSGLEEEKIVKCHFNMTGLERDKKKQYNETWYSSDVVCDNSTDQTTNETTCYMNHCNTSVIT -ESCDKHYWDAMRFRYCAPPGFAILRCNDTKYSGFAPNCSKVVASTCTRMMETQTSTWFGFNGTRAENRTY -IYWHGKDNRTIISLNKHYNLSMYCRRPGNKTVVPITLMSGQRFHSRPIINKRPRQAWCWFKGNWTEAMQE -VKQTLAEHPRYKGTKNITDITFKAPERGSDPEVTYMWSNCRGEFFYCNMTWFLNWVENKPNTTKRNYAPC -HIRQIINTWHKVGKNVYLPPREGELTCNSTVTSIIANIDERDNQTTNITFSADVAELYRLELGDYKLVEI -TPIGFAPTSQKRYSPAHGRPKRGAFVLGFLGFLTTAGVAMGTASLTLSAQSRTLLAGIVQQQQQLLDVVK -RQQELLRLTVWGTKILQARVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWANESLTPDWNNMTWQEWEQK -VRYLEANISQSLEEAQLQQEKNMYELQKLNNWDVFTNWFDLTSWISYIQYGVYIVVGIIVLRIVIYVVQM -LSRLRKGYRPVFSSSPGYIQQIHIHKDPEQPASEETEEDVGGNGGDRSWPWQIEYIHFLIRQLIRLLTGL -YNICRNLLSRIFQTLQPILQNLRDWLRPKVAFLQYGCEWIQEAFQAAARAARETLAGACRDVWGMLQRIG -RGILAVPRRIRQGAELALL - ->sp|P17755.2|ENV_HV2D1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MEPGRNQLLVAILLTSACLIYCKQYVTVFYGIPAWRNASIPLFCATKNRDTWGTIQCLPDNDDYQEITLN -VTEAFDAWDNTVTEQAIEDVWRLFETSIKPCVKLTPLCVAMNCNITSGTTATPSPPNITIIDENSTCIGD -NNCTGLGKEEVVECEFNMTGLEQDKKRKYNDAWYSRDVVCDKTNGTGTCYMRHCNTSVIKESCDKHYWDA -MKFRYCAPPGFALLRCNDTNYSGFEPKCSKVVAASCTRMMETQTSTWFGFNGTRAENRTYIYWHGKDNRT -IISLNKYYNLTMHCKRPGNKTVVPITLMSGRRFHSRPVYNKKPGQAWCWFQGNWIEAMREVKQTLAKHPR -YGGTNDTGKINFTKPGIGSDPEVTYMWTNCRGEFLYCNMTWFLNWVENKTNQTHGNYAPCHIRQIINTWH -KVGTNVYLPPREGELTCNSTVTSIIANIDSDGNQTNITFSAEVAELYRLELGDYKLIEVTPIPFAPTKEK -RYSSAPVRNKRGVFVLGFLGFLATAGSAMGGASLTLSAQSRTLLAGIVQQQQQLLDVVKRQQEMLRLTVW -GTKNLQARVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWVNDSLTPDWNNMTWQEWEKRVHYLEANISQS -LEQAQIQQEKNMYELQKLNSWDVFGNWFDLTSWIKYIQYGVYIVVGIIGLRIAIYIVQLLSRLRKGYRPV -FSSPPGYLQQIHIHTDRGQPANEETEEDAGDDSGFGLWPWPLNYIQFLIHLLTRLLTGLYNSCRGLLSKN -SPTRRLISQSLTAIRDWLRLKAAYLQYGCEWIQEAFRAFARTARETIAGAWRGLCEAAQRIGRGILAVPR -RIRQGAEIALL - ->sp|P11267.2|ENV_SIVML RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MGCLKNQLLIAILLLSVYGIYCTQYVTVFYGVPAWRNATIPLFCATKNRDTWGTTQCLPDNGDYSELALN -VTESFDAWENTVTEQAIEDVWQLFETSIKPCVKLSPLCITMRCNKSETDRWGLTKSSTTITTAAPTSAPV -SEKLDMVNETSSCIAQNNCTGLEQEQMISCKFNMTGLKRDKTKEYNETWYSTDLVCEQRNSTDNESRCYM -NHCNTSVIQESCDKHYWDTIRFRYCAPPGYALLRCNDTNYSGFMPKCSKVVVSSCTRMMETQTSTWFGFN -GTRAENRTYIYWHGRDNRTIISLNKYYNLTMKCRRPGNKTVLPVTIMSELVFHSQPINDRPKQAWCWFGG -KWKDAIKEVKQTIVKHPRYTGTNNTDKINLTAPGGGDPEVTFMWTNCRGEFLYCKMNWFLNWVEDKDVTT -QRPKERHRKNYVPCHIRQIINTWHKVGKNVYLPPREGDLTCNSTVTSLIANIDWTDGNQTSITMSAEVAE -LYRLELGDYKLVEITPIGLAPTDVKRYTTGGTSRNKRGVFVLGFLGFLATAGSAIGAVVVDVTAQSRTLL -AGIVQQQQQLLDVVKRQQELLRLTVWGTKNLQTKVTAIEKYLKDQAQLNAWGCAFRQVCHITVPWPNASL -TPDWNNDTWQEWERKVDFLEENITALLEEAQIQQEKNMYKLQKLNSWDVFGNWFDLASWIKYIQYGIYVV -VGVILLRIVIYIVQMLAKLRQGYRPVFSSPPSYFQXTHTQQDPALPTREGKEGDGGEGGGNSSWPWQIEY -IHFLIRQLIRLLTWLFSNCRTLLSRAYQILQPILQRLSATLRRIREVLRTELTYLQYGWSYFHEAVQAGW -RSATETLAGAWGDLWETLRRGGRWILAIPRRIRQGLELTLL - ->sp|P08810.2|ENV_SIVM2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MGCLGNQLLIAILLLSVYGIYCTQYVTVFYGVPAWRNATIPLFCATKNRDTWGTTQCLPDNGDYSELALN -VTESFDAWENTVTEQAIEDVWQLFETSIKPCVKLSPLCITMRCNKSETDRWGLTKSSTTITTAAPTSAPV -SEKIDMVNETSSCIAQNNCTGLEQEQMISCKFTMTGLKRDKTKEYNETWYSTDLVCEQGNSTDNESRCYM -NHCNTSVIQESCDKHYWDTIRFRYCAPPGYALLRCNDTNYSGFMPKCSKVVVSSCTRMMETQTSTWFGFN -GTRAENRTYIYWHGRDNRTIISLNKYYNLTMKCRRPGNKTVLPVTIMSGLVFHSQPINDRPKQAWCWFGG -KWKDAIKEVKQTIVKHPRYTGTNNTDKINLTAPGGGDPEVTFMWTNCRGEFLYCKMNWFLNWVEDRDVTT -QRPKERHRRNYVPCHIRQIINTWHKVGKNVYLPPREGDLTCNSTVTSLIANIDWTDGNQTSITMSAEVAE -LYRLELGDYKLVEITPIGLAPTDVKRYTTGGTSRNKRGVFVLGFLGFLATAGSAMGAASLTLTAQSRTLL -AGIVQQQQQLLDVVKRQQELLRLTVWGTKNLQTRVTAIEKYLKDQAQLNAWGCAFRQVCHTTVPWPNASL -TPDWNNDTWQEWERKVDFLEENITALLEEAQIQQEKNMYELQKLNSWDVFGNWFDLASWIKYIQYGIYVV -VGVILLRIVIYIVQMLAKLRQGYRPVFSSPPSYFQXTHTQQDPALPTREGKEGDGGEGGGNSSWPWQIEY -IHFLIRQLIRLLTWLFSNCRTLLSRAYQILQPILQRLSATLRRVREVLRTELTYLQYGWSYFHEAVQAGW -RSATETLAGAWRDLWETLRRGGRWILAIPRRIRQGLELTLL - ->sp|P20872.2|ENV_HV2ST RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MCGRNQLFVASLLASACLIYCVQYVTVFYGVPVWRNASIPLFCATKNRDTWGTIQCLPDNDDYQEIALNV -TEAFDAWNNTVTEQAVEDVWSLFETSIKPCVKLTPLCVAMRCNSTTAKNTTSTPTTTTTANTTIGENSSC -IRTDNCTGLGEEEMVDCQFNMTGLERDKKKLYNETWYSKDVVCESNDTKKEKTCYMNHCNTSVITESCDK -HYWDTMRFRYCAPPGFALLRCNDTNYSGFEPNCSKVVAATCTRMMETQTSTWFGFNGTRAENRTYIYWHG -RDNRTIISLNKFYNLTVHCKRPGNKTVVPITLMSGLVFHSQPINRRPRQAWCWFKGEWKEAMKEVKLTLA -KHPRYKGTNDTEKIRFIAPGERSDPEVAYMWTNCRGEFLYCNMTWFLNWVENRTNQTQHNYVPCHIKQII -NTWHKVGKNVYLPPREGQLTCNSTVTSIIANIDGGENQTNITFSAEVAELYRLELGDYKLIEVTPIGFAP -TPVKRYSSAPVRNKRGVFVLGFLGFLTTAGAAMGAASLTLSAQSRTLLAGIVQQQQQLLDVVKRQQEMLR -LTVWGTKNLQARVTAIEKYLKDQAQLNSWGCAFRQVCHTTVPWVNDTLTPDWNNMTWQEWEQRIRNLEAN -ISESLEQAQIQQEKNMYELQKLNSWDVFGNWFDLTSWIKYIQYGVYIVVGIIVLRIVIYVVQMLSRLRKG -YRPVFSSPPAYFQQIHIHKDREQPAREETEEDVGNSVGDNWWPWPIRYIHFLIRQLIRLLNRLYNICRDL -LSRSFQTLQLISQSLRRALTAVRDWLRFNTAYLQYGGEWIQEAFRAFARATGETLTNAWRGFWGTLGQIG -RGILAVPRRIRQGAEIALL - ->sp|P05885.1|ENV_SIVM1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MGCLGNQLLIAILLLSVYGIYCIQYVTVFYGVPAWRNATIPLFCATKNRDTWGTTQCLPDNDDYSELALN -VTESFDAWENTVTEQAIEDVWQLFETSIKPCVKLSPLCITMRCNKSETDKWGLTKSSTTTASTTTTTTAK -SVETRDIVNETSPCVVHDNCTGLEQEPMISCKFNMTGLKRDKKKEYNETWYSADLVCEQGNSTGNESRCY -MNHCNTSVIQECCDKDYWDAIRCRYCAPPGYALLRCNDTNYSGFMPNCSKVVVSSCTRMMETQTSTWFRF -NGTRAENRTYIYWHGRDNRTIISLNKHYNLTMKCRRPGNKTVLPVTIMSALVFHSQPVNERPKQAWCRFG -GNWKEAIKEVKQTIVKHPRYTGTNNTDKINLTAPRGGDPEVTFMWTNCRGEFLYCKMNWFLNWVEDRSLT -TQKPKERHKRNYVPCHIRQIINTWHKVGKNVYLPPREGDLTCNSTVTSLIANINWTDGNQTSITMSAEVA -ELYRLELGDYKLVEITPIGLAPTNVKRYTTGGTSRNKRGVFVLGFLGFLATAGSAMGAASLTVTAQSRTL -LAGIVQQQQQLLDVVKRQQELLRLTVWGTKNLQTRVSAIEKYLKDQAQLNAWGCAFRQVCHTTVPWPNAS -LTPDWNNETWQEWERKVDFLEANITALLEEAQIQQEKNMYELQKLNSWDVFGNWFDLTSWIKYIQYGIYI -IVGVILLRIVIYIVQMLARLRQGYRPVFSSPPSYFQXTHTQQDPALPTKEGKKGDGGGSGGNSSWPWQIE -YIHFLIRQLIRLLTWLFSNCRTLLSRAYQILQPIFQRLSATLRRIREVLRLELTYLQYGWSYFQEAVQAA -QRSATETLAGAWGELWEALQRGGRWILAIPRRIRQGLELTLL - ->sp|P05886.1|ENV_SIVVT RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MRYTIITLGIIVIGIGIVLSKQWITVFYGIPVWKNSSVQAFCMTPTTSLWATTNCIPDDHDYTEVPLNIT -EPFEAWGDRNPLIAQAASNIHLLFEQTMKPCVKLSPLCIKMNCVELNSTRERATTPTTTPKSTGLPCVGP -TSGENLQSCNASIIEREMEDEPASNCTFAMAGYVRDQKKNYYSVVWNDAEIYCKNKTNSTSKECYMIHCN -DSVIKEACDKTYWDQLRLRYCAPAGYALLKCNDEDYNGYKQNCSNVSVVHCTGLMNTTVTTGLLLNGSYH -ENRTQIWQKHRVNNNTVLILFNKHYNLSVTCRRPGNKTVLPVTIMAGLVFHSQKYNMKLRQAWCHFEGNW -RGAWREVKQKIVELPKDRYKGTNNTEHIYLQRQWGDPEASNLWFNCQGEFFYCKMDWFLNYLNNKTWDAY -HNFCSSKKKGHAPGPCVQRTYVAYHIRSVINDSYTLSKKTYAPPREGHLQCRSTVTGMTVELNYNSKNRT -NVTLSPQIESIWAAELGRYKLVEITPIGFAPTEVRRYTGGHERQKRVPFVLGFLGFLGAAGTAMGAAASS -LTVQSRHLLAGILQQQKNLLAAVEAQQQMLKLTIWGVKNLNARVTALEKYLEDQARLNSWGCAWKQVCHT -TVEWPWTNRTPDWQNMTWLEWERQIADLESNITGQLVKAREQEEKNLDAYQKLTSWSDFWSWFDFSKWLN -ILKMGFLVIVGIIGLRLLYTVYGCIVRVRQGYVPLSPQIHIHQVGKGRPDNADEPGEGGDNSRIKLESWX -KDSKSRCMQLTAWLTRLNTWLYNSCLTLLIQLRKAFQYLQYGLAELKTGAQEILQTLAGVAQNACHQIWL -ACRSAYRNIVNSPRRVRQGLEEILN - diff --git a/seq/clusters_seq/cluster_217 b/seq/clusters_seq/cluster_217 deleted file mode 100644 index 12b85f6..0000000 --- a/seq/clusters_seq/cluster_217 +++ /dev/null @@ -1,1448 +0,0 @@ ->YP_010086750.1 replicase [Agaricus bisporus endornavirus 1] -MNSLAKVETYHTHTRGGGVPSKIRCYTSFKKTLTAIQRNKHCLGLQIDEDFPKTVKMSDPVDEYADRIKL -IVGATGVTEVKHKYSHMVGGAVYFKEAMKISGLTREELLSEILQTVRSKFVCLFECHKQDDPINMVMELG -NEILNNLPEDDDENIDSLCETISDLYLGEYNSYDYEEEEHEHCEDEMELLDDIYNDVAAYFEVSDMYDTP -FTPEQCLELLKQFDEPITSSVDILKLHLNVKHLPKLVNVAYCANCEMEAILGHNHKNVLTNCICLNCYKE -LTIKPLGKIGSTVPDPMSLTEYLDNGNSISGAFENIAQEHDLRVVVQALSRLNAKLITLHEPIDNLTKSY -LKKLAPELVFARGKGSLVGNTLLDNLTIGLTANLHNSGETTLLISEHPPPSPAYINWNRWPDSNQNYGFR -NTVVSIDRIVAGFKLLSQLEVDCSVVFCLPTGIAGVTDYDTTTNIRFDRSNNSCLMTHDMRTEVHYINST -HKMLLFNANIMSINDKLFVISRQTYDSICVVTVRRVVTFKDWTIYNPDLRNTFTIPTITYNSALGLVGAP -IVVIKTVKLHKELLTTLITRNLTGNVSAKELAEYGLGFAHSKYNLFDKSFSHYDVSAQMIMDHVFISVLL -CTRATNKRRALLNSATPDLTGILNLIGYNSLGYLAATIKKMLDKNSTITALNDFFTNNNSVLTEYANDPV -WDEIESWTHTATNENIQLITHYETPLGCCHTDIHNDSCGCCGLGNSTFRCTHCANTPAQLIETLNIVVEE -KIEPSEQVKTRNEKIFDYNGIPARKAVLPCVELSDGSHEHTCVVCNNRYKHTHNHESSMHPLFVGDCPWC -EPELTTKNKGEKIDYLDSNNNINKPVKTDANVPNKPITPGPAKLLNDVPEKTERTPESTVPETPWGNSNR -LSVLYSQLSQATSVHFAELIYSKGARFVNLYTITNEKQSLILYCPFGTHVVTPQSFVVKDTMPVANSGLD -TCAYDALNFFYQNRSTIEDFKAVSKTDNFWSNDEIISVAELKKLNVVVLSKQSGIIHKATNSDEFAVIVH -NDFLDKPGAHWTPAKVSQISNCTITPVWTLNADLEQYNKMSNMKYKKHYDLVKLDIEQLLTVNLEIVKIS -TNTLELLRHAKPNIVVDVKHGHEFLQTTSLSQDSMELETVEIPVKFSALMNLLNDSSKTVLEHDKLTRHY -NVALTNTIDELYEAEITEAIRIFLKCKFGANITNYHKMRVIKRFDDLIELENLDLPKLKVGDIIHLSHQS -NMIAVPILKTRHNTLVVKITGVRVGVQLLFGITKESAGSMIRRIFGLLRVPKLSNKLFEQLSNTECVLAV -PGAGKTYEILNSYNAGDCIIAMTSHNKSSIYRRAKEMKKIVNVKSLEKFLSEGKKYKTVYLDECTLVKPF -DGVLVLTLCDKMYAYGDNNQIPFVDMSQSAGTREVKNITDVVKPEKIETKLLSRRFGPSLVSELSPLIPG -IMCHPSVEHDTDIRFANYEKNSIQVTAEIENNVRNFKPNVVLVFFQAKKAFWLKRLHPIVVETVHSYQGN -EADNVVVIQEPNESTFGICADKKYVISAASRAVKHLFWISIGMYQHNKGLSSRVYTLSPQRGGGIHKTFN -AILDNITGVEMVSNTGTMIDADKFNASKSAYEDKYGVKVSLANNSDGQSLEVRKVGILMVRFDIVNGVAT -TSYDRLNMVTSKDLSDLNELLKPAVIQCDKFDTKFNTTIDSQQADIITFNMQLSALHSACASTQVTFYND -STEVSIICRTTHNKFRISLSLNDDYCLKVQPMIIGRNFETHVHNVLTTLGYQDGSEEYPFSPAEPIKTTV -INHTFNQIMNYLKTVVPDIIEQKMSDSKKFLNKICELTKENEMVLLHNHETGCYVVKTPINYKCVTPKCT -YNTNAYNALMIFLNATSFKTSAMTILRLLTKSLIGSRTDNGIEGLMLPWHYHKTHATKAFKHYIDKSALL -YMTSKIGEKRTIYVTEQNRDKIMNMVRDAGIDVNVNIHRFCPSLPLGVEIVGVLMVLSLDKSKQDRKIKI -YGPHAGVLAANGFLNLQKVRDYHELSTYELHAVDDRMLSSVKNSVSEALKKKQFDNTNTEKDDAFIKALE -TPNEINYAALYGYDYNLITNSNLLTDLSEFETVKLITDLDSIEQCTEGSPTFVDNPMWQFTFKIPKILNA -LIQTGADENKLLSYDSTFVGLGAVVGDIEQGVSKQLYSQLAGFVLRDQITLTVPRLNSSMIDGEVNVTSQ -EITVSRKLFRDCGLRLLRENHDIDSLLKHVRTKQHATYYSDSGSYRQYKETPGAAMDLCLAVYLRYNNKF -TELFDTIKWLQPETVKQEGIFELLLDNLGSSAKEMVLNVVSKLSGIAGMNLPQKDFIKTIWKVVRNMAFH -QEDMFTETMSVMNVEERISTRRLLMRGTKQILMTSGAASIDEGANKVTLQSKYLHKLFSNMSTLMQSVSA -RHDPVTLMKDFTKPKSICCICIGSFGDITNHLQVANMAVHEGVKVSLIFPDSYLDQVRSIADSKVTLVPQ -RFSVDNHIKLLNELRTDNLEVLMTAYTEEKGALLYNDFDYKILKPDLVVGTCLTVQGPIIAKKFNANYLE -LNALPWNLVRAENKRFDSLKNVLYRGYRAMQLETIIKQYAIAGLQNPTPQDIISENYTSVNVIDPIFGLV -AEKENHSVVGFLPFKYTNSKTILTTKTCVLTLGSMKTKQHANKLISVAKKLLSFGEHVTIVEGGVKFNKE -FIDRSDKLQIVSNVDYTSQLVHCKLMLHHCGSGTTHTAMLAGLPQILLPVDFDQPQWAGALNKKGYGVYA -DELLNMELDDFTHWFNVTLEKTKNDSNKMIRHTATNIAHALKLTDNAITDSVTLTTDITIEEEAIIRDNI -HKCNLHKCKPLETMSVLINTCCGERKRHCVIGETEECYIIVNSTHYPDHRIGWNRKQHIAIQPIMPIPVL -RGHYEIATLGYHAHGMCEANSESPPNFYIKPNQVSEFNKSIDWIDLVRLHNGRWSNRGVFGIEPRTGICN -CCHRSMWLLRSQNEKLCTSCHIQINWPQFTFTDSVSTTKTDNFKAKSRAGHIIFELSKLQESHVLLDPKG -NSNCVPNCLRAVAKYSTKVSSTINNAFIDFEIGEFTSIEKIIQICRFMRVDIQVDHPNVKTGGRLLHNLE -FDKTPKLRLLITGEREQHCTLTAAIKIPAAQKSITLIETENPCDDMNSLITTDLIDNADMDFNQILKTNL -KTFRSTKYTDVIAKTTDGKLNIYGRRNLLVEANIVFRKFKSHEMYFGELPESFNRFEDRILCVPTRTGNV -IGLVTRLNNTEKQLIVLTGQRPLPVVNYVIDASVSITKVKKHKKLIKNDTVTVGAFNEKSRRELSTIYPG -ISVNRNCQKVVISSLDTRNHHLYSEWNEMHTLLNRNTMGETLIELYGKLDGATVPTLVDSNLTQSICEQF -SRTTRDQIFRFGWDQKPTVETWTRDKPTGLFLEEQGFTSPENERYVLDYEQFKDISELNDTETMNKLISC -DLIGYISLKNCVKYFEIIKSTEDIVAFTGVDKNELNRELIDYDEASLTVTCGPIWKGGLPEITTMDSLNR -TVFVRPEDIKESNGELTLVWFRSNCLILTTFVWKKKVRGGSYGQKQDLEDRKQNIDSDNNKLYWFNEEIE -ERLEHRGAESWIDKGSKPKNTQLPALAANEVELDALCSVFNDGMRGYDGKTFVASEPANKKFLMFTEPDT -PNFVCHETGEGPEIGTEVMDIIDDVDLADHVTRLAPKTGIIKSHEVPGRIVTNTKTTMVKYPEMSKPVIT -KGVYQTFNAVSSRLHSVVKYRKHKMDVVSEFDRCANCYFVPNYQHVLDEFKNDPITYNVNNIVDWVNRRK -NSSVVAAELIELMQTGWFNHPINSVKVHSKLESLLKEDEIGYQYGQQKIRIIVHQTYAICAIFADIFLQA -KERFKQLLGEKCVYADGFTLEELAARMRLIKSDKPLWVFERDFTKQDRQTDEDLINFEMYVYKQLGVHDD -VISFWRKTHENWFYKGTDLSGSLSWMRLTGQCTTALGNAITNMVVNSRTYQEYEQNIKIMLLLGDDNSMI -SDCEINVHNSRKISRDYYNMESKDFCKKHTGIFLRMILHQQQDGSMSVCPDFLRLRRRYEVTNGVHKMGD -EELKLRGLSYLYMLGRNKVTEEAVTSLGYNDELLPTYYNFEEAVMAVATNNGMTNDAARNEFNLLIDMIK -ANKSYDVDYVVTSSQIR - ->YP_009553622.1 polyprotein [Cluster bean endornavirus 1] -MVVCCTPIQFVFDDPLLSSALQPDFIATWWLAKEQGMQNYGLTPEQFKPFVDTAGLSVEDPANNLTHKYY -YEHKKDGVECVSVDEGGQLGLMESALNKIIAKYGGLKGMQHKCMLASWTKVPVLAKLNNKIVPFCVDNET -IGHRLCCNCHTMNLYWMPGENGLDDAWCGCCGADLNYMMVTEAPTPGEKSNDTGEHINNGMGADQLEQLQ -QLKYLDGAELDGKVREKAIEHDARIMRSMISRTTGTVIKLEPGMTESQTGVLKSTFNQYVIVVGQGPPNP -HAMLANERRCIFRLLLDLSGTNRPHVDIGGARLGPTTDQYRCMAPIMGWRDVERASAKVVQGDDCCHRLA -NCYCDVDKQPSLISVDSLYDIDPLELKEYMLRSGSDTIFYCLSTASVPEDANNGDFLFEQGKWSVHESKL -ISCYHGENLPYVNDYRTSMMWTHSDVIVMGEYSFGCSTIETVGNHVIRMARLTRNGPKSLGYTKRVVEYD -VVKSIDVPVINSESLKNILSPSLLTKRTIKVDARLLKMLIVRDLTNSCTYEDMVTYAIGIAHSKYQLASR -MISNNYITADMALDHALLATIISRRATSYTNRLIRQTQLVNQPMHTIRTSSWSDLWQILFGCFVDQAIMG -IDEAQNRVHGQVMSKVMHLIEEWMHSVNWDDLLNFSLQFGWKDLKVVTCKESYSEPDSVQTCTHHSQNCL -HDEINGNKLCACCLLIRVAMGESKCECCKPSRCTHACSHLCDGLDTHHTSKGGKTKVCECCKVEHFGSKC -GVCQEFVHEKTVNIPVIIEHSTRDQPRKEWSEKRYVKAKLVPDAVKLLPGKTDKQEVTPLVDINKMIEQT -MQERNATPMPSSKATVEMLAALTSAVHANLFSEDNPWVLTEPGDSHITGFPGWSEIKFVGMGMTVMDKSD -FEVVEVTKASGEGLLCGRNCLQILLGTVDNDALQRLSGVKNNYSNLDLMNYLTMLNKNCCILMENSAVVN -RVDVGSDEFACIVHSSWFGNEIGHWDVCNIRHKSCLSYVPLFYPWMTSQDVLTAIDVNVYGGTDIHNLDA -QSRLEIACMIYESYVGANSKYGLKISAPRVDKVGNEYLITNNNKNLHEPGKYLFTFKVPEEYVALITESL -KPTMSIKASKLFRDAFDRKRPTNALEMKSLMDDWLKQRLRNISSSLLSMQGEEGVDRQENEVLVKVYRHN -GVNYVDRIGQLAKLKTSDVCLITSGNVKEWCVMEMAGNKLIMHCNRVFKSGAKIHLVVPKISVCSEIMGL -MALFSGQLQWEKLISLLKNGSCVLGPAGSGKTERLLQMAGSKVTILTKTFSAREEIKSRLQVKTPVLSME -KATIKKVDSEIVLIDEATMVSIEELALAITDRVQKLYLFGDEFQVGMIDTDQHHGVREVFSVASMVDNVE -RLEVTYRFGEDVCKVLRNLGQKVTSASKHNTIIELVDMPDIDIERLNSIRKRVNPDVVLCFYTRTKQILE -GRMGATPVFKVHEFQSKSANAVMVVQHNPASKSGGIWQDPKYCISAVTRCKTKLIWVSVDMPPGKPLHVR -CGGMGPVGNTGGLQQVLSTMFRRSSASLSRHNGDEPFVVESAKQHGAEGRRSWAEMVEEDDQGADIHQLL -QGGGDHVDLISGAFSYDAQMANYNERPFIITSQSNVMKLIKRYLDEGTSTYKCGGVKIWSNCKSHPISIS -AVLIRGDTVVVLSDEAKAIINKVIDELGVPRTMKAFQSHGNKNTFKEHVSKTIALAASQRKQERTLIKLI -TDEIVNCEESIKLKKVQLPVGWNISSMESRIQRELPPGLTTKTNGNEIIVRGMFGIKQWTLRVEDGELKW -SDADIAMMLWKYRPELIMELMMYRLASSIDHIANSIMTAEDLNQAEKSVDLLQEHPTFERALVLHDDDPR -KLQIALTMDAIHQGCLLPGSINVTDWLIPVREEFDDAYFDVHVTDRMEETVISIIRPGCNLATIYTNFLR -NAVYVAGGLIKQKLIASFKCRTLQLWEDVIKQNQFDVVFNEDLMSGLEYLKWKERTVDMAMPTLNQTLMQ -DDHSVLKLPKSINLTNESRPYDFNKQHTEKPRAPIDITTDNCLCGSKLGIKISDVDLNVWKLSQCSGLAL -MRIPKVALLVQHVRIGGGVFEWNTPYGMVALTTFGGCALCCGVIVEFNGEVVLVMDRLQCTKPRHYVIRK -EFLEVDSMLADCLLHRFSLIEPNCVNFQATNIRQMSHAMLYLPDPVDSLTLVSMVTERLNAIGRYTYKRF -RHLEWRHTAGVFKKDNEVTIHLLQSKLGDEYKLVDNVKIDRCMRLWPSPVRFQHRSGALVNVCYTGSEWW -TDDLVLANKFGITPMSYTELIDRSFDERLKSHGYNHLLEFNSRKQPMGANEILNYSLDYHKANKTKVSAI -LSEKMEAALSAQLKTLGKTIFVSEKQYAEYGALLKADLPNCSVSTHGTWVASGGFDQLVEMVGIKYSYMK -FPDGEPILYLGDHPENVLISSSWAMHTDFLTSHNNVYHLGISSCNQIMDKLWHIYSKAAERASQDPTYEM -SSTDLKVWHNAQKYKQTGSCQWVNSSLERTKVKARVLWMGMSWMTLSTQQIGEEIKRRGASTCCLLLPSQ -DHSGMYPFNINKFGNSWMAAYKGNNWPIDINQDTWNVISTKPIYRFGDARMVVHHEGMVLGHVKVRLTVL -PDQLRGPDYQCRMQSLMANQKVVFSIPNIGAKRELGRKQQTRLIEVDQNFYRYLSLKVKLPGCKFSDLLP -YARTYIQTTLYTHLGVNDRLNNLVSYCHEVCACVYLEHIGKTNDVYETMRQMEKFWGLQSADYKEWSKAY -LWSKISEFASLIDPVGILSQLFNTNKIREAEELFFEALKEIRQFSAHRVYPEFKITKITCEPGHSDGDGA -NDPPQGDDGPPADDSKSRRQFGDAGAKASEFTEYYNTIMEVTKMITDYFGNTSSTVDDVGENIASDDNYQ -PVAGSNESLLSANDDVNETVLDNELELPIAESIREEEELQLPQHDDSEGVLRKIDAHTIKHSVFVSPSDS -YDKPIDEVNQAGLLTGDAAKSIENLNMTIHTQQAFTEDKQLTQSEQNSASVIVSLIDECLGAASTIVPLR -YGDGMLQLDDTYEVPTDKIQYKKYYAYDAKHVTEFNPSAQGDCVMLCLEHWAGANNKQIRGTSVVPTRNW -LNEDQVVMIALFNNVNVSITYKRKTVLHKFSDKWDTVNIHHVVLRNRLHHCELIKFLNVNYEQATSGQYR -LTGKNVNDIVNLLKSCGDANDLPVDKVMNHLSCIATGTLRSMDWFINHINEADGWGGLTSILELSNQLTA -AKRKQVPMLTCDVQVGENVVALRMDDRTIAVGDCLALSNGTSWYMSCVLCHQRNWLICSNPAPAERNWLP -VCVHMQYKWLSQRTQNRVIVHPGIDLNKCTCLNNDTKRMVAQWSTCPPNMSVGRTGAENLLIGHYDNISH -HKYDDRHILEKYDPSQWVGVGFGFDSNLVSALLDRSTYYRTCIVGGSVRVAIKFEEVRLGSIVKHWVTSM -MAECVRVGNEIRMSKTEWLRVKPKVCVAIEKCMQVDGQIVTCKKIKDCDFHCSNMEEFSSVVGMEVTDNS -MKLLEQLFPATVQWRSVKSVIDVPDVNRHITNSVVRLGHNRFYLSDSLSTITIMKTMKGGSWVDVTETTT -YNEDDRWWGTATGVVDKESQVRAILGNLVVEPLSDAEVHTLTHPVQCGTDVERALISWADEIDWDSSYVP -GNVYTGVTPSEVIDLWTVHDMSGYITKYAPTSEMSIVCKTVVAKLMTTTKVTLSQYPEHARPVFTKKENQ -EFNAISGRLGKEVVYRTEKLDPIQEANHIANTFFRPDWKQLSLGFRANQVTYSTEDTIQWLKTRPDACKI -TQELMQILQEGLVTHPMNKMKVHLKLESLLKDEPVTDHRQTKARILVWQMKGLCAIFSPVFKEAKQRLKS -VLGVKTLYADGLRPDQLASRVAQVPTTSYLIENDMQQQDRQTDETLLNIEMQLYLILGVDQALVGLWRSC -HDNWYFRGKSCSGYKHAMRLTGQATTALGNAITNLAVHWRICQSLGRDWKWFCVLGDDGILMSDRKLDAE -DLKTYGKKYCNMILKPKVSKNSATFCCFTIYRTRRGNFALGPDPIRLKRRFEVTNGVSQMTKQNVEARTM -SYCMMLGDIKETSNVINKLSLPIKPVKWYDVQECFKASDEKHGEYMGCSEDCVSQLCNMMINPVLYEYTM -THWSESQ - ->YP_009553502.1 polyprotein [Helianthus annuus alphaendornavirus] -MDILKKEPKPKGEPSSIQHAGDGPPSVLNVGEILANINKLVSARDRRRQTLRTKTRRLTENNEFNVKVDP -HFQPKFVKCVAGSNKQSMKKSPHKWQHNVMVFNTINLGKRDPHEIANKLLAYGAPAKVKDCQCASCVSVD -NQRSKVAINTQATLGMWSITKDPCALEGIDIIKYRPKAIHQLLSTEQEFRKTLWDFKLEKTLDEVVTGKD -QFKAHMRRQFTKYLKKFSGYQGLITEALTQTTRCVPWAQVYDIRTGEPLANQFSHRKPTPEERTQMITCP -HCKCTNSLIVPRDLKPKLVDLTDHRCGACGRPFQEQMHDPWAEIFDIFDESINPNVGAQTEMMKLMGRLD -MSEIDEAYTLMDIAEKHDTRLVKSALSRLQLDLVDVPLNMEKSKLGVLDQLFGGFEFVPKPGLVTDTPLE -DTFRNAVMTMVKKTTMSEGFYWPDAYQKPNSSRLNVVNPYQCCGSLECDHWIKKNTFITLESLSVGLNGI -LKMIERSGKEAWVCLPTEGYNPKADSGQFEGGETHYHLSGHVMKLTRPGHDYPMIVDKTDYENLTEGDIF -HGSTGTYMATTILTGCGHKVRIIKKVDLNIGEIIPKLKPTKARPQTFKIPTVLPGSLGSITGILTSSVKE -VTVEPTLLKSLMIKNLDASMTFAELLEFGMAFSFTRYHRGEKVISYQDLTSTQVIDHCVIALILTRRAMA -ARTMIVSATQKNSVMEVIQSAGLSVGMTTAVTLLEGITNTTIKSSLKTLMSRVDAISVNSLRVIGEHPVW -DDIQRWTTKVTLSSYRIIDYRPLRATIRKEVTCPHVLSVIEQGDQQCLCCGRLARSDMCKDCSPCANKEH -ACSHTCVHDHKGEKKCLCCQLPSENKVCTCCKVHYSVADMVGQPTKKPTKKPEQPKRDSSSKQTKPEQKP -GPKTREEEQGQYNDEDEVVIEAAEREDALIQQTSLDFVTALLSPEAWPTEATKNPPCGDIPMGSRILYVP -MGLTIGESKSVKVTSHKVIPNKEGTCGLDALRLGMGEQVTISVMETVTKKTRGWDVDDLLKVGKALGKNV -LVLTGTEVHVMKSNSEDDYHTIIHSTALNKEGQHWYPGTVQQVGVIRKEVVYNPTITMQTMHKIAQQVTR -KKVNHYDVALMERKELIDLHVAAATVAETIKIHTVVDKNFRLETNQDEQYLVNNKEREHNIREGKICVIV -PTYLLSVIRHLTRLSPDSISNDDVNTIFDKDDQIPETLNAWIKSEVADCVKTILYALCYDVIPKSTNPLR -ITYEKFDMIQDGTRTVLDVSRTKLKSLDVIYLHQDGKIVPTMINPKMGKIAITTDKSYRNKGMTIGIPQI -SIGSAIRNLASLLTMNTQSTYAMSKLEDSVMVLAPPGAGKTTEIMKLLTESSLVVASTGGALNSLRQKKP -PCQVLSVEAGKNYDLQVDHLVIDECTTVGFLDYKAIVDKVKPKKVFAFGDTSQIGLKDMRISAGTRAIQG -LNTYHKSIKKLNHTYRIGQPLSNVLSEVYNDLESKAEHKTDFEVIWSSHFDPETVYEKALKHNYDVILVH -YRSHKAALLQQLNIIARGNTPLAQWARRVRVETIHSYQGQEADKVMVIQRSNQAGGSIEFDPQYNISAAS -RAKKHLTWYAVGVHSPNVDLGTILRPSKNIVGYGLFDMFSRFHTDTIQESVKQPRLKKRKGIGRQFLKTI -NNYLDRKYKATATLVETHDREVLKIKVSVITMAEVTISADGTIKEGLNRVGDLSQLIDLIHSNLEDKPTM -TCSCNTISKIPSHKTMNQLEFNTCLRLCNLNWVACEMAMVGETLRLAVGDKLYDFVNPGECHVCSKTTIV -HQETEIVRINRSHHFHTDVSIEYLIPEENLLVEHFDLMNNLGLDEQLITHERRPVDSPLLTRLANTELTI -NTYVLQMRKSIETTLKRTGNFIVDSLFGLKHETIDSETWCQSRGLTCLATIGNEMSRLVKVEVDNRIALM -LPIEDSPIHYLEEEGKRDDNCKTMEEFIMEYINTLNTDGLLTKMISILGQMKVGGRDDLVNFEGLMVPYE -RHMARKDRVWHTVVNKLGKMYVESKRGEDSILYLSQTQIREQGRLIKQQFPHLAINEHRYMMDSDDYGST -LEQLINHVLLNDSVGKVDYVTYRPHLVILNSRINCRLVEPNHNRLLTARSKINETFMEGMCRNVEEAILT -MASENEKDELVAITKQKLELAQKCIRQKQGNWHPSKTFSKDTDLICLGECGLSYEPSQLAQLVMENSGRR -IIIWGPNPALAKLDQLEVEGQEYLNVVDKRTGGHYIIHSGIWDSMKYGLPIAQEEKTSVLLASYMTIGGL -IVYDVKIKRPQDIELSWFAPLDSSKTTGLITIEVPHIHRDVVKLAKEGVPIISTRRIVADARLLSNLAKR -MLRPTTFDELLNYARVLAHGKHYSLKGFTSVYEETPQELLDITMGVYLIYSRTIESMEGMTEGIISRRDQ -DLDTFKAVLTERVADFKTGLLGLVSKYTGLDLDLSDWLRILSSGSRDHLSVIRQMITTLKHTRARLLDDT -RNIFPRPTVGSAPESKIEKKDYSNNPFRFLELAIDNVKALAKLTFDNTHGSFKTATKPAIDKVVPQRRIA -DSHPTSDYLNMIEKSAMLTYQKFQQLPEFDYGEAYKKKLMEFQEVLEHAKKVNGKPNRKIRPDNKLIRNI -TNLLEELEAEDWQDRAMEIVEEAYSVMVQVINCLQGEIKKSKAKKFKILMMTMGSSGDYKYFRNAALILN -KAGAQTDYIVPHEGAKWFEEVGGKIYTCEYKVKEKLDNWDAARRWDLEALLSGMAEGTECLTTKRIQTEV -FNNKYDMVIGTGITILGKTIAEYFACPYIELNAQPWFVQLYTHDETLAGKTKGLLDKMGKANVILANAKG -IRDLRANLQMPEGDLASDALIDQPSIYCYEPCLSSDRMYESWPIVGYLGRSLIRKDQPECQKYDIVFTMG -SMVSKNIPIHAETVMSYSKKHKLKTLILGGYSSDKIKPLHDKLSERDKELIHFEVYAEHEDVMTERTIVI -HHGGCGTTNQALIGGCPQVIITVAFDQFYWAHKAKLCGISEHMDVDEPTDLLPGLITKLRSESYAQAAIM -MKTRLVTGVAKNLIIGLRSLATQMDMLRTNPSTYEKITNLSPPLDQEIFSSKSLPQRPAITTQGPTFTDD -VPNKSKYFKLQEEMKPLMKEWNFEITEPRRIHYTCCGSYGSIDVTLAENSDTLIVCNLENVRKPRFVYVA -KEHTIIQPVLPFDTNRGPPSTATLAYHAHGEILHEQMKPYKATEIKTLAGQEQIISESKWLYYAAKNTRK -VDTDYGNKRNGRGIDCRICYRRGWKAHPDLDICTCCFSKLEFPQIGNTADEETIKKYTILKEGGLNRQNQ -FQAGIGNALSLTTSVPQLSVGLYHGKSYGYSTKFNPQGPDGCVKKCIQAYVDLNTEATRPVMKNNRLTLI -QDQPSIIVEEILKTFNMPSMTPLEHIEPYCHLLGLSTTLVIKGNVRVTVLPDAIGHIELLIIQTNKLLHC -VLVTMNPAYLETLIRQETPRLSCTVQNSDSLTTCQICEQQTIDIKAGKGLRVSTQGKCHGLHAEWDRYLV -ACRRMIMGEMVDRGYWSDKTANHVKSVHQRITLEKITHVFRSMTEPVIFSPLSTGNDYIMIEKPQTIKPG -QVMIANTEKGIIIGHTLQYKDRMMLVHNMGIVEPLSQAVINLRTTTLPRDYDPKDTDQGKEVTSYNLATR -QTMEQIDYNLRYLPISLKGMKVILTDLRNRNHHNEIVAVNIEKARQFLVDQTMKPLEPEVIESLKYNGPI -VLGLKNGRLEYQHNLVASGPVSGSIIADVEKHLTGLISIKPLEKIDKLKTINPNMFRCLGNEWTFEEYES -ELEKPEMGKDIKDIGELRDLLMQPGWKTRLRVDQLTPDNFPISLITRGAVIKNAMRVDPGVEVAYREHIL -QVLVCEEDNLLLKDKLLERDVDFKLQAAQDAIAEEKRRFESRPFKTIINSEETFETVRLLRKRNTVVETI -QYQEYKQGLQAPVQEVDLTQDKRWEDMLSLRPPTNEVYVLTRPESTQMVRRLLEGKVTPQFDTVEGKVKL -HVMAPNPTSEFIDLNLDYYMGTHKYQDNIEGLMESVIQMDDAGQLTSDMHKLVTVPSTLGHVKNLARLTG -VPETPELAKLAAAILEANGRKGKTTSHRMVLEDLGEEELVQLLVPEDPVVIDKSIYSKIGGAVYKPASLV -GFGLKDFQKEALTTRQFWNENETKGKYKLTETMTVEGYNKLHSNKPTIPVNVTSQGQHSTSTQTNITTQT -TEKEILEKYATNEPIVVNQDTVTKYRSMKPNYVEPGCLETEYVKPRDITTDAHDSSVKEGEALGIINTNW -MEEDKLLELLDSTTEDKSAGLWVEKVDMDHKLVAEDMNDKINVFTINFFEDNDMTDFNMMVAPTQGVLKS -KETPCRVMETRKVTLTKRPTGSRPVFNKLANAEFMAVSRRLMSVVTMRKYQLDPKQEWDRFDRNYVSVMG -KKLLRAYKEDQIYFNSEEIRKWISSRPDSVKIDRELEEMLSTGFEINAINTAKVHVKLESLLKEDYESVG -NREIEHRIIVWQRKAWCAIFSPVFLQAKARLKAILSRKVLYADGLTQEELSSRVNLIHGDCWFMEDDLSK -QDRQTDINLIQCEMYIYSQLGVADDVLNLWRRTHENWKFRGTNVSGILTGMRLTGQATTAVGNAIVNLLV -HSRIFETNKNHIELMLLLGDDNLVISRNRIDSSKQSKISKDYYNMVSKASHDRDQGTFLQLLCYHNPQGV -LEFGPDVIRLAQRYEVTNGVSESNNHNMLARTMSYCMLIGKTMGTKAVVTKHGLPIDLKTTCSMASARRA -CAIKRKMTLGEVESYESYLYRMMKDLKTHTWIFKHLA - ->YP_009513188.1 polyprotein [Phaseolus vulgaris alphaendornavirus 1] -MAPKFITCLNSNNQAKLTGPRKRSQILKSKRRKHTYGDARRKVVNKVLKLGYHSQYINPIPQPKQTKPSI -KQLGWVGLSKNRQIKQNANPYVRSIRKLFRSHKRQLIDENRLASLPARKEDLTMGFMFMSALEDKIAVCD -LDPKIIGKLNYLVCCMGMGLMKDPSVSLNTIKGNIKHSYITPLNGGTRYNKFEDYMWSEDQTLTIVEGEG -NCTEDFLKLIGAEMNKFGGIENYIMANCKARPDAMSNITRTNTGKSYKYDVVPCGMVPIKVCQLCGQINT -YSHYEGHPNKGHYSIIDKKFPSGYEADLVEHDEMRTEIRGGQCEVQWRCCHCMGDLNLGVVGLFLNFVNL -IKTVYDWMFVIRGNLDELLKSGYGDVYDDLELDQRQLIRNHDMQMIHEYFFHLNRKIIPCGHDTTEIMKS -SIRAKLDACEFKHYSNYNSIKPELILEVSALTTVCLSRFKECATYSLGDILPHNRIKNYHQWYKYDGMFP -IDNGEECTCTTQCQHHEPGVIFGSIMTCGLNLSYLLAITEKQGQLGFIIPKLTSTKTMADGTGKYNGDGE -SLELFLDGNPCPLIFKTDTFVTLSNSNIIVQGGKYFMISKVIDLELLELKMITGPYDELSALPLNKINTY -NELTVTVNLPSPERLLGKWVGLNFQPMQVNINPSLFRALCIRNLSGKMSHEGLKEYSVGFALRKFVVHNK -TISNPSVQYELLDIHIILSRICMMIMKLDYSNSLKYTEWSQLLGPLRGLTKFISEKLLIVITGCFMDYMS -ASTGLTSSMLIELLKNSHISTWVEGLCKDQFWDNLLKLATDYSVEQLKVINVQEDDATIPDSFHDACKHH -NQSCKHKIQTGKAYCKCCGLSCTNPYCECCQPKPHDNTVMEWLHRDVIDEPQRKRIAPLKVNGRDLKVPK -EDKMLDRFVQAFEDYKRDQPIKSAVDVVKSIKASHKGAIAVSTVANQSQPWPGIISNKESTEEQSQPAVQ -TSTIPILADSNKITEPSHQIPTEDTGSIPHVADQLSFIQTIESIDAEDARMKWFKQTPLKVPKHVKLNTQ -LESVKSKVNADLEMGDYLSVALKLGEEFLAHVLNPVNFEAERNDHTGYLYIMSHILVGINILNPNDFEVV -GTPAAIPNTDDLSCGYDALRLATNLDITMSEFQMITGKKPPFSDGDINVIAQHYKLNIILKTDRDVQVMK -YDSGCDSFACMVLVNSDHPDDIGHFSACNLRRVKCTNQYFCHKNDYDTLGRKDIVISCGIEPSELYFPTS -ITKTENIKCEAVYYYATDSTNPVVNVSKLSCIKIGDSWYLTTNEKFKVHNINKNSIYLRVPAYLESLSNS -ISLALKGEFSQLNIPEMYHSDANLPTTSEEVGVNMVSEVLRSMRRIMACEDKLDATMIKQTVNGRVSPWM -ANNIFIPEDGVHLKLKLWDKVILRVGKKLIMASVLGIDKTKVTIDTKFIVSTQAKLFELKESYGSCIRAL -VACCRPLISMSEFQSILDKSTLTLGPAGFGKSTAIAAKCSDNDLCVAMTSTSVESLKSKIKNKVRVMTVE -KALYSHATTASTLYIDEAMLVPWQVILLLCAKGTTLSMFGAKNQIANVDMSSSPGIRHVVNIDKLIKASN -ITEQFTTYRIGTGLFEFIKPLEPGLLQGSDHRTSFSVTILDPEQFGTLSNIYNRKQYDVVITPYNYNKMA -ITNLLGSASPVVTTHSFQGQEVNSSLVVLKADKTGKWDLNSDPRYLNAALTRAKYNCDIVIFSHMLGQPN -SVNDLLSQVGGREYHDENVIEFTELAVEDVIVRSDDSPINIDAVTHLSISSMHQLSDHDIQLLNELDLEQ -QGVNLKYKKLGAAVICEATKAGMLLAKVTNKGGNITIEASNMIKSLINNNLHKQVKIPMERLLSRTGMAK -THKVTLSTQSACKLRELLWIVDKTKDGTLTLPLNDGLITITKTSGCPLFAGCKMQIDDEWIYITDAWQAI -TSRTITYNFSASPCKLNDILIWMQLELPNIHLIPHNGTTWLDVIKGNLVTTYWQATERLTQVKYWMLNAL -AFSENPKCYGNNLRNVSKTNKFMRKLKIKGAIDYHQSVINHKTLILLEQGWFKSYVTLCSEDGSLISIHK -NSKDLEIKEFIVEALQDIQQSEEADKIKGNDIGEMDIPGLLMPMSLHKQKRTPVYQYAVNELGKVISHLG -KVSHDLIRVNRVCNQLFRHDITDKYPQLTISIANYHTVLSDVEQILEQVLINFVGKAIKGSEELIVYGGT -FPLGVAICGRYYVQLRLPKNSDQFKYHYNEQLPEFHDLKARFVKNKTHDQHETNWDEEHSVDRLLLGLSL -YQIPENELLELLNKSTSTIGWVPATNSSGSKFIKWHGNNSIMYRGAEYSVKVNNSLVNRARNGAPMFVDP -ITRTFYEINVLSEFMDHKLLLIVKRQFPTCNYVNRCIGSMQPATGYRTITVPWVNTNISSIISTGVLLHD -KVLRISEKLLRSMLMRLATGDDSEESILAYARSLETTQIITDKSMHDMYGTEIPVLLSTAWYALYIHRGY -NYKFKFLVQTINMASNNPLVLQFVSQLLPGVISMMGKVGKLVEDIAQTLLSAIEERLKVNNVFCNCKMTD -VDFGWQLLEHKPHIIKYDLLKSDHYAAFYNDDDGGDDPDDYWLSSRTIYEAQPKDEVEDSEQQANLNISS -KQHVEQSGENKPTESNLPENPSNIVESDFDEFHDAPAELGPDNPLINDIGPNYIELEQQHIEKVWNENHS -KKEEFLKRCHYEGVENLNDIKYLWSIECGKHFVNEQANDVQCNNYYKIKPPTNTILNHAGKSVQRIIWCY -WADGNPSKFVSQCIFSMANNNPNYRLVLIDDNMIKSLVKGETFECFMHSTAAFRSDLLRLYLLSKFSGVW -IDASTIIEIDIEEYCKLACEHDSGVFQFSLCSRSDQNKTYESWFLVATQENKLLRKWYEITLDMHMNTYK -FNRSTMSYLAEKYGQDLVTHCSGAVNINLREYLRVYITEKIALHLTDLHSVNCICDDEAKLTIWHKNNLK -DWLQFWNTVANTTINDLTLSIGLYKFIGSTRAIVNNGMNYKTITKGSLLSKLLTYMPVKYNIKTMERIIF -NAPSLPINLPPKASLYQKTNCVLLCLGSTGDMIPYLVCYDYLAGHGNYPLLISHKDHEVLVKGRRFHGLS -IKSEDVMGIAMNLLYEKEITSSILGYHLMRNIAKETIEILTLYKSHNIKLYVYNPLYPAIQAMKSNSLAS -WVMLNPFPPEWIVGDKPESTWNKFKTNVAKWIVNLSDTIIRVDLNLPEHQSGTYQELTPMVCCPAELLPI -NDISNVICCGSLTMLNYYTDENFKTNFDSKAVLVSFGSCNIGVDTQLIIKILLICIKQGYKVIFHDGTNR -AKFGTTINQFLTDYRGNVLITKSVNYANVREMVNCCIIHGGIGTLTEALANDITPIIYPIIADQHYWANK -VKELGYGGVINKENYELELPKLLTLSSKFKVNRARDNFNISMLSEKLDNVVLSSNINNNIYTLTEYNCSR -ALMRFDFDGVKHCLKVDLNSIGYIRSCDFNYSILYDPPTTSHCIRACMEYIFDSKAFKTIELCKDRSVQL -LLGVGCSKADLVNGILSLGLNLAIVESDVRLDVYKYFESPTVTLRIKKTGANHHCQVVNVTVINNLEYLT -TLSRVVNSDEIHSRIIRTINDNKLSYSHMINIHMLLGLQKEIVRRDVNIRLRLDNLQHLMSRGDLNVVWL -PVHKHRSTYFSDMMMPDKPLSLAIVTGTNKWEVVVFNIMDNVLLIHTSADLPSQLIFVNLHKTLIESKKP -ATKITYDIDEISLNKETVDYCYRNLLVPRSQRMSHNTFAKYSVIVSSYDNRSHHMHDELSILKQALQVKF -HSKREVTLDQFLISYNEPINRLVLINAELYYNCEFENFEDANLMCQYLNGILHNRNNVLLKSAATDHQVK -FLDINFKRQDRKLVRRLHIDENFVDQEITSETLKGLLMNLREHDSIISSQINEMFSNLPCSLHISRPPLE -VKLLTDTPEMNLIALKTQAQQLIFPAIYLLIRKVKHGGATINQPVIDHDRDSWQDPKSKQLSKPGNWWNL -TSTSANKKYTRVSTFEDVMCMNYLWIHDPVEYDYHPTKDSDPGIMNVYIAPYTSTYTGTVLEPLSDLPDF -NAINLWSNNDLTDWLSLYSPINHCTIKMREIPTKIKTVEKVALTKYPIRSRAVLTKVCFEEGRSIIGRLK -SVAFIRTITPAPKQVAIDLANTYFRSDWRAIVNQYQCERLIIKRRDVEQWIDDNKDCPKIVKELLQLLSG -ELCVKHISNVNVHLKLESLLKDNPIDYWRQQQARIIVWQRKAVCAVYTSVFVEAKRRLKAILHDKIVYAD -GLRPDELSARLRLCNSVAGFFENDLTKQDRQTDKPIIDAEMIIYDMLGVHANVIASWREMHETWMFKSDN -YWGTGQAMRLTGQATTAIGNVLTNMQVHAKFIHKHWNTLEVGLFLGDDMCLVFKHKPSYHHLKNDIATMF -NMQSKESWNSNYGTFCSMLCTKLPQCKAEMCPDFVRLKFRYEVTNGVHECTNNNIIMRKASYLMMLGDLP -EVRNLIRELELPIKPVKWYNYHCALTAAGHKYDMHPDQVEGTLHQLLHLIKEGKLHKYTFRLFESGKHH - ->YP_009506353.1 polyprotein [Phaseolus vulgaris alphaendornavirus 2] -MARKLDKVSFLKSLGGSHHPKIQASHEHTSNRVLMRKLNKRNECSARNVYRQSNYKVKLHSSKTVVPAVM -AARQGEELEFLEFGYEECVPDLNKIDIRTIRSMMQLFEQGHCHIDITPEEVQATLHNCQLQSTEPYYGAP -GKLYYKHCRPLLTPRSKEDGTTTEAARDLLLGIIKKFGGSVLGMLYQDCANNANMTPHVYRQGNRYGFKA -MEMAQPDAATLCCYCKTVNFVWLYGETDGLQKSRCGVCMTPLLLEHAVPEHIMWPILTVHDITQLHVGAT -ELQNAAITLQLDGQPPITTKTMSKLIEQDFKNMRSIMSRAMAKTVYVPNGMTDFQHNHLTGQVPAYKLIR -TNAMPNPHAMLAAERRIATIMFADKRGPGQTILDVGSRKNLTGLKEWHGMGPIMDWRDVERYNDKPLSTN -ICDHTVRTCECMQGKKPMVMCIDSIYDIDPCDVLKLMHTVQTNQLFFCVSTAEVDFESTSGLLAHGQGMW -SRHKDKLVTSLRGDDRPYVNSWKLTKLWSTADLIQINQVELNLQTIKVLGNHLLRVLTIVPSGMDCLRTR -QLDHRTMTQVDLVAPVIDVESWLNVFGVPKISYKLTKLDLQLYRALYSRNLTGSLSFNKLIEFGLGYAHV -KYTTRTSTITHHHISADDIRLHAMLACVATRRKFAWVKAVTALNTTSNAFGLDPVEVVKLMSIDLAGKLV -NEIWGALLPDGALKSKLSGMVDTVKAWINDPFWDALEQLNEGSKRFEPNVVVWPMLTAETSVESDYVCCH -HNLWCEHEAKLEELCECCRLATKLSDSQYCLCCRPQAPKHKCAHHCYGNHGDVKQKGEINCTCCGLRTIN -GVCKCCVNKLGKWPAQQEGGIPLKYRREEINKAEKIVKSRTTTQEPPQTAQKTPPAGAASESGPTAHANK -PSDEQKHSERVTEESQAELTILDKWKQGQASSSGTKTDVAQGMSSPNQQPKKNDEQVDQHAMSTEDEVVF -NELKTLTTLDYACNVRYGYTYTVTEHLGVPNECNPLNLIGISELKYLPIGKKLHPPSWLTVMDRASVPGD -GICGFHAINRVLGGNLSLVEMQRVTRRQTEFSADELLDYLAYLGKNGIILTKDTIASNKIDPFSDQYVCV -RHCETDPNPKNHWEPCTVIQTEDMEYTPCFNGLFKQSQVNTKQLWMTTATTEIEHKNQVMRIVLELIKRA -QPKQSAAAASELNLKLIDTLHDTWMSNNATMVNDPAAGLFHYKIRAEDYEYLACLVNRKMTADIKDDLID -PLNIGLMHDTDIDQLRSALLRNVVYQCCLMLNEGDGTERMTAELWTSMSIETTKVAGGLRMLQLGDTKVK -TGDVISVNTISGPQHRLVWKHNGRYFCKEWMPPNHEKLRTTLHICRQSFKSAMIQMYCLSRNHIEFNKFK -QLVETAVCTLGPAGSGKTTKIARDWTTDDLAIARTTVAVTSLREKLNSPKQLVMSHEKYSFTQPPTHRLV -IDECTMFPWYELYFSLTTLPTSLVMYGDPNQISTIDTYMLGGERILDNIADYVPNKTLLKSTYRYGPKLC -GILNSIVGEIVSNAPHDTMVLDLNLPTWDDSKLISIIHDAKPDVVLVHHNVTKRRIGKLLTTIKVETIHS -YQSKEANAVLVVQYNEGGNSQIYMNKNYAVSAATRCKTKLVWVSVGLPDGMKLVDKLKGSSLDHRGEGLQ -DAIELTPNTRHIIRQAIDNGTISPATLTILQTTQLRREQIEIKPEEQRIERDLFTMEDVEKLVQELDIYN -QRVWTPMDWGEFKNRWRASVPPGTKLEVDDDRRVLTAKVWGCTVTVTVQQDMSKFTMDLQISFLMRLAKS -KIEKQFKQHYKDLWISEAMGRDCKSIIMDLRPEYRDDFARIVRPALMTNMVRPIPDTQPSTSTLNKDKVI -VIDWQVTDDESSGGTQSTPRHAEIEDCDDNTLGDVERSGLIGASDIRFLYPVTNNMTPKQASLIADDTNP -HLLPVKSIELLALVRAALPTIRLTVLFEECDNGYNLDLVSEESKVAMFEVTQHNMVVRSIGGTMKLRMGQ -LLLDAKLKVFATQTKRGGIWNRSPMLTDEQTQIVLAAYPWMQVYLDLDKAQDENVLIKHISQLDSYDYTA -RVAEFKNVLARYDELSQIYSQTGELVDVSGVKCCGPLLNESMIDAFGNANTVMTLNLDVGPTSNRIIRLL -SEWTETQYDMGQMAKLDVLGSSVVMATYGGCTLCAGIKFSSRGVDLMKVGRQSTAISNRCITIYHHPDMS -VTAALCHGLHLNDKLNLVTLGPLDYPPDLLADLDDTMKEPWFELGMIFERMSHMNKWLGSKITGADSEHT -CDLYGDTNHGLLNDLAKQQPKLEIPLRNSVPTHRSLLNRPFKAIIKGHQVVMASYEGIMYCSNTMWAMRM -SMRFMPLDVMVQRSYTYKANSWPWRLARFVTLNGSLANMMVGYDDETPTGALNLPLEYHENNKTIVHRFL -CEKREEVVLSTMKKTHHVVFVSRSQLQNYGSMISRDCAGLPVEEQGCDTIDQGFDLLVEQMCLKYYYNSI -EKGEGSVMVTDFPYLSLLTSSWGNFCIPGTNGGGFRYYQNLVDCNNMMEKMSHVYCIDERVNEENRDQSA -YYKEMQEAIDTQIRTGTGPWFTNSNSNEPARITPKNLMGLVIAKLPCQEIASMMRANNLTSMNIILPQNV -QSDNQLFGIATETQREYQFWYHNSNHLTTINKELLHMFMTGKCITTKYGTLVSHGANRVLGHCIVKLTLL -QNAEMAPKYVRPIVHDNQTKQVVFKLPVIANFKMFLNGGEALIYKEVDMSLKMYRALSLRMLRPDTTIDD -LLSYARTYSHTVAYSISKMTSQQPQMITKLMECCVCVFLESTRLNNATTRLTELINSTEFPLTKLSGLKD -MAWFATIKMVTDVYKWLGVDVGIEQLLEMVSTVAKEAAGRIINNIDKLKAVRVSKVEHTDPLIMYDASAD -QTVISDHGPSKPVQFVQGVIKTLKLGKAAGYGMARSAQNLRDPRVDNLVAKPLAISKVVKQLEEHIGENK -AEALREVMQDLHYDYPNSQLMEALIKVMENIDDKLKWRDMCYFGQIMGGEWHTVGANLAKKSKKPVNTCI -TLNQLDKEIKELQWMYPTECNHWKGKKIVFSTIGSRGDIEPYIAWAQVVAKLGSECKFLVPKDYVDYVNS -YGFEALGLQVDSSKLINSCIQMEKHKWNPLQLYNELNEMFEIIEGMFKLNTTKLLQFCEGVNMMIETPFT -HVGVQVAQKLRAPCLFSTAYPWEQQAGMTTRADSATIIEMLTGIAAFTPFRKHIEQWRHRTLQLHNPRGI -MAHGSGNPLVYLHPKLTTWWKTSNTSACVGYANSCVKQVSEQDVELTKWAAEAKTIAVCFGSMTGDRRSS -MTTKLMLSMQSQYRFLVVDGQTSYDQIKFPNMRQVSSCNYNLLFNAVEIVVTHGGSGTTHNALQHGCGVV -IDPHFGDQFAWLKSVEQLGCGTSLEKLLKLDVNEQTSKLQQYTDTAQTLGGTVRAENFMVNLITNSEVLW -QAASDHTTNLLANVVEPLVSLDLNCKNINNQLASGGLGKWADVEDTTVVITAEGPKFDKNHIKRSNQMEK -GTGPQHNQGSSTTVHPQTGRNPEMGRNYKEHQSSHALTYVPTNDDLQLAAKAREERKEQKKQADATRRKI -AVQLAEDRNRRKEAKEEKKEATQLRLEQSEPSKELETAASLAVETNPEGKPDGTHTLSKKSKEPKYPWGM -SKDEDARGKEIISECVATAITEPPAAVYVTTTDTTIEPESMESQGERQAGPLRKAHEQCGTMDSKKLYTR -TNLIYDLFEVEHTGINYAPFKIMSPTGQSVVLNPNEGTDCVFECLRMALTDEYTNDEDKSTIILRTCYQW -MNIQTMPTTRQLEGLVRALHLHIGVQLPSCSINFPIGSATIEQVTYLEIIEGVLAAHCVLKKVSKPSVEF -YTASNAPQMSFSINVMNAENAAIDDGLEPKLTMSSWALDLFNDSKCERWWAMMRTNYTYAEFMHKLESKN -LVHTAKRMHAAMSVTNCTQSENILTVDEKVVANKWYWVTDGAEWYGAISRRVGERSLLITTASHYKWGEL -VVMVRTDNHLGWPIGLTVRERLARKIIVPGNQDVTALNKSTLYESRCKNYGVQLKGVQDTSCEYVAVFDY -DNRSHHKYDDAAFLVSKGPSKSIGLGFDLTGDMWAKITNRTSAVRHMLNRGKLTLCMEFVDHQQRNTFAS -VLDASRVKYTLEGGSVYLATEEVSHAHSNLIQEWAVYMNASNGLVIPDRTLMERLKVGVPLQELVDQICP -DINIKSAFGKRHPSKHTAVLTNDHARVKMPLTTIVKTEMETQDKYLVLRSNCIICELLPLTRGGGEEEPL -FWRHGEELKVNSVTRWWNQPETEAPKTGFNKDKYLQINSEALTNWKAENTSVVQISTNPFNQAGDSTKMH -YVGVTQWANVIDSQLGSPEIVDYDIMSMWDDTDFTDWNERFAPKNDVVIKSKATATEMRVTTKYTMVEYP -THSRPVLTKAANQEFNAVSGRLHNITTYRLKKYDLTREVRKFVDMYFDSSKRDKLKVYQTQQLTFNDAKV -LDWLRDRPDSNKIAEELNNILAEGMQLHPINKLNVHLKLESLLKSEPASNLKQVKARALVWQCKGYCAIF -SHVFKEVKVRLKDLLRPEIVYSDGLRADELAARVRLTTNVKFLLENDLAQQDKQTDHEIIKVEMEIYKLL -GVCPHLVDLWKNCHHSWAYKSRTVAGVGDAMRLTGQATTAIGNAITNMLVHRRLVKTLGHNLRLFLVLGD -DGLMFTTTWVDTTKLNNELKTNHNMMCKPSLSQTHGVFCCMMAAITDEGNCTLGPDVVRLRRRFECPNGV -SEMTPDNVQARCMAYYMMLGATPEVTRAIQQQQLPIQPIKWYDADSIRSATMSKYNMDANQVLNEERLLL -GMLSEPNSFAHKILHWHEGQ - ->YP_009513187.1 polyprotein [Bell pepper alphaendornavirus] -MTTKLSRPFALRLLGGSRPPKTQILHGCTGNSIPMKKLNKKNDCSARKAFRQSKFKFKISKNKTICPAVM -AHRLEEELEFIQFGPEECVPDLNKVDIRTIRNMMLLFDQDHCHLDVTTEDVVKYLQQSQLQSTEPFYGGP -SKMYYANCRPMLLPPSKQDGTKTEAASKLLTGLIKKFGGSVINMVLLDCVNNYNMTPHVYKIGNSYGYKA -MELPNVEAAMTCSCCGITNLVWLLDETEGLTKSRCGVCLQPLLVRTMMPECIMWGMLEVIDITQLRVGAI -ELNNAEITLQLDGQPPLAPATLSKLIDQDLRNLRSVMSRAMSKTIYVPNGLTEYQKKELAIVVPAYKLLP -MSGTPNPHAMLAAERRICAVTMGDKVKKGKKILDIGTRINLTGNDSWHGMGPCLDWRDVERYHNRPLTAN -TCDHTVKECGCMDGQNPLLISVDAMYDIDPTDVISLMHRTGTNQLFFCLSTAEVDFENTNGKLAHGQGEW -MRHDDKLITVLRGDDRPYVNNWKLTKLWSTADLIQAGHVELSVQTVKTIGNHIIRVATLVPDGMDCLRTR -QVNSQNLTHLNITVPMIDVESWLNVLGPPKMCHKTMKLDLALYRALYSRNLTGGLSFEKLIEFGLGYAHA -KYTTKTTTISHQHVTADDVRMHALLACAATRRKFAWVSSALKLNNNSNVFGLDPIEVTKLLSLDLAGTLI -TDLWRKMLPDGFIKARVDDLVTQVTNWINDSFWDTLDSLSGPSKVFTPNIYVWPTTGHETVLNNERICYH -HQQWCDHPIALDNLCECCRLVTSLPNSSRCECCLKDTTAHSCEHKCQGGHEMVPTELTQNCKCCKLNSLT -NPCKCCSKKLAKFPDSQQPIGSEIYAQPQVDMAKKINNYKYQGLEKITSFPTHRTTTERPTEISAERLVP -QFEPTPTASSIIQLWKTQLGDRAMSSKPINETQQHLTATVTDTNGNAEGNEETAPKALTSAAPDDNEFKT -AILELTGLTDFSYVSNLRYGYTEAVASQLALPNEPNPENRIGMSELKYFPMGMHQHHPDLLVAIHTQSVG -GEGLCAFYALEHACGIKLSLDHMQSALNCKQQFSTLQIIKYGNMLGYNVAVLTEETIITGKVDPTTDHFV -CILHTIEAETGAPHWQPCNVVQTADLESTPCYKGLFTQSNINKQLSLISAEVQGVELTKELIKIVLSLIK -RAVPKLSQLKFGRVEMTLHNEDGSWKLSNNRINRHDPGCGLFNFSIDERHTDLVESVCHETVPLQVLDDL -ESPLNVGTMHNCDVDELRVSLLRNTVLQLRQTWLQAKGIEPRSEDAWVKVFALTHKIGRDTRLLMLQHTK -VKSGDVISVDTMFGIQDRVIWKFNDGFQCQDWLPPTIGTQTIKIYINKRSFKSGLIKLMTLSRPHVDFTQ -FKQLVLTSTCVIGPAGSGKSTMIANNWVDGTIAIAKTTSAVKNLQLKIGGPKNLVMSHEKYAFTQTPIHD -LVIDECSMFTWFDLYFSLTELPRTLTMYGDPHQISTIDTFMLGGERILDNITDYVVEKTNLRSTFRYGAS -VCALLSPLVGELSSKAKHDTKVVDVNLALWDNVELKATINEHNPDVVLTHHNITKQRLMALCPNKRVETI -HSFQSMEANSVLIVQYNEGGNSKIYMDKKYAISAITRAKLKVVWVSVEVSNRMTLLDKLRGTELDIIGGG -KQPAVTEHSDTLHQTLLDTLQGGINEEETKQPVFPQQSEETSTSYHEMAEVEHQHMSKILNEKVVTTADI -ETILDGYNMNKQPLLIYNGWADFVEKWSQTLPSNKKMEVREDVGTIKIWLYSLEIIVTVDENREYYSLQL -NSPMWLSPMKSIVMEKYRAGLNDEWCKHALGKKYSTVLAKLRPVHRDWFKSVLHWQPEFEVPTTTKIAET -KNNQNLKRIVDVDSEGDEWEDALSEDLPEDTQAALEDAALSNLEMNRILTDRQLRNKYPSLANLNYSQIG -ALLNPAAPMLYPMKSTSLIALVYATLPNVKLTTVVKELDNGFEVTMSTVAQLIVIIAVTGDGLLLEVKGG -TLKQKIQEAILELRVRSYNDLAPYAGVKQNGRTLTAPQTQLIQQWYPWLTNLEKRQTSDTAIEVHDLIEN -GRFDVSGKMNDLLNSMRELRWILKEQGVETSNDIEYVCKVCDDQCMYSDEEIGLESGCVMLETYLGPTAT -RILRLCSEWAVLQSDIGKQFVVNIRGEQVMIISFGGCSLCSGLKFVCNNQEIMRISPQRTMGNVRRLRLN -HSAYPAVLNSIMISMHMNNMAVGNCMNDEPIYLDLLSNVDNALKCPWYELGMLFEKISIAPKYLWRLIAD -RESQSACKMFEDENNNLLSEIANHIPNIEIPMIVNTPGHRSLNGRPLGVRYKGIKFAIIKFNGKYSCDNQ -LMAARFAWSYIPTNVMIERYFFVRLNSWPWVIAKLVTLNGKLANIGLGHDFDMPVNSLNLPLSYHESNNT -AVNRFLVEKRGEAVLSTMKKTYHIVFVSKTQLQNYGPMLQRDCEGMPIEEQGCDTIDQGFDMLTEQICLK -YFYNGLSRGEVSQMITDYPYLSILTSSWANFCTPPDKKHAFRYYQNLTDCNNMMEKMEHAYGVGKQPAET -SKEKEGSTRQDIMECVNLQLTEGSGPWYTNQTQDTAEIVTNNVLMGLVQCRLSPCEIAEMMTKAKKKCAH -LIMPQMIEQNNQLFGVASEDDYQYKFWYHNSSHLTIINKQLLTMFQTGQCVSTPHGTVVLHSSNRVLGHC -IVKVLLLPSWAALPKYVRPNIHDNQQKLVKFKLPDIKNFKQFITTGKAISYKEVSMSLKLYRALSLRMLR -PGTTIDDLLAYARTYSHTVAYTISSRSSQQPQFVTELMECCVCVYVESIKLNSAATRVMDIISSTEHPID -NVGKIKNTIWFALVKMLAECYHWLGLDTTIENLIELFASVGKETVGNIIKNVEKLVVVRISKVDTADPII -YYHDDTDQCEMSRTSMDDTRRKVQQVLQHVRESVQWGDQVRKNRNNLVKDNNDNVKPTPTSQLTDKLALR -LGKDRDHEIEKLIPNLMECYDSNEMATAVTRVIKNVAKKLELREQPNIISCVGDERWISESTLLEKDKIC -MNDCFTLDDLRKEIIELRWMYPDECKTWENKLVIFSTIGSRGDIEPYISWGQIIRELGAECRFLVPIDYV -GYVNDFGFEAMGLQVNSHDLITTCIEAERSKWNPIRLYKVWKEMFELIENLFKFNHTQLMKFTTGADLIV -ETPFTHIGMQLAQKLKTPCLFATAYPWEQQAGMTTRADRMTVMEIIAGVAAFAPFKKHIQLWRQNMLQLH -NERGIMVHGVGNPMVYLHPKTTKWWETSKTSCCVGYANTMVDRVQDGDKDLCIWASQYKSIAVCFGSMTG -KTRQDLTSKVIEKFDNQFRIVVVDGSYNLPANKPNVIAVKEANYNMLFSCVDVVITHGGSGTTHNALRKD -CVVLIQPHFGDQLAWLKSVERLNCGGSLSKALSMPADKLMQEFATWQCNAKIVGAQIRSEKFYVNLVSNS -VTLWQKAAEHTTNLLADVREPVQSLQLNTSNNVSLLRRAKLKWSDWESEAALTTKLILKSHFKPQFEKTG -SSAQLPPSGSADTRRGGPRPQVPPKRVYEYTYGAPKDTSSTPLEQSGIEVIERQERRMHLGIKETEQQTT -PLEPRLQLLSDVAATTEEVPIELIDSSSQTTDNNDDSNSSKEDDNVEMDLQPPEPDEIDYAEGFSVEQPP -PEPTQVVEDVPMPETVGPPEIQFSVDATNELTSNSMLLLNMFELNPVTDWGPPMEVVTPGRTRLLLAPKS -QFDCAKEVLTMAIQTQYDIDELRATNIVQKCYQWLRVASVPRVNDLKVIIRMLDLKLGLKLPDSNIRFEH -KSPTGDTGPVIVVVSGTSLGHCILKEVSILGSKLIKQENCVQMGINRTTETQLNELFERCGGCGDWTRQN -LPSLILNEETAEKAWVELNTNYTRQEFLHKLQGTNLVHTADRMEQPIFLARCQQSWSAIFCNEKIVPDRW -YWINDGTCWDGAVSVELNKQSFLLTNSADRNWNRDIVTFRTDIRLGIGKSTQKRKPIKKKIIIPGESKVT -ALNRSTLHESTLNYYGVTLTGIQDESCDLVAVFEYDNRAHHKYDDREFLTKLGAEKCLGLGFELTSELWN -KITARVTPLRHMIHKGEHKVALEFSNQAQRLTFTSIAHSSEIDYIAEGNSVYVSLANLDKLKEQCLEEWA -IYMGMTNGQVPHIDDVLSKLSNWVPLSEFLSEHGGEVNPNHVFGNINIAQSKIRLIVNPGRTTMKLTNIV -KVEMEYDPPKLVHRNMCITLEVMIDRRAGGLEEPEFWKFADDFKQNSVSRWWDAVTIEKPKTKFDASRFS -QINTEALTGHGADEVNQIGMIIKGCDTGDATKLAYQAVQEWSNAIDTEPTTVEIASYDIMSLWEDTDFSD -WNEKFCPRNEAVVKSTNPATHLRVTNKYTMVQYPIYSRPVLTKAANQEFNAITGRLHNITTYRRQNYNVD -KELQKFVATYFDADKADILTSFAQNKLTYNDAKVLDWLRDRPDSNKVAVELEVILEEGMQLHAINRLNVH -LKLESLLKAEPASSLKQVKARALLWQCKGYCAIFSHIFKEAKVRLKQMLKPNIVYADGLRADELSARVRL -TTGVKYLLENDLAQQDKQTDHEIIKFEMALYKLLGVHDDVITLWHNSHFNWKYKSRTVRGEGDAMRLTGQ -ATTALGNAITNMLVHRRLVNRLGHNLRLFLILGDDGLMFTNTSIDLSRLNNETKIKHNMMCKPHVSNTYG -VFCCMIACITQQGNCSLGPDVVRLRRRFECPNGVSETTSENALARAMSYYMMLGATPEVMNEVKVQNLPI -QPVKWYDVDSIRSAVAEKYNMSDEEVINEERQLLKMLRERQYFKHEVLHWFEGI - ->YP_009351891.1 polyprotein [Lagenaria siceraria endornavirus-Hubei] -MQMNTDAITPYKRKYKDKPLRLLNKEFSNHLQGWIKLPRNQSVTINKNPWIKSFQKLFKDKREHIFDNNK -LKLVPAIRGERKIRDTVGTVATNVTYDDGLGNVINFNELHPMDVVKILYNVNCLGIELWKSDYYTIQDYI -DKVKHLELDDDEKDEEDFLDEDDLDDKLIIKMVKPGRGNCTSEILLALDKILKRFGGFEGYYNYFLENHF -KHFGYLECVDGSYDFHSVKFNPLKVCGVCGRVNHYNQFNRGLRQVHYSLSHYKQKSKAIKEDFLTEFDEH -HKPTNIPWRCGYCFSDLNYVVLIEKYMMVKLIEYVCNHLFVLQGDIEQLMKIGYGDIYEDLDIKDKEAIK -SHDEIVVNNYFYHSQRKIVTVGQDFDAASHRVINDVLGGCYFRPTSKLNSIKPEFIAELSTFSEIIERTL -VGRDIVCLGNVLPGTNRTNVHNWYHNQTWFSKDPEVMCSSKGICGHNKNYFVYGNVGMMGQPLNNILNIV -EGQRALQFITPIMTATRTLPDSTGMFQRTEDNLNFYLDGNPVPINFMTDTYNALNNYQIIKWDRKLFYVV -EVKKLNLTRLMTIVGPFNDTIDYPRLMVDNERGIKIRIRLPDIDSFMGKTKGLNYQPKTFELNVNLFRYL -CMRNLNRKVSHETLRPYAVGFSMRRFVVHNKVISNPTIAYEDLDMHIILSRVCMMKLKNCFEISHNYSKA -LKLLGPFRSMVGDMESALTASMIGLIGEILENTLGLKWDAVLSMLDGARLQSMLMGVGKEDFWEHLLQLT -ENITTVPPKILDMERHTEEEITFALQNCMHHSIECNHVRVKADNRCCCCNLPITDELFCNVVNPNPVSNE -PYFTPNVYEVEPEREEQQIGNIEKDKIVDNLANRIMLAMRDTSIRDRRVQPKGPYKLPLEQPPVTKITPD -NARITEKANVEESKPTVDIKVTKSKTSSFKSKTSSIGEPPQNAEGWQKVLRRGKEKPTDIANAILDNIME -NAERLTISTDNVEAINMLGYDFVRHCCETVQYENDLNNGVNEIYYLPHLPTGSLILPRDSFEIIDKVYIP -NSGPLTCAFDAYHFSTEPGSLQEMIDIVGAQPPFSTEHLSLYAKWRKDNIMILDDNHVHVEKHNESSNTF -AVIQFEHGETNADIGHFLPVTIKRVKPTPIYFYHRDPNAIVERDHIVKNRYGKMYSSTCLPTWSPKDNLS -CEITYHNITVDVRVSEAIKPLKLEKIENEWYLTNNLETRLHLIDKEKIHAKLPKIFEKYISKIEYGLALK -LPSNEIEEYRHIDKELPVNYETELEAELLEILRVFARLSELAGQVPFRDIKQTHQGHISSWFGKSKFSIP -GGKTHKMKKMDVVMLKIQGKWIKSTIVGFDRNNPVLDYVAVCEQRASLVELKESMGSQIRELVGLCQSYV -DLKTLKSKLAEAKFLTGPAGFGKSTKIGQSAVPGDLCVAMTTSAVKALQEKVTRGVKVMTLERALYSHAK -TGQTLFVDEATMVNWLFLGHLITDQSRIELFGAENQIGKRDMSKTPGIRYNITLSDFVKPENKITEHHTY -RIGEPLVTLLRSVEPKLMTKASHKTTYTITVLDPAMVENINNIVKDRKPEVIITPYSYNRNLIEQFLKHE -RVPVVTTHAYQGVEVSRSMVVLKADAGNRWDLNGHPEYLNSALTRAKYHVDIIIYGNYTDVKSLEDIMTQ -VGGMDYEYLQYFNENIESATTEIAEVNQSNETNKGDKLTLKTGTALPDVITINTMHELSEEMVNQLNELN -ISMQGAKLTYQKLHEGTSVTTQFAGATVSKVTNINGIVNVDANPIIKSKIMQNLDTPIDVPELIKEQVDG -KSQSIECKMTLRQTNKIRELTWILDKTIDRELKCTINGVNIKMTKTASCPLFAGVTFETDTEKLTVSNGW -YHVTNRKVTINKERFYPGLTPIIRWLQLDIKELILDSNTNIGWLQVLKGDMAHFLWQLKERATQVHLWLL -NFLTETDSPKCYYNNIINTAKYNKAARSINQPMVTNHPSVADHLNYIIKKQSWIKKHDTYILTNGNQVII -DITSNEEEAKWEIFAVECLADMVKTGTGMQGIAAAELDIPGLMIPLHKHLALGTTVQTIINNNLLNLNKQ -TRPGVYDVIRLDKQNYAMQKHYLKHRYPRMTITSGNYEIINSGIELALEQILLNMRGGLTENSTGIVAYC -GLCPISICMTGKYYIKIVLPDVNSNIRFMYDMQKPQIDSMLDNFNAKRDAKTELYWDHETKCERILAGTS -IYSLTLEEILYLLDKAHCMYGWIPTFARDTDEYVYDRQLYCYGYRGGRVTNKIRNDIIDKVNKGEPILIK -YDKNEYLEATVVTEVFNHRLIKLQFKPIPVCNYIDRRLGTYMDINNIIKIKIPWIDLDLFRVLKDRQIIT -IKELTINKRFLRNILLRLMTGDDTPHGALEYAKTLESTQVITEKGIDDLTASNLLVTLNTTWYAIYIHNN -YLEKFKTLIHYLNYTDGRPVAVLMLEQILTGIGNLMGMVSDKVSDIAEQFITYLNKNYNLTNKMADCIRE -AEKTNWTPETKQVYFNRFYFSKDDEFTLRSWMTGDDDNNDDDDDAGKDDDNVTEDNNDIITTDSTSLPNI -GYEGPGTSANAEDVGTSTTELQESSTSTTTNDSDDRIRKLMIQPGKPYKNTRLPIQVMRFVDEKGATIIS -RKQTIGVLKTLQLYGDFNEEQLIKAAKLIDEDYMVHRDLLHAPWNLKTLSAKVEDALGVSLERKYNEEEP -SKYNIQLYSASSGRDINISYSEHFMIPKIIWFYWHETSVPELIMRCINSWATFNDSYMIVVLTKQELSQL -IPKEITDYVENTTPQFASDWVRTFVLSRFGGVWADASIICTGTIDRLVERTLQNTIGLLQISLGSKQVKI -KTSENWFIISSTYNPIITMWNNILTDLMKETKPSGEGCSVWIKKKYPSEYSKITKTMAADSVDYLRSYVL -ESIVSQITGLFPETICKDEGQLTTFDDLPHSNWDTLLDQYTTKTKRPDLIIPLVKMIDSHRIIITDALLD -GIKPETGSLLHEIYGMDFNLKLHTLQQVQERLSRAIKENFEPVKKEFELTRGLQIFKTEFSYGMAKLTSM -LNNSMTVQEIQMVRPALEFLVKSGCHLYITEEELVIMLGMVLGKMKHMKDLREETQIWVVLEHITDTKQI -DLEKWFETNELTTMRLNVSNRLPTKPDYVTHGNRRIPKIVWSYWDKTEVAEFQVKMINNWYYHNQDYRII -ILNEDTLLKMVGKNTHALLMRQTPQFRSDWVRLFILSNFGGVWVDFSGLFTKSIHNLVEATVKNSAGYCQ -ISLNKRTDKKIVLESWLIICVENCKTIQSWFDETKEMTKFVDPSGYNALEWMTSTYGSEYQLAKEYILPN -LRTYLRVYIIQVIVRLRVKDDPVIIYSDEANVSMFHNLSANAWLNLWDYVATKPKVEIDVGVPFIKWIGI -QRSIIIENYNCHHHNITPISLLGEELNYPSITYNVMSNAELTLPNREGSTTKKTNNIIINKYTNCKTLLI -CVGSAGDVLQFKTIYEIADSEGQSPVIITHKDHSKYFENKKAHYFDVESEELMALVNIVLNEVDLWMDIK -ASHLVRQISDDITAIARNYEQIIEKVIVNSAVPNLTELGKMLPCDWLEVSTFTDNVVFNPKKTTGLKGSI -LRAKEWLHHNIIKSELKEENVRSLRKFCSGYPLFYPYVMSVCGPLTIWSMPSNHNEMIMSEQDVLITFGS -CDNTITTKSKIKLIENFIDCGYRVTYHDKYQIAVPGSVLFNAMEQYPGKFGIVNNYDLRSVKGGKFVLVT -HGGHGTIMEALLTNCKIMIHPIIADQFSICDTMVQQRMAVKLTDITNVNDCRQAIAGLNRLIDTTLAVKP -VISVFFKPLIFWLKPEYKIDSPDETLKILDDAEVMGDYDNVGSGIYRILDVEHQILYDPQVPNRCVTHSL -EYMLQGSGQEKYLTCNTIAVMRLQQHSVTEQELIDGVLSMGVNVILVYSNGTGLVVKTMNGPTMCFYVDR -SRTPWHVCAARLVKHGEVIDLMKRNAFIRELPHSIDYEINELHKNFSTAMLCIPKLMAQQFGKSFFKNFL -ARTKSTNLQVLSSRTDLHVAYITIKQHFDAWIGDTIYPDTPLGVGWVITAQGWVLANYFIKDGIIVVFSD -DGILEQTILINLHLQYPVERSKSVILSTKQELVSLNKPTIMYTTLNHCVPRSSNLVSNFHKQNILIVADF -DNRKHHMFDEMDMIKRAAKVIFHTNKQIKVEDLASSHNAPLNRMVIKQGKVLYEHEFEEKMRQRQVVKLF -GLNVMSEQQCITASPLTEEQINYIYKYFVKSGNKLVAIDNESYNDKEIKPSDWVITLIQNSEVMSNDVIN -NLIKLVKEHSMWHIYDQHNYELSMTQAGDVVTLEHGDLWVKSYGIVLVYVRVGGQRERLSWKLDTDSAQI -NNPKPGDWWGLETKTQTKVNSNFKNVLAINELKYHEPETLLVDGSNVVESDDLLDMYIPPYHYGYTGSVI -EPLLDFPDNIAMQLWEDTDQTDWLSLYAPSNQCQLKSRELPGKIIQTEKITLTKWPIRSRAVLTKVCFEE -GRSILGRMKSVAFIRTVTPDPYKLVMDMADTYFIKGWVTKVNEFRAKRLVILPEDVKRWVETNKDAKRIE -PELLELLSGEILVKPMNDVNIHLKLESLLKDNTTIMTKQQQARIIVWQRKAVCAIYSNLFVKVKDRLKSI -LHDKIIYADGLRPDEISARVRLCKDIAGFFENDLTKQDRQTDAPIITTEMYMYDLLGVHPNVIASWREMH -EVWRFKSNHYWGQGQGMRLTGQATTAIGNCITNLQVHQEFVKKNFSILELALFLGDDMCMVFREKPDTKN -LRKNIATKFNMQSKDAWYRNGATFCSMVMSRTPTGAELCPDVVRLKFRYEVTNGVHEANAENILMRKASY -IMMLGEGPNVKETVTKLNLPIKPLVWYDFESMLRAVGDKYDMSHVQVLDVYYNLLQMLNSEKLYRHTFRH -FSNIEGK - ->YP_009342056.1 hypothetical protein [Wuchan romanomermis nematode virus 1] -MENNQLQFRDSQIEKSGYLKEKFSNIDAYCRESNAPRVQPQQIRFDELFTITPDVIKSLLEVYVMSNKML -VMKTMSMSSHEKLISMDFNPLCLRLEQWNLIMHKARHNQGMLTTQGLETSAVLGVNPKHQICVEQISPEL -NFGEDAFIMDERLQLEETMAIIENTKATYNFKMQNLFAVIRDHGGHDQMFIMNGVDRGWTLNPMVTTMTR -QKTSYEMDGEIYEGEIDAKITVRCTHMFICGKCGIINACYGEDPDVVCGMCFGDGMSPDLRWCDIGGMRN -VLTSQLLKSVDKHNVDPADKRYREIIKHDLVLLQMELNRMNVTMVEMPSHVQPELVTELHNTYNGTYVRK -VDDHSSTHPEFTVEAKIIEQIMQPPNVFVVSNETKQTCVDLMDQIKQQPERVSVWIPYDVTHFTIQELYF -IADDPMVDCVYIENPRTPVTMRYGQLPCSSGDYVVDAELTAVASRHSTKALVYYTNTLRQLQQADLLTNG -TTKISNTTVVEGVALNLNKLMKYNLKTNVQDILKTVQQDKDFNVKIPVMHEKSLMNMAMLGGLSYVDLKI -DVEFLHNLVARSISNSTTWHELVTYAIALSRTSYHTNNTSHKLTMSVRDIQLHCYAALVVTMRIHRKYRY -MAEIADAPSVLSLLGKNFMKTFVRACYIVASQFATQIIPAKYVRAFEALRQSRRLKFINNLIDDKSWDML -YHKVGQTSFEMLKIVNITTPIVENGNCSTCEHKIQFGRGDDGTCQCCYIKSAMVDGLCQDCSGKTCHHKC -QHECPLMWKHLCSEDCQHQQWVCNCCGMNTCASTCRACNLTLFNLSDVVELAENTTPVATTTNKTTSKRP -QPHQPRSKLHNLHKPHTTDNEKRTDRVNDDKPPTMTYADTINLPVPIKTCYDLVGADAATVLNKIQMANM -EFANYLVRPLDGLQEWNQHRHADVLINESHIPFIPLGKSTILQPSINVLRTNNVSGEGMQCALYACQNLL -GPEFDKSRFLNIAESKDPGMTGEWHDGVIDAYLDSMNVNYAIFTPLTADVVRKDPCSDKYLTVIHGDLVG -QPYHWVTGSVEFKDPPSIAPTIDPTLGFAELQNIARELQLHVVDWPKWSIDERCCFGYKQIVNQDNISIE -DFVPKLDGNKLTWRYGNKKEEATVSPEQCSLVRLLCSNVVAGLENTKLLDEMDVPGDIPDNITPVINVKL -AMAIRQALRMSLVGRGTTTRDVSGTTERLKYKRIPCGILVNLPHERLKTGDVVMLHTPQRWISCAVTCRS -NGVIIHAPTHKTLTGTVAVHVPKCSYRSTMHEIFSLVRCSTSGMVLRNLLTDADVTLGVPGCGKTNEIIK -LADEDSTVLAMTRCVVDEVKRRGLSKGKSIECYTMEEAKQKDLRSTVIIDEATMITWPQLATLKLSNVTK -LILFGDPYQIGSVDMNDAPGVRSTRNITTYTTHQPSTSNVSLRIGQPLMDELVKVRPQMQAGAQHQTTFV -VEYMPQFESHEIAALCDREHPDVILCFYQDACQRVQRCLHTDITVTTVHRFQGKDAARVMVLQWNPLKSN -DGIFRDPRYTFSAATRAINHLTWVSVGLGNANSALHTLLGTQRGGGDTDIDSDICPSLLERLCTEVMTKL -HQLVINKNSDEQNAMRADIADNTTTCDRSRATIQRRIDELMVSCQPVMAQSRLTTAVRHEDQSTVIELYR -LSPLGNFHLATVRIMMDNQLTVKIEHYSMVMRMVVGYPKAIKYIDLMRRWLLIDVNQRDPSYIITETMSM -LQDIVSGSVDKFKQAIQYIIKCARSMTNNQQVPRIEQPCNNDDEFVDAEEEWIKDDINGSFNARSLMDEI -REAESISTRMWQTDDVADFEMTEYEHYVNSTYKQTACCENCTTEINRVWGKTKASVSQLLFTQTNQTTSN -AACQRCSNLIKTVVEATALGVIVLWVGAQWHYDMLNDMLSASRLQTDILSSSSIRKEKCATYHVEIKSSK -KVDDKLHTLNFLGWIDKEAGDGLACTVASRKVIVHPYGGCAKNCTTIIAVGGHNLIEISPRNHGNRLITI -NLRQNEDIRLVGIILQRLSLGEIVDHLGWWQQPSGYQEEFDDKMLLLTPEFALGCKIANFSVWTPKAMAM -VPLSLPVVQWDYARHNIQHWSSVKSKLRSTDYALVSWGQSNGLVNATNSVFIIENTQTGQKHKACLLNGE -VTLICDMTIEGMPSIDEATFNTMRLSTMLAQWPWELASAICSVMNTISSTKILGVDDGFIYDLDWHLNNN -TQVAQLWRDKLSDVKLSTSVRIKDMVVVDTTCVQQNPDTYKGLMPTQAFKHTNTLATKGDHRACIETIIL -HMVKRDGANWIAMLDTPLPLIENGWWDMTVCRKTKGDDCNTWGNSYDQAMMKLSDIKRNYEGSSAESTQM -KLIAIKGMLDSQLQGTAIWFKQNGDFIHKNKEAKMSKLFVGFDFAAYNPEELLSMLRNHNLQEIVGIVFV -NRVPDDTVTLSMSGDNPVIMHKGGRNATRISSDTTQKALSGFKQTLAEPNTWLTFESQCVTDNIFICRVK -ILEAHSTSPMYSSPTPWCGWDDCSIYTIPVRPDHVLNDWVINSTKKKKVVVRKKILQQLEQRAALPGTTY -DDMVAYARAKLCSSFYTNTKVGKNIEITPDQMMVYVGIAYYNAKKMGQRLDYALTKLVQQQDAIKNNNLI -LEYLHTDEMMELAVEMLQNKSLNLNIDRVISMTIAALEKYLPEKALDFIKEMTTITLEEDQNKRCFKKWS -HGNCRDFETINDKTKYNSNEHDEMNIIAAMSAWRGIKKKMERLNDVHDILTDHMTRLGSDESPQCAITQY -VNSLLADRNMEEDCHYSDVKTKWVVSQDDTPCDSSRHTTVLNNAVALWLTCDTMSRLIMVRYANELYAQG -VDVVVCGIQQEPSLQPEIDFIKVQGTVDRRSYYYIDDTHENWLVALSFLKEKRINCVNDLTQDFMKKLSD -KHSVAYICTQVLEAWVYCADDEISKSFASDATKMAVREVLKRSLVILDNRSHHFKRLGNLVKTLGLRNHI -INANDELWILVAERNLAGLMLRLEHMNLDKFNNVRIAIKGENVDWRGIIVRGKYVYVSYMTAEQFADIAR -DNVLLYDTNGDYGMIQILSARVIVITTYLPIEMRLALEMYDYVNVYATTDFDMFPRLFDLPNQPDFESRR -ISLLHSCEWLQWDLIKKGKSDSVDTTAGATILDEANDTSKPDATTPNGGSHDDGGKRYVEFQMNDDFDVI -PLRKKKKFMDAAIGKTKNTAYTYSNTEFVLRLNNSYKPKLDDAVPSGLSKYACAVTKCDTIYDPTCVDTC -LYDCVAKFIEMDENDVDLPSISGMLTTTQTRDEDYLIWLVMALGYNLLVIGEKGDAILHVWFKHVKPIMV -KVVFKNLTMHCTLVQAEYSIIQPIHITTNTYLHLTDLEQCSCGKTVVRPRATTQLCIESTHVNWDDLGPA -LRALCNRMEIHKELNAGLIAIRELNPEFNTTIHNEHTSLKNLMYMFVRSSTSIAESKLTTMGDSWAVPPS -DEMKFRVGYFYAIHTMRGWQIGACLRSCGRMLIYNGNVVDSSTGLNIRLQNPWCTFPQVSKRESKQGLTN -ECCLNAQTRSILLRDSSCLNATICSPLDLTCDTIHVAHFENMAHHKGASELDVIMRYMEQPRRVCWHARG -MTDEIIKEMGNTYQTLRTYCIDNSNLTYNYRCLHDHVADFIACMLSEKHIQYRRKGDTITIKNEIDWDLC -KTNIETNLARVCMKEGNFILWRVDINKTHQLNSGHLAAWVKLMLAQQQKWVVECPTNEWKEMMAANSPSG -NWQDGSVSVDWVKPDLINMQVEHALAAHLTVWTQISKNCYATCFSSKVAVLRTKEEMEFTQEQSAKEARP -KGNEDSSKEEDKQREDESVPKETRPGANPRRKPADCIHDITLSTSGNCASIQIAAFSLVKVTYEMCDINI -KTHGVFVNPANNKLQHAGGAARAIDRLTDGRLQEWSNDYIKQHGDLKTGDIIEFSCKVKPDLMSDLTGEE -DIVVVNVVAPRYNRSQSDKSMQTLAVVYGNLLKFMVERKIKAFTLPLLGANIFGYKFSQSLHCLMINVLS -TTIVNSNNLTINIIDNNDKTQAIFNEYCNNVVGDNHAGDCVVCNEGGVVAHNHGFMSRAGGVTNQTAITQ -EKNVGVDWPHYNVDNCRNMGLWNELHDTATKHTDHWWNLSLGKSPELTDDKKDELRSKLRYRLDPNVLCW -IETSGDTIAPSPISDDIVKMPLFTEEYCAQMEELGTSHPQMPDLLDIIYWDCFENPTTTKVECPRKGKRI -NSAEICNGWMMVVRETLSEYPKRIRPTIVNRHMAETNAISTRLLNDEIVRKSELNIQQEVKLFAKTYFVD -NWEDHVRYNRAHCVSIDGDASVEWMIMHNYPSKVLKEVMDMLRDGNMGALLKSIEVHIKKENVLKDGADE -NPNLNKGRIIAHHPYAIACIFGPIMNELKKRFKLLLNDKFVMYTDGMRPDVLNSYLQRFGYVENFVEIDM -QKMDRQTDKQDLDMEFAITSLLGFEPNLAKLWRTCHENWHLKGKHTTANNDGQRLTGQASTSLGNVICSM -SCSMHLIQDNRSKIKGAIFLGDDSLILSTDKVNIQHYKKKAADLYNYKVSIDQHEHCGTFCSLLCYNVAG -RVKVGPDVFRLRDKMSIGYANHSDYCKNHAAKVMSFLVMLGDVGPYSSLVTACHLKGVALPWYEFNENVN -ANAVKNNCQNFEVLDTIADLIKMMSQPTTHLQCHRMLSSSPCKPYNAPRLGLVKCSHCNINKPDWVPNEI -WGVRGHVNYGPVGNGCPVCIKLTKGDIEQLMRCVYGVGTAKLIITKPNLQYLMAHNPPQMDTAAVNTRVT -PHNVVATHSATIQAPAQPTAIPPEETTSSTVPTTETQGQGNTAQCERKITIEKGKTDVVPTRTGLNQFPN -FAAAEHGKIRLVGAYDGLDMYMASEKKFVAVLKIKVDMAKVETVRKTMRKNGYEIEFYDE - ->YP_009337681.1 hypothetical protein [Hubei endorna-like virus 1] -MNKTLNINLTNNLHTGGARITNKVDQLFGWAKLPRNMNVIGHNNNFVKSFRKLYRKRTDLRNINGDKLLM -IPAIRDQKIARYKNYGIVPSYNENNIMNNKFDLSDLDPILISKLYYNVIVLKAELWKEEFKTIPWLKSKM -CHKWSCEDEEVDFYDSDSIIEAPVVTVVGRGKGNCTEEVMELLKSEIDKFKGFKEYMRVYLESESNEYAY -ISRSDNKLTINGGLFNPLKVCNMCHNVCHYASMDRVMRSVHYSLKHYKPKSKVINEKFETIRNTEDTICH -VPWRCGFCFTDLNLEIKLTKEEKLNIISIVLDCVFVLFGDLEQLMKLGYSDTYDDLNHEQKQTIKTHDQI -ITNEYFFHMQRKIVPVGQDLDKVSQTTISSHMGGCYFRHVSKYNSIKPELTAELSTCREYLRQLFSGGEV -ACIGDILPNINHKYHQWFRGENMFPNETGMGCSSTGVCEHKEQFKVFGNIDALKLPISVIVELVKKQRSL -QLIMPMKSNNNSLMDKTGLFMSEGPKLNLFIDGNPVPIELKADTFNILHNYDLLIYESQYYLMTTTKKLS -LCKIVNITGPYSQIHQLPKLTIGESMNKVIRLNLPDVNSFMGSWVGMNFKMHEFELNPGLFKYLCLRNIS -SKVGHNTLRQYAIGYTLRRFVVHNKVISNPGILYEQIDIHIILSRMCMMRMKQTYELSYGYSSFLKLLGP -FRGLISDAEMAITTCLISLISELILDKLGLDLSTVIKMLNSSSLQTIITGLSDNNIWDALLTMSENIKFN -DLKTINVDSETLTESVDNVCSCYHHKSHCNHAEKHGLNRCICCHFRITDERFCSCCKPKHTDMKYYKEGF -EKTVDKKPLEKYNVKATVKPGLIMRAQKEVEKFEAKLGRLTMNLPDRLDEKQIIKPHLPKTDSTIDNTSA -DTSAPERKEMGDDRLKWMEILSKPFATGIKKTKELLAEITDKAVTAVTDLPETEIISKLGYNFMEHVVNC -VEYENVINAEPSTIYNMPHCVSKITILPSDTFKLVKTINLPNKDINSCGLEAFNYSSDIQYTLNEFNKLS -GSQPPYSQFNLISIAEEIGENVIILCENEVLIGKYNISSDEFIVIHLKEGATPNDIGHFSPAIIERKSRG -NQYFVHSQPNNINDRNAILQARKKSNINVHSPGDLSVKDNLICELTFFLSMSELPTSNLISNIVMLNING -KPVMTNNYQTEINNPDVDKYHVPIAEHFIDQKELIGAALSEKLTLNDLPEVHHVQKEIPNDIVEVYKGEL -LDVLRHMCKVQQLDKKLDSSMIKQSIKGILMPWFKYSKFSSQQSTKHKLKKLDIVFVRSETETIKCQVIG -FDKTHVILDVPLITERKVMLTELKSSYGSLIRKLIGLNQPILTKEQIMTLFSSATYTTGPAGFGKSTKIA -EQVSHGDLCLAMTSSSVRSLKEKIGQKCKVMSLERAIFTHEKCDQTLFIDECTMLPWSSIGLLAKPGVSL -RLFGADNQIGYIDMSSTAGVRHITTIKELIPQRNIVTANWSYRIGTPMIDLIRDVEPGIITKAKHKTTYN -IQSLDDGDFCEIDRLVNQIRPDVIITPYSYNKQRITEYLKTRVCEVVTTHSFQGVEVDRALVVLRSDVTG -KWDLNSDPKYLNSALTRAKFHTEIVLYGYPKIDITRLTDFMGVSAGVRFIGKNLLEEVTEITDEQEMQVG -INLPNQITMETMHNLTEEEINALNSLDLTMEGANIKYKKLTDGTEVRVTRFGLNVAIITNINGHLEFDCP -KLIKDKLEENRCTPLQLPEGDRQLLTGRYIKHKVDLNIRTTNKIRELLWIVDKTHDGKFNIKVNNVEIMV -TKTASCPLFAGVEFSTNEEILIISNGWQGITKRNIYTHKTKTYDGLSSIIKWLDLQVPGIIINNRTPIGW -LKLLTGDMANLMWQLQERATMIHIWFLNLLTLDSNAKCWVNNTRNISLFNRFSKFADGELLDRHQSVINH -KTLIIKKKKKLTRLRTYYALCSREGIQYELCKDSNELQWSSFVVECLQDIRQDGKMYGVSITDIEIPGLL -LPLTKHFELGTSVKAEVCKQVNEINKLTMDGVHDLIRLDKHTHAMCQQELNNKFPKLIVTPGNYDLTNSP -VEHCLEQVIVNMLGKLNKDSEELVVYGGPIPLTVCIQGKYYIKIRVPGIKDELRYNYDLQLPTINSMLSM -FNLKRETNAQIDIMWDHVTPVNRMILGTDIACYSELELYTMLCKSNVLYGWVPILKHKNDWFIYDSKNNY -IGYKQGLHGKQIRNNLLLFSGTGKPMLANKDANRYIEVTTVLEFLDHRLIKLEYKSIPVCGYVDRCIGTR -QDINGIINITVPWINFDLGTVLTNSKVITTRQLQVNKLLLRNILLRLLTGDDDIESLLAYTRTLESTQLI -TEKGIQSLSTSNLSITLNTAWYAHYIHNDYIGKFKILISTINSVGENKVALEILSKLLPSISKLFGVVSI -NIEKFSKQIIDNLNQNVNLVNSLMDIVKENNNTNWMMTYEDRRINRYYVLTEDELEVKQWVDTVDDDDPD -DDDKSDSEEEDYEGSETHPDQEEFYDTKDEFNEELYSNEWVFHDSGEIVTRRHRLTPKEAVDDIVQATNQ -VDNQENAMSTDKVEQEKQVDIQEKGIAVTESQTYSYQDKLHSVNDAIDVLIADPRFKLIPLDTLSKTLEP -IERDLYESFENGISVGNVKTYMAVKMNMSTVSEEEKIKWPIGKFEAHTNDIPKLKFEVGKHKVPKLIWSY -WHSEEMTELLYSFVNTWHEFNKDYRIIVVTDKTLKNSLNKAKFEQLKVQTHQFRSDWIRLKFLKQYGGVW -IDLSSIMRDSLDNLVTACANSDAGTFQISLCDRTNKYKTFESWLIICSEDNQLISEWFNITDNMMDHSEP -NGDGSLEWLANNFPDLYPDCAMCVVPNLRKYLRIYITEMIAIKLTGDKEPSCICNDEGQLTHWHNLKYTD -WFNIWRFYGGESKAKHPITIPIVKMIGKVRELVVHDYYSGKPLDKDSYISMALNCNRVPYDIYNRGKLEI -KQWPEKSTSQPPKTTKYNGTKIILICTGTNGDFLQFKSLYDYLEAFKINAVLITHKDLLVNQPFKSHGLS -VTSSQLMNLAIELQHKGEIGKAIRGIGALTTLANETATILKEYKDDNVNIIYNSPTVNVSKLKTYIGAHW -LEINTFPNKWMTKVNNDTPNIFRSLIEIATAVGHKMITDNVVTEGNNKPIESQLLTCYDWMLPPGHGAAT -FGPLTINSYKSAEEIISLKRETIIVNFGSCKVGINQEKLMILIKAIMEFGMNCILIDDGSMVMPGTKLYD -YINERHGKIEVIRKFNLYKFKNVIAMICHGGYGTIIDCLHNKVVPIVHPFIADQYEWCEYLESQNMGIHL -KSLDSEGEILHQFLKLEVCKGRTLKHQRYLDVNLSKFSNWLRQTGNIPKLDNMLLSSTLVTLPADLIAND -IPEELAEIKGCYNLNNCIYTIAYDPTTPTYCVRESIKAQLTSEGIENFMLNRSLPSMELMKEGVTKQSVI -DGIISMGVNLIFINGKEGQMYNIFNNKFITLIADTDGMLWHCKYGKLQSADISDNLVKLATTVDLLDENL -KHIVETTMSNYSAIEITTPSMLMKSTSKAALQDFESRMRSYNWAHLSSRSEIHIISLELIKYKYCFVGYH -KCTDKSLSAGLVITQYGFKPVNWMVANQNVVIFADGDLPARSILINMHMQYPDPKSKLRLVTNSIPEICA -LNKSTVKWCITNNLYPRSNKLVTNLTKPFEVIIADYDNRSHHMYDERLIIESAKRVTIHSDRPVTVQELM -ESYNKPMDRLIIRNGQALYETSFDDKVRGQCVDTVFKQTIKSATPLCKRTMLTPDEVDYITKYFNIRENS -LSAKDKLEFVEGSVLNKISLMNSLRTTNITEEEIDDIDNRLNDDGNFMINYLYKYNDIPMSPNVIWKQTG -HIFIHSLGVCILPEKKAGGEDRVDRLWWSTDHGKITSGDWWGIQYIKSQRKASNEFLDTIQINNLRIHDD -DDLPEFSTLDAVDEGELGLYIAPYTVNYIGSKIEPLYDMPDLISMQLWEDRDLTNWLNMYAPKNSCKIKS -RELPGRIIENEKITMTRFPIKSRPVLSKVCFEEGRSITGRMKSVVYIRTITPEPLHTAIKVANTYFRTGW -QSMIKKFMDEPIIIEPEDVKEWVEENKDTVKITKELLELLAGELITKPMSDVNVHLKVESLLKTEPITSM -REQQARIIVWQRKAVCALYSKAFVKIKDRLKSVLHDKIIYADGLRPDELSAKIRLVKNVKGFFENDLTKQ -DRQTDKPIINVEMILYGMLGGHKNLISSWRENHETWRFKSKHYWGQGESMRLTGQATTALGNCITNMQVH -QEFVKTNFKSLELALFLGDDMCMVFNEKPIIKNLRENIACKFNMQSKDQWLSNGATFCSMVLSKLPNETA -ELAADVVRLKFRYEVTNGVHEANNTNLLMRKASYLMMLGDIPGVKETVKNLGLPIKPISWYNYHLMLQSV -SEKWNMTTQQVEGYYHNLLNMINQDVTYKYNFRLFGNN - ->YP_009336626.1 hypothetical protein [Shahe endorna-like virus 1] -MMLLNMYGATTPLEGRIDLQYLNPKIIGKIVWMYKNNELFTDLTDDQYNNWKVRVRHNYLAPLRDYEDRY -LYHLGIDPQTVTAPSPKPSGARLMEKLAIEMRKFATATQRVKHGVERHNGWEQYILACATARPDAMGQAL -NIRGRMDTNPCVAPVMVCGVCSAPNLMSHHDSPCVYLPQSWVKDYAKQHLLPENTWDDSELHNYDLQTPV -HTPVIRSTKQKLTVTEEEEVAWRCGACFADLGILVIDQWITFDLVLQAISDPDPPVMGLLEYLKLMRYGD -FIDEISKQEGETIMKHDRTIVKRALRDASTKLITVGPDVPPELFTELNRLYVKCVARPLACKTSMSPQLA -TELAYADSWVSAKLNSTDQLLKIGSLSWEYRPGNHHHWNYNPILMGQYIGEGLCFTTGPCEHKLHCPAVF -GMGALNDTLTNFMSAVKNQSDAYLILLNPGQKLLGPLTSNLGYIKDNGECLEIYIDGTMYPLVVNKELYE -VLINSDAIVDGNDCYSLLTVKKGIDVVIKHIMGPVNQESIGKLVNVSTPTKKKIVMPVPDTTGVMGLWTA -LAYKDLEIDFEPGLFRELCIRNFTGKCGRDDLMSYGMGYALTRFTVHNTVIHNPQVCASQIQIHVFLCQV -TMGVANSRAAINNQALTLLSKLGPLKSIVTGHLESLDDAVRTGIKWLAESKFSLDFENIMNTLTEVQINE -WVSGITKTHIWEDLLDIVNSYPVGDVKVHVMHKPSALRTLPSHRCHHHSWGCDHTSINTSELCECCGMPT -PEEGYCICCMPKPDLEHEYGIDLFNVVDPKSRVKEVSDVITKEVKTSRVDKSNSESLMAAFIKQISRIGL -KDRDEKEKTPRIDLSKTPRLTAVNSTQAKSTKRKGKTAAKPDETDLRPSSTTPAPPTADVLDEVPGTQVC -DATDVTNNAGEFVTDDVEGQSIDQEELERKIAVAEELKRLYRSRTHNHQEKVAATHLTENKVNTLNPSYD -YSDYFNLLGAEFATYLLDREQSLIDLQAAKTKPYEVIIPYVPIGIHNHTDQWMEVLSRINVSNTYDNSCG -LDAYNYVTGRGLSREEILSLIGVDNNLLTTDLANLADACGDNLILITELSVITCKYSESDVFPVIVLDST -SPDNGHYSPAIVLLRNDPGFYAIRAGPSLESFRTDILTLKGLNQGCLFGRTPITAQDNLIIELTTKQCSD -DLDNAVISIRTPEVRRIGDGYYFTNSPGGIHKPGHHLYHKPIRESILNVIEAMSTTEPNMALKSNLLNTI -FNREPRIADNLVQEYDHKVREAVKSVIDLQVAFGDGRMPSKVKSWHKVECKASGKWTSIINPCPKLKTLD -WVYITNKSGSYLRQVVIDRTRLLVASLGRQCDGSKLGVLDTSVASAIKCIATYCSTVPDGKKIRELLANA -IVIDGVAGHGKSNAIAQECNTSDLVIAKTHLAVAGLRAKITKRVNVLSIERAVGDPPHHYTRIIIDEASM -VDYIEICPLISAEVTELKLYGDSRQIGNRDFSSSGGVRHTLNLMDLAGSAHVSKENQSYRIGYPAAEYVQ -QLYPEFTGTTEHNTGFQIVHVNPDNVEKLRDDILMAKFDVVITFYNHTKILLEKLLPKEVPVVTAHSYQG -REANRVAVVQWPDANGNMTLCRHMNYMYTAVTRGISQTTLYTSLNVDDNPSLSQLVTMGGQGHTRYQPPT -TTDKYLTRLDKISLRNSHLWEDDLIQALQGNKDKDGKSITVKRTDTATIISVMDGKNKKIGYFVNEGGNL -TANFGFGLANMVAMSKVDDLVVDVKDGGVYNRDTKTHIAGPLAKDKTNLEHLYVEEMLYPVEHRPPLNKI -MDHVACPNSMWKTVLVPTVQQMCKIRMATYMCDCSLPNHSITYTTPDGPITMSKTEGCPLACGLKLEFKG -SVVNISSQYRNWWTRSISVTGRWGQNGRQLLTAVGKWLGVKIPKDLTDNCHELSIPVYPSTAISARLYAE -RMSHGIFGIANLLNMHKVTADCADGEAVQTAERITSHYKLHSGNIKVRSPHKTWLVHHKKRGWIRNYDVI -SIGPACRPWIERQSISVELMSYLVEMIEKDLAGKVEKPEFDAVGSFDLEGMILDVNYHITNDTSVGKELK -RSAGHISQYTTVKPKEHLVISEVGMRELRSELKDAFPLMHVNPHSHAISGSYVEECLDSLAINIVGETFE -TCDLSYVGKMPHIPYVLNKHYVKLITPASHYTHYHEWHESLNLAKTLHHNYREAMHASEPDMTVKPFGTI -QPPDSGTIIVAGTSLHAIPYHDLRSWMAEGKRVFGWVPDFTNGSERFYTPEKTSTGANITYHLGHKPSAV -DRKLLEMCNMGRPIIQDNEPSIICRHIKSVLGHKLVEVILSTKERFNVQLESMVMSGTKVNVDLPFINLD -PVQLLSGGPVVQVKRYTICKKLLRLLQLRMAQPGCKTTDVLSYARSLASACEITDRSIRYTHDVDLKCLH -HTAYLAVYLQGHMKERYHWLSSVWDLLRKNDGIAANAQVILGGFAKICSMFSDAVSEFLQPELLNTILNN -KKLGITNAELKRLEGELQAKLNWQNRYWVQYTNHHVSRNPSTTQTNHGLESEDGGEDWWNNERDDNYFTT -VAEDDELYNLQSHTQVESKLHGHINKELLVNSPNQYAKETVPTATCEFGKQHQPVIDSCVELTTQNQLVD -LGQSPSIEIVVRELGSPRAVMESYGQIQTDTCSPPTKLRTEVAVKSQQLEPGVQTRSDTTPNDIPYKPPG -GHDTIPPQNTSPSNQKLKSGTTELTENPPNMDGTKEIFDDADEFHDAKESISDLDSRYEDYLYNLLGESN -TEERQDLKNRFWFDKKLRGYFISQERLNQYSTDPDQQAELSGFIDKGLDLSRSDAGDQVDRYAVITTSLF -EDPGVLPTDSTHDTQSSSVESTQELVTALEESPADTCIPSRPDDINIQIVEPAATPHADPCELSPKLDTP -PSTQSVEVGLSSQDTMTHEETLTSLTNTIDTTNQSDDTGTPFKTRLSMIPIPRKKPPTHSTPTRPWDRTT -QTEPGPTPHVQNRKTPSPVSSKHMKYDHLDTNFHGAEISHASNLQNMIRDIDRLSIMEVSDTTESGTPPA -HDDIAKESIEHATDQEYVWLISVGSSGDIVAMDPVAESLMPDYKVVVATHFDLMHCVPEGQGKVMLPISS -KVVMKAVVDNLTKGMIHMFSTGPKTIKLMAEVIEPLFNIKPNVSLIVSNHFTPLVGLLAAHWGCKLVHAY -AFDPDCVDSFALHPKINSAPMVKEMINPIKATILRALDFSMANQLNINCPNPIDIAATPKLITSSELMAS -DESTNKWGKLYIGSTARTGCVAGNSAETMKFVSTGSITLITWGSVYSEYNQSILQDLVVHTINSGSKVLF -NNYKKLYTLDKVKVVTLCKPNSCAMDRLLIVESLDYNLVLPRCKAIVHHGGSGTTHQAVSYDVPSLILPI -FGDQFWWSKRVNDLRIGIGLEAESPITVVNKAVTRLLTRNQDYRKAIISSKLNLLFNREMCRHLLLSYMA -GQAIIESPDTAFPEVPMRFANQVEMLQQLWGNSAGLSVIPVGVDKFFGSYETETWEGSISDIHYKRKFSK -SRPRVLVCGWGTSGEFNVGMTYCKALKELGLVPILLTNCDQVSRIPEWVEYSCVPITSRVCTVLQLESLR -LDTMDNKAMVDQLKTYAAYDLLHSRPIKLIAVIGQEWFHTASIIAKAYNARMLYLSPHPYNLKNSPRPNG -KPIDSKIHNASMAPGGKWKLYFGPGKALERMGFDPNLCVLECEPSDTDVIISMHPDFVAGLVKEDEPRRH -YVGHIGSTTWPDNEDPSLSKFILSNRNNICLVTASSCGSESTLNPFLTAIMYLVNNAHYVVVSGSFIKTL -HPKSIKVGGKMVELKPGQCHKQVFCTPALDLPRWAGYLYLAVHHGGTGTMLECIRGKVPCLSWPIAFDQY -LNARMTEYHQLTVGPCTPEEQERGIQVYLDELLRKRRAIKMNLEGMHHVGRCNSAVLTETLAGLLNVFRN -QPMWTHHSGHDVGVGLRGGAVMLPIGNFIDLASGVYTVKLELETEYSPPGIGYCTWNCYNRYMQNVCCNW -ELLEPRYSDEYRKQSLSTVEVIKLGVQLGINVILSDINSKTSIYYHLFPGNNTIHLGLENQTLMKHCILL -KVPIEPPELGSRVELSQQKENNWNIAITGLIETGKIRCSREQVNSAMECVRDDMEACFTDSNNLITRAAL -IQLHHIMEVQKNPILVDDFAFSTDGSASCAGRYSQWHNTLVLSPCIGGWGVGVCLYHSGRLTIKLFDGHI -MTSGVALSLRASCFKLYEARCQVTITQQGELLLALNQQTMKYTTSEVNCVKPSRVVGAQDDDYSLVIRHY -DNRKHHLYDEASYIERAVSVRWLGEPINETKFCDMTRSHNRAVDRVIYDHGQLLRCMESSNKFWLLAAAG -ALVERGQSYKIVGTSIVYRCKFRESNIFDVMKKYTYNWLDEDASDNKIATPLKWDVRWPLKNSDVASIFF -IDLPTATAWITYLTGGRADEVRLAEAIITTPVPACEIRTADDRDGWLLTHAAGSPALLTLRYGCYLVEAM -AGGDTTGGSYSGAVWQEMKSNKHVSGDWFHIKPANEADPKHRKAAREVAKLTTAMMMLEIESLEHYTTTS -ISGVYEPEYLKYIEPMHDIGFLSELEPLADYTPLHVLKLWDIENDLTDWLQIYAPQNVGSFITKEKPQRL -IECQKTTLTRYPLLSRPVLTKVAFEETRTVAGRMFSIQHIRKGTIPTAKMVNDICRAYFRPDWQELCNKF -KADPIVYDPASIENWIMERKGAPEIIKELMTKLAGELMTKPISDVNIHLKLESLLKESPIVSWKQQQSRP -IVWQPKAIAAIFSAVFIKAKDRLKELLSDKVVYADGHTPASLSARVRNVKGTKWFYENDLTKQDRQTDEE -ILKVEMAMYELLGVHPLVISAWYSVHRHWRMKGTYTRSKRDFMRMTGQATTALGNVITNFQVHCRFVLRN -QHRILFMLFLGDDMLMFFSEKPDIKGLRNEIAVYFNMQSKDSINELYGTFCGMLAYHTSETTCELGPDFM -RLKYRFEVTNGVHEDSDLNMRMRTMSYCMMLGDISEVRHVIDSTGLPIQPVSWYSKPHAMQAAAHKHNST -PIQIGGVLHSLCQMMKDAKTFHTTFKMYTSKPRW - ->YP_009305414.1 polyprotein [Winged bean alphaendornavirus 1] -MACRKDEHREFIQFGPECLVPDLNHIDTATMRNFILLYQEGVCHIAANKLTNKMVARNSHLQNEEPFWGP -NMRDYYSNKLPDDICPFKEDANKDLVALDLILRIIGRHGGSLAEMNAKSARLNPDMTPHIEKFRNKYKVR -AMEMAKPKAVWLCAACNTLNFVWLEDEQTGVHGQHCGICFHALDCTEMLPDSVNNNSLKIDDTTQIRVGA -IETQQLQVTNNTDGAPDYSAATLNTLIEQDMKNMRSAMSRRIYKVVYVSNGMTDAQLRYLQTNNLSFTIV -VSRTTPNPHAMLASERRMAWYNFVERAGSDQTVVDIGTRRNRDTVMCPKWKGIGPCLDWRDQERYGVKPL -PTNVCGHTIKTCTCDIPDEPLMVAIDSLYDISAQDVVQFMMKRKAKHLLYCLSTAAINFTEMYGSLYFNQ -GEWYKDGSVLVTSLAGDDRPYMNSWYLTKMWSTADVIWVGDDVLTIKTYMTIGNHILRIATINETCAADI -KFNQVVKLKHNFIELTIPLLSQDSWLAPTSMPILVDQRVNIDVNLYHALYSRNLTNKLSFEKMVEFGLAF -AHMKYTTAAGTYHNRHLTASDVRVHAMLAVIATRRKHGWIMQALELNEPSNQIGMNIPAGLMHSTLEYCI -SVFMQWCKSRLAEFQPGNEGWKSKLTAAITDWLDNDFWIELEEMTKAKDDTNLTEYRNPIDLPCADENTT -YLCKHHKLTCDHIAPLINACQCCGIAECIDDATFCPCCKATECKHRCNHPCLGSKYHGKTDDAAENCECC -GVKGDAIRCQNCIMFVEKHNSAHQPPLIETQSVETQSNLGRKARARSTPVTVPPAVTQPVANSIPEPEIV -AHDQPNAAIGMTNVNTEPVINFIEQWRRMYINPHATEQGDVNDQNDGDTNANVNNATHERDLDDQQHIAT -DEGIPRIPLHTTPLTLESQVTNDLSRIASTEYAAIVRYGWNECFRVGILRLPDFNPLNLVGVSEFRFCPM -GTSVISTDLIETISVAKTKGDGLCGYYALKRILGDEVNIHDLRRLAKKKDWFTNEDLTNYLTSLGRNSVV -ITPGNSVVHKIDAMSDNFIVIRSTTVDNAMELHWEPANVLQKGLCDTFPIFEGCGDAKQIQKLSMKWDIP -FEWMTSPLLNRLYMAIELIKRNMIECKSSKVKFCDLKLIQKDNARWLTNNTEHIHEIHSGFFCFQIKDQF -MEMVEKLTSMVTLEARHTLSTEVYDVHKFQDSVANEAIKDLVTETCAELQKIVHEANNLSHFQKESWETN -LVKTKVLSDGRLKVLMTDHKLKTGDLVSVTTRAGYHASYVSVIDGTVTLETPPSVTSIRPVITLNIPKTS -FKSKLILLMALQRKTVTKELMQRVLSRSAAVLGPGGSGKTTKLIEQLDNDTVAVARTRIAVDTIRRRAPQ -YRSRIMTYEKLLTQPRECKTLLVDEATMFNWLDLALILPPTIERLILFGDQNQVGLIDSAILGGTRYLEP -VTTLIRPPNITTLDVTYRFGQTLANLLTNAGYKITSLKETDTIVEFVRYDSFDEELIETLVKTHRPDVVL -TFYKQAKVRLKRFIGITVETVHSYQSCEADTVLIIQWNTGSRHSTIWMNKAYCVSAATRARKKLVWCTIG -LSNRATLKDILKGNTVTTITGGARDEDLEDKLTTLQPSSLGLRLADLDVSPIVTSGLNFENNETPVRWTI -NRRPTTKEINYLLTTTADNPVNWYGYNLEQIMDAGKKETIPGFTWNIVGESVVAKTITGWIAFKLSNNGV -RINIEYSNRVMSMLAKTKMEDAYVSEMSNFISENFISDGNLECWRRKLTPELQTFVLQCFKEFIIEQDDE -VFVDAPETLDDLDTITSTSIDLELQKLTKSPQNVECEMCLNYPVMTGLLADYVQALYVNQTLTYTWQEHG -SDQILECMTMEGVVFRVIVMDCGLKLKVSFDGTFGKITKHINNLVTKWNEQVEAGFRLNDLWQHLTLQQK -QILKHRYGDVLEEVNSLGDTSMSVAKALEVIMEQEAAKLTVDLGASDSTTSDSMVQESKKSEHHTMYSAL -NTHFCTEADSWWNVELKEFNSIHVESYQIHKRKNTMIRLIAEQCQMLETCRIGLTLNLWGMTVTVHTFGG -CSLCCGLEFLAEEEVILKISPQRSCVRRRHVLINTTVDRELTAAVCSFLGIDYEPVETDVSHLQPIEPET -ILSPLLCHPNYELGMIFERIMATPLNMWNYYKTLGKTAKLCTYYKHYNSQYVAQVANRLGPKYELAKNNS -CGDHAKIDGAILMVYEKRTKKQFLCQLVNDKINMIPNDSDDVDCDAVMEMVNNRLKLRLASIPWKLAKIV -ISALHLQTVTFGTQEGHDIESLNLPLSYHKANKTMVYNYYVNKTEAMILANVKKPHHIVFVHAKQMEKYV -SLLRHECQNLPVEIQNYSTIEHGFDQMVEQLHFKYSYNGLGYGETAIALSDYPYLSMLTSAWAAHIRPLS -EEHNVRYVRNLLDTTPMLNKILSVYGPEKKNPNHDDDNTKYHNIIYDAAKLQRDLENGPWFTRRLSDASP -LQADMLWLGLVALKLTPEQLYDVVKSGKYESIILLCPIKISADNDYFKLISEDNTNYYIAYDGTNDVTVV -NKMLMSAIMGGVNIKIDDVSMVFHCTNNVLGHSTIKLTICNKNLTLPRYIKPINQQNNIVGVSFRIPVIN -DIRRALRNASVVQTRKVTIDHKLYRALSLRMLRPGTKLDDLLAYARTYAHLVTYSNRGVSQFSLKQSDYL -MEACACVYFESIRANQSITKLTDILTKSYNNKWSLKNLRNTVEAIGLNILSDVAALFGSAITVDEILKTV -ESMLETSDLTLVRNLDRFCFVKLTKDIVEPKVVFKTSELKLSTGNAISQLNTRLIADTLISAGAGLMHWY -RGLKTTPDTNRNHPTRRESCVSSMCRIVETQEGKPKLDAVLRAIKHMRKYYSHQAILQAAETVLKHASDP -IQLTNRPNTYEQLSNIVGVDVTGKAEIKPTPRVTMMGQDDIAHCVSTAKWMYSREIESMHGKTVLFSTIG -SMGDVEPFLGVAQWWKNFGVKSIFAVPNDLVTHVTKLGFECVGLDVGVDEIIIECINMENYKYNLPKLVE -HLHNLMDTTSRVFDVNIKLWNQIMPNVDLVMETPYTHVASQLAQKFNKPLLLSAAYPWEYHRFRTIKMKP -FTLFDVMGGAISYAPFQNKLEKWRSTVLDLTNQRGKFVALGGNPMVQLHEHPTTVWDLSYSSTVIGYPVQ -TFMEQTLGRQHEIDALTINKIAAVCFGSMVDTNFTRWMLPMVTKLSNLFDHVIIVGDKWRLEPGTIASNV -SQIGYVNYHQLFPLVDLVVTHGGSGTTHNALRHGCVVAIQPFFGDQFAWLEAVEKLGIGMHVNRIQHLDK -MTFQSMQTNLVTYIKEIGEYDVEANLISSFNYYIQIGAKHIEGLATNVPWPVTEIFDNNHDKLTNIKAQH -ALSWVDLEELGDQLKVVVTELGKSDVDDCQQRDGVVETTKGEHEGSTHNTQTDLQRNEFNRFNTVRGTTG -TSNQCKQSSPIEGKSAIHSKLNQHQSGKIFNWKLRNSSETAKTARQWIMQHKRNKQRKQTKINKNTIHSE -MPTTTQLNHRNATGHAPTSVDSSPSNVDVATNMSEIVSPSKIVVEPVALAKGLANPGVVKKLTFPEVINC -KANVMGNNHLAHVWKLRHIRSEVSGINETNCGTTCRIEPSDWTQLYDPKTQDTCVHECIMWISKRYGHNI -SQQQLLRLFAVAGVKGRPTMQQTKLLLLVLGLPVLFLTPQENLALNCCTDEVDIGVKLFVSNGTGHCKIV -KIKKTILIEELRLDVLPKKSDKLQRNIEEYLSAYNIDIETLKNHMLCDPTNIKNWHTAHSDYSLEELKHK -LKFVNLKHTIKRSTQAVHTGDWVQVDDIYFWYGGSLDIPSGVLLLYRQNSCYYPAFYFASDDGAVMIPMQ -INANNSMIHDIIQTEIKVVKEIKVPKTVRHVTNDMGTVTCLNQFTRSVLATIDPTFTMATVNDPDANYLY -IYEYDGRTHHKYDELQIILRFDPNNIIGVGFDFKPEWVQKLKNITAPVRYAVYGSKLCLALELNSQHQAD -VIFNLCSKTWSAMFRYGDVIIAHYESVQGVGELWWTKIGKELNCTRGTSNLHVNWLSTEWFELDDEKLST -LGVSREDLESLLVQTVNQLRFRVNDNFSFEEVQYEIYPTLPVYSMSGRRLLFPFKGILLEYQPHITGGST -PLEERVLSKLDSELKPNKLSRWWDLNTEKPQTSFDMSVWEQRLNTEALMAALSTPDMTVADLSNSNEIVL -DQLYIHNQPSEWPTVSLTDTQADEDLDWQDLMFWHDTDLSDWNEKYAPTNDTQIKGRKLPTELKVSSKTT -LVEYPKYARPVLTKAANQEFNAITGRLCKVETYRKYNYDIKEQINLFVTTYVDPNKLHLLSEFKQNELTM -NDGKVLDWLRDRPDANKIAEELEMILAEGLEVHPINDIKVHLKLESLLKEEPATHLKQVKARALMWQCKG -YCSIFSHIFKEIKTRLKSVLKPNIVYTDGLRADELSSIVRNVKDFSHFLENDLVQQDRQTDHEVIKFEMQ -IYKLLGANADVINLWHNCHFHWKYKAASAMGIRDAMRLTGQATTAIGNCLTNLLVHMKLVKRLGSQLKLF -LVLGDDGLMLIDGMVNTDSLNRELKVHHNMMCKPKLSSVVGTFCCMIVGKNHLGGATLGPDFVRLRRRFE -VTNGVSEANDDNLRARSMSYLMFLGDIPEVRETVANKKFSIEPLKWYDYDSAVYATASKYAISETEVENN -KLQLLAMINNPITYQTDVLHWYEGK - ->YP_009222598.1 polyprotein [Cucumis melo alphaendornavirus] -MGLNKNPFQTSFRKVFKENKSLIFDKNKIKLVPAIRGVKKFRDTCGSVAANIRFEDPLAGVVNFEAMHPL -DIARIYYNVISGGCEIWKPPHKPISDYLNHTKHEGIDDEEDEEEMFLDSNNLDNNLIVRIVGPGRGNCTS -EMLEVLNKLVAKFGEYEAYYNVYLETQHRHFGYLQKEDNGKYSFINAKFNPLKKCSVCKYMNHYEEMIRG -LRMVHYSLKHYRPKSKVVTDEFLTTYNVGDVIEDVPWRCGYCFSDLNLQVFMREDRLQELIQIVTQHLFV -LMGDIEQLLKMGYGDLYDDMDVASKEKLKSADQVIVNEYFYHLQRKIIPVGRDFDAAQSKTITEVLGGCF -FKPQSKLNSVKPEFIAELSTLTELIEKSCASKQVASVGQILPGSSKENVHHWMHDSTWYSPDPAVFCCSE -KVCGHKHNYIVYAGPGIYNRSLSNILALVDEQRTLQIITPIKTNQNTLADGTGAYQRTNEHLNLYLDGNP -TPLKLKLDTYTIINNYQIIKLDDKLYYIMETQKLKLTEIMTIVGPFSDQVTYPQKLIKDDKGVKLRMYLP -NIDSFMGDFRGLNYEEQEFELNVSLFRYLCLRNTSGKVSHDTLRSYAVGYSLRRFVVHSKVVSNPTIVYQ -DIDIHVILSRVCMMKLRNCYDISYGYSQFAKKLGPFRSWLGDVESAMTSSFLGLINKLVTDALGLDWNVV -LNFIDDIRLQTLIDGISSTNFWEKLLAMAENINTEDVKVVDLDQGVDVFLGPNIGSCDHHKETCEHVGHT -GNNRCLCCNMPITEFEFCDCCTPNKKEAGNFFDVEEAIKNESKAETELKKTNPARKDVLSQLVNEIEKLV -STKEASKNGVEKSSHWKQTFKNVAEGIKQGVSNQINRAIESSVPSVEGAKQTQTENAEEVKSTQTDGPPK -TEEIPTSSEGWKRVTRRKYNDPQDMSDRMVVETLDKLQPLTVSSDSAEAIQILGYDFVRHCLNPMEYENI -LNDGTKTMYTMPHLPMGETVSQNRVFEVTTKIVIPNSDAMTCAYDAYKYGYATASLEEMIAINEGPPPLS -TTVLTVYAKARKENLIIIGSTGTLQISRNSLTSNNYHCIWFDEPELGGVGHFMPAIIRRSSGDPFYFAHT -LPGSLDERNQLMKLHYGPGTSMLTLPESFNGKENLLMELTFYNLHTYMSNNTSTSLLTIEKKDGRVFLTN -NKDSKVHATNREKIHIEIPSHLCKFADKLQLALQFKLTAEDVPEMRHISDELPNNYEHEIEEEVNEILRS -FIRVSNVQSGLDAKDIKFPYKGKLTSWYGRSKFLLLGGETHKMKRYDVLALKVDRKIELVYVLGTEGNSV -ILDKPVITSQEVTVYELKESIGSKIRLLVGLCQPHISIQSLKNKLSIAKYITGPAGYGKSTMIAQQAKAG -DLCVAMTSTSVQALRLKCKKDVSVLSMERAIYDHCKTNGTLFIDEATMANWLHLGYLCGEDAQIMLYGAD -NQIGKKDMSATPGVRYNVTVKDFLKKENITKEYHSYRIGEPMVNLLQPIEPGMTSKADHKTTYNITTLDD -TEFENIKTIVTRANPDVIITPYSHNRNKIKALLGSLDVKVVTTHSFQGMEVNTALVVLREDINRSRELNG -NPEYLNSALTRAKFHTDIVVYGQYGTITDLHPLLRRVGGMPNLKKLIAPSSSKIPMKDKGIIIDPDTGLP -LEVNIRNMHNLTQNMVDKLNDLSISNSGVTLNYEKLADGTRVVAKLGNSTVSTVTNKNGVIDVQANSFIK -NKIMNNLDTNITIPVQKEKIDPNYNVIELINVSFRQTNKLRELAWMVDKGVGKTMEITLGGERIKVTKDS -GCPLFSGATFECKDEKLKISNGWQSWTKRKLYFNKNIEYVRLSPIINWLELSHSELRHHKLKSIGWLDVM -KGDTVHTLWQFQERLTQIHLWLLNFLTANANPKCYFNNVKNVSRYNKLARSLGLPPVSHHQSVVYHENFI -VNTKFGWWRQNKYTLGNGDEIVAEVSSRDNEVVWRVFAMECLADMRHTYPKCQGISGTDFDIPGLHVPLH -KHMAKNTAIHQLVCTNLAKIKEQIQPHTHDIVRMDKRGMALVKNYLNQKYPKMTLTTGSYELPNSPIEIA -LEQLMINMVGSLVKNSVNLVAYAGYVPLAVAILGKYYIKMAIPPLDEYGRFYYDMSKPQLDSMLDHFNST -RTKKVEWYIGTETRLERLLLGTRLSCMTPRKIDEALNQTKNVYGWLPMFRKSTDNYNYDHKNSLLSFKHG -KLSNPLNPELFNCGLNGKPIFVNSKENYYLEMSTLTETFDHRLVQITKRQIPIERYIDRRIGSYSEMNNI -IKIKVPWIDLDLLRIMSDRQILTIKELVINKKLLRNILLRLMTGEDSHDDALNYARTLESTQVITDKGID -DLSTTNLVVTLNTTWYAIYIHNDYLRKFQSLIYAVNSTQGRPLAVGLLEQLISGFSKLLGKVSVVAQDIC -EKYLTKLNSAINLTNKMADCIEEAKKTNWAPEMRNVYFNRFYFHDDDLGDINAWFKPGDDDDDNFDDDRN -LERQMMEDENDYFDPQVESNIGRYRSILDKGKSPPTSNYEEPMNASTSKNQQCTKPSSDQQTDDDETDFE -DALSSHEDSNELIERTPTNEQITNEDVTFIISSDNTLYLEQKFEDLMCRKKILRYLEQLCQYKQMTQEEV -KKSLDILHAELNKNKEFNFDDYTVLVNAGLCQQDDFASPKYNVTPLNKIEIPTISKWGVKPIKYVRNNYH -MIPKVIWTYWHSNVTSRVIKKCMDSIQHHNPNYEVIVITDKNIQVYLTIDNIPVPTSRNLEAKSDWIRLN -LLLKYGGIWVDASSIGISSFDDCLQEAIDSPTGVLQVSLSSKEEPVKVYENWFVVAPPHNPLIKEWLRLF -EEVLARNVVDLSTLDAYLEDKFVEYSAVKVKLGDSKRFYLKCYLTETLVSRETGMKPVAVIADDRRVDVH -HDVKWEDWNKIALMYCLKHDGKMKVPIVKIIDIHRRNLEYYLNVGGAVEPESWLGQANNTANLVGVITDP -ELPSKIIELINSHYVRMCIDNKILAHKKSSVNKIHKQIIKILGDKVDVKITDSLSKIGNVLFELGLLLIL -NKYELQDLISKIGELEDVNQMNEIKIVDFVEQTLNIKSRYRYKLVTSNLVQINRSNKYPSKPKYAERNGI -KIPLIIWSYWDDTTVNQFQVDMIDNWHYHNQEYRIVIINKNSLSRMLSKSTYELIMGQTPQYRSDWVRLF -VLQQIGGVWVDSTGIFQDSVHPLVLETLTHESGMYQVSIQPRTTSPRYYDSWFIIATENNKLMKQWFDNL -HEMTKHVHPSGSNALSWLEQNFGEYYNASKHLIVPNLRVYLRVCLIGVITCMQLETEPLSICEDEGEVSM -FHKLPRNKWLNFWKLLTEEPAEQCKVTFPFIKWIGMQRSIIFRNFNPAIKPRPGSLLDQQMYKIEINYDV -TKAGEMVIPNKHSEALVAKPIVISQYRQASTLIMCIGSGGDILQFIALYDILESIGMPPVIITHADHEKS -LGNRRKHLLSITAESIMETVDTKLTKIDLSSDIRSMRQMKTMVKELEEIAFNYAHFSKFIIINSAVSKLK -CFSEVAEFKIIEISTFPVDWVFGDNTSDKGHSAGIRLKRWIFNMMSDRKKIHNFESRYSYYNSCSKLLYP -EGDKFIGPLTLWGYKSTADYITLSNRDILVTFGSCDKTISVDHKLSLMDNLITMGHSIIYHDPYRLCSIG -TRMCDEVHKRQGKIIVIESFDLRKVIGSELIMLTHGGHGTVMEGLMAGFKLVIHPIIADQFQWAKRLRDL -KLASIIYDISNREQCEVALIEVNRHIKYSEVLGSVQSIDTLKFLRTINPKALFYDPSVSLRALRGEGILD -QQVTYKKGIYRIRNVAFSIKYNPVVVDKCVYESTIHQLTPEGELEFSMLRVLSSNKLKESAVTERDLIDG -VLSMGLNIVIIYNNGSGVVHNVFNSKCLTYHIDRSCLPWHVSVPEVMSYQEGEVMNMLATPVRELPDDVI -TERDNWRSDFSVIELTNPRVLCLNQGKKKIDNLTARLRGFMLNELGSRAEIHCCRLELRRHGYVHVGDYA -APDQPLSVGCITSDQGWMLVTWQVKNNQMIIYGEQGIYTYSVLVNLHIQVGIPKAPKAILSKSIELMSLN -KTTKQHTIINNLNPRSSQIVSSYMQKYRLIVSDYDNRDHHMYDEMTLLKRASEIIFHSNRKVTLDDFVES -HGAAYNRLTLKQGMAIFEHYFEDKDIGALVVGAHNRYDRDKGGFETANRLDDETIAFIQHYFYNLNGKLI -ARDTWEINGVEILSNEEILPHTNSAIMFTLSEKENLRTVIKRHPHWEVARLTLAELKKNPIIHVQTTDNG -CIILQNYLIILRPPKLGGDSELERQWWRITHRKTESLPTPGNWWGMKVKESNKTKSNFNDVMAINELHYH -EPTTLSIALSDDVEYQPPMDIYIAPYESVYPGSILEPLTDLPDTIAMQLWEDNDLTNWLNLYAPLNNCII -KSRELPGKIIKSEKLTMVKYPIRSRAVLTKVCFEEGRSIIGRMKSVAMIRTKTPNPYRLTLDIADTYFRP -DWQQMINNYRQELLIIRPKDVKKWIEENKDCEKIERELIDLLCGELISKPINDVNVHLKLESLLKENFTI -MTMQQQARIIVWQRKAVCAIYSNLFVEVKRRLKEVLHRKIVYADGLRPDEISARVRLVKNVAGFFENDLT -KQDRQTDKPIIEVELMIYDLLGVHPNVIASWKEMHEVWRFKSNLYWGQGEGMRLTGQATTALGNCLTNLQ -VHQNFTKTNFCILQLGLFLGDDMCMVFSEKPDTSNLRKDIATSFNMQSKDSWVINGATFCSMVMTRTNFG -AELAPDVVRLKFRYEVPNGVHEATPTNVLMRKASYLMMLGKGPNVDDTVRMLNLPIKPIAWYNFDHMVTA -VAHKYNMNEEQVRGYYDQLLATIRSDNIYSHVFRHFSNK - ->YP_009212849.1 polyprotein [Hordeum vulgare alphaendornavirus] -MNSQYDNMKNYYNQMTKVRILGRTMVPCALALQFPMSEPLVNNYMEASYIATYKLMYKNKESYEGLHPHE -LAAFIKGVRLNVEDSFNHAGNKLFYDSVEQEVSTHTIDTPNDPILWQAIREIEAKYGGAIGMQYQALRNC -WTRVPHLAKVGGRHQLLMVDAEPCGHRRCAECGIMNGYWIPGEDGLSNAHCGACGTSLDFERQTPEPLDT -PPTEDFQQINQPMGAFEMAQMEIIEQLDGVGDLEGVALKQAIENDERLIRAMISRANVKLSFVDPGMTPE -QRKLVGSSFKNFILIDKVGPINEHAMIAAERQLMAQVMYDMAAGGQPIVDIGGPSPGWTAVKSYQTVSPI -LSIKDIKRFNNYDKMPVHCTHKLKECSCHTGQAPLIMSVDSLYDISPKDVMKFMLLAKSNYIVYCLSTAT -LDHSTNKGVLPWDQGLWIKHQGKLVTTFNGSSSTYENNWAQTLWWTKADVIIVGDHSLCIQTLKVCGNHI -LRVATICNDYKAQFFSLNKPVIMSSSSSVCMPIPVWKNPISHVVKNVVEWRTVAVEKEFLKLLLVRASAG -QCSYDDLVKYAIGLGYSKYTLKDKVISMNYITADMALNHALIAKAITDRKLLESTYIMAHDKQFYDWHNM -SQTDVLQTMGTLIFEITKHLLSKWSASDDKVQFVFNKVVDGISEWIADPAWYNLVEAITFDDITKMNIIR -VNTSSPDTNEAIICDHHSDHCSHTVQLSPHYCQCCGLYPVVAGENRCGCCKSDHCHHKCHHKCTNRSEHL -AGTKTTPRECTCCGVEYDTYVCVVCNRPDGYSVVTDAEVRKRVHEAELADKQRKSNLKQSKTQQSLEQEG -DTGRGKSATKTHSADPDPSTANATNVAHAAPITEPTAPLADPSSDQRNTATPTVYDLLKLHMDDLIESST -PRLYSDVLSSSTAESTPQVNEQPTRQGRDPPAVETREPSINVVKPMMTMSINHPTDITTITDIEAGNVEG -RLFLELVNLTSLEFAKIIADNERGWACVESETEVNHTKGLSQIKYVGRNITVTTPNNFEIVEITDVSGQG -NQCGYNVINDTVGVDNDVWTSIISSRQMYSDMEVQQYCTMMNLNLLLLGRTNTFFHRPNTASDTFIVMRH -CSLDSPEGTPKELWFKHWQRANVKVKFSRPWVPIFYPWLERAAWDRFMLDNRINEDFWQLATEQRLQLMV -EFYTNNFVCSNLKEGYLAMLTMDLKACPPVIYNNSLMMDQPDTHLKGCVVSKQGAAKLPYALTQHQSIEQ -DSIMGAPINQQPPTNESELEVWLNNEIKLECIDYAYNMEHMMLLEEEMHFKEWQTVNVKFVGQRLRFSKG -LFKKIKTGDIIMIKVAGYKMTTTVTISMRQVLCDKPYTGPTIDQALVGVNKQSHASKMAQVMTLLSKTLD -AKHIWDKLKSATVIKGPGGSGKTTGLLREAHKGSIILTKTTMAKTNILKHNPPCPVMTLEAYHKKDDQHT -KILIDEAGMFSLLDFATLRMQTNTQIIMSGDLFQIGQLITHVHPGIRDYQPCINFPANVTTLNSTYRYGK -SVCDVLHTAGMNVTSLAPYDTTITLLDIKEIRLGTIKELVKKYTPDAVLTFYQSQKNLVESWQLDPDTHT -VHEFQGNECDTVMVLQGPQATATTGIWNKPEYCISALTRARKHVVWVTFNATTGMNMVQRCGLSPELRAT -HNTTSLTQTWADMVEEDQTKEAMRGGGLNTDGLTIYVGRNTNHVTDLKSMTTIKNKTVVGDEELFVVLLQ -SDWLMGMVDENKYYLVAGQELATMKEPKNVTDWRRLLSDLTISEQAPSRLLQAASNFFSATMIFKNNMVH -LSEDRAVLYVPDTQAKLTELEQKIRAKTKLVQITRTHKELKLHFLLTTVGTITITGRHRIEVFGNRALNY -LTSHVDQVNDAQFKAWVAHLIDNFRCTACAAEMYIDPVALTEHLSNPNSDTQWTGCSRWSCENFKAPPSS -EENSIEDVMDTESSSSEEEPDKEPANGGTLLGDEAATGQECEHESDEEYYDTIDTIHVNPTTVCTTPHNR -SGQDDVNNEIVQLMSIAQPSGGQTAENQTATTSRTHQSSPPPNPQQQERTLNNLVVSTLHNNQPRRTSRE -RVMHLVEQYAQHTTGWIIFPRCISIRHMQTALLHGCPMHCRWTLQRNEMCNIFTLMAGLTRVLTIAFNRA -GNVVGPMDGSVADDMYITLVTHAVDQWRLVASGQMELDEDLALEGLKFINQSRLSPIHVHRHDTIVIDDT -EWPAWIGASVKEYEPFMTRPRYQQSVTHHAIKTMLPELPTEVCGCETKILDENKICATYPWLDTYLMTPD -ELASCISWIQFVQYRQIGGLKTHTVIDGTVVDSTTFGGCALCAGLKMTINEEITMLINSRSGPEPGTMWV -NINHVIQYPHVISELCKILEMPGMIMHYPDLELYWSVRQLTEMDGMIQDFGQAMSLIERLRAGVDWVIER -VTSFSLQWKCDQYNTVNSEYVTMLGKALLDAGVDPSLHCHAITHTGTLLVGLPTRWSASGQTIVISKFKG -RFHCTSHALSITQRWEWQSASDMLVEAVKHRMLILFANKLSGRRELVRSILEDSVAINLEEVRRAMGAVK -LNLSLDYHREHNTGVNAMLNDRKNKLVQGQLVGPHQAMYLSPNQIRMFGRDINRTCCTHNLTEHGTWLPD -EGLFATAEQVAAKIISGWCGKNKLCTYSGTYSYIPLVNSSWNMKSVKPLRSTPLYSACALAMNGALNNLI -TSIKNLKDKAETVTGFALSDNEKALIAMCDKVATTKTNPWCSSESVSDGDKAMLGSEYLGLDWHSFCEQL -VKTSARETFILVPEQDNHSGDYYNIHDRQEYFECQGVASTTLITLNPTTWSNLLIKHSMRYNNKIIHAEQ -LMSILGLRLVSIVVTSPTVSVPGHYSPCIPNNSNQVVYKINTPQLSWNTSVFSHHKTTVKVDTKMYRHLS -FRVVSDTCSFEDLLSYARTYMQTTTYTQTGYYRENLDQINDLHLICACVYHEYQQKKTSWCTLAAKHCDL -PTMDVGRVMTHLNTNLTHAAFKLMQAWKLNDGTLHDIKLASKDTIGPEMTDIIKNLATMNIEKKEVSRQI -MVQNGDRALPDITTNCPCERQMTRSNDVVMPILGGLVNDGSLLTEFLAEELRSNSSYWCLIQSSTDGTNT -AVQLGDGVRRSHNLSSVNLKKKRRCKHPGGEINLTEKYLQQRMEITANMSSTNDTQSRESTGPPITGTYS -TPTMNRCKTSGHEPSVGNLQQPAASNNEDEGTTESTWRLMELSHVIIKRVSQAVTSRVGECRQNNCKARS -KEIKRLLKDLASAVCHKCNTWAEEHFATSKGHEESGATEPLNRTTTPEPTPGSTRPTGGERVWVLMSPTQ -RVGIVMQELNQAHPHAELSQLRTVTSDKSHDNDNMDSAIRAKADQLTELMESGFLSEFDRANTISSCDDN -ILECEEPTTFVKKQWQQCNVDCVITEESHTQSDLEEPQLTTGASEPTSSEAQSHNDKNAYARMCDKTHKL -LCDTTANNAGLMEDYIESTTLEWLTEEARQANRTCWCHVTMPECLQELVGIDACHVVVKPYAHITGVATR -SKEIYNPNTEGNCVVNSLNYLEQRHPRVDKVMERACGVYGKTMWLTESDAAAISWLCNLETRYKHNQTVH -ELATGTSAAPLCINRVAGDAVDHCTVHNTTVSHRSEKPMQISILSRATTIEIEQNMCTHLDIQVGLTELL -HDLNELVNFQGVDEFVAKYRSSFSTQRELEANLRLTGQVHTIRTKSTPIIPGEWVNCARLKYFKNTSDKL -KPGECVLWTDGVHMHWAAVISSNRHGQYVWTPCPMTTAVPVVLRISMKWAKPAPQSPIATNTILGEIQHV -TALNEATARHMVSHKLVVGKPTINNPHATVLVVADFDNRKHHKFDDRTVLRSWNRENIVVINKCPGRVPT -EVFFEDSDMMTAVLYQGDPEIHIVTDHTSTAHWVKSFLMRNKTRSTVKRNVVVISDSWHTLQEKKTQERL -QPHVHKINDMWHLNKLVPGTFTIGTEQELCQLLQITEPDLSVTGLLANLPLQLTIHTAFHEPLMHTSYHR -SQLIMVRNDKYVRSGFVNKIQIKAAQRGGSWMEIPAGTTAGKWWDQEQDAHQLPNIDVSIGKVEAMVGAA -ITNIPSTQNRTSTHEGKTAIIPWMDYPMYVDTSIADTPALELVPAQIMDMWDTTDLSGYLDRFAPENQFS -IKVKTVPTKLAQSIKSTLVKYPIYSRPVYTKMANQEFNAVTGRLGKVTQYRVGDYCAISEANGIANTFFQ -KDWKHVVAEYQSNTITYNTDKVKEWLQGRPNVESIVGEVNNILTEGFMKHPINKLNVHLKLESLLKSQPV -VDHRQTKARILVWQAKGMCAIYSPVFKMAKDRLKSLLNTKTVYADGLRPDQLALRVMQADPANYIMENDL -EQQDRQTDDKLLDVEMAIYHMLGVQEELLSLWRQCHNNWNFKGRSCRGERNWMRLTGQATTALGNAITNL -CVHWRLCSKLGNDWKLFVLLGDDGALLTNRTLSNKEVKTHGKLLYNMIMKPNTHNNVGTFCCFNIYKLPT -NRWTMGPDIARLRRRFEVTNGASEATLVNHHARCMSYCCMLGSILPIQQLIKERGYTVEPPMWYDWNSNA -RATEDKYHWLPGKSDTELRMLLDMMRQTNYIDHKLLHWVEATR - ->YP_009165596.1 polyprotein [Hot pepper alphaendornavirus] -MTTKLSRPFALQLLGGSRLPKTQTLHGCTGNSIPMKKINKKNDCSARKAFRRSKFKFKISKQKTICPAVM -AHRLEEELEFVQFGPEECVPDLNKVDIRTIRNMMLLFEQEHCHVDVTEEDVKKYLQQSQLQSTEPFYGGP -SKMYYANCRPMLLPPSKIDGTKTEAASSLLTGLIQKFGGSVINMVLLDCANNYSMTPHVYKIGSSYGYKA -MELPNVEAAMTCACCGIVNLVWLLDETEGLTKSRCGVCLQPLLIDKMLPECIAWGMLEVVDITQLRVGAI -ELNNAEITLQLDGQPPLSAATLAKLIDQDLRNLRSVMSRAMSKTIYVPNGLTDYQKKELAIAVPAYKLLP -MSGTPNPHAMLAAERRVCAVTMGDKLKRGKKILDIGTRANLTGNDSWHGMGPCLDWRDVERYHNKPLTEN -TCDHTVKECGCLDGEQPLSISVDAMYDIDPADVILLMNRTGTKQLFFCLSTAEVDFDRTNGKLAHGQGEW -IRHEDKLVTVLRGDDRPYVNDWKLTKLWSTADLIQVGHNEISVQTVKVLGNHIIRVATLVPDGMDCLRTR -QVGAQNLTHLDIVVPMIDVESWLNVLGPPKMCHKTMKLDLALYRALYSRNLTGGLSFEKLIEFGLGYAHA -KYTTKTTTISHQHVTADDVRTHALLACAATRRKFAWVSSALKLNNNSNVFGLDPIEVTKLISVDLAGTLV -IDLWQKMLPEGFIKNRIDDLVTQVTSWINDSFWDTLDSLSGQSKVFTPNVYVWPSTCDETVLNSERVCYH -HQQWCDHPIALEDLCECCRLVTSLPNSSRCECCTVGTTSHACQHKCQGGHIMIGEAAAHKCKCCKLNALT -DPCKCCASKITKHPDAQQPIGAQVYAEPQRDMAKKIQNYKYQGLNKVTSLPKHTQSVGATAGEVQELDVP -QFEPTPTPSSIIGLWKAEREKRSIPTIMDGGTTSSPGYMAPSVRPTEDPTTNQSGEDVSIILPNDREFQK -VVLELTGLTDFSYVSNLRFGYTESVASQLALPNEPNPEDRIGLSELKYFPMGMQQHHPDLLTPINTQSVG -GDGLCAYYALEHGCGIKLSLEHMQSALNCKQQFSTLQIIKYGNMLGYNVAVLTEEAIITGKVDPTTDNFV -CILHTFEAETGAPHWQPCNVVQTGDLESTPCYKGMFKQSTINKQLSLIPSDVQGTELNKELCKIVLQIIK -RTVPKLSQLKFGRVEINMNTVNGVHMLSNNRLGRHDPGAGLFSFAVGEQDVGLVECLCHDSLPLHELDQL -ESPLNITTMYNCDINELRTSLMRNTVIQLRQTLIQAKGVEPRSEDAWVKVFALTHKIGKDTRLLMLQDTK -IKSGDVISVDTMFGIQDRVVWKFNDGFQCLDWLPPTIGTQTIKIYINKRSFKSGLIKLMTLNRPHVKFDQ -FKELVMSSNCIIGPAGSGKSTMIATNWTDGTIAIAKTTSAVKNLQIKIGGPKNLVMSHEKYAFSCLPIHD -LVIDECSMFTWFDLYFSLVDLPRSLTMYGDPHQISTIDTFMLGGERILDNITDYVISKHTLKTTYRYGAS -ICALLSPIVGELSSKAKHDTKLVDLNLPLWDNDQLKKIVKEHDPDVILTHHNLTKQRLAGLCPNKRVETI -HSFQSMEPNNVMVVQYNEGGNSKIFMDKRYAISAVTRAKLSMVWVSIEVSNKMTLLDKLRGTELDITGGG -EPSEEKKQSETLQKRLLEALQSGVDEEAMAKPVFPEVGEGSKSVRSHVEYNLDQPETKLDNDKFVTMNDI -DTILDNYNMSKQPLLIYDGWQDFVERWLNTLPKQARMVADERTGVVTISVLGVKLIIVVDKEREYYSLQV -ESPRWMFNVKNSVLYKYKAGLREEWVKHAFGKKYSEVLLLLRPAHRDWFKTVLQWEPHFSIVRVEPSNQP -DDKGKRIAREVDSDGDEWEDALSEELVEETVTNIETTTLAQLEMNRLIQDRQLCKNYPALAELNYEQIST -LLNPSSPMFYPMKSTSVLALVNATLPNVRLTTIIKEISGGFELTISTTAQIVAIITVTGHGLQLTVTGGE -LSKKVQESILELKIKSYNKVAPYAGVWQTERNLTDEQTQLIQQWYPWLTFMADAQEKFGGNELKALLNQD -RFDVPGKLNGLLKSMREMHWMLKEEGVVTEDDMDYVCQICDDQCMHYGEEVKLESGSIALELHLSPTSTR -ILRLCSEWATLQYDIGGMFTLNIQGEKVKVAAFGGCSICSGLKFTCNNIELLRISPQRKVGNVRKIKINC -VAYPVLLQSIMSAMHISVVELNAEIDNEPLYVDLLAEMDEALKCSWFELGMLLERISVAPQYLWKLLQTG -ESSSACTIFEHENEEILKELTLHAPNIEIPLKSSTPGHRSLRGRPIGVKYKGVNFVIMKLGDKFSCDNQL -MAAKYAWTYVPTMIMVQRYFWMQLNRWPWVIAKLITLNGKLANVGLGHDFDMPINSLNLPLSYHEANNTA -VNRFLVEKRGEAVLSTMKKTYHIVFVSKLQLQNYGPMLQRDCDGMPIEEQGCETIDQGFDMLTEQICLKY -FYNGLSRGEVSQMITDYPYLSILTSSWANFCTPPDKKYAFRYHQNLADCNNMMEKMEHSYGIGKQNTDGS -KEKDGNGKQDILECIELQHKEGRGPWFTNQADERVKIMSQNVLMGLVQCRLNPHELAELMKNGNKTCVHM -IMPQMIERNNQLFGVASEDGYQFKFWYHNSSHLTIINRQLLTMFQTGQCVTTPHGTLVMHSANRILGHCM -VKVLLLPNQAKLPKYVRPNMHDNQQKLVKFKMPEIKNFKQFITTGKAIEYKEVNMSLKLYRALSLRMLRP -GTTIDDLLAYARTYSHTVAYTISSRSSQQPQFVTELMECCVCVYVESVKLNSAAARVMDIISSTEHPIDQ -LGKIKNTIWFALVKVLADCYKWLGLDTTVENLIELFTSVGKETVGNVIKNIEKLVVVRISKIDTADPIIC -YHDDIDQHEMGKITLDDTRRKVKQVLSTIKDSMSWGEEVRRNRVELVDNNEQHMRNPVSTSYLTSRLARR -VGKDRDEELAKLVPEMSQQYNSSEMSTAVTRVIKNVARKLELRTQPNIVTCVGDDRWLSEESLIRKGKEL -MNDCFTLDDLRKEINEMRWMYPDECRTWENKLVIFSTIGSRGDIEPYIAWSQVIRELGAECKFLVPTDYV -GYVNNFGFEALGLQVNSQDLITTCISAERSKWNPVKLYQVWKKMFELIENLFKFNHQQLMQFVNGADLVV -ETPFTHIGVQLAQKLKVPCLFATAYPWEQQAGMTTRADSMTIMEIIAGVAAFAPFKKHIQEWRQNMLQLH -NERGIMVHGVGNPMVYLHPAKTKWWRTCKTSCCMGYANTMTDFIQEGDKELCILASEMKSIAVCFGSMTG -KTRANLTSKLIDKLDSQFKMIVVDGSYPQPLNKPNVIVVREANYNMLFSCVDIVITHGGSGTTHNALRKD -CVVFIEPHFGDQLAWMKSVRLLECGESVAKLLSMPIEQVMQKLPNWTRNAKIVGAQVRKEKFYVNLISNS -TVLWHKAAEHTTNLLANIREPTQSLEVNTNNDVSMLKRAKLKWSDWETEATLTTRLLSKVQPEPQPCHQH -SSGQPSTSSDKGNTPQGPRLQRPARKVYEYSYGGEQAGFDMPEQPSGIEVIERQELRTHLGIKAHGMGSQ -ITRELPQLIAGANTNNNDKSTSDESSSSQPSNRANEPPTTIEDDQTTTDVEVVEIATANPEDEPQIELVT -VEQDAQLTTTQSEETPEVHFPGFSIDVTDELTTKPGLILNMFDLKPSDDWGPPMEVISMNNVRAILEPKS -QFECAKEVLTMAIMVQYELTEEKASHILQKCYQWLKIATTPRVEDMRAIICALDLTLGIKLPDGKLRFEH -KGPTGETGFAVFITSGVSLGHCILKQVNILGSKPLNLQKCVQMELSRETQTELNELFKRYGGCGDWTQQN -LPSLILSEDLAGQVWAELDTTYTREEFLYKLQGTNLVHTADRMDQPLTITKCRQSWSAVFCEEQIVPDRW -YWVNDGGTWQGAIAVQLKRHKVLLVNSAEQSWGNKIVILRTDIRLGVMKPMQKKKPIHKKIIVPGDCKVT -ALNQSTLYESNLNYYGIALTGIQDDTCDLVAVYEYDNRAHHKYDDREFLTKMGPEKTIGSGFEMTAELWN -KITNREMPLRHMIHKGKHKVALEFSNQAQRLTFTAVANSSELSYVVEGNCVYIAMHTLQPIKQQCLEEWA -TYMGASGGKVPHVDDVLTKYSQWTTLDKFLQEHGNDVNPKHVLGNVNVEHSQVRIMIQPGRSTTKLTNIV -KVEMEYDPPALIHRNLCVTLEVMVNRKAGGVDEPEFWKFAGDFKQNSVSRWWDAVTVEKPKTKFDATRFT -QINTEALISHGVTHSDFSGWSMPGGDTGDASNMPYVAVREWSNVVDNEIATVEIASYDIMSLWEDTDFSD -WNEKFCPKNEAIVKSTIPATQLKVTNKYTMVQYPIYSRPVLTKAANQEFNAITGRLHNITTYRRQNYNVA -KELQKFVFTYFDASKADILASFTQNKLTYSDAKVLDWLRDRPDSNKVAAELEVILQEGMQLHAINRLNVH -LKLESLLKSEPANSLKQVKARALLWQCKGYCAIFSHIFKEAKVRLKQVLKPNIVYSDGLRADELSARVRL -TTGVKYLLENDLAQQDKQTDHEIIRFEMALYKLLGVHEDVITLWHNSHFNWKYKSKSVRGEGDAMRLTGQ -ATTALGNAITNMLVHRKLVNSLGNNLRLFLVLGDDGLMFSNANVDVSKLNNETKIKHNMMCKPHVSNTHG -TFCCMIACITQEGNCSLGPDVVRLRRRFECPNGVSEITSDNALARAMSYYMMLGATPEVMGEIKTQNLPI -QPMRWYDVDSIRSAVADKYNMSDEQVLNEERQLLKMLRERIYYKHEVLHWFEGM - ->YP_009046830.1 putative polyprotein [Yerba mate alphaendornavirus] -MRRGKILNPSTEIKNNLYGWCQLPRNYKVTINNNKFIENLEGIFKTGHQDVDGDVLYMVPAVRGMLIPKH -KHYGIASCANTKDVMFNKFDMADLSPLNVSKLYYNVVCLGNELRKLNNMSLQFIIDGIRHKDLIEEIDFD -KEFVSDEYLDQRPVISVTYSGQGNCTDEIIRAIDKEINKFGGLSQYYKLHQIRGVNDPSILKSHLPNLKR -CKEVDENGKVSYKMEFEHGLFNPIKKCNICDKWNHYSSLDRGLRQVHYSLAKYRKKHKVLKDKFETVKNT -DDIKYHVPWRCGYCFSDLNLKVEITMEAREYIFKFIMENLFVLHGDIEQLLKLGYGDTYEDLSMDEKKSI -KTDDQRTIREYFFHLQKKIIPVGHDFDKLMQANISKNIGGCFFRATSKYNGIKPELIAEISTFTELVKTM -MNPNHVACIGDVLPSKNDDKYHQWYKNDHWFCSELATGCTSSGVCDHNNKFTVFGSIGALNMSLNLIMSI -VKKQRQLQIIIPMRSSSNTLMDKTGVFDSADGTLNLFLDGDPVGFKMKADTYMTLHDYDLIVYDSELYLI -TETRRLSLTKLINVTGPYSRKSQLPMLAIDHTAKQSIRLFLPDVNCFMGNWTGMNFREQTFELNAPLFKY -LCLRNLSGQVGHNTLRQYAVGFAIRRFVIHNKVIANPTIAYEQVDIHIILSRVCMMKLRGMFSASYAYSK -FTKLLGPFRGLMGDVETAITATLIGLFAELLSTKFGITLDHVLNLFESSSLKSFITGICDTRLWDNLLLM -AENVKFKDLKIINVDDNIYDTNLPNITICNHHGEKCDHVEALIFNRCKCCNIKTAMREFCKCCMPSPADN -DKFFRYDKWVDSDEVKTGKETTGLKLEISNNAVNEYNAYKNYMSKSENEPLLKKMISTIKESEVKQKYQD -KNEKEENELDKLKPKKVEVDKEGDRETWVDLFTNKARKAIAKTKKLLTPVVDKAVDDMRDITEVDILTKL -GYEFVTHVEEPVKYEDIVNSEPSVNYYLPHCLEGVTFLSRDTFEIIEVINLPNSGSLTCGYDAYNYVSDV -PIEMKSFEKLCGGQPPFSQINLMDYAQVTRENLILVCLNTIILCKYDLDDDYFKCIKFDEPENVNEIGHF -SPTIIRRKLRGSQYFAYKYPANSNDRTDILRTRFRGAITCHNVGDITAKENLICELTFYYSMNQLPANNI -VADVEITSINDKMYLTNNKETGIHSIESDRIHVMIPDHIKPLADKISYALKGELKMTDLPEFRHTAKELP -NNTEEAIVEQMNEILRSIVRIRAMITDRDTANIRQVIKGYVEPWFKYSKFDTHKSFITKLKKFDLVILKM -DNKKELVAVVGFDRGKLILDKQLLFKKEVTITELKDSYGSKIRELIGYCQPCLKMEVIKEIIYNAKFTTG -PAGYGKSTKIGELAKPGDVCVAMTSSSVKSLESKVQPGVVVLSLEKALYTHRKCNETLYVDEATMVDWLA -IAMMVQAKTSLVMFGAENQIGKIDMSRTPGVRHVINIMDLVSDKNITRERVTYRIGENMRTLLEDVEPGL -ISKATHNTTYTISTFDDAEFDNIDIAIARCKPDVVITPYNYNKIRIEERITKNPVLVVTTHAFQGVEVNR -AMLVLRANALGRWELNGMPEYLNSGLTRAKNHTDIVIYGYPNPNVKSLRDIMTMVAGLRLWNANQIEAET -DDTPDAIDFNDELTEKTKIKNIHKLTVQEVNLLNDMNLAMEGASIHYEKIENGTIATATKFLITIGKVIN -VNGEVTIEANNTIKRKIEENMDRTLTLPDAVSYNKIKNMEDNNVMLSSRSAAKIKELAWIVDKTVDGMLR -VRLDGSIICITKTKGCPLFAGLTFKSGAETLIIHDGWKGIMRRNISIMRNRIYDSLSPVVKWLDLKIPNV -IIREEANIDWLKHLTGDLANAYWQLKERLTNVHIWMLNMFAMDDVPKCYMNNMRNVALYNKLARPLNIEL -TDEHQSVIPHQTLILEQSKLMGYKKSYTLVDMKGAIMEVSIKSNVKTWSAFVIEALQDIRKNGEEIRGIS -VTDLNIDGLRIPLSKHFAIGTEIKSLVYTEINKINRLIDSGVHDLIRIDKQANELYNHIIKARFPKLTIA -TSNYEQISSHVESILENIMLNTIGRLSKHSKEVAVYGGPIPLTVCQQGKYYIKIRVPKLEEPTRYMYDIQ -LPSVLSKLSHFNLMKDEENKIDPLWNEESCHRLMLGCDIYCIEEELLIELMDKSEYLYGWTPILNNNGEY -FQTNSEHNLIGYKHGVHSKQIRNKLIEDVELGKPIMVNLDKNEYVEANIIMEFMDHRLVKLRRRVIPDCR -YVDRYIGTRQDISGEVTVRVPWIDFDLMRIIGEKKIVCIKELKVNRMTLRNILMRLMTGDDSESSVLAYT -RTLESTQLITDKGIQDMNTGNLLVALNTSWYALYLHNDYITKFKMLINLVTSCEGNALATGLVESLLPGL -LQMLGKVSEKMSEIAYEVIKNLNSNVNLVNSMMDVIKENEKMNWSMDYTERRKNRYYFQQDSEEVLKGWI -NSINEGETHEPEDDEDKCPSYDDKDSIEGLSENSSQSYKFDLKEFEEDIKMEDEDESVYLSDINERLSEE -NNPEDEMVQEQKERIDDEWVKSSGEEEIFEESLEIQEETEVIEELIGIEESEPKPLRRRLRKKFEEPEER -GIIDDSIEEEVSAQEESASKLIEEEVGLQEESAPKPLRRRLRKKFVESDEETSEKDSIKEGLLSDEEDKE -PRVKEEEEAVESILMRNINKENLAPRVDEELWRKVIDQDRPVKAPLLLEQNMKCIPKIFWSYWHDHEIPD -MVMLMINTWQMTNPNYRIIIVTEKTIKNMVSRTKYEELERQTTQFKSDWIRLYILKVFGGIWIDTSTILT -DSIDEFVTHTIEGESGVFQISLCKRNNMNKTFESWFIICTKHNDLIKEWYEVTDAMMGRSESNGDGALEW -LSVKYPDIYPKIIGSIVPNLQKYLRVYLTEKIAIALTNGIEPSCITEDEGEVSLFHKLPIGDWVRLWKIF -GDEEMSKHKVTIPVVKLIGKMRELLMLDYKYREPVKNSYLEFCKRAPALRYNIFNCSKLTITDKVVKHKE -ESIVISCHKNTKVLLLCSGTRGDIMQFLPIYDLCWGLGVQATIITNSDHSALIGDRQWHKLTSSSTTLMA -LASSVIEGKDVTKTTEAVTIINLVKKETENIINNYKDMKPLVIMNNVYPNKKNIMKLLNTKTIMMSTFPE -EWVVTKPSSVTEDSINAKLIRLIYSCMEGATYEPMVIGKMLTSYEWMTREEHYQRVGPLTLYESGKREMN -LIITGDNVCVITFGSSDILLESKSICQLISRVITKGYKVIYNDINNRNVPQSELNQLAYNYRGKIILVKE -LNWVELMDKIKLTICHGGYGTITEVLHSKSKLIIHPMIIDQHFWADKLQSYGLAVTLNKIDDEIAIENCL -MLAGKTDLALKNLDRMLDVNLIELIKLIKTNTQCELDRINPGDSLSMIWDDDMNERVDNEIIKDIKGIKR -LKMVKTELIINPGVINYCVKESILEALNENGKIKFLTSNNLGVTNLRDKGVHKGNLINGVLCLGCNLCLV -DDLGDALIINIYDAPVITLKVDSQRSVWHCSLVNIRHYEDIEDLTFDALCQPLIDDIVKDIHNEWLSKYT -VMELVSPEVLMSHMPKKRRLNIHYRIVNDNLNQLGARSDPNVLYLTLYKYRYMFITDCLTSDRDLSLGAV -TASEGWRLVNWCIRGGRMVIFSYDNLPENVVFVNYHVKYEAPVRPSTTIIGKAKMYSLNKATVRHNEVNM -LAPRSSAIVQGTMKGHTLIVSDYDNRSHHMSDERSLMSEAKKLVIHSDKPISLSLLNKSYDNSINRMILR -SGFVMYVTEIGDERRTRIICRKFKIETVETKNVVMQNKLEGVAVDYMLKFFDTYSNKCKAYDNIDDDLLQ -LRDWDELFERLNNSLVLTSNDKEKLNEIARKRGKKITIACLTSVGLYGTKNSNIEEISCNEVILHSYGIL -VTFQSMGGSQQEQEQQWWKILSKKGESVPGDWWALRKQKQSEGKCPDLSDVIAYNTMTIHEPDQNYVENE -VTAWDETSLQIYIAPYSTPYTGSAIEPLYDLPDLPSMQLWDDTDLTNWVTMYAPVNACEIKSRELPGKII -EVEKIVMSKWPIKSRAVLTKVCYEEGRSIVGRMKSVVFIRTMIPNTYKTVIELCETYFKTDWRYHITEYR -NNRLIIDALDVQEWINENKDTDNIIREMLETLSGEIITKPINDVNVHLKVESLLKSNPITVSQEQQARII -VWQRKSICAIYSKLFVKVKNRLKDVLNEKILYTDGLRPDEISARIRLCNNVKGFFENDLTKQDRQTDKPI -IDVEMAMYDILGVHTDVIRSWREMHETWRFKSKNYWGQGESMRLTGQATTAIGNCLTNMQVHQSFVKKNF -YNLKLALFLGDDMCMLFDATPNIKSLRKDIATKFNMQSKDNWCNNGATFCSMVLCKLPNDRAELAADVVR -LKHRYEVTNGAHESTAENLLMRKASYLMMLGDIPGVKEVVSNLNLPVKPIVWYGYDTMLQAVADKYDMTT -VQVEGYYHNLLDMMRKDYKRSIKYRLFGNQ - ->YP_009010973.1 polyprotein [Lagenaria siceraria endornavirus-California] -MQYKTDAITPYRQKYKDRPLQTLNKEVSSHLQGWIRLPRNQSVTINKNPWIRSFEKLFKHKPDQIFDKNK -MKLVPAIRGERKIRDTVGTVATNVTYDDGLGNVINFNELHPLDVVKILYNVNCLGIELWKSDCYSIQDFL -TKVKHMELDDDEKDEEDFLDEDDLDDKLIVKMVKPGRGNCTNEILQVLDKILKRFGGFEGYYNYFLENHF -KHFGYLEHKDDGSYDFHSVKFNPLKICGVCGRVNHYNQFNRGLRQVHYSLSHYKQKSKALKEDFLTEFDE -HNKPINIPWRCGYCFTDLNYTVIVEKYILVKMIEYICNHLFVLQGDIEQLLKLGYGDIYDDLDIKDKEAI -KSHDEIVVNNYFYHLQRKIITVGQDFDQASHKIINEVLGGCYFRPTSKLNSIKPEFIAELSTFSEIIERT -LVGRDIACLGNVLPGTSRANIHNWFHNQTWFSKDPEVACSSEHICGHNKNYFIYGNLGLMGEPLHNVLNI -VEAQRTLQFISPIMTTSRTLPDGTGMFQRTEDNLNFYLDGNPVPINFKTDTYNALNYYQIIKWGNKLYHV -IEVKKLSLTRLMVIVGPFNETVDYPRLMVENERGIKIQIKLPDINSFMGGVKNLNYRPNIFELNVNLFRY -LCMRNLNGKVSHDTLRSYAVGFSLRRFVVHNKVISNPTIAYEDLDMHIVLSRVCMMKLKNCFEISYNYSK -ALKFLGPFRSMIGDMESALTASVIGLMGEAMESTFGLKWETFMNVIDGARLQSMLQGISKQDFWEQLLQL -TENIKTTPPRVLDLERKAEEELLFNLQSCMHHSVNCNHIHAKTENRCCCCNLPITTEIFCNCVNHNQVQT -NLFHTEHYGREENVHEQQIVNTTTDKTLDTIANIIMLAMREPEVTARRAPRRVQTQQPKITTTELNQTQT -GIDQLSLKSTKLDKEKETVDSPKSKASSFKSKTSSIKEPLAELDDWKRVLRRGREKPDDMANIILDNIMT -SAEHITISIDNVEAINILGYDFVRHCCETVQYENDLNDGVNEVYYLPHLPIGSSTLPRDSFEVIDKVYIP -NSGPMTCAFDAYHYSNDPGSLQEMIDILGAQPPFSTEHLGLYAKWRKDNIMVLTDNHVHVERYNETSNTF -AVILFEHGETNADIGHFLPATIKRVKPTDVYYYHRDPNSIAERDHIIKKRYGAMYSSSCLPPWTPRDNLS -CEIAYYNITVDVRVSEAMQPIKLEQIDGEWFLSNNPEIRQHLVEKEKIHAKLPKIFEKYISKIEYGLKLQ -LPVMEIEEFRHIDKELPDNYDKELESELLEILRVFARLSELTGPVKFRDIKQSHNGYLGSWFGKSKFHIP -SGKTHKMKKMDVVMVRINNKFIKATIVGFDRNNPVLDYVAVCEQKATLIELKESMGSQIRELVGLCQSYI -DMKTLKAKLAESKFLTGPAGFGKSTKIGQLAIPGDLCVAMTTSAVKALQAKVTKGVKVMTLEKALYSHSK -TDQTLYVDEATMINWLFVGFLITESANIELFGAENQIGKRDMSKTPGIRYNITISDFIKPENKKVEHYTY -RIGEPLATLLKSVEPKLTTRASHKTTYTITVLDPSLIENISNIIKERRPEVIITPYSYNRNLIEQCMKQE -RVPVVTTHAYQGVEVSRSMVVLKADAGNKWDLNGNPEYLNSALTRAKYHVDIIIYGNYTDVTSLEDIMTQ -VGGMDFDYLQYFDNTEESSSIIEQRQNQETLGEQRKEAQMQSKHLPENITINTMHELSEEMVNQLNELQI -SMQGAKLSYQKLNGGTSVTAQFAGSVISKVTNIEGVVTVEANPLIRGKIMQNLDTPIDIPELVKDHCDAD -SYSVECKMTLRQTNKIRELTWILDKTIDRESTRTINGTKIKMTKTASCPLFAGIVFETENEKLAISNGWY -HITNRKITLNKEKFYPGLTPVIKWLQLNIKELILDSNNNIGWLQILKGDMAHFLWQLKEKSHANTLWLLN -FLTESDSPKCYYNNIINTSKYNKAARSINQPMVSNHPSVADHQNYIIKKQKWLKNQEIYILTDGNQVILD -VTTEEEGTKWEIFAVECMADMVKTGAGLQGIAAAELDIPGLMIPLHKHLALGTTVQTIINNNLLNLNKQT -RPGVYDVVRLDKQNYGMHKHYLKSRFPRMTITTGNYEITNSGIELALEQILLNMRGGLTENSKGIVAYCG -LCPISICMTGKYYIKIVLPDINSNIRYMYDMQKPQIDSMLDNFNAKRDAKTELYWDHETKCERILAGTGI -YSLTIEEITKLLDKTECMYGWIPTHAKNTDEYIYDRQLNCYGYRGGRITNKVRNDIINKVNKGEPVLVRY -DKSDYLEATIVTEVFNHRLIKLQYKPIPACNYIDRRLGTYMDINNVIKIKIPWIDLDLFRVLKDRQIVTI -KELVINKRFLRNILLRLMTGDDTPHGALEYAKTLESTQVITEKGIDDLTASNLLITLNTTWYAIYIHNSY -LEKFKTLIHYLNYTDGKPVAVLMLEQILTGIGNLMGVVSDKISEIAEQFITYLNRNYNLTNKMADCIKEA -EKTNWTPETKQVYFNRFYFSKDEEFTLRSWLNWEDEPENEDEQFQDLDYEESENDPSIINVDPTSLPNVA -YKTNETHSQEETLEEKLSSATTEATSSDTSSGSTTIMTICPEKPKKNTKIPIQVMRYIDEKGSTIISRKQ -TVKSIKKLQLYGDFDENQLVTAAQIIDKEYMEFKDLLDTPWNLKILSEKLEQALNVQLEQKYEELNPNTY -QLKNYYKTKQDHFEVEFSEHFMVPKIIWFYWHSIEAPEFIIRCINSWATHNKDYRIVLLTSEDLDTIVPI -DIKERIQNTTTTNLYLTGCELTYYLDNGGVWADASTICTGDIKEMMYKTIQSRTGLLQISLGNKNTKVKT -SENWFIISSTYNQIMLMWNNLLTDLMCHTSASGERCSEWIKKKYPREFLEIAKTMASDSVDYLRSYILES -IINQITGLYPENICRSEGQLTTFDSLPHSDWSKLLQIYFSKQQRPQLIIPLVKIIDSHRTMIMDELLDDP -EIEEYSLLHDIYNTEITLNLTTLSDIMKSMEKLHRENFEDVKKEYELTKGLKVFKTEFAYGMSKLTSMLY -DSLAVAEIQMIKPAIEFLVKSGCHLHLEKEDLIILSGVLIGKMKYMKDLREELQIWAVQEHISEVKQIEL -ESWFETNEIKTMKLNVSTRLPTKPDYVIYNDRRIPKIIWSYWNNQEVEEFQIKMINNWHYHNQDYRIIIL -NEESLQKMVGKNTYNLLSKQTPQFRSDWVRLFVLSHFGGVWVDFSGLFVKSIHNLVESTVQNEAGYCQIS -LNKKEEKNIVLESWLIICTENCKVIQDWFEETKEMTKFVDPSGHNALEWMTQTYGTEYQVAKEFILPNLR -IYLRIYIIQIIVRLRAKDEPLIVHSDEAEVSMFHKLSANAWMNLWDYVATQPKGSIEVSIPFIKWIGIQR -DIIMSHYRQHKGTIRPASLLGEEMEYPGVNYNVTSSAELSYPIRESQSTKETTNIIINKYSSCRTLLICV -GSVGDILQFKTLYDIAESEQQTPVIITHRDHEKFFKNKRSHYINVDSEELMSLVNIVLNEVDLWTDIKAN -HLVRQISEEITGIAKNYEQIVDKVVVNSAVPNLTELSDILPCDWLEVSTFPDDVVFNPKKKTGLKGAILK -AKEWMHFNIIRSEVDRSKVRSLWRTCSGCPWFYPQMRSRCGPLTIWSSPSTSNEIKLDEQDLLITFGSCD -NTIATKDKIQLIENFINCGYRVTYHDKYKIAIPGSTLFNTMELYPGKFGIIEQYDFRCIKGGKFTLLTHG -GHGTIMEALATNCKIIIHPIIADQFQICFVMEAQRMAVRLNDITNLNDCKQAITNLNRLMDTARIIKPII -PLLFTPLCVWFQMEQRQDDPENAICVFGEGDIKELETELPYGIYKLLDVEHQIVYNPQVLTRCVTNSFES -MLTKHGQEKYLTCNTIAAMKLQQHSVTEQELIDGILSMGLNITIIYSNGTALVIKPYKRTTVYFYIDKTK -TPWHVSAAKLLRHGDQIQLLNPDTCIKDLPHSIEYEINELHKVYSCATLCIPKLMAQQFGKSFFKNFLAR -IRSTNLQVLSSRSDLHVTYISIKQHFDAWVGDTIYPDTPLGVGWVITAQGWVLVNYFVKDGIIVVFSDDG -IFEQTVLINLHLQYPVKRSKPVVLSTKQELVSLNKPTIMYTTLNHCVPRSSNLVSNFHKQNTLIVADFDN -RKHHMFDEMDMIKRAAKVIFHTNKQIKVEDLATSHNAPLNRMIIRQGKVLYEHEFEQNEAKTNSQIIRNY -VVSEQQCITNSPLTEEQINYIHRYFIQSDNKLIAIDNENYNDKEVKPNDWVVTLIQNSEVMSQDVIQNLT -KLVKEHSMWHIHDQHNYELTSTQAGDVVTLEHGDLWVKSYGIVLVYVRVGGQRERLSWKLDTSHQQTSNP -KPGDWWGLEKKAQTKVSSSFKNVLAINELKYHEPETLLVDGSDAVESDDLLDMYIPPYHYGYTGSVIEPL -LDFPDNIAMQLWEDTDQTDWLSLYAPSNQCQLKSRELPGKIIQTEKITLTKWPIRSRAVLTKVCFEEGRS -ILGRMKSVAFIRTTTPNPYKLVMDMADTYFIKGWVSKVNEFRAKRLVILPEDVKRWVETNKDAKRIEPEL -LELLSGEILVKPMNDVNIHLKLESLLKDNTTIMTKQQQARIIVWQRKAVCAIYSNLFVKVKDRLKSILHD -KIIYADGLRPDEISARVRLCKDIAGFFENDLTKQDRQTDAPIITTEMYMYDLLGVHPNVIASWREMHEVW -RFKSNHYWGQGQGMRLTGQATTAIGNCITNLQVHQEFVKKNFSILELALFLGDDMCMVFREKPDTKNLRK -NIATKFNMQSKDAWYRNGATFCSMVMSRTPTGAELCPDVVRLKFRYEVTNGVHEANAENILMRKASYIMM -LGEGPNVKETVTRLNLPIKPLIWYDFESMLRAVGDKYDMTHVQVLDVYYNLLQMINSEKLYRHTFRHFSN -IEAK - ->YP_005086952.1 unnamed protein product [Persea americana alphaendornavirus 1] -MEKYKSRFTKNNELPMIPAIRGERYAKDLHYGLADSRPEGKLMDDKINLGELSPLDVARLYYNVLRLKRC -IVNVSKFSIAELEALVKHEALNDDEMIFEEDFELEDLPVIQICKGKSKDTIPVLQMIKRVVMKYGGYRGY -FTAMQQLETTDMPLLAVEDGSYEIKNRQFNPIKVCSLCDSICHYSQYERGLKTVHKSLQKYKPKSKVLED -EMRTVIDSKQHSVPWRCGLCFTDLNVRIIFSEERKTLAIEIIMKHLFVIAGNLDELLKLGYGDSYEDLTN -DEKYSIKTNDHMVVNEYFYHLQRKIIPVGQDLEKVSEQAISAVINGCHFKALSKYNSIKPELIAEVSTLT -QLCRQFMQGTEVVCIGDILPTITKKGYHQWYKDEHFYQLEGGTACANKLICSHAGPFKVFGSFGALKLTI -SQILNMVDKQRSMSIIMPIRAETNTLNDKTGKFVNDDCRTILHLDGDTVGYELRKDTYHLLSNFDIIYCN -HNYYMVNTIKKLPLTQLVTVTGPYHDFNNISALRVRVIDRLTIKLPLPNIDGFMGRWFGLNFEEHEFDIN -VPLFKYLCLRNLSGKITHDTLRQYAVGFALRRFVVHNKVISNPSVVYESIDIHIILSRICMMQLSHQYNL -CYNFSNIIKLLGPLRSLVTDIEMAMTSGLLSLLSEMINKTSGISIEQLTEWMANSNVSVFMNGICKHDFW -AQLLRMSQVTEMESIRIVDIDRDVLADDLADITTCDHHTRACKHEEKKIMTRCACCNLKLCANVQYCECC -KPKEADNFMKHSSWAETDQPVDFAISPETTIKAERALKLATRQIPESSRQEDIPTASTKDDTQRDEPTKT -PIPVPTQAPKPYEASDGESWREVINRAVKLKVDKAKAMILPITDRVMQRLKEDEQAELVSKLGFEFVEHV -INCADFERLVNDKDDTMYYMPHCAMGHSLLPRNTFEIVKRINIPNSGVNTCAIDAYNYTATKPVTRDECL -AVVDKETALYQTDLLDLAMSREDNLIIVADSRCYVSRGDPNSDSYICIGFNEPQEARGIGHMMPIVIKRL -EKNNQYFLHMQPGNITDRNMILATRYNQHTKVHTATEVDEFGNLVCELIYNYTVTETKSSNLAQSVKVQT -LGDDVVLSNNSLTKIHDPDKDHIHVTIPRWMRRWTDKLQACLTGSCPLSALPDFRHTTSELPLNIEEAVE -DRLLECMRSLCRINEACTTLQSKTIKRKINCTLIPWFKYSKLLIPNTMKTSLKKLDMCAVVVDNIMTKAT -VIGTDKTNLIVDIPIIVDKSVIVYEIKESSGSQIRMLCGLCQPTIDMPTVREKLRNAEVVLGPAGFGKST -MIGNQAKAGDLCVAMTSTSVRSLQAKVTASARVMSIEKANYTHQKTKTNLFVDEALMVDWLSIILLCTKD -TKIKMFGAEHQIGLLDMSLTPGIRTIEPIIKHVSDNNIKRSKISYRIGEPLRTFIEPLEPGIVSGGTHKT -TYTVTCLNDEQIENIGQAITRSRPDVIITPYQYNKAKIMSIDNRVPVETTHSFQGQEVMRSMVVLRSDVT -GKWDLNGKPDYLNSALTRAKEHVDILIYGYPLLNVTRITDIMTVISGMQLLDVSTAYKPEETKQITIDEA -KEIPENITLSNMHTLTENNIDTLNKLKPEMKGVRIHYKKINSGVEITANKFGLSVAKITNIDGNVTIDAN -EFVKRQIQSNLHTALIVPDEELGSLTGGMNKRILRLNIRTASKLRELNWVLDKTIDGTLQIKIDGGILII -TKTTDCPLCAGIKAVYKNEKLIITNGWKDILTRDMYITYDGQLSVLSGIINWLEITTTDIPMDVGYSIEH -LRDLRGDIMHSKWQLEERLTQVSKWILNAILMDEFPKCNTNNLANVNLYNSIASNLGATKVTHHNSVIPH -KRLIIKKRSLLQSDQYVLADNKRPIAEVRPGNQVETWRVFIIACLADMKQDQQIKGIGMADIDIPGLLLP -LSKHFAIGTEIKSLVAREINNINRLAATNSHDAIRLTTECYNAYRNEIMTRFPKLNINHGNYEMVQSHIE -QILENIIVNMVSRIDKDAGELVVYSGPVPLVISMQGKYYVKIKIPKDNDPELMYHDQQMIMVKSMLSLFN -SSRTGNKVDIVWNGQAECNRIICGVSLLSRTNEELKELVDEFTNVYGWVPIEEKSNYFTINHKDRVIGYR -HGTFTRQIRNNLIERVADGRPLFTNPTTAHYVQCRTILEFMDHRLVRMEYVKIPESKVVTRCIGTHQDIF -SEETVRVPWLNFDIPSVLTDKKMIIIKDLKIRRSLMRNLMLRLLTGDDSSESLIAYARTVESTQLITDRG -IRDANTSDLVVTLNTAWYAYYIHNNYNAKFKMLISLINSAQAKPTLMRVLECIVPGLMNIAGKANQLVEG -YCEKLITYLNSNINIVNQMKDVLSEAKRMNWSNDYDDRRLIKYYFDDEGGVGGWLDYRPPPSFGKEDDSG -NREESTDSESNKGTDDEFFDANADDDHDEEPHVAEQQEPKEVSTEAAAVEPTQQEELPVDEPSVGARDSD -VVSRLMDQDRFAGLSRDVINDTIQELAQTLGQEADNIGQEKIEYYLAAKWDRSMVQVRLTRPQFSLNRGS -EPSPPVIEVTTRHTVPKLIWTYWNSMSVDYLIWCMINTWQHHNPDYRIVVLTPDSLRHVLSKSECEILAA -QTPQFASDWTRLRILKEFGGIWLDISTIVTDSLDGIVTRCSKSRSGLYQICLNNRQAVNKVYESWLIVAS -SNNRLVDEWYNVTTQMMNYSKPNGDGALEWLCNKYGEVFKRAEQPIVPNLRKYLRLYITERIACSNTSLE -PITTCNDEAKLTLWHDASLLQWTDMWGVYATTDAEANEIEIEIVKMIGKVRDIILNEYNKGRPYTDASYI -GRMMSIMKTPGNETGVIKYNILYCDKLPIYKQGQARIPPTVMPAKYHSARVMIICIGSLGDITPMLAMRT -MCEAREVSTVIVTHCDLIENLAIDRAHGLRCTSKELMDTAMRLYNDSSLDDKLSGVDIMNRIVEETKQII -HNYSSDDMNVIINAPFPMKSAISKVLKGNWLVANPFPPNWYREPLGNDSDYTLTTKFVLKASEELYETMD -VTKLDCKSVKSVLLTYQWMAEETSNTSIGPLTINNACGNVTIPMTYKKIMISFGSCKDSIVWSDLASLIK -NCVLTGHKVIVTCSAEGYLNNYKFRKVCTDLVGNLSIIENYSHNDLQNVDYMITHGGHGTILDCIYNNVV -PIIYPLFADQYAWANRLSGMNMAIRLRSLTDTSDLREALSRGELVRKTCEKCSRMMNINLDDFIKWLKTS -GLWLEDVRSLEYTGVLDNKKIFHRSKFNDRIGIYSIKKMIYRVLHDPETPSHCVKSCIVKWLGNENSVRF -NLCSIPPVMKLQQEGVDYDTLIDGILSLGINCAIIDDNNTGTLVKLDNGPTIELLIDRSDLTWHCKLVEV -LEYSVSKNMAVKCRPAVVSTTNMGPSIEKLKQEYTLLELADPMIMVMKHGKKFANNVRERLKSTNLTVLA -PRSDIYIKLLSGVQNSIFYVCEVIMPDKPLSIGMITTETGWMTVNYLVEDNKLIVMGAGTFYETSVLVNM -HLRYPSTTPTRIVSKPQQMYALNKQTSTITLTRRLIPRASEIMLVPQKEYTVIVAEFDNRSHHMHDELSV -IQNATKVVVHDGRNGRIEDYITQYDAAINRPVIKMASRLYVTEFQDIAIQMRIEEMFNYKSMSRVNLSLK -HLIEPKIVEYMTKVLRIKKNKVTLRQGMEILTNQMGSNELQTKITNSGLSNEDKLIVSMWLRQNPKLMVS -HITAPIQNWDGRDTMISKTGNLIIHPMLTELISSQVAGTERLSWKVGTDRSVPGDWWALRNKMQGKTVEK -VNDVMAINYLNIHEQESVTHHAEEVDYTEMIDLYIPPYQVIYTGSKLEPLYDFPDVTSMQLWDDTDQTDW -LNIYAPMNECKIFTRELPGKIIEVEKATMTRWPIKSRAVITKVCFEEGRSIIGRLKSVMLVRTKTINPIS -FLEDFCDTYFNPNWRSQAAMYQENNLIIEESDVRAWIEDSKDSNKIVKELKELLAGEMLIKPMNDVNVHL -KLESLLKANPINVTKEQQARVIVWQRKCVCALYARLFLKIKSRLKEILHEKIVYADGLTPDDISARVRLC -NNVNGFFENDLTKQDRQTDRDIINMEMLVYIVLGANPSIISSWREMHEVWRFKSANYWGEGKEMRLSGQA -TTALGNVITNLQVHCKFVKKNSISMQLGLFLGDDMCMLFSEKPSIKNLRNDIACEFNMQSKDEWSYNGAT -FCSMILAKTSDTTAEVAADVVRLKFRYEVTNGAHEMNKENVAMRNQSYLMMIGDMPKTRDIIMKHGYVIK -PVQWYGYDTMLAAVAEKYNMTHIQVEGYLHNLLEMIDKSRVYSHKFRVFSNKP - ->YP_438200.1 polyprotein [Oryza sativa alphaendornavirus] -MDDIQRPNLGTTALDPLFGWFRLPRNVHCRMNKNKFIDNFDNLFEHYKGKQFHNCKEISIVCVEAMRGEL -QLKERHYGIAQSFSPDDERLSKFSVKNMSFGLVTRLLYLIYVKGAEIYLNPTVNVDDVLKNMSHLIKKKP -EIIEEDFEEEEDFEEMDLDDMGFIDDKIEEKGEYFQIVPFGKGNCTEKFLKTLKFHMKIFKGLFNYMYHH -ITNFKEVNDGFVEIKGTRDEDDNSMNYESSSGKLPPIKFCPLCSCPNAYTQIPRRMKTCHYSVKRYYPNN -KTIDDKFETKVKDGEIMKEIPWRCGVCYTDLNLEIEEFRQANIIIDVIITESLYVLMGDLENLMKLGYTD -TYDDLKNTDKENIRQHDKILVNEYFFHLQKRIVTVGQDLDPIINDNVKKVIKGVNFRHDSKFNSVKPEFV -CEMSVCREEIKSHLRSDAIACIGDLLPRTDDNKFHQWKYNDWLFEPNNFDGCCNNNCCDHNEKFTCFLGM -GALALSLSQILGIVNKQRQLYAIIPRVKDNKRLRDNTGTIISEGDDTMIFLDGCTNPLLVKTDTLETLSN -FNTIVNNGEYYVINKVFDLELCSTVCILGPYTDANSLPDDKIVKDDNITTISLPDLDSIMGRIIGLNFRP -SSFTLHTSLFKYLCLRNLSGKVSHNTLRQYAVGFALRRFVVHNKVVSNPSVMYEMIDIHVMLSRICMMQL -KMEYDLSLNYSEKTKYLGPLRWMPKELEGLTTRYVINALIEYITDRFSITEIDIMRVLESTHLSAFITKL -HDNHLWSALLKLSKGITTERLTVRNLGDSHCEIISNNIERCFHHNQNCVHGLLLTEHICKCCGISIEFGL -LCKCCKGTTPITAFQIDEQIRKEVDDEGENYNYRFKELESKRHTIKSKISHNEMKWPPIKPKPRTVKYQQ -ITVARPVKQDVTEMPTNKALPTKTIDEVIAKRGKHVVSVSNLDEFKLLLDEDMKKIQIIDDVDSGANKEE -ALIVHTIDNIKDTKIIKLTDESQVTSKQSDRLQTDIAETPPTEGDNSPDLSGESWRQMFSKFASKIVPSV -RKRITKLSNEAHDLLSADNKEKVLTRLKYDFYSHVTDPVEFESRLNGEHSTMYIMPHIPVGFVAWQQDEF -EVIEKISLSNSSFNSCGYEAFNYALDKPIPWTDFVSITGTDKNWSDLALMDLASDLGINIIMVEPRGVFV -GKYNPECDEFMCIHYDLPLPDENEKLIDTVQNRIGHFSPCVIRRIRRGGTYYVHDLNQSITDRNKIIQSR -LGPHNHCNRAPDITGDENILCELIFNLHISEDLSDSISKFPTVFEIDGKMCISNNPDTKLLKWETNLIHI -EIPKEYSTLVDKIASALEGSLKISELPEMRHTSSELPNELESEITEEIIEVLRIFSRINSMFNDKLDAKL -IKDTKECRVIPWYGRSKIMFDKKFNHKLKKFDTLCLKQGKQLYKCEVTNFSEGDPVINLELYSEQRFKVI -ILKESSGSAIKSLVSLMTEHITMSEFKEVLKRSNLTLGPAGYGKSTMISKAITHGDLCVAMTRSSVMSIE -EKTKDKHITVCSLEKASSSMMKCPNTIFVDEATMIDWLKLALLCEPTTTLRMYGSESQVGAVDMSPTPGL -RHITRIQDLLLENQISRFYSTYRIGESLATFIRPIEPKLVSLADHKTTYNVTVLEDAEFEKLPVIVHRCK -PDVIITPYNYNRQRIENMTDVTIPVVTTHSYQGQEVNKSLVILRADRTGKWDLNGNALYLNSALTRAKHH -CEIIVYGYPISNIQTVSDLCQYMGGAPHENSLEENTDIVKDNPEDDMVNDELPTVLTIRTMHTLRKQDID -KLNELSIVQQGHSVSYFYIHKNHISCNLKFMGKSVAEIININGNVTVNGNWYYKRKILDKLDEKLDLNDV -KGITICPRGTKLVRIKTNQKFRNKVRELAWIVDKCYDGKFTIKINNIIITITKNAGCPLFSGLKFRTKNE -YFEICKLKYFSLRRELIIHKSNNYRELSCILKWLDIDYNSINYDDEVDIGWLKTMTGHNISSWRQYKERL -SSVSLWFINACFDSTSRKCKELSDRNTAIYNRYMLTENRELIKFHQSPIRHDKLIYKTTERRALNLWRTE -NVYELFNKDDLILTVKEGSDETLWRQFVRECLLDMDRSDLIKGTSGLALDIPGLYLPLEKHYQLGTEIKQ -IIGTNINKLLLLTSPGIHDSIRLNINDNDEFRTAILARYPKLTIQIGNYNLLNSGMIGVLEQIMLNTYAK -TSKHNPQIVVYGGMSPSSVAVQGKYYVSLDIPDERSPIKRFYDREMPIVNALVHRFNEGRDDQHKVSLNY -DGLFSRRYLLGVSLNHVTEDYIQQKLNNCDYLYGWSYRVDNQSKWYSISNRGDKIYYHGEEYSNQIRNQL -AIKIQNGNPLWVDYDKCEYVETTIVNELFGMYLVKFTKRKFPNSNKVTRVTFTEQGYNSTTDVEVPWINT -QIMDIMRTRTFITTKKLTINNNLLRNLLLRLMTGDDSEDSLLAYARTVQSTQVITDKGIQDLSTVDLHVT -MSTTWFALYVHHNYLYKFEHIISLINLGEDREVLFTLLQQFVPGILKWVGIVAENITDYMEVCMKYLQFD -RSFLTNLKNVTEKIKNTNWAIQENKGKVWNFSFKHPDDNNMPMLDNDILPDKDIDRYKNSIREEDSTCDQ -GPVTTHEESDLENTQEKSETDKELGDNSEALGLDDEVDGDNQQSSSENVVYNVEKDMTKETDATEKPASN -DDHKSVPTSDTEIKLPSDDGTQISFTELTKPITTLPTPICVQGAFGEVPLIIWSYWQGSEISPFLLNCIR -SWQKYNPEVRIVILTDNNLGNIHSIFDSSDTKQMSPQAKSDWLRCYCLSNYGGIWLNLSSICAESLMPLI -KAAVNHESGLFQLGMPGGVDKMKYEMGVMICTTRNKILHEWFRLTNEFVILSGGDTTIMLDLIKDRFKTN -AHTAILAVGDYGKFYLWVYVLQRIVLDFLKIEPCHINVENQKCLLYMGKYAIDSARTWHILSTRSWQDLQ -ITVPVINLISAMRKNVIDNYSNKFEKGSVMEMLDTCEPLKYNPLSLANLTPKELKRIDLEETDNRQKKNK -IDYILISYGSFGDFVPILNIYKYLIGRGSSCVLVTHKEFASFINKDDFFDLKIDTKETFKLAMNFSEKGW -SSCLSGSLNQLKEMVSSMNELVNMYKNFNCTIVTTHSFYLYKNYSQIFGKEWIVLETFPLEMMSPLNKTS -GWIQQFIGKFYNLDMPLPILIGQGIVRKQRPIISMTNCLPWMVSNYKIKNPVGPLNMLSGFQQPLGIKIP -NNSVFINFGSCTNQNDVEYMYELAKDICSLGYDTILYDKLHESRVSNRILQIVEECSGKIILLKHFNCSE -MYGKVFLTVCHGGHGTVLDSLFNEMFTVVQPKIFDQFHWATILEQFGIGCSLNRNYTKEDLTSIMALAKA -SNHRLKDTKASMNINNLGLIKQLNPRKDLHGRIYPNALRQAGLIQNIEFIEGRFSTNLPLGYYVIDDIVD -VELMNPPTQSHCVRECIKYAFNSLTMDAITSCSSLSISNLFKPGVTESELEDGLLSLGVNYCLVKRKQGR -VMNSKPGPILSLKIGDGGISQHCVLIKISSYGKTVSLSGSPAVMPIKDNELKDHISNRLTNNTSMELCNP -HVMMSLLPNKWVKNFISRTRSIRKHSLSARQQLHVTIASMCRSGIAYIGDTHHNDKALGIGYCSCKDGWQ -LVNFEIFMSKLIIYTDIPCENPVVIINLHLEYPKSISRRTIIDDKERWVSLNKPTVNFNEINKPLIRSNE -IVSPHLNKFNLIVADFDNRTHHNSDDIGYLKRANKLVIYSTPEITFNDILASHNKPYNRLIIKMGNTKYC -HEFNWGNSARLFLSLTNGILEGNSIVTDVRLSNDVMNYIFKYYKIVGLKVHTRVSIYEDRPVIISVSDLL -DEFSRNEEMEPDLVTQLTTLLKEEDTVKLSWLTKTNCKLNDSNDVICISDDTLSIPCCEMIITKSVKGGA -NSDDRIGWKLEDKDQSFKSDDKWNVKPSLEKKNIQSSCKDVIALNDMKINDVQDSPSIKNVKYYSNYEPS -LDIYIAPYTMNYTTSVIEPLFDMPDITSMQLWTDTDLTDWLNMYAPSNKTVIKSRELPGKIINMEKITMT -KYPIKSRPVLTKICFEEGRSITGRLFSVVNLRTVTPDPEKILWDVCNAYFKPGWEHNIPHFKNDLLIITP -EDVKNWIEENKDCFGVEKELNDLLAGELLIKPLNDVNVHLKLESLLKDKHISIMKEQQARIIVWQRKAVC -SLFAKLFVRCKDRLKTLLVDHILYVDGLRPDEISAKLRQISDVFGFFENDLTKQDRQTDKPILEVEMLMY -LMLGVHPNIISSWRSSHDDWRFKSTNYWGKSTAMRLTGQATTALGNCITNMQVHSKFVIKNKYWLKFALF -LGDDMCMGFSHKPNTQHLRQDIACKFNMQSKDSWMTNGATFCSMVVYKTNDNVVELGPDVVRMKFRYEVT -NGVHEATKENLMMRKASYLMMLGKTPEVDKLVNDLQLPINPVHWYNYHTMLQGVADKWDMNIQQVEGYYH -NLIYMISKDDIYQHNFRGFGNR - ->YP_438202.1 polyprotein [Oryza rufipogon alphaendornavirus] -MSVMTISKLCNVNAATTGGGRRRPPPFKNKNDQIKYKLDLIKPKTPNTIGFLKRMDDIKRPNMGTTALDP -LFGWFRLPRNVNCRMNKNKFITDFDNLFEHYKGKKFHTCKEVSVVCVEAMRGELQLKERHYGIAQSFSPQ -DERLSKFCVKNMSFGLITRLLYLIYVKGAEIYIDPTVNVDNLLKTMSHVIKKKDNSMEEEFEEEEDFEEM -DLDDMGYLDDNIKEKGEYFEIVPFGKGNCTEKLLKAIKFQMKLFKGLFNYMYHHIINFKDVNEGFVEIKG -TRDEEDNSMNYESSSGKLPPIKFCPLCSCPNAYTQIPRRMKTCHYSVKKYYPNNKTIDDKFETKVKDGEV -MKEIPWRCGVCYTDLNLEIEEFRQANVIIDIIITESLYVLMGDLENLMKLGYTDTYDDLKNTDKENIRQH -DKILVNEYFFHLQKRIVTVGQDLDPIINENVKKITKGVNFRYDSKFNSVKPEFVCELSVCREEIKSHLRS -DAIACIGDLLPRTDDIKFHQWKYNDWLFEPNNFDGCCDVDCCNHNEKFVCFLGMGALTLSLPQILGIVNK -QRQLYAVVPRFKDNKCLRDNTGTVITEGDNTMLFLDGCTNPLLMKTDTIETLCQFNTIVNKGVYYIINKV -FDLELCSTICILGPYTDVNALPDEKIIKDDNIITTITLPHIDSLMGRIISVNFRPCTFNPHTSIFKYLCL -RHLSGQVSHNTLKPYAVGFALRPIVVHNKVVSHPSVMFEMIDIPVLLSRICMMQLKMEYDLSIKYSDKTK -YLGPLRWMPKEFEGLTTRYVINVIVEYLTDKFSVTEGDIMKVFESTHLAAFITKLHDNHLWTALLKLSKG -ITTERLNVRNLGDTDCEALSNNIHRCFHHNQDCIHDLHSTELVCKCCGVSIELGLLCKCCRGTTPITAFQ -IDQQIRKEVDDENEVYKHRFQELETKAKEIRSKIDHNELQWPALRPKPRTVKFQPASKPRIAKSLTSQAP -TDKLQPTKNIEEIIEKKDKTLTTISNLSEFKALLDDNTRKIQIVDDYDTDANQEGVQVVHTEKGKQDVRT -EEIKKEEQTVTTKTDDLQRETTDITIKKGAEDLDLAGEGWRQMFSKFAKNIVPSVRKRITRLSNDAHELL -LADNKEKALTRLKYDFYSHVTDPVEFEAKLNDKHSTMYTMPHIAVGFVAWQQDEFEVVETISLPNSSFNS -CGYEAFNYSLDKPIPWADFVSITGTDKNWSDLALMDLAADLGINIIMVEPRGTFVSKSNPDCDDFMCIHY -DLPLPDESEKLIDTVSNRIGHFSPCIIRRIRRGGTYYVHDLNQNINDRNKIIQTRLGPHNNCNRAPDITG -DENILCELIFNLHISEDLSDSISRFPTVYELDGKMYISNNPDTKLLKWETNLIHVEIRNEYHNLVDKIAS -ALEGSLKLSELPEMRHKSSELPNEMESEIAEEIMEVLRTFSRINSMFNDKLNAALIKDSKDCRVIPWYGR -SKIIFEKKFNHKLKKFDTLCLKQGKELYKCEVTNFSEGDPVVNLELYSEQRFKVMILKESSGSAIKSLVS -LMADHVTMTEFKEILKRSSLTLGPAGYGKSTMISQEITSGDLCVAMTRSSVMSIEEKIKDKHVTVCSLEK -ASCSMMKCANTIFVDEATMVDWLRLALLCERPTTLKLYGSESQVGAVDMSPTPGMRHVMRIQDLLLDHQV -NRFNTTTNRIGEELATFIRPMELKLVSLAKHKTTYNVTVLEDADFERLPIMVNRCNPDVIITPYNYNRQR -IEAMHGISVPVVTTHSYQGQEVNKSLVILRADRTGKWDLNGNELYLNSALTRAKYHCEIIIYGYPISNIQ -TVSDLCHYVGGVQPDNTINEELEPENDDPEQDMNDELPTVLTIRTMHTLRKSDIDKLNELSITQQGHSVS -YFYVQKNHISCVLKFMGKTVAEIVNIDGNVTITGPWYYKRKIMDKLDQKLDLNDISGITICPKGTKLVKI -RTNQRFRNKVRELAWIVDKCHDGKLIIKINDVTITVTKHVGCPLFSGLKFRTENEYFEICQLKYFSLRRE -LVIHRSKNYRELSCIMKWLDIDFNSINYDDEVEIGWLTSLSGHNISSWRQYKERLSSVSMWFINACFDST -SVKCKELSDRNTTIFNRYMLTEKRDLIKFHQSPIKHDKLIYKTIERRALNLWKTENVYELFNKENLILTV -KDSSDEILWRQFVRECLLDMDRSDLIKGTSGLNLEIPGLYLPLEKHYQLGTELRQIVGTNINKLLLLTSP -GIHDSIRLNINDNDEFKTSILARYPKLTIQVGNYNLLNTGMVGVLEQIMLNTYAKTSKNNPQIVVYGGMS -PSCVAVQGKYYVSLDIPDNNSSTKRYYDREMPIVNALVYRFNEGRDDEHKVSLNYDGSYARRYLLGVSLN -HVTEEYIQQKLNNCDYLYGWSYRIDNQSSWYSLSTRGDKIYYHGEEYSNQIKNQLAIKIGNGTPLWVDYD -KQEYVETTIVNELFGMYLVRFTKRKFPNSYKVTRVAFTEQGYNSTTNIEVPWINTQIVDIMRTRTFLTTK -KLTINNNLLRNLLLRLITGDDSEDSLLAYARTVQSTQVITERSIQDLSTVDLHITMSTTWFALYVHHNYL -YKLEHIISLINLGEDKEILFTLLQQIVPGIMKWVGIIAENTSEFIETCMKYLQFDRSFLTNLREVSEKIK -NTNWAVQGSRGKIWNFSFKKLIDNDIATLDNGAPPDNDGDDKVDQSKSEDHVSDNESFTTCDSTNSELEH -IDVSSESDTTEHHDTGNINDEPVIVNALAMPDTKNQNLNNKMDVDVKQNTTNLTSSEQTISQIENKNAVS -TVDKDVLVPFKEIIKPITNLPTPTCIKGVCGEVPLIIWSYWQGSEINHFLTTCIRSWQEYNPEMRIVILT -DANLGNMHNILNFDDMKQMSHQAKSDWLRCYCLANYGGIWLNLSSICAGSLTPLITATTNHESGLFQLGI -PGGVNKMKYEMGVMISTTKNKILHEWFKLVNEFVILSNGDTTVMLDLIKDRFKTVAHTSILAVGDYGKFY -LWVYVLQRIVLDFLKTEPCHINAENQKCLLYMGKYAIEPTKTWITLSTKSWQDLGITVPVVNLISSMRKN -VIDNYSDKFEKGSVIAMLNNCGHLKYNPLSLSHLIPKELKITESKPSSHRMKKHNVDYILIAYGSFGDFI -PILNIYKYLTGRGSNYVFMIHQDFANYINNDDFYDLRINTKETFKLAMDLSDKGWSSCLSGSINQLKEMM -IAMNDMVYMYKNTQCTIVTTHSFYLYKNYSQVFGKEWIVLETFPLQMMSPVDRSRSWVEQFIGKFYDIDM -PLPIPIGQGIPKNQRPVISMTNCLPWMVSNYKIKNPIGPLNLLSGYQKPLNIKIPMNSIFINFGSCTNQN -DVEYMYKLAKDICTLGYDTILYDKLHESRVSNDILQIVNECPGRIILLKHFNCIEMQGKVFLTICHGGHG -TVMDSLYSEMYIVIQPKIFDQFHWVKILEQFGIGCALKKDYNKEDLSSVITLAKANHNKLKDVKANVSIN -NVDLIRQLNPRKDLHGRIYPNALKQAGLIQSIEFINDRFSENLPIGYHILNDIVDVELFNPPTQSHCVRE -CINYAFSTNTKDAILACSSLSVSNLFKPGVTESELEDGLLSIGVNYCLVKRKNGRLMNARPGPVLCLKIG -DGGISQHCILIKIINYGKSMSLSGTPAVISIKDNELENHITMRLANNTSLELCNPHIMMSQLPNKWIKNF -MARTRSLSLHSLSTRQQLHVTITSMCKSGITYIGDTHHGDKSLGVGYCSCKEGWQLVNFEIFMNKLIVYT -DIPCENPGVIINLHLDYPEERLHRTIVKDHERWVSLNKPTVNFNEINKPLIRSNELVSPHLSKFNLIVAD -FDNRTHHNGDDIGYLKRANKLIIYSEPAITLNDLLTTHNKPYNRLIIKMGHVKYCHEFNWSNSARLFLSL -TNGIFEGNSIITDNRLSDDIKTYLIQYYKIIGLKVHAKLSVYENRPVIIAVSDLIGTLNQIPDVEPELIS -QLTELLKKEDTVKLTWLTHNNCKLKQRDCIIQISEDMISIPCCEMIIIKSLKGGADAENRIGWKLDSSNY -SFKNEDKWNIKPALERKNIQSSCKDVIAMNTMVINNVAVSPVIRHITHYQNYEPSLDIYIAPYTMNYTAS -TIEPLYDMPDIASMQLWSDTDLTDWLNMYAPSNKTTIKSRELPGKIINMEKITMTKYPIKSRPVLTKICF -EEGGSITGRLFSVVNLRTVTPDPEKILWDVCNAYFQPGWEHNIPHFKNDLLIITPEDVKNWVEENKDCFG -VEKELNDLLAGELLIKPINDVNVHLKLESLLKEKHISLMKEQQARIIVWQRKAVCGLFAKLFVRCKERLK -TLLLDHILYVDGLRPDEISAKLRQISNVFGFFENDLTKQDRQTDKPILEVEMLMYLMLGVHPNIIASWRA -SHEDWRFKSTNYWGKSTAMRLTGQATTALGNCITNMQVHSKFVIKNKYWLKFALFLGDDMCMGFSHKPNT -QHLRQDIACKFNMQSKDSWVLNGATFCSMVVYKTNDDVVEIGPDVVRMKFRFEVTNGVHEATKENLLMRK -ASYLMMLGKTPEVDQLVKELQLPITPIHWYNYHMMLQGVADKWGMNVQQVEGYYHNLLHMISRENIYIHS -FRGFGNR - ->YP_241110.1 hypothetical protein PEV1p1 [Phytophthora alphaendornavirus 1] -MSSTISNYQKLQSRKTLRGGRRTGVGGLPVALNQEQILTLRKKLNLKVTKRFNYLKSIDKKYKEMVKTSK -YPGRLVPISKALNKKAMQRMTRNIIIPDCMHPSQLLAVKVAIANNTDKWFITRDAFLELKNLSELANGSQ -QEVRLSVLRSKLYKYTSIGKEVIPGEANYFRTWFKWLSSNYNNSMYWMWAKYQTAATILCDRIVETAAGC -VMYIDCEPAPAETVVECAYCGMLNHMTSADNVMCGSCYGDLEVTIESAIPGEPIIELVLNMLQGHFGMAM -LEQLSLSNYLDLSALAESGIGPSIINHDLMIVKQILSRSMNMAVKVPSNLSLKELSTLRFTLGTDMCCPI -HPNTSGARKSSAEVSWVVTQLRQLTSSKGKIIVVDSMNVLQCLELQDGVIEVVNDIAEADIAWGQVEHVV -IYLPNALELSCIFSAAPINVCVTTLQLDPKDPEMLTEWDTQQIGETVWWSPVYSNLAASQDVGDVTLLLG -DHYTVNNHNTILNASKINKIGYFTRCEIHRSEGLPVELLTDVIGSLIEEEREFKIPTVDMDALVTRFGGA -VIKTKTIALDRVFLRYLLNKTMLGNMGYNQTVEYAISLCYSRYVMDGREMNFSRISAESCRDHAYVAVMX -TGLTSTEFKTGETVLGPNNGAQQVINVLSLGAMQGLWAVGLDLCEQLLPEPISEAVKKTITWLKQGNTSD -YQTTDIWEKLRDWVYGNTFDSCKYYVETKWKYQEPESNCDHHSPDCDDEQVGVDDQDTLRCLCCLLFKAS -PVTKLCKCCTLSSKCNHKCSHTCTSELDHICNKKCKHQKILCDCCGVPTCFDECPVCQTPVTWVLPISLA -AKEISNASRRNRPVHMAKPRKIDREKIEDQEFTVKGEPVSRVPVFKTHGLTLGLTGCNIQEVMENQAEIQ -AKVNELKLEDVEVYDPDTLESIGLFLLIDHTLISEPAKPGEHTISELVEEAEQRNASTSVDTTPREHQDK -PVALDVPADKQSHDRLSMLAPVVRRACLNGLMKNWGEVQPYFKTTDADEAGTTQLVLLAEGFSSYVTNDW -LAVVATHSISAEDNLCGFHALNLGFEGASLRRLREVSKKDDWFELTDLVNYMREEDRNLLVMKDEMVDVY -TFDPYNSVYVSVEHTGSTSSGHWSPISMVQIKPAPSLPNWTGVTDRNTMSQILLSWGLSVDTEFDDLSVS -QRITYSWMQYNEEVGRSKAIVSQTLSLSSENGVGYLHSGTNTKNDIRSGEVFLEIPQQYVPSMSMLNSSW -SLVQNSFEYNQPHSYSDTIPLNCSQRLVQEVREQIKTLVQLGFTLDNYLPEIPPGYYNLHQKGRAQTISE -GVVRCRLTKENIKTGDSILVVVSGKGYSVRRITREGAWMIFPFVTTQRRTTIKFLNPRSSCTSTLLRLQA -LLQTNPDTSRTLQLLQASECTLAVPGWGKSTKIVKEFDTDTTVTCVTSEAVKNLVAMGLPRNKVTSVERA -VESKIHTKKLAIDECTQVDWLMVHMMCGDEVEIITLAGDSFQIGAVDFSASTGERTITSCNMFSLHQNTY -NNSHRFGQPLCSQLTVLNPRLTTDALHQTSYSVHNLSSLDLNVLGSVIAQERPGVILTFHQITKSQIQRA -FGRTIKTSLTTGDVKTVHSYQGKESSRVMVIQDFLSPAGVHTDRRYLMSAASRASNHLIWVTIQSPINSL -VLSDHLKTNILVGGHLSDIIDNTVITLCNRFKFEMSLETMRNVVEYLFGEYESTHSDEITLKTIHITGPT -ENEYQRGYIKFSQPEEEFLRRGRTYLVTKPNLGSPSEEPEVVYIAEDWKPEGKIDERYELNDYAVSNQKT -QVVFGTKRALSTLILPMSINTAGWKITLSKQEANVLVTIEKYGKTLNFIWDNQLGGVDLTNHKALQVGLR -LLINTGLLERVLPEELHNLNLFELTLNEDRVMSNAELEEIAEMGSVIHMDELMDSEAIMEPSVRQGWTHL -INITNGRLSSEIQQELIVESKNAPNESFYTHKTGMTLVNYSRLSKLADAARRTSLEELPLPLRTPFGWVD -VKRHNGCNPCAGISLRHHDSWWLIDNDYYRKGSRRVQFNEESTRDEAIIYVLKGLQLEHTLEDVVDPQES -SHPFFCVDGPGHEVWCSNHESLDFCDTLMDRISCFKTYTESKFEYKDFTENNLTWLQDVADKMEVFGMYN -ITKPGGDKNSKWNESLLQVLNADGSRFFVLYWDSVFYYVDCDNDDGYIQQFHSWVKVDLVEMANKLFEVG -LEARRSRLSTLLLSARDTVFGAGVNEDGLILDLDQHKFNKTAVYNHLVQRMGEMKLKQSALSRRPFFHGK -IAIETLKNQVKTTLRGGVVEECPICTGSSVGSELVTLIIAHSTDEPTTLMLNNPQLLLSNSLWHHKVRSS -EESLFNTTFPSVRATFSRHANKVLGKITHSMKKYAMGEDLKSAGRLLAASNVLKKASNSERGFEVFEHPT -NTCDNLIVGPLLLAEPDSLAEIWGNERIQVTIIVPAVINSHSPWYKVNSTGGNIQIMECGSGLTVKIRSE -IYNSVLNKPNLTLFGHTRCTEVVGTLFDYLIVNTRGASEARPQKVWLRHPLHEVIKVPILSVNLGEDIAN -KSIVKWKEFRCDPVVLRRLELRVNRPGTNFKDLCEYARTLLHTRDYTRLGSWDTVKLDDLDMLSHCQYAY -YHNKLGNKSISQMIELLNVFDQSGKNIPFYSWLKGLGVSKLLDVTYSTYAGVMRDTGLDLGLSQTLMEFC -GFIDELVKNDTVKNSVVELWNNYEPTMYKRHVSFITWRAQPTATGEVGRLAYRLLKEFIWEPHFEGDDVL -RDLIPRGAKDDGKSENSHTEEDFEQEHSDFKHAVFTSQGTRGDVEPVVKFINKIKHGYDLVTLVCNQGNG -EGVQFPDDIRVVYIDMDGSKLATLASVGTGEGLAEVLSKLCEFEGSMRDTLEKLLSGPPVTTVYATSNCH -LGYXLADLVQCKLVELQLFPWPFNPTTKLEKFVAGVTERVLTTTVDTSGLNRVKKTRQQHKCLLLFAPIV -LKDIDHHFSDDLKLVKIDCSVLKPTGVKKEALVVTMGSLVGAGAIARYKASLQWATKMKFSHIYLVGNQF -RADYMCDASAVLSPTIKHLNREGVVVEIESYLPYVEICSKDTICIHHGGAGTTNWFMRLSMRQIILPLFN -DQWIWANCVSKLGCAITASPITLPHITEKELSKLTGKSGSWSFENRQLQGAHGINSSRIPDWTKSEPTER -GYINMLPYLKEGVMSAANDVSIKMMNFGPLQLANEICALFQLADSAFDWHEKDNSSSGEEVDPEDVMQEE -GEEVVPDLESEESDSYVSANPEIAASHTTKELEESASQLAEQRSLASHRIEVGDSKPSQASTPCVDLVVY -QTPVVAYSNLATETSTDYPEMEPTVLNELELERSHAYRQDDREGTLISSLSKPPASISNVINWRSLVNVE -DSRCEANQICDTIDLATNMDDSPLTTTTLENYHTVYDPGHNSPGTCVFDCLSWLFPNDKGDVVIQWASML -GCRSWATKKDIIVFTNLIGVSLLLRQEEKTELFGRQSLSLICLNLVERGGIGHCTVIHPDWDELLSKRWN -ASQTPFSRIDLKNAARCPKTGMHMIGRVPCGSHTHISDADLTSLSMSVSYWSSNKTTLDFIETCAKLTGK -PKKDIAARLQGTNWHHLFHRRKMQVVTTFQHSYKNGCSWEKTGCNFKPGDLVTICFDRGCVVGVVLERAR -DYVVQYETRTSTPLYAISLSMNVNVKHTTLRRAVTLKGVQEEAFLNVKTMHYCHSIGLRNDISEVAEREK -KYDSLIVSDFDNRIHHQFNEKDILKYQEADAITIVSGKSRAVMVTWIMTESDLRLTMWHGKPYIELKTKD -TILMSILDSAGERIALGNDEYRWIPEGDDTRMLRVCDTIPRLQKLLNKTDEGLVELKWLTMNPRLNPIKV -VKKHMLSEGLSEEGEMDVEELNLLLAVPLSELVILTSRSEIAEWLSISRHMFGYKLDGIYHSGAGGMINL -AGPIVVYKKKLLPPSMTGGHLVLDIGDQHWNKVREQYSENNLRGLVKHGFKVTSPESAQQRATSNMERLK -QSPLYPMLDAVRDMTQGSYSKTPLTFTNVRAHEVDKEMGDLIIPKILVDTNMGWELETAHLEEVPIHVRQ -MWDETDLLDWNASYAPSNQLRLKTTLPTGKRRTERKMIYTKYPIQSRVVLTKAANQEFNAVTGRIGNASI -IRKYNLNIQYELKNMCRELFVANWQSICAGYQADQVSPSPENILEWLSEKPDGVNIGEELQSYIEDGFQT -HPISDVNVHLKLEALLKDQPVESNQALKARIIVWQAKGICAMYSGTFIQIKKRLKKLLRAEIVYTDGLEP -TEIADVVRGIKDFSVLIEDDLTRQDSQTDSDTINVEFGVYDLLGLDRRVAASWRRVHELWRFKGKDVRGV -WQEMRLTGQATTALGNAVVNLAVHWRLVSQLGPAMKMYLLLGDDSLFFSRTTIDATSLRRNIADYYNMKS -KAFVYKDHGTFCSMMAYKNPQGGAGIGPDFVRLKRRFEVPSGVSETNLTNTVARKMSYCLMLGNLPIVKK -LVEDMGWPIELQQWYDPSPLIDSICDKYNMSSAEVESHITALVDMIRSRTMYEIDFDVISQR - ->APG77570.1 hypothetical protein [Behai endorna-like virus 1] -MTNQSVYKTNLQHIQRSISWQPNWQPFQSFIERKFPTSAKQITHEVAQQLFPERDQVILVNNQKYFQNYS -LGIYQLCLDKQDYSATANKYGMNCVLCCVQDQIDSKNNYGMALLQIVKVQFWDKPISKQLIMNICFKVKC -NLMLIDQNQPTKMQVVKMHKRASYIRIYVKTTAMMLRHAQSLIIKLQKKKLQGRILFRKNLSQLVCESDM -HQLLTRIQSSRLYMQPKLFKQMIGLVSGDNKVMIKSQTDIKARIKSDKLTVLSSRTWYMCLKIKIIQTVI -GYMIDSQYLNNGDLICVLTNKGWVTTIVIKFKTSLNTFETYLLPTFDVLQYGGMCYKLGMNVIKQPQKSN -KNVQRRAPQIAVWNRQTQVIFTQQYGRQNVAILHQLVAQWNIQTIYCMHHDNRKHHNYDMLAKTENFKFI -TFVDVNMNQVNKQQLIKTYNAAINRMIIRNGVIMYNIQLTSIMQLKIFRAQKKYLNQSSGQIYQQNNVYQ -FEPFNDHFIKQLVINDNNCRFDDSKHHNDTINNWVSTLPKITNYNQWAEQLNLSIQQVLTAQTMRLIPNG -TRIKFKVIPFNQKFGQLKYKQRIGRDEIMLLQRPTYHIYIRSTRVVVSLSQIGGSLDWTKQSLQHMIYQP -NYRITSDQFVQGQFTLNFSQRLNQNEKLARVNQFKELNKHKIQSLQTQLFNQYETDQAYQMPMLNQLTQQ -QQQYLQSPYVQAFWTQDSQLHGGQQQLVSAQLINLWQQGDLTQYVQLKLPHKRHLIRTTQQPTSIKQISK -YKLTDYPLTSRPVISQMHGIQYNTITDRVHGVVDYKKIKINVIKQFNQFVKVYFKKNASAVTHMQMNPIK -VSLQQSINWLANAQRNNVKLIDLIKLIHNDISLRNFNDIKMHIKSQVLTKQSPKDFKQMSARSILWQRYA -ISAVFSPVFLKIKQRFKQMLIPTVVYADGLRPDELYARCKSVQLNNQKSCFVQNDLQKQDKQTVMQIIQI -QMLIYKYLGMQNNLVDFWRLMHIDWQLRSKYSTTMATAQRLTGQATTAIGNVITNLIVHTDFIKYNMSQL -KLMLVLGDDFVAIMNRQPQIMNLRQHIKVKYNMISKASFNYNYGNFCQLVLYNTPDNCVGIGPDYVRLKR -RFEVCNNIKASNDQNQQLKYSILQCKSMSYLMMLGDNINTKKIIEKYKYPIKPLKWYDIQPLQQALCEKH -NVSQYYIQNQKALLYKMIQQLNAQQVKLSIWSNKYYLSERVFRQTHSDN ->AOV81695.1 polyprotein, partial [Endornavirus-like virus] -NPEAVCSDPVRNDFNRKTREGYLLDDSNRRMYFESPDERNEWLEKIALELYHDKTGGVKIVGERAIEQFQ -AAPSAAAATLVAENPRQMYDHDMCEYMSYVNVPVDDSYDTLQVSLTVPIEKYFFYTEDTMQIVRKGKPTN -YLPGKPFKTHELPSAVVYQTKKTMEPFPPLAYPVLNKIVYAEQHAVMNVLASAVEYRKHETSPMHALQQW -IKAYGXKNIRKQIAANREKLLCWNDDDIKEWLKERTGVPQIDKELDEIEAEGWTLHPLNKLNVHTKVESL -MKASSTEQGRTINSFTEQAARIIVWQQKGIAALFSPIFKEAYKRLKSAVRREVFLADGHDPEEYSQRVRA -MGDIGEAGKDFWYLEDDLTKQDRQTDWNLLNCEMALYREVLGVHPQVVSMWATAHKYWYLKGAYIRGTLN -GERHTGQATTAIGNLATNMFVHMAEVLRQGKNLLLIMMLGDDNLMITKTRINAKMLRTEIKDYWNMESKA -ESFSTGGIFLRYLFGKAADNHLQAGPDIIRLRHRYSIINNDSESTKENLDNRTASYCMMLGDNAITRQVI -AKHGLPIQPLAYYDWQALLHTTAAHYSMTVTQVESHWAQLRDMMLAQEVTEHTFEMFGSKVEKIRSRAVS -IPSTQL ->ALM62234.1 RNA-dependent RNA polymerase [Soybean leaf-associated endornavirus 1] -MEEKEPTGKLAEISTLPEDLEEKPAVVCEESNEMGDYVIDQSLGKEEDKDIRDHDVDVTSSKHIVPGVET -NPEHVSLMYKIEYVVDNIVRQRPLSDDGNLKFIRESGHFVTDTPTNINYTLNEAHKDGSGCGLNSIMTNL -LRYGRQLNKDKMIELTGRVTAHTVSDIAMCCMLNRANLLMVSKSNSTHWYIFDKSWITVQILQMVVKGVG -HAEPAELDYAELSNLWKSKRKFDDVINVKKIVVSPTCSRIGMPVMANSYITTNCLDHIHLKNYDQIISRQ -CVQLRSDGGRLKLEDKWGELLYRLTNKSISHWNARVSLLNVSKVISRHRNPIKVIHGVKYQNGVVLNEKH -SDTIRGGTLILIIGMYGAVTTIACPIKEGIWLPLECNRLEPLFFLSLGTGIYAERVMEKKVILKTEKEVR -TFLNNASLNRCHAFGMFTDVTSTQSAEATHLIVSQFDDRPHHMYNERDILTKYSDDHIIYVGTDLTSDAI -TWIRTSGKHHRRSLISGRMAIEVQTRDELLQAILNVLPPSWQREIVVTGLDGGASTINYVGFHNESHMTA -GAWTGFSDILGKMLQNTEGSNDNVEIKSVNWEGTKTVNKVVEHLTSLQLTASSDLTWNLVAGKMNDSRYV -ITVVDSPSVVIERLKNLPKFKTVSPMLAMADGSVLVVPCGLILELDHVTGGQSYFHDNTKHDHNPGNDAQ -QEETGKVREHWDMKDQTSKSAGQIMGAHDWWNINKSKTTDTNQQLQQLESLQNIEGLGDLEILPLQNFNM -QVNEGSYWDSKIYFEYKEDGQTLTSCDMKLDEDITSEVIEFWLEDDLTDHNVIYGPRATMTMSHDGTYGA -VKSVKKTTLVRYPIYSRPVMTKMANQEINAVTGRIGSVTEVRKFSIDPKIEGRQFAETYFKSDWGAMVHH -YRQNPLTFDSEKTLAWISTRSDSLKIAKELQEMLDEGLVVHGLDRVNVHLKLESLLKDEPITSYREQQAR -TIVWQSKAICAIFSPIFIEAKKRFKTILHEKFIYSDGLTPSQINDILATRPAPKFFLEDDLTKQDRQTDQ -QLLDCEFEIYRYLGVSEMVLSSWRSVHNKWRFKGKTVKGLFDAQRLTGQVTTALGNVIVNLLVHRRLVQV -NQSSIQTMLVLGDDNLLFMSKFIDAKELRRTIADYYNMQSKAFNYENHGTFCSLVAYRSINGVAQLGPDY -VRLRRRFEVTNGVSEVTDVNLEMRSMSYCTMLGDIPEARQIVQDKQWGLTLPNWYAQDMLLPALCNKYNM -SLELVIANLQQLLNMIRTPKVYHHSVDVLVENR diff --git a/seq/clusters_seq/cluster_218 b/seq/clusters_seq/cluster_218 deleted file mode 100644 index 443ea6c..0000000 --- a/seq/clusters_seq/cluster_218 +++ /dev/null @@ -1,92 +0,0 @@ ->YP_138535.1 Z protein [Pichinde virus] -MGLRYSKEVRESHGDEDVVGRVPMTLNLPQGLYGRFNCKSCWFVNKGLIRCKDHYLCLGCLTKMHSRGHL -CEICGHSLPTKMEFLESPSAPPYEP - ->YP_089659.1 Z protein [Sabia virus] -MGNSKSKSKLSANQYEQQTVNSTKQVAILKRQAEPSLYGRHNCRCCWFANTNLIKCSDHYICLKCLNIML -GKSSFCDICGEELPTSIVVPIEPSAPPPED - ->YP_010086248.1 zinc finger protein [Xapuri virus] -MGNQVEKIKPTKRQELIYREPVTTFHRQARASIYGRYNCKSCWYADKNLIECGDHFMCINCLSSMLSRTK -YCELCSAELPRRITVPTEPSAPPTLD - ->YP_009553323.1 Z protein [Apore mammarenavirus] -MGNTGTKSQPKQLANHNPEDCRTITMLTRQAEPSLYGRHNCRCCWFADKNLVKCSNHYLCLKCLTTMLQK -ADFCDICGERLPTVINVPTIPSAPPMPEI - ->YP_001936027.1 Z protein [Parana virus] -MGLRYSKAVKDKYGDREIEGRATMTLNLPQGLYGRFNCKRCWFATKGLIACSDHYLCLNCLTIMLSDGNF -CEVCGKTLPKKIVFEESPSAPPYDG - ->YP_001936025.1 Z protein [Latino mammarenavirus] -MGSKQSAPPKPLQLPQPRVSLLREAKPSLYGRYNCKCCWFQDKNLVECSDHYLCLKCISSMLKRGKNCEI -CGKAIPTYIEVGITPTAPQLN - ->YP_001936023.1 Z protein [Flexal mammarenavirus] -MGLRYSKAVRDRYGERETVGRVPMTLNLPQGLYGRFNCKSCWFANKGLIACSDHYLCLNCLTRMLSRSEF -CEICNRPLPTKIIFEESPSAPPYEP - ->YP_001911119.1 Z protein [Whitewater Arroyo mammarenavirus] -MGLRYSKEVRDRYGDKEPEGRIPITLNMPQTLYGRYNCKSCWFANKGLLKCSNHYLCLKCLTLMLGRSDY -CGICGEVLPKKLVFENSPSAPPYEA - ->YP_001911117.1 Z protein [Tamiami mammarenavirus] -MGLRYSKEVRDRHGDKDPEGRIPITQTMPQTLYGRYNCKSCWFANKGLIKCSNHYICLRCLTSMLNRTDY -CEICGEVLPKRLTFETTPTAPPYTP - ->YP_001816784.1 Z protein [Chapare mammarenavirus] -MGNTKTKDRQYQSNSSQPTNTSAPVLLRRQAEPSLYGRHNCRCCWFADTNLVNCSNHYLCLKCLNTMLRR -SNLCDICGEELPTTIIVPVEPSAPLPGQ - ->YP_001649219.1 Z protein [Cupixi mammarenavirus] -MGNCRSKQESHPICPNTQTPEPTEAEFRRAAVNSLYGRYNCKCCWFADRNLINCSDHYLCLRCLNVMLRT -SNLCNICWKPLPTRISVPTEPTAPSE - ->YP_001649224.1 Z protein [Bear Canyon mammarenavirus] -MGLRYSREVKQRYGEKELEGRIPITLDMPQTLYGRYNCKSCWFANKGLIKCSNHYLCLKCLTAMLSRSDY -CGICGGILPKKLVFETTPSAPPYTP - ->YP_001649213.1 Z protein [Allpahuayo mammarenavirus] -MGLRYSKEVRDRHGDKDIEGRVPMTLNLPQGLYGRFNCKSCWFVNKGLIACGDHYLCLGCLTRMLSRTDF -CEICSKPLPKKIIFEDSPSAPPYEP - ->YP_001649217.1 Z protein [Amapari virus] -MGNCNVKQETQPQSTRPKTTSTETELLRTPPVSLHGRYNCKCCWFADKNLVVCSDHYLCLRCLNLMLRTS -DLCNICWKPLPTRIAIPVEPSAPPE - ->YP_001649215.1 Z protein [Oliveros mammarenavirus] -MGSKSSKSSGFENVPSLGLSHTNQPRVSLIREARPSLYGRYNCKCCWFQNKNLVECSDHYLCLKCISSML -RRGQNCEICGKPIPTHIAVTTAPTAPPEP - ->YP_025092.1 Z protein [Pirital mammarenavirus] -MGLRYSKEVRERHGDKDLEGRVPMTLNLPQGLYGRFNCKSCWFANRGLIACSDHYLCLNCLTRLRSQSQF -CGICGKPLPTKIRFEESPSAPPYEP - ->NP_899220.1 Z protein [Guanarito mammarenavirus] -MGNSKSKSNPSSSSESQKGAPTVTEFRRTAIHSLYGRYNCKCCWFADKNLIKCSDHYLCLRCLNVMLKNS -DLCNICWEQLPTCITVPEEPSAPPE - ->NP_899214.1 Z protein [Machupo mammarenavirus] -MGNCNKPPKRPPNTQTSSNQPSAEFRRTAPPSLYGRYNCKCCWFADTNLITCNDHYLCLRCHQTMLRNSE -LCHICWKPLPTSITVPVEPSAPPP - ->NP_899216.1 Z protein [Argentinian mammarenavirus] -MGNCNGASKSNQPDSSRATQPAAEFRRVAHSSLYGRYNCKCCWFADTNLITCNDHYLCLRCHQGMLRNSD -LCNICWKPLPTTITVPVEPTAPPP - ->NP_694847.1 P-11 protein [Tacaribe mammarenavirus] -MGNCNRTQKPSSSSNNLEKPPQAAEFRRTAEPSLYGRYNCKCCWFADKNLITCSDHYLCLRCHQIMLRNS -ELCNICWKPLPTSIRVPLEASAPDL - ->sp|B2ZDY1.1|Z_WWAVU RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -MGLRYSKDVKDRYGDREPEGRIPITLNMPQSLYGRYNCKSCWFANKGLLKCSNHYLCLKCLTLMLRRSDY -CGICGEVLPKKLVFENSPSAPPYEA - ->sp|Q6IVU5.1|Z_JUNIN RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -MGNCNGASKSNQPDSSRVTQPAAEFRRVAHSSLYGRYNCKCCWFADTNLITCNDHYLCLRCHQVMLRNSD -LCNICWKPLPTTITVPVEPTAPPP - ->sp|Q6IUF9.1|Z_MACHU RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -MGNCNKPPKRPPNTQTSAAQPSAEFRRTALPSLYGRYNCKCCWFADTNLITCNDHYLCLRCHQTMLRNSE -LCHICWKPLPTSITVPVEPSAPPP - diff --git a/seq/clusters_seq/cluster_219 b/seq/clusters_seq/cluster_219 deleted file mode 100644 index 7f49932..0000000 --- a/seq/clusters_seq/cluster_219 +++ /dev/null @@ -1,160 +0,0 @@ ->YP_138512.1 phosphoprotein [Parainfluenza virus 5] -MDPTDLSFSPDEINKLIETGLNTVEYFTSQQVTGTSSLGKNTIPPGVTGLLTNAAEAKIQESTNHQKGSV -GGGAKPKKPRPKIAIVPADDKTVPGKPIPNPLLGLDSTPSTQTVLDLSGKTLPSGSYKGVKLAKFGKENL -MTRFIEEPRENPIATSSPIDFKRGAGIPAGSIEGSTQSDGWEMKSRSLSGAIHPVLQSPLQQGDLNALVT -SVQSLALNVNEILNTVRNLDSRMNQLETKVDRILSSQSLIQTIKNDIVGLKAGMATLEGMITTVKIMDPG -VPSNVTVEDVRKTLSNHAVVVPESFNDSFLTQSEDVISLDELARPTATSVKKIVRKVPPQKDLTGLKITL -EQLAKDCISKPKMREEYLLKINQASSEAQLIDLKKAIIRSAI - ->YP_138513.1 V protein [Parainfluenza virus 5] -MDPTDLSFSPDEINKLIETGLNTVEYFTSQQVTGTSSLGKNTIPPGVTGLLTNAAEAKIQESTNHQKGSV -GGGAKPKKPRPKIAIVPADDKTVPGKPIPNPLLGLDSTPSTQTVLDLSGKTLPSGSYKGVKLAKFGKENL -MTRFIEEPRENPIATSSPIDFKRGRDTGGFHRREYSIGWVGDEVKVTEWCNPSCSPITAAARRFECTCHQ -CPVTCSECERDT - ->YP_010087170.1 W protein [Alston virus] -MDPTDLSFSSEEINKLIETGLNTVEYFTSQQVTGQSSLGKNSIPPGVTGILTNAAEAKIQESIAPIKPGT -GGGARPKKARPKIAIVPADDKTVPGKPIPNPLLGLDSTPSTQSVLDLSGKTHPTGSYKGVTLAKFGKENL -MTRFMEEPRESPIATSSPVDFKRGQGYRWLP - ->YP_010087169.1 V protein [Alston virus] -MDPTDLSFSSEEINKLIETGLNTVEYFTSQQVTGQSSLGKNSIPPGVTGILTNAAEAKIQESIAPIKPGT -GGGARPKKARPKIAIVPADDKTVPGKPIPNPLLGLDSTPSTQSVLDLSGKTHPTGSYKGVTLAKFGKENL -MTRFMEEPRESPIATSSPVDFKRGRGTGGYHRREYSIGWVGDEVKVTEWCNPSCSPITAAARRFECTCNQ -CPVTCSECERDT - ->YP_010087168.1 phosphoprotein [Alston virus] -MDPTDLSFSSEEINKLIETGLNTVEYFTSQQVTGQSSLGKNSIPPGVTGILTNAAEAKIQESIAPIKPGT -GGGARPKKARPKIAIVPADDKTVPGKPIPNPLLGLDSTPSTQSVLDLSGKTHPTGSYKGVTLAKFGKENL -MTRFMEEPRESPIATSSPVDFKRGAGVPVVTIEGNTLSDGWEMKSKSQSGAIHPAPQSQQQQGDLNALVT -SVQSLALNVSEILNTVRGMDTRLNQIESKVDRIMSSQSLLQTMKNDIIGLKAGMATLEGMITTVKIMDPG -VPSNVTVEDVRKTLSNHAVVVPESFNDSFLTQSEDVLSLDDLARPTATNVKKIVRKVPPPKDLTGLKITL -EQLAKDCISKPKAREEFLIKINQATSESQLHDLKKSIIRSAI - ->NP_054709.1 V protein [Mumps orthorubulavirus] -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKATIIPGVAPVLIGNPEQKNIQYPTTSHQGSKSK -GRGSGARPIIVSSSEGGTGGTQVPEPLFAQTGQGGIVTTVYQDPTIQPTGSYRSVELAKIGKERMINRFV -EKPRTSTPVTEFKRGAGSGCSRPDNPRGGHRREWSLSWVQGEVRVFEWCNPICSPITAAARFHSCKCGNC -PAKCDQCERDYGPP - ->NP_054708.1 phoshoprotein [Mumps orthorubulavirus] -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKATIIPGVAPVLIGNPEQKNIQYPTTSHQGSKSK -GRGSGARPIIVSSSEGGTGGTQVPEPLFAQTGQGGIVTTVYQDPTIQPTGSYRSVELAKIGKERMINRFV -EKPRTSTPVTEFKRGGPGAAAQGQTIQEEGIDGNGASAGSKERSGSLSGATPYAHLSLPQQDSTPANVGI -APQSAISANEIMDLLRGMDARLQHLEQKVDKVLAQGSMVTQIKNELSTVKTTLATIEGMMATVKIMDPGN -PTGVPVDELRRSFSDHVTIVSGPGDVSFSSGEEPTLYLDELARPVPKPRPAKQPKPQPVKDLAGRKVMIT -KMITDCVANPQMKQVFEQRLAKASTEDALNDIKRDIIRSAI - ->YP_009505443.1 phosphoprotein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTSSLGKTTIPPGVVPVLVGAAEQKNIQHPNALYQGARPK -NKRPGLKPIVVSPSDSNIGGAKIPEPLMAQSGQGGIVSTVYQDPNIQPTGSYRSVELAKLGKERMINRFV -EKPRTSTPVAEFKRGGPGPAAQKQTIQEEGIEGNGVSVGSREKSGSLSGATLYAHLSQPQLDSIPADVGI -AQQNVINVSEIMDLLRGMDTRLQHLEQKVDKVLAQGSMVTQIKNELSTVKTTLATIEGMMSTVKIMDPGN -PTGVPVDDLRRSCSDHVTIVSGPGDVSFSSHDDSTLYLDELARPVPKPRPIKQTKPQPVKDLAGRKVMIT -KMINDCVANPQIKQTFEQRLANANTEEALNDIKRDIIRSAI - ->YP_007008148.1 phosphoprotein [Avian paramyxovirus 4] -MDFTDIDAVNSLIESSSAIIDSIQHGGLQPAGTVGLSQIPKGITSALNKAWEAEAATAGSGDTQHKPDDP -EDHQARDTESLEDTGNDPATQGTNIVETPHPEVLSAAKARLKRPKAGKDTHGNPPTQPDHFLKGGLPSPQ -PTAPRMQSPPNHGSSSTADPRQSQTQDHSPTGEKWQLSPTKQPETSNWWSGATQGVQQSELNQPDLTVYA -DTAPPSAVSACMTTDQVQLLMKEVADIKSLLQALVRNLAVLPQLRNEVAAIRTSQAMIEGTLNSIKILDP -GNYQESSLNSWFKPRQEHTVIVSGPGNPLAMPTPVQDSTIFLDELARPHPNLVNPSPPVTSTNVDLGPQK -QAAIAYVSAKCKDPGKRDQLSRLIERAATLSEINKVKRQALGL - ->YP_001331028.1 phosphoprotein [Porcine orthorubulavirus] -MASSSLSFSDGEITELLETGLGTIESIERMVAAKGGPDGGIDPESQPGQRGLPTPTPRTTSTPTAAGSAS -ATLELSPEGGAIKKAPRAHPTLPNPLGQEERPGNPLSTFTPVRGSSSTHDPLPGSRPGSEVYEGDLMARA -RSELVTRWSDEEGDPVPTRVLQSTFKRGGPTGKELIPANQATVENIASGGSVGPSGSSNGATQHVPQYPW -NQDTTNAPVAPAPQSALNVPEIMELLKAIEGRMMALEMKVDRVLAQGSVLTQIKNEVTTLKATTATIEGL -ITTVRIMDPGVPSNMTAQIARNQIAEVPLIVTGPGPVPQYKRDTDLIVLDELARPSIAPLPAAQTQKPAP -AKQATDGARLMVSRMISSCVTNDSARKRFEARLGSCTTMDQIQALKNDVIRYAS - ->YP_001331030.1 W protein [Porcine orthorubulavirus] -MASSSLSFSDGEITELLETGLGTIESIERMVAAKGGPDGGIDPESQPGQRGLPTPTPRTTSTPTAAGSAS -ATLELSPEGGAIKKAPRAHPTLPNPLGQEERPGNPLSTFTPVRGSSSTHDPLPGSRPGSEVYEGDLMARA -RSELVTRWSDEEGDPVPTRVLQSTFKRGGRPERS - ->YP_001331029.1 V protein [Porcine orthorubulavirus] -MASSSLSFSDGEITELLETGLGTIESIERMVAAKGGPDGGIDPESQPGQRGLPTPTPRTTSTPTAAGSAS -ATLELSPEGGAIKKAPRAHPTLPNPLGQEERPGNPLSTFTPVRGSSSTHDPLPGSRPGSEVYEGDLMARA -RSELVTRWSDEEGDPVPTRVLQSTFKRGADRKGANPGEPGHRREYSIGWVCGTVRVLEWCNPACSPISME -PRYYQCTCGTCPAKCPQCAGDNGIVESNRGPHDGAGNES - ->YP_138505.1 P protein [Simian orthorubulavirus] -MAEEPTYTAEQVNDVVHAGLGTVDFFLSRPVDGQSSLGKGSVPPGITAVLTNAAELKAKTAAAAPVKPKR -KKIQHMTPAYTIADNGDPNRLPANTPIANPLIPIERPPGRMTDLDLATGTVTQGTYKGVELAKAGKNALL -TRFSSGPSLTDQASSKDPNFKRGGEKLTDATKADIGGSGASPGSETKLRFMSGAIQHVPQLLPLTASSPV -LVEPAPIGAENVKEIIEILRGLDLRMQSLEGKVDKILATSATITALKNEVTSLKANVATVEGMMTTMKIM -DPSTPTNVPVEKIRKNLKDTPVIISGPLSESHITEGSDMIVLDELARPSLSSTKKIVRRPEPKKDLTGMK -LMLIQLANDCMGKPDQKAEIVAKIHAATREAQLLDIKRSIIKSAI - ->YP_138506.1 V protein [Simian orthorubulavirus] -MAEEPTYTAEQVNDVVHAGLGTVDFFLSRPVDGQSSLGKGSVPPGITAVLTNAAELKAKTAAAAPVKPKR -KKIQHMTPAYTIADNGDPNRLPANTPIANPLIPIERPPGRMTDLDLATGTVTQGTYKGVELAKAGKNALL -TRFSSGPSLTDQASSKDPNFKRGGEIDGRHKGRHRREWSIAWVGDEVKVYEWCNPTCAPVTATDRKFSCT -CGTCPDRCGECEGDN - ->NP_599019.1 P protein [Human orthorubulavirus 2] -MAEEPTYTTEQVDELIHAGLGTVDFFLSRPIDAQSSLGKGSIPPGVTAVLTSAAETKSKPVAAGPVKPRR -KKVISNTTPYTIADNIPPEKLPINTPIPNPLLPLARPHGKMTDIDIVTGNITEGSYKGVELAKLGKQTLL -TRFTSNEPVSSAGSAQDPNFKRGGELIEKEQEATIGENGVLHGSEIRSKSSSGVIPGVPQSRPQLASSPA -HADPAPASAENVKEIIELLKGLDLRLQTVEGKVDKILATSATIINLKNEMTSLKASVATMEGMITTIKIM -DPSTPTNVPVEEIRKSLHNVPVVIAGPTSGGFTAEQVILISMDELARPTLSSTKRITRKPESKKDLTGIK -LTLMQLANDCISRPDTKTEFVTKIQAATTESQLNEIKRSIIRSAI - ->NP_598402.1 phospho-protein [Human orthorubulavirus 2] -MAEEPTYTTEQVDELIHAGLGTVDFFLSRPIDAQSSLGKGSIPPGVTAVLTSAAETKSKPVAAGPVKPRR -KKVISNTTPYTIADNIPPEKLPINTPIPNPLLPLARPHGKMTDIDIVTGNITEGSYKGVELAKLGKQTLL -TRFTSNEPVSSAGSAQDPNFKRGGANRERARGNHRREWSIAWVGDQVKVFEWCNPRCAPVTASARKFTCT -CGSCPSICGECEGDH - ->sp|P60167.1|V_MUMP1 RecName: Full=Non-structural protein V; AltName: Full=Non-structural protein NS1 -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKASIIPGVAPVLIGNPEQKNIQHPTASHQGSKSK -GSGSGVRSIIVPPSEASNGGTQIPEPLFAQTGQGGIVTTVYQDPTIQPTGSYRSVELAKIGKERMINRFV -EKPRTSTPVTEFKRGGREPCSRPDNPRGGHRREWSLSWVQGEVRVFEWCNPICSPITAAARFHSCKCGNC -PAKCDQCERDYGPP - ->sp|P60166.1|PHOSP_MUMPS RecName: Full=Phosphoprotein; Short=Protein P -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKASIIPGVAPVLIGNPEQKNIQHPTASHQGSKSK -GSGSGVRSIIVPPSEAGNGGTQDPEPLFAQTGQGGIVTTVYQDPTIQPTGSSRSVELAKIGKERMINRFV -EKPRISTPVTEFKRGGPGAAAQGQTIQEEGIDGNGASAGSKERSGSLSGATLYAHLSLPQQDSTPANVGI -APQSAISANEIMDLLRGMDARLQHLEQKVDKVLAQGSMVTQIKNELSTVKTTLATIEGMMATVKIMDPGN -PTGVPVDELRRSFSDHVTIVSGPGDVPFSSSEEPTLYLDELARPVSKPRPAKQTKPQPVKDLAGRKVMIT -KMITDCVANPQMKQAFEQRLAKASTEDALNDIKRDIIRSAI - ->sp|P33483.1|V_MUMPS RecName: Full=Non-structural protein V; AltName: Full=Non-structural protein NS1 -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKASIIPGVAPVLIGNPEQKNIQHPTASHQGSKSK -GSGSGVRSIIVPPSEAGNGGTQDPEPLFAQTGQGGIVTTVYQDPTIQPTGSSRSVELAKIGKERMINRFV -EKPRISTPVTEFKRGAGSGCSRPDNPRGGHRREWSLSWVQGEVRVFEWCNPICSPITAAARFHSCKCGNC -PAKCDQCERDYGPP - ->sp|P30927.1|V_MUMPE RecName: Full=Non-structural protein V; AltName: Full=Non-structural protein NS1 -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKASIIPGVAPVLIGNPEQKNIQHPTASHQGSKSK -GRGSGVRSIIVPPSEAGNGGTQIPEPLFAQTGQGGIVTTVYQDPTIQPTGSYRSVELTKIGKERMINRFV -EKPRISTPVTEFKRGAGSGCSRPDNPRGGHRREWSLSWVQGEVRVFEWCNPICSPITAAARFHSCKCGNC -PAKCDQCERDYGPP - ->sp|P23055.1|PHOSP_PI2H RecName: Full=Phosphoprotein; Short=Protein P -MAEEPTYTTEQVDELIHAGLGTVDFFLSRPIDAQSSLGKGSIPPGVTAVLTSAAEAKSKPVAAGPVKPRR -KKVISNTTPYTIADNIPPEKLPINTPIPNPLLPLARPHGKMTDIDIVTGNITEGSYKGVELAKLGKQTLL -TRFTSNEPVSSAGSAQDPNFKRGGELIEKEQEATIGENGVLHGSEIRSKSSSGVIPGVPQSRPQLASSPA -HADPAPASAENVKEIIELLKGLDLRLQTVEGKVDKILATSATIINLKNEMTSLKASVATVEGMITTIKIM -DPSTPTNVPVEEIRKSLHNVPVVIAGPTSGGFTAEGSDMISMDELARPTLSSTKRITRKPESKKDLTGIK -LTLMQLANDCISRPDTKTEFVTKIQAATTESQLNEIKRSIIRSAI - ->sp|P19717.1|PHOSP_MUMP1 RecName: Full=Phosphoprotein; Short=Protein P -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKASIIPGVAPVLIGNPEQKNIQHPTASHQGSKSK -GSGSGVRSIIVPPSEASNGGTQIPEPLFAQTGQGGIVTTVYQDPTIQPTGSYRSVELAKIGKERMINRFV -EKPRTSTPVTEFKRGAGSRAQGQTIQEEGIDGNGASAGSKERSGSLSGATLYAHLSLPQQDSTPANVGIA -PQSAISANEIMDLLRGMDARLQHLEQKVDKVLAQGSMVTQIKNELSTVKTTLATIEGMMATVKIMDPGNP -TGVPVDELRRSFSDHVTIVSGPGDVPFSSSEEPTLYLDELARPVSKPRPAKQTKPQPVKDLAGRKVMITK -MITDCVANPQMKQAFEQRLAKASTEDALNDIKKDIIRSAI - ->sp|P16072.1|PHOSP_MUMPE RecName: Full=Phosphoprotein; Short=Protein P -MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKASIIPGVAPVLIGNPEQKNIQHPTASHQGSKSK -GRGSGVRSIIVPPSEAGNGGTQIPEPLFAQTGQGGIVTTVYQDPTIQPTGSYRSVELTKIGKERMINRFV -EKPRISTPVTEFKRGGPGAAAQGQTIQEEGIDGNGASAGSKERSGSLSGATLYAHLSLPQQDSTPANVGI -APQSAISANEIMDLLRGMDARLQHLEQKVDKVLAQGSMVTQIKNELSTVKTTLATIEGMMATVKIMDPGN -PTGVPVDELRRSFSDHVTIVSGPGDVSFSSSEEPTLYLDELARPVSKPRPAKQTKPQPVKDLAGRKVMIT -KMITDCVANPQMKQAFEQRLAKASTEDALNDIKRDIIRSAI - diff --git a/seq/clusters_seq/cluster_22 b/seq/clusters_seq/cluster_22 deleted file mode 100644 index 72573ad..0000000 --- a/seq/clusters_seq/cluster_22 +++ /dev/null @@ -1,2055 +0,0 @@ ->YP_010088024.1 polyprotein [Ivy ringspot-associated virus] -MASTSRTVTQTERPPIAYEDQIREYRQNQRRRHNLNRNMRSLSNRIRGRDSREETLEQQIDPQRELNLSL -QRRASIVPAEVLYHSRRDDVQHRVYAYWSEESVLCVDGQQVDRTLIHEESFRKLERARMRYIHIGVIQVR -LQILHRRDEGTMALVVFRDNRWQGEQAILAQMEVSLADGGYQMIYVIPDMMMTIGDFYRNIQISVQTRGY -TGWQNSEANLLITRGLVGRLSNTSNVGFQYSINSVTEYLTSNGVRAIPGKKIPNDELRGRNWVIKPSTAS -IMLEPTTADTRNLPDGSVSVRFDDYQAAAPVRKPKYDANDNEVLDDSDEDSIRSESVVVMEFQPQKTTYQ -VWMPKKPKFPAFARIGPYGIIDTYMPEEGLRHNEQLEREEEELRRKASLNYVPPSMENSRWDYLEDGSRF -RVRFDAPNDTGDVGAIQPTGWGSDVDDNSDDDRNLHESFTNDWYYDTMNEHMIGVESHLPAWDQEHEPLE -DEEWSRPANTVEQQEQREQETNEDSNYEQIYEDLEAQMRELYPEEQFLEDERIIPDAEIKELEGGQYPED -PDAEVIKETFDKMVLDEQVVNWDIAEVVYVDLMEGAKMPESKTEGSAGYDIYSNEDVYILPGERDTISTG -LKTEFPGYLYAKISDKSSMAVRGFQVLGGVIDSDYRGEIKVVLQNNSKEVQFLQKGKAIAQMIFVRISKP -FLRHSNQLSQTTRGHGGFGSTSRSEDLNVTETEVKTQLRRLMGYDVLADEATSSGSAITGYRPPEDTSMG -PPIFPPARNRDDMRPPLFDSRPNTRIPKLQPINYQNWWNLPNAQIQTGAMLIIPNDLSKFDEVFMRWESI -TKNVVSMQGFTDNSDKAEFIENMLGESEKLAWVQWRSNFPQEYQSLKEQMDGREGTQNMISHIRRILTLE -DPYQGSTMMQDQAYKDIERLQCSNLKDIVQYLQQYMHLAAKSGRMFISTELSDKLFTKMPGDLGKRIEAE -YMRRNPGNTIGVIPRIMFSYKYLEDQCKEAAFQRSLKNLDFCRQMPIPGYYNNYGEKRKSGIRRATSYKG -KPHKTHVRIDKNKVLRNKRCKCFLCGEEGHFAKECTNKRRNIERVQLFDSVEVPQDYELVSAHEDDSASE -VYSLSEGEEQTTMFMHLTPLAPVHDFLMMREEEDPYRYFIGKRGGWQPLVKLSAKEFDCVHKWQHNEKLD -GCGDQCRCCKKMTTERCRMQCGMCRITACNMCALSYFDMKVLPRRDQIPQYFGTPQLMHEQQQYINWCQA -ELAKVDELKQSHAEELRRKDSRIQELERGDERILELEMENQQLREELAKLQKGKEKMIDESVMVQQEISP -TEGINEEIMQSTKETILASEKLIVINVMLKIDDQEVKIKALLDTGASCCSISEKAIPAGLTEKCSYPIII -NGVNSSTTVQRKLKQGRMTIGEQEYRIPYTYVLPINENLKTDMQMIIGCNFIRSLYGGIRIEGNEVTFYK -YITKLETVSLLDETLLKVQHEAFAVNVNEPENERIKSQVADQIKELSSMGYIGNDPLLHWGKNQVKCRLE -IKNPDLKIDDKPLKHVTPQMSESFKKHVDELLKLKVIRPSNSPHRTTAFIVNSGTTVDPKTGKETKGKER -MVFNYQRLNDNTEKDQYPLPGINTIIQKIGRSKIYSKFDLKSGFHQIAMEPESIPYTAFTIPGLGLFEWL -VMPFGLKNAPSIFQRKMDNCFKGTEAFIAVYIDDILVFSETPEEHVKHLKVLFRIVKENGLVLSPTKMKI -GVKQIEFLGAVITNGCLSLQENILKKIAAFGPEQYQTKKDLRSWLGLVNYARIYIPNLGRILGPLYSKTS -PQGEARMNLEDWKIVHKVQQAIQTLPKLEVPPPGSTIVIESDGCMDGWGAVCKWKSNAKDPIKTERICAY -ASGSYKPVKSTIDAEIDAVLHALEVFKIYYLSQDLLVIRTDCQAIISFFNKSFNHKPSRVRWIRFIDWIT -GTGVPYKFEHIKGDDNKLADHLSRNPNLANTLGRLVSTLVLEWEHIAEATVNTLSLAMTFRSDDITNLLG -EFCDRISDHQQIHVEQMVLIDGMEEDKYHEATEKRVREMSETIQKHHEEMQGVVLYSDKWYQLLEKEEKM -TEDNWQRLRDKQFAEHSRNSEGSTSTPEKNQEKAEADKVDDDQHSTKEWLKSKDAINVNSQVTIDGDTKR -EDALHISIDNDWESLSGLQQEMNEECRLRQHLRQHDSDSFIIVGYKRIQQQTKNFKQQATMATNGFGGDL -TFEQLIQIFDENLSYYMEHRPFGDRAEETIRREAVHRAQEHVFRKALDLIYRIQEFLQIQADAMDILRAD -HPERYPDIWPIIESNVELAGKRIRSLNVILDILCSIRI - ->YP_010087856.1 polyprotein [Camellia lemon glow virus] -MTTRTKTVTLPSVTSRPALPSRENPTIEDQIRRYRGLNRKKFEIQRRMNRWRGKGTDTLEQYIDPEQQLK -LSMRERASIAPAEVLYQSRRDEKMHRVYMHRSEETVLCLDDQQIDLPFIAEQSYETLKKSGLKLIHVGIV -QVRVQVLHRLRQGTMAHLVLRDTRWVGDQSVYASMEVDLTKGVQMVYMVPDVLLTLQDFADHMELSIQTK -GYEKLRDAEANLLITRGLIGRLTNTSNAAFAYKVENVTEYLATNGIKAIEGKAMTVEEIQGKNWVVKPSV -RKVRPKMPTAATSRALPDGSLSMRYSDYVAAPVPEPIHYDNNDDEESLLQGSRQETVMMVRASYQNPCST -RRGIKLKPQSRWDTLEQNKYSDILDCRYAVRYDGPANWRSFKEERSEIIATGWEDQEDAVDLTPCHLQVI -GNPDDPEDDDAAQLSAMMELGFDPNYQGDATLTLDYPQRPVEVVLAPPMASVHMLTEGGEEESTKISEST -YVPTDSDDEEFPSITFKVQKLYPTAQIPRRRTVGAAGYDLVSIADYDIPRKVRKACSTGIAFQIPSGFYG -QILSRSSLALKGIDVVGGVIDSDYRGEILVILANNGRKNYTIKAGDRIAQIIFIPCANLDFEETEALANT -ERGSQGFGSTNSEPLSTQMVAMMETDYPKRNVLEHFIQDKADRPQVMMSSSSAVSQYRPPEDTSMEPPGY -FPATGQGAINIDQRSRPTFTGGGYRGNPFRRQIYNEWYNLPSAMQNQGAVFVFPDQIEKFDEVFVRWETI -NLNFIAAQNFPDNASKTFFIENLLGEMEKDMWVQWRLAYPTEYAELVASADGHAGTQNIINQIRTIFTLE -DPRKASTLVQEEAYKQLERLSCTNIANIVQYLNDFLRLATKTGRIFVRAEISEKFWSKMPGDLGNRIKAA -FDARHPGAEAGIGPRVYFTFKYLQEECSNAAFARSLKSLTFCKDVPIPGYYKDPEKKYGIRKSRSYQGKP -HSSHVRIDRRKYLDKTRTCKCFLCGQPGHYARDCKSEVKNVRRVNMYENTSIPEDHEVLSVGVDEEDKDA -IYSVSEGIDEDCFREEVFMIRQIPAPSDEVYIGKGGSYRPLKKVSSKVGYCKHDWQHCQPLPKDTENSCI -MCHYETQERSRIYCPRCKAICCNLCSNFYFDVKVTVQPVHVNYNPNPLVTQQQEYIAYLTAENAKLKEEA -KYFKEQYERLFMQIQLEDDRKALADAAEQKKKMTSIEEEESDKESEGEPEPVYTQEEYDKTAQVHAVEEI -LRTVNAIDRQVTKNRLYNVEVEFEIPEVKAFTVKAIIDTGATTCLIDTKVVPKEALERLNYTIDFNSINS -LSKSEWRLKDGKMYLGSHWFRIPMTYGLPFQNNERIQMIIGCNFIRSMHGGLRIEGDQVTIYKNVTTIQT -QQQINLLEYGSTDEEAFEGKTYYDLAEWVFLSALPAYDFADVIKALQQQSIFGNDPMRYWQLNQIKCSLD -LKNPDLTIDDKPWKHITPALRESFQRHVDELLGLKVIRPSKSRHRTCAFMVQSGTYVDPITKLEKKGKER -MVCNYKRLNDNTHKDQYSLPGIDTIVARIGHSKIYSKFDLKSGFHQVAMDPQHIEWTAFWTPVGLYEWLV -MPFGLKNAPAVFQRKMDRIFNPYAGFIAVYIDDILIFSPTPEAHKKHLAQFVEICKEHGLVLSPTKMKVA -QAQIDFLGSTITNGGLQMQPHIIQKVVDFDEEKLKTTNGLRSWLGLLNYARSYLKNIGVILGPLYSKVSP -NGERRMNAEDWRIVKQVKTMVNNLPALSIPPANCSIVIESDGCMEGWGAICKWKKGKSDPKSTELICAYA -SGKYNPIKSTIDSEVYACINGLESFKIFYLDKESVVLRTDCQAIVSFINKTVENKPSRTRWITLRDYVTG -LGLTVTFEHIQGKDNLLADTLSRLVMAIIESPRWSQPERDSYEAQCLQLVEDSLQEPATTKLKELLEKVI -KVIAEGQQSVHMYRTGRYSGDTFGPDTDSGEDSQGDGSTHAILDNGDDEYYPAGESQGEEEVQGEDDNQE -NDEAEESIEGQLAEGDVNLISILNLIGNMHIDPDRYPHANEYQDTIRQYFRRRYATGGPYDGTGGPFRGD -EERAMRHDLDTIEDRARDQLYYAVHQYEIIQRKKINGVRARATSDNREADILPTLQHQFATAFPAIHDAM -DIFTLMRF - ->YP_010087614.1 polyprotein [Polyscias mosaic virus] -MRPAVSGSRTQTDPQFEDQIRDYRHGQRRRHNLARNVRRLSRRVTGGTPREETLEAQIDPERELTQSLQR -RASIIPAEVLYHSRTGDVNHRVYTHWSEEAVSCLDGEQVDRTLINEQSYEALCRSRMRFIHVGVIQVRLQ -ILHRRDEGTMAMIVFRDNRWTGDQSILAQMEVSLANGGYQMVYVIPDVMMTIGDFFRNIQISVQTRGYSN -WQNGEANLLITRGLVGRLSNTSNVGFQYSINGVTDYLTSHGVRAIPGKKFSDEELRSLNWILKPTKVSVP -MLPTTANTRNLPGGDISLRFSNYQMEGPSRRPIFDQNDNEIHSDEESLQSEDVNFMEEQVIDETIAYIGF -ECYNHFAVLLEEESEVPYVDATEEVQDDRAYQPQMYFNPDFLEDYDGEYPRCLEIPERYGDSIWDTLGPD -GRFLVRYDPPPETTCVIVPTGWGTDDEEDTDDDNISSWDEKVHHYAAYEELPESDWDFYNPPQAVVDEEQ -RHDPHMEIEVQEPLNSTDFNQEFVDPDIKVTIGRGGFEPELDCYGQYWLKASEHVYILPGETELISTQLT -FQSLTNGLQGKSHGYQVTDQDLILGHEVQITCKNYSTEVRLIQIGDHVAKLVLQKNEDVLATEHDDVIAK -LRYMMNEEDEETVQMADTAESSTTMQRYRPPADTYMGPPIYSPANNLRPPQMLETPSVMKTPKFKGVDYS -NWWNLPTAQHGQGAMFVIPNDLSKFEDVFMRWESITKNLVSIQGFTDAKDKIEFIENLLGETEKLVWIQW -RTTYEEEYQQLIAQADGREGTQNITSQIRRIFTLQDPFQGSTYNQDAAYRDLERLHCKDIKDIISYLNQY -MHLASKSGRLFVNDELSNKVFVKMPGDLGNRIQAAFKELHPGNQVGVIPRIMFSYKYLQEQCKEAAFQRS -LKNLESCKAIPIPGFYDSPARKKYGARRSTTYKGKPHKSHVKIDKEKNLRNKKCKCFLCGEEGHFARNCR -NKRRDVERVAVYENLELPTGHELVSADENEADSDIYSLSEGEDVNTLFMHLTPLAPVEEETLCMMTVTHP -TQSFLIGKPGGWQPMVSVSAEEFHCKHQWQHNQNVPECPDKCRCCGRITSAKCRIQCSARKITSCGLCSK -HYFDQIANVAVQPVQSYYATPQILQSQQQYILWCQAEMERMSKQLEERHVSDLTVEVARQVDAYKTEIEQ -ERQEKEHSLETKLNELRMENARLQQLEQLRNENEQLKAQLENQKLRQLQEQNEQLIKENEELKRKLSLKE -KAVSFTEDIAVIHEDVIKGEIVEAEEIKSVSEKLILFPITLSIDGIKPFEVSTLLDTGASCCTVNRAAIP -KEAVEPSPYRVRINGVNSSTEVSEKMKYGKMVIAGQTFNIPFTYVVQLNQGINSKIQMILGCNFIRGLYG -GVRIEGNEVTFYKCITKLQSTNLAMENQILSEDEFIFTTENERIKSAVADRIKRLTSMGYIGMKPLQHWE -KNGVLCHLELKNPNLKIEDRPLKHVTPQQQDSFRKHIEELLQLGVIRPSTSPHRTTAFLVNSGTSIDPKT -GKEVKGKERMVFNYQRLNDNTEKDQYSLPGITTIHRRIAHSKIYSKFDLKSGFHQVAMHPDSIPYTAFTV -PGGGLYEWLVMPFGIKNAPGIFQRKMDFCFAGTEDFIAVYIDDILVFSKNEKDHLRYLEIMFSLVEKHGL -VLSPTKMKIGVHSIGFLGAQIEDGTLKLQEHILKKILKFGPAQFATKKDLRSWLGILNYSRNYIANLGKL -LGPLYAKTSPQGEIRMNDQDWKIVREIQAKVKNLAPLEFPPTSQVTIILETDGCMTGWGAICKWKEKEFD -PQKSERICAYASGVFSTVKSTIDAEINAVLHALESFKIFYLDQKGIIIRTDCQAIITFFNKSFNHKPSRV -RWIRFIDFITGIGISYKFEHIKGEANQLADHLSRHTSAKQLSQIVGLLTLKWQQTQKEEVQVIMEAVHLP -ATSELIRLLNSWINTSKHWIVGQKHERKPP - ->YP_010086797.1 polyprotein [Grapevine badnavirus 1] -MSRTVTQQLPVATTVTAERHPGTPLYEDQIRDYRRGQRRRFVARQAARRIASRITGRRFNQTLEQVVNPE -ESLQQSMQERANLVPAEVLYRSRRDDINHRVYSHRSEEAILCVDGQQQDRLVIQPDSYEALRRSGFQFIH -LGIMQVRIQILHRADEGTAALVVFRDNRWQGDQAIFATMEIDLTSGTQLVYVIPDTMMTLRDFYRNIQIS -ILTRGYENWRNGEANLLVTRGVMARLSNTPNVGFAYQIQHVTDHLESRGVRALPGRRYSAEQIQGQNWII -RQPQINIPMRPSEVDTRNLYDGSVSIRFRDYAPTDEQATPAYNKHDEEINEDEEELIQEHHVIAVLTEED -RWDTLGQPSGKYDFYVRYSAPESSQIPISSIQSTGWEDMDDAVEEDDYDPDARMALLNLMGRAPVHQIPI -YDESDAEMDDFINPFAEGGGERDTGSENSEKLFVFQEEVAEPTLDYPVMKKLEKVYSTSEVTSRYTPPTD -AVMGPPSYPPARNINGAGTSYAAASPPNFNRRVNFKAGYNDELWSLPPAQQKGGAMFVIPEQIGMFHDVF -SRWESITKNHVSSQGFTDVRDKLEYMENLLGEVEKLLWIQWRMQYNAEYEELVRTGEGREGTQNIISQMR -RVFSLEDPAQGSTVIQEEAYRDLEKLSCDNIKYIVQYLNQYLRLAAKSGRAYVGMELSEKLWLKMPGDLG -NRMKTAFEERYPGLTVGVAPRILFAYKFLEAECKEAAFKRSLKNLSFCKDIPIPGYYKDQKRLGIRKSQR -YKGKPHESHARIEKRKHLIRNKRCKCYLCGEEGHFARECPNDRKSAKRVAMFEQLELPEDYDIVSVNEGE -DNSDAIYSLSEGEDGMEDLGQSLKSLMITEKMFMLGEEDGGWRPKIKVSDEQLACQHKWEHNGEIQQFVY -LKCLGCQCPTMKRARIHCPKCKATACNLCGPYYFKKEVPVAPPPPTPMNPRKLIMEQQNHIQWCEVEIER -LEKEVSYWKKLYENALRATGVIEDLQKDYKELLSEDEEKRKRRAKGVMIIEEGEQANFLQEEKVNKVAVQ -EEQRPKKMVRNMLYNFIISIDIPGIDKFSVKAILDTGATTCCIDQESIPKEALEENTYLVRFSGVNSTMT -ANKKLKGGRMFIGENMFRIPYTYSFPIKMEDGVQMIVGCNFIRAMYGGVRIEGNVVTFYKNLTVINTSQS -TEIARMLQEDVDDEELWQIQEAVYINIGKSRESFLKRFEALINQLKEAGYIGENPLQHWEKNRVVCQLDI -KNPDFIIEDKPLKHLTPSMKESFRRHTEALLKLGVIRPSKSRHRTTAMIVQSGTAVDPVTGKETRGKERM -VFNYKRLNDLTNKDQYSLPGISTIMKKVGNSRIYSKFDLKSGFHQVAMHPDSIEWTAFWVPDGLYEWLVM -PFGLKNAPAVFQRKMDHCFKGTEDFIAVYIDDILVFSENEQDHARHLKIMLQICKENGLVLSPTKMKIAV -QEIEFLGAVIGNRKIRLQPHIISKIASFKDEELKERKGLRSWLGLLNYARTYIPNLGRLLSPLYAKTSPT -GDKRMNSQDWKLVADIKNLVQKLPDLEVPPESCYIVLETDGCMTGWGGICKWKMLKHDPKSSEKICAYAS -GKFNPVKSTIDAEIYAVMNTLESLKIYYLDKKEVTIRTDCQAIISFFNKSAQNKPSRVRWLSFTDYITGV -GVPINFEHIEGKDNLLADNLSRLVSTLCLGWSTPEKEQQLQFLETAMEEVRQKPNKDISLQLNQTIGKMV -SFFEATQTQCREGMNQEEFHCQNSSNSELSLKKLSPWQSHERSYASKNSGIYTQSSWMNAGNQAPQEGMG -ITGQTTAHHVNTMTEIWKKSLASWKG - ->YP_010085997.1 polyprotein, partial [Schefflera ringspot virus] -YIDDILVFSETPEEHVKHLKVLFRIVKENGLVLSPTKMKIGVKQIEFLGAVITNGCLSLQENILKKIAAF -GPEQYQTKKDLRSWLGLVNYARIYIPNLGRILGPLYSKTSPQGEARMNLEDWKIVHKVQQAIQTLPKLEV -PPPGSTIVIESDGCMDGWGAVCKWKSNAKDPIKTERICAYASGSY - ->YP_010085996.1 polyprotein, partial [Pineapple bacilliform ER virus] -GLKNAPAVFQRKMDLCFKGTEDFIAVYIDDILVFSATPREHKVHLEKFLKIVEDNGLILSPTKMKIGVKQ -VDFLGATIGESRIKLQPHIIQKIVKFDEQELQTTKGLRSFLGILNYARCYIPQMGKLLGPLYSKVSPTGE -KRMNKRDWEIVQKIKQLVENLPELELPPEGTTIIIESDGCM - ->YP_010085995.1 polyprotein [Aglaonema bacilliform virus] -MTSQPSTSQITTAPLFEDQIRDYRRNQRRLYNARQAVRRISRNITGAHTPYRVTLEQQIDPQAQLRMSMQ -ERASIVPAEVLYHSRTDDIHHKIYVHRSEEVILCIKQVDRVFIQEESFQQLQRSRMAFIHIGVIQVRLQI -LHRQHEGTMALVVFRDNRWPDDRSIFATMEVDLTLGSQLVYVIPDTMMTIGDFYRNIQISILTKGYEGWQ -GGEANLLITRGLVGRLSNTSNVGFAYNIQNVVDYLVSHGVRALPGQRYSTQELQGHNWILHPTTTHIPLQ -PQEVTSTNMLDGSIALRLSNYGPAPTAIIPHDEEVHSDEEQIIAVFCLDDYDDDNDEEGNYYWYYNSPVS -PANGYIDLPYPDLPYPQQYYPTERPASPIPFQAEPSNITDIPPPPIFDEYPSDTEAVAEEYTIVREMLPT -IAASVEEYPQMKQLEELLASSSAISSYRPPEDTVMGPPTYGPASQESLKSKASSSRPQYEGSSSRTRTTF -KTKDYSEWWNLPSAQHNTGAIFTIPTQLGMFNDVFLRWESITKNLVSLQGFTNSQDKVEFIENLLGEAEK -LTWIQWRMSYPTEYQLLLDSADGRQGTQNILSQLRRIFILEDPFQGSTALQEDAYRNIEKLSCTNIKDIL -PYLNEYMHLAAKTGRMYINTELSEKIWTKMPGDLGQKIKAEYEAKYPGNTIGVIPRILFSYKYLENECRD -AAFKRSLKGLSFCKDIPIPGYYQSKRKYGIRKATTYKGKPHNSHARIEKRKHLTRNKRCKCYLCGQDGHY -ARECPNDKKSVKRVAVFENLDLPDDYDIVSVQEGEEQSDSIYSISEEEDGNIHELLNKLHITEHALVFRE -EDHTYWIGQPDGYRSMVKVTQAQHDCQHDWEFNSPNPSLCLCCKIETSVNTRMSCKKCTITVCNLCAYHY -FQQKVHILRPDTIKFNQRPLLREQHEYIIWCEAEIQRLQHELNTAQMQADYWHNKYLDTQAPLLQQTYEE -LATDPKGKRPMEEETHVFTQVSSPQVSSRKNLLYNLIVFLDIPGNERISLRAILDTGATTCCVDINSIPP -EAIEENPYVVHFSGINSKTTANKKLKYGRMLIQENSFRIPYTYAFPMNLGESIQLILGCNFIRAMQGGVR -IEGDVIVFYKNITQINTQPSPSSCAIELLEGEDQLIEPEFDFEVPCCVASSFHNMDLLDELKRAGYVGED -PLKFWASNKIMCHLDIKNPDLIIEDRPLKQISPTLEAAYKKHIDALLALHVIRPSTSRHRTAAIIVNSGI -SIDPVTQQEVRGKERLVFNYKRLNDNTHKDQYSLPGINTIMQRIGHSKIYSKFDLKSGFHQIAMHPDSIE -WTAFWTPQGLFEWLVMPFGLKNAPSIFQRKMDNCFKDTEGFIAVYIDDILVFSQNETEHEKHLRIMLNIC -RKHGLILSPSKMKIGVPIIHFLGATIGNQKIQLQEHIVKKILHYDDKELMTKKGLRSWLGILNYARNYIP -HLGKLTGPLYAKTSPTGEKAMNQQDWDCVRKIKEIVKQLPDLDIPPPSCFIIIETDGCMSGWGGICKWKN -QEYDPISSEKICAYASGKFDPPKSTIDAEIHAVMNSLDKFKLYYLDKKDLIIRTDCQAIISFYNKSAQNK -PSRVRWISFTDFITGTGITIKFQHISGSDNKLADTLSRLINVLIIQPESIPLNISEGIEKALEEVTQRPQ -VAQVRKLTHLISSFLTDSHKVERLFVITEESTYSEILHELTQLPMPGDRYQDLTRLASRSRENTQRALFL -ALDDVWRNIQQQVHFFHRIATRDNYYGDHLPQLLQKQDRFLHLSQKMRHCLRNSPDP - ->YP_009553548.1 ORF3 polyprotein [Cacao Bacilliform SriLanka Virus] -MSRTETVLDPVRAPEASISASGVANQEDQIRDYRTSQRRRYNLQRRFGRLTGRRFNRTMESYLNPEEQIR -ISQQRRADQVPAEVLYNRGDNQTVQHQVYQHYSEERMLVTGDEQREIPFINDQSYQRLRESGLQHIHLGI -IFVRIYALHRRNAGVNALIVLRDTRWTDDNGIIGTMEVDLSTGTQLVYMVPDMMLSIHDFRDHFELVIQT -HGYENWQDGESNLLVTRSLIGRLTNTSYAGFQYKVQNVTDYLQSNGIQVIQGQPRSIRELEGLPWHIRPS -QKQNNSVRVPRTVSFRNRLNGDVSVSFKRYTSQRQPEPIQVDEADRETYSDEEELAAMMTEEETTVKEED -PWAMYEAFGFKAFHGPPIFDEEPNDGGRPIFDDVPTEGSSEFNIEWANPFAAERGGEDSPKNPNSGESEP -ENQETDYPKLQKTLKEWALMEGTGSSGATSARTPYRLPTEPIMGPASYPPATAIRRDDAGPSIQIGQGVR -PPRFRAWNNQQWTLPSAQIKDGALLVLPDNIGLYEDVISRWETVTINTLNERTFENNKSKVQFIENLLGE -TEKKTWIQWRMYYTDEYEQLINIADDSQNVLSQIRRVFLLEDPAQGSTVEQDRAFKDLERLTCEKSADIF -QYLNQFKILAAKSGRMFVSADLTTKLYQKMPPVIGEKMEKEWQRKHPGVDVAVMPKIHFAYQYLAECCKE -AHFQRELKDLSFCKEVPIPGYYNKPYKFGLRKAKTYKGKPHDTHIRAFKKKPNKPARAQAVRKCKCYICG -REGHFARECRSTNGNMIRKATLDNLDLPDDWDVISVDLNEEDSDGIFSMSEGELSLEVKSTESALMMLGT -EGGWRPLVMVSQEQADCKHPWQKNEEILEAKYIRCFFCKIETNKRMRIHCPLCKLTACPMCSSFYLKEKI -KPEPVDRRSYQPREKLLQELLIHVDYLQGEVDRLKEEVAYWKDKAQKEDPVQFYEAFEEFLQQEKARKGK -GISMEEPQESMKLLEEAFKIQERGPRKIINRLYNLRVEFNVPGVALFNLNGILDTGATSCCCNLQAIPKE -ALEQLNYKVNFKGVNSQQDACKRIKNGEMKIGENRFRIPLIYALDMNQQDGIQLLIGCNFIRSMQGGLRI -EGNTITFYKNVTTIETSQSTEIIQLNEVTEEYFLIKEMVHYNEGKTLEAFRMKFGGILESLAKEGFVGLN -PLQHWAKNGIKCKLDIINPSLTIQDKPLKHVTPAMIQSFQKHIKELLDLQVIRPSKSRHRTMAMIVASGT -SIDKDGKEVKGKERMVFNYRSLNDNTHKDQYSLPGINTILKKIGEAKVFSKFDLKSGFHQILMDEESIEW -TAFTAPSELYEWLVMPFGLKNAPAIFQRKMDFCFKGTEDFIAVYIDDILVFSRSIEEHAKHLEKLIQIIQ -KNGLVLSPTKMKVASPTIEFLGVIIDRGRIQLQPNIISKIVDFDQKQFADKKGLRSWLGVLNYARSFIPN -MGPLLGPLYEKTSPHGDKRMKDSDWELIRKIKKIVQNLPPLQLAPERCHVVIETDGCMEGWGGICKWKPS -EMDPQKDERICAYASGKFANLKSSIDAELEAAINSLEAFKIHYLDKKKITLRTDCQAIISFYNKSAQNKP -SRVRWLKFVDFLTGTGVEVNIEHITGKLNNLADHLSRLVLLLVSRGEPEEDSKLCQLEEVIQEVVQKPTK -EAQQMTQTLVSVLLKNYGSYSSHQSLSLCQRICPTEEDSLKSRKEQRKEPRQQWNQPYLNTKGYFPSEEK -QPDSWPLMITRGETYYHSGWKR - ->YP_009553543.1 ORF3 polyprotein [Cacao swollen shoot Ghana K virus] -MSQRGRQPPPMPSVTNTTTMSDPERRTQPLFEDQIRSYRQRQRRMHNLRRQARRLHRSLSQGVSSSHGGR -YQETLEQEIDPQATLRQSMQERARLVPAEVLYRSRRDTVNHRVYTHRSEESILCTEGSQIDRAFIQPESF -DQLQRAGFAFVHVGILQVRIQILHRQEEGTMALIVFRDTRWTGDQSIFAQMEIDLTKGSQLVYVIPDTMM -TIGDFARNVQISILTRGYENWQNGEANLLITRAMTGRLSNTPNVAFAYQIEGATDYLASHGVKAIAGKKF -DLQHLRNQQWVLRPPQSEIVPMQPRSVETRNLVDGSISLRFRDYEHQPRTSLPHYNEEDEEVETAASDDA -DEENIREHTVAVWMIGEEETPDQAGRKKVWEESANGNGRFFRYYSIPQSYYNDSEIIATGWDDDRKEEEE -FPYCRPPVQPEDKEEDDPDYKPSTVQQEDEDDDDPNVIWARLQREEEERCSFIFEEGIVRGEIIATLTEE -KPDELEYPQLRNPERVFSSEVVDYTPPGDTLMTPVGYPPASTSRQPQPVRPPLYEGRIPQTPKFRRDDYT -EWWQLPSSQATTGALFVMPKQIGLFHEVFSRWESITKNYIALQEFTDPADKVEFIENLLGETEKLTWIQW -RMNYVAEYQQLIAQADGRQGTQNILSQLKRVFSLEDPASGSTRVQDAAYRDLERLTCNNIKDIVQFLNDY -GRLAAKSGRMFINEELSDKLWLKMPPELGTRMKEAYSKEYPGNGIGVYPRILYAYKYLEQECKNAAFTRS -LKSLSFCKDMPLTGYYDKPKYGMRRSQTYKGKPHATHARIEKKKHLVRNKRCKCYLCGDEGHFARECPNS -KRDVKRVAIFEGLALPENYEIVSVEEGDAQSDAIYSISENEEKDELLNEEVVFMLLHNEVVYMMKEEDQS -YWLGKPHHWTAMIRVTQTQYYCQHQWDHNNEIPQKEYNWCLFCKQQTSPYSRIHCLLCKITSCSLCAPIY -CNVQVQLKQKSPVPFRSNPLIAQQAAYILWLEEENKRLQLIINSHMELNLEADRQQLEERDRDRSKGKAV -LIHEEEEEEEDSKEDEEEDSKEDMAYLTEDVIGHTTEKPVSRRGNMLYNLDVTLTIPDVKFPITVKAILD -TGATTCCIDINSVPKEAIEQNTFLVQFRGINSQQQVDKKLKYGRMTISNHQFRIPYCYAFPLQLGDGIQM -ILGCNFIRNMYGGLRIEGQTITFYKNITTIQSKAAAMVGGTTTSFSGDEGLYLQLAFNQDEDEEDRLQEE -IYQLVSSTTQSHQQKLSPALQELLVQLKQQGYIGENPLKYWANNKILCQLDIKNPDLIIEDKPIKHLTPA -MEQKFQKHVKALLDIGVIRPSKSKHRTTAFIVESGTSIDPITKQAIHGKERMVFNYKRLNDNTEKDQYSL -PGIQTILKRVCNKRIFSKFDLKSGFHQVAMAEDSIPWTAFWVPQGLFEWLVMPFGLKNAPAIFQRKMDQC -FYGTEEFIAVYIDDILVFSNNLQEHMKHLHSMLHICQKNGLVLSPNKMCIAQEEMEFLGTVISHGKMKLQ -QHVIKKILDKSDIELETTKGLRSFLGLLNYARIYIPNLGRKLSPLYAKTSPTGEKRMNRQDWHLIKEIKE -MVQNLPELAIPPTKCCIIIESDGCMEGWGAICKWKPAKEDSRTAERICAYASGKFSIMKSTIDAEIYALI -KALESFKIFYLDKKHLVLRTDCQAIVMFHNKTSTHKPSRVRWITFSDYLTGLGVEVTIEHITGKDNLLAD -HLSRLVFSSWNLSLPEHSPEELQKYQPVNLTAAVIHTALPMMDYCNNGMRSSLMGAYFSVLIVKLAASST -NWRKKQQKELLKHWETSKEFSTTKELISLLPLPVTTGPMTNYQLLNKVLRTWTSTLLQSPP - ->YP_009553538.1 ORF3 polyprotein [Cacao swollen shoot Ghana J virus] -MSQRGRQPPQMPSVTSTTTIDPERSNQPMFEDQIRSYRRGQRRAFNLRRRARRLRRSLSLGSSSHVPGGR -YQDTLEQEIDPQATLRLSMQERARLVPAEVLYRSRRDTVNHRVYTHRSEESILCTEGTQVDRTFIQPESL -AELQRAGFAFIHVGILQVRIQILHRQEEGTMALIVFRDNRWTGEQSIFAQMEIDLTKGSQLVYVIPDTMM -TIGDFARNVQISIITRGYENWQNGEANLLITRAMTGRLSNTPNVAFAYQIEGATEYLASHGVRAIAGKKF -NLQHLRNQQWVLKPPQAEIMPMQPRSVDTRNLVDGSISLRFRDYEVQPLTSLPHYNEEDEEVGSDEEALT -EHTVAAWIIGEEEYPDESGGTKVWEESCNGNGRFFRYYSIPQSYYDSTHTIATGWGDEQEEILNTPCNEN -NDDSNDSNDDEDWDTPLSDFDKSDAEEEEEYSYQQDPYQQDDEEEEDEEYAMLIGQFEVIATLDTIEMEY -PQKRRQETILSSEVVDYTPPSDTLMTPVGYPPASTSRQAPTRPSLYEGRIPQVPRFRKDNYTEMWQLPSS -QGTTGALLVLPKQIGLFHDVFSRWESITKNYVAAQEFTDATDKAEFIENLLGETEKLTWIQWRMNFVTEY -QQLLAQSTGRQGTQNILSQIKRVFSLEDSASGSTRVQDDAYRDLERLTCHNIKDVVQFLNDYGRLAAKSG -RLFLGEELSEKLWLKLPPELGTRMKEGFSREYPGNTVGVFPRILYAYKYLEQECKDAAFKRSLKSLSFCK -DMPITGYYDKPKYGMRRSKTYKGKPHSTHARIEKKKHLIRNKRCKCYLCGDEGHFARECPNSKRDVKRVA -LFEGINLPDDYEIVSVEEGDNESDAIYSLSENEEEEGLPDEVIERVFMFKEEDHSYWLGKANHWTAMIRV -THQQYHCQHQWEHNKEIAHKDFIRCLFCKQQTSMESRIHCPTCHITSCALCSPIYCNIQVQQLIRPPPPF -HSNTLIAQQASYILWLEEENRRLQKIINDRSTLEEAELEIDRLELEEQAKQKEAQAKQKLKAKMPSTADL -AYLEEELISHTTSKVVTSKGNMLYNLDVTLTIPDVKFPIKVKAILDTGATTCCVDINAVPKDAIEQNTFL -VQFRGINSQQQVDKKLKYGRMTISDHHFRIPYCYAFPLTLGDGIQMILGCNFIRNMYGGLRIEGNQITFY -KNITTINSKTAAALVGGTTNFSFPEQEGWLQLQLAFNEAEDDNDNEVHEVHEEAHEVHEQIVSHAIQNHQ -KQLQPELNDLLDKLKEQGYIGENPLKHWANNKIFCKLEIKNPDLIIEDKPIKHLTPSMEQKFQKHIKSLL -DIGVIRPSKSKHRTTAFIVESGTSYDPVTKQTIHGKERLVFNYKRLNDNTEKDQYSLPGIQTILKKVCNM -KIFSKFDLKSGFHQVAMAEESIPWTAFWVPQGLFEWLVMPFGLKNAPAVFQRKMDQCFKGTEDFIAVYID -DILVFSKTMKEHMKHLHQLLHICQKNGLVLSPNKICLAQEEMEFLGTVISHGRMKLQQHVVKKILEKSNL -ELETTKGLRSFLGLLNYARIYIPNLGRMLSPLYAKTSPTGERKMNRQDWHLVKEIKAKVQQLPDLAVPPT -KSCIIIESDGCMEGWGAVCKWKPAKEDSRTTERVCAYASGKFQVVKSTIDAEIYALIKALESFKIFYLDK -QHLVLRTDCQAIVMFYNKTSTHKPSRVRWITFSDYLTGLGVNITIEHINGKDNLLADSLSRLVFSSWNPS -LTETKQEEPVRSQPLNLMALVIHSVLPMMDYCNNGRMLLPMELFHSARIQLFSLSSTKLKSKLQEKHSKH -CTTSKEFYITNVLISTVQLLETIGQEIDCQVFDKHPRIWTSTQQPLLQ - ->YP_009553219.1 ORF3 [Dioscorea nummularia-associated virus] -MLFGKTLNCKLKPIKENMDNKSTVILPTKDIIRSYRNQIFPKKKNKEIIIENLLNPEQQLDLARSKQAKL -IPAEVLYQHGFWETKPKVYQHYSEEIISCCDTTTQIDLKFLSKNSKHELLSKDYSIIHIGLILIGIHGLH -RRNYGSKVMIALADTSDNIPQKAIIGSMEVDMGENHELCYFAPDMSMTIQDFCNYFSLIIKTKGYETMSH -RDNLLITKALVGRIGNNSSSAYRLKIENVLTHLATQGVRAIEGKKFSSTDYDETEWQISLKEKKKMPTKL -QYWKELSGESSTATFTFTTPEEKPIKEEISDEETCLFFIDDYDDDWTSEELEILQEELGVIIEEPLNIRR -VHTPITEQVTETISLQPLYEEEPLVNRDIYQRILQEQNQEFECPGRMQQLDQVLMEAESENRPTTSVPQT -RVSGTPVFGPPALQPVQLPHTIIQPRGNVHTRPYRYDLIPTLPSANVQTGSMLVLPEISKWEETIRRWES -NTITHVATKQFTDNLDKVMYVENLLGETVKLYFQNWRTAFPTEFQALIDIAEDTANITSQIRQIILGYDE -YRGQTEMQNQALLDLEQLQITNMKDIEAYSTTFLALASRTGAAFLSPEISAKYFRKLPPPFNIRIQEMWT -EKFPNWLVGVAPRIDFTYKILQDLCRNNELHRQAKDFSFCRSIAVPGQYSRTTQPRKTLRRSTRYKGHNV -KSNQVRKFRTDKATGKPSKCRCFICGEIGHFANQCQNRNVNRQRLAIYEELDLEPQWDIVSLNDGEDPND -SDICSFSDNELDQQERLEFPTEKFLMITLDSIDWNLQRANKKLTTDQQKCMHNWNHHPDIDYLNNNCYFC -RLRPPKRARTICLNCNLLLCRYCAELKLKIEVPLGQPPIPVSRVDHRRLILEQAQHITELEQELAQQKQK -EKDLEAQLLAEKLSGFQLGESSETIHMASIEDEEGVNALAAVQNNLLNFIAILEIGDKTFSLKAILDTGA -SGCCVQYSALPNYCYETLPQPVNLHGLNNIEKAKMRIRSGNIILNKDKYPLPLTYVTPVISGELQLVIGM -NFIHSFKGGVKIEGTSVTFYRKSDILQTSPIINKNLVIGEDGEDVNQESMYMIDDILLYNVGRLTDYENQ -IIPILHKLEEIQIIGNDPLKYWEKNQIRCKLDIINPDLTIQDKPIIPSPEMAKEYEKHITELLALKVIRP -SQSRHRTAAFIVNKHSEQVRGKSRMVYNYKRLNDNTYKDQYTLPSIDYLLLKIKDKIVYSKFDLKSGFHQ -IMMDPQSIEWTAFVCPQGHFEWIVMPFGLKNAPSVFQRKMDNIFKKYSEFVCVYIDDILIFSESIQQHVQ -HLLQFFQVCKEEGLILSKTKLKIGVANIEFLGLEIGEGKVQLQPHILKNILEFPEDQLETLKGLQKFLGI -LNYARNYIPNLSKYTRIFYNKCSSKGERKFNSQDWKMVRKIKEVITKLPPLILPKPQSYIIIETDGSLEG -WGGILKWRPSQQDSPSTEKISRYCSGSYKSAISAIDAEIMACIYVLDKFKIFLYEKKEFTLRTDCIAIVN -FYNKLNNKKLNINRWVNFCDLITGLGLTVKIEHIQGKDNVGADRLSRIIAASPYR - ->YP_009553045.1 ORF3 polyprotein [Cacao swollen shoot Ghana R virus] -MNTRTETMMETPVSGRRNEEASGPSFDDQIRSYRNNQRRRYNVQRSFRRMRGRLTGQPYERTLEQEIDPQ -ATLRMSMRERARLVPAEVLYHSREDSAHHRVYNHRSEEALSCREGEQIDRNFIQPQSMESLRRSGYNFIH -VGILQVRIQILHRQREGTMALVVFRDTRWEGDRSIFATMEVDLTRGSQLVYAIPDTMMTINDFCQHVQIS -ILTRGYDQWQAGEANLLVTRGMVGRLSNTSNVGFAYSIQGVTDYLASHGVRAIQGREYSTAEVQGRNWTI -RPARPIATAMQPSSVQTRNLLGGAISMRFGNYGVAREAEPPRYNSNDEEDEDEASITSEQQTVFLLIQQE -APQTQYIPGVGRRPIHEKWGPLGEDIGRFQYWVRYDRDEEEQQPQVPIVATGWGDDFDDNNPDQPDNDDD -TDDDDQPWWDQCEMHQTEAEQQEIVAAGAEDEEWSDYPSLQNLKQKVGKTATKEIVAAESSSVTGAYRPP -DDVAMTPVGYPPAGERSQSVPIFEGYNRRGKFVRDTGNENWQLPSAQVQQGAILVIPQNLGMFHDVYSRW -ESITKNATSQISFISGEEKIDFIENLLGEKEKLTFVAWRMMYQDEYQQLITSAEGNQGIQNILSQIRRIF -TLEDPATGSTKQQEDAYRDIEKLNCNDMKNIVPFLNDYFRLAAKTGRMYVNAELSEKLWMKLPGEVGKRI -KTAFDAKYPGVTIGVPPRILFTYQYLQDQCKEAAFARSLKSLDFCRDFPIPGYYNDRRASSSKGRSSVRR -STTYKGKPHQSHARIDRTKYLRNRKCKCFLCGEEGHYSRECPNDKKSTKRVAMWETIELPDGYEVVSVDE -GDQQSDGIYSISDGDDERQDHIDEELLCVITHQKKEYWLGKSEGYLPMVAVSKEQYKCNHAWNDNQEIPI -PYLKCHFCKQVPDRRSRSHCSQCFLTTCLMCSQHYTGRQIKPAPPLEPRKLPIQPSLIQQQADYISQLVA -ENKQLKQQIEYWKEKAQGKAKVQEVPTPLIIREPQDAAKVGGESSSEPSSSEPFPKPSTQEEGEIHIVAA -GAKTNNLYNMRIILEVPGEKPIEVKAILDTGATTCCIDLETIPEGLLEDNTFVVHFSGINSTSTSRKKLK -NGRMRIGENSFRIPYTYAFPMKIADGIQMIVGCNFIRNMQGGLRIEGNTITFYKFLTSVQTSTIAAVIEE -EEVEETDYLRLTEILAVETIQQDNHFSSRFKGVIEELKQQGFIGENPLQHWSKNRVTCYLDIKNPDLTVE -DKPLDNVTPTQKEQYKRHVDALLKLGVIRESTSRHRTNAFIVNSGTTIDPVTGEEKKGKERMVFNYKRLN -DLTHKDQYSLPGIQSILARIGQAKIYSKFDLKSGFHQIAMHPESIPWTAFWVPQGLYEWLVMPFGIKNAP -AIFQRKMDHCFAGMEEFIAVYIDDIIIFSETEKQHEEHLYKFIDRCKEHGLVLSPTKMKIGQRRIEFLGA -VIDQGRIRLQPNIIKKIADFSLQQLRSKAGLRSWLGLLNYARPYMAEMGKMLGPLYAKVSPTGERRLNMQ -DEQLIQQIKAQIQNLPDLEIPPEDAYIIIESDGCMEGWGGICKWKPMKKDPKKEERICAYASGKFNPIKS -TIDAEIHAVMNSLKKFKLYYLSKPAVTIRTDCQAIISFFNKSSQNKPSRVRWINFTDYITGCGVDIEFEH -ISGKDNILADNLSRIINLIIFEDNPGIKEFCSDWEKAWQEVQSKPSEKSLEALEEISSTWLKNTRISVMM -NDGSQQKAFHYHCYKLTSMKGRSVKKRLKTCFLKLWRSLSGSKKQRGRTWLHMQPRTITGEIACQLSKML -KIGQKTSATHYVK - ->YP_009553041.1 ORF3 polyprotein [Cacao swollen shoot CE virus] -MSQRGRQQPQRPSVTTTMSDPERGTAQPLYEDQIRDYRRGQRRMHNLSRGMRRLRRSVSHSPTARGGRYQ -DTLEQEIDPQTTLRLSMQERARIVPAEVLYRSRRDTVHHRVYTHRSEESILCIEGNQIDRTFIQPESYEQ -LQRAGFAFVHIGILQVRIQILHRQEEGTMALVVFRDNRWTGDQSIFAQMEIDLTKGSQLVYVIPDTMMTI -GDFARNVQISILTRGYENWQNGEANLLITRAMTGRLSNTPNVAFAYQIEGATDYLASHGVKAIAGKKFDL -QQLRNQQWVLRPPQAQIMPMQPQAVETRNLVDGSISLRFRDYETQPTTSLPHYNEADEEVESEEDGDEST -IREHTVAVWIIGEEEHNDTSGRKKVWEESANGNGRFFRYYSIPQSYYDSTEIVASGWDNEVEEPKEEKEE -KDHQDNEDEDDDYDPDLYWAELQRQEDEKHIEWEFIATLNEEEVMDYPKKAETVLSEVVDYTPPGDTLMT -PVGYPPASTSRQPQPERPPLYEGRLPQTPKFRRDDYTEWWQLPSSQATTGALFVMPKQIGLFHEVFSRWE -SITKNYIALQEFTDPADKVEFIENLLGETEKLTWIQWRMNYVTEYQQLITQADGRQGTQNILSQLKRIFS -LEDPASGSTRVQDAAYRDLERLTCNNIKDIVQFLNDYGRLAAKTGRMFINEELSEKLWLKMPPELGLRMK -EAYNKEYPGNAVGVYPRILYAYKYLEQECKDAAFKRSLKSLSFCKDMPLTGYYDKPKYGVRKSQTYRGKP -HATHARIEKKKHLVRNKRCKCYLCGDEGHFARECPNSKRDIKRVAMFEGLNLPEEYEIVSVDEGDEKSDA -IYSISENEELCEEVEERIFMLKEEDQSYWLGKTNHWTAMVRVTQQQYYCQHQWDHNKDITQKELTSCFFC -KQQTSSSSRIHCPSCQLTSCAMCSTIYCDTPVQPKPKLPVPFRSNTLLEQQAAYILWLETENKRLQQIID -KQMTEELEKDRAELELKAKTKGKAVAFSEIIKEKDDSDEHSAYMICHTTDKPVRGNMLYNLDALLTIPDV -KFPIKVKAILDTGATTCCIDINSVPKEAIEQNTFLVQFRGINSQQQVDKKLKYGRMTISDHQFRIPYCYA -FPLNLGDGIQMILGCNFIRNMYGGVRLEGNAITFYKNVTTIQSRAAVAMVGGTTISSLGEDDGLFQLAFD -DGDEAEETDMEAHSQIVGHTIQNHHSLSPDLQDLLQKLKMQGYIGENPLKYWANNKILCHLDIKNPNLII -EDKPIKHLTPLMEQKFQKHIKALLDIGVIRPSKSKHRTTAFLVESGTSIDPVTKKTIHGKERMVFNYKRL -NDNTEKDQYSLPGIQTILKRVCNKKIFSKFDLKSGFHQVAMAEESIPWTAFWVPQGLFEWLVMPFGLKNA -PAIFQRKMDQCFKGTEGFIAVYIDDILVFSDNMKDHMKHVHNMLHICQQNGLVLSPNKMCLAQTSMEFLG -TVITQGKMKLQQHVIRKILDKSDLELETTKGLRSFLGLLNYARIYIPNLGRMLSPLYAKTSPTGEKRMNR -QDWHLIKEIKGMVQTLPDLAVPPVKCCIIIESDGCMEGWGAICKWKPAKEDSRTTEKICAYASGKFNVIK -STIDAEIYALIKALESFKIFYLDKRHLILRTDCQAIVMFHNKTSTHKPSRVRWITFSDYLTGLGVEVTIE -HITGKDNLLADNLSRLVFSSWNQSQEEVKQELPQQFLPHNSVAALTHIVLPMMGYSNKDRIPLLMVLYHS -VWKGILQNNSSDWKKRLLRRLFELYMIYKAFYTIKVPILQLQPPMTTGPKTGYLPLVKAQKIWTIMPAQL -PQ - ->YP_009552737.1 polyprotein [Birch leaf roll-associated virus] -MNRSRTVTVTERPPVRQTVSEHNTEIPTVEDQIRDYRRSQRRWYNTRRRLRNAVRSLTPERGRSMSRSSS -MNSTTTRGSTRWTQGYNETLESELNPDAELQISQRRRARMIPAETLYGALNSEPEHRVYQHYSEQRVSLI -DDQQDFRLIQPESYQALVDEGRQHIHVGMIMVRVSLLHRIDTGIQACIILRDTRFDGNRAIIGAMECDLS -DGTAMVYLTPDMMLSIHDFYNHFQIAIMTRGYNNQWAGGESNMIITRSLIARLTNNSVTAFNYKVQGVLD -YLTSKGVKALPGAPHPQSMLEGKEWKIGESKIPKPAQIPTRLYQRERTDGSTAIKFAGYTDKTASTSRPE -DETEQDSDLEALMAIGDNDDCPEGETIVRFVENIAPTNPDGIIIGEAPTNLNRQEWWTDPRWDSLDESGK -EGGPQYLVSYTIPDRYYEISPDDIQPTGWDDEIPEAPLDYPEYVPSSPVWDDETEAAYYYSSGSSSDSPD -EAQADFQNPFASFLGGEGDVQNEIAMTAVGDMDYPALQSMIKGLEKTTIAEPSKDKNTTLMAESTGSGGG -GNGWGRMEIQVNRPPGYAPATSTDLGYPTPTWETGGSSRQPRPIQMYRPPQPWYLPGAQIDNGVILVLPQ -DIGQYSAAVERWMTITLNVVNSRQFDSNQDKVNFIENLLGEDEKKIWISWRMAYEAQYHQIIANAGETQS -ILSSIRGILIGTDPYTGSVEEQNQAYRDIERLSCEKMGDVLQYMNAYKHLAPKTGRMWLSSELSEKFFRK -LPPAIGEEIAGAFLAKYGDVGTNVMLRIMFTYQYLLEQCKRAAVQKSMKDLSFCKEMPLPGFYRSKKYGL -RKAKTYNGKPHKTHVRVIRDKDKRREGTKCKCYLCGEEGHYARECKKEKRNINRSYIVDNTLLADGWDVV -SVDPGEEDSDGIQSVSETEAVDSTDSVAIFREMGPYKQESLINNAFVLIFGPVPEANLTWMPQYPLNSQQ -GCCNHKWEEHTELALGGRQCSHCGRHTDCQKRAHCPDCKITACLFCAKMKHGIEIKDEEKPERLFEGTKS -LMDSLYQHNRYLLDENGRLKEENKELREANRALRNPALQKILEKPPIIEEPQGEEELGQKLWGDLLTLSS -PATTPRSRKGKEKKAEEGYLTDCTEEEAGVFYEAYTYEEADHTTATNEEAANQAYGKVALNRLYNMVVEF -EIPREEGPPLKFKLRAVLDTGATSCCAKLSALPEEAITDSAMVSNFNGINSSQVARKRMKPGKMIIEGHS -FKTPFIWAIQMTTFGVDMLIGCNFLRAMEGGLRIEGMEVTFYKNVTTIKTTMEPEKILAVEEEETLEFLE -LEEQAFHIATGSPEERQRFQEKCRPLMEELKAQGFIGEKPLQHWSLNRIQCKLDIINPHLTIECRPLKHV -TPAMKDQFKRHTDQLLKLGVIRPSSSRHRTMAIIVQSGTSIDPKTGKEVRGKERMVLDYRSLNQNTHKDQ -YSLPGINTIVQRIGNAKVFSKFDLKSGFHQVTMDEESIPWTAFLTPDGLYEWLVMPFGLKNAPAVFQRKM -DNCFKGTEEFIAVYIDDILVFSENHKQHEGHLRKMLEIVRQNGLVLSPTKMKIACSEIDFLGATIGNSRI -QLQPHIVQKIADKPEKEIMTTTGLRSWLGIINYARNYLPKCGTLLGPLYNKVGAHGDKRWKESDFQLVRQ -IKEMVQRLPALKLPPPGAYMVIETDGCMEGWGGICKWKKSKGDSRGDEHVCAYVSGKFTVPKSTIDAEIF -AVMETLNSLKIYYLDKKEVTIRTDCQAIIAFYNKQAQHKPSRVRWLNFCDYITGTGVVFIFEHIKGTENS -LADQLSRLATNTVGFSQCPGFQETTAEGLLLIDTAFRELRQKEGRQWRKHLHHPQEEQHLSQGSTTYHPH -RGSQSGKISPQGQQWMKLVSQFSSEMEGKYGPMLLQGHTSQGSRSHVTDDKKRKDEGWRMPSKIP - ->YP_009552693.1 ORF3 polyprotein [Cacao swollen shoot Ghana N virus] -MSRTQTVQENPSVTDGRGRQRDNTPLFEDQVRDYRQNQRRLFNLQRATRRLRGSVTGRRYQDTLEQEVDP -QAALRLSMQERARLVPAEVLYRSRRDTVHHRVYTHRSEESILCTDGNQIDRTFIQPESLERLQRSGMAFI -HIGILQVRIQILHRQEEGTMALVVFRDNRWEGDQSIFATMEVDLTHGSQLVFVIPDTMMTIGDFARNVQL -SILTRGYQNWQNGEANLLITRSMTGRLSNTPNVAFAYQISDVTDYLASHGVKAIAGKKYDLRGLQGQQWV -LRPPRANITPMQPNAVETRNLVDGSISLRFHNYEAAPTTSRPTYNEHDEEVESEEESELREHIVAAWVGP -EEFPDNTGRIKVWEESCNGNGRFYRYYTAPPVYTGEVIATGWDDDETPPKWDECPDEKSDHEGSNEDDDD -DPNTYMLRLQLEEESWREIETEVRNEIIAGGKEELEYPTRGPTTEVVLSSEVVDYNPPADTLMTPVGYPP -ASIAGPSNRPARPPLFEGYSPQVPKFKAEKYSEWWQLPSSQGTTGAMFVMPRQIGMFHDVFSRWESITKN -YVAAQGFTDTTEKAEFIENLLGETEKLTWIQWRMNYAQEYQQLLTQSDGRQGTQNILSQMKRVFSLEDPA -SGSTRVQDDAYRDLERLSCNNIKDIIQFLNDYGRLAAKSGRMFVGTELSEKLWLKMPPELGTRMREAFHA -TYAGNEVGVFPRILFAYKYLEQECKDAAFKRSLKSLSFCRDIPITGYYDKTRKYGIRKSKSYKGKPHETH -ARIEKRKHLVRNKRCKCYLCGEEGHFARECPNSKRDVRRVAIFEGVDIPEDYDIISVDEGEPESDAIYSI -SENENNDLAEEEWPVERAYMFREVDQTYWLGKKNHWTAMVKVTAQQYNCMHHWEHNQEIKETAYLKCYFC -KQQTMQRSRIHCPRCTLTACFMCAPIYCNTTVQKTERSAIPYNPHALLQEQQGYIQWLEAENKRLAETVQ -NYQKQIADLKLTMELEASRQELEQTAPKPHGKGISITDETIAYLEEDVKITGHGHEQVAKPVRGNMLYNM -DVILNIPDVGRSIKVKAILDTGATTCCINIDSVPKSAIEQNTFLVHFKGINSSQTVDKKLKFGKMLISGH -HFRIPYCYAFPLKLGDGIEMILGCNFIRGMYGGLRIEGTTVTFYKNVTTIQTRLAAPAVYTDWEGGGDNS -QSQIQNWWEEEDEPVDLETHQQIMLLGAIPAHPITDPKLGKLLAQLKEQGYIGENPMQHWAQNKVVCKLE -IKNPDLIIEDRPIKHLTPAMEQQFQKHIKALLDIGVIRPSKSRHRTTAFIVQSGTTIDQETKQVIHGKER -MVFNYKRLNDNTEKDQYSLPGIQTILKKIGNKQVFSKFDLKSGFHQVAMAEESIPWTAFWVPQGLYEWLV -MPFGLKNAPAIFQRKMDQCFKGTEDFIAVYIDDILVFSNNMADHIKHVQTMLRICQEHGLVLSQSKMKLA -QEEMEFLGTTIGRGKMRLQPHIIKKLINYSDSELETTKGLRSFLGILNYARIYIPNLGRKLSPLYAKTSP -TGERRFNKQDWQVIKEIKAMVQALPDLSIPPAQSCIIVESDGCMEGWGAICKWKTAKEDPRSTEKICAYA -SGKFGIVKSTIDAEIHAIIKALESFKIFYLDKKRLIVRTDCQAIVTFYNKSVGHKPSRVRWITFSDYITG -IGIEVIIEHIDGKDNQIADTLSRLVHTTWSQHQKLEEEDEVQEKSQLLSYAELTIPIASHMTDYFRSSIT -PSQQQESGMTHTKQQQHSFTESRKKQPKGPFMPSETFKGYFASKEVTLNPWRPETTTPVTDCQLPSKTAK -RAVHALRDLQGILRFKRSHLESMATRDNYASDRLPAALEDSQSFDDAVRTIERIIERAVQP - ->YP_009551940.1 ORF3 polyprotein [Cacao swollen shoot Ghana L virus] -MSQRGREQPQRPSVTTTVSDPETGRAQPLFEDQIRGYRQRQRRLHNLRRRARSLSRSLSQEPRTQGRQGR -YQETLEQEIDPQATLRLSMQERARLVPAEVLYRSRRDTVNHRVYTHRSEESILCTEGNQIDRTFIQPGSF -EQLQQAGFSFIHIGILQVRIQILHRQEEGTMALVVFRDNRWTGDQAIFAQMEIDLTKGSQLVYVIPDTMM -TIGDFARNVQISILTRGYENWQNGESNLLITRAMTGRLSNTPNVAFAYQIEGATDYLASHGVRAIAGRKV -DLQHLRNQQWVLRPPQADITPMQPRAVDTRNLVDGSISLRFRDYEVQPPSHLPHYNEEDEEVESEDEGTI -REHTVAAWIIGEENDPDKRGQKKVWEESANGNGRFFRYYSMPNSYYDTTEIIASGWGDEPETPEPPRKEE -DPSNDDNDEGWEPLPIINDEHRDWENEWEQWEPSEERETEDEEDEFQRSIELIGTLELEMEYPQRKESQS -NQHQRKETILSEIVDYTPPADTLMTPVGYPPASTSRQPPARPPLYEGRFPQTPKFRRDDYTEWWQLPSSQ -ATTGALFVMPKQIGLFHEVFSRWESITKNYVAAQEFTDSSDKVEFIENLLGETEKLTWIQWRMNYVTEYQ -QLLTQADGRQGTHNILSQVKRVFSLEDPTAGSTRVQDAAYRDLERLTCNNIKDIVQFLNDYGRLAAKSGR -LFLGEELSDKLWLKMPPELGTRMKEGFQKEYPGNTIGVFPRILYAYKYLEQECKDAAFKRSLKSLSFCKD -MPLTGYYDKPKYGVRRSQTYKGKPHATHARIEKKKHLIRNKRCKCYLCGDEGHFARECPNSKRDVKRVAL -FEGINLPEEYEIVSVEEGEGISDAIYSISENEGEEELALEVPEKVFMFKEEDHSYWLGKTNHWTAMKRVT -QQQYQCQHQWEHNSEIAQKELLACLFCNRQTNLNSRIHCPQCQITSCSLCSPIYCDTPVQQKPKVPAPYR -SNTLIGQQAAYIVWLEEENRRLQAIIHSQLESELEEDRQTLEQQAKMKDKGKAVILSDDESKEHSAYLEE -DICHTTEKMVPSRGNMLYNLDVQLIIPEVKFPILIKAILDTGATTCCIDINSVPREAIEQNTFRVQFKGI -NSSQTVDKKLKYGRMTISNHQFRIPYCYAFPLQLGDGIQMILGCNFIRSMYGGLRIEGNTITFYKNVTHI -HSKAAALVGGGTPHSFLGADGLGLLFPDDDEEEDVPSLDFATHLQLVGHTAQKPSYPLSPELQDLMSKLK -EQGYIGESPLKHWANNKILCRLDIKNPELIIEDKPIKHLTPVMEQKFQKHVKALLDIGVIRPSKSRHRTT -AFIVESGSSVDPVTKKTIHGKERMVFNYKRLNDNTEKDQYSLPGIQTILKRVGNKKVFSKFDLKSGFHQV -AMAEESIPWTAFWVPQGLYEWLVMPFGLKNAPAVFQRKMDQCFKGTEEFIAVYIDDILVFSDNLRDHMKH -VHQMLHICQQNGLVLSPNKMCLAQEEVEFLGTIISQGKMKLQQHVIKKILEKSDLELETTKGLRSFLGLL -NYARIYIPNLGRMLSPLYAKTSPTGEKRMNRQDWHLIKEIKEMVQKLPDLAIPPAKCCIIIESDGCMEGW -GAVCKWKIAKEDSRTMEKICAYASGKFSIIKSTIDAEIYALIRALESFKIFYLDKKHLILRTDCQAIVMF -HNKTTTHKPSRVRWITFSDYLTGLGVEVTIEHITGKDNLLADNLSRLVFSTWNPSPQETSQEELQKSLRH -NSVASVIHIALPMKDYCSNKRIPLPMVPCLSAPRMPFLHNSTGLKKQLLKRLLLLYMIYKAFCTTRGLIS -QPQQPVTTGPKIDYQLSDRVPRIWTFIQPPSPL - ->YP_009666830.1 ORF3 polyprotein [Cacao swollen shoot Ghana Q virus] -MTTRTQTEVETPVSRRRNEETPGLSFDDQIRSYRNSQRRRHNVQQGMRRMRSRITGQPYERTLEQEIDPQ -ATLRMSMRERARLVPAEVLYHSRSDAAQHRVYNHRSEEAISCREGEQVDRSFIQQQSMERLQRAGYNFIH -VGILQVRIQILHRQREGTMALVVFRDTRWEGDRSIFATMEVDLTRGSQLVYAIPDTMMTVNDFCQHVQIS -ILTRGYDQWQAGEANLLVTRGMVGRLSNTSNVGFAYSIQGVTDYLASHGVSALQGREYSTTEVQGRNWTI -RPARPVAIPMQPTSVQTRNLVGGAISMRFNNYGPARQTENIHHNTDDEEDEDEASIVSEHSVALLIHQHV -PEPEPEPETQYIPGVGRRPIHEKWGPLGEDGGRFQYWVRYDSIRDEQPLETNQIVATGWGSESEDDNDNS -NTQIPQEESSDEEAQWWELCDMHISNEQMEIVAAGAEEDGWNDYPILRKMKEELAEESNTKVVAMESTVT -GPYRPPMDIAVTPEGYPPAKEKSADIPLFEGYSQKGRFKRDTGNENWQLPSAQTQQGAMLIIPHNLGMFN -EVFSRWESITKNIMSQMSFISGEEKLLFIENLLGEKEKLTFVAWRMMYQDEYNQLVTTSEGNQGVQNILS -QLRRIFILEDPATGSTKMQEDAYRDIEKLHCSDMKYIVQFLNDYFRLAAKTGRMYLNPELSEKLWMKMPG -EIGRRIKKAFDEKYPGVTIGVPPRILFTYQYLQEQCKEAAFARSLKSLDFCRDFPITGYYKGRSSTSRGK -SAVRRSTSYKGKPHNSHARIDKTKYLRSKKCKCFLCGEEGHYSRECPNDKKSVKRVAMWETIDLPEGYEV -VSVDEGDQPSDGIYSVSENEDEMRQDHIEEELLYVITQKEKAYWLGKTGGYLPLVSVSKQQYKCLHQWED -NSPDVPKEFLKCHFCKQMTSRNSRAHCFSCSLTTCPLCSKNYTDRNIQVKPAIESRKPKASPTLIQQQME -YIMQLEEDNRKLRQEVEYWKAQAQPKAQAQPKQPGITIREPQATAEVGGSSQSSQPSKPQQKLKGIMIEQ -ECTDDEEGEHIIASSAKVNKSHIIASSAKANNLYNMKILLEIPGEEAVEVKAILDTGATTCCIDSESIPK -QLLEDNTFTVQFNGINSTSTSKKKLKNGRMKIGENTFRIPFTYAFAMKIADGIQMILGCNFIRSMQGGLR -IEGNVVTFYKNLTTVQTSTIAAAIEEEEVKEKDYLHWTEIVAKETTMQEDNFASSFKKEIEELKQQGFIG -ENPLQHWSKNRVTCYLDIKNPELTVEDKPLDNVTPAQKKQYERHVDALLQIGVIRKSTSRHRTNAFIVNS -GTTIDPVTGEEKKGKERMVFNYKRLNDLTHKDQYSLPGIQSIHARIGQAKIFSKFDLKSGFHQIAMHEES -IPWTAFWVPQGLYEWLVMPFGLKNAPAIFQRKMDHCFAGTEEFIAVYIDDIIIFSNTEEQHKEHLKKFLE -RCKQHGLVLSPTKMKIGQKRIEFLGAVINEGKIRLQSNVIKKIADFSPQQLNNKAGLRSWLGLLNYARPY -MPEMGKLLSPLYAKISPTGERRLNKQDEELIRKIKAQIQKLPDLEIPPEEAYIIIETDGCMEGWGGICKW -KPFKRDPRKDEKICAYASGKFRPIKSTIDAEIHAVMNSLKKFKLYYLSKPAVTIRTDCQAIISFYNKSSQ -NKPSRVRWINFTDYITGCGVEVEFEHIAGKDNLLADNLSRIINLIIFDTNQETQEFCSKFEKAWKEVQKN -PTTWSQEVLLAVTTAWFKNINNSSDKKRKPFIMAYHSLSWKHSRKKGVLLKRKLNLHSSKAWKNTSGLRK -AKVATSLHTQQRTTTMETGYQLSRKTRKKPRKHVQHCKK - ->YP_009666826.1 ORF3 polyprotein [Cacao swollen shoot Ghana M virus] -MSRARTISENPGVTNQERQREGPLFEDQVRDYRRNQRRIFNLRRNARRLRRHMMGTRYQDTLEQEIDPQT -TLRLSMQERARLVPAEVLYRSRRDTVHHRVYTHRSEESILCVNGNQIDRAFIQPESLEQLQRTGMAFVHI -GILQVRVQILHRQEEGTMALIVFRDNRWSGDQSIFAQMEVDLTKGSQLVFVIPDTMMTIGDFARNIQLSI -LTRGYDNWQNGEANLLITRSMTGRLSNTPNVAFAYQIASATDYLASHGVRAIAGKKFDLHKLRGQQWILR -PPQADITPMQPRTVETRNLIDGSISIRFHDYEAAPSTSRPTYNEQDEEVESDEESELREHTIAVWFGPEE -LPDATGRIKVWEESMNGNGRFFRYYTPPPENDTQIVATGWDDDEPPIWDKSSEDEDEGNEDDDDPNTYML -QLQKEEDEWQEIKEEIAAGWQENLEYPVKKPLTETVLSEVVDYNPPGDTLMTPVGYPPASTTRSQVPDKP -PLFEGQSSHVPRFKKDNYSEWWQLPSSQGTTGALFVMPKQIGLFHDVFSRWESVTKNYVAAQGFTDPVEK -VEFMENLLGETEKLTWIQWRMNYEAEYQQLITQADGRQGTQNILSQIKRVFSLEDPASGSTRVQDAAYRD -LERLTCHNIKDIVQFLNDYGRLAAKSGRMFLGTELSEKLWMKMPPELGHRMREAFHKEYSGNEVGVFPRI -LFAYKYLEQECKDAAFKRSLKSLSFCKDMPITGYYDRGPKYGIRRSKTYRGKPHETHARVEKRKHLIRNK -KCKCYLCGDEGHFARECPNSKRDVKRVAIFEGIDLPEGYDIISVEEGEAESDAIYSISENEDEVLPEEGV -KTEKVFMFREEDQTYWLGRKNHWTAMVKVSSQQYNCLHQWEHNQEITQVAYLKCYFCKQQTHIRSRIHCS -TCTLTACFMCAPVYCNTTVQQAPKQAIPYNPNTLLQQQQGYIQWLEAENKRLAETVELYKHEIAELKMEK -ELELSRRELERETPKKPHGKGIQIKEDDEQSAYLEEDTIVRVIGHGTEEQQVSKPVKKGNMLYNMDVVLM -IPEVGRPIKVKAILDTGATTCCINIASVPATAIEQNTFMVHFKGINSSQSVDKKLKYGKMMISNHQFRIP -YCYAFPISLGDGIEMILGCNFIRGMYGGLRIEGNTVTFYKNVTTIQTRLAAIAVGGTTASDVGGEDSYFS -SDSDPDQEPATQEFFDPETHYQIMAIGAAQTQKAQLDPRLEKILAQLKEQGYIGDNPMKHWAKNKVVCKL -EIKNPDLVIEDKPIKHMTPAMEQQFKRHIQVLLDIGVIRPSKSKHRTTAFIIQSGTTVDPVTKETIHGKE -RMVFNYKRLNDNTEKDQYSLPGIQTVLKRVGNKQIFSKFDLKSGFHQVAMAEESIPWTAFWVPQGLYEWL -VMPFGLKNAPAVFQRKMDQCFKGTEEFIAVYIDDILVFSNNMTDHIKHVQVMLKICQENGLVLSPTKMNL -AQKEIEFLGTIITQGRMKLQTHIIKKLVNFADSELETAKGLRSFLGLLNYARIYIPNLGKRLSPLYAKTS -PTGERRFNRQDWQLVKEVKKMVQELPDLSIPPAKSCIIIESDGCMEGWGAICKWKTAKEDPRNTERICAY -ASGKFSVVKSTIDAEIHAIIKALESFKIFYLDKKHLIVRTDCQAIVTFYNKTAGHKPSRVRWITFSDYIT -GLGVTVTIEHIDGKDNQIADTLSRLVYSSWSQYQNQEEEERQEKSQPPNCVGSVIHTALPMTDYCSKRRT -PLQPEQSPSLQKELYQYNYTKLKKKQPKEPCWPSEIFKGYSTSKETTWLLLPQGTTMLATGYPEHSKIPW -PLTDRPILSIK - ->YP_009666308.1 Reverse Transcriptase, partial [Cladosporium fulvum T-1 virus] -NDRYRIPHTVRNIRIKRIAQHGAARTRTLLRNAEDPGTSSSTDVDTERLAPGSSGGLETRSTTFHIRTGK -RINSPGMSDRRELCNTEKAQASPTTTVFRTKIIVNGHKTDAMIDSGASGNFASESFVTRNRIATCKKKEG -YELIAVDGSSLPSVERETIPLPLAIQRHHEEITLDVTDMASHDIVLGMPWLRKHNPVIDWRRGVLTFREC -ECVIDIQPAQWQRSLADEARKQLNRIQLAPTRRTEEPPSTGTDTGVGPPGHEVTGSDGSNAPSKDTNISE -LSIPKEYRKWSRLFEEERGKDALPKHQPWDHKINIQPGKEPPWGPLYQMSEKELQTLREWLKEKLAKGWI -RRSTSSAGTPCMFVPKANGKLRLVQDYRKLNEITIKNRYPLPNIEEAQDRLTGSDWYTKIDLRDAFYAIR -MAEGEEWKTAFRTRYGLYEFLVMPMGLTNAPASCQDLVNETLRDLLDVCVVAYMDDILVYTKGSLQEHTK -QVQDVFERLTKSGFKTAPEKCEFHKKEVKFLGFIISTTGITIDPAKTQSIREWPEPKTVKDVQSFLGLAN -YNRKFIKDYSKTAAPMTMLTRKDVNWKWGKEQTEAFKRLKEQCASAPTLRLFDGSKEVHIETDASDMAIG -ACLTQTHDGKRHPVAYYSRKMTTAEQNYDIHDKELLAIVAAMQHWRVYVEGPPKLTILSDHKNLTYFTTT -KELTRRQARWSELLGQYKFEIKYTPGTENGPADALSRRSDYMEGKEPVQHKILKTNPDGSLSANTREFNN -IVRILSDKEEQFPISQGKYQVPKDREEECIRQHHDEPTYGHPGTSKTVDLIQRSFSFPQMRLKVLRYIKK -CVHCQQNKAARHAKYGHLQFRTPPTKPWDEVTMDFITKLPRSKDRVTGQAYDMILVMVDRLTKYAHFIPA -SEIYTAEQLGYLVLDRLIRYHGFPEVFITDRDKLFTSNYWKTLMGTIGIKHKLSTAYHPETDGQTERTNQ -TLEQYLRHYINYAQDNWVSLLPMAQIALNNHKSETTSTTPFMRTLARTLTYPEHLDPTHRPREQS - ->YP_009664792.1 polyprotein, partial [Spiraea yellow leafspot virus] -VFSNTLQEHEKHLQKMLEICRANGLVLSPTKMKVAVTEVDFLGATIGAGSIKLQSHVIKKIAEVDDESLK -TLKGLRSWLGVLNYARNYIPKCGTLLGPLYSKTGEHGDRRMSPSDWKLVKQVKQLVSNLPDLKLPSTDAY -IIIECDGCMEGWGGICKWRPKKGDSAAAEEICGYASGKFPTIKSTIDAEMHAVMNSLEKFQIFYMSKGEV -TIRTDCQAIISFYEKLNANKPSRVRWLSFCDYITNTGVQVKFEHIKGKNNQIADTLSRLAQTLAYTRWLP -EEQNEVLHQALNAPELQQHHRQEILGFLCEQSEKSRHRQKRQADTGPSTLYLAAIATPKSLEQKQPSSEE -TGTGRSAGEYKTSYSPPQRTKKNFAASEQEFTVIGYPWQKQEGRPPENYGPPTFDSWTWNSVHKARPTSW -TFDFYGSVQEAQAQNPKLEESVSAYESKQNRHGLRQ - ->YP_009508443.1 ORF3 [Dioscorea bacilliform TR virus] -MSRTQLSAPSTSGNTMEDQIRDYRLGARRRYNMGLRAQKLIKTLSLGTFYNPTLEQQVDPEKTLQLSSVQ -RASLVPAEILYHARTDTVNHKVYMHWSEESDLIINGQHDSTFIRPESFQVLQRSGMQFIHIGLMQVRIQV -LHRLEAGTMAMVVFRDCSWKGKRSIIARMEVDLSKGSQLVYIAPNIIKKLADFYNNIQISILTKGYDDYH -NSEANLLITKGLVGRLSNTSNVGFVYSISGIADYFITHGVQAIIGAPVSTEDIQGTLWNVKPTTLVVPVQ -PTAVDDRELADGSISVRFSNYKAARQPAQITYNQDDEQIDEDEAHIIAYLHMEEPCLKVRLLNEDAIIPQ -RLSHQAVGYDIAVVQAAEVPALGQTMFSTGIAVEVPNGTYAHLFIKSGVAKRKGLILNAGVIDPDYTGEV -MLLVCNPTATPQFIQKGEFIAQIVLQQVLTPPVQDVAILHQTLRGDRAFGEVTSNYLAEASTSYLADMCP -TFEEDPFYEDPTILTLQQVEEEDDDISYLTYLYDHHLFFGGEKEEEEAAAAKIFDEVAAAPIYDDYDDDA -DDEEEVQRLINPKLASISTEFEYPQLRELEQVIAASSAVSAYNPPEDTTMNPPTYPPAQTPSMPSTSYGG -STSYGGTFGGTSGVGSGTRFRSKDYSGNWNLPSAQQQTGAMLYIPLELGKFDEVFMRWESITKNIISQHT -FISGKEKADYIENLLGEYEKLTWIQWRTAFPEEYEKLLASADGREGTQNIISQLRTIFTLEDPFRGSTKV -QEEAYRDLERISCRDVKDLIPFMNEYMRIASKTGRLFISSELSEKFWFKLPGDLGKRVKEAFDQTYSGNT -VGVHPRILFTYKFLEEECKKAAFARSLKGLQFCNQIPIPGYYKGKEKRYGARRSTTYKGKPHDSHVRIEK -KKHLVRERRCKCFLCGAEGHFARECPNDKRNIKRVAVFEGLEIPEDCEIVSVHEGDDLSDAIYSVSEGEN -NEEIDQGVNVFINRETLYYLREEDHTYFLGGGGWRCSVKVSQQEHDCKHRWDYYTNTADKCRFCKREVMK -RWNARCQHCNILTCGMCSKHYVDLELPCQQEEIRQVYNPQQLMMEQQNYIQSCEMEIQRLKDELAREKKV -AEEMVVAARISEAVSQQESKKQLEEMKLENDRLTLENEDLKKEIRSLKIQIEDMAVNVLICDGKDKHVAA -TLPLKERRNGLYNLIVELDIPGSTPLKVNAILDTGATTCCINEEGLPKEILEDNTFEVKFTGANSVMTAI -KKLKNGTMRIGDHQFRIPYTYAFPLKLGGGEQLILGCNFIRSMNGGVRIEGNEVTFYKNVTTIQTQQEVP -KVLALEELEMGEEEYIAIQESCSTICPVVNINFAQRFEDLILELKSAGYIGEEPMKFWSHNKVTCKLEII -NPELTIQDKPLKHVTPSMEEAFRKHVQALLKLKVIRPSTSRHRTTAFIVHSGTTVDPKTGVETKGKERMV -FNYRRLNDNTEKDQYSLPGINTILKRIGQSVIYSKFDLKSGFHQVAMDPDSVQWTAFWVPDGLYEWLVMP -FGLKNAPAVFQRKMDNCFRGTEDFIAVYIDDILVFSRSQEEHAQHLKQMLEICRRNGLVLSPTKMKIGTQ -VVEFLGAVIGNRKIQLQSHIIKKIADFSDEEIKTTKGLRSWLGILNYARNYIPNLGKILGPLYSKTSPSG -EKRMNAQDWELVRKVKKIVNELPDLIIPPEKCCIIIETDGCMSGWGGVCKWKKFLKDPRVTEQVCAYASG -RFDPPKSTIDAEIHAVMNSLDKFKIHYLDKEEIIIRTDCQAIISFYNKSAENKPSRVRWIAFNDFITGLG -ISVHFEHIDGKHNQLADALSRLVGALIQNSEKWSQRTHIIRAMGLAIEQVTSRPHAEAMKKLATMIQGVL -DSTHPRE - ->YP_009508411.1 ORF3 protein [Dioscorea bacilliform RT virus 2] -MSLARTTEGVASTSRPTHEDQIRDYRLGQRRRHNLQQGMKKLSAKLFGGPYSRTLEQQVDPEKTLQVSMY -QRASLVPAEVLYHARPDTIHHKVYLHWSEEAVLVIQGQYDSTFIKTESYEALQRAGMQFIHIGLMQVRIQ -VLHRLEAGTMALVAFRDCSWKGRRSIIAKMEIDLSKGSQLVYVAPDITKRVADFYRNIQISVMTKGYEDY -TNSEANLLITKSMVGRLSNTSNVGFQYSVSGIADYFATRGVQALKGTPFTAEEYQGELWNLKPSRLTIAM -QPQESEERELPDHSISMRFTRYVAAREPARIAFNSQDEEVSEDEQHVLAVLHTSEQFLKVQVLHPDARIP -QRMTAEAAGYDLTVIESAQLQPGEQKLFRTGVAIEVPTGTYAHLFPRSGIALKKGINIGAGVIDPDYTGE -VKVLIQNISTQPCDIYAGEACAQIILEQHLTPEVQEVRAMRPTLRGDQGFGSTSAAVFSIFEDDDDDDDD -FPSFAEGGGEMDYFPFQIEESDEDPIDLAQLVLEAEEEMLKEVKLTTATLDLDYPELEELQRIVGASTAM -SEYSPPQDTSMNPPTYPPARRESAASAPSTSTGISSGAPTVSAGTRFRGKDYSGAWTLPSAQQTTGAIFN -MPLELGKFDEVFMRWESVTKNLTAQHVFTSGRDKAEFIENLLGEYEKLTWLQWRTAYPAEYDTLLASADG -REGTQNILSQIRTIFTLEDPFRGSTKVQEDAYRDLERISCSDMKNIIAFMNEYMRLASKSGRLFVSPELS -EKFWLKLPGDLGKRIKEKFDQAYAGNNVGVHPRILFTYKFLEEECKAAAFSRSLKGLQFCSQIPIPGYYK -NSGKKYGVRRSTTYKGKPHETHVRIEKKKYLERGSALKNKKCKCFLCGEEGHFARECSSDRRNIKRVAIF -EGIELPEDCEIVSVKEGEAQSDAIYSISEGEDDNEVHNLNSITHSSLFYLRAEDNTYWLGGGGWRFAVKV -TPQEHNCAHSWSYYEEQSRTCRFCKREVMKRFNAHCSKCSITTCGMCSNHYLGFQIPCQQEEVRPVYEPR -QLMNEQMTYIKHCEEEIARLKQEVAAAKILSENEIVSMKIREAVETDTLRKENETLRLENERLQLEVADL -NKIIKGKQPIIPEDEELEELKQQVQQLKKSLEEKRLGVIICEGKGEQVLATTSSRNGLYNLVVELSIPGI -LPFSVNAILDTGATNCCINEEGLPKGALEDNTFEVTFTGANSVMKANKKLKGGMMKIGDHQFRIPYTFAF -PLRLGGGEQLILGCNFIRAMQGGLRIEGDEVTFYKNVTTIKTQQMVSKVLALEELEMTEDEFIEVNETLS -VVGRQPQPKYEAILSRMKQQGYIGDKPMRHWSKNKVMCKLDIINPNLIIQDKPLKHVTPAMTETFKKHID -ALLELKVIRPSTSKHRTTAFIVHSGTTVDPETGLEKKGKERLVFNYKRLNDNTEKDQYSLPGINTILKKI -GHSKVYSKFDLKSGFHQVAMHPESIEWTAFLTTAGLFEWLVMPFGLKNAPAVFQRKMDNCFRGMEDFLAV -YIDDILVFSNSEEEHMRHLEQMLSVCEANGLVLSPTKMKIGTSNIEFLGARIGNHKIQLQEHIIKKIADF -PESELMTTKGMRAWLGILNYARSYIPNLGKTLGPLYSKVSPNGEKRMNAQDWALVRKVKISIQNLPELEI -PPKNCVIIIETDGCMEGWGGVCKWKLFAKDPKATEKVCAYASGKFNPPKSTIDAEIFAVMNSLEKFKIHY -LDKEHIVIRTDCQAIISFYNKSVDNKPSRVRWVAFNDYLTGLGIEVKIEHIQGVHNTLADALSRLVGSLV -FHTEAWNSRKEALMVAEACINKLLTHPSHEATMKGVKLLNQLMNITDSAIASEESEGLQLSRKKTKREDF -SPC - ->YP_009508408.1 ORF3 protein [Dioscorea bacilliform RT virus 1] -MSLVTRTREVGSTSAPTHEDQIRDYRLGARRRYNMGLKAQKLVKTLSRGKFYNPTLEQQVEPEKTLQLSS -VQRASLVPAEVLYHSRPDTVNHKVYMHWSEESDLIINGQHDSTFIRPESYQLLQRSGMQFIHIGLMQVRV -QVLHRLEAGTMAMIVFRDCSWKGKRSTIARMEVDLSKGSQLVYVAPNIIKRLADFYNNIQISILTKGYED -YQNSEANLLITKGLIGRLSNTSNIGFAYSIDGIADYFITNGVQAIKGAPMSTEDIQGTLWNIKPTTLVVP -VQPTAADDRELADGSISVRFSKYKAIQEPTTPVFNDQDEELDPNEQHILAYLSEASDMPLKIRLLNADAV -LPQRMSYGAVGYDIAITTSEDIPPYRQVKLSTGLAIEVPYGTYAQLYIKSGVAHRTGLMLNAGVIDPDYR -GEISLLLHNPTDSTVSVRKGDFLTQLVLHQILTPPVQEENALTPTLRGDRGFGALTAAAFIPTSVPTEHN -EADDEANEADDEMEYLSYLSTAPFSGVEEGEDEGYFNLAAAEDYPTTIIDAAETSTIGFLSAPIYDTDGE -EGDEDNDDILPKRIAVLSFEHDYPQLRGLEEHVVAATSTAVSAYRPPEDTTMNPPTYPPASNLPSAGSVP -STSYPSTSYPTTQGATSGVGSGTRFRAKDYSGNWNLPSAQQTTGALFYIPLELGKFDETFMRWESITKNL -VSQHTFTSGRDKAEFIENLLGEYEKLAWIQWRTSYPDEYEKILESSDGREGTQNILSQIRTVFTLEDPFR -GSTKVQEDAYRDLERISCKDMKDIIPFMNEYMRLASKTGRLFISSELSEKFWMKLPGDLGKRVKELYEAS -YSGNTIGVHPRILFTYKFLEEECKKAAFSRSLKGLQFCNQIPIPGYYKGKEKRYGVRRSTTYKGKPHDSH -VRIEKKKHLMREKRCKCFLCGNEGHFARECPNDKRNIKRVAVFEGLEVPDDCEIVSVQEGDNQSDAIYSV -SEGEVADDVQQGVNVFIQKETLYYLRQEDNAYFLGGGGWRCAVRVTQQEHDCRHQWEYYSPTVANCRFCK -REVMQRWNAKCSKCSILTCGMCSEHYLGLNIPCQREEIRQVYNPRQLMMEQQSYIQSCELEIQRLKEEIQ -NVKKVAEEQVVAAKINEAVAVKEMQEQIQELKLENDRLTLENHDLKELLKNKEPEQLEVLICEGKERQYI -AAASLKERRNGLYNLMVEIDIPGSLPIMVNAILDTGATTCCINEKGLPKEILEDNTYEVKFVGANSEMTA -TKKLKAGNMKIGDHTFRIPYTFAFPLELGGGEQLILGCNFIRSMSGGVRIEGDNVTFYKNVTTIKTQQEV -SKVLSLEELEMNEDEYISIQQSVSAISPGIHSSFTTRFGDLIQKLKEAGYVGEEPMKFWQQNKVTCKLDI -INPELRIQDKPLKHVTPAMEETFRKHVQALLKLKVIRPSTSKHRTTAFIVHSGTTIDPKTGVEVKGKERL -VFNYKRLNDNTEKDQYSLPGINTILKRVGQSEIYSKFDLKSGFHQVAMDEGSIEWTAFWVPDGLFEWLVM -PFGLKNAPAVFQRKMDNCFRGMEDFVAVYIDDILVFSKTPEEHAVHLEKMLAVCQRNGLVLSPTKMKIGT -PTIEFLGATIGNRKIKLQEHIIKKIADFNDEELKTTKGLRSWLGILNYARNYIPNLGKILGPLYSKVSPS -GEKRMNGQDWELVRKVKQLVQKLPDLEIPPKDCCILIETDGCMDGWGGVCKWKAFTHDPRKDERICAYAS -GKFDPPKSTIDAEIHAVMNSLDKFKIHYLDKREILIRTDCQAIISFYNKSMENKPSRIRWIAFSDFISGL -GISVHFEHIEGRHNQLADALSRLVLSLVQVPDEWHHQQGLTSKIEDALEQVMSRPNQAAMTKLAELIYCS -ENIIKLQISSKEDTLRLQNKMKEDAYSPY - ->YP_009506270.1 polyprotein [Sugarcane bacilliform Guadeloupe A virus] -MAQRPRVTGNGSRTEAIAEAGTPLIDDQIREYRNTRRAAYEAQRMARQAGNIVGRIVGRQPREHTLSMVV -DPNSELSRSLAHRARTVPGEVLYMTQRDSPVNRVYRNRTGERMLVTNGQQDRSFIYPESFEELVAAGFEY -IHLGVLQVRLQIMHRTYAGTMALVVFRDTRWTQEGEEDRSIIAAMEADLSQGHQLIYVIPDIMMTIRDFY -QHVQISVLTKGYQGFQGEANLLITRSCRCRLTNVPNVGFAYNIQKVVEYLNSKGVKAIQAQKLSTKKFQG -TDWNIKPSNVVVPMQPTTMITRVNYDSSRSIRFGDYQASTSSAPPRYNDDGDSGDEIQTTVEHVNMLYIL -DEAEDEYPTLAAEEESFPLENMVGEEAIISQFLESLNLSNDDDEDSRSQHVMNLDEEEFPEMKEIERVLA -SVSESAISSFRPPEVEMGGIAPAYAPATSTTGWAGTTEFPFTTARRPRVWDSNNEFYMLPPAQSRQGAIF -IMPMDFDVKVFERWESITLLHMAERSFDNADDKMRYIENLLGEDEKKHFVEWRMKYTVEYETMKAQALGD -QGTQNIINQIRLIFFLENPQVGTTTSQDAAYKTLKSLVCTEMTDTAIYRYMNDYFHLSAKTGRAWASEEL -SKEFFTKLPRGLGDAVEKAFKEKHPSNTVGIAARITFTKRYLKELCEKVALQKSIGKMDFCRNTPVHGLY -GKEKSYRKYGARKSTSYKGKPHKSHVRIGKKKHLALRKKNCRCYACGEEGHFASECRNPRKIMDRVKVLD -SLELEDGLDVVSVGFDEDDVSDIYSIDEEADNYKFTNEEMEDFKNYEVYMMNLEEIEEPNDYLVGEPSDW -RSKMKVSRRQYFCKHEWSFEEAHVTTCKACGSEAVPKHRVDCKKCGMTVCLMCQPWYYKDINTEEVKKTR -VRIERVIDWKDIALKQHEVLKTSIAREKQLSEEVEFLRKQNKELKSKEPIVFEEDTEETAQLVQKLEDME -KENELMKILISQKEAEEIQYLNKITELSERIKRLEQQQKYREEEQVNALEEVSVNALRPRNNHLNIKCEV -EVKERKVTLNAILDTGATVCVADERMIPSSMREQAKNRIIIRGVNGVTEVNEVTSAGKLWVGKQWFYLPQ -TYIMPTLADGVHMIIGMNFIRTVGLRIENGEVTIYKIMTTVQAPPIVHELNYIEELELELQEYYNICSAE -TSKGEIAEEFISPNLINRMKRLGYIGEEPLKHWEKNQVECRIEVKNPDMIIEDEPLKHVTPAMKETMAKH -VKKLLELKVIRPSQSKHRTTAIIVQSGTEIDPVTGKEKRGKERLVFNYKRLNDNTEKDQYSLPGINTIIR -RIGNAKIYSKFDLKSGFHQVAMDPESIPWTAFWAIDGLYEWLVMPFGLKNAPAVFQRKMDSCFRGTEEFI -AIYIDDILVFSETVQQHKEHLKKFMEICERNGLVLSPTKMKIGTRQVDFLGATIGNSKIKLQPHIIQKII -DIKDEELKEVKGLRKWLGILNYARSYIPKLGKILGPLYSKTSPNGERRMNSQDWKIVKEVKEIVANLPDL -ELPPEKAIMIIETDGCMDGWGGVCKWKDNSSQPRWSEKICAYASGKFTPIKSTIDAEIQAVINSLDKFKI -YYLDKKELVIRTDSQAIVSFYKKSSDHKPSRVRWLAFTDYITGTGLEVKFEHIDGKDNVLADTLSRLVKI -IFHQEKHQSEEILINAVEKTLKKGDASTRQKINDLVKRYESWMNTGFNHHVNVLTIKEEPVFKCGCNKPA -RLKTSRTSRNPNRQFYSCETKSCFTWVWKDQIDTFV - ->YP_009506267.1 ORF3 protein [Dioscorea bacilliform AL virus] -MERPQTSTTLATTPSVFEDQVRDYRLGARRRYNMSQAAQKLAKTLTFGKYSQPTLEQQVDPEKTLQLSSM -QRASLVPAEVLYHSRPDTVNHKVYMHWSEESDLVVNRQYDSTFIRPESYTTLMKSGMQFIHIGLMQVRIQ -ILHRAEAGTLAMIVFRDCSWRGRRSMIAKMEVDLTKGSQMVYIAPNLIKRLTDFYNNIQISILTKGYDDY -QNSEANLLITKGLVGRLSNTSNVGFAYSISGITDYFVTHGVQAIKGQPVSTEEFQETLWNIKPSNLVVPT -QPTFAEERELADGSISIRFSRYKPVPQLPEVSYNANDEELGDDERHIIAYLSTPNLVVRLVNENAILPQR -MSHGAVGYDIAITEAAEILPGQQKLLPTGISLQVPNGFYAHLFIKSGVALRKGLILNAGVIDPDYTGELK -LLLLNPTGAPVHVQAGEFIAQAVLQAIITPPVQEAQALQMTLRGDRGFGEMSRTRLPPVESDDGSPSSPL -SEEVRILFEDDPFLEDPTIYTIDSFNHSFNDEEEMDYISYLYHLDDIPANDAPIYDDYPEEGEVDVFNLA -TLDSGFDLDYPTLQKLEATIASTAVSEYRPPEDTTMNPPIYPPARISGQASTSTGPTSTSYTSGPGPSSS -GYSQRTPSGVHFRARDYSNNWSLPSAQQNTGAMFYLPLELVKFDEVFSRWESITKNLVSQQSFITGKEKA -EFIENLLGETEKLTWIQWRTAFPTEYETLLASADGREGTQNILSHIRTIFTLEDPYRGSTKVQEQAYLDL -ERISCRDVKDLIPFLNEYMRLAAKTGRLFISTELSDKIWMKLPGDLGKRIKTKFEEQYAGNTIGVHPRIM -FIYKFLEEECKNAAFMRSMKNLQFCSKIPIPGYYGGAEKKYGVRKSKTYKGKPHQSHVRIEKRKHLTREK -RCKCFLCGKEGHYARECPNDRRDIKRVAIFEGLSIPDDFDIVSVHEGEEQSDAIYSVSEGENESIDQEVN -TFISYGEGGTGSTTHFSNQTLYYLKEEGTVTHYYLGGGGTKVSVKVTQKEHDCTHDWVLYGENNAHCRFC -RREVMQRWNAKCNKCDITTCGMCSDHYVGLRVPCKRPEVQQVYQPTNLLVEQRNYIMSCEEEIARLKNEI -EAMKISSENQLVAEKIARAVEEEHLKKEVQALKLENDRLTLENEDQRKELEKKNKEVLDLQSYIEEHDVN -VLICEGNDKQVAASIPVQDRRNGLYNLIVELEIPGCAPLKVNAILDTGATTCCINSEGLPKEMMEDNTFT -VKFTGANSVMKADKKLKYGMMKIEGHVFRIPYTFAFPLRLGGGEQLVIGCNFIRSMNGGVRIEGNDVTFY -KNITTVKTQTEVPKILALEELEMEEEEYLALQSKYSSICPVIQENFKARYEELIVQLKNAGYIGENPMKF -WAKNKVQCKLEIINPNLTIQDKPLKHVTPAMEATFKKHVDALLELKVIRPSTSQHRTTAFIVYSGTTVDP -ATGKEKKGKERMVFNYKRLNDNTEKDQYSLPGINTILKKVGNSKIYSKFDLKSGFHQVAMHPDSIQWTAF -CVPGGLYEWLVMPFGLKNAPSVFQRKMDNCFRGTENFIAVYIDDILVFSRTPEEHAEHLLKMLSICKEHG -LVLSPTKMKIGTPTVEFLGATIGNSKIKLQEHIIKKIADFKEEELKTTKGLRSWLGILNYARSYIPNLGK -TLGPLYSKISPTGERRMNDQDWDLIRKIKRQIHNLPDLEIPPEDAFIILEVDGCMEGWGGVCKWRPKKND -PKSTEKICAYASGKFDIPKSTIDAEIHAVMNCMDKFKIHYLDKKELLIRTDCHAIMAFYNKSSCNKPSRV -RWIAFNDFLTGLGIPVTIEHIDGKNNVLADALSRLVCSIIKNPVYWQSKEELLKQTEEALEQIMKKPHQG -AIARIATLVKKMLEDVPTFPPRDA - ->YP_009506264.1 polyprotein [Canna yellow mottle virus] -MANRGRVTGRSGSTTTTEPGQPLVEDQIRDYRRAQRARYEAQRVARSLGNIGRTIVGRQPREHTLALLMD -PDVELQRSMSERARTVPAEVLYMTRRDDVHHRVYHHRSEERMLVLANDQQDRTFIVRESYEALERAGFEY -VHLEVMQVRFQILHRRFAGTLAFIVFRDTRWHEDDTSIIAAMEVDLAEGNQLVYVIPDIMMTIKDFYRHI -QISIRTKGYDSWQGGEANILITRSITARLSNTPNVGFAYRIEQVAEYLRSKGVKAINATKKSARQFQGGE -WLLRPSQVVVPMQPSSLTTSTRYDGTLSIRFGDYEASSSSKPPVYNEHDDEIDDEHLVAFLDVEEEEEDP -WLALERELGYDADYEVADTTSEALVGDEEVIQTFLSQVQDLTSPSNSDSETESLAESVRSLNIAALEYPD -AKAINEVIGMSSVTSDYRPPALDYRPADVDMTGPSGYAPSTSASGYKEGERSFGFRGGFRWKNPSENFQL -PSAQQQTGAIFIMPPNFDPKVFERWESVVLNFLADKNFPTAEDKLIYIENMLGEMEKITFQTWRMAYEAE -YTAMKGQALGNNGTQNILSQIRRIFYLEDPKSGTTLTQDAAYKAIKSLVCNDFNGEAVKRYMLSYFDLAA -RSGRMWTSQELSEEFFTKLPDGLRDRAAEAFKKKFPGNTIGVPARITFLQNYLEEACKEDAYQRSIKNLN -FCKEFPIPGYYHKKPTKKYGLRKTTTYRGKPHKTHVRIDKSKHLKNRKCKCYACGEEGHFARDCRNPRKI -MDRVNVLEDLELKDGMEVVSVGENEDDLSDVYSLSEGETGGIEEIYALEDEMEPEQLLIGKPNTWRTQIR -VSRKEFHCLHEWDFTKTEQADCRACGLKARSGGRMDCGKCGIIICCLCSQYCYDVTIPREQNQVAYASPN -WREIALRQQEIIRDLEAEKRALIEEVNMSRDEIKQYKAKGLTEIIEETPEETAKEIERLEAEKALLAELL -EKEQAKNKMKIGELEAEKALLAELLEREQAKCEALEEAQQTTENALFLEEEESKVFSYERLRAGPRYNGL -YNLKIALEINGVITRLNAILDTGATICVVRSSRIPANCLEEASMNYTIKGVNSITKTNQVLKGGKIWIGE -QYYRIPRTMALEVALSEGIDMIIGCNFIRSLEGGVRIEGDKITFYKLTTHIDTSKEAFTVASIEELDLNE -DEYYDIALMDEEKGYINREIVDSQLFRNLKEAGYIGEEPLKHWSQNQIKCRLEIKNPDMIIEDRPLKHVT -PKLKEDMQKHIDQLLKLKVIRPSASKHRTTAMLVESGTEVDPKTGLEKKGKQRLVFNYKRLNDNTEKDQY -SLPGINTIIQRIGRSKIYSKFDLKSGFHQVAMEAESIPWTAFWAIDGLYEWLVMPFGLKNAPAVFQRKMD -GCFKGTEEFIAVYIDDILVFSETPQQHVQHLRKFLEIVKKNGLVLSPTKMKIGVSQIDFLGATIGQSRIK -LQPHIIKKVTEFQEEKLNNTKGLRQFLGILNYARNYIPNLGKTLGPLYSKISPKGEKRMNKQDWALVQQI -KKQVANLPEMELPPRDAVMILETDGCMDGWGGICKWKMPGATKASEKVCAYASGRFPAVKSTIDAEIQAV -INSLDKFKIYYLDKKALTIRTDCQAIVSFYAKIAQNKPSRVRWLTFSDFITGLGVVVTFEHIDGKDNVLA -DTLSRLVVMFLQEDKYDDLIQKALKHIKVEEEAYMLAWPCPKPNLLCSQDSLDIANDD - ->YP_009506251.1 polyprotein [Cacao swollen shoot CD virus] -MSQTRTHQMPSVTTTVNEQGEGPLFEDQVRNYRRNQRRLFNLRKKARRAQKALTGSRSRHQETLEQEIDP -QVTLRLSMQERARLVPAEVLYRSRRDTVHHRVYTHRSEESILCVGGNQVDRTFIQPSSLERLQRTGMSFI -HIGILQVRIQILHRQEEGTMALVVFRDNRWAGDQAIFAQMEVDLTKGSQLVFVVPDTMMTIGDFARNVQL -SILTRGYDNWQNGEANLLVTRSMTGRLSNTPNVAFACQIASATDYLASHGVKAIAGKKVNLQQLRNQQWV -LRPPQADVLPMQPRSVETRNLIDGSISIRFHDYEVAPSTSGPHYNENDEEVESESEAEIREHTVAVWIIG -EEEYPDDNGRIKVWEESSNGNGRFFRYYTPPSVSNEPIIATGWDDDEDDDYDYDPRWDTNLESEGEEPDE -PLRSEEDEEEEVQDEPVWHQEEEEEEEEEEEEEEEEEDEYDPNTYMAYLQKEEDDWTEIIASLNPEMEYP -QRRTEVAETVFSEIVDYTPPGDTLMTPVGYPPASSSRSTVTTPSRPPLYEGRIPSASRVLRRDDYTEWWQ -LPSSQGTTGALFVMPKQIGLFHDVFSRWESITKNYVSAQGITDPTEKMEFIENLLGETEKLTWIQWRMRY -EAEYQQLLTLAEGRQGTQNILSQIKRVFSLEDPASGSTRIQDSAYRDLERLTCHNIKDIVQFLNDYGRLA -AKSGRLFIGTELSEKLWMKMPPELGHRMREAFQKEYEGNEVGVFPRILFAYRYLEQECKDAAFKRSLKSL -SFCKDMPLTGYYDKSSRYGMRRSKTYKGKPHASHARIEKRKHLVRNKRCKCYLRGDEGHFARECPNNKRD -VKRVAIFEGINLPDDYEIVSVEEGEEDSDAIYSLSENEEELQDEVLHEKVFMIREGDQSYWMGKANHWTA -MVRVSYQQYHCPHQWEHNKEIPIMALINCHFCKQPTNLRGRVHCPTCQLTSCYMCAPIYCDVQVQQQPKT -PIPFSPNALLQQQAAYIRWLESENKRLNELVEFYKRLLDGGSRLQQELEADQKELEELEADRKELEAHDK -GKRVQFTEEEKVQLTEELEEIHMAYLEEDTITRVVGHTTEGPSEAKKVVKKSNMLYNLEVILIIPEVGKP -LKVKAILDTGATTCCININSVPKMAIEQNTFLVQFRGINSTQSVDKKLRYGRMTISGHQFRFPYCYAFPL -SLGDGIEMILGCNFIRGMYGGLRIEGSTITFYKNVTTIQTKLAAGMVGGTTSFYPGEGGGSHFEFKASEE -SDDDAEIDFEIHQQIISHAVAQATTPKLSPKLKHLMGQLKDQGFIGENPMKHWASNKIHCKLDIKNPDLI -IEDKPIKHLTPAMEKQFAKHIKALLDIGVIRPSKSRHRTTAFIVESGTTIDPKTKKTIHGKERMVFNYKR -LNDNTEKDQYSLPGIHTILKRVGNKKIFSKFDLKSGFHQVAMAEESIPWTAFWVPQGLYEWLAMPFGLKN -APAIFQRKMDVCFKGTEDFIAVYIDDILVFSETMEEHENHISRMLEICKRHGLVLSPNKMSIAQEEIEFL -GTIISKGRMKLQAHVIKKIVSKAQMELSTTKGLRSFLGLLNYARIYIPNLGRKLSPLYAKVSPTGEKKLN -KQDWNLINEIKQMVQKLPDLDIPPIESCIVIESDGCMEGWGGICKWKKAKEDSRTTGRICAYASGKFGVI -KSTIDAEIYALIKALDAFKIFYLDKGHLIVRTDCQAIVTFYNKTNTHKPSRIRWITFLDYITGLGVSVTI -EHIDGKDNHLADTLSRLVWSQFPIEPPEEEPLKSQQLNYWEQVTHTAWPMMASCSNEGIPLHMAPSPWQL -NMPSPDSSIRSRKKLPRKRSTHYETYKGSYITSATTCQALQQGTTGRLTDSH - ->YP_009408594.1 P3b [Jujube mosaic-associated virus] -MVVCHSHSDGYTSTADRPLPPVDSHDLPEIPDDQDSEQFLAILTFTGDPQYLLPDPVYDTSSDSDSDSDT -ESTTSDGPLPDLGPPDHVWATDPRPSYAVWEENRSGNCPKAFWRSYQPPASYLDPVPDPSTASWGPDFDE -PLVPPEGGGEHCVAATRAENEILDDNDALFSEHDLFGHDLSYDPDQDLFLEHDLFTPPPDWDAWLDTDLS -ETAESQGPNPMMIQVTSINDHEIEEAYHLPTIPDDESTGTEVLDINTDQTIAMLHDDDDWSNYPKFRPIE -LIAAETALASSSTTIAMSPYNPPEDTMMGPPQYPPATARPNMPNPWAVPDYATRNAGRARFQGGIPGEQW -QLPSAQQIAGAMLVLPDDIGMYNDVISRWESITTNLVDSKVWGDVTTKINFIENLLGEIEKKLFVQWRMM -YPDQYQRLIAIGDDTQNILSQIRRIITLEDPATGSTAERDQAYLDLERLSCEHMKDLIPYMNRYKVLSAK -TGRLFINSELSDKFFRKMPTLIGKHLEQKYNAAFPGNTIGVFPRIHFAYQELAEMCKQAQLQRGLKDLAF -CSQIPLPGYYGPRKKYGLRKAKNYSGKPHKSHVRVIKKKYQHDRGRVKKCKCFICGKEGHFARECRNNVG -NKERVAMLNELDLPEDYDIVSVDLNEPDSDAICSVSEGETSTEQQCQAATLEFPGIEGLFMLGAPEGSRV -QIKVSDEILNCVHQWQMNEDVDVLYIRCLFCKYNTKKRMRIHCTQCKVTACPMCSDYYLQVHITPGPPVQ -KEFDEKPVLRELLQYVTWLQTENDRLKAELRAATERRPREDVGDVEIDAELLKDLEDLEIHDKGRESIQY -LGEYVVCRASTDQKEYHPKRMINRLFNMKVTFHIPTMDPFTVSAILDTGATACCIDKGSVPEDALEENSY -TVYFNGVNSRQAANWKLKGGQMQIGENKFKIPFTYSFNMNLGDGIQMIIGCNFVRAMQGGIRIEGNQVTF -YKQLTTIETTMSAGPCIQEEEDLDAAVMTELSLFSAVPSNQKFLQQYRGTLDRLKEQGYIGDNPLQHWEK -NQLKCHIDIINPDITIQDPPLKHVTPALKETFQKHIDALLKLGVIRESSSRHRTMAMIVKSGTTVDPITG -QEQKGKERMVFNYRTLNDNTYKDQYSLPGINTILKKVGNSKIYSKFDLKSGFHQIAMEKESIPWTAFIVP -QGLYEWLVMPFGLKNAPALFQRKMDNCFKGTEDFIAVYIDDVLVFSNNEQDHHRHLHVMLNICKQHGLIL -SPSKMMIAVPEVYFLGAVLGKQKLKLQPHIIQKIANFKDADLQEKKGLRSWLGILNYARSYIPNLGSKLG -PLYEKTSPHGDKRFKPSDWALVKELKAQIQNLPDLEIAPASAYIVLETDGSMTGWGGVCKWKKAKGDPRS -TERVCAYANGKFPTVKSSIDAEIFACMETLDALKIHYLDKEEITLRTDCQAIISFYNKSATNKPSRVRWL -NFADFITGTGVKIVFEHIDGRQNVLADSLSRLITYMSTEWQTLEEADQAAQAQLSIQTNLLLYCGLQPII -ETGLKTSLRGPLRQINMTRSPNGLEQLTRSPKMLSWQHWKKCPKYWRPRKTIFKCRQ - ->YP_009408593.1 P3a [Jujube mosaic-associated virus] -MSRSSRFGSTTVTERPPAVLPRTTEGTNRRTANFEDQIRGYRTNQRRLYNLQRRLSANNRRYRRTIETQL -NPEEQLQLSRSRRTELVPAEILYSTGMNPTQHRVYQHYSEEDILCVGDQQVDLPLVSEQSHHRLLQEGYS -HIHIGLVMIRVYAQHRRDAGVNSLVTLRDTRWGGDRQIIATMEVDLTTGTQMAYVVPDIMMTINDFANWV -EISVQTHGYDDWRGGESNLLISRMVIGRLTNTSNTNFEYNVQNVADYLASNGVLALPGTKYSTEELQGR - ->YP_009352866.1 ORF3 [Wisteria badnavirus 1] -MSRTETVTIPVVEDQIRDYRRMQRARHEAQRRLPPILGGRRRDRQGPQRIEEEINPNRELSASSRRRASM -VPAEVLYNSGDTNVRNRVYEHYSEQRGLIVNSQEDFRYIQESSYNTLRNSGYEHIHIGMMMVRVLTLHRT -HAGVKALVTFRDTRWQGELSILGQMELDLSEGSQPVFTAPNLMCSIHDFFHHIQVAVNTMEYDTWIAGES -NLLITRGLVARITNSSVSGFRFGIEGVLNYFNSKGVMAIKARPRSTLAQRGIAWELRPTSIPRPIQAPTS -AVVRETSRGNLSTRFFGYEDVPAKEPFKSPFDKTEYEEDGETSQPRRFTRVNEETKDNYALVIIATESPD -FPEEGLAEGDYYTGQVLSDNWEDDYLEDWLEESQPQINISFSEEEDIISTFLGNDEKESCYQSSFFGDRV -EEEDSLNPKLETDEEWEVETIRTETTEVSQTVLPIYQEAQTLKNEAVQGLAEEMSYKNLHKMQEKLAKNM -ALSGVESSGSGAGVYNPYDMREDTSNRPPGFAAAQGLRQNIPEVSNFVGGARPPPKSKVPYGAPANWNLP -SAQQSQGVMLVLPYDIGMYAEVINRWESINVNVVNSMNWDSNQDKVDFIENLLGETEKQIVVSWRMQFEK -DYKRLVEMAGDIRNVTSAIRVIFLTEDPAQGSTVEQDRAYADLERLPTPEMKNIFQFLNQYKKLAAASGK -MWITSELSEKLFRKLPPIIGPAVETAFKLRYPGLDIGVPTRINFIYHYLMEVCKQAALQRSMEDLSFCSQ -IPIPGDYNREGKKYGLRKAGTYKGKPHNTHVRAFKKKDQQKQRKCACFICGEPDHFARECPRSGKGNINR -VHYYDNLNIPQDWDIMSVDPDEPMSDAICSMSEGEAGFSATAFQFDDDLPYGEGAGINLGFVMIQGPIPN -ANENSWMVRYPLEGSQQSCDHQWEEGAAIPQGEPKNCGFCRLETRITSRISCPQCQLKACLLCAKRRLGI -EVKKEEEVTWKFQNKDELINNLYLHNAFLIKENSLLKEQLQLAQRRVANNAMLTGADLQAFMEDYRNLTV -ETSQRIAEGTRGPLGQAARNWRKEADVEWTEEEEDEDEGEQVGGVVFPEGINSEECYSTSVKKASKKLYN -VRVTFEIPGVEKFTAMAIIDTGATCCCIDQRVVPEGATEEAAVPSVFHGINSQSLAKKRLKAGKIFLGDN -YFKIPYIYCFQMQVKGIDMLIGCNFIKSMGGGLRIEGTTVTFYKNVTTVQTQAEDLGAPNAGDQDGLPQQ -QVAELLTAEAVLDHQEAYFAQPEKPIDQKFKHVLGPILADLKAQGFIGEDPVKHWKKNQVICKLEIINPD -ITIQAQPLKHVTAEMEKSFKTQVDGLLKLRVIRPSKSRHRTLALLVKSGTSIDPLTGKEVKGKERMVYDY -RQLNNNTHKDQYSLPGINTIIQKVGRAKVYSKFDLKSGFHQVAMDEDSIPWTAFLVPGGLYEWLVMPFGL -RNAPAIFQRKMDEVFGDLKDFIAVYIDDILVFSETHEQHAQHIKRMLLRCKKHGLVLSPSKMKIAQKEIE -FLGATLTGGQIKLQDHIVKKIAAVDDKSLQTTKGLRSWLGIINYTRAYIPNCGTLLGPLYSKVGLHGDKR -WKASDWQLVKQIKRLVQILPTLEIPPKDCHIIIETDGCMEGWGGICKWCPIRKKAKKEERICAYVSGKFL -TVKSTIDAEIFAVMESLSALKIYFLDKEEITVRTDCQAIISFYNKQAQNKPSRVRWLAFCDYINGNGLCV -KFEHIKGEENFLADHLSRITALLVTGPWPTEEEAEELGTFLAVADDTSISSTLTRETKESLIQLSGTVME -TWCSQRLQLNSTAQNSQGQQQKKVYPSKQQLNIGQGCPPLRTRNTRNSLPKLQQLTPSSVKSWKPPKAVQ -VAGIITTEICSQPWKKGKG - ->YP_009345075.1 polyprotein [Cacao yellow vein banding virus] -MSSQTRTTTQAPVRDAPATSVANAEDQIRDYRRARRTMWNASRQLTGRRNNLRTLEQEIDPEAQLRLSTQ -QRAGIVPAEVLYRNGWSERAHRVYRHYSEERIMLTNPNQQRELRVITDQSYQKLREEGKQHVHCGLVMIR -VHALHRKQAGVMVLVVIRDSRWGSDPRGILGQMEIDLSTGTELVFFVPDQMMTIKDFYDHFQLVVKVKGY -DSWIGGEANLLITKVFVGRLSNTSNTAFRYAVDDLGEYLATKGIRAVPGQRYDASQYEAERWQLEPPAGA -TVRVPSRAHVWTDNQGSTSIRFTGYNTQAAPAPEYNSRDEEILEEEESEIETARMVLFVNATNKGTEIRR -IERPTVPAVYPANPXVHKQFEENMEERQSQGERWGTLGDDDSFLVKFSAPPTIPIEDIVPSGWDDKEEES -QEQYDSEKEELAMATRETEIAIEEFSLEQVSTSSEEEEEEPDWENPFAVLAADDNDMDYPELRALQEIVR -STGDSSSSIVTNLQPPMDSAMGPPVFPPAREGSRPTYLPGNLGFGDGLAXPKRYPTARLPTQNFWELPSA -QINRGALLILPNDIGKYDDVITRWSTINSNLLSQTDFSDNATKVQFVENLLGEDEKRLFQQWRSNFPEEY -DTLVEHADDNINMFSQIRRMFTLEDPYSGSTMAQERAYYDLERLTCSNINNVIQFMNEYKKLAAASGRAF -ISTELSEKFFRKLPPLYGKKIEAAFNEKHPGNNTGVMPRIHFTYHWLAELCKSAAMQRGIKSLDFCKDIP -IPGVYPREKKYSLRKAKNYKGKPHDTHVRVFKRKKPPVKCKCYICGREGHFARDCNNNNGNIARAAVIEN -LELPPDWDVVSVDINDPDSDAICSVSDGEPEGQEEVLNGISPDPESIFMLREELEHKPPSWFYKMPIPKE -YQECLHAWEANAPIVQGRNFNCLYCKNLTKQTRRWHCPKCQFTTCAYCAYLQLGKKMPPAPTKEPAVRSK -VQSSAVHAELSRLRNERDHLERIVDSFLDQLPSELQRSVVEPSQNQKLQQQEEPSSQKGVCIQEPPPQKP -AITFTRNEGSTVTLPTKKTRRRAGWDFYSPGDIALPIGAILTIQTQLKVQLPSGTYMQLAAKSSLAKLGV -QVLGGVIDEDYKEEIFITLYNASKEDLVFPAQSQIAQGIVIPLAAQDTAMVIKEESARAVNNQLYNFEVE -IMIPGVKPFTAKAILDTGATKCCIDPKVVPKEAITGTLYPVTVYGVNSETIVNEKLKDGQMRIAGNSFRI -PFTYCFPLGAHDNVDMLIGCNFIKANYGGMRIEGSKITFYKNVGSIETSPVVSSAHFAIEELEMDELDYL -KIHESVFYNVGQKLGNHGPEIQKALQQMEELNFIGPNPQIHWAKNRVVCKIDIINPDLTIEDRPLKHITP -EMKAQFSRHTEALLKLGVIRKSSSRHRTNAMIVRSGTSVDPKTGEFRHGKERMVFDYRKLNQNTHKDQYS -LPGINTIIKLVGNSKIYSKFDLKSGFHQVAMEESSIPWTAFWVPDGLYEWLVMPFGLKNAPAIFQRKMDN -CFKGTEEFIAVYIDDILVFSQTEEEHVRHLQRFFQICQKHGLVLSKDKMAIAVPQIEFLGAIIGNRKIKL -QPHIIRKIANFPDDQLKEKKGLRSWLGILNYARNYIPKLGQLLGPLYNKTSPMADKRMTASDWNLVKKIK -EKVQALPDMELPPKDAFVIIETDGCTEGWGAVAKWRPFKGASRGTEKAFAYASGRFPALQSTIDAEISAL -INGLESFKIHYLDKGEIMVRTDCHAIVSFYNKLHANKPSRLRWAKLLDYLTGTGVQITLEHIDGRDNILA -DQLSRLSSYISKEGKLVTSQQQQALKVLDQVYQAKDHQRWKDPALIACLHQLFPXICLKTTSGICNKPAT -YHRTCNSTASMKKEQXTACRTNSXRYQNSCRNSEPWSTDHWPTIPASRQKTTMLGTTKKHSNMHRVRF - ->YP_009345071.1 polyprotein [Cacao mild mosaic virus] -MTSRTETVTQPQTGINFEDQIRGYRTGQRRRYNWARQARRLRNRIRRSPRQPETLETQIDPQAELSMSLQ -RRASIVPAEVLYHSRQDDINHRVYQHWSEEAVNCIDGQQIDRTLVTMESYSTLNRAGFRYIHIGVIQVRL -QILHRREMGTLAYVVFRDNRLLGDQAILAQMEVSLADGGHQMIYVVPDIMMTIGDFYRNIQISIQTRGYG -NWRNAEANLLVTRGLVGRLSNTSNVGFEYSISNVTDYLTSHGVRAIPGKKVSESEHLGRSWILREPEVSI -IQQPTIADTRNLPNGSVSVRFGGYEAAPETSRVRFDENDNEIMDDDESQIAEEVHVMIINEDDEEWEELN -RQMMADFAEEEQKHTEPIIAEEDIYLLPGMEDLLNISTDADLSNGNNLTSTVNGFIIVSVYHNGENMKIL -KVRNDTKEIKLISKGDIIGQGEVQQEEVHFTEEEARAELRKLIAGEEVHMEAESSINSGSYRLPTDATMG -PPIYPPARQRFDEPSTSTVPMYPPQYRAPLRAKVPDFQNWWNLPTAQINGGAMFIIPSDFSKFDDVFMRW -ESITKNVVALQNFSDNKDKAEFIENLLGETEKLAWMQWRSIYETEYQALIGTMEGRSGTQNMLSQIRRIF -TLEDPYQGSTMSQDQAYKDLERLTCTDVKHILEYLRQFMYLAAKTGRLFTSSELSSKLFVKMPGDLGKRI -EDSFKAKYPGNTVGVFPRIVFSFKYLENQCKEASFQRSLKSLEFCKQMPIPGYYGTDRKRLGIRKATHYK -GKPHSTHVKIEKHKHLRTKKCKCYLCGEEGHFARECKNDNRNIHRQTMYQSLELPKEFELVSAEENDSGD -EIYSMSEGEDPTTMFMNLTPLAQIEDCLTLDWEYKDVYEYRHFGTCTSDIQQPQPWKQQTKVYEEGGNGH -DRAFYRYYGPPKGRENWPETPIPTGWGSDFDHDPDKEIDDIPDDEEIYMMQDDQFDEHWIGKRGGWQPLI -KLSDQEFNCVHHWQHNIQVPDCPEHCRCCNKETSDRCRIQCGYCKITACSLCAFSYFDIKVTQRKEFVSP -YYATPSLLKENQEYISWCQAELNKTGEAMTHIKKENAAQSEVITAQEHVINKLKEEKLDRQKIVEELKQE -LQQEKEKFLKEIEEQKELFMRQIAEEKAKFLSLKQKQEKEEECLFIKEEPEEEEQRVEETLAISGKLIII -EGRIEIEGVKPFTVKALLDTGASCCNIKKEFVPVDAVEQCNYPVLIHGVNSTLEVNQKLKQGKLIIGSQE -FRLPYTYVLPMNKNLVTDMQMIIGCNFIRSLHGGVRIEGNEITFYKYLTKLESINLIEEDNFSKNFSEYM -LLNDTGTDELQNEQENLRIKKAVAHKLLQLSDMGYIGEEPLKHWKKNQVECKLEIINPQLKIEDKPLKHI -TPQMQESFNKQVESLLKLGVIRPSKSQHRTTAFLVKSGTTVDPKTGKETKGKERMVFNYQRLNDNTEKDQ -YPLPGINTILQRIGKTKIYSKFDLKSGFHQVAMAEESIPWTAFAIPGKGLFEWMVMPFGLKNAPSVFQRK -MDLCFTGLEKFVAVYIDDVLIFSNSEQEHLEHLDRFFERVQQHGLVLSPTKMKIGVRKVDFLGTVISNGL -LHMQEHILKKIAAFGPEQYKSKKDLRSWLGLINYARNHIPNVGRMLGPIYAKTSPQGEPKMNAQDWKIIQ -DIQAQIHQLPTLEIPDKDAVIIIETDGCMTGWGAICKWKKKEKDPKSTEKICAYASGPYNPLKSTIDAEI -SAVLHALETFKIFYLSQPTIIIRTDCQAIISFYNKSFNHKPSRLRWIRLSDWLTGTGVAYKFEHIKGELN -NLADHLSRNPEIALTKLVSTLISEWQDLPEPIYHLLSETLSMGEETQALMTSYLNTRSRSKTISKHAPNQ -GQWTNSSNLGPKSRTRSTNCTPKCVKNSQKYTQSLNSTGTQLDPRQQGIMLGETISPTSKEKPKPPTITS -VS - ->YP_009259698.1 polyprotein [Canna yellow mottle associated virus] -MATRGRVLGTGGSSTNTEPGQPLIEDQIRDYRRAQRARYEAARIARNIGNVARTIVGRQPREHTLSLLMD -PEVELQRSMSERARTVPAEVLYMTRRDDVHHRVYHHRSEERMLALTNDQQDRTFIQPESYEALARAGFEF -IHLGVMQVRFQILHRRYAGTLAFLVFRDTRWGEDDRSIIAAMEIDLAEGNQLVYIIPDIMMTIKDFWRHI -QISIRTRGYETWEGGEANILITRSITSRLSNTPNVGFAYKIEQVAEYLRSKGVKAINATKHSGKQLHGQE -WMLRPSKVIAPMQPSSMNTATRYDGQISIKFGDYEAASTSKAPTYNRHDDEIDEEEIIAFLSDEEEEEDP -WAELTAEFEKFCPQNSSRKVVGEKEIENDEEEIIDTFLKACASNEPESSDDERLSLKSYNIAVMEYPELK -PEINDILVNSSVTSDYRPPEVDMTGPTGYAPATSRIGYHTGEISERIGGGFRWKNPSENFQLPSAQQQSG -AMFVMPSNFDPKVFERWESVVLNHLADKSFVTAEDKLIYMENLLGEMEKITFQTWRMQYTAEYDTMKGQA -LGNNGTQNVLNQIRRIFYLEDPKSGTTVTQDAAYKAIKSLVCNEMSGEAIRRYMVSYLDLAARTGRMWVS -SELSDEFFTKLPSGLGDKVGKAFKEKYPGNTVGVPVRITFTQNYLEEICREAAYQRSLKNLNFCKEFPIP -GYYKKPNKKYGRRRSTTYKGKPHKTHIRIDRTKNLRKRKCKCYACGEEGHFAKDCTNPRKIVDRVHILED -LELKDGVDVLSVGEDEDELSDIYSITSGEGEPDEELNSLVFALEAEPTEQLLVGDAVKSPWRTQMRVTRR -EYYCIHKWEFETTEPRTCRACKLEARKGERMECSICDMVVCCLCSNYCYGIHIPRNRVHAEYKSPDWKHI -ALAQHEIINYEKTRAGPRYNGLYNIKVKLEVDGREISLNAILDTGATICVVRMEKLTEDWLEDAAMDYTI -RGVNSVTKANKVLKKGKLWIGEQFFRIPRTMAVDMTLSAGIDMILGCNFIRSMEGGLRIEGENITFYKLT -THIEASKTAHEVASIEELDLNEDEYYDIALTEDIKGYVNREIVDTQLFKELKENGYMGEEPLKHWKKNQI -KCKLEIKNPDLIIEDRPLKHVTPKMKEDMAKHVNQLLKLGVIRPSNSKHRTTAMLVESGTEVDPKTGEEK -RGKQRLVFNYKRLNDNTEKDQYSLPGINTIIQRIGRSRVYSKFDLKSGFHQVAMEEESIPWTAFWAIDGL -YEWLVMPFGLKNAPACFQRKMDNCFRGKEHFIAVYIDDILIFSENKEQHVQHLKEFLRIVKKEGLVLSPT -KMKIGVPKVDFLGATIGESRIKLQPHIIKKVVNFKNEDLKETKGLRSFLGILNYARNYIPNLGKTLGPLY -SKTSPNGERRMNAQDWALINKIKQQVQNLPDMELPPDNAVITLETDGCMDGWGGVCKWKMPGEPKSAEKI -CAYASGKFPAIKSTIDAEIQAVINSLDKFKIYYLDKKEILIRTDCQAIVAFYAKTSQNKPSRVRWLTFSD -YITGLGMIVKFEHIDGKDNTIADTLSRMVVMFLKEDSFKKEIPKVLACLKYEEDVNVLTRRPVLKCSCNK -PVKHWTSRTSRNPGRVFVACAEQKCHAWWWDDLIENYLEEIWSMEDEEVGKTPVTMSPGRIEDIFDLADV -SNDD - ->YP_009229919.1 polyprotein [Blackberry virus F] -MSRTRTETRAPIRDGEGTSGNTPTFEDQIRSYRNIQRVKHQAGRKLKQLRGQHSRSLESRIDPDAQLQIS -RRRRSDMVPAEVLYEAQRDNPIHRVYQHYSERRIRVTEEGEQEDLRFITEPSYGTLRREGFQHIHLGLMM -VRVHGLHARHAGTQVLVVLRDTRWPDERQVIGTMEVDMSTGTQLVYVVPDIMMSIEDFYEHIQLAIQTRG -YEGWQGESNLIVTTALVGRLTNTSYASFRYNVQNVAEHLATNGIQAIPGQPRTIDQLKGERWELKTTKVA -RSQAPREVRLQQRSNGNISFRFTNYAGVPRQQPISVNQHDEEQFPEDEREFVGAILEEVEQPQENKPWWH -DYDPDLPKHWGKQCDGCLMNPIIGTRWKYTEDDYDLCASCMIKYKAQQGSYAATYFIPIHGGNTLGQPSG -KYDYQVKYTVPEDWKPAHKSQIIATGWDTESSLDDDDHPDDSQPRWDTSPEEDNEEAESSDSFTEGGGSD -NKSDNTPQKSQQEEDERVEVETASESESEKEGESQAGYMANEEEELELEYPTLQKLKEKVEHMAKSSASS -PYRPPQEPYMGTYIYPPASGITEASTSTASTMRLPRLRHQGRDPTVNWWSLPPAQNQQGAMLILPQDIGL -YTDVVSRWESVTLNVIDDPMKSWSSNRQKISFIENLLGEDEKKIWQQWKAAYPEDYNSLEAIAEETQNVL -SQIRKVFLLEVPYQGDLAEQNQAYIDLERLTCESTRDIWRYLNDFKTLAAKSGRIYFPETSEKLFRKMPP -LIGEEVEKAWNEKYPGAAAAVIPRIYFTYQYLSKMCKQAALQREIKDLSFCKEVHIPGYYKTGKRYGLRK -AKTYKGKPHDTHVRVFKKKHADKVRKCKCFICGEEGHFARECKRGKGNIARAAVLKDLDIPDDYDVVSVD -VGDPDSDGICSFSEGEIKNYATKAVLEDDFLDELAFVVTEKKEIDYGWRYKVVLTKDQERCRHTLEANCE -VEAGKEKCSYCQYPTFKRMRCHCKGCGITACPMCSKFYLEYLVTPEPPAPKQYSSKDRLIQELMSYNVYL -LSENERLQEIINKKLIEDLEKGRMEAERFDQAKERRKGVVIRTPSGSVHEASSDEETAMAITEETSLSST -TEAPSHGRKVINRLYNMVVNINIPGVKPFSVRAILDTGATTCCVDKGAVPAEALEKSPYAVHFSGINSRQ -LAEYKFKQGQMEIGENRFRIPFTYSFPMGMKDGIEMLLGCNFIRSMYGGLRIEGNLLTFYKNVTTVTTVQ -NTEASKLAVEELGLEAEEYYSIREMVYYSLGHTSPKFQERFKPLLERLKEQGYVGEEPMRHWSKNQVKCK -LEIINPDITIQDKPMKHVTPAMKDQFQKHTQALLKLGVIRPSKSRHRTMAMIVYSGTSVDEKTGKEVKGK -ERMVFNYKTLNDNTFKDQYSLPGINTILQRIGKSKIYSKFDLKSGFHQVAMDEESIPWTAFITPEGLYEW -LVMPFGLKNAPAIFQRKMDNCFRGTEEFIAVYIDDILVFSETEEQHAKHLQIMLEICEKNGLVLSANKMK -IAVKEVEFLGAVICDRKIKLQPHIIKKITSVPEDSLKEKKGLRSWLGILNYARTYIPKLGTLLGPLYEKT -SPHGDKRMKPSDWELVKKIKAQVQNLPDMEIPPEKAHIVIETDGSMEGWGAVCKWKPNQSDPKSTERPCA -YASGKFPAVKSTIDAEIFAVMEGLKNFKIYYLDRGAVTIRTDCQAIISFYNKSAQNKPSRVRWLGFLDFI -TGSGVDVTFEHVEGKNNMLADALSRLTSNLCYAECPENQKEELATLAEKAWNELSDGTKAGYNTAKLTME -LAHLMMTWMDELSMSSRESTLAYHCIQGPSHKSSSTESSLASSMKTNWNEPRTAWPHCYQWPISIWSEFN -EKRPRTTGPQIVSPRRRKRSKGWSRPTRRSQGTSPSSEEKDVRDDVKYPKTSGMTKNI - ->YP_009113237.2 polyprotein [Mulberry badnavirus 1] -MSLRFEESIQSLYNTERLGLIQLLDLSQEPKVLNKDLSNNIDFAIKHIVLQSRVLLKHFHSIATRQEALE -KMSRYGSRSRTMVQQPAVTERVTEGSDGRIPLAEDQIRSYRRNARRRYNVQNAARRITARLSNRPYTRTL -EQEVDPEATLRLSMQERARLVPAEVLYRSRSDDVNHRVYIHRDEEAVLCTGDAQVDRALIQPQSAERLLQ -SGYSFIHIGIIQARIQILHRSQEGTMALVLFRDTRWTGDRSIFATMEVDLTEGSQLTYAIPNTMMTIRDF -CNHVEISVLTRGYEEWNGEANLLITRGLVGRLTNTSNAGFAYSIQNVTDYLTSHGVKALPGRPRSTEDIR -GLNWIVRPPAPRIQPMQPQHLETHDLLGGGISMRFTNYEAAPREPERRFNSQDEELASDEEEVETILVLI -AEEPEKEVWDTLGEPSGKFDYFVKYSAPEWAKNPVKIEPTGWESSDEGDEDDEDFRDINSFTAGVSLQEQ -EEQQQMPEVIPEEEPETPVEERLRRESYWNEEIDSDEEDPWGLFLNRNQYWDPEPVHEEPEAQEIVTVIQ -EELDYPHLKRLEEALKGKTVPVEKKETVASAVSSAYNPPADTAMMPPAYPPGSADPGPSTTPIFKGYDGA -KVKIKREEGSEYWQLPSAQNQTGALFVIPRQLGMFDEVFTRWESITKNYVSLQMFSSGQEKMDFIENLLG -EKEKLVWISWRMAYQDEYNQLIAKSDGYEGVQNILSQIRRVFTLQDPATGSTKAQDQAYRDIEKLNCHDV -KDIVSFLNDYLRLAAKTGRMYIGMELSEKLWMKMPGELGVRIKEAFDREYGEIIGVAPRVMFAYGFLERQ -CREAAFARSLKGLDFCKDIPIPGYYQSRRNTGKRNSLRRSQTYKGKPHSTHIRIDKAKYLQNRKCKCYAC -GEEGHFSKDCPNDKKSSKRVALLQNLEIPEDYVAVSVDEGDPQSDAIYSISDNEDEYQNELLQEESVFVI -VEKTESRKYLLGKEGSYLPWVAVKRKQYKCDHEWTQGRDACLTEAKCSFCKGERLQRQYVYCHKCDLLAC -GMCSWTYVNQKIEVKPAAKPVPKPLSTDLVRLQSEHLLWQEKQIDKLKEEVLYWKNKYLASIERDLEQEF -QTLPVREEDREKKRKEDDEMEEDRVSSNQKPYKSSTPAKERDRRTVIIQEPHQETVQKTVEVEGKGKEKA -EEISEESSEEDAEIIAVQKEVHHAEGSSKRRNNLYNIDVILEVIDEAPVTVKAIIDTGATVCCIDERTVP -AQILEENTYRVEFSGVNSKSIASKKLKYGRMKIGENTFRIPYTYAFQMNVGKEIQFIIGCNFIRAMNGGL -RIEGPTLTFYKLLTSIETKTVAALIKEEEVNYEELDQIKEIVAMNVHEPYPGFAQRIQPLIEELKEQGTV -GENPLQHWERNRVHCYLDIKNPDLTVQDKPLDQITPVQKEMYKKHIDALLQIGVIRRSNSRHRTNAFIVH -SGTTVDPRTGEETKGKERMVFNYKRLNDLTHKDQYSLPGIQGIIARVGRAKIFSKFDLKSGFHQVAMHPE -SIPWTAFWVPQGLYEWLVMPFGLKNAPAIFQRKMDNCFMGTEEFIVVYIDDILVFSQNEQDHERHIRAML -KICKENGLILSPSKMKIGQTKVEFLGAIIDKGKIRLQPNVIKKVCDFKMEHLETKTGLRSWLGLLNYARP -YMPDLGKMLGPLYAKVSPNGERRFNREDRELLRKLTEKIRNLPDLELPPPNAYIIIESDGCMTGWGGVCK -WKTGESDPRTTERVCAFASGTFSPIKSTIDAEIHAVMNSLVKFKLYYIGRQKITIRTDCQAIISFVNKSA -NSKPSRVRWISFTDFITGCGSEVRFEHIEGKENQLADHLSRLINTLILYDEHCTQRQELNLLIPAIEENK -KLKSKWSTEKIKELINLLIYNIKNSSTRSRPRWPQEECHLASMTNCEETEMSWNHEQKLKQSGHCRPIAL -SDKQSRTGVQGTHPGITSMVTHYPPCCTGMNNSPPTRML - ->YP_009140788.1 polyprotein [Grapevine Roditis leaf discoloration-associated virus] -MSSSRSRTTTQQLPAVSSRRPESIHNRDQPLFEDQVRDYRRNQRRRFIAEQALRRTIGKIRGRRYNQTLE -QTIDPEEELSQSMQERANLVPAEVLYRTRRDNINHQVYNHRSEEAMLCVGEQQDRSFIQPDSFQELQRSG -MQFIHLGVLQVRIQILHRADEGTMALVVFRDNRWQGDQSIFAIAEVDLTRGSQIVYVIPDTMMTIGDFYR -NVQISIQTTGYESWQNGEANLLITRGMTGRLSNTPNVGFAYRISHVTDYLASRGVQALPGRRYNSDMLRG -RNWIIRQPQLQVTMMPRSVQTTNLLDGSISARFANYTQAPEPQQPRYNGHDEEEASDEAELAATQHHVVA -MFRLSGYTEVEEFKPKFKEQYERYQREQQWDTLGQPSGKYDYYVQYTAPPAATIPLTEIQPSGWDDQPQW -DDEPQATTIRFEEFSSEAELELAYQQEVFAAVEEEAELELAYPQRFKAIEKLLSTSEVTGPYRPPDDAAM -GRPSYPPARSISEEGVSSSNYPSKFNAARTRFRGGYNDEMWTLPSAQQKNGAMFVLPEQLGLFNDAFSRW -ESVTKNHVATQSFTDVRDKMEYMENLLGEIEKLIWIQWRMTYVAEYESLIAIGDGRDGTQNILSQMRRVF -SLEDPAQGSTVIQDEAYRELERLSCTDVKYIIPFLNEYLRLAAKSGRIFLGGELSEKIWMKMPGDLGKRI -KEEFDRKYPGVISGVVPRVLFAYKFLENECKEAAFKRSLKNLSFCSSIPIPGYYKNTGKKYGVRRSKTYK -GKPHESHARIEKRKHLVRNKRCKCFLCGQEGHFARECPNDRKSSKRMAMFENLELPDDCDIVSVQEGEEL -SDAIYSISEGEDNDEELQRSLQTMEIVLMLGEVDGGYRPQIKLPDEQFNCAHNWVHNGEILVQKAIKCSF -CRRESLKRARIHCPLCLLTACNLCGPYYLKIEVPVDPAPSTPLNPRRIIQEQHNYIGWCEAEIERLNKEV -KHYKGLYEALLTEKSLKKDYEDLREGDIKRGKGVMIQEPEDINYLGENEKVLIAGAEERKRLVKNMLYNF -DIEFEIPDVPKFKVRAILDTGASTCCVNEGAVPKEAIEDSPYEVQLNGVNSVQKTKKKLKYGRMMIGMNS -FRIPFTYSLPLVIGDNIQMIVGCNFIRAMYGGVRIEGNEVTFYKNLTKISTSPEVSVNVLEEEVCEEEYL -QIQDMVVCNIGESRESFLKKFKPLIEEFQEAGYIGENPLQHWEKNGVLCQLDIKNPDFIIEDRPLKSVTP -QMKESFKRHVKALLDLKVIRPSKSRHRTTAMLVNSGTTVDPKTGKETKGKERMVFNYKRLNDITHKDQYS -LPGINTILKRIGNSKIFSKFDLKSGFHQVAMHPDSIEWTAFWVPDGLYEWLVMPFGLKNAPSIFQRKMDE -CFNGTEEFIAVYIDDILVFSEDEKSHAKHLRIMLGICKRNGLVLSPTKMKIAVQEVEFLGAQIGNQKIKL -QPHVIKKIVEFNEAELKEKKGMRSWLGILNYARAYIPNLGRLLSPLYAKTSPTGDKRMNSQDWKLVSQIK -EEVQKLPDLEIPPEDSFIILETDGCMTGWGGVCKWKPKRNDPRRSERICAYASGKFNPVKSTIDAEIHAV -MNSLEKLKIYYLDKRELIIRTDCQAIISFFNKSAMNKPSRVRWISFTDFITGLGINVEFEHIDGKDNVLA -DSLSRLINSFFLTEWNQLKEQSMMELEELMKEEQFKEKLPSLINKMIGCFSNTRISQMKDEPFIMKEYLS -PMNKKSESSLELLKLSQQRKQSKLLRNISESMQSKHWNANHGVHQDETEIIGPTTFQMSRDQTRISKGSF -TISEKNCLMP - ->YP_009130664.1 polyprotein [Taro bacilliform CH virus] -MEMTTSTTARTQVQPSTSRTTPLFEDQIRNYRQRERRLHNARQVVGRLHRRITGRSQLHNQTLEQQIDPQ -VHLRNSMQERAAIVPAEVLYHSRCDDEHHRVYVHRSEEALLCTDNQQQDRIFIQEESFKQLQRSRMQFIH -IGVIQLRIQALHRHDEGTLALVVFRDNRWPDDRSLFATMEVDLSQGSQLVYVIPNTMMTIGDFYNNVQVS -ILTRGYEAWRGEANLLITRGLVGRLSNTPNVGFAYEVQSVVDYLVSHGVQAIPGRRRTVQELQGYNWVVR -PTQASIPRQPTEVDTRTNIDGTISLRFGNYTSARTSTTRRIAYNLRDEEIQSDEEQIIAVFKLDCYEAQP -AIWDTLGEPSGKFGYYVRYDTHDDNLHIPLEHIIATGWDDDDDDCTTSSSDEASYLQHLSNLGGTPVPIE -QDLPYPVPISDMINPFASTEGGGDKAPINNFPIFDKSDEEEEILPSSDSCRKEIASYIAAAFPENNADDE -IDYPQLKNLQQHLYASPSAITGYKPPQDVAMGPPNYGPTPLRVIRPEAGPLRPAFEGYKPGEVRYKAKDY -SEWWNLPSAQHGTGAIFIIPTQLGMFNDTFMRWESITKNLVSQQGFTDPNDKVEFIENLLGEAEKIAWIQ -WRMTYPEAFQQMLESADGRQGTQNILSQIYRVFTLEDPYQGSTTMQEEALRDLEKLSCHNLKDIVAYMNE -YMRLACKSRRLFINADLSEKFWFKMPGELGPRIKEAYEARYPGNTIGVFPRVLFAYKFLENECRDAAYKR -SLKNLSFCSSIPIPGYYKIEKKYGVRRSRTYKGKPHASHARIERRKHLQRNKRCKCYLCGQEGHFARECP -NDRRSIKRVAIFENLDLPEDCDIVSVQEGDNESDAIYNISEGEEMEDLTKSLNVMSVSEHLYYFREEDQT -YWIGGEGYRSMIKATKTQHDCNHVWEYNGAGSFKCFCCKEETSVNYRMHCPQCLITTCNLCALYYFGQKV -PASRPNPIQYNPLSLMHEQQGYITWCEAEITRLKQEVETSKKQVEYWKQKYLSTQEEVFEKEFDELYKEK -GKGVVIQEATNVFRQIETQRILSIEKNELSPPKKTKNMLYNLILEINIPDVANFSVHAILDTGASTCCIN -AGAIPENAVEESPFMASSSGINSKVSTNKKLRYGKMIIGDNAFRIPYTYVFPMQLGDDIQMILGCNFIRS -MQGGVRIEGDTVTFYKNVTQIQTQQTVPIIAAIEELELEEEEYISIATICAYGGMEISSPFQFSYQKLIQ -ELKDMGYIGDDPMKYWSSNKITCQLEIKNPDLTIEDRPLKHISPQMEASYRRHTEAVLKLGTIRPSKSKH -RTTAIIVNSGTTIDPITGKEVRGKERMVFNYKRLNDNTHKDQYSLPGINTIIQKVGNSKIYSKFDLKSGF -HQVAMHPYSIEWTAFWVPQGLYEWLAMPFGLKNAPAVFQRKMDNCFKGTENFIAVYIDDILVFSETEREY -ARHLEIMLEICKKNGLILSNSKMKIAVSTIDFLGATIGNRKIKLQEHIIKKIADYPEKELMNAKGLRSWL -GILNYARSYIPYLGKQLGPLYSKVSPTGERKMNQQDWAIVKQIKEKVQNLPDLELPPPDCHIVLETDGCM -DGWGGVCKWKPQKCDGRQMEKICAYASGKFNPPKSTIDAEIHAVMNSLNSFKIYYLDKKELLIRTDCQAI -ISFFNKSAKNKPSRVRWVSFTDFITGTGLEIRFQHIDEKDNALADALSRLVHGLVSKPDFHSDQTILLVE -LAIAEAQAKPQPGKNFQLTKLISSLAGDGKRGRQLLQNTKGNGTASKTHDIVA - ->YP_009121747.1 ORF3 [Yacon necrotic mottle virus] -MSFGRMPSVLGRSATTQTQTDLPTQEDQIRGYRTNARRMYNLNQAVRSRLGRRYRRNLETELDPDQQLEV -SRQRRAELVPAEVLYGSNSQTVRHRVYQHYSEQRILCTEENQTDLRLCNRQSYQSLRESGMQHIHLGMFM -IRILTMHRRGAGTTALIVLRDTRWGDDRQIIGTMEVDLSAGTQLVYMVPDMVLSIDDFHNHIQIAIQTHG -YSDWQGGESNLLITVGLVGRISNTSYTGFQYNVENVVDHLATNGITAIPGERRSIEDLEGMSWNLRPAPL -TMIRIPARVEVNQRLDRSTSLRFRSYRNNPQPPRFSVDSQDREVQANLSEDEEQFVGICIQEREIHNPYD -ICFCSVCLSEAKRVEEEPLNKAKRGNKPNKSRRRSYEKWSTLGEHSGKWDYYVRYDSPKDTTPIDQIIAT -GWDEYEDDPWRDDLEEGTVQIKEEDTEQSDKEFTEKIEMIQMIVSPTQEEEEHYAFPTIESIGEEAIKQD -EVDEEDLDYPRVREIKRMIKKAKKEGYTPPIHQEQHIFPSTSEGASGVYNPPPDQVMGPPVYPPARGNVP -QNSFNLGYPKYARESDFNGDYGRYHSSQWTLPPALTDSGAMLVLPADPGLWSDVLARWESITINRLNDTI -WANNKAKLMFVENLLGENEKKMWQQWRTAFPEAYEALETMADDPQNITSQIRQITIMEDPYRGSTEEQDR -AYRSLERITCEDTRDLWKFLNEFRILASKSGRLFFPATTDKFFTKLPMTLSKKIEEAFRNKYPGLTAGVL -PAIKFTHTYITEMCTEAALQKELRDLSLCSSIPIPGYYDRQRKKYGIRKSRTYKGKPHDKTHVKVFKRKY -KEDRGRVSKCKCYICGREGHFARDCKSKTGNMARRAVIDNLDLKEDWDIVSADMSDHDTVYSISEGEGGA -TEMNIGAMVEESPLEEYCFMFHQSHEEIHKRVQEAVSWKPRMELPKGSKECEHKWQENQVTCHSICHFCG -IATTEISRLHCPECRLTACALCALHYLKIKIEVKRSKGSSKGSEVIVPDEETQQPNSIWKLLAQKDNDWK -QAIRDQAKEEALWQIKEKELRAQINEKDKKAQEESQKWAEERQSLYKDIDDLLKIQQQIKDQWNEEKAKL -EAQNEYLQQENKRLREEGFQPYPPLGPHQINTLIEVAMRADGSEQPKVRKINNQLYNVEVEFDIPGIKLF -KVKAIIDTGATSCCINKVIVPREALEEMNKTVFFNGLNSRQPSSQKIKAGNFRIGGNKFRIPLIYAMDMM -TGDGIDMLIGTNFTRSMNGGIRIEGDEVTLYKKVTKIKTNPTVEITAAIQELEIDEEFYQELQGTXMFSK -EDAESLKERFIPILKELKQQGXIGEEPLKHWRKNGETCKLDIINPDITVQDKPLKHVTPALEASFKKXIE -ALLKLKVIRPSKSRHRTMAMIVNSGTTVDPATGKETKGXXRMVFNYRTLNDNTYKDXYSLPGINTLLKRI -GNAKIFSKFDLKSGFHQVAMEEESIPWTAFLIPGGLYEWLVMPFGLKNAPAIFQRKMDKCFKDTEEFIAV -YIDDILVYSNSEADHERHLKIMLGKCQENGLVLSPTKMKIAVPEVEFLGAIIGRNKIKLQPHIIKKICDF -DEEKLKTKAGLRSFLGILNYARNYIPRLSILLGPLYEKTNPHGDKRMKPSDYEIVRKIKAKVQQLPDLQI -PPDDAYITIETDGCMDGWGGICKWKKKKEDPRRDEKICAYASGKFKVVQSTIDAEINACINSLEKLKIYY -LDKKEITLRTDCQAIISFYNKTNSNKASRVRWLKFSDIITGTGVTINMEHIDGKNNVLADSLSRMVKLCF -TGCTEQETEVLEKGLAAMGEIFEENVKLSNEKNGYEEIIQISSYCQKFIEDNSRLKNQVLCIDTIKSEPT -PPQYALKNQVSTQELPNNTKEKPPNKPSELCATSRQFWTSKPKSVLQSHQQITIGETIGPMLRNRISKPE -NSYQNLRPYASSWAKSQSKPKESKCPGAHAWDEEIARRQQLHNRSMKYNQALWLIDESWGPPLSMPRYCK -L - ->YP_009116631.1 polyprotein [Rubus yellow net virus] -MSRSHTRLQAPPATERATSSSDSGTPTLEDQIRGYRRSARMRHQAQQRLRRTFGRDFRNTIERQLDPDAE -LSLSRRRRANLVPAEVLYAHNGQEPVNRVYEHYSELSAHVVDRQQDFRFIEEASYQRLTREGMQFIHVGM -AMVRIQMLHRTDAGISALVVFRDTRWSDDRQVIGSMSVDMTRGAQLVYIIPNAMMSIHDFYNRIQVSIQT -RGYGTGWEGGDSNMIITRSLVGRLTNTSITSFEYRIDNVTDYLASNGVACIPGQKWSVANRSGEWELQPS -RIAAPLAVPTDARLRQNPNGNISLRFTDFRDQRIVEEGETSEPEGRPETKEDESTHYVLMFKHSSPRWDT -LGQPSGKYDYMVRYDAPEPTTWPTTNRGWDDDPPKPPSPKGSYEVSLRGEKKLKEKELAEFTPETDLVSQ -WLNQLSNSAHNSGASSSDDEPKFDEADDEDDVYNQKTWEKEDQEKRELELQGWKPTGRPGLYEMIPEQEE -EVYLRYEAEDEEEDQELQVIGAATMDEPEMEYPTRLEKVMGKLKNVSMEKLFPVSGMDSESSITGGGGGF -IPPSPVPGAQGYPPATTSTMSTIGPADMQGWGGRVPRSRSPLGYGRPQQPWSLPSAQSDNGCMLVLPQDF -TLIPDVINRWESITVNLINKMMFDSLQDKADYVENLLGEREKETWMTWRMQYEEEYKQLLTMSGDVRNIT -AAVKRVFGVHDPHTGSVHIQNQAYAELERLYCKRTDDVIPFLYDYYQLAAKSGRMWLGPELSEKLFRKLP -PEIGPTIEQAYKDRYPGLTIGVLARANFILEYLQNVCKQAALQRSLKSLSFCRNMPVPGYYEKKQYGIRK -AKTYKGKPHPTHVKVIKNKYKHTQGKKCKCYLCGIEGHYARECPKKVVKPQRAAYFNGMGLDDNWDVVSV -EPGEEDDDEICSISEGENTGGMHELMAFKTQLPYPVEYEASTPQFLMPWTQVPVEKSDKPSWRRRKDISQ -VQKDCTHTWSDTQEVPISDRVCSICSDETPHGRRVTCTTCNINLCPICARMDYGIMLIAAKDTKSAAHWQ -YQNKDELIQHLYEHNAFLTRKVAELTSQLQEFHNRRPEDLISLADDLEDVSILDNASNRGKEEKELFQFG -TTIPIDHIQNLENVAKIIEKWKDTPRVVIKETPESSTSNTIGALLAEEGIEELAAAVDTAYTEMPKGGLN -KLYNTIVEFVIPQEKGAPTKFRVRAVIDTGCTCTCINSKKVPKEALEEAKYQMNFAGVNSTGETKLKMKN -GKMIVSGSDFYTPYIAAFPMELPDVDMLIGCNFLRAMKGGVRLEGTEVTIYKKVTTIQTTLEPQKISLLR -AEAEVGEELERMYYANDYSEEGISRLKNHRLLQELREQGYIGEEPMRHWAKNGIKCKLDIKNPDIVISSK -PPDSVSKETKAQYQRHIDALLKIGVIQPSKSKHRTAAFITHSGTSIDPITKKEVRGKERMVFDYRSLNDN -THKDQYTLPGINTIISAIGNAKIFSKFDLKSGFHQVLMDEESIPWTAFVTPVGFYEWKVMPFGLANAPAV -FQRKMDQCFAGTSEFIAVYIDDILVFSKTLKEHEKHLSIMLGICRDNGLVLSPSKMKLAATEIDFLGATI -GDGRIKLQPHIIKKIAEVDDESLKTLKGLRSWLGVLNYARNYIPKCGTLLGPLYSKTSEHGDRRWHASDW -ALVKRIKGLVQNLPDLKLPTEEAYMIIETDGCMEGWGGVCKWKPMKADSASKEEICAYASGKFPTVKSTI -DAEIFAVMESLEKFKIFYMNKDEVTIRTDCQAIITFYEKLNAKKPSRVRWLAFCDYITNSGVRMKFEHIK -GKDNQLADNLSRLTQLITFVKWLPTELKDLAAELTRKDDGTPAKKEVQEEISCFLEAALRRAKRSVTTHQ -SEPRHVLWQKWQNPEGWLYCDERRSSTALPNTSATRSSSPESTLRQQRPEQPGTTGMLTSHQHWNDEPPQ -HGSSWPLTRNSPRVRM - ->YP_009041481.1 polyprotein [Pagoda yellow mosaic associated virus] -MSQTQTVTTPVVEDQIRDYRRMQRARHEAQRILPPILGGRRRRQIQGPRRIEEELDPNMELSASSRRRAT -MVPAEVLYSTGDTRVRNRVYEHYSEQRGLIVNNQEDFRFIQESSYNTLRNSGYEHMHVGMMMMRVLTLHR -TYTGVTALVTFRDTRWHGELSILGQMELDLSEGSQLVFTTPNLLCSIHDFYHHIQVAIITRGYDTWVAGE -SNLLITRGLVARVTNSSVSGFRFGIEGVLNYFNSRGVMAIKAQRRSTVPQRGIAWELRPSSIPRPIQAPT -NAVIRETTRGTLSTRFSGYDDIPARDSYQSPFDKTEYAEDGDTSLPKRFNNVTEGTSTNFALVILASCNP -DFPEDDQLADGNFYTGQVLTDWEDDYLQDWLTDEPAPQPTAAPLPTLSLDEAEDIMNDFLDRYFSDEKDS -TTVSSFSVEREVEVPVEAPEIRKTLEPEPVSDEEVQWEVETIGTEVSDVTQQALPIYEEAAEQETIATQL -ADELSYKSLHKMQKKLVENMALSGAESSGSGAGVYNPYSMREDNSNRPPGYAAAQGLPQNIPDVSHFTAS -ARPPPKSKQPYGAPAMWNLPSAQQTQGVMLVLPYDIGKYAEVINRWESINVNLVNSMNWDSNQDKVDFME -NLLGETEKQIFVSWRMQFEDDYRKLVSVAGDIRNVTSAIRVIFLTEDPAQGSTMEQDRAYADLERLPTPE -MKNIFQFLNQYKKLAAASGRMWITPELSEKLFRKLPPVIGPAIEKAYKQRYPGMDIGVPTRINFIYQYLM -EVCKQAALQRSLKDLSFCAQVPIPGDYNREGKKYGLRRASTYKGKPHATHVRTFKRKDAGKQRKCACFIC -GEPDHFAKECPRNGKGNINRVHYYDNLNIPEDWDIMSVDPEEPMSDAICSMSEGEAGFTATAYNFEDDLP -YGEPTNSFNFGLVLIQGPVPDVNASSWMPRHPLEGLQLTCIHVWEENAPIQATENKRCGFCRNETRVTSR -ILCPACKLVACLLCASRRLGIEVRKEEEVTWKFQNKDELINSLYHHNAFLIKENSLLKEQLQLAQRRIAN -NAQLTGADLQAFMEDYRNLTLETAQKIATETPKPVGKAALTWREEAEAAYKEELATRKNWRQKKKIGEEE -DEEEEEEEIIVGAMHESILSSTVKGATRRLYNIRVTFEIPDVPKFTAMAILDTGATCCCIDQRVVPAGAT -EDAAMQSTFLGVNSKTIAKKRIKAGKMFLGDNYFKIPYIYCFQMQVKNIDMLIGCNFIKSMGGGLRIEGP -TITFYKNVTSIQTQAEELGVISMEKGEGAHQQQIAELTTTESVLDVQEAYFARPEQPVDLKFKHVLGPIL -KDLMAQGYIGEDPVRHWVKNQVICRLDIINPDITIQSQPLKHVTVEMERSFQTHVDGLLKLKVIRPSKSR -HRTLAILVKSGTSIDPLTGKEVKGKERMVYDYRQLNNNTHKDQYSLPGINTIIQKVGRAKVYSKFDLKSG -FHQVAMDEASIPWTAFLVPGGLYEWLVMPFGLRNAPAIFQRKMDEVFADLKEFVSVYIDDILVFSETYEE -HAAHLKRMLQRCKKFGLVLSPTKMKIATREIDFLGATIKDGRIKLQDHIIKKISTVDEKSLETTKGLRSW -LGIINYARGYIPNCGTLLGPLYSKVGLHGDKRWKSSDWQLVRKVKAAVENLPELEIPPKNCHIIIETDGC -MEGWGGVCKWCPPNKRTKGEERVCAYVSGKFPTVKSTIDAEIFAVMESLSALKIFFLDKEEITVRTDCQA -IIAFYNKQAQNKPSRVRWLAFCDYINGNGLSVKFEHIKGEENVLADQLSRITALLFVQEWPTQEEVEELG -TFLTAIDDTSSSSTLKTEDKESLIQLSGIVMDNWCALKSRHSSTALDSPGAQLKGKACPSKNMKSTDAAW -PASKTRNTKSSWYKPPQLTRSSARNWRPPGDAPVDETMPTGTSSL - ->YP_009002585.1 polyprotein [Hibiscus bacilliform virus GD1] -MSTTTTQTVPPVTRNATRRQQGERETPLFEDQIRDYRNSQRRAYTTQRAVRRLRRRITGRQPYQETLEQE -VDPQADLQMSMQERARLVPAEVLYRSRRDTIHHRVYSHRSEEAILCTDGNQVDRTFIQPESMEALQRTGI -SFIHIGIMQVRLQILHRQNEGTMALVVFRDTRWNGDQSIFATMEVDLTEGRQIIYVVPDTMMTIGDFARN -IQISILTRGYSNWQNGEANLLVTRGIVGRLSNTPNVAFAYEIGNVTDYLTSQGVQAIAGRRYDSRELQNQ -QWILRPPQVERLPQAPKNVDTRNLIDGSISLRFRDYATTSTPELPSYNEQDEEVDPDEEQLMEHVVAAFV -EEEPKEIWDTLGQPSGKFDFLVKYSAPASSKIRIEDIVPTGWGDEWEQPEQQQSPQQQQLLSCSQEESDD -EDDWVSRFQFLAQFDEEPATQPQSPQSPTWYNPFAEGGGSDENDENPDPEESEEMGNEMGYETEVEEQEE -KVAMIQEDPDLLPYPHLRELLKNEEKVFSTQQSEVSSYRPPQDTAMTPAGYAPARGEASSSTHQPMFEGY -MPRRPQFKQDRSTEYWQFPTAQGQTGAMFVIPRQIGLFHDAFNRWESITKNYVASQGFTDSKDKAEFMEN -LLGETEKLTWVQWRMNFPTEYQEMVNAADGREGTQNILSQMRRVFTLEDPTTGSTAVQDEAYRDLERLSC -TNIKDIVSFLNDYARLAAKSGRMFISPELSEKLWLKMPYDLGNKIRTAYEAKHEGNTIGVFPRILFTYKY -LEQECKEAAFRRSLKNLSFCSEMPLPGYYGEKKRYGVRRSTTYKGKPHPTHARIEKQKHLVRNKRCKCYL -CGEMGHFARECPNDKKNTRRVAMYEQLNIPEGFEVVSVEEGEEVSDAIFSISENEDEDALHTGGAFAETI -FMFHEGTDNVYWAGKKDGYLPYKRITREQFQCEHEWQMNQEVATVELEKCSFCKQETRRRMRLHCPKCRI -TSCVLCSSNYCGIAIKPEPVQTSFFNEKSLLTQQQEYIAYQTAEIKKLQEQLKQTEEKLAEAERKIRGYE -NMEVLEKERKEMALKDMQRKIDEEIKKAAMQELPTEKELWEQREGKAHIAGAVIAASTETGREERRPVKG -NMLYNMDVEIDIPSVPRFTVKAILDTGATSCCISMDKIPKAAIEPNTFEVTFRGINSVQKSNKKLKYGQM -RIGGHMFRIPYTYCFESLTLGDDVQYVLGCNFIRNMHGGVRLEGTEVTFYKNITTIQTRLMAPIVEEDDE -DDEVEQETNWIRTMASSTMDAPYEKLRSSFGPLMKELKDQGYIGENPMKHWARNKVLCYLDIKNPDMVIE -DKPIKHVTPQMEESFRKHIKGLLELKVIRPSTSKHRTTAFIVNSGTSVDPVTGKETKGKERMVFNYKRLN -DLTEKDQYSLPGINTIMKRVGHAKIYSKFDLKSGFHQVAMHPESIKWTAFWVPDGLYEWLVMPFGLKNAP -AVFQRKMDNVFKGTEAFIAVYIDDILVFSQSEEEHIKHIRVMLEKCRENGLVLSPTKMKIAQRKVEFLGA -ILEAGRIQLQPHIIKKVVNFKDEDLQETKGLRSFLGLLNYARAYIPQMGRLLSPLYAKVSPKGEKKLNKE -DWKLVRQIKEQVQQLPDLELPPQECFIILETDGCMEGWGGVCKWKKKEYDPKNTERICAYASGKFHPIKS -TIDAEIHAVMNSLEAFKIHYLDKPSLTIRTDCQAIIAFFDTISNHKPSRLRWINFTDYVTGLGIPVNFQH -VKGEDNRLADALSRLISVIFEAGQQWQEESTRMQHLTQLIGEHYKFEASGKKHHQLKETLTALITSTMNS -WTEKSLSSTQKEALLSDTRITSTNKWPLLKDKPVHKQNKHSNNWKEYKDKRRTTSGSQRQETTGPVTGYH -PP - ->YP_008567619.1 ORF3 [Piper yellow mottle virus] -MAQAQAKTLVEDQITQYRQNQRRMHNLRQAVRRLTNSQASTSGQEIIEQSLDPQKQLMRSMTQRASIVPA -EVLYHSRKDDVNHKVYIHRSEEALSVTEGNQVDRTFIQEESHKKLVKSGIKFIHLGVLQVRLQILHRKEE -GTLALVVFRDNRWKGDKGIIATMEVDLTKGCQMVYIIPDIMMTVNDFYHNIHISVLTRGYEGWQHGEANL -LVTRGMIGRLSNTPNVGFAYDIQEVVDYFITHGVNALPGAKYDTTRLQGQQWILKKPELIVPTRPGHINS -KDLVDGTISLRFSNYTTQASTSKLKYNANDEEIQSDEEILAYIHQERQEVTTPEVLGVIRLTPEARLPVR -TSPSAAGYNLFGTERLVIQPGERQLQRTGIAIRVPEGHYGRIAARSSAAWQLGIVVGAGVIDADFQGEIK -VLIFNLSNSPVEIEAGDAVAQLVLERISTPSLSVWRVFTEETVRGTASPLDEDLPYPQLRQQQDDTSPLL -AETGGHLPNTNYEEEEAISPAVTTPEDIQDDLYQHDLAAINTEGPVWDNSEEEEEGYAAEEEEEIDDMEY -PHVRALRLKMQEVFSAQSEISQYRPPQDTAMGPSSYPPAVNITNEAGPSRPMFEGYNKSGVRFKHKDLAD -NWTLPSAQQQQGAVFVIPTQLGLFDSVFARWESITKNLVAAQGFTDPADKVDFIENLLGEAEKLTWIQWR -STYQAEYQQMMNSADGRAGTENILSQVRRIFALEDPSTGSTKIQDEAYRDLEQLTCSNIKDLVPFLNDYL -RLAAKTGRMFLGTELSEKLWMKLPGNLGRRIKEAFDNKYKGNEAGVIPRILFTHKYLEDQCKEAAFQRSL -KGMTFCNSIPIPGYYSKEKKMGVRRSTTYKGKPHSSHVRIEKRKHLLRNKNCKCYLCGEEGHFAKDCNRN -KRDIRRVAIFEGLELPEDHDVVSVSEGEDDSDAIYSVSEGEDGSIATMTHYINVFFEEDRTYWVGKAGSW -QPMIKVTQKVHDCQHCWMENTDVPNDGPIQCLCCKRNSQKNFRIHCPICKVTSCGLCSTFCFDKSIQVKK -EYVAPYNPRNLLEEQQRYIEWCELEMKRLKESIIQNDELRMENDRLQLENRDLKRQIEKLQDKQKMKALM -EDLGEEFDYEEEVNVLVEDWDNKRVTKELDDLEAELNKVGVIIPEEKICISKEGEKIKPISKSMLIKFQV -KLEIPDVPEFTVNAILDTGATTCCIDERVIPQEALEANPYLVHFRGINSKTTANKKLKYGRMIIGDQQFK -VPYTYAFPMTISDDIQMILGCNFIRAMHGGVRIEGNEVTFYKYVTKIMAQQGNAMVRTEVISEEEEEYSA -VEEICTGTVIHPEFGNKYQKLIEDMKNQGYIGEDPMKYWQKNQVTCKLEIKNPDLIIEDRPLKHVTPAMH -ASFLKHIDALLKIKVIRPSKSRHRTCAFIVNSGTSIDPVTGKEVKGKERLVFNYKRLNDNTNKDQYSLPG -INTIISKVGNSKVFSKFDLKSGFHQVAMDPESIEWTAFSTPNGLYEWLVMPFGLKNAPAVFQRKMDNCFK -GMEDFIAVYIDDILVFSENMRDHAQHLVAMLEVCKKNGLILSPTKMKIGLGTIDFLGATIGNSKVKLQEH -IVKKILDFNTDGLEDKKNLRSWLGILNYARAYIPNLGRILGPLYAKVSPTGERKMNQQDWGIVAQIKKII -QELPELELPPEDCCIVIETDGCMSGWGGVCKWKPMACDPKNTERVCAYCSGKFDPPKSTIDAEIHAVMNS -LEKLKIYYLDKREIIIRTDCQAIISFFNKSSVNKPSRVRWIGFTDYITGLGIDVKFQHIDGKENSLADSL -SRLTCSLIRQWHHLEPVITTMEAALVQEQQNPTPGSTKALKQALHQANQWLSSISSTKMPLKDSQGLTAP -AHENGGTTCASSKSLKEKPPKKPKKRWKSSSTSTN - ->YP_004732983.2 polyprotein [Grapevine vein clearing virus] -MSRSRTQTTELPRATRRSTSPVERLDDQIRGYRRMARARYLAEQRIRRSFSRNYRETLERRLDPEAELQL -SRRRRANLVPAEVLYSLNYNEPQNRVYQHYEEVRSHVIDRQQDFRFIEEQSYRTLVQEGMQHIHPGMLMV -RIQVLHRVDAGISAMIVFRDTRWNDERQIISAMTVDMARGAQLVYAIPDLMMSIHDFYHHLQVSITTRGY -GTGWVGGESNLIVTRSLTGRITNTSQANFNYQIEGVADYLASHGVQSIPGQPWRDINQEGSWNLRPSSIQ -APTQVPTGLVSRQSATGNISLRFTGFQDQVQTVETEEESGMTDTGERVTHYALVGTFEWLEECPSYQQRR -NQETEENGWVKHVEGDKGFNFKVRMTPPAWSHDPQPIIATGWGDDFNNPPPPPPPKTEEEEILELYPVRR -RPDPVQIARKEKAAVFSQAVNTIFEHEGKDVSRMKPSGEAPDSDPDSPVWKVKKSPYPHKPMKLKDEKGK -SPFEDLELKQDLVQSWIAQLGSGSGSRTEKPIFDTTSSDSDSDLSDVSSKVLAYAGVEEAVMEYPRRVKT -ATAKLADMEKAFAGETTAAVGGDSEMTTGQSSRSTLIPPNEGGGPIRYPPAERPSTSASTYNTTAPPLFE -GTVRPGRYGRPLAPWSLPSAQHSQGALLILPPEVASHADAITTWETITLNHLMNISFDSLQDRVDYIENL -LGPREREAWVTWRMAYDTEYRQLVELSGEPRNVTSTIKRVLGINDPYTGTTHIQNQAYADLERLQCKNLE -SVMPFLNSYFQLAAKSGKMWSSPELSEKLFRKLPPEIGPTIAKEYAERYPGMLIGVNARIQFVSEYLQDL -CKQADLQRKLKNLNFCKAIPIPGYYDQGVKKKYGLRKSKTYKGKPHDSHVKVIKNKYKGAQGRKCKCYLC -GIEGHYARECPKKHVRPERAAYFEGMGLDVNWDVISVDPGDQDGSDICSISEGEAQHGMEDLAAFKAQLP -YPVEAQYEQHQALVVIQTTFKKEDKPQGSWRMSKPIPETQQQCQHTWDDMYALAEGQQACSTCQTITVLG -RRATCTLCLLNLCSLCAGLDFGLKIVPKTATRADWKFQDRDSLIASLYEHNAFLLRQVEGLKQELQAAKE -QLQLLHSVDMINLSDDGLENFSLEEKSFLRGGGGTSSSSIKISSTTTPPGFPTTPNRFQPLAQEKLKGIQ -EDLSLAVQFDDVRQQEQAYTEMPRGAHNKLYHVVVTFRIPDAKGQLLEFDINAIIDTGCTCCCINLTKVP -DGAIENASIIQEVSGINSKTVVTKKLRQGKMILAGNDFYIPYVSAFEMNMPGIDMLIGCNFIRAMKGGIR -LEGTEVTFYKTITRIQTTLEPQKIAYLEELVEAEDLHYELAAASMPEPTAEGLRNTKLLAELKDQGYIGE -EPLKHWSKNRVRCKLDIINPDITIEAKPPGHLTLEDKVKYQKHIDALLDLGVIRPSKSRHRSAAFIVASG -TSVDPKTGKETRGKERMVIDYRMLNDNCHKDQYSLPGITSIIKSLGQAKIFSKFDLKSGFHQVMMEEESI -PWTAFISPAGLYEWLVMPFGIQNAPAIFQRKMDECFKGTEDFIAVYIDDILVFSNSIREHEKHLQRMLSI -CKEHGLVLSPTKMKIAVPGIDFLGAHIRNSRVSLQPHIIKKIADKKDDELMTLKGLRSWLGVINYVRQYI -PKCGTLLGPLYAKTSEHGDRRWHPKDWEIVRQIKKMVQSLPDLELPPPHAVIIIESDGCMEGWGGICKWK -NSKGESKGKERICAYASGKFPTVKSTIDAEIYAVMASLENFKIYYLDKREITIRTDCQAIISFYDKTAIK -KPSRVRWINFCDYITNTGIKVQFEHIKGQDNQLADQLSRLAQNVCAIQVIPESAHEALSIILEQDCTAQE -LMAQFNSMLQANLRLNHGRPNTTWYSRTKPKKSKARKQAQVQLRFDVSNDD - ->YP_006495799.1 polyprotein [Gooseberry vein banding associated virus] -MTTRTRTEQVPRATSTRPSSRGEASSTLDDQIRGYRQTARLRHNVRRRLRSLSPGGFRNTLEQQLSPNQE -LALSRRRRANLVPAEVLYTHNNNEVVNRVYQHYEERSAHVVDRQMDLRFIEEESYRRLVDEGLQFIHLGM -GMVRIHMLHRNSAGIEAMIVFRDTRWTDDRQVIASMSVDMTHGSQLVYVIPDAMLSIHDFYNHIQVSIQT -RGYNGGWTGGDSNMIVTRSLIGRLTNQSTTNFGYQIQGVTDYLTSHGVSCIPGEQWSVTNRSGEWRLQQS -SIAPPEQVPTEARLRPGPRGEISMRFTNFRDQAVRERALDEDTDSGRPETSGRDETTPYVGALRFDFKGR -SKPREEECFEVAAPDVLQSWMQQLSRSPPRQNRYQGPEWDTLGEPSGKYDYKVRYSAPPPTPWPNKPTGW -GDEEEDLPPPRFDSLSESFSGGGEIEPLEYHESSEDSYEEVRRRDMENHNNLIAEGFTQEPRFPGLYAPA -RIEVIEEDHYEGDASEQSRSEEEPEFDYPQIAAVVNQNNEPELEYPTKKFQELMAKIKGFQNQKVEIAAM -NAESSGSGVTSGNFVPPIATTGPSVYPPATGTHGTNIGPQDQGGWGGRMPRSRMPGGYGRPQRPWTLPSA -QTENGVMLIIPEDLTLAADAINRWESITINVVSKLAFDNMQDKVDYVENLLGEREKEVWTTWRMKWEDEY -RRMVAISDDTRNLTAAIKRVFGVHDPFTGSTFLQNQAYADLERLSCKRMEDVMPFLFTYYQLAAKSGRMW -TNEELSDKLFRKLPEAVGPTIEKAYKERYPGLVIGVMARINFIIEYLQNVCKQAALQRSLKNLNFCRTMP -VPGYYEKKKYGVRKSTTYKGKPHDTHVKVIKNKDKNAPGRKCKCYLCGIEGHYARECPKKTVQPQRMAYF -EGLALADNWDVLSVDVGESDSEGVCSISEGETAGRMDELAAFKTQLPYPVEFEQGMFVLTIEHVTAPAVA -SGWRRRTELRGDQKVCPHQWSDVAEVSLEDRFCSLCGDNTPVGRRVHCTTCKANLCPVCAWLEFGIRIVA -AKTDATKWNYLNKDELIAQLYEHNAFLTHQNKALQGRIEELTKGRNNDLIDLCEGDPDLMAEICDELFRK -PASGETSILRGKERVKLEILEEEVRGYKEEQELRTPLQKLSEGASTSYKAPEESDEERVGALLAEGELEK -LAAVAGESYSRMPKGGLNKLYHLNVQFVIPKAEGGHVSFTVAAIIDTGCTCCCINGAKVPEEAQEEASYA -MTFAGVNSRGTTRKKMRAGKMVISGNDFYTPYISVFDMDLPDIDMLIGCNFIKAMQGGIRFEGTEVTIYK -KVTTIQTTLEPIKLGYAEFDPDIQVELERAYYAAPVSEAELIKLRDHRLLAELKEQGFIGNDPMLHWAKN -QVKCKLDIINPDITIQGKPPSTATPEIKDRYQRHIDALLSIGVIRPSKSRHRTAAFITYSGTSVDPKTGE -EIRGKERMVFDYRALNNNTHKDQYTLPGINSIVAAVGNAKIYSKFDLKAGFHQVLMEESSIPWTAFITPV -GFYEWLVMPFGIANAPAVFQRKMDNCFHKLREFVAVYIDDILVFSNSLQEHESHLRQMLEVCRKNGLVLS -PTKMKVAVTTVEFLGAIIGNGKIKLQPHIVKKISEVDDESLRTLKGLRSWLGIINYARNYIPNCGTLLGP -LYSKTSENGDRRMSPQDWKLVRKVKELVKSLPDLELPPAGAYVVIETDGCMEGWGGVCKWKHKKGESASA -EKVCAYASGKFPAIKSTIDAEMHGVMNSLEKFQIYFMDKGEVTIRTDCQAIVAFYEKLNANKPSRVRWLN -FCDYITNTGVKVVFEHIKGKDNVLADTLSRLTQTLAAVREMPAEQEEILRQALNNTEVQPKERRILMDHI -CGMLEAQAQKASLHQHGLSP - ->YP_006273075.1 polyprotein [Fig badnavirus 1] -MNRSRTVTQQLPAVSTRRREEINRDQPLFEDQIRDYRRNQRRRFVAEQAVRRTMGRMRGRRYNQTLEQIV -DPEVELNQSMQERANLVPAEVLYRSRRDNINHQIYNHRSEEAMLCVGEQQDRMFIQPNSFQELQRSGMQF -IHLGVLQVRLQILHRADGGTMALVVFRDNRWQGDQSIFAISEVDLTRGSQIVYVIPDTMMIIGDFYRNVQ -ISIQTSGYENWQNGEANLLITRGMTGRLSNTPNVGFAYRISHVTDYLASRGVQALPGRRYRSEMLRGRNW -IIRQPQIQAAMMPRNVETRNLIDGTISARSADYTQAPEPRQPHYNEQDEEVASDEEELAETQQHIVAMLR -FPDYVEIQEFKPKYKEQYDKYKREQGWDTLGEPSGKYDYYVRYTAPPATTPIEDIQPTGWEEEPKWDDSP -DEKSLATIRFEEYKEEESQSDEEHYQPDAEAEFDYPLKRIHYLNPFSEGGGKDDHLNSEEMDYPQRMKKI -EKLLSTSEVTSPYRPPEDAAMGRPSYPPARTIPGGGASSSAEPPRFDAARTRFKGGYNDEMWTLPSAQQK -NGAMFVIPEQLGLFNDAFSRWESVTKNHVATQGFTDTRDKIKYMENLLGEIEKLIWIQWRMTYATEYEAL -VAIGEGRDGTQNILSQMRRVFSLEDPAQGSTVIQDEAYRELERMSCTDVKYIIPFLNEYMRLAAKTGRLF -LGGELSEKIWMKMPGDLRKTIKEDFDNKYPGVVSGVVPRVLFAYKFLEKECRDAAFKRSLKNLSFCSSIP -IPGYYKNTGKKYGVRRSKTYKGKPHESHARIEKRKHLVRNKRCKCFLCGQEGHFARKCPNDKRSSKRMAM -FENLEIPEDCDIVSVEEGEDMSDAIYSISEGEDGADELQKSLQTLEIVLMLGEIDGGYRPQIKLPEEQMN -CVHNWIHNGDIFVQRAVHCSFCRRESIKRARIHCPLCLLTACNLCGPYYLKIEVPVEPAPSTPINPRRII -QEQHNYIGWCEVEIERLNKEVKHYKGLYEALLMEKSLRKDYEELKEGDKERRKGIMIQEPEEVNYLGEDE -KILAAGTEEKKKFVKNMLYNFDIEFEIPDVSRFRVRAILDTGASTCCINEGAVPKEALEESPYEVQLNGV -NSVQKTKKKLKYGRMMIGMNTFRIPFTYSLPLVIGDNIQMIVGCNFIRAMYGGVRIEGNEVTFYKNLTRI -STSPEVSVNSLDEEVCEEEYLQVQEMVICNIGESRKSFLKKFRPLLEELKEAGFIGENPLQHWKKNGILC -QLDIKNPDFIIEDRPLKNLTPQMKESFKKHIKVLLDLGVIRASKSRHRTTAMLVNSGTTVDPKTGKEIKR -KERMVFNYKRLNDITHKDQYSLPGINTILKKVGNSKIFSKFDLKSGFHQVAMHPDSIEWTAFWVPDGLYE -WLVMPFGLKNAPSVFQRKMDECFKGTEDFIAVYIDDILVFSENEKDHAKHLKAMLEICKRNGLVLSPSKM -KIAVQEVEFLGAQIGNQRIRLQPHVIKKIVEFNEAELKEKKGMRSWLGILNYARAYIPNLGRLLSPLYAK -TSPTGDKRMNAQDWKLVAQIKEEVQKLPDLELPPEDCFIILETDGCMTGWGGICKWKPKRNDPRRMEKVC -AYASGKFSPIKSTIDAEIHAVMNTLEKLKIYYLDKKELIIRTDCQAIISFFNKSALNKPSRVRWVAFTDF -ITGIGINVEFEHIDGRDNVLADSLSRLINSFFLTEWSLKKEEAVAELEKLMEDKQCRKQLLPLINKMTNC -FNNTRTLLRKEKGSFDQEEYLLPETMNSENNSGRLKKNLQEEPLKPWNNTGRFTHLKQPNVHHGVHPEGM -GIIGLIACQALGDMTQD - ->YP_004581513.1 RNaseH/reverse transcriptase [Sweet potato pakakuy virus] -MIQGAVSPQIVSSASGELNNRLYNMKVCIRIRGCPEFSVNAILDTGATVCCIEEERVPKEGLEESKMTAQ -FTGLNSTQQTRKKLKEGYMLIGEHMFPLPFVYALNPMRIGRGIQFIIGCNFIRRMKGGLRIEGPTVTFYR -NVSTIETQEKSTVAATIGSINEGRTLIFPRFRKEVAALIKEGFIGNNPLLHWTKNRVYCKLQIKNTDLII -QDPPLKHVTPAAREFFKSQISDLLKAKLIRPSKSKHRTTAFMVESGTIVDPKTGKEIRGKQRMVYNYKRL -NDNTEKDQYSLPGINTIVSRISGKKIFSKFDLKAGFHQIRMEEKSKPWTAFWTPEGLYEFEVMPFGLMNA -PADFQRKMDNAFRGTDAFIAVYIDDILVFSENEEEHEDHLLNLAQIVRREGLILSPTKMKIGVKEVDFLG -IKIQGNKIQLQEHILKKIGDFKEKDLLTKKGLRSWLGILNYARQYIPNLGKLLGPLYGKTSPTGEIRFNA -QDWKLVREIKRKVQQLPPLEIPPKDCCIVLEADGCMEGWGAVCKWKQSAYDPRSKERIAAYASGKFQPIK -STIDAEIFAIMNAMEAFKIYYLDKKEMIIRTDCEAIVSFFNKSASNKPSRARWISFTDYITGTGIKIRIE -HIDGKDNILADYLSRLVFSLIIAEWKTQEKSIAPLQAPRITLTKXSCSKQQEPLLLRELSMKRPLEDKED -QGPWSILLLTQPIEHLLKGSRNGQDRSKPITETGSMTSLKIALMILDLSPEVIISTYGLKEGSLEKACQE -MWVHNSKQADRPYCMRRPRRPGEA - ->YP_004581512.1 polyprotein [Sweet potato pakakuy virus] -MRRPGAAAVTEVGLQGEGRNPQGERQILHEDQIRDYRRMAEARYQLQRQVARVLGRPYRRTLERLMNPDQ -NLEDSLSRRARIVPAEVLYSSTEGTENQRVYIHRSEEEITCLDNQQVDLPLITPQSHAQLLRQNYRFIHI -GAIQVRVQALHRTHAGTMVLVLNTDRRWNGDLSLFGGIEGDLTEGAFMTYIIPNVTMTVEDFCQNIMVEF -QTRGYSEWVHGSNLLITRGMVGRLSNTPNVGFNYNVSAVTDYLVSKGVRALPGRRYSTADIQGLRWNVRR -PREIIPRRPTEMISRNLLGGGFSLSFREYQPITAEQRRAAQHPEEDALEELEHEVLGVLDVEVADWDNLD -FPEPDPTMVNIEIPEPEPQQPALEPVEHLTDEVLGFRREASSWNYLGSDSEEEALLEFLYYRNTPDDGPY -WNMEYSMAYQQLAAALEEDVSPNDVESLDKNTVEGGTSTPFFGGEEAVPPKNSENSCIMCNKKGIPGDKI -LCQNCMDITDDSDDEREVQRQERRKRMQRQKEKRVTPSTSDQTHHEVLGAINDEMPNTAEEFEEMVERLY -AQVSQQAPEASNPAAPSTSRGQSAXSPSXPPEDISMGQPSYAPARPGTTTEISGPPTFRTDSRFLKRGTN -NENWSLPPAQQQGGVLLTLPEQMGLLNDVFMRWETTTLNHMSLMNIQDTQEKVDYMENLLGETAKLAWIQ -WRTVYEDEYKTIVGQAEGRMGTQNVISQVRRILTLSDPVQGSTAVQDQAYRDLERLQCNDVKDMVKFLND -YMRLATKTGRLYMGAELSEKLWIKMPGDLGTKIKEEFNKAHPGAQIAVIPRIFFAHKYLEDRCKEAAFAR -SLKSVSFCKDIPIQGYYGNDKPKYTPRKARTYKGKPHETHVRIDRRKNLDRNSHCKCFICEQPGHYARDC -PNQKRNINRVMMFNQVNIPDNYDIVSVSENAEDSDAIYSLTEGDDAEETNFGLVHESVHMITHQVIGSWR -AYIEPSETQKVCRHQWQDHQEIELPGEDTCLWCKHHINIRTRSHCPACLLTVCNICSLRYLGREVPPKAQ -ERVLPFPDQSALIQQQQAYMNWADQDRARLKQEVNDERRRGQLLFEEERRRAERLGDEIAQLKLRMESME -EEQKLKNDLYTQTEKDLKNRIRVLKDKKMELKEXLKKAKXXRYEALEKERKSGRKVLKMRGVAPSLKRC - ->YP_004442839.1 polyprotein [Banana streak IM virus] -MASRPRVLGSTTRTMVAEPGTPLVDDQIRDYRNAARAAYEAQRVARQTGNIIGRIVGRQPREHTLAMIVD -PNSELERSLAHRARTIPAEVLYMTQRGEPTNRVYRNRTEERMLVTQGQQDRSFILQESYEALLEAGFEYI -HLGVLQVRIQIMHRSYAGTMALVVFRDTRWTQEDAEDRSIIAAMEADLSQGHQLIYVIPDIMMTIRDFYQ -HIQISILTKGYNGFQGESNLLVTRSCRCRLSNVPNVGFQYNIQNVVEFLKSKGVKALNATKISTKKFQGG -EWNIKPSEVVVPMQPTRMITRVNYDSSRSIRFGDYEASTSSAPPTYSTNDDEDEVLGEIHQVNMITFLED -DDEFDYPQLAAFEQIFALESGVGEEDDTIIEFLDNLRLSDEEEEYNGDNETETSTPRSKYNIFTFEDDYP -QLQKLDNLVLSTNESAISSYNPGDIDMEGVGPGYAPATGGTSYTGAGTSDFPYPRRPRKWENSSEWFNLP -MANARQASVFIMPQDFDTKVFERWESSVLLHMTDKIFDDPQDKLTYIENLLGESEKKMFITWRMKFTTEY -EEMRANALGSNGTQNILNQIRMIFFLENPQVGTTNSQDAAYKTLKQLVCTEMSGPAIYRYLNDYFHLSAK -SGRAWASEELSKEFFTKLPKGLGDRVEKSFKEKYPNNTIGVAARITFTRAYMKEICQEAVFQNQLKRLDF -CKGTPVHGLYGKDKSYGRRYGVRKSTSYKGKPHKSHVRIDKKKHLTMKRKDCKCFACGDIGHFASECPNP -KKLMHRVQILQSLELEDGIDVISVGFDESDVSDIYSVSEGEDNYQFKHEDFGVVDHDVFMITIEERNYLV -PTTSEWRAAMKVTKEEYLCLHQWEFSSERAGYCRACKHQALKDSRADCSTCKITICSLCKPHYYKDAAPI -PVQKKVVKDDWMNMAKRFMDSWKKAEDEKMELKEELNDIKEQIKFYKGKEKEVCTEEIPQIYTQKLELLE -NENSLLKDLEEERKIQDIQVFKEIQAMRKEIESLKAAKEEENKAHEEEKKALKEEILALKRSITEIQKPV -ELVNNVEEHLVLTGKQRNNLLNIKITIEIKEKKITMNAILDTGAAICVCDGQIVDNYFRRPSMMNAFIKG -VNGITNVQEILEEGRIWIGNQWFRIPRTYVMPQLSEGLHFIIGMNFIRAMEGGIRIEQGIVTFYKMITQA -QAPPITHDISYIEELELELPLYYDICAANPIGGMFNNDLISPVEIQKLKDLGYIGEEPLKHWAKNQVRCR -IEVKNPDLIIEDRPLKHVTPAMKESMKRHVDKLLELKVIRPSESKHRTTAIIVQSGTEIDPLTGKEKRGK -ERLVFNYKRLNDNTEKDQYSLPGINTIISRIGNSKIYSKFDLKSGFHQVAMDPESIPWTAFWAIDGLYEW -LVMPFGLKNAPAIFQRKMDNCFRGTEEFIAVYIDDILIFSDNISDHRKHLKKFLEICKANGLVLSPTKMK -IGTPEIDFLGATIGNSKIKLQPHIIKKVIETRDEELKEVKGLRKWLGVLNYARAYIPNLGKTLGPLYSKT -SGNGERRMNSQDWKIVQNIKTQVQRLPDLEIPPTSAIIILETDGCMEGWGGICKWKAKPSDTRLNEKICA -YASGSYNPIKSTIDAEVHAVINSLEKFKIYYLDKKELIIRTDSQAIVAFYKKQADHKPSRTRWLMLIDYI -TGLGINVKFEHIDGKENVLADTLSRLVQVLVTKIHHPAEEQLVDAVLEVLKNPKEVAIKKVNHFILLTER -WIAGFKDEHPVNVLTLDEETRLRCSCQDPITKQGMFAALLQSHTATNPGRWFYKCERNRCHLWIWKDLLD -QYANEYYINMGLNSLLLNMDWPDEESDPPDSPSPARTEDIFDLANVSNDD - ->YP_004442836.1 polyprotein [Banana streak CA virus] -MASRPRVTGSGSRTQTVAEAGTPLIDDQIREYRSSRRAAYEAQRVARQAGNIIGRIVGRQPREHTLSMVV -NPNSELSRSLAHRARTVPGEVLYMTQRDSPVNRVYRNRTEERMLVTNGQQDRSFIYPESFEELVNAGFEY -IHLGVLQVRLQIMHRTYAGTMALVVFRDTRWTQEGDEDRSIIAAMEADLSQGHQLIYVIPDIMMTIKDFY -QHVQISILTKGYQGFQGEANLLITRSCRCRLTNVPNVGFAYNIQKVVEYLNSKGVKAIQAQKLSTKKFQG -TEWNIKPSNVVVPMQPASMITRVNYDSSRSIRFGDYQASTSTAPPRYNNDGDSDDEIQETINMLYIEDDL -EDDYPAAEEEQVLLEDMVGEEDIISKFLEELELSDDDTKSQVSQQVMNLEEEDFPQLQEIERLLSTTAES -AISSYRPPDVDMSGIAPGYAPATSTTGWAGNNQFPFTTRRPRRWDSNNEFYMLPPAQSKQGAMFIMPLDF -DVKVFERWESITLLHMTERSFDNADDKMRYMENLLGEDEKKHFIEWRMKYTTEYETMKAQALGDQGTQNI -INQMRLIFFLENPQVGTTTSQDAAYKTLKSLVCTEMTDTAIYRYMNDYFHLSAKTGRAWATEELSKEFFT -KLPRGLGDEVEKAFKEKHPSNTIGVTARITFTKRYLKELCEKVALQKSIGKMDFCRNTPVHGLYGRERSH -KRYGARKSTSYKGKPHKSHVRIGKKKHLAARKRNCRCYACGEEGHFASECTNQKKIIDRVRVLDSLDLED -GLDVVSVGFDEDDVSDIYSIDEEAENNKFTNEDMEDFKNYEVYMMEAEELDEPKEYLVGEPSEWRSKMRV -SRRQYYCKHDWKFEESHRTICRACGSEAVHKHRIDCLKCDMTICLMCQPWFYKDINTEEVKKTRVRIERV -IDWKEIALRQHEVLKTSIANEKQLSEENEELKEKIKELKGKELITVEEISEEEGAAMIEKIENLEKENEL -LNAIITQKEEEEVGYLNKIQELEERIRKLEQQMKYRDEEMQVILKQQREEKVNALEEVSVNALRPRNNHL -NIRCEVEIKEKKVILNAILDTGATVCVADSRMIPAEMREQAKNRIIIRGVNGVTEVNEVTAIGRLWVGKQ -WFTLPQTFIMPTLGDGVHMIIGMNFIRTVGLRIENGEVTIYKIMTTVPAPPIAHDLNYIEELELELYEYY -DICATQNQRGEIAEEFIEPAMMTRMKKLGYIGEEPLKHWTKNQVKCRIEVKNPDMIIEDKPLKHVTPAMK -ETMAKHVKKLLELKVIRPSQSKHRTTAMIVESGTEIDPVTGKEKRGKERLVFNYKRLNDNTEKDQYSLPG -INTIIKRIGNAKIYSKFDLKSGFHQVAMDPESIPWTAFWAIDGLYEWLVMPFGLKNAPAIFQRKMDNCFR -GTEKFIAVYIDDILVFSETVQQHKEHLKKFMEICERNGLVLSPTKMKIGTRQVDFLGATIGNSKIKLQPH -IIQKIAETKDEELKEFRGLRRWLGILNYARSYIPNLGKILGPLYSKTNPNGERRMNRQDWVIVDKIKDLV -KNLPELELPPNNAMMIIETDGCMEGWGGVCKWKEQSGQPRWSEKICAYASGKFNPIKSTIDAEIQAVINS -LDKFKIYYLDKKELIIRTDSQAIVSFYKKSSDHKPSRVRWLAFTDYITGTGLDVKFEHIDGKDNVLADTL -SRLVKIICHKEKHPSETILINVAEEILQKGSIGAKRKLGEMISGYEAWMTRIQEHKIKTLTLIEKPIFKC -GCRKPARLHTSRTSRNPGREFYSCENKACFTWVWKDQIDEYVQEVMTWNDQVSQLSEEPEGHNEGCTIED -AFDLLDVSNDDQWARS - ->YP_004442833.1 polyprotein [Banana streak UM virus] -MALRGRRLGTGSTTVLEDGTVLSDQIRNYRNGQRALYEAQNIVARPNAIFKRIFGGSETEKTLEMVMDVE -AELEKSMKRRARAFPAEVVYSPRRDNKLHKVFQGRASQDLMVVDDNQMDMTFIKEETFEQLERAGLRYIH -IGALQVRIQPLHQRWSGKMAFLAFQDTRSQPATIIAAMEVDLSKGAQMIYITPDFMTTIGDFYQGIQLSI -KTKGYNNWRGEANLHLERMVTARLSSTSNTGFEIKIDKVIQYMKTNGIQAIEATKQSVKKYQGQDWNIRP -AKVEVAMKPTAMEVKNEYDNSMTFSFHKYQATSSTPAPQYNSEDEIQLEEEVRMAICSDDESEEPSTSAI -QEIEEFLAALSPREEGSESVSTARCKNKLEEEEEIINDFLKISEESVRMAEEEETDYPAIKRLEELLQQE -QVKMSEVSSSSALIPADVDMEGNLPGYAPAQTKAGYSDYNPGETRFGGYSRKWSNPINNWSLPVANAATG -SMLVLSIGKNSEIFERWESTTLNYMASQNIIGAEEKISRIENLLGETEKKIFIGWRTQYTTEFEILKTQA -IGTNGTQNVISQIRRILLGDSPKQGTTLTQDAAYKRIKSLVCDKFTYPDIMRYMVGYLHLAARTGRMWIS -KELSDEFFLKLPSEIGDQARKAFDAKFPGTHIHVPARIDFTYNYLEEVCTENNRQRKLAKLDFCRGFPVI -NPLQRKYRSLGTRRTRTYKGKPHSSHARIDKRKYLQGRKKECRCYVCGEEGHFAKECKSKKKIQERVNIV -NELDIPEGYDIVSVGYDEKSLSDIFSISEGEDNQAHLRDEEGLPEVPTTWDQWDEYYKKEFIYMADTKEV -LSEEENENGPWLVGQPGGFEKQMYVTKKQYHCEGHEWFHNQPKVRSCQRCFGKFTKGQYVICGKCKVRVC -HLCIQYCYGFSITKEEIPKEEENWKELASTLMIENRKLKLEKKLLLKELNDQIEANKKIKEEFPVIEETN -SDAAIEIEMLQELLNEANKSNNQLRKRIAELEGASTSIILTAQEQYNETVLVTQRKDCMYRFDVFVEVEG -KKEKLKALLDTGATKSCISERYIPKEFLTDSKFTVNIAGVNSMTRVTKQLKEAKLWIKETFFSLPITYVG -NLDLGTNTQMIIGCNFIQSLKGAVRLEGRSVTFYKLVSTIEADEYIRRAEEQICIAHDPASFVQETFMKK -NMKIINDMKELGFIGEEPLKHWANNKIKCHIRIRNPELTIQDKPHKFVTPQMKEQMQFHMNELLKRKVIR -PSTSRHRTNAFIVNSGTTVDPITRKETKGKPRLVFNYKRLNDNTEKDQYSLPGINSLLNSVGNAKIYSKF -DLKSGFHQVAMEEESIEWTAFWAFTGLYEWLVMPFGLKNAPAIFQRKMDNCFRGTEAFIAVYIDDILIFS -KDPEEHAKHLEEMLRICKANGLILSPTKYKIGVKVVDFLGSTIGENQLHLQPHIVQKIIDFDEEKLKTKK -GLKSWLAILNYARGHIKNMGRILGPLYPKTSEKGERRLNSEDWKIIRGMKQEVKNLPKLAIPPENAYIVI -ETDGSMNGWGGVCLWKKSKNDPKSTEQICRYASGKFAKPKSTIEAEISGVLCSLEKFRLYYLDKAEITVR -TDSGAIERFYNKSTEHKPSEVRWLKFMDFISGAGPEIKFEHIKGKDNTLADLLSRLNAALKAEPTQELIT -LAKALKEIEYDEDNPVFKKIKEYSEKIKWPEYKKLEVVCMAQTADEVSPLLCNCEQPAGRQMSKTSRNPG -RWFWSCVQRKCHAWWWDDHLEDYIETQVELRLAKIFKEQGTQEYEESMEKLVIKDNSPLPYQENPEDAYQ -ADFIQEDYQPEDVLDLDDFTNDDQWRRS - ->YP_004442830.1 polyprotein [Banana streak UL virus] -MAFTGQGRRLGTGSTTVLEDNTILSDQIRDYNRRQRAKHEMQNIVAKPSAIFKRIIGGSQSEKTLEMVLD -PEAELEKSMKRRAKAFPAEVVYAPRSDNKLHKVFQGRTSQDIMVVDDNQLDMTFIREETFEQLERAGLRY -IHIGTLQVRIQPLHQRWSGTMAFIAFQDTRSQPATVIAAMEVDLSKGAQMIYIIPDFMTTIGDFYQGIQL -SIKTKGYNNWRGEANLHLERMVTARLSSTSNTGFEIKIDKVVQFIRTNGIKAIEAEKKSVKQFQGKEWNI -QPIKVEVAMKPNKMTITDEYDNSKSFRFGHYQASSNQPAPEYNSEDEIIQEEVRMTLCESEDEDEGISAL -QEIENFLSALPPEGGGSNFSAARCSENCEDDEESIINDFLKLSHEEVYMAEEDSDYPAIRKLEELIKQEE -VRMSDTGSSAMIPADIDMEGDLPRYAPAQGQTGWSDYNPQQKHFGGTSKKWSNPVNHWNLPSANAANGSM -LVLSIGKNNDIFERWESSTLNYMASQNISGSEEKIARIENLLGETEKKTFIGWRMAYTTEFELLKAQAIG -VNGTQNVISQIRRILLGDSPKQGTTLTQDAAYKRIKSLVCTELSYPAIMRYLVGYFHLSARTGRMWSSKE -LSDEFFTKLPDEISDSAKEAFDKKFPGTNIHVPARIEFTYNYLEEICTENNKQRRLGKLNFCRGFPVINP -LSKKYKSLGTRRTTTYKGKPHHTHARIDRRKYLQARKKNCRCYVCGEEGHFAKECKSQKKIQERVNIVNE -LDIPEGYDIVSVGYDEKSVEDIFSISEGEDQQAHILKEEDEQLPTTPLSWEDWENYYKKEFLYMAEIEEV -SSEEEKEDNGPWLVGKEGGFMYQMKVSRKQYHCQHEWFYNQPKARVCQRCFCKASKGQYIICGKCKVKVC -NLCSDYCYGISLVKKEEDPEVDYKSLAASLISENERLKLEKKVLLEELNKEILEKNQLRNELPVIEETNS -EAALEIDMLQEVVTSLQRQLEQKDQIIIQLTNKLKGYEQASSSSEKILMAKEKLQETVLISARKDPMYRF -DVHVEVEGKKTKLKALLDTGATKSCINIDHLDQSYLTDSKFAVDIEGVNSSTRVTKQLKEAKLWVGGTFF -TLPITYVGKLDLGERTQMVIGCNFIQSLRGGLRLEGRTVTFYKLTTHVQADEYLKMAEEQLMIAQQPSPF -IEKSFMTRNKEIINEMKELGYIGDEPLRYWANNKVKCHIRIKNPELTIQDKPHKFVTPQMKEQMQKHMDE -LLKRKVIRPSSSRHRTNAFIVNSGTTVDPLTKKEIKGKPRLVFNYKRLNDNTEKDQYSLPGINGLLKSVG -NAKIYSKFDLKSGFHQVAMEEESIEWTAFWAFTGLYEWLVMPFGLKNAPAIFQRKMDNCFRGTEKFITVY -IDDILVFSETEEEHAIHLTKMLEICKRNGLILSPTKMKIGVKVIDFLGSTIGQNQLDLQPHIVQKIVDFS -EEKLKTKEGLKSWLAILNYARGHIKNLGRTLGPLYPKTSEKGERSLNSEDWRIIRRMKQEVQKLPKLSIP -PQDAYIVIETDGSMNGWGGICCWKKSKNDPRSTEQICRYASGKFAKPKSTIEAEITGVLQSLEKFRLYYI -DKAEITVRTDSSAIERFYNKSTEHKPSEVRWIHFMDVISGAGPEIKFEHIKGKENTLADLLSRLNKVLKV -EASTEMITLARALREIDYDQDHPAFKKIHEYSQKVKWPQVTAKEVICMADVKEIAPLCNCSKPAMLRTSM -TSRSPNRQFWNCAEKRCHAWWWYDNLEGYIKNEVDKRLQRILAEQDEEFEELCEIYKELEQQEILRNQAT -DDPLDWNEVSNDD - ->YP_004442827.1 polyprotein [Banana streak UI virus] -MAFQGTRRRLGTGSTTVLEDNSVLADQIRDYSRGQRIKHEMQNIVARPTALFKRITGGSASEKTLEMILD -PEAELEKSMKRRAKAFPAEVVYAPRYDNKLHKVFQGRSSQDIMVVDDNQLDMTFIKEETFEQLERAGLRY -IHIGALQVRIQPLHQKWYGTMAFLAFQDTRSQPAKVIAAMEIDLSKGAQMVYITPDFMTTIGDFYQGIQL -SIKTKGYINWRGEANLHLERMITARLSSTSNTGFEIKIDKVVQYMKTNGIQAIEAQKESIKKYQGAEWNI -KPIKVEVTMKPKSMIVQDEYDNSKSFKFGNYQATSNTPAPEYNSEDEIIQEEVRMALCDSDSDSETDDPQ -SAIYQIEQYLATLSPQEEGGDCVSAARSRNTECAEQDEEEAIINAFLKLTAEEEVLRIEDEEDCEYPAIK -RLEELLKQETVQMSEASSAIIPAEVDMEGNLPGYAPATTATGWSDYNPKINRIGGTSSKWNNQTNYWNLP -NANAVNGSMLILCYGKNSEIFERWESSTLNYMAAQNISGGEEKISRIENLLGESEKRTFIGWRTAFPTDF -EALKNQAIGTNGTQNVISQVRRILLGDSPKQGTTATQDAAYKKIKSLVCTELSYPAIMRYMVGYFHLASK -SGRMWTSNELSDEFFTKLPDEIADSAKTAFNKKFPGTAIHIPARIEFTYNYLEEICTENNKQRKLGKLNF -CKGFPVVNPMTRKYKSLGTRRTTTYKGKPHKTHARIDKKKYLQGRKKDCRCYVCGEEGHFAKECRSKKRI -QERVNIVQELDIPDGYDIISVGYDEKSIEDIYSISEGEDNQAHLGRDEEQLPEVPTTWEDWDSYYKKEFL -MMAEVLEVSSDEEKEEGPWLVGKEGGYMQQMYVTKKQFHCTHDWYYDQPRVRICQRCFQKSPKGQYIICG -ICKVKVCHQCTEYCYGITMKGKEVQPEEDYKELAKSLLAENKKLKLERKLLLEELNNQILENTKIKRELP -VIEETSSEAAIEIDTLQEALERANELNKEKDAMILKLTEKLKGLEEASTSVLMAQEGQETVMLTARKDPM -YRFDVHVEVDGIKTKLKALLDTGATKSCINAKFIDERFLTEAKFMVNINGVNSSTKVTKQLKEAKLWVKD -TFFTLPITYVGNLDLGRNTQMIIGCNFIQSLRGAVRLEGRSVTFYKLISTVEADEYIQKIEEQVLIVQEP -APYIDRPFMTKNRKLMEEMKELGYIGDEPLKHWNNNKIKCHIRIKNPELTIQDKPQKMVTPQIKEQMKKH -MDELLLRRVIRPSTSRHRTNAFIVNSGTSIDPITMKEVKGKPRLVFNYKRLNDNTEKDQYSLPGINALLK -SVGNAKIYSKFDLKSGFHQVAMEEESIEWTAFWAITGLYEWLVMPFGLKNAPAIFQRKMDLCFQGTESFI -AVYIDDILIFSQNEEEHAEHLYKMMEICKKNGLILSPTKMKIGVKVVDFLGSTVGENHLDLQPHIVQKIV -DFDEEKLKTKKGLKSWLAILNYARGHIKNMGKILGPLYPKTSEKGEKRLNSEDWKIIRKMKEEVRRLPKM -AIPPEDAYIVIETDGSMNGWGGVCYWKKSKADPRSTEQVCRYASGKFNKPKSTIEAEIFGVLNSLEKFRL -YYIDKAEITVRTDSSAIEKFYNKSTEHKPSEIRWIKFMDFISGAGPEIKFEHIKGKDNTLADLLSRLNRA -LKAEATIELVTLAQALKEIDYDQDHIAFEKIKRYAENIKWPSYEKIEICCMTETNELAPKCNCGQPAVLK -ISQTSKNPGRRFWTCEQRKCHAWWWDDHLEDYIHARIKMKLEDIQKEQNPYDFEDWDDLLKETQEEYKLE -DALDLDDFMNDDQWRRA - ->YP_004442824.1 polyprotein [Banana streak UA virus] -MASRPRVSGTGSRTATIAEPGVPLIEDQIREYRNSHRAAYEAQRLTRQAGNIVGRIVGRQPREHTLSMVV -NPDSELSRSLAHRARTVPAEVLYMTQRDSPVNRVYRNRTEERMLVTNGQQDRTFIYPESFEELVNAGFEY -IHLGVLQVRLQIMHRTYAGTIALVVFRDTRWTQEGEEDRSIIAAMEADLSQGHQLIYVIPDIMMTIRDFY -QHVQISVLTKGYNGFQGEANLLITRSCRCRLTNVPNVGFAYNIQKVVEYLNSKGVKAIQAPKLDTKKFRG -TDWNIKPSEVVVPMEPTRMITRVNYDSSRTLKFTDYQASTSTAPPKYNEDGDTDDEAEVHHVNMLFFEAE -DDYPSLAALEKIFSPEGMVGEEETINTFLQNLNLDTEDTRSHISEKVHHIEDYPSLAKVEQVLSTGESAI -SSYRPPEVEMGGVAPGYAPASGTSGWAGTSSQLFPFPRRPKKWDDSSEWFALPPAQSRQGAMFVMPYDFD -VKVFERWESITLLYLSERSFDTADDKLRTVENLLGENEKKMFIAWRMKYTNEYETMKAQALGDNGSQNIL -NQLRLIFFLENPQVGTTDSQDAAYKTLKSLVCTEMTDTAIYRYMNDYFHLAAKSGRAWANEELSQEFFTK -LPRGLGDRIEKAFKEKHPSNTIGVAARITFTKNYMKEICQEAVFQNQLKKLGFCRNTPVHGLYGKDKPYK -KYGARKSQNYHGKPHKSHVRIAKRKHLAMRKKDCKCFACGETGHFASECKNPRKLVERVAIMDSLELPED -VDVISVGMDEDDISEIYSVGEEIDEYQFQQEEFEDFTNYEVYMIHVEEIPREFLVGEPSDWRSKMKVSIN -QYYCIHNWKFDQESMARCNACHHEALKGSRMDCLKCDLTICILCRPHYYPKIEIPVEKIKTRETRSVDWK -KIVLEQHEALLSISAREKSLRKELEQALEQLKHYKRESEIIIEEEPVELMEELENTKRENELLNALLERE -KTEREELAIRASEEKEALKTEIRELRQENEALKTGRPIIEDVNALEECIVSTLGPRNNLLNMKVSFEVEE -RRIMLNAILDTGATVSVCSEEIVPQEFRTNAAVKALIKGVNGTTAVTEVLKEGKLWVGNQYFRMPRTFVM -PKLSEGLHLIIGLNFIHAMEGGVRIEKGEVTFYKIVTTTPTSPIVHDINYIEELELEIPEYYDICSTVGA -IQGGISEEFISPEFIQKMKKLGYIGEEPLKHWEKNQVRCRIEVKNPDLIIEDRPLKHVTPKMKEAMAVHI -KKLLELKVIRPSTSKHRTTAMIVESGTEVDPVTGIEKRGKERLVFNYKRLNDNTEKDQYSLPGINTIIQR -IGNAKIYSKFDLKSGFHQVAMDPESIPWTAFWAIDGLYEWLVMPFGLKNAPAIFQRKMDHCFHEDFIAVY -IDDILVFSETVQQHRQHLKVFFDICEKNGLVLSPTKMKIGTRQIDFLGATIGDSRIKLQPHIIKKIADIK -DEELKEVRGLRKWLGILNYARNYIPNLGKTLGPLYSKTSPNGERRMNSQDWAIVRKIKEQVLNLPDMELP -PKEAVMIIETDGCMEGWGGVCKWKKNISQPRLEERVCAYASGKFTPIKSTIDAEVQAVINSLEKFKIYYL -DKKELIIRTDSQAIVTFYKKISDHKPSRVRWLAFVDYITGTGLNIRFEHIDGKDNILADTLSRLVQIIIH -KEAHPAMSILIDAAEEVVRKYNPHSAARLGRMIEAVKDWQDNQMHPKVFMYRYIKEPKFKCGCGRKAEEV -VSHTQKNPDRKFYRCKRSNCHTWVWKDQIDNYVQNYINWLKESEEPEDEGYDPINRPRSLDSSPWSFDEP -GSSQHQKEEEDERLLCKETMGEDYNESEQEVIRNNQATAIEDALDLLDVSNDDQWGRS - ->YP_003987465.1 polyprotein [Pineapple bacilliform CO virus] -MMNTTPREVEQPLVEDQIWGYRWTQRACYEAQEAGRRLANIGRTILGMQPREHTLAMVIDPEAELQRSMS -ERARTVPAEVLYMTRRDNIHHRVYYFRCEERMRVLDSDQQDRTFITPEAFEHLKEAGFEYIHLGILQVRF -QILHRRYAGTMAFIAYRDTRWDNRKSIIATMEVDLSEGNQIVYIIPDMMTTIRDCYEHIQISIRTIGYDD -DWNGESNLLITRGMTARLSNTPNVGFAYNISRVADYLKSKGVKAIDASKEKTTQFKGREWVLKPSQASVT -PIMPTIADAHERYDGSLGIKFGNYQEASTSGPPQYNEHDDEIVEDVVLAIIDDLPEDDEEDYFQQILNDY -QPDSQVALDYPVLIPTEDPFASSYAPLTPPALTPLPASGDNTPDGIRPVLQRIVVGEKDFTDFNTLDFTL -RGLDVELQTTDDFTAEEEELIGSFLNQLEEEENLYCKESPSCLFTDDNNGDDEGEHHVVAASLEYPELRK -ISEIINSTVVDSNPGNQYMIGDTDMDGPPGYAPAQAQAAAVPPTYGGTSPSVRGPFRWKNPHEHFELPSA -HQLSGAIFVMPQNFDPKVFGRWESIVLNHLADRNFPTALDKIIYVENLLGEMEKITFQTWRMRYEEEFVS -LQTRVLGNNGTQNLLAQIRKVFFLEDPKGGTTVSQDAAYKAIKSLTCQEMSGQAIRKYMSSYFDLAARSG -RMWVNEELSDDFFTKLPMGLGDRVRQAFLSRYPGNTIGVPARITFTQQYLEEVCREAAYQRSLKTLDFCK -EFPIPNFYKGPQKKYGVRKSTTYRGKPHKSHIRIDKTKHLKNRKCKCYICGEEGHFARDCKNPKKIVDRV -HVLEELDIQDGMDVVSVGENEDELSDIYSVSEGEESTHEAYHVFSLVEDCLIGKANTWRYQVRVSPKEYY -CKHEWGFNSKILKNCRACGATAQTGERMDCPLCNMTICSSCSNYCFDITIPKNEERVQAIVSSSTASREE -SWKTIALEQRKLIKKLENEKEALIVELNQALEQLKQTKGKGIPEHQDDALEKELLKELLREEKEKAKKAE -ESLQELLKEEKEKAKKAEESLQELLRKEKEKVRKAEESQKETALLVEKLQEQLQQALSNKTTCNEEVREE -VAVITEEENLSYSAIKSPTRYNGLYNLKAKIEVEGETVVLNAILDTGATACIIQEAKVPDRPCEQAAVSY -TLHGVNSTTSGRKVLKGGKLWLGEQFFRLPRTLVADMVLTEGVEMIVGCNFLRSLEGGLRIEGEVVTFYK -LVTNVQSCRSTHAFGAIIEELEMAEDEYIDLNLMLATEPAVGEEFAKLPLYQQLKAEGFIGENPLLHWQR -NQVICELQIKNPELTIEDRPLKHVTPALKEAMQKHVDKLLELKVIRPSTSRHRTTAMIVYSGTEVDPVTK -KEKRGKERLVFNYKRLNDNTEKDQYSLPGISTILQKIGHSKIYSKFDPKSGFHQVAMHPDSVPWTAFWAI -NGLYEWLVMPFGLKNAPAVFQRKMDHCFRGTEDFIAVYIDDILVFSETPEQHKKHLEIFLQIARKNGLVL -SPTKMKVGVQQVDFLGATIGNSRIRLQPHIIQKVVQFDNKDLQTTKGLRSFLGILNYARSYIPQMGKLLG -PLYSKVSPTGEKRMNKQDWAIIEKIKQMVEQLPELELPPNGSVIVIETDGCMEGWGGICKWKFPGAPRNQ -EKVCAYASGRFQPIKSTIDAEIQAVINSLDKFKIYYLNQKELVVRTDCQAIVSFYEKMANNKPSRVRWLT -FSDFITGIGVPVKFEHIDGEDNLLADTLSRLVVMMLHEEAYTEPLQRILPLLSKKEAVHVLTHKPILKCG -CGKTAIRKMSRTSRNPNRHYYCCEQEKCHCWWWEDHLLQFAAERGALEQEALRMEELFDRLQFNTNEPHG -GPLEDDDQASLLDAVGL - ->YP_003284237.1 ORF3 protein [Sugarcane bacilliform Guadeloupe D virus] -MSTRGRVTGTSSQTVTEPGQPLVEDQIRDYRRAQRARHEAARVARNIGNIGRTIVGRQPREHTLALLMNP -EVELQRSMQERARTVPAEVLYMTRRDDIHHRVYHHRSEERMLVLRNDQQDRTFIQQESYEALAQAGLEYI -HLGVLQVRFQILHRRYAGTVAFLVFRDTRWNEDDRSIIAAMEVDLAEGNQLVYVIPDIMMTIKDFYRHIQ -ISIKTKGYDTWEGGEANLLITRSITSRLSNTPNVGFAYKIERVAEYLRSKGVKAINATKHSAKQFQGGEW -TLKPSRVVVPMQPSSMNTSTKYDGSISIRFGDYEASTSSRPPKYNTADDEIDSDEEHTIAMITEEIDEWL -ILAEELGYDLTEEVEDVPPEQSSRSMVGEEKIISQFLEKLELEEETTSMASLNIAMMSEYPELKKISELV -NASSEVTSSYRPPEIDMTGPAGYAPATSQQGWASSHPPTSGRNFRYKDPSGYFALPSAQQQNGSILLLSP -TYDTKIFERWESTTLNHMADKSFATAEDKLIYLENLLGEMEKKTFQTWRMAFPTEFELMKTQALGANGTQ -NSISQIRRIFYLEDPKTGSTTSQDAAYKAIKSLVCTDMSGIAIKRYMNSYMDLAATSGRMWVSAELSDEF -FTKLPNGLGDRVAKAFKEKHPGNTVGVPARVTFTQNYLEEVCREAAYQRSLKNLDFCKEFPVPGYYKKPG -YKYGRRKTTTYKGKPHKTHVRIDKRKHLKNRKCKCYACGEEGHYASECRNKKQYTDRVNIIENIALKEDE -EIVSVGEDEDEVSDIWSLSEGEDGHGEEEVAAMVFALEDEEEDTLIGKPGTWRSQVRVTRKEYECIHLWD -FNSEGYEKCKACRLEARANERMKCKECKMVICCLCSNYCFDITIPRERSFSSQHHEPKWKEIATALGEIN -AKLEQEKKALIEELNRALEQIKDYKQKGLPTAIIQEVDESKTEELERENELLNAVLEKKDKEIKDLKERL -QWLSQEKVQMLTEEDEKVFSASGRSGPRYNGLYNVKVGIEVEKEIKYLNAIVDTGATTCVVREARLTEKM -LEESPVNVTLRGMNSISRASKVVKQGKIWIGEQYFRTPRTFALDISLSGGIDMILGCNFIRSLEGGVRIE -GNDITFYKLVTSVRTQREAHQVAAIEELDLNEDEYYDIAISDQEKAYINKEIVDSSIFRRLKELGYIGEE -PLKHWRKNQVKCSLEIKNPDMIIEDRPLKHVTPKMKEQMKKHVDKLLELKVIRPSTSKHRTTAMIVESGT -EIDPKTGQEKRGKERLVFNYKRLNDNTEKDQYSLPGINTIIQRIGRSKIYSKFDLKSGFHQVAMEEASIP -WTAFWAIDGLYEWLVMPFGLKNAPAVFQRKMDNCFRGTEEFIAVYIDDILIFSESPQQHVQHLKKFMEIC -EKNGLVLSPTKMKIGVSQVDFLGATIGQSKIRLQPHIIKKIADFEDEKLKETKGLRSWLGILNYARNYIP -NLGKTLGPLYSKVSPTGEKRMNHQDWVLINKVKRQVKELEDMELPPVEACIVLETDGCMEGWGGVCKWKL -PGQNKASEKICAYASGKFSPIKSTIDAEIQAVINSLDKFKIYYLDKKKIIIRTDCQAIVAFYSKIAQNKP -SRVRWLTFSDFISGLGVQVSFEHIDGKDNTIANTLSRLVHILIKEEDQELINKIMVLWEKKTEDVMHIND -NPLLVCGCGIPAVQRESRTSRNPNRKFVTCRDNRCRCWWWSDNIDDYTRRMIDLAQEEADYDEAMRRYHE -ELQEKKLDLMQINEALEAAEDQDRREGVISDADFEPNVIRDD - ->YP_003208050.1 putative polyprotein [Pelargonium vein banding virus] -MPEAAAPAAYAANWPKGAVLYNGVNFLAADGKTVILSDAAKRIKAGVAYLRRKQRRWAKAQMRRAVCAAN -KARKAYYRLTASTPIIQVGDYGFAEPISKGFRYKGPGAPKKEPRTTSPAERRRRVGPKAQKEEKAQDWSV -LDDGNFLASLWEPEDWACPCSPIAESPAQMGPISARTIQGPPKRPTTRESAQEPIEECCFMMRQGSTSST -LPPVRGSNTAAAPIQEDQVRDYRRWQRLRWNMRNRWNTTFRRTLESELDPEAEIQLSQRRRANMVPAEVL -YEEGWSSTRLHRVYQHYSEERILSTGEQVELPFVTRRSYERLQRTGYQQIHLGLVLIRVHTLHRRDAGVK -ALVVFRDTRWRDDRSIIGSMEIDLSIGTQLAYIAPDMMMSIHDFYNHVEVVVATRGYEEWQGGESNLLIT -RGLVGRLTNTSHASFNYNVEQVAEHLSSHGIVALPGRRHSADAHNGEMWSIRPPRSNSVRNPREVQISNR -LDGSVSLRFTGYEIAPAVTEALQSDDESELAAMAIQESGEDEDDDPFQDFLYMASMPRTAYESQPIWDDS -DSEEEPDQGFINPFSEDGGGSKDFEAKTQTALMTSMEELPYPKLKKLEAAFSSGSVVSNYAPPQDSNMGP -PTYPPAPTNGASSSRPMPTLGLEGARPKVSDFRRRETSNQWNLPSAQQVNGAMLVLPEDIGLYEEVISRW -ESITINLLNDRTWDNNKARVIYVENLLGESEKKIWQQWRTTYTKEYEELVAIADDPQDITSQVRMVITLE -DPYRGSTEEQSRAYLDLERLVCDDIKDILPYLNDFKVLAAKSGRMFTSPELSEKLFRKLPPLIGGEIEAA -FKEKYPGNTVGVLPRIVFIHHYLTDLCKKAAIQRGIKDLSFCRKFPIPGYYQKSQKKYGLRKSQSYKGKP -HDTHVRVVKNKDKARSVKCKCFICGEEGHFARECRSKKGNIARAAVVDNLDLPPDFDVLSLDLNESDSDA -ICSFSEGEIANGARYVQEELHKLPYETALMLQSQNSWRRTVKLPAYQDCCGHQPTLGPNLTFEQAKCAFC -GVQTNPEMRMYCDICRLTACPMCSKYYLDIPVRMNKPPPPPPENKDELIHELVVYTETLLKRVKELEEQL -KEKELEIARLELMINDEGKQETAEEGEEANFIEESSTIHCEEDEFGASAAVTGRKVINRLYNVKILFTIP -NVKPFTLNAIVDTGATSCCADIRAIPEEALEELNYTVNFFGVNSESQARHKIKGGQISMGESNFRLPFIY -AFKMDSKDEIQMLLGCNFLRSMAGGVRLEGTTITFYKQITTINTTLAVESAKKAIPELDLDAEMLAEIQE -LVYYQSPARNPRFESRFEGLIGRLRNLGFIGENPVKHWARNQVKCRLEIINPDLTIQDKPLKHVTPQMEA -QFKRHTDALLQLGVIRPSKSRHRTMAIMVQSGTTVDPATGKETRGKERMVYNYRSLNDNTHKDQYSLPGI -NTILKKIGTSKVYSKFDLKSGFHQVAMDEESIPWTAFCVPGGLYEWLVMPFGLKNAPSVFQRKMDDCFKG -TEAFIAVYIDDILVFSKNEEEHQKHLQKFLEIVEKEGLVLSPTKMKIAVPEVEFLGAIIGNSTIKLQPHI -IRKIADIPEEQLKEKKGLRGWLGILNYARTYIPNLSTLLGPLYQKTSPHGDKRLKAQDYALIRQIKALVQ -NLPDLKIPPADSYIVLETDGCMEGWGGVCKWKPNKHSPKSQEKVAAYASGKFPVVKSTIDAEIHACMGTL -SALKIHYLDRKEITLRTDCQAIVSFHNKMAQNKPSRVRWISFTDFITGLGIKVNIEHIDGKDNVLADTLS -RLIFKIQEEGALMALERRPEWEPRPPPRVDNNSPMNIIYGLIHQDQQELINRHKEALWQSTPKYEGPTGL -MIPLNEAQEWRAFARRMRIAQAQKVDKELLGLLQMAQDKAQYIEDQRAGNNWATDAWPNYLEDVNVIHDV -RRKIQNITRH - ->YP_002916057.1 polyprotein [Sweet potato badnavirus B] -MRVSIRIRGCPEFNVNAILDTGATVCCIEEERVPKEGLEESRMTAQFTGLNSTQQTRKKLKEGYMIIADH -TFPLPFVYALNPMRIGRGIQFIIGCNFIRRMKGGLRIEGPTVTFYRNVSTIETQEKSTVAAXIGNINEER -TMVFPRFRKEVARLIQEGYIGENPLRHWSKNKVECTLRIKNPDLVIQDPPLKHVTPAAREFFQNQVSSLI -KAQLIRPSRSRHRTTAFMVESGTSVDPKTGKEVRGKQRMVLNYKRLNDNTEKDQYSLPGINTIISRVAGK -KVFSKFDLKSGFHQIRMSKESIPWTAFWTPDGLYEFLVMPFGLVNAPADFQRKMDNAFRGTEAFIAVYID -DILIFSETEEDHEQHLLKFAQIVEKNGLILSPTKMKIGVKSVDFLGVKIHQNKVQLQEHILKKIGDFREE -DLLTKKGLRSWLGILNYARQHIPNLGKMLGPLYGKTSPTGEIRFNAQDWKLVREIKRKIQQLPPLEIPPK -DCCIVLEADGCMDGWGAICKWKQFAYDPRSKERITAYASGKFQPIKSTIDAEIFAIMNAMEAFKIYYLDK -KEMVIRTDCQAIVSFFNKSASNKPSRARWISFTDYITGTGIKIRIEHIDGKDNTLADYLSRLVFSLIIAE -WKTQGRRSTAHHQAQSMAQTIGSCSKQQEPLLLREPPLKQEQEGTGEGPWGIEQLTLPLERLLKGLKTGP -YKNKLIIGTGLMNSLKIALRILDLSPEVIISTYKLKEGYSEMGSQEMSVHNLQLIDSPYCMKRPRRPGEA -S - ->YP_002916056.1 polyprotein [Sweet potato badnavirus B] -MRRTGAAPVTEAALQGGAQNLQEGRPILYEDQIRDYRRMAEARYQLQRRVARVLGRPYRRTLERLMNPDQ -NLEDSLSRRARIVPAEVLYSSGEGPEHQRVYIHRSEEEITCVDGQQVDLPLITPESHQQLLRQQYRFIHI -GAIQVRVQALHRAHQGTMVLVLNTDRRWNGDQALFGGVEGDLTEGAFMTYVIPNITMTVEDFCRSIMVEF -QTRGYSEWVQGANLLITRGMVGRLSNTPNVGFNYNISAVTDYLASRGVRTLPGRRYSTADLQGLRWNIRR -PREVIPRHPTEMISRNLLGGGFSLSFREYQPITTEQRRAAQHPEEDSLEEEVLGVLNVEVLDWDGLDFPE -PDPTLVNFEIPEPEPQQQHPEQVVEPVSDEILEFRREYSSIWDYGSEEEALLEFVYYSTTPDDSNYWSYS -NAYRELAAALEGNVSPNDVEGLEEVEGGTSTHFSGGGEVVPPSEPNCCIMCNKRGIPEDKILCQNCMDIT -DDSDDEREVERQERKRMQKQKEKRVTPSTSKQTSQEEIIGAIEGDPWEEFEEMVERLHAQVTQQQPNPPA -DPQASNTRGQSAQSPYRPPEDTTMGQPSYAPARPTVETVSGPPSFRTDSRFLKRGTNNENWSLPPAQQQG -GVLLTLPEQMGLLNDVFMRWETTTLNHMSLMNIQDTQEKVDYMENLLGETAKLAWIQWRTVYEDEYKAIV -AQAEGRMGTQNVISQVRRILTLSDPVQGSTAIQDQAYRDLERLQCHDVKDMVKFLNDYMRLATKTGRLYI -GRELSDKLWIKMPGDLGTKIKEEFDKKHPGAEIAVIPRIFFAHKYLEDRCKEAAFTRSLKSVSFCKDIPI -QGYYGSDKPKYTPRKAKTYKGKPHETHVRIDRRKNLDRNSHCKCFICEEPGHYARDCPNQKRNIKRVMMF -NQVNIPDNYDIVSVQENEEDSDAIYSLTEGEEGDEIAFGIVQESVHMISHQVIGSWRAHIDMSEVQKVCR -HQWQDHQEIEAPGEDTCLWCKHHINIRTRSHCPACLLTTCNICSLRYLGREVPPKAQERPLPFPDQTALI -QQQQLYMNWADQDRARLKQEVEDEKRRGQLLFEAERRRTERLGEEIAQQKLRIESMEEEQKLKNDLHAHT -ERDLQKKIKELKRLLREEKIKRRSLRNGKDVRTGSSEDDASSEEASGSEN - ->YP_002321513.1 polyprotein [Bougainvillea chlorotic vein banding virus] -MSSSRTQTLEERTRPSLFYQPSAPPEEGEERPISYNDQIRDYRRMRRMGYETIRAIRQPLNRIFARRREA -LLSPEQVSRRLQRSGSRRPEAVPAEVLYTAGQDSAQTRVYESVAEESILVIGETQRDLLFMEPQSLQEIR -RQNFRHIHIGMVIIKIRPLHTDARGTSALLVLRDTRFNDSREILGTMEVDFTNGAQLVYVAPNYMSTISD -FYNHFQLAIQTRGYESWVGQNAEANIGLSRQVIGRLSNDNVDRYSYNTTAVTDLLASRGIRAIEGARSMP -DRSNSWNLRPSSVQNQQSMIPTAYTARRHINGDTSIRFHDHVANLAQRPQNSEELDEEEAIFSRLPNDQH -GSSHFTHTVMMAIQPSWEICQGIVPEDTFYTNGVFHGDMRNIDPYFNEYQVDTMPWIEETNEQLADQAEV -IIEEMDRDQHFIVITDGSNYPPGYFDFPDSDTEEPDDYAEFLQDLAEAPSQDRSSLYGSEDRSPEVSTKL -DPNDPNVDFKIHEYCCLSPTHQSCGFFQASTSTAHQSAEQSVVGTSNNQNNFPNTTEWLDDTPLEFPEER -LSYSQWFSEDPQVLAQPPWSQHSAKVCHVLDLNTGTSCKPVKPLKTEEQLRYERFERGKPTQADRDAVKY -GIEKYWGLLGEESGKFDYFVKYRTPPWATHSVCTINEDLPPAFNPDEWDDLPFEYTTDLHSSDEEKPVPD -YLSMQTPKPKYYYGASSSSSSSESVASRYRPKKNEKVYKPKPKPIQTQKAQYQPKKPKPQKEQKVTKEWK -PKFQVHKLSQDISTECPNLDKFLKTFEPKKKEPTPPVEKIFSASSSYHVPEDSSMGDTAYTPAPNMITPY -NPPGVDARRGRARGRPYVPPTFNLPLAGNDTGLMLELSDPSMYNEILDQWESSTKNLLNGQQFDSNRSKV -EYVENLLGSTAKKTFLQWKVAYPTDYQQLITVADDPQNITSMIRRIFTMEDPYTGSTLLQAVAYKDVERL -QCDRIEHIVPFMMEYFKISAETGRLYVDNEMSDKFFRKLPPLYGEDIEKNYKQVHGDLPGVAPRIYFTYK -YLQEMCKNAEKQRGLKSLNFCKDIRLPGQYARDSSKRYGMRKANTYKGKPHRTHARVIKNKEKSKKGVIR -KCKCYICGEDGHFARECRSKMVNVQRAAIFNELELDDSLDVVSVDMGEPDSDHIVSMSDGVGPEATRKYL -DSQFTLPWATEFIGVFHVTTDTLSMNENLGWRSKPKLSDDQLLCDHEGFKICAKPAEARIKCQECSIFTT -PQARFLCDSCNLLLCAMCAQGYYGINIVEPVVPELQHHIERPKIDKGKKKVSWQEEIQDLEGMSEIPYAR -ARQSLRMLSSDEEQPNRPQRQAEKESDTIIKMASIMAEQTKEISYWRDKAVELKQALGDLQRDYAQLQKE -VELLRWHNVVKNSQPKEADESLETNEDSINDGQEDASGAEATNDMDEILSVHSQHSMKHFEFDALVNIER -PGQLNKLYNFGCEIQCNGGSPFTARAILDTGASICCICTSITPRNCLVETKEASFNGITSSSKCNQRLAR -GTFKIGDHMFQIPLVYAFQMNPRDDVKFIIGCNFIRSMKGGIKIEGNTITFYERSYSIQTCEESGEIAAK -YAIPELELNENEYQCLSESIYLNIGTTSDGFKSRFTSTIEDLKAQGVIGDAPLQLWERNQVKCKLEVINP -DITISDKPLKHVSIGLKQQFQNQLDPLLKMGLIRPSTSRHRTMAMIINSGTTVDPVTGEEKRGKERMVFN -YKTLNDNTYRDPYSLPGINTIIQKVGRSKIYSKFDLKSGFHQVAMDPESIPWTAFLTPQGLFEWLVMPFG -LKNAPAIFQRKMDNCFSRYSDFIAVYIDDILVFSESERDHEKHLRVMLQVCQENGLVLSPTKMKVAVKTI -EFLGAILGNQCVQLQPHIIQKIVDFDEEHLQTKQGIRSWLGILNYARTYIPNIGTLLAPFYEKTSPHGDK -RLKPSDRELVKKIKEMVQHLPPLELPPPDAYIILETDGSMEGWGGICKWKHKKNDPRSSERVCDYASGKF -PVVKSSIDAEIHAAMFTLEKLKIYYLDKEEIILRTDCQAIISYYNKSNSNKPSRARWIAFTDYITNTGTN -IKFEHIEGKLNVLADALSRITCYLCTAGSQGCLAPEEDDHMKVMETLPEEINSLKDTSLQIELQESFLKK -IYYLTPALECIDIPYIGGTMEMLSQPMLQQGDGYNTPGMSCLEETSLKETSQPALSTFTLGKTEFVKPEK -PSSSYVTTMRKK - ->YP_002117531.1 ORF3 [Cycad leaf necrosis virus] -MSRSVGGASTSAQASGEPLVEDQVRDYRRGQRRRYEAQRVARNLQNIGRTIVGARPREHTLALLMDPEAE -LTRSMQERARTVPAEVLYMTRRDDIHHRVYYHRSEERLLIIGNTQVDRTFIPQQSYEALSKEHMEYIHLG -VIQVRLQILHRKFAGTLALISFRDTRWAGDDDRQLISVMEVDLSEGCQLIYVIPDMMLTLKDFYRHIQVS -IQTKGYDTWTNGEANLLVTRSCTARLSNTPNVGFNYKTERVAEYLHSRGVQAINATQHNALKLRNTEWHL -QPSQATAPMQPQTVQTVGRYDGSLSLQFGNYTHAESSRPPVYTAHDEERDIDEESIAYLYSSDGEADDTD -LEFLTQLDQVIPPVENVEGEEVSLFSLLDELDAEAAAAAAAAAVTEEPAVADPIIEEFLQALDSAEQELQ -VLIEDDRQRQAPARRAAHAEKRRRVEAAEQNRQNIEDFPDEEALMDLLRQPCTETLEHPRENDDVSLNLA -VLEEDNKDELYDYPTAIRNLENLFSSEVTGSYSPPDTDMVGQTGYAPATSTQGWVGSHKFEGSTSKARFK -WKDPSEMFTLPSAYQVEGAIFVMPPDYDPKVFSRWESITLNHMAPKAFANATDKITYIENLLGENEKITF -QTWRMSYEQEYEALKTQAVGENGTQNVLSHIRKIFFLEDPKQGTTSSQDAAYRSLKSLTCMEMTGTAIRR -YMASYFDLAAKSGRMWSSEELSKEFFQKLPGRLGERVEQAFNAKFQGNTIGVVPRITFTQRYLTEICEEA -SYQRSLKNLNFCSSFPIPNYQKGAGRKFGLRKSKSYKGKPHKTHVRIEKSKYLRSKKCKCYACGEEGHFA -KDCKNPRKLTERVAILEDLDLGDDYDVVSVGLDEGDQSDIYSVSEGEEGHSDLHDETIFSLTEEDDEAAL -LVGKAGTWRPQMKVSKKEFSCEHEWDYEVSGELKCRCCFLPTRSKDRIHCKKCKITVCNMCSEFCYNIKI -VRELPSSSLLKDRKKVDYIGVCIEVLEENKKLRTEKEVLIQDLNSALEQLKNTKGKEIIVEEESPELLAR -ISYLEQRELFMQELYEKEKFEKDLLQAQMRSMAAEMERLQQQVSQLEEQIAQKAESVGMLMEENEQVFSA -SQALQPKGQYNGLYNLQIEICCDSWTAFLVNAILDTGATTSCIRTGKAPRETVEDSKTQAVLKGVNSVSI -GTKSLRPGLMKIQGGTYRIPKTYVIDIQLSEGIDMILGCNFIRAMEGGLRIEGDTLSFYKLLTTVQTSRH -AQQIAAIQELELDEIEYQNLGALDDQAFFNKKFMEQNRALMERLKKIGIIGEDPLKFWERNKVKCKLDII -NPNLTIEDKPLKHVTPAMKEQMRKHIDKLLELKVIRPSKSRHRTTAMIVQSGTEMVLQKDKNGKEKMVEK -RGKERLVFNYKRLNDNTEKDQYSLPGINTIIQRIGRSKIYSKFDLKSGFHQVAMDPASIEWTAFWAIDGL -YEWLVMPFGLKNAPATFQRKMDMCFAGTEHFIAVYIDDILVFSETEEDHVKHLHQMLHICEKEGLVLSPT -KMKIGATSIDFLGATIGRSKIRLQPHIIKKITEAKEEELQTTKGLRAWLGILNYARSYIPNLGKTLGPLY -SKVSPTGEKRMNHQDWALVKKVKDSVQNLPDMELPPKQCCIVIETDGCMDGWGGVCKWKLTRHDSKTTEK -TCAYASGKFSPVKSTIDAEIQAVICSLDKFKIFYLDKQEVVIRTDCQAIISFFDKTSQNKPSRVRWLTFT -DFITGIGIPVRFEHISGKDNLLADTLSRLVLCMVQGWTHDGIKAITPAITAIKDDSDSARVAAIIMKVLP -QERIFHLTEVEGPALKCACNKEVLIKTSHTWRNPDRKFCVCPDGRCHIWYWWDLLEDYVQQRVTAAQLAE -CKNFRQQLDNIKRQLDSDKRNRAHSI - ->YP_001036293.1 ORF3 protein [Dioscorea bacilliform virus] -MSRTATQEVSTSQRQFEDQIRDYRLGARRRYNLRQGLNKFKNVLTLGTYYAPTLEQQVDPERTLQLSAIK -RASMIPAEVLYHARPDTVNHKVYMHWSEEADLIVNNQHDSTFIRPESYLELRKANMQFIHIGLMQVRIQV -LHRHEAGTMALIVFRDCSWKGRRSTIARMEVDLTKGSQLVYIAPNITKKLTDFYNNIQVSILTKGYDDYQ -NSEANLLITKGMIGRLSNTSNVGFAYTVDGVAEYFITHGVQALKGMPMTTDDLQGTLWNIKPSRIIVPSQ -PTQAEDRELADGSVSMRFGAYKAAPALMSSEEFDSDENQVINVLTTTVSSLLIKLMHRDAIIPQRITDGS -VGYDLAACEDVVIQPRRPTLVKTGIAIEVPAGTYAQLYLKSSFALKTGLQITGGVVDPDYRGELQIILIN -NTFTCVPILKGTFVAQIVLHQVATPAVQETTTLTPTVRGTRGFGTLTAEASNMITKVRVEERPVNPVPTP -TDHMEEDDEDAEAYGDYLSYLSSRSFSREGGEKSREVEAEADDDEDLYFRPSPARVTFSDDPFSEDPTIA -TIRESGDASQPIFDESDDNEDHELIQELVSLEADFDYPQLRQLEDCVIAASSAVSNYTPPEDTTMNPPTY -PPARIDQAGPSHSGPSYSVPSGTRFKPMNYSANLQLPSAQQTTGAMFYMPLELDKFDEVFSRWESITKNI -TSQHSFISGREKADFIENLLGETEKLTWIQWRTTFNAEFDSLIAAADGREGTQNIISQIRTIFSLEDPFR -GSTKIQEDAYRDLERISCKDVQDIIPFMNEYMRIAAKTGRLFISSELSEKFWMKLPGDLGKTIKDSFDKT -YVGNTVGVHPRILFTYKYLQEECKKAALSRSLKNLQFCSKIPIPGYYKGREKKYGVRKSTTYKGKPHDSH -VRIERRKHLIRSKKCKCFLCGAEGHFARECPNDKRNVKRIAIFEGMEIPEDCEIVSVQEGDELSDSIFSV -SEGEEVLQSLNPFIQQNLLYIREEDGKHFLGGGGWRAAVQVTPQEHDCHHQWEYYILNTGLCKFCKRDIT -QRWHAKCMHCNLVTCGFCSQHYLDLEIPCQREEVQVYNPQRLLQEQQNYIQVCEVEIEKLKESVKAANKI -AEDKIMAASIRHAVELEELRKQLQAIKLDKERLELENPDLKKILEEFEQVNVLICDGREKSERIASIKER -RNGLYNLMVQLEIPGAAPIQVNAILDTGATTCCINEEGLPKAILEANTFEVKFTGANSEMVARKKLKGGI -MKIGDNQFRIPYTFAFPLKLGGGEQLIIGCNFIRAMNGGVRIEGDTVTFYKNVTTIQTQQQVPKLLALEE -LEMDEDEYIELQQSISSIEPNNYFSNKLSQVFQELKAAGYIGDDPLKFWSKNQVVCELNIINPDLTIQDK -PLKHVTPAMEETFRKHIDALLKLKVIRASKSRHRTTAFIVYSGTTVDPVTGKENKGKERMVFNYKRLNDN -TEKDQYSLPGINTILKRVGQSKIYSKFDLKSGFHQVAMAPQSVEWTAFLAPGGLYEWLVMPFGLKNAPAV -FQRKMDNVFRGTEEFIAVYIDDILVFSETEEEHLKHLRILLQICQQHGLVLSPTKMKIGTKTIEFLGAVI -GNRKIKLQEHIIKKIADFSDDELKTTKGLRSWLGILNYARAYMPNMGRILGPLYSKVSPNGEKRLNAEDW -RLIREVRTTIRALPDLELPPADSFIVIEADGCMEGWGGVCKWKKLKKDFRRNERVCAYASGKFDPPKSTI -DAELFAVMNSMDKFKIHYLDRKELLIRSDCQALISFYNKSSENKPSRVRWIAFNDFVTGLGIDVTFEHID -GKDNQLADSLSRLVTSLVRHEGKWQQQRKALVIIEEMMQKALSKEIRSQVAHQISALLKIIDKGKSI - ->YP_610965.1 hypothetical protein DMV_gp3 [Dracaena mottle virus] -MASYRRPAVHNNEASTSTVPNQEDQIRDYRANARLRHDAQRQLQRVRRTLTGRQGYRRTLEQHIDPNEQL -QLSQKKKAAIVPAEVLYDDGWHSTVHKVYQHYDEQRVLVLEGQQVELPFINEQSYRQLLSSGFQHIHVGM -VLVRVHALHSRHAGTMALLCLRDTRWKSSRGIIGSMEVDLTAGSQLVYVVPDILLSVQDFYNHIEVVVMT -HGYQDWVDGESNLLITKALVGRLTNTSYAHFKYNVEGVADYLASNGIVALAGQRHTTTELQGLDWNLKPK -QSTAPVQPQEVTYNNRLDGSVTLRFTGYAATRRPTLVEVNEDDLEEFAGMVNFYDDSSPLLCTEILEEED -DEDLWVPVPPTCRASPYSDAEEEFLQNLFSAGFTPATEEQDMEYPVSIPIPLSPIYDTYPEDVVHTDHGV -LLSDHEVWVPPSHPAEAGGEPCPSTFCEYDSNIEEQFLYDLECLYNAEQSDLASEEEPATVAVGDDACVL -SPSQSESKSGRELVFMELEALPYPTLARMEKESARSSVTSPYTPPTDSGMAPPGYPPASAGGAASSSQMP -ILGAPFTAADVRANLKNLRGSRSNAGMFQLPSAQQLRGALLVLPEDIGLYQDVISRWETITLNVLADKVF -SDNRSKLMYVENLLGEDEKKAWIQWRMAFEQEYESLIAVADDSQAIISQVRRIITLEDPSQGSTEEQDRA -YMDLGRLSCTNMKDLLDYMNDYKTLAAKSGRMYVNHELSDKFFDKMPQIIGPDMKKAFSEKYPGAQMGVL -PRITFAYKYLSDICKQAAVQKGVKDLAFCRRIPLPGYYKDGPKKKLGLRKAKNYRGKPHDTHVRLVKNKD -KGRTTKCRCYICGQEGHFARECRNGRGNIARAAIIKDLDLPSDFDVLSVDNNDPMSDAICSFSEGEAGGS -QTIKTALSDLPFLEGSFMLKETVSWRPTVSLPANQANCQHLWEDNSQSTGHSWCTYCKAPTSVLYRTKCT -LCLLLCCPYCALHFHQHRVRKERPPVEAPEQLIQNLIGYIDSLLKENERLKEERDDLQQKLQRTEERLQS -LLGDYLHEASEDMAAEAERRRKGKALATSVPRATTGGPVIREPLEGCVEECSDEDTEEAAALLAEAAKIG -QQHQKKLINRLYNTVVKFTIPGAEPFEAIAIFDTGATSCVLDSKIVPEKALTALTYNVQFSGVNSLDTSR -QRLKEGRMTIGDHDFPIPLVYCFPLDSSRDGVQMLIGCNFIRSKQGGVRFEGTTVTFYKQLTTVQTEIEA -IKSAQFDEPEELMEIICPQWHIEHPTDAIGVGLRAQKIVQRLLQQNISDDPLKFWAKNKVTCQLEIINPD -LTIQDKPLKHVTPLMEQQFKRHVEALLQLKVIRPSKSRHRTMAMIGNSGTSVDPTTGKEVKGKERMVFNY -RSLNDNTHKDQYSLPGINTIIQKIGRATVYSKFDLKSGFHQVAMSPESIEWTAFIVLGGLYEWLVMPFGL -KNAPAVFQRKMDHCFAGTEKFIAVYIDDILIFSANDEEHVEHLKVFCAIVEKHGLILSSNKMQLGKREID -FLGATLGNRKIKLQAHIIKKIAAFPEAQLAEKKGLRSWLGILNYARSYIPRLGILLGPLYQKTSPHGDKR -MKPHDWELVAQIKQMVQSLPDLEVPPKDSFIVLETDGCMEGWGGICKWKQAKKDPRGKEKVAAYASGRFP -VPKSTIDAEIYACTETLSALKIHYLDRKEIILRTDCQAIISFYNKSSVNKPSRVRWLAFTDFITGLGIDV -QFEHIKGEQNVLADTLSRLTFVLLQVPDPFSPPITELCQALKEIQATSTTPVGVWNRFQTQICKTYASMA -SSRSSPTLDDDLWCQEQSKKAPLSTHCRGTGEHSTSLRPTNGEPCGPSSALQSSSRNSRWRHVTGSERVI -MHGATHTPTCGTSMNSSYASLDNSKS - ->YP_605811.1 polyprotein [Banana streak virus Acuminata Yunnan] -MASRPRVSGSTTRTMVAEPGVPLVDDQIREYRTAARAAYEAQRIARRTGNIIGRIVGRQPREHTLAMVVD -PNSELERSLAHRARTIPAEVLYMTQRGEPTNRVYRNRTEERMLVTHGQQDRTFILPESYEELREAGFEYI -HLGVLQVRIQIMHRSYAGTMALVVFRDTRWTQENHQGRSIIATMEADLSQGHQLIYVIPDIMMTIRDFYQ -HIQISILTKGYEGFQGEANLLITRSCRCRLSNVPNVGFQYNIQNVVEFLKSKGVKALNATKLSTRRFQGS -EWNIRPSEVVVPMQPTTMIVRVNYDSSRSIRFGDYEASTSSSAPKYEQDGDEDEALGDIHQVNMITIIED -DAEDDYPRLSALERIIAPESMVGEEDTIAEFLGNLSLDSSTDGEFYDADNSLFEEEEYDGDDDSEVSTPR -SKYNIFALEDEYPKLQQLESLVLSTTESAISRFRPTDTDMTGIGPAYAPATGTAGYTGASSSDFPYPRRP -RKWDNNSEWFNLPTANARQASIFVMPQDFDTKVFERWESSVLLHMSDKVFDDPQDKLTYVENLLGESEKK -MFITWRMMFTAEYEEMKNNALGSNGTQNILNQIRMIFFLENPQVGTTNTQDAAYKTLKQLVCTEMSGPAI -YRYLNDYFHLAAKSGRAWASDELSKEFFTKLPKGLGDRVEKEFKKRYPNNTIGVAPRITFTRNYMKEICQ -EAVFQSQLKKLDFCKGTPVHGLYGKDKAYGRKYGVRKSTSYKGKPHKSHVRIDKKKHLLMKRKDCKCFAC -GDVGHFASECPNPKKLMHRVQILQSLELDDGIDVISVGFDESDVSDIYSVSEGEDNYQFNNEDFDVIGHD -VFMFTIEEQRNCLVETTSAWRSAMKVTSEEKNCLHTWSFEEKTSDYCRACKNRALQGSRADCTQCKMIIC -SLCKPYYFQDGSPIPAQGSTPSGYSHDDWMGSANRWKAHYEFSQARRKSLKADLEKAEEELKFYKQKEKE -RARLKNQIPEAIQAKLDDLEREKELNNILRIEAEAELKALKESFKEREEALMGEITALEEGVKVHKEEAE -ELQEENQKLKEKILAFEKEAVQESEEVIELVNNVEEHLVLTGQQKNNLLNIKITLEIKEKKITMNAILDT -GAAICVCDGNMVDEYFRRPSMMNAFIKGVNGITNVKEILEEGKIWIGNQWFRIPRTYIMPQLSEGLHFII -GMNFIRAMEGGIRIEQGMVTFYKMVTQAQAPPMVHDISYLEELELELPIYYDICATNPSGGEINSDLIPP -SEIQKLKDLGYIGEEPLKHWAKNQVKCKIEIKNPDLIIEDRPLKHVTPAMKESMKKHIDKLLELKVIRPL -TSKHRTTAIIVQSGTEIDPLTGKERRGKERLVFNYKRLNDNTEKDQYSLPGINTIISRIGKSKIYSKFDL -KSGFHQVAMDPESIPWTAFWAIDGLYEWLVMPFGLKNAPAIFQRKMDNCFRGTEEYIAVYIDDILIFSDN -VSDHRKHLSKFLEICKANGLVLSPTKMKIGAREIDFLGATIGNSRIKLQPHIIKKIIETKDEELKETKGL -RKWLGVLNYARAYIPNLGKTLGPLYSKTSVNGEKRMNSQDWKVVQLIKNQVQNLPDLDIPPAGATMVLET -DGCMEGWGGVCKWKLHPSDTRLAEKVCAYASGRYHPIKSTIDAEVHAVINSLEKFKIYYLDKKELIIRTD -SQAIVAFYKKQADHKPSRTRWLMLIDYITGLGINVKFEHIDGKENVLADTLSRLVQVLITRVHHPAETQL -VEAVMEVLSNPKKEALDKVNHFIFLTQQWIAEQRKEHMVNTLLQLEEPQLHCGCKDHTTGERRNAVLLQS -HTSANPYRWFYKCAQDRCHTWIWKDILDQYAEDYAAYTRIGLEALSLEDWFEEPEPDPPDPVDRQKIEDI -LDLQDVSNDD - ->YP_595725.1 ORF3 [Sugarcane bacilliform MO virus] -MTQRVRGTGSSTITEDGALLDHQIRDYRRAQHAKHEAQRIAGQALAFLRVTSDDPREKTLEMLMQPDVEL -TRSMKKRARAFPAEVLYGPRSDDIHHKVFQGSSSQDILLIDDNQLDMTFIKEETFEQLEQAGLRYIHPGI -LAVRIQPLHPDWSGKLVFIVFRDIRDNPPRVLGAMEIDLSKGPQMVYVINSFMTTIKDFFHGIQLTVKVK -GYEGWQGEANLHIERLITARLSNTTNVYFKYKVEGVASFIKTKGIKAIEATKKSVKGIRGGEWNILPSKL -EVVMQPTKVQTTENYDGTTSFRFTNYEGASSSKPVEHNSDDEAYMALFEEEEEEDDITFLNRILSKYSTQ -QKVVGEEEFSPEEDQIISDFLGKTEEAYPAEIEEEYPALRRLEQLMKTKVVVQEIEEPSQPVEAKMSTST -GSSAMIPANMDMDGNMPGYAPAQEARGWDSGETSRRNYGGHSRKWKDESQFFNLPSAMATSGAMLVLTMG -NYAKEFDRWQSINTNLLASQTFENAEDKITRIENLLGETEKLMFQTWRMAFPTAFEAMKTQATGTNGTQN -VFSQMKRILLGEVPEQGTTNTQDAAYKRIKSLVCQEMTYPAIMRYLVGYRNLAARSGRAWVNNELTDEFF -TKLPGKLGDRVKEAFKKKYPGVERHVPAATRFTYDYLEEICTENNFQKQLRSLNFCKGFPVVNPVGTRKY -GKKYGTRKARSYRGKPHKSHVRIEKKKYLQQREKKCRCYVCGSPDHLMKDCKSPMKRQERVNLANELDIP -DGYDLVSVGYDESDIDEIYSVSENEECQAHLGLNEDEQLPKVPQTFEEWEEYYKDEFIMMADIEESENSD -EEKGPFLVGPKGGFRHQMEVSYKQYKCEHDWDFTRTRVKPCKRCLKTVTKGQYIYCRTCKITVCHECSEF -CYNIKIEGAEAVKPPEKKSNYELLAKQLLIENSKLKMEKEILIEELNKEIKAHQETKKGKELYIEEASTE -VENEIETWKSRAELFEALYNEEVKKNKASTSSVTEGMYQVQIDHLRKELREVEATLEVNKVEESEEEAEE -VMMASAVKDEMYRFPVIIEVPEVGKVQLTALLDTGATRSCINQVFIEEKFLQPTKFKVKIHGVNSVTKLD -RQVKDGAKLWAGENWFRLPITYVGPMYMGEKTQMLIGCNFMQSLAGGVRLEGRTVTFYKYIASIKANEYL -QAEAEEILVATSEQEFINRSFMSKNKRLLEEMKEQGYMGEDTLAHWNKNQIKCKIELRNPDLIIKDKPQT -LLNIQKKEAMRKHIDALLERKVIRPSKSPHRTNAFIVESGTSIDPKTGKEIRGKPRLVFNYKRLNDNTWP -DQYSLPGINALLKNVARAKIFSKFDLKSGFHQVAMDEESIPLTAFSAYNELYEWLVMPFGLKNAPAIFQR -KMDQCFRGTEGFIAVYIDDILVFSEDEEQHAEHLWKMLQICKRNGLILSPSKYKIGVKKVDFLGSTIGDN -QLAVQEHIIKKIAEFDDEKLKTKEGLKSWLATLNYARNHIKDMGKLLGPLYPKTSEKGERRLNSEDWKLI -NRIKTMVRTLPNLTIPPEDAYIIIETDACATGWGAVCKWKKNKADPRNTEQICRYASGKFDKPKGTCDAE -IYGVMNGLEKMRLFYLDKREITVRTDSSAIERFYNKSAEHKPSEIRWIRFMDYITGAGPEIVIEHIKGKS -NGLADILSRLKAKLAQNEPTEEMILLTQAIREVIPYPDHPYTEQLREWGNKILDPFPTFKKDMFERTEQA -FMLTEEPVLLCACRKPAIQLVSRTSANPGRKFFKCAMNKCHCWYWADLIEEHIQDRIDEFLKNLEVLKTG -GVQTMEEELMKEVTKLKIEEQEFEEYQATPRAMSPVAAEDVLDLQDVSNDD - ->YP_233107.1 polyprotein [Banana streak GF virus] -MTTQRAHLPSINGTEVASTSRQPGTPLVEDQIRDYRRSARARYEAQRMGRNLVNIGRTIIGRRPREHTLA -LLMDPEVELRRSMQERARTVPAEVLYMTRRDDIHHRVYHYRSEEGMLITGSDQQDRTFITEESYEHLAQA -EIEYIHLGILQVRFQILHRRYAGTMALLVFRDTRWNSDDRSIIAAMEVDLSEGNQLIYIMPDMMMTIKDF -YRHIQMSIQTRGYDSWTGAEANLLITRSITSRLSNTPNVGFAFQVNKVAEYLRSKGIKAIDAMKYSTGQF -QHSRWNLRPSTVVIPVLPSTLVTSTNYDGSTTLQFGDYQAASSSRPPIYNNEDDEIDEEQHIVAVITIID -DQEDDYPALAAVEKQIFPENMVGEEEAIISSFLQKLELSEDEESYYGDLESEPRSHYEINHLDDDYPELL -NVEKILSTNESAISNYRVPQDEEMTVPGYAPAGSSRGWATNIDDAMLHQRKPKRWDNSSEWFQLPSANAR -QGSIFVMPYDFDVKVFERWESSVLVHLADKNFDTPEDKVIYIENLLGESEKKAFMTWRMKYLPEFEALKA -AALGNNGTQNILNQIRMIFFLENPKVGTTDEQDAAYKTIKSLVCNEMTDKAVYRYMNDYFHLASKSGRMW -ANEELSTEFFTKLPRHLGDKVEKAFKERHPTNSIGVTARIAFTRNYLKDMCQEALFQSQLKKMNFCGNTP -VHGIYGKNKEGGFRKYGVRKNTSYKGKPHKSHIRIGKQKHLNLRKKDCKCFACGETGHYASECTNPKKFT -HRVAILESLDLQEGLEVVSVGMDESDVSDIYSISENEDNHQITEEWDVLMLQEEEIPEPSEYYIGEPGWR -SQMKVSKTEFHCQHEWKFDKEDSKHCRDCKFEARRDNRMDCSKCQLTICALCTYHCFKILIPRKQTTPSM -KHDWRELAERQSELLRKFCQREKELEQEVQELRREISTLKTDLANLSVIQETPEEDEEELQRLRQENTLL -ELLNQKQQKDIEALQQQNELLLQLAKIDKKEIESLRSQHPLPVLHLDDFAVTVVQPSNNLLNIKVIVEID -GKQLPLNAILDTGAAICVCNGEVIPQEYRRSSLTDSLIQGVNGATRVNEILKDGKLWVGDQYFRIPRTYI -MPTMHKGLEFIIGMNFIKAMEGGLRIEKGEVTFYKLVTTVNTSPKPHEVCLLDELDLELPEYYDICAAIP -RQGSINEEFISPSEIDRLKRLGFIGEEPLRHWKRNQIKCKLEIKNPDLIIEDRPLKHVTPAMKETMTKHV -QRLLDIKVIRPSTSKHRTTAIMVNSGTEIDPITGAEKKGKERLVFNYKRLNDNTEKDQYSLPGINTIIAR -ISHSKIYSKFDLKSGFHQVAMEEESIPWTAFWAINGLYEWLVMPFGLKNAPAIFQRKMDNCFRGTEKFIA -VYIDDILIFSDSKEAHRTHLRQFITICEENGLVLSPTKMKIGVQQVDFLGATIGDSKVRLQPHIVKKVLE -TKEESLSETKALRRWLGILNYARAYIPDLGKILGPLYSKTSGKGERKLNHQDMKIIHQIKEKVKNLPELE -VPPPESIILIETDGCMDGWGGICKWKLNKGEPRSAEKICAYASGRFNPIKGAIDAEIQAVIYSLEKFKIY -YLDKRELILRTDSKAIVRFYEKCSEHKPSRVRWMTLTDYISGCGVKVYFEHIDGKDNTLADELSRLVQAI -LINKEESPIILSLIKATTEVLQKENPISRSRLALCISRALGNKYQVNFMTWEQPQLKCACGENAVLLTSH -TSRNPGRRFYRCGTNTCHVWYWADLIEDYIAQLSNLQNLDSGQADDEGWAYQTEDLINPEDLANSDIDDP -PEDSGLFHRHDD - ->YP_233110.1 putative polyprotein [Banana streak VN virus] -MASRPRVSGSTTRTMIAEPGVPLVDDQIREYRSAARVAYEAQRIARRTGNILGRIVGRQPREHTLAMVVD -PNSELERSLAHRARTIPAEVLYMTQRGEPTNRVYRNRTEERMLVTHGQQDRTFILPESYEELREAGFEYI -HLGVLQVRIQIMHRTYDGTMALVVFRDTRWTQENHQDRSIIATMEADLSQGHQLIYVIPDIMMTIRDFYQ -HIQISILTKGYEGFQGEANLLITRSCRCRLSNVPNVGFQYNIQNVVEFLKSRGVKALNATKLSTRRFQGG -EWNIRPSEVVVPMQPTTMIVRVNYDSSRSIRFGDYEASTSSSAPRYEQDGDEDEALGDIHQVNMITIIED -DAEDDYPRLSALERIIAPESMVGEEDTIAEFLSNLSLDSSTDEEFYDADNSLFEEEEYDGDSEVSTPRSK -YNIFALEDEYPKLQQLESLVLSTTESAISRFRPADTDMTGVGPGYAPATGTAGYTGASSSDFPYPRRPRK -WDNNSEWFNLPTANARQASIFVMPQDFDTKVFERWESSVLLHMSDKVFDDPQDKLTYVENLLGESEKKMF -ITWRMMFTAEYEEMKNNALGSNGTQNILNQIRMIFFLENPQVGTTNTQDAAYKTLKQLVCTEMSGPAIYR -YLNDYFHLAAKSGRAWASDELSKEFFTKLPRGLGDRVEKKFKEKYPNNTIGVAPRITFTRNYIKEICQEA -VFQSQLKRLDFCKGTPVHGLYGKEKAYGRKYGVRKSTSYKGKPHKSHVRIDKKKHLLMKRKDCKCFACGD -IGHFASECPNPKKLMHRVQILQSLELDDGIDVISVGFDESDVSDIYSVSEGEDSYQFNNEDFDVIGHDVF -MFTIEEQRNCLVETTSAWRSAMKVTPEEKNCLHTWSFEEKTTDHCRACKNLALRGSRADCTQCKIIICSL -CKPYYFQDGSPIPAQSSTPSGYSYDDWMGSANRWKAHYEFSQARRKSLKADLERAEEELKFYKQKEKEKA -KLKDQIPEAVQAKLDDLEKEKELNNILRIEAETELKALKESFKEKEEALKEEITALEEEVRIHKEEAEEL -QEENQKLKEKIIAFEKDVTQGPEEVIELVNNVEEHLVLTGQQKNNLLNIKITLEVKEKRITMNAILDTGA -AICVCDGQMVNEYFRRPSMMNAFIKGVNGITNVKEILEEGKIWIGNQWFRIPRTYIMPQLSEGLHFIIGM -NFIRAMEGGIRIEQGTVTFYKMVTQAQAPPMVHDISYLEELELELPIYYDICATNPSGGEINSDLISPSE -IRKLKDLGYIGEEPLKHWAKNQVKCRIEIKNPDLIIEDRPLKHVTPAMKESMKKHVDKLLELKVIRPSTS -KHRTTAIIVQSGTEIDPLTGKEKRGKERLVFNYKRLNDNTEKDQYSLPGINTIISRIGKSKIYSKFDLKS -GFHQVAMDPESIPWTAFWAIDGLYEWLVMPFGLKNAPAIFQRKMDNCFRGTEEFIAVYIDDILIFSDNIS -DHRKHLSKFLEICKANGLVLSPTKMKIGAKEIDFLGATIGNSKIKLQPHIIKKIIETKDEELKETKGLRK -WLGVLNYARAYIPNLGKTLGPLYSKTSINGEKKMNSQDWKVVQLIKNQVQNLPDLDIPPAEATMVLETDG -CMEGWGGVCKWKLHPSDTRLAEKVCAYASGRYHPIKSTIDAEVHAVINSLEKFKIYYLDKKELIIRTDSQ -AIVAFYKKQADHKPSRTRWLMLIDYITGLGINVKFEHIDGKENVLADTLSRLVQVLITKVHHPAETQLVE -AVMEVISNPKKEALDKVNHFIFLTQQWIAERKEEHTVNTLLQLEEPQLHCGCRNYETGERRNAILLQSHT -SANPNRWFYKCAENKCHIWIWKDILDQYAEDYATYTRIGLEALNLEDWFEEPEPDPPNPVDRQRIEDILD -LLNVSNDD - ->YP_224289.1 ORF III polyprotein [Banana streak MY virus] -MTTRRSSLPTVTETMGPSTSGRDGTPLIEDQIRDYRASARRRYEAQRVARNIGNVGRRLIGRQTREDTLA -LLMDPEVELQRSMRERARTVPAEVLYMSRRDDVHHRVYHFRSEERMMVSDADQQDRTFISEESFERLQQA -GLTYIHLGVLQVRFQILHRTFAGTMALLVFRDTRWTADDRSIISAMEVDLAEGNQLIYVIPNIMMTIGDF -YRHIQISIRTRGYDSWEGGEANLLITRSVTARLSNTSNVGFAYRIDRVAEYLRTKGVRAIDATKHDARRF -QHGEWNLRPSTAVVPVQPTSITSAVNYDRSISLRFGDYQAASSSRPPVYNKNDDEVDSDEETFQINMVLL -GDDMDYPMFRELEKLYITSESMVGEDDEEEKSEDLDDEEAHADFQKFLNYSDEVNTIQDFLNQYDADISE -DEANYDVGVLEEYPELLKLENNLAEIPILSEVTSQYRPADIDMAGPTGYAPATSQQGLLGSTAPDRLGKG -SFKWKSPTEYFNLPSAQQQAGAMFVMPANFDPKVFERWESITLNHMADKVFSTAEDKLIYLENLLGEAEK -IMFQSWRMTYANEYEEMKGQALGNNGTQNLLSQIRRIFYLEDPKTGTTVSQDAAYKAIKSLVCHEMTGTA -IKRYMADYWTLAAKTGRIWQGPELSDEFFTKLPSGLGDRVAKAFKEKYPGNTVGVPARITFTQLYLEELC -REAAYQRSLKNLDFCREFPIPGYYKKPGRKFGVRKSTSYKGKPHKTHIKIDKRKYLRNKKCKCYACGEEG -HYASECRNPRKLMERVSVLQDLELGKDMEIVSVEENETELSDIYSISEGEESGPPKSEIMSLELFMLHEI -EDEPEAYLIGATDTWRNQMKVSRKEYYCQHEWDHTTKVVERCRACTYYITSQPRIKCTKCKIPICNLCTN -YCYGIKMADEKEKEKEKEKESDLLGLVNNCREIMAKYRTEKEVLLEELNNALKQAKEYKEKFEHLEGICE -EQEAENKALAQENQDLKEKVEELKEENEILKDQNTTMGQEMVKIQEKQQEAEKFLRREIKRREQANTEKL -ALMMNEVCTEREYEYQINATTVQNNLYNIKIGLEVDGEKKFLNAILDTGAATCVVSQAKVSERFLEPSAM -KVELRGVNGTSKVNKVLKEGKIWIGNQYFRLPRTYALEIELSGGIDMIIGTNFLRAMSGGVRIEGETVTF -YKIVTTTDAPKVPHQINLIEELEMSEEEFIEVQISTSTEGYINKEIMDSKLFKELKDQGYIGEEPLKHWQ -KNMVKCKLELKNPDITIQDKPLKHVTAKMRETMRAHIDKLLQLKVIRPSSSRHRTTAMIVESGTEVDPKT -GQEKRGKERLVFNFKRLNDNTEKDQYSLPGINTIISRIGNAKVYSKFDLKSGFHQVAMDPESIPWTAFLA -NNELYEWLVMPFGLKNAPAIFQRKMDTCFKGTEAFIAVYIDDILVFSETEQLHRDHLRKFLEISKANGLI -LSPTKMKIGVKTIDFLGASIGNSKIKLQPHIIKKIADFDDHRLKETKGLRAWLGILNYARNYIPNLGKTL -GPLYSKISPNGEKRMNAQDWALVTQVKRQVQNLPELELPPEKCKMVIETDGCMEGWGGVCKWTTVGKAQE -KVCAYASGKFTPIKSTIDAEVQAVINSLDKFKIYYLDKKELLIRTDCEAIVRFYKSTAQNKPSRVRWLML -TDFISGTGLEIKFEHINGCENILADSLSRLVQTLLQGWQHQHLNGILLALEELYQKPNPEVAKKIGQIIM -KVLEKPAGIQINMITEGPKLRCACGKDAEIAVSHTSRNPDRPFYKCQRNLCHIWIWKDLVDDYFQNLTAW -NRISEEHRREMAREEGQNLEEEDYWENVFNEVFDHEEITEFYPDGGDPG - ->NP_777317.1 putative polyprotein [Kalanchoe top-spotting virus] -MTRPRIEGPVSRTETAEAGAPLVDDQIREYRSIRRTAYEARRRAGMAGRTMGRMLGQQPSNRAAPSIVNP -DEELERSLTRRARTIPAEVLYLAQRREVDNRVYRNMTEERMLITDGQQNRTFIRPESYSTLVASGYEYIH -LGVLQVRIQTMHRAFAGTMALVVFRDTRWTREVSGEDRSIIAAMEVDLSRGHQLIYVIPNIIMTIRDFYQ -HIQMSILTKGYTGFQGEANLLVTRSCRCRLTSVPNAGFAFNIQRVVEYLNSTGVRALEAQRVGNQQYQGT -DWHIEPSDIIVPAQPSQLRTRINYDGTRSIRFADYQASASTTLPRGSSDTDDHDATAVQTEYINMLYTIE -GDDTESALAALERLLAPESMVGEHRQPIFDLDDPNPDIIEEDFLNFLDSLTLNDDQNSNGQPDDDEVSTW -SAQINHINDQSEPPALLQLEQVLSTNTSAISRYRPADEDMTGIGPAYTPATSTRGWTGENSNFPPVTAPR -RWDNSSERFQLPSAQGTHGAIFVMPYDFDTKVFDRWESTTLLYLSERNFDTAEDKIRVIENLLGESEKKM -FIAWRMNFTEAYEELKAQAMGYNGSLNILNQIRLIFFLESPQAGTTDSQDAAFKTLKSLVCTEMTDTSLY -RYMNDYFHLAAKSGRAWANEDLSKEFFTKLPRGLGDRIEKAFTEKHPTNTIGVAARIAFTRNYLREICQE -AVFQSSLKRLGFCKSTPVHGVYGERKSYKGRFGARKSTTYNGKPHKSHVRIARKKHLALRKSECKCYACG -ELGHFASDCNNPRKLTQRVAILDSLELEKGIDVVSVGFDEDDVSDIYSVGEEIDEYQFTHENLEDFKNYE -VNFLEDHEEDERWDTLGEPSGKFDYYVKYSAPKHHQLMEEIIPSGWDTEEEEEEYPESVEEHDVFMLRED -EPDDYLVGNPPSWRSKLRVSRQQYYCQHNWQYDHKEPTTCKGCNIYAAPKNRMDCPQCKLTICVLCEPHY -YKGAMALSKPEPAPEEPKHLYWMRYAKEQLDKYKEAQKEKLELRKRVEILETMIPQHKELEEENELKIRL -EDEKRENSLLQRLYEDERTKNTELETKIKELQKELEQAKHQNTVLTAQAKGKTEEGEALMHYADEEDCVV -NLLRPRNNLLNIKVAVEVGSTKFNLNAILDTGATVCVCSEEMIPKEIREPSKTNTVIRGVNGANKVTEVL -KDGRLWVGDQYFRLPRTFVMPKLSDGLHIILGMNFISSMEGGIRIEQGMVTFYKLVTQAETSPIVHELNY -IEELELELPEYYNICAAAPESGVISEDFLDKRLIEEMKRLGFIGDEPLKHWRQNKVTCKLEIKNPDLIIE -DKPLKHVTPKMKEVMARHVTALLQSKVIRPSTSKHRTTAIIVESGTEVDPITGKEKRGKERLVFNYKRLN -DNTEKDQYSLPGINTIIKRIGTSKVYSKFDLKSGFHQVAMAEESIPWTAFWAIDGLYEWLVMPFGLKNAP -AIFQRKMDECFRGMEEFIAVYVDDILIFSNSVQDHKRHLQRFFEVCTKEGLVLSPTKMKIGVREVDFLGA -TIGNSKIKLQPHIITKIIDMRDEEIKETRGLRKWLGILNYARSYIPRLGQTLGPLYSKVSPNGEKRMNSQ -DWAIVKKIKQQVQNLPDLELPPQEAIMVIEADGCMEGWGGICKWKIFGPRTSEKVCAYASGKFNPIKSTI -DAEIQAVINSLDKFKIYYLDKKELVIRTDSQAIVTFYKRMADHKPSRVRWLAFTDYITGTGLDIKFEHID -GKENILADTLSRLVNFLCFAGTNEEVKELAVQAITQEQKNPMGLKRLGQILQHKEERVHYLEDENTTRVG -QTYSVGTEDLIQPTLNCACKIKAQKLISRTTRNPDRAYYRCGLSPPKCYTWIWEDILEAYVTERIIRTRQ -EQEIDILSDDNEAGINDLQNTTYDPGDDNNWEDAVDISAYDSIDAFWDAHT - ->NP_758808.1 polyprotein [Taro bacilliform virus] -MSLAVRDRGSNPSTSSTVPSQQDQIRDYRNMQRVRHTAERAARRIFPGRFNRTLESQINPEAEIRLSQQR -RAAMVPAEVLYNTSPSTRNQKVYQHYSEERILCTGQNQQLNLPFINESSYRALRESGQQHLHIGLIMIRV -HPLHRRNAGTTALIVPRDIRWNDDRSIIGTMEIDLSAGSQIVYIAPNIMLSVEDFYRNIQLAIQTQGYEN -WNSAESNLLISRALIGRLTNDSFTGFQYNISNVAEYLHSHGVQAIEGQAHPRTLGNRWILQAPAPPRSLV -PQNVETTTLLDGNVSIRFSNYHQAPVNDTQDNSHPDIQEDENQFIGFLSDLGEEYELEYPSFTPVHADEF -IFIIINGEEIPDDFVSSFCSNFSPPPIPEPEPTAIEETAFTLEEQFNDLDYPTLISMEKQLVQSSVTSAY -NPPTEPLMGQVVYPPASAPRPQAETSSTSERFKNFRAKPYSTPTIFLPPAYNQQGAILVLPDDIGLYEDT -ISRWESITLNMMNEKVWPSNEAKAKYMENLLGEMEKKTWIQWRTTYVSEYDALVQQSDETQNLLSQVRRI -FLLQDPYQGSTAEQDQAYNDLERISCDNIKDLIPYLIQFRNLAAKSGRLFLGPELSEKLFRKMPPLIGKE -IETAFIAKHGNANITVMPRIHFAYHYLAELCKKAALQRSLKDLSFCNQIPLPGIYTKGNKKFGLRKARTY -KGKPHPTHVRVFKKAKYQRTKKCKCFICGEPGHFARECTKQRGNIVRATVHQELAIPDNFDVVSVDADES -DSSGIYSYSENEAPLQEVNSFIHDENIFFLSDADEFESPQQHLHETVNMLQSRSAYLPQVAVGEEKLNCS -HIWLQDVDIPSDKHKCHTCRRDTQKHYRLECQKCKFLVCSLCTIPYLGITMQFRQKQKSQPENPNLVREL -LEHAIFLEEKCKNQELLSETQIERIVSSEKQVKFYGILPTKKSNKSAGYDLQSNIDIEIPPGKCTVISTG -TFLQMPDNMYGRLVERTSLAIQGITVQGGVIDPDFTGEIQIVLFNHNTAPYPVKKTYRLAQIIFEKFYTP -IFIQEPFTSTQQGSSNFGSTAKPLQITENIEVMSETVANQVAKSSVLPRLYSIQAHIHIAPDIVISTTAI -IDTGATVCCISEKIVPEAAKEQLNYKVNISGISSQQQIQHRLKRGTLEIASNKYALPLCYIIELNDKDDF -SMILGCNFFKHMGGGMRFEGPHVTFYKGITTLSTSYANTGIDTEHEQITSTTSQSFKERFSPLMNELKAA -GYIGEDPLKHWSKNKVTCKLDLKNTEITIQDKPLRHITPALEQSYGRHVNALLMLKVIQPSKSRHRTMAF -LVNSGTTVTADGKEIKGKERMVFNYKALNDNTYKDQYSLPNIQLILKKVINSTIYSKFDLKSGFHQVAMD -PDSVEWTAFLVPQGLYEWLAMPFGLKNAPAVFQRKMDAVFKGCEKFLAVYIDDILVFSNNEEDHAKHLVI -MLQRCKEHGLVLSPTKMNIAVREVNFLGATIGSRKVKLQENIIKKILDFDTEKLQSKKGLRSFLGILNYA -RNHIPNLGKIAGPLYSKTSIYGDIRFSASDWKLINEIKAIVEKLPPLDYPPEQAYIIIESDGCMEGWGAI -CKWKLAEYDPKSSEQICAYASGKFSPIKSTIDAEITAAMEGLEAFKIHYLDKQKITLRTDCQAIISFCNK -TSVNKPSRVRWLKFIDYITNTGIDVKFEHIDAKNNVLADTLSRLVNTLQDLPWLDEPHQDQTVSLMQEIE -DAPLEIKQRSLTCLQRLICRSFMEDSTEEAIHFLEDDKIEPTAESSTPITLDEFSRKRFQEHTDLLEEFQ -LTLLQINLLEASLHERLMKCQSYATRDNFWGDWLPEARRDLLQIQLAKEIIEKVREKLHSI - ->NP_569153.1 unknown [Citrus yellow mosaic virus] -MSTSRARTVIEQLPPATTARVEERDNTPLYDDQIRDYRQWQRRRHNMGRRWNQLIGRPYNQTLEQVVDPE -VALQLSMQERARLVPAEVLYRSRTDDRHHQVYIHKSEEAILCVDGDQVDRLLIQPESAEQLSRSGMSFIH -MGIVQVRIQILHRQHEGTTALVVFRDNRWQGDQSIFATMELDLTKGMQMVYIIPDTMMTVRDFCRNVQIS -ILTKGYGNWQNGEANLLVTRGIVGRLSNTPNVAFAYQIQNVTDYLVSHGIQALPGRRYSTADIQGQQWFL -RPSNIPAVPMAPTNVDTRNMIDGSISLRFNSYQPAPDPTPVAYNQHDEEVPPDEDEEQIRNHTIALWRED -DEVWDTLGEPSGKFDFYVRYTRPAHALQDPAHIVATGWDDLDNDPSTSSPSNNILTYLTPSSSSDEDDDM -SYLQYLAQQSPVPSPTQDFTNPFSEGGGESTYPYPSFQPPFDLQSDDSYGTLATWSEYDAMSQSNSPSSH -SDAIQHLSFQHPSADTVLDFDRYSFTTSEDDVVQSAWISENLFRENTGNGEVHNLVPPRPDTPRGDEVKG -TQESMAHTVAVTTEESKHEAEFDYPAFARLQAHEESGRPKPKTEKVLSSAISSYTPPTDTAMTPVAYPPA -QNIASPSYNPSPQMPMFEGYYPKRPNFKRDNHAFISLPSAQQNTGALFIMPQQIGLFHEVFTSWEAITKA -YVAQQGITDPRDKAEFIENMLGPTEKIIWTQWRMGYADEYENLVTTADGREGTQNILSQMRRVFSLEDPT -TGSTAVQDEAYRDLERLTCDSVKHIVQYLNDFMRIAAKTGRMFIGPELSEKLWLKMPGDLGQRMKKAYEE -KHPGNIVGVCPRILFAYKYLEGECKDAAFRRSLKNLSFCSSIPIPGYYGGKSGEKRYGVRRTTTYKGKPH -STHARIEKTKHLRNKKCKCYLCGEEGHFARECPNDRRNVKRVAMFEGLDLPDDCEIVSIDEGDPDSDAIF -SISEGEEAGTLEEQCFVFQEECNGTYWLGKRGGYQDLVQISKEIYYCQHEWEENQPINDPAHVRCYPCKR -ETTQRARLHCKLCHITSCLMCGPTYFNKKITVQPMPQAPFNQKGLLQQQQEYIAWCNNEIARLKEEVAFY -KQLAQERELQLQLEQSRKELAGVDSRRRKDKGIVIDEGSCYFNPEETTRIIAHGDTQVTKTRPVKNMLYN -MDVRMEIPGIPAFTVKAILDTGATTCCIDSRSVPKDALEENSFVVNFSGINSKQQVKQKLKTGKMFINEH -YFRIPYCYSFEMQIGDGIQLILGCNFIRSMYGGVRLEGNTITFYKQITSINTRLAAPLLKQEEEEKEEEL -NLEEHRLIQEMVAYSTERPFVQFQQKFAGLIQDLKAQGYIGEEPMKYWAKNQVVCHLDIKNPDMVIEDRP -LKHVTPQMEESFRKHVEALLKIGAIRPSKSRHRTTAIIVNSGTSIDPITGKEVKGKERMVFNYKRLNDLT -NKDQYSLPGIQTILQRLKGSTIFSKFDLKSGFHQVAMHPDSIEWTAFWVPSGLYEWLVMPFGLKNAPAIF -QRKMDHCFKGTEAFIAVYIDDILVFSKTEQDHEKHLQIMLAICQKNGLILSPTKMKIAQAEIEFLGAIIH -KGLIKLQPHIVQKLLTFTNKQLEEVKGLRSWLGLLNYARSYIPHMGRLLSPLYAKVSPTGERRMNRQDWA -LIDKIRAQVQNLPALELPPADCFIIIETDGCMDGWGGVCKWKVAQYDPRSSERVCAYASGKFNPPKSTID -AEIHAVMNSLNNFKIYYLDKSSLCLRTDCQAIISFFNKSNVNKPSRVRWIAFTDFLTGLGIPVNIEHIDG -KNNHLADALSRLVTGFVFAEPQCQDKFQDDLGKLEAALQEKKEAPQAMHVEYVSLLIRSADRITRSLCFM -RDSSHSRIYSCRPGKEPMKALICEQKSCQSKGDLGNTRTVHSKSAFNQQDNWWPSTSTNSLTSEAKLQGT -THMPIGYPHAIGTTSNCVKWSSY - ->NP_569150.1 polyprotein, cleavage products include viral coat protein and proteins with homology to an aspartic protease, reverse transcriptase and RNase H [Banana streak OL virus] -MAQRPRITGSRTTTAEEGTPLIDDQIREYRSSRRAAYEAQRIARQTGNIIGRVVGRQPREHTLSMVVDPN -SELSRSLAHRARTVPGEVLYMTQRDSPVNRIYRNRTEERMLVTNGQQDRSFIYQESFEELASAGFEYIHL -GVLQVRIQIMHRTYAGTMALIVFRDTRWTQEGEEGRSIIGAMEADLSQGHQLIYVIPDIMMTIRDFYQHV -QISILTKGYQGFQGEANLLITRSCRCRLTNVPNVGFAYNIQRVVEYLNSKGVKAIQAQKLSTTKYQGTEW -NIKPSNVVVPMQPTNLITRVNYDNSRSIRFGNYQASTSSAPPKYNEDGDSDDDIQATIEHVNMLYIEDTS -DTDYPVMAAEEEIFPLENMVGEDDIISQFLENLDITDDEEDSRSQYVMNLEDNEEFPQLREIEKVLSSVA -ETAISSYRPPDAEMGEEAPAYAPATSATGWAGSRPFPFMPKGGPRRWDSNNEFYSLPPAQSRQGAMFVMP -MDFDIKVFERWESITLLHMTEKIFDNADDKMRYMENLLGEDEKKHFIEWRMKYTAEYETMKAQALGDQGT -QNIINQIRLIFFLENPQVGTTTSQDAAYKTLKSLVCTEMTDTAIYRYMNDYFHLSAKTGRAWASEELSKE -FFTKLPRGLGDEVEKAFMEKHPSNTVGITARITFTKRYLKELCEKVALQKSIGKMDFCRSTPVHGLYRDK -SYRKYGARKSTSYKGKPHKSHVRIGKKKYLSLRKKNCRCYACGEEGHFASECKNPRKIMDRVKVLDSLDL -EDGLDVISVGFDEDDVSDIYSIDEEADNYRFTNEEMEGFKNYEVYMLRMEEMDEPREYLVGEPSEWRSKM -KVSRRQYFCKHEWKFEETHVTICKACGSEAAPKHRIDCLKCEMTVCLMCQPWFYKNVNTEEVKKTRVRIE -RVIDWKDIALKQHEVLKTSIANEKQLSEEVEILRKQSKELKEKEPIIFEEDTEETAQLIQKLEDVERENE -LLNILIKQKEKDEIQYLNEIIELKERIKDLEQQQKDKEEQVNVLEEVSINALRPRNNHLNIKCEIEVKNK -KVVLNAILDTGATVCVADERMIPSGMKEQAKNKIIIRGVNGVTEVNEVTSAGKLWVGKQWFYLPQTFIMP -SLADGVHMIIGMNFIRTVGLRIENGEVTIYKIMTTVQAPPIVHELNYIDELELELHEYYNICAAESSRGE -ISEEFISPDIIGKMKKLGYIGEEPLKHWEKNQVKCRIEVKNPDMIIEDRPLKHVTPTMKETMAKHVQKLL -ELKVIRPSSSKHRTTAMIVESGTEVDPMTGKERRGKERLVFNYKRLNDNTEKDQYSLPGINTIIKRIGNA -KIYSKFDLKSGFHQVAMDPESIPWTAFWAIDGLYEWLVMPFGLKNAPAIFQRKMDNCFRGTEDFIAVYID -DILVFSETIHQHKEHLKKFMTICEKNGLVLSPTKMKIGTRQIDFLGATIGNSKIKLQPHIIKKIIEMKDE -ELKEVKGLRKWLGILNYARSYIPKLGKILGPLYAKTSPNGERRMNTQDWKIVKEVKEVVANLPELELPPE -KAIMIIETDGCMEGWGGVCKWKTDSLQPRWSEKICAYASGKFTPIKSTIDAEIQAVINSLDKFKIYYLDK -KELIIRTDSQAIVSFYKKSSDHKPSRVRWLAFTDYITGTGLEIKFEHIDGKDNVLADTLSRLVKIILHPE -KHQSEGVLINAVEEVFHKGNTDAKQRVNDVVKRYEDWLSKGYRLHQINVLTLSEEPVFKCGCNKPAKLKI -SRTSRNPDREFYSCETNTCFTWVWKDQIDSFVQEKIRWEKKLEEISEDSLWEELLREQENLRAEQEYLIE -DALDLLDISNDD - ->NP_149413.1 polyprotein [Sugarcane bacilliform IM virus] -MAQVVRGTGSSTVLEDGAVLDDQIRDYRRSQHLKHELSRKTAKALSWVKTTDDNPREQTLEMVMSPEAEL -ERSLKKRARAFPAEVIYSPRRDDIHHRVFVGSSSQDVMIVDDNQIDMTYIKEESFEKLEQAGFRYIHLGA -MAIRIQPLHAAWSGKLAFIVLRDVRNSPPTTLGAMEVDLSKGAQLIYVLPNFMCTIKDFYHGIQLSIKTK -GYEGWQGEANLHLERLITGRLSNTSNVAFKYKIDGVTAYLKTNGIKAIDAKKESTKKIRGGEWNIQPSTV -EVVMEPRDMMQSQNFDGTTSFRFTNFQASASRPAPAYNDEDEVEMEAHMALCEELDEELTDQQWIDRYLS -EYSAQQKVVGEIDEEEEEDIITTFLSAIPDEEEAYPAEEIEEEYPAIKRLEELMRTRVIVKEVPEKSEQP -QEAKMASSEGSSAIWQPGTMDLDGNFPGYAPAQGATGWDNSASSSKKNYGGYSRKFKNESEFFNLPSAMA -TSGAILVLTMNNYAKEFERWQSINTNLLATQSFETADDKITRIENLLGETEKLMFQTWRMAFPNAFDTLR -GQALGTNGTANVFAQIKRVLLGEVPEQGTTGVQDAAYKKIKSLVCTEMTYPALMRYMVGYRNLAARSGRA -WANNELTNEFFTKLPGKLGDKVKEAFVAKYPSVERHVPAAIRFTYDYLEEICTENNFQKQLRSLNFCKGF -PVVNPVGTKKYGKRYGTRKARSYRGKPHSSHVRIERTRYLKQRKDGKGEKKCRCFVCGSTEHLMKDCKSP -MKRQDRVNLAREIDIPDNYDIVSVGFDEEDTEDIYSVSENEENQAHLGVSYEDPQLPTIPTSFEEWEEYY -KEEFTLMAEVDDSSDEEKGPFLVGPKDGFRHQMIVSQKQYKCTHDWEYQKDRTRPCKRCLKTVSKGEYAY -CKICKVGVCNDCALWCYQIKAPTCSQEKKPSSSINYEKLARQLLLENSKLKEEKKILIEELNKEIKARQE -AEKNKAVFVEETSSEVNDEIEMWKSKAELYEALYHEALNKPSSSMIKEGLYQTQITHLTKELRQLEAGME -VNQAVESEEEDEVEEVTLMASAVKDQMFRFPVVIEVPEVGKVQLTALLDTGATRSCINKPFIEDKMLQDT -AFKVKISGVNSVTYLDKQVKDGAKLWAGSSWFRLPLTYVGNMHMGEKTQMLIGCNFLQSLAGGVRLEGRT -VTFYKYISSIQANEFLQAEVEEINIATSEGGFIDKPFMSKNKQLIEEMKEQGYMGEDTLKHWEKNKVKCK -IELINPDLIIKDKPITMLTIQTKEAMRKHVDALLARGVIRKSNSPHRTNAFIVESGTSVDPVTKKEIRGK -PRLVFNYKRLNDNTWPDQYSLPGINALLKNVARAKIFSKFDLKSGFHQVAMDPESIPLTAFTAYNELYEW -LVMPFGLKNAPAVFQRKMDDCFRGTERFIAVYIDDILVFSETEEKHAEHLWKMLQICKKNGLILSPSKYK -IGVKRVDFLGSTIGDNQLAVQEHIVSKIADFDEERLKTKEGLKSWLATLNYARNHIKDMGKLLGPLYPKT -SEKGAKGLNSEDWKLISRIKTMVRSLPSLTIPPEDAYIIIETDACATGWGAVCKWKKSKADPRSSELICR -YASGKFDKPKGTCDAEIYGVMNGLEKMRLFYLDKREITVRTDSAAIERFYNKSVEHKPSEIRWIRFMDYI -TGAGPTIVIEHIKGKHNGLADILSRLKAKLAEAPSEEVVLLAKALKEIAYYPDHPQVPKLIEWGKQILDP -FPKFKKDMFERTEHIMMATQEPTLLCGCRKPAIMLTSGTRLNPRRRFYKCAMNICHCWYWADLLEEYVQE -RIEDFMVENFDKKAKLDEPSSSNVHHDDYEEHRSSVIDRPRPTDDHFRPWGDVTYWLNKEAEECHTRGDN -VEGAEDAVDLTDVSNDDQWRRS - ->NP_056762.1 hypothetical protein [Rice tungro bacilliform virus] -MSLRPFTGTSRTITQDSTSESNIKKGKNSTKRELIEEVDVNQEVENFDWKKLSGIKPNKLYEKNWQEKVK -LKQQSIVSAYKEEAISVTHNAYTTTLFPQEVIKNVKNQGKLYYHIGMMAIGVKGLHRRKIGTKVMIMFYD -DSFGKAREASIGSIEMDMNAGCGVFYSCPDFAKYIKDLSHLKIGIQTLGYENYEGKNLSVAIKTIGRLTT -NIQSKYKINVKDIVEQISSQGIIMVAPMEIDSSHLDGNEWDLSKFLNHENTSRVPTKALIYQNLQGGESL -RFSNYKQTRMHDPTENNSDEDEDLKILGEQLNIKMARFYTMQTPEEELREVIQQLEREKQAMIAKLEAKM -KESSKMAIVEDNFNPNNEYLEDTYSEYEDLEFEKLGLTGWEDLDQDSIETEEITEWENPNQVLHREIRAY -KSVSEQIEDIFGELLKEHGNYDMALKNLEEKYDLDKIEKAKSIEEIAKSSTSSEIRPTKRPKEEQTAYED -DMRDDWKRKELTVNPIEASKDRNFERIGSSYKKNFYPSRSEILNLDNVPPQFYYDQLVTWEGIVKNEWEA -RKKDGMDMWSWMDGRITGLVLYLVQDWISKNQAAYNDIKSRGDRPENFVKMVKDRFLIEDPTDERRTALQ -RLAQRELEALNCEDPTKIQPFMAEYLKKASEAKKGFDVVYVERLFDRLPEAVGKVVKADFVKDGNSYEAG -IGIAVSYISTWMRAKCIKETEAKTQKKASLAFCRSIYTIGDYKKRKILKRVTNYNKNRRKNYVRRPSIKK -KCRCYICQDENHLANRCPRRYTNQARASLIDGLDEDIVSIASDDEDIENFLEIIELDEFIAHSSQEHEHT -WEIGGKKDKVCEICSYFTDYNKTVSCKTCETQYCKTCSDQLALEVTEVKKPTKEETMIDDLKLNVKNLEF -RVTILEHKVEMQNLQDKFETMQIRNKSEITEIPTTSLAMRANESNYIKTSINKTAGCYVETKISFNNENR -IITALIDSGSTHNIICPTLIPASWINNTHREIIMFAVDNSKYNLNQELIDDIKLQFQEVDETFGIKYKLG -QTYVAPKPTKTFIIGHRFLTNENGSVTIHKDYITIQKTTGIYPTARHELKSEFARKHGGRPPLFSNIPET -YNKIPHLHSYQPQPILGYKNEIGNQSLITMVKELEALGFIGDDITKNRTTWVCDFKIINPDINITCATIP -YTPADKEVFEKQIKELLDNKLIKKADPTCRHRTAAFIVRNHSEEVAQKPRIVYNYKRLNDNMHTDPFNIP -HKISMINLIQKANIFSKFDLKAGFHHMKLKDDFKDWTTFTCSEGLYTWNVCPFGIANAPCAFQRFMQESF -GDLKFALLYIDDILIASNNEKEHIEHLKIFFNRVKEVGCVLSKKKSKMFLKEVEYLGVEIKEGKISLQPH -IVDKIKKFDKNKLNTLKGLQAYLGLLNYARGYIKDLSKLVGPLYKKTGKNGQRIFNKEDWNIIFKIEREV -SKIKPLERPKETDYIIIETDASEEGWGAVLVCKPDKYSGKDTEKIAGYASGNFGEKKTWTSLDYEIEAIN -EALNKFQIYLDKDFTIRTDCEAIVKGIKTEDYKKRSKTRWIKLRDNLLKDGYKPTFEHIKGNKNFLPNFL -SREGDFILKCLQNPDSTESYSIDSSESIPLYIDSKESHSIESDDSIPLYRDKLLPLVERLKEKSA - ->NP_041734.1 polyprotein [Cacao swollen shoot virus] -MSRARPQHPVPSVTTTTSEQNREGPLYEDQIRDYRRGQRRIFNLRRRARRLRRSMMGSRYQETLEQEIDP -QTTLRLSMQERARLVPAEVLYRSRRDTVHHRVYTHRSEESVLCVGGSQVDRAFIQPESLEQLQRTGMSFI -HIGILQVRIQILHRQEEGTMALVVFRDNRWSGDQSIFAQMEIDLTKGSQLVFVIPDTMMTIGDFARNVQL -SILTRGYENWQNGEANLLITRGMTGRLSNTPNVAFAYQIASATDYLASHGVKAIAGKKMNLQHLRNQQWI -LRPPQTDITPMQPRSVETRNLVDGSISIRFHDYEAATSASRPHYNEEDEEVESETESEIREHTIAVWIGE -EEIPDQTGRKKVWEESSNGNGRFFRYYTPPPTFEGQIIATGWGSDDDNEKTPPKWDESPDEEGPTEPIWD -QEEEEDEYDPNVYRAYLQKEEDEWQEITASLREEMEYPKRRPQTEMAFSETVDYTPPGDTMMTPVGYPPA -SSSRSTVTTPSRPPLFEGRTTHVPRFLKRDEYTEWWQLPSSQGTTGALFVMPKQMGLFHEVFSRWESITK -NYVAAQGFTDPTEKMEFMENLLGETEKLTWIQWRMNYEAEYQQLLTQADGRQGTQNILSQIKRIFSLEDP -ASGSTRIQDAAYRDLERLTCHNIKDIVQFLNDYGRLAAKSGRLFLGTELSEKLWMKMPPELGHRMKEAFQ -KEYSGNEVGVFPRILFAYRYLEQECKDAAFKRSLKSLSFCKDMPLTGYYDKTSKYGMRKSRTYKGKPHAS -HARVEKRKHLIRNKKCKCYLCGDEGHFARECPNQRRDVKRVAIFEGIDLPEGFDIVSVEEGEEESDAIYS -ISENEDGELDTEVVHEKVFMMREEDQSYWLGKTNHWTAMVRVSSQQYHCMHQWEHNKEILVVAHINCHFC -KQPTQLRSRIHCPTCQLTSCFMCAPIYCNMIVQQQPKPPVPFNTHTLLQQQAAYIQWLEKENQRLTEAVE -FYKKEAEELRLERDLEQDRRSLEPTLLDKGKKVQILDPDEDQHTAYLEEDTISRVIGHTVEQQEVRKPVK -KGNMLYNLDVVLHIPEVGRPIKVKAILDTGATTCCININSVPQTAIEQNTFLVQFRGINSTQSVDKKLKY -GRMTISNHQFRIPYCYAFPLSLGDGIEMILGCNFIRGMYGGLRIEGHTITFYKNVTTIQTRLAAVMVGGT -TASELGGGEESKSDSESMFDLSETEEFDSETHQQIVSHVAAQAQQQKLDPKLQQLMVQLQDQGFIGENPM -QHWAKNKILCRLDIKNPDLIIEDKPIKHLTPAMEKQFQKHIKALLDIGVIRPSKSKHRTTAFIVESGTVI -DPVTKKTIHGKERLVFNYKRLNDNTEKDQYSLPGIQTILKRVGNKKVFSKFDLKSGFHQVAMAEESIPWT -AFWVPQGLYEWLVMPFGLKNAPAVFQRKMDQCFKGTEEFIAVYIDDILVFSENMAEHTKHIGIMLKICQE -NGLVLSPSKICLAQREIEFLGTVISQGQMKLQAHVIKKIVNKANIELETTKGLRSFLGLLNYARIYIPNL -GRKLSPLYAKTSPTGEKRFNRQDWHLIKEIKDMVQKLPNLAIPPARCYIIIESDGCMEGWGAVCKWKLAK -EDSRTTEKICAYASGKFGVVKSTIDAEIYALIKALESFKIFYLDKKHLVVRTDCQAIVTFYNKTSTHKPS -RIRWITFSDYITGLGVPVTIEHIDGKENQLADTLSRLVYTTWNQSQTHQPEEEELEKSQHLSFAGLAIPI -AWPMMGSYNKRRTPLLTGQSLWQRNKPSQHSSTASKSRQPRKHYWPYVTYRAYSTSRETIWPLLPLETTG -LATDCQLPNKTQPP - ->NP_039820.1 polyprotein [Commelina yellow mottle virus] -MATRRLPAVTQTDGSRTATESGVPEYEDQIRSYRNDQRRRHIWAGRGRRLLSIMPGVSSSERTLEMQMNP -EVQLQRSMNHRAEAVPAEVLYRTFHGSVNHRVYSHRSEERMMVVNGSQVDRSFIQESSFEVLSRTGIEFI -HIGVMLVRIQILHRKFAGTMALIVFRDTRWSDDRAVLAAMEIDLSEGNQIVYVLPDIMMTIKSFYRHIQI -CVMTKGYDGWQGEDNLLITRGLTGRLSNTSNVGFAYDVKAMVEHLQSNGVKAIKGEKWDAKRFHNGQWNI -EPSKVVVPMQPTEMKAVSNYDGTTSLRFSNYAAASTSKPPQYNEKDEEINEDEQEINHSLNLILNDEEST -DEDEEYYQYQRYAWSQVGDSTFYYDTDGVWEEIDRCNDLPEYVPSETSTPTIDESEAIIDEFLEHAYEQR -CDSDESLQSGDPRKYEYPTPQSSPEHLDNESRSRSSSASSTSMQDDVEEIVRLMKEMRMKKQKKKKAQQA -LSSQAQEEPIIEENIEENKQAQEEPTQEEIPTHKENQPEEIQNEEIHVFEEEPAFKHLAAQLSELVNMAE -SSGQSGVGFQPPVNAQPDVNMEGPAGYAPATSQATWSNGVNIPVKSANFRWKGPVGNFQLPSAQGKDGAM -LVFGMNYSPEVFDRWASITRNYISSFNFNDGGDKIAWMEDLLGETERKIFVSWRMRFKDEYQNLAKIANQ -DGGTQAILSQIRRIFLGEDPVLGQNTVQNIAFRKLKQLVCPNYQSIRRYLMDYLTLAAETGLMWSETEGP -AISEELFTKMPAAIGERVAQAYKIMDPTSAVNLPSRVYFTINYLTEQCKEASYMRSLKALDFCRDFPIEG -YYGRSGEKKKYTARKATKYTGKAHDNHIRVTKAKYQRKCKCYICGQEGHYANQCRNKHKDQQRVAILQSL -DLKENEEVVSADDKEEEDDEIFSVLGEEDYQEETIMVLEEDDIQQIIKEFSKFGDLSRRNVGPNFPGPAE -VQMGVLKPKSSWRRPIQATLEEINCHHNWTAISTGQLACRSCKQFLAGVQCHHCHAVYCFMCAEAYHDVQ -AEKILSKDYSFSARGKKGKAVIIEEDEIEGEFLISQLQQENQRLQKQVERLQEELMKLHREKDEALKHSE -KASRVFSTIQESDEAELNLIKEELRQFKEETRMAIAQLKEAIIVQEEDTIEERCAMILEEKHTENIYSAT -AKAEYNGLYNVKVGIKPDNMEPYYINAIVDTGATACLIQISAIPENYYEDAKVTVNFRSVLGIGTSTQMI -KAGRILIGEQYFRMPVTYVMNMGLSPGIQMIIGCSFIRSLEGGLRIEKDIITFYKLVTSIETSRTTQVAN -SIEELELSEDEYLNIAASVETPSFLDQEFARKNKDLLKEMKEMKYIGENPMEFWKNNKIKCKLNIINPDI -KIMGRPIKHVTPGDEEAMTRQINLLLQMKVIRPSESKHRSTAFIVRSGTEIDPITGKEKKGKERMVFNYK -LLNENTESDQYSLPGINTIISKVGRSKIYSKFDLKSGFWQVAMEEESVPWTAFLAGNKLYEWLVMPFGLK -NAPAIFQRKMDNVFKGTEKFIAVYIDDILVFSETAEQHSQHLYTMLQLCKENGLILSPTKMKIGTPEIDF -LGASLGCTKIKLQPHIISKICDFSDEKLATPEGMRSWLGILSYARNYIQDIGKLVQPLRQKMAPTGDKRM -NPETWKMVRQIKEKVKNLPDLQLPPKDSFIIIETDGCMTGWGAVCKWKMSKHDPRSTERICAYASGSFNP -IKSTIDAEIQAAIHGLDKFKIYYLDKKELIIRSDCEAIIKFYNKTNENKPSRVRWLTFSDFLTGLGITVT -FEHIDGKHNGLADALSRMINFIVEKNDESPYRFTSSVEDALKVCNDDHGRNLISAVINDIITVLRR - diff --git a/seq/clusters_seq/cluster_220 b/seq/clusters_seq/cluster_220 deleted file mode 100644 index b1760fd..0000000 --- a/seq/clusters_seq/cluster_220 +++ /dev/null @@ -1,213 +0,0 @@ ->YP_009094068.2 NP [Avian paramyxovirus penguin/Falkland Islands/324/2007] -MASIFNEYQSLQDQLVKPAPRRVDAAATGLLRAEVPVCVTLSQDPGERWNLACLNLRWLVSESSTTPMRQ -GAIISLLSLHSDNMRAHATLASRAADAYLTILEVDEVDLQSGILRFNARSGVSDKRAQQLLAIADDIPKS -CANGHPFISDDIESRDPLDLAETIDRLQGIAAQIWIAAIKCMTAPDTAAESEGKRIAKYQQQGRLVKQVM -VHSSVRAEMLRVVRGSLVLRQFMVSECKRATAMGSNTSRYYAMVGDISLYFKNAGMTAFFLTLRFGIGTH -YPTLAMSVFSGELKKMASLIRLYKNKGEDAPYMAFLEDSDMGNFAPANYSTLYSYAMGIGTVLEASVAKY -QFAREFTSETYFRLGVETAQSNQGSFDEKVAQEMGLTAEARRQVKALVGNLDLNANTSSDQAAPAFMSAD -RYDTPANAEDPETPPVQRKTQAPTQPSGGDDDNPMSW - ->YP_009553488.1 nucleoprotein [Avian metaavulavirus 20] -MASVFSEYQSLQEQLVKPAPRRGDVGSTGLLRAELPVCVTLSQEPSERWNLACLNLRWLASDAATLPMKQ -GAILSLLSLHSDNMRAHASLAARSADASLAILEVDEVDFNNAVLRFNPRSGVSDRRAQQLLGIADDMPKT -CSNSHPFVDDDTEFNEPLDLAETIERIRGVAAQVWVAAIKCMTAPDTAAESELKRLARFQQQGRLSKRVM -VHSSIRTEFLRVVRSSLVIRQFMVAEFKRAASLGGETSQYYAMVGDISLYVKNSGLTAFFLTLRFGIGTH -YPTLAMSVFSGELKKMAALIKLYKMKGENAAYMAFLEDSDMGNFAPANYSTLYSYAMGVGTVLEASVAKY -QYAREFVSESHFRLGVETAQSQQGMLDEKVAQEMGLSEEARRHVRALVAAVDTTTPVPQQSVQPAFMNVV -DKQELGGQRETRPSQDKAESRLSTKPQSSADDDATSLDW - ->YP_009513213.1 nucleocapsid protein [Avian metaavulavirus 2] -MSSVFSEYQALQDQLVKPATRRADVASTGLLRAEIPVCVTLSQDPTDRWNLACLNLRWLISESSTTPMRQ -GAILSLLSLHSDNMRAHATLAARSADAAITVLEVDAIDMADGTITFNARSGVSERRSTQLMAIAKDLPRS -CSNDSPFKDDTIEDRDPLDLSETIDRLQGIAAQIWIAAIKSMTAPDTAAESEGKRLAKYQQQGRLVRQVL -VHDAVRAEFLRVIRGSLVLRQFMVSECKRAASMGSETSRYYAMVGDISLYIKNAGLTAFFLTLRFGIGTH -YPTLAMSVFSGELKKMSSLIRLYKSKGENAAYMAFLEDADMGNFAPANFSTLYSYAMGVGTVLEASVAKY -QFAREFTSETYFRLGVETAQNQQCALDEKTAKEMGLTDEARKQVQALASNIEQGQHSMPMQQQPTFMSQP -YQDDDRDQPSTSRPEPRPSQLTSQSAAQDNDAASLDW - ->YP_009513194.1 nucleocapsid protein [Avian orthoavulavirus 1] -MSSVFDEYEQLLAAQTRPNGTHGGGEKGSTLKVEVPVFTLNSDDPEDRWNFAVFCLRIAVSEDANKPLRQ -GALISLLCSHSQVMRNHVALAGKQNEATLAVLEIDGFANSVPQFNNRSGVSEERAQRFMVIAGSLPRACS -NGTPFVTAGVEDDAPEDITDTLERILSIQVQVWVTVAKAMTAYETADESETRRINKYMQQGRVQKKYILH -PVCRSAIQLTIRHSLAVRIFLVSELKRGRNTAGGSSTYYNLVGDVDSYIRNTGLTAFFLTLKYGINTKTS -ALALSSLTGDIQKMKQLMRLYRMKGENAPYMTLLGDSDQMSFAPAEYAQLYSFAMGMASVLDKGTGKYQF -ARDFMSTSFWRLGVEYAQAQGSSINEDMAAELKLTPAARRGLAAAAQRVSEEIGSMDIPTQQAGVLTGLS -DEGPRTPQGGSNKPQGQPGAGDGETQFLDFMRAVANSMREAPNPAQSTTHPEPPPTPGASQDNDTDWGY - ->YP_009512951.1 nucleoprotein [Avian metaavulavirus 8] -MSSVFNEYQALQEQLVKPAVRRPDVASTGLLRAEIPVCVTLSQDPGERWSLACLNIRWLVSDSSTTPMKQ -GAILSLLSLHSDNMRAHATLAARSADASLTILEVDEVDIGNSLIKFNARSGVSDKRSNQLLAIADDIPKS -CSNGHPFLDTDIETRDPLDLSETIDRLQGIAAQIWVSAIKSMTAPDTASESESKRLAKYQQQGRLVKQVL -LHSVVRTEFMRVIRGSLVLRQFMVSECKRASAMGGDTSRYYAMVGDISLYIKNAGLTAFFLTLKFGVGTQ -YPTLAMSVFSSDLKRLAALIRLYKTKGDNAPYMAFLEDSDMGNFAPANYSTMYSYAMGIGTILEASVSRY -QYARDFTSENYFRLGVETAQSQQGAFDERTAREMGLTEESKQQVRSLLMSVDMGPSSVHEPSRPAFISQE -ENRQPAQNSSDTQGQTKPVPKQPAPRADSDDIDPYENGLEW - ->YP_009512944.1 nucleocapsid protein [Avian metaavulavirus 6] -MSSVFTDYAKLQDALVAPSKRKVDSAPSGLLRVGIPVCVLLSEDPEERWSFVCFCMRWVVSDSATEAMRV -GAMLSILSAHASNMRSHVALAARCGDADINILEVEAIDHQNQTIRFTGRSNVTDGRARQMYAIAQDLPPS -YNNGSPFVNRDIEDNYPTDMSELLNMVYSVATQIWVAAMKSMTAPDTSSESEGRRLAKYIQQNRVIRSTI -LAPATRGECTRIIRSSLVIRHFLITEIKRATSMGSNTTRYYATVGDAAAYFKNAGMAAFFLTLRFGIGTK -YSTLAVSALSADMKKLQSLIRVYQSKGEDGPYMAFLEDSDLMSFAPGNYPLMYSYAMGVGSILEASIARY -QFARSFMNDTFYRLGVETAQRNQGSLDENLAKELQLSGAERRAVQELVTSLDLAGEAPVPQRQPTFLNDQ -EYEDDPPARRQRIEDTPDDDGASQAPPTPGAGLTPYSDNASGLDI - ->YP_009508517.1 NP [Antarctic penguin virus C] -MLFRYRTRSQGDCSHIGTGRMSSVFEEYDRFLENQTHPTSKASPAESGGTLRVEIPVMVLNSEDAELRWK -FTCFVARLAVSESANRPLRQGALISLLCAHAQVMRTHVALAGQSSEATITILEIDDFDDKMPVFNARSGV -SEERAARLALIADDLPRACSNKTIFQNAATEDDVPEDITDTLDRIFSIQGQIWVTVAKAMTALETAEESE -IRRLNKYMQQGRIQKKCLVYPTFRNLVQVTIRNSLVVRTFMVSEIKRAQNSPGGRSAYYNFVGDVAAYIR -NAGLTAFLLTLRFGIQTKLPALALSSLSGDIQKMKQLMKLYREKGENAPFMTLLGDSDQMNFAPAEYPLL -YSYAMGVASVLESSTGRYQFARDFMNTTFWRIGVESAQSLAGSVDESMAEELRLGRQSRAALTDLITKAA -GSSKDYTQGAPPPSVMTGMTAASGSMGPSSAGSRPGRVSGGTSVPQGFSTPEEYQAYLDNEASYKEQGAS -TVRSKPDTPGAGMDSSDGDAGDWGL - ->YP_009508511.1 NP [Antarctic penguin virus B] -MILRIITGRSRYSRGRDREQTYSANHSILNMSSIFSEYDKFLECQTHPVSRAMPTEGGGALKIEIPVFVL -NSDDPELRWRFTCFVLRLALSESANRPLRQGALISVLCAHAQVMKTYVSLAAQSGEAVITILEIDDFEDK -IPVFNNRSGITEERASRLAMIAEDLPRACTNETPFVNGNTENDPPEDASDVLDRIFSIQVQIWITVAKAM -TAFETAEESETRRLNKYMQQGRVQKKCLLFPIVRTTVQLTIRQSLLIRGFLVSEMKRAQNSPGGKSAYYS -FVGDIAAYIKNAGVTAFLLTLKFGIQTRLPALALSSLSGDIQKVKQLMVLYREKGENGPYMTLLGDPDQM -QFAPAEYSLLYSYAMGVASVLESSTTRYQFARDFMNPTFWRIGVESAQTLATSVDEGMASELQLGKQSRA -VLSEMMQKVAGSAGEYTMNAPAASVMVGSGVGESTRPLTSKVGSSRIQSDGAQIPPGFNTLEEYYDYMRS -EGAGKAGTSAGTSSKPKTPGLDDDTDNQGDWSL - ->YP_009508505.1 NP [Antarctic penguin virus A] -MSSVFAEYDKFLENQTHPPSRALPTEGGGSLKVEVPVFVLNSDDPELRWRFVCFCLRLAISESSNRPLRQ -GALISVLCAHAQVMKTYATLAAQSGEAVITILEIDDFDDKMPVFNSRSGITDERAARLALIAADIPRACS -NETPFANAATENEPPEDASDTLDRIFSVQVQVWITVAKAMTAFETAEESETRRLNKYMQQGRVQKKCLLY -PIVRTTVQMTIRQSLVIRGFLVNEMKRAQNSPGGKSAYYSFVGDISAYIKNAGVTAFLLTLKFGIQTRLP -ALALSSLAGDIQKVKQLMVLYREKGENGPFMTLLGDPDQMQFAPAEYSLLYSYAMGVASVLEASTSRYQF -ARDFMNPTFWRIGVESAQSLSTSVDEQMATELQLGRQSRAALGEMMAKVAGSAGEYTMNAPAAAVMIGAS -GPNPPSSARQSGSGPKQTESDIQLPPGFNTPEDYLAYIRNEEAFKSGRATSSTEVPKTPGLAGDNDPQVD -WEL - ->YP_009508499.1 nucleoprotein [Avian paramyxovirus UPO216] -MSSVFEEYEQLLASQIKPSGSQGLGDKGGTLRVEIPVFTLNSDDQEDRWHFAVFCLRVAVSEDANKPLRQ -GALISLLCAHSQVMRTHVALAGRQTEATMAILEIDSFSNGVPQFNNRSGVSDERTQRFMMIAGSLPRACS -NGSPFTSSGAEDDVPEDITETLERILSIQVQIWVTVAKAMTAYETADESETRRINKYMQQGRVQKRYIMH -PVCRSAIQMIIRQSLPVRTFLVSELKRGRNTAGGTSTYYNFVGDIDAYIRNTGLTAFFLTLKYGINTKTS -ALALSSLSGDIQKMKQLMRLYRAKGDNAAYMTLLGDSDQMNFAPAEYACLYSFAMGMASIIDKGTTRYQF -ARDFMNTSFWRLGVEYAQAQGNSIDESMAAELKLTPAARRGLAATAQKVSEESGSAEMPMQSAGVLTGIS -QTADRSDQPKGQSTKVPRDANADDTQFLDLMRAVAAGMRDASQQNQGEPPSTGPPPPTPGGNQDQDQEWG -Y - ->YP_009508491.1 nucleocapsid protein [Avian paramyxovirus 14] -MSSVFNEYEKLQDLLVQPQKKRVDATGTSLLKVTIPVCITMSDEMEDRYALAMLAMRWISSTNAPDAVRI -GAMITVLSLHAGNMRSHAMLAARSPDSEIQILEVEEVDNDNRTIRFSGRSGVPDGRARQLYALATDTMSG -CANGSPFINGGIEQNPPGDMTELLEMIHSMAAQVWIAAMKSMTAPDSASESEARRTAKYAQQGRINKATL -VHPAVRAEFTRLVRKAMVLRAFMIQEIRRASSMSGEHSRYYAMVGDMGAYFRNQGLASFFLTLRFGVGTR -FPALAISALSADLKKVKSLIKLYQQKGDNAAFMAFLEDPQMMDFAPGNYTLLYSYAMGVGSILESSVGKY -QFARNFLNETFFRLGVDTAQKHQSSLDEETANELGLTKEARQSVKELVASIDIAGGDQIQPQAPAFLDQG -MSQRTGDTDIGSNQLSTPMKRPRTPDYDSPQNPDDSPNYDLDI - ->YP_009380504.1 nucleocapsid [Avian paramyxovirus 15] -MSSVFSDYQALQEQLVKPTTRRMDAGSTGLLKAEIPVCVTLSNDPRERWNLACINLRWLISESSTMPMRQ -GAIISILSLHSDNMRAHATLASKAADAAITVLEVDNVDLQNGILAFNPRSGVPDKRAQQLLAIADDLPKS -CPNNSPLVTRDIEESEPLDLSETIERLQGIAIQVWVAAIKSMTAPDTASESESKRLAKYQQQGRLMKQVM -LHNSVRQEFLRVIRSSLVIRHFMINECRRAATMGNNTSRYYAIVGDISLYFKNAGLTAFFLTLKFGVGTK -YPTLAMSALSGELKKLAALIKLYRNKGEDAAYMAFLEDPDMGHFAPANYSTIYSYAMGVGTVLESSVTKY -QFAREFTSETFFRLGVETAQSQQASIDETTAVEMGLTREARNQVKILVENLDLSAGSDIQTSAPQFLDES -DSQPQVNSRSIPTTTKNSTPAKPSNPDGDDLSMDW - ->YP_009255221.1 nucleocapsid protein [Avian paramyxovirus goose/Shimane/67/2000] -MASIFAEYDKLLDSQTKCNKGASPAERGGTIKVEIPVFICNTNDPETRWSFVSFAVRLAVSDSANKPLRQ -GAMVSLLCAHSETMRTHVAMASRNGETTIALLEIDAFNNGTPVFNARSGISDEKAQRFTMIANDLPRSCG -NGTPFINGEAENDPPEDITDTLERILSVQGQIWVTLAKAMTAYETAEESESRRITKYVQQGRISKRFLLY -PVVRSSIQLTIRSSLAVRAFMVSELRRAKNTPSGTSTYYSLVGDIDAYVRNAGLTPFFLTLKYGINTRTP -ALALSRLAGEIKKLGSLMRLYREKGDNGPYMTLLGDPDQMQFAPAEYSLMYSFSMGIASVLDKGTSKYQF -SRDFMNPGYWRLGVECAQQQAASINEEMADELRLSPSARKALSNVVSKMTESSANEGFDAGNASVTSGLA -QPSGDSSLKKISQPADGASQEISSDDQRFIDLMRSIASNMRSSDNDIPPTPGLTPRRDPAEDEGTDQADQ -WDM - ->YP_009094477.1 NP protein [Avian metaavulavirus 11] -MAQALREYSSLQDQLVKPSPRRQDAGSSALLRVEIPVCVTMSNEPEERWNFVCMCLRWIASDTTTIPMKQ -GAILSILSVHTENMRAHAALAARAADASLVFLEVDSVSLPDGTLRFNARSGITEQRADRLRRQAVDLAAV -YPNRNPFLDPHIETEVPTDMAELLDYIYSIAVQIWVAVIKSMTAPETAAESENKRLAKYQQQGRLNRRLT -IHPALRAEIQRVIRGSLVLRHFMIAEIKRANGMGDNTTRYYAMVGDVSGYVKNAGLTAFFLTLRFGLGTK -YPALAMSALAGDLRKISSLIRVYKMKGENAPYMAFLEDPDMGNFAPSNYSLLYSYAMGVGNVLEASVTRY -QFAREFLNDNYYRVGVRSAQQQQGALDEDMAKEMQLTEEARRQVRELVSNLEGDELPLPANAGPRFMNDG -GNNNPNGQADQLPQGDDNDDMPPLERDDDDPNLGI - ->YP_009094359.1 nucleoprotein [Avian orthoavulavirus 9] -MSSIFNEYESLLESQLKPTGSNVLGEKGDTPKVEIPVFVLNSDNPEDRWNFTTFCLRVAVSEDANRPLRQ -GALISLLCAHSQVMKNHVAIAGKQDEALIVVLEIDTINDGVPAFNNRSGVTEERAQRFAMIAQALPRACA -NGTPFTVQDAEDDPVEDITDALDRILSIQAQVWVTVAKSMTAYETADESEQKRLTKYVQQGRVQKKCMIY -PVCRSMLQQIIRQSLAVRRFIVSELKRARNTAGGTSTYYNFVADVDSYIRNAGLTAFFLTLKYGVNTKTS -VLALSSLAGDLQTVKQLMRLYKAKGDDAPYMTILGDGDQMRFAPAEYAQLYSYAMGMASVIDKGTSRYQY -ARDFLNPSFWRLGVEYAQTQGSNINEEMASELKLSPIARRMLTTAVTKVATGASDYSVPQHTAGVLTGLN -STDGNLGSQKLPTSIQQDQNDDTAMLNFMRAVAQGMKETPIQAPPTPGFGSQQAADDDDSRDQADSWGL - ->YP_009094212.1 nucleoprotein [Avian paraavulavirus 3] -MAGIFNTYELFVKDQTCMHKRAASLISGGQLKSNIPVFITTRDDPAVRWNLVCFNLRLIVSESSTSVIRQ -GAMISLLSVTASNMRALAAIAGQTDESMINIIEVVDFNGLEPQCDPRSGLDAQKQDMFKDIASDMPKVLG -SGTPFQNVSAETNNPEDTHMFLRSAISVLTQIWILVAKAMTNIEGSHEASDRRLAKYTQQNRIDRRFMLA -QATRTACQQIIKDSLTIRRFLVTELRKSRGALHSGSSYYAMVGDMQAYIFNAGLTPFLTTLRYGIGTKYH -ALAISSLTGDLNKIKGLLTLYKEKGSDAGYMALLEDADCMQFAPGNYALLYSYAMGVASVHDEGMRNYQY -ARRFLHKGMYQFGRDIATQHQHALDESLAQEMRITEADRANLKVMMANIGEASHYSDIPSAGPSGIPAFN -DPPEELFGEPSYRKLPEEPQVVELQDRDDDEQDEYDM - ->YP_009094168.1 nucleoprotein [Avian orthoavulavirus 12] -MASVFEEYDKLLESQTRPSKGVSPAEKGGTLKVELPVFVCNSNDAETRWAFVCFALRLAVSDSSNKPLRQ -GAMISLLCAHSETMRTHVAMAGRNGETSIALLEIDAFVDGMPVFNSRSGITDEKAQRFSMIAGDLPRSCS -NNTPFINADAENDPPEDITDALERILTVQTQLWVTLAKAMTSYETAEESEVRRITKYTQQGRVIKKFLLF -PVVRSSIQVTIRSSLAVRAFMVSELRRAKNTPSGSSTYYSLVGDINAYVQNAGLTAFFLTLKYGINTRTP -ALALSSLSGDIKKLGNLMRLYREKGDTAPYMTLLGDPDQMQFAPAEFALMYSFAMGMASVLDKGTTKYQF -ARDFMNPGYWRLGVECAQQQSASIDEAMAAELRLSGNARKALANAVSRMTEGVSQDLFEGGSASVTSGLG -VQESQKPSKPSRGDEVRGPDGIPADEQRFLDLMRSIAGNMRDSDAPPPPTPGASYQDREDDNLDGEPSSQ -WEL - ->YP_009094153.1 nucleoprotein [Avian metaavulavirus 5] -MSSVFTDYDKLQEYLVTPCKKRVDGATGGLLRLNIPVCVTTSENPEERWALAIICLRWIVSDSATEAIKI -GAILSLLSLHASNMKAHASLAARSSEAELTILEMENLDMQQQLIRFSARSGVPETRARQLFAMIDDINTS -CRNGSPFLNPNIESDSPADMSDLLDMLHSIAAQIWVSAMKSMTAPDTAAESEARRIAKYAQQNRVTQQTM -LQTYTRTELSRLIRKSLILRHFMIYEIRRATSMGSNTTKYYAMVGDAAAYFKNAGLASFFLTLRFGIGTK -YPVLAMAALSSDLKKIQSLIRVYQQKGEEAPYMTFLEDPDTMSFAPGNYPLIYSYAMGVGSILEASVGKY -QFARSFMNDTFYRLGVDTAQKHQGALDEQMANELQLTSEARQSVKELMASLDMSDHSPNQTAAPSFLANP -NNPNPAPAPTGQQESRTSQPTPPSSGTIQPPAPADPPSTSHGLDI - ->YP_009094055.1 nucleocapsid protein [Avian metaavulavirus 7] -MSSIFTDYTNLQEQLVRPVGRKVDNASSGLLKVEIPVCVLNSQDPVERHQFAVLCTRWISSSIATTPVKQ -GALLSLLSLHTENMRAHVLLAARSGDANITILEVDHVDVEKGELQFNARSGVSSDKADRLLAVAMNLIAG -CQNNSPFVDPSIEGDEPTDMTEFLELAYGLAVQAWVAAIKSMTAPDTAAESEGRRLAKYQQQGRLTRRAA -LQATVRGELQRIIRGSLVVRHFLIGEIRRAGSMGEQTTAYYAMVGDVSQYIKNSGMTAFFLTLRFGVGTK -YPPLAMAAFSGDLTKLQSLIRLYRNKGDIGPYMALLEDPDMGNFAPANYTLLYSYAMGIGSVLEASIGRY -QYARTFLNESFFRLGASTAQQQQGALDEKLANEMGLSDQARAAVSRLVNEMDMDQQVAPTPVNPVFAGDQ -AAPQANPPAQPRQNDTPQQPAPLQQPIRIAMPQNYDDMPDLEM - ->YP_007008147.1 nucleocapsid protein [Avian paramyxovirus 4] -MAGVFSQYERFVDNQSQVSRKDHRSLAGGCLKVNIPMLVTASEDPTTRWQLACLSLRLLISNSSTSAIRQ -GAILTLMSLPSQNMRATAAIAGSTNAAVINTMEVLSVNDWTPSFDPRSGLSEEDAQVFRDMARDLPPQFT -SGSPFTSALAEGFTPEDTHDLMEALTSVLIQIWILVAKAMTNIDGSGEANERRLAKYIQKGQLNRQFAIG -NPARLIIQQTIKSSLTVRRFLVSELRASRGAVKEGSPYYAAVGDIHAYIFNAGLTPFLTTLRYGIGTKYA -AVALSVFAADIAKLKSLLTLYQDKGVEAGYMALLEDPDSMHFAPGNFPHMYSYAMGVASYHDPSMRQYQY -ARRFLSRPFYLLGRDMAAKNTGTLDEQLAKELQVSERDRAALSAAIQSAMEGGESDDFPLSGSMPALSES -TQPVTPRTQQSQLSPPQSSNMSQSAPRTPDYQPDFEL - ->sp|Q99FY3.1|NCAP_NDVAF RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MSSVFDEYEQLLAAQTRPNGAHGGGERGSTLRVEVPVFTLNSDDPEDRWNFAVFCLRIAVSEDANKPLRQ -GALISLLCSHSQVMRNHVALAGKQNEATLTVLEIDGFTSSVPQFNNRSGVSEERAQRFMVIAGSLPRACS -NGTPFVTAGVEDDAPEDITDTLERILSIQAQVWVTVAKAMTAYETADESETRRINKYMQQGRVQKKYILH -PVCRSAIQLTIRHSLAVRIFLVSELKRGRNTAGGSSTYYNLVGDVDSYIRNTGLTAFFLTLKYGINTKTS -ALALSSLTGDIQKMKQLMRLYRMKGENAPYMTLLGDSDQMSFAPAEYAQLYSFAMGMASVLDKGTGKYQF -ARDFMSTSFWRLGVEYAQAQGSSINEDMAAELKLTPAARRGLAAAAQRVSEETGSVDIPTQQAGVLTGLS -DGGPRASQGGSNKSQGQPDAGDGETQFLDLMRAVANSMREAPNSAQSTTHPEPPPTPGPSQDNDTDWGY - ->sp|P09459.2|NCAP_NDVB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MSSVFDEYEQLLAAQTRPNGAHGGGEKGSTLKVDVPVFTLNSDDPEDRWNFAVFCLRIAVSEDANKPLRQ -GALISLLCSHSQVMRNHVALAGKQNEATLAVLEIDGFANGMPQFNNRSGVSEERAQRFAMIAGSLPRACS -NGTPFVTAGAEDDAPEDITDTLERILSIQAQVWVTVAKAMTAYETADESETRRINKYMQQGRVQKKYILY -PVCRSTIQLTIRQSLAVRIFLVSELKRGRNTAGGTSTYYNLVGDVDSYIRNTGLTAFFLTLKYGINTKTS -ALALSSLSGDIQKMKQLMRLYRMKGDNAPYMTLLGDSDQMSFAPAEYAQLYSFAMGMASVLDKGTGKYQF -ARDFMSTSFWRLGVEYAQAQGSSINEDMAAELKLTPAARRGLAAAAQRVSEETSSIDMPTQQVGVLTGLS -EGGSQALQGGSNRSQGQPEAGDGETQFLDLMRAVANSMREAPNSAQGTPQSGPPPTPGPSQDNDTDWGY - ->sp|Q77K03.1|NCAP_NDVB1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MSSVFDEYEQLLAAQTRPNGAHGGGEKGSTLKVDVPVFTLNSDDPEDRWSFVVFCLRIAVSEDANKPLRQ -GALISLLCSHSQVMRNHVALAGKQNEATLAVLEIDGFANGTPQFNNRSGVSEERAQRFAMIAGSLPRACS -NGTPFVTAGAEDDAPEDITDTLERILSIQAQVWVTVAKAMTAYETADESETRRINKYMQQGRVQKKYILY -PVCRSTIQLTIRQSLAVRIFLVSELKRGRNTAGGTSTYYNLVGDVDSYIRNTGLTAFFLTLKYGINTKTS -ALALSSLSGDIQKMKQLMRLYRMKGDNAPYMTLLGDSDQMSFAPAEYAQLYSFAMGMASVLDKGTGKYQF -AKDFMSTSFWRLGVEYAQAQGSSINEDMAAELKLTPAARRGLAAAAQRVSEVTSSIDMPTQQVGVLTGLS -EGGSQALQGGSNRSQGQPEAGDGETQFLDLMRAVANSMREAPNSAQGTPQSGPPPTPGPSQDNDTDWGY - diff --git a/seq/clusters_seq/cluster_221 b/seq/clusters_seq/cluster_221 deleted file mode 100644 index 69433e0..0000000 --- a/seq/clusters_seq/cluster_221 +++ /dev/null @@ -1,160 +0,0 @@ ->YP_009825028.1 NSP16 [Duck coronavirus] -AWKCGYNLPELYKVQTCVMEPCNIPNYGVGITLPSGIMMNVAKYTQLCQYLSKTTMCVPHNMRVMHFGAG -SDKGVAPGSTVLKQWLPEGTLLVDNDIVDYVSDAHVSVLSDCNKYKTEHKFDLVISDMYTDNDSKRKHEG -IVANNGNDDVFIYLANFLRNNLALGGSFAIKVTETSWHDSLYDIAQDCAWWTMFCTAVNASSSEAFLVGI -NYLGDSGRVKVSGKTLHANYIFWRNVSYLQTSAFTIFDVAKFGLKTKATPVVNLKKELQTDLVLNLI - ->YP_009755924.1 nsp16 [Canada goose coronavirus] -GWRSGYTMPELYKVQDCVMEPCNIPNYGVGVSLPDGILMNVAKYTQLCQYLNKTSMCVPHNMRVMHFGAG -SDKGVAPGTTVLKQWLPEGTLLVDNDIATFVSDAHVSFVADCNKFRTEYKFDLIISDMYTGSNAKSHSGS -IVNNGNDDVFVYLTNFIRSNLALGGTFVVKVTETSWHEELYNLAQDCAWWTMYCTAVNASSSEAFLIGVN -YLGESKGIKIPGKTLHANYIFWRNVSVLQTSAFSIFDVARFELKNKATPVVTLKKELQSELVLNLVRNGK -LLIRETGPVNLLDESFI - ->NP_835356.1 putative coronavirus nsp13 [Human coronavirus 229E] -SAEWKCGYSMPGIYKTQRMCLEPCNLYNYGAGLKLPSGIMFNVVKYTQLCQYFNSTTLCVPHNMRVLHLG -AGSDYGVAPGTAVLKRWLPHDAIVVDNDVVDYVSDADFSVTGDCATVYLEDKFDLLISDMYDGRTKAIDG -ENVSKEGFFTYINGFICEKLAIGGSIAIKVTEYSWNKKLYELVQRFSFWTMFCTSVNTSSSEAFVVGINY -LGDFAQGPFIDGNIIHANYVFWRNSTVMSLSYNSVLDLSKFNCKHKATVVVQLKDSDINEMVLSLVRSGK -LLVRGNGKCLSFSNHLVSTK - ->YP_009924397.1 nsp16 [Rousettus bat coronavirus HKU9] -AKQDWKPGYSMPALYKVQNAVLEPCLLHNYGQAARLPSGTLMNVAKYTQLCQYLNTCSLAVPAKMRVMHF -GAGSDKGVCPGTAVLKQWLPADAYLVDNDLCYCASDADSTYVGSCETFFSVNKWDFIFSDMYDARTKNTS -GDNTSKEGFFTYLTGFIRSKLALGGSIAIKITEHSWSADLYAIMGHFNWWTCFCTSVNSSSSEAFLIGVN -YIGVGALLDGWQMHANYVFWRNSTVMQLSSYSLYDLQRFPLRLKGTPVMSLKEDQLNELVLNLIRAGRLI -VRDAVDIGVRGVACSGV - ->YP_009944306.1 nsp16 [Betacoronavirus England 1] -ASADWKPGHAMPSLFKVQNVNLERCELANYKQSIPMPRGVHMNIAKYMQLCQYLNTCTLAVPANMRVIHF -GAGSDKGIAPGTSVLRQWLPTDAIIIDNDLNEFVSDADITLFGDCVTVRVGQQVDLVISDMYDPTTKNVT -GSNESKALFFTYLCNLINNNLALGGSVAIKITEHSWSVELYELMGKFAWWTVFCTNANASSSEGFLLGIN -YLGTIKENIDGGAMHANYIFWRNSTPMNLSTYSLFDLSKFQLKLKGTPVLQLKESQINELVISLLSQGKL -LIRDNDTLSVSTDVLVNTYRKLR - ->YP_009944353.1 nsp16 [Pipistrellus bat coronavirus HKU5] -AINDWKPGLAMPSLFKVQNSNLEPCMLPNYKQSIPMPQGVHMNIAKYMQLCQYLNTCTIAVPANMRVMHF -GAGSDKGVAPGSSVLRQWLPTDAILIDNDLNEYVSDADITLFGDCVTVRVGQQVDLLISDMYDPSTKVVG -ETNEAKALFFVYLCNFIKNNLALGGSVAIKITEHSWSAELYELMGRFAWWTVFCTNANASSSEGFLIGIN -YLGELKEVIDGNVMHANYIFWRNTTLMNLSTYSLFDLSRFPLKLKGTPVLQLKESQINELVISLLSQGKL -IIRDNDTLSVSTDVLVNFYRKPHKRSKC - ->YP_009944324.1 nsp16 [Tylonycteris bat coronavirus HKU4] -ATNDWKPGLTMPSLFKVQQMNLEPCLLANYKQSIPMPNGVHMNVAKYMQLCQYLNTCTLAVPANMRVIHF -GAGCEKGVAPGTSVLRQWLPLDAVLIDNDLNEFVSDADITIFGDCVTVHVGQQVDLLISDMYDPCTKAVG -EVNQTKALFFVYLCNFIKNNLALGGSVAIKITEHSWSADLYKIMGRFAYWTVFCTNANASSSEGFLIGIN -FLGELKEEIDGNVMHANYIFWRNSTPMNLSTYSLFDLSRFPLKLKGTPVLQLKESQINELVISLLSQGKL -LIRDNDTLNVSTDVLVNFRKRL - ->YP_460023.1 nsp16 [Human coronavirus HKU1] -ATNDWKPGYSMPVLYKYLNVPLERVSLWNYGKPINLPTGCMMNVAKYTQLCQYLNTTTLAVPVNMRVLHL -GAGSDKEVAPGSAVLRQWLPSGSILVDNDLNPFVSDSLVTYFGDCMTLPFDCHWDLIISDMYDPLTKNIG -DYNVSKDGFFTYICHLIRDKLSLGGSVAIKITEFSWNADLYKLMSCFAFWTVFCTNVNASSSEGFLIGIN -YLGKSSFEIDGNVMHANYLFWRNSTTWNGGAYSLFDMTKFSLKLAGTAVVNLRPDQLNDLVYSLIERGKL -LVRDTRKEIFVGDSLVNTC - ->NP_828873.2 nsp16 [SARS coronavirus Tor2] -ASQAWQPGVAMPNLYKMQRMLLEKCDLQNYGENAVIPKGIMMNVAKYTQLCQYLNTLTLAVPYNMRVIHF -GAGSDKGVAPGTAVLRQWLPTGTLLVDSDLNDFVSDADSTLIGDCATVHTANKWDLIISDMYDPRTKHVT -KENDSKEGFFTYLCGFIKQKLALGGSIAVKITEHSWNADLYKLMGHFSWWTAFVTNVNASSSEAFLIGAN -YLGKPKEQIDGYTMHANYIFWRNTNPIQLSSYSLFDMSKFPLKLRGTAVMSLKENQINDMIYSLLEKGRL -IIRENNRVVVSSDILVNN - ->YP_009924423.1 nsp16 [Rabbit coronavirus HKU14] -AASDWKPGYSMPVLYKYLTSPMERVNLWNYGKPITLPTGCMMNVAKYTQLCQYLNTTTLAVPVNMRVLHL -GAGSEKGVAPGSAVLRQWLPAGTILIDNDLYPFVSDSVATYFGDCITLPFECQWDLIISDMYDPITKNIG -EYNVSKDGFFTYICHMIRDKLALGGSVAIKITEFSWNAELYKLMGYFAFWTVFCTNANASSSEGFLIGIN -YLGKPKVDIDGNVMHANYLFWRNSTVWNGGAYSLFDMAKFPLKLAGTAVINLKPDQINDMVYSLLEKGKL -LIRDTNKEVFVGDSLVNVI - ->YP_009915686.1 nsp16 [Murine hepatitis virus] -AAADWKPGYVMPVLYKYLESPLERVNLWNYGKPITLPTGCMMNVAKYTQLCQYLSTTTLAVPANMRVLHL -GAGSDKGVAPGSAVLRQWLPAGSILVDNDVNPFVSDSVASYYGNCITLPFDCQWDLIISDMYDPLTKNIG -EYNVSKDGFFTYLCHLIRDKLALGGSVAIKITEFSWNAELYSLMGKFAFWTIFCTNVNASSSEGFLIGIN -WLNKTRTEIDGKTMHANYLFWRNSTMWNGGAYSLFDMSKFPLKAAGTAVVSLKPDQINDLVLSLIEKGKL -LVRDTRKEVFVGDSLVNVK - ->YP_009924382.1 nsp16 [Rat coronavirus Parker] -AAADWKPGYVMPVLYKYLESPLERVNLWNYGKPITLPTGCLMNVAKYTQLCQYLNTTTIAVPANMRVLHL -GAGSDKGVAPGSAVLRQWLPAGSILVDNDVNPFVSDSVASYYGNCITLPFDCQWDLIISDMYDPLTKNIG -EYNVSKDGFFTYLCHLICDKLALGGSVAIKITEFSWNAELYSLMGKFAFWTIFCTNVNASSSEGFLIGIN -WLNRTRTEIDGKTMHANYLFWRNSTMWNGGAYSLFDMSKFPLKAAGTAVVSLKPDQINDLVLSLIEKGRL -LVRDTRKEVFVGDSLVNVK - ->YP_209243.1 nsp16; 2'-0-ribose methyltransferase [Murine hepatitis virus strain JHM] -AAADWKPGYVMPVLYKYLESPMERVNLWNYGKPITLPTGCMMNVAKYTQLCQYLSTTTLAVPANMRVLHL -GAGSDKGVAPGSAVLRQWLPSGSILVDNDMNPFVSDSVASYYGNCITLPFDCQWDLIISDMYDPLTKNIG -EYNVSKDGFFTYLCHLIRDKLALGGSVAIKITEFSWNAELYSLMGKFAFWTIFCTNVNASSSEGFLIGIN -WLNRTRNEIDGKTMHANYLFWRNSTMWNGGAYSLFDMTKFPLKAAGTAVVSLKPDQINDLVLSLIEKGKL -LVRDTRKEVFVGDSLVNVK - ->YP_009555257.1 nsp13 [Human coronavirus OC43] -AASDWKPGYSMPVLYKYLNSPMERVSLWNYGKPVTLPTGCMMNVAKYTQLCQYLNTTTLAVPVNMRVLHL -GAGSEKGVAPGSAVLRQWLPAGTILVDNDLYPFVSDSVATYFGDCITLPFDCQWDLIISDMYDPITKNIG -EYNVSKDGFFTYICHMIRDKLALGGSVAIKITEFSWNAELYKLMGYFAFWTVFCTNANASSSEGFLIGIN -YLCKPKVEIDGNVMHANYLFWRNSTVWNGGAYSLFDMAKFPLKLAGTAVINLRADQINDMVYSLLEKGKL -LIRDTNKEVFVGDSLVNVI - ->YP_009725311.1 2'-O-ribose methyltransferase [Severe acute respiratory syndrome coronavirus 2] -SSQAWQPGVAMPNLYKMQRMLLEKCDLQNYGDSATLPKGIMMNVAKYTQLCQYLNTLTLAVPYNMRVIHF -GAGSDKGVAPGTAVLRQWLPTGTLLVDSDLNDFVSDADSTLIGDCATVHTANKWDLIISDMYDPKTKNVT -KENDSKEGFFTYICGFIQQKLALGGSVAIKITEHSWNADLYKLMGHFAWWTAFVTNVNASSSEAFLIGCN -YLGKPREQIDGYVMHANYIFWRNTNPIQLSSYSLFDMSKFPLKLRGTAVMSLKEGQINDMILSLLSKGRL -IIRENNRVVISSDVLVNN - ->YP_008439226.1 nsp16 [Bat coronavirus CDPHE15/USA/2006] -SAEWKCGYSMPALYKIQRMCLEPCNLYNYGAGIKLPDGIMFNVVKYTQLCQYLNSTTMCVPHHMRCLHLG -AGSDKGVAPGTAVLKRWLPIDAVIVDNDVNDYVSDADFSYTGDCTTLYLADKFDLVISDMYDGKIKLCDG -DNVSKEGFFTYINGVICEKLAIGGTVAIKITEHSWNKRLYELIQRFEYWTMFCTSVNTSSSESFLIGVHY -LGDYATTPVIDGNTMHANYIFWRNSTMMTMSYNSVLDLSKFACKHKATVVVNLKENAISDLVVSLVRSGK -LLVRGSGPLVNLSNHLVNTK - ->YP_001941189.1 NSP16 [Turkey coronavirus] -AWTCGYNMPELYKVQNCVMEPCNIPNYGVGITLPSGIMMNVAKYTQLCQYLSKTTMCVPHNMRVMHFGAG -SDKGVAPGSTVLKQWLPEGTLLVDNDIVDYVSDAHVSVLSDCNKYKTEHKFDLVISDMYTDNDSKRKHEG -VIANNGNDDVFIYLSDFLRNNLALGGSFAVKVTETSWHENLYDIAQDCAWWTMFCTAVNASSSEAFMIGV -NYLGASEKVKVSGKTLHANYIFWRNCNYLQTSAYSIFDVAKFDLKLKATPVVSLKTEQKDRLSFWFS - ->NP_839969.1 putative coronavirus nsp13 [Porcine epidemic diarrhea virus] -ASEWKCGYSMPSIYKIQRMCLEPCNLYNYGAGVKLPDGIMFNVVKYTQLCQYLNSTTMCVPHHMRVLHLG -AGSDKGVAPGTAVLRRWLPLDAIIVDNDSVDYVSDADYSVTGDCSTLYLSDKFDLVISDMYDGKIKSCDG -ENVSKEGFFPYINGVITEKLALGGTVAIKVTEFSWNKKLYELIQKFEYWTMFCTSVNTSSSEAFLIGVHY -LGDFASGAVIDGNTMHANYIFWRNSTIMTMSYNSVLDLSKFNCKHKATVVVNLKDSSISDVVLGLLKNGK -LLVRNNDAICGFSNHLVNVNK - ->NP_740633.1 coronavirus nsp13 [Infectious bronchitis virus] -SAWTCGYNMPELYKVQNCVMEPCNIPNYGVGITLPSGILMNVAKYTQLCQYLSKTTICVPHNMRVMHFGA -GSDKGVAPGSTVLKQWLPEGTLLVDNDIVDYVSDAHVSVLSDCNKYNTEHKFDLVISDMYTDNDSKRKHE -GVIANNGNDDVFIYLSSFLRNNLALGGSFAVKVTETSWHEVLYDIAQDCAWWTMFCTAVNASSSEAFLIG -VNYLGASEKVKVSGKTLHANYIFWRNCNYLQTSAYSIFDVAKFDLRLKATPVVNLKTEQKTDLVFNLIKC -GKLLVRDVGNTSFTSDSFVCTM - ->sp|P18457.1|R1AB_CVPFS RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p41; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16 -LDSEVVTCFDNSIAGSFERFTTTRDAVLISNNAVKGLSAIKLQYGLLNDLPVSTVGNKPVTWYIYVRKNG -EYVEQIDSYYTQGRTFETFKPRSTMEEDFLSMDTTLFIQKYGLEDYGFEHVVFGDVSKTTIGGMHLLISQ -VRLAKMGLFSVQEFMNNSDSTLKSCCITYADDPSSKNVCTYMDILLDDFVTIIKSLDLNVVSKVVDVIVD -CKAWKWMLWCENSHIKTFYPQLQSAEWNPGYSMPTLYKIQRMCLERCNLYNYGAQVKLPDGITTNVVKYT -QLCQYLNTTTLCVPHKMRVLHLGAAGASGVAPGSTVLRRWLPDDAILVDNDLRDYVSDADFSVTGDCTSL -YIEDKFDLLVSDLYDGSTKSIDGENTSKDGFFTYINGFIKEKLSLGGSVAIKITEFSWNKDLYELIQRFE -YWTVFCTSVNTSSSEGFLIGINYLGPYCDKAIVDGNIMHANYIFWRNSTIMALSHNSVLDTPKFKCRCNN -ALIVNLKEKELNEMVIGLLRKGKLLIRNNGKLLNFGNHFVNTP - ->sp|P12723.1|R1AB_IBVK RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p39; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16; AltName: Full=p35 -GGGGQSFLAADNAVLVSTQCYKRHSYVEIPSNLLVQNGMSLKDGANLYVYKRVNGAFVTLPNTLNTQGRS -YETFEPRSDVERDFLDMSEEDFVEKYGKDLGLQHILYGEVDKPQLGGLHTVIGMYRLLRANKLNAKSVTN -SDSDVMQNYFVLADNGSYKQVCTVVDLLLDDFLELLRNILNEYGTNKSKVVTVSIDYHSINFMTWFEDGS -IKTCYPQLQSAWTCGYNMPELYKVQNCVMEPCNIPNYGVGITLPSGIMMNVAKYTQLCQYLSKTTVCVPH -NMRVMHFGAGSDKGVAPGSTVLKQWLPEGTLLVDTDIVDYVSDAHVSVLSDCNKYKTEHKFDLVISDMYT -DNDSKRKHGGVIANNGNDDVFIYLSSFLRNNLALGGSFAVKLTETSWHESLYDIAQDCAWWTMFCTAVNA -SSSEAFLIGVNYLGASVKVKVSGKTLHANYIFWRNCNYLQTSAYSIFDVAKFDLRLKATPVVNLKTEQKT -DLVFNLIKCGKLLVRDVGNTSFTSDSFVCTM - ->sp|P36697.1|R1AB_CVCAI RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16 -PNTKSIDGENTSKDGFFTYVNGFIKEKLSLGGSAAIKITEFSWNKDLYELIQRFEYWTVFCTSVNTSSSE -GFLIGVNYLGPYCDRAIVDGNIMHANYIFWRNSTIMALSHNSVLDTPKFKCRCNNALIVNLKEKELNEMV -IGLLKKGKLLIRNNGKLLNFGNHLVNVP - ->sp|Q04158.1|R1AB_CVPR8 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp16 -ITEFSWNKYLYELIQRFEYWTVFCTSVNTSSSEGFLIGVNYLGPYCDKAIVDGNIMHANYIFWRNSTIMA -LSHNSVLDTPKFKCRCNNALIVNLKEKELNEMVIGLLRKGKLLIRNNGKLLNFGNHFINTP - diff --git a/seq/clusters_seq/cluster_222 b/seq/clusters_seq/cluster_222 deleted file mode 100644 index 70d7d13..0000000 --- a/seq/clusters_seq/cluster_222 +++ /dev/null @@ -1,395 +0,0 @@ ->YP_010088084.1 RNA-dependent RNA polymerase [Chrysothrix chrysovirus 1] -MSHYSFDHDKTRPKPSSSVVELRNYVKGNKHLGFSKTTELQIENSLSRYDSIKRDNLFAVVMRAGCGKSH -LAYKYGLLDIDEMVSDTEHDQYMDERDHIMAGKSSWSVHNNKWMNRVNKTLDLLDYSMPLIIFVHTEEAA -LELGARPIAFLKLSKHAHNLNIRSRKGRDGLFSVANYELPDPSDRVPNKYLCDSNSQLEAMFLRIMNISG -LPVAGPFQYSKDVWNTSYSRDVPDWILRGTGTGSRTNIKELVSLFDCGKVPKECVDYYVRHSNVPTQFDF -GVSMWEWIKRLAPLPQMMNDKKKFNVNDDMMDVFPPKSQKEKNRLNVTIKQLQQTFDIFGHDDIMQLCEH -HVGESQVFVTSLISAWKGIVQDTKVCDLVFDWFLVNEARWSDCMKSMHSLIRTSRFFMNTEISESERQKL -MYLDLLIGRGEYKIDEMKEVELRSSDTYNTKHLSYDTYLNRFTNQQYKADLDQAIQLAHNRIKLNPKKIN -VMSFMDFYNARASWLTKGGLVSNTLPSGMKKFVSQVIDPVANIVSEIQGRHNKKSLFEVSELWEILDNVN -DKNFNITKTLIKFETGKKDRTLLPGSLAHFIVFTYVLELAEKQEQIGSVRLNAMGDVDIRYFDKKMSTGI -YHVLYDWADFNEQHSADEMASVIRQLGEVVPGHADYSLFVEAIVEGMYSMGLEDRDGVLHKLWSGLFSGW -RGTTWVNSTLNFCYESIALLNMERISGYSCVIYIDHGGDDIDLALSEPSLLPNFLEIMDSMLFKANKWKQ -MFGTRSEFFRNTVTGVRVYASQSKAIPSFIAGDWEGAGKATVKERAVSLLDQIGKLMRRGVNKEMCQGFA -MSAVAHWCKVKDGEEWVNLPQEIIHGREEDGGIGMPDRNNEVWELEEKVPELNEEWYRCIIPGHKASSDY -VGKLAGELDRFSIVIEQRERLAQRIAEDSYDIDTTIDREAWKKLLKFKSNIKRKHKVVEDLDDDIIYEGF -MDMESTEGLDNKYNKAGRYQEFIQYLSFNNRAVSKEELAHIMSDGEVSLKAIEFQGNIYYSRLVPEFIAH -RAILYCKEIINKGIVDSDIASYVYNVICSMSSRIYRHEL - ->YP_010085118.1 RdRp [Shuangao chryso-like virus 1] -MELDFGILKKKSSVKLKKKYSVTLDESPCSFDSNSFDCSFGFGRLGSTSFSMLANRYKAAEIPGLYAIVI -PAGGGKTTLAHFFKQLDVDRILPEAFETELRKIRMSTFNSVVSGGTRASWLRHNSIWARYLSLSLSNFDF -SETPRVLFIHSHEVATVIGAKVIGILIPEEDLHKSWIHDRDYEARALSDENRCFLLKYAKDLPNMFTYGS -SLELYRIVSSIIANTVGYAPGISEYMNISEIDTVMLKQGYDVTMPSRVKTGKFEYDDLDSIIEWCKAGRC -PWWYVSVWCEKYSPGLIADGAYSFESYPWLHLCYTINTILRNRRITCDISRSMVKSNLDWFGFFPHVDAL -TESRAGVSLRSVFKYLDPAYIDDYLVLLLNCHVGSHHSFVTSLVVYYLGVVQPMRPELRDKVIDCGMLLV -PEEQWVAVHGDIHKLVRAGQTFFGISIKDKEYACLQYTASLYGRRNYSLDPEAEIVKRQQTRLGSKCAQL -HHGSNSEAYIEDFKAGVRLAYSRLGQRSKIRWTNFNEFYQQRYQWAASGSVTNVPQGMSKFKEVVDVIAE -VKDKIVTLSLDSNKKRAMEKLSSPAELALFLSENWAYNVTSLAPKPNEPAKNRVLMPGSFLHYVAMSYIL -GMVERTGDVGAVRVGDPDDNNLSHFDLRLTTGAYNFMLDFADHNAQHSSLEMGLIISLLEEKFSSKSDPY -DLNFFINWVVDSFANMQVRVGLNNHKVISGLFTGWRGTTWINSVACQAYVYVGIQACKRKYGSIAVEYFE -GAGDDVLMKFNSAKDAFRFYGAMQSCGFDMQSVKQMASHRRTEFLRTISSDGHLVCCVNRVLPNFVCGDL -ERSSDSMLDRLGGCYATIKMLERRGLSRHVTKVIYRSYLDKWARVKDGDVYRDIDRTYLHAPTEQGGVGL -PDPDDNLWYLDCPIKMKTFKTRVLAGPNNASRDYARHLRDDLAAKGITVDESRLVSKLMDDVYSTEAKID -VSDLVKVRSHVIALISPTNAVNKEVLDEVLANVDDERVRSYKKQWGTYNKYRQCVGCINENLEVLLDKLG -VNIDVDSLDELRFASNHCFLVPEYLLYNIGTYYRSRVAHRMMTVTEAQYYFNIACSTAKAVFGEDLML - ->YP_009551655.1 putative RNA-dependent RNA polymerase [Zea mays chrysovirus 1] -MYKNERRISREYRAQLGCTRTSIEHIRFIESSASRYLDRQIPGLYAIIIPSGGGKSTMCSEFGFIDVDDV -VGDREVMEGLVMHRREMIRTGERGWKEHNDSWYTMVNDVLRRYNFSEEPNIIMVHSEEFALEIGAEPLVA -LVPSERLDSQRLRCRNELERILAYDNRELVRRRTHTVRLFEYHGWTELGQFVSAYAWDYLEMAAPFRFFR -KKPRNGWPIGYADDVPLWVLQGKPCSGDDINNIERMHKLGMVPDACYSYYTSSLYNITEANVRHVEYSKM -WIDICSRVYEAGKMQQVRKEEIKTEDLEKLYPFESEMAKKKRTVGLRKLLENTVWEDSETASEVLLNRRG -SNHNLVTSLVIWTVGVLDSMRPEVIQAVMNSGVLLIPDDRWIEIMKTVHDLVRTTGQFFGIPLKPKEVQR -LMYLHMLYGRFVYEVDEQPEIEKRLRDLDALKVAYNGVEWTNEEYDRLELAGIRDAYKRLTDTVPDMRIT -DFIEFWKKRRTWAAKGSTVVHEGEKYYMVTFMDKVMKKIELRHNKKSLMEDEREGWRIIAGIIDKVGRND -TKLVPKFESAAKRALMPGNLYHYVVFSYVLYTFENCAAVGDVRLGINRDQDFSAFDNKLQRKLASFDYDF -ADFNAQHSQRSMARVISVLAEVADPCETLTFCLNWLTLSFSKMEIVDREGQVNPIHSGLYSGWRGTTWIN -SVLNHAYMYVARACYLKLKGTDPFVEYEGAGDDVDAQVRDFAVAGQLYAITIEMGLASNKVKQLFGPKSE -FLRVSYEKDYAGASVARGIGNFVSGNWEGEGGSIRDRLVSTMDNVLTLGRRGMNDGMVTGLRACVIDHIG -RVFEGDSWRPISPYILHGSVLDGAFGIPDGCGRVWRLKEKAPEPEGWAGLVKLPAMAMSRDWVSVMEEEV -MTKGYVIEDKQTLTELLAKDSYDVKGISERLGYDINKEEWDKYWNFKTEVIGYENVETDDYDIEMLHNFL -SWLDEGDNSELERLNTLEVLGRYVGMMKKPNGKVPTEEEWYGEYWLAHKKIAGFDTQRWSSVKCPQLVQS -KIALWCKEWLICEESLAPVVARYIFLTTCNAYAQVYNFEV - ->YP_009667012.1 RNA-directed RNA-polymerase [Colletotrichum gloeosporioides chrysovirus 1] -MSGRMTRMSQTSKKKVEQAAANIQARVQYFGSDKGRIDFTAEVLSSMERYEKRRRDNFFAIVMPSGSGKT -QLCDKYGFIDVDRLCTIAEHEYLNDLRLDAINGKDTWDEHNKVWFELLNETLDLMEFKEDTVIMVQSEVI -ALGIGALPLAGFILDDKTYQMVYNQKVERGNRAGALLMAENRAQFLSNTNIVMKTKKMVRGFEMMEAKVI -ETLNVNEIPCACPLKFSLRYPGYGYGPSVPDWVTKGERKYMNVVELLDLHRSGMVPKQCMDYFMRDPEIP -ASYGFGVRDNEWSQFFANMRASIGSPQNFDPRDDLGKVFPYKYEKNLTRANMTVSRLQKGMELLDDEEAY -EIASHHVGKPNNFVTAILCYWQGAGRFSKFREFIKKAMCVNFRFWGQVFKEFHNLIRVSDYFFGEKVXEE -ERHRMMYFDQMLGKEVEDADWKQEIEDRTWAYELPDHKSYNDDIQMWTQEQYMVDFDRALSFAYSRLGNR -WNAEVRSFADFYERRGSWLTKGSTVFTQLDSTMRKYTIDLINEVGEIVDKLTARHNKKSLFEVMHAIPEL -GADFELFNATKIVTKLDENGHKKRALLPGTLMHYLVFSYVLFFVESDAQIGSVRLNAPPDDDWVYFEQKL -AAIPRLLFDWSNFNLYHSTWEMERVIKKLEGSVYAPEDYGVFVTAIAEAMHHMVIIDPEGQRHKAGRGLY -SGWRGTTFINTVLNEVYTQCAEMSFQRLFGREAYRYKDGGGDDHDGGLNRADDGYRMLAIMRKMNFKSNS -IKQMVAMKSEFFRNTVTSTGAYASPIRALVTFVNGRWEGTGQVPIAERVGAILDQVAKVQRRGVDAHFCN -TMAVLSLSHWCKVNEDGEXLDLPGYVIHGHPDDGGFGVPDNMGLVWRLRDRVPEPKVIGEAREVPGTLAT -RDWLSVLCREVRSLNIDVDIDEKKVIDTAKSSFEAYSRYDYTEVLKFRTEIVGKAPAVEPRNNESAWEFM -VEFMAIEKHDLKIGKLMRYQELIPYMTVGGKPMTRQQLGEAMELGVNTEVVDFKGDVYYRRLISEPLAKV -VTEFCMEAIAREIYSLKEGEETFKDLCFMMFMNTEFKI - ->YP_009667009.1 P3 [Macrophomina phaseolina chrysovirus 1] -MDFSNLLKHLAGGQRTERGKGKRDKNERNSGRRTDKKMKRTGGMSGKVFQCAKPEMPDCDEWDGNRENFI -GIVVPPGGGKTYMADKFGWLDADDLIDRLDREQLRDVVMNNVAAGMSVSEAIRVLDGRRKETLNLLYPWT -TQVVLAHSVQSLEQMGVDCMGRIQLWEPLMEAGLKGREEHEKVFARMMNKFSEQEKSHVPLFPAHKHRDV -ICYIMKVCGYCDIPVPVPHLYDGEMIGYTMPELLASENLALIIEAEKQARVPRCHVDYMVREQGMRSYMG -YGVTVGDWARALAKCSHTNASDLKDIEGTIYRGLDLARASEITDIAEHEDVQQILKMQENAESMFALNLI -MHWKMVGIRSPLRSSIFRLYGVHQCRWSRAMGAVRDVVKMTDNLLGHPLDEDERRTLSELWWLGRYKRRS -FMDTLSQSGRSGYARRCSPAMLREAKKSFRMLYTKDETRVPGKELSRILDMDRWECLSGMLSSNVTLRDL -ASVPGANGAEQIALVMVGAELARDEGSSAVELKRMYTSVSSKWARASMRRDEWSDAIMQMLGESIPTDIA -IIIGRAAVNWYYTPGRKETKEWSSIVDGCTRTVITTCLVRAEEDRELEVSDGDIPPDVINAEAMTRLAVR -MELPQAMGGGWLKLTEDQRLTTLMLSEAKSPTLAAMEVVNFNNWMGRRRGVKVTMAAVARWIHATRYMVY -DGDLLVALAKEHFRQATGHSLTDERKRYLVSYVHVRRSDGGIGLPDENGHVYERGTLGIRRWAQPDGRKS -YVDDTCWDLKPFRLGQATKRKEKVKDTVRGLEKCDVYSEVLRAEGERLEALDARIVVAAPLHVALMIRCM -LKDVSKRGNEEAFRMIARITRMASAVEHVPGMLADILNAVGNYAIE - ->YP_009667008.1 RNA-dependent RNA polymerase [Macrophomina phaseolina chrysovirus 1] -MSGINNQMKFESRKARREAKKSKDQGIIELEKYELKDSKFMGTNKMGQYYEEYVAESMGRYLKLKERRRN -LWAVLMPAGHGKTWLAQKYGLVDVDELITLEEHEKYVAMRVAILNGIDTWSGHNEQWFSRMNQTLDLFDY -SRPVILLCHHEEIAHELGASVLGSILLDEKTFEANIAGRTELSKKFSRNSYKSFKLSRFSNQNLLHSKSN -DDTEKFFLALMNLNNLPVAAPYKYLRIHKNYHYASDVPDWILTGEKAGDEDVDLKYLVNLHDRGKIPKEA -VDYYVRESHLVTSFDFGAGMWEWTKELARLPPVMNEVREFDKDGDFGTIFPPRGPKEMTRANVTIRRLVQ -TFNIFQHEDAVGIAGAHVGEPHIFVASLLSAWKGLVQFTSVSGLVLPWFKVHSMHWTSRMKDLHSLVRTN -RYFMNTEISEKDRQSLMYMDLLIGRAEYTVNEMSEIQKRGGETYETKHLAYDPELKRFTNRQYKTDFAQS -VKQAYSRMRLNPKRINVRSFAEFYERRKTWLTKGSLVYNNLEAKQKRWEGKILDHVNEIVLEAEGRHNKQ -SFFEVADLLDIVATTSAENFNRTKTMLKYEVGGKERTLLPGSLMHFLVFTYVLVLAEKQEQVGSVRLNAM -SDDDIRYFDKKMGNGIYHALYDWADFNEQHSADEMSAVISELSDVVVGPTDYAMFVELISWSMYNMTLQD -RDRRVHKLWRGLYSGWRGTSWTNTVLNFCYTYCGLLSMERIYGKSCLLMVDHGGDDIDLMFSDPTMMPKF -IRVMEEMLFNANAWKQMVGERSEFFRNTISGCRVYASPMRALASFVAGDWEGSGRSTVKERVVSLLDQIA -KMRRRGLNNEMANGFVMCSISHWCKIRDGDEWVNLPGYVMHGATECGGMGVPDINNEVWLLETAVPEIDA -DWFKLIVPDMKSSRDYVSVVARELDRFSITIRDREEMARKFAEDSFDVEKQVDYQQYKRLLDFKTSVTGK -RKVVVAMEDDVLFEQFMEFRLDDEIYKKYAKASRYIEFAGQLEMQGKTLEKEEIVNLMSDGIVSSEAVEF -QGDVYYRRLVPEFIALRITYYCREAINKKACSVEVAEDAFRILCYMSQRVFKHMM - ->YP_009666328.1 putative RNA-dependent RNA polymerase [Persea americana chrysovirus] -MSSRRFDADKIISKKLKQYLGSSKCTIEYMRSMRSSVDRFNEREIPGLYALIIPSGGGKSTAAKEWGFLD -IDNIIGNQEAMEKLVLARREMIRWGTNKWEEHNREWYDMVHSVLSRYNFEEKPNVILVHTEEMAFEVGAT -PLAALIPSKGLHNARLGNRNELERILAVDNLRIVENRTKSVDVYTYNTWQELEQYLACLVWDVMEIPAPF -RAFKKKPENGWPAGYGEDVPMWVMQGRISDDVDIKVVEKLFDEGAIPGICLSYYTEKMYGIKRLESEGLR -DGSMWIDICCEINDCGNMGEIGYQEVMNGDLNEIYPYESKMAMNKRSVGLRRLMENVDTSRNDIMKEVLM -KRKGSNQNLVTSIIIWVGGVLVGLRPEIQEAVLKSGVLLIPDDNWIDMCKQVHDLVRTTRQFFGVKVNAQ -ETQRLMYMHMLYGRFTYDVDEDSEIEKRERELDGTKMAYCGGEWSSEEYDKLEVEGIRDAYRRLCDGVAY -TRIGEFVEFWKRRRSWAAKGSTVIYEGEKKYIIQIVDKVVHELELRHNKKSLFEDGTETMKILNSVIEGV -GRNDSKIVPKYESAAKRALLPGNLYHYIVFSYVLWAFEAGVAVGDVRLGNVRDESFHAFDDKLETGLTRF -TYDFSDFNAQHSRKSMARVISLLGECVDPVDSMKFCLKWLSISFGKMELVKKDGTKKPVKSGMYSGWRGT -TWINSVLNHAYMYVARICYNRINGVDPFVEYEGAGDDVDGVVKDISVAGKLYAITLRMGLESNVTKQLFG -KRAEFLRVTYERGYAGASICRALGNFVSGNWEGEGGTVSEKMSAAIENIMSMGRRGLEEIMVRILYRCIL -GHMGRIFEGEEWLPISPCILHGRIEDNGFGIPDADGFIYELESKAPAPEGWLGYIRMPARSASEDWVDII -EDEVREKGYKIKDRDRLVEMLARDSYDIKSVADRFGTQISPEEWKRYWRFKCEVVKKWSVETTETDEGLL -MEFLEWLGSEETPVLDKLESLSVIGRYSEMMVRENGEQLRSEDWYGELYDAHCRVEAFVARRWTPVRCPP -VVQSKIAKWCKEMLSTGELTVFSANYIFKVIGNTYAKLFPMEI - ->YP_009665200.1 putative RNA polymerase, partial [Fusarium oxysporum chrysovirus 1] -QNWGFVDMDLLCTVGEHELLNDMRLSALRKQSEWDKHNKLWIEKVNGTLDYFSFKEPVVIMVHSEIIALQ -IGALPLAGYASTDELFKRAYNQKLAAGNTPGAHLMALNRTEFLTSSCIEMKNKRLFRSFEEGEGLVLFTL -NLNDIPVAAPLKYSRKIRAYGYSEECPEWVIEGDKSKLNVQELLGLYHHTVLDEGSDMREPGVPKACMDY -FLCNEEIPSSLGSGRXRYLANASRLKPFNCTADLGEIFPYKYKKNETRANITVSRMQKTMQVFDDDDCYY -IASHHVGKPNNFVAGLLCYWCGLGQHTGNLRPIIREMLCTNFHWWSGVMKDFHSFIRQTNNFWGVHLDEG -LRHKLLYLDVLLGKEQEECDWMQEIEDRTWDQAMPEHRSYDHTTQLWWTNKQYVRDFNRALDGAYCRLGK -PVARDVPDFQAFYERRGEWLTKGSTVFNDLPSDMRKYTIDLINEVGDVVERVQARHNKKSLFEVMDAIPE -LGDRFELFNATKIVTKLDENGHKRRALLPGSLMHYLVFAYVLYFVEKDNQVGHVRLNAPPDDDIVYFEQK -MAVVPRLLFDWANFNLYHSAWEMEQVIRKLEFVVDAPKDYALFVSAISEAMYHMVIVDPDGKQHPAGRGL -YSGWRGTTWINTVLNCVYTNTAEASFERLYGREPYRYIDGGGDDHDGGLNRPEDGYRMLAIMRKMNFKAS -AIKQMIAMKSEFFRNTVTVNGVYASPVRTLTTFVNGKWEGSGNVPIKERITSILDQVAKMRRRGVEPLFC -NTMGVMCLSHWCKVNQDNEWLDLPGYVIHGSVDDGGFGVPDDHGKVWRLKDRVPEPVVTGEAASPPGTLA -TRDWLRVLCREVEQLNVD - ->YP_009667023.1 putative RNA-dependent RNA polymerase [Anthurium mosaic-associated virus] -MDSEIKNDSGRFSADKIVSRKLRAYLGSTKCTLEYRERIFSSLGRLNDKVIEGLYAIVIPSGGGKTTMAR -EFGFIDVDEVIGNQAVMEKLVLERRELIRWGKDRWEEHNKVWYNQVHSVLAKYDFQNNPGIIMVHTEEMA -YELGAQPLIALTPKDSLYKQRMKGRNELERMLAVDNLEIVRHRTQSVDIIGYGSWKELKQLIAGVAWEEV -EMAAPFRDYNRKPAHGWPIGYGEDVALWIMQGKTDDVEDMRIVERLHREGSVPDICLSYYSEKIYGIKKI -ETKGETLCREWISICGEITNCGKMDTVTEMEVIANDLNLVYPYESKMAMNKRTIGLRRLLENMEAMRNDI -MRTVLLNRRGSNQNLVVGILIWVGGVLSNMRVEIQDSVLRSEILLVPDEDWIKMGKKVHDMVRRTGTFFG -TTINVQEAQKLMYLHMLYGRFVYQVDEEAEIDKRKRELNDTKMAYLDGEWRVDEFDKLELEGIRDAYRRL -CDSITPQRVMDFSEFWKRRRSWAAKGSTVVYEGEKKYMLEIVDEVIRQLELRHNKKSLMEDEAECCKIIS -GIIRDLGRNDTKMVPKFESAAKRALLPGNLYHYVVFSYVLLIFENCASIGDVRLGNDRDNSFGAFDSKLE -TNLTRFVYDFADFNAQHSRRSMARVMSVLSETVDPNETLGFCLKWMSLSFNKMEVIKEGGGVEKLKSGLY -SGWRGTTWINSVLNHAYMYVARVCFNRLYGYEPFVEYEGAGDDVDGVVKDISTAGKLYRITVEMGLESNV -QKQLFGKRAEFLRVSYESGYAGSSICRVLGNFISGNWEGEGGSVSDRLTSAIDNILTLGRRGLDESMVKV -LYRCVLMHVGRIFDGDEWIGLSPCILHGRMEDNGFGIPDEDGCVWELEKKAPAPDGWLGYIKLPAKTASR -DWVDVIDEEIRDRGLKVGDVDRLSELLARDSYDVKSVADRFGTEISPEIWKKYWTYKCKVVKKYKIETDV -IDEGMLLDFLEWLGGEETPTLDQLSKLEILGPYIETIVSGDDKPVTEEEWYGELYDAPRRLENMKLQRWK -VVLCPPLVQSKIARWCKEMVANDMVTQFTGMRIYQIVSNTYGSIFGFEI - ->YP_009667006.1 putative RNA-dependent RNA polymerase [Brassica campestris chrysovirus 1] -MSNITKKNTIASVTAQTINGAAKQTVLRSDSVSTSSTGVGVRKDGTRPPKKEGRSKKKEVKPVSEAIPYG -REGRIQHKVKEYEATMRYYLAHSATIGSYKQELLRMIEQNRDAMIPNLHAIVMPGGHGKTHLCTQYGFMD -VDDLVGGEDFCALGDIRYRLVESNTMEWTEHNQKWYKCIRSTLLSMTFDLPTVVMVHSEECAISIGATIM -GVIALEDSAFEANIRVRKRVGQAFSRISRQFVLHRSMNTINMCRSNQEVEAAVLALCNILEVPVACPYKY -TKRVPNKWYGLGVPEWLLVGDVDNREGQAEDVLSLALRKLIPKACADHFFNANCPTGVSHGYGVTMWEWA -KIMGRIMGSIPKRKLFHHEGDMFDIFPPDSQAERHRVNITLRRLITECKILDNSDTRTIMEYHVGEKHVF -VSGLICHWLGLGSQVAIADYIYPLYLVPLNRWTELLGEFHNLIRLSGWYCTTELSTEDKQALMYMNMYTG -WQMYEADWEKVVEERRVSRDDDFVSYDPRTGRWTRAQYLVDFDVALEMGYSRMFGQPKAVNVDNFVQFWK -RRRSWVAKGSTVLNQIPKEMLSYVLEFGDHMSQKIKMRHNKKSLFENHEIIGLLTDTPETWNMTKCVPKL -NETGKKRELLPGTLMHYLVFSYVLYIAEQQQRIGSTRLNVNDDDNLAYYDNKMIAGLHHMLYDWANFNAQ -HSVDDMAKVIAMLEKIPGVPEDYGYFCHAIAESFYHMWVIDPKGGKHKIEKGLFSGWRGTTWINTVLNFV -YVSVGVMCCERIYSDFKPAYFDHGGDDLDVAFYKPQDCYRMMSVMDRIGYEATRIKQMVGYDAEFYRNTI -NARGVFSSPSRALANFVSGNWESGGAKTLTEKTASILDQVAKLERRGVEPGFCNSLIKMALSHWLKVKIE -DDWFVIKPEVVHGKIEQGGLGVPDEYGEVWNLSKEITRPGDRALDAYLPGVYCSSDYVDVVESDLNALHL -QLGGKSNLIKKLAKQSYDLEQFRERAVFADMNKIDTQKVSGEKVIVPMWDPNLFEEFLTWMSCGGADLHL -EKIDMLKEFVGHIFLGDRELRLADLIAIFVNARVSEDVVSFKSNHYYRRLVPDFMASVIDKFTRYHGNML -DVEVEEMDRIFNTICYMVHDIYEHKA - ->YP_009667003.1 putative RNA-dependent RNA polymerase [Raphanus sativas chrysovirus 1] -MTGRKMNVINRGSSCSQYGGGEVISATSRVSRQGGKKKQKVGGLAQLEAKRGYVGMPDVRLDIGEEVRKV -MDSYEIQMKYYLAHSSSIGSYKAKMNQLVNKVGRFEVPNLWALVMPGGHGKSWLSREYGFMDVDDLVDGN -EFYELCQMRYELIERGDLEWTVHNERWYSSLRATLEAMTFERPTVVLVHSEECALEMGAKIMGVIVLEEP -QFEVNIMGRDSLGKSFSRISRAFVMHRSMNEINVYRSNDEVEGAVLALCNILNIPVACPYKYSYQYENSW -YGRSTPRWLLEGSVVGRENETDHVLKLALQGEIPKECVDHFVQNASPNKTSHGYGITMAQWGYMMGRIVG -SVRRPQKFDLTGDMFEIFPPDSMAERHRVNVTLRRLITECDILNDADVLDIMEHHVGEKHVFVSGLVCHW -LGLGVETSVADKIYVLYLVPQEQWSQLLSDFHSMIRLSGWFCTTKISEEEKQSLMYMNMYTGREMYEPDW -EKVVNERKVVEGSEFVSFDPRLKRWTRAQYLDDFDFCLQHAYSPMISNPRPVNVKNFLEFWKARRTWVAK -GSTVMNHLPKEMLSYVIRFGDRMADVIRMRHNKKSLFENHEILDLIRETADTWNCSKVVAKLNETGKRRE -LLPGTLMHYIVFSYVLYVAEAQEPVGSTRLNVNDDDNLAYYDRKMGNGLHHMLYDWSNFNAQHSTDDMAK -VIQYLARIPGCPEDYSTFCCAIADSFYHMWVIDPDGDKHEIKKGLFSGWRGTTWINTVLNHVYVAIGVMC -CERIYRDFKPAYFDHGGDDLDVGFMAPQDCYKMMVVMDLIGYEATKIKQMIGYDAEFYRNTITARGVFAS -PSRGLANFVSGNWESGGAKTLREKASSILDQVWKLQRRGVEPWFCNKLTSMALGHWLKIKIEDEWFTLNE -EIIHGDPAQGGLGIPDERGEIWILDKTVIVAKDKDLSAFLPGAYCSSDYVEVVDSELKGSFLHLRGKEGL -INKLAQQSYDLEQFEERDLFREMNRQSVKKVGSIMVLTPRWNEVIFSEFQHWCAEGGRALRLEKIETLKE -FVGHIFHNERRLTLKDLMTVFMNEEVTENAINFKANPYYRRLLPDFLASLVDKFTRWYGNRLDLTLDEME -KVYTTVAYMISVIYEHHA - ->YP_009507942.1 RNA-dependent RNA polymerase [Cryphonectria nitschkei chrysovirus 1] -MVGLKERQIKSQLAQGFGPRAAARSAVRHDRAQLVPLIREASNAFGSSKNGAEYEARISASMSRYDSRRR -SNLFAIVMPAGHGKTHYAKKFGFIDVDELATPAQHNELVSMRQAAVSGITTWSAHNMAWVGILNKTLDLF -DYSKPNIIFVHHEEAALEIGAVVLAGLRLTKTAFEMNIRTRASDDKLFSHASYDSWGKMRFTPNMKDGLT -NREVERLIIKILCVNNLPVAAPHMYEVNRSPYYAQSCPPWVLRGESPPDRDVDISELVSLFEGGIIPREA -VDYYVKQGYTKTSLDFGLGHGDWAPTLAKVASAIGEPQDFDPDGDLMEVFPPRETKEVTRSNVTLRRLDE -TFAIFEHDDVYSLCTYHVGEPHVFVCSLIAEWKGLMVRLPCARLVARWFCVSYDKWPNILKELHTLVRTS -RFLMNTEITEKERQALMYMDLLVGRTSYVINEMNEVDKRGGDTYESEHLSYDPNLQLFTREQYKKDFPRA -VRKAYARMRYTKQPKLNVNSFRQFYRRRKEWLTKGSLVYNHLPPESRKTVVQALDSVNQSIIDLEARHNK -QSLFEEMDLAELLRHVGDASDFNTTKTMIKFETGRKDRTLLPGTLIHFIVLTYVLELAERLSQVGSVRLN -AMADEEFLWYDRKITTGLFHVLYDWADFNEQHTASEMSAVIKELDLAVQAPEDYHIFIHAISESMYNMSL -HDRDGNRHKIWRGLYSGWRGTTWINSVLNFCYIDVALTSFERIYGYDPVVYIDHGGDDVDAAVDSATSMP -KFMAIMDDMMFNANAWKQLFSTRSEFFRNTVTSSRAYASPTRALASFIAGDWEGSGKVTMRERVVNILDQ -ASKMARRGIEHEFANGLAICALTHWCKLRKEDSWVSMPRVIIHGREEDGGLGVPDWDGCVWKLQEQVPDM -SGSWLTMLKPSMLSSRDYVDELSKDVERLSLELVRKEQLAEKFAKDGHELGH - ->YP_009508104.1 RNA-dependent RNA polymerase [Aspergillus fumigatus chrysovirus] -MTFGHTNNRSRPNNGERISMMATQFDIVRGGRISKQLSKLRSMRAYSQSGERFFGQNKWSREQYEHSMAR -YNELAALRHNLYAVIMPAGCGKSYLAKKYGMVDVDELIARQEHDSYVDARGAIIVGKGDWKDHNNIWFSR -LNETLDLLDYSMPVIIFVHTEETALEIGAKPIAFLRLTETAHEMNIKHRDPQFRQWSRESLKRCRVSGDV -PNQMVFSSNRDLEAFFLSILNASGIPVGGPLQFSEAIWNDSYAHDVPGWILRGERLGDPTVSINQLRLLF -NEGKVPKECVDFYVRHSYVPTQFDFGVSMYEWSQALGQLPPCYNDHVDFDTEGDMMKVFPPSSPKEITRA -NVRVRQLIQTFDIFSHWDCYQIGAWHVGERQTFVSNLLCCWKGITQFTGVAALVFPWFRVCQKDWANKLK -TLHSLVRCSRFLMNTEISEKERQALMYMDLLVGRSEYTIDEMSEVRLRASDTYETKHLSYDPDRKMFTNR -KYKEDFIVAVEEAYSRLRIKPKPVNVDSFMDFYQRRSTWLTKGSLVYNTLSPFMKKYYVQILDAVANTVL -EIQGRHNKKSLFEVWEIGEVFQGVNETNFNITKAQIKYEVGNKDRTLLPGTLVHFIVFTYVLYLAEKQEQ -VGSVRLNAANEVDIRYVDRKMSEGIFHVLYDWANFNEQHSAWEMGLVIEKLNSVIVAPRDYSFFVEAIVA -GMYNMGLHDREGKIHKIWQGLYSGWRGTTWVNTVLNFCYVHVALVNMERLYGVSVAIMLDHGGDDIVLGL -SDPTYMPQFLETMDSMLFKANKWKQMFGVRSEFFRNTITDGSMYASPTRALASFVAGDWEGAGRATVRER -VVSLLDQIAKLRRRGCSEELCQGLTISTISHWCKVRDGEEWLALPPVVIHGRVEDGGLGVPDRDNNVWVL -KDKVPEVNEEWYKVVVPDYKASRDYVEVLARDLEKFCLVIERREELARKLAEDSYDIEKAVDHEQWRTLL -DFHTEVVDKYEITPDVTDDVIFEGFVVYEVDEETERKFDAAARYQEFVSYLTFNEKAISKEELVNIMSDG -QVSLEALEFQGDIYYARLVPEFISYRATLFCRDMINQGVLDITTAGHVFRVICSMAKYVFGHLA - ->YP_009507948.1 RNA-dependent RNA polymerase [Verticillium dahliae chrysovirus 1] -MDLRQRQERARLNQGTTVRSATRAARHGSNHGVVPLIRQTENAFASSKAGAEYQQRLESSMSRYRVLREQ -RSNLFAIIMPAGHGKTHYAKRYGFVDVDDLVAPRLHNELVDMRYAAMHGRSTWTKHNDRWVGAINKTLDL -FDYSEPVVVLLHHEETALEIGAIILGGFRLKQTTFKLNISSRTADDRFFSETSYRSFDDLVATPNKIDNL -DNQNLERLLIKTMCVNNLAVACPHKYERNQSQYYKQSCPEWVLQGKPPIDRDIDMAELVRLYDSGIIPRQ -AVDYYVNLGYTTTSLDFGVTLNDWGPVMAQVADGIGEPQDFDVNGDMVEIFPPREPKELSRANVTMRRLD -ETFAIWEHSDVYDMCSFHVGEPHVFVSGLVTAWKGLMVKLKYAHLVAPWFCVSYDHWTKAMKAVHTLVRT -SRFLMSTEITEDERQSLMYLDLLIGRTTYVINEMAEVDKRGGDHYSSDHLSYDPRVQLFTKAQYREDFNT -AIKTAYTRMRYTKQPRLRVQSFRDFYLRRKEWLTKGSLVYNHIPSSQKRTVVQALDSINNVIVELEARHN -KQSLFEEMDLKDFLKLVGDAKDFNVTKTMVKYETGKKDRTLLPGSLIHFFTMTYVLELAERLEQVGSARL -KALPDDDFIWFDRKMVNGLYHVLYDWADFNEQHSADEMAAVIAELEHTVEGPSDYAYFVQAVSSSMYDMQ -LQDREGKRHKLWKGLFSGWRGTTWINTVLNFCYSNIALMNYQRLYGEDPVVYVDQGGDDIDSALDNAPAM -GKFMAIMDNMLFNANAWKQMFSTRTEFFRNTITSGRAYASPTRALASFCAGDWEGSGNMTMGERVINILD -QVGKLTRRGLDQEFGNGLVLCALTHWCKLRKEDSWVNMPAEVIHGQESQGGLGVPDWNGCVWELETDVPC -VKDTWMAVLKPSKLSSNDYVEELAKDLDALSLELVRREELATRLAEDAYDVELKLDKLNWQTIIGFRTKV -LSKRPVVEYRREDKLFDDFMHFRVSDEMIRKYTDAARFSELAGHVCVNGRELSKTELVDIMGDGRVRLEA -LDFKGNPHYRRLVPDFMGRRATFFCKEAINSGAADEEVGQYVFETICYMAREVFGHSM - ->YP_009337840.1 RNA-dependent RNA polymerase [Isaria javanica chrysovirus 1] -MAFTKTQGYREPETTVSEDVLKNTMRGAVKAARRRNKPGLVSLAKYAPGQRTFLGQSKSREEYEVKLANS -MARYEQIRSKRQNLFAFVMPAGHGKSTLARKYGFVDVDELITEREHDYYIEMRTGIMCGRDTWTDHNSKW -YPRLNQTLDLLDYTMPVIIMLHTEELALELGAIPIGYFKLEKNVFMSNIEKRDALSKHFSILSYNESIAS -SKMRNQVMCSSNEMLERSLLEILNLSSMPVACPHKFSSAIWNNCYARTVPGWILRGERAGEKRVDINMLR -QLFEVGDIPKECVDYYVKHSYVPTQFDFGMTMFDWSKELAHLPPTFRDRTEFDTEGDMIDIFPPQCAKEL -TRANVTIRQLRQTFDIFSHPDALEIAEYHVGEPHVFVSSILSAWKGIIQKFSVAEIVKPWFKVSFRNWSD -RLKSLHSLVRCSRFLMNTEITEEERQGLMYMDLLVGRGEYKIDEMNEVRARYGDGYGTKHLSYDPVTKAY -TNKQYKKDFELALDAAHLRLKVTPRKVNVLSFLDFYERRRSWLTKGGLVNNTLPKGMKEFSSQIFDGVAN -TVEEIRGRHNKKSLFEMHELYDILAGVNGDNFNTTKTMIKYEVGRKDRTLLPGSLAHFIVFSYVLVLAEK -QDQIGSVRLNALADVDIRYFDRKMSTGTFHVLYDWADFNEQHSADEMAGVIRKLSETMPAGPDYPMFCEA -LVRGMYTMGLEDREGVVHKIWTGLYSGWRGTTWINSTLNFCYVFIALENLRRITGSEVVLMVDHGGDDLD -LMLSQPDVMPLFLEIMDNMLFKANKWKQMFGLRSEFFRNTISGARVFASPTRALASFIAGDWEGAGRSTV -RERVGSLLDQIGKLRRRGVAEAMCQGFAMSTISHWCKVKEGEEWLDLPDVVLHGCEEQNGLGIPDRNNEV -WILEKPVPQLEEGWYKVVVPDCKASRDYVRVLSRDVEQFSLVLERQEELARKLAEDSYDIEKTLDRVQWR -ELLSFDCKVLGKRPVIEVMEDQAVFESFLEFEPDEDMATKFNKAARFQEYCGNLSFNGMPITKEELVDLM -SDGEVSVEAIEFTGDIYYQRLVPEFIANRAVTFCRAAINGNYLTVKEADRCYKIVCWMASRVYRHMV - ->YP_001531163.1 putative RNA-dependent RNA polymerase [Amasya cherry disease associated chrysovirus] -MTGGHKHKTPGTSQTDKKFAKKLAASMDNLKAGFATNKSVADYTMKLQSSMSRYEENRISNLFAIVMPGG -TGKTRWAREYGLVDVDELVSPDEHENLYAVRKAVLMQKGDWVVHNSEWANRVRFTLMKLDYNRPVVLLCH -TEEFAYEVGALPILAITMDEGVWRKNIENRGYLGKMFSELSYESVRTHTKVQMFKANSNEQVERAILRVM -SLVGIPSAAPLKYDADNPTPGYSAGLPDWVMKGEKDKCHLELLMDMYDQDCVPKECMDYFCRNETIPASY -GFGITMNDWARVFGTITYYMRDIKHEIPEGDPEDIWPYSSDREKTRLNVNMKRLLTSTNLMDIEGAKTLA -ATHVGATNGFVSTLVSFWTGIQELYKDEVDLMPLCLVGQANWVYCQKMVHDLMRQSRHYMNTEVEQQTKQ -SIMYMDQLVGRRLFKPDWQKEVDDRQGNHGFAQHMAYDPNTGTWEVEQYRKDFNHALNDAFARVKSAPRA -LNIKDYADFFSKRGEWLTKGSTVYNEIPKEHRQTVVSIVDETGDLIRSVDVRHNKKSLFEMSNVFHLLGD -KFELRNITKAVPKLNECGYKDRTLLPGSLFHYLMFSYVLEFVEKQAQIGSVRVNAPSDNDIRYMDKKMTQ -GVYHMMFDWANFNAFHSAEEMSLVIEKLAYVVPAPPDYDMFVKGVAASMWDMILMDPDGEVHKIETGLYS -GWRGTSFLNSVLNSCYTTCARMSYERIHKYDPFVYIDHGGDDIDGGIRNMGDGVKMPVVMEKMQFEAQKI -KQMIGIDSEFFRITFTASGAYGSATRALARFVSGNWEGSGVIPLRERISGLMDQMAKICRRGFHQEVGHT -LLSLAIAHWGRVKPDKDWLALPAVAIHGCEEQGGLGVPDKDGCVWWLDKEIRGKVSDRKVLPPGKLVAEE -TIRNLAAELNRLNIDAVHTGPVATKLAAMSFDERGEETFETLEKADAEVVKKVSVIVPKVDEHEFEKMMA -YVETNRSVKKDLARLERYRMLEGHLQTNGVTITSEDLAKMLQINLPEGALLFNPSKYYRRLVGEEWAKVI -EEYWLACMQYAGYDKDKAEDVFETLTYMVSVVFKHHV - ->YP_001531160.1 hypothetical protein ACDACVs4_gp01 [Amasya cherry disease associated chrysovirus] -MSHVLGERFAKLGLGMEKRLKVRDRRCRQWLAKYDTVKLNSAVVDATIKESMHIPDAKRRENLFAIVLPA -GCGKSTLCRKYGYLDIDECAGGAVEATYYHAITEMMEMDRFNTNATGAKVWLDAVNNTLDKMVFYEPCVV -MANDVVTAHLIGATVLCGIAVNDEAVAKGIAHRSKADKGAAKATTDLLLKVRSVSGRAFPVLYADSYEEM -ESKVLQTLEVNGLTGCMNEVFDDYMARYEEREITMMQAVKSAKACSATVMNTGWNDMIALARQRDSRDRR -AISRGSTAKRTELYKLLHLDEHKEVEAWVDTLPGVPSEYVERMVCWWKFIGQDMSCSEGVLTMLAGVTNK -DDQIVMPWIDYCVKHRMWAGTPLDANDIEALMILKSCFRQRFMKRVVTRAEAESGEGVWTEAMTEWLKKS -GCDGKFVNLAPLRDINAVELKDYQIYKKLCEYDVQWERVDVNWLYYAMHGQMKWWDMVVELDVMCRMCRD -DRGLGRFNMLKLGSQEVWRYEPLVNSSKAAIMVKKLPKYMAPNILGAVEFGEVGYDVAKHLVERVTMACE -EEYTQRSAIQACLLERWRYVGYALSKGIELPGTCWTYMAYRTGLDDEDELRKWLVGEGRKERTEPLNYVE -CKARCGEQGFRKGLPHEYRRRETPVTPDWVRIGNDWHRRGGYLNDIG - ->YP_392482.1 RNA-dependent RNA polymerase [Penicillium chrysogenum virus] -MTVSGRSSWQNGKTTNAMRAGKLATERDLESANYGSRSVEKLRHERAVGNLNKGRFLGMNKWSDEQLKHS -MARYEDLAATRHNLFAVIMPSGCGKTSLARTYGMVDVDELVSRAEHDQYVEMRNEIVCGRGDWHDHNTLW -FARLNQTLALLDYSVPVVIFVHTEETALEIGARPVACLTLETTAHEMNISGRGPKFREFSRESLRSCKPS -NRVPNQYRFKSNKELEAFFLEVMNVSGLPVGAPFKYSTSIWNSSYSRDVPGWILRGERAGTQQVSINELR -LLFEQGKIPKECVDYYVRASYVPTQFDFGVTMFEWSQALGQLPGCYNSRRDFDTLTDLMGVFPPHSPKEV -TRSNVTLRTLCHTFDILSMPDAKEIASYHVGEGHTLVTNLLANWKGITQFTTVSHLVFPWFKVCERDWSD -KMKTLHSLVRCSKFFMNTRITEKDRQALMYMDLLVGRGEYTVDEMAEVELRTDDTYNTKHLSYDPNRQVF -TNQQYKKDFITSVEEAYVRLKIEPKPVNVDGFIDFYHRRASWLTKGGLVYNKLPPEMKKFGGQVFDAIYN -TCREIQGRHNKKSLFEVYELAEVLQGANENNFNLTKTQIKYEVGKKDRTLLPGTLVHFVVFTYVLYLAEK -QGQIGSVRLNTDSEVDIRYFDKKMCTGVFHVLYDWADFNEQHSAWEMGVVVDYLKHLIVAPRDYAVFVEA -IVAGMYNMGLHDRDGNVHKIWRGLYSGWRGTTWINTVLNFCYVHIALQNVERLFGVRVVLYVDHGGDDID -LGLSEPAVMPWFLEVMDAMLFKANKWKQMFGTRSEFFRNTICDGRVYASPTRALASFVAGDWEGAGRATV -KERVVSLLDQIAKLRRRGCSEELCQGLTIATISHWCRIKDGEDWLSLPAEIIHGHPDDGGLGVPDRDNNF -WRLEEKVPEINEEWYKVVVPDYKASRDYVNVLARDVEKFSLVIEEREKLARKLSEDSYDIEKSVDHERWK -HLLNFRTRVIAKELAVEPMEDSVVFEGFLEYEVEEGTEKKFDLASRYQEFVSYLSLNGRAITKEELLDLM -SDGEVCLEAIEFQGDIYYARLVPEFIAYRATMFCKEAINKGVCDAISAQLFFRTICWMSASVFEHAI - ->YP_052858.1 RNA-dependent RNA polymerase [Helminthosporium victoriae 145S virus] -MQKRQQQERESQGERRLARHIESSMEVIRGDFSSSKTDHDYTMRLENSMSRFSKRRIDNLFAIILPAGTG -KTYLAKKYGFIDVDKCVTYNEHVLLYHERKKILEGERRWADHNESWNSKVRGTLEMLDYSRPVVILCHSE -EMAFEIGATPMIAVLLREDAWRENIKDRTKLGKQFSELNRMTVEKHTRKVQVCRAKSNDIVEKLVIRACN -AYGLPVACPNKYTTESNPHYGLSCPEWVMTGDVSKMDVNVLLSLVDDDEIPKECADYFFRSQNMPASFGY -GQSIGDWAEWMAKVRYASNDARDLDLTKDWMELFPYANDREKNRMNVGLKRIMENTNLINDEEILDILRH -HVGENHQFVTMLVCYWAGIGRFLPEADLLRPMMKVNFTWWKVVMKEFHSLVRINDYVMNTKIESEEHRQS -MMYLDCLLGRRIFIADEDAEIKDGTGSSGGMTHMSYDPVVRRWSVEQYRKDFMFALENLHIGMIEKPKDP -GIESFSQFYARREEWMTKGSLVSNTIPREYLEYTVKIVDDVNNVVQEVTKRHNKRSLFECYDAINLMTDK -FELFNVTKAVEKLNENGHKDRVLLPGGLLHYIVFAYVLRCAEAQEQLGSLRLNAPPDDEMRYIDVKMHAG -LSKLLYDWANFNVQHSSEELATVISFLGKVVQSGNDYKEFCDLIADAMFNMVLKKRDGTLVKLDKGLYSG -WRGTTWDNTVLNGCYMGVAKLCFVRLYKYDCALFADQGGDDVDQEFAQPEDAYRMLAVLDRMGFEATKSK -QMIGRNSEFFRVTITRTGAYASPVRGLATFVAGNWEGTGNVSVKERVVSLVDMAWKLIRRGVDAAFMITL -TEVAITHWAKIRKDLDWMKTPQEVIHGAEECGGMGIPDKDGMVWIVEPPIPDPENTMEVSVPGKLAAMDY -VAVLDRELRSNNISIEKWEMVAEKMAEGAFDVYKDGAFEVLLEYKGNVVEKKPVVVPKWDEMAFRVLMEF -EGSKKTVAKQMSKLERYESVLPYLSVEGREVGKKAILEAMGITGNMDVLDFKGDVYYRRLVGEPFGRLVT -NFCKTGLFLDELGKEQAEDLFRTLCYMGSKLFDHHI - ->APG76016.1 hypothetical protein, partial [Shuangao chryso-like virus 1] -EKRLKREKESVLVWVRVFEMELDFGILKKKSSVKLKKKYSVTLDESPCSFDSNSFDCSFGFGRLGSTSFS -MLANRYKAAEIPGLYAIVIPAGGGKTTLAHFFKQLDVDRILPEAFETELRKIRMSTFNSVVSGGTRASWL -RHNSIWARYLSLSLSNFDFSETPRVLFIHSHEVATVIGAKVIGILIPEEDLHKSWIHDRDYEARALSDEN -RCFLLKYAKDLPNMFTYGSSLELYRIVSSIIANTVGYAPGISEYMNISEIDTVMLKQGYDVTMPSRVKTG -KFEYDDLDSIIEWCKAGRCPWWYVSVWCEKYSPGLIADGAYSFESYPWLHLCYTINTILRNRRITCDISR -SMVKSNLDWFGFFPHVDALTESRAGVSLRSVFKYLDPAYIDDYLVLLLNCHVGSHHSFVTSLVVYYLGVV -QPMRPELREKVIDCGMLLVPEEQWVAVHGDIHKLVRAGQTFFGISIKDKEYACLQYTASLYGRRNYSLDP -EAEIVKRQQTRLGSKCAQLHHGSNSEAYIEDFKAGVRLAYSRLGQRSKIRWTNFNEFYQQRYQWAASGSV -TNVPQGMSKFKEVVDVIAEVKDKIVTLSLDSNKKRAMEKLSSPAELALFLSENWAYNVTSLAPKPNEPAK -NRVLMPGSFLHYVAMSYILGMVERTGDVGAVRVGDPDDNNLSHFDLRLTTGAYNFMLDFADHNAQHSSLE -MGLIISLLEEKFSSKSDPYDLNFFINWVVDSFANMQVRVGLNNHKVISGLFTGWRGTTWINSVACQAYVY -VGIQACKRKYGSIAVEYFEGAGDDVLMKFNSAKDAFRFYGAMQSCGFDMQSVKQMASHRRTEFLRTISSD -GHLVCCVNRVLPNFVCGDLERSSDSMLDRLGGCYATIKMLERRGLSRHVTKVIYRSYLDKWARVKDGDVY -RDIDRTYLHAPTEQGGVGLPDPDDNLWYLDCPIKMKTFKTRVLAGPNNASRDYARHLRDDLAAKGITVDE -SRLVSK ->APG76049.1 hypothetical protein [Wuhan insect virus 30] -MTKFPRTSFTLGELIEPPANYKGKGNRRPKVRSGEIALRNYVNAHKRFLGTSKAGEDYELKRFNSMARYE -LLREKRRNLFAFVLPAGHGKTTLAHKYGWIDVDYLIDQDEHEYFLELRLQIMAGLNTWSNHNSEWYARMN -KTLDLVDYSRPVILLVHHEETALELGALVMGTVVLAEEEFANNISRRDKVSKDFSTLSRQGVLDMRHKFT -NFHFVRSNQDLERILLDTLNANQLPVACPYKYSMRFENPHYTRDVPKWVLNGQVEPKNINVAIIKGLYDK -GKVPKECVDYFVREAGLKTAYDFGPSIFDWVKVLSKIPPKMNLPSDDDLTGDLLKLFPARSPKELSRANI -TMRRLVETFDIFSHDELLHICKCQVGAPQVFVASIISHWIGLGVYTPVAQFILPWYTIHHKHWTGLMKDL -HSLIRTSKFFMNTEISESDRQALMYMDLLIGRAEYIINELAVVKEREAETYYTNHLSYDPYRDMYTNEQY -RKDFKIAVKKAYSRINYTPKKINLKHFFDFYERRKTWLTKGSLVYNKIDRRKKDYETQMLDIIAETVHTI -SDRHNKQSFFEVYELMDAIGDLREEDFNVTKTMIKYEVGGKERVLLPGSFVHFMLFVFVLHIAEKQEQVG -SVRLNAMADDDIRYFDKKMFKGIHHMLYDWADFNEQHSAEEMALVISELDNAILGRPRDYSMFVAAIAES -MFNMYLEDRDKKRHKIMRGLYSGWRGTTWINTVLNFCYVAVALEVYERLYGVSGVLMVDHGGDDIDLMFS -DGIDAQRFLRIMDSMLFNANEWKQMIGERSEFFRNTINGRVAYASPTRALASFVAGDWEGAGRAKVVERV -TSLLDQIGKLERRGVSREFCNGAAMCAVSHWTKVKDGEEWLSLPKEIIHGRLEDNGLGVPDQHGEVWVLQ -KKVPDLDDDWVRKIVPGSKASTDYVERLSIELSEFALEIQRREELADKYAEDAFSFEERADHLKWQKLLN -FRTEIVGKEKVIAPKHNEQLFGLFTEYETDLADGAKFMSVGKVSELEGFLTRNDRVLTRAEIIEIVSNGE -VKEEALDFKGNLYYRRLVPEFIALRITTFCRELLNREIATPDGAEDIFETLCYMSKECYGHMM ->ADO60926.1 putative RNA-dependent RNA polymerase, partial [Grapevine associated chrysovirus-1] -MFAFIMPAGHGKTTIAHKYGFVDVDMLVTRKEHDDLAEDRASILAGQQTWMEHNTKWYARLNQTLDLLDY -SMPVIILVHTEEIALELGARPIGCLKLRRDIFETNIRDRDDLGKTFSRLNYTTLHTSKQVPNQFSNLTNR -DLEGMILKILNLSNMPIACPHKFDESVWNDSYDRTVPKWVLRGERAGDRDVNIHDLVRMFENGMVPKECV -DYYVRHSYVPTQFDFGVSMFEWSVAMAEIPPKLNKPEKFDVSADMIEVFAPRGSKELHRSNVTMRQLIQT -FDIFSHDDAYEIASMHVGEPQVFVTSVLSAWKGILQNTSVNFIVKKWMGVNFHHWSDVMKSLHSYIRCSR -FLMNTEITEQDRQSLMYMDLLVGRGEYQIDEMAEVSLRQSDTYSSKHLSYNPDLKAFTNEQYIKDFSRSV -QIAHMRIKHNPKPLQFTTFTDFYRARHTWITKGGLVSNTLPKGMKQFSAQIFDAVAATVEEIEGRHNKKS -LFEMNELYDILVGVNRHNFNVTKTMIKYEVGKKDRTLLPGSLAHFIVFCYILMLAEKQEQIGSVRLNAMG -DGDIRYFDKKMSTGTFHVLYDWADFNEQHSAWEMAQVIKELETVMPDTPDYHLFCSAIVEGMYEMGLQDK -EGHLHKLWRGLYSGWRGTTWINTVLNFCYVSIALLNMERLTGVSVVLMVDHGGDDLDLVLSEPSVMPTFL -EIMDNMLFKANKWKQMFGKRSEFFRNTISGARVYASPTRALASFIAGDWEGAGRATVKERVVSLLDQIGK -LRRRGVSNEMCQGFTMSCISHWCKIKDGEEWMNLPEAVLHGVEEQGGLGVPDAANQVWILDKNVPEVNEE -WYKVVVPDYKASKDYVKVLSDEMARFSIVIQRQEELAQKLAVDSYDLDKSVDRIAWRKLLQFDGKAIAYR -NIIEPMVNDDIMSSFVDFQIDEEMTRKFDKASRYQEYINYLTVEDHA ->APG76028.1 hypothetical protein [Hubei chryso-like virus 2] -MSARIYQSSSLPDRTPNRYKQVNFSSLHSIGSINSTDCGFGFSKLSTVTYQDLLAQYKHKIVEGLYAIVI -PSGGGKTSMAALFKQLDVDLVLPDSVENYLKVMRVEIHRRVSEGGSTGNEWRRHNLLWAWQLSLAIKCYN -FKEDPRVIFVHSPETACQIGARVIGVFLPTIELHKRWMMERCPEAVSIGHNNRELLQRSMGGNGCVLYSS -GQELAYHCAKVIANNIGYAPGLCRHLPWSVMTELISKSGSDPTVHARIRNENANYGDIDSIIEKCKTGRL -PWWHVGLWCERHCEEVIPDGGHKLNNYPWLHLSYSVHDYRQKQRSGGVITRAMLNGEVDWFSMYPYMDGA -TLNQGNVTMRSLIKHTKKSLFDDYSLKLLSVHIGAHHSFVVSVLVYYLGVVSKLAPDLHGMVVSSGILEV -PEEHWVEVHTEVHKLVRSYQSFFGRDLNDREYARLQYTACLYGRRNYALDPGAEIAKRRSPRLLTKLSLS -SIGHNQEEYVSDFRAGVEKAYYKLGSKKRALAWDNMDDFFEKRYQWAASGSVTNLPDNMKHLKEVVLLVQ -ETKGIILHLGKDVNKKILMEKLRSPAELAYYLTTNWGYNDTGLAPKPNEPAKKRVLMPGSFLHYVAMSYI -LGMVERTGDVGGVRIGDPEDNALSHFDLRLGSGTYNFMLDFADHNAQHSSVEMSIIIACLEERFSSRSDP -NTLKMFVNWVVSSFFNMKVRDGPYTHSVLSGLYTGWRGTTWINSVACQAYVNVGIEAAKRRFGGLDCLYF -EGAGDDVMMRFGSATDAFKFYRCMSEIGYDMQSIKQLLSHTKTEFLRVIGGEGGLRCCINRVLPNYVSGD -LERSSPGLLERVGGAYATTRMLLRRGLDHHMVKALYASYMDKWLRVKIDGKYEKIDRAHIHGIRSQGGSE -IPDENDAVWILNRRISVGKEKPTITGGPRFASKDYARVVCRELMEYQIQVNENRLSEILAEGVYSASSQL -SNEDLLSSGTIPEVKAMPLREVDLGEYERAILNSSDALVASFKQQWGRYNRYKTAMICSDISRTEFMKRL -GISIDIEAVERFQLPAYSSFTIPEYVLYNFGMYYRSRVAYSRMEPDEARCLFELAANAACEIMRAKVEM diff --git a/seq/clusters_seq/cluster_223 b/seq/clusters_seq/cluster_223 deleted file mode 100644 index 160486e..0000000 --- a/seq/clusters_seq/cluster_223 +++ /dev/null @@ -1,779 +0,0 @@ ->YP_010085064.1 RNA-dependent RNA polymerase [Macaua virus] -MEDNKYAQFNARIAAARDAAVGKDIDVDLMIERHNYFGKQLCEAINIEYRNDVPLVDILLDTIPGFDPMR -IEIPNITPDNYVFIDGWIIIIDYKVSVSSESTEITLEKYSRCMELINQQIPINYEVAIIRINPISNQLFI -TGDQFIRNYPNIPLNVDFSRFEELKRTLYQKFADDEEFMLKVAHGDFTLTAPWCHTATPEIYEHPEFNFF -ISSMPECYQKLFFKTMEFNAYSAEKWNDQLHEIKDATKPYYDNFVKSNAKQAFLIDGNFEKPTQSEIDKG -WELMSARVAEEREIITDISKQKPSVHFIWSEACDRKLPGATSKLMFLSKSMQSIKDISTITETMKAIGLA -MDIDDKVGQYEALCMERKMLARSTGKKIENKKLEPVKIGQALVLWEQQFVLPNELFKANERQRFFREFLG -IGGHKRFNKKTADDIDLSKPKILDFNNKSVQDAAFFMVKRNQKYLQEFSRFKVDHPIIENYKDQIKESNI -DTAQTLETISKTNFWRCINDISTLMRNILSISQYNRHNTFRVAMCANDSIYALVFPSSDIKTKRATVVFC -TIAIHNEEKVLFDAGCLHTTLKLKTGDYISISKAIRLDKERCQRIVSSPGLFLLSAILMYNNNPSINLFD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAVSSHVRDYIADKFSPYTKTLFSVYMTLLIKKGCSSANA -QSSKIQLRNVYLSVYDITQKGAGDNRDLESIWFNGLVSLKEYISQIYLPFYFNAKGLHEKHHVMIDLAKT -VIEIEMDQRKIAQEIWSEKPIKEHVNLQVLLHSIAKNLILDTSRHNHLRNRVENRNNFKRSITTISTFTS -SKSCIKVGDFKDIKLEHSKKAQKAIQSIERKYRVSNPLFLTEETENLETAHSKYEQIIQTIPDYRDIMST -KVFDRLYELLKTGILTDEPFIEQAMEMMHNHQEFCFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERISK -ERCKLNSDEMISEPGDAKLKVLEQKAEQELRFIVEKTKDRFLKGDPCKALKLEINADMSKWSAQDVFYKY -FWLIAMDPILYPKEKYRIIYFMCNYLQKILILPDELIGNILDQKKTYTNDLILEGTEFFRQNYIHIRRNW -LQGNFNYISSYIHTCAMSVYKDILKEVSYLLDGDVLINSMVHSDDNQTSITYIQNKLPEAVLINHSLQTF -ELVCLTFGCQANMKKTYLTHNIKEFVSLFNIHGEPMSVYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSI -KHGCPPSCAWVAISCSHWITQLTYNMLDDQINSPIGYFPTRNRFDIPVELNGLLNAPLYLIALVGLESGN -LWFLIKLLKKLVPLDKQKEDIQTQILCIQEAELSDMEIIKLKLLRYLALDTEISSDTNFGETSDMRSRSL -LTPRKFTTIGALNKLISYNDFKFTLNDNTHIDNLDYMINNPELLVTKGEQTIEYMNSILYRYNSKRFKES -LSIQSPAQLFIEQILFSHKPIIDYSSIFDKLTSLSETDMILEETTPIGRLTYPQAYKQLINDLSGLKLTV -EDIVIIYRHCVMNDPLLITAANTALLCMRGSPQSRVGLSACQMPEFRNMKLIHHSPALVLRAYSKGTIDI -PGVDQNELQNDLYHLEEFLRETKILEKFQERIDNIPANLTETEKRIYHLRELTKVYQVCYDYIKSTEHKV -KIFILPMKSYTALDFCTLIQGNTISDKEWYTMHYLKHIIAGNQKGHVLQHSTNDQIIASECFRALTHFAD -YFIEATSRRAFIIQVIENYRYKNVKVSDLLNIILNSSMRINFIPLLYRVNELTQSDLNRYDALKTDERVS -WNNWQTNRTLNSGIIDLTITGYMRSIRIVGEDKNLKIAELIVQNFHPNTIFHAGNKLLNSRHGLKFEYME -EIMLDENINYYITYQKKRINKYNYQISTIEHILRRNKEGEALRGAKFNRMVPVCIVIPAIRDAERMISII -DIDSLNMINYYMARLIVKPEEYATIKKAHMSKMMFFSGPDITKGIINITILMRTQELLNLDFDNLCKNSI -LPFCRILNCDGQIDEEMLFLSDEVMDLTISEEVESVPLFTIQYSKKGEKYMTYKNAIMEMIRRGKNEIEE -TFTFTRDGFYSKKNLGILKAIVAIIDLLETNEWSTLIKNAIHIAMIAENMDREFHLFTIPLSFMSNPASG -ELNWSKILKFINSLPDVKIEPWDSMFARFKIKTEFLIKKEIQKESTLEDFLDELEFEGGKSGLTFE - ->YP_010085104.1 polymerase [Maguari virus] -MEDQIYDQYIKRIQSAKTATVAKDISTDILEARHDYFGRELCSAIGIEYKNNVLLDEIILDVVPGVNLMN -YNIPNVTPDNYIWDGDFLIVLDYKVSVGHDSTEITYKKYTSLILPVMEELGIPSEIAIIRANPVTYQISI -IGENFKARYPNIPIQLDFSKFFELRKMLLDKFADDEEFLLMIAHGDFTLTAPWCIEDTPELYEHQIFNEF -LGSMPPRFVSLFKESLAFSAYSAERWNSLLYNVKANTEKDYQDFLSIKSHNIFNMDGNYMRPTQAEIDEG -WELMSRRIAKERDIITDINKQKPSIHFIWTKNADRKLSGSTAKLIFLSNSLQAISEPSTWTESLKAIGKS -MDIDGNVGLYENLCLERKLIARSTGKKIDNKRLEAVKIGNALVLWEQQFILANDLFQNQERQKFFKKFLG -IGGHKVFKEKTATDVDFEKPKILDFNNTIILMAARSMVNKNKAYLSQANTLANPHPIMETFSRQIAEASE -ETATILGKINKTCFWQCITDISTIMRNILAVSQYNRHNTFRVAMCANDSMYALVFPSSDIKTKRATVVFS -IVCIHDDRQSIMNAGALFTTLELKTKNFISISKAIRLDKERCQRIVSSPGLFLLSALLLYNNNTEVSLTD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMVNLIKKGCSSANE -QSSKIQLRNIYLSDYDITQKGVNDERNLDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMNQRMDNLGIWSSHEKKQHVNLPVLVHSLAKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKIGDFKELKSKAHQTSLKNQKKACEKYRLSNPLFILEEETSLEVNHCNYEDLTAKIPNYRDYISV -KVFDRLYELFKLGILDDKPFIDQAMTMMKEHKDFSFTFFNKGQKTAKDREIFVGEFEAKMCMYVIERISK -ERCKLNTDEMISEPGDSKLRILEKKAEEEIRYIVEKTKDSIQKGDPTKALKLEINADMSKWSAQDVFFKY -FWLIALDPILYPSEKKRMLYFMCNYMEKVLILPDDLLSNILDQKTPYNNDLILDSTNGLNSNYVKIKRNW -LQGNFNYISSYVHSCAMMVYKDIFKETMKLLEGECLVNSMVHSDDNQTSLAIMQNKLPDNIMIQFAADTF -ESVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSATQQSI -KHGCPPSLAWVAISCSHWITHLTYNMLNDQINSPINYLPFESRHNIPVELNGYINAPLYLISLVGLEAGN -LWFLIEMLKKLVPLDKQRETIQTQCQWLSGLNNLTESEKFRFKILRYLTLDTEVSADSNMGETSDMRSRS -LLTPRKFTTPGSLNKLVSYLDFKESMSSDKYKETLDYMYNNPELLVTKGEDKKQFMESILYRYNSKRFKE -SLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLSSLVESDIIAELPEIIGRVTFPQAYQMIYRDITQLPLD -LEDIQIVYKYCVLNDPLMITAANTSLLCVKGTPQDRNGLSANQMPEFRNMKLIHHSPALVLKAFSKGRTD -LPGADPIELEKDLHHLNEFLENTGIKEKIDQNIENPPKHLTGLEIIMYKIRETTKLYQLCYDYIKSTEHK -VKIFILPMKAYTAIDFCTLVQGNTLSDNKWYTMHYLKQILSGSVKGNIVTTSTTEQIIAGECFRTLVHFA -DSFVEEASRLSFITEVIDNFQYKNIPVNSLYNTILGSNLRLDFIPLLFRMQALTQSDLNKFDALKTNERV -SWNNWQTNRSLNSGVIDLTISGYLRSIRIVGEDKMLKIAELTIPNFYPNTVFHAGNKLLNSRHGLKFEYM -SECVLDDKYNYYITYQKKRAHLYTYQVSTVEHIFRRNQEGLASRGTRYNKMTPVCPVVLSVRDELFRMSL -HNVFSLNMTNFSMSRLYVSPDEMATIKKAHMSKMMFFNGPDIKAGVINLTSLMRTQELLSLNYDNICKSS -IIPFCRILSCDGNEDSGELIFLSDEIMDFTISEEIESMPIFTIKYQKKGHEKMTYKNAISKLVTRGVEEI -TTIFDFSEDGFYSKKNLGIINTLCSLINLLETNEWSSILLNSFHIAMLMEGMDKEFHMFSLPSPFFLNVA -GGIINWTKLLKFIKSLPKITHEPWSMMMERFIDKTIYLIERELNKEANFNDFLDELEFQSGKSLFSFF - ->YP_010085087.1 RNA-dependent RNA polymerase [Anadyr virus] -MEDQVYEQFLKRIQASKTATVAKDISTDILEARHDYFGRELCASIGIDYRNNVLLDEIILEVCPGVNLMN -YNVPNVTPDNYIWDGNFLIIMDYKVSVGTDSSEITYKKYTTLILPVMQELGIPTEITIIRANPLTYQLTI -IGEVFRERYPNIPIQLDFTKYFELRKMLLDKFADDEEFLLMIAHGDFTLTAPWCMEDTPELDEHPVYQEF -INSMPKRFVDLFREAIDFSAYSAERWNTLLYKAKAVTDRDYQDYLSEKSHDIFNMDGNYMRPTQVEIDRG -WEMMSQRVSQERDIITDTTKQKPSIHFIWTKNNNRKLLGSTAKLVFLSNSLKSINEQSTWTDSLIAIGLS -MDIGNNIGQYESLCSERKMIARSTGKKIDNKRLEAVKIGNALVLWEQQFILANELFKSQERQKFLKNFFG -IGKHKSFKDKTATDIDLDKPKILDFNNTIVLMAARTMVNKNKVFLSQQNSLNDMHPIISTFYQQIEEASE -DTAEILKKISKTCFWQCITDISTLMRNILSVSQYNRHNTFRVAMCANDSVYGLVFPSSDIKTKRATVVFC -IVCIHEDKKDIMDAGALFTTLELKTKNYISISKAIRLDKERCQRIVSSPGLFLLSSLLLYNNNPLISLVD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMVNLIKRGCSSANE -QSSKIQLRNIYLSDYDITQKGVHDERNLDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMMDLAKT -VLEIELNQRSEGLGIWSKGEKKQHVNLPILIHSISKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKVGDFKELKSKDHAKQKKLNNKSAEKYRMSNPLFLSEEEQILETQHCDYEALVGKIPNYRDYISV -KVFDRLYELLKTNKLNDDPFIEQAMAMMKTHKDFTFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERISK -ERCKLNTDEMISEPGDSKLRILEKKAEEEIRYIVERTKDNILKGNPTKALKLEINADMSKWSAQDVFYKY -FWLIALDPILYPREKKRILFFMSNYMQKNLIIPDDLIANILDQKTPYKNDLILDATNGLNRNYVNIKRNW -LQGNFNYISSYIHSCAMMVYKDIVKESMRLLEGDCLVNSMVHSDDNQTSLAILQNKISDDMVIQFSTITF -EKVCLAFGCQANMKKTYVTHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSI -KHGCPPSLAWVAISCSHWITYLTYNMLDEQVNSPLNYLPFRDRHEIPVELNGYLNAPLYLIALVGLEAGN -LWFLMNTLKKIVPLDKQKETVQTQFQFINSLKNLTDADIFRLKILRYLTLDTEVTGDSNMGETSDMRSRS -LLTPRKFTTIGSLNKLISYNDFKESMSTNSYKENLIYMLENPELLVTKGEDKEQFMASILYRYNSKRFKE -SLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLTALAEADLIAELPEIIGRVTFPQAYQMISRDINQLPLD -VNDIKIIYKYCILNDPLMITAANTSLLCVRGTPQDRTGLSANQMPEFRNMKLIHHSPALVLKAFSKSTTN -IPGADSIELTKDIHHLEEFLTSTGIRDKINQNIDNPPRHLVDTEILIYKIREITKLYQLCYDYIKSTEHK -VKVFILPMKSYTSVDFCTLIQGNTIRDDKWYTMHYLKQIVSGSVKGTIVTTSTTEQLIASECFRVLCHFA -DSFVEEGSRLSFVMEVIDNFTYKNISVNSLYNTILNNNMRLDFIPLLFRMQSLTQADLNKFDALKTNERI -SWNNWQTNRSLNSGIIDLTISGYLRSIRIVGEDKILKVAELTVPNFYPNTVFHAGNKLLNSRHGLKFEYM -QETLLDEKYNYYITFQKKRAHIYTYQVSTIDHIMKRNEEGLQTRGARFNKMVPVCPVVLSARDELFRMNI -ENVFSLNMTNFNMSRLHVSPDEMATIKKAHMSKMMFFNGPDIKAGIVNLTALMRTQELLSLNFDNICKSS -IIPFCRILSCTGEEEGELIFLSDEIMDFTISEEIESMPIFTIKYQKKGSEKMTYKNAISKLVSRGVEEIK -EVFDFSKDGFYSKRNLGIINTICSIINLLETNEWSSILLNSFHITMLLEGMDREFHLFTLPAAFYISVPG -GLVNWTKMLKFIRSLPEITNEPWATMMKRFIEKSIFLIEREMNKEANFDDFLEELEFSSGKSMFTFF - ->YP_009667001.1 RNA-dependent RNA polymerase [Bozo virus] -MEDSMYDQFLNRIQAARTATVAKDISSDILEARHDYFGKELCNALGIEYKNNVLLDDIILDVKPGVNLVN -YDIPNVTPDNYIWENQFLIILDYKVSVSHDSSDITFKKYNTLIMPVLNEMGIDAEIAIIRANPVTYQISI -IGDEFKRLYPTIPIQLDFNRFFELRKMLLDKFADDEEFLLMIAHGDFTLTAPWCTADTPGLINHNIYKEF -LYSMPPRFVKLFQDALEFSAYSAERWNTFLYKAKLETQADYDSFLKEKSQKVFTLDGNYMRPTQVEIDKG -WEMMSRRVSEEREIVTDVTKQKPSIHFIWTQNANRKLVGSTAKLIFLSNTLQSISEPSTWSETLKAIGKS -MDIEGKVGQYETLCSERKMIARSTGKKVDNKRLEAVKIGSAFVLWEQQFILCNDLFKSHERQKFMKNFLG -IGKHKNFKDKTTDDLDNNKPKILDFNNTVVLMAARTMVAKNKTYLSKTNTLQDTHPIINQYAKEIKDSSE -ATYNALIELSRTRFWQCILDISTIMKNILSVSQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFC -IVCMHDNKEDLMDAGSLFTTLLCKTKTYVSISKAIRLDKERCQRIVSSPGLFALSSILLYNNNPQVNLLD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMVNLIKQGCASANE -QSSKIQLRNIYLSDYDITQKGVNDERNLDSIWFKGKINLKEYINQIYLPFYFNAKGLHEKHHVMMDLAKT -VLDIELSQRQDDLGIWSKVEKKQHVNLPILVHSISKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKVGDFKDLKNKNIERARKISEKFNKKFRLSNPLFINDEEADLEVQHCDYNMLIQKVPNYRDYISV -KVFDRLYELLKDNKIEDKPFIEIAMDMMEKHKDFSFTFFNKGQKTAKDREIFIGEFEAKMCMYVVERISK -ERCKLNTDEMISEPGDSKLRILEKKSEEEIRYIVEKTKDSIIKGDPCRAMKLEINADMSKWSAQDVFYKY -FWLIALDPILYPKEKKRILYFMCNYMEKCLILPDELISNVLDQKSPYHNDLILEMTNGLNRNYVNIKRNW -LQGNFNYISSYIHSCAMLVYKDIHKECLKLLDGECLINSMVHSDDNQTSLSIVQNKIPDDVMIQFSINTF -EQTCLNFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSI -KHGCPPSYAWLAISCSHWITFFTYNMLDDQVNSPIPYLPFRNRKEIPVELNGYLNAPLYLIALVGLEAGN -LWFLIEILKKLVPLDKQKETIQNQCQHIIAQLDKLDESDKFRLKILRYLTLDTEIVPDSNMGETSDMRSR -SLLTPRKFTTIGTLNKLISYNDFKRSMDDESYQNNLLYMLENPELLVTKGETKEQFMQSILYRYNSKRFK -ESLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLTSLAESDIIEELPDIIGRVTFPQAYQMINRDISQLPL -DIEDIKLIYKYCILNDPLMIMAANTSLLCVKGTPQSRTGVSANQMPEFRNMKIIHHSPALVLKAFSKGVT -DIAGADPIELEKDLHHLNEFVNNTTLKIKIMQNLENPPRHLHSHEISMYKLKEMTKLYQVCYDYIKSTEH -KVKVFILPMKSYTAVDFCTLIQGNTISDEKWYTMHYLKQIVSGSIKGTVVKTSTSEQIIAKECFRVLCHF -ADSFIEENSRTSFITEVIDNFNYKNISVNYLLNCILSNTSRLDFLPLLFRINLLSQADLNKFDALKTNER -VSWNNWQTNRSLNSGLIDLTISGYLRSIRIVGEDNKLKIAELTIPNFYPNTVFHAGNKLLNSRHGLRFEY -MEETILDEQHNYYITYQKKRAHIYTYQVSTIEHILRRNEEGRQTRGHRFNKMTPVCPVVLSVRDESFRMS -LNNIISLNMTNFSITRLFVSPDETATIKKAHMSKMMFFTGPTIKAGIINLTSLMRTQELLTLNYDNLCKS -SIIPFCRVLECNGEEKGELIFLSDEIMDFTISEEIESLPLFTIKYQKRGNESMTYKNAISKLIASGVEEI -IDVFDFSRQGFYSKKNLGIINTICSLINILETNEWSSILYNSFHIAMLLESMDREFHLFSLPKAFYINVA -GGTINWTKLLKFVKSLPPVEKEPWTMMMARFIEKTTFLIEREMNKDVEFSEFLEELEFESGKSLFTFF - ->YP_009666996.1 RNA-dependent RNA polymerase [Birao virus] -MDESMYDQFSKRIQAARTATVAKDISSDILEARHDYFGRELCNALNIEFRNNVLLDEIILEIAPGINLLK -YNIPNVTPDNFIWDGQFLIILDYKVSVGTDSTEVTYKKYTSLILPVMGEIGVETEIAIIRANPVTYQLSI -IGEEFKNRYPTIPIQLDFSKFFELRKALLDKFAEDDEFLTMIAHGDFTLTAPWCTTETEELLDHEIFKDF -MSSMPPKFIQLFDDALNFSAYSSERWNTLLYRAKAETEADYLDFVRTKARKIFTLDGNYMKPTQKEIDAG -WELMSQRIHEERDVITDITKQKPSIHFIWTKNHNRKLTTSTAKLVFLSNCLQNLSEQSTWTDTLKAIGKS -MDIDGNVSQYETLCADRKLIARSTGKKIDNKRLEAVKIGHAFVLWEQQFILANELFKGQERQKFLKNFLG -IGKHKVFKDKTSTDLDLDKPKILDFNNTLVLMAARSMVNKNKTFLSKESGLLDKHPIMTSYAEEIGSASP -DTLTALQKIGKSRYWQCIIDISTIMKNILSVSQYNRHNTFRVAMCANDSMFALVFPSSDIKTKRATVVFS -VICIHDEKDEMMDAGALFTTLKCKGSGYLSISKAIRLDKERCQRIVSSPGLFVLSSILLYNNNSNINLND -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMVNLIKRGCASANE -QASKIQLRNIYLSDYDITQKGVNDERNLDSIWFKGKVSLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMNQRSENLGIWSNSEEKQHVNLPILIHSLAKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKIGNFEEIKAKSIAKERNNAKAFDKKYRLANPLFISEEDQDLETKHCNYDLLIKKIPNYRDYISV -KVFDRLYELLKNGVLCDEPFIELAMSMMKTHRDFSFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERISK -ERCKLNSDEMISEPGDSKLRILEKKAEEEIRYIVEKTKDSIAKGDPAKALKLEINADMSKWSAQDVFYKY -FWLIALDPILYPLEKKRIIYFLCNYMNKVLILPDDLISNVMDQKRIYNNDIILECTNGLQYNYVNIKRNW -LQGNFNYISSYVHSCAMLVYKDTFKECAKLLDGDCMINSMVHSDDNQTSIAVVQNKVQESVIIQYASELF -ESVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSFAWLAISCSHWITYYTYNMLDDQINSPLHHLPFNNRKDIPVELNGYLNAPLYLIALVGLEAGN -LWFLINILKKLVPLDKQKEVIQNQYLNLQNIRNLTESDIFKLKILRYLTLDTEMSIENNMGETSEMRSRS -LLTPRKFTTIGSLTKLVSYNDFKESINNDTQKDILQYMLDNPELLVTKGETKEQYMNSVIFRYNSKKFKE -SLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLSSLTEADIIEDLPEIIGRVTFPQAYQMINRDIGSLPLD -LDDIKIIYRYCILNDPLMIMASNTALLCVKGTPQDRTGLCSNQMPEFRNMKLIHHSPALVLKAFSKKTVD -LPGADPMELEKDLHHLHEFLENTMIKVKINNNIDNPPKHLVGNEILVYKLREVTKLYQVCYDYIKSTEHK -VKVFILPMKSYTSLDFCTLIQGNTISDNKWYTMHYLKQIMSGSTKGSIVSTNTSEQIIANECFRVLCHFA -DSFVEEGSRLSFINEVLDNFLYKNISVNSLFNTLLASTSRLDFIPLLFRLKVLTQTDLNRFDALKTNERV -SWNNWQVNRSLNSGLIDLTISGYLRSIRIVGEDNKLKIAELTIPNFYPNTVFHAGNKLLNSRHGLRLEYM -EECILDDKYNYYITYQKKRAHMFTYQVSTVDHIFKRNQEGLASRGPRFNRMIPVCPVMLSVRDEMFRMSL -DNVFSLNMTNFQMSRLYVSPDEVATIKKAHMSKMMFFEGPTIKAGIINLTSLMRTQELLTLNYDNICKSS -IIPFCRILECKGEEEGELIFLSDEIMDLTIAEEIESMPIFTIKYQKRGTENMTYKNAILKLVTSGVEEIT -AVFDYAGSGFYSSKNLGIINTICSIINLLETNEWSTILLNAFHIAMLLEGMDREFHMFTLPAPFFTNAAA -GLVDWSKLQKFIKSLPKVEKEPWGMMTDRFIEKTLFLIEREISKDVDFNDFLEELEFSSGKSLFTFF - ->YP_009666985.1 polymerase [Potosi virus] -MEDQMYDQFFKRIQSARTATIAKDISTDILEARHDYFGRELCTSIGVEYRNNVLLDEIILDIAPGINLLN -LNIPNVTPDNYIWDGDFLIILDYKVSVGNDSTEITYKKYTSLILPVMEEIGIPTEIAIIRANPVTYQINI -VGENFKARYPNIPIQLDFSKFFELRKLLLDKFADDEEFLLMIAHGDFTLTAPWCIEDTPELSYHPIYREF -IGSMPPRFVELFDEAMLFSAYSAERWNSLLYNAKAKTEKDYQEFLSEKSHAIFNMDGSYMKPTQGEIDKG -WELMSQRISEEREVITDVSKQKPSIHFIWAKNANRRLSSSTAKLIFLSNSLQSITEPSTWTDSLKAIGKS -MDIDGNVGAYENLCMERKLIARSTGKKIDNKRLEAIKIGNALVLWEQQFILANDLFKGQERQKFLKNFLG -IGKHKSFKDKTTTDLELDKPKILDFNNTIVLMAARSMINKNKTFLAQNNTLENLHPIMEVFSSQIKEASA -DTFNILQRINKTCFWQCITDISMLMRNILSVSQYNRHNTFRVAMCANDSMYALVFPSSDIKTKRATVVFS -VICVHQERQSVMDAGALFTTLELKTKNFVSISKAIRLDKERCQRIVSSPGLFLLSALLLYNNNPDISLID -VLNFVFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYISEKFSPYTKTLFSVYMVNLIKRGCSSANE -QSSKIQLRNIFLSDYEITQKGVNDERNLESIWFPGKINLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMSQRMDDLGIWSKHEKKQHVNLPILIHSLAKSLILDTSRHNHLRNRVESRNNFKRSITTISTFTS -SKSCIKIGDFKEIKSKNLATSIKSQRKACEKYRLSNPLFIVDEESALEVGHCNYEDLTRKIPNYRDYISV -KVFDRLYELFKLGELDDKPFIDQAMGMMKNHKDFSFTFFNKGQKTAKDREIFVGEFEAKMCMYVIERISK -ERCKLNTDEMISEPGDSKLRILEKKAEEEIRYIVEKTKDSIQKGDPTKALKLEINADMSKWSAQDVFYKY -FWLIALDPILYPNEKKRMLFFMCNYMQKVLILPDDLLSNILDQKTPYSNDLILNSTNGLNTNYVNIKRNW -LQGNFNYISSYVHSCAMMVYKDIFKETMKLLEGDCLVNSMVHSDDNQTSLAIMQNKIKDDIVIQFAAHTF -ESVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSATQQSI -KHGCPPSLAWLAISCSHWITHLTYNMLDDQVNCPTNYLPFTSRKNIPMELNGYINAPLYLISLVGLEAGN -LWFLIEMLKKLVPIDKQRETIQTQCQWLTHLSNLTESEKFRFKILRYLTLDTEVSADSNMGETSDMRSRS -LLTPRKFTTPGALNKLVSYMDFKDSMSNDNYKVTLDYMLQNPELLVTKGENKEQFMISVLYRYNSKRFKE -SLSIQNPAQLFIEQVLFSHKPIIDYSSIFDKLSSLVESDIIADLPEIIGRVTFPQAYQMILRDINHLPLD -LEDVSIVYKYCVLNDPLMITAANTSLLCVKGTPQDRNGLSANLMPEFRNMKLIHHSPALVLKAFSKGTTD -LPGAEPTELEKDLHHLNEFLESTGIKDKIHQNMDNPPKHLTGTEITMYKIREITKLYQLCYDYIKSTEHK -VKIFILPMKAYTSVDFCTLVQGNTICDEKWYTMHYLKQILSGSAKGNIVTTSTTEQIIASECFKVLTHFT -DSFVEEASRLSFITEVIDNFSYKNIPVNSLYNTILGSNLRLDFLPLLFRMQALTQIDLNKFDALKTNERV -SWNNWQTNRSLNSGIIDLTISGYLRSIRIVGEDRTLKIAELTIPNFYPNTVFHAGNKLLNSRHGLKFEFM -SEQILDEKFNYYITYQKKRAHVYTYQVSTIEHIYRRNQEGLSSRGSRYNRMIPVCPVVLSVRDELFRMSM -NNVFSLNMTNFSMSRLYVSPDEVATIKKAHMSKMMFFNGPDVKAGIINLTALMRTQELLSLNYDNICKSS -IIPFCRILSCEGSEDAGELIFLSDEVMDFTISEEIESMPIFTIKYQKRGNEKMTYKNAISKLVARGVEEI -TTVFDFSKEGFYSKRNLGIINTLCSIINLLETNEWSSILINSFHIAMLLEGMDREFHLFSLPSAFFNNVA -SGNINWTKLLKFIKALPKVINEPWSMMMERFIEKTTFLIERELNKEANFDDFLDELEFQTGKSMFSFF - ->YP_009666950.1 polymerase [Cache Valley virus] -MEDQMYDQFLKRIQSAKTATVAKDISTDILEARHDYFGRELCASIGIEFRNNVLLDEIILDVAPGINLMN -FNIPNVTPDNYIWDDDFLIIMDYKVSVGNDSTEITYKKYTTLILPVMEQIGIPTEIAIIRANPVTYQISI -IGENFKAKYPNIPIQLDFSKFFELRKLLLDKFADDEEFLLMIAHGDFTLTAPWCMDDTPELYDHPIYKEF -IGSMPPRFVNLFDEAISFSAYSAERWNSLLYTAKAKTEQDYQEFLSEKSHAIFNMNGEYMKPTQSEIDKG -WELMSRRISEERDIITDINKQKPSIHFIWAKNANRKLSSATAKLIFLSNSLQSIIEPSTWTDSLKAIGKS -MDIDGNVGAYENLCMERKLIARSTGKKIDNKRLEAIKIGNALVLWEQQFILANDLFKGQERQKFFKNFLG -IGKHKNFKDKTTTDLELDKPKILDFNNTIVLMAARSMINKNKTFLAQTNTLENLHPIMGVFSDQIKEASE -DTFNTLKKINKTCFWQCITDISMLMRNILSVSQYNRHNTFRVAMCANDSMYALVFPSSDIKTKRATVVFS -VVCIHQEKQSIMDAGALFTTLELKTKNFISISKAIRLDKERCQRIVSSPGLFLLSALLLYNNNPNISLVD -VLNFAFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYISEKFSPYTKTLFSVYMVNLIKRGCSSANE -QSSKIQLRNIYLSDYEITQKGVNDERNLESIWFPGRVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMTQRMDDLGIWSKHGKKQHVNLPILIHSLAKSLILDTSRHNHLRNRVESRNNFKRSITTISTFTS -SKSCIKIGDFREIKAKNLATSVKSQQKACEKYRLSNPLFLVDEESTLETRHCSYEDLVAKIPNYRDYISV -KVFDRLYELFKLKELDDRPFIDQAMEMMQKHKDFSFTFFNKGQKTAKDREIFVGEFEAKMCMYVIERISK -ERCKLNTDEMISEPGDSKLRILEKKAEEEIRYIVEKTKDSIQKGDPTKALKLEINADMSKWSAQDVFYKY -FWLIVLDPILYPNEKKRMLFFMCNYMQKVLILPDDLLSNILDQKTPYNNDLILNSTNGLNANFVNIKRNW -LQGNFNYISSYVHSCAMMVYKDIFKETMKLLDGDCLVNSMVHSDDNQTSLAIMQNKVKDDMVIQFAAHTF -ESVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSATQQSI -KHGCPPSLAWLAISCSHWITHLTYNMLDEQVNCPTNHLPFTNRKDIPVELNGYINAPLYLISLVGLEAGN -LWFLIEMLKKLVPIDKQRETIQTQCQWLAQLGNLTESEKFRFKILRYLTLDTEVSTDSNMGETSDMRSRS -LLTPRKFTTPGSLNKLVSYLDFKNSMSNDDYKETLSYMLQNPELLVTKGENKEQFMTSVLYRYNSKRFKE -SLSIQNPAQLFIEQVLFSHKPIIDYSSIFDKLSSLVESDIIADLPEIIGRVTFPQAYQMIVRDINHLPLD -LEDISIVYKYCILNDPLMITAANTSLLCVKGTPQDRNGLSANQMPEFRNMKLIHHSPALVLKAFSKGTTD -LPGAEPTELEKDLHHLNEFLESTGIKEKIGQNMDNPPKHLTGMEITMYKIRELTKLYQLCYDYIKSTEHK -VKVFILPMKAYTSVDFCTLVQGNTISDDKWFTMHYLKQILSGSAKGNIVTTSTTEQIIANECFRVLTHFA -DSFVEEASRLSFITEVVDNFSYKNIPVNSLYNTILGSNLRLDFIPLLFRMQALTQADLNKFDALKTNERV -SWNNWQTNRSLNSGVIDLTISGYLRSIRIVGEDKILKIAELTIPNFYPNTVFHAGNKLLNSRHGLKFEFM -SEQVLDDKFSYYITYQKKRAHMYTYQVSTIEHIYRRNQEGLAARGNRYNRMVPVCPVVLSVRDELFRMSM -NNVFSLNMTNFTMSRLYISPDEMATIKKAHMSKMMFFNGPDIKAGIINLTALMRTQELLSLNYDNICKSS -IVPFCRILSCEGSEDNGELIFLSDEVMDFTISEEIESMPIFTIKYQKRGNEKMTYKNAISKLVSHGVEEI -TTVFDFSKDGFYSKKNLGIINTLCSIINLLETNEWSSILLNSFHIAMLLEGMDREFHLFSLPSAFFNNVA -SGNINWTKLLKFIKSLPKIHNEPWSMMMERFIEKTIYLIEKELNKEANFSDFLDELEFQTGKSMFSFF - ->YP_009666949.1 polymerase [Fort Sherman virus] -MEDQMYDQFLKRIQSAKTATVAKDISTDILEARHDYFGKELCASIGIEYKNNVLLDEIILDVIPGVNLMN -YNIPNVTPDNYIWDGDFLIILDYKVSVGNDSTDITYKKYTSLILPVMDQLGIPTEIAIIRSNPVTNQINI -VGENFRARYPNIPIQLDFSKFFELRKLLLDKFADDEEFLLMIAHGDFTLTAPWCMEDTPELITHPIFNEF -MGSMPPRFVNLFNESVAFSAYSAERWNSLLYNVKGQTEKDYQEFISIKSHNVFNMDGKYMKPTQIEIDRG -WELMSQRISKERDIITDVNKQKPSIHFIWTKNANRRLPNATAKLIFLSNSLQSIVEPSTWTDSLKAIGKS -MDIDGNVGQYENLCTERKMIARSTGKKIDNKRLEAVKVGNALVLWEQQFILANDLFKNQERQQFLKKFLG -IGGHKTFKDKTSTDIELEKPKILDFNNTIILMAARAMVNKNKSFLSQNNTLGNLHPIMETFSSQIKEASE -DTFSILNRINKTCFWQCITDISTIMRNILAVSQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFS -IVCIHTDKTDLMNAGALFTTLELKTKNFLSISKAIRLDKERCQRIVSSPGLFLLSALLLYNNNPEVSLMD -VLNFSFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMVNLIRKGCSSANE -QSSKIQLRNIYLSDYDITQKGVNDERNLDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMNQRLDNLGIWSKHEKKQHVNLPILVHSLAKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKIGDFKEVKTKAHSSSIKNQKKICEKYRLSNPLFITEEEASLEVSHCNYEDLAAKIPNYRDYISV -KVFDRLYELFKIGYLDDSPFIDQAMKMMKDHKDFSFTFFNKGQKTAKDREIFVGEFEAKMCMYVIERISK -ERCKLNTDEMISEPGDSKLRILEKKAEEEIRYIVEKTKDYMQKGEPTKALKLEINADMSKWSAQDVFYKY -FWLIALDPILYPNEKKRMLYFMCNYMQKVLILPDDLLSNVLDQKTPYQNDLILDSTNNLSTNFVHIKRNW -LQGNFNYISSYVHSCAMLVYKDIFKETMKLLDGECLVNSMVHSDDNQTSLAIIQNKLSDEVMIQFASDTF -ETVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSATQQSI -KHGCPPSLAWVAISCSHWITHLTYNMLNDQINSPTNYLPFANRSDIPVELNGFINAPLYLISLVGLEAGN -LWFLIEMLKKLIPLDKQRETIQTQCQWLTNLNNLNDSEKFKFKILRYLTLDTEVCADTTMGETSDMRSRS -LLTPRKFTTPGSLNKLISYTDFKDSMSTDQYNQNLDYMLGNPELLVTKGENKEQFMASILYRYNSKRFKE -SLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLSSLVESDIIAELPEIIGRVTFPQAYQMIYRDINQLPLD -LEDIKIVYKYCILNDPLMITAANTSLLCVKGTPQSRTGLSANQMPEFRNMKLIHHSPALVLKAYSKNKTD -LPGADPIELEKDLHHLNEFLDNTGIKAKIDQNIDNPPKHLTGTEITMYKIREITKLYQLCYDYIKSTEHK -VKVFILPMKAYTAVDFCTLVQGNTLSDDKWYTMHYLKQILSGTVKGTIVTTSTSEQIIASECFRTLCHFA -DSFVEEASRLSFITEVIDNFQYKNISVNTLYNTILGSNLRLDFIPLLFRMQALTQTDLNRFDALKTNERV -SWNNWQTNRSLNSGIIDLTISGYLRSIRVVGEDKTLKIAELTIPNFYPNTVFHAGNKLLNSRHGLKFEYM -EECVLDEKYNYYVTFQKKRAHIYTYQVSTTEHIFRRNQEGAASRGNRYNKMVPVCPVVLSVRDELFRMSL -NNIFSLNITNFSMSRLYVSPDEMATIKKAHMSKMMFFNGPDIKAGIVNLTALMRTQELLSLNYDNICKSS -IVPFCRILSCNGDEDTGELIFLSDEIMDFTISEEIESMPIFTIKYQKRGHEKMTYKNAISKLVTRGVEEV -TTVFDFSGDGFYSKKNLGIINTLCSVINLLETNEWSSILLNSFHIAMLLEGMDREFHMFSLPSAFFINVA -GGQINWTKLLKFVRSLPKINHEPWSIMMDRFIDKTVFLIEKELNKEANFNDFLDELEFKSGKSMFSFF - ->YP_009666916.1 RNA-dependent RNA polymerase [Ilesha virus] -MEDQVYDQYLHRIQAARTAVVAKDISADILEARHDYFGRELCNSLGIEYKNNVLLDEIILDVMPGINLMA -YNIPNVTPDNYIWDGHLLIILDYKVSVGNDSSEITHKKYTSLILPVMSDIGIDTEIAIIRANPVTYQISI -MGEEFKQRYSSIPLQLDFSRFFELRKMLLDKFADDEEFLAMIAHGDFTLTAPWCTTETPELKNHEIFQEF -ISSMPPRFVALFQEAIEFSAYSAEKWNTFLYKAKMETSSDYNQFLSDKAHKVFVLDGDYMKPTQAEIDKG -WELMSQRIYTERDIITDITKQKPSIHFIWTRNADRRLTTSTAKLIYLSNTLQSISESSTWTDTLRAIGKS -MDINGKVGQYETLCAERKIIARSTGKKIDNKRLEAVKIGNALVLWEQQFILANDLFKNQERQKFMKNFLG -IGKHKNFRDRTSSDIELDKPKILDFNNTIVLMAARTMVNKNKKLLAKENTLQELHPIIKQYAAEIKDASE -ETFNSLIKISKTCFWQCIIDISTIMRNILAVSQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFS -IVCTHKEKNELMDAGALFTTLECKNKEYISISKAIRLDKERCQRIVSSPGLFVLSSMLLYNNNPEINLMD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVRDYIAEKFSPYTKTLFSVYMVNLIKKGCATANE -QSSKIQLRNIYLSDYDITQKGVNDDRNLDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLDIEMNQREDNLGIWSKAEKKQHVNLPILVHSIAKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKVGDFKNIKSKEVERSKKSNEKFDKKFRLSNPLFLESEEFDLEVQHCNYKALIEKIPRYKDHISV -KVFDRLYELLKTEELTERPFIELAMDMMLKHKEFTFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERISK -ERCKLNTDEMISEPGDSKLKILEKKAEEEIRYIVERTKDSIIKGDPSKALKLEINADMSKWSAQDVFYKY -FWLIAMDPILYPTEKKRILYFMCNYMLKILILPDDLIANILDQKRPYNNDLILEMTNGLNTNFVQIKRNW -LQGNFNYISSYVHSCAMLVYKDIFKECMKLLDGDCLINSMVHSDDNQTSLAIIQNKLSDNTMIQYAANIF -ESVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSLAWLAISCSHWITFFTYNMLDDQINAPQQYLPFNNRKEIPVELNGYLNAPLYLIALVGLEAGN -LWFLINILKKLVPLDKQKETVQSQCLHLSNLLNKLTESEKFKLKILRYLTLDTEISVDNNMGETSDMRSR -SLLTPRKFTTLGSLNKLISYNDFKSSLDDQRFTNNLNYMLNNPELLVTKGETKDQFMQSVLFRYNSKRFK -ESLSIQNPAQLFIEQILFSHKPVIDYSSIFDKLTSLAEAEIIEELPEIIGRVTFPQAYQMINRDISQLPL -DLDDIKLIFRYCILNDPLMIIAANTSLLCVKGTPQDRTGLSANQMPEFRNMKLIHHSPALVLKAFSKGTS -DISGADPIELEKDLHHLNEFVETTTIKDKILQNIDNPPKHLVGNEILIYKIREMTKLYQVCYDYVKSTEH -KVKVFILPMKSYTAIDFCTLIQGNTISDSKWYTMHYLKQIASGSIKGHIITTSTSEQIIANECFRVLCHF -ADSFVEEASRLSFINEVLDNFTYKNISVNSLFNTLLASTTRLDFIPLLFRLKVLTQTDLNRFDALKTNER -VSWNNWQTNRSLNSGLIDLTISGYLRSIRIVGEDNKIKIAELTIPNFYPNTVFHAGNKLLNSKHGLKFEY -MEEFILDERYNYYITYQKKRAHIYTYQISTIEHIVRRNKEGLQSRGNRYNKMIPVSPVVLSVRDELFRMS -LDNVFSLNMTNFNISRLYVSPDEVATIKKAHMSKMMFFSGPTIKAGIVNLTSLMRTQELLTLNYDNLCKS -SIIPFCRILECDGTEQGELIFLSDEIMDFTISEEIESMPLFTIKYQKRGTEIMTYKNAITKLVTKGVDEI -KEIFDFSKQGFYSKKNLGIINTICSIINILETNEWSTILYNSFHIAMLLESMDREFHMFTLPEAFFVNIA -GGVINWAKLLKFIKSLPTIEQEPWSMMMSRFIEKTIFLIEREMNKDVDFADFLEELEFSSGKSLFTFF - ->YP_009666906.1 polymerase [Batai virus] -MEDSMYDQFLKRIQSARSAVIAKDISTDILEARHDYFGRELCQAIDIPYRNNVLLDEILLEVVPGINLMN -HNIPNVTPDNYIWDGNFLIILDYKVSVGHDSSEITYKKYTSLIMPIMSELGISSEIAIIRANPVTYQISI -IGDEFKNRFPSIPIQLDFSKYFELRKMLLDKFADDDEFLLMIAHGDFTLTAPWCNEETPELESHPIFKEF -IYSMPSRFVKLFITSLDFSAYSAERWNTMLHKAKSETEEDYNEFLNKKSHEVFNLDGNYSKPTQNEIDQG -WELMSKRIRDEREIITDVTKQKPSIHFIWVKNHNRKLVGSTAKLIFLSNSLQGIIEASTWTDSLKAIGKS -MDICGKVGQYENLCAERKMVSRSTGKKIDNKRLEAVKIGDALVLWEQQFILSNDLFKSQERQKFLKNFFG -IGKHKRFKDKTSSDLNDEKPKILDFNNMLVLMAARTMVNKNKVLLSKDNNLNEHHPTIGLYLNKIEECSI -ETASALKKISKTCFWQCVLDISTLMRNILAVSQYNRHNTFRVAMCANDSFYALVFPSSDIKTRKATVVFS -IVCIHTNKDDLMDAGALFTTLELNTKEYISISKAIRLDKERCQRIVSSPGLFLLSSLLLYNNNKDISLFD -VINFCFYTSLSITKSMLSLTEPSRYMIMNSLAVSSHVKDYIAEKFSPYTKTLFSVYMVNLIKRGCATANE -QSGKIQLRNIYLSDYDITQKGVNDERNLDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMNQRQDDLGIWSKYEKKQHVNLPILVHSLAKSLILDTSRHNHLRNRVESRNNFRRNITTISTFTS -SKACIKIGDFENIKKENNTKSIKSIKKVDQKYRLSNPLFVNDQESNLEIQHCNYAMLKSKIPNYKDYISV -KVFDRLYELIKMGKINDKPFIEQAMVMMKDHKSFYFSFFNKGQKTAKDREIFVGEFEAKMCMYVIERISK -ERCKLNSDEMISEPGDSKLRILEKKAEEEIRYIVEKTKDRMSKGDPVKALKLEINADMSKWSAQDVFYKY -FWLIALDPILYPKEKKRILFFMCNYMEKNLILPDDLIANILDQKTPYRNDIILEATNGLNTNSVNIKRNW -LQGNFNYISSYVHSCAMLVYKDVMKKTMDLLEGDCLVNSMVHSDDNQTSLSILQNKVSDNVIIQFTANTF -ESICLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSLVWLAISCSHWITYFTYNMLDDQINAPNNYLPFENRHDIPVELNGYLDAPLYLIALVGLEAGN -LWFLMKILKKLVPLDKQKETIQTQCLHIKGDLSKLSESDIFRLKLLRYLTLDTEVSADNNMGETSDMRSR -SLLTPRKFTTIGTLSKLISYNDFKNNMSTNGFKDNLEYMLKNPELLVTKGETNDQFVNSILFRYNSKRFK -ESLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLTSLAESDIIEELPEIIGRVTFPQAYQMINRDLSQLPL -QLDDIQIIFRYCILNDPLMITAANTVLLCVRGTPQDRTGLSANQMPEFRNMKLIHHSPALVLKAFSKGST -DLPGADPIELEKDLHHLNEFVDSTSIKQKIFQNMDNPPKHLQGDEITMYKIRELTKLYQVCYDYVKSTEH -KVKVFILPMKSYTAIDFCTLIQGNTLSDNKWYTMHYLKQVLGGNVKGNIITTSTSEQLIASECFRVLCHF -ADSFIEEGSRPSFVNEVIDNFTYKNIAVNSLLNTILNSSSRLDFIPLLFRLRHLTQMDLNKFDALKTNER -VSWNNWQTNRSLNSGLIDLTISGYLRSIRIVGEDRYLKVAELTIPNFYPNTVFHAGNKLLNSRHGLKFEY -MEECVLDDKYNYYVTYQKKRAHLYTYQVSTIDHINRRNIEGLTSRGSRFNKMVPVCPVVLSVRDELFRMS -LENIFSLNMTNFSMSRLLVSPDEVATIKKAHMSKMMFFQGPSIKAGIINITSLMRTQELLTLNYDNICKS -SIIPFCRILECDGSEQGELIFLSDEIMDFTISEEIESMPIFTIKYQKKGHEHMTYKNAIMKLVNNGVEEI -KEVFDFSGEGFYSSKNLGIINTICSVISLLETNEWSSILYNSFHIAMLLENMDREFHLFTLPKAFFNNTA -AGSINWTKLLKFVRSLPSIGKEPWNLMLSRFIEKTLYLIEKKINEDVSFNDFLDELEFQTGKSMFTFF - ->YP_009666900.1 RNA-dependent RNA polymerase [Cachoeira Porteira virus] -MDDAKYDQYLARINAVRDPSIAKDIDVDLMIDRHNYFGRHLCDVLNIQYRNDVPLIDILLETVPGFDPTA -VDVPNITPDNYIMINGRIVIIDYKVSVGSESTEITLEKYNKCMEKVREQLPINYEVAIVRVNPVTNQLYL -IGESFIRAFPNIPLNLDFSRFFELKTMLYQKFADNEEFMLKVAHGDFTLTAPWCHEETPELLSHPEFNFF -INSMASPIQNLFFETLEFSAYSSERWNSQLHKIKDIMRDDYENFLKRNAADIFNMDGKFERPDQKEIDMG -WNMMIKRVSEERDIISNISKQKPSVHFIWSEASNRKLNGSTAKLIFLSNSLQSIKESSTITETMKAIGIS -MDIDGQVGKYETLCMERKMLARSAPKKIENRKLDPVKIGNALVIWEQQFVLPNELFKANERQKFFQRFLG -IGGHKRFNKKTAEDVDCDKPKILDFNNPNIIDAAYFMVNKNQRYLQQESNFQVDHPIIESYRAQITEANI -ETIKTLDIIKDTNYWRCINDISVLMRNILSISQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFC -TVCIHKKKDNMFDAGALHSTLKLSTGEYISISKAIRLDKERCQRIVSSPGLFILSAILMYNNNPSINIYD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMTNLIKKGCSTANL -QSKKIQLRNVFLSDYDITQKGAGDERDLESIWFPGLVSLKEYISQIYLPFYFNAKGLHEKHHVVIDLAKT -VIEIELDQRKISQEIWSTTPKKEHVNLPILIYSIANNLILDTSRHNHLRNRVENRNNFKRSITTISTFTS -SKSCIKIGDFKELKATQLRKNIKNIQTAEKKYRVSNPLFIDDETKDLEAAHVRYDKIIETIPDYKDCLST -KVFDRLYELLKREEINDTPFIEHAMSMMLKHKEFNFTFFNKGQKTAKDREIFVGEFEAKMCMYVIERISK -ERCKLNSDEMISEPGDAKLRVLEQKAEQELRFIVEKTKDRFAKADPSRALKMEINADMSKWSAQDVFYKY -FWLIAMDPILYPREKKRILYFMCNYLEKNLILPDELIGNVLDQKKTYNDDIILEGTEFFRQNYIKIRRNW -LQGNFNYISSYIHTCAMSVYKDIIKRVASLLDGDVMVNSMVHSDDNQTSITYIQNKLPENMLMQHALEKF -EVVCLTFGCQANMKKTYMTHNIKEFVSLFNIHGEPMSVYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSYAWLAISCSHWITHMTYNMLEDQINSPIGCLPTRNRFEIPVELNGFLNAPLYLIALVGLEAGN -LWFLIKLLKKVVPLDKQKEDIQTQVQYIQYAEFSDIEILKLKLLRYLSLDTEISSDSNFGETSDMRSRSL -LTPRKFTTLGSLNKLISYADFKESMNTREHADNLEYMINNPELLVTKGERKEEFINSILYRYNSKRFKES -LSIQSPAQLFIEQILFSHKPIIDYSSIFEKLTSLAETDMILEEAVPIGRLTFPQAYRQLLKDLNGLNITI -EDITVIYRHCILNDPLMVTAANTSILCMKGSPQPRVGLSACQMPEFRNMKLIHHSPALVLRAYTKGNTDI -PGAEQLELQKDLYHLEEFIKETKIKDKFDERVNSIPDNLTDIEKKIHHLRELTKFYQVCYDYIKSTEHKV -KIFILPMKSYTSLDFCTLIQGNTLSDKDWYTMHYMKHIIAGNLKGQVLQHSTSDQILASECFRALVHFSD -YFVEATSRVAFIYQVIESYKYKNILVSDLFKIILSSSLRLNFLPLLFRTNELTQADLNKYDALKTDERIA -WNNWQTNRSLNSGIIDLTITGYMRSIRIMGNDKNLEIAELVVQNFHPNTIFHAGNRLLNSRHGLKFEYME -EVLIDEKVNYYITYQRKRAHKYNYQISTIDHIRQRNLEGEQLRGKKFNRLVPVCPIIPTIREEEVIISLG -DIGPLNMTNYSMARLLIKPEEYATIKKAHMSKMMFFDGPEIMAGIINLTILMRTQELLNLDFDNICKNSI -LPFCRILHCNGSVEGELIFLSDEVMDLTVSEEIESIPIFTIQYNKKGEQHMTYKNAVIKMINKGKEEIED -VFDFTNDGFYSKKNLGIINALCSIIDLLETNEWSTLIKNAFHIAMISEGMDRQFHLFKIPAAFMVNPASG -EINWSKILRFMSSLPDIETEPWGSMFTRFKIKTEYLIKKEMKKESKLEEFLDELEFEEGRSGFLFK - ->YP_009666895.1 RNA-dependent RNA polymerase [Sororoca virus] -MDDAKYDQYLARINAVRDPSIAKDIDVDLMIERHNYFGRQLCVAIGIQYRNDVPLIDILLESIPGFDPTT -IDIPNITPDNFVVIEGRIIIIDYKVSVGSESTEITLEKYSKCMEKVREQLPINYEVAIVRVNPVTNQLHL -IGESFIRAYPNIPLDLDFSRFFELKTMLYQKFADNEEFMLKVAHGDFTLTAPWCHEDTPELLMHPEFNFF -INSMAMPIQNLFFETLDFSAYSSERWNSQLHKIKDAMKDDYEYFIKKNAIDIFDMDGNYERPDQKEINIG -WEIMTKRVSNEREMTNNVSKQKPSVHFLWTEALNRKLNTQTSKLIFLSNSLQSIKEPSTITDTLKAIGLS -MDIDGQVGKYETLCMERKMLARSTSKKIENKKLEPVKIGNALVIWEQQFVLPSELFKANERQKFFQQFLG -IGGHKRFNKKTADDVNCDKPRILDFNNQGIIDASLFMVNRNQKYLQTKSNFLVDHPIIESYRGQIMESNG -ETMETWDIIKDTNYWRCINDISVLMRNILSILQYNRHNTFGVAKWANDSDYALVFPSSDIKTKRATVVFS -TICIHKNKDNLFDAGSLYSTLKMSTGGYISISKAIRLDKERCQRIVSSPGLFILSAILMYNNNKSINLYD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVRDYIAEKFSPYTKTLFSVYMTHLIKKGCSTANL -QSKKIQLRNIFLSDYDITQKGAGDERDLESIWFPGLVNLKEYISQIYLPFYFNAKGLHEKHHVMIDLAKT -VIEIELEQRKISQEIWSVKPRKEHVNLSILIYSIANNLILDTSRHNHLRNRVENRNNFKRSITTISTFTS -SKSCIKIGNFKELKTAQLKKNIKNVQMAEKKYRVSNPLFIDDETKDLEAAHVRYDKIIETIPDYKDCLST -KVFDRLYELLKLEKLDDTPFIEHAMATMRNHREFNFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERISK -ERCKLNSDEMISEPGDAKLKVLEQKAEQELRFIVEKTKDRFAKADPSRALKMEINADMSKWSAQDVFYKY -FWLIAMDPILYPKEKKRILFFMCNYLEKNLILPDELIGNVLDQKKTYNDDIILEGTEFFRQNYIKIKRNW -LQGNFNYLSSYVHTCAMSVYKDIIKRVAALLDGDVMVNSMVHSDDNQTSVTYIQNKLPENILIQHALEKF -EIVCLTFGCQANMKKTYMTHNIKEFVSLFNIHGEPMSVYGRFLLPSVGDCAYIGPYEYLASRLSAAQQSL -KHGCPPSYAWLAISCSHWITHMTYNMLEDQVNSPIGCLPTTNRFDIPVELNGFLNAPLYLIALVGLESGN -LWFLIRLLKKIIPLDKQREDIQTQVQYIQDANFSDIELLKLKLLRYLSLDTEISSDSNFGETSDMRSRSL -LTPRKFTTLGALNKLISYMDFKESMNTRDHIQNLEYMINNPELLVTKGEKKEEYMNGILYRYNSKRFKES -LSIQSPAQLFIEQILFSHKPIIDYSSIFEKLTSLAETDMILEEAVPIGRLTFPQAYRQLLKDLNSLKITL -EDITIIYRHCILNDPLMVTAANTSILCMKGSPQPRVGLSACQMPEFRNMKLIHHSPALVLRAYTKGNVDV -PGAEQLELQKDLYHLEEFIKETKIKDKFEERITSIPDNLTEVEKKIHHLRELTKFYQVCYDYIKSTEHKV -KIFILPLKSYTSLDVCTLIQGNTLSDKEWFTMHYMKHIIAGNLKGQVLQHSTNDQIIASECFRALVHFAD -YFVEATSRVAFLHQIVESYKYKNILVSDLFRIILSTTMRLNFLPLLYRMNELTQADLSKYDALKTDERIA -WNNWQTNRSLNSGVIDLTITGYMRSIRIMGSDKHLDIAELIVQNFHPSTIFHAGNRLLNSRHGLKFEYME -EVLIDEKINYYITYQRKRAHKYNYQISTIDHIRQRNLEGEQLRGKKHNRLIPVCPIIPTIREEEVIISIQ -DIDPLNMTNYSMARLLIKPEEYATIKKAHMSKMMFFDGPYIMAGILNLTILMRTQELLNLDFDNICKNSI -LPFCRILHCNGSVEGELIFLSNEVMDLTVSEEIESIPIFTIQYNKKGERNMTYKNAIIKMINKGKEEIED -VFDFTTDGFYSAKNLGIINALCSIIDLLETNEWSTLIKNAFHIAMISQGMDSHFHLFKIPVAFMSNPASG -EINWPKVLRFISSLPDIEREPWGSMFARFKTKTEFLIKKEIKKESKLEEFLDELEFSEGRSGFLFK - ->YP_009666893.1 RNA-dependent RNA polymerase [Iaco virus] -MDDQRYNQYQARINAARDPTVAKDIDVDLMIDRHNYFGRQLCEAINIQYRNDVPLLDILLDAIPGLDPMA -LEIPNITPDNYIILDGRIIIIDYKVSVSSETTEITLEKYNRCMETIKEQLPINYEVAIIRVNPVSNQLFL -IGETFIRNFPNIPLNLDFSRFFELKTMLYQKFADDEEFMLKVAHGDFTLTAPWCHEETPELLVHPEFNFF -INSMPRAFQNLFFETMEFSAYSSERWNSQLHKIREIMKEDYEHFIKQNAADVFMMDGNFKKPDQSEIDLG -WEMMTKRVSEERDMTNNLNKQKPSVHFIWSEASNRKLNGPTAKLIFLSNSLQSIKEISTITETLHAIGIS -MDIDNQIGKYVTLCMERKMLARFTARKIENKKLEPVKIGNALVLWEQQFVLPNDLFKANERQKFFQKFLG -IGGHKRFNKKTADDVDCTKPRILDFNDKSIIDASNFMVNKNQKYLQQDSNFEIDHPIIENYRGQIAEANE -ETMKTLDLIKKTNFWRCINDIAVLMRNILSVSQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFC -TICIHKKKNELFDAGSLHSTLKMSTGEYVSISKAIRLDKERCQRIVSSPGLFVLSAILMYNNNPSVNLYD -VLNFTFYTSLSVTKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMTSLIKKGCSTANA -QSKKIQLRNVFLSDYDITQKGAGDDRDLESIWFPGVVNLKEYISQIYLPFYFNAKGLHEKHHVMIDLAKT -VIEIELEQRKISQEIWSVEPKKEHVNLSILVYSIANNLILDTSRHNHLRNRVENRNNFKRSITTISTFTS -SKSCIKIGDFKEFKASQAKKSQKNIQMAEKKYRVSNPLFIDDEMKDLETAHVRYDQIIETIPDYKDCLST -KVFDRLYELLKEEILDDTPFIEHAMRMMKEHTEFNFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERIAK -ERCKLNSDEMISEPGDSKLKVLEQKSEQELRFIVEKTKDRFAKADPAKALKMEINADMSKWSAQDVFYKY -FWLIAMDPILYPKEKKRILFFMCNYLGKNLILPDELIGNILDQKKTYNDDIILEGTEFFRQNYIKVRRNW -LQGNFNYISSYVHTCAMSVYKDIIKKVSYLLEGDVLVNSMVHSDDNQTSITYVQNKLSEDMLIQHALLKF -ELVCLTFGCQANMKKTYMTHNIKEFVSLFNIHGEPMSVYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSYAWLAISCSHWITHTTYNMLDDQVNSPIGYFPTRNRFEIPVELNGLLNSPLYLIALVGLEAGN -LWFLIKLLKKIVPIDKQKEDIQTQVQCIQTAELTDMELLKLKLLRYLSLDTEISSDSNFGETSDMRSRSL -LTPRKFTTLGSLNKLISYQDFKNSMNGNEHTENLDYMINNPELLVTKGEKKDEFMNSILYRYNSKRFKES -LSIQSPAQLFIEQILFSHKPIIDYSSIFDKLTSLAETDMILEETAPIGRLTFAQAYRQLVKDINDLEIRS -EDITIVYRHCILNDPLMVTAANTSILCMRGSPQPRVGLSACQMPEFRNMKLIHHSPALVLRAYSKGDIDI -PGIEQTELQKDLYHLEEFIKETKIKEKFDERIANIPDTLTAIERRIHYLRELTKLYQVCYDYIKSTEHKV -KIFILPMKSYTALDFCTLIQGNTLSDKEWFTMHYLKHIIAGNLKGQVLQHSTNDQIIASECFRALVHFAD -YFVEATSRVAFLHQIVESYKYKNIMVKDLFNIILSSSLRLNFLPLLYRLNELTQADLNKYDALKTDERIA -WNNWQTNRTLNSGIIDLTITGYLRSIRIIGNDKKLEIAELVVQNFHPNTIFHAGNKLLNSRHGLKFEYME -EVLIDEKVNYYITYQKKRAHKYNYQISTIEHIFRRNKEGEQTRGIRHNKMVPVCPVVPTIKEEETIISLR -DIEPLNMINYSMARLLVKPDEYATVKKAHMSKMMFFDGPEIMAGIINLTTLMRTQELLNLDFDNNCKNSI -LPFCRILHCNGSIEGEAIFLSDEIMDFTISEEIESIPIFTIQYNKKGEQHMTYKNAIIKMINKGKEEIED -VFDFTSEGFYSKKNLGIINALCSIIDMLDTNEWSTLIKNAFHIAMISEGMDRQFHLFKIPISFMSNPASG -EVNWPKVLRFISSLPEIEREPWGSMFTRFKIKTEFLIKKEIKKESKLEDFLDELEFEEGKSGFTFN - ->YP_009666889.1 RNA-dependent RNA polymerase [Anhembi virus] -MDDQKYAQYIARINATRDPTIAKDIDVDLMIDRHNYFGRQLCEAINIQYRNDVPLLDILLDAVPGIDPMA -MEIPNITPDNYIIINDRIIIIDYKVSVSSETTEITLEKYSRCMEIIKNQLPINYEVAIIRVNPITNQLHL -IGETFIRHFPNIPLNLDFSRFFELKTMLYQKFADDEEFMLKVAHGDFTLTAPWCHEETPELLVHPEFNFF -INSMPPIAQNLFFETLEFSAYSSERWNSQLHKIREITKPEYDNFIKKHAIDIFNMDGNFEKPNQQQIDEG -WKLMTKRVSEERDLTTDISKQKPSVHFLWSEACNRKLNGPTSKLIFLSNSLQAIKDASTITETLKAIGLS -MDIDNQIGKYESLCMERKMLARSTGKKIENKKLEPIKIGNALVLWEQQFVLPNDLFKANERQKFFQKFLG -IGGHKRFNKKTADDVDCTKPRILDFNNQNIIDASLFMVNKNQKFLQKPSDFEVDHPIIETYRGQISESNQ -ETMKTLDIIKTTNYWRCINDISVLMRNILAVSQYNRHNTFRVAMCANDSLYALVFPSSDIKTKRATVVFC -TICIHKTKESLFNAGALHSTLKLNTGEYISVSKAIRLDKERCQRIVSSPGLFILSAMLMYNNNPSINLYD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYISEKFSPYTKTLFSVYMTTLIKKGCGTANA -QSQKIQLRNVFLSDYDITQKGAGDERDLESIWFPGKVSLKEYISQIYLPFYFNAKGLHEKHHVMIDLAKT -VIEIELEQRKIAQEIWSDKPIKEHVNLPILLYSISNNLILDTSRHNHLRNRVENRNNFKRSITTISTFTS -SKSCIKVGNFKEEKISQSKRNIKNVQMAEKKYRVSNPLFISDEMLDLETAHTRYEQIIEVIPDYKDCIST -KVFDRLYELLKINKLDEKPFIEHAMKMMKEHTEFNFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERIAK -ERCKLNSDEMISEPGDSKLKVLEMKSEQELRFIVERTKDRFAKADRAKALKMEINADMSKWSAQDVFYKY -FWLITMDPILYPKEKKRILYFMCNYLCKNLILPDELIGNILDQKKTYNDDIILEGTEFFHQNYIKIRRNW -LQGNFNYISSYIHTCAMSVYKDIIKRVSILLDGDVLVNSMVHSDDNQTSITYIQNKLPEDMLIQHALTKF -ELVCLTFGCQANMKKTYMTHNIKEFVSLFNIHGEPMSVYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSYAWIAISCSHWITYTTYNMLDDQVNSPIGYFPTRNRFDIPVELNGYLSSPLYLIALVGLEAGN -LWFLIKLLKKLVPIDKQKEDIQTQVLSIRITDLTDIELLKLKLLRYLSLDTEISGDSNFGETSDMRSRSL -LTPRKFTTLGSLNKLISYLDFKNSMNSDDHVNNLDYMINNPELLVTKGETKEEFMNSILYRYNSKRFKES -LSIQSPAQLFIEQILFSHKPIIDYSSIFDKLTSLAETDMILEETMPIGRLTFPQAYRQLVKDINELRITQ -EDIIIIYRHCILNDPLMVTAANTSILCMRGSPQPRVGLSACQMPEFRNMKLIHHSPALVLRAYSKGEIDI -PGIDQIELQKDLYHLDEFIKETKIKEKFEERINSIPENLTKTERRIHYLRELTKLYQVCYDYIKSTEHKV -KIFILPMKSYTALDFCTLIQGNTLSDKEWFTMHYLKHIIAGNLKGQVLQHSSNDQIIASECFRALVHFAD -YFVEATTRVAFLHQIVESYNYKNISVKQLFQIILSTNMRLNFIPLLYRMGELTQNDLNKYDALKTDERIS -WNNWQTNRTLNSGIIDLTITGYLRSIRIMGNDKKLEISELVVQNFHPNTIFHAGNKLLNSRHGLKFEYMD -EVLIDEKVNYYITYQKKRAHKYNYQISTIEHIIRRNKEGEQTRGVKHNKMIPVCPVIPTIKEEETIISIN -DIQPLNMLNYSMSRLLVKPDEYSTIKKAHMSKMMFFDGPEIMAGIINLTVLMRTQELLNLDFDNICKNSI -LPFCRILHCNGSFEGEAIFLSDEIMDLTISEEIESIPIFTIQYNKKGENHMTYKNAIIKMIARGKEEIEK -VFDFTNDGFYSKKNLGLINAICSVIDMLDTNEWSTLIKNAFHIAMIAENMDRQFHLFKIPISFMSNPSSG -EINWPKILRFINSLPDIEEEPWGSMFSRFKLKTEYLIKKEIKKESKLDEFLDELEFEEGKSSFTFS - ->YP_009666865.1 RNA dependent RNA polymerase [Tensaw virus] -MEDQMYDQFFKRIQSAKSATVAKDISADILEARHDYFGRELCSSIGIEYKNNVLLDEIILDVVPGVNLMN -YNIPNVTPDNYIWDGEYLIILDYKVSVGNDSTDITYKKYTSLILPVMDQLGIPTEIAIIRANPVTNQITI -IGENFRARYPNIPIQLDFSKYFELRKFLLDKFADDEEFLLMIAHGDFTLTAPWCMEDTPELYEHPIFKEF -IGSMPPRFVSMFEDSIAFSAYSAERWNSLLYNIKGQTERDYQEFLSVKSHNVFNMDGKYMRPTQSEIDEG -WELMSLRIAKERDITTDINKQKPSVHFIWTKNANRKLSNSTAKLIFLSNSLQSINEPSTWTDSLKAIGKS -MDIDGNVGLYENLCTERKMIARSTGKKIDNKRLEAVKIGNALVLWEQQFILANDLFKNQERQQFMKKFLG -IGGHKSFREKTATDVEVEKPRILDFNNTIVLMAAKSMVNKNKAFLSQNNTLDNLHPIISNFASQIKDASE -NTFAVLEKINKTCFWQCITDISTLMRNILAVSQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFS -VICIHEEKQCLMNAGALFTTLELKTKNYLSISKAIRLDKERCQRIVSSPGLFILSALLLYNNNSDISLMD -VLNFSFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVKDYIAEKFSPYTKTLFSVYMVNLIKNGCSSANE -QSNKIQLRNIYLSDYDITQKGVNDERNIDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMSQRSDNLGIWSKHEKKQHVNLPVLVHSLAKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKIGDFRDVKSKAHSAALKSQQKACEKYRLSNPLFMLEEESTLEVSHCCYEDLIAKIPNYRDYISV -KVFDRLYELFKNGSLDDSSFIEQAMRMMKNHVDFSFTFFNKGQKTAKDREIFVGEFEAKMCMYVIERISK -ERCKLNTDEMISEPGDSKLRILEKKAEEEIRYIVEKTKDSIQKGDPTKALKLEINADMSKWSAQDVFYKY -FWLLALDPILYPNEKKRMLFFMCNYMQKSLILPDDLLSNILDQKTPYNNDLILDSTNNLSSNFVNIKRNW -LQGNFNYISSYVHSCAMMVYKDIFKETMKLLDGDCLVNSMVHSDDNQTSLAIMQNKVADDVMIQFAANTF -ESVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSATQQSI -KHGCPPSFAWVAISCSHWITHLTYNMLTDQVNSPTNYLPFVDRSEIPVELNGYINAPLYLISLVGLEAGN -LWFLIEMLKKLVPLDKQRETVQTQCQWLINLNHLSDSDKFKFKILRYLTLDTEVCTDSTMGETSDMRSRS -LLTPRKFTTPGSLNKLVSYVDFKDSMSSDGHKNNLDYMLNNPELLVTKGENKEQFMASILYRYNSKRFKE -SLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLSSLVESDIIAELPEIIGRVTFPQAYQMICRDINQLPLD -IDDIKIVYKYCILNDPLMITAANTSLLCVKGTPQDRNGLSANQMPEFRNMKLIHHSPALVLKAFSKGTTD -LPGADPIELEKDLHHLNEFLDNTGIKVKIDQNTDNPPKHLIGVEVTMYKIKEITKLYQLCYDYIKSTEHK -VKVFILPMKAYTAVDFCTLVQGNTLSDEKWYTMHYLKQILSGTVKGTIVTTSTSEQIIANECFRTLCHFT -DSFVEEASRLNFITEVIDSFQYKNIPVNSLYNIILESNLRLDFIPLLFRMQALTQADLNKFDALKTNERI -SWNNWQTNRSLNSGIIDLTISGYLRSIRIVGEDKVLRIAELTIPNFYPNTVFHAGNKLLNSRHGLKFEYM -TECVLDEKYNYYVTFQKKRAHMYTYQVSTIEHIYRRNQEGMTSRGNRFNRMVPVCPVVLSVRDELFRMNL -NNVFSLNMTNFNMSRLYVSPDEMATIKKAHMSKMMFFNGPDIKAGVVNLTALMRTQELLSLNYDNICKSS -IIPFCRILSCDGSEDTGELIFLSDEIMDFTISEEIESMPIFTIKYQKKGHEKMTYKNAISKLVTRGVEEI -TTVFDFSGDGFYSKKNLGIINTICSVINLLETNEWSSILLNSFHIAMLMEGMDREFHMFSLPSAFFVNVA -GGIVNWVKLLKFTKSLPKITHEPWSMMMNRFIDKTVYLIERELNKEANFNEFLEELEFKSGKSMFSFF - ->YP_009512925.1 RNA-dependent RNA polymerase [Wyeomyia orthobunyavirus] -MEEEKYAQFTARIATARDATVGKDIDVDLMIERHNYFGRQLCDSLEIEYRNDVPLVDILLDAIPGFDPMA -TEIPNITPDNYLLIDGWIIIIDYKVSVTNETTEITLEKYNRCMEIINQQIPINYEVAIIRINPITNQLFV -TGEQFMRHFPNIPINVDFSRFEELKRSLYLKFADDEEFLLKVAHGDFTLTAPWCYDMTPEIFEHPEFVFF -ISSMPKPFQELFFKTMDFSAYSAERWNSQLHLIKDYTKRYYEEYVRKHAKNVFIFDGNYERPTQSEIDKG -WEIMSSRIESEREITTDISKQKPSVHFIWAEAADRKLSNSTSKLIFLSNSLKSIKDISTITETLKAIGQS -MDIDNKVGQYESLCLERKMLTRSTGKKIENKKLEPVKIGNALVLWEQQFVLPNELFKANERQKFFKQFLG -IGGHKRFNKKTADDIEIEKPRILDFNNKQVQDAATFMVNKNKKYLQEFSKFTVNHPIIDNYREQITETNI -ETMKTLDIISKTNFWRCINDISTLMRNILSVSQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFC -TIAIHKEEKGIFDAGCLHTTLKMNTGGYISISKAIRLDKERCQRIVSSPGLFILSAILMYNNNPSINLFD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAVSSHVKDYIAEKFSPYTKTLFSVYMTMLIKKGCSTANN -QSKKIQLRNVFLSDYDITQKGAGDDRDLESIWFNGLVSLKEYISQIYLPFYFNAKGLHEKHHVMIDLAKT -VIEIELEQRKIAQEIWSETPIKEHVNLNILLHSIAKNLILDTSRHNHLRNRVENRNNFKRSITTISTFTS -SKSCIKRGNFRELKTEQSKKSQKAATSAEKKYRVSNPLFITEELDKLETTHAKYEQIIQVIPNYRDIMST -KVFDRLYELLKNGTITDKPFIEQALNMMKTHTDFTFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERIAK -ERCKLNSDEMISEPGDAKLKILEQKAEQELRFIVERTKDKFLKGDPCKALKMEINADMSKWSAQDVFYKY -FWLIAMDPILYPKEKYRILFFMCNYLQKVLVLPDELIGNILDQKKTYNNDIILEGTDFLHQNYVNIRRNW -LQGNFNYLSSYIHTCAMSVFKDILKEVSYLLDGDVLVNSMVHSDDNQTSITYVQNKIEESVLINHGLKTF -ETVCLTFGCQANMKKTYLTHNIKEFVSLFNIHGEPMSVYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSV -KHGCPPSLAWLAISCSHWITHLTYNMLDDQVNSPIGYFPSRNRFDIPVELNGLLNAPLYLIALVGLESEN -LWFLIKLLKKLVPIDKQKEDVQTQILAIQEAELTESEVLRLKLLRFLALDTEITSDTNFGETSDMRSRSL -LTPRKFTTIGALNRLISYLDFKLSLNDNAHIENLDYMIENPELLVTKGESSVEYMNSILYRYNSKRFKES -LSIQSPAQLFIEQILFSHKPIIDYSSIFDKLTSMSETDMILEEATPIGRLTYPQAYRQLVSDLNNLNITL -EDIIIVYKHCIINDPLLITAANTALLCMQGTPQQRVGLSACQMPEFRNMKLIHHSPALVLKAFSKNTLEI -HGVEQLELQKDLYHLEEFLRETRILEKFQERLENLPANLTETERRIHHLRELTKVYQVCYDYIKSTEHKV -KIFILPMKSYTALDFCTLIQGNTISDKEWYTMHYLKHIIAGNQKGHVLQHSTHDQIIANECFRALTHFAD -YFIEGTSRQSFIVQVIENYRYKNIKVKDLLNVILNSPARINFLPLLFRVNELTQSDLNKYDALKTDERVS -WNNWQTNRTLNSGIIDLTITGYMRSIRIVGEDKTLKIAELIVPNFHPNTIFHAGNKLLNSKHGLRFEYME -EVLIDENVNYYITFQKKRANKYNYQISTIEHIMRRNREGEALRGIKHNRMVPVCPVIPAIQEIDRIISLK -DIPALNMVNYYMARLLIKPEEYATIKKAHMSKMHFFNGPDITKGIISITSLMHTQELLNLDFDNLCKNSI -LPFCRILNCNGEIDEEPLFLSDEIMDFTISEEIESIPLFTIQYSKKGETHMTYKNAIRQMILRGKQEIEE -IFTFCDDGLYSKKNLGILNAIVSMIDLLETNEWSTSIKNAFHIAMIAEGMDRQFHLFKIPLTFMSNPASG -EINWSKVLRFVNSLPDIRVEPWGSMFNRFKIKTEFLIKREMQKGSNLEDFLEELEFSEGKSNLSFI - ->YP_009362061.1 polymerase [Guaroa virus] -MDQALYQQFVRRIENAKDATVAKDIDVDLLIARHDYFGRELCRSLGIQYRNDVPLVDIIIEIMPTVDPMS -LEIPNITPDNYIIINGTLVLIDYKVSVSDESTIMTLEKYRRCMEIVRDQVELEYEIAVIRINPVSKAITI -SSDLFKSQYPDLTLDVNFQQFFDLKTYLFEKFRDDEEFMLKVSHGDFTLTAPWCYEDTNLEDHKEYLLFT -NSLDQEYRELFLEALSFDAYGSERWNYMLHKVKDKTQAAYKDYTRKMSRDVFLMTGNYSKPSRDEIDKGW -SEMVERIKEERILVTDKNKQKPSVHMIWSPASNREIQGSTRKLVFLSNCLMGIKENTQLSDVMRSIGKSM -DIDGKISEYESICQNKKLIARSTIGQVKNKKITIDKVGNAMVLWEQQFILNNDHFKKNNRRDFLKSFLGI -GGHKVFSDKTSADIDLNKPKMLDFTNKRIIEESIFFVNEIGDLLSKPSPIKLSEQMFDYFLDKVSSSNPE -TLTIFNKIINTNYWRCIMDISNLMKNILSVSQYNRHNTFRIAFCANDSLYAIVYPSADIKTKRATVVFQT -ITIHKNMKDVMDPGCLAATFKTIDGNYISLSKGIRLDKERCQRIIVSPGLFLISSILMYNNNENLKLEDV -LKFCFFTSLSITKSMLSLTEPSRYMIMNSLAISSQVRQYISEKFSPYTKTLFSVYMTNLIKKGCESANDQ -SNKIELKNIFMSDYELTQKGVSEERQLQSIWFRGFVSLKEYLNQIYLPFYFNSKGLHEKHHVMIDLLKTV -AEIERDQRESLTRLWSDDFLKQHVNLPVFLHSLSKNLILDTSRHNHLRNKVEDRNNFKRSITTISTFTSS -KACIKVGDFTDIKKKAKKQEIKDTENAQKKVFAANPLFFDDESQNQKINHANYETIKQCVPDYTDIVSVK -VFDRLYELIKTKELEEKPFINIAMDMMLKHTNHVFSFFNKGQKTFKDREIFVGQFETKMCMYVIERIAKE -RCKLNSDEMISEPGDNKLRLLEQKSEGDIRFMIEKSRNTENPLPSLKLEINADMSKWSAQDMFFKYFWLI -ALDPILYPKEKKRILFFLCNYLNKKLVIPDELMCTLVDQKIVRENDLIHEMTNYLQTNSIQIRMNWLQGN -FNYISSYIHTCAMLTFRDVVKETASILEGHANATSMVHSDDNQTSVIYFQDKLPKESLIEHTMRVFERVC -LSFGCQANMKKTYLTHSIKEFVSLFNLHGEPFSVYGRFLLPSVGDCAYIGPYEDLASRLSAAITSIKHGC -PPSYAWIAIACSHWITYSNYNMLPGQSNDPEAYLGIDREEIPIELGGLISAPLYMLSLCGIEAENILFLM -KMLKKLVPIELLKESVINQVPHINKLPELSRSEEFRLKLLRYFVLDATISSESNFGETSDMRSRAILTPR -KFTTLGSLRKLISYNDYRNSVDDNSIIENLKYMIDNPALLVTKGENYQDYIESIRYRYNSKRFKESLSIQ -NPAQLFIEQVLFSKKPVIDYNSINEKYGPLLDSDIIDNADQIIGRLTYPEAFIKLYADTKQLPLLIDDLH -VVYNHCICNDPLLVTCANSYNLAVQGVRVERSSNSACSMPEFRNMKLIHHSPALVLKAYSKGIESVPTAN -HEEITRDLYHLDNFLMESGILNKITATLSSLTDDNFETYINRLRELTRLYQISYSYIKSTEHKVKIFILP -KRAHTNLEFCSLIQGNLVEDKKWLTMHYLKPISSGNAKGNIVRSQNSEIGIACEAFRVLAFFTDSFISEE -HRVGFIKKVTEDHTYKGVRLDYLYHLIKEPSQRLDYLPLLFKMGDITQYDLNKFDAMMTNERISWNDWQT -SRKLNVGSIDLTISGYLRSIRIVGIDNTLKMAEMSVPNFHPSTIHHAGFKLLNCKHGLRFEFMQEVILDD -KINYYITYQKKRGNVYNYQVSSTTNILTRLKDFNERPKTTQRLIPVCPVILAVKETSYRLTMENITMLNM -NNQSISRLYTFPEESCYIRRGHLSKMIFFDGPDLTIGNINITKLMQTQEMLTLNLNNIKSLNLIPFCNIF -SCNGEKNDEIVFSDTEIEILESEELESVPLFHYTYQKKHGKRLNYRDSIYESLDNSLKEFKKNFTFVGIN -FYSKENLGIISVLSTTIKQLGTNEWSTHIDNAIHIAMYRKGFDSVYHLFNFPKALYINSNPALGRLDWIK -LNAFILNLNNEMEEPWLSMFNRFKEKASEFILGKSKEDTDTTSFVEMFKLDIGGDINDIED - ->YP_009507881.1 RNA-dependent RNA polymerase [Kairi virus] -MDRELMNQFRLRIEHAKTAEVAKDIDVDLLMARHDYFGRELCRALNIEYRNDVPLVDILLEIIPDINPMN -YEIPNVTPDNFIWDGNTLIILDYKVSVSSESSEITLLKYEKLIKPLLEQIGINCEIAIVRANPVSNQLII -VGEIFKIKYPIIPIVLDFTTFFELKTLLYNKFADDEEFLLKVAHGDFTMTAPWCNEETPELFDHPIYKEF -FYSMPQRFASLFLEFLNHSSYGSERWNTLLYKAKTITLDDYNDFLNQHSKNIFLLTGDFEAPTRKEINNG -WEQMTERIKLERELTNNVLDAKPSMHFLWSKPSSRNLKDSTAKVIFLSNSLMNISEKCILSETFKALGKC -MNLDGRSSEYIQVCEARKLAARSSPKQINNKKLDYVKIGDAFVLWEQQFLLQNDYFKNKERQLFLQKFLG -IGGHKRFKDKTAEDIDKSKPKILDFNDNNILLSAKSMFNKLRLELSKPTDNTYSEDVIDKFSQEIKSASE -DTFDSYKQIKKSQFWSCISDISTLMKNILSVSQYNRHNTFRVALCANDNLYAIVFPSSDIKTKRATVVFI -TIAIHKNKDELIDCSSLHTTLKMSNNQYISISKAIRLDKERCQRIVSAPGLFLLSTLLMKNNNNLVNIND -VMAFCFLTSLSITKSMLSLTEPSRYMIMNSLAVSSHVREYIAEKFSPYTKTLFSVYMVHLIKKGCLEANA -QKAKIELRDVYLSDYDITQKGVSTERNLSSIWFPGQVNLTEYVNQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEKDQRSMVNTVWSKKPLKQHVNLPILVHSIAKNLILDTSRHNHLRNRVESRNNFKRSITTISTFTS -SKSCIKIGEFSDLKESRRREERKNNEKLNQKFRISNPLFLDEEETNLETQHSDYQSLKSKIPNYRDYIST -KVFDRLYELLKEERIGDGPFIYEAMNMMRTHTNFWFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERIAK -ERCKLNTDEMISEPGDSKLRILERKAEEEIRFVVDRTKQRLKAGDPAKALKIEINADMSKWSAQDVFFKY -FWLVVMDPILYPFEKQRILYFLCNYMEKSLILPDELLNNLLDQKTPYQDDILLEMTNNLHYNSVKIKRNW -LQGNFNYISSYIHSCAMLVYKDVIKNCAMLLEGEVLVNSMVHSDDNQTSITMVQNKLSDDIVIQFSINTF -EDLCLTFGCQANMKKTYITHTIKEFVSLFNIHGEPMSIYGRFLLPSVGDCAYIGPYEDLASRLSATQQAI -KHGCPPSLAWVAIGCSHWLTYYNYNMLDNQVNSPLPYFPTISRDQIPIELNGLLKSPLYLISLLGLEAGN -IEFILKIMRKLVPIINHRETVQTQYHYIEDKINKLDDMEILRLKILRYLTLDVELAQDAMVGETSDMRNR -SLLTPRKFTTSGCLNKLISYNDFKQNLNTNEHVQTLQYMLTNPELLVTKGETKEQFQQSILYRYNSKKFK -ESLSIQTPAQLFIEQILFSHKPIIDYSSIFDKLSSYAESNILEETPKLIGRVTYPQAYQMIVRDLNQLPL -TIDDIKIIIRYCILNDPLIIMAANTILLSVQGTKQERTGTSANQMPEFRNMKLIQHSPAIVLRAFSTGNL -DQRGADPIELEKDLYHLKEFLEGTGILDKFKENINNPPTHLNSIESINYQLRETTKLYQICYDYIKSTEH -KVKIFILPMKSYTAVEFCTLIQGNTISDTHWFTMHYLKQVVSGSIKGAVTLTSTNDQIIASECFKTITHF -ADYFIEAASRLSFISEIIDNFTYKNVPVKTLYGIVLNNNLKYDFLPLLFRMRDLKQEDLIRFDALKTNER -VSWNNWQSNRSLNSGIIDLTISGYMRSIRIVGEDTILKIAELSIPNFLPPTVFHAGSKLLNTRHGLKFEK -MQEIALDEKTNYYITCQKKRANLYHYQIMNMHNIIKRNKEGEVEKSHRFNKIIPVCPVVLAIRDESFRLD -IDKILPMNLMNYNITKLIISPDETVTIRKAHLSKMQFFDGPTIRAGILNLTMLMKTPELMNLNFDNLCKT -NIIPLSRILECDGEEYGEAIFLSDEIMDFTISEEIESMPIFTVRYSKKGDEHMTYKNAITKLISKGIEEF -IEIFDFSDLGFYSSKNMGIIRTIVSIINLLDTNEWSTIIKNTIHIAMIHSNLDRQFHLFELPTPFYNNVA -GGDINWPKVQRFIISLPAVEISPWDLMMERFKEKTIELVNKEINRAQNFEDFLNELEVEEDRGIFNFF - ->YP_009329879.1 RNA-dependent RNA polymerase [Wenling crustacean virus 9] -MDWARPHRLKIRQVSAAFTDEVPAVDAGGMVKDLRIERHNGFISYLNSQNTDIDFGVDSTGDIPAEYIHK -CVTDALNVEPDPNLNIPSKTPDGFMIYDGSLYIIDVKTVTNLELQDDTVRKYREVFEVITNRYGINLSVN -TVNFHPYHHTITTYPNADVFPAIPVSEDLIAFSDEVNQIIQRIQARWQGNQTFLAAQHDAAVNFKGSFTE -RDDWMYKCLLHPEWQGVKEAMGVPIAKLMKRCITGNLTQADRNELSDYYRRSTMAKGYSMKHKLLTEGSI -PHIMTQGTADEVTNAIRDMHTNTAPSKSFVTQAKPSCFYYSTRGHHGLPNGNYARIAKFAQFAQNCRSQH -PLIDVLKNVHISDAELYETGDIPVNQEGFSFRARQVLKLSDGEIRNKLFRNAKVGVDYVKRSARDSRYYT -QEKPECLDYTDAGILEEHSNLIHDQLPLLNEKVYLANDVSHMYMDDREMEKKNPEAIKFLKWMRETYAFS -WLSDQEDRLPNMLAVAGLLKNNEIGFILGKSNTSMHIVYPGKSLTSQESSMCYNSIHILSDDKVPVDCGL -IDAYIKIPRSIYALVITKPVRLDPTRVGVLTCAHTRFQLVALHYILTALDTYDKFENIPLFLKNNIIGVS -FFLVQQCTLPFTNLVDKMKYIVQDVLADVSNVSGYISEKLDITPKNSFTMFLYKHFMTQIKFLRQNFREG -RNQPIVVEAEDVIEAGIEGLVIPSVVIPELQLPNVQAVIQDYVLAWYVTSKGLHNQVHNLLKLYKVPLEF -QEELNIQGGMRPDVDKRLMLASFIKYWDTRGSSSIEARNRCFTKMKIDRTWTQIPTLTSTKSVVHKLTEA -EKRVKTIDEETLLEMDPDIFKRTYPRYRRINGRVLDRKTGQVPLELRNKELWHQVRSSRVFDEMERLSSG -LNPDMEIVNPRSVIEITDHGLELTPCVTVFPKQQRTGDDREIYMVDIVTKCSLYLIESLMKQLCVENQRE -CISLPGDRKTQKIKSLLIQSMRNQQEFSDRETNGMQFMKLNISADASKWSARDYSDKFMLFVATLPCLLP -QEKWKLIFHLLNYRMKVVVLKDSVLETIIDKELGDDNVFWRMTDGFTKNSVKVTQNWLQGNFNYMSSLVH -AIMHETIDDCLVHFNDAMAEVNGNIPTTHYPLNSAVHSDDSLLSFCFELPEDISLEKVANCTFDLMVTTG -KAFSIKYNDKKTFISKDIVEFLSKLFVNSEYHTNYMRSLLPIFIELPFGNITQDMASGLGPLTQATAEGA -PPSLVYLAQCLLPYRIAHLNAMLPGMVNDVGQYFQNGFHQLPTCVTGSIGSDITDSCILGGAAYDYSRVF -EILKSCAVPFIEIPRMINSIIADRISPIDMEFLKILSISLEGYREADITQVGTYGVKDRFFNTALIPMNI -SQVKETNKYLASAKYSYLSRDGGLAGIIQTLRAQHPMWSISKPVYPYEYADYNLYKMTELKYRQALFTPH -SSHGFLLKILNRRTKVVPKKALEQHDPFMPVLDATDESEKLTLNEALGYLKAKADSVTLTTDKIRAIMST -MLLSNQQVIDYLTTKNSAKVTSEIPKKSRFIVQEPSGFRQKVVQNPPSLVIKKYFFPAEFNNDSDVLYLD -EAVQSDLDTISDVLRAYNITDLARTDHPDYVQNITKILSLVFHHVTNKMGSNRLYILPSRMQSGPKFVWT -LMGSRQNDARHFVYTSIVPNIHMYLKGNRQRGDIQMQRTRLQELFGLLWVLDHLTAGVGDQRGPLSILIG -NTNVEGTPMREVLQNGLVDKYTRSICAAILYWGGCMPYDAFKRQSIPSIVQKEWLVAQQTADVGDFHVIY -ELRGVALVVKGSSRVITAIKFEIPDGQELLGVEGPILTAFYKDFARIIQVISLQTANVRSNSRSYSLRYK -SFRHDPQGDWLIKTQRGFGTRITTLTEARFLGSVDACTWVKFPIPYRSQNMELKTLKPYRPFLDHMNVTT -NTPLFVNRTDLVKLVNIDSFRDAVVNRGDTYNYMPWLECLSYRGIGFQMTVQNDEDFFEPTPSIPVGERA -IPAVSFFETYNKNWHWYHKMFKLYLRLKDAFSVKADGTPDPNGLRALTHLLQIEELMWYRTDRVGFAMFL -AEWVGQLSALNPDNISVEVFAGSEPVAIRKANYVIAKLESLRAHRPNVFNVDIAIVKNYRDTYIQDVEIE -WGE - ->NP_047211.1 hypothetical protein BUNVsLgp1 [Bunyamwera virus] -MEDQAYDQYLHRIQAARTATVAKDISADILEARHDYFGRELCNSLGIEYKNNVLLDEIILDVVPGVNLLN -YNIPNVTPDNYIWDGHFLIILDYKVSVGNDSSEITYKKYTSLILPVMSELGIDTEIAIIRANPVTYQISI -IGEEFKQRFPNIPIQLDFGRFFELRKMLLDKFADDEEFLMMIAHGDFTLTAPWCTSDTPELEEHEIFQEF -INSMPPRFVSLFKEAVNFSAYSSERWNTFLYRARAETEVDYNQFLSDKAHKIFMLEGDYMRPTQAEIDKG -WELMSQRVYTEREIITDVTKQKPSIHFIWVKNADRKLIGSTAKLIYLSNSLQSITEQSTWTDALKAIGKS -MDIDGKVGQYETLCAERKMIARSTGKKVDNKRLEAVKIGNALVLWEQQFILANDLFKNQERQKFMKNFFG -IGKHKSFKDKTSSDIETDKPKILDFNNTIVLMAARTMVNKNKALLAKDNTLQDLHPIIMQYASEIKEASK -DTFDALLKISKTCFWQCIVDVSTIMRNILAVSQYNRHNTFRVAMCANDSVYALVFPSSDIKTKRATVVFS -IVCMHKEKNDLMDAGALFTTLECKNKEYISISKAIRLDKERCQRIVSSPGLFILSSMLLYNNNPEVNLVD -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVRDYIAEKFSPYTKTLFSVYMVNLIKRGCASANE -QSSKIQLRNIYLSDYDITQKGVNDGRNLDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMNQRSDNLGIWSKAEKKQHVNLPILIHSIAKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKIGDFREIKDKETEKSKKSTEKFDKKFRLSNPLFLEDEEANLEVQHCNYRALIQKIPNYKDYISV -KVFDRLYELLKNGVLTDKPFIELAMEMMKNHKEFSFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERISK -ERCKLNTDEMISEPGDSKLKILEKKAEEEIRYIVERTKDSIIKGDPSKALKLEINADMSKWSAQDVFYKY -FWLIAMDPILYPAEKTRILYFMCNYMQKLLILPDDLIANILDQKRPYNDDLILEMTNGLNYNYVQIKRNW -LQGNFNYISSYVHSCAMLVYKDILKECMKLLDGDCLINSMVHSDDNQTSLAIIQNKVSDQIVIQYAANTF -ESVCLTFGCQANMKKTYITHTCKEFVSLFNLHGEPLSVFGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSLVWLAISCSHWITFFTYNMLDDQINAPQQHLPFNNRKEIPVELNGYLNAPLYLIALVGLEAGN -LWFLINILKKLVPLDKQKETIQSQCLHLCNSIDKLTESEKFKLKILRYLTLDTEMSVDNNMGETSDMRSR -SLLTPRKFTTLGSLNKLVSYNDFRSSLDDQRFTDNLNFMLNNPELLVTKGENKEQFMQSVLFRYNSKRFK -ESLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLTSLAEADIIEELPEIIGRVTFPQAYQMINRDIGQLPL -DIDDIKLIFRYCILNDPLMITAANTSLLCVKGTPQDRTGLSASQMPEFRNMKLIHHSPALVLKAFSKGTS -DIPGADPIELEKDLHHLNEFVETTAIKEKILHNIDNPPKHLIGNEILIYRIREMTKLYQVCYDYVKSTEH -KVKIFILPMKSYTAIDFCTLIQGNTISDNKWYTMHYLKQIASGSIKGNIVTTSTSEQIIANECFRVLCHF -ADSFVEEASRLSFINEVLDNFTYKNISVNSLFNTLLASTTRLDFIPLLFRLKVLTQTDLNRFDALKTNER -VSWNNWQTNRSLNSGLIDLTISGYLRSIRVVGEDNKLKIAELTIPNFYPNTVFHAGNKLLNSRHGLKFEY -MEEIVLDEKYNYYITYQKKRAHIYTYQVSTIEHILRRNNEGLQSRGPRYNKMVPVCPVVLSVRDELFRMS -LENVFSLNMTNFSMSRLFVSPDEVATVKKAHMSKMMFFSGPTIKAGIINLTSLMRTQELLTLNYDNLCKS -SIVPFCRILECNGDEQGELIFLSDEVMDFTISEEIESMPLFTIRYQKRGTEIMTYKNAIMKLVSAGVDEI -KEVFDFSKQGFYSKKNLGIINTICSIINILETNEWSTILYNSFHIAMLLESMDREFHMFTLPEAFFINVA -GGVVNWTKLLKFIKSLPVIEQEPWSMMMSRFVEKTVYLIEREMNKDVDFTDFLDELEFSSGKSLFTFF - ->AIA08883.1 RNA-dependent RNA polymerase [Ebinur lake virus] -MEDPMYEQFLQRIQAVRTATVAKDISADILEARHDYFGRELCRALDIEYRNNVLLDEIILDVYPGVNLME -YNVPHVTPDNYIWTGDMLLILDYKVSVGHDSTEVTYKKYTTLILPVMQEIGINTEICIIRANPVTNQISI -VGEQFKRLFPTIPVELNFARFFELRKMLLDKFADDEEFLMMIAHGDFTLTAPWCQDDTPELIDHPIYQEF -LGSMPPRFVSMFEEAMDFSAYNAERWNTLLYKIKNLTLEDYQDYLNIKSHNIFNMTGDFMKPTQDEIDIG -WESMSKRISQERELTTDINKQKPSIHFIWAQNKDRKLLNSTAKLVFLSNTLQSITEQSTWTDALKAIGVS -MDIGNNIGLYENLCAERKLIARSTGKKVNNKRLEAVKIGKALVLWEQQFILANELFKGQERQKFIKNFFG -IGKHKTFKDKTSEDLDREKPKILDFNNTRVLMAARTMVNKNKKFLSQDNTLEFDHPIISTYFDQVREASI -DTAQVLKKISKTCFWQAITDISTLMRNILAVSQYNRHNTFRVAMCANDSIYGLVFPSSDIKTKRATIVFC -IVCMHNSRDDIMDAGALFTTLETKTKTFVSISKAIRLDKERCQRIVSSPGLFILSTLLLYNNNTEISLYD -VMNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVRDYIAEKFSPYTKTLFSVYMVNLIKRGCATANE -QSEKIQVRNIYLSDYDITQKGINDIRNLDSIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEMNQRLDQLGIWSKNEKKQHVNLPILVHSIAKSLILDTSRHNHLRNRVESRNNFRRSISTISTFTS -SKSCIKIGEFQDLKTKEFKATKKMNEKINQKFRLANPLFIDDKDADAEIMHCNYDQLKTKVPNYKDYISV -KVFDRLYELYKTKELDDKPFIEQAMKMMKEHKEFNFTFFNKGQKTSKDREIFVGEFEAKMCMYVVERISK -ERCKLNEDEMISEPGDTKLRILEKKAEEEIRYIVEKTKDSILKGDPAHALKLEINADMSKWSAQDVFFKY -FWLVAMDPILYPSEKKRILYFMCNYMQKNLILPDDLLSNIMDQRRPYENDIILESTNGLAQNFVQIKRNW -LQGNFNYMSSYVHSCAMLVYKDVAKEAVKLLDGNCLVNSMVHSDDNQTSLSIIQNKLKDNMIIEYVAKLF -EAVCLAFGCQANMKKTYITHTCKEFVSLFNLHGEPLSIYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSL -KHGCPPSYVWVAISCSHWITHFTYNMMHDQVNSPMQYLPFEDRFQVPTELNGYLSAPLYLIALIGIEADN -LWFLLNILKKLVPLDKQKETIQTQFTAIQDNINKLTPSELFRLKLLRYLTLDTEITSDSNMGETSDMRSR -SLLTPRKFTTAGSLNKLVSYNDFKNAMNTNEFQENLEYMEMNPELLVTKGENKEQYINSILFRYNSKRFK -ESLSIQAPSQLFVEQILFSHKPIIDYSSIFDKLASMAETEIIENLPDIIGRVTYPQAYQMITRDITQLPL -DIDDIKIVFKYCVLNDPLIITAANTSLLCVRGAPQDRTGLSACQMPEFRNMKLIHHSPALVLKAFSKGTV -DIPGADPVELEKDLLHLKEFVENTGIQEKIQMNIDNPPKHLQGTEVLIYKIRELTKLYQVCYDYVKSTEH -KVKVFILPMKSYTSIEFCTLIQGNTISDSKWYTMHYLKQVLGGTMKGHMMTTSTSEQVIAAECFRVLTHF -ADAFVEEGSRVSFLNEILDKFTYKNVSVNYLLNVLLASSNRLDFIPLLFRTKMLTQQDLNKFDALKTNER -VSWNNWQTNRGLNSGIIDLTISGYLRSIRIVGEDNKLKIAELTIPNFLPNTIFHAGNKLLNSRHNLKFEY -MEEYVLDDKYNYYITYQKKRANLYTYQVSTVDHIWRRNEEGNQKRGKRYNKMVPVCPVVVSSRDEMFKIS -MQNVFSLNLTNFNLTKLFVSPDEVATIKKAHMSKMMFFSGPQIKAGIIDLTTLMRTQELLTLNYDNLCKS -SIIPFCRILACNGEEPGELIFLSDEVMEFTISEEIESMPIFTIRYQKRGNSSMTYKNAIRTLVANGVDEI -KEVFDFSGEGFYSKKNLGIINTICSTIEVLETNEWSTILLNSFHIAMLLENMDREFHLFSMPGAFFSNVA -NGTINWTKLLKFVKSLPAVKKEPWSMMMERFIEKTVYLIEREINKEVDFNDFLEELEYQSGKSMFTFF ->AHZ64955.1 RNA-dependent RNA polymerase [Calovo virus] -MDDQMYDQFLKRIQASKTAVVAKDISTDILEARHDYFGRELCSAIGIEYKNNVLLDEIILDICPGVNLMD -YNIPNVTPDNYIWDGNFLIIIDYKVSVGTDSSEITYKKYTTLILPIMESLGIPTEVAIIRANPLTYQLTI -IGENFRERYPNIPLQLDFTKFFELRKMLLDKFADDEEFLLMIAHGDFTLTAPWCVDDTPELLDHPIYQEF -LGSMPRRFVDLFNEAIDFSAYSAERWNTLLHKAKSTTGDDYQDYLSRKAHNIFNMDGNYMRPTQNEIDKG -WEMMSKRVAQERDIITDTTKQKPSVHFIWTRNNNRKLLGSTAKLIFLSNSLKSINEQSTWSESLIAIGMS -MDIGNNIGQYESLCAERKMIARSTGKKIDNKRLDAVKVGNALVLWEQQFILANELFKNQERQKFLKNFFG -IGKHKSFKDKTATDIDIEKPKILDFNNSIVLMAAKAMVNKNKVFLSQQNTLSELHPIISTFYDKIEEASE -ETGEILKKISKTCFWQCITDISTLMRNILSVSQYNRHNTFRVAMCANDSVYGLVFPSSDIKTKRATVVFC -IVCIHEDKRDIMDAGALFTTLELKTKNYISISKAIRLDKERCQRIVSSPGLFLLSSLLLYNNNPQISLID -VLNFTFYTSLSITKSMLSLTEPSRYMIMNSLAISSHVRDYIAEKFSPYTKTLFSVYMVNLIKIGCSSANE -QSSKIQLRNIYLSDYDITQKGVHDERNLESIWFPGKVNLKEYINQIYLPFYFNAKGLHEKHHVMMDLAKT -VLEIEMNQRSENLGIWSKAEKKQHVNLPILIHSLSKSLILDTSRHNHLRNRVESRNNFRRSITTISTFTS -SKSCIKIGDFRDLKSKEFQKQKKTNSKALEKYRLSNPLFLEDEEQTLETQHCNYEALTKKIPNYKDYISV -KVFDRLYELLKNEKLNNDSFIEQAMLMMKNHREFAFTFFNKGQKTAKDREIFVGEFEAKMCMYVVERISK -ERCKLNTDEMISEPGDAKLRILEKKAEEEIRFIVERTKDNILKGNPTKALKLEINADMSKWSAQDVFYKY -FWLIALDPILYPKEKKRILFFMSNYMQKHLIIPDDLIANILDQKTPYKNDLILDATNGLNRNFVNIKRNW -LQGNFNYISSYVHSCAMLVYKDIIKESLKLLEGDCLVNSMVHSDDNQTSLAILQNKVSDDMVIQFSTITF -EQVCLAFGCQANMKKTYVTHTCKEFVSLFNLHGEPLSVYGRFLLPSVGDCAYIGPYEDLASRLSAAQQSI -KHGCPPSLAWVAISCSHWITYLTYNMLDDQVNSPLNYLPFKNRHEIPVELNGYIDAPLYLIALVGLEAGN -LWFLMNILKKLVPLDKQRETVQTQFQTIKSLQSLSDSDIFRLKILRYLTLDTEITGDNNMGETSDMRSRS -LLTPRKFTTVGSLNKLVSYNDFKESMSTERYKENLTYMFHNPELLVTKGEDKEQFIESILYRYNSKRFKE -SLSIQNPAQLFIEQILFSHKPIIDYSSIFDKLTSLAESDLIAELPEIIGRVTFPQAYQMINRDISQLPLD -INDVKVVFKYCILNDPLMITAANTALLCVRGTPQDRTGLSANQMPEFRNMKLIHHSPALVLKAFSKSTTD -IAGADPTELAKDLHHLEEFLSNTGIQDKINQNIDNPPKHLVDTEILVYKIREITKLYQLCYDYIKSTEHK -VKVFILPMKSYTSIDFCTLIQGNTIRDDKWYTMHYLKQIVSGSVKGTIVTTSTTEQLIASECFRVLSHFA -DSFVEEGSRLSFITEVIDNFTYKNISVNSLYNTILGSNMRLDFLPLLFRMQSLTQSDLNKFDALKTNERV -SWNNWQTNRSLNSGIIDLTISGYLRSIRIVGEDKILKIAELTVPNFYPNTVFHAGNKLLNSRHGLKFEYM -QEVLLDDKYNYYITFQKKRAHIYTYQVSTIDHIIKRNEEGLLTRGIRFNKLVPVCPVVLSVRDELFRMNM -DNVFSLNMTNFSMSRLHVSPDEMATIKKAHMSKMMFFNGPDIKAGIINLTALMRTQELLSLSFDNICKSS -IIPFCRILDCSGEEQGELIFLSDEIMDFTISEEIESMPIFTIKYQKKGSEKMTYKNAVSKLVTRGVEEIK -EVFDFSKDGFYSKKNLGIINTISSIINLLETNEWSSILLNSFHIAMLLEGMDREFHLFTLPAAFYINIAA -GTINWTKMLKFVKSLPEISSEPWSTMMKRFIEKTIFLIEKEMNKEANFEDFLEELEFSSGKSMFTFF ->AKC42494.1 polymerase [Guaroa virus] -MDQALYQQFVRRIENAKDATVAKDIDVDLLIARHDYFGRELCRSLGIQYRNDVPLIDIIIEIMPTIDPMS -LEIPNITPDNYIVINGILVLIDYKVSVSDESTIMTLEKYRRCMEIVRDQVELEYEIAVIRINPVSRAITI -SSDLFKSQYPDLNVDINFQQFFDLKTYLFEKFRDDEEFMLKVSHGDFTLTAPWCYEDTNLEDHKEYLIFT -DSLDQEYRELFLEALNFDAYGSERWNYMLHKVKDKTQAAYKDYTRKMSRDVFLMNGNYSKPSRDEIDKGW -LEMVERVKEERILIADKNKQKPSVHMIWSPASNREIQGSTRKLVFLSNCLMGIKENTQLSDVMRSIGKSM -DIDGKIGEYESICQNKKLIARSTIGQVKNKKITVDKVGNAMVLWEQQFILNNDHFKKNNRRDFLKSFLGI -GGHKVFSDKTSVDIELNKPKMLDFTNKRIIEESIFFVNEIGELLSRPSPIKLNEQMFDYFLEKVSSSNPE -TLAIFNKITNTNYWRCIMDISNLMKNILSVSQYNRHNTFRVAFCANDSLYAIVYPSADIKTKRATVVFQT -ITIHKNIKDVMDPGCLAATFKTIDGNYISLSKGIRLDKERCQRIIVSPGLFLISSILMYNNNGKLNLEDV -LKFCFFTSLSITKSMLSLTEPSRYMIMNSLAVSSQVRQYISEKFSPYTKTLFSVYMTNLIKKGCESANDQ -SNKIELKNIFMSDYELTQKGVSEERQLQSIWFKGLVSLKEYLNQIYLPFYFNSKGLHEKHHVMIDLLKTV -AEIERDQRESLTHLWSSDFLKQHVNLPIFLHSLSKNLILDTSRHNHLRNKVEDRNNFKRSITTISTFTSS -KACIKVGDFADIKRKTKKQEIKDTENAQKKVFAANPLFFNDEAQNQKINHANYETIKQCVPDYTDVISVK -VFDRLYELIKTNELEEKPFINIAMDMMLKHTDHVFSFFNKGQKTFKDREIFVGQFETKMCMYVIERIAKE -RCKLNSDEMISEPGDNKLRLLEQKSESDIRFMIEKSRNTEIPLPSLKLEINADMSKWSAQDMFFKYFWLI -ALDPILYPKEKKRILFFLCNYLNKKLVIPDELMCTLVDQKIVRENDLIHEMTNYLQTNSIQIRMNWLQGN -FNYISSYIHTCAMLTFRDVVKETASILEGHANATSMVHSDDNQTSVIYFQDKLPKESLIEHTMKVFECVC -LSFGCQANMKKTYLTHSIKEFVSLFNLHGEPFSVYGRFLLPSVGDCAYIGPYEDLASRLSAAITSIKHGC -PPSYAWIAIACSHWITYSNYNMLPGQSNDPEAYLGIDREEIPIELGGLISAPLYMLSLCGIEAENILFLM -KILKKLVPIELLKESVVNQVPHINKLPELSRSEEFRLKLLRYFVLDATISSESNFGETSDMRSRAILTPR -KFTTLGSLRKLISYNDYRNSVDNNSIIENLKYMIDNPALLVTKGENYQDYIESIRYRYNSKRFKESLSIQ -NPAQLFIEQVLFSKKPVIDYNSVNEKYGPLLDSDIIDNADQIIGRLTYPEAFIKLYADTKQLPLLIDDLH -IIYNHCICNDPLLVTCANSYNLAVQGVRVERSSNSACSMPEFRNMKLIHHSPALVLKAYSKGIESVPTAN -HEEITRDLYHLDNFLMESGILNKITTTLSSLTDDNFETCINRLRELTRLYQISYSYIKSTEHKVKIFILP -KRAHTNLEFCSLIQGNLIEDERWLTMHYLKPISSGNAKGNIVRSQNSEIGIACEAFRVLAFFTDSFISEE -HRVGFIKKVMEEHTYKGVRLDYLYHLIKEPSQRLDYLPLLFKMGDVTQYDLNKFDAMMTNERISWNDWQT -SRKLNVGSIDLTISGYLRSIRIVGIDNMLKMSEMSVPNFHPSTIHHAGFKLLNCKHGLKFEFMQEVILDD -KINYYITYQKKRGNVYNYQVSSTTNILTRLKDFNERPRTTQRLIPVCPVILAVKETSYRLTMENITMLNM -NNQSISRLYTFPEESCYIRRGHLSKMIFFDGPDLTIGNINMTKLMQTQEMLTLNLNNIKSLNLIPFCNIF -SCNGEKNDEIVFSDTEIEILESEELESVPLFHYTYQKKHGKRLNYRDSIYESLDNSLKDFKRNFTFVGIN -FYSKENLGIISVLSTTIKQLGTNEWSTHIDNAIHIAMYRKGFDSVYHLFNFPKALYVNSNPALGKLDWIK -LNAFILNLSNEMEEPWLSMFNRFKEKASEFILGKSKEDTDTTSFVEMFKLDIGGDINDIED diff --git a/seq/clusters_seq/cluster_224 b/seq/clusters_seq/cluster_224 deleted file mode 100644 index f094d50..0000000 --- a/seq/clusters_seq/cluster_224 +++ /dev/null @@ -1,251 +0,0 @@ ->YP_009553662.1 HSP70h [Blackcurrant leafroll-associated virus 1] -MVLFGLDFGTTFSSVCVYNDGCLFLYKQNDSAYIPTSIFLPDSTRDIKFGFDAELAFKRRLKGLYFRDLK -RWVGCCEENIASFKDKLKPLYGVHLMHYGDGSLKTVAVEDYGGQVSNMRIPDAIAAFVRCILSSAEKAFE -IECTGVVCSVPAGYNTIQRTFTQECVSLSGYSCNRIINEPSAAALSSISDLEPGDQQLLVYDFGGGTFDV -SAVYRVDQTFTVGASNGDMNLGGRDVDKAFSDVIKSRAGCTAEGNIEVSYLKESLSKETEAIRYHFELGG -ESYDVYVSQEDIYSVALPFINRTMTLLEDVVRMAGFSGDKSTTLIIVGGSSYLPGLHQELQKFKLVKRIV -PIPDPRGAVAIGCALYADSMQTPNGLLLVDCASQSIGIADFRCKMMNVCAAGSPIPYVGEKIINLLDTGP -KSSFTIALFEGDSPHCRKCTRVFVSDVNLKMLGITQNVKRFEVTVLTEVNSLGVIKCFLRGSNNVLVDTE -SKPHYDFGLCSRPNRIVINSEDLDEDMAKFLLITSRTDHIRSKSRVCADDIITTLATGCSYYEASQKHSK -LISGDDANSAELLLGRPFQGILRGGRVKRVPIKSGGEL - ->YP_009553643.1 Hsp70h [Blackcurrant-associated closterovirus 1] -MVLFGLDFGTTFSSVCVYNDGCLFLYKQNDSAYIPTSIFLPDSSRDIKFGFDAELAFKRKLKGLYFRDLK -RWVGCCEENITTFKNKLKPLYGVHLMQYGDGTLKTVAVEDYGGQISNMRIPDAIAAFVRCILSSAEKAFE -IECTGVVCSVPAGYNTIQRTFTQECVSLSGYTCNRIINEPSAAALSSVSDLEQGDQQLLVYDFGGGTFDV -SAVYRVDQTFTVGASNGDMNLGGRDVDKAFSDLIKSRAGCTAEGNVEVSYLKESLSKETEAIRYHFELGG -DSYDVYVSQEDIYSIALPFINRTMVLLEDVVRLAGFSGDKSTTLIIVGGSSYLPGLHQELRKFRLIKRIV -PIPDPRGAVAIGCALYADSMQTPNGLLLVDCASQSIGIADFRCKMMNVCAAGSPIPYVGEKIINLLDTGP -NSSFTIALFEGDSPHCRKCTRVFVSDVNLKLLGVTHNVKRFEVTVLTEVDSLGVIKCFLRGSNNVLVDTE -SKPHYDFGLCSRPNRVVINSEDLDEDMAKFLLITSRTDRSRDKSRKYADEIVTTLATGCSYYEASQKHSN -LISGDDANSAELLLGRPFQGILRGGRVKRVPVKGGGEF - ->YP_009553248.1 HSP70h [Blackcurrant leafroll-associated virus 1] -MVLFGLDFGTTFSSVCVYNDGDIFMFKQNDSGYIPTSIFLPDASKDIKFGYDAELAFKRKLKGLYYRDLK -RWVGCCEDNLDTYVKKLKPSYAVHLMHYGDGTLQTVSIDEYGGQAVNMRVPDAIAAYVRCILSAAEKAFG -IECTGVVCSVPAGYNTIQRTFTQECVSLSGYHCSRIINEPSGAALSSIYDLEEEDRQLLVYDFGGGTFDV -SAVYRVDQTFTVGASNGDMNLGGRDVDRAFSDMIKSRANCHASGNIEVAYLKECLSKETEAIRFHFELEG -EAYDVHVSQEDLKMVAAPFIRRTVTLLEEVVKLAGFTGERNTTLVVVGGSSYLPGLHEELKKFLVVRKIV -PIPDPRGSVAIGCALYADSMQSPNGLLLVDCASQSIGIADYRCKMMRVCAAGSPIPYVGEKQIMLVDTGP -TSTFTIAMFEGDSEHCRKCTRVFVSDVNLKKLGVTHNVARFDVTVLTEVDSLGVIKCYLKGGNGILVDTE -SKPHYDFKGCNRPSRRVLSSEDADEDMVKFLLMTSRTDDDRNRCRKYAQDIVTTVATGVSFVEASQKHSK -LIRDNDSEAVDLLLGRPFQGVLRGGRVKRISIGGSSKL - ->YP_009552059.1 HSP70-like protein [Blackcurrant leafroll-associated virus 1] -MVIFGLDFGTTFSSVCVYNEGNVFMFKQNDSGYIPTSIFLPDASEDIKFGYDAELAYKQKLRGLYFRDLK -RWVGCCEDNLDLFVKKLRPSYGVHLIHYGRGSLQTVSVDDYGGQVQNMRIPDAIAAYVRCILSAAEKAFD -IECTGVVCSVPAGYNTIQRTFTQECVSLSGYHCSRIINEPSGAALSSVFNLDDGDQQLLVYDFGGGTFDV -SAVYRVDQTFTVSASNGDMNLGGRDVDRAFSDLIKNRAGCLVDGNIEVAYLKECLSKESEAIRFHFELGG -EVYDTFVSQEDLRSVALPFIKRTVKLLEDVVKLANFTGDKTTTLVVVGGSSYLPGLHEELASFPIVRRIV -PIPDPRGSVAIGCALYADSMQSPNGLLLVDCASQSIGIADYRCKMMRVCAAGSPIPYVGEKQISLIDTGP -TSTFTIALFEGDSEHCRKCTRVFVSDVNLKKLGVTTNVARFDVTVLTEVDSLGVIKCFLRGSNGVLVDTE -SKPHYDFSDCDRPRRRVLSSEDTDEDLAKFLLITSRTDASRNRSKRFAQDIITTVAMGSSFIEASQKHSK -LINDDESNTVELLLGRPFQGILRGGRLKCIPVGSNQKL - ->YP_009552006.1 67 kDa protein [Rehmannia virus 1] -MVVFGLDFGTTFSTLSALKGKEVYVLKQNNSAYIPTYLFFHDFVRNVAYGYDAEKLAHDRSVRGAFFRDL -KRWIGCTENNYLEYKAKLDPKYHTSINSRVDGCDFRVLLMGDYYGTSALRMTLPDLVASFVRCIIRDGER -SFDTTCSGVVCSVPAAFNSIQRNFMMECVTLSGYNCLHIINEPSAAAFSAFRKIPKDMNFVMVYDFGGGT -FDVSAVSVRSNTFVVRASGGDMNLGGRDVDRALLEFIHRKAKVGTVDYTIDISSIKEKVSSALTSFEYDL -PVGDKFVSVLVTVEDVSGVVIPFIERTIRIMHDVYKKFVTATSQSAASIDHHRKCGVIAVGGSSYLPGLM -AVLSAVPFVANVIMLPDARAAVSAGCALYSLCLDKESSMLLVDCAAHSLSIPSYLCQSIVVVPKGAPIPF -SGTRDITLMGVNARAKFYAALFEGDHKKCGKNELIFANSIILRDIGVNESSPNRITVVLEVNVSSVGTIK -FGVKLPQGKLYVVGKDRPYDFSRMPSPSRDIVRLADELNRRVANILYSTRYIHTRERISEDEMVRFQSQS -STLTRVDIIKRFAVKPEEADECDEYLNGKIEKEVRGSSVLKLELD - ->YP_009551996.1 heat shock 70-like protein [Arracacha virus 1] -MVLYGLDFGTTFSTICFYHDNSVVALMQDGDTNIPTYLFFHSDGARVSYGRDAELCVSGNRSKGGFFRDL -KRWIGCEKANLDKYIKDLKPHYRVTTTNASFGGTLEVPVLESFSGSQNMSVPLPSLIASFCSCLVKALEK -SSGTVCTGIICSVPANYNCLQRSFTQNSVTLSGYECVRIINEPSAAALVASQSIDDAETCLLVYDFGGGT -FDVSAATYVNNTICVKASGGDMMLGGRDVDAAIVDYLFRKLKRPPNHKLDLSRLKEAISSTGQSVDYPIE -LDDGKETSVMVKYTDIADIAKPFIDRTVVVLRRVFSDFCAAEGRPMNTTKASVILVGGSSSLPGLDKVLQ -GTDFVSGLVKLKNFRAAVAMGCALNSECLGSNPKNLLVDCAVKHISINAWNGEAITLVAAGSPIPFTGER -RLIVNGASANASYTVTFFEGNYIKCAKNTVIHKFSIVLSQIGVVGNDSRSVEIIIRVKVSSTGTITCSLV -GPTGVELSTQGAPSYDFSGSAKPVRVVADNHEDNFNRARTLLALTRTLASRSKLTAAEIPRLSLPNILSV -KNSFSGYNDSEYDVCGVLMGKPVPKLLRGGRLQKLLIRG - ->YP_009666030.1 putative heat shock protein 70 [Olive leaf yellowing-associated virus] -MTVLGLDFGTTFSTVCLASSNEVLMLNNDDSEFIPTIIGFSVSDDTIVYGYDAITRDGYGRQGFSVYRDL -KRWIGVNSKTLSERRAKLKPLYNVTCPGVHFSLRIDPTFGQPRLRNLHEIVSFFIALVVRDFEKLRNFRC -SGLVISVPSQYTSTQRFFMKTTEERTGLPVFHIMNEPSAALFASMLDMKKTSDWDSYVVYDFGGGTFDTS -IVLRYGNYYSVIYSCGDDSLGGRDVDEAIRTFLLKRTSLPSTTQLSVSQLKEEVSVTGKPSSILFNGLRL -ELTFEDLTRLTRPFLERSFIIMNKVIKDSGIRGRLTLVPAGGSSFLPGAVTLAEKAIRAVERVITPKRAR -SAIAEGCALFSATLSERSVLFVDCINSNITSNKGLYQARIIVAAGSALPLKAKLQGNYDDCDLSFTLALY -EGTSNRNINNFNLLKKKIESHALGYRSGAWGWIANVEVDTSGSIEVRITNKEGAYTMVDSVKHSTFTLIT -LPSYKPKVKESIKQVVLINDFVAGCYLEKSFLKNTSVLTQREYLKFLQNLTTIYEPKVLKTLLVASSFNG -TTSIQSYLERRRPVPEFLRDPECSVYTR - ->YP_009664807.1 p66 [Beet yellow stunt virus] -MVVFGVDFGTTFSSVCVFNSGRLHVFKQQNSAYIPTCLFLYSDTMAMSFGYDAETASLDPNVKGGFFRDL -KRWVGCDETNIEEYKSKLKPHYSVTLSNFGKGSRKIPTLGSYSGSVQMSGSLSGLIALFIQALVKSAAIE -FKCECTELIVSVPANCDCMQRLFTENCVNLSGFTCVHMMNEPSAAALSTCGRTDMSARNLLVYDFGGGTF -DVSVLSSLNQTFTVRASGGDMNLGGRDVDRAFKAKIYQMANLPFDEEADISSLKESLSKIDYPITYTVKT -KDGESKTVVVSRGLLAEVIVPFVDRTIKVMKRVFELYVKNMNLKAQDAKASLVLVGGSSYLPGLKSLLQS -VDFVSECIDLPDPRAAVAAGCALYSSCLSSESPMLLVDCASHNLSIPNYVGESIVLVPAGAPVPFVGTRD -INLASCVGSGSYSPVLFEGDRTKCFYNKKVFSGTVPLKDLGVTGDTPRTIRVTLATEVSSVGTVKFTITG -LSAKKVFVGGVPAYDFSKESVSIRSITELHTDNQNRVLLALTLTKTREARQKFSYSEKQHLDSLSGNLDT -EKESKKFNGYNEQTADVCRILLGKSVQKTLRGARVEELSYRNIYEVQTLKI - ->YP_009506334.1 HSP70, partial [Carnation necrotic fleck virus] -MVVFGLDFGTTFSTLSVLKNNEVFLLRQQDSSYIPTYVFSFEESREVAYGYDAETYNLKSNIKGSFFRDL -KRWVGCNEDNFARYYNKLQPSYSVNLTSFGQSLKQTVKLKAFNKQGAYTYALPDLIASFVRCIIKDGEDV -FKTPCSGVVCSVPAAYNSVQRSFMMECVTLSGYNCLHIINEPSSAAFSASSSLSADDTFCLVYDFGGGTF -DVSGVSVRNNTFVVRSSGGDMNLGARDVDRTLVEMVYGRTSSHEVDYTLDVSSLKEKLSTLATPIEYPVP -VKDSFEYIMVSPEDLSRVIAPFIQRTVKITTEVYQKFLRAVEPTKRVGEKMSSESKCVLVAVGGSSYLPV -LSNILTSIPYVKRVIELHDARAAVSIGCAMYSLCLSENSPMLLVDCAAHNLSIPDYKLESIALVPAGAPI -PFSGRRTISLQNAAATSTYKASLFEGDRIKCMQNELVYSSTITLSDIGVTSQVAT - ->YP_009407923.1 heat shock protein 70-like protein [Actinidia virus 1] -MTIIGIDYGTTFSTCSIVTSTSVLILQHNDSEYIPSLIAISNKSGAITIGFDVISKESDTSYSCYKDMKR -WVGVDVSSYAERELKLKPTYDTKPGENMFDFELGAYNVKGRLMPIRSLISLYIKALVKLFEIRCSVVCSG -LVLSVPSQYTTSQRSFMVALASAIGIKIVHIMNEPSAALFASVSSIPNKVASEYYIVYDFGGGTFDVSIV -GRETNYYGVILSGGDDALGGRDVDRAIRAFLENRFPVKLSDNDVSQLKEQVSRNGNNQSVTVSGTSVCLT -YSDLINIIRPFLDRAGRVLADVYRDSGLQGDITLVPIGGSALLPGIIASAKMYLNKIGTELVYPRLRTAV -SEGCSLVSATVGIPGYLFVDCITSTISGVTGFFCVTPLIPRGSPLPCTATRSYRTSSNYNVRYLIAFFEG -DSIREFNNKLITRFRIDRKVLGINANAPWSFSSKISVSPLGLLTVEIVSGMSSLVINKSAHVPVFDELSC -NLEKVVKPKNQLSSVALADYNISQSIAKVPKIKAVDNITAYLRYLRETQGSDFPEVEFKHYYGSNEQITS -KVGLEIRRPIPVFFREEGYSVYPR - ->YP_009162624.1 Hsp70h [Tobacco virus 1] -MVVFGLDFGTTFSTLSILKGREVYVLKQNDSAYIPTYLFFYEKSPEVAYGYDAEKLSHDSNVRGGFFRDL -KRWIGCTQSNYPEYKKKLSPTYYTSMDTRMEGCDFNILVVGNYYNTIDLKLTLPDLVASFVRCIIRDGES -TFDSKCSGVVCSVPAAFNSIQRNFIMECVTLSGYHCLHIINEPSAAAFSAFRKIPKDMNFIMVYDFGGGT -FDVSAVSVRNNTFVVKASGGDMNLGGRDVDRALLEYVHRKAGVNNIDYSIDISSIKEKVSNALTSFIYDL -PVGEKFVSVLVTVEDISKVVIPFINRTVNIMHDVYKNFVTATSQKGVRKEHHRKCGVIAVGGSSYLPGLL -GVLSAIPFVDDVINLPDARAAVSAGCALYSLCLDKESSMLLVDCATHTLSTPSYTCQSIVVVPKGAPIPF -SGMREIILSGVRPNNRFYAALFEGDHKKCGMNELTFSSYVPLHEIGVTDSTPNRVSVILEVSISSVGTVK -FGIKLNQGELYTVGKDRPYDFSKRGSPTRNVVKLSESLGRRVTNILYATRHERIRENLSKQQLDEIQERG -SALTSQEVARKLGLTTDEVNDCDEYLNNKIDKVVRGSSILRLELD - ->YP_009112885.1 heat shock protein 70 homolog [Persimmon virus B] -MVILGLDFGTTFSTLSLITKTSVCLALQSDSEFVPTTYGVNDTIDEYGYQLCDDKFNFKPGKSYYRDIKR -WIGVTSKTVESKRQQLKPDYIVKAGDTVYDMFISDNNKSNFLPLYAVCAKFILCFIREFEYRREITCDGL -VISVPAQYTSSQRLFMKSLETALGIPVVHIMNEPSAALFSAFRGLDATTINADYIVYDFGGGTFDVSLIS -KRGNVFSVILSGGDEVLGGRDVDKAIEGYIRDKYALAAYDSFPVVDLKIMVSTTFESTKIKSNRGVVITL -TPAELKEICLPFFNRASLILKSILEASDMKTDICLVPIGGSALLPGAVAEAQSEIRKWMGRTLIYPRLRS -AVSEGCAYFSSSILEGDYIFIDCCTSNICIPDTYIEPRVIIPVGAPLPIEVEVETHTSQKTSYFSSGVFE -GGDNRLFYLNKIAAFTVKVVDFPLADAGVVESWSWILKLKLNALGMFSGTYRIAGYPQERELTVAPRVLT -LQTIKQNLRSDAYTFSELTIAVKDYLYGSSLVKNDEEFVNVDSYKDFLDTRGHIGLLGFNAKSRLNDYAG -ADALLATRTPVPDFLRATGYREIRR - ->YP_009058932.1 HSP 70h [Rose leaf rosette-associated virus] -MVVFGLDFGTTFSTLALATDTEYVLLKQSDSAYIPTALFLPSSNTTDAYYGYDAEYANRSGAPGWFFKDL -KRWVGCTEKSVTKYVDKLSPSYSIKVTNLGNSAASTIMLTPYGQKEAPYFNLPDLIALYIKCICSDGERA -FGVKCTGCVCSVPAGYNTLQRAFTEACVLLSSYVCVYILNEPSAAALASAPHLDSTDKRLLVYDFGGGTF -DVSAVTVAKSTFVVKASGGDMTLGGRDVDKALSDALKKRVGSDYPGEIDVTALKEALSVQHQAVKFEVPK -GTGYVSTLVDMDLLRELSAPFINRTVDILEKTRKKAGFLKTDDVKLVVVGGSSTLPGLKETLGKILGVVG -VVSLADHRAAVACGCALYARGISTDSSILMVDCATSNISISSYRGDSIVVIPAGSPIPFDGERDIEMLNA -TTTSTYNARLFEGNFMKCAYNELIYSKTIELRSLGVVAQQPTSAKLKLLTKIDSVGKISFSILGPSGVPY -YVQGKPHYDLSNLKGPQRNLVRLNAKLRRETRLLLAATINGVCRQSYPLFKTQLDEVLKSPSADDDLALL -QAVPYITSECLNVADSRMGELVSGRLRGTDVESIPF - ->YP_008858533.1 HSP70 [Carnation yellow fleck virus] -MVVFGLDFGTTFSSVCAYVGNELYLYKQRDSAYIPTYLYLHADSQEVAFGYDAEVLSNDPSVRGGFYRDL -KRWVGCDEENYEEYLTKLSPPYKTQLVKVSTSSKFTVKLDCYSGTVPQNATLPGLIATYVRALIMTASEA -FKCQCTGVICSVPANYNCLQRSFTENCINLSGFTCVYMINEPSAAALSACSKIKGATLPVLVYDFGGGTF -DVSVLSALNNTFVVRASGGDMNLGGRDVDRAFLEYLYNKAHLPVNYKLDISFLKESLSRKISYLNFPVVS -EQGAKVDVLVNVSELAKVAAPFIERTVRIVREVYEKYLSSMRLSNEVKAKLLMVGGSSYLPGLKSLLSST -SFIDECIILSDARAAVAGGCALYSACLRNDSPMLLVDCAAHDLSISSKNCESIVCVPAGSPIPFTGTRTV -NMSGSNAKAVYSAALFEGDYVKCRLNKRIFSGDVTLGDVGVTGTASRTIPLTLEINVSSVGTISFNIVGP -TGTKKSVGGDAAYNFSNYPLGTRAVADLHKHNSDKVKLIHCLTYEPFQRKKINDSEKDRFLKKVSADYQR -EAKSFCSYDDKVFESSGLLLGRVIPKIFRGSRVEKLTV - ->YP_006628170.1 heat shock protein 70 homolog [Blueberry virus A] -MSSCGSVCYGLDLGTTYSTIGCLVDRNSVAMSCDCGSVFIPSVVAVKGTNFLVGNAAYNVAYNDDSWSLF -KDMKRWVGCTQDDITLEKYKESINPHYQVGFRANGDLYLSASQGAPLQLTLLSLLGLLVRGLVSLLESAT -RSSCDSLVISVPANFTILQRNFYTRITAVVGLKLQFVLNEPSAALICANYRRLNCESIRLVMIYDFGGGT -FDVCAASILSNTVVVSATGGDTFLGGRDVDNNIRRLLNNKYMTELMECNDFSKLKEQVSKYMTKATSSLI -TWKRELIVVTLEFEELLTCCSPLIDKSLKHFDEVYLHTGWKAPVEVYLVGGSSSLPTVVDKIRQRPYVSE -VFDLPEKRSAVSVGCSLYSRMRLDNSVRLFDVLNTMIHDVTSGFMPTLVLPKYSPIPCVSTYTRDFATTG -VVRTFITLFEGSGNRSFKNNVIYSKEVSSSTFGMSTGPMTLEIECNVTSLGVFTLKARALQSKAPPLTFT -SQSEDDLKMGIVATYTMQIRDSSAVKIGGRDYANVISAAPVFMTGGKLSNFFTPNQLSGEYISMLLSARG -EEISKSLRSKRGICADRDTAIDEEMKSRLPFPLTGYCDSVSVLKLR - ->YP_003075968.1 p67 [Carrot yellow leaf virus] -MVVFGLDFGTTFSTISVLKEGEIYLRKQQNSPYIPTYIFLSEETAEVAYGYDAESLMYSRKIKGSFFRDL -KRWVGCNEKNFEKYMSLLKPSYKVELSLFGSSDLKTVKMHSFNPEGNYSYSLPDLIASFVRCIVYDAELC -FKTKCTGIICSVPAGYNSCQRSFMLECVTLSGYTCLHIINEPSAAAFSAAPRLGPKDKFVLVYDFGGGTF -DVSGVSVRNGTFGVRSSGGDMNLGGRDVDRSFVEKLYGKIGGLTPDYSLDVSALKERISSIGNPIVYQLP -VGGEFRSVEVDSSDLAEVALPLFQRTIKIMTKVHDDYYSSVSSNDKIPRSGKEKKETSDDGCVLITVGGS -SYLPGLKGLLSAIPYVSRVIELPDARSSVAAGCAMYSLCLAKDSSMLLIDCASHHLSIPSYQCESIVLVP -AGAPIPFSGRRTISLMNASATSSYNAILFEGDYSKCPMNERIYSSAIQLKDLGITAVRPVTRSITIELDV -SSVGTITFKVKGEKGAEVTIGKDRMFDFSGCHSPTRSVMNLFKNVAERVVLNLVLTRTPEARSRLSLSEV -DKLYNENRDYQLQILKRDYPNFNDVDTDVCRSLMGVFVQKILRGSRVERLPL - ->YP_874188.1 HSP 70h [Raspberry leaf mottle virus] -MVVFGLDFGTTFSTVSVLVGRDACLLLQCGSAYIPTTLFFPESTTNVYYGYDADFMHRSKAKGAYFRDLK -RWVGCNSSNIGEYRAKLHPSYELDLRKVGDSEMHTVALTPYNGGKAWYPLPDLIGLYVKCILSDAEKAFQ -TQCSGVICSVPAGYNSIQRAFTEQSVSRGGYPCVYMLNEPSAAALSSLPRLKPEDHRLLVYDFGGGTFDV -SAVTVNGTTFVVKGSGGDMNLGGRDIDRALSDHLKSLVSAPVEWEIDVTSLKEALCSQPDSIMYNIPIQG -NDEVKVELRSSDLLKISQPFVARTIKILQDVMVKAKFVNEPSKVVVVGGSSKLPGLEGSLLSIKGISAVV -PLPDHRAAVSLGCALYSNCLSDSHSLLMVDCATKSISIPRYDGASIVVIPAGSPIPFDGERTIGMMGCSK -TAHYNARLFEGDHNLCAYNDLIYSAEVPISSLGVQSATPISVNLVLTTKIDSVGKISFSIKGPSGVSIPV -QGRAHYDFSTLPPLKSVVVRLSSTDKDLASLVLALTQEIEARNDLHQYLDKLNSAIVTPSLSLEDHGKAG -TFPTLTNGRVAKSRACMGKVIPKLLRGTNVLVLPLQDHQ - ->YP_762625.1 HSP 70h [Strawberry chlorotic fleck-associated virus] -MVVFGLDFGTTFCTLSVCCSKEIVLLKQNGYSFIPTTIFLCLDDTVLYGYDADYAHASGWSGFYYKDLKR -WVGCTDKNFYTYMDKLKPDYEARLSLVGKGTLKTVELPSLSNTKRYIPLPELIALYTKCIVKDAERAFTL -TCTGVICSVPAGYNTIQRAFTEQSISRSGFPCVYMLNEPSAAALASAPMLKSEDKRLLIYDFGGGTFDVS -AVTVEGATFVVKSSAGDMNLGGRDIDLALSNFLKKLAKSDYVGELAVTSLKEGLSMAEGKIKYLVPSSNG -DVEVVVSTSMLNEICSPFIKRTLKIVEKVREKARFSAEHGAKVVVVGGSSTLPGLCDELNAVHGISGLVR -LLDHRAAVSYGCALYAKCLTSASNVLMVDCATSNICLPGTCADCIVVIPSGAPIPFDGERTIHINNASIN -SYYDARLFEGNYTKAPRNELIYSSRVLLKDLGLNNRQLTTVTVKLHTKIDSVGKITYDITGPSGVRKSVV -GLPHYDFSNISVGFGYKIKYLSDTNRNAAALILCVTLDPEARASYSFHKEDYLCEINDAKPLETYRSKYD -VASSYRISESRSRMGKAVQKILRGAAVERLPL - ->YP_337914.1 heat shock protein-70-like protein [Grapevine leafroll-associated virus 2] -MVVFGLDFGTTFSTVCVYKDGRVFSFKQNNSAYIPTYLYLFSDSNHMTFGYEAESLMSNLKVRGSFYRDL -KRWVGCDSSNLDEYLDRLKPHYSVRLIKIGSGLNETVSIGSFGGTVRSEVHLPGLIALFIKAIVGCAENA -FACTCTGIICSVPANYDSVQRNFTDQCVSLSGYQCVYMINEPSAAALSVCNSIGRKSANLAVYDFGGGTF -NVSIISYRNNTFVVRASGGDLNLGGRDVDRAFLTHLFSLTSLEPDLTLDISNLKESLSKTDAEIVYTLRG -IDGRKEDVRVNKNILTSVMLPYVNRTLKILDSTLKSYARSMNESAQIKCDLVLIGGSSYLPGLADVLKKH -RSVDRVLRVSDPRAAVAVGCALYSSCLSGSGGLLLIDCAAHTVAIADRSCHQIVCAPAGAPIPFSGSMPL -YLARANKNSQREIAVFEGEYVKCPKNRKICGANVKFFDIGVASTSYEPVTFYMDFSISSVGAISFVVRGP -EGKQVSLTGTPAYNFSSVALGSRSVRELHISLNNKVFLGLLLHRKADRRILFTKDEAIRYTESIDIVDVL -KEYKSYAASALPPDEDVEPLLGKSVQKVLRGSRLEEIPL - ->YP_224093.1 HSP 70h [Mint virus 1] -MVIFGLDFGTTFSTLSVLKANEVFVLKQNDSPYIPTYLFFYKNSREVAYGYDAERLLHSKGSEGGFFRDL -KRWIGCTERNYASYLEKLAPSYTTSIDSSIDNCDFRIPMLNCFNSSCDMKLSLPDLVASFVRCIVTDGEK -SFSVKCSGVVCSVPAAFTSTQRNFIMECVSLSGFHCSHIINEPSAAAFSAFRKLSPSERFVMVYDFGGGT -FDVSAVSVRNSTFVVKASGGDMNLGGRDVDRALLESIHEKAGVKHVDYTVDISSIKEKVSQALSSFVYDL -PVGKEFVSVLVTVEDVSKVVVPFIERTVKIMHSVYKSFIESSLDVSTMNHDRKCSVVTVGGSSYLPGLKN -VIEAIPFVNRVVEVPDARSAVSAGCALYSLCLSSNSSMLLVDCATNTLTTPSYTCETIVVVPKGAPIPFS -GKRKIFLSKATRSKKFYAALFEGEYQKCALNELVYSHNVPLSELGVTSDLPRSLPMTLEVQISSVGTVKF -DIITDGDRRYTVGKDRPYDFSSRNAPSRNVVRMSDSLQRRTSSILYSSRSERVRSSIREDVRQKIYSPES -ELAPNDVVVQCGLNDEEKSDCAKYLDSSVGKIVRGASILKLDLD - ->NP_835247.1 HSP70-like protein [Grapevine rootstock stem lesion associated virus] -MVVFGLDFGTTFSTVCVYKDGKVYSFKQNNSAYIPTYLYLFSETNHMVFGYEAESLMHNAKVRGSFFRDL -KRWVGCDSSNFSDYFDRLKPHYAVRPYKIGTGLNDTVIIGNYGGTVRSEVHLPGLIASFIKAMVSCAENA -FSCTCTGVICSVPANYDSVQRNFTDQCVSLSGYQCVYMVNEPSAAALSACNLINKKSANLAVYDFGGGTF -DVSIISYRNNTFVVRASGGDLNLGGRDVDRTFLTHLFSLTSLEPDLSLDISNLKESLSKTDAEIVYTLKG -SDDKKEDVRVNKSILTTVMLPYVNRTLNILEATLKSYAKNMGGLRSVKCDLVLIGGSSYLPGLEDILSKH -RRIDRILKVADPRAAVAVGCALYSSCLSGSQGLLLVDCAAHTIAIAGMSCDQIICAPAGAPIPFSGTTPL -YLPKANRNSQRQIAVFEGEYVKCPRNRKICGSNIKFLDIGVTSVSYSPITFYLDVTISSVGAISFVVRGP -EGKEVSLTGTPAYNFSSVVLGTRSVRELHISLSNKVHLGLLLHRKADRRLLFSEGEAVRYVETVEVADVL -KEFKSYNASSLPPDEDVEPLMGKSVQKVLRGGRLEEIPL - ->NP_042864.1 65-kDa protein [Citrus tristeza virus] -MVLLGLDFGTTFSTVAMATPSELVILKQSNSSYIPTCLLLHAEPNSVSYGYDAEYLAASGESGSFYKDLK -RWVGCTAKNYQTYLHKLSPSYKVIVKEFGTKSVPVPYLSPLNNDLGLSVALPSLIASYAKSILSDAERVF -NVSCTGVICSVPAGYNTLQRAFTQQSISMSGYSCVYIINEPSAAAYSTLPKLNSADKYLAVYDFGGGTFD -VSIVSVRLPTFAVRSSSGDMNLGGRDIDKKLSDKIYEMADFVPQKELNVSSLKEALSLQTDPVKYTVNHY -GMSETVSIDQTVLREIASVFINRTIDILTQVKVKSSMPESQSLKLVVVGGSSYLPGLLDALATVPFVSGI -VPVEDARTAVATGCALYSECLDGRSKALLIDCITHHLSVTTFSADSVVVAAAGSPIPFEGEQKLTLRKCV -STSNYQARMFEGDYEKVFRNERIYAASISLFTLGVNWSVPNDVEMTLVTKVDSMGKVEFYLKGPSGELVN -VQGTSHYDYAGMPHPTRKLVRLSDYNVNSAALVLALTLTREKREKFLLRTLFDTLLADLRKTASLGEYSK -KYPITRNDIDVVSSRMGIVVSKVLRGSDLERIPL - ->NP_041872.1 heat shock 70-related protein [Beet yellows virus] -MVVFGLDFGTTFSSVCAYVGEELYLFKQRDSAYIPTYVFLHSDTQEVAFGYDAEVLSNDLSVRGGFYRDL -KRWIGCDEENYRDYLEKLKPHYKTELLKVAQSSKSTVKLDCYSGTVPQNATLPGLIATFVKALISTASEA -FKCQCTGVICSVPANYNCLQRSFTESCVNLSGYPCVYMVNEPSAAALSACSRIKGATSPVLVYDFGGGTF -DVSVISALNNTFVVRASGGDMNLGGRDIDKAFVEHLYNKAQLPVNYKIDISFLKESLSKKVSFLNFPVVS -EQGVRVDVLVNVSELAEVAAPFVERTIKIVKEVYEKYCSSMRLEPNVKAKLLMVGGSSYLPGLLSRLSSI -PFVDECLVLPDARAAVAGGCALYSACLRNDSPMLLVDCAAHNLSISSKYCESIVCVPAGSPIPFTGVRTV -NMTGSNASAVYSAALFEGDFVKCRLNKRIFFGDVVLGNVGVTGSATRTVPLTLEINVSSVGTISFSLVGP -TGVKKLIGGNAAYDFSSYQLGERVVADLHKHNSDKVKLIHALTYQPFQRKKLTDGDKALFLKRLTADYRR -EARKFSSYDDAVLNSSELLLGRIIPKILRGSRVEKLDV - diff --git a/seq/clusters_seq/cluster_225 b/seq/clusters_seq/cluster_225 deleted file mode 100644 index 626b6fd..0000000 --- a/seq/clusters_seq/cluster_225 +++ /dev/null @@ -1,337 +0,0 @@ ->YP_009344979.1 RNA-dependent RNA polymerase [Wuhan spider virus 7] -MNESLFAKRIEEVEKSILNLADWVGQSHKRPAGSTGLERRISEIFGCPYHGPVMVLSLPDRLLEDFREES -FDFQSLQNRMTSTELYIEAVTHRFIHAWTLAKTMTLAYWDILELYGFEISDTWRCYRELSSRIFSFGILN -LGEAYLKHQTAFLLARCLENEPPPRPSWFPWCEREGYLLGGPVMRRLWCRLVLRRHKRDISLAYSLYQAK -KVAPEISPLLVNEAMQKNLDLLTSEREDDDVEDLKYQVRRTVREISKITGPGLSFKTSPFPSLSACFERP -RSKGGALEELLPRDGSKILAFKELLGYVIHRTQQLPIYGIPYDEDRITFPFPIHRNGESGYTRLHKDLMV -RRQAITEPFKVRVVSMGSAEHYQALKGLQSELWGFLRKSPVFDLTGRPSEEGDVASICRWVGEFVPNHYV -MMVSGDYSSATDNLHPELSEACFDELCNVLDIPWPIRSLGFQGLTRHTIVDDDGSVHRQCWGQLMGSPIS -FPILCLVNCAVTRFQMEKEFGLFIPLSYPDPSFSPLKINGDDILFPLPPGGYQNWCETVTRAGLAPSVGK -NYVSRRVAVINSELYDLSPDWDRGHEEVTRIPYINLGLLKYVQDHGRGDEAQAFVEDNFVSTMRERLITA -TRGWDNDTADRLVSYCLRSNHGLLSRIPPVSWWVAEEYGGLGLPSFREVEIAEHHLKLAAAFLMVDQRLI -WSMRHPRSVCQLTTFSQETERYIGQIYDQLEIPWMVINPEMEDDCCKPAEIYVPQILRGYTCLGAGRELT -GDGFLKRWAYVYNRWMKILRRNWLVFKPMNKKKSLERTGRFWIQAIPKASYHLHHNHSGPIKPSEIQDVD -ISLS - ->YP_009337787.1 RNA-dependent RNA polymerase [Hubei narna-like virus 3] -MDLLKYPQQRVELETSTRALAGLVAQRRKAPLRTDDSWLPGRISQIFGAYWGPTRIEERLLREWEAARTE -GIVDDPCTETDSLGNWFRIAYERALHMADAYQECLLFFDEKNFDMSRKVFFQLVGKLFSFGLIGEMESYL -KFETATLLAICQESETPPLPEYLSWNHIPGTLFLGGLHRKIWMRARHRRRTQDQSLFYSLYRVKDVAHPL -LDYKVQRVLKRNRELLTDESLHPLAATDSDPAILPGFDDRLSEMEWQVRRTVREVHAMARKTGWRFHRRT -PLPTTHASFHFSRKKGGAFSELLGSDPESPLGFPFLVGVLDYRGSRQEYIYTQTDPDEVKRAMETLPRTL -DYISVRSEPILEPFKVRVVSCGDAVPYQLARRYQKGLWEMLACTDTFRLVGSPFTEHDVEQCCLIPRLTG -IHSVMVSGDYDAATDRLHPHLAQSAMDEFCRLEGVPFEDRVLLQRSLTGHTWKDGDRQTWGQLMGSFLSF -PILNLCNAAETRAVMEDAYEMYIPLEWSPLKVNGDDILFCIPPAAYPEWSRRMAQAGLIPSMGKNYVSRR -YAMINSQMYELPTDWDRAFRGELPHLVPTLKLGLIRGPSSPIQTRRGLSEWMRSPECQWSPYNLGDQMRE -AAKGFGRDMASRIYSSSLRYALPLLQQLPPVSWFSHPSKGGLGLPTDRDPESLIREHHRRIASFLTCHNF -THPHEEQIRHSIRMSGSPLYASAAIAAVQDSCDRLRIPYEKKSILLDKEECRVESSLLSKQLWGNSRSCT -ALENDDDREGDWIRDWTRSYWKWTRLAESVVRPGCLLPMNAMNCILPWEFRWERDFSGVILT - ->YP_009337331.1 RNA-dependent RNA polymerase [Changjiang narna-like virus 1] -MMTESEPLMCPDSSSLSAPIGFAAALPKKAAAVLTIENIESWRRLTSASLPTAGAPSWHPLVVVDSQQEI -LSHSEALGPPLDLPLIDCFIKASHMIHWYNNTVEIWAHSLISGDYGESLVNKGCILRSCLSTPTCSRMLG -RWTALAQFGKLEAYLKWVTANFFAESLLQKELPPPPDVFKGILAKIPGMKRPYPGRSWSSLFSRTHSVKS -GLKWRFTFGKDFYMTKNASLPVEESFVQANLEKHQKILCGQIEDKLSEATYDEVCEAIQLCADEIFGKPY -EVPSKFISSDLEITENIRSFSRPEIKCPSRLPSFGASFHGNRGDGGACGDLLRNYHDIGSLPEPNDGYLW -GYAQKNGSELCEVRTLHDPELFFEAEKQWSREALFLSSEGVNAHVVPLIEPFKVRTITKGQAEIYHLARR -WQKIIHSRMRQHPNFKLIGQPCNGAFISQIFGNSKLFNYYGDKNGFFVSGDYESATDLLNPALSEFAQEQ -ISIRLGIPLEDQYVLKQCLTGHRLRYEKEGQLFEQTWGQLMGSPTSFPVLCLVNMAATLLSYNRAYKSSF -RLSDLPTCVNGDDVLFWARDGAHYEIWKQITGECGLKFSLGKNYTSRHVCVINSELYRFVKDTDCQIHRP -SPLFRLEKALNSRLLCGGTRSAASSGFDPLMLSDIDLSIYSSAVGGSAAFRRLVKSHLRPHQGVEPSPKK -VLAALRKRYRNGGFSEDYAKWFNTIPSRALGLLEQHDGEIETDLVVRPKMREFMTKVFNDLQISKLHKFA -RADSRVVKNSPGFYKPQHLGGLGLIPPINHVFSIHDHLEIASLRALPAEAHQFSQGMTPKMASVSFMESV -RAEILEIQDTLEIERALLPAADIESLRFNGEESQFWDQEFLVGFVDPENVVVDSESRSRKESDLNKILTS -RNWKSRRLTGEMRRQAALLEKASGVKCEKTFTDASHGHRLRYLGAMPQGKETVNLIEGDWHLISRRPLPK -FH - ->YP_009337264.1 RNA-dependent RNA polymerase [Wenling narna-like virus 1] -MEKVYRFLYSQYGRDFRLSDRVRSIWMYFGMLGLLEKYLKWKTADLLAAVWRSERPPPPSFLTLGLSDVP -LCLLGTDFRFWKQRLRSRSPTSKHVQLAFALNQGKAAGLPVSDDFVRAAVSSCVERLCSDEDIVEEVTVD -GTTVTVADLRREISRTVEEIYGHGRQERGTPGSRRAASVKSSVESSRSRGGPHQFLSTQLSTVRGSEFLV -GVAARGHRIVWIHAPGHPEDWEDTLWDSRSRAITCQGDRIPAYPVGLTEPFKVRTITRGAVDPYQLARRW -QPSLWKPLQRHECSRLVGEPVSSGVITSFLGKCDPEDGRFFVSGDYEAATDYLNPELSEHCLEEVCHRLG -VPPEETLVLLKCLPRHELIDPVTKETLGVQRRGQLMGSPISFPVLTILNLAFTRYSLELGACPENPLSTA -RPLDDHEILVNGDDVLFRAHPWEYRYWKAVVALGGLRPSLGKNQVSRRYFTINSELWHAILQPESELFPY -TWFKSERLRFPQMGLAFGSVKGGCSELEEQPLFSQGAPAAASSRTSCWREFLQDAPIPSLAWDMLWDLSS -SLRSQVPKGMAYCLPEWLGGLGLPLPPKGHPLREQRMAGSASLALARYIRDNWDTRTVRSWWRGRAYGGN -SPAYLEYADEQLHRALHSLKVLPEPVPIDQDPDRYPLPLSSFFPIGVFSYEYCTKADKEEDMGKRLANLS -KSWTRLLYRAWGSKTLPLHGSEIEYNPPKTFLSTRWITC - ->YP_009337193.1 RNA-dependent RNA polymerase [Wenling narna-like virus 2] -MSPRNLFPSYGDGCSSVNSTENTLASILESPFHPAWSAVVEGFFGNEVVTDTHVDELAIYEDGLDLSAYS -TSSVEQVVRCCEVYSFIYDQYGYKFLFSPTDQRLWLELGDKDLLEVYLKWITANILAAVWEQDELVPLPS -SLLDFSARFPAFGLTTRDDRLFRSMIRSSRRSKKQQRFVYTLYQGKAGCNAMRPELVAAKVVSAVETLAT -PPEGEESIGILGHLITKEDIRGQLLRTVTEIYGTGHARERRTPGSRRMASSKSSFQYTRSKGGAHEFLVD -NAPASMFIQPRILSGFVVYKTQVRPYYTTFQAEDWEELQELSRRAAWSEEMDCYPVGLPEAFKVRVITKG -AVHHYNLARRWQPAMWEPLANHPTFELVGTPNCQRIMNRFLAKCDPDDDRAFTSGDYASATDYLDSDLSR -SCLEYVCNALRVPFEDTVILAEALTDHRLHYVDSEGVEQVKQQRRGQLMGSPISFPILCLFNAALTRYAL -EIASCDSVALDLEDLPMLINGDDLLCRTNPLEYLVWKDIVNFGGLTPSIGKNFRHRTIGTINSEMWTFKH -TIHGCPANGTDTAFYQGKRQPIIEIGLVRGSVKRGTINRNVDELSPFVDSSKFGKSKEQCWNRFLDTCPN -RLTAYDFLWRSVSSDILESLPRGMPMCCPTWLGGAGFPLPPLGHALRDRREPSAYQRLLARYLYDTYGYG -LGKRYVSMLETGSLPANLVKEMNTDNGIRDVLGVPKPVPESADSVDGIKGNLHSEKTPLTPLPQTHFFMC -GLINHTEEESTGRFSCEKMYSRLQHKALKHGRGPLDCKFFLPTPPSLKLESYVFQEVVA - ->YP_009337087.1 RNA-dependent RNA polymerase [Hubei narna-like virus 4] -MFIFETFSGQCKEWQNLCHSRVKEALRIRKLPACWACHFPSDDNPEYLQVVTSLFNSKVEEKDWPKYIQW -AIDFSTRLLESYLTYFELGLNRVLPTDRKILRKVIFDCLDYWFLLSLIGKLPKYLKYWTCKFFSDILQQF -DQPEKPEIPLKFKPFICGQIAGKIHLITYVRTRSDRKPSEDHIKFFFGLLDLKKASRPIHKIFVTEEVEK -SIERLTTSPEREPLRNGIIRSIKRVCNSLVFSHIRGNCSDIPINLPSCLPTTGGLENPRRTGGCYGFLQG -NLSSLCNNISGSFHLCNMEKDGEILPVSVPFNPRIELSYYRHLDDLSKTYPGSIPVEMVGLPEPFKVRTI -SKGPIDLYAQARRYQGLFWHLLRHSPVFSLTWSPLCEYHLRYLYNGNPFSKNRLESYLLSADYEAATDSI -YKEIIEETAEHLFHLLDIPWSDRALLLKILTRCEIERLDGESLKQKRGQLMGSPLSFVLLCIINAGVNLF -VIEKSIGERIKFPLFGEEVPFLVNGDDYLSFLPVRYYEFWKECVTSVGLKPSLGKNYLHPYLGTVNSTLI -KINRPLHFDERFKDNLPGKIFRHLKLSLAYPPEEQDVLIKDDTFDWRKISIKTNLERLILDQKEDIQRTL -IFYALRKFKKFLSVIPKEIPYSVSPSLGGLGIPLVDHKQVSDPHRMRVSYLITRPPKRGRTLIFPGEVSQ -VDLLLKEKENQLLDQLEIPWEPGTEKPSPREGESPFSRWCFLYEFEKIKPKVSILQTLKKWSYIIKRELK -ESNRLLKVLRPASSTSCKQDVKPWTRTFPLYTFDLGLGKDDFVSRAALFREITPGIDLFI - ->YP_009336796.1 RNA-dependent RNA polymerase [Hubei narna-like virus 2] -MSLKKAPHNPTVQDLRNLAAHVGAGKIPLELECDLIEFLTHLANDGIDNEPWRERTLHGVRQAERMKEVY -RDVIKTFWPTVQPRIQPRGWIWYGVLGRLEDYLKWSTADFLARTLRQDELPPQPSWCPPGLPFTGNAASL -LKRACLYHQKRSSSDKAVAIAYSLYQAKGGSLPVTKETVEAKVEKAVMSLTTEHERNCDEVQDVLEDQVK -RTVREVFLPFKTRQERVTPGGHRIPSLRSSFQNGRKDWGAFGYILRDSFYAHTLSFPTLIGVLVTPRGVG -WLFSPMNPDDYDWLIEQSLRAALLKDECACYPVGLTEPFKVRVITRGDADMYHLARRWQKTVHGILRQHP -TFRLIGGPIDFEALDYIAKRSKVDGRSWVSADYTAATDNLNPQLSLVALEEICQILNIPLEEQIVLRKAL -SNHMMYLDEHDEDPRAQRWGQLMGSPVSFPILCLVNAAVTRFSLEVSNWCVGTQLLTDLPMLFNGDDAGF -RANPSEYLIWKGITRSAGLEFSVGKNFFSPDTLVINSQVHKCEIRADYFGQQYWRLRVEPTLATSLLYGQ -VRVQTTNANDKTHLVTSNLSVGRNLGQMCQDLVRDWTGETRDWLVGRFIELNRSTLKCCASGQSWYLPRT -LGGLGVPEWSGSEVRPAQLKLAAYLATRSLGDPALRDYLYPDMPDFLRDYLYPDMPDFLRTYIGDRCKAM -REAGVPDGEMSLRGGLPGLALWSCLGAAGGDQGELYKKSLRSWESLKKKALATKLKPMCLTTVRNYIRER -RDERIWSWEIEW - ->YP_009336672.1 RNA-dependent RNA polymerase [Hubei narna-like virus 5] -MSISSSSNPHEQEKKLMTDYIKKRQDFKWRSTYHPQARRMFMLYEFTYKQFGYSFEPTTLDQQFYEWMAS -TNRLEKFLKWKTSNILNRSVGSLERVASPFLMHNIPMIFDKYFNDTARGLLSNDSSLHRMKLAKRNRSKY -VQFCFSIYQAKGASLPADEWKIKTSEKETCVRLSKEKFLNGTPGLPQGHLMTPLRIIDEDYLFSEIHRTV -DEIFPRRPPKTFEPITDFLSNQKIRQINRILNQKEFQPRSRVPGRGASWESNRGAGGNLGYLSMIGAGAI -FLPYDYLICYFVGPGGVEQVRSTLCQEDIEYGQYLLRQFSYGQECTCAQPIGLPEPFKVRVITKGWTPVY -HVAREYQPYIWSRLKQFPQFRLTGEQLNPAIMRQFCSEQDPEQDEWYISGDYQEATDHIPSIFAERILER -ICQNLRIPTEDIPCLLASLTRHLIVQDGDVYHQRSGQLMGSPTSFYILCIYNAILTRIAIENAEEGRHIK -YPLSELPVLINGDDLLFRAKLTTFLTWKSVVNWGGLIPSVGKTLVSKRFGSINSRIFRFDTRYFKNTKYI -DATPINHIQLALAHGSMKNGNVSDEHTNFMSKQIRFQEFMDSCPNKSRAWKYLFSLHQDYLSIHCKNFPL -ASLCLPLELGGLGFPSPPKDSVYYAKREPRPLSLILARMIMDHTEMGDRGALRDWRLLVRTGEVPANCAE -LLLFDRQSEYCQKSQCPILVRPKEEKTILPPSELSYGVGLTNTFDHFDTISNVMSRRKSLHYKILNSLKK -YTKSGRGPCKISVVNSFIRSSAWRFCYGKYSSCLKIDNGFISFP - ->YP_009336615.1 RNA-dependent RNA polymerase [Shahe narna-like virus 2] -MEGFSSSKVFTSEDIRGFPGLPQPSGHDAPVPKHVRKALRLVGRLANGLPSFNKAAGKVVWVYGETLRSF -GRATLAPPPTLISYKNLEKASHLVDWYSNTIQLVDNLCIQGSYGVKFGQILSFVLPEILSKDVQSTFLGF -LWALAELGEMENYCKWSTAYFWAKNLYQKELPPTPTQFEGKPFVTKYVFPGATKFAQCISQLRRDGKERF -SLREGLARFGKDLYMTKNASLPVDEAFIKRNLEKHEKILTQPHEDPLTEETWKSMQKELSVLLDEILGPV -PEDEDLIEHVDYYPKYDKELGAVVQLPYTYHTIGRDSYLERPAPSRLPSFGASYKSGRHMGGACGEILRD -FHDIKGDLPEPQPGYLVAFAKYPGRETRHYPVYSTHDPDLYREAEKASRQRAYREHCDAFVVPLLEAFKV -RTITKGDADMYHLARRWQKIIHSRVRRHPSCRLIGQPASSAYLSQIMGNSPLFGWERQKGQGFIVSGDYE -SATDLLHPELSRFCQQGISQRLRIPLEDQDVLLKCLTTHLLQYEKDGPMKPQIWGQLMGSPVSFPVLCLI -NLAATRLSYRLRLLHLKEAPHPEKYEDYPMVVNGDDILFWALDQEHYDIWKEITRQCGLKFSLGKNYTSR -DVAIINSEMYLWKSTNYKSKKFRQMTGPQTLFHQVPAINSRLLAGTSRSSAGSKSQFGVANFHKYNKSFL -ADFLKTSGIPVTEWFDIKGKMLTNMRVHLSKVPLLENQIKECLKAHTGRLISQFSEDEDYAKWRKTLESR -QEALLKQGAGDLIRSEKPSLRDQTLLDSFRTTQMRALHHFRHVHERRDKDTPLYVAQCFGGLGFIPPDDH -RFTLAELVEVEVFGREPKLAQKWVTRQSPKFASPSFMAAISGEIRDLSESLEITKIKVRENGDWDYDTLR -HHAQEEKFYESSLLTGFIDVDSVIVSDLQKQEAVDHNSRIKRSFGCRELTRTAEQLRQEAKDLGYLDSET -RLMERDGKEYVDTILDKSIRFSDLVPDRFVVPEPKLE - ->YP_009336536.1 RNA-dependent RNA polymerase [Shahe narna-like virus 1] -MESQQRSLDVVCQNLGQLRKRCTGEDIITFVDCWYSTTVHEVRSPWISKLRPIDVFHYYTLVKVAKIGPT -LDTHPLEDVYKAVKIISQYTEMTHSYSKLLDASGYGEKIRQEFSDTLLSAFDKDQLAYLTGFLAGLNTYG -LLEKYCKWSTASLWAWGLAQKELPPPPEFMSHHLASPFRYLFSSRRWKRAFKISNKPSRLEQIFLAAFFK -DLYNCKGAAAAVGPEFIEQALVKHKEILTTPKTPPTPVGVEDRGKDLILEAITQAAETIFGSVPRKNKRD -KRPPSRFPSLNSSYNYSRMKGGAGGEILSQIDEANDEFYALQPAEGYFWGYAHHNAECIEVRTPYDPAIW -QEAEILSESRAVAKAAAGLGVDAQVIPLSEPFKVRTITKGDCDMYHLARRWQKVIHSKMRKQKNCALIGR -PCDGVFLSQIFYESSHFDHKNEKKGFFVSGDYESATDLLNPELSLWAQNEISSRLSIPLEHQRVLNACLT -EHNLYYGEKDVNGNKITHKQTWGQLMGSPVSFPVLCLINLAATKLAYEIYYREELARKTGIDINLIKKVD -LPIHTLPMCVNGDDILFWCHSDRHYQIWKEVTANAGLKFSLGKNYTHRSYCIINSEMYRYHHNESLPFVK -VPCLNTRLIEGGNRSTVTSVHPLHEFDFEWLVKDNHFNPKKHLKRFCSKVNPNHTPLKNLQLALKERKAG -PILYNEYASWFLTIEARRSVLQRQATEMLSDKPARRPAQIYRGQLMEKILDQRFTKVQFRRLNEFRASLG -SSLGKSFPYNLPQSLGGFGFPRGPEHKYTVTDYRTAALAYSEPKEFRKALKMVTPKLARAGFMSAVSKEL -SFLSKALAIPEVTQIVQYESYKPVDNGSIFELDCLSGFVSPTNLVVGEHELSEAFKSRVYHTREITRATK -YLNRKYNLQMRGAEKRRAEKQLATDLEEGIERIETILLDAFAESYHIQVVRPSPRF - ->YP_009336520.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 3] -MLSTLRSSCAGTDPAFLGRDYAHEEQEPYGPPPSQGSDDDDEPEPVGPPDGRRSQIEAYLYGLKQAARVE -SVWEHIYNLYEMIWSPLTSDDRGLWAYLAMNDQLESYLKYKTALNLSQTWRQEELPEEPAFLADIPSCYR -PPKYGLSWSTSDQWRSLNRGREASTRYQELAYALYQGKAGALPMRDELVDSKVDSVVRKLTTPVRTPTQM -VMGRKVGLRQMRAEVTRTVREVYGPPREEERETPGAMRLASVKSAFQSSRGEGGAHQFVCQDAAWDMALG -FPQLVGFTRGCDPRPIYGTSAEDWIETVEVSRRSSWNETMACYPVGLVEPFKVRVITRGAAHHYHLSRRW -QKSLWRPLAEHPTFQLVGRSMSHKIMDDMVTKAGTDQRVWMSGDYQAATDNFDPELSNHCLAEVCRSLGV -PYEDQRILRESLTGHEFYDPDTKEHLGRQARGQLMGSPVSFPILCLLNAALTRLAFEVAGRERAPLRDQA -ILINGDDLLCRVYPREYKAWKIVTQAGGLTPSLGKCFRHRHIATINSEMWRVSRRSEILPDITEDSEAPT -ARFNYYHCERARLPLEGLAYGSIKGASGTTDKARRIEGLSIFSPQNVRALSSMGKCWEEYLNTLPSFVDQ -GSPLRRRTAVRRYRRVAYDHLWKVNYDRLTLDLPNIAWCLPLRYGGVGLPLPPRDSPMYHRRLARPQQLG -MAHLLAALPNERARLHSVLRETRPTYSERMTTSGRRYVKVPGLDDQEASIEAQSRTLGINLTYDLRRGVR -EVRARSAFWALTGSEPIPGADGDSFKKEWNRIYRRAGTFRAGVPRWEPIRDIARLARLEHRDYLEVAYDL -PTPVSGAPSGDTGNGHEEDLALEGVWWSGW - ->YP_009336518.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 2] -MLSTLRRSCAGTDPAFPPHQNDLIGRDPSGPCEDGGEIVNGLEPADPARSRIEAYLHGLRQAARVESVWE -YMYSLYQMKWSPLTAEDRGLWAWLAMNDQLETYIKYKSALNLSQTWRQEELPEPPAFLAEVPACYKPPAY -GLVWSTSDLWRNINRRDSHPSEKITELAYALYQAKAGALPMRPELVDSKVDGVVKQLTTPVKTRTIEVMG -QRLGLREMFDAVSRTVAEIYGPVQEERLTPGALRLASVKSSFQSSRGDGGAHEFICRDAGWDVTLGFPQL -VGYTGGVSPVPLYGTSSEDWVDTVEVSRRSAWSETMACYPVGLVEPFKVRVITRGAAHSYHLARRWQKSL -WRPLAEHPTFQLVGRPMSHSIMMDMVEKMTLDDRVWMSGDYQAATDNFDPQLSNHCLAEVCARIGVPYED -QLILRKALTGHAFFDPDTNEFLGHQARGQLMGSPVSFPILCLLNASLTRLAFEIAGREALPLSDQIMLIN -GDDLLCRVNSREYKAWKIVTRAGGLTPSLGKCFRHKNMATINSEMWRISRRAERLPFNGVVRYFTAHRYQ -LPLEGLAYGSMKGASGTTDKQRRIEGLSIFHPQNVRSLSSMGKCWEEYAARRPHYLQSRPHFEAEILRSS -IRLKAYDHLWSVNTDRLRIDLPDIAYCLPLRLGGLGLPLPPGDSPHYRARQPRPQQLGLAHLLASRPYAW -SRLSETLRETRPTYAERMVTSGRRYVRDLPHDEAYESIIRQGEALGFPLAIDLRRGVKGERTVSAPWALS -GSVPIPGGDGDSFKLEWSRLYRRAGRFRNGKPVWEPIHDRARLARMIHRDFVVSDYDIPTPTLGVSYENA -GNGHEEDVAYDGVWWSTW - ->YP_009333214.1 RNA-dependent RNA polymerase [narna-like virus 6] -MLNVYHFVYRQYGFHFRPSREDLIFWEWLGATNRLETYLKWKTANMLSRALGSSELVPCPLDLSHIPPHF -DYYFGADRLGLLRTDQGFHRMKLHRKSTDRYASFCFSLYQSKAASLPAEEWRVRSEVVAAMRRLTEKPDP -LVPKILTNGARLDYDLLEEAVHRTIELFSPCNRGDRLARRRLPSRGAVLGSSRSEGGALGQIARLGHGCD -FFVPDRYLIGFYEGPAGAVEVRSSCEPEDVAWAYDFFGHWGMSHDVPCWPVGLPEPFKVRVITKGYAPSY -NHARAYQPRLWRSLQEHNVFRLTGEMVTGSAIQSFLSECPVDSGAFFLSGDYKEATDHIPSEIANLMCMR -FCDRLGIPLEVVGRIARALTGHILPTPELVDPTMGLGSQGCMVRRQKKGQLMGSPISFPFLCLYNLVLQI -LFHFVAEGEWIRDLSGVRNLINGDDLLSYLRERGLYRIWEEVVEWGGLKPSVGKTIVSQRYLTINSKLFR -VDSAVDQHGTPYWRNRHLPHVQLQLAIGSMKSGHIDEDSWVLSSTSPRSRSRMWQEFLDSCPDKDRAWSF -LFSANRGLLRGLMDKYPTCTLCLPTEAGGLGFPLPPIDSRWYVQRAPRSVDRMKARLLLSAGLPSHDQLR -SRWLSSLASEDPRTADALLFGDLLRYQKSTGCPLVLETLDEQVGKEKDLPPPILACPLAGGVIDPLEEHL -LLASQRRVIRDIDRAVRAYSRSGRGPWTQCEISDFLRRFAWRRKYGRYLDRTCGF - ->YP_009333283.1 RNA-dependent RNA polymerase [Beihai narna-like virus 1] -MDPIEVSHALYEGLASWCMSRRKGTKDPNFQDLCRLARHCGLCEPPKCLDSALTEFLNSFDPPSCVEHDN -ILKERIVHSIQQANRMVAVYTDILKFYGVLGRLHPKGWLWYGVLGLLEKYLKWSTADFLARTLDQEDLPP -NPGFELGLPFTGPAASHLKRVCLFNRKRGLRSTYAVALAYSLYQGKGGSLPVSAEFLENSVERAVNALCT -PRTGMTEDIFDVMSEQVRRTVRECFPRHHSERARPGSHRIPSLRSSFQNSRKDWGAFGHIVSASISIGFP -YLLGHWHHKTNIELVYGPSDPEDVQLDYEQSYRRAVLMGRCRCYPVGLLEPFKVRVITRGDADMYHLARR -WQKTIHGCLRQHPTFQLIGGPIDWSALNHLSERTDICDDRYWVSADYTSATDNLDPELSRVCMEEICQRL -GVPLEDQLVLLRTLTDHDLYEESGDLIGSQAWGQLMGSPSSFPILCLINAAATRFALELANWKVGEYKLT -DLPMLFNGDDAAFRANEQEYTIWKGITKSVGLEFSVGKNFFHKRFLILNSAVHEDVGRVDFFGRRFSRLE -PVVTLSSSLLYGQVRVQSASQNDRTHLCQSDLSVGRSLGKMCEDLVAGHSEEQRDFLIKRFIQVNKTLLA -KCPKGQAWCIPRRLGGLGLPAPSGYEPRPPQAKLAAYLATRQLDDPDLRDYLYPDQPDFLRAYISYRQDL -CRKLGIESTNEQVVTLELPDLALWAPMGAAGGDKTELVKRSIRAWESLKKRGLATSLHAMKMDTIRHWTQ -EPRNIADVSSWTVSW - ->YP_009333277.1 RNA-dependent RNA polymerase [Beihai narna-like virus 2] -METTLAKALVESCVKYYSPKEASAYLIEFGVKYFGLSSCHSPDLWDEFLGRFYPSSDSIPMDGVTDWRSD -LSREIVDSYEKVKRMFEVYSYIINTRLGQTLTWASQRETMTRWFRYALRGKLEKVLKFKTATLLALGLNQ -SELPPRPSCLEADDRANYLFQGKVGRRILHCTRIVSAKRDNGERVQFLYELYSAKRASLPVSSDFVTEAL -EKHWFTLTELDQKDLDNPFLDDLIEEVTRTVDELIPPVKCTPHYKVCPSFGASFKTGRDKGGSYSELVGE -SQLTSNEGHLGGFMQKSCPILVQESFDWKSEITSKPSKIGGGDVMGVEWRVPDVDEDWLDYVSVASQLQD -IDCRPVALLEPFKVRVITRGSAPAYQLARAYQRIIAPLVGKNPAFRLTRGPAKMDHINDLLEKAQGPSAE -YIEAFECGARRSTLEAEDYSSGTWRPEGIWVSGDYESATDLLNATLSEICLDRILHNLDAPYEHRRTLFG -CLTRHTLHSADDSKVAPQINGQLMGSPLSFPILCMVNAAVTRKAIEVCTGRKKLLKDHAMLINGDDVLFH -LRSIAEYEVWKTFTAGAGLKFSLGKNYVSRSYLVINSEIFKIRPHVNFFGERKWICDRSLPIINMGHVYV -QKKSSSKLQSEQSMYGTHYLQKDSLKDSCEDFVEAHPLDKRCFALSKWISARKHELDTCLPKGMSYFVHE -SLGGLGLPVYPHKDQLVGITEGQRKLAAYLWTSQDPTARLINTGALRLPIPSFVERYMRDKSDLLQRLDV -RYEWSNVKVKEDWPDFHRWTAVGIVGQDPPDMKPFRRVYEAVWRDAQASWASPLSFRKCIKGVFDGVPGY -FGPAERVRKQ - ->YP_009333266.1 RNA-dependent RNA polymerase [Beihai narna-like virus 4] -MPVLSTLRIACVGYDPTKEYLEQALAERTARMAGDIPCASDVDESSDGPGVCADAYLHGIRQMDRVEEVW -SHIYRCYEIDPTPFSERDRGLFLWLGAHDQLESYLKWRTAWLLAQVWEQRELPSKPGYLSEVPNCYRPPR -VGLTWGDSAFWQRAIHQSGNIPLRLQECAYALYQGKAGALPMRPDLVDAKVEEAMSRLTTPCRTRSQVIG -GRKVTLQDLKEQVTRTVKEIYGPSMEEEVPTPGSHRLGSTKSSFQCPRGGGGAHQALVEEAGEGRAGVLG -LPQLVGYTRERDPVPVYSTTDHLEWSHTVEASKVLSFGETMACYPVGLVEPFKVRVITRGAAHHYHLARR -WQPSMWRPLAAHPTFQLVGRPCGRDVMYDLVRKVDFGDGRLWMSGDYQAATDYFDPELSGHCLMEVCDSL -GVPWEDRIVLRRALIGHVFHDPDTHEYLGHQARGQLMGSPVSFPILCLLNAALTRFAFELAGREASMLRD -QPILVNGDDLLCRTSDKEYYIWSQITAMGGLIPSLGKCFRHRRIATINSEMWVCRKMRTTLLGPLDGPLS -EFTYTTIERRHLPLEGLARGSIKGASGTTDSQRRIEKMSLLDSSNVRSLVPMGDCWREYVRSTPSYADES -SPGVRAALQRRLWDHCWDVNRDRLKRISRFPISFCMPVRYGGLGFPLPPPSSRSYAQRLPKANNWAMANL -LANRPNLRRALLSQLKPDVPCYGDVAYPLRCVPNRDANLDEEYDSFRRSYPDLPPESFAPRRGLPSRPST -DPSFWGMCGSEPTQYYEDDSGFLRIWNAANRIAQSKNSSGKYRWAPLRRLSLLDTIALSDHKLTGLDLPH -SDIRSPFRDTGVSRHEVAALGDVVLNELW - ->YP_009333144.1 RNA-dependent RNA polymerase [Beihai narna-like virus 3] -MDTPKSPLPPCGVAAEVPAVLSEGTPAVALLPKEGVAPGQTGAPGARHCRKGHDATPTGWTLTGVPSNEE -EEQGWGEGISPEDPGIRRGLDGSDVDDDTTGTDIERALLDLRKLFNDFFRRQQQKRDEERRNSTQAQIER -MRKVYSFIYRQYGRTFLLSCHDELLWWYMGMRGHLEKYLKWQTGRLLALQWRQEELPKSPVFMEALPPHL -KDLVPPYGLTTGCDAFWRTRARSSRPQRLAREFAYSLLQAKAGALPVGPEFVNDQVEEAIVRLTTSPETP -EEITLPSGVLLSRDEVEDAIRRTVREIYGPAREHQRDTPCAEKIPSIKSSFESPRNTGGAFGHLTLSVMG -QREESDSSFLGFDHLIYMVERAGRVAEIRSHFDPSEWKAQAEDIKRRSYNRRVPCLPVGLVEPFKVRVIT -RGAADIYYTASRWQKALWAPLSRHPVTQLVGGPVTDDIMSEFLQKCDPLDSREFLSGDYTAATDYFDPEL -SNLCLEAACDSIGVPFEDKGILLKALTGHDLFQVEEPGSPPIYRGEQRRGQLMGSPISFPVLCLMNVAAS -RLSLEKTSGRRLSLNTLPMLVNGDDLLMRATPEEYEDWKATTSYMGLIPSIGKNFRSPDIATINSEMWQI -WRETPSKDVRSTASRLGLRIAGPFRGRKSQIIQMGMLWGSVKGGASGAAQAWGDKAKISGLAELQSESLM -LRDFLSSCPNAGRAYQFMAEERLGPLLAKVPPGVPLCLPPWLGGLGFPLPPEGSPYRRARAPTGKQLLIA -SYLKAHYFTENGWEAERLLQRSLGVESPYYLDCFMRQWGQAHSTLRVPKRRPIPEDDVDFVAPEARLPPL -SAWVFYGRWSPDVLYPTVEETERREAGTRRGNQLLFRRLALAHRRGMERAREKLFSRAKAWGRAPLRLKD -LDELSCPPPSFSVSLLS - ->YP_009272903.1 RNA-dependent RNA polymerase [Fusarium poae narnavirus 2] -MDPPSPGSGGSGFATANESLSSASYRTADESARPWNNVQDVLSIEDLPSTVSLRRKFIAPCACLDRHRSF -REFCQLVIDPSLRKDSIVPKIEICWNNLNLWESKLPRELTGLENRVLFEDMPSIWHAQKVLYNGTHWFRR -LMRKTPNRGNFNGVAILRLLAGKSITGKERVDQLVHLPLVKSGCHKLRSILATVDGLVMQLVLSFPMWEE -LLSWDRMDQIIHCLICQLIPDYFRKEIPENLSSFEKIKRLRGAIKEQGFNPVGDISSIDIPREMSFFKVI -TDFMSDRKTPIDMYRVMVMSQTRAAGVPPRSVYLKSLQKIKETLTEPPDRSRYERVKGYVATGIDMIHRE -MVESIGSESESERFWARVISRAKISLSDSGEFFTNSESGGKLEAARLVLSQNKLIPEVDLETGAHTGRIL -GPDDQVGIRLFHWACNVFRDRKTVYDRNVMSVRVSLVAELAKYRAITVSHLAHAILLHVLSHVLLEYISA -IPSSRSGVGAANHAWNFFKRLSHHNPAGKFIFERDVYVFSTDWEEATDWLDHLISQLIVNRLCNNVGIPT -WYRQTVVFALCAPRQVEEIDPEEKVLSRYFTTRGELMGDPVVKVILHICHLVARYAAVKQLQKMVLKKHS -LQRPAPS - ->YP_003104770.1 putative RNA dependent RNA polymerase [Cassava virus C] -MSKRPLAACLSVEETSFYAKTEVSKLCGLVDRMGKLPRTYAGDDLPIDDNLKPVARSVLAPILGTRLTRE -RTEYICSLSSQLVVGISDVTYILLGSALSVKEIRWQSQLFAILAIAGKLEAAFKSHTGFLLATCLGDRYD -SPDPSSSNYGGIAPGRIFPPRFQRKIWMRCVLRRRPQDVTLASSLVNIKRVAPPVPDSFVQESLNKNRER -LSKPQSDCPDPILDMLERQVVRTVDEIVYNAKKEGWNRKIARSAFPSQSASFARSIASGGQLGEIVPENW -SLRFPTLLAMVERCQEVVPIYGWHESPETVLTENLRGHIDACLEVRRSPVLEPFKVRTITMGPAEPYFKA -RRIQGVLWDLLKHTRCTHLPNRPIHESDISFFCSRRGDAVFPDEETFFVSGDYSAATDCLSPVLSTVAVD -RLCDHLLSPENQVLDPVHPWRALFHRVLVGHKIMEGKRGEEVEIAAQTWGQLMGSPLSFPILCIVNLAIT -RASIEHTAKRQLYLEECGILVNGDDILFKLPLRGLARWDFLVTRGGLHPSPGKNFVSKDYAVLNSTIYRV -GRTAELIPTIKGNLINGTACRGIERPRDGSLYFSDASKYSWGTIGDRARELIKGFPNHIADQLLSRFLIS -MKPHLDLFPRISWWAHPQYGGLGLPVTRPGDFLPVHRRVGAFLACGGRRSQEFRMNMQWLSNPVKQFNAF -TSQYLMDIARSLSVPVKQIYNDETEPEFFLEREIMYSALRFGVEFPTNARGNRELLAAWRHFYRQLERRA -LRTRITDGDLPERKADRKGLFLLSPEKLIAGPRFKYVYDWACKNQGGNIWSSSSRGYVLFDKHRVLESSA -LPFGKDEVKSSVSTHFTPVNEYRQRIFFWT - ->YP_002019754.1 putative RNA dependent RNA polymerase [Epirus cherry virus] -MSNLADHEQVRTYSAYCSRRLCGLTDRIGKLPECNLAPAFLKELKLPGLPVPQTLYLKATRWLTLSDRDE -EREYVPVLISHLITAVYDVVKFLLGRQITRKDIHYMVGVYTLLAMEGRLEKSFKEHTAWLVARAMGDVAP -EVPPTDGISAGKIFSPRLNRIIWMRCVLRRRPQDVSLAHSLYQVKRVAPPLVTKLVNKASEEMLRRVTKE -EDGEEHIILRRLEGEIERTVDELVKNARAERWTGNIRGQPFPAQSASYEHGVREGGQLHSLLGTGHGLNI -PHLIAMIQRGQYVYPVYGWDESVGPNLKNVLEMVDCPEFLPTRRSPVLEPFKVRTITMGPARHYFRARQI -QGVLWDLLKGSRSTHLPNRPIQESDISFFVQRAGDRIFPGEEALYVSGDYSAATDNLRPSLSMTCVNRLC -DHLSQSGSALDAAHPLRMLFQRVLVGHAIMDGKRGEESEAGRQSWGQLMGSPLSFPVLCIINLAATRWVL -EQVSGRIVSLNQSGILVNGDDILFRMPYRGYALWNRVVTAAGLTPSPGKNFVTSRYAVLNSEVYDTSSRT -ADPVPFLKINLMYGTLARGCERRTSAELLYGDDLEAGGTLGHRCRALIKGFKPEQQDYLITRFIRACQPF -LSQAPGVSWFLHPAYGGIGLPSVRRPRIEIHHLRVAAYLSCGGKDQAEAQVFMHWLKQPSKEFNRATLLR -AMEVARELHVPTVQVSPEELKEAPERFEIPVKQELLLSALTLGTEFEVTDQGQSARLREWNRYYRLLVRR -SLKTYVRGSKDTTGLGLHAMGWEKAMRGPKFRTVFDWSARSGGYSMLRKTTSSYSRCPFSSSSESILPSG -GVRVCYSFLNTQ - ->YP_002019757.1 putative RNA dependent RNA polymerase [Ourmia melon virus] -MEPRQELLDPERVREEAKRIVRWLCGLVDRTGKLPAGDYQGKILNTVSEICKRSKLPCGELAEALTKGRL -TRSLVDYSELLISNLVVGYFDVLEIYLGKQSVRLSDIREMACKYTFYAINRRLEDYIKFQTAWLQARAMR -DVTPEPEAPSWLNEGFGRCFSALLNRKVHLRCVLRARPNDVSLAASLYQVKRVAPPLPDDQIEKNLEKSL -DRLTKDEEPAGVDEPFLEDLKRECKRTVDELVQNARREGWNRKISRDCFPSQSAAFENPISKGGQLGQLV -KENNTPRLPVLLGMFEYKGRVTPVYGWADDGDTILSDEELGREVPAALKCRRSPVLEPFKVRVITMGPAV -QYYRARRVQGCLWDLLKHTRCTHLPNRPVEESDIGFYVRRRGADLFRGEEVPYVSGDYSAATDNLHPDLS -LSVVDRVCDHLLSDDNRPLDPVSPWRVLFHRVLVGHRIYDGNSSRNTEVAAQSWGQLMGSPLSFPVLCIV -NLAVTRYVLEKACGRIVTLEESGILVNGDDILFRCPERTIPFWTRMVTIAGLSPSPGKNFVSYRYCQLNS -ELYDMSGSRAEYLPFIKANLIYGTLARGCERKRAADLCYGDTTTEGGTFGHRARALIKGFGPDMQDRLMS -RFLHSIKGFLEKIPEVSWFIHPRYGGLGLPLTRPVTHNPYHLRIAAYLSCGGEQSQEARCMMQWLSAPTK -SFNAATLLRILEVARNCKVPFRKVPFALLHRAEAAGVDLEALFRKALLRSAPRLGVEYPSNESGDMQRLG -DWRRFFRDVGRKAARTRCRSGTADERKGLFLMSPDNAVKGPQYEYIFDWASHNMGGNIWDPSYKFRADPF -SSSESDEPRAKEIGPNRGPE - ->APG77283.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 1] -ERIINESSTAERKKLSTSIPGPSGEQTAARIAAMCYSTLGAQIGRWVKSSHIRNDADVENSLVDICPKGT -VLTEGVLSFVQARYVDGGMTRLLAELERAAARTKAFLDSLLSLCEIFGMTPIDHLSPLVLLGLKTTLWFY -LGTGEKMLKFQTAYMFAKATGQSELPPIPTGFIGNPNVICGGKVGRFIRCRLRGTSDRKMSFAYSLKELK -RGCMPVSEEFITESFKKHRQLLCGERDESSQFDDARMDRLEEEVRRTVREVYGCRSRPIVRPLFKDQSPE -DYLHTLHCVDGCSGGCRCDRGLINRISFRHPSSSAHYEWSRKMGGALEFLRSEFFNSGLVRQSELLRMDE -RAGNVWEQRGQVLDYPATLKRLRHLATMERPCAKPQAILEPFKVRVITTGPPAKYHLARMYQKPIHDRLG -EHVTFKYTHSVVTAEELERDMSRFRVVEGGFWVSGDYESATDNLNPVLSSACLDEIWSVLGLPIEDLAIL -NCTMSGHLIDYDCIGVGMEEQRWGQLMGSPLSFPILCLINAAMTRLSFELAQGHEMRLDDCPMTINGDDV -LFYSRTDDHYTIWKDLTASAGLKFSLGKNYTSPDFAMINSVLFKTGHQETLSHLGPDLFALDSFKTPGSV -SPGYLGGCLPPGGSQEVGVFRPTFRRVPYVNLGLLFGQSKVLDVSDDLKKRAKAQRGPNNIGSCGQTLVS -GFEGELREKLISMFIHRNLRLLQSVPQGVDWFLPKFLGGLGLPIPSDYEISEKSRKIAAWMYCLDVEKYQ -DLTLAASSPVEEEYLSYALRQERDRVRRLGFPARFTTVRDDDNHPRSMASCFWYIGIGDREENVRDSVAQ -WRKSARLWSRCGLAHGLDPLSMDTVRQLSIQPRFIEHVGSGKFSPASLVC ->APG77214.1 RNA-dependent RNA polymerase, partial [Hubei narna-like virus 1] -MMTDSEQQNLSGINGSAMNLVGEAASLPKKVAAVLAQPDVVAWQSLTAAKLPTMGAPSWNPLIVVDAHRE -ILLASGETLGPPLDTPLIDCFIKASHMIHWYNNTVALWAQSLISGDYGETLVKVGCSLRSVLSVPNCSRL -LGQWTALAQFGQLEKYLKWTTANFFASCLLQKELPPTPSQFESLISRISTLRRVYPGQSWARLFLSNSSS -EGRKWKFAFGKDLYMTKNASLPVEESFVRANLKKHQEILCGQVEDKLTDPTYDEVCEAIQLCADEIFGKV -KNMDDYTYGDKDEIGNEIILSRSTRKCPSRLPSFGASFHGKREDGGACGDLLRNYHDVGSLPEPDDGYLW -GYAQKKGTMEMCEVRTLHDPELYATAEEEWSRKAYSLSSDGVNAHVVPLVEPFKVRTITKGQAEIYHLAR -RWQKIIHSQMRKHPNFALIGQPCVGPFISQIFGNSSLFQYNRKTGFFVSGDYESATDLLNPSLSEFAQEQ -ISIRLGIPLEDQFVLKQCLTGHNLRYKKDGQLFQQTWGQLMGSPTSFPVLCLVNMAATLVSFNRSYGKKL -HLSDLPVCVNGDDVLFWAKDDQHYEIWKQITGECGLKFSLGKNYTSRDCCVINSELYLYNREDSMQYHRP -ALLFRLEKALNSRLLSGGTRSSAASGFDPLSLSDCDVEIYVSAVGGPKAFVKKIRGECEGNFDLASAKAA -LRKLRAAYRNDEFFDDYSKWFNTLPSRAQGLLEQHDGEIERDSVVRPSMRKAITKVFNDLQVSKLWKFAR -ADSRVVTNAPAYYLPQNLAGLGLIPADDYKYPINNHLTALTLKSLPAEAHQYCQDMTPKMSSVSFMESVR -AEIRELQDTLGIERALLPVADIESLRFNGEDEQFWDLSFLTGFVDTNNVVIDVVARQQRAYDLNKILSSR -NWHDRKLSLKRSELAHRLIRQGHIKDENRVLGNGKAGLRFEGEW diff --git a/seq/clusters_seq/cluster_226 b/seq/clusters_seq/cluster_226 deleted file mode 100644 index c31c1f4..0000000 --- a/seq/clusters_seq/cluster_226 +++ /dev/null @@ -1,148 +0,0 @@ ->YP_003208109.1 3a protein [Melandrium yellow fleck virus] -MSNLVKPFTGRSSTVTEQVGSSNNNTLISEIFSEKAIKEMASECKLGMYTNLSSDKMFNYIDLVPKTQMS -KLISWTKSEYEQGKVPSTGVLSIPRVLLFIVRTVSSSVSGSITVRLCDSGVIATSGGLDSIDNQECTVQL -SALPALIALSPSYDCPMEVLGGNKGRNRCFGLVTQLNGVVGVTGTVAMTHAYWNASFKVKPNNYKSYSPR -HVSVTAFDRLQAYGKKELKQYIKNISNQNVDHGLLLGSNSNFSNDNVITSVEELDESPVNDSKPKIAMSA -KSIAGVPASAPKPRR - ->YP_233103.1 3a protein [Cassia yellow blotch virus] -MSSVFTPFSGSSRTVAIGQQTGGVDQSSLLSTLFSEESRDQFAKECKLGLYTNLRSSQRFNYVDLVPQAH -GSKVLNLFRTEYEKGRIPSSGVLSIPRVLVFLVRTSSASEGGSVTLRLVDLKGASNLDGLEAVDGTQEAT -VPISDLPAIVCFSPSYDCPMEMLGNRPRCFGLVSQLDGVSSSGTTVMSHAYWSASFRTKPNNYVRHVPKF -VYVTPFERLVKLNRKQLKNYIKGISNQSIDFGYLMGKTVDRVQEVVDITASEEDKESLTSDAVDGGDQKV -VKTERSATAKSVAGLPVSRNTLIFNR - ->YP_009551511.1 3a protein [Sambucus virus S] -MANSSLSPFSGSSRTVVEGKQAGGSSEIDVIQSLFSDQARKEFAKECKLGMYTNLSSKHRFNYIDLVPKT -TGSRALSLFKSEYEKGRIPSSGVLSIPRILVFLVRTCSESEAGSITVKLVDVGSNPGLESMSAVDGTQEV -TLSVSKLPALVCFSPSYDCPMETIGNRNRCFGLVTQLNGTSTTGATLVMSHAYWQADFRLKPNNYKAYSP -GVNFVEAHDRLRSVDRKDLVRYVKGITNRAIDEGPILGNSPSVNEHPVRIVELEEESPPEAPHDSKGYKV -NAVTANTVAGLPVSGTMLTRR - ->YP_002640503.1 3a protein [Gayfeather mild mottle virus] -MAFKGNSRTLTQQSSAASSDDLHNILFSPEPLKKLATKCDLGRHHWMRADNAVCVRPLVPETTSNALTKW -FSSGYEAGELPSKGYMSVPQVLCAITRTVTSDAEGSLRIYLADLGDKERAPIDAQVVSLHNRDLPAIVSF -HPTYDCPMEQLGGISRCFALVIERYGYIGHNGTTASVCSNWQPKFSSKNNNYKPAAAGKTLVLPYDRLSE -LSKPSAVARLLKSQLNMHSSPFFQLPEEAVTQKAIGSESEVMSEKGGHPHAKDYSELQGRGSVPFVVNGV - ->NP_689394.1 3a protein [Spring beauty latent virus] -MSNSLTPFTGSSRTLANGNQAGAAADNELFDALFSEKARNDFAKECKLGLYTNLSSDNRLNFIDLVPKAS -MNTTLSWFKSRYEKGDVPSKGVLSVPRLLVFVVRTTSANETGSVTIKMVDSGSIAQSGTFEPVDGNQSAT -IAISALPAIVCFSPSYDCPLETIGNRNRCFGIATELNGVSSTRGTVVMSHAYWSANLRPKPNNYKMRKAN -VVKIIPFDRLRDLGRDHLKRYVRAISDQTVDYGLGLGSPVDIKEPVHMSTVELVEDSPSEDSSNESSEEI -AVTANSVAGLPVVRSSKLIRR - ->NP_658997.1 3a protein [Broad bean mottle virus] -MSNISSLNPFSGSSRTLVENKQVGASDAESLLKEMFSLEAQKEYAKECNLGRYTELKANRRLNHIDLVPK -VHGMKMLSMFRSEYDKGNVPSSGVLNIPRILLYLVRTSHSSTVGSITIRLVDTYSASDSCLLEAIDGQEF -TVDLSSLPCMIGFSPTYDCKLEMVDGRRRCFGIVTELNGVIGEGHTVAMVHAYWKAMFRTKPGNYTRVKP -AAKFIAPFDRLKQLSSGQLDAFIKGISNNSIDHGYLMGSTINNIKKKTNVKEESPTSDPQSGEVSSMTQS -VPGAADTRIPKPRRR - ->NP_620758.1 3a protein [Tomato aspermy virus] -MAFSGTSRTLTQQSSAASTDELHNILFSRRAIQEMATKCDLGRHHWMRADNAVCVRPLVPETTSNLLTRW -FVSGYEAGELPSKGYMSVPQVLCAVTRTVTSDAEGSLRIYLADLGDKERAPIDAQVVSLHNRDLPAIVSF -HPTYDCPMEQLNGVGRCFALVIERYGYIGHNGTTASVCSNWQPKFSSKNNNYKPAAAGKTLVLPYDRLSE -LSGPSAVARLLKSQLNMSASPYFQLPGIPVAQRAIGSESEDLEKSTGGQPNARVDSEPQVFSKPVVVNGI - ->NP_613276.1 movement protein [Cowpea chlorotic mottle virus] -MSNTTFRPFTGSSRTVVEGEQAGAQDDMSLLQSLFSDKSREEFAKECKLGMYTNLSSNNRLNYIDLVPKN -TGSRALNLFKSEYEKGHIPSSGVLSIPRVLVFLVRTTTVTESGSVTIRLVDLISASSVEILEPVDGTQEA -TIPISSLPAIVCFSPSYDCPMQMIGNRHRCFGLVTQLDGVISSGSTVVMSHAYWSANFRSKPNNYKQYAP -MYKYVEPFDRLKRLSRKQLKNYVRGITNQSVNHGYLLGKPLLKTDEQDPEMIVLEEESLTPTDSNGVGKD -KIAVTAKSVAGLPTASLSINRR - ->NP_049333.1 3a protein [Peanut stunt virus] -MAFSGSSRTLTQQSSAASSDDLHKILFSPDAIKEMATKSDLGRHHCVRADDAVCVRPLVPESTSNKVAQW -FKTGYEAGKLPSKGYMIIPQVLCAVTRTVTSSAEGSLEIYLADTGDVELAPIDDQVVTLHNRDLPALISF -QPTYDCPMEKVGDRSRCFAVVIKRHGYLGHPGSTASVCSNWQPKFSSKNNNYKSAAAGKTLVLPYNRLSE -LSGPSAVARLLKSQLNVQRLPLFQLPGSPIMQKAIGSEHEEGLNCKRKPPLEEDRTTPQDSVGSRPSVVN -GIERPGTLL - ->NP_041198.1 hypothetical protein BMVs3gp1 [Brome mosaic virus] -MSNIVSPFSGSSRTTSDVGKQAGGTSDEKLIESLFSEKAVKEIAAECKLGCYNYLKSNEPRNYIDLVPKS -HVSAWLSWATSKYDKGELPSRGFMNVPRIVCFLVRTTDSAESGSITVSLCDSGKAARAGVLEAIDNQEAT -IQLSALPALIALTPSYDCPMEVIGGDSGRNRCFGIATQLSGVVGTTGSVAVTHAYWQANFKAKPNNYKLH -GPATIMVMPFDRLRQLDKKSLKNYIRGISNQSVDHGYLLGRPLQSVDQVAQEDLLVEESESPSALGRGVK -DSKSVSASSVAGLPVSSPTLRIK - ->sp|Q06938.1|MVP_CMVKI RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAASSGDLQKILFSPDAIKKMATECDLGRHHWMRADNAISVRPLVPQVTSNNLLSF -FKSGYDAGELRSKGYMSVPQVLCAVTRTVSTDAEGSLKIYLADLGDKELSPIDGQCVTLHNHELPALISF -QPTYDCPMELVGNRHRCFAVVVERHGYIGYGGTTASVCSNWQAQFSSKNNNYTHAAAGKTLVLPYNRLAE -HSKPSAVARLLKSQLNNVSSSRYLLPNVALNQNAAGHESEILNESPPFAIGSPSASRNNSFRSQVVNGL - ->sp|Q83268.1|MVP_CMVKO RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAATSDDLQKILFSPEAIKKMATECDLGRHHWMRADNAISVRPLVPEVTHGRIASF -FKSGYDVGELCSKGYMSVPQVLCAVTRTVSTDAEGSLRIYLADLGDKELSPIDGQCVSLHNHDLPALVSF -QPTYDCPMETVGNRKRCFAVVIERHGYIGYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNIESSQYLLTNAKINQNAHVSESEELNVESPPAAIGSSSASRSEAFRPQVVNGL - ->sp|Q66139.1|MVP_CMVFT RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSADTSDDLQKILFSPEAIKKMATECDLGRHHWMRADNAISVRPLVPEVTHGRIASF -FKSGYDVGELCSKGYMSVPQVLCAVTRTVSTDAEGSLRIYLADLGDKELSPIDGQCVSLHNHDLPALVSF -QPTYDCPMETVGNRKRCFAVVIERHGYIGYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNIESSQYLLTNVKINQNARSESEELNVESPPAAIGSSSASRSEAFRPQVVNGL - ->sp|Q66134.1|MVP_CMVS RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAASSDDLQKILFSPDAIKKMATECDLGRHHWMRADNAISVRPLVPQVTSNNLLSF -FKSGYDAGELRSKGYMSVPQVLCAVTRTVSTDAEGSLKIYLADLGDKELSPIDGQCVTLHNHELPALISF -QPTYDCPMELVANRHRCFAVVVERHGYIGYGGTTASVCSNWQAQFSSKNNNYTHAAAGKTLVLPYNRLAE -HSKPSAVARLLKSQLNNVSSSRYLLPNVALNQNASGHESEILNESPPIAIGSPSASRNNSFRSQVVNGL - ->sp|P03604.2|MVP_CMVQ RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGPSRTLTQQSSAASSDDLQKILFSPDAIKKMATECDLGRHHWMRADNAISVRPLVPQVTSNNLLPF -FKSGYDAGELRSKGYMSVPQVLCAVTRTVSTDAEGSLKIYLADLGDKELSPIDGQCVTLHNHELPALISF -QPTYDCPMELVGNRHRCFAVVVERHGYIGYGGTTASVCSNWQAQFSSKNNNYTHAAAGKTLVLPYNRLAE -HSKPSAVARLLKSQLNNVSSSRYLLPNVALNQNASGHESEILKESPPIAIGSPSASRNNSFRSQVVNGL - ->sp|O36639.1|MVP_CMVAS RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAATSDELQKILFSPEAIKKMAAECDLGRHHWMRADNAISVRPLVPEVTHGRIASF -FKSGYDAGELCSKGCVSVPQVLCAVTRTVSTDAEGSLRIYLPDLGDKELSPIDKQCVTLHNHHLPALVSF -QPTYDCPMETVGNRKRCFAVVIERHGYIGYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNMGSSQYVLTDSKINQNARSESEELNVESPPAAIGSSVASRFESFRPQVVNGL - ->sp|P23626.1|MVP_TAV RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFSGTSRTLTQQSSAASTDELHNILFSRRAIQEMATKCDLGRHHWMRADNAVCVRPLVPETTSNLLTRW -FVSGYEAGELPSKGYMSVPQVLCAVTRTVTSDAEGSLRIYLADLGDKERAPIDAQVVSLHNRDLPAIVSF -HPTYDCPMEQLNGVGRCFALVIERCGYIGHNGTTASVCSNWQPKFSSKNNNYKPAAAGKTLVLPYDRLSE -LSGPSAVARLLKSQLNMSASRIQLPGYVFNVRYVVSLRILRNRQSQPNARVDSEPQVFSKPVVVNGI - ->sp|Q83250.1|MVP_CMVM4 RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAATSDELQKILFSPDAIKKMAAECDLGRHHWMRADNAISVRPLVPEVTHGRIASF -FKSGYDAGELCSKGYMSVPQVLCAVTRTVSTDAEGSLRIYLADLGDKELSPIDKQCVTLHNHDLPALVSF -QPTYDCPMETVGNRKRCFAVVVERHGYVGYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNIESSQYVLTDSKINQNARSESEELNVESPPVAIGSSSASRFESFRPQVVNGL - ->sp|Q66119.1|MVP_CMVIX RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAATSDELQKLLFSPEAIKKMATECDLGRHHWMRADNAISVRPLVPEVTHGRIASF -FKSGYDAGELSSKGYMSVTQVLCAVTRTVSTDAEGSLRIYLADLGDKELSPIDRQCVTLHNHDLPALVSF -QPTYDCPMESVGNRKRCFAVVIERHGYIEYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNIESSQYVLTDAKINQNARSESEEIIVESPPIVIGSSSASRSEAFRPQVVNGL - ->sp|O40982.1|MVP_CMVC7 RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAATSDELQKILFSPDAIKKMATECDLGRHHWMRADNAISVRPLVPEVTHGSIASF -FKSGYDAGELRSKGYMSVPQVLCAVTRTVSTDAEGSLRIYLADLGDKELSPIDGQCVSLHNHDLPALVSF -QPTYDCPMETIGNRKRCFAVVIERHGYIGYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNIESSQYVLSKAKINQNARSESEELNVESPSFAIGSSSASRSEAFRPQVVNGL - ->sp|O40979.1|MVP_CMVNT RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAATSDELQKILFSPEAIKKMATECDLGRHHWMRADNAISVRPLVPEVTHGRIASF -FKSGYDAGELSSKGYMSVPQVLCAVTRTVSTDAEGSLRIYLADLGDKELSPIDGQCVTLHNHDLPALVSF -QPTYDCPMETVGNRKRCFAVVIERHGYIGYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNIESSQYVLTNAKINQNARSESEELNVESPPIAIGSSSASRSETFRPQVVNGTL -VFS - ->sp|P22117.1|MVP_PSVJ RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFSGSSRTLTQQSSAASTDDLHKILFSPEAIKEMATKCDLGRHHWLRADDAVCVRPLVPETTSNKVAQW -FKTGYEAGKLPSKGYMIIPQVLCAVTRTVTSNAEGSLEIYLADLGDVELAPIDDQVVTLHNRDLPALISF -QPTYDCPMEKVGDRSRCFAVVIKRHGYLGHPGSTASVCSNWQPKFSSKNNNYKPAAAGKTLVLPYNRLSE -LSGPSAVVRLLKSQLNMQSSPLFQLPGGPIMQKAIGSEREEGLNCKRKLPLEEVCTTSRDSVSSRPSVVN -GIERPGTL - ->sp|Q00272.1|MVP_CMVM RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MAFQGTSRTLTQQSSAATSDDLQKILFSPEAIKKMATECDLGRHHWMRADNAISVRPLVPEVTHGRIASF -FKSGYDVGELCSKGYMSVPQVLCAVTRTVSTDAEGSLRIYLADLGDKELSPIDGQCVSLHNHDLPALVSF -QPTYDCPMETVGNRKRCFAVVIERHGYIGYTGTTASVCSNWQARFSSKNNNYTHIAAGKTLVLPFNRLAE -QTKPSAVARLLKSQLNNIESSQYLLTNVKINQNARSESEDLNVESPPAAIGRFSASRSEAFRPQVVNGL - diff --git a/seq/clusters_seq/cluster_227 b/seq/clusters_seq/cluster_227 deleted file mode 100644 index 5006c19..0000000 --- a/seq/clusters_seq/cluster_227 +++ /dev/null @@ -1,228 +0,0 @@ ->YP_009273018.1 RNA dependent RNA polymerase [Arabidopsis halleri partitivirus 1] -MKNTVVLEPLPSLARPIYGDTDPGRNPAYQSTVDHALRRLLTAEEFNIVVNGYRRSPWNEDALTADIEKL -NSDYHHVNKDEHYYKAIEHTKKLFTPKEKLRPVHFNDLRHYPWQLSTSIGAPFATSEKWKDYINQKYDGK -LKSRDFKDLFKETHGVSLEPYMIDRRLSKRNFYNEMFYINRINIHHIKDGWTTNPAGHDLRYWHTAHARQ -HLVEAGDEDKVRLVFGAPSTLLMAELMFIWPIQTSLLARGSSSPMLWGYETTTGGWSRLYNWAYSALPRF -GAVATLDWSRFDKDARHTVITDIHDLIMRPMFDFNSGYHPTIINPRSNPDPQRLENLWNWMKNAILTTPL -LLPDGTRLQFQHSGIYSGYFQTQILDSMYNCVMIFTVLSRMGFDLNSVAIKVQGDDSLILLSHSYTFLQH -SFLTTFAHHAAVYFGSTLNVKKSELLPSLEDAEVLRYRNHGMMPYREELQLLAMLRHPERTASLSALMAR -SIGIAYANCGNYTRVHHICEDIHNYLKGIGVKPDAFGLPGGLRFRKNYLPSYEEIDISHFPTWLETVERL -LDPSRPLLTNKHWPTTHFFGIPGES - ->YP_009551597.1 RNA-dependent RNA polymerase [Medicago sativa alphapartitivirus 1] -MKNIEIIGYKPSLAKPIRGNVDPNSNINYGNIVDYALRKYLTNEEFTIVTRGYRRSQWAEDSLKSDLDKL -DSDYFPVLKDTHYYNAIEHTRKLFKPDSLLKPIHFSDLRHYPWQLSTNIGAPFATSKSWNEYVIQKFDEG -FTKSYYRDLFREAHGESLLPEMIDRRMTKRNLYNEMFFINRTNIHLIKDGHTTNSSGHDLKYWNTAFARQ -HLVESHDEDKIRLVFGAPSTFLMAELTFIWPLQTSLLYQGERSPMLWGYETTTGGWSRLYKWASSRMPRY -DFVATLDWKRFDRDARHTVISDIHQLVMRSYFDFNNGYHPTIHYPDSTGANPQRIENLWNWMTDATLTIP -LMLPDGKILRFKHSGIYSGYFQTQILDSMYNCVMIFTVLSRMGFDLERVEIKVQGDDSIFLMCYPFITLQ -NTFLQMFAHYAKIYFGSTLNIDKSEILPSLENAEVLKYRNHGTMPYREELQLLAMLRHPERTVSLPSLMA -RSIGIAYANCGFHSRVYQICEDIYNFLKAGGYSPDPHGLPGSLRYRQNYVPGYSEVDISHFPSYFETVRL -LQEPTRDLVSEKHWPLKHFIGIPGKS - ->YP_009362092.1 putative RNA-dependent RNA polymerase [Bipolaris maydis partitivirus 1] -MLNNLVLGIKRGLAKAIRGNAQDNHVIEAYHHVVLHALNRFLPQHEVYEIVHGYRRSVFDENALNRDIQK -LNSEDHPVPKDEHYWNAIRKVQQIFTPDVLLQPVHFADLRHYPWKLSTSIGAPFATSKEWNAYVVDKFHG -YENGFDESTFLKHYHRDLFAEAHSGISLDPPMIDARMSKRNLYNEMFFINRKHIHIIKDGRKTNDSGHDL -RYWHTAFARQHLVKQDDPDKVRLVFGAPSTSLMAELMFIWPIQAWLLSLKERSPMLWPFVTLTGGWHRLV -NCFQKFCPNFGLVASVDWSGFDRYARHSVIRDIHSNIMRPMFDFSKGYHPTRDYPETQDTDPDRLENLWN -WMCDSVLTTPLMLPDGTLIRFQHSGIFSGYFQTQLLDSIYNLVMLFTIFSKMGFDLDNIYAKVQGDDSII -CIVCSFLMVSHWFITMLKHYANYYFGAIVNDKKTEVSDTLEHVEVLRYRNRGGIPYRERIELLAQLYHPE -RAITYQALMARSVGIAYANCGSDPRVYQICENIHQYLSKLGVKPDPAGLPSGVRFVQDYLPGQTAIDVQR -FPSYFETVSRLLDGYEEQPSESYWPRSHFIGIPGRT - ->YP_009272944.1 putative RNA-dependent RNA polymerase [Sophora japonica powdery mildew-associated partitivirus] -MASTNLLRLGKIPRSKKHNDPLSRSLRINRIRQGIIKRAIYKICPINLARQVIFGFKRSEGSDDVAETDF -LRSDVPYFDMKRDFHYLRALRVCERLFRPSRTLHPIAFPDLRFYPWSLSVSAEAPFSVEKKRSTLIRSRQ -SDGEDLDGRLTFHNLYNEIFELNRNLIHQIKEGDKSFWNKDGTPRPYWYNTLHTRPHLVKSSEPDKLRAV -FGVPKLLLMAENMFIWSLQKEYLNQKIQSPMLWGFETFKGGWLKIWNRMYSKKCSTFLSADWSGFDRFAL -FECVDDIHRMWRNWFDFSKYEPTIAESGPPGIQLSYPKSKTNPQKIERLWNWMCYCSKYTPIKGQSGQLY -QWQYNGIASGYQQTQLIGSFVNSIYMLTCLSDLGINIESDNFQLFVQGDDSLTEFSEIIQKDDLPKFLTN -LAKVAKSRFNANLSVQKTTAGESLNDVEVLSYNNTYGIAFRDEAELLAHLLYPERFQTLEATVSCCIGIA -YASMGCSQYVDDTCLDAYNFLTTQFKVKPDSNFLQDFFRIRGSPLTDDFHNPRFPTKDECFNQNYEVRSR -SDSEKQRLWPSIPTGDYGFHFINE - ->YP_007419077.1 RNA-dependent RNA polymerase [Rosellinia necatrix partitivirus 2] -MKNITDLPFPSKGVPQFRDALPIHGRDPEVPNPVTDASNRIIDFALRKHLTSDEFDQVVNGYRRSPWNED -ALNKDIEKLDSDEHTVIKDQHYENAIQHVQKLLTPEKPLQPVHFADLRRYKWRLSTNIGAPFASSKHWQD -YVKAKFNHFRDGTPFENIAHRDLFVEAHKDSQPLEITDARMTKHNLYTEAFYVSRETIHRIKDGETTDRY -GNDARYWNTAFARQHLVKADEDDKVRLVFGAPFSLLCAELMFIWPLFIFLLSLKGSTAFMLWTFETIIGG -WYRLVNFFTTYALRHSTVVTVDWSGFDRYARHTVIKDIHHRIIRPMFDFSHGYHPTRDYPDTSKTKDGQS -NEWRITNLWNWMTDAILSTPLLLPNGRFIRFNHSGIYSGYFQTQILDSIYNLVMIFTILSRMGFDLDKCV -IKVQGDDSIFMLLCCFIMISTSFFTLFKYYAEYYFGAKLNEKKSEIRPSLQDAEVLKYRNRNGIPYRDRI -SLLAQLRHPERRTDVDSVAARCIGIAYAACGQDATVYLICEDIYNYLVKKYDAHARQGELDFMFRHLELH -DTVPSAATFPSWFDTMAHLTDGPRDPVPSHWPTDYFIGLPGRL - ->YP_003082248.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum partitivirus S] -MPALNLRYLFSISRSEMKKIRSSHTKVSPREEATRFSILKHAILKHGSVGLLNQVLLGKRRSDASDERLI -QDFHEFEQPVHPVPRDKHYLRALRVTEKLMKPAKTLHPISFPDLRYYPWTKNVSAEAPYNFEKKYEELLR -DKQRLGEIETATATFHNLEDEIFEDNRYLIHKIKEGDSQFWDKDGKPRPYYHTTLHARAHVVGHEDADKI -RAVFGVPKLLLMAENMFIWPLQAYYLNQDTTKHHLLWGNEIMKGGWKKLWGQLQNGRISRTILSLDWSEF -DKRALHEVIDDVHSMWKSWFDFTHYEPTIFYEKGEIPEPHRRIENLWIWMTDMVKHYPILQPDGKVYQWT -RNGIASGFQQTQLLDSFVNMIMLLTVLSANGINIEHPDFWIKVQGDDSLISIVERRFQMFGISYLDTLAD -LASYYFNAKLSVKKSFISDTPQGQYVLGYFNHYGIPYRLDDDLLSHLVFPERPQRLEETAASCVGIAMAS -MGCSKVVYSICDDAYTFITKTLRRPAKAGSLFWLERAFGYEMPNIAKMPTFEECLYASYDIPVRTENMKQ -RLWPTNAKAKNGFYFLRHLC - ->ALM62247.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated partitivirus 2] -NQNGTETKSKSNNKQHTHNTMHATNLQVVGHLPKNTKVFAFHQTRQDALRNMSEKLVKKAIHMECTPELA -QQAIHGYRRSKGGDAIAEEDFKKSDQPYHPVPRDFHYRKALATCERLFRPSRRLKPIAFPDLRYFPWTLN -VSAEAPYSYSQYWARELRRMQAEGITLDSKPTFHNLYDTIFHVNRSHIHSIKFGLYPFWDQETGEPIPYK -WNTLHARSHTVKADKDDKIRAVFGVPKLLLMAENMFVWNLQKEYLNKRVKSPMLWGYETFKGGWQKLWRD -LFKTNFSSVLSADWSGFDHNALHEVIDDVHRMWRSWFDFDQGYEPSKSDTHDYHASQTEEWKIQNLWDWM -THSVKQTPILGFSGTIYKWSFNGIASGFQQTQLLDSFVNAIYLLTCLSAQGIDINAPGFNLFVQGDDSLT -TFQERVFQFGGKTFLQKLAKEAKIRFNAILSVDKTSHGTSLNDVEVLSYRNNQGIATREPAELLSKLLYP -ERAKRLGGTAASAIGIAMAAMGSSRQVYNTCKNVYDFIVNELHVEVDFKEFRAYAATHRSAAEEALSLQP -TYFPTFEETLGYNFDYSVRTESEKQRLWPTKPTGNGFHFLVD ->BAM36403.1 RNA-dependent RNA-polymerase [Rosellinia necatrix partitivirus 5] -MFFKHTMHRFNKRTTTLRPTKHETPHSNIRYIGTPKQRYQKPDYRQELKEEAERERAEWLERQMRKIYFD -EEVNQVLTNRRSEYSDERLIADFKESDQPLHPIPRDSHYDRAVKMTAEHFRPARELNPVHFPDLRYYPWP -LSISAETPWTSEGFRFVPAFRDLDSETTKPKFGGAKQRAEKWKRFAHFVYRNFGSGSINVLQYLKLKQRF -GLIDNSRTTFHNLYDEMFQYNRGRVHNIKDGEGAFWKDGKPQPYFWNMLHARSHVVAQDEPDKIRAVFGA -TKLLLMIELMFIWPLQASYLNGGSGRMLWGYEMTRGGWKKLYNEMHKRQPFNTILGIDWSKFDKRLLHEL -IDQVHQIWRSYFSFDRYEPTSKYPNAKTDPARLERLWRWMCHAIRRTPIMLPNGELWEWFWNGFGSGYQQ -TQLMDTFCNCIMVYTCLSALGINIESDRFWSKFQGDDSLIGMLERMYLIYGNHFLDMLADSAMHYFNAKL -NVKKSDIQDRVTGMTVLSYSNKFGICSRTEEDLLRHLYFPERERDYAKLAASAVGLAIAAGGEHERFHRL -CEKIFVHITKKWNLRPKWKAIQWMIRAHHFGSEEELYRAKFPDRLKLMSEVYAYKERSESEKQRQWPTYA -KEEGDFYFLEPVQPASV ->AFX73022.1 RNA dependent RNA polymerase [Grapevine partitivirus] -MASTNLLRLGKAPERSHYNEPLFRRTRSNHVRTGIIKKAIYKICSSELADKVIHGYRRSEGGDDIAETDF -QRSDVPYFDLKRDFHYLRALRVCEKLFRPSRTLHPIAFPDLRFYPWTLSVSAEAPFTVEKHWRTKIQQRQ -ADGEQLDGRLTFHNLYDEVFQLNRHLIHQIKEGDKSFWNLDGTPKPYWYNTLHTRSHMVKSNEPDKLRAV -FGVPKLLLMAENMFLWNIQKEYLNQKINSHMLWGFETFKGGWLKLWNRLYSKKCSTFLSADWSGFDRFAL -FECIDDVHNMWRSWFDFSKYEPTRANSGLPGIQLSYPHSKTSPEKIERLWQWMCYCIKYTPIKGQSGQLY -QWQFNGIASGYQQTQLMGSFVNAIYILTCLSELGINIESENFDLLVQGDDSLTAFPEIYTDQEFPIFLKD -LETIAKRRFNANLSVDKTAIGDSLNSVDVLSYSNVQGIAFRDEAELLAHLLYPEKFQTLEATASCCIGIA -YASMGCSKVVYDVCHDAYSFLTNSFHIDPDVNYLQDFFRVRGSPLTDDFRIPRFPTFDECFSQNFEIRSR -PESEKQRLWPTIPTGEFGFRFINN ->ABJ99996.1 putative RdRp [Vicia faba partitivirus 1] -MKNITVIGNLPNLAKPIYGGSTADTNPAYAETVDHALYKFLSPEEAELVINGYRRSPWNDEALKDDIAKL -DSPYFQVTKDEHYYKAIAHVKKLFTPDTPLKPVHFADLRHYPWQLSSNIGAPFATSPEWQDYINKKFLTG -QTAENYRDLFKEAHHTDLYPKMLDRRMTKRNLYNEMFLINRKSIHIIKNGRTTNDHGHDLKYWNTAFARQ -HLVEDDDPDKVRLVFGAPSTLLMAELMFIWPLQVSLLARGPQSPMLWGYETLTGGWSRLFSWASDTMPRY -STVLTLDWSRFDKDARHTVIRDIHAMIMRPMFTFTEGYHPTISYPNAPDTNPDRLENLWNWMTDAILTVP -LMLPDGQLLQFNHSGIYSGYFQTQILDSIYNCVMIYTILSRMGFDLDKFCIKVQGDDSLMISPYNYTLLE -PSFLKTFGDYAKEYFGSTLNLKKSEILPSLDGAEVLKYRNHGTMPQREEIQLLAMLRHPERTASLPSLMA -RCIGVTYANCGNFNRVSRICEDIYKFLQAGGFTPDPFGLPGGLRYRQNYIPSYVPIDLSHFPTYFENVEH -LQDPHKPILSEKHWPN ->ALT00589.1 RNA dependent RNA polymerase [Raphanus sativus partitivirus 1] -MKNTLQIGYLPNLARPIHGDSDPGRNPAYQTTVDHALRRFLTLEEFETVTSGYRRSPWNEDALNSDIEKL -DSDYHSVSKDSHYYKAIEHTRKLFTPREKLKPVHFNDLRHYPWQLSTSIGAPFATSDKWKNCVNQKYDGT -LKTQEFRDLFRDAHGISLEPRMIDRRLSKRNLYNEMFFINRINIHRIKDGWTTNQNGHDLKYWHTAHARQ -HLVEANENDKVRLVFGAPSTLLMAELMFIWPIQTSLLARGEESPMLWGFETTTGGWSRLYSWAFTISPQF -GSVATLDWSRFDKDTRHTVIQDIHRLIMRPMFDFNSGYHPTYGNPTTNPDSSRLENLWNWMTDAILSTPL -LLPDGRLLKFQHSGIYSGYFQTQILVSIYNCVMIFTVLSRIGFDLNSVALKVQGDDSIILLNHHYNYLRP -SFLQMFAIYASEYFGSKLNEKKSELLPTLENAEVLRYRNHGMMPFRDELQLLAMLRHPERTASLNALMAR -CIGIAYANCGNSTRVYKICEDIYYYLKGIGITPDAFGLPGGLRFRKNYLPSYEEVDITHFPSWLETVEHL -MDPKRPLLTNKHWPETHFIGIPGKS ->AAP74192.1 RNA-dependent RNA polymerase [Oyster mushroom isometric virus II] -MLNIQILGSFKSAAKAIVGHLTTPLRVETYNNIVDHALRRFLTPAETDEVINGYRRSVWSEDSLMNDINK -LNSEEHSVPKDEHYWNAISHVQKMFTPEVPLQPVHFTDLRKYPWQLSTSVGAPYATSKSWQNYVRESLMA -TRTATRPLNSLNPTTAIYLLKPTKVNLSILRCSRSNDETKPLQRIVLHHKKTDPLKIYDIRMTKHNLYSE -AFFHARKNIHYAKLGLRETDSGHDFRYWNTAFARQHIVEKKPGILAQPKVRLVFGAPFTLLTAELIFIWP -LQTHLLLMQDFSPMLWGYETILGGWYRLRGHLSGKIDTDKLVATLDWSGFDRYARHTVIKDIHTKVMRPC -FDFTNGYHPTHRYPISTDVDADEQPVSTRLENLWNWMTDAVLTVPLLMPDGTMIRFTHSGIYSGYMQTQI -LDSLYNMVMIFTMVSRLGFDLDKVVIKVQGDDSIFMLLCCFILIANWFLTMFKHYALYYFGAVLSDKKSE -IRDSLEHAEVLKYRNRNGIPYRDPIALLAQLRHPERSDTLQALMARAIGIAYANCGSDPRVYQICEDIYL -YLANKGFTPDAKGLPSGLRFIQTHLPGGDETIDISRFPTYYETVLPAHEH ->AND83003.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani partitivirus 1] -MKFQVLKNLVFQERIKLARRPGFNAWEWPTDQKLRRDEWLLRMIRRRYLEADVQKMLTNRRSLGTDEAVV -KDFFTNEFPKHHIVRDEHYERALRVVAEQMKPNRTLHPVSFPDLRAYPATLNVSAELPWTDPTWKFTPQG -RDVDAETGQPRVRLPNQTKLRKFANGTTVPAYLRWKQELELIKDSDTSYHNLYNEIFDLNRRLVHQIKHG -YHPFWKNGKPVPYQRLKLHLRTHVVSEDKPDKVRAVFGAPKLLLHTELMFIWPLQATYQNTDAGRLFWGR -EIGRGGWKQLLHEMHSHTQNTYISMDWSGFDRRLLHELITDVHKIWRTYFDFTSYEPTTRYPNPEVDPAT -IENIWNWMTNAILQTPIELPNGEVWSWRHNGFGSGYQQTQLMDTFCNMIMTYTVLSKLGVDIESPLFKSR -FQGDDAILTFPEPMYFIYGRNFLSMMKKEAELYFNAKLSDDKSGIGDHPNSLYALGYNNRYGMPYRTDED -LLSHLFFPERPQDYGRLAASAMGLAHASLGCSKQFYDLCQDIWNAIVIEKQIQPNWKQLKWMKRAGMEEV -LESLQTGEFPEYNDLLAAGITTVERTEREKQKSWPTIPKGLTG ->APG78273.1 RdRp [Hubei partiti-like virus 28] -MSNLKHLGFYPDRAPSSHPSYQSYRHVNPVLKAMSKHLTNDQMSEIVDGYRRSDFSQDALIADYFRGEVP -KHNVIKDEHYLKAIKVTTELFKPPRQYRPVSFPDLRYYPWPLPTSAEAPYSSSPYWRKYVRVKHQLHEIE -NERVSFHNLYNEIFRHNREKVHRIKDGIYHDREGNDLKYWNQAHARSHLVKKDDPDKIRMVFGVPKLLIM -VECMFLWPLINDLMNRNGPMLWGFETLRGGWYAIYKWLSTSEPRDGTYLALDWKQFDKRAQFTVIDDVHK -IIESYINFEDGYVPTFDYPDSITNPERLRNLWRWMCDAIKHTPDILPDGNMYQRQHAGIASGFFQTQLLD -SMYNTVILLTSLSALGINIENLKIKVQGDDSLIAIPQLIPEPLHSSFLEVLADKADTYFGAVLNTKKSRM -SSRLEGLPVLGFTNTFGIK ->BAQ36631.1 RNA-dependent RNA polymerase [Fusarium solani partitivirus 2] -MTNLNFLRTLETSFFGSHVREKFAEFQDFRLDWLVNRALRFFAKEEVDQALSNRRSEHSEEALINDFMSF -EQPYHPIPKDDNYYRAVARTTELFQPDKPLHPVSYPDLRYYPWKLKPNAEAPWNIPDFTFTPTFRDLDDE -SETPKLEENLSRLSNWMSDRVVSVRQYLNAKYNIRMIDNQFPKFHNLYNEIFQYNRTLVHQIKEGHPAFW -KHGTPKPYFWTTLHARSHVVGKDEPDKIRAVFGVTKLLLMIENMFIWPMQALYLNNPSKGRLLWGREMIR -GGWRKLFNEIHENGKPNTFLSLDWSQFDKRLLFELIDDVHKIWRTYFDFSRYQPTSFYPNANPRDVQRLE -RLWQWMCYSVKHTPIRLPNNELWSWNYNGFSSGFQQTQLMDSFANMIMILTSLASLGINIESDNFWIRVQ -GDDSLIALYEQIWLSYGPNFLTMLGDASAFYFNAKLSVKKSQISDHLNGMSVLSFFNDFGLPYRTEEDLL -RHLFFPERNQDLARTASAAMGLAYAASGCSPRFHSLCEYIWNKLVLEKGFSPSEESIQWLERAGVFTELD -IKAMLSEDFPTAKMLRANVWSHTPRSVQERERLWPTKPGTRARFFFLT ->BAH08700.1 putative RNA-dependent RNA polymerase [Flammulina velutipes isometric virus] -MCLTNLLYIGDRVIRSSTGKIIKPMTQHVDLFQKMTRDRIVRKAFYKFLHPYEVDEICNGFRRSHGGPEA -TDEDFMSYDQPEHKVDRDQHYRKALRKVAEAFRPPEKFKPVHYTDQRYYPWELAVSAESPYNIGQWYDDY -LKRLQRELPPGEKFTYAKTFHNFYDKIFVDNRLNIHKIKDRHPDFFDAATGLPKPYYWTNLRSRFHVVKA -DEPDKIRAVFGVPKLLLQAEQTFIWPMLSHYLDNSDRYPLLWGNEMMSGGWRKLNRTIYNGRTVNTVLSL -DWSQFDKRALHEVIDDVHDIWRSYFDFSFYMPTSFYPNAPTDESRLENLWEWMTYNIKKYPIRLSSGKLS -QWRFNGIASGFQQTQLLDSFVNMIMILTCLSRAGVNINSKDFFISSRGRFTYRTFPEMMYQLHGMKFLDM -IANNAMYYFNAKLNVDKSEINWNTNGMKVLGYPNDYGTPRRTDQDLLSHLFFPERIYSLPSLASTCIGIA -YASCGSNPKVYAICQDIFLFLRNVFDVAPDVVTNRWMFRAGLLNYDEFTSMTFNSFPSMLELQARTLGQP -TRSLATRERLWPTLPESKNGFHFLCKP ->APG78246.1 RdRp [Hubei partiti-like virus 26] -MSNLLYVGNNKDKAPMAHPSYLSYRQHYNIVKFALNKIYGKEDADKISTSYIRSDFSEQAIVADYLRGDV -PKHPIIHDSHLEHGIKLTTQAFSPSHKYRPVSFPDLRYYPWTLPTSAEAPYSTSPYWKEYVQAKYRIGLT -DNNRLTFHNLYNEIFVANRLNVHRIKDGYTTDIHGNDLKYFNHIHARSHLVETGKEEKIRAVYGVPKLLL -QVECMFLWPLINDLLNRKNGPMLWGSETLQGGWYQIYNWISSSEDHYRTFLAFDWKQFDKRIQFNLVDLA -HNIFYSFIDLEHGYVPTQDYPETWTEPERLNRLWRWMCDAIKHTPDILPNGDTYIRQHAGIASGYFQTQI -LDSICNYIMLTTCLSEMGINIEAALIKVQGDDSLIALKEYIPPSHYASFKEQYAHIAKQRFGSILNESKS -SISNSLNGLPVLGFTNIGGFPTRSDTELLASLLYPERKSDENRLMARTIGIAYANCGYHPKVYRVCEDIY -NYLHKLGFTPNLAGMPQLITSTLDWRESLGFNTSLAFPTYFETIRRLSDVPSRSESQKRKMWPQQFISNH ->ANQ45203.1 RNA-dependent RNA polymerase [Rose partitivirus] -MRNTVVIGHRPVLAKPLFGNPDPGSNPAYGDTVDHALKRHLSPEEFDIVVHKYRRSPWNEDALKDDIAKL -DSNEHPVLKDEHYYRAIEHVKKLFTPEEKLRPVHFADLRYYPWQLSSNIGAPFATSKKWQEYVNDKFTAG -QTAPQVRNLFQEAHGTPLEPEVIDRRMTKRNLYNEMFLINRKNIHLIKDGRKTNDSGHDLRYWHTAFARQ -HLVEHDEPDKVRLVFGAPSTLLMAELMFIWPIQVSLLARGPDSPMLWGYETLTGGWSRLHTWATKAQPRL -GSVLTLDWSRFDKDARHTIIKDIHSLIMRPMFTFDNGYHPTVYYPETPETDPNRLENLWNWMTDSILTTP -LILPDGKILQFMHSGIYSGYFQTQILDSMYNSVMLFTILSRMGFNIEKVAIKVQGDDSIILMPYQYTVIK -DTFLQFFSAYAQEYFGSTLNMKKSEILPSLERAEVLRYRNHGTMPERDELQLLAMLRHPERTSSLPSLMA -RAIGVAYANCGNHTRVYQICEDIYKYLAKGGFKPDPFGLPGGLRYRQNYIPSYVQIDISHFPTYFETVMH -LQDPHRAILTNRHWPTDHFIGTPGKS ->ANR02695.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani partitivirus 1] -RWKHSQGLIEDSAVSFHNLYNEIFSENRFRIHQIKNGAKEFWTEKGEPIPFQRLKMHLRTHVVDFDKPDK -VRAVFGAPKLLTMAELMFIWPLQASYQKNLDIGKMFWNREIGNGGWQLLLQEFHSFTSNTYISMDWSGFD -RRLLHEMIDDVHGIWRSYFDFSRYEPTQMWNDTTVNDPERIERLWKWTCHSIKHTPIELPNGQVWEWTHN -GFGSGYQQTQLMDTFCNMIMTYTVLLSLGVNVRGKHFKSRFQGDDAILAFPERKFQMHGKDFLTQMADKA -KYYFNAILSDEKSTIGSHPNSLYALGYGNRYGMAFRTNEDLLSHLMFPERPQDLGRLAAS ->APG78241.1 RdRp, partial [Hubei partiti-like virus 27] -MSNLKYIGTFTDRAPSSHPSYQSYKHINPVLKAMSKHLTQQEMDSIVHGYRRSDFSTDALTADYFKGEVP -KHPIIRDAHYNKALKVTADLFRPKNKYRPVSFPDLRYYPWPLATSAEAPYSNSKYWRKYVRVKRDLNMVE -NERVTFHNLYNEIFRHNREKVHRIKDGIFVDRQGQDLKYWNHAHARSHLVKTDDPDKIRMVFGVPRLLLM -VECMFLWPLVNDLMNRNGPMLWGFETLRGGWYAIYKWLSTTEPRDGTYLAFDWKQFDKRAQFEVIDDIHK -IMEDYIDFENGYIPTFDYPDTITNPERLRTLWRWMCNAIKHTPDILPDGKMYERQHAGIASGFFQTQLLD -SMYNTVMLLTTLSKLGVDITRIKIKVQGDDSLIALPRVIPECISDSFISMFAETAKNYFGATLNLKKSKL -KTSLEGLPVLGFTNHFGIPVKDAFELLANLLYPERKSDENRLMARAVGIAYANCGYHPKVYRICEDIFSY -LKEHGFSPNTAGLPHLILAMEDWKETLLIDGELTFPSYYDTIAHLNQIPQRSKRQKEKSWTTTQFIS ->YP_009130618.1 RNA dependent RNA polymerase [Black grass cryptic virus 2] -MTIEAQYEDMLKMNQPPVHIVQDENFTTAFNLTMKAFAPTEKVRIVHYVRTKHYPWPLSSSVERPFSTDP -KVIKYVKQKCINGEIENERMSFHNTFDYVYDSSRLVIHNIKNGKCFTGDLTKDHLYPIIAHFRPGLGKPG -SSAIKNRLVWGVSKIFLIAECMFMYPLFDNYLRNGKTPLLWKYETALGGWTKLYNEIQPQIANTNATIIT -ADWSEFDRRVPFELIEMVINASLEYYDLGHYAPDYLYHTESNCKYEIPRILDLFKWTIYATLSSPLLMPD -GRVWMRTRNGVPSGMFRTQWLDSIINGIMITTILLDAGFKVTEKFILKVLGDDSLTVLFHFVPQAKHEDL -KSFLAEKALLRFNAKLSLEKTEISNSLYGVELLGYRNRNGAAYRDHRKLLAQLLFPESEAPTYASLMGRC -VGIAYADLGRSKLLYRVCKKIFERLSAAGYRPDSRQIHRYLSGSKFVETRMEIQINKFPSTLEIQKWSRT -PYNRTKSDNDHYWPPEIFWPHFTGP ->APG78228.1 RdRp [Hubei partiti-like virus 25] -MSKPTLNGNMIYLGHFPERAPTSHPSYLSYRHVNPVTHSIQKHLPCEDVNDIIHGYRRSDFSTEALVEDY -HRGDVPKISIKKDEHYLRALEECTRRFKPKNKCRPAHLNSVTRYPWKWQVSAEAPFSANPRMKEYIDKKF -NKGLIPDHRLTFSNLKDEIFEFAKTRLHRIKAGAKKDKFGEDLRYWNHAHARSHLVKATDPDKIRMVFGV -PKMTVIAECMMLWQYINTLLTPEGPMLWGYETLKGGWYGLYNWIYSSDSKPRTFLAFDWKQFDKRVQFEQ -IDDAHKILRSFLDFENGYVPTKLHPTSNVTPERLENLWNWTCNAVKTTPEVLPTGDCYQRSHAGLPSGAF -QTQLLDSIVNMIMLLTVMSRMGLDIQKAKIKVQGDDSIIGLLENIHPMAHEAFKQTFADYANEYFGSILN -TKKSEMSNTLNRLPVLGFTNISGYPYREQNQLLASLLYPERKSDENKLMARCIGIAYANCGNHHNVFKIC -EEIYNYLKSKGFSPNAAGLPDMFRLGITSLQIGIKPTEPLVFPSFYDTIKYLMQVPQFSEDVKRRHWPPD -LFEIE ->BAT32942.1 RNA-dependent RNA Polymerase [Rosellinia necatrix partitivirus 7] -MPNLTFIRKLNNYEMPKRYDDDRQQSMDFRNQWLERQMRKFFTKEEIDQVLTNRRSDYSDEALINDFKRC -EHPYHEIPLDYNVQTAIDAVTEQFRPNRVLHPIQYPDLRYYPWTLNVSAEAPWTSYNFHFVPMDRSVDFE -STQPKLIFDINQVNKLRKFSKPTDVKTYLRWKQQVGLIENDHITFHNLYDEIFIYNRPLIHQIKEGEEPF -WKDGQPVPYLWNTLHVRSHVVAHNEPDKLRGVFGATKLVLQTEQPFIWPLQASYLNTDAGRLLWGREMSK -GGWRKLFSEIYTFGPPSTVLSTDWSQFDKRLLHQLIRIVHRIWRSYFDFTRYEPTNQYPNANPRDPKRLE -RLWDWMCNAITDTPILLPNGEIWRWQWNGFGSGYQQTQLMDTFANAIMIYTCLTALGVDVTNPKFWARFQ -GDDSLVAFFEQMFRIYGNDFLIMFSAVAEKYFNAKLNVKKSSILGQAHMATVLSYPNWHGTAFRTDEDLL -RHLMFPERPQDLGRLAASAIGLAQAALGCSERFHNLCEYIFTKLVKGKGVKVKWQALKWMVRAGQFETIE -QLKRTEFPTIEELLSQAQIPAIRTESERQRIWRTTPLSKDSFHFTHDI diff --git a/seq/clusters_seq/cluster_228 b/seq/clusters_seq/cluster_228 deleted file mode 100644 index 98d8740..0000000 --- a/seq/clusters_seq/cluster_228 +++ /dev/null @@ -1,231 +0,0 @@ ->YP_009725316.1 VP1 [Norovirus GIV] -MKMASSDATPSSDGAGNLVPESQQEVLPLAPVAGAALAAPVVGQQNIIDPWIKENFVQAPQGEFTVSPKN -SPGEVLVNLELSPRLNPYLDHLSKMYNAYAGGMEVEIILAGNAFTAGKVLIAAVPPNFPIENISASQASQ -FPHVIVDVRTLEPIRLPLPDVRTNFFHYTTQEEPRMRIVAWLYTPLRTNGSGDDSFTVSGRILTRPSPDF -EFSFLVPPTVETKTVSFSVPGLVVEDMSNSRWPAQINGLVVRGNEAQVVHFQNGRCTTEGTLLGTTTLSI -NSICGLRGLSVSQASVRGAPALTEEMPPLEDEVADGAAATYTLARAADTTLWLRVEEPDGRPYDIFGDQP -APLGTPDFTAVIVGTAIRPRTASGAYLHDAYVDTTPGDADFTPSTGNTKIVLRGGGSGHVGQGHYWQFRP -IAVEGGGSRPQYQEYNLPDYAGPTASNHDLAPPVAPRMPGELLLLFESDMPVWDNGAGAAPAQKIHCLLP -NEFITHLFDLQAPALAEAALLRYVHPDSGRTLFECKLYREGYMVVAAPAGRLNFPLDGYFRFDSWVSAFY -ILSPVGSGQGRRGRSKAA - ->YP_009700103.1 VP1 [Norovirus GI] -MMMASKDAPSNMDGTSGAGQLVPEANTADPIPLEPVVGAATAAATAGQVNMIDPWIMNNFVQSPEGEFTI -SPNNTPGDILFDLQLGPHLNPFLQHLSQMYNGWVGNMRVRILLAGNAFSAGKIIICCVPPGFTAQNISIA -QATMFPHVIADVRVLEPIEIPLDDVRNVLFHTNENRPTMRLLCMLYTPLRAGGASAGTDPFVIAGRVLTC -PSPDFNFLFLVPPSVEQKTRQLTVPNIPLNNLSNSRVPAMINKMAISPDANQVVQFQNGRCTTDGQLLGT -TPISASQVARIRGKVFSTSSGKGLNLSELDGSPYHAFESPAPVGFPDLGHCDWHVSSFKADQNSRDDPIS -RLDIKQGASFAPHLGSIEYTTSQNPDGDQLGTLTWISSPSEDTPGHGTINLWKIPSYGSTVTESVHLAPP -IYPPGFGETLVYFMSNFPIGHTSSSMAQVPCTLPQEFVAHFVNEQAPVRGDAALLHYVDPDTHRNLGEFK -LYPEGYITCVPNTGGGGPQSLPTNGVFIFSSWVSRYYQLKPVGTAGPARRLGVRRV - ->YP_009700100.1 VP1 [Norovirus GIV] -MKMASNDAPPSSDGAGNLVPESHQEVLPLAPVAGAELAAPVVGQTNIIDPWIKENFVQAPQGEFTVSPKN -APGEILVNLELGPNLNPYLEHLSRMYNAYAGGIEVEIILAGNAFTAGKILIAAVPPNFPVESVSASQATQ -FPHAIVDVRTLEPVRLPLPDVRSNFFHYTTKDEPKMRLVIWLYTPLRTNGSGDDSFTVSGRLLTRPSMDF -QFSFLVPPTVETKTVLFTVPGLTPQEMSNSRWPAQISGMVVRGNEPQVVQFQNGRCHTDGTLLGTTTVSE -QCIAGFVGTSTNTRSATGSTTETRTGDTDLWLRLEEPNGQPYDIFGDQPAPLGTPDFRAVIVGFASRPQT -QGSYMNEAYVNTVDSHFAPATGNTKIILRRGGTGHVGGGHLWKFRPFGVEGGEGRVSYQEYVLPNYSGAT -ASNHNLAPPVAPRMPGELLLLFESDMPVWDDGHGAAPAQKIHCLLPQQFITHFFDSQAPALAEAALLRYV -HPDSSRVLFETKLYREGFMVVSAPTGRFDFPLDGYFRFDSWVNSFYVLSPVGSGQGRRGRSKVV - ->YP_009700097.1 VP1 [Norovirus GI] -MMMASKDAPTSPDGASGAGQLVPEANTAEQISMDPVAGASTAVATAGQVNMIDPWIFNNFVQAPQGEFTI -SPNNTPGDILFDLQLGPHLNPFLAHLSQMYNGWVGNMRVRILLAGNAFTAGKIIICCVPPGFDARILTIA -QATLFPHLIADVRTLEPVELPLEDVRNVLYHNSSQPQPTMRLVAMLYTPLRTGGGSGGTDAFVVAGRVLT -CPAPDFSFLFLVPPSVEQKTRVFSVPNIPLKDLSNSRVPVPIQGMFMSPDVNQSVQFQNGRCQIDGQLQG -TTPVSLSQLCKIRGKTSSNARVLNLSEVDGTPFIPLESPAPVGFPDLGGCDWHVNFTFQAQNQDPSQSVT -FATNDASFVPYLGSISPHNGGDFHAGDIIGSLGWISAPSDNTQLNVWTIPKYGSSLPDVTHLAPAVFPPG -FGEVILYFYSTFPGSGQPSQLQVPCLLPQEFITHFCNEQAPIAGEAALLHYVDPDTGRNLGEFKLYPDGF -MTCVPNSVSSGPQTLPINGVFVFVSWVSRFYQLKPVGTASAARRLGLRRI - ->YP_009700094.1 VP1 [Norovirus GI] -MMMASKDAPTSPDGASGAGQLVPEANTAEQISMDPVAGASTAVATAGQVNMIDPWIFNNFVQAPQGEFTI -SPNNTPGDILFDLQLGPHLNPFLAHLSQMYNGWVGNMRVRILLAGNAFTAGKIIICCVPPGFDARILTIA -QATLFPHLIADVRTLEPVELPLEDVRNVLFHNSSQPQPTMRLVAMLYTPLRTGGGSGGTDAFVVAGRVLT -CPAPDFSFLFLVPPSVEQKTRVFSVPNVPLKDLSNSRVPVPIQGMFMSPDVNQSVQFQNGRCQIDGQLQG -TTPVSLSQLCKIRGKTSSNARVLNLSEVDGSPFIPLESPAPVGFPDLGGCDWHVNFTFQAQDQDPSQSVT -FATNDASFVPYLGSISPHNGGDFHSGDIIGSLGWISAPSDSSQLNVWTIPKYGSSLPDVTHLAPAVFPPG -FGEVILYFYSTFPGSGQPGQLQVPCLLPQEFITHFCNEQAPIAGEAALLHYVDPDTGRNLGEFKLYPDGF -MTCVPNSVSSGPQTLPINGVFVFVSWVSRFYQLKPVGTASVARRLGLRRI - ->YP_009701446.1 VP1 [Norovirus GII] -MKMASNDAAPSNDGAANLVPEANSEALALEPVAGGAIAAPLTGQTNIIDPWIMKNFVQAPNGEFTISPRN -SPGEILLNLELGPEINPFLAHLSRMYNGFAGGVEVQVLMAGNAFTAGKVIFAAVPPHFPVENISPPQITM -FPHIIVDVRTLEPIFIPVPDVRNNFFHYNQMNDPKMRLIAMLYTPLRSNGSSDDVFTVSCRVLTRPTPDF -EFNYLVPPTVESRTKPFSVPILTIGEMTNSRFPLPIDMLYTSPTDSLVVQPQNGRCTIEGELQGTTQLVT -PNICSLRGAITGHEGNDDNHKWHMTVTSPNGAAFDPTEDVPAPLGTPDFIADIYGVLSQRNRSIESGQSG -PANRSHEAVVSTRSPKFTPKLGSVMIATWNTNDIELEPTKFTPIGLENPGPFQQWRLPNYSGALTLNMGL -ASSVFPTYPGEQILFFRSYIPLKGGFGNTAIDCLVPQEWVQHFYQESAPAQSDVALLRYVNPETGRVLFE -AKLHRQGFLTVARSGESPINVPANGYFRFDSWVNQFYSLAPMGTGNGRRRFQ - ->YP_009555233.1 VP1 [Norovirus GII] -MKMASNDAAPSNDGAANLVPEANNEVMALEPVVGASIAAPVVGQQNIIDPWIRENFVQAPQGEFTVSPRN -SPGEMLLNLELGPELNPYLSHLSRMYNGYAGGMQVQVVLAGNAFTAGKIIFAAVPPHFPVENISAAQITM -CPHVIVDVRQLEPVLLPLPDIRNRFFHYNQENTPRMRLVAMLYTPLRANSGEDVFTVSCRVLTRPAPDFE -FTFLVPPTVESKTKPFTLPILTLGELSNSRFPAPIDMLYTDPNEGIVVQPQNGRCTLDGTLQGTTQLVPT -QICAFRGTLIGQTSRSSDSTDSAPRRRDHPLHVQLKNLDGTQYDPTDEVPAVLGAIDFKGTVFGVASQRD -VSGQQVGATRAHEVHINTTDPRYTPKLGSILMYSESEDFVTGQPVRFTPIGMGDNDWHQWELPDYSGHLT -LNMNLAPAVAPAFPGERILFFRSIVPSAGGYGSGQIDCLIPQEWVQHFYQEAAPSQSAVALIRYVNPDTG -RNIFEAKLHREGFITVANSGNNPIVVPPNGYFRFEAWVNQFYTLTPMGTGQGRRRNQ - ->YP_009518842.1 VP1 [Norovirus GII] -MKMASSDANPSDGSAANLVPEVNNEVMALEPVVGAAIAAPVAGQQNVIDPWIRNNFVQAPGGEFTVSPRN -APGEILWSAPLGPDLNPYLSHLARMYNGYAGGFEVQVILAGNAFTAGKIIFAAVPPNFPTEGLSPSQVTM -FPHIIVDVRQLEPVLIPLPDVRNNFYHYNQSNDSTIKLIAMLYTPLRANNAGDDVFTVSCRVLTRPSPDF -DFIFLVPPTVESRTKPFSVPVLTVEEMTNSRFPIPLEKLFTGPSSAFVVQPQNGRCTTDGVLLGTTQLSP -VNICTFRGDVTHITGSRNYTMNLASQNWNNYDPTEEIPAPLGTPDFVGKIQGMLTQTTRTDGSTRGHKAT -VYTGSADFAPKLGRVQFETDTDHDFEANQNTKFTPVGVIQDGSTTHRNEPQQWVLPSYSGRNTHNVHLAP -AVAPTFPGEQLLFFRSTMPGCSGYPNMDLDCLLPQEWVQYFYQEAAPAQSDVALLRFVNPDTGRVLFECK -LHKSGYVTVAHTGQHDLVIPPNGYFRFDSWVNQFYTLAPMGNGTGRRRVV - ->YP_009679041.1 VP1 capsid protein [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -MMMASSDATPPSEGATNLVPEVNTQVVPLEPVAGAAAAAPFTGQNNVIDPWIFQNFVQAPQGEFTVSPRN -TPGEILLRIPLGPNLNPFLAHLSRMYNAWVGGMEAEMILAGNAFTAGKLLIVALPPGFEATQLTPAQATA -FPHVIADVRTLEPIPIPLPDIRNVLFHYNGDPTPTIQIVVMLYTPLRTNGNGDDVFTVSGRLLTRPAPDF -SFSFLVPPTVEQKTRSFTLPNLAVSDLSNSRFPAPVNILRADPNTTLALDYQNGRCTLDGALQGTTPTNS -SFLGYLRGTVDRVNSDQVHVHLREPNGDPFQLGLPGPQGVPDYRATLDIYVDWENKNHGNPCEGTLNTGS -QTEYTPGLGTLTFNKTSGDTPEQGDPVIMRLVAFRSNLTDQLPAYNGAFGQGENLAPPVSPPVPGEVFLQ -FGSRYTRLGERELAVQCLLPSEWITHFYSEAAPIQGEAMLLRYVQPDLGRILFEAKLYKEGFVTVAGGTN -PVTFPIDGTFVAVSWVSRNFVLSPMGSGQGRRRAALAE - ->YP_009518836.1 VP1 [Norovirus GII.17] -MKMASNDAAPSNDGAAGLVPEGNNETLPLEPVAGAAIAAPVTGQNNIIDPWIRTNFVQAPNGEFTVSPRN -SPGEILLNLELGPDLNPYLAHLSRMYNGYAGGVEVQVLLAGNAFTAGKILFAAVPPNFPVEFLSPAQITM -LPHLIVDVRTLEPIMIPLPDVRNTFFHYSNQPNSRMRLVAMLYTPLRSNGSGDDVFTVSCRVLTRPTPDF -EFTYLVPPSVESKTKPFSLPILTLSELTNSRFPVPIDSLFTAQNNVLQVQCQNGRCTLDGELQGTTQLLP -SGICAFRGRVTAQINQRDRWHMQLQNLNGTTYDPTDDVPAPLGTPDFKGVVFGMVSQRNVGNDAPGSTRA -QQAWVSTYSPQFVPKLGSVNLRISDNDDFQFQPTKFTPVGVNDDDDGHPFRQWELPNYSGELTLNMNLAP -PVAPNFPGEQLLFFRSFVPCSGGYNQGIIDCLIPQEWIQHFYQESAPSQSDVALIRYVNPDTGRTLFEAK -LHRSGYITVAHSGDYPLVVPANGHFRFDSWVNQFYSLAPMGTGNGRRRAQ - ->YP_009237904.1 VP1 [Norovirus GIV] -MKMASSDAAPSTDGAGNLVPESQQEVLPLAPVAGAALAAPVVGQTNIIDPWIKENFVQAPQGEFTVSPKN -SPGEILVNLELGPKLNPYLDHLSRMYNSYAGGIDVMVVLAGNAFTAGKVLIAAIPPNFPVEGVSASQATQ -FPHVIIDVRTLDPVRLPLPDVRSTFFHYTNDTEPKMRLVIWLYTPLRTNGSGDDSFTVSGRILTRPSQDF -EFAFLIPPTVETKTTPFSVPGFSVQEMSNSRWPAAISAMVVRGNEPQVVQFQNGRAHLDGMLLGTTPVSP -NYIASYRGISTGNSRSASSEADERAVGSFDVWVRLQEPDGQPYDIFGKQPAPIGTPDFKAVIVGFAARPL -TSGSYANEAYVNTTASDYAPATGNMRFTVRNGGTGHISANKYWEFKSFGVEGERHTDIQYQEYELPDYSG -QVASNHNLAPPVAPRMPGESLLLFQSNMPVWDDGHGESTPKKIHCLLPQEFIGHFFDRQAPSLGDAALLR -YVNQETNRVLFECKLYRDGYITVAASSGLLDFPLDGFFRFDSWVSSFYILSPVGSGQGRRGRVRFQ - ->YP_009237901.1 VP1 [Norovirus GIII] -MKMTDRDIVDSAPALGQVLPPEVEASVPVEPTAGAPVAASTAGRVNPIDPWIFANFVQAPQGEFTISPNN -NPGEILFELELGPDLNPYLAHLRRMYNGWTGSMRVRVLLAGNAFSAGKVVVFCVPPGFDTSYLTPSQATQ -FPHVLIDVRAPEPVDMPLEDVRNILFHQGPDSRMRLMGMLYTPLRANSGADPFVVTGRVLTCPSPNFSFF -FLVPPTVEEREPPFTLPNLPVNSLSHSRVMEPIAQMMSSRSFPASVQFQNGRCTLSGDLLGTTPSSPADL -GAFVGLIAEPGSRVVELSQPNQEDFHAGSAPAPFGFPDFSECSVTFVVASATTVGERTVNARNPQNFTPA -LGHITFDEEAPADLFRARFRNLWEPTEHSFWRIPDYRADVLGSDFAPSVSAPGVGETLLFFMCNVPRLNG -ANPNPCPCLLPQEWITHFVSERAALQSDVALLNYVNPNTGRVLFEAKLYANGFLTVNLGASDQAILPVDG -IFKFVSWVSFYYQLRPVGNISVGRRLPRLDGF - ->YP_009679038.1 VP1 [Norovirus GII/Hu/JP/2011/GII/Yuzawa/Gira2HS] -MKMASKDASPSTDGTANLVPESQQEVLALQPVAGAQIAAPVAGQFNVIDPWIYQNFVQAPEGEFTVSPRN -STGEILMNLELGPQLNPYLAHLARMYNAYAGGFEVQVLLAGNAFTAGKIIVCAVPPNFPLQNISAAQATQ -LPHVVVDVRQLEPVVLPLPDVRAGFYHYNQVEESRMRLVAILYTPLRTNSAGDDAFTVSCRILTRPAPDF -SFFFLIPPTIESKTTPFTLPRLPISEMTNSRFPLVIKGMVVDPNLPLQANFQNGRITLDGELQGTTLPTS -TSIGRISGTHMSSTPSRIIQHEDSGDSTQPRVFNPVWMDLTENNWTEFQPFNDQPAPLGCPDFKAKILGT -LIRQPNNGSYYFDAYLDTRQHGTFAPYTGHAAVHSDQQAGHLAQGYKIQFSPTGIESDQNTDLNQLPDYG -GAMTVSKGLAPAAAPDFPGEMILYFVSDMPVRNPNGERRDTEILCLLPQEMVTHFYEQQAPSQGDVALVR -YINAETGRVMFEGKLHRNGFFTVSATARTLIVPDGYFRFDSWVNRFYTLSPMGTGNGRRRARMLE - ->YP_009679035.1 VP1 [Norovirus GII/Hu/JP/2007/GII.P15_GII.15/Sapporo/HK299] -MRMASSDAPVSGTDGAAGLVPESQQEVLPLEPVAGVQLAAPVAGQSNIIDPWIRMNFVQAPAGEFTVSPR -NAPGEVLIDLELGPELNPYLNHLARMYNGYVGGMEVEVVLAGNAFTAGKILFAAVPPSFPTHGISAAQAT -MLPHVIVDVRQLEPVRLPLPDVRNVMFHFCQENKEPRMRIVAILYTPLRANGAGDDVFTVSCRVLTRPSP -DFDFIFLVPPSVESKLKQFTLPNLQPNEMTNSRFPTGITQLYTSPNTNLVVQFQNGRCLLDGTLLGTTPV -RAADICSFRGVTSTEVDATDSPRVAGSHRIMVQLREPDGEEFSPTGPNPAPVGTPDFQAAIFGTLSQRNT -GGAGQNSNRAHFAYFYTRNPTFAPGIGTVVFSFDTTDFQNRQPTKFSPSGVFDDDSSEPFNQFSLPYYNG -SLGAVDAGKLAPPVAPNYPGEQILYFRGSVPFKGGYGEGEIDSLLPQEWITHFYAEQAPTQGDAALLRYY -NPDTGRVLFECKLHREGFITINYTGSNALAVPVNGVFRFEGWVNKFYTLTPMGNGNGRRGRRREL - ->YP_009538341.1 VP1 [Norovirus GI/Hu/JP/2007/GI.P3_GI.3/Shimizu/KK2866] -MMMASKDAPTNMDGTSGAGQLVPEANTAEPISMEPVAGAATAAATAGQVNMIDPWIMNNYVQAPQGEFTI -SPNNTPGDILFDLQLGPHLNPFLSHLAQMYNGWVGNMKVKVLLAGNAFTAGKIIISCIPPGFVAQNVSIA -QATMFPHVIADVRVLEPIEVPLEDVRNVLFHNNDNTPTMRLVCMLYTPLRASGSSSGTDPFVIAGRVLTC -PSPDFSFLFLVPPNVEQKTKPFSVPNLPLNTLSNSRVPSLIKSMMVSRDHGQMVQFQNGRVTLDGQLQGT -TPTSASQLCKIRGSVFHANGGNGYNLTELDGSPYHAFESPAPIGFPDLGECDWHMEASPTTQFNTGDVIK -QINVKQESAFAPHLGTIQADGLSDVSVNTNMIAKLGWVSPVSDGHKGDVDPWVIPRYGSTLTEAAQLAPP -IYPPGFGEAIVFFMSDFPIAHGTNGLSVPCTIPQEFVTHFVNEQAPTRGEAALLHYLDPDTHRNLGEFKL -YPDGFMTCVPNSSGTGPQTLPINGVFVFVSWVSRFYQLKPVGTAGPARRLGIRRS - ->YP_009305195.1 VP1 [Primate norovirus] -MMMASKDAPTNMDGTSGAGQLVPEASTAEPISMEPVAGAATAAATAGQVNMIDPWIMSNYVQAPQGEFTI -SPNNTPGDILFDLQLGPHLNPFLSHLAQMYNGWVGNMKVRVLLAGNAFTAGKIIISCVPPGFAAQNVSIA -QATMFPHVIADVRVLEPIEVPLEDVRNVLFHNNDSTPTMRLICMLYTPLRASGSSSGTDPFVIAGRVLTC -PSPDFNFLFLVPPNVEQKTKPFSVPNLPLNVLSNSRVPSLIRSMMVSQDHGQMVQFQNGRVTLDGQLQGT -TPTSASQLCKIRGTVYHATGGQGLNLTEIDGTPYHALESPAPIGFPDLGECDWHINASPANAFTDGSIIH -RIDVAQDSTFAPHLGTIHYTNANYDANISLICSLEWLSPPSGGAPKVNPWAIPRYGSTLTEAAQLAPPIY -PPGFGEAIVFFMSDFPIANGSDGLSVPCTIPQEFVTHFVNEQAPTRGEAALLHYVDPDTHRNLGEFKLYP -EGFMTCVPNSSGSGPQTLPINGVFTFISWVSRFYQLKPVGTTGPVRRLGIRRS - ->YP_009666336.1 capsid protein [Tulane virus] -MENSKTEQVTGATGITQSTVTAPLPEAVSSLSLAPTVNALDPWVYLNQTEVPGGTFTVSSATQPGSVLLE -LEISPELNLYTSHLFRMYAGWSGGFSLKLLVAGNAFSAGKLIAAIIPPNIEVPNSAYLLTGFPHEILDFR -TADSMEIIAPDIKNIDYHFRGDKLGKLVVMVYSPLRSTSADFEIEIKLTSAPLPDFKFTMLVPPIQNNAL -PIWSIPQAPPYSMVNPRSPLTPVVELYINSSYATCNHQLGRYTIYQGAIGNSTFNPSGAWTATCTAEAGS -VTGNPNWRYALLDLPDNPTFDPTLPPVPRGFCDWGSGVKSGNKQHLVCFTGKKFAGGFQDVDAHMWDYGD -NETVGLDNTYQRTIYISDPSLEKDAQYLVIPMGVSGAANDDTVQVAPNCYGSWDYAPTVAPPLGEQFVWF -RSQLPASKTTTTSGVNSVPVNVNALMSPDLIRSAYASGFPLGKVALLDYVLFGGSVVRQFKLYPEGYMTA -NTTGSNTGFIIPADGYFRFNSWVSPSFMISSVVDLNLQTAVVFR - ->YP_009237898.1 VP1 [Norwalk-like virus] -MKMASSDAAPSNDGAAGLVPEANNETMALEPVAGASIAAPLTGQNNIIDPWIRLNFVQAPNGEFTVSPRN -SPGEVLLNLELGPELNPYLAHLSRMYNGYAGGVEVQVLLAGNAFTAGKLVFAAVPPHFPLENISPGQITM -FPHVIIDVRTLEPVLLPLPDVRNNFFHYNQQNEPRMRLVAMLYTPLRSNGSGDDVFTVSCRVLTRPSPDF -DFNYLVPPTVESKTKPFTLPILTIGELTNSRFPVPIDELYTSPNESLVVQPQNGRCALDGELQGTTQLLP -TAICSFRGRINQKVSGENHVWNMQVTNINGTPFDPTEDVPAPLGTPDFSGKLFGVLSQRDHDNACRSHDA -VIATNSAKFTPKLGAIQIGTWEEDDVHINQPTKFTPVGLFEDGGFNQWTLPNYSGALTLNMGLAPPVAPT -FPGEQILFFRSHIPLKGGVADPVIDCLLPQEWIQHLYQESAPSQSDVALIRFTNPDTGRVLFEAKLHRSG -YITVANTGSRPIVVPANGYFRFDSWVNQFYSLAPMGTGNGRRRVQ - ->YP_009518839.1 VP1 [Norovirus GII.2] -MKMASNDAAPSTDGAAGLVPESNNEVMALEPVAGAALAAPVTGQTNIIDPWIRANFVQAPNGEFTVSPRN -APGEVLLNLELGPELNPYLAHLARMYNGYAGGMEVQVMLAGNAFTAGKLVFAAVPPHFPVENLSPQQITM -FPHVIIDVRTLEPVLLPLPDVRNNFFHYNQKDDPKMRIVAMLYTPLRSNGSGDDVFTVSCRVLTRPSPDF -DFTYLVPPTVESKTKPFTLPILTLGELSNSRFPVSIDQMYTSPNEIISVQCQNGRCTLDGELQGTTQLQV -SGICAFKGEVTAHLHDNDHLYNVTITNLNGSPFDPSEDIPAPLGVPDFQGRVFGIISQRDKHNSPGHNEP -ANRGHDAVVPTYTAQYTPKLGQIQIGTWQTDDLTVNQPVKFTPVGLNDTEHFNQWVVPRYAGALNLNTNL -APSVAPVFPGERLLFFRSYIPLKGGYGNPAIDCLLPQEWVQHFYQEAAPSMSEVALVRYINPDTGRALFE -AKLHRAGFMTVSSNTSAPVVVPANGYFRFDSWVNQFYSLAPMGTGNGRRRVQ - ->YP_720002.1 VP1 [Norovirus GV] -MRMSDGAAPKANGSEASGQDLVPAAVEQAVPIQPVAGAALAAPAAGQINQIDPWIFQNFVQCPLGEFSIS -PRNTPGEILFDLALGPGLNPYLAHLSAMYTGWVGNMEVQLVLAGNAFTAGKVVVALVPPYFPKGSLTTAQ -ITCFPHVMCDVRTLEPIQLPLLDVRRVLWHATQDQEESMRLVCMLYTPLRTNSPGDESFVVSGRLLSKPA -ADFNFVYLTPPIERTIYRMVDLPVIQPRLCTHARWPAPVYGLLVDPSLPSNPQWQNGRVHVDGTLLGTTP -ISGSWVSCFAAEAAYEFQSGTGEVATFTLIEQDGSAYVPGDRAAPLGYPDFSGQLEIEVQTETTKTGDKL -KVTTFEMILGPTTNADQAPYQGRVFASVTAAASLDLVDGRVRAVPRSIYGFQDTIPEYNDGLLVPLAPPI -GPFLPGEVLLRFRTYMRQIDTADAAAEAIDCALPQEFVSWFASNAFTVQSEALLLRYRNTLTGQLLFECK -LYNEGYIALSYSGSGPLTFPTDGIFEVVSWVPRLYQLASVGSLATGRMLKQ - ->NP_056821.2 VP1 [Norovirus GI] -MMMASKDATSSVDGASGAGQLVPEVNASDPLAMDPVAGSSTAVATAGQVNPIDPWIINNFVQAPQGEFTI -SPNNTPGDVLFDLSLGPHLNPFLLHLSQMYNGWVGNMRVRIMLAGNAFTAGKIIVSCIPPGFGSHNLTIA -QATLFPHVIADVRTLDPIEVPLEDVRNVLFHNNDRNQQTMRLVCMLYTPLRTGGGTGDSFVVAGRVMTCP -SPDFNFLFLVPPTVEQKTRPFTLPNLPLSSLSNSRAPLPISSMGISPDNVQSVQFQNGRCTLDGRLVGTT -PVSLSHVAKIRGTSNGTVINLTELDGTPFHPFEGPAPIGFPDLGGCDWHINMTQFGHSSQTQYDVDTTPD -TFVPHLGSIQANGIGSGNYVGVLSWISPPSHPSGSQVDLWKIPNYGSSITEATHLAPSVYPPGFGEVLVF -FMSKMPGPGAYNLPCLLPQEYISHLASEQAPTVGEAALLHYVDPDTGRNLGEFKAYPDGFLTCVPNGASS -GPQQLPINGVFVFVSWVSRFYQLKPVGTASSARGRLGLRR - ->sp|Q04542.1|CAPSD_SOUV3 RecName: Full=Capsid protein VP1; Short=CP; Contains: RecName: Full=Soluble capsid protein -MMMASKDAPQSADGASGAGQLVPEVNTADPLPMEPVAGPTTAVATAGQVNMIDPWIVNNFVQSPQGEFTI -SPNNTPGDILFDLQLGPHLNPFLSHLSQMYNGWVGNMRVRILLAGNAFSAGKIIVCCVPPGFTSSSLTIA -QATLFPHVIADVRTLEPIEMPLEDVRNVLYHTNDNQPTMRLVCMLYTPLRTGGGSGNSDSFVVAGRVLTA -PSSDFSFLFLVPPTIEQKTRAFTVPNIPLQTLSNSRFPSLIQGMILSPDASQVVQFQNGRCLIDGQLLGT -TPATSGQLFRVRGKINQGARTLNLTEVDGKPFMAFDSPAPVGFPDFGKCDWHMRISKTPNNTGSGDPMRS -VSVQTNVQGFVPHLGSIQFDEVFNHPTGDYIGTIEWISQPSTPPGTDINLWEIPDYGSSLSQAANLAPPV -FPPGFGEALVYFVSAFPGPNNRSAPNDVPCLLPQEYITHFVSEQAPTMGDAALLHYVDPDTNRNLGEFKL -YPGGYLTCVPNGVGAGPQQLPLNGVFLFVSWVSRFYQLKPVGTASTARGRLGVRRI - ->sp|P54635.1|CAPSD_LORDV RecName: Full=Capsid protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Soluble capsid protein -MKMASNDANPSDGSAANLVPEVNNEVMALEPVVGAAIAAPVAGQQNVIDPWIRNNFVQAPGGEFTVSPRN -APGEILWSAPLGPDLNPYLSHLSRMYNGYAGGFEVQVILAGNAFTAGKVIFAAVPPNFPTEGLSPSQVTM -FPHIIVDVRQLEPVLIPLPDVRNNFYHYNQANDSTLKLIAMLYTPLRANNAGDDVFTVSCRVLTRPSPDF -DFIFLVPPTVESRTKPFTVPVLTVEEMSNSRFPIPLEKLYTGPSSAFVVQPQNGRCTTDGVLLGTTQLSA -VNICNFRGDVTHIAGSHDYTMNLASQNWSNYDPTEEIPAPLGTPDFVGKIQGLLTQTTRADGSTRAHKAT -VSTGSVHFTPKLGSVQFTTDTNNDFQAGQNTKFTPVGVIQDGDHHQNEPQQWSLPNYSGRTGHNVHLAPA -VAPTFPGEQLLFFRSTMPGCSGYPNMNLDCLLPQEWVLHFYQEAAPAQSDVALLRFVNPDTGRVLFECKL -HKSGYITVAHTGPYDLVLPPNGYFRFDSWVNQFYTLAPMGNGTGRRRAL - diff --git a/seq/clusters_seq/cluster_229 b/seq/clusters_seq/cluster_229 deleted file mode 100644 index f796eab..0000000 --- a/seq/clusters_seq/cluster_229 +++ /dev/null @@ -1,218 +0,0 @@ ->YP_009666502.1 coat protein [Angelica bushy stunt virus] -MSYFSKLESLFLDMLKLDLSEEVEESFEIALNLLDQEEKLVNSKFENDDNLKKRFGFIEPETCLVLNEID -SSIDSFSQDESIGETEVTDFEDEEKEIGNNQFGNQDYQFIDGQDEYGLPKFRNQAYMNNQDVSQGIGTKQ -EPGTGPSGTKRPRPDPVRDFYPQTNEFRINNSPFGSNVLNLECESMKERRIRIDLWSKEMSLIISTNPSV -YDGQQNVLLLIDHKTTGTVNKFIKDTSWTPRIDAEDAFNDIINFIYLEFLGIDYVGSKAAEKDKERQESI -NILHNMQLCNICELKPFFCVFEKHMINTGEQTNYPMYIEMFIRKIPVVGETVMQRHKALVTENTKFSLAF -AYNLVTEEVAKHCEFIKTTKKLKAFSKKCCDKTQKPSYTFGCSPEKTRKHKRYKKKFRNKKVSKYRFKKK -KKSFKPGKYLRNKKDHQNDKQKYCPKKKKNCRCWICNEEGHYANECPNRKEHDSKVKVLEQILQMEYIPV -EDYYDGLDQVYVLEKAETSSEEERTSESESSSTEDESD - ->YP_009254007.1 putative coat protein [Water chestnut soymovirus 1] -MEENIEKLVGLMEKLELISEPFTQVEMVNTMDIENEDLEVEENQIIYNFDSEPSSDDSEPMLRMGGNDIK -QEKGKAPLEPGSGSKGVKGKDHWPQFFEEREREVRYGERSWEKLVPYPYRLREGQLQNNALLNIDCTSNT -RNVIYEWINHYRLMVNLHDERIEKMTLDGFFLYLQYHSTGIVKNYLGLRPLGGNEESKKSIKGEDKLELL -QTLLNNLLLEFCGVTEDFSAQGERDVAKHILINMQLCDPCYLENFFCQVREYYYRLSQSEKQTMIDVIIQ -KLPKGMRDHVTDSIKENNEGLTLGMVELHAKDYRRALCDKANEQREVERVRLRCCNQLEDVAQKYGCRIH -YPHRKKHFKHKEFRRKNFHKIKTYKKPWKPKYKKYFKKRRMSERQRNCPSKKKNCKCWLCQKEGHYANEC -PEKQLKRNQVKQLEEIQDLGFDPIEDELSEGELMQFDYYKLVMEETTSSEEDSDESDE - ->YP_009165749.1 ORF4 [Atractylodes mild mottle virus] -MTNYMRNVEKFFYDKFEDDLEVTDENYHILNHTLMLMDMENEDDANSIIENDPELKKFFGHPDPENLFVL -GDEEVSEWNTESESEFDELIQDEPPRKKPSERIFPDQQMIDAYAQSSYTNPRTHPTAYQDEAYSRRPNYY -DSKDIPSFYDKKFKRSAFVGSMSGNMSGSVQTQFGLSLNIDCCGSAERKIKLDQWLNELNLMVQTNKEKF -DTPKKVIILAEHKSTGVLQNFIKRTTWNVDEFSTSQMADILAEAVYANFLGIDFRQSLVREDEQIIKKAK -EKMSKMTLCDICFLDSFFCEYESAFHSLKERDDFVKFIELYFAKIPIVGSKSLERYKSEKTVVLETSIAY -ADRITREEISKVCEFSKQQKRLKKFNKNCCAGLVEDQNLEFGCSISKSNRKKKSFSKKKKKKYYKKSYSK -KRVRSKFQPRKFFRKKPPGKEKFCPKGKKSCRCWICSEQGHYANECPNRQAHQKQVNLFQEAIQRGLYPI -EDPYEGEHHVYEFIVKEEPPDTDSETTSSDGSSSESSDSE - ->YP_007761643.1 capsid protein [Rose yellow vein virus] -MSQISLLEKELARVSFKGEITKINLESRRNKLDNDFETISIGEITELSVLNSILGFPLEYGLDKIEDVQV -NEINEMDTLEQEVQALTIGQDKQSSPISESDPESEEEIYVLDDMHKPRTKPKRKPNWSRHRDEKIWQSRR -SPNLGEDLNRAYGSHEKSLPNTAINDKGIILYCDNPSTQMQEIDAWEAAMDIAVGLHPQWTAQQRLAYAE -NTFQGTAKLAWNAFKGSINFTNWYNVFIASGGLGKFITSTLRLEICGIESTDEEKLKLQEKAKHLLQTLR -LCDISMLDKYNVIFTKLYWIAGEVTNLETLNSYFSKLPPPFNEKAKKEYVSDEKDTIGKRVRHVQNILEQ -YCFERRLANVTKQSVKAICAKHNPPNLDIGCRQERSVFKHKRKRKFSKKSFRKISKNRFSKKKKKKPFFK -KKRKGFKPKDPKKVKCFGCQQIGHYANKCPNKKGQEFKSKVQSIEQICGFILAQECEESASDSEDSYYEL -ISSSSDSE - ->YP_006907833.1 capsid protein [Horseradish latent virus] -MPRTVGQTIDDFWSNLGVNQLDEHEFDLMINLMTDDDVSSDTSFDSLTSLFSELLQVEQEITSEEERCQL -LEKEDSSDESIPNDSDEESVPEQVRMEKGKGPAKPYDYFDNESSDMEYGDYNPYGRSGQTNKPARTRPKL -ASETLGRNPRILNLDCTNSLRDRKRLVEEWGAEISLTIQTNLDEYSDPDMVLLLMEHLTAGSVKSFIKTT -KWSELSGGIYDLVMEGIHVMFLGEQPNEAFDKAKEQAAAKDKLLKMQLCDICSLDTFTCAFEKALYKLDS -GDFPPIIEQYLAKIPEVGAKAQQRYKEEATGAMKYSLGFANKIVKEELKKVCELTRLQKKLKKFRKTCCK -QVEERLEYGCRPIYKKKSKRRKPKVRKYKKYKPFKRRKKFKTGKYFRPKTEKKVCPKGKGKNCKCWICNV -EGHYANECPNRQTSQKAHILQEAIQLGLQPVEDVYEGEQEVFVIEYIEVSEDETSTSEDDGTSTSEDSDS -D - ->YP_006732333.1 capsid protein [Dahlia mosaic virus] -MASSMKEISERLEEVFHDLYCTENDRDKDLSEIVQLLSLDTDECERHFTQNPYLYKKYFTQEVEDELVLQ -IEEKARTESEISEPETESVKTQDPDSSDEENVHPVRFRNDNWNISDDDQPRVKTERYESTFYETKPKFPR -HFEIPSNSGTSKEINTINIDCIPDLDFRKKILDKWLTEISLTIQTNSKEFTTARAVLTLLEHKSGGNIKT -FIKKALWDENQTGPQVLDEATKGLYTMFLGLDYVSNALEQEQKLRQKAVDAMTKAQLCDICRLDEFTCLF -EKNINLVDLKDYPIWIDVYLRKIPIIGQQARNRWEKEATIPSKHSIAFATRIVKDEIAKYCDFRKTSKQL -KSFGQRCCNQYKEPNYNIGCKEPTCKPTYKKKKYTRPKPKYKKWVKKKRKFQPGKFFKKKPKGDEKPKRN -FCPQGKKKCRCWICSEEGHYANECPSRKSYPEKVSLLQEAHDHNFYPVEDEYDDYLNIYVVTTDDESSGT -E - ->YP_006607891.1 capsid protein [Soybean Putnam virus] -MTNYYRNVEEFFWNNWEDDDLKVNDDNFALLEQAITLMELNDEVRANQIIEQSDYLRSAFGPKQESILII -EEQSEPSDWESDIGSFNSETTNDFVQRNTQPRFRMNPNSEVKTELDDIPESSRDGATRNKRPRNIFNEPP -KPNHGAFGIFTLNLDCNKDRKRDISVWLNEISLLIQTQPEAFDTPEKVILLAEHKSSGNLNRYLKNVSWR -TQGITPVNTLNIIAQAVYLAFLGLDYVEDGKKEAKDIKNKAEERMTKMTLCDICYLETFYCDYEKAFYEL -QEVDETLKYVQLYLRKIPIVGEIARTRYEAESNSYTKQSLAYAHRLVKEEITKICSLSKTQKKLKKFNKS -CCPKLVDDLTLDYGCKVKSKRKVFKYEKKRSSKHKRFQKRYVKKSFKPSKFARKPSKNPSKEKFCPKGKK -NCRCWICSEEGHYANECPNKENNKKQFKMFQEVLSQGLVPIEEPYEHEHHVFEFFEEPPDSDGTTEYSSS -ESSGSDSE - ->YP_002519386.1 putative capsid protein [Rudbeckia flower distortion virus] -MIFPILVKTFIIISGSHTVHRFIKNISKPEQTEQLYPLIDTNVSNEILTQEVIDNIQSFQIKTEQDEPVQ -EKLYGYEDDEEEILVDNSSYGSIPEDEDPEERAYNEEGQGSGIPEFNVIPKNEGAEHYDPFNDFQRNYDS -DRRRYPRGHFNFSDLRFPRETNVPLEFQPRSYKNSTLSVLNIDCIVNKAQAIDDWIAATDVMILTNEGLR -NNIKNAWAFITHLVSGNITEYINRLNEEQKKKLFEESKDGHDLLRLFRAALYAAFLGIDIKNNPEEAQLK -KEENAMWRLNNICISDLCLLDEFHCEFEKYFYQLSVDNQERYLQVYSDKIPGEVGFEHRRSWRERDPSIL -DTLGGRKRSLDEYIARECTRRLLFKQVKRTAKICCKPNNLNIPGNYGCYPTVPAKNRNKKLFQKKKLKRF -KKTNRNSYYKAKPAFRRKFFRKRKTVVKPTTKTADNKAKYCPKGKPNCRCWLCKEEGHYANACPNKEKID -SKQVKLFETIYLLQGFEPIEDDENLSDSDSIYYLTDDEIEDYSSDESESEN - ->YP_001931966.1 coat protein [Eupatorium vein clearing virus] -MLTDIQKVTNLFFDSWKEGERHEAFTSEIAKIMSLPEEEANLMLRNNSHLRGIFQLDDPQNLFQLTELEE -EQSSPVYDSAPEWDEYDDDYILVITNNAHSDDGYNTDDTINVTIPSDTDEEEEEINLGNLRPEIFQDDEA -SSGVFNESGLSGGPSTSRPQPRPNMGDPMRLKPDTGTQVLNLDCTTSFSGRRALIELWKKEMDIILLTGK -IRTAEELIMLVDYKTAGNVNAAIKGYSWNRQFSPADLLEAVRKVLYTVFLGEDHATQEALEVAVRIANAK -SIMTNLKLCNICNVDEFFCTFEKYMFRIPIGEHPEWVQMYLRKIPFVGEQAYNQFMANAPETSKPSLAAA -HRVVKDLLNQKCLDALQTKKLKKFSTKCCPKLIPQNLEIGCPAPKKWTNRKKKSYRKSSKQRSMTKSYRG -KRRKTTYQPRKYFRKKSAKTDKKKNCPKGKSSCKCWICNMEGHYANDCPERNKNSKTVKFLQTLDQMGYE -PVEDIFDGEQELFYFDEVEPGETSEEESSEDE - ->YP_001931960.1 coat protein [Lamium leaf distortion virus] -MSFYELIDKQFWETLETQSELDERLNTLSRLVNQGEQATNEELQGNETLRSFLNLDNICERFETLNVIEE -SSEDSSIDNNDPVDYSDSSSEEEEQNTNKRFFMEKGEGSQNKKDKDPKVEYPNVENLQSEDNRRTPFNNN -KRKSPEQARYPVKPKFEVVSRTLGFLDIDCQDHEVRKKNIDAWASELSLIVQTNPDAYDNADAVILLAEH -KALGNAKELIKGTYWNKDNPPMYMLNQIFDSLYSMFLGLDYTDAISKLLETNKQKENARIRLANLKLCNI -CSIDEFNCAYEEEFFKLNQNEYPKYISDYLLKIPVVGLAAKEKFDKTTGTLAYSIGHAEKLVREEITKIC -ELSQQQKKLKKISKKCCNKIANKSTDIGCYTTKSYKKKKKKKFKKYVFSKRKRKKFRPGKYFQKKKFPTS -GEGKKPCPKGKTNCRCWICNVEGHYANKCPNKQKYGEKVKILRKADLQDLEPIEDIFEESLDVYIAEIIE -FDPDLSYQESSDESSEESD - ->NP_861409.1 putative capsid protein [Cestrum yellow leaf curling virus] -MSNNRRNVRTPTKFDKNTKTIQIFGKTSIVLKNMSIQERIDEVTQLLKQLKMIRDEETNTQKALIMEHEE -VVYNYESSEEGFPVEPKTEEKDIPSTSGQKRTYEFMKDDFWNSGEFDKYAEKRGFIKKDGGGYSKIPKEY -KPIHQDENSSILNLDCIDNAPALFVSWTSKHGLELQLNKHFENFSDNEIWNYTQYYTRGSVQKFLSEQDY -QTDVAPNLALVQGGPYAKFKYIVQTIYGEFIGKDIRDHSQDLINQEAEKARFHLANMIICDLCYFDNYTC -EYRKYFYMLTPDERSTYIELFLQKLPAPFGRKMMEGFRKETAENKIANTLGGAIDIVRRTIDEECIQRSY -RRTIGGAVKICCKGNPEIPQRYGCYDITKRKKTSKKKWKKRSYKPDLWKKKKRFFKRRDFSKKKKENPGK -KKFCPTGKKSCKCWICHEEGHYANECPKKTKEKHKDKVKLLMEAEEEGFEPLESEASDIEEIFEIVEEDS -ESSSEEDEEQR - ->NP_659396.1 hypothetical protein [Mirabilis mosaic virus] -MVNDLEEIFFNKLNLDEEIRDEAHYEEIQELVQILSMEDHEIEEKFINNENLRNRYFSKEESNSLMKIVS -NSEDAEISEPETENQETDYSTEESIDFSEEEELLEQLDNLFPVNQETQPNNKRHAEEQPSTSGTGKYYRA -PFQKGTPGDRPREIGTINIDCIGDLDLRRKIIDKWINEIDLIVQTSPNDFTTSRSVLVLMEHRSDGMIRN -FIKKASWSSQMTGSQTPQDVAAGLYTMFVGVDYATDQANQIRLEKEKAKQTLTNAQLCDICLLDDFTCLF -EKNLVHFEMSEMPAWVETYLRKIPIVGEISRMIYNETKSPATTYSLAFATRIVKTEIAKICEARSKAKQL -KRFSQCCKKLSINDSENNQFGCNKPSYSSKRKKYEKSRRKVWKKTKRKFAPSKYFKRKSSKKDKNPRKNF -CPQGKKKCRCWICSEEGHYANECPNRSKNPERVKILIKGYQQDYEPVEDMYEGTLHVYSYEYDTDSE - ->NP_619547.1 unnamed protein product [Figwort mosaic virus] -MATKKMRISEKLWDSLEKDECNIDEVVQLMSLDEEELITKFAQEVSLRIRYLDEKPNEISFIAEATEDYS -EPETESSDEETYFQQIRMERGESSETKREQQDLGATRKRKIEERNPFYTPPVHKGIPSSTGRGTEISTLN -LDCISSFEERKVMIDKWFNEISLIIQTNKESFDTSLKVLTLMEHRTEGIAKSFIKQATWDILITPEKIIE -EVLTGFYTMFIGLDYALSAEKEEEKRIKKAEELLIKSQLCNICELDNFTCFYEKQINQLKFEDFPKWIEL -YLGKIPIIGKQSKERWDNEKSFTTKYSLAFAKRIIQEEIAKYCDFQRTSKKLKNFSKKCCSKNSLDPLVS -FGCRDTKKKDFKKSSKYKAYKKKKTLKKLWKKKKRKFTPGKYFSKKKPEKFCPQGRKKCRCWICTEEGHY -ANECPNRKSHQEKVKILIHGMNEGYYPLEDAYTGNLEVFSMEIIEETTSEEESTTDSDSSSSDDEQLSF - ->NP_612576.1 Coat protein [Carnation etched ring virus] -MNREAILWKNINSIPEEPDLIKSLEVLSMEQNDRERELEHNLILNKQISEQIPEWIIPDSLSELSSGIDL -NFVLEEQEVNDNNSQPSLEEEVVSESDVESMRSFNVAMNRGEVGESSNKRPKREPDLFTSFGKIREDIGD -KNPSLNILNLDCVNSPSDRKNKIDKWAAELGLVFLTNPEAYTTAPNAARARLAYMEHKSLGIVNRFIKST -QWTQMNGDILLNVVSGLYTMFLGEDYTGNQEKTLEQERAKASLRLINLQLCDICSLQSFFCDYESNLYKL -PQNEYPSLVKQYLAKIPIVGEKASKRFEEEASAATSYSLGFAHKLVNEELAKICELSKKQKKLKRFNKNC -CSTFEKPYEYGCKPSYSKKKKYSKKYKPKYTKYKVIRKKKKFSPGKYFKPKDKKSEKAKYCPKGKKTCRC -WVCNIEGHYANECPNRQTSEKFKLIQIAENYGLEPIENPYEDQQEICLLEQIQLSSSDSELDDTCEESSS -EESE - ->NP_395468.1 putative coat protein [Blueberry red ringspot virus] -MASDNIDQQIEEIRNIMNNLKIEKTEENEIIFGNESENSDYDVRMDDVKEEPGTSNFEERWKRKRNPTYE -YEPYHTDPFINAEDYGYKRGFYKNRQGKWKKPKEPGPVTGRLEDGILNLDCLTNGEELLKQWTAKQSLST -QIDATIRDMDAENYNKYLIYKTSGVVFNYIVDIDIPNISSMKNLEILEEIATKIYQEFLGGMSTQRATAA -DKHDQERVKYILHKMKICDMCEFEEFYCQFIHYYYMLESRERTEYMNVFIQKLPYPLSKTINDEFQSQKN -ANLIPDTIRRYSQSNKSIHILLQCTKEQEKMQLINVTKCCPKFEYIPHKFGCSPNSSFRRGRKRTKPKYS -KYKQRKYHTFKPWYKKKRYRMYKRKYQPKYKQRYWKNKSNQKYCPKGKKDCKCWICQEDGHYANECPNKD -KRRDKVKLLEQLSQVNLEPIENDNISEEELWYLQTDEESEEENSSDESEQYFYQDNNQSEDDISIY - ->NP_068728.1 putative coat protein [Soybean chlorotic mottle virus] -MEETQQELTQQLKELETLMAAINLDDSKKKQPIYQNSSESEESETENKNFIYDFSSEEDFEEPVKVKIEE -EAETSNKRKFDKNPEFTRFKYQKIPKEYVPAHQTTSTIGVLDIDCVANTEKIIKEWFNHHSILITINEEL -KNLSSLDTFYYLVYKTRGIAHAYLSNLPSEVLSRIPADRKQVDDWVYNLLLREFVGRLERPESEEAFSQN -NYYKLINLEICNMCYLENFLCEFQSRYYGINPIDRENLKVDLLLYAKLPEYVRTQVEAYFNASITSNKLD -NTLGGRITALKLWQTEQCNQKLAKRQASVGLCCSKIEDKIGKYGCRKSNPRAKKPKKKFRKIKKYPKKNF -WKWNNQRKKKTFRKKRPFRKQQTCPTGKKKCQCWLCHEEGHYANECPKKDNKKAQTLKLIFDLGFEPVES -DIETDEELFELTSEDSSEDEY - ->NP_043932.1 hypothetical protein [Strawberry vein banding virus] -MVSRRERLEQLFDEDHPEMDIIIQYLSLLDHELDDCQEEKLQVLVAKEMIDYSSSEDDEPTRNVKVNIKE -EDEETYRPNRKRRGNSSSQPNYTRYDIPQEYIPNRKTGLTNTKSLDLDCASNRRQLIEEWDNEMRLIIKT -EKALTNDFDLILTLAKSKTVGNAKQLLESLHTEAFKQASTTGEEFLTTLTNSFYTIFVGTNYLTQGTREK -EKAVQEARNRLVKLQICNLCSLESFFCDYETNLLKLPIEEWPKYIEEYIRKIPFVGMEVLEEYSKQDSIT -KGSLGYAHNLIKAYMEKKCKSLKIKKEIRRNMCCPKFSSPETQYGCKPISHKKAKKQKYKQYYKKKYRLR -KPKRWTNSRRKYSGRKLFRRKRDQKEETSQQSPEEKKKFCPQGKTTCRCWICNEIGHFAKDCRNKSANHN -KIIEELQSLQLEPVFDLNELKIEEKFWELKEVSESSESESEISSDESSDSEDLE - ->NP_042512.1 hypothetical protein [Peanut chlorotic streak virus] -MDNIDRLTQLLEKMNLGNMAKLDEEDAEGIIRGIDSDDEGPTFNMEKSFRNCSPSGNLTPSKRPKVEEGE -TSQQEDFQAKLDKSFRDYSYKKIHGKTDKKVPFKYLQKERGIEDGILNNHCAENQEELILAWINRLKLKV -QSGDETIRNLALSDFVTYLQYQTTGVLADWAQNTQIPFPQGLTGSETTAEAKLQYLNRYAQEIFSEFIGY -GPDEARKEDKPNIIRILSNMKLCDPCYIENFFCQVEANYYKVTDRTGLLDIVLSKMPPPMVTYIQTQIND -PSRTRRILTLGLIRRFAIEYRQNLCLRKIEKNYIRNVDPKCCKQLDDVPQEYGCSQPYRYKKKRKPFRRI -KARKYPYRKWKPKYRYKVRRKGYSKQQNKQKTCPRGKKTCRCWICQEEGHYANECPNRKINQKKDKYVRM -LYSVGYEPIEEDYETDESLDFDIYSLTSETDSETESENEFEE - ->NP_056727.1 capsid protein [Cauliflower mosaic virus] -MAESILDRTINRFWYNLGEDCLSESQFDLMIRLMEESLDGDQIIDLTSLPSDNLQVEQVMTTTEDSISEE -ESEFLLAIGETSEEESDSGEEPEFEQVRMDRTGGTEIPKEEDGEGPSRYNERKRKTPEDRYFPTQPKTIP -GQKQTSMGMLNIDCQTNRRTLIDDWAAEIGLIVKTNREDYLDPETILLLMEHKTSGIAKELIRNTRWNRT -TGDIIEQVIDAMYTMFLGLNYSDNKVAEKIDEQEKAKIRMTKLQLCDICYLEEFTCDYEKNMYKTELADF -PGYINQYLSKIPIIGEKALTRFRHEANGTSIYSLGFAAKIVKEELSKICDLSKKQKKLKKFNKKCCSIGE -ASTEYGCKKTSTKKYHKKRYKKKYKAYKPYKKKKKFRSGKYFKPKEKKGSKQKYCPKGKKDCRCWICNIE -GHYANECPNRQSSEKAHILQQAEKLGLQPIEEPYEGVQEVFILEYKEEEEETSTEESDGSSTSEDSDSD - ->sp|Q02951.1|CAPSD_CAMVE RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAESILDRTINRFWYNLGEDCLSESQFDLMIRLMEESLDGDQIIDLTSLPSDNLQVEQVMTTTDDSISEE -SEFLLAIGETSEDESDSGEEPEFEQVRMDRTGGTEIPKKEDGAEPSRYNERKRKTTEDRYFPTQPKTIPG -QKQTSMGILNIDCQTNRRTLIDDWAAEIGLIVKTNREDYLDPETILLLMEHKTSGIAKELIRNTRWNRTT -GDIIEQVIDAMYTMFLGLNYSDNKVAEKIDEQEKAKIRMTKLQLCDICYLEEFTCDYEKNMYKTELADFP -GYINQYLSKIPIIGEKALTRFRHEANGTSIYSLGFAAKIVKEELSKICALSKKQKKLKKFNKKCCSIGEA -SVEYGCKKTSKKKYHNKRYKKKYKVYKPYKKKKKFRSGKYFKPKEKKGSKQKYCPKGKKDCRCWISNIEG -HYANECPNRQSSEKAHILQQAEKLGLQPIEEPYEGVQEVFILEYKEEEEETSTEESDGSSTSEDSDSD - ->sp|Q00956.1|CAPSD_CAMVN RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAESILDRTINRFWYNLGEDCLSESQFDLMIRLMEESLSGDQIIDLTSLPSDNLQVEQVMTTTEDSISEE -SEFLLAIGETSEDESDSGEEPEFEQVRMDRTGGTEIPKEEDGEPSRYNERKRKTTEDRYFPTQPKTIPRQ -KQTSMGMLNIDCQTNRRTLIDDWAAEIGLIVKTNREDYLNPETILLLMEHKTSGIAKELIRNTRWNRTTG -DIIEQVIDRMYTMFLGLNYSDNKVAEKIDEQEKAKIRMTKLQLCDICYLEEFTCDYEKNMYKTELADFPG -YINQYLSKIPIIGEKALTRFRHEANGTSIYSLGFERKICKEELSKIRDLSKNEKKLKKFNKKCCSIEEAS -AEYGCKKTSTKKYHKKRYKKKYKAYKPYKKKKKFRSGKYFKPKEKKGSKQKYCPKGKKDCRCWICNIEGH -YANECPNRQSSEKAHILQQAEKVGLQPIEAPYEGVQEVFILEYKEEEEETSTEESDDESSTSEDSDSD - ->sp|P03544.1|CAPSD_CAMVD RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAESILDRTINRFWYKLGDDCLSESQFDLMIRLMEESLDGDQIIDLTSLPSDNLQVEQVMTTTEDSISEE -ESEFLLAIGETSEEESDSGEEPEFEQVRMDRTGGTEIPKEEDGGEPSRYNERKRKTTEDRYFPTQPKTIP -GQKQTTMGMLNIDCQANRRTLIDDWAAEIGLIVKTNREDYLDPETILLLMEHKTSGIAKELIRNTRWNRT -TGDIIEQVIDAMYTMFLGLNYSDNKVAEKIEEQEKAKIRMTKLQLCDICYLEEFTCDYEKNMYKTELADF -PGYINQYLSKIPIIGEKALTRFRHEANGTSIYSLGFAAKIVKEELSKICDLTKKQKKLKKFNKKCCSIGE -ASVEYGCKKTSKKKYHKRYKKKYKAYKPYKKKKKFRSGKYFKPKEKKGSKQKYCPKGKKDCRCWICNIEG -HYANECPNRQSSEKAHILQQAEKLGLQPIEEPYEGVQEVFILEYKEEEEETSTEEDDGSSTSEDSDSESD - ->sp|P03543.1|CAPSD_CAMVC RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAESILDRTINRFWYNLGEDCLSESQFDLMIRLMEESLDGDQIIDLTSLPSDNLQVEQVMTTTDDSISEE -SEFLLAIGEISEDESDSGEEPEFEQVRMDRTGGTEIPKEEDGEGPSRYNERKRKTPEDRYFPTQPKTIPG -QKQTSMGMLNIDCQINRRTLIDDWAAEIGLIVKTNREDYLDPETILLLMEHKTSGIAKELIRNTRWNRTT -GDIIEQVINAMYTMFLGLNYSDNKVAEKIDEQEKAKIRMTKLQLFDICYLEEFTCDYEKNMYKTEMADFP -GYINQYLSKIPIIGEKALTRFRHEANGTSIYSLGFAAKIVKEELSKICDLSKKQKKLKKFNKKCCSIGEA -SVEYGGKKTSKKKYHKRYKKRYKVYKPYKKKKKFRSGKYFKPKEKKGSKRKYCPKGKKDCRCWICNIEGH -YANECPNRQSSEKAHILQQAENLGLQPVEEPYEGVQEVFILEYKEEEEETSTEESDDESSTSEDSDSD - diff --git a/seq/clusters_seq/cluster_23 b/seq/clusters_seq/cluster_23 deleted file mode 100644 index ae98d32..0000000 --- a/seq/clusters_seq/cluster_23 +++ /dev/null @@ -1,2355 +0,0 @@ ->YP_010084737.1 polyprotein [Aimelvirus 1] -MGGSESKVSSSTNQSGNQGIVINNYYSNHYQNSIDLSGHSSGVGDEATGNTPNPFSSLTDSLGNLALMGT -MLLDPDTENTTNMADRVLTKSLANTAINSQSSVGVLRAYKSNHKNRPPTSCTDQPTRATQSTERYFTRPL -WDVNWSKSQAVYDYFKISTYDLMRGFGGLVEQNMDNHSYMKCGWRVQVQINASSFHSGSMGIFMVPEATF -SVDNEKKKWLKFNTDFRQGLTKTAVSPEQLFHCPHQVLNLRTNTNCSIDVPYVNCTPTSYLEVHCPWSLI -CMVLTPLNYTSGAAPNIGIAISAAPIDPIWNGLRQAPMRMQSPIPVTVRENAQMFTSTGPDTNVPIYGNT -VNPSRENTGEIKDILQVCRLPTPVNLSTTAGEFRPYFTYSDAVVSTDQPLMQLDLSLPGRHLVRTGLSQL -SRLFTQFRGSLNIHFMFTGSAMCRGKLMLAYTPPGAGPPRTPEQAALCTYVTWDLGLQSTYEFTIPFISG -TDYKVISTPNSSTLQLDGFISVFALTSLTYPPNTPTSGDVMVFISAGEDYSLKNPVGSLVMQGTDNLETG -ATSSDATTVDFTGTKLEVNKRHTDVEFFFDRSFFIGFGISCNLAGTNLDNNNCLVTLTLTDFALGLNRDG -LVWNQQRNISPVSFLAACFFTYFHCDVEVTVIPTLDADEDYRVYYYPVGSPLPKQDNFLRFADANNKGFN -VGNFIASAAPMTRSPAGHVASFTIPYSSPLSTMPVYFDGYAQLSGRGSYGTAPGNSFGTLLIVEETTQTV -AGKYSIYLRPKRMQCYCPRPMLPFQVPNHNTERGKVRTTEGEPREDKKTAPRLALQPHDEQDHSNILLGG -DIEENPGPVLSKFAVLRFQGPSPTLEDEGPKTFKLLKELFKNKNKLNDADLEQLDKMIDEEQKPKKARQK -AKTHDEKENIDLSAFTEFLEADDPVETAAKGWRALRELQSVWEFTKGLLGSVDFWYTFILQITGAVVGYT -LFMHALETGDAKTIFLATMTSFVTVLSLSKVKNFFVENLSKILKTKPPELKETKEDKDFFGLFNKIKNFG -KMKDQAPSLLSDTNAGFTLARHIEWAVNLIRRVYNWIMDWISVEEESDEAKIPHLMRELPEHVKVIETDR -NGFGSGDPEPSYQFVENLYELAVKCGKPAIASFVERYRKRKVVNTARTEPVVLVVRGLPGAGKSIVTQLL -AQSVSKNFTGKQSVYSLPPDPKHFDGYSRQFSVLLDDLGQNPDGEDFKLFCQMISTNQLVCPMAALPDKG -ITFSSLFIACSTNLPRFNPVTVSDTNALSRRIYLDLTARPGRMAETNGRLDLEKALEVTGPSPRPDLFRN -NCYILHKEGLELVDNRTKQELSLLDVHDLICAEIVRKQNILLDLNKLVFEGPTADDDEQPSTSTQDYDPI -FDPLPDSNIYRAEDPTLRDVAKELVIIKTSLAQVISLKENMVKAGIIFTVVSSLLLLLFRLWRRNDDPVR -VPRKEEDKEDKEEKAVLKFITPGEEEAAYEQVKKKPLVKKTLELQAPTMDFEKFVLTHVSATFTFYDGNK -ALSQTCLTPTDRLIVVNAHTWERVEDTFEVKGVKYHRESCKYVQLTKDDKDTDAVFVLLPNGPLFKNSIN -KFIASNQTFPIRGTAVNGLNANGPLMYSGNIITGPSLHETESGEKSLMFLYRATTKYGFCGSPIVGPVGG -NTRILGMHSAGTCGVAGATLITQEMIKLALNHLKKKETLKDEGAITEIDDGPRTHISRKSKLKKTMAHTV -FKPDYAPAALSKKDKRLNEGVDLDKQVFTKHTGNTEKYPEEFVWAAREYANELFTHLGKDFGIMSSEDAI -KGIPNLDGMDPRTSPGLPYTLHGERRTDHIDFETGSVSPELGAKIEHMLATGEIDIEYQTFLKDEVRPIE -KVKKGGTRTVDVPPVEHVILGRMLLGKFCAAFHANNGTTIGSAVGCDPDVDWTRFATEFCECENIYDIDY -SAFDSSHGTGMFELVANEIFTPKNGFHPRVRDYLMSLAVSTHAYEEKRYLIEGGLPSGCSCTTVLNTVMN -NIIIRAALKMTYKNFDSKDVTILAYGDDLLVGTEYDLDFNKVKEKLATVNYTITPATKEGTFPLHSSILD -VQFLKRKFEPYIIHGFIFRPVMSEKNLEAILSYYKPGTLQEKLQSVAQLAVHCGIDTYDRLFKPFRDAGM -AVPTWWSMEEKWESNFMGWTT - ->YP_010084735.1 polyprotein [tottorivirus A1] -MATNMEKKENSVRTLKITTKYTGYGLVNVIQPPLNNWLDYNGFDPGTLEWHGSGQSKQETGGTNNSGNHG -VVNYNYYNTHYQNSMDLSSFGGDKHQNNYGHEGGTTNSEVQNTKQNLLQTGLSAITQLIPLLADSKTETY -QNSDRVQVDKSGNTTLVSQAAVGRHVVTKPRPRYNVSSAADMESDGGPSTNRFYPIKVNTPWSTTQAQGE -YWMMRLPQALGANGGVFTQMAARHSILKCGFDVVVMVNSTRFHGGCLGVFLVPEFTQKANTVGFDTKEPQ -TNITIDETIPLQQLFLYPHQLLNPRTNSSVTVSVPYCNFAPASDPTTHSTWSIVVVVISKLTLVTGATPN -LTLQVNVRPTEAVFHGLRYASTFQGPIPIKLTQNSLQFVTTTPGTSDPVYGPCIAPTTDHMPLEVHDALQ -LMNIPTLCGPVGEEGELGVITLKQDHRSVAYHVDVSLSSRFIINTAIEAYSRPFAQYRGGIDVNMVFVGN -QMQNVRYMVCFTPPGVDPPTTPEEGMNCEYIIYDTGLNTSCKFTVPYISTTDYRYVNSGAPNDVTVAGYV -SFFQITDLAVPVGSPTTAQVLIFVSASDDFSVKHYAHSSFTYQGNEEPVEPGETGVSKQGDQTLQETSPM -PVAPSNSNLSYMADRWAVMEVAKVENNDGAIVELSLKNLMKYSNLLEDLGCLYVRADLELSMKVLNEDAL -TGNIGIYAAFIPPGATNPGGTQIFNGPHGQNTVTLPALLKYSGCPVVKLTTGWTSMNVPYTSPLSALPMR -YSGYANYTGGDWGVPPAATWGHLELRATTGTHQVLIYFRLKNFRGWVPKTRPRVPLPQRGRARDPNLVCT -SGASNYSLLKLCGDVEENPGPGIFSKLSSLHALSELIPGEKDCEQFVKWAQELKNKWDNLKEGSSEMLFK -IFKWLVMGMCAFKAGVWGKLLFAIYCSGNLLKKIIDYIVSKLKDFKTTPPKISQKALDIAKKYCKKPKKD -GDDDWPDPPPLDENGYDETLNPFHKEKNWLDWIKDLFKPAFEGPLQDIASVGTILRHADWLFKTVNYIIG -WLKSWVRKERDCGYKALCEDYPKIPEIQQVLKNKPRHSNDWQDARAWLADFKLRAEKLGVEGEFRIPEIP -DPPNNIRPEPVVVVLRGAPGQGKSVAAVLLAQQISHRLGGNGHFFGYSAATKHFDGYSGQPVVVFDDAGQ -NTDGVDFAFFCQMVSAAPWVPPMADLSQKGTPFTSPVIIMTTNMSDFRPNTIADATAIDRRLTIELDVRA -LLCKKTRTGSVLDLPAALDGSPEQWRIWTDAICLTERTVGGRALNAVTKTITLKRLIDKVIEEVEKKQML -SDKLVLEHPVDLSQLNFQGPLEPPKPAPRNKDIEKKKLDWQRALDIATQVVTILTMVITGFSLLKLLFQG -PYDGQPHKTAEKRPRTVEMVDLRFNGPYCQDLEMSFKKKSVLVAPCRRPDGRIFNTNMIGLKGRVVLWNF -HLFNMAEEVEIDGEWYKLEDLETIRVTSNGEPTDMVATRLPKGRPFQDISKYTTDDSPRYGAPIIGVCKS -LDQNFAGVLRCHKDKVQLTGFQSTNDVYTYQAATGPGYCGSPIFCQVGNGRYVVGMHCAGGTEIGVACRI -TRTLVEKVLESFQPPSFQGLITDEQPHPRVYMNTKSNFYPTPAHDQYTSVSPAALSPRDPRLDEDVDLDK -AVFRKHTSNENRTPNWMIEGAREYASIVKSVCGPGIEKALTLGEAVRGIDGLDPIDFDKSPGYPYVLSGQ -RRPELLKDCGDHFEMDQIVYAELINYLSGDFGNHKFVTFLKDELRPDAKVKVGGTRTVDIASFGHMLVGR -MLFGRLASQMHLHPGVELGSALGCDPDTDWTRFANELDNSYYLDLDYSGFDSTHGIGSFEALKIFLKELG -FDDVAMKYVDSLGTSTHVYKNKQFVMVGGLPSGCACTSIFNTVLNNIILRGLAKFKGYEIKMLAYGDDVI -VTSGECFDFIDFKTTLEQGTNYKVTTAAKDELFAWNTDIGGVMFLKRYFKRDGILYAPVMSCVHLHNILS -WARAGTIQEKVTSVAGLAVHCTKDQYYDLFRPFIETGFVVPSYDMLKDLWLWKNGVLPPMQ - ->YP_001686941.1 VP1 [bovine rhinitis B virus 1] -VTDVGETGRYKILDATQQNGHSANTFRLHTDVSFALDRYALLSVVSGNASNTHQRYTNLDPTKLPADTFM -KKLIDSCTYYFSDLEVTVIAKGEVPAWANVIWHPVGAPHTFTNDNLPDDVNVYLTTSSNVSVGFTGPSSS -GSIATFAVPYTSFYRVLPTKYAGRTLFVKQEQFEPFNHGGFGEIITTGREATKHRVLIRMKRAEMYCPRA -LYPSASVTQ - ->YP_001686841.1 BRV2 polyprotein [bovine rhinitis B virus 1] -MTTERLLHQLLIHTIHKKINKTNNMALELGFNNTLVTADLDGNEKIIHGLPNRHDNCWLNSLMQMTNWVG -EGFFKNTYDNPDLIPQTIKFLTEYTGTDLSYGGPPSIVLYKIRDLLDTKVGTSKEPGDYIVSCQGTYCLA -DMQAGVFMDGEEHAVFYACTALGWIKVDDESITRCIPDPANVLVFVPWDRETICDYDAEFFKQVYLRGAG -SSKPQSGNVNESGNSGSIINNYYMQQYQNSIDVTVGDKTTEGGSGSGDTAGSATHNNTTKEDKGKDDWFS -SLVSGIGSAIPGAVVGLLADKKTEETTKLEDRIMTTRHHTDITTTQSTVGITHGYAHSELDQVNAASGTH -EHVDHVSRIYMKKLFTWSMSDATGYYHAYPLPDLILTEAREYHNLLKSYALYRNGWEVHVSVVSTMYHSG -CLIVAMVPEFVKENTNGSTYNPTFAQLTLYPHQLINLRTNTTASIRVPYVGATDMDDHRLHSVWSLVVGV -VVPMTISNGGSDLTSLDVRASFTPLNVKVAGPMPNKQGIVPVAAKAGYSGFCTTSPITADPVYGKVVNPP -RRHIPGRFTNFLDVADACPTMARFTSKPSITTVSGASERLLATIDVSLVSHEMSFTYLAGLSSLYAQYRG -SINMHCIYTGFVSDKAKFLLVFVPPGADPPTTLSEAQHCITLEWDTGLNSETVFNIPYISQTYYTSTHSS -TADIGNVSGRVQIYQVTAPSSTSELLVLFSSGRDFQLRCPVEPVKQVTDVGETGRYKILDATQQNGHSAN -TFRLHTDVSFALDRYALLSVVSGNASNTHQRYTNLDPTKLPADTFMKKLIDSCTYYFSDLEVTVIAKGEV -PAWANVIWHPVGAPHTFTNDNLPDDVNVYLTTSSNVSVGFTGPSSSGSIATFAVPYTSFYRVLPTKYAGR -TLFVKQEQFEPFNHGGFGEIITTGREATKHRVLIRMKRAEMYCPRALYPSASVTQLSTRRKLRLTGEIVK -QGATNFELLQQAGDVETNPGPASFSKLIEDFGCLSNSMEEIARHIGDFKVMMKGAGPWYKAFKYLWKVAT -IVVTITRTKDTVLVGMLLADIGLEVFDTRVMMDNLVDRFKPYFHVSPPKFDFKTEVLDKVRDFFATDEEE -EEFDATNPFKQISLKSVNDIFNLVKNGQWLMSFFLSLRDWFRTWLESEEKFITYHDLVPQIIEHQERLLV -PDEYAEAHNWLERKREVLLQANQYALAKLCEPKVGPPPETRPEPVVILFRGDSGQGKSFLSNLIAQALSK -LLTGRVDSIWSCPPDPDHFDGYRGQKVVIMDDLGQNPDGTDFKYFAQMVSTTAFIPPMAALEDKGKVFNS -PVIIATTNMHEHFTPKTMACPGALVRRFTYDYVLAAKKPYIREKTETLNVRKALNATGHECPCGLFEFDC -PLLNGEAIDLTPVRDTPAVESVYELIELVYNEVMDRRTVSDLKILKQMGKYTTLDNIKKHRPTVIPFSGQ -GYQPGRDINASPEMQEKLLKYLVKHEHLDAALNFYNEECDEEVCTKWGPSIGEYLKVKTLWMKVKKYSHL -FLTGLMLIGNMLLLYLNNRTPEEKKKKKKNKTEEDNTNKEGPYGGQAKPPVKVDKLKVNPLITTESGNPP -TDMQLVVLKNTQPISLVRDGQIVATCCALGVFGTTYLVPYHLFEESFDTLIIGDRHLKEQDYKLDTFELR -DGKISDVAALTLNKGARVRDITSHFRDEVKVTKNSPVVGCVKNTTVGQLVFNGTAAGFKDHIICSDGDTL -PNMFVYTANTQYGYCGSGILVKDGSHTVIIGIHSAGGNGKGYASCVTRSALLALRGRERPELEGLMLGER -PGEKVHVSRKTKLAPTVAYGVFRPNYGPAALSNSDTRLNEGVVLDNVIFSKHNSNVVLGEKDLALYRLCA -AEYASHLHNVLGKTGAPLTNVEAVLGIDGLDAMEPNTAPGLPWALQHKRRHDLLDFTTGEMQPALAERFE -QLENMDYSFECQTFLKDEIRPSEKVRAGKTRIVDVLPLEHIIFSRKYLGRFCAAMHRNYGPNIGSAVGCD -PDVAWQEFGTHFSQYRNVWAIDYTAFDSCHSTQLMSVMADEVFSDAYGFDERARYAVKSLCKTVHAYEDK -RITIDGGLPSGCSATSILNTVLNNIYVMFALKRTYPNTEMSDYSLIAYGDDLVLASDFDYDINLVKKSFA -VLGHTITPEDKSDNGFTLGKNITDVTFLKRAFERDCVLGFYKPVMNSKVLEAILSFARRGTLQEKLTSVA -GLAFHSGEEEYNRLFSPFVGSFEIPSYRCLRLRWVHKMSN - ->YP_009664724.1 polyprotein, partial [Bat picornavirus BatPV/V1/13 Hun] -MVTIYEYNMASQNCHCCFNSVLSRCSGSYSPAMSEKLDTPPPLPPKNKHKFHLPCLGTGASFALDEKFEE -SRIIPSNSGKVVSLVSFEIPKYDLKDEGGNSSKPSSSSNNNEGVVVNNYYANNYYGSIDATGTAVGTGGG -PESTLGSLISSAGSLAGSLLMDQDTEETTNLPDRIMTEKVSNTAINTQSAVGRLIAYSHFDSGNSPVSCS -DQPTLSQPGNERFYTTNLVTWTKTQAPYEIVTFTPARELAKMGNLYASTQSQHFLEKCGWRVQVQCNTSQ -FHSGSLLVFMAPEFPKFHSDSNNNGEDHRSWFTVPELDWFRANGNPVDSKLYYWYAHTKEQWPLYPHQIL -NCRTGSSVSLEVPYVGVTPTSHRPQHNGWTLVVAVLTPLDYAQGAATQIQITASFCPVNPVWNGLRHYGL -SNQAPVPVTVRENDGQFLTTIPDRTVPAYGLVKGPSDYMPGEITDLVEIGQIPTFVSYAKQASDTPAPYF -EVLSTGAAYDLVFEANVILTDKILLRTAAAATALNFTQYSGSTIYRFMFAGTAMHKVKFLISYSPPGAGK -PTNLEAASQGTYAVWDVGLNSTFEFTIPFISPSERRFTFNGNTTELDVDGWLQVYQLTSLTYPANSPASA -KVVVSFAFGPDFSLHNPVTPMLNEGTTNAEEGAPDVQPPSADFAATTLDVPKFSQSSVGFFYDRAFYSGS -MTARGLGNDGGMGISNVMLLTPHFTNGTNQVLYRENSSWGAYTKFCYGFLAACPFTYYKCDLEVTIVPRV -GFGAMTYNVHWFPSGAHLPNSAFAEHSQQKVYRGLLSTQPTASNSGFKPVSFTVPYTSPLSYLAVDYDGW -NAFSDRKYGIAPANNFGAIVITMEGGNPEQTRFSVYLRFKNMRAFCPRPYRMALRTINSRFRPRTTEGPP -PPHKLELIDCPSVHTDFPEDFGLDTSDDEEDYNSLEDHSDILLGGDIEENPGPDSLMDAVLKDLTQEAAN -LPPEEAKGFKQTLKNVRETAGKFAKKLKPKKPDSPKIDKEAECAFLAFLEAEDPLDTMAKGWSAIQEIQH -LWASVKRLFRDAGFWFDLCCMLLKYVISTLVWILNPTTSVTLGLAAMAAIDLVSMKGLKTKIIDYLEPRL -GPPPDIPDGLFDEPPSVLNKVRGAFGLNDQMDLKDEAGENVVKHVQTANHTFNFLKNLEWISNTVKKLFD -WLASWFKKPEATKQQVLEDKMKLFAPAVDEIQAYRAGETNVFPETSSNLIKEIFALATETGRTGLANLAS -KFMIARTNNSPRMEPVVVVLRGKPGTGKSVASHVLAQAISKQLTGRQSVYSFPPDCDHLDGYTGQYCVVM -DDLGQNPDGEDFSTFCQMVSTTNYIPPMANLEDKGRPFCSNVIIATTNLSVFSPVTVADPAAVDRRIFLD -LDVVPGSACIINGKLDLEAALEPIGPAIGPFRQDCELLHTAGLSFIDRRTRTHYSLLEIFQLVEERIKTK -TAVKKNLMNLVFEGPNDPPSFEDFILRMNLATAERDIIMREMRDLKQSVHEGKELQMQFYSLVLVIGGLA -GLCYAIFNLTQIACEYFMPDTPPEKEEVERAPFLEPAQTQGAYDGKVNKKPIATKKLQLQGPGTPDFEKF -LACHMVTSIHFNFEGQPSPSSQSALLLYERCFMVNTHTWEKDWQSFELRGVAYTRDSCDWIDLYKEGVRT -DATVVRLPKGQMFKNNINKFISADMTFPQKNTPITAVNCQNGTLFYSGHIIRSPQTCEIIRGLSSSMFIY -SAQTYPGYCGSAITSTVKGKKVVLGMHSAGNSGTAGGIFITQQDLRRVKAYFDTKDTEQPEEKLADEGFL -TELPEGPMIHVPRKTKLKKSPAYPFFKPAAGPAVLSQNDPRLDEGVDFDKQVFSKHSANQKHYPDAFRRM -MEWYADVVFTYLGKDNGPLSIKDAIKGIDYLDAMDPNTSPGLPYSAAGIPRTDLIDFDTGEIINCALSCE -YNKYVDGDFSDHVFQTFLKDEIRSEEKIKAGKTRIVDVPSLAHVLIGRVLLGKFCSKFQASPGTVLGSAI -GCNPDSDWTGFAHQLMERAWCYDIDYSNFDSTHGTGMFELLIDCFFTERNGFSPKVAPYLRSLAVSKHAW -MDKRYQIEGGLPSGCSATSVLNTIMNNVIIRGLLSLTYQNFHPEDVVVLAYGDDLLVASDYILDFNRVRQ -VAAEHTLYKLTTANKAPDFPETSTLLNCIFLKRRFVLHSTRNFIWRPVMDRTNLETMLSFYKPNTLSEKL -LSVAQLAFHSGYQVYEELFKPFKDLQMRVPSWYLLEHEWEHNFD - ->YP_009513028.1 polyprotein [Foot-and-mouth disease virus O] -MNTTNCFIALVYAIREIRTLFLSRATGKMEFTLYNGEKKTFYSRPNNHDNCWLNTILQLFRYVDEPFFDW -VYNSPQNLTLEAIKQLEELTGLELHEGGPPAAVVWNIKHLLQTGIGTASRPSEVCVVDGTNMCLADFHAG -IFLKGQEHAVFACVTSNGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGEWKINVQRKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSNHTTNTQNNDWFSKLAGSAFSGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTYGYATAEDFVSGPNTSGLETRVVQAERFFKT -HLFDWVTSDPFGRCHLLELPTDHKGVYGSLTDSYAYMRNGWDVEVTAVGNQFNGGCLLVAMVPELCSIQK -RELYQLTLFPHQFINPRTNMTAHITVPFVGVNRYDQYKVHKPWTLVVMVVAPLTVNTEGAPQIKVYANIA -PTNVYVAGEFPSKEGIFPVACSDGYGGLVTTDPKTADPVYGKVFNPPRNLLPGRFTNLLDVAEACPTFLH -FEGDVPYVTTKTDSDRVLAQFDVSLAAKHMSNTFLAGLAQYYAQYSGTINLHFMFTGPTDAKARYMVAYA -PPGMEPPRTPEAAAHCIHAEWDTGLNSKFTFSIPYLSAADYAYTASDVAETTNVQGWVCLFQITHGKADG -DALVVLASAGKDFELRLPVDARRETTSAGESADPVTTTVENYGGETQVQRRQHTDVSFIMDRFVKVTPQD -QINVLDLMQIPAHTLVGALLRASTYYFSDLEIAVKHEGDLTWVPNGAPEAALENTTNPTAYHKAPLTRLA -LPYTAPHRVLATVYNGVCKYSKTAVPNVRGDTQVLDQKASRALPTSFNYGAIKATRVNELLYRMKRAETY -CPRPLLAIHPAEARHKQKIVAPVKQTLNFDLLKLAGDVESNPGPFFFSDVRSNFSKLVETINQMQEDIST -KHGPDFNRLVSAFEELATGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLEI -LDSTFVVKKISDSLSSLFHVPAPVFSFGAPILLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAVL -KNGEWLVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQRDLNDPSKYKEAKEWLDNARQACLKNGNV -HIANLCKVVAPAPSESRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRTNSVWYCPPDPDHFDGYNQ -QTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIATTNLYSGFTPKTMVCPDAL -NRRFHFDIDVSAKDGYKINNKLDIVKALEDTHTNPVAMFQYDCALLNGTAVEMKRMQQDLFKPQPPLQNV -YQLVQEVIERVELHEKVSSHPIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGMVHDSIKEELRPLIQRT -SFVKRAFKRLKENFETVALCLTLLANIVIMIRETHKRQKMVDGSVNEHIEKANNTADDETLDEAGKNPLE -TSGASTVGFRERTLTDRKARDDVGFEPAYPAEEYPQAEGPYAGPLERQRPLKVRAKLPQQEGPYAGPMER -QKPLKVKVKNPVIKEGPYEGPVKKPVALKVKAKNLIVTESGAPPTDLQKMVMGNTKPVELILDGKTVAIC -CATGVFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDITK -HFRDTARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYKAATKAGYCGGAVLAKD -GADTFIVGTHSAGGNGVGYCSCVSRSMLQKMKAHIDPEPHHEGLVVNTRDVEERVHVMRKTKLAPTVAHG -VFNPDFGPAALSNKDPRLNEGVVLDEAIFSKHKGDTKMSEEDKALFRRCAADYASRLHSVLGTANAPLSI -YEAIKGVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEAALKLMERREYKFVCQTFLKDEIR -PMEKVRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVWD -VDYSAFDANHCSDAMNIMFEEVFRTDFGFHPNAEWILKTLVNTEHAYENKRITVEGGMPSGCSATSIINT -ILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLGH -SITDVTFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQG -LFEIPSYRSLYLRWVNAVCGDA - ->YP_009513027.1 polyprotein [Equine rhinitis A virus] -MMAASKVYRVCEQTLLAGAVRMMDKFLQKKTVFVPQLDKQVRLTGLHNYDNTCWLNALTQLTQILGIRLF -DEHFGNRGLFTRKTIDWVSDQTGIKDLKSGAPPLVVVYKLWQHGHLDVGTMEKPRSITLWSGPKVCLSDF -WACVSAKPGHAVFYLLTSEGWICVDDKKIYPETPKTEDVLVFAPYDFESLGKDPPKLHQRYEKAFERLSG -AGTSTPTTGNQNMSGNSGSIVQNFYMQQYQNSIDADLGDNVISPEGQGSNTSSSTSSSQSSGLGGWFSSL -LNLGTKLLADKKTEETTNIEDRIETTVVGVTIINSQGSVGTTYCYSRPDSKAPSTVSDPVTRLGPTLSRH -YTFKVGEWPHSQSHGHAWICPLPGDKLKKMGSFHEVVKSHHLVKNGWDVVVQVNASFAHSGALCVAAVPE -YKHTHEKALKWSELEEPAYTYQQLSVFPHQLLNLRTNSSVHLVMPYIGPGPTTNLTLHNPWTIVILILSE -LTGPGQTVPVTMSVAPIDAMVNGPLPNPEAPIRVVSVPESDSFMSSVPDNSTPLYPKVVVPPRQVPGRFT -NFIDVAKQTYSFCSISGKPYFEVTNTSGDEPLFQMDVSLSAAELHGTYVASLSSFFAQYRGSLNFNFIFT -GAAATKAKFLVAFVPPHTAAPKTRDEAMACIHAVWDVGLNSAFSFNVPYSSPSDFMAVYSADATVVNVSG -WLQVYALTALTSTDIAVNSKGRVLVAVSAGPDFSLRHPVDLPDKQVTNVGEDGEPGETEPRHALSPVDMH -VHTDVSFLLDRFFDVETIELSNLTGSPTTHLLDPFGSTAQFAWARLLDTCTYFFSDLELSIQFKFTTTPS -SVGEGFVWVKWFPVGAPTKTTDAWQLKGGGNSVRIQKLAVAGLSPTVVFKIAGSRSQACGFNVPYTSMWR -VVPVFYNGWGAPTKEESTYNWLPGAHFGSILLTSDAHDKGGCYLRYRFPRASMYCPRPIPPAFTRPADKT -RHKFPTNINKQCTNYALLKLAGDVESNPGPTIFSKASADLNALSTSLGELTGMLKDLKAKAETYSPFYKM -AKMLFKLATLAVTAMRTKDPVVVVMLIADFGLEVFDTGFFFSYFQEKLQPYMKTIPGKISELVTDAATAA -AQIPKGVYSFVSSFFETPEGVVEKQVSLRTVNDIFTLLKNSDWFIKTLVALKKWLTSWFAQEQQADDALY -SELEKYPLYKLKLKEPDTQEEARQWFKDMQQRALAVKDKGLFSLLQIPLVNLPTSRPEPVVCILRGASGQ -GKSYLANLMAQAISLLLVGKQGSVWSCPPDPTYFDGYNGQAVVIMDDLGQNPNGADFKYFCQMVSTTAFV -PPMAHLDDKGIPFTSPVVICTTNLHSSFTPITVSCPEALKRRFRFDVTVSAKPGFVRTVGSSQLLNLPLA -LKPAGLPPHPIFENDMPILNGQAVKLALSGGEVTAFELIEMILSEVQNRQDTHKMPIFKQSWSDLFKKCS -TDEEQKMLQFLIDNKDSEILRAFVSERSILLHEEYLKWESYMTRRAKFHRLAADFAMFLSILTSLIVIFC -LVYSMYQIFKTPDEHSAYDPATKPKPKTQEIKTLKIRTETGVPATDLQQSIMKNVQPIELYCDGNLVTDC -SALGVYDNSYLVPLHLFEFDFDTIVLGGRQYKKADCEKVEFELEINGDMVSSDACLLRVPTGPKVRNIVH -LFTNEIELKKMTQITGIMNSPHQARTVFFGSFLTVKKSILTSDGTVMPNVLSYAAQTSRGYCGAAIVAGS -PARIIGIHSAGTGSVAFCSLVSRDALERTLPQKQGNVVRLDDDVRVSVPRRTKLVKSLAYPVFKPDYGPA -PLSQFDKRLADGVKLDEVVFAKHTGDKDISAEDQKWLLRAAHVYAQRVFARIGFDNQALTEQEAICGIPG -LDKMEQDTAPGLPYAQQNKRRKDICDFDKGQLKGAAKLQKDRFMAGDYSDLVYQSFLKDEIRPLEKVRAG -KTRLIDVPPMPHVVVGRQLLGRFVAKFHEANGFETGSAIGCDPDVDWTRFGLELERYRYVYACDYSRFDA -NHAADAMRVVLNYFFSEDHGFDPGVPAFIESLVDSVHAYEEKRFNIYGGLPSGCSCTSILNTVLNNVYIL -AAMMKAFENFEPDDIQVICYGDDCLIASDLEIDFQKLVPVFSSFGQVITTADKTDFFKLTTLSEVTFLKR -AFVPDGAFYKPVMDVKTLEAILSFVRPGTQAEKLLSVAQLAGHCEPEQYERLFEPFAGMYYVPTWRDLRL -QWLMKLGC - ->YP_009505616.1 polyprotein [Mouse Mosavirus] -MKVFKTKNWRDHGEPMVGSAGINMLQLVPERRNWKGKYGSLIPYTECASTEWTPTMVNEIEEQPECFMQR -AIEEYLSTQNMSYMKAVELRDAIAQLPVYANYADFLKITWLSPKDEDLWEEQMEIDHESRDVEPMEVVDC -EFAVTQGGGESKPQQGNVNGSQNQGINIYNYYNQQYQNSVDMSAPMLNAGGSNPMGTSSSHNTTSNSLSG -LLTAGFNMASNLVPLMLMDPDTEESTELPDRITEDTAGNTNITTQSSVGTLVAYHQLRSKHPVTSCADKP -TIGGPAMERNFVQYIGTWETAQVEYQCRYLPLPHGLEEMGVFETTAIRHYTMKCGWKIQVQLNTSHFHAG -CLGVFAVPEAQWVGKFTMSTEWEGLPTDMRPESFFLYPHQLINCRTNTSVDLILPYLNFVPTSAYGYHCP -WTLVFMVLTPLQIPTGASPVIDISCTVVPQWVQYNGLRQPQTITQGFAGHIRENQAMYASTIPDETTPVY -GLGFNPNTDFVPGEVHNFLEWTRTPCLMSNVVDDTIRGYFTASNTRSDEPLLQMDVTLASDHMRYTALGQ -MSFRYAQYRGSINVMLTFTGAAMVKGKFLLAYTPPGAERPSTIEEAMQATYAIWDLGLQSSYDFTIPFIS -VSDFRLTASGIPSTISVDGWFTVFQYTALTYPANTPQRSDVLVFISSGQDMCFRNIIDTREKNNDMQGLD -NAEEGASENPTTIEDFEGKELGSLTTHTGLGFVFDRSFGISHIKLDNTASYTMDLSMEVLMTKELAWWLS -SVTYFKADLEITVLPIMMKATPFKLAAKFYPVGSTVNIVANPTSTEGFLTITGPCPMILGMDRQPLTFAV -PYTSPLSVMPTKYLGFADYARTELANWAPGASFGALRLGLLNENQEDKVAVLVFVRFKNFRAYCPRPFKN -AGQTPTNSRAKVVTTDDFVVFRSAHQDVTLGGDVETNPGPTILTVPYETARTQGLEKLYNFVSGGIFQHV -LDTTTEAKDTVNGISRATNAFGDIIENFKKMSDMAVEAMQSVKQWISIVRKMFRMFCYLIIAYRTKDPVV -IGLLGVDMAFGDPFDIIEIVKNKLQKIFSTPAPPIATTQGVSDLNALFSLLKNGEWAVKLILTIKDWLNR -WIAQEMDTPEKQLQKLMPELLEALEIMEKKDAESQKKKPTLLPKIQHASKLARMCNRMSIANYCDSVLKP -FAMSGKQRTEPVVIVLKGKPGQGKTVAATLLAQMISKSLVGTQSVYSLPPDSKHMDGYNGQTVVIMDDLG -QNPDGLDFATFCQMVSTTQFVTPQASLADKGTCFTSPVIIATTNLGDFRPVTIADPGAVKRRIFLELDVS -AGVKTPSGCLDLAAAMQETSDQGLINTKPDCLTTCPKLMHSAVLKLTETRINVTFSLIDVFNRVMRQLKQ -KEEMTDLLANVVMQGVVTTEVETVKGSIIEKVTHDGEKVTIYDVQKEKTLLDNTLQNFLVAVALVPTFIT -ILSILVNVISYFFGTQEEEKQEEEAEAEGPYCGTCRQKKPVLKKAVTEGPYTGIVKKAPKKLKKVVTQTT -EEVKELKARFVKVTPEEIAETQGPKSDAEASLLERNTTPVTYLKDGKEVSSLTAIKLCSGKALINKHQFD -KDIWDTMVIDGIKQTRDQCQIVGFTTKRGIAYDLYVVDVPKMQCRNIVSMFTDEQPKQETLVGCCNSTNY -QKMMWHGEVLRCVENLHTNDGILPKTIAYKTPTRAGFCGAPLTARRGGQLKIIAVHSAGNGVNGFGTLIS -KKMIEEAVTQGIIYDERPGPFVAVNRKTQIKRSPLFPLFQPEAGPAVLSQYDRRLADGIVLDEALFEKHV -SDMDVLPKEFEIACDMYAEELFARIGRENGLVSMYRAMNGDGISDAMDMTRAVGYPYCLDSKKRLDMVEI -VETENGKLYLPTEQLVEETEKYFTGEEKPKFVTFLKDEVRSNEKIKQGKTRIVDASPFPYAIAGRMVMQN -FMSNMMRCNGTEVGSAVGCDPDTEWTRYFFELCDKYVFDLDYKAFDSTHPTAMFNLLAERFFTERNGFDQ -QAVRIFLNGLSDSDHVYEGKHFRIRGGLPSGCPCTSILNTVINNIIVRAAIIGAYQIDTVDFQKFRMLAY -GDDVVYATPQPIKPQDLADWLHANTNYKVTPASKAGTFPEESTIWDVTFLKRSFKPDEDHGHLIRPQMAV -GNLRQMLSFMRPGTFPDKVRSVAGLAVHCGEEVYNQLADAVALYAPGVSMPAYKYMKACWYAKMV - ->YP_009505602.1 polyprotein [cardiovirus C1] -MAHHDGIPCESSCPLVYATAVNDQFALLHLPEQEPEVYPLELLICDLEDDVFYPPPPDPDPEPMDCSEFV -HSRPNSPMEVDDSEVLEICSMELDEQGAGSSKPSTNPNQSGNTGTIVYNYYANQYQNSVDLSGSASSASG -APTKPTNALGSVLSDATSAFATMAPLLMDNDTETMTNLADRVSTDTQGNTAVNTQSSVGRLCAYGAEHAG -EAPSSCADEPTSDVLAAQRYYTITGLPEWTSTQDFPSFLYIPLPHALSGENGGVFGATLRRHYLCKTGWR -VQLQCNASQFHCGCLGLFLVPEFPRLNDPFRISTSWDAGSVWGRAQGNVTTYANLSLDHMNYYQMCLYPH -QFLNLRTSTSCSVEVPFVNIAPSSSWTQHAPWSIIIMVLSPLQYSAGSTSSLDLTVSIEPVKPVFNGLRH -ETLVPQAPIPVTIREHQGCFYTTMPDTTVPVMGRTISSPHDYMKGEVKDLVSIAQIPTFLGNVKNTHRMP -YISTSVTQRQLAKYQVTLACACMTNTSLGSLARNFSQYRGSLSYVFVFTGSAMAKGKFLISYTPPGAGEP -ISVEQAMQGTYAIWDLGLNSTWQFTVPFISPTHYRLTSYSSPSITSVDGWLTVWQLTGITVPAGAPPQCD -VLTLLGAGEDFSFKIPIQSTIPLTEQGTDNAEKGLVEDETAESDFVAHPLSTPGNQTLVDFFYDRSVCVG -TITASNAVRPHEMVLLSHLPSHNGNPLRYIKAQPGNTRLEGVADISALFYMPFTYCKYDLEVTALDLASN -AATAFSLHYLPPGAPPYVFSLNRELFPAAQPQAAARNPSVFQPSVVTRAMSLVIPYASPLSVMPAVWYNG -YGTFNNSGENGLAPDANLGRIVPCCNTSGRYLQFFFRYKNFRAWCPRPSSFYPWPHTTKAITAEPFPVLD -LEMPRVSRVYCFGFKCQVGVLYAKLFQLCPRSRALYNQTFVTDINTFTCFKRWVKGSPYGGRSHFTNETY -SARVLFFERPYGYKMQYRFGCSHSTKKVYKELSMENVMAEFDFFSLQGFENWLHAPLQEQGAAISHQYEE -IPDRKFDSAPNLPKCDRPKLEKPPKTLFNLLKKVVSEDELDPLQDLWTLIKKLVKAFNSIVDTLHKPYFW -IAQIRKITKFIAYTVLIKHNPDATTLACVAALVGTEMLDNRSIVDFITKCFRSWFTTAPPAMMEEQMPKM -KDLNDWFTLGKNIEWVVKMIKTLFNWITSWFKKEEESPQGKLNKLLLDFAENAETIKNFRAGKGVRQCTL -KVSVAYMKTVYDLAMKVGKTNIASAASKFMEVNNHHHSRLEPVVVVLRGAPGQGKSVTAQILAQAISKLE -TGKQSVYSVPPDANYLDGYENQHTVIMDDLGQNPDGKDFVTFCQMVSTTNFLPNMASLENKGIPFTSRVV -LATTNHQKFNPVTISDAGAVDRRITFDITVHARSEYRKGRTLDFGKAMQPIPDQEPPLPCFKTQCPLLNG -EAVCFTDNRTNDNYSLADIVCLVCAELSQKKETLDVANALVMQSPEIVITLEQMEEAMKSVFETAHQVTT -EERAELLQAIKDALNHAQVMDDWMKISATCLNVMLVAFTGYQLYSAWSSNSQEKPLKVVIDAATVPGEEE -AAYNGKVKKKKTELIPMQLEAPAMSPDFANYVLKKVVAPMTLRFEGGGELTQSCLMIRDRIIVSNKHALS -LDWTHIKVKGLWHTRESVTIQAICKGGNTTDIAAVRLPAGDQFKDNVHKFISKNDPFPIPMTQITGVKNA -DTATLYTGTFVKAQTQIFSTAGNQYGNAFHYRANTFKGYCGSAIFGKCGNSDKIIGFHSAGASGVAAGSI -LTREMLEQICANLGPTPLEEQGALTLIGTGEVSHVPRKTKLRRSLAHPHFKPNYDVAVLSKYDSRTDKNV -DEVCFQKHTGNKDKLHPIFGLYFTEYAQRVFTQLGTDNGCLTIQEAVDGVEGMDAMERDTSPGLPHTLSG -KRREDVFDFEKKQFKSEDAAASYRQMVAGDYSHVVYQSFLKDEIRPIEKVQAAKTRLVDVPPFEHCLLGR -QFLGKFAAKFYKNPGTVLGSAIGCDPDTDWTKFAVALSQYKYVYDVDYSNFDSTHGTGIFELAISKFFNV -RNGFDPRTGNYLRSLATSVHAYEDARYQIVGGLPSGCAATSLLNTVFNNVIIRAGLALTYKNFDYDDIEV -LAYGDDLLVASNFKIDFNLVKNNLSKEGYKITPASKGDTFPLESTLDDCVFLKRKFVKNDLGLYKPVMSE -EVLQAMLSFYKPGTLAEKLLSVALLAVHSGQKVYDQCFAPFREAGIVIPGYDLVYDRWLSLHQ - ->YP_009505600.1 polyprotein [Bovine rhinitis A virus] -MKTTIMEFTKYNGQKVSIHGLLNDNDNCWLNSLAQLANYMDSVFFDSYYNGNSSSMGEILQLQTLTGIQD -LTYGGPPSIVLYKIKDYLDFTVGTPSNPGQVCVTCGCDMTLADMHAGIFLDGDEHAVFYFRSEDGWVCVD -DDRWYFATPDPAHVLVFVPFDDEPMGQDSEIVFETCYARGGGQSKPQSGNMNQSGNSGSVVNNYYMQQYQ -NSIDTTLGDKPVIGGSGQGDTAGSATHNQNTTSPSGGGGMDWFGHLTNLASNVLPAAIGLLADHKTEETT -KLEDRILVTRVGPTTQTTQSSVGVVQGYGGPEPQDTTTLAAGLAVEVPSAQRFYDIGTWDWSTTSAAGHT -KRYPLPASLRKGAFANLAKTYVLMQNGWEIVVSAQGTLSHGGMLMVAMVPELNFKTESDAQPADYRQYTV -FPHQMINPRTNTTAHIRVPYVGAFSMEDVNKHNAYTLVLVVVSPLTAGGHTKTVVKVKVTAAPIDVRVVG -ETPERQGLVPLAPHVGYGGFKTTAPITADPVCGGVYNPPRQDMPGRFTNFLQVAQVCPTFGKVGSGATPY -FVTQTDNDVLLSTIDVSLTSYEMSSTFLAGLAQFYAQYRGTINVHFVFTGSVNDKARFRVVFVPPGTDPP -TTAVDASLHIHSDWDSGLNSEFVYPVPYVSPTPYTTTHGAEADQATVNGWIQIYQLDATASNLAVTVAFS -AGPDFELRFPCEPVHYEVTTDVGESGVGHDATVERLGGVDAPSFRTHTDVSWVLDRYAIAAKVAGYKASL -GAGLVLDPVALPTTSMMGQLLRAATYYFADLELAVVPRGQPGEYAMVKWLPVGTPFDLADTGLDGLALQG -LDSTCSVGFTGSAGNGSAAVMAIPYNSPMRVIPTVYAGTTQYTHVSPARPGTANYGLIFVIGDSGVTFRV -MYRLKRTELYCPRPLVYRQKNTVTFGKRQKFKLAGIDKESGISNKDLLLQAGDVETNPGPGVFSQFADLA -ASATQDFHNLTEGILELKNTLKGAGPWYKAFKYIWKLATLVVTAFRTQDPVVIAMQLADLGIEIFEAEVL -VRGLAQKMSEQFQTPPPKFEFKYSELIEKAEQIFEDFDDDEAPEKQFSMKRLNDIFSFLKNGEWLIKFFL -SIRSWVRTWLKQEETVMSYNDLVPKIIQKQLELKEPSTFAQAKNWLVRQREILLTAGQKDLAQLCEVKVK -EPVTGRPEPVVLVLRGKSGQGKSFMANILASAISRMLTGKPDSVWSCPPDPTYFDGYRGQSVVIMDDLGQ -NPDGKDFKYFAQMVSSTAFVVPMAALEDKGTLFTSPVIIATTNLSDAFTPITMACPEALQRRFHFDYNLE -AKWKKGYHLDVKRALQPTGKPANELFEEDYPLLNGQAVMFVANKMCPAIDSAYELIEAVYAAVIERRDVA -KVGIVKQVKTLHDKLKASLPRGRGYRCDREVNLKTDEAERMFRYLLNRDPTLAGEFLEKECDPELADKYL -PLLREHTGKSKLWTTLTKHCDLFLHGLLLVANLVTLYFQNRKPRRQGPYGGKPTVVKRKTVEAPNLVATE -SGAPPTDMQQHVLRNVRPISLVCDGKVVSMCCGFGVFGNCYLVPNHMFEETFDTILLGETPLKKKDYEVI -NLETGDGVSDAALLHVFKGPRVKDMTMHFRDEVRIPKGTTVAGCVNSHEFGRLVFTGTALTFKDVIVCSD -GDELPNVFAYKAATQRGYCGSPVLVKNSAHTVVVGIHSAGGNGNGYASCVTRSVLLKVKRMLDPDVHLEG -LIVDTREGEERVHVARKSKLYPTLAHAVFKPEFGPAPLSNSDSRLNPGIVLDNSIFSKHTACVELNYEQS -VEFTQACYDYADKLFGVIGRNNGPLTLFEAVKGIEGLDAMETDTGPGLPWSKDNIRRPALIDFEAGTVSE -TIQKRLDEFEMGRFEFECQTFLKDELRPNHKIAVGGTRVVDVLPVEHLIFSRIHLGRFCSHMHLNYGLGI -GSAVGCNPDVDWHQFAVQFQPFKNVWAIDYSAFDASHSVDLLSQMIEAVFSDENGFSVHARDVLHSLETT -VHAYEDKRYTIKGGLPSGCAATSIINTVLNNIYVLYALRREYPWVTPDDYVMVAYGDDVVIASDHDFDFN -KLVARFAELGHKITPEDKSDRGFQLGLKITDVSFLKRQFVFDHYAGFYKPVMSSRTLEAILSYARKGQLE -EKLVSVAGLAFHSGEREFKRLFAPFDGLFEFPSYSALRLRWYRAVSQG - ->YP_009389450.1 polyprotein [Aimelvirus 2] -MVIYSALLPFWYLSIISKMGGSESKVSSSTNQSGNHGIVINNYYSNHYQNSIDLSGHSSGVGDEATGNTP -NPFSSLTDSLGNLALMGTMLLDPDTENTTNMSDRVLTKSLANTAINSQSSVGVLRAYKSNHKNKPPTSCT -DQPTMATQSTERYFTRPLWDINWSKSQAVYDYFKISTYDLMRGFGGLVEQNMDNHSYMKCGWRVQVQINA -SSFHSGSMGIFMVPEAVFSVDNQKKKWLKFNTDFRQGLTKTALSPEQLFHCPHQVLNLRTNTNCSIDVPY -VNCTPTSYLEVHCPWSLVCMVLTPLNYTSGAAPNIGIAISAAPTDPIWNGLRQAPLRDQSPIPVTVRENA -QMFTSTGPDTNVPIYGNTVNPSRDKTGKIDDILQVCRLPTPVNLSTTTSFQPYFTYSDAVVSADQPLMQL -DLSLPGRHLVRTGLSQLSRLFTQFRGSLNIHFMFTGSAMCRGKLMLVYTPPGAGPPRTPEQAALCTYVTW -DLGLQSTYEFTIPFISGTDYKVISTPNSSTLQLDGFVSVFALTSLTYPPNTPTSGDVMVFISAGEDFSLK -NPVGSLVMQGTDNLETGATSSDATTVDFTGTKLEVNKRHTDVEFFFDRSFFIGFGISTNLAGTNLDNNNC -LITLTLTDFALGLNRDGLVWSQQRNISPVSFLAACFFTYFHCDVEVTIIPTLDADEDYRVYYYPVGSPLP -KQDNFLRFADANNKGFNVGNFIASATPMTRSPAGHVASFTIPYSSPLSTLPVHFDGYAQLSGRGTYGTAP -GNSFGTLLIVEETTQNVAGKYSIYLRPKNMECFCPRPMLPFQVPNHNTERGKVRTTEGEPREDQKAAPRI -AIHDEADHSDILLGGDIEENPGPVLSKFAVLRFQGPSPTLEDEGPKTFKLLKELFKNKNKLNDADLEQLD -KIIDEEENKPKKAKKKAKTHDEKENIDLSAFTEFLEADDPVETAAKGWKALRELQSVWEFTKGLLGSVDF -WYTFILQITGAVVGYSLFMHALDTGDAKTIFLATMTSFVTVLSLTKVKNFFVENLSKILKTKPPDLKETK -EDKDFFGLFKKIKNFGKMNDEAPSFLSDTNAGFTLARHIEWAVNLIRRVYKWIMDWISKEEESDEAKIPH -LMRELPNHVKVIETDRNGFGSGDPEPSYQFVEELYELAVKCGKPAIAAFVERYRKRKVVNSARTEPVVLV -VRGLPGTGKSIVTQLLAQSVSKNFTGKQSVYSLPPDPKHFDGYCRQFSVLLDDLGQNPDGEDFKLFCQMI -STNQLVCPMAALPDKGITFSSLFIACSTNLPRFNPVTVSDTNALSRRIFLDLTARPGRMAETNGRLDLEK -ALEVTGPSPRPDLFRNDCPILHKIGLELVNNRTKQEMSLLEVHDMICNEIIRKQNILLDLNKLVFEGPTV -DDDEQPSTSTQELHDPIFDPLPDSKIFKAEDPTLRDVAKELVIIKTSLAQVISLKENMVKAGIIFTVVSS -LLLLFFKLRRGMEDPVRVPRTKEDEEEKEEKAAVLKFITPGEEEAAYEQVKKKPLVKKTLELQAPTLDFE -KFVLNHVSTTFTFHSGGKALSQTCLTPVDRLIVVNAHTWERVEDTFEVKGVKYHRESCKYVQLTKDDKDT -DAVFVLLPNGPLFKNSVNKFIASNQTFPIRGTAVTGLNANGPLMYSGNIITGPSQHETESGEKSLMFLYR -ATTKYGFCGSPITGPVGGNTRILGMHSAGTCGVAGGTLITQEMIKLALNHLKKKDTMKDEGAITEIDDGP -RTHISRKSKLKKTMAHSVFKPEYAPAALSKKDKRLNEGVDLDKQVFTKHTGNTEKYPEEFVWAAREYANE -LFTHLGKDFGIMSSEAAIKGIDHLDAMDPRTSPGLPYTLHGERRTDHIDFETGAVSQELGDKIEHMLETG -EIDIEYQTFLKDEVRPIEKVKKGGTRTVDVPPVEHVILGRMLLGKFCAAFHANNGTTIGSAVGCDPDVDW -TRFATEFSECENVYDVDYSAFDSSHGTGMFELVANEIFTPKNGFHPRVRDYLMSLAVSTHAYEEKRYLIE -GGLPSGCSCTTVLNTVMNNIIIRAALKMTYKNFDSKDITVLAYGDDLLVGTDYDLDFNKVKEKLLTVGYT -ITPATKEGNFPLHSSILDVQFLKRKFEPYIIHGFIFRPVMSEKNLEAILSFYKPGTLAEKLQSVAQLAVH -CGIDTYDRLFQPFRDAGLPVPTWWSMEEKWESNFMGWTT - ->YP_009361830.1 polyprotein [Cosavirus F] -MGANNSKTSANTNGNEGTTVNNFYANNYYGSIDASAQGIGSCTTPENGNVSGILGLAGSAFNALSLLANP -RTENSTYLEDRVLTRTAGNTSINSQAAEGVLNAYAKESDQTCPTSCGDKPSEGTPATDRGFVVQLKPWAK -TNAAYDAQWYRITDQLKIDERGNIFTKNMKSHAYLKAGYEVTLQVNTSPFHCGLVGLFMVPEWTRYGPTG -EISWTNLLTRLTLVKNNDLYEPQTYTSPSTLIEDYSFDLADFTPEQMMLFPHQFINPKDTNIATVRVPYV -NAAPTNDPTVHTIWTAVVMVICPLNFSNGASPIVNMTLTITPVNSVFNGLRQYAQGPIPVRTFHNSSQFA -TTVPLRAEPCYGMTVTPPTDYMPDPIDDLVSVAKVPSFVCITDSIPYFAVSNATQGSKLIRMNVVLSDPH -FQHTLLASLAEHFCNYRGSLQVTLLSCCTAMTRGKLLVAYTPPGAGAPESIDQAMQGTYTIWDLGLQSSL -NFTIPFISAVDFRLNSSARSSVLNSDGWFSVWLMSPLTYPPNTPPTQQIVMMLSAGDDFSYRLPIHPPLA -QNGDGPHDNPECGKTENTDASLNSGHSVGLPTSHSHTKFFFDRYRFLGILKSYGGVSPVPVDPIDSSSHK -VRSYARILEEDPRTKTPYSMIALTPLPSLCGVPLSGYLYAKNTQTETTPGPRILRITTGDPELYRSCPFT -YFKSDLEITVVPSSAITQDYRIVWYPPGAPIDTLLMASAITGGNNSFSTSDVINTSASVETTNPQFVGTP -GSKVSFVIPYCSPLSLIPLYFDGYPDYSRTPGLYGTSPGSSFGVLTVDCPTAGAFSVYIRYKNFRGYIPR -PLIRRKHKAVESRSRKILAAEPLPRDYVPLDTLAYRNVRLGLLKQAGDVEENPGPAVNTKFAAQGPVMEL -INMARDPTTVENVTRLVTTLNNLMEKWNNLKETMTDAVFLRDMLCLLIKFGSLLYLCQDKGPTAYFAAAT -VFLCDGITFFDWYDKIKTFLSTRLRTAPPFFASAQGPDLRQVVTFFNAAKGVQWMIDSIRSLIGWIKEWL -ELEEKNKATELEEMLIASPEHCKNINLYNRGEIFARPTESFEFIDRLCTLATTLGKTHIATYFTRFTSVT -SDTVRPEPVVVVLRGRPGAGKSGVATILAAAISKTLTGSQSVYTLSPDTEHMDGYHGQFVTLIDDLGQNP -DGEDFRSFCQMVSTAQYRPPMANLEDKGILFTSRVIIATTNLNDFAPTTIADPKALQRRINFDIVAAPGP -ACTRNGKLDLNAALKPDGPGEFPYTTDCPLLHTTGMSLHNTKSKVTMNVKDLVDAVVKKIKHRKTVCAAL -ENLVAQGGPEKIVGYTKDDEGIAIVDCLAEWDKIKDQKKKQKALEMVAQELRDKHRIHTDMVTLLKHFLT -GLGVVSAVLAAYMTLKLFKDDKSESQENKEEVTKTKDKEAKAEGPYNGPAKKDLKVLKLKAQGPMLDAEK -KIMDNVYPFKLKCGNKWYVQSCLALARRVILVNTHAVDTLEEEFYVGDSCYNYRDCEIATLDCGEGATDI -TAIKLPAGREFKSIVRNFCPKDTTIYPGTRLTILSNDTMSMVREGSFLRFEDNVPTNIGYMPFTMLYRSS -SYFGMCGSAVMVRGSNNVGVVGIHCAGGGGVSVATRMTLRMAETLMDHFYPKMAQGKIVEVVKASDYVHV -PRRSKLKRTNATYDATGLYGPAVLSKHDPRLDPGVDLDTVIFSKHKQNKEIEQDSEVWRKMAMSAEIYAG -KFKDKDFSPLTQKEAILGIPGLDRLDPNTASGLPYTKTRRQMIDFNTGEVLDKELQERIDTWLKGEKPKD -MRYQTFLKDEIRPIEKVKAGKTRIIDVPPLDHVIVFRMLFGKFMAHYHLNPGFEIGSAIGCDPDIAWASF -GFSLNQCDYKYDFDYSNFDSCHSVSVFKILEEYFFNEENGFDPRCSLLLRSLAVSTHAYEDKQIHVEGGL -PSGTAGTSVLNTVINNIIMHASLYYTYSNFEWDDIKMLAYGDDIVASSDHLLDLERVKYFMSLIGYTITP -ADKSEKFTPKDMNSISFLKRKFVKVAGVWAPVMETSNLEAMLSWYKPGTLQEKLDSVSQLAHHSGQDVYN -HLMTPFMEDGFKIKPWKERHLEWLNKLT - ->YP_009361319.1 polyprotein [Miniopterus schreibersii picornavirus 1] -MATNKGNTTCSCCLSCVFSAKSGSYSPKSSEDVSPPPVPPKTGKRLHLSSFGCGTTYELDKEFEERLTRP -SRTGKVVSLVSFDIPKYNLKDEGGNSSKPSASGNNNEGTIVNNFYANNYYGSIDATGTAVGTGGGPESTL -GSLISSAGSLAGTLLMDQETEETTNLSDRILTEKVSNSAINTQSAVGRLLAYSHHDSGNEPVSCSDASTK -AQPGNERFYTLDLATWTTTQKSYDFITFTPAVRLAAMNNLYSSTQNVHFLEKCGWRVQVQCNTSQFHSGS -LLVFMAPEFAKFHSDQAKYGKDFINWHTAGDEDWFATNTSETGVNSWYAHTKEQWTLYPHQILNCRTGTS -VSIEVPYVGVCPTSHRPQHNGWTLVVAVLTPLQYTTGSATQIQITGSFCPINPVWNGLRHGAFTQQGPVP -TSIRENQSMFLTTIPDRTTPSYGLCKGPSDYMPGEITDLVQIGQIPTFISNYSVTNDPPKPYFEILSTNI -SGDQVFKANVILTDRALLRTAAAATALCFTQYSGSTIYRFMFAGSAMHKLKLLISYTPPGAGEPTQLDDA -ANGTYAVWDVGLNSTFEFTIPFISPSERRFTYNGNSTELDVDGWLTVYQLTPLTYPVGAPTSAKIVVSFA -FGPDFSLHNPVVPMLNQGTTNAEAGEPDVQSPAADFTATDIPTPKLSQSNVTFFYDRSFYVGPIIPAPMS -SRPNDGIIPENFVLLTPHFAKGLKQVLHRFTEGWRNFSRQAYGFLAACPFTYYKADLEVTIVPKQGMNNQ -VYNVHWFPSGAHFPTTYIPETNASLAYKGLLSTQPTASNHGFNPVSFTIPMTTPLSYMAIDYDGWNAFSD -GTYGICPANNFGAIVVTAGPDSATNMRMSVFIRFKNLRAFVPRPYRMTLRTVNSKFRPCTTEGPPPENKR -ESVDQDPHILELDSYPASGEEEEDDFHDMEDHSDILLGGDVEENPGPGSLVEAALKDISEEITKLPEEES -KGLKKTFKEIRDSASKLTKRLKPKKDREPKIEPEVECAFLAFLEAEDPIETMAKGWNAISEIQRLWASVK -RVLSDSSFWYDLLVMIIKYIISTMIWILNPTTSVTLGLAAMAALDFLSMKGLKTKILDYLTPKLGPPPPI -PDGLFSEPPSAFTKAKAFFGMKDETPLEDQAGDGFVKRAQDANHTFNFLKNLEWLTNLITKLFNWISSWF -AKPEATKHEILDNKMKLFAAAVDEIQSYRSGESNTFPETSVALVKEVFTLASETGKTGLANLASKYMITR -TNNTPRMEPVVVVLRGKPGTGKSVASHILAQAVSKQMTGQQSVYSFPPDCDHLDGYTGQYAVVMDDLGQN -PDGEDFSTFCQMVSTTNYIPPMAHLEDKGRPFCSNVIIATTNLAAFAPVTVADPMAVERRIFLDLEVTPG -VTCQINGKLDLEAALEPIGPAVGPFRQDCELLHTAGLCFTDRRTRQQYSLYEVFQMVEERIKVKASVKKN -LMALVFEAPDDPPSFEDFLLQMNLATRERDIIIQEMRELKQGIQETKQLQLEFYSLVLVVGGIAGLCYGA -YKTTSAICEYLMPDTPPKEDEETQIERAPFLEPAQAQGAYDGKANKKPVVTKKLQLQGPGNPDFERHLAC -HAVVAIHFFPPNTQQPVSQSAILLFGRCFMVNSHTWNKDWTKFEIRGVEYTREECDWLDLYKEGISTDAT -VVQLPKGQMFKDNLSKFMTKDLPFPQKNTPVTCVNCSNGTLFYSGHIIRAPQTCEIIRGLSSSMFIYQAQ -TYPGYCGSAVVATVKGRKLILGMHSAGNSGTAGAIFVTQEDLRQVRDYFAKNSAPPPPEPLSDEGLLTEL -PDGPLIHVPRKTKLRKSPAFPIFQPSAGPAVLSKNDVRLNPEVDFDKQVFSKHSANQKVYPEAFRRMARW -YANEVFTHIGKDNGPLSLKDAIKGIDFLDAMDPTTSPGLPYSAAGIQRTDLVDFDTGEIISAALAVEYNN -YVEGNYEEHTFQTFLKDEIRSEEKIKAGKTRIVDVPSLAHVIMGRVLLGRFCSKFQASPGTTLGSAIGCN -PDTDWTKFAHELMERHWCYDIDYSNFDSTHGTGMFELLIECFFTKENGFSPAVAPYLRSLATSKHAWMDK -RYLIEGGLPSGCSATSVLNTVMNNIIIRALLSLTYSNFHPEDVAVLAYGDDLLVASDFVLDFNRVRATAN -EHTLYKLTTANKAPDFPETSTLLECQFLKRKFVLHSVRNFIWRPVMDTTNLQTMLSFYKPNTLSEKLLSV -AQLAFHSGYHTYEQLFEPFRELQMKVPSWFVLEHEWEHNFD - ->YP_009121743.1 polyprotein [African bat icavirus PREDICT-06105] -MIIQITTHYSIGLYNTITMASQDIFYDAVTEQPKPKAPTLELAAETVTDVTCDACCFSMHRVARRYPNPG -ERPEVPSLLKRVKRMVWQGGNNSKPSVNNTGNEGTVYNNYYLNTYNGSLDLSGHTSGSSSKPSNAASDLL -STVGTLAGSMLMDQDTEETTNLSDRIQTSKLAMTAVNTQSSVGVLQAYSTPANDSDPSSCSDVSTKSTPA -TQRFFTFPLASWTSTQTPFQYLTFTPMSQLSEDDNMFAHTSQMHYLHKCGWSAQVQCNASQFHAGSLLVF -FAPEFPKGTRVSDEGVNFPAEDQSTWQTPVMESFLQPETQNHYSLGDLACNPQQWSLYPHQILNIRTGTS -VSLQVPFVNPAPASVTRIHTTWTLVVAVLTPLSFAAGASPNVDITVSIAPLKPVWNGIHHSPLTRQMPIP -VTPRENSNMFLTTRPDRTVCAMSHCINGDKETLVGEVTDFVQIAQMPTFLQIGTTLFKPYFEATNVVDHD -YALLKVNVIPTDQQMFNTAFGSTCRLFTQYSGSLIFSLIFTGPAMAKGKFLLAYTPPGAGQPASLSQAKQ -AITSIWDIGLNSTFRFNLPFISPTTYRWTYSGTGSVLDIDGWFTVWQLTPLTYPAGTPQNSAIVVSVAAG -PDFSLRIPLVALPSQTTDNAETGVPNPPGPDEDFVAVPDPVPTVAHSNLAYFFDRSMLFTHTNSGFWNTN -SHTLAYPDDIVLLSPIRSRSQRMASNFPSSGYTRFCYSFMAASPFTYFHGDMEVTVMPENFSTTMAWEVF -WFPSGAAMPTKKVAVTGVFTPGFNLQAMPVARAPNSANVVSFIVPWTSPLSAAANYFDGFSSYTRSGTSY -MQLPGNNWGAIIIKCDDGKKLPFRVYIRFKKFRAWCPRPFRTVTIPSQQRSGRVRIPITDAPPLAQNPNG -HKLDLADTLSLLRPHDVTQDGDVESNPGPFMFQGPKKPKEGISLAEAVIKDFLANTPDLGKEEIGKLSDV -MKKAARSEKKLKPTDNEDSMSAFQAFLESDDPIDTALKGWDALRELQTLWKALKAALGSGSFWYDIACKF -IKVFVSAAIYAHSPDLTTFSLLSILSLVDVCSVSSIKDAIIRYLIPITETQPPPIDLKEEETFFSKFKSL -FKFEGPTDDVKDANNWFSLLKNIEWAIKLIEKFKDWILQWFKTADKTPKEKLAELMPHFAGHASRVSDYR -SKGGEFPQISVDFMKTVFNLATETSQLHIANLASKFLIRRTNNKPRTEPVVVVLRGRPGAGKSVASQLIA -QAVSKVATGSQSVYSFPPDSEHFDGYTGQYSVIMDDLGQNPDGQDFSTFCQMVSTTNFIPSMASLEDKGM -PFSSQFIVATTNHAKFNPPTISDGKAITRRIFLDLTVRPGSECISDGKLDLEAALEPMGPAIGPFSQDCE -LLHTSGLIFATPQGEELSLLDVVTLVSNKVKEKNTVQSKLSALVFENPPNSMSPVEYVMTMMTMQTNETA -QLRDELAQLNESVQSFQEEKRQALKMFTIVAAFFATAYSVYKVAKYFKKSQREEEMHTELVHSLKLVNHP -TASVESHFLDTPPTQSPYEGIARIQKAPMKILQLQAPGLEFEQAVYMHGTSQFNFHMPNERKPRTQTCFL -VKDRVFLVNHHTWMMPFEQFEVRGQTFHKKDCTFVHLVYDSISTDLVAVQLPKGPCFRNNIPKFISASDI -FPMRNTPVTGINADGPLFYSGSVMRPPAVQEISTGPTAKFMLYKAQTMPGFCGSPIVASVAGAKKIIGIH -SAGAHGVAGAVTVTKENLSAIMDYFSQTSAMTPEGAKEPLPDGPRIHIPRHTTLRKTCAYPIFKPDAGPA -ALSKNDPRLAEGVDFDSVLFSKHEADQTEYPKEFETMARWYADRLVCYLGKDNGKISVKEAIHGIVNLDA -MCKTTSPGLPYTQKNVKRTDLLDFEEGTITSPLVRARYEKMASGDYSDHVFQTFLKDEIRPNDKISQGKT -RVVDVPSLEHVIIGRQLLGKFCSKFHYFPGVETGSAIGCNPDWHWSYFAAQLAQKQYVYDIDYSAFDSTH -GSGMFKLVADTVFSPENGFDPALKDYLMSLAFSTHAFGTERFKLNGGLPSGCSATSVLNTVFNNIVIRAA -LKMTYKNFDPDDVLVLAYGDDLLVASDYQLDFNLVKEKLAENTLYKMTTANKQPTFPLVSTLADVQFLKR -KFVPYSTSCLIFRPVMDVKNLKTILSFYHLNHLDEKIETVARLAFHSGLTVYEELFAPFKEAGITVPSWW -YLQKEWEQQFW - ->YP_009118270.1 polyprotein [Lesavirus 2] -MESISSSTWCLVDALDAELQEKDLVFNTDMDKQESSDTESDYITPDTETSGLEWDHFTPSVGTDFRHSKI -RFQKDSDSDPTVAVVCGETLLKIRIGHLAVKNIVVETIETQSRTTISMEFQGAGASRMENGNTKNDGNHG -VINYSFYNTHYQNSMDLSDFAGTSATNYGHDGGNTDNDITSGKWTNLLSAGIGALPQLLPLLADSKTEDV -ENSDRIDVRQAGTSTLVTQHTVGCRTYGPLPPVKLTSAADEPTLGTPAIERFYTIKLTDWTTTNVAGKLW -ALPLPGALLKQAQTVFSATARRHYLMNCGWLVQVQVNSTRFHGGALGVFMIPEYSQGANPDNFLSIREVS -GEEIQTWWNWHQYFLYPHQIINPRTNSSAEIQVPYVNVAPGTDPTAHAPWTLAVVVLAPLTVSTGATTSL -TVTVSVKPEHVRFHGLRLPNTEFEGPPIAQLHPSSGAFYNTAPFYSVPVYGKMVRSSVDFIPAEITDYLQ -LARIPTLATSHAVTFSSTMPAEPLLTVDVSLSATDLMNTTLGTVSRMFAQYRGSIKVVTCYVGNQMQNVR -YLISYTPPGATGPRNVEEAMQGFYQICDTGLNTENQFVIPFISPVDYHYTTSSTATDVTVGGYLNIFQLN -VLAVPPGSPSVAQLLVFFAAGEDFEFRAPTTPYMTTQGDPVQPGEIGVSAPQTAANSSEHPNEIPFSYGS -MSHSNVKFWWDRFFWVDSFTLNPANASTYNYQQVAEINLTPSYLTDKTPELAFARHATYWRAELEIALLC -DKNGTSSVADAALTMVWYPPGSRIPSGNVTPKTGTALSLPNSIRRCGAAPMAVGSGNRPLLLKIPYTSPL -SAMPITFCGLDRFTSSTAKVGTAPGSTFGTLLITSDSSALNTPIGPLHLYVRFRDMQLWCPRPGQYTRLS -TATTALRLKSIISEPTSVTRHTGASNEELLLQGGDIEPNPGPVFSKVWNDIGKLVSDKCCEGIYDLKNMF -QTLTTFSKWHNLFDDEFKAKWLGRIFKAIGIGILTLRAASDPMLAAAAVFLLGGTWTAQICFKLKKYLGT -LFKTPAPPIPGLSDHVKRLISQVSIPGTTQSTDGVEIAAEALDDTNPFKSDLLQQWDMQAGPAESVRELN -NVFQLAKNAQWALQGLNEIKDWLNAWVRQEQESPEEILKQGLPNLEGFLQLHSNVKCQPAHPMWGECKEY -FDKMRKAAAVARPQLLRMLPLMTKSQPALARPEPILVVLRGKPGQGKSVAATFLAQTLSKTLCGSAAYYS -MNSSTKYMDGYNQEPVVLVDDLGQATDGADFQHFCQLISIAPFQVNKADLSDKGMVFTSSVIIATTNHPE -FRPITLADPEALKRRINFDFHVSAGRAYQDVNGCLDLQRAVQPLRDNPMPNLLHHGTPLLTKECLAFKNI -QAPTSQPIGLKEVFDRIMIEHKRRQTCSMQFDEMFLQGPEEEQPERPRLVQTLAAMQQPLRPVSATEIAT -AIKECFEELGHPNDPPKWQQVVSSLIMVLSFIIMLFSFFYMLNLIFQGPYSGEPQKKPQHKKAKLVDLSY -EGPHATNVSMETALMRRNMVRVKCTRWDDGVFYTTGTFVKDRFMLMNWHLFERAKKLQPDYEFDVDNVVA -LRPTFHGIPSDLVLIQFPDKGRNYRDITHLFATKEECTIQPGITGKGLMMDESPFMFDLKPVLFADKIMV -HGQNIPQVLRYQAQTSAGYCGSLVVVDWGTYKRAIGIHCAGAHGVGAAAVITKAGLDALINSEFQGQITD -VKPHPFVYTPGKTAYYPTIVHDENTTVEPAALSCRDPRLVEPHLFKQSIMSKHQGNLETGPDAWVRSARL -YARIVRSRIPTDVSKRLTIGEAIMGIPGLDPMDMSKSPGWPYIARGARRPDLVEIIQDGQVQLDKVVYAE -IVNFLSGDFRNHKFVTFLKDETRPTEKVRAGKTRVIDVASLGHAITGRMLFGRLAAWMHSQNGVELGSAV -GADPDSDWTRFASEFKYQNFADVDYSGFDASHTTFSFHCLKVFLKELGFDEVALAFIDSLAISKHIWDDE -EYTLIGGLPSGCACTSIFNTILNNIIIRGTVPQLTEHPFQMLAYGDDLIICSYEKFDLFALKEFLAEHSL -YKVTPAQKDGDLVWGKLSDMRFLKRSFVSDGAIVRPQMTKENLHNILSWARAGTISEKVISVSMLAVHSG -PVVYEELFKPFDGTGVVVPPFALVNENFLDIHFSGQ - ->YP_009118269.1 polyprotein [Lesavirus 1] -MVTMEGEYRVMAFSQETEALIDAVDHAFWFGSVFHESLEESSPSHYDNDTWTTDSESDDLEWDTYTALTS -AGVSSTPTFISEKDRCSISITIPRSAEFIECRTRGVVVKNVSVETIETFSKRVIHMEFQGAGASRLDNGN -TKNDGNHGVINYSFYNTHYQNSMDLSDFGSTTSTGYGHDGGSTDVDHTTGNWTNTLTAGLSAIPSLLPLL -ADSKTEDIENSDRVDVDQAGTSTLLTQHTVGRMVYGPFPKQKMVTSAADKPSESIPAFDRFYTVSLGDWE -ATQAFGYMFVLPLPSGLLGQAGTVMRTMAQRHYIFRCGWDVQVQVNATRFHGGALGVFLVPEFTYQTTSS -TFLNKRTIAVPTTPDINWNLRQFFLYPHQIINPRTNSSAQVMVPYANAAPGSDPTTHNPWTLVVMVISPL -TYATGATTSLTITASVRPVDPTFHGLRLPNTDFEGPPVVQTHPASGQFATTQPFYSEPVYGKMVRSSVDF -IPAKIEDYLQIARIPTLGTQISATFYNVPSPTPVITIDVSLSSVHILNTALGSIGRMFSQYRGSISVITM -YVGNQMANVRYLLSYSPPGATTPTNVSEAMQGFYLIVDTGLNSQANFVIPYICPADYRYSASTVASDVSV -GGYLTIFQLTALAVPPGSPATAQLLLFFAAGADFQFRGPSTPFITLQNGEEVLEPGETGVNAPADVSATV -EDPQRIPFAPVGVSHSDVRFWWDRFFWVDTFYLDGSDNSEPWKRVRNIPLTPQFLFTRAPEMNYTRMATY -WRAELEICIVPVLKTNPAQISQLTAVWYPPGSRIPTGTSTTYFSGGPEVMRAFTRNGACPLFHSDANGKL -LFRIPFTSPLSTIPYTYNGLDRFSAATAVYNQAPGATFGTLVVSDAVVAGTSTNVQYKGGPYMMYIRFRD -IELFCPRPGEYLAVKLPSDTTTTTALRVKSIISDPTSVQVSNGGITNKELLLQAGDVETNPGPIFSRLWK -DMEKFVSDKACEGLFELKSMYKTLSTFQQMQALLTDDFKAKWLSRLFKAIGYGILACKASSDPSLAAAAV -FLLGGSWTGQICVKMAKYLKGLMKTEPPPPPGMSEKLKKLIRQVSVPSMNPAVEAVEVASEAWHDTNPFK -DCVEDWELQAPFPDVREMNNLFQLAKNAQWVLQGLNEIRDWLKQWMAQEENSPEEVFKQGLPNLEGFLQL -YQTYKSQPGHPQWEECKQYFDKMRQAAAIAKPQMLRMLPLITRPEPSLARPEPILVVLRGRPGQGKSVAA -TFLAQTLSKTLVGKPSFYSMNSSTKYMDGYNQEPVVLVDDLGQATDGADFQHFCQLISIAPFQVNKADLA -DKGMLFTSSIIIATTNHPEFRPVTLADPEALRRRINFDFNVEAGRSYQDCNGCLDLQRAITPSEENPLPT -LLRHGSPILRKECLSFTNLRTTGQVKSLKDVYEAVMVEHRRRQTCSMDFTNMFLEGPAECQGTEEKPVLP -TLINQLTRTAGTNNLRPVTAEEIAKAIKECYQELGSPNDPPKWQQTMSALITVISFAIMLFSFFYLLSTI -FFQGPYSDSAQKKEKKKAVAKLVDLSYEGPHAVNISMEAAIMKKNMVRVKCTRHDDVVFYTTGTFVRDRY -MLMNWHLFEKCKLIQPDFEFPVEEVLALRPTFHGMPSDLVLLQFPNKGRAYRDITDLFINKGECNVTPGM -TGKGLMMDEAPFMFDIQPVLFAEKISVQGLDIPQVLRYKAQTAPGFCGSLIVLDAGIHKRVVGIHCAGAH -GVGAASVITKAGLIALLDSKFEGKISDVVEHPYVYTPAKTAFYPTIAHDENTTVAPAVLSPRDPRLTNPY -QFKASIMEKHVGDMPHGPDVWVRAARVYARLLRAHIPADVTKRLTIGEAILGIPGLDPMDMDKSPGWPYV -ARNVRRPDLIKFKEHYQVELDQILYAEMVNYLSGDFRNHKFVTFLKDETRPIEKVAAGKTRVIDVASLGH -AIVGRMLFGRLAAWMHSKNGVDIGSAVGCNPDLDWTRYAMEFKYKHFADVDYSGFDASHGTFSFHCLKVF -LKELGFDEVAMAFVDSLAVSRHIWDDEEYTLTGGLPSGCACTSIFNTILNNIIMRGAISTLTTEPFQLLA -YGDDLVICAYEKFDLAALRDFFSENSLYRITPATKGGELSWGELSDMRFLKRGFVLDGVIYRPQMTKENL -HNILSWARAGTLQEKVLSVSLLAVHSGKQVYEELFESFNGTGVVVPSFATVDEDFYYTHASGQ - ->YP_009116874.1 polyprotein [Bovine picornavirus] -MGSGQSHHSHGNTNQSGNNGTVINNYYQQHYQNSIDLSGALSSSEVSGGDSFGSNGGGSGGASNVTNPDK -EKGGGNDSMSHFTNLLSTVGVAATNALLADKQTEETTQLADRVVTLSSGNTAQNTQASVGLIRGYGRPDE -GKPTSCVDDATEGVISVERGFTDRLTEWSTARKAYDFVAYPLPGRFRRGVFEKMIRQHYLTKNGYRVQVQ -CNASIFHAGCLYVGLVPEFVRAQFQHRLQDSPDWEDRAGFPFDPQQLTVFPHQLLNLRTNTTVDIEVPYV -NVCPASSGKIHSPWTLLIVVLSPLQYAAGSSPVIDITATVVPTQFKAMGLRQYTAEGIVATDSSDRQQLC -PEPTAETPGYVPGWKAPADYLGGEVDDFMQIARIPTYCSLNETETATTQDLPYFTVSNTLVEGPVLSADV -TLSADLFAHTSLWGLGCMFSQYRGSIQLSFTFTGAAAARGKFLIAYVPPGEEPTTLEEAMLGTTLVWDIG -LNSTVDFTIPFISATDYRYTHSLSQPTAVDVDGWVQVYQLTPITYPAGAPTTANVLVSASAGSDMCFRYP -VDFLAILPQDTDDKQVTRNSESGNEVSTEAGQMIAASPAAQPNVGSHTNVRFLLDRFFYFDSVSGNLLAC -GHQRTEGSINLNLDPARRIRQLEDPTTTLEQLLCPITYYNSDLAIWVAKIENQIMADDIPKRISLQPAEA -EGQPPLCQGPANRYNGCDSEIQNFAVVYTPPGTAPQGRPIGGDKRMVCQDSRAIITCDSDPPIQTTKTRV -VEVRGYGNFHINGALGGGLNTVVWSKSDGSLNGVKFSVPYTSVLTAQPRVYNGYSSFQKQQNQFGAPPTP -GYGNLSVYANSLASSFVVYLKLRGARLWCPRPFWTPIREVATSRMRFSAEDLLLLRGGDIESNPGPVFSK -ILDGASKAVNIGTALAKAYKQISKLSSFKFWKKIIKLICSLVGLASSAKNGDWVSFTAQAISLGLDGAPS -VKSLFLKIARKFGLVKDAPNEPKPTGGRVKIPNLTEFSFSSMLPSFSKFKMPEFSNPFRKSKRPVEVSWP -LSNPRSWSYRDGLSRDDDWGFNNPFYEGPDSDDSSDEFEPEDLGTVNGWFNVIKNTHWLFTQIANALDWL -KKKICPKKDEKSELLEIYERNFSNLARPLSCNRDFFKKQYIRCLSAGLHRVADHWYDCYKKCNLSARPEP -VCLVLRGKPGQGKSVSASLIAKAVSAICTGEVSVWSLPVDSDHFDGYANQHTVIIDDLGQNPDGADYRNF -CQMVSSTPFLPPMASLSDKGIAFTSPFIIVTTNKPDGFVPVTISTPDALSRRFTFQYTVAAVDGFKVRGG -YLDYQKAVEPTLDFPDIPIFTKDCPLLNGMGLSFTPNSPGQATKRLPSTSLYGVVREVVEELKRRENLER -GVSELVPEDDSDSESEFDTDDEADELATRLYNLVTKRQRRLFPRVWERFKANLRDANKWRRFGNDAIIGF -GAIAMLLTAFYAAYSLYRAKGFWDDLDQQFETAPESPYNGGNPRRREKTMRLRAEDGGVLEVLMDNAVPV -QLTRELPDGRERVSSFTGYLLRGRCLMVPNHSFSKDWVRMHVCGFMFHRDEITSVAFTIGGMESDAMMVH -LPKRFPAAKDRTNLLSDFTPPRGAELLVMVNNEVRKRNLISGTMIGKRESVPITNNRLFPSVISYSCHTE -NGFCCAPVVAKERGRYVIVAFHCAGNGSTGFASVIPKRFLLEACDALEKQTTPTHDTVERVDLEQPDTSS -AMEAEHITSMRTADKASYIPRRSNLRPSPVYDGHPSHEPAVLSSYDDRLEDPANFEKNLLAKNDAMPQPC -DESLRPWLERAAKDYAAKLFSVVGKDNELLDLRTAIEGLDHLEALDMHTSPGLPYTDYGARRVDLFGEDG -EPHPEVLGRIKRFLDGDYSEHVFQSFLKDELRPIAKCNAGATRVVEVAAVDHVIVGRMLLGKFTNKLLIN -HGDQDRSAIGVNPDLDWTRYYYDFHKFRYVYDFDYKAFDSSHSKLVFQVVRDHVFNPENGFDARAQAYID -SLCFSTHRFGDVEYTTDGALPSGCSGTTVVNNMINNIIVRAALRMVYTDWDDSDIGVVAYGDDLLLGCNT -KLDLTKLADAFKQLGYTVTPADKNGVFNPDSTIDSVTFLKRRFVRDSLYPYLIHPVMDAELLANLLKWQR -AGEFQQKVISIAQLLMHSGEADYESVMEPVRYYAYVPTYDSLYDDWLNEFGFV - ->YP_009112712.1 polyprotein [Cosavirus JMY-2014] -MGANNSKESASTTGNEGTVVNNFYANNYYGSIDASSQGVGTSTTPENGNVSGFLGLASSAFNALSFLADP -KTETETNMEDRILTRTAGNTSINSQASEGVLFGYGRRSDSSCPTSCGDRPTRGTPATSRAFVVPLADWNI -NVPPYYFQAYRLTQHMYQDEVGNMFTKNLRTHAFCKTGFEVTLQVNTSPFHAGLLGLFLVPEFVKDVRTT -LQWDSIIDKLMMYRAQDPSEHYENQTLKPVNSLSFDLADITPEQMMLFPHQLINPKETNIATVSVPYVNC -APTSDVTIHNVWTAVVMVLSRLNYADGASPLVAMTLTVTPVDTVFNGLHHSPSNVQSPFPTRPFHHSFQF -SSTVPDVTEPCYGMTVTGPRDYLPPPITDLLSLVKVPSLMTVSYVSNSDDPNVEITNAKKSEPLFTANVV -ISDLHFQHTLVGQLSKYFCNYRGSIQISSISATTAMTRGKLLFSYTPPGAGKPLTLEQAMQGTYSIWDLG -LQSSFHFTIPFISAVDYRISAVSASSAVNSDGWFTIWLLNPLTYPPGSPPTQRIVLFCSAGDDFSYRNPI -SPPYLQSPDPHDNLESGTSKNTDAGLNSGHNVGMINNHSNCSFFYDRYRFLGLVESSSNAGPKINSPYNP -TNQKVKDLRENFKVSDTQRPYSALALSPIPSIDGSLITTYLVSSDNVAPSSNTSLFNVTTGDRHLYNSCP -FTYIHCDLEVTVKPPLGFDKRWRVTWYPPGAPIDTATVKLPLESDSTHFTSQMESSGSLMSLNPTFYGKG -DNAVSFVIPFCSPLSAIPLYFDGYPDYKRGAGGYGIGPAASFGTITIDANTNKTMFSAFIRYKNFKGYVP -RPLIRYPTIDPNRTQVKLLTFEGGTPRPITPGRYRVQKNILLSGDVETNPGPCNSKFYVQSPLSEFLQVA -KKPETLDNVTRLLNTLNNVINKWNELKTTMTDAVFLRDMVCLIVKLMSLGYLVKNGGPGSYFAAASVLLC -DGITFFDWYEKIRMVASKYFRTPPPPFALVQGLDLRDVVTFFNAAKGLQWMVDTIRALINWLKKWMDNET -ENDGIKLEQMLIDSPPHCKAIHAYNRGETFKKPTDSFQFIDKLIDLATAQGKLHMASYFKNYVADVSDPC -RPEPVVIVLRGKPGAGKSAAATVLAAAISKMIVGEQSVYTLSPDTDHMDGYTGQYVVIMDDLGQNPDGED -FRYFCQMVSTTCYRPAMADLKDKGILFTSRVLIVTTNLQDFSPITISDPRALERRITFDILVSPGPAATR -NGKLDLARALQPDGPGEGPFTNDCALLHTTGLNLKNLRNGKEFNLVDLVEKTVKKVQEKKTVCGMLEGLV -VQSPNLIVGYTKDDDGVVIVDSLEEWHKIQDKKRKQQVLETISEELDKRHKEHQQFWLLMKQFVSALGVL -AAVGAAYGTYKYFSKEKQPEEEEEKSVDEPDNNKVEGPYNNISKDLKKLKLRVQTPIMDLEKKISKNVLP -FKLNILGKNYTQSCVAIGKRVIIVNKHAVECLDEVFYVGEKQYRLSECEFCALETDKGVCDVAAIKLPNG -PEFKNIVNLFVPYSASLHAGTRLVILSNDDIQMVREGSFLRNEDYVPTNIGDIPCVFLYKASSYFGMCGS -VVSTRFSDNPGILGIHCAGGGGVCVAARVSRRMLEELMLHFYPLQAQGSLVELADGPRVHVPRTTKFKRT -NAVYPATSKCGPAVLSRNDPRLDPDIDFDKQIFSKHNKNVNYATDSSIWNTMTKVTQIYFEKFGKHDWSP -LTLKEAIEGIPGLDKLDPNTASGLPYTKTRKQLIDFTTCEIKDSELQARLDKWLKGEKPELIYQTFLKDE -IRSIEKIKKGKTRIIDVTPLDHVLAFRILFGRFFAHFHQHFGFGIGSAVGCDPDTAWPAFGAKLASYKNQ -YDFDYSNFDASHSTDSFALLRDFFFTPENGFDSRCSLMLDSLAVSIHSYEDKRYSITGGLPSGTAGTSVI -NTLINNVIFRSALYHTYSNFEWDDVILMAYGDDIVAASDYDLDLSRVKEFMAKIGYTITPANKGENFTQR -PLTEIQFLKRYFGKQNGMWVPIMQKENLEMMLSWYKPGTLQEKLDSVAQLAHFSGEDTYKTLFQPFINDG -FKIKPWKQLHFEWLNKFKF - ->YP_009111338.1 polyprotein [torchivirus A1] -METTMEISFDASCPSSVCIFCLCQPCKCHLIHNEIYTICYFTDEEKKEYQIQGQGQSNARPNQQSNYGTI -TNNYYENTYIASADMSTQANGNEGDIPDVPGIWSTLNGVVDTASAMAPLLLDQDTEETTNLSDRVKTSIH -GNTSVGTQSSVGTVIGYKKEKDTNPISSCADAPTVASFAMERAFTQTMGTWSKTNDVYQYIHICLPSGID -DGGVFSGVLNRHYLMKCGYKVQVQMNASQFHSGSLGVFLVPEFTPQNTFEAKSTTFKSLPRDKYIPEQMF -VYPHQILNCRTNTSVDIQVPYCNFVPSSFNEIHNTWTLVVMVLTPLDYSTGAATDIAITTSITPLDVLYN -GLRHLKEEGIPTLPEGSSYTFSTTNIDMAQPVYSKGFNPTQNYIPGKFTNLLQMSVTPTLMANQIGSEGE -SKQVGYFMCTNQVPTLPLFVSDVTLAAPKMKTTLVSAISQYFTQYRGSIVMDLVFTGTAMCKGKFVICYT -PPGAAQPQTREKAMQGTYAVWDLGLNSSFKFTIPFISVSDYRWVDGAPSTSISIDGWFTIWQLTPITYPA -NNPNISCVLVFASAGTDFSYRNLTDIPMRSQGTEVGESGDTGNSNATENIIGTSISTEVSHSEIDFIFSR -YFNVDTTRVTTYTGNDGITSITLSIYDFLQKPTAQALKRFLQIVTYFKSDLEIAITPLNTISTKMFVKWL -PSGSVVDLSRETLNGINTPRFIKNTSGAPLQIFNTDITNVCTFRVPYTSVLGAIPITYNGYGDFSQTAYN -IAPGADFGTLLFANTGSVGMQIMLSVRFVNMQCWIPRTLAPKISVTNTDSNIVAYRSALTEEIVFQGPID -YPTGQQCSCLNFFLLQENLEEAMEEISVLCSCNPSTKNIINKESLEAMYQVLSHMSCYVHYTWEDVGFDQ -LPSTSYSGCYCDRDDFNNVLETIGHNIWDLKSKGVDTQILEVYRMYISDVECENHWIRDLAADGDVEQNP -GPASYNLFFSNTRFLVTKRMRKSRKEIRQQGFIDWLTDGAVTSVKDAAQNLTNVIQSTNKIMNRAFSCRT -IIKIITDFLTSGLILYTCDFNPTIAAVLAIKHGLDMLVEGSVFVLITEQLKKLFKTDPPTVDGGEIEQQG -VLRDFNTVVNAAKGIEWFLKTIQNLYDWLKSWCQKEEESCHGRYAKMMDTLGVELQVADNLISRIHESTK -ENLRTTIGKLTEYSIIAMEVEKTQVASIIEKRLTPIRNYYDQLYGSGTIRAEPPVVLLRGKPGCGKSVVS -MLMSQAISKIVTGSQSVYTFPTSSTHMDGYKQQYVMVMDDLGQNPDGEDFKIFCQLVSTAKFVVPMASLM -EKGTEFNTGVIIATTNLPEFKPVTIVEPGAVDRRITWKFTPEIRKEFLKDGKLNLELSLNDSGNTPPKGF -TRDCPLINGKALTLNTPSGAKYSVAEAVDLIIGTLKHKSNVAMDLSGLVEQGVLDDMKLDDAAQEEILKI -LMQNNNIISREASKQIFTRADHIIEIENKNTQPIRETIAWILAASGTFFTLILLYRTFFCSVKQGQGPYD -GKIAKPRQFKEILAQAPDLELSVLRNCVPLDVDIPNKPKMMPFTALGLFELTFATNRHAIENCTSFEIQG -HTYKIEDVDVKMVSTQEGKTDLAIVTLKKGTRFRNIMKHLLDEIVEPTGHVVGIVNSSLFPRTLFKGKAL -RTARKITASGKQMYNVFSYDCPTYGGYCGAPIIGQVGNEKKILGIHCAGDGTTGWATVITKNIVKKIEEQ -GLKVPIGEANPVCHVMRKSKICPSGFSYPTDVEPAILTQKDPRLDDGVVLDDKIFEKHQNNMETLPPVFE -VAAKMYAKQVFSIVGKDNGEITTTEAINGYKTAEKMDLSTSPGYPYVNMGLRRENMLDCADGVYTPKDWF -NKNIIAVEKDPKDATFATFLKDELRPIAKARSGKTRIVDASPFCHAIVGRKLLLRFTEKFMVNNGTSVGS -AIGTDPDCDWTRFYHELSNEYVFDLDYSQFDSTHPTAMFDLVQKHFFNTENGFDEKTGKYLDSLSVSKHV -YGREKFLTIGGLPSGCSCTSMLNTVFNNIIIRAAILSCYEGVDWSDFKMLAYGDDVVYSSRDPILPGKIA -NWLHQNTTYKLTPANKTNVFPTESKIEDVTFLKRKFVTDGVLVRPVISRTNIENMLAWKRNGEFGDKIRS -VAGLAFHLGEDEYNDIFLEIENDSQYSKYIPHYHLLDFVWKQKNGIYI - ->YP_009109563.1 polyprotein [Norway rat hunnivirus] -MVTIIAVQTNSVIVVYSQQFYQSFSHNGSSWQTNTTTVNMEAASVNLLVEDCWFEESDFTQDVECTMLLD -GQTTEEFLFEFEGPGQSKQESGNTNNSGNHGVINYNFYNQQWQNSVDLEHAMENNATAYGGAGGGDSSHT -NNRWESSQNLLASGLQLASGILPLLADGLTEDFENSDRVGKVQAGATTLVTQHTVGCQTYTGKVKPCKPT -CAADHPTEAGPSVDRFHVGCGNWNTDGVYEGWRIPLPFDLLTKNTPAAALARRHYLMNCGWHVQVQVNST -RFHGGALGVFMVPQFVTNEQTSLSGTEMDGETFTTKFCPQQMFLFPHQILNPRTNSSVEIKVPYAHCTPG -CDPTQSAPWTLVILVLSPLSFATGATPSLPIHVSIRPLHAEYHGIRQDNGQFQGLPKNKPDATAYSFSST -QPHYAQPDYGAMVRSSPKYLPAEITDLLQVSMIPTLVQQRAVVFQQNIPSTALMTMNVSLAATDLLNTAL -ESVSRGFAQYRGSIAIRMVYVGNQMQNVRFIAAYTPPGSDPPTTVTQAMDGIYTMYDTGLNSAHDFVIPF -ISTTDYRFCNGAADANPSSGGYFTIWQLTNLAVPPGSPSTAELLIFASTGADFEWRCPTTPYLTYQGEET -QVTPAETGNTPVLTAENSNMQVVDIPYAEKRLSHSAIRFWFDRFFLADQVLVPAKGNGPIKVELSWDNIT -RRIPEIRWFTHATYLRFELEVAIRAYNQDNVEYEMVYYPPGSHVPGSTVTWEVALQRPMTNAGPCPRWSW -KTSVTPVFTTRIPFCPVSSVFVQTYTGWPNWTHTNDTFGHTPQLNTMGALYIIQGAGNSGGAVNTYISYR -FVDMQLWCPRPGLYVEPPAPTSRMNFHYTVLPCSIHSPGMSNFDLLSLAGDVELNPGPFFMKCLRKMEPD -LDEMFKKFEGLQASFKKITDFATWIDIFNNVDKKKWFKRFLKFLSYGVILSRAKHDPLLAAATAFLLSGD -WLTKLCCKIVKWLKQHMRTAPPPLPTCGDGEDGEKPQDEPGDDQVVKKVVQKAKDWMALGARPKGLSVNS -GDIKVSKSADEDVPLLDLSSFGPKIDYPNCKNPFGEKLADDLTDEERNLQYIEKIRAEYQKIRSQTADAG -KPSLNPFEEDEPEKFFDKFKKVFKFQGPIGEMNQILVLCRNAHWLGQQVQKVLDWLGLWKKQEEDASEEK -FKEEMQNYPAMMHTYEQFKNSPRHANWEVCKNWFDKMRKLCMLHDPKLVTLFPNMAQIPHEKFKAGALLV -VLRGPPGQGKSVAAAMLAQMCAYTLSGKPDYYSYNSSTNYFDGYQQQPVVLIDDLGQDPSGTDFSVFCQM -ISTTPFLPNMASLQDKGIKFKSDIIIATTNLADFRPNTIADPGALARRINFDYNVEAGAAYKTAKGTLDL -AKALTPTSCSSPLQMCKCDIHMFSSACVKFRDRDARCDCSLVDVYDRVMAAHKTRNDLACKLQEIFKFEG -PRPNDHDPRVYAETYPIPVPRKKEVEKWCDLAIATDPRDDEVLSFLRRNCDHVIFGAYLRRFYGSGPDPL -KPPRKFNLQQALDAISIITQVLALLLMVFSLGVVIWQLFSYQGAYGGNSTGKRDKKPNGLKVVDIASFQG -PMNFDLEKSLMAKNMVSLAYRRRDGSEAVTXWCSHKGRIVVMNNHLWQEATHLQLDGEWMLKESIPAVRP -AINGEPSELVFMNWAKTPGRQFRDISGYFPRGKEGHFKLSPAAKITGVVAHQNPSFMFMAESLGTADKAR -TWEAVVPMVLKYRAQTAPGFCGALMVVDNGIWKKAFGIHCAGAHGIGMAAIVSQEMLEAVFTLGEFQGKI -HTVKEHPYIYTPHKTQLYPTVACNDDTTVEPAALSMNDKRLAEPSKFKQTILAKHVGDRTDGPLAMLRGA -RFYARLIRAKCGPVNERLSLHEAVFGTDNLDPMDQTRSPGWPYIGSKRRPELLWQTDKGLEMDPVLHAEL -ISMQEGNFSHHKFVTFLKDELRDKEKVKQGKTRVIDIASYGHAIMGRVLFGRLAAAMHANNGVDIGSAVG -TNPDIDWTRYAAEFRFKNFVDVDYSGFDATHSSFSFYCLKVFLQELGFDDVALKYVDSLCNSTHIWDDEE -FQIQGGLPSGCSCTSIFNTIINNIVVRSLVPEVYDGAFQMLAYGDDLVLCAEETFPVDKYKEVLEEVTNY -KITPASKSGTFEWTDLSGVVFLKRYFYRDGLIVRPVMTYKNLHNILSYARAGTVQEKLNSVARLAQHRGE -QDYKXLMAPFEDCGYVVPSFDDLELEFFSLFFG - ->YP_009026384.1 polyprotein [Mosavirus A2] -MVTTIGIVIDDTVELSDFTTIPESSNILTTLATLEKDLTMDSAELIKILESRCICVEEVCECSTPVLNNR -KYGVIVIKGRENETDEVVVNSLAAKYLTLYEDDDAEEYCSNVMWTCPYEKQAFNEHMERFKHPEYRYAGF -ENFNGNCWFNSARQLFRLVGEPDLVMLFDQLPHNPDVIESFYKATRDILGWRVGTDIGGHPESLVLLLSR -SWANDSEGAIYKHRYVRADADLGYWWDAYELPVMVLYDDTTYEVPHVRVVAKENDYWIVFDDDRTYCTKN -WRHFGKPVVGSAGINMLQMVPAQWRTPKSVKQHQIVRPRWTKTKVAEIMEQPMCYMQALLIEHFEKDPEF -NRCVYQLAQYSIPACIWRTDRRNFTMPRATDLLHKVVRQQLDQKCFAETSVYWYTVPGFKKASFAGNYDS -RLPLQHLYRRHHEWFMRHHKLPVVETESALTQGGGQSKPQQGNVNGSQNQGINVYNYYNQQYQNSVDMSN -APLSVGGSGGGNQAASNHTNNSHMNFVTTGFNMASNLVPLMLMDPDTEDSTELPDRITEDTEGNIKVTTQ -SSVGTLVAYHQLRSKHQITSCAEAPTTGEPAHERNLVQYIGTWEQTQVEYVYLALPFPSGLEETGVFGVT -ANRHYTLKCGWKIQAKLNTSHFHAGCIGVWAGPEPSFLDSFQRTTTWQTFSTDVRPESLFLFPHQLINCR -TNTSVDMILPYMNFVPTGAYGYHCQWALLFVVLTPLQIPTGASPTVDISATITPKDVVYNGLRQPQVAQG -MPVHIRENQSMFATTIPDETTPVYGLGFNPTSDFVPGEVHNYLEWARTPCLISMVEDGSIRGYFTANNTR -SDTPLLQMDVTIASDHVRYTPLGQLGYRYTQYRGSINVMLCFTGAAMVKGKFLLAYTPPGADRPNTIAEA -MQATYAIWDLGLQSSYDFTIPFISVSDFRLCFSGTTSTLSVDGWFTVFQYTALTYPANTPQRSDVLVFVS -AGQDFCYRNITEVREKTQGLDNAEEGASENPTAEEDFAAKPLSGEIQHTGLGFVFDRSWGLTQLTMPGKA -TATVPLTITELLNGGVNRELHWWLSALTYFKCDLEVTVMPFGNAPSNTTGRPVCPRVLVKFYPVGATVPT -TDDNPIVSGGMIQNTGPVPLMVSGSYEPVSFVVPYTSPLSVIPTTYFGYADFERTALSNVAPGASFGTLR -IATYSPDPMYIQVYIRLKNFKGYVPRPLKNAGATPTNSRAKLMVDEDYVIQRSAHRSVLLDGDVESNPGP -VVLSVPFETARAQGLEGLYNWLSGGVFGSFADAAKHASNTVGQAEEAAAGVARTTNAFADIVENFRKLSD -YAVDAVKAVKAWMTTVRKVLRMFCYLVIAYRTQDPVVIGLLGVDIAFGDPFDIIEIIKAKLKKFFTTPAP -PLAQTQGVSDINAVFNMLKNGEWALKMILTVKDWLQRWINQEMEAPEKKLTKMMPELLDAIETLEKKDAN -AQKAAPKMIPIIQEAARLARMCNRTSIANYCDSVLKPYAMSGKQRTEPVVIVLKGKPGQGKTVAATLLAQ -MISKSLVGTQSVYSLPPDSKHMDGYNGQTVVIMDDLGQNPEGMDFATFCQMVSTTQFVTPQASLTDKGTC -FTSPVIIATTNLGDFRPVTIADPGAVKRRIFLELDVSAGLKTPAGCLDLGMAMENCQDLGRPKPDCLQST -PKILHSGVLKLHETRINVTFSLLDVYEKVMRQLKQKEQMTDLLAGVVCQGLVITEESITGTVTQAEDQGR -LITIYDIHKKKGELDNSFQEFVTMIALVPVFFSILTMAVNVITYLFGGKNEPCEMETQTEPEEEGPYCGA -CKRKAPVLKKTVAEGPYSGMPRATPKKLKKVVVQAREEQELKPRFVTITPEEIAETQGPRSDAEASLLER -NTCPVIYMRNGKEVSSLTALKICNGKVLINKHQFDKDIWDILEIEGIQQTRDQCSISGFVTKKGVPYDLY -VIDVPKMQCRDIRNMFVDEEPRCETLVGICNSTAFRKMMWHGEVLRAVEHLTTDCGILPKTIAYKTPTRA -GFCGAPLTARRNGQLKIIAIHSAGNGVNGYGTLISRKMIEEATTQGIIYDERPGPMVAVNRKTQIKKSPL -FDVFKPEAGTAVLSQYDRRLADGVVLDEALFEKHVSDMEKLPKEFEIACDMYANELFSKIGTNNGVVSMY -RAMNGDGISEAMDMSKAVGYPYCLESIHRVDLIETKETPEGKVYFPTKRLEEETRKFFYGEEKPKFVTFL -KDEVRSNEKIKQGKTRIVDASPFPYAIAGRMVMQNFMSNMMAHNGIETGSAVGCDPDVAWTRYFFELTDR -YVFDLDYKAFDSTHPTAIFNLLADRFFLPKYGFDQEAVRIFLNGLSDSDHVYESKHFRIRGGLPSGCPCT -SILNTVINNIIVRAAMLGAYQVDTIDFQNFKMLAYGDDVVYSTPQPIKPKDLADWLHSNTNYKVTPASKA -GEFPDESTIWDVTFLKRAFIPDENHGQLIKPVMSMSNLKQMLSFMRPGTFPDKVRSVAGLAVHCSEEDYN -ELADAIESTVPGVAMPAYSYMKACWYAKMV - ->YP_009026376.1 polyprotein [Human cosavirus] -MGANNSKESVSSNGNQGTIVNNFYANSYYASIDASASSVGGDTPAENGTVSGILGSFASAFTSAALLAKP -KVENTTNMEDRVITLKAGNTLVNSQASEGVLHGYGCETNVQRPSSCGDDPTVTTHCIERGFTIPLTDWTN -TKDSWQALVYRLSDHLKDDTIGNMFSKTLGTHSFTKCGYRVSLQINTSPFHSGLIGLFLVPECCIPASMN -MDWIDLKTQLPLLTSSSHYQGTGLNSTQGNFSNDCCIDSAGTIPQQLFIYPHQLINPKDTNIGTVEVPYV -NCAPTSDPQIHNIWTALIVVLAPLQFSPGASPNVSMTMTVTPVGAVFNGLRHPVAQAQTAIPVRQMQNSG -QFSTTFPARIEPCYGLTPNPTRDFLPPVVKDLVSIAKVPCFLLVGTITNQNPFFTVSNTFTSANPLFEMN -VILSDFALQRTFVSMFGKFFCNYRGSLQITAIAATTAMTRGKLLFSYTPPGAGKPTNLKQAMMGTYTIWD -LGLQSTLNFTIPYISSVDFRINSRSVASALNADGWLTIWILNPITYPPQTPPNQAILLMASAGSDFSYRL -PISPPFVQNGVHDNAEKGVTETTDATQFCGESVGYTTNHSDCSFFFDRYRCVGMIDSVKVNSRNIISVFD -TNNKVKKIADMFKDTNPKHFLTLSPNPAISTTPVSAYIVIEHMSGSTKQQVLVTSGDPFFLRSCPFTYFH -CDLEVTVKPIGGVDGNWYVTWFPPGSELKENQIVPSFYTTGESGSMSLNVNNNDKSLFYSLFPTFHSRGT -ECVSFNIPYTSPLSVIPTRFDGYPDYSRTVGAYGTGPANHFGTLTVTSNNEGYKFTVFVRYKNFKGYVPK -TLPPLPLFNKDSRSVTNENISIRPLIREKSNVSRLKLLLSGDIETNPGPMCSKFQVQGSMSDFLNVARKP -ETLDNVTRLLTTLNNLMNKWNNVKHMCCDSYFLRDILCLLVKLTSLSYLVAGQGPSAYLAASAVLIADGI -SFLDWYEKIKRFLGTRFRVPPPVFTLAQGPDLRDLVTFFNAARGAQWMVDSIRGLITWIKQWLELEEENE -AVHFERLLIESPKHCKAINDYNVGKTFVRPENSFDFMEKLVESATKLGKVNIAGYFRTFTSVDTDAPRME -PVVLVLRGKPGAGKSAAATIITAAVSKILTGTQSVYTLSPDTEHMDGYHGQFSMIMDDLGQNPDGEDFRT -FCQMISVAQYRPSMADLKDKGILFKSQFIVATTNLPEFRPLTVSDRGAVDRRITFDIGVTPGSAVTKNGK -LDLAAALKPDGEGEFPYSTDCQILHTTGLLLQNLRTGKTMNIKELVDLIVKKIKSKRTTSGMLEGLVVQS -PKIVGYTKDDEGVVIVDCLEDWHRIRDKKRKQQALEMVAEEMKIQHEKHTNTISLIKQFLSGLGVVAAVG -AALAAGKALRNIMTSDRATDEPDSKPEENKEENKNAEGPYNGPTKKELKTLKLKAQGPLLDLEKKVLANV -QPFILRVAGRDYIQSCLFIGKRVFLVNKHAIDSVDKTFRVAGKVYNLDDVDVAILDTEYGLTDVAAVKLS -TGPEWKNLSKLFVSLDTTLHPGTRITILSNDQLNMVREGSFLRNEDDIPTNIGPIPFVMLYKASSYFGMC -GSAVLTRFGDCPGILGLHCAGGGGVCVASRVTKRMVETVLDYFYPPQVQGQIVNTENGPRVHVPRQSKLK -RTNAIYPATSKYGPAVLSKNDSRLNPDVDFDKVIFSKHVANVVIDEDTSFWNALKMSAQIYAEKLKGVDF -SPLTVEEAICGIPGLDRMDPNTASGLPYTKTRRQMIDFQEGKILDPELQARLNMWLSGKQPETLYQTFLK -DEIRPIEKVKAGKTRIIDVTPLDHVLAFRIVLGRFMAHFHNNYGFDLGSAVGCDPDVAWANFGFALSSKK -YQYDFDYSNFDASHSESIFELLKQFVFTKDNGFDHRCSLMIDSLVTSTHCYEEQRMTIRGGLPSGTSGTS -VINTIINNIIFKAALYHTYSNFEWDDVQMLAYGDDIVAASDCLLDLDKVKEFMAYIGYKITPADKGEKFI -PKSMQNIQFLKRSFRKVAGVWAPIMDLENLQAMLSWYKPGTLQEKLDSVARLAHFCGEKEYDELFGTFVK -DGFQIKPWKQLHFEWLNRFTE - ->YP_006846319.1 VP3 [Bovine hungarovirus 1] -GLPKNRNESSAFAFCSTQPHSAEPDYGAMHRSSPSFLPAEITDFLQISQIPTLTSIRAVSFQQAVPKQAL -LTMNVSLTANDLLNTSLETVSRGFAQYRGSILIRMLYTGNQMQNVRYVAAYTPPGALPPADRRQTMVGIY -TIYDTGLNSGSDFVIPFISTTDYRYCNAVDGLEVGSGGYFTIWQLTTLAVPPGSPTTAELLIFAASGKDF -EWRCVASPYLALQ - ->YP_006792625.1 polyprotein [Bovine hungarovirus 1] -MVTIVVVWYSFIVAKKVFHCFQRAFHNGSFVYQTNTTAGNMEIPAMDFDLPSDIWNEEADFEFDVESTML -LDGQTPEDFFFEFQGPGQSKQESGNTNNSGNHGVINYNFYNQQWQNSVDLEHAMENNATAYGGAGGGDST -HTTNRTENLLLSGLQAVSNILPLLADGLTEDFENSDRVGKTQAGATTLVTQHQVGCMTYPGRKSGPSPSS -AADEPTTAGPSVDRFITLPVGNWTQTQAVYSGWALPLPWVPLTRNTPFGALGRRHFLLNCGWHIQVQVNS -TRFHGGALGVFMVPQFVYTSQTDLTGPKPLMATDYPLFNQQQLFLFPHQILNPRTNSSVDIQVPYANCTP -GCDPTQQAPWTLLIVVLSPLSYASGATTSLEVVASIRPLQAQFHGIRQTNSEFEGLPKNRNESSAFAFCS -TQPHSAEPDYGAMHRSSPSFLPAEITDFLQISQIPTLTSIRAVSFQQAVPKQALLTMNVSLTANDLLNTS -LETVSRGFAQYRGSILIRMLYTGNQMQNVRYVAAYTPPGALPPADRRQTMVGIYTIYDTGLNSGSDFVIP -FISTTDYRYCNAVDGLEVGSGGYFTIWQLTTLAVPPGSPTTAELLIFAASGKDFEWRCVASPYLALQGED -TQITPAETGQTPEVTAENSNQNVVPIPYAPQRISHSAVRFWYDRFFLADSLQVNYKSSGSYLHVLRWPDI -FEKIPEVRWFMHATYCRFELEIAIRPWNQDVVDYEMVYWPPGSLLPTDTVTWVSTADRRMRQSGPQPRWT -WNTGTTPCFTARIPFTAPSSVLTQTYTGWSNTSHAEGTFGNTPGLNVLGALTLTQGSKNGSGTVITYISY -RLVDIQMWCPRPGLYVAPPIQQSSGATNFSLLRLAGDVELNPGPPILSVARKLDPDLDQMFKKFEIMQES -FKKLTDCAQWINVFSDIDKRKWFKRIMKFLSYAVILSRARHDPLLAAATAFLLSGDWLSRLCAKIVKWLP -SHCRTQPPPFPSSDENPDDGSKDIKKESASSGFSLLVVFKNSSKPLSVNSGDIKPKTDDVPLIDLTNMGP -KIDYPGSHNPFGESLADKLVQENERLVAEAQAEYARRSANPFEDTDVEPEKLFDKFKRFFSKTPKMEGPI -MSEINQVLVLCRNAQWLAQQLQKILGWLGVWKQQEEDASEERFRERMQVYPQMMEQYEQYKNSPRHQKWN -DCKTWFDEMRKLAVLHDPKLVNLFPNMASIPHENSRQEPILIVLRGKPGQGKSVAASMLAQMFAHSLSGK -PDYYSYNSSTNYFDGYQQQPVVLIDDLGQDPAGTDFSVFCQMISTTPFLPNMASLNDKGIKFKSDVIIAT -TNLPEFKPVTIADPGALQRRINFDFEVEAGQSYKTKAGTLDLAKALEPTGCSAPLHMVKADIHLFSSACL -KFKDRMARCECSLVGVYDRVMNSHKRRNDLANKLVEIFNFQGPKEHDPRQYNDKFPVPVPRRKDVEKWCD -LAIAQDPHDDEVLSFLRRHCDHALFGAYLRRFYGAGPDPLKPPNKYSLRKALDMISIVTQVLALILMLMS -LGIVIWQLFNMEGAYSGNAVVRDKKKPNGLKVIDIASLQGPMNFDLEKSLLARNIVTLHCRRKDGSEFET -GALAVRGRLVVMNFHLWNDATHLQLDGEWMPRDTIPAVRPAANGIPTELVFMNWAKTPGRQFRDITTYFP -RSGEGHFKLSPAAKVTGICGHMQPSFMFQAESLGTAESAKTWESVVPMVLKYKAQTAPGFCGSVVVVDNG -IWKKVFGLHCAGAHGVGMAAIISREMVDAISQLAEFQGRIHSAKNHQYVYTPHKTQLYPTVACDDNTTVE -PAALSPNDKRLVKPEEFKKTILAKHVGDRTDGPLAMIRGARFYARLVRAKCGQVNERLSLHEAVFGTDNL -DPMDQTRSPGWPYIGTKRRPDLLWQTDEGLDMDPVLRAELMLMMEGNFSHHKFVTFLKDELRDKEKVKQG -KTRVIDIASYGHAIMGRVLFGRLAAAMHAHNGVDLGSAVGTNPDIDWTRYAAEFKFKNFVDVDYSGFDAT -HSTFSFQCLKIFLQELGFDDVALKYVDSLCDSTHIWDDEIFKISGGLPSGCSCTSIFNTILNNIVVRSLV -PEVYDGEFQILAYGDDLVLCSQEIFPVEKYKEIVEEMTNYRITPASKSGTFEWTDLSGVVFLKRYFYKDG -LLVRPVMTYKNLHNILSWARAGTVQEKLLSVARLAQHRGEQDYKALMEPFESCGYFVPSFDDLELEFFSL -FFG - ->YP_006383902.1 truncated polyprotein [Encephalomyocarditis virus] -MATTMEQETCAHSLTFEECPKCSALQYRNGFYLLKYDEEWYPEELLTDGEDDVFDPELDMEVVFELQGNS -TSSDKNNSSSEGNEGVIINNFYSNQYQNSIDLSANAAGSDPPRTYGQFSNLFSGAVNAFSNMLPLLADQN -TEEMENLSDRVSQDTAGNTVTNTQSTVGRLVGYGTVHDGEHPASCADTASEKILAVERYYTFKVNDWTST -QKPFEYIRIPLPHVLSGEDGGVFGAALRRHYLVKTGWRVQVQCNASQFHAGGLLVFMAPEYPTLDAFAMD -NRWSKDNLPNGTRTQTNKKGPFAMDHQNFWQWTLYPHQFLNLRTNTTVDLEVPYVNIAPTSSWTQHASWT -LVIAVVAPLTYSTGASTSLDITASIQPVRPVFNGLRHETLSRQSPIPVTIREHAGTWYSTLPDSTVPIYG -KTPVAPSNYMVGEYKDFLEIAQIPTFIGNKIPNAVPYIEASNTAVKTQPLATYQVTLSCSCLANTFLAAL -SRNFAQYRGSLVYTFVFTGTAMMKGKFLIAYTPPGAGKPTSRDQAMQATYAIWDLGLNSSYSFTVPFISP -THFRMVGTDQVNITNADGWVTVWQLTPLTYPPGCPTSAKILTMVSAGKDFSLKMPISPAPWSPQGVENAE -KGVTENTNATADFVAQPVYLPENQTKVAFFYNRSSPIGAFTVKSGSLESGFAPFSNGTCPNSVILTPGPQ -FDPAYDQLRPQRLTEIWGNGNEETSKVFPLKSKQDYSFCLFSPFVYYKCDLEVTLSPHTSGNHGLLVRWC -PTGTPTKPTTQVLHEVSSLSEGRTPQVYSAGPGISNQISFVVPYNSPLSVLSAVWYNGHKRFDNTGSLGI -APNSDFGTLFFAGTKPDIKFTVYLRYKNKRVFCPRPTVFFPWPTSGDKIDMTPRAGVLMLESPNALDISR -TYPTLHVLIQFNHRGLEVRLFRHGHFWAETRADVILRSKTKQVSFLSNGNYPSMDSRAPWNPWKNTYQAV -LRAEPCRVTMDIYYKRVRPFRLPLVQKEWPVREENVFGLYRIFNAHYAGYFADLLIHDIETNPGPFMFRP -RKQVFPDPRSGSVINGSNPTAERPCQQSYGISFYGFARCQRGRPKSNEDYKDIKFSIGCMGKCKRNTKQP -RVLEAALEQMCAADCRDDNSSDASGPFDSALLRNIDGRRDYKPDKSVRRNSS - ->YP_002956076.1 polyprotein [Cosavirus D] -MGANNSKESVSSNGNQGTIVNNFYANSYYASIDASASSVGGDTPAENGTVSGILGSFASAFTSAALLAKP -KVENDTNMEDRVITLKAGNTIVNSQASEGVLHGYGIGTNTQRPSSCGDDPSIATHCIERGFTINLADWDK -SKESWQALVYRLSDHLKDDTVGNMFSKTLGTHAYTKCGYRVSLQINTSPFHSGLIGLFLVPECCIPASLN -MDWIDLKTQLPLLTSSSHYQGLGLSTGQGTISEKGSIDAAGTIPQQLFIYPHQLINPKDTNIASVEVPYV -NCAPTSDPMIHNIWTALVVVIAPLQSNASASPTVAMSMTVTPVGAVFNGLRHPAPNVQTAIPVRMTQNSG -QFSTTLPARMEPCYGLTPNPTRDFLPPVVEDLLSIAKVPCFLLADEDTTKQKPYFLISNASSTQTAVFEM -NVILSEYALQRTFVSMFGKFFCNYRGSIQITAWAAVTAMTRGKLLFSYTPPGAGKPQNIKQAMMGTYTIW -DLGLQSTLNFTIPYISSVDFRINSRAVASALNADGWLTIWILNPITYPPQTPPQQAILLMASAGSDFSYR -LPINPPFVQGDIHDNAEKGLTETTDATQFCGAAVGYTTNHSNCEFFFDRYRFVGFIDAVRNNKRSVISVF -DSNNKVKRIAELFKEPNKPGNYFTLSPNPAISTTPMSAYIVIDHPSSTSSPYTQYAIATTGDPFFLRSCP -FTYFHCDLEVTIKPENAVSGVWRATWYPPGSDLKEDEVVPSFRTTGESGNMSLTVNNRERSTIYNTYPTF -YSRDGQCVSFNIPYTSPLSVIPTRFDGYPDYSRTVGAYGVAPANHFGTLTVAANDEGYKFFVYVRYKNFK -GYVPKTLPPQPLFLKNSRSLTNETIIARPYIRESSNVSRLKLLLSGDIETNPGPNHSKFQVQGSMSDFLN -VARKPETLDNVTRLLTTLNNLMNKWNNVKHMCTDSYFLRDILCLLVKLTSLSYLVAGQGPSAYLAASAVL -IADGISFLDWYEKIKRFLGSRFRVPPPIFTLAQGPDLRDLVTFFNAARGAQWMVDSIRGLISWIKQWLEL -EEANEAVQFERLLIESPKHCKAINDYNVGKSFIRPENSFDFMEKLVDSATKLGKVNIAGYFRSFTSVDTD -TARMEPVVLVLRGKPGAGKSAAATIITAAVSKILTGTQSVYSLSPDTEHMDGYHGQFAMIMDDLGQNPDG -EDFRTFCQMISVAQYRPSMADLKDKGILFKSQFIVATTNLPEFRPLTVSDRGAVDRRITFDIGVTPGTAV -TKNGKLDLAMALKPDGEGEFPYSCDCQILHTTGLALQNLRTGKTMNIKELVDLIVKKIKSKRTTSGMLEG -LVVQSPKIVGYTKDDEGVVIVDCLEDWHRIRDKKRKQQALEMVAEEMQIQHDKHSQTISLIKQFLSGLGV -VAAVGAAFAAGKVLKNMMTSDRAQDEPDSESQEKTEEKQKAEGPYNGPTKKELKTLKLKAQGPLLDLEKK -VLANVQPFILRVAGRDYIQSCLFVGKRVFLVNKHAIDSVEQKFQVAGKTYDLDDVDVAILDTEYGLTDVA -AVKLNTGPEWKNLSKLFVSLDTTLHPGTRITILSNDQLNMVREGSFLRNEDDIPTNIGPIPFVMLYKASS -YFGMCGSAVVTRIGDCPGILGLHCAGGGGVCVASRVTKRMVETVLKYFYPPQVQGQIINTENGPRVHVPR -QSKLKRTNAVYPATPKYGPAVLSKNDPRLDPDVDFDKVIFSKHVANVVIDEDTSFWNALKMSAQIYAEKF -KGVDFSPLTVEEAILGIPGLDRMDPNTASGLPYTKTRRQMIDFQEGKILDPELQSRLDTWLSNKQPEMLY -QTFLKDEIRPIEKVKAGKTRIIDVTPLDHVLAFRIVLGRFMAHFHNNYGFNLGSAVGCDPDVAWANFGFA -LSSKKYQYDFDYSNFDASHSESIFELLKQFVFTKDNGFDHRCSLMIDSLVTSTHCYEQQRMTIRGGLPSG -TSGTSVINTIINNIIFKAALYHTYSNFEWDDVQMLAYGDDIVAASDCLLDLDRVKDFMAHIGYKITPADK -GEKFIPKCMQNIQFLKRSFRKVAGVWAPIMDLENLQAMLSWYKPGTLQEKLDSVARLAHFCGEKDYDKLF -ETFVKDGFQIKPWKQLHFEWLNRFTE - ->YP_002956075.1 polyprotein [Human cosavirus B] -MGANNSKESVNSSGNNGTTVNNFYANNYYGSIDASAQGVGTSSTPENGTVSGFLGMASSAFNALSLLASP -KVENSLYTEDRLLTRKAGNTSVNSQAAEGVLQGYGHESDFSNPTSCGDAPSKAVPATSRGYTVHLKDWTS -TIYAYYAQLYRISDRIKDPAIGNLFSRNMDAHSFTKTGYEVMLQVNTSPFHSGLIGLFLVPELVRSTGSD -LEWMVMTQKLSLYQPPAEEIAHSHYSTQTITPNKNVSFDLADMTAEQMMLFPHQLINPKDTNVATVRVPY -VNVAPTSDPRVHNIWTAVIMVVTPLKYADGASPTVQMTLTITPIDTVFNGLHHASSTAQSPIPTRPFHHS -FQFSSTVPDVTEPCYGMTVNPPKDYMPEPVGDLVSLAKVGSLVTVSYPSNSDQPYFEISNTKKDTPLFKC -NVLLSDPHFQHTLVSNLGKYFANYRGSLQFSFISATTGMTKGKLLLSYTPPGSGAPTTLEQAMNGTYSIW -DIGLQSTFNFVVPYISAVDFRLCAASASSVVNTDGWFTVWLLNSLTYPPGTPPTQNVVVMLSAGEDFSYR -NPISPAWTQSPGSTPHDNAEKGTIENCDASLNSGHSVSLPTPHSNVRFFFDRYRFLGLLESTPNTAPEIT -SPYDPTSFKVRDLAYMFNVNNTKRPFNALALTPTPSIDGSPITTYLVSSNMAQSDYNYIFTPGDPHLYRS -CPFTYFHADLEVTVKPPPELTGRWRVTWYPPGATIDTVTVHLATEASTESSTTTVTSSLSSSGSLFTLNP -TFYGKNGFPVSFMIPFCSPLTLLPLYFDGYPDYKRSSGAYGIGPASTFGTLTVDYDGTKQFFSVFIRYKN -FRGYIPRPVIRFPTTNPDANVKYITLEGGPPRPLSTSIRSRAAYLRQKLMHDIETNPGPVQSKYEAQGPI -DLLQLLRNPQTVDNVTRLLNTLNNLMQTWNNIKTTLSDAIFLRDMVCLLVKLTSLAYLVKDQGPGAYFAA -AAILVSDGISFLDWYEKIRIFMSRRLRTPPPPMFKVQGPDLRDVVTFFNAAKGAQWMVESIKSLINWIKQ -WLELEEENEAVKLEKMLIESPSHCKNIHMYNKGELFAKPQESFDFLDRLCETATSLGKTHLASYFRNFVT -YDSDTSRPEPVVVVLRGKPGAGKSAAATVLAAAVSKLCVGSQSVYTLSPDTEHMDGYHGQFATIMDDLGQ -NPDGEDFRSFCQMVSCAQYRPPMAGLPDKGILFTSRIVIATTNLCDFNPLTIADPRALERRITFDILVSP -GPACNKNGKLDLNAALTPDGPGEGPFTTDCQILHTTGLTLKDIRKGTTLNLKDLTELVVDRINKKKKVGN -MLENLVAQSPNKIVGYTKDDDGVVIVDSLEDWNKIKDKKRKQEILEVVAQEMQKRHEEHNEFKSLMTKFV -TALGVIAAVGAAFYTYKLIKGGEEETSEKEEEKKDSKDVEGPYNGPDKKSLKVLKLKAQSPMLDLEKKVF -KNVLPFGLVYNGKTYQQSCLAIGKRVILVNAHAFNSIEDRFEVDGKTYHLDDVDVCVLDTSEGLSDVCAV -KLPPGPDFPSVVRLFMPFHADLHPGLRLTILSNDQLPMMREGSFLRNEDYAPTNIGNIPFVCLYRSTSYF -GMCGSPVMTRGPDSQGILGIHCAGGGGVSVLSRVTRRMAESVIDYFYPLQTQGLIVSTEEGTRVHVPRVS -KLKRTHASYPATSKYGPAALTRNDPRLNPGVDLDEMIFSKHKDNVLVRKGTSVHESLRKSAQVYACKFSG -IDFSPLTVEEAILGIPGLEKLDPKTASGLPYTKTRKQMIDFKNGKILDPELEERLKIWLSGKAPECFYQT -FLKDEIRPIEKVKAGKTRIIDVPPLDHVLAFRMLFGRFMAYYHLNPGFKIGSAIGCDPETAWNGFGYTLS -SKQYKYDFDYSNFDASHSTSIFEILEEEFFTPENGFDVRCSLLLKSLSCSTHCCENKRLTIISGLPSGTS -GTSVLNTVINNIIFHASLYNVYSNFEWQDVEMIAYGDDVVAASDHELRLDLVKDFMKTIGYKITPADKGE -EFTPKDMADLTFLKRRFVKISGLWAPVMETENLQAMLSWYKPGTLNEKLTSIAHLAHFSGKQTYEELFEP -FVKNGFEILPWKQLHLEWLNKFGY - ->YP_002956074.1 polyprotein [Cosavirus A] -MGANNSKESVSSNGNEGTIVNNFYSNQYYASIDASAQGVGTSTTPENGNVSGFLGLAGSAFNALSLLASP -RTETGMMMEDRVLSRTAGNTSVNSQAAEGVLQAYGTETDSNSPTSCGDDPSKGTHATDRAFVIQLLPWKQ -TTNSYFAQWVRLTQKLSNNLHGNVMAKNIKSHAFAKMGFEVMLQANTSPFHNGILGLFLVPEFVRKGEIT -DEWIDLTPTSSLVSNTELYNPQTYANFPFDAKHSFDYSDITPEQFMIFPHQLINPKDTNVATVRVPYINI -APTNDTTVHTVWTAVVMVLVPLNFSSGASPTVSLTLTITPINSVFNGLHHTAQGPIPVRPFHNFQQFSTT -VPLRTEPCYGMTVTPPVDYMPLPITDLVELAKVPSFVTVANSDTTSERSFPYFSVSNTEQGRNLFKSSVV -LSDLHYQHTLVANLARYFCNYRGSLQFDFIAATTAMTRGKLLISYTPPGAGEPQSIDQAMMGTYAIWDLG -LQSTFNFVVPFISASDFRFNTSSVSNALNSDGWITVWLMNPLTYPPSTPPTQQILMLMSAGSDFSYRLPI -SPGFAEGETSEHPMDNAECGKIDDKDAGMFSGHSVGLPTPHTSTSFFYDRYRFVGIVKSVVNNTPKPVNI -YDDTGKVKNLQQVFPTSDTLLPHSLMSLSPCASVCGQPISSFLFAQRANPKKTLKLRSGDEFLYRCCPFS -YIKCDLEFTVVPPANSTRDYIVHWYPPGATLDAGEVAVGNTSGSNGFDDNGMNAGSSLFSYNPTFHARAP -SKVSAVIPFCLPVSLLPLYFDGFPDYSTTKGMYGCSPSFSFGTIYIESGLQETYSVYIRYKDFKGYAPRP -LIRTPHIRLSERARYIMADSVLPRPLTRAERDVARDLLLIAGDIESNPGPAFNPEYTAHGPVTELIQLAR -KPETVDNVNRLLTTLNTLMAKWNNLKDTVTDAVFLRDMVCLLVKLTSLMYLVHGQGPGAYFAAASILLAD -GITFFDWYEKIKIFMARKLRVSPPFFPAAQGPDLRDFVTFFNAARGAQWMIDSLKSLITCIKQWLELEEE -NEAVQLEKMLIDSPRHCKAINDYNRGDSFQRPTNSFEFMDRLVECATKLGKVQIATYFRNFTTADSDTSR -PEPVVVVLRGKPGVGKSAAATVMAAAVSKLLVGSQSVYTLSPDTEHMDGYHGQFVTLMDDLGQNPDGEDF -RCFCQMVSCAQYRPAMADLKDKGILFTSRLLIATTNLPDFNPVTISDPRALDRRITFDILVTPGSAATKN -GKLDLAAALKPDGPGEHPYTSDCPILHTTGLLLKNLRNNQTMNLKDLVDMIVKRIKHKKEVGNMLDSLVA -QGPTMIVGYTKDDDGIAIVDCLEEWNKIKDKKKKQLALEMVAQELKDKHEEHKGTIKLLKMFVTGLGVVA -AVAGAYATMKYFTKDKPKEEEEEPEEKKEKKTEESKEAAGPYNGPTKKEIKTLKLKAQSPLMDMEKKIAQ -NVMPFQIFYNGKRYTQSCLAIGKRVILVNKHAFESVEHKFVVDQKEYTLDQVTAISLDCGSGVTDVCAVC -LPPGPDFKSIKKHFLPFNTTMFPGTRLTILSNDHYPMSREGSFLRFEDEVPTNVGNMPFVMLYKSTSYFG -MCGSVVCSRFVDGGGIIGMHCAGGGGVSVGTRLTARMIESVFDYFYPPVAQGIIENTETGPRVHVPRTSK -LKRTNATYPATEKYGPAALSRYDPRLNEGVNLDEVIFSKHTQNTLVEKGSTFRSALDMAAEIYGEKFRGN -DFSPLSVEDAILGIPGLDRLDPNTASGLPYTKTRRQMIDFNTGQILDDTLKCRLGQWLAGRPPQEVHYQT -FLKDEIRPIEKVKAGKTRIIDVPPLDHVIAFRMLFGRFIAHYHLNFGFKTGSAIGCDPDVAWASFGFELS -GFPYLYDFDYSNFDASHSTSIFEILEQKFFSPELGFDPRCSLLLKSLAVSTHCYENKRLQIAGGLPSGTA -GTSVLNTVINNIIFHGALYHTYTNFERDDISMLAYGDDIVVASKFELDLVMVKAFMNRIGYKITPADKSD -EFRPKCMDDICFLKRRFVKVAGVWAPVMETENLEAMLSWYKPGTLNEKLQSVSRLAHFSGRDVYDHLFKP -FIRDGFDVTPWKQLHLEWLNKLSA - ->YP_002956072.1 polyprotein [Cosavirus E] -MGANNSKESVSSNGNQGTIVNNFYANQYYGSVDASAQGVGSDTTPENGTVSGFLGLAASAFNAAGFLATP -SAENSTNMGDRILTLREGNTAISSQATEGILHGYNKETDTSQPTSCGDRASKATPATQRSFIIPLKDWTS -SQNSYSALVYRTSHMSKDAVGNLFSKNMNTHAYTKTGWTATLQINTSPFHSGLIGLFMVPEFVRKISDDL -EWIDLVAAGFNTTLFKDSNTYNQDSALVGKIDDKNTFDLASTTPEQFFLYPHQLINPKETTMATVSVPYV -NVAPSSDPSVHNIWTVVVMVLSPLQIGSGASPNVQMTLTLTPTGSVFNGLRHPKPAAQSPFPTRPFHHSF -QFSSTVPDVTEPCYGMTVVPPKDFMPAPITDLVSLAKVPTFCTVTENKPYFEVNNNKSDAPVFQSNVVLS -DPHYAHTLVAGLGRYFGNYRGSLQFTLISATTSMTRGKLLCCYTPPGADKPTTVEQAMMSTYAIWDLGLQ -STFEFVVPFISTVDFRVNVVSTSSAVNVDGWFSIFKLNALTYPANTPPNQHILVMLSGGSDFSYRLPIAP -PFNLHSPDPEPHDNAEKGTSSNHDASLTGGHSVGIKVAHSDTSFFFDRYRYIGTVESTKNTPATVATLTD -TNGIIKSFNNLANADNGYKKVLMLAPNPSFCGAAYTVFAMFDQTGTTGAKKRLLFGQGDYHLYRSCPFTY -YTADLEVTVVPDAGVAGPWRVTWLPVGAPLDEIEMITSETSNPQSWSFFTEDRKDSSSLYSLNPTFWSND -QNKVSFDIPMTTPLTLLPLYFDGYPDYSNTKGLYGVAPANGFGNIVVKSNTLADKFMVYIRYKNFRGYVP -RPLPRLVQPNPSSRVRMAASDGLAPRKYLSYRKIQLSGDVETNPGPNFSKFCVQGPTSDFIRLVRDPETL -DNVNRLLSTLNNLMAKWNSFKHMCLDSYFLRDILCLLTKLTALGFLVHNQGPSAYLAAAAILISDGISFL -DWYDKIKRFMSRRLRISPPPFCLAQGLDLRDVVTFFNAAKGAQWMVDSIRSLIRWIKQWLELEEENEAVQ -FEKMLVDSPKHCKAINDYNVGKTFVRPENSFDFMDKLVDSATKLGRVNIASYFRSFTSVDTDAPRMEPVV -LVLRGKPGAGKSAAATVIAAAVSKTLTGTQSVYTLSPDTEHMDGYHGQFSMIMDDLGQNPDGEDFRTFCQ -MISCAQYRPSMADLRDKGILFKSQFIIATTNLPEFRPLTISDRGAVERRITFDIGVTPGSAATRNGKLDL -AIALKPDGPGEFPYNCDCQLLHTTGLALQNLRSGKTMNLKELVDMIVKKIKSKRTTSGMLEGLVMQSPKI -VGYTKDDEGVVIVDCLEEWNKIKDKKRKQQALEMVAEEIKVQHEKHRETVNLLKMFLSGLGVVAAVGAAL -AAGAYLKSETAGKAVDEPDTKKEEETKTEGPYNGPSKKDLKTLKLKAQSPLLDLEKKIMNNVQPFVMRFG -NREYVQSCLFVGKRVFLVNKHAIETVEERFSVAGTEYNIDDVEIAILDTEYGLTDVAAVKISNGPEWKNL -SKLFLNLETELLPGTRITVLSNDNINMLREGSFLRYEEEIPTNIGPIPFVMLYKASSYFGMCGSAVVTRF -GDSSGILGIHCAGGGGVSVASRVTRKMVETVLEYFYPPQVQGLIIKEEIGERVHVPRQSKLKRTHATYPA -TKDYGPAVLSKNDPRLNPGVDFDSVIFSKHVNNVVIDKDTSIWQSLKLAAQVYAQRLKNIDKEPLTVEEA -IAGIPGLDRLDPKTASGLPYKKTRKQMIDFQKCEITDDQLKCRLGMWLAGRKPETLYQTFLKDEIRPIEK -VKAGKTRIIDVTPLDHVLAFRIVMGRFMAGFHQNHGFKLGSAVGCDPDVAWANYGFKLGGFKYQYDFDYS -NFDASHSESIFEVLKEFIFTPDNGFDQRCSLMIDSLVESVHCYEDKRITIRGGLPSGTAGTSIINTIINN -IILHAAFYYTYSNFEWDDLQMLAYGDDIVAASDHELDLDRIKDFMARIGYKITPADKGETFTPKSMKDIQ -FLKRRFRKIAGIWAPVMEISNLQAMLSWYKPGTLNEKLESVSRLAHFCGEEEYNELFKPFVEDGFDIKPW -KQLHLEWLNKFTG - ->YP_002268402.1 polyprotein [Senecavirus A] -MQNSHFSFDTASGTFEDVTGTKVKIVEYPRSVNNGVYDSSTHLEILNLQGEIEILRSFNEYQIRAAKQQL -GLDIVYELQGNVQTTSKNDFDSRGNNGNMTFNYYANTYQNSVDFSTSSSASGAGPGNSRGGLAGLLTNFS -GILNPLGYLKDHNTEEMENSADRVTTQTAGNTAINTQSSLGVLCAYVEDPTKSDPPSSSTDQPTTTFTAI -DRWYTGRLNSWTKAVKTFSFQAVPLPGAFLSRQGGLNGGAFTATLHRHFLMKCGWQVQVQCNLTQFHQGA -LLVAMVPETTLDVKPDGKAKSLQELNEEQWVEMSDDYRTGKNMPFQSLGTYYRPPNWTWGPNFINPYQVT -VFPHQILNARTSTSVDINVPYIGETPTQSSETQNSWTLLVMVLVPLDYKEGATTDPEITFSVRPTSPYFN -GLRNRYTAGTDEEQGPIPTAPRENSLMFLSTLPDDTVPAYGNVRTPPVNYLPGEITDLLQLARIPTLMAF -ERVPEPVPASDTYVPYVAVPTQFDDRPLISFPITLSDPVYQNTLVGAISSNFANYRGCIQITLTFCGPMM -ARGKFLLSYSPPNGTQPQTLSEAMQCTYSIWDIGLNSSWTFVVPYISPSDYRETRAITNSVYSADGWFSL -HKLTKITLPPDCPQSPCILFFASAGEDYTLRLPVDCNPSYVFHSTDNAETGVIEAGNTDTDFSGELAAPG -SNHTNVKFLFDRSRLLNVIKVLEKDAVFPRPFPTQEGAQQDDGYFCLLTPRPTVASRPATRFGLYANPSG -SGVLANTSLDFNFYSLACFTYFRSDLEVTVVSLEPDLEFAVGWFPSGSEYQASSFVYDQLHVPFHFTGRT -PRAFASKGGKVSFVLPWNSVSSVLPVRWGGASKLSSATRGLPAHADWGTIYAFVPRPNEKKSTAVKHVAV -YIRYKNARAWCPSMLPFRSYKQKMLMQSGDIETNPGPASDNPILEFLEAENDLVTLASLWKMVHSVQQTW -RKYVKNDDFWPNLLSELVGEGSVALAATLSNQASVKALLGLHFLSRGLNYTDFYSLLIEKCSSFFTVEPP -PPPAENLMTKPSVKSKFRKLFKMQGPMDKVKDWNQIAAGLKNFQFVRDLVKEVVDWLQAWINKEKASPVL -QYQLEMKKLGPVALAHDAFMAGSGPPLSDDQIEYLQNLKSLALTLGKTNLAQSLTTMINAKQSSAQRVEP -VVVVLRGKPGCGKSLASTLIAQAVSKRLYGSQSVYSLPPDPDFFDGYKGQFVTLMDDLGQNPDGQDFSTF -CQMVSTAQFLPNMADLAEKGRPFTSNLIIATTNLPHFSPVTIADPSAVSRRINYDLTLEVSEAYKKHTRL -NFDLAFRRTDAPPIYPFAAHVPFVDVAVRFKNGHQNFNLLELVDSICTDIRAKQQGARNMQTLVLQSPNE -NDDTPVDEALGRVLSPAAVDEALVDLTPEADPVGRLAILAKLGLALAAVTPGLIILAVGLYRYFSGSDAD -QEETESEGSVKAPRSENAYDGPKKNSKPPGALSLMEMQQPNVDMGFEAAVAKKVVVPITFMVPNRPSGLT -QSALLVTGRTFLINEHTWSNPSWTSFTIRGEVHTRDEPFQTVHFTHHGIPTDLMMVRLGPGNSFPNNLDK -FGLDQMPARNSRVVGVSSSYGNFFFSGNFLGFVDSITSEQGTYARLFRYRVTTYKGWCGSALVCEAGGVR -RIIGLHSAGAAGIGAGTYISKLGLIKALKHLGEPLATMQGLMTELEPGITVHVPRKSKLRKTTAHAVYKP -EFEPAVLSKFDPRLNKDVDLDEVIWSKHTANVPYQPPLFYTYMSEYAHRVFSFLGKDNDILTVKEAILGI -PGLDPMDPHTAPGLPYAINGLRRTDLVDFVNGTVDAALAVQIQKFLDGDYSDHVFQTFLKDEIRPSEKVR -AGKTRIVDVPSLAHCIVGRMLLGRFAAKFQSHPGFLLGSAIGSDPDVFWTVIGAQLEGRKNTYDVDYSAF -DSSHGTGSFEALISHFFTVDNGFSPALGPYLRSLAVSVHAYGERRIKITGGLPSGCAATSLLNTVLNNVI -IRTALALTYKEFEYDMVDIIAYGDDLLVGTDYDLDFNEVARRAAKLGYKMTPANKGSVFPPTSSLSDAVF -LKRKFVQNNDGLYKPVMDLKNLEAMLSYFKPGTLLEKLQSVSMLAQHSGKEEYDRLMHPFADYGAVPSHE -YLQARWRALFD - ->YP_001949875.1 polyprotein [Human TMEV-like cardiovirus] -MACKHGYPLMCPLCTALDKTSDGLFTLLFDNEWYPTDLLTVDLEDEVFYPDDPHMEWTDLPLIQDIEMEP -QGNSNSSDKNNSQSSGNEGVIINNYYSNQYQNSIDLSANANGVGKENSKPQGQLMNILGSAADAFKNIAP -LLMDQDTEEMTNLSDRVSSDTAGNTATNTQSTVGRLFAFGTRHKGKHPASCADTATDKVLAAERYYTFKI -ATWSTQKAFDHVRIPFPHVLSGSKGGVFGSTLRRHYLCKCGWRIQVQCNASQFHAGSLLVFMAPEFDTSP -YNATTEPTKAVPFQMDTQWQSGKLLGHSYESTTLQGLRPLALNHQNHWQWTVYPHQILNLRTNTTCDLEV -PYVNICPTSSWTQHANWVLVVAVLSPLQYSSGSSPNVDITVSVQPVKPVFNGLRHTVLEDQSPIPVTIRE -HAGTFYSTTPDTTVPIYGKTIATPSDYMCGEFDDLLSLCKIPTFLGNPTTDQRLPYISANNTVGDQPLAT -YQVTLSCSCMANSMLAAVSRNFNQYRGSLNYLFVFTGSAMAKGKFLISYTPPGAGKPKDREQAMQATYAI -WDLGLNSSYNFTVPFISPTHYRQTSYTTPSITSVDGWLSIWQLTPLTYPANTPQSADILTLVSGGDDFTL -RMPVTPSKYTPHGVDNAEKGKVANDNASADFVAVPIALPENQTKVAFFYDRAVLASALVNAQDLNSNFSL -QDAANVMNNALLTPLPSNRLDDSTYGLAEQHRWLSFPTDTKQTPPYKTKQDWNFLMFSPFTYYKCDLEVT -LSLNSSDAIGSVVRYTPCGAPVDLSEQTIPYTPSLSDTRDPHMWVVGPGATNQISFVIPYTSPLSVLPAV -WFNGFSDFDNKTRFGVAPNADFGRLFVQGADRFSVHYRYKKMRVFCPRPTVFFPWPANSVSKIKSVRPTP -VLELQNPISMYRVDLFINFSDEIIQFTYKVHGRTVCQYEIPGFGLSRSGRLLVCMGEKPCQLPVSTPKCF -YHIVFTGSRNSFGVSIYKARYRPWKQPLHDELYDYGFSTFTDFFKAVRDYHASYYKQRLQHDIETNPGPV -QSVFQLQGGVLTKSQAPMSGLQSMLLRAIGIEADCTEFTRAVNLITDLCNTWESAKTTLSSPEFWTKMVM -RIVKMVAASVLYLHNPDLTTTVCLSLMAGIDVLTNDSVFNWLSTKLSKFFHTPAPPIVPLLQQQSPVREA -NDNFNLAKNIEWAIKTIKRIVEWITSWFKQEETSPQAKLDKMLADFPEHCSSILAMRNGRKAYTDCAGAF -KYFEQLYNLAVQCKRIPLATLCEKFKNKHDHAVARPEPVVVVLRGNAGQGKSVTSQIIAQAVSKLLFGRQ -SVYSLPPDSDYLDGYENQYSVIMDDLGQNPDGEDFKVFCQMVSSTNFLPNMAHLEKKGTPFTSNFIIATT -NLPKFRPVTVAHYPAVDRRITFDLTVEAGDECITHNGMLDVEKAFEETPGKPQLDCFNTDCRLLHKRGVR -FICNRTKNIYNLQQVVKMVKNTIDNKVENLKKMNTLVAQSPGNDMDYVLTCLRQTNAALQDQIDELQEAF -NQAQERQNFLSDWLKVSAIVFASIASLSAVCKLVSRFKNLVCPAPVQIQLSEGEQAAYSGGKKGEKQTLQ -ILDVQGGGKIVAQAGNPIMDYEVNIAKNMVTPITFFYADKAQVTQSCLLVKGHLFVVNRHVAETDWCAFE -LRGTRHERDSVQMRSINKSGMEVDLTFVKVVKGPLFKDNSRKFCSKDDDFPARNETVTGIMNTGVPFVFT -GKFLVGNQPVNTTTGACFNHCIHYRATTHRGWCGSALICHVNGKKAVYAMHSAGGGGMAAATIITQEMIE -AAEKALDCLIPQGAIVEIGIDTVVHVPRKTKLRRTVAHPCFQPKFEPAVLSRYDPRTTKDVDQVAFSKHT -TNLEELPSVFSMVAKEYANRVFTTIGKENQILTPEQAILGLPGMDPMEKDTSPGLPYTQQGLKRAQLVNF -EQGTMVQHLKEAHTKLTKGNYEDILYQSFLKDEIRPIEKIHEAKTRIVDVPPFHHCIWGRQLLGRFASRF -QTNPGLDLGSAIGTDPDTDWTAFAFQLLQYKYVYDVDYSNFDASHSTAMFEILIENFFTTENGFDERIGD -YLRSLAVSRHAFEERRVLVKGGLPSGCAATSMLNTIINNIVIRAALHLTYSNFEFDDIKVLSYGDDLLIA -TNYQINFNLVKQRLAPFNYKITPANKTVEFPEISNLYEVTFLKRKFVRHNSCLFKPQMDTENLKAMVSYC -RPGTLKEKLNSIALLAVHSGKSVYDEIFDPFRRIGIIVPEHSTMLYRWLNLFR - ->YP_001210296.2 polyprotein [Saffold virus] -MACKHGYPFLCPLCTAIDISADGSFALLFDNEWYPTDLLTVDLDDDVFHPPDCVMEWTDLPLIQDVLMEP -QGNSNSSDKNNSQSSGNEGVIINNYYSNQYQNSIDLSANANGVGKENTKPQGQLMNILGSAADAFKNIAP -LLMDQNTEEMTNLSDRVSSDTAGNTATNTQSTVGRLFAFGSRHKGKHPASCADTATDKVLAAERYYTLKL -VTWTTTQKPFDHVRIPLPHVLAGKQGGVFGSTLRRHYLCKCGWRIQVQCNASQFHAGSLLVFMAPEFDTS -SYSAVDDPIGEEPFKVDTTWQTGSLRGHSYEDKSTQTLRPLALNHQNYWQWTVYPHQILNLRTNTTCDLE -VPYVNICPTSSWTQHANWTIVVAVLSPLQYAAGSATEVDITLSIQPVKPVFNGLRHSVLEADSPIPVTVR -EHAGTFYSTTPDNTVPVYGKTVATPSDYMCGEFTDLLSLCKIPTFLGNVSSTQRLPYFSATNTVPNNALV -TYQVSLSCSCMANSMLASVARNFNQYRGSLNYLFVFTGSAMTKGKFLISYTPPGAGKPTTRDQAMQATYA -IWDLGLNSSYNFTVPFISPTHYRQTSYTTPSITSVDGWLSVWQLTPLTYPVGCPTNSDILTLVSGGDDFT -LRMPISPTKYTPQGVDNAEKGKVSDDNASADFVAVPIQLPENQTEVSFFYDRAVLASALAVGTNQGLNNS -FSLESASGVMNNILLTPLPSDRLKENEFGLDEQHRWLSFQSATSSTPPYRTKQDWNFLMFSPFTYYKCDL -EVTLSLNSGSAAGAVVRYVPSGAPVDITTQTMPFTPSLADTRDPHMWLVGAGSTNQISFVLPYTSPLSVL -PAVWFNGFSDFSNTSRFGVAPTSDFGRLFVQGSDRFSVHFRYKKMRVFCPRPTVYFPWPSESVSKIHANT -PVQILELQDPISIYRVDLFINFSDEIIQFTYKVHGRTVCQYEIPGFGLSRSGRLLVCMGEKPCQLPISTP -KCFYHIVFTGSRNSFGVSIYKARYRPWKQPLHDELYDYGFSTFTDFFKAVRDYHASYYKQRLQHDVETNP -GPVQSVFQLQGGVLTKSQAPMSGLQSMLLRAIGIEADCTEFTRAVNLITDLCNTWESAKTTLSSPEFWTK -MVMRIVKMVAASVLYLHNPDLTTTVCLSLMAGIDILTNDSVFNWLSSKLSKFFHTPAPPIVPLLQQQSPI -REANDNFNLAKNIEWAIKTIKRIVEWITSWFKQEETSPQAKLDKMLTDFPEHCASILAMRNGRKAYTDCA -SAFKYFEQLYNLAVQCKRISLATLCEKFKNKHDHAVARPEPVVVVLRGNAGQGKSVTSQIIAQAVSKLSF -GRQSVYSLPPDSDYLDGYENQYSVIMDDLGQNPDGEDFKVFCQMVSSTNFLPNMAHLEKKGTPFTSNFII -ATTNLPKFRPVTVAHYPAVDRRITFDLTVEAGDECITPNGMLNVEKAFEEISGKPQLDCFNTDCRLLHKR -GVRFVCNRTKNVYNLQQVVKMVKNTIDNKVENLKKMNTLVAQSPGNDMDYVLTCLRQTNAALQDQIDELQ -EAFNQAQERQNFLSDWLKVSAIVFASIASLSAVCKLVSRFKNLVCPTPVQIQLSEGEQAAYSGGKKGEKQ -TLQVLDVQGGGKIVAQAGNPVMDYEVNIAKNMVTPITFFYADKAQVTQSCLLVKGHLFVVNRHVAETDWC -AFELKGTRHERDSVQMRSVNKSGMEVDLTFVKVVKGPLFKDNSKKFCSKNDDFPARNETVTGIMNTGVPF -VFTGKFLVGNQPVNTTTGACFNHCIHYRATTHRGWCGSALICHVNGKKAVYAMHSAGGGGMAAATIITQE -MVEAAEKALDCLTPQGAIVEIGIDTVVHVPRKTKLRRTVAHPCFQPKFEPAVLSRYDPRTTKDVDQVAFS -KHTTNLEELPSVFSMVAKEYANRVFTTIGKENQILTPEQAILGLPGMDPMEKDTSPGLPYTQQGLKRAQL -VNFEQGTMVQNLKEAHTKLTEGNYEDILYQSFLKDEIRPVEKIHEAKTRIVDVPPFHHCIWGRQLLGRFA -SRFQTNPGLDLGSAIGTDPDTDWTAFAFQLLQYKYVYDVDYSNFDASHSTAMFEILIENFFTPENGFDER -IGDYLRSLAVSRHAFEERRVLVRGGLPSGCAATSMLNTIINNIVIRAALHLAYSNFEFDDIKVLSYGDDL -LIATNYQINFNLVKQRLAPFNYKITPANKTVEFPETSNLYEVTFLKRKFVRHNSCLFKPQMDTENLKAMV -SYCRPGTLKEKLNSIALLAVHSGKSVYDEIFDPFRRIGIIVPEHSTMLYRWLNLFR - ->NP_740363.1 VP3 [Equine rhinitis B virus 1] -GIPGTQPYDRQFVSSEPSAPPPVYTPSWLPERSFIPGKFTDFLQVAVIPTLAEVSVQNYKPVPSFPVSNV -LQTKPLVNTDLTFTSMTFRNTYVSALSLQYTQYRGSICMDLLFTGSAMCQGKFVVCYVPPGREPQSLDEA -MQGTYSIWDLGLNSSFKFVVPYISASAYRFTHEDDQPSALNAVGWLQIYQLTNLTFPPNVPTRADVLVFF -SAGSDFCLRFPVDTYPRTE - ->NP_740362.1 VP2 [Equine rhinitis B virus 1] -DQDTEDVTRQPDRIVTVLDGNTSRTTQSSVGILRGYNYAPGKHTQPSSAQDTPSKAEQSVERGFTFQLAQ -WETSRNIWDHLTIPLPMCPGLIKVSGMYKAFIETHAYIKNGWKIQVQCNASQFHSGCLLVAMIPEYLSTA -QQDFLGSWRDKTTDSTPGTWVWNTYEAFPPGFPPQQITLFPHQFLNLRTNTTVDLEVPYTNFAPSSSPTL -HCPWTLVIVVVSPLQFGTGAPTQVQITTTITPTDFVANGLRQAVAQ - ->NP_740409.1 protein 3AB [Encephalomyocarditis virus] -GPVDEVSFHSVVQQLKARQQATDEQLEELQEAFAKVQERNSVFSDWLKISAMLCAATLALSQVVKMAKAV -KQMVKPDLVRVQLDEQEQGPYNETARVKPKTLQLLDIQ - ->NP_653143.1 polyprotein [Teschovirus A] -MEFLYGNAGLDLCCLTGSRLAVCTLYSQLKLTMACLKIFSLKRKDKSHSYSPREIELKYNSDFAFKPRPL -APLLRLEPSDTTTRRIECAEVEYDLWYPNPLDPASLVCDVKLEMLRFQGTGTSRMENGNTNNSGNTGVIN -YNFYSNSYTDAVDLSGAMSSQESNAAENAASGPTSLLKAGINAAARIGPLLAEPKFEEYKLSDRVDVLQK -GTSTIISQNSVGSNTYVSCPKFDVTSVADEGTSGGPAVSRFVTLRAQSWSTSQDVYSFQAAHLPYALVAQ -ETPFKALMSKHQLLKCGWIVQVQINTTRFHGGCIGVFAVPEFSVFSRPDGQLTYTNNRGGSPSDIWDKFS -KWHNPDKMYSTWHYHHTFDDTDKHWYKPEEQPYGGISPQSLFCFPHQLINPRTNSSATLCVPYVDCGPIT -DVTVHCPWAIVIVVLRQLLVANGGTPSVDINVSLAPCNVEYHGLRQSSVYQSPIPKADIDASRALFSTTQ -PYTAAPVYGKIPASSPSYMPGEFLDYVQLAQIPCLVKNREIRVSSTERTKPIFGMPLRPVNDETTNTMLG -SVTRMFTQWRGSLVIRCVFVGNQMQNCRLVLAWTSQGFNAPMPTTMAEAMQGHYVIYDTGVDSSVDLVVP -YVAPYDFTPVRTPYTKTDFPMLPNSDPVLVDTPYWSKGYVTIWQYTNLASPPNSPALADFLLFVFAGEDY -VLKGPSNISSGFQGNMDSPLQPAETGCDKAMAPSASNENPVPLSVESIGSSRVSFFWDRYFHISTITKMT -NVDPQYLRLNISDILRDPVIRQSLHATYLRCGLSVAVRVMPANPASTECLDGLTLNLLYVPPGSGWNIDK -LSATDPIEKAKDSVDNYALPSFTWKPAQTPVFTCSVPYVSFNSVLPAMYSGFETSSKIPKRNNQIPQDFG -FGMLVLRSSSTAGLAVSVWVKFENVRLFCPRPGIHDPLAYSRSHPQESREHDEGLSSAMTVMAFQGPGAT -NFSLLKQAGDVEENPGPSLSKLYQILKDPAVDALCEAYDELKKFKEQATNLLDSFSGDSENPWLNKFIKY -LGYAILAWKSLHDPMTAAAVCFIIGSDVTAFVVSKLAKHLKKFAKTDSPPVPKPRSCKKEGCCCGNKHNY -PDELNPFSENGFWSRFKKGHLQGPMQDVSSLINILKGAEWIYHQFEKVIKWLKTWRTAEYEVSSDYLQNE -MKNYPHYHRKYKECANNYRHPDRTEVANYFKKMRKCAAHVNPRLMGMFPEFDPSPPDPTRPEPVVVVLRG -APGQGKSVCSEMLARMLSYTLVGKSSYYAFNSATKHFDGYKQQAVTIIDDLGQDTSGEDFRLFCQLVSTT -ECIVPMADLPDKGMHFKSEILIANTNLPSFNPITVSDPAAIKRRIFLDLTVEANRAYTKPDGTLDLVRAL -QATGKESQSPLLRQDHNILYSDCVAFKHGRHTLSFLEVFDMIKRELQRRQNVSNSLTNLFTFQGPNDDSW -FTTFYKKWNLRAKENSEEKLILELIRYCHGSEMLKEYCQLAAANKEKKTGYWNMVRIIEEILAALTLILS -LISIMIVMYQLFFQGSYEATAIKPTKPNRQSLLKLVEMQGPKGQANMEMERTLMKKNIVEMTYEKHNGRF -QTTTVLFVRDRIFLINTHILSSIKNFHYENTEIPAASVQKVQAIFDGHPSDVTAVQFTVGRQYRDITSNF -IISLPNPGTPIVGLMKTEGSSYIWSGECLPFKNTMNTYEGCVPHVLPYKAVTAHGYCGSVMVADAGVWKG -ICGIHSLGDGAIGAATVLSRQHLLNLLEGFLEFQGKIYDVQKTDFVYTPTRTSLKPTFVCVDPKLEPAAL -SHLDPRLKEPENFKAVILSKHVGDSLQLPWGIRWASYKYAERIRAMLPPDFLEPLSVREAVEGIDGLDPM -DMDKSPGLPYVKKGLRRTDLWNPKTGSSLELMAEINRYLDYNYDKHVFLTFLKDELRPKEKVQAGKTRVI -DVAGFGHAIVGRMLFGRLFAFFHKNPGWNTGSAVGVNPDLAWTQIFYTAPSRNVLAMDYSGFDASHTSGM -FCILKHFLTTLGYGTLQLSYIDSLCYSKHHWDDETYRLDGGLPSGCSGTTIFNTIMNNIVARAAASYAAD -GPVGILCYGDDILVSSPEKFPVSDWLEFYSKTPYKVTAADKSEQIDWRDITQCTFLKRGFVLDGSLVRPV -MEEQHLAELLKWARPGTLQAKLLSIAQLAFHLPRSAYNRLMLPFEEAGYEIPSHERLNEEWREMFI - ->NP_653077.1 polyprotein [Equine rhinitis B virus 1] -MVTMAGNMICNVFAGLATEICSPKQGPLLDNELPLPLELAEFPNKDNNCWVAALSHYYTLCDVTNHVTKV -TPTTSGIRYYLTAWQSILQTDLFNGYYPAAFAVETGLCHGPFPMQQHGYVRNATSHPYNFCLCSEPVPGE -DYWHAVVKVDLSRTEARVDKWLCIDDDRMYLSGPPTRVKLASSYKIPTWIESLAQFCLQLHPVQHRRTLA -NSLRNEQCRGAGHSRPEGGHNNESGNNGTIVNNYYMQHYQNSVDLDGMTSQNIGGQPGSSSNPFSSILDI -LGTASSVALLDQDTEDVTRQPDRIVTVLDGNTSRTTQSSVGILRGYNYAPGKHTQPSSAQDTPSKAEQSV -ERGFTFQLAQWETSRNIWDHLTIPLPMCPGLIKVSGMYKAFIETHAYIKNGWKIQVQCNASQFHSGCLLV -AMIPEYLSTAQQDFLGSWRDKTTDSTPGTWVWNTYEAFPPGFPPQQITLFPHQFLNLRTNTTVDLEVPYT -NFAPSSSPTLHCPWTLVIVVVSPLQFGTGAPTQVQITTTITPTDFVANGLRQAVAQGIPGTQPYDRQFVS -SEPSAPPPVYTPSWLPERSFIPGKFTDFLQVAVIPTLAEVSVQNYKPVPSFPVSNVLQTKPLVNTDLTFT -SMTFRNTYVSALSLQYTQYRGSICMDLLFTGSAMCQGKFVVCYVPPGREPQSLDEAMQGTYSIWDLGLNS -SFKFVVPYISASAYRFTHEDDQPSALNAVGWLQIYQLTNLTFPPNVPTRADVLVFFSAGSDFCLRFPVDT -YPRTEGTENMETGAVGPSDPSETSDSHSFAMPNVVSHTNLEFLFDRYFFSGFANSCDVTANTTHTHSGDT -QLNPFVTPNFKLDKTVRDYMLRAFTYFTADLGVAVQPTSVSSKPLPAVDYWVGWRPVGAPEPKLLAFSAP -SPGDTVTAVKRSSVLSGGFCPIIHSVIGQGLNQVQMSIPYTTPLSAIPTSYCGYADYKKKPRLFGHPPAA -HFGTLSIRVRNVDLLPEQTKKEDDPLVDLRFALFTRFRNLKAYAPRPFTRVPNSPHEQGTASRTLVRTNH -ITAEFVEKTPLNGLAAVFTSLSTSGYSTKLVSEPIPEATLSTILSEGATNFSLLKLAGDVELNPGPTIWS -VMPGGELIEFATKVSQVYKTIKEKCTDPTTWIKAVRRLLRLLAVVSAWKHGDWFSMICLLVDLGFDLAQL -SYKTFWQYLTKPFADAGLKFDFARDLTRRFRREQPRAPEVSPEEQRMAYGADFRQAVQYQENLQAPRRSW -RERAQDTYRSIRRTFFDDSSSESEDGEPEVPQFRPQVYPRPRSMRVVRHPMTARRAQRVIDMGYSDYETA -VENPFFISDLSSPEEEEPIPYEQARRQVPRVHFRESRGPEPTLRPRPGLMHRLAQRVHCEENQALLSEGI -SSTLSVLNGFFNLFKNVQWFLDFLKSCYGKLSKQLKPMQSLRDKVLQTYADSIAEFMTPSAQKKELYLQN -HYDAVEAGLNSIAAAWKDLANKCYAYSRPEPVCILLKGRAGQGKSVAATVIAKAVSIVNTGKESVWTLPT -DSDFFDGYAGQNVVIIDDLGQNPDGKDFRNFCQMVSSTVFLPPVADLAHKGIPFTSPVIIATTNKNENFL -PVTVSDQEAVTRRYWKVIDVSADDQYKTVDGRLDYAKATQPTQIPPELAVFKTHVPLLKGALRDCQRTNR -SGARVLNLYDVISAICVEVDRREQLRSNLGGIFAQSRDRHYQRDREYYDEYGTAEHRRRFVEQNPTFHFK -ETEADFYERVAFLSGIHGRKQSILKKIKEWFADGVRVRNLLGDAALALSLLTSILSFSLVIYSGVKTYQE -FSRKHKPVRQPVYNAWEDFDEDCIFEQSRAYNIPNVRQRLRKQLAVRAENLCPSHDVTPDVEAHIPQGPV -CEVDPGVPLVAQGASTGLTVNSLSLLNNVVPVTVSTVIETENGPLSQIVSECCGTYLYNKVMIMPRHILI -KDWTHITAGRNSATRDQLEAVDVIDQFDMPSDAVAVKFPDKRGSSYKNIMHHLASTLPIRGKPVFILVNN -NVAGRAVVHGTYIGCTQKITTLDGFTFPNVSSYKATTHLGMCGAPVIANENGNGKIIGFHCAGTGLVGYA -SNLTKMSANNICKVWGDPVAQGWTYFDTTHTPVHVPRKTKLRPTVAINTFECDVEPAVLSKFDRRLEEPD -SFELTLLHKNDRRYPEDTSMDKHLEAAVEAYASSLFAQIGTDNGIISEYEAVTGIENLDSVETTTSPGLP -YTTAGIPREALFDPDKTQLIGPAAERLQEFLRGDFSKHVFQTFLKDELRSKAKVRAGNTRVVEVAAVDHV -VAGRMLLGKFTAKMHANNGLGIGSAVGCNPDVDFTRFAYQILEWDYVYDIDYKNFDASHSPKVFQYLKKL -LSPENGFDVRLQKYIDSLCYSKHQFGDTYYECEGALPSGCSATSILNCLMNNIVLRAAAYDVFTNYEEGD -LAFLTYGDDVLLCANQPLPLERFRKSLAKLGYTITPADKSSVFPEVSTLADVVFLKRRFKPDEEFPFLFK -PVMDVRNLQDHLRYAKPGTLREKLLSTTDLAVHLGPLEYARLFRPFVEVGYCVRAFGDARRAWLRNFDL - ->NP_056777.1 hypothetical protein EMCVgp1 [Encephalomyocarditis virus] -MATTMEQETCAHSLTFEECPKCSALQYRNGFYLLKYDEEWYPEELLTDGEDDVFDPELDMEVVFELQGNS -TSSDKNNSSSEGNEGVIINNFYSNQYQNSIDLSANAAGSDPPRTYGQFSNLFSGAVNAFSNMLPLLADQN -TEEMENLSDRVSQDTAGNTVTNTQSTVGRLVGYGTVHDGEHPASCADTASEKILAVERYYTFKVNDWTST -QKPFEYIRIPLPHVLSGEDGGVFGAALRRHYLVKTGWRVQVQCNASQFHAGGLLVFMAPEYPTLDAFAMD -NRWSKDNLPNGTRTQTNKKGPFAMDHQNFWQWTLYPHQFLNLRTNTTVDLEVPYVNIAPTSSWTQHASWT -LVIAVVAPLTYSTGASTSLDITASIQPVRPVFNGLRHETLSRQSPIPVTIREHAGTWYSTLPDSTVPIYG -KTPVAPSNYMVGEYKDFLEIAQIPTFIGNKIPNAVPYIEASNTAVKTQPLATYQVTLSCSCLANTFLAAL -SRNFAQYRGSLVYTFVFTGTAMMKGKFLIAYTPPGAGKPTSRDQAMQATYAIWDLGLNSSYSFTVPFISP -THFRMVGTDQVNITNADGWVTVWQLTPLTYPPGCPTSAKILTMVSAGKDFSLKMPISPAPWSPQGVENAE -KGVTENTNATADFVAQPVYLPENQTKVAFFYNRSSPIGAFTVKSGSLESGFAPFSNGTCPNSVILTPGPQ -FDPAYDQLRPQRLTEIWGNGNEETSKVFPLKSKQDYSFCLFSPFVYYKCDLEVTLSPHTSGNHGLLVRWC -PTGTPTKPTTQVLHEVSSLSEGRTPQVYSAGPGISNQISFVVPYNSPLSVLSAVWYNGHKRFDNTGSLGI -APNSDFGTLFFAGTKPDIKFTVYLRYKNKRVFCPRPTVFFPWPTSGDKIDMTPRAGVLMLESPNALDISR -TYPTLHVLIQFNHRGLEVRLFRHGHFWAETRADVILRSKTKQVSFLSNGNYPSMDSRAPWNPWKNTYQAV -LRAEPCRVTMDIYYKRVRPFRLPLVQKEWPVREENVFGLYRIFNAHYAGYFADLLIHDIETNPGPFMFRP -RKQVFQTQGAAVSSMAQTLLPNDLASKAMGSAFTALLDANEDAQKAMKIIKTLSSLSDAWENVKETLNNP -EFWKQLLSRCVQLIAGMTIAVMHPDPLTLLCLGTLTAAEITSQTSLCEEIAAKFKTIFITPPPRFPTISL -FQQQSPLKQVNDIFSLAKNLDWAVKTVEKVVDWFGTWIVQEEKEQTLDQLLQRFPEHAKRISDLRNGMAA -YVECKESFDFFEKLYNQAVKEKRTGIAAVCEKFRQKHDHATARCEPVVIVLRGDAGQGKSLSSQVIAQAV -SKTIFGRQSVYSLPPDSDFFDGYENQFAAIMDDLGQNPDGSDFTTFCQMVSTTNFLPNMASLERKGTPFT -SQLVVATTNLPEFRPVTIAHYPAVERRITFDYSVSAGPVCSKTEAGYKVLDVERAFRPTGEAPLPCFQNN -CLFLEKAGLQFRDNRTKEIISLVDVIERAVARIERKKKVLTTVQTLVAQGPVDEVSFHSVVQQLKARQQA -TDEQLEELQEAFAKVQERNSVFSDWLKISAMLCAATLALSQVVKMAKAVKQMVKPDLVRVQLDEQEQGPY -NETARVKPKTLQLLDIQGPNPVMDFEKYVAKHVTAPIGFVYPTGVSTQTCLLVRGRTLVVNRHMAESDWT -SIVVRGVTHARSTVKILAIAKAGKETDVSFIRLSSGPLFRDNTSKFVKAGDVLPTGAAPVTGIMNTDIPM -MYTGTFLKAGVSVPVETGQTFNHCIHYKANTRKGWCGSALLADLGGSKKILGIHSAGSMGIAAASIVSQE -MIRAVVNAFEPQGALERLPDGPRIHVPRKTALRPTVARQVFQPAYAPAVLSKFDPRTEADVDEVAFSKHT -SNQESLPPVFRMVAKEYANRVFTLLGKDNGRLTVKQALEGLEGMDPMDRNTSPGLPYTALGMRRTDVVDW -ESATLIPFAAERLRKMNEGDFSEVVYQTFLKDELRPIEKVQAAKTRIVDVPPFEHCILGRQLLGKFASKF -QTQPGLELGSAIGCDPDVHWTAFGVAMQGFERVYDVDYSNFDSTHSVAMFRLLAEEFFTPENGFDPLTRE -YLESLAISTHAFEEKRFLITGGLPSGCAATSMLNTIMNNIIIRAGLYLTYKNFEFDDVKVLSYGDDLLVA -TNYQLDFDKVRASLAKTGYKITPANTTSTFPLNSTLEDVVFLKRKFKKEGPLYRPVMNREALEAMLSYYR -PGTLSEKLTSITMLAVHSGKQEYDRLFAPFREVGVVVPSFESVEYRWRSLFW - ->NP_040350.1 viral polyprotein [Theilovirus] -MACKHGYPDVCPICTAVDATPDFEYLLMADGEWFPTDLLCVDLDDDVFWPSDTSTQPQTMEWTDVPLVCD -TVMEPQGNASSSDKSNSQSSGNEGVIINNFYSNQYQNSIDLSASGGNAGDAPQNNGQLSSILGGAANAFA -TMAPLLMDQNTEEMENLSDRVASDKAGNSATNTQSTVGRLCGYGKSHHGEHPTSCADAATDKVLAAERYY -TIDLASWTTSQEAFSHIRIPLPHVLAGEDGGVFGATLRRHYLCKTGWRVQVQCNASQFHAGSLLVFMAPE -FYTGKGTKSGTMEPSDPFTMDTTWRSPQSAPTGYRYDRQAGFFAMNHQNQWQWTVYPHQILNLRTNTTVD -LEVPYVNVAPSSSWTQHANWTLVVAVLSPLQYATGSSPDVQVTASLQPVNPVFNGLRHETVLAQSPIPVT -VREHQGCFYSTNPDTTVPIYGKTISTPSDYMCGEFSDLLELCKLPTFLGNPSTDNKRYPYFSATNSVPAT -SLVDYQVALSCSCTANSMLAAVARNFNQYRGSLNFLFVFTGAAMVKGKFLIAYTPPGAGKPTTRDQAMQA -TYAIWDLGLNSSFNFTAPFISPTHYRQTSYTSPTITSVDGWVTVWQLTPLTYPSGTPTHSDILTLVSAGD -DFTLRMPISPTKWVPQGIDNAEKGKVSNDDASVDFVAEPVKLPENQTRVAFFYDRAVPIGMLRPGQNMET -TFSYQENDFRLNCLLLTPLPSYCPDSSSGPVRTKAPVQWRWVRSGGANGANFPLMTKQDYAFLCFSPFTY -YKCDLEVTVSAMGAGTVSSVLRWAPTGAPADVTDQLIGYTPSLGETRNPHMWIVGSGNSQISFVVPYNSP -LSVLPAAWFNGWSDFGNTKDFGVAPTSDFGRIWIQGNSSASVRIRYKKMKVFCPRPTLFFPWPTPTTTKI -NADNPVPILELENPASLYRIDLFITFTDELITFDYKVHGRPVLTFRIPGFGLTPAGRMLVCMGEKPAHSP -FTSSKSLYHVIFTSTCNSFSFTIYKGRYRSWKKPIHDELVDRGYTTFREFFKAVRGYHADYYKQRLIHDV -EMNPGPVQSVFQPQGAVLTKSLAPQAGIQNILLRLLGIEGDCSEVSKAITVVTDLVAAWEKAKTTLVSPE -FWSELILKTTKFIAASVLYLHNPDFTTTVCLSLMTGVDLLTNDSVFDWLKSKLSSFFRTPPPACPNVMQP -QGPLREANEGFTFAKNIEWATKTIQSIVNWLTSWFKQEEDHPQSKLDKLLMEFPDHCRNIMDMRNGRKAY -CECTASFKYFDDLYNLAVTCKRIPLASLCEKFKNRHDHSVTRPEPVVVVLRGAAGQGKSVTSQIIAQSVS -KMAFGRQSVYSMPPDSEYFDGYENQFSVIMDDLGQNPDGEDFTVFCQMVSSTNFLPNMAHLERKGTPFTS -SFIVATTNLPKFRPVTVAHYPAVDRRITFDFTVTAGPHCKTPAGMLDIEKAFDEIPGSKPQLACFSADCP -LLHKRGVMFTCNRTKTVYNLQQVVKMVNDTITRKTENVKKMNSLVAQSPPDWQHFENILTCLRQNNAALQ -DQVDELQEAFTQARERSDFLSDWLKVSAIIFAGIVSLSAVIKLASKFKESIWPTPVRVELSEGEQAAYAG -RARAQKQALQVLDIQGGGKVLAQAGNPVMDFELFCAKNMVSPITFYYPDKAEVTQSCLLLRAHLFVVNRH -VAETEWTAFKLRDVRHERDTVVMRSVNRSGAETDLTFVKVTKGPLFKDNVNKFCSNKDDFPARNDTVTGI -MNTGLAFVYSGNFLIGNQPVNTTTGACFNHCLHYRAQTRRGWCGSAIICNVNGKKAVYGMHSAGGGGLAA -ATIITRELIEAAEKSMLALEPQGAIVDISTGSVVHVPRKTKLRRTVAHDVFQPKFEPAVLSRYDPRTDKD -VDVVAFSKHTTNMESLPPIFDIVCGEYANRVFTILGKDNGLLTVEQAVLGLSGMDPMEKDTSPGLPYTQQ -GLRRTDLLDFNTAKMTPQLDYAHSKLVLGVYDDVVYQSFLKDEIRPLEKIHEAKTRIVDVPPFAHCIWGR -QLLGRFASKFQTKPGLELGSAIGTDPDVDWTRYAAELSGFNYVYDVDYSNFDASHSTAMFECLINNFFTE -QNGFDRRIAEYLRSLAVSRHAYEDRRVLIRGGLPSGCAATSMLNTIMNNVIIRAALYLTYSNFEFDDIKV -LSYGDDLLIGTNYQIDFNLVKERLAPFGYKITPANKTTTFPLTSHLQDVTFLKRRFVRFNSYLFRPQMDA -VNLKAMVSYCKPGTLKEKLMSIALLAVHSGPDIYDEIFLPFRNVGIVVPTYDSMLYRWLSLFR - ->sp|C0MHL9.1|POLG_SAFV RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MACKHGYPLLCPLCTALDITPDGSFTLLFDNEWYPTDLLTVNLDDDVFYPLDTNMDWTDLPLIQDIVMEP -QGNSNSSDKNNSQSSGNEGVIINNYYSNQYQNSIDLSANANGVGKENSKPQGQLMNILGSAADAFKNIAP -LLMDQNTEEMTNLSDRVSSDTAGNTATNTQSTVGRLFGFGQRHKGKHPASCADTATDKVLAAERYYTIKL -ASWTKTQESFDHIRVPLPHALAGENGGVFSSTLRRHYLCKCGWRIQVQCNASQFHAGSLLVFMAPEFDTS -NHSTEVEPRADTAFKVDANWQKHAQILTGHAYVNTTTKVNVPLALNHQNFWQWTTYPHQILNLRTNTTCD -LEVPYVNVCPTSSWTQHANWTLVIAVLTPLQYSQGSATTIEITASIQPVKPVFNGLRHTVVNPQSPFPVT -VREHAGTFFSTTPDTTVPVYGNTISTPFDYMCGEFTDLLSLCKIPTFLGNLDSNKKRIPYFSATNSTPAT -PLVTYQVTLSCSCMANSMLAAVARNFNQYRGSLNYLFVFTGSAMTKGKFLISYTPPGAGEPKTLDQAMQA -TYAIWDLGLNSSYNFTVPFISPTHYRQTSYNTPTITSVDGWLTVWQLTPLTYPLGVPNDSHILTLVSGGD -DFTLRMPVTFTKYVPQGVDNAEKGKVSDDNASTDFVAEPVKLPENQTRVSFVYDRSTLSSVLQSTSDVSS -KFTPSTAKNLQNSILLTPLPSDIVNNSVLPEQERWISFASPTTQKPPYKTKQDWNFIMFSPFTYYKCDLE -VTLSKNDRETISSVVRYVPCGAPSDLSDQTMPQTPSLADTRDPHMWVVGQGTTNQISFVIPYTSPLSVLP -SVWFNGFSNFDNSSRFGVAPNADFGRLLLQGQGTFSVHYRYKKMRVFCPRPTVFIPWPNPQDTKIKSVRP -TPTLELQNPISIYRVDLFINFSDEIIQFTYKVHGRTVCQYEIPGFGLSRSGRLLVCMGEKPCQLPISTPK -CFYHIVFTGSRNSFGVSIYKARYRPWKQPLHDELHDYGFSTFTDFFKAVRDYHASYYKQRLQHDIETNPG -PVQSVFQLQGGVLTKSQAPMSGLQSMLLRAIGIEADCTEFTRAVNLITDLCNTWESAKTTLSSPEFWTKM -VMRIVKMFAASVLYLHNPDLTTTVCLSLMAGIDILTNDSVFNWLSTKLSKFFHTPAPPIVPLLQQQSPIR -EANDSFNLAKNIEWAIKTIKRIVEWITSWFKQEETSPQAKLDKMLTDFPEHCNSILAMRNGRKAYTDCAS -AFKYFEQLYNLAVQCKRIPLATLCEKFKNKHDHAVARPEPVVVVLRGNAGQGKSVTSQIIAQAVSKLSFG -RQSVYSLPPDSDYLDGYENQYSVIMDDLGQNPDGEDFKVFCQMVSSTNFLPNMAHLEKKGTPFTSNFIIA -TTNLPKFRPVTVAHYPAVDRRITFDLTVEAGDECVTHNGMLDVEKAFEEIPGKPQLDCFNTDCRLLHKRG -VRFVCNRTKNIYNLQQVVKMVKSTIDNKVENLKKMNTLVAQSPGNDMDYVLTCLRQTNAALQDQIDELQE -AFNQAQERQNFLSDWLKVSAIVFASIASLSAVCKLVSRFKNLVCPAPVQIQLSEGEQAAYSGGKKGEKQT -LQVLDVQGGGKIVAQAGNPVMDYEVNIAKNMVNPITFFYADKAQVTQSCLLIKGHLFVVNRHVAETDWCA -FELKGTRHERDSVQMRSVNKSGMEVDLTFVKVVKGPLFKDNSKKFCSKDDDFPARNETVTGIMNTGVPFV -FTGKFLIGNQPVNTTTGACFNHCIHYRATTHRGWCGSALICHVNGKKAVYAMHSAGGGGMAAATIITQEM -IEAAEKALDCLTPQGAIVEIGIDTVVHVPRKTKLRRTVAHPCFQPKFEPAVLSRYDPRTTKDVDQVAFSK -HTTNLEELPSVFSMVAREYATRVFTTIGKENKILTPEQAILGLPGMDPMEKDTSPGLPYTQQGLKRAQLV -NFEQGTMVQNLKEAHTKLTEGNYEDILYQSFLKDEIRPIEKIHEAKTRIVDVPPFHHCIWGRQLLGRFAS -RFQTNPGLDLGSAIGTDPDTDWTAFAFQLLQYKYVYDVDYSNFDASHSTAMFEVLIENFFTTENGFDERI -GDYLRSLAVSRHAFEERRVLVRGGLPSGCAATSMLNTIINNIVIRAALHLTYSNFEFDDIKVLSYGDDLL -IATNYQINFNLVKQRLAPFNYKITPANKTVEFPEISNLYEVTFLKRKFVRYNSCLFKPQMDTENLKAMVS -YCRPGTLKEKLNSIALLAVHSGKSVYDEIFDPFRRIGIIIPEHGTMLYRWLNLFR - ->sp|P13899.1|POLG_TMEVD RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -MACKHGYPDVCPICTAVDVTPGFEYLLLADGEWFPTDLLCVDLDDDVFWPSNSSNQSETMEWTDLPLVRD -IVMEPQGNASSSDKSNSQSSGNEGVIINNFYSNQYQNSIDLSASGGNAGDAPQNNGQLSNILGGAANAFA -TMAPLLLDQNTEEMENLSDRVASDKAGNSATNTQSTVGRLCGYGEAHHGEHPASCADTATDKVLAAERYY -TIDLASWTTTQEAFSHIRIPLPHVLAGEDGGVFGATLRRHYLCKTGWRVQVQCNASQFHAGSLLVFMAPE -FYTGKGTKTGDMEPTDPFTMDTTWRAPQGAPTGYRYDSRTGFFAMNHQNQWQWTVYPHQILNLRTNTTVD -LEVPYVNIAPTSSWTQHANWTLVVAVFSPLQYASGSSSDVQITASIQPVNPVFNGLRHETVIAQSPIAVT -VREHKGCFYSTNPDTTVPIYGKTISTPNDYMCGEFSDLLELCKLPTFLGNPNSNNKRYPYFSATNSVPTT -SLVDYQVALSCSCMCNSMLAAVARNFNQYRGSLNFLFVFTGAAMVKGKFLIAYTPPGAGKPTTRDQAMQA -TYAIWDLGLNSSFVFTAPFISPTHYRQTSYTSATIASVDGWVTVWQLTPLTYPSGAPVNSDILTLVSAGD -DFTLRMPISPTKWAPQGSDNAEKGKVSNDDASVDFVAEPVKLPENQTRVAFFYDRAVPIGMLRPGQNIES -TFVYQENDLRLNCLLLTPLPSFCPDSTSGPVKTKAPVQWRWVRSGGTTNFPLMTKQDYAFLCFSPFTYYK -CDLEVTVSALGTDTVASVLRWAPTGAPADVTDQLIGYTPSLGETRNPHMWLVGAGNTQISFVVPYNSPLS -VLPAAWFNGWSDFGNTKDFGVAPNADFGRLWIQGNTSASVRIRYKKMKVFCPRPTLFFPWPVSTRSKINA -DNPVPILELENPAAFYRIDLFITFIDEFITFDYKVHGRPVLTFRIPGFGLTPAGRMLVCMGEKPAHGPFT -SSRSLYHVIFTATCSSFSFSIYKGRYRSWKKPIHDELVDRGYTTFGEFFRAVRAYHADYYKQRLIHDVEM -NPGPVQSVFQPQGAVLTKSLAPQAGIQNLLLRLLGIDGDCSEVSKAITVVTDLFAAWERAKTTLVSPEFW -SKLILKTTKFIAASVLYLHNPDFTTTVCLSLMTGVDLLTNDSVFDWLKNKLSSFFRTPPPVCPNVLQPQG -PLREANEGFTFAKNIEWAMKTIQSIVNWLTSWFKQEEDHPQSKLDKFLMEFPDHCRNIMDMRNGRKAYCE -CTASFKYFDELYNLAVTCKRIPLASLCEKFKNRHDHSVTRPEPVVVVLRGAAGQGKSVTSQIIAQSVSKM -AFGRQSVYSMPPDSEYFDGYENQFSVIMDDLGQNPDGEDFTVFCQMVSSTNFLPNMAHLERKGTPFTSSF -IVATTNLPKFRPVTVAHYPAVDRRITFDFTVTAGPHCTTSNGMLDIEKAFDEIPGSKPQLACFSADCPLL -HKRGVMFTCNRTKAVYNLQQVVKMVNDTITRKTENVKKMNSLVAQSPPDWEHFENILTCLRQNNAALQDQ -LDELQEAFAQARERSDFLSDWLKVSAIIFAGIASLSAVIKLASKFKESIWPSPVRVELSEGEQAAYAGRA -RAQKQALQVLDIQGGGKVLAQAGNPVMDFELFCAKNMVAPITFYYPDKAEVTQSCLLLRAHLFVVNRHVA -ETEWTAFKLKDVRHERDTVVTRSVNRSGAETDLTFIKVTKGPLFKDNVNKFCSNKDDFPARNDAVTGIMN -TGLAFVYSGNFLIGNQPVNTTTGACFNHCLHYRAQTRRGWCGSAVICNVNGKKAVYGMHSAGGGGLAAAT -IITRELIEAAEKSMLALEPQGAIVDISTGSVVHVPRKTKLRRTVAHDVFQPKFEPAVLSRYDPRTDKDVD -VVAFSKHTTNMESLPPVFDIVCDEYANRVFTILGKDNGLLTVEQAVLGLPGMDPMEKDTSPGLPYTQQGL -RRTDLLNFNTAKMTPQLDYAHSKLVLGVYDDVVYQSFLKDEIRPLEKIHEAKTRIVDVPPFAHCIWGRQL -LGRFASKFQTKPGLELGSAIGTDPDVDWTPYAAELSGFNYVYDVDYSNFDASHSTAMFECLIKNFFTEQN -GFDRRIAEYLRSLAVSRHAYEDRRVLIRGGLLSGCAATSMLNTIMNNVIIRAALYLTYSNFEFDDIKVLS -YGDDLLIGTNYQIDFNLVKERLAPFGYKITPANKTTTFPLTSHLQDVTFLKRRFVRFNSYLFRPQMDAVN -LKAMVSYCKPGTLKEKLMSIALLAVHSGPDIYDEIFLPFRNVGIVVPTYSSMLYRWLSLFR - ->sp|P08544.1|POLG_TMEVB RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -MACKHGYPDVCPICTAVDATPGFEYLLMADGEWYPTDLLCVDLDDDVFWPSDTSNQSQTMDWTDVPLIRD -IVMEPQGNSSSSDKSNSQSSGNEGVIINNFYSNQYQNSIDLSASGGNAGDAPQTNGQLSNILGGAANAFA -TMAPLLLDQNTEEMENLSDRVASDKAGNSATNTQSTVGRLCGYGKSHHGEHPASCADTATDKVLAAERYY -TIDLASWTTSQEAFSHIRIPLPHVLAGEDGGVFGATLRRHYLCKTGWRVQVQCNASQFHAGSLLVFMAPE -FYTGKGTKTGTMEPSDPFTMDTEWRSPQGAPTGYRYDSRTGFFATNHQNQWQWTVYPHQILNLRTNTTVD -LEVPYVNVAPSSSWTQHANWTLVVAVLSPLQYATGSSPDVQITASLQPVNPVFNGLRHETVIAQSPIPVT -VREHKGCFYSTNPDTTVPIYGKTISTPSDYMCGEFSDLLELCKLPTFLGNPNTNNKRYPYFSATNSVPAT -SMVDYQVALSCSCMANSMLAAVARNFNQYRGSLNFLFVFTGAAMVKGKFLIAYTPPGAGKPTTRDQAMQS -TYAIWDLGLNSSFNFTAPFISPTHYRQTSYTSPTITSVDGWVTVWKLTPLTYPSGTPTNSDILTLVSAGD -DFTLRMPISPTKWVPQGVDNAEKGKVSNDDASVDFVAEPVKLPENQTRVAFFYDRAVPIGMLRPGQNMET -TFNYQENDYRLNCLLLTPLPSFCPDSSSGPQKTKAPVQWRWVRSGGVNGANFPLMTKQDYAFLCFSPFTF -YKCDLEVTVSALGMTRVASVLRWAPTGAPADVTDQLIGYTPSLGETRNPHMWLVGAGNSQVSFVVPYNSP -LSVLPAAWFNGWSDFGNTKDFGVAPNADFGRLWIQGNTSASVRIRYKKMKVFCPRPTLFFPWPTPTTTKI -NADNPVPILELENPAALYRIDLFITFTDEFITFDYKVHGRPVLTFRIPGFGLTPAGRMLVCMGEQPAHGP -FTSSRSLYHVIFTATCSSFSFSIYKGRYRSWKKPIHDELVDRGYTTFGEFFKAVRGYHADYYRQRLIHDV -ETNPGPVQSVFQPQGAVLTKSLAPQAGIQNLLLRLLGIDGDCSEVSKAITVVTDLVAAWEKAKTTLVSPE -FWSKLILKTTKFIAASVLYLHNPDFTTTVCLSLMTGVDLLTNDSVFDWLKQKLSSFFRTPPPACPNVMQP -QGPLREANEGFTFAKNIEWAMKTIQSVVNWLTSWFKQEEDHPQSKLDKLLMEFPDHCRNIMDMRNGRKAY -CECTASFKYFDELYNLAVTCKRIPLASLCEKFKNRHDHSVTRPEPVVVVLRGAAGQGKSVTSQIIAQSVS -KMAFGRQSVYSMPPDSEYFDGYENQFSVIMDDLGQNPDGEDFTVFCQMVSSTNFLPNMAHLERKGTPFTS -SFIVATTNLPKFRPVTVAHYPAVDRRITFDFTVTAGPHCKTPAGMLDVEKAFDEIPGSKPQLACFSADCP -LLHKRGVMFTCNRTQTVYNLQQVVKMVNDTITRKTENVKKMNSLVAQSPPDWEHFENILTCLRQNNAALQ -DQLDELQEAFAQARERSDFLSDWLKVSAIIFAGIASLSAVIKLASKFKESIWPTPVRVELSEGEQAAYAG -RARAQKQALQVLDIQGGGKVLAQAGNPVMDFELFCAKNIVAPITFYYPDKAEVTQSCLLLRAHLFVVNRH -VAETDWTAFKLKDVRHERHTVALRSVNRSGAKTDLTFIKVTKGPLFKDNVNKFCSNKDDFPARNDTVTGI -MNTGLAFVYSGNFLIGNQPVNTTTGACFNHCLHYRAQTRRGWCGSAIICNVNGKKAVYGMHSAGGGGLAA -ATIITKELIEAAEKSMLALEPQGAIVDIATGSVVHVPRKTKLRRTVAHDVFQPKFEPAVLSRYDPRTDKD -VDVVAFSKHTTNMESLPPIFDVVCGEYANRVFTILGKENGLLTVEQAVLGLPGMDPMEKDTSPGLPYTQQ -GLRRTDLLNFITAKMTPQLDYAHSKLVIGVYDDVVYQSFLKDEIRPIEKIHEAKTRIVDVPPFAHCIWGR -QLLGRFASKFQTKPGLELGSAIGTDPDVDWTRYAVELSGFNYVYDVDYSNFDASHSTAMFECLINNFFTE -QNGFDRRIAEYLRSLAVSRHAYEDRRVLIRGGLPSGCAATSMLNTIMNNVIIRAALYLTYSNFDFDDIKV -LSYGDDLLIGTNYQIDFNLVKERLAPFGYKITPANKTTTFPLTSHLQDVTFLKRRFVRFNSYLFRPQMDA -VNLKAMVSYCKPGTLKEKLMSIALLAVHSGPDIYDEIFLPFRNVGIVVPTYSSMLYRWLSLFR - ->sp|P0DJX8.1|ALT2B_ENMGO RecName: Full=Protein 2B* -PFTFKPRQRPVFSDSRSGSVINGSNPTAERLGQQSYGISLYGFARCQRGRPKSNEDYKDVKFSIGCMGKC -KRNIEQPGVLETTLKQMCATDCRDDDSSDASGPLDAALLGSLDSSRDHKPDKPVRRNSS - ->sp|P03309.2|POLG_FMDVC RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MNTTDCFIALVHAIREIRAFFLPRATGRMEFTLHNGERKVFYSRPNNHDNCWLNTILQLFRYVGEPFFDW -VYDSPENLTLEAIEQLEELTGLELHEGGPPALVIWNIKHLLHTGIGTASRPSEVCMVDGTNMCLADFHAG -IFLKGQEHAVFACVTSNGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGEWKTKVQQKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFTGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTHGYSTEEDHVAGPNTSGLETRVVQAERFYKK -YLFDWTTDKAFGHLEKLELPSDHHGVFGHLVDSYAYMRNGWDVEVSAVGNQFNGGCLLVAMVPEWKEFDT -REKYQLTLFPHQFISPRTNMTAHITVPYLGVNRYDQYKKHKPWTLVVMVVSPLTVNNTSAAQIKVYANIA -PTYVHVAGELPSKEGIFPVACADGYGGLVTTDPKTADPAYGKVYNPPRTNYPGRFTNLLDVAEACPTFLC -FDDGKPYVTTRTDDTRLLAKFDLSLAAKHMSNTYLSGIAQYYTQYSGTINLHFMFTGSTDSKARYMVAYI -PPGVETPPDTPERAAHCIHAEWDTGLNSKFTFSIPYVSAADYAYTASDTAETINVQGWVCIYQITHGKAE -NDTLVVSVSAGKDFELRLPIDPRQQTTATGESADPVTTTVENYGGETQIQRRHHTDIGFIMDRFVKIQSL -SPTHVIDLMQTHQHGLVGALLRAATYYFSDLEIVVRHEGNLTWVPNGAPESALLNTSNPTAYNKAPFTRL -ALPYTAPHRVLATVYNGTSKYAVGGSGRRGDMGSLAARVVKQLPASFNYGAIKADAIHELLVRMKRAELY -CPRPLLAIEVSSQDRHKQKIIAPAKQLLNFDLLKLAGDVESNPGPFFFSDVRSNFSKLVDTINQMQEDMS -TKHGPDFNRLVSAFEELATGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLE -ILDSTFVVKKISDSLSSLFHVPAPVFSFGAPILLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAI -LKNGEWLVKLILAIRDWIKAWIASEEKFVTTTDLVPGILEKQRDLNDPSKYKEAKEWLDNARQACLKSGN -VHIANLCKVVAPAPSRSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRTDSVWYCPPDPDHFDGYN -QQTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIATTNLYSGFTPRTMVCPDA -LNRRFHFDIDVSAKDGYKINNKLDIIKALEDTHTNPVAMFQYDCALLNGMAVEMKRMQQDMFKPQPPLQN -VYQLVQEVIERVELHEKVSSHPIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGMVHDSIKEELRPLIQQ -TSFVKRAFKRLKENFEIVALCLTLLANIVIMIRETRKRQKMVDDAVSEYIERANITTDDKTLDEAEKNPL -ETSGASTVGFRERPLPGQKARNDENSEPAQPAEEQPQAEGPYAGPLERQKPLKVRAKLPQQEGPYAGPME -RQKPLKVKAKAPVVKEGPYEGPVKKPVALKVKAKNLIVTESGAPPTDLQKLVMGNTKPVELILDGKTVAI -CCATGVFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDIT -KHFRDTARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYKAATKAGYCGGAVLAK -DGADTFIVGTHSAGGNGVGYCSCVSRSMLLKMKAHVDPEPHHEGLIVDTRDVEERVHVMRKTKLAPTVAH -GVFNPEFGPAALSNKDPRLNDGVVLDEVIFSKHKGDTKMSEEDKALFRRCAADYASRLHSVLGTANAPLS -IYEAIKGVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEAALKLMEKREYKFACQTFLKDEI -RPMEKVRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVW -DVDYSAFDANHCSDAMNIMFEEVFRTEFGFHPNAEWILKTLVNTEHAYENKRITVEGGMPSGCSATSIIN -TILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLG -HSITDVTFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQ -GLFEIPSYRSLYLRWVNAVCGDA - ->sp|P03308.2|POLG_FMDVA RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MNTTNCFIALVHAIREIRAFFLSRATGKMEFTLYNGERKTFYSRPNNHDNCWLNTILQLFRYVDEPFFDW -VYNSPENLTLAAIKQLEELTGLELHEGGPPALVIWNIKHLLQTGIGTASRPSEVCMVDGTDMCLADFHAG -IFLKGQEHAVFACVTSNGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGGWKANVQRKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFTGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTYGYSTEEDHVAGPNTSGLETRVVQAERFFKK -FLFDWTTDKPFGHLEKLELPTDHHGVFGHLVDSYAYMRNGWDVEVSAVGNQFNGGCLLVAMVPEWKEFDK -REKYQLTLFPHQFISPRTNMTAHITVPYLGVNRYDQYKKHKPWTLVIMVVSPLTVSNTAATQIKVYANIA -PTYVHVAGELPSKEGIFPVACSDGYGGLVTTDPKTADPVYGKVYNPPRTNYPGRFTNLLDVAEACPTFLC -FDDGKPYVVTRTDDTRLLAKFDVSLAAKHMSNTYLSGIAQYYTQYSGTINLHFMFTGSTDSKARYMVAYI -PPGVETPPETPEGAAHCIHAEWDTGLNSKFTFSIPYVSAADYAYTASDTAETTNVQGWVCIYQITHGKAE -GDTLVVSASAGKDFELRLPIDPRSQTTATGESADPVTTTVENYGGETQVQRRHHTDVSFIMDRFVKIKSL -NPTHVIDLMQTHQHGLVGALLRAATYYFSDLEIVVRHDGNLTWVPNGAPEAALSNTGNPTAYNKAPFTRL -ALPYTAPHRVLATVYNGTNKYSASGSGVRGDSGSLAPRVARQLPASFNYGAIKAETIHELLVRMKRAELY -CPRPLLAIEVSSQDRHKQKIIAPGKQLLNFDLLKLAGDVESNPGPFFFADVRSNFSKLVDTINQMQEDMS -TKHGPDFNRLVSAFEELATGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLE -ILDSTFVVKKISDSLSSLFHVPAPVFSFGAPVLLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAI -LKNGEWLVKLILAIRDWIKAWIASEEKFVTTTDLVPGILEKQRDLNDPSKYKEAKEWLDNARQACLKSGN -VHIANLCKVVAPAPSKSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRTDSVWYCPPDPDHFDGYN -QQTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIATTNLYSGFTPRTMVCPDA -LNRRFHFDIDVSAKDGYKINNKLDIIKALEDTHTNPVAMFQYDCALLNGMAVEMKRMQQDMFKPQPPLQN -VYQLVQEVIERVELHEKVSSHPIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGMVHDSIKEELRPLIQQ -TSFVKRAFKRLKENFEIVALCLTLLANIVIMIRETRKRQKMVDDAVNEYIEKANITTDDTTLDEAEKNPL -ETSGASTVGFRERTLTGQRACNDVNSEPARPAEEQPQAEGPYTGPLERQRPLKVRAKLPQQEGPYAGPLE -RQKPLKVKAKAPVVKEGPYEGPVKKPVALKVKAKNLIVTESGAPPTDLQKMVMGNTKPVELILDGKTVAI -CCATGVFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDIT -KHFRDTARMKKGTPVVGVVNNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYKAATKAGYCGGAVLAK -DGADTFIVGTHSAGGNGVGYCSCVSRSMLLRMKAHVDPEPHHEGLIVDTRDVEERVHVMRKTKLAPTVAH -GVFNPEFGPAALSNKDPRLNEGVVLDEVIFSKHKGDTKMSAEDKALFRRCAADYASRLHSVLGTANAPLS -IYEAIKGVDGLDAMESDTAPGLPWAFQGKRRGALIDFENGTVGPEVEAALKLMEKREYKFACQTFLKDEI -RPMEKVRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVW -DVDYSAFDANHCSDAMNIMFEEVFRTDFGFHPNAEWILKTLVNTEHAYENKRITVEGGMPSGCSATSIIN -TILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLG -HSITDVTFLKRHFHIDYGTGFYKPVMASKTLEAILSFARRGTIQEKLTSVAGLAVHSGPDEYRRLFEPFQ -GLFEIPSYRSLYLRWVNAVCGDA - ->sp|P12296.3|POLG_ENMGO RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=G; Contains: RecName: Full=Protein 2B; Short=I; Short=P2B; Contains: RecName: Full=Protein 2C; Short=C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=H; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=E; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -MATTMEQEICAHSMTFEECPKCSALQYRNGFYLLKYDEEWYPEELLTDGEDDVFDPDLDMEVVFETQGNS -TSSDKNNSSSEGNEGVIINNFYSNQYQNSIDLSANATGSDPPKTYGQFSNLLSGAVNAFSNMLPLLADQN -TEEMENLSDRVSQDTAGNTVTNTQSTVGRLVGYGTVHDGEHPASCADTASEKILAVERYYTFKVNDWTST -QKPFEYIRIPLPHVLSGEDGGVFGATLRRHYLVKTGWRVQVQCNASQFHAGSLLVFMAPEYPTLDVFAMD -NRWSKDNLPNGTRTQTNRKGPFAMDHQNFWQWTLYPHQFLNLRTNTTVDLEVPYVNIAPTSSWTQHASWT -LVIAVVAPLTYSTGASTSLDITASIQPVRPVFNGLRHEVLSRQSPIPVTIREHAGTWYSTLPDSTVPIYG -KTPVAPANYMVGEYKDFLEIAQIPTFIGNKVPNAVPYIEASNTAVKTQPLAVYQVTLSCSCLANTFLAAL -SRNFAQYRGSLVYTFVFTGTAMMKGKFLIAYTPPGAGKPTSRDQAMQATYAIWDLGLNSSYSFTVPFISP -THFRMVGTDQANITNVDGWVTVWQLTPLTYPPGCPTSAKILTMVSAGKDFSLKMPISPAPWSPQGVENAE -KGVTENTDATADFVAQPVYLPENQTKVAFFYDRSSPIGAFAVKSGSLESGFAPFSNKACPNSVILTPGPQ -FDPAYDQLRPQRLTEIWGNGNEETSEVFPLKTKQDYSFCLFSPFVYYKCDLEVTLSPHTSGAHGLLVRWC -PTGTPTKPTTQVLHEVSSLSEGRTPQVYSAGPGTSNQISFVVPYNSPLSVLPAVWYNGHKRFDNTGDLGI -APNSDFGTLFFAGTKPDIKFTVYLRYKNMRVFCPRPTVFFPWPTSGDKIDMTPRAGVLMLESPNPLDVSK -TYPTLHILLQFNHRGLEARIFRHGQLWAETHAEVVLRSKTKQISFLSNGSYPSMDATTPLNPWKSTYQAV -LRAEPHRVTMDVYHKRIRPFRLPLVQKEWRTCEENVFGLYHVFETHYAGYFSDLLIHDVETNPGPFTFKP -RQRPVFQTQGAAVSSMAQTLLPNDLASKAMGSAFTALLDANEDAQKAMKIIKTLSSLSDAWENVKGTLNN -PEFWKQLLSRCVQLIAGMTIAVMHPDPLTLLCLGVLTAAEITSQTSLCEEIAAKFKTIFTTPPPRFPVIS -LFQQQSPLKQVNDVFSLAKNLDWAVKTVEKVVDWFGTWVAQEEREQTLDQLLQRFPEHAKRISDLRNGMA -AYVECKESFDFFEKLYNQAVKEKRTGIAAVCEKFRQKHDHATARCEPVVIVLRGDAGQGKSLSSQIIAQA -VSKTIFGRQSVYSLPPDSDFFDGYENQFAAIMDDLGQNPDGSDFTTFCQMVSTTNLLPNMASLERKGTPF -TSQLVVATTNLPEFRPVTIAHYPAVERRITFDYSVSAGPVCSKTEAGCKVLDVERAFRPTGDAPLPCFQN -NCLFLEKAGLQFRDNRSKEILSLVDVIERAVTRIERKKKVLTAVQTLVAQGPVDEVSFYSVVQQLKARQE -ATDEQLEELQEAFARVQERSSVFSDWMKISAMLCAATLALTQVVKMAKAVKQMVRPDLVRVQLDEQEQGP -YNETTRIKPKTLQLLDVQGPNPTMDFEKFVAKFVTAPIGFVYPTGVSTQTCLLVKGRTLAVNRHMAESDW -TSIVVRGVSHTRSSVKIIAIAKAGKETDVSFIRLSSGPLFRDNTSKFVKASDVLPHSSSPLIGIMNVDIP -MMYTGTFLKAGVSVPVETGQTFNHCIHYKANTRKGWCGSAILADLGGSKKILGFHSAGSMGVAAASIISQ -EMIDAVVQAFEPQGALERLPDGPRIHVPRKTALRPTVARQVFQPAFAPAVLSKFDPRTDADVDEVAFSKH -TSNQETLPPVFRMVAREYANRVFALLGRDNGRLSVKQALDGLEGMDPMDKNTSPGLPYTTLGMRRTDVVD -WETATLIPFAAERLEKMNNKDFSDIVYQTFLKDELRPIEKVQAAKTRIVDVPPFEHCILGRQLLGKFASK -FQTQPGLELGSAIGCDPDVHWTAFGVAMQGFERVYDVDYSNFDSTHSVAVFRLLAEEFFSEENGFDPLVK -DYLESLAISKHAYEEKRYLITGGLPSGCAATSMLNTIMNNIIIRAGLYLTYKNFEFDDVKVLSYGDDLLV -ATNYQLNFDRVRTSLAKTGYKITPANKTSTFPLESTLEDVVFLKRKFKKEGPLYRPVMNREALEAMLSYY -RPGTLSEKLTSITMLAVHSGKQEYDRLFAPFREVGVIVPTFESVEYRWRSLFW - ->sp|P49303.1|POLG_FMDVZ RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MNTTDCFIALLYALREIKAFLLSRTQGKMELTLYNGEKKTFYSRPNNHDNCWLNTILQLFRYVDEPFFDW -VYDSPENLTCEAIRQLEEITGLELHEGGPPALVIWNIKHLLHTGIGTASRPSEVCMVDGTDMCLADFHAG -IFLKGQEHAVFACVTSDGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGEWKAKVQKRLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFSGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTYGYSTQEDHVSGPNTSGLETRVVQAERFFKK -YLFDWTPDKAFGHLEKLELPTDHKGVYGHLVDSFAYMRNGWDVEVSAVGNQFNGGCLLVAMVPEWKELTP -REKYQLTLFPHQFISPRTNMTAHIVVPYLGVNRYDQYKKHKPWTLVVMVVSPLTTNTVSAGQIKVYANIA -PTHVHVAGELPSKEGIVPVACSDGYGGLVTTDPKTADPVYGMVYNPPRTNYPGRFTNLLDVAEACPTFLC -FDDGKPYVVTRTDEQRLLAKFDLSLAAKHMSNTYLSGIAQYYAQYSGTINLHFMFTGSTDSKARYMVAYV -PPGVETPPDTPEKAAHCIHAEWDTGLNSKFTFSIPYVSAADYAYTASDVAETTNVQGWVCIYQITHGKAE -QDTLVVSVSAGKDFELRLPIDPRSQTTSTGESADPVTTTVENYGGETQVQRRQHTDVTFIMDRFVKIQNL -NPIHVIDLMQTHQHGLVGALLRAATYYFSDLEIVVRHDGNLTWVPNGAPEAALSNMGNPTAYPKAPFTRL -ALPYTAPHRVLATVYNGTGKYSAGGMGRRGDLEPLAARVAAQLPTSFNFGAIQATTIHELLVRMKRAELY -CPRPLLAVEVSSQDRHKQKIIAPAKQLLNFDLLKLAGDVESNPGPFFFSDVRSNFSKLVETINQMQEDMS -TKHGPDFNRLVSAFEELATGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLE -ILDSTFVVKKISDSLSSLFHVPAPVFSFGAPILLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAI -LKNGEWLVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQRDLNDPSKYKEAKEWLDSARQACLKNGN -VHIANLCKVVTPAPSKSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRIDSVWYCPPDPDHFDGYN -QQTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIITTTNLYSGFTPRTMVCPDA -LNRRFHFDIDVSAKDGYKVNNKLDITKALEDTHTNPVAMFKYDCALLNGMAVEMKRMQQDMFKPQPPLQN -VYQLVQEVIERVELHEKVSSHQIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGLVHDSIKEELRPLIQQ -TSFVKRAFKRLKENFEIVALCLTLLANIVIMIRETRKRQQMVDDAVNEYIEKANITTDDKTLDEAEKNPL -ETSGVSIVGFRERTLPGHRASDDVNSEPARPVEEQPQAEGPYTGPLERQKPLKVKAKLPQQEGPYAGPME -RQKPLKVKVKAPVVKEGPYEGPVKKPVALKVKAKNLIVTESGAPPTDLQKMVMGNTKPVELILDGKTVAI -CCATGVFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDIT -KHFRDTARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYKAATKAGYCGGAVLAK -DGADTFIVGTHSAGGNGVGYCSCVSRSMLLKMKAHIDPEPHHEGLIVDTRDVEERVHVMRKTKLAPTVAH -GVFNPEFGPAALSNKDPRLNEGVVLDEVIFSKHKGDTKMTEEDKALFRRCAADYASRLHNVLGTANAPLS -IYEAIKGVDGLDAMEPDTAPGLPWALQGKRRGTLIDFENGTVGPEVASALELMEKRQYKFTCQTFLKDEV -RPMEKVRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYKNVW -DVDYSAFDANHCSDAMNIMFEEVFRTEFGFHPNAEWILKTLVNTEHAYENKRITVEGGMPSGCSATSIIN -TILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLG -QSITDVTFLKRHFRMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQ -GLFEIPSYRSLYLRWVNAVCGDAQSL - ->sp|P17594.2|POLG_EMCVD RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=G; Contains: RecName: Full=Protein 2B; Short=I; Short=P2B; Contains: RecName: Full=Protein 2C; Short=C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=H; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=E; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -MATTMEQEICAHSLTFKGCPKCSALQYRNGFYLLKYDEEWYPEELLTDGEDDVFDPELDMEVVFELQGNS -TSSDKNNSSSDGNEGVIINNFYSNQYQNSIDLSANATGSDPPRTYGQFSNLLSGAVNAFSNMIPLLADQN -TEEMENLSDRVLQDTAGNTVTNTQSTVGRLVGYGAVHDGEHPASCADTASEKILAVERYYTFKVNDWTST -QKPFEYIRIPLPHVLSGEDGGVFGAALRRHYLVKTGWRVQVQCNASQFHAGSLLVFMAPEYPTLDAFAMD -NRWSKDNLPNGTKTQTNRKGPFAMDHQNFWQWTLYPHQFLNLRTNTTVDLEVPYVNIAPTSSWTQHASWT -LVIAVVAPLTYSTGASTSLDITASIQPVRPVFNGLRHETLSRQSPIPVTIREHAGTWYSTLPDSTVPIYG -KTPVAPANYMVGEYKDFLEIAQIPTFIGNKIPNAVPYIEASNTAVKTQPLATYQVTLSCSCLANTFLAAL -SRNFAQYRGSLVYTFVFTGTAMMKGKFLIAYTPPGAGKPTSRDQAMQATYAIWDLGLNSSYSFTVPFISP -THFRMVGTDQVNITNVDGWVTVWQLTPLTYPPGCPTSAKILTMVSAGKDFSLKMPISPAPWSPQGVENAE -RGVTEDTDATADFVAQPVYLPENQTKVAFFYDRSSPIGAFAVKSGSLESGFAPFSNETCPNSVILTPGPQ -FDPAYDQLRPQRLTEIWGNGNEETSKVFPLKSKQDYSFCLFSPFVYYKCDLEVTLSPHTSGNHGLLVRWC -PTGTPAKPTTQVLHEVSSLSEGRTPQVYSAGPGISNQISFVVPYNSPLSVLPAVWYNGHKRFDNTGSLGI -APNSDFGTLFFAGTKPDIKFTVYLRYKNMRVFCPRPTVFFPWPSSGDKIDMTPRAGVLMLESPNALDISR -TYPTLHILIQFNHGGLEIRLFRHGMFWAEAHADVILRSRTKQISFLNNGSFPSMDARAPWNPWKNTYHAV -LRAEPYRVTMDVYHKRIRPFRLPLVQKEWNVREENVFGLYGIFNAHYAGYFADLLIHDIETNPGPFMAKP -KKQVFQTQGAAVSSMAQTLLPNDLASKVMGSAFTALLDANEDAQKAMRIIKTLSSLSDAWENVKETLNNP -EFWKQLLSRCVQLIAGMTIAVMHPDPLTLLCLGTLTAAEITSQTSLCEEIVAKFKKIFTTPPPRFPTISL -FQQQSPLKQVNDVFSLAKNLDWAVKTVEKVVDWFGTWVVQEEKEQTLDQLLQRFPEHAKRISDLRNGMSA -YVECKESFDFFEKLYNQAVKEKRTGIAAVCEKFRQKHDHATARCEPVVIVLRGDAGQGKSLSSQVIAQAV -SKTIFGRQSVYSLPPDSDFFDGYENQFAAIMDDLGQNPDGSDFTTFCQMVSTTNFLPNMASLERNGTPFT -SQIVVATTNLPEFRPVTIAHYPAVERRITFDYSVSAGPVCSKTEAGYKVLDVERAFRPTGDAPLPCFQNN -CLFLEKAGLQFRDNRTKEILSLVDVIERAVARIERKKKVLTTVQTLVAQAPVDEVSFHSVVQQLKARQEA -TDEQLEELQEAFAKTQERSSVFSDWMKISAMLCAATLALSQVVKMAKTVKQMVRPDLVRVQLDEQEQGPY -NEAVRAKPKTLQLLDIQGPNPVMDFEKYVAKFVTAPIDFVYPTGVSTQTCLLVKGRTLAVNRHMAESDWS -SIVVRGVTHARSTVRILAIAKAGKETDVSFIRLSSGPLFRDNTSKFVKADDVLPATSAPVIGIMNTDIPM -MFTGTFLKAGVSVPVETGQTFNHCIHYKANTRKGWCGSALLADLGGKKKILGMHSAGSMGRTAASIVSQE -MICAVVSAFEPQGALERLPDGPRIHVPRKTALRPTVARRVFQPAYAPAVLSKFDPRTEADVDEVAFSKHT -SNQESLPPVFRMVAKEYANRVFTLLGRDNGRLTVKQALEGLEGMDPMDKNTSPGLPYTALGMRRTDVVDW -ESATLIPYAADRLKKMNEGDFSDIVYQTFLKDELRPVEKVQAAKTRIVDVPPFEHCILGRQLLGRFASKF -QTQPGLELGSAIGCDPDVHWTAFGVAMQGFERVYDVDYSNFDSTHSVAMFRLLAEEFFTPENGFDPLVKE -YLESLAISTHAFEEKRYLITGGLPSGCAATSMLNTIMNNIIIRAGLYLTYKNFEFDDVKVLSYGDDLLVA -TNYQLNFDKVRASLAKTGYKITPANKTSTFPLDSTLEDVVFLKRKFKKEGPLYRPVMNREALEAMLSYYR -PGTLSEKLTSITMLAVHSGKPEYDRLFAPFREVGVVVPSFESVEYRWRSLFW - ->sp|P03305.1|POLG_FMDVO RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lb(pro); Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MNTTDCFIALVQAIREIKALFLSRTTGKMELTLYNGEKKTFYSRPNNHDNCWLNAILQLFRYVEEPFFDW -VYSSPENLTLEAIKQLEDLTGLELHEGGPPALVIWNIKHLLHTGIGTASRPSEVCMVDGTDMCLADFHAG -IFLKGQEHAVFACVTSNGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGEWKAKVQRKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFSGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTYGYATAEDFVSGPNTSGLETRVVQAERFFKT -HLFDWVTSDSFGRCHLLELPTDHKGVYGSLTDSYAYMRNGWDVEVTAVGNQFNGGCLLVAMVPELYSIQK -RELYQLTLFPHQFINPRTNMTAHITVPFVGVNRYDQYKVHKPWTLVVMVVAPLTVNTEGAPQIKVYANIA -PTNVHVAGEFPSKEGIFPVACSDGYGGLVTTDPKTADPVYGKVFNPPRNQLPGRFTNLLDVAEACPTFLR -FEGGVPYVTTKTDSDRVLAQFDMSLAAKQMSNTFLAGLAQYYTQYSGTINLHFMFTGPTDAKARYMVAYA -PPGMEPPKTPEAAAHCIHAEWDTGLNSKFTFSIPYLSAADYAYTASGVAETTNVQGWVCLFQITHGKADG -DALVVLASAGKDFELRLPVDARAETTSAGESADPVTTTVENYGGETQIQRRQHTDVSFIMDRFVKVTPQN -QINILDLMQIPSHTLVGALLRASTYYFSDLEIAVKHEGDLTWVPNGAPEKALDNTTNPTAYHKAPLTRLA -LPYTAPHRVLATVYNGECRYNRNAVPNLRGDLQVLAQKVARTLPTSFNYGAIKATRVTELLYRMKRAETY -CPRPLLAIHPTEARHKQKIVAPVKQTLNFDLLKLAGDVESNPGPFFFSDVRSNFSKLVETINQMQEDMST -KHGPDFNRLVSAFEELAIGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLEI -LDSTFVVKKISDSLSSLFHVPAPVFSFGAPVLLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAIL -KNGEWLVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQRDLNDPSKYKEAKEWLDNARQACLKSGNV -HIANLCKVVAPAPSKSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRIDSVWYCPPDPDHFDGYNQ -QTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIATTNLYSGFTPRTMVCPDAL -NRRFHFDIDVSAKDGYKINSKLDIIKALEDTHANPVAMFQYDCALLNGMAVEMKRMQQDMFKPQPPLQNV -YQLVQEVIDRVELHEKVSSHPIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGMVHDSIKEELRPLIQQT -SFVKRAFKRLKENFEIVALCLTLLANIVIMIRETRKRQKMVDDAVNEYIEKANITTDDKTLDEAEKSPLE -TSGASTVGFRERTLPGQKACDDVNSEPAQPVEEQPQAEGPYAGPLERQKPLKVRAKLPQQEGPYAGPMER -QKPLKVKAKAPVVKEGPYEGPVKKPVALKVKAKNLIVTESGAPPTDLQKMVMGNTKPVELILDGKTVAIC -CATGVFGTAYLVPRHLFAEKYDKIMVDGRAMTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDITK -HFRDTARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYRAATKAGYCGGAVLAKD -GADTFIVGTHSAGGNGVGYCSCVSRSMLLKMKAHIDPEPHHEGLIVDTRDVEERVHVMRKTKLAPTVAHG -VFNPEFGPAALSNKDPRLNEGVVLDEVIFSKHKGDTKMSEEDKALFRRCAADYASRLHSVLGTANAPLSI -YEAIKGVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEAALKLMEKREYKFVCQTFLKDEIR -PLEKVRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVWD -VDYSAFDANHCSDAMNIMFEEVFRTEFGFHPNAEWILKTLVNTEHAYENKRITVGGGMPSGCSATSIINT -ILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLGH -SITDVTFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQG -LFEIPSYRSLYLRWVNAVCGDA - ->sp|P03304.1|POLG_EMCV RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=G; Contains: RecName: Full=Protein 2B; Short=I; Short=P2B; Contains: RecName: Full=Protein 2C; Short=C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=H; AltName: Full=Protein 3B; Contains: RecName: Full=Protease 3C; Short=P3C; AltName: Full=Picornain 3C; AltName: Full=p22; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=E; AltName: Full=Protein 3D; Short=3D; Flags: Precursor -MATTMEQETCAHSLTFEECPKCSALQYRNGFYLLKYDEEWYPEELLTDGEDDVFDPELDMEVVFELQGNS -TSSDKNNSSSEGNEGVIINNFYSNQYQNSIDLSANAAGSDPPRLRSIFESLSGAVNAFSNMLPLLADQNT -EEMENLSDRGLKTLPAIRSQTPSQQWAVLSVMVPFMMESIRHHVLTLLQKRFWRWKGTTPSRLMIGHQHK -SPLSTSAFPFLTSCPVKMVVSLVALRRHYLVKTGWRVQVQCNASQFHAGGLLVFMAPEYPTLDAFAMDNR -WSKDNLPNGTRTQTNKKGPFAMDHQNFWQWTLYPHQFLNLRTNTTVDLEVPYVNIAPTSSWTQHASWTLV -IAVVAPLTYSTGASTSLDITASIQPVRPVFNGLRHETLSRQSPIPVTIREHAGTWYSTLPDSTVPIYGKT -PVAPSNYMVGEYKDFLEIAQIPTFIGNKIPNAVPYIEASNTAVKTQPLATYQVTLSCSCLANTFLAALSR -NFAQYRGSLVYTFVFTGTAMMKGKFLIAYTPPGAGKPTSRDQAMQATYAIWDLGLNSSYSFTVPFISPTH -FRMVGTDQVNITNADGWVTVWQLTPLTYPPGCPTSAKILTMVSAGKDFSLKMPISPAPWSPQGVENAEKG -VTENTNATADFVAQPVYLPENQTKVAFFYNRSSPIGAFTVKSGSLESGFAPFSNGTCPNSVILTPGPQFD -PAYDQLRPQRLTEIWGNGNEETSKVFPLKSKQDYSFCLFSPFVYYKCDLEVTLSPHTSGNHGLLVRWCPT -GTPTKPTTQVLHEVSSLSEGRTPQVYSAGPGISNQISFVVPYNSPLSVLSAVWYNGHKRFDNTGSLGIAP -NSDFGTLFFAGTKPDIKFTVYLRYKNKRVFCPRPTVFFPWPTSGDKIDMTPRAGVLMLESPNALDISRTY -PTLHVLIQFNHRGLEVRLFRHGHFWAETRADVILRSKTKQVSFLSNGNYPSMDSRAPWNPWKNTYQAVLR -AEPCRVTMDIYYKRVRPFRLPLVQKEWPVREENVFGLYRIFNAHYAGYFADLLIHDIETNPGPFMFRPRK -QVFQTQGAAVSSMAQTLLPNDLASKAMGSAFTALLDANEDAQKAMKIIKTLSSLSDAWENVKETLNNPEF -WKQLLSRCVQLIAGMTIAVMHPDPLTLLCLGTLTAAEITSQTSLCEEIAAKFKTIFITPPPRFPTISLFQ -QQSPLKQVNDIFSLAKNLDWAVKTVEKVVDWFGTWIVQEEKEQTLDQLLQRFPEHAKRISDLRNGMAAYV -ECKESFDFFEKLYNQAVKEKRTGIAAVCEKFRQKHDHATARCEPVVIVLRGDAGQGKSLSSQVIAQAVSK -TIFGRQSVYSLPPDSDFFDGYENQFAAIMDDLGQNPDGSDFTTFCQMVSTTNFLPNMASLERKGTPFTSQ -LVVATTNLPEFRPVTIAHYPAVERRITFDYSVSAGPVCSKTEAGYKVLDVERAFRPTGEAPLPCFQNNCL -FLEKAGLQFRDNRTKEIISLVDVIERAVARIERKKKVLTTVQTLVAQGPVDEVSFHSVVQQLKARQQATD -EQLEELQEAFAKVQERNSVFSDWLKISAMLCAATLALSQVVKMAKAVKQMVKPDLVRVQLDEQEQGPYNE -TARVKPKTLQLLDIQGPNPVMDFEKYVAKHVTAPIGFVYPTGVSTQTCLLVRGRTLVVNRHMAESDWTSI -VVRGVTHARSTVKILAIAKAGKETDVSFIRLSSGPLFRDNTSKFVKAGDVLPTGAAPVTGIMNTDIPMMY -TGTFLKAGVSVPVETGQTFNHCIHYKANTRKGWCGSALLADLGGSKKILGIHSAGSMGIAAASIVSQEMI -RAVVNAFEPQGALERLPDGPRIHVPRKTALRPTVARQVFQPAYAPAVLSKFDPRTEADVDEVAFSKHTSN -QESLPPVFRMVAKEYANRVFTLLGKDNGRLTVKQALEGLEGMDPMDRNTSPGLPYTALGMRRTDVVDWES -ATLIPFAAERLRKMNEGDFSEVVYQTFLKDELRPIEKVQAAKTRIVDVPPFEHCILGRQLLGKFASKFQT -QPGLELGSAIGCDPDVHWTAFGVAMQGFERVYDVDYSNFDSTHSVAMFRLLAEEFFTPENGFDPLTREYL -ESLAISTHAFEEKRFLITGGLPSGCAATSMLNTIMNNIIIRAGLYLTYKNFEFDDVKVLSYGDDLLVATN -YQLDFDKVRASLAKTGYKITPANTTSTFPLNSTLEDVVFLKRKFKKEGPLYRPVMNREALEAMLSYYRPG -TLSEKLTSITMLAVHSGKQEYDRLFAPFREVGVVVPSFESVEYRWRSLFW - ->sp|P15072.2|POLG_FMDVT RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MNTTDCFIAVVNAIREIRALFLPRTTGKMEFTLHDGEKKVFYSRPNNHDNCWLNTILQLFRYVDEPFFDW -VYNSPENLTLEAIKQLEELTGLELREGGPPALVIWNIKHLLHTGIGTASRPSEVCMVDGTDMCLADFHAG -IFMKGQEHAVFACVTSNGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNEGWKANVQRKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFSGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTFGYATAEDSTSGPNTSGLETRVHQAERFFKM -ALFDWVPSQNFGHMHKVVLPHEPKGVYGGLVKSYAYMRNGWDVEVTAVGNQFNGGCLLVALVPEMGDISD -REKYQLTLYPHQFINPRTNMTAHITVPYVGVNRYDQYKQHRPWTLVVMVVAPLTTNTAGAQQIKVYANIA -PTNVHVAGELPSKEGIFPVACSDGYGNMVTTDPKTADPAYGKVYNPPRTALPGRFTNYLDVAEACPTFLM -FENVPYVSTRTDGQRLLAKFDVSLAAKHMSNTYLAGLAQYYTQYTGTINLHFMFTGPTDAKARYMVAYVP -PGMDAPDNPEEAAHCIHAEWDTGLNSKFTFSIPYISAADYAYTASHEAETTCVQGWVCVYQITHGKADAD -ALVVSASAGKDFELRLPVDARQQTTATGESADPVTTTVENYGGETQVQRRHHTDVAFVLDRFVKVTVSGN -QHTLDVMQAHKDNIVGALLRAATYYFSDLEIAVTHTGKLTWVPNGAPVSALDNTTNPTAYHKGPLTRLAL -PYTAPHRVLATAYTGTTTYTASTRGDSAHLTATRARHLPTSFNFGAVKAETITELLVRMKRAELYCPRPI -LPIQPTGDRHKQPLVAPAKQLLNFDLLKLAGDVESNPGPFFFSDVRSNFSKLVETINQMQEDMSTKHGPD -FNRLVSAFEELASGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLEILDSTF -VVKKISDSLSSLFHVPAPAFSFGAPILLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAILKNGEW -LVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQRDLNDPSKYKDAKEWLDNTRQACLKSGNVHIANL -CKVVAPAPSKSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHLTGRTDSVWYCPPDPDHFDGYNQQTVVV -MDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFSSKVIIATTNLYSGFTPKTMVCPDALNRRFH -FDIDVSAKDGYKINNKLDIIKALEDTHTNPVAMFQYDCALLNGMAVEMKRLQQDMFKPQPPLQNVYQLVQ -EVIERVELHEKVSSHPIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGMVHDSIKEELRPLIQQTSFVKR -AFKRLKENFEIVALCLTLLANIVIMIRETHKRQKMVDDAVNEYIEKANITTDDKTLDEAEKNPLETSGAS -TVGFRERTLPGQKARDDVNSEPAQPTEEQPQAEGPYAGPLERQRPLKVRAKLPQQEGPYAGPMERQKPLK -VKARAPVVKEGPYEGPVKKPVALKVKAKNLIVTESGAPPTDLQKMVMGNTKPVELILDGKTVAICCATGV -FGTAYLVPRHLFAEKYDKIMLDGRALTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDITKHFRDV -ARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYKAATKAGYCGGAVLAKDGADTF -IVGTHSAGGNGVGYCSCVSRSMLLKMKAHIDPEPHHEGLIVDTRDVEERVHVMRKTKLAPTVAHGVFNPE -FGPAALSNKDPRLNEGVVLDEVIFSKHKGDTKMSEEDKALFRRCAADYASRLHSVLGTANAPLSIYEAIK -GVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEAALKLMEKREYKFACQTFLKDEIRPMEKV -RAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVWDVDYSA -FDANHCSDAMNIMFEEVFRTEFGFHPNAEWILKTLVNTEHAYENKRITVEGGMPSGCSATSIINTILNNI -YVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLGHSITDV -TFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQGLFEIP -SYRSLYLRWVNAVCGDA - ->sp|P03310.2|POLG_FMDVI RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MNTTDCFIALVHAIREIKTHFFSRYTGRMEFTLHNGEKKIFYSRPNNHDNCWLNTILQLFRYVDEPFFDW -VYNSPENLTLSAIEQLEKLTGLELREGGPPALVIWNIKHLLHTGIGTASRPSEVCMVDGTDMCLADFHAG -IFLKGQEHAVFACVTSDGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGGWKANVQRKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFSGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTYGYATTEDSTSGPNTSGLETRVHQAERFFKM -TLFEWVPSQSFGHMHKVVLPSEPKGVYGGLVKSYAYMRNGWDVEVTAVGNQFNGGCLLVALVPEMGDISD -REKYQLTLYPHQFINPRTNMTAHITVPYVGVNRYDQYNQHKPWTLVVMVVAPLTVNTSGAQQIKVYANIA -PTNVHVAGELPSKEGIFPVACADGYGNMVTTDPKTADPAYGKVYNPPRTALPGRFTNYLDVAEACPTLLT -FENVPYVSTRTDGQRLLAKFDVSLAAKHMSNTYLAGLAQYYTQYAGTINLHFMFTGPTDAKARYMVAYVP -PGMEAPDNPEEAAHCIHAEWDTGLNSKFTFSIPYISAADYAYTASSEAETTSVQGWVCVYQITHGKADAD -ALVVSASAGKDFELRLPVDARQQTTTTGESADPVTTTVENYGGETQTQRRHHTDVAFVLDRFVKVQVSGN -QHTLDVMQVHKDSIVGALLRAATYYFSDLEIAVTHTGKLTWVPNGAPVSALDNTTNPTAYHKGPLTRLAL -PYTAPHRVLATAYTGTTAYTTGVRRGDLAHLAAAHARHLPTSFNFGAVKAETITELLVRMKRAELYCPRP -VLPVQPAGDRHKQPLIAPAKQLLNFDLLKLAGDVESNPGPFFFSDVRSNFSKLVETINQMQEDMSTKHGP -DFNRLVSAFEELATGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLEILDST -FVVKKISDSLSSLFHVPAPVFSFGAPILLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAILKNGE -WLVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQRDLNDPSKYKEAKEWLDNARQACLKNGNTHIAN -LCKVVAPAPSKSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRTDSVWYCPPDPDHFDGYNQQTVV -VMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIATTNLYSGFTPRTMVCPDALNRRF -HFDIDVSAKDGYKINNKLDIIKALEDTHTNPVAMFQYDCALLNGMAVEMKRMQQDMFKPQPPLQNVYQLV -QEVIERVELHEKVSSHPIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGMVHDSIKEELRPLIQQTSFVK -RAFKRLKENFEIVALCLTLLANIVIMIRETRKRQQMVDDAVNEYIEKANITTDDKTLDEAEKNPLETSGA -STVGFRERTLPGHKARDDVNSEPAQPVEEQPQAEGPYAGPLERQKPLKVRAKLPQQEGPYAGPMERQKPL -KVKAKAPVVKEGPYEGPVKKPVALKVKAKNLIVTESGAPPTDLQKMVMGNTKPVELILDGKTVAICCATG -VFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDITKHFRD -TARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYRAATKAGYCGGAVLAKDGADT -FIVGTHSAGGNGVGYCSCVSRSMLLKMKAHIDPEPHHEGLIVDTRDVEERVHVMRKTKLAPTVAHGVFNP -DFGPAALSNRDPRLNEGVVLDEVIFSKHKGDTKMSEEDKALFRRCAADYASRLHSVLGTANAPLSIYEAI -KGVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEAALKLMEKREYKFACQTFLKDEIRPMEK -VRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVWDVDYS -AFDANHCSDAMNIMFEEVFRTEFGFHPNAEWILKTLVNTEHAYENKRITVEGGMPSGCSATSIINTILNN -IYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSIGQTITPADKSDKGFVLGHSITD -VTFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQGLFEI -PSYRSLYLRWVNAVCGDA - ->sp|P03307.2|POLG_FMDV5 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MHTTDCFIALVHAIREIRALFLPRTTGKMELTLHNGEKKTFYSRPNNHDNCWLNTILQLFRYVDEPFFDW -VYNSPENLTLEAINQLEELTGLELHEGGPPALVIWNIKHLLHTGIGTASRPSEVCMVDGTDMCLADFHAG -IFLKGQEHAVFACVTSNGWYAIDDEEFYPWTPDPSDVLVFVPYDQEPLNGDWKAMVQRKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFTGL -FGALLADKKTEETTLLEDRILTTRNGHTISTTQSSVGVTYGYSTGEDHVAGPNTSGLETRVVQAERFFKK -FLFDWTTDKPFGHLEKLELPADHHGVFGHLVESYAYMRNGWDVEVSAVGNQFNGGCLLVAMVPEWKEFEQ -REKYQLTLFPHQFISPRTNMTAHITVPYLGVNRYDQYKKHKPWTLVVMVVSPLTVSDTAAAQIKVYANIA -PTYVHVAGELPSKEGIFPVACSDGYGGLVTTDPKTADPAYGKVYNPPRTNYPGRFTNLLDVAEACPTFLC -FDDGKPYVVTRTDDTRLLAKFDVSLAAKHMSNTYLSGIAQYYAQYSGTINLHFMFTGSTDSKARYMVAYI -PPGVEVPPDTPERAAHCIHAEWDTGLNSKFTFSIPYVSAADYAYTASDTAETTNVQGWVCIYQITHGKAE -NDTLVVSASAGKDFELRLPIDPRQQTTAVGESADPVTTTVENYGGETQTQRRHHTDVGFIMDRFVKINSL -SPTHVIDLMQTHQHGLVGALLRAATYYFSDLEIVVRHDGNLTWVPNGAPEAALSNTSNPTAYNKAPFTRL -ALPYTAPHRVLATVYNGTNKYSTGGPRRGDTGSPAARAAKQLPASFNYGAIRAVTIHELLVRMKRAELYC -PRPLLAIEVSSQDRHKQKIIAPARQLLNFDLLKLAGDVESNPGPFFFSDVRSNFSKLVETINQMQEDMST -KHGPDFNRLVSAFEELAAGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLEI -LDSTFVVKKISDSLSSLFHVPAPVFSFGAPILLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAIL -KNGEWLVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQHDLNDPSKYKEAKEWLDNARQACLKSGNV -HIANLCKVVAPAPSKPRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRTDSVWYCPPDPDHFDGYNQ -QTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIATTNLYSGFTPRTMVCPDAL -NRRFHFDIDVSAKDGYKINNKLDITKALEDTHTNPVAMFQYDCALLNGMAVEMKRMQQDMFKPQPPLQNV -YQLVQEVIDRVELHEKVSSHPIFKQISIPSQKSVLYFLIKKGQHEAAIEFFEGMVHDSVKEELRPLIQQT -SFVKRAFKRLKENFEIVALCLTLLANIVIMIRETRKRQKMVDDAVNEYIEKANITTDDKTLDEAEKNPLE -TSGASTVGFRERTLPGQKARDDVNSEPAQPAEEQPQAEGPYAGPLERQRPLKVRAKLPQQEGPYAGPMER -QKPLKVKAKAPVVKEGPYEGPVKKPVALKVRAKNLIVTESGAPPTDLQKMVMGNTKPVELILDGKTVAIC -CATGVFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLSDAALMVLHRGNRVRDITK -HFRDTARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYRAATKAGYCGGAVLAKD -GADTFIVGTHSAGGNGVGYCSCVSRSMLLKMKAHIDPEPHHEGLIVDTRDAEERVHVMRKTKLAPTVAHG -VFNPEFGPAALSNKDPRLNEGVVLDEVIFSKHKGDTKMSEEDKALFRRCAADYASRLHSVLGTANAPLSI -YEAIKGVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEAALKLMEKREYKFVCQTFLKDEIR -PMEKVRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVWD -VDYSAFDANHCSDAMNIMFEEVFRTDFGFHPNAEWILKTLVNTEHAYENKRHTVEGGMPSGCSATSIINT -ILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLGH -SITDVTFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQG -LFEIPSYRSLYLRWVNAVCGDA - ->sp|P03306.2|POLG_FMDV1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protease; Short=Lpro; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; AltName: Full=P52; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=Protein 3B-1; Short=P3B-1; AltName: Full=Genome-linked protein VPg1; Contains: RecName: Full=Protein 3B-2; Short=P3B-2; AltName: Full=Genome-linked protein VPg2; Contains: RecName: Full=Protein 3B-3; Short=P3B-3; AltName: Full=Genome-linked protein VPg3; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; AltName: Full=Protease P20B; Contains: RecName: Full=RNA-directed RNA polymerase 3D-POL; Short=P3D-POL; AltName: Full=P56A -MNTTNCFIALVYLIREIKTLFRSRTKGKMEFTLHNGEKKTFYSRPNNHDNCWLNTILQLFRYVDEPFFDW -VYNSPENLTLDAIKQLENFTGLELHEGGPPALVIWNIKHLLQTGIGTASRPSEVCMVDGTDMCLADFHAG -IFMKGQEHAVFACVTSDGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGDWKTQVQKKLKGAGQSSPAT -GSQNQSGNTGSIINNYYMQQYQNSMSTQLGDNTISGGSNEGSTDTTSTHTTNTQNNDWFSKLASSAFTGL -FGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTYGYSTEEDHVAGPNTSGLETRVVQAERFFKK -FLFDWTTDKPFGYLTKLELPTDHHGVFGHLVDSYAYMRNGWDVEVSAVGNQFNGGCLLVAMVPEWKAFDT -REKYQLTLFPHQFISPRTNMTAHITVPYLGVNRYDQYKKHKPWTLVVMVLSPLTVSNTAAPQIKVYANIA -PTYVHVAGELPSKEGIFPVACADGYGGLVTTDPKTADPVYGKVYNPPKTNYPGRFTNLLDVAEACPTFLR -FDDGKPYVVTRADDTRLLAKFDVSLAAKHMSNTYLSGIAQYYTQYSGTINLHFMFTGSTDSKARYMVAYI -PPGVETPPDTPEEAAHCIHAEWDTGLNSKFTFSIPYVSAADYAYTASDTAETTNVQGWVCVYQITHGKAE -NDTLLVSASAGKDFELRLPIDPRTQTTTTGESADPVTTTVENYGGDTQVQRRHHTDVGFIMDRFVKINSL -SPTHVIDLMQTHKHGIVGALLRAATYYFSDLEIVVRHDGNLTWVPNGAPEAALSNTSNPTAYNKAPFTRL -ALPYTAPHRVLATVYDGTNKYSASDSRSGDLGSIAARVATQLPASFNYGAIQAQAIHELLVRMKRAELYC -PRPLLAIKVTSQDRYKQKIIAPAKQLLNFDLLKLAGDVESNLGPFFFADVRSNFSKLVDTINQMQEDMST -KHGPDFNRLVSAFEELATGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAARSKDPVLVAIMLADTGLEI -LDSTFVVKKSSDSLSSLFHVPAPAFSFGAPVLLAGLVKVASSFFRSTPEDLERAEKQLKARDINDIFAIL -KNGEWLVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQRDLNDPGKYKEAKEWLDNARQACLKSGNV -HIANLCKVVAPAPSKSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRIDSVWYCPPDPDHFDGYNQ -QTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIATTNLYSGFTPRTMVCPDAL -NRRFHFDIDVSAKDGYKINNKLDIIKALEDTHTNPVAMFQYDCALLNGMAVEMKRLQQDMFKPQPPLQNV -YQLVQEVIERVELHEKVSSHPIFKQISIPSQKSVLYFLIEKGQHEAAIEFFEGMVHDSVKEELRPLIQQT -SFVKRAFKRLKENFEIVALCLTLLANIVIMIRETRKRQKMVDDAVNEYIERANITTDDKTLDEAEKNPLE -TSGASTVGFRERSLTGQKVRDDVSSEPAQPAEDQPQAEGPYSGPLERQKPLKVRAKLPQQEGPYAGPMER -QKPLKVKVKAPVVKEGPYEGPVKKPVALKVKARNLIVTESGAPPTDLQKMVMGNTKPVELNLDGKTVAIC -CATGVFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLSDAALIVLHRGNCVRDITK -HFRDTARMKKGTPVVGVVNNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFAYKAATRAGYCGGAVLAKD -GADTFIVGTHSAGGNGVGYCSCVSRSMLQKMKAHVDPEPHHEGLIVDTRDVEERVHVMRKTKLAPTVAYG -VFNPEFGPAALSNKDPRLNEGVVLDDVIFSKHKGDAKMTEEDKALFRRCAADYASRLHSVLGTANAPLSI -YEAIKGVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEAALKLMEKREYKFACQTFLKDEIR -PMEKVRAGKTRIVDVLPVEHILYTKMMIGRFCAQMHSNNGPQIGSAVGCNPDVDWQRFGTHFAQYRNVWD -VDYSAFDANHCSDAMNIMFEEVFRTDFGFHPNAEWILKTLVNTEHAYENKRITVEGGMPSGCSATSIINT -ILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSLGQTITPADKSDKGFVLGQ -SITDVTFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGLAVHSGPDEYRRLFEPFQG -LFEIPSYRSLYLRWVNAVCGDA - ->sp|P32540.1|POLG_ENMG3 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; AltName: Full=VP4-VP2; Contains: RecName: Full=Capsid protein VP4; AltName: Full=P1A; AltName: Full=Rho; AltName: Full=Virion protein 4; Contains: RecName: Full=Capsid protein VP2; AltName: Full=Beta; AltName: Full=P1B; AltName: Full=Virion protein 2; Contains: RecName: Full=Capsid protein VP3; AltName: Full=Gamma; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=Alpha; AltName: Full=P1D; AltName: Full=Virion protein 1; Flags: Precursor -MATTMEQEICAHSMTFEECPKCSALQYRNGFYLLKYDEEWYPEELLTDGEDDVFDPDLDIEVVFETQGNS -TSSDKNNSSSEGNEGVIINNFYSNQYQNSIDLSANATGSDPPKTYGQFSNLLSGAVNAFSNMLPLLADQN -TEEMENLSDRVSQDTAGNTVTNTQSTVGRLVGYGTVHDGEHPASCADTASEKILAVERYYTFKVNDWTST -QKPFEYIRIPLPHVLSGEDGGVFGAALRRHYLVKTGWRVQVQCNASQFHAGSLLVFMAPEYPTLDVFAMD -NKWSKDNLPNGTRTQANRKGPFAMDHQNFWQWTLYPHQFLNLRTNTTVDLEVPYVNIAPTSSWTQHASWT -LVIAVVAPLTYSTGASTSLDITASIQPVRPVFNGLRHEVLSRQSPIPVTIREHAGTWYSTLPDSTVPIYG -KTPVAPANYMVGEYKDFLEIAQIPTFIGNKVPNAVPYIEASNTAVKTQPLAVYQVTLSCSCLANTFLAAL -SRNFAQYRGSLVYTFVFTGTAMMKGKFLIAYTPPGAGKPTSRDQAMQATYAIWDLGLNSSYSFTVPFISP -THFRMVGTDLVNITNADGWVTVWQLTPLTYPPGCPTSAKILTMVSAGKDFSLKMPISPAPWSPQGVENAE -KGVTENTDATADFVAQPVYLPENQTKVAFFYDRSSPIGAFTVKSGSLESGFAPFSNQACPNSVILTPGPQ -FDPAYDQLRPQRLTEIWGNGNEETSEVFPLKTKQDYSFCLFSPFVYYKCDLEVTLSPHTSGNHGLLVRWC -PTGTPNKPTTQVLHEVSSLSEGRTPQVYSAGPGTSNQISFVVPYNSPLSVLPAVWYNGHKRFDNTGYLGI -APNSDFGTLFFAGTKPDIKFTVYLRYKNMRVFCPRPTVFFPWPTSGDKIDMTPRAGVLMLE - ->AIY68186.1 polyprotein [torchivirus A1] -METTNVESVCPSSVCIFCLCQPCKCYLSHNEIYTICYFSDTEKKEYQLQGQGQSNARPNQQSNYGSITNN -YYENTYIASADMSTQANGNDGDIPDVPGIWSTLNGVVDTASAMAPLLLDQNTEETTNLSDRVKTSIHGNT -SVGTQSSVGTVIGYKKEKDENPISSCADAPTKASFAMERAFTQTMGTWTKTNDVYEYIHICLPSGIDDGG -VFSGVLNRHYLMKCGYKVQVQMNASQFHSGSLGVFLIPEFTPQSTFESKSTTFKALPRDKYVPEQLFVYP -HQILNCRTNTSVDIQVPYCNFVPSSFNEIHNTWTLVVMVLTPLDYSTGAATDIAITTSITPLDVLYNGLR -HIREEGIPTLPEGSSYTFSTTNVDMAQPVYSKGFNPTQNYIPGKFTNLLQMSVTPTLMANQIGEEGTSKQ -VGYFMCTNQVPTLPLFNSDVTLAAPKMKTTLVSAISQFFTQYRGSIVIDLMFTGTAMCKGKFVVCYTPPG -AAQPQTREKAMQGTYAVWDLGLNSSFKFTIPFISVSDYRWVDGAPSTTISIDGWFTVWQLTPITYPANNP -NISCVLVFASAGTDFSYRNLTDIPMRSQGTEVGESGDTGNSNATENIVGTSVSTEVSHSEIDFIFSRYFN -IETLRVATYTGSEGITQVTLSIYDFLQKPTAQALKRFIQIVTYFKSDLEIAITPLNTVSTKMFVKWLPSG -SIVDQTRETLNGIPTPRFIKNTSGAPLQIFNTDITNVCTFRVPYTSVLGAIPITYNGYGDFSQTAYNVAP -GADFGTLLFANTGSTGIQVMISVRFVNFQCWIPRTLAPKISVTNTDSNILAVRSALTEEIVFQGPGEHDF -PKEQCTCLNFFILQENLEEAMEEAAVLSSCNPTTKNIVNKESLEAMYQVLSHMTCRVHYTWEDVGFDQIP -QHSYSGCYCDRDDFNDVLENIGYNIWDLKSKGIDTQILENYRMYVSDVECENHWVRNLIADGDVEQNPGP -ASYNLFFSNTRYLLLKRVKVQRKILRQQGFIDWLTDGAVSSVKDAAQNLTNVIQSTNKIVNRAFSCRTII -KILIDFLTSGLILYTCDFNPTIAAVLAIKHGLDMLVEGSIFMLITEQLKKIFKTEPPQIDGEEPTTTQQG -VLKDFNTVVNAGKGIEWFLKTIQKLYDWLRAWCVKEEESCHGRYTKMMDTLGVELQVADNLLSRIHEATK -ETLRTTIGKLTEYSIIAMEVEKTQVASIIEKRLTPIRNYYDQLYGTGSIRAEPPVVLLKGKPGCGKSVVS -MLMSQAISKIVTGNQSVYTFPTSSTHMDGYKQQYVMVMDDLGQNPDGEDFKIFCQLVSTAKFVVPMASLT -EKGTEFNTGVIIATTNLPEFKPVTIVEPGAVDRRITWKFTPEIRKEYIKDGKLNLELSLNDSGNAAPRGF -TRDCPLINGKALTLNTPSGAKYSVAEAVELIIGTLKHKSNVAMDLSGLVEQGVLDDMKLDDAAQEEILKI -LMQNNNIISREASKQIFTRADHIIEIEKKNTQPIRETIAWILAASGTFFTLILLYRTFFCSVKQGQGPYD -GKLAKPRQFKEILAQSPDLELSVLKNCVPIDVDIPNKPKMMPFTALGLFELTFATNRHAIENCTSFEIQG -HTYKIEDVDVKMVSTHEGKTDLAIVTLKKGTRFRNIMKHLLDEVIEPSGHLIGIVNSTLFPRTLFKGKAL -RTARKITASGKQMFNVFSYDCPTFGGYCGAPIIGQVGNEKKILGIHCAGDGTTGWATVITKNIVKKIEEQ -GIKIPLGEANPICHVMRKSKICPSGFSYPTDVEPAILSQNDPRLDDGVKLDDKIFEKHHNNMETLPPVFD -IAAKMYAKQVFSVIGKDNQEISTLEAINGFKTAEKMDLSTSPGYPYVNMGLRRENMLDNIDGNYQPKEWF -KRNIEAVEQDPSDSTFATFLKDELRPVAKARSGKTRIVDASPFCHAIVGRKLLLRFTEKYMVENGTSVGS -AIGTDPDCDWTRFYHELQNNYVFDLDYSQFDSTHPTAMFDLVKKHFFNTENGFDEKTGKYLDSLAISKHV -YGREKFLTIGGLPSGCSCTSMLNTVLNNIIIRAAILSCYEGVDWSDFKMLAYGDDVVYSSKDPILPGKIA -DWLHKNTTYKLTPANKTDVFPEESGIEDVTFLKRKFVVDGVLVRPVISRRNIENMLAWKRNGEFGDKIRS -VAGLAFHLGEVEYNDIFLEIEKDSQFAKFVPHYHLLDFVWKQKNGIYI ->ACO92353.1 polyprotein, partial [Saffold virus 5] -MCFTPSLWFKNCHSFSKPSGLGFPTLLTDNMACKHGYPFLCPLCTAIDVSSDGSFALLFDNEWYPTDLLT -VDLDDDVFYPLDCVMDWTDLPLIQDTLMEPQGNSNSSDKNNSQSSGNEGVIINNYYSNQYQNSIDLSANA -NGVGKENSKPQGQLMNILGSAADAFKNIAPLLMDQDTEEMTNLSDRVASDTAGNTATNTQSTVGRLFGYA -SRHRGKHPASCADRATDKVLAAERYYTIKLATWDTTLKAFDHIRVPFPHILSGKEGGVFGATLRRHYLCK -CGWRIQVQCNASQFHAGSLLIFMAPEFDTSPYESETEPDKNKVFTMDTTWQSGTKCLRGHSFESKTNQSL -RPLSLNHQNTWQWTIYPHQILNLRTNTTCDIEVPYVNICPTSSWTQHANWTLVVAVLTPLQCPTGSAPDV -EITASIQPVKPVFNGLRHSVLEPDSPFPGTIREHAGTFYSTTPDTTVPVYGKTISTPANYMCGEFTDLLS -LCKIPTFVGNNLDSKWNPYFSSTNSVNENVSLVTYQVTLSSINLANTMLSSVARNFNQYRGSLNFLFVFT -GSAMVKGKFLISXTPPGADKPKNRNQAMQATYAIWDLGLNSSYNFTVPFISPTHFRQTSYTTSTITSVDG -WISVWQLTPLTYPANTPTHSDILTLVSGGDDFTLRMPVTPTKYVPHGVDNAEKGKVADDNASTDFVAEPV -KLPENQTEVGFFYDRAVQSCVLKSSQNFSDTFQLQSSDTLLNQTILTPLPNYKVDQSKEELVEQYRWLES -AGTSTQPPYKTKQDWNFVMFSPFTYYKCDLEVTITALNNNGQGALIRYSPCGAPADITTQTMSSTPSLAD -TRDPHMWFVGPGTTNQVSFVLPFTSPLSVLPAVWFNGYANFDNSSRYGVAPNADFGRLFVQGSGTFSVHF -RYKKMRVFCPRPTVYFPWPSNPQRTKIHAENPVLILELQNPISIYRVDLFINFSDEIIQFTYKVHGRTVC -QYEIPGFGLSRSGRLLVCMGEKPCQLPISTPKCFYHIVFTGSRNSFGVSIYKARYRPWKQPLHDELYDYG -FSTFTEFFKAVRDYHASYYKQRLQHDIETNPGPVQSVFQLQGGVLTKSQAPMSGLQSMLLRAIGIEADCT -EFTRAVNLITDLCNTWESAKTTLSSPEFWTKMVMRIVKMVAASVLYLHNPDLTTTVCLSLMAGIDILTND -SVFNWLSSKLSKFFHTPAPPIVPLLQQQSPIREANDNFNLAKNIEWAIKTIKRIVEWITSWFKQEETSPQ -AKLDKMLADFPEHCASILAMRNGRKAYTDCAGAFKYFEQLYNLAVQCKRIPLATLCEKFKNKHDHAVARP -EPVVVVLRGNAGQGKSVTSQIIAQAVSKLSFGRQSVYSLPPDSDYLDGYENQYSVIMDDLGQNPDGEDFK -VFCQMVSSTNFLPNMAHLEKKGTPFTSNFIIATTNLPKFRPVTVAHYPAVDRRITFDLTVEAGDECVTYN -GMLDVEKAFEEIPGKPQLDCFNTDCRLLHKRGVRFVCNRTKNVYNLQQVVKMVKNTIDNKVENLKKMNTL -VAQSPGNDMDYVLTCLRQTNAALQDQIDELQEAFNQAQERQNFLSDWLKVSAIVFASIASLSAVCKLVSR -FKNLVCPAPVRIQLSEGEQAAYSGGKKGEKQTLQVLDVQGGGKIVAQAGNPVMDYEVNIAKNMVTPITFI -YADKAQVTQSCLLIKGHLFVVNRHVAETDWCAFELKGTRHERDSVQMRSVNKSGMEVDLTFVKVVKGPLF -KDNIKKFCSKDDDFPARNETVTGIMNTGVPFVFTGKFLIGNQPVNTTTGACFNHCIHYRATTHRGWCGSA -LICHVNGKKAVYAMHSAGGGGIAAATIITQEMIGAAEKALDCLTPQGAIVEIGIDTVVHVPRKTKLRRTV -AHPCFQPKFEPAVLSRYDPRTTKDVDQVAFSKHTTNLEELPTVFSLVAKEYANRVFTTIGKENQILTPEQ -AILGLPGMDPMEKDTSPGLPYTQQGLKRAQLVNFEQGTMVQNLKEAHSKLTEGNYEDILYQSFLKDEIRP -IEKIHEAKTRIVDVPPFHHCIWGRQLLGRFASRFQTNPGLDLGSAIGTDPDTDWTAFAFQLLQYKYVYDV -DYSNFDASHSTAMFEILIENFFTLENGFDKRIGDYFRSLAVSRHAFEERRVLVRGGLPSGCAATSMLNTI -INNIVIRAALHLTYSNFEFDDIKVLSYGDDLLIATNYQINFNPVKQRLAPFNYKITPANKTVEFPEISNL -HEVTFLKRKFVRHNSCLFKPQMDTENLKAMVSYCRPGTLKEKLNSIALLAVHSGKSVYDEIFEPFRRIGI -IVPEHSTMLYRW ->AQM40272.1 polyprotein [Human cosavirus] -MMGANNSKESVNSSGNNGTTVNNFYANNYYGSIDASSQGVGTSTAPENGNVSGFLGLASSAFNALSFLAT -PSVEKDTNMEDRLLTRNAGNTSVNSQASEGVLHGYGVKSDSSDPTSCGDSPTRAAPATSRGFTVRLLDWK -TTTQPYYAQLYRLTDRMKDIELGNMFSKNLLTHSFTKTGFEVTLQINTSPFHSGLVGLFLVPELIRRTSG -DLEWMVMTQKLSLYDPPTEELSYSGYETQTITANKKVSFDLADTTPEQMMLYPHQLINPKDTNVAVVRVP -YVNAAPTNDPSVHNIWTAVIMVLAPLQFSDGASPTVAMTLTITPVDTVFNGLHHAPADTQSPFPTRPFHH -SYQFSTTVPDVTEPCYGMTVTPPRDYLPGHVKDLVSLAKVPSFLTVDYTNNADKPYFEVSNTKQDTPLFT -CSVLLSDLHFQHTLVSVLGTYFANYRGSLQFTFISCTTAMTRGKILVSYTPPGAGKPTNITQAMQGTYAI -WDLGLQSSFHFVTPFISAVDFRINAVSASSAVNSDGWVTVWLLNPLTYPPNTPPTQRIVALLSAGDDFSY -RLPISPAWAQGPEPSSVEPHDNLEKGESATHDASLISGHSVGMNVPQSDVKFFFDRYRFLGILESTPNEG -PLITSPYSDTTFKVKDLATMFTVDSTKRPYTAICVSPTPSIDGSVITTYLVSTQMPRNDYKYIFCAGDPH -LYRSCPFTYFHADVEVTIKPPPTVNQRWRVTWYPPGAPIDTQTIHIPTEASLSPTTVTNALQSSASLFSL -NPTFYGNGGNAVSFVIPFCSPLSAIPLYFDGYPDYKRSPGAYGIGPASTFGTLTIDSDGPRTFFSVYIRY -KNFKGHVPRPVIRFPTVNPNTQLKYKTLEGGPPRPVPTKKKTITNKELLRQCGDVEENPGPTYSKFQTQG -PTVDLLNLARNPQTVENVTRLLNTLNTLMDKWNNMKQTLTSASFYSEILCLLIKLGSLFYLVHGQGPSAY -FAASAILVADGVSFLDWHTRIKQFLSTKLRTTPPFFALAQGIDLRDAVTFFNAARGAEWMVGAIKSLIDW -IKKWLELEEQNEGVILEQMLIESPKHCKAINEYNRGEVFIRPAESFDFIDRLCNLAMSQGKVHLSGYFKN -FISADSDPCRPEPVVLVLRGKPGAGKSAAATLIAAAVSKLCVGTQSVYSLSPDTQHMDGYRGQFAMIMDD -LGQNPDGEDFRSFCQMVSCCQYRPSMANLNDKGILFSSRLLIATTNLVDFRPLTIADPRALERRITFDYV -VAPGSQTSKKGMLDLEKALTPDGPGEGPFVHDCPILHLTGLTFTSARQPDKVINLVDLVESIVKRLQKKK -TVGRLLDSLVAQGPKMVGYTKDDDGVVIVDNMEQWNAILDKKKKQQVLEKISEGLSAKHQEHKETLSLLK -QFLTGLGVVSAVVAAFYTTKWLKSKLEPPEETEKAVDETDSSDSKAEGPYESTQKKTLKTLKLRAQAPLF -DMEKKILSNVKQFKLHYNKKLYAQSCLAIGERIILVNAHAFHSVDEGFTIDGKYHTLDQVDVCALDTAEG -LSDVVAIKLPPGPAFKSIVNLFLPYSVELIPGQRLIILSNDDIQMAREGSFLRNEDFAPTNIGNIPFVSL -YKSSSYFGMCGSAVTARLKEGSGIIGIHCAGGGGVSVCCRLTRRMVESVREYFYPMLTQGLIVSTDKGPV -IHVPRKSKLKRTSVTFPSTSLYGPAVLSKNDPRLNPDVDFDTVIFSKHQENRLIRRDSTHWEKLVMAAQI -YASIFKGNDFSPLTVEEAISGIPGLDRLDPNTASGLPYTKTRKQLVDFKECKILDKELQERLDTWLKGEQ -PPTQYQTFLKDEIRPIEKVKSGKTRIIDVTPLDHVIAFRMLFGRFMAFFHTHPGFKLGSAVGCDPDTFWN -PFATQLCKHQKLFDFDYSNFDSSHSTDIFSILQDYFFTPENGFDVRCKLLLQSLAISEHCYEDKRLKVFG -GLPSGTAGTSVINTVINNIIFKAACYHTYTNFEWDDVIMMAYGDDIIAASDYDLRLDLIKDFMCTIGYKI -TPADKSSEFTPKTIEQVQFLKRKFRKVAGIWAPVMDTENLEAMLSWYKPGTMNEKLNSVAQLAHFSGDQV -FEHLFGPFRKDGFDVKQWKQLHLEWLNKFSF ->ACF19652.1 Polyprotein [Rat theilovirus 1] -MMACIHGYPSVCPICTAIDKSSDGMYLLLADNEWFPADLLTMDLDDDVFWPNDKSNVSETMDWTDLPFIL -DTVMEPQGNSTSSDKSNSQSSGNEGVIINNFYSNQYQNSIDLSANGGNAGGAPKTEGQLGNILGNAANAF -STMAPLLLDQNTEEMENLSDRVDSDKAGNSAVNTQSSVGRLCGYGMHHKGKHPASCADTATDKVLSAERY -YTIDLATWTTTLSTFSHIRIPLPHVLAGEDGGVFGSTLRRHYLCKCGWRIQVQCNASQFHAGSLLVFMAP -EFYTGHTPATGVTEPATPFTMDSSWQTPQQNPVGFRYDGRTGYFALNHQNYWQWMVYPHQILNLRTNTSV -DLEVPFTNIAPTSSWTQHANWTLVVAVLTPLQYAAGSATDVQITASIQPVKPVFNGLRHEAVVPQSPIPV -TVREHQGTFYSTNPDTTVPIYGKTIATPSDYMCGEFSDLIELCKLPTFLGNPANTSPPGGRYPYFSATNS -VPITALASYQVALSCSCMSNSMLAAVARNFNQYRGSLNFLFVFTGTAVTKGKFLIAYTPPGAGKPTTREQ -AMQATYAIWDLGLNSSYNFTVPFISPTHYRQTSYTSTSITSVDGWLTVWQLTPLTYPANTPPNADILTLV -SAGDDFTLRMPISPTKWTPQGVDNAEKGKVSNDDATVDFVAEPVKFPDNQTKVSFFYDRSVPLGLLRPAQ -GMEQDFVYTANDSRANSILLTPLPSYAPDSTSGPAETQAPVQWRWLRGTTDGSTTFPLMTKQDYAFLLFS -PFTYYKADLEVTLSATSNTNVNTLVRWAPTGAPADIGRQLSGYTPSIGDTRDPHMWLVGAGNSQISFVVP -YNSPLSVLPAAWFNGWSDFGNTKDFGVAPNADFGRLWIQGNTAVAVRIRYKKMKVFCPRPTLFFPWPSAT -TTRIHADTPVSVMELQNPFSFYRVDLFITFTDEFITFDYKVHGRSVFQYQVPGLGLTCAGRMLVCMGQMP -NHAPFSTVRHLYHVVFTGSRNSFGVVIYLKRHRPWKKPLHEELHDYGFECFSDFFKHVREYHAAYYKQRL -MHDVETNPGPPTQSVFRPQGGVLTKSQAPMSGIQNLLLRALGIDADHGEFTRAVTMITDLCNTWEKAKNT -LVSPEFWTVLIMKTVKFIAASVLYLHNPDLTATICLSLMTGVDVLTNESIFNWLSDKLSKLFHTPPPSAT -PLLQAQSPLREANDGFNLAKNIEWAIKTVQKIVDWLMSWFKQEETHPQAKLDKMLADFPEHCASILAMRN -GRKAYTDCAGAFKYFEDLYNLAVQCKRIPLATLCEKFKNKHDHAVARPEPVVVVLRGDAGQGKSVTSQII -AQAVSKLAFGRQSVYSIPPDSDYLDGYENQFSVIMDDLGQNPDGEDFKVFCQMVSSTNFLPNMAHLEKKG -TPFTSNFIVATTNLPKFRPVTVAHYPAVDRRITFDLTVEAGPACKTPTGMLDVEKAFQEIPGEPQLDCFS -SDCALLHRRGVQFICNRTKKIYNLQQIVKMVKDTIDNKVANLKKMNTLVAQSPNNGNDMEHIITCLRQNN -AALQDQIDELQEAFAQAQERQNFLSDWMKVSAIIFAGIASLSAVCKLVGRLKNLIWPSPVRVELSEGEQA -AYAGAKRGAKQALQVLDLQGGGRIIAQAGNPVMDYEVCVAKNMVAPITFYYADKAQVTQSCLLVKGHLLV -VNRHVAETDWVSFELRDVRHERDTVTIRSVNRSGMEVDLTFIKVTKGPLFKDNTKKFCSNKDDFPQKNET -VTGIMNTGLPFVFNGKFIIGNHPVNTTTGATFNHCLHYRANTRRGWCGSAVICQVNGKKAVYGMHSAGGG -GLAAATIITQELVEAAEQNMDCLVPQGAIMEIGTGSVVHVPRKTKLRRTVAHEIFLPKFEPAVLSRYDPR -TEKDVDQVAFSKHTTNMEELPAIFSMVAKEYANRVFTKLGKENQLLTTQQAILGLPGMDPMEKDTSPGLP -YTQQGLRRTDLVNFETGKMDHNLDYAHSKLMLGHYEDVVYQSFLKDEIRPIEKIHEAKTRIVDVPPFHHC -IWGRQLLGRFASRFQTNPGLDLGSAIGTDPDVDWTVFAHQLAEFKYVYDVDYSNFDASHSTAIFEILIQE -FFTPQNGFDPRIGEYLRSLAVSRHAYEDRRVLIRGGLPSGCAATSMINTIINNIVIRAALYMTYANFEFD -DIKVLSYGDDLLIATNYEINFNLVKERLAPFNYKITPANKTSTFPQTSHLQDVVFLKRRFVQFNSFLFRP -QMETENLKAMVSYCRPGVLKEKLMSIALLAVHSGPDVYDEIFMPFRRIGVVVPEYSTMLYRWLNLFR ->ANN02886.1 polyprotein [Bovine rhinitis A virus] -MNSDFMNSDLNCTMEFTKYNGQKVKIHGLVNDNDNCWLNSLAQLANYMDSVFFDSYYNGSSSSMDEILLL -QDLTGIQDLTYGGPPSIVLYKIKDYLDFTVGTPSKPGQVCVTCGCDMTLADMHAGIFLDGDDHAVFYFRS -EDGWVCVDDDRWYFTTPDPAHVLVFVPFDEEPMGQDSEVVFEICYARGGGQSKPQSGNMNQSGNSGSVVN -NYYMQQYQNSIDTTLGDKPVIGGSGQGDTAGSATHNQNTTSPTGGGGMDWFGHLTNLASNVLPAAIGLLA -DHKTEETTKLEDRILVTRVGPTTQTTQSSVGVVQGYGGPEPQDTTTLAAGLAVEVPSAQRFYDVGTWDWS -TTSAAGHTKRYPLPASLRKGAFANLAKTYVLMQNGWEIVVSAQGTLSHGGMLMVAMVPELNFKNESDAQP -ADYRQYTVFPHQMINPRTNTTAHIRVPYVGAFSMEDVNKHNAYTLVLVVVSPLTAGGHTKTVVKVKVTAA -PIDVRVVGETPERQGLVPLAPHVGYGGFKTTAPITADPVCGGVYNPPRQDMPGRFTNFLQVAQVCPTFGK -VGSGATPYFVTQTDNDVLLSTIDVSLTSYEMSSTFLAGLAQFYAQYRGTINVHFVFTGSVNDKARFRVVF -VPPGTDPPETAADASLHIHSDWDSGLNSEFVYPVPYVSPTPYTTTHGAEADQATVNGWIQIYQLDATASN -LAVTVAFSAGPDFELRFPCEPVHYEVTTDVGESGVGHDATVERLGGVDAPSFRTHTDVSWVLDRYAIATK -VSGYKSALGAGFVLDPVALPATSMMGQLLRASTYYFADLELAVVPRGQPGEYAMVKWLPVGTPFDLADTG -LDGLALQGLDSTCSVGFTGSAGNGSAAVMAIPYNSPMRAIPTVYAGTTQYTHTSPARPGTANYGLIFVLG -DSSVTFRVMYRLKRTELYCPRPLVYRQKNTVTFGKRQKFKLAGIDKETGISNKDLLLQAGDVETNPGPGV -FSQFADLAASATQDFHNLTEGILELKNTLKGAGPWYKAFKYIWKLATLVVTAFRTQDPVVIAMQLADLGI -EIFEAEVLVRGLAQKMSEQFQTPPPKFEFKYSELIERAEQIFEDFDDDAAPEKQFSMKRLNDIFSFLKHG -EWLIKFFLAIRSWVRTWLKQEEAVMSYNDLVPKIIQKQLELKEPSTFAQAKNWLVRQKEILLTAGQKDLA -QLCEVKTKEPVTGRPEPVVLVLRGKSGQGKSFMANILASAISRMLTGKPDSVWSCPPDPTYFDGYRGQSV -VIMDDLGQNPDGKDFKYFAQMVSSTAFVVPMAALEDKGTLFTSPVIIATTNLSDAFTPITMACPEALQRR -FHFDYNLEAKWKKGYHLDVRRALQPTGKPANELFEEDYPLLNGQAVMFVANKMCPAIDSAYELIEAVYAA -VIERRDVAKVGIVKQVKTLHDKLKASLPKGRGYRCDREVNLKTDEAERMFRYLLNRDPVLAGEFLEKECD -PELAEKYLPLLREHTGKSKLWATLTKHCDLFLHGLLLVANLVTLYFQNKKPKRQGPYGGKPTVVKRKTVE -APNLVATESGAPPTDMQQHVLRNVRPISLVCDGKVVSMCCGFGVFGNCYLVPNHMFEETFDTILLGEVSL -KKKDYEVINLETGDGVSDAALLHVFKGPRVKDMTMHFRDEVRIPKGTTIAGCVNSHEFGRLVFTGTALTF -KDVIVCSDGDELPNVFAYRAATQRGYCGSPVLVKNNAHTVVVGIHSAGGNGNGYASCVTRSVLLKVKKML -DPDVHLEGLIVDTREGEERVHVARKSKLYPTLAHAVFKPEFGPAPLSNNDSRLNPGIVLDNSIFSKHTAC -VELDYEQSVEFTQACYDYADKLFGVIGRNNGPLTLFEAIKGVDGLDAMEVDTGPGLPWSKDNIRRPALID -FEAGTVSEKIQKRLDEFEMGRFQFECQTFLKDELRPNHKIAVGGTRVVDVLPVEHLIFSRIHLGRFCSHM -HLNYGLGIGSAVGCNPDVDWHQFAVQFQPFKNVWAIDYSAFDASHSVDLLSQMIEAVFSDENGFSVHARD -VLHSLETTVHAYEDKRYTIKGGLPSGCAATSIINTVLNNIYVLYALRREYPWVTPDDYVMVAYGDDVVIA -SDHDFDFNKLVARFAELGHKITPEDKSDRGFQLGLKITDVSFLKRQFVFDHYAGFYKPVMSSRTLEAILS -YSRKGQLEEKLVSVAGLAFHSGEREFKRLFAPFDGLFEFPSYSALRLRWYRAVSQG ->AFY10938.1 polyprotein [Equine rhinitis A virus] -MMAASKVYRVCEQTLLAGAVRMMDKFLQKRVVFVPHLDKQVRLTGLHNYDNTCWLNALTQLTQILGIRLF -DEHFGNRGLFTRKTIDWVSDQTGIKDLKSGAPPLVVVYKLWQHGHLDVGTMEKPRPITLWSGPKVCLSDM -WACVSAKPGHAVFYLLTDEGWICIDDKKIYYETPEPDDVLVFAPYDFESLGKDPPRLHQRYEKAFKKLSG -AGTSTPTTGNQNMSGNSGSIVQNFYMQQYQNSIDADLGDNVISPEGQGSNTSSSTSSSQSSGLGGWFSSL -LNLGTKLLADKKTEETTNIEDRIETTVVGVTIINSQGSVGTTYCYSKPDSKAPSTVSDPVTRLGPTLSRH -YTFKVGEWPHSQSHGHAWICPLPGDKLKKMGSFHEVVKAHHLVKNGWDVVVQVNASFAHSGALCVAAVPE -YEHTHEKALKWSELEEPAYTYQQLSVFPHQLLNLRTNSSVHLVMPYIGPGPTTNLTLHNPWTIVILILSE -LTGPGQTVPVTMSVAPIDAMVNGPLPNPEAPIRVVSVPESDSFMSSVPDNSTPLYPKVVVPPRQVPGRFT -NFIDVAKQTYSFCSISGKPYFEVTNTSGDEPLFQMDVSLSAAELHGTYVASLSSFFAQYRGSLNFNFIFT -GAAATKAKFLVAFVPPHTAAPKTRDEAMACIHAVWDVGLNSAFSFNVPYSSPADFMAVYSAEATVVNVSG -WLQVYALTALTSTDIAVNSKGRVLVAVSAGPDFSLRHPVDLPDKQVTNVGEDGEPGETEPRYALSPVDMH -VHTDVSFLLDRFFDVETIELSNLTGSPTTHILNPFGSTAQLAWARLLNTCTYFFSNLELSIQFKFTTMPS -SVEKGFVWVKWFPVGAPTKTTDAWQLEGGGNSVRIQKLAVAGLSPTVIFKIAGSRSQACGFNVPYTSMWR -VVPVFYNGWGAPTKEKATYNWLPGAHFGSILLTSDAHDKGGCYLRYRFPRASMYCPRPIPPAFTRPADKT -RHKFPTNINKQCTNYALLKLAGDVESNPGPTIFSKASADLNALSTSLGELTGMLKDLKAKAETYSPFYKM -AKMLFKLATLAVAAMRTKDPVVVVMLIADFGLEVFDTGFFFSYFQEKLQPYMKTIPGKVSDLVTDAATAA -AQIPKGVYSFVSSFFETPEGVVEKQVSLRTINDIFTLLKNSDWFIKTLVALKKWLVSWFKQEQQADDALY -SELEKYPLYKLKLKEPDTQEEARQWFKDMQQRALAVKDKGLFSLLQIPLVNLPTSRPEPVVCVLRGASGQ -GKSYLANMMAQAISLLLTGKQNSVWSCPPDPTYFDGYNGQAVVIMDDLGQNPNGADFKYFCQMVSTTAFV -PPMAHLDDKGIPFTSPVVICTTNLHSSFTPITVSCPEALKRRFRFDVTVSAKPGFVRTVGSSQLLNLPLA -LKPAGLPPHPIFENDMPILNGQAVKLALSGVEVTAFELIEMILSEVQNRQDTHKMPIFKQSWSDLFKKCT -SDEEQKMLQFLIDHKDSEILKAFVSERSIMLHEEYMKWESYMTRRAKYHRLAADFAMFLSILTSLIVIFC -LVYSMYQLFKTPDEHSAYDPATKPKPKTQEIKTLKIRTETGVPATDLQQSVMKNVQPIELYCEGNLVTDC -SALGVYDNSYLVPLHLFEFDFDTIVLGGRQYSKADCEKVEFELSVGGDMVSSDACLLRLPSGPKVRNILH -LFTNEIELKKMTQITGIMNSPHQARTVFFGSFLTVKKSILTSDGTVMPNVLSYAAQTSRGYCGAAIVAGS -PARIIGIHSAGTGSVAFCSLVSRDALERTLPQKQGNVVRLDDDVRVSVPRRTKLVKSLAYPIFKPDFGPA -PLSQFDKRLADGVKLDEVVFAKHTGDKEISAPDQKWLLRAAHVYAQKVFSRIGFDNQALTEEEAICGIPG -LDKMEQDTAPGLPYAQQNKRRKDICDFEKGQLKGAAKLQKERFLKGDYSDLVYQSFLKDEIRPLEKVRAG -KTRLIDVPPMPHVVVGRQLLGRFVSKFHEANGFEIGSAIGCDPDVDWTRFGLELERYRYVYACDYSRFDA -NHAADAMRVVLNYFFSEDHGFDPGVPAFIESLIDSVHAYEEKRYNIYGGLPSGCSCTSILNTVLNNVYIL -AAMMKAFENFEPDDILVLCYGDDCLIASDLEIDFQKLVPVFADFGQVITTADKTDFFKLTTLSEVTFLKR -AFVPDGALYKPVMDVKTLEAILSFVRPGTQAEKLLSVAQLAGHCEPDEYEHLFQPFEGMYYVPTWRDLRL -QWLMKLGC ->AIY68183.1 polyprotein [torchivirus A1] -METTMEISFDASCSSSVCIFCLCQPCKCHLIHNEIYTICYFTDEEKKEYQIQGQGQSNARPNQQSNYGTI -TNNYYENTYIASADMSTQANGNEGDIPEVPGIWSTLNGVVDTASAMAPLLLDQDTEETTNLSDRVKTSIH -GNTSVGTQSSVGTVIGYKKEKDTNPISSCADAPTVASFAMERAFTQTMGTWSKTNDVYQYIHICLPSGID -DGGVFSGVLNRHYLMKCGYKVQVQMNASQFHSGSLGVFLIPEFTPQNSFEAKSTTFKPLPREKYVPEQLF -VYPHQILNCRTNTSVDIQVPYCNFVPSSFNEIHNTWTLVVMVLTPLDYSTGAATDIAITTSITPLDVLYN -GLRHLKEEGIPTLPEGSSYTFSTTNIDMAQPVYSKGFNPTQNYIPGKFTNLLQMSVTPTLMANQIGSEGE -SKQVGYFMCTNQVPTLPLFVSDVTLAAPKMKTTLVSAISQYFTQYRGSIVMDLVFTGTAMCKGKFVICYT -PPGAAQPQTREKAMQGTYAVWDLGLNSSFKFTIPFISVSDYRWVDGAPSTSISIDGWFTIWQLTPITYPA -NNPNISCVLVFASAGTDFSYRNLTDIPMRSQGTEVGESGDTGNSNATENIIGTPISTEVSHSEIDFIFSR -YFNVDTTRVTTYTGNENVTSITLSIYDFLQKPTAQALKRFLQIMTYFKSDLEIAITPLNTISTKMFVKWL -PSGSVVDLTKETLNSINTPRFIKNTSGAPLQIFNTDITNVCTFRVPYTSVLGAIPITYNGYGDFSQTAYN -VAPGADFGTLLFANTGSVGLQIMLSVRFVNMQCWIPRTLAPKISVTNTDANILATRSALTEEIVFQGLSE -FVPSQQCSCLNFFLLQEHIEEAMEEISVLCSCNPSTKNIINKESLEAMYQVLSHMSCSVHYTWEDVGFDK -LPTTSYSGCYCDRDDFNDVLETIGHNIWDLKSKGIDTQILEVYRMYISDVECENHWIRDLAADGDVEQNP -GPASYNLFFSNTRYLVTMKMRKPRKEIRQQGFIDWLTDGAVTSVKDAAQNLTNVIQHTNKIMNRAFSCRT -IIKIITDFLTSGLILYTCDFNPTIAAVLAIKHGLDMLVEGSVFVLITEQLKKLFKTDPPTVDGGEIEQQG -VLRDFNTVVNAAKGIEWFLKTIQNLYDWLKSWCQKEEESCHGRYAKMMDTLGVELQVADNLISRIHESTR -ENLRTTIGKLTEYSIIAMEVEKTQVASIIEKRLTPIRNYYDQLYGSGAIRAEPPVVLLRGKPGCGKSVVS -MLMSQAISKIVTGSQSVYTFPTSSTHMDGYKQQYVMVMDDLGQNPDGEDFKIFCQLVSTAKFVVPMASLM -EKGTEFNTGVIIATTNLPEFKPVTIVEPGAVDRRITWKFTPEIRKEFLKDGKLNLELSLNDSGNTPPKGF -TRDCPLINGKALTLNTPSGAKYSVSEAVDLIIGTLKHKSNVAMDLSGLVEQGVLDDMKLDDAAQEEILKI -LMQNNNIISRESKKQIFTRADHIIEIENKNTQPIRETIAWILAASGTFFTLILLYRTFFCSVKQGQGPYD -GKIAKPRQFKEILAQAPDLELAVLRNCVPLDVDIPNKPKMMPFTALGLFELTFATNRHAIENCTSFEIQG -HTYKIEDVDVKMVSTQDGKTDLAIVTLKKGTRFRNIMKHLLDEIIEPTGHVVGIVNSSLFPRTLFKGKAL -RTARKITASGKQMYNVFSYDCPTYGGYCGAPIIGQVGNEKKILGIHCAGDGTTGWATVITKNIVKKIEEQ -GLKIPLGEANPVCHVMRKSKICPSGFSYPTDVEPAILTQKDPRLDDGVVLDEKIFEKHQNNMETLPPVFE -VAAKMYAKQVFSIVGKDNEAISTTEAINGYKTAEKMDLSTSPGYPYVNMGLRRENMLNIVDGVYVPQEWF -NKNITAVEEDPKDSTFATFLKDELRPIAKARSGKTRIVDASPFCHAIVGRKLLLKFTEKFMVNNGTSVGS -AIGADPDCDWTRFYHELSNEYVFDLDYSQFDSTHPTAMFDLVKKHFFSIENGFDDKTGKYLDSLSVSRHV -YGREKFLTVGGLPSGCSCTSMLNTVFNNIIIRAAILSCYEGVDWSDFKMLAYGDDVVYSSRDPILPGKIA -NWLHKNTTYKLTPANKTSNFPTESKIEDVTFLKRKFVADGVLVRPVIARSNIENMLAWKRNGEFGDKIRS -VAGLAFHLGEEEYNDIFLEIENDSQYSKYIPHYHLLDFVWKQKNGIYI ->ADO85550.2 polyprotein [Ovine hungarovirus OHUV1/2009/HUN] -MVTITSVQSGNIIVVYSQSFYQNHTHNGSSWQANPTTVNMEVAILDFDLSCDIWSEESDFDFDVEQTMLL -SGQTPEEFFFEFEGPGQSKQESGNTNNSGNHGVINYNFYNQQWQNSVDLEHAMENNATAYGGTGGGDSQH -NSNRTENLLLSGLQAATTILPLLADGLTEDFENSDRVNKTQAGATTLVTQHHVGCMTYPGRKSGPSPSSA -ADEPTMAGPSVNRFITIPVGNWTSSKTVYSGWCLPLPWTPLTCNTPFGFSARRHFACLNCGWHVQVQVNS -TRFHGGALGVFLVPQFVYQGQNSLAGPHELAETDYPQFNKQQLFLFPHQILNPRTNSSVDIQVPYANCTP -GCDPTQQAPWTLLIVVISPLSYAQGATTSLEVVASIRPLETEFHGIRQTNAEFEGLPKNRNESSAYAFCS -TQPHSAEPDYGAMCRSSPAFLPAEITDFLQISQIPTLTAIRAVSFQQAVPRNALMTMNVSLTATDLLNTS -LETVSRGFAQYRGSILIRMLYTGNQMQNVRFVAAYTPPGADPPENLQQAMDGIYTIFDTGLNAGNDFVIP -FISTTDYRYCNAPGGIEVGSGGYFTIWQLTTLAVPPGSPPTAELLIFAATGRDFEWRCVSSPYLLLEGED -SQVTPAETGQTPDVTAENSNQETVPIPYCPRKISHSAVRFWYDRFFLADAISVGVKGSAATVVALTWPDI -LRRVPEIQHFMHATYCRFELEIAMRPWNQDDVDYEMVFWPPGSFIPGEYDDWGATAARRMRRTGPLPRWT -WNSKRTPCFTTRIPFTSPASVLTQTYTGWNNTTHTAENFGTTPGLNTLGSLTITQGASSGTRSVLTYVSY -RFVDIQLWCPRPGMAIDPPAQSSGATNFSLLRLAGDVELNPGPSVLSIARKFDKDLDGMFSKFEQMQESL -KKITDFANWIDVFNNVDKKKWFKRIIKFLSYGVILSRARHDPLLAAATAFLLSGDWLTKLCVKMCKWLCD -HAETKPPPLPSSGDDPDEGTSDGKIKKKKEKQDNVFTDVPEFLKAFQKLENKPLSINSGDITRKTDDVPL -IDLNNLGPKIDYPGENNPFGESLADKLARDNERLIKQAQEEYARRCANPFEESDAEPEKFLDKFKRFFNK -TPKLEGPILQEMNQVLVLCRNAQWFAKQIQKVLDWLGIWKQQEEDASEEKFREKMKLYPKMMEKYEQYKN -SPRHNCWTECKKWFDDMRRLAVLHDPKLVNLFPNMASIPHENSRQEPILIVLRGKPGQGKSVAASMLAQM -FAHSLSGKPDYYSYNSSTNYFDGYQQQPVVLIDDLGQDPAGTDFSVFCQMISTTPFLPNMASLNDKGIKF -KSDIIIATTNLPEFKPVTIADPGALQRRINFDFEVEAGQSYKTRNGTLDLAKALEPTGCSAPLHMVKSDI -HLFSSACLKFKDRTARCECSLVEVYDRVMNSHKRRNDLANRLVEIFNFQGPRRDHDPRNYVETYPIPVPR -KKDVEKWCDLAIAQEPHDDEVLSFLRKHCDHAIFGAYLRRFYGAGPDPTKPPSNFNLRRALDMMSIITQV -LALLLMIMSIGIVIWQIFSLEGAYSGNAVVREKKKPNGLKVIDIASLQGPMNFDLEKSLLARNIVTVRCR -RRDGSIFETGGLAIRGRVVVINYHLWNDSEYLQLDGEWMHRDQVPAIRPAANGMPTELVFMNWAHTPGRQ -FRDITNYFPRGGEGHFKLSPAAKITGICGHMQPGFMFTAESLGTAESARTWETVIPMVLKYKAQTAPGFC -GSVIVVDNGIWKKVFGLHCAGAHGVGMAAIISREMLDAVATLAEFQGRIHSAKDHPYIYTPHRTQLYPTV -ACNDETTVEPAALSPNDKRLQRPEEFKRTILAKHVGDRTDGPTAMLRGSRHKVRLVRAKCGPVNERLSLH -EAVFGTDNLDPMDQTRSPGWPYIGSKRRPELLWQTDNGLELDPVLRAELMLMMEGDFSNHIFVTFLKDEL -RDKEKVKQGKTRVIDIASYGHAIMGRVLFGRLAAAMHAHNGVELGSAVGTNPDIDWTRYAAEFKFKNFVD -VDYSGFDATHSSFSFHCLKVFLKELGFDEIALKYVDSLCDSTHIWDDEIFQITGGLPSGCSCTSIFNTII -NNIVVRSLVPEVYDGEFQMLAYGDDLVLCSQDTFSVEKYKEILEEVTNYRITPASKTGTFEWTGLSGVVF -LKRYFYQDGLLVRPVMTYKNLHNILSWARAGTVQEKLNSVARLAQHRGEQDYNKLMEPFVDCGYVVPSFD -DLEFEFFSLFFG ->AFO66759.1 polyprotein [cardiovirus A2] -MATTMEQEICAHSMTFEECPKCSALQYRDGFYLLKYDEEWYPEELLTEGEDDVFDPDLDMEVVMETQGNS -TSSDKNNSSSEGNEGVIINNFYSNQYQNSIDLSANATGNAPPKTYGQFTSLLSGAVNAFSSMIPLLNDQD -TEEMENLSDRVSQDTAGNTATNTQSTVGRLVAYGKTHDGAHPGSCADTASEKILAVERYYTFKVAEWTAT -QKAFEHIRIPLPHILAGEDGGVFGAALRRHYLVKCGWKIQVQCNASQFHAGSLLVFMAPEFPTTSVFRKD -SAWITDLDAVLTGTRKASETKSPFGMDHQNYWQWTLYPHQFLNLRTGTTVDLEVPYVNIAPTSSWTQHAN -WTLVIAVIAPLTYIQGASTNIDITVSLQPVKPVFNGLRHEMAYTQSPIPVSIREHAGTWSSTLPDSNVPI -YGKTPVAPSNYMVGEYTDLLEIAQLPTFVANNLTAPVPYIEAGQTVSFTSPLGTYQVTLACSCLANSFLA -AMSRNFAQYRGSMVFTFVFTGTAMMKGKFLISYTPPGAGKPQTRDQAMQSTYAIWDLGLNSSYVFTVPFI -SPTHFRMVGYENATITNVDGWVTIWQLTPLTFPPGCPNTGKILTMVSAGKDFTVKMPISPAPWSPHGVDN -IEKGVVETTDASADFVAQPVYLPENQTKISFFYDRSSPLGAFTLKSDSFEGSFSFQQLNDCPNSFILTPT -PQYDITDGAQRPERITTITPLNSESTFPLKSKQDYAFCLFSPFTYYKCDMEVTISPHIPQPNGMIVRWAP -TGAPSKPTRQTAHWLSSLTDGRTPTLYSCGPGTSNQISFTVPYNSPLSVLPAVWYNGHKSFDNSGNLGIA -PNSDFGRVFLSGSRNDTRVTAHIRYKNMRVFCPRPTVFFPWPTAEEKVRMEIRAGTLYLESPPLQPFRQS -AANRLDTFQTFPVVHMLMEFNQRGIEVRIFRHGVFWAEMYAEKILRSQSKQIAFLANGSHPGCDTGSPDN -PWKRVYHVILRAEPHRVHMQIYRKRIRPFRLPVVEKEWRYREENIFSLIHTFKQHYAGYFSDLLIHDVET -NPGPFVFKSRKQVFQTQGAALSSMVNTLVPNNLASQALGSAFTALLDANEDAQKAMKIIKTLSSLSDAWE -NVKETLNDPEFWKQLLTRCVQLIAGMTVAVMHPDPLTLLCLGILTAAEVTNQTSLCEEIVSKFKNLFKTP -APKFPPISLFQQQSPLKNVNDVFSLAKNLDWAVRTVEKVVDWFGAWVAQEEKEQNLDILLQRFPEHAKRI -SDLRNGMAAYVECKESFEFFEKLYNQAVKEKRTGIAAVCEKFRQKHDHATARCEPVVIVLRGDAGQGKSL -SSQIIAQAVSKTIFGRQSVYSLPPDSDFFDGYENQFAAIMDDLGQNPDGSDFTTYCQMVSTTNFLPNMAS -LERKGTPFTSQLVVATTNLPEFRPVTIAHYPAVERRITFDYSVSAGPMCSRTEAGQKVLDVERAFRPTGE -EAPLPCFQSDCLFLNKAGIQLRDNRTKEIISLVEVMERAVARVERKKKVLTTVQTLVVQAPVDEVSFASV -VQQLKVRQEATDEQLEELQEAFARVQERSSIFSDWMKISAMVCATTLALTQVVKMAKSVKQMFKPDILRV -QVDENEQGPYNEGARVKPKTLQLMDIQGPNPAMDFEKFVAKNVASPIDFIYPTGTRSQTCLLIKGRTIAV -NKHMVETDWEAIRVKGIQHKRDDVKILAIAKAGKDTDVCFLKLQSGPLFKDNTSKFVKASDVLPHSSAPL -TGVMNVEFPMMYTGSFLKAGVSVPVETGQTFNHCIHYKANTRKGWCGSAVVAELAGAKKIIGMHSAGSMG -IAAASMISQEMIKAVLNTFEPQGALARLPDGPRIHVPRKTALRPTVARQIFQPGFAPAVLSKFDPRTDAD -VDEVAFSKHTSNQETLPPVFRMVVREYANRVFTMLGKDNGKLSVKQALDGLEGMDPMDKNTSPGLPYTAL -GMRRTDVIDWESGTLIPFAAERLEKMNNKDFSDIVYQTFLKDELRPIEKVKAAKTRIVDVPPFEHCILGR -QLLGKFASKFQTQPGLELGSAIGCDPDVHWTKFGVAMQGFQRVYDVDYSNFDSTHSVAMFRLLAEEFFTP -ENGFDPLVAEYLESLAISTHAFEEKRYLITGGLPSGCAATSMLNTIMNNIIIRAGLYLTYKNFEYDDIKV -LSYGDDLLVATDYQLNFDAVRTSLAKTGYRITPANKTSTFPLESTLADVVFLKRKCKKEGPLYRPVMNKE -ALEAMLSYYRPGSLSEKLTSVTMLAVHSGKEEYDRLFAPFREVGVIVPQCESVEYRWRSLFW ->BAP82379.1 polyprotein [Human cosavirus A] -MGANNSKESVSSNGNEGTIVNNFYSNQYYASIDASAQGVGTSTTPENGNVSGFLGLASSAFNALSLLASP -IVETTRFLEDRVLTRQAGNTAVNSQASEGVLCAYGHLTDKKPPTSCSDKPSEGTDATDRNFVIQLLAWDK -TKNAYDAQWVRISQELRSEDKGNVFAKNMKTHSYFKAGYEVTLQINTSPFHCGLIGLFLVPEFTRPGPLN -LTWKSLAERRPIISDTDIWEAQNYGTDRVFDTDNSFDMGDMTPEQFFLFPHQLINPKDTNIATVRVPYVN -IAPSNDPTVHTVWTAVVMIIVPLNYASGASPTVSMTMTIAPVGSVFNGLRHTAQGPIPTRPFHNFSQFNS -TVPLRTEPCYGMTVTPPLDYMPPPIDDLMSLVKVPSFLTVDNGDVSGKGIPYFSISNGTQGSLLFSTGVV -LSDPHYQHTLLSNLSHYFCNYRGSLQFDFVSATTAMTRGKLLLAYTPPGAGKPNTIDQAMMGTFSIWDLG -LQSTFNFVIPFISASDFRYNIVSVSSALNADGWFTVWLMNPLTYPPGTPPTQQIAVMLSAGEDFSMRLPI -SPVYAQNGDESQAPGPHDNVESGTSNTQDASLTGGHSISLPTPHTRCAFFFDRYRFIGIVKSTTRNSPKP -RNPIKASTNKIMNLAEYYYSQPYGAQPQDLIGLTPVPNLNGIPISGFAMAKAPGSGKRLLLTSGDPLLYG -CCPFTYVKFDLEFTVVPPPNFANDYIVHWYPPGAPLDNLEMMFGMTSGESPFPIADNGENCSSAIMSYNP -TFYGRAGTKVSAVIPFCLPVSLLPLYFDGYPDYSKTPGLYGTSPSSTFGSIFIESDNTYKFRIYIRYKDF -QGYLPRPLIRTKHVQLESRNRLNYAGTPLAREIRQERTVREIARQLLQIAGDVESNPGPAFNSEYTAHGP -VTDLIQLARKPETVDNVNRLLTTLNTLMAKWNNLKDTVTDAVFLRDMVCLLVKLTSLMYLVHGQGPGAYF -AAASILLADGITFFDWYEKIKIFMARKLRVSPPFFPAAQGPDLRDFVTFFNAARGAQWMIDSLKALITWI -KQWLELEEENEAVQLEKMLIDSPRHCKAINDYNRGDSFQRPTNSFEFMDRLVECATKLGKVQIATYFRNF -TTADSDTSRPEPVVVVLRGKPGAGKSAAATVMAAAVSKLLVGSQSVYTLSPDTEHMDGYHGQFVTLMDDL -GQNPDGEDFRCFCQMVSCAQYRPAMADLKDKGILFTSRLLIATTNLPDFNPVTISDPRALDRRITFDILV -TPGSAATKNGKLDLAAALKPDGPGEHPYTSDCPILHTTGLLLKNLRNNQTMNLKDLVDMIVKRIKHKKEV -GNMLDSLVAQGPTVIVGYTKDDDGIAIVDCLEEWNKIKDKKKKQLALEMVAQELKDKHEEHKSTIKLLKM -FVTGLGVVAAVAGAYATMKYFKKDKPKEDEEESEEKKEKKTEESKEAAGPYNGPTKKEIKTLKLKAQSPL -MDMEKKIAQNVMPFQIFYNGKRYTQSCLAIGKRVILVNKHAFESVEHKFVVDQREYNLDQVTAISLDCGS -GITDVCAVCLPPGPDFKSIKKHFLPFNTTMFPGTRLTILSNDHYPMSREGSFLRFEDEVPTNVGNMPFVM -LYKSTSYFGMCGSVVCSRFVDGGGIIGMHCAGGGGVSVGTRLTARMVESVFDYFYPPVAQGIIENTETGP -RVHVPRTSKLKRTNATYPATEKFGPAALSRYDPRLNEGVNLDEVIFSKHTQNTLVEKGSTFRSALDMAAE -IYGEKFRGNDFSPLSVEDAILGIPGLDRLDPNTASGLPYTQTRRQMIDFNTGQILDDTLKCRLGQWLAGR -PPQEVHYQTFLKDEIRPIEKVKAGKTRIIDVPPLDHVIAFRMLFGRFIAHYHLNFGFKTGSAIGCDPDVA -WASFGFELSGFPYLYDFDYSNFDASHSTSIFEILEHKFFSPELGFDPRCSLLLKSLAVSTHCYENKRLQI -AGGLPSGTAGTSVLNTVINNIIFHGALYHTYTNFEWDDISMIAYGDDIVVASKFELDLVMVKAFMNRIGY -KITPADKSDEFRPKCMDDICFLKRRFVKVAGVWAPVMETENLEAMLSWYKPGTLNEKLQSVSRLAHFSGR -DIYDHLFKPFIRDGFDVTPWKQLHLEWLNKLSA ->AAT01719.1 polyprotein [Foot-and-mouth disease virus A] -MPEQVTGGRHLSLQPLIFMNTTNCFIALVYAIREIKTLFFSRATGKMEFTLHNGEKKTFYSRPNSHDNCW -LNTILQLFRYVDEPFFDWVYNSPENLTLDAIKQLEELTGLELHEGGPPALVIWNIKHLLQTGIGTASRPS -EVCMVDGTDMCLADFHAGIFLKGQEHAVFACVTSDGWYAIDDEDFYPWTPDPSDVLVFVPYDQEPLNGDW -KALVQRKLKGAGHSSPATGSQNQSGNTGSIINNYYMQQYQNSMDTQLGDNAISGGSNEGSTDTTSTHTTS -TQNNDWFSKLASSAFTGLFGALLADKKTEETTLLEDRILTTRNGHTTSTTQSSVGVTYGYSTEEDHVAGP -NTSGLETRVVQAERFFKKFLFDWTTDKPFGHLEKLELPTDHRGVFGHLVDSYAYMRNGWDVEVSAVGNQF -NGGCLLVAMIPEWKDFDKREKYQLTLFPHQFISPRTNMTAHITVPYLGVNRYDQYKKHKPWTLVVMVVSP -LTVSDTAAPQIKVYANIAPTYVHVAGELPSKEGIFPVACADGYGGLVTTDPKTADPVYGKVYNPPRTNYP -GRFTNLLDVAEACPTFLCFDDGKPYVVTRTDNTRLLAKFDVSLAAKHMSNTYLSGIAQYYTQYSGTINLH -FMFTGSTDSKARYMVAYIPPGVETPPDTPEGAAHCIHAEWDTGLNSKFTFSIPYVSAADYAYTASDTAET -TNVQGWVCVYQITHGKADGDTLVVSASAGKDFELRLPIDPRPQTTAAGESADPVTTTVENYGGETQVQRR -HHTDVGFIMDRFVKINSLNPTHVIDLMQTHQHGLVGALLRAATYYFSDLEIVVRHDGNLTWVPNGAPEAA -LSNTSNPTAYNKAPFTRLALPYTAPHRVLATVYNGTSKYSVSGSRRGDLGTLAARVATQLPTSFNYGAIK -AQTIHELLVRMKRAELYCPRPLLAIEVSSQDRHKQKIIAPAKQLLNFDLLKLAGDVESNPGPFFFSDVRS -NFSKLVETINQMQEDMSTKHGPDFNRLVSAFEELAAGVKAIRTGLDEAKPWYKLIKLLSRLSCMAAVAAR -SKDPVLVAIMLADTGLEILDSTFVVKKISDSLSSLFHVPAPVFSFGAPILLAGLVKVASSFFRSTPEDLE -RAEKQLKARDINDIFAILKNGEWLVKLILAIRDWIKAWIASEEKFVTMTDLVPGILEKQRDLNDPSKYKE -AKEWLDNARQACLKSGNVHIANLCKVVAPAPSKSRPEPVVVCLRGKSGQGKSFLANVLAQAISTHFTGRT -DSVWYCPPDPDHFDGYNQQTVVVMDDLGQNPDGKDFKYFAQMVSTTGFIPPMASLEDKGKPFNSKVIIAT -TNLYSGFTPRTMVCPDALNRRFHFDIDVSAKDGYKINNKLDIIKALEDTHTNPVAMFQYDCALLNGMAVE -MKRMQQDMFKPQPPLQNVYQLVQEVIDRVELHEKVSSHQIFKQISIPSQKSVLYFLIEKGQHEAAIEFFE -GMVHDSVKEELRPLIQQTSFVKRAFKRLKENFEIVALCLTLLANIVIMIRETRKRQKMVDDAVNEYIEKA -NITTDDKTLDEAEKNPLETSGASTVGFRERTLPGQKARDDVNSEPAQPAEEQPQAEGPYAGPLERQRPLK -VRAKLPQQEGPYAGPMERQKPLKVKAKAPVVKEGPYEGPVKRPVALKVKAKNLIVTESGAPPTDLQKMVM -GNTKPVELILDGKTVAICCATGVFGTAYLVPRHLFAEKYDKIMLDGRAMTDSDYRVFEFEIKVKGQDMLS -DAALMVLHRGNRVRDITKHFRDTARMKKGTPVVGVINNADVGRLIFSGEALTYKDIVVCMDGDTMPGLFA -YRAATKAGYCGGAVLAKDGADTFIVGTHSAGGNGVGYCSCVSRSMLLKMKAHIDPEPHHEGLIVDTRDVE -ERVHVMRKTKLAPTVAHGVFNPEFGPAALSNKDPRLNEGVVLDEVIFSKHKGDTKMSEEDKALFRRCAAD -YASRLHSVLGTANAPLSIYEAIKGVDGLDAMEPDTAPGLPWALQGKRRGALIDFENGTVGPEVEDALKLM -EKREYKFVCQTFLKDEIRPMEKVRAGKTRIVDVLPVEHILYTRMMIGRFCAQMHSNNGPQIGSAVGCNPD -VDWQRFGTHFAQYRNVWDVDYSAFDANHCSDAMNIMFEEVFRTDFGFHPNAEWILKTLVNTEHAYENKRI -TVEGGMPSGCSATSIINTILNNIYVLYALRRHYEGVELDTYTMISYGDDIVVASDYDLDFEALKPHFKSL -GQTITPADKSDKGFVLGHSITDVTFLKRHFHMDYGTGFYKPVMASKTLEAILSFARRGTIQEKLISVAGL -AVHSGPDEYRRLFEPFQGLFEIPSYRSLYLRWVNAVCGDA ->APY24210.1 polyprotein [Canine picornavirus] -MSSMCKCGSYACKGCWMNKICKCQRASCTGCWMNSPEFIVQDLRVGPERAKKRQHDFSPVDLKRLKSEGA -MESKPSNNNSNNEGTVINNYYANSYYGSIDASGQGFGKPSSTAHQLLGGVGSLMGNLLKDQETEETTNLS -DRVYTEKVGNTAINTQSFVGRLLGYAKRHTGRAIMSASDEPAVASPSTQRFFSIRLAQWTASQGPYDYIT -FTPTRRLLQGAGVFSTMLQNHTLFKSGWRVQVQVNASQFHSGALLVFFAPEFLKAQISDEEITHEWDDLI -NWQNPHLNAWDKVDSKINLFSQTPEQWTLYPHQILNLRTNSTVSLEVPYVNCTPGEYCDNHSSWTLVICV -LSKLTFPSGSSSALDVNLSIAPVKPVWHGVHHPPMVGQAPIPVRVRENFSQFLTTIPDKTDPCYGNVAGP -KHFLPAEIKNFVEIAQMPSFLSITTGSVEGPTFSVGSYSENPCLQFNVIITDKHLFRTSLCAASLNFVNY -RGSLVLNFTFVGSAMCFGKFLCAYTPPGAGKPSSIEEAMLGTYAIWDVGLNSTFRMVIPYVSLSEFRLCY -SKVTSPLDAAGWFSVFQYTQLTFPAGVPNSANVLVTACGGTDFELRLPYTPMVNEDTGNAETGLPDIPSP -GTDFLSSDVAQYSTFASSLQHFYDRSLYFGVLGVTSRLASTARKRVLTPFFVKDDDITIGREKLTQFCYP -FVASTCFSYWRSDLEITVVPNGLKTGETYSIVWVPVAGHDSSEGRSYLGEEKINKILMTNTPVATAVSPN -PVSFMIPYTSPLSHLPVTFDGHGSYDIAKSRYGVAPAAHFGTLFCFVNSQVNGTVSLFLRFKNFHAYCPR -PFFMVMRNWKTDDHRGRLRLNGNTISLKSQGWIRDLILDGDVESNPGPADTLMQAAMKDLMSGECFKPEE -KKVFQTLLSKLKSVNGKSKNMAPTAKAKVDEDMAAFQRFLESDDPIETLVNGWDTVRQMQEIYKGVKEKF -SSNSFWYQLFLKFAKFLVMVMTWAANPTPSVTLGMMAIATLDALSTSSLVNFVKSFLEPKLGAPPCEPKC -PKNNKLFGSLRKLFNCGGKLQDESWDSVTKANHGFNLLKNLDWVVKLIVSIVDWIRSWFEKKELTDQCKL -AEMMEQFGEKAAEISNYRCGNLCDEPKEAFKWMKELFDLATKTQKTNIAVLAQKYLSNKSNDKARMEPIV -VVLRGKPGAGKSIASQLLAQAVSKMNAGFQSVYSFPPDSDHLDGYSGQYAVIMDDLGQNPDGKDFATFCQ -MVSTTNFIPSMAHLSEKGRPFRSNFIVATTNLAEFRPVTIADPGAVDRRITFDLTVTPGSACTIQGKLDL -AKAITPDGPALGPFKQQCEILHKTGLKFTCNRNRKLEMSLLELIDAINEEINRKTKVLTSFTSLVFQGPM -NGCVVPEREADMIEMINLEPEFKGKLIDEIKMVKQEVVSLRQLQQEFYKFSLFISLASSALWIGYKIYDW -YAGKKDDSEELIEKVVEKPEPNVKPEVKAKFLEPGEPQAAYEGIVKKQKVQKLRLEGPKHTKQESQGPAQ -ANQDFERFVATHVVSTFSVHIKDKIYSQSCILVSERALLVNTHTWQQEFQCFEVRGFKYTKEQCEFVDLV -VDDIYTDMTMVKLPPGQKFKNNVSKFMSKKDPFPQRTTSVIGISANGPLFYSGKILRSPATLEIQRGLTS -NMFLYQASTANGYCGSAVVGVSGGRKCILGVHSAGASGIAGGVWITQEHLRQALRYFDFSASLESQGKLT -VVGNGPTVHVVRKTKLKPSVAFPIFKPDAGPAVLSGKDKRLDEGIDFDKGLFSKHTGDLKKLPHEFRVAA -EWYANEIFNLLGKDNSPLSVHDAIVGYEWLDGMDPKTSPGLPFSMQSVSRTDLIDFETGTVISRELAQIY -NKFVDGDYSDHCFQTFLKDEIRSNAKIKVGKTRIVDVPNLAHVLLGRVLLGRFCSKMHANPGTETGSAIG -CNPDVDWTKFAQELIQKRYVYDVDYSNFDATHSSAMFDLVKEVFFSPKNGFSPDLGPYLDSLKTSTHAWL -DERYLIEGGLPSGCSATSILNTVMNNIIIRALLLLTYKNFECDDILVLSYGDDLLVASDYQIDFNRVKRV -AEEHTNYVLTTANKAPTFPLESSLLDVQFLKRRFVPFDVLNFVFRPVMATDNLKTMLSFYQPGSQAAKLI -SVAQLAFHSGYDIYEMLFSPFREAGFKVPSWWILEDSWQQGFY ->ACG55799.1 polyprotein [Theiler's encephalomyelitis virus] -MACKHGYPDVCPICTAVDATPNFEYLLMADGEWFPADLLCVDLDDDVFWPSDTSDQFQAMDWTNVPFIRD -IVMEPQGNASSSDKSNSQSSGNEGVIINNFYSNQYQNSIDLSASGGNAGDAPQNSGQLSNILGGAANAFA -TMAPLLLDQNTEEMENLSDRVASDKAENSATNTQSTVGRLCGYGKSHHGEHPASCADAATDKVLAAERYY -TIDLASWTTSQEAFSHIRIPLPHVLAGEDGGVFGATLRRHYLCKTGWRVQVQCNASQFHAGSLLIFMAPE -FYTGKGTKTGTMEPSDPFTMDTEWRSPQGAPTGYRYDSRTGFFAMNHQNQWQWTVYPHQILNLRTNTTVD -LEVPYVNVAPSSSWTQHANWTLVVAVLSPLQYATGSSPDVQITASLQPVNPVFNGLRHETVIAQSPIPVT -VREHKGCFYSTNPDTTVPIYGKTISTPSDYMCGEFSDLLELCKLPTFLGNPNSNNKRYPYFSATNSVPAT -SMVDYQVALSCSCMANSMLAAVARNFNQYRGSLNFLFVFTGAAMVKGKFLIAYTPPGAGKPTTRDQAMQS -TYAIWDLGLNSSFNFTAPFISPTHYRQTSYTSPTVTSVDGWVTVWQLTPLTYPSGTPTNSDILTLVSAGD -DFTLRMPISPTKWVPQGVDNAEKGKSSNDDRFGDFVAEPVKLPENQTRVAFFYDRAVPIGMLGPGQNMET -TFSYLENDFRLNCLLLTPLPSFCPDSTSGPVKTKAPVQWRWVRSGGTNGANFPLMTKQDYAFLCFSPFTY -YKCDLEVTASAIGTDTVSSVLRWAPTGAPADVTDQLIGYTPSLGETRNPHMWIVGSGNSQISFVVPYNSP -LSVLPAAWFNGWSDFGNTKDFGIAPTSDFGRLWIQGNTSVSVRIRYKKMKVFCPRPTLFLPWPTPTTTKI -NADNPVPILELENPAALYRIDLFITFTDEFITFDYKVHGRPVLTFRIPGFGLTPAGRMLVCMGEQPAHGP -FTSSKSFYHVIFTATCSSFSFSIYKGRYRSWKKPIHDELVDRGYTTFGEFFRAVRGYHADYYRQRLIHDV -ETNPGPVQSVFQPQGAVLTKSLAPQAGIQNLLLRLLGIDGDCSEVSKAITVVTDLVAVWEKAKTTLVSPE -FWSKLILKTTKFIAASVLYLHNPDFTTTVCLSLMTGVDLLTNDSVFDWLKHKLSSFFRTPPPACPNVLQP -QGPLREANEGFTFAKNIEWAMKTIQSIVNWLTGWFKQEEDHPQSKLDKLLMEFPDHCRNIMDMRNGRKAY -CECTASFKYFDELYNLAVTCKRIPLASLCEKFKNRHDHSVTRPEPVVVVLRGAAGQGKSVTSQIIAQSVS -KMAFGRQSVYSMPPDSEYFDGYENQFSVIMDDLGQNPDGEDFTVFCQMVSSTNFLPNMAHLERKGTPFTS -SFIVATTNLPKFRPVTVAHYPAVDRRITFDFTVTAGPHCQTPAGMLDIEKAFDEIPGSKPQLACFSADCP -LLHKRGVMFTCNRTKAVYNLQQVVKMVNDTITRKTENVKKMNSLVAQSPPDWQHFENILTCLRQNNAALQ -DQLDELQEAFAQARERSDFLSDWLKVSAIIFAGIASLSAVIKLASKFKESIWPTPVRVELSEGEQAAYAG -RARAQKQALHVLDIQGGGKVLAQAGNPVMDFELFCAKNIVAPITFYYPDKAEVTQSCLLLRAHLFVVNRH -VAETDWTAFKLKDVRHERHTVAIPSVNRSGAETDLTFIKVTKGPLFKDNVNKFCSNKDDFPARNDTVTGI -MNTGLAFVYSGNFLIGNQPVNTTTGACFNHCLHYRAQTRRGWCGSAIICNVNGKKAVYGMHSAGGGGLAA -ATIITKELIEAAEKSMLALEPQGSIVDIATGSVVHVPRKTKLRKTVAHDVFQPKFEPAVLSRYDPRTDKD -VDVVAFSKHTTNMESLPSIFDVVCGEYANRVFTVLGRENGLLTVEQAVLGLPGMDPMEKDTSPGLPYTQQ -GLRRTDLLDFNTAKMTPQLDYAHSKLVLGVYDDVVYQSFLKDEIRPIEKIHEAKTRIVDVPPFAHCIWGR -QLLGRFASKFQTKPGLELGSAIGTDPDVDWTRYAAELSGFNYVYDVDYSNFDASHSTAMFECLIDNFFTE -QNGFDRRIAEYLRSLAVSRHAYEDRRVLIRGGLPSGCAATSMLNTIMNNVIIRAALYLTYSNFEFDDIKV -LSYGDDLLIGTNYQIDFNLVKERLAPFGYKITPANKTTTFPLTSHLQDVTFLKRRFVRFNSYLFRPQMDA -VNLKAMVSYCKPGTLKEKLMSIALLAVHSGPDIYDEIFLPFRNVGIVVPTYSSMLYRWLSLFR ->ACG55800.1 polyprotein [Theiler's encephalomyelitis virus] -MACKHGYPDVCPICTAIDATPGFEYLLMADGEWFPTDLLCVDLDDDVFWPSHSSNQSQTMEWSDLPLVRD -IVMEPQGNSSSSDKSNSQSSGNEGVIINNFYSNQYQNSIDLSASGGNAGDAPQTNGQLSNILGGAANAFA -TMAPLLLDQNTEEMENLSDRVASDKAGNSATNTQSTVGRLCGYGEAHHGEHPASCADTATDKVLAAERYY -TIDLASWTTSQEAFSHIRIPLPHVLAGEDGGVFGATLRRHYLCKTGWRVQVQCNASQFHAGSLLVFMAPE -FYTGKGTKAGVMEPTDPFTMDTEWRTPQGAPTGYRYDGQTGFFAMNHQNQWQWTVYPHQILNLRTNTTVD -LEVPYVNVAPSSSWAQHANWTLVVAVLSPLQYASGSSPDVQITASIQPVNPVFNGLRHETVIAQSPIAVT -VREHQGCFYSTNPDTTVPIYGKTISTPSDYMCGEFSDLLELCKLPTFLGNPNSNNKRYPYFSATNSVPTT -SLVNYQVALSCSCMCNSMLAAVARNFNQYRGSLNFLFVFTGAAMVKGKFLIAYTPPGAGKPASRDQAMQA -TYAIWDLGLNSSFNFTAPFISPTHYRQTSYTSATITSVDGWVTVWQLTPLTYPSGTPTNSDILTFVSAGD -DFTLRMPISPTKWVPQGIDNAEKGKVSNDDASVDFVAEPVKLPENQTRVAFFYDRAVPIGMLRPGQNLAS -TFVYQEKDLRLNCLLLTPLPSFCPDSNSGPLKTKAPVQWRWVRSSGTNGTNFPLMTKQDYAFLCFSPFTY -YKCDLEVTVSALGTDTVASVLRWAPTGAPADVTDQLIGYTPSLGETRNPHVWLVGAGNSQISFVVPYNSP -LSVLPAAWFNGWSDFANTTDFGVAPNADFGRLWIQGNTAASVRIRYKKMKVFCPRPTLFFPWPPAATTKI -NADNPVPILELENPAAFYRIDLFITFTDEFITFDYKVHGRPVLTFRIPGFGLTPAGRMLVCMGEKPAHGP -FTSSKSLYHVIFTSTHNSFSFTVYKGRYRSWKKPIHDELVDRGYTTFGEFFKAVRGYHADYYKQRLIYDV -ETNPGPVQSVFQPQGAVLTKSLAPQTGIQNLLLRLLGIDGDCSEVSKAITVITDLVAAWKRPKTTLVSPE -FWTKLILRTTKFIAASVLYLHNPDLTATICLSLMTGVDILTGDSVFDWLKNKLSSFFRTPPPPCPPVLQP -QGPLREANEGFTFAKNIEWATKTIKAIVDWLTSWFKQEEDHPQSKLDKLLMDFSDHCKNIMDMRNGRKAY -CECTASFKYFDDLYNLAVTCKRIPLASLCEKFKNRHDHSVTRPEPVVVVLRGAAGQGKSVTSQIIAQSVS -KMAFGRQSVYSMPPDSEYFDGYENQFSVIMDDLGQNPDGEDFTVFCQMVSSTNFLPNMAHLERKGTPFTS -SFIVATTNLPKFRPVTVAHYPAVDRRITFDFTVTAGPHCKTPNGMLDIEKAFDEIPGSKPQLSCFSADCP -LLHKRGVMFTCNRTQTVYNLQQVVKMVNDTITRKTENVKKMNSLVAQSPPDWEQFENILTCLRQNNAALQ -DQLDELQEAFAQARERSDFLSDWLKVSAIIFAGIASLSAVIKLVSKCKESIWPTPVRVELSEGEQAVYAG -RARVQKQALQVLNIQGGGKVLAQAGNPVMDFDLFCARNMGAPITFYYPDKAEVTQSCLLLRAHLFVVNRH -VAETEWTAFKLRDVRHECDTVVMRSVNRSGAETDLTFMKVTKGPLFKDNVNKFCSDKDDFPARNDTVTGI -MNTGLAFVYSGNFLIGNQPVNTPTGACFNHCLHYRAQTRRGWCGSAVICNVNGKKAVYGMHSAGGGGLAA -ATIITRELIEAAEKSMSALEPQGAIVDISTGSVVHVPRKTKLRRTVAHDVFQPKFEPAVLSRYDPRTDKD -VDVEAFSKHTTNLESLPPIFDIVCGEYANRVFTVLGKDNGLLTIEQAVLGLPGMDPMEKDTSPGLPYTQQ -GLRRTDLLDFATARMTTQLDYDHSKLVLGIYDDVVYQSFLKDEIRPIEKIHEAKTRIVDVPPFAHCIWGR -QLLGRFASRFQTTPGLELGSAIGTDPNVDWTRYAAELSNFNYVYDVDYSNFDASHSTAMFECLIKNFFTE -QNGFDKRNAEYLRSLAVSRHAYEDRRVLIRGGLPSGCAATSMLNTIMNNVIIRAALYLTYSNFEFDDGKV -LSYGDDLLIGTNFQIDFNLVKERLTPFGYKITPANKTTTFPMTSHLQDVTFLKRRFVRFNSYLFRPQMDA -VNLKAMVSYCKPGTLKEKLKSIALLAVHSGPDIYDEIFLPFRNVGIVVPTYDSMLYRWLSLFR ->ABB76801.1 polyprotein [Equine rhinitis A virus] -MMAASKVYRVCEQTLLAGAVRMMDKFLQKRTVFVPHLDKTIRLTGLHNYDNTCWLNALTQLTQILGIRLF -DEHFGNRGLFTRKTIDWVSDQTGIKDLKSGAPPLVVVYKLWQHGHLDVGTMEKPRSITLWSGPKVCLSDF -WACVSAKPGHAVFYLLTSEGWICVDDKKIYPETPKTEDVLVFAPYDFESLGKDPPKLHQRYEKAFERLSG -AGTSTPTTGNQNMSGNSGSIVQNFYMQQYQNSIDADLGDNVISPEGQGSNTSSSTSSSQSSGLGGWFSSL -LNLGTKLLADKKTEETTNIEDRIETTVVGVTIINSQGSVGTTYCYSKPDGRPPSTVSDPVTRLGPTLSRH -YTFKVGEWPHSQSHGHAWICPLPGDKLKKMGSFHEVVKAHHLVKNGWDVVVQVNASFAHSGALCVAAVPE -YEHTHEKAVKWSELEEPAYTYQQLSVFPHQLLNLRTNSSVHLVMPYIGPGPTTNLAFHNPWTIVILILSE -LTGPGQMEPVTMSEAPIDAMVNGPLPNPEAPIRVVSVPESDSFMSSVPDNSTPLYPKVVVPPRQVPGRFT -NFIDVAKQTYSFCSISGKPYFEVTNTSGDEPLFQMDVSLSAAELHGTYVASLSSFFAQYRGSLNFNFIFT -GAAATKAKFLVAFVPPHSAAPKTRDEAMACIHAVWDVGLNSAFSFNVPYSSPADFMAVYSAEATVVNVSG -WLQVYALTALTSTDIAVNSKGRVLVAVSAGPDFSLRHPVDLPDKQVTNVGEDGEPGETEPRYALSPVDMH -VHTDVSFLLDRFFDVETLELSNLTGSPNTHVLNPFGSTAQLAWARLLNTCTYFFSDLELSIQFKFTTTPS -SVGEGFVWVKWFPVGAPTKTTDAWQLEGGGNSVRIQQLAVAGMSPTVVFKIAGSRSQACGFSVPYTSMWR -VVPVFYNGWGAPTKEKATYNWLPGAHFGSILLTSDAHDKGGCYLRYRFPRASMYCPRPIAPAFTRPADKT -RHKFPTNINKQCTNYSLLKLAGDVESNPGPTIFSKASADLNALSTSLGELTGMLKDLKAKAETYSPFYKM -AKMLFKLATLAVAAMRTKDPVVVVMLIADFGLEVFDTGFFFSYFQEKLQPYMKTIPGKISDLVTDAATAA -AQIPKGVYSFVSSFFETPEGVVEKQVSLRTVNDIFTLLKNSDWFIKTLVALKKWLTSWFAQEQQADDALY -SELEKYPLYKLKLKEPDTQKEARQWFKDMQQRALAVKDKGLFSLLQIPLVDLPQSRPEPVVCVLRGASGQ -GKSYLANLMAQAISLLLVGKQNSVWSCPPDPTYFDGYNGQAVVIMDDLGQNPNGADFKYFCQMVSTTAFV -PPMAHLDDKGIPFTSPVVICTTNLHSSFTPITVSCPEALKRRFRFDVTVSAKPGFVRTVGSNQLLNLPLA -LKPAGLPPHPIFENDMPIINGQAVKLALFGGEVTAFELIEMILSEVQNRQDTHKMPIFKQSWSDLFRKCT -TDEEQEMLQFLIDNKDSEILRAFVSERSILLHEEYLKWESYMTRRAKFHRLAADFAMFLSILTSLIVIFC -LVYSMYQLFKTPDEHSAYDPSTKPKPKTQEVKTLKIRTETGVPATDLQQSIMKNVQPIELYFDNELVTDC -SALGVYDNSYLVPLHLFEFDFDTIVLGGRHYKKAECEKVEFELEVNGDMVSSDACLLRVPSGPKVRNIVH -LFTNEIELKKMTQVTGIMNSPHQARTVFFGSFLTVRKSILTSDGTVMPNVLSYAAQTSRGYCGAAIVAGS -PARIIGIHSAGTGSVAFCSLVSKDALERTLPQKQGNVSRLDDDVRVSVPRRSKLVKSLAYPIFKPDYGPA -PLSQFDKRLSDGVKLDEVVFAKHTGDKEISAQDQKWLLRAAHVYAQKVFSRIGFDNQALTEKEAICGIPG -LDKMEQDTAPGLPYAQQNKRRKDICDFEEGRLKGAAKFQKDRFMAGDYSDLIYQSFLKDEIRPLEKVRAG -KTRLIDVPPMPHVVVGRQLLGRFVAKFHEANGFDIGSAIGCDPDVDWTRFGLELERFRYVYACDYSRFDA -NHAADAMRVVLNYFFSEDHGFDPGVPAFIESLIDSVHAYEEKRYNIYGGLPSGCSCTSILNTILNNVYIL -AAMMKAYENFEPDDIQVICYGDDCLIASDFEIDFQQLVPVFSSFGQVITTADKTDFFKLTTLSEVTFLKR -AFVPDGAFYKPVMDVKTLEAILSFVRPGTQAEKLLSVAQLAGHCEPEQYERLFEPFVGMYFVPTWRDLRL -QWLMKLGC ->AAA43036.1 polyprotein, partial [Encephalomyocarditis virus] -PVYSLPPDSDFFDGYENQFAAIMDDLGQNPDGSDFTTFCQMVSTTNFLPNMASLERKGTPLTSQLVVATT -NLPEFRPVTIAHYPAVERRITFDYSVSAGPVCSKTEAGYKVLDVERAFRPTGEAPLPCFQNNCLFLEKAG -LQFRDNRTKEIISLVDVIERAVARIERKKKVLTTVQTLVAQAPVDEVSFHSVVQQLKARQEATDEQLEEL -QEAFAKVQERSSVFSDWLKISAMLCAATLALSQVVKMAKAVKQMVKPDLVRVQLDEQEQGPYNETTRVKP -KTLQLLDIQGPNPVMDFEKYVAKHVTAPIGFVYPTGVSTQTCLLVRGRTLVVNRHMAESDWTSIVVRGVT -HARSTVKILAIAKAGKETDVSFIRLSSGPLFRDNTSKFVKAGDVLPTGAAPVTGIMNTDIPMMYTGTFVK -AGVSVPVETGQTFNHCIHYKANTRKGWCGSALLADLGGSKKILGIHSAGSMGIAAASIVSQEMIRAVVNA -FEPQGALERLPDGPRIHVPRKTALRPTVARQVFQPAYAPAVLSKFDPRTEADVDEVAFSKHTSNQESLPP -VFRMVAKEYANRVFTLLGKNNGRLTVKQALEGLEGMDPMDRNTSPGLPYTALGMRRTDVVDWESATLIPF -AAERLRKMNEGDFSEVVYQTIHKDELRPIEKVQAAKTRIVDIPPFEHCILGRQLLGKFASKFQTQPGLEL -GSAIGCDPDVHWHAFGVAMQGFERVYDVDYSNFDSTHSVAMFRLLAEEFFTPENGFDPLTREYLESLAIS -THAFEEKRFLITGGLPSGCAATSMLNTIMNNIIIRAGLYLTYKNFEFDDVKVLSYGDDLLVATNYQLDFD -KVRASLAKTGYKITPANKTSTFPLNSTLEDVVFLKRKFKKEGPLYRPVMNREALEAMLSYYRPGTLSEKL -TSITMLAVHSGKQEYDRLFAPFREVGVVVPSFESVEYRWRSLFW ->AJE25834.1 polyprotein [bovine rhinitis B virus 3] -MFFPRAHFMTTERLLHQLLIHTIHKKINKRNNMALELGFNNTLKTTDLDGNEKIIHGLPNRHDNCWLNAL -MQMTNWVGEGFFKNTYDNPDLIPQTIKFLTEYTGIDLSYGGPPSIVLYKIKDLLDTKVGTSKEPGDYVVS -CQGVYCLADMQAGVFMDGEEHAVFYACTALGWIRVDDENITRCIPDPANVLVFVPWDRETICDYDTEFFN -QVYLRGAGSSKPQSGNVNESGNSGSIVNNYYMQQYQNSIDATIGDKTTEGGSGSGDTAGSATHNNTTKHD -KEKDDWFSSLMSGVGSALPGAVVGLLADKKTEETTKLEDRIMSTRHRTDITTTQSSVGVVNGYALGEADQ -IVAASGTHEHVSHVSRIYMKKLFTWSTNISAGTYYSYPLPETILSEAKDYRNLLKAYALYRNGWEVHVSV -VSTMYHSGCLVVAMVPEFSETTQNGQTFDPHFAQLTLYPHQFINLRTNTTASIRVPYVGATDMDDHRLHQ -AWTLLVGIVVPMQIHNGNSDLTAIEVRASITPTNVKVAGPMPNKEGIVPVAVKSGYSGFSTTSPITADPV -YGQVVNPPRRYIPGRFTNFLDVCDACPTMARFTNKPSVSTRSSASEVLLATIDVSLVSHELSYTYLAGLA -SLYAQYRGSINMHCIYTGFVSDKAKFLLVYVPPGADKPTTLSEAQHCITYEWDTGLNSEAVFNIPYISQT -YYTSTHSSEADIGNVAGRVQIYQVTHVSTTSELLILFSSGSDFQLRCPVEPVKQVTDVGESGKYVTLDAT -QQHGDRRPAFRLHTDVSFALDRYTQLMNTGGNRTNTDKKSINLNPTQLPPDTFIKKLVDSCTYYFSDLEV -TVNAKGDVPPWANVTWHPVASPRTFTNDNFPDEENDFMTVNSNVSVGFTGPAGGGTVATFAIPYTSLYRV -LPTRYSGRTQFTQQDGFKALSYVGFGEITVAGLEQTSHRVLIRMKRTEMYCPRALYPSNTLTKITERRKL -VLVSESVNPSKQGAKNFDLLKLAGDVESNPGPTAFSKLIDDFGCLSNSMEEIARHIGDFKAMMKGAGPWY -KAFKYLWKVATVIVTITRTKDAVLVGMLLADIGLEVFDTRVMMDSLVDRFKPYFHVNPPKFDFKTEVLDK -VRDFFANDDEEEEFDDTNPFKQISLKNVNDIFNLVKNGQWLMSFFLSLRDWFRTWLESEEKFITYHDLVP -QIIEHQERLLVPDVYAEAHNWLERKREVLLQANQYALAKLCEPKVGPPPETRPEPVVVLFRGDSGQGKSF -LSNLIAQALSKLLTGRVDSIWSCPPDPDHFDGYRGQKVVIMDDLGQNPDGTDFKYFAQMVSTTAFIPPMA -ALEDKGKVFNSPVIIATTNMHEHFTPKTMACPGALVRRFTYDYVLSAKKPYIREKTETLNVRKALAASGQ -ECPCGLFEFDCPLLNGEAIELTPVRNTPTVESVYELIELVYNEVTDRRTVSDLKVLKQMGKHVTLDDIRK -RKPTVIPFSGQGYQPGRDINASPDMQEKVLKYLVKNEHLDAALNFYNEECDEEIRVKWGPSIGEYLKVKT -LWMKVKKYSHLFLTGLMLIGNMLLLYLNNKTPEEKKKKKRTKTEEDNTSKEGPYGGQAKPPVKVDKLKVN -PLITTESGNPPTDMQMVVLKNTQPISLVRDGQIVATCCALGVFGTTYLVPYHLFEESFDTLVIGDRHLKE -QDYKLDTFELRDGKVSDVAALTLNKGARVRDITSHFRDEVKVTKNSPVVGCVKNTTVGQLVFNGTAAGFK -DHIICSDGDTLPNMFVYTANTQYGYCGSGILVKDGSHTVIIGIHSAGGNGKGYASCVTRSALLALRGRER -PELEGLMLGEQPGEKVHVSRKTKLAPTVAYGVFRPNYGPAALSNSDTRLSEGVVLDNVIFSKHNSNVVLE -EKDLALYRLCAAEYASHLHNVLGKTGAPLTNVEAVLGIDGLDAMEPNTAPGLPWALQHKRRHDLLDFTTG -EMQPALAERFEQLENMNYSFECQTFLKDEIRPSEKVKAGKTRIVDVLPLEHIVFSRKYLGRFCAAMHRNY -GPNIGSAVGCDPDVAWQEFGTHFSQFKNVWAIDYTAFDSCHSTQLMSVMADEVFSDAHGFDERARYAVKS -LCKTVHAYEDKRITIDGGLPSGCSATSILNTVLNNIYVMFALKRTYPNTEMGDYSLIAYGDDLVLASDFD -YDINLVKKSFAILGHTITPEDKSDGGFTLGKSITDVTFLKRAFERDCVLGFYKPVMNSKVLEAILSFARR -GTLQEKLTSVAGLAFHSGEEEYNRLFSPFAGSFEIPSYRCLRLRWVHKMSN ->AJC98119.1 polyprotein, partial [Bat picornavirus] -MVTTYEYNMASQNCHCCFNSVLSRCSGSYSPAMSEKADALPPLPSKKTRKFHLPCVGTGASFALDEKFEE -SRIIPSNSGKVVSLVSFEIPKYDLKDEGGNSSKPSSSSNNNEGVVVNNYYANNYYGSIDATGTAVGTGGG -PESTLGSLISSAGSLAGSLLMDQETEETTNLSDRILTEKVSNTAINSQSAVGRLIAYSHFDSGNSPVSCS -DEPTVAQPGNERFYTTNLTTWTKSQASYDIITFTPARELAKMGNLYASTQSQHFLEKCGWRVQVQCNSSQ -FHTGSLLVFMAPEFPKFHAQLHNNGEDYRTWKRFEDLERLETNGNTFGTELHYWYAQTKEQWPLYPHQIL -NCRTGSSVSLEVPYVGVTPTSHRPQHNGWTLVVAVLTPLDYAQGAATQIQITASFCPVKPVWNGLRHFGL -SQQAPIPVNIRENEGQFLTTIPDRTTPSYGLVKGPSDYLPGEIHDLVQIGQIPTFVSYAESDSATPVPYF -EVLSTGAAYTKVFEANVILTDKILLRTAAAATALNFTQYSGSTIYRFMFAGSAMQRIKFLISYSPPGAGK -PANLEAASQGTYAVWDVGLNSTFEFTIPFISPSERRFTFNGNTTELDVDGWLQVYQLTSLTYPTNSPTSA -RVVVSFAFGPDFSLHNPVTPMLNEGTTNAEEGAPDVQPPSADFAATTLDVPKLTQSSIRFFYDRSFYCGT -LTARPFADDVEFGISNVMLLTPHIAIGTNQVLYRQAGHWASYSKFCYGFLAACPFTYYKCDLEITVVPRI -GFSHMTYNVHWFPSGAHLPTTTYVENNDQKVYRGVMSTQPTASNVGYKPISFTVPFTSPLSYLANDYDGW -NAFSNSKYGIAPANNFGAIMVTMEGGNAAQTRFSMYMRFKNMRAFCPRPYRMALRTINTRLRPTTTEGAP -PPHKLELFDCPPVHTDFPEDFGLDTSDDEEDYNSLEDHSDILLGGDIEENPGPDSLMDAVLKDLTQEAAN -LPPEEAKGFKQTLKNVRETAGKFAKKLKPKKPDSPKIDKEAECAFLAFLEAEDPLDTMAKGWSAIQEIQH -LWASVKRLFRDAGFWFDLCCMLLKYVISTLVWILNPTTSVTLGLAAMAAIDLVSMKGLKTKIIDYLEPRL -GPPPDIPDGLFDEPPSVLNKVRGAFGLSDQMDLKDEAGENVVKHVQAANHTLNFLKNLEWISNTVKKLFD -WLASWFKKPEATKQQVLEDKMKLFAPAVDDIQAYRAGETNVFPETSSNLIKEIFALATETGRTGLANLAS -KFMIARTNNSPRMEPVVVVLRGKPGTGKSVASHVLAQAISKQLTGRQSVYSFPPDCDHLDGYTGQYCVVM -DDLGQNPDGEDFSTFCQMVSTTNYIPPMANLEDKGRPFCSNVIIATTNLSVFSPVTVADPAAVDRRIFLD -LDVVPGSACIINGKLDLEAALEPIGPAIGPFRQDCELLHTAGLSFIDRRTRTHYSLLEIFQLVEERIKTK -TTVKKNLMNLVFEGPNDPPSFEDFILRMNLATAERDIIMREMRDLKQSVHEGKELQMQFYSLVLVIGGLA -GLCYAIFNLTQIACEYFMPDTPPEKEEVERAPFLEPAQTQGAYDGKVNKKPIATKKLQLQGPGTPDFEKF -LACHMVTSIHFNFEGQPSPSSQSALLLYERCFMVNTHTWEKDWQSFEIRGVAYTRDSCDWIDLYKEGVRT -DATVVRLPKGQMFKNNINKFISADMTFPQKNTPITAVNCQNGTLFYSGHIIRSPQTCEIIRGLSSSMFIY -SAQTYPGYCGSAITSTVKGKKVVLGMHSAGNSGTAGGIFITQQDLRRVKQYFDTKDTEQPEEKLADEGFL -TELPEGPMIHVPRKTKLKKSPAYPFFKPAAGPAVLSQNDPRLDEGVDFDKQVFSKHSANQKHYPDAFRRM -MEWYADVVFTYLGKDNGPLSIKDAIKGIDYLDAMDPNTSPGLPYSAAGIPRTDLIDFDTGEIISCALSCE -YNKYVDGDFSDHVFQTFLKDEIRSEEKIKAGKTRIVDVPSLAHVLIGRVLLGKFCSKFQASPGTVLGSAI -GCNPDSDWTGFAHQLMERTWCYDIDYSNFDSTHGTGMFELLIDCFFTERNGFSPKVAPYLRSLAVSKHAW -MDKRYQIEGGLPSGCSATSVLNTIMNNVIIRGLLSLTYQNFHPEDVVVLAYGDDLLVASDYILDFNRVRQ -VAAEHTLYKLTTANKAPDFPETSTLLNCIFLKRRFVLHSTRNFIWRPVMDRTNLETMLSFYKPNTLSEKL -LSVAQLAFHSGYQVYEELFKPFKDLQMRVPSWYLLEHEWEHNFD ->AGB67759.1 polyprotein [teschovirus A4] -MEFLYGNAGLDLCCLTGSRLAVCTLYSQLKLTMACLKIFSLKRKDKSHSYSPREIELKYNSDFAFKPRPL -APLLRLEPSDTKTRRIECAEVEYDSWYPNPLDPASLVCDFELEMLQFQGMGSSKMENGNTNNSGNTGVIN -YNFYSNSYTDAIDLSGAMSSQESNAAENAASGPSSLLKAGINAAAKIGPLLAEPNFEEYKMSDRVSIIQK -GTSTIITQNSVGSNTYTDCPKFDVTSVADEGTSGGPAVSRFVTLKAKPWTPAKPVYAFQAAHLPYALVAQ -NTPFKSLLSKHQLMKCCWIVQVQVNTTRFHGGCLGVFAIPEFNVYNKLSGQTNNYQGNMDGTVSDIWDNF -STWHNPEAMYGAWYYGHVYEQTDKHWYKPEAKQHGGISPQSLFCFPHQLINPRTNSSATLCLPFVDCGPI -TDVTVHCPWAIVVVVLRELTVATGGTPSVDINVSVAPCDVEYHGLRQNSMYQSPIPKIDIDASKALFSST -QPYMAPPVYGKIPASNPAFMPGEFLDYVQLAQIPSLVKSREIRVTSSEKTEPIFHMPLRPTDTQTMNTML -GSVTRMFSQWRGSIVIRCVFVGNQMQNCRILLAWTPQGFRATYPTKMEEAMQGHYVIYDTGIDSSADLVI -PYVAPYDFTPVRTPYTRTELPPTSSPTPVLVDTPYWSRGYITIWQYTNLASPPGSPSQADFLLFAFAGED -YVLKGPSNMAASYQGDESSPLQPAETGCDKAMTNNASNENPVSLPVESIGSSRVDFFWNRYFHIATIKEV -SSTKPQYLRLSISDILLDPVIRQSLHATYMRCGLSIAVRVMPKNPSSTICMDGLTINMLYVPPGSSWAIN -DVGTEAIELAYESTQNYALPSFTWKPNQTPVFSCSIPYVSFTTVLPTAYSGFESTSSIPKRNNQIPQDFG -FGMLVLRSSMPSPNQLVASVWVKFNNVRLFCPRPGIHDDGSYSNNSTPIPDTETGQLSAAMTNLAFQGPG -ATNSSLLEQAGDVEENPGPSLSKLYQILKDPAVDALCEAYDELKKFKEQATNLLDSFSGDSENPWLNKFV -KYLGYAILAWKSLHDPMTAAAVCFIIGSDVTAFVVSKLVKHLKKFAKTDPPPVPKPRSCKKEGCCCGNRH -NYPDELNPFSESGFWSRFKKGHFQGPIQDASSLINILKGAEWIYHQFEKIIKWLKTWRTAEYEVSSDFLQ -NEMKNYPHYYKKYKECANNYRHPDRAEVANYFQKMRKCAAHVNPRLMGMFPEFDPSPPDPTRPEPVVVVL -RGAPGQGKSVCSEMLARMLSYTLVGKSSYYAFNSATKHFDGYKQQAVTIIDDLGQDTSGEDFRLFCQLVS -TTECIVPMADLPDKGMHFKSEILIANTNLPSFNPITVSDPAAIKRRIFLDLTVEANRAYAKPDGTLDLVR -ALQPTGRESQSPLLRQDHNILYADCVAFKHGKHTLSFLEVFEMIKRELQRRQNVSNSLTNLFTFQGPSDD -FWFTTFYKKWNLRAKENSEEKLILELIRYCHGSDMLKEYCQLAAANKEKKTDYWNMVRIIEEILAALTLI -LSLISIMIVMYQLFFQGPYETTATKPAKPNRQSLLKLVEMQGPKGQANMEMERTLMKKNIVEMTYEKHNG -KFQTTTVLFVRDRIFLINTHILTGIKNFHYENTEIPAASVQKVQAIFDGHPSDVTAVQFTVGRQYRDITN -NFINSLPNPGTPIVGLMKTEGSSYMWSGECLPFKNTMNTYEGCVPHVLPYKAVTAHGYCGSVMVADAGVW -KGVCGIHSLGDGAIGAATVLSRQHLLNLLEGFLEFQGKIYDVQKADFVYTPTKTSLKPTFVCVDPKLEPA -ALSHHDPRLKDPENFKAVILSKHVGDSLQLPWGIRWASYKYAERIRAMLPPDFLEPLSVREAVEGIDGLD -PMDMDKSPGLPYVKKGLRRTDLWNQKTGPTIELMAEINHYLDYNYDKHVFLTFLKDELRPKEKVQAGKTR -VIDVAGFGHAIVGRMLFGRLFAFFHKNPGWNTGSAVGVNPDLAWTQIFYTAPSRNVLAMDYSGFDASHTS -GMFCILKHFLTTLGYGTLQLSYIDSLCYSKHHWDDETYRLDGGLPSGCSGTTIFNTIMNNIVARAAASYA -AEGPVGILCYGDDILVSSPEKFPVSDWLEFYSKTPYKVTAADKSEQIDWRDITQCTFLKRGFVLDGSLVR -PVMDEQHLAELLKWARPGTLQAKLLSIAQLAFHLPRQAYDRLMLPFEEAGYEIPCHERLNEEWREMFI ->AMT85187.1 polyprotein [Hunnivirus 83GR] -MVTIIAVQTNSVIVVYSQQFYQSFSHNGSSWQANTARVNMEATSINLLVEDSWFEESDFSEDVERTMLLD -GQTTEEFFFEFEGPGQSKQESGNTNNSGNHGVINYNFYNQQWQNSVDLEHAMENNATAYGGTGGGDSAHT -NNRWESSQNLLATGMQLASGILPLLADSTTEEFENSDRIGLSQAGASTLVTQHMVGMKTYRKPKVGPHPT -SAADEPTEAGPSVQRYVTIPVGQWVSTNAVYTGWALPLPYCVLQQGTPVGALARRHYLLNCGWKVQVQVN -STRFHGGALGVFMVPQFVNSSQTDLTQQVFSQATTPNFNLQSMFVYPHQILNPRTNSSVEIEVPFANKFP -SCNPVEMAPWTLVIMVLEPLTYSTGATTSLEVVASLCPVDAIFHGIRQPNADFQGLPKNQNASASYGFAT -TQAHYAEPVYGAMTRSSPAFLPCEITDLLQIARIPTLTNQRVVTFQQQVPTAALMSMNVSLSATDLVNTA -LETVSRGFGQYRGSICVTMMFVGNQMQNVRFVGAYTPPGADPPTTVQEAMNGIYTIYDTGLNSTATFVIP -FISVSDYRVCNTVAEYDVSVGGYFTIWQLTNLAVPPGSPTTAALLVFAAAGEDFEFRCPTVPYLSLQGED -AQVTPAESGETPPLTAENTNENVVAIPYAQHRLSCSAVRFWFDRFFRCDVITVAGRSTSSAYLLTWDNLT -QNVPEIRWFTHATYLRFELELALQPLDYIGGEQEINVVYYPPGTLRATGNVTWNSVTRPANMFRTGACPL -IRWNTRRTPVYTFRVPFDSPATVLSQTWTGWPNWEHTTGTFGQQQANSFGALQMSTGQDNSTTLLLSFRM -VDIQLWCPRPGLRVSPPLPTSRGATNFSLLALAGDVESNPGPFFMKCLRKMEPDLDEMFKKFEGLQASFK -KVTDFATWIDVFNNADKKKWFKKFLKFLSYGVILSRARHDPLLAAATAFLLSGDWLTKLCCKIVKWLKQH -MKTAPPPLPACGEDGDDKPAEDEPDSVNIVKKATRNVTDWLKQGARPKGLSVNSGDIKLKDEADGDVPMI -DLSDLGPKVDYPSCKNPFGPSLADGMTEEERNMQYIEKIRAEYQKKKSQTADAFEKTPGNPFEEDEPEKF -FEKFKRVFKFQGPIGEMNQILVLCRNAQWLGQQVQKILDWLGIWKKQEEDASEEKFKEEMQNYPAMMHTY -EQYKNSPRHSNWEVCKNWFDKMRKLCMLHDPKLVTLFPNMAQIPHENSRQEPLLVVLRGPPGQGKSVAAA -MLAQMCAYTLSGKPDYYSYNSSTNYFDGYQQQPVVLIDDLGQDPSGTDFSVFCQMISTTPFLPNMASLQD -KGIKFKSDIIIATTNLADFRPNTIADPGALARRINFDYTVEAGAAYRTSKGTLDLAKALTPTTCSSPLQM -CKCDIHMFSSACVKFRDRDARCDCSLVDVYDRVMAAHKTRNDLACKLQEIFKFEGPRPKDHDPRVYVETY -PIPTPRKKEVEKWCDLAIATDPRDDEVLSFLRKNCDHVIFGAYLRRFYGAGPDPLAPPRKGPTLQQAMDA -IGIITQVLALLLMVFSLGVVVWQLFSYQGAYGGNPAGKRDKKPNGLKVVDIASFQGPMNFDLEKSLLAKN -MVSIAYRRRDGTDAVTGGVAIKGRIVVMNNHLWQEATHLQLDGEWMLKESIPAVRPAVNGEPSELVFMNW -AKTPGRQFRDISGYFPRGKEGHFKLSPAAKITGIVSHQNPSFMFMAESLGTADKARTWEAVVPMVLKYRA -QTAPGFCGALMVVDNGIWKKAFGIHCAGAHGIGMAAIISQEMLEAVFTLGEFQGKIHTVKEHPYIYTPHK -TQLYPTVACDDDTTVEPAALSINDKRLAEPTKFKQTILAKHVGDRTDGPLAMLRGARFYARLIRAKCGPV -NERLSLHEAVFGTDNLDPMDQTRSPGWPYIGSKRRPELLWQTDNGLEMDPVLRAELMSMQEGNFSHHKFV -TFLKDELRDKDKVRQGKTRVIDIASYGHAIMGRILFGRLAAAMHANNGVDIGSAVGTNPDIDWTRYAAEF -RFKNFVDVDYSGFDATHSSFSFYCLKVFLQELGFDEVALKYVDSLCNSTHIWDDEEFQIQGGLPSGCSCT -SIFNTIINNIVVRSLVPEVYDGAFQMLAYGDDLVLCAEETFPVDKYKEVLEEVTNYKITPASKSGTFEWT -DLSGVVFLKRYFYRDGLIVRPVMTYRNLHNILSYARAGTVQEKLNSVARLAQHRGEQDYKKLMQPFEDCG -YVVPSFDDLELEFFSLFFG diff --git a/seq/clusters_seq/cluster_230 b/seq/clusters_seq/cluster_230 deleted file mode 100644 index 221a714..0000000 --- a/seq/clusters_seq/cluster_230 +++ /dev/null @@ -1,92 +0,0 @@ ->NP_056842.1 tat protein [Human immunodeficiency virus 2] -METPLKAPESSLKPYNEPSSCTSERDVTAQELAKQGEELLAQLHRPLEPCTNKCYCKRCSFHCQLCFSKK -GLGISYERKGRRRRTPRKTKTPSPSAPDKSISTRTGDSQPTKEQKKTSEATVVTTCGLGQ - ->sp|Q89745.2|TAT_HV2EH RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEIPLKEQESSLNSSSGHSSSTSEGVANTQGLDNRGEEILSQLYRPLKACSNTCYCKKCSYHCQLCFLKK -GLGICYERSRKRSSKRAKTTTSSAPNESLSARTGDSQPTKKQKKEVETTRATDLGPGRSNTSTSRFAN - ->sp|P15835.2|TAT_HV2D2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEIPLQEQESSLKSSNEPSSSTSEPVVNTQGLDNQGEEILSQLYRPLKACDNTCYCKKCCYHCQLCFLKK -GLGICYDRSRRRSAKRAKTTAPSAPDK - ->sp|Q76632.1|TAT_HV2UC RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEIPLQEQESSLKSSSEPSSSTSEPVVNTQGSDNQGEEILSQLYRPLEACDNKCYCKKCCYHCQLCFLKK -GLGIWYDHSRKRSSKRAKVTASSASDESLSANTGDSQPTKKQKTKVETKGLTDLGPGR - ->sp|Q02838.2|TAT_SIVG1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDKEEEPHPLLQDLHRPLQPCTNKCYCKKCCYHCELCFLQKGLGVRYHVSRKRRKTSTQDNQDPIRQQSI -STVQRNGQTTEEGKTEVEKAAAAN - ->sp|Q74124.1|TAT_HV2KR RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPSKAPESSLMSCNEPSSCTSEQDVKSQELAKQGERLLSQLYQPLEACNNPCYCKKCCYHCQLCFLKK -GLGICYERKGRRRRTPKKAKAHSSSASDKSISTRTGNSQTEKKQTKTPETALETARGLGQ - ->sp|P36340.2|TAT_SIVAM RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPSGKEDHNCLPQDLGQEEIDYKQLLEEYYQPLQACENKCWCKKCCFHCMLCFHKKGLGIRYHVYRKRG -PGTNKKIPGGGEEAIRRAIDLCFFNRTCSRTHTANGQTTEKKKATA - ->sp|P19507.1|TAT_SIVSP RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLKEQESSLESSREHSSSISEVDADTPESASLEEEILSQLYRPLEACYNKCYCKRCCYHCQHCFLKK -GLGICYEQQRRRTPKKTKANTSSASDKSLSRRARNCQPKKEKKETVEAEVATDLGLGR - ->sp|P12513.1|TAT_SIVS4 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLKEQENSLESCREHSSSISEVDVPTPESANLEACYNKCYCKRCCYHCQHCFLKKGLGICYEQHRRR -TPKKTKANPFPASNNRSLSTRARNRQPKKEKKETVETEVAADLGLGR - ->sp|P11263.1|TAT_SIVML RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MQPLQNRPDLGEEILSQLYRPLEACYNTCYCKKCCYHCQFCFLKKGLGICYEQSRKRRRTPKKAKANTSS -ASNNRLIPNRTRHCQPEKAKKETVEKAVATAPGLGR - ->sp|P05910.1|TAT_SIVMK RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLREQENSLESSNERSSCILEADATTPESANLGEEILSQLYRPLEACYNTCYCKKCCYHCQFCFLKK -GLGICYEQSRKRRRTPKKAKANTSSASNNRLIPNRTRHCQPEKAKKETVEKAVATAPGLGR - ->sp|P05911.1|TAT_SIVM1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLREQENSLESSNERSSYISEAAAAIPESANLGEEILSQLYRPLEACYNTCYCKKCCYHCQFCFLKK -GLGISYEKSHRRRRTPKKAKANTSSASNEPIPNRIRLCQPKKAKKETVEAAVATAPGLGR - ->sp|P22384.1|TAT_SIVGB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPSGKEDHNCPPQDSGQEEIDYKQLLEEYYQPLQACENKCWCKKCCFHCMLCFQKKGLGIRYHVYRKRV -PGTNKKIPGSGEEAIRRAIDLSFHRTASRTYTANGQTTEKKKATA - ->sp|P05913.1|TAT_SIVVT RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDKGEAEQIVSHQDLSEDYQKPLQTCKNKCFCKKCCYHCQLCFLQKGLGVTYHAPRTRRKKIRSLNLAPL -QHQSISTKWGRDGQTTPTSQEKVETTAGSN - ->sp|P27982.1|TAT_SIVVG RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDKGEDEQGAYHQDLIEQLKAPLKRCTNKCYCKCCCYHCQLCFLQKGLGVTYHAPRIRRKKIAPLDRFPE -QKQSISTRGRDSQTTQKGQEKVETSARTAPSLGRKNLAQQSGRATGASD - ->sp|P27975.1|TAT_SIVV1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDKGEEDQDVSHQDLIKQYRKPLETCTNKCFCKKCCYHCQFCFLRKGLGITYHAFRTRRKKIASADRIPV -PQQSISIRGRDSQTTQESQKKVEEQAKANLRISRKNLGDETRGPVGAGN - ->sp|P20880.1|TAT_HV2ST RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLKAPEGSLGSYNEPSSCTSEQDAAAQGLVSPGDEILYQLYQPLEACDNKCYCKKCCYHCQMCFLNK -GLGIWYERKGRRRRTPKKTKAHSSSASDKSISTRTGNSQPEKKQKKTLETALETIGGPGR - ->sp|P04605.1|TAT_HV2RO RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLKAPESSLKSCNEPFSRTSEQDVATQELARQGEEILSQLYRPLETCNNSCYCKRCCYHCQMCFLNK -GLGICYERKGRRRRTPKKTKTHPSPTPDKSISTRTGDSQPTKKQKKTVEATVETDTGPGR - ->sp|P05909.1|TAT_HV2NZ RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLKAPESSLESCNEPSSRTSEQDVATQELARQGEEILSQLYRPLEACTNSCYCKKCCYDCQLCFLQK -GLGIWYDRKGRRRRTPKKTKAHPSSASDKSISTRTRNSQPEKKQKKTLEATVETDLGLGR - ->sp|P12453.1|TAT_HV2SB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLKAPESSLGSYNEPSSRTSEQDVATQELANQGEEILSQLYRPLETCNNKCFCKGCCFHCQLCFLNK -GLGICYDRKGRRRRSPKKTKAHSSPASDKSISTRTGNSQTEKKQKKTLGTTLEADPGLGR - ->sp|P18044.1|TAT_HV2G1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METHLKAPESSLESYNEPSSCTSEQGVTAQELAKQGEELLSQLHRPLEACTNSCYCKQCSFHCQLCFLKK -GLGIWYARKSRRRRTPRKTKTHSSSASDKSISTRTGDSQPTKEQKKTTETTMVTTCSLGR - ->sp|P24109.1|TAT_HV2CA RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MLDMETPLKEPESSLGSCNEPSSRTSGQDATTQELAKLGEEILSQLYQPLEECDNSCYCKRCCYHCQLCF -LKKGLGICYDRKGRRRRTPKKAKAHSSSASDKSISTRTRNSQPAKKQKKTLEATVETDPGLGR - ->sp|P17759.1|TAT_HV2D1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -METPLKEPESSLESYNEPSSCTSERDVTAQERAKQGEELLAQLHRPLEACTNSCYCKQCSYHCQLCFLKK -GLGIWYARQGRRRRTPRKTKTHPPPASDKSISTRTGDSQPTKKQKKTPETTVVSACGLGH - diff --git a/seq/clusters_seq/cluster_231 b/seq/clusters_seq/cluster_231 deleted file mode 100644 index 42fa190..0000000 --- a/seq/clusters_seq/cluster_231 +++ /dev/null @@ -1,282 +0,0 @@ ->YP_009342467.1 hypothetical protein 2 [Wuhan insect virus 21] -MITFKTAARYLMPTLTTANVTVPALVIENMITSIETRVTAPTPVPHPCIAYPNIIPRSVIMCYPSHLDHP -CTLPRLIPLARAQGLEVVHSSQRPKTRKYVTVEFLPDPCSSGQVLLELLPFQHRQFAWTTHAEDGSHAMF -HRSTRNFTSRPRALTSPKRRPDGSLTTSTTSTGTLLPARSSGRFTWNLNVASARATPLRDAVNEFLQMPE -MNWLTTVALPMDFEEWVRRYPQARREQLAAARAGVLSSGALTPKDAMIKNFIKRETTHNFTDPRNISPRS -DEFLSIIGPYISAIEHQAVRSRFLVKGLSPRQRANKVSWLRDYNRFMEVDYSRFDMTISADILRIFEHAV -LKRQFPRTEHELYHQALDLALTTQGVSSYGTRYERAGGRCSGDAHTSIANGLLNYFLTWVCLQNLPRDSW -RSIHEGDDGIIAFNAQYEDQIRANMTFLSCLGFKAKIRVVKCIEDAVFCGRRFVETTGGLRDMADVQRTM -RKFNTTMSLGNAKLLLLAKALSYNYTDADSPMIGALTYAIICILREDPDCQSQKKLRAALAKISSERWML -RDAGVSVRPDALWKVRPPEVPPEMRAASALYDGISCDLQLSLEAQYFDWVNIGFIPQTFNKLHCDWEPEP -TNVVVCGDITLFHA - ->YP_009342466.1 hypothetical protein 1 [Wuhan insect virus 21] -MLGFVASGVKDVANHLGNGPAVHRQVQDILHPPNIAEKVAHVVSDFVCPKPHPAIDALRQGASWVANVCI -RTGPPAAACAREYGTTIVVVLSGTVLTAAGIAWWRHTRHYTTIRVENENDMFDIRALKTTFEANSANVIA -RAAKHRPGKHLGLASQRRDLEEIVCKIFRQTRYRFRDVGGSRTRLGHYNDIRHICVPQIDASDILRDAKE -KRPNTDMCREYGSQCPSKDQFPGALISYADFYMGPDELSGIVKSHTFILTHNFEGDEGKLAAWTHEGKSY -YEASWTKDPSGKISMGTPDGTPYFHTANLWNNEGCVIGKHGAFSYVRLARIADTDVIYAFPAPGVYRTSD -KLVLHSSATGETYDLSSGNRAVISEDKVVVLHRHSGSVLATYDREPFERAALKVGAIPRGENYLPTLMSY -VTSRFAQTDCTIHTAQDLAEIATEIADRLAFRYTSIMPMRYDPINIGLLTRVKRSVRRFVLKKLGDRSSG -RLFCRFLAGNPAARYVAPWSFKDVRIPTYVKTTKLKTVKLIDPRDTRPDDYFQDGGAVPDADTHHCERDS -ASISHREHDHIDRDQSDRAHSRASSMHSLSEYHSTVGDHVLPVSSGSSLHASTIDTVGPSTGPGSGAQQP -AAQDEEVCDRRILTGSVLVWPSPAGTSAIPTPSICVDYSRRGWVSCYVPQINEELHFQTEGIDFTEATAR -RLADYIHHVNWDTSPGAFEREIYLELERCKRESHASQGRSERVPADAGNELVDDCGTPDGLRRMGKKVPA -GETRAARGRSRRRPKLRRTDPEGRNDQEFHQARNNAQLHGSQEHKSTIRRVPKHHRTVHKCNRTPGSEEQ -VPGEGPEPPATRKQGQLAEGLQQVHGSGLQQIRHDNKRGHTENIRTRRPKKTIPEDGTRAVPPSSRPRPD -HSGGELVRD - ->YP_009342270.1 hypothetical protein 2 [Wenzhou tombus-like virus 18] -MRSIGTRCVPSWLTHKTDSGSSSPFETQGRHLLPPLLDNLAPLPALTRDNQLAGIEKRVLAVVPKPHPDL -VMEDQPKPRPFHHCPSVPTPERSLIKQMGDLVASTGKIPPVAAQPFLGIPPIYLVPLSLMPDDWVEEVVD -IARRRKVQDPMLPWPTAPTSADLHDSSSCLLGPVTCRTDRLNHPFFRCNLTSLVHEFWKLRENQWLTALE -PLPFEEWLERFPNARRQQLRDAREEAYRHGLESKDAIMAVFIKTETSTSGTDPRNISPRQLKFLSVLGPF -VAAIEKQAKCCDYLVKGKNPEERGQYISQYWRHSVIETDFSRFDMTVSADFVKTVERSMFRRAFPEGLYP -ALDECLDLLPVMTGASSLGVLYSVAGTRASGDAHTSIANGVLNRFVIWASLRNMDPSTWVSFHEGDDGII -HCDSAVTQQICDYLAFAAFLGFKLKVVRPPSHEHANFCGRHTCEECHREFCDLPRALSKFHITFKQGEPR -SLLLAKALSYFATDAHTPIIGTMCDALIRHLSPQVSDRLMRRRLHAMSRYDRDRTIRGMHNTFMSPSACC -RAAISNVCGWDPKLQVAWEAQLATWRYGITEISPLSVEDHLVDTDSVTIYQG - ->YP_009337803.1 hypothetical protein 2 [Hubei tombus-like virus 43] -MLSPFNCRGRVVAPTLLQTTSALPSNTLENQQSSVLRRVLKTRHQPHPRLTENPPEVSERTTAVPRPLPQ -NRHSDWDLPEEFPTELCPTLGEDINKVEAGMTPVVNPRRIDDLIDEMLTRLIPEQPQPLTIEEWVKRYPA -NQQADLIAAHEKAKAWCMPKNFSSVQTFLKLETSTKATDPRNITPRVPEFLSILGPFVNSIENAIHHLPY -LVKGKNNSARDRKIFRKLNNYQVFVETDYSRFDASLSVWLLQKIERKLFRVFYPTTLFPILDLYLDQLFH -ITGKTAFGLHYSVQGTRASGDAHTSIMNGLINRFATQWAMQHVPSHLWASIHEGDDGLVACDLSVKDTCL -YNLSCINLLGLCMRDVLVHESIHDAMFCGRLLVANELGTITSICDVPRTLAKYGFTISQLDPLSALIAKS -LSYNSTDSNTPIIGPLSYKVLELHRPLIKKAIRKVKRSRSFSNFDKAQISVYAHQLGGEPNISPQARAAV -ELKWGIPMDTQIEIEQIIMNWSYIPKTWPTIFVDQVHVDTDDVAYFNWSSIW - ->YP_009337753.1 hypothetical protein 2 [Wenzhou crab virus 4] -MAGIEKRVLAVVPKPHPDLIRGRTELPRTFHTAASALCPTRTPATADTAAPYLGIPPIYLQPLQQTSREW -QEAVLDIARRRKVQDPTLPWSVVPTLEELGDSSCCHLGPIPDRRDKLTHPWFKSNLHRLVSEFWKLPENQ -WLDAADPLPFEEWVLRFPLARRPLLRQAREEVHQHGLTDKDAVMAVFIKTETSTTATDPRNISPRQLKFL -SVLGPYVAAIEKQAKRCSYLVKGLVPEQRGKRTAQSWRAEVIETDFSRFDMTVSQDFIEIVERSMFRRAY -PAGSHPDFDSCLQMLSRMTGASSLGVLYSVAGTRASGDAHTSIANGVLNRFVIWACLRNLDPSTWDSFHE -GDDGIIHCDKPVKQDICDYLNFAALLGFKLKVVRPLSHEHANFCGRHTCSVCHKEFCDLPRTLSKFHVTF -KQGEPKSLLLAKALSYMATDNHTPIISVLCQALIEHLSPVVSKRLLARRIAALGWWDRERVKQGMVTTQL -EPTACCRAAVCSVYDWEPALQLAWERQLATWKYGVTWLQPLSVDDYLVDSDAVTIYQG - ->YP_009337686.1 hypothetical protein 2 [Hubei tombus-like virus 42] -MFVDCGRVDSPFRTTARIMMPNLTTANLSVPIVCQENLIASLETRVMGTKPQPHPGLTFAMKPQLLIPMP -LLPQHPANQDGLLAYCQRMGWTAKLVDKYDPSQDKIVQILRNPSSYSADPALAPLCHQRSYWTPTEIPLA -LHYTTTLYSSQPSSLDHCVNRKTLSSKLTSPPNGLENKFTINSSKKHVEPRDTPLRLLVEEFLKTPEMEW -FPHHLEPLPFDQWVKRYPKWRRKQLEIAKMKVDKVGITSKDARVRNFIKRETTHKFTDPRNISPRTDEFL -VTVGPYISAIEKHAHHAPFLVKGMGLKMRAKKMDKLLGFGRYLEVDFTRFDKTISADIIRIVEKYLLTKP -YSRDHHAYHQCMKQLTTTSGVSYFGTRYKVKGTRCSGDAHTSLGNGLINRFIIWLCLRKLPQNAWDSMHE -GDDGIIGVSLSYVNQAVYNLQFLACLGFESKLKVCKSIEEVVFCGRRHVRTAKESATICDVIRTMRKFNT -TCSLGPPDLLLYAKALSYNYTDADTPIIGPVSYAVARCLAHCNIKYSRKQFKRALKTAVLERWVLNDNIG -SISYHRLLNAKPPDISPYLITASLMLNRPTSVQKLMQQ - ->YP_009337685.1 hypothetical protein 1 [Hubei tombus-like virus 42] -MDASLFPLNIWIHSSQQHMNIRRAISHTLVCVVQGCAVVKDRLIAHITVNIDDPMPVVLQISPPSKLQMA -INHACNLRSIVLSSTICVAKRLSGAISQAAQSIHTGLHLALHYTLLGAAVTAIIIWFIKTRKCRIIKVRN -HNQMADIRRIKEQFATKIKRLTPPEFEQGKHVICAWERKTTEQIINEWFHDQGIKFRDIGGSRVRGSNYA -TSRHICNPTLDSSDILRRAKQPTTIFSECTCLGQHCPYKNEYPGAMFIHSDYYLDANALTDCVRSHTFVV -THRFTGDEGTFFNEAQWVKHGTKITMTTPDGTKYNHPYNLWENEGCIVGSSNACIYAKVGSTETSDIYYL -FPADGTYLKDDRLALKRSTELAEPLHPSGYVIIKSDQFFIYDDHDNLMIKGPVDIISRMKLRLGLAARTS -NFNSVFFSYLQARCQAEEIGITDPLRLTEFALRETEQFALTIAPRWSRQWDPANMTLCTGLKLRLHRMYN -TIIRATFDLLPTCRLRCIFAHRILAPWAYREITLPGYIMEVRPQHTDVRGLRPSGQPFPDDGTDNDAEPD -NSESVRADSVSGEFDSQSGNESDGYQTATSSRSHVRNEAPIVDTDASTTSTPGQSGRTASLLPTDGMDSE -TSRQIRPITRQDSADFEKSLIIFSGPSSSTSLPPTVVLDPNRNTVSSALYDDPILLTAEQFRSLCQSKDA -VLKAHFSTKRTREQIYHQLVQEARRAKRHPSETAGGGISEDPGNGVVPTPSRTTTVRPVGQEIPKMAPQT -TRDSQNESGQSRDNVQRRPSSELHKARDHPQVHRPKKHQSTHRRVSGHSRSLHQRHRETRSSRPIPGQRH -GAKDARKEDG - ->YP_009337397.1 hypothetical protein 2 [Sanxia tombus-like virus 9] -MLSRLHVCFHHRGRQLLPPLCSLVPMVPAAHVDNVVSSIELRVAREVPRPHPLVCAPKLRGKLRPYLLHP -APLVPTPLAMPLSPPRKVPPRKPQHLSSQLWEKVQAAVNPELVLATTANATDTSLPTAAADHRLDPSSQA -VHPCRNPENCSLLLFPGVPKSDPHGPESLAMVQKAMGMVPESRTENLQQLADEYRANHLLPVLGRVHPLP -WEEWLQRFPSNRSLQLNDARSNVQLRGLLKSDCKVDCFLKVETSTNATDPRNISPRSAEFLSVLGPYVAG -LENKIRTLHDHDQIPYLVKGLTPSARAQIVRRKERAWVVEIDYSRYDMTISEDVIRELEIKNFKALFVSD -EDPALDAVLDALISMNGYNSLGVKYTVDGTRASGDAHTSIGNGLLGRFLLWVCLRGLPDTVWDSVHEGDD -GLLFVDDGWQHLVMHCLQVLPCFGFQAKLRLCRLDDALFCGRLNVNTSNGAIEIADIPRTLGKFHTSCKT -GDARTLALAKAFSYYHTDRDTPIIGPLCWALIQHLRPLVSPRAFTRRINTEKWFEREKIEAGMKVQRPPR -ITPEARAAVDRVFDVGYGSQLVLEKQLSSWASGVSEVPPLILTGEEVVDHTDGVKTVTVYA - ->YP_009337115.1 hypothetical protein 2 [Wenling tombus-like virus 4] -MPCMEDVTMATTVEATDSSIWARKVPHSVAIATAEQVCLLETVEIRVPHPLHPGKGLTDLNTLAAQFLAS -KPNQWLTQVEPTPFQTWVKRFSPSRQTQLEAGREWVARHGLLEKDSIMAVFVKVETSVTGTDPRNISPRD -PRFLSTLGPFTSRLEQLAVSCPYLVKGLDTYKRGPLLASYWRACVIETDFSRFDMTVSQDIINTVERSLF -RRCFPEGLYPDLDACLSYLDTMTGVSDLGIMYTVSGTRASGDAHTSIANGYINRFVIWSCLRHLDPSKWD -SFHEGDDGVIFLDQDIFETARECLKFASCLGFKLKVICPESQDSAVFCGRFTCTTCHREYCDLPRALSKF -HTTTKNGDCKSLVLAKALSYFATDAHTPIMGVLCDALIKLLSPLVSQRLMRRRLHTMNWWDRARVLQGIN -MTLADVSPCCRASVSQTSGFDPPLQVAAEQQLAKWAVGVDEWNPIAVSDFLVDIPGQLCVY - ->YP_009337016.1 hypothetical protein 2 [Hubei tombus-like virus 38] -MSYNVSEVHLQPLTRARVSRPFKSRGWYLMPSILIPEQTAMVPMVDLENATASLATRVVVLEPKQIDFNL -AKLPETRAKYLRTKCQFETRITAAEAAAIEEEVANDPDRQLPYQGRLRKLVAEFVRDPRTQLPTLIQPLP -LEEYVTRYKPAEQRHLIEEAAKVRATFVANSSVKAFIKQEAGPPGDPRNISPRSPGYKACLGPYIAAFEA -AMKNCSYLIKGLTLPERNVKMSSIMMGLYDGSVIDIDFSRFDMHIQHDVLLYIEHECIKHAFGYDPFLCW -LLDMQLVTKGYHVCGVAYHTKGGRCSGDVNTSIGNALINRFCSWYSARTLKLGDNWESFHEGDDTIWWCS -GLLVRPNWIMYVVELCHLRLGFPISISLNRTLDTVDFCGRVFYTLQDKVMCMADPVRTLQKFCITSRPVQ -RDQYSPTVAKELLLAKAMAYFSTDRQMPIIGPLCWWIIQLLKFEEHVVPRFEHDTQFRADLAGVVSKYEW -RQFPTIPGEARMLFFMRTGIYPQEQVLWERVVVTLPFIPEVMPQLRLAQIKDFDPLRHMPIDGYMFE - ->YP_009336721.1 hypothetical protein 2 [Hubei odonate virus 12] -MRCTLPPVTGRSACRQLLRTHFQCGARQLMPALTSAEVTFPANTRDNASASVALRVLRPTLAVHPLLTVP -PMTGTAMMIRCMPSPPPPHLIEDQPGTRSQHLSSRHHPPSPALGVRNTTDQLRMMGVRVETVESLEAGIA -RLDVLREELEGMTTAGRRRKRPTALLVPPMTIPYRTSRSSRIRCDLAPFADPESCWNVEPNGDGPYSDCT -IVRKIYTRSRRQQPFQDVQVVPSSTKCWREWKKEWGDLPTIPHSEQSICFTKRSVISACSRRDSPLRNLV -AEFLQTKEMEWLEAVSEPMNFQRWIKRYPIHRRVELEEARAEVEARGLERGDAKISCFIKREATVKRVDP -RNISPRTDQFLATLGPYIAAMEAKACRAPFMVKGHNLRGIQRKLGWLADYQSYVEVDFSRFDMTLTRHIL -EIVENTMLTKAFPKELHPELHIALKLARSPAGRYRCGVSYSTEGTRCSGDAHTSFGNTLLNRFFTWLCLR -KLPSSSWRSVHEGDDGLIAVSSRWVNQVLYNLSCLGCLGLEPKLKVTDNLHEATFCGRNFHSTTDGIIST -CDVPRALQKYHTTTSDGKPDILLLCKSVSYLYSDGHTPGVGLLASSLVQILIKRVQCQKQFEKTMHRVLK -ERYAADVLIGKKNKYFMGDVLEKARAWDPRMVSPTVEANVAHTYGIPLQPFLRSVSQFSSWVKFGHVPAE -VEVLQLETLEDKAGTVVYT - ->YP_009336720.1 hypothetical protein 1 [Hubei odonate virus 12] -MEFDEVGISNAEWIANWRWVRNKVEYVFDLDKHRAIAEAEAAEALRLLAEYDDNSFGTHYRQASRLAKQC -AASAVTLKDKTCDFLYKTYEALTAPPRVVEVLPWYAPSRLARSSLRWCWQHKVILAGSVVASLIYTGFYL -YYRNAKVVRVDSGDSPFEVNTLKDRWELFSRTYNPPAYHPGRHMRLAAERRHVERFIVDIFVTHRMRFRD -VGGSRNRWVESSVYKHLCFKEFSGFDILRRQKRPITPFEECGQSGQDCPQRHSIHGAMLVDVDYYMSNDD -LAEVVTGPTFIVNHDFARGRQGELGEEGMVEARWHKEGDCVVMTSEDGTPYKHRWHTWSNEGIVVGKNGA -FTYVRIGKLRTLQIYYAYPASGVYSGSDSCNLTWGGPEQIFCRNHAMDVFRRLEGEEEIYDIVTPGGTVS -ITGRVINQVVAQVANLERDNNYYSRVRAFTLSRLIAEGSDRSLVKWVVEVVMAMADSFAYELVRHCNTGM -QPDMLTVVDHTRERLRRTLITTLRSFYTSTWLEGLCARRPIARKLTPWVFKDIRMPTYEVYTPPRHREER -MPAAAENPFPVRRPPVNAGPDERRGDLPGQHQRQRQRERGIEGASTDSGRASSADSSSDDGDSDDDPLHA -IPPPPPPYRGPAGDEITALIVPPPPSFARAGSPEHHRPVENDGRPRRDSGITRSGDSPTRRAPRGAGRDD -DSGAETETSYRTASTTHDDPLSDVEIVTHPMRLGAVRGPRVLLECGTKRGRTILRLHDSSQDLHSEPPST -TLPRRPSRAQLNEVLERVEEGVGRPTNHTPQRAEHLFYQTLRNLGLLPTGQSPAQPRRGVPPNQRDGVVG -GSERADELPKVDKALSHPPAGRAGRGTGRGRGPRPGARGRKN - ->YP_009336561.1 hypothetical protein 3 [Hubei tombus-like virus 40] -MPALTSDLVTAPAKSLQNMITGLETRVLNLVPKTSPLLAPSDEVAIIVVAVEEEGHPLSYDNHLDVLPCL -GYRVIPMDRALPPPRPFETMVDLQPVMDRYERQIRYNQKRGWKTVILYRNGILLPGVYPRETGCIWRLRR -TIDNGVECYYTTVARSNSTIVRHPLINMQVEPYSTELQPPVAGLHGELSKVTVTMPCRRKDNPLKAGARE -FVRTEEMAWLGDVVAPVPFNEWVKRYPLKRREQLSEAHDRLLQLGMLGTRESIVKNFLKIETTTKGVDCR -NISPRSDVFLACVGPYIAAVEHEALNAPFLVKGRNIRARDKWMGSLSRFSHFIEIDFARFDMTLSKELLE -NVEHELLLRPFSEDQHALFHIFMKMALRTKGVSEFGTSYRISGTRCSGDAHTSIGNGLLNRFAIWWCLRY -IPEQDWVSFHEGDDGVIGLVEGRVDEARAALESLWCLGLQAKIDVYHDLSQTSFCGRFMADTASGMMSYC -DPLRALAKFHTTVSDGDPKALMLAKALSYYSTDRNTPVVGVLCYALITILRPEVTAKRLRKALRFNARNL -SWFAQYEDAEDFWQYWRRGVTRPCCDILRPFFALRSGVSIREQQSLEQMFDNWVSVGYVPGEFGKIPVDW -TPLAEHKWVTMRTSDWVT - ->YP_009336516.1 hypothetical protein 2 [Hubei tombus-like virus 39] -MEFPTWVARYTGGRRKQLQREFDTGHWKVADEAASGVKSFIKIEAGKPTDPRNISPRPDEYLVRLGPIIA -GIERDFKCPWAVKHLSVSQRDVHVAEYLGLTPVSTVIDIDQSRFDRHISRFWLYVETELLSYMCHPDDRQ -ELRALLNKQIVTRGFHTQGIRYSTVGGRCSGDANTSIGNTILNCLITQLAFCSLGYTDFKSVHDGDDGLI -TIYGPIMRVENNHALESLVEDLTEAMRVLGFDAKIKIHHDIHTATFDGRMLYFDRGEVKSMADPLRTIVK -MHMTAHPTQEKDWTVKLRNSLLLAKALSYAASDLDTPIIGAWCQFVIRNLTHNVSHPLSKSNKKMLTPRY -PKEIKRRMDLAPIPKGYLWALRKRNTTIEARAAFFVNSNIGTIEQEMMEVWLDGSNNIDHIPPKLTIAPR -EFDLNDLYYFFSSTHEYHTL - ->YP_009336498.1 hypothetical protein 2 [Beihai tombus-like virus 19] -MLLPPFLSRTIALPARTVENQIAGIEKRVLAVVPQPHPDLDPWNDEASPYHTDRLALPRERLGVVEEDLE -DLTALLSSQRQEHRERALRLVDLAWRRRRGAELARSELPPWEARGQRRGRLNSREILVSANLPCLSRLRD -QDCLEVHQRKLWLLPSLLRLRDPRSSGVAVRVFPAMSWETFCDESPRLRDWVPSRLGDSQDPEHALSRPA -HRPLFSNTPHARQICRNSWGTCPCLTSTQLLTSVSTYRQCLLPLPTTLCRPPPSTTLVEPVVLVLPVHLI -RHLGPKDVGSDLPEFSPRFAEDLEDSGRKLLEHEDFQFLTRSKPLEFEDWVKRYPPHRREELREARTKAL -RNGISPKDANLKVFMKIEGTTTGTDPRNISPRTDAFLSIMGPYVAGIEHAAVQEPRCPFLVKGMDVSTRG -SYLSRTDKGARAEIDFSRFDQSLSTAVLQAFELAAFRVAYPEGLHPLLDECLACLDEMKGFSDLGVSYRV -EATRASGDAHTSIMNGVDNRCLCQYVLDGVPRALVSHYQEGDDVLVQAPKRLMPIISRLANGTFLLGYKP -KTVVPDDPGHAVFCGRFTCMECRREHCDVNRALSKFHTTILPGDSRALLRAKAMSYHHTDGHTPVVGEVC -RAILRDTADVTDAEVFKAMRMAPGEERRKLRGAVGPSRALTKKVRPCCRASVAGTSVFDIELQLAVEKMF -RRDGMYALRTGPVIVDDDMVEDHSLLIYR - ->YP_009337262.1 hypothetical protein 2 [Wenling tombus-like virus 5] -MPFDQWVSRFNPSRQTQLREGLIQAQRQGLSPSDAVMKVFIKTETSPKGTDPRNISPRQPQFLAVLGPHV -ASLEKAAARSPYLVKGLTPTERARKLAPFRRGAVIETDFSRFDMTVSADIITTVERMAFRQAYPKGLFPL -FDEALHLLTSMVGIGTLGATYTVEGTRASGDAHTSIANGIINRFVIWACLRHLPCPWYSFHEGDDGVIFI -DKPHAAQAVECLQFAFTLGFRLKCVVPNPMGSATFCGRYTCLDCGREHCDVIRTLTKFHISHRPGSAQSL -VLAKAYSYASTDSHTPIVGPLCAALIHHLQPLVNIRTLRRRLNHLSWYERERAERGIGHTDFSVSPCCRA -SVAETASIDPVLQAAVEKQVSAWREGVTVVSPLEVLDYLVDGPQLVVYD - ->YP_001911137.1 putative RNA dependent RNA polymerase [Chronic bee paralysis virus] -MPALTTANITVPAMTMQNLVTSIETRVMAPTPALLPSIAFLEQPRGQYLVAMPNLPQHRQHPANATGLEK -FCQRMGWETEIIPEDSFTPEMVHQTPNLIIVKPKTWKSSVDLALTPFASPLSYWRRERTGPNSSIYQYNI -PLSQSQSTLPDLLPPKRFRKSQTNSYASHPITSRGADTYFTLSSNGSPDPRDTPLRQCVEEFLMTPQMSW -LKRVHSPVDFSIWVKRYPEWRQRQLIAARNRVLSDPEPRRKYALIKNFVKNETTAKFVDPRNISPRSDEF -LVVVGPYISAIEHAAVHCPFLIKGLTPKKRCDKLSWLTEYERFLEIDFARFDQTLMKDLLRIVELRFLLD -PYTPNPHNDNHNQRANQLFIAFMLYTLTNVGVSRFGTHYKREGTRCSGDPHTSIGNGFINAFIIWLCLRK -LPTNSWQSAHEGDDGIVGLRANVVNQVEYNLKFLSCLGFRAKIRVVSELSQATFCGRRFIETSSGLSDMC -DLTRTLGKFNTTMSQGPLDLLLLAKSLSYHHTDANTPMIGALTYALVKTLRPIMQKYSRRAFKRAMALVA -RERWITNDCFSVRVRNITVDTPSVPPEAYSGAIINDDLCLRQIQDLESFYHSILDIGYVPNEIPKINLDW -HPESNDVQIIGDPTLHHI - ->YP_001911136.1 hypothetical protein CBPV_s1gp1 [Chronic bee paralysis virus] -MNLSNVNDVLSSVSSTLRPGEGGMRQIEYIFDPPSLPTRIYNSVHDWFYPPLHPIAAAIKAAYTNFGVQT -KAAATAVVNATVPKTVISGLKICASSIGVTIVICSAGYWFYRTRHLKIVQVTNNGTMCQINELREYFETL -CGPAGAHMDLPDSIPGHHLRIAAERRFLERACCEVFQQIGVRFRDVGGSRVRGEDYAHLKHLCNPCIDSS -DILRDAKNPEPPFSSCGGRGEVCPSRHDFPGALLCHSDYYLYDELSYVIRGHTFIINHSFLEENKHFHNE -AFITKKGDGVIMSTPDGTEYQHPYNVWKAEGCIIGSGGAAVYARVYRGPSMDVYYAYPASGTYHSDDPHR -LKRSDQFENLRLSSGNIARLSFKSVKIQTTSGDIILDCPRDPFERAVAKCGSGVRDLKFLPTLSSYIISK -LTMHDLEINNAQDILELANIMCERFAFDFYSHSHLEYDPTSINIFTRLRRHAMLFARAIRHTLARNLTCS -IINRPILRQFTPWAFKSITMPAYIKHRRARHIDLRLASDKPKPFRDGRPILDACPDNSEHNSSSNDNAKL -SNIDRNQSDGPDACTAALDSLFGTTQGTVSSGDAQPASTQATPSQRDRTGEILPENGMGNGNHPGGLIHP -GNGSSDTESDYCEAQNLEVIRRSGSDAICEPSLVLETREDGTKLIHLPVQHTPLAVPVNLARFITTEAVQ -EISDQLLRVPPDNIERCRHILHSLIKRESGSPRHSVTPVRRRILNDTSDELVEEGAFPCRFLDMGQTLPR -MATTTAHRRAKSRPQRPRTSPQVRLDKELRQERDHRQVRGSKKHQSTKRRVSSSGGTLHQRHRTRRGSLP -IPYQGADTEEEM - ->APG76481.1 hypothetical protein, partial [Hubei odonate virus 12] -MEFDEVGISNAEWIANWRWVRNKVEYVFDLDKHRAIAEAEAAEALRLLAEYDDNSFGTHYRQASRLAKQC -AASAVTLKDKTCDFLYKTYEALTAPPRVVEVLPWYAPSRLARSSLRWCWQHKVILAGSVVASLIYTGFYL -YYRNAKVVRVDSGDSPFEVNTLKDRWELFSRTYNPPAYHPGRHMRLAAERRHVERFIVDIFVTHRMRFRD -VGGSRNRWVESSVYKHLCFKEFSGFDILRRQKRPITPFEECGQSGQDCPQRHSIHGAMLVDVDYYMSNDD -LAEVVTGPTFIVNHDFARGRQGELGEEGMVEARWHKEGDCVVMTSEDGTPYKHRWHTWSNEGIVVGKNGA -FTYVRIGKLRTLQIYYAYPASGVYSGSDSCNLTWGGPEQIFCRNHAMDVFRRLEGEEEIYDIVTPGGTVS -ITGRVINQVVAQVANLERDNNYYSRVRAFTLSRLIAEGSDRSLVKWVVEVVMAMADSFAYELVRHCNTGM -QPDMLTVVDHTRERLRRTLITTLRSFYTSTWLEGLCARRPIARKLTPWVFKDIRMPTYEVYTPPRHREER -MPAAAETPFPVRRPPVNAGPDERRGDLPGQHQRQRQRERGIEGASTDSGRASSADSSSDDGDSDDDPLHA -IPPPHLIEDQPGTRSQHLSSRHHPPSPALGVRNTTDQLRMMGVRVETVESLEAGIARLDVLREELEGMTT -AGRRRKRPTALLVPPMTIPYRTSRSSRIRCDLAPFADPESCWNVEPNGDGPYSDCTIVRKIYTRSRRQQP -FQDVQVVPSSTKCWREWKKEWGDLPTIPHSEQSICFTKRSVISACSRRDSPLRNLVAEFLQTKEMEWLEA -VSEPMNFQRWIKRYPIHRRVELEEARAEVEARGLERGDAKISCFIKREATVKRVDPRNISPRTDQFLATL -GPYIAAMEAKACRAPFMVKGHNLRGIQRKLGWLADYQSYVEVDFSRFDMTLTRHILEIVENTMLTKAFPK -ELHPELHIALKLARSPAGRYRCGVSYSTEGTRCSGDAHTSFGNTLLNRFFTWLCLRKLPSSSWRSVHEGD -DGLIAVSSRWVNQVLYNLSCLGCLGLEPKLKVTDNLHEATFCGRNFHSTTDGIISTCDVPRALQKYHTTT -SDGKPDILLLCKSVSYLYSDGHTPGVGLLASSLVQILIKRVQCQKQFEKTMHRVLKERYAADVLIGKKNK -YFMGDVLEKAR ->ACO82545.1 RNA-dependent RNA polymerase [Chronic bee paralysis virus] -MPALTTANITVPAMTMQNLITSIETRVMAPTPALLPSIAFLEQPRGQYLVAMPNLPQHRQHPANATGLEK -FCQRMGWETEIIPEDSFTPEMVHQTPNLIIVKPKTWKSSVDLALTPFANPLSYWRRERMGPNSSTYQYNI -PLSQSQSTLPDLLPPKRFRKSQTNSYASHPTTSKGADTYCTLSSNGSPDPRDTPLRQCVEEFLMTPQMSW -LKRVHSPVDFSIWVKRYPEWRQRQLIAARNRVLSDPEPRRKYALIKNFVKNETTAKFVDPRNISPRSDEF -LVVVGPYISAIEHAAVHCPFLIKGLTPKKRCDKLSWLTEYERFLEIDFARFDQTLMKDLLRIVELRFLLD -PYTPNPHNDNQNQRANQLFIAFMLYTLTNVGVSRFGTHYKRDGTRCSGDPHTSIGNGFINAFIIWLCLRK -LPANSWQSAHEGDDGIVGLRANVVNQVEYNLKFLSCLGFRAKIRVVSELSQATFCGRRFIETSSGLSDMC -DLTRTLGKFNTTMSQGPLDLLLLAKSLSYHHTDANTPMIGALTYALVKTLRPIMQKYSRRAFKRAMALVA -RERWITNDCFSVRVRNITVDTPSVPPEAYSGVIINDDLCLRQIQDLERFYHSILDIGYVPNEIPKVNLDW -HPEPNDVQIIGDPTLHHI ->YP_009011225.1 RNA-dependent RNA polymerase-like protein [Anopheline-associated C virus] -MDIKKIIEHLDPKNETFYSIEQAFDPSPIWVRATRWFRVRFSPEPNLLVRGIKTAANSLVGGIPRVRNGA -VNGAGHAKTVARAAWDTAKYHSTVLVISAVGTCAAIYAATWIWRSRRVLFVQVINDGTMLPIPTLKDHFA -TRLSKRPEDYGPTLPGLHLRIAAERRRAEQAVFEVFDDIKLRFRDVGGSLSRGKDYVQLKHVCNPVLDSA -DILRRAKADECPFDTCKGVGQKCPQRAAFPGALLCHSDYYMDQEDLVACVRSHTFVINHRYTADSKVNHL -GEMEVAVEGPYVKGGTSDGTRYSHAYNLWQAEGSVVGKEGAFVYTRVWTGPSMDIYYAYPAPGTYRKDDP -QALKRSTDINMVKLTTGHFAHITDHQVSVRTTDGTLVMAFPRTELEKTVLKCGGAIRDSKYISTVTSYVT -AKLTAGDHNIASAADLTEIALAACETYALDIYSRHTRNYDPADLGTFKRLWLGFQDSTHRGRLWVTSAYT -HDYVFRHPLSALTPWLFRRVEMPGYVRHFTNTRVNLKSLNPDPRPFVTASALIMPSLTTHNTTVPIVCAE -NLVTSVDTRVLRPCLQPCPFLTRMRKPTRLAAMPGSMHPLSLNNLTRMCRKMGWKTQVVTEESQWVEGGD -TVQIWAPPLKTSDLPSLAPFANPTSYWQLTDKDTCGPYTYQHWTIRSTSTPTMYDTSLNRTYRTRPTGCG -TQQPTDEKQQSPSYKISSGTSVNPRDTPLRHLVHEFFRTSEMEWLKDVHEPLPFNQWIKRFPAWRRVILE -KAHKEVMAMDVVPKRLGRVDNFLKREATNGYGDPRNISPRTDEFQCVMGPHVAAIEKAAHQAPFLIKGLS -PAQRCDKLSWLAEYDAYVEIDFSRFDMTVSHDMLTIFEHEFLTRQFPDAHPHYRRCIQLASQTEGTSKFG -TRYNVQGTRCSGDNHTSIGNGVLNRFLIWVCLRKLPRGTWRSVHEGDDGIIAVKRPWLEQVEYNLNFMRC -LGFSAKIKTTLDLTSVIFCGRRIIETPYGLKDACDVVRALKKFNSTMSMGDPMLMLYAKALSYNYTDHNT -PIIGALTRSIINILEPGACNITKSKLKRYVAHALNERWLTHGDNVHISYSNLQIKREYDPDPSVIAAVAL -HEPVTVRSIQAMDQQFKDWEKVKFIPNQVVPLPLDWEPEPAGTQSTGDIRHHCL ->APG76342.1 hypothetical protein 2 [Hubei odonate virus 12] -MPPLTSAEVTFPANTRTNAVASVSTRVLRPTLACHPLISSPLRKGTVQMIQTMRCGASHDASAIFAECHP -LCAHRTSQQLGMMGVKVQVATDLKTGMEMVESRRQVLSQLTNSRRQSLDPEAILVRPPPLVGSLSSIQTT -AIDLAPFSNPMFTWNVTPSGMSSCTLARNVKYVYDVRRASADVQVEKTYSKSTSTWLREWGALPSRPTLR -SDSCYTKLSEIQACSNRDSPLRNLVAEFLRTEEMEWLEGIEGPLKFERWLKRYPELQRQQLREARDRVDA -RGLDNRDAAISCFLKREATIKRVDPRNISPRNPEFLAVLGPYIAAMEAKACRSPFMVKGLNIKSVQRKLA -WLTGYSHYLEIDYSRFDMTLNRVVLETLEHAMLTKCFPRGLHHELHLALELARSPKGRYRCGVSYNADGT -RCSGDAHTSFGNTLLNRFFTWVCLRKLPKSAWRSVHEGDDGIIALRERYVNQAVYNLGFLGCMGLEPKIK -VSANLHDVVFCGRVLHETAGGLISTCDVPRALHKFHTSVSDGDPMLLLLCKAVSYLYTDGETPVLGSLAS -VLIMLLVPKIRSIRRFNRTMGRVLKERYMADVLIGGKKLFMEGVLQDAREWVKSEYHAVLEANLLHTYQI -PPHLFDRFVNQFEAWLKVGYIPNEVEALTGYYAVDHNNTVVYPAK ->AKH40306.1 putative replicase [Dansoman virus] -MSWKCGVTTTWTCPTPDPTTGFFESNARLMMPPLTTANVTVPAVCLDNIVASVTTRVLGVKACPLPWLTM -MQRPRLIGSMPDNPPNHPATSANLVEMCRKMGWASTLVTKFDDALPPDTVQVIHNTSSYSVDFTLAPMAE -FASYWTPNETRSRVTYLTSHSSCHPMLLDHSLNRKNNWSLSCKDSNRTTHMPQQRNFTVGSKPGHIEPRV -TPLRLSVEEWLKSEEMEWYPSCAEPLAWDTWVKRYPVNRRDQLRAALQRVQRLGIQRRDALVKNFVKRET -THNFTDPRNISPRTDEFLAVMGPYVSRIEHAAMKCPFLIKGTSIRNRGKRLEPLLGYGAYIEVDYSRFDK -TIHRDIITIFEQHLLRKPFSADHHDYYTCLSHLTRTTGVSKFGTKYTVEGTRCSGDAHTSIANGLLNRFL -TWFCLRKLPKESWLSFHEGDDGVIGITPRYLEQALYNLEFLGCLGFNVKLKACKNIEEVVFCGRRITFSA -EKGVETICDVTRALRKFNITCSQGPLDLLLYAKALSYSYTDGGTPIVGPVSWSVATCLSYCSSKYSHRQL -KRALSNVVRERYLLGDGWRPASWRQLLDNTREPVSCAANAAVMHCEDIGLDTMQAFREAAETWVRIGFIP -AAVPKLILDWVPEGPQVTVYGDVVRNMI diff --git a/seq/clusters_seq/cluster_232 b/seq/clusters_seq/cluster_232 deleted file mode 100644 index 246a086..0000000 --- a/seq/clusters_seq/cluster_232 +++ /dev/null @@ -1,88 +0,0 @@ ->NP_740520.1 2B (P2-B) [rhinovirus B14] -GLSDYITGLGRAFGVGFTDQISTKVTELQEVAKDFLTTKVLSKVVKMVSALVIICRNHDDLVTVTATLAL -LGCDGSPWRFLKMYISKHFQVPYIERQ - ->YP_009020979.1 protein 2B [enterovirus F4] -GLTDYVQGLGNAFGAGFTNEICNYVNQVKDMMIGSDTVVEKIIRNVIRLLSALVIVVKNPSDIVTVTATL -SLLGCTGSPWRWLKAKICSILGINMAQKQ - ->YP_009118285.1 2B [rabbit kobuvirus] -GPKEWFSGIVAEMGAVFGDGFGDSVKEQVKGFCGKVKEPKDSIVKTCVTWLIKAICACVLISRSWNPGET -AACVGVMMGVDLLAGSPFEWVKNQIKHALGFNEVEEQ - ->YP_009268635.1 2B [enterovirus A114] -GVSDYIKGLGDAFGTGFTDAVSREVEALKNHLIGSEGAVEKILKNLIKLISALVIVIRSDYDMVTLTATL -ALIGCHGSPWAWIKAKTASILGIPIAQKQ - ->YP_009508990.1 2B (P2-B) [rhinovirus B3] -GLGDYITSLGRAFGTGFTDQISAKVCELQDVAKDFLTTKVLSKVVKMISALVIICRNHDDLVTVTATLAL -LGCDGSPWRFLKMYISKHFQVPYIERQ - ->YP_009508957.1 2B [Simian enterovirus SV4] -GVTDYVKQLGNAFGAGFTDEISNYAQQIKTMFVGQDSLVEKMIKNLVKIVCALVILIRNSSDTTTVIATL -ALLGCSTSPWKWLKTKVSQLCGVVIAERQ - ->YP_009508935.1 2B protein [Coxsackievirus A2] -GVSDYIKGLGDAFGTGFTDAVSREVEALKNHLIGSEGAVEKILKNLVKLISALVIVIRSDYDMVTLTATL -ALIGCHGSPWAWIKSKTASILGIPMAQKQ - ->YP_009508979.1 2B [rhinovirus A1] -GITDYIHMLGEAFGNGFVDSVKEQINAINPINNISKKVIKWLLRIISAMVIIIRNSSDPQTIIATLTLIG -CNGSPWRFLKEKFCKWTQLTYIHKE - ->YP_009508968.1 protein 2B [Dromedary camel enterovirus 19CC] -GIHSYIESLGNAFGAGFTEQISTCVNQIKEAMVGSDGLAEKILRNLIKLLSALVIVVRNHSDILTVTATL -SLLGCSSSPWRWLKQKVCSLLGINMAQKQ - ->YP_009508946.1 2B protein [enterovirus D68] -GITDYIQNLGNAFGAGFTETISNKAKEVQDMLIGESSLLEKLLKALIKIISALVIVIRNSEDLITVTATL -ALLGCHDSPWSYLKQKVCSYLGIPYVPRQ - ->YP_009483882.1 2B [Sichuan takin enterovirus] -EAMEQGIADYVRDLGNSFGQGFTDEISKFTEQLKELMTGADGLVELCIKTFIKVVSAIVIATRAEGDVPT -ILATLALIGCDTSPWRWLKKQFCGIFKIPYVEKQG - ->YP_009249468.1 2B [Enterovirus SEV-gx] -GVTDYVQALGNAFGTGFTQHITDKCNEIREMMMGQDSLLEKIIKAVIKIVSALVIIVRHHDDLATVAATL -ALVGCSGSPFSWLKQKICSLIGMNYAQRQ - ->YP_009020967.1 protein 2B [Enterovirus F] -GLTDNVQSLGNAFGAGFTDEISNYVGQVRDMMVGSDTVVERVVGNIIKLLSALVIVVRNRSDIITVTATL -SLLGCSGSPWRWLKSKICSILGINMAQKQ - ->YP_003359171.1 2B [Enterovirus J] -GVSDYVQRLGSAFGAGFTNEIAEKTQQIKEMLIGQDSVLEKCLKALIKIVSAMVILIRNHEDLITVTATL -ALIGCSASPWQWLKSKVCSYFQVPMAQKQ - ->YP_001718581.1 2B [Enterovirus J] -GITDYVAQLGQAFGSGFTQEIADKTQQLKDMLIGQDSILEKCLKALIKIVSALVIIVRNHEDLITVTATL -ALIGCSGSPWQWLKTKVCQYLQIPVVEKQ - ->YP_001552437.1 2B [Rhinovirus C] -GPIQDYVTQLGSAFGSGFTDTLKDQLTDVSAHIKDKITSKVVKWLVRIISALTIMIRNSTDTPTVLATLA -LLGCHKSPWSFLKDRLCQWLNITRPPSRQ - ->NP_740417.1 protein 2B [Enterovirus D] -GITDYIQNLGSAFGTGFTETISEKAKEIQNMLVGEDSLLEKLLKALIKIVSAMVIVIRNSEDLVTVTATL -ALLGCNDSPWAFLKQKVCSYLGIPYTIRQ - ->NP_740472.1 protein 2B [Enterovirus C] -GITNYIESLGAAFGSGFTQQISDKITELTNMVTSTITEKLLKNLIKIISSLVIITRNYEDTTTVLATLAL -LGCDASPWQWLRKKACDVLEIPYVIKQ - ->NP_740542.1 2B [Enterovirus B] -GVKDYVEQLGNAFGSGFTNQVCEQVNLLKESLVGQDSILEKSLKALVKIVSALVIVVRNHDDLITVTATL -ALIGCTSSPWRWLKQKVSQYYGIPMAERQ - ->NP_740396.1 P2-B polypeptide [Rhinovirus A] -GLSDYVEHLGQVFGVGFVDSIKQQVNFINPTSKIGSKVIKWLLRIVSAMIIMVRNSSDPQTVIATLTLLG -CSGSPWRFLKEKLCAWLQLSYVHKQ - ->NP_740450.1 2B [Enterovirus E] -GITDYVQQLGNAFGAGFTAEIANYTNQLRDMLMGSDSVVEKIIRSLVRLVSALVIVVRNHQDLITVGATL -ALLGCEGSPWKWLKRKVCQILGINMAERQ - ->NP_714934.1 putative 2B [Enterovirus H] -GVADYVKQLGNAFGAGFTDEISSYAQQIKNMFVGQDSLVEKMIKNLVKIVCALVILIRNSSDITTVTATL -ALLGCSTSPWKWLKTKISQLCGVTIAERQ - diff --git a/seq/clusters_seq/cluster_233 b/seq/clusters_seq/cluster_233 deleted file mode 100644 index 11bf282..0000000 --- a/seq/clusters_seq/cluster_233 +++ /dev/null @@ -1,219 +0,0 @@ ->YP_138511.1 nucleocapsid protein [Parainfluenza virus 5] -MSSVLKAYERFTLTQELQDQSEEGTIPPTTLKPVIRVFILTSNNPELRSRLLLFCLRIVLSNGARDSHRF -GALLTMFSLPSATMLNHVKLADQSPEADIERVEIDGFEEGSFRLIPNARSGMSRGEINAYAALAEDLPDT -LNHATPFVDSEVEGTAWDEIETFLDMCYSVLMQAWIVTCKCMTAPDQPAASIEKRLQKYRQQGRINPRYL -LQPEARRIIQNVIRKGMVVRHFLTFELQLARAQSLVSNRYYAMVGDVGKYIENCGMGGFFLTLKYALGTR -WPTLALAAFSGELTKLKSLMALYQTLGEQARYLALLESPHLMDFAAANYPLLYSYAMGIGYVLDVNMRNY -AFSRSYMNKTYFQLGMETARKQQGAVDMRMAEDLGLTQAERTEMANTLAKLTTANRGADTRGGVNPFSSV -TGTTQVPAAATGDTLESYMAADRLRQRYADAGTHDDEMPPLEEEEEDDTSAGPRTGPTLEQVALDIQNAA -VGAPIHTDDLNAALGDLDI - ->YP_010087167.1 nucleocapsid [Alston virus] -MSSVLKAYERFTLTQELQDQSEEGTIPPTTLKPVIRVFILTSNNPELRSKLLLFCLRIVLSNGARDSHRS -GALLTMFSLPSAAMLNHVKLADQSPEADIERVEIDGFEDDSFRLIPNARSGMGRGEINAYAALAEDLPDT -LNHATPFVDPDVENTPWDEIETFLDMCYSVLMQAWIVTCKCMTAPDQPVASIEKRLQKYRQQGRINPRYL -LQPEARRIIQAIIRKGMVVRHFLTFELQLARAQSLVSNRYYAMVGDVGKYIENCGMGGFFLTLKYALGTR -WPTLALAAFSGELTKVKSLMALYQTLGDQARYLALLESPHLMDFAAANYPLLYSYAMGIGYVLDANMRNY -AFSRSYMNKTYFQLGMETARKQQGAVDMRMAEDLGLTQAERAEMANTLAKLTTANRGADARGGVNPFAAV -AGPSQPQASAVSDTFESYMAADRMRQRYAEAGAYDNEMPPLEEEEEDDTMGVSRTGPTLEQVAIDIQNNP -PSGPTNNDDLNAALGDLDI - ->NP_054707.1 nucleocapsid protein [Mumps orthorubulavirus] -MSSVLKAFERFTIEQELQDRGEEGSIPPETLKSAVKVFVINTPNPTTRYHMLNFCLRIICSQNARASHRV -GALITLFSLPSAGMQNHIRLADRSPEAQIERCEIDGFEPGTYRLIPNARANLTANEIAAYALLADDLPPT -INNGTPYVHADVEGQPCDEIEQFLDRCYSVLIQAWVMVCKCMTAYDQPAGSADRRFAKYQQQGRLEARYM -LQPEAQRLIQTAIRKSLVVRQYLTFELQLARRQGLLSNRYYAMVGDIGKYIENSGLTAFFLTLKYALGTK -WSPLSLAAFTGELTKLRSLMMLYRDLGEQARYLALLEAPQIMDFAPGGYPLIFSYAMGVGTVLDVQMRNY -TYARPFLNGYYFQIGVETARRQQGTVDNRVADDLGLTPEQRTEVTQLIDRLARGRGAGIPGGPVNPFVPP -VQQQQPAAAYEDIPALEESDDDGDEDGGAGFQNGAQAPAARQGGQNDFRVQPLQDPIQAQLFMPLYPQVS -NIPNHQNHQINRIGGMEHQDLLRYNENGDSQQDARGEHGNTFPNNPNQNAQSQVGDWDE - ->YP_009512972.1 nucleocapsid [Teviot virus] -MSSVFRAFELFTLEQEQHELGNDIELPPETLRANIKVCILNSQDPQTRHDMMCFCLRLIASNSARAAHKA -GAILTLLSLPTAMMQNHIRIADRSPDADIERIEVDGFEPGTYRLRPNARTPLTNGEITALDLMANDLPDT -YTNDTPFVNHRTEGENCDETEQFLNAIYSVLVQLWVMVCKCMTAHDQPTGSDERRLAKYQQQGRMDQKYA -LQPELRRQIQTCIRRSLTIRQFLTYELQTARKQGAITGRYYAMVGDIGKYIDNAGMSAFFMTMRFALGTR -WPPLALSAFSGELLKLKSLMQLYRNLGEKARYMALLEMPEMMEFAPANYPLCYSYAMGIGSVQDPMMRNY -TFARPFLNPAYFQLGVETANRQQGSVDKNMAEELGLTEEERRDMSATVTRLTTGRGAGQAQDMINIMGAR -QAAGGRAAQGRALRVIEEDETTEEESDDEIQDEVQGRPLPPLPAQVREVDWEARLAEIEEQEQRMRDRGQ -GMGGGAPATGPAQPRQALHEEQVLLDLDM - ->YP_009512965.1 nucleocapsid protein [Menangle virus] -MSSVFRAFELFTLEQEQNEHGNDIELPPETLRTNIKVCILNNQEPQARHDMMCFCLRLIASNSARAAHKT -GAILTLLSLPTAMMQNHLRIADRSPDADIERLEIDGFEPGTFRLRANARTPMTNGEVTALNLMAQDLPDT -YSNDTPFLNPNTETEQCDEMEQFLNAIYSVLVQVWVTVCKCMTAHDQPTGSDERRLAKYQQQGRLDQRYA -LQPELRRQIQTCIRSSLTIRQFLTHELQTARKQGAITGKYYAMVGDIGRYIDNAGMSAFFMTMRFALGTK -WPPLALAAFSGELLKLKSLMQLYRSLGDRARYMALLEMSEMMEFAPANYPLCYSYAMGIGSVQDPMMRNY -TFARPFLNPAYFQLGVETANRQQGSVDKAMAAELGLTEDEKRDMSAAVTRLTTGRGGNQAQELINVMGAR -QGRDQRGRGNRDYVVVEEDEETESDSDNDEDQEIQNRPLPPIPQMPQNIDWEVRLAEIERRNQQIAARDR -PQAVVTADVHQEPAGARVDEQDMLLDLDM - ->YP_009505442.1 nucleoprotein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -MSSVLKAFERFTIEQELQDRGEEGAIPPETLKSAVKVFVINTPNPATRYQMLNFCLRIICSQNARASHRV -GALITLFSLPSAGMQNHIRLADRSPEAQIERCEIDGFEPGTYRLIPNARANLTANEIAAYALLADDLPPT -INNGTPYVHADVEGQPCDEIEQFLDRCYSVLIQAWVMVCKCMTAYDQPAGSADRRFAKYQQQGRLEARYM -LQPEAQRLIQAAIRKSLVVRQYLTFELQLARRQGLLSNRYYAMVGDIGKYIENSGLTAFFLTLKYALGTK -WSPLSLAAFTGELTKLRSLMMLYRDLGEQARYLALLEAPQIMDFAPGGYPLIFSYAMGVGTVLDVQMRNY -TYARPFLNGYYFQIGVETARRQQGTVDNRVADDLGLTPEQRTEVTQLVDRLARGRGAGIPGGPVNPFIPP -AQQQQPAAVYDDMPALEESEDDDDDDGGTRFQNGAQAPIARMGGQADLRAQHAQDPIQAQMFVPLYPQAG -NMPTQQSTQINQIGGADQQDLLRYNDDNDQPQGARGEYGSIFSNNPTQVPQSQVGDWDE - ->YP_009094492.1 nucleocapsid protein [Tuhoko virus 1] -MSSVFKAYELFNLEQEQQERGNDLVLPPETLRSNIRVFVLNTQDPEIRFRMMCFCIRLVASNSARSAHRT -GALLTLLSLPTAAMQNHIRIADRSPDAEIERIEIEGFEQGSYKLIPNARTPMSPAEITALDAMATDLPDS -IMYDTPFMNMYTEAEGCDEIEEFLEAVYSVLIQVWITVCKCMTAYDQPTGSDEKRMAKYQQQGRLDPKYT -LQQEVRRLIQKCIRGSLPVRQFLAFELQTARKQGTITSKYYSMVGDISKYIENAGMSAFFMTARYALGTR -WAPLALAAFTGDLLKMKSLMLLYRKLGEKARFMALLEMPDMMEFAPANYNLLYSYAMGIGSVMDAQMRNY -NFARPFLNTAYFQLGVETANKQQGAVDKNMAAELGLSEDDKRVMAQTVSRLTGNKAGSDNQDLMDMLSRR -SRSGQTRALRMSAQGNQAVDGDEEDDEEEEEEEEARDLTEEEQKESEAWLKKWKEIEAQRHAAIEAMKKH -KAARTQPSQMPSEVQVHNENQDVRGDLDS - ->YP_009094459.1 nucleocapsid protein [Achimota virus 2] -MSSVFRAFEQFTLEQEQHERGSDLEVPPETLQTTIKVFILNTPNPQIRYQMMNFCLRIIASSSSRIAQKN -GALLTLLSLPTAMMQNHIRIADRSPDSIIERIEIEGFEQGTYRLRPNARTPMTNGEITALEQMAEDLPEG -IANDTPYINPNIEGDDCDEMEKFLNAIYSVLVQVWITVCKCMTAYDQPTGSDERRLAKYQQQGRLDQKYV -LQNEIRRIIQKCIRDSLTVRQFLTFELQTARKQGPITSRYYAMVGDIGKYIENAGMSAFFMTTRFALGTK -WPPLALAAFSGELIKLKSLMMLYRRLGERARFMALLEMQEMMEFAPANYPLTYSYAMGIGSVQDPQMRNY -NFARTFLNAAYFQLGVETANRQQGSVDKGMAEELGLSEADKREMSATLTRLTTGRGAVGPAGNVDVLGRR -PTTRLQQQDPAQFRVVEEDQEDDEDEDDDEGYVQPRALQLPPNPPARNDEWFRRMAEQEVQGRLVRTTAD -IHQIIPATPGNQEDENPLVDMDE - ->YP_009094451.1 nucleocapsid protein [Achimota virus 1] -MSSVFKAFEQFTLEQDQYVGGNNLELPPETLQTTIKVFILNTQNPQTRYQMLCFCLRIIASNSARTAQKY -GAMLTVLSLPTAMMHNHMRIADRSPDSIIERIEIEGFEPGSYRLRPNARTPMTQGEIIAMENMADDLPDT -IVNQTPFVNTATEDEICDEMEKFLNAVYSVLIQVWVMVCKCMTAFDQPTGSDARRLAKYQQQGRMDQKYV -LQGEVRRLIQLCIRESLTIRQFLVNEMQTARRQGPITGKYYAMVGDVARYIENAGMGAFFMTVKFALGNR -WPPLALAAFSGELVKIKGLMMLYRKLGDRAKYMALLEMSEMMDFAPANYQLLYSYAMGIGSVQDPQMRGY -NFARPFLNPAFFQLGMETANRQQGSVDREMAEELGLTDTERREMAAQVTRLTTGRGAGDQQDIVNIFGAR -ARNGRHGAQERQFRVIEGDDVDDEEGEDDDQDNDDEEAQRQQYIANEQARWQARLAEIEERQAAERGRNR -QGRQQDQARDIQRATAQVHQEPAAQDLIADLIE - ->YP_009094074.1 nucleocapsid protein [Tuhoko virus 3] -MASLFRALEQFTLEQDQGGRGNVGDQPPETLQATIKVFVINTPDPRLRYRMMCFCLRLIVSNSARTGQRH -GALMTLLSLPTAVMQNHFRTAERSPDCQIERIEVDGFEPGTYRIRTNARTPLTHGEVVALEEMADDIPEA -LANHTPFVDAQTELEECDEMEKFLEAIYSTLIQVWIMVTKSMTAFDQPTGSDERRVAKYQQQGRLNPHYL -LQGEVRRRIQLCIRQSLPIRQFLVNELQTASNQGPITGKYYAMVADIGKYIMNAGMGGFFMTIRFALGQK -WPPLALAAFSGEIVKMKSLMLQYRRLGDRAKFMALLEMSEMMDFAPSNFPLLYSYAMGIGSVQDPQMRGY -TFGRPYLNAAFYQLGVETANQQQGSVDKDMAAELGLTEADKRAMAATVTRLTTGRGGLQGNMGINAMARR -GQQLPQPQDNVVEEDEEEDEEEEEPQNQEREVDPELERRVALRIAQDQERWARRLAEIEAERVARQAASH -PPQHDATRDQIPDQQEYDSNLIE - ->YP_009094061.1 nucleocapsid protein [Tuhoko virus 2] -MSSVFQAFEQFTLEQDQQVGGGNLEVPPETLQTTIKVFILNTQNPQIRYQMLCFCLRIIASNSARTAQKH -GALLTILSLPTAMMQNHIRIADRSPDSVIERIEIEGFEPGTYRLRANARTPMTAGEVIALENMAEDLPDT -LANQTPFLNPNTEDEICDEMEKFLDAVYSVLIQVWVMVCKAMTAFDQPTGSDTRRLAKYQQQGRMDQKYL -LQNEVRRLIQLGIRESLTIRQFLVFEMQTARRQGPITSKYYAMIGDIARYIENAGMGPFFMTIKFALGTR -WPPLALAAFSGELVKIKGLMQLYRRLGDRAKYMALLEMSEXMDFAPANYQLLFSYAMGIGRVQDPQLQGY -NFARPFLNAAFFQLGMETANRQQGSVDREMAEELGLTDADKREMAAQLTRLTTGRGGDDQQGIVNVFGRR -AQRQQVIQNQDQFRVVEEEESEEEEEEIEEQPVRGAAPAPIRRPNDEEAAWQARLNELEGMQRRPHPPRH -VQLHPLQPVPVGLAAAQIHREPPAQELVADLVE - ->YP_009094046.1 nucleocapsid [Sunshine Coast virus] -MSALSLFSQFESTRIRGVNLTDDNHVVGFDVINLIYVDLSRMAPKGRAIMVELLYKIAFDPSYENYVRVS -AVLSIMLYELAVSDMVLQEFAKEASITLIFTQCRWDAKLGPIISRNTNDNIGETFGVQTALTGELGKMRA -PPKNVDPSFTADRCAQPWLILPKVVTNPDRIYETYNTRFARFNQEKALDKEARLEPDHAKMLTTLIRSNI -GLRRVLANVLIKIGSSTNHGSRLAGYIADINPYIENQGMTAFFITVNNAIQTRSPLIITGALNQDLSRFK -ELMKLHMRLGNRAPYLALMDSPLKNRFAPAEYSNLYSFAIGFGIENNPTLKDFNHASRVTNLRWVDMGKE -EARRLSVRIAKSDAAEHGFDEEDLMLATSGWNGTLPESKIGSVKSIPEDTMKDDMLTELMARFRFSGDSE -SDDATAGRTGPPRQANPNSDEIQLSDI - ->YP_009094028.1 nucleoprotein [Sosuga virus] -MASIFRALEQFTLDQDGGGRGGFADQPPETLQATIKVFVINTPDPNLRYRMMCFCLRLVVSNSARTGQRH -GALMTLLSLPTAVMQNHFRTAERSPDCQIERIEVDGFEPGTYRIRPNARTPLTVGEVVALEEMADDIPEA -LANNTPFIDANTEMEDCDETEKFLEAIYSTLIQVWIMVCKSMTNFDQPTGSDERRVAKYQQQGRLNQHYL -LQGEVRRRIQVAIRESLPIRQFLVNELQIASNQGPITGKYYAMVADIGKYIMNAGMGGFFMTIRFALGQK -WPPLALAAFSGEIVKIKSLMLQYRRLGERAKYMALLEMSEMMDFAAANFPLLYSYAMGIGSVQDPQMRGY -AFGRPYLNAAFYQLGVETANQQQGSVDKEMAEELGLTEADKRAMAATVARLTTGRGQQGGNIGVNAMARR -GQAQPPRQQAIDNLIDEEEEDEEEEEEEEQNLDAEIERRFALRLAQEQERWGRRLAELEAERAQTRQGPG -AAPQSGQHQNQTDNDQSEYNSNFAE - ->YP_008378659.1 nucleocapsid protein [Human parainfluenza virus 4a] -MSSVLAAYEQFLQTTEDRGFGDQQFVQSDTLKAEIPVFVLNTNDPQQRFTLMNFCLRQAVSSSAKSAIKQ -GALLSLLSLQATSMQNHLMIAARAPDAALRIIEVDAIDPPDYTLTINPRSGWDDIKIRAYRALSRDLPIS -LADRTVFVSRDAEHAVCDDMDTYLNRIFSVLIQVWIMVCKCMTAYDQPTGSEERRLAKYKQQGRMLERYQ -LQTDARKIIQLVIRESMVIRQFLVQEMLTADKVGAYTNRYYAMVGDIAKYIANVGMSAFFLTLKFGLGNR -WKPLALAAFSGELVKLKSLMSLYRRLGDRSRYLALLESPELMEFAPANYPLLFSYAMGVGSVQDPLIRNY -QFGRNFLNTSYFQYGVETAMKHQGTVDPKFASELGITDEDRVDIMQSVEKHISGKAGDDISQPRSAFTMS -LNRSAFITNNNPQDLSGARLSNYEQGWSGIDQDETRDTLPESTMHRFQNIDSTNSDHNELQMPEFENDIN -PFNHPRFTARAPLIPEISHQTPTIRMNRNVNIRDSTRDDRQDANEDRSSNIPDDILGDLDN - ->YP_001331027.1 nucleocapsid protein [Porcine orthorubulavirus] -MSSVLTAFERFTIEQELQDRGAEGSIPPETLKTRIQVFVLNDEDPHLRWRMFNFCLRLILSPATRTARKI -GAMITLFSLPAAAMQNHVRLADRSPDAIIERVEINGFVDGTWRLIPNDRAILPDATINALNVAADELPPD -LVNRTPYVVQGGEDLPCDEVEIFLQRAYSVLIQAWIMVCKCMTAYDQPAPSEERRIAKYRQQGRLEARFI -LQNPARRGIQKVIRESLVVRQYLAYELQIARNQSLVTNKYYAIVGDIGKYIENAGLSAFFLTVKFALGTK -WQPLALSAFSGELTKLKSLMLLYRDLGEQARYLALLEAPQAMDFAPANYPLIYSYAMGVGTVLDPQMRNY -NFARPFLNAMYFQFGVETARRQQGAVDTKMAEELGLTAQDKQEMTETLNRLGAAGARGAAPAAPNPFNAA -PPAPAPIPVAQPAQDGPHDNAAAAPAPAPGADAPGPAGLHIPGPGEPGFEPQEYYRQPGMMDMVKNRLRI -ASGGAPGVLPHELDAAAQIYVDEVVTGLRRPNDFAGILEAMFQQLAEEQKGDLED - ->YP_001249270.1 nucleocapsid protein [Mapuera orthorubulavirus] -MSSVLSMFERFTMEQELQDRGAEGTLPPETIKSTIKVFILNSDDPRLRWKMMNFCLRLIMSDAAKISRKV -GAMITLFSLPASGMQNHVRLADRSPDAQIERIEIEGFAPDSFKLILNERSSMTQEEINSLDFMARELPKG -FQGKTVYLNVDAEGLVCDEVEQFLDRAYTVLLQVWILACKCMTAYDQPAASIKKRTDKYKQQGRFLATYT -LQAQAQATLQNVIRQSLIVRQFMAYELQISRHQGTITNRYYALVGDIGKYIENAGMSAFFLTIKYALGTR -WQPLALAAFSGELTKIKSLMMLYRDLGENARYLALLEAPQMMEFAPANYPLLYSYAMGIGTVLDAQMRNY -KYGRDFLNPVFFQFGVETARKQQSAVDVKMAAELGVTTTDKEEMAQTLTRLGAARRTDASAYAATPFSGP -AQQAQAMPAPQAIDSRDSTAQPAAPDPVPDDSFSSKYQSYLIMQEKMKDPALIDGIRANLLSDPNCPEDI -SASDLQGAVERYVHEVIERVRQQGDYTGIWPSAGATAAEDAIGDFQS - ->YP_138504.1 nucleocapsid [Simian orthorubulavirus] -MSSVLKTFERFTIQQELQDHEEDTPVPLETIRPLIRVFVVNSNDPALRAQLLLFNLRIIMSNTARESHKT -GALLSMFSLPAAAMGNHLKLATRSPEASIDRVEITGFEGRSFRVVPDARSTMSRAEVLAYEAIAEDIPDT -LNHKTPFVNADVEQGDYDETEGFLELCYSVLMQAWIVTCKCMTAPDQPPISIEKRMAKYQQQGRINPRFI -LQPEARRIIQNAIRKAMVVRHFLTYELQMAQSKTLLANRYYAMVGDVGKYIEHSGMGGFFCTLKYGLGTR -WPTLALAAFSGELQKLKALMLHYQSLGPMAKYMALLESPKLMDFAPAEYPLMYSYAMGIGTVIDTNMRNY -AYGRSYLNPQYFQLGVETARKQQGAVDHRTAEDLGMSQADKVELAATLAKLTIGQGGRGRQPLDDPFAGA -AGDYQGAAAGGAQGFDYASRRVRKYNDYESDEEAGMDDDYEQEAREGRGYDDDDARQGIGGQSGFDFSVP -QRAPGMSDEEFQAQMTKYIQHVQQHYQEAQEGAEDGGYNQTTDDQGAGGDFDT - ->NP_665864.1 nucleocapsid protein [Tioman virus] -MSSVFRAFELFTLEQEQQELGNDIEIPPETLRSNIKVCILNSQDPQTRHDMMCFCLRLIASNSARAAHKT -GAILTLLNLPTAMMQNHIRIADRSPDADIERIEVDGFEPGTYRLRPNARTPLTNGEITALSLMANDLPDT -YTNDTPFTNHRAEGENCDETEQFLNAIYSVLVQLWVTVCKCMTAHDQPTGSDERRLAKYQQQGRLDQKYA -LQPELRRQIQACIRGSLTIRQFLTYELQTARKQGAITGRYYAMVGDIGKYIDNAGMSAFFMTMRFALGTR -WPPLALSAFSGELLKLKSLMQLYRNLGEKARYMALLEMPEMMEFAPANYPLCYSYAMGIGSVQDPMMRNY -TFARPFLNPAYFQLGVETANRQQGSVDKSMAEELGLTEEERRDMSATVTRLTTGRGAGQAQDMINIMGAR -QAAGGRGAQGRALRIVEEDETTDDESVDDQADDIQGRPLPPVPAPIREIDWEARIAEIEEQGQRMRDQGV -GRLVDNQAPNVQNTRQNTQDNQALLDLDI - ->NP_598401.1 nucleocapsid protein [Human orthorubulavirus 2] -MSSVLKTFERFTIQQELQEQSDDTPVPLETIKPTIRVFVINNNDPVVRSRLLFFNLRIIMSNTAREGHRA -GALLSLLSLPSAAMSNHIKLAMHSPEASIDRVEITGFENNSFRVIPDARSTMSRGEVLAFEALAEDIPDT -LNHQTPFVNNDVEDDIFDETEKFLDVCYSVLMQAWIVTCKCMTAPDQPPVSVAKMAKYQQQGRINARYVL -QPEAQRLIQNAIRKSMVVRHFMTYELQLSQSRSLLANRYYAMVGDIGKYIEHSGMGGFFLTLKYGLGTRW -PTLALAAFSGELQKLKALMLHYQSLGPMAKYMALLESPKLMDFVPSEYPLDYSYAMGIGTVLDTNMRNYA -YGRSYLNQQYFQLGVETARKQQGAVDNRTAEDLGMTAADKADLTATISKLSLSQLPRGRQPISDPFAGAN -DREMGGQANDTPVYNFNPIDTRRYDNYDSDGEDRIDNDQDQAIRENRGEPGQPNNQTSDNQQRFNPPIPQ -RTSGMSSEEFQHSMNQYIRAMHEQYRGSQDDDANDATDGNDISLELVGDFDS - ->sp|Q77IS8.1|NCAP_MUMPJ RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MSSVLKAFERFTIEQELQDRGEEGSIPPETLKSAVKVFVINTPNPTTRYQMLNFCLRIICSQNARASHRV -GALITLFSLPSAGMQNHIRLADRSPEAQIERCEIDGFEPGTYRLIPNARANLTANEIAAYALLADDLPPT -INNGTPYVHADVEGQPCDEIEQFLDRCYSVLIQAWVMVCKCMTAYDQPAGSADRRFAKYQQQGRLEARYM -LQPEAQRLIQTAIRKSLVVRQYLTFELQLARRQGLLSNRYYAMVGDIGKYIENSGLTAFFLTLKYALGTK -WSPLSLAAFTGELTKLRSLMMLYRGLGEQARYLALLEAPQIMDFAPGGYPLIFSYAMGVGTVLDVQMRNY -TYARPFLNGYYFQIGVETARRQQGTVDNRVADDLGLTPEQRTEVTQLVDRLARGRGAGIPGGPVNPFVPP -VQQQQPAAVYEDIPALEESDDDGDEDGGAGFQNGVQLPAVRQGGQTDFRAQPLQDPIQAQLFMPLYPQVS -NMPNNQNHQINRIGGLEHQDLLRYNENGDSQQDARGEHVNTFPNNPNQNAQLQVGDWDE - ->sp|P17241.1|NCAP_PI4HB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MSSVLAAYEQFLQTTEDRSFGDQQFVQSDTLKAEIPVFVLNTNDPQQRFTLMNFCLRLAVSSSAKSAIKQ -GALLSLLSLQATSMQNHLMIAARAPDAALRIIEVDAIDPQDYTLTINPRSGWDDIKIRAYRALSRDLPIS -LADRTVFVSRDAEHAVCDDMDTYLNRIFSVLIQIWIMVCKCMTAYDQPTGSEERRLAKYKQQGRMLEKYQ -LQTDARKIIQLVIRESMVIRQFLVQEMLTADKVGAYTNRYYAMVGDIAKYIANVGMSAFFLTLKFGLGNR -WKPLALAAFSGELVKLKSFMSLYRRLGDRSRYLALLESPELMEFAPANYPLLFSYAMGVGSVQDPLIRNY -QFGRNFLNTSYFQYGVETAMKHQGTVDPKLALELGITDEDRVDIMQSVEKHISGKAGDDISQPAGAFTMS -LSRSAFINNNTSQDFSGARLSNYEQGWSGTNQDETRDVYPESTMHRLQNIEPTDSDHNELLMPELESDSN -PFNRPRFTVRAPLIPEISHQNPTTRMNRNINTRDNTRADHQDTNEDRGSNVPDDILGDLDN - ->sp|P21277.1|NCAP_MUMP1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MSSVLKAFERFTIEQELQDRGEEGSIPPETLKSAVKVFVINTPNPTTRYQMLNFCLRIICSQNRRASHRV -GALIALFSLPSAGMQNHIRLADRSPEAQIERCEIDGFEPGTYRLIPNARANLTANEIAAYALLADDLPPT -INNGTPYVHADVELQPCDEIEQFLDRCYSVLIQAWVMVCKCMTAYDQPAGSADRRFAKYQQQGRLEARYM -LQPEAQRLIQTAIRKSLVVRQYLTFELQLARRQGLLSNRYYAMVGDIGKYIENSGLTAFFLTLKYALGTK -WSPLSLAAFTGELTKLRSLMMLYRDIGEQARYLALLEAPQIMDFAPGGYPLIFSYAMGVGSVLDVQMRNY -TYARPFLNGYYFQIGVETARRQQGTVDNRVADDLGLTPEQRNEVTQLVDRLARGRGAGIPGGPVNPFVPP -VQQQQPAAVYADIPALEESDDDGDEDGGAGFQNGVQVPAVRQGGQTDFRAQPLQDPIQAQLFMPLYPQVS -NIPNNRIIRSIASGGWKTKIYYDTTRMVILNKMQGANTETLSQTIPIKTHSCKWATGMSKSLT - diff --git a/seq/clusters_seq/cluster_234 b/seq/clusters_seq/cluster_234 deleted file mode 100644 index b79e612..0000000 --- a/seq/clusters_seq/cluster_234 +++ /dev/null @@ -1,158 +0,0 @@ ->YP_009553483.1 CP [Adonis mosaic virus] -MEKGKQIASHPVARQLAQSNVEWAKKLQTRGWSSLSTNQKRAAEAAVGYQTSVRVTVPTRVRVSNPPKKG -SREGPGQAGKSMTIAREELLLTVVGTTGLVPKFQDWVINPRNVSAFPQMSLMAANFNKYKLVKFEVMYSP -ACSFETNGRVALGFNDDASDPVPNNRKAFYNLGKHAETAAQTPVVLTIPTDGKVRFMSDSANDDAKLVDF -GRLILTTYGFDEEGLVVGELFLRYTIVLSDPTQTAKVSQMCINGSLTGPEYARPEKIGNVWQLKLLAAGS -WFILLRGDVDGGWSKPSLDGGAITGSVDYTTEDGGYAVCVVEAHSENQAISTTLLSGTVVSWFVFRV - ->YP_010087350.1 p37 [Jasmine virus H] -MAASDSPAVTQLAATGVPWAVKLQQKGWRSLSKTQKAQARAAGIGAPVVTTVTPKVVRVISGNPTNQRRA -PGAPGNAMKSMTITKQEFVGSIHADGAIHTLVLDPRDVRTFPLLSTLALGYNKYKFSSLKLRYSPRNSDT -NCGFIASFSSDSSDERPSSRFQFYSTSPRYESAASKPLLVTLPIEKTTKFLRDKCTDDAKLVDCGAVHYM -VDGNHDGKLGELFLEFTIVFSEPTFVQNSTQYITNTTNVRGPQFATVVKSRNTATVTLRSAGHFLCCLHS -DLLTNIRRTGQEEALKTQVDSADNSSVIVEIKSEEPLSSFVLTFASPEKTSLRLYVTRM - ->YP_459964.1 coat protein [Angelonia flower break virus] -MSNLRNDPRVAKLAAQGSAWAKRLMNNGWGGLRPNQKAAARQALGILPAPVATPVTTTRLVNGNPTNQRR -KRNQPGNLRTDTPVTSTEFFADVLLHTGEDANIRSWVINPREVSLFPRLSIQSALYDKYRISSLRVRFAS -SCSFEVNGKIMLGFIGDSSDEVPVTKIDVHSLTKTAECTAMQNIIFNVGGDNITRFLGDRASDDPKLVNF -GRIVLCTYGFDSNAPSIVGELSVEYTITLLNPSPVASLSQRWISGSSKGIGFVEYTHDVATKTYQFDFQT -GGRYCLIVATDKGVFGSPLVTGSVQTQSRVVTDGTATLMTVSVDMKAPNGTISWIPPTDQGALTEWIVTR -V - ->YP_009345604.1 p37 [Clematis chlorotic mottle virus] -MAAKDSPIVKRLAAQGVPWAVKLMEKDWRSLTKSQKQAARAAGVGPVIPTSVSPKVTRLVGGNPGNARRT -SGDPGNAMKTMTITKQEFLGSIHADSLIHSLTLDPKNVRTFPHLSALAAGYNKYRFTTVRLRYSPRCSNS -DCSFIAGFTTDSSDDTPSNKYQLYGLATRFECQSSKSLLMTPPIDKGLKFLRDCTTDDSKLVDCGKIYYM -VDGNHDGILGELFLDFTVVLSEPQYSQVQTQKHEGSSSSGPGLTTFERRERNCVLQFQCAGKFLVSMRTA -PIGDVRGLGADAAGMLQTKEGDHVHSLLEVTANEPMASLLFSFSSATVTSRIYVSRM - ->YP_009270624.1 coat protein [Gompholobium virus A] -MAIQDSPITKSLAAKGVPWAVKVLGKGWGSLSKSQKVAARMALGTAELALVQTPRQVRLSNGNPTMARRT -RDQPGNINKNTTITKKEIIYTVPQSTTTTGAFKSWVIDPRSAEMFPVTCVAAASHQKYKITSLAVRYSPK -CSKDTNGGIILCYSKDSTDSEPATKYDMFNMSQVAEIAANAPLILNCRNPEAGWKFLRDSSSDDGKVVDW -GRVTLCTYGQSSSDPTLLGEIFVEYTVVFADPQSDPSLLQRGVLTESTGPTFATLSISSTATMWTINSCG -SFILIFNMTLPTSTTVVCTGMSSYSVTGGQDTYWCGFAEIACNTPGATISFTTTAASTVCTWYCTKI - ->YP_009116643.1 coat protein [Pelargonium ringspot virus] -MASNSPIVLAAANRGEVWAVKLKQSGWKTLSKAQKAAARAQGIGAAPLSQTVPKTTRIIQGNPTFSRRSR -DAPGNAQKSMTIVKSEFLGEVSADGAIHTYTLDPRNVRTFPHISDLARGFNKYKFGDLKVRYSAKVQESG -CGVCIAFTPDSSDPKPKGKFDLYSLGSRAEGAAHRNLLYTVPIDKSQRFLRDCATENSKDVDAGSLFVLV -DGQHDGRVGELFLEVTIVLSQPTYNQRATQLLAGTTHRDGPTFVKAVKSANTVSLTFQAAGNYLVSTYSS -LLERVGRLALGEAEQSQVDSSTHSSNVVEALVAAPGGSIVYYFKTPDQMSFRAYVCRM - ->YP_009116638.1 coat protein [Elderberry latent virus] -MANKSPVVVAAAGRGELWAVKLSSLGWRSLSKAQKASARAAGIGAVPQSAIIPRTTRLIAGNPTNSRRTR -DAPGNAQKSMTVFKSEFLGELHADNTIRSYKLDPRNVRTFPHISDLARGFNKYKFTDVKVRYSSKVQDSE -CGLTIAFSADSSDPAPRGKFDLYALGSKVEGAAHKNLLYTVPVDKGQRFLRDGEGDNAKEVDAGVIYVLM -DGAHDTRAGELFLEVTVVLSQPTYNQRSTQYISGTEHRGGPNYVNITKSANTMTLTFQAAGTFLLCSYSS -PLEKVGRLSLADADQSQVDSANNSSNIIEAVVTTPGGSIVYYFKSREQMSFRAYVGRM - ->YP_009032648.1 capsid protein [Carnation mottle virus] -MENKGEKIAMNPTVQTLAQKGDKLAVKLVTRGWASLSTNQKRRAEMLAGYTPAILAFTPRRPRMTNPPPR -TSRNSPGQAGKSMTMSKTELLSTVKGTTGVIPSFEDWVVSPRNVAVFPQLSLLATNFNKYRITALTVKYS -PACSFETNGRVALGFNDDASDTPPTTKVGFYDLGKHVETAAQTAKDLVIPVDGKTRFIRDSASDDAKLVD -FGRIVLSTYGFDKADTVVGELFIQYTIVLSDPTKTAKISQASNDKVSDGPTYVVPSVNGNELQLRVVAAG -KWCIIVRGTVEGGFTKPTLIGPGISGDVDYESARPIAVCELVTQMEGQILKITKTSAEQPLQWVVYRM - ->NP_620723.2 p38 capsid protein [Turnip crinkle virus] -MENDPRVRKFASDGAQWAIKWQKKGWSTLTSRQKQTARAAMGIKLSPVAQPVQKVTRLSAPVALAYREVS -TQPRVSTARDGITRSGSELITTLKKNTDTEPKYTTAVLNPSEPGTFNQLIKEAAQYEKYRFTSLRFRYSP -MSPSTTGGKVALAFDRDAAKPPPNDLASLYNIEGCVSSVPWTGFILTVPTDSTDRFVADGISDPKLVDFG -KLIMATYGQGANDAAQLGEVRVEYTVQLKNRTGSTSDAQIGDFAGVKDGPRLVSWSKTKGTAGWEHDCHF -LGTGNFSLTLFYEKAPVSGLENADASDFSVLGEAAAGSVQWAGVKVAERGQGVKMVTTEEQPKGKWQALR -I - ->YP_008378655.1 coat protein [Calibrachoa mottle virus] -MDNYKNSPVITTLANKGVPWAIKFKTKTWQALTPNQKKLAREALGMNLTATVIIPKRARGSPAVAKPNRL -GPGTAGKTSTCTGSELLLTLPKQTGYTPLTKSWILNPGQYSPFRRASLMSSMYNKYMFTNIKVRWTTTAS -FESSGRIVLAYNSDSSDPVPTKAGIVEFKTRAENVVTTSFILDIPGDGKYRYCRDSTSNDPKLVDFGRLI -VMHYGAAESDSAYLGEVLVDYTVVFSEPIPTGSITQQGEQLVSDGPGYAFVTVQPTTFRLTIYGEGKWLV -VWQSSTATPDVNIKGDGAKAHITSSADSKTVIAVVTAELEGAYLESTTLAAVSGLKWYVSRL - ->YP_007501039.1 coat protein [Rosa rugosa leaf distortion virus] -MAAADSPQVIQAASAGAQWAIKLRAKGWRSLTKLQKAQARTHGVGPVTPATIVPKVTRLVNGNPGNVRRR -NGEPGNAMKSMTITKQEYLGTISAGGGVKTFILDPRNVRSFPQLSAFCLGYNKYKFTKLAFRYSPRVNDT -TAGIICAYTSDSSDEAPRSKYQMYSINPRYEAVASKPLIVSIPPTKEPKFLRDKSSDDAKLVDSGSIHCL -IDGDHEGKLGEIFLDLTVVLSEPTFNQCSMQEVTKTRHIKGPGYVQPSFQDGVVTLTFQASGSYLCTYSC -APITSLSQTGMEEAARVTTTSTEESSGFVEVVTEEPLSSVKFQFLNPASSKFHAYVTRM - ->YP_004300267.1 putative coat protein [Trailing lespedeza virus 1] -MNGISQNDPKVVKAAEAGIPWAIKLRSRGWRSLRTNQKLAARAFVGSSAPSVAIVPRVTRLVRGNPQRTR -RNPQQPGNAADSTTITKSDFVADVIGNTTEAGTVTSWLINPSNVVAFPSLLNESARWEKYKITKFSIRFS -SKCSEYTDGGVVVQFASDSTDAVPTSKYGIMQSQCRADASAHGSVLLNCAVDPKVRFVRDSSADSGKVVD -YGRVNLCAYGQKAADPSIIGELFFEYTIVFSEARVNRGVTQYQYLLTDSVDGPSFASVTSTSAELTFTFN -LPGKYTFICSFTGTVSGLYAADLTYNWSVDNTTAVAGVVTVTSPGATLSWAIGATVTSLLWQCNIF - ->YP_004191793.1 p37 [Honeysuckle ringspot virus] -MDTLRNSKKVAQLSASGVLWATKYMTRGWQSLSTNQKRLARAALNLPLTPQVISPVRPRVRNPQPRGAAN -GLGQAGKTQTITRSEYVADVVKTVGATPTFTTWVINPRSVRSFPGTSVCSLGYEKYRVVNFRVRYSNSLS -DDVSGKVSIGFTPDSSDPIPTNKTQLYQMRVSMDTAAKESKTLTIPTDNATRYLRDSSIDDAKVVDFGRV -VLATYGFDQSAPEVIGEIHFEYTLVLSDPNFVTTLTQKGTNSEYEGPLYGALERTNSAITLTLQAPGNWL -VVWISPEAFNSPTVTGSGASGTVSYQSGGSSMAVTTVIANLPGAQVTAPTTTAVKELQWYVSRL - ->YP_001039887.1 coat protein [Nootka lupine vein clearing virus] -MTNSSAITNHPATTKAAVSGVAWAVKLRSKGWASLTTAQKRAARLATGISDGPVVVVMPKRNKVKNPNNH -TIEGQAGKSTNISKAEFISTVTGSTGSSPTFSSWRINPSDVGSFPTISCFANRFNQYQITSMAVRFSPAR -SFQTNGMISLAFTDDSTDPVPTSRQQMAGYTSMVSSEVSQNLLMKIRGDSKRRYIRDSTNENGKLVDFGR -VILSVHGCDSGVGDLGDLYFEYTIRFSDPTFVQRDSQTSAEEEEVGPHFADFRATDTEWTATLVAPGNWV -VSVVGSNVPDRMTIRGDGATGEVHEGGDSYSYVAVAVVRAQIQGAVVALNLTGGGKVTKVVVSRM - ->YP_238481.1 coat protein [Pelargonium line pattern virus] -MAAKDNPAVIAAVARREQWAIKLQSKGWGSLSKAQKATARSYGIGNPPTVVVPRTTRLVAGNPTNARRAR -GEPGNAKMGTTITKQEYMGELHSSSKIETYLLDPKNVRSFPQLSGLAIGYNKYKITDFKVRYSPKCSDSE -CGLTIAYSSDSSDPPPKNKFNLFSMNHKYETAAHKPLLVTLPVSKETRFLRDKCTDDSKLVDSGAVHVLV -DGSHEGRLGELFFELTIVFSEPTFCTHHSQVIKGLKESEGPEYVKVEPDKETVKLIFQAAGAYLISIVAS -KLERIGQLGASEGDKLVVESTDKSACLCRIEAEQPGSAVVLVYASREAITLRAYINKL - ->YP_052929.1 putative coat protein [Pelargonium chlorotic ring pattern virus] -MTASDSPITSKFAAQGAQWAIKLQTKGWRNLSKAQKREARSHGIGPSAPPPAIPKVNRVIMGNPNNSRRR -GGGDGNAQKSMTITKQEFLGTIFSSDSITTYPIDPRNVKTFPQISALALGYNKYKITSLQLRYSTRVTET -EANVIMAFTTDSGDLPPRSKVDMYNIGTKFEVLASKPLVATIPVSKDTKYLRDKCSDDSKLVDCGALHFM -VDGRHSGRLGELFLQVTIVLSEPTFSQQSTQILRGTTQTGPTLVEFSQSGKSSTYKFLAVGRYVFSAYGK -VLVKARQVGMDKAKQMHTSDDKSSNVIAEVEALEPGASIVLDYVEEEPPSFVCISRM - ->NP_945127.1 coat protein [Pelargonium flower break virus] -MDAYKNDPIVRQAVAKGVAWAAKLNTRGWSSLTTQQKKAARSALSVIQSPAVVVPRRTRVRNPVPAGLKS -GNGKAGKSSTLTRSEFICNVSSTTGVTPTFQSWIINPREVKSFPQSSVCSVGYNKYRITDFRIRFSTSCS -DTMNGKVAIGFTPDSSDPVPVDKSQLYGMQVYADTPAKESVTLKTAADNTIRFLRDSSSDDAKLVDYGRV -VLATYGFDSTAPSVIGELFFEYTVVYLDPTYVVALTQHGTLTTSSGPPYATLTTTATSTSMELNTHGKWL -VVWVSADEVINSPVISGSGASGSVTVVSDAQTTVVAVVTANLPGAIISNTSTAAPSSLEWYVSRL - ->NP_619676.1 coat protein [Hibiscus chlorotic ringspot virus] -MLQKNDPAVQRAFNAHLPWAIKLKNDGWAALSKGQKRAANRYAGGTRPVTSQIKLSTLKVTAPVAASMRT -RNPGANIRTAGKSVTVVHCEFVGNIARQTGSGLLVVERTINPSNVLSFPWLSVLAAGYEKYRVSSLSLRY -SPTCATTTEGKVILAFDKDAADASPTSKSDMYNHDGAVGVSPWDSAMLQIPCDNVDRFINDSSSSDPKLV -DFGKVVVANYGQSEGDVDVIGELFLQYSITLKIPQGMATPTQSGVGISSVGPSLFRVQLRDDTHVFTCNG -TGRFLFFFNSTVDATSTIAGMEVKQKSSTDDKGETTIMELLATESGGTIAILAATGTLTWWACRN - ->NP_038458.1 capsid protein (p38) [Japanese iris necrotic ring virus] -MALRDEKKVAKLASDGVAWAVKLRSGGGWKTLTTTQKRMARQALGMTQVPIAQTGLRVNRVSQPISVSSR -ITTGEPRVTNVKRSMTVTFSEMIGVVRSHSSLSTESWVLNPSDIATFPQLSVSAAMYEKYRFTNLAFRFA -PSCPTTTGGVVVLVHDPDSSDDLPSDPTMLYEYSGAMRTSAYSPARLIVPTDGAARFVGDNHTSDPKLIN -FGKLIVANYGQGASDPDMLGEIFIEYTVVLIDRQPSALSTQTLSAESSTGPKLLSRLVEGTKSPYTLTYS -FNCGGTFVVSLFYPIGPVSGPVSGSATIIRAYGDAQSQQAQVITVRSPCRGGDFTLGLNSPGDIPTAFVT -RA - ->NP_044388.1 capsid protein [Saguaro cactus virus] -MDAKYGKDPRLAAAAATGAAWAVRFLNRGWASLSPKQKRTARSVLGLQNQPDVIVPVRPRATARVARPTR -QGPGLAGKSMTITKCEYLSDIVSHVGTIPLTDHWVVNPGTIKTFPQTSLMASQFNKYRLTALRVRYTSTC -SFETSGRVAIAFTNDSNDPLPTTKSQLYNFPVHIEAAATESKVLTIPCDNVYRFVRDDGVSDPKLVDFGR -IVVCTYGSSAADPATLGELFIEYTIVFSDPTYTSSLTQQGENQRSIGPRYATVDYESTRWECQLQAAGRW -LVCWVSDSAIPSPSIVGVGAKGKVLTSSDSKCGIATVIAQAPYCSIVSTTVSAPSSLTWFVARL - ->NP_041887.1 coat protein [Cardamine chlorotic fleck virus] -MAIKEDPRLVKMASLGVPWAVKVTTKGWGSLTTKQKIAARAALQIPMRVTKQPTPKVVRVSAPAAVSYRE -VTGAPRVTTAGKVLTHTASELITTLKKNTSLEPKYVEAIVNPSRVGTFNQLPDIAASYEKYKFTSLRFRY -SSTCPTSTGGKVALAFERDAANPLPDNLTAFYNLEGNTSSNPRDSFVLTVPCDNVARFVADGGSDPKLVD -FGKLLAASYGQGEGDANGLGEIHIEYTVQLYNRLGSAQRSQIGSLDGKFLGPRLVEVTSGKSDKGTKFTF -SFHGCGLIPILWLAKPRWPLTAGSAVACEVLGQSGDDSVQWAGIRISEREQTVEFEHTETTPEAKWSVSR -L - ->sp|Q9Q6X7.1|CAPSD_CARMS RecName: Full=Capsid protein; AltName: Full=Coat protein; AltName: Full=p38 -MENKGEKIAMNPTVQTLAQKGDKLAVKLVTRGWASLSTNQKRRAEMLAGYTPAILAFTPRRPRMTNSPPR -TSRNSPGQAGKSMTMSKTELLCTVKGTTGVIPSFEDWVVSPRNVAVFPQLSLLATNFNKYRITALTVKYS -PACSFETNGRVALGFNDDASDTPPTTKVGFYDLGKHVETAAQTAKDLVIPVDGKTRFIRDSASDDAKLVD -FGRLVLSTYGFDKADTVVGELFIQYTIVLSDPTKTAKISQASNDKVSDGPTYVVPSVNGNELQLRVVAAG -KWCIIVRGTVEGGFTKPTLIGPGISGDVDYESARPIAICELVTQMEGQMLKITKTSAEQPLKVVVYRM - diff --git a/seq/clusters_seq/cluster_235 b/seq/clusters_seq/cluster_235 deleted file mode 100644 index 7f854fd..0000000 --- a/seq/clusters_seq/cluster_235 +++ /dev/null @@ -1,222 +0,0 @@ ->YP_010087860.1 HSP70h [Malus domestica virus A] -MNVKAGLDFGTTFSTISSLVGSEFKELWLDKSPFIPSVLSIFDGDKVLVGEMAKNVKNFSKSYTTFYDLK -RWVGVSQRNFEKLKNKINPVYKCEFKENDCYITGVGTAVRTLPVKTIINNYIKILVNLFEDQHNCKIVVL -NVSVPADYTTRQRVYMRTILDNLNIKVERMVNEPSAAALYSTFKNAEYENFVIFDFGGGTFDISYVKRDG -NKVMIVDTCGDLFLGGRDIDREISRYIFSRFGFKPAELLISNLKEDTTNSKSTKFNILSEDLVVKTIDFD -KRILDELVAPYSLRALMMLDSIITRNGITKCVVCMVGGSSLLDKVYDDVQKFCKAYDFDIVRDKNLRLSV -SYGCTALHAMSDDKDFLYIDVNSHSLIDFGVHMRPEIIVRKPMPIPYTHIVDRRNDLSFETSVVVLEGDS -PCMLDNDLIYSAGFSTTDVSKINEGYRLMYTLDVEGNVSVKLSDKTGQVERELTSSIDHSFKVVPLELEQ -VQLSTSQKYSALVSMSRYYGVNEVLHKCNVLLPFTIKNIVSINGGFDRLFDFVRSRIGRFSSGSSRESES -V - ->YP_009666140.1 heat shock protein 70-like protein [Cordyline virus 2] -MPKDCRAGVDFGTTFSTISAIVDGVATPLILEGSPYIPTVITFFENKVAIGELAKTISDSTKANHMYYDL -KRWVGVNRGNFISLKDKLKPQYECRFEEDDCQMGGIGSPPVFRSVRFLIATYLDILIKLFEENFNVKVTN -LNVSVPADYYTFQRAYMRNVVNSLNIKVDRILNEPSAAAIYSITANPDFSDFVIFDFGGGTFDVSYVKKS -GKIIMICDTQGDLFLGGRDIDKEIQKHIKKYEDVNVPTLSLPYLKENVSLGKSQTFNVLNDEHKISHVSF -RVEDLDLIVKPFAMRSCKILYDVLTRNEISNAIICLVGGSSLLKEVQRQVSEVARNTNNKTYQDKDLRLS -VSFGCSSLHLYTDDPNFTYVDVNSHCVFEIDEMFKPVILIRKPMPIPFKIRERRRNEYKFTTAVDIYEGD -SQWFLDSQVLVKDSYNTDAVSKLNEGYYRVIQYDLDGNINVWIENNDSTNKRILKSSITTQQPIKLEKFE -RIQVGSNALYCVLAELVRYHNLDEAIKHIDPQFSFELKKYIEANGGSQAYIEK - ->YP_009664825.1 heat shock protein 70-like protein [Cordyline virus 4] -MNCKAGIDFGTTFSTISALVDNKLTPLILEGSPYIPTVLTLFGGKIVIGDLAKTVGRNVTGSTMYYDLKR -WVGVSEKNFDNLKNKIKPAYDCKFEKGDCLISGVGDRKVFYPVRSLISWYIKVLINLFEGKFKVKVTNLN -VSVPADFYSSQRTYMRSIVNKLGITVDRILNEPSAAAIHTISSNPEFTDFIIYDFGGGTFDVSFVRKRGK -IINICDTMGDPFLGGRDIDVAISKYILDKYDIEVDTLSLSYVKENVVNSNSTKFNILDSSMRLVNISFTK -DELFRLCAPFSERASVITKTLIERNNVSNALISMVGGSSLLPRMQQDILEVSKATKNKMFVDKNLRLSVS -YGCTDLHILLEDPEFQYIDVNSHFIYDLGMFFFPEILIRKPMPVPYINKIEKFSLHRFSTAVDMYEGESL -FFLDNNLLVKDSYMTDVVAKIGEKYYLVIQYDLDANIQVYLSSIDGSKIHKLKSTSEVDREILPFNFEQT -QISSVNIYSALFSLIIYYYGRKGFEEINLNIPTDIKKFVDSNGGIEKFIRSLTPYIEGIQEL - ->YP_009664816.1 heat shock protein 70-like protein [Cordyline virus 3] -MEQRDLPFCRAGIDFGTTFSTISAIVDDKLTPLILEDTPYIPTVLTIFDGNLVIGNLAKTIGSVVKNYTT -YYDLKRWVGVTASSFQTIKDKLKPSYFCEFKDEDCHMSGIGTVKKSFSVKTLICWYIKVLINLFEEKFKV -KVTNLNVSVPADYYSIQRSYMRSIVDSLNIKVDRILNEPSAAAIHSIFASKNSDEFLIFDFGGGTFDVSY -VRKKNKIIMICDTEGDLFLGGRDIDAAIGSYITTQTGTKLSPLSLAYIKENVISSDARDFNVLDENGDSV -QVSFDRQKLTALADPFVKKSIYILEKIVARNNIKGAVISMVGGTSLLPSLQSAVKKFANKTGNSVYVDKD -LRLSVSYGCTYLHILTEDPDFIYVDVNSHVIFKLGTYFKPEIVIRKPMPIPYVREIQMSNDFVYNTAIDL -YEGESLFFLEDKLLVRDNYSTDTVSKKGEGYIQVYSYDLDGNISIYLTNKERSVVKHLRSTSSDTFKPSP -LNFKQIQISAASSYIVVTSLLAYHTKDPKFSNLNLSLPSEIKEFVEKNGGFEKFIAELQHFVKGL - ->YP_009507966.1 heat shock 70-kDa protein-like protein [Tetterwort vein chlorosis virus] -MATAKAGLDFGTTFSTISAYVNGVMRVLKLNNTEFIPTCLAITNTGDVVVGGPAQVLESGELANCYFYDL -KRWVGVDKINFSIIKNKIRPQYVAELKGNDVYLTGINRGYSCTYTVKQLILLYIETLVRLFSKVEGLNIV -SLNVSVPADYKCKQRMFMKSVCDSLGFSLRRIINEPSAAAIYFVSKYPNYDAFLMYDLGGGTYDSSLIVK -DGKYVTVADTEGDSFLGGRDIDRSISKYIMKKYDLQHPLSADYLAAIKEDANSKGRESFNVMDVTGRVLN -VKFTKDEMDKCIQSYSDRSLKILENMVLRNNVTSGALFLVGGSSLLEKIQRDVKSFAKTHNLTCIIDPDL -RSAVSYGCSMSHAQEDSGNMTYIDCNSHPLMDITLFCGPRVIVRKPMAIPYTYMKEELVKSHYSTILNVY -EGSDPFVLYNDWLISARLKTADYCKLGQTLVYMYRYNVDGILELLVRNKETGVESVLPNTFTLTEKIDKL -KLTLTQLSNIDELATLITILGHFRPELKDLLTYVKTPTIFENKINSFTTPKALYQELITYNANFVNK - ->YP_009507953.1 heat shock protein 70-like protein [Diodia vein chlorosis virus] -MEAKVGLDFGTTFSTISSYVNGVMHVLKINNSEYVPTCLAITSSNDVIIGGAAQVLDSSEVSNCYFYDLK -RWVGVDRINFEIIRRKIRPQYVAELIDNDVYLTGINRGFSCRYTVKQLILLYIETMVRLFSKTDNLNIVS -LNVSVPADYKCKQRMFMKSVCDSLNFSLRRIINEPSAAAIYSVSKYPKFKYFLMYDFGGGTFDTSLIVRD -GKVVTVADTEGDSFLGGRDIDNAISRYIMKKHSLKIPLSSDFLASIKEEVNNSDKSNFTASDVQGNIVNV -NFTKTDLSNCIESFSDRSIRILDNLVSRRKLTEGALFMVGGSSLLKKIQQDVTRYANSKGLQCVIDKDLR -SSVSYGCSMQHAQEDSGSMTYIDCNSHPLMDLYMYTNPKVIVTKPMPIPYTKYDPRMVTSHFNTIVNVYE -GSDLFVLNNDWLVSAKLDTRNHVKIGETLTFVYKYSIDGILYLYAKNEDTKVEKLLPNTFSLTEKIKKLD -LQLTQLSTIDESATLLSIISYFDSKYKTYLNYLKTPTILEKELAKATSLKALYSELVKFNKNFNSGK - ->YP_009506346.1 HSP70h [Cordyline virus 1] -MPTFCRAGVDFGTTFSTISAFVDEVATPLFLEGSPFIPTVITFFDNKVVIGELAKTISEVVKDNVTYFDL -KRWVGVNEKNFIKLKEKLQPSYECKLEKGECYMGGIGVRTVFRSVGFLIATYLDVLTKLFEEKYDVKITN -LNVSVPADFYTFQRSYMRNAVNNLGIKVDRMINEPSAAALHSILSNPEFTDFVIFDFGGGTFDVSYIKKK -GKIIMICDTQGDLFLGGRDIDKSIQHYFFGKYSIEIHPFSLSYMKEGVSTGKSQSFNVLSSNKEINHVNF -SKDELNSIVSPFAKRSCEILKAVIDRNEITNAVICMVGGSSLLTEVYNQVNNVAKGTNNKIFRDENLRLS -VSFGCSCLHFFTDDPDFTYIDVNSHCVFEIDEMFKPSVLIRKPMPIPYTLRQEKQNNNKFLTAVDIYEGD -SQWFLDCQVLIKDVYSTDAVSSLGSGYYRVIQYDLDGNINVWIENKDSTNKRNLKSLITSHKTIKLENFE -RVQTGSSSLYCTVAELIKYHKLDKNIEAIDSNLFFKIKDYIEINGGISKYIETLRKNGVQI - ->YP_009140434.1 heat shock protein 70 [Areca palm velarivirus 1] -MVLAGLDFGTTYSSIASVSREESLVLSLNGTPYIPTILAIVDNNKILVGEGAKTVKNYSNNYSLFYDLKR -WVGVTALNFDVLKAKLSPKYQCTFKKGDCYIVGEGNGNFEASVKTLICEYIRTLIYLFQETFNVVVNAIN -VSVPADYTSLKRKYMKSILLQLGVPLNRIINEPSAAALYSIFSAPEVGTTIVYDFGGGTFDSSIIQKKGK -IVSIVDTKGDLFLGGRDIDKSLATLIHSKTNTEFDFTILSLIKEEINNLNKFSFTFVDKDHKPVSFTITP -QEYRAILQPFIDRSLKILKDLIEVNNLTTFSINMVGGSSLLNEIYNAVNRFGQSKGAKVYRDDNLRLAVA -LGCACLFSLESDPEFTYIDVNSQPLYDIGLYHIPEIVVRKPMPVPYTHVVSHDNSLIYKTGICVLEGDAP -WFLEADPLVNTSISTDIVSKAGEGFEVHYIYAVDGTISIEVKSKDHKVVKQLQNQLEQSFEFKELELERV -QYGNVTEIVTMLDIIKHHPSGQDFASIDLNFPNLALKYVNDHGGISRLDEILRDIL - ->YP_006522427.1 heat shock 70-kDa protein homologue [Cucurbit chlorotic yellows virus] -MSAKAGLDFGTTFSTISAYVNGVMRVLKLNDTEFIPTCLAITITGDVVVGGPAQVLEEDEVANCYFYDLK -RWVGVDKINFDEIKEKIKPLYVAELDGNDVKLTGIDRGFSCTYTVKQLILLYVETLVRLFSKVENLNIIS -LNVSVPADYKCKQRMFMKSVCDSLGFSLRRIINEPSAAAIYFVSKYAHFDSFLMYDLGGGTYDSSLIVKD -GKYVTVADTEGDSFLGGRDIDRAISNYIKNKYNLKFPLSADFLASIKEEANSKGRDSFNVVDEKGRLITV -KMSREELDSCIEPFSKKSINIIKNMVVRNQIRSGALFLVGGSSLLKKIQSDVAQFAKSHNLECIIDPDLR -SAVSFGCSMSHAQEDTGNMVYIDCNSHPLMDIAFFGNPKVLVRKPMAIPYTYRKEEFFKSHYSTILNVYE -GSDPFVLYNDWLISARMQSSLYCNIGETLEYLYKYSVDGILELSVKNKTTGKETVLPNTFTLTESIKKLN -LNLTQLSNVDETATLIAILSHFKPDLKHLLTYVKTPTIFETKLNNISSAKELYKNLVDINEKFSNT - ->YP_004935921.1 HSP70 gene product [Grapevine leafroll-associated virus 7] -MVDLGLDFGSTFSTVSALIDDKFVELNMNGSPYIPTEMAIYDDKFLVIGSAAKLVHLKSDRYTIYYDLKR -WVGVNSLNFERIRDKLKPKYNTIFQDNDCWMDGIGTVRKFLPVKSLIYYYIKNLVELFCKVKSIQPGRLN -ISVPADYSNLQRGYQKSLLKEIGFSVNQIVNEPSAAAIYSFFTNPDKEDILMYDFGGGTFDVSYVKILNG -IMSVIDTSGDLYLGGRDIDDQLGRYLTNKSGKVFTPFILAKIKLDVSEDNKSVFDLPDENNESVRFDFNS -SELNEIVRPFSERSLKLLDDVVVRNNIRSCCIVMVGGSSLLSNVYNMVNEYASKHSFSVVRDKNLRLSVS -YGCACLFQLQKSNNFTYIDVNSHPLYDVDFDFLPEIVIRKPMPIPYTHSVKKANNTTIQTGINVFEGDKP -FLLDAYVLVKSTFFTDSVSRPGEGYYLNYRYSVDGDISVDITSSDGKVKKTFENSVIQEFKLNKLDLIQT -QIGIGSEIVVLVDLLKYYSTDEDIKHLDTKHPFLLDAAVEKLGGIGEISRRLRDHLPFV - ->YP_003204956.1 HSP70h [Tomato infectious chlorosis virus] -MDCKIGLDFGTTFSTISAYVNGKMFELPLNNTTYISTCIAITSNSDAVIGGAAEILSSDVSPHCFFYDLK -RWVGVDEKSFDVAKKKIKPKYSVELKGSEVYITGINKGYSTTLSVRQLIKAYIETIVKLFAKTFNLQIRD -LNQSVPADYKCAQRLFARSVLNSLSFPCRRIINEPSAAAVYCVSRYPEYDYFLVYDFGGGTFDVSLIAKY -KKYVTVVDTEGDSFLGGRDIDMSIDNYLKTKYKLSGNIPAVFLALIKEECNTLGKSSFTVILDNGSTAVI -EFSPEELRACVVPFAEKSVEILNRVVSRNGLTTGVVFLVGGSSLLKPVQSVVKQYSTQIGLTVLIDENMR -SAVSYGCSLLHSLEDSGNIIYIDCNSHPLSDLSFNCDPSVVVRKPMSIPYKQTMPRKHERQVHTEVNIYE -GSDLFCLNNDWLISSKLTSTDYAKVGEPYSIIYEYTIDGIIELSIKNEVTGVISKLKNSFEKSSTIEKLE -LNLTQLSNVDELCTVIALLSYRKKELNKLAKLFNIPNMLIQASKNYGDFKILYSMLSRDNSNFK - ->YP_003002358.1 unnamed protein product [Lettuce chlorosis virus] -MAAKAGLDFGTTFSTISAYVNGQMRVLKLNDTEFIPTCLAITVTGDVVVGGPAQVLEGGEVANCYFYDLK -RWVGVDKVNFDIIKQKIKPQYSAVLVGNDVQLTGVNRGYSCTYTVKQLILLFIETLVRLFSKVENLNIVS -LNVSVPADYKCKQRMFMKSVCDSLGFSLRRIINEPSAAAIYFVSKYAHYDSFLMYDLGGGTYDSSLIVKD -GKYVTVADTEGDSFLGGRDIDRAISEYIIKKYSLKFPLSADFLANIKEEANSSGRESFNVTDEKGKLMTV -KLTREELDSCIQPFSDRSLRILENMVVRNKVSSGALFLVGGSSLLKKIQEDVKAFAIRYKIECIVDKDLR -SAVSFGCSMSHAQEDTGNMTYIDCNSHPLMDVSFFCNPSILIRKPMAIPYTYKKEEKIKSHYSTILNVYE -GSDPFILYNDWLISARMQSSLYCNVGDTIEYLYKYNVDGILELSVKNKTTGKETVLPNTFTLTESIKKLD -LSLTQLSNVDETATLIAILSHFKPDLKHLLTYVKTPTIFETKLNNISSTKELYSTLVGLNKNFKNK - ->YP_001816775.1 p62 [Bean yellow disorder virus] -MSAKAGLDFGTTFSTISAYVDGKMRVLKLNDTEFIPTCLAITNSGDVVVGGPAQVLEGKEIPNCYFYDLK -RWVGVDKINFDVIKAKIQPQYVAVLDGNDVKLTGINKGYSCTYTVKQLILLYIETLVRLFSKVENINIVS -LNVSVPADYKCKQRMFMKSVCDSLGFSLRRIINEPSAAAIYFVSKYSQFDSFLMYDLGGGTYDSSLIVRD -GKYVTVADTEGDSFLGGRDIDKAISVYISKKHNLKFPLSADFLATIKEESNSKGRESFNVMDESGRLVTV -KITREELDTCIEPFSIRSLRILNNMVVRNQVKSGALFLVGGSSLLLKIQKDVQSYAHNIGLTCIIDPDLR -SAVSFGCSMSHAQEDLGNMTYIDCNSHPLMDLSFFGNPSVLVRKPMAIPYTYRRERKITNHTSTVLNVYE -GSDPFVLYNDWLISARMESRLYCNLGETLEYLYRYSVDGILELSVRNKSTGKETILPNTFTLTESIKKLN -LNLTQLSNVDELATLIAILSYYQPDLKHLLTYVKTPTIFESKLNNISSGKELYSRLVSLNKLFENK - ->YP_293699.1 heat shock protein 70 [Tomato chlorosis virus] -MSIKAGLDFGTTFSTISCFYNNKLFSLKLNGTEYIPTCLSITPNNEVIVGGPSQVLEASETPSCYFYDLK -RWVGVTSVNYEVVKAKINPTYKTRLSNNKVYITGINKGFSTEFSVEQLILHYVNTLVRLFSKTENLKITD -LNVSVPADYKSGQRLFMQAVCSSLGFNLRRIVNEPSAAAIYCVSKYPQYAYFYIYDFGGGTFDTSLIVRY -GKFVTVADTQGDSFLGGRDIDKTISKFIMDKNALNAPLSADMLASIKEETNSTGRSSYNIISDDGSIINI -QFTFDDLVKCVEPFARRSFSILRSLVSRNKTSNGALFLVGGSSLLRPIQNRADGFARNHGLALIIDPDLR -AAVSFGCSMLHAQEDSGNMTYIDCNSHPLMDLGLYCHPRIIIRKPMSVPYTHKIEREVTRFITTALNVYE -GSDLFVLNNDWLISADVDYSKYAKMGETLVSVYKYTIDGILELSMANKTTGKSWVLPNTFARSEKIVISD -LTLTQLSNVDELATIVSILSYFDTTFNYLISMFNTPSIFEREVGKISDAKGLYNRLVEQNRNFS - ->YP_227360.1 p62 [Blackberry yellow vein-associated virus] -MTEAKAGLDFGTTFSTISTFTGGVMRVLLLNNSPYIPTCLSISDSGDVIIGGAAQVIDRSEVKTCYFYDL -KRWVGVDRTNYEVLKRKIRPQYVTELRGNDVYITGVDRGFSCTYTVKQLILLFIDTMVRFFSKTDNLKIT -SLNVSVPADYKCKQRMFMRSVCNSLGFSLRRIINEPSAAAIYSVSKYPNHNFSIMYDFGGGTFDTSLITR -DGVYVTVTDTEGDSFLGGRDIDNEISNYIMRSNSLSKPLTSDFLASIKEECNILGKSNFNAMDVDNKIVT -VSFTPDDLAACVSPYSVRSIKILDNLIRRRNISTGAIFLVGGSSLLKKVQSDVSDYAKSRGLSVIIDKDL -RNSVSFGCSMQHAQEDSGSMVYIDCNSHPLMDLGLFGNPRIIVRKPMSIPYTKTESREISRHYSTSINVY -EGSDLFVLNNDWLMSASMATQDYAQVGETIQVSYKYNIDGILEIYIKNEKTGVEKLLPNTFTLTESIDKL -NLNLTQLSNVDEAATLISILVYYRPELSRLLKYLKTPSVFEKAVQEVGSLRELFTSLQLLNKNFL - ->YP_054417.1 heat shock protein homologue [Potato yellow vein virus] -MAHKAGLDFGTTFSTISSYSDGVMKVLKLNNSEYIPTCLSISDKGDVIIGGAAQVLDSGEIKTCYFYDLK -RWVGVDKINYNVIKQKIKPAYVTSLVGNDVHITGINKGFSCTYTVKQLILLFIDTVVRLFSKIENLNIVS -INVSVPADYKCKQRMFMRSVCDSLGFSLRRIINEPSAAAIYNVSKYPNYKYFIMYDFGGGTFDCSLITRD -GRFVTVADTEGDSYLGGRDIDNAIQKYLMAKNKLSHPITSDFLASIKEECNSTSKTSFTVFDVNNRLKTV -SFNKEDLAICTKPFCTRSLEILNNMIERNSITTGVIFLAGGSSLLKTVQDEVRSFSMKKNLECVIDKDLR -NAVSFGCSMQHAQEDSGTMTYIDCNSHPLMDISMFGNPKIIIRKPMSVPYTHIEKRKVDRHYNTALNIYE -GSDLFTLNNDWLISADVRTKDYCLEGQELSIKYVYNIDGMLDLYIKSNFQNKDILLKNKFSLTEKIAKLD -LKLTQLSTVDEAATLVAILSYYNSDLEVLLKLVKIPSLFESELSRVVSTKELLSKLVSLNKNFS - ->YP_025085.1 heat shock protein 70-like protein [Strawberry pallidosis-associated virus] -MTEAKVGLDFGTTFSTISSYINNKMHVLKINDSPYIPTCLAISIDKDVIIGGAAQVLDSSEVANCYFYDL -KRWVGVDKVNFENIKAKINPQYVAKLVNDDVMLTGVDRGYSCTYTVKQLILLYIDTLVRLFSKTDNLNII -SLNVSVPADYKCKQRMFMKSVCDSLNFSLRRIINEPSAAAIYSVSKYPNYKYFLMYDFGGGTFDTSLIVR -DGKVVTVADTEGDSFLGGRDIDNAISRFIVEKHSLPRPLSSDFLASIKEEVNNSSKSNFIALDTKGNIVN -VSFNKDDLATCIQPFSVKSIKILDNLVGRRKITNGALFLVGGSSLLKKIQQDVSSYARSKGLTCVIDEDL -RCSVSFGCSMQHAQEDSGSMTYIDCNSHPLMDLLMYGNPKVVVRKPMPIPYTKYDTRTIRQHYNTVVNVY -EGSDLFVLNNDWLVSAKVNTSDHANVGEDLTFVYKYTIDGILELYAKNEKTGVEKLLPNTFSLTEKINKL -DLQLTQLSTIDESATLISIMSYFDDNYTRLLSLLRTPTILERELLKITSTKKLYSALCDVNKNFNN - ->NP_940788.1 HSP70h [Beet pseudoyellows virus] -MQAKAGLDFGTTFSTISSFTNGEMKTLYVSNSPYIPTCLSISSEGDVIIGSAAQVIDESEVKSCYFYDLK -RWVGVDATNFLVIKEKIKPLYVVKLVGNDVYLTGVNKGFSCTYTVKQLILLFIDTMVRLFSKTNNLNIIS -LNVSVPADYKCKQRMFMKSVCDSLNFSLRRIINEPSAAAIYSVSKYPQHNYFIMYDFGGGTFDTSLITRD -GQYVTVADTEGDSFLGGRDIDNEIQQFIVKSNNLSRPLPSDFLASIKEDCNTTGKSTFNVMDVDGKLLTI -RFSREDLAACIEPYSKRSLKILDNLVKRRKISSGALFLVGGSSLLSKVQQDVAAYASANNFECVIDKDLR -CSVSFGCSMQHAQEDSGSMIYIDCNSHPLMDLTLFGNPKVVVRKPMSIPYSTSDSRTIRSHYSTAVNVYE -GSDIFTLNNDWLISANVRTSDHVNVGEDLVFIYKYNIDGILELYAKNGRTGAEKLLPNSFSLTEKIEKLN -LKLTQLSNVDEIATILSILSAFDDSLTGLLKFVKTPSILEREVAKISTPKELLERLVKQNKNFS - ->NP_851572.1 Hsp70h [Cucurbit yellow stunting disorder virus] -MAKAGLDFGTTFSTISSYVNGVMKVLKLNETEFIPTCLAITSNNDVVVGGPAQVLSNSDMPNCYFYDLKR -WVGVDSINYNVIKTKINPVYVTELRGNDVYITGIDRGYTCTYTVKQLILLYIETLVRLFSKVESITITSL -NVSVPADYKCKQRMFMKSVCDSLGFSLRRIINEPSAAAIYFVSKYPQYNNFLMYDFGGGTFDSSLIVRDG -KYVTVADTEGDSFLGGRDIDNAIADYITTTYGMKGGLSADVLASIKEDCNSKGRENFNVIDSSGKLHNVK -FTRQDLSRCIEPFSKKSIALLDNMVVRNITKDSAVFMVGGSSLLKKVQHDVMNYCARTKLECIIDKDLRS -AVSFGCSMSHAQEDTKNMIYIDCNSHPLMDISYFCSPKIIVRKPMAIPYTGVREETLTRHYTTILNVYEG -SDPFVLNNDWLISANMQSNKYGEIGDTLQYLYKYNVDGILELVVRNKRTGKETVLPNSFALTESIKKLDV -NLTQLSNIDELATLVAIMSYYKPELKYLLTYVKTPTIFENEIKKFGSGEDLYKSLAALNKNFK - ->NP_689401.1 viral HSP70 homologue [Sweet potato chlorotic stunt virus] -MEAKAGLDFGTTFSTISAYVGGTMKVLRINGSEFIPTCLSVTATGDVVVGGAAQVLDSSQLPHCYFYDLK -RWVGVDRLSFEEIKRKISPQYTVRLEGNDVLITGISKGFSCTYTVKQLILLYVDTLVRLFSNVEKLKILS -LNVSVPADYKTKQRMFMKSVCESLGFPLRRIINEPSAAAIYSISKHPGFDYFLVYDFGGGTFDTSLIAKD -GKFVTVADTLGDSFLGGRDIDRAILSHIMRTNSLQKPLSADSLAAIKEEVNSTGRSNFNVLDVDGNIIFV -NFSGEELDKIVSKFTAKSLKILKAIADRNKITSGALFLVGGSSLLRKVQLDVSNFAKSIGLTPIIDKDLR -SAVSYGCSMMHAQEDSGSMVYIDCNSHPLMDVSLFANPRVIIRKPMSVPFSYKTTRKVDRHMMTAVNVYE -GSSLFVLDNDWLVSANVNTQDFVDLGQELSYVYKYNVDGILDLFVRNESTGVESLLPNSFALTEKVKKLD -LNLTQLSSVDELATVVSILSHFDSSFSSLLKLVNTPSIFETSAAKFGDLRSLYERLIFVNKNFS - ->NP_619695.1 heat-shock protein [Lettuce infectious yellows virus] -MRDCKVGLDFGTTFSTVSTLVNNSMYVLRLGDSAYIPTCIAITPGGEAIIGGAAEVLSGDDTPHCFFYDL -KRWVGVDDNTFKFAMNKIRPKYVAELVEGEVYLTGINKGFSIKLSVKQLIKAYIETIVRLLASSYSLRVI -DLNQSVPADYKNAQRLAARSVLKALSFPCRRIINEPSAAAVYCVSRYPNYNYFLVYDFGGGTFDVSLIGK -YKSYVTVIDTEGDSFLGGRDIDKSIEDYLVGKYNIKKVIPATYLALIKEECNNTNKSIFTILFDDGSVQV -VEFSKSELEKCVRPFVERSIKLINDVVVRNKLTSGVIYMVGGSSLLQPVQDMVRSYASTKGLTLVADQDM -RSAVSYGCSVLHKLEDNKEIVYIDCNSHPLSDISFNCDPEPIIRKPMSIPYTHTVKMRHDRPLKTIVNIY -EGSNLFMPENDWLISSNINTTDFAKVGEEYSKVYEYDIDGIITLKIRNEVTGKMFTLPNSFTKSDNIKPI -TFKLTQLSNTDDLATLTSLLGYHDKNFERFYGLFNVPTILIKEIDKLGGFKTLYRRLKSMNANF - ->NP_045004.1 HSP70 homologue [Little cherry virus 1] -MSVKVGIDFGTTFSTISGFVNGSFVSLLVDKTPFVPSVLAIFDGNNVVLGEQAKVISRFSDKFVQYYDLK -RWVGVSEKNFNSLKEKISPKYDCIFKNNDCYISGVGTQKRELPIRSLIYWYLKILINLFEDQLKLKVNEV -NISVPADYTTRQRIYMRSIVNLLGIPVRRIINEPSAAAMHQLFINPKENNFVVFDFGGGTFDVSYIKKHG -KIVSIIDTAGDLFLGGRDIDKALAEFISQKTGHSCDDLFINHLKETVTPGVSKNYKFVDNSGILRSVDIN -LSDINSVAQPFINKSLKLLDSVISRNHISKALVCMVGGTSMLDGTYNSVTKYCDSKGLKVFRSEHLRSSV -AYGCAILHDFDSDKDFIYVDVNSHGLIDVGHHFVPRVIMRKPLSIPYSISFDLTNKLKYSTAACVYEGDS -LNFLENDLLVNATFFTDEVSDLGSGYRLTYSYDIEGKLSVSISSIDGTKFKNLENKVDSNFELVKLDLIQ -TQLSSSAIYSVIVSLSKYWGINPELKHLAIEFPYLIKDFIDKNGGLEEYVDRIRNEIGAFVDSLDERLVV -RLLFILDGVFKFYYLSNCKHFSGDLVLLTVLLILIHLKIYSENFLILRKNGDRKQKFF - diff --git a/seq/clusters_seq/cluster_236 b/seq/clusters_seq/cluster_236 deleted file mode 100644 index c9defc8..0000000 --- a/seq/clusters_seq/cluster_236 +++ /dev/null @@ -1,585 +0,0 @@ ->YP_010085030.1 L protein [Wuhan sharpbelly bornavirus] -MATHRTDQVLKSPLLGTEVSVALSGSRLPHHQRLISKLVGGYKLLDSKLYAKFFLSFSCMSSPLSFRSML -PVAKRLWMMTAGTWAADTALTNLVYQKLDMFYSNTRLISTLEKHVVVQRLIQGVSFSQAESISQVVGNLK -FDFDQSLVLVTSHTRKEQCLMTYNHFLSFADTLRSRVHLLVAALAEDMLTKYPIQVLEYVILLIDCMDES -CLKLDHDTYFNTVKSVYPYSQGSVLKYHNLTVTDTSFVQVTESVKHTLPQRLLHAIDHLSKNHPIACLEI -FSITKCFFFPEINLKEGAETQFTRMRREVTDSHLLSHYGTEVRNMFVKEYIRGYFKKHNHWPPVIINEEA -CKSILTAYEQSDLVPTRVHHNEYRHVTLDYQGKGLTFEPDLSDIVTDKAIIESKDHWTWEYNAHAYRIKH -SESLIRSTETPGVKRLIIALLQGRLDNVEEKMEPFINGRVQARDLVTVLVPKEKELKVKGRFFSKQSCHI -RLYQVLAELNVKKEIMPYIRTHSMTTGATQLSHILDRVAQQITSRNAFVINLDYETWCNTFRPEFQQPIC -DQFDRMFRSGCFFQTGCWMPAGTMFIMQDRFNPPKQGAHGLPVEDGQTCVHGALTMGEGMRQKLWTIMTG -SMEQIVLDKLGLKGEVLGQGDNQTLIVQCPIGQDKTACKDLVLEELRKYALGCGLLLKPEECWASDVLYE -YGKRMYFKGSPVSNFLKIFSRITDSTGEVYPNIFSRLSCLSSSCLSGAQADFTPWPSIISGIVVYTIEQH -LLLPLEITSNIQLASAVAAVGPVLGGLPSPVTLPSVFYRGMADPLTFQLRLLKVALSQGLSSAYLHQVTK -LQFQETPSAFALCVDPCCLNIIPLRRPERVLRTWIEDSLFEQTSSSRIMSLVRMEPVARAEALASTLMRM -EPKFSRLMSYLFTRSNAAYGISILDKFQKSSTVIALSQTMNMRSIVEESRFFQEHIVSSILSPNTTKVNI -LDYLHDQCTFTAAERLRRDSWKFPVPGATMPFIAEQFTLQRTATPDEVRSSIIFTVPTGVTPANLILRGS -NPLYIGSRTFVKVTRGSITGLPIGKLGKMAEELVAVYDWLKLKDVGDSDGLVKVMNILLSEKGVQLPENP -VVTGGTLTHRLPTASDDRAGLSGSMNTVSTHVRFTTDYMTNYSRSSKDFTIHFQAAFLHALNVMASTVHA -NCLEQGVYYLTACCPSCTREIGEEKFTLEGESLYSGIPLEMLPVQFTPINYTLTGDPVILASHMLGCEIS -ESFSLESRGLSSLLDSGQSPGRLERLSLSHVACLPPRVVFCGMWYWACIHRRRHQAIKSHITAAGYVGTI -SPTLRWVVRWAATLSDQCSLSSLAGELCNEELLIVPGGNTRSILLSQMILAGFGLRNENAVRMLRRYNAE -TTHNYCQSAPPLDSLLGVVKQEVSTRKTIIPEVLCTNEYAKAPCHSHNAKLSLTHVNIGDEAQLLKAFIA -KYSVGLMVVSPNTPSLIVLDLCHVTKVVIDTKGDTTLLDKAYSLDSCGAVRLRLDSDLSLSTVKSALYYY -VYGQPRPLSQSNALVVASLDEPRCVAPCGTTSLIGLYQGQELVDLSDMGCLPISSPCPALLPKRGSMLKA -TVKAVGSIITSIYQFGADYAPLRMDWGGDIGSDKGGTHITDMIKQLHQLLELVRPAVGRPRRGRVKYYLR -QIRWPLTTGYLVSLKPPGSYLWVGGEGDPGLGCLYPDKSVDITLLSHLL - ->YP_009512939.1 polymerase [Parrot bornavirus 5] -MSFHASLDREEEAPRKVVGINRTDQSLKNPLLGTEVRFCLESGFLPHHVRALQYVKSQNHRSQDYYKIFR -RIKLQSEIYPIGSIIRAAETILHVIVKTWGLSHMTKSLTAAIRYALTNPRVRRQLELHITFQKIIRQVSY -SRESDVGPIRLGSLHLMFVQSLVVAWEETESCLMTYNHFLAAADTAKSRCHLLITAVIYGALWEYGSFLD -HIFELIDVIDSIECSHDDYFTIIKSIAPYAQGIVMTRHNRTVKTDFESVFTIKNACPPLDNLLKKLLELN -PVLLLMITSVQKSWYFPEIDMENGSQEQLFKMRVDNEDPRALLVYAQQLLTMFKADFIKGYIAKHAKWPP -VLLSPKCSNAIKNARGLGRWSPSFDRNWTLFSEVVIQKIADLDMDPDFNDIVSDKAIISSRRDWMFEYNA -AAYRKKYGERLSKPQQRSGPSRLVNALIDGKLDNIPNLLEPFMRGAVELDDRITVLVPKEKELKVKGRFF -SKQSLSVRIYQVVAESMLKNEVMPYLKTHSMTMSSTSLTHLLTKLSHQIVKGDSFVINLDYSSWCNGFRP -ELQMPICKQLDQMFDCGYFFRTGCTLPCFTTFIIQDRFNPPRSFKDEPIEDGITCAIGTKTMGEGMRQKL -WTILTSCWETIALREAGVCFNILGQGDNQTIIIHKSAVEANQALADRALGSIYKHARLAGHNLKVEECWV -SDCLYEYGKRLFFQGIPVPGSLKQLSRVSDSTGELFPNLYSKLACLVSSCLGASLSDISPWVALTSGVCL -YMIELYVELPPSIMQNEQLLVTLCLVGPVLGGLPTPATLPSVFFRGMSDPLPLQLSLLKTLLSSTSISLS -FVNRVVKLRIAPYPDWLSLITDPTSLNISQVFRPERQIRKWVEEAIATSSHSSRIADFFQQPLTEMAQLL -ARDLSFMMPLRPRDMSALFSLSNVAYGLSIIDLFQKSSTVVAANQAIHLEDVVLESHRYKSSVIERILDQ -SEGLNIGPYLEGCTLVGAKGLRRLTWGRELVGVSMPFVAEQFKPASTSEVTEAEYKDAVIYCPEEPLRMR -HLASRGSQPLYLGSNTSIKVQRGDITGLNKSRAAGLVRDTLVLYQWYKVRKVNDPNLSELMRCFLREKGY -HSEVRPNVHGGTLTHRLPSRGDSRQGLTGYINLISTWLRFTSDYLQTYSRSTDDYTIHFQHVFTYGCLFA -DSLIRSGSIISEPFLLYSHCNSCFERIESEEFVLTSPPQYRGAEWLVTKPVSIPEEISDAEVEFDPCISA -SMALGSLIGRSLLTDIRANQLDITEQKTWANLERFSLSDIRRLPWSIVFRALWKFLIDIGLMKFERANLV -KLTQEQRGPTFTYLMKVFQESALLLESIPIDRVAHEVYFRDRADLIAKSLILPLINQEIALFEITKLEEK -YFETSELNIDLYIAASKSVSSNPSMIIHETNDFTAKGSHHGHYSLAHLGLQNKAQVIKMAIRRLALSEIY -IYPSVDVEIALDLCHLPGLSVVLVLSGDPSYYEKILSLDLCSATSTRVNLPKSLSAKVHRGICLVEDNKL -YSSEISLRELDPVSYAHPCLEELEYRVFLDGRELDLSDMCCLPLANPCSALFKPAFRSLYTLRNALVNAY -EFVLSLLSIKGFDVRASLEEFDELLLATQLALGVPTVQTITYYLGMVGGRPTLSLVRGACLARMTFVDRL -PTPSALQLRSDDARVVLTGGIPLELVCLIYGQM - ->YP_009512933.1 viral polymerase [Parrot bornavirus 1] -MSFHARLMAWRNIILSPVGNVSSSLDREEETPRKVVGINRTDQSLKNPLLGTEVSFCLQSRSLPHHVRAL -QYVKPHNLQSRDYYKIFRRVSLHPQIYPIGVLIRAAEAIVRVVTVAWGLQEIVESLSPAIRYALTNPRVR -SYLELHITYQRIIQQVSYSREADIGPKKLGDYQLVFLQSLVVIYDQNVSCLITYNHFLAAADTIKSRCHL -LITSVIQGALLNTGSFIDDVLALIDNIDSIDLSHDDYFNVVKSILPYSEGLVMQTYNKTVSTSFATLFDI -PNAAPELDKLLKKLLNRDPRLLLMIASVQKSWYFPEIDMLEGSKEQLRKMRIDLESPQALSVMGEDSLAM -FRAEYIKGYLAKHSKWPPTHLKPGCNRSIRSARELGKWSPSFDRNWKWFRDVVILKVSDLDLDPDFNDIV -SDKAIINSKKDWVFEYNAAAYRHKHGQRLERPRERSGPSRLVNALIDGKLDSIPELLEPFYKGSINFDDR -ITVLVPKEKELKVKGRFFSKQSLAIRIYQVVAEASLKNEIMPYLKTHSMTMSSTSLTHLLNKLSNLIVNG -DSFVINLDYSSWCNAFRPELQMPLCRQLDQMFDCGFFFRTGCILPCFTTFIIQDRFNPPMADRKLMPVED -MTTCVHGTKTMGEGMRQKLWTILTSCWEIMALREAQVSFNILGQGDNQTIIVYRSITEANQALADRALGC -LYKYARLAGHTLKVEECWVSDCLYEYGKRLFFEGIPIPGSLKQLSRVTDSTGELFPNLYSKLACLVSSCL -SAAMSDISPWVALTTGVCLYLIELYVELPMRIMQEESLLITLCLVGPSLGGLPTPATLPSVFFRGMSDPL -PLQLALLKTLVRLTGISVQFVNSVVKLKIAAYPDWLSLITDPTSLNIQQLLRPERQMRKWVEQAILASSH -SSRVANFFQQPLTEMAQILARDLSSMMPLRPRDMSALFSLSNVAYGLSIIDLFQKSSTVVSANQALHLED -VVLESHRYKQAVIDHILDRSAGLDLTPYLVGCTYVAAKRLRRLTWGRDLIGVTMPFVAEQFNPVESSSAI -LDNYKDAILYVPQEPLRERHLYTRGSQPLYLGSNTAIKVQRGELTGLSKSRAAGLVRDTLILYQWYKVRK -VVDPNLNKLMDRFLQEKGYASDARPVVHGGTLTHRLPSRGDSRQGLTGYVNLISTWLKFSSDYMSTYSQS -SDDYTIHFQHVLTYGCLYADVVVRSGKIISEPYLLAATCSDCFEKIESEEFVLAVEPQYQGAEWLISKPV -FVSEMIVDDELDLDPCVSASLALGVLIGRSLLVDIRFDRSDITDQKTWANLERFSLADLRRLPWAIVFRS -LWRYLLQVRLLQFERASLIRLLSLGRGPTFDFVYNVMRESSLLLESTPAVETIRYTNFKNRRDLISRILM -IPIVNTELAYAEISRIDKHYDSVSEANIDLYMASTRGVAIRPTACCNETNDYIERGTHVGSFSFGGGTIS -EMSQVYKMAIRKLKLDQLWIYPDTSPTIAMDLCHLSGLKIILVLHGDPSYYERLLEIDLCSAVRSRVALI -ESLAASSSCGVHIGGPSDSLCLRLLGLGTISYAHPCLEELNFNVRLGDQEIDISSMCCLMMSSPCDSLFK -PIYRSIHSLRRALIDSYWTLLDITLIKGFDIRLHVEEFDDLVVGVQSVLGLEVSRTITYYXQSKARITTT -EPRASCKKITINGRIPSPFVGTLKRAGVQKDTLTTDLPIELLSILYG - ->YP_009508490.1 L [Southwest carpet python virus] -MDQEKGVTYDTINRTDQTLKSPLLGTEVMFCIDSMRKLGHHSRALQKVISTQSLIPSRLYYRIFIPWINK -PSPAKKHSVISAAAKTWAITARTWGAAPSLIDRGTKAIQAAFLHTQAISLIEKHREIQSIIKRVSFTHEA -DILGQRLDFLRLDFVQSLVVVTDTLLQTQCLMTYNHFLAVADTIKSRMNLLLTSIVSDTLQRSSHLTTPL -FFTLMTETDKSALVLPDNTYFQGIKSILGYCQGLVLRYHNSSDLPSDFFKVTETSVVTLSACLAQMIDVL -SKSTPVTSLEVCAVNKIYFFPEIDMEAGAREQFQKMRANHRTSSELLKYGQSLSYVFTAEYIRGYLHKHG -VWPPCSVTCPTLAKYRARSLWPSFAKYSHFKKVTLEYSGTPFDTEPDIADLVTDKSIVESREHWTFEYNS -AAHIEKHRKKLIHPPYRGEKRLVKALISGKLDNIPAILAPYCQGMIQPRNCLTVLVPKEKELKKHGRFFS -VQTLHNRVFQVVSELNLKNRIMPYINTHSMTMTSTRLSHVLIKLSKVSSSGKNFVINLDYSSWCNYFRPE -VQHDTCKIIDNVLGSGRFYQLGSILPRYLTFIIQDKFNPPQQGEDLHPIEDHRTCVHGTGSSGEGMRQKL -WTVLASCSELLALEEAGVTGTVFGQGDNQTIIVDSGGDKSGTATRVLESLRKNAEEMGHQLKTDECWVSD -CLYEYGKKQYFKGTAVPNIFKIISRVSDSTGEIFPNLYSKLACLVSSCESAAQADHSPWCTVVVGSILYH -IEATIQLPSDICSNIDRIVAASVIGPPLGGLPTVALSPAAFFRGVSDSLPLQLSLLKTALDLGVSPLILD -TMSSITPSSAISYLSLISDPSSLNIDQPLRPERVLRDWIEEALLDQGQSTKLSVLFSESITSKAEILSRD -LAAMDPMYPRVMSAIFDLSNVSYGLSLLDKFQKSSTIISTSQELCFSDLVLESKRYRHEVVAWLRGISVG -SVSVQLLNQGCTARTADRLRMLTWKRPLYGVTMPFIGEQFKLYSEVCPSQVPTSIIYNIQDPITFEHLLV -PGSCKFYMGSKTFIKIIRGHVSNLSSKRLETMSENLMALVDWFNLKGTSPESNLQALLDVLLEEKGVKRP -QCRVVAGGTLTHRLPSRSEARDGLAGSMNHTSRHVSFTTDFMTKYTKSGDDYTIHFQQAFIHGHNLISGL -LLSGQTLKGHLYLSEWCSSCTQLIPPETFDLTSPPSYKGLPMLAPAKIHHLEWEPETLNDPYHVLPYLVA -DEIFSSFWIESRQVSTGLAANYCISDLERMSLAQSRFIIWSVVTEKFWFLLLKRLYDKQLIAYLTRCRQG -LVQSDTLSWVLKTTSHGVFQDNLLDMCSRTRTGFMTPTLSRQKAIVKILLLPLFRRSYCEQVIKRKQKKF -FGKCAVDPKMTLDTALALIKTEPIDLSPTVLSTTEYGIICNHPDLCLPMSLAGEGIYISQVCQMFKGFIS -MHHLTSVALSESVPASICVDICHVCRVILLGKNTVEKVDRVINSDICDAARENLLIAQSILSFPESRVIF -SMEPLESKWPNVLDVVYPTSITPCEAIGMCRKWSDLALYSTATESIIDLSSYGCKSNLTPLCPSVASDLG -MWVEDALQDLEDMAIAIVESGSLSEVCALHTYVVSLQFFFGFTPEYSRLYGFGAMRGFRSLMVAGKKLFW -AHFQDVHTEGLHVKACNAIPRRLYGDKGAVVLRPIAKILLGSSVR - ->YP_009508484.1 L [Jungle carpet python virus] -MDQEKGVTNDAINRTDQTLKSPLLGTEVIFCLNSERKLGHHLRALKHVNSTYNLIPSSLYYRMFIPWIRE -PSPARRHSVIPAAAKTWMIIAQTWGATPVLVEKGVQAIRAAFLHTYTIGLIEKHRDIQSVIKKVSFTHET -DINQRLGPLQIAFVQSLVVVTNTTSHEQCLMTYNHFLAIADTVKSRMNLLITSTISDSIQRSSHLITPLF -VSFLTELDKSALILLDSIYFQGVKSLLGYCQGLVLKHYNSPDLPSDFYKVTENHIQSLSIPLMQTVQSLS -KSAPMLALEICAVNKVYFFPEIDMEKGTREQFQKMRANPRTPQELSEYGRSLSNVFKAEYIKGYLHKHGV -WPNCSITCPVLLKYKARSMWPLCAKYQDFERVKLEYSGIPFDTEPDVADLVTDKSIVETRAHWTFEYNSA -AHIEKYKKRLIHPPNKGEKRLVRALITGKLDNIPSILKPYCQGIINPEDCLTVLVPKEKELKKQGRFFSV -QTLNNRVFQVVSELNLKNRIMPYINTHSMTMTSTRLSHVLIKLSKVSSSGENFVINLDYSSWCNYFRPEV -QHDTCKVIDSLLGSGRFYQLGSILPRYLTFVVQDKFNPPQQGDDHHPVEDSRTCVHGTGSSGEGMRQKLW -TILASCSELLALEEANAIGTVFGQGDNQTIIVDSKGKKAETSVRVLESLRKHAEEMGHQLKTDECWVSDC -LYEYGKKQYFKGTAVPNLFKIISRVSDSTGEIFPNLYSKLACLVSSCESAAQADHSPWCTVITGSILYHI -EATIQLPTDICDNIDRIVAASVIGPPLGGLPTVAVSPAAFFRGVSDPLPLQLSLLRSAVNLGISELILDT -LSSISPSSTTNYLSLISDPSSLNIDQPLRPERVLRDWIEDALLDHGLSTKLSALFSESITERAEVLARDL -STMEPLYPRVMSAIFDLSNVAYGLSLLDKFQKSSTIVSTSQELCFSDLVLESSRYKQEVVAWLRGTSHNP -ASVQALNHGCTARVSDRLRLLTWRRDIHGVTMPFIGEQFKLYADVCPSRIPASVIYNVPLPITSKCLLTM -GACKFYMGSKTFIKIVRGHISNLSSRRLETMSENLIALVDWFNLKGTGPDSNLHTLLDTLLQEKGVKKPP -HRVVTGGTLTHRLPSRAEARDGLAGSMNHVSQHVSFTTDFMTKYTKSGNDYTIHFQQAFIHGHNLISGLL -LSGRTLQGHLYLSEDCMECTQLIPPETFDLLSPPVYRGLPMLVPARVQDHQWKVEVLNDPYHILPYLVAD -EIFGGLWTESRQLSTGFSPNYCANDLERMSLSQSRFIIWSVVVEKFWFLLLQRLHDKQLVMYLVRCRQHL -AKSDTLGWVIKTTSHGAFQDNLLDLCSRTKVGFMTPMLSRKRAIVKLLLLPLSRKGYCERVIKTKKRKFF -GKWATDPGMALDTALALIKTEPISFSPTILSTTEYGIFTHHFDSCFPASLLGEGKYISQVCQLFKGFIAM -HKITCVSLSDSVPTSICVDLCHLCHIIILGPNTVQKIDDVINSDVCDAAKENLLVPQSILSFPETSVVFS -MTPLIEAWSHVIDVVYPVALVPCEAVGLCGKWSDIALHSTLNGGTIDLSLYGCKPSKTPLCPSVVSDLGI -WVCDALQDLEDMIVSITERGALHETCALHTYVVSLQLFFGLKVEYQRIYSIGNIRGFKGLSVNGRWLCWM -CSQGICIEGLHLQACDSTPKRLYGEKSGVILGPRARSILNSDDRSNI - ->YP_009505428.1 viral polymerase, partial [Estrildid finch bornavirus 1] -MSFHASLIREEEAPRKVEGINRTDQSLKNPLIGTEVTFCLNTGYLPHHSRVLQFVKSKNAESKDYYKFFR -RVKLSSNIYPIGVVIRAAETILQVIIATWNLQQMMKPLAAAIRYALTNPRLRAQLELHITFQRVIRQVSY -SRETDIGPKRLGSFLIMFVQSLVVIYDDQESCLMTYNHFLAAADTAKSRCHLLITAVIQGALWDSGSFLD -HIFNLIDIIDSIDLSHDDYFTVIKSISPYSKGLVMARHNRTVKTEFDTVFKVPELCPPLDNLLKKLLMLD -PNLLLMISSVEKSWYFPEIDMVPGSEEQLHKMRVDVEPPALLLEYAQKLLTMFKAEFIKGYISKHAKWPP -VSLTVNTHKSLRNARELGKWSPSFDRNWHLFSEVTILKISDLDLDPDFNDIISDKAIISSRKDWPFEYNA -AAYRKKHGERLIRPEKKAGPSRLVNALIDGRLDNLPELLRPFYQGSVEFEDRITVLVPKEKELKVKGRYF -SKQSLATRIYQVIAEATLKNEVMPYLKTHSMTMNSTTLTHLLNKLSCQIVTGDSFVINLDYSSWCNGFRP -ELQMPICRQLDTMFDCGYFFRTGCTLPCFTTFIIQDRFNPPRMLNAEPVEDGQTCIVEQRQ - ->YP_009342311.1 RNA-dependent RNA polymerase [Wenzhou tapeworm virus 1] -MESDFLSEIPASLPESSQWVRTFRGDRNLSTALSESFQLFVLNHFDSPHIPTRIRQIIEEAGGPGCYTHV -GDRRREAWICLSASISRAAQPLTPPQATRWVRDVSLATKITMINMSRYCEAPSVDPNDLITVSGVKTLLP -SRFLYDSILMKVSRSTIEEQTFRTKAFTIIFLGEQVFFHCLLCQEPHFGNYTDFLCASDVNLGRIDVLLY -AAHRDLLMGTSITREVISTLSSMDHLLTVLGRDAYAVLKCLPSLATAVVLAKFDPLDNGLFLNALLSDLL -DEYPAIAEDKGFAYLTRTPETDLQAKEILELSGLWKMAGHPVVSFDDGLEAVLEKGFFHKAGLSEINARV -MTTFRCIFSYHYFKKHGRWPNADVSEAEPYIRRAYYHGYWPEREGHHTRLRVRSFTNVHLKSCLAFDHHP -DLSELISDTSINPGLSHWHYESCPDWMHKALYGRWPVHRIPRMRKNRPILVYMKEPDITLDAVLQVIEEQ -RVPEEWKVITLVAKERELKEKARFFAKNTYEIRLWQVATEKAIAQILEYLPYQSMTMGNDALQHRLIRMS -RGLTGEKLKAAVMILDFSGWNLRFRHNTIGALLREIDHIYGFENVFSYTHLHPMRSTVFIKDPGYPIEED -PLTGHPLPGPRCYRGQESYFEGLRQKGWTLYTLCLILSMSHAYNYRIEVLGQGDNQAIIIHIPENKQSLP -MAQAYVAEFKSKLANICAQAQIPIKPEETWCSSILFEYGRTSYYKGGQVPSALKKASRLETEPNNTLSSL -FSIMSNCYSGGISIAGLDTQPASAYFLSTYAVLQVLRRNVPEWYELEDSKHLMAKLLVPAEIGGISSSLY -DSFCVRGCADPLTRALAFIKWLWDKEPSLRLYITPYIRLARASFVDRFLLLQDPTCLALQKPQTSENQIR -TILKPTIRDYTTNPQVSALFSIEGGEASARLRNDLSTLRPYNARVASLLYSFSNAALADRFVGRFTSPLS -VVHTATREVSTLPALWDIIRQADNAFISFFTRPVAKWADLSVSLMTRESCTFEVAEYLRRLHWGEVVGVT -MPSPFEQVELLPWDDALQQGKQPIMAVSINKCQDLLSYMGPYMPYLGHDTAEKISKNPLDMGQTTSVTRA -AIRITSLIPWICADDDSNLRSLFKIFLAEKGVDISEALDKIQPLYVRGSLYHRLADPYVSHGCRVNSTPV -LGSHVLINTDLFGQVMPQGNDQTFFFQEVKAWILSIVRHMCVSKAFIESDYAVCLRCPTCTRLIDEPALV -LPNFPTYPGLLVPVDDGLIQPKVRDIASIPPDFDDYQTAYSWALASLGAANFVESSIVERQSSNLLDQAV -NLNNLRKADPVIYMRALLARLMKYRVNFQNLSRLLYPWIGRDSEIGKGRIPLWVSNMSAAGLLEKIFIAH -GYRGSLPGWTANSRVMTQTFISMLGGMIMQQTAADWSGPAYFFKGEPLWVKDVLIELYGTSPTELPYAVD -VINMYRNIVDEPTQVCQTHSPPFCDPKYLTPFLTPLQDPQYLDIKSLHYMTRSLGLESTSMTKIAEVLTG -RLLEDPGTILSLAEGDGGILSFLGHVYPDACLLYNSLQPEIKDQHMGLKCVPSLYADVCNIGVRVTNFFE -MLYGVSDISNPVMYDKLDYIMKDKPRPWIVTCDVNVVPHYRELHQLLSTWVCRVKPEQILIKWMANQGDY -PSEWLIKDFSVEVIFPWSSNPITGECYLVGHPGEGREFYTTALHRETLYMRTYNVDRWDQRLYQIGYSLY -QRGVPRCFNWPESFIRSLGGSNVEVMAQRSMIPFLENVRKDHIRWLETGELRHSSRITNNLVPEGARTEG -GVKRILMAGLDCLLLLDVYLLVARHFPRSFREIWDGLSSDTADRSIHLHVQTLTQKRHLCYRSHPEGFQR -RDILHMFKWSKVLENQGRRLARIYTYEGFLRNCDTPNPYQYPLSIPRLRKSLINVRTHRASIAISEEMEM -D - ->YP_009333413.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 6] -MVLPSRGEADMLKHQKTEIMEEEVEWEEVLPVACKGKRVWRRESRVTSAITLSHLYLWDKGHSLKDLAAF -ASRHGMVKGEEFDRARDYGQYLYKHLWVPQKGVKMIEQRVISAAKILLSVSMLDWAGKPADIKLERLNES -ELLRKACSLRKHLDDMVEKVGNNTEQEIAFSFPEHPVVVHFFGDHAIIAGKEDRPLWVNWMALIAFRESI -VGIIDALICSTVEDWENGETKTPGVERLIRTAIETHLTIGRKTYGVLKLLHAGAVGAYLEGEPWIENMLR -PNVVSEIPECPLKWFFETQVRDFEETAELIALGGLSKIFTYPVVLIEESVQNVVTKSRRFDPDQTAGKRA -QLEFRRHWFHTYLRKYKEWPPLRVVGDIPGIVQHSIDTGTWQESRDDPWYPAIFERIVPEKALEMNPQVD -ETGPLSDKAVACGLGSWPREFDFRYHPVLYGRRCNPGPMKERRLLVRHIKTSEVVVLEEAVKFMSESHSD -SKMAVMCLKERELSEDKGRFFTKQTFEGRSYQTLVEENVKTILPFVPLTSMHLTGDAVVKVMLARSSDSE -SLKISIDFSKWCQYQRYGLIAPIAQDIDRILGSDPLIESTHMIPPGMWFFFQDSAMIPKQGSYGEPVPSD -RAYFGAETLGEGMFQRLWTLVTACGIKHHLRESGVECDIVGSGDNQLLTCRLKRGETLAGLQRVVFDSLQ -RFSEISGLPIKLEETFSSCNYLEYGKCSYIHGKRVKQHLKKASRVGTESQETIPSTNVKLSGVMAAGIAV -AAECSTPTAGYILAMMEAGFTLYARGFKGSAERLAAVLLLGRQLGGLKSSGYSSFCIRGISDTATTNMSV -LQTALNHQSDYPGLCRELKKVPVTLGTLNWAQLFKDPFSLPFKRPRDSDGLFKSLVEEVLPVEATNKKIK -ILVGADAARQESRLVEDLKKMEPMSLKLCASLFEKSNVMIKEKIIGKFYTSSSILKLTESYTNFEQETLR -AKESDKIALAKLASKGHNEPSVWARSSTCPTEVMTLVRQKLTGKALSFPSMAAPQHQVRVIRWSALGKDE -VRRSLRVTVDPAITSFRTMRGPGPCYIGSDTKIKTRKSPLALIDPEPLDRSALSLATMRSWIGGCPRLTQ -LIDVLIAEKTYTPPDIVEVAADSVAGGVHDHRGQHQTASQGAHPNFDPTVTSYIRITSDSALDFARKGGD -YSIMFQSVKVYITSVLLHRLQAGEDISGDWAGYLECDFCTAPVHEGDYVLTSYPGYRGFSLGSERHLRFR -PKGRPFSEDRSVKFVNLSAHTYLAEMLVSQAAKCHDLDLQVEEERVGASGDFTVNTQASLSELARADMKM -LILCVLQRVAIRPGLENYTKLLCNVRAEGKPYLNPIRYIMDPIVAAGRAPDLRSLSGSVTIPNYETEEGR -VELFSYLFRRHMTEAQQEWGVLLSEDSFVSRKRASRLKADAMGSDPIVMKALNAAATFDDLEAVETYLGI -ETAIPPQIAQDHLRAGPMKDTLLPLCTLKTNLKGARAVQFGAAYNPSVSYGCTVFSSQVYTIAKVLPDLQ -AWVLSDYDGGIGIVVGHIRGPAYIHDPRSLRKGHARIATGPRMLFLDSCCKSYDRSYYVRALGTTVPPRP -TSSPLLIISVKNPASHGVTVESDDVVLSRDPGTGGPMCGYPFRGPKDLWYCNEYILEPEKELCPEERFRF -TVDSHLSPAVRLKEILGLDSLSYDNLRSSLQQKIDEALRLYRTEETRDEGATLQSLAEAEGRVSKSRSDE -EMLTLIWLEWKLKGNTGKLSYHKKAGFPVHTKGDCDCNKVLWKEFRPRDQLLEFMARGILQITAVHPKET -PLEDFDWANLYT - ->YP_009304558.1 RNA-dependent RNA polymerase [Wenzhou Crab Virus 1] -MFEEPPVVEEEKEEFVYRRQTRVTAAITVSHLESWENGFCVPDLSMFLEKEGFKRGGPALSDTRAYAQFL -YTTFWKNQTSLKPLDRGILSTAKDLTQLGSLNWANCDFSLPITDLYSEHIISKLNGIRAPWDKMIQTLSN -STNRVCHYEIKQFKTQFHFYGDYCVVTHRGKKVFMTWLMLLAFQDSIIGLMDCCMFSFLHENESGVPLYQ -DVAQMVVDGIETICNLGEEAYDVFKALHPGAVGRILLLESIYTNPLFEETVKDMPDCPIKVMLQAPLEDD -LAHMKLLTLCGMAKCFTYPVIYIQESVDNVTSKSGVFNPDRNGGNMSLWYFRRTFCYNYLRRHKEWPPLT -ITGPIRGDLSRSIASGAWAEPRNNKWSLLEFKNIELQKILDLNLKVDETDLLSDKAIAVGLDSWPREYDR -RYHRLLYGYSANPGPIKNRRLLIEHIKREEVSVFYDATSFLKGDDKTSKMAVMCLKERELNRKKGRFFTK -QTMKGRQYQLLLEENMKPILSYVPLTSMILSGDSLLKYCLNQSADPNTAKVSVDFSKWCQYQRQGLIGPI -ASEIDRIYGSSPIYECAHLVPLDLWWFFQDSSRPPQQSADGEPCAGDRAFPGLHSMGEGMYQKLWTLVTG -CGIMAQMYKRNLECGIMGSGDNQYISCKIPNDMGPREVQRAIFEGLEEFSSICKLPIKLEETFVSSHYME -YGRTSYLRGKRIPQALKRASRIGTESQETIPSVNTKLSGVFATGIATASECGTPASAYAVTIIESCLALE -ARGFQGGPTRMGALLILGRQLGGVKSSPYAAFCIRGLNDTATVGVSVLQTLIKYKDSFGKLFLSLKTLPI -FLGRVNWGQMFKDPYSLPYLRPRDADSVIRDIVKETLPEETKNVKLSALLGAHAEAEEQKLIATLASMEP -MSLKLGAGLYSLSNVAIKEKILGQFHTSSSVLKLGSRHGYKDKVQDALESDNSMLAHFSTKGKNCIVPWC -EKHDCPTHIMDMVREGVVGRSLSNPSSPAPQHQFVLAHWSAVPTHMIRRSIKVVVDDGVNLLHTLRGKRA -CYIGSDTGVKRQKSPLALICPDSLDVSAMSLGEIRSWVGGEFNMTSLIDIMIQEKTFSDPATVAAAADTI -SGGCYQHRGRVPTLSQGAHINYDPVAMSYIRISTDTALDFAKKGGDYTIMFQSIKVTIASQLLHRLQCGE -DISGEWAAILICNTCTQPVHDGAFDLAHFPSYSGFSLGTEKILQFRRLGYEYVGPEDVEAVSEGAHVFLA -EKLISSAINHDHVYMAMELDRVTGSDDFALNPQASLTDLARADIKLLLMCIFQRIAIRPPFKDVIAHLCA -SVPEHRETMSPIKLIMDPIIAAGRLSDLHRLAGSYSLPEVESEREKVMLFAYLWRRLYCPSIRSWKYFLP -DDSAASRVRGLLLKNKICSGDPTIEGAIRSCCDDSTLETLELLLDFRPVSNPQDSQRSMRAREAPMQRSS -VCKLGHGPQGGAPVQNAALRDLSTGYGNSVLASQIYSIIDTLPDLPVWSIYDHNGAIGVLVGHKRTSYIH -DPVPSSSMNIRLSNGPSSLSIDECSASYSHEYYVQNLGLTAPIRPTRSPLIIICSHGFQNKGITPLDDDW -VLTKDMTDGGTLVGCPRRTVGDVWYCNKMPQVDERGSPNTILGFPTETRLSPYVRLKEFLDLDNEFTLKD -VEQKVNDTLKAIETGQREQLSEIIPPLLIQSGADVQTKYRGYGEVMLHLWISQKFRSPSLYTMKWHRHLW -MYHAGCACENVEWAEYKPKDTLLAAFVESLAYLAASEVVPEDVPVSSIPDLGILGDL - ->YP_009269418.1 RNA-dependent RNA polymerase [Variegated squirrel bornavirus 1] -MYFSPSLLREEETPRPVVGINRTDQSLKNPLLGTEVAFCLNSSLIPHHIRARSYVKPKNLPSKDYYRLFR -QVVLPSEIYPLGVLIRAAEAILQVIISTWKLNHMSKLISAAVRYALTNPKIRSQLELHIAYQRIINQVSY -SREADVGPKRLGNMTLMFVQSLVVAMIDDTSCLMTYNHFLAAADTAKSRCHLFIASVIQGALWEQGSFLD -HILDLTHIIDSIILPHDDYFQIIKSISPYSQGLVMDQHNVTVSTNFKSIFPIQELCPPLDNLLKKLLSID -PVLLLVITSVQKSWYFPEINMCDGSREQLMKMRFDSESPKALLSYGQTLLAMFRAEFIKGYISKHGKWPP -VHLLPTCNRSIRNARELGRWSPSLDRNWQWFSEVLILKLTDLDMDPDFNDIVSDKAIINSRVDWSFEYNA -AAYRQKYGEKLERPSVKSGPSRLVNALIDGRLDDIPHLLGPFYKGSVEFEDRLTVLVPKEKELKVKGRFF -SKQTLAIRVYQVIAEAALKNEIMPYLKTHSMTMSSTALTHLLNKLSYQVVKGESFVINLDYSSWCNAFRP -ELQMPICRQLDLMFDSGYFFRTGCTLPCFTTFIIQDRFNPPYSIKGDPHEDGITCAIGTKTMGEGMRQKL -WTILTSCWEIIALREANVTFNILGQGDNQTIIIHKSPSQSNQALADTALSCLHKHARLAGHNLKTEECWV -SDCLYEYGKRMFFRGVPVPGSLKQLSRVTDSTGELFPNLYSKLACLTSSCLSASMADTSPWVSLTTGVCL -YLIELYVEFPGTIMQNEALLITLCLVGPSIGGLPTPATLPSVFFRGMSDPLPLQLALLKTLITVTKFPYE -FINRVVKLKLAPYPDWLTLVTDPTSLNIAQVYRPERQIRRWIEEAITSGSHSSRIATFFQNSLTELAQLL -ARDLSTMMPLRPRDMSALFSLSNVAYGLSIIDLFQKSSTVVAASQAIHIEDVILESQRYKTSIINNLLDQ -SEGHDMSVYLKGCTHLAAKQLRKFTWGRELVGVTMPFVAEQFCPHDSVNVDEGHYTNAIIYCPQETLRTA -HLITRGSQPLYLGSNTSIKVQKGDITGLNKSRAANLVRDTLILHQWYKIRNITDPNLSILLSRFLSEKGY -TSEVRPHIHGGTLTHRLPSRQDTRQGLTGYINLISTWLKFSSDYLQSYSKSSDDYTIHFQHVFTYGCLYA -DSIIRAGGIINKPYLLSAHCSTCFEKIESEEFVLACQPMYTGAEWLISKPVTIPEKILDIEVEFDPCISA -SVCLGVLIGKSLLIDIRANQLDIMEQRTWGNLERFSISDLRRLPWSIVIRSIWRCLMESNLLQFERAGLI -KLLHSGAGPTFAFLYRTLQDSSILMECTPVDRLLRHINFHNKRDLISKVILMPLINYSLIHSEIDRIDIK -YRQISEANVDLYMAAAKSAGIAPGCVVEETNDFVVRGQHHGYYSLSDIGTQVQSQVFKMVIRKLNLTEVY -IYPSLDPEVALDICHLPGLRIILVLAGDPTYYEQLLEMDLCGAVSTRVDVPKSLATRTHSGYAIGPTIGN -NVIRLQELQHVSYAHPCIEELPYNAYVDQTLIDISDMCCLPLVVPCRSLFKPIYSNIKAFRVALLENYYF -FLDLIRIKGFDVRPHLEEFDELVLVAQFIARLPTLSEVVYYIGVKAGQPILTRIQQGQDLKRITISNRLP -NPAAIQLRANGDTLELMDDLPDSLRDILLADLFRRP - ->YP_009268916.1 RNA-dependent RNA polymerase [Aquatic bird bornavirus 2] -MSFHASLIREEEAPRKVEGINRTDQSLKNPLIGTEVRFCLESCFLPHHIRAFNLIKAKNHPSKDYYKLFR -RVVLNPNVYPIGVLIRAAETILQIIITTWKLQHMAKPLTAAVRYALTNPRVRQHLELSITYQRIVRQVSY -SRESDIGPKRIGDLSLTFIQSLVVAHLGEESCLMTYNHFLAAADTAKSRCHLLIAAVIQGALWEYGSFLD -YIFELIDVIDSIDTNHDDYFTIIKSISPYSKGLVMSSYNTTVKTEFETVFQINTMCPRLDSLLKKLLVLN -PNLLLMISSVEKSWYFPEINMVDGPKDQFHKMRVDIDAPSSILQYGQELLTVFRAEFIKGYVAKHAKWPP -VFLTAQASKSLHNARELGRWSPSFDRNWKLFSEVVILKIADLDLDPDFNDIVSDKAIINSRKDWTFEYNA -AAYRRKYGEQFERPRNRSGPSRLVNALIDGRLDDIPGLLLPFYRGAVEFDDRITVLVPKEKELKVKGRFF -SKQSLAIRIYQVIAEATLKNEIMPYLKTHSMTMSSTALTHLLNKLSRQIITGDSFVINLDYSSWCNGFRP -ELQMPICRQLDQMFDCGYFFRTGCTLPCFTTFIMQDRFNPPRLHNLEPVEDGETCISGAKTMGEGMRQKL -WTILTSCWETIALRDVGVTFNILGQGDNQTIIVYKSLAESNQSQADRALGSLYKHARLAGHHLKMEECWV -SDCLYEYGKRLFFKGIPVPGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDTSPWVALTTGICL -YLIELYVELPASIMQDESLLTTLCLVGPALGGLPTPATLPSVFFRGMSDPLPLQLALLQTLISTTSISSS -FVNRVVKLTIAAYPDWLSLVTDPTSLNIAQVFRPERQIRKWVEEAISASSHSSRVGEFFQQPLTEMAQLL -ARDLSTMMPLRPRDMSALFGLSNVAYGLSVIDLFQKSSTVVAANQAIHLEDVVIESQRYKDSIIARVLDQ -SEGVDITPYLDGCTYLAAKRLRRLTWGRELVGVTMPFVAEQFVPCNSQEATIDDYQDAVIYCPQEPLRMR -HLSTRGDQPLYLGSNTAIKVQKGDITGLNKSRAASLVRDTLVLYQWYKVRKVIDPNLSKLMDCFLREKGY -TSEIRPNVQGGTLTHRLPSRGDSRQGLTGYVNILSTWLRFTSDYLQTYSHSSDDYTIHFQHVFTFGCLYA -DSVIRSGGIISKPYILSASCPTCFEKIESEEFLLACEPQYRGAEWLIRKPVSIPESIADVEVEFDPCISA -SISLGILIGKSLLVDIRANQSDITEQRTWANLERFSLTDVRKLPWSIIIRALWRFIINARLLTFEKSGLI -KMVSGFNGPTFSFLNQVFKESALLLETTPIERAMSKLNFRDRKDLISKILLFPISNYEVAHLESMRIDAK -YQLISEANIDLYLASAKGVSTGLTPIINETNDFTSKGSHHGNYSLSGVKAQAQSQLVKMVIRKLGLSEIY -VYPDVDPEIVLDLCHLSGVSLVLILAGDPKFYETLLELDLCNAVKTRVDLRRSLALRTPLGYYIGSGNGP -HQIVFEALETASYAHPCLEELNFNVFIDSNSIDVTDMCCLPLSNPCASLFKPVFSNVSNLKTALLSSYSY -LLDLLMIKGFDIRPVLEEFDELVLASQTVLNLKTAWTMIYYVGIVHGQAIISRTKLTIAMKKLTVHGRLP -SASALRLREAPDLDLFLLDIPPNLLFILRGIDDN - ->YP_009268910.1 RNA-dependent RNA polymerase [Canary bornavirus 1] -MSFHASLIHEEETPRKVEGINRTDQSLKNPLIGTEVDFCLNSSFLPHHVRALQFIKSKNVASRDYYTLFR -KIRLPPNVYPVGVLIRAAETILQVIIKTWKLDQMEKLLSAAIRYALTNPRLRAQLELHITFQRIIRQVSY -SRESDVGPKRIGELNLMFIQSLVVAFTESESCLMTYNHFLAAADTAKSRCHLLITTVIQGALWESGSFLN -YVFDLMDVIDAIDLTHDDYFTVIKSISPYSKGLIMTAYNVTVKTNFESVFRINELWPPLDNLLKKLLIIN -PHLLLMVPAVEKSWYFPEIDMVSGPTEQLFKMRAYVEQPQLLLQYGEELLTMFKAEFIKGYVSKHAKWPP -VLLSNLAQRSLYNARELGKWSPSFDRNWRIFSEVTILKVAELDLDPDFNDVVSDKAIINAKCDWPFEYNS -AAYRKKYGDRLERPKNKSGPSRLVNALIDGKLDNIPGLLEPFCRGAVDCEDRITVLVPKEKELKVKGRFF -SKQSLAIRIYQVVAEATLKSEVLPYLKTHSMTMNSTSLTHLLNKLSQRIVTGESFVINLDYSSWCNGFSP -ELQMPLCRQLDLMFDSGYFFRTGCTLPCFTTFVIQNRFNPPQQSNVGPLEDGATCVIGAKTMGEGMRQKL -WTILTSCWETIALREAGVSFNILGQGDNQTIIIHRSLAENNQSLADRALGCLYKHARLAGHHLKMEECWV -SDCLYEYGKRVFFKGIPVSGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDTSPWVSLTTGICL -YLIELYVELPSSIMQNETLLTALCLVGPPLGGLPTPATLPSVFFRGMSDPLPFQLALLKTLIEITSVSVS -FINRVVKLKIGAYPDWLSLVTDPTSLNIVQVFRPERQIRKWVEEAISANTHSSKVGEFFQQPLTEMAQLL -ARDLSSMIPLRPRDMSALFGLSNVSYGLSVIDLFQKSSTVVSANQAIHLEDVVLESNRYKESIIERVLDD -SEGVDLSPYLVGCTYIAAKRLRRLTWGRELVGVTMPFVAEQFVPKDSVCANESDYLNAVIYCPQEPLYLR -HLSERGEQPLYLGSNTAIKVQRGDITGLAKSRAATLVRDTLVLYQWYKVRKVQDPNLSKLMDCFLREKGY -TSDIRPNIHGGTLTHRLPSRGDSRQGLTGYVNLISTWLKFSSDYLSTFSHSSEDYTIHFQHVFTYGCLYA -DSIIRSGNVIAKPYLLKANCESCFEKIESEEFLLACEPQYRGAEWLISKPVVVPEQISDAEVEFDPCISA -AVSLGILIGKSLLVDIRSHQSDITEQKTWANLERFSISDLRRLPWSIVIRSLWKFLIETRLLTFERAGLI -KMIQVHAGPTFAHIVKVFQESSLFLETIPIERTLSKINFKDRIDLIAKIILLPISNYEIARVEASRIESK -YHHASETNVDLYLASAKSVSTAVSPICNETNDFISKGCHHGHYSLASSGLCGRSQVIKMAIRKAGIKEVY -LCEDIELEIALDLCHLPGLTVVFVLSGNPALFEKVLVLDLCNAVKIRTDMAKSLSTHKPMGIYIGGMFGP -NQLRLEELSVVSYAHPCLEELQYNVFIGNCHIDISDMCCLPLGEPCSSLYKPVYSRVLNLKAAILGSYEF -LLDLVQIKGFDIRPHIEEFDELLVTSQAVLGLMTYRSITYYVGLQRRHSIITFHEISPLLKRITINDRLP -HPSAVQLARSPDVHVFLSELPIGLIRLLQYTR - ->YP_009268904.1 RNA-dependent RNA polymerase [Parrot bornavirus 7] -MSFHARLDREEEAPRKVVRINRTDQSLKNPLLGTEVSFCLQSRSLPHHIRALEYIKAHNLQSRDYYKIFR -RISLQPQIYPIGVLIRAAETIVRVVTITWGLRDIVDSLSPAIRYALTNPRVRSCLELHITYQRIIQQVSY -SREADVGPKKLGEYQLMFLQSLVVVYNKEISCLMTYNHFLAAADTIKSRCHLLITSVVQGALLNTGSFLD -DVFALIDIVDSLDLSHDDYFNVIKSILPYSQGLIMMAHNKTVSTSFATLFDIPVLVPELDRLLKKLLVRD -PRLLLMIASIQKSWYFPEIDMLEGSKEQLRKMRIDLEPPHALLSMGEDSLAMFRAEFIKGYLAKHSKWPS -THLTPSCNRSIRSARELGKWNPSFDRNWRWFKDVIILKVSDLDLDPDFNDIVSDKAIINSRKDWVFEYNT -AAYRYKHGHKLERPPERSGPSRLVNALIDGRLDSIPELLEPFYRGSVNFEDRITVLVPKEKELKVKGRFF -SKQSLAIRIYQVVAEASIKNEVMPYLKTHSMTMSSTSLTHLLNKLSNLIVNGDSFVINLDYSSWCNAFRP -ELQIPLCRQLDQMFDCGFFFRTGCLLPCFTTFIVQDRFNPPLANKLEPVEDMITCAHGTKTMGEGMRQKL -WTILTSCWEIMALREAQVSFNILGQGDNQTIIIYKSITETNQVLADRALGCLYKYARLAGHTLKVEECWV -SDCLYEYGKRLFFEGIPVPGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDISPWVALTTGVCL -YLIELYVELPMRIMQEEDLLVTLCLVGPSLGGLPTPATLPSVFFRGMSDPLPLQLALLKTLIHITGLSVE -FINSVVKLRIASHPDWLSLITDPTSLNIQQLFRPERQIRKWVEQAILTSSHSSRVATFFQQPLTEMAQIL -ARDLSNMMPMRPRDMSALFSLSNVAYGLSIIDLFQKSSTVVSANQALHLEDVVLESHRYKQAVIEHILDR -SVGLDLTPYLSGCTYIGAKGLRRLTWGRDLVGVTMPFVAEQFNPVESSQASVENYKDAILYVPQEPLRER -HLYARGNQPLYLGSNTAIKVQRGELTGLSRSRAAGLVRDTLVLYQWYKVRKVVDPNLNKLMDRFLREKGY -VSDARPVVHGGTLTHRLPSRGDSRQGLTGYVNLISTWLKFSSDYMTTYSQSSDDYTIHFQHVLTYGCLYA -DVMVRSGKTVSEPYLLTASCHDCFERIESEEFVLASEPQYQGAEWLISKPISVPEMIADEELDLDPCISA -SLALGMLISKSLLVDIRHDKSDITDQKTWANLERFSLADLRKLPWAIVFRSAWRYLLEVRLLQFERASLV -RLLSLQRGPTFDFVYNVMRESSLLLESTPAVETIRYTNFRNRRDLISRVLMIPIMNAELASAEVSRISKH -YDSISDTNIDLYMASTRGVAIKPATYCNETNDYTEQGVHIGNFSFGGGTISEMSQVYKMAVRKLKLGQLY -IYPDTPTAIAVDLCHLPGLKIILVLHGNPSYYEVLLEIDLCSAVRSRVALMESLAASSSPGVHVGGPSDS -LHLHLVGLGTISYAHPCLEELNFNVYLGDEQVDISSMCCLMLSSPCDSLFKPVYRSASCLRRALIDSYWS -LVDMMSIRGFDIRLYVEEFDDLVIATKSVLGLEIARTVTYYFQSKLKISTVEPRGTYKKITINGRIPSPY -IGALMRAGVDKDSLTIDLPIELLSILFD - ->YP_009268898.1 RNA-dependent RNA polymerase [Parrot bornavirus 4] -MSFHARLDREEETPRKVVGINRTDQSLKNPLLGTEVSFCLQSRSLPHHVRALQYVKSCNLQSKEYYKIFR -RVSLQPQIYPIGVLIRAAETIVRVVTMTWGLNDVVESLSPAIRYALTNPRVRSYLELHITYQRIIQQVSY -SREADVGPKRLGDYQLMFLQSLVIIFNENVSCLVTYNHFLAAADTVKSRCHLLISSVIQGALLNTGSFLD -DVLSLINVIDSIDLPHDDYFGIIKSILPYSEGLVMQTYNKTVSTSFATLFDIPNLVPELDKLLKKLLTRD -PRLLLMIASVQKSWYFPEIDMLEGSKEQLRKMRVDLESPQALAAMGEDSLSMFRAEYIKGYLSKHSKWPP -THLMPGCNRSIRSARELGKWSPSFDRNWKWFKDVIILKVSDLDLDPDFNDIVSDKAVINSKKDWVFEYNA -AAYRHKHGHKLERPPERSGPSRLVNALIDGKLDSVPELLEPFYRGSVAFDDRITVLVPKEKELKVKGRFF -SKQSLAIRIYQVVAEASLKSEIMPYLKTHSMTMSSTSLTHLLNKLSNLIVNGDSFVINLDYSSWCNAFRP -ELQMPLCRQLDQMFDSGFFFRTGCILPCFTTFIIQDRFNPPMADRKSIPIEDMTTCVHGTKTMGEGMRQK -LWTILTSCWEIMALREAQVSFNILGQGDNQTIIVYRSITETNQALADRALGCLYKYARLAGHTLKVEECW -VSDCLYEYGKRLFFEGIPIPGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDISPWVALTTGVC -LYLIELYVELPMRIMQEESLLITLCLVGPSLGGLPTPATLPSVFFRGMSDPLPLQLALLKTLLRLTGVSI -EFVNSVVKLKIAAYPDWLSLITDPTSLNIQQLLRPERQIRKWVEQAILASSHSSRVATFFQQPLTDMAQI -LARDLSSMMPLRPRDMSALFSLSNVAYGLSIIDLFQKSSTVVSANQALHLEDVVLESHRYKQAVIDHILD -RSAGLDLTPYLVGCTYLAAKGLRRLTWGRDLVGVTMPFVAEQFNPVESSVASLDDYKDAILYVPQEPLRE -RHLYIRGSQPLYLGSNTAIKVQKGELTGLSKSRAAGLVRDTLILYQWYKVRKVIDPNLNKLMDRFLQEKG -YASDARPIVHGGTLTHRLPSRGDSRQGLTGYVNLISTWLKFSSDYMSTYSQSSEDYTIHFQHVLTYGCLY -ADVMVRSGKIIREPYLLTASCKTCFEKIESEEFVLAVEPQYQGAEWLLSKPVSVPELIMEDELDLDPCIS -ASLALGVLIGKSLLVDIRFDKSDITDQKTWANLERFSLADLRKLPWAIVFRSLWRYFLQARLLQFERASL -IRLLSLGRGPTFEFVYNVMRESSLLLESTPAVETIRYTNFKNRRDLISRILLIPIINTELAQAEVSRIDK -HYDSVSEVNIDLYIASTRGVAIRPTAHCNETNDYIEQGTHVGSFSYGSGTMSEMSQVYKMAVRKLKLDSL -YVYPDTPATIAVDLCHLAGLKIILVLHGDPLYYERLLEIDLCSAVRSRVALVESLAASSSCGVHIGGPSD -SLRLHLLRLGSVSYAHPCLEELNFNVLLGDQEVDISSMCCLMLSSPCDSLFKPVYRTINSLRRALVDSYW -TLLEIMSIRGFDIRLHVEEFDDLVVGVQSVLGINIARTVTYYFHSRTRVSITDPKIPSKKITINGRVPSP -FVGGLLRVGSERDALTMDLPIELLAILYG - ->YP_009174181.1 RNA-dependent RNA polymerase [Parrot bornavirus 2] -MSFHARLDREEEAPRKVVGINRTDQSLKNPLLGTEVSFCLQSKSLPHHVRALQYIRPCNFQSRDYYKIFR -RVSLPPQIYPIGVLIRAAETIIRVVTLTWGLNDIIDSLSPAIRYALTNPRVRSYLELHITYQRIIQQVSY -CREADIGPKKLGDYRLMFLQSLVVIFDKNVSCLATYNHFLAAADTVKSRCHLLISSVIQGALLNTGSFLD -DVLALIDNIDSIDLPHDDYFNIVKSILPYSEGLVMQTHNRTVSTSFASLFDIATLAPELDKLLKKLLIRD -PRLLLMIASVQKSWYFPEIDMLEGSKEQLRKMRVDLESPHALSVMGEDSLSMFRAEYIKGYLAKHSKWPP -THLKPGCSRSIRSARELGKWSPSFDRNWKWFKDVVILKVSDLDLDPDFNDIVSDKAVINSKKDWVFEYNA -AAYRHKYGQRLERPPERSGPSRLVNALIDGKLDSIPELLEPFYKGSVNFDDRITVLVPKEKELKVKGRFF -SKQSLAIRIYQVVAEASIKNEIMPYLKTHSMTMSSTSLTHLLNKLSNLIVNGDSFVINLDYSSWCNAFRP -ELQMPLCRQLDQMFDCGFFFRTGCILPCFTTFIIQDRFNPPAADIRTLPVEDMVTCAHGTKTMGEGMRQK -LWTILTSCWEIMALREAQVSFNILGQGDNQTIIVYRSITETNQALADRALGCLYKYARLAGHTLKVEECW -VSDCLYEYGKRLFFEGIPIPGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDISPWVALTTGVC -LYLIELYVELPMRIMQEESLLITLCLVGPSLGGLPTPATLPSVFFRGMSDPLPLQLALLKTLVRLTGVSI -EFVNSVVKLRIAAYPDWLSLITDPTSLNIQQLLRPERQIRRWVEQAILASSHSSRVATFFQQPLTEMAQI -LARDLSNMMPLRPRDMSALFSLSNVAYGLSIIDLFQKSSTVVSANQALHLEDVVLESHRYKQAVIDHILD -RSAGLDLAPYLIGCTYVAAKRLRRLTWGRDLIGVTMPFVAEQFNPVNSSNASLENYKDAILYVPQEPLRE -RHLYTRGNQPLYLGSNTAIKVQRGELTGLSKSRAAGLVRDTLILYQWYKVRKVIDPNLNKLMDRFLQEKG -YVSDARPVVHGGTLTHRLPSRGDSRQGLTGYVNLISTWLKFSSDYMSTYSQSSDDYTIHFQHVLTYGCLY -ADVVVRSGRVVNEPYLLTASCHECFEKIESEEFVLAVEPQYQGAEWLISKPVSVPEMIVDEELDLDPCIS -ASLALGVLIGKSLLVDIRFDKSDMTDQRTWANLERFSLADLRRLPWAIVFRSLWRHLLQVRLLQFEKASL -IRLLSLGRGPTFDFVYNIMRESSLLLESTPALETLRYTNFKNRRDLISRILMIPVINTELAQIETSRIDR -HYNSVSEVNIDLYMASTRGVAIKPIAYCNETNDFVEQGTHVGSYSFGGGAVSEMSQVYKMAIRKLKLSQL -YMYPDTPADIAVDLCHLSGLKVILVLHGDPSYYERLLEIDLCSAVRSRVALTESLAASSSCGVHIGGPSD -SSHLHLRGLGLVSYAHPCLEELNFDVLLGDQEVDISSMCCLMLSSPCDSLFKPVYRSVNSLRKALVDSYW -TLLDIMLIKGFDIRRHVEEFDDLVVGAQSVLGLEVSRTITYYFYSKARISTVEPRSPCKKITINGRIPSP -FVSALKLVGMEKDSLTLDLPIELLSILFGLVC - ->YP_009055063.1 L polymerase [Loveridges garter snake virus 1] -MSFHARLNQPEEAPGPVVVINRADQTLKNPLIGTEVSFCLESRFLNHHRRALQLIKPINLESKYYYKLFR -LIKLKPEAYPVGVIIRAAESILTIVVKTWHLESMTETLLAAVRYALTNPRVRALFDNHMTFQRIIREVSY -SRDSDVGPVSIGQLTIYFIQSLIVIHWNEQSCIATYNHFLAAADTAKSRCHLAISAVVSGAIRAGGPFLD -IIFAMIDTIDAIVTEHDDYFNIVKSIYPYTQGLVMEEHNETVESNFCAIFTMIPEKAPGLDNLLKKLVKK -DPRLALEIASVQKSWFFPEIDMVNGPREQLTKMRNEVETGPSLLNYGNKILSVFRAEFIKGFIAKNSKWP -PVVLEPGCPTQIRTAKELNNWSSAFDINWHWFRYVSIQKVFELDTDPDFNDIVTDKAVIESRASWPFEFN -SAAHRHKHKKPLDRPTGGKGVSRLVNALIDGKLDNIPKLLEPYMVGSVDIRDRITILVPKEKELKVKGRF -FSKQSLNTRVYQVISEATLKRDIMPLIRTHSMTMGSTALTHLLNKLSHKMKTKEAFVINLDYSSWCNAFR -PELQMPICRELDSIYDSSYFFRTGCMLPCYTTFIVQNRFNPPLQSEMGDPIEDGVTCIQGALTPGEGMRQ -KLWTIITGCWEMIALREAAVQFDILGQGDNQTIIIYSSTIESNQCLATRALGSLYKHARLAGHTLKVEEC -WISDCLYEYGKRMYFHGIPISGALKQLSRVTDSTGEIFPNLYSKLACLISSCLSAAMSDTSPWISLTAGT -ILYLVELYIELPPTTMQQEDIIVILALVGPILGGLPTPAMLPSVFFRGMSDPLPLQLALLKEALNSTNVS -LSLINRVVKLKISSSTDWLALVTDPTSLNISQLLRPERQLRRWVEQAINQSTHSSRVADFFRQPLTELAQ -MLAYDLSTMIPLRPRDMSALFGLSNVSYGLGIIDLFQKSSTVISANQTVHLEDIVLESKRYKMSVVERLQ -DKSEGIDMGPFLEGCTYLASKRLRALTWGRELVGVTMPFVSEQFRPVKSKDATTSSYKDAIIYCPEEPLT -PAHLDKKGKQPLYLGSNTSVKVQKGEITGLNKSRAAGLVRDTLVLCEWYKVRKINDPNLSLLLKRFLTEK -GYNQTQSLSVQGGTLTHRLPSRGDSRQGLTGFINIISTWLRFTSDYLTTYSKSVDDYTIHFQHVFTYGCL -YADSVLRSSGHIQEPFLLEAACSSCFERITSEEFVLASSPMYQGAPWLLRKTIALDNPVQPGVCDLDPCV -AAASSIGQLVVRSLISETRYVRSSITEHKTAFNLERFSLADLKTIPWSVTVQSAWLYLMSIKLSHFEKKS -LVGLIFKPDSPTRKFLTKALGDSSMITQIIPIVRGLSVIYHRNRGELLMKIILMPLINLDVVAMAVGKMS -RVYSEIDYTNVDFYIARSREVARKPSFVVNETNDFTATGFHTGKWSLASSEISMEIQAIKMGLRKEEITR -IWVYPEIDPSVPLDICHLPGIRVFLILAGDPDYYERILDMDMCGAAASRIDVVQSLALSSHFGYHLGGPE -DSALITVEEVDKCVRSHHCLGLDPPKLVIGNSEICLDGMCCLPLGDPCPALYPPMYRDAVKFSNALLNAY -EFLIDLIDISSPVVAEALEELDKLVQVAQSNCKLPTKLVVTYWLAPQLRRPAILVGSAPRGWRRFVVSGR -LPSFLFAFWGDDASIMDVVIDQLPAELVKIIIS - ->YP_009041461.1 RNA-dependent RNA polymerase [Canary bornavirus 3] -MSFHASLIYEEETPRKVEGVNRTDQSLKNPLIGTEVDFCLNSGFLPHHARALQYVKSKNVPSKDYYTLFR -QIKLPANVYPIGVLIRAAETILQIIIRTWGLEHMEKPLSAAVRYALTNPRLRTQLELHITFQRIIRQVSY -TREPDIGPKQLGGLSLMFIQSLVIAFTDGESCLMTYNHFLAAADTAKSRCHLLITAVIQGALWESGSFLN -YVFDLIDIIDSIDLSHDDYFTVVKSISPYSKGLVMSAYNVTVKTDFELVFRIKELSPPLDRLLKKLLLLN -PNLLLMVSSVEKSWYFPEIDMISGSAEQLGKMRNYSEKPQALLQYGEELLTMFKAEFIKGYISKHAKWPP -VLLSNKAQRSLHNARELGKWSPSFDRNWKMFSEVTILKIAELDLDPDFNDVISDKAIINSKQDWPFEYNS -AAYRKIHGERLDRPKTKSGPSRLVNALIDGRLDDIPRLLEPFCRGAVEYEDRITVLVPKEKELKVKGRFF -SKQSLAIRIYQVIAESTLKTEVLPYLKTHSMTMNSTSLTHLLNKLSKQIVTGESFVINLDYSSWCNGFSP -ELQMPICRQLDLMFDCGYFFRTGCTLPCFTTFIVQNRFNPPKAGPYGPIEDGVTCIVGSKTMGEGMRQKL -WTILTSCWETIALREAGVSFNILGQGDNQTIIVYKSLAETNQTLAERSLGCLYKHARLAGHYLKMEECWV -SDCLYEYGKRVFFKGIPISGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDTSPWVSLTTGVCL -YLIELYVELPPSIMQDEVLLTTLCLVGPPLGGLPTPATLPSVFFRGMSDPLPFQLALLKTLVKTTSISVS -FINRVVKLKIGAYPDWLSLVTDPSSLNIAQVFRPERQIRKWVEEAISANTHSSKVGEFFQQPLTEMAQLL -ARDLSSMMPLRPRDMSALFSLSNVSYGLSVIDLFQKSSTVVSANQAVHLEDVVLESSRYKESIIQRVLDE -SEGVDLGPYLEGCTYVAAKQLRRLTWGRDLIGVTMPFVAEQFKPQSSVDAGPGDYKDAIIYCPQEPLRVR -HLSARGDQPLYLGSNTAIKVQRGDITGLNKSRAAGLVRDTLVLYQWYKVRKVIDPNLSKLMDCFLREKGY -SSDIRPNVHGGTLTHRLPSRGDSRQGLTGYVNLISTWLKFSSDYLSTFSHSSDDYTIHFQHVFTYGCLYA -DSIIRSGGIVSQPYLLKADCLTCFEKIESEEFFLACEPQYRGAEWLISKPVNIPEQISDAEVEFDPCISA -GIALGVLIGRSLLVDIRSHQSDITEQKTWANLERFSISDIRRLPWSIVIRSLWKFFIETRLLSFERAGLI -KLLHHQSGPTFSYITKVFQESSLFLETIPIERALSKLNFKDRYDLISKIILLPISNYEIASVEAARIESK -YCEASEFNIDLYLASAKGSSVNPMQICNETNDFISKGSHHGHFSLSATGLQEKSQVIKMAIRKLNLQEIY -ICSDVEPELALDISHLPGLSVVFVLSGNPDYFEKVCAMDLCNAVKLRTNVPKSLSSRKPAGVYIGGTDGP -KQLRLEELSVATYAHPCLEELQYNVFCGQDYVDISDMCCLSLGEPCSALFKPVFDKILNLKTALLGAYDF -LLDLLLIKGFDIRPHLEEFDELLVTAQATLGLATHRSITYFVGLLNRCPVIVLARISPTLKQMTICERLP -HPSAVHLARSPDIHTLLSELPIGLIRLLRGMPC - ->YP_009268922.1 RNA-dependent RNA polymerase [Borna disease virus 2] -MSFHVSLLREEETPRPVAGINRTDQSLKNPLLGTEVSFCLKSSSLPHHIRALGQIKPRNLASCDYYLLFR -QVVLPPEVYPIGVLIRAAETILTVIVSAWKLEHMTKTLYSSVRYALTNPRVRAQLELHIAYQRVVGQVSY -SREADIGPKRLGNMSLYFIQSLVIATIDTTSCLMTYNHFLAAADTAKSRCHLLIASVVQGALWEQGSFLD -HVINLIDIIDSINLPHDEYFTIIKSISPYSQGLVMGRYNVSVSSNFASVFTIPETCPQLDSLLKKLLNLD -PVLLLMISSVQKSWYFPEIRMVDGSREQLHKMRVDSEKPQALLSYGHTLLSMFRAEFIKGYVSKNAKWPP -VHLLPGCDKSIKNARELGRWSPAFDRRWQLFAKVVILKIADLDMDPDFNDIVSDKAIISSRRDWVFEYNA -AAFWKKYGERLERPVSRSGPSRLVNALIDGRLDNIPALLEPFYRGAVDFEDRLTVLVPKEKELKVKGRFF -SKQTLAIRIYQVVAEAALKNEVMPYLKTHSMTMSSTALTHLLNRLSHTITKGDSFVINLDYSSWCNGFRP -ELQAPLCRQLDQMFNCGYFFRTGCTLPCFTTFIIQDRFNPPYSDRGEPVEDGVTCAIGTKTMGEGMRQKL -WTILTSCWEIVALREVNVTFNILGQGDNQTIIIHRSVSQNNQQLAERALGALYKHARLAGHNLKVEECWV -SDCLYEYGKKLFFRGVPVPGCLKQLSRVTDSTGELFPNLYSKLACLTSSCLSAAMADTSPWVALATGVCL -YLIELYVELPPAVMQDESLLTTLCLVGPSIGGLPTPATLPSVFFRGMSDPLPFQLALLQTLIKTTGVTCS -LVNRVVKLRIAPYPDWLSLVTDPTSLNIAQVYRPERQIRKWIEEAIATSTHSSRVATFFQQPLTEMAQLL -ARDLSTMMPLLPRDMSALFALSNVAYGLSIIDLFQKSSTVVSASQAVHIEDVALESVRYKESIIQRLLDT -TEGYNMQPYLEGCTYLAAKQLRRLTWGRDLVGVTMPFVAEQFHPHSSVGANAELYLDAIIYCPQEPLRSH -HLTTRGDQPLYLGSNTAVKVQRGEITGLTKSRAANLVRDTLVLHQWYKVRRVTDPHLNTLMCRFLLEKGY -TSEARPSIQGGTLTHRLPSRGDSRQGLTGYVNILSTWLRFSSDYLHSFSKSSDDYTIHFQHVFTYGCLYA -DSVIRSGGVISTPYLLSASCKTCFEKIDSEEFVLACEPQYKGAEWLISKPVTIPEQIIDAEVEFDPCVSA -SYCLGILIGKSFLVDIRASGHDIMEQRTWANLERFSLSDMQKLPWSIVIRSLWRFLVGARLLQFERAGLI -RLLYAAAGPTFSFLMRVFQDSALLMDCAPLDRLSPRINFQNRGDLVAKLILLPFINPGIVDIEVAGINSK -YLAVSETNMDLYIAAAKSVGVKPIQFVEETNDFVARGQHHGRYSLSWSGLHRRAQALKMVVRKLKLCVLY -IYPTVDPAIALDLCHLPALTIILVLGGDPAYYERLLELDLCGAVSSRVDVPHSLAAKTHRGFTIGPETGP -GVIRLEKLESASYAHPCLEELEFNAYLGSEQVDISDMCCLPLATPCKALFKPVYRSMQSFRLALMDNYGF -LMDLVMIRGLDIRPHLEEFDELLVVGQYILGQLIQEEAVYYVGVVGRGPVVSRSSRWVGLKRITIGGRSP -CPCAARLRDEDRQGSLLAGLPAELVQLLLVE - ->YP_009237647.1 RNA-dependent RNA polymerase [Aquatic bird bornavirus 1] -MSFHASLIYKEEAPRKVEGINRTDQSLKNPLIGTEVDFCINSCFLPHHLRALKFVKSKNLPSRDYYKLFR -RVTLQSNIYPIGVLIRSAESILHVIISTWKLQHMTKSLTAAIRYALTNPRVRSQLELSITYQRIIRQVSY -SREADIGPKRLGNLTLMFIQSLVVGYYENESCLMTYNHFLAAADTAKSRCHLLIASVVQGALWEHGSFLE -HVFDLIDIIDSIDIDHDNYFTIIKSISPYSKGLTMSVYNLTVKTEFESVFQIKDLSPRLDNLLKKLLRLN -PNLLLMISSVEKSWYFPEINMKEGPTEQFHKMRVDIESAPALLQYGEELLTMFKAEFIKGYVTKHAKWLP -VFLTSKASKSLHNARELGRWSPSFDRNWKLFTEVVILKVTDLDLDPDFNDIVSDKAVINSRRDWTFEYNA -AAYRKKHGDQLNRPEKKSGPSRLVNALIDGRLDDIPTLLIPFYKGSVELDDRITVLVPKERELKVKGRFF -SKQSLAIRIYQVIAEAALKTEIMPYLKTHSMTMSSTALTHLLNKLSRQIVTGDSFVINLDYSSWCNGFRP -ELQMPICRQLDQMFDCGYFFRTGCTLPCFTTFIMQDRFNPPRANRGEPVEDGSTCIIGAKTMGEGMRQKL -WTILTSCWETIALREVGVSFNILGQGDNQTIIIHKSLAESNQAQADRALGSLYKHSRLAGHHLKMEECWI -SDCLYEYGKRLFFKGIPVPGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDTSPWVSLTTGICL -YLIELYVELPPSIMQDESLLTTLCLVGPSLGGLPTPATLPSVFFRGMSDPLPLQSALLKTLVSLTSISIS -FVNRVIKLKIAAYPDWLSLVTDPTSLNIAQVLRPERQIRKWVEEAIAASHHSSRVGEFFQQPLTEMAQLL -ARDLSTMMPLRPRDMSALFSLSNVAYGLSVIDLFQKSSTVIAANQAVHLEDVVLESRRYKELIISRVLDQ -SEGVDIGPYLKGCTYLAAKQLRRLTWGRELVGVSMPFVAEQFTPCDSQLATCEDYHDAIIYCPQEALRMR -HLSTKGDQPLYLGSNTAIKVQKGDITGLNKTRAANLVRDTLVLYQWYKVRKVVDPNLSKLMDCFLKEKGY -VSEVRPNIQGGTLTHRLPSRGDSRQGLTGYVNIISTWLRFTSDYLQTYSRSSDDYTIHFQHVFTFGCLYA -DSIIRSGGVVSSPYILSASCQTCFEKIESEEFLLACEPRYKGAEWLVTKPVTIPENITDVEVEFDPCISA -SISLGILIGKSLLVDIRANQSDITEQKTWANLERFSISEVRKLPWSIIIRSLWRFMIDAKLLSFERAGLI -KLIMGHSGPTFLYLMQVFKESALLLETVPVEKMMSRLNFKDRRDLIAKVLILPISNHELAKFEASRIDIK -YKSVSEANIDLYLASAKGVSLHVAPIINETNDFVIKGSHHGHYSLAGKGIQNRAQLLKMVIRKLEIKEIY -IYPSFDAEIALDICHLPGLTVVLVLAGDPTYYEVLLDLDLCNAVQTRVNIAKSLATKKHSGYCLGGHAND -SLTIISEELDSVSYAHPCIEELNFNVFLDSRTVDVSDMCCLALSNPCSSLFRPIYETVFNLKSALLSTYY -FLLDLLLIKGFDVRLILGEFDELVLASQTVLGLNTSWTVVYYLGVIRGVPIISKNRILSTMKHMTINSRL -PPPSAMRLRGSPDLHSFLLDIPSELLAILED - ->YP_009165497.1 RNA-dependent RNA polymerase [Canary bornavirus 2] -MSFHASLIHEEETPRKVEGINRTDQSLKNPLIGTEVDFCLNSGFLPHHSRALQYIKSRNIPSKNYYTIFR -RIKLSSDVYPVGVLIRAAETILQVVIKTWGLEHMERPLSAAVRYALTNPRLRAQLELHISFQRIIRQVSY -SREPDMGPKLIGGLMFMFVQSLVIASTDTESCLMTYNHFLAAADTAKSRCHLLITTVIQGALWESGSFLD -YVFNLIDIIDSIDLPHDDYFTVIKSISPYSKGLVMSAYNVTVKTDFESVFNIKELCPPLDKLLKKLLILN -PNLLLMVSSVEKSWYFPEIDMVTGSTEQLSKMRAYSEKPQMLLQYGEDLLTMFKAEFIKGYISKHAKWPP -VMLSHRAQRSLHNARELGRWSPAFDRNWRIFSEITILKIAELDLDPDFNDIISDKAIINTKQDWPFEYNS -AAYRKIHGERLNRPKTKSGPSRLVNALIDGQLDNIPKLLEPFCRGSVEYEDRITVLVPKEKELKVKGRFF -SKQSLAIRIYQVIAESILKNEILPYLKTHSMTMNSTSLTHLLNKLSRQIVTGESFVINLDYSSWCNGFSP -ELQMPICRQLDLMFDSGYFFRTGCTLPCFTTFIVQNRFNPPKASSFGPVEDGTTCIVGAKTMGEGMRQKL -WTILTSCWETIALREAGVSFNILGQGDNQTIIIHRSSAESNQSLADRSLGCLYKHARLAGHHLKMEECWV -SDCLYEYGKRIFFQGIPVSGSLKQLSRVTDSTGELFPNLYSKLACLVSSCLSAAMSDTSPWVSLTTGVCL -YLIELYVELPASIMQNETLLTTLCLVGPSLGGLPTPATLPSVFFRGMSDPLPFQLALLKTLIKTTSISVS -FINRVVKLKIGAYPDWLSLVTDPSSLNIAQVFRPERQIRKWVEEAISANTHSSKVGEFFQQPLTEMAQLL -ARDLSSMMPLRPRDMSALFGLSNVAYGLSVIDLFQKSSTVVSANQAVHLEDVVLESSRYKELIIQRVLDE -TEGVDLSPYLEGCTYIAAKRLRRLTWGRELVGVTMPFVAEQFNPQSSITAGPDDYKDAIIYCPQEPLRTR -HLSARGDQPLYLGSNTAVKVQRGDITGLSKSRAAGLVRDTLVLYQWYKVRRVVDPNLSKLMDCFLREKGY -TSDIRPNVHGGTLTHRLPSRGDSRQGLTGYVNLISTWLKFSSDYLSTFSHSSDDYTIHFQHVFTYGCLYA -DSIIRSGGIISQPYLLKANCQTCFEKIESEEFLLACEPQYRGAEWLISKPVSIPEQISDAEVEFDPCISA -GVSLGILIGKSFLVDIRSHQTDITEQRTWANLERFSISDIRKLPWSIVIRSLWKFFIDTRLLSFERAGLI -RLLHNQTGPTFSYIVKVFQESSLFLETIPIERALSKLNFKDRHDLIAKIILLPISNYEIASIEASRIESK -YSEASEFNIDLYLASAKGLSINPASICNETNDFIPKGCHHGHFSLSATGLQEQSQVIKMAIRKMELQEVC -ISPDVDLELALDVCHLGGLSIVFVLSGNPDFYEKVCAMDLCSAVKLRTNIPKSLSLRKPIGVYIGGVKGP -NQLRLEELSVVTYAHPCLEELRYNVFCGEKSIDISDMCCLPLGDPCSSLFKPIFDRVLNLRTALFGAYEF -LLDLLLIKGFDIRPHLEEFDELLVTSQATLGLATHRSITYYVGLKNRLPVVALTPMSSTLKKMTINNRLP -HPSAVHLARSPDLHLFLSGLPLGLIRLLQGVVHS - ->sp|P52639.2|L_BDVV RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MSFHASLLREEETPRPVAGINRTDQSLKNPLLGTEVSFCLKSSSLPHHVRALGQIKARNLASCDYYLLFR -QVVLPPEVYPIGVLIRAAEAILTVIVSAWKLDHMTKTLYSSVRYALTNPRVRAQLELHIAYQRIVGQVSY -SREADIGPKRLGNMSLQFIQSLVIATIDTTSCLMTYNHFLAAADTAKSRCHLLIASVVQGALWEQGSFLD -HIINMIDIIDSINLPHDDYFTIIKSIFPYSQGLVMGRHNVSVSSDFASVFAIPELCPQLDSLLKKLLQLD -PVLLLMVSSVQKSWYFPEIRMVDGSREQLHKMRVELETPQALLSYGHTLLSIFRAEFIKGYVSKNAKWPP -VHLLPGCDKSIKNARELGRWSPAFDRRWQLFEKVVILRIADLDMDPDFNDIVSDKAIISSRRDWVFEYNA -AAFWKKYGERLERPPARSGPSRLVNALIDGRLDNIPALLEPFYRGAVEFEDRLTVLVPKEKELKVKGRFF -SKQTLAIRIYQVVAEAALKNEVMPYLKTHSMTMSSTALTHLLNRLSHTITKGDSFVINLDYSSWCNGFRP -ELQAPICRQLDQMFNCGYFFRTGCTLPCFTTFIIQDRFNPPYSLSGEPVEDGVTCAVGTKTMGEGMRQKL -WTILTSCWEIIALREINVTFNILGQGDNQTIIIHKSASQNNQLLAERALGALYKHARLAGHNLKVEECWV -SDCLYEYGKKLFFRGVPVPGCLKQLSRVTDSTGELFPNLYSKLACLTSSCLSAAMADTSPWVALATGVCL -YLIELYVELPPAIIQDESLLTTLCLVGPSIGGLPTPATLPSVFFRGMSDPLPFQLALLQTLIKTTGVTCS -LVNRVVKLRIAPYPDWLSLVTDPTSLNIAQVYRPERQIRRWIEEAIATSSHSSRIATFFQQPLTEMAQLL -ARDLSTMMPLRPRDMSALFALSNVAYGLSIIDLFQKSSTVVSASQAVHIEDVALESVRYKESIIQGLLDT -TEGYNMQPYLEGCTYLAAKQLRRLTWGRDLVGVTMPFVAEQFHPHSSVGAKAELYLDAIIYCPQETLRSH -HLTTRGDQPLYLGSNTAVKVQRGEITGLAKSRAANLVKDTLVLHQWYKVRKVTDPHLNTLMARFLLEKGY -TSDARPSIQGGTLTHRLPSRGDSRQGLTGYVNILSTWLRFSSDYLHSFSKSSDDYTIHFQHVFTYGCLYA -DSVIRSGGVISTPYLLSASCKTCFEKIDSEEFVLACEPQYRGAEWLISKPVTVPEQITDAEVEFDPCVSA -SYCLGILIGKSFLVDIRASGHDIMEQRTWANLERFSVSDMQKLPWSIVIRSLWRFLIGARLLQFEKAGLI -RMLYAATGPTFSFLMKVFQDSALLMDCAPLDRLSPRINFHSRGDLVAKLVLLPFINPGIVEIEVSGINSK -YHAVSEANMDLYIAAAKSVGVKPTQFVEETNDFTARGHHHGCYSLSWSKSRNQSQVLKMVVRKLKLCVLY -IYPTVDPAVALDLCHLPALTIILVLGGDPAYYERLLEMDLCGAVSSRVDIPHSLAARTHRGFAVGPDAGP -GVIRLDRLESVCYAHPCLEELEFNAYLDSELVDISDMCCLPLATPCKALFRPIYRSLQSFRLALMDNYSF -VMDLIMIRGLDIRPHLEEFDELLVVGQHILGQPVLVEVVYYVGVVRKRPVLARHPWSADLKRITVGGRAP -CPSAARLRDEDCQGSLLVGLPAGLTQLLIID - ->sp|Q8JMN0.1|L_BDV1 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MSFHASLLREEETPRPVAGINRTDQSLKNPLLGTEVSFCLKSSSLPHHVRALGQIKAKNLASCDYYLLFR -QVVLPPEVYPIGVLIRAAEAILTVIVSAWKLDHMTKTLYSSVRYALTNPRVRAQLELHIAYQRIVGQVSY -SREADIGPKRLGNMSLQFIQSLVIATIDTTSCLMTYNHFLAAADTAKSRCHLLIASVVQGALWEQGSFLD -HIINMIDTIDSINLPHDDYFTIIKSISPYSQGLVMGRHNVSVSSDFASVFTIPESCPQLDSLLKKLLQLD -PVLLLMVSSVQKSWYFPEIRMVDGSREQLHKMRVELETPQALLSYGHTLLSIFRAEFIKGYVSKNAKWPP -VHLLPGCDKSIKNARELGRWSPVFDRRWQLFAKVVILRIADLDMDPDFNDIVSDKAIISSRRDWVFEYNA -AAFWKKYSERLERPPARSGPSRLVNALIDGRLDNIPALLEPFYRGAVEFEDRLTVLVPKEKELKVKGRFF -SKQTLAIRIYQVVAEAALKNEVMPYLKTHSMTMSSTALTHLLNRLSHTITKGDSFVINLDYSSWCNGFRP -ELQAPLCRQLDQMFNCGYFFRTGCTLPCFTTFIIQDRFNPPYSFRGEPVEDGVTCAVGTKTMGEGMRQKL -WTILTSCWEIIALREINVTFNILGQGDNQTIIVHKSASQNNQLLAERALGALYKHARLAGHNLKVEECWV -SDCLYEYGKKLFFRGVPVPGCLKQLSRVTDSTGELFPNLYSKLACLTSSCLSAAMADTSPWVALATGVCL -YLIELYVELPPAIMQDESLLTTLCLVGPSIGGLPTPATLPSVFFRGMSDPLPFQLALLQTLIKTTGVTCS -LVNRVVKLRIAPYPDWLSLVTDPTSLNIAQVYRPERQIRRWIEEAIATSSHSSRIATFFQQPLTEMAQLL -ARDLSTMMPLRPRDMSALFALSNVAYGLSIIDLFQKSSTVVSASQAVHIEDVALESVRYKESIIQGLLDT -TEGYNMQPYLEGCTYLAAKQLRRLTWGRDLVGVTMPFVAEQFHPHSSVGAKAELYLDAIIYCPQETLRSH -HLTTRGDQPLYLGSNTAVKVQRGEITGLTKSRAANLVKDTLVLHQWYKVRKVTDPHLNTLMARFLLEKGY -TSDARPSIQGGTLTHRLPSRGDSRQGLTGYVNILSTWLRFSSDYLHSFSKSSDDYTIHFQHVFTYGCLYA -DSVIRSGGVISTPYLLSASCKTCFEKIDSEEFVLACEPQYRGAEWLISKPVTVPEQIIDAEVEFDPCVSA -SYCLGILIGKSFLVDIRASGHDIMEQRTWANLERFSVSDMQKLPWSIVIRSLWRFLIGARLLQFEKAGLI -RMLYAATGPTFSFLMKVFQDSALLMDCAPLDRLSPRINFHSRGDLVAKLVLLPFINPGIVEIEVSRINSK -YHAVSEANMDLYIAAAKSVGVKPTQFVEETNDFTARGHHHGCYSLSWSKSRNQSQVLKMVVRKLKLCVLY -IYPTVDPAVALDLCHLPALTIILVLGGDPAYYERLLEMDLCGAVSSRVDIPHSLAARTHRGFTIGPDAGP -GVIRLDKLESVCYAHPCLEELEFNAYLDSELVDISDMCCLPLATPCKALFRPVYRSLQSFRLALMDNYSF -VMDLITIRGVDIRPHLEEFDELLVVGQHILGQPVLVEVVYYVGVVGKRPVLARHPWSADLKRITVGGRAP -CPSAAGLRDEDCRGSLLVGLPAGLTQLLVVD - diff --git a/seq/clusters_seq/cluster_237 b/seq/clusters_seq/cluster_237 deleted file mode 100644 index fb5845d..0000000 --- a/seq/clusters_seq/cluster_237 +++ /dev/null @@ -1,312 +0,0 @@ ->YP_009345044.1 RNA-dependent RNA polymerase [Phomopsis longicolla RNA virus 1] -MRSNWPIKLYWLPYGLAPVSVSLGPVSSSFLLNKRLRRRGEGGCFLAIDVNPQSPGGGPLKKATGCSPPV -NCGSSGKADLRYTKENVSPNNLQGCKKESRNGRVARAAVRLLEQDQKIKRVRPLPPRISCGSLRHAVRQV -FPPDLTVVQELSVKTAQKLIKPCDLCEQEACSALMAKYMKERFEPDSPVDVAHVQRFKRAMAVNIPSGWN -KKKSPYIPTGHATLFNKRRQGGSWNREPFSRFARAECVFSSGKPRIVTMYSSRNSELLSPLHDALYAAIR -KGWLLVGSPTDELVQGLNGSGPYVSVDYRSATDNIRAVYVRASIEVLKEKSECLSDEEAAALDVLAELRF -ASGDFVPGLTRAECLDGSEPATRGQPMGSLISFPLLCLINKSVVDLALADLLDSGKIRWKEFQRHRCLIN -GDDLLYREFTDSRDILDGILRHGGMVGLVVNTEKTMVSPEIAEINSTSFRNGRKEKKTNVNVLEFSKEVT -DPIGFLADSVVRPSSFRRLLELWKGPIRDAERKIQGPIPRSFFASLYRSRAVKESLVWFPTGRKESYNPF -PIAARPDGYDLTRGEEIGSIHARVSGLMDSGYRPEKPVRSRALSGEVRSIQSALRRIKPREEDNILKVLA -DTWYWKTKEKSVKEDDVYFLSKEVPAWCQECYEGSHSKIQCIAGIIRTFKQREKGQLAAELRQEVLAEDA -SAEYIALT - ->YP_009337805.1 RNA-dependent RNA polymerase [Hubei narna-like virus 13] -MAISTPVTRGGLRKQLEGLARGTGFLTRSGCVVFAARLASARSFRRRLIFFNALLTLKKAFPLVTRDRDV -VQRALQKHREVLASPPSSVSSAPGITWAVKRMAAVRPILSQEVLRSTSCSAPGASLPTTQGITERDRFYT -IHKDVLEKTTKVISRVVYEDIPRTDSRPIYRRWGNQYGSGITKKVLRNQAYTVMSRRPVTRWEEVEVERL -VRKPTVVERPADVFAGSPYLDGLRLLKDKAQPLTWTPGVRLRLSLLGNFPDKACMRRAPGAGSVRSQFPF -DRSVVFSKPLPIRPVPGVEVRPTDLIHRDYLSAVKDQLMRVASAAVGETGAEAIPIPDKGKVRVITKEHA -SAKCLVPIQTLVSLWLSGFRETSITRRPQTTDDILWIATDPDASPDSCFVSGDYESATDYMPLEYSRAFW -IAIISVIPGLSDLEKQVIIGQFYARRISYPKGSGIPPLLQRRGQLMGNLLSFPALTGINLISFRSLFPYR -RVLINGDDILFRATKPEFDKWVEHVQSYGLVINVRKTIFSPFVANINSATYHWVPERRAVIQIPRYPYHL -ATTLHPGGNVVLDCSVKESSIIKRFYPFHLNRRDTRPFFGETWRGGMGFTYAGFRPSKQERWLYLRFRAK -YGRKPPCAIPRHLLQEPSSIDRVLVRTLGPYSDDSICRTGSSVSRPTFRIPEKLKSLPQEKVKEISQGKL -IFPSLGKIDCGLAGSTGTLPIG - ->YP_009337619.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 9] -MLNTETRLRSVSKTDGLQFVEDKLYKELSLQTVWQDTWILAMTSVILDPLVCVPVPVTFGDRTIHIFVKK -AFCLTKQEVRALRTNNSTLKFGRRDFCGRMSRILSRRFERGECVKSLCIDPVKGRYVMASRDVEKLRQAW -GAALTVYTGLITFLKGEKPVHCATLRNKRGRTVVRKSSTSFPLLSLWTHFLRKLPSVSEKKLVKVIKVSL -CGTFALKANQDLPEDFESLGIPLMPTYMLAWVESRCKSFNDYVTLYFCLQQAKGLLTRVPDTFIVEGLQK -HRDGICRPEKDTIPKDTEMYERMRNFASSKFGAYVGKIYDPYSTKVPNQTACIGRSRQKGGNLAQLKTEG -SFINTSDPFFQSPQGRMEPMVIGLFGAPGSGKTTRLREITAALRQSLFPHCEDADLVYSRSCATQHWDGY -RNQPIVVLDDFGQDHNRKDVVEFAQLVSTNQYLLPMAELSEKGASFTSPIIIVTTNLKFGDNLLCNSLTF -CEDPGAIWRRFHVPVMVTKFDDGSSGVHAISMEALDSGPPPGGSLMKSLTSRQVRYRNRSVPSQVPSIEA -KNHLCSTGVNYELDPEMLSIMDLKELVKKTFQERVAYHRDCCQGKWVQQISSVDIRTVRRDSEVSDTLLY -AGEGLSNSGSQVRPGLHSYIQFPLEPPAENPVVEVVALPEPAKVRCITVGEANLKCLKPLQMAMWQSLSQ -YPEFSLTHGVADGRVDDDKLLIFRRMEDEIRRIHNPHGTWLSGDYTAATDNLPMWVTEALLEGILDHIEH -EPTKRWARYEAGRHEVLYPESSCLEPGSQTSGQLMGSLISFPLLCMANSFIVEYSGIEPGSYLVNGDDIV -ASTSQNSIDKWKENAPRIGLSLSMGKNFISDEFCTVNSQLFLKDTEGVMSIRHTGKTGLLHRGEGEPLGR -TFSDFQNFYGLEDIYRQTYIRHNLESLRRTPQSLQVPISHGGLASTFTYGVTLNQKLAKEVWVASLLERI -TKSSDEQFHTLTGYCPLRVPYLCFEEEEEIPNQQAESVISAVKGLFLPEEDIDINGDEKVYDLTHRRLAR -VREDLKKENSYLSPLRFISQMSELKIQDLPSLDSVKFKTHFVRKSDYTTLRHKYLGDFARELSCYIKGRP -RMRDSTDVELSLPVYVEALTAKTTRALSRLSEEREVSMDKLSQDDSETDIFLTSMVDAILADSLESLLGD -QTPVCNSEYFCSYDSAIRGHANEEGSKGIPELVRPVENPWCQENSWWCNTTLSENPWGEVDASPTHT - ->YP_009337422.1 RNA-dependent RNA polymerase [Wenzhou shrimp virus 10] -MSTLDTYANCIRVWHQINFKGKFSFHLKSQLSHFQRVFSPYPQKQWPKIFKGLLAHKFSVIHEQELPEVI -MDSKHLFYPQYHRRIHSYVVTKYDEERLVRVFWDLMQTKALAARVPDSFIKDALVKHSETVGQVTSTDPA -VLEKFAKFCEPFMKRTGMLLSQQYAGLPKNHASYEFKRGLGGVGRSYKSSYMNVTQPSQPRLDPITILVS -GEPGCGKSLLQNKIASKLAARLDRSLSETVYVRNSSTRHWDGYKQQPLAMIDDFLQTSHKQSTEPLEAEE -FITLNSTCDHVLPMADLREKGMRFTSPIILYSSNHSYHQATGKLSRQLHSIGAICRRIKFYIEKRAGKWI -LFKDVPSDIPGRVRTAFDRVCDCGQETSINGAPSHGRSSVRVLSSNLLHKFAEQVVDILLGEWERRCIFY -DNNIAEGYSRVPIGPGVSARFEPVSKPHNRVKVVPLTEPLKVRTITVGTAENFLLKPLQKALLQSLKGYA -QFKPCFDPNYQDQIDSLRLREGLWLSGDYTSATDGLHQDLFHAGLSSLESYIPENLWNLVKREMEPHLCE -YPPEMGIPDCWQTNGQLMGSLLSFPLLCLANAFTLSHTMGKPVGKLPALFHGDDLLAKVTPREYEAWKAF -CPTIGLSLSVGKNYVSPNWGSIDSQVFYQGRCLGTGKFGALSAGHTQSIPTLIRRGVPKGLIVSIFKKEL -EKTPRSLDVSHLYGGLGQDGLPQTDLDFQVYHRKIARTFVRRDMRDIGYVYTIDRSYSNSLPAGCVPSEI -PEDAESKRVSDWKGIKHFSSKAPATIRVTDPITVFSRKPAPVLENLVRHSRADCTVSLDEIDF - ->YP_009337386.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 7] -MDQEFPCERKEALLDHINMKIMSKLRNEARHKRKRAIGFAYSIQNLKYLITFEPKDLIKSTLEKHRADLS -KPIGGLDPQIKEAYDFILQKFMDFIASNASEIDVFPEPTESRCHSKTGKSFKEAFMKATSEHTSLCSNYH -IRVGDRTLCVPDTNYNKDFNFAHGDCLLNNTVKAVALVEPLKVRVITKEAAWTHMLKPIQKLMHSALTHH -DFGEIFCLTRGKPYENVSLGTLSDSEFYLSGDFSAATDNIHPHFLNEFRDRMRVILKDHPMIWHALNSEA -RNHIIEYPLGIPPVEQMRGQLMGSLLSFPILCLSNLAIVLASCREHRDHTIDRVIQSASSRTGYKPIIEK -VKITISENWSSTLERLKSSCLINGDDLAIRTNDQFLSKWKVLSPNAGFTLSIGKNFIDKRFYTINSKLFI -DDKIFSTHKFKCSMSNKGDLASLGAEYPRFFKTEGVQQEVVDKHNRTIRSMFIRDHHKRLMKDPRSLEVP -RHLGGLGFGNLIPCNIMQKLTHLIYSYKITKSPKNFTNLLSRFGLERVYVPRGTCHRLKLCDYTSYIGLQ -FDEPTRDISPSELPVRIRQYIKLVKTKQLALTDRWFHWEWVIVRKFDLPIIRQFLVDLRKLGYDKAISKN -MSYIGQVIQRLPTLANDYAKFVKRIYMKRLPKKVNGAYKVFRDSLHYTINTKVEPHTELGKATVVALNYA -VRPTLPGNTCPYDSWSPFDGDVRLIV - ->YP_009337385.1 RNA-dependent RNA polymerase [Changjiang narna-like virus 4] -MAGCSKLDVETFESLFLRRGYFLGENKLDRVLLSLLHLKDVCLLEEYQGFFYLINEKNFGKKTKQESKLV -AYRYKMEKLEPDFSYSRFAIRYDVPLDTINGIFPLVFKEPRLVFHSLKLSYALYLTLKMFRLKNLKCNQR -VRSNLMLPLPDNLLSALFLQIFSELKKKNLSESQLIKCIKNSLCLLVSKSLDQHELPQGDSIVLFPSDIW -KEVRSFLSPEGLVRFCFSCLQSKVLCEEVPEDFILDTLIKHRDQLSSPHRGISPEVLTKLRERGREFGMR -VKRYYNYDRGFFPTNKATFAFPRNRGGVKGDLVFHDHLVDLPPGEDPDDRVEPFVIGLFGQPGKGKSSIL -SRFLAVLSSLFPGVKGKDLVYQRTCHVDHWDGYNGQPITIFDDLGQSMEGNDIKEFQTLVSCCPYVLPMA -DLREKGMKFSSSILITTSNMRYNQDLNLVYKKNGSPIIDQTSFWRRFHYPITIDDSGAYALKEKPNFNRP -GSSLTSPSNYDAKVSDGNYLSFPSVMTDRLKTGSFLDHWKKLEEVGSREILLEYSRRKLWHENIRRNWVQ -KTLNREEKGESLIPLLRTSGLPETVLRGLDQGGVSRKGLSFSAFPPVGPLPVRVVPIVEPLKVRTITAGI -GQTFCLKPLQRAMWEAMGEEKQFTLTHGTNNLNTAVKRLFDYSTPNSVWISGDYSAATDSFSIEASKALL -QGILESIDHEPTKRWAMKEISPHLLVYPGSSGLNPVLQKSGQLMGSLLSFPLLCLLNDCTARFSGLSPDQ -YLINGDDILIRAPKVFYSKWKEQVDKFGLELSLGKNYVHPRFGTVNSQLILDGDVVSSGKQRVLDRRSEI -LGECLRDLELHMTDTPSMEVQELFKSVNRMKLSRTVRDISVPVSHGGLSFSWGKEALTKKSKRTQILCYL -HDLFQKMKPMSGCISIPYLSTKEKTIAEVCEQERAFNEVVDSKEYHEDFLNVTDICLVQKRCMTHPKLRD -TLLGQDIRTLPSLSFVHTYQIPCSDHKVKKELQKEIDSLFLERFFQGGQDFSYEVFREEFLRRLSNLPSA -QVTTKHIVELMDLNIKDDFLKYVNLDFKSKPFDLDLFKKGLGGALAPKEFDLPEVTDFVDFSEEVIQSFN -ELLESCPQSEEMYSGPIYRPDTRPNTKKTRETRSEAKRKANEQVARLVCGLPTDKGQRGEGKSPKKS - ->YP_009337146.1 RNA-dependent RNA polymerase [Wenling narna-like virus 5] -MEAVTSQASALTHVQLVSSIKYYSTLYMCKMTNTPFDEPAQPRFHSCRLHRHLLRCSFNPSMKQRRMLVS -LLMVKKGYGLAPEDMVENSLSEHAAVLGTPGIIADGQISDGILDLVEGLFPVGWDKHGHCGSLQSRAVYE -GGVATNHVGSVGLPAFGDACFNYNRFLCMERHYKVKACAVQQPGKIRIITKGEVSLKCLMPLQNSIMAQL -RKNKSFCLTNRELEKTDIPKADPKRPFVSGDYKSATDYLCPELQYNIMRVILERSTSEWVKKLWWTALEE -VSCHEIEYPNGTSIHQARGQLMGSLLSFPLLCIINYCVLQYLFKGRWCLINGDDILFQANKQEYSLWCET -VARVGFQLSLGKNYHTNKLYTINSRFFKYNFLKNTHDEICFLHLGRMFGPMSGDTYRRIPSYYQHMYKRY -CKKVPHMRDLLEPVCYGGMGGVTFDEYLKMVPVRRGSSLALHHQLVRLGTPRLAVMAYDVLVSLWFGWLT -WSIPTCRRPEHPIGGFPRVKGKLSCLLEPRMKPWAPNVLEFIR - ->YP_009337133.1 RNA-dependent RNA polymerase [Wenling narna-like virus 4] -MAIINGTDRLHEPYNSPIVGELDSVEKIITTMGIWARGFNLNIDHISPVCRTFNSFKDLTTSIKEWSNYL -MNCLVSNKVIRPSIKKPFNRNLYDYLRRACYNPSNTQRCMIISLLMVKKGFPEAPKCLVDETLKDHQELL -SSPSERTDEKTVDDILEIVDKLFPYGWDLNKGICGPLPTKAVYEGGVATQYVFPEEACSLIQQAKLTYDR -FLHMRKHFKVKAVAVQQPGKIRVITKSEYSLKCLMPLQNALMDQLRNNPSFCLTRKECEENDFDIFLEEK -GAFVSGDYKSATDNLNLDLQYYIMQRILFNSVTPWVKSLWMVALREVSSHKIEYEKLQSIDQVRGQLMGS -LLSFPLLCIINYSIFHILFPNKKVLINGDDILFRASQQEYDLWKVKVLSVGLQLSIGKNYFSNEIFTINS -KFYRWQDRANEIGYLHLGHLLGPMSGDSYRRIPQRFQGMYKRYCQKPFENLRDLLEPTCFGGLGGLTLDE -YNKTVPVRRPSALAIHHQMKTQEVIKLGSLAGDVLDFMSFKFMTWIYPSCRREDIPQRTRIRTKGKLGPL -YEPRMIERTGNFMTFIGY - ->YP_009336759.1 RNA-dependent RNA polymerase [Hubei narna-like virus 12] -MDSDARRKPGMAGCSELDVETFESLFLKRGYFLGENKLDRVLLSLLHLKDVCLQEEYLGVTYLINRDHFG -KKTRQESKLVAYRYKIESRDEGFSFVRFAFRYGVPLDTINGVFPLAFEKPRLVFHSLKLSYALYLTLKMF -RLKNLKCNQRVRSNLMLPLPDNLLSAIFLQIYSELKKKNLSESQLIKCIKNSLCLMVSKSLEQNELPEGD -SIVLFPPDIWKEIRTFLSPEGLVRFCFSCLQSKVLCEEVPEEFILDTLIKHRDQLSSPHRGLTPEIIKKL -QERGREFGKKVKKFYRFDRGFFPTNKATFAFPRNRGGVKGDLVYNDCLVDLPQGEDPDDRIEPFVIGLFG -QPGQGKSVLISRIVASLGALFPGVKGKDLVYQRTCHVDHWDGYCGQPITIFDDLGQAQDGSDIKEFQTLV -SCCPYVLPMAELSEKGMKFSSSFIITTSNMKYNQNLNQVYKKTGSPIIDDVSFWRRFHYPVIIEKDQAFT -LRVPPDFSRPGGILSDPDVGWRDIAVSDGGYLSTPTVMDERLKRKSFSENFIPIDFRDSEELLSIYYRRR -LFHENIRKNWLQKTVNGEEKGESLIPLLKHSGIPESILHGLKQGGTSRKGLTFPAFPPSGPLPVRVVPIV -EPLKVRTITAGIGETFCLKPLQRAMWEAMGLEPQFVLTHGTNNLNTAVKQLYESSVPGSVWISGDYSAAT -DSFAIEASKALLQGILESIDHEPTKRWAMKEISPHLLVYPGSSGLTPVLQESGQLMGSLLSFPLLCLLND -CTAQFSGLKPDQYLINGDDILIRAPKKFYPIWKERVQEFGLELSLGKNYVHPRFGTVNSQLILDGEVVSS -GKQRVLDRRSEVLGECLRDLEVHMLSTPSSEVQDLFKSVNRMKLSRTIRDISVPVSHGGLSFSWGPEALT -KKSKRTQILCYLHDLFKKMKPLSDCISIPYLSTKEKIITEICEQEQAFNEVVDSKVYHEDFLTVKDISLV -QKRCMTHSNLRRLLLDQDIRTLPSLSFIHTYQIPCRDHKVRKDLQKEIDSMFLERFLQGGDGFGYESFRE -DFLKKMSNLPSTEVTVRHVVELMDLNIKEDFLQFVNLDFVSKPFDPELFKKGLGGALAPKEFDLPEFTDF -VDFSEEVIQSFNELLASPQSEEQTLMEKDWFSRPKTRKTRLVKIKNSNQKEIELIACHNKQSSGQKEP - ->YP_009336532.1 RNA-dependent RNA polymerase [Shahe narna-like virus 3] -MFLKRSLAPVPRSLIKKSRDDHKSTLTTPSERVHDVTLKFIEDCTTVYTRSLTKCRQERLEKPAISPINQ -LKRLKRTYGPIEVKEDCLISCEDESSHRQLDDSSLSCSETPFLNLMATKELHNTRDRNQVHGLHSLNRSF -YPLSYKIKEVDQNLNTFDNCWIDQKVKESSYYDKLKSDTKLTEEGEFISQQDISMSKYSLDKINKIYPLS -KHSSYNNSVKIGGAQSEISLNLQNSNLKLGSNNGGLRKFAMLNNAMKNQYEIAKAKCLNESKDIIKESVR -VCSIVEPLKVRTVTAEEARNQFIKPIQKLLWEDLLSHEDFFLTRNEDFESHWNRKFKFCDLPYHISGDYK -AATDNLNRNVINVVITQLSTLLPTNLIDLFMKNAGLHYLDYNEVAFSLRESPDKIGDVYLQKNGQLMGSL -TSFPLLCFINWISYQFSKYLVNNYPDEHLSESCAINGDDIYFKASLKGYAKWQEVVHSFGLSPSPGKNYS -SSNCFLINSRNFLVNTKSELKEIPFINFQMLPQYSEDSNNKFEHNKKELLNQMKGDSVYSEVNSPIGIIF -RTFCKQANINLVKPSKFDIQLIYYFYSVWKKEIIKCPREFYLPSLIGGMGALTLDEFKKTNLLTKTKKLS -LLRGFKCKSCFYMQTYEQIGSDKDQIESFAAKLENIILDELSGTDPENKQLSSKSLLSPVSTFVSKGGVE -KYTLQKFFKKLGKSSFRNRKFKPATVLSIEKSL - ->YP_009337436.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 8] -MRREKPKSPPCKRKTWRTTRNSANRDASADKNLISRKRLIHSFQTCLEVWMRKSFSFSIKHPGKFIETEK -FQKIFEGIPLKELPKRFKHLLCDNFSVLTNQSCPPADYARVPIKGLIAKCSRTSFHTIMCRLRSHKRYVA -CWNLLQCKSLAHEVPDEFIQDALVKHRATMEKEPEPLEIEVEEDFRHFVKPFVDQVIEQFDGKTSYPTNH -SCVGFTRSGGGQLAAHSHRIRTSSGRRLPMGGRVGRKEPVVLTLEGPPSSGKSTMVKYLVKELQRQFQLK -SDTVYYRSAATDHWDGYWGQPITVLDDVGAFASSMTQPSADLKELLQLVSECDYVLPMADLKDKGRKFTS -PIIIMTTNYGARYNQTGFSCSAAIARRFGTVYRVVKGQAYDTYTQSTDQGCVDEMSHPLDSFVRKMRKDR -PVSIRAMVNRLRTQYDEFHGNLRQEVLGMGTSLVFKKEDLSSLINTCEVTAIPEPLKVRTITRPEPLTYA -LKPIQRAMFEALKRWKCFQPCWDPNYSLQELLRPEEGETLLSGDYTSATDDLHPRLSQIVGEMIADRLGD -GFLSDLIRWESGPHLISYPKDSGLAAVWQTNGQLMGSLLSFPILCLANAFTMTRATGTTLDTVRAVFHGD -DIAAVATESQISKWEKEASSVGLSLSLGKNYVSRHFVSIDSQLFLWEDGELVRKVTGKFRLVNREAGESC -FEQALRQGFNKQLIRTLCKSQLAKSLRSLEVAVEQGGLGIQTTRPLTLWEKCYYLNRYQARTSCKEMGEG -IRVPKELAVRLRLTSVKSMMLPEEKPSEKNVNKQVWRLMKKCLKNSKFYEKVQNLSCSRPLSTLLTSVVR -CDYSPQELSRVYQQMFPMK - ->YP_009333347.1 RNA-dependent RNA polymerase [Beihai narna-like virus 17] -MEDLSRFRKQNLFLRKFLELRPGLTYVSLRGYTLIVGTDFGPDKVLKGVSFPSDWSQKDIKRLRSDIRDF -AISQTNSQRIRTVSCLLSLGISPERIFGVTIDGHTFYRVCDPVRFNHALGLADAVDLAMLNLNVRIRFRT -KTSIPAYRQLDFYHGMSRVTSYSLKRKAVCRSSRHNKYLLLLHYLRKFPRGHEEKAYVKMIKLLLAGEFS -KQMDQELPTGYEDIGFRIFPPEAQRRLDNALLNKRERARFYFNILQSKALCHPVGDDMIDEAYQKHHDSI -CRPEEETIPRDPEIADKLFRLGQDFGRKMVSNGFFDPLTTTLPNTKACIEESRRNEKNPNNAGNLGSLLK -KAGRVVQYTGNSFLSGSRDGTRFEPIVIGLFGPPGCGKSTALKHLISYLGEFFPETSLEKRVFTRSQNSE -FWDGYSNQPIVVYDDFGQDRVTLADVREFPNIVSVNPYQLNMAELKDKGKVFFTSPIILLTSNCQYGSQF -RDPTGKVVVEDSWAVWRRVHIPLYLEEGRLFPYRLDPSDFNVESWQAKYRTRFSTIKQVLTREFYPIDPE -KISLGEPISFNQLLRDSRNLLEKRMAFHEKNLTGVWTQIISRQRVEIIEDGIIVEPNVTPIKFPIDNRDH -TLALQFPCSPPMERPKVKPVALSEPLKVRMITAAQSDTKCLQPLQRGMWRTLGLFPEMCLTDGVKELVSF -SDETLPWIYRIEKVIQRIRDSNPDDYLWLSGDYTAATDNIPMWVTESLLEGILTQVDHEPTKQWARWEIS -PHEVLYKDKHGGTSLQTSGQLMGSLLSFPLLCLANYFTLIHSGFGSRQFLVNGDDVVARGTPEQIEAWRS -FAPKIGLSLSIGKNFIDPDFCTINSQLFFNGEVQHTGKVSCQQRHGTTLGYCFQEAQFYWGPQEFIKAEF -VRRNLHELRKTPRSLDLPVSLGGLGLYETMEKTNRRYNMGLFKRVYFYDLLRKFIHPLHFGIKGSRGSEY -AVLRIPTVHGTVSKVLRKNDVDKTSVSLERLKSLSCELPKESFPADPTFHDLERFWNKLLKIEELRFFKE -VCQNPAYDIRDFPPLTVFSEKCLLVRKDRANEIQRSICSSFSRLWLDDFSALKAMDDFLDFDVEDCQIAE -FNQRIKFQEVVLPELRVMSPQLDNGPVTLEFEPLPPQGDVCIRRDELLALPCRGFQAPADGGMPQLPEVF -QKLQLTSMIELNFRSGIYGKDPEESCIETFTDRVPHPFMDKLHANDNSMTLLKI - ->YP_009333315.1 RNA-dependent RNA polymerase [Beihai narna-like virus 18] -MYSKNGIKRFNYSMRRLTTKHRVFHLLLHYLRVIPRKEFEKDYVKLIKVTLSSKFSEQMGQDLPEGETFP -LFPSYTQGKLDAVLSHNKKRRVQFYFNLLQSKALCAPVGKDMIDEAYEKHRRSLCRPEDELLEVPQHHLD -GLREYGRIVGKRVAQLYNPHESTLPNERACVERGRHLGGNLKQLQETDSCQLFSNHPISRMDEGVRLEPY -VVGLFGAPGSGKTTLVQSLVRILGNKIFPGLEREQLCYSRSCSTEHWDGYTGQPIVILDDFGQNHGSRTD -IVEFENIVSVNDFVLPMAELKDKGQKFISPIIILTSNCRFGSDLRTDKSTHVEEPWAVWRRITLPLLVHR -GQIGEIHYGPSEIQQKMWSDKHHSDRLGYTPCVPWNRVYDTDESSLRIENLGRNVYPLVERIMQEIDERY -SYHRRNFQDTWVQSVSRKRIQCAQSHEPLQWDLYVSDIQLPCSDNDWSLDLQFPAHPPQTAPVVKAVALS -EPLKVRMITAAEASTKVLQPFQKALWNYLAEQPQFCLTNGVKAPWSEHESFQDDTLPWVYRIETMIQEIQ -QRTSEDSLWLSGDYTAATDNFPMSVTEALIEGILSEIDHEPTRQWVRWECSSHEILYPKGVRGRQTSGQL -MGSLLSFPLLCFLNDYIVSYSGFKKFTYLINGDDVVARGDKEKIALWRAQAPTVGLSLSLGKNFIDPDFC -TVNSQLFYKGEVLHTGKVSCQTRVGCTLSYCFEETQFYWGTEDWVKYEFLKRNIIPLRSTPRSLHLSKKF -GGLGLVNSLDTGIRYDHGLLKEVYIYDLLRKFDKSQLVPGTDIRAVPVPVLRGTTAKTSELPGALVMDKL -RSLMFASTSESSDLSHRDLYKFREKVRDHFPQETRDHINSIVKNGKYSIKDFPPLDFFETDYIFIQSGRS -RFVLERARQHCLDLFERMLVDKEIHPCEWEGGDLHDLPKLGDEWKEIRSIFLDRNLLTEEPLSLDDLDLT -EDVADWFDELYSQDVRLKEAGLYSPLPFDQTSLIEFLSLFGEGGNHRKSLPAPVSEDTPSQLSEPMISSG -KELEGISSQTSELVPLQPGGLPSQLLEGSSDFGSLPLQEGNSVDQSMNKHKKRNKNKNKSDLPAQDCGV - ->YP_009333241.1 RNA-dependent RNA polymerase [Beihai narna-like virus 13] -MAKIFKLSIHTFFSKCTDQLLPEDHHKSVQIIHPDLEIYLKKWARVRQLSFLQDLLMCKGLANPVPDEMI -LQSYIDHRQTLSSVGESPEGFLMEFKKVLRTFGEGLRKNFDGKTVYPSETGYLGFTRSKGGLRTALKGQF -RPKGFRKRLGRIDPIVLHLEGLPGIGKSKISLELGKRISKAFGFNYNDMYNRTINCDHWDGYRGQLISSI -DDFGCSFERQSSDYGSLIQLCSEQEMVLPMADLKEKGRKFSSEFLFLSTNGLTTCPTYNIHTVNTFGSAL -LRRVSPTFKLERGPNYSYRMLKKEYSVETERWNQVGYWEGDWLKMVSILESDLLSRFDKKFGNIFQPCTS -SSFGEVGYGFYFPSNPPDRLPECEAHAIAEPLKVRLITKNEPNTWVLKPVQLAMWKTLKNFKVFSLTHGP -TIDLSRLGKGGRYLVSGDYKSATDRLHFDIMQTAVNVLKDYIPEELRSWFLWEGGKHIINYPKNTLLEPI -VQSRGQLMGSLLSFPILCLANFTTYAMARLDCFPSLEIEDILNDENISCFINGDDILFTCDGKNSMLYNR -WKHHAKSIGLELSVGKTYISKYFGLINSQMILAYPKVQDKAIKKLKNVVQVFNNDTLTISTIPCLVQLLE -GKIRSYSKIQNYGSLDFSQVLTYVKRETIVNLNRKKLDQTPESLDLPKELGGIGVLKEGYKPTLKDKEVY -IFKALRHRPKIIQRIDESLLICRVPMRMETRAYSTSAFHISIKPYHGFVEEEEEPEFPPIFPWVEFRKFL -TWYKKTKIREIINDLDLFNCPSLDQFKSYLRITDLKTFRRLEAFASHFLCEDQVPNEDR - ->YP_009333164.1 RNA-dependent RNA polymerase [Beihai narna-like virus 19] -MEIFIHLPTILKALDRASLSSRERRHAKAVFSSRETNRRKVSLTLLLLQERGLTLSSILGFPLGKQMVHF -SREFIRFRHILGLSDAIHLTMVNLGVKVSFSTPVILRRDPSQKGSRRFYFSLKHEAIRQLGYRSMTADPQ -YRILLHLMRVFPTGWEEKNYVKLIKYSLVDLFARQADQERPEPDFEPLSLFPAFTQRKLDNKFRYDRKGR -VRFYKNLLESKTLCAPVGDDMIREAYEKHKASLCRPQEDVLNVPHEFLRELYEYGVRVGREISHYYDPDR -TSLPNTRASIEAARHQGGARSYLSGHLEVQRGPLYLQELDSATRPEPYVIGLFGPPGSGKTTSVQRLVRS -LGISLFPEKKDHELSYSRSCSMKHWDGYNGQPIVVLDDFGQDLLDRSDLVEFEQLVSVNPYVLPMAHLEN -KGMRFISPILIVTSNCGYGNRLLSGDLKTRVVEDEVAVWRRFHLPLLVEREPSPSGYSRSTFRRYLRERM -FLSREGHWSLKYRSQRDAGHAHDLWSLIPTGQEGQWLGAEEDIQSVVVSSIKGFRMHTDFHMKELSTFWR -QDVACFNLNVSQGEVPPFYNVRAERVRTAYRDSDVTVSQLYPRFPPHNRPVVEAVAIPEPLKVRMITKAE -ADTKVLQPFQKALFRYLGSKPQFTLTHGVPPKDVFSRKLEWIYRIEGEIRRILDENPGEDLLWLSGDYTA -ATDNFPMSVTTALIEGILSEIDHEPTKSWVRYECSSHTIRYPDSIGVQTSGQLMGSLISFPLLCFLNDFI -VSRSGFKPGSYLINGDDVVARGLPASIQQWRTDAPKVGLSLSLGKNFIDPDFCCINSQLFWRGDVQHTGK -VSLQTRHGKSLGFCFSESQFYYGFDPSVEREFIRRNLVELRKTPRSLKVAVGLGGLGLINRFDESVDPER -CKDVYLHDYLRPYLKSLPVPGYDYMRAFLVPTSFIEKEELDAVGEDGKDRSVSMYELLKSLDTNPADPPD -STDLSFSQLIKTRTFLKENYPSSRNKIIARRLESFPKLGTLRNRLVYVPKGRVGFLKERVVQYCLDLLIR -EIDQTDRLSSEWSEEVQLERSTFDDLFDWNFDQLKGDESDLEILYGLDLTEQREQERVYGSLLPDVGKSI -QLRESYWAPVDDDFLSLVKEDDPPVAPDQGHGCCPSLLSPDMA - ->YP_009333153.1 RNA-dependent RNA polymerase [Beihai narna-like virus 14] -MTTAIPTDQLSKAFKISLATFFSKENGQEMPEGYKSAFRLFPNRFYPLLRKRWTKKARRRTRETFMLLKA -KRLSAPVPREMIRKAYESHGSLLSTVGKTPEDVLLTLRLVAREWAERVRHHYDETIPLGPSKAYFGCPRS -SGGCFSSLKNLYSRLNTRGNPLMVGTRVDPPLIYLFGRPGVGKSFICNSIVRSLSECFGEGPASVYWRNY -TTEHHDGYTGQLIYGIDDAFQNRDSVSAPDSVRDEIIQLRSNNPFTMKMARLEDKGRNFNSEFLLLSSNL -SPEQVVACTDHIHCSAALGRRMKTSFEIKDFNHKTRLYRITVNELVNLEGRSPRLEQRRYLELDLRSLVA -LIVRWAVDEHRDAITHALASTGRERGWRIPMINYGPGRPCLGYEFPSELPEKNQVEAYAISEPLKVRLIT -KEQPLSYAMKPLQRAMTRALRDFNCFFPGWKGTLEDFVNLNLAGQKGHILSGDYSAATDNLNSDVMNTVL -EEVKKVFPGHHLLHKYVDFFGGPHEVLYPKWTKLPAIQQRRGQLMGSLLSFPVLCIANAATLCHVRQQDL -HELKACINGDDILFVDTNRCIRRWKKVATSLGLVPSIGKNFQDMSFGTINSELLFRRKKGNTFRSADTGK -PGLLVRKNMPLAHLALKEGYSKGQVVLWGKPSLERHPGSIDVPLNFGGLGVEFDPSRRPTRKDRSLYLFH -ADRLLRHIRQVSRVEMTPGRSLVCLPRALAKGPLRSLCEDARQQVADFRLVAQSVMDTLLTEQEAEFPWR -EFHLFRRSAWEKCKRWRDFLASGSLETCQPLTALTPHWTTVSTEDLPFIDNYTSRWTTSQLFSRETRRTP -CPV - ->YP_009333152.1 RNA-dependent RNA polymerase [Beihai narna-like virus 16] -MDHSLNYYRRSTIFRKEVGPQIYQNDFWEVIQDKQLLQNINLVFKERTKFHENIRRKWIQKIKSHVETPE -TQIGKEFYASEIAPFLPTSLGFDASPEIRSQEYTLEFDAFPPEESLPVRVEPVIEPLKVRTITAGRGDCF -CLKPFQRAMWLALGEEKQFCLTHGTNNLEPAIKRIYEKSDPNDVWISGDYTAATDCIPIEVSKTLLEGIL -ESIDHEPTKRWAMKEISPHLLVYPKDSGIEPVLQESGQLMGSLLSFPLLCLLNDCTAQSVGLSPEKYLIN -GDDILMRAPQKVYSSWKKNVNSFGLSLSLGKNYIHPDFGTVNSQLILRGDVLCSGKQKVLDRRSRILGEC -LRDLEVMMRDTSPDDVKELFKTVNRSKLSKTVRDISIPMSHGGLALEWGSSKKDERTQRTNILVYFHDLF -RKIEPHSGCLAIPYLSNSVFHQSQVEEMDKMFNEPILSKEYHEEFIGIPQLNIVRKRFMSHSQLRDLFLG -QDIQDLPSLTFLKVIQVPFNDGKIRKEIQKEIDRIFFLNFLDSNREYGYEAFRSSFLEAVRGIPSATEVA -TKFLTPIIELDVRPDYLLKVVKDYKVTNFDGELFEKQLGKALQPKNFNLPFDLESPDFSKEVVSSFDEVL -GSLDQTEKDFFGLPTADADRFNKSFWYFPEE - ->YP_009333147.1 RNA-dependent RNA polymerase [Beihai narna-like virus 15] -MQSKALAATVPESFILESLLKHCETVSKVTQTSKELLEEFKEYCRPIMRDAAQRLSSTYSGIPSNHASYE -TTREKGGVGRNYKLKRNLFFQPENPRLDPITTLISGKAGIGKSLLQAKIVSKMADVLGRSPFQTSYNRNS -DTEHWDGYNQQPIVMIDDFQQLRTRNYDVQQEEKEFITLNSTVDKVLPMAHLKDKGMKFNSPFIIYSSNH -TLGETICELQRNFHSFRAIERRFDYLIDYDPKGIGYWMVKKVVPYQYSDSQRQTNPFMVKMEQMPLTPNL -DRHFQRQTIFGSSSIDEIASFFTHLMIKEWEVKIIFYQNEIRNLNLVPIGPGIHGEWISNEKHNKVKVKP -ILEPLKVRTITIGSSQNFMLKPISKAIFQSLQKYPEMKPCFTPEYIDSVERLKALPGLWLSGDYSSATDG -LHSDLFRAGLSTLEEYLPCELWKLVKREMDPHLCEYPEGLGIPDVFQTNGQLMGSLLSFPLLSLANAFTL -SKTLDRPLGDLPCLIHGDDLLAKVSLDQYLDWKAFCPKIGLSLSVGKNYISEHWGSIDSQVYFESEKLGT -GKFSALFSKEITCLPTLVRRGVPKPLIVSLLKKEIERTPRSLDVSQEFGGLGIHGEPYDSRSRAVFHNMV -LKSFGKREVWGGYIYTISESLKDMVPQRYQSPIEIPDDLEVYKKNEFREIKRFENLTFSQNYTPITKWST -FSESENPFLENLISQSRNNYSEVLTTFDF - ->YP_009167342.1 Helicase [Opsiphanes invirae iflavirus 1] -EPGTGKTTMMQNHLIDMTKNINCTYNADPIYVRSPHSQWWNGYDNQPIVMIDDANGVNDPTILGRMVSEF -QAMKTSAKMRLEMPRLEEKNAEMTSIVLGICSNVKEWTS - ->APG77163.1 RNA-dependent RNA polymerase [Wenzhou shrimp virus 10] -MSTLDTYANCIRVWHQINFKGKFSFHLKSQLSHFQRVFSPYPQKQWPKIFKGLLAHKFSVIHEQELPEVI -VDSKHLFYPQYHRRIHSYVVTKYDEERLVRVFWDLMQTKALAARVPDSFIKDALVKHSETVGQVTKTDPA -VLEKFAKFCEPFMKRTGMLLSQQYAGLPKNHASYEFKRGLGGVGRSYKSSYMNVTQPSQPRLDPITILVS -GEPGCGKSLLQNKIASKLAARLDRSLSETVYVRNSSTRHWDGYKQQPLAMIDDFLQTSHKQSTEPLEAEE -FITLNSTCDHVLPMADLREKGMRFTSPIILYSSNHSYHQATGKLSRQLHSIGAICRRIKFYIEKRAGKWI -LFKDVPSDIPGRVRTAFDRVCDCGQETSINGAPSHGRSSVRVLSSNLLHKFAEQVVDILLGEWERRCIFY -DNNIAEGYSRVPIGPGVSARFEPVSKPHNRVKVVPLTEPLKVRTITVGTAENFLLKPLQRALLQSLKGYA -QFKPCFDPNYQDQIDSLRLREGLWLSGDYTSATDGLHQDLFHAGLSSLESYIPENLWNLVKREMEPHLCE -YPSEMGIPDCWQTNGQLMGSLLSFPLLCLANAFTLSHTMGKPVGKLPALFHGDDLLAKVTPWEYEAWKAF -CPTIGLSLSVGKNYVSPNWGSIDSQVFYQGRCLGTGKFGALSAGHTQSIPTLIRRGVPKGLIVSIFKKEL -EKTPRSLDVSHLYGGLGQDGLPQTDLDFQVYHRKIARTFVRRDMRDIGYVYTIDRSYSSSLPAGCVPSEI -PEDAESERVSDWKGLEHFSSKTPATIRVTDPITVFSRKPAPVLENLVRHSRADCTVSLDEIDF ->APG76981.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 11] -MDQERPHISICKVPLFPELTQKKLDKRFRDDRKGRVRFYKNLLESKSLCAPVGEDMVHEAYVKHKESLCR -PESELLDVPFDFLQELYEYGRKVGRFVAKHYDPYRTKLPNTRATIEKSRSKGGARAALSSKLEVQKGPLY -LSTLDGPTRQEPFVIGLFGPPGSGKTTTVQQMVSLIGQSMFPSRKGNELVYSRSCSTEYWDGYQQQPIVV -LDDFGQGLVDRLDLVEFEQLVSVNRYILPMAHLDEKGMTFNSPIIIVTSNCSFGSPLFNASHSRVVEDDM -AVWRRFHFPLKVDRRCAEAPLTEYSLSAMFCRLEQSYLEKYSGRPKPRSGGYNGWLKEIPGESILTGRSW -SDVFSIGREIRERYLIHTDYHHRELSDSWRQVVQCLSVQVADGPVRPFKDVTVRKEGTPFRDDTVTISQL -FPRYPPYHRPVVSAVAIKEPLKVRMITKAEASTKCLQPFQRVLFDYLKTQDQFVLTHGVTWGKHEEFSDK -LEWIHRIEERIKDIRGRRKEGDLWLSGDYTAATDNFPMSVTNALIEGILSYVDHEPTKQWVRYEVSPHEI -RYPNGIGSGVQTSGQLMGSLLSFPLLCFLNDFIIARSGAEKGKYLINGDDVAVLGSKQFISRWKEDAPKV -GLSLSLGKNFIDPHFVTINSQLFYDGNVLHTGKVSLSTRYGKTIGRCFCEMQFYYGTDEELRREFIRRNL -AELRMTPRS ->APG77303.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 10] -MHSIGCAIAVYHGLLTFLKRDPLHSSVSFRNGRIVSRCATRHHPILNLMTHFLRSIPTGLEENAIVKLIK -LSFCGNFSKFTHQDLPEGFEENGFPLMPSFMERYCLSKCQSQDDITQLFFSLQQAKGLCTEVPSSFLEAG -LEKHRSGIIKEDSELIEKDPELYEKLKEFSSSRVGEFIKANYKPYESVVPNLKSCYEKSRAKGGALGQLT -ESGALIRGENPVEFHGKNRPEPLVIGLFGPPGSGKTTILRKLVHRICANLFSEVPEEEMYYSRSPATKHW -DGYSNQPIAVLDDWGQDLNDPHDIQEFVQLISTNPYILPMASLEEKGTYFSSPIVIVTSNIPFGSPFRDG -GGNKVVVDPNAIWRRFTLPFLVARSKDRKTTIHKYEMDPIYLDQTSRMARSPQEDLPKVLQTYRTQHFES -EFNPQQCFNPTESKMTHLDKSADYDISRMCSEVCTSLIQRLNFHRTSLTGEWIQEIGSIRLRSHAKADCV -DFELHECSSMLKPGIGSYIKFPLVPPDRPPLVKAVPLAEPLKVRVITAGEANTKVLQPLQKVMWASLGQF -PQFSLTHGVKNLELEDIEERNDPEIFHKMEAEINRIFKEETENVWLSGDYTAATDNLPMWVTEALMEGIL -EHIDHQPTKDWARWEIGPHHIEYPRSDVPSGIQNSGQLMGSLLSFPLLCLANAFIVEYSGIKPDEYLVNG -DDIVAHTNPTAIANWKTNAPRIGLSLSLGKNFVS diff --git a/seq/clusters_seq/cluster_238 b/seq/clusters_seq/cluster_238 deleted file mode 100644 index f3e028d..0000000 --- a/seq/clusters_seq/cluster_238 +++ /dev/null @@ -1,281 +0,0 @@ ->YP_009996585.1 polymerase basic 1 protein [Johnston Atoll quaranjavirus] -MANLSSFLVSTLLREGFSREEKERLVGPYPVGIENTGLGAISFLYKYVNVPPLAVGAPASKTAESVLRSF -EYNRLPNNGKGSRPRQYWMESDGPFPFDVTSANFHLGAAQEMHKEFLMANHQAIDRVTEFIYQKIKVVNA -DILTKGKQTWDPINMRSVPSAAAFKEVMSIFRTNIKVVGFSVLDFLEAFHKMMGLQEMVYNRRVTEEKVV -RKRKGGVVTLEKKMMVVAKTEHVQGNEEVRRIVMGWATSFCSYLKSKERGKLKRRAIASANPILRMFLWV -VEEFHLEFGKQEEVTSSTISIGGEEKRSKIITTLDSLSLNEFNLQATEDATKWNECLAPENFCLMHEMWW -SHSVRQSLGLPLPSENSQIMRQIFQQAFFLLSNKRIYLGKGHLIHNQYRAALLQWKEEHERHMNKKTLSW -FREIKEHLDSEGYVRAPFGMLMGMLNAGSTTLALPATNWRLRSGMDCKTVRSSDDSMTVFSGATRQLLME -NVNRFYDNLKLLGVNISSKKTRFFQLKFGEYTSAYQDGDFTAQYGVETAALRPEGSNPPDDFHSVASQTA -TSLRAGTVNFVGAQFRLGIGVDNVRRLYKIDRVPNKRIGVPDFALVLSDGGPSPWNFSNCHLPEMALKWV -ESEGNEAATKYLEKVMNPDNPFTAEASEITSFSRELNTLVDTSLELPRNLFHTLKRSNATQRSLLRKEDN -DFMRACNVAMGLFEEIIPASLLQVPSGPQPMSGVMADVMRAQVSALRTMGVVFSDEEMEEIGAALTALEH -ESNIDFE - ->YP_009987461.1 polymerase basic 1 protein [Lake Chad virus] -MAQLSSFLVSTLIKDGMTREEKESLIGPYPVGIENTGLGAVSFLYKYVNVPPLAVGAPAPKTAESVLRSF -EYNRLPNNGKGPRPRQYWMDTDGPYPYDETCANFHLVAAQEMHKGFLKEHHAVIDRVTENMYQRLKITNA -DILTKGKQTWDPVNKRSVPSAAAFKEIITIFRTNLGSVGFSVLDFIEAFHKMLMLKRMTYNRRVTEERVI -RKRSAGIVTLERKNVVVSQTVNIDDNEEVRATVMGWATAFCSYLKSKERGKLKRRAIASANPIMRMFLWI -VEEMHLELGKQEEMVSSTISIGGEEKKAKIITTLDGLSLDEFNLQATEDATKWNECLAPENFCLMHEMWW -SCSVRQELGLPPPSENAQVMRQIFQQAFYLLSNKRIYLGKGHVINNETHAALLQWKEEHEKYMSEKTKAW -FIQVKEHLDHEGYVKAPFGMLMGMLNAGSTTLALPATSWRLEPGMDCKTVRSSDDSMTVFSGRTRQLLMR -NINRFYDNLKLLGVNISKKKTRFFQLKFGEYTSAYQDGDFTAQYGVETAALRPEGSNPPDDFHSVASQTA -TSLRAGTVNFVGAQFRLGVGVDNVRRLYKIDRIENKRPGVPDSAVVLSDGGPSPWNFSNCHLPEMALKWV -NHEGNDAATKYLEKVMNPDNPFTAEASEITSFSKELNTLVDTSLEIPRNLFHTLKRSNATQKSLLRRDDN -DFMKACNIAMSLFEDVIPASLIQVPSGPQPMNRVMADVIRAQVSALRTVGAEFTEAEMNEIRSAITTLEH -QSNIDFE - ->YP_009508043.1 polymerase PB1 [Quaranfil quaranjavirus] -MERLNSFLVSTLIRDGMSREEKEKLVGPYPVGIENTGLGAVSFLYKYVNVPPLAVGAPAPKTAESVLRSF -EYNRLPDNGKGLRPRQYWMETDGPYPYDVTCANFHLSAAQEMHKSFLREHHAVIDKVTEAMYQRLKTTNA -DILTKGKQTWDPINKRSVPSAAAFKEITTVLRTYLKLVGFSVLDFVEAFHRMLMLPEMVYNRRVNAEKTV -RKRKGGVITLEKKAVVVLETVHLTRNEDVRETVMGWATAFCSYLKSKERGKLKRRAIASANPILRMFLWI -VEELHLELGKQEEMVSSTISIGGEEKRAKIIATPDGLSLNEFNIQATEDATKWNECLAPENFCLMHEIWW -SHSIREEMGLPKPPESAEIMRQIFQQAFYLLSHKRIYLGKGHLIHNQTRAALLQWKEDHEKYMNEKTLEW -FRKIKEHLDSEGYVKAPFGMLMGMLNAGSTTLALPATKWRLQPGMDCKTVRSSDDSMTVFSGKTRQLLME -NINRFYDNLKLLGINISQKKTRFFQLKFGEYTSAYQDGDFTAQYGVGTAALRPEGSNPPDDFHSVASQTA -TSLRSGTVNFVGAQFRLGIGVDNVRRLYKIDRTPGKRQGVPDSALVLSDGGPSPWNFSNCHLPELALKWI -THEQNPQATRYLERVMNPNNPFTADAAEITSFSRELNTLVETSLELPRNLFHTLKRFNATQKSLLRKGDN -DFMKSCNLAMQLFEEVIPASLLQVPSGPQPMSQVMADVLRAQASALRSVGVHFTEEEMEEIRGALNTLEH -DSNIDFE - ->YP_009110686.1 polymerase basic 1 protein [Wellfleet Bay virus] -MEQRLNSFLVSTLLRRGVTREDKEKLIGPYPLGIESAGLSSISFLYKYVNVPPLAVGVPAAKTAESVLRS -FEYNRLPNNGLENRPRQFWLQSDGEYPYDETCANFSLAAAQEMHKGFLLENYKIIDEVIEQVYNRIKITN -ADILTKGRQTWDPINKRSVPSAQAFKDMISVFRSNTGSMGFSVLDFLETFHLLLTKEELCFSRRVPCVKT -KRVKRGGIITEEEFVSVKQEHVKLTSNEEVRETLMGWSTAFCSYLKSKERGKLKRRAIASANPILRMYLW -VVEEFHLELGRRSEIESSTISIGGEEKKAKIITTLDSLLIGEANTQATEDATKWNECLAPENFALMHDIW -CARSVRNELGIKPPPTCAQVLRDIFRMAFYLLSKKRIYLGKGHLITNSNHSAYLKWSPEYKKYMNKKTLQ -WFEKAERHIDPEGYMHAPYGMLMGMLNAGSTDLALPATKWRLPSHSDCKTVRSSDDSMSVFSARDSQALA -QNINRMYDNLKLLGVNISKKKTRFFQLKYGEYTSAYQDGDFTAQYGVETAALRPEGNNPPDDFHSVASQA -ATSLRAGTVNFVGAQFRIGIGVDNVRRLWKIDRVENKRPEIPDEIILLSDGGPNPWNFSNCHLAEIPIKM -IRAQDNEKAKEYLEAVMNPDNPFTSDAQEITSFSKELNTLVDSSLELPRNLFHTLKRSNATKKALLRKED -NDFIRVCDSAMSLFEEIIPASLIQVPTGPQKMNSVMSAVLSAELSALETVGVEFSESELVEIQNAISLLK - ->APG77880.1 polymerase PB1 [Hubei earwig virus 1] -MIFEQKTYNDLNNSMLVAGLINEKDRSKIPCDCPMPKGYITDNLGMISALYIYTGVPPYGYGTQGPKVFE -TVKRAYSYNKKKENKTLKIWEYDIEFMQWEKGEFPHEEVHGNYSLDDAINMSKIFLIKHTAYIDEISDKV -INNFMERNSDILTKGRQTFCPFSNQSTTAAVAYKRMYEFFKLNTGKKTYNCLEWIKTYFTLLEKNHIKAR -IVTERWVRRRRYNRVTRQTFLGNQKLIEWKASHYYDEEAKKFVMNLSRSFASYLKHKERGKKDRRAIASA -NMILRMFLTIIEEFHLTLGKMLPGSTISIGGEEKKAKILTALQQPILPSYDSTVKAQGTEDATKWNECIS -PEIFNVMHKCFFSDNIRKKEGLPKISENSRIFKRICTVAHFLMSIKRVITGPGPIGISEGIYNRVEWKHL -HRLNGNTRNNIAPIYDKLEGDFIFSSPGMLMGMLNAASTTVGLLAQGYALNPNQEVITLRSSDDSTTVYA -GDSPQTLENCIEKTRRSLSLLGINMSKEKTFFFTEGYSEYTSWYQDKKFLSQYGVETSTLRPQGKNPYDD -AYNIAKGTATALQTLSINHLGAMIKLLIGIDNVRRLWRVEMDNLKRGMGPKIQLIADGGINIWDTTNCHL -EEISFRRTFAQNDTERNYFYVLCDPKNPFSSHPEEDVTFSRELSRVVVSEIDTIRNIFTYVKRTNRTAKN -SMKKSMFNQERICGEAISIVKATIPITMLQYPTESSSIANNLIAALTLQSVDLDLDQCEKAELNRCINIL -NNGKDEESDGDDFDDFINLDARDD ->AJG39095.1 PB1 [Wuhan Mosquito Virus 7] -MVNPAWLKEDVGRGLHMLNATYLYTNPPPYGHGSPGPYVYKTVQRAYDFAVRPDGHIKYQEILGYETVDS -AIHEIEDFPKEGTTGLFHPGTVRAYVRSFMSKYGLKIDEAAEETMREVRTSNIDVLSKGRQTWDPFLKRS -TTCAKAYNTFIDLIEKNLGKCPVTWLEGLLMWFELYNLERLVYITTKEVVVKRQKYNPKLKRVVTKYITK -RRAVWKTATGDNVRRRLDDYARSFCSYIKHAERGKMDRRAIASPSIPLRMLLKIVEEFHLKLGKKIPGST -ISIGGEEKKRKIITELASIADDQMVSTTSEVQGTEDAKKWNECLNPKLFYMVHELFFNDKLRGELGLPPA -NGELRALANLCSITFFYMLIKRIHMGAGPLLVNEDFYTRKQWHQIKLEEMSPYNQEWFRGCMALMDDEDY -LKSSPGFLMGMLNAASTTIGLLLPLGYVSNTSWVKCLRSSDDSMTVFSATGPKELGMLIDYIYHCYRLFG -INPSKEKNMIFKVGFGEYTSWYHDGNFVSQYGVETSSLKPGGQNPQDDIDSAMALTLTQLRTFLINPFGA -IIRIILSAENVRRLWNIRRVDRPNLSAGVQFFADGGDCPWNMELLATHECAIRLSNVKTEEDKRYVAQVM -NPNNPFSEEPMESIMYSRDSGKMAITVADTPRNIFNTVRRSNRTARTEQSEDDAAKEKANKEAYEIAMMV -NPSFHIKIPSSRSKLSEALSGYLAMEAGNETFTPEEMMELEQAMNRLDGVTEEDNERWEAIEADDD ->AJG39089.1 PB1 [Wuhan Louse Fly Virus 3] -MTDPFNEVPNSFLSAVFKSGQTRRDIRHPDQSVLNNLGSVSALYLYANPPPNAYGTPAPKIAETVLRSYE -FNKKEENKIINIDGYRIDNPVWENKGNFPFGEVHSNWHPGEMHTMALNFYNHNRVYIKETANLVIESALT -SNSDILTKGKQTWDPITERSLPSAQAYSEYCDFLIENGFPNHHSLIGLIKYTFELMNKPTVIGKKVKWKY -EEKVKSVMGQRVTTKKKIQYVVKKQYEGDEAYKYVMNLIRSFCGYNKSGERAHLKRRAIASPSIPMRAFL -YIVEDFHLRLGKRIKGSTISIGGDEKKMKIIETMNSAAVDPISERTLQATQDATKWNECLSAGGFGMMSK -TFFDDEIRTFGDEMNENEKLFGKICEASHFLLSLKRIILGDGLQGKSEGRHGKMEFTEENLPRFNTLTRE -WVSKSLPLLEDNCYLSASSGMLMGMHNAASTTLGLLSVGYRKEEESNIYTLRSSDDSMTLYATSTLQKMN -TLIDTEDLNLQLCGINLSKKKTFIFKFGYGEYTSWYQDGKMVAQYGPETTTLRPGGNNPPDDFNNLARTT -SVSLMKLETNEIGAEAKIRLGVHNIRSLYMIKQKDRNESNISRECLVLSDGGLNLWDCSNCHLEETSLKE -HFATTQEEKDYFLRIRNPDNPFSMEPKEEVTWSKDAGTLTIDYAETPRTVFHFVKRTNRTIKNVRGPTHA -EEEKANSEAIRLLTMADISTLVKVPSGSHNMASHMVSCMRTMSSGLELNEEEQALLEGALKILKEGKRTP -DYDETEDINLVD ->AJG39096.1 PB1 [Wuhan Mothfly Virus] -MCMNFLKENHLAIDKVAEEVIQKCLHQNSDVLTKGRQTLDCFTGQSVTASVAYKRASDFFNVNLRVKSTS -CLEWLQSFFSCMELPFLEYEGTEKISYQRTTYNGKTHQRQLRQLIKMIRKKITLTKDVEYKMLDFARSFA -SYIKHKERGKKDRRAIASPNMFLRMFLYIIEEFHLQLGKEIKGSTISIGGEEKKAKITSVMNSSHLKQHT -EFTTAQGTEDATKWNECLSPELFALMHKYLFCPMVRKHLMIKAPSKFGELFSLIAISGNFIMAIKRIQMG -VGPLAISNGVYNRLSWLDTDMCRFNSKTQEWLIKARPLLDDEGYMRASPGMLMGMMNAGSTTLGLLSENY -GMDKTNMAIATLRSSDDSTTLFHGVTKVELAKAISLTKAARALSSINDSEKKNFYFRKYYAEYTSWYLDN -GFLSQFGVETAAIRPQGKNPPDDFYSVAKATATGLQTLTVNHIGAEMRLILGINGVRRLWRIVRDPNKRV -GVTPEVLVLSDGGMNLWNACNCHLEETSMRERYINSREDQEYLMRIRNPENPFCPDTIEDISYSRELGRL -VSTEIETPRTVFHFMKRSNRTAKNSIKKDMFDQELVNSEANKIIKTVLPTTLIKYPTESSSIADNLIAAI -SLNGAGTEMDFECAQKLRRCIDILKNGKATDDQEDVYTIEDFVLSEDF ->AQU42764.1 PB1 [Whidbey virus] -MFILPDQRDMDTLGMVSGLYLYTNPPPNGTGTPAPKVAESVLRAISFNKKKENRENNIDGYLVDNPVWTE -YKGFPFDEIASNFCPGSLHKMCSAFLQENFDVIRKTAQEVIGRMCVTNSSTLTKGRQTWDALEERSIPCP -QAYNNVCQLFKDNGLPDHHTVMELIQGFFTLMSKDEVVGHEAEYYYTERNKYVDGQLVATKIRKKKIVKV -VWKGEQVYRKIMDMGRSFCSYIKHGERAHLDRRAIASTGIFQRALFLVLEEFHLALGKVIRGSTISIGGE -LKKIKIAAITSECKGDLNAVHALQSTQDATKWNECLSAMAFGMLTKTLFDPIVRLEGRNLQPTPYEDLLR -EIGMASHWLLATKMITLGNGLQCHTVHTHGEVQFEASTLHQFNPHTQEWLKGAINLRYGNNYIRAPGGML -MGMMNALSTTYGLVNVGWNKPLIGSIYTLRSSDDSMTIYTGPDMDVVKALVIGEKLNLKKCGINLSNKKT -QYYRLGVGEYTSWYQDGVLVSQYGAEATRIRPMGINPPDDFYNVAKSTSVSLMNLESNHLGAEAKIRIGI -ANVRSLYRIIKREANDEGIRRTIRVLSDGGLNPWNSTNCHLEETSLKERFAVQDNEKDYFLRIRNPDNPF -NGDPSEEITWDRNSGCLTVEKLDTPRTIFHYIKRANATIANVKGPNHSHVEKDNARALNILTNADPTMHL -RTAGSSHSMLSHVSGIFQTAASGLVWDEHERKIIEAAIAVLKSGRAYDEDDTEEIQFDEDL ->AJG39092.1 PB1 [Wuhan Mosquito Virus 4] -MPFEERTNSFFNTVFMLGKRSDIDSPPSSALNGLNSISALYQYTNPPPMGYGTPAPKVVETVLRAYGMNK -NEGEKKFISIDGYRISNTVWVSDDKEFPWYEPASNFSAPDFHRAARAFLFKNQKLITKVVENEMTIMVTQ -NSDILTRGKQTYCPLIESSMPCPRAYKNMLAFFTKNGSPPNLTLIQLISEFFRILGMREVVGKKVENQLK -QYTRNTLKGTRKAWKLRKVIKRHTYKGDEAFHYVLGLARSFCTYLKHSERAHLQRRAIASPNIVKRAFLH -IIEKVHLALGEELEGSTISIGGERKKDKIVSTMNKLATNDAVFLKKQATEDATKWNETLSASLFGMMHET -FFDPGVRAELDLSPPTTLETLFGRICRAAHFILSIKRVTLGPGLQGRSDLFHGHIPFTKEGLNQVNSANK -DWFSEMLPLREGNCYLQASPGMLMGMMNAASTTVGLVSVNYYHQTDSTMKTLRSSDDSMTIHAGSSLEDL -RRNIDLQYCELKISAVSLSPKKTLVFANRYGEYTSWFQDGKLVSQFGPETTTLRPAGQNPHDDAYLAAKG -TSISLLNCSSNPIGSEVKLALGIYNVRALYRIQPCPENDHLGPKVRVISDGGYNPWDVGNCHLEETSLKK -HFAPESTHGYYLRIRNPENPFISQAEESTYYDRETGTLQVGMLDNPKTVFSFIKRGNRASVQRAAGSNAE -SEVAAQQALDIITSLDYSTTLITPKEATPSGVFCLAGFNLLREGITLSDEEEELVNAALAILKGEEEEQD -GLVDADFFDECDYLQ ->AJG39088.1 PB1 [Shuangao Insect Virus 4] -MEDPFTDVPNSFYDAVYETGKRGDIPMPPKELLDSLSSVSALYLYANPPPMGYGTPAPKVAETVLRAKNF -NLKEENKVIQVDKFEIDHPVWENKGTFPFSQVHSNWHPGVFHRMCKRFLMEHFEKIKNISIGVIDKFLVT -NSDILTKGRQTWDPILCASVPSAHAYMNMCDLMVMNGLPKSHTLIEFLKGIMELMNKKELHTKVRKLGLE -MKKRRHKGRTVTTYLKRYSYLKKTLTGNDVFYYVLDLMRSFCSYIKHGERAHLKRRAIASPSMGLRGFFL -VCEEFHLLLSKEIPGGTISQGGEDKKIKIISTMNTASVDSSSKVLLQSTQDATKWNECLSASAFGMMMKT -FFDDDIREELGVAAMTPNERLFYLINMTSHFILSIKRITLGKGMQGTSEDFHGEITFKRENLPKFNERTK -GWLAEALPLIDDEGYMFASGGMLMGMYNAASTTLGLISVGYLKPANTNIYTLRSSDDSMTLYSAPDLEHM -ALLIDRENLNLLLCGINLSVKKTFIFRAGYGEYTSWYQDGKMVAQYGPETTTLRPGGNNPPDDFYGIAKT -TSVSQVKLETNIVGAEVRIRLGVMNVRSLYRIKKKTRSAQGISDAVLLLSDGGPNPWNCSNCHLEETSLK -ETFAVTQADRDYLLRVRNPDNPFNGDPKEEITWSKEMGTLTMDYVDTPRTVFHFVRRANRAVTNIKGKTH -ADSEKAHSEALKILTLSDVSLLIKAPTSSVTMAQHVISNLHSMAASIGLDEAELEGMRKAIRILEQGAEN -DESRDISNLVDLME ->APG77895.1 polymerase PB1, partial [Hubei orthomyxo-like virus 1] -MFRRKYNSFLMETLLPDTDSEKDGNMTYERKFNTELTKNLSTISALYIYTGTPPHGYGSQAPKVAETVSR -SLEYNRKKVESKKTIMIGGIDVERLQWEKEDGKFPHDAVHGNYITSVMREMCQNLLFLHSKQIDTVVNRI -MDKILNQSSDILTQGRQTFCPFREQSVTAAQAYETAFNFLSENTGRDCFSLLDWIQATVECFEKDSLVVH -INIEKVTEVKVLNKTTKQREVREKKTFVMKKHTIEGRENVKKLMQRLTCSFASYIKHKERGKKDRRAIAS -ATMLLRPFLHIIEAFHLEFAKDLPGSTISIGGEEKKAKITSNMEIATLDTDLATHVSQGTEDATKWNECL -SPSIFYLMHHYMFDSMTRMKCLAPPPSRNGLLFSMICKFGHLFQAWKIIQIGPGPIAKNETHYSRLEWTD -VHSKSMNLETREWYNKLKHKITDDRKYFRSSPGMLMGMLNAASTTIGLLPMNYNMSRNIMKVVCMRSSDD -STTKYLSNSAGNNMACVIKNKQNLSLIGINLSPDKTFFLPEGIAEYTSWYIDGKFVSQYGTEVPSIRPQG -KNPYDDLFAIAKGTSTLIQTLVINHLGATARIRIGVDACKRLWRLKEYATNLRKNVSLQVQLIEDGGENL -WNCTNCHLNEIAMKRRLIKTEEEREYLYKITNPDNPFSDSINEELTYSKEHGCLTVDSVDTPNCIYRYCK -RSNRSFKGTGKKEQADKEKACSAVFNLLNILDPTISLEFPSSSVSMQEHLISIMRTMAQKVEL ->AJG39094.1 PB1 [Wuhan Mosquito Virus 6] -MDSIDPGNFVDYTNSFFAAAFLQDERPDLEMPEPDLLSNLSSISALYLYSNPPPMGYGTPAPKVAETVLR -AYDMNKNPEGKTELEIDGYKIEDPVWHGGPEDFPWLEPASNFCPSFVNHATEMFMIKDSYLVDFIEVVRS -VIDDCMSRNSDVLTKGRQTYCPLTESSVPCAQAYKNMMDLFKANGAPATMSILGLIQHFFKLLQKNSLRY -PQVVVTCDPRKLRIRGRVVEAGVNRRKTKWTRRAVGDEKYHTFLGFARSFCTYLKNAERSHLQRRAIASP -NIIKRAFLYVIEEVHLRFSKKIEGSTISFGGEEKKNKISETVESLFCHGKHTLRMQGTEDATKWNETLSA -ALFGMVHKTMLDDPTRVKFGLPKMTEQERIYLRLCMASHFILAIKRVTLGPGLQGRTDDFHGEIPYDTNG -LSMVNSKTRDWFSRILPLREGNNYIRASPGMLMGMHNALSTVVGLVPVNALHSTSIMMKVLRSSDDSMSV -HVGNSLKDLLESADQQYMELKLSAIALSPKKTALYMGRFGEYTSWFQDDVLVAQYGPETTTLRPAGRNPY -DDAHAMAKGVAVSLLNCSSNIFGAEVKLALGLSNVQSLYRIKPVPEGDPLGPMVRVMANGGASPWDISNC -HLDESVIKEMRYGANHPEYYLKIRNPTNPFSRHVEEQTYFDRELGILTTDFIEVPATVFSYVKRGNRSRS -TKQAEDQDKTEEAAQALLDVIHTLDMSTALRTPLEATPLSDFCYTKLMLMKGDLELDPDEERLYRAALAR -LRPSAQAIDDGLEDVDADCHELDGDFGEV ->AJG39084.1 PB1 [Jingshan Fly Virus 1] -MTTNDPFEDIPNSMLDAVFRKTRKRKDIAMPESESLNTLGMVSSLYLYANPPPMAYGTPAPKIAETVLRA -YDFNLKKYNKSIKIGRFLIDNPVWENEGYFPFEEVASNWHPGQVHEMAKAFLESNIEQITSLVDQVIEYA -FSVNSDVLTKGKQTWDPLTERSVPSAQAYSEMADMMVSNELPNHHTLLGFIKNISVLMNKEVILSKAAKS -YEKIQEKRVESQRIKSVKKQSKVIGKQYEKDDAFYHILDLIRSFCGYNKSGERAHLKRRAIASPSIPLRA -FLLILEEFHLKLGKRIRGSTISIGGDEKKMKIINTMNSANTDPDSTVTLQATQDATKWNECLSASGFCMM -SKTFFDPEVRRELRLPPPTNNELLFLKICETSHFFLAIKRIFLGNGLQGRNQIYHGEMDFTKENLLKFNK -NTQEWVKRALPLMEGNYLQASGGMLMGMHNALSTTMGLISVGFKKPAGTGIYTLRSSDDSMTLYSGPNKY -WVAAVIDRENLNLKACGINLSKKKTFIFQYGYGEYTSWYQDGKMVAQYGPETTTLRPGGNNPPDDFNNLA -RTTSVSLLRMETNEIGAEAKLRLGVNNIRSLYRIKIKSREHQGIKDKCLLLSDGGLNPWDCSNCHLEETC -LKEYYKTTKEEEEYFLKIRNPDNPFSSEPKEEITWSKDEGTLSLEYVETPRTVFHFVKRANRAITNIKGP -THADSERAHAEALNLLTMADISTLVRTPASSITMADHMVSCIRTMASNSGISKEELDIVSKAIKRLREGD -MEQIDEDIVDDIDLDSL ->AJG39090.1 PB1 [Wuhan Louse Fly Virus 4] -MDDPFQEVPNSFLSAVFKAGRTRPDISHPDPNVLNNLSSISALYLYANPPPNAYGTPAPKIAETVLRSYD -FNKKDEGKTIQIDGYRVDNPVWENKGDFPFGEVHSNWHPGEMYKMTTNFYKQNKTHIKEIADLVMESALT -TNSDILTKGNQTWDPITERSLPSAQAYSEYCELLIDNGFPNHHSLIGLIKYTFELMEKPTIIGKKVKWKY -EEKVKSVNGQRVTTRKKVQYIVRKQYDGEAAYRYVMNIIRSFCGYNKSGERAHLKRRAIASPSIPMRAFL -YIVEDFHLKLGKRIKGSTISIGGDEKKMKIIETMNSAAVDPIAERTLQATQDATKWNECLSAGGFGMMSK -TLFCDEVRDIDDKMNENEKLFGEICEASHFFLSLKRIILGEGLQGKADGIHGKMEYNEENLPKFNQITQE -WLRKALPYLEDNAYLSASSGMLMGMHNAASTTLGLISVGHRKGEDSNIYTLRSSDDSMTLYAAPNMKKMN -ILVDTEDLNLQLCGINLSKKKTFIFKFGYGEYTSWYQDGKMVAQYGPETTTLRPGGNNPPDDFNNLARTT -SVSLMKLETNEIGAEAKLRLGVQNIRSLYLIKPKSRDATNISRKCLVLSDGGLNLWNCSNCHLEETCLKE -YFAETQEEKEYFLRIRNPENPFSTEPKEEITWSKDAGTLTIGYAETPRTVFHYVKRTNRTVRNKKGPTQA -DEEKANAEAMGLLTMADISTLVKIPSGSHNMADHMVSCLRTVSSGMDMSTDEQQLMEEALTFLKEGVKLP -EMEETVDIDLIE ->AJG39093.1 PB1 [Wuhan Mosquito Virus 5] -MLPPDPFEEIPNSLYAAVFGYASMGSMKLPPKERLNTLSTISGLYLYTNPPPNGTGTPAPKVAETVLRSM -NFNLKEENRTAEVDGYEVDNPVWTSEAPFPYHQISSNFEPGSLHSMTKEFLRVNYQDIAETAERTISKIC -VLNSDELTKGRQTWDPLEERSVPCAQAYSSHCEFLIANGYPNHHTMLELIKYNFDLMNKKEVKTKIVEYS -VKKVNKKINGQNVELRRKFKKVKCTRIKGVGVYKHIIDLARSFTSYIKHGERAHLERRAIASTGIILRAL -FYVGEEFHLALGKRIKGSTISIGGEMKKIKITSLTSECRNDPQAQVAYQATQDATKWNECLSPMGFGLFT -KTLFDADVRYELGLPAPTSAELLLGEICMASHFILALKMITLGEGLQGVSEEFHGEIPFKPENLPKFNKR -TQEWFSKTIPYLYGNNYMRAPGGMLMGMHNALSTTYGLVSVGYNNPVHSRVYTLRSSDDSMTIYTGPTNR -DALLSIVNERINLKKCGINLSEKKTFIYILGYGEYTSWYQDRRLVSQYGAEATRLRPSGMNPPDDFYNIA -RTTANSLMNLESNPIGAEAKIRIGIHNVRSLYRIVPREVDTDNISNYCRVLADGGTNPWNCTNCHLEETS -LKKRKAVTQEERDYFNKIRNPDNPFAGAPTTEANWDKETGKMVLNDVDTPRTVFHYTRRANATVKNVKGS -THADMEKYNAKAIAILQNADPTLLIKVPTNSHASADHVASCITTRASNLNLNEEEIQKLKRVLSILRENT -GENDPEDYGAVYYDDDL ->AJG39085.1 PB1 [Jiujie Fly Virus] -MLDFFKLNNMGSTFNMIDWLRAWSGLFSKESIKCKEKDIKIERVSHYNKRRKTITQTEKTKVRTKIVTKR -GEEKNMFLMELAVEFCAYLKSKERSKLHRRAIASANMILRMYFEIIEKFHLSLGKKINGSTIAQGGVEKQ -SKITTSLSMLSHLSCSLLATEDATKWNECLAPSCFYIMHDVFFNLRHRREMGVVHPLPDAFYNIMSEITQ -TGIFLLSRKRVFIGQGHVLEGTNGFTRHRWYNVDESQLNTHTQEWYKKIKNDLDSRDCLYSPYGMLMGML -NAGSTTYGLVPTIGMEKHLTCIRSSDDSITLFTSTGLGEIWEVITTMYWRYRLVGINVSMKKTRFFRWPF -GEYTSWYIDEDFIAQYGVEVSSIRPKGDTPHTDFHSAATETNVVLREFRADNIGAEMMLALRIANVRRLW -RIERNENKRVPEGVGPEILLLADGGLSPWHSTNCYLNEVGIKFVLASTEKSKEYLYKVNNPNNPFSRKET -EKFSFNHEVSAVINSEIDIPRNMFCYIKRPNKTLKSNFKIKEKREMVASNFLVNMLESVEPANAVMRPTS -DVKISTFLSNVLEFMLASARSSECSREELEMYDFVQKYKDILFK ->AJG39086.1 PB1 [Sanxia Water Strider Virus 3] -MEEDPFSDIENSFFAATLGKRSSSDPYPPFDTLSTLTSVSALYLYSNPPPSGYGTPAPKVAETVLRAYSF -NQKEEGVTVEIGRFKIDNPVRERPGDINFDEVSSNWSAGDVHEMAKKFLKDNQHQITNAATEVIEEMLIT -NSDVLTKGNQTWDTTTDASLPCAQAYINFMSLLQANGCPDHMTVLEMVYHLFRLMGSSHFLDRRTKRVVT -QGRAKRGSQVIRTEKDSKINTVVHVSGENVMYSVINHVRSFCSYIKHGERAHLNRRAIASPSIAMRIFLL -IIEDFHLKLGKCIPGSTISIGGEEKKSKIVRTMTTLREDGNFEHYLQGTQDATKWNECLNASCFGMMSKT -FFDEGVRAELHLPPPTRAEKIFSAICLAGHFFLSIKRITLGPELKGRSAKYHGHIRFTNLEAHNMYTQEW -LTKALPLVEDEKYLCASPGMLMGMHNAASTTLGLLSVGYKKPLGTFISTLRSSDDSMTLYGADTSELLSL -AIDSEYLGLKMCGINLSKKKTLWFPSGYGEYTSWYQDGKMVSQFGPETTTLRPGGMNPSDDFHTLASSVY -NGLLRLDSNIIGSEAKIRLGVNNIRNLYRIKRKVDDERSGIRSKVLLLADGGENPWSVSNCHLEETSIKK -HFCTNDEEEEYIYGVRCPGNPFSKEPEERVTWSKEFGTLVTEIIETPRTLFHFSKRTNRKVLNSKEGGHA -KEEKICTKALSILSTADPTLNLQPKGSTIKMSDHIIECISAQACLPSNSSVSKERIAQAIQILRMGKVID -SDDDCAWDSE ->AJG39091.1 PB1 [Wuhan Mosquito Virus 3] -MDLPNDPFSEVPNSMWSAMFDQSRRTDTLLPSEDTLDTLSMVSSLYLYTNPPPYGTGTPAPKVAETVLRS -LDFNMKTEQEERQVQVGRYQVDNPVWDVPRPFPFHEVSSNFHAPSLAEMAKGFLIDYHKELKRCAQEAIQ -GAMITNSEVLTKGKQTWCPFTESSVPCPQAYLEACEFLVKNGKPNHHTLLEFIQHFMSVMSSGEVNTKVV -KHRMVKSKKRCKNQSLEVSRRLKRQRRVVLEGEQVADHCQDLLRSFCSYIKHGERGHLQRRAIASPNVFL -RALFHIIEDLHLSLGKLIQGSTISIGGELKKAKIQQTMDSCVPNQANKFVLQGTQDATKWNECLSASGFG -LFSSILFNDEVREELRLEPMSYDEKLMGAICEASHFVLAIKMITLGEGLQGVTEDFRGNITYEEQNLAKF -NQTTSEWVERILPLRYGNNYITASGGMLMGMHNALSTTYGLLSLHHHYPLLSSIYTLRSSDDSMTVYAAT -NLEALSGVIATERAALKLLGINLSPKKTLYFPGGYGEYTSWYQDSALVSQFGTETTKLRPGGHNPPDDFY -TIAKATAVSQMNLESNPIGSEARIRLGIDNVRSLYRIKRRRTSPASEEEVISPKVRVMADGGDSPWNATN -CHLEETSLKRRLIRNESERCYLIKVCDPENPFSGEAREDLTWSKEAGTLTLDLVDTPRTVFHYVKRANAS -IKNTKGKTHADDEKHNAIALEILTNADLSLTLKVPAFSGSMAKHVMGCMETEAMDLDFTEEEKALLMAAR -GRLRERVHEEEDDENWEEEGLRMEDA ->APG77865.1 polymerase PB1 [Beihai orthomyxo-like virus 1] -MRDYYLSSIMRAKKQVDLEAKAGIQLPRQSMRKNISNISFFYQYVNTPPLAQGSPAPKVAESVIRSNEYN -HLPNQGRSHDWNRQYWLNSDGPFPYGETSSNFHLGKSIAMLKDYLMIHYKDIDDVAQQTMNFLRNENADT -LTKGRQTWDPVNFRSVPSADAFRVMEKIFRQNTGKSTHSCLEFLRSFNELLDKNALTYEQKKYEYSERQC -LNRKLGRFEKKKVKRLVKRVITEDNKQNVRSKLMEWACSFCSYLKSKERSKLRRRAIASPNMILRMFFLA -IEEFHLKLGKIIEGSTISIGGEEKKAKIMREMAKIRSYPEGASVQGTEDKTKWNECLAPELFALMHEIWF -NNEIRKELRLPLCNMDLVEFGKILVTGNYLISMKKVKLGEGHIAVDENFEGRFEWIMPDREKMNSKTKEW -YDKCHNDIDEDGYLFAPYGMLMGMMNAASTTLACIAIRHGYNPGIEDAQCLMSSDDSMSIYTNKNPENVY -RTINKMKANYKELGMNISEKKTLYFREGFGEYTSWYIDGTFGSQYGTETSRIRPQGKNPVDDFHSVSMGA -ATSLRELKMNVIGAESYITLGISNVRRLWRINKIPNKRENVRDDILLLADGGLNPWNSTNCHLHEIPLKE -ELMKPLSEFEREYYLKVVNPENPFTQREQSHTSYSIETGTLIETETEIPRNVFHYIKKSNRTVKNDEKSA -ELIYQKSCDNAVKIYEKLVPSSVLFNPTGSRSMAKAITEVLGVSKKTLQESNMLTEAEVDLIQEAINYVN -V ->AFN73049.1 polymerase PB1 [Tjuloc virus] -MAQLNSFLVSTLIREGATREEKELLIGPYPVGIENTGLGAVSFLYKYVNVPPLAVGAPAPKTAESVLRSF -EYNRLPHNGKGARPRQYWTDADGPYPYDVTCANFHLAAAQEMHKEFLQSHYRTIDRVTESIYQRLKVTNA -DILTKGKQTWDPINKRSVPSAAAFKEIVTIFRTNIKSVGFSVLDFIEAFHKMLTLDEMVYNHRVTTEKTV -RQRKGGIITLEKKQVVVLRTTHLKTNSEVRETVLGWSTAFCSYLKSKERGKLKRRAIASANPILRMFLWI -VEELHLELGKQEEMSSSTISIGGEEKRAKIISTLDGLSLNEFNIQATEDATKWNECLAPENFCLMHEIWW -SHSVREELGLPAPSENSEVMRQIFQQAFYLLSNKRIYLGKGHLIHNQTKGALLQWKEEHEKYMSEKTLEW -FRKVKEHLDSEGYVRAPFGMLMGMLNAGSTTLALPATTWRLKPGMDCKTVRSSDDSMTVFSGRTRQLLIE -NINRFYDNLKMLGVNISEKKTRFFQLKFGEYTSAYQDGDFTAQYGVETAALRPEGNNPPDDFHAVASQTA -TSLRSGTVNFVGAQFRLGIGVDNVRRLYKINRTPNKRQGVPDSALVLSDGGPSPWNFSNCHLPEMALKWV -KHESDPNAIKYLEKVMNPDNPFTAEAGEITSFSRELNTLVETSLELPRNLFHTLKRSNATQKSLLRKEDN -EFMKACNLAMRLFEETIPASLLQVPSGSQPMGQVMADVLKAQISALRTVDVSFSEEEMEEIRDALEILEH -ESNIDFE ->APG77890.1 polymerase PB1 [Hubei orthomyxo-like virus 2] -MDEFRKYRQEIRKDYKLNGYLISTLLNGVDNKVNDATLNKGCINQEYTNGIHMISGLYQYTNAPPYGHGT -PCMKAVETVKRAYEFNLKKDDKTFKIGRFDIEDPRWHNYKDFPFDQTAGNFNPTYVKNCAVRFLIKNQKA -IDNAIKKTANMLFTTNADIISNGRQTWDPETMRSITSPKAFDNLIRIININLNKTPTTMFELLIDISALT -RLDTLRVRKRFVSYTLPILRKKKGISYLRTKRQVRYKDIKIEGNEEVFHSILNYMRSFASYIKHGERGKK -DRRAIASANSMLRLLLKIVEEFSLTLCNDIPGATISIGGDEKKRKITTELSGGTSDMQDANYIQLQGTED -ATKWNECMSPLMFAIIFNVFLSNKTRVEAGISPINEELTILNDIFMLCFMIMGIKRIHLGTTPLMTDGTT -HSRPEWFNVKLEQFNKKNQELMEPVWHLIDKEGYMEASPGFLMGMLNAASTIVGLLPTDNWDKLANFSRV -TTLRSSDDSMSVFSAKTPTELLTIIEADRRSRKLHGINNSKEKTIYCPPPFGEYTSTYQDGSFVAQYGVE -TSSLKPGGANPQQDFDSVASNVRTLLRTQQINFLGAISRLSIGIKNVCRLYRISQNPDYNYLKGVSRDVI -FLASGGDCPWNITNLFFNEATLRFYNIKNEADKEYFYKVMNPDNPFSGEPEEQIKYSKDAGQLIVTKDEN -PVNIYSFIRRSNKTLNNQTAKREAEKERAFGDAYSATISADPSLMIKAGLERITLANHIASVLMLKAKVL -EIGDNQMKMVEKAIKKLKEETNYYNELEEDDYVMEDVDDDIEDQD diff --git a/seq/clusters_seq/cluster_239 b/seq/clusters_seq/cluster_239 deleted file mode 100644 index d136b73..0000000 --- a/seq/clusters_seq/cluster_239 +++ /dev/null @@ -1,362 +0,0 @@ ->YP_233101.2 1a protein [Cassia yellow blotch virus] -MASLIDLNSLISKKGAESRGVLDIVDNQATQQVLSQVEHLRRSKKINIRNKLAPSEVEAFKARYGGAFDL -NLTQEYNAPHSLAGALRIAEHYDCMDSFPPEDRIIDFGGSWWHHYARKDFRVHCCCPILGVRDAARHEER -MCRLRRLLQSSDYEETPDFCLNKARDCNVQADWAICIHGGYDMGFKDLCHSMRSHGVRILKGTIMFDGAM -LFDESGFLPLLKCRWKKEKGFVKFDFENESTLSYIHSWDKLGSFFTESVYRIGSTTYLLERELIKCNIMT -YKIIATDLPCPKETLRHCVWFENLSQYVAVNVPVDFNLCRWRRVRVAVSTVREVEEISFRCFKENKEWTE -NMKAVASVLSAKSSTVIINGQAIMAGERLSIDDYHLVAFALTLNLYMKYENLRSFHEGLKWGGWWNHFLT -RFWWRGDVPTDSRPWLVNLLGQWFPRLRLDTYAESCEFIAKISDVAEFECDSVPSGFLQRFFHSEADLQR -RIQVELDTVKDSRDKKKKEKEKASKEPKSEEPVEEVFEEAPDDFIRDDVKPATDGGTVCVDKSTVSRELS -LKEYSNYCQRLHENSLSNLRRIWLLAGGKGTVISNKSMLETYHRVDCLINAHIAGSGWLYPTEQEYTIGY -NDDGLGPKQAGETFIVDKSCVISNNASLAKASQGLKAPKCSVTLCDGVAGCGKTTAIKNTFSIEKDIIVT -ANKKSASDVREAIFPEDPEGEIASKFIRTADSALMHGLPSCQRLLIDEAGLLHFGQVLAVAAICKATEVL -AFGDSEQISFKSRDNTFRFRHQKIIYDRRDVVTVTYRCPQDVVAAVVKMKRRTGKLRESKYSSWISRSKV -EKSLSARPISSLNQIVIEPHKFYQTMTQSAKAALMTRAKDFQLPKSWIEANIKTAHESQGISVDHVVHVR -DKSTKCDLYKDEEYCLVAMTRHKKTFEYCYNGELAGDLLLHSIRS - ->YP_003208107.1 1a protein [Melandrium yellow fleck virus] -MDLLNLIAERGSKSHGVEMVVDDQATKQVLEQVEHLKRSKRITVRNKLSPQEADAFRARYGGAYELKLTQ -EYEAPHSLAGALRIAEHYDCLGQFPLEDPVIDFGGSWWHHYSREDYRVHSCCPILGVRDATRHEERLCRM -RKLLEVKDFSQAPDFCLNKAEDCKVQADWAICIHGGYDMGFKNLCKAMNSHGVRILRGTIMFDGAMLFDR -QGTIPLLNCRWMREGSGSSEVIKFDFVNESTLSYVHNWRNLGSFLTESVCCVGSTTYLLEREVLRCGIMS -YKIIATNVKCPPETLRHCVWFENISQYVSVNVPDDWSFANWKLVRVAISTVREVEEIAFRCFKENKDWAE -NMKAVASVLSAKSSTVIINGQAIMAGERIDILDYHLVAFSLTMNLYQKYERLRDYHDELEWKGWFNHFKS -RLWKGGKGVDGVGFIRGYLADKFPRLKLNTYMDTLMFITKISDVKEFECDSVPVSRLRSFFCDKNDAYER -AVEEFLDAQDKKSRKAVVRKQEDEFVDASEDLETSGPVVVDVKEEPKAPTTIGNLDPKVVARSGAISEFT -EYCDRQHLNTTSNLHQLWTLMGCKGDQVHNKSVVDTYHRTDDLVNVHFPSGRWMYPHEYEYVVGYNDDGL -SVKFDNELYVVDKTCIVSNQQKLAEACRGLSVPTCPVYMCDGVAGCGKTTAIKNTFQFERDVVVTANRKS -ADDVRAAIFPENPNNEIATRYIRTADSAIMHGLPKCKRVLIDEAGLMHYGQLLAVAAISGCDEVVAFGDT -EQISFKSRDVTFRMKHNAINYDSRELVKTTYRCPQDVVDAVKLLKRKCGNRGSKYNDWVSKSTVRKSLYK -RSISSPTQINIEVNKFYLTMTQSDKAALQTRAKDFSLSKDWIENNIKTVHEAQGISVDNVVLVRLKSTKC -DLFKHEEYCLVAMTRHKRSFEYCYNGKLSGDLIDACVS - ->YP_009551510.1 1a protein [Sambucus virus S] -MDLSSLIAERGAMSRGAEDIVNDQVTRQLLEQVEHAKRSKTITVRNKMTPAETDAFRARYGGAFSVNLTQ -EYDAPHSLAGALRIAEHYDCLGQFPAGDAVCDFGGSYWHHYSRGDHRVHSCCPVLGLRDAARHEERLCRM -RRLLETKHEDVVPNFCLNKAEDCKHQADWAICIHGGYDMGFQGLCNAMKSHGVRILRGTIMFDGAMLFDK -EGVMPLLNCRWKRVGEGSKEVVKFDFMNESTLSYVHTWANLRSFYESSVAYVGGTTYLLEREVLRCNIMT -YKIIATNLRVPRETLRHCVWFENISNYVYVKIPEEWNLLKWKNVRVAKTTVREVEEISFRCFKDNKEWTE -NLKAVASVLSAKSSTVIINGQAIMAGERLEVSEYHFVAFALTLNLYQKYERLKEFYDDLEWKGWFNHFKT -RLWWGGDVSIGGPGKVRAALASTFPFLKLDSYKDSLTFLSKISDVKEFECDSVPVSRLRSLLGGEDLLQR -AVDELNTAKTKKEKKRLEQQIRLSSEEAEIFEDASDEIPPLESVCDDVKQAAGPAINGVMDPPESSHSVR -DNLDPRMVSRTGALREYKEYCERLHENTSSNLRQLWTLSGAKAGQVHNMAMIRTYQRVDDMINVHKPDGT -WAFPLKYEYVVGYNEKGLGPKFDNELYLVDKTCLCSNQEALAKACQDVSVPNCEIRLCDGVAGCGKTTAI -KNAFQFEEDVVVTANRKSADDVRKALFPKNPNTETALRYIRTADSALMHGLPPCKRLLIDEAGLMHYGQL -LAVTSVSRCNQVLAFGDTEQISFKSRDADFRMTHNKLEYDHREVTTDTYRCPQDVVAAVKNLKKKCGNRH -TKYESWRSKSKVEKSLSKRSITSVNQISIEADKFYLTMTQADKAALQTRAKDFPVSKEWVEENIKTVHEA -QGISVKHVVLVRLKSIRCDLFKDQEYCLVALTRHTVTFEYLYNGKLGGDLIDACV - ->YP_009104374.1 putative replicase [Cassava Ivorian bacilliform virus] -MAFSFNVRDLVDAEGSQALGVRALVDSLATKLAEDQIEYQKRSQKVYVRQMLTVQEGEKIRKRFGGAFDL -ALTQEFVAPHSFAAALRTCETLECLDFFPQGQVLDFGGSWLFHWQRGHQVHSCCPVLDIRDACRHQERIV -HMQKLVLKRPSKFEALPAADFCTLRAEECKVQCPYAICIHGAYDMGFETMCKAMNRHGTVLLRGTMMFDS -EMLLYKEGFMADLNCVWSISGDRISFDFRDESTLSYTHSFKNVKSFLTDQAFVYNNVAYLLERCSIEYGI -MSFKITAVSGDVPRSRIRHCVWFPRTRDYLHINVVDTTSNRLAWRKVKVKIDTVREVEEIAFRCFKEAKP -WEENLRLIASTLSAKSSTIIVNGMSMMAGERLDVLDYHYVAFSLLLNCKRKFEELQCVYKKIVWQGRWHH -CTRWWSEGDRFQKFLESCFPSLLEYYNSKVFVEKIVECQVFESELEAISGCTNWTETATNCLNNSLLLCA -LALSKAPLSPENPKPETVADPRPEVSSDDKIESVETKDAVKVDGRMELRAQAKAEFVAYTRTLNSNAASN -LKRLWQLCGGDGSDNFVSTECLNVLKLGDSQINFHKSSGWIFPTSTSYEVGYNGRGLGVKGETENYIVDK -TCTLDNLAAIADGAEKKPVFQKRSSCLLTVSLGCGKTTSIINQFDANSDLIVTANRKSAVEIRNKLFEFS -PDLGKKFVRTADSVLMHDCITARRLLFDEVGLLHFGQLVAVAGKVQADVVLGFGDSEQIAFINRDKTFDL -KYSRLSVDESTTALTTYRCPRDVVELVKVIKEKTPHSKYHTWETKSSVSRSVSAPIDVIGLPGVKLEPDW -VYLTMTQYDKASLLSKARELHLDVVVKDVNEMIKTVHEGQGLSVPKVRLVRLKNTKCDLFSAEAHCLVAL -TRHTQKFEYLRVPGLKNDLIEKACKASMSLQLT - ->YP_006576518.1 replication protein 1a [Amazon lily mild mottle virus] -MAFSFNVRDLVDAEGAQAIGVRALVDSLATQIAHDQIEYSKRSNKVFIRQQLSVAEGEKIRKRFGGAYEL -QLTQEFTAPHSFAAALRTCETLECLDFFPDGEILDFGGSWLFHWKRGKPVHSCCPILDARDAARQQERLV -HMEKLVRKSSKTYADLPGPNFCDQRAEECSVQCPYAICIHGGYDMGFQTLCAAMHKHGCVMLRGTMMFDA -EMLLYKDGFIPDLNCVWSIRGDKIAFDFRDESTLSYCHSFKNVKSFLTDQAHVIGTTAYILERCVIDMGI -MSYKVTAVSGDIPRTKIRHCIWFPRTRDYLHINVVDKSKVAHRLAWRKVKVKLDTVREVEEIAFRCFKEN -KPWEENLRLIASTLSAKSSTIIVNGMSMMAGERLDPLDYHYVAFSLLLNCKRKFEELQAVYKKIVWQGWW -NHCTGWWSESTKFQDFLAVCFPSLLDYYHSKVFVEKISECVVFESELAALEGSKSWSDDAYNILNNNLLL -CALALSKCKEHKPEKEKEKEEVLAVPSKATPTLVEVKEEEKLDTPIIAVDRPGRMEIRAQAKDEFVAYTR -KINSNAAANLSRLWKLCGGSGSDNFVSTNCLDVLKLGDSLINFHKRSGFIYKTNETYEVGFNGNGLGVKR -DDENYIVDKTCILDNLEAIAEGADKASVSTASIILVDGVAGCGKTTSICDQFNAESDLIVTANRKSAVEI -RHKLFKDSPDAGKIYVRTADSVLMHDCIKAERILFDEVGLLHFGQLVAVARKVEAKVVLGFGDSEQIAFI -NRDRTFNLNYSQLVVDESTTATTTYRCPKDVVELVKRMKKKNHKSKYQAWTTKSKVVRSVSAPIDVVGLP -GVKLESDWVYLTMTQYDKASLLSKAKELHLDMSEKIKTVHEAQGISVPRVRLVRLKNTKCDLFSAEAHCL -VALTRHTRCFEYLRVPGLKGDLIEEACKTSMVLQF - ->YP_002640500.1 1a protein [Gayfeather mild mottle virus] -MATSAFNINNLVASNGDKGLMANALVDKIAHEQLEEQLQHQRRGRKVYIRNVLDVKDSEIIRSRYGGKYD -LHLTQQEQAPHGLAGALRLCETLDCLDFFPNSGLRQDLVLDFGGSWVTHFLRGHNVHCCSPCLGVRDKMR -HTERLMTMRKAILNDPQKFEGRQPNFCTSPAADCDVKAHFAISIHGGYDMGFQGLCQAMHAHGTTILKGT -MMFDGAMLFDTEGFIPDLKCKWKKIKPFTFEKEDQTSKVGKLNSVVFSAARVNTLIAFDFVDESTLSYVH -EWENVKSFLTDQTYHYKGMTYGIERCLIQNGIMTYKIIATPGSCPPELIRHCIWFPSLKDYVGLKIPTSQ -DLVEWKTVRLPLSTLRETEEIAMRCYNDKKNWMEQFKVILGVLSSKSSTIVINGMSMQSGERVEISDYHY -IGFAILLHTKMKYEQLGKMYDMWNSGVIRKFFASLTRPLRVFLSGCVKTLFPTLRPRDEKEFLVKLSTFV -TFNEVCQVDLNAEWDVVTAAAYTAEWAVEDGNTLAAERKKQADEKASEPVITDETEHQTSGDGLDASERT -DLPDPMQSLSTQTKSPETRIAQRATAMLEYTAYETQLHNNTVSNLARIWCSAGGDNKTNSLEGNLHLVFD -TYFAVDPLVNVHFRNGQWMRRVPDGIHYSVGYNETGLGQKMEGELYIVNADCVIANSQPLAQSTRGLLAP -SGTISLVDGVAGCGKTTAIKKMFNPATDLIVTANKKSALDVRQALFNSTDSKEATTFVRTADSVLLNDAS -DVSRVLIDEVVLLHFGQLCAVMAKLKAVRAICFGDSEQIAFNSRDASFDMRNSKLLPDEVSSADTTFRSP -QDVVPIVKLMATKALPKGTHTKYTRWVSQSKVQRSVSTRSVASVTMVDLSEDRFYITMTQADKAALISRA -KELNMSKRFVENNIKTTHESQGISEDHVTLVRLKTTKCDLFKKFEYCLVAVTRHKSTFRYEHVGDLPGDL -IAMCLTRK - ->NP_689392.1 1a protein [Spring beauty latent virus] -MSNSLDLLKLISEKGAASRSVEDIVDNQAAKILNAQVDYANRSKKINIRNKLSPEEADAFRARYGGAFDL -NLTQQYNAPHSLAGALRIAEHYDCLENFPSDDPIIDFGGSWWHHYSRRDNRVHCCTPILGVRDACRQEER -LCRLRRLLQDSEYDELPNFCMNKAEECKVQADWAICIHGGYDMGFKGLCKAMNSHGVRILRGTIMFDGAM -LFDREGRLPLLKCRWKREGSGKSEVVKFDFENESTLSYVHSWANLGSFLTESVCTIGATTYMIERELIKC -NIMTYKIVATNLRCPVEKLRHCIWFENISQYVAVNIPDGWSLTKWKNARVARSTVREVEEIAFRCFKENK -DWTENMRSVASILSAKSSTVIINGQAIMAGERLDLEDYHLVAFALTMNLYQKYERIRAIHDGMQWKGWVD -HFKTRIWWNGDVGGSKVGVIRSFLSSHFPFLRLDSYEDSKCFLEKIVDVKEFESDSVPSSLLSRFFSGNE -DFEKRVDDTVKSARSKREEKKKLKELVLESSEVYEDAVDAPSESVRDDDKPETGREVRGTDCDLSSSDCN -VKSFAIKEFADYNQRLSDNAVSNLRRIWTLAGCNKESVNSTSILETYHRIDDMLNIHYPDGRWLYPNKYE -YMVGFNDSGLGYRFADELYLVDKTCGIANCEEIAKACKALKAPNCSITLCDGVAGCGKTTAIKAAFDSST -DLIVTANRKSAEDVREALFGDVNSKIANEVVRTADSAIMHGLPKCSRLLIDEAGLLHYGQLLAVAALCGC -ETVLAFGDTEQISFKSRDVTFKFRHAVIEYDRRDVVTETFRCPEDVISAIKKLKRKCGNRDSKYLSWRTQ -SSVKTSLGMRSVSSVTQVNIEKHKFYLTMTQADKAALVSRAKDFPVDKTWVDKHIKTVHEAQGVSVDHVV -LVRLKSVKCDLFKTEEYCLVGLTRHKRTFEYLYNGDLGGDLIAHCLRT - ->NP_659000.1 1a protein [Broad bean mottle virus] -MSSFVNLESLISERGANCRGADEIVNNETTRILTSQIEHSQRSKKVNIRNKLSVAECDAFRARYGGAFDV -NLTHEYTAPHSLAGALRVAEHYDCIDSFPPEDKIIDFGGSWLHHYSRGDSRVHSCCPILGPRDATRHEER -MCRLRKMVQTSDRFVDVPDFCLNKAEDCNVQADWAICIHGGYDMGFQGLCKAMHAPLERGILQGTIMFDG -AMLFDRQGELPLLQCRWQRVGTGSKEQIKFDFINESTLSYVHDWKNLGSFLTESTYSIGGTTYLLERMLL -KCSIMTYKIIATNVRCPPESLRHCIWFENISQYLAVQIPIGYNLNDWKTVRVARATVREVEEISFRCFKE -NKDWTENMRSVASILSAKSSTVIINGQSIMSGERLDVLEYHLVAFSLTLNLYQKYEKLRNFQGELEWKGW -ANHFKTRLWWCGRTVSTEGGFLRNFLADKIPWLKLNTYADSLDFITKISEVESFEVDSVPTSRLRSFFQK -EENIVERAASEIMSANARRIAKKAEMSKEFDDFVDAPEEFAPEDVVEEVINTPVTQDVKLRQSKPETARS -IVLDPDAVLKNGAINEFADYSKRLHENTVSNLRHLWTLMGCRGNEIHNKSVAETYHRVDDMVNVHFPNGH -WMYPLKYEYTVGYNDGGLGEKFENELYVVDKTCSCANAKAIADACKKVSAPTCSVVMVDGVAGCGKTTAI -KETFRFEKDIIVTANRKSAEDVRKAIFGDASDSEVALKVVRTADSAIMHGLPECHRLLVDEAGLLHYGQL -LAVADLCKCSEVLAFGDTEQISFKSRDATFRMKYCNIEYDKRDIVSKTFRCPQDVVSAVKILKRKCANRS -SKYNGWVSSSKVEKSLSKSRIVSINQVSMEKHKFYLTMTEADKAALCSRAKDVGLDKTWVESNMETVHEA -QGKAVDHVVLVRLKSTKCDLFKSEEYCLVALTRHKRTFEYLYNGDLGGDLISFYVT - ->NP_620760.1 1a protein [Tomato aspermy virus] -MAASAFNIHKLVASHGDKGLLASALVDKLAHEQLEEQVQHQRRGLKVYIRNALDVKDSEIIRDRYGGKYD -LHLTQQEQAPHGLAGALRLCELLDCLDSFPRTGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HTERLMTMRKVIVNDPDTFESRVPDFCTLPAEDCKVQAHFVISIHGGYDMGFKGLCRAMHAHGTTFLKGT -MMFDGAMLFDTEGYLADLKCKWKKIKPRTYESEDQTPLLSRISDNLTTTIKDHTLIAFDFVDESTLSYVH -KWENVKSFLTDQTYSYKGMTYGLERCLIQHGIMTYKIIAVPGTCPPELIRHCIWFTSLKDYVGLKIPVSQ -DLVEWKTVRILISTLRETEEIAMRCYSDKKNWLEQFKVILGVLSSKSSTIVINGMAMQAGERIDTSDYHY -IGLAILLHTRMKYEQLGRMYDMWNSTFIRKFFASLTRPMRVFLSACVKTLFPTLRPRDEKEFLVKLSTFV -TFNEVCQVDLDAEWDVISSAAFTAEMAVEDGKRLAEDRKQKAEAASQIPVDEIPDDTAESSDDTPREADT -NQKSEPSSPELETLSTQTRSPITRLAQRASSMLEYSAYEAQLHDNAVSNLDRMWCMAGGDKKNNRLESNV -KFVFETYHIVDPLVNVHFPTGRWLYRVPEGISYSVGFNEHGIGPKADGELYIVNADCVISNSKCLADTTL -QYLAPTGTISLVDGVAGCGKTTAIKKMFNPATDVIVTANKKSALDVRQALFNCTDSKEATTFVRTADSIL -MNDTNEVQRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFCSRDASFDMRHSTIIPDETDTADT -TFRSPQDVIKVVKCMASKALKKGTHSKYASWVSQSKVQRSVSSKAVASVTMVDLTEDRFYITMTQADKTA -LRTRARELNMSNDFIEHRIKTTHESQGVSEDHVTLVRLKTTKCDLFKAFKYCLVAVTRHKKTFRYEHVGK -LDGDLIAECLARV - ->NP_620042.1 1a protein [Olive latent virus 2] -MDSAALEKMTGAKFPAVQGVIEEFSRDRVQNVLGDMRSRQVIKYAVGLSEASVQELRFNWPCFTWEEESV -PLPPHPFAAYSRRAFTRWAIAQCGPVPIKDFGGNWFLHWQWQTGVHSCCPLLNPRDGAHQTRRELNMESY -LRTHGPKYDKFNELSRPDLCHHRAEDCSVRAKAALSVDSAYDMGLKNTCKAMHRAGIELLHGNILFDPDM -LIEAKMEGFVAGMNYHWKKTRRSTGLMSSFLEMGSSLFGVSNSSKEGEGHHDLKIKMGSSSTHMVPADWE -ISYHFRDDCVLGYTHNLADVLSIATGSYVKVGNTFYELERTGLKSGMLMYTITACKGLYDRASARSTPLS -AKASTVIINGMSYQVGEKLDPISFPYLAASFYMQAQKAVFEVQQVVDLHTPNRNLWSWFKKKFELKAHAF -LFALGLRDSHDEWLLDQIEFELNETVCTLPGEFLEPVSEVERLDAALEDWRRDRERLNGKSVENLKTLTV -LVELAKKLGISAYEVLNSHQNESERPKDQWHVEAALFEAVELERAHWKMLTAEAQAMSLQDPLSREAKSK -GWSYESSDSLPCAYAYVFSEGRFVKPADLKKKTRVLVSPSMQIMNQIRMAESLEKAIAMNVKSCKKTWID -GVAGCGKTYEIVHTADIFKKDDLILTANKKSQEDIFSQLKPGTDCAKRIRTVDSYLLKPDVQAKRLFIDE -AGLVHPGKLLAAMRFAECDDCLLFGDSEQIPFVNIVESLQPAKFLKLEVDAREVRETTYRCPADVTATLA -TLYKKKKIVTKSKVLKSVTSKSLASASAVSGLDPHSWHLTMYQADKAELVRVARTNQMDDVWIKEHIKTV -HEAQGISVPHVKLYRFKTFDQPLFDAAHAEAYRLVAISRHTQSFTYIGVNQHLCKADRMLKFVICQIP - ->NP_619770.1 replicase [Pelargonium zonate spot virus] -MAATSFNVRDLINSNGADAMGVRGLVDAHATKAAEEQFEYIKRSKKVWVRQILSASDGEKMQKRFGGTFD -LQLSKNLCPHSFAGAMRQCETLECLSSFPEDSLILDFGGSWLFHWQRQHNVHSCCPVLDARDMARHQERM -ISMQKCVAHRPGKFESFESPDFCLLKAEDCEVQSPYAISIHGAYDMGFEGLCKAMHSHGTIMLRGTMMFD -ANMLVFNEGVMEDLNCRWTKEKGDPYGLRGAPCEDMVHFDFIDESTLSYSHSWKNIKSFLTEGGYQIGNV -QYVLERCVISYGIMSFKIFAVSGKIPHTRLRHCVWFPKVRDYVNINPSDPRIWSKVRVKLDTVREVEEIC -FRCPKDVSKIEVMGGESETCGIMSVLYSSTIIVNGMTMMAGERLDVLDYHHVAFSLMLSARRKFDMFGKA -MNSLEWKGWVSHFFKSLWPSGDLRDLFGRYFPSLIRYYDKIEFVEKLTHCEVFVNELGMTDDKEQRDVVA -EAADVLKNTLLKVAIKMSLDKTFRPAEEKKEERTTTTTVTSSAVGDVDERPAGTVSGPTIQAPSVTQENT -VTSLSEPLDGRLAVRLEAMKEYKRYLLKLQKNTESNLAGLWSLCGGTSDSNNLISTEVLRIMRQSDSLVN -LHKADGGWLFPNDFEYMVGYNSSGLGEKRPNEVFLVNKDCVLNNNVLLANGVPAQPPKGNINLMDGVAGC -GKTTAIKRAFVFESDLIVTANKKSSEDILKAMFRDTPDIGRNKVRTADSVLMHGVAHKVKRVLFDEVSLV -HFGQLCAILTISGAEELIGFGDSEQISFVSRDRLFDMKYHKLSPDSSDQQIRTFRCPKDVVECVKIMARK -VGARGSKYNNWFTTSAVRKSLGYHKVSSINESPLRPDVHYLTMTQADKASLLSKARETRFRPSVSTIDEV -IKTTHESQGISVPKVILWRGKSTKCDLFTDKMELRFGCCHQASRKFRLLFGC - ->NP_613278.1 1a protein [Cowpea chlorotic mottle virus] -MASSLDLLKLISERGADSRGASDIVEQQAVKQLLEQVDYSKRSKKINIRNKLTPDEENAFRARYGGAFDL -NLTQQYNAPHSLAGALRIAEHYDCLSSFPPLDPIIDFGGSWWHHYSRKDTRIHSCCPVLGVRDAARHEER -LCRMRKLLQECDDREDLPDFCIDRAESCSVQADWAICIHGGYDMGYTGLCEAMHSHGVRILRGTIMFDGA -MLFDNEGVLPLLKCRWMKSGKGKSEVIKFDFMNESTLSYIHSWTNLGSFLTESVHVIGGTTYLLERELLK -CNIMTYKIVATNLKCPKETLRHCVWFENISQYVAVNIPEDWNLTHWKPVRVAKTTVREVEEIAFRCFKEN -KEWTENMKAIASILSAKSSTVIINGQAIMAGERLNIDEYHLVAFALTMNLYQKYENIRNFYSEMEWKGWV -NHFKTRFWWGGSTATSSTGKIREFLAGKFPWLRLDSYKDSFVFLSKISDVKEFENDSVPISRLRSFFSSE -DLMERIELELESAQKRRREKKKKEVEKIDEEEFQDAIDIPNDAVRDDAKPEKEPKPEVTVGAEPTGPEEA -SRHFAIKEFSDYCRRLDCNAVSNLRRLWAIAGCDGRTARNKSILETYHRVDDMINLHYPGGQWLYPKKYD -YEVGFNDSGLGPKFDDELYVVDKSCICANYQVLSKNTDSLKAPSCKISLCDGVAGCGKTTAIKSASNIAE -HLVVTANKKSAEDVREALFPHNPSSEIAFKVIRTADSALMHGLPRCKRLLVDEAGLLHYGQLLAVAALCK -CQSVLAFGDTEQISFKSRDATFRLKYGDLQFDSRDIVTETWRCPQDVISAVQTLKRGGNRTSKYLGWKSH -SKVSRSISHKEIASPLQVTLSREKFYLTMTQADKAALVSRAKDFPELDKAWIEKHIKTVHEAQGVSVDHA -VLVRLKSTKCDLFKTEEYCLVALTRHKITFEYLYVGMLSGDLIFRSIS - ->NP_049331.1 1a protein [Peanut stunt virus] -MAVSSFNLNELVASHGSSGLIASALVDKVASEQLEEQLNHQRRGLKVYIRNRLDVKESEVIRNRYGGKYD -LHLSQQEHAPHGLAGALRLCETLDCLDAFSRTGLRQGLVLDFGGSWVTHFLRGHNVHCCSPCLGVRDKMR -HTERLLSMRKAIINDPQTFEGRQPNFCTNPAEKCDVQAHYAISIHGGYDMGFEGLCRAMNAHGTTFLKGT -MMFDGAMLFDDSGYIPELKCHWRKIKNAFSEKENASTFTSKLNLAVSGKTLIAFDFETGPTMSYIHEWKN -IKSFLTDQTFSYNGYTYGIERCVIYAGILTYKIIGVPGECPPEVIRHCVWFPSLKDYVGLKIPVAADLVE -WKTVRLPLSTLRDTEEIAMRCYNDKKTWQDQFKIILGVLSSKSSTIVINGMAMQAGERVDINDYPYIGFA -IMLHTKMKYEQLSLMYDAWDASIISQWWKAMTKPIRTFVRLAAARTLFPTLRPRNESEFLVKLSTFVTFN -DECITDMGEVWDVTANAAAVAAWAVSDGKQLIREKERALELANQQPVQIDSQPLTSINLSTQNPPQPATA -ILDPENPVVGQTESPEPPELKSLSFQTRSPDTRLAERASAMLEYVAYERQLHQNVISNLQRIWCSAGGDF -VTNRLEGNLKFVFDTYFLTDPLVNVHFPSGRWMRPVPAGTTYMVGFNEKGLGPKMESELYIVNADCVICN -SDGLSNAVKALTAPTGTISLVDGVAGCGKTTAIKKLFQPATDLIVTANKKSAQDVRMALFGASDSKEACT -FVRTADSILLNDVPEVSRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFGSRDASFDMRRSAIL -PDESSTSDTTFRSPQDIVPLVTRMANKALPRGAKSKYSRWLSQSKVLNSVSHRCVPSVALVDMDESRFYI -TMTQADKAALITRAKELQMPSSFYDNKIKTVHESQGISEDHVTLVRLKSTKCDLFKSFPSCLVALTRHKV -YFRYEFCGELKGDLIALVLASHSPLI - ->NP_049323.1 replicase [Cucumber mosaic virus] -MATSSFNINELVASHGDKGLLATALVDKTAHEQLEEQLQHQRRGRKVYIRNVLGVKDSEVIRNRYGGKYD -LHLTQQEFAPHGLAGALRLCETLDCLDSFPSSGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HAERLMNMRKIILNDPQQFDGRQPDFCTQPAADCKVQAHFAISIHGGYDMGFRGLCEAMNAHGTTILKGT -MMFDGAMMFDDQGVIPELNCQWRKIRSAFSETEDVTPLVGKLNSTVFSRVRKFKTMVAFDFINESTMSYV -HDWENIKSFLTDQTYSYRGMTYGIERCVIHAGIMTYKIIGVPGMCPPELIRHCIWFPSIKDYVGLKIPAS -QDLVEWKTVRILTSTLRETEEIAMRCYNDKKAWMEQFKVILGVLSAKSSTIVINGMSMQSGERIDINDYH -YIGFAILLHTKMKYEQLGKMYDMWNASSISKWFAALTRPLRVFFSSVVHALFPTLRPREEKEFLIKLSTF -VTFNEECSFDGGEEWDVISSAAYVATQAVTDGKILAAQKAEKLAEKLAQPVSEVSDSPETSSQTPDDTAD -VCGREREVSELDSLSAQTRSPITRVAERATAMLEYAAYEKQLHDTTVSNLKRIWNMAGGDDKRNSLEGNL -KFVFDTYFTVDPMVNIHFSTGRWMRPVPEGIVYSVGYNERGLGPKSDGELYIVNSECVICNSESLSTVTR -SLQAPTGTISQVDGVAGCGKTTAIKSIFEPSTDMIVTANKKSAQDVRMALFKSSDSKEACTFVRTADSVL -LNECPTVSRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFSSRDASFDMRFSKIIPDETSDADT -TFRSPQDVVPLVRLMATKALPKGTHSKYTKWVSQSKVKRSVTSRAIASVTLVDLDSSRFYITMTQADKAS -LISRAKEMNLPKTFWNERIKTVHESQGISEDHVTLVRLKSTKCDLFKQFSYCLVALTRHKVTFRYEYCGV -LNGDLIAECVARA - ->NP_041196.1 replicase [Brome mosaic virus] -MSSSIDLLKLIAEKGADSQSAQDIVDNQVAQQLSAQIEYAKRSKKINVRNKLSIEEADAFRDRYGGAFDL -NLTQQYHAPHSLAGALRVAEHYDCLDSFPPEDPVIDFGGSWWHHFSRRDKRVHSCCPVLGVRDAARHEER -MCRMRKILQESDDFDEVPNFCLNRAQDCDVQADWAICIHGGYDMGFQGLCDAMHSHGVRVLRGTVMFDGA -MLFDREGFLPLLKCHWQRDGSGADEVIKFDFENESTLSYIHGWQDLGSFFTESVHCIDGTTYLLEREMLK -CNIMTYKIIATNLRCPRETLRHCVWFEDISKYVGVSIPEDWSLNRWKCVRVAKTTVREVEEIAFRCFKES -KEWTENMKAVASILSAKSSTVIINGQAIMAGERLDIEDYHLVAFALTLNLYQKYEKLTALRDGMEWKGWC -HHFKTRFWWGGDSSRAKVGWLRTLASRFPLLRLDSYADSFKFLTRLSNVEEFEQDSVPISRLRTFWTEED -LFDRLEHEVQTAKTKRSKKKAKVPPAAEIPQEEFHDAPESSSPESVSDDVKPVTDVVPDAEVSVEVPTDP -RGISRHGAMKEFVRYCKRLHNNSESNLRHLWDISGGRGSEIANKSIFETYHRIDDMVNVHLANGNWLYPK -KYDYTVGYNEHGLGPKHADETYIVDKTCACSNLRDIAEASAKVSVPTCDISMVDGVAGCGKTTAIKDAFR -MGEDLIVTANRKSAEDVRMALFPDTYNSKVALDVVRTADSAIMHGVPSCHRLLVDEAGLLHYGQLLVVAA -LSKCSQVLAFGDTEQISFKSRDAGFKLLHGNLQYDRRDVVHKTYRCPQDVIAAVNLLKRKCGNRDTKYQS -WTSESKVSRSLTKRRITSGLQVTIDPNRTYLTMTQADKAALQTRAKDFPVSKDWIDGHIKTVHEAQGISV -DNVTLVRLKSTKCDLFKHEEYCLVALTRHKKSFEYCFNGELAGDLIFNCVK - ->sp|Q83270.1|1A_CMVII RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -MATSSFNINELVASHGDKGLLATALVDKTAHEQLEEQLQHQRRGRKVYIRNVLGVKDSEVIRNRYGGKYD -LHLTQQEFAPHGLAGVLRLCETLDCLDSFPSSGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HAERLMNMRKIILNDPQQFDGRQPDFCTQPAEDCKVQAHFAISIHGGYDMGFRGLCEAMNAHGTTILKGT -MMFDGAMMFDDQGVIPELNCQWRKIRSAFSETEDVTPLSGKLNSTVFSRVRKFKTMVAFDFINESTMSYV -HDWENIKSFLTDQTYSYRGMTYGIERCVIHAGIMTYKIIGVPGMCPPELIRHCIWFPSIKDYVGLKIPAS -QDLVEWKTVRILMSTLRETEEIAMRCYNDKKAWMEQFKVILGVLSAKSSTIVINGMSMQSGERIDINDYH -HIGFAILLHTKMKYEQLGKMYDMWNASSISKWFAALTRPLRVFFSSVVHALFPTLRPREEKEFLIKLSTF -VTFNEECSFDGGEEWDVISSAAYVATQAVTDGKILAAQKAEKLAEKLAQPVIEVSDSPEASSQTPDDTAE -VCGKEREVSELDSLSAQTRSPITRVAERATAMLEYAAYEKQLHDTTVSNLKRIWNMAGGDDKRNSLEGNL -KFVFDTYFTVDPMVNIHFSTGRWMRPVPEGVVYSVGYNERGLGPKSDGELYIVNSECVICNSESLSTVTR -SLQAPTGTISQVDGVAGCGKTTAIKSIFEPSTDMIVTANKKSAQDVRMALFKSSDSKEACTFVRTADSVL -LNECPTVSRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFSSRDASFDMRFSKIIPDETSDADT -TFRSPQDVVPLVRLMATKALPKGTHSKYTKWVSQSKVTRSVTSRAIVSVTLVDLDSSRFYITMTQADKAS -LISRAKEMNLPKTFWNERIKTVHESQGISEDHVTLVRLKSTKCDLFKQFSYCLVALTRHKVTFRYEYCGV -LNGDLIAECVARA - ->sp|Q66121.1|1A_CMVIX RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -MATSSFNINELVASHGDKGLLATALVDKTAHEQLEEQLQHQRRGRKVYIRNVLGVKDSEVIRNRYGGKYD -LHLTQQEFAPHGLAGALRLCETLDCLDSFPSSGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HAERLMNMRKIILNDPQQFDGRQPDFCTHPAAECDVQAHFAISIHGGYDMGFRGLCEAMNAHGTTILKGT -MMFDGAMMFDDQGVIPELNCQWRKIRSAFSETEDVTPLSGKLNSTVFSRVRKFKTMVAFDFINESTMSYV -HDWENIRSFLTDQTYSYRGMTYGIERCVIHAGIMTYKIIGVPGMCPPELIRHCIWFPSIKDYVGLKIPAS -QDLVEWKTVRYLTSTLRETEEIAMRCYNDKKAWMEQFKVILGVLSAKSSTIVINGMSMQSGERIDINDYH -YIGLAILLHTKMKYEQLGKMYDMWNASSISKWFAALTRPLRVFFSSVVHALFPTLRPREEKEFLIKLSTF -VTFNEECSFDGGEEWDVISSAAYVATQAVTDGKVLAAQKAEKLAEKLAQPVIEVSDRPEAPSPTPDDPAD -VCGKEQEVSELDSLSAQTRSPITRVAERATAMLEYAAYEKQLHDTTVSNLKRIWNMAGGDDKRNSLEGNL -KFVFDTYFTVDPMVNIHFSTGKWMRPVPEGIVYSVGFNEHGLGPKSDGELYIVNSECVVCNNESLSNVTR -SLQAPTGTISQVDGVAGCGKTTAIKSIFEPSTDMVVTANKKSAQDVRMALFKSSDSKEACTFVRTADSVL -LNECPTVSRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFSSRDASFDMRFSKIIPDETSDADT -TFRSPQDVVPLVRLMATKALPRGTHSKYTKWVSQSKVKRSVTSRAISSVTLVDLDSSRFYITMTQADKAS -LISRAKEMNLPKTFWNERIKTVHESQGISEDHVTLVRLKSTKCDLFKQFSYCLVALTRHKVTFRYEHCGI -LNGDLIAECIARA - ->sp|O40976.1|1A_CMVNT RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -MATSSFNINELVASHGDKGLLATALVDKTAHEQLEEQLQHQRRGRKVYIRNVLGVKDSEVIRNRYGGKYD -LHLTQQEFAPHGLAGALRLCETLDCLDSFPSSGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HAERLMNMRKIILNDPQQFDGRQPDFCTHPAADCKVQAHFAISIHGGYDMGFRGLCEAMNAHGTTILKGT -MMFDGAMMFDDQGVIPELNCQWRKIRTAFSETEDATPLSEKLNSTIFSHVRKFKTMVAFDFINESTMSYV -HDWENIKSFLTDQTYSYRGMTYGIERCVIHAGIMTYKIIGVPGMCPPELIRHCIWFPSIKDYVGLKIPAS -QDLVEWKTVRILTSTLRETEEIAMRCYNDKKAWMEQFKVILGVLSAKSSTIVINGMSMQSGERIDINDYH -YIGFAILLHTKMKYEQLGKMYDMWNASYISKWFAALTRPLRVFFSSVVHALFPTLRPREEKEFLIKLSTF -VTFNVECSFDGGEEWDVISSAAYVATQAVTDGKVLAAQKAEKLAEKLAQPVIEVSDRPEAPSSTPDDTAD -VCGKEQEVSELDSLSAQTRSPITRVAERATAMLEYAAYEKQLHDTTVSNLKRIWNMAGGDDKRNSLEGNL -KFVFDTYFTVDPMVNIHFSTGRWMRPVPEGIVYSVGFNERGLGPKSDGELYIVNSECVICNSESLSTVTR -SLQAPTGTISQVDGVAGCGKTTAIKSIFEPSTDMIVTANKKSAQDVRMALFKSSDSKEACTFVRTADSVL -LNECPTVSRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFSSRDASFDMRFSKIIPDETSDADT -TFRSPQDVVPLVRLMATKALPKGTHSKYTKWVSQSKVKRSVTSRAIASVTLVDLDSSRFYITMTQADKAS -LISRAKEMNLPKTFWNERIKTVHESQGISEDHVTLVRLKSTKCDLFKQFSYCLVALTRHKVTFRYEHCGV -LNGDLIAECIARA - ->sp|Q83264.1|1A_CMVY RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -MATSSFNINELVASHGDKGLLATALVDKTTHEQLEEQLQHQRRGRKVYIRNVLGVKDSEVIRNRYGGKYD -LHLTQQEFASQGLAGALRLCGTLDCLDSFPSSGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HSERLMNMRKIILNDPQQFDGRQPDFCTQPAADCKVQAHFAISIHGGYDMGFRGLCEAMNAHGTTILKGT -MMFDGAMMFDDQGVIPELNCQWRKIRSAFSETEDVTPLVGKLNSTVFSRVRKFKTMVAFDFINESTMSYV -HDWENIKSFLTDQTYSYRGMTYGIERCVIHAGIMTYKIIGVPGMCPPELIRHCIWFPSIKDYVGLKIPAS -QDLVEWKTVRILTSTLRETEEIAMRCYNDKKAWMEQFKVILGVLSAKSSTIVINGMSMQSGERIDINDYH -YIGFAILLHTKMKYEQLGKMYDMWNASSISKWFAALTRPLRVFFSSVVHALFPTLRPREEKEFLIKLSTF -VTFNEECSFDGGEEWDVISSAAYVATQAVTDGKILAAQKAEKLAEKLAQPVSEVSDSPETSSQTPDDTAD -VCGKEREVSELDSLSAQTRSPITRVAERATAMLEYAAYEKHLHDTTVSNLKRIWNMAGGDDKRSFLEGNL -KFVFDSYFTVDPMVNIHFSTGRWVRPVPEGIVYPVGYNERGLGPKSDGELYIVNSECVICNSESLSTVYG -RSLQTPTGTISQVDGVAGCGKTMPIKSIFEPSTDMIVTANKKSAQDVRMALFKSSDSKEACTFVRTADSV -LLNECPTVSRVLEDEVVLLHFGQLCAVMSKLKAVRAICFGDAEQIAFSSRDASFDMRFSKIIPDETSDAD -TTFRSPQDVVPLVRLMATKALPKGTHSKYTKWVSQSKVRRSVTSRAIASVTLVDLDSSRFYITMTQADKA -SLISRAKEMNLPKTFWNERIKTVHESQGISEDHVTLVRLKSTKCDLFKQFSYCLVALTRHKVTFRYEYCG -VLNGDLIASVARA - ->sp|P28726.1|1A_PSVJ RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -MAVSSSNLNELVASHGSSGLIASALVDKVASEQLEEQLNHQRRGLKVYIRNRLDVKESEVIRNRYGGKYD -LHLSQQEHAPHGLAGALRLCETLDCLDAFPRTGLRQDLVLDFGGSWVTHFLRGHNVHCCSPCLGVRDKMR -HTERLLSMRKAIINDPQTFEGRQPNFCTNPAEKCDVQAHYAISIHGGYDMGFEGLCRAMNAHGTTFLKGT -MMFDGAMLFDDSGFIPELKCHWRKIKNAFSEKENASTFTSKLNLAVSGKTLIAFDFENESTMSYIHEWKN -IKSFLTDQTFSYNGYTYGIERCVIYAGILTYKIIGVPGECPPEVIRHCVWFPSLKDYVGLKIPVSADLVE -WKTVRLPLSTLRDTEEIAMRCYNDKKTWQDQFKIILGVLSSKSSTIVINGMAMQAGERVDINDYPYIGFA -IMLHTKMKYDKLSLMYDAWGASIISQWWKAMTKPIRTFVRLVARTLFPTLRPRSESEFLVKLSTFVTFND -ECITDMGEVWDVTANAAAVAAWAVSDGKQLVAEKEKALELANQQPVQNDSQPLTTVNLSTQDPPRSVTAI -LDPENPVVGQAELPEPPELKALSFQTRSPDTRLAERASAMLEYVAYEQQLHQNVISNLQRIWCSAGGDFV -TNRLEGNLKFVFDTYFLTDPLVNVHFPSGRWMRPVPAGTKYMVGFNEKGLGPKMDSELYIVNADCVICNS -DGLSSAVKALTAPTGTISLVDGVAGCGKTTAIKKLFQPATDLIVTANKKSAQDVRMALFGASDSKEACTF -VRTADSILLNDVPEVSRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFGSRDASFDMRRSTILP -DESSTSDTTFRSPQDVVPLVTRMAGKALPRGAKSKYSRWLSQSKVLNSVSQRCIPSVALVDMDESRFYIT -MTQADKAALITRAKELQMPSSFYDNKIKTVHESQGISEDHVTLVRLKSTKCDLFKSFPYCLVALTRHKVS -FRYEFCGELKGDLIALVLATHSPLI - ->sp|P06011.1|1A_CMVQ RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -MATSSFNINELVASHGDKGLLATALVDKTAHEQLEEQLQHQRRGLKVYIRNVLDVKDSEVIRTRYGGKYD -LHLAQQELAPHGLAGALRLCETLDCLDFFPRSGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HTERLMSMRKVILNDPQQFDGRQPDFCTKSAAECKVQAHFAISIHGGYDMGFRGLCEAMNAHGTTILKGT -MMFDGAMMFDDQGFIPELKCQWRKIKSAFSEEEDATCSAAKLNSSVFSRVRNGKTLIAFDFVEESTMSYV -HDWDNIKSFMTDQTYSFNGMTYGIERCVIYAGVMTYKIVGVPGMCPPELIRHCIWFPSMKDYVGLKIPAS -DDLVKWKTVRILLSTLRETEEIAMRCYNDKKNWMDLFKIILGVLSSKSSTIVINGMSMQSGERIDLNDYH -YIGFAILLHTKLKYEQLGKMYDMWNASFIWKWFASMSRPFRVFFSTVVKTLFPTLRPREEKEFLVKLSTF -VTFNEECSFDGGKEWDVISSAAFVATQAVADGTILAEEKAKKLADRLAVPVEEVTAIPEVSPTPVDQGTA -CGLETETSELDSLSAQTRSPIARIAERATAMLEYSAYEKQLHDTTVSNLQRIWCMAGGDNKRNSLESNLK -FVFDTYFSVDALVNVHFPTGRWMHPVPEGVVYSVGYNEKGLGPKLDSELYIVNGDCVISNSHDLFSITKS -LLAPTGTISQVDGVAGCGKTTAIKSMFNPSTDIIVTANKKSAQDVRYALFKSTDSKEACAFVRTADSILL -NDCPTVSRVLVDEVVLLHFGQLCAVMSKLHAVRALCFGDSEQIAFSSRDASFDMRFSKLIPDETSDADTT -FRSPQDVVPLVRLMATKALPKGTRTKYSDGAQSKVRKSVTSRAVASVSLVELDPTRFYITMTQADKASLI -TRAKELNLPKAFYTDRIKTVHESQGISEDHVTLVRLKSTKCDLFKKFSYCLVAVTRHKVTFRYEYCGVLG -GDLIANCIPLV - ->sp|P20122.1|1A_CMVO RecName: Full=Replication protein 1a; Includes: RecName: Full=ATP-dependent helicase; Includes: RecName: Full=Methyltransferase -MATSSFNINELVASHGDKGLLATALVDKAAHEQLEEQLQHQRRGRKVYVRNVLSVKDSEVIRNRYGGKYD -LHLTQQEFAPHGLAGALRLCETLDCLDSFPSSGLRQDLVLDFGGSWVTHYLRGHNVHCCSPCLGIRDKMR -HTERLMNMRKIILNDPQQFDGRQPDFCTHPAADCKVQAHFAISIHGGYDMGFRGLCEAMNAHGTTILKGT -MMFDGAMMFDDQGIIPELNCQWRKIRNAFSETEDVTPLVGKLNSTVFSRVRKFKTLVAFDFINESTMSYV -HDWENIKSFLTDQTYSYKGMTYGIERCVINAGIMTYKIIGVPGMCPPELIRHCIWFPSIKDYVGLKIPAS -QDLVEWKTVRILTSTLRETEEIAMRCYNDKKAWMEQFKVILGVLSAKSSTIVINGMSMQSGERIDINDYH -YIGFAILLHTKMKYEQLGKMYDMWNASSISKWFAALTRPVRVFFSSAVHALFPTLRPREEKEFLIKLSTF -VTFNEECSFDGGEEWDVISSAAYVATQAVTDGKVLAAQKAEKLAEKLAQPVDEVSDSPEVPSSTPDDTAD -VCGKEQEVSELDSLSAQTRSPITRVAERATAMLEYAAYEKQLHDTTVSNLKRIWNMAGGDDKRNSLEGNL -KFVFDTYFTVDPMVNIHFSTGRWMRPVPEGIVYSVGYNERGLGPKSDGELFIVNSECVICNSESLSAVTR -SLQAPTGTISQVDGVAGCGKTTAIKSIFEPSTDMIVTANKKSAQDVRMALFKSSDSKEACAFVRTADSVL -LNECPTVSRVLVDEVVLLHFGQLCAVMSKLKAVRAICFGDSEQIAFSSRDASFDMRFSKIIPDETSDADT -TFRSPQDVVPLVRLMATKALPKGTHSKYTKWVSQSKVKRSVTSRSIASVTLVDLDSSRFYITMTQADKAS -LISRAKEMNLPKTFWNERIKTVHESQGISEDHVTLVRLKSTKCDLFKQFSYCLVALTRHKVTFRYEYCGV -LNGDLIAECIARA - diff --git a/seq/clusters_seq/cluster_24 b/seq/clusters_seq/cluster_24 deleted file mode 100644 index 9eab0d0..0000000 --- a/seq/clusters_seq/cluster_24 +++ /dev/null @@ -1,450 +0,0 @@ ->YP_010086219.1 nucleocapsid protein [Karimabad virus] -MSDFASIAIEFGNEPIDRDAVSTWLNEFAYQGFDPRVIIEKITAADNWKTDVKKMIVLALTRGNKPEKMT -AKMSPEGKAEVTRLVKKYKLKSGNPGRNDITLSRVAAAFATWTCNAIFYVQEFMPVNGAQMDELSPGYPR -PMMHPSFAGLIDPNLPDAKLIIDAHCLFLVQFAKVINVGLRGKPKSEVYQSFVQPMTAAINSNFMTGEQR -RRVLQGLGIIDMNLKPSQAVIAAARAYGSLA - ->YP_010086177.1 nucleoprotein [Tehran virus] -MSEEDYRAIALSFSDESADVGIITTWVNEFAYQGFDPKRIVQLVKERGQAKGRDWKKDVKMMIVLNLIRG -NKPDAMMKKMSEKGAATVAQLVSVYQLKEGNPGRDTITLSRVSAAFVPWTVQALKVLSESLPVTGTTMDT -VAGVTYPRAMMHPSFAGIIDLELPGRAGEAIADAHGLFMLEFSKTINPSLRTKQPNEIAATFEKPNMAAM -TGRFFTREDKKKLLIAVGIINDDLLLTPSIAKCAEKYRAKVGKM - ->YP_010086168.1 viral sRNA Nucleoprotein [Dashli virus] -MDEYQKIAVEFGEQAIDETVIQDWLQAFAYQGFDARTIIQNLVQLGGKGWEEDAKKMIILSLTRGNKPKK -MVERMSPEGARDVKSLVAKYKIVEGRPGRNGITLSRVAAALAGWTVQAVEVVENFLPVPGSAMDRMCGQA -YPRQMMHPSFAGLIDPTLDQEDFNAILDAHKLFLYMFSKTINVNLRGASKRDIEESFSQPMLAAINSSFI -DNTQRRAFLTKFGIITSGARATAVVKKIAEVYRKLE - ->YP_010086147.1 nucleocapsid [Salanga virus] -MDYAKIALDFAGEGISQVEIAKWVEDFAYQGFDAKRVVELVQTRGSGRNWKQDVAKMIVIALTRGNKIAK -MKQKMSDKGKESLTELERVYMLKSGNPGRDDLTLSRIAVAFAPWTVGALNHLDGHLPVNGKDMDEHVQRY -PRCMMHPAFGSLIDRNLPEVTVSQLINAHCIFLDKFARVINPNLRGKTKQEVAKSYEQPLKAAVSSTFFS -LEQKRGVLKNLGLIDENLKVTEVVKRASDFWTQAF - ->YP_010086099.1 nucleoprotein [Cacao virus] -MSDYQQLAVEFASEVPSEAVIATWVEDFAYQGFDAGEVIKALVEKGKNDWKQDARKMIILSLTRGNKPSK -MTERMSDAGKSTVNALVKRYNLKSGNPGRKDLTLSRIATALAGWTCQAAPIVQDYLPVTGKSMDLLSNNY -PRQMMHPCFSGLIDPTLPKNTVDNLSFAHCLYMTQFSKTINPSLRGLSKSEVVSSFSQPMNAAINSSFLS -SDQRRSFLDTLGLLNKNLECTSQVIDAAKAFKSLTN - ->YP_010086094.1 nucleoprotein [Itaporanga virus] -MSDYARIALDFYENNPPTTNDIAEFVSLFAYQGYDARTVVRLVTERGGGNWQEDVKRLIVLAATRGNRVN -KMKAKMSDKGKGELTKLVSTYKLKEGNPGREDLTLSRVACAFATWTCTCITSIAEYLPVTGSTMDSYSPN -YPRTMMHPAFGGLIDLTLPEAETLVAAHSLYLNRFAQVINPGLRGKSKDEIQATFQQPLNAAANSSFITS -ENKRKFLTKFMLIDSNLKVTKSVADAAKAYKALN - ->YP_010086079.1 putative nucleocapsid protein [Rio Grande virus] -MSFAKLAKDLGLEVLDLKEIQQWVSEFAYQGFDANRVVELVQERAKGRKWQEDVKRMIILALTRGNKPDK -MRKKMSPEGIAVLDDLVKTYQLKSSSPGRDDLTLARIAAAFAPWTCQATEAVENYMPVNGAAMDELSKNY -PRPMMHPAFAGLIDPSLKPQQLDIVVKAHSLFLLRFSKVINVNLRGKPKHDVELSFKQPLTAAVNSNFLT -GEERREILIKLKIVDRNGEATTNVVRAAEAYDLES - ->YP_010086068.1 non-structural protein [Punique virus] -MSEENYREIALAFLDESADSGTISTWVNDFAYQGFDPKRIVQLVKERGTAKGRDWKKDVKMMIVLNLVRG -NKPESMMKKMSEKGAAIVAQLIAAYQLKEGNPGRDTITLSRVSAAFVPWTVQALRSLSDSLPVTGTTMDS -IAGVTYPRCMMHPSFAGIIDLDLPNDTGAMLADAHGLFMLEFSKTINPSLRTKQANEIAATFEKPNMAAM -NGRFFTREDKKKLLIAIGVLNEDLIPVSAIAKCAEKYRAKVGKM - ->YP_010086203.1 nonstructural protein S [Ntepes virus] -MSDYAQIAIEFGSSGIDRDLIEAWVREFEYQGFDPKVVVKIVTETGEGWQNDVKKMIILALTRGNKPEKM -IAKMSAKGREEVTRLVKKYKLKSGNPGRNDLTLSRVAAAFASWTCAAIYYVQDYLPVTGSHMDAISRNYP -RAMMHPSFAGLIDPGMRDREILVEAHSLFLIEFAQKINVNLRGKSKAEILLSFDQPLNAAINSNFLTSSQ -KLKALAALGVIDEHQKPNRDVVSAADAFRKM - ->YP_010086201.1 nucleocapsid [Tres Almendras virus] -MSFEKLAIELAGHEVDEQTVASWVQAFAYQGFDARRVIELLKSRGGDSWMEDAKQMIILCLTRGNKPTKM -MTKMSDKGKKIVQGLIKKYNLKEGNPSRDDLTLSRITAALAGYTCQATEVVEEFLPVTGRHMDGLSKNYP -RPMMHPSFAGLIDPGLPADVLATITDAFSLFMVQFSRTINPRNRGLSTSEVLSTFDRPMNAAINSSFLSR -DQRKAFLKNLGILDGNLQPAENVKTAAKAFRSAK - ->YP_010086198.1 nucleocapsid [Mona Grita virus] -MTDYAEIAIAFAGEPINNAEVMGWVNEFAYEGFSAQRIIQLVQERGPQTWQVDVKMMIVLALTRGNKPSK -MIEKMSAEGKKKATRLITTYNLKSGNPGRDDLTLSRVASAFAGWTCQALAVLHPYLPVTGASMDSISPNY -PRAMMHPSFAGLIDNSIPEAYLQLVVDAHALYLLQFSRVINKNMRGQPKSVVVSSFLQPMNAAIVSGFIS -NDKRRKMLMAFGIVDQNGKPTQAVETAAKAFMTIN - ->YP_010086194.1 nucleocapsid [La Gloria virus] -MSDYQKIAVQFASEELDHGVIHEWVNEFAYQGFDARVVLKMLQEKGGDDWKHDAKKMIVLALTRGNKPVK -MMKKMSEEGKKEVERLVRVYGLKSGNPARNDLTLSRVAAALAGWTCQATVVVQEFMPVTGKQMDARSPNY -PRPMMHPSFAGLIDPSIPINAYSDIVAAHMLFLVEFSKTINPNLRGLPLAEIEKTFSQPMNAAIHSTFMT -HPQRRMLMQSLGIIDENAKPAKAVVAAANVYRSLD - ->YP_010086137.1 nucleocapsid [Zaliv Terpeniya virus] -MAIPEDWVRFAIEVSEAAWEEEEIREFINLFQYQGFDATVVLRRLYELSQKAGLDREQMMRDIRALITLH -LTRGNKLSSIEKRLSEAGKKEFALLKARYHLVDKAKEASDLTLSRIAIANAGLTCRILPQVVTHTAVTRA -RMESLSADYPVHMMHNAFAGLVDETLPEESIKALVDAHRLYLLEFSRTINVKHRGMEPKDILEANDSALQ -AGLASTFLTSRQKRAYLQSFKLVDGNGKASKAVVQAATTLRSMI - ->YP_010086232.1 nucleocapsid protein [Yongjia Tick Virus 1] -MAEKIRFTGLPSLPDVPTAADWSRVSFEMGMTGAPEVEAITELSEMFKYQGMDPAVIIKKFATLGKEAHR -NWLNDAGFLIVLHICRGTQVTKIKKTVTPETATEIETLVATYKIKDKKPVGDDITLARIALCFPLLTLRQ -LSNFQDHLTVKHHHMEELSAGYPIQLMHSAFASLITTTAGRQQDTQDLLDAHRLYLVELTKVINVGMKGK -TVAEIAESFEQPLQAGFNSTFVSQSHRAASLRKLGVVDGNGSPIAAVRAAAQVYRTLKARG - ->YP_010086230.1 nucleocapsid protein [Tacheng Tick Virus 2] -MNSGKKQETAKKRGRKPATVPPGPRRETRSQSRSTMSDDQQHTNPPPPPTGRGSGGGGGQGGGGGQGGAG -GSGRGGGGTGGGGPAPKPGPSQQPDLAGGPEVPSCVEIDLLNGNYEDDPEDTPRVRYIKWWGRANRRASV -RGLLGPEAGADPANMPAGFVEGLQWAMDAMDNASTPVPRETILRLIRRSFQYKPVTKDIEEAAELFQYQG -FDPVLVTAQLLSCGKAKNQGAGEVAKDIVAMIVLYLTRGTNTEKMKNRMSEMGKMLMDRLEKQYQIRKGA -VAPKEITLARVALTYPAITIRCTMQLGEKLPVPISHMRQLSPLYPVAMCTQAFSAVIPRGSAHETVLVHA -HALFLAEFSKVINPDLRGKGSSDIRDSFKGALKTALEKREPYLNEARQALVKGIGILVAGGEEGAALVLA -AGIQEAANTFVNTYGPLAFN - ->YP_010086228.1 nucleocapsid protein [Lihan tick virus] -MNEEDQLESDSGTPRAPYSLRSSRSSTPVEGEECLSQSGPAFVSKAAKTARKSTPKKHKQKGKRKSDSRS -EEGRSLDRVEEEMATNTTDPVDNPGDDEHGGDQTLAGIIATAAAVTAAGKGPETSKKGGTRNAATNGKGP -NADKSGEVPERLPTTSGGTTGGPSGGTGGTGGNGGGGGNSDDEDDEPTPAPGPGADIKVLMEWACRMCRG -GRVRLNDSDSARIMREFGKFQLSQADREAFISNVAYQGFDPIKTLHRALIIKETRGKSIDVFMRDIYHMC -LIFLTRGTNLASMTKKMSVEGSRLVEGLIQDYNLKKGQAPQEDLTLSRVALTFYSATILAAHHSAQHLPI -KPSYMSLISPGYPPALMTQAVAAAIPIDKSYSMSLIHAHCLYLIEFAKLINPRMKMQGDTVVLESCLPAL -RAALSKRNPESLADHLWLLEQVGLVHRPAPDQPEVVTDAVITAAQAFRSRHGAVYII - ->YP_010086225.1 nucleoprotein [Icoaraci virus] -MTDYARIAVEFSGEAVNLAEIQGWVADFAYQGFDARRIVELVQQKGGATWKDDVKMMIVLCLTRGNKPTK -MVEKMSPEGKVKVNRLISTYGLKSGNPGRDDITLSRVAAAFAGWTCQALNVLHPHLPVSGTTMDAISPNY -PRAMMHPCFAGLVDPTIPAEYCQMIVDAMSVFLIQFSRTINKSLRGQPKEAVVESFVQPMQAAMASSFIT -PAERRKLMIALGIVDANGKPSANVAAAAAAYPKVI - ->YP_010086179.1 N protein [Buenaventura virus] -MSYEEIAIQFASESVDATTIAAWVSEFAYQGFDARQVINLVKQRGGDDWKEDVKKMIVLSLTRGNKPSKM -LNKMSESGQKIVNDLISKYKLKSGNPGRNDLTLSRIAAAFAGWTCQAAEVVQDYLPVTGRAMDAISDKFP -RALMHPSFAGLVDPTLPEGVVEDIVHAHCLFMIQFSKTINPSLRSSSKSEVVSSFDRPMQAAINSPFLTA -GNRRDILMSLGLINSNLKPSPTVVAAAKVYRKL - ->YP_010086172.1 nucleoprotein [Medjerda Valley virus] -MSGQEEFAKIAVEFASEAVDTTLILEIVKEFAYQGYDAARVIELVKEKGGDKWKEDVKTLIVIALTRGNK -PTKIMEKMSTSGKIKFRALVAKYGLKSGNPGRDDLTLARIASAFAAWTIQAIKVVESYLPVTGAAMDELS -AGYPRPMMHPCFGGLIDNTLPEETVTALVKAHSLFLDAFSRTINPSLRSRTKAEVAKSFEQPLNAAINSR -FLSSDAKRKILKSAGVIDTNLKPAPAVEQAAKKFTEMA - ->YP_010086160.1 nucleoprotein [Alcube virus] -MSGQEEFAKIAVEFASEAVDTTLILEIVKEFAYQGYDAARVIELVREKGGETWRNDVKTMIIIALTRGNK -PAKILEKMSVSGKTKFRALVLKYGLKSGNPGRDDLTLARIASAFAAWTIQAIKVVEAYLPVTGAAMDELS -PGFPRPMMHPCFGGLIDNTLPEETVASLVKAHSLFLDAFSRTINPSMRTKTKAEVAKSFEQPLNAAINSR -FLTSDAKRKILKSAGVIDTNLKPAPAVEMAAKKFSEMA - ->YP_010086158.1 nucleoprotein [Silverwater virus] -MAKNAPIDLVMELSTEEWDPSEVTGLVDLYAYQGFDPAVIQAEIVKRATAKNRDWKKDVRNMIILNVTRG -NKLDKMMSKMTEEARKEVQTLRSVYNLKDTKPGAKDITLARVANVHGASTCSLLQLVAENLPVPLSFMNS -LSPNYPVAMMHTSFGGLINDGLNDAQDIIDAHKLYLIEFSKVINRAGGKSVHDIEESFRIPLASAIASQF -MRDEQKNAILTKLGIVGVNLTAAPGVKAAAQVYRQRKSG - ->YP_010086152.1 nucleoprotein [Rukutama virus] -MASPASWVDFVEEIERGPLTLKEIEEWIAEFQYQGFDPVTILKSIWERGQKAGKSKAEIIKDVQGMIVLH -LTRGNKVEKMKKKMSEAGQKALQVLISAYGIKEKAVNATDLTLSRVAIVNAGLTCSLLEFVQQHMAVTLT -EMERDSPGYPVHMMHGSFASMIDLTLPDATSKDIIDAHQLYLVRFAQKINPASRSKTFKQIVEDNKQTLK -AAMSSTFISNKVKLDHLKKWGIVDQHSVVSDETKEAAKVFRKLLGAA - ->YP_010086151.1 nucleocapsid protein [Gordil virus] -MSEENYREIAMAFANDSADFNMISVWANDFAYQGFDPKRIVELVRQRGLAKARNWKQDVKMMIVLNLMRG -NKPEAMVRKMQEKGQRIVLELISVYELKEGNPGRDTITLSRVSAAFVPWTIMALRQLAENIPVTGSIMDS -ILDGAVYPRAMMHPSFAGIIDLELPEGRGAELADAHGLFMIEFSKTINPSLRTKSAIEIAASFEKPNMAA -MTGNFFTKDDKRKLLKQIGILDSDLKLTPVIEKAAALYRSKTNK - ->YP_010086141.1 nucleocapsid [Odrenisrou virus] -MDNYAKIAIDFAGEPIDPTELAHLAEEFSFNGFDPNSIMKLIIERGGATWKEDAKVMIVIGVTRGNKIKK -MMDRMSEEGRAKLKQLVDKYKLKESSPGAKDLTLSRIAAVLAPWTVQALRVLETKLPVTGATMDSLSQNY -PRALMHSAAGGLLDPTLDESCFTALLQAHSLYLDCFSRLINPPLRSKTKQEVAQSFSSALQAAYSSNFFS -SGQKRQILQHMGLIDGNMKPMKAVIDAAGIYAAM - ->YP_010086132.1 nucleocapsid [Murre virus] -MERVENWVAFAQEVADEPWTDEEIQDLVSLFQYQGFDAAMILRRIVDCANKANISKDQMMKDIRSMIVLH -LTRGNKISSIEKRLSSAGKKEFAILKSRYKLTDKAKDASDLTLSRIAIANAGLTCRLLPMVVNNTAVTIS -RMNQLSPSYPACMMHNAFAGLISTDLTDDTIETLIQAHSLYLLEFSRTINVKHRSLSNTEVMTANDAALQ -AGLASSFLSSKQKKEYLEGLKVIDKKGQPTAEVKAAAAAFRALPK - ->YP_010086131.1 nucleocapsid [Ixcanal virus] -MADFERLAIEFSEAGVNIADVVNWVNEFAYQGFDAKRVLELLQQRGGSSWQEDARKMIVLALTRGNKIEK -MVLKMSEEGKKTVLALKKKYQLKSGNPGRDDLTLSRVAAALAGWTCQALPHVENFLPVTGEAMDALSPGF -PRCLMHPSFAGLVDTTLPPDTQDAILAAHSLFLVQFSKVINPNLRGKPKGEVVASFKQPMLAAVNGGFIG -PDKRRRMLQSLGVVDVNGVPSEAIKVAANKFRNSQ - ->YP_010086128.1 nucleocapsid [Durania virus] -MADFERLAIEFSEAGVNIADVVAWVNEFAYQGFDAKRVIELLQQRGGTSWQEDARKMIVLALTRGNKIEK -MIMKMSEEGKKTVLSLKKRYQLKSGNPGRDDLTLSRVAAALAGWTCQALPHVENFLPVTGEAMDALSPSF -PRCMMHPSFAGLVDTTLPPETQDAILAAHSLFLVQFSKVINPGLRGKAKSEVVASFKQPMLAAVNGGFIG -PEKRRKMLQSLGVVDVNGIPSEAVKVAAQKFRASQ - ->YP_010086122.1 nucleocapsid [Maldonado virus] -MSYEKLAIDIAGHEIDAETIKAWVQAFAYQGFDAKRVMELLVERGGDDWVDDAKQMIILCLTRGNKPSKM -MVKMSEKGKKIVQTLVKRYSLKEGNPSRDDLTLSRITAALAGYTCQATEYVEEFLPVTGKNMDDLSKNYP -RAMMHPSFAGLIDPKLPPDVLSTICDAFSLFMVQFSRTINPRNRGLSVSEVASTFDRPMNAAINSSFISG -EQRKSFLRNLGILDENMQPSSPVKAAAKVFRGMK - ->YP_010086118.1 nucleocapsid [Echarate virus] -MSFEQLAIELAGHEVDANTIASWVQAFAYQGFDARRVIELLKTRGGDTWMEDAKQMIILCLTRGNKPSKM -VNKMSEKGKKIVNGLIKKYSLKEGNPSRDDLTLSRITAALAGYTCQATEVVEEYLPVTGRHMDGLSKNYP -RPMMHPSFAGLIDPSLPSDVLSFITDAFSLFMVQFSRTINPRNRGLSTAEVVSTFDRPMNAAINSSFLSH -EQRKSFLKNLGILDSNMQAAEHVKAAAKVFRNQK - ->YP_010086114.1 nucleocapsid [Precarious point virus] -MATDWVKFAQEIEAGGWEPEEIQELVSLFQYQGFDAAVILRRIAECAGKAGIDREEMLKDIRSMIVLHLT -RGNKLSSIEKRLSEEGKKAFAKLRTRYHLTDKAREASDLTLSRIAIANAGLTCRILPQVVNFTAVTHSRM -TQLSPGYPAVMMHNAFAGLISPELTDETIRALIDAHKLYLVEFSRTINVKHRGLSAREVMSANDSALQAG -LASSFLSADQKKAYLKSFGLINAKGEATKVVEAAAEAFRALP - ->YP_010086110.1 nucleocapsid [Grand Arbaud virus] -MATDIDWVRFALEAASASWSEEEIRDFINLFQYQGFDATVILRRLTELSERAGQDKAKLHRDLRGLIVLH -LTRGNKLASIEKRLSDEGKKEFAALRARYQLVDKAKEAEDLTLSRIAIANAGLTCRLLPQVTTHTAVTLS -RMQSLSPEYPVHMMHNAFAGLIDETMPESTIRDLVDAHRLYLLEFSRTINIKHRGMEPKAILDANDAALQ -AGLASSFLPPAKKREYLIMFRLVTTNAEATRPVQAAAAVLRGLL - ->YP_010086106.1 nucleocapsid [Alenquer virus] -MSFEELAVELAGEEVDEQTIASWIQAFAYQGFDARRVIELLQIRGGNHWKDDAKQMIILCLTRGNKPSKM -ITKMSDKGKKIVQGLIKKYNLKEGNPSRDDLTLSRVTAALAGYTCQATEVVEEYLPVTGRHMDGLSKNYP -RPMMHPSFAGLIDPGLPSEVLSVIVDAFSLFMVQFSRTINPRNRGLSASEVFSTFDRPMNAAINSSFLSR -DQRKGFLKSLGILDANLQPAENVKSAAKAFRTAK - ->YP_010086102.1 nucleocapsid [Chagres virus] -MANYQDIAVEFAGDLPTEAIIRTWVEEFAYQGFDASQVLRILAERGGANWREDAKKMIILSLTRGNKPSK -MMERMSEAGKATVSSLMTRYNLKSGNPGRNDLTLSRVATALAGWTCQAIPIVQDFLPVTGVVMDGLSPAY -PRPMMHPCFAGLIDPSLPKKTVDELVAAFSLYMLQFSKTINPSLRAAKREDIISSFRQPMQAAINSTFLT -GAQRRQFLRTLGVVNDNLEPSQNVVAAARVFRGTGV - ->YP_010086089.1 nucleocapsid protein [Gabek Forest virus] -MSDYAQIAIEFGNSEVDGDLIEAWVKEFEYQGFDPRIVVKLVSEVEGWQTDVKKMIILALTRGNKPEKMV -TKMSAKGREEVAKLVKKYKLKSGNPGRNDLTLSRVAAAFASWTCNAIYHVQYYLPVTGNHMDAISKNYPR -PMMHPSFAGLIDPAMRDRQILIEAHSLFLIEFAQKINVNLRGKSKAEICLSFDQPLNAAINSNFLTSAQK -LKALTALGIIDDHQKPSKDVVNAADAFRRI - ->YP_010086087.1 nucleocapsid [Turuna virus] -MTSYESLAVELAGHEVDTATISAWVQAFAYQGFDARRVIELLKLRGGDNWMEDAKQMIILCLTRGNKPTK -MMAKMSEKGKKIVQGLIKKYNLKEGNPSRDDLTLSRVTAALAGYTCQATEVVEEYLPVTGRHMDSLSPSY -PRPMMHPSFAGLIDASLPADVLSTITDAFSLFMVQFSRTINPRNRGLSNAEVVSTFDRPMNAAINSSFLT -KDQRKIFLKNLGILDDNMQPANHVKAAAKVFRNQK - ->YP_010086081.1 nucleocapsid [Nique virus] -MSFEDLAIELAGHEVDEQTIASWVQAFAYQGFDARRVIELLKSRGGDAWMEDAKQMIILCLTRGNKPTKM -MTKMSDKGKKIVQGLIKKYNLKEGNPSRDDLTLSRITAALAGYTCQATEVVEEFLPVTGRHMDGLSKNYP -RAMMHPSFAGLIDPGLPAEVLATITDAFSLFMVQFSRTINPRNRGLSTSEVLSTFDRPMNAAINSSFLTR -DQRKAFLKNLGILDGNLQPSESVKAAAKAFRTAK - ->YP_010086075.1 nucleocapsid [Itaituba virus] -MSYEKLAIDIAGHEIDADTIKAWVQAFAYQGFDAKRVMELLVERGGDDWVEDAKQMIILCLTRGNKPSKM -MVKMSEKGKKIVQGLVKRYSLKEGNPSRDDLTLSRVTAALAGYTCQATEYVEEFLPVTGKNMDDLSKNYP -RAMMHPSFAGLIDPKLPPDVLSTICDAFSLFMVQFSRTINPRNRGLSVSEVASTFDRPMNAAINSSFISG -EQRKSFLRNLGILDENMQPSSPVKAAAKVFRGLK - ->YP_009380502.1 nucleocapsid [Oriximina virus] -MSYEKLAIDIAGHEIDAETIKAWVQAFAYQGFDAKRVIELLMERGGEDWVEDAKKMIILCLTRGNKPSKM -MVKMSEKGKKMVQALVKRYSLKEGNPSRDDLTLSRVTAALAGYTCQATEYVEEYLPVTGKNMDDLSKNYP -RAMMHPSFAGLIDPKLPAEVLTTICDAFSLFMVQFSRTINPRNRGLSVSEVASTFDRPMNAAINSSFLSS -EQRKAFLRSLGIVDDNMQPSASVKAAAKVFKNMK - ->YP_010086059.1 nucleoprotein [Salehabad virus] -MSGQEEFAKIAVEFANEAVDTAAILEIVKEFAYQGYDAARVVELVREKGGDTWRNDVKTLIIIALTRGNK -PTKILEKMSPAGKSKFRALVLKYSLKSGNPGRDDLTLARIASAFAAWTIQAIKVVEQYLPVTGAAMDELS -SSYPRPMMHPCFGGLIDNTLPEETVAALVRAHSLFLDTFSRTINPAMRTKTKAEVLKSFEQPLNAAINSR -FLTSEAKRKILKSAGVIDTNLKPSPAVKQAADKFSETA - ->YP_009304992.1 nucleocapsid protein [Wenzhou Shrimp Virus 1] -MASPTELAAAAKFILDVDFEQITFEDGFIDAIRFQGFDPKEVIAALMKKEKDSGTLKNEIAMMVALLCER -GTKISKILNRSSNAGKDRINHLKNKYNLVESGKQANSITLARVAICLPQYTCSYMTICSNPAVPWTSLDD -GSYTYPKAMACSAFANLLTPADTMLINCHLYWAVMFNKLINPSAKKTYKEVGNECHKYVLIGANSTHIPA -DKKKALLGRLGFAKVVDHAAVNHFGNLHLLKMQDRA - ->YP_009293592.1 nucleocapsid protein [Huangpi Tick Virus 2] -MMAIRAPIDFVLELASEPWDADNVASLVELYAYQGFDPTVIQQEIVRKATLGKRDWKADVRNMIILNVTR -GNKLDKMAAKMTDKAKDALKDLRRIYELKDSKPGAKDITLARVANVHGASTCSMLRLVPESLPVPHSHMN -TLSQGYPVXMMHTSFGGLINPNAPGAQDVTDAHKLYLVEFSRVINRAGGKSVGEIVESFRTPLASAIGSQ -FLKDPQKNAILKNLGIYDENMHISEKVVAAAETFRRMAM - ->YP_009304999.1 nucleocapsid protein [Wuhan Fly Virus 1] -MSTDWEQIYQEVLSQLESDGYEALIALQRTFAYQGFDPVVTASFIKKKEPTAQIRAKDIYTMIILFITRG -NKFEKIMRKSTEDAKKTMVSLKNKYAIVSGRPTKNEDITLARVAATFPMITFKVVEAVKPSRPAEQWLTT -KGIVITKPTLKTPGAFALLKRNMEAKKSEMDTIKCLLLIQHAESEIINAQNQSYRSKSLEEKLQDLLLYA -RAAFNNAMTSEDDRSTFTNALNSDLRDVKRKLVDQFASIFGSSNDSVISASFFGSNEVEA - ->YP_009346038.1 nucleocapsid protein [Uriurana virus] -MSNYQDIAVEFAQSVPSESIIATWVEDFAYQGFDAAQVLKLLMERGGDNWKEDARKMIILSLTRGNKPSK -MIERMSESGKKTVTALVDKYKLKSGNPGRNDLTLSRVATSLAGWTCQAASVVQDYLPVPGSVMDGISQNY -PRAMMHPSFAGLIDPNLPKKTSDDLVAAHSLFMVQFSKTINPSLRAAKKEDVALTFHQPMLAAINSSFLS -GSQRRSFLKSLAVVNENLEVVPSVASAARVFKNN - ->YP_009346032.1 nucleocapsid protein [Ambe virus] -MTDYQALAIEFANAGVSPAEIQDWVREFAYQGFDAHRIIELLRTLGGDAWQADARRMIVLGLTRGNKLEK -MVMRMSDKGKQATADLVRKYKLKSGNPSRDELTLSRVAAALAGWTCQALVAVQEHLPITGSYMDSVSPGY -PRQMMHPSFAGLVDPTIPQTHLDTVLEAHSLYLVHFSRMINPELRGRPRSEVVATFRQPMLAAVNSNFIT -PEQRRKFLVSFGIVNSNGVPSAQVTAAANVFKAMP - ->YP_009346029.1 nucleocapsid protein [Urucuri virus] -MADYQRLAVEFASEVPSETVVRQWVEDFAYQGFDANNVMRLLVERGGNSWKDDARKMIVLCLTRGNKPNK -MIEKMSETGKATVKQLIAKYSLRTGNPGRNELTLSRVCTALAGWTCQAAVVVQDYLPVTGRTMDNYSANY -PRPMMHPSFAGLIDRTLEDSVMEEIVDAHCLFMVEFSKTINPNTRSLSSAEVLKTFQQPMRAAINSNFLT -SAQRRGFLIELGIINENLKVSPAVADAAKKFRHQTRI - ->YP_009346024.1 nucleocapsid protein [Tapara virus] -MSDYEKLAIELQGEPIDKNAIQRWMSEFAYEGFDAANIVKLVHERAKAAGRDWKLDVKKMIVLALTRGNK -VDKMIKKMSEKGAATVTELVRVYSLKSGNPTRDDLTLSRVAAAFAGYTCQALPHLAEAIPVSGSQMDEVV -KNYPRPMMHPAFSGLIDPTFKEETKDALINAHSLFMYHFTVQINEKMRGKSDKEIISSFQQPMYAAINSG -FMKGETRRAMLRTLGIVDANWVPSSIVQEAAKIYKEKYND - ->YP_009346021.1 nucleocapsid protein [Anhanga virus] -MSFSKIALEFGGESVDVDEISKWVSEFAYQGYDANRVIELIQQYGRKRDWKMDVKRMIVLALTRGNKPDK -MRKKMSAEGVKILDELVNVYKLKSSSPGRDDLTLSRVAAAFAAWTCQATEAVENYMPVTGAAMDEVSPQY -PRAMMHPSFAGLIDPQLKPDQRELVVKAHSLFLIRFSRVINVALRGRPKSEVEMSFSQPMNAAINSNFLT -SDNRREMLKKLGIVDKNGDVVPSVIAAARAYDKEI - ->YP_009551636.1 nucleocapsid protein [Kaisodi virus] -MDRPPVDFVLELSKEEWNVAEVDQLVELYAYQGFDPAVIQREIIRRAGVRDWKKDVRNMIILNVTRGNKL -DKMASKMSDEAKAALQELRKVYDLKDSRPGAKDITLARVANVHGASTCAMLHLVADVLPVTRAHMDTISK -GYPVYMMHTSFGGLINMNGLGAQEIVDAHKLYLVEFSKVINRSGGKSTKEIVESFKAPLQSAISSQFLTG -QQKTAILTKLGAYDANNKLAEGVTAAARAFRDLLERK - ->YP_009666333.1 nucleoprotein [Mukawa virus] -MSGKNYAKIALEFGGEVIDGDFIKSIAEECAYQGFDPIKIMELIQTRGQDTWKEDCIRMIVLNLTRGNKP -DKMLTRMAESGKAKLNVLIRKYKLKSGKPGKDDLTLARVSAVLAPWTCKVIAHLENMLPVVGSAMDNHSQ -TYPRPMMHPSFGGLIDASLSRDDLTALVDAHKLYLYHFAQVINRDLRGKTKADIEASFDQPLKAAMGSNF -FSDKEKRDVLMDLGLVDLNGKVNSHVRRAADKYRQLV - ->YP_009666270.1 nucleocapsid [Pidgey virus] -MSSILDELVKSFNIDSTIDTNWIQNLVDDYAYQGLDMTTIITYINDVLKTKPEGKAALMLLIGIGLTRGN -KLEKILGSLNTNSQAKLSNGISLFNVKSSIRDANRTTTLTLARLRVAVPQIAAKILDDSRIVRPVSMSEL -KMFSPIFPAVFRDNCIASLIVKDVVNGFDKDVINNCLLHLYVYNFLESTKLFNPKLAVKGTPESKASQFI -RAAWNSTHVSDKARKTFMTTYVHPRMSIINESGEHLMNHMKKEKMEIPIFLMD - ->YP_009666267.1 nucleocapsid [Mothra virus] -MAAYADSRVLRSFELTADELTGLFNELGITGATEADTSKKEAIAWSIDDPNWANNASIREAVAYLGFDAK -AIFARFYELSQVQGQGANTVHSFPGGGGINLHPNFNLDLQLFINVFLQRGNNTSKIISKMDPQTGAALSA -KFNQYAMRSGASDPRNPLRNNDLTLARIAQTFAAHTAAVIIHTNLPSKLTSRLFGNTPVPCLMLHSIFSA -LVPTTGMFTATLRNYAIVLNTEMSLLLADARRKRQLASETLRAQFESSERFVDAALNGSSVPNMVRMKIL -IKAGIIVPAAGGVAASLSAGVIAMGTRYTRIMTVVNSTMADALQNLGA - ->YP_009665189.1 N protein, partial [Frijoles virus VP-161A] -MTDYADIAIAFAGEPINNAEVMGWVNEFAYEGFNAQRIIQLVQEKGPQTWQTDVKMMIVLALTRGNKPSK -MIEKMSAEGKKKASRLITIYGLKSGNPGRDDLTLSRIAAAFAGWTCQALATLHPYLPVTGAAMDAISPGY -PRA - ->YP_009162640.1 nonstructural protein [Hunter Island virus] -MTTVDWSQIAVDFGSDPINLADLTSFANDLAYQGLDPALILKLITERGGANWKEDVKYIIVFALTRGNKI -QKASSKMSQTGAKRMAELVAKYQLKENASDRTAITPVRVAQCLPTWTCAAAASLGAFLPVGPATMNVRSV -GYPPEMMCMAFGSLIPNDSSISPLARQELMEAYSLWQDQFTRTINTGLRTESKQKVNDSFKDPLMAAINS -TFFPNKARREWLIKRGILAPDGSPSGAVSAAAAAYRNS - ->YP_009512942.1 N protein [Punta Toro virus] -MSYEEIAVQFASESIDEQAVAGWVTHFAYQGFDAKRVIALVKERGGEDWKQDVKKMIVLSLTRGNKPNKM -VLKMSDKGKAMVNELVLKYKLKSGNPSRDDLTLSRITAAFAGWTCQAADYVQEYLPVTGRAMDTISSGYP -RAMMHPSFAGLIDQELPADVLSEITQAHCLFMIQFSKTINPSLRGLSKDEIVSSFERPMQAAISSTFLTS -ANRRAMLKTLGIINDNLKPSSSTVSAAKVFRSL - ->YP_009480532.1 nucleoprotein [Arrabida virus] -MKMSEDNYRTIALAFLDESADSTTINAWVNEFAYQGFDPKRIVQLVKERGTAKGRDWKKDVKMMIVLNLV -RGNKPESMMKKMSEKGAAIVTQLISTYQLKEGNPGRDTITLSRVSAAFVPWTVQALKTLSESLPVTGTTM -DSIAGTTYPRCMMHPSFAGIIDLELPNNTGAMLADAHGLFMLEFSKTINPSLRTKQPNEIAATFEKPNMA -AMTGRFFTRDDKKKLLIAIGVLDEDLVPNPAIEKCAEKYKAKVGKV - ->YP_009480529.1 viral sRNA Nucleoprotein [Toros virus] -MEDYQKIAVEFGEQAIDETVVQEWLQNFAYQGFDARAVIQNLIKLGGSSWEEDAKKMIILALTRGNKPKK -MQERMSPEGAKEVKALVAKYKLVEGKPGRNGLTLTRVAAALAGWTVQAIEVVENFLPVPGSAMDRISGQT -YPRQMMHPSFAGLIDPSLDPEDFNAIVDAHKLFLYMFSKTINVGLRGASKRDIEESFSQPMSAAINSSFI -DNAQRRSFLTKFSIVTSSSRASSVVKKIAEVYRKLE - ->YP_009480526.1 viral sRNA Nucleoprotein [Zerdali virus] -MSEEDYRAIALSFSDEAADVGTITAWVNEFAYQGFDPKRIVQLVRERGIAKGRDWKKDVKMMIVLNLIRG -NKPDAMMKKMSEKGAATVAQLVSVYQLKEGNPGRDTITPSRVSAAFVPWTVQALKVLSESLPVTGTTMDT -IAGVSYPRAMMHPSFAGIIDLELPRRAGEVIADAHGLFMLEFSKTINPSLRTKQPNEIAATFEKPNMAAM -TGRFFTREDKKKLLIAVGIINDDLLLAPSVAKCAEKYRAKVGKM - ->YP_009449452.1 nucleocapsid protein [Kabuto mountain virus] -MASSVDYAKMVQELSEDPYTEEDIVGFAEYYAYQGFKHVEVVKALVDKFPNDKDGMMKAVRALITVHLTR -GNKISSILKKISSEGGKSLKELTTKIGIKDKAVGPQDLTLSRIAITFAGLTCSLIEILKDHIGIGRPHLT -ATYPYAMMHSAFASMIDPTLQDEVVSQLVSAFKLYLYRFTRMINPSKTGEDFRRLWDSNLRILQAATQNS -FHSADTKRAYLVKWGVLDKNFLSTPAVKEAAKAFEALQADNEVLRG - ->YP_009346011.1 nucleocapsid [Munguba virus] -MSADYQRIAIEFGSEPIDRDAITLWVNEFAYQGFDASNVLRLLQQRGGATWKEDAKKMIILVLTRGNKPE -NMVKRMSEAGKRTVEALVVKYQLKSGNPGRDDLTLARVAAALAPWTCQAAFVVSEFMPVTGRAMDDHAAS -YPRGMMHTSFAGLIDPTLPQSTYSDLVAAHSLFLYYFSKTINVGLRGKTKAEVEASFSQPMQAAINSGFI -SGSQRRQFLQNLGIIDRNSVAVPAVATAAAAYRALS - ->YP_009330280.1 putative nucleoprotein [Hubei diptera virus 4] -MSQQKVTPEELVTLAKQILSIFQDEKTFVETLIDEFVYVGFDVLNFMTALKACESNSDKFKKDLVRMIIL -FLSRGNSVTNMKKRMGPAGKTILDGMISTYGIKAKVGDSSEPNVVTLARVATSFSHVTAKILDGTNLTLP -YNIQVEYKNCPKSLSLSSIFSLLPKPFQVKDKEDLINLIGACLYCQYKQYSIINSKNRSRLTEALDIGNH -IITYAVISYKSNVFTDEQRMTHSKDLKYYTEGTKGAKPVIALACIKDMMEALCALFSPDVENNFF - ->YP_009227130.1 sRNA nucleoprotein [Adana virus] -MSSQEDFARIAVEFANEAVDTNQILELVREFAYQGYDAARVIELVRSKGGENWKNDVKTLIVIALTRGNK -PSKILSKMTPDAGRKFRELVMRYGLKSGNPGRDDLTLARIASAFAAWTVQAIKVVEQYLPVTGTAMDDLS -PGYPRPMMHPCFAGLIDNTLPEETYRAILRAHSLFLDQFSRTINPQMRGKPKSEVAKSFEQPLQAAVNSR -FLSSESKRKILRSTGIIDSNLKPAPAVEHAAKKFLEMA - ->YP_009141015.1 nucleoprotein [Bhanja virus] -MVAYTDILKEFGEDIIDDEVVGGLEALFAYQGFDPTRMLKRMADIDKDGWKDDAKVIIVFALTRGNKMSK -AMAKMSEEGQTRLKALKAKYRMKENPESRDDITPTRVAAALPTWTVRAAKALQNSLPMGPDNIKALCSVE -APAEICCAAFASLIPTSELTEKQIEQLTHAFYLWQFYFTQLINPKVRGKGKAIVLQTFENAVKAAMNSTN -YPDKSRVAFLKKIGIFNKKNEINGNIQAMAAAWEKLE - ->YP_009047244.1 nucleoprotein [Heartland virus] -MTDWSAIAVEIGNEPLDVPALVEFAKEIAYEGLDPAVIFGLLRERGGENWRNDVKYIIVFALTRGNKIVK -ACGKMSKKGAERMTNLARVYELKENAVDRMAVTPVRVAQCLPTWTCAAAAAIKEYLPVGPAIMHNKIQGY -PLEMMCMAFGSLIPQADVSIEVIKDFMDAYSLWQDTFARTINVDQRKMTKAEVYAKFRDPLHAAVNSLFF -PNATRISWLQAKGLLTATKEASGSVKAAAAAYRNM - ->YP_009010957.1 nucleocapsid [Arumowot virus] -MDNYAKIAIDFAGDAIDASELIALAEEFSFNGFDPNAIMKLIIERGGNNWKEDAKMMIVIGITRGNKIKK -MMDRMGEEGKTKLKYLVDKYKLKEASPGARDLTLSRVAAVLAPWTVQALRVLENKLPVTGATMDSLSHNY -PRALMHSAAGGILDPGLGDACFGALLQAHSLYLDTFSRLINPPLRSKSKQEVAQSFSSALQAAYSSNFFS -PAQKRQILQTMGLIDMNLQPTKAVLDAAGIYNSM - ->YP_008719918.1 nucleoprotein [Razdan virus] -MVAYTDILKEFGEDIIDDEVVGGLEALFAYQGFDPTRMLKKMADIDKDGWKDDAKVIIVFALTRGNKMSK -AMAKMSEEGQTRLKALKSKYRMKENPEARDDITPTRVAAALPTWTVRAAKALQNSLPMGPDNIKALCGAD -APAEICCAAFASLIPTSELTEKQIEQLTHAFYLWQFYFTQLINPKVRGKGKTAVLQTFENAVKAAMNSTS -YPDKSRVAFLKKIGIFNKKNEINGNIQAMAAAWEKLE - ->YP_008003509.1 nucleoprotein [Lone Star virus] -MTSYSEILEEFGLSEIDNTQLARWEELFAYEGFDPVKMLAKMKKLAPDDWRDDVKIIIVFALTRGNKMSK -AANKMSDKGKTELMRLKARYNLVENPQSRDDITPTRVASALPTWTVRAAAALKDSLPMGPAAIKANAEKT -IPAEMCCAAFAGVIPTSGIAEEDTEAITKAFFVWQYYFTQLINPQMRGKKKEVIFSSFDAAVRAAMNSAS -YPNKSRIDFLQGLGILNQKKKAVESVRALAELWDEME - ->YP_004414705.1 nucleocapsid [Aguacate virus] -MADFERLAIEFSEAGVNIADVVNWVNEFAYQGFDAKRVLELLQQRGGSSWQEDARKMIVLALTRGNKIEK -MILKMSEEGKKTVLALKKKYQLKSGNPGRDDLTLSRVAAALAGWTCQALPHVENFLPVTGEAMDALSPGF -PRCLMHPSFAGLVDTTLPPETQDAILAAHSLFLVQFSKVINPSLRGKPKGEVVASFKQPMLAAVNGGFIG -PDKRRKMLQSLGVVDVNGVPSEAVKVAANKFRSSQ - ->YP_004382744.1 nucleocapsid [Sandfly fever Turkey virus] -MDEYQKIAVEFGEQAIDEAVIQDWLQAFAYQGFDARTIIQNLVQLGGKSWEEDAKKMIILSLTRGNKPKK -MVERMSPEGAREVKSLVAKYKIVEGRPGRNGITLSRVAAALAGWTVQAVEVVENFLPVPGSTMDRMCGQT -YPRQMMHPSFAGLIDPSLDQEDFNAVLDAHKLFLLMFSKTINVSLRGAQKRDIEESFSQPMLAAINSSFI -DNTQRRAFLTKFGILTSGARATAVVKKIAEAYRKLE - ->YP_004347994.1 nucleocapsid [Chandiru virus] -MSYEKLAVDIAGHEIDADTIKAWVQAFAYQGFDAKRVMELLVERGGDDWVEDAKQMIILCLTRGNKPSKM -MVKMSEKGKKIVQALVKRYSLKEGNPSRDDLTLSRVTAALAGYTCQATEYVEEFLPVTGKNMDDLSKNYP -RAMMHPSFAGLIDPKLPPDVLSTICDAFSLFMVQFSRTINPRNRGLSVSEVASTFDRPINAAMNSSFISG -EQRKSFLRNLGILDENMQPSNPVKAAAKVFRGLK - ->YP_003848707.1 nucleocapsid [Rift Valley fever virus] -MDNYQELAIQFAAQAVDRNEIEQWVREFAYQGFDARRVIELLKQYGGADWEKDAKKMIVLALTRGNKPRR -MMMKMSKEGKATVEALINKYKLKEGNPSRDELTLSRVAAALAGWTCQALVVLSEWLPVTGTTMDGLSPAY -PRHMMHPSFAGMVDPSLPGDYLRAILDAHSLYLLQFSRVINPNLRGRTKEEVAATFTQPMNAAVNSNFIS -HEKRREFLKAFGLVDSNGKPSAAVMAAAQAYKTAA - ->YP_089668.1 viral sRNA N protein [Sandfly fever Naples virus] -MSDENYRDIALAFLDESADSGTINAWVNEFAYQGFDPKRIVQLVKERGTAKGRDWKKDVKMMIVLNLVRG -NKPEAMMKKMSEKGASIVANLISVYQLKEGNPGRDTITLSRVSAAFVPWTVQALRVLSESLPVSGTTMDA -IAGVTYPRAMMHPSFAGIIDLDLPNGAGATIADAHGLFMIEFSKTINPSLRTKQANEVAATFEKPNMAAM -SGRFFTREDKKKLLIAVGIIDEDLVLASAVVRSAEKYRAKVGK - ->NP_941980.1 hypothetical protein UUKVsSgp1 [Uukuniemi virus] -MAMPENWVRFAIEISDAQWEEEEIREFINLFQYQGFDAAVVLSRIFELAKKADLSRDQMLRDIRALITLH -LTRGNKLSSIEKRLSEEGKKEFALLKARYQLVDKAKEAADLTLSRIAIANAGLTCRILPQVVAHTAVTRS -RMESLSADYPVCMMHNAFAGLIDETLPEDSIKALVDAHRLYLLEFSRTINVKHRGMEAKEILDANDSALQ -AGLASSFLTPSQKRAYLLSFKLVDGNGKVNKAVQQAATVLRSLI - ->sp|P12793.2|NCAP_SFSV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MDEYQKIAVEFGEQAIDETVIQDWLQAFAYQGFDARTIIHNLVQLGGKSWEEDAKKMIILSLTRGNKPKK -MVERMSPEGAREVKSLVAKYKIVEGRPGRNGITLSRVLQPWLGGQSKLWKWLKTSYQSQGAQWTALCGQT -YPRQMMHPSFAGLIDPSLDQEDFNAVLDAHKLFLFMFSKTINVSLRGAQKRDIEESFSQPMLAAINSSFI -DNTQRRAFLTKFGILTSGARATAVVKKIAEVYRKLE - ->sp|P03515.1|NCAP_PTPV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSYEEIAVQFASESIDEQTVAGWVTDFAYQGFDAKRVIALVKDRGGEDWKQDVKKMIVLSLTRGNKPNKM -ILKMSDKGKAMVNELVLKYKLKSGNPSRDDLTLSRITAAFAGWTCQAADYVQEYLPVTGRAMDAISSSYP -RAMMHPSFAGLIDQELPADVFSEITQAHCLFMIQFSKTINPSLRGLSKDEIVSSFERPMQAAISSTFLTS -ANRRAMLKTLGIINDNLKPSSSTVSAAKVFRSL - diff --git a/seq/clusters_seq/cluster_240 b/seq/clusters_seq/cluster_240 deleted file mode 100644 index b95f497..0000000 --- a/seq/clusters_seq/cluster_240 +++ /dev/null @@ -1,130 +0,0 @@ ->NP_056845.1 nef protein [Human immunodeficiency virus 2] -MGASGSKKLSKHSRGLRERLLRARGDGYGKQRDASGGEYSQFQEESGREQNSPSCEGQQYQQGEYMNSPW -RNPATERQKDLYRQQNMDDVDSDDDDLIGVPVTPRVPRREMTYKLAIDMSHFIKEKGGLQGMFYSRRRHR -ILDIYLEKEEGIIPDWQNYTHGPGVRYPMYFGWLWKLVSVELSQEAEEDEANCLVHPAQTSRHDDEHGET -LVWQFDSMLAYNYKAFTLYPEEFGHKSGLPEKEWKAKLKARGIPYSE - ->NP_054373.1 nef protein [Simian immunodeficiency virus] -MGSSNSKRQQQGLLKLWRGLRGKPGADWVLLSDPLIGQSSTVQEECGKALKKSWGKGKMTPDGRRLQEGD -TFDEWDDDEEEVGFPVQPRVPLRQMTYKLAVDFSHFLKSKGGLDGIYYSERREKILNLYALNEWGIIDDW -QAYSPGPGIRYPRVFGFCFKLVPVDLHEEARNCERHCLMHPAQMGEDPDGIDHGEVLVWKFDPKLAVEYR -PDMFKDMHEHAKR - ->sp|Q89868.3|NEF_HV2EH RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGSAGSKKQSKQQPGLRERLLRARRGPRGESSGERQERSLQYPGGSDKGLNSPSCDGQKTLGAEGGGKQD -SDEDDEDNEVGVRVRPGVPLRPMTFKLAVDMSHFLKEKGELEGIFYSERRHKILDTYLENEEGIVSGWQN -YTHGPGVRYPKFFGWLWKLVPINMIAEPEDEETHCLVHPAQTSAWDDPHEETLVWQFDSLLAYDYVAFSR -FPEEFGYQSGMPEKEWKAKLRARGIPTE - ->sp|Q76639.3|NEF_HV2UC RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGSAGSKKQSKQQRGLRERLLRTQEEPYGKLSEGQRKQSSRSPGGSDKDLNSPSCEGRNAPRAEGGGQQD -TDDSDEDNEVGVYVRPNRPLRSMTYKMAIDMSHFIKEKGGLEGIYYSERRHRILDTYLENEEGIVSGWQN -YTYGPGIRYPRTFGWLWKLVPVDIPEEERGAETSCLVHPAQISSWDDIHGETLAWRFDPLLAHDYVAFNR -YPEEFGYQSGLPEKE - ->sp|Q74127.3|NEF_HV2KR RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKCSRSLQGLRERLLRARGETCGGQWDGSAGEYLQFQEGSGRGQNLPSCEGQRYQQGDFMNTPW -RTPAAGREGTLYKQQNMDDVDADNDNLIGVPVTPRVPLRAMTYKLAVDISHFLNEKGGLDGMYYSERRHR -ILDIYMEKEEGIIPDWQNYTHGPGVRYPKFFGWLWKLVPVDVPQGEEDHCLLHPAQTSGSDDPHGETLMW -RFDPRLAYEYTAFNRYPEEFGYKSGLPEEEWKAKLKARGIPFS - ->sp|P15829.4|NEF_HV2D2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGSAGSKKRSERQQGLREKLLRVPERPYGRLSGERREQSSRSPGESDKDLNSPSCEGQNARGAEGGGQQD -ADESDEDDEVGAICKTPIVPLRPMTYKLAVDMSHFIKEQGGLEGMYYSERRHRILDTYFENEEGIVSGWQ -NYTHGPGIRYPKYFGWLWKLVPVEVPAATREEEETHCLMHPAQISSWDDIHGETLIWQFDSLLAYDYVAF -NRFPEEFGYQSGLPEEEWKARLKARGIPTD - ->sp|P27979.3|NEF_SIVVG RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGLGNSKPQHKKQLSLWHALHKTRATRYGLLADPLIGQSSTLQEECDKALKESLIRKRNGKMTPEGRKLQ -EGDKWDEWSDEEDEVGFPVRPRVPLRQMTYKLAVDFSHFLKEKGGLDGIYYSDRRNQILNLYALNEWGII -DDWNAWSEGPGIRYPRCFGFCFKLVPVDLHEEAETCERHCLVHPAQVREDPDGINHGEVLVWKFDPMLAV -QYDPNRKYLTDMHDLGKRK - ->sp|P27970.3|NEF_SIVV1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGLGSSKPQHKKQLTIWRALHATRHTRYGLLADPLIGQSSTLQEECDKGLRKSLIRKRNGNMTPEGRRLQ -DGDQWDEWSDEEDEVGFPVRPRVPLRQITYKLAVDFSHFLKEKGGLDGIYYSDRRNKILNLYALNEWGII -DDWNAWSKGPGIRYPRCFGFCFKLVPVALHEEAETCERHCLVHPAQLHEDPDGINHGEILAWKFDPMLAV -QYDPSREYFTDLYSTVGTGN - ->sp|P20868.3|NEF_HV2ST RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKRSEPSRGLRERLLQTPGEASGGHWDKLGGEYLQSQEGSGRGQKSPSCEGRRYQQGDFMNTPW -RAPAEGEKGSYKQQNMDDVDSDDDDLVGVPVTPRVPLREMTYRLARDMSHLIKEKGGLEGLYYSDRRRRV -LDIYLEKEEGIIGDWQNYTHGPGVRYPKFFGWLWKLVPVDVPQEGDDSETHCLVHPAQTSRFDDPHGETL -VWRFDPTLAFSYEAFIRYPEEFGYKSGLPEDEWKARLKARGIPFS - ->sp|P04600.3|NEF_HV2RO RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKHSRPPRGLQERLLRARAGACGGYWNESGGEYSRFQEGSDREQKSPSCEGRQYQQGDFMNTPW -KDPAAEREKNLYRQQNMDDVDSDDDDQVRVSVTPKVPLRPMTHRLAIDMSHLIKTRGGLEGMFYSERRHK -ILNIYLEKEEGIIADWQNYTHGPGVRYPMFFGWLWKLVPVDVPQEGEDTETHCLVHPAQTSKFDDPHGET -LVWEFDPLLAYSYEAFIRYPEEFGHKSGLPEEEWKARLKARGIPFS - ->sp|P05860.3|NEF_HV2NZ RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKRSKPLQGLQERLLQARGETCGGRCNESGGGYLQSHEGSGREQNSPSCEGQRYQQGDFVNTPW -RTPAAEREKELYKQQNMDDVDLDDDDQVGFPVTPRVPLRPMTFKLAVDMSHFIKEKGGLEGLFYSQRRHR -ILDLYLDKAFTLYPEEFGHNSGLPEKEWKARLKARGIPFS - ->sp|P12447.3|NEF_HV2SB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKRSRPSRGLQERLLRARGGACGGLWDESEGGYSQFHEGSGREQKLPSCEGQRYQQGDFMNTPW -RTPATEKEKESYRQQNMDDVDSDDDDLVGVSDTSRVPLRAMTYRMAVDMSDLIKDKGGLEGMYYSERRHR -ILDIYLEKEEGIIPDWQNYTHGLGVRYPMFFGWLWKLVPVTVPQEGEDTETLCLMHSAQVSRFDDPHGET -LVWKFDPMLAHEYTTFILYPEEFGHKSGMEEDDWKAKLKARGIPFS - ->sp|P18038.3|NEF_HV2G1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKHSKHSQRLRERLLRAHGGGYVQQCNASGGEYSQSQEGSGKGQKSPSCEGQQYRQGDFMNTPW -RTPAIEGQKKLYKQQNMDDIDSSDDDLVGVPVTPRVPLRAMTYKLAVDMSHFIKKRGLDGMFYSRDRHRI -LDLYLEKEEGIIPDWQNYTHGPGVRYPMCFGWLWKLVPVDVSQEAEDDETNYLTHPAQTSRHDDEHGETL -LWRFDPTLAYDYKAFILHPEEFGHKSGLPEKEWKAKLKARGIPYS - ->sp|P24103.3|NEF_HV2CA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKRSRPLQGLQERLLRARAGTCGECYNALEGESLRSQEGSDREQNSLSCEGQRYQQGDFMNTPW -RAPAAEGKKNAYRQQNMDDIDSDDDDLVGVPATPRVPLRTMTYKLAVDMSHFIKEKGGLEGLFYSERRHR -ILDIYLEKEEGIIADWQNYTSGPGVRYPMFFGWLWKLVPVDTSQEGEDTETDTETHCLLHPAQTSRHDDM -HGETLVWKFDSMLALKYEAFTRYPEEFGHKSGLPEDEWKAKLKARGIPFS - ->sp|P17753.3|NEF_HV2D1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGASGSKKRSEHSQGLRERLLRARGGGYVKQRNASGGESSQSQEGSGREQKSPSCEGQQYQQGEFMNTPW -RTPAAIGQKNSYKQQNMDDVDSDDDDLVGVPVMPRVPLREMTYKLAIDMSHFIKEKGGLEGIFYSRERHR -ILDLFLEKEEGIIPDWQNYTHGPGTRYPMYFGWLWKLVPVDISQEAEEVETNCLVHPAQTSRYDDEHGET -LVWRFDPMLAYSYKAFILHPEEFGHKSGLPEKEWKAKLKARGIPYSE - ->sp|P12482.4|NEF_SIVS4 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGGAISKKQYKRGGNLRERLLQARGETYGRLWEGLEEGYSQSLGASGKGLSSLSCEPQKYSEGQYMNTPW -RNPATERAKLGYRQQNMDDVDDEDDDLIGVSVHPRVPLRAMTYKLAIDMSHFIKEKGGLEGIYYNERRHR -ILDMYLEKEEGIIPDWQNYTSGPGIRYPMHYGWLWKLVPVDVSDEAQEDETHCLVHPAQTYQWDDPWGEV -LAWKFDPELAYSYKAFIKYPEEFGSKSGLSEEEVKRRLTARGLLKMADKKETS - ->sp|P31818.3|NEF_SIVMA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGGTISMRRSRSTGDLRQRLLRARGETYERLLGEVEDGSSQSLGELDKGLSSLSCEGQKYNQEQYMNTPW -RNPAEEREKLAYRKQNMDDIDEEDDDLVGDTVRPKVPLRTMSYKLAIDMSHFIKEKGGLEGIYYSARRHR -ILDIYLEKEEGIIPDWQDYTSGPGIRYPKTFGWLWKLVPVNVSDEAQEDEEHYLMHPAQTSQWDDPWGEV -PAWKFDPTLAYTYEAYVRYPEEFGSKSGLSEEEVRRRLTARGLLNMADKKETR - ->sp|P19501.3|NEF_SIVSP RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGGVTSKKQRRRGGNLYERLLQARGETYGRLWEGLEGEYSQSQDASGKGLSSLSCEPQKYCEGQFMNTPW -RNPATERAKLDYRQQNMDDVDSADLVGCPVSPRVPVRIMTYKLAIDMSHFIKEKGGLEGIYYSDRRHKIL -DLYLEKEEGIIPDWQNYTAGPGIRYPMFFGWLWKLVPVNVSDEAQEDETHYLMHPAQTSQWDDPWGEVLA -WKFDPKLAYNYKAFVEHPEEFGSQSGLSKEEVQRRLTARGLLKMADKKKTS - ->sp|P11262.3|NEF_SIVML RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGGAISMRRSKPAGDLRQKLLRARGETYGRLLGEVEDGSSQSLGGLGKGLSSRSCEGQKYNQGQYMNTPW -RNPAEEKEKLAYRKQNMDDIDEEDDDLVGVSVRPKVPLRAMTYKLAIDMSHFIKEKGGLEGIYYSARRHR -ILDMYLEKEEGIIPDWQDYTSGPGIRYPKTFGWLWKLVPVNVSDEAQEDERHYLMQPAQTSKWDDPWGEV -LAWKFDPTPAYTYEAYVRYPEEFGSKSGLSEEEVRRRLTARGLLNMADKKETR - ->sp|P05861.3|NEF_SIVMK RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGGAISMRRSKPAGDLRQKLLRARGETYGRLLGEVEDGSSQSLGGLGKDLSSRSCKGQKYNQGQYMNTPW -RNPAEEKEKLAYRKQNMDDIDEEDDDLVGVSVRPKVPLRAMTYKLAIDMSHFIKEKGGLEGIYYSARRHR -ILDMYLEGIIPDWQDYTSGPGIRYPKTFGWLWKLVPVNVSDEAQEDERHYLMQPAQTSKWDDPWERF - ->sp|P05862.3|NEF_SIVM1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGGAISKKRSKPPRDLRQRLLRARGENYGRLFKGVEDGSSQSLGGLDKGLSSLSCEGQKYNQGEYMNTPW -RNPAEERKKLPYRKQNIDDIDEEDDDLVGIPVEARVPLRTMSYKLAIDMSHFIKEKGGLEGIYYSARRHR -ILDIYLEKEEGIIPDWQIHSGPGIRYLKMFGWLWKLIPVNVSDEAQEDEEHYLVHPAQTSQWDDPWGEVL -AWKFDPTLAYTYEAYIRYPEEFGSKSGLSEKEVKRRLAARGLLEMADRKETS - ->sp|P05863.3|NEF_SIVVT RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGSQNSKPAHKKYSKLWQALHKTHVTRYGLLADPLIGTSSTVQEECDKALRKSLIRKQNGNMTEEERRLQ -EGDTWEEWSDDEEEVGFPVRPRVPLRQMTYKLAVDFSHFLKEKGGLDGIYYSDRRNKILNLYALNEWGII -DDWNAWSKGPGIRFPKCFGFCFKLVPVDLHEEAQTCERHCLVHPAQMGEDPDGISHGEILVWKFDPMLAI -QYDPNREYFTDMHGLVKRK - diff --git a/seq/clusters_seq/cluster_241 b/seq/clusters_seq/cluster_241 deleted file mode 100644 index 434390f..0000000 --- a/seq/clusters_seq/cluster_241 +++ /dev/null @@ -1,132 +0,0 @@ ->NP_758888.1 vif protein [Simian immunodeficiency virus SIV-mnd 2] -MEKQWIVIPTWKLDRHAVERWNSLVKYHKYKGEKHLDKWDLKAHFQCSGWWTHSQKYIPLEEDEQIIITI -LWNLTPEKGWLSTYAMTIEYKFTNYYTHIDPQTADRMIHWKYLPCFTEQAIRQALLGKRLTVCYFHWGHK -GKVGSLQYLALLSYTAYCNNGRRGPRDPRRSRRGGSKYLVGKISGENQSGGKITLPPRVPFPSLERMHRT -LA - ->NP_056839.1 vif protein [Human immunodeficiency virus 2] -MEEDRNWIVVPTWRVPGRMEKWHALVKYLKYRTKDLEEVRYVPHHKVGWAWWTCSRVIFPLQGKSHLEIQ -AYWNLTPEKGWLSSHAVRLTWYTEKFWTDVTPDCADILIHSTYFSCFTAGEVRRAIRGEKLLSCCNYPQA -HKAQVPSLQYLALVVVQQNDRPQRKGTARKQWRRDHWRGLRVAREDHRSLKQGGSEPSAPRAHFPGVAKV -LEILA - ->NP_054370.1 vif protein [Simian immunodeficiency virus] -MEREKQWIVRVVWRVSERQISRWRGIVTYKIRNKQLPWEYRHHWQVQWQFWTYSQFIIPLSKDDYIEVNI -YHNLTPERGWLSSHGVGLSYYHQKGYKTEVDPGTADRMIHLYYFNCFTDRAIQQAIRGEKYTWCTFKEGH -KGQVQSLQLLALVAYTNGIRKRSKRTFTRMAGNLGSRQGAMGRMATRHAQGSKRRSQKALWNEHANPSME -LLCRGGKET - ->sp|Q89753.1|VIF_HV2EH RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEEKNWIAVPTWRIPCRLERWHSLIKYLKYRTKDLQQVSYVPHHKVGWAWWTCSRVIFPLKEGAHLEVQ -GYWNLTPERGFLSSYAVRLTWYERSFYTDVTPDVADRLLHGSYFSSFTANEVRRAIRGEKILSHCNYPSA -HTGQVPSLQFLALRVVQEGKDGSQGESTTRKQRRRNSRRGIRMARDNIRTSQQSSSQSLAQGTYFPGLAE -VLGILA - ->sp|Q76635.1|VIF_HV2UC RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEGEKNWIVVPTWRIPGRLEKWHSLVKYLKHRTKELQQVSYVPHHKVGWAWWTCSRVIFPLKEEAYLEVQ -GYWNLTPERGFLSSYAVRLTWYKRSFYTDVTPDVADQLLHGSYFSCFTANEVRRAIRGEKILSYCNYPSA -HEGQVPSLQFLALRVIQEGKDGSQGESATRKQRRRNNRRSIRLARKNNNRAQQGSSQPLAPRTHFPGLAE -VLGILA - ->sp|P89905.1|VIF_SIVTA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEREKLWVTRLTWRVSGEHIDKWKGIVKYHMRNRLQDWTYLMHYQCGWAWYTCSRFLIPLGGEGKIVVDC -YWHLTPEQGWLSTYAVAISFENWQNTYKTEVTPDVADHMIHCHYFPCFTDRAIQQAIRGESFLWCTYKEG -HVAENHWGQVRSLQFLALTVYTDFLRNGRRKRFQGKKTRMVRNLGSQQGAVGRMIKRHGSRTQSGSTTPF -WERTPLPSMELLSGRRGKEWGTNDRKGL - ->sp|Q74121.1|VIF_HV2KR RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEGERWIVVPTWRVPGRMEKWHSLVKYLKHRTKDLEGVCYVPHHKVGWAWWTCSRVIFPLQGNSHLEIQ -AYWNLTPEKGWLSSYAVRITWYTERFWTDVTPDCADSLIHSTYFSCFTAGEVRRAIRGEKLLSCCNYPQA -HRSKVPLLQFLALVVVQQNGRPQKNSTTRKRWRSNYWRGFRLARKDGRGHKQRGSEPPASGAYFPGVAKV -LEILA - ->sp|P12505.1|VIF_SIVS4 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEEKNWIVVPTWRIPERLERWHSLIKHLKYNTKDLQMACYVPHHKVGWAWWTCSRVIFPLRDETHLEVQ -GYWNLAPEKGWLSTYAVRITWYSRNFWTDVTPDYADTLLHSTYFPCFSEGEVRKAIRGEKLLSCCKFPKA -HKNQVPSLQYLALTVVSHVRSQGEDPTWKQWRRNNRKGLRMAKQNSRRNKQGSSKSPAEGANFPGLAKVL -GILA - ->sp|P27983.1|VIF_SIVVG RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MNQEKEWVMRVTWKVPEELITKWQGIVRYWMRTRKLDWKYRMHYQITWAWYTMSRYEIPLGQHGSIHVDL -YWHLTPEKGWLSTYAEGIQYLSNRDPWYRTELDPATADSLIHTHYFTCFTERAIRKALLGQRFTFCQFPE -GHKKTGQVPSLQYLALLAHQNGLRQRSQRSKTGGTRNMGFEQGAVGRMAKRHARRYQSGSQDAFWARAPV -PSMELLSGGGRKESHSHARKGL - ->sp|P27974.1|VIF_SIVV1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MSQEKHWVMRLTWKVQEEVITKWQGIVRYWMNKRNLKWEYKMHYQITWAWYTMSRYVIPLPGSGEIHVDI -YWHLAPKQGWLSTYAVGIQYVSLVNDKYRTELDPNTADSMIHCHYFTCFTDRAIQQALRGNRFIFCQFPG -GHKLTGQVPSLQYLALLAHQNGLRKRSQRGETRRTRNLGSQQGAVGRMAQRYGRRNQQRSQTAFWPRTPI -PSMELLSGGRGETGKTHSGKGI - ->sp|P20878.1|VIF_HV2ST RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEGKRWIAVPTWRVPGRMERWHSLIKYLKYRTGDLEKVCYVPHHKVGWAWWTCSRVIFPLKGESHLEIQ -AYWNLTPEKGWLSSYSVRLTWYTEKFWTDVTPDCADSLIHSTYFSCFTAGEVRRAIRGEKLLSCCNYPQA -HKYQVPSLQFLALVVVQQNGRPQRDNTTRKQWRRNYRRGLRVARQDGRSHKQRGSEPPAPRAYFPGVAKV -LEILA - ->sp|P04595.1|VIF_HV2RO RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEDKRWIVVPTWRVPGRMEKWHSLVKYLKYKTKDLEKVCYVPHHKVGWAWWTCSRVIFPLKGNSHLEIQ -AYWNLTPEKGWLSSYSVRITWYTEKFWTDVTPDCADVLIHSTYFPCFTAGEVRRAIRGEKLLSCCNYPRA -HRAQVPSLQFLALVVVQQNDRPQRDSTTRKQRRRDYRRGLRLAKQDSRSHKQRSSESPTPRTYFPGVAEV -LEILA - ->sp|P12452.1|VIF_HV2SB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MDQGKRWIAVPTWRVPGRMEKWHSLIKYLKYRTKDLEQVRYVPHHKVGWAWWTCSRVIFPLKGNSHLEIQ -AYWNLTPEKGWLSSYSVRMTWYSEGFWTDVTPDCADTLIHSTYFSCFTAGEVRRAIRGEKSLSCCNYPQA -HKSKVPSLQFLALVVVQQNDKPQRDNTTRKQWRRNYRRGLRLARQDGRSHKQRGSEPPAQGAYFPGVAKV -LEILA - ->sp|P18043.1|VIF_HV2G1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEGKNWIVPTWRVPGRRMERWHSLVKYLKYRTRDLEEVRYVPHHKVGWAWWTCSRVIFPLKGESHLEIQ -AYWNLTPEKGWLSSHSVRITWYTERFWTDVTPDYADILIHSTYFSCFTAGEVRRAIRGEKLLSCCNYPQA -HKVQVPSLQYLALVVVQQNDRPQRKGTARKQWRRDHWRGLRVARQDYRSLKQRGSEPSAPRAHFPGVAKV -LEILA - ->sp|P24108.1|VIF_HV2CA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEGKSWIAVPTWRVPGRMEKWHSLVKYLKYKTGDLEQVCYVPHHKVGWAWWTCSRVIFPLRGDSRLEIQ -AYWNLTPEKGWLSSYAVRMTWYTEKFWTDVTPDCADTLIHSTYFSCFTAGEVRRAIRGEKLLSCCKYPRA -HRSQVPSLQFLALVVVQQNDRPQRDRTTRKQWRRDYRRGLRLARQDSRSYKQRGSESPAPGAYFPGVAKV -LEILA - ->sp|P17758.1|VIF_HV2D1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEGKNWIVVPTWRVPGRMERWHSLVKHLKYRTKDLEEVRYVPHHKVGWAWWTCSRVIFPLEGESHLEIQ -AYWNLTPEKGWLSSHSVRLTWYTEKFWTDVTPDCADSLIHSTYFSCFTAGEVRRAIRGEKLLSCCNYPQA -HKAQVPSLQYLALVVVQQNGRPQRKGAARKQWRRDHWRGLRVARQDYRSLKQGGSEPSAPRAHFPGVAKV -LGILA - ->sp|P19506.1|VIF_SIVSP RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEEKNWIVVPTWRIPGRLEKWHSLIKHLKYNTKDLQKACYVPHHKVGWAWWTCSRVIFPLKDEAHLEVQ -GYWNLTPEKGWLSTYAVRITWYSRNFWTDVTPDYADTLLHGTYFPCFSEGEVRRAIRGEKLLSCCKFPKA -HKNQVPSLQYLALTVVSHVRSQGENPTWKQWRRNNRRGLRLARQNSRRNKQGSSESFAEGTNFPGLAKVL -GILA - ->sp|P05902.1|VIF_SIVMK RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEEKRWIAVPTWRIPERLERWHSLIKYLKYKTKDLQKVCYVPHFKVGWAWWTCSRVIFPLQEGSHLEVQ -GYWHLTPERGWPSTYAVRITWYSRDLLDRCNTRLCRHFSCIALISLFTAGEVRRAIRGEQLLSCCKFPRA -HRYQVPSLQYLALKVVSDVRSQGENPTWKQWRRDNRRGLRMAKQNSRGDKQRGGKPPTKGADFPGLAKVL -GILA - ->sp|P05903.1|VIF_SIVM1 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEEKRWIVVPTWRIPERLERWHSLIKYLKYKTKDLQKACYVPHHKVGWAWWTCSRVIFPLQEGSHLEVQ -GYWNLTPERGWLSTYAVRITWYSKDFWTDVTPEYADILLHSTYFPCFTAGEVRRAIRGERLLSCCRFPRA -HKHQVPSLQYLALRVVSHVRSQGENPTWKQWRRDNRRSLRVAKQNSRGDKQRGGKPPTEGANFPGLAKVL -GILA - ->sp|P05904.1|VIF_SIVVT RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MNPNKEWVMRVTWKVPGDLITKWQGIVRYWMRQRNLKWNYYMHYQITWAWYTMSRYVIPIGKHGEICVDL -YWHLTPEQGWLSTYAVGIQYVSNLESKYRTELDPATADSIIHGHYFNCFKERAIQQALRGHRFVFCQFPE -GHKSTGQVPSLQYLALLAHQNGLRERSKRGKTRRSRNLGSKQGAVGQMAKRYVTRSQPGGEAAFWERTPV -PSMELLSGGRRKTWYSHDGKGLQIL - ->sp|P05901.1|VIF_HV2NZ RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEGKRWIVVPIWRVPGRMERWHSLVKYLKYRTKDLEKVCYVPHHKVGWAWWTCSRVIFPLKENSHLEIQ -AYWNLTPEKGWLSSHSVRITWYTEKFWTDVTPDCADTLIHSTYFSCFTAGEVRRAIRGEKLLSCCKYPRA -HRSQVPSLQFLALVVVQQNDRSQGNSATRKQRRGDYRRGLRMARQDSRGYKQRGSESPPTRAHFPGLAEV -LEILA - ->sp|P15834.1|VIF_HV2D2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MEEEKDWIVVPTWRIPGRLERWHSLIKYLKYRTGELQQVSYVPHHKVGWAWWTCSRIIFPLNKGAWLEVQ -GYWNLTPERGFLSSYAVRLTWYERNFYTDVTPDVADQLLHGSYFSCFSANEVRRAIRGEKILSYCNYPSA -HEGQVPSLQFLALRVVQEGKNGSQGESATRKQRRRNSRRSIRLARKNNNRAQQGSGQPFAPRTYFPGLAE -VLGILA - diff --git a/seq/clusters_seq/cluster_242 b/seq/clusters_seq/cluster_242 deleted file mode 100644 index a7491b6..0000000 --- a/seq/clusters_seq/cluster_242 +++ /dev/null @@ -1,104 +0,0 @@ ->NP_740519.1 2A (P2-A) [rhinovirus B14] -GLGPRYGGIYTSNVKIMNYHLMTPEDHHNLIAPYPNRDLAIVSTGGHGAETIPHCNRTSGVYYSTYYRKY -YPIICEKPTNIWIEGSPYYPSRFQAGVMKGVGPAELGDCGGILRCIHGPIGLLTAEGSGYVCFADIRQLE -CIAEEQ - ->YP_009020978.1 protease 2A [enterovirus F4] -GAFGQQSGAAYVGSYKIMNRHLAKEQDWKNHIWDSYERDLLVTRVDAHGKDQIARCSCCAGVYYSKSRNK -HYPVVVTPPSLAHIDENDYYPERYQSHVILGVGFAEPGDCGGILRCEHGVMGILTAGGSNLVAFADVRDL -LWIEDDVMEQ - ->YP_009268634.1 protease 2A [enterovirus A114] -GKFGQQSGAIYVSNYRVVNRHLATHNDWANLVWEDSTRDLLVSSTTAQGCDTIARCSCQTGVYYCNSKRK -HYPVSFSKPSLVFVEASEYYPARYQSHLMLAEGHSEPGDCGGILRCQHGVVGIVSTGGNGLVGFADVRDL -LWLDEEAMEQ - ->YP_009508989.1 2A (P2-A) [rhinovirus B3] -GPRFGGVFTSNVKIINYHLMTPDDHLNLVAPYPNRDLAVVATGAHGAETIPHCNCTSGVYYSRYYRKFYP -IICERPTNIWIEGSSYYPSRYQAGVMKGVGPAEPGDCGGILRCIHGPIGLLTAGGGGYVCFADIRQLDFI -ADEQ - ->YP_009508956.1 2A [Simian enterovirus SV4] -GPATGGVVIGQYKIINYHLATTEDHEREIWSDPRRDLMVCSSTANGNNWIARCKCRTGVYWSRTYGKCFP -AYFQGPGIEKFQASEYYPERYQTHVLLAMGPAQPGDCGGLLCCPHGVIGLLTGGGEGRVAFADIRDLLWV -EDDTMEQ - ->YP_009508934.1 2A protein [Coxsackievirus A2] -GRFGQQSGAIYVGSYRVVNRHLATHNDWANLVWEDSSRDLLVSSTTGQGCDTIARCNCQTGVYYCNSRRK -HYPVSFSKPSLVFVEASEYYPARYQSHLMLAEGHSEPGDCGGILRCQHGVVGIVSTGGNGLVGFADVRDL -LWLDEEAMEQ - ->YP_009508978.1 2A [rhinovirus A1] -GPSDLYVHVGNLIYRNLHLFNSEMHDSILISYSSDLIIYRTNTIGDDYIPNCNCTEATYYCRHKNRYYPI -KVTPHDWYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCRHGVIGIITAGGEGHVAFIDLRQFHCAE -EQ - ->YP_009508967.1 protease 2A [Dromedary camel enterovirus 19CC] -GAFGQQSGAAYVGSYKIMNRHLASHDDWHRLVWESYGRDLLVSRVDAQGCDVIARCDCTTGVYYCKSRNK -HYPVVVTPPSLAFIDESEYYPARYQSHVILGVGFAEPGDCGGLLRCQHGVMGILTAGGENLVAFADIRDL -LWIEDDAMEQ - ->YP_009508945.1 2A protein [enterovirus D68] -GPGFGGVFVGSFKIINYHLATIEERQSAIYVDWQSDVLVTPIAAHGRHQIARCKCNTGVYYCRHRDRSYP -ICFEGPGIQWIEQNEYYPARYQTNVLLAAGPAEAGDCGGLLVCPHGVIGLLTAGGGGIVAFTDIRNLLWL -DTDVMEQ - ->YP_009249467.1 2A [Enterovirus SEV-gx] -GPNLYNYGPGFGGAHIGQYKVVNHHLLDPEDDPIWDSPQRDLAVILAPSHGKDVVARCKCRCGIYWCRSK -RKYYPVCFDEPSFRYFDDNEYYPARFQSHVLLASGFAEPGDCGGILQCPHGVIGLVTGGDGQGTVAFADI -RDLLWLEDDAMEQ - ->YP_009020966.1 protease 2A [Enterovirus F] -GAFGQQSGAAYVGNYKIMNRHLAEKQDWDNLVWDSYERDLLVTRVEAHGRDQIARCQCTAGVYYCKSRMK -HYPVIVTPPVLYKIGASDYYPERYQSHVALGIGFAEPGDCGGILRCQHGVMGILTAGGNNMVAFADVRQL -LWIEDDVMEQ - ->YP_003359170.1 2A [Enterovirus J] -GAFGQQSGAVFVGNYKITNLHLASTFDRESEVWSSYERDLIVSSTTAHGCDKLARCTCNTGVYFCKSANK -HFPVCFQGPGLTFIEANEYYPARYQSHVLLAVGHAQPGDCGGILRCEHGVVGILTAGGNGLVAFADLRDL -LWIEDDAMEQ - ->YP_001718580.1 2A [Enterovirus J] -GKFGQQSGAVYVGNYRIVNRHLANEFDAQSMVWESYERDLLVSTTTAHGCDVIARCNCNTGVYFCRSKGK -HYPVCFQGPGLTWIEANEYYPARYQSHVLLAPGPAEPGDCGGILRCQHGVIGLVTAGGNGIVAFADLRDL -LWLEDDAMEQ - ->YP_001552436.1 2A [Rhinovirus C] -GPSDLFVHTATMIYRNAHLTSLNDKTVLLALSADLQVDAADQPGPDTIPQCDCLEGCYYSKSLDRYIPVK -LEAHDWYQIEETCYYPQHIQYNIMIGEGHCQPGDCGGKLLCTHGVIGIITAGGDNHVAFTDLRPYSCLDT -HQ - ->NP_740416.1 proteinase 2A [Enterovirus D] -GGAFVGSYKIINYHLATDEEKERSVYVDWQSDVLVTTVAAHGKHQIARCRCNTGVYYCKHKNRSYPVCFE -GPGIQWINESDYYPARYQTNTLLAMGPCQPGDCGGLLVCSHGVIGLVTAGGEGIVAFTDIRNLLWLEDDA -MEQ - ->NP_740477.1 Picornain 2A [Enterovirus C] -GFGHQNKAVYTAGYKICNYHLATQDDLQNAVNVMWSRDLLVTESRAQGTDSIARCNCNAGVYYCESRRKY -YPVSFVGPTFQYMEANNYYPARYQSHMLIGHGFASPGDCGGILRCHHGVIGIITAGGEGLVAFSDIRDLY -AYEEEAMEQ - ->NP_740541.1 2A [Enterovirus B] -GAFGQQSGAVYVGNYRVVNRHLATREDWQRCVWEDYNRDLLVSTTTAHGCDIIARCQCTTGVYFCASRNK -HYPVSFEGPGLVEVQESEYYPKRYQSHVLLAAGFSEPGDCGGILRCEHGVVGIVTMGGEGVVGFADVRDL -LWLEDDAMEQ - ->NP_740530.1 2A [Enterovirus A] -GKFGQQSGAIYVGNYRVVNRHLATHNDWANLVWEDSSRDLLVSSTTAQGCDTIARCDCQTGVYYCSSRRK -HYPVSFSKPSLIFVEASEYYPARYQSHLMLAVGHSEPGDCGGILRCQHGVVGIVSTGGNGLVGFADVRDL -LWLDEEAMEQ - ->NP_740395.1 P2-A polypeptide [Rhinovirus A] -VHVGNLIYRNLHLFNSDLDDSILVSYSSDLIIYRTNTEGNDVIPNCDCTECTYYCHHKDRYFPIRVTAHD -WYEIQESEYYPKHIQYNLLIGEGPCEPGDCGGKLLCKHGVIGMITAGGEGHVAFIDLRKFQCAEEQ - ->NP_740449.1 2A [Enterovirus E] -GPFGQQQGAAYVGSYKILNRHLATYADWENEVWQSYQRDLLVTRVDAHGCDTIARCNCRSGIYYCKSTAK -HYPIVVTPPSIYKIEANDYYPERMQTHILLGIGFAEPGDCGGLLRCEHGVMGILTVGGGDHVGFADVRDL -LWIEDDAMEQ - ->NP_714933.1 putative 2A [Enterovirus H] -GPATGGVVVGQYKIINYHLATTEDREKEIWSDPRRDLLVCASSVHGNNWIARCACRTGVYWSRTYGKCFP -VCFQGPGIEKFQASEYYPERYQTHVLLAMGPAQPGDCGGLLCCPHGVIGLLTGGDEGRVAFADIRDLLWV -EDDVMEQ - diff --git a/seq/clusters_seq/cluster_243 b/seq/clusters_seq/cluster_243 deleted file mode 100644 index b055e93..0000000 --- a/seq/clusters_seq/cluster_243 +++ /dev/null @@ -1,63 +0,0 @@ ->NP_740515.1 1A (VP4) [rhinovirus B14] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASTSSAGQSLSMDPSKFTEPVKDLMLKGAPALN - ->YP_009020974.1 capsid protein VP4 [enterovirus F4] -MGAQLSKNTAGSHTTGTYATGGSNIHYTNINYYENAASNSLNKQDLTQDPEKFTRPVVDMMKEAAVPLK - ->YP_009268630.1 capsid protein VP4 [enterovirus A114] -MGAQVSTQRTGSHETSNVVKDGSTLNFTNINFYRDSYAAAASKQDLSMDPSKFTQPLLDAIRETAAPLQ - ->YP_009508985.1 1A (VP4) [rhinovirus B3] -MGAQVSTQKSGSHENQNILTNGSNQTFTVINYYKDAASSSSAGQSFSMDPSKFTEPVKDLMLKGAPALN - ->YP_009508952.1 1A (VP4) [Simian enterovirus SV4] -MGAQVSRQTSGAHETRIRAEQGANIHYTNINYYRDAASNAASKMDYSQDPDKFTKPVLDAISEPLPTLK - ->YP_009508930.1 VP4 protein [Coxsackievirus A2] -MGAQVSTQRSGSHETSNVARDGSTINFTNINYYKDSYAASAAKHDFTQDPGKFTQPVLDALREAVPPLQ - ->YP_009508974.1 1A [rhinovirus A1] -MGAQVSRQNVGTHSTQNSVSNGSSLNYFNINYFKDAASSGASRLDFSQDPSKFTDPVKDVLEKGIPTLQ - ->YP_009508963.1 capsid protein VP4 [Dromedary camel enterovirus 19CC] -MGAQVSTNASGTHSTGTYATGGSTINYTNINYYEHSASTSATKQDFSQDPEKFTKPVVDVIKESSVPLK - ->YP_009508941.1 VP4 protein [enterovirus D68] -MGAQVTRQQTGTHENANIATNGSHITYNQINFYKDSYAASASKQDFSQDPSKFTEPVVEGLKAGAPVLK - ->YP_009483877.1 VP4 [Sichuan takin enterovirus] -MGAQMSKNTAGSHTTGTYAAGGSSINYTNINYYSHSASASQNKQDFSQDPSKFTQPVVDVMKEAAVPLK - ->YP_009249463.1 VP4 [Enterovirus SEV-gx] -MGASVSKSSSGEHENALIAESGSSIKFTNINYYNDSCASASSVASLQQDPSKFTQPVVDALKAGEVMMK - ->YP_009020962.1 capsid protein VP4 [Enterovirus F] -MGAQMSRNTAGSHTTSTYATGGSNIHYTNINYYENAASNSLNKQDFTQDPEKFTRPVVDVMKEAAVPLK - ->YP_003359166.1 1A (VP4) [Enterovirus J] -MGAQVSTQKSGSHETGTYATGGSTINYTNINYYKDSYAASASRQDFSQDPSKFTKPVVDALTEAAVPLK - ->YP_001718576.1 1A (VP4) [Enterovirus J] -MGAQVSRQQTGSHETSNIATNGSTLHYTNINYYNDSYAASAAKQDFTQDPGKFTQPVADVLKQTAVPLK - ->YP_001552432.1 VP4 [Rhinovirus C] -MGAQVSKQNVGSHENSVSATGGSVIKYFNINYYKDSASSGLTKQDFSQDPSKFTQPLAEALTNPALM - ->NP_740412.1 capsid protein 1A [Enterovirus D] -MGAQVSRQQTGTHENANVATGGSSITYNQINFYKDSYAASASKQDFSQDPSKFTEPVAEALKAGAPVLK - ->NP_740468.1 coat protein VP4 [Enterovirus C] -GAQVSSQKVGAHENSNRAYGGSTINYTTINYYRDSASNAASKQDFSQDPSKFTEPIKDVLIKTAPMLN - ->NP_740537.1 1A(VP4) [Enterovirus B] -MGAQVSTQKTGAHETGLNASGNSIIHYTNINYYKDAASNSANRQDFTQDPGKFTEPVKDIMIKSMPALN - ->NP_740526.1 VP4 (1A) [Enterovirus A] -MGSQVSTQRSGSHENSNSASEGSTINYTTINYYKDAYAASAGRQDMSQDPKKFTDPVMDVIHEMAPPLK - ->NP_740445.1 VP-4 [Enterovirus E] -MGAQLSRNTAGSHTTGTYATGGSTINYNNINYYSHAASAAQNKQDFTQDPSKFTQPIADVIKETAVPLK - ->NP_714929.1 putative VP4 [Enterovirus H] -MGAQVSRQTSGAHDTRIRAEQGANIHYTNINYYRDAASNAASKMDYSQDPDKFTKPVLDAITEPLPTLK - diff --git a/seq/clusters_seq/cluster_244 b/seq/clusters_seq/cluster_244 deleted file mode 100644 index 125f30f..0000000 --- a/seq/clusters_seq/cluster_244 +++ /dev/null @@ -1,142 +0,0 @@ ->YP_009512973.1 phosphoprotein [Teviot virus] -MDASPSDAEISAWIDKGLDTVEHFLSVATPPVRSLGKSSIKPGNTGELISAAEKLVSNMEGITASSPTMK -GPDPAVRPKERTKPPQDNAQNQEQNDIYEEVIPSESTALIPKSAPKKPSRNKEKVMSMMALSPPEDNSAK -EKPSVFKRGGIPPPHGPAATDKGATGGRLQSAGQQGSHESQNGATQYVTQYLNPRTEDPVGADSAQMSAL -CVREIMHYLQTLETRITNLDWKVDKLLSQQSTITQIKNDQHTIKASLATIEGLITTIKIMDPGVGPGATA -AQAKKIFKEVPVVISGPILGENQVIHADTIQLDELARPSPAKGKQAKTASPNPNAVIGYRSTLQSLVKEC -ITNPGLRQKFDVAINSVKTEQDFKQVRRDIIRSAT - ->YP_009512974.1 V protein [Teviot virus] -MDASPSDAEISAWIDKGLDTVEHFLSVATPPVRSLGKSSIKPGNTGELISAAEKLVSNMEGITASSPTMK -GPDPAVRPKERTKPPQDNAQNQEQDDIYEEVIPSESTALIPKSAPKKPSRNKEKVMSMMALSPPEDNSAK -EKPSVFKRGDSSATWPRRHRQGGHRREIAISWATGVPRVTEWCNPICHPISQSTYRGSCRCGFCPDVCSL -CEGDYALLTDIRDSDY - ->YP_009512966.1 phosphoprotein [Menangle virus] -MDNPPSDAEISAWIDKGLDTVEHFLSVATDPARSLGKSTIKPGKTQELIRSAEKLAGAVAQSGEKGDRDK -AKKEVTTAAPEPAVREKVRPIDVEPSDDIYEEVIPSENSKLIPPVTPKKPPRHKDRIMSMMPLQSDKQLT -ESMESQVFKRGGKDLRHGPSDIGPGATGGKSQLTGLVGGRESQSGATQYVTQSPSQPSEVTADVEIAPTS -APYVKEIIHYLQTLETRINNLDWKVDKILSQQSVITQIKHEQHAIKAGIATLEGLITTIKIMDPGVGDGA -TAAKSKRLFKEAPVVVSGPVIGENPIVDADTIQLDELARPSLPKTKSQKTGAASPAALSGYKMTLLALIK -ECIPNQAQRQKFEMQVGGIRNEQDFKNLRREIIRSAAQ - ->YP_009512967.1 V protein [Menangle virus] -MDNPPSDAEISAWIDKGLDTVEHFLSVATDPARSLGKSTIKPGKTQELIRSAEKLAGAVAQSGEKGDRDK -AKKEVTTAAPEPAVREKVRPIDVEPSDDIYEEVIPSENSKLIPPVTPKKPPRHKDRIMSMMPLQSDKQLT -ESMESQVFKRGKGFETWPKRHRTGGHRREIAIDWIGGRPRVTEWCNPICHPISQSTFRGNCRCGNCPDIC -SLCERDYTLLTDSGDSD - ->YP_009094494.1 V protein [Tuhoko virus 1] -MEISPSDEEINIWMDKALDTVDHFTSIPVNPQSSLGKNTIKAGNTKSIIKSAERKARAVPDHANAAPNAT -VEPATDRPYQTVQPVTKPKQPRKARALPCPSSAPPVPPRVSEPQEEPYEELGAPAQEDTPLLKQAVPQSP -SSGKLKSSQLPPLDNSNDSSNPSLSDQNIFKRGISKSAGRNEQKITRHRREFNFVWSGSRPVLIEWCNPI -CTPITPNPRRQSCRCGRCPSVCKLCEGDYHNLARYGSEDESD - ->YP_009094493.1 phosphoprotein [Tuhoko virus 1] -MEISPSDEEINIWMDKALDTVDHFTSIPVNPQSSLGKNTIKAGNTKSIIKSAERKARAVPDHANAAPNAT -VEPATDRPYQTVQPVTKPKQPRKARALPCPSSAPPVPPRVSEPQEEPYEELGAPAQEDTPLLKQAVPQSP -SSGKLKSSQLPPLDNSNDSSNPSLSDQNIFKRGAYPRVPDGMNKKLHVTGESSTLSGVGAVQSSLSGATQ -SAPPSLPIQDDNPVGAGDAQVFANSVKEITTILQGMEARMSQIEWKVDKLLAQQSTITQIRNEQVALKAQ -MATIEGLLATVKIMDPGAVSSTTANQAKKYFTESCVVVSGPPTGDLELTKAKELFIGDLGTPTPNPPPQP -QPAQSDSRELAGYKMTLNMLAKDCIPNSQQRQPFLKKIETIKSEQDFKKLKREIIRAAV - ->YP_009094462.1 W protein [Achimota virus 2] -MDTSPSDAEIAAWIDKGLDTVEHFITSGSGTPPQHPRSQREPQSQGLQPSKPPRKQPEKQQPATTPTRDP -SLKKAPSKDPGARPKQLSTVRFGPGVTVAPCGPEDSIYEEVIPGGSTCAGQKQPGKDDRKTKAKNRILSM -QVDDTVVGGVDQGMPSHFKRGEIQLQ - ->YP_009094461.1 V protein [Achimota virus 2] -MDTSPSDAEIAAWIDKGLDTVEHFITSGSGTPPQHPRSQREPQSQGLQPSKPPRKQPEKQQPATTPTRDP -SLKKAPSKDPGARPKQLSTVRFGPGVTVAPCGPEDSIYEEVIPGGSTCAGQKQPGKDDRKTKAKNRILSM -QVDDTVVGGVDQGMPSHFKRGRYSFNECTERIWNRHRREYSFDWSTGSPRVVEWCNPSCVPITNSGAREP -CRCGNCPRTCSMCEGDTEIFEGHRN - ->YP_009094460.1 phosphoprotein [Achimota virus 2] -MDTSPSDAEIAAWIDKGLDTVEHFITSGSGTPPQHPRSQREPQSQGLQPSKPPRKQPEKQQPATTPTRDP -SLKKAPSKDPGARPKQLSTVRFGPGVTVAPCGPEDSIYEEVIPGGSTCAGQKQPGKDDRKTKAKNRILSM -QVDDTVVGGVDQGMPSHFKRGGDTASMSALKGSGIAIAENTPSIGAQAALESSSGATQAVSQLQIQEQES -PVDVATVPELAPCVKEILRYLKVIETRMTQLEWKVDKIIGQNNMIQQIRNDQTALKANMATIEGILTTIK -IMDPGVPTSSNASQVKKLFKESPVVISGPCSADNPLISAGSLQLDELARPSIPTTMAKKKQVSTDSEISG -YRLTLITLISDCIQNPIQQQTFEKKAGLVKTEQEFKKLKREILRAAV - ->YP_009094454.1 W protein [Achimota virus 1] -MDTNPSDEEISAWIDKGLDTIQHFVSGPVTSQSSLGKSTIKPGNTRGLVKSAESKSMLAKLAPPSSMQPA -PPPREDQASSSGGARPKSKKSVSFQKPQASQSVISDDAIYEEVIRPDNDEFQPLLQKSPSPEQKAKDRLL -NTVIMGDHQPPSTSHSGQPFKRGGSE - ->YP_009094453.1 V protein [Achimota virus 1] -MDTNPSDEEISAWIDKGLDTIQHFVSGPVTSQSSLGKSTIKPGNTRGLVKSAESKSMLAKLAPPSSMQPA -PPPREDQASSSGGARPKSKKSVSFQKPQASQSVISDDAIYEEVIRPDNDEFQPLLQKSPSPEQKAKDRLL -NTVIMGDHQPPSTSHSGQPFKRGVRSDRSSATSSELKRRHRREFDLRWTGRRPHVSEWCNPICAPITPIP -RRFTCRCGDCPDVCPMCEGDFKVLKIAGEPF - ->YP_009094452.1 phosphoprotein [Achimota virus 1] -MDTNPSDEEISAWIDKGLDTIQHFVSGPVTSQSSLGKSTIKPGNTRGLVKSAESKSMLAKLAPPSSMQPA -PPPREDQASSSGGARPKSKKSVSFQKPQASQSVISDDAIYEEVIRPDNDEFQPLLQKSPSPEQKAKDRLL -NTVIMGDHQPPSTSHSGQPFKRGGFGVTGVQQQVQNSRDAIGESLILDGLEEDPMSVNGATPYVPQSLQS -HGDLHAAVETALMSAPCVREILRYLKLLENRFNQMDWKLDKLIGQQSTITQIRNEQMGLKANMAMIEGLI -TSIKIMDPGVGTGANAAQAKKLFKEVPVVISGPVVGENPLVTATELEIQDLGKPAIPSKGSSKKAGLTEA -DLAGYKMTLMTLIKDCIPNASMQQEFERRVNQIKNEVDFKALKREILRAAV - ->YP_009094076.1 V protein [Tuhoko virus 3] -MEPTPSDAEISAWIEKGLATAKHFAPNPVSSQSSLGKSTIKKGNTKVLVSSAEQIASSQPAASHTVKVQA -QVHPQQPTASQPCTGARPKTKRTATPAPTPSVQQAVKIEPVYEDIVSNPTHQAENAPLIATQSSAKQSLL -CTEPLPSQPSSATRCGEQSFKRGRFSSCSTGKRSNSHPGHRREFDLVWGSGKFEVREWCNPTCSPITPIP -RRYQCRCGECPRICKQCEGDYQVLESHGGQNDTN - ->YP_009094075.1 phosphoprotein [Tuhoko virus 3] -MEPTPSDAEISAWIEKGLATAKHFAPNPVSSQSSLGKSTIKKGNTKVLVSSAEQIASSQPAASHTVKVQA -QVHPQQPTASQPCTGARPKTKRTATPAPTPSVQQAVKIEPVYEDIVSNPTHQAENAPLIATQSSAKQSLL -CTEPLPSQPSSATRCGEQSFKRGGDFLPVPPGRDPTVTQDTDENLILFGAQENLRSENGATQPAPQSLQS -PEDISAVVGNALESANSVREIIRYLKVMEAKMTQIEWKVDKVLAQNSLIQQVRNEQLVLKASMATIEGLM -TTIKIMDPGVGPGATAAQAKRLFKEAPVVVSGPIVGDNDLIFEDKIEISSLGKPQKVAPQPKKRLVTSEA -DIAGYKLTLTKLLKECIPNANQHKKFEDLIASVKNESDFKAAKREIVRAAI - ->YP_009094063.1 V protein [Tuhoko virus 2] -MDPSPSDEEISAWIDKGMDTVQHFISQPVNPQSSLGKNTIKSGNTKILIKSAEKKSKATKDNVTQESAPT -PPPRDYQSEKKEVVRPKIRKTQGERPRPLPPIPQQEESIYEEVSREVVEEDQPLLQQQQAHVLKGKQKIL -STSPVNQEPDLPTGPGGQGFKRGSRSHISTTGLVHLRPRHRREFDIRWYGNKPCVREWCNPGCSPIRPTP -MRYTCTCGECPAVCSMCEGDPQILESAGEPI - ->YP_009094062.1 phosphoprotein [Tuhoko virus 2] -MDPSPSDEEISAWIDKGMDTVQHFISQPVNPQSSLGKNTIKSGNTKILIKSAEKKSKATKDNVTQESAPT -PPPRDYQSEKKEVVRPKIRKTQGERPRPLPPIPQQEESIYEEVSREVVEEDQPLLQQQQAHVLKGKQKIL -STSPVNQEPDLPTGPGGQGFKRGGLGLISQQQDSSTYDQGIDESLTSDGMATNLVLESGATLAVPLSDQL -QCDIPALVENALQSAPCVKEILRYLRVLENRFNQMESKIDKIISHQNILTQIRNEQLGLKASMAMLEGMI -TSIKIMDPGVGPGATAAQAKKLFKEVPVVISGNSVGATELTEAAELEIQDLGRPVIPQQTPKKRAVVGDS -DLASYKLTLKNLAKDCIPNAHIQAEFDKKISSIRSENDFKKIKREILRAAT - ->YP_009094029.1 phosphoprotein [Sosuga virus] -MDQPPSDAEISAWIERGLATARHFAPGPVTSQSSLGKSTIKKGNTKQLVDSAEFTAATLAPAGGLQGSMP -CSIPAGQSKAGQGARPKVKKAAQTRATPTKPDAPTIEPVYEDIVSTPGRDKAQVQLPSPKLSAKDKLLSA -PPLQQHSNIPTGPEGQNFKRGGCPQEAPPLLEETPIQDTDESSILYGEEDHSQCESGAIQPAVQSHQSPG -DITADVVSALESANSVKEIIRYLKVMENKMNQLEWKIDKVLAQNNIIQQIRNEQMVLKAGMATLEGLITT -IKIMDPGVGTGADAAQAKRAFKDVPVVVSGPVIGENDLIFESKLEVGNLGRPQKVNPTPRRRGVPTESEL -ASYKLTLAKLLKDCIPNNTAQSKFLDAIEKIKTEADFKALKREIVRAAV - ->YP_009094030.1 V protein [Sosuga virus] -MDQPPSDAEISAWIERGLATARHFAPGPVTSQSSLGKSTIKKGNTKQLVDSAEFTAATLAPAGGLQGSMP -CSIPAGQSKAGQGARPKVKKAAQTRATPTKPDAPTIEPVYEDIVSTPGRDKAQVQLPSPKLSAKDKLLSA -PPLQQHSNIPTGPEGQNFKRGLSSGSAASIRRNPNPGHRREFDLVWGGGSFTMREWCNPTCSPITPIPRR -HHCRCGQCPRVCKQCEGDYQVLEGDGEQDEPAGVEN - ->NP_665867.1 W protein [Tioman virus] -MDPSPSDAEISAWIDKGLDTVEHFLSASTQSVRSLGKSTIKPGNTEELVAAAEKVAANTAKGILSGVRGT -NPDPATRPKEKQKGSPVKMQHQEQESVYEEVIPTESAPLIPKTTPKKPPRNKEKVMSMMALSPPDESLDE -THEAVFKRGEYLHHMAEAPPDRGTQAGNRHQLGNRNPAGHRMVQPNMSPNIPIHLQGNLPVRVLSRCLLL -V - ->NP_665866.1 V protein [Tioman virus] -MDPSPSDAEISAWIDKGLDTVEHFLSASTQSVRSLGKSTIKPGNTEELVAAAEKVAANTAKGILSGVRGT -NPDPATRPKEKQKGSPVKMQHQEQESVYEEVIPTESAPLIPKTTPKKPPRNKEKVMSMMALSPPDESLDE -THEAVFKRGSTSTTWPRRHRTGGHRREIAISWATGTPRVTEWCNPICHPISQFTYRGTCRCGCCPDVCSL -CERDYALLADIRDPDCES - ->NP_665865.1 phosphoprotein [Tioman virus] -MDPSPSDAEISAWIDKGLDTVEHFLSASTQSVRSLGKSTIKPGNTEELVAAAEKVAANTAKGILSGVRGT -NPDPATRPKEKQKGSPVKMQHQEQESVYEEVIPTESAPLIPKTTPKKPPRNKEKVMSMMALSPPDESLDE -THEAVFKRGGVPPPHGRGATGQGDTGGKSPSAGQPEPRGSQNGATQYVTQYPNSPTGEPAGAGAVQMSAP -CVREIMHYLQTLETRIANLDWKVDKLLSQQTTITQIKNDQHTIKASLATIEGLITTIKIMDPGVGPGATA -SQAKRIFKEAPVVISGPILGDNPIIGAEAIQLDELARPSPAKPRQVKQSGPSSSAIVGYKSTLQSLVKEC -ISNPSMRQKFDLAISNIKSEQDFKQVRRDIIRSAT - diff --git a/seq/clusters_seq/cluster_245 b/seq/clusters_seq/cluster_245 deleted file mode 100644 index bfb9541..0000000 --- a/seq/clusters_seq/cluster_245 +++ /dev/null @@ -1,188 +0,0 @@ ->YP_009336487.1 nucleocapsid protein [Lucheng Rn rat coronavirus] -MSSNVSWADQVETVNRRQRSRSRGRSQNRTNASNPLSWFTSIIDESNGNFISLMPHSGVPTGMGTAAQQC -GYWYRAPTVYQVRRGKRVPLPPVWYFYFLGTGPHANAAYGTAMDGVFWVKTKNGQIDPKSTKALGVRDSG -TDPRRANIPNLPEGLRVNVPNASRPQSRAQSQTRSQNTSRASSVSRNGSRAPSVDRTKEDLKAVVSQLLA -EMGISKNSKQTQNQKKQKGSTPAATPHPNQDGKPVWKKKPNKEETVAQCFGPRSDNKNFGDAEFLRLGVD -DPRFKTASYYAPGAAASLFDSMVTVSDGPDGKKRVTFHTTIEVDPTKPGFEVFLAQIDAFKKPATFQQTQ -NFWENQASTQNNISEYFRGTTPGAGGSAVEIETFEMTDETN - ->YP_173242.1 nucleocapsid phosphoprotein [Human coronavirus HKU1] -MSYTPGHYAGSRSSSGNRSGILKKTSWADQSERNYQTFNRGRKTQPKFTVSTQPQGNTIPHYSWFSGITQ -FQKGRDFKFSDGQGVPIAFGVPPSEAKGYWYRHSRRSFKTADGQQKQLLPRWYFYYLGTGPYANASYGES -LEGVFWVANHQADTSTPSDVSSRDPTTQEAIPTRFPPGTILPQGYYVEGSGRSASNSRPGSRSQSRGPNN -RSLSRSNSNFRHSDSIVKPDMADEIANLVLAKLGKDSKPQQVTKQNAKEIRHKILTKPRQKRTPNKHCNV -QQCFGKRGPSQNFGNAEMLKLGTNDPQFPILAELAPTPGAFFFGSKLDLVKRDSEADSPVKDVFELHYSG -SIRFDSTLPGFETIMKVLEENLNAYVNSNQNTDSDSLSSKPQRKRGVKQLPEQFDSLNLSAGTQHISNDF -TPEDHSLLATLDDPYVEDSVA - ->YP_009113031.1 nucleocapsid protein [Betacoronavirus HKU24] -MSHTPGKAGSRSSSGNRNGGILKWADQTEPNNVNSRGRRAQPKQTANTQASGGNVVPFYSWFSGITQFQK -GQEFGFEQGQGVPLTNGVPPSEEKGYWYRHNRKSYRTADGQQRQLLPRWYFYYLGTGPYANKQYGSDIDG -VVWVASPNVDVRSPADVPDRDPTSHQAEPTRFAPGTVLPKGYYVEGSGRSAPSSRSSSRASSRASSVGGS -RSRNNSASRNNTSEVTPDMADQIASLVLAKLNKESGKPVQVTKQTAKEIKQKILNKPRQKRTPNKQCTVQ -QCFGKRGPSQNFGGGSMLKLGTSDPQFPILAELAPTAGAFFFGSKLELAKVNNMSGAADEPQKDVYELRY -SGAIRFDSTLPGFETIMKVMNENLDAYQHTEEGAQLLSPKFQRKQKKGQVEKDNVSAATPKSRVQQNVRR -ELTPQDLSILAQIDDDTQGSSEI - ->YP_005454249.1 nucleocapsid protein [Rabbit coronavirus HKU14] -MSFTPGKQPSSRASSGNRAGNGILKWADQSEQSRNIQTRGRRAQPKQTVTSQQPTGGNVVPYYSWFSGIT -QFQKGKEFEFAEGQGVPIAPGVPATEAKGYWYRHSRRSFKTADGNQRQLLPRWYFYYLGTGPHAKDQYGT -DIDGVFWVASNQADVNTPADILDRDPSSDEAIPTRFPPGTVLPQGYYIEGSGRSAPNSRSTSRASSRASS -AGSRSRANSGTRTPTSGVTPDMADQIASLVLAKLGKDATKPQQVTKQTAKEVRQKILNKPRQKRSPNKQC -TVQQCFGKRGPNQNFGGGEMLKLGTSDPQFPILAELAPTAGAFFFGSRLELAKVQNLSGNADEPQKDVYE -LRYSGAIRFDSTLSGFETIMKVLNENLNAYQQQDDGMSPKPQRQRGQRKGENDNISVAVPKSRVQQNKSR -ELTAEDISLLKKMDEPYTEDTSEI - ->NP_045302.1 nucleocapsid protein [Murine hepatitis virus] -MSFVPGQENAGGRSSSVNRAGNGILKKTTWADQTERGPNNQNRGRRNQPKQTATTQPNSGSVVPHYSWFS -GITQFQKGKEFQFAEGQGVPIANGIPASEQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPHAGAS -YGDSIEGVFWVANSQADTNTRSDIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSR -GPNNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGSEMLKLGTSDPQFPILAELAPTVGAFFFGSKLELVKKNSGGADEPTKDVYEL -QYSGAVRFDSTLPGFETIMKVLNENLNAYQKDGGADVVSPKPQRKGRRQAQEKKDEVDNVSVAKPKSSVQ -RNVSRELTPEDRSLLAQILDDGVVPDGLEDDSNV - ->YP_009755837.1 nucleocapsid protein [Rodent coronavirus] -MSLTPGKQNAGSRASSGNRSGNGILKWADQVDQPRNTQTRGRRTQPKQTATSMQQSGGNVVPYYSWFSGI -TQFQKGKEFEFADGQGVPVAPGIPATEAKGYWYRHNRRSFKTADGQQKQLLPRWYFYYLGTGPYATEQYG -TDIDGVVWVASKQADVNTPADVLDRDPSSHEAIPTRFAPGTVLPTGYYIEGSGRSAPNSRSSSRASSRAS -SVGSRSRNNSGSRTPTSGVTPDMADQIASLVLAKLGKDASKPQQVTKQTAKEVRQKILNKPRQKRSPNKQ -CTVQQCFGKRGPNQNFGGGDMLKLGTSDPQFPILAELAPTAGAFFFGSKLELAKVQNLSGSADEPQKDVY -ELRYSGAIRFDSTLSGFETIMKVLNENLNAYQDQDSGSTGLNMSPKQQRNKRNTAGTERMDNVSVASPKS -RVQQNKSRELTAEDISLLKQLDEPSADSSEI - ->YP_003029852.1 nucleocapsid protein [Rat coronavirus Parker] -MSFVPGQENAGSRSSSGNRAGNGILKKTTWADQTERGQNNGNRGRRNQPKQTATTQPNTGSVVPHYSWFS -GITQFQKGKEFQFAGGQGVPIANGIPSSEQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPHAGAS -FGDSIEGVFWVANSQADTNTSADIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSR -GPNNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGPEMLKLGTSDPQFPILAELAPTPGAFFFGSKLELVKKNSGGVDEPTKDVYEL -HYSGAVRFDSTLSGFETIMKVLNENLNAYQNQAGGVDVVSPKPQRKRGTKQTAQKEELDSISVAQPKSAV -QRNVSRELTPEDRSLLAQILDDGVVPDGLDDSNV - ->YP_209238.1 nucleocapsid protein [Murine hepatitis virus strain JHM] -MSFVPGQENAGSRSSSGNRAGNGILKKTTWADQTERGLNNQNRGRKNQPKQTATTQPNSGSVVPHYSWFS -GITQFQKGKEFQFAQGQGVPIANGIPASQQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPYAGAE -YGDDIEGVVWVASQQAETRTSADIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRPQSR -GPNNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGPEMLKLGTSDPQFPILAELAPTAGAFFFGSKLELVKKNSGGADGPTKDVYEL -QYSGAVRFDSTLPGFETIMKVLNENLNAYQNQDGGADVVSPKPQRKRGTKQKAQKDEVDNVSVAKPKSSV -QRNVSRELTPEDRSLLAQILDDGVVPDGLEDDSNV - ->YP_009555245.1 nucleocapsid protein [Human coronavirus OC43] -MSFTPGKQSSSRASSGNRSGNGILKWADQSDQFRNVQTRGRRAQPKQTATSQQPSGGNVVPYYSWFSGIT -QFQKGKEFEFVEGQGVPIAPGVPATEAKGYWYRHNRRSFKTADGNQRQLLPRWYFYYLGTGPHAKDQYGT -DIDGVYWVASNQADVNTPADIVDRDPSSDEAIPTRFPPGTVLPQGYYIEGSGRSAPNSRSTSRTSSRASS -AGSRSRANSGNRTPTSGVTPDMADQIASLVLAKLGKDATKPQQVTKHTAKEVRQKILNKPRQKRSPNKQC -TVQQCFGKRGPNQNFGGGEMLKLGTSDPQFPILAELAPTAGAFFFGSRLELAKVQNLSGNPDEPQKDVYE -LRYNGAIRFDSTLSGFETIMKVLNENLNAYQQQDGMMNMSPKPQRQRGHKNGQGENDNISVAVPKSRVQQ -NKSRELTAEDISLLKKMDEPYTEDTSEI - ->NP_150083.1 nucleocapsid protein [Bovine coronavirus] -MSFTPGKQSSSRASSGNRSGNGILKWADQSDQSRNVQTRGRRAQPKQTATSQQPSGGNVVPYYSWFSGIT -QFQKGKEFEFAEGQGVPIAPGVPATEAKGYWYRHNRRSFKTADGNQRQLLPRWYFYYLGTGPHAKDQYGT -DIDGVYWVASNQADVNTPADILDRDPSSDEAIPTRFPPGTVLPQGYYIEGSGRSAPNSRSTSRASSRASS -AGSRSRANSGNRTPTSGVTPDMADQIASLVLAKLGKDAAKPQQVTKQTAKEIRQKILNKPRQKRSPNKQC -TVQQCFGKRGPNQNFGGGEMLKLGTSDPQFPILAELAPTAGAFFFGSRLELAKVQNLSGNLDEPQKDVYE -LRYNGAIRFDSTLSGFETIMKVLNENLNAYQQQDGTMNMSPKPQRQRGQKNGQGENDNISVAAPKSRVQQ -NKIRELTAEDISLLKKMDEPFTEDTSEI - ->sp|Q0ZME3.1|NCAP_CVHN5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSYTPGHHAGSRSSSGNRSGILKKTSWVDQSERSHQTYNRGRKPQPKFTVSTQPQGNPIPHYSWFSGITQ -FQKGRDFKFPDGQGVPIAYGIPPSEAKGYWYKHNRRSFKTADGQQKQLLPRWYFYYLGTGPYASSSYGDA -HEGIFWVASHQADTSIPSDVSARDPTIQEAIPTRFSPGTILPQGYYVEGSGRSASNSRPGSRSQSRGPNN -RSLSRSNSNFRHSDSIVKPDMADEIASLVLAKLGKDSKPQQVTKQNAKEIRHKILMKPRQKRTPNKFCNV -QQCFGKRGPLQNFGNSEMLKLGTNDPQFPILAELAPTPGAFFFGSKLELFKRDSDADSPSKDTFELRYSG -SIRFDSTLPGFETIMKVLKENLDAYVNSNQNTVSGSLSPKPQRKRGVKQSPESFDSLNLSADTQHISNDF -TPEDHSLLATLDDPYVEDSVA - ->sp|Q9PY96.1|NCAP_CVM2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFVPGQENAGSRSSSGNRAGNGILKKTTWADQTERGNRGRRNHPKQTATTQPNAGSVVPHYSWFSGITQ -FQKGKEFQFAQGQGVPIASGIPASEQKGYWYRHNRRSFKTPDGQHKQLLPRWYFYYLGTGPHAGAEYGDD -IEGVVWVASQQADTKTTADVVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSRGPNN -RARSSSNQRQPASAVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILTKPRQKRTPNKQCPVQ -QCFGKRGPNQNFGGSEMLKLGTSDPQFPILAELAPTPSAFFFGSKLELVKKNSGGADEPTKDVYELQYSG -AIRFDSTLPGFETIMKVLTENLNAYQDQAGSVDLVSPKPPRRGRRQAQEKKDEVDNVSVAKPKSLVQRNV -SRELTPEDRSLLAQILDDGVVPDGLEDDSNV - ->sp|Q8JSP4.1|NCAP_CVPIA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFTPGKQSSSRASSGNRSGNGILKWADQSDQSRNVQTRGRRVQSKQTATSQQPSGGTVVPYYSWFSGIT -QFQKGKEFEFAEGQGVPIAPGVPATEAKGYWYRHNRRSFKTADGNQRQLLPRWYFYYLGTGPHAKHQYGT -DIDGVFWVASNQADINTPADIVDRDPSSDEAIPTRFPPGTVLPQGYYIEGSGRSAPNSRSTSRAPNRAPS -AGSRSRANSGNRTSTPGVTPDMADQIASLVLAKLGKDATKPQQVTKQTAKEVRQKILNKPRQKRSPNKQC -TVQQCFGKRGPNQNFGGGEMLKLGTSDPQFPILAELAPTAGAFFFGSRLELAKVQNLSGNPDEPQKDVYE -LRYNGAIRFDSTLSGFETIMKVLNQNLNAYQHQEDGMMNISPKPQRQRGQKNGQVENDNISVAAPKSRVQ -QNKSRELTAEDISLLKKMDEPYTEDTSEI - ->sp|Q9WCD0.1|NCAP_CVRNJ RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFVPGQENAGSRSSSGSRSGNGILKKTTWADQTERAGNNGNRGRRNQPKQTATTQPNSGSVVPHYSWFS -GITQFQKGKEFQFADGQGVPIANGIPATEQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPHAGAI -YGDSIEGVFWVANSQADTNTRADIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSR -GPSNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGSEMLKLGTSDPQFPILAELAPTPGAFFFGSKLELVKKNSGGADEPTKDVYEL -QYSGAIRFDSTLPGFETIMKVLNENLNAYQKEAGGVDVVSPKPQRKGRRQAQEKKDEVDNVSVAKPKSSV -QRNVSRELTPEDRSLLAQILDDGVVPDGLDDSNA - ->sp|Q9QAR8.1|NCAP_CVBLY RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFTPGKQSSSRASSGNRSGNGILKWADQSDQSRNVQTRGRRAQPKQTATSQQPSGGNVVPYYSWFSGIT -QFQKGKEFEFAEGQGVPIAPGVPATEAKGYWYRHNRRSFKTADGNQRQLLPRWYFYYLGTGPHAKDQYGT -DIDGVFWVASNQADVNTPADILDRDPSSDEAIPTRFPPGTVLPQGYYIEGSGRSAPNSRSTSRASSRASS -AGSRSRADSGNRTPTSGVTPDMADQIASLVLAKLGKDATKPQQVTKQTAKEIRQKILNKPRQKRSPNKQC -TVQQCFGKRGPNQNFGGGEMLKLGTSDPQFPILAELAPTAGAFFFGSRLELAKVQNLSGNLDEPQKDVYE -LRYNGAIRFDSTLSGFETIMKVLNENLNAYQQQDGMMNMSPKPQRQRGQKNGQGENDNISVAAPKSRVQQ -NKSRELTAEDISLLKKMDEPYTEDTSEI - ->sp|Q9DQX6.1|NCAP_CVEN9 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFTPGKQSSSRASSGNRAGNGILKWADQSDQSSNFQTRGRRAQPKQTATSQPAGGNVVPYYSWFSGITQ -FQKGKEFQFAEGQGVPIAPGIPATEAKGYWYRHNRRSFKTADGNQRQLLPRWYFYYLGTGPHAKAQYGTN -IDGVFWVANKQADVNTPADVVDRDPSSDEAIPTRFPPGTVLPQGYYIEGSGRSVPNSRSTSRASSRASSA -GSRNRSNSGTRTPTSGVTSDMADQIASLVLAKLGKDATKPQQVTKQTAKEVRQKILNKPRQKRSPNKQCT -VQQCFGKRGPNQNFGGAEMLKLGTSDPQFPILAELAPTAGAFFFGSRLELAKVQNLSGNFDEPQKDVYEL -RYNGAIRFDSTLPGFETIMKVLNENLNAYQQQDDGTNMSPKPQRQRGQQKGGENEDVSVAAPKSRVQQNK -SRELTAEDISLVKQMDDPLTEDNSEM - ->sp|Q83360.1|NCAP_CVMDV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFVPGQENAGSRSSSGNRAGNGILKKTTWADQTERGPNNQNRGRRNQPKQTATTQSNSGSVVPHYSWFS -GITQFQKGKEFQFADGQGVPIANGIPASEQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPHAGAT -YGDSIEGVFWVANSQADTNTRSDIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSR -GSNNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGSEMLKLGTSDPQFPILAELAPTPGAFFFGSKLELVKKNSGGVDEPTKDVYEL -LYSGAIRFDSTLPGFETIMKVLNENLNAYQNQAGGVDVVSPKPQRKGRRQAQEKKDEVDNVSVAKPKSSV -QRNVSRELTPEDRSLLAQILDDGVVPDGLEDDSNV - ->sp|P59713.1|NCAP_CVPV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFVPGQENAGSRSSSGNRAGNGILKKTTWADQTERGSNNQNRGRRNQPKQTATTQPNSGSVVPHYSWFS -GITQFQKGKEFKFAEGQGVPIANGIPATEQKGYWFRHNRRSFKSPDGQQKQLLPRWYFYYLGTGPYAGAE -YGDDVEGVCWVANKQADTRTSADIAERDPSSHEAIPTRFAPGTFLPQGYYVEGSGRSAPASRSGSRSQSR -GPNNRARSSSNQRQPASIVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGPEMLKLGTSDPQFPILAELAPTAGAFFFGSKLELVKKNSVGVDEPTKDVYEL -QYSGAVRFDSTLPGFETIMKVLRENLNAYQNQDGGADVVSPKPQRKRGQRQVAQKKNDEVDNVSVAKPKS -AVQRNVNRELTPEDRSLLAQILDDGVVPDGLEDDSNV - ->sp|Q02915.1|NCAP_CVRSD RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFVPGQENAGSRSSSGNRAGNGILKKTTWADQTERGQNNGNRGRRNQPKQTATTQPNTGSVVPHYSWFS -GITQFQKGKEFQFAGGQGVPIANGIPPSEQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPHAGAS -FGDSIEGVFWVANSQADTNTSADIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSR -GPNNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGPEMLKLGTSDPQFPILAELAPTPGAFFFGSKLELVKKNSGGVDEPTKDVYEL -QYSGAVRFDSTLPGFETIMKVLNENLNAYQNQAGGADVVSPKPQRKRGTKQTAQKEELDSISVAKPKSAV -QRNVSRELTPEDRSLLAQILDDGVVPDGLDDSNV - ->sp|P18446.1|NCAP_CVM1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFVPGQENAGSRSSSVNRAGNGILKKTTWADQTERGPNNQNRGRRNQPKQTATTQPNSGSVVPHYSWFS -GITQFQKGKEFQFAQGQGVPIANGIPASEQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPHAGAE -YGDDIDGVVWVASQQADTKTTADIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSR -GPNNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGSEMLKLGTSDPQFPILAELAPTPSAFFFGSKLELVKKNSGGADDPTKDVYEL -QYSGAIRFDSTLPGFETIMKVLNENLDAYQDQAGGADVVSPKPQRKRGTKQKALKGEVDNVSVAKPKSSV -QRNVSRELTPEDRSLLAQILDDGVVPDGLEDDSNV - ->sp|P18448.1|NCAP_CVMS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSFVPGQENAGSRSSSGSRSGNGILKKTTWADQTERAGNNGNRGRRNQPKQTATTQPNSGSVVPHYSWFS -GITQFQKGKEFQFVQGQGVPIANGIPASEQKGYWYRHNRRSFKTPDGQQKQLLPRWYFYYLGTGPHAGAE -YGDDIEGVVWVASQQADTKTTADIVERDPSSHEAIPTRFAPGTVLPQGFYVEGSGRSAPASRSGSRSQSR -GPNNRARSSSNQRQPASTVKPDMAEEIAALVLAKLGKDAGQPKQVTKQSAKEVRQKILNKPRQKRTPNKQ -CPVQQCFGKRGPNQNFGGSEMLKLGTSDPQFPILAELAPTAGAFFFGSKLELVKKNSGGADEPTKDVYEL -QYSGAVRFDSTLPGFETIMKVLNENLNAYQKDGGADVVSPKPQRKGRRQAQEKKDEVDNVSVAKPKSSVQ -RNVSRELTPEDRSLLAQILDDGVVPDGLEDDSNV - diff --git a/seq/clusters_seq/cluster_246 b/seq/clusters_seq/cluster_246 deleted file mode 100644 index 008c829..0000000 --- a/seq/clusters_seq/cluster_246 +++ /dev/null @@ -1,105 +0,0 @@ ->YP_009506268.1 hypothetical protein [Sugarcane bacilliform Guadeloupe A virus] -MVENTWDQKFEEFLNSSELTQAQLEYLDLASEAKVSNKDLAHNLRITTYRLSLTGKVLWASQRKNRDLLL -QIRQEQESQKRELQELQNLSKIVRSQRSDLKRAHERLDIISEELQALKKECLKRRPLNKEDVEELVVRIS -EQPKFIEKQTEALTEELTKEVQNLQRIIHTFEQKLMG - ->YP_009506262.1 hypothetical protein CaYMV_gp1 [Canna yellow mottle virus] -MSKSFWEQKLLDYKNSHTLEVANLEYLDLAGQENVKNKDLAHNLHILCYRNDLGNKVTLAAIHRCSEDVI -KELRLDNAKLQKDLRANKAAVLSQQQAIQELIGGYNNLQKEIVQLKKIPKPLSKEDVEGLVIKISEQPKF -IEKQTEALIEELSSKVNKVEALIHRLERVLLA - ->YP_009259696.1 ORF1 [Canna yellow mottle associated virus] -MSTKQFWDSKFSEFKEHSALISANLEYLDLASQAKVTVKDLSHNFQIFCYRQDLGHKVLLAATHKSCKEV -TEALQEDNEKLQKDLKANKAAVLLQQQTIQKLVESCDNLHKEITKLKKIPKPLSKEDVEELVVKISEQPK -FIERQTEALIEELSSKVNKIEALIHRLEKVLLT - ->YP_004442837.1 hypothetical protein BaSIMV_gp1 [Banana streak IM virus] -MDSYWDQEFEKYKNSHAKSISDLAYLNLETADKVSNKDLAFNLHINTYRSDLGFKVAIHSLSKNRELLVQ -NRKLLEKQELQLQEVHKLSKVIRSQRSDLKDILKRQDVLTKELQALREDYLRRKPLSKEDVEELVVRISE -QPKFIEKQTEALTEELTKEVQALKVIIHNFEQRLLG - ->YP_004442834.1 hypothetical protein BaSCAV_gp1 [Banana streak CA virus] -MVEKTWDQKFQEFLDSSELTQAQLEYLDLADSKVSNKDLAHNLRINTYRLSLTGKVLWTSERKNRELILQ -VIEEQKDQRRQLEELQNLSKIVRNQRSDLKRALEKLDVLSEELQALRKDYLKRRPLNKEDVEDLVVRISE -QPKFIEKQTEALTEELTREVQHLKGIIHDFERKLMG - ->YP_004442831.1 hypothetical protein BaSUMV_gp1 [Banana streak UM virus] -MTSEQEWEQKFAAWKDSHTGLEAEKSLITGSKIKNSDLNHNIRITCYRSDLGYKVLLTSQEKARAHRSEL -FSLLREHNLAHNKNLKEVAAKAEEALKISRAQRSEIKNLKAKLDVISDELQALRKDYLERRPLNKKDVEQ -LVLQITEQPKFIEKQTEALLEDVSKLVVSVRQEVNTVQHMLKVMRGE - ->YP_004442828.1 hypothetical protein BaSULV_gp1 [Banana streak UL virus] -MTSETEWEQKFLVWKNSHTGIEADQALIQGSKIKNHELNHNIRVTCYRSDLGYKVLLTSQEKAKAHRSEL -FSLLREHNIAHNRHLKEVEKEAKEAVKISRSQRSEIKNLKLQLDVISEELQALRRDYLERRPLNKGDVEQ -LVLQITEQPKFIEKQTEALLEDVSKLVVSVKREVNQVQQMLDLMRG - ->YP_004442825.1 hypothetical protein BaSUIV_gp1 [Banana streak UI virus] -MTSESEWEQKFLAWKNSHTGIEADQSLITSSKPSNSDLNHNIRITCYRSDLGYKVLLTSQEKAKAHRSEL -FSLTREHNLAHQRSLKKIGEKAEEALKISRAQRSEIQGLKAQLDVISEELKALRRDYLERRPLNKKDVEQ -LVLQIIEQPKFIEKQTEALLEEVSKLVISVKKEVGQVQHMLDLMRG - ->YP_004442822.1 hypothetical protein BaSUAV_gp1 [Banana streak UA virus] -MTSLAEFERQFTQWKSFWDSRKDLDYLNIDSTSKSDFAHNLRVASYRIDLGNKALFALCKQNCFHSLDIR -KDLEKQDLKLGELERLSKVVRSQRTDLKAAHEKLDVLKEELAALRKDYLKRRPLNKEDVEQLVLQITEQP -KFIEKQTEALLEDVSKLVISVKREVSQVQEMLNLMRG - ->YP_003987463.1 hypothetical protein PBCoV_gp1 [Pineapple bacilliform CO virus] -MSQRYWEQKLEEYRNSHTQEVADLAYLNLEGAEKVTHKDLAHNLRINTYRQDLANKILVGLSHKHAADII -REIHEDNRKLQNNLKACEKALKEQQAELTAVKSSVPALREELRKIQQSWSEHRPLSKDDVEKLVLRISEQ -PKFIEQQTESLIKELTSKVSRVEHLIHQLEGRILG - ->YP_003284235.1 ORF1 protein [Sugarcane bacilliform Guadeloupe D virus] -MTQSFWEKELEGWVNSNGLRQARLEFLDLANQEKVSHKDLAWNIQVFGFRSDLAGKVNLAATHVAKGQII -KELREDNIKLQNSVRACKSAINAQKAEIQKLKESQDIIQQEVLGLKEALIQRRPLSKKDVEELVLRISEQ -PKFIEQQTEALTVELSEKVDRVEKLIHKLEKTLIGE - ->YP_002117529.1 ORF1 [Cycad leaf necrosis virus] -MSKSFWEAKFDEYKNSHSLRISDLTYLDLASLEKVLVRDLGHNLQILCHRSDLGNKVCLAATHVSCEKVI -NTLVDHNQKLQKDLKSLRSVVSQQQSSLESVQKSQVELGSELKGLRAVVLQNRPLTSGDIEELVLKISQQ -PKAIEQQTENLTVELTQKVQQIEHLIHRLEKTILG - ->YP_605809.1 ORFI [Banana streak virus Acuminata Yunnan] -MESYWDLEFEKYKNSHSKAISDLSYLDLATAEKVSNKDLAFNLHINTYRSDLGFKVAIHSLSKNRELLIQ -NRKLLEEQKQQLSEINNLSKVVRLQRADLKETLKRQDVLAKELQALRKDYLERRPLSKEDVEELVVRISE -QPKFIEKQTEALTIELTKEVNTLKSIIKDFEQRLLG - ->YP_595723.1 ORF1 [Sugarcane bacilliform MO virus] -MKSEAEWETQFTAWKNSHIFENANQELILGTKISNSDLNHNLRTTCYRVDLGYKVLLTSQQKAFEHRKEL -FSEGRKHLADQSRKLQLVADKAEQSLIIQKEQRARLKKVEDGLSTLSREIHDLRVEYLKRRPLSKEDVAE -LVLTISEQPKLIEKQTELLLEQVKKLVETTRREVETVHHMVKRIS - ->YP_233105.1 ORF1 [Banana streak GF virus] -MNSDLKEFENQFLSWRNSWQNWKDLDYLNLESSSRADLAHNLRITAYRVDLGNKALFALSKQNCLHTLDL -RTKLQEQELQLQEIGKLSKIVRQQRNDLKLLLSKQDHLQEEILQLRQDYLKRRPLSKEDVEELVIKISEQ -PKFIEKQTEALTEELAKKVDKVEEPIHHLRKTILG - ->YP_233108.1 hypothetical protein BSVSAV_gp1 [Banana streak VN virus] -MDSYWDLEFEKYKNSHSKSVSDLSYLDLASADKVSNKDLAFNLHINTYRSDLGFKVAIHSLSKNRELLIQ -NRKLLEEQKQQLSEINNLSKVVRLQRADLKETLRRQDVLAKELQALRKDYLERRPLSKEDVEELVVRISE -QPKIY - ->YP_224287.1 ORF I protein [Banana streak MY virus] -MDTYWDKTFENYKNSHTKSIADLEYLNLAGIEKVSNKDLAHNLHVNTYRSDLGFKVVIASLTKERKLNLQ -NKEELEKLHKKLELFGDLDKIVRSQRTELKKANQSLEDLNRELQALRSDYLSRCPLSKKDVEELVLRISE -QPKLVEQQTEALTRELSEKVDKVEALIHRLEKVIIG - ->NP_777315.1 hypothetical protein [Kalanchoe top-spotting virus] -MTDTEVKDQLVLNLEYWRKWKNLHYIDWEQNTREAIQQNLRVVAYRTDISAKVSVVWQERHSKRLQELKD -LTRSQKVNTDLIPEILRIQQLQRSKLLDLQQNLKALGSEVEELKKHILTLRPLTKNDIDKLVATLLSQPK -EIERQTEALTIELTKEVHNLKKIILEFEGRLMG - ->NP_569148.1 small protein of unknown function [Banana streak OL virus] -MVEKTWDQKFQEFLNSSELTQAQLEYLDLATEAKVSNKDLAHNLHINTYRLSLTGKVLWTSGRKNRDLLV -QIIGEQEAQKKDLLELQNLSKIVRSQRNDLKKAHEKLDVLSDELKALRKDYLKRRPLSKEDVEELVLRIS -EQPKFIEKQTEALTEELTKEVQNLKKIIHDFERKLMG - ->NP_149411.1 hypothetical protein SCBVgp1 [Sugarcane bacilliform IM virus] -MKSEAEWETQFNTWKNSHTFENANQELILGTKISNLDLNHNIRTTCFRVDLGYKVLLTSQQKAQDHRTEL -FSAIRKHTVEHSKQLKLVAEKAEQSLIIQKEQRARLKRIEDALSTFSREIHNLRVEYLKRRPLSKEDVAE -LVLAISEQPKFIEKQTELLLEQVKKLVEAVHEEVETVHRMVKRIS - ->NP_039818.1 hypothetical protein ComYMVgp1 [Commelina yellow mottle virus] -MNVWLLKSHTPLGLLPYYSLLDPFCFMNQVDQVKQKLIDWLSSAKKLSEEVIVFTPEVKINLRDLAHNIH -IIAHRVALGFKVIYLYLVDIIFPLLKNIQKSQKESSENLQSVLKIVKEQRRSLKQIEDQLSKVQSELAKL -REDYLSRRPLSKQDVEELVVRISEQPKFIEKQTEALTEELKLKVEEVAKLIHSFKGMVLN - diff --git a/seq/clusters_seq/cluster_247 b/seq/clusters_seq/cluster_247 deleted file mode 100644 index d6cb302..0000000 --- a/seq/clusters_seq/cluster_247 +++ /dev/null @@ -1,126 +0,0 @@ ->YP_009667132.1 NIa-Pro [Paris mosaic necrosis virus] -SKSVYKGLRDYSSISTLICQLTNTSDGHNESIYGIGYGSYIITNGHLFRRNNGTLTVKTWHGEFVIQNTT -QIKIHFIEGKDAILIRMPKDFPPFAKRSFFRQPQKEEKVCMVGTNFQEKSLRATVSESSIIIPEGKGSFW -IHWITTQDGYCGLPLVSVNDGYVVGFHGLTSNDSDKNFFVPFIDDFERTYLQNVESLTWDKHWFWQPDKI -AWGSLNLVEDQPRDEFRISKLVSDLFSNTVAVQ - ->YP_009458615.1 NIa protease [Saffron latent virus] -SKSIYKGLRDYNSIATLICQLINTSDGHAETIYGIGYGPYIITNGHLFRRNNGCLTIRTWHGTFTIQNTT -QIKIHFIEGKDAILIRMPKDFPPFARRSMFRHPQREERVCMVGTNFQDKSLRSTVSESSIILPEGKGSFW -IHWITTQYGDCGLPLVSVNDGYIVGFHGLTSNSSDKNFFVPFIDDFEKKYLQSAESLSWDKHWFWQPDKI -AWGSLRLVDEQPKEEFKISKLVSDLFGSDVVIQ - ->YP_007001301.1 Nia-Pro [Blue squill virus A] -SKSVYRGLRDYNTTSTLVCKLSNESDGHIETLYGVGYGSYIITNGHLFRRNNGRLNIKTWHGEFTIANAT -QIRIHFIEGKDLILIRMPKDFPPFAKKSIFRSPRKEERVCIVGTNFQDKSMRATISESSMILPEGKGSFW -IHWVSTKNGECGLPMVSTTDGCIVGIHGLSSNESDKNFFIPFVDNFEKEFLLNAQDLSWDKHWLWQPDKI -AWGSLKLVTEQPREDFKISKLVSDLFDDEVCTQ - ->YP_006405411.1 NIa-Pro [Basella rugose mosaic virus] -GKSIVSGLRNYNPIPSIVCQLTNSSGSDHQTLFGIGYGPLIITNGHLFRNNNGTLLIRSHHGEFTIKNTT -QLNIHHVAAKDMILIKMPKDFPPFPQRIHFRGPKADEKACLVGSRFQERHISSEVSDSTIVRPTSPGGFW -KHWVSTKDGDCGLPLVSLRDGKIIGFHSLTSTKTDINYFVPFTDNFEEEVLGKLDSITWVKHWRHSSDKI -AWNGLSLKEDYPSREFSVSKIISDLNGLFMDEVSEQ - ->YP_006395359.1 NIa-Pro protein [East Asian Passiflora virus] -SKSVYKGLRDYSAVATLICQLTNASDGHKETLYGIGYGAYIITNGHLFRRNNGILTVRTWHGEFVINNTT -QLKIHFIEGKDAILIRMPKDFPPFAKRSFFRQPVKEERVCMVGTNFQEKSLRATVSESSIIVPEGVGSFW -IHWISTQDGFCGLPLVSVNDGFIVGFHGLKSNDSEKNFFIPFIDDFEKKYLRSAESLSWDKHWFWQPDKI -AWGSLNLVDEQPREEFKISKLISDLFSDSVVVQ - ->YP_004940326.1 NIa-Pro protein [Yambean mosaic virus] -SKSVYKGLRDYNGIASLVCQLTNTSDGHCETIYGIGYGSYIITNGHLFKRNNGVLNVRTWHGEFEIKNTT -QIKIHFIEGKDAILIRMPKDFPPFMKKSLFRPPVREERVCMVGTNFQEKSLRATVSESSMIMAEGVGSFW -IHWITTQDGYCGLPLVSVNDGYIVGFHGLASNCSDKNFFVPFCEDFEEKYLRNAESLSWDKHWFWQPDKI -AWGSLNLVDDQPKEEFKVSKLISDLFTNTVKIQ - ->YP_004564596.1 NIa-pro [Hardenbergia mosaic virus] -GKSVYKGLRDYNNISTLVCKLTNESDGHVESMFGVGYGSYIITNGHLFRRNNGILSVQTWHGEFTIMNTT -KICIHFVEGKDLILIRMPKDFPPFARKSIFRHPKKEERVCIVGTNFQDKSLRATISESSMALPEPKSSFW -IHWVSTKEGDCGLPLVSTTDGCIVGIHGLSSNVSDKNFFVPFIDNFEKQYLNNVDSLAWDKHWFWQPDKI -VWGSLRLESEQPKEEFKISKLMSDLFETDVTTQ - ->YP_004063678.1 NIa-Pro protein [Passion fruit woodiness virus] -SKSIYKGLRDYNGISTLVCQLTNSSDGHSESVYGIGYGPYVITNGHLFRRNNGILRIKTWHGEFTIMNST -QVRIHFIEGRDVILIRMPKDFPPFARKNLFRGPIKEEKVCMVGTNFQDKSLRATISESSIILPEGKSSFW -MHWISTKDGECGIPMVSTNDGAIVGIHGLTSNESEKNFFVPFEDQFEEKYLKNAEALTWDKHWLWQPEKI -VWGSLNLVTEQPREEFKVSKFVEDLWGAVSTQ - ->YP_002321506.1 NIa-Pro [Zantedeschia mild mosaic virus] -SKSVYKGLRDYNNIATVICRLENASDGHNEVMYGVGYGSYILTNGHLFRRNNGSLTIKTWHGEFKIANTT -QIFVHFVAGKDLILLKMPKDFPPFCKMSIFRAPIREERVCMVGTNFQEKSLRATISESSIILPEGKGSFW -VHWISTKDGDCGLPMVATSDGCITGIHGLASNQTEKNFFVPFNDTIEKDLLISADELEWNKHWLWQPDKI -AWGSLSLVANQPGSEFKVSKLITDLFSNAVQTQ - ->YP_001974443.1 NIa protease [Fritillary virus Y] -SRSVYKGLRDYSGIATLICQLTNSSDNHHETIYGIGYGPYIITNGHLFRRNNGMLVIRTWHGEFVVQNTT -QLKIHFIEGKDAILIRMPKDFPPFAKKGFFRHPRKEERVCMVGTNFQEKSLRATVSESSIVVPEGVGSFW -THWITTQDGYCGLPLVSVNDGFVVGFHGLTSNDSDKNFFVPFIDDFEAKYLANAEGLSWDKHWFWQPSRI -AWGSLNLVEEQPREEFRVSKLITDLFSDTVAVQ - ->YP_001816833.1 NIa-Pro protein [Telosma mosaic virus] -SKSVYRGLRDYSGISTLICQLTNASDGHSESIFGIGYGSYVITNGHLFKRNNGTLTIRSWHGEFVIHNTT -QIKIHFIEGKDAILIRMPKDFPPFGRRHFFRSPKKEERVCMIGTNFQEKSLRATVSESSITVPEGIGSFW -MHWITTQDGFCGLPLVSVNDGFIVGIHGLTSNDSSKNFFVPFTDNFVTEYLEKADELSWNKNWFWQPERI -AWGSLNLTDDQPREEFRVSKLISDLFGDTVKTQ - ->YP_842357.1 NIa-Pro protein [Wisteria vein mosaic virus] -SKSVYKGLRDYSSIATLICQLTNASDGHKETVYGVGYGSYIITNGHLFRRNNGVLTIRTWHGEFIIQNTT -QLKIHFVQGKDKILIRMPKDFPPFAKGNFFRQPKREERVCMVGTNFQEKSLRATVSESSIILPEGKGSFW -IHWITTQDGFCGLPMVSVNDGHIVGIHGLTSNDTEKNFFVPFTDGFEKEYLMNAENLSWDKHWFWEPNKI -SWGSLNLIDEQPKEEFKISKLVSDLFGNSVSVQ - ->YP_077275.1 protease [Watermelon mosaic virus] -SKSVYKGLRDYSGISTLICQLTNSSDGHKETMFGVGYGSFIITNGHLFRRNNGMLTVKTWHGEFVIHNTT -QLRIHFIQGKDAILIRMPKDFPPFAKRNFFRQPKREERVCMVGTNFQEKSLRATVSESSIILPEGKGSFW -IHWITTQDGFCGLPLVSVNDGYIVGIHGLTSNDSEKNFFVPFTDGFETEYLNNADNLSWDKHWFWEPSKI -AWGSLNLVEEQPKEEFKISKLVSDLFGNTVAVQ - ->NP_954626.1 NIa-Pro [Beet mosaic virus] -GKSIVKGLRNYNPISSVVCRLTNDSNGNAQTLYGVGFGPLIITNSHLFKMNNGTLFVRSHQGEFTVQNTT -QLQIYHVKDKDMILIRMPKDFPPFPMKLKFRAPHSEERACLVGSRFQQKSLSSEVSDSTLIRPTDSGSGY -WKHWVSTKEGDCGLPMVALKDGSLIGIHGLTSVRSELNYFVPFTDDFQSKYLSNIESLEWVKHWRHTPDK -VAWNGMTLRENGPASEFSVSKLIADLTHGYVDEVVEQ - ->NP_734356.1 NIa-Pro protein [Bean common mosaic necrosis virus] -SKSTYKGLRDYSSVSTLICRLVNSSDGHNETIYGIGYGSYIITNGHLFRRNNGTLTVKTWHGDFIIPNTT -QLKIHFIEGKDAILIRMPRDFPPFAQRSCFRSPKKEERVCMVGTNFQEKSLRSTVSESSIIVPEGKGSFW -VHWITTQDGDCGLPMVSVNDGYIVGIHGLTSNETSRNFFVPFIDEFKNKYLDKLEDLTWNKHWLWQPDRI -AWGSLNLVDDQPKSEFKISKLVTDLFGSEVSVQ - ->NP_734415.1 NIa-Pro protein [Peanut mottle virus] -GKSMCRGLRNYNPIATSICKLVNESDGHSETIHGIGFGPVIITNSHLFRRNNGTLQIQTHHGVFRVKNST -QLQVSHMAKKDMIIIKMPCDVPPFPSKLRFRQPEQGEKAVLVGSLFQQKSITSSVSESTMVMPVNDSGYW -RHWVSTKDGDCGLPLVSTVDGAILGLHGLTSTKSDRNYFVPFDEQFERDILANLEKLDWKRHWLHSSDLI -AWGGMSLKENHPHDCFRTSKLVTDLLGLTKDSVEYQ - ->NP_734110.1 NIa-Pro protein [Dasheen mosaic virus] -GKSVYKGLRDYNSTATIVCHISNESNGHKMTLFGIGYGSIVITNSHLFKHNNGVITINTWHGEFTIKNST -QLKIHHITGKDMVLIQMPKDFPPFIRKSQFRGPKREERVCMIGTNFQDKSMRATISESSLILPEGQGTFW -KHWISTKDGECGIPMVAVNDGRIVGFHGLASNISERNYFVPFTDDFEQTYLKRLDCLEWTQHWHFQPDKI -AWGSLRLVNDQPTDDFKISKLISDLFENPVQLQ - ->NP_734190.1 NIa-Pro protein [Zucchini yellow mosaic virus] -SKSIYKGVRDYNGISTIVCQLTNDSDGLKETMYGIGYGPIIITNGHLFRKNNGTLLVRSWHGEFTVKNTT -TLKVHFIEGKDVVLVRMPKDFPPFKSNASFRAPKREERACLVGTNFQEKSLRSTVSESSMTIPEGTGSYW -IHWISTNEGDCGLPMVSTTDGKIIGVHGLASTVSSKNYFVPFTDDFIATHLSKLDDLTWTQHWLWQPSKI -AWGTLNLVDEQPGPEFRISNLVKDLFTSGVETQ - ->NP_734202.1 NIa-Pro protein [Soybean mosaic virus] -SKSVYKGLRDYSGISTLICQLTNSSDGHKETMFGVGYGSFIITNGHLFRRNNGMLTVKTWHGEFVIHNTT -QLKIHFIQGKDVILIRMPKDFPPFGKRNLFRQPKREERVCMVGTNFQEKSLRATVSESSMILPEGKGSFW -IHWITTQDGFCGLPLVSVNDGHIVGIHGLTSNDSEKNFFVPLTDGFEKEYLENADNLSWDKHWFWEPSKI -AWGSLNLVEEQPKEEFKISKLVSDLFGNTVTVQ - ->NP_734386.1 NIa-Pro protein [Cowpea aphid-borne mosaic virus] -SKSTYKGPRDYSGISTLICKIVNASDGCTETIFGIGYGSYIITNGHLFKRNNGTLTVKTWHGEFVVSNTT -QLKIHFIEGKDAILIRMPKDFPPFAQRNCFRSPKKEERVCMIGTNFQEKSLRSTVSESSMVIPEGKGSFW -VHWISTQDGDCGLPLVSVDDGHIVGFHGLASNTTSRNFFVPFIDGFKEKYLDCAETLEWNRHWLWQPDKI -AWGSLNLINNQPKEEFKIAKLITDLFDDRGCTQ - ->NP_734120.1 NIa-Pro protein [Bean common mosaic virus] -SKSIYKGLRDYNGISSLVCQLTNISDGHCETIFGIGYGSYIITNGHLFRRNNGVLNIKTWHGEFEIKNTT -QIKIHFIEGKDAILIRMPKDFPPFAKKSLFRPPTKEERVCMVGTNFQEKSLRATVSESSMVLPEGVGSFW -IHWITTQDGYCGLPLVSVNDGFIVGFHGLTSNDSNKNFFVPFCEDFENKYLKNAESLSWDKHWFWQPDKI -AWGSLNLVSDQPKEEFKISKLISDLFGGTVETQ - diff --git a/seq/clusters_seq/cluster_248 b/seq/clusters_seq/cluster_248 deleted file mode 100644 index 97976df..0000000 --- a/seq/clusters_seq/cluster_248 +++ /dev/null @@ -1,147 +0,0 @@ ->YP_009666499.1 movement protein [Angelica bushy stunt virus] -MTEEKLLEKSEQSEELNIFESHENETGFTGNLLIEQSLLKKILKQPFNINSKDVFKQPNVMNKIFNRKNH -IYYHVSTKEFHVDSSETHGRVYLPLITREEINNALAKIDSKIRSKINMVHFGAIKILLKAEFAAGIDYPI -RMALLDNRINNRRDCVLGAAIGNLAYQNFMFTVYPKFGVSLQTKNINQILSFVHQANNENLMNSGDKVFS -ITYVVAYALTNSHHSIDYKHQEFITLDDIFSEIGSTVPKQFVNINNDNENWTIDIAKEKPRIGDLRRTIS -GTNLRIGEPSSSIIAPRTYRQQSNRFNEIDLKRLSDKVDYLTNVLESS - ->YP_009165746.1 ORF1 [Atractylodes mild mottle virus] -MSLEKSKGHNFDSDHSEEQSQITFCENDKGFCGNLLINQATLKQVNRINLGLKVDDVFKTSKLCQFFKRK -NQIYYHVSTKEVHVDIVDTKGSVYLPLITREEVNNNLRHLKSEVRSKISTIHLGAVKILIKAEFQAGIDS -PIKMALIDNRINDRKDCILGAARGNLCYQKFMFTVYPKYDISIKTKNLDQVLSFVHHFEREDLMNPGDKV -FSLTYLVGYALANSVHSVDYKHQEYIELDQVFSEVGQIEEKQFSDIKPMDTSWAIDIARGKRIMGKNLNP -EVKGTTLHVGSSTSVAKPTSEISLIDISNKIDEFGNTLKSIL - ->YP_006907830.1 cell-to-cell transport protein [Horseradish latent virus] -MDLYPTKEEDTPQNQTEKYVFSKEDSSGYSAELMVNNDLLKTISKTKLTLEKEKVFRMTNPLTSMVKSLC -QRKNHIFYCVTTKELSIDICESSGKVYLPLLTNEEINGRLTKVDPRIRQTLSIVHIGAVKILLKAQFRNG -INSPVKLALIDDRINDRKDCLLGAARGNLNYGKFMFTVYPKFGVSLRTKRLNQTLSFIHEFERNNLMNKG -DKVMTITYLVAYALTNSHHSIDYKSSSNIELEDVFQEIGNVEQSEFCIIENEDCNWAIDIAQNKAELGKT -KKLISDNQLKIESGESSNGELHRLNESVNKLREKFVEICG - ->YP_006732330.1 movement protein [Dahlia mosaic virus] -MNILERNSEDNSDNNQFIFRNEDNMGYQADIMIHHDQLDKITKKNLSLSKDEVFQHSTWNFAKSILSRKN -EIIYCISTQEMSVDISDASGTVYLPLLPKTEVNKRLSKLKPELRRKINTVHFGAIKILITAQFQNGIDTP -IKMALIDNRIKSRKDCLLGAAKGNLCYGKFMFTVYPKFGVSLDTQRFDQILSFIHDFTRSDLMEKGDKIF -SITYLTAYALTNSIHSVAYKHQQSIEIDDIFQELGNVQERPFCDITSTEEEWSINIDQKKPRIYDNPKQK -IKGNTLTIGEGSSQSQEQLLRQMSSNVDNLRIKLEKICGDE - ->YP_006607888.1 movement protein [Soybean Putnam virus] -MNTVSVDHDSGSEEQAQITFNESSKGFDASLLIKQSVLSRINKTNLSLNKNDVFKIPSLGFLKRKNEVYY -YVSTKEIHVDIKDTKGTVYLPFITKEEVNTNLQKIKSEVRSKISTVHLGAIKVLIKASFQAGIDSPIKMA -IIDNRINDRKDCILGAARGNLCYQKFMFTVYPKFEISINTKNLDQVLSFIHHFERENLMNSGDKVFSLTY -VVGYALSNSVHSIDYKHKEYIEIDQVFSDIGHVEEKQFSDISPIEDTWALDIAQNKGRLGQGPIRSIRGN -TMHIGSTSRPKDISLVDISKQIDEFGKTLKTLSET - ->YP_002519384.1 putative cell-to-cell movement protein [Rudbeckia flower distortion virus] -MSIDITCYDDVTQLVSFNEDQKYYSSDVMIDTAKARKLAKHDAITIKSGENIKTAILKSIFGRLSRENII -YLGKFVQEHPIEISQADGLTGLNLVTNHQLSERLAKISDHDRSKISYLHIGTIQIILKSTFKEMINSPID -LAIIDNRIKTSDCIIGIIQGNLAYQVIKFNVNLNYAIPLESKNITNSIGILYKFHNKELMEKGDHPFSIT -YAVGYCLTNSHHSIDYIKHDKIIVDKLFDEVSTRNMHFSFKNDKTPFRTLKRTPSSRIPTLKLTSNADYA -NTSIGALPIQPIRRSITLPNEQVQQIAEGVSNLTEIINKRL - ->YP_001931963.1 movement protein [Eupatorium vein clearing virus] -MSSSHENEADASQHISSNEEYIFSNENENGFIGTMTIHQDQLRKINQLQLSLKSDSIFSRSILDRLSRKN -EIIYCVNTQEKSIDIKDVEGTVYLPLVTKQEIDNKLSKIKSSIAVKINTLHLGAVKILIKAHFREGINSP -IKMALLDNRLIDREDSLIGAAKGNLAYGKFMFTVYPKYAINLNDRNLNRTLSFIHKFDRENFMEKGSLVF -SVTYLIGYALTNSHHSIDYRTKPKIEIDQLFLDIGKVHEHNFCNIVEDDNSWVMNVHRNKPELGQPSQNL -RIKGNNISIGNSSSGTIPTQRQLLEQVAKNVDRLGNQLQYINGE - ->YP_001931957.1 movement protein [Lamium leaf distortion virus] -MNKERSSDLSEKEENVFSFSTENSTGFSAELIISNKQLEERISKATLDLKIESVFNLQPSLVQAMRNAFR -RKNTIMYCVSTKEISIDISEAIGNVYLPLITNEEIQSKLQKMKPKERNTISTLHIGAIKILLKAQFRNGI -NTPVKMALLDNRINNREEALLGAAKGNLAYGKFMFTAYPKFGVNIFTKNLNQVLSLIHEFSYKDFMNKGD -KIMSVTYLVGYALSNSHHSIDYQSSKTIELEDVFQEIGNVNQSSFCTLENDDCEWAIDIAKNKEPLGKPK -RTLVKNNLLSVGSSSSSKNSSSELAMLVENVNHLKDAIQKITD - ->NP_861411.1 putative movement protein [Cestrum yellow leaf curling virus] -MDVLDYLDMSESSEKFHVSVLSEKDKFKIESADITLKEGDDFKRISTIRNIFDRKNVIFYGKYLSESFVK -LETASGKFELPIVNIDHIYDQISKIRNQEKRKTLSCIHISTIQIVLKSTFLKGLDYPISLAITDERINNP -KEKIIGIVHGNLATVTLKFSVHLGFAIPLTEEDLSRSISLTYKAYRNDLMNDQKQGFSITYAVSYALANS -HHSIQFANKDRIYLDEIFKQVSFTEKPRPISPIKPNGLKFLKKKPSNLEDLIGVPRNHLSLQPPPLRVAR -KDSEESSSTSVPEIENLTKQVKDISSYLKDRL - ->NP_659393.1 hypothetical protein [Mirabilis mosaic virus] -MNQEDKNLEDHTSEKDNHIIFKNEDNMGYQVNIMIQQDQLKKINKKKLSLSKEEVFTSSLWNNVKSMLNR -KNEIIYCISSQEMSVDISDVSGRVYLPLLPKKEVEQKLSKLKPELRKKISIVHFGAIKILITAQFSHGIN -SPIKMALIDNRIKDRKDCLLGTARGNLSHGKFMFTVYPKFGVSLNTQRFDEILSFIHEFERPDLMDKGDK -IFSVTYLTAYALANSIHSIEYKNNSDIEIDEVFSELGNVQERSFCEIPSYDENWSINIDRNKTRINSKPR -ETVRGNTLTFGEGTSQNQNQLLRQMSKNIDCLREKLEQISGNE - ->NP_619544.1 unnamed protein product [Figwort mosaic virus] -MCSTRKTSVMDEKVIENEEIHFQENSNGFSADLTIHQDKLKQISKTGLNLEKEHIFNMPSSLTKAFKTAF -KRKNEIFYCVSTKEMSVDIKDVSGQVYLPLITKQEIQQKLMKIDPSVRSKISMIHLGAVKILLTAQFRQG -IDTSVKMALIDDRIVNRKDSLLGAARGNLAYGKFMFTVYPKFALSLQSKNLDKTLSFIHQFERKDLMKTG -DKVFTVTYLIGYALTNSHHSIEYRKNSNIEIEEVFKDIGQIEESPFCDISPIDENWTMDIARNKKSIATG -SSSRRNFRIDESLLENKDENLLRSMSTKIDTLGKKLSLIYDNE - ->NP_612573.1 Movement protein [Carnation etched ring virus] -MNSSVEKQNSEIPEKENEEFTFQDNSQGFELEFSTNKKTLSKIQKANLSLKTNDAFNISFLKAFSRKNHI -YYHVNYKEFSVDICDTHGKNYLPLVTKSEIKKNLDKIKDEKVRSTISDIHFGAIKVLIKARFREGINSPI -KMALIDDRITDRQDSILGAAHGNLVYGKFMFTVYPKYTTSILDQRLDRTLAFIHHFERNDLMRKGDKVFS -ITYLVAYALANSHHSIDYKEKDAIEIDDVFSEIGSVKSPTFTELDPEPNSWAIDIAQGKQPIGFKPKPTV -SNNFLRFDKETSPSSSHQKSLEEISDKIDTLVVKLNNIS - ->NP_395472.1 putative cell-to-cell movement protein [Blueberry red ringspot virus] -MKPMIIMGVDVPIERSKLKQIAKEGKLTLKSGQGIKSEGIFTIYHQEKYIIFLGKFTSEQPLEISTAVGQ -EPLSLVNGKQIADRIAKMKQSDKEKIQYIHLSTIQILVKSTYASIDTPMDIIVIDNRIISKNKKEQVLGI -IKGNLKYGVIKFDVSLHFAIPLVTKNLSQSIGILYKFHRQDLMEKGDYPLSITYSVGYALSNSHPLCCYL -DQEIKHIDDLFKNTSIKLVTFEKKNENSNDIFRAPPVRMIKPREDISKPTITDVTDPLKPTTSSFIQLAP -PPNLHRKPESMQNLEKQIQELRRTVTNLNEKI - ->NP_044299.1 putative transport protein [Soybean chlorotic mottle virus] -MEIVELKDDNQEYFLDALLGKEIEKTDFSITEKENFKQNKFKELRNVFSRDNILKFGLMTGEVQIPIEQT -DGSVFLATINKEQITKRISKIEEKQRRLIRYVHISTLQVLIKSTFLKGLDTPLELTLRDNRLLNLEESKI -AVGHGNLKYGKMKFDVNLQLGLSLKDLDLDRSIILNYKFLRRNFMKEGNHAFSISYRINYALSNSHHSVE -FKQKEKIYIDELFSEVLELKHPVFSKLTKSQSLRIEPSPVFEKPLISFKENQKTEEKTVFKPPKRDFELT -ETSKLKSMISDLTQKVVNLDKKI - ->NP_043929.1 hypothetical protein [Strawberry vein banding virus] -MSEEEIRMDQPQGGHDEYIFEEEGTYAHDVAIDSTLLKEIEKKDLELTSEEVFKTPSLWKKFLKARKNIC -ISCVSSREYPIEIAQANGLTEIPFFNREEIESKKRVLKPEDRKKIDFIHIGSVKIMIKSTFRTGIDSPIS -VALLDRRMKNAKDAVFGGVKGNLSYGKLIFTYNPKISVSLRDPTINKTLTLAHFFEKEELMHEGNHPYTI -SYKIGYTLSNSHHSLEFRPKEPICIDDLFSTVGKISQAPLQEITPIENYWRMSLGNTSRRLLGERPRMIV -IEDDEEETPLDRHTERALARSQSRMLGLRPLEDLRTTSRKINTLAHQL - ->NP_056724.1 Movement protein [Cauliflower mosaic virus] -MDLYPEENTQSEQSQNSENNMQIFKSENSDGFSSDLMISNDQLKNISKTQLTLEKEKIFKMPNVLSQVMK -KAFSRKNEILYCVSTKELSVDIHDATGKVYLPLITKEEINKRLSSLKPEVRKTMSMVHLGAVKILLKAQF -RNGIDTPIKIALIDDRINSRRDCLLGAAKGNLAYGKFMFTVYPKFGISLNTQRLNQTLSLIHDFENKNLM -NKGDKVMTITYVVGYALTNSHHSIDYQSNATIELEDVFQEIGNVQQSEFCTIQNDECNWAIDIAQNKALL -GAKTKTQIGNNLQIGNSASSSNTENELARVSQNIDLLKNKLKEICGE - ->sp|P03547.1|MVP_CAMVD RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -MDLYPEENTQSEQSQNSENNMQIFKSETSDGFSSDLKISNDQLKNISKTQLTLEKEKIFKMPNVLSQVMK -KAFSRKNEILYCVSTKELSVDIHDATGKVYLPLITKEEINKRLSSLKPEVRRTMSMVHLGAVKILLKAQF -RNGIDTPIKIALIDDRINSRRDCLLGAAKGNLAYGKFMFTVYPKFGISLNTQRLNQTLSLIHDFENKNLM -NKGDKVMTITYIVGYALTNSHHSIDYQSNATIELEDVFQEIGNIQQSEFCTIQNDECNWAIDIAQNKALL -GAKTKTQIGNSLQIGNIASSSSTENELARVSQNIDLLKNKLKEICGE - ->sp|P03546.1|MVP_CAMVC RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -MDLYPEENTQSEQSQNSENNMQIFKSENSDGFSSDLMISNDQLKNISKTQLTLEKEKIFKMPNVLSQVMK -KAFSRKNEILYCVSTKELSVDIHDATGKVYLPLITREEINKRLSSLKPEVRKIMSMVHLGAVKILLKAQF -RNGIDTPIKIALIDDRINSRRDCLLGAAKGNLAYGKFMFTVYPKFGISLNTQRLNQTLSLIHDFENKNLM -NKGDKVMTITYIVGYALTNSHHSIDYQSNATIELEDVFQEIGNVQQSDFCTIQNDECNWAIDIAQNKALL -GAKTQSQIGNSLQIGNSASSSNTENELARVSQNIDLLKNKLKEICGE - ->sp|Q00966.1|MVP_CAMVN RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -MDLYPEEKTQSKQSHNSENNMQIFKSENSDGFSSDLMISNDQLKNISKTQLTLEKEKIFKMPNVLSQVMK -KAFSRKNEILYCVSTKELSVDIHDATGKVYLPLITKEEINKRLSSLKPEVRKTMSMVHLGAVKILLKAQF -RNGIDTPIKIALIDDRINSRRDCLLGAAKGNLAYGKFMFTVYPKFGISLNTQRLNQTLSLIHDFENKNLM -NKGDKVMTITYIVGYALTNSHHSIDYQSNATIELEDVFQEIGNVQQCDFCTIQNDECNWAIDIAQNKALL -GAKTQSQIGNSLQIGNSASSSNTENELARVSQNIDLLKNKLKEICGE - ->sp|Q02968.2|MVP_CAMVE RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -MDLYPEENTQSEQSQNSENNMQIFKSENSDGFSSDLMISNDQLKNISKTQLTLEKEKIFKMPNVLSQVMK -RAFSRKNEILYCVSTKELSVDIHDATGKVYLPLITREEINKRLSSLKPEVRKTMSMVHLGAVKILLKAQF -RNGIDTPIKIALIDDRINSRRDCLLGAAKGNLAYGKFMFTVYPKFGISLNTQRLNQTLSLIHDFENKNLM -NKGDKVMTITYMVGYALTNSHHSIDYQSNATIELEDVFQEIGNVHESDFCTIQNDECNWAIDIAQNKALL -GAKTKSQIGNNLQIGNSASSSNTENELARVSQNIDLLKNKLKEICGE - ->sp|Q01089.1|MVP_CAMVW RecName: Full=Movement protein; Short=Mov; AltName: Full=Cell-to-cell transport protein -MDLYPEENTQSEQSHNSENNMQIFKSENSDGFSSDLMISNDQLKNISKTQLTLEKEKIFKMPNVLSQVMK -KAFSRKNEILYCVSTKELSVDIHDATGKVYLPLITKEEINKRLSSLKPEVRRTMSMVHLGAVKILLKAQF -RNGIDTPIKIALIDDRINSRKDCLLGAAKGNLAYGKFMFTVYPKFGISLNTQRLNQTLSLIHDFENKNLM -NKGDKVMTITYIVGYALTNSHHSIDYQSNATIELEDVFQEIGNVQQSEFCTIQNDECNWAIDIAQNKALL -GAKTKSQIGNSLQIGNSASSSNTENELARVSQNIDLLKNKLKEICGE - diff --git a/seq/clusters_seq/cluster_249 b/seq/clusters_seq/cluster_249 deleted file mode 100644 index 25b5f0d..0000000 --- a/seq/clusters_seq/cluster_249 +++ /dev/null @@ -1,216 +0,0 @@ ->YP_010084460.1 glycoprotein [Lepeophtheirus salmonis rhabdovirus 127] -MVQLVLQSFWTALILNLSIPPSSGDIQDNTIEWRHPVFSVPDKGVEVNLMIHGPIKYPWKTCSRESFVCP -PTVHDGIEEGLIKEILDLEVPDNLDKAVIDGYLCHKIRRRTICETSFFGHQTITYHRYRLVITKDNCREV -MREYEEGSYEPSGQPDPECAWMSTDVSDVDIMEITPHPVFFNPFDSSMEDAVIKGFCKGEFCQTHREGTL -WIRKSSSKPALDYKKVSCNFFRGEEITDHLKWLIKCPRFPYFKVNKRTCRTILDGKSALGNPDGLIIITD -LGKKGPLPTCSKKVKFGLLKSSGQIQSGLEEIKDDFLYERCIDSLSRIVHQSSVNFRDLGFFYPRSPGLH -PLYMLKDKKIWCNRALFEEKKVTLDDKSLEKTFVHYSWMRWKNTSLYQSANGVTWNKETNSSDSGTKYHI -PHLMEMSASLAITHTRGHSVAYPRTFHIRQNMDDPLTDVDTHVQKRHPLGENFAKWWDNLGEVVKGVIST -ITTLIVILVSLFIVWVLVFCLKKIGGGCRENVNELSKPNNEFGMDSWGSQLP - ->YP_009666837.1 glycoprotein [Vaprio virus] -MCSLRSKIAAISVLIGLHAVLGEPRNFTGGRSIVLEGTRKPNYDEHYHSPPLILPLQNDRDWKPANLSSI -RCPDPAHLGPDEHRTMETWIVARPRETIKTQISGVLCHKARWVTRCEYTWYFSKTVSRKIEPLPPKESDC -KEAIKKKEEGLLESKGFPPAACYWASTNDEAYEQVEITDHPATYDPYVDGVLDSIFVGGRCKSKICETVH -DSTLWIESTRATRPAACTMHEEEQLELISGIKEAGGSKTWMQYSVFVVGTNYPFMDAKGSCKLKYCGKNG -LLLSNGLWFHILHTVRTEGEAVAGFWDSLPDCTPNREVGVLGEEYEIEVLEATIEDIMWDLDCFRTVEDL -QHHKRVSLLDLFRLSRLTPGIGPAYTIKGKTLFTKDVEYVKANKIKDADSTPKCAAHFLKTNAGQRCVEY -TEYDKNGPRKGHVMNGIMIVDGKVKFPHDRFHLRNWHPDFILKHEISMVHHPVIGNISSKIHDSIDENLV -KEKDANAGDMIGGWVKIASSKISWFFKEIEKFVLAFVILIIFLLLISVLFKIRKRNKTDSKNEKRATERL -KKIEESVF - ->YP_009362198.1 glycoprotein [Keuraliba virus] -MECIMFWTILALSISFGFSYPIEDQMTIPLKPGIHRTLDGDLDYNDDEHYHSPPLVLPVPNNRSWKPVNL -STLKCPESSHLGPDTHRTLEKWLIFRPKSSILTKIEGVLCHKSRWLTRCQYTWYFSKTISRKIEPIPPTF -QECQEAIKLKEEGILENLGFPPPNCYWARTNDEENILIEISEHPMTYDPYLDGVIDSILVGGKCSQKECE -TVHDSTIWIETQRDTRPSQCDMGTEEQLELVSGLKQIDGNKQKYQHSVFVVGTNYPFMDAKGACKLRFCG -KSGMLLSNGLWFNIAHTILPKPEANSNFWSALPDCSSDKQVGVLGEEYEIEKLQATMEDIMWDLDCFRTV -DSLAHHKKVSMLDLFRLAKLTPGPGPAYKLIEGTLMMKEVQYVKARRDTKEQANPLCAAYITESTSNQER -CIDYSNYDQNGTYKGQVMNGILVTDGVLIFPHERFHLRQWDPEFIIKHDLQQVHHPVIGNFSKKLHDSIH -NSLIKDHSANLGDVMGNWVKVAASKVSGFFKEIEKFLIGGLLLVVILLMVGLLCKCKCRRKPKAKNLKAN -SSGDEMSPNESIF - ->YP_009362193.1 glycoprotein [Nkolbisson virus] -MQLSLLMVMASLAQSVTGRAAGRELHDVIGFVPDTDTLQWKPANLDTLRCPEAADPGPDDGAVVEKWVIS -RPRVNTFLGIKGYLCHYAKWVTRCEYTWYLSKTISRSIQPLDVTESGCQDAFKEYDAGRLVPGSFPPEAC -YWASTNDETVHAYTITPHEVIYDPYSNAKLDHIFLHGSCNKDFCETSHDSTMWIAKRKGEGSVCQFVGRE -DVEIIEGAKFSLRKFQTKVWLRGPSLHNIPLDKLCKIDFCGKTGYRNQQGIWFSIDRVSWSKNHSLPIRQ -KAVGCKPGENVAVVDTNLPDSDLEATIEEMMWDMNCLNALETIQHHKKVSLHDLYQIAPQKSGPGLAYRL -NDGHLEVAQAHYWSVQHPKRGKLSRTCLGARQADETSSCVKWNKWTHVANNTYHAVNGITEVDGQILFPK -RRVLHRKYDPEYATRQSLRFIKHPVIDKFEDKATENIEHKDIISKDVNAGDLVGNWVVVAEGKLSEWFGG -LGKSIISVVSLIVGLLVLYVIVKLCLYFRPKAKEKIGDMEMKLKKRSESFG - ->YP_009362158.1 glycoprotein [Barur virus] -MDFWMLICVLAAVAQARHDYSYEGKTKDIIGLIPNDKKLHWKTTKLDAIRCPEMGLVSNKGEQVVERWII -ERPRTTGELKNKGKLCHLAKWVTKCEYTWYFSKTVSRTIQNLEAHEADCKQAIQDYNKGILTPDSFPPEA -CYWASTNEESVTAFTITPHEVTYDPYEDRYLDPLFVHGSCHTDFCETVYESTVWLTDSPGSQSSCKLVGD -EPVEILESYRRNKAGDYKFGFWMRGSHIHHMPVSHLCKKEYCGRLGYVNQQGVWFHVTSVKWSYNETISF -RHLIDNCPQSSDLVVLDEEFNDDDLVATMEEMMWDINCLNAVENIQKHRRASLHDLYQISQRHPGPGVAY -RLKNGHLESAQASFVALYAPEDHVQTRECLGTILDSKHDRCHSWEDWTHIANSTYHAVNGITEVDGKIVF -PEFRVLKRRWDLEYSLKHDLKQINHPVIHDITGKVHENIVHKEIKSHSVNAGDLIGNWVTVAESKIGEFF -KGFSHSFVTISVFLITVLAIWIIVRCCQMCQRKKPTKINSAKDDIPMVTTSFG - ->YP_009362114.1 glycoprotein [Marco virus] -MLKLKQLLTLVIMICGFGISRLLNNLIHRSPNVSYSHPPKKLSAMSLLLVFIILNLNLNSVSPASHEVLF -PTMCESQWKDLSIRDINCEESSILESSDFAYANPIVSKIPRSPNFPKANGYLCSGQIWSIKCEETWYWST -SIVRTIDTQIISSVECLAAIKSYKEGTLIEPFFDEPNCAWNSINALERKHIIVHEHPVNIDPYEDLYLDP -LFLGIGCQKSPCDTIHKDLIWITDEPNNLPCTTDMWMTDIYKVSQDKLLVKSEAMGIRSLKGSCIMKLCN -HPGIRFSSGEWWGTYYNHPQQADKIPNCNPNEKISLSHRSTITEKLIVESDLSEYSCYQSLSKIYNKELI -SLLDFYYIVNKSSGEKMAKRLIQHKHDRRYKIQGRLCHYRFITPQSNPNIQKNPNGLLQIGIDHNSFPVK -INVSSYFDVNDPTLNWTVVSMDGITYSPAGLKFPYHENILDLLETILDKPDNLALLNRPEKTIPRLEGGT -NNLLKSSTHSNSTNLMTSTLNELSSLGSLISGWFDMTWVRTLIGLVIAFIIIIICFRVFKCFIPNFGHKT -HTAISEHASRDNLFP - ->YP_009508526.1 glycoprotein [Kanyawara virus] -MKKTTRYYPTTNMHANLVHFTLLVASVQCVTKLSQYRNLLLPINVHSDWKPVEIHNLTCPKPRLDWTVDF -HQLATEQVYRLKDLSLGEVKGYLCHKAQWITRCEYRWYLSQVVSRRVKEETPTQAECEEAIHHFNEGREM -SGSFPPEACFWNAVNDESETKIILTVHDLTYDPYTDMGLDSNLVGGTCKSRFCKTTHSSVMWIKDPKGQT -EPCVHMIAEKLTVLSSKQGETGKRWVKTTATPAMSLEKACKLRYCGVEGILLSSGLWFGLPDSSKEHHDN -LGIRDNCPTNSEVGVLQPDYFDNSMEFKLEDLQREILCLQLLDKIKLQRLISMFDLQYLRPHNSGFGHVY -QVINQTLMSTHAHYAVTTYPGSNSLTRNCLGQYKDSSMNTVCVNWSSWLPMKNGVYQGFNGIIEKDGVIL -FPEDQLLESSWNPDMFDYIPLDKIHHPFYFNMSDIIHDDIDERLIHDNSTNPGDAISDWVTVANNKVVHF -FKQLGESIVIIILIILGANLTYKCVKLCIRWRFGLKSKKTQFLEEGHAMNMARETVFG - ->YP_009505525.1 glycoprotein [Durham virus] -MWIILLHVSFVASQVIIAPLREPQEWRVATKSDFKCTPGMLDDIEGPIDFWRVSTIKADANLHIEGYACQ -AEIWISKCEETWYFSKTITHSVQFPEGTQHSCQDELRKQKAEGAPVFRFPDVECAYASTTTATSYFLRLT -PMNVELNPYASTLLHPSFRDGKCTDLEVCPMLHHHGLWIPKEPIAKTEEQAVFEKVTIKYKLSYRHSKAY -GYISGPAVPFSDLRDACKIKFAGTAGMRLGSGMWISLGGDHEQGGLGWSSKHTTNIFNDLQIDDCAPGTK -VSVPHAGHIGDLLEIKMNHMAMQFLCLDALRNVFRENSTSRLDLAKLSPSDAGEHPVYQLSERGIEVGIT -MYGLINWAPDARENRLGYHYGTSKAEGKNPITWKRWTRTQDGKLNGPNGVYQSNNTIVHPNLALLGNLIM -EDLAADFDLDPIKEPEVTHYDANWETESIGRGEDTRYMTHRNRFFFWGPWNSLKNILLASIITLIALITS -TLLLCCVCKKRQHRSV - ->YP_009362257.1 glycoprotein [Oita virus] -MDIVRFFFFVGATANAKVEDTTRAPLHEPHELGMMLSDIPTVILPVSVGEYWREASLKELRCPLSNLDEG -PDGRTVAAFNMTRYDHFNPALANGYLCHKTKWITRCEYTWYFSKTVTRRIVPVLPSHHECHEERQREISG -IPHEGSYPPEECYWNSVNEEVEETILLTEHSAGYDPYTEEFVDEIFLKGRCHEEDCLTDHSTVRWIHSEM -KGNKPKCGEKLVEEAELISLNPNRDDQDFSKLYIYSHHIPKSPLKNSCLLNFCGEEGIQLENGFWFRTPD -WKKGKGISIPYCSEGTTAKALGDGFTRAYIRFELDELRDDMACLDALESIQVTGAISYRKLHYFHPRKSG -IHPIYRMRNGTLESNTAKYVTAYAIGNEKGCLGMVKNQSDMICIQWNDWIEVEKDLLQGFNGLMRDKGRL -MLPWKYASDERWNPEIHKVQFLTQAQHPFYSHISHMIQDDIIDEIRKDKSKNVGDSVVKAAESLWERFSN -FFHKVKEVAFGAGILITTLVLVLLIKKCITLRRVKSTKRKKKAGRPMVEELEMTESDASFG - ->YP_009362242.1 glycoprotein [Mount Elgon bat virus] -MKFLIVSILYILKISTNASKERKLILPLDHHLDWKPAHFDKLTCPKPELDWSEEYKPINVISVLRPPNHK -IGGVSGYLCHKVEWITRCDYKWYLSKTVSRRIKEETPTEAECRDAVILHKNGRETSGSFPPEECYWNSVN -DESDIKVSVTAHDIGYDPYLNVGVDSLLVGGYCNNSICLTTHSSVIWIRDQVSKPCEGMRLETMTVYTSL -YGYTNDKWVGTPSMKMSSLNDACRLSFCGVQGTLLTNGLWFHHNVREGPGSQIQITRNCPPNSEVGVVQP -DFEIKLMDYKLADLQREMFCLQTLDRIRLQQVVTLNDLQYLRPHRAGAGPVFRIRNNTLETTHGTYIEAS -MVGTTQVNRNCLATYLGEDKKPKCIGWKSWHKMNNHKYQGLNGVLEINGLIKFPEDQLLDAEWEADMFEY -FKLDKIHHPFLSNISSIIHDDTDESLIHDKTVNPGDAINNWVEVAENRVSTFFKTTGGEIIKIVYIITLI -GIIYLLYKIITMCCKMKRTGKKNRRLTSDLIDLDLKPMNSSVFG - ->YP_009362002.1 glycoprotein [Fukuoka virus] -MDFWMIIYVLVVSASAQQDYSYGGKTKDIIGLLPQDKKLHWKTTKLDSIKCPEMGLISNKEEHVVEKWLI -ERPRTTGKLRNKGKLCHLAKWITKCEYTWYFSKTVSRTIQNLEAHEDDCKQAIREYNQGKLIPGSFPPES -CYWASTNEESVIAHIITPHEVTYDPYEDRYLDPLFVHGFCRTSFCETVYESTVWLTDSPGRQSSCKLEGD -EPVEVLESYRHNKAGESKFGFWMRGSHIHHMPLSRLCKKEYCGKLGYVNQQGVWFHVTSVQWSYNESISF -RHVVENCTESPDLVVLSEEFNDDDLAATLEEMMWDINCLNAVENIQKHKRASLHDLYQISQRHPGPGTAY -RLKDGHLESAQANFVALYAPDEHEQNRECLGTVLDHTGDQCHAWDDWTHIANSTYHAVNGITEVDGKIVF -PEYRVLKRRWDLEYSLKHDLRQINHPVISDFVGKVHENIVHKEIKSHSVNAGDLIGNWVTVAESKIGEFF -KGFSHSFVTISVFLMVVLTIWIIIRCCQMCKREKPTKIISAKDDIPMVTSSFG - ->YP_009361993.1 glycoprotein [Kern Canyon virus] -MLITVLLVIIVSSQAQIHYSGTPKPGKGYVKEDSPKLKYNDVELYHQPPLVLPLENDREWRAANLSALFC -PSEAPVGPNEHIHIESWIVTRPRQQIKDPVKGYLCHKSRWITKCEYTWYLSKTISRKIEPLPPSKQECEE -ARRKFEQGSLTHLSFPPAGCYWASTNEESNTDIEISEHPATYDPYKDKLVDPVFVGGECDSKLCDTIHDS -TIWIESDRNPRPEQCNMNEEEQLDVVQGIRAVGGTKKNSQHAIWVIGNNYPYLDARGLCKKTYCGKVGAL -LGNGLWFHVLHVVNGTGGDTVWSTARACSKEEEIGILGEEYEIESLQATMEDIMWDLDCFRTLETIEHHK -RVSYFDLFKLARLTPGQGPAYRLIKDHLFMKDVEYVKLRPNKKPTKDCLGLWTDSRHQNQCVKYKDWQKL -SNGKEHSMNGIMAFNGLVDLPHDRFHKRNWDKDFILKMDLQTVHHPVLKDFSSKIHDSLQDGLIKNHAAN -AGDLIGNWVEVASSKFGSFFKEAEKIILSVIIIIIIILIIGMCIKIRKRQNIQYIKQNSDSNPKLEQAEL -QIF - ->YP_009361871.1 glycoprotein [Le Dantec virus] -MWIITALICSFSINPTCLYPHGHEDSPTVRHGISRVLSGDAERNDDEHYHSPPLVLPLQNERTWKPANLS -SLKCPEASHLGPDEHRVMEKWLVHRPKSSVLTKVEGSLCHKSRWLTRCEYTWYFSKTVSRKIEPMPPTKQ -ECEEAIKRKEEGLLESLGFPPPACYWARTNDEENVQVDVTDHPMTYDPYSDGVVDNILVGGKCNQRECET -VHDSTIWLETQKEKRPSQCEMDVEEQLELVSGIKRVGGSKSKAQRSVFVVGTNYPFMDATGACRLKYCSK -SGMLLSNGLWFHITRKISPESNENSKFWLTLSDCSSDKQVGVLGEEYEIGKLQATMEDIMWDLDCFRTLE -DLSHHKKVSMLDLFRLSRLTPGTGPAYKLVKGNLMVKEVQYVKAQRDQGELANPLCVAFMTESKNADRCI -RYDEYDKEGPYKGQVMNGILINEGMVVFPHERFHLRQWDPEFIIKHEIKQVHHPVLGNYSSQIHDSLHES -LIKDHSANLGDVMGNWVQVATSKFSWFFKEIEKFIIGGALLLIFILIALMVCRGGCCKVRRKAGGEKGGD -SSGDEMNVSESIF - ->YP_009344981.1 putative glycoprotein [Xinzhou dimarhabdovirus virus 1] -MLTLISTVLFLLSSKFVLGGLIIPLCRSGPLWHKINPTDMICPRYESGPPEGEMNMTDIQTFDIPAISGK -QLGYLCHGVIYRVICIKGVFGGETINKVILPTKITPNECEVAVKEYLTTAEDHRSGYFPGKYCVYEILST -HTEFSDKKFILVSDHTVLYDPYADQWIDTLFLGGRCDTRSCRTIKDSVVWISNVVKPACPKAVSLLVHVA -YEGKKPLTIHGPTIPTSKLEGACVTKFCGTKGLRLSSGFFIIPPASWIKVFKTDCTDGVSIRGITWESVV -QDTVMYNEISVIRLHCLNTIATMSALGRASSVQLGIFQPWTPGIHPVYRVGVDNHLETKMCGYILGEGID -MNEDGAIGKDHLGHLVFWQDWVSPRKLSCEAYGPNGIYRDEKCQLHYPWIELRQDIMHRSILVQQTLQEA -PHVTEQHYSQTELESDFQLFDKDFTDTKDIEMAIFSWTSSVRLGLAIGGVVLVIIVGLVLLVKLGLIAYI -WDKCCRRKQHRQESIHLEPLNPIYS - ->YP_009305121.1 glycoprotein [Yongjia Tick Virus 2] -MTGLLMLALALTLCHGLNIYQEGGVLLPLSEDITWRHVKLDQVQCPFKGDQGPDEGQILEKWTVGRPRQR -HDLKLNGYLCHKARWTTRCVYTWYFSKTVSRQIENLKVSDQDCASALKQQQEGQLPTGSFPPESCYWATT -NDETVEAVTVTPHPVHYDPYTNEAVDTLFPNGRCGNLLCPTVYDSTVWMQGQNDLLEICSFKEDEPLEVV -EGVKISGGMGINVDLWITGPHLPHEKLLGICHKNFCGKSGLLTLSGTWFYLQQIVYKKNNSLDVLKRVPE -CNSTRLVGVLDEMEPYLQMRATLEEITWDMNCLATVDSIHHHNRASPHDLFQISPTHPGTGPIYRITKGG -LEMGTAEYVVAEPERRPTRQCLGRYSTNTGKQCVGWKGWTQMENSTFHAFNGITERNGQIKLPKDRMATR -DWGEEYIKKHPLSFYHHPSLGNLTRKITENILHKVIKSHNVNAGDLIGNWVTVVEDKVSSFFSHLGGSVV -GLLSVILVVGVIYMLIQIIHKIRTPRPQFHSRDMELQKPTHSVFG - ->YP_009305101.1 glycoprotein [Wuhan Louse Fly Virus 5] -MIAFLILIACANGYINDNDTEYVWRARPLGSVADKGVLYVPLDHSKNWRPAKYQDLKCPKPQGDWQLKFI -IHTRWVTWRPDDISLDHIPGFLCHKAEWITRCEYTWYLSKTVSRKLKEETPSESECQDAVRLYEAGKQEA -GSFPPEECYWNSVNDESVVKTIVVEHSIAYDPYKDCGVDEALVGGSCKKKFCDTKYSSVKWVQDVDHESQ -RCHNIIQEQLEILKRNDNKEQDKSWVRSKSFPITEMDDACKMSFCGLDGIRLKNGIWFGFQKSRTDHSDM -GDIPQCVSGTEIGIPDKNYKEKEFEYELEDLQREILCLNVLDKLQLNQVISLYDLQYLRQDAPGYGNIFR -VNNGTLEVTTGKYIKVYHAKNDLSPDCIGTYKDHSGDDVCVDWDEWLPIEKDKDQGFNGIIRIKNKIIFP -DDQLRASEWREELFDYFKVDKIHHPFLNNVTDVIHDDTDDENLIHDKTVNPGDAINNWVKVAENKVVNFF -KELGIGIIIIVWIIAGIIFLFILFKLIRFCIKLRYKKVNTGDKEIEMRHYDSPIFG - ->YP_009287863.1 glycoprotein [Bole Tick Virus 2] -MGTVGEVFLLLSLLSFLPLAGSDPVVKAIAAPYFFPENLNYAWHPIEVTSLTCPPQRSIPEQDNGIPVIF -ETIHPSSLERALVNGYSCYTSTMAVKCSVNFVGWKTLSHQITNKEPSSTTCWEAIKRQEDGAQSPPPTFP -APNCAWWSENWAELDYTLVLKHPARQDPYTEALYDPLFPGGSCNKAECPLIHDGGIWIQTEPLASICKHW -EVLQGLTYTGPEIGRILFSPEGPPKYLDHSCRMTFCGRRGYRLQDGEFLVFSSPPAWGVPPVCPAGTLVR -AHTPEEEIRWNEISKMEEADRLMCISRLSVAYATGKVSLELLGSLVPSHGGPGTAYRINNGTLEAAHVKY -VPLINSSNEEGDLIGVGPDGTPILWEYWVLSGSRIIGPNGVYKSKGRIIVPNFERRKLTYDLTIHVFEDL -KEIPHPSLVIRSNHTDLLRKVSHNQGVEGDHWASIRLWFSSLWGSFIWTCGLALIGLILICCICRRVRCC -CRGCGRPQSKEAGGWESIEMNDL - ->YP_009177013.1 G [Kumasi rhabdovirus] -MAGFYLLALTLVCYLTLVESDGTPAPVVKNETGDFLWGLGTELILPVEVISKWVEINPEDMRCPSDPVTD -HVIGAIVGHTRFSRYSGFHKATQKGFLCHKMRWITACTTTWYFSHDVQRRVEAQEPGTQECLDQVKKRGE -GTEEEGSYPPSQCAWNSVNEEAEVVIHLTVHEVRVDPYTMELLDPVFPSGRCNTTACDTVHQSVLWIEDP -SKTRPSCGDRVVDEGNIIRSGWTDNVPGAYYLISQHLPPTKIDGACRLSFCGESGLLFENGIWTHDIKLE -TVVANQTFQNCQADRRAGAVGPTYEIDKLRFDWEAAKEKIKCLDMIEIISATGALSFRRLHHFNPRTPGI -HPVYRIVNKTLQMAKAHYVVTNNPMSHKGTRDCLGTYMDHDTRKCVAWHHWVDVGNGTEQGPNGLLVTGD -KVSYPHWFIREKTWDPALHIINYLQNADHPIVSHLGRYIDNASRDSLRKDRSENVGDAASAAVTKLAGSI -GGVFKDVWHVITTCVTVGVIIIIILIFRRMIGVFWRGREKHPLPKTPNNIYQETHELKSFG - ->YP_009094026.1 G protein [Kolente virus] -MILLIILSLLARRAAGTSGGPTPAPLQDPSIVEKSDHLDGTILLPLRLSESWKPISVSSLECPHRAKRTG -RFGVRHKVFNLTRFDHFSSGLVEGYLCHKIRWVTSCQKTWYFSATVTRRVEPLLPTEAECREAVNLEQHG -ILESGSYPPESCYWNSLNEEAVTEIHLTTHNVGLNPYTMEWVDSIFLKGSCKDQICPTEHSTVLWLKDPD -QQTHNMCGTEVTEHAELMKRASSGSNISWSDYTLVSHHLPATTMEGACYLDFCGKEGIHLHNGIWFALPD -ISKLGPETLSRCPEDTKAGSVSPAYAEDDLQFQLKDMKRDIECLNVLETIQATGTISFRSLQYFHPRDVG -VHPVYRIINGSLEMATARYAIAHIPLEGNESCIGLVTENTRLKCVGWNDWIPVKENLKQGFNGIMSDHGR -IIYPIRYLLGDEWNPEMHALQYVKFMPHPLLHPYSHQIKDKGRSELVMDHSQNVGDVIVNSAGTFFSRIG -SFFSQAFDQLIHIAVILGIGLACYVAVKMFLKWKRNREPFKAVERGQKEQEMTELAGFG - ->YP_009094021.1 glycoprotein [Fikirini rhabdovirus] -MGFLIVLCLSVALGHLEDRNHTPAPFYEPSDSEKELRMDGEILFPVTVGEVWKPVPLSTLRCPKRAKRGS -QFGKTYKVFNLTRYESFKPGSVSGYLCHKIKWVTKCSETWYFAKEVSRHVEPVLPTEDECKMAMKAEQSG -LSESGSYPPEECYWNAVNEEVNTEVHLTVHPTGLDPYTMEWVDEIFLKGRCSHDYCETDHSTVTWIRDAE -KTNHVRCGDKVVEHAELSLIDGTSSPSKLSDLAVISHHIPLSSLADSCELTFCGEEGIQLANGIWFQTPN -LAGLGVDYLSECPSNTKAGMISQDYKEDEIIYDMEEIRQDVECLNTLESIQATGTITYRGLQYFQPRSVG -VHPVYRIRNSTVETNTAKYVLAFIPDTEPNSSCIGVITENRRPRCLAWNEWLDEGNGLYQGFNGLMLDKG -KILYPIRYLLDADWNPEMHVLRYVTYSPHPLLHEYARQVIDEGRNEVVKDGSKNIGDAVAETAESLSNRL -TTFFQKFTSQLSGIVGILAILMISYGIFKIARRVKKAKRPVMVKPQEIEMETSEGEGFG - ->YP_003518290.1 putative non-structural transmembrane glycoprotein [Ngaingan hapavirus] -MFYLIVILLSLVPNSKPEDHQDAPECTHVIHPYDLDDEWTEITQEELNCTQEGFKSIYSGPSETVRLLYP -PSSLPIRKHGYYCRKIIFRIQCVGTWYFTSTVTRSVVYKKPTLSECKEGIAMIKVGNPENFHYPAIDCRW -AQTTKKEKNFLQLHSHSVNYDIQSGEYNDDLIIASNCTSTYCPTKDTDALWVSDNLYDSNDFCNKKNWIS -KDVTIPIAGKNKTLILHLAMTEPGQFWRLDRSCITTLCGLNGLLTEAGDFVYSSDYLIQNLTYPQLYSCT -NITVNFLTYQLRGRHDPAIETTMSYPIWVDQCLTVKQSLLLKTPVSPMGVNILLNQVPGNGYTYRASISG -VIGADSNKYPMRGILSRKSCKYTWACINETEGEILGEMDYEPIGVDIDGTPVYINQSELESPDIENKQFK -CTKCGKGRKETKTYKVRSGLKHYVFDQYIAKNDTYWTHSSTLSNTKVKRSVNQTSIIEVLSEEVNTSTDT -HVLVHSVHEVPEYHGHPYLEWADRIIVTTFCVSVSLLVIITLIKLQTARWIKDVIHYLRMKEKLYKLKPE -VYSVEANP - diff --git a/seq/clusters_seq/cluster_25 b/seq/clusters_seq/cluster_25 deleted file mode 100644 index a3a82a5..0000000 --- a/seq/clusters_seq/cluster_25 +++ /dev/null @@ -1,221 +0,0 @@ ->YP_010088112.1 6K1 [Platycodon mild mottle virus] -AKSQEQAQLERIIAVIALILMVFDAERSDCVYKILNKLRTLVGISSSDTMKFQ - ->YP_009667140.1 6K1 protein [Mediterranean ruda virus] -AKSNEMIQLEKVIATVALIMMVFDQERSDCVYKILNKLKGVINTMNQDNFKFQ - ->YP_009667093.1 6K1 [Zucchini shoestring virus] -AKTKNEQHLEKILAFITLVMMMVDPDKSDCVYKILNKFKGVVGTIEQDVYHQ - ->YP_009667064.1 6K1 [Iris mild mosaic virus] -ATKRESEVRLEQIVAFTALVLMVFDNERSDCVYRVMNKFKNIVSVADQDVNHQ - ->YP_009351889.1 6K1 [Sunflower ring blotch virus] -KSTAGVKNLEQIVAFVTLITMIFDSERSDCVFKTLNKLKGVVSTLDMEVRHQ - ->YP_009509101.1 6K1 [Daphne virus Y] -AKNVENQKFEKIIAFIALVMMIFDTQRSDCVYKALTKIRSLTTICGETVKYQ - ->YP_009466008.1 6K1 [Hyacinth mosaic virus] -AKKECEVELERVIAFMALVMMVFDNERSDYVHRALSKVKSLMSSIDSDVKHQALDDIKDEFSERNLHVDF -ELDGDVVPGNMSIDHT - ->YP_009389253.1 6K1 [Cucurbit vein banding virus] -KGPTEQNLEKVIAFMVLLAMFVDANRSDAIASVLNKVKNITTTLSTPVHHQSEFYTKSGSLLTLENVYHQ -S - ->YP_009310043.1 6K1 [Euphorbia ringspot virus] -ARRPSQANVEKVIAFVSLFLMLFDSERSDCVYKSLLKVRTLFNIAENETKHQ - ->YP_009272673.1 6K1 [Callistephus mottle virus] -AKRESEAKLEQVVAFTALLLMLVDSERSDGVYKILSKLKGIMSSVDGPVYHQ - ->YP_009259515.1 6K1 protein [Wild onion symptomless virus] -ARRKSEQDLEKIVAFVALILMMFDSERSDCVAKVLNKLKNIMSSADPTVYHQ - ->YP_009252413.1 6K1 [Tobacco mosqueado virus] -RGTADIKKLEHIXAFISLVIMVFDADRSDCVFKTLNKFKGIISSMDSEVRHQ - ->YP_009221986.1 6K1 protein [Jasmine virus T] -AKNAEQIKFERIVAFISLVLMAFDSERSDCVYKILMKLKNLIGTCEQDVHFQ - ->YP_009126864.1 6K1 protein [Tamarillo leaf malformation virus] -KGKKEFAYMERIIAIITLLIMAFDAERSDGVYQILNKFKGVIGSAERETIRLE - ->YP_009010962.1 6K1 [Narcissus late season yellows virus] -VKRRSEQELEKVVAFIALILMMFDSERSDCVAKILQKLKNLISSAEPDVYHQ - ->YP_008992248.1 6K1 segment [Zucchini tigre mosaic virus] -AKTNNEKKLEQIIAFITLIMMMVDTDKSDCLYRILNKFKGIMASDATNAYHQ - ->YP_008719791.1 6K1 protein [Pokeweed mosaic virus] -QDAKSDSRKMEQGIAMIALILMVFDTDRSDCVYRTLNKFKGVMSSLYAKPVSFQ - ->YP_008320585.1 first 6-kDa protein [Habenaria mosaic virus] -ANKRESETRLEQIVAFIALVLMVFDNERSDCVYRVMNKLKNVMSVAEQDVNHQ - ->YP_007969887.1 6K1 [Donkey orchid virus A] -AKSKDMFKLEQCVAFVALVLMLFDNERSDAVFKILNKLKTTFTTICGGVTHQ - ->YP_007354891.1 6K1 protein [Brugmansia mosaic virus] -KSFDELKKLEHIVAFISLVVMVFDAERSDCVFKTLNKFRGIVGSLNSNVHHQ - ->YP_007001287.1 6K1 [Yam mild mosaic virus] -AKRESEQRLEQIIAFIALVMMVFDNERSDCVYKVLNKLKNLMNTAEPVAHQ - ->YP_007001277.1 6K1 [Ornithogalum mosaic virus] -VKNQQEQNLEKIIAFVTLLSMLYSPERSDSLFKILNKVKGVLGTIEGGVYHQ - ->YP_006493337.1 6K1 [Sweet potato virus G] -AKGLKEANYERIIAFIALILMVVDAERSDCVYKALNKLKGLMSTICGGPVYHQ - ->YP_006438191.1 6K1 protein [Sweet potato virus 2] -AKSAKESSYERIIAFIALVLMVIDAERSDCVYKSLNKLKGLMGTIGDGVYHQ - ->YP_006423988.1 6K1 protein [Chilli ringspot virus] -AKRESEVRLEQIVAFMALVMMIFDNDRSDCVYRVLNKFKNIVSTAEQDVVHQ - ->YP_006423976.1 6K1 protein [Celery mosaic virus] -AKRVTEARLEQAMAFVALVLMAIDSDRSDCVYKVLNKLKSLMTIADADVYHQ - ->YP_006423950.1 6K1 protein [Bidens mottle virus] -GSTEDTKQIEQIIAFVTLVLMTFDAERSDCVFKTLNKFKGTVSSLNSTVRHQ - ->YP_006401483.1 6K1 protein [Pepper severe mosaic virus] -KATAGVKNLEQIIAFITLVTMLFDSERSDCVFKTLNKLKGTVSTLDTNVRHDTNVRHQ - ->YP_006395342.1 6K1 protein [Pennisetum mosaic virus] -HKSKLETNLEQAMAVGTLLTMMFDPNRSDAVYKVLNKMKTVINTYEQNETFPRFDFTKMFNRNVVHQ - ->YP_006280734.1 6K1 protein [Tomato necrotic stunt virus] -KVTEGTKKIEQIVAFMTLVMMMFDAERSDCVFKTLNKLKSTISTMDYEVRHQ - ->YP_004123945.1 6K1 [Lupinus mosaic virus] -SKVSAGEAKLEKTIAVAALIMMIFDSERSDYLYKTLNKLKSLVRTVDDDVYHQ - ->YP_004123957.1 6K1 [Apium virus Y] -AKRVSEARLEQAMAFVALILMAIDSERSDCVHKVLNKLKSLMSIADADVYHQ - ->YP_004046674.1 6K1 [Sweet potato virus C] -GKSRKEIQYERIIAFISLLLMVIDSERSDCVYKILSKLRGLMGCIDGGVYHQ - ->YP_003902963.1 6K1 protein [Brugmansia suaveolens mottle virus] -RSTPDLKKLEHIIAFTSLLIMLFDTERSDCVFKSLNKFKGLVSSMDSDVRHQ - ->YP_003725722.1 6K1 [Panax virus Y] -AKRNSEVRLEQAMAFVALILMIFDSEKSDCVYKVLSKLKNLMSIADTSVFHQ - ->YP_003582548.1 6K1 protein [Sunflower chlorotic mottle virus] -KSTPEVKNLEQVIAFITLITMLFDSERSDCVFKTLNKLKGIVSTLDCEVRHQ - ->YP_002539445.1 6K1 [Pepper veinal mottle virus] -ASKRPAEAKLEQIVAFVALMMMVFDTDRSDCVYKVLNKLKNVMGVVDNDAVNHQ - ->YP_002308558.1 6K1 protein [Narcissus yellow stripe virus] -TKRKSEQELERVVAFVALVLMMFDCERSDCVTKVLTKLKNLMSSVEPNVYHQ - ->YP_001936190.1 6K1 [Algerian watermelon mosaic virus] -AKTVNEKNMERILAFLTLIMMMVDADKSDCVYKLLNKFKSVVGTIEQDVYHQ - ->YP_001936181.1 6K1 [Verbena virus Y] -KNDQDLKKLEHIVAFITLVMMVFDSERSDCVFKTLNKFKGVVASLNSTVHHQ - ->YP_001816849.1 6K1 protein [Wild tomato mosaic virus] -ASKRPSEAKLEQIVAFIALLMMVFDGDRGDCVYKVLNKLRNVMGSVDNEAVNHQ - ->YP_001552425.1 6K1 protein [Moroccan watermelon mosaic virus] -AKTVNEKRMEQILAFVTLIMMFIDAEKSDCVYRVLNKFKGVVGTIEQDVYHQ - ->YP_001552415.1 6K1 [Tobacco vein banding mosaic virus] -AVKRASEVRLEQIVAFIALVMMIFDNDRSDCVYRVLNKFKNIVGVADQEVIHQ - ->YP_611114.1 6K1 protein [Daphne mosaic virus] -SKSAESQQYEKSLAFVALLLMVFDSERSDCVYKVLCKLRALTNICGERVQYQ - ->YP_529493.1 6K1 protein [Konjac mosaic virus] -RSANEAAFESIIAITSLVLMVFDQERSDCVYRILQKLRSLVGISGEIVRHQ - ->YP_254717.1 6K1 [Thunberg fritillary mosaic virus] -AKTDGERNLERVVAVSALLLMIFDAERSDVVYKILNKLKTLIGVVETEPMKFQ - ->YP_063389.1 6K1 protein [Hordeum mosaic virus] -AKDNNHIWTEKCIATFVLLMMMFDVEKSDKLYSTLNKLKGIFSTIGQGSVYHQ - ->YP_054395.1 6K1 [Agropyron mosaic virus] -AKDTNHIWTEKCIATFVLVMMMFDTDRSDKLYSTLNKLKGVFSTIGQDAVYHQ - ->YP_022755.1 6K1 protein [Yam mosaic virus] -SKRSEECKFEAIIAFIALVLMIFDAERSDCVYRSLTKLKSLVATTEVSHQ - ->NP_982338.1 6 kDa protein 1 [Chilli veinal mottle virus] -ASKRPSEAKLEQIVAFVALMMMVFDTDRSDCVYKVLNKLRNVMGVVDNDAVNHQS - ->NP_945139.1 6K1 protein [Lily mottle virus] -AKPDGERNLERIMAIIALTMMVFDADRSDCVYKVLNKLKGLLNTVHQEPVKFQ - ->NP_871731.1 6K1 [Papaya leaf distortion mosaic virus] -AKRKGEQNLEKIIAFVALVMMIFDSEKSDCVYKTLNKLRNLVATCDEPVAHQ - ->NP_787941.1 6K1 protein [Peru tomato mosaic virus] -DSKSDLKKIEHIIAFVSLAVMLFDVERSDCVFKSLNKFKGVISSLNSDVRHQ - ->NP_741972.1 6K1 protein [Wild potato mosaic virus] -DSKSDLKKIEHIIAFVSLAVMLFDVERSDCVFKSLNKFKGVIGSLNSDVRHQ - ->NP_734126.1 6K1 protein [Scallion mosaic virus] -SKRHEQQELERIIAFVALVLMMFDAERSDCVTKILNKVRNLVTTTESTVYHQ - ->NP_734096.1 6K1 protein [Leek yellow stripe virus] -AKGDFQHLEKMIALLVLLTMLFDANRSDAVYKILNKFKGVMSSIDKEPMLHQ - ->NP_734226.1 6K1 protein [Japanese yam mosaic virus] -AKRRGELELERVVAFIALVMMVFDSERSDCVVKILNKLKNIISSTDADVYHQ - ->NP_734372.1 6K1 protein [Potato virus V] -ASKSDLKKIEHIIAFVSLAVMLFDVERSDCVFKSLNKFKGVIGSLNSEVRHQ - ->NP_734401.1 6K1 protein [Johnsongrass mosaic virus] -RKGYAELKLEQVIAFATLLTMLYDADRSDAVYKILQKIKACTNICTQEVKHE - ->NP_734312.1 6K1 protein [Sweet potato feathery mottle virus] -GKSRKEMQYERIIAFVSLLLMIVDSEKSDCVYKILQKLKGLMGTINNDVYHQ - ->NP_734216.1 6K1 protein [Turnip mosaic virus] -AKRQSEQELERIIAFVALVLMMFDAERSDCVTKILNKLKGLVATVEPTVYHQ - ->NP_734337.1 6K1 protein [Tobacco vein mottling virus] -AKNTGQASLERIIAFVSLTLMLFDNERSDCVYKILTKFKGILGSVENNVRFQ - ->NP_734210.1 6K1 protein [Tobacco etch virus] -AKQPEIAYFEKIIAFITLVLMAFDAERSDGVFKILNKFKGILSSTEREIIYTQ - ->NP_734136.1 6K1 protein [Sugarcane mosaic virus] -GKSNLETNLEQAMAVGTLLTMILDPQKSDAVYKVLNKMRTVINTIEQNVPFPTVNFTSILTPPVTQQ - ->NP_734245.1 6K1 protein [Potato virus Y] -RSTPVVKNLEQVVAFMALVIMVFDAERSDCVFKTLNKFKGVLSSLDYEVRHQ - ->NP_734362.1 6K1 protein [Potato virus A] -AKASEQVNFERIIAFISLVLMMFDRERSDCVYRSLTKLKSLMSTVENTVQFQ - ->NP_734342.1 6K1 protein [Plum pox virus] -SKRDSQANLERVVAFVALVMMLFDSERSDGVYKILNKLKGIMGSVDRAVHHQ - ->NP_734437.1 6K1 protein [Pepper mottle virus] -RSTEDLKKVEHIIAFVTLAIMLFDSERSDCVFKTLNKFKGVVCSLGSGVRHQ - ->NP_734236.1 6K1 protein [Papaya ringspot virus] -AKSDNEKKLEQVIAFITLILMMIDVDKSDCVYRILNKFKGVINSSNTNVYHQ - ->NP_734146.1 6K1 protein [Maize dwarf mosaic virus] -GKSNLEINLEQAMAIGTLITMIFDPTKSDAVYKVLNKMRTILSTVEQDAPFPRIDFTNIFRSQVTHQ - ->NP_734156.1 6K1 protein [Lettuce mosaic virus] -AKREDQANLERIIAFTALVMMMFDSERSDCVYRSLSKLKSLVSTCDDDVRHQ - ->NP_734166.1 6K1 protein [Clover yellow vein virus] -SKSNDMVALEKVVAFTALVMMIFDGERSDCVYKILNKLKGIISTTTQDGYKFQ - ->NP_734176.1 6K1 protein [Bean yellow mosaic virus] -AKPNDMIALEKIVAVTALILMIFDAERSDCVYKVLNKLKGILSTTTQDAYRFQ - diff --git a/seq/clusters_seq/cluster_250 b/seq/clusters_seq/cluster_250 deleted file mode 100644 index 795b78a..0000000 --- a/seq/clusters_seq/cluster_250 +++ /dev/null @@ -1,149 +0,0 @@ ->YP_009551531.1 NSP3 [Bat rotavirus] -MLKMESTQQMAVSIINSSFEAAVVAATSALENMGIQYDHNEVYTRVKSKFDFIMDDSGVKNNLIGKAVTI -DQALNGKFASAIRNRNWMNDSHTVARLDEDVNKLRMMLSSKGIDQKIRVLNACFSVKRVPGKSSSIIKCS -RLMKEKIERGEIEVDDSFVEEKMEIDTIDWKTRYEQLEKRFESLKCRVNEKYNSWVVKARKVNETVHSLQ -SVISRQQAHISDLQSYNDKLERDLQSKVESVVSSIEWYLRSMELPEDVKTDIEQQLNSVDRMNVLSAFDD -FELIIRNLISDYDKVFLMFKGLLQRCNYSYTYE - ->YP_008145321.1 NSP3 [Rotavirus F chicken/03V0568/DEU/2003] -MATEASMRHALKVLETTCEEVVKQIITEKGLVYARGEARRRLEYVLDDTGIKGALICRAKTIDHALRGKM -ESAEKNHEYMTRIETVEKLESDLLQMRTQLSLRGIDRKARVLASLFDVTPWKGSKVTSTVVMSDVAKEKF -KNGSLRVADDCELWTNVRSTEMAVELEEAKRLLATRQEDLIQTRKAYQDVLAYAEKLNASIDLLKKQNSE -LSEKCQLWEKMSKQKQLTTEERIIDTQRKEDSRLEKMERQLSTYTKLLEEQDKMLREERSERAYIEAKYN -TLLTQFASASEEEAPFDQVDGKFKITIECEMSLTSKILSMATGYGEIKRRYKHAKKLIKSMANMAKLMVE -VPDDNMEKEVDETNEISDVD - ->YP_003896052.1 NSP3 [Rotavirus D chicken/05V0049/DEU/2005] -MVQPRKVVNILCFVLLIFDTSAFPLQERKNNNQKIIYKTVLYLKKRQNIESSYYLFDASNYEKVDQDYLC -FGNDTVIHEIEIRQKDIFSYRNSELREIKTSQLCQYDFKFKDGNSGISGSNLEALMFHGAICGRKILRGQ -GFTPDVKSLHDDIAMKFESMLEESGVKNSVFGRAKTVDEAINGKFFSAQKNRRYMTTIETVKNLESDLYR -MRMMLENMDIKRDARVLSSLFQIEKKTGKSGSVILADASVYDKLEKGEIVRVDMGKIESENSCKLRQIID -QKDELISKLKNEITILRATRFDDVDGLKFGFRYQSKNRDISAELSDAASQTDTLSTIKEDTVSELEERVE -NLEKIIAGLASRCGLTVEYV - ->YP_002302220.1 NSP3 [Rotavirus A] -MLKMESTQQMAVSIINSSFEAAVVAATSALENMGIEYDYQDIYSRVKNKFDFVMDDSGVKNNLIGKAITI -DQALNNKFGSAIRNRNWLADTSRAAKLDEDVNKLRMMLSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCT -KLMRDKLERGEVEVDDSFVDEKMEVDTIDWKSRYEQLEQRFESLKSRVNEKYNNWVLKARKMNENMHSLQ -NVISQQQAHIAELQVYNNKLERDLQNKIGSLTSSIEWYLRSMELDPEIKADIEQQINSIDAINPLHAFDD -LESVIRNLISDYDKLFLMFKGLIQRCNYQYSFGCE - ->sp|Q82052.1|NSP3_ROTHS RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMASSIINSSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDFVMDDSGVENNLMGKAATI -DQALNGKFSSSIRNRNWMTDSKTVARLDEDVNKLRLLLSSKGIDQKMRVLNACFSVKRVPGKSSSVIKCT -RLMKEKIERGEVEVDDTFIEERMEIDTIDWKSRYDQLERRFESLKQRVNEKYNNWVIKARKVNENMNSLQ -NVISQQQAHINELQIYNNKLERDLQSKIGSVISSIEWYLRSMELSDDIKSDIEQQLNSIDLINPVNAFDD -FESILRNLISDYDRIFIMFKGLLQQSNYTYTYE - ->sp|A4ZCW4.2|NSP3_ROTH3 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMASSIINSSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDLVMDDSGVKNNLMGKAITI -DQALNGKFGSAIRNRNWMADSRTVAKLDEDVNKLRMMLSSKGIDQKMRVLNACFSVKRVPGKSSSIVKCT -KLMKDKLERGEVEVDDSFVEEKMEVDTINWKLRYDQLEKRFESLKHRVNEKYNTWVVKARKVNENMNSLQ -NVISQQQAHINELQMYNNKLERDLQSKIGSVVSSIEWYLRSMELSDDVKVDIEQQLNSIDQLNPVNAIDD -FESVLRNLISDYDRLFIMFKGLLQQCNYTCTYE - ->sp|A3DSK9.2|NSP3_ROTHL RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMASSIINSSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDFVMDDSGVKNNLMGKAATI -DQALNGKFSSSIRNRNWMTDSKTVARLDEDVNKLRLLLSSKGIDQKMRVLNACFNVKRVPGKSSSVIKCT -RLMKDKIERGEVEVDDTFVEERMEIDTIDWKSRYDQLERRFESLKQRVNEKYNNWVIKARKVNENMNSLQ -NVISQQQAHINELQIYNNKLERDLQSKIGSVISSIEWYLRSMELSDDIKSDIEQQLNSIDHINPVNAFDD -FESILRNLISDYDRIFIMFKGLLQQSNYTYTYE - ->sp|B3SRR3.1|NSP3_ROTH7 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MESTQQMASSIINTSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDYIMDDSGVKNNLLGKAATIDQA -LNGKFGSAVRNRNWMADTRTTARLDEDVNKLRMMLSSKGIDQKMRVLNACFSVKRVPGKSSSIIKCTRLM -RDKIERGEVEVDDSFVEEKMEVDTIDWKSRYEQLEKRFESLKQRVNEKYTSWVQKAKKVNENMYSLQNVI -SQQQSQIADLQHYCNKLEVDLQNKISSLVSSVEWYMKSMELPGEIKTDIEQQLNSIDVINPINAIDDFES -LIRNIILDYDRIFLMFKGLMRQCNYEYTYE - ->sp|B3SRS1.1|NSP3_ROTAD RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MESTQQMVSSIINTSFEAAVVAATSTLELMGIQYDYNEVFTRVKSKFDYVMDDSGVKNNLLGKAITIDQA -LNGKFGSAIRNRNWMTDSKTVAKLDEDVNKLRMTLSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCTRLM -KDKIERGEVEVDDSYVDEKMEIDTIDWKSRYDQLEKRFEALKQRVNEKYNTWVQKAKKVNENMYSLQNVI -SQQQNQIADLQQYCNKLEADLQGKFSSLVSSVEWYLRSMELPDDVKNDIEQQLNSIDLINPINAIDDIES -LIRNLIQDYDRTFLMLKGLLKQCNYEYACE - ->sp|B2BRG4.1|NSP3_ROTW3 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMASSIINTSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFEYVMDDSGVKNNLLGKAATI -DQALNGKFGSAARNRNWMADTRTTARLDEDVNKLRMMLSSKGIDQKMRVLNACFSVKRAPGKSSSIIKCT -RLMRDKIERGEVEVDDSFVEEKMEVDTIDWKSRYEQLEKRFESLKQRVNEKYTSWVQKAKKVNENMYSLQ -NVISQQQSQIADLQNYCNKLEVDLQNKISSLVSSVEWYLKSMELPDEIKTDIEQQLNSIDVINPINAIDD -FESLIRNIILDYDRIFLMFKGLMRQCNYEYTYE - ->sp|B3SRV3.1|NSP3_ROTHP RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MESTQQMVSSIINTSFEAAVVAATSTLELMGIQYDYNEVFTRVKSKFDYVMDDSGVKNNLLGKAITIDQA -LNGKFGSAIRNRNWMTDSKTVAKLDEDVNKLRMTLSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCTRLM -KDKIERGEVEVDDSYVDEKMEIDTIDWKSRYDQLEKRFESLKQRVSEKYNTWVQKAKKVNENMYSLQNVI -SQQQNQIADLQQYCNKLEADLQGKFSSLVSSVEWYLRSMELSDDVKNDIEQQLNSIDLINPINAIDDIES -LIRNLIQDYDRTFLMLKGLLKQCNYEYAYE - ->sp|Q3ZK63.1|NSP3_ROT41 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMASSIINTSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDYVMDDSGVKNNLLGKAATI -DQALNGKFGSAVRNRNWMTDTRTTARLDEDVNKLRMMLSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCT -RLMRDKIERGEVEVDDSFVEEKMEVDTIDWKSRYEQLEKRFESLKQRVNEKYTSWVQKAKKVNENMYSLQ -NVISQQQSQIADLQHYCNKLEVDLQNKISSLVSSIEWYMKSMELPDEVKTDIEQQLNSIDVINPINAIDD -FESLIRNVILDYDRTFLMFKGLMRQCNYEYTYE - ->sp|Q8UZL8.1|NSP3_ROTRH RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMASSIINSSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDLVMDDSGVKNNLIGKAITI -DQALNGKFSSAIRNRNWMTDSRTVAKLDEDVNKLRIMLSSKGIDQKMRVLNACFSVKRIPGKSSSIVKCT -RLMKDKLERGEVEVDDSFVEEKMEVDTIDWKSRYEQLEKRFESLKHRVNEKYNHWVLKARKVNENMNSLQ -NVISQQQAHINELQMYNNKLERDLQSKIGSVVSSIEWYLRSMELSDDVKSDIEQQLNSIDQLNPVNAIDD -FESILRNLISDYDRLFIMFKGLLQQCNYTYTYE - ->sp|Q65701.1|NSP3_ROTBN RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MSKMESTQQMASSIINTSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDYVMDDSGVKNNLLGKAATY -DQALNGKFGSAARNRNWMADTRTTARLDEDVNKLRMMLSSKGIDQKMRVLNACFNVKRVPGKSSSIIKCT -RLMRDKIERGEVEVDDSFVEEKMEVDTIDWKSRYEQLEKRFESLKQRVNEKYTSWVQKAKKVNENMYSLQ -NVISQQQSQIADLQNYCNKLEVDLQNKISSLVSSVEWYLKSMELPDEIKTDIEQQLNSIDVINPINAIDD -FESLIRNIILDYDRIFLMFKGLMRQCNYEYTYE - ->sp|Q85014.1|NSP3_ROTP5 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMVSSIINTSFEAAVVAATSTLELMGIQYDYNEIFTRVKSKFDYVMDDSGVKNNLLGKAATI -DQALNGKFGSTIRNRNWMTDSKTVAKLDEDVNKLRMILSSKGIDQKMRVLNACFSVKRIPGKSSSVIKCT -RLMKDKIERGEVEVDDSFVDEKMEIDTIDWKARYDQLEKRFESLKQRVNEKYNSWVQKSKERNENMYSLQ -NVISQQQNQIADLQQYCNKLEADLQSKISSLVSSVEWYLRSMELSDDVKTDIEQQLNSIDAINPINAIDD -LESLIRNLIQDYDRTFLMLKGLVRQCNYECTYE - ->sp|Q82054.1|NSP3_ROTHW RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMVSSIINTSFEAAVVAATSTLELMGIQYDYNEVFTRVKSKFDYVMDDSGVKNNLLGKAITI -AQALNGKFGSAIRNRNWMTDSKTVAKLDEDVSKLRMTLSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCT -RLMKDKIEGGEVEVDDSYVDEKMEIDTIDWKSRYDQLEKRFEALKQRVNEKYNTWVQKAKKVNENMYSLQ -NVISQQQNQISDLQQYCNKLEADLQGKFSSLVSSVEWYLRSMELPDDVKNDIEQQLNSIDAINPINAIDD -IESLIRNLIQDYDRTFLMLKGLLKQCNYEYAYE - ->sp|Q82053.1|NSP3_ROTHT RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMVSSIINTSFEAAVVAATSTLELMGIQYDYNEVFTRVKSKFDYVMDDSGVKNNLLGKAITI -AQALNGKFGSAIRNRNWMSDSKTVAKLDEDVNKLRMTLSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCT -RLMKDKIERGEVEVDDSYVDEKMEIDTIDWKSRYDQLEKRFESLKQRVNEKYNTWVQKAKKVNENMYSLQ -NVISQQQNQIADLQQYCNKLEADLQGKFSSLVSSVEWYLRSMELPDDVKTDIEQQLNSIDLINPINAIDD -IESLIRNLIQDYDRTFLMLKGLLKQCNYEYAYE - ->sp|Q82051.1|NSP3_ROTH6 RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMVSSIINSSFEAAVVAATSTLELMGIQYDYNEVYTRVKSKFDLVMDDSGVKNNLMGKAATI -DQALNGKFSSSIRNRNWMTDSKTDARLDEDVNKLRLLLSSKGIDQKMRVLNACFNVKRIPGKSSSVIRCT -RLMKEKIERGEVEVDDAFVEEKMEVDTIDWKSRYEQLEKRFESLKQRVNEKYNNWVIKARKDNENMYSLQ -NVISQQQAHINELQIYNNKLERDLQSKIGSVISSIEWYLRSMELSDDIKSDIEQQLNSIDQINPVNAYDD -FESILRNLISDYDRMFIMFKGLLQQSNYIYTYE - ->sp|Q82050.1|NSP3_ROTHK RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMVSSIINTSFEAAVVAATSTLELMGIQYDYNEVFTRVKSKFDYVMDDSGVKNNLLGKAITI -DQALNGKFGSAIRNRNWMIDSKTVAKLDEDVNKLRMTLSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCT -RLMKDKLERGEVEVDDSYVDEKMEIDTIDWKSRYDQLEKRFESLKQRVNEKYNAWVQKAKKVNENMYSLQ -NVISQQQNQIADLQQYCNKLEVDLQGKFSSLVSSVEWYLRSMELPDDVKTDVEQQLNSIDLINPINAIDD -IESLIRNLIQDYDRTFLMLKGLLKQCNYEYAYE - ->sp|Q82049.1|NSP3_ROTWI RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMVISVINTSFEAAVVAATSTLELMGIQYDYNEVFTRVKSKFDYVMDDSGVKNNLLGKAITI -DQALNGKLGSAIRNRNWMTDSKTVAKLDEDVNKLRMILSSKGIDQKMRVLNACFSVKRIPGKSSSIIKCT -RLMKDKIERGEVEVDDSYVDEKMEIDTIDWKFRYDQLEKRFESLKQRVNEKYNTWVQKAKKVNENMYSLQ -NVISQQQNQIADLQQYRNKLETDLQGKFSSLVSSVEWYLRSMELPDDVKTDIEQQLNSIDLINSINAIDD -IESLIRNLIQDYDRTFLMLKGLLKQCNYEYTYE - ->sp|P04514.1|NSP3_ROTBU RecName: Full=Non-structural protein 3; Short=NSP3; AltName: Full=NCVP4; AltName: Full=Non-structural RNA-binding protein 34; Short=NS34 -MLKMESTQQMASSIINTSFEAAVVAATSTLELMGIQYDYNEIYTRVKSKFDYVMDDSGVKNNLLGKAATI -DQALNGKFGSVMRNKNWMTDSRTVAKLDEDVNKLRMMLSSKGIDQKMRVLNACFSVKRIPGKSSSVIKCT -RLMKDKIERGAVEVDDSFVEEKMEVDTVDWKSRYDQLERRFESLKQRVNEKYTTWVQKAKKVNENMYSLQ -NVISQQQNQIADLQNYCSKLEADLQNKVGSLVSSVEWYLKSMELPDEVKTDIEQQLNSIDTISPINAIDD -LEILIRNLIHDYDRTFLMFKGLLRQCNYEYAYE - diff --git a/seq/clusters_seq/cluster_251 b/seq/clusters_seq/cluster_251 deleted file mode 100644 index b2a37e6..0000000 --- a/seq/clusters_seq/cluster_251 +++ /dev/null @@ -1,381 +0,0 @@ ->YP_009553485.1 polyprotein putative RdRp [Shinobi tetravirus] -MMNSVRGFVTVNRAFGNAAACDLLLAEGALTAFSHEEIMSARKKRVYCAGTHKTFVERTKLLLGRKCKSP -YKYTVVAQKLQKFMPVGVLPDWTDVDSLLERVKVTKAAGAGAPYWKDKGDAFDECMQEILPTLIKAINDG -TVEQLARGRPEWFLVELKNKIDRYELPISKTRPYGCFPFHWTLLFSCLIQPFCEALSVWTDSKTCNAYGM -SFCSGNLKKWFSRVESLIATAKKKNKDMYMMGVYGDDCRLIQAKASGGAVVEDPDMKQHDGAIDTETISG -TVKWIYDMYAKQHGDSKFWEFVLQQLAAFACDPNMVIDGPTVYTKKVPGGLISGVPGTTLFGCAKSVLAY -AGLVDECMFDKTLFLNEAKVKAYLMEKHGCALKDGTWRPSPMNLSQADGTYLCESKFLGMRLMWRTSEQF -PEPFLVPTLHDGEWLELIMCPRTSGDKKGSHLSEQRTSFDRIRGLLLCGAVYSERARAALLAAVDLVPAT -AVLLSTQLDGRKGLIESPNDLVVSEDFIYPSTAGIPGLEFCDALYNLSAEQREGCKFESVYPTLDDFVNS -RKELHRSRFRYIIHQSLPAKPTAKPIPAPRSYTHKHTGQQVFLPKPAPRLQTTSEQPAMDKEVQEQEPPL -FTANEPIVAVEEEFTSEDLEKIPLPPIPEPQHGFARGGREVRAGSLHSKDGKTIAPRHKLQRLETYLGEG -LKKNAKALVMSNPVLPEGTVRNYQCLFSAVLDRGFKWPHDLPEGTHDNALVGQFAAINGLKSSPETSVID -PAQDMKGVWMRLVPKDGLGSSIDYAQLTATGCTLKRMQAAINQQFAAWLREFKESFMEPPKKVIKPPPGA -PGFTSSNWAAEVESDDLSRMGSLSEASLKEVQRVVRDLLRTEFAYLRTLDREHTSRHGTKQQHKVSKSPA -SPTNAEEEGRSEGRDISPVEASPSRTVPSAGDN - ->YP_009551892.1 hypothetical protein [Sarawak virus] -MDASNPVNSAQRYTLSELRDSRLAYKRQDLKALQAMAASADRQLFSSGGPSKEAFHSLTMDLNEDLSSVR -AALANDVRSEIDFLDKLPPVPVDNTKLGDRQIHPAMKNSVRGFVTVNRAFGNAAACDLLLAEGALTAFSH -EEIMTARKKRVYCAGTHKTFVERTKLLLGRKCKSPYKFTVVAQKLQKFMPVGVLPDWTDVDSLLERVKVT -KAAGAGAPYWKDKGEAFDECMQEILPTLIKAINDGTVEQLARGRPEWFLVELKNKTDRYELPISKTRPYG -CFPFHWTLLFSCLVQPFCEALSVWTDSKTCNAYGMSFCSGNLKKWFSRVESLIATAKKKNKDMYMMGVYG -DDCRLIQAKASGGAVVVDPDMKQHDGAIDTETISGTVKWIYDMYAKQHGDSKFWEFVLQQLAAFACDPNM -VIDGPTVYTKKVPGGLISGVPGTTLFGCAKSVLAYAGLVDECMFDKTLFLNEAKVKAYLMDKHGCTLKDG -TWRPSPMNLSQADGTYLCESKFLGMRLMWRTSEQFPEPFLVPTLHDSEWLELIMCPRTSGDKKGSQLSEQ -RTSFDRICGLLLCGAVYSERARAALLAAVDLVPATAVLLSTQLDGRRGLIESPNDLVVSEDFIYPSTAGI -PGLEFCDALYNLSAEQREGCKFESVYPTLDDFVNSRKALHRSRFRYIIHQSLPAKPKAKPIPAPRSYTHK -HTGQQVYLPKPAPRLQTISEQPAMDKEVQEQEAPLFAANEPIVAVEEEFTSDDLEKIPLPPIPEPQHGFA -RGGREVRAGSLHSKDGKTIAPRHKLQRLETYLGEGLKQNAKALVMSHPVLPEGTVRNYQCLFSAVLDRGL -KWPHDLPEGTHDNALVGQFAAINGLKSSPETSVIDPAQDMKGVWMRLVPKDGVGSSIDYAQLTATGCTQK -RMQAAINQQFAAWLREFKEAFVEPPKKVIKPPPGAPGFTTSNWAAEVESDDLSRMGSLSEASLKEVQRVV -RDLLKTEFAYLRTLGREHTSRHGTKQQHTVDESRASATSKEEEERSEGRDISPVEASPSRTLPSAGDN - ->YP_009665207.1 RNA-dependent RNA polymerase [Thosea asigna virus] -MEASNPVIAPTRLSLEAMLAERAMVARQDLAGLKRKLAGADRVLAPQSPEQCGRESAQAQARSVTSELKS -AVKEAQGLEHQTLDFLEQLGEYPVCGILHGDHPVHPSGTHNNNGKVSVKRQFAAGVNTSDALTCAFRFED -SDLVRETALKTTYTDGTWAGFVQRLKMQTTRKCVQEKVSRKLLKQLFPYDPQKLVDVSGELSELVLGIKT -NAIASAGPPYWRTKRDALPDMLDCVLPLLYDHIVRKDLTTLRNKHPELFLAECKNKTDRYEVESLGEKTR -PYFSHPFHLSALVSVLSQSFSGALKIMTEDSTSFNAYGFSWTNGGAEDLAIWARQAGEAGKKPPRIACYG -DDTDIYYRKDGKLYRICPDFKQMDGSVDATTIEAVVDYVVDAHVKQYPTARQFWEEVGKLWVEMATQSPF -LIDGTKVYRKMQKDGLMTGVVGTTLFDTVKSALAYNDWADQLMFGSLNLLEEKYAIEFFKNKHGLVIKEG -TWKPALVNEDPGFGELWTEQKFLGLQLKVVRRENEKVYVPNLPFEDWLTMWVTPRSKYRSKETETMRERT -LFDRARGLLVTGAVFDERARGLMGAVINSTAPEVVCMRVQEGGGRGAPPAYAFLTRDGVFEFPISDGYPS -YDWVVSLYSRDHPCDMPRVFPEAATLIASYRKQVMDTRVVIKEEGVSYLMEAHPQGTTLSMEMPAEAVVL -SQPSGSCPKVREFTYAERVTTGTGSLVVEKVDKVPDSATVMENIIKKFMDDVSKQIKELAEGQKSLEARI -TGAALPAEHFRKKMGDIDIKRTADKAALFTHEESGDLYVTTNPVLGHPDSFESQKRVAQVHEVVSKISTV -ARKERVAAEPVHDTGEYVKIEGPPPGGVPAHIPEGVALWHALCQSVNKACYQVTTKTETLKDSNGRPIVR -AHIYQRSMRPVPQNWQKWMVASGRNLARAKELAVEYLMELVNKEQYKLPLTSTSDWAKDAELEELIQLKD -RGRHVFSLIGERFERVNPHLATKHHLNDRGDLLMWQPDNGTFITVAPPRRTRKGAKTRTLASTVKTLVRI -DPGLQVEVSGKPFEGVPVPEKLNFEVTTNDSAFENEIVNEPESVGTEFKEFENREAFRFHEVVRGPGAAG -SSPGEFDQMPRVQQLPSDGTRAVELGARDDSGGRASPRVDQGGAGVPSVPPAPGRYAPELREPDRRVVQL -EGQVHALQAQIQALTGASPPKPWGKGRGQGKSSNMRGRGGKSRPHHGGRPGPRGGWWSQQGNQNRSW - ->YP_009342439.1 hypothetical protein [Wuhan house centipede virus 9] -MSNPVMNQDRKTLASMIEDRGFAMRQDLHTLQTLAASADRSYFSHLGEPSDEIFKAVLHDEVKVDPAGQV -DTSYLRVVSDGCPISKLQHDGEQIHPPTSNKVGGKVSVKRNFGSRPSKDATLLRALVAAYPDKEYLCEVN -QKVVYTSGTPKGFSTRLRELTTRKCVGEGSATTIAKKLEKLLPTGALPDWHDIAGLFEIMHTTQSAGAGA -PYWVSKAEAALPMMETILPLVIKALEAGEITQFMKENPELFLSEVKNKTDRYETAKLDDKVRPYVSQPFH -FSALFSAILQPFCGSLKTWDSQESTMNAYGWSMANGGIERIYQRVAHLQERTSEDRKIRFKIGLYGDDAK -LFFINRDAEVYAVDPDFRQMDGSVDRHTVEGVAKWISRAYTEQHGESPFWDNVLKLMVEFATDPTFVVNG -TTVYKKPQKDGILSGVVGTTLFDTAKSALAYSHLLDEFKIEPKLFHDAKRVTKFLKDKHGLVVKEGTWTP -EKLSMEPEHGELWTKNKFLGMSFMWYHDDQVGAPTLVPYLSDDDWVNMILHPRESITGKKLGDLAKQRIS -FDRARGYLITGAAFNPQIADLLYKAIDLVPATAILMAVAAGGGKGESPGEHQIVGDSFLFPSSEGVPSVS -WCAQLYAGVENKTGWQLVYPTIDAKLQNTRTSWKSRLRNSVKEISYAPLASEIPSVLQAVFEPPPQVEVA -AATNFSKRFVNRVDIVLAPTQKADKLQPVAEYLQAVVSKTGPMMEAQLASKIGRPVPKELKRVTFDAPTL -RSVVVDTPPSLVSKSHVSAKVLLALKTFPMTKPYPTIEWLNILASVSQRKLVVKTTVTDTLTNYKRVAFF -LNEEVDKHVLGFIEGLQPAKDLKQMFVERVYGMCYALHEHGVKIFQVIGKKDPEAKIYKMPVVMHPEETQ -EFDAILKNLTASAHGDTWAFIADADAREIQPDTTDAEIREISNQLEYAKRLVGNLQTTLEKLIERKYGKQ -QQKESGSKAKDAPKRPQKAKQQQQQQQNKLYIYPDIPNPSLLRECSLEFH - ->YP_009337778.1 RdRp [Hubei permutotetra-like virus 9] -MDASNPVVDSSKRRVRDIITDVVTRRRQDLRYLSTVVSGARRIYLSSLDRREAGDRVLESEMTAFEEAVP -DVDPVAPKDEAFAEFLRVVDSVPVSGILLDGRPLHMPGVHQKQGLVTTARTYGGSQIDREFVRRMLEYRS -VDEILPHVTTVYTRGTGSGFVNRLRTLTSRKVPKTSKALGLSRPKMILEFAKLLPVDIEKIKARAVTWHD -DLAEQLDELRISARSGAGAPYWTSKPEALKPMLEAVLPMLHEAISDGTLPRLRAEQPELFLGEVKNKLDR -YDLAKLDDKTRPYFSLPFHLQALFSMMSQPFTDALELFHSGRGVNAYGFSWAHGGGQKMLEWARECRNIE -SGGKPRYCVYGDDVDIYYRTGGQLMRISPDFKQMDGSVDDDIISLAVDYVVEVLCLAWGENPFFRRVADL -WKEYATNPPFLIHGDRVYMKNQREGLMTGVVGTTFFDTAKSAFAYSAWVEQIQYGQRELLTSEQATAYFK -QKFGLVIKEGTWKPTRVQEEPVPGRLVNENKFLGMMMMYRGGPTRTEPVPYLPDDEWTNLIMVPRDDPEN -FRRGRPKDSQLTVSRRWYDRARGYMVTGAFSNPLIRDWIHGFVNGLDPVSIVMNVQEGDGRGAPPDSAFL -EDFQYPDSAGFPTEEWCENLYFSSDNQWPEAAWVHLIADVEERLESFRANYRPMAPKMAVLEVASGHPEK -RGVVHAAQQIIEWEETEDLVDFSYEVERMTPISGPEPKPWRAQHPRSKIVNMSRGKAVGEAKRLPTQREV -FWEFFETKVAPEPAPRLELGKIPSALWVDLVEISRQVGFKVYSTPVLAVRKIAASTGLSPERVESLAREC -GLYVLGRREKFVTKVRLISGEPFLQKQQAKQEAENKKAVGVVSEKTTPALRVLKHSEPAEPLTVLVVRNE -HATPPPLSRTTRWRGSQSAANSLNELFQANQLVMKIHSRNVVKKGEPQQVETQLAWRHVKDPGRPFTWFM -RALGGGTRQNVRDLYDAVVAYFDLHSLRSESHSGWTFDPCAAPKPPQLWADEVAGEERARLVDSRGKLFS -VRGPYLYPERELGPWLAIDQGKAVIENVRWKQRRSETLAGFLRRTVKRLATHGLTVSAKTPKSQPIDLSP -KKPKPE - ->YP_009337764.1 RdRp [Hubei permutotetra-like virus 10] -MENIMLQRQDYNRLQKIIATAPRTPAPFKNPVELEIEESTLKRLTRDIPVQGTLDLSFLVKGSEVPLSPE -HTPGLNQKGAFVSVNPVFKPASRDRKVVGAVLRRGGRVEDIEKLIYTTGSKSGFSERLKLWATARAQNPE -TELKERLLNAKDIIRKRLPVREKLLPDWNATVEEFVAGVTVNKSSSAGPPLYRPKYQCTDEYMDIISEIM -KEGNQDHLTEYLEGREEFMIAQCKNKQDRYPPDKLDEKTRPYFNFCFPLQFYFSALVQPKTHCSVLFTES -EDSWNAYGFSWANGGGEKFFKWMKSCREKEVKLAVYGDDVFLVKREGGLLKACAPDFRSMDGSVHKKDAE -WFCEDLIEAFERQYGKNNFWSYFGKLLSRSLVGAKFLVDGPQAYVNETGLMTGCVGTTGVDTQKSVVAYA -CLLDKAEQKEIDLEDGEAVSTYFRQKFGLIVKENTYEWKVVEEELEEGEVPVDLEFLGVKLKMRLGKERL -ECVPFKEENDLVSLISNARIPPEAKGRATIKDRYLFDMARGYMITGAFHSEVVWNICCDLIEGTKTEIVC -QRVQSGKKVEVAPGKWEHTGQSPELEQLVGSDFQWPTSDGWPSQEFCLDVYLSPDNKIGGEWYSCVPSLE -EDLKKLRSKRVTMEAAKLKEQGPKFDWALDTDLEEKASQLEAVRDSKIDPRVDREGYLRDLVKERPLMRP -AKQPKNFLRLKGQESRSKDQQIGDFLEKFSSVLEELPDQMLELVVDSPPGYYSRVMQGKGWRPNPDRKVW -ERGSPVPVNPHVWGRDILMTLPDFIPTEKKTVRKECEEDKPTEPFTLVPEYVKLLPNVKMDAISLVTGSF -SKAGDILTTSNEVLRLSPDSWIKTEVRVRNGTGIVARAYAKSGKAAKEMCFENMLEQLKERERQPTSFKQ -QTEEDGNRSTESEAAAETQTTEREEENGEELVQDVCEVREGTGGGDGGVHL - ->YP_009337742.1 RdRp [Hubei permutotetra-like virus 5] -MDTSNPIDNADRVTIGAALKSAELVRRQDLQFLQELTATARRTILKVETKPLDNGEVKLIRSQYLAQLPK -VDTTDEPEFPDVLIEKYDQLPVCGIIFERKPIHPPGRNQLAGSVSTRRVFGGAATAAPLGFQQIAEIAGS -NVLQVPSVYTAGTKSGFYNRINKQMGRKTVSIQKAYSRVLGRNVSQRELVRDLMEIMPRKRTPETFPDLE -EDLDIQLSSLKITRNASAGAPYWRNKGECITEIIHGVLPHIVAAIKDGKLEELFREQPELFLVELKNKMD -RYKVGELNTKTRPYVAVPAHWAFLFSVLSQRFQETLEVFDGNSKSANAYGFSSAGGGLTRMYKWMRNTKK -GEPRFACYGDDTCLVVNHKGTIWRVDPDFQQMDGSIDYDDVKLTIAWIMNTFKQENPYKDLKFWEVVCNM -WLDMAVDPLMILQGPTIYVKRYKSGLLTGIPGTTLFDTVKSVLMYRLYTLEYASDPQRMLDASNATNFFQ -KYGLVIKPGTWSPAAIPMTPEEDCLITDHKFLGVQMKFATYRDKFIVVPTIPTEEAINLMVIQKDDPFNF -KNSATSNSRLLFDRMRGNYITFGFSDPIIQDIIHNVVNSIDPVAIVMRTQVETGQKPEHLIMSDYSYPDS -SGFPSRDFCLSLYAGEEERAHWIAIYPELVEIITDLHKTGRNSKLRIVKQDPNMRIEEQLEPEESLPHKE -FAVVAVLPNVTGTIPKKVDPNKRSRIVDEHGQPGKYLPDLAQTIVYELKKRGIVSIKDLCFHLNLDREQI -RRNNRGFYTTGFSDEDLASLHPIETAIATSQEAVLEQCTQQAQIIKTSNFGENRLRQLQEGKQELSAVVE -TAPSKVYIDTMYFTSIPGEPKPGKDQNECIRNMILWMSAKCRVTSRWRTHMSPMNDLFVFLEVMHQGRAR -VVASCRCLNQKLGKAYITRIVYVLKDVPVPSDNFTASSADGTSWAAQVEAEKRPLPEIVDYVWKRTEDYK -KQIKTGLERMDLVIRNPSVATTIGKGAAKAALGTVESYPDVDFFKDFYKSMPTSNRVPKKAPPHHF - ->YP_009337703.1 RdRp [Hubei permutotetra-like virus 8] -MTDCSNPIVDHSRVPFGNLEDVAAVRLRQDLGALRALLETSVRETLPAPEHRVDWEGRVKADISKLNEGL -KIKVESGVLDIKKNTSLVEYSEHFPVSGLRHEGQPVHPAGVHQKEGWVTTQRTFGGNLRRIDEVQAFLRV -FEPEEMLEAATQLTYTRGTAKGFETRIEKLVTRKCKSVLKYPYVSKDLNSAVKRLRELLPLRKGSLLPDW -GGTNLEDLLETIQTTARASAGAPYWKDKCDAKEQLLFAGLPELVEALNKEDPKAYLSLFDRDPEMFLCEC -KNKLDRYETSKLDTKCRPYFSIPYHCSVLFSILSQNFSDNLELCHEGDGANAYGLVWAHGGATKLAEWVD -KRTKYQKPTFYCYGDDTDFYWRDQAGELWRASPDFVQMDGSVDRDCVRVAVEYIYTSFAEQHGQSRFWRR -VCDLWIAMATDPLFIIHGPTVYRKPTRDGLASGVVGTTFFDTCKAVLSYSSFLDHVTYNNMHSYAFKQKT -SDKFEVEEYMLDSCGLEIKQGTWVPNKVCVQPQPGNLFCDSKFLGVYLQWRQGPEKVELVPWLPEEDWLK -TILNPRDLHEDEVNKKPQDYRSIIRQRTTFDRARGYLITGAFSNERVRDALFHVLNSVDPVAVCMEVAGG -GGKGEQPEISNGLGNFQYHDSSGVPTQKWCENLYFTSTNQWQGDKLWLQPFGAVADKIGIWKREERVVNP -RLTVKHGEIELVGKKELVEVEPPPVLSAGLTQVKKLKHEKPVTFAEALKKPNVRSELRDPVTKEKPKHSG -TDVDHFLAWLNEEKKLTGSVGMPGVPLVCFRNAFGLSWMKAKERVFKAGFLIQGRDQWIVPRFVSGLDEE -GNVQKQVSAAKTLSEVVGPLTKGAKQVLQKVPVSNPLAAALKPKVEVSWVEDIDEKVYILKGLLQASLDH -GLKGLSLSEDKMNDETFWKKVSHLKFKDQHPFKLHLVDRSRRIVSKEGQSTLSAVFFLEMRHKNGASLDF -SQLGAVKAPLLSVCRNTFYHLLREKLRGFQVEIPVPKAAEFKNDSQTTLLTALSSGVPEENTAGDILHGT -DQTHNWGDHVERQDVLDKSRPNIVFTGHFQQHEIDEALAWLQVTPPPSGEGPLEVSRVKVKDKEVLLVRR -VGAEIEEARNLSYTTLTQEGLSTVVAENPGFKSEKSPIKNLIAITHNDERKESSENYRKGRKTAEQRHKW -NVRDGKRKKRRKLEKKQRQEEGEKEAI - ->YP_009337667.1 RdRp [Sanxia water strider virus 19] -MAPIPTHLLTPFSDNHLAFQTSIMDASNPVLDSSRMTIRSMMEKHARRQRQELSYVARLAEEYPRVRFGK -GVAKEMSREDKATAWAQIERKTPQLDTSPSLDLDSFLDARPPCPVSQVSYHSVPLHPENTCRSGPHVSAT -RMFGQIYKDKLMTDRLLALEPEFVKEHYYDQVYSDGTAQGLLNRMRKQMSNKVTPLSQLEKVAKTNLSTK -RMSTLIGEMFPRKKTLPDWHRDLDLQLEEVVQSAKASAGFPYCRPKGEVLDQVVCAGIPLLCDAIREGKL -AEFRAANPEFFLCEVKNKRDRYETAKITEKTRPYVAFPAHFQLLFSCLSQPFTKDLLLFHEHPKSVNAYG -FTMAHGGSSKIRYRTAELRELGSKGGAPICRHYGDDADIYVRRGGKLLRLCPDFSQMDGSVTHETVRLTV -DWILASYEEQWGPSDFWRTIGDLWVEMATSPLFVVHGKDVWEKRTQDGLMTGVVGTTLFDTVHAALAYWV -WVERVHYQRQYALLEEAPAIKLFKEMGLVVKPGTWGFETVNENPVQGTLWTTQRFLGVQYKWETGPREVE -MVPHLAYEDWLSLLLCPRGDEDMRSSRTSELTRERVRFDRLRGMIVTGAFSTPEALAIMYELIDEVNPVA -IIMDVQSGDGKGVTPDAIALLNEDFQWPGSEGVPDWKWCMNLYLSPENQYEDAQWKLVIPEAHAALSDFK -VRYRKDLKKLATVQKDVEGKPSAAAMLPIPDPAPKAQIPSMEIPTSGSRVPKTSEAYNKTTKPVDVTLDD -QGLAVEERTRRPTDVEQILSTFRDLPLSPKAWEEIVAGATETQRAGLEALQGGTKVVQPVFQASTLSWKL -NWTVSKVLSTAQKAGLVVLPGGPKRKSLITALPPSDPSALEPIDHAAAAVERALDNSRPEPKEWSREHSQ -LGLSRLKGPLTQEQAAKVCKEFLAHGYRCPMPKCKFGKGNAAPLTFVEVTLHWITNDPHQDKCLLSKCEL -HDGCPTGPPMQLRPAKEITLLKKQAERLDGPQAEVLPPYPRPPLKFSSMDLEEIRSIQAEDPSMARSVFS -SMCHEQGVAVVFTTKGTDHEKGAVNAPTYGEMHWTRIKKQGQPTPSFKREPLAWNLYLQVLGKKRELHNV -LLTTALASLSDLGKPSLPPASWYEAAKVELLPTASKAYSTVKTPAPVLLNSKPSKTPLSQSISHAYETKP -QPSKPKTESKPISKWGKKKPAAPGQAKKKKGPKKPSYGRSGATGSLPNRNSQ - ->YP_009337650.1 RdRp [Sanxia permutotetra-like virus 1] -MSNPIISKDRYTVEEMLQQIGVAKKQDLRGLAILAQGAPRDLLSSLADPDFSQAKVLLGGGVKSLEIQQP -IDLTCLTKKADYCPVSNLKHQDVQIHPEGTHQTRGQVSSKRNFGRVGKQDSILSTAALAAYPLDKLEEQR -DAVVYTSGTPEGFSTRLRELTTRKCKSEGKPTRIAQKLAKLLPTTMLPDWHDVDELFAQVKLTASSGAGA -PYWVPTKDAMASMLGTVLPLVLEHIEAGTLGTLAKEQPELFLTELKNKTDRYAMDEVRRKTRPYVCQGKH -FSFLFSCLTQPMCHSLETWDKTDTLNAYGWSMAKGGINRVYERVKKLQELAIAKKTTQFKVGLYGDDAKL -FMCTKDGSVYAVDPDFKQMDGSVDFDTIQGVVRWMSNMYTEQHGESPLWDRVLSLMAIMASHPDMIVEGT -TIYKKQKDGLLSGAVGTTLFDTAKSALAYADLIDQLETDNSMFFDAKRVTAWMLEKHGLVVKPGTWEPEQ -LDMQPRNNEYWTTNKFLGMRFLWREYEIEGQIKNTLVPSLFEDEWLELLLHPRDDINSVGKALSDVAKQR -QSLDRARGYLVTGAVFDPTIRDVLFKAIDMVPAAAVLMSVAAGDGRGEGPELFQVVGEDFSYPTSEGVPN -LRWITMLYSSDPPHKDDWKPIFPTLHEKIMLSRAPWKTRLRNLMLAKSLPADPMVEIMVMDTKPSVAIDF -PTPSTSFAKKGVEKTMPNLGTARVKLQSRADYVEALINRDSFLPIAQQKPVATHNKKPVPTPRTILPVVS -IPEGTLVVDREDTEAFNITVETDIRELTKLTLPLTATQPDLAWVNYIAQREGMKFVFYTEVTRYQQVTDS -QPIPIKRVTGYLQSPVGDRTRIASAETSAKAEIVRQAIIYKIYAVMVELHAHNIIRSRNVSKKVPGIIFN -IPYTYTRTESVQVEALARAMVPGDVWAYDNEVDERLNRSEQLHSTELQLLNQVKVAEGLLQKFQQAVSKI -QKDRHAEQQKYEQERPKTSSQKAKAATKNRGRATQSSKSANGGPRNW - ->YP_009337623.1 RdRp [Hubei permutotetra-like virus 3] -MDASNPVNSAERFTLEEMRFEHLRKKRQSLQALQDMAQSAERHYFGDGLYNNERYSRVFEALEKATPHFD -WYAEPWDLSFLKKMPPIPVDQTKHETLQIHPPNKNIAGGYVSTSRIFGSPQGLDKGLIQGLSQVFNEDEL -IEAGSKYCYCYGSRGGFQKRLKMLMTRQCKSPYTPPQVATKLKRLMPRGPLPNWHEFDAMMEAVTITAGA -SAGAPYWKDKRDALHECVGTILPVVVEALNDGSLQTLMNGRPEWFLVEIKNKLDRYEVTKLGEKCRPYGN -MPMHLSLLFSALIQPFCKALHVWTKSDTCNAYGMTMCHAELYSWTSRIRQTALKAIKTKKPHYMFGVYGD -DGEILRCMKDGTVYAVDPDFKQMDGSVDYNTVKGVCKWIGDSFAKEHGDSPFWRIVLDLLAEMATNPLMI -IDGRDTYRKNQKDGIISGVVGTTLFDTAKAAIAYSDYIEQCEYDLSLFDNQDRSVKYFREKHGLEVKEGT -WRKTVLNMNPDNAEQLFRETKFLGMQLKWVYDPSFDGPILVPSLPTRDWLELLTTPRDNAETKKKESNIT -HQRRTFDRCRGLLITGAVWDPDVAEALYMAIDQVPAAAILMEVQAKKGKGAVEAVNDLILNEDFSFPSSE -GVPLRSWVAALYALTEEEKEQINSDDPFSIAFQPVYPSLADFIRNRKDTWKERWKFMMKPSTHPQLEVSL -KYTEYDFVNTEPPLPPIPVASTSFAKPLKKVIIMEPFKTKKHDGDEVQVAIAPKQSLQSIKEYTEKAMIK -DPTPFMAAIAPHLETTGIDREKVVEYAKNYSWVVDREPFDRTFVMPQLNAADAPKQIVRRFVEENGLEYV -SPPCVTLDQRTDLKEQTVEVRDPLTNNQIQLARLRTLGVRAKVMEQVINEQFATYLRTLEDVLPRSRDDS -PTSSWKAIADAEAVEADTSVVSSVSTSQAARITKDIINASIVALKKELSAFITTELNKQLKKEREKHAST -TAKKKRKNGKNGGSSRKTEEVPSGSRNQTSKSSSRRASDDSESHSPPS - ->YP_009337378.1 RdRp [Hubei permutotetra-like virus 4] -MDCSNPVNVNDRITVGDARIAAAGYIRQDLASLKNAAAQVPRNYLPTSTPRPPDVVRADLLRVKSSRRAV -PNDPEGLVALQDLSDFLTLEAESIPICGYVGPQGSVHPSGVKMKLGRSLATRTFGGQTRDDIVLEAALRH -FPYMDVAAAYSSAVYTSGTPSGFLERMRLNMTRPAVSSLRTAGVRTVAQLAGLMEKLLPIRKAPVPDWNA -DFDVLLDDVEITKEAGAGYPYCRSKGQAMRDCLEVVLPNIVEAVTTGTWEQLMTDQPELFLVEVKNKLDT -YDTEKLLTKTRPYMNYPMHFTLFWSFMAQHYNELMYVVGDGPPTSNACGWSMAGGGGLKKIKYIQTQLKK -EGDWFVEAYSDDVVIYYKQHGEIYRISPDFVQMDSSIDADIVRARLYNIRKLFKEQHGDNVWMESMIDLW -EDMALDPMLIVQGTKIWRKKAKHGMCSGVPDTTGINTYKSVLAYHKMLESHDPRTLTEDVARKWMRNHAG -LEIKAGTWTVEQANFMAPPGEPMCPQTFLGVQWMNTEEGAVVPHLSTEKWLRMLVVPKDKDMDTYSLSAA -RRRFDRMRGYLVTGAAFDPRIVDVINDVLNGITAEAVIMQVQAGNGRGEAPQEGLLLPDFVFPASDVVPS -RANVVEIYSGGARSAWTELFPGVKVLISGHMELNMRKFGKITPYRARLAGTGEGRTTDVMVLQPPEAFAT -PADNPGISGASYKKGKRTKPGSKCKVVLPDGAQGATMPTVEDTIDHILRETALPLPLLAERIGKDPHEAI -KFVYNAGYDAREPLLGLKTEAQGPALHSQFPIKERARVLDTKVLEDGKTTVPITRPDTRTTPLRGTVVLE -EFNGEAVAPPRDVKVIHHPKVTSSALVGDPVSYMVHLLTKATGETGSWTTSTVPTRVESGTAVLFHAEYT -HAGFIARAVANSIRRAKSFASRVMIDKLIREHGVPLPSAYLPPTPTQVMEAAANARAESLKAHNNPSWRT -AQNNQAKLDGAQQRLAQVDERLTSRLGGQPPLETLLIERFEKLSALLTDRLSDAIQQSRGISLEVEALDS -RKKSKTKTKKSSRRYDISSQNHTKNSDHDETYQEETHYEDYGEGTSRRYDQPHHETARSKKKGVRRRGGR - ->YP_009337364.1 RdRp [Hubei permutotetra-like virus 7] -MDASNPIIPNTLTTMSNILDRQARMTRQDFSLLRDRLSTQARHLLEVDVPRLPPEQVQEILKEYKETRVA -VPPDSKAPLEFPTLDLYAEEIPISRKLDFDGRPIHPAGTNSKQGRVHLSKSFGSQPRPMEGVSYLAEILG -RDVCNTTHIYTSGTRAGFQKRVAKQLGRKAVSLAKMFREVRGLGKNPTAKYVNAYLDTLMPRKPNTNWPG -VHTDLIDGIMDGIKINFKSSAGAPYHRPLHLCADMVLSTGLPAAIEIIKNSKPIHDAMEDDVEFFISELK -NKTDRYDVNELMDKTRPYCCLPAHWRLLFSLVSQGFSATHPTFDEIKSANAYGHSNAHGGMRRRYDWAMT -ANTRGQVIAYGDDALISIKRGSDIFLVAPDFRQMDGSIHREDIKYIFRWILSHLIRDAPGADVNFWGPLF -SLWEFFAIDPILMCEGSKAYTKKNPDAGMLSGVPGTTLINTVKCATAWDLYLEHCANTGSDALDPDMARR -FMAKHGLELKPGTFSPQRLPTPDEFQHGLLLTTTKFLGLQTIVFERNEGEFILCPHLPRDECVSQLMTPR -EDATGPRPSLTASNRTLYDRARGLMVTHCFTQPDVRAALYNLANQIDPTAIALIPQVENGLPPDHVSLPE -FSFPDSSGFPDLSFCLSLYSTDEKQPPMHQFYPNISNVHAEMERLREAAKFKFERVAVERGAIVRAPSPA -PRPIDPIMEVAIGTTGKSTAVNVPKNPPKGSKTVVVGSDATPPKRLPTLFMLIRKIVTRRGGACTVADAA -AYASAEPRRVYDEALNGGLYLTGTDDGDIISLNPIATPFPTAATKQRSDESRIRSLPPRASMAAFNRKRR -GGPPITFKPQLVTINLRELYGVPLYKYKDDNDMLDQFTAAIRKHFSHLRWRPILNDAKHLAERLEVSKDG -LEWIDAAICECPTVKLARQSIAWAVYLANGYEIETGPDLANKTNPDPKPYPALWVPNPNPNPDPNGPWED -WDTGPDLDTQAGYAAAEPLAAPEIAPLGQPDIPLTSLPRDLQELSEHYNILQIKLAYLALCNLGVVDPAT -VILEAVGSSLHPERRRTARERIPDTNSAGPAVPKRPPHQKPQSAKPPRKEKTNIERPLTKNQKIRERKKR -RLAELRQLENGAPTKNKSETSNSSGYASGDGDGPGKENVGRHRYWHKEAPPAPPPVRNTLRRIWWDSALG -DGGLDETQASRDADLSHYLQE - ->YP_009337336.1 RdRp [Hubei permutotetra-like virus 2] -MLQQIGVAKKQDLRGLAMMAQGAPRDLLSSLPEPDFEQAKVLLGGGVKSLDVEQPMDLTCLKKVTDYCPV -SDLKYLGVQIHPEGTHQTKGQVSTKRNFGRVGKQDAILSSAALASYPLDKLEQLRDATVYTSGTPEGFSV -RLKELTTRNCKSEGKPTMIAKKLAKLLPTQQLPDWHDVDELFANVKLTASSGAGAPYWVPTKDAMSSVLG -TVLPLVLEHIESGTLGKLAKEQPELFITELKNKTDRYAMDEVQRKTRPYVCQGKHFSFLFSCLTQPMCHS -LETWDKSDTMNAYGWSMAHGGINRVYERVLQLRDVAVTKKKTLYKIGLYGDDAKLFVCKKDGSVYAVDPD -FKQMDGSVDYDTIQGVVKWMSNMYTEQHGESPLWDRVLSLMAVMASHPDMIVNGTTIYTKQKDGLLSGAV -GTTLFDTAKSALAYADLIEQLEISPEMFFDTERVTKWMLKNHGLVVKPGTWTPEKLTMVPEHNVFWTQNK -FLGMRFLWREYKNDEGEDRFTLVPSLEEDEWLDLLLHPRDDINTVGKSLSDVAKQRQSLDRARGYLVTGA -AFNPRISDVLKKAIDMVPAVAVLMAVSTGGGKGEGPELFQVVGEDFSYPTSEGVPNLRWITMLYSHDRPN -PGDWKPIYPTLHDKIMLSRAPWKTRLRNLMLAKSLPLDPMVEIMVTEKALIEPPAYPEPSTSFAKKGVET -SLPPLGNKKVALQRRVDYVENLIKRDSFMPVYEKKLPPMKEHKVFPKVELPKNQLIVDAEDVSLFNITVE -TDILQLAKLTLPLTATQPDLAWANYLAQRDNKKFIFHTEVISYQQVMDGQPIPRKKVTGYLQDITTGGRT -YLASATTTAKAELVRQAIVYKLYAVMSEMYRHDIIKVRPVSKKKEGILFKIPYTYTDTEAREVEKLTAMS -VPGETWAYDNEVEERVNQRREHTGPTEIQLLNQVKVAEDLLKQLQQAVSKLQKDRHAEQQKYEQERPEEA -SKTKAKSQTTASVKPKRKQKTPSSKSAYGGSSNR - ->YP_009337318.1 RdRp [Hubei permutotetra-like virus 6] -MDTSNPVVSSDRRSLREAKELAVVKKRQDLEYIMNVAQTSTRVILPVGERALPEAEVKSIILNLKDKEPT -VRTDSEDMLDETVFQLVAPSMPVCGLLSQDGVPLHPPPIHNAKGRVTTNRRFGGGAYKPLGIRPMVEILG -VRTQEITKVYTHGTWQGFSNRLAKQMGRKCVSMGMTIRNSMPGVQMDKNTPRRIFFDILNKKMPARKPSG -WPSLSDPLDTILDNIKITLDASAGPPYWRNKVECMDQILSEGIPLLVKALKENRLEQFMREEPEFFLCEV -KNKLDRYGVDELDVKCRPYICYPAHCALLFSVLCQRFQEKLEIFTQNFESSNAYGFSSANGGLKKLVEWM -ISTPRGTSRYICYGDDTRIVVADRNGDRFMVNPDFQQMDGSVDAQTIAWTIDFIIKKLEEEDGEENCFWR -AVAKLWKLMATNPQFVVNGTRVWKKKSSDGLMTGVPGTTLFDTVKAIVSWNFCLEASARGEVNLLNSQDV -TQWMRDQCGLVIKPGTYNPEKLPGEIPNRGELCGKGKFLGMQIMVEEFEGETVFVPTLPEEEMIEMLVVQ -KDDPYQKTRSLTANQRLLFDRMRGLMITFGFTNPLVVSTIHNIVNTIPPTAVLMETQIKGGSSPEHITLQ -DFGYPDSDGFPSVEFCKAVYAGCLERDRYWTPLFPGLAEVFKELRENGRHYLRSVRLVTREGVEQTVVRE -DKIMETNVLPVALEEPRVPTNLPDFNERSHIVDLRGATQKFLPDLGQSIRRLLDEYGGSMYVGEARMRFG -CSSNALMRAASKYGFWIDSLYDDGIISKFPIQTPIPTIQDHIRINMEENKSVVDKGTEQRLKAIEQLDVS -DRVVPAVAVLTNPEEMYLDAGFMAGLNRAAISWRGDTDPLQVLGQFLARQPSHFQVKWSSKVVTQTPDRI -QAQLKVISQTFDGSRLVGSVIGPNFRMAKVYLVIHIMNVFDSMLVQKGESEIFRDYLDQRKSIFSPRKPL -PPLNPGLEPWNWVEEIENTIPPQWEVLQQTREDPVLREIVDFVNQRFAFATESQKVFLYNRTNELTLVGF -TKKPLMIQMLVKELYALLKEFKKRDATELRRMYEQTGDSSVSDQTIYPPPAAFADAEEEVPVSQQQVSQV -VEVYRDAQSAIGDKLRPETLVVDRETSSSAAASRAVGEDVNKKSQRNRRTHEREKRQLREARQLRSQRVR -DTEQIVRNEPSTGRANRSRKNMTQEMRTKLNRKVLERRKRQRKELTESKSS - ->YP_009337300.1 RdRp [Hubei permutotetra-like virus 1] -MSNPIISKDRFTINDMIARHGIAMKQDLMGLRRLAEGAPRTFLSSLPDPDHEAAKLLLGRGVKSLDVKDQ -SIDLSCLNVYKESCPISTLQYEKAQIHPEATNQKDGKVTVRRNFGRVGAQDALLSSAALVKYPLETLEEL -RDATVYTSGTPSGFSNRIKELTTRTCKSEGKPAWIAKNLSKLLPTTELPDWHDVEGLMESIKLTASAGAG -PPYWVSNQKALPAILDVTLPLVMRHIEEGTLEVLAKEQPELFISELKNKTDRYAMDAVNEKTRPYVNQGT -HFSFLFSCLMQPFCSALQTWDEDATTMNAYGWSMARGGINRVYAKVKTMQDLAIRKKNTKFMIGLYGDDA -KLFMATKDGRVFAVDPDFKQMDGSVDFDTVVGVTKWMSNSYTEQHGTSPFWDRVLELLAIMATHPPLIVQ -GQTIYKKKSKDGLLSGAVGTTLFDTAKSALAYNDLINHLETNPEHFSNEAWVTKFMKDKHGLVVKKGTWS -PEEITHRMEPVHGELWTTNKFLGMRFMWRQYKVLDEVKYTLVPSLDETEWLDLILHPRDEINTDGSVLSS -VAKQRKSLDRARGYLVTGAIFNQAIAQVLFKTIDMVPAAAVLMAVANGNGKGEAPAEYQIVGEDFEYPNS -EGIPNEEWVTRLYSDDTPNPAHWVSVFPTLQEKLQLARKPWKKRLRNLVELASLPADPHTTVAVASYVPP -GEANLPVVATSFSAKEKVKDVPPLGKTSGAPQSRKAYLFAKLERDGFGDLLRPQRAVEQAKPKNAMPTIK -QQHWVVIDETEADVFRIMVDVNFDALSKYVMPLTPAQPDLNWLNFIDPRNRGVVKFKTKVIRYDKFEDTV -TPVAFKRIAAVMTYPDGDVELASTETYRDAALARKAITFKIYATMSNMVEAGKITTRSVFKKKGEEGIMY -SVPLAYTKKDEEEATPEKAGWVLNVSVTENLEQQEQAREEEKDPYELGLLDRRQELVRLLEEIDKFISMQ -SKNSTDGQKKNEKPKEQKQGTAATTAPKTKTETKSSKHNRRGTRNGKGNPPSPQSGGKRDDIILH - ->YP_009337276.1 RdRp [Hubei permutotetra-like virus 11] -MDASNPVISSDRATIQSQLEKVRGTRRQDLGYLQGLASTTQRIQLPTNINIPLLERTGIVEKFKTNRPEV -PTTKDIPLDDKIFMVELNECPVSGILNSNSLPLHPSGVNCLGGKVNVQRKVGGIPTAPFGLGDIVAVIGD -DVLNTKHVYTSGTWSGFVKRLNQQMGRKTVSLRKTMGNMGKELSYDACLKLLDKYMPRKPNYDWPGRNEA -NFYEDLTTKIKVTMNSSAGAPYWRNKSECMEDIIDVGIPLIVKAIKENSLDKLYMENPEMFLCEVKNKTD -RYEVSKLKDKTRPYTCVPAHWAFLFSMLTQGFQETLLTFDQDPSSSNAYGFSSSNGGLKRMVEWMYTADR -RGKVVCYGDDACIVIKKGDKIYRIDPDFKQMDGSLSQEDIELTVKWVMAHFEKDAGKQSLFWRAVAGVWA -LMSHNPVFVIDGKTVYRKRNPSGLMTGVPGTTLFDTVKSVMVWNKLLDVCATGQGDILDEKWVTNWMLQN -GLVVKEGTWKPEELPKQLDHGDLVTTHKFLGVQIKKIIWKGTEQFVPTIPYEDAVEMLVIQKDDPWPTNK -VSNTMKARTLFDRMRGLMITMGFNHPAIVDCIHNVVNNLPPEVILMQVQNGVGEKPDHITLQEFNYPDSS -GFPSVDFCISVYADGTYDEPWIKLFPNLDDKISAFKEQIKQMERKYRELLGVQDPQYVEIEDKPLNQEYQ -LVEAKTPVKPPKFESVNKRSKVCEVHDDRIQDRKYVPNLGQSVRIFLQKGYGLAPVGVVAAGLGVSARRL -YREASNWGYYMTGWTVDDICSLRPIITAFKTHQEDIFDDMEGKKTLINKSTEIRQESLQKSGEVVRSAPD -LVLLRKDILLFNQSAPVSQVDNADEAFKILNLMVSREGLQYTFTTKVDATKVNSVGVVMVARHSSTGVPS -GWTPVAEAWSLNKKLAKEFIARSILEMNGIECEESKFSVTYVPPILEESLNWADEVENTFNPRQIPRVID -EKNSNVLPDLDVYQELEKLRPYIPKKFLGYAESLFVKPNPQEHLKAALEMLDKIDRQVHHWSSSDSDTSG -VGSSSPSTPSKRSRLTPARRTKLNRKLTERRRRKKASSTTKP - ->YP_009337234.1 RdRp [Beihai sphaeromadae virus 4] -MASFSNPVNASEKLSGASFLAVATRRRRQDLSFLEGQLDKCTRLSPQFHLPIRDIPEIKSTGKGDMQRAK -TQTFDVPDNFEKLPPIPNSKLTRNGELIQKGNLGKRFYNSQKTFGGKNVPDNLRQTIRHALATRIEINGP -AVESCVIADELMATGLEWEYSAGTTQGLVERLSRFGKDDKTVSFFRQTDEIRNFQSFLFQALDTLLPLKS -TPFETSFPSTSTEVIEGIRVNPQSSAGPMYGTTKVQALDDIMLTMDAIRTQFSLGTLDTFFAAHPETLIA -DCRNKTDRYEIAKLPEKTRPYWSFNAHWQFYYSWLMQRFCDNLYVASDPECDVPTYNYYGHVWAKGGAQR -IHESIMNVAKTGRPFVGIYGDDFLFAFPIKTDEGTTIQVMAPDISQMDSTVSHAVIRGTCDYIYKQYQEA -HGDDPFVRFLLTRLAEDASSPRFLASAIATYQNQRPTGLATGVVGTTLFDTVQAALLAFSVIEEWNRTKR -SPDEIFNKVSKKFGMRAKSLELYEYNGLPPAEGTEWTFLGMQLATYSNSKHTNGVIPRLPRDSLLKSILN -PRINSYLFSSKLGTQRYLFDASRGWYLCALGDRLIWDVLSSVIETVPTMAVGMVVQAGGGLGAPPECNGL -FDVNRPFNWLSSFGVPTMDWVADLFLSPMDPDPAGWLSLLDPPKGRLIVEMQDQVDLSRYTIRPTPNTAP -HSWETREKVTDTNLESLVSDNPSGLGPQVQRPKAQHLPPVKAPPFKIRLSEHLSKHNITAFVPSELAAEL -GVSVTTILTELYSKERETKAPEFRWYSLGRILTKDPVDTVQPSRILWNQYSRILSELGYSNPAIQPARPK -AGPVPAPRYSKMKANVPQDFATSNSTTISDCDTSPAIRVSGPDQTPTSQTVPQYTPKPAQMMYQRIPAKT -GAVVCALEENLVAPRAEGLSSGARVPLADQVNSGRIVHQYFNWDPPSPILSTSPEQTLPLDLSTSESVST -PDTTSTPDTVMYRSPPIEGSQCYEEDQSDGSESSWTSYASSVSGCQRFDYPNRRSHPDAFPNQEAQSFRA -AKEQYERYTESRRTQSPPQSPPPRWPTPPPPIPITEGSLTYTSVSTTTSNSKQVASGDRSRGSGAKPKVS -THSKKSTDVPSQVPVKSVEVKGRTFTNSTRFSGVEHKPVKTTNGNQRVFYKVKSKDFRHPPVPPTIVGFY -DGKHNYYVPTYKCQVDSKVKCVNTPPNPTKLGNFAWLGSGVSSLCAWAVTGKFELVFDFTHQPDKMLFQC -SIYIDGKLMISASRCKSKKEAKEIAAFQFLHSCFLHRTPRDLIHHALKTPH - ->YP_009329862.1 RdRp [Beihai permutotetra-like virus 2] -MDASNPVSSGDKVTFADLKEDSLLLKRQNFEELKKLIGTSPRTPAPYVGRNEYDYDEDVVKDLIKPIAVA -KGNPEVGFLTEAAKVRVCSFHTMGENQEDNNVSMKQTYKIAKRDRKLVEVCLKSGAQSDDIKRLIYTTGN -KEGFSKRLRLWATKKVPSPKTAMEKYNIKFEDVRAALPVGKGLPNWNGNIEEFISGVRVNKSSGAGPPFY -RTKRQCLDDCFDAIGKLIKEANQDHLDKFLRENEEFLVCQCKNKTDRYPPDKLHEKTRPYFSFSFPTQFL -CSALNQPFTDNMLLFHESGANACGFSYADGGSQRLFDWMCDTEEGEVKFCAYGDDVRMVKREDGVLYSCS -PDFQCMDGSIHKTEAAEYVDYVLHCYEREHGPSNFWKFVGEVWKKQLVGAKFFVNGSQPYRSETGLLTGC -VGTTGVDTFKSVTAFVTLIEAHKHYGVDIMNVEEVKNFMRENFGLVLKGDTYQWDEVQEELEEGEQPNAQ -EFLGVQLKAVTGKNRLEVIPYKEESDIVSLIANARVPEEVRDLKKTTGRARYLFDCARGYMITAAFLHEK -TWNICSRLIEETNTEVICMRVQTGKKVDGEYGGEGPELVDLVGEDFTWPSSDGWPSIDFCVDVYLSPDNK -RGGLWYDCVPALREKLDEIKRYRSLRDPHHVPPVVSREVQTTSWAEEVETERGREQAELAMERKRDPTVD -SEGYLRSFLEERTPLMKPVKYPKEFVKYKATTQSVPKEKRIENAIPEGAEKFHRDFIPLVTNLAPGKCAE -VLISLGWRPSPSESYWEKGPLVPVRDSDWTRAELDRLKEVPRRETKSRVKVARDGKTWCEVPDDVKLSDR -QVDVVSRVSYSFVNAGHILDRKYTVLENSPNPWGVLQVTYRGTGVLVGEGFGVKKDEATSAVFELMMERI -MAKEAGPLTSEIVTTENGENRATESEAAREEETAETERETEERNESGSTQTVAPASEGKDGGN - ->YP_003038595.1 non-structural protein [Drosophila A virus] -MDASNPIISSDRSTVNQVYAKMVRRKRQDLAYLQELVRTAHREILPQGELPHESEAKQYVAEYKARLPVV -DTKKVGELPTEVFQVALPSIPVRGIMDAVGVPLHPPSINNRGGHTHVTRQMGGVPHPPLGLIPIAQKYGV -EILDQKHVYTGGTWDGFLSRLAQQMGRTTVPLARTVSAGKKNASDRAIIKLLNKYMPRTGNKSWPGVHTT -PEEGILDGIKITAKSSAGAPYWRHKGECLDHIIDTGLPVVLKHIKEGTLNQLWRENPEMFLVEVKNKLDR -YEVSKLKEKTRPYVCVPAHWALLFSCLTQGFQEGLQVFSNLDPSTECSNAYGFSSIAGGLTRMVDWMYAC -PKRRGRVVCYGDDACITFWSQGVLYRVDPDFKQMDGSIDREDARITIEWVLHHLRKDLGVEETPAFWKTV -AAVWLDMAIDPHFIVDGKTVYKKKNPHGLMTGVPGTTLFDTVKSVIVWNEMLDQASVGSIDLLNEAQVVE -WMKRQGLVVKEGTWSPVALPARDTEGLITDHKFLGVQIMGVYHRHRVIHVPTMPESDALEMMLCQKDNPF -EKAVSRTARQRTLYDRMRGLMITMGFSIPRIEETIHAVVNTIPGEIIVMQTQEQTGTKPEHITLQDFEYP -DSSGFPSRDFCLDLYSDGEDDKAGWINLFPTLSGFLDEFKREQRVAVRQINLTVQSNDYDVKEVVGCPPP -PEANLNDEYKVFEALKPQQVQYSEPNPRPKVVRITESGDIPEKFLPNMAQAVVRWLTSVGGVSQVGTVAD -KVGASAYQIVVGAAKGGYFTTGDELGDLISLYPLVTPFPTLQDSQREEMEEKRNLIDKTTAARTSALRRE -IVKTQPELINLDVAGVSNLHPPPHDINTAEDAMAYVHAMASGRFSGFTKWISEVRPNAANPVGVRLYAHS -HHTQDVKLLGEAWSSSAKLAKEYICAAILEVNNIPYKKSSFATPNVVPPPPESSWARQVEYATAPKAVPQ -IVPVHETLDLELFHSIMQQFPGHCPRQVQMLIREVSHKNPDDYRVRIQDLFSRFPPPKVASKRSLLTAEQ -RTRLNKKTLDRKKRKKIASKLLPTL - ->NP_573541.1 putative replicase [Euprosterna elaeasa virus] -MEASNPVIAPTRLSLEAMLEERASVVRQDLQSLRVRLEGAPRTLAPQAPEKQGRDSAQAAAKSIVTELRD -AVKEAQGLEHKSLDFLEQLGEYPVCGIIHGDHPIHPSGTNNNKGKVSVQRQFTTSMNSVDALQVALRYED -VPLVRDIALKTTYTDGSLAGFVERLKLQTTRPCVQEKVSRRLLRELFPYDPQKLVDVSRELSDLVLEIKT -NATASAGPPYWRSKRDALPDMLDCVLPLLYQHIVDGDLATLKNKHPELFLAECKNKTDRYEVEKLGEKTR -PYFSHPFHLSALVSVLSQSFSKALKIMTEESTSYNAYGFSWTNGGAEDLVIWARGAGEVGKEPPRIACYG -DDTDIYYRQKGRLYRICPDFKQMDGSVDETTIKAVVDYVVHAHTSQYPLAKGFWLEVGKLWVEMATSSPF -LIDGTLVYRKKQKDGLMTGVVGTTLFDTVKSALAYKDWADQLLFGDLELLKEKKAIKFFKEKHGLVIKEG -TWNPTAVTEDPGYDELWTEQKFLGLQIKVVKHADTDEKVFVPTLPYSDWLSMWVTPRARLGHKESYTMRQ -RTLFDRARGLLVTGAAFDKQARELMGSVINETPSEIVCMKVQEGGGRGAPPSYAFLTRDGNFEFPVSDGF -PDLAWTTRLYSRDQTGDMPRVFPDAVTLIAAYRKRLMDTRIAVKEGNVSYLMEVAVAETGLNMEMPAEAV -VLPPKPPSIQKPREFTYAENVSTSTGSLVVKKVDKVPDSAGTLETIFQKFMESVNKQIEELRAGQEKIER -TIIMGAALPAEAIRKKMGNVDLRKTAEKAALFTHEEAGDLYLSTNPVLGHPDSFESGERAKEVHKVIGKH -STPERKAREKAEPVHDTGEFVKITGPAPGGVPTQCEPGQTPWYSLCRSVSKAGYQVTTRTEPGLDHNGRP -FVRAVVLQRNMRLKPQPWQEWLVSTGRSLARAKELASDYALELVQRERYKQPLDATTSWAEDAELGDTIQ -LLDRGRHVFSLIGERFERVNPGIGTKHQLTDRGDLMVWQPASGTYVVVAPPRKTRSGARSRTLASMVKSV -VRANVDLRVRTAGEPFFRDPVELSNLKKKINENKNEAFHESEDLRSEPEEYQRGPSSSFHEDSPRAGDEH -SDARQHDRVPHVQQLSTKLQGENRLEARDARRDRREPSLDKEGAEVPQVPSGRPSYRPSLRDPNPRVQQL -EEQVRSLQAQITTIAGVRPAPPRGGARKSGNMWRRGGESRAPQRGPGAPGSGGGASQNRKPRGPKSW - diff --git a/seq/clusters_seq/cluster_252 b/seq/clusters_seq/cluster_252 deleted file mode 100644 index 8c4767b..0000000 --- a/seq/clusters_seq/cluster_252 +++ /dev/null @@ -1,126 +0,0 @@ ->YP_009227194.1 nonstructural protein NS4B [Spondweni virus] -NELGWLERTKSDLTRLFWREHAEPTGGRGFSFSLDIDLRPASAWAIYAAMTTLITPTVQHAVTTSYNNYS -LMAMATQAGVLFGMGRGVPFYKWDFGVPLLMLGCYSQLTPLTLIVALVMLAAHYLYLIPGLQATAARAAQ -RRTAAGIMKNPVVDGIVVTDIDPIQIDPNVEKKMGQVMLIFVALASAVLMRTAWGWGEAGALASAAAATL -WEGAPNKYWNSSTATSLCNIFRGSYLAGPSLIYTVTRNAGIMKK - ->YP_009227204.1 nonstructural protein NS4B [Zika virus] -NELGWLERTKNDIAHLMGRREEGATMGFSMDIDLRPASAWAIYAALTTLITPAVQHAVTTSYNNYSLMAM -ATQAGVLFGMGKGMPFMHGDLGVPLLMMGCYSQLTPLTLIVAIILLVAHYMYLIPGLQAAAARAAQKRTA -AGIMKNPVVDGIVVTDIDTMTIDPQVEKKMGQVLLIAVAISSAVLLRTAWGWGEAGALITAATSTLWEGS -PNKYWNSSTATSLCNIFRGSYLAGASLIYTVTRNAGLVKRR - ->YP_001527886.1 nonstructural protein 4B [West Nile virus] -NEMGWLDKTKSDISSLFGQRIEVKENFSMGEFLLDLRPATAWSLYAVTTAVLTPLLKHLITSDYINTSLT -SINVQASALFTLARGFPFVDVGVSALLLAAGCWGQVTLTVTVTAATLLFCHYAYMVPGWQAEAMRSAQRR -TAAGIMKNAVVDGIVATDVPELERTTPIMQKKVGQIMLILVSLAAVVVNPSVKTVREAGILITAAAVTLW -ENGASSVWNATTAIGLCHIMRGGWLSCLSITWTLIKNMEKPGLKR - ->NP_776021.1 non-structural protein NS4b [West Nile virus] -NEMGWLDKTKNDIGSLLGHRPEARETTLGVESFLLDLRPATAWSLYAVTTAVLTPLLKHLITSDYINTSL -TSINVQASALFTLARGFPFVDVGVSALLLAVGCWGQVTLTVTVTAAALLFCHYAYMVPGWQAEAMRSAQR -RTAAGIMKNVVVDGIVATDVPELERTTPVMQKKVGQIILILVSMAAVVVNPSVRTVREAGILTTAAAVTL -WENGASSVWNATTAIGLCHIMRGGWLSCLSIMWTLIKNMEKPGLKR - ->YP_001531175.2 Nonstructural protein NS4B [Dengue virus 3] -NEMGLLETTKRDLGMSKEPGVVSPTSYLDVDLHPASAWTLYAVATTVITPMLRHTIENSTANVSLAAIAN -QAVVLMGLDKGWPISKMDLGVPLLALGCYSQVNPLTLTAAVLLLITHYAIIGPGLQAKATREAQKRTAAG -IMKNPTVDGIMTIDLDSVIFDSKFEKQLGQVMLLVLCAVQLLLMRTSWALCEALTLATGPITTLWEGSPG -KFWNTTIAVSMANIFRGSYLAGAGLAFSIMKSVGTGKR - ->NP_739589.2 Nonstructural protein NS4B [Dengue virus 2] -NEMGFLEKTKKDLGLGSIATQQPESNILDIDLRPASAWTLYAVATTFVTPMLRHSIENSSVNVSLTAIAN -QATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALFLLVAHYAIIGPGLQAKATREAQKRAAAG -IMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPISTLWEGNPG -RFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTNTRR - ->NP_740324.1 NS4B protein [Dengue virus 4] -NEMGLIEKTKTDFGFYQVKTETTILDVDLRPASAWTLYAVATTILTPMLRHTIENTSANLSLAAIANQAA -VLMGLGKGWPLHRMDLGVPLLAMGCYSQVNPTTLTASLVMLLVHYAIIGPGLQAKATREAQKRTAAGIMK -NPTVDGITVIDLEPISYDPKFEKQLGQVMLLVLCAGQLLLMRTTWAFCEVLTLATGPILTLWEGNPGRFW -NTTIAVSTANIFRGSYLAGAGLAFSLIKNAQTPRR - ->YP_009553735.1 NS4B [Kampung Karu virus] -NENGMLERTKRDFGIGQEKDSVTPWEMPSINPTAAWSLYVAATVFISPWVRHMIKSQYGSLSVAAMTQQA -SLLLNMNLGWPSLKVDWGVPLLLLGVSSQVNAGAVTVAVLMLLAHYCVLISALQAEFSAAATRRTAAGVM -KNPTIDGIPAVDLDVEAVDPLLEKKLGLWMLLLGVAGAAFIGKGIPELIDCGVVGTAVMATFLEGGAPVF -WNTTTAVATVQLLKGNWLAGAPLAYTVM - ->YP_009430307.1 nonstructural protein NS4B [Zika virus] -NELGWLERTKSDLSHLMGRREEGATIGFSMDIDLRPASAWAIYAALTTFITPAVQHAVTTSYNNYSLMAM -ATQAGVLFGMGKGMPFYAWDFGVPLLMIGCYSQLTPLTLIVAIILLVAHYMYLIPGLQAAAARAAQKRTA -AGIMKNPVVDGIVVTDIDTMTIDPQVEKKMGQVLLIAVAVSSAILSRTAWGWGEAGALITAATSTLWEGS -PNKYWNSSTATSLCNIFRGSYLAGASLIYIVTRNAGLVKRR - ->YP_009333114.1 nonstructural protein NS4B [Cacipacore virus] -NEMGMLEKTKSDIVSLLGQRRVESIVSATEGWRLDIRPATSWALYAGTASLLTPLIKHLITTDYISTSLS -AVNAQAGTLFTLARGVPFAHFDLSVPLLLVGCWGQVTLPSVVVALVLLVCHYSYLLPGWQAEAMRAAQRR -TAAGIMKNAVVDGIVATDIPDLEIPSPMMQKKVGQILLIVVSLMALMISPGVKSMREAGMLISATMVTLW -GNSASSVWNTTVAVDLCHVMREGWLATISMAWTLMKNLEKPKLKR - ->YP_009333130.1 nonstructural protein NS4B [Kokobera virus] -NEMGWLEKTKADLSWVVRGRSSTTTPVVELDMKPATAWTLYALATTLLTPLFQHLIVTKYANISLMAIAS -QAGTLFSMDSGIPFSSIELSVPLLALGCWTQITPCSLILACVLLSTHYAILLPGMQAQAARDAQRRTAAG -IMKNAVVDGIVATDIPPLDGAGPLTEKKLGQLLLFAAAVTGVVITRSPRSWSELGVLGSAVGSTLIEGSA -GKFWNATTVTAMCNLFRGSYLAGVPLTYTIIRNSNPSNKR - ->YP_009329956.1 nonstructural protein NS4B [Saint Louis encephalitis virus] -NEMGLLEKTKSDIAKLFGSQPGSVGFATRTTPWDISLDIKPATAWALYAAATMVMTPLIKHLITTQYVNF -SLTAIASQAGVLLGLTNGMPFTAMDLSVPLLVLGCWNQMTLPSLAVAVMLLAIHYAFMIPGWQAEAMRAA -QRRTAAGIMKNAVVDGIVATDIPDLSPATPMTEKKMGQILLIAAAVLAVLVRPGICSIKEFGVLGSAALV -TLIEGTAGVVWNCTTAVGLCNLMRGGWLAGMSITWTVYKNVDKPKGKR - ->YP_009259664.1 nonstructural protein NS4B [Chaoyang virus] -NETGLLEKTKNDFVSLLPVKAPNNNPWKFDFSVDLRPATSWALYVVIATMLGPILEHAIVTHFTSISIAA -ITNQAGILLSMDKGTPFFNLDWGVILLGVGCWSSITGTTLLCAIGLSCVHFSMTLPGVRAKAAREAQNRT -AAGVSKNPIVDGVNTINVTAPPGMDPMYERKLGLWMLLIMAVISTAVNRDLTHLMELGILGSAALGPLIE -GNSSTIWNTSVASSLCNLMRGQYLAGIPLTYTLVRNLSLKGVPRR - ->YP_009259535.1 nonstructural protein NS4B [Donggang virus] -NEMGMLERTKADIGTLFSRSSPTHTSWSGWNIDLSVDLKPSVSWSVYIVLTTLVGPMLEHAIVTDYASLS -LAAIASQAGVLLSMDKGLPFMSLEWGVILLGVGSWTGITALALIAGMVMTLMHFALILPGLKAKAAREAQ -SRTAAGISKNPLIDGVNTTAIPLPSAIDPMFEKKLGLWMLLIVSSLAVLLNRSTLHMTELGILGSAAISP -LIEGQASAIWNTSVAASVCNLMRGSYLGGIPLTYTVIRNLQQKQPSRR - ->YP_164817.1 NS4b [Usutu virus] -NEYGMLERTKSDLGKIFSSTRQPQSALPLPSMNALALDLRPATAWALYGGSTVVLTPLIKHLVTSEYITT -SLASISAQAGSLFNLPRGLPFTELDFTVVLVFLGCWGQVSLTTLITAAALATLHYGYMLPGWQAEALRAA -QRRTAAGIMKNAVVDGLVATDVPELERTTPLMQKKVGQILLIGVSAAALLVNPCVTTVREAGILISAALL -TLWDNGAIAVWNSTTATGLCHVIRGNWLAGASIAWTLIKNADKPACKR - ->NP_775673.1 non-structural protein NS4b [Japanese encephalitis virus] -NEYGMLEKTKADLKSMFVGKTQASGLTGLPSMALDLRPATAWALYGGSTVVLTPLLKHLITSEYVTTSLA -SINSQAGSLFVLPRGVPFTDLDLTVGLVFLGCWGQITLTTFLTAMVLATLHYGYMLPGWQAEALRAAQRR -TAAGIMKNAVVDGMVATDVPELERTTPLMQKKVGQVLLIGVSVAAFLVNPNVTTVREAGVLVTAATLTLW -DNGASAVWNSTTATGLCHVMRGSYLAGGSIAWTLIKNADKPSLKR - ->NP_776008.1 non-structural protein NS4b [Yellow fever virus] -NELGMLEKTKEDLFGKKNLIPSSASPWSWPDLDLKPGAAWTVYVGIVTMLSPMLHHWIKVEYGNLSLSGI -AQSASVLSFMDKGIPFMKMNISVIMLLVSGWNSITVMPLLCGIGCAMLHWSLILPGIKAQQSKLAQRRVF -HGVAENPVVDGNPTVDIEEAPEMPALYEKKLALYLLLALSLASVAMCRTPFSLAEGIVLASAALGPLIEG -NTSLLWNGPMAVSMTGVMRGNHYAFVGVMYNLWKMKTGRR - ->NP_722538.1 NS4B [Murray Valley encephalitis virus] -NEYGMLERTKTDIRNLFGKSLIEENEVHIPPFDFFTLDLKPATAWALYGGSTVVLTPLIKHLVTSQYVTT -SLASINAQAGSLFTLPKGIPFTDFDLSVALVFLGCWGQVTLTTLIMATILVTLHYGYLLPGWQAEALRAA -QKRTAAGIMKNAVVDGIVATDVPELERTTPQMQKRLGQILLVLASVAAVCVNPRITTIREAGILCTAAAL -TLWDNNASAAWNSTTATGLCHVMRGSWIAGASIAWTLIKNAEKPAFKR - ->NP_733811.1 nonstructural protein 4B [Dengue virus 1] -NEMGLLETTKKDLGIGHAAAENHHHAAMLDVDLHPASAWTLYAVATTIITPMMRHTIENTTANISLTAIA -NQAAILMGLDKGWPISKMDIGVPLLALGCYSQVNPLTLTAAVLMLVAHYAIIGPGLQAKATREAQKRTAA -GIMKNPTVDGIVAIDLDPVVYDAKFEKQLGQIMLLILCTSQILLMRTTWALCESITLATGPLTTLWEGSP -GKFWNTTIAVSMANIFRGSYLAGAGLAFSLMKSLGGGRR - ->YP_009268595.1 nonstructural protein NS4B [Lammi virus] -NETGLLDKTKGDFAWIKGPAPGGGGSWNLDFTVDLRPATSWSLYVVIATMLGPVFEHAIVTQFTSISLTA -ITQQAGILLSMDKGLPFFNLDWGVILLGIGCWSSITGTTVACAMGLSFVHFTMTLPGVKAKAAREAQSRT -AAGVSKNPIVDGVNTLNVTSPPGMDPMYERKLGLWMLILIASVSLAVNKNLTHFMELGILGSAALGPLIE -GNSSTVWNTAVASSLCNLMRGQYLAGIPLTFTLIRNLSLKGVPRR - ->YP_009268579.1 nonstructural protein NS4B [Ilomantsi virus] -NETGLLKTTKSDLGKLVGWDKVSDTPAGGWKGISSLFDFEIDLKPSIAWSAYVVIATFMGPVLEHAILTD -YASLSLAGIASQAGILLSMDKGIPFMDLEWGVILLGIGCWGGLTPTSMAMGVFLAAIHFSMTLPGVKAKA -ARDAQLRTGAGISKNPLVDGINTLHVGPTPVMNPMFEKKLGLWLLILVASLAVAVNRKMKFFVELGMLTS -AAVGPLIDGHPSAMWNTSMATSVCGIMRGHHLGGIPLLYNLIRYVGGKTTPRR - diff --git a/seq/clusters_seq/cluster_253 b/seq/clusters_seq/cluster_253 deleted file mode 100644 index b6a2181..0000000 --- a/seq/clusters_seq/cluster_253 +++ /dev/null @@ -1,184 +0,0 @@ ->sp|P03481.1|NRAM_I78A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVCLDILLHIISITITVLGLHKNGKQRRCNETVIREDNETVRIEKVTQWHNTNV -IEYIEKLEGDHFMNNTEPLCHAKGFALFSKDN - ->sp|Q07572.2|NRAM_I80A6 RecName: Full=Neuraminidase -MNPNQKIITIGSISLGLVVFNVLLHVVSIIVTVLVLGRGGNNGICNETVVREYNETVRIEKVTQWHNTSV -VEYVPYWNEGTYMNNTEAICDVKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFLTQGSLLND -KHSNGTVKDRSPFRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTVGVTGPDSKAVAVVHYGGVP -TDVVNSWAGDILRTQESSCTCIQGDCYWVMTDGPANRQAQYRIYKANQGKIVGQTDVSFNGGHIEECSCY -PNDGKVECVCRDNWTGTNRPVLVISPDLSYRVGYLCAGLPSDTPRGEDAQFTGSCTSPMGNQGYGVKGFG -FRQGTDVWMGRTISRTSRSGFEILRVRNGWTQTSKEQVRKQVVVDNLNWSGYSGSFTLPVELSGKDCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDYEVADWSWHDGAILPFDIDKM - ->sp|Q6TXB9.1|NRAM_I85A5 RecName: Full=Neuraminidase -MNPNQKIITIGSASLGILILNVILHVVSIIVTVLVLNNNGTGLNCNGTIIREYNETVRVERVIQWYNTNT -IEYIERPSNEYYMNNTEPLCEAQGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPSECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVKIGQSPNVYQARFESVAWSATACHDGKKWMTVGVTGPDNQAVAVVNYGGVP -VDIINSWAGDILRTQESSCTCIKGDCYWVMTDGPANRQAKYRIFKAKDGRIIGQTDISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPILVISPDLSYTVGYLCAGIPTDTPRGEDSQFTGSCTSPLGNKGYGVKGFG -FRQGTDVWAGRTISRTSRSGFEIIKIRNGWTQNSKDQIRRQVIIDNPNWSGYSGSFTLPVELTKKGCLVP -CFWVEMIRGKPEETTIWTSSSSIVMCGVDHKIASWSWHDGAILPFDIDKM - ->sp|Q07583.1|NRAM_I90A1 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVVLNILLHIVSITITVLVLPGNGNNGSCNGTVIREYNETVRIEKVTQWHNTNV -IEYIERSESDHFMNNTEALCDAKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVEIGQSPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDAKAVAVVHYGGIP -TDVINSWAGDILRTQESSCTCIKGECYWVMTDGPANRQAQYRAFKAKQGKIIGQAEISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISPDLSYRVGYLCAGLPSDTPRGEDSQFTGSCTSPMGNQGYGVKGFG -FRQGNDVWMGRTISRTSRSGFEILKVRNGWVQNSKEQIKRQVVVDNLNWSGYSGSFTLPVELTKRNCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDHEIADWSWHDGAILPFDIDKM - ->sp|Q07582.1|NRAM_I86A3 RecName: Full=Neuraminidase -MNPNQKIIAIGSASLGILILNVILHVVSIIVTVLVLNNNGTGLNCNGTIIREYNETVRVERITQWYNTNT -IEYIERPSNEYYMNNTEPLCEAQGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPSECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVKIGQSPNVYQARFESVAWSATACHDGKKWMTVGVTGPDNQAVAVVNYGGVP -VDIINSWAGDILRTQESSCTCIKGDCYWVMTDGPANRQAKYRIFKAKDGRIIGQTDISFNGGHIEECSCY -PNEGKVECICRDNWTGTNRPILVISSDLSYTVGYLCAGIPTDTPRGEDSQFTGSCTSPLGNKGYGVKGFG -FRQGTDVWAGRTISRTSRSGFEIIKIRNGWTQNSKDQIRRQVIIDNLNWSGYSGSFTLPVELTKKGCLVP -CFWVEMIRGKPEETTIWTSSSSIVMCGVDHKIASWSWHDGAILPFDIDKM - ->sp|Q07581.1|NRAM_I69A2 RecName: Full=Neuraminidase -MNPNQKIITIGSASLGLVFLNVILHVVSITVTVLVLSNNVTGPNCNGTIIREYNGTVRIERITQWYNTNI -IEYIERPSNEYYMSNTEPLCEAQGFAPFSKDNGIRIGSKGHVFVIREPFVSCSPLECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTVGVTGPDAQAVAVVHYGGVP -VDVINSWAGNILRTQESSCTCIKGDCYWVMTDGPANRQAQYKIFKAKDGRIIGQTDVNFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISPDLSYTVGYLCAGIPTDTPRGEDSQFTGSCTKPLGNQGYGIKGFG -FRQGNDVWAGRTISRTSRSGFEIIKIRNGWTQNSKDQIRKQVIVDNLNWSGYSGSFTLPVELTKKGCLVP -CFWVEMIRGKPEEITIWTSSSSIVMCGVDHKVASWSWHDGAILPFDIDKM - ->sp|Q07580.1|NRAM_I79A6 RecName: Full=Neuraminidase -MNPNQKIIAIGSASLGILILNVILHVVSIIVTVLVLNNNGTGLYCNGTIIREYNETVRVERITQWYNTNT -IEYIERPSNEYYMNNTEPLCEAQGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPLECRTFFLTQGSLLND -KHSNGTVKDRSPYRTFMSVKVGQSPNVYQARFEAVAWSATACHDGKKWMTVGVTGPDAQAVAVVHYGGVP -VDIINSWAGDILRTQESSCTCIKGDCYWVMTDGPANRQAKYRIFKAKDGRIIGQTDINFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPILVISPDLSYTVGYLCAGIPTDTPRGEDSQFTGSCTSPLGNQGYGVKGFG -FRQGNDVWAGRTISRTSRLGFEIIKIRNGWTQNSKDQIRKQVIVDNLNWSGYSGSFTLPVELTKKGCLVP -CFWVEMIRGKPEETTIWTSSSSIVMCGVDHKIASWSWHDGAILPFDIDKM - ->sp|Q07579.1|NRAM_I63A2 RecName: Full=Neuraminidase -MNPNQKIITIGSASLGLVILNVILHVVSIIVTVLVLSNNGTGPNCNGTIIREYNETVRVERITQWYNTNI -IEYIEEPSNEYYMSNTEPLCEAQGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPLECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTVGVTGPDAQAVAVVHYGGVP -VDVINSWAGDILRTQESSCTCIKGDCYWVMTDGPANRQAQYRIFKAKDGRIIGQTDINFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISPDLSYTVGYLCAGIPTDTPRGEDSQFTGSCTSPLGSQGYGVKGFG -FRQGNDVWAGRTISRTSRSGFEIIKIRNGWTQNSKDQIRKQVIVDNLNWSGYSGSFTLPVELTKKGCLVP -CFWVEMIRGKPEEITIWTSSSSIVMCGVDHKVASWSWHDGAILPFDIDKM - ->sp|Q07578.1|NRAM_I89A7 RecName: Full=Neuraminidase -MNPNQKIITIGSISLGLVVFNVLLHVVSIIVTVLVLGRGGKNRICNETVVREYNETVRIEKVTQWHNTNV -IEYVPYWNEGTYMNNTEAICDVKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFLTQGSLLND -KHSNGTVKDRSPFRTLMSVEVGQPPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDSKAIAVVHYGGVP -TDVVNSWAGDILRTQESSCTCIQGDCYWVMTDGPANRQAQYRIYKANQGRIVGQTDVSLDGGHIEECSCY -PNDGKVECVCRDNWTGTNRPVLVISPDLSYRVGYLCAGLPSDTPRGEDAQFIGSCTSPMGNKEYGVKGFG -FRQGTDVWMGRTISRTSRSGFEILRVKNGWTQTSKEQVRKQVVVDNLNWSGYSGSFTLPVELSGKDCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVNYEVADWSWHDGAILPFDIDKM - ->sp|Q07576.1|NRAM_I72A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVILSIILHVVSIIVTVLVLSNTGTGLNCNGTIIKEYNETVRVERITQWYNTNI -IEYIGRPSNEYYMNNTESLCEAQGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPLECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTVGVTGPDAQAVAVVHYGGVP -VDVINSWAGDILRTQESSCTCIKGDCYWVMTDGPANRQAQYRIFKAKDGRIIGQNDINFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPILVISPNLSYTVGYLCAGIPTDTPRGEDSQFTGSCTSPLGSQGYGVKGFG -FRQGNDVWVGRTISKTSRSGFEILKIKNGWTQNSKDQIQKQVIVDNLNWSGYSGSFTLPVELTKKGCLVP -CFWVEMIRGKPEEVTIWTSSSSIVMCGVDNKVANWSWHDGAILPFDIDKM - ->sp|Q07573.1|NRAM_I74A2 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVVLNILLHIVSITITVLVLPGNGNNGSCNETVIREYNETVRIEKVTQWHNTNV -IEYIERPESDHFMNNTEPLCDVKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVEIGQSPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDAKAVAVVHYGGIP -TDVIQSWAGDISRTQESSCTCIQGECYWVMTDGPANRQAQYRAFKAKQGKIIGQTEISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISSDLSYRVGYLCAGLPSDTPRGEDSQFTGSCTSPMGNQGYGVKGFG -FRQGNDVWMGRTISRTSRSGFEVLKVRNGWIQNSKDQIKRQVVVDNLNWSGYSGSFTLPVELTKRSCLVP -CFWVEMIRGKPEETTIWTSSSSIVMCGVDHEIADWSWHDGAILPFDIDKM - ->sp|Q07570.1|NRAM_I88A1 RecName: Full=Neuraminidase -MNPNQKIITIGSISLGLVVFNVLLHVVSIIVTVLVLGRGGNNGICNETVVREYNETVRIEKITQWHNTSV -VEYVPYWNEGTFMNNSEAICDVKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFLTQGSLLND -RHSNGTVKDRSPFRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDSKAVAVVHYGGVP -TDVVNSWAGDILRTQESSCTCIQGNCYWVMTDGPANRQAQYRIYKANQGKIVGQTDVSFNGGHIEECSCY -PNDGKVECVCRDNWTGTNRPVLVISPDLSYRVGYLCAGLPSDTPRGEDAQFTGSCTSPMGNQGYGVKGFG -FRQGTDVWMGRTISRTSRSGFEILRVKNGWTQTSKEQVRKQVVVDNLNWSGYSGSFTLPVELSGKDCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDYEVADWSWHDGAILPFDIDKM - ->sp|Q07599.1|NRAM_I63A3 RecName: Full=Neuraminidase -MNPNQKIITIGSISLGLVVFNVLLHVVSIIVTVLVLGKGGNNGICNETVVREYNETVRIEKVTQWHNTNV -VEYVPYWNGGTYMNNTEAICDAKGFAPFSKDNGIRIGSRGHIFVIREPFVSCSPIECRTFFLTQGSLLND -KHSNGTVKDRSPFRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTVGVTGPDSKAVAVIHYGGVP -TDVVNSWAGDILRTQESSCTCIQGDCYWVMTDGPANRQAQYRIYKANQGRIIGQTDISFNGGHIEECSCY -PNDGKVECVCRDGWTGTNRPVLVISPDLSYRVGYLCAGIPSDTPRGEDTQFTGSCTSPMGNQGYGVKGFG -FRQGTDVWMGRTISRTSRSGFEILRIKNGWTQTSKEQIRKQVVVDNLNWSGYSGSFTLPVELSGKDCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDYEVADWSWHDGAILPFDIDKM - ->sp|P08326.1|NRAM_I81A2 RecName: Full=Neuraminidase -MNPNQKIIAIGSASLGILILNVILHVVSIIVTVLVLNNNGTGLNCNGTIIREYNETVRVERITQWYNTNT -IEYIERPSNEYYMNNTEPLCEAQGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPLECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVKVGQSPNVYQARFESVAWSATACHDGKKWMTVGVTGPDNQAVAVVNYGGVP -VDIINSWGRDILRTQESSCTCIKGDCYWVMTDGPANRQAKYRIFKAKDGRIIGQTDISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPILVISPDLSYTVGYLCAGIPTDTPRGEDSQFTGSCTSPLGNKGYGVKGFG -FRQGNDVWAGRTISRTSRSGFEIIKIRNGWTQNSKDQIRKQVIIDNLNWSGYSGSFTLPVELTKKGCLVP -CFWVEMIRGKPEDTTIWTSSSSIVMCGVDHKIASWSWHDGAILPFDIDKI - ->sp|Q07585.2|NRAM_I78AC RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVVLNILLHIVSITITVLVLPGNGNSGNCNETIIREYNETVRIEKVTQRHNTNV -IEYIERPESDHFMNNTEPLCDAKGFAPFSKDNGIRIGSRGHIFVIREPFVSCSPTECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVEIGQSPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDAKAVAVVHYGGIP -TDVINSWAGNILRTQESSCTCIQGECYWVMTDGPANRQAQYRVFKAKQGKIIGQTEISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISSDLSYRVGYLCAGLPSDTPRGEDSQFTGSCTSPMGNQGYGVKGFG -FRQGNDVWMGRTISRTSRSGFEILKIRNGWTQNSKEQIKKQVVVDNLNWSGYSGSFTLPVELTKRNCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVEHEIADWSWHDGAILPFDIDKM - ->sp|Q07584.1|NRAM_I65A0 RecName: Full=Neuraminidase -MNPNKKIITIGSISLGLVVFNVLLHIVSIIVTVLVLGKGEKNGSCNETVVREYNETVKVEKVIQWHNTSV -IEHIPYWNGGTYMNNTEAICDVKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPKECRTFFLTQGSLLND -KHSNGTVKDRSPFRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDSKAVAVIHYGGVP -TDVINSWAGDILRTQESSCTCIQGDCYWVMTDGPANRQAQYRIYKANQGRIIGQIDVSFNGGHIEECSCY -PNDGKVECVCRDNWTGTNRPILVISPDLSYRVGYLCAGLPSDTPRGVDAQFTGSCTSPMGNQGYGVKGFG -FRQGSDVWMGRTISRTSRSGFEILRIKNGWTQTSKEQVGRQVVVDNLNWSGYSGSFTLPVEMSGRDCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDYKVADWTWHDGAILPFDIDKM - ->sp|Q07577.1|NRAM_I88A4 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVVLNILLHIVSITITVLVLPGNGNNPSCNETVIREYNETVRIERVTQWHNTNV -IEYLERPESDHFMNNTESLCDAKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVQIGQSPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDAKAVAVVHYGGIP -TDVINSWAGDILRTQESSCTCIQGECYWVMTDGPANRQAQYRAFKAKQGKIIGQTEISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISPDLSYRVGYLCAGLPSDTPRGEDSQFTGSCTSPMGNQGYGVKGFG -FRQGNDVWMGRTISRTSRSGFEILKVRNGWVQNSKEQIKRQVVVDNLNWSGYSGSFTLPVELTKRNCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDHEIADWSWHDGAILPFDIDKM - ->sp|Q07574.1|NRAM_I84A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVVLNILLHIVSITITVLVLPGNGNNGSCNETVIREYNETVRIEKITQWHNTNV -IEYIERPESDHFMNNTEPLCDAKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFITQGSLLND -KHSNGTVKDRSPYRTLMSVEIGQSPNVYQARFEAVAWSATRCHDGKKWMTIGVTGPDAKAVAVVHYGGIP -TDVIQSWAGDILRTQESSCTCIQGECYWVMTDGPANRQAQYRAFKAKQGKIIGQTEISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISPDLSYRVGYLCAGLPSDTPRGEDSQFTGSCTSPMGNQGYGVKGFG -FRQGIDVWMGRTISRTSRSGFEILRVRNGWVQNSKEQIKRQVVVDNLNWSGYSGSFTLPVELTKRNCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDHEIADWSWHDGAILPFDIDKM - ->sp|P03478.2|NRAM_I72A5 RecName: Full=Neuraminidase -MNPNQKIITIGSASLGLVIFNILLHVASITLGIISVTKDNKVHICNTTEVYNETVRVETVVIPVNNTIYL -NHEPEFLNNTEPLCDVSGFAIVSKDNGIRIGSRGHIFVIREPFVSCGPSECRTFFLTQGALLNDKHSNNT -VKDRSPYRALMSVPLGSSPNAYQAKFESVGWSATACHDGKKWMAIGVSGADDDAYAVIHYGGVPTDVIRS -WRKQILRTQESSCVCIKGECYWVMTDGPANNQASYKIFKSQKGMVVDEKEISFQGGHIEECSCYPNMGKV -ECVCRDNWNGMNRPILIFDEKLEYEVGYLCAGIPTDTPRVQDSSFTGSCTNAVGRSGTNNYGVKGFGFRQ -GNSVWAGRTISVSSRSGFEVLLIEDGWIRPSKTISKKVEVLNNKNWSGYSGAFTIPTAMTSKNCIVPCFW -LEMIRGKPEERTSIWTSSSSTVFCGVSSEVPGWSWDDGAILPFDIDKM - ->sp|Q0A2G2.1|NRAM_I83A4 RecName: Full=Neuraminidase -MNPNQKIITIGSISLGLVVFNVLLHVVSIIVTVLILGKGENNGICNGTVVREYNETVRIERVTQWHNTNV -VEYVPYWNGGTYMNNTEAICDVKGFAPFSNDNGIRIGSRGHVFVIREPFVSCSPIECRAFFLTQGSLLND -KHSNGTVKDRSPFRTLMSVEVGQSPNVYQARFEAVAWSATACHDGKKWMTVGVTGPDSKAVAVIHYGGVP -TDVVNSWVGDILRTQESSCTCIQGDCYWVMTDGPANRQAQYRIYKANQGRIIGQTDVSFNGGHIEECSCY -PNDGKVECVCRDNWTGTNRPVLVISPDLSYRVGYLCAGIPSDTPRGEDAQFTGSCTSPIGNQGYGVKGFG -FRQGTDVWMGRTISRTSRSGFEILRIKNGWTQTSKEQVKRQVVVDNLNWSGYSGSFTLPVELSGKDCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDYEVADWSWHDGAILPFDIDKM - ->sp|Q595Z2.1|NRAM_I63A1 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLGLVVLNILLHIVSITITVLVLPGNGNNGSCNETVIREYNETVRIEKIIQWHNTNV -IEYIERPESDHFMNNTEPLCDAKGFAPFSKDNGIRIGSRGHVFVIREPFVSCSPTECRTFFLTQGSLLND -KHSNGTVKDRSPYRTLMSVEIGQSPNVYQARFEAVAWSATACHDGKKWMTIGVTGPDAKAVAVVHYGGIP -TDVINSWAGDILRTQESSCTCIQGECYWVMTDGPANRQAQYRAFKAKQGKIIGQTEISFNGGHIEECSCY -PNEGKVECVCRDNWTGTNRPVLVISSDLSYRVGYLCAGLPSDTPRGEDSQFTGSCTSPMGNQGYGVKGFG -FRQGNDVWMGRTISRTSRSGFEILKVRNGWIQNSKEQIKRQVVVDNLNWSGYSGSFTLPVELTRRNCLVP -CFWVEMIRGKPEEKTIWTSSSSIVMCGVDHEIADWSWHDGAILPFDIDKM - diff --git a/seq/clusters_seq/cluster_254 b/seq/clusters_seq/cluster_254 deleted file mode 100644 index a35cc6b..0000000 --- a/seq/clusters_seq/cluster_254 +++ /dev/null @@ -1,79 +0,0 @@ ->NP_740522.1 3A (P3-A) [rhinovirus B14] -GPVYKDLEIDVCNTPPSECINDLLKSVDSEEIREYCKKKKWIIPEIPTNIERAMNQASMIINTILMFVST -LGIVYVIYKLFAQTQ - ->YP_009020981.1 protein 3A [enterovirus F4] -GPPAYKPLRIDVSEETPAPPAIADLLSSVDSEEVREYCRQKGWIVQEKITKERLERNVNRALIILQSATL -IATICGTIYVVYRLFAGLQ - ->YP_009268637.1 3A [enterovirus A114] -GPPKFRPIRISLEEKPAPDAISDLLASVDSEEVRQYCRDQGWIIPETPTNVERHLNRAVLIMQSIATVVA -VVSLVYVIYKLFAGFQ - ->YP_009508992.1 3A (P3-A) [rhinovirus B3] -GPVYKDLEIDICNTPPPECISDLLKSVDSEEVREYCKKKKWIIPQISTNIERAVNQASMIINTILMFVST -LGIVYVIYKLFAQTQ - ->YP_009508959.1 3A [Simian enterovirus SV4] -GPIYHDLTISVEETPAPSAISDLLCSVDSEEVRDYCRQRGWIIPDTPTEITASRDFNKLNLILQAVSTFV -TIAGVIFLVYKLMANFQ - ->YP_009508937.1 3A protein [Coxsackievirus A2] -GPPKFRPIRISLEERPAPDAISDLLASVDSEEVRQYCREQGWIIPETPTNVERHLNRAVLVMQSIATVVA -VVSLVYVIYKLFAGFQ - ->YP_009508981.1 3A [rhinovirus A1] -GPISLDXPPPPAIXDLLQSVRTPEVIKYCQDNKWVIPAECQVERDLNIANSIIAIIANIISIAGIIFVIY -KLFCSLQ - ->YP_009508970.1 protein 3A [Dromedary camel enterovirus 19CC] -GPPEYKPLRISVGPETPPPQAIADLLASIDSEKVREYCRTKGWIVKQEVTSMQLERNVTRAVAVLQSASL -IVLVCGIVYVVYRLFAGMQ - ->YP_009508948.1 3A protein [enterovirus D68] -GPPQFKEIKISVAPDTPAPDAINDLLRSVDSQEVRDYCQKKGWIVIHPSNELVVEKHISRAFITLQAIAT -FVSIAGVVYVIYKLFAGIQ - ->YP_009483884.1 3A [Sichuan takin enterovirus] -QFKPLKISVDPEVPPPPAIADLLASVDSEQVREYCKQKGWIVEVPVTAMTLEKNVNIA - ->YP_009249470.1 3A [Enterovirus SEV-gx] -GPPTFTDLKIDIIETPPPPAIADLLQATDSEEIRAYCAGKGWICNVEPNEIRFERNLSKAMIVFQTLTTA -VCVVGAIWVVYKLFAGFQ - ->YP_009020969.1 protein 3A [Enterovirus F] -GPPEFKPLRIDVSEETPAPPAIADLLASIDSEEVREYCRIKGWIVQEKITKESLERNVNRALIVLQSATL -IATICGVIYVVYKLFAGLQ - ->YP_007353733.1 protein 3AB [Enterovirus C] -GPLQYKDLKIDIKTSPPPECINDLLQAVDSQEVRDYCEKKGWIVNITSQVQTERNINRAMTILQAVTTFA -AVAGVVYVMYKLFAGHQGAYTGLPNKKPNVPTIRTAKVQ - ->YP_003359173.1 3A [Enterovirus J] -GPPVHKDLKISVAEEVPPPPAIADLLKSVDSEEVRNYCESKGWIVPAMEINVERHINRAMTILQAVSTFV -AVAGCVFVVYKLFAGFQ - ->YP_001718583.1 3A [Enterovirus J] -GPPIYRDIKISVAPETPAPPAIADLLKSVDSEEVRQYCQEKGWIIPVTECQTERHLSRALTILQAVSTFV -AVAGCIYIVYKLFAGFQ - ->NP_740419.1 protein 3A [Enterovirus D] -GPPTFKEIKISVTPETPAPDAINDLLRSIDSQEVRDYCQKKGWIVMHPPTELVVDKHISRAFIALQAITT -FVSIAGVVYVIYKLFAGIQ - ->NP_740544.1 3A [Enterovirus B] -GPPIYREIKISIAPETPPPPAIADLLKSVDSEAVREYCKEKGWLVPEINSTLQIEKHVSRAFICLQALTT -FVSVAGIIYIIYKLFAGFQ - ->NP_740398.1 P-3A polypeptide [Rhinovirus A] -GIDLQSPPPPAIADLLRSVKTPEIIKYCQDNNWIVPAECSIERDLGIANMTIGIIANVVSIVGVIYIIYK -LFCTLQ - ->NP_740452.1 3A [Enterovirus E] -GPVCYKPLRIEVHEEEPAPSAISDLLQAVDSEEVREYCRSKGWIVEERVTELKLERNVNRALAVIQSVSL -IAAVAGTIYIVYRLFSGMQ - ->NP_714936.1 putative 3A [Enterovirus H] -GPIYHDLTITVEETPAPSAISDLLCSVDSGEVRDYCRRRGWIVPDTPTEITVSRDYGKLSIILQAVTTFV -TIAGVIFLVYKLMANFQ - diff --git a/seq/clusters_seq/cluster_255 b/seq/clusters_seq/cluster_255 deleted file mode 100644 index 8d477bb..0000000 --- a/seq/clusters_seq/cluster_255 +++ /dev/null @@ -1,79 +0,0 @@ ->YP_009508473.1 Z protein [Ryukyu mammarenavirus] -MGQAKSRPTVQIKEQSRAELIPDSTYMGPLNCKSCWQRFDSLVKCHDHYLCRQCLNLLLTVSDRCPLCKH -TLPTKIKVSTAPSSPPPYEE - ->YP_009505805.1 Z protein [Loei River mammarenavirus] -MGNTKSTKASAASPPPPAYRAPLIPDMGHFGPTFCKSCWFENRGLVKCSDHYLCMSCLTLLLSVSDRCPI -CKLPLPTKLELGITPTAPPSEET - ->YP_009508475.1 ring finger protein [Souris virus] -MGNKATRPTTPGSEPDRRRAELVPDSSHYPAFCKSCWFESKGLIKCHDHNLCLRCLTVFLSVSERCPICK -HPLPTKLKTTNSPSAPPPPPYSPK - ->YP_009505807.1 Z protein [Arenaviridae sp. 13ZR68] -MGNKQTTPMPKRQTPNPDTRVRADLIPDASHLGPQFCKSCWFERKGLVACNNHYLCMNCLTLLLTVSERC -PICKLPLPHNVKLNSMPTAPPEIPPPYEP - ->YP_009141009.1 Z protein [Okahandja mammarenavirus] -MGTKWSKETTPPPSNPGSKGTNRATLYPDASNMGPVFCKSCWFENKGLVNCSNHYLCLSCLTLLLTVSDR -CPICKHPLPTKITVSNLPTCPSE - ->YP_009141007.1 Z protein [Mariental mammarenavirus] -MGQKPSKGSSTESSNWRKRLEEKEKRSELIPDATHLGPQFCKSCWFARHGLVECNGHYLCLSCLTLLLTV -SDRCPICKLPLPDKLSLTRAPTAPPPPPYKP - ->YP_009116792.1 Z protein [Gairo mammarenavirus] -MGQNSTRSAKSTTGTQPKQNSSTLIADAIGPEFCKSCWFERRGLVKCHDHYLCMSCLTLLLSVSDRCPVC -KFPLPRRLKLEKPPSAPPAPENPPPYRP - ->YP_009113208.1 Z protein [Wenzhou mammarenavirus] -MGNRVPKESKPAMPPPYRAPVIPNTSHLGPTFCKSCWFESKGLVACSXHXLCXXCLTLFLSASDRCPXCX -LPLPTKLELSXTPSAPPSDTP - ->YP_009019198.1 Z protein [Merino Walk mammarenavirus] -MGSKTSKISNETDPYKDERVEMIPDASHLGPLFCKSCWFENKGLIACHDHYLCHKCLTVLLTVSERCPLC -KHPLPTKVRLSTLPTCPAE - ->YP_006858708.1 Z protein [Lunk virus NKS-1] -MGQVKSRKDPKQGVSEVQRCDLIPNSADIGPAYCKSCWMKADGLTLCYDHYLCRECLNLLLSVSDRCPIC -KHTLPTKLRVAATPSAPPPPYSL - ->YP_004933732.1 Z gene product [Luna mammarenavirus] -MGKAQSKQNHIAQGSEPRTELIPDASGYGPEFCKSCWFERRGLVKCYDHYLCMNCLTLLLTISDRCPICK -YPLPTKLQINKTPTAPKESASNPPPYSP - ->YP_003090216.1 Z protein [Morogoro mammarenavirus] -MGKSQSKATLRERESSPRENRQPIIPDARGTGPEFCKSCWFERRGLVRCHDHYLCLNCLTLLLTVSNRCP -LCKHSLPQRLELHPQPTAPPEAMPSQQPPPYQP - ->YP_002929492.1 multifunctional matrix-like protein [Lujo mammarenavirus] -MGQRHSSGSGQPNPKPSDSDHEARRSELHSDASHLGPLNCKSCWKSKKALVKCYDHYLCLNCLSLLMGIT -PRCPFCYRELPKNLDLAEAPSAPPL - ->YP_516228.1 Z protein [Mobala mammarenavirus] -MGQKPSKPKAPPTTYESPRSSLTPDATGFGPEFCKSCWFERKGLIKCQNHYLCMTCLTLLLTVSNRCPVC -KYPLPTKLRLEKSPTAPPPEATNPPPYSP - ->YP_516232.1 Z protein [Ippy mammarenavirus] -MGQNQSRDKQKAIQNQPKDTGNRADIIPDATGMGPEFCKSCWFERRSLVACNNHYLCMNCLTLLLSVSER -CPICKLPLPQKLKLTSSPSAPPSPSPPPYSP - ->YP_170707.1 Z protein [Mopeia virus AN20410] -MGKTQSKGQPSTNLLKETEPRHPVIPDARGTGPEFCKSCWFERRGLVRCNDHYLCLNCLTLLHTVSDRCP -ICKHKLPFRLELQTQPTAPPEIPPSQNPPPYSP - ->NP_694871.1 Z protein [Lassa mammarenavirus] -MGNKQAKAPESKDSPRASLIPDATHLGPQFCKSCWFENKGLVECNNHYLCLNCLTLLLSVSNRCPICKMP -LPTKLRPSAAPTAPPTGAADSIRPPPYSP - ->sp|P19325.3|Z_LYCVT RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -MGQSKSKEEKGISGTSRAEILPDTTYLGPLNCKSCWQKFDSFSKCHDHYLCRHCLNLLLTSSDRCPLCKY -PL - ->sp|P19326.1|Z_LYCVP RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -PDTTYLGPLNCKSCWQKFDSLVRCHDHYLCRHCLNLLLTSSDRCPLCKYPL - ->sp|P18541.3|Z_LYCVA RecName: Full=RING finger protein Z; Short=Protein Z; AltName: Full=Zinc-binding protein -MGQGKSREEKGTNSTNRAEILPDTTYLGPLSCKSCWQKFDSLVRCHDHYLCRHCLNLLLSVSDRCPLCKY -PLPTRLKISTAPSSPPPYEE - diff --git a/seq/clusters_seq/cluster_256 b/seq/clusters_seq/cluster_256 deleted file mode 100644 index 3d6184a..0000000 --- a/seq/clusters_seq/cluster_256 +++ /dev/null @@ -1,160 +0,0 @@ ->YP_138514.1 membrane protein [Parainfluenza virus 5] -MPSISIPADPTNPRQSIKAFPIVINSDGGEKGRLVKQLRTTYLNDLDTHEPLVTFINTYGFIYEQDRGNT -IVGEDQLGKKREAVTAAMVTLGCGPNLPSLGNVLGQLREFQVTVRKTSSKAEEMVFEIVKYPRIFRGHTL -IQKGLVCVSAEKFVKSPGKIQSGMDYLFIPTFLSVTYCPAAIKFQVPGPMLKMRSRYTQSLQLELMIRIL -CKPDSPLMKVHTPDKEGRGCLVSVWLHVCNIFKSGNKNGSEWQEYWMRKCANMQLEVSIADMWGPTIIIH -ARGHIPKSAKLFFGKGGWSCHPLHEVVPSVTKTLWSVGCEITKAKAIIQESSISLLVETTDIISPKVKIS -SKHRRFVKSNWGLFKKTKSLPNLTELE - ->YP_010087171.1 matrix protein [Alston virus] -MPSISIPADPTNPRQSIKAFPIIINSNNGERGRLVKQLRTTYLNDLDAHEPLVTFVNTYGFIYEQDRGNT -IIGEDQLGKRREAVTAAMVTFGCGPNLPSLGNILNQLNDFQVVVRKTSSKAEEIVFEVIKHPRIFRNHTL -IQKGVVCVSAEKFVKSPGKIQSGMDYVFIPTFLSVTYCPAAIKFQVPGPMLKMRSRYTQSLQLELMIRIL -CKPDSPLMKVHTPDKDGRGCLVSVWLHVCNIFKSGNRNGSEWQEYWMRKCANMQLEVSIADMWGPTIIIH -ARGHIPKSARLFFGKGGWSCHPLHEVVPSVTKTLWSVGCEITKAKAIIQESSISLLVETTDIISPKVKVS -SKHKRFGKSNWGLFKKTKSLPNLTELE - ->NP_054710.1 membrane protein [Mumps orthorubulavirus] -MAGSQIKIPLPKPPDSDSQRLNAFPVIMAQEGKGRLLRQIRLRKILSGDPSDQQITFVNTYGFIRATPET -SEFISESSQQKVTPVVTACMLSFGAGPVLEDPQHMLKALDQTDIRVRKTASDKEQILFEINRIPNLFRHH -QISADHLIQASSDKYVKSPAKLIAGVNYIYCVTFLSVTVCSASLKFRVARPLLAARSRLVRAVQMEVLLR -VTCKKDSQMAKSMLSDPDGEGCIASVWFHLCNLCKGRNKLRSYDENYFASKCRKMNLTVSIGDMWGPTIL -VHAGGHIPTTAKPFFNSRGWVCHPIHQSSPSLAKTLWSSGCEIKAASAILQGSDYASLAKTDDIIYSKIK -VDKDAANYKGVSWSPFRKSASMSNL - ->YP_009512975.1 matrix protein [Teviot virus] -MALRQATIPIAVDNESEKNNLNPFPIVPITRDDGSPTGRLVRQLRIKNLTPRGSTELPLTFINTYGFIKP -LMTYTEFYSELHHQSSTPCLTACMIPFGAGPYIENPHRILDECDKVNIVVRKSASVKEEIIFDVRRLPPL -FNRHQISGNRLICVPSEKYVKSPGKMTAGTDYSYQIAFVSLTFCPESQKFRVARPLQTIRSPIMRSVQLE -VILKVDCAANSPLKRFLIVSPDSKEYFASVWFHVCNLYRGNKPFKSYDDTYFSEKCRAMQLECGIVDMWG -PTLVVKAHGKIPKMAKPFFSSKGWSCHAFADSAPTLAKALWSVGAQITQVNAILQPSDLHQLVQVSDVIW -PKVKLDEKIQLYAAAKWNPFKKSAN - ->YP_009512968.1 matrix protein [Menangle virus] -MALRQATIPVDVDKDSEKNNLNPFPIIPVTRDDGSSTGRLVKQLRVKNLTPKGSTELPLSFVNTYGFIKP -LLTYSEFYSEVHHQASTPCLTACMIPFGAGPYIENPHRILDECDKVNIIVRKSASLKEEIVFDVRRLPPI -FNKHQISGNRLICVPSEKYVKSPGKMVAGTDYAYQIAFVSLTFCPESQKFRVARPLQTIRSPIMRSVQLE -VMLRIDCAANSPLKRLLIVNPGSTDYYASVWFHICNLYRGNKPFKSYDDTYFSQKCRAMQLECGIVDMWG -PTLVVKAHGKIPKMAKPFFSAKGWACHAFSDAAPTLAKALWSVGAKITQVNAILQPSELSQMVQVSDVIW -PKVKLDNRIQDYSAAKWNPFKKP - ->YP_009505444.1 matrix protein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -MAGSQIKIPLPKPPDSDSQRLNAFPIVMAQEGKGRLLRQIRLRKLLSGDPSDHQITFVNTYGFIRAAPET -SEFISESSPQKITPVVTACMLSFGAGPVLDDPQQMLKALDQADIRVRKTASDKEQVLFEINRIPNLFKHH -QISSDHLIQASSDKYVKSPAKLTAGVNYIYCATFLSVTVCSASLKFRVARPLLAARSRLVRAVQMEVLLR -VSCKKDSPMAKSMLTDPDGEGCIASVWFHLCNLCKGRNKLRSYDENYFAAKCKKMNLTVSVGDMWGPTIL -VHASGHIPTTAKPFFNSRGWVCHPIHQSSPSLAKTLWSSGCEIKGASAILQGSDYASLAKTDDIIYSKIK -IDKDAANYKGVSWNPFRKSASMNNL - ->YP_009094495.1 matrix protein [Tuhoko virus 1] -MASLIGASIPIPVNPDSGKSNLKPFPIIKAPADQSGHTGKIVRQIRIKNLTPKGSTEIPITFMNTYGFIK -PIWTHGEFFSEFHRNNSTPSLTACMLPFGTGPFVDNPSKLLGDLEKIQINVKKSASLREEVIFDIKTIPF -AFTKFQIGRERIICVSSDRYVKCPGKLTSGVEYSYCIAFISLTFCPESYKFRVARPLQQLRTSYMRAIQL -EIIMKIDCAPDSPIKRNLIYDKENDIYIASVWLHLCNILKGKNHFKTYDDTYFANKCRKMQLVCGIADMW -GPTIIVHSKGRIPKSAAPYFNSKGWACHPLSEIAPSITKILWSVGATILQVNAVLQPSDISSAAGTSDLI -YPKVKINPDLAEERGIKWNPLRKAVHST - ->YP_009094463.1 matrix protein [Achimota virus 2] -MSSMASSIKLPVDLRSEKYHLHPFPIVQTPSGEDGKGSRLVKQLRIKNLMPRGSTEQPITFLNTYGFVRP -MRTHGEFFSEFHRPDTTPCVTACMLPFGAGPFLDNPTRLIDEIDKAHIIIRKSASYREEIIFDIKKLPSM -LTQHQLAQDKIICVSSEKFLKSPGKVTSGVDYLYSITFMSITYCPASYKFRVSRPLQIIRAKAMRSVHLE -IMMRIECKKDSPLLKNMITTDGSEGGVVSVWFHICNLYKGNNPAKEYDDSYFSKKCKQMDIECGIVDMWG -PTLMVHAHGHIPKMAKPFFNRKGWACYPFSDAAAGLSKTLWSVGATIVEVNAILQASDLAQLTHVHDIIY -PKVKLSKELVNYQPSKWNPLKKIVSI - ->YP_009094455.1 matrix protein [Achimota virus 1] -MAHRQASVSLKVDPVSEKNHLRPFPIVQVTPDSGNGRGRLMKQIRIKDLTPAGSTEPPITFVNTYGFVKP -LRTRSEFFSEFHRPDSSPSITACTLPFGAGPNIDHPMRLLEEIEKCHIVMRKSASLREEVVYTIQKLPPI -LAYHQIASQKLICVPSEKYLKAPSKMQSGVDYTYCISFLSIVYCPPSLKFRVLRPLQMMRSSTMRSIQIE -VLLEVDCDDSSPATRFLIRDEATQKWRASVWFHLCNIIKSHQGGDKYDDHYFNDKCRKMDLEVGIIDMWG -PTFLVKAHGRVPKSAKVYFSQKNWACHPLVDAAPALSKILWSVGASIVQVNAILQPSDLNQLAQFSDVIY -PKVKINRALAGSPPSKWNPVKKAVLG - ->YP_009094077.1 matrix protein [Tuhoko virus 3] -MAGRQATIPVPINFESPKNYLNAFPIVQADPSVSGEAGKLLKQIRFKDLTLRGSTEAPISFVNSYGFIKP -LRTREEFFSEMHKPSQAPCLTACCLPFGAGPAIEHPDKIMDDLDKVFIVVRKSASTIEECVFDIRKLPSS -LSRHQLAGNRVLCVASDKYIKAPCKLTSGMDYTYNIVFLSITHCPPSQKFRVPMPIQSLRAKVMRSVHLE -IMIKVDCDKNSPITKNLIYDPSNDIWMASIWFHLCNFYKGSKPFKEYDDQHFASKCRAMGLEVGLVDFWG -PTFLVKAHGKIPHAARPFFGKHGWVCHPMMDCAPAISKSLWALSISILQVNAVLQASDLNQMIRMTDVVF -PKVKINPDIAGVQKTRWNPVKKLVTID - ->YP_009094064.1 matrix protein [Tuhoko virus 2] -MAHRQATVSIKVNHESEKNHLRPFPIVQLPPSPGGSRGRLTRQIRIKDLTPAGSTEPSLTFINTYGFAKP -LRTRTEFFSELHKPDSLPSVTACTLPFGAGPNIEHPMRLLDEIEKVHIVMRKSASAREEIVFNVCRLPPI -LSKHHIATQKLVCISSEKYLKAPGKMISGMDYNYHIAFISVVYCPASLKFRVMRPLQLLRSSTMRSIQLE -VILVIECSDNSPATRNLIFDDESKTWRASVWFHLCNILKSNKSAEKYDDHYFNEKCKKMDLEVGIADMWG -PTFLVKAHGKIPKTAQVYFSPKGWSCHPLVDAAPALSKILWSTGARIVNVNAILQPSDLGQLVQVSDVIY -PKVKINKKLMNTAPSRWNPVKKAVLA - ->YP_009094031.1 matrix protein [Sosuga virus] -MAHRQATIPVHVDHHSEKNHLRAFPIVQADSPEGTEKGRLIKQMRFKDLTPRGSTEPPISFINTYGFIKP -LRTREEFFSELHKQSQTPCLTACSIPFGAGPALDHPERLLDEIEKALIVVRKSASTSEECVFEIRKLPPM -LTRHQLAGNKILCVPSDKYVKAPGKLTSGVDYAYHIVFISVTLCPPSQKFRVPMPVQSLRAKVMRSVHLE -VMLKIDCDPGSPITKNLIYDSENDVWLASIWFHLCNLYKGHKPFKEYDDHHFAAKCRSMKLEVGIVDLWG -PTFLVKSHGKIPHAARPFFGKHGWVCHPIMDCAPAICKSLWALSVSIVQVTAVLQASDLSQMVRMTDVIF -PKIKVNPDLHGLGKSRWNPVTKIVSPE - ->YP_008378662.1 matrix protein [Human parainfluenza virus 4a] -MAPTQSKVRIHNLAEAHEKTLRAFPIEVEQNPEGKKLLVKQIRIRTLGHADHSNDSICFLNTYGFIKEAV -SQTEFMRAGQKSESKNTLTACMLPFGPGPNIGSPQKMLEYAEDIKIHVRKTAGCKEQIVFSLDRTPQVFR -GFQFPRDRYACVPSDKYIKSPGKLVAGPNYCYIITFLSLTFCPSSQKFKVPRPVLNFRSTRMRGIHLEII -MKITCSENSPIRKTLITDDLENGPKASVWIHLCNLYKGRNPIKIYDEAYFAEKCKQMLLSVGISDLWGPT -IAVHANGKIPKSASLYFNSRGWALHPIADASPTMAKQLWSIGCEIMEVNAILQGSDYSALVDHPDVIYRK -IRIDPAKKQYAHSKWNPFKKAISMPNLTDDSI - ->YP_001331032.1 matrix protein [Porcine orthorubulavirus] -MAMHAKIPLNQPQNQDTAQLQPFPLVMSEPENGKRRLLKQLRIKRIPPLSIGDQQITFINTYGFIRANRT -FTEFISELHRPGLQPIVTACMLPFGAGPLLDSPEKILEGLDLCEIKVRKSAAVKEEILFEVTALPKIFQG -FQISAQPLIKVSSEKYVKAPGKINAGVEYKFYPTFVSLTYCPTTLKIQGRQTLATVRAKFMRSIHLEILL -IFECKDDAPMAKALIKRDERDGYQASVWVHMCNITKSTQKFKTYDDSYFGQKVLAMKPVIGLVDMWGPTI -TVHISGKMPKTAAPYFNSRGRSCHPLSEVAPSIAKMAWSNGCRIHQVNAILQESDLSLIPGSDDILFRKV -PVDPENINFKSTYWNPFRK - ->YP_001249275.1 matrix protein [Mapuera orthorubulavirus] -MARHAKIPLGLPDEPEPRTLRAFPLVMTEGPGGKGRLMKQLRISKIQSSNIGDHIITFINTYGFVRRNWT -YTQFVSERHTASVQPVVTSCMLPFGCGPDIQHPEALIEHLEHCTIKVRKSASLKEEIYFEVQVLPKIFEP -YQIAKQNYMCVSSEKYVKAPGKIVAGVDYLYFPTFISLTYCAEEMKFRVAKPIAQARTSFMRSIHLEVIL -SFACQEDSPIAKSLAKDKETGRFIASVWIHLCNISKNRPITKEYDDKYFAQKILAMKLTVGLVDMWGPTV -IVHAEGKIPKSALLFFNKKGKACHPLAEVAPTVAKHAWSVGCTIIEANAIMQESDLKGLGQMDDIVFRKV -SLNPEALSLKSSRWNPFTKQQ - ->YP_138507.1 matrix protein [Simian orthorubulavirus] -MPTISIPADPASPDQGLKPFPIQLDSKDGKSGKLVKQIRIKYLTEPNSRSPPLTFINTYGFIYARDLSGG -IMNEQSSGIQSGSVTACMMTLGPGPDIKNANRVLAALNGFYVKVRKTSSLKEEAVFELVNVPKLLANHAL -CKQGRLVCSAEKFVKNPSKMMAGQEYLYFPTFVSLTYCPSNLNYQVAKPILKIRSRFVYSIHMEIIFRLL -CKPDSPLLKTYATDPEGRGCLASVWIHVCNILKNKKIKQRGVDSYFSSKAISMQLTVSIADTWGPTVIIK -ANGHIPKTAAPFFSKDGVACHPLQDVSPALTKSLWSVGCEITKARLILQESNISDLLKTQDLITDQIKIK -KGHSHFGRSSFNPFKKAISLPNLTQLGQDDED - ->NP_665868.1 matrix protein [Tioman virus] -MALRQATIPINVDTESEKNNLNPFPIVPITKEDGSPTGRLVKQLRIKNLTPRGSTELPLTFINTYGFIKP -LMTYTEFFSTHLRTPSCLTACMIPFGAGPFIENPHRILDKCDRVNIVVRKSASVKEEIIFDVRRLPPLFN -RHQISGNRLICVPSEKYVKSPGKMIAGTDYAYQIAFVSLTFCPESQKFRVARPLQTIRSPIMRSVQLEVI -LKIDCAANSPLKRFLIISPNSKDCFASVWFHICNLYRGNKPFKAYDDTYFSQKCRAMQLECGIVDMWGPT -LVVKAHGKIPKMARPFFSSKGWSCHAFADAAPTLAKALWSVGAQITQVNAILQPSDLHQLVQISDVIWPK -VKLDEKIQSYAAAKWNPFKKSTN - ->NP_598403.1 matrix protein [Human orthorubulavirus 2] -MPIISLPADPTSPSQSLTPFPIQLDTKDGKAGKLLKQIRIRYLNEPNSRHTPITFINTYGFVYARDTSGG -IHSEISSDLAAGSITACMMKLGPGPNIQNANLVLRSLNEFYVKVKKTSSQREEAVFELVNIPTLLREHAL -CKRKMLVCSAEKFLKNPSKLQAGFEYVYIPTFVSITYSPRNLNYQVARPILKFRSRFVYSIHLELILRLL -CKSDSPLMKSYNADRTGRGCLASVWIHVCNILKNKSIKQQGRESYFIAKCMSMQLQVSIADLWGPTIIIK -SLGHIPKTALPFFSKDGIACHPLQDVSPNLAKSLWSVGCEIESAKLILQESDLNELMGHQDLITDKIAIR -SGQRTFERSKFSPFKKYASIPNLEAIN - ->sp|P27020.1|MATRX_PI4HB RecName: Full=Matrix protein -MAPTQSKVKIHNLAEAHEKVLRAFPIEVEQNSEGNKLLVKQIRIRTLGHADHSNDSICFLNTYGFIKEAV -SQTEFMRAGQRPESKNTLTACMLPFGPGPNIGSPQKMLEYAEDIKIHVRKTAGCKEQIVFSLDRTPQVFR -GFQFPRDRYVCVPSDKYIKSPGKLVAGPNYCYTITFLSLTFCPSSQKFKVPRPILNFRSTRMRGIHLEII -MKITCSENSPIRKTLITDDPENGPKASVWIHLCNLYKGRNPIKVYDEAYFAEKCKQMLLSVGISDLWGPT -IAVHANGKIPKSASLYFNSRGWALHPIADASPTMAKQLWSIGCEIIEVNAILQGSDYSALVDHPDVIYRK -IRIDPAKKQYAHSKWNPFKKAISMPDLTGISI - ->sp|P19718.1|MATRX_MUMP1 RecName: Full=Matrix protein -MAGSQIKVPLPKPPDSDSQRLNAFPVIMAQEGKGRLLRQIRLRKILSGDPSDQQITFVNTYGFIRATPET -SEFISESSQQEVTPVVTACMLSFGAGPVLEDPQHMLKALDQTDIRVRKTASDKEQILFEINRIPNLFRHH -QISADHLIQASSDKYVKAPAKLIAGVNYIYCVTFLSVTVCSASLKFRVARPLLAARSRLVRAVQMEVLLR -VTCKKDSQMAKSMLNDPDGEGCIASVWFHLCNLCKGRNKLRSYDENYFASKCRKMNLTVSIGDMWGPTIL -VHAGGHIPTTAKPFFNSRGWVCHPIHQSSPSLAKTLWSSGCEIKAASAILQGSDYASLAKTDDIIYSKIK -VDKDAANYKGVSWSPFRKSASMSNL - diff --git a/seq/clusters_seq/cluster_257 b/seq/clusters_seq/cluster_257 deleted file mode 100644 index 05ea609..0000000 --- a/seq/clusters_seq/cluster_257 +++ /dev/null @@ -1,239 +0,0 @@ ->YP_010087617.1 putative RNA-dependent RNA polymerase [Patrinia mild mottle virus] -MKNLLKFLRMDYRPDAGLKTRSEMERFADPDWALLMCQGRMTTAHADNIQRWYEGELSTDVFIPEVAPKN -GEGQGSSPLPAVEMPSPQPSDNDQEGDDLAPWLEERFELLKLEAEIAAPSVEAEGQEETVVFGPEYPEEY -RVNLCRALVPFVPPPPERVDAPAHVQVEEGRCSTIAASFRACGGAVLASAYAALATAMGSATEACKTIAS -AAAVASKEVPLDITVEGPAVPMQEPGAPMGYVTSASIAMELRRRFGMPVRTPANSELGGRVAREILADRC -GSTRSDAWYMSQEAVDFWLRPTVVDLVQRTRPVGFLLGDVEARCGVETKIKPDVLSPHIRVKLAARPRNV -QRTSYFIDAVRPEADIGVHNNSLNNLVRGINERVFYTDNKGTLPLKPVTGAFQAIEVGIRAFKITPWTME -EVVESYTGRLKTRYQKAMESVLASPLTAKDAKVATFIKAEKVNFTAKPDPAPRVIQPRDPRFNVVFAKYI -KPLEPLLYKQLGKLYKYPCIAKGFNAIQTGEIIAKKWKLFQSPVCVGLDASRFDQHVSPDALKFTHSVYK -KFINNAEFDWLLKRMYVNKGIGTAKDGIVHYTVHGNRMSGDMDTALGNCVLMVLMTRQLCVNLDIPHELM -DNGDDCIVIFEEEHLSKFNTAVKGYFADLGFTMKVEPPVSTLEKVEFCQTQPIFDGTKWRMVRQLTSIAK -DLNSVIQWEQLPLWWRAIGESGLALAGGIPVFTEFYKWLIRASSSRASKVKLHPLFQSGITNLIKDMDCS -GEEIGTEARMSFAAAFGILPSMQIALEETYKILGPPGTQVKQPVLKAEWRLFNEYFRPDSLQCLTSCERS -PHELEDIYLKGLMKLWTPHSDYKAYQVSASWYRDNQH - ->YP_009665185.1 RNA-dependent RNA polymerase, partial [Tobacco mottle virus] -LYRYPCVAKGFNAVETGEIIAKKWQMFKHPVCVGLDAKRFDQHISMPALKFTHGIYRKFIKNAEFSNLLD -KMLINHGVGTAKDGRVKYKVRGCRMSGDMDTALGNCTIMVLMTRNLCLKLNIPHELMNNGDDCIVMFDQQ -YLQVFNSAVKPYFKSLGFNIKVEEPRYTLERVEFCQTHPVYDGEKWRMVRLLTAIAKDCATVINWEQLTA -WWAAIGKCGLAVLSGMPIYTNFYRWLIRIGKVGGVERHPVWKNEGLRWYTMGLDLSGQQVITDEARLSFA -AAFGVTPQMQEALEGIYDELGEPGVMAMNPNIFESAVVEIPDRISCEYFHPDIIDALSLQDYHATMSVSN -ITADLLCAATA - ->YP_002302259.2 RNA-dependent RNA polymerase [Carrot mottle virus] -MSFLKRILKHLDSQFSPAPGVYNRDVCAELYGAEWGVLITQERMTRALSVEHERWYTGRVETDVYIPVAQ -YVDPRDVEAEPTSQQGNSTGVDNPVNNCSGTVPTMPATEVIPVDGLGGRRVGVVPAPEGPIPCEGNVYAI -LRMADPTADASESSDDEEDTCCPAYIHAASNSVAEHSANAVPPPMEGVHPGTSPDGVPSSSSAVGISLAV -DVTHVNTVDASTEMPTKVEGPDEGVSTSPLQEAGPSMAFVDASSVAMELRARFGYRSKNPANLELGGRVA -RDILKGCGAVRSENYYLAQLAVQLWFAPTLLDLVLRGPVQDFLLGGVLVREGVCTSVIPKVLSPSLTIRP -ADRPRPVSRVSYQIDAVRPLADYGVHNNSLKNLVRGINERVFYIDNVGTKPVQPSGHGFSSIRDDIKAFR -VVPWTMEQVVASYSGSQQTRYNTAMQSIYEKPFNRDDARVSTFIKAEKINFTAKPDPAPRVIQPRDPRFN -ICFAKFIKPLEPMLYKALGRLYKHPCIAKGFNAFQTGDIIAKKWRSFRDPVCVGLDASRFDQHVSVAALK -FTHKVYKRFVRDPEFDRLLQLMYTNKGRGTAKDGVVKYKVKGCRMSGDMDTALGNCVLMVPMTRELCKRL -EIPHELMDNGDDCIVIFDKEHLSKFQRAVKPYFKDLGFSMKVEAPVFKLEQIEFCQTQPVFDGTQWRMVR -QVNSIAKDLCSVISWEQLLYWWDAIGTCGLALAGGIPVLHSFYKWLCRIGTRGSNVDKHPLFKCGMVYLS -LGMDSASKRITPEGRLSFASAFGISPAMQVALEGIYDKMGNPKGSSVCQVAQSHDFNDWFTPDSRYKELD -SGCQDLTTVESTAQVEFPIYGGLYLPHIEA - ->YP_009352229.1 RNA-dependent RNA polymerase [Ixeridium yellow mottle virus 2] -MVRFADEEWALLVCQQRMTDAHQATLNEWYEGTIETDVYIPLSGEPTNACEALPVAEPQVGPVAIPLDGS -HRSTVDALAGSEDAPGVSGDASSTTSLETYLGAAHELLKIEAEIAGLQLPQTGEEEAYGPHYPSGYCNNP -CRALVPWQPLVIKVPRAPAPVIYCGYTPGWEESRSPVERLGAAVVETGNLLAGYLFTKVIPFAAGTVFHS -MAQLATMVAEAEQPCDPTIEGPTLPLEERGAAMGYMNSAAIAMELRRRFGRPQATPANVELGGRVAREIL -SDRCRATRSEAWYTSVEATKMWLTPTLVDMVHATGNVGFLLGDVEARYGVETKVAPEIISPHMRVKLAAR -PRAVGRTSYFINAVCPTADFGVHNNSLNNLVRGINERVFFTDNKGTTPLTPVAGAFQKINVDCLKTFRIR -PWTMEQVVDSYSGRLRTRYQQAMESVYANPLTKRDARVATFIKAEKVNFHVKPDPAPRVIQPRDPRFNVA -IAKYIKPLEPLLYKQLGKLYRYPCVAKGFNAMQTGEIIAKKWSLFKKPVCVGLDASRFDQHVSVEALRFT -HSVYKKFIKHEEFHRLLDMMYVNRGIGTAKDGIVLYRVKGNRMSGDMDTALGNCVLMVLMTRQLCVDLNI -PHELMDNGDDCVVIFEEEHLERFNAAVRGYFSGLGFTMKVEPPVRTLEKLEFCQTQPVFDGESWRMVRQI -TSIAKDLNSVIQWEQLPLWWRAIGECGLSLTGGIPIFDNFYKWLIRASGPSFGGIKGHPLYRCGMTNLAT -GMDMSGKAITTEARMSFATAFGIVPSMQVAIEQAYDSLGKPGTTKKTAVLKTEKHLFNHWFEPEKNLSAL -SSHPWCPRSLEDIQYAHAMGLDPPIRDYTLYT - ->YP_009337341.1 hypothetical protein 2 [Changjiang tombus-like virus 17] -MYRGFRRRRYEQAKQNLSISGPLIDSRVKMFVKMEGIKFSSEKINPSCRAIQFRSPEYQLQVACFIKPIE -HLLYCSSGPYPYPASQFIAKNLNGVERAQLLWEKYANLPGCEILMLDASRFDGHVTPRLNSIEEHFYTTI -CPDPFFRSLLKARKVNKGSAKGADFSVSYSLKGGRMSGDMDTASSNCLLMSSMLALLGSTSCLSYDFLVD -GDDSVFFYLGDTLTDDHIKEFFLECGMEMKIDKRTRDFWSLDFCQGKPVSLPGGISLVRDPIKVMSKAGI -NDKFSDPLLRCRILEVICLGELSLVKGCPILQHFFDRLLYIARRSLGKKKRKKLKMEWMSYRQRNEFRGE -WWKREVLPITPEARETLSRAWGISIEEQLSLEKQIDGFDFDPMSTPIRGEGVDVSNWLFDAFTRESF - ->YP_009337297.1 hypothetical protein 2 [Changjiang tombus-like virus 3] -MDTKVKPVHLSPHMRVTPAARPRPTKRTSYQIDVIRPLGDFGVHNNSLVNLTRGINERVFYTDNKGSPPL -RPTAPFELNTDGLKSFRISPWTMEQVVDSYTGSQHTRYLNAMNSIRTTPLSKRDARVSTFVKAEKINFSA -KPDPAPRVIQPRDPRFNVVFAKYIKPLEPLLYKALGKLYKYPAVAKGFNAVETGEIVAKKWALFRDPVCV -GLDASRFDQHVSVEALKFTHSIYRRFIKNREFNNLLQMMYTNHGVGTAKDGRIKYTVRGCRMSGDMDTAL -GNCLLMVLMTRDLCLKLSIPHELFNNGDDCIVIFDREHLQKFNSAVKGYFAQLGFSMKVESPVYTLERIE -FCQTQPVFDGSKWRMVRLISSISKDCATVINWEQLSGWWAAVGQSGLAVLSGMPVYTSFYKWLARIGDAN -QRCNKHPLWKNEGLEWYRMGMDLSKETTITDAARLSFHAAFGISPPMQEALEGVYDALGKPSGLSAVEEV -RVEQRYTKDAFLPDNTWDNAMSMSAYTNPWAYFLEAGTGVCDTEE - ->YP_009337296.1 hypothetical protein 1 [Changjiang tombus-like virus 3] -MALRHLRRWLQLDYTPGGGVRSRRECEAHYGEEWSILIAQERMTREHASNAKDWFLGTAPCDLLLPQIVV -APQPSEGNAAAPAALSRSEDSVDAVSESSDSVPDVPGAPTPATALLVGSIPVTCPLVTDRPSAVLSPPTS -HTGAPTPPAASASRVNTLCRLALGVHPGDLTWVPAVTSTAIQVSRTLVEGTRRATAQARGWIRAAVAVAE -RELCPPPALEELKPVAKGPRQEVGEAASWVNAESVAMELRALFGLLTDTPVHREMGGRVAREILRDRCRC -GREDTWYLSTSAVTHWLSPTLTDLVLATRPQGFH - ->YP_009336938.1 hypothetical protein 2 [Beihai tombus-like virus 8] -MWNSIPGCYNPFVHSNCVRNEYVAMRDRVVGKVPVPTERGVRLLRRYARKLVKRLGKTDELTYDQVISHY -HGRKKRRYEAAKESLGKLPLTKNDSIIKAFVKSEKFDPAAKVNPAPRMIQARNARYNLAIARWLRPIEHA -IYRLKSRLTGLPIVGKGRSLTERAELLAKKFSYFKHPVVYSLDASRWDQHCDIKLLEVEHWIYKTMNGSS -EFASLLQQQLHNRCFTEHGIAYKTTGKRMSGDVNTALGNCLLAVLLAYVVLVDMLGLRETEFELLDDGDD -LLVIVEQADEHRLEGIKEAYLEVGHEIKLENRATVMEDVEWCQHRPVLTPDGWRFVPNWRKVLSSTTTDT -KHWMHENLRPALAHTMGKCLLSMYAGLPVLQEYCQFLIRQGSKDAKCLKDHFLQDRAYTNGGPTQTTKTI -DPMTRLSFQRAYGLTVQDQLDLETRIRGLTLPTTTLRVTASEVTPGWNWEYYPGTEAGLG - ->NP_054007.4 RNA-dependent RNA polymerase [Carrot mottle mimic virus] -MDHITKFLRMDFSPKDGVLTRRQCAERFDDTWGLLITQARMTTAHTADMCAWYEGSRETNQYLPLEQFVD -SRDASKEAEDKVDKLSSPPTRGCGGTTVNADGGLVENPLPTNRDEMPASSMQMNAPSGGIAVASVNSEVA -RWVFALPRELDEPIMETPVDPSAPTEAERELARVLNNTVAVGDTASALSTIQEEPQHEVIAVPGTAVGVV -HQEDPAPVEGSPSTRDAAMEGDAGHSAHAGDDTDASVEMPTTVEAAGPLMEEGPSMAHLTSYTVAMELRS -RFGLRPASPANIELGARVAREILKTAGARRQDNYYLAQQAVKFFLTPTLLDVVYSTPIRDFLLGDVIARD -GVCTKTVPIILSPSLHIRPSARPRPVKRVSYQIDAVRPSADFGVHNNSLVNLVRGINERVFYTDNRGSLP -VRPTPGRFDRLTVDIKHFKINAWSMEDVVNSYTGRQRARYEQAMLSIMERPLERRDARVSTFIKAEKINF -SAKPDPAPRVIQPRDPRFNVVFAKYIKPLEPMLYKALGRLYEQPCVAKGFNAFQTGEIIRKKWDSFRNPV -CVGLDASRFDQHVSVEALKFTHSVYKRFNSSKEFVDLLNQMYVNRGLGTCKDGLVKYKVKGCRMSGDMDT -ALGNCVLMVLMTRNLCLDLSIPHELMDNGDDCIVIFEKEHLQRFNAAVKPYFAALGFTMKVEAPVYQFEK -VEFCQTQPVFDGTQWRMVRQIPSIAKDLCSVIDWEQLESWWHAIGNCGQSLAGGLPVFGSFYRWLCRIGK -ANTKVTEHPLYKCGMVNLSRGMDARDKPITTEGRLSFSLAFGLSIPMQIALEGIYDNLKRPGRVVPGACE -RRGFNDWFIPDVQCLNPSRSAVHTDEVEVEVDFLYPPPVSAC - ->NP_620846.3 replicase [Pea enation mosaic virus 2] -MNCVARIKRWFTPDFTPGEGVKSRAQLERELDPTWALLVCQERARRDADSIANEWYEGSMECNLLIPRPT -TEDVFGPSIAPEPVALVEETTRSRAPCVDVPAEESCKSAEIDPVDLAKFDSLHRRLLAEANPCREMVLWV -PPGLPAERDVLPRARGVIMIPEVPASAHTLSVKVMEAVRLAQEVLASLAKRALEKRSTPTLTAQAQPEAT -LSGCDYPYQETGAAAAWITPGCIAMELRAKFGVCKRTPANLEMGSRVARELLRDNCVTCRETTWYTSAIA -VDLWLTPTVVDLACGRRAADFLVGAVLPRLGEDTSVRFDNLHPSIEVIKAARPRPTQRMSYQIDVVRPLG -DFGVHNNSLVNLARGINERVFYTDNARTEPLKPKVPFPSSRELKTFRVTPWTMDRVVESYTGSQRTRYAN -ARDSILSNPLSPKDARVKMFVKAEKINFTAKPDPAPRVIQPRDPRSNIVLAKYIKPLEPMLYKALGKLYK -YPAVAKGFNAVETGEIIAGKWRCFKDPVVVGLDASRFDQHVSVEALQFTHAVYRGFIKSREFNNLLQMMY -TNRGLGSAKDGFVRYKVKGRRMSGDMDTSLGNCVLMVLLTRNLCKVLGIPHELFNNGDDCIVFFDRCHLE -KFNNAVKTYFADLGFKMKVEPPVDVLEKIEFCQTQPIYDGEKWRTVRCISSIGKDCSSVISWDQLEGWWN -AIAQSGLAVCGGMPIYTSFYRWLARAGKSGTKCQSHPLWKNEGLNWYRMGMDLSHEVNVTPQARLSFFAG -FGISPPMQVAIEALYDKLPPPSPHHGPPVKAVTQRVFTNYFTPESACVSMSTNEDNKSDFAVYGPVPTVM -SLCAQC - ->YP_009162614.2 RNA-dependent RNA polymerase [Opium poppy mosaic virus] -MDFVDRITRWLSGDFKPSAYIKSRNECVVAYGEEWATLVTQSRMQREASRQYADWYNSASPTTCTMPPPT -DAELIEEARVGKLKAGLDQPKKQQEAASINLGGIKTPPPMSEEQSTLLDLEALPSYDQIVAVGKLRRLQL -QSACPQYTDEERASWAIIPYTGGPRVIREDEVLPRAPSVVLLNDAPRSMSKIEELVKACFATIDKVAKWR -KVDYIDFSSKAAQTPPSKYKLQEPGEVMKGMNAQSVAMELRCRYGVQPCTAANLQLGNRVAREILDRQCQ -ATREQVYYYGHLATTMWFQPTLVDLALRAGAKDFLIGDVYARAGMETRVKTSIHPAIRVMRAARPRAVDR -VSYQIDVVRPCADFGVHNNSLNNLIRGVNERVFYTDHKRTVPVKPLPTGFDEIDISMLKTFKVSPWTMEQ -VVESYKGSQKTRYQNALESLRIQPLTRADARVKTFVKAEKINFTAKPDPAPRVIQPRDPRFNLCFAKYTK -PLEPMLYKQLGKLYKYPCIAKGFNAVETGEIIAKKWAMFKDPVCVGLDASRFDQHVSVEALRFTHKVYKR -FVQGHEVNKLLSWMYTNHALGSAKDGYVKYEITGCRMSGDMDTALGNCTLMVLMTHHLCKSLDIEHELMN -NGDDCIIIMDQAKLPLLQQNVAKFYERLGFKMKVEEPVFQLERVDFCQTRPVYDGRKWRMVRHISSISKD -CCTVINWEQLAPWWCAIGTCGLAVAAGIPIHNSFLKWLKRSGGDEGGIRSHALWKNEGLAWYRMGLDLSH -EDHVSDAARLSFQTAFGISPEMQVALEGIYDSLPAPSVGGRDYRTKGIHGCETVVALPSRHFNNYFDDVP -TNTSQSHSADPGDLNFEPGVLWNFT - ->YP_009162058.1 RNA polymerase [Groundnut rosette virus] -MATIRHIMDWLRPTFTPLAGVKSRQECIAHYGDDWALMITQSRMTLSAEAQVNAWYEGGAEVNGSIPSVE -GNAAAPQAAAVVAEPPHPSPAENEGEDASWVAALPAPPTYEVVQGRAPTLEEIHGASRLQIVPYTGRARV -IGEDEVLPSPVLSSIWRATRCPGRILRVLGTLLKPGACQRHLEDLREVQPQVCVGNPCEVKLQEEGAPMA -YMNAQSIAMELRAMFGWQAATPANRELGNRVARDILRDGCGATREQIWYMSSLALHMWFQPTLCDLAIKA -GAQNFLVGEVYARSGVETKTRPKILSPQIKVKLAARPRPVKRVSYNVDVLGPSADYGVHNNSLNNLVRGV -NERVFYTNNQGKLPLAPAAGAYQQIDCAALKQFRVTPWSLDDVWMSYKGSQRVRYKQAVDSLGMRPLSKS -DARVSTFIKAEKVNFRAKPDPAPRVIQPRDPRFNAVFAKYIKPLEPLLYKALGKLYKYPCVAKGFNAVET -GEIVAKKWKMFANPVCVGLDASRFDQHVSVDALRFTHGVYRRFVKSSEFDKLLRWMYTNRCRGAAKDGFV -KYTVNGCRMSGDMDTALGNCVLMVLMTRHLLMSLGIPHELLDNGDDCIVIMDQEHLAKFNDAVKPYYSNL -GFTMKVEEPVYSLERVDFCQTRPVYDGKKWRMVRHITSVAKDCCTVINWEQLPSWLSAIGECGIAVAGGI -PVHNSFLRYLMRVGGTKGGIENHLLWKNEGLSWYRMGMDLSHEKVVSDEARLSFQTAFGISPTMQRALED -LYDGLGAPTVNGCDYRTVKTRDCREIECMPPRHYNHYFIDCGMQPAGSQEQYVNPGYTIFEAAALWAQC - ->NP_733848.2 RNA-dependent RNA polymerase [Tobacco bushy top virus] -MEFINKIKQLLAMNFKPSKGVMSREELREAFDPTWELLITQARVTNEVSRQCEDWYTLAVPTTYRLPELA -VEEAVREKNIAREVAVKCPPEDPIPAIPQGSQPVPLVMSSERSSQDAEREALDEIWGLPTPESHPLPKYF -ERRYQALARTCEKDYSNWQIVPYTGGPRVLREEDVLPMASGVIPLPPPPPKASVIGAVLGLITRLTKVAG -EVKSRLSVPPREPSPTCIGLEQVAGEPMGYMNAHSVAMELRARYGVQPATAANLQLGNRVAREILEKQCG -ATRDMVFILGHLATTLWFTPTMVDLALQCGPKDFLLGDVVARRGVETKVKTKIHPKIRVLRAARPRPVER -VSYQIDVVRPCADFGVHNNSLNNLVRGVNERVFYTDHKRKEPRRPSAGSFDKIDISEIKAFRVQPWTLEE -VVDSYTGSQRVRYGQAVESLAVTPLSRNDARVKTFVKAEKINFTAKPDPAPRVIQPRDPRFNACFAKYTK -PLEPLLYKQLGKLYQFPCIAKGFNAVETGEIVAKKWKCFSDPVCVGLDASRFDQHVSCDALRFTHSVYKR -FVKGREVNKLLSWMYKNHALGSAKDGFVKYEVEGCRMSGDMDTALGNCVLMVLMTRQLCKNLSIPHELMN -NGDDCIVIFDRQYLSTFQDAVEPWFRELGFTMKVEEPVYHLERVDFCQTRPVYDGKKWRMVRHISSIAKD -CCSVIDWEQLPAWWNAIGECGIAVAGGIPIHNSFLRWLLRSGESNPDLLKHGAWKNEGLAWYRMGMDLSH -ERHVSDEARASFHTAFGIEPSMQVALEQIYDSLPAPTIGGKRARVCKPGEMVLVDSLPPRHFNDYFQDVG -IGGSSSDYVVPGTHEFEPGTLWTQC - ->YP_009056849.1 RNA-dependent RNA polymerase [Ethiopian tobacco bushy top virus] -MAIRAITNWLRLDYSPAPYIKSRRRCNEBYGEXWGLLITQARMTESAGHHMMAWYEGSAEVNGLIPGHDD -QGLADPAPPPVMEVTTPPNEEVSCPGPSBDHGVEWVENLPSPPSPKDQRNRLPTLXEVLGADRLQIIPYT -GGHRVLSEXEVRPRAQGVILLPAPRYRPSLLERALDALVFAWRPASQALDALVRSQPQEDGLPASLKLQE -EGAPXEYITAHMIAMELRSMFGWQLNTPANRELGNRVARDILRDRCGANRENTWYLSSLGVQMWFQPTLC -DLAVKAGPQNFLVGEVYARCGVETRVKPEILSPHIKVKLAARPRPVKRVSYNVDVLGPPADFGVHNNSLN -NLIRGVNERVFYTNNAGKRPLAPAVNAFRAIDSSGLKQFRITPWTLDEVWQSYTGSQRVRYQQAVESLRL -KPLTRSDARVSTFIKAEKVNFSAKPDPAXRVIQPRDPRFNAVFAQYIKPLEPMLYKALGRLYKYPCVAKG -FNAVETGEIVAKKWKMFDNPVCVGLDASRFDQHVSVDALRFTHGVYRKFVKNPEFDKLLRWMYTNHCRGA -SKDGFVKYTVRGCRMSGDMDTALGNCVLMVLMTRHLLQSLSIPHELLDNGDDCIVIMDREHLDKFNLAVK -PYYSNLGFTMKVEXPVYSLERVDFCQTRPVFDGKKWRMVRHISSIAKDCCTVINWEQLPAWLTAIGECGL -AVAGGIPIHNSFLRYLCRVGGSKGRVEKHLLWKNEGLNWYRMGMDLSHESVVTDESRLSFNAAFGISPAM -QRAIEGIFDRIGAPTINGHDYRVVKTSECREVNSMPPRHYNHYFEDCGMLPAGSQERYVSPGENCFDISE -LWRQC - ->ACJ03572.1 RNA-dependent RNA polymerase [Carrot mottle mimic virus] -MDHITKFLRMDFSPKDGVLTRRQCAERFDDTWGLLVTQARMTTAHTADMCAWYEGSRETNQYLPLEQFVD -SRDASKEAEDKVDKVSSPLTRSCGGTMVNADGGLVDNPLPTDRDEMPAASMQMNAPSGGVAVASVNSEVA -RWVFALPRELDDPVMETPVNPSAPTEADRELTRVLNNTVAVGDIASALSTIQEEPQHEAIAVPGTAVGVV -HQEDPAPVEGSPSTRDAALEGDAGHSAHAGDDTDASAEMPTTVEAAGPLMEEGPSMAHLTSYTVAMELRS -RFGLRPASPANIELGARVAREILKTAGARRQDNLLSSPAGSQVFPDADTPGCGVQHTHPGFLLGDVIARD -GVCTKTVPIILSPSLHIRPSARPRPVKRVSYQIDAVRPSADFGVHNNSLVNLVRGINERVFYTDNRGSLP -VRPTPGSFDRLTVDIKHFKINAWSMEDVVNSYTGRQRARYEQAMLSIMERPLERRDARVSTFIKAEKINF -SAKPDPAPRVIQPRDPRFNVVFAKYIKPLEPMLYKALGRLYKQPCVAKGFNAFQTGEIIRKKWDSFRNPV -CVGLDASRFDQHVSVEALKFTHSVYKRFNSSKEFVDLLNQMYVNRGLGTCKDGLVKYKVKGCRMSGDMDT -ALGNCVLMVLMTRNLCLDLSIPHELMDNGDDCIVIFEKEHLQRFNAAVKPYFAALGFTMKVEAPVYQFEK -VEFCQTQPVFDGTQWRMVRQIPSIAKDLCSVIDWEQLESWWHAIGNCGQSLAGGLPVFGSFYRWLCRIGK -DNTKVTEHPLYKCGMVNLSRGMDARDKPITTEGRLSFSLAFGLSIPMQIALEGIYDNLKKPGKVVPGACE -RRGFNDWFIPDAQCLNPSRSAVHTDEVEVEVDFLYPPPVSAC ->AEM45994.1 RNA-dependent RNA-polymerase [Pea enation mosaic virus 2] -MNCVARIKRWFTPDFTPGEGVKSRAQLERELDPTWALLVCQERARRDADSIANEWYEGSMECNLLIPRAK -PEDVLGPSVAPEPVALVEDVTHSHAPGVDAPAEESSVVAEIDSVDPAEFDSLTRHLLAESNPCREMVLWV -PTGLPAERDVLPRARGVIMIPEVPASSYTLSVKVAEAVRLAQEVLASLAKRALEKRSPPTLTAQAQPEAL -LSGCDYPYQETGAAAAWITPGCIAMELRAKFGICKRTPANLEMGSRVARELLRDNLCHLQGDHVVHQCHC -CGPVVDPNRRRPGLWSAGGGFLVGAVLPRLGEDTSVRFDNLHPSIEVIKAAKPRPTQRMSYQIDVVRPLG -DFGVHNNSLVNLARGINERVFYTDNARTEPLKPKVPFPSSRELRELKTFRVTPWTMDRVVESYTGSQRTR -YANARDSILSNPLSPKDARVKTFVKAEKINFTAKPDPAPRVIQPRDPRFNIVLAKYIKPLEPMLYKALGR -LYKYPAVAKGFNAVETGEIIAGKWRCFKDPVVVGLDASRFDQHVSVEALQFTHAVYRGFIKSREFNNLLQ -MMYTNRGLGSAKDGFVRYKVKGRRMSGDMDTSLGNCVLMVLLTRNLCKILGIPHELFNNGDDCIVFFDRC -HLEKFNNAVKPYFADLGFKMKVEPPVDVLEKIEFCQTQPIYDGEKWRTVRCISSIGKDCSSVISWDQLEG -WWNAIAQSGLAVCGGMPIYTSFYRWLARAGKSGTKCQSHPLWKNEGLNWYRMGMDLSHEVNVTPQARLSF -FAGFGISPPMQVAIEALYDKLPPPSPHYGSPVKVVTQRVFTNYFTPETACASMSTDGDNRSDFAVYGSAP -TVMSLCAQC ->AID45795.1 RNA-dependent RNA polymerase [Papaya meleira virus] -MVYTFIKAEKFDAIRKPDADPRMIQHRSERFMVEYGKYVKAIEKPVYSCLARLNKGLPMVAKGFNARETA -CILREMWGRFRDPVCVSLDASRFDQHVSVEMLKFTHRIYRRFFASPSDRWDLARICQWTLVNTGKARCKE -GSFKYTVRGRRMSGDMDTALGNCLIMCALTYEFLSRCGMYFQIFNNGDDCLFICERAYVPSVSSVSSWYR -HYGFRVVEEERVDVFERISFCQTSPVYTVGGWVMCRAPVALTKDLTYIGPKTALSLWLSAIGQCGLALCD -GVPIFHMFYRCLCMGKKKSKITNSMLYDCGLVRMAEGLVYEGLSVSTESRLSFARAFGILPDDQLAIEAQ -LAIQEPFLLANPVGNSEYHALVSSTNLMDHCLRRPVYPGPRTSGPEVILTP ->AMU19322.1 RNA-dependent RNA polymerase [Papaya meleira virus 2] -MNNLLRGFCTRVWRYKGSDPIAPSRLKMPRFERKFRAFQVSRWTRDMVVDNALPRRRALFRKAADSLEAS -PITCRDSNVYTFIKAEKFDYLAKPDADPRLIQPRSERYMVEHGTFMKAVEKPVYSCLARLQGGLPMVAKG -FNAQETARILRAKWDKFRDPVCISLDASRFDQHVSVAMLKFTHRIYRRFFASPLDKQELSKLCQWQLSNA -GRARCREGTFKYQVAGRRMSGDMDTSLGNCLIMCAITYELFCRNHYCEIFNNGDDCLVISERAHLPTAAE -VCSFYRDYGFHVVEEERVDVFERISFCQTSPVWAGGRWVMCRGLRAMVKDLTYIGPKSALPLWLDAIGQC -GAALCDGVPIFSRFYSTLMKPGLKQNKIRNSMLYSCGMTRLSEGMSFQGLAVSDLARLSFMRAFGINPCA -QIAIEESMSDYSGLENQSNRGFCALSSCETLLSYMLRHGRFEAPDVCAFATES ->AJF45986.1 RdRp [Papaya umbra virus] -MRGVLTGPQVRASTSIYSQVVGGLYRVHDNSMSNLLRGFCTRVWRYKGADPIPCRSGRIPTFERNFKAFQ -VSPWTRQMVVDNALPRRRTLFQRAADSLECCSLSSRDSTVFTFIKAEKFNYCAKPDADPRLIQPRSERFL -VEHGTFIKAVEKPVYSCLARLNGGLPMVAKGFNARETACILREMWTRFRNPVCVSLDASRFDQHVSVEML -KFTHRIYRRFFSSSAERRHLSLICQWTLENAGKARCREGSFKYQVRGRRMSGDMDTALGNCLIMCALTYE -FLSRCGIDFYIFNNGDDCLFICEDVCVPSVSKVCSWYQHYGFRVVEEERVGVFERISFCQTSPVLTSGGW -VMCRSPRVLAKDLTYIGPKSALGLWLDAIGQCGLALCDGVPIFHVFYSMLLHVGEEEEQYPQLHAVLLWA -YQIG ->AGG23390.1 RNA-dependent RNA polymerase [Citrus yellow vein-associated virus] -MDPSSLPRACWHHARQVFRASTSFSREVVRAARRWVTMPTSRHAYDFSTSLGIVIAEPAARLRRRLPSVR -KCAEKLVVHKQVDTLVDEWCSGIPNPDIVEVGWALRLRDRFGLPPASEPTRLSGERWVLKQLNGVDPESW -NADLGRSVHIQGDYAPGRNAHIAQVAATLWLTRTLHDKALARHQGFSRFAVIGVDGLEAKAVPLASGLRL -LPVPRPGQSRRLSQTLLLPTPRAQFVNHACSANNLGRVMTTRVLQYKGRDPILPSSEALHRLNLRIAELY -RSRPSTVYPLSYEGFLNCYEGRQRTRYAQAVEQLMRSTLEPKDARVETFIKNEKFDWALKGEEADPRAIQ -PRKPKYLAEVGRWFKPLERIIYKDLSKRLYGEGAEPCIAKGLNALESGATLRRKWEKFSSPVCVSLDASR -FDLHVSVGMLKFTHKLYDYYCKSPTLQRYLKWTLRNHGVASCKELSYEYEVVGRRMSGDMDTALGNCVIM -SILTWFMLSELGIKHELFDNGDDCLFICESHDVPSPEVITNWFSDFGFVVRLEGVTSVFERIEFCQTSPV -WTERGWLMCRNIKSLSKDLTNVNSCTGSTIEYTHWLKAVGKCGSILNAGVPIFQSFHNMLERLGTNSRID -RGVFFKSGLVNLIRGMDRQPDVDITTSARLSFEVAFGITPGMQLAIERYYDSVMGSLSKIETTKWPIELR -KEYEHGSEWYEDLGVLG diff --git a/seq/clusters_seq/cluster_258 b/seq/clusters_seq/cluster_258 deleted file mode 100644 index bfe192f..0000000 --- a/seq/clusters_seq/cluster_258 +++ /dev/null @@ -1,393 +0,0 @@ ->YP_009666126.1 pol protein [Guenon simian foamy virus] -MEPLQLLQPLEAEVKGTKLKAHWDSGATVTCIPQAFLEDETSIKKILIKTIHGEKEQSVYYLKFKIQGRK -VEAEVISSPYDYILLSPADVPWLMKKPLQLTTLVPLQEYQERLLKQTALPNKEKTMLQSLFLRYDALWQH -WENQVGHRRIKPHHIATGTVPPRPQKQYPINPKAKPSIQVVINDLLKQGVLVQQNSTMNTPIYPVPKPDG -KWRMVLDYREVNKTIPLIAAQNQHSAGILSSIFRGKYKTTLDLSNGFWAHPITPESYWLTAFTWQGQQYC -WTRLPQGFLNSPALFTADVVDLLKEIPNVQAYVDDIYISHDDPVEHVQQLEKVFSLLLNAGYVVSLKKSE -IAKHEVEFLGFNITKEGRGLTDTFKQKLLNITPPKDLKQLQSILGLLNFARNFIANFSELVRPLYNIVSS -ANGKYITWTQENSQQLQNIISTLNSAKNLQERNPEVRLVMKVNTSPSAGYIRFYNEATKQPIMYLNYVYS -KAETKFTMTEKLLTTIHKGLIKALDLAMGQEILVYSPIVSMTKIQKTPLPERKALPIRWITWMSYLEDPR -IQFYYDKTLPELLQVPKVTEDEIAKTKHPSEFNMVFYTDGSAIKHPNIKKSHSAGMGIAQVQFKPDFTIV -NTWSIPLGDHTAQMAEIAAVEFACKKALKITGPVLVVTDSFYVAESANKELPYWQSNGFVNNKKKPLKHV -SKWKSIAECLQLKPDIVIMHEKGHQPSNTTFHTEGNNLADKLATQGSYVVNTNTTPSLDAELDQLLQGHT -PKGFPKQYQYTLENGQVLVTRPNGTRIIPPKADRPTIILQAHNIAHTGRDSTFLKVSSKYWWPNLRKDVV -KVIRQCKQCLITNAATLSAPAILRPERPVKPFDKFYIDFIGPLPPSNGYLYVLVVVDGMTGFVWLYPTKA -PSTGATVKALNMLTSIAVPKVIHSDQGAAFTSATFADWAKDKGIQLEFSTPYHPQSSGKVERKNSDIKRL -LTKLLVGRPAKWYDLLPVVQLALNNSYSPSSKLTPHQLLFGIDSNTPFANSDTLDLSREEELSLLQEIRT -SLHLPSSPPSSVRSWSPSIGQLVQERVARPASLRPRWHKPTEILEVLNPRTVVILDHLGNRRTVSVDNLK -LTAYQEHGTTNEPAAMAPLEENE - ->YP_009513249.1 pol [Feline foamy virus] -MDLLKPLTVERKGVKIKGYWDSQADITCVPKDLLQGEEPVRQQNVTTIHGTQEGDVYYVNLKIDGRRINT -EVIGTTLDYAIITPGDVPWILKKPLELTIKLDLEEQQGTLLNNSILSKKGKEELKQLFEKYSALWQSWEN -QVGHRRIRPHKIATGTVKPTPQKQYHINPKAKPDIQIVINDLLKQGVLIQKESTMNTPVYPVPKPNGRWR -MVLDYRAVNKVTPLIAVQNQHSYGILGSLFKGRYKTTIDLSNGFWAHPIVPEDYWITAFTWQGKQYCWTV -LPQGFLNSPGLFTGDVVDLLQGIPNVEVYVDDVYISHDSEKEHLEYLDILFNRLKEAGYIISLKKSNIAN -SIVDFLGFQITNEGRGLTDTFKEKLENITAPTTLKQLQSILGLLNFARNFIPDFTELIAPLYALIPKSTK -NYVPWQIEHSTTLETLITKLNGAEYLQGRKGDKTLIMKVNASYTTGYIRYYNEGEKKPISYVSIVFSKTE -LKFTELEKLLTTVHKGLLKALDLSMGQNIHVYSPIVSMQNIQKTPQTAKKALASRWLSWLSYLEDPRIRF -FYDPQMPALKDLPAVDTGKDNKKHPSNFQHIFYTDGSAITSPTKEGHLNAGMGIVYFINKDGNLQKQQEW -SISLGNHTAQFAEIAAFEFALKKCLPLGGNILVVTDSNYVAKAYNEELDVWASNGFVNNRKKPLKHISKW -KSVADLKRLRPDVVVTHEPGHQKLDSSPHAYGNNLADQLATQASFKVHMTKNPKLDIEQIKAIQACQNNE -RLPVGYPKQYTYELQNNKCMVLRKDGWREIPPSRERYKLIKEAHNISHAGREAVLLKIQENYWWPKMKKD -ISSFLSTCNVCKMVNPLNLKPISPQAIVHPTKPFDKFYMDYIGPLPPSEGYVHVLVVVDAATGFTWLYPT -KAQTSKATIKVLNHLTGLAIPKVLHSDQGSAFTSEEFAQWAKERNIQLEFSTPYHPQSSGKVERKNSEIK -KLLTKLLVGRPLKWYNLISSVQLALNNTHVVSTKYTPHQLMFGIDCNLPFANKDTLDWTREEELALLQEI -RESLQHPVQPPTCSGWSPYVGQLVQERVYRPSQLRPKWRKPTKVLEILNPRTVIIVDHLGQRKSVSIDNL -KPTAHQHNGTRTCDDPEGMDGMECSQTTTETSVDSS - ->YP_009513242.1 pol [Rhesus macaque simian foamy virus] -MDPLQLLQPLEAEIKGTKLKAHWDSGATITCIPEAFLEDEQPIQTMLIKTIHGEKQQNVYYLTFKIQGRK -VEAEVLASPYDYILLNPSDVPWLMKKPLQLTVLVPLQDYQERLLKQTTLPKEQKDQLEKLFLKYDALWQH -WENQVGHRRIKPHNIATGTLAPRPQKQYPINPKAKPSIQIVIDDLLKQGVLIQQNSTMNTPVYPVPKPDG -KWRMVLDYREVNKTIPLIAAQNQHSAGILSSIYRGKYKTTLDLTNGFWAHPITPESYWLTAFTWQGKQYC -WTRLPQGFLNSPALFTADVVDLLKEVPNVQAYVDDIYMSHDDPQEHLEQLEKVFSILLNAGYVVSLKKSE -IAQREVEFLGFNITKEGRGLTETFKQKLLNVIPPKDLKQLQSILGLLNFARNFIPNYSELVKPLYTIVAN -ANGKFISWTEENSNQLQYIISVLNQADNLEERNPETRLILKVNSSPSAGYIRYYNEGSKRPIMYVNYVFS -KAEVKFTQTEKMLTTMHKGLIKAMDLAMGQEILVYSPIVSMTKIQKTPLPERKALPVRWITWMTYLEDPR -IQFHYDKTLPELQQTPSVTEDVIAKTKHPSEFAMVFYTDGSAIKHPDINKSHSAGMGIAQVQFQPEYKVI -HQWSIPLGDHTAQLAEIAAVEFACKKALKISGPVLIVTDSFYVAESANKELSYWKSNGFLNNKKKPLKHV -SKWKSIAECLQLKPDITIIHEKGHQQPMTTLHTEGNNLADKLATQGSYVVHCNTTPSLDAELDQLLQGHN -PPGYPKQYKYTLEDNKIIVERPNGQRIVPPKSDREKIISMAHNIAHTGRDATFLKVSSKYWWPNLRKDVV -KVIRQCKQCLVTNAANLTSPPILRPEKPLKPFDKFYIDYIGPLPPSNGYLHVLVVVDGMTGFVWLYPTKA -PSTSATVKALNMLTSIAIPKVLHSDQGAAFTSSTFADWAKEKGIQLEFSTPYHPQSSGKVERKNSDIKRL -LTKLLIGRPAKWYDLLPVVQLALNNSYSPSSKYTPHQLLFGVDSNTPFANSDTLDLSREEELSLLQEIRS -SLHQPTSPPASSRSWSPSVGQLVQERVARPASLRPRWHKPTSILEVVNPRTVVILDHLGNRRTVSVDNLK -LTAHQNNGTTNDSGTMAPVEEDESGSPSS - ->YP_009508888.1 polymerase [Simian foamy virus Pongo pygmaeus pygmaeus] -MSLQHLLQPLEAEVKGTKLKAHWDSGTTITCIPTVFLTDEIPIKDVLIKTIHGERRQPAYYLTFKINGRK -VQAEVIASPYDYILLCPADVPWLQQQPLQLTVLVPLEQYKERILKETALEGQFKQQLQNILSTFDTLWQH -WENQVGHRKIPPHNIATGTHPPRPQKQYPINPKAKESIQIVINDLLKQGVLIQQNSIMNTPVYPVPKPDG -RWRMVLDYREVNKTIPLIAAQNQHSAGILASIYRGTYKTTLDLANGFWAHPITPNSYWLTAFTWQGKQHC -WTRLPQGFLNSPALFTADVVDLMKHIPNVQVYVDDLYLSHDDPQEHLQVLQQVLHILHDAGYVVSLKKSA -IAQKVVEFLGFNITKTGRGLTDAFKEKLLNISPPQNLKQLQSILGLMNFARNFIPNYAERVKPFYSLIST -AKSNNILWNDELTSQLQELITLLNQADNLEERKPTTRLIIKVNSSSHAGYIRYYNEGSKKPILYINYVFS -KAEEKFSMLEKLLTTLHKALIKAVDLAMGTEIMVYSPIVSMTKIQKTPLPERKALPVRWITWMTYLEDPR -ITFHYDKTLPELKDVPSVYQNDIPIVPHPSQYSMVFYTDGSAIKNPNPTKTHSAGMGVVQGKFNPEFQVV -NQWSIPLGNHTAQLAEVAAVEFACKQALKITGPVLIITDSFYVAESANKELPYWKSNGFVNNKKKPLKHV -SKWKSIADCLSLKTGITIKHEKGHQPSHTSVHTEGNALADKLATQGSYVVNNIIKPSLDAELDQVLQGNL -PKGYPKHFVYTLEEGKVIVKRPEGTKIIPPLADRKLLASQAHKLSHSGREATLLKLSNTYWWPNMRKDVV -KVIGQCQQCLVTNPSNLTSGPILRPERPTKPFDKFFIDYIGPLPPSNGYLHVLVVVDAMTGFVWLYPTKA -PSANATVKALNMLTSIAVPKVIHSDQGAAFTSSTFADWAKEKGIHLEYSTPYHPQSSGKVERKNSDIKRL -LTKLLVGRPTKWYDLLSTVQLALNNAYSPILKHTPHQLLFGVDANIPFANQDTLDLTREEELSLLQEIRE -SLIQPPSPPASSRSWTPAVGLLVQERVARPASLRPRWHKPVKILEVLNPRTVVILDHLGNNRTVSVDNLK -LTANQNGSTNDSTTMAGLGQNAESQ - ->YP_009508582.1 pol protein [Yellow-breasted capuchin simian foamy virus] -MTAPPLLQLPVEVKKTELNGFWDTGAQITCIPEAFLKEEIPIGEAQIKTLHGTKLQPVYYLKFKILGRKV -EAEVTTSPFDYVIISPSDIPWYKPQPLELTVKLPVQDFKKELINKANINNEEKKQLAKLLDKYDILWQQW -ENQVGHRKIPPHNIATGTVAPRPQRQYHINTKAKPSIQQVIDDLLKQGVLVKQTSVMNTPVYPVPKPDGK -WRMVLDYRAVNKTIPLIGAQNQHSLGILTNLVRQKYKSTIDLSNGFWAHPITKDSQWITAFTWEGKQHVW -TRLPQGFLNSPALFTADVVDLLKDIPGISVYVDDIYFSTETVSEHLKILEKVFKILLEAGYIVSLKKSAL -LRHEVTFLGFSITQTGRGLTSEFKDKIQNITPPKTLKELQSILGLFNFARNFVPNFSEIIKPLYSLISTA -EGNNIKWTSEHTRHLEEIVSALNHAGNLEQRDDESPLVVKLNASPKTGYIRYYNKGGQKPIAYASHVFTN -TESKFTPLEKLLVTMHKALIKAIDLALGQPIEVYSPIVSMQKLQKTPLPERKALSTRWITWLSYLEDPRI -IFHYDKTLPDLKNVPETITEKQPKILPIIEYAAVFYTDGSAIRSPDKNKSHSSGMGIVQAIFKPELTIEH -QWTIPLGDHTAQYAEISAVEFACKKANNISGPVLIVTDSDYVARSVNEELPFWRSNGFVNNKKKPLKHIS -KWKNISDSLLLKRDITIVHEPGHQPSHTSIHTQGNNLADKLATQGSYNVNSIVKNPSLDAELEQLINGHS -MKGYPSKYKYILKEGQVFVLRPEGEKIIPPKSDRPALVKVAHEFSHAGREATVLRLQDKYWWPNMRKDVI -SHIRMCKPCLTTDSSNLTPIPPKPQQRPKKPFDKFFIDYIGPLPPSHGFAYVLVVVDAATGFTWLYPTKA -PSTNATITSLNILLGTAVPRVLHSDQGSAFTSSAFADWAKEKGIQLEFSTPYHPQSSGMVERKNREIKRL -ITKLLVGRPTKWYPLIPTIQLALNNTYSVHYKKTPHQLLFGVDGNVPFANQDTLDLTREEELSLLSEVRT -SLLPPSTPPASRRSWLPSVGLLVQERVARPSQLRPKWRKPTPILEVVNDRTVVILDNQGQRRTVSIDNLK -LTPHQDGTSNEPNGVDPLEQEKEHDNHDI - ->YP_009508577.1 pol [White-tufted-ear marmoset simian foamy virus] -MTTPPLLQLPVEVKKTELNGFWDTGAQITCIPEAFLKEEIPIGEAQIKTLHGTKLQSVYYLKFKVLGRKV -EAEVTTSPFDYVIISPSDIPWYKPQPLELTVKLPVQDFKKELINKANINNEEKKQLAKLLDKYDVLWQQW -ENQVGHRKIPPHNIATGTVAPRPQRQYHINTKAKPSIQQVIDDLLKQGVLIKQTSVMNTPIYPVPKPDGK -WRMVLDYRAVNKTVPLIGAQNQHSLGILTNLVRQKYKSTIDLSNGFWAHPITKDSQWITAFTWEGKQHVW -TRLPQGFLNSPALFTADVVDLLKNIPGISVYVDDIYFSTETVSEHLKILEKVFKILLEAGYIVSLKKSAL -LRYEVTFLGFSITQTGRGLTSEFKDKIQNITSPRTLKELQSILGLFNFARNFVPNFSEIIKPLYSLISTA -EGNNIKWTSEHTRYLEEIVSALNHAGNLEQRDNESPLVVKLNASPKTGYIRYYNKGGQKPIAYASHVFTN -TELKFTPLEKLLVTMHKALIKAIDLALGQPIEVYSPIISMQKLQKTPLPERKALSTRWITWLSYLEDPRI -TFYYDKTLPDLKNVPETVTDKKPKMXPIIEYAAVFYTDGSAIRSPDKNKSHSSGMGIVHAVFKPELTIEH -QWSIPLGDHTAQYAEISAVEFACKKANNISGPVLIVTDSDYVARSVNEELPFWRSNGFVNNKKKPLKHIS -KWKNISDSLLLKRDIIIVHEPGHKPSYTSIHTQGNNLADKLATQGSYTVNNIVKNPSLDAELEQLINGHS -VKGYPSRYKYILKEGQVFVLRPEGEKIIPPKSDRLALVKIAHEFSHAGREATVLRLQDKYWWPNMRKDVI -SHIRTCKPCLTTDGSNLTPIPPKPQLRPEKPFDKFFIDYIGPLPPSHGFVYVLVVVDAATGFTWLYPTKA -PSTNATITSLNILLGTAVPRVLHSDQGSAFTSSTFADWAKEKGIQLEFSTPYHPQSSGMVERKNREIKRL -ITKLLVGRPTKWYPLLPTIQLALNNTYSVHYKKTPHQLLFGVDGNVPFANQDTLDLTREEELSLLSEVRT -SLLPPSTPPASKRAWLPSVGLLVQERVARPSQLRPKWKKPTPILEVVNDRTVVILDNQGQRRTVSIDNLK -LTPHQDGTSNEPDGMDPLEQKKKYDNHDI - ->YP_009508571.1 pol protein [Western lowland gorilla simian foamy virus] -MNPLQLLQPLQAEVKGNKLIAHWDSGASITCIPESFLEEETPIKKTIIKTIHGQKEQKVYYLTFKVNGRK -VEAEVIASPYDYILLSPMDVPWLVQKPLQLTILVPLQDYQSRILEKTALSEEFKKQLQTLFLKYDNLWQH -WENQVCHRKIRPHNIATGDYPPRPQKQYPINPKARSSIQVVIDDLLKQGVLVQQNSTMNTPVYPIPKPDG -RWGMVLDYREVNKTIPLIAAQNQHSAGILATIVRKKYKTTLVLANGFWAHPITPESYWLTAFIWQGKQYC -WTRLPQGFLNSPALFTADVVDLLKEISNVQAYVDDIYLSHDDPQEHLDQLEKVFQILLQAGYVVSLKKSE -VAQKTVEFLGFNITKEGRGLTEAFKAKLLDITPPKDLKQLQSILGLLNFARNFILNFAELVKPLYSLISS -AKGKYIEWSNENTVQLQTIIKALNNADNLEERIPEKRLIIKVNTSPSAGYVRYYNETGKKPIMYLNYVFS -KAELKFTLLEKLLTTMHKALIKAMDLAMGQEILVYSPVVSMTKIQKTPIPERKALPIRWITWMTYLEDPR -IQFHYDKTLPELKNIPDVLTENSSKIMIHPSQYNSVFYTDGSAIRSPDPTKSHNAGMGIVQVKFSPELQV -INQWSIPLGNHTAQMAEIAAVEFACKKALKITGPVLIITDSFYVAESTNKELPYWKSNGFVNNKKKPLKH -VSKWKSIAECLSLKPDITIQHERGHQPIYTSIHTEGNALADKLATQGSYVVNNNDKKPNLDAELDHLIQG -KYPKGYPKQYTYYMEDGKVKVNRPEGTKIIPPSLERAGIVQKAHNLAHTGREATLLKIANLYWWPNMRKD -VVRQLGRCQQCLVTNAFNQTSGPILRPTRPLKPFDKFFIDYIGPLPPSNGYLHVLVVVDSMTGFTWLYPT -KAPTTNATVKALNVLTSIAVPKVIHSDQGAAFTSSTFADWAKERGIQLEFSTPYHPQSSGKVERKNSDIK -RLLTKLLVGRPTKWYDLLPVVQLALNNSYSPSLKHTPHQLLFGIDSNTPFANQDTLDLTREEELSLLQEI -RSSLHQPSTPPASTRSWSPIGGQIVQERVPRPASLRPRWHKPSRIVDILNERTVVIVDHLGNNRTVSIDN -LKLTPHQDGTSNVSSTMDHLE - ->YP_009508566.1 pol [Squirrel monkey simian foamy virus] -MYQPQHQLQVEIHDQKLIGYWDTGAQITCIPQVYLEQEKPIGKHVIETVNGKTQRDAYYIKLKINGKKIE -TEVIPSPFSYALITPNDIPWFKPGGIELTEKLPIQDYKDNIVKRADITKEEKGMLYKLLDKYDPLWQQWE -NQVGNRQITPHIIATGTINPKPQKQYHINPKAKPSIQIVINDLLKQGVLKQQNSIMNTPIYPVPKTEGKW -RMVLDYRAVNKTIPLIAAQNQHSAGILTNLVRQKYKSTIDLSNGFWAHPIDQDSQWITAFTWEGKQYVWT -RLPQGFLNSPALFTADVVDLLKEIPNVNVYVDDIYVSTETINQHFQVLDKIFQKLLQAGYVVSLKKSNLC -RYEVTFLGFTISKYGRGLTEEFQEKLRNISPPNSLKQLQSILGLLNFARNFIPNFSELIKPLYELISTAQ -GQSISWEPKHSQALNNLIIALNHADNLEQRNGEVPLVIKINASNTTGYIRFYNKNGKRPIAYASHVFNHT -EQKFTPVEKLLTTMHKAIIKGIDLAIGQPIEIYSPIVSMQKLQKITLPERKALSTRWLSWLSYIEDPRFL -FIYDKTLPDLKEMPPTQTDDYNPMLPLHQYLAVFYTDGSSIKSPDPTKTHSSGMGIVQAIYEPNFQIKHQ -WSIPLGDHTAQYAEIAAVEFACKKALQVTGPVLIVTDSDYVARSVNNELNFWRSNGFVNNKKKPLKHISK -WKSISESLLLHKNITIVHEPGHQPSSTSVHTQGNALADKLAVQGSYTINNITIKPSLDTELRAVLEGKLP -KGYPKNLKYEYNSPNLIVIRKEGQRIIPPLSDRPKLVKQAHELAHTGREATLLRLQNQYWWPKMRKDVSH -CLRTCMPCLQTNSTNLTTTRPFQQIRPSKPFDKYYIDYIGPLPPSEGYSYVLVVVDSATGFCWLYPTKAP -STRATVKSLNFLLGIAVPKILHSDQGSAFTSSDFANWAKEKEITLEFSTPYHPQSSGKVERKNQEIKKLL -TKLLVGRPAKWYPLIPSVQLALNNTYSPKIKLTPHQLLFGVDGNIPFANSDTLDLKREEELALLSEIRTT -LSTVSPEPFPSTAKTWTPSVGLLVQERVYRPSQLRPKWKKPTPILEVLNERTVVIDNNGQRRTVSVDNLK -YTPHQKDGETYDSS - ->YP_009508561.1 pol [Spider monkey simian foamy virus] -MAQTNIPVEIKGTQLNGFWDTGAQITCIPQSFLLDEQPIGTTDIETIHGKQKQKLYYLKFKVLGRKVEAE -VTSSTLAYVILAPIDIPWYKPTPLELTIKLPVQDLKNTLVSQANIGKEDKIKLAKLLDKYDDLWQQWDNQ -VGNRKITPHNIATGTYPPKPQKQYHINPKAKPSIQIVINDLLKQGVLRQSTSPMNTPVYPVPKPDGKWRM -VLDYRAVNKTIPLIAAQNQHSLGILTNLIRHKYKSTIDLSNGFWAHPITEDSQWITAFTWEGKQHVWTRL -PQGFLNSPALFTADVVDILKEVPGVSVYVDDIYISSPTMEEHFQVLDSIFRKLLETGYIVSLKKSALARY -EVNFLGFVISETGRGLTSEFRERLQEITPPTTLKQLQSILGFLNFARNFVPNFSELVQPLYQLISTASGN -FIQWTAEHTLRLNELISALNHAGNLEQRRGDSPLVVKVNASDKTGYIRYYNDNSLIPIAYASHVFSTAEL -KFTPLEKLLVTMHRALLKGIDLALGQPIKVYSPIASMQKLQKTPIPERKALSTRWVTWLSYLEDPRITFY -YDKTLPDLKHVPASTDNNIITLLPITEYEAVFYTDGSAIKSPKTEQTHSAGMGIVMVVYTPEPNITQQWS -IPLGDHTAQYAEISAVEFACKKASLLQGPVLIVTDSDYVARSANKELPFWRSNGFLNNKKKPLKHISKWK -NISDSLLLKRNITIVHEPGHQPSKTSIHTLGNSLADKLAVQGSYSVNTINKIPSLDAELNQILEGNLPKG -YPKQYKYVLKNNELIVQRPEGDKIIPPKADRLPLVKTAHELAHTGREATLLKLQTTHWWPNMRKDIITVL -RQCKPCLQTDSTNLTPIPPVSQPRPVKPFDKFFIDYIGPLPPSHGFSYVLVIVDARTGFTWLYPTKAPST -NATINSLNLLLGTAIPKVLHSDQGSAFTSATFAEWAKDRSIQLEFSTPYHPQSSGMVERKNKEIKRLITK -LLVGRPTKWYPLLPTVQLALNNTYSPRTKLTPHKLLFGVDGNVPFANQDTLDLTREEELSLLAELRSSFL -PSASPPASSRSWRPSVGLLVQERVARPSQLRPKWKKPVIICELINDRTVVIVDKAGNKRTVSIDNLKLTP -HQKNSHGSTPDITGMDVMEQEEEPGNMD - ->YP_009508556.1 pol protein [Japanese macaque simian foamy virus] -MDPLQLLQPLEAEIKGTKLKAHWDSGATITCIPEAFLEDEQPIQTMLIKTIHGEKRQNVYYLTFKIQGRK -VEAEVLASPYDYILLNPSDVPWLMKKPLQLTVLVPLQDYQDRLLKQTALPKEQKDQLEKLFLKYDALWQH -WENQVGHRRIKPHNIATGTLAPRPQKQYPINPKAKPSIQIVIDDLLKQGVLIQQNSTMNTPVYPVPKPDG -KWRMVLDYREVNKTIPLIAAQNQHSARILSSFFSGKYKTTLDLTNGFWAHPITPESYWLTAFTWRGKQYC -RTKLPQGFLNSPALFTAHVVDLLKEIPHVPAYVDDIYISHDDPHEHLEQLEKVFSILLNAGYVVSLKKSE -IAQREVEFLGFNITKDGRGLTETFKLKLLDITPPKDLKQLQSILGLLNFARNFIPNYSELVKPLYTIVAN -ANGKFISWTEENSNQLQYIISVLNQADNLEERNPETRLILKVNSSPSAGYIRYYNEGSRRPIMYVNYVFS -KAEVKFTQTEKILTTMHKGLIKAMDLAMGQEILVYSPIVSMTKIQKTPLPERKALPVRWITWMTYLEDPR -IQFYYDKTLPELQQIPSVTEDVVAKTKHPSEFAMVFYTDGSAIKHPDINKSHSAGMGIAQVQFQPEYKVI -HQWSIPLGDHTAQLAEIAAVEFACKKALKISGPVLIVTDSFYVAESANKELSYWKSNGFLNNKKKPLRHV -SKWKSIAECLQLKPDITIIHEKGHQQPMTTLHTEGNNLADKLATQGSYVVHCNTTPSLDAELDQLLQGHN -PPGYPKQYKYTLEDNKIIVERPAGKRIVPPKADREKIISTAHNIAHTGRDATFLKVSSKYWWPNLRKDVV -KVIRQCKQCLVTNAANLTSPPILRPEKPLKPFDKFYIDYIGPLPPSNGYLHVLVVVDGMTGFVWLYPTKA -PSTSATVKALNMLTSIAIPKVLHSDQGAAFTSSTFADWAKEKGIQLEFSTPYHPQSSGKVERKNSDIKRL -LTKLLIGRPAKWYDLLPVVQLALNNSYSPSSKYTPHQLLFGVDSNTPFANSDTLDLSREEELSLLQEIRS -SLHQPSSPPASSRSWSPSVGQLVQERVARPASLRPRWHRPTTVLEVVNPRTVIILDHLGNRRTVSVDNLK -LTAHQDNGTTNDSGTMAPVEKDESGPSSS - ->YP_009508551.1 pol protein [Eastern chimpanzee simian foamy virus] -MNPLQLLQPLPAEIKGTKLLAHWDSGATITCIPESFLEDEQPIKKTLIKTIHGEKQQNVYYVTFKVKGRK -VEAEVIASPYEYILLSPTDVPWLTQQPLQLTILVPLQEYQEKILSKTALPEDQKQQLKTLFVKYDNLWQH -WENQVGHRKIRPHNIATGDYPPRPQKQYPINPKAKPSIQIVIDDLLKQGVLTPQNSTMNTPVYPVPKPDG -RWRMVLDYREVNKTIPLTAAQNQHSAGILATIVRQKYKTTLDLANGFWAHPITPESYWLTAFTWQGKQYC -WTRLPQGFLNSPALFTADVVDLLKEIPNVQVYVDDIYLSHDDPKEHVQQLEKVFQILLQAGYVVSLKKSE -IGQKTVEFLGFNITKEGRGLTDTFKTKLLNITPPKDLKQLQSILGLLNFARNFIPNFAELVQPLYNLIAS -AKGKYIEWSEENTKQLNMVIEALNTASNLEERLPEQRLVIKVNTSPSAGYVRYYNETGKKPIMYLNYVFS -KAELKFSMLEKLLTTMHKALIKAMDLAMGQEILVYSPIVSMTKIQKTPLPERKALPIRWITWMTYLEDPR -IQFHYDKTLPELKHIPDVYTSSQSPVKHPSQYEGVFYTDGSAIKSPDPTKSNNAGMGIVHATYKPEYQVL -NQWSIPLGNHTAQMAEIAAVEFACKKALKIPGPVLVITDSFYVAESANKELPYWKSNGFVNNKKKPLKHI -SKWKSIAECLSMKPDITIQHEKGHQPTNTSIHTEGNALADKLATQGSYVVNCNTKKPNLDAELDQLLQGH -YIKGYPKQYTYFLEDGKVKVSRPEGVKIIPPQSDRQKIVLQAHNLAHTGREATLLKIANLYWWPNMRKDV -VKQLGRCQQCLITNASNKASGPILRPDRPQKPFDKFFIDYIGPLPPSQGYLYVLVVVDGMTGFTWLYPTK -APSTSATVKSLNVLTSIAIPKVIHSDQGAAFTSSTFAEWAKERGIHLEFSTPYHPQSSGKVERKNSDIKR -LLTKLLVGRPTKWYDLLPVVQLALNNTYSPVLKYTPHQLLFGIDSNTPFANQDTLDLTREEELSLLQEIR -TSLYHPSTPPASSRSWSPVVGQLVQERVARPASLRPRWHKPSTVLKVLNPRTVVILDHLGNNRTVSIDNL -KPTSHQNGTTNDTATMDHLEQNE - ->YP_009508546.1 pol protein [Central cimpanzee simian foamy virus] -MNPLQLLQPLPAEIKGTKLLAHWDSGATITCVPESFLEDEQPIKQTLIKTIHGEKQQKVYYLTFKIKGRK -VEAEVIASPYEYILLSPTDVPWLTQQPLQLTILVPLQEYQDRILNKTALPEEQKQRLKTLFAKYDTLWQH -WENQVGHRRIRPHNIATGDYPPRPQKQYPINPKAKPSIQIVIDDLLKQGVLTPQNSTMNTPVYPVPKPDG -RWRMVLDYREVNKTIPLTAAQNQHSAGILATIVRQKYKTTLDLANGFWAHPITPESYWLTAFTWQGKQYC -WTRLPQGFLNSPALFTADVVDLLKEIPNVQVYVDDIYLSHDDPQEHIQQLEKVFQILLQAGYVVSLKKSE -IGQKTVEFLGFNITKEGRGLTDTFKTKLLNVTPPKDLKQLQSILGLLNFARNFIPNFAELVQPLYNLIPS -ARGKYIEWSKENTKQLNTVIEALNTASNLEERLPNQRLVIKVNTSPSAGYVRYYNESGKKPIMYLNYVFS -KAELKFSILEKLLTTMHKALIKAMDLAMGQEILVYSPIVSMTKIQKTPLPERKALPIRWITWMTYLEDPR -IQFHYDKTLPELKHIPDVYTSEQPPSKHPSQYEGVFYTDGSAIKSPDPTKSNNAGMGIVHVTYKPEYQIL -NQWSIPLGNHTAQMAEIAAVEFACKKALKIPGPVLIITDSFYVAESANKELPYWKSNGFVNNKKKPLKHI -SKWKSIAECLSLKPDIVIQHEKGHQPMNTSIHTEGNALADKLATQGSYVVNCNTKKPNLDAELDQLLQGQ -NVKGYPKQYTYFLEDGKVKVSRPEGVKVIPPYSDRQKIVLQAHNLAHTGREATLLKIANLYWWPNMRKDV -VKQLGRCQQCLITNASNKASGPILRPDRPQKPFDKFFIDYIGPLPPSQGYLYVLVVVDGMTGFIWLYPTK -APSTSATVKSLNVLTSIAIPKVIHSDQGAAFTSSTFAEWAKERGIHLEFSTPYHPQSSGKVERKNSDIKR -LLTKLLVGRPTKWYDLLPVVQLALNNTYSPVLKHTPHQLLFGIDSNTPFANQDTLDLTREEELSLLQEIR -TSLYQPSTPPASVRSWSPVVGQLVQERVARPASLRPRWHKPSTVLEVLNPRTVVILDHLGNNRTVSIDNL -KPTSHQNGTTNDITTMDHLEQNE - ->YP_009508537.1 Pol [Puma feline foamy virus] -MDLLKPLTVERKGVKIKGYWDSQADITCVPKDLLQEEEPVRQQNVTTIHGTQEEDVYYVNLKIDGRRINT -EVIGTALDYAIITPGDAPWILKKPLELTIKLDLEEQQRTLLNNSILSKKGKEELKRLFEKYSALWQSWEN -QVGHRKIRPHKIATGTVKPTPQKQYHINPKAKPDIQIVINDLLKQGVLIQKESTMNTPVYPVPKPNGRWR -MVLDYRAVNKVTPLIAVQNQHSYGILGSLFKGRYKTTIDLSNGFWAHPIVPEDYWITAFTWQGKQYCWTV -LPQGFLNSPGLFTGDVVDLLQGIPNVEVYVDDVYISHDSEKEHLEYLEILFNRLNEAGYIVSLKKSNIAN -SIVDFLGFQITNEGRGLTDTFKEKLENVTAPTTLKQLQSILGLLNFARNFIPDFTELIAPLYALIPKSTK -NYVPWQTEHSTTLETLITKLNEAEYLQGRRGDKTLIMKVNASYTTGYIRYYNEGEKKPISYVSIVFSKTE -LKFTELEKLLTTVHKGLLKALDLSMGQNIHVYSPIVSMQNIQKTPQTAKKALASRWLSWLSYLEDPRIRF -FYDPQMPALKDLPAVDIGENNKKHPSNFQHVFYTDGSAITSPTKEGHLNAGMGIVYFMNKDGNLQKQQEW -SISLGNHTAQFAEIAAFEFALKKCLPLGGNILVVTDSNYVAKAYNEELDVWASNGFVNNRKKPLKHISKW -KSVADFKKLRPDVVVTHEPGHQKLDSSPHAYGNNLADQLATQASFKVHTTKNPKLDIEQIKAIQACQNNE -KLPVGYPKQYTYELRNDKCMVLRKDGWREIPPSRERYKLIKEAHDISHAGREAVLLKIQENYWWPKMKKD -ISSFLSTCNVCKMVNPLNLKPISPQAIVHPTKPFDKFYMDYIGPLPPSEGYVHVLVVVDAATGFTWLYPT -KAQTSKATIKVLTHLTGLAIPKVLHSDQGSAFTSEEFAQWAKERNIQLEFSTPYHPQSSGKVERKNSEIK -KLLTKLLVGRPLKWYNLISSVQLALNNTHVVSTKYTPHQLMFGIDCNLPFANKDTLDWTREEELALLQEI -RESLQHPVRPPTCSGWSPYVGQLVQERVYRPSQLRPKWRKPTKVLEILNPRTVIIVDHLGQRKPVSIDNL -KPTAHQHNGTRTCDDPEGMDGMECSQTTTETSVDSS - ->YP_001956722.2 Pol precursor [African green monkey simian foamy virus] -MDPLQLLQPLEAEIKGTKLKAHWDSGATITCVPQAFLEEEVPIKNIWIKTIHGEKEQPVYYLTFKIQGRK -VEAEVISSPYDYILVSPSDIPWLMKKPLQLTTLVPLQEYEERLLKQTMLTGSYKEKLQSLFLKYDALWQH -WENQVGHRRIKPHHIATGTVNPRPQKQYPINPKAKASIQTVINDLLKQGVLIQQNSIMNTPVYPVPKPDG -KWRMVLDYREVNKTIPLIAAQNQHSAGILSSIFRGKYKTTLDLSNGFWAHSITPESYWLTAFTWLGQQYC -WTRLPQGFLNSPALFTADVVDLLKEVPNVQVYVDDIYISHDDPREHLEQLEKVFSLLLNAGYVVSLKKSE -IAQHEVEFLGFNITKEGRGLTETFKQKLLNITPPRDLKQLQSILGLLNFARNFIPNFSELVKPLYNIIAT -ANGKYITWTTDNSQQLQNIISMLNSAENLEERNPEVRLIMKVNTSPSAGYIRFYNEFAKRPIMYLNYVYT -KAEVKFTNTEKLLTTIHKGLIKALDLGMGQEILVYSPIVSMTKIQKTPLPERKALPIRWITWMSYLEDPR -IQFHYDKTLPELQQVPTVTDDIIAKIKHPSEFSMVFYTDGSAIKHPNVNKSHNAGMGIAQVQFKPEFTVI -NTWSIPLGDHTAQLAEVAAVEFACKKALKIDGPVLIVTDSFYVAESVNKELPYWQSNGFFNNKKKPLKHV -SKWKSIADCIQLKPDIIIIHEKGHQPTASTFHTEGNNLADKLATQGSYVVNINTTPSLDAELDQLLQGQY -PKGFPKHYQYQLENGQVMVTRPNGKRIIPPKSDRPQIILQAHNIAHTGRDSTFLKVSSKYWWPNLRKDVV -KVIRQCKQCLVTNAATLAAPPILRPERPVKPFDKFFIDYIGPLPPSNGYLHVLVVVDSMTGFVWLYPTKA -PSTSATVKALNMLTSIAVPKVIHSDQGAAFTSATFADWAKNKGIQLEFSTPYHPQSSGKVERKNSDIKRL -LTKLLVGRPAKWYDLLPVVQLALNNSYSPSSKYTPHQLLFGIDSNTPFANSDTLDLSREEELSLLQEIRS -SLYLPSTPPASIRAWSPSVGQLVQERVARPASLRPRWHKPTPVLEVINPRAVVILDHLGNRRTVSVDNLK -LTAYQKDGTPNESAAVVAMEKDE - ->NP_054716.1 Pol [Equine foamy virus] -MQALQPLQVQIKGNSLKGFYDTGAEITCVPAIFLIEEEPIGERTIQTIHGITKEKVYYLTFKIQGRKLAA -EVIGTQLDYVIIAPSDIPWYKKYELELTIKIDIQKQQEQLLHTTNLSSEGKKYLKDLFIKYDNLWQKWEN -QVGHRRITPHKIATGTLNPKPQKQYRINPKAKADIQIVIDDLLKQGVLKQQTSPMNTPVYPVPKPDGRWR -MVLDYRAVNKVTPAIATQNCHSASLLNTLYRGQYKTTLDLANGFWAHPIQESDQWITSFTWNGKSYVWTT -LPQGFLNSPALFTADVVDLLKDIPNVEVYVDDVYFSNDTEEEHLKTMDLLFQKLQTAGYIVSLKKSKLGQ -HTVDFLGFQITQTGRGLTDSYKSKLLDITPPNTLKQLQSILGLLNFARNFIPNYSELITPLYQLIPLAKG -IYIPWETKHTAILQKIIKELNASENLEQRKPDVELIVKVHVSPTAGYIKFANKGSIKPIAYHNVVFSKTE -LKFTITEKVMTTIHKALLKAFDLAMGQPIWVYSPIHSMTRIQKTPLTERKALSIRWLKWQTYFEDPRLIF -HYDDTLPDLQNLPQTTLGNEVDILPLSEYEVVFYTDGSSIKSPKKDKQHSAGMGIIAVRYQPQMNIIQEW -SIPLGDHTAQFAEIAAFEFALKQAIRKMGPVLIVTDSDYVAKSYNQELDFWVSNGFVNNKKKPLKHVSKW -KSIADCKKHKADIHVIHEPGHQNDLQSPYAMGNNAADKLAVKASYTVFSVQTLPSLDAELHQLLDKQTPN -PKGYPSKYEYTLRDGQVYVKRTDGEKIIPSKDDRVKILELAHKGPGSGHLGKNTMYIKILNKYWWPNLIK -DISKYIRTCTNCIITNTDNVPNKSYIVQEKTGLPFQKYYMDYIGPLPPSDGYYHVLVIVDEGTGYTWLYP -TKAQTANATVKALNHLTGTAIPKVLHSDQGSAFTSATLVAWAKDKGIQMEYSSPYHPQSSGKVERKNSEI -KRLLTKLLVGRPTKWYPLIPTVQLALNNTPNAKIGKTPHQLMYGVDCNLPFQDLSTLDLTREEQLAVLQE -IRTALEQPAQHPTLPKWTPCPGLLVQERVNRPAQLRPKWKKPTPILKVLNPKTVVIAGPGGQERIVSIDN -LKKTPHHDTSNDSTRMDAVEVPTECQQDQRGHT - ->NP_044929.1 pol polyprotein [Bovine foamy virus] -MPALRPLQVEIKGNHLKGYWDSGAEITCVPAIYIIEEQPVGKKLITTIHNEKEHDVYYVEMKIEKRKVQC -EVIATALDYVLVAPVDIPWYKPGPLELTIKIDVESQKHTLITESTLSPQGQMRLKKLLDQYQALWQCWEN -QVGHRRIEPHKIATGALKPRPQKQYHINPRAKADIQIVIDDLLRQGVLRQQNSEMNTPVYPVPKADGRWR -MVLDYREVNKVTPLVATQNCHSASILNTLYRGPYKSTLDLANGFWAHPIKPEDYWITAFTWGGKTYCWTV -LPQGFLNSPALFTADVVDILKDIPNVQVYVDDVYVSSATEQEHLDILETIFNRLSTAGYIVSLKKSKLAK -ETVEFLGFSISQNGRGLTDSYKQKLMDLQPPTTLRQLQSILGLINFARNFLPNFAELVAPLYQLIPKAKG -QCIPWTMDHTTQLKTIIQALNSTENLEERRPDVDLIMKVHISNTAGYIRFYNHGGQKPIAYNNALFTSTE -LKFTPTEKIMATIHKGLLKALDLSLGKEIHVYSAIASMTKLQKTPLSERKALSIRWLKWQTYFEDPRIKF -HHDATLPDLQNLPVPQQDTGKEMTILPLLHYEAIFYTDGSAIRSPKPNKTHSAGMGIIQAKFEPDFRIVH -LWSFPLGDHTAQYAEIAAFEFAIRRATGIRGPVLIVTDSNYVAKSYNEELPYWESNGFVNNKKKTLKHIS -KWKAIAECKNLKADIHVIHEPGHQPAEASPHAQGNALADKQAVSGSYKVFSNELKPSLDAELEQVLSTGR -PNPQGYPNKYEYKLVNGLCYVDRRGEEGLKIIPPKADRVKLCQLAHDGPGSAHLGRSALLLKLQQKYWWP -RMHIDASRIVLNCTVCAQTNSTNQKPRPPLVIPHDTKPFQVWYMDYIGPLPPSNGYQHALVIVDAGTGFT -WIYPTKAQTANATVKALTHLTGTAVPKVLHSDQGPAFTSSILADWAKDRGIQLEHSAPYHPQSSGKVERK -NSEIKRLLTKLLAGRPTKWYPLIPIVQLALNNTPNTRQKYTPHQLMYGADCNLPFENLDTLDLTREEQLA -VLKEVRDGLLDLYPSPSQTTARSWTPSPGLLVQERVARPAQLRPKWRKPTPIKKVLNERTVIIDHLGQDK -VVSIDNLKPAAHQKLAQTPDSAEICPSATPCPPNTSLWYDLDTGTWTCQRCGYQCPDKYHQPQCTWSCED -RCGHRWKECGNCIPQDGSSDDASAVAAVEI - ->NP_043924.1 gag-pol polyprotein [Snakehead retrovirus] -MASNKWFVYSDEPTKVILKRDKSKEKDETKKKKIKTEQNSDAAYETPGTAPVQKPLLETTPEAELEKVLK -GLEEWGYKALEKKRDPELWNPNQEGLDEYLFRGWVQGGLADSKKALEKNMEKFVPLFVVTMSQAVPYWRQ -TMQARNQNGKKQKNRIAELEKEVADLTSAGRGADQVIAGMDKELKKTAEKYQAKLEELEEQLAAMTVEKE -ELESQVEGLKESLVEAETKKVSLMEVLTMPTRSKGPKKRGPDLKQIRSLHVMADSLGMDSDGIDWDWLAR -QAWDYEGDEDPHVKEAEEEAWRERQTSQPSQPSQLRPFVAAGNGHREDQWRPLTVTELPAAVTAVGGAWD -PTRETGSARWKKIVKAAEAIGWGTGDVCQVVTAMSPSWADVPPEIRNRVATEKEIKAWLMKQGPGGGQGL -LEFTKLRQGPTENPSNYLEKALELYLDSQPGDRDGNKDDPAFLQQATQGLLPWLKKAVILGGKNTSWQEM -TSFCQRLWLVRDQFADKTGVSKARPIVRNEGPRPQQGHSKIVFGGNCRNCGKAGHMARDCWAKGGGQEGK -GPRQNTTWKPKSGAIASAPPAESPYADCAKQLADIEKRLKDLTTAGGGPKGPNPFHKPXGVAALAAPLCS -LKGRPHVSVEIEGHKIECLVDTGAEVSLTSLQLQAQRFEQVVGLGGKPVRVGIADHVDTTVGQVKGKGCW -RISQELAENILGNDLLRSLGLIVDQCNGVLWQASEGLGPDNWMAAETLRIYSIKSPGHYNLPELLATKDE -QLADILWNNVEAFATHRNDCGNLQGMTASFTADHPKMIKQYPVPDASHASIKETVEALLEQGVLRKCNST -VNSAIWPVGKPDGSWRLTIDYRPLNSAVSCPYPTVASTPELFAKLEKKYQVYSSLDISNGFWSIRLEEEC -QYLFAFTFDTQQYTWTRLPQGFHASPGIFHQALYNGLASCKTAIESQGCKLLQYVDDILLMSEDRDHHLR -SLAILLQGLKDLGVKINPKKSHFCKDQVQYLGVNVGADTRSLIDARSQLIRTLDIPLTVQGLRSALGLFN -FCRAWIPEFSRKTQSLYDMLKGDCKSTDKLKWTEDNLNKFKLLKDEVASACVLGLPDPTLPFRHLIGIRQ -GHFLCSLVQKDDNGMWHVLGFYSRKMTPVESNLGICEQYAECAAWAISACNLVSGFGRKIIVTSHSPVKF -ILQTTPNVSNQRLARWHRILTQEDITIETDASIQGWFVPEPYEGEQHQCRPHDDTITWRVSTRAMPTGEK -WWIDGSRYWDHDKGGYVTGWAALREDKKNQLGGALEGHVSAQVAELVALREALRLQRPLTLYTDSTYVLG -ICTKYLAVWKRRGMVNADGSQISNQNILQEIWQLIEHDSTQTLGIVKVKAHTQRKCSTHEQQLNNDVDQP -AKQYAKEEPNMSVIAPLQVYPLWIGLVPCKEPKLWENIQHHITKVDLPDFQKQLAEIMPQQDISHCTLAY -FDKPSPEATKYHDKIKPYLGKGQQLTLCDTYIGKEGAAILGQLRPDMQALHQAEGEVHVSLGTRAGHCPQ -ELGTMLTNLLKSTQERIWQDPPVFKLHDETGKVQGYVIKTTLGMKTWIMNDHLVTQSEQTEGRAKLSSTE -GYALAQQYHHLYGHPSEESLRKVLTKRFVWEDMGQHCKEITNTCLTCAKYKVLRAGPPMGVGRSAEGPCQ -KLQVDHVGPLGPGTHGYRYLTTMVDVYTGWFWAKPCRGPTTGATIAALEEHISIWGVPYSIQSDNGTAFT -SKAMQEWANTYGIEWKVGAIYHPQSQGKVERKHRLLKDRLKRATHEGKNWVQALPSILLFINSMHPRDQF -SAYELMTGRVPHLGGYHPHPLETAKEEEVRIFLRATHDCMQNKKWEEQLEKVTKAEAHSHWTQRSPNLEP -GCIVLVRKFTGDAFSPKWEGPYVITETTKYAAKVQAMSDKVTQHSGWIHRTHLVLFPSQNKRWADPGNPG -NQPDEDCTGKKGTVSTADMSPTTSTTRDRGINMGTEQTHRRRSPRFQRGSDSPSGGQ - ->NP_056803.1 pol polyprotein, partial [Simian foamy virus] -MNPLQLLQPLPAEVKGTKLLAHWDSGATITCIPESFLEDEQPIKQTLIKTIHGEKQQNVYYLTFKVKGRK -VEAEVIASPYEYILLSPTDVPWLTQQPLQLTILVPLQEYQDRILNKTALPEEQKQQLKALFTKYDNLWQH -WENQVGHRKIRPHNIATGDYPPRPQKQYPINPKAKPSIQIVIDDLLKQGVLTPQNSTMNTPVYPVPKPDG -RWRMVLDYREVNKTIPLTAAQNQHSAGILATIVRQKYKTTLDLANGFWAHPITPDSYWLTAFTWQGKQYC -WTRLPQGFLNSPALFTADAVDLLKEVPNVQVYVDDIYLSHDNPHEHIQQLEKVFQILLQAGYVVSLKKSE -IGQRTVEFLGFNITKEGRGLTDTFKTKLLNVTPPKDLKQLQSILGLLNFARNFIPNFAELVQTLYNLIAS -SKGKYIEWTEDNTKQLNKVIEALNTASNLEERLPDQRLVIKVNTSPSAGYVRYYNESGKKPIMYLNYVFS -KAELKFSMLEKLLTTMHKALIKAMDLAMGQEILVYSPIVSMTKIQKTPLPERKALPIRWITWMTYLEDPR -IQFHYDKTLPELKHIPDVYTSSIPPLKHPSQYEGVFCTDGSAIKSPDPTKSNNAGMGIVHAIYNPEYKIL -NQWSIPLGHHTAQMAEIAAVEFACKKALKVPGPVLVITDSFYVAESANKELPYWKSNGFVNNKKEPLKHI -SKWKSIAECLSIKPDITIQHEKGHQPINTSIHTEGNALADKLATQGSYVVNCNTKKPNLDAELDQLLQGN -NVKGYPKQYTYYLEDGKVKVSRPEGVKIIPPQSDRQKIVLQAHNLAHTGREATLLKIANLYWWPNMRKDV -VKQLGRCKQCLITNASNKTSGPILRPDRPQKPFDKFFIDYIGPLPPSQGYLYVLVIVDGMTGFTWLYPTK -APSTSATVKSLNVLTSIAIPKVIHSDQGAAFTSSTFAEWAKERGIHLEFSTPYHPQSSGKVERKNSDIKR -LLTKLLVGRPTKWYDLLPVVQLALNNTYSPVLKYTPHQLLFGIDSNTPFANQDTLDLTREEELSLLQEIR -ASLYQPSTPPASSRSWSPVVGQLVQERVARPASLRPRWHKPSTVLEVLNPRTVVILDHLGNNRTVSIDNL -KPTSHQNGTTNDTATMDHLEQNEQSS - ->sp|P14350.2|POL_FOAMV RecName: Full=Pro-Pol polyprotein; AltName: Full=Pr125Pol; Contains: RecName: Full=Protease/Reverse transcriptase/ribonuclease H; AltName: Full=p87Pro-RT-RNaseH; Contains: RecName: Full=Protease/Reverse transcriptase; AltName: Full=p65Pro-RT; Contains: RecName: Full=Ribonuclease H; Short=RNase H; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p42In -MNPLQLLQPLPAEIKGTKLLAHWDSGATITCIPESFLEDEQPIKKTLIKTIHGEKQQNVYYVTFKVKGRK -VEAEVIASPYEYILLSPTDVPWLTQQPLQLTILVPLQEYQEKILSKTALPEDQKQQLKTLFVKYDNLWQH -WENQVGHRKIRPHNIATGDYPPRPQKQYPINPKAKPSIQIVIDDLLKQGVLTPQNSTMNTPVYPVPKPDG -RWRMVLDYREVNKTIPLTAAQNQHSAGILATIVRQKYKTTLDLANGFWAHPITPESYWLTAFTWQGKQYC -WTRLPQGFLNSPALFTADVVDLLKEIPNVQVYVDDIYLSHDDPKEHVQQLEKVFQILLQAGYVVSLKKSE -IGQKTVEFLGFNITKEGRGLTDTFKTKLLNITPPKDLKQLQSILGLLNFARNFIPNFAELVQPLYNLIAS -AKGKYIEWSEENTKQLNMVIEALNTASNLEERLPEQRLVIKVNTSPSAGYVRYYNETGKKPIMYLNYVFS -KAELKFSMLEKLLTTMHKALIKAMDLAMGQEILVYSPIVSMTKIQKTPLPERKALPIRWITWMTYLEDPR -IQFHYDKTLPELKHIPDVYTSSQSPVKHPSQYEGVFYTDGSAIKSPDPTKSNNAGMGIVHATYKPEYQVL -NQWSIPLGNHTAQMAEIAAVEFACKKALKIPGPVLVITDSFYVAESANKELPYWKSNGFVNNKKKPLKHI -SKWKSIAECLSMKPDITIQHEKGISLQIPVFILKGNALADKLATQGSYVVNCNTKKPNLDAELDQLLQGH -YIKGYPKQYTYFLEDGKVKVSRPEGVKIIPPQSDRQKIVLQAHNLAHTGREATLLKIANLYWWPNMRKDV -VKQLGRCQQCLITNASNKASGPILRPDRPQKPFDKFFIDYIGPLPPSQGYLYVLVVVDGMTGFTWLYPTK -APSTSATVKSLNVLTSIAIPKVIHSDQGAAFTSSTFAEWAKERGIHLEFSTPYHPQSGSKVERKNSDIKR -LLTKLLVGRPTKWYDLLPVVQLALNNTYSPVLKYTPHQLLFGIDSNTPFANQDTLDLTREEELSLLQEIR -TSLYHPSTPPASSRSWSPVVGQLVQERVARPASLRPRWHKPSTVLKVLNPRTVVILDHLGNNRTVSIDNL -KPTSHQNGTTNDTATMDHLEKNE - ->sp|P23074.3|POL_SFV1 RecName: Full=Pro-Pol polyprotein; AltName: Full=Pr125Pol; Contains: RecName: Full=Protease/Reverse transcriptase/ribonuclease H; AltName: Full=p87Pro-RT-RNaseH; Contains: RecName: Full=Protease/Reverse transcriptase; AltName: Full=p65Pro-RT; Contains: RecName: Full=Ribonuclease H; Short=RNase H; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p42In -MDPLQLLQPLEAEIKGTKLKAHWDSGATITCVPEAFLEDERPIQTMLIKTIHGEKQQDVYYLTFKVQGRK -VEAEVLASPYDYILLNPSDVPWLMKKPLQLTVLVPLHEYQERLLQQTALPKEQKELLQKLFLKYDALWQH -WENQVGHRRIKPHNIATGTLAPRPQKQYPINPKAKPSIQIVIDDLLKQGVLIQQNSTMNTPVYPVPKPDG -KWRMVLDYREVNKTIPLIAAQNQHSAGILSSIYRGKYKTTLDLTNGFWAHPITPESYWLTAFTWQGKQYC -WTRLPQGFLNSPALFTADVVDLLKEIPNVQAYVDDIYISHDDPQEHLEQLEKIFSILLNAGYVVSLKKSE -IAQREVEFLGFNITKEGRGLTDTFKQKLLNITPPKDLKQLQSILGLLNFARNFIPNYSELVKPLYTIVAN -ANGKFISWTEDNSNQLQHIISVLNQADNLEERNPETRLIIKVNSSPSAGYIRYYNEGSKRPIMYVNYIFS -KAEAKFTQTEKLLTTMHKGLIKAMDLAMGQEILVYSPIVSMTKIQRTPLPERKALPVRWITWMTYLEDPR -IQFHYDKSLPELQQIPNVTEDVIAKTKHPSEFAMVFYTDGSAIKHPDVNKSHSAGMGIAQVQFIPEYKIV -HQWSIPLGDHTAQLAEIAAVEFACKKALKISGPVLIVTDSFYVAESANKELPYWKSNGFLNNKKKPLRHV -SKWKSIAECLQLKPDIIIMHEKGHQQPMTTLHTEGNNLADKLATQGSYVVHCNTTPSLDAELDQLLQGHY -PPGYPKQYKYTLEENKLIVERPNGIRIVPPKADREKIISTAHNIAHTGRDATFLKVSSKYWWPNLRKDVV -KSIRQCKQCLVTNATNLTSPPILRPVKPLKPFDKFYIDYIGPLPPSNGYLHVLVVVDSMTGFVWLYPTKA -PSTSATVKALNMLTSIAIPKVLHSDQGAAFTSSTFADWAKEKGIQLEFSTPYHPQSSGKVERKNSDIKRL -LTKLLIGRPAKWYDLLPVVQLALNNSYSPSSKYTPHQLLFGVDSNTPFANSDTLDLSREEELSLLQEIRS -SLHQPTSPPASSRSWSPSVGQLVQERVARPASLRPRWHKPTAILEVVNPRTVIILDHLGNRRTVSVDNLK -LTAYQDNGTSNDSGTMALMEEDESSTSST - diff --git a/seq/clusters_seq/cluster_259 b/seq/clusters_seq/cluster_259 deleted file mode 100644 index 99e3350..0000000 --- a/seq/clusters_seq/cluster_259 +++ /dev/null @@ -1,176 +0,0 @@ ->YP_173237.1 hemagglutinin-esterase glycoprotein [Human coronavirus HKU1] -MLIIFLFFYFCYGFNEPLNVVSHLNHDWFLFGDSRSDCNHINNLKIKNFDYLDIHPSLCNNGKISSSAGD -SIFKSFHFTRFYNYTGEGDQIIFYEGVNFNPYHRFKCFPNGSNDVWLLNKVRFYRALYSNMAFFRYLTFV -DIPYNVSLSKFNSCKSDILSLNNPIFINYSKEVYFTLLGCSLYLVPLCLFKSNFSQYYYNIDTGSVYGFS -NVVYPDLDCIYISLKPGSYKVSTTAPFLSLPTKALCFDKSKQFVPVQVVDSRWNNERASDISLSVACQLP -YCYFRNSSANYVGKYDINHGDSGFISILSGLLYNVSCISYYGVFLYDNFTSIWPYYSFGRCPTSSIIKHP -ICVYDFLPIILQGILLCLALLFVVFLLFLLYNDKSH - ->YP_009113024.1 hemagglutinin-esterase protein [Betacoronavirus HKU24] -MATAVLTIGLLCLLVGVTGFENPPTNVVSHINDDWFLFGDSRSDCNHINNINPKNYSYMDLDPSLCNSGK -ISSKAGNSIFRSFHFTDFYNYQGEGNQIIFYEGVNFSPSHAFKCTSSGDNGIWINNKGKFYEQVYKKMSV -YRGLSIITVPYVYTGAAAATSICKRGSLTLNNPASIQRETGVNTYFYTAEANFTLTGCDEYIVPLCIYTG -MFLASGTYYNDSQYYYSYSTGEFYGRNNTVDKATVVDYECQYLTLPSGIYKSVSNELLLTVPTKAICLTK -PKSFTPVQVVDSRWNHARTSDNKTAEACQLPYCYFRNSTTDYVGSYDINHGDVGFSNILKGLIYNAPCFA -KQGVFMYNNVSSVWPVYAYGECPTAANININGIPICNYDPLPVILLGILLGIAVITIVVLLLYFLVDNGT -RLHDA - ->YP_005454244.1 hemagglutinin-esterase protein [Rabbit coronavirus HKU14] -MFLLPRYILVSCIIGSLGFDNPPTNVVSHVNGDWFLFGDSRSDCNHVVNTNPRNYSYMDLNPALCDSGKI -SSKAGNSLFRSFHFTDFYNYTGEGQQIIFYEGVNFNPYHAFKCTSFGSNDIWMQNKGLFYTQVYKNMAVY -RSLTFVNVPYVYNGSAQATALCKSGSLVLNNPAYIAREANLGDYYYKVEADFHLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDFNCHYLVLPSGNYLAISNELLLTVPTKAICLSKR -KDFTPVQVVDSRWNNARPSDNMTAVACQPPYCYFRNSTTNYVGVYDINHGDAGFTSILSGLLYDSPCFSQ -QGVFRYDNVSSVWPLYPYGRCPTAADINTPDVPICVYDPLPLILLGILLCVAVIIIVVLLLYFMVDNGTR -LHDA - ->YP_009755833.1 hemagglutinin-esterase protein [Rodent coronavirus] -MAMAPRTLLLCCLLLGVVSFENPPLNVVSHVSDDWFLFGDSRSDCAHVVNTNPKNYSYMDLNPALCNSGK -VSSKAGNSLFRSFHFTDFYDYKGEGQQIIFYEGVNFTPYHGFKCTSAGDNAVWIQNKGRFYEKLYQKMAV -YRSLSFITITYTYAGSATATAFCKSGSLTMNNPAYIAKEAGQNDYYYEAEADFTLDGCDEYIVPLCIFQG -KFLSQGLTYADSQYYFNKDTGLIYGWNSTASISTGIDFHCQYLIMPSGNYKSISNELMLTVPTKAICLNK -KKDFTPVQVVDSRWNNARTSDNMTAVACRPPYCYFRNSTSNYVGVHDINHGDAGFTSILSGLLYNAPCFS -QQGVFRYNNVTSMWPLYSFGECPTAAKINFGTSDVPICVYDPLPVILLGILLGIAVIIIIVMLIYFMVDN -GTRLHIA - ->YP_003029847.1 hemagglutinin-esterase [Rat coronavirus Parker] -MGRMCIAMAPRTLLLLIGCQLVLGFNEPINIVSHLNDDWFLFGDSRSDCTYVENNGHPKLDWLDLDPKLC -NSGKIAAKSGNSLFRSFHFTDFYNYTGEGDQIIFYEGVNFSPSHGFKCLVEGDNKKWMGNKARFYALLYK -KMAQYRSLSFVIVPYAYGGNAKPTSICKDKTLTLNNPTFISKESNYVDYYYVSEANFTLQGCDEFIVPLC -VFNGHSRGSSSDPANKYYTDSQSYYNMDTGVLYGFNSTLDVGNTAQNPGLDLTCMYLVLTPGNYKAVSLE -YLLTIPSKAICLRKPKRFMPVQVVDSRWNSTRQSDNMTAVACQLPYCFFRNTSADYSGDTHDVHHGDFYF -RQLLSGLLYNVSCISQQGAFLYNNVSSIWPVYGYGHCPTAANIGYMAPVCLYDPLPVILLGVLLGIAVLI -IVFLILYFMADSSVRLHEA - ->YP_209232.1 hemagglutinin-esterase glycoprotein [Murine hepatitis virus strain JHM] -MGSTCIAMAPRTLLLLIGCQLVFGFNEPLNIVSHLNDDWFLFGDSRSDCTYVENNGHPKLDWLDLDPKLC -NSGKISAKSGNSLFRSFHFTDFYNYTGEGDQIVFYEGVNFSPNHGFKCLAYGDNKRWMGNKAAFYARVYE -KMAQYRSLSFVNVPYAYGGKAKPTSICKHKTLTLNNPTFISKESNYVDYYYESEANFTLAGCDEFIVPLC -VFNGHSKGSSSDPANKYYMDSQSYYNMDTGVLYGLNCTLDVGNTAKDPGLDLTCRYLALTPGNYKAVSLE -YLLSLPSKAICLRKPKRFMPVQVVDSRWNSTRQSDNMTAVACQLPYCFFRNTSADYSGGTHDVHHGDFHF -RQLLSGLLLNVSCIAQQGAFLYNNVSSSWPAYGYGQCPTAANIGYMAPVCIYDPLPVVLLGVLLGIAVLI -IVFLILYFMTDSGVRLHEA - ->YP_009824981.1 hemagglutinin-esterase [Murine hepatitis virus] -MGNKARFYARVYEKMAQYRSLSFVNVSYAYGGNAKPASICKDNTLTLNNPTFISKESNYVDYYYESEANF -TLEGCDEFIVPLCGFNGHSKGSSSDAANKYYTDSQSYYNMDIGVLYGFNSTLDVGNTAKDPGLDLTCRYL -ALTPGNYKAVSLEYLLSLPSKAICLHKTKRFMPVQVVDSRWSSIRQSDNMTAAACQLPYCFFRNTSANYS -GGTHDAHHGDFHFRQLLSGLLYNVSCIAQQGAFLYNNVSSSWPAYGYGHCPTAANIGYMAPVCIYDPLPV -ILLGVLLGIAVLTIVFLMFYFMTDSGVRLHEA - ->YP_009555240.1 hemagglutinin-esterase [Human coronavirus OC43] -MFLLPRFILVSCIIGSLGFYNPPTNVVSHVNGDWFLFGDSRSDCNHIVNINPHNYSYMDLNPVLCDSGKI -SSKAGNSIFRSFHFTDFYNYTGEGQQIIFYEGVNFTPYHAFKCNRSGSNDIWMQNKGLFYTQVYKNMAVY -RSLTFVNVPYVYNGSAQATALCKSGSLVLNNPAYIAPQANSGDYYYKVEADFYLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDLNCYYLVLPSGNYLAISNELLLTVPTKAICLNKR -KDFTPVQVVDSRWNNARQSDNMTAVACQPPYCYFRNSTTNYVGVYDINHGDAGFTSILSGLLYNSPCFSQ -QGVFRYDNVSSVWPLYPYGRCPTAADINIPDLPICVYDPLPVILLGILLGVAIVIIVVLLLYFMVDNVTR -LHDA - ->NP_150076.1 hemmaglutinin-esterase [Bovine coronavirus] -MFLLPRFVLVSCIIGSLGFDNPPTNVVSHLNGDWFLFGDSRSDCNHVVTTNPRNYSYMDLNPALCGSGKI -SSKAGNSIFRSFHFTDFYNYTGEGQQIIFYEGVNFTPYHAFKCTTSGSNDIWMQNKGLFYTQVYKNMAVY -RSLTFVNVPYVYNGSAQSTALCKSGSLVLNNPAYIAREANFGDYYYKVEADFYLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDFNCHYLVLPSGNYLAISNELLLTVPTKAICLNKR -KDFTPVQVVDSRWNNARQSDNMTAVACQPPYCYFRNSTTNYVGVYDINHGDAGFTSILSGLLYDSPCFSQ -QGVFRYDNVSSVWPLYPYGRCPTAADINTPDVPICVYDPLPIILLGILLGVAVIIIVVLLLYFMVDNGTR -LHDA - ->sp|Q0ZME8.1|HEMA_CVHN5 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MLIIFLFFNFCYGFNEPLNVVSHLNHDWFLFGDSRSDCNHINNLKIKNYGYLDIHPSLCNNGKISSSAGD -SIFKSYHFTRFYNYTGEGDQIIFYEGVNFSPHHRFKCFFNGSNDVWIFNKVRFYRALYSNMALFRYLTFV -DILYNFSFSIKANICNSNILSLNNPIFISTNYSKDVYFTLSGCSLYLVPLCLFKSNFSQYYYNMDTGFAY -GYSNFVSSDLDCTYISLKSGSYKIFSTGFVLSIPTKALCFNKSKQFVPVQVVDSRWNNLRASDTSLSDAC -QLPYCYFRNSSGNYVGKYDINHGDNGFTSILSGLLYNVSCISYYGSFLYDNFTSIWPRFSFGNCPTSAYI -KLNCFYDPLPIILQGILLFLALLFIVFLLFLVYHG - ->sp|P30215.1|HEMA_CVHOC RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MFLLPRFILVSCIIGSLGFYNPPTNVVSHVNGDWFLFGDSRSDCNHIVNINPHNYSYMDLNPVLCDSGKI -SSKAGNSIFRSFHFTDFYNYTGEGQQIIFYEGVNFTPYHAFKCNRSGSNDIWMQNKGLFYTQVYKNMAVY -RSLTFVNVPYVYNGSAQSTALCKSGSLVLNNPAYIAPQANSGDYYYKVEADFYLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDLNCYYLVLPSGNYLAISNELLLTVPTKAICLNKR -KDFTPVQVVDSRWNNARQSDNMTAVACQPPYCYFRNSTTNYVGVYDINHGDAGFTSILSGLLYNSPCFSQ -QGVFRYDNVSSVWPLYPYGRCPTAADINNPDLPICVYDPLPVILLGILLGVAVIIIVVLLLYFMVDNGTR -LHDA - ->sp|Q8JSP9.1|HEMA_CVPIA RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MFLLPRFCLVCSIISTFGFQNPPTNVVSHFNDDWFLFGDSRTDCNHVVKTNPRNYSYMDLNPALCDSGKI -SSKAGNSIFRSFHFTDFYNYTGEGQQIIFYEGVNFTPYHAFKCTSVGNNDIWMQNKGLFYTQVYKKMAVY -RSLTLVNVPYVYNGSAQPTALCKSGSLILNNPAYIAREANVGDYYYKSEADFSLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDFNCHYLVLPSGNYLAISNELLLTVPTKAICLNKR -KVFTPVQVVDSRWNNARQSDNMTAVACQLPYCYFRNSTSNYVGIYDVNHGDAGFTSILSGLLYDSPCFSQ -QGVFRYDNVSTVWPLFPFGNCPTAASIISSDLPICVYDPLPIILLGILLGVAVIVIVVLLLYFMVDNGIR -QHYA - ->sp|P31614.2|HEMA_CVMS RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MGCMCIAMAPRTLLLLIGCQLVFGFNEPLNIVSHLNDDWFLFGDSRSDCTYVENNGHPKLDWLDLDPKLC -NSGRISAKSGNSLFRSFHFIDFYNYSGEGDQVIFYEGVNFSPSHGFKCLAYGDNKRWMGNKARFYARVYE -KMAQYRSLSFVNVSYAYGGNAKPTSICKDKTLTLNNPTFISKESNYVDYYYESEANFTLQGCDEFIVPLC -VFNGHSKGSSSDPANKYYTDSQSYYNMDTGVLYGFNSTLDVGNTVQNPGLDLTCRYLALTPGNYKAVSLE -YLLSLPSKAICLRKPKSFMPVQVVDSRWNSTRQSDNMTAVACQLPYCFFRNTSADYSGGTHDVHHGDFHF -RQLLSGLLYNVSCIAQQGAFVYNNVSSSWPAYGYGHCPTAANIGYMAPVCIYDPLPVILLGVLLGIAVLI -IVFLMFYFMTDSGVRLHEA - ->sp|O92367.1|HEMA_CVMDV RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MARTDAMAPRTLLLVLSLGYAFGFNEPLNVVSHLNDDWFLFGDSRSDCNHINNLSQQNYNYMDINPELCK -SGKISAKAGNSLFKSFHFTDFYNYTGEGSQIIFYEGVNFTPYVGFKCLNNGDNNRWMGNKARFYTQLYQK -MAHYRSLSVINITYTYNGSAGPVSMCKHIANGVTLTLNNPTFIGKEVSKPDYYYESEANFTLQGCDEFIV -PLCVFNGQYLSSKLYYDDSQYYYNVDTGVLYGFNSTLNITSGLDLTCIYLALTPGNYISISNELLLTVPS -KAICLRKPKAFTPVQVVDSRWHSNRQSDNMTAIACQLPYCYFRNTTSDYNGVYDSHHGDAGFTSILAGLM -YNVSCLAQQGAFVYNNVSSSWPQYPYGHCPTAANIVFMAPVCMYDPLPVILLGVLLGIAVLIIVFLMFYF -MTDSGVRLHEA - ->sp|P15776.1|HEMA_CVBM RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MFLLLRFVLVSCIIGSLGFDNPPTNVVSHLNGDWFLFGDSRSDCNHVVNTNPRNYSYMDLNPALCDSGKI -SSKAGNSIFRSFHFTDFYNYTGEGQQIIFYEGVNFTPYHAFKCTTSGSNDIWMQNKGLFYTQVYKNMAVY -RSLTFVNVPYVYNGSAQSTALCKSGSLVLNNPAYIAREANFGDYYYKVEADFYLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDFNCHYLVLPSGNYLAISNELLLTVPTKAICLNKR -KDFTPVQVVDSRWNNARQSDNMTAVACQPPYCYFRNSTTNYVGVYDINHGDAGFTSILSGLLYDSPCFSQ -QGVFRYDNVSSVWPLYSYGRCPTAADINTPDVPICVYDPLPLILLGILLGVAVIIIVVLLLYFMVDNGTR -LHDA - ->sp|Q9IKD2.1|HEMA_CVRSD RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MGRMCIAMAPRTLLLLIGCQLVFGFNEPINIVSHLNDDWFLFGDSRSDCTYVENNGHPKLDWLDLDPKLC -NSGKIAAKSGNSLFRSFHFTDFYNYTGEGDQIIFYEGVSFSPSHGFKCLVEGDNNKWMGNKARFYALLYK -KMAQYRSLSFVTVPYAYGGNAKPTSICKDKTLTLNNPTFISKESNYVDYYYVSEANFTLQGCDEFIVPLC -VFNGHSRGSSSDPANKYYTDSQSYYNIDTGVLYGFNSTLDVGNTAQNPGLDLTCMYLVLTPGNYKAVSLE -YLLTIPSKAICLRKPKRFMPVQVVDSRWNSTRQSDNMTAVACQLPYCFFRNTSADYSGDTHDVHHGDFYF -RQLLSGLLYNVSCIAQQGAFLYNNVSSIWPVYGYGHCPTAANIGYMAPVCLYDPLPVILLGVLLGIAVLI -IVFLILYFMADSSVRLHEA - ->sp|Q8BB26.1|HEMA_CVP67 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MFLLPRFCLVCSIIGTFGFENPPTNVVSHFNDDWFLFGDSRSDCNHVVNTNPRNYSYMDLNPALCDSGKI -SSKAGNSIFRSFHFTDFYNYTGEGQQIIFYEGVNFTPYHAFKCTSAGNNDIWMQNKGLFYTQVYKKMAVY -RSLTLVNVPYVYNGSAQPTAFCKSGSLILNNPAYIAREANVGDYYYKSEADFSLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDFNCHYLVLPSGNYLAISNELLLTVPTKAICLNKR -KVFTPVQVVDSRWNNARQSDNMTAVACQLPYCYFRNSTSNYVGIHDVNHGDAGFTSILSGLLYDSPCFSQ -QGVFRYDNVSTVWPLFPFGNCPTAASIISSDLPICVYDPLPIILLGILLGVAVIVIVVLLLYFMVDNGIR -QHYA - ->sp|O91262.1|HEMA_CVPV RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MGSMCIAMAPRTLLLLIGCQLALGFNEPLNVVSHLSDDWFLFGDSRSDCSYVENNGHPAFDWLDLPQELC -HSGKISAKSGNSLFKSFHFTDWYNYTGEGDQVIFYEGVNFSPSHGFKCLAEGDNKRWMGNKARFYALVYK -KMAYYRSLSFVNVSYSYGGKAKPTAICKDNTLTLNNPTFISKESNYVDYYYESDANFTLEGCDEFIVPLC -VFNGHSRGSSSDPANKYYMDSQMYYNMDTGVFYGFNSTLDVGNTAQNPGLDLTCIYYALTPGNYKAVSLE -YLLTIPSKAICLRKPKRFMPVQVVDSRWNNAKHSDNMTAVACQTPYCLFRNTSSGYNGSTHDVHHGGFHF -RKLLSGLLYNVSCIAQQGAFFYNNVSSQWPVLGYGQCPTAANIEFIAPVCLYDPLPVILLGVLLGIAVLI -IVFLLFYFMTDSGVRLHEA - ->sp|P31615.2|HEMA_CVMA5 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MCIAMAPRTLLLLIXCQLVFGFNEPLNIVSHLNDDWFLFGDSRSDCTYVENNGHPKLDWLDLDPKLCNSG -KISAKSGNSLFRSFHFTDFYNYTGEGDQIVFYEGVNFSPSHGFKCLAHGDNKRWMGNKARFYARVYEKMA -QYRSLSFVNVSYAYGGNAKPASICKDNTLTLNNPTFISKESNYVDYYYESEANFTLEGCDEFIVPLCGFN -GHSKGSSSDAANKYYTDSQSYYNMDIGVLYGFNSTLDVGNTAKDPGLDLTCRYLALTPGNYKAVSLEYLL -SLPSKAICLHKTKRFMPVQVVDSRWSSIRQSDNMTAAACQLPYCFFRNTSANYSGGTHDAHHGDFHFRQL -LSGLLYNVSCIAQQGAFLYNNVSSSWPAYGYGHCPTAANIGYMAPVCIYDPLPVILLGVLLGIAVLIIVF -LNVLFYDG - ->sp|P33468.1|HEMA_CVBF RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MFLLPRFILVSCIIGSLGFDNPPTNVVSHLNGDWFLFGDSRSDCNHVVNTNPRNYSYMDLNPALCDSGKI -SSKAGNSIFRSFHFTDFYNYTGEGQQIIFYEGVNFTPYHAFKCTTSGSNDIWMQNKGLFYTQVYKNMAVY -RSLTFVNVPYVYNGSAQSTALCKSGSLVLNNPAYIAREANFGDYYYKVEADFYLSGCDEYIVPLCIFNGK -FLSNTKYYDDSQYYFNKDTGVIYGLNSTETITTGFDFNCHYLVLPSGNYLAISNELLLTVPTKAICLNKR -KDFTPVQVVHSRWNNARQSDNMTAVACQPPYCYFRNSTTNYVGVYDINHGDAGFTSILSGLLYDSPCFSQ -QGVFRYNNVSSVWPLYPYGRCPTAADINTPDVPICVYDPLPLILLGILLGVAVIIIVVLLLYFMVENGTR -L - diff --git a/seq/clusters_seq/cluster_26 b/seq/clusters_seq/cluster_26 deleted file mode 100644 index 4e00190..0000000 --- a/seq/clusters_seq/cluster_26 +++ /dev/null @@ -1,2173 +0,0 @@ ->NP_663315.1 polyprotein [Nebraska virus] -MAPVVSRDQCKPKTPKPHRPAPPHRCTTRCPEDCGWYVGRCSCPNVCQREGWDDFFVADKVKPPSYVASK -TSVADVVDWLLEEDPATDGPSEFDLTQFFQAYTDKSHQIHRDYAPDQLAQALDMAYILSVDPPDIKLPEY -EATRFTHDTSYKGKLPKWLRVYGIKSRELAKKAVTNIRGGAHWAKGLFKQMWDSLPGWSEVEAYFKAFFA -GIITGVEDALSKSPSSVWTSLKLTPLLYIWRNINECSDIAVILGAFWATLELYNIPSKVYDLVSTALGPM -VQELARKVINVVKGDGSGPKQEGGRPSFSIPGVLLATFLSAIILGSMPSDGLIKKILRGCATAAGLVGGF -NAVKSIITTVQGASACKDVKKLASQLMCVTTMAATVSTRGERQVLASMLNDLNESVRERLVDPAYASLVP -QLSAMSNKIVELSTMNASALSAARKRTPAKIIVLCGPPGHGKSVAAHKLAKMLNPNEPSIWNPFSDHHDE -YTAEEVMVIDETPAEPGQWIEDLIAMGSNSPFVPNYDRVENKTRCFDSKYVIITTNHNPLINPTHTRAAA -LARRLTLVYVNSPDVADFLRQHPGVPPPATLFKADCSHLHFDIHPYNSIGTTAIVGHNGTTPVPRAKRVS -LEGLCKHVKEMPDREGPPDGVPERMVLVAPDKGTARFVEAVINTYHNSGLVAQPAAWDTTPQPYQLAVTW -QGSNSTVTGQRWDCNPQTPFVAPHFTRNMFKRVLGTEVPEYHLLAYACRITSSSLGDKSLPVPNPTVVIN -DPSPTRLALALMRHLKNPIASGLRVVWDLFRGCATGPKRLFTWALSQEWNPMPVTTAFTFPAGTVILHTA -GGVRVVVLPPGPQFGLTEVARLADHSGQDDPVVPDMFGATWTELLWRLLKVIGTFLANYGVAIAGLTLSI -AAFKTANKSAKNDRQGWLSGSGVALSDEEYDEWMKYSKKKGKKINADEFLQLRHRAAMGNDDDDARDYRS -FYTAYQLGREGNNCDDIPLHPAVGPTTGGGYYVHIGNGVGVTLKHVASGEDVIKELGNDLVKIRTKHHKV -GDPAMVVGDGMPVKFVTGHLVVDTRSESVVFDQTRLNVIRVKVPGLETRRGYCGLPYVNSAGQVVGLHQG -SYGVGDKVITPITPEPTAPPDTIMWRGLECARSDIVTHLPHGTKYSVSPGMKEEATKCSHQPAPLGRNDP -RCGQTQVAMVVKALSPYTGSPAVEKLDGCLVAAISEVRTAIQSLTPKGGFRPLTFAAAWQSLDLSTSAGA -LAPGKTKRDLCDPDTGMPTGKYKEELLRAWSRAGTGTALDHTYIVALKDELRPVEKVAEGKRRLIWGADA -RVALIASAALSPIANALKTVTNLLPVQVGVDPSSASCVSAWVNRLNRHDHCLELDYSKWDSTMSPVLINI -AIDILCNTCASDGLRVAVCQTLKTRPTALVEGVAVPTKSGLPSGMPFTSQINSIVHWILWSATVRKCSLP -LNIGSVNELAPFLTYGDDGLYTIPSHLTKSIDEIVSTLKGYGLSPTAPDKGMNIEIKKTSFTYMSGPVFL -KRRIVLTPGGHRALLDLTSLARQPVWVNGPRRSVWDHEAQPIEIDSEVRTIQLQNVLIESAWHQPQDFNQ -VAALVYKSAEASGITIPRYSLEEARAIYDGRFYGIQHVSMPCNSDLIREGNMSDNKSIPEQQHESSRAMD -AGATGAAAAAPAPPVAAAPASGLVGALVAEPQSGPSTEQWRTAYTLFGTVSWNANAGPGTILTVGRLGPG -MNPYTQHIAAMYGGWAGGMDIRITIAGSGFIGGTLAVAAIPPGVDPESVNVLRMPHVLIDARGGVPLEVT -LEDIRTSLYHPMGDANTASLVIAVMTGLINPLGTDTLSVTVQLETRPGRDWVFFSLLPPTAGVASADPSQ -LLTRVALATSPEVRFGTGVLGILGLPSNPSVNRVYDVQSRTRGWSFPIPSSSVFMGDARNVEHTRRVMVQ -SSAPNNPLSDVFPDGFPDFIPQSDTEPDGGAVIAGQVLPHPGDNDNFWRLTPVVRGNTTAAINTIPERFN -QVYFINLADEEAVSAATEELRFNGIQGIFGQRTTARAVQVMQGYVPRAEHIIRPAGFAGVGPQGPNVPIG -FAGTMPNFNATASGADDLVPVWGPTLVHTASLLAGTTYELAENSMYVFSVSTSTSTFELGMLANGTWLGP -AQLAGTGITWTEVLSVTYMGMRFAYNPLSGQGIGGESRRL - ->NP_051035.1 polyprotein [Cowden I virus] -MANCRPLPIGQLPNRIFDTPRLTPGWVWACTSEATFKLEWLQDPVVIRPPDVFVAQGVVDDFFRPKRVLQ -GDPQLIAQVLLGDANGPLVGPVSMQQLTSLLHEVSQALSDHKHPLANRYTRASLQRYADTLSNYIPLVDI -LTGPKDLTPRDVLEQLAAGREWECVPDSALKKVFRDMWQYICEGCDSVYIKLQDVKRKMPHIDTTVLKQF -IITLTDTISMATALDTKTWLAHILGWLKPTCLVMIMQQHVNSPQGWAATLTALAELYYGIMPLTETLGSV -ASWVTDKFADMATSTWGKFKSWWDSLYTPQAGNDLIILGGVVGLVYFMVFGDAPTQMFTKKLMRVCGFIT -STVAAIKAAMWIVDYFKQREHEHQVRITLARWAALQEVIKQNRCAGLSEVTKLKECCEVLLNEVTELMYK -LGASPLAGLIRSTSDVIQTTINELAQLMAYDTQRKPPAMVVFGGPPGIGKTRLVEALAKQLGEVSHFTMT -VDHYDTYTGNTVAIWDEFDVDSKQAFIEATIGIVNCAPYPLNCDRPEAKGRVFTSKYVLATTNCPTPVMP -DHPRAMAFWRRITFIDVTAPTIEQWLVDNPGRKAPTSLFKDDFSHLQCSVRGYTAYDEKGNTLSGKVARA -RYVSVNNLLDLIKEKYNSEAADVKHLWFTVPQAIHKQARDIILGWLRFHSYPNTVADNIPLSEVRDPTCF -GYVVISDVDPPRHVAEHVAHIEVESILRTDIVGLLREGGGGLFRALKVKSAPRNCIINKVMMQAHHTTLQ -VLTSQEPHPPNLPRPRRLVFVESPIDIISALRHHVGFCTIPGIVKLITSGVGLGVENLGNFLQSIAGNVR -FPLQSECSLLRTPSGDVLFYTSGQAAVWATPARFPIVTPGEASVGKEVCSESSWWDILKALFSTLVVAFG -PIATLVLTAHNLAYLNTRENTLSEAKGKNKRGRGARRAIALRDDEYDEWQDIIRDWRKEMTVQQFLDLKE -RALSGASDPDSQRYNAWLELRAKRLSAGAYQHAVVDIIGKSGHRREVIRTQVMRAPREPKGDTYDSEGRG -YVVPMTAQEKHTGWAVHIGNGRLVTCTHVANMCDRVAEVEFKVAETDRDTCIITAPLGHLPSVALGNGPP -AFYTTNFHPIRVLDEGSWDTTTTRVTGWRVVINNGTTTAPGDCGQPYLNARRQLVGVHAATSTCGVKKLV -SRVQTKKTAKATFPWKGLPVTTMPDAGGLPTGTRYHRSIAWPKLLPEETHAPAPYGVNDPRHPFSQHQMI -ANNLQPYINTPVALDQTLLQRAVKHTKGYLDQIIGTHRSPNLTYAAAVESMAHDTACGPNLPGRKKDYMT -DQGEPIGPLKQMLEEAWDMAHRGVPRRHEYKLALKDELRPIEKNDQGKKRLLWGCDAGVSMIANAVFKPV -TERLVDTVPMHPVAVGICMDSPQIEQMNQALTGRVLYCLDYSKWDSTQNPAVTCASVDILASYAEDTPLS -SAAIATLCSPAVGRLDDIGLTVTSGLPSGMPFTSVINSVNHMIYFAMAVLEAYEEFKVPYMGNIFDNETI -YTYGDDCVYGLTPATASIMPVVVKNLTSYGLVPTAADKSQSIEPTDTPVFLKRTFSQTPFGLRALLDETS -LARQCYWVKANRTTDLFEPAAVDVDIRKNQLEVMLAYASQHPRSVFDKLAGMAEVTASAEGYQLVNVNWA -NAVATYNAWYGGTDGGRAPTNEDEEPEVFVMEAPAPTRSVASNPEGTQNSNESRPVQPAGPMPVAAAQAL -EMAVATGQINDTIPSVVRETFSTYTNVTWTTRQPTGTLLARMSLGPGLNPYTLHLSAMWAGWGGSFEIKV -IISGSGMYAGKLLCALIPPGVDPSAVDQPGAFPHALVDARITDGVTFTLGDVRAVDYHETGVGGAIASLA -LYVYQPLINPFETAVSAAMVTIETRPGPDFGFTLLKPPNQAMEVGFDPRSLLPRTARTLRGNRFGRPITA -VVIVGVAQQINRHFSAEGTTLGWSTAPIGPCVARVNGKHTDNTGRAVFQLGPLSNGPLYPNIINHYPDVA -ASTIFNTGTAVNDNTTGGGGPMVIFNDVGDVVEDVAYQMRFIASHATSQSPTLIDQINATSMAVCSFGNS -RADLNQNQLNVGIELTYTCGNTAINGIVTSFMDRQYTFGPQGPNNIMLWVESVLGTHTGNNTVYSSQPDT -VSAALQGQPFNIPDGYMAVWNVNADSADFQIGLRRDGYFVTNGAIGTRMVISEDTTFSFNGMYTLTTPLI -GPSGTSGRSIHSSR - ->YP_009666353.1 polyprotein [Turkey calicivirus] -MTIVAFNCPDCALAYVKHHKRCVRHRKGRCLYTVPYRLAECTHSAICKTHTKEGPDPLDNIPTAKFWQAV -TAFEEDITHCHLCSVIYTGAYFRTGISWEEWVDKSPKCFALRKHSPTCLFNTKGGFELQGAGNSTMARTP -PRTRVPRPGFLAEQLGGPQLRPDTDLTRTILSIVDGGGDATNIITAVDAALKQKTTLSPRATLSEVWRQL -HCDNCSDRAAALLTAVTLWYADKDALDPAHLASVQAMRGEVSNSWYATLKGAVERLENWFVQHGFGTHMR -RILELISELLAIFADGHATIDALLQALKPIPLMALITTYDGTPLGMTTLLLGILQLYGLLDAGLISDCAS -AVIDVLSCFMTRVMNQLSPFGPSVEPQSTTSFAIAFGAMIIVWIIGHMPSYIATELRRAATTATSILAIV -KIGKLAFDLARRHVTSTHVNQLTSKAIQLSVEVVRPKDNSAASSRRASLQKLKALQDEIQACMIKVDYAV -HLSTLKALSASCVALIIRLNQIEGQAMTRDPPVGAVFCGPPGIGKTTLATWVLSQIAPDTIHSTFSMQVD -HADAYSGEDTCLWDEFDTDQNYSFVEGVIGIFNKTAYPLNCDLAENKGRIFTSKVVAMTTNTETPVQPDN -PRAHAFYRRLIFYDITSPAIDRFMAENPGCDPPPALFKDDFSHLRITRRPYLAYTARGDTLDGNRARPIS -CSPAAIVKEIKARMPAFEVQSGINPVIGLVVPQDLAASVRTMLLQKFTTTNSFVKLVEAGSTALNPNELH -NPRGGHVIVTSDHEDPAVTDWHVVTHCVEANDLNSMLGLCPRLSSDINIGFRTRLFRSIIHAGALPPSAL -PTEMSFTVNSVADFLGALRKVYGTSILPVLVQIAGKITVKSWTSLFVSLSDVTWGTKPHSYTLKTPTGIL -SVYTHNYMAVYSNADLSTITCEKPHVSSLTVWQLFKSICSSLCKIFVSHLNSAATATAIAYYSRLAQAQP -QAAGRGMVRNYQAGVALSDEEYNTWREYQSRVDRSATISDFIAARDALANNQAIAQERVAALATWMRARA -APEFQLQNADYIDFCKRVLRADGSFLGWAIHIGNGRWAMNTHLLEQADTIDGQKFDILRFSTDDISVVTS -EPASAVAKLGAGPPVRTWDSRVCHNVIEHNLRGQQISATGWLTHVQGGTMKGDCGLPYFNSAGQVCGMHS -GMYVGSKQAMISKFDTKQPTPMTWRGLPVENSGLQLGPLRKGTAYCRSVAHPEKYAWESYEPAPYGSADP -RPQLLTQEKILAAQLDPYLQQPPPLHPIVVEAASYVQRHLSNLLSFCPAPAVESLPIAIKRLDLSTSCGP -FVPGTKSDYFISTASGPMLKPGTEMTRHLDCTVAIASTGRPICHAYQLALKDELLPERKIKESRKRLLWG -TDVGLTTLAAMVWGQLLDNLKSVVVASPISVGCQMDSTFTATIISQVTDKHTLCLDYKKWDSTMHPEVIR -LAINILCDMVPDTPFTESLRATLCSPPVGYFMDKKVTALRGLPSGMPGTSVVNSVCHCIYFVSALWLTED -GACIARTRDPLVKNRIWTYGDDCIYALCPRSAANALYFTESLKALGLQPTAPDKTQNYSLDRDITFLKRD -IVPLGNLVVGRLDLESILRQAVWVKGGSNTDHTVPRLPVDIVARETQIKEALLALSLHGETVYEKWLPLF -KHTIDCEGLDVEIEPWDFLHNIYSTRYYTADVYSNAMLGEGEINTKAVANDFEFQNGDENRQTPATTSSE -GFSAAPVGAEGGGVQVSYTPSQAVAAAAGGPVPDSMALSTLGAGLPSNLPIGVQGLFVASARFSWNTSQP -VRQQVGYIPLTPQLNPFTQLLSGMYAGWSGGMLARIQISGSGMFGGRLIATVIPPGIRPGNIGNPTAYPH -VIIDARVPEPVEIYIPDIRTGTYHRMEDEDDTCALLLTVSAPLINPFAASTGAATTSAAEVTVYTTPSPE -FCFCLLKEPTQPDTTLAGLLGTTTANWILNRAPVGVQAFVTVASAKQSWNHYQLDGGTYGWGLGDVWKPV -LFSYQNASSTINSGFGIEVEPIKENDEEYWPDPTMHPNFPDWVITKDLTSGNASAITNQCTPFWSISTIT -DGAYWVSVTNANDVLLAYGIITSGNATGASFKMTGSSLVGVGQPIILTMSANLSNPTNPRFYCVPTFPYC -VNKPIGQLQSPGARTGYASPGNSILLLQGQITGATASPSTGHTTINATQPTCLSKTLASRSFPLNSNSMA -VYRLSNGTNYFELGVRYDGYLMTGGADQVTIGVDTDYDITYVGMSSIDTRLMGPVVASASSRNR - ->YP_009361874.1 nonstructural polyprotein [Hom-1 vesivirus] -MAQTLSKISTQETSSLGQRPRRIKYHQPIPSWTVPRGILNHDSRRGREPVRASPQAKRVRTPTPYPRHLK -PAATAVVRSGKTPSHLKPTSGDVVRSGPEPLSSLGASTGVVRSCPASNLKPAECSTPTTPCSQSLDGGVV -RSCQSCNLKLAHDSKSVSFNPPQTDGPHGNEPEFIAEACPSCALYDSCPNCASRAINDDGTTDGSIPSWD -QIETTPAFLSLLSNTDEEMSADELSNLAAHLRKAFETGSHPANVDYSKDQLQGLLEMAEAALPPVRRQTL -PFYQQRIEARRTWREKLFNLPLDELNKILTNSKDRFQRCATWKVILEKAVLAKEYGEEAFKYAQEVIKSI -NSFDVNLVLKMAAASFIDHIRMMTVDNPDIVSYIPKLIVKLKPLTLKMIIDNHENTKEGWLVTLTSLAEL -YGMVEVAIDFIPTVIGNLFDLLAKTTSKVYDMFRSVILATFTSESIDFTNPFWYAIAAILCFLITGAIPH -NGKMKIIKNILANATGIVAGIKAIQTLAAMFSTWSNERLVNDLSSRTIAITELNNPTITADIDAVINLQR -LAETLRNEVKNHTLNPLMQPYNPILRNLMSALDNVISCCTRRKAIATKRAAPVAIILTGPPGCGKTTAAF -ALAKRLSQQKPSIISLDVDHHDTYTGNEVCVIDEFDSSDKVDYANFVVNMVNTNPMVLNCDLIENKGKTF -TSKYVIMTSNLETSVKPTSKRAGAFYRRVMIVDVTNNAVEKWKQDNPGKPVPKWCFNKDFSHLTLSLRGT -EAYCKDYVLDPTGRNHQSRKAPPPQIVTLEQLAQKMVVQYTTNTSEFVTQANDVPSFGFVCQNDEIDTVY -NLLVAVKNRYGANFNIYKGSVRTVHENSGCGAHVHVLTREENFRGKAFTVNKSRLESVPHLEGDSFRRSL -GVVMSDKDVTTMFYYIKGKVINDQVNLAELPANQHVVTVHNVYDIAWALRRHLKWSGQWQLIKAAYEIMC -YPDMAACALRNWMDSTDFSEEHVVTQFIAPGGTIILESCYGARMWATGQRLIRAGGITEAGGPQGGVRFA -GLGARNVPWSEILREFMTLISHIWSQIKGATVVLTALTFYLKRFKPRVEAKGKNKNKGARKNTGVALTDD -EYDEWRQYKNEKKLDLTVEDFLQLRHRAAMGADDTDAVKFRCWYSERQRNYHDLEDVTIIGRGGVKRELI -RKGLLRPRGNDYYDEPDDWYSEGVIDGVTHKNAIVSVDDVDGMHKGYAVHIGHGVYISLKHVLSGNARVL -SEEPKDLKINGELATFRLNSTLPTAVPVGTSKPIKDPWGNPVSTDWQFKEFNTTSGHIYGASASSCSLTR -QGDCGLPYTDEHGVVVGLHAGSGGDKCPSRKLVVPYVKVDMKIRDTCTKEYYKDNQPIISYKGLLVKETG -DPRTIMKGTRLHVSPAHTGDYEECSHQPASLGAGDPRCPISLTGIMVNNLQPYTEAAPGPDTSTLNRVSK -MLTTHMEGYVPKVHKTEEDMLSAFYMLNHDTSCGPYIGGRKKDHVKDGVLDKALLDLLSSKWNRAKLGLA -LPHEYALGLKDELRPKDKVAVGKRRLIWGCDVGVSTVCAAAFKRVSESIMANHALGFIQVGINMDGPAVE -DLFKRLEKPRHDRYCVDYSKWDSTQPPKVTSQSIDILRHFTDKSPIVDSACATLKSNPVGIFNGVAFKVA -GGLPSGMPLTSIINSLNHCLMVGCAVTKALEDSGVQVTWNIFDSMDLFTYGDDGVYIVPPLISSVMPKVF -ANLRQFGLKPTRTDKTDAEITPIPADEPVEFLKRTLVRTENGIRALLDKSSIIRQFYYIKAENTEEWTKP -PKKIDTSSRGQQLYNASLYASQHGEEFYTNKIVPLLERAIEHEGLHIEIPEFQRAVAAYNGYFNGTEDQP -NQIALASGGFGLSGEVFEN - ->YP_009345598.1 polyprotein [Bat sapovirus] -MAAISRFARGVPPRGLPLKASKRLYRFWKSSLPRWEYIKGKMFWSESAPPAPRWKPRYVSQGGLAEVWSQ -PASDDAQGWLEWYLGRPAPRSHTMDAQDILDIRKLLEEVERAMLDPSHEFRVQDSLSTKNLKALQNRLLM -LLPWQVTVESRRARNNITEEQLRELVEDTDKWYDVPMDKIKDVYAKMWDLVAKGKDCLIPLQSAMDRFVN -RLPSMQAVKDFFWIAIGGLSGAFMFRPERHLGTIMNYLKPTVLTMIFQQHRNTRSGWLATLTALAEVYSS -MFKLGNGLVTFMTSFLEHIQSLSKELWRCFKEWWANLKTPQGPNDASGYLLLVGVIGLVYYLCTNTIPGA -KLTKHLLKIAGMLSGGVAAVKSFQWIVESIKQSKYNAKVQQYLHRHAALIEVIDTGSESGTIEAEGLARC -CEILITEGTTLIQEQPTSTASGIIRSLVSVLEERKNRLTQMVKMDVPRPAPVMYVFGGIPGIGKTHLVQH -LAKKLNLRTSNFSMALDHHDSYTGNPIAIWDEFDVDKNGNYVEAVISMVNTAAYPLNCDRPENKGKMFTS -KYILATTNCPTPVVPTHPRAAAFWRRINFVDVKAPEIESFMARNPGKAIPKALFKEDCSHLELRLRPTNG -IDPEGQLLDGRRAAVARVTPEDLVILMKRQYEAQGPDPHVLWLKMPTKMVPESVLRIKRWAAYSSSCVKV -STTLSNEEALYPTGHGHIICSDQDAPAGGIIEVLVYDFADHNPNDMFEKNNVLDLFKVQNGRPSAYLLRN -IIYVVHGHTLTLHDSVLPISTIPRPRRMVMVSTVTEFIAGLWHHLSIRSIPGVWALIRGKVTGVNYVELL -DSVCQNMKFGPNPECTLFRTPSGDIILYTCRGSVIFATPARYPLITESDYSDMRHRHSRGTTWFDLILSA -IEEISKVLMPYIPLFLSIINVCYLVLRDNRVVEAKGKTKRGRGRAHALADDEYEEWRDVRRDWRVEMTAQ -EFMEMRRKAEAGGMDAQSQRYRAWLELRALRASNNAYRHDIVTVVGKGGVRDEVRRLDLMRAPRERDEFD -GYESQGVSHLVEFMDGDTHIGWGVHVGGGKIATCTHVAKVASAVADLPFTIAQQFDDFCFVKSTFKGPFK -QLGDGPPIYFQDRYHTVKVLEESRFDTTTTTVSGWSLKILNGTTTQKGDCGLPYYNINGKLVGVHSGAST -EGTVKLVSRVVSENAQPHEQFAWKGLIVERCAPTGGMPTSTRYHRSPAFPEILPDETHEPAPFGIGDKRY -KFSQVEMLVAGLVPYQQTEVIHFDPQLLKRGVEHARANLRSLIGTHQSPNLDYTTACHSLERSTSAGPFI -AGLKSDYWDEDNQCFTGELREHLDKRWDAAMRGQQLPNAYKLALKDELRPIEKNKEGKRRLLWGADAGLT -LCASAVYKPVAERIAAVVPLVPIAVGINMDSPHIEMMNSAMVGRVVFNVDYSKWDSTMQPALVSAALNLL -AEFAEPTPLTSVVTHALSSPARGYFEDIVFTTRTGLPSGMPFTSVINSLIHMILFAMAVLGAYQEFGLPY -NGNVFENEVMWCYGDDGIYAFTMATASLVDTIIGNLKKFGLNPTGADKTSEIVPTVTPIFLKRTFQQTHN -GLRALLDKSSIKRQCYWVKAQRTNDLFSPPKIDPTVRSVQLSVVLAMASQHGQEFFQEVTQLVQKCMEAE -GLVISLDYDEANLTYNTWYAGSPQPQNWETTEVPNSIVFEMEGNGSQPASGGATPQGVANDSTTVLVPTG -GVNTQPALQAVEMSANSGAVPGSIPPEVMNTFTVLANVTWTNRQAAGTLLAQYKLSPKLNPYLAHLSAMW -GAWGGGMEFRMTVSGSGLFAGRLMVAIIPPGVDPTTLRNPGSLPHALLDARVTEPVTFQLPDARNVAYHL -ANDPSAAPSLGVWVYNVLINPFGSNDVLSAASLTIETRPTADFNFGMLLPPNTSSNGATGPERLLPRRLG -FSRGNRMGFNVVGARVVPTGSQTNHHWDANATTFGWSIGPPDYVRLQTQNANSPAHIRSVTGVDCPIIQG -IPNHFPDSAASFPISGGGNITWTEQNLPFGNIRAAAGAGMSMNGTTMNVDMNAVQSLMFAVGTTPTGASV -GSVLAPALTLTSDNLNLIALTDNQISGGEWIVRPFVINGNQPTIEQGALQVSQGQRVVGPIGVNNLLMWQ -EECFSDVDGMGYILASQLEHTANMFSEGPVAIPENHFAVFGVSSSGGDWQIGISPTGFCYTGTAVGNSVL -LSADTTFTYLGIFPYTTPLTGFLASGGGHSFY - ->YP_009337240.1 polyprotein [Chicken calicivirus] -MMMVILALAPTTPIGGSLVIPPPFARRWMASSMTFGLVAHATQATTTSSPEANTNSEQVLPNPPEPSFWH -RLIDGVTPPQEPPLLSAITSLISLLGGLANLTFTALVEKVKPVALLTILRAHGWSLTIPCLTAVLELYGM -VRSTTDLLIDGIGWFIDFISKHLPCKLQAGGGDESDTDLEELYTAENPSRLSPRIIQLIGAVAALPVALL -LGRAACRPILRFLGSLSRVNTIATFVKNVASLVSWGKNHLASQRIRRTIGGLIMVEDEVARNINNSTAPQ -LEAYSSTIDQLLEEARERQVSHPGHPLLSALTKHASDLKELQNQLRGKLSLLAKREPPAFYLFTGPPGIG -KTTLVQHLATRLSPIAPSNFPGHLDHYDTYNPGPVCIWDEMTNTPPDLMVQHVLGMVNSTPYPLNCDRPE -NKGMVFNSRVVFATSNLPTPLDPGHPLAGAFYRRVQIIDCSAPTISNYITETGEMPPSTHYRQDGSHITL -RKRPRMAYTPQGDLLTSDGVARKGRVSPTTPDAILSALRGTVVMESGRRILLVVDKNWPEALPSSWMFES -RGHQVDVVVDGPETNQEANVLILAVMNANDWPAHRVAGLLKKVNPALWSLAYVAGTPEVVVASKRISPCD -LMDHVKRTWTAPQNFREEQGWHPDWPAYYAKCREAGRLPGYILLGIDPALAPDLATHLHQWAARRGIPLP -IYDGTKNPCAAIERAETEILTAIVMVKPIDDPDLKVTHFDPTRPPGLALSDVIQTENNDALEKFLGHVWA -PGTISPHIHHRITPACELDVSDSSTGVGAVMNHFSLASGVRVIWQAWKNAGSTSQFFQTLLTMAARPEPR -LTVLHWGDSTYWLYTSTCVGFAITPRIAPHRLPGCARPRPAGDRSLYGLLSEMLSTFLTALRNAAPLILT -LYSWNQLNSTEMEKKKGKTKHGRGRKHLGGGRGPRLTDEDYDEWQQMREDWREDVTAEQYYQAKYGENRD -TKTSQRYDAWRRLKDLRAGQNDPRMSRPETRREAGLVSRHHGLSRQDLGFATSRAERRLATYDASNPGDD -EAPRRLRHHMEGGITSPVVPALSGSLEVGWAVHLGSGRFILPKHLGATTIAGHPFVVCGLRNVDLLFVDC -QALRSIPQVRIGTGHPVKLHGRGYVTAAKTTATHLLPVGTVQGYSYNLLVGVTKQGDCGLPLYDAAGGVV -GIHVARNQVGSICLAYQQLTEAAARPLRSFRGLDVVDSEVPSGPPMTVTRYWVAPGMEFDEQMPYQPGLV -GSNDPRNPAPLVTILTSGLAPYTDPGPDIPPAELALARAQVTAALQALIGVEVSPNLDFAAAWGSLNKDT -SCGPLVPGKKRDYMDEAGTLLPGALRDHLSTSWGIATTGKALRHIYALALKDELLPRRKIYETPKKRLLW -GCDAGVALAAAGVFQPVYERIKATAAWGPISVGICLERPEVFNAFQHRFGGLVSCLDYSGWDSTMHHAVI -TAAVEILSVFAEDTPLTHSVVSTLTSPAIGCFMGCEVTVKRGLPSGQPGTSMINSVCHMLYFCMALNISA -RGACLPPLQNPILDCELATYGDDCIYKFPTLYQGAFGNFIQALRTLGLKPTAPDKTSDIALGLRIVYLKR -ELRDAEGNWRDGPVLDLDSIIRQAYWVRGTAHKDPREVATRVHDGRGLQLDLALAALSQHGPEVFSQNVH -PFIRTALASGCPISLTTWEVAHQWWKDFSASLSTAEGDITTLVMSPNVDYVMEGVTTPPAPRGQAPTGTP -QTTQELSLATSGMVVTAPDTGVPVAPQPVAPQAPTIIEASGGITTLPPDMASMFVQIGRLGWNGTNPTGT -MLGTFDLGPSLNPFTRLIAQAYCAWGGGFEFQVMLSGSGAYGGRLLIGIFPPGINPGNQDPTAFPHFYVD -ARESSPVTFPVPDLNPARYHSTTGADLTTSVAIFVAAPLLNPFSANNGYSCEITILARPGPDFGFAMLRT -PTTTEVTIIRDALGRSTAGWLGCRWATTINSITPFLGARDTRVYNHFTSQGTTAGWGNGWPYTRIYVNIY -NTTTNRPSNPGSGGLVAGQFLSVQAAPDSGQAPAVIPGIPPGWTDLPTSQITVGQGADPTAGTGAMYVGV -LATHVAGSGPDISEAYSERLFQQNGMISGGATSGTILPVPNNVSNQTLAFAIGETRTGSSATAAQTIVAS -CMCWFTATNTSPGDNALTVPGYNGRAAPYHHTILSFNSHVPTNYPGGGTIGSSQPVPLSSTLAANPSDGW -DSTRMAVWNLTGGGYTWQLGMLSDGTMVTGNFTGTVSLTTPHEISFQGFSSITAPLQPPTPNGNDAAFRL -VNW - ->YP_009272568.1 polyprotein [Bovine calicivirus strain Kirklareli] -MDCLTQPAMELMREFHPFFARRGKWHQPHPDPCHPHCDVWCRHVSRTTHARVFREGDDWGALGADAGPSP -PQASPFRVSRSVDDILTGLLDPEFDGTLMEEDLQAFFQAYTNQSDPIHTQWSPDQLAEAYDTAYLLSTSP -PTETPAPHETPNQFNTPLGAAARKAPKSKWERIRAKVHIPRCSAAREWIREVIRKGTSIGVAMRSGWRKW -VPSYDKIHFLIKNFLGGIINHCGNMEAVTLTSLWTRLKMTALIKIWERIDGVEMTTARITWCLMSTLELY -GLVEPLLDLATDLVEWLTEQCYDIVGKLKEKFTKEPKREGQATANIGAIAGLTALATLVLGYVPSNGLVS -KLVRGAGIAAGLVGGFNAIRSVVTTILAAQAGDDVRQLLLKCQCAMALMSEAGSHQNRRIAIEVARELVS -AISERLIDPAYAGYASSLNAASSRLSDTILVLESTLAAAAPRPQPTIVVLTGPPGVGKTVAALQIAKQLS -DAAPTFWNPRSDHHDEYSPEDVCIIDELGGDPVIWVEGIIAMGSSAPFVPNMDLPENKRTPFNSKFVIAT -TNDEPLIQPSHPRAGPLARRIMMVRVSAPEITEFMEKNPGKPIPTGMYKENCDHLIFTIDPYNSVGGGAI -IGPDGGVKQANRPRGTVTLAALVSTIKEDAEEKPKGPVREGIPRSGGLILEYPEKVQARMAHSYCECFHA -NGTVTKKPVFDTQPGPGVICFTWSGSKTDLIGTRVRCMLPGVWAPVPSIASSKLANVLGWERCTSELLKF -ACHIEGHTINDGGAPVRAPDHIVRNGNAVSISLALARHVANPLTASARALKALFYNGVTGPKSLFAFCTT -LKFKEYPVTTAFVFESGTIYLSTAGGLQMTVARPSLPLLMHTDTSLTVDETDAVTDYTTMPLRDIVAKVA -TIITKLLVKYGYALASCTIISAAARGAVGSQEAKGKTKGRVNLRGSGIALSDEEYDEWRKANRRFGDMDA -DTFLALRNRAALGRDDPDAVQYRAFYSDYVLGREGAGSLPLPAYVGRVERGYYVHIGNGVGLTLRHVTDL -PATKDLGNDLIQIKAPDHSVGDPAAVVGSNTDTIAIDWTGRTATPGATTKVTFGGDRLVVVKCSTATHTE -PGCCGLPYLSATGKLVGLHQGKFGDGTKIVTPVKPQAPTETFQWRGYTARRAESVVPLARGTAYSISPGM -RDEAVACSHQPAPLGRGDGRCALSSVEMIAANLRPYTEAPAVPHLTPELVAAAARVRAVIQQYVPKGGLK -PLPYAAAWRSLDMSTSCGPYAPGKCKGDLIDPETGLPTGVHEQNMLDAWQRAHNGVPLPNRYTVALKDEL -RPVEKIAEGKRRLIWGCDARNALVCSAALTPLANVLKGLVHVLPIQVGVDPASQRVVNSWASRLATTPYV -LELDYSKWDSTMSPALLRVAVEIMATSCANARLARCVIDTLTAPAEAWFEGIVLETSRGLPSGMPFTSQI -NSIIHWILWDAALTEAARPLQIVDIHSSYPFLCYGDDGAYSIPRALAKSCDEIVERLKGFGLNPTAPDKG -AVIAIRSSTITAHNGPTFLKRKLTLTPGGVRALLDPTSIARQAVWVKTGGRRDRWDTEALPVEIDHATRA -IQLDNGLVEAAYHDDDTWQNILRLYTASADGCGIVLRYADRGAARAESDLRFFGATQPTSGSVAQISEVF -REGDMNTSQNTSNGPAQQQTGIPGVVQVGEEGAPAIASSAPPSGMVDALVAAPQQATPTEDWRTAFVMYA -TVTWGANAGVGTNLAVGRLGPGLNRFADHIAQMYAGWAGGFEVRVTITGNGFIGGILALAQVPPGVNPDT -YRATDGPHVLVDARAGVPVTFLLEDVRTTMYHLMNDTNTSDMVIQVLAPLINPLGNQLTVTVVIETRPAP -DFNFVMLTPIASASGPSPSLLLTREMLVGSTDVRFGRQVAALATVDVDYSVNGIFSTAGTTYGWTMPGQH -TMEVDVEVSSLSQGQWVHQSEVPTNSLSTIMPSGLPDFNPLGSSTNWNNQGAALAALPTPVQVNTTYVSV -IPVVTEDGLTVATNTPSVYIPYTFSGVRFVIAENSTADQGALTDITHISSAGITGVFAGTTGTSVLIPDA -NRVIRPRSYMGHRPVGPNRPGAFVVTLRDAESTTGSDLATGWASTLTGTSLALATDSYTLNSSSMFVYQV -MCDEGTFELGLTASGHWLFPHITAPLTNIVSVAYMGMRPTYSPLASTVTGGHARRI - ->YP_009140468.1 non-structural polyprotein [Vesivirus ferret badger/JX12/China/2012] -MASKAISPKFSKYFKVISVLKRARYTSTPGILFWEESCGFHVDFQSWHGAHEFLKLSSKLLAARPTGTSS -RRVVSDLYQTMLSLNGVTGNADLTNEIGTIVRANGGLEEVHKADVTGNADFGIVYDWSIQGIDVTPSDFD -KVIMMLVNGFSFSVKGAFLTNEQTFRAEMCPSCAIYDNCPVCKQDQINDDGTSKFPSIPDWTDQKISHGI -MSLLSDGLDPMSDFDLSNIASHLRKAYSTSSHPANNDMSKEQIHWLINMAEAGLKRGQKLDFVPIQAARI -KAREDWRSKLFNYNIPDLDKFLTNSKDSIANTAAYKVLFEKAACAKHYGVEWYNKALELMDRVTWKSTND -FLKVVVSSAIQHLRTFVIDNPDPITNLPKFIMMMKPLNLQMIIENHESTTEGWIVTITALAELYGFLDLA -IELVPKIVGEIFNLLSTATQKCYSMVRDLFTATFKAESLDLTNPFWYALAAFIVYFVTGFLPNNAKMAAI -KNTLVGANTLMSGILAIQKLSSMFASWSNESAMNELSAKVIALAELDNPTVTQDMDSVSNLQVLGEKLRD -DLKIKSLDPTFQIYNVIIRNLMTTVENVISHCVKRKAIATQRVAPVAIILTGPPGCGKTTLAYELAKRLS -SQKPSVLNLSIDHHDAYTGNEVCIIDEFDSNPKVDYANFVIDMVNTNPMLLNCDMIENKGKVFSSKYVIM -TSNNETPVSSTSSRAPAFYRRVRIIDVDNPGVMDYKYSNPGQHVPNYLFNSNFSHLVMHMRGVGAYSKEH -VVDPVGRNAKGLDAPRPMIVDADMIVAHMKRTYAQNAAIFKAESRPKIKVPTFGFVVEQAHTQTVMNILK -AAQITYNGNFLLTEGNCMPTPQNSGYGSRVHVITPVEAENFPGKKFVVDRTSMLRNPHLAHIEGDNFRSA -LGVCMSDDDVRDMFYYIHGKSVNDRIQLDKLPANNYVVTVHTVYDMAWALSKHLSVVGKFQAIKALYDLL -VTPDTLPVALRNWMDSTSFSKDHVVTQFILPGGTIILESCHGARMWATKDKIIRAGGVHSIHGPEGGLRF -LGVGIRNIPWSEIFKEFLNLISILWSKIKGATAILAVLTLYLRRYRPTAESKGKNKAGRGAMRGVGKGVT -LTDDEYDEWREYTFDKRNNMSVEEYLLLRNRAALGSDDQEAVKFRYWYTQRQLAAQDYDDVTVIGKGGVR -NETIRTSMLKAPKKSRMADLDENPMSYFAEAEGKVQHASAIMPVTMADGHRVGYAIHIGHGVCISLKHVL -EVGSYVLGQKAKDCKFDGELVHFKIDNYPQSAAPVAVGKPVKDPWSNAVSTEWKPQVNNTSVGKMYTALA -FTNAHTNPGDCGLPYVDERGCIVGLHAGSGGSTAPAKKIIVPYVKRKMNRDTVKKYWYDEKPTISYKGLK -CQETHDNRKMVSGTKLHVSPAHLDDYTECSHQPANLGSADDRNNISLTSIVVNNLQPYKEPTPGPPTNVL -SRAKKMLIKTLEPFIPKSTEVLDMVTAFRKLNPDTSCGPYIGGRKKDHVEDGVIDKVMLDHLTERWTVAS -KGLAIPHEYALGLKDELRPVDKIKEAKRRLIWGCDVAVATVAAAAFKEVSDSIMAMHELGFIQVGINMDG -PAIETLYKRLYAAGHERYCVDYSKWDSTQPPNVTRESLSILQHFSAEHPIVDSAVATLASPPIAVFNGVS -FKTGGGLPSGMPLTSILNSLNHCLLVCCAIIESLESKGIDVNWNVYDTIDMFTYGDDGVYIVPRFIDSIM -PSVFEHLKAYGLKPTRTDKSAKPIEPVPKGEPVEFLKRTFVRNQNGVRALLDRNSLLRQFYYIKGKNTMN -WREPPTEIDVSSRSAQLWNVCLFASQHGPDFYSKVLELMNKAIVHEGLVIEPPSYMEAVLKYNSYFNGSD -PSVIQMLDSDHTKIGQTVFVN - ->YP_009137510.1 non-structural proteins and a major structural protein, partial [Sapovirus Hu/Nagoya/NGY-1/2012/JPN] -MASKPFQVESCDFMFEVHVLHMCYLRVAPREQFLDDCTISAVTKYYLHHVPLHNRNGMDCEFEAEGLLSR -LFGTAGSPSLDSQSAFKELFGFDTDEQMPLSLEELAKLQGEITASLQMNNNQFVAKHGKAKVQALLDQLN -TLVPRDISEAERRRREFFERQTAAAFAELPNDDTFTEQDWKSYWYAMWRRVVGGCKSYYHGLPRWSSFKT -RLSRATEPLRQVLAVAAQTFDQCVQTDPRILAMNCVTALKPTVLTMIYQQHHNTPSGWLATLTALWEVFQ -PSLPALGTLGTGVATTLGLVVNTLSRFFQKLCAYISETFLPESPTTPGWVAIVAGVLLLLLKLSCIPKVF -SHWSTLLKLASGITTVIGATRAVDWIMGKIRDARHSSMCKQFLSRVSALLELHYSKTVTGVAENTELLKC -FDQLIDEGEELVSEIGGGSLAAIIRSGVDTLQRVSTEIKATIQLDNPRPVPVCVIFSGPPGIGKTSLAYH -MAKGIGLTSNFSLANDHHDGYTGNPVAIWDEYDTDKDGKFVEQMISLVNTQPCVLNCDRPENKGKLFTSK -FIFCTTNYTTSVLPDNPRAGAFYRRVITVDVRSPEIEDWMAAHPGRSPPKTLFKSDCSHLKLMVRPYMGY -NPDGDTLAGKRVKPTPITIAGLHDMIDKKFEEQSGEVRGIWITVPRRSVQTALVAVKKFCVAHQALCHVT -STPSPEILQCATFSCVVVSDAHPPPGAPLLHIKNAHLEVDHTGQAVTSISESLLGMFITEQRVSSKLQRD -IMYKVWSPFTLMQTEPLNTQSLPPVRRIIYADTPMDFIGGLRHHLGFSSIPGLWRALKHLPDTPSMIEWI -TDHLSQVQFPNNPESTLFRTGNGDVIFYTYGSFYALGTCARVPVVSGDTVSPLPNVPLKMTWFETLKALC -SSALRLFTAISPFAIAVANVTYLTTRGSREEQAKGKTKHGRGARHARGRSTALNDDEYNEWMDLRRDWRE -EMTADEFLHLRDEAYEGIINDRTQRYNTWLNLRNMRLGAGAYQHATIIGKGGVRNEIIRTQVLKAPRKGK -WSHIDSSGPMNYFDEAPTPLVEFECDGAHVGWGVHLGNGRVVTVTHVATSSNTVNGLPFKVKDTDGETCQ -VYATLGNLPHYQLGDGAPVYYTTRYHPVLVIGEGQFDTPTTTVNGFHVRITNSYPTKKGDCGLPYFNALR -QVVALHAAGSTDGSTKLAQRVAEKPQTGDAFVWKGLPVVRGSDVGGLPTGTRYHRSPAWPEMRSDETHSP -APFGSGDKRYEFSQVEMLVNNLRPYLEEVPGVPPALLNRAIVHTRNYLQSIIGTEQSEPLTYAMASSMLE -KGTSCGPHITGLKGDYWDDETQQYTGSLREHLEAVWNKAMLGTPPSHDYKLALKDELRPNEKNEQGKRRL -LWGADAGLTLVCCAALKPAAARLQTVVPMTPVAVGINMDSAHIEVMNESLKGRVLYALDYSKWDSTQSAA -VTAASLEILASFMTPTPIVSSAIEALKAPARGMVNDAIFIARSGLPSGMPFTSVVNSINHMLYISAAILQ -AYEAHNLPYSDNVFNIETIHTYGDDCLYGFTPATASLMQVIIENLRSYGLKPTAADKGETIAPVQTPVFL -KRTFATTPHGLRALLDTSSILRQFFWVKAQRTCDVYSAPTIDTKSRAAQLEVALAYASQHGHEFFNKARE -IAEKTSAAEGYVLVNTNYEQATACYNSWYIGGTTPEMPATNEGCGLIVFEMEGNGSPRGGNQPQSHNGGT -SPAQAAPPGTTGPAEAPLVPVNPEQPNSIAQRMELAVATGATTSNVPECVRNCFALLRTIPWNSRQPQGS -LLTAVSLHPDINPYTKHLAQMFAGWGGAMDVRVTISGSGLFAGKLVCGILPPGVNPTLVSDPGVLPHALV -DARVTEPACFNVPDVRAVDYHRTDGDEATATLGIWVLQPLINPFSTEAVSTAWISIETKPGGDFDLCLMK -PPNQAMDNGTSPSFLLPRRLQRSRGNRAGGYAVGMVIVGSAHQVNRHFTALGTTFGWSTAPYEPMRCAFG -GVHQGRDTNPKIGYYWEVGADQRGPLFPNIVNHWPDFAVNTKYTWPDADYIPHSAVVGTLVSFQDNGDVS -EDQVATAFAISMNTPSGSTTGRGTVREAFDPSTMHLVRTNGTTQPSGWPTGSNTGNGYFTPMWGHGQGNA -INDKITNMEGANYTFGGSGQNNIVLWVERIFSDHPGKTTLYSSQLDSTAAIFQSGPVNIPENMMAVYNVT -TNGADFQVGIRRDGYMVTSGTIGTQQELDPDTTFTYVGLFPLSASLVGPHGNSGRAQIAWS - ->YP_009109564.1 non-structural polyprotein [San Miguel sea lion virus 8] -MAPKASSNKLSKYFSVIDVAKRLRCTDIEEISFWEVSSGIHVSFKSWHGAKTFVQLVSREIGYTPNGSYS -RAIIDDVQNIAFLITGTTRNGDASEECQNISSSSQTGTTRNGDSDLTPFLPNTIEQYQATTRNGDCGIVR -DWSVQGNDITPHDIGTLIRLLINGFTFSVQDAMLKPTPTVSSPAFRAEVCPSCELYDKCPECTSKHINDD -GTVDSNLLPNWTDHKIESGITNLLSDGLESMSSSDLSDIAAHLRKAFSTGSHPANNDMSKDQVHWLINIA -EAGIKRFRPSQQRSGLENVPMYNARIQARRSWRNQLFDEPVAKLIDLLKTTKDSVKRSAIWTILIEKAAN -AKLYGQEIYDKVNYQLVNFNIADANEFLKVIVSSAIDHMRMLIINNPDPVSNLPSFILKLKPINLQMIIE -NHESTLEGWIVTLTALAELYGFLDLAIDLIPQIVSHLYDILSSATQKCYSMVRDLLTATFKAESLDLKNP -FWYALAAIVTYFVTGVLPNNAKLSAIKKVLTGANTLVAGILAIQKISAMFASWSNERIVDDIALRVIGLS -EANNPTITQDMDAVANLQAMAEKVRDEIKLKTLDPTFQPYLPILRNLLSTTDSIIMACTKRKAIATQRVA -PVCIILTGPPGCGKTTLAYEIARRLSHQKPSVLNLSIDHHDAYTGNEVCIIDEFDSNPAVDYVNFVVDMV -NTNPMLLNCDMLENKGKVFSSKYIIMTSNNETPCKSSSSRAGAFYRRVRIIDVENPAVMEYKYQNPGQPV -PSYLYTSNYSHLVMDMRGIGAYNKLYVIDPVGRDAQGLDAPPPSRVDVETIVQYMKRTYVENSLTFKSES -APKRTPKFAFITNQENVATVHKLLQSAQITYNGNFTLSIGPVCTEPLKSGSGSRVFVVTPEEAETMQHTR -KFKVNAAKMLRYPDLAMIEGDNFRSALGVVMSDQDVTDMFYYIHGKTVNDEVRLDKLPANNHVVTVHSIY -DIAWALSKHLSFTGKLQAIKAIYDLMITPDTLPVALRNWMDGVSFSKEHMVTQFILPGGTIILESCHGAR -MWATKGRILRAGGVAKTGGPEGGWKFCGSGFRNVPWSELFREFLTLLSLIWSKVKGATLVLAVFTMYLRR -NRPRPEAKGKSKAGRGAMRGVGKGITLTDDEYDEWKEYTFDKRNNMTVEEYLLLRNRAALGSDDNEAVSF -RSWYTMRQLREQTGLDHEEATVIGGGKVTKEIIRTEPMKAPKRAGKKSRLADYSDDPFSYFAEAEGKVQH -ASSVVPVTIADGSKVGYAVHLGHGTCISLKHVLEVGSYVLGQKAKDVQFDGELVHFKIDTYPKSAAPTGT -GKPVKDPWGNAVATEWKHQLYPTTAGKMYGSMAFTSTKTNPGDCGLPYVDERGCVVGLHAGSGGDAAPGK -KIIVPYIRRKIDAGVKKMWDDNKPTISYKGLACQETGESRKIVSGTRLHVSPAHVDDYQECSHQPANLGA -NDPRNNISLTSIVVNNLQPYKEKTPGPPTAILTRAKKMLIKTLEPFIPKSEEVLDMISAFRALNANTSCG -PYIAGRKKDHMDPETKELDQTLLNHLSARWEQASKGLAIPHEYAVGLKDELRPVEKIAEAKRRLIWGCDV -AVATVAAAAFKEVSNAIMSMHELGFIQVGINMDGPAIETLYKRLYPGGSDRYCVDYSKWDSTQPPNVTNE -SLEILRHFSANHPIVDSAVATLSSPAIAIFNGVSFKTSGGLPSGMPLTSILNSLNHCLLVGCAIIQSLED -RGVDVNWNIYDTIDMFTYGDDGVYIVPRFISSVMPSVFEYLKAYGLKQLRTDKSAQPIEPVPKGEPVEFL -KRTFVRNQNGIRALLDRKSLIRQFYYIKGKNTMNWTEPPSEIDISSRSSQLWNVCLFASQHGPEFYSKIE -ELMNLAIEYEGLVIECPSYYEALSRYNAYFNGVDPSAIQMLGSDYAMINDTVFVN - ->YP_009028574.1 polyprotein [Goose calicivirus] -MLALDAFGCHACAAAYVDYMQFCGRHKRKSRPYLFASRLAQADHARACRAFRDEPDPLEEVPPPEFWKSV -RSLEEEHTKCHLCAATYAAYFFRTSGKFDDWKRTLPRAYHLRRHSPTCEVCKGQFELQGAGNSTARTIPR -TRAPGLNRLANELSGPNQRPDADLTARIMGLLADDTSTPAQIIKAVEDSIKSGSGTPPRATLAQVWRNLN -YENAPDRAAAILTAVTLWLADNDALNSAELNNLRPVRNPIARNWVQTLKKAVEGIEQWFVTRSFGAQMRS -VIEVVTQLLQSFAEGNQSIDALLQTIEPIPLMALALTFDGTPLGFATYILGVLQLYGLLDAELIAGCAQA -VVDALSTFASRVFGMLSPFGVQIEAQSTTSIAVAIGAMICVWIIGHLPGEIASELRRAAATATSLLAMIK -IAKLAFDLARRFITTRHVNSLTDRVLDASIEVVKPVSASYAPNRRQQLKNLKQIQDEITSHMVKVDYAVH -LPTLKALNATLTGLIVRLNQIEGQGTAREPPVGVVFCGPPGIGKTTLATWVLDQISPDTVHSNFSMQIDH -SDAYTGEFTCLWDEFDTDPNMSFVEGVIGIFNKTAYPLNCDLAENKGRVWASRVVAMTTNTPTPVSPDST -RAHAFYRRLIFYDVSSPAVDKFMKENPGVDPPASLFKDDFSHLRITRRPYLADIYTPQGDTLDGVRARPI -NCTPKAIIKEIRARLGPEFQAAPPQAIGLIVPDEFAADVRAELLHSFSTNNSFVKLVEVRGALTTADLHN -ARGGHVIATAGAEDPAIKDWYVVTHVNQAANDLNTKFGLCPKLPHEVNHGFRTRLFRTIVHAGALPPSAL -PPQQSFTCKRLGDFLGVLRSVYGPAMLPIIARIASRLEVKSWTAFFASLADITWGYTYHSYVLKTDAGVF -YVYTHDFMSVFSTTDKDHCVDTQSAPPVSSMTIWEMFKSICRSLCKILASHLNTAATATAITYYAQLVRS -TPQTNSRGMVRNFQAGVALSDEEYNTWRDYNTRVDARATVNDFIQARDSLINNAAITTERVAQLARWLQA -RQTNVFEPQSGNYADFCAPCLRADGTRLGWAIHIGNGRWATNTHGIDELASVDGHDFEVLKKGTNDITIL -KAHEIPHAANLGQGPPVRTWDNRPLHNTYEHTVTQCHIPCEGWLCHVNGGTYKGDCGLPYYNSVGQVCGL -HSGFYRGTRQSLISRFKVETPAPTTWRGLPVENSGLMLGPLRKGTSYSRSVAHPKQNVWEDYEPAPYGGA -DPRNCMTQERILANQLTPYVEAPQPLHPIVEEASRYVQRHIASIISFCNVPQLEPFALALKRLDLGTTCG -PFVPGIKRDYFALTPSGPVLKPGTELTRHLDSIMAVAGSGRPITHAYQLALKDELLPKRKIAESRKRLLW -GTDVGLTTLAAMVWGQLLDSLKSVVIASPISVGCQMDSTFVATIVAQIQDRHTLCLDYKKWDSTMHPEVI -NFAVDILCDLCPDTPYRECLRSTLHMHPVGYFMDKKLTALRGLPSGMPATSVINSLCHCIYFTSALWLAE -DQAGIARTRDPLTVNRIWTYGDDCIYAFHPRCAAIMDSFIEALRQLGLTPTAADKTQNFLMDSDITFLKR -DIVPLNNLVVGRLDLHSILRQAVWVHGSMTMDHTLPKLPKDTSARTVQVQEALLALALHGQEIYNTWKHL -FHETIVGEGLLCEVEDWETQMMLYRSRYITADPYSNALLSEGDIQTDCPQNEFEFQNNEKEGSPAQDTTT -TADQSGITVAYTPTQAVVGSAGAPQGESLALATLGAGLPNTLPSGVEGLFIAAARYSWNTTQPTRQIIGT -VKLDPTSNPFLDLLSHMYTGWSGGMFVRVQVSGSGMYGGRVIASILPPDVEVENMTNPTAYPYAIVDARV -PEPVQLYLPDIRRTAYHLVGGKDNVTKMVLSVSSPLINPFGTNTNTTSAVEITVYTTPAPDFTFCLMREP -TSFETKLVDILGESTLGWFSNRVQSQISTWTTASSARQSWNHYAVDGTTKGWGLGAPGNFVEWEIQVPGS -SNFYTTNRIVTWTKTNFDEDVAWPSKIHPYQPDYAQGGGPVTNLSPSSSGNWNRFAGQIYGAAAMFTSGA -GTNSFTDETQVLAVKVIYGKPDVTGLPSSYGFTFSQEMNPDCDFYYIYGSAPRNSTSTNYQRLAGYKMVV -GNSSDQNFRQLFSPDSPTVYAPVGQSFITFGAPTLVDVPTENPSAARNSLFYCTQPMHLSVALRQHGMTM -APDAMAVYRLSSPYYSFEIGIRPDGYIVTGGSANVTLPADTEYTIHFAGYSSLTSRLLGPVAASTASTMG -TSRGAIPRN - ->YP_007111844.1 ORF1 protein [Mink calicivirus] -MAPKATSSTLTKYFSVVDVHKRALRTSTPEITQWVDSKGFHVDFKSWRGVQIFTKLASASLGVKVTGTSA -RGYIQDVQNIALSLSGVTGNADSTPECQNITSSVCAVTGNADCCSQISLALGVTGNADSRLCTLEHYHKV -TGNADFGIVNDWAVQGNDITPENFGTVLRMLINGFTFSVRDALLTNYQQELRAEACPSCDLYDKCPVCNS -KEINDDGTSSSPETIPDWTHHTIESGITNLLSDGLDSMKESDLSNIAAHLRKAFETSSHPANQDMSKDQL -HWLINMAEAGMKRKVDLGNVPMYNSRIQARRDWRNKLFNEPIDKLVQMATTTKDTIMKSAIWTILIEKAS -NAKHYSQDIFDKVKNTIGNFTTDTTNEFFKVIVSSMISHMRYFLIDSPDPITNLPGLILRLKPLNLQMII -ENHVSTLEGWIITLTALAELYGFLDFAINLIPQIVQTFFDLLSTCTQKCYSMIRDLLTSTFKAESLDLKN -PFWYAISAFVVYFVTGFLPNNAKMTAIKQVLGGANTLIAGILAIQKLSAMFASWSNEFVVKDISVRVIGL -TESNNPTVTQDMDAVCNLQSLAEKLREEIKLKTLDPTFQPYLPILRNLLSTVDGVVSSCAKRKAIATQRV -APVAIILTGPPGCGKTTLAYELAKRLSNQKPSILNLSIDHHDAYTGNEVCIIDEFDSNSKVDYVNFVIDM -VNTNPMLLNCDMIENKGKVFSSKYVIMTSNNETPVTSTSTRAPAFYRRVRIIDVTNPQVMQFKYQNPGQP -VPSYLYNANFSHLEMSMRGLGAYSKENVIDPIGRDAKGLPAPQPDRVNVETLVRHMKMNYQQNALTFRTE -SRPKAKVPTFAFICNQESIQTVKKLLQSAQITYNGNFTIAEGPCNTTPVNAGSGSRVFVVTPEEADQIQG -CKKFHVNTAKILRYPELALIEGDNFRTALGVCMSDQDVTDMFYYIHGKSVNDRVSLDKLPANQNVVTVHN -VYDIVWALSKHLSITGKFQAIKALYELLMTPDTLPVALRNWMDSTSFSQDHVVTQFIVPGGTVILESCHG -ARMWATKGRVIRAGGVSATGGPEGGLRFLGTGIRNLPWSEIFREFLNLLSLLWSKIKGATLVLGVLAMYF -RRNRPTAESKGKNKHGRGAVRGAGKGITLSDDEYDEWREYNFDKRNNLTVEDYLQLRNRAAMGSDDAEAV -KFRYWYTMRQMRDQPGYDVDYATIIGKSGARDELVRTQMMKAPRNKKRDNLDDNPFSYYAEAEGKVQHAN -AIIPVTLVDGSRVGHAVHLGHGVCISLKHVLATGDYVLGQKARDVVYDGELAHFRIDTYPKSAAPTGTGK -PVKDPWGNVASTEWKHDVYSTSAGKMYGSIVFTNQKTAPGDCGLPYVDDRGCVVGLHAGSGGEQAPGKKI -VIPYIKRKGYYKDSMKKFWREESPTISHKGLLCQETKDGRRVIGGTVLHVSPAHVDDYQNCSHQPANLGS -SDPRNPISLHSIVVNNLQPYKERTPGPPTQILSRAKKMLIKTLEPFIPKTDEVLGMTEAFFKLNHDTSCG -PYIGGRKKDHINSETKEISKQLLDHLSERWEVASKGLAIPHEYAVGLKDELRPVEKIAEAKRRLIWGCDV -AVATVAAAAFKSVSDSIMAMHEIGFIQVGINMDGPAIETLFKRLYPSGHQRYCVDYSKWDSTQPPNVTSE -SLDILKHFSANHPIVDSAVATLSSPAIAVFDGVSFKTSGGLPSGMPLTSILNSLNHCLLVGCAIIQALEY -RGIDVNWNIYDSIDMFTYGDDGVYIVPPLVDSVMPAVFDNLKTYGLKPTRTDKSNQPIEPVPQGVPVEFL -KRSFVRNENGIRALLDRNSLLRQFYYIKGKNSMNWTEPPSEINVSSRSAQLWNVCLYASQHGPEFYSKVA -DLMNRAIDYEGLVIETPSYLEAVTRYNAYFNGVESNLVQMLSSDQPGLDKTVFEN - ->YP_006347580.1 polyprotein [Bat sapovirus TLC58/HK] -MAALSRVLAPAPPRGGWGKRFRRLPDHYFSCPPCKGWTESRPHLLDIEPAPCWVRVKPPRKRRYVPEGRL -ADLFQPRDSGDTLLRDAWESFLGVPLPSATNPRPLTLAGMRELYVYLTELAPGGDAGPYHAGFNRAQVEA -AIATFEATLPPEMLAPRRQRVEVPPDMLELLYDAKGWDDIPGPGMRDIFRAMWTKLRKGVNDAFIPLAQC -RDWITQNLNPGISVKEAVLAFITGGQNALRGNAAVLAAKVLALLKPTTLAIILSQHRNTTAGWVLTLTAL -VELYAGLGPICEWVVDLLKALLEFAGGVAGCIFDQFTTWYGRLTQSEGPAGYAAVGAGLIGLIYLWYAGR -LPGTSATTRLLKACGAVTTGVGAVRAVKWIIDMFREQHQAGMVRMFMARTAALLDCIEENPSPNSEEARG -WLSSLEVIAGEGEEMLLLLGASPLAGMVRSMCERVQGAASAMRAHLALNTTRKQPTMVVFGGPPGIGKTT -LCHAIAAKMGLPTSTFSLLNDHHDTYTGNPVAIWDEFDTDKNGDFVEMVVSLVNTQPCPLDCDRIENKGR -VFTSSIILATTNYATPVLPEHPRSEAFWRRIMYVDVKCADYEKWVRDNPGKPAPPTLFKSDFSHLQMTIR -PFMGVDDQGTLLDGRTARGTSVTLSGIVRRLNKSYKAEGGPPKRHLWVQVPHDQLEQSAGALRGWRVWAC -APCEVVVSPESCRVVNGSGLNTIFVASVPPTVEQMEFCLHVPTKGMANIQPNMSRAFDSSMWGSPLDLFV -HNVSVSSSTMRDIVFGVSGATVHVWDDPKTMPVIPVTRTVVAAGLPAVVAGIWRHVCWRSAPGAVRAMRA -AWSGGIDNFIDCFRALAGTRFTANPVSTLFRTPGGDISLYTYKEGMYVCATPGRVPVVGGGDFPNLGTSI -PDNTSWWDLLSLFLDVLVTNYLPLILVGVTMYNVTTLMRRGQGHAEAKGKTKHGRGHALRDSEYEEYKDL -MRDWRRDLTVAEFREIRDRALAGGNDAASQRYRAWLDVRELRMQNNAYRYDVVDVIGRQGHTVQAVRQDL -IRAPRKPLRDDYEDAYCMEGAGSPLVPVITGGVRVGWACHVGNGRFVTCAHLLDGTTTVADDVPRDVVIH -QDTAQFRTNFNGPAYQLGEGLPEYFSGQRLPVRVIGEGVFETSTTTVDGWQYSVVAGVDTCPGDCGLPLF -NARHQLVAIHAASSVDRSVKLANRVFGVKGTANTFAWKGLQVKESGRNVGGMPTGTRYGRSPAHPKQQEG -ETYEPAPLGAGDPRYTHSQVEMLVNGLRPYQETPPAAFDLNILRAAVNHCRGYIHSVIGNHRSPNLPFNL -AAGSMDRATAAGPFVAGLKGDYWDEDAGRYVGALGDHIERTWDGAARGAPPCNAYKLALKDELRPIEKNQ -QGKRRLLWGADAGLTCCAAAVFTGVANRLKEVVPLTPVCVGINMDSAQVEVVHRALQDRTVYNVDYTKWD -STMQPAIIAEAIQIMCDLSEDTPMTTAVGNALKSPALGFFEDIVFTTRTGLPSGMPFTSQINSLCHMILI -AYCILKVYHTAEVAYPGNIFNGETIFTYGDDGLYGFTRATASVFEHIIEVMRSVGLQPTAPDKSPEIKPV -TGDPVFLKRSIHRYADGRVRALIDPTSLTRQCYWVKGPRHRDPREVTYPDPVIRGVQLDNVLIAASQHPM -DVWEPIYQLVIKTAAGERAPLSILDRHQANAAYIMWYSGATSGGDAASDEAGDKIVFVMEGDRAPAPSTA -PAVDGVVGPNDSALVPTGGPAPSAAAQVAQVAAATGAVSTNVPPDIGMTFVMLTQVTWTSRQPQGTLLGS -LQLGPGINPYIAHMARMYTVWSGGFEVRLTVAGSGIFAGRVMAALVPPGISPNEVRNPGAFPHNILDART -TSPITMVIPDVRPGDYHGPGDNTPTTSIGLWVFNPLINPFAGGSATITSVTITVETRPLPEFTLAILKPP -DQETVDGAHPNGLLPRRLGRSRGNRVGGVVTALSVVSIVDQVNHHWNASGATYGWSVGPPAPLVCNVDNG -TYTTGYLNIDADSKGPIMPNIPNNWPDFCVSSIDHTGTTVGQWNQGGVVGNINFFDDNWDVTEGVVANGM -TFCGTFAGGSAVVVTLEKEINAANMGIALEVKAGGTATSAVSNVIFTPMWQTTSVSRSPPVVPMYGVRRS -YGPIGPNHPVVWHEHLLRDHPTLASVTCSQLAHTSDVLESGPVNVPSGQMAVFEVNSNGNTFQLGIASSG -VAYTGGTPGTVVDLDPDTTFTFTGLFTINTALMGPAGAAGFRHG - ->YP_002364399.1 polyprotein [Rabbit calicivirus Australia 1 MIC-07] -MAVLSRLTGEMTAILPAKKPLSFFLDLRDKTPPCCIRATGRLAWPVFLESNVEEGSLETCDKCGKLLNGF -GVFGLEDLGNVCLCSIVHQKHKFGPTCLCNRMYIHGCGRWRRRSRYLKHYKALNKVIPCAYDVTCPVFEG -EVDDLFTELGAPTTMGFIEKEWWDEGRKLMDKFVDVCEPCLTSEDARLLDSIASDSNIRARLENEYGVDA -VKAARDRKDFMRTLRLALDNRPANPVTWYTKLGKITDKGKQWARKVVHGASKVIDPLKTLASILLVGLHN -AVAVDTTTMLSTFKPVNLLAILMDWTNDLTGFITTLVRLLELYGVVQVTVNLIIEGVKTFWDKVICATER -CFDLLRRLFDTFEDSVPTGPTAGCLIFMAFVFSTIVGYLPNNSVITTFMKGAGKLTTFAGVIGAIRTLWI -TINQHMVAKDLTSIQQKVMTVVKMANEAATLEQLEIVSCLCSDLENTLTNRSTLPSYNQHLGVLNASQKV -VSDLHTMILGKINMTKQRPQPVAVIFKGAPGVGKTYLVHRLARDLGCQHPSTINFGLDHYDSYTGEEVAI -ADEFNTSGDGESWVELFIQMVNTNPCPLNCDKVENKNKVFNSKYLFCTTNSNMVLNATHPRAGAFYRRVI -IVEVRNKAVESWQATRHGSKPGKSCYNKDMSHLTFLVYPHNMPAPGFVFVGEKLAKTQVAPREYSYPHLL -DMIKNEHPDASFEGANKFNFIYPDAQYEQALLMWKQYFIMYGCVAKLAKSFVDNIPYNQIHISRKSDPKI -PNCVEHQCELKHIWRMVPQFVLGCVNMTNQLGTPLTQQQLDRVVNGVEGVTVSTVDNILPFHSQTTLINP -SFIKLIWAVRKHLKGLSGITKVAKFIWHVMSNPINAYDTLVRTLTGAATFSDDPVSTTIICSNCTIQIHS -CGGLLVRYSRDPVPTASDNVDRGDQGVDVFTDPNLISGFSWRQIAHLFVEVLSHLCANHLVNLATMAALG -AVATKALQGVKGKTKHGRGARVNLGNDEYDEWQAARREFKNAHDMTAEEYLEMKNRAAMGSDDQDSIMFR -SWWTRRQLRPDEDQVTIVGKGGVRNEVIRTRARQAPKGRKTLDDGGFYDNDYEGLPGFMRHNGSGWMIHI -GNGMYISNTHTARSSCSDIVTCSPTTDLCLVKGEVIRSVAQIAEGTPVCDWKKSPISTYGIKKTLSDSTK -IDVLAYDGTTQTTHGDCGLPLYDECGKIVAIHTGKLLGFSKMCTLIDLTVTKGVYETANFFCGDPINYRG -ITANRLVGAEPRPPVSGTRYARVPGVDDKYKTGYRPANLGRGDPDSNCTLMNIAVQSLQVYQQDPKLDKV -DEFIERAAADVIGFLRFLTKGQRQENLPFKAAFNTLDMSTSCGPFVPGKKIDHINDGIMDQTLSKHLYKC -WSVANSGKALHHVYTCGLKDELRPLDKVRVGKKRLLWGCDVGVALCAASVFHNICYKLKMVARFGPIAVG -IDMTSRDVDVMMNNLTSKASDFLCLDYSKWDSTMSPCVIRLAIDILADCCEQTELTKSVVLTLKSHPMTI -LDAMIIQTKRGLPSGMPFTSVINSICHWLLWSAAVYKACAEIGLHCSNLYEDAPFYTYGDDGVYTMTPMM -VSLLPAIIENLRDYGLSPTAADKTEHIDVCPLKDVAFLKRHFKMTDIGWVSLLDKSSILRQLEWCKTSKR -HMTLEETHDLAKEERGVQLEELQVVAAAHGKEFFDFIKPELKRQQAYTKFNVYDYEAAWKILADRKRVVS -VVPDDDFVNVMEGKARATPQGDTAGTATTASVPGTTTDGMDPGVVATTSVVTAENASTSVATAGIGGPPQ -QVDQQETWRTNFYYNDVFTWSVTDAPGSILYTAQHSPQNNPFTTVLSQMYAGWAGGMQFRFIVAGSGVFG -GRLVGAIIPPGIEIEPGLEVRQFPHVVIDARSLEPVTITMPDLRPNMYHPTGDPGLVPTLVVSVYNNLIN -PFGGTTNAIQVTVETKPSEDFEFVMVRAPSSKTVDSVSPAGLLTTPVLTGVGTDNRWNGQIVGLQPVPGG -FSTCNRHWNLNGSTFGWSSPRFTDIDHPRGSASYPGSSGTNVLEFWYAQTGTATDNPISQIAPDGFPDMS -FVPFNGTTVPTGGWVGFGEVWNSANGSPYVGTVQAYELGFTTGAPNNIQPTTSTSGAQVVAKSIYGVANG -QNQGTAGLFILASGIISTPNGPAATTYTPQPNRIVNAPGTPAAAPVGRNVPIMFASVIRRTGDINAGAGS -ANGTQYGTGSQPLPVTIGLSLNNYSSALTPGQFFVWQLNFTSGFMEIGLSVDGYFYAGTGSLTTLIDLSE -LIDIRPVGPRPSTSTLVYNLGGATNGFSYV - ->YP_002004564.1 non-structural polyprotein [Steller sea lion vesivirus] -MAQTLSKISNKEDSSLGQWPRRFKPHQPIPTWMVRCGLPFHDLRRGREPVRASPQAKRVRTPTPYPSHLK -PAASAAVRGGTNPSHLKPAVHAVVRSGTNPCCEVKDGGVVRSCKNCNLKPAHESKAVSFSLPSTDGPHGN -EPEFIAEACPSCVLYDTCPNCTSKAINDDGSTDGTIPSWDQIETTPAFLSLLSNTDEEMSADELTNLAAH -LRKAFETGSHPANVDYSKDQLQGLLEMAEAALPPARRQTLPFYQQRLEARRTWREKIFNQPIDEINKILT -TSKDRFQRCAAWKVVLEKAILAKEYGEEALQYAIQALKSINSFDVNLVLKMAVATFIDHIRLMTVDNPDL -VSYIPKLIVKLKPLTLKMIIDNHENTKEGWLITLTSLAELYGMVEVAIDFVPTVVGNMFDLLMKTTSKMY -SMFKSIVLATFTSESLDFTNPFWYAIAAILCFLITGAIPHNGKMKIIKNILSNATGVVAGIKAIQSLAAM -FSTWSNERLVNDLSSRVIAITELNNPTITADIDAVINLQRLAEVLRDEVKSHTLNPLMQPYTPILRNIMS -ALDNVISCCTRRKAIAVKRAAPVAVILTGPPGCGKTTAAFALAKRLSQQKPSIISLDVDHHDTYTGNEVC -IIDEFDSSDKVDYANFVVNMVNTNPMVLNCDLIENKGKTFTSKYVIMTSNTETPVKPTSKRAGAFYRRVM -IVDVTNNAVEKWKADNLGKPVPKWCFNKDFTHLISHSRGTEAYCKEYVLDPTGRNHQSRRAPLPHNITLE -QLAQKMVVLHTTNTSEFVTQAGDVPVFGFVCQNNEIDTVYNTACCRARPGMAQISICIKGMVRTAHENSG -CGAHVHVISREDNFRGKAFTVNRSRLESVPHLEGDSFRRSLGVVMSDKDVTTMFYYIKGKVINDQVNLTE -LPANQHVVTVHNVYDMAWALRRHLKWSGQWQLIKAAYEIMCYPDLAACALRNWMDSTDFSEDHVVTQFVA -PGGTIILESCYGARMWATGQRLIRAGGITEAGGPQGGVRFAGLGARNVPWSEILREFMTLISHIWSQIKG -ATVVLTALTLYLKRFRPRIEAKGKNKNKGPRKNTGVALTDDEYDEWRQYKTEKKLDLTVEDFLQLRHRAA -MGADDSDAVKFRFWYSERQRNYHDLEDVTIIGRGGVKRELIRKGPLRPRGNDYYDEPDDWYSEGVIDGVT -HKNAIVSVDDVDGMHKGYAIHIGHGVYISLKHVVTGNAKILSEDPKDLKINGELATFRLNNILPTAVPVG -TSKPIKDPWGNPVSTDWQFKNYNTTSGNIYGACGSSCSLTRQGDCGLPYVDDHGVVVGLHAGSGGDKCPS -RKLIVPYVKVDMRIRDTCTKEFFKDNKPTISYKGLLVKETGDPRTIMKGTRLHVSPAHVDDYEECTHQPA -SLGAGDPRCPISLTGIMVNNLQPYTEASPGPDTATLNRVSKMLISHMEGYVPKIHKTEEDMISAFYMLNH -DTSCGPYIGGRKKDHVQGWRVGQKPSWTSLAQSGIRAKLGLALPHEYALGLKDELRPKDKVAVGKRRLIW -GCDVGVSTVCAAAFKRVSESIMANHALGFIQVGINMDGPAVEDLFKRLERSKHDRYCVDYSKWDSTQPPK -VTSQSIDILRHFTDKSPIVDSACATLKSNPIGIFNGVAFKVAGGLPSGMPLTSIINSLNHCLMIGSAVVK -ALEDSGIQVTWNIFDSMDLFTYGDDGVYIVPPLISSVMPKVFAYLRQFGLKPTRTDKTDAEITPIPADEP -VEFLKRTIVRTENGIRALLDKSSIIRQFYYIKAENTENWTTPPKKIDTSSRGQQLYNACLYASQHGEEFY -TPKVVPLIKRAIEYEGLHIEVPEFHQAVAAYNGYFNGTEDQPNQIAFASGGIGLSGEVFEN - ->YP_873922.1 non-structural polyprotein [Rabbit vesivirus] -MAQTLSKISNKENASSGLWPKRFKPHQPIPTWMVRCGLLNHDSCRGREPVRAPPQAKRVRTPTPYPRHLK -PTAPVVVRSSNNPPHLKPASTDVVRSGPETLCCEAKDGGVVRSCKTCNLKPAHDSKAVSFSLPQTDGSTG -NEPEFIAEACPSCALYDTCPNCTSKVINDDGSTDGTIPSWDQIETTPAFLSLLSNTDEEMSADELTNLAA -HLRKAFETGSHPANTDYSKDQLQGLLEMAEAALPPARRQTLPFYQQRLEARRTWREKIFNAPLDEINKVL -TTSKDRFQRCAAWKVILEKAVLAKEYGGEAFTYAQQALKNVNSFDVNLVLKMAVASLIDHLRMMTIDNPD -LVSYIPKLVVKLKPLTLKMIIDNHENTKEGWLVTLTSLAELYGLVEVSIDFVPVVVGNLFDLLMKTTSKV -YSMFKSVILATFTSESLDFTNPFWYAIAAILCFLITGAIPHNGKMKVIKNILSNATSIVAGVKAIQTLAA -MFSTWSNERLVNDLSSRTIALTELNNPTITADIDAVINLQRLAEILRDEVKSHTLNPLMQPYTPILRNLM -SALDNVISCCTRRKAIATKRTAPVAVILTGPPGCGKTTAAFALAKRLSQQKPSIISLDVDHHDTYTGNEV -CIIDEFDSSDKIDYANFVVNMVNTNPMVLNCDLIENKGKTFTSKYVIMTSNTETPVKPTSRRAGAFYRRV -MIVDVTNNAVEKWKSDNPGKAVPKWCFSKDFSHLSLSLRGTEAYSKEYVLDPSGRNHQSRRAPPAQQITL -EQLAQKMVVQHTTNASEFVTQADEVPVLGFVCQNNEIDTVYNLLAAVKARYGANFNLHKGMVRTVHENSG -CGAHVHVVSREDDFKGKAFTVNRSRLESIPHLEGDSFRRSLGVVMSDKDVTTMFYYIKGKVINDQVNLTE -LPANQHIVTVHTVYDMARALRRHLKWSGQWQLIKAAYEIMCYPDTAACALRNWMDSTNFSEDHVVTQFIS -PGGTIILESCYGARMWATGQRLIRAGGLTEAGGPQGGVRFAGLGARNVPWSEILREFMTLISHIWSQIKG -ATVVLTALTFYLKRFKPRTEAKGKNKNKGARKNTGVALTDDEYDEWRQYKTEKKLDLTVEDFLQLRHRAA -IGADDTDAVKFRYWYSERQRNYHELDDVTIIGRGGVRRELIRKGPLRPRGNDFYDEPDDWYSEGVIDGVA -HKNAIVSVDDVDGMHKGYAIHIGHGVYISLKHVMAGNARILSEEPKNLTINGELATFRLNSVLPTAVPVG -TSKPIKDPWGNPVSTDWQFKNYNTTSGNIYGACGSSCSLTRQGDCGLPYVDDHGVVVGLHAGSGGDKCPS -RKLIVPYVKVDMRIRDTCTKEYFKDNKPTISYKGLLVKETGEPRTIMKGTRLHVSPAHVDDYEECTHQPA -SLGACDPRCPISLTGIMVNNLQPYTEASPGPDTATLNRVSKMLTSHMEGYVPKAHKTEEDMISAFYMLNH -DTSCGPYIGGRKKDHVKDGVLDKNLLDLLSSKWNRAKLGLALPHEYALGLKDELRPKDKVAIGKRRLIWG -CDVGVSTVCAAAFKRVSESIMANHALGFIQVGINMDGPAVEDLFKRLERPKHDRYCVDYSKWDSTQPPKV -ISQSIDILRHFTDKSPIVDSACATLKSNPIGIFNGVAFKVAGGLPSGMPLTSIINSLNHCLMVGSAVVKA -LEDSGVQVTWNIFDSMDLFTYGDDGVYIVPPLISSVMPKVFANLKQFGLKPTRTDKTDAEITPIPTDEPV -EFLKRTIVRTENGIRALLDRSSIIRQFYYIKAENTENWTTPPKKIDTSSRGQQLYNACLYASQHGEEFYT -NKIIPLIERAIEFEGLHIEVPEFHQAVAAYNGYFNGTEGQPNQIAFASGGIGLSGEVFEN - ->YP_529550.1 polyprotein [Newbury agent 1] -MAPVVSRDRHRHKIPKPHQPAPPHRCTVWCPEDCGWYVGRCSCPKSCQREGWDDFFVADKVKPPSYVASK -TSVADVVDWLLEEDPATDGPSEFDLTQFFQAYTDKSHQIHRDYAPDQLAQALDMAYILSVDPPDIKLPEY -EATRFTHDTSYKGKLPRWLRVYGFKSRELAKKAITNIKGGAHWAKGLFKQAWDTLPGWSEVEAYFKAFFA -GIITGVEDALSKSPSSVWTSLKLTPLLYIWRNINECSDIPVILGAFWATLELYNIPSKVYDLVSTALGPM -VQDLARRVINIIKGDGNGPKQEGGRPSFSIPGVLLATFLSAIILGSMPSDGIIKKVLRGCATAAGLVGGF -NAVKSIITTVQGASACKDVKKLASQLMCVTTMAATVSTRGERQVLASMLNDLNESVRERLVDPAFAALVP -QLSAMSSKIMELSTINAAALSAARKRVPAKIVVLCGPPGHGKSVAAHKLAKMLNPNEPSIWNPFSDHHDE -YTAEDVVIIDETPAEPGQWVEDLIAMGSNSPFVPNYDRVENKTRCFDSKYVLITTNHNPLINPTHSRAAA -LARRLTWVYVNSPDVADFLRQHPGVAPPATLFKADCSHLNFDIHPYNSIGTTAVVGHNGTTPLPRAKRTS -LEGLCKHIKDLPDREGPPDGVPERMVLVAPDKGTARFVEAVINTYHNSGLVAQPAAWDTTPQKYQLAVTW -QGSTSSVVGQRWDCNPQTPFVAPHFTRNMFKRVLGTEVPEYHLLAYACRITSSSLGDKSLPVPNPTVVIN -DPSPTRLALALMRHLKNPIASGLRVVWDLFRGCATGPKRLFTWALSQEWNPMPVTTAFTFPAGTVILHTA -GGVRVVVLPPGPQFGLTEVTHLADHSGQDDPVVPDMFGQTWTELLWRLLKVIGTFLANYGVAIAGLTLSI -AAFKTANKSTRNDRQGWLSGSGVALSDEEYDEWMKYSKKKGKKINADEFLQLRHRAAMGNDDDDARDYRS -FYTAYQLGREGNNCEDLPLHPAVGPTTGGGYYVHIGNGVGITLKHVASGEDVIKELGNDLVKIRARHHKM -GDPAMVVGEGAPVKFVTGHLVVDARNESVVFDQTRLSVVRVKVPGLETQRGYCGLPYVNSAGHVVGLHQG -SYGVGDKVFTPITDAPAASPDTIMWRGLECTRSDIVTHLPHGTKYSISPGMREEAHKCTHQPASLGRNDP -RCNQTQVAMVVKALTPYTSAPAIEKLDPCMVAAITEVRTAIQSLTPKGGFRPLTFAAAWQSLDLSTSAGA -LAPGKTKRDLCDPDTGMPAGKYREMLLAAWSRAGTGTPLDHTYIVALKDELRPVEKVAEGKRRLIWGADA -RVALIASAALTPVANALKTVTNLLPIQVGVDPSSANCVSSWVGRLQRHDHCLELDYSKWDSTMSPVIINI -AIDILCNTCGSDSLRMAVAQTLKSRPTALVEGVSVPTKSGLPSGMPFTSQINSIVHWILWSATVRKCSLP -LHIGSVNELAPFLTYGDDGLYTIPSHLTKSIDEIISTLKGYGLSPTAPDKGANVEIKRTSFTYLSGPVFL -KRRIVLTPGGHRALLDLTSLARQPVWVNGPRRSVWNHEAQPIEIDAETRTIQLQNVLIELAWHQPQDFDH -VLALVVKSAEASGLTIPRYSQEEARAIYDGRYYGIQHVSLPNNSDLIREGNMSDNKSTPEQQHESSRAMD -AGATGAAAAAPAPPVAAAPASGLVGALVAEPQSGPSAEQWRTAYTLFGTVSWNANAGPGTILTVGRLGPG -MNPYTQHIAAMYGGWAGGMDIRITIAGSGFIGGTLAVAAIPPGVDPESVNVLRMPHVLIDARGGVPLEVT -LEDIRTSLYHPMGDTNTASLVIAVMTGLINPLGTDTLSVTVQLETRPGRDWVFFSLLPPTAGVASADPSQ -LLTRVALATSPEVRFGTGVLGILGLPSNPSVNRVYDVQSRTRGWSFPIPSSSVFMGDARNVEHNRRVMVQ -SSAPNNPLSDVFPDGFPDFVPQSDTEPDGGAVIAGQVLPHPGDNDNFWRLTPVVRGNTTAAINTIPERFN -QVYFINLADEEAVSAATEELRFNGIQGIFGQRTNARAVQVMQGYVPRAEHIIRPAGFAGVGPQGPNVPIG -FAGTMPNFNATASGADDLVPVWGPTLVHTASLLAGTTYELAENSMYVFSVSTSTSTFELGMLANGTWLGP -AQLAGTGITWTEVLSVTYMGMRFAYNPLSGQGIGGESRRL - ->YP_213937.1 polyprotein [Calicivirus isolate TCG] -MAPVVSRDQYKLKTPKPHQPSPPHRCTTKCLEDCGWFVGRCSCPSVCQREGWDDFFVADRVKPPSYVASK -TSVADVVDWLLEEDPATDGPSEFDLTQFFQAYTDKSHQIHRDYAPDQLAQALDMAYILSVDPPDIKLPEY -EASRFTHDTSYKGKLPRWLRVYGIKSRELAKKAVTNIRGGAHWAKGLFKQAWDSLPGWSEVEAYFKAFFA -GIITGVEDALSKSPSSVWTSLKLTPLLYIWRNINECSDIAVILGAFWATLELYNIPSKVYDLVSTAFSPM -VQELARKVINVVKGDGSGPKQEGGRPSFSIPGVLLATFLSAIILGSMPSDGFIKKILRGCATAAGLVGGF -NAVKSIITTVQGASACKDVKKLASQLMCVTTMAATVSTRGERQVLASMLNDLNESVRERLVDPAYASLVP -QLSAMSNKIVELSTMNASALSAARKRIPAKIVVLCGPPGHGKSVAAHKLAKMLNPNEPSIWNPFSDHHDE -YTAEEVMVIDETPAEPGQWVEDLIAMGSNSPFVPNYDRVENKTRCFDSKYVIITTNHNPLINPTHTRAAA -LARRLTLVYVNSPDVADFLRQHPGVPPPATLFKADCSHLHFDIHPYNSIGTTAIVGHNGTTPVPRAKRVT -LEGLCKHVKDMPDREGPPDGVPERMVLVAPDKGTARFVEAVINTYHNSGLVAQPAAWDTTPQPYQLAVTW -QGSNSTVTGQRWDCNPQTPFVAPHFTRNMFKRVLGTEVPEYHLLAYACRITSSSLGDKSLPVPNPTVVIN -DPSPTRLALALMRHLKNPIASGLRVIWDLFRGCATGPKRLFTWALSQEWNPMPVTTAFTFPAGTVILHTA -GGVRVVVLPPGPQFGLTEVARLADHSGQDDPVVPDMFGATWTELLWRLLKVIGTFLANYGVAIAGLTLSI -AAFKTANKSTRNDRQGWLSGSGVALSDEEYDEWMKYSKKKGKKINADEFLQLRHRAAMGNDDDDARDYRS -FYTAYQLGREGNNCDDTPLHPAVGPTTGGGYYVHIGNGVGITLKHVASGEDIIKELGNDLVKIRTKHHKV -GDPAMVVGDGTPVKFVTGHLVVDTRSETVVFDQTRLSVMRVKVPGLETRRGYCGLPYVNSAGQVVGLHQG -SYGVGDKVITPIAPEPTVSPDTIMWRGLECTRSDIVTHLPHGTKYSVSPGMKEEAAKCSHQPAPLGRNDP -RCGQTQVAMVVKALSPYTGNPAVEKLDGCLVAAISEVRTAIQSLTPKGGFRPLTFAAAWQSLDLSTSAGA -LAPGKTKRDLCDPDTGMPTGKYKEELLRAWSRAGTGTALDHTYIVALKDELRPVEKVAEGKRRLIWGADA -RVALIASAALSPIANALKTVTNLFPVQVGVDPSSASCVSAWIGRLNRHEHCLELDYSKWDSTMSPVLINI -AIDILCNTCASDGLRVAVSQTLKTRPTALVEGVAVPTKSGLPSGMPFTSQINSIVHWILWSATVRKCSLP -LNIGSVNELAPFLTYGDDGLYTIPSHLTKSIDEIVSTLKGYGLSPTAPDKGMNIEIKKVSFTYVSGPVFL -KRRIVLTPGGHRALLDLTSLARQPVWVNGPRRSVWDHEAQPIEIDSEVRTIQLQNVLIELAWHQPRDFDQ -VAALVYKSAEASGITIPRYSLEEARAIYDGRFYGIQHVSMPCNSDLIREGNMSDNKSVPEQHNESSRAMD -AGATGAAAAAPAPPVAAAPASGLVGALVAEPQSGPSTEQWRTAYTLFGTVSWNANAGPGTILTVGRLGPG -MNPYTNHIAAMYGGWAGGMDIRITIAGSGFIGGTLAVAAIPPGVDPESVNVLRMPHVLIDARGGIPLEVT -LEDIRTSLYHPMGDANTASLVIAVMTGLINPLGTDTLSVTVQLETRPGRDWVFFSLLPPTAGVASADPSQ -LLTRVALATSPEVRFGTGVLGILGLPSNPSVNRVYDVQSRTRGWSFPIPSASVFMGDATNVEVNRRVMAQ -SSAPNNPLSDVFPDGFPDFIPQSVTGPDGGAVIAGQVLPHPSDNDNFWRLTPVVRGNTTAAINTIPERFS -QVYFINLINEDAVSTATEEVRFNGIQGIFGQQSTSRAVQVMQGYVPQADHVIRPAGFAGVGPQGPNVPIG -FAGTMPNFNATTGGADDLVPVWGPTLVHTASLLAGTSYELAENSMYVFSVSTSNSTFELGMLANGTWLGP -AQLAGTGITWTEVISVTYMGMRFAYNPLSGQGIGGESRRL - ->YP_164336.1 ORF1 protein [Sapovirus C12] -MASKPFYPIEFNPRVELQVLRSAHLRVGGREQMFETINDLNDHVRGVVAGLWCKHLHRSLATAPTFTEEG -LLDSFLSKPPVDINPDTTFRELFGVDPHEQFPLSIHDLAKLQGELVDAARNPGHVLRRHYSTDSLTALIN -KITKYVPVHATLQEMQARRAFERERAELFKELPHADLDVSRQQKSYFYAMWRQVVKKGKEFFIPLVKCTS -WRKKFTEPAEIVRQVLVHFCEGMRSQFSTNVNYINLSLIAKLRPTVLTMILQQHKNTYRGWLATVTALVE -VYSNLFQDMRDTAVSAVSAITLVFETIKDFIVNVIDLVKSTFQSQGPTPCGWAAIVAGAVLILMKLSGCS -NTTSYWHRLLKVCGGVTTIAAAARAVVWVRDIIAEADGKARLKKYMARTAALLELAASRDVTGTDELKXL -LDCFTQLIEEGTELIQEFGTSPLAGLTRSYVSELESTANSIRSTILLDTPRKAPVAIILTGPPGIGKTRL -AQHLAAGFGKVSNFSVTLDHHDSYTGNEVAIWDEFDVDTQGKFVETMIGIVNTAPYPLNCDRVENKGKVF -TSDYIICTSNYPTSVLPDNPRAGAFYRRVTTIDVSSPTIEDWKKKNPGKKPPPDLYKNDFTHLRLSIRPF -LGYNPEGDTLDGVRVKPVLTSVDGLSRLMETKFKEQGNEHRNLWITCPRDLVAPATSGLKAYMAANRALA -QVFQEPSSQDIGETCTSRVYVSCNNPPPTYSGRVVKITAINPWDASLANSMLSMFETTSHIPASTQREIM -YRVWDPLVHLQTREPNTQMLPYINRVVPVSSAFDFIRGLRHHLGLCSVKGMWRAYQGWNSSSSILEFLSK -HMADVTFPHNPECTVFRAPDGDVIFYTFGSYACFVSPARVPFVGDPPKTVHSNITRNMTWAETLRLLAET -ITESLVHFGPFLLMMHNVSYLATRSGREEEAKGKTKHGRGAKHARRGGVSLSDDEYDEWRDLVRDWRQDM -TVGEFVELRERYALGMDSEDVQRYRAWLELRAMRMGAGAYQHATIIGRGGIQDTIVRTQPMRAPRAPRNQ -GYDEEAPTPIVTFTSGGDHIGYGCHMGNGVVVTVTHVASASDQVEGQEFTIRKTEGETTWVNTNLGHLPH -YQIGDGAPVYYSARLHPVTTLAEGTYETPNITVQGYHLRILNGYPTKRGDCGTPYFDSCRRLVGLHAATS -TNGETKLAQRVTKTSKVENAFAWKGLAVVRGPDCGGMPTGTRYHRSPAWPNPVEGETHAPAPFGSGDERY -KFSQVEMLVNGLKPYSEPTPGVPPALLQRAATHTRTYLETIIGTHRSPNLSFNEACSLLEKSTSCGPFVA -GQKGDYWDEDKQCYTGVLAEHLAKAWDAANRGVAPQNAYKLALKDELRPIEKNAQGKRRLLWGCDAGATL -VATAAFKGVATRLQAVAPMTPVGVGINMDSYQVEVLNESLKGGVLYCLDYSKWDSTQHPAVTAASLGILE -RLSEATPITTSAVELLSSPARGHLNDIVFITKSGLPSGMPFTSVINSLNHMTYFAAAVLKAYEQHGAPYT -GNVFQVETVHTYGDDCLYSVCPATASIFQTVLANLTSFGLKPTAADKSETIAPTHTPVFLKRTLTCTPRG -VRGLLDITSIKRQFLWIKANRTVDINSPPAYDRDARSIQLENALAYASQHGHTVFEEVAELARHTAKAEG -LVLTNVNYDQALATYESWFIGGTGLVQGSPSEETTKLVFEMEGVPRPEGPKANSNENVPLASPQDTIGPN -AALLLPTQIETPNGAAQRVEMAAATGAVSNNVPMCVRECFASVTTLPWTTRQASNTFLGAIHLGPRINPY -TAHLSAMFAGWGGSFQIRVTLSGSGLYAGRAVVAVLPPGVNPANVQNPGVFPHAFIDARTVDPILINLPD -IRAVDYHRVDGDEQTATVGLWVAQPLINPFQTGSISTCWLTFETRPGPDFDFCLLKAPEQEMDNGISPAN -LLPRRLGRSRGNRLGGRVVGLVVVAVAEQVNHHFAANSTTLGWSTLPIEPIAGAISWYQNTTPGISTRGL -LSAEGKGIIFPNIVNHWTDVALSSKTSGRTTVPTDQANLNQCPGASGPVVMFQNNGDVNETSANNCVLTA -ASHDFVNLSSNFDAAGMWVWLPWTTTKPDATINRNVYITPTWINGDPSRPIHGKCTNMVGTNFQFGGTGT -NNIMLWQEQHFTSFPGAAEVYCSQLESTAEMFQNNVVNIPANQMAVFNVETAGNTFQIAIMPNGYCVTNA -AVGTHQLLDYETSFRFVGLFPQSTSLQGPNGNAGRAVRFLE - ->YP_077278.1 polyprotein [Sapovirus Hu/Dresden/pJG-Sap01/DE] -MVSKPFKPIVLNATFEWQVFKRCYLRVAPREAFCENLSELHHYFARRVNAWLKHATRTLPDGYTFVEEGL -LDMFGTKAPDSVQEGTLFRELFGVDQTEQFPLSLADLAKLQGELVDATRTPGHALRQKYTMTTIQDLINK -ITKVVPVQATLTEMHARRQFERERADLFHELPLVDEDAVSQPKTYFYTMWRQVVKKGKAYFCPLVKTSAW -RTKISAITEPIKDFLIAFCQAVQQEMGVNPQYLQLAWLQKLKPTTLTIILQQHKYTVSGWLATMTALVEV -YSNLFDDLRKSSVAIVSSIGAFFDICKDFVSQVVELVKTTFTAQGPTDLGWAAVLAGAAMILLKMSGCPG -VIGMWTKVLKICGGITTITAAARGVRWLKDLYEEAEGRRLAKMYMARGAALIELAASREVTGIDELKGLL -DCFTILIEEGTELIHKFGTSPLAGLVRTYVSELETQANNIRSTIKLDTPRRVPVVIILTGAPGIGKTRLA -QYVGQRFGKTSNFSVAVDHHDGYTGNTVCIWDEFDVDSKGAFVETMIGIANTAPFPLNCDRVENKGRVFT -SDYVICTSNYPTSVIPDNPRAAAFYRRVLTVDVSAPDLEEWKKRNPGKRPTPDLYQDDFSHLKLMLRPYL -GYNPDGDTLEGPRVAPTQISIAGLITLMERRFKEQAGPLQNLWLQVPKTLVEQSTNMVKAFMYANRAVCD -VIPNPATRDIAETALTKIFVCGTAPPPEFVGKHIVITGIEVGDASIANSLLSMFTTTTRLSAAAQREYMY -RVWSPLIHIQDRSINTQNLPYINRVIPVTSHWDFLRGLRHHLGFTSIPGMWKAFQGWRTSQGIVDFVAHH -MADVTFPSNPECTIFRTPDADVVFYTFGSYVCFATPARVPYVGTPPTTIHSNTPRCMTWGETIALLCEVV -AEFVLHFGPVILSAANIAYLMTRGSRTEEAKGKTKHGRGMRHGHRAGVSLSDDEYDEWRDLMRDWRRDMS -VNDFLMLRERSALGVDDEDEARYRAWLEIRAMRMAGGAYTHATIIGRGGVRDEIIRTAPRRAPTRPQQHY -EEEAPTAIVEFTQGGDHIGYGVHIGNGNVITVTHVASTSDEVNGSAFKITRTVGETTWVQGPFSQLPHMQ -IGSGSPVYFTTRLHPVFTISEGTFETPNITVNGFHVRIMNGYPTKKGDCGLPYFNSNRQLVALHAGTDTQ -GETKVAQRVVKEVTTQDEFQWKGLPVVKSGLDVGGMPTGTRYHRSPAWPEEQPGETHAPAPFGAGDKRYT -FSQTEMLVNGLKPYTEPTAGVPPQLLSRAVTHVRSYIETIIGTHRSPVLTYHQACELLERTTSCGPFVQG -LKGDYWDEEQQQYTGVLANHLEQAWDKANKGIAPRNAYKLALKDELRPIEKNKAGKRRLLWGCDAATTLI -ATAAFKAVATRLQVVTPMTPVAVGINMDSVQMQVMNDSLKGGVLYCLDYSKWDSTQNPAVTAASLAILER -FAEPHPIVSCAIEALSSPAEGYVNDIKFVTRGGLPSGMPFTSVVNSINHMIYVAAAILQAYESHNVPYTG -NVFQVETVHTYGDDCMYSVCPATASIFHAVLANLTSYGLKPTAADKSDAIKPTNTPVFLKRTFTQTPHGV -RALLDITSITRQFYWLKANRTSDPSSPPAFDRQARSAQLENALAYASQHGPVVFDTVRQIAIKTAQGEGL -VLVNTNYDQALATYNAWFIGGTVPDPVGHTEGTHKIVFEMEGNGSNPEPKQSNNPMVVDPPGTTGPTTSH -VVVANPEQPNGAAQRLELAVATGAIQSNVPEAIRNCFAVFRTFAWNDRMPTGTFLGSISLHPNINPYTAH -LSGMWAGWGGSFEVRLSISGSGVFAGRIIASVIPPGVDPSSIRDPGVLPHAFVDARITEPVSFMIPDVRA -VDYHRMDGAEPTCSLGFWVYQPLLNPFSTTAVSTCWVSVETKPGGDFDFCLLRPPGQQMENGVSPEGLLP -RRLGYSRGNRVGGLVVGMVLVAEHKQVNRHFNSNSVTFGWSTAPVNPMAAEIVTNQAHSTSRHAWLSIGA -QNKGPLFPGIPNHFPDSCASTIVGAMDTSLGGRPSTGVCGPAISFQNNGDVYENDTPSVMFATYDPLTSG -TGVALTNSINPASLALVRISNNDFDTSGFANDKNVVVQMSWEMYTGTNQIRGQVTPMSGTNYTFTSTGAN -TLVLWQERMLSYDGHQAILYSSQLERTAEYFQNDIVNIPENSMAVFNVETNSASFQIGIRPDGYMVTGGS -IGINVPLEPETRFQYVGILPLSAALSGPSGNMGRARRVFQ - ->YP_022762.1 polyprotein precursor [Sapovirus Mc10] -MASKPFYPIEFNPSVELQVLRSAHLRVGGREQMFETINDLNDHVRGVVAKLWCKHLHRSLAAAPTFTEEG -LLDSFLSKPPVDINPDTTFRELFGINPHEQFPLSIHDLAKLQGELVDAARNPGHVLRRHYSTDSLTALIN -KITKFVPVHATLQEMQARRAFERERAELFRELPHADLDVSRQQKSYFYAMWRQVVKKSKEFFIPLVKCTS -WRKKFTEPAEIVRQVLVHFCEGMRSQFSTNANYINLSLIAKLRPTVLTMILQQHKNTYRGWLATVTALVE -VYSNLFQDMRDTAVSAVSAITLVFETIKDFVVNVIDLVKSTFQSQGPTSCGWAAIIAGALLILMKLSGCS -NTTSYWHRLLKVCGGVTTIAAAARAVVWVRDIIAEADGKARLKKYMARTAALLELAASRDVTGTDELKRL -LDCFTQLIEEGTELIQEFGTSPLAGLTRSYVSELESTANSIRSTILLDTPRKTPVAIILTGPPGIGKTRL -AQHLAAGFGKVSNFSVTLDHHDSYTGNEVAIWDEFDVDTQGKFVETMIGVVNTAPYPLNCDRVENKGKVF -TSDYIICTSNYPTSVLPDNPRAGAFYRRVTTIDVSSPTIEDWKKKNPGKKPPPDLYKNDFTHLRLSVRPF -LGYNPEGDTLDGVRVKPVLTSVDGLSRLMETKFKEQGNEHRNLWITCPRDLVAPAASGLKAYMAANRALA -QVFQEPSSQDIGETCTSRVYVSCNNPPPTYSGRVVKITAINPWDASLANSMLSMFETTSHIPASIQREIM -YRVWDPLVHLQTREPNTQMLPYINRVVPVSSAFDFIRGLRHHLGLCSVKGMWRAYQGWNSSSSILEFLSK -HMADVAFPHNPECTVFRAPDGDVIFYTFGSYACFVSPARVPFVGEPPKNVHSNITRNMTWAETLRLLAET -ITESLVHFGPFLLMMHNVSYLATRSGREEEAKGKTKHGRGAKHARRGGVSLSDDEYDEWRDLVRDWRQDM -TVGEFVELRERYALGMDSEDVQRYRAWLELRAMRMGAGAYQHATIIGRGGVQDTIIRTQPMRAPRAPRNQ -GYDEEAPTPIVTFTSGGDHIGYGCHMGNGVVVTVTHVASASDQVEGQDFAIRKTEGETTWVNTNLGHLPH -YQIGDGAPVYYSARLHPVTTLAEGTYETPNITVQGYHLRIINGYPTKRGDCGTPYFDSCRRLVGLHAATS -TNGETKLAQRVTKTSKVENAFAWKGLPVVRGPDCGGMPTGTRYHRSPAWPNPVEGETHAPAPFGSGDERY -KFSQVEMLVNGLKPYSEPTPGIPPALLQRAATHTRTYLETIIGTHRSPNLSFSEACSLLEKSTSCGPFVA -GQKGDYWDEDKQCYTGVLAEHLAKAWDAANRGVAPQNAYKLALKDELRPIEKNAQGKRRLLWGCDAGATL -VATAAFKGVATRLQAVAPMTPVSVGINMDSYQVEVLNESLKGGVLYCLDYSKWDSTQHPAVTAASLGILE -RLSEATPITTSAVELLSSPARGHLNDIVFITKSGLPSGMPFTSVINSLNHMTYFAAAVLKAYEQHGAPYT -GNVFQVETVHTYGDDCLYSVCPATASIFQTVLANLTSFGLKPTAADKSETIAPTHTPVFLKRTLTCTPRG -VRGLLDITSIKRQFLWIKANRTVDINSPPAYDRDARGIQLENALAYASQHGHAVFEEVAELARHTAKAEG -LVLTNVNYDQALATYESWFIGGTGLVQGSPSEETTKLVFEMEGLGQPQPQGGEKTSPQPVTPQDTIGPTA -ALLLPTQIETPNASAQRLELAMATGAVTSNVPNCIRECFASVTTIPWTTRQAANTFLGAIHLGPRINPYT -AHLSAMFAGWGGGFQVRVTISGSGLFAGRAVTAILPPGVNPASVQNPGVFPHAFIDARTTEPILINLPDI -RPVDFHRVDGDDATASVGLWVAQPLINPFQTGPVSTCWLSFETRPGPDFDFCLLKAPEQQMDNGISPASL -LPRRLGRSRGNRMGGRIVGLVVVAAAEQVNHHFDARSTTLGWSTLPVEPIAGDISWYGDAGNKSIRGLVS -AQGKGIIFPNIVNHWTDVALSSKTSNTTTIPTDTSTLGNLPGASGPLVTFADNGDVNESSAQNAILTAAN -QNFTSFSPTFDAAGIWVWMPWATDRPGASDSNIYISPTWVNGNPSHPIHEKCTNMIGTNFQFGGTGTNNI -MLWQEQHFTSWPGAAEVYCSQLESTAEIFQNNIVNIPMNQMAVFNVETAGNSFQIAILPNGYCVTNAPVG -THQLLDYETSFKFVGLFPQSTSLQGPHGNSGRAVRFLE - ->NP_786909.1 Putative cysteine proteinase [Canine vesivirus] -GDGKIVNHVNAIVPVTGLCGEHIGYAVHIGHGKCISLKHVLKTGSYVFNQKPIDVTFDGELAHFQIQQPP -SSAAPVTFSSKPTRDPWGRSVSTEWKHDTYNTTAGKMYGSICWTATRTQPGDCGLPYVDRAGQVVGLHAG -SGGDSAPGRKIVIPVTKFKLPSNTVLSNRFWKEEAPTISYKGLTVQE - ->NP_786895.1 Putative cysteine proteinase [Vesicular exanthema of swine virus] -GVIDGVTHKNAIVSVDDVDGMHKGYAIHIGHGVYISLKHVLTGNARILSEEPKGITISGELATFRLNNIL -PTAVPVGTNKPIKDPWGNPVSTDWQFKNYNTTSGNIYGACGSSCSLTRQGDCGLPYVDDHGVVVGLHAGS -GGDKCPSRKLIVPYVKVDMRIRDTCTKESPTKTHKPTFSYRGLLGKE - ->NP_783196.1 polyprotein [Feline calicivirus] -MSQTLSFVLKTHSVRKDFVHSVKRTLQRRRDLQYLYNKLSRPIRAEACPSCASYDVCPNCTSGSIPDDGS -SKGQIPSWEDVTKTSTYSLLLSEDTSDELHPDDLVNVAAHIRKALSTQSHPANVDMCKEQLTSLLVMAEA -MLPQRSRSTLPLHQKYVAARLEWREKFFSKPLDFLLEKIGTSRDILQITAVWKIIIEKACYCKSYGEHWF -EAAKQKLREIKSYEHNTLKPLIGAFIDGLRLMTIDNPNPMGFLPKLIGLIKPLNLAMIIDNHENTLSGWV -ITLTAIMELYNITECTIDVITSIITGFYDKIGKATKFYSQIKALFTGFRSEDVANSFWYMAAAILCYLIT -GLIPNNGRLSKIKACLAGATTLVSGIVATQKLAAMFATWNSESIVNELSARTVAISELNNPTTTSDTDSV -ERLLELAKILHEEIKIHTLNPIMQSYNPILRNLMSTLDGVITSCNKRKAIAKKRPVPVCYILTGPPGCGK -TTAALALAKKLSDQEPSVINLDVDHHDTYTGNEVCIVDEFDSSDKVDYANFVIGMVNSAPMVLNCDMLEN -KGKLFTSKYIIMTSNSETPVKPSSRRAGAFYRRVTIIDVANPLAESHKRARPGTSVPRSCYKKNFSHLSL -AKRGAECWCKEYVLDPKGLQHQSIKAPPPTFLNIDSLAQTMKQDFTLKNMAFEAENGHSEHRYGFVCQQG -EVETVRRLLNAVRTRLNATFTVCVGSEASSSIGCTAHVLTPDEPFNGKKYVVSRCNEASLSALEGNCVQS -ALGVCMSTKDLTHLCHFIRGKIVNDSVRLDELPANQHVVTVNSVFDLAWALRRHLTLAGQFQAIRAAYDV -LTAPDKVPAMLRHWMDETSFSDEHVVTQFVTPGGIVILESCGGARIWALGHNVIRAGGVTATPTGGCIRF -MGLSAQTMPWSEIFRELFSLLGRIWSSIKVSTLVLTALGMYASRFRPKSEAKGKTKSKVGPYRGRGVALT -DDEYDEWREHNATRKLDLSVEDFLMLRHRAALGADDADAVKFRSWWNSRSRLADDYEDVTVIGKGGVKHE -KIRTNTLRAVDRGYDVSFAEESGPGTKFHKNAIGSVTDVCGEHKGYCVHMGHGVYATVAHVAKGDSFFLG -ERIFDLKTNGEFCCFRSTKILPSAAPFFPGKPTRDPWGSPVATEWKPKPYTTTSGKIVGCFATTSTETHP -GDCGLPYIDDNGRVTGLHTGSGGPKTPSAKLVVPYVHIDMKTKSVTAQKYDVTKPDISYKGLICKQLDEI -RIIPKGTRLHVSPAHTEDFEECSHQPASLGSGDPRCPKSLTAIVVDSLKPYCDKVEGPPHDILHRVQKML -IDHLSGFVPVNISSETSMLSAFHKLNHDTSCGPYLGGRKKDHMTNGEPDKPLLDLLSAKWKLATQGIALP -HEYTIGLKDELRPVEKVAEGKRRMIWGCDVGVATVCAAAFKGVSDAITANHQYGPVQVGINMDSPSVEAL -HQRIKSAAKVYAVDYSKWDSTQSPRVSAASIDILRYFSDRSPIVDSAANTLKSPPIAIFNGVAVKVSSGL -PSGMPLTSVINSLNHCLYVGCAILQSLEARGVPVTWNLFSTFDMMTYGDDGVYMFPMMFASVSDQIFANL -SAYGLKPTRVDKSVGSIEPIDPESVVFLKRTITRTPQGIRGLLDRSSIIRQFYYIKGENSDDWKTPPKSI -DPTSRGQQLWNACLYASQHGVEFYNKIYKLAQKAVEYEELHLEPPTYHSALEHYNNQFNGVEARSDQIDS -SGMTALHCDVFEV - ->NP_777370.1 non-structural polyprotein [Walrus calicivirus] -MAQTLSKISNKENSSSGHWPKRFKPHQPIPTWMVRCGLLDYDSRRGREPVRASPQAKRVRTPTPYPRHLK -PAASAVVRSGNNPPHLKPTSTDVVRSGPETPCCEVKDGGVVRSCKTCNLKPAHESKTVSFSLPQTDGPNG -NEPEFIAEACPSCALYDTCPNCTSKVINDDGSTDGTVPSWDQIETTPAFLSLLSNTDEEMSADELTNLAA -HLRKAFETGSHPANVDYSKDQLQGLLEMAEAALPPARRQTLPFYQQRLEARRTWREKIFNMPLDEINKIL -TTSKDRFQRCAAWKVVLEKAVLAKEYGEEIYAYAQQALKNINSFDVNLVLKMGAATFIDHLRMMTVDNPD -LVSYIPKLVVKLKPLTLKMIIDNHENTREGWLVTLTSLAELYGMVEVAIDLVPTVVGNLFDLLMKTTSKM -YSMFKSLVLATFTSESLDFTNPFWYAIAAILCFLITGAIPHNGKMKVIKNILSNATGIVAGIKAIQSLAA -MFSTWSNERLVNDLSSRTIAITELNNPTITADIDAVINLQRLAETLRDEVKSHTLNPLMQPYNPILRNLM -SALDNVISCCTRRKAIATKRTAPVAIILTGPPGCGKTTAAFALAKRLSQQKPSIISLDVDHHDTYTGNEV -CIIAEFDSPDKVDYPNFVVNMVNTNPMVLNCDLIENKGKTFTSKYVIMTSNSETPVKPTSRRAGAFYRRV -MIVDVTNNAVEKWKADHPGKAVPKWCFNKDFSHLTLSLRGTEAYCKEYVLDPSGRNHQSRKAPQPQQITL -EQLAQKMVVQHTTNTSEFVTQAGDVPVFGFVCQNNEIDTVYNLLAAVKARYGANFNLYKGMARTAHENSG -CGAHVHVVSREDNFRGKAFTVNRSKLESVPHLEGDSFRRSLGVVMSDKDVTTMFYYIKGKVINDQVNLTE -LPANQHVVTVHTVYDMAWALRRHLKWTGQRQLIKAAFEIMCYPDTAACALRNWMDSTHFSEEHVVTQFIS -PGGTIILESCYGARMWATGQRLIRAGGLTEAGGPQGGVRFAGLGARNVPWSEILREFMTLISHIWSQIKG -ATVVLTALTFYLKRFRPRAEAKGKNKNKGPRKNTGVALTDDEYDEWRQYKAEKKLDLTVEDFLQLRHRAA -MGADDTDAVKFQCWYSERQRNYHDLEDVTIIGRGGVKRELIRKGPLRPRGNDFYDEPDDWYSEGVIDGVT -HKNAIVSVDDVDGMHKGYAVHIGHGVYISLKHVLTGNARILSEEPKSLTLNGELATFRLNNVLPTAVPVG -TSKPIKDPWGNPVSTDWQFKNYNTTSGNIYGACGSSCSLTRQGDCGLPYVDDHGVVVGLHAGSGGDKCPS -RKLIVPYVKVDMRIRDTCTKEFFKDNKPTISYKGLLAKETGEPRTIMKGTRLHVSPAHTDDYEECTHQPA -SLGAGDPRCPISLTGIMVNNLQPYTEAAPGPDTATLNRVTKMLTSHMEGYVPKSHKTEEDMVSAFYMLNH -DTSCGPYIGGRKKDHVKDGVLDKNLLDLLSSKWNRAKLGLALPHEYAFGLKDELRPKDKVAAGKRRLIWG -CDVGVSTVCAAAFKRVSESIMANHALGFIQVGINMDGPAVEDLFKRLDRPKHDRYCVDYSKWDSTQPPKV -TSQSIDILRHFTDKSPIVDSACATLKSNPIGIFNGVAFKVAGGLPSGMPLTSIINSLNHCLMVGSAVVKA -LEDSGVQVTWNIFDSMDLFTYGDDGVYIVPPLISSVMPKVFANLKQFGLKPTRTDKTDAEITPIPKDEPV -EFLKRTIVRTENGIRALLDRSSIIRQFYYIKAENTENWTEPPKKIDTSSRGQQLYNACLYASQHGEEFYT -NKIVPLIERAIELEGLHIEVPEFHQAVAAYNGYFNGTEGQPSQIAFVSGGIGLSGEVFEN - ->NP_777373.1 non-structural polyprotein [Canine vesivirus] -MASAIALSSSTAQNKITLKSVASRLQQTDDPDIRVWSQSVGFHLQFSNWKCANAFCRFVTDAYNLTPYKE -CARSITRQLTSLSNYLSAQTGVSVSGTQFLLSPSDVEVPVAKTGESVSDIMVPSYSVNGTSMEFDSMAQL -AQALTTGFTFSVNDAQIGNAPAQTGESVSGTGFIAEACPSCALYDKCPNCTSELINDDGSSQSPGDIPHW -THHKIASGIVNILSSDMSSMEDDDFANIAAHVKKALGTNSHPANNDMSKDQLNWLLNIAEASLIRKADRT -ALPMNAARIAARRGWREKLFNEPADKLYTLLRKSKDSFQKSAIWGILFEKASNAKHYTEIVFQDIVKLIK -EECNPSNNFYFKVMAQSFLDHFRMLVIDNPDPVANLPKFILKLKPLNLKMIIENHENTAEGWIVTLTAVA -ELYGWLEFAVDLVPKIVSELYDLLTSATQKCFSMVRELLTNLNILKAESFDFTNPFWYALAALLSYFVTG -FLPNNAKCSAIKQTLNGATTLVAGITAIQKLAAMFSAWSNESVVDDLSTKVIGLTEADNPTVTQDIDAVT -NLQIMAEQLKDQIKLKTLDPTFQPYLPVLRNLMSTTDSVISHCAKRKALATQRTAPVCIILTGPAGCGKT -TLAYAIANRLSAQKPSVLNLNIDHHDAYTGNEVCIIDEFDSNPDSKFVEFVVEMVNTNPMLLNCDLIENK -GKTFSSKYVIMTSNNETPVKPNSTRAPPFYRRVRIIDVTNPGVMSFKYENPGQEVPSYLFSNDFNHLSMS -MRGFGAFSKTRVIDPEGRKTCGLEGPPGQRVDVDDIVRYMQRMYRENQMNFKSEAGNNRLKTPRFAFVTQ -RKHVDTVYKILAAAKTTYNGYYSLTKDSFDVNEGHNIGSSVFVVGDDKEIPHNCKIFRCNHLAMFRHPEL -AHIEGDNFRAALGVTMSDQDVTLMFYHIRGKHIQDEVRLDELPANHHIVTVHSVYDMAWALNRHLSLTGK -WQALKAVYDLYMTPDILPAALRHWMDNTKFSSDHVVTQFIVPGGTIILETCNGARMWATSRRLIRAGGIS -NNNGPEGGFRFGSIAPRDIPWSEILREFLNLISLIWSRVKGATIVLTALLLYMKRYKPRSEAKGKTKGGR -GAIRHGGKGIVLSDDEYDEWREFNMEKRMDMSVDEFLMLKHRAALGSDDTGAIQFRSWWTARQMRESTGL -DHDDVTVIGKGGVRHEVHRTEIMKAPKQKKKSFAWGEDMYAEGDGKIVNHVNAIVPVTGLCGEHIGYAVH -IGHGKCISLKHVLKTGSYVFNQKPIDVTFDGELAHFQIQQPPSSAAPVTFSSKPTRDPWGRSVSTEWKHD -TYNTTAGKMYGSICWTATRTQPGDCGLPYVDRAGQVVGLHAGSGGDSAPGRKIVIPVTKFKLPSNTVLSN -RFWKEEAPTISYKGLTVQETGVNKAVLKGTNYHVSPAHVDDYQDCTHQPANLGAQDERYPVSLTSIVINN -LEPYKQPTQGPPTEVLNKAYNMLVQHYEPLIPKATTHLEMGDAFAALNVKTSCGPYITGRKKDHIDPETG -KWDETLRNHINARWSLATQGVPIPHEYQLGLKDELRPKDKIAVGKRRLIWGCDVGVAVVAASAFKEVSSA -IMAMSEFDFIQVGINMDGTAVETLYKRLYTPGTHRYCVDYSKWDSTQPPNVTRMSLELLRHFTDKSPVVD -SAVATLSSPSIAVFGGVSFKTNGGLPSGMPLTSILNSLNHCLLVGSAIIQVLESKGVDVNWNIYDTIDLF -TYGDDGVYIVPNFVHSVMPEVFSCLSSYGLKPTRTDKSSAPITEIPLSEPIEFLKRQFVRNQFGVRALLD -RSSLIRQFYYIKGKNTMEWTKPPEQIDLTSRTAQLQVVMLYASQHGREFYKKCLDYYQLAMEYEGIKLDA -PTYDEALAKYNANFNGVEDCDLLPAGYDEHRLDKIVFEN - ->NP_068828.1 hypothetical protein EBHSVgp1 [European brown hare syndrome virus] -MAVASRPCGVATSVLPAKKPLSFFTDLVGKTPPRCIRAPHTLAWPVFADLDNEEESPEICRKCGKYANGF -GVFDLTDLGDVCLCSIRPQRHVGGPCCLCNKQYIRACGRYCARVLKHYKAFNKVIPCLHSRQVKPVFEGE -VEDLFVELGAPTRMNFTEAELASQGASIMDRFVDLVEPCLSTEDSNFLDNICSDASIRKRLEDEYDVDMI -AAARARKDFAKTLKLALQDRERKPDKWYSKLGCITTKGRQWAKKVVHGAKKLSDPLKTLAAILLVALHNC -VAVDTTTMLSHFKPVNLLAILLDWTNDLPGFLTTLIRFMELYGVVQSTVNLVVDAIKSFWDRVMCATERC -CDLLKRLFDKFEDSVPTGPTAGCLIFMSFVFSVIVGYLPNNSVISTFMKGAGKLTTFAGVIGAIRTLWIT -INQHMVAKDITSIQEKVMAVVKMANEAATLNQLEIVSVLCSELESTLTNRCTLPSYNQHMGVLNAAQKVV -ADIHTLVLGKINMTKQRPQPVAVVFKGAPGIGKTYLVHRLAKDLGCPHPSNINFGLDHFDSYTGEDVAIA -DEFNTSGDERWVELFIQMVNTNPCPLNCDKVENKNKVFSSKYLLCTTNSSMVLNATHPRATAFYRRVIIV -DVRNKAVEGWQSTRHGSKPGKHCYTKDMSHLTFQVYPHNMPAPGFVFVGEKLVKSQVAPRELKYNELLDM -IKNEHPDANFEGATKHEFVYPDVQYEQALLMWKQYFLMYGCTARLAKVFVDDIPYNQVHVARKSDPRSPG -AVHHECELKYIWRMVPHFALGCVNMTNQLGTDLTQSQLDRITCGVEGITVTTVDNILPFHSQNTLINPSF -LKLIWALRRHLRGLRGITQVATFIWKVMCNPVCAYDTLIRTLTGAATFSEDPVTTTIVCPNCTIQIHTCG -GLLVRYSGDPAPVASDNVDRGNQGIDCLTNPNLIAGFSWRQIADLFSTVMTSLCNNHLVNLATMAAIGAV -ATKALQGVKGKTKRGRGARINLGNDEYDEWQQMRREFNNAHDMTAEEFLELRNRAAMGSDDADAIKFRSW -WTNRQLRQDEAHVTVVGKGGVRNEVIRTRVRNAPKGPRTLDDGGFYDNDYEGLPGYLRFNGSGWMIHIGN -GMYLSNTHTARSSCSEIVTCSPTTDLCLVKAEPIRSVAQIAEGTPVRDWKRASITTYGLKKTFSDSTKID -VLAYDGPTQTTHGDCGLPLFDEAGKVVAIHTGKLLGFSKMCTLIDCTITKGVYENTDLFCGDPIDYRGLV -AFRVAGVEPRPPVSGTRYAKVPGVPEEYHTGYRPANLGRGDPDSHCTLMNIAVKNLQVYQQEPKLTKVDT -FIERAAADVLGFLRFLTKGERQMNLNFSAAFNVLDLSTSCGPFVPGKKIDHVKDGKLDEVLSKHLYKCWS -VANSGKALHHVYACGLKDELRPLDKVKEGKKRLLWGCNVGVALCAAAVFHNLCFKLKTVARFGPIAVGID -MTSRDVDVMITQLTSKAGDFLCLDYSKWDSTMSPCVVRLAIDILADCCEQTELTKSVVLTLKSLPMTVLD -AMIVPTKRGLPSGMPFTSVINSICHWLLWSAAVYKACDEIGLFCSNLYEDAPFFVYGDDGVYAMTPMMVS -LLPAILDNLRDYGLSPTAADKTEFIDVCPLKDISFLKRKFVMSELGWLSQLDRSSILRQLEWTKTAKRHM -CIEECSELDKDERGVQLEELQIHAAAHGEEFFELVKKELRRQQAFTRFSVFDYQTARKTLGDRKRIVSVV -PDDSFVNVMEGKPRADAPGTATTASVPGTTTDGMDPGVVASTDVVTADNVAASVATAGIGGPPQQASPQE -SWRVNFFYNDVFTWSVTDAPGSILYTVQHSPQNNPFTQVLSQMYAGWAGGMQFRFIVAGSGIFGGRLVCA -IIPPGIQIQPGLEVRQFPHVVIDARSLEPVTITMPDLRPEMYHPTGNPGLVPTLVVSVYNNLINPFGGTT -SAIQVTVETRPSEDFEFVLIRAPSSKTVDSVNPSWLLTTPVLTGAGSDNRWGAPIVGLQPVPGGFSTSNR -HWNMNGETYGWSSPRFDDIDHPSGNVSYPSGSATNTIETWYANAGTATTNPISNIAPDGFPDMGAIPFSG -TTIPTGAWVGFGQVWNASNGTPYVGTVQAYELGFANGAPSSIRPVTTTTGAQLVAKSIYGVAIAQNQTSA -GIIFLSKGMVSTPGVAATTYTPQPSAIVTTPGTPVAAPIGKNTPIMFSAVVRRTGDVNAGPGSANGTQYG -VGSQPLSVTLGLSLTNYSSALQPGQFFVWQLNFASGFMEVGMNTDGYFYAGTGAYSGMIDLTDLIDVRPV -GVRPNTSTLVFNLAGVATTGYSYV - ->NP_066255.1 non-structural polyprotein [Vesicular exanthema of swine virus] -MAQTLSKISNKENASSGLRPKRFKPHQPIPTWMVRCEPLDHDSRRGRDPVRASPQAKRVRTPTPYPRHLK -PAASAVVRSGNNPSHLKPASTDVVRSGPQPLCCEAKDGGVVRSCKTYNLKPAHESKAVAFSLPKTDGPTG -NEPEFIAEACPSCALYDTCPNCTSKVINDDGSTDGTIPSWDQIETTPAFLSLLSNTDEEMSADELTNLAA -HLRKAFETGSHPANVDYSKDQLQGLLEMAEAAVPPARRQTLPFYQQRLEARRTWREKIFNQPLEEINKIL -TTSKDRFQRCAAWKVILEKAVLAKEYGEEAYAYAQQALKNINSFDVNLVLKMAAATFIDHIRMMTVDNPD -LVSYIPKLIVKLKPLTLKMIIDNHENTKEGWLVTLTSLAELYGMVEVAIDFVPTVVGKLFDLLMKTTSKM -YSMFKSVVLATFTSESLDFTNPFWYAIAAILCFLITGAIPHNGKMKIHKNILSNATGIVAGIKAIQALAA -MFSTWSNERLVNDLSSRTIALTELNNPTITADIDAVINLQRLAEVLRDEVKSHTLNPLMQPYNPILRNLM -SALDKVISCCTRRKAIATKRTAPVAVILTGPPGCGKTTAAFALAKRLSQQKPSIISLDVDHHDTYTGNEV -CIIDEFDSSDKVDYANFVVNMVNTNPMVLNCDLIENKGKTFTSKYVIMTSNTETPVKPTSRRAGAFYRRV -MIVDVTNNAVDKWKSDNPGKAVPKWCFNKDFSHLSLSLRGTEPYSKEYVLDPTGRNHQSRRAPPPQQITL -EQLAQKMVVQHTTNTSEFVTQAGDVPVFGFVCQNNEIDTVYNLLAAVKARYGANFNLYKGMVRTAHENSG -CGAHVHVISREDNFRGKAFTVNRSRLESVPHLEGDSFRRSLGVVMSDKDVTTMFYYIKGKVINDQVSLTE -LPANQHVVTVHTVYDMAWALRRHLKWSGQWQLIKAAYEIMCYPDTAACALRNWMDSTDFSEEHVVTQFIA -PGGTIILESCYGARMWATGQRLIRAGGLTEAGGPQGGVRFAGLGARNVPWSEILREFMTLISHIWSQIKG -ATVVLTALTFYLKRFRPRVEAKGKNKNKGPRKNTGVALTDDEYNDWKQSKAEKNLDLTVKDFLQLRHRAA -MGADNTDAVKFRYWYSKKQKIYHDLENFPIIGRGGLKRELIRKGPLRPRGNDFYDEPDDWYSEGVIDGVT -HKNAIVSVDDVDGMHKGYAIHIGHGVYISLKHVLTGNARILSEEPKGITISGELATFRLNNILPTAVPVG -TNKPIKDPWGNPVSTDWQFKNYNTTSGNIYGACGSSCSLTRQGDCGLPYVDDHGVVVGLHAGSGGDKCPS -RKLIVPYVKVDMRIRDTCTKESPTKTHKPTFSYRGLLGKETGEPRTIMKGTRLHVSPAHVDDYEECTHQP -ASLGAGDPRCPISLTGIMVNNLQPYTEASPGPDTATLNRVSKMLTSHMEGYVPKVHKTEEDSISAFYMLN -HDTLCGPYIGARKKDHVKDGVLDKNLLDLLSSKWNRAKLGLALPHEYALGLKDELRPKDKVAVGKRRLIW -GCDVGVSTVCAAAFKRVSESIMANHALGFIQVGINMDGPAVEDLFKRLERPKHDRYCVDYSKWDSTQPPK -VTSQSIDILRHFTDKSPIVDSACATLKSNPIGIFNGVAFKVAGGLPSGMPLTSIINSLNHCLMVGSAVVK -ALEDSGVRVTWNIFDSMDLFTYGDDGVYIVPPLISSVMPKVFANLRQFGLKPTRTDKSDAEITPIPADEP -VEFLKRTIVRTENGVRALLDRSSIIRQFYYIKAENTENWTVPPKRIDTPSRGQQLYNACLYASQHGEEFY -TSKIVPLIERAVKLEGLHIEVPEFHQAVAAYNGYFNGTEGQPNQIAHASGGLGLSGEVFEN - ->NP_062875.1 polyprotein [Rabbit hemorrhagic disease virus] -MAAMSRLTGMTTAILPEKKPLDFFLDLRDKTPPCCIRATGRLAWPVFPGQNGKEGPLETCNKCGKWLNGF -GNFGLEDLGDVCLCSIAQQKHKFGPVCLCNRVYIHDCGRWRRRSRFLKHYKALNKVIPCAYQFDESFPTP -IFEGEVDDLFVELGAPTSMGFMDKKLLKKGKKLMDKFVDVDEPCLTSRDTSLLDSIASDNTIRAKLEEEY -GVEMVQAARDRKDFMKNLRLALDNRPANPVTWYTKLGNITEKGKQWAKKVVYGARKVTDPLKTLASILLV -GLHNVIAVDTTVMLSTFKPVNLLAILMDWNNDLTGFITTLVRLLELYGVVQATVNLIIEGVKSFWDKVVC -ATDRCFDLLKRLFDTFEDSVPTGPTAGCLIFMAFVFSTVVGYLPNNSVITTFMKGAGKLTTFAGVVGAIR -TLWITINQHMVAKDLTSVQQKVMTVVKMANEAATLDQLEIVSCLCSDLETTLTNRCTLPSYNQHLGILNA -SQKVISDLHTMVLGKINMTKQRPQPVAVIFKGAPGIGKTYLVHRIARDLGCQHPSTINFGLDHFDSYTGE -EVAIADEFNTCGDGESWVELFIQMVNTNPCPLNCDKAENKNKVFNSKYLLCTTNSNMILNATHPRAGAFY -RRVMIVEARNKAVESWQATRHGSKPGKSCYSKDMSHLTFQVYPHNMPAPGFVFVGDKLVKSQVTPREYKY -SELLDLIKSEHPDVASFEGANKFNFVYPDAQYDQALLMWKQYFVMYGCVARLAKNFVDDIPYNQVHISRA -SDPKIEGCVEYQCKFQHLWRMVPQFVLGCVNMTNQLGTPLTQQQLDRITNGVEGVTVTTVNNILPFHSQT -TLINPSFIKLIWAVRKHLKGLSGVTKVAQFIWRVMTNPVDAYGTLVRTLTGAATFSDDPVSTTIICSNCT -IQLHSCGGLLVRYSRDPVPVASDNVDRGDQGVDVFTDPNLISGFSWRQIAHLFVEVISHLCANHLVNLAT -MAALGAVATKAFQGVKGKTKRGRGARVNLGNDEYDEWQAARREFVNAHDMTAEEYLAMKNKAAMGSDDQD -SIMFRSWWTRRQLRPEEDQVTIVGRSGVRNEVIRTRVRQTPRGPKTLDDGGFYDNDYEGLPGFMRHNGSG -WMIHIGNGLYISNTHTARSSCSEIVTCSPTTDLCLVKGESIRSVAQIAEGTPVCDWKKSPISTYGIKKTL -SDSTKIDVLAYDGCTQTTHGDCGLPLYDSSGKIVAIHTGKLLGFSKMCTLIDLTITKGVYETSNFFCGEP -IDYRGITAHRLVGAEPRPPVSGTRYAKVPGVPDEYKTGYRPANLGRSDPDSDKSLMNIAVKNLQVYQQEP -KLDKVDEFIERAAADVLGYLRFLTKGERQANLNFKAAFNTLDLSTSCGPFVPGKKIDHVKDGVMDQVLAK -HLYKCWSVANSGKALHHIYACGLKDELRPLDKVKEGKKRLLWGCDVGVAVCAAAVFHNICYKLKMVARFG -PIAVGVDMTSRDVDVIINNLTSKASDFLCLDYSKWDSTMSPCVVRLAIDILADCCEQTELTKSVVLTLKS -HPMTILDAMIVQTKRGLPSGMPFTSVINSICHWLLWSAAVYKSCAEIGLHCSNLYEDAPFYTYGDDGVYA -MTPMMVSLLPAIIENLRDYGLSPTAADKTEFIDVCPLNKISFLKRTFELTDIGWVSKLDKSSILRQLEWS -KTTSRHMVIEETYDLAKEERGVQLEELQVAAAAHGQEFFNFVCRELERQQAYTQFSVYSYDAARKILADR -KRVVSVVPDDEFVNVMEGKARAAPQGEAAGTATTASVPGTTTDGMDPGVVATTSVITAENSSASIATAGI -GGPPQQVDQQETWRTNFYYNDVFTWSVADAPGSILYTVQHSPQNNPFTAVLSQMYAGWAGGMQFRFIVAG -SGVFGGRLVRAVIPPGIEIGPGLEVRQFPHVVIDARSLEPVTITMPDLRPNMYHPTGDPGLVPTLVLSVY -NNLINPFGGSTSAIQVTVETRPSEDFEFVMIRAPSSKTVDSISPAGLLTTPVLTGVGNDNRWNGQIVGLQ -PVPGGFSTCNRHWNLNGSTYGWSSPRFGDIDHRRGSASYSGSNATNVLQFWYANAGSAIDNPISQVAPDG -FPDMSFVPFNGPGIPAAGWVGFGAIWNSNSGAPNVTTVQAYELGFATGAPGNLQPTTNTSGAQTVAKSIY -AVVTGTAQNPAGLFVMASGIISTPNASAITYTPQPDRIVTTPGTPAAAPVGKNTPIMFASVVRRTGDVNA -TAGSANGTQYGTGSQPLPVTIGLSLNNYSSALMPGQFFVWQLTFASGFMEIGLSVDGYFYAGTGASTTLI -DLTELIDVRPVGPRPSKSTLVFNLGGTANGFSYV - ->sp|P27408.2|POLG_FCVF4 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p5.6; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -MSQTLSFVLKTHSVRKDFVHSVKVTLARRRDLQYLYNKLARTMRAEACPSCSSYDVCPNCTSSDIPDNGS -STTSIPSWEDVTKTSTYSLLLSEDTSDELCPDDLVNVAAHIRKALSTQAHPANTEMCKEQLTSLLVMAEA -MLPQRSRASIPLHQQHQAARLEWREKFFSKPLDFLLERIGVSKDILQITAIWKIILEKACYCKSYGEQWF -CAAKQKLREMRTFESDTLKPLVGAFIDGLRFMTVDNPNPMGFLPKLIGLVKPLNLAMIIDNHENTLSGWV -VTLTAIMELYNITECTIDVITSLVTGFYDKISKATKFFSQVKALFTGFRSEDVANSFWYMAAAILCYLIT -GLIPNNGRFSKIKACLSGATTLVSGIIATQKLAAMFATWNSESIVNELSARTVAISELNNPTTTSDTDSV -ERLLELAKILHEEIKVHTLNPIMQSYNPILRNLMSTLDGVITSCNKRKAIARKRQVPVCYILTGPPGCGK -TTAAQALAKKLSDQEPSVINLDVDHHDTYTGNEVCIIDEFDSSDKVDYANFVIGMVNSAPMVLNCDMLEN -KGKLFTSKYIIMTSNSETPVKPSSKRAGAFYRRVTIIDVTNPLVESHKRARPGTSVPRSCYKKNFSHLSL -AKRGAECWCKEYVLDPKGLQHQTIKAPPPTFLNIDSLAQTMKQDFVLKNMAFEAEDGCSEHRYGFICQQS -EVETVRRLLNAIRARLNATFTVCVGPEASHSIGCTAHVLTPDEPFNGRRFIVSRCNEASLAALEGNCVQS -ALGVCMSNKDLTHLCHFIRGKIVNDSVRLDELPANQHVVTVNSVFDLAWALRRHLTLTGQFQAIRAAYDV -LTVPDKVPAMLRHWMDETSFSDEHVVTQFVTPGGVVILESCGGARIWALGHNVIRAGGVTATPTGGCVRL -VGLSAQTLPWSEIFRELFTLLGRIWSSIKVSTLVLTALGMYASRFRPKSEAKGKTKSKIGPYRGRGVALT -DDEYDEWREHNANRKLDLSVEDFLMLRHRAALGADDADAVKFRSWWNSRTRPGDGFEDVTVIGKGGVKHE -KIRTSTLRAVDRGYDVSFAEESGPGTKFHKNAIGSVTDVCGEHKGYCVHMGHGVYASVAHVVKGDSYFLG -ERIFDVKTNGEFCCFRSTKILPSAAPFFSGKPTRDPWGSPVATEWKPKAYTTTSGKIVGCFATTSTETHP -GDCGLPYIDDNGRVTGLHTGSGGPKTPSAKLVVPYIHIDMKNKSVTPQKYDETKPNISYKGLVCKQLDEI -RIIPKGTRLHVSPAHVDDFEECSHQPASLGSGDPRCPKSLTAIVVDSLKPYCDRVEGPPHDVLHRVQKML -IDHLSGFVPMNISSETSMLSAFHKLNHDTSCGPYLGGRKKDHMVNGEPDKQLLDLLSSKWKLATQGIALP -HEYTIGLKDELRPIEKVQEGKRRMIWGCDVGVATVCAAAFKGVSDAITANHQYGPIQVGINMDSPSVEVL -YQRIKSAAKVFAVDYSKWDSTQSPRVSAASIDILRYFSDRSPIVDSAANTLKSPPIAIFNGVAVKVASGL -PSGMPLTSVINSLNHCMYVGCAILQSLEARQIPVTWNLFSSFDMMTYGDDGVYMFPTMFASVSDQIFGNL -SAYGLKPTRVDKSVGAIESIDPESVVFLKRTITRTPNGIRGLLDRSSIIRQFFYIKGENSDDWKTPPKTI -DPTSRGQQLWNACLYASQHGVEFYNKVLKLAMRAVEYEGLHLKPPSYSSALEHYNSQFNGVEARSDQINM -SDVTALHCDVFEV - ->sp|Q85433.1|CAPSD_RHDVR RecName: Full=Subgenomic capsid protein VP60 -MEGKARITPQGEAAGTATTASVPGTTTDGMDPGVVATTSVVTTENASTSVATAGIGGPPQQVDQQETWRT -NFYYNDVFTWSVADAPGSILYTVQHSPQNNPFTAVLSQMYAGWAGGMQFRFIVAGSGVFGGRLVAAVIPP -GIEIGPGLEVRQFPHVVIDARSLEPVTITMPDLRPNMYHPTGDPGLVPTLVLSVYNNLINPFGGSTSAIQ -VTVETRPSEDFEFVMIRAPSSKTVDSVTPAGLLTTPVLTGVGTDNRWNCQIVGLQPVPGGLSTCNRHWNL -NGSTYGWSSPRFTDIDHRRGASQPGGNNVLQFWYANAGSAVDNPICQVAPDGFPDMSFVPLNGPNVPTAG -WVGFGAIWNSNSGAPNVTTVQAYELGFATGAPNNLQPATNTSGSQIVAKSIYAVSTGANQNPAGLFVMAS -GVISTPTARAITYTPQPDRIVNAPGTPAAAPVGKNVPIMFASVVRRTGDVNAEAGSDNGTQYGTGSQPLP -VTIGLSLNNYSSALTPGQFFVWQLNFASGFMEIGLNVDGYFYAGTGASTTLIDLTELIDIRPVGPRPSTS -TLVFNLGGATSGFSYV - ->sp|P36287.2|POLG_SMSV4 RecName: Full=Genome polyprotein; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -NKGKTFTSKYVIMTSNTETPVKPTSRRAGAFYRRVMIVDVTNNAVEKWKSDNPGKAVPKWCFNKDFSHLS -LSLRGTEAYCKEYVLDPTGRNHQSRRAPPPQQVTLEQLAQKMVVQHTTNTSEFVTQAGDVPVFGFVCQNN -EIDTVYNLLAAVKARYGANFNLYKGMVRTAHENSGCGAHVHVISREDNFRGKVFTVSRSRLESVPHLEGD -SFRRSLGVVMSDKDVTTMFYYIKGKVINDQVNLTELPANQHVVTVHTVYDMAWALRRHLKWSGQWQLIKA -AFEIMCYPDAAACALRNWMDSTDFSEEHVVTQFIAPGGTIILESCHGARMWATGKRLIRAGGITEAGGPQ -GGVRFAGLGARNVPWSEILREFMTLISHIWSQIKGATVVLTALTFYLKRFRPRIEAKGKNKNKGARKNTG -VALTDDEYDEWRQYRTEKNLDLTVEDFLQLRHRAAMGADDSDAVKFRCWYSERQRNYHDLEDVTIIGRGG -VKRELIRKGPLRPRGNDYYDEPDDWYSEGVIDGVAHKNAIVSVDDVDGMHKGYAIHIGHGVYISLKHVVS -GNARILSEEPKNLTFTGELATFRLNNILPTAVPVGTSKPIKDPWGNPVSTDWQFKNYNTTSGNIYGACGS -SCSLTRQGDCGLPYVDDHGVVVGLHAGSGGDKCPSRKLIVPYAKVDMRVRDTCTKQCYKDNSPTISYKGL -LVKETGEPRTIMKGTRLHVSPAHTDDYEECTHQPASLGAGDPRCPISLTGIMVNNLQPYTEASPGPDTAT -LNRVSKMLTSHMEGYVQKSQTEEGYGSAFYMLNHDTSCGPYIGGRKKDHVKDGVLDKNLLDLLSSKWNRA -KLGLALPHEYALGLKDELRPKDKVAVGKRRLIWGCDVGVSTVCRAAFKRVSESIMANHALGFIQVGINMD -GPAVEDLFKRLERPKHDRYCVDYSKWDSTQPPKVTSQSIDILRHFTDKSPIVDSACATLKSNPIGIFNGV -AFKVAGGLPSGMPLTSIINSLNHCLMVGSAVVKALEDSGVQVSWNIFDSMDLFTYGDDGVYIVPPLISSV -MPNVFANLKQFGLKPTRTDKSDAEITPIPADEPVEFLKRTIVRTENGIRALLDRSSIIRQFYYIKAENTE -NWTIPPKKIDTPSRGQQLYNACLYASQHGEEFYTNKIIPLVQRAIEFEGLHIEVPEFHHAVAAYNGYFNG -TEGQPNQIAFASGGLGLSSEVFEN - ->sp|P27411.2|POLG_RHDV3 RecName: Full=Genome polyprotein; AltName: Full=p254; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p23; Contains: RecName: Full=NTPase; AltName: Full=2C-like protein; AltName: Full=P2C; AltName: Full=p37; Contains: RecName: Full=Precursor p41; Contains: RecName: Full=Protein p29; Contains: RecName: Full=Protein p23/2; Contains: RecName: Full=Protein p18; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; AltName: Full=Thiol protease P3C; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=3Dpol; AltName: Full=p58; Contains: RecName: Full=Capsid protein VP60 -MAAMSRLTGMTTAILPEKKPLSFFLDLRDKTPPCCIRATGRLAWPVFPGQNGKEGPLETCNMCGKWLNGF -GNFGLEDLGDVCLRSIAQQKHKFGPVCLCNRVYLHDCGRWRRRSRFLKHYKALNKVIPCAYQFVESFPTP -IFEGEVDDLFVELGAPTSMGFMDKKLLKKGKKLMDKFVDVDEPCLTSRDASLLDSIASDNTIRAKLEEEY -GVEMVQAARDRKDFMKNLRLALDNWPANPVTWYRKLGNITKKGKQWAKKVVYGARKVTDPLKTLASILLV -GLHNVIAVDTTVMLSTFNPVNLLAILMDWNNDLTGFFATLVRLLELYGVVQATVNLIIEGVKSFWDKVVC -ATDRCFDLLKRLFDTFEDSVPTGPTAGCLIFMAFVFSTVVGYLPNNSVITTFMKGAGKLTTFAGVVGAIR -TLWITINQHMVAKDLTSVQQKVMTVVKMANEAATLDQLEIVSCLCSDLETTLTNRCTLPSYNQHLGILNA -SQKVISDLHTMVLGKINTSKQRPQPVAVIFKGAPGIGKTYLVHRIARDLGCQHPSTINFGLDHFDSYTGE -EVAIADESNTCGDGESWVELFIQMVNTNPCPLNCDKAENKNKVFNSKYLLCTTNSNMILNATHPRAGAFY -RRVMIVEARNKAVESWQATRHGSKPGKSCYNKDMSHLTFQVYPHNMPAPGFVFVGDKLVKSQVTPREYKY -SELLDLIKSEHPDVASFEGANKFNFVYPDAQYDQALLMWKQYFVMYGCVARLAKNFVDDIPYNQVHISRA -SDPKIEGCVEYQCKFQHLWRMVPQFVLGCVNMTNQLGTPLTQQQLDRITNGVEGVTVTTVNNILPFHSQT -TLINPSFIKLIWAVRKHLKGLSGVTKVAQFIWRVMTNPVDAYGTLVRTLTGAATFSDDPVSTTIICSNCT -IQLHSCGGLLVRYSRDPVPVASDNVDRGDQGVDVFTDPNLISGFSWRQIAHLFVEVISHLCANHLVNLAT -MAALGAVATKAFQGVKGKTKRGRGARVNLGNDEYDEWQAARREFVNAHDMTAEEYLAMKNKAAMGSDDQD -SIMFRSWWTRRQLRPDEDQVTIVGRSGVRNEVIRTRVRQTPRGPKTLDDGGFYDNDYEGLPGFMRHNGSG -RMIHIGNGLYISNTHTARSSCSEIVTCSPTTDLCLVKGEAIRSVAQIAEGTPVCDWKKSPISTYGIKKTL -SDSTKIDVLAYDGCTQTTHGDCGLPLYDSSGKIVAIHTGKLLGFSKMCTLIDLTITKGVYETSNFFCGEP -IDYRGITAHRLVGAEPRPPVSGTRYAKVPGVPDEYKTGYRPANLGRSDPDSDKSLMNIAVKNLQVYQQEP -KLDKVDEFIERAAADVLGYLRFLTKGERQANLNFKAAFNTLDLSTSCGPFAPGKKIDHVKDGVMDQVLAK -HLYKCWSVANSGKALHHIYACGLKDELRPLDKVKEGKKRLLWGCDVGVAVCAAAVFHNICYELKMVARFG -PIAVGVDMTSRDVDVIINNLTSKASDFLCLDYSKWDSTMSPCVVRLAIDILADCCEQTELTKSVVLTLKS -HPMTILDAMIVQTKRGLPSGMPFTSVINSICHWLLWSAAVYKSCAEIGLHCSNLYEDAPFYTYGDDGVYA -MTPMMVSLLPAIIENLRDYGLSPTAADKTEFIDVCPLNKISFLKRTFELTDIGWVSKLDKSSILRQLEWS -KTTSRHMVIEETYDLAKEERGVQLEELQVAAAAHGQEFFNFVCGELVRQQAYTQFSVYSYDAARKILADR -KRVVSVVPDDEFVNVMEGKARAAPQGEAARTATTASVPGTTTDGMDPGVVATTSVITAENSSASIATAGI -GGPPQQVDQQETWRTNFYYNDVFTWSVADAPGSILYTVQHSPQNNPFTAVLSQMYAGWAGGMQFRFIVAG -SGVFGGRLVRAVIPPGIEIGPGLEVRQFPHVVIDARSLEPVTITMPDLRPNMYHPTGDPGLVPTLVLSVY -NNLINPFGGSTSAIQVTVETRPSEDFEFVMIRAPSSKTVDSISPAGLLTTPDLTGVGNDNRWNGQIVGLQ -PVPGGFSTCNRHWNLNGSTYGWSSPRFADIDHRKGSASYPGSNATNVLQFWYANAGSAIDNPISQVAPDG -LPDMSFVPFNGPGIPAAGWVGFGAIWNSNSGAPNVTTVQAYELGFATGAPGNLQPTTNTSGAQTVAKSIY -AVVTGTAQNPAGLFVMASGIISTPNASAITYTPQPDRIVTTPGTPAAAPVGKNTPIMFASVVRRTGDVNA -TAGSANGTQYGTGSQPLPVTIGLSLNNYSSALMPGQFFVWQLTFASGFMEIGLSVDGYFYAGTGASTTLI -DLTELIDVRPVGPRPSKSTLVFNLGGTANGFSYV - ->sp|Q89273.1|POLG_RHDVB RecName: Full=Genome polyprotein; AltName: Full=p254; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p23; Contains: RecName: Full=NTPase; AltName: Full=2C-like protein; AltName: Full=P2C; AltName: Full=p37; Contains: RecName: Full=Precursor p41; Contains: RecName: Full=Protein p29; Contains: RecName: Full=Protein p23/2; Contains: RecName: Full=Protein p18; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; AltName: Full=Thiol protease P3C; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=3Dpol; AltName: Full=p58; Contains: RecName: Full=Capsid protein VP60 -MAAMSRLTGMTTAILPEKKPLNFFLDLRDKTPPCCIRATGKLAWPVFPGQNGKEGPLKTCNKCGKWLNGF -GYFGLEDLGDVCLCSIAQQKHKFGPVCLCNRAYIHDCGRWRRRSRFLKHYKALNKVIPCAYQFDESFSTP -VFEGEVDDLFVELGAPTSMGFMDKKLLKKGKKLMDKFVDVDEPCLTSRDASLLDSIASDTTIRAKLEEEY -GVEMVQAARDRKDFMKNLRLALDNRPANPVTWYTKLGNITEKGKQWAKKVVYGACKVTDPLKTLASILLV -GLHNVIAVDTTVMLSTFKPVNLLAILMDWTNDLAGFVTTLVRLLELYGVVQATVNLIIEGVKSFWDKVVC -ATERCFDLLKRLFDTFEDSVPTGPTAGCLIFMAFVFSTVVGYLPNNSVITTFMKGAGKLTTFAGVIGAIR -TLWITINQHMVAKDLTSIQQKVMTVVKMANEAATLDQLEIVSCLCSDLENTLTNRCTLPSYNQHLGILNA -SQKVISDLHTMVLGKINMTKQRPQPVAVIFKGAPGIGKTYLVHRIARDLGCQHPSTINFGLDHFDSYTGE -EVAIADEFNTCGDGESWVELFIQMVNTNPCPLNCDKAENKNKVFNSKYLLCTTNSNMILNATHPRAGAFY -RRVMIVEARNKAVESWQATRHGSKPGKSCYSKDMSHLTFQVYPHNMPAPGFVFVGDKLVKSQVAPREYKY -SELLDLIKSEHPDVASFDGANRFNFVYPDAQYDQALLMWKQYFVMYGCVARLAKNFVDDIPYNQVHISRA -SDPKIEGCVEYQCKFQHLWRMVPQFVLGCVNMTNQLGTPLTQQQLDRITNGVEGVTVTTVNNILAFHSQT -TLINPSFLKLIWAVRKHLKGLSGVTKVAQFIWRVMTNPVDAYGSLVRTLTGAATFSDEPVSTTIICSNCT -IQIHSCGGLLVRYSRDPVPVASDNVDRGDQGVDVFTDPNLISGFSWRQIAHLFVEVISHLCANHLVNLAT -MAALGPVATKAFQGVKGKTKRGRGARVNLGNDEYDEWQAARREFVNAHDMTAEEYLAMKNKAAMGSDDQD -SVMFRSWWTRRQLRPDEDQVTIVGRGGVRNEVIRTRVRQTPKGPKTLDDGGFYDNDYEGLPGFMRHNGSG -WMIHIGNGLYISNTHTARSSCSEIVTCSPTTDLCLVKGEAIRSVAQIAEGTPVCDWKKSPISTYGIKKTL -SDSTKIDVLAYDGCTQTTHGDCGLPLYDSSGKIVAIHTGKLLGFSKMCTLIDLTITKGVYETSNFFCGEP -IDYRGITAHRLVGAEPRPPVSGTRYAKVPGVPDEYKTGYRPANLGRSDPDSDKSLMNIAVKNLQVYQQEP -KLDKVDEFIERAAADVLGYLRFLTKGERQANLNFKAAFNTLDLSTSCGPFVPGKKIDHVKDGVMDQVLAK -HLYKCWSVANSGKALHHIYACGLKDELRPLDKVREGKKRLLWGCDVGVAVCRAAVFHNICYKLKMVARFG -PIAVGVDMTSRDVDVIINNLTSKASDFLCLDYSKWDSTMSPCVVRLAIDILADCCEQTELTKSVVLTLKS -HPMTILDAMIVQTKRGLPSGMPFTSVINSICHWLLWSAAVYKSCAEIGLHCSNLYEDAPFYTYGDDGVYA -MTPMMVSLLPAIIENLRDYGLSPTAADKTEFIDVCPLNKISFLKRTFELTDIGWVSKLDKSSILRQLEWS -KTTSRHMMIEETYDLAKEERGVQLEELQVPAAAHGQEFFNFVCKELERQQAYTQFSVYSYDAARKILADR -KRVVSVVPDDEFVNVMEGKARTAPQGEAAGTATTASVPGTTTDGLDPGVVATTSVVTAENSSASIATAGI -GGPPQQVDQQETWRTNFYYNDVFTWSVADAPGSILYTVQHSPQNNPFTAVLSQMYAGWAGGMQFRFIVAG -SGVFGGRLVAAVIPPGIEIGPGLEVRQFPHVVIDARSLEPVTITMPDLRPNMYHPTGDPGLVPTLVLSVY -NNLINPFGGSTSAIQVTVETRPSEDFEFVMIRAPSSKTVDSISPAGLLTTPVLTGVGNDNRWNGQIVGLQ -PVPGGFSTCNRHWNLNGSTYGWSSPRFADIDHRRGSASYPGSNATNVLQFWYANAGSAVDNPISQVAPDG -FPDMSFVPFNGPGIPAAGWVGFGAIWNSNSGAPNVTTVQAYELGFATGAPGNLQPTTNTSGAQTVAKSIY -AVVTGTAQNPAGLFVMASGVISTPNANAITYTPQPDRIVTTPGTPAAAPVGKNTPIMFASVVRRTGDVNA -TAGSANGTQYGTGSQPLPVTIGLSLNNYSSALMPGQFFVWQLTFASGFMEIGLSVDGYFYAGTGASTTLI -DLTELIDVRPVGPRPSKSTLVFNLGGTANGFSYV - ->sp|Q86119.2|POLG_RHDVA RecName: Full=Genome polyprotein; AltName: Full=p254; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p23; Contains: RecName: Full=NTPase; AltName: Full=2C-like protein; AltName: Full=P2C; AltName: Full=p37; Contains: RecName: Full=Precursor p41; Contains: RecName: Full=Protein p29; Contains: RecName: Full=Protein p23/2; Contains: RecName: Full=Protein p18; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; AltName: Full=Thiol protease P3C; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=3Dpol; AltName: Full=p58; Contains: RecName: Full=Capsid protein VP60 -MAAMSRLTGMTTAILPEKKPLNFFLDLRDKTPPCCIRATGKLAWPVFLGQNGKEGPLETCNKCGKWLNGF -GCFGLEDLGDVCLCSIAQQKHKFGPVCLCNRAYIHDCGRWRRRSRFLKHYKALNKVIPCAYQFDESFSTP -VFEGEVDDLFVELGAPTSMGFMDKKLLKKGKKLMDKFVDVDEPCLTSRDASLLDSIASDNTIRAKLEEEY -GVEMVQAARDRKDFMKNLRLALDNRPANPVTWYTKLGNITEKGKQWAKKVVYGACKVTDPLKTLASILLV -GLHNVIAVDTTVMLSTFKPVNLLAILMDWTNDLTGFVTTLVRLLELYGVVQATVNLIVEGVKSFWDKVVC -ATDRCFDLLKRLFDTFEDSVPTGPTAGCLIFMAFVFSTVVGYLPNNSVITTFMKGAGKLTTFAGVIGAIR -TLWITINQHMVAKDLTSIQQKVMTVVKMANEAATLDQLEIVSCLCSDLENTLTNRCTLPSYNQHLGILNA -SQKVISDLHTMVLGKINMTKQRPQPVAVIFKGAPGIGKTYLVHRIARDLGCQHPSTINFGLDHFDSYTGE -EVAIADEFNTCGDGESWVELFIQMVNTNPCPLNCDKAENKNKVFNSKYLLCTTNSNMILNATHPRAGAFY -RRVMIVEARNKAVESWQATRHGSKPGRSCYSKDMSHLTFQVYPHNMPAPGFVFVGDKLVKSQVAPREYKY -SELLDLIKSEHPDVASFEGANRFNFVYPDAQYDQALLMWKQYFVMYGCVARLAKNFVDDIPYNQVHISRA -SDPKIEGCVEYQCKFQHLWRMVPQFVLGCVNMTNQLGTPLTQQQLDRITNGVEGVTVTTVNNILPFHSQT -TLINPSFIKLIWAVRKHLKGLSGVTKVAQFIWRVMTNPVDAYGSLVRTLTGAATFSDDPVSTTIICSNCT -IQIHSCGGLLVRYSRDPVPVASDNVDRGDQGVDVFTDPNLISGFSWRQIAHLFVEVISHLCANHLVNLAT -MAALGAVATKAFQGVKGKTKRGRGARVNLGNDEYDEWQAARREFVNAHDMTAEEYLAMKNKAAMGSDDQD -SVMFRSWWTRRQLRPDEDQVTVVGRGGVRNEVIRTRVRQTPKGPKTLDDGGFYDNDYEGLPGFMRHNGSG -WMIHIGNGLYISNTHTARSSCSEVVTCSPTTDLCLVKGEAIRSVAQIAEGTPVCDWKKSPISTYGIKKTL -SDSTKIDVLAYDGCTQTTHGDCGLPLYDSSGKIVAIHTGKLLGFSKMCTLIDLTITKGVYETSNFFCGEP -IDYRGITAHRLVGAEPRPPVSGTRYAKVPGVPEEYKTGYRPANLGRSDPDSDKSLMNIAVKNLQVYQQEP -KLDKVDEFIERAAADVLGYLRFLTKGERQANLNFKAAFNTLDLSTSCGPFVPGKKIDHVKDGVMDQVHAK -HLYKCWSVANSGKALHHIYACGLKDELRPLDKVKEGKKRLLWGCDVGVAVCAAAVFHNICYKLKMVARFG -PIAVGVDMTSRDVDVIINNLTSKASDFLCLDYSKWDSTMSPCVVRLAIDILADCCEQTELTKSVVLTLKS -HPMTILDAMIVQTKRGLPSGMPFTSVINSICHWLLWSAAVYKSCAEIGLHCSNLYEDAPFYTYGDDGVYA -MTPMMVSLLPAIIENLRDYGLSPTAADKTEFIDVCPLNKISFLKRTFELTDIGWVSKLDKSSILRQLEWS -KTTSRHMMIEETYDLAKEERGVQLEELQVAAAAHGQEFFNFVCKELERQQAYTQFSVYSYDAARKILADR -KRVVSVVPDDEFVNVMEGKARTAPQGEAAGTATTASVPGTTTDGMDPGVVATTSVVTAENSSASIATAGI -GGPPQQVDQQETWRTNFYYNDVFTWSVADAPGSILYTVQHSPQNNPFTAVLSQMYAGWAGGMQFRFIVAG -SGVFGGRLVAAVIPPGIEIGPGLEVRQFPHVVIDARSLEPVTITMPDLRPNMYHPTGDPGLVPTLVLSVY -NNLINPFGGSTSAIQVTVETRPSEDFEFVMIRAPSSKTVDSISPAGLLTTPVLTGVGNDNRWNGQIVGLQ -PVPGGFSTCNRHWNLNGSTYGWSSPRFADIDHRRGSASYPGNNATNVLQFWYANAGSAIDNPISQVAPDG -FPDMSFVPFNGPGIPAAGWVGFGAIWNSNSGAPNVTTVQAYELGFATGAPGNLQPTTNTSGSQTVAKSIY -AVVTGTAQNPAGLFVMASGVISTPSANAITYTPQPDRIVTTPGTPAAAPVGKNTPIMFASVVRRTGDVNA -TAGSANGTQYGTGSQPLPVTIGLSLNNYSSALMPGQFFVWQLTFASGFMEIGLSVDGYFYAGTGASTTLI -DLTELIDVRPVGPRPSKSTLVFNLGGTANGFSYV - ->sp|P36286.3|POLG_SMSV1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p16; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -MAQTLSKISNKENASVGLWPKRFKPHQPTPTWMVRCGPLDHDSRHGRDPVRASPQAKRVRTPNPYPRHLK -PAASAVVRSGTNPSHLKPTSTDVVRSGPETPCCEAKDGGVVRSCKTCNLKPAHDSKAVSFFPAQTDGLTG -DEPEFIAEACPSCVLYDTCPNCTSRAINDDGSTDGTIPSWDQIETTPAFLSLLSNTDEEMSADELTNLAA -HLRKAFETGSHPPNVDYSKDQLQGLLEMAEAALPPARRQTLPFYQQRLEARRTWREKIFNLPLDELSKIL -TTSKDRFQRCAAWKVVLEKAVLAKEYGEEAYAYAQEALKNINSFDVNLVLKMAAGTFIGHLRMMTVDNPD -MVSYLPKLIVKLKPLTLKMIIDNHENTKEGWLVTLTSLAELYGMVEVAIDFVPTVIGNLFDLLMKTTSKV -YSMFKSVILATFTSESLDFTNPFWYAIAAILCFLITGAIPHNGKMKIIKNILSNATGIVAGVKAIQTLGA -MFSTWSNERLVNDLSSRTIAITELNNPTITADIDAVINLQRLAETLREEVKSHTLNPLMQPYTPILRNLM -SALDNVISCCTRRKAIATKRTAPVAVILTGPPGCGKTTAAFALAKRLSQQKPSIISLDVDHHDTYTGNEV -CIIDEFDSSDKVDYANFVVNMVNTNPMVLNCDLVENKGKTFRSKYVIMKSNSETPVKPTSRRAGAFYRRV -MIVDVKNTAVENWKRENPGKPVPKWCFNKDFSHLHLSMRGTEAYWREYVLDPTGRNHQSQKAPPDQHVTL -EQLDQKMVVQHTTNTSEFVTQAGEVPVFGFVCQNNEIDTVYNLLAAVKARYGANFNLYKGMTRTAHENSG -CGAHVHVISREDNFRGKAFTVNRSRLESVPHLEGDSFRRSLGVVMSDKDVTTMFYYIKGKVINDQVNLTE -LPANQHVVTVHTVYDMAWALRRHLKWTGQWQLIKAAYEIMCYPDTAACALRNWMDSTDFSEEHVVTQFIA -PGGTIILESCYGARMWATGQRLIRAGGLTEAGGPQGGVRFAGLGARNVPWSEILREFMTLISHIWSQIKG -ATVVLTALTFYLKRFRPRVEAKGKNKNKGPRKNTGVALTDDEYDEWRQYKAEKKLDLTVEDFLQLRHRAA -MGADDTDAVKFRCWYSERQRNYHDLEDVTIIGRGGVKRELIRKGPLRPRGNDFYDEPDDWYSEGVIDGVT -HKNAIVSVDDVDGMHKGYALHIGHGVYMSLKHVVSGNAKILSEEPKNLTFNGELATFRLNTTLPTAAPVG -TSKPIKDPWGNPVSTDWQFKNYNTTSGNIYGACGSSCSLTRQGDCGLPYVDDHGVVVGLHAGSGGDKCPS -RKLIVPYVKVDMRIRDTCTKEYYKDNVPMISYKGLLVKETGEPRTIMKGTRLHVSPAHTDDYEECTHQPA -SLGAGDPRCPMSLTGIMVNNLQPYTEAPRTDTATLNRVTKMLISHMEGYVPKIHKTEEDMISAFYMLNHD -TSCGPYIGGRKKDHVKDGVLDKNLLDLLSSKWNRAKCGLALPHEYALGLKDELRPKDKVAVGKRRLIWGC -DVGVSTVCRAAFKRVSESIMANHALGFIQVGINMDGPAVEDPFKRLERPKHDRYCVDYSKWDSTQPPKVT -SQSIDILRHFTDKSPIVDSACATLKSNPIGIFNGVAFKVAGGLPSGMPLTSIINSLNHCLMVGSAVVKAL -EDSGVQVTWNIFDSMDLFTYGDDGVYIVPPLISSVMPKVFSNLRQFGLKPTRTDKTDAEITPIPADEPVE -FLKRTIVRTENGVRALLDKSSIIRQFYYIKAENTENWTVPPKKIDTSSRGQQLYNAGLYASQHGEEFYTN -KIIPLVQRAIEFEGLHIEVPEFHQAVQAYNGYFNGTEDQPSQIALASGGTGFGGEVFEN - ->sp|P27407.3|POLG_FCVC6 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p5.6; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -MSQTLSFVLKTHNVRKDFVRSVKLTLARRRDLQYFYNKLSRSMRAEACPSCASYDVCPNCTSSDIPDDGS -STELIPSWEEVTKTSTYSLLLSEDTSDELCPDDLANVAAHIRKAISTQSHPANSDMCKEQLTSLLVMAEA -MLPQRSRASIPLHQQHQAARLEWREKFFSKPLDFLLERIGVSKDILQITAIWKIILEKACYCKSYGEQWF -TTAKQKLREMRSYESNTLKPLIGAFIDGLRFMTVDNPYPMGFLPKLIGLIKPLNLAMIIDNHENTLSGWV -ITLTAIMELYNITECTIDLMTSLITAFYDKIGKATKFYSHVKALFTGFRTEDVSNSFWYMAAAILCYLVT -GLIPNNGRFLKIKCLLVRATTLVSGIIATQKLAAMFATWNSESIVNELSARTVAISELNNPTTTSDTESV -ERLLELAKILHEEIKVHTLNPIMQSYNLILRNLMSTLDGVITCCNKRKAIARKRQVPVCYILTGPPGCGK -TTAAQALAKKLSDQEPSVINLDVDHHDTYTGNEVCIIDEFDSSDKVDYANFVIGMVNSAPMVLNCDMLEN -KGKLFTSKYIIMTSNSETPVKPSSKRAGAFYRRVTYHDVATLVESHKRARPGTAVPRSCYKKNFSHLSLA -KRGAECWCKEYVLDPKGLQHQSTKAPPPTFLNIDSLAQTMKQDFALKNMAFEAEVGCSEHRYGFVCQQSE -VETVRRLLNAIRMRLNATFTVCVGLEASNSVGCTAHVLTPDEPFNGKRFVVSRCNEASLSALEGNCVQTA -LGVCMSNKDLTHLCHFIKGKIVNDSVRLDELPANQHVVTVNSVFDLAWALRRHSTLTGQFQAIRAAYDVL -HVPDKVPAMLRHWMDETSFSDEHVVTQFITPGGVVILESCGGARIWALGNNVIRAGGVTAIPTGGCVRLM -GLSAQTMPWSEILSELFSLLGKIWSSVKVSTLILTALSMYASRFRPKTEAKGKTKSKIGPYRGRGVALTD -DEYDEWKEHNAARKLDLSVEDFLMLRHRAALGADDTDAVKFRSWWNSRSRLADDFEDVTVIGKGGVKHEK -IRTNTLRAVDRGYDVSFAEESGPGAKFHKNAIGSVTDVCGEHKGYCVHMGHGVYASVAHVVKGDSFFLGE -RIFDLKTNGEFCCFRSTKILPSAAPFFSGRPTRDPWGSPVATDWKPKPYSTTSGKIVGCFATTSTETHPG -DCGLPYIDDNGRVTGLHTGSGGPKTPSAKLVVPYVHIDMKTKSVTAQKYDVTKPDISYKGLVCKQLDEIR -IIPKGTRLHVSPAHLEDFEECSHQPASLGSGDPRCPKSLTAIVVDSLKPYCVVVNGPPHDILHRVQKMLI -DHLSGFVPMNISSDTSMLSAFHKLNHDTSCGPYLGGRKKDHMVNGEPDKALLDLLSSKWKLATQGIALPH -EYTIGLKDELRPIEKVQEGKRRMIWGCDVGVATVCAAAFKGVSDAITANHQYGPIQVGINMDSPSVEALF -QRIKSARKVFAVDYSKWDSTQSPRVSAASIDILRYFSDRTPIVDSATNTLKSPPIAVFNGVAVKVSSGLP -SGMPLTSVINSLNHCLYVGCAILQSLEARNVPVTWNLFSTFDMMTYGDDGVYMFPTMYASISDQIFANLS -AYGLKPTRVDKSVGSIEPIDPNSVVFLKRTITRTPQGIRGLLDRSSILRQFYYIKGENTDNWKEPPKTID -PMSRGQQLWNACLYASQHGIDFYNKVYKLAEKAVEYEGLHLEPPSYSTALEHYNSQFNGVEARTDQIDTS -GMAALHCDVFEV - ->sp|Q69014.1|POLG_SVM93 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p28; Contains: RecName: Full=NTPase; AltName: Full=p35; Contains: RecName: Full=Protein p32; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p14; Contains: RecName: Full=Protease-polymerase p70; Short=Pro-Pol; Contains: RecName: Full=Capsid protein; Short=CP; AltName: Full=VP1; AltName: Full=p60 -MFGTKAPDSVQEGTLFRELFGVDQTEQFPLSLADLARLQGELVDATRTPGHALRQKYTMTTIQDLINKIT -KVVPVQATLNEMHARRQFERERADLFHELPLVDEDAVAQPKTYFYTMWRQVVKKGKAYFCPLVKTSAWRT -KISAITEPIKDFLIAFWQAVQQEMGVNPQYLQLAWLQKLKPTTLTIILQQHKHTVSGWLATMTALVEVYS -NLFDDLRKSSVTIVSSIGAFFDICKDFVSQVVELVKTTFTAQGPTDLGWAAVLAGAAMILLKMSGCPGVI -GMWTKVLKICGGITTITAAARGVRWLKDLYEEAEGRRLPKMYMARGAALIELAASREVTGVDELKGLLDC -FTILIEEGTELIHKFGTSPLAGLVRTYVSELETQANNIRSTIKLDTPRRVPVVIILTGAPGIGKTRLAQY -IGQRFGKTSNFSVAVDHHDGYTGNTVCIWDEFDVDSKGAFVETMIGIANTAPFPLNCDRVENKGRVFTSD -YVICTSNYPTSVIPDNPRAAAFYRRVLTVDVSAPDLEEWKKRNPGKRPTPDLYQDDFSHLKLMLRPYLGY -NPDGDTLEGPRVAPTQISIAGLITLMERRFKEQAGPLQNLWLQVPKTLVEQSTNMVKAFMYANRAVCDVI -PNPATRDITETALSKVFVCGTAPPPEFVGKHIVITGIEVGDASIANSLLSMFTTTTRLSAAAQREYMYRV -WSPLIHIQDRSMNTQNLPYINRVIPVTSHWDFLRGLRHHLGFTSIPGMWKAFQGWRTSQGIVDFVAHHMA -DVTFPSNPECTIFRTPDADVVFYTFGSYVCFATPARVPYVGTPPTTIHSNTPRCMTWGETLALLCEVVAE -FVLHFGPVILSAANIAYLMTRGSRTEEAKGKTKHGRGMRHGHRAGVSLSDDEYDEWRDLMRDWRRDMSVN -DFLMLRERSALGMDDEDVARYRAWLEIRAMRMAGGAYTHATIIGRGGVRDEIIRTSPRRAPTRPQQHYEE -EGPTAIVEFTQGGDHIGYGVHIGNGNVITVTHVASTSDEVNGSAFKITRTVGETTWVQGPFSQLPHMQIG -SGSPVYFTTRLHPVFTISEGTFETPNITVNGFHVRIMNGYPTKKGDCGLPYFNSNRQLVALHAGTDTQGE -TKVAQRVVKEVTTQDEFQWKGLPVVKSGLDVGGMPTGTRYHRSPAWPEEQPGETHAPAPFGSGDKRYTFS -QTEMLVNGLKPYTEPTAGVPPQLLSRAVTHVRSYIETIIGTHRSPVLTYHQACELLERTTSCGPFVQGLK -GDYWDEEQQQYTGVLANHLEQAWDKANKGIAPRNAYKLALKDELRPIEKNKAGKRRLLWGCDAATTLIAT -AAFKAVATRLQVVTPMTPVAVGINMDSVQMQVMNDSLKGGVLYCLDYSKWDSTQNPAVTAASLAILERFA -EPHPIVSCAIEALSSPAEGYVNDIKFVTRGGLPSGMPFTSVVNSINHMIYVAAAILQAYESHNVPYTGNV -FQVETIHTYGDDCMYSVCPATASIFHTVLANLTSYGLKPTAADKSDAIKPTNTPVFLKRTFTQTPHGIRA -LLDITSITRQFYWLKANRTSDPSSPPAFDRQARSAQLENALAYASQHGPVMFDTVRQIAIKTAQGEGLVL -VNTNYDQALATYNAWFIGGTVPDPVGHTEGTHKIVFEMEGNGSNPEPKQSNNPMVVDPPGTTGPTTSHVV -VANPEQPNGAAQRLELAVATGAIQSNVPEAIRNCFAVFRTFAWNDRMPTGTFLGSISLHPNINPYTSHLS -GMWAGWGGSFEVRLSISGSGVFAGRIIASVIPPGVDPSSIRDPGVLPHAFVDARITEPVSFMIPDVRAVD -YHRMDGAEPTCSLGFWVYQPLLNPFSTTAVSTCWVSVETKPGGDFDFCLLRPPGQQMENGVSPEGLLPRR -LGYSRGNRVGGLVVGMILVAEHKQVNRHFNSNSVTFGWSTAPVNPMAAEIVTNQAHSTSRHAWLSIGAQN -KGPLFPGIPNHFPDSCASTVVGAMDTSLGGRPSTGVCGPAISFQNNGDVYENDTPSVMFATYDPLTSGTG -VALTNSINPASLALVRISNNDFDTSGFANDKNVVVQMSWEMYTGTNQIRGQVTPMSGTNYTFTSTGANTL -VLWQERMLSYDGHQAILYSSQLERTAEYFQNDIVNIPENSMAVFNVETNSASFQIGIRPDGYMVTGGSIG -VNVPLEPETRFQYVGILPLSAALSGPSGNMGRARRVFQ - ->sp|P27409.1|POLG_FCVF9 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p5.6; Contains: RecName: Full=Protein p32; Contains: RecName: Full=NTPase; AltName: Full=p39; Contains: RecName: Full=Protein p30; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPg; AltName: Full=p13; Contains: RecName: Full=Protease-polymerase p76; Short=Pro-Pol -MSQTLSFVLKTHSVRKDFVHSVKLTLARRRDLQYIYNKLSRTIRAEACPSCASYDVCPNCTSGDVPDDGS -STMSIPSWEDVTKSSTYSLLLSEDTSDELCPEDLVNVAAHIRKALSTQSHPANAEMCKEQLTFLLVMAEA -MLPQRSRASIPLHQQHTAARLEWREKFFSKPLDFLLERVGVSKDILQTTAIWKIILEKACYCKSYGEQWF -TAAKQKLREMKNFESDTLKPLIGGFIDGLRFLTVDNPNPMGFLPKLIGLVKPLNLAMIIDNHENTISGWI -ITLTAIMELYNITECTIDIITSVITAFYDKIGKATKFYSCVKALFTGFRSEDVANSFWYMAAAILCYLIT -GLIPNNGRFSKIKACLAGATTLVSGIVATQKLAAMFATWNSESIVNELSARTVALSELNNPTTTSDTDSV -ERLLELAKILHEEIKIHTLNPIMQSYNPILRNLMSTLDGVITSCNKRKAIARKRQVPVCYILTGPPGCGK -TTAAQALAKKLSDQEPSVINLDVDHHDTYTGNEVCIIDEFDSSDKVDYANFVIGMVNSAPMVLNCDMLEN -KGKLFTSKYIIMTSNSETPVKPSSKRAGAFYRRVTIIDVTNPFVESHKRARPGTSVPRSCYKKNFSHLSL -AKRGAECWCKEYVLDPKGLQHQSMKAPPPTFLNIDSLAQTMKQDFLLKNMAFEAEDGCAEHRYGFVCQQE -EVETVRRLLNAVRARMNATFTVCVGPETSHSIGCTAHVLTPNETFNGKKFVVSRCNEASLSALEGNCVKS -ALGVCMSDKDLTHLCHFIKGKIVNDSVRLDELPANQHVVTVNSVFDLAWAVRRHLTLAGQFQAIRAAYDV -LTVPDKIPAMLRHWMDETSFSDDHVVTQFVTPGGIVILESCGGARIWALGRNVIRAGGVTATPTGGCVRL -MGLSAPTMPWSEIFRELFSLLGRIWSSVKVSALVLTALGMYASRFRPKSEAKGKTKLKIGTYRGRGVALT -DDEYDEWREHNASRKLDLSVEDFLMLRHRAALGADDNDAVKFRSWWNSRTKMANDYEDVTVIGKGGVKHE -KIRTNTLKAVDRGYDVSFAEESGPGTKFHKNAIGSVTDVCGEHKGYCIHMGHGVYASVAHVVKGDSFFLG -ERIFDLKTNGEFCCFRSTKILPSAAPFFSGKPTRDPWGSPVATEWKPKMYTTTSGKILGCFATTSTETHP -GDCGLPYIDDNGRVTGLHTGSGGPKTPSAKLVVPYVHIDMKTKSVTAQKYDVTKPDISYKGLICKQLDEI -RIIPKGTRLHVSPAHTEDYQECSHQPASLGSGDPRCPKSLTAIVVDSLKPYCENVEGPPHDVLHRVQKML -IDHLSGFVPMNISSETSMLSAFHKLNHDTSCGPYLGGRKKDHMANGEPDKQLLDLLSAKWKLATQGIALP -HEYTIGLKDELRPVEKVSEGKRRMIWGCDVGVATVCAAAFKGVSDAITANHQYGPIQVGINMDSPSVEAL -FQRIKSAAKVFAVDYSKWDSTQSPRVSAASIDILRYFSDRSPIVDSASNTLKSPPVAIFNGVAVKVSSGL -PSGMPLTSVINSLNHCLYVGCAILQSLEAKAIPVTWNLFSTFDIMTYGDDGVYMFPIMYASISDQIFGNL -SSYGLKPTRVDKSVGAIEPIDPDSVVFLKRTITRTPQGIRGLLDRSSIIRQFYYIKGENSDDWKSPPKHI -DPTSRGQQLWNACLYASQHGLEFFNKVYRLAERAVEYEELHFEPPTYASALDHYNSQFNGVEARSDQIDS -SGMTALHCDVFEV - ->ABC96750.1 ORF1 [Sapovirus Hu/Ehime643/March 2000/JP] -MASKPYYPITLNPTFEWEVFLRCYLRVEPREEFCESLQELKFYFHRRLSAFVKHATRALQRNYTFEEEGL -LDVFGTKPPDDVQESTLFRELFGVDPTEQFPLSLTDLARLQGELVDATRTPGHKLRQRYDLNTIGRLVDK -ITKLVPVQATLNEMHARRQYERDRAELFHELPLVDDEIVRKPKPYFYTMWRQVVKKSKAYFCPLVKTSAW -RTRVSAITEPIKDFVIAFCQAVQKEMGLNPQYLQLAWLQKLKPTTLTIILQQHKHTVSGWLATMTSLVEV -YSNLFDDLRKSSVTIIASIGAFFDMCKDFISEVISLVKTTFTPQGPTDLGWAAVLAGATMILLKMAGCPG -VAGMWSKVLKICGSITTITAAARGIRWLKELYEEAEGRRLTKMYMARGAALIELAASKEVTGVDELKGLL -ECFTILIEEGTELMHKFGTSPLAGLIRTYVSELEAQANNIRSTIKLDTPRQVPTVVILTGPPGIGKTKLA -QYIGQRFGKTSNFSVAVDHHDGYTGNKVCIWDEFDVDSKGVFVETMIGIANTAPFPLNCDRVENKGRVFT -SDYVICTSNYPTSVIPENPRAAAFYRRVIIVDVSAPDLEEWKKRNPGKRPTPDLYQDDFSHLKLMLRPYL -GYNPEGDTLEGTRVRPTQISIGNLVNLMEKRFKEQSGDLQNLWLQVPKAMVDQATNMVKTFMYANRAVCS -VIPNPASRDITETALSKIFICGTAPPPEFEGKHIVITGLEPGEASLANSLLSMFSTTTRLSASAQREYMY -RVWSPMIHIQDRSINTQNLPYINRVIPVTSHWDFLRGLRHHLGFTSIPGMWRAFQGWRTSQGIIDFVANH -MADVTFPSNPECTIFRTPDADVVFYTFGSYVCFASPARVPFVGVPPPNVHSNAPHCMTWGETLALLCEVA -VEFMLHFGPVILSATNIAYLLARGSRSEEAKGKTKHGRGMRHGHRAGVSLSDDEYDEWRDLMRDWRRDMS -VSDFLMLRERSALGMDDEDVARYRAWLEVRAMRLAGGAYTHATIIGRGGVRDEIIRTAPRRAPTKPHSSY -EEEGPTAVVEFTMDGDHIGYGVHVGNGSVVTVTHVASSSNLVDGQPFKVHRTLGETTWVHTSLSGLPHMQ -IGQGTPVYFTNRLHPVLTISEGTFETPNITVKGYHVRITNGYPTKKGDCGLPYFNAHRQLVALHAGTDTQ -GETKVAQRVPKDATVEDEFQWKGLPVVKSDIDVGGMPTGTRYHRSPAWPEEQPGETHAPAPFGAGDKRYT -FSQTEMLVNGLKPYTETTPGIPPQLLARAVSHVRSYLETIIGTHRSPVLTFHQASELLERATSCGPFIQG -LKGDYWDEEEQVYKGILGDHLREAWDKACKGVAPKNAYKLALKDELRPIEKNKLGKRRLLWGCDAATTLI -ATAAFKAVATRLQAVTPMTPVAVGINMDSMQMQVMNDSLTGGVLYCLDYSKWDSTQNPAVTAASLAILER -FAEPHPIVSCAIESLSSPAVGYINDIKFTTRGGLPSGMPFTSVVNSLNHMIYIAAAVLQAYEEHHAPYTG -NVFQIETIHTYGDDCMYSFCPATASVFQTVLASLIRFGLKPTAADKSEAIKPTTTPVFLKRTFTQTPQGV -RALLDLSSITRQFYWLKANRTSDPSSPPAFDRQARGAQLENALAFASQHGPLAFDKVREIAIKTAQGEGL -VLVNTNYDHALATYNAWFIGGTVPDPERPTEGASKIVFEMEGNGSQQGARPKSPPQSVDLPGTVGPATSN -VVVANPEQPNGAAQRLEMAVATGTIQSNVPEAIRNCFAVFRTFAWNDRMPAGTYLGSVSLHPNINPYTQH -LSAMWAGWGGSFEARLSVSGSGVYAGRIIASVVPPGVDPTTIRDPGVLPHAFVDARITEPVSFIVPDVRA -VDYHRMDGTEPTCSLGLWVYQPLINPFATAAVSTCWVSIETKPGGDFDFCLLKPPGQQMQNGISPEGLLP -RRLGYARGNRVGGLAVGMVLVSEHKQVNRHFNSQSITYGWSTAPVNPMAAEIVNKYDHTGTGNTKRNAWL -SIGAQNKGPLFPGLPNHFPDSCASTIVGAMDTNRGMPSTGVCGPAIGFQNNGDVYENHTPSVMFATYNPL -TGGGNNPVALSDSINLASLAVVRIQSDQNFDSTGFSNDKNVVVQTSWEMFDNVQQIYGRVTPMQGTNFVF -TSSGANTLVLWEERLLSYDGHAAILHSSQLERTAEVFQNDNVNIPQGAMAVFNVDTNSASFQVGIRADGY -MVTGGTVGTHVALDPETRFQFVGVLPLTAALSGPNGNNGRARRVFQ ->ABC96748.1 ORF1 [Sapovirus Hu/Ehime475/2004/JP] -MASKPLQVESCDFTFEVHLLRQCYLRVAPREEFLFDNTLETIAQYLLKHMPRSHKFNMGQACEFEAEGLL -SRLFGTAGSPSLDSQSAYKELFGFDTSEQMPLSLEELAKLQGEIASSLQTNNNQFVTKHGKANVQALLEQ -LNQLVPRDVSEAERRRREFFERQTAAAFAELPNDDSFTEHDWKSYWYAMWRRVVGGCRSYYHSLPRWSSF -KTRLARATEPLRQVLAVAAQTFDQCIQTDPRILAMNCVTALKPTVLTMIYQQHHNTPSGWLATLTALWEV -FQPSLPNLGTLGASVATTLGLVVTALSRFFQKLCSYVSETFFPEAPTTPGWVAIVAGVLLLLLKLSCIPK -VFTHWHTLLKLASGITTVVGATRAVDWIMTKVRDARHSSMCKQFLSRVSALLELHYSKTVTGVAENTELL -KCFDQLIDEGEELVSEIGGGSLAAIIRSGVDTLQRVSTEIKATIQLDNPRPVPVCVVFSGPPGIGKTSLA -YHMAKGIGLTSNFSLANDHHDGYTGNPVAIWDEFDTDRDGKFVEQMIALVNTQPCVLNCDRPENKGKLFT -SKFIFCTTNYTTSVLPDNPRAGAFYRRIITVDVRSPEIEDWMSAHPGRSPPKTLFKNDCSHLKLMVRPYM -GYNPDGDTLSGKRVKPTPISIAGLHDLIDKKFEEQSGEVRGLWITVPRRSVQPALAAVKKFCVAHQALCH -VTSTPTPEVLQCTTFSCVVVSDAHPPPGVPLIHIKNAHLESDATGQSLSSISESLLGMFITEQRVSSNVQ -RDIMYKVWSPFTLMQTEPLNTQNLPPIRRIIYADTAMDFIGGLRHHLGFSSIPGLWRALKHLPDTSSMIE -WITDHLSQVQFPLNPESTLFRTGNGDVIFYTYGSFYALGTCARVPAVTGDTLNPLPNTPLKMTWFETLKA -LCSSALRLFSAVAPFAIAVANVTYLTTRGAREEQAKGKTKHGRGARHGRGRSTALNDDEYNEWMDLRRDW -REEMTADQFLQLRDEAYEGIINDRTQRYNTWLNIRNMRLGAGAYQHATIIGKGGVRNEIIRTQVMKAPRK -GKWSHIDSSGPMNYFDEAPTPLVEFESDGSHIGWGVHLGNGRIVTVTHVATSSNTVNGVPFKIKDTDGET -CQVYAALGDLPHYQIGDGPPVYYTTRFHPVLVIGEGQYDTPTTTVNGFHVKITNSYPTKKGDCGLPYFNS -LRQVVALHAAGSTDGTTKLAQRVGKTNDTSESFVWKGLPVLRGSDVGGLPTGTRYHRSPAWPETMPHETH -SPAPFGSGDKRYDFSQVEMLVNNLRPYLDEVPGIPPVLLNRAIVHTRNYLQSIIGSEQSPSLSYGVAASM -LERGTSCGPHVPGLKSDYWDEETCQFTGALREHLEQVWNKALLGTPPSHDYKLALKDELRPNEKNAQGKR -RLLWGADAGLTLVCCAALKPAAVRLQSVVPMTPVAVGINMDSAHIEVMNESLKGRVLYALDYSKWDSTQS -AAVTAASLEILSSFMEPSPVVSSAIEALKAPARGMVNDAIFVARSGLPSGMPFTSVINSINHMLYISSAI -LQAYESMGLPYNDNVFNIETVHTYGDDCLYGFTPATASLASMIIDNLRSYGLKPTAADKGDVIAPVQVPV -FLKRTFATTPHGLRALLDTSSILRQFHWVKAQRTCDIQSPPAIDIKSRAAQLEVALAYASQHGHEFFEKA -REIAERTSKVEGYLLVNTNFEQAVACYNSWYIGGTTPEMPTTNEGCGLLVFEMEGNGSRLGNSQTQSHMD -TSNTVQSAPPGTTGPADAPLVPVNPEQPNLPAQRLELAIATGATSSNVPDCVRSCFALLRTIPWNTRQPQ -GSLLTAVSLHPDINPYTKHLAQMFAGWGGAMDIRVTVSGSGLFAGKLVCGVLPPGVNPTLVNDPGVLPHA -LVDARITEPACFNVADVRAVDYHRTDGDEATATLGIWVLQPLINPFSNEAVSTAWISIETKPGGDFDLCL -LKPPGQAMENGGSPSHLLPRKLQRARGNRAGGYAVGMVIVGSAHQVNRHFTALGTTFGWSTAPYEPMRCA -FGGVHVGATTPKKIGYYWEVGADQRGPLFPNIVNHWPDFAVNSKYSWPDADYIPYNAIVGTLVSFADNGD -VSENEVATAFAVSMNTPSTGGRGTLRENFDPSTMHLVRTNSTAQPADWPSGSNTGNGYFTPMWGHGSGNA -INDKVTNMEGANYTFGGSGQNNIVLWIEKIFSDHPGPTILYSSQLDSTATIFQSGPVNIPENMMAVYNVT -TNGADFQVGIRRDGYMVTSGTIGTRQELDPDTTFTYVGLFPLSASLVGPHGNTGRAQIAWS ->AGL33701.1 orf1 [European brown hare syndrome virus] -MAVASRPCGVATSVLPAKKPLSFFTDLVGKTPPRCIRAPHTLAWPVFVDLDNEEESPEICGKCGKYANGF -GVFDLTDLGDVCLCSIQPQKHVGGPCCLCNKQYIRACGRYCARVLKHYKAFNKVIPCLYSRQVKPVFEGE -VEDLFVELGAPTTMNFTEAELASQGASIMDRFVDLVEPCLSTEDSTFLDNICSDASIRKRLEDEYGVDMI -AAARARKDFAKTLKLALKDREKKPDKWYSKLGCITTKGRQWAKKVVHGAKKLSDPLKTLAAILLVALHNC -VAVDTTTMLSHFKPVNLLAILLDWTNDLPGFLTTLIRLMELYGVVQSTVNLVVDAIKSFWDRVMCATERC -CDLLKRLFDKFEESVPTGPTAGCLIFMSFVFSIIVGYLPNNSVISTFMKGAGKLTTFAGVIGAIRTLWIT -INQHMVAKDITSIQEKVMAVVKMANEAATLSQLEIVSVLCSELESTLTNRCTLPSYNQHMGVLNAAQKVV -TDIHTLVIGKINMTKQRPQPVAIVFKGAPGIGKTYLVHRLAKDLGCPHPSNINFGLDHFDSYTGEDVAIA -DEFNTSGDERWVELFIQMVNTNPCPLNCDKVENKNKVFSSKYLLCTTNSSMVLNATHPRATAFYRRVIIV -DVRNKAVEGWQSTRHGSKPGKFCYNKDMSHLTFQVYPHNMPAPGFVFVGEKLVKSQVVPRELKYNELLDM -IKNEHPDANFEGATRHEFVYPDVQYEQALLMWKQYFLMYGCTARLAKVFVDDIPYNQVHIARRSDPRSPG -AVHHDCELKYIWRMVPHFALGCVNMTNQLGTDLTQSQLDRITCGVEGITVTTVDNILPFHSQNTLINPSF -LKLIWALRRHLRGLRGITQVATFIWKVMCNPVCAYDTLIRTLTGAATFSEDPVTTTIICPNCTIQIHTCG -GLLVRYSGDPAPVASDNVDRGNQGIDCLTNPNLIAGFSWRQIADLFSTVMTSLCNNHLVNLATMAAIGAV -ATKALQGVKGKTKRGRGARINLGNDEYDEWQQMRREFNNAHDMTAEEFLELRNRAAMGSDDADAIKFRSW -WTNRQLRQDEAHVTVIGKGGVRNEVIRTRVRNAPKGPKTLDDGGFYDNDYEGLPGYLRFNGSGWMIHIGN -GMYLSNTHTARSSCSEIVTCSPTTDLCLVKAEPIRSVAQIAEGTPVRDWKKASITTYGLKKTFSDSTKID -VLAYDGPTQTTHGDCGLPLXDEAGKVVAIHTGKLLGFSKMCTLIDCTITKGVYENTDLFCGDPIDYRGLV -AFRVAGVEPRPPVSGTRYAKVPGVPEEYHTGYRPANLGRGDPDSHCTLMNIAVKNLQVYQQEPKLTKVDT -FIERAAADVLGFLRFLTKGERQMNLNFSAAFNVLDLSTSCGPFVPGKKIDHVKDGKLDEVLSKHLYKCWS -VANSGKALHHVYACGLKDELRPLAKVKEGKKRLLWGCDVGVALCAAAVFHNLCFKLKTVARFGPIAVGID -MTSRDVDVMITQLTSKAGDFLCLDYSKWDSTMSPCVVRLAIDLLADCCEQTELTKSVVLTLKSLPMTVLD -AMIVPTKRGLPSGMPFTSVINSICHWLLWSAAVYKACDEIGLFCSNLYEDAPFFVYGDDGVYAMTPMMVS -LLPAILDNLRDYGLSPTAADKTEFIDVCPLKDISFLKRKFVMSELGWLSQLDRSSILRQLEWTKTAKRHM -CIEECSELDKDERGVQLEELQIHAAAHGQEFFELVTKELRRQQAFTRFCVFDYQTARKTLGDRKRIVSVV -PDDSFVNVMEGKPRADAPGTATTASVPGTTTDGMDPGVVASTDVVTADNVAASVATAGIGGPPQQASPQE -SWRVNFFYNDVFTWSVTDAPGSILYTVQHSPQNNPFTQVLSQMYAGWAGGMQFRFIVAGSGIFGGRLVCA -IIPPGIQIQPGLEVRQFPHVVIDARSLEPVTITMPDLRPEMYHPTGDPGLVPTLVVSVYNNLINPFGGTT -SAIQVTVETRPSEDFEFVLIRAPSSRTVDSVNPSWLLTTPVLTGAGSDNRWGAPIVGLQPVPGGFSTSNR -HWNMNGATYGWSSPRFDDIDHPSGNVSYPTGSATNTIETWYASAGTATTNPISNIAPDGFPDMGAIPFSG -TTIPTGAWVGFGQVWNAANGTPYVGTVQAYELGFALGAPSNIRPVTTTAGAQLVAKSIYGVAIAQNQSSA -GIIFLSKGMVSTPGVAATTYTPQPSAIVTTPGTPVAAPIGKNTPIMFSAVVRRTGDVNAGAGSDNGTQYG -VGSQPLSVTLGLSLTNYSSALQPGQFFVWQLNFASGFMEVGMNTDGYFYAGTGASSAMIDLTDLIDVRPV -GVRPNTSTLVFNLAGVATTGYSYV ->AEL19659.1 polyprotein, partial [Sapovirus dog/AN196/USA/2009] -AHAGLTLIATAALKNAALRLSDVVPMTPVSVGVRMDSAQVGVINESLKGGVLFCLDYSKWDSTQNPGVTA -ASLNILASFVEPSPIVSAAVAALSSPASGYVNDVCFTTTNGLPSGMPFTSVVNSLNHMIYICAATLDAYE -QHRVPYTSNFFDVETIHAYGDDCLYSFTQATASVFPTILGALRQYGLKPTSTDKTEVISPTDQPVFLKRT -FITTSRGIRAALDINSILRQFVWVKGCRTHDPNSPTTIDTAARSAQMEVCLAMLSVHGRDTYDKYLPIAL -KTIEGEGLKVVNTTFDMAQACYEAWFIAGEVPDPSLPTEEQRQLVFEMEGPTPKTGQQPAEQQVAPISGA -DGPTNGALLTTTVQAPNAPAQAVEMAVATGATVTTIPDPVRNTFATLATIGWNTRQPVNTLLGVVQLGPG -ANPYTRHLSAIWAGWGGGMRVRVSMSGSGLFGGRVLAALLPPGVDAGRVYNPGQFPHGFIDARVTDPIIL -TLNDIRAVDYHRTDGDEATTSIGLWVFQPLINPFQTSAVSTCWITLETAPDLDFEFALLKPPDTEMENGT -SPDRLFPRRLGKSRGNRIGGTIIGMIVVADAHQVNHHFVPAGTTLGWSDLPLAPVRGAIATDQPTSGNNH -LSLGAEGKGPIRPNIVNHWPDFCVSASVSGDTNLPSSSNLSTHPGGCGPIFFFDDVGDVEETKVTNAIGV -CANDLYTATTDSFTAAGQWLYLNWDGTTAHQVGNNAEWSPRWINGQTNKPIGQKVTALLNEYYTFTAIGA -NNVVLWKENDYSSWPGGAELYCSQLESTALAFQEGPVNIPSTMMAVYNVQTNGNSFQVGICPDGYMRTNA -PIGTQVVLDEDTTFQFSGL ->AJD77614.1 polyprotein [Feline calicivirus] -MSQTLSFVLKTHNVKKDFVQSVKITLKRRRELQYLYNRFSRIMRAEACPSCASYDVCPNCTAGDIPDDGS -SVGSIPSWEEVTKTPTYSLLLSEDTADELAGDDLVNIAAHIRKALATQSHPANTELCKEQLTSLLVMAEA -MVPQRSRSSIPLHQQHQAARIEWRERFFHKPLDFLLERLGLSKDILKTTAIWKIILEKACYCKSYGEEWF -VAAKQRLTQIMSFEGNTLKPLIGGFIDGLRLLTVDNPNPIGFLPKLISLIKPLNLAMIIDNHENTLSGWI -VTLTAIMELYGITECTIDVITAVITGFYDKITKATKFYSQVKALFLGLRTEDVSNTFWYMAAAVLCYLIT -GLIPNNGRLSKIKACLGGATTLVSGIIATQKLAAMFAAWNSESIINELSARTVALSELNNPTTTSDTDSV -ERLLELAKILHEEIKVYTLNPIMQSYNPILRNLMSTLDGVITSCNKRKAIAKKRQVPVCYILTGPPGCGK -TTAAHALAKKLSDQEPSIINLDVDHHDTYTGNEVCIVDEFDSSDKVDYTNFVIGMVNSAPMVLNCDMLEN -KGKLFTSKYIIMTSNSETPVRPSSKRAGAFYRRVTIVDVSNPLVDSHKRARPGTAVPRTCYKKNFSHLCL -AKRGAECWCKEYVLDPKGLQHQSIKAPPPTFLNIDSLAQTMKQDFMVKNMAFDAEEGCSDHRYGFVCQQG -EVETVRRLLNAIRARMNATFTVCVGPESSTNPLGCTAHVLTPDEPFNGKKFVVTRCSEASLAALEGNCVQ -TALGICMSNKDLTHLCHYIRGKIVNDNVRLDELPANQHVVTVNSVFDLAWALRRHLTLAGQFQAIRAAYD -VLTAPDKIPAMLRHWMDETSFSDDHVVTQFITPGGVVILESCGGARIWAMGHNVIRAGGVTATPVGGSVR -LMGLSAQTMPWAEIFRELFSLLGRIWSSIKVSTLVLTALGMYASRFRPKSEAKGKTKSKHNTYRGRGVAL -TDDEYDEWREHNASRKLDLSVEDFLMLRHRAALGADDNDAVKFRSWWNSRSRMAYDDVDDVTVIGKGGVK -HERIRTNTLKAVDRGYDVSFAEESGPGTKFHKNAIGSVTDICGEHKGYCVHMGHGVYASVAHVVKGDSFF -MGERVFDVKTNGEFCCFRSTKILPSAAPFFTGKPTRDPWGSPVATDWKPKAYTTTSGKIVGCFATTSTET -HPGDCGLPYIDDNGRVTGLHTGSGGPKTPSAKLVVPYVHIDMKTKSVSPQKYDQTKPDISYKGLICKQLD -EIRIIPKGTRLHVSPAHLEDFEECSHQPASLGSGDPRCPKSLTAIVVDSLKPYCEAVEGPPHDILHRVQK -MLIDHLAGFVPMNISSEQSMLSAFHKLNHDTSCGPYLGGRKKDHMVNGEPDKQLLDLLSSKWKLATQGIG -LPHEYTIGLKDELRPVEKVQAGKRRMIWGCDVGVATVCAAAFKGVSDAITANHQYGPVQVGINMDGPSVE -ALYQRIKNAPKVYAVDYSKWDSTQSPRVSAASIDILRYFSDRSPIVDSATNTLKSPPIAIFNGVAVKVSS -GLPSGMPLTSVINSLNHCLYVGCAILQTLEARNIPVTWNLFSSFDMMTYGDDGVYMFPVMFASVSDQIFN -NLAAYGLKPTRVDKTVGAIEPIDPESVVFLKRTITRTPHGIRGLLDRSSILRQFYYIKGENSDDWKSPPK -TIDPTSRGQQLWNACLYASQHGVEFYNKVLKLAERAVEYEGLHIEPPNYYSALEHYNSLFNGVETRSDQI -DSSDATALHCDVFEV ->ANK36010.1 polyprotein [Sapovirus GV/WG194D-1] -MASKPFRSNERNARFEINLLHRCYLRVAPRERFLPDSTLESIWSYYNLHTPIQGTPYVEPHFQSEGLLSR -LFGTAGSPGLDSQTAYKELFGFLTEEQLPLSLDDLAKLQGELTSALRTSGNEFVTKHGKSQVQSLLRQLD -QLVPRDVSEREAARRLEFERATAEMFSELPNTDSFTEDDWKPYWFAMWKKVIKGCRGYYHTIPRWSSFKT -RLARATEPIRQLLGVVAESFNHCLSCDPRIVAMDLVTALKPTVLTMIYQQHRNTPQGWLATLTALWEVHS -PKLSHVEGFAASVTTTLGLLVNTLHDFFVKLCHHMDSTQTPQAPTTSGWVVIVAGLFALLLKLSCIPKVF -SHWHTLLKLAGGITTVVGAGRAVDWVLSKIRESRNASMCKQFLARVSALIEVHYSRTVAGVTENTELLKC -FDQLVDEGEELVAELGGGSLSAIIRSGVETLQRVAAEIKATIQLDNPRKVPVCVVFCGPPGIGKTSLAYH -MAKGYGLTSNFSLANDHHDGYTGNEVAVWDEFDTDRDGKFVEQMISLVNSQPCVLNCDRPENKGKLFTSN -YIFCTTNYATSVLPENPRAGAFYRRIITVDVSAPEIEDWMSKNPGKSPPKTLYRQDCSHLKLMLRPYLGY -NPDGDTLSGKRVRPTPITIKALHDLIDKKFEEQSAEHRGLWITVPKRQVQQALAAVKKFCVVNQALCHVT -STPTHEVLQCAVFSCIVISSDNPPGSVPLLHIKDAQLDLDSTGNSQASISESLMGLFTTQQRVSSNFQRK -VMYQVWSPFTLLQTETLNTQSLPPVRRIIYADTALDFLNGLRHHLGFSSLPGLWRAIRHLPDCSSMISWI -TDHLTQVRFPENPESTLFRTGDGDVIFYTFGSFYVLGTTARVPAVTGDTLNPLPNIPLKMTWFETLRALC -TSALRLFTAVAPFFLAMVNVSYLRARGSRDEEAKGKTKHGRGVRHARGRATALNDDEYNEWMDLRRDWRE -EMTADQFLQLRDEAYEGIVNDRTQRYGAWLQLRNTRLSANAYQHATIIGKGGVREELIRTQMLSAPKKGK -WNRGADSNPMNYFDEATTPIVEFQSSGEHVGWGVHLGNGRVVTVTHVATSSDAVEGHPFRVSETDGETCY -VLTPLQSHPYYQLGQGAPVYFTTRFHPVLVISEGQFDTPATTVVGYHLRIVNSYPTKKGDCGLPYFNAQR -QVVALHAAGSTDGATKLAQRIVTKVDIGETFVWKGLPVIRGKDVGGMPTGTRYHRSPAWPDISPDETHAP -APFGSGDTRYAFSQVEMLVGNLKPYLEQTAGIPPGLLNRAVVHARSYLQSIIGTTLSDPLSFNMAAALLE -KSTSCGPHVPGLKGDYWDEDACQYTGALREHLESVWNDAMIGRAPAHDYKLALKDELRPIEKNVQGKRRL -LWGADAGLTLVCCAALKPIANRLQAVVPMTPISVGINMDSGHIDVLNESLKGRVLYALDYSKWDSTQSPS -VTAASLEILSSFMTPSPIVSSAVEALKAPARGMINDAIFVTRNGLPSGMPFTSVINSLNHMLYICAAILL -AYESRGLPYSDNVFHVETVHTYGDDCLYGFTPATASLADSVIDNLRSFGLRPTAADKSSNIAPVQVPVFL -KRTFQMTPHGLRALLDQSSILRQFYWVKAQRTCEVNSPPTLDVRARSSQLEVALAYASQHGHTFFDTVVA -IAKRTAECEGYSLVNTNYEQAIACYNSWFIGGSTPEVPSTNEGFGLVVFEMEGNRSQQGLTAPSQDAPRN -IQVAPPGTTGPADAALVPVNPEQPNLSAQRAELAIATGAVSSNVPECVRRCYALLRTVPWNTRQPQGTLL -TAISLHPNINPYTSHLSQMYAGWGGSMEVRVTVSGSGLYAGKLLCGVLPPGIEPTTVLNPGVLPHAMVDA -RLTDPAVFNLPDVRAVDYHRTDGEESTVTLGVWVMQPLINPFGSTEAVSTAWVSIETMPGADFDLCLLKP -PQQRMANGASPDSLLPRRLQRHRGNRAGGFIVGAVIVGSASQINRHFNATGTTLGWSTAGVEPMAFAIGE -MQTGTNTNPKVGYHIEVGSDQRGPLYPNIVNQWPDFAVNSSYTWNDSEIIPTNAVAGTVMHFQDNGDVSE -TEIGRMFPVAMYSTGTARGRLVAAFNPASMYLVRTDSVNAPNGWVTSGANNGNGYFTPLWGHGNGNMIGD -KICNMEGANYVFNSSGPNNILLWKERIFSDHNGSCVLYSSQMDTTATTLQEGPVNIPENMMAVYNVESNA -ANFQIGLRRDGYAVTGGTIGTHVDLDPETTFTFAGLYPLTSSLIGPHGSEGRARIAWS ->AGH15844.2 polyprotein, partial [Sapovirus swine/WGP247/2009/USA] -KKKVLKFTIRTGALLDATKVAGLKASEVDSVLAFIEDLTAEGNELLITPGIGALASVVQTCVRELAQHKT -YVTNLKDAALRHEPPKLYVFSGPPGVGKTTLIKKLISDLGLPHSNFTLDLDHHDYYTGEEVCVWDEFDTD -AKGQYISTVITLVNTVPFPLNCDRIENKGRNFTSKIILATTNNETPVQPNDPRFEAFMRRVTYYDVRCPP -VTRCYEDGRKPGANLYKDDFSHLTITRRCFMAYNAEGCLANGKKFSGTPVTYSSILANIKGDLASFKLQS -PAWEGVWVKCLKPHHVPQVIQFFNGVFAHLGLPNRVTTERTQSVSGFYDFIVSTDSHPPGAQYHEIVIDG -FKTVPDCLQDAYERPLQVFDFVGTPSQTLLNICLTQARGHTILTSNSPINVSSLPRPKEIVYVENWYGLV -RAAFRHCSIFTPVALFKMIRNGMTLEQSNVEEFFRKLTHEVKFSVAPQCTLIRMPLFDILFFTSVGSMTW -ILPGRMPFATPGDIGSLVVPAQPVYRGSLWGALRMALTSFMNFIKPYLGLVATSVAISHVWGDSLQKKKG -KNKGARGMRALNDDEYTEWRDMRRDWRTEFTIEQYLDIVSNPDSDYAERYKAWSQLRSLRMANNAYDHAV -TIGKGGVKWEAQGPGATVKLRCGPTDVGWANRIGEGLYVTATHLMAMADNVDGVTYDVEYSKDDCTVIRQ -HTPTHGPCYKVSTSSKPTCFTEDRIPVTCLSVVETTVSGNKVVGWKVSCDQKTVGGDCGKPYLDADGRIV -AIHSAAANYGPTKLASRVVLKPSTPAQGETWKGLNVERNTITMGALPGSTKYHKSMLHKDDSYGPANFGP -CDTRCPRPLPDVIAEQIKPFQDNPVSIDENLIARGAKHVRAFMRHILGAHRRPHIDQLSAFRSLNMKSSN -GPWFPGTKKDHIDDEGRPNAMLESYISARISDVKTGKFKHHYKLSLKDELRPKEKILAGKRRLLWGCDVG -FATACAMVFKTLFDDICEAAPYTGCAVGIDMDNITVVKELNDMFTGTHLVCADYSRWDSTLHPVVIQHAV -DVLMDFVVEDDLALGVANVLKSRPSGLVYDLIIPTLKGLPSGMPGTSVINSVCHLILFASAVLGVYQRFN -APYGGNVFQHEKVVTYGDDCIYGFCTATASKVSTFWDLMRAFGMHPTNADKSGDPTFAHTIQFLKRTIVL -RDGHLLGALEPSSLWRQLNWIKGSKTTTMEPIYPPDPVGRLDQIYNAVWRSAAWGEEFFRTFEQHARELC -KAERLPYTEVNYSEAIQVLTSISSTTPEGKAVVYVMEGPTAPRQDQAIEMADGVQSSSAAPPIMVNPAPP -NQVAMAVASNDAAGGAVQTVADDIKSTYCVHKNFTWNARAAQGTLIGFVTLGPDCNPYTDHISRMYRGWS -GSMKVRISISGSGIYAGKIMAAILPPGLNPEDAGNPGSYPHALIDAKTNLAFSVDVYDIRNTEYHYQGDR -NVSSLGIWVYQPLINPFAQGDASAMITIETMPGPDFNFCMLKSPDSVTNVNAPSELLPITLLGSRDNRFG -QTPVGFVGANIATQANHHFDCSGVTFGWSTVPFAAPNIVLETARVDLGGGMAGYRARPAEGEDVVVAGVP -NHWPDSCASTAINTGSNSAAGKGAGGVVLTHLNGDIGESNPYTVLYMVFNQTGAPLAGDIADGNLMVRTL -NGTTGSAPANNGSLTTVSYIQTNADNNGNIQSATTPLRGRAVSYGPLGGNNILLWQVELPSSHRGGGVVY -SSQLDHTAVACANIVNVPPGGMAVYTVKSAGDVFQVGVCHDGYLRTGIGNGVVAPLDPRTTFEYNGVYSI -TTPLNGPDGTGRGFRMAP ->AEM37581.2 polyprotein [California sea lion sapovirus 1] -MASKPFNPMFYSHSFEWKVYRTACLRARGRETFYEDDTILYRHFCQLSPPRLHFQSEGIWDLFKSTPHTR -PTEQDMFKTLFGLESPENVVLSMQDLCVLQSAVCEALRNPLTTLGKKYSPQDLQKILDRITPVIPVQNTL -DEMQRRRQFERDTAELFTALPLTEEGPSEAPKAYFYTMWKSVISKGKSYFLPLVKSTGWRNKLFKVTEPL -REFLAYAFQGAQAGLDFSDKGMIMDFIVALKPTTLQMILQQHRNTPNGWLATCTALVELYSNLFERMREN -VTAIISSITLFFDICAHFIQKLVKLAADTFIPQGPTPLGWVTLVTGLFVILLKLSGVPRATSYWGAILKV -CGGITSVVAAARALKWVGDLYREANQRALARAFMARASALVEVAASREVNGLDENRQLLQCFNVLIDEGT -DLMHDLGTSPLAGVIRTYLSELEQVAQGIRSTIQLDTPRKPPVCIIFTGPPGIGKTMLAQSIGKGFGKIS -TFTLANDHHDAYTGNKVALWDEFDVDTKGLFVETMIGLVNTQPYPLNCDRVENKGKVFSSDIILCTSNFP -TSVIPENPRAAAFYRRVTIIDVSSPDIEDWKSRNPGKQPPKSLFKSDFSHLVMHMRPYLGYDPDGNTLDG -KRVRPARINIAGLNSYIEGKFKEEGKEMRHLWINTPQNILADTTNVLKKFCYAKRALCAVIQCPTANDLA -VSPVGKIFVSSTVPPSTYDGITVTVRGFNEDTEPAHANSILSLFKTDSRLSGKVQRDIMYKAWDPLLMLA -ERGINTQSLPYVSRVVNVSSSRDFIFALRHHLGFRSIPGMWKAYLGWKESSCVTEFISRHLGDVQFPENP -ECTVFRAPDGDVIFYTYHSYWCFATPARIPFVGPRPSPVHQNIPSHMTWAETIRAGVEIVTEVLLRYTPF -FLALWNVVYLSVRGDREEEGKGKTKHGRGARHGRRGGVSLSDDEYDEWRDLRRDWRSDMTVDDFVRLRER -SAMGLDGEDVERYRAWLSIRAMRLNNNAYTHATIIGRGGVRDEIIRTAPRRAPRDIAYEPREYTEEGRSH -VVQFTLNGEHAGWGVHIGNGNVYTVTHVAKAADAVEGTSFTIKVSDGETCHIQAPLGHLPHAQIGEGQPT -YYSPNLHPVMVLSTGCFDTPNITVNGYHLRITNSYPTKKGDCGIPYYDENRRVVALHAASSVGGETKLAQ -KLFKVQTPQEIFTWKGLPVIKSGVDVGGMPTGTRLHRSPAWPERQPWEVYEPAPFGAGDKRYSFSQVQML -CDGLKPYTQPTPGIPPHMLTRAAHHVRSYITSVIGNHKSETLSFQTSCELLERATSAGPFIPGLKGDYWD -EGSQQYSGVLRTALDKAWDDAHKGVAPENAYKLALKDELRPVAKNQQGKRRLLWGADAGLTLVCTAAFKP -VAMRLQNVVPLTPVSVGINMDSSQVEVLNESLKGRVLYCMDYSKWDSTQHPAVTSASVDILASFMAPCPL -VSSAQAALTSPARGYLNDVCFITASGLPSGMPFTSVINSINHMIYFAAALLTAYERHGAPYTGNVFDVET -VHTYGDDCCYGFCPASASVFPSFKDALCGFGLRPTAADKGDVITPTLIPVFLKRTLTATPRGVRALLDIE -SIKRQFLWVKGNRTCDPNSPPTFERQPRGAQLEVALAYASQHGPHIFAEVAKLAEQTASGEGLVLVNTNF -DQAMACYEAWFAGGTQPDPSGNNEVPTQLVFEMEGNSAPTQSQGPQNPGPSGTTGPSEAQMVTSNLEVPN -VAAQRTELAIATGAVSSNVPDAVRNCFALQKTVPWNNRMPQGTLLTALPLHPSNNPYTRHLSQMYAGWGG -SMNVRVSVSGSGIYAGKIIVGVLPPGVDPTAVADPGVLPHALVDARTPEPVTFNLADVRAVDYHRTDANE -PTTTLGLWVLQPLINPFGGDGGVSSAWVSIETSPGGDFDLCLLKPPGQEMENGAAPNSLLPRRLHRMRGN -RAGGYVTGLVIVGSAKQVNRHFTALGTTFGWSTAPVEPCAFDLISVHAPSNSSGAKAGYTFDVGAAGRGP -LFPNIPNHWPDYAVNSQIKWPETSIKPSQSFLGTLINFSDNGDLSENTVVYAIPVCMHPPTSSRGVVEEF -FDPATMTFMRPDTNTAPSGWAPASNLGNCLWTPVGGMGSDAGYSPQDHVVNLKGSSFIFGPSGSNNIILW -KERTFSSHPGASYLYSSQLDYTSTAFQSGPINIPDNMMAVFNVETNGASFQLGIRKDGYAVTSGTIGTHV -DLDPETTFTFVGLFPLTATLIGPHGNGGRSRINWQ ->AEM37580.1 polyprotein, partial [California sea lion sapovirus 2] -KVSRVENAFAWKGLSVIRGPDCGGMPTGTRFHRSPAWPQEQPGETHAPAPFGAGDSRYHFSQVEMLVNGL -KPYSEPTPGIPPALLQRAATHTRTYLESVIGTHKSPNLTFQESCALLERSTSCGPFIAGQKGDYWDDAAQ -QYTGLLADHLAKAWDAANRGVAPQNAYKLALKDELRPIEKNAEGKRRLLWGCDAGATLVATSAFKGVATR -LQTVAPMTPVAVGINMDSYQVEVLNESLKGGVLYCLDYSKWDSTQHPAVTASSLAILERFSEATPITTSA -VELLSSPARGHLNDIVFVTKSGLPSGMPFTSVVNSINHMTYFAAAVLKAYEAHGAPYTGNVFQVETVHTY -GDDCLYSVCPATASVFDTVLANLASFGLRPTAADKSSKIEPTLTPVFLKRTLTNTPRGVRALLDITSIKR -QFHWIKANRTVDISSPPAFDRVARSTQLENALAYASQHGHALFDEISQIAQQTAAAEGLVLTNTNYDQAL -ATYEAWFIGGTGTSPGDSNEEITKLVFEMEGLGHQQQGPSPQSSKSQSVPITTTPQDTIGPTEGLLLPTR -TEQPSGSAQRLEMAVATGATTSNVPECVRMCFASVTTLGWSTRQAAGTFLGAVHLGPNINPYTAHLSAMF -AGWGGSMELRLTISGSGLYAGRLIAAVLPPGLNPVNIQNPGVFPHAFIDARTTDPILVLLPDIRPLDYHR -TDGDEATATVGLWVGQPLINPF ->AOZ57845.1 polyprotein [Sapovirus GII.8] -MASKPFYSIEFNPRVEHAVLCSAYLRVGGRDSIFENHQDMLVHVSRLVERAWQQHQARIPRHLGFEFEAE -GLLDAFSSKPPVDINPDTTFRELFGVDPHEQFPLSITDLARLQGELVDAVRNPHHALRRHYSTDSITALI -TKLTKIVPVQATLEDMQRRRDFERERAEVFRELPHSDEAPQRDPKNYFYAMWRQVIKRGKSYFIPLVKCT -SWKRKFSGAAEVVRQCLVGFCEGMRTQFSTDVNYIQLDLLARLRPTVMTMILQQHRNTFNGWLATITALI -EVYSGMFQNMRDTAVSVVSSITLVFDSLRAFVEKVINLVRTTFTAQGPTDFGWAAILTGAIIILMKISGC -PQALGYWGKILKVCGSITSVTAAARAVAWIRDTIREAEGRRRLKQFMARSSALIELAASREVTGTDDLKK -LLECFVQLSEEGADLIQEFGTSPLAGLTRSYVSELEAAANTVRTTIQLDTPRKVPVAVILTGPPGIGKTH -LAQHIGAGFGKCSTFSVCTDHHDSYTGNRVAIWDEFDVDTQGRFVETMIGIINSAPYPLNCDRAENKGKV -FSSEYVICTSNYPTSVLPDNPRAGAFYRRVLTVDVTAPDIDDWKKKNPGKKPPNDMFKADFSHLRLFVRP -YLGYNADGDTLEGVRVRPQQVTVDGLTKIMERRFEEQGADQLQNLWIVCPKELVTTATAGLKAFMSANRA -LCQVIQDPSSSEIAETCVSRVFVSNLNPPQGYRGHKVKVSSVCPEDASIANSMLSMFNSDSRLSAAVQRD -IMYRVWRPLVHLQEQRPNTQVLPYINRVVPVSTPFDFVRGLRHHLGLCSIRGMWSAYRGWYDSTTIIEFV -AKHMADVTFPMNPECTVFRTTDGDVVFYTYGSYACLASPARVPFVGDPPKVVHSNVTRNMTWGETLQLVA -ETLMEVITRFTPFLLTLYNVTYLTMRGSREEEGKGKNKHGRGARHGRRGGVSLSDDEYDEWRDLIRDWRK -DMSVNEFLDLRERFAAGMDAEDVERYRMWLQIRAMRLNAGAYTHATIIGKGRVEDTIIRTQPLRAPRRPT -NTEYDEEAPTPVVEFTSDGEHVGYGCHLGNGVVLSVTHVVAAANEVEGTPFSVKKTEGELTWVNTNLARL -PHYQIGDGNPVYFSPRLHPVLTLAEGTYETPNITVNGFHIRITNGYPTKKGDCGLPYFNGCRQLVALHAA -TATNSETKLAQKVTRVSKVENVFAWKGLPVTRGPDCGGMPTGTRYHRSPAWPDEQPGETHAPAPFGAGDS -RYSFSQVEMLVNGLKPYAEPTPGIPPALLQRAATHTRVYLESIIGNHKSPNLSFSEACELLERSTSCGPF -VAGQKGDYWDDNTHTYTGLLREHLERTWDAASRGVAPPNSYKLALKDELRPIEKNSQGKRRLLWGCDAGA -TLVATAAFKGVAGRLQGVSPMTPVAVGINMDSFQVSVLNDSLKGGVVYCLDYSKWDSTQHPAVTASSLDI -LARFSEATPITASAVELLSSPAKGHLNDIVFTTRSGLPSGMPFTSVVNSLNHMTYFAAAVLKAYECRGVP -YTGNVFQLETVHTYGDDCVYSLCPATASLFDVVLSNLASFGLKPTAPDKSDSIAPTHTPVFLKRTLTATP -RGIRALLDITSIKRQFYWVKANRTTDINSPAVFDRAARCIQLENALAYASQHGPTVFDEVAKIAQVTASA -EGLALTNINYDQALATYEAWFIGGTVPDPNNPSEEVAKLVFEMEGLGQPPQSGQGNPPQGVVTPQDTISP -TEALLLPTQVEMPNTGAQRLEMAVATGAINSNVPNCVRECFAAVTTIPWNTRQAANTFLGAVHLGPRLNP -YTAHLSAMFAGWGGSFQIRVTISGSGIFAGRAVCAVLPPGVNPASVQNPGVFPHAFIDARTTEPVLINLP -DIRAVDYHRVDGDESTATVGLWVAQPLLNPFSTGSSVSTCWLSFETRPGPDFDFCLLKAPDQEMDNGVSP -AGLLPRRLGRSRGNRLGGRIVKMVVVAAAHQVNHHFAANGTTLGWSSLPLEPVAAHAYWHSTSGTPTKRY -CLLSAENKGIIFPHIVNHWPDSSMSAITSQDTEIPQSTFDTHVGSAGPVMTFRDDGDVDETHCETGIFTT -ADAQFSHLADSFGGANTYIYLPWMTRTPTDQNVYVKPTWVNGSTSKPIKEKCTNMKGTNFHFGGTGMANI -VLWQEQAFTSWPGAAELYCSQLESTAEMFQNNIVNIPAGQMAVFNVETSGNVFQIGILPNGYHVTTAPIG -TIIELDPETAFRFVGLFPQSTSLQGPHGNNGRAVRFLE ->AGH15838.2 polyprotein, partial [Sapovirus swine/WG214C/2009/USA] -NTKSKPKPIETWKGLEVDRGEQSMGPLPCSTKYHRSLVHFDTGYEPANFGPTDQRCPRPLTDVIAEQLEP -YQEEPVPVDQVLLQRGQKHLLAFLRHVLGTNRREHIGMLEAFKSLNHKSSNGPWFPGTKRDYMDAEGNPN -ALLESYISTKLKDIKLGQFKHHYRLSLKDELRPREKVLAGKRRLLWGCDVGLATACAMVYKNLFDEISAA -APYTGCAVGIDMDNLDTVRDLNEMFTGSHLVCADYSKWDSTLHPDVIKLAIDTLAQFVTLDDLAVGVNNV -LCSRPCGLVYDIVVPTKKGLPSGMPGTSIINSVAHLILFAASVLSIYQKCGVPYPGNVFQHERVVVYGDD -CVYGFSTATASKAQLFWDQMRAFGMKPTNADKTGDPAFTDTIHFLKRKVILNEGRLMAALDQSSLLRQLA -WIKGPKTTKMEPIYPPDPVGRLDQIYNAVWRSAAWGKEFFEDFEAKAATLAKHELLPYTGVEYSEAINVL -TSISSRPPEGEAIVYVMEGPNGPKGAQPLEQPMEIADGAQSSTAGPPIMVNPAPPNVAIQAASAISASGG -AATTLGEDVMSTFCVAANYTWNSRAAPNTLLGAMPLGPQCNPYTRHVAKMYGGWSGSMEIRITVSGSGMY -AGKVMAVVLPPGVKAEDVTNPGAYPHALIDAKTSISFAVTMYDVRNTDFHFTGDQRVSVFGLWVFQPLIN -PFAGTADSSALITVETRPSIDFRLCLLKSPEDVVEVQAPDDLLPRRFVGSTENRFNTHPQGLEIVNIAHQ -VNHHYRPNGTTFGWSTVPVAPPQLVVGNSATLGGRTAYLVTPAANTDLIPGIPNHWPDACASTSLNGGSN -SIATYGAAGVVMTQQGLNVDETKPLSVMYAIFESSSSPIGPSVDANKLMIVLNSSITGPAPGDNAICTVN -YIQQSNDNQVNVGAAVTPLFNNRPSYGPMGGNNLALWRTQLPSSGNNGCVVYSSQLNESAINCMNVRGVP -DGAMAVYTVHTLGDVFQLGFCFDGYLRTGAALGTVLLDDDATFEFNGIFSVNTPLQGPNGNQGAARRLR ->AAQ17057.2 polyprotein [Sapovirus Mc2] -MASKPFYPIEFNRKVETTVLFSAHLRVSGREEIFETKQDMYAHVCQVVAWVRREIMRRTPVVSPAFSAEG -LLDAFASKPPVDINPDTTFRELFGIDPHEQFPLSITDLAKLQGELVDAAHNPGHILRRHYSTDSLTKLIN -KITKYVPVQATLTEMQARRAHERERADLFKELPLVDPEVTQQQKSYFYAMWRQVVKKGKEFFIPLVKCTS -WRKKFSEPAEIVRQLLVHFCEGMRTQFNTNANYINLSLLAKLRPTVLTMIFQQHKNTYRGWLATITALVE -VYSNLFQDMRETAVSAVSAITLLFESLKQFVEGVIDLVRGTFQAQGPTNCGWAAIAAGVILILLKISGCP -SVMGYWQRLLKVCAGVTTIAAAARAFTWVRDIIVEAERKARLKKYMARTAALLELAASRDVTGTEELKRL -LECFTQLIEEGTELIQEFGTSPMAGLARSYVSELETAANGIRSTILLDTPRKVPVAIILTGPPGIGKTHL -AQHLAAGFGKTSNFSVTLDHHDSYTGNDVAIWDEFDVDAQGKFVETMIGVVNTAPYPLNCDRVENKGKVF -TSNYIICTSNYPTSVLPENPRAGAFYRRVTTVDVSSPVIDDWKKKNPGKKPPLDLYKKDFSHLRLSVRPY -LGYNPEGDTLEGVRVKPILTSVDGLSRLMETKFKEQGNELRNLWITCPRDLVTPAASGLKSYMAANRALA -QVFAEPSSNEIAETCTSRIFVSANNPPPTFVGRHVKITAINPWDASLANSMLSMFETNTHIPAVTQREIM -YRVWTPLIHMQTREPNTQMLPYINRVVPVTSSFDFVRGLRHHLGLCSIKGMWKAYQGWHSSATILEFLSK -HMEDVTFPSNPECTVFRAADGDVIFYTFGSYACFVSPARVPFVGDPPKTVHSNITRNMTWIETLRLLVET -ITESLAHFGPLLLMVHNVAYLTTRSQRDEEAKGKTKHGRGARHARRGGVSLSDDEYDEWRDLVRDWRQDM -TVGEFVELRERYALGMDSEDVQRYRAWLQLRAMRLGAGAYQHATIIGRGRVEDTIIRTQPMRAPRAPRST -GYDEEAPTPIVTFTSNGDHVGYGCHIGNGVVVTVTHVAAAADAVEGLEFSVRKTEGETTWVNTPLGHLPH -YQLGDGAPVYYSTRLHPVTTIAEGTYETPNITVHGFHLRIINGYPTKRGDCGTPYFDSCRRLVGLHAATS -TNGETKLAQKVSRVSKVENAFAWKGLPVLRGPDCGGMPTGTRYHRSPAWPEQLSSETHAPAPFGAGDPRY -NFSQVEMLVTGLKPYSEPTPGIPPALLQRAATHTRTYLESIVGTHRSPNLTYNEACALLDRSTSCGPFIA -GQKGDYWDEDRQCYIGVLAEHLGKAWDAANRGIAPQNAYKLALKDELRPIEKNQQGKRRLLWGCDAGATL -VATAAFKGIATRLQAVAPMTPVGVGINMDSYQVEVLNESLKGGVVYCLDYSKWDSTQHPAVTAASLAILE -RLSEATPITTSAVELLSSPARGHLNDIIFVTKSGLPSGMPFTSVVNSLNHMTYFAAAVLKAYEQHGAPYT -GNVFQVETVHTYGDDCIYSLCPATASIFETVLANLSAFGLRPTAADKTDKIAPTHTPVFLKRTLTCTPRG -IRGLLDITSIRRQFFWIKANRTTDISSPPAYDREARSVQLENALAYASQHGHAIFEEIAEIAKRTAQSEG -LVLTNVNYDQALATYEAWFIGGTGTGQDSPSEETTKLVFEMEGLGQPQSQRDQQVMEQVVTPQDTIGPTS -ALLLPTQVETPNASAQRVELAMATGAVTSNVPNCIRECFAAVTTIPWTTRQAANTFLGAIHLGPRINPYT -AHLSAMFAGWGGGFQVRVTISGSGLFAGRAITAILPPGVNPAAVQNPGVFPHAFIDARTTDPILINLPDI -RPIDFHRVDGDDATASVGLWVAQPLINPFQTGSVSTCWLSFETRPGPDFDFCLLKAPEQEMDNGISPANL -LPRRLGRSRGNRLGGRVVGLVVVAAAEQVNHHFGANSTTLGWSTLPIEPIAGAVSWYDDNNEHTKIRGLL -SAQGKGIIFPNIVNHWTDVSLSAKTSGQTTIPIAADNLNNSPGAAGPVVMFENNGDVNESTANHGILTAA -SHDFTSLSQTFDAAGLWVWMPWTRNKPDGRTNTNVYITPTWINGNPARPIHEKCTNMVGTNFQFGGTGTN -NIMLWQEQHFTSFPGAAEVYCSQLESTAEMFQNNVVNIPANQMAVFNVETAGNTFQIGILPNGYSVTNAA -IGTHQLLDYETSFRFVGLFPQSTSLQGPNGNAGRAVRFLE ->AFH89835.1 polyprotein, partial [Chicken calicivirus] -LPYYNAAGEVCGIHTGMYTNTRQVFITSLRATTPTVETWREIPVTNSGRSLGPMRKGTAYHRSLAHPHPY -SWENVEPAPYGGSDPRGLMAQEKILAANLVPYVTPPPPINPIIAEAAVTVRRHLASLLSFFGQPSVEPMI -ASLQRLDPTTSCGPFVAGVKRDHVIVRDGVVELRPGSALDMHFQYALAQASTGQPLENAYALALEDELLP -SHKVAQSRKRLLWGTDAGVTLIASMAWGPLLDMLKAACPTSPIAVGCNMDSTYVAASVAQVVGKSTLCLD -YSKWDSTMHPAVICHAINLMCDLVTPSPIVDSVRATLTSAPVGYFMDKKVVALRGLPSGTPATSVVNSVC -HLIYFTSAYWLAQDQVGMARTPQPLQEAFVRVYGDDCVYGFPPRTATFVNEFVDSLRSLGLQPTAPDKTQ -NFQLDQPIQFLKRSIMPLGDLAVAPLELSSILQQAVWVKAGVSGDHTSARAASNLQARSVQIQEALYALA -LHGVDVYQEWLPLFQRTIEVEGLSTVIYSFDEAMSHYTSRYFTGDIASNYLLEDGIATSSVASTFTPHNG -DQQQQRAEGASGAGGFSATGDPATAQPGTVNTSYVPTTAVVAAAGGPIPESATLATLGAGAQSTLPPGIQ -GLFVASARLSWTTNMPPRQVIGILKLDPTLNPFLNVLSRMYAGWSGGMLVRIQVSGSGMFGGRLCASLIP -PGIDPSSVKLPTAYPHVILDARVTEPVELMVTDIRRGAYHAMDAVEEVSSLLVTVSAPLVNPFQSSQVSQ -AEVTIFTTPAPDFFFCLLKEPASEIATFANLLGDNTSQWFSNRWRSRIHSFSATNSLRFSYNHFDLAGNT -FGWGRGVPGSGDVFKVQNAITSQPHLYAPMFCGTWLSTNGYLSPTVFDLVTTNNMQQGSTTAAVNRPFTG -ITGVLIWTTSTGTGLRNSYPEATLLWGEAPTTPANNAPFTASATTDVGRFFLSIPINLQSTGNMIYFYWV -PAFIMSDSDASELTILQDPRAPTAYSGRGSSILSLNWDAYIVAPSNTVTGKLSFPCGQPTVCSSLLANAG -VSLPSGDYMPCYRLTNAYDSFEIAVRPDGYLTTGAFTGTVDLEATSYDIVFTGFVTTNSVLAGPSAAASA -SFSRATAPLVTLEHLIADHGNGIGEFPLFD ->AIA09958.1 polyprotein [Feline calicivirus] -MSQTLSFVLKTHSVRKDFVHSVKPMLNRRRELQYFYNKLRRAMRAEACPSCASYDVCPNCTSGDIPDDGS -SVGTIPSWDEVTKTSTYSLLLSEDTTDELAGDDLVNIAAHIRKALSTQSHPANTELCKEQLTTLLVMAEA -MVPQRSRSSIPLHQQHQAARIEWREKFFHKPLDFLFEKLGMSKDILQTTAIWKIVLEKACYCKSYGEEWF -SVAKQKLTQIKSFEGNTLKPLIGGFIDGLRLLTVDNPNPIGFLPKLISLIKPLNLAMIIDNHENTLSGWI -VTLTAIMELYGITECTIDVITSIITGFYDKLTKATKFYSQVKALFLGLRTEDVSNTFWYMAAAVLCYLIT -GLIPNNGKLSKIKACLGGATTLVSGIVATQKLAAMFAAWNSESIINELSARTVALSELNNPTTTSDTDSV -ERLLELPKILHEEIKVYTLNPIMQSYNPILRNLMSTLDGVITSCNKRKAIAKKRQVPVCYILTGPPGCGK -TTAAHALAKKLSDQEPSIINLDVDHHDTYTGNEVCIVDEFDSSDKVDYANFVIGMVNSAPMVLNCDMLEN -KGKLFTSKYIIMTSNSETPVKPNSKRAGAFYRRVTIIDVSNPLVDSHKRARPGTAVPRTCYKKNFSHLCL -AKRGAECWCKEYVLDPKGLQHQSIKAPPPTFLNIDSLAQTMKQEFMVKNMAFESEDGCNNHRYGFVCQQE -EVETVRRLLNAIRARMNATFTVCVGPESSTNPIGCTAHVLTPDEPFNGKRFVVSRCSEASLAALEGNCVQ -TALGICMSDKDLTHLCHYIRGKIVNDNVRLDELPANQHVVTVNSVFDLAWALRRHLTLAGQFQPIRAAYD -VLTAPDKIPAMLRHWMDNTSFSDEHVVTQFITPGGVVILESCGGARIWAMGHNVIRAGGVTATPVGGSVR -LMGLSAQTMPWTEIFRELFSLLGRIWSSIKVSTLVLTALGMYASRFRPKSEAKGKTKTKHATYRGRGVAL -TDDEYDEWREHNASRKLDLSVEDFLMLRHRAALGADDNDAVKFISWWNARSKMAYDDVDDVTVIGKGGVK -HERIRTNTLKAIDRGYDVSFAEESGPGTKFHNNAIGSVTDVCGEHTGYCIHMSHGVYASVAHVVKGDSFF -MGERIFDLKTNGEFCCFRSTKILPSAAPFFTGKPTRDPWGSPVATEWKPKAYTTTSGKIIGCFATTSTET -HPGDCGLPYIDDNGRVTGLHTGSGGPKTPSAKLVVPYVHIDMKSKSVSPQKYDQTKPDISYKGLICKQLD -EIRIIPKGTRLHVSPAHLEDFEECSHQPASLGSGDPRCPKSLTAIVVDSLKPYCEVVEGPPHDILHRVQK -MLIDHLSGFVPMNISSEQSMLSAFHKLNHDTSCGPYLGGRKKDHMVNGEPNKQLLDLLSSKWKLATQGIG -LPHEYTIGLKDELRPVEKVQEGKRRMIWGCDVGVATVCVDAFKGVSDAMTANHQYGPVHVGINMDSPSVE -ALYHRIKSAAKVYAVDYSKWDSTQSPRVSAASIDILRYFSDRSPIVDSAANTLKSPPIAIFNGVAVKVSS -GLPSGMPLTSVINSLNHCLYVGCAILQTLEARNIPVTWNLFSSFDMMTYGDDGVYMFPTMFASVSDQIFN -NLTAYGLKPTRVDKTVGAIEPIDPESVVFLKRTITRTQHGIRGLLDRSSILRQFYYIKGENSDNWKEPPK -KIDPTSRGQQLWNACLYASQHGVEFYNKVLKLAMKAVEYEGLHIEPPSYYSALEHYNSQFNGAEARADQI -NLSDVTALHCDVFEV ->AQQ78875.1 polyprotein, partial [Bat sapovirus] -KAGMSCVGLATRLFEYLYDFSKDLWRTFKSWWAGLFQPESGNTMILVVGVVGFIYFLATQTVPGKFLTKH -LLKIAGMLTGGAAAFKSVEYIINYLKTSHHNSCVQQFMARHAALIEVIDAQNEAGTLEAEGLIKCCDIIA -SEGTTLISENPTSATSGLLRTLVATVEERKSRLTQTVKMDLPRPVPQMFIFGGPPGIGKTRLVQHIAKLL -DERTSTFSLAVDHHDAYTGNPIAIWDEFDVDKDGKFVEMVVSIVNSSPCPLNCDRPENKGKMFTSKYILA -TTNCPTPVVPEHPRANAFWRRVNFVDVCSPDIESFMKRNPGRTIPKNLYKNDFSHLELXLRPYLGVTPDG -VLIDGRRAAGARITPDDVVALMKKNFEAQARTPHVLWLKMPTKMLTQAHKLVKGWAYYSGSLVQVVTTLT -AEQAMNPSGPGFIVISDQDAPVGNIFEMLVYDFSDNAARVGPELPCDSINDLFKVQNSRVPGHILRHIMY -HIHGHHTHLHDIQLNIAEIPRPKNMVIVSTPLELIKPLWRHLSVSSIPGYRTLVKGGLKGANYFDLMVAT -VSQLKFGPNPECTLFRTPGGDIILYTCGGSFVFGTPARYPLVAPVDYPTFRHKHGRDTTWFDLFLSSLEI -LAKGILPYVPLIISMVNVSYLVHRQHRGVEAKGKTKRGRGRIHALQDDEYEEYRDVKRDWRQEMTVSEFL -EIRQKAFAGSMDPTSQRYRAWLELRELRASNNAYRYDINTVIGKKGVRDQIQRLDLIKAPKERDIYDGYE -SQAPSHLLEFTDGEHHVGWGIHVGGGKVVTCTHVCDMATEVEGLPFSKLETVSDITFVKTEYSGPFKQIG -SGEPNYFQDQCHVVRVLESGTFDTPTTSVSGWTIKILNNTPTKKGDCGLPYYDANHRLVGLHSGASLQGS -VKLVSRVPQSNTPIKDRFSWKGLMVSRGPNTGGMPTGTRYHRSPAFPTPLPDETHSPAPFGIGDPRYSHT -QVEMLVNGLKPYQQTPVLAFDRVLLQRALHHTRATLRGLIGTHLSRNLTFTESCLALERSTSCGPFVPGI -KSDYWDERDTNIFRSVAPTFGSILGYGTSWPSPPKCIQIGFEGNELRPNEKNAEGKRRLLWGADAGVTLI -CTAALKNVSERLAEVVPVNPIAVGTNMDSPQVEMMNAALVNRVVYNVDYSKWDSTMQPTIISAAVNLLSE -WCEPSQITSAATQILTSRAIGHFEDVVFQANTGLPSGMPFTSVINSTCHMILLAMAILKAYEDVGVPYTG -VVFENEVVWTYGDDGLYGFTLATSSLMPKILDNLRSFGLSPTAPDKSPEITPTLSPVFLKRTFITTPNGV -RAALDQSSILRQFYWVKAQRTSDINSPPRFDPLVRTQQLTVALAMSAVHGPDFYQKVSEIARKCADAEGL -VLICDYNESITMYEAWYHGKSPIVESVPTEVPDKLVFEMEGPGPQSALPEGAANDSTAPTVPTGGVTTDP -VVQAVQMAAATGSLNLSLPPEVTSTFAVLGNLTWTNRQSPGALIGSYRLGPQVNPYLAHLSAMWGAWGGG -TEFRITVSGSGLFAGKLMIAIVPPGVDPTKMPTPGALPHALLDARTTDPVVFALPDVRATAYHIVGQLTD -VPTIGLWVFNALINPFSSAEALAACTVTIETRPQADFTFGMLLPPNTADSASSNPSHLLPRRLGFSRGNR -TGGLIRGAQIVATANQVNHHWNASGRTFGWSVGPPDFVSVQTYDSSTTPTYRTVFGISTPIISKVANHWP -DYSVSYPVNGVQSLDMSSNSGLKPGANWKGVACLAAMMDGNTGDVDTDSSRMVLPATGSVVSGAFRPAVT -LSDNQMLFLLNRNESSFTGGVVVFTPISTNGSNTVITERCLTLPTGGRTVGPLGPNTQCCGRKRSVVILV -GLLIVWHHSSSQLLTSFLKAL ->ALV13256.1 polyprotein [Porcine sapovirus] -MANCRPLPIGQLPNRVFGTPRLTPGWVWACTSEAMFKLEWLQDPVVIRSPDVFVAQGVVDDFFRPKRVLQ -GDPQLIAQVLLGDATGPLVGPVSMQQLTSLLHEVSQALNDHKHPLANRYTRASLQRYADTLSNYIPLVDI -LTGPKDLTPRDVLEQLAAGREWECVPDSALKKVFRDMWQYICEGCDSVYIKLQDVKRKMPHIDTTVLKQF -IITLTDTISMATALDTKTWLAHILGWLKPTCLVMIMQQHVNSPQGWAATLTALAELYYGIMPLTETLGSV -ASWVTDKFADMATSTWGKFKTWWDSLYTPQAGNDLIILGGVVGLVYFMVFGDAPTQMFTKKLMKVCGFIT -STVAAIKAAMWIVDYFKQREHEHQVRITLARWAALQEVIKQNRCAGLSEVTKLKECCEVLLNEVTELMYK -LGASPLAGLIRSTSDVIQTTINELAQLMAYDTQRKPPAMVVFGGPPGIGKTRLVEALAKQLGEVSHFTMT -VDHYDTYTGNTVAIWDEFDVDSKQAFIEATIGIVNCAPYPLNCDRPEAKGRVFTSKYVLATTNCPTPVMP -DHPRAMAFWRRITFIDVTAPTIEQWLVDNPGRKAPTSLFKEDFSHLQCSVRGYTAYDEKGNTLSGKVARA -RYVSVNNLLDLIKEKYNSEATDIKHLWFTVPQAIHKQARDIILGWLRFHSYPNTVADNIPLSEVRDPTCF -GYVVISDVDPPRHVAEHVAHVEVESILRTDIMDLLREGGGGLFRALKVKSAPRSCVINKVMMQAHHTTLQ -VLTSQEPHPPNLPRPRRLVFVESPIDIISALRHHVGFCTIPGIVKLITSGVGLGVENLGNFLQSIAGNVR -FPLQSECSLLRTPSGDVLFYTSGQAAVWATPARFPIVTPGEASVGKEVCSESSWWDILKALFSTLVVAFG -PIATLVLTAHNLAYLNTRENTLSEAKGKNKRGRGARKAIALRDDEYDEWQDIIRDWRKEMTVQQFLDLKE -RALSGASDPDSQRYNAWLELRAKRLSAGAYQHAVVDIIGKSGHRREVIRTQVMRAPREPRGDTYDSEGRG -YVVPMTAQEKHTGWAVHIGNGRLVTCTHVANMCDRVAEVEFKVAETDRDTCIITAPLGHLPSVALGDGPP -AFYTTNFHPIRVLDEGSWDTTTTRVRGWRVVINNGTTTAPGDCGQPYLNARRQLVGVHAATSTCGVKKLV -SRVQTKKAAKATFPWKGLPVTTMPDAGGLPTGTRYHRSIAWPKLLPEETHAPAPYGVNDPRYPFSQHQMI -ANNLQPYTNTPVVLDQTLLQRAVKHTKGYLDQIIGTHRSPNLTYAAAVESMAHDTACGPNLPGRKKDYMT -DQGEPIGPLKQMLEEAWDMAHRGTPRRHEYKLALKDELRPIEKNDQGKRRLLWGCDAGVSMIANAVFKPV -AERLVDTVPMHPVAVGICMDSPQIEQMNQALTGRVLYCLDYSKWDSTQNPAVTCASVDILASYAEDTPLS -SAAIATLCSPAVGRLDDIGLTVTSGLPSGMPFTSVINSVNHMIYFAMAVLEAYEEFKVPYMGNIFDNETV -YTYGDDCVYGLTPATASIMPVVVKNLTSYGLAPTAADKSQSIEPTDTPVFLKRTFSQTPFGLRALLDETS -LARQCYWVKANRTTNLFEPAAVDVDIRKNQLEVMLAYASQHPRSVFDKLAGMAEVTASAEGYQLVNVEWA -NAVATYNAWYGGTDGGRAPTNEDEEPEVFVMEAPAPARSVASNPEGTQNSNETRPVQPAGPMPVAAAQAL -EMAVATGQISDTIPSVVRETFSTYTNVTWTTRQPTGTLLARMSLGPGLNPYTLHLSAMWAGWGGSFEIKV -IISGSGMYAGKLLCALIPPGVDPSAVDQPGAFPHALVDARTTEGVTFTLGDVRAVDYHETGVGGTVACLA -LYVYQPLINPFETAVSAAMVTIETRPGQDFGFTLLKPPNQAMEVGFDPRSLLPRTARTLRGNRFGRPITA -VVIVGVAQQINRHFSAEGTTLGWSTAPIGPCVARVNGKYTNNTGKAVFQLGPLSNGPLYPNIINHYPDVA -ASTMFNTATAVDDNTTGGGGPMVIFNDVGDVVEGVAYQMRFIASHATSQSPTLIDQINATSMAICSFGNS -RADLNQSPLNVGIELTYTCGTKAINGIVTSFMDRQYTFGPQGPNNIMLWVESVLGTHTGNNAVYSSQPDT -VSAALQGQPFNIPDGYMAVWNVNADSADFQIGLRRDGYFVTNGAIGTRMVISEDTTFSFNGMYTLTTPLI -GPSGTSGRSIHSSR ->AJD77616.1 polyprotein [Feline calicivirus] -MSQTLSFVLKTHNVRKDFVQSVKLTLARRRELQYFYNSLARTMRAEACPSCASYDVCPNCTSRDIPDDGS -SMNSIPSWEDVTKTSTYSLLLSEDTSDELCPEDLVNVASHIRKALSTQSHPANTDMCKEQLTSLLVMAEA -MIPQRSRSTLPLHQQHQTARLEWREKFFSKPLDFLLERLGVSKDVLQVTAIWKILLEKACYCKSYGEQWF -TVAKQKLREMRVTESNTLKPLVGAFIDGLRFMTVDNPNPMGFLPKLIGLIKPLNLAMIIDNHENTLSGWV -ITLTAIMELYNITECTIDIITSLVTGFYDKIGKATKFFSQVKALFMGFRSEDVANSFWYMAAAILCYLIT -GLIPNNGMFSKIKACLSGATTLVSGIMATQKLAAMFATWNSESIVNELSARTVALSELNNPTTTSDTDSV -ERLLELAKILHEEIKVHTLNPIMQSYNPILRNLMSTLDGVITSCNKRKAIARKRQVPVCYILTGPPGCGK -TTAALALAKKLSDQEPSVINLDVDHHDTYTGNEVCIIDEFDSSDKVDYANFVIGMVNSAPMVLNCDMLEN -KGKLFTSKYIIMTSNSETPVKPSSKRAGAFYRRVTIIDVTNPLVESHKRARPGTSVPRSCYKKNFSHLSL -AKRGAECWCKEYVLDPKGLQHQTIKAPPPTFLNIDSLAQTMKQDFTLKNMAFEAEDGCSEHRYGFVCQQS -EVETVRRLLNAVRARLNATFTVCVGPEASNSVGCTAHVLTPNEPFNGKRFVVSRCNEASLAALEGNCVQS -ALGVCMSDRDLTHLCHFIRGKIVNDSVRLDELPANQHVVTVNSVFDLAWALRRHLSLTGQFQAIRAAYDV -LTVPDKIPAMLRHWMDETSFSDNHVVTQFVTPGGIVILESCGGARIWALGHNVIRAGGITATPTGGCVRL -MGLSAQTMPWSEIFREFFSLIGKIWSSIKVSTLVLTALGMYASRFRPKSEAKGKTKSKIGPYRGRGVALT -DDEYDEWREHNATRKLDLSVEDFLMLRHRAALGADDADAVKFRSWWNSRSRLADDFEDVTVIGKGGVKHE -KIRTSTLKAIDRGYDVGFAEESGPGTKFHKNAIGSVTDVCGEHKGYCVHMGHGVYASVAHVVKGDSFFLG -ERVFDVKTNGEFCCFRSTKILPSAAPFFSGKPTRDPWGSPVATDWKPKSYTTTSGKIIGCFATTSTETRP -GDCGLPYIDDNGRVTGLHTGSGGPKTPSAKLVVPYVHIDMKTKSVTVQKYDITKPDISYKGLICKQLDEI -RIIPKGTRLHVSPAHTEDYEECSHQPASLGSGDPRCPKSLTAIVVDSLKPYCETVNGPPHDVLHRVQKML -IDHLSGFVPMNISSETSMLSAFHKLNHDTSCGPYLGGRKKDHMVNGEPDKALLDLLSAKWKLATQGIALP -HEYTIGLKDELRPIEKVAEGKRRMIWGCDVGVATVCAAAFKGVSDAITANHQYGPVQVGINMDSPSVETL -YQRIKSAAKVFAVDYSKWDSTQSPRVSAASIDILRYFSDRSPIVDSAVNTLKSPPVAIFNGVAVKVSSGL -PSGMPLTSVINSLNHCLYVGCAILQSLEARNVPVTWNLFSSFDIMTYGDDGVYMFPVMFASVSDQIFGNL -SAYGLKPTRVDKSVGAIEPIDPDSVVFLKRTITRTPSGIRGLLDRSSIIRQFYYIKGENSDNWREPPKTI -DPTSRGQQLWNACLYASQHGVEFYNKVCRLAEKAVDFEGLHFEPPSYSSALEHYNTQFNGVEARSDQINM -SDATALHCDVFEV ->ANK36014.1 polyprotein, partial [Sapovirus GXII/WD1237] -DTDKNGDFVETMISLVNSQPCPLNCDRPENKGKLFSSQYIICTSNYPCSVVPTHARAGAFYRRVTTVDVT -CPSITNWQASHPGKPVPKNLFKPDFSHLVLARRAINGYEPNGSILGSTRCVPPVPTSIGDLVNYMRDTFK -AQGGSVDTQVVWIKCHNSSYLEEAQGALVKFMQANRAQCAVATAPGSGLLDGTSTFGTVVVSTANAPSNN -DRILQVNVKGFNNDIDPVTTNSFLSLFQTDHPVSASIQRQVMFRVYNSRADITTQQVRSTQLPWVTSVVS -ISSKVDVVRAVYRHIGVLSIPGLVRAVAGLRNVESMTQWVTTHLADLRFPMNPTGTVIRCGDGDVILYTC -GGVYAIGTPARAPVVTPAKDIPRHQNVSTHMSWGDIIEGLLDRVASFLTRNASFILGIVNIVHLTNRAGR -EPEGKGKNKHGRGARSQVSLNDDEYDEWRDMRRDWRMDIGVKDFLEIRRAAYEGLDDETSSRYRAWIQLR -ALRSGTGNYQHATIIGKGGVREEVVRTSPRKAKARDMGWHPDDDAPFGSESKDPVVPLGTESCTNGFAVH -IGGGRFYANKHTAERSSLLGGLPFKVVDQGGDLVLLESPLSDLPHYQVGEGPPVYYTQLHHPVKVLGEGT -FETTATQVNGWHVKILNDYPTAKGDCGRPYFNHQGQLVGIHAAAASRTNTKLVQAVPRKREDVSTFAWKG -LPVVRDSPCGGMPTGTRYHRSPAWPDQQSDETHAPAPYGTGDPRYTFSPTMILVDNLKPYTAPTPGIPPA -LLQRAATHVRAYLRDIIGTHHSRNLTLAEAVDRLDMSTSCGPMFAGLKSDHTDDDGNFVGPLKDYIDRVW -SDAHQGRHPRHQYKLALKDELRPIEKNDQGKRRLLWGADVGVTIIANAAFGAVADRIKATVPMHPICVGI -CMDSPQIETFMAALAGKTIYCLDYSKWDSTQNPAVTAASLDILASFCEPGPLTSAAVAVLAAPAEGRVDD -IYFRTVSGLPSGMPYTSVINSLNHMIYVSAAILGAYEGLGAPYTGNVFWTETIYTYGDDSVYALTPATAS -IFQQVLGLLTQFGLKPTAADKSQTIVPTTEVTFLKRTLVARDGSYRALLDQSSLLRQLYWVKAQRTTNHL -TPPKIDIPSRRNQLEVMLAYASQHGTDFFQKAASLAETTASKEGYSLVNTNFDNALAVYNAWFAAGGANF -ESTEPQHDPTVPVVFEMEGAGDSPNATSSNVPGVTDPAEGATVVVNPQQPNAPAQRVELAVATGTVTSNV -PDSVRAIFAVAHTYAWSDRQGPNTLIGTIRLGPNINPYTQHMSHMFAGWGGDMEVRFQISGSGIYAGKLM -AAVLPPGVNPNNIRDPGAFPHALIDARTTDPVVVNLPDVRAVDYHVLGADEPTSQLGLWVFQPLINPFQT -NATSTAWVSVETRPGPTFDLTLLKPPTAGMDTGKQPSDLLPRRLGYSRGNRFGRPVKALVAVVAAYQVNR -HFDAAANTMGWSFADVAPLEVKITGSPTGVVPGNSSSQPAMPTNFPVGPVDAGPLIPSMPDQWPDYIPTS -FAGAAYDKYHPNQCPIGAGYVRAGDDINEEKGDFPIVVPYSGTGHVRDMYGEIEPGALRMMCFDNRTVAD -LPGFSRNNIFTWVLIGGENNWNPQETVVPYTGRGVTYGPQGPNAICLWVEDVYSTYPRLCEAWSSQLEHT -AKSMMNSPYNIPQQQMAVYNVHSNGDDFQVGIRADGYLVINAAIGTRIMVDPETSFEFVGVQPISTPLIG -PHGNRTAFRSVF ->AGH15834.2 polyprotein, partial [Sapovirus swine/WG194D/2009/USA] -ALVELHGSMISNLGNTTATIVAALTGSFELIKDFIGELIEKFSSTQAPEGPTDLAWTIMFAGIIAIIMRL -GGCKDILTSWPSLVKAAASFTTLTAAYKSFEWIRGKFAEVTLTRKIKMFMARCAALVELTHSRDVHGIDE -IKELLKCYSVLEEEGNDLVAEAGNGTQAGLIRGYLTDLSTQASQLRTTLALDTPRKVPVCVILTGPPGIG -KTRLAQEIGKGFGKLSSFTLLNDHHDSYTGNRVAIWDEFDVDAKGAFVETMISLVNSSPCPLNCDRPENK -GKMFTSDYIICTSNFETSVIPDNPRAQAFYRRVITVDVSSPSVQNWMTKNPGRQPPKDLFKPDFSHLELS -LRPFLGYNSQGDTLSGKRGRVSQITVDGLTRLMEQKFEEQAKDPPQNVWITVPKDLVADALVVVKKYVML -HRGVCYVTGQPTPAECGNRHVSTVVVSDGLPTGNTFHHVKATALSLDNPTISSSLLSLFITDSRVSSSTQ -RDWLYKVWQPSILVQESAVDSQSIPIVRRVVVVNSAADFIVNMRHHLGFVSIPGLFTAFRGWRNSTSIID -FIEKHFRDFRFPSNPECTIFRCANGDVMLYTYGSYFVFGTPRRLPILCDVDSCVMSNVPRCMTWWETIKL -CLEYFYNFLKVVLPHAISLANLVYLFTRGDRQEEAKGKTKLGRGRRHGAARGVALSDDEYDEWRDMMRDW -RQEMTVNEFLELRERAYAGLQGANEDRYRAWLNLRAMRMGTGNYQHATIIGKGGVRDEIIRTSVMRAPRH -REDPYDEREAPFIPEANSAVVEFTNEEEHVGWGVHIGNGRVVTVTHVATMSNLVDGVPFTIKESNGETCH -VLTPLKNLPHLQIGDGVPTYYSQRFHPVMVINEGSYDTPKTTVNGWHVRIINDFPTKKGDCGTPYFDDCR -RVVGLHAAGSIGGSTKLVQNIKSPKTNIEMFSWKGLSVSRSPPVGGMPTGTRYHRSPAWPNVSPTETHEP -APFGSGDSRYHFSQVEMLVNNLKPYSQAPPGIPPELLQRAATHVRTYLASMLGPGKSQPLTYHEAVATLE -KSTSCGPHVPGIKGDYWNETTEQFEGKLGDYLQHAWNQANLGHPLSHDYKLALKDELRPLEKNAQGKRRL -LWGADAGVTLVCAAAFKPVAIRLAELVPMTPVSVGINMDSAQIEVLNESLKGRIVYCFDYSKWDSTQHPA -VSSSSIEILRSFCVDCPIVSAAAEVLKSPARGMFEDVTFTTTSGLPSGMPFTSVINSINHMTYFAAALLK -AYQDQGVPYTGNVFQLETVHTYGDDSIYGFLPASASIFPQFLTNLKSFGLNPTNPDKGDVITPVDRPVFL -KRTLAITPFGLRALLDVTSLERQCYWVKGSRTSDVYSPTTIDTQARSMQLEVMLAYASQHGPEVHERLSH -IAQKTADGEGLTLVNTNYAQAAATYNAWYIGGVEPQLGSLASEGSTQVVFEMEGNGSKSVVGSPSAPPTE -APPPGAVGPMEGGLVVVNPDQPVATAQRAELAIATGARSSNIPEPIRQCFALFRTLPWNDRQPMGTFLGA -VVLSPNVNPYTRHMSAMFAGWGGGMEVRVSVSGSGMYAGRIICAVLPPGLNPATVVDPGVLPHVLLDARV -PDPAVFQVPDVRAVDYHRTDGEEATSSLGLWVLQPLINPFSTTAISTAWLSIETRPTFDFDFCLLKPPTA -QMDNGTPPDRLLPKRLGRSKGNRLGGIITGMVVVASHKQVNRHFMADSTTWGWSTAPVSPLAAKITGHAE -RVTTGNRCGVQIAIGAAGKGPLFPFIPDHWPDSAAGTAQGTITIPWEQSRGIPQKSILGSAMFFADNGDV -DEGRTFYAVAADCMIAPTARPDIRGDFNAGTITLIGYQDGATSPDNGTNVYWNPLFCDGANPSVEGRVTN -MTGTNYVFTSSGMNNIILWKERIFSDHPTDTILYSSQLDYTAETFQNSQVNIPTGMMAVYNVASSGGEFQ -VGIRADGYMVTTSQIGVSVDLDPDTEFTYVGVFPVSSSLNGPDGNSSGARRIYQ ->AIF74269.1 polyprotein, partial [Bat calicivirus] -PMPLNCDRPEHKGKVFASDYIIATTNWPTPVLPDHPRAEAFWRRIIYIDVECPDIAKHMKDHPGSKPPKG -IYKPDCSHLKLYKRAHMGYDEHGNVLGGARVTRKRITVGELADLMKVEFTSQALYNTARHLWVTVPTDKV -MDVTDTLRAWKLWANVACRVTNDPHQQLNLPGLNMVIVTDLPCPDDPLVWGINANGLSNTCPDMIPGTVC -TAGSVTDILDCTTHVPAMVMRRVAIGVEGKTLIMADAQTHHFINPHRLVNVVAVSDIIWGLYNHIGFTSI -PSVVKLIRHTWRGLPADAMKIADFLVNDVHVKWSANPNCTLFRLPRTDMLVYTNCTGIIVQVFPKRIPVA -TIGTPVDGRPNDIYGLTLWDAFMRVLHHCANILKKWAPFVASMASLAVLFTRSSRPMEAKGKTKHGRGRA -VRDSDYEEYRDARRDWRKDMSLQEFLQVRDRALAGGNDADSQRYRAWIQLRELRISNNAYRHEVVDIIGK -GGHRVEVVRKDIMRAPRRGDDEEFEAEGLSHLLPMEADGQRIGWAVHVGGGKLVTCTHLVRAGARPPAEV -RDMQTSHDLTIMATTYRGPARPLGTHCSPAYFTDQLHPVKVLEEGTFEASAGSVKGVTLKILTGKSTAPG -DCGLPYYDDSMRLVALHAASSAGGSIKLANDCTQQQLAPKVIMWKGLPVEQVPEQRTLPTGSRYHRSAAH -PKVQPEETHEPAPPGAGDPRYSFSQIEMMAGRLKPYVETEPVPFDRLLLRRSYQMCKGYLDQIIGTHKSP -NLTFSSACELLPRDTSCGPFVPGIKGDYYDQDSEQYVGELREHLEKSWDRACRGEPLQNAYKLALKDELL -PFKKCQEGRRRLLWGCDAGVTLAANAVFKPVAVRLQETLPMHPIMVGVNMDSSIPSLMARAMQDRVQYNV -DYKAWDSTMQPAVVALAVELFASWADDTALTTSVQSTLSGAAVGYVNDVRLTTRSGLPSGMPFTSQINSL -CHMLCLCYAVLAAYDKHGVPYVGNVFQVETVYTYGDDGWYGFCTATASIFPDILNGLKQLGLQPTAPDKG -DEIRPVTEPTFLKRTIQLWEGRTVAALDYTSLARQCYWVKGQRSMDIYSPGRYDLEARSVQLENTLILAV -PHGEEVWNKLQQLVHKTCTGEGVLHTLKDYHTARSLYLQLITGERDVQKDGSTQEPPQLVFEMEGDQPLS -GAGPSGSAPGTTGPSESAPTAPVGPQPAMAVQAASATAATGALQDSIPLEVRISFVTLTTLNWSNRQAAG -TLVGQTHLGPGLNPYTAHLSQMWTAWTGSMDLRVSVSGSGMFGGCLMISMIPPGVDVGTVRNPGGFPHVL -VDARVTEPVSFILPDVRNVDYHYMNGDDPTPSLGLWVYNRLINPFGNNDALSQVQITVDTRPGPDFAFAM -LRPPDTGVDGGRSPASLLPRRLGHARGNRLGGRVTGMVVVQVASQVNHHWDVNRTTFGWSRGPPAPFAAH -VDLHTSASNHIVIGADGRGPIMPNIPNHFPDCVSATTNSSGTAQNNWRGVAGPVTFFDDHWDVNETIAYT -GVVATWQGSSLLDHIQADSMIVAYRQRGGSASVAGNVVVTPQWLQGAQVANLEPVPMYGVTATVGPRGGN -NIVLWREEVMTDHPNQGFLHSTQLEHTSTALEEDTYHIPPNQLAIFQVEDNGAIFQIGLSSTGHAYTSAP -PGTVLSLSPDTTFSFVGLYPWSFALMGPSVRGAYSAS ->AQQ78879.1 polyprotein [Bat sapovirus] -MAAFSRFACGAPPRGLPQKASKRLYRIWKSQLPHWELRSGKLVWSESDPPPPRYKPRFFSEGGLAEVWSQ -PASDDVAGWLEWYLGRPAPRPHLMDAQDVADIRKLLEEVERAILDPGHEFRVQDSLNTKNLKQLQTKLIA -LLPWEVTVESRRARNIITEEQLRELVEDPDKWYDVPKEKIKDVYAKMWTLLAKGRDCFIPLMSAIDRFTN -ALPSMQTLKDIFWVSTGALAGSVMFRPERHLGVLMNYLKPTVLTMILQQHRNTKSGWLATLTALAEVYSS -LFKLGQGAVSFMTSLLETVQSLTKDVWRAFKEWWGNLKTPQAPTDVSGYLLLVGVVGLIYYLCTSTLPGA -KLTKHLLKVAGMLSGGVAAIKSLQWIVESIKQSKYNAKVQQFLHRHAALIEVIDTGRESGTIEAEGLARC -CEILISEGTILIQEQPTSSASGILRSLISLLEERRTRLTQMVKMDEPRPVPVMYVFGGIPGIGKTHLVQH -LAKKLGFRTSNFSMALDHHDSYTGNPIAIWDEFDVDKQGAFVEAVISMVNTAAYPLNCDRPENKGKMFTS -KYILATTNCPTPVLPTHPRAAAFWRRISFVDVRAPEIESFMTRNPGKSIPKSLFKDDCSHLELRLRPTNG -VDPEGNLLDGRRAAVARVTTDDLIVLMKRQYEAQAPDPHVLWLKMPTKMVPEAILRVKRWAAYSSSCVKV -ASTISAEEALYPTGHGHIVCSDQDAPAGGVIEVSVYDFADYKPDDMLECNNVLDLFKVQNGRPSAHLLRN -IIYVVHGHTLTLHDSVLPISSIPRPRRLIMVSNISEFVAGLWNHLSIRSIPGVWALIRGRVTGQNYVELL -DSVCQNMKFGPNPECSLFRTPSGDLILYTCRGSVIFATPARYPLITESDYSDMRHRHSRGTTWFDLILSA -IEVVSRALMPYLPLFLTIVNVCYLVLRENRVVEAKGKTKRGRGRAHALADDEYEEWRDVRRDWRVEMTAQ -EFMEMRRKAEAGAMDAQSQRYRAWLELRALRASNNAYRHEIVTVVGKGGHRDEVRRLDLMRAPKERDLYD -GYESQSLSHLVEFVDDDTHIGWGVHVGGGKILTCTHVAEVASRVADLPFSILKKQEDYCIVRSEYKGPFK -QIGDGPPVYFQDRLHTVKVLEEGNFDTTSTTVTGWSVKVLNGTPTKKGDCGLPYYNINGKLVGLHSGAST -EGSVKLVSRVIPENAPVSDQFSWKGLIVERGLPTGGMPTSTRFHRSPAFPDILPEETHEPAPYGVGDKRY -TFSQVEMLVAGLAPYQHTDVIAFDPNLLRRGVDHTRSALRALIGTHQSPNLDYTSACHSLERSTSAGPYV -AGIKSDYWDEDNQCFTGALREHLDKRWDAAMRGQELPNAYKLALKDELRPIEKNKLGKRRLLWGADAGLT -LCAAAVFKPVADRLAATIPLCPIAVGINMDSPQIEMMNNAMVGRVVFNLDYSKWDSTMQPALVNAAVNLL -AEWAEPSTLTSVVTHALASPAKGHFEDIIFTTKTGLPSGMPFTSVLNSLIHMILFSMAILAAYQEFGLPY -TGNVFENEVMWCYGDDGLYAFTMATASLIDTIISKLKEFGLHPTGADKTENITPTVTPVFLKRTFTQTPR -GLRALLDPTSIKRQCYWVKAQRTNDIYSPPKLDLQVRTTQLSVVLAMASQHGHEFYDEVSQLVAKCAEAE -GLLINLSFDEADLTYNSWYAGRPQPNYLETTEVPSSIVFEMEGNTAQEGGASAPPGVSNDATNVLVPTGG -VRTEPVVQLSEMAANSGAMPGSIPPEVMTTFTVLANVTWTNRQAAGTMIATYALGPKLNPYLSHLSAMWG -AWGGGIEFRLTVSGSGIFAGRLMVALVPPGVDASTIRNPGSLPHAIIDARVTEPVSFNLPDVRNNFYHLA -NDPGATPSIGVWVYNVLINPFGSNDTLSAATLTIETRPCADFTFGMLLPPNTHVAGATGPERLLPRRLGM -MRGNRFGMRVTGGRTVPTGSQTNHHWNSQGTTYGWSVGPPDYVRLQTSTTGATAHIRAVNGVDCPIVAGL -PNHFPDSAASFAISGAGNIVWSDADLPFGAIRAAAGAGMAMDGTTFNVDMNISQSLMFAIGSTPAGSSQG -AALSLGLAITPQNLNLISLTNAQIAGGEWIVRPFVINGNQPTIEHGAIQTQHGQRVVGPIGVNNLFMWRE -ECFSDVNGMGYILASQLEHTSNMFSEGPVAIPDNHFAVFGVSSAGGDWQIGISPEGFCYTGTPVGNSIDL -GADTTFTFQGIYPYTTPLVGYLSGGGGHSYY ->AAY40312.2 polyprotein [Sapovirus Po/OH-JJ681/2000/US] -MAATCRHSACQRYQALNSLYNEVGVLTPDHLYGYKALASDPKGPEASVSFELQGLFDILKPEPVVVGERN -NYGLPTTNDPVSFITQLLSDSTREVVGPINRSTIESLRHFVVQATLYKDGPLYGRLEETQLAELSAALAL -LRPTHPSDLANRQFVESCITKLSGPFGLRPLVASLLLKGRGFVETTCQGVRAAYSWLFDNGPQLVKDTLV -AVAAYFQEAGTGLGTMNDLIRLFKPVALSIIVKSHTNTPAGWLVTLTAMKELYGVDAAGAVSKVAGLVVD -LLCTLTSDAWQKIISCMGFQLQGPLEVCGFVTTLLVAVYYLCTGSVPAGKHVNRVKTIIGGAVTLTAAIK -AVQYVVDLVKASEMRQRVLLFLTRCAGFTDATAACATSASEAKDLLEPLEQLVSEGSEILLTPGIGSLGP -MVHTALRELATHKSYLQGLIDNAARRQPPLMLVLCGPPGIGKTTLAQKLCEALGAPFSHFTLGMDHHDYY -TGEDIVIWDEYDTDKNGDFVQAVINMVNTAPYPLNCDLIQNKGRQFTSKVIIATTNCETPIQPNDPRFGA -FMRRVTYLDITAPNITACYESGRRPQQNMFNPDMSHLTIMRRCAMAYDAKGTLQNGKVFGPTKVTFQSLV -DLVKAHLESYVLQGPDWEGLWFRCTHAHHVPTVTQFLNGYLRHLGLPNRVTTVRSNSQNGFYDIIVSDQS -PPPGSTFHEIVVDGFRTMPDATSDIYDRPLKAMDFVGTPSQTLINVATHQVRGHVVKISNGPVDVSTIPR -PRRVCNATNWYDMLKCVFHHSNIWTPLGLYRMVKNGSNLNEANVEEFFRGLTNGVKFSVNPECSLIRLPM -FDIVFFTSVGSYVWILPGRVPLLETGEMGQVVVPANLTYTGSLSGALIMALESVLNFLKPYLGVIATTMS -LSLVWSTELQKKKGKNKGGRSFRALGDDEYQEFLDLNRDWKTRFTVEQYLDVVSNPDSDYAQRYKAWSEL -RSLRIANNAYDHVVIGREGVRWESQGPAPNPVVELKNSTGDHVGFATRIGPGIFATAAHLLSLATTVDGV -PFEVIGQRHDYAQIKQSVATPGPQVKISTSTQPHSFGVDRNPVQCLGASDTKVGETRIVGWKVNTTTQTS -GGDCGLPYYDSVGCLVGVHSAAATTGLGKLCSAAQKMLRRPEPPPDITWKGLQATRKSLNMGPLPGHTKY -HRSFVHKPCGYEPANFGPLDTRCPRPLLDVVAEQLAPYQQEPVPIDSVLIQRGAKHVLAFLRHIIGAHRR -PPITLDEAFRSLNHKSSNGPFFPGVKRDYTDPDTGLPNNMLRAYIESAVQKIRREQHPHAYKVSLKDELR -PTEKVVAGKRRLLWGCDVGTATAAAMVFKTLFDDIAAAAPFTGIAVGIDMDRASTIATLNQMFTGTHMVC -ADYSKWDSTLHPEVIETAINLLGEFVAPTDLAEGVMRLLGSRPVALVLDIGIPTTKGLPSGMPGTSVINS -VCHMILFAACVLGSYQKYKVPYQGNVFSNEKIVTYGDDCVYGYCTATASVSGAFWDMMRAFGMHPTNADK -TGDPSFGDTIHFLKRTIRVQDGALVGALDQLSIERQFYWIKGPPTTTMEPVRPADPTIRLVQLQNAVYRS -TAWGPDFFQHIENLAADCAAKEGLPYTRIDYTEAFDVMRNLSSTQPDGGAVVYTMEGPKPSRVSSGSPTP -MEVVDGAQSSTAGPPILVNPTPPNTTAQLAAAVDATGGSVDNVPAEVRDSFVVMNNYTWNTRAAQNTLLG -AMALGPDCNPYLRHLGRMFGGWSGSVEFRISVSGSGIYAGKLLAVVLPPRVQPNDVTNPGQYPHAIIDAK -TSVAFSIPVFDIRNNQFHYNGDSDVCTFGIWVYQPLINPFNVGGESAAIVTVETRPSLDFRFCLLKSPDD -ITSTADPSDLLPRNLVGATENRMGLPVQTIEIVNAAHQVNHHYSPNGETFGWSTVPVGNPELVINTARVN -MVSNPSGPLSIGYRVTPAPGADPIVPGIPNHWPDGCASTVTSGGGNSATGVGASGTILPMYNSDVEEENP -YTVKYAVFNTTGAPLAAAIDRGNLMVIRYNGTTGNPGNNNNALCSVNFVGRPDNASPGLRELVTPLANSQ -SIYGPVGGNNIALWGATAPSSHQNGSPVYSSQLQETSVACAATRNVPPGAVAIYTVNTAGMVFQVGIYSD -GYMRTGAPTGTSVVVGPNTTITYNGLYSSSTPLNGPHGTSGLSGWSRT ->AEL19657.2 polyprotein [Sapovirus dog/AN210D/USA/2009] -MASKPLQRFSCDLRTEITVYVNAVLRDANTRQQWWESPTEIVQRFYQSAGTSIRRQFHAEGLFDNLFGKP -VSETPSHQELFRNLFGVDFNEPFPLSLQDLAKLQVEVGEALKDSKHNLSQVYKPDVLKQLHKQLTDMVPT -QVTTEEVTRRARLARDNMDNFSNLPFHDPEVPLKTISAVLWDGLVCGAQRAWNSTQGLLEGLARRCEKGE -PVRSLFAKLCVMLHDALECNEEGLAVRMLTALKPTVLTIILQQHRNTVRGWMATLTALFEVYKDVFSTFG -DLACDFAQGLNNFLSSSADFFNDLVTQINKLFRAQAPTQFAWSTLAVGAVSFLFYMTGLEPFGTHWNKIV -KLCAGLGSIAGAVRLFDFIRQRIESTKERDQIRLYCTRAASLLELAASRTVNGTEETNRLLTCFDTLIDE -GEQLMFETGNCPLGGLLRGVVGDLHAAAVTLRRTLAMDTPRKTPVCVILTGAPGIGKTHFAQHIAKQLGA -TSTFSLATDHHDTYTGNPVAVWDEFDTDVNGRFVETMIGIVNTAPFPLNCDLAENKGRMFTSNYIICTSN -YPTSVLPDNPRSGAFYRRVITIDVTSPSIAEWVRKNPGQKPPHQLYKDDFSHLCLSQRPYLGYNPEGDTL -DGRRAKCRSVTPGQLVKLMQSTFEAQGGPDPRLVWFKTTPLLKDTVGTTINRYIFHNRGVCTFTTDPQAN -EITPGPVTKIFLSVHDPPRGFTGRVVNVLGITQENVTIANSLLSCFETPDRASGSEQRCWLYSVHNPFML -VSTQPANTQNVPHMDRIVNVTKPLDFFLALRHHLGFVSLPGMWRLYRNWQGTDTIVDFIRSNLDTVRFPS -NPNCTLFRAPDGDVIFYTCGSYWVYASPARAPFVGSKPASPLTNVPSNMTWKETLLCFLELCGKVLTRWG -PYFIALSNLAYLTMRGDSEVEGKGKTKHGRGARHMKAGGVSLADDEYDEWQDMRRDWRQEYTVEEFLSLR -ERALNGESGHDADRYRAWLSLRAMRMNSGAYTHATIIGGRVRDEIVRTTPRRAPREPPSGDTYYNYEANT -PLVEFTGDSGHVGWGVHVGNGKVVTVTHVAKNSTLVEDQEFTIHLSDNDYCEVHSNLSHLPYWQIGEGQP -EYYSPSYHPVQVISQGVFDTNSTTVSGWHLRITNDFPTKIGDCGTPYFNANRQVVALHAAACKASDTKLA -QLVPPRKTTTKDVFTWKGLPVTRCEDHGGMPSGTKYHRSPAWPEVQEWETHAPAPFGAGDARYSFSQINM -LANGLLPYNERTAGIPPTLLNRATHHVQSYLRRIIGSHRSPVLGFQSACQLLERSTSCGPFVPGLKGDYY -DQDSDCYTGQLLEILQKDWDGALKGVPPANAYKLALKDELRPIEKNKEGKRRLLWGAHAGLTLVATAAFK -PVANRLSDVVPMTPVSVGVRMDSAQVGVINDSLKGGVLFCLDYSKWDSTQNPAVTAASLSILSSFVEPSP -IVSAAVAALGSPANGYVNDVCFTTTNGLPSGMPFTSVINSLNHMIYICAATLDAYEQHRVPFTGNFFDIE -TIHTYGDDCLYSFTPATASVFPTILGSLRKFGLKPTSADKSEEIKPTDQPVFLKRTFIATPRGVRAALDV -TSILRQFVWVKGSRTHDPNSPTIIDVQARSSQLEVCLAMLSVHGREVFDKHLPIALKTINGEGLKVVNTT -FDMAQACYEAWFIAGEVPDPSLPIEEQKQLVFEMEGPTPNKANGQASTQQESPVPGADGPTNGALLTTTL -QAPNAPAQAVEMAIATGATVTTIPQPVRDTFSTLATVGWNTRQPVNTLLGVVQLGPGANPYTRHLSAIWA -GWGGGMNVRVSLSGSGLFGGRILVAMLPPGVDPGRVNNPGQFPHAFIDARVTDPVIVRLNDIRAVDYHLA -QMGRRPQRRWDFGVFQPLINPFQATSTSTCWITLETAPAIDFEFALLKPPDTTMENGVAPDRLLPRRLGR -SRGNRIGGAVIGMIVVASAHQVNHHFVPVGTTLGWSDLPLGPVHGAIATDSPTSNNNHLSLGAEGKGPIR -PNIVNHWPDFTISSLTAVDTNLPTNNNLANHPGGCGPIFFFDDLGDVEETNVTNALGVCTDDLYTHLTSS -FTAASMYLYLNWDGTTAHAVGNNVEWIPRWVNGERTRAIREQCTTLLNETYTFTSVGANNVVLWRENDYS -SWPGGAEMFCSQLEMTALAFQEGPVNIPPNMMAVYNVTTNGNDFQVGICPDGYMRTNAAIGTSVVLDEET -AFSFSGLFPLNTILTGPMGNTGSRVRRNV ->AGV98672.2 polyprotein [Rabbit hemorrhagic disease virus] -MAAMSRLVGETTATLPAKKPLSFFLDLRDKTPPCCIRAPKELAWPVFLESNVEEGTLETCDKCGKWLNGF -GVFGLEDLGDVCLCSINCQKHKFGPTCLCNRMYIHDCGRWRRRSRFLKHYKALNKVIPCAYEFGATNPVF -EGEVDDLFTELGAPTTMGFIEKEWWDEGRKLMDKFVDVCEPCLTSEDARLLDTIASDSNIRERLENEYGV -DAVKAARDRKDFMRTLRLALDNRPANPVTWYTKLGKITNKGKQWARKVVHGASKVVDPLKTLASILLVGL -HNAVAVDTTTMLSTFKPVNLLAILMDWTNDLTGFVTTLVRLLELYGVVQTTVNLIIEGVKAFWDKVICAT -GRCFDLLRRLFDTFEDSVPTGPTAGCLIFMAFVFSTIVGYLPNNSVVTTFMKGAGKLTTFAGVIGAIRTL -WITINQHMVAKDLTSIQQKVMTVVKMANEAATLEQLEIVSCLCSDLENTLTNRSTLPSYNQHLGILNASQ -KVVSDLHSMILGKINMTKQRPQPVAVIFKGAPGVGKTYLVHRLARDLGCQHPSTINFGLDHFDSYTGEEV -AIADEFNTSGDGESWVELFIQMVNTNPCPLNCDKVENKNKVFNSKYLFCTTNSSMVLNATHPRAGAFYRR -VITVEVRNKAVESWQATRHGTKPGKSCYSKDMSHLTFLVYPHNMPAPGFVFVGEKLTKTQVAPREYSYPH -LLDMIKNEHPDASFESANKFNFVYPDAQYEQALLMWKQYFVMFGCVARLAKNFLDDIPYNQIHISKSSDP -KIPNCVEHQCELKHIWRMVPQFVLGCVNMTNQLGTPLTQQQLDRIVNGVEGVTVTTVNNILPFHSQTTLI -NPSFIKLIWAVRRHLKGLSGITRVAKFIWHVMSNPVNAYDTLIRTLTGAATFSDDPVSTTIICSNCTIQL -HSCGGLLVRYSRDPVPNASDNVDRGDQGVDVFTDPNLISGFSWRQIAHLFVEVLSHLCANHLVNLATMAA -LGAVATKALQGVKGKTKHGRGARINLGNDEYDEWQAARREFKNAHDMTAEEYLELKNRAAMGSDDQDSIM -FRSWWTRRQLRPDEDQVTIVGKGGVRNEVIRTRVRQAPKGRKTLDDGGFYDNDYEGLPGFMRHNGSGWMI -HIGNGMYISNTHTAKSSCSEIVTCSPTTDLCLVKGETIRSVAQIAEGTPVCDWKKSPISTYGMKKTLSDS -TKIDVLAYDGTTQTTHGDCGLPLYDECGKIVAIHTGKLLGFSKMCTLIDLTVTKGVYETASFFCGDPINY -RGITANRLVGVEPRPPVSGTRYARVPGVDDKYKTGYRPANLGRGDPDSNCTLMNIAVQSLQVYQQDPKLD -KVDEFIERAAADVIGFLRFLTKGQRQENLPFKAAFNTLDMSTSCGPFVPGKKIDHINDGIIDQTLSKHLY -KCWSVANSGKALHHVYACGLKDELRPLEKVKVGKKRLLWGCDVGVALCAAAVFHNICYKLKMVARFGPIA -VGIDMTSRDVDVMMNNLTSKASDFLCLDYSKWDSTMSPCVVRLAIDILADCCEQTELTKSVVLTLKSHPM -TILDAMIIQTKRGLPSGMPFTSVINSICHWLLWSAAVYKACAEIGLHCSNLYEDAPFYTYGDDGVYAMTP -MMVSLLPAIIDNLRDYGLSPTAADKTDHIDVCSLKGVAFLKRQFKMTDIGWVSLLDKSSILRQLEWCKTN -KRHMTLEETHDLAKEERGVQLEELQVVAAAHGKEFFDFIKPELERQQAYTKFNVYDYEAAWKILADRKRV -VSVVPDDDFVNVMEGKARAASQGETAGTATTASVPGTTTDGMDPGVVATTSVVTTENASTSIATAGIGGP -PQQVDQQETWRTNFYYNDVFTWSVADAPGNILYTVQHSPQNNPFTAVLSQMYAGWAGGMQFRFIVAGSGV -FGGRLVAAVIPPGIEIGPGLEVRQFPHVVIDARSLEPVTITMPDLRPNMYHPTGNPGLVPTLVLSVYNNL -INPFGGSTSAIQVTVETRPSEDFEFVMIRAPSSKTVDSISPADLLTTPVLTGVGTDNRWNGEIVGLQPVP -GGFSTCNRHWNLNGSTFGWSSPRFAAIDHDRGNASFPGSSSSNVLELWYASAGSAADNPISQIAPDGFPD -MSFVPFSGTTVPTAGWVGFGGIWNSSNGAPFVTTVQAYELGFATGAPSNPQPTTTTSGAQIVAKSIYGVA -NGINQTTAGLFVMASGVISTPNSSAITYTPQPNRIVNAPGTPAAAPIGKNTPIMFASVVRRTGDINAEAG -STNGTQYGAGSQPLPVTVGLSLNNYSSALMPGQFFVWQLNFASGFMELGLSVDGYFYAGTGASATLIDLS -ELVDIRPVGPRPSTSTLVYNLGGTTNGFSYV ->AGH15840.2 polyprotein, partial [Sapovirus swine/WG214D/2009/USA] -VIALMASRPFKAVSVSFRSELFVLRSAYLRVADRDTFLPDSSLTTLTKYLWPSWSRHLSCQRQLAHTPSP -QLPATPGVLFQEEGLGDWFRSAVARDVDPQEVYKKLLGIDMRQACPLSMAEMAKLQGETALALDTPGHAL -NKVYTRGELVKLFATLSRFVPQETTVDEQRRRNELDRENADAFANLPGEGVINANSWKTYFYTMWRRVVK -GCRRSYHSLANCSSWLGSLAQRAEPIREALANAAVAAGELSKCSADYLLATLVNKLKPTTMVMIYQQHRN -TFRGWMATLTAFFELHGDLLSKLGCTAATVVAAVTGCFELLTGFIEELIQKFATTQNPQGPTDVAWVSIC -AGILAIIMRLGGCKDVLQSWPHLLKAAATVTTLTAAAKSFQWVRDQFAQAHLNRKVKMFMARCAALVELT -HSREVCGVDELKELLKCYNVLEEEGNDLVQEAGNGTQASIIRGYMQDLATQATNLRSTIALDTPRKVPVA -VILTGPPGIGKTWLAQEIGKGFGKLSNFTVLQDHHDSYTGNPVAIWDEFDVDPKGVFVETMISLVNSAPC -PLNCDRPENKGKMFTSQYIICTSNFPTSVIPDNPRAQAFYRRVITVDVSSPSISKWMATNPGRRPPKDLF -KSDFSHLELSLRPYMGYDPEGNVLGGKKGRVTQITVDGLVQLMERRFEEQARDPPRNVWITVPKPLVADA -LAAVKKYVQANRGLCHVTSQPSPTECGDRHVTQIVVSDAGPVGTSSFLHVKTRGMSLEGPSVAHSLLSMF -DTDIRVPGTQQREWLYRIYDPTLVVQETSLCSQAIPVVRRVVMVENVFDFITNVRHHLGFCSIPGMFTAF -RGWRDSTSIVDFISRHFKDFKFPHNPECTIFRCANGDVLFYTFGSYLMFASPARIPVACDQDVPSLGNVP -AKMTWFETIKMCCEYYYQFLSTVLPYLVTMSNVMYLFSRGDREPEAKGKTKHGRGLRHTHGKGVSLRDDE -YDEWRDLMRDWRLEMTADQFLELRERAYAGMQGPEEDRYRTWLSLRAMRLGTGNYQHATIIGRGGVRDEL -IRTSVLRAPRRKGLDDIIGDSYEAEANTPMVQFTSQGDHVGWGVHLGNGRIVTITHVAMGANEVEGQQFT -IQRTEGETCYVNAPLKGHPHAQIGSGEPAFFSYRFHPVIVIGEGQYDTPKTTVHGWHVRITNDYPTKKGD -CGTPYLDECRRVVGLHAAGAINGSTKLAQRVIEDTDNVTKFSWKGLSVERIPSVGGMPTGTRYHRSPAWP -SMMPTETHAPAPFGAGDTRYGFSQVEMLVNNLKPYATPTPGIPPALLQRAAVHVRSYLQSVIGRERSEPL -SFQMAEQILERSTSCGPHVPGLKGDYWDEATQQYTGVLREHLERAWNNAHIGQPLPHDYKLALKDELRPL -AKNAEGKRRLLWGADAGVVLIAAAAFKPVAIRLAATVPMHPVSVGVNMDSGQINIINESLVGRVVYCLDY -SKWDSTQHPAVSSSSIDILKSFCVDCPLVSSAAEVLRSPARGCFEDVCFTTVSGLPSGMPFTSVINSLNH -MTYVAAAILKAYQDVGAPYTGNVFQLETLHTYGDDSIYGFTPATASLFPQILDNLRSFGLKPTDASKGTD -IRPVDRPVFLKREFVNTPDGLRAVLDVTSLERQCYWIKGSRTSDINSPTTFDVQGRAMQLEVMLAYASQH -GVKEHERLAHLAETTSKAEGYTLVNLNFEQARATYNSWFVGGSAPELTTIASEGSGQVVFEMEGVGSNPQ -QPQTPAMTSNPQGVVGPMEAPLVAVNPETPVAPAQRMELAVATGARTSCVPDPIRQCFALYRTFPWNDRQ -PQGTFIGAVVLSPGANPYTAHLSAMFAGWGGGMEVRCNVSGSGMYAGRLIISILPPGLNPATVGDPGALP -HVLLDARTTDPAVFVVPDVRAVDYHRTDGDEATSSLGIWVLQPLINPFATGAVSTAWLTLETRPSFDFDF -CLLKPPTVQMENGTPPDRLLPKRLNRARGNRVGGNVKGMVVVAAHKQVNRHFMADGTTWGWSTAPVAPMA -AAVYGNVGPATADPKCGSQIGVGSDNKGPLFPNIPDHWPDTCATVVCQWDNGAYGPKTAITGTLMLFDDN -GDVNENIATYCTAISAIMPGTPQRPALRESFNAGSMTLVGIGANSITQQGNMNLYFSPQFVRGNVGQIEG -RVCNLQGMNYTFSSSGPNNVVLWQEQLFSDHPGAQYVWSSQLDTTAEAFQSGPVNIPANSMAVYNVTSNA -AEFQVAIRPDGYMVTTAQVGTTIPLDPETTFQYVGTFPLNSVLNGPNGNTVGSRRVQL ->ADG03646.1 VP1 [Sapovirus Hu/GI/Sapporo/MT-2010/1982] -MVSKPFKPIVLNATFEWQIFKRCYLRVAPREAFCENLSELYHYFARRVNAWLKHATRTLPNEYTFVEEGL -LDMFGTKAPDSVQEGTLFRELFGVDQTEQFPLSLADLARLQGELVDATRTPGHALRQKYTMATIQELINK -ITKVVPVQATLNEMHARRQFERERADLFHELPLVDEDAVAQPKTYFYTMWRQVVKKGKAYFCPLVKTSAW -RTKISAITEPIKDFLIAFCQAVQQEMGVNPQYLQLAWLQKLKPTTLTIILQQHKHTVSGWLATMTALVEV -YSNLFDDLRKSSVAIVSSIGAFFDICKDFVTQVVELVKTTFTAQGPTDLGWAAVLAGAAMILLKMSGCPG -VIGMWTKVLKICGGITTITAAARGVRWLKDLYEEAEGRRLAKMYMARGAALIELAASREVTGIDELKGLL -DCFTILIEEGTELIHKFGTSPLAGLVRTYVSELETQANNIRSTIKLDTPRRVPVVIILTGAPGIGKTRLA -QYVGQRFGKTSNFSVAVDHHVGYTGNTVCIWDEFDVDSKGAFVETMIGIANTAPFPLNCDRVENKGRVFT -SDYVICTSNYPTSVIPDNPRAAAFYRRVLTVDVSAPDLEEWKKRNPGKRPTPDLYQDDFSHLKLMLRPYL -GYNPDGDTLEGPRVAPTQISIAGLITLMERRFKEQAGPLQNLWLQVPKTLVEQSTNMVKAFMYANRAVCD -VIPNPSTRDITETALSKIFVCGTAPPPEFVGKHIVITGIEVGDASIANSLLSMFTTTTRLSAAAQREYMY -RVWSPLIHIQDRSINTQNLPYINRVIPVTSHWDFLRGLRHHLGFTSIPGMWKAFQGWRTSQGIVDFVAHH -MADVTFPSNPECTIFRTPDADVVFYTFGSYVCFATPARVPYVGTPPTTIHSNTPRCMTWGETIALLCEVV -AEFVLHFGPVILSAANIAYLMTRGSRTEEAKGKTKHGRGMRHGHRAGVSLSDDEYDEWRDLMRDWRRDMS -VNDFLMLRERSALGMDDEDVARYRAWLEIRAMRMAGGAYTHATIIGRGGVRDEIIRTSPRRAPTRPQQHY -EEEGPTAIVEFTQGGDHIGYGVHIGNGNVITVTHVASTSDEVNGCAFKITRTVGETTWVQGPFSQLPHMQ -IGSGSPVYFTTRLHPVFTISEGTFETPNITVNGFHVRIMNGYPTKKGDCGLPYFNSNRQLVALHAGTDTQ -GETKVAQRVVKEVTTQDEFQWKGLPVVKSGLDVGGMPTGTRYHRSPAWPEEQPGETHAPAPFGAGDKRYT -FSQTEMLVNGLKPYTEPTAGVPPQLLSRAVTHVRSYIETIIGTHRSPVLTYHQACELLERTTSCGPFVQG -LKGDYWDEEQQQYTGVLASHLEQAWDKANKGIAPRNAYKLALKDELRPIEKNKVGKRRLLWGCDAATTLI -ATAAFKAVATRLQVVTPMTPVAVGINMDSVQMQVMNDSLKGGVLYCLDYSKWDSTQNPAVTAASLAILER -FAEPHPIVSCAIEALSSPAEGYVNDIKFVTRGGLPSGMPFTSVVNSINHMIYVAAAILQAYESHNVPYTG -NVFQVETVHTYGDDCMYSVCPATASIFHTVLANLTSYGLKPTAADKSDAIKPTNTPVFLKRTFTQTPHGV -RALLDITSITRQFYWLKANRTSDPSSPPAFDRARARSAQLENALAYASQHGPVVFDTVRQIAIKTAQGEG -LVLVNTNYDQALATYNAWFIGGTVPDPVGHTEGTHKIVFEMEGNGSNSEPKQSNNPMVVDPPGTTGPTTS -HVVVANPEQPNGAAQRLELAVATGAIQSNVPEAIRNCFAVFRTFAWNDRMPTGTFLGSISLHPNINPYTS -HLSGMWAGWGGSFEVRLSISGSGVFAGRIIASVIPPGVDPSSIRDPGVLPHAFVDARITEPVSFMIPDVR -AVDYHRMDGAEPTCSLGFWVYQPLLNPFSTTAVSTCWVSVETKPGGDFDFCLLRPPGQQMENGVSPEGLL -PRRLGYSRGNRVGGLVVGMILVAEHKQVNRHFNSNSVTFGWSTAPVNPMAAEIVTNQAHSTSRHAWLSIG -AQNKGPLFPGIPNHFPDSCASTVVGAMDTSLGGRPSTGVCGPAISFQNNGDVYENDTPSVMFATYDPLTS -GTGVALTNSINPASLALVRISNNDFDTSGFANDKNVVVQMSWEMYTGTNQIRGQVTPMSGTNYTFTSTGA -NTLVLWQERMLSYDGHQAILYSSQLERTAEYFQNDIVNIPENSMAVFNVETNSAAFQIGIRPDGYMVTGG -SIGVNVPLEPETRFQYVGILPLSAALSGPSGNMGRAKRVFQ ->AIF74266.1 polyprotein, partial [Bat calicivirus] -GEAYSNLPDLAGALCDICHWALDLVADIGEKAYSMFSSWANGLFKSEGLKEYAPHIAVFVGFIIFLATGM -VPSAGITRVLARFTQLFNGNINTIKNIKWLTDWVTTQRHASEVRKFMARSAALLDNVAENPSPNVDECKG -YLACIETLVAEGEAILLTLGSSPLAGVVRTILERLANSQDAFRGNLNLNLERKPPQCWIFTGAPGIGKTR -LCHAIAAKLKLATSTFTLARDHHDTYTNNPVAIWDEFDTDPQGNFVETMIGLVNSTPYPLNCDRLENKKK -TFTSDILLCTSNFVCSVRPDHPRAAAFYRRVITVDVTAPTIADWVKKNPGREPPASLYSSDFSHLQFSIR -PHLGVSPQGDTLDGTKVQPTNSTFSGLIRRMNKKYKSEGTVPTCLWVKTTPALVQDVHRSLNGWRRWAGA -GCKIMYHDPAWTPANNIGPRRIVVDTHDPPQAWDGVIVTTVSKVRPIIGNNSEMHRSQTFGNPLDTMVHT -PTIRSSTLRNIVYGIDGVTITATDRLDPDHTIPHTKIVVVQNIWNLMQGLTRHLCIWSVPGIWRTLRAYM -KGVQNWIEIFHCLKEVTWTYNPTTTLFRTPTGDILFSTYGEGMQTIGVVGRLPHIGVLNEPPTLRSIFYG -YSFWDTLHTVANALVSKWSFIGLALLSASNVAYQITKSSRHHEAKGKTKRTIRGARQGRRVGGLSDDEYD -EWKDLQRDWRREMTVDEWVTLRERAAAGGTDAESMRFRAWFELRALRMQNNAYRYEVVDVIGRQGHRVEV -HRKDIMRAPKEQDAPDYDAPFIPEGHTPILGVFSRDGARVGWAVHCGGGRVVTCTHLTEDGFSIEGVSEF -TIVDIRHDTTYLTTDYRGAAYPLGEGIPAYYGPSKHPVVVNSEGIFDTTSTRVAGWSTTIRTGLDTSPGD -CGLPYFDIRGGVVGLHSASSTDRRTKLVTKVESPKIIGEQIVWKGLSVERQSANVGGLPTGTRYHRSPAH -PQPHTDPRDDHEPAPFGRGDQRYQFSQTELLVAGLRPYQETPIKPFDANILRASVNDVQGYLNSVIGTHK -SPNTTYSTACNLLDPSTSCGPFVPGLKGDYIGEDGQYTGMLAEHLGKAWDKAKRGEALHHAYKLALKDEL -RPREKCAAGKRRLLWGVDAGITLVANAAFAPVAERLKATVPRCGVCVGINMDSQQSQMISDTCQLGHVYN -VDYSKWDSTMQPIIMSHAIDILASYSEDSAITSSACATLKSPACGYVDNVMFRTKTGLPSGMPFTSQVNS -LCHLILFCYAVRSAYHERGIPYSTNVLLLETVFTYGDDGVYVVCEATHSIMPSVFNNMRKVGLAPTGPNK -EAEITEVTGDPIFLKRALHRDARGRCHARLDKSSLYRQCYWVKAQNTTDITSYPNFDTVARAQQLNNVCI -YASQHDDATAQDIIDLVTKTAEAENLPLSMNLLSVARSEYDKWYVGVVDVEPDGQPQSTIKSVFVMEGDE -RPSPGASAPGLAGPEETGPVVVAGPMPAAVAQATNMAAATGSLPSSLPPEVRATWCLLTNVTWNTRQGAG -TLLGTLQLGPGLNPYVAHLAQMWTAWGGSMEVRITISGSGLYGGRIMYALIPPGINAAEVSSPGQYPHAL -IDARSTDPFTVIIPDIRPTDYHQDGETISTASVGLWVFNPLINPFGGSAAVVSQVYISVETRPGPDFDFA -MLKPPTQRTIAGEHPRGLLPRRLGQSRGNRAGGVVSGIAVAQVWSQINHHWDTQSVTYGWSTGAPAPMVA -NIDTGNLSNGVFTVDADGKGPIMPNIPNHFPDFCASTANNPTSGSTTPSGWATAAGTVNTFDDSWDVAEQ -MVWNGVCCVSDESNTSTSSITLASAITAANMTIVRIYGQQAQTTGTNAIFTPMWLQGRTTTNPSPTPMYN -VNRSYGPVGPNNPVIWREEFLRDHPQRSVLFASQLSHTSSLLEYSNYYIPQNQFAVWQVETNGNTFQLGM -TGSGMFYTGATPGISVDLSQDTTFEFIGFFPVSTALMGPVAAQGFSH ->AIW53321.1 polyprotein [Chicken calicivirus] -MMMVSLALALITPTGASLVIPPPFARRWMASSMTSGLVVPAPQATTTPTPEATSSSEQVLPNPPEPSFWH -RLIDGVAPPQEPPLLSAITSLISLLGGLANLTFTALVEKVKPVALLTILRAHGWRLTIPCLTAVLELYGM -VRSTTDLLIDGLSWFIDFISRHLPCKMQAGGGDDSDTDLEELYSAENPSRLSPRVIQLIGAVAALPVALL -LGRAACRPILRFLGSLSRVNTVATFVKNVANLVTWGKNHLASQRIRRTIGGLIMVEDEVARNLNNSTAPQ -LEAYSNTIDQLLEEARERQVSHPGHPLLSALTKHASDLKELQNQLRGKLSLLAKRDPPAFYLFTGPPGIG -KTTLVQHLATRLSPIAPSNFPGHLDHYDTYNPGPVCIWDEMTNTPPDLMVQHVLGMVNSTPYPLNCDRPE -NKGMVFNSRVVFATSNLPTPLDPGHPLAGAFYRRVQIIDCSAPTISNYITETGEMPPSTHYRQDGSHITL -RKRPRMAYTSQGDLLTSDGVARKGRVTPTTPDAILSALRGTVVMESGRRILLVVDKNWPEALPTGWMFES -RGHQVDVVVDGPETNQEANVLILAVMNANDWPAHRVAGLLKKVNPALWSLAYVAGTPEVVVASKRIKPCE -LMDHVKCTWTAPQNFREEQGWHPDWPAYYAKCREAGRLPGYVLLGIDPALAPDLATHLHQWAARRGIPLP -IYDGTKNPCAAIERAETEILTAIVLVKPIDDPDLKVTHFDPTRPPGLNLSDVIQTENNDALEKFMGHVWA -PGTISPHILHRITPACELDVSDSSTGVGAVMNHFSLASGVRVIWQAWKNAGSTSQFFQTLLTMAARPEPR -LTILHWGDSTYWLYTSTCVGFAITPRIAPHRLPGCARPRPAGDRSLYGLLSEMLSTFITALRNAAPLILT -LYSWNQLNSTEMEKKKGKTKHGRGRKHLGGGRGPRLTDEDYDEWQQMREDWREDVTAEQYYQAKYGENRD -TKTSQRYDAWRRLKDLRAGQNDPRMSRPETRRESGLVARHHGLSRQDLGFATSRAERRLATYDASNPGDD -EGPRRLRHHMEGGITSPVVPALSGSLEVGWAVHLGSGRFILPKHLGATTIAGHPFVVCGLRNVDLLFVDC -QALRSIPQVRIGTGHPVKLHGRGYINASKTTATHLLPVGTVQGYSYNLLAGITKQGDCGLPLYDAAGGVV -GIHVARNQVGSICLAYQQLTEAAARPLRSFRGLDVVDSEVPSGPPMTVTRYWVAPGMEFDEQMPYQPGLV -GSNDPRNPAPLVTILTSGLAPYTDPGPDIPPAELALARAQVTAALQALIGVEVSPNLDFAAAWGSLNKDT -SCGPLVPGKKRDYMDEAGNLLPGTLRDHLSTSWGIATTGKALRHIYALALKDELLPRRKIYETPKKRLLW -GCDAGVALAAAGVFQPVYERIKATAAWGPISVGICLERPEVFNAFQHRFTGPVSCLDYSGWDSTMHHAVI -TAAVEILSVFAEDTPLTHSVVSTLTSPAIGCFMGCEVTVKRGLPSGQPGTSMINSVCHMLYFCMALNISA -RGACLPPLQNPILDCELATYGDDCIYKFPTLYQGAFGNFIQALRTLGLKPTAPDKTSDIALGLRIVYLKR -ELRDAEGTWRDGPVLDLDSILRQAYWVRGTAHRDPREVATRVHDGRGLQLDLALAALSQHGPEVFSQNVH -PFIRTALASGCPISLTTWEVAHQWWKDFSASLSTAEGDITTLVMSPKVDYVMEGVTTPPAPRGQAPTGTP -QTTQELSLATSGMVVTAPDTGVPVAPQPVAPQAPTIIEASGGITTLPPDMASMFVQVGRLGWNGTNPTGT -MLGTFDLGPALNPFTRLIAQAYCAWGGGFEFQVMLSGSGAYGGRLLIGIFPPGINPGNQDPTAFPHFYVD -ARESSPVTFPVPDLNPARYHSTTGADLTTSVAIFVAAPLLNPFSANNGYSCEITVLARPGPDFGFAMLRT -PTTTEVTIIRDALGRSTAGWLGCRWATTITSITPFLGARDTRVYNHFTSQGTTAGWGNGWPYTRIYVNIY -NTTTSRPGPAGSGGLVAGQFLSVQAAPDSGQAPTVIPGIPPGWTDLPTTHITVSQGADPTAGTGAMYVGV -LATHVAGSGPDICEAYSERLFQQNGMIAGGATSGTILDVPNNISNQTLAFAIGETRTGCSATAAQTIVAS -CMCWFTAANTSPGDNALTVPGYNGRAAPYHHTILSFNSHIPTNYPGGGTIGSSQPVPLSATLAANPSDGW -DSTRMAVWNLSGGGYTWQLGMLSDGTMVTGNFTGTVSLTTPHEIAFQGFSSITAPLQPPTPNGNDAAFRL -VNW ->ANK36012.1 polyprotein [Sapovirus GVII/RV0042] -MAAVCRHSVCARFQRESRLCAEVGVLPPDNTGWHSHLHLTPRGPIIGYRLQGLFDCAPVDCGNGKNNYNL -PTTNDPVGFVTQLLSDHTREVCGPITTGTIHALRQVVVDALLRQTGPLYGKLETTQLNALMAALTILTPT -PTNILDSRLELEAALRSQTCTNLRAAITAGILKGSDVMRETGHWVKQLFHAGVGGFTKIFKLWCHGVAAY -FDNAANGAITITSLLSTIKPVVLSMIIRSHQNTPHGWIVTLTALRELYGFDTSDIGALITQIIDALTSLA -HAAWSKILSAAGYTMQGVDTTAFACVVLGAIYYLCTGNVWKKDVMSRLRNIMGVTVSIIGFVRAIQYMHE -CIQRDVNNRNVTKFLVRVGAFIDATKVKDLKASEAENIMEFADTLMVEGQELLVSNIGPLVSVIQNTLRD -VANHKVALQSLVASAQRVQPPVMYVFCGPPGIGKTTLINGLVKALGQKSTNFTLMLDHHDYYTGETVALW -DEYDTDPKGAYISTVIGMINTVPLPLNCDRIENKGRVFSSHIVLATTNNETPIQPNDPRYSAFMRRITII -DVSCPKVTECIEGGRRPNPGMFKPDFSHLDLRRRCHMAYTKEGHLPNGKQFGSTPITFSKLVADLQAELA -GFALQGPEWEGLWVRCVKSHHVPEVTDYFNAMFKWIGVPTRVITDRTGSEVGFYDCVVTDRHPPQGSKYH -EIVVDGFRVRPDCVRDPYERPLSIFDFVGTPSQNLINMAIRHTRGHVVVTSTGPVDCSRLPRPRRVVDAS -NWFQLIKAAFAHSSLFTPFALWRMVKNGATLTQDNIEEFFKNVTKDVKFGPNPECTLIRLPMLDVLFFTC -SGSYTWILPGRMPLVHLGPIQELLVPSSSVVRCTLPQAIHLAVTSFVNYIKPYIGVVMTTVSLSHIWSDS -LQKKKGKNKRGGFRALGDEEYQEYLDLQRDWRLKMTVDEYIDIVSNPESDYVERYNAWANLRQLRMANGA -YDHARVVIGKSGPRWEIQGPTPTVTLNDTSGQSVGFANRIGEGLYVTCTHLLDHASSVDGVPFEQVTAVA -DCAVIRQTIPTSGPCYKVSTTTDPATFDTNKAPITCLHKAQQTVGGSVISGWRVSSQVQTKSGDCGKPYF -DASGCLVGVHSASANVGPYKLVSRVCTTVTARTQPDLKWKGLDADHTTANMGPLTGSTKYHKSLAYYDCG -YEPANFGPNDQRCPIPLPDVIATQVRPYQDPPVAINGELLHRGKRHVQAFLRHILGTHRRKPLPLVEAFK -SLNMKSSNGPWFPGVKKDYTTEDGGPNPILENYIVSKFNDMTHGRYKHCYRLALKDELRPTQKVREGKRR -LLWGCDVALATGAAMVYKNLFDDIARAAPCAGSCVGIDMDNVDTIKLLNQMFTGFHTVCADYSQWDSTLH -PEVITTAIDILGEFIEPTDFASTIQSVLKQRPAGLVFDISLPTLKGLPSGMPGTSVFNSVCHLVLFACCV -LQCYAERGIPYQGNVFANERIVVYGDDCIYGWTPGTASMAPRFWDHMRAFGMRPTNTDKTGDPAFTSTLQ -FLKRTIVGGPNGTLLGALDKSSLERQLCWIKGPQTTTMEPIYPPDPVARLAQIQNAVWRSAAWGPEYFQH -FEHLAANLARCEHLPYTGTEYSEAIEVITNISSGTPEGEAIVYKMEGPDGPKPAEGPIELGDGVQSSTAG -PPVLVNPTPPNQAAMATSSADASGGSLVTFGDDVRSTYCVYKNFTWNTRAAQGTLIGVVRLGPECNPYIQ -HISRMYGGWSGSICVRISISGSGIYAGKIMAGVLPPGVNPEEAGQPGAYPHALIDAKTNIAFSVEMYDVR -NVEFHYMGDDRTATLALWVYQPLINPFNPADASAMITVETRPGADFNFCMLKSPESVTQTTGPERALPTV -LMGSIENRFGRTPTGIVGANIANQVNHHFDGAGVTYGWSTVPFDTPNLHIGQQLNFDNNNIGYMVDPPTG -TDPVIPGVPNHWPDSCSSSTINSGANSLAGRGAAGAILTSYQMDVGEQAPYSAQYMVFNQTGAPLGPAIQ -QGKLAVRRINGTTGSVPQNDGSMCTVSYIMTNNDNNANIGAAVTPLAGVARTYGPLGGNNLCLWYADVPA -SHRPGGVVHSSQLDVTSTALANGASIPVGSMAVFTVTSAGNVFQIGLCWDGYLRTGIAEGTIVYLDADTR -FVYNGLYTVNTPLAGPDGNSGRAFSLLR ->AQQ78883.1 polyprotein, partial [Bat sapovirus] -MGVFSRFSRDPPPRGGLSRPVLLTLLSREPYWIRTPNTIQWSESLPPSPQYKLRFVAEGGLAEIWSQPTT -DDPLPWIEWFLGHKLTTREDPNDLRKILEEVESAILNPQHEYRLQDNLTVNQLRIIQTKLISILPWHVTV -ESRRARNNITLEQLQELAKVPKAWYDVPIDKMKDVFAAMWAAIARGIDKAYIPLQTAIDRLWNALPELDF -IKELIWTALSTASNQWAWQPQAIAGFILDYLKPTALTMILQQHRNTRSGWCATVTALVELYYSIIKTGMT -CVSLATRLFEYLYDIATDVWRGFKSWWNGLFDPQSGNTMILLVGVVGFVYFLATQTAPGKVLTKHLLKIA -GMLSGGAAAFKSIEYLIGYLKNSHHNSCVQQFMARHAALIEVIDAKSESGTYEAEGLVRCCDILVAEGTT -LISENPTSAISGLLRTMVAAIEERKCQLTQMIKMDKPRAVPQMYVFGGPPGIGKTMLVQHVAKLLGERTS -TFSLAVDHHDAYTGNPTAIWDEFDVDKDGKFVETVVSIINSSPCPLNCDRPENKGRMFTSKYVLATTNCP -TPVVPEHPRANAFWRRVNFVDVTSPDIESFMKRHPGRTIPKSLYKDDFSHLELRLRPYLGVTPDGVLIDG -RRAAGARITPDDVAALMKKNFEAQARTPHVLWIKTPTKMVTQAHKLVKGWAYYSGSLVQVVTTITAEQAM -NPCGPGFIVVSDQDAPHGNIFEIIAYDFSDNAHRTGPEFPCDSINDLFKIQNSRVPGHILRHIMYHIEGH -HTHLHDIQLNIADIPRPKNMVVVSTPLELIKPLWRHLSISSIPGVYTLLKGGLRGANYFDLMVATVGNLK -FGPNPECTLFRTPGGDIILYTCGGSFVFGTPARYPLVAPVDYPTFRHKHGRDTTWFDLFLSGLEILAKGV -LPYIPLIISMVNVTYLVNRQYRGVEAKGKTKRGRGRMHALQDDEYEEYRDVKKDWRQEMTVAEFLEMRQK -AYAGAMDPTSQRYRAWLELRELRAANGAYRYEVNTVIGKRGVRDQIQRLDLMKAPRERDMYDGYESQSQS -HLLEFTNESDHIGWGIHLGGGKIVTCTHVADVATLAEGLPFTKLETFADVTFVKTDFKGPFKQLGDGEPY -YFQDQCHVIKVLEEGSFDTPTTTVSGWTIKILNNTQTRKGDCGLPYYDANNRLVGLHSGASLQGSVKLVS -RVPKPNTPVKDRFSWKGLMVTRGPSTGGMPTGTRYHRSPAFPEIGLEETHAPAPFGIGDPRYSHTQVEML -VNGLKPYQQTPIINFDRVLLQRAVHHTRATLRGLIGTHLSRNLTFTESCNALERSTSCGPFVPGVKSDYW -DEETQMFTGHLHQHLAQYWDMVHRGQVPDNAYKLALKDELRPIEKNKEGKRRLLWGADAGVTLICTAALK -NVSERLAEVVPLNPIAVGTNMDSCQVEMMNAALVNRIVYNVDYSKWDSTMQPTIISSAVNLLAEWCEPSQ -ITSAATQILTSRAIGHFEDVVFQANTGLPSGMPFTSVINSTCHMILLAMAILKAYEDAGVPYTGIVFENE -VIWTYGDDGLYGLTLATSSLMPNILNNLRAFGLSPTAPDKSPEITPTLSPVFLKRTFITTPNGVRAALDQ -TSILRQFYWVKAQRSSDIHSPPRIDPLVRTQQLNVALAMAAVHGPEFYEKVAQVAQKCADAEGLVLSISY -TESITTYEAWYHGKSPVLEPVTTEVPDKLVFEMEGLGDQGALPQGAANDSTAPNVPTGGVTTDPVVQGVQ -MAAATGALNLSLPPEVINTFAVLGNLTWTNRQSPGTLVGSYRLGPHVNPYLAHLSAMWGAWGGGIEFRVT -VSGSGLFAGKLMVAIVPPGIDATKMPTPGALPHALLDARTTDPVVFELPDVRATAYHLMGDLDGVPTIGL -WVFNALINPFSSNDSLAACTVTIETRPKAEFTFGMLLPPNTAESNNSNPANLLPRRLGFSRGNRIGGLIR -GAQIVATANQSNHHWNASGRTFGWSIGPPDTVVVQTYDQSGTEPKWRRVFGTDTPIITKVANHWPDYSVS -GPVTGTSSLSLDASGGGVARNWSGVACLAAMMNGTTYDVDTDNARMVLPATGTLTSSSFQPGLTLGDNQV -LFLLSNESRFTGGVVLFNPISTNGTLNTIGERCLSLPSGGRTVGPLGPNTPLLWKEQLRSDSGRSAYCLC -SQLQLTSDVFSEGPVNIPANHFAVFAVSSSGGDWQIGITPEGFCYTSGTVGSSVILNEETTFNFVGVFPY -TTPLQGFATRAGHSLY ->BAI82419.2 ORF1 polyprotein [Sapovirus swine/TYMPo31/08/JP] -MASKPFQPNGCDPHFEVTVLRKCYLRVSHREQFLPSSSMTDIIHYYKTHCPRRGRLYVEPYFSTEGILSK -IFGSAGAPSLDSQAAFKELFNFDTDEQMPLSLEDLAKLQGELTSALETTNNPFVVKHGKPRVQALLSQLN -NLVPRDVSEKEKARRAEFERQTAEAFAELPNDDTFTEDDWKPYWYAMWKRVVRGGRSYYHSIPKWTSFKT -RMARATEPLRQVLAYAAQHFDNCLQLDPRVVAMNCVTALKPTVLMMIYQQHHNTPRGWLATLTALWEVFS -PTFSHIEGFSATVTTMIGLVVNTLQKFFVKLCSHISGAQQPEGPTTTGWAVIVAGALALLMKLSCIPRVF -KHWHTLLKLAGSITTVVGAGRAVDWVMSKVKEARHASMCKQFLSRVSALLEVHYSRTVTGVEENTELLKC -FDQLVDEGEELVAELGGGSLSAIIRSGVETLQRVAAEVKSTIQLDNPRKVPVCVILCGPPGIGKTSLAYY -LAKGYGKTSNFSLANDHHDGYTGNKVAIWDEFDTDRDGKFVEQMIALVNSQPCVLNCDRPENKGKLFTSD -YIFCTTNYTTSVLPTNPRAGAFYRRVITVDVRAPEIEKWMEDHPGKTPPKSLFKADCSHLQLSLRPYLGY -NPDGDTLPGKRVRPTSISISGLHDLMDKRFEEQSDEIRGLWITVPNRQVQQSLIAVKKFCTANQALCHVT -STPTSEVLQCATFSCVVVSHENPPPGIPLLHIKNAQLDINSNGGSPSSLSSSMLGLFITDQKVSSTLQRQ -IMYKVWAPFTLLQVEPLNTQQLPPVRRIVYADTPLDFLAGLRHHLGFSSIPGLWRAIRHLPDSNTMLNWI -VDHLSQVRFPENPESTLFRTANGDVVFYTFGSFYALGTSARVPAVTGDTINPLPNIPTKMTWFETLRALC -SSALRLFSTVAPFFLSIINLSYLTARGGLDEEGKGKTKHGRGARHQRGRATALNDDEYNEWMDLRRDWRE -DMTADQFLRLRDEAYEGIANERTQRYAAWLNVRNMRLGAGAYEHATIVGKGGVRNEIIRTEMLTAPKKGK -WNRGADSNPFNYFDEAPTPLVEFTNEDCHVGWGVHVGNGRVVTVTHVATSATCADGVPFKIQDTDGETCF -VLAPLGQRPHYQLGDGHPVYYTTRFHPVLVISEGQFDTPNTTVTGFHVRITNAYPTKKGDCGLPYFNAQR -QVVGLHAAGSTDGSTKLVQRVKTTAEVGEHFTWKGLPVIRGADVGGLPTGTRYHRSPAWPDVGKDETHAP -APFGSGDKRFDFSQVEMLVNNLRPYLEVTPGIPPALLNRAVVHVRSYLESIIGSELSEPLSFSMAAALLE -KSTSCGPHVAGLKGDYWDDELCQFTGNLRDHLETVWNAAMIGTPPAHDYKLALKDELRPIEKNLQGKRRL -LWGADAGLTIVCCAALKPVAIRLQNVVPMTPVSVGVNMDSAHIEIMNESLKGRVLYALDYSKWDSTQSAA -VTAASLEILSSFMSPCPLVSSAVEALKAPARGMVNDVIFVTRSGLPSGMPFTSVINSVNHMLYICAAILQ -AYESRNVPYSDNVFNVETIHTYGDDCLYGFTPATASLASTIIENLKSYGLKPTAADKTDSIAPVHTPVFL -KRTFAMTQHGLRALLDQSSIIRQFYWVKAQRSCDVFSPPTIDVRARAAQLEVALAYASQHGHDFFERVVD -IARQTSQTEGYVLVNTNYEQATACYNSWFIGGSQPEVPTTSEGYGLLVFEMEGNQAPNGPSQPKVNSQPV -APSGTTGPLDAPLVPTNPEQPNPTAQRVELAVATGATTSNVPECVRSCFALLRTIPWNSRQPQGTLLTSV -SLHPDINPYTKHLAQMFAGWGGAMDVRVTISGSGMFAGKIICGILPPGVDPTLVNDPGVLPHALVDARIT -EPACFNIPDIRPVDYHRTDGQEATATLGIWVLQPLVNPFSTEVVSTAWISLETRPGGDFDLCLMKPPGQV -MENGASPATLLPRRLQRARGNRVGGHITGIVIVGTARQVNRHFTAIGTTFGWSTAPYEPMQCAFGSVHNG -TENQPKVGYLWEVGADHRGPLFPNIPNHWPDFAINSEYSWPNTVRVPNNAIVGTLVSFTNDRDVSEDTVP -TAFAVTMDTPSGSTNTRGVVREYFDASTMHLARVDGINQPSGWPTGADPGNGLFVPIWGHGQGSAINGKV -TNMRGGNYVFGSSGQNNVALWIEQIFSDYPGTTTLYSSQLDTTATILQGGPVNIPENMMAVFNVSTNGAD -FQLGIRRDGYMVTSGTIGTQQELDADTTFTYIGLFSLSAALIGPHGNTGRARINWT ->BAK61504.1 polyprotein [Sapovirus Hu/GI.2/BR-DF01/BRA/2009] -MVSKPYRPISLDPTFEWVVFRRCYLRVAPRETFVEDFKELEYYFTTRLCAWLKRVTRTLPRREFVEEGLL -DAFNSKPVVEPNEDILFRELFGVDLQEQFPMSIHDLAKLQGEAVDALRNPGHQLRRKYTTQTLKKLVTKI -TRVVPVQATLQDMHERRDFERERADLFKELPLLDEEITQKPKTYFYSMWRQVVKKGKTYFMPLVKTNGWV -SKITKITDPIKDFIIAFCQAVQQEMGTDPRYLQLAWIQKLKPTVLTIILQQHKNTVSGWLATLTALVEVY -SNLFDDLRKSAVTIISALSAFFDVCKDFIASVVDLIKTTFTPQGPTDLGWTAVAAGAVMILLKASGCPGV -SGMWGKILKVCGGITTITAAIRGIKWLRELYEEAESKRLAKMYMARAAALIELAASREVTGVKELKELLS -CFTVLIEEGAELLQKFGTSPLSGLIRTYVSELETQANNIRSTIMLDTPREVPVVIILTGPPGIGKTRLAQ -FIGEKFGKVFNFSVAVDHHDGYTGNPVCIWDEFDVDAKGSFVETMIGIANTAPFPLNCDRVENKGRVFTS -NYVICTSNYPTSVIPENPRAPAFYRRVIILDVSSPELDEWKKKNPGKKPPSDLYKQDFSHLKLMLRPYLG -YNPEGDTLDGTRVKPTQISIPSLISLMQKRFKEQSGENQNLWMQVPRGAVDQASNMIKTFVYANRGVCDV -LPNPASRDITETSVSKIFVSSTAPPPEFLGRHVIITGFELGDASIANSLLSMFSTSTRLTAGAQREYMYR -IWNPIIHIQERSINTQNLPYVNRVIPVTSHWDFLRGLRHHLGLVSIPGMWRAFQGWRSSQGIIDFVANHM -NEVTFPSNPECTVFRTGDGDVVFYTYGSYACLASPARVPYVGNPPSTIHSNVPRCMTWGETIGYLCEVVA -EFALHFGPLILAATNIAYLCSRGNRDEEAKGKSKHGRGAKHAHRGGTSLSDDEYDEWRDLVRDWRKDMTV -NDFLMLRERSALGTDDEDVARYRAWLEIRAMRLAGGAYTHATVIGKGGVREEIIRTAPRRAPMSARRANY -EEEAPTAIVEFTHEGDHIGYGVHLGNGRVVTVTHVASSSDSVEGAPFKVQRTVGETTWVETQLPNYPHLQ -IGSGRPVYYTMRLHPVVTITEGTFETPNITVHGFHVRITNGYQTRKGDCGLPYLNANRQVVGLHAGTDVQ -GETKLAQKVVKELPVESEFHWKGLPVIKSDLDVGGMPTGTRYHRSPAWPEQLPEETYAPAPFGAGDKRYH -FTQTEMLVNALKPYTETTPGIPPSLLSRATTHVRAYLETIIGTHRSPVLTFTQAVELLEKSTSCGPFIQG -LKGDYWDDETQQYTGALREHLDRAWDHAVRGIAPGNSYKLALKDELRPEEKNKEGKRRLLWGCDAATTLI -AVAAFKPVAARLQVVTPMTPISVGINMDSMQMQVMNDSLRGGVLYCLDYSKWDSTQNPAVTAASLSILER -FMESSPLVSCAIESLSSPAIGYLNDIKFVTKGGLPSGMPFTSVINSVNHMIYFAAGVLKAYEDHHVPYTG -NVFQIETVHTYGDDCIYSVCPATASIFGSVLANLSSFGLRPTAADKTAEIKPTQTPVFLKRTFTQTPYGV -RALLDINSIIRQFYWVKANRTSDPSSPPAFDRTARSAQLEAALAYASQHGPLVFDKVRDIAIKTAEGEGV -VLVNTNFDLALATYNAWFIGGTAPDPERPTEGAPKLVFEMEGNGSQLPTNQNGGHVGQDVDPPGATGPTT -SHVVVSNPEQPNGPAQRLEMAVATGSIQSNVPEAIRNCFAVCRTFAWNDRMPTGTFLGSLSLHPNINPYT -SHLSGMWAGWGGSFEARISISGSGMFAGRIIASVIPPGVDPTSIRDPGVLPHAFVDARITDPVSFMIPDV -RNVDYHRMDITEPTCSLGFWVYQPLLNPFSTTAVTTCWVSIETKPGGDFDFCLLRPPGQQMENGVSPEGL -LPRRLGYTRGNRVGGLVVGMVLVADHRQVNRHFNAQSITYGWSTAPVNPMAAAIQTNHVHTGNNNSNKRN -AWLSLSAENKGPLFPGIPNHFPDSCASTVMGAMDTDRHMPSTGICGPAIGFQNNGDAYENETPAVMFATL -NPLTGGTNENPVALFGSINLASLAVVRTQQDVDFTTTGFANNMNVVVEMSWEMYSGSQQIQGRVTPMDGT -NFVFTSSGANTLVLWEEQLLSYDGTPAILYSSQLERTAEYFQNDNVNIPPGSMAVFNVETNSASFQVGIR -HDGYVVTGGTVGTHVALDAETRFQFVGILPLTATLAGPNGNSGRARRLFQ ->ACV95473.1 non-structural polyprotein [Calicivirus isolate Allston 2009/US] -MAFAITHSSSTAHKTTLCSVASRLQSTDDTDIALWHQTTGTHIRFPNWKCARAFCRFVTDAYDMTPYKES -ARFVSQQLTRLSNYLSTQTGIWTSVTNSACVPDNSVSGTSMEFDSMAELTKALTTGFTFSVADANLGLIN -GLSTGLNKDDLTSPTLTNASDNNDTLTSEALTTEFNAEACPSCALYDKCPNCTAELINDDGTSQAPGDIP -HWTHHKIASGIVNILSQDMTSMEDDDFANIAAHVKKALGTNSHPANNDMSKDQLNWLLNIAEASLIRKSD -RTALPMNAARITARRGWREKLFNEPADKLYSTLRKSKDSFQKSAIWSIIFEKAANAKHYSEIVFQEVVKL -IKEECNPSNNFYFKVMAQSFLDHFRMLVIDNPDPVANLPKFILKLKPLNLKMIIENHENTAEGWIVTLTA -VAELYGWLEFAVDLVPKIVSELYDLLTSATQKCYSMVRELLTNLNILKAESLDLTNPFWYALAALLSYFI -TGFLPNNAKCSAIKQTLNGATTLVAGITAIQKLAAMFSAWSNESVVDDLSTKVIGLTEVDNPTVTQDIDA -VTNLQIMAEQVKDQIKLKTLDPTFQPYLPVLRNLMSTAESVISHCAKRKALATQRVAPVCIILTGPAGCG -KTTLAYAIASRLSSQKPSVLNLNIDHHDAYTGNEVCIIDEFDSNPDSNFTEFVVEMVNTNPMLLNCDLIE -NKGKVFSSKYVIMTSNNETPVKPTSTRAPPFYRRVRIIDVTNPGVMNFKYANPGEEVPAYLYTYDFAHLQ -MSMRGFGAFSKTRVIDPEGRKACGLEARPGERVQVDDIVRYMQRVHRENQMAFKAEDKKRKTPRFAFVTQ -REHVDTVYKILAAAKTTYNGYYSLTKDSFDVNEGHNIGSSVFVVGDDMAIPPDCKIFRCNHLAMFRHPEL -AHIEGDNFRAALGVTMSDQDVTLMFYHIRGKHIQDEVRLDELPANHHIVTVHSVYDMAWALNRHLSLTGK -WQALKAVYDLYMTPDILPAALRHWMDQTSFSSEHVVTQFIVPGGTIILETCNGARMWATSKRLIRAGGIS -HNNGPEGGFRFGSIAPRDIPWSEILREFLNLVSLIWSRIKGATIVLTALLLYMKRYKPRSEAKGKTKGGR -GAIRHGGKGVVLADDEYDEWRDFKMDKRMDISVDEFLMLKHRAALGSDDRDSIQFRSWWSARQMRQDTGL -DHEDVTVIGKGKVSHEVHRTEIMKAPKRPKKNGSYAWGEDMLAEGDGKVCNHVNAIMPVTGMCNEHIGHA -VHIGHGVCISLKHVLKTGSYVFGQKPLNVTFNGELAYFQINTYPSSAAPVGYDSKPVRDPWGRPVSTEWK -HEVYNTSAGKMCGSIAWTATKTQPGDCGLPYVDDRGRIVGLHAGSGGDASPGRKIIIPVVKVKMNSNGVF -AKAFWQEDRRTIKYKGLTVQETGEMRSIVKGTRLHVSPAHVDDYHECSHQPANLGAEDPRNPISLTNIVV -NNLQPYKEPTPGPPENILVRAKKMLIAQLEPYIPKSETHLSMIEAFKKLNKDTSCGPYIGGRKKDHIDEE -TGLPDKLLMNHLADRWQLAEQGLAIPHEYALGLKDELRPVDKIAVAKRRLIWGCDVAVATVAAAAFKDVS -DAIMSMHEFGFIQVGINMDGRAVETLYDRLYRKGMHRYCVDYSKWDSTQPPNVTTHSLDILRHFTDKSPI -VDSAVATLSSPPIAVFGGVSFKTSGGLPSGMPLTSILNSLNHCLLVGCALIQSIEEKGVDVNWNIYDYMD -MFTYGDDGVYIVPNFYDSVMPNVFNCLSSYGLKPTRTDKSSAPITEVPEDENVEFLKRTFVKTPNGIRAL -LDRNSLLRQFYYIKGKNSMNWTEPPKEIDTSSRSAQLWNVMLYASQHGEMFFEKCRQMLMRAIDHEGLVI -EPITYDAALHKYNCYFNGVNDCEVQMLSSDAPNAHEIVFEN diff --git a/seq/clusters_seq/cluster_260 b/seq/clusters_seq/cluster_260 deleted file mode 100644 index 78688e9..0000000 --- a/seq/clusters_seq/cluster_260 +++ /dev/null @@ -1,271 +0,0 @@ ->YP_009664791.1 capsid protein precursor [Bat astrovirus Tm/Guangxi/LD38/2007] -MADVPRPQRVRRNRRRGNNGQSAAPTADDTPSGMAPTFQRNNRRRRNRRNWRRRVRRVARVPFIGPLMPG -EERRLVPAFPRRLLTRRIKAELRREGLEGPKVAVQQRITSTFGLIGPNTSGNVEMELNFFTHPSLAKEAN -DGTAFGPLQALAAQYALWKIKFLTLRFTPMVGASAVSGTVVRASLNLSQSPGGSNWSGLGTRIHMDMHPG -AVSTFHLRGDQIGGPRDGGWWFTDTNEEGSQSAGPIVEIHTLGKTLSTFKDKEWSGPLFLVEGIGLWQFA -NYQVKPALGALERREAAVVATLDSTAGQPMLLELPEQNTVTQFMMSLEPDTGLPDPRNVPVPXGRAPAIP -NFAGTVGETIFQIVDIGAKLASGALPIPFGWLIKGGWWFVKRVFGRKAGVDKVPFVVYASLADAQNNKPA -IATQTTNKTTAQTELLVTQINSPNVGPNPAVPAQRNIIHQVLHPGDTFRLSSVLLSEAMINVPTDNAGTA -YTLVPVSAVPGVGRTSSGSPVTISVPPTPSVLQTIAYIQTPWWIGPPGRAPALSVVGQNNSVTNVPSVTN -GGYVQVLYRLINPRFTDQSGDLDYFEPDYQGDVQFALLPTFNTTGDVGVQKPYEPFGSVVATGLIHSGTT -PPFNMVFNLIKTYKPFEGYEPSNPGVDDQVKDLFLLYGDNAGKISFITLPKNGNQATWKLKQRSFAENTY -FLAVSITDAPVKISGVDVPTTVKLGTYTRTESQLAPIFPYDLIGSMLAATSADLVLRVPEKTVLDKYIVD -QLQAMGIHPTSIEREPLVDLAAEGPSWWPYDVPSDSEHTDDSVSEFWDKVGDEMIPIPTRWVHDAEMRQQ -LGIDE - ->YP_009664789.1 capsid protein precursor [Mamastrovirus 18] -MAEAPKVQRQRRRRRNNNNITSAAPTADATPSGVSTTTAPRAPRRRRRSRRVRFVNRPLIENDVFSGRRR -FPRRFITRAVKREIKREGLEGPKVSVQQKITSTFGMIGPNTTDNAELELNFFLHPALAKEANDGTAFGPL -QALAAQYSLWKIKYLTLRFTPMVGASAVSGTVVRASLNLSQSPGGSNWSGLGTRLHIDMHPGQVATFHLR -GDQVGGPRDGGWWFTDTNEEGSQSAGPIVEVHTLGATKSTFKDDDWKGPLFLVEGIGLWQFANYQVKPAL -GSLERKEGDAQVNLEVSVGQPIAMTMESTNDVAMFMDRLEPEMANPLATRATADKPSVGETIFQIVDVGA -QLAQSFAPPPFSWLIKGGWWFVKKVLGVSRSGKSRYLIYASLQDAQNNKPAVATGSLSAVATAPTTKLMV -TQINSPNVGPSPATPGSTRFAPQILSPGDSFRVSSRMLTELFIRTKPSASGSPAVSVPVATIPGVLRTNT -GGLIPYATISSGDTSSVSFIQTPWWIGVPGQAPSFSTSSSGNDTTYIPGQFADIVRGGYVHTLYRLIDPR -FTDQSGNYEYFDPPPQDISLNFCAMNNFCGCDDASATCPDGTPLTTTGTGKLSGSNPYAVYGKVVGTRKF -FSGTTPTLDIILSLIKITKQYSLDQDCVRDMVMVFGDTANTIRVIPMPNPKPPQTSSNVATPWPIWEREI -PEGAYLLGVSYTNAPMVVQGADIPTTLKMGSYERVESASAPIMPYNLSGQTLPATSVDVMLQYVEYHPPS -EDLIKQLTTLGVSFNAPLPPIEEVPEEGFSEGEEPALSISSSDSESDADSVERFLFEIGNEMIELPKRWL -NDPDFSKYLE - ->YP_009664786.1 capsid protein precursor [Mamastrovirus 16] -MAAASQQVKREVKKEVKKEMRKESKNEARNKPNKKNLNKSFKKYVRKEVKKEERKNGNGGPKPKFTVNVT -ATIGFVKGSDESTIKLALATFLHPSLCKGPDEDKAFGPLQAAAAQYGLWKIRKVHVRLTPLVGSSAISGT -VVRLSVNQAGTPSSTSWGGLGARKHRDFQAGRSGTFILTTRDLAGPRAGGWWLTDTNAEGNQSAGQLLEI -HTLGKTVSTYQDKDWQGDLFIVEISGSWQFTNYNMNPAMGSLERHEXEATNAKLTTDANGEIQLELPSES -TVARFMNDPTARAGEQTPGEIVYQLVDAGAGLVASALPTPFSWLVKGGWWFVKKIIGRTNRAGTDVFKVY -ASLADAQNNKPAISSEKSIDGKPVTASIHVTQINSPNMGGGASNPHVAGGSPNWPIPPVGHPSGNFLLLG -NVTPVCKPTGVVTPQLVWETALQFRDKFYPSVFYSVGQASTALEIVGDSLASYSELPTDGFSAEIKTDCL -STGQRHPVKVVAHSARHISDVGGNTVFMHACLFYSSSPIPFKRLPSVGTNRLIVGTMYKLARDGNKAFEL -TPTSGHFISIFFATKGTLPELSSSGSSNFWVTDATANFTYRAVEVIDFISLLTCPYNQGTVSPVFLYFDI -TPRRKTQIERLAEKLGVDLDGVDCSSDESSSESDDDEYVNVSELSPMQRYEGLRASGFSHEQAELMMKAL -KESALSG - ->YP_009664784.1 capsid protein precursor [Bat astrovirus Tm/Guangxi/LD77/2007] -MAASPRQVKQEIKQEVKKEVKKEEVKKWKNKKKWNKKFQNKNFKKQVKKEVKKEVEKDQGGPKSKFSVNV -TATIGYVDGNKEHGPTLKIATFLHPSLCKGPDEDRTFGPLQAAAAQYGLWRLSKVHIRMTPLVGSSAVSG -TVVRLSANLTQTPGSTSWGGLGARKHRDFHAGRSGAFILTRRDVAGPRAGGWWVTDTNTEGAQSAGPVIE -AHCLGKTSSTYQNADWSGQLFIVELTGRWEFSNYNMNPALGSLERHESETPNAKLSTDAGGEIQLELPTS -SAAARFMNDPTLKRGGNAESTGEIVYQIVDTAAGLASSALPPPFNWLIKGGWWFVKKAIGRANATEVFKV -YASLADAQNNKPAISSQKSMQGTAVNTNLQITQMNSPNLGGANVVFATGASPQTPIFPLRPSGTPSGEFM -LLTNLQPVHMHATTAISREIPAFFPGSGLSTIGGKNFPAVHFRILNSDAFTLDGESLTSWASVQGMQTPF -QLRLNKKATGTTGQMAVLVLANSVIRVGQANHTSWFLHTILWKSANDASETWATMDNETPMIITRPGGTT -DEYRWQNLSTRNVVATNSTMIGSYYLSAILVGGKNALQEINSGNSPNTTPFNMDPLGEFNSLLGVLLQSV -LRQGAGYSVHSLRAFTRSESKMEKLARLLGVELSTDEESDISDDDDSLCSDQLEISESDSEYQVIADPEK -EKELEKLGFSKEQILKIMKA - ->YP_009664782.1 capsid protein precursor [Mamastrovirus 14] -MPNKGKNTKKTVKAAAKQAAVAAAKAVEKQHTKQLQNVASSGKKTVPKKEIKKMVDGEVKKKLRKIDGPK -SQYQVSVAATLGYVTGNESHGPNLKLTSFLHPALCKSPDESTNFGPLQAAAAQYGLWRVTRAYITLTPLV -GPSAVSGTLIRGSVNLSQSPSSTGWGGLGARKHLDLQAGVKGYFKLARRDLAGPRDGGWWVTDTNVEGQQ -SAGPVFEIHALGQSTSTYQATDFKRELYIVEMRATWQFANYVSNPSMGLLERKEAETKVSFTTTEQKEIV -MNVETDAGTLRFLDDPTVERAAGGQPDKPGEIIWQLADTAAEAITTVIPPPFGWLARGGWWFVKKIAGRA -AYGFNASSSASFLVYPSLADAQNNRPAIANGTQTRSNQVPASLQFTQMNAPNMGGPTPGTSVMARGQFPV -PPEKPPTSGACIFRTVLRPVFSSHGRPSFPTFFLGGTISWQRTTMRCAHFAAGHPVAINIPNDEILAFGA -PDNGTGGVITLMNIAQEGNTMRELYPEVIAQRHEKVANNMWMHAFLWVAPKNQRSYRWRAGNFNITVGYL -YTGIHSGQYMVVNSNGIDNEQMSFNAQNANTIGDQKYLSICFSAAKNAGPEINWAAQTFPFALNDSVPML -FSFLFMHQYLEGFKYWFELDMTQRRLGRLQRMARMLGLRPEDFESDTDEDDLETCSEDESDAEEKESTTS -EFDVIPQPGGANDYMMLREQGLSHQEALDVIVAKKPAV - ->YP_009664780.1 capsid protein precursor [Bat astrovirus Tm/Guangxi/LD71/2007] -MASNAPAKAAAKEVKKAVKEVAKAAVKAAHPNPKSNRPGPKRGRPWAKNQKKRVDSEVSRKLKKEGLEGP -KARFAVRVSATIGKIGPNVNQGPELQISTFLHPSLMKEPNDGTNFGPLQAAAAQWGLWRLSDLHIVCTPL -VGASAVTGSVYRISLNLTQSPGSTSWGGLGARKHLDVPVGRQSTWRLHRGDLAGPRQTWWLTDTNEEGGQ -SCGPMLEIHGLGKSTSTYKDADWTGDLFIVEVNGRWEFSNYNSKPALGTLERVTEPVSATLESNDGVMQL -KIPESSLLARHMGEKYERAGRNAATIGETIWQIVDEGAGLASVVAPPPFGWLIKGGWWFVKKVLGRSANA -TATYQVYASLADAQNNKPVEVQPSTAVTAQTVLSSTQINAPNTGPTSSIASAVNGLFWPIDSSGAPPEQA -YYMFDATPGFVTTGHLAYLTGNTTGGPFQVQIGGTAVPCGSYWSVAPRGIWYADSTELEGWYEIASLPTI -GTWRVAPSLNPESSTGLVDAGTVHAWASWSLTDAGVNSWHIIAYLCKVTSTRWGGTTISNGFRRLMLPAW -VEASVGLAPVSLAKKGGFPKVNGSVEVATSTSSGSWLLLLAVGKASSVTAGEPHDYTFLRGSTGDVVDFV -NGGNGTCLPDRMGVSSLTGPQVPRLKTVSLVMNTTGPAPQDCLIESVLSALRREFRLEARSPSPVLEISD -SDEDDCVTPASDPPDYNQLDAVQLKTVKQRFYESVRDLGWTHVNADDLXNNLIDRRGHAE - ->YP_009664778.1 capsid protein [Mamastrovirus 11] -MFFGGEDQREEMAHANQAAKSEAKKEVKKVVKELVKDVAKEAKKDAQRRSAPNRRWKGQRGKQTKQTVHK -EANKKLRKEGLEGPRPRFSVRVSATIGKVGPNKEQGPELQIATFLHPGLMKEPNDGTNFGPLQPPAAQWG -MWRIASLSVRFTPLVGPSPVTGSVYRVSLNLTQSPGNSSWGGLGARRHMDIPVGRQVTWKLTKGELYRPR -QTWWMTDTNEEGGQSCGAIIEVHGLGKTTSTYKDEPWIGDLFIVEVDGKWEFTNYSAKPALGMLDRKTEE -LTASGKQAGLQVTDGVLEMTLPKDSELARFMSDGFERNAPQAGTVGETIWQVVDEGAGLAADLAPVPFTW -LIKGAWWFVKKIAGRGSNATETYQVYASLADAQNGKPAQAEPFVHATRPTTLTITQVNAPNVGPADTRTA -FHSGVSPYPLVPVEAPPPPGSTVVLMARFAPIAYIKYPNSQLEQSVVKAHVKKNSTEYFFSLKRGQTKSY -ASAAYLVMDPSLISVDGTRITGCYDPGWLDHTGITAHYRNTDAFGQVVAYAVDEWANSGQKFTAAAWLVR -VTRTLEQHTFTDMWPWAPNAYIAGRSSVTPPIQYTLDKANVPFATKPDGATHLNASVSQPQILSGTLLLL -YSIGQNTKSRIAGFTDGEPLVNSTNDAVLAVDKEFNSGLWAMCLSPIGPKSDYGSMKFVLPTRSDDKLEE -LLDLIQLRFNLKPTVESESDETDEDSQDESEVEDCTDAPTPPEPTPRLKLAKEMMYEALRDADWTHVDAD -ALLAAISTKN - ->YP_009505809.1 capsid protein precursor [Bat astrovirus Hp/Guangxi/LC03/2007] -MAEAPKPQRQRRRRRKVSETKVDVKVTETDKVEAMLKPKRRRRRNRRPVFGPWLPGQGPRNRRRSRLRRA -IKKEIKREGLDGPRVSVQQRVSSTFGLVGPNQSGNVELELNFFLHPALAKEANDGTSFGPVQALAAQYAL -WKLKFLKLIFTPMVGASAVSGTVVRASLNLSQSPGGTNWSGLGTRIHLDIHPGQQTTFFLRGDQIGGPRD -GGWWLTDTNEEGSQSAGPIVEVHTLGKTSSTFTNTDWTGPLFIVEGIGLWQFANYQVKPALGMLERRQAE -TKVSLSATPGEPITMSMPVTSDVAVFMMNAEPEVVALPSTSTVGETIFQVVDVGAKIAEVFIPPPFNWLI -AGGWWFLKRAFGVATFNEDGTQLVKFNVYPSLADAQNNRPAIAGATAAYKNQEVTTNLIVTQMNSPNVGP -QPTPSAFVRASVPVPMPTGTFRMHTEMFPEEAVSWSGSGVFYPNSYIIGDFYPSSIVTGKNYLKTAWYVT -KDVGPNVAVAEPSKNGSFIHTLYVLKNARFSTLDGSTEYYPPSGQTGAALYFGSSAEAGATNYQRFGTVV -ATAHVLADSTSKSAFLGLVLTLIKADTYKVARNEARDRKVIWAAQAGSDPGKIDKITFANIVKSGSTTTQ -VTTVGFSSGDYLLGVSYAVGGSQFKPGGIDQIPGTQVDVSVHFPFNQGAGTAYVTDAFFRIDGMNALSTD -VRVIPYYLSGNIFAASSADLNMNFVSGPSAHDELLEALKRLGVAFQPDFHDIDVEETPEYLKDFLTLLAD -EGRLPNRAELAMRAGDYQKALKIYRDENSC - ->YP_009345899.1 capsid protein [Qinghai Himalayan marmot astrovirus 1] -MASQPTVQVTVPAGALPQRKRRQRRGGQNVQQRHPQQVQVTQATGRQRRRNRRWRRAGRQTVRTGGRGAR -RIIQRLGLQGPRPNIRQRITTTLGTIGPNAGRTVELEGSFPLNPALAKEKSGQNNLGPLQVLASQYQQWR -VRGVPVLFTPLVGSSAVSGTAFRVSLNTQTTPGSNAWSALGARHHLDFSPGQNKRWRLPNKMLSGPRETW -WLTNTNEDATQCLGPSIEIHSYGKTTSTYQNSDWTGDLFLVELTATWEFANYNSQPGLAQLEKTQGSGAG -VKVHGEEGKPLTVEVPSTHTSFGALNASYTPLNGGTLSETIWQMADTGAALAAAAVPPPWGWLITGGWWF -VRKILTNTSNAPASTVGQNNGSIYFHVYPTYTDAQNEKPAIATGTTVATLSGELELTQISTPNVGPTTSA -YSRSIAPDPTPVVGDAFTLVGSVTNNATMQNVSFTPFPTFIWPFVVGEPQQAFYHFYITDGTNVFPTQAC -AAVTASALFQSRTVQLPTAATGLQLKFGAVVIGDVVAGLTSRNSSTFATVSLVRATLGTAANFPVSAPAE -GNSDLLRSIVSSSSVNAYPEMRWARYNPPANGTTARSEFSAGNYYLLWNLGTLSNVTTFGNLTYKTTQAN -FPDLGNLDWTHETTQILGRDNVLGTQALRLIWGVQVGTNRQLDLTRGLYDSSDESESELEISSDTDYDTD -TDWENRESGDEVDGFKASFHFSYEKFKDAPTFEIRGGLIAHLESLGFGREQAELRSLRMLPSEVSRYFRA -TYHDLLIDGVPPVTAKHEAYEKTQKHFGLSRGHAE - ->YP_009052462.1 capsid protein [Feline astrovirus D1] -MAGNAQAAAKAKTTIKEVAKEIVKEAKNTNQRQNRPSKRWHKKGRHMPKNGNKNNNGKINQTVDRDVKRK -LKKEGLEGPRSRFSVHVSATIGKIGPNKDQGPELQVATFLHPALMKEPNDGTNFGPLQAAAAQWGLWRLA -SLSVRFTPLVGSSAVTGSVFRASLNLTQSPGNASWGGLGARKHLDVPVGRSQSWRLQRGDLAGPRQTWWM -TDTNEEGGQSCGPMLEIHGLGRSTSTYQDRAWAGDLFIVEVEGRWEFTNYNSKPALGTLDRKTEEMTGDK -APSMAVGDDGILTMTLQPGTRMVHFMGEQYERNAPPEGTIGETIWQVVDEGAGLASSIAPVPFGWLIKGA -WWFVKKIAGRAGSNAPVRYQVFASLADAQNGKPVMAERMESQSANTTLTVTQMNAPNVGPGVGVPTYQTS -YTPYPLTPAEHPPPPGSKVVLTARGTPVFFVQYRDMLDSVLKGHLRRSADDYPFLLKRGSRVSYASSAYL -LSEPIALTYDGTSITGCYDPFWFKNTGISLHWRRTPDSIGQVIAYAADNWGTESAPFSCAVWLVRTTRDL -PRHTVTEMWPYAPGAYVAGGSSITPPLQVTLNKANAVALSKPQSTSHQECQANMIDIPSSSLLLVYSVGV -NKASSEAGLPLANHLPLWRMMLLSAVGRVPTGFWAQAMSLATPLSDYLSVRFVLPAASHDEQIESLLNGH -HYRFNLLIMASSWQDKPDDGSDESDYSSDDQRELEYTSPKDRFAKERVYEALRDSDWDHVDAEALIQVMN -GARRMLNTTRGHAE - ->YP_009047248.1 capsid protein [Bovine astrovirus CH13] -MAESAPHKSQNAASAKQIAKEVVKLEKKTKNQQQQAQRTTKRKNWVPRRSGNQASKARVSNRVKTELKKQ -GLEGPNPRFRVTVSATIGKVGPNKSQGPELQIATFLHPSLIKEPGDGSNFGPLQAAAAQYGLWRIKFLEV -RFTPLVGSSAVSGSVTRASLNLTQAPGATSWGGLGARKHIDVPIGASKHWKLKRGDLTGPRSTYWVTNTN -EEGGQSCGPMLEMHGLGKTTSTYKDAAWEGDLFIVEIHGTWEFSNYNAQPALGMLDRLSDDVQVEIGVDS -EGQITMTVPENSPMARHMGEKYERSSASNATSVGETIWQVVDEGAGLLSAVAPQPFGWLIKGGWWFVKKI -LGRSGANAGSTYLVYPSLADAQNGKPAISTSRGFARQVVQTTLTSTQINAPNTGPGVSQPALVSYEFFPY -YPRDEPQVGLPFYLASCYEPGLSKISMPIWVYGSSSAFNGAPFEVQVGSQAYQSQTYSYLIKPAAFSADI -PYEATSDPVSLNGWYTIDTLPPIGEFRASFAYPISKQKYGDVVAASNFFVSSNLMLVHYLVRVTQDFPAL -SGDEWGSGDTIRYFTPMLTALSAATDQSNPINFVPNRIVFQQLQKSTVGVPTLVRARVGSYIISTVWCRG -TGFSAGVITGYGFLNQTGFNLESDIMRSDSNGVLSLITRVSPYLGLGIVRMTRGVPPSDEDDLVARVVQQ -LQTRYRFASDSGSDTSDEESDEFECLRSTPLQQVYEGVRGVRTHAEAVAVVRKLKSRGHAE - ->YP_009047080.1 ORF2 [Human astrovirus BF34] -MGNSFATAYLAITYQMTTNLRTIYWLLWRYWQDTSVPEGGKNPSLSRMKCWISFGGEVQSVVMAGKQPQH -VPVKAAAKAAAKEVVKEEKKILQQVVKPKTKWQNNRKWQPKPRVKREIQKKLKKEGLEGPKTRFAVTVAA -TIGKIGPNKQNGPELQISTFLHPSLMKEPNDGTNFGPLQAAAAQWGLWKLASLKITFTPLVGASAVTGSV -YRVSLNLTQSPGNTSWGGLGARKHLDIPTGRSVTWNLHKGDLAGPRQTWWLTDTNEEGGQSCGPMIEVHG -LGTTTSTYKDAAWAGDLFIVEVKGVWHFSNYNAKPALGALERKTESLQASMEVGADGVLTMSLPSSSQLA -RHMGERYERPGSNATGVGETIWQIVDEGAGLISNVAPPPFGWLIKGGWWFVKRMLGRSNSGEEKYQVFAS -LADAQNNRPVMAETMTKKTHQTTLISTQINAPNTGPGNTSGMVVSGGFPIPQSGVPEGAFVVSGPFEAIH -ICGHGTAAATTLVNGYIPSNPWEFTLKKGATVWGAAQGGVVASADHLLCFSFDSENECTGWYDIHGIAST -GITVDWKTNATTVSEWADVLAWRSDDWNTLRMTYYLCRVKKNHTPTDYEDQQKIPLPTITSLADNKAVTA -VHEIKMTQSIHTAGGNTMQVKYNTIRAGNILILWQLGSHTFNDATGQASVVQQSNFTAYQLNVEKRSTTG -MWVRALTPIGPKQEWLTALFHSPARQYDLVDQLMDQIQERFALVPRSSADTDSSEDEFEDISDPKTAKLA -LYEALKGINWPHDAAETVMDSLIKSRRGHAE - ->YP_007003832.1 ORF2 [Porcine astrovirus 3] -MGNSFAIASLAITSLMTTSLRPTFWWPWRLLLGTSGHVVVMSHSALLMRCLTGFGGADQRSDMAGDKLNA -SAKAAPFAKEVAKEVVKEEKKTQARRRKWYKPRRQQNQPQQQSKQRTTVSKQVAKKLKQEGLEGPRPRFS -VRVSATIGKIGPNKEQGPELQISTFLHPSLMKEPNDGTNFGPLQAASAQWGLWRLAGLKIRCTPLVGPSA -VTGSVYRLSLNLTQSPGNTSWGGLGARKHIDVPVGKQSTWNLHRGDLAGPRQTWWMTDTNEEGGQSCGPM -VEIHGLGKTSSTYKDADWVGDLFIVEVVGRWEFTNYNAKPALGTLDRVTEDVQAGIEVGSDGIMTMTLPQ -RSNLVKHMSTAYEKLPLNALTVGETIWQIVDEGAGLISSAVPPPFGWLIKGGWWFVKKAIGRSNTDGTYY -VYASLADAQNNKPVEATPFSRIPAQTTIASTQINAPNTGPSSSLVISTPSGLLPEDLPSTATTFEVVGYV -SAMFSVNQNTTHSPVINNVFPVKGQDASDYAFSIMQNPTQVAGKGMGCLIFQSEPVFLRLNLDTSASTVD -NIFLLDDTPGIGWTVNFNLPSNPIANCADVLAYKHEKWGDDLKFSSWLVRFTKEIPESSGNFYCLVSAPV -ISNVDQAPVTIVFNKVATPKLSTSSSLSHMRVSVSSVQPGTYGVIYTLGNYNVTDATGVASAPTLTGPVN -DRVVPGPFRAVTGITPISLTTQLPNYFPLWANFFRPASRPTMIDTLMEQIQQRFGLKPVEPDYNSDDESD -VEPTAASKFAKHSMYDALRDSDWDHVDADALIHFISKASNRPRGHAE - ->YP_006905857.1 putative capsid protein [Astrovirus VA4] -MAGKQPQHAATKAAIKAVAKEVVKEENKQQQRKQKWQPRKKQGKTKWFNKNQVKHEVKTELKRKGLEGPR -TKFTVKVSATIGKIGPNVNAGPELQISTFMHPALMKEPNDGTNFGPLQAAAAQWGLWRLSDLKIQFTPLV -GASALTGSMYRASLNLTQSPGSTSWGGLGARKHLDIPVGVSRVWHLRKGDLAGPRETWWLTDTNEEGGQS -CGPMLEIHGLGKTTSTYRDAPWQGDLFVVEVTGVWQFTNYNAKPALGTLNRVVEETNASIEVGADGVMKM -TIPSTSRLARHMSEQCERTSSAASQIGETIWQVVDQGAGLVASVAPEPFGWLIKGGWWFVKKILGRANTG -DEVYYVYASLADAQNNKPVEANQFNKQSHNTTLAVTQINAPNTGPNNAPAVVTSRLFPIPQAGIPDGWFW -ISGHFESLHMVGLNGTNGSTLPCGIITTFPQWEFKLKKGATEWGCAMQGVASSPDNVTCWSVDSDYQLKG -WYDVAGIPSTGIVVDWVTGSNQTVHLDWADVLAWRSDEWGTLRLTYWLCRTRREVTASDFDTTQKNPSPY -ITNAEAQKYKADVREVWIPTVVTNEQRTNSRVQYISRVRAGTIVVLWCLGSHTFESGTGKGTIVSKDNYG -AYGQLPTKMSTTGLWHRALSTVGPSTDWLTVAFDAHAAVTSDDIVTKLLAEIENKYDLEPRRQRSRDHNR -DLTRLKLYEALRDADWEHLPAEGMSSVLQ - ->YP_006905860.1 putative capsid protein [Astrovirus VA3] -MAGKQPQQAMPKATVKQLAKEVVKQERQVKQNQPKKKYFPVKNKKYIKREVRKDLKKQGFEGPKPRFSVS -VSATIGKVGPNKAQGPELQISTFMHPSLMKEPNDGTNFGPLQSAAAQWGLWRLKNLSVTFTPLVGPSAVT -GSVFRISLNMAQSPGATSWGGLGARKHRDVAVGKQFTWKLQRGDLTGPRETWWLTDTNEEGAQSCGPLLE -IHGLGATTSTYKDAAWNGDLFIVEVKGRWEFANYNSKPALGMLERITESTSASIEVTDGNMIMTVPRSSQ -LARHMGERYEKSGNASTVGETIWQIVDEGAGLVANVAPPPFTWLIKGGWWFVKKLLGRSANTDAQYLVYA -SLADAQNNRPVEAQTFPKTTHTTVLSSTQINAPNTGPNTTTGSISNDISVWPIIPSGSPVVDFYVSGRMK -SLHMGGQAGTQATTLVGGLIYRPELPPSATPPVSKWEFTVHEGNNIVGAGMSCVMFRANDVVSWSQDGQD -LVGWYRLDNIKTTQLTVSWRQQNRVVYGWGNVVAWNSEEWHTNSETPHQPILRLTYWLVKVNVTADPADF -DILQKMPLGYLDPYNTSESTAAIQKINFQTVQKPSGGNTLRVQYSSTPQQGDFVVIWQIGRHDFDMSTGK -GTAVDTVSDYIFPQAKDAAGGLWYRALTMVGPRTDRMVLHFYYPTATDDLVEQIITQIQSRYKLAPLPAD -SDSDTSSSDSEMDCYDGFEKLQVYENVRQAGLNHAVSENLALAVVKKKLRRGHAE - ->YP_004782206.1 ORF2 [Mouse astrovirus M-52/USA/2008] -MAGPSDKKVEAKVKREVEKEVKKEVSKELGAKPKQKNWRRKAFKRKEKKEEKRIKKDVKKFAGGPKPKFA -VKVTSTLGTIGPNETDGPVLQLSSFLHPSLVKGKDDGTAFGPLQAAAAQFGMWRLSNLEIRFTPLVGGSA -AAGTVTRASLNLSQTPSNTGWGGLGARKHHDLSIGRGSNWKLNREDLNGPRNGGWWCTDTNVEGNQSGGP -LLEVHSLGTTTSTYKDAGYTGNLFIVELFGRWEFTNYNANPALGDLSKTTEKVNAKIISDPEGVVQMQIQ -TPAGSKMRSIFADPTVKAEARGVGEIIYQLVNTTADLVANAAPPPWNWLVRGGWFFLKKIASSSNGIDTF -NVYPSLADAQNNKPAISTQKNHNAELQEQELTVTQFNAPNLGGGTQVVQVKAASGGDVFPIKPTGVPRNE -FILNAYVTPVYMLSSPYNPRFIDFTSIVVGNKKFAAMHFHAQNVHLVQFNQDQQVGFYDVNELPVAHEFE -LDQRCIQNGTQVMQVLASATAPIAALSGGQIWSHTVLWKPKNEGPDWQTLDNAQGSWWRPGNNPGDNWTR -AWNTGNYTTQIDMFRNLFLTNYLSNVANPFQLERAEPVTQNFVPNGGGNSFWSLQESPWARGDHKLVSGQ -MEIHPKKLTKFEKLCKKLGIDPCDFDDDSSSTTDNDPDSSDDDDFENVTTTSTQEKIETLMRIGITPEEA -KKLVQLV - ->YP_003275953.1 capsid [HMO Astrovirus A] -MAGKQPQHAATKAAIKAVAKEVVKEEKKAFKPRQQNKSTRNKFRNKWQTKHQVKNEIKTELKKKGLEGPK -TKFTVKVSATIGKIGPNVNSGPELQISTFMHPALMKEPNDGTNFGPLQAAAAQWGLWRLSDLKIQFTPLV -GSSAVTGSVYRTSLNLTQSPGSTSWGGLGARKHLDIPVGVSRTWHLRKGDLAGPRETWWLTDTNEEGGQS -CGPMLEVHGLGKTTSTYKDEAWRGDLFIVEVTGVWQFTNYNAKPALGTLNRVVEDTTATIEVGTDGIMKM -SIPSTGQLARHMSEQNERASNAANTIGETIWQVVDQGAGLVASVAPEPFGWLIKGGWWFVKKLIGRANTT -TEQYYVYASLADAQNNKPVEANTFAASSHATTLAVTQINAPNTGPSTASAVVQSRMFPLPQAGIPDGWFW -LSGQFEALHMVGLNGTNGSALPCGMITSFDKWEFKLKKGTVEWGCAMQGMAAASNTVTCWSVDRENQLLG -WYDVSGIASTGIVVDWVTGPNGGTVHLDWADVLAWRTDLWGTLRMTYWLCRTRRAVQGSDFDTTQKSPSP -YIARAWPETISNVRLDVREVLMVNTTTNATDSNSRVQYIQRVPAGAIVILWCLGNHTFDTSTGQGSIVQK -SSFGGFGQLPSKSSTTGLWSRALNSVGPSTDWITVSFDSHPPVCDDVVSRLMAEIADRYDLEPRRKKPKD -ATKELTRLKIYEALREADWEHLPAEEVSSVL - ->YP_003090288.1 capsid protein precursor [Astrovirus VA1] -MAGRQPQQALPKAAAKQIAKEVVKQEKKEPVVRKKKQFYPNPKFNNRFNKKFVKKQLDKNLKKQGFEGPK -PRFAVTVSATIGKVGPNKSQGPELQISTFMHPSLMKEPNDGTNFGPLQSAAAQWGLWRLKNLSVTFTPLV -GPSAVTGSVFRISLNMAQSPGATSWGGLGARKHKDVAVGKQFTWKLQKGDLTGPRETWWLTDTNEEGAQS -CGPLLEIHGLGETTSTYKDAAWAGDLFIVEVRGRWEFANYNSKPALGMLERVTETTNASIEVANGNMIMT -VPQNSQLARHMSERFERTTNASTVGETIWQIVDEGAGLVANVAPPPFTWLIKGGWWFVKKLLGRSANTDV -QYLVYASLADAQNNRPVEAQNYTKVTRQTTLSSTQINAPNTGPNTTTGSIGNNNQQWPIPPTGVPVGDFY -VCGRMTTLHMGGQSGIQATTLVNGMIYRTDHPEPSTSPVSNWEFTVLENNTIVGAGMGCVWFQKSEALVW -TLDGQKLSGWNTLDGVGTTQLTVAWRQHNRTIYGWANVVAWNSEEWHTNAEQPHQPILRLTYWLVKINVL -SEPEDFDVVQKSPLAYLEDYTTAQSKSAIQKLNFQTFQKPEGGGTLRAQYSTTPRQGDFAVIWQIGRHNF -DMSTGKGTPVESLSDYVMPQQKDAHIGMWYRALTSVGPRSDVLTLHFHLPTVEKDLVEQIIDQIQHRYRL -TPLDSDSDSSSSDSDFEPEDRFEKLKIYEGLRSSGLSHHVSDGAAIAVKKKLRRGHAE - ->NP_795336.1 capsid protein precursor [Mamastrovirus 10] -MASANQAAKAEAKKVIEKVAKEVIKETKNSAQRNQGPGKRWNSKKGRHMPKNNNNKGMKRTVDNEVKQKL -KKEGLEGPRSRFSVRVSATIGKIGPNKEQGPELQIATFLHPSLMKEPNDGTNFGPLQAAAAQWGLWRLSS -LEVKCTPLVGSSAVTGSIYRMSLNLTQSPGNASWGGLGARKHKDIPAGKSVSWKLQRGDLAGPRQTWWMT -DTNEEGGQSCGPMLEIHGLGKTSSTYQSQDWTGDLFIVEVLGRWEFTNYNAKPALGTLDRKSEDYASDAT -GPGIMVGDDGVMKMTLPTASSLARFMSDASERSPVNEGSVGETIWHIVDEGAGLASSVAPTPFSWLIKGA -WWFVKKLAGRSGANADEQYVVYASLADAQNNKPVMANRFDHTKKATTLTVTQVNSPNTGPGVTQAAYHTE -NPFPLVPATQPPPPRSIVVVNATARPFGYVKYNTGDSNVKSHGKFDGTQYHFTLKRGSTVSYASAAYILT -DVSMLTYEGNRVTGCYDPGWMEPTGITVHYRNESSAFGRVLAYEIDQWGSTSDNHFTIGVWLVQTIKDLP -AHDTTVWPYIPLPYVSAGNCTAPPVQVALDKACVPYLTKVGVTSHQQCTVRQPQVRSGTFMLVYSIGTNT -PATTAGFTTGQPLGEATNASALPEGKISTSGFWERALSAVAPYQDFISVKFTLPQGPHADPRVDALLDLI -QQRFNLKPVDASESEDEDEDSPSGSEEEPCGEEPTPPQPTPRLKLAKEMMYEALRDSDWTHVDAEALLAA -ISSKN - ->NP_059946.1 capsid protein precursor [Mamastrovirus 13] -MAEKPQQKAVASAAKQLAKEVVKLDKITKSNGKQHPQKNVPARKWRPRQAKPNNRRVTHKIKRELHKQGL -EGPASRFRVTVSATIGKVGPNKEQGPELQIATFLHPSLVKEPNDGSNFGPLQAAAAQWGLWRISDLEVRF -TPLVGSSAVTGSVTRASLNLTQSPGATSWGGLGARKHLDVPTGVSKVWKLRRGDLTGPRQTWWVTDTNEE -GGQSCGPMLEVHGLGKTTSTYKDSDWTGDLFIVELHGTWEFSNYNAKPALGMLERVTDQTNVELGVDTEG -QITMTIPENSPMARHMGERFERASASNASSVGETIWQIVDEGAGLASSVAPAPFGWLIKGGWWFVKKILG -RAANAGSQYLVYASLADAQNGKPAMSTSRGYVREVKQTILSSTQLNAPNTGPGASQPALAAYEMFPYFPH -GEPAVGQPFYLMSTVSTGVYREAMPVWVKYNYPGAPSNQAPFEVTIGQTTYQAQTYFKLTEPVAYGADIP -EVTSEVKPALNGWYTLDTLPAIGTFQAIFTLPGTKSKYGDVVAASHFSITPQLMLVAYLVRVTTALPNAL -RGSPWSDNADNSILYYTPLVNAYAAATSDANPIQFTPNRACVSECRSHCGHPTIVAADVGEYILALVWCR -GNGFSAGVINSYGALADTSFNLDSLRADSNGVMTLLNRAVPYSSLCALRMTRGVPPTSDDELVARILGQL -QTRLKFAAGSGSSSEDDLSDDDDFECLRSTPLQQIYEGVRGLRGHAEAVAVVKSLQSRGHAE - diff --git a/seq/clusters_seq/cluster_261 b/seq/clusters_seq/cluster_261 deleted file mode 100644 index 35dfd82..0000000 --- a/seq/clusters_seq/cluster_261 +++ /dev/null @@ -1,280 +0,0 @@ ->YP_009553340.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 12] -MGVEGAGKAYGAAIRNLAKLHKYMKIYISEKISPVRPQYVTSGHTTKSLNVMRANSEEDEDYHATLAACV -EWPMMQHNVSWKRWMKMMDRIVTVNYRDFHKNSGCMAVSFEYFKSAYSDAVVKNKKGVYQYKSVHMCGEP -LGQVIVKEMTDEQISEVKSWPMQFVFPDKVHDEAFTKQNVYVKDVLETLSKSDPKYYETVVDEIPYLIGL -ASDQVCCTIMSHYAHHTKGWVVPLRKYRALLYNQELSKAVSDFAKICGVALNPFLGPFAEMDALRGRGSL -PADPQKELDELSGIGVPQGHDVRFEDGIVRRECMKLFEKAMPTLASLPAESRWERAAMPLDSYWKKRTQH -CVNGSHHMPRHMKDSDPTKGMRSVTRMVYLENETKNPMYATKPLIDATLSWKNENPKVRALKSEDTVGYL -NEDYIMKAVESAWTHDEVLIDPGMPTKQDECKRIAEMEGKVYVMLDYSAMDKQHSLRSQDEVLEALMDFL -GAPEYIREWMLNANKNQFLTYGSRTIKLVYSLLTGRRMTTFFNTVLNYVYLKIALRGLRPTSALYAGDDI -CLRFNSVRDARVALQHAISSKSVFNPRKQSWGLGAEFLRTAVMGNRAYGYVNRTIASTVCGSWVNVLRLA -ENHLFGLFARYAWMLDNRLREHGFAANLLVNSMWKRTQMSKQMCKRILRHEVSVDGSPVCGNMPAVTIMR -PRIEVIVKNEMKDVRPGKGAAQIVFDFQQALGENRLPTREAKELTSILTKASYMKSLIKGYDSKEVTHEV -KPVCANQTNYTEYLLAKANKGILMKHPTLPAVQGLISLSALRRLFEFTAELEKDMRLTDREWLFGNDSYP -VVTVLGADYDDGLMLSKISDFKEATRIARIKFAMRCFT - ->YP_009552778.1 RNA-dependent RNA polymerase [Fusarium asiaticum victorivirus 1] -MHRSAARAAEFALLGGNLLTLARSIPGLVHSYDGHDFTRQLIQLQSDTAGLARVNMLLPAAVSLLLADFP -VQLSEGMALTNALARSAYSAPPYSLFPPEIIFSVPGVRHDKTSAPQRYARGVFNILMHDKEVLARAFPRK -AHAAANEKPLLHLGGLLAGLRKTRGVGAAAMMVVRAAGRLTEDQVISLILYQTALTPLFGQQSFDLAWWA -LTEPANAKGLSNGLKALGLNATAHGAALVEANAMQGRATAPVDMDAEVAFRVEPARVAAKVLAPDEGDIR -SHIRHILTQELRGDLELPPLSDFWSSRWLWCVNGSNTSTSDRAMGLNPDEYASTHTRTYRRMVAETLEKE -PISSWDGTTSVSASVKLETAKSRAIFACDTRSYFAFSWILNDVQKRWRNDRVLLDPGKGGMAGIDRRIRA -AGRRGGVNVMLDYDDFNSHHSTRTMQVLFEELCHLYHAPQWYEDALVASFDRSYITWGGRKHRVLGTLMS -GHRGTTFINSVLNAAYIRAAVGGPKFDGLISLHTGDDVYIRAPTLSDCAEILDRTRDYGCRMNPTKQSIG -FRRAEFLRMGIGDRAAYGYLARSVAALASGNWFNPNPLTPWEGFNSLITSARSIINRAGGGLRLGALLAP -AVRHGHSVDLRRRAAIIDGEHAIEGHPVYNVDYVLRTYSCTLPKVDEVPIHPGWRTNATRDYLLDHVSPV -EAEALALAGADVTTSMVAASFGKGLDRSKLRSLPEFQLRRNRDRKALGFADVGSLLTSIPRVGCLSGYPL -INLVAGRLSTDELRGLVLLAGGDANAADIKLEAFGSESRTKNIIGTLPFSDAVNLGKRTLSDNIYTLTPI -FM - ->YP_009508251.1 RNA directed RNA polymerase [Beauveria bassiana victorivirus 1] -MATVTSPDTFGKIGIYLSDLLQRYGTNNLPRGGTFVSRLITLQNSFSALRHAHPLLPAAANLLLLDFPLQ -TDIGLHDFIALVREAHSLPPMFDSLCISLFPPQPGELVDVTHGRLVRRLVRSSELRDRLFPPKRLIAGET -KTNVTLGGCLRSAQRLLGSHKTALIARACIGLPADHLCGVLIFLFCAWRKLGEGALGVALFLSSHPTEGK -YASMVLKALGLNSTDWGALFCETQCLAGRATGTVDVKAEARRRCDPSKLTGELIDVDPDTLRDHVRSILR -VELPNGCTVPTLDDFWTSRWLWCVNGSHTGASSDLLGIPRDFLSATHERVYRRAASETVKLEPLTSWDGY -TSVSASQKLEHGKTRAIFACDTRSYFAFSWVLGSVQKAWRNSRVILDPGTGGHLGMAQRIINAQRGGGVN -LMLDYDDFNSHHSNGVMAMVFDELCKHVGMPDWYRDVLVKSFDRIYYTDNNGRHKIAGTLMSGHRATTFI -NSVLNAAYIRAAIGSGRFDSLLSLHTGDDVYIRCNTLADCAQILEATTAYGCRMNPAKQSIGFRSAEFLR -MGIRGDKAYGYLSRSISSLVSGNWSSNDPLAPLESLQTLITGCRAVINRSGVIDVAAFLAPALRYPPQQI -SNRTLIELLRGEVALEGSPVFNTQGRIQNYAAYVPRADELPIPSSWKRHATTDYLSYHVSPIEAAALEWS -GADAPSLLIASSYSKGLNKVGAAPLPPVSFKRLPVKHARGYVCATDLSKRDVNPGVLTKYPVINLVKSRL -TTEAILDLLVVELGYRPSGDPREIAFGGEAESKCIFGTLSYPDAAAFSKLTTAGNIYTLFSIAM - ->YP_009508249.1 RNA dependent RNA polymerase [Aspergillus foetidus slow virus 1] -MDIQTRISEFGRIGTYLFGLINGTDFMDQINSHGTYVAKLIALRNSMSSLRERHALLPAAASLLLLPFPL -QVELSIGDVLLLLRSAFNLSYGTTIQAAISSNAIPEILSDNLAGRARRIVTLVTQRPDFREKYFPVKKHP -GAQTKANVHLAGLVRSAVVLHGRGIIGRVLLATAGRLTDDQASSLLIYGSGLLPTFGALGWAIATHMVLY -PDDAKALNGALKALGTNSTPYGCLLVEANTLQGRGVGAIDMREKARERCDPDFIQKSVVSANFDELREHV -RAVITTELSGRDVKMPTLSDFWTSRWAWCVNGSETAKSDEVLGLDPKAYKRTHTRSYRRMAAESVRDEPI -STWDGTTYASASPKLEAGKTRAIFACDTRSYFAFSWCLNAVQKAWRNSRVLLDPGIGGHLGMVNRIRHAQ -RGGGVNLMLDFDDFNSQHHTVAMQIVFDELCKYIGAPDWYRHVLVNSFTRTHVSIDGRWELVQGTLMSGH -RGTTFINSVLNAAYIRMAVGGPYFDSLLSLHTGDDVYIRANTLGDCDYILNRCRDYGCRLNPAKQSVGYY -GAEFLRVAIRGERAYGYFARGVSGFVNGNWTSSDPLSLTEGLSSAIASCRTLINRSGDASLADLLGPAIR -YRRGLTTRQTIQLLRGTLSLEGSPVFNTNYIIRNLRVEGVDKETVPIDNRWKRYATTDYLTDHLSPVEVE -AIHLAKTDPGPLMIASGYRKGLNLDDKGTPSQVRFRPLPPRLATGFASASQLTARRAEPGCLAKYPVLSL -IAGRLTDDELRHLVAMEGGNNTTSDIRKEAFGESPTSKNIIGFLSYADAAALSKVTSSGNIFTSISVRV - ->YP_009259368.1 RNA-dependent RNA polymerase [Sclerotinia nivalis victorivirus 1] -MSAFVERASAYGVVGDYLLSLRSYFPDSFKGYGQTTFVNDLVRLQSSTPTLKTKHALLPAAVSLLALPFP -LQVPLTLSDILVLAKRASGVPFDYVHSARWSDSPLISSEYRGRDYMVLCTNALFLRNCFPVKKHASAYSK -VNVSLPDLAVSLCKLGHTRSLIGMIFRCAGLLHEDAFMNALIYGYALSYHFGASGWDIACHFILNPKIAK -NVSVSLKALGANTTREGALLVEADTLQGRLAAPVDIDAQARYRCDPVAVAKSVIPYSDELRTHIRAILLD -ETRDRECVLPNLHSWWSARWLWCVNGSQTTKSSKDMGLDPKANRATHDQDFRRMASEALKSEPLTTWDGT -TSVSKSIKLENGKERAIFACDTNSYFAFSWLLSSAEKVWRGKRVILDPGVGGHLGIAKRVQGAQRGGGVN -LMLDYDDFNSQHATPVMQCVIDELCNIFSCPDWYRELLINSLDKMFINIDGAPQRVVGTLMSGHRGTTFF -NSVLNAAYIRLAVGASAFDSLISLHAGDDVYIRCNTLSDCDSILNNTRRVGCRMNPSKQSIGFVGAEFLR -VGIGTNAAYGYLARGIAGFVSGNWVTQDILAPDDALVSSISACRTLINRSGCASLALLLSRSLRYTSGFS -HRVLRSLFSGESALEGSPVYNVDYQIRNYKMNRVTPDSIPVPSNWARHASIDYLTSHLSEIEVTAINMVG -VDALNLLVTSSYSKGLNMKYRAALTRPTISRMTVRLARGFVSAATLLDKRLERGCLSQYPVVRLFENRLD -HNSLRELITLAGGNPAARDLREEAFGRTSLSKNIIGYLPFGDAASLSKRTTAGNIFTLYNVYT - ->YP_009182167.1 RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 5] -MAAHLSISERRAALGHLGDVFYGMLEKAEFPAEKFRELRATEQLLLLSVGGGVLNSSLALAVEFNRRVRS -RVGLKGQPGLKTGVVVDPLLRVAASMLCARFAVQVEMTDSNVRRLVRLAFPEALPPLWSGVPAEVRGKVS -LSALAARADLREVCFPYKTVPQATRKANVHLASLLTPKARELVGGLDRLIGWLAGRCSDDQVCSAIIYAH -ALGSRWGPGAAEIAARYILDPEGAVSVGLVLKAMGANSGPLGAALVEGKSLQGRGVGSLDLAKEAEQRCD -PDWVAGKVLHCDPEELRLVIRQILSEELKGREIVFDTPEQFWERRWQWCVNGSHNRTWDARAGVDLPASM -PGCDRFYRRAFSEVCKVETLTGWSGEVLAGVSPKLENGKTRAIFACDTLSYYAFEHLLGPVSAAWLDRRV -VLDPGRVGHLGMAERINRTRDGGGIDVMLDYDDFNSHHSNTSMRILLEETCAAVGYDEELGRKLCQSFDN -TWVKTPAGLSRVRGTLMSGHRGTTYINSVLNAAYIRLAVGRAAYEGMVSMHVGDDVYVNCPTPEGVEELV -DRCAAIGCRMNPTKQSVGKVGAEFLRMGIRREGAHGYLARSVASLVSGNWVNEKVMDPEELLSSMVGTVR -SLINRSGCETVPQLLAPAVSAVTRIKVARCVSLLSGQSALEGRPVFNPRDGLIRTWALRVERPASKVKAK -DLPSNATDDYLAKAASVLELRGAAMSTVDPRAAMLDSSYRKTLAGDTDPSKIKLTLRSCTPVLARGATNA -RDLLRRPCPPGALERYPLLQLVKSGLRDSDVRELVREAGGDWTARDIGAEAWGIPSRSRAISGVASYTDA -ASLARRAETDVVFFPYPVHM - ->YP_009094185.1 RNA directed RNA polymerase [Ustilaginoidea virens RNA virus L] -MDIYERAKDFGPLGRVLIEHIESCPDIVSDFRETFIGRLIKLTNSANSLRTRSYLLPAAVSLLCLDFPLQ -EDMTFASFLRWVREAYDLNIVDSPAFGESDLAALPPGRLVRRLCRSKLRLASAFPEPELLAGQTKPNMKL -GPLISSSVIMMGSERVAALLRSSWNMRSDRVMSKLVYAFVLSRRFGEVGHKLANFLVNNPDDAKYISLAL -KALGLNSTDWGSVLCEANTLAGRGTGGIDLAREAAQRCDRDFVDSHIVHVDPDELRPHVKSILDRELKNI -RSMAPLDDFWTSRWLWCVNGSQTTESSLALGIDPHYCKATHKRAYRRSASEHVKNEPITSWDGRTSVSVS -AKLEAGKTRAIFACDTRSYFAFSWILSAAQRDWANSRVLLDPGVGGHLGMFQRISRAQRGGGVNLMLDYD -DFNSQHSTSSMQVVFDELCSKYNCPSWYRDTLVGSFEKMFVNCGNERKRVLGTLMSGHRGTTFINSVLNA -AYIRLAIGGSKFDRLLSLHTGDDVYIRANTLADCVHILDSTKELGCRMNPTKQSIGYKGAEFLRMGMRQD -DCFGYFTRALSSFVSGNWANTDSLDPVEGLRSAIGGCRSMINRGQVSAVAELVGPAPRYRPGLGARTLCK -MLKCEIAIVGSPVFGGSGFLTTYRVTTRVEDRIRVDKRWARYATGDYLAHHTTSIEAAALEMSGSAGTNL -LIISSYVRGLNEERVQPKPAPSWKKLPRINLRGYACASDLVNKQRKRGVLSHYPLINLIKNRLDEAMLRT -LIAEELGYVPEGEPYKIAFGEDSNSKRILGVLSYADASAYCSRTDSGSVYTLCRVAM - ->YP_009072433.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea victorivirus 1] -MQDAVAVRAAEVGPLGVELLRVIDTFAAWTRTHFPRELVAGMSRLQVQRQQLDSIHPLLAPAIATLLLDY -PLQLELDHGVVMRLVDLALPRIDAEPAEPAKNQALRQHVKRNSPRHNQYMELASAIRHDKPFRLACFPEK -KLQAATAKKNFSTRRLLDSVSRELGASFLGWLVAHCACKVTDDQFQMIIIFGLTLSTRLGRHAYLYALSM -VTNPSHAKSLNVVLKGLGANASTPGCWFVEGQGLLGRGVGDVDWNSEIPYRCDPDLVREKTINVDPETIR -PHIRAILERELVNRNDLPDMEDFWTSRWLWCVNGSQTSDSDKALGLDLKTLQTHRRRYRRMAAEALVDNP -IPDWDGTTYVSASSKLEVGKTRAIFACDTRSYFAFSYLLNQVQKDWRNERVLLDPGNGGTVAMGARLRNA -QKGGGFNLMLDYDDFNSQHSTETMKIVFEETCKIYNAPAWYTDKLCSSFDKMYIRQKDGLRHVAGTLMSG -HRGTTFINSVLNAAYLRCGVGSGWFDHALSLHTGDDVYIRSNSRSEVSNILTRAAEFGCRMNPTKQSIGV -KNCEFLRCAYNPYYAVGYLCRTVGTLVNGNWSGDTPLTPHEALTSLLTSLRSLYNRSLGGGLGRYLASAI -RFRTDGISRKNLIGLLDGRIAIEGGPCFNADAKIRTCALKNTIKPSPLVDKNWDAYATRDYLVCHTTDLE -YYALQNCGVSPTPLMVTTSYEKGLNRESEEVKPLKFCNMRVSTARGFATVSELLKVDTEGGVLAHYPLLM -LIKDSLSPSLIRELCEADGYTPPSENIRAYAFGEDFRTHNIIGRLPYSDAAALQGKTSCDNIVADYKIYL - ->YP_009032633.1 RNA-dependent RNA polymerase [Beauveria bassiana victorivirus NZL/1980] -MIEALAKRALEYGPLGESLLGILSRFPQVYSQFGVSTFTDDLIRLRNVSGSIASLNQLLPAAISLLCLPF -PLQIQLRRSDIIRLASLSLHLPFNPLGRIDLVLADAHSYLPIKNRSLSRSGPAILATFLNSEVVRDQCFP -VKKLAAAGSKPNVTACALARSFSSIFGSQVTGAVIALCAGRLTDDQFCSVLIYAAGLHHHLGHRGLAIAI -WAFRSPKGAKGLSTALKALGANGTSLGALLCEMPSLQGRAVGDLDLYSEAAYRCDTTRVAEKLLQPDPHA -LRGHVRAIIEAELSGREVVLPDLNEWWSARWAWCVNGSQTARSARGLGLPTELLSETHDRMYRRMASEAL -THEPVSSWDGVTDVSASAKLECGKTRAIFACDTRSYFAFEWILGPVQRSWRNSRVLLDPGFGGHVGIVKK -VQALQRGGGVNLMLDYDDFNSAHSTATMQMVFDVLCERVGAPKWYSRVLRDSFDKEYITIGSDRMHVKGT -LMSGHRGTTFINSVLNAAYLRHALGGHYFDSLPSIHTGDDVYVRPPTLADCDHILRSARDYGCRMNPTKQ -SVGFETAEFLRIAIGKTGAYGYFARSVGSFVCGNWANLDPLAGEEALLTAINGVRSLCNRSQFEDLPRLI -GPALRHTFGLGIRSLVNLLAGRASIDGSPVFDTTGPLRVYRLIRPPPEELKHDPRWPTHATNAYLTDHTS -EVEALALSKCGGDISRVMAASSYSKGRVIPGLRSKPHFELRAKTIPQPLGYVNSSELVVSSERGGFLSQY -PLLSLVKNRLSDDDIRELVVAAGGTVTGPVREFAFGPASITKNFIGRLSYADAGMLSKRTTSGNIFTIFQ -VCM - ->YP_008130308.1 putative RNA dependent RNA polymerase [Rosellinia necatrix victorivirus 1] -MAALDVRAAEFGLLGSHLAMLIRGVPGLSRSYDDLDFTRQLIRLQSDTSALARDHPLLPCAVSLLLADFP -VQLAADDRLTHALVNSAYSSPAYSLFPPEIKFRIPGIKHSSTGNARSYARAALNMFIHNADLTEAAFPRK -AHSAANDKPLLHLRGLLQGLRTTQGTGAAALMVARAAGRLTEDQVISLILYRTALAPLFGQRSYDYAWWA -ITEPGNTAGLSNALKALGLNATACGAALVEAKALQGRGIAPVDMAGEVAFRVQPDLVKAKVLEPDYDELR -EHIKHVLHSELAGELELTPLAEFWSSRWLWCVNGSNTSTSDRAMGLDPHEYADTHTRTYRRMVAETLEQE -PISTWDGTTSVSASVKLETAKSRAIFACDTRSYFAFSWILNDVQKRWGNNRVLLDPGKGGMAGIDRRIRR -SQHTGGVNVMLDYDDFNSHHSTRSMQILFEELCDMYSAPSWYRDTLVNSFERMFINFEGKQHHVLGTLMS -GHRGTTFINSVLNAVYIRAAIGGPTFDSLVSLHTGDDVYLRAPTLSDCSRILTAAKDYGCRMNPTKQSIG -FRRAEFLRMGIGERASYGYLARSVAALACGNWFNPNPMTPWEGFNSLVTSARSIINRAGGQLRLGELLSP -AVRHGKSISYRTRAQLIDGECAIEGAPVYGSDFRLKTFEVKLPKADAVPISPRWRTNATRDYLLDHVSPI -EAEALSLAGADITTMMVVASFGKGLDRKVLQSTPDFVLRRKPVRAALGFTDVVTLLTSIPRVGCLSGYPL -LNLVAGRLSNDELRHLVLLAGGDANAADLQVEAFGAESLTRNIIGTLPFSDAVNLGKRTNSANVYVLTSI -YM - ->YP_004089630.1 RNA dependent RNA polymerase [Tolypocladium cylindrosporum virus 1] -MVFVSGRVGEFGALGSYLHDLLPELGDVTESYADLELTEQLIKFATHSVSLRGVHPLGPVAVSLLCVAYP -VQCDFRLSDLTAVLRHSFSFLPQRAPLLELTGLIERRVTLRKAPATIGALHTKVARLCNSDSAARSQLWP -PKRHAAAGTKVNIRLAPLAVALSRSYGPAWLGRALTPLVGCAEDAVCCALLLATALEPHFGHLGLEVAQS -MILEPNNAKGLSNALKALGWNSTLPGSMLVEGGALQGRGVSPVDMDAEIASRTTPDLVTDMVISSAAEMA -PHIRAILALELPSNSGLGDLDEFWSARWLWCVNGAQNRASDRSLNLPSPVRGAKRYRRMAAEEVSSNPIY -DWDGTTNVSASTKLEPGKSRAIFACDTSSYFAFSWILDRAQRDWRGHRVLLNPGEGGLYGVARRVKGAQG -RGGVNVMLDYDDFNSHHSLGVQQELTRQLCQLYNAPSWYTSVLVDSFDRMYITHRGSRKRILGTLMSGHR -GTSFINSVLNAAYIRAAVGGAFFDRLVSLHAGDDAYMRCSTLAEAAHVLTRCARFGCRMNPTKQSIGFRH -AEFLRLGIGDKYAVGYLCRSISTLVAGSWVAPDPLSPEDGLTSAITTVRSCINRGCPSSLSRIIARTYSS -IHGYPLRVLDALLSGAACLESGAVYNTDYTLRQYRVVRPLPDDLPLPPQHREYATREYLANHVSPIEARA -AQLASADLVRIMVRSSYSKGVTRHPSSPTSRRRPQLVSLPTVKATGFTTAAELAKRQPPVGKLANFPLLR -LIEARLTDDQISELLSFNGTPPGGLPPRIAAFGVEGHCCNVIGYLPYSDACSYSKRTTCDNIIAGYSVYS - ->YP_001109580.1 RNA-dependent RNA polymerase [Botryotinia fuckeliana totivirus 1] -MSAADRASAYGRLGSYLKELLDDHPFVQGLFSTTNFTQSLIRLQGSSFTMRSAHPLLPHAASLLLLDFPM -QTDTSVSDVISLARNAYDLSDLGEDVLINHTLLPPGSPILSWRRRGYHRKLGNAIITNKELRDSLFPKKK -HNAAGVKVNLTIGRLAVAWARVFGPGSLGRHLAAIAGRVTNDQACSALLYCLTLREHIGSFGISIAQAAV -TQPANAKGLSNALKALGANSSLPGSLLVEAATLQGRFTNDVDMTNEIRSRTIQSLVDEQVIDRPEELRPH -IKALLEMSLPGDCALPDMDEWWSSRWLWCVNGSETKKSDEALGLRGGSGRRYRRMAAEEVHSNPVPDWDG -TTSVSASIKLEAGKDRAIFACDTRSYFAFSWILNDVQKKWKGERIPLDPGKGGLYGISRRIRNSQRGGGV -NLMLDYDNFNSHHSNSVQSMIFEVLCDKYNAPQWYKEVLMESFNRMYIFKGGVRHRMLGTLASGHRGTSF -INSLLNAAYIRCALGAAKFDTMLSLHAGDDVYIRANTLSEAADILKKCKMFGCRMNPTKQSIGFRNAEFL -RLGINSRYAVGYVARTISTLVAGNWSNLDPMEPLESLTSVISSVRSVINRGAPTIIADVIAYAHSTLHPY -PLKILKPLLRGEAAIAGAPIFNLHGKMRTYEAVVSKPDNSGNPPPPEWGSHATLSYLEYHVAPIEASAIS -RARVDLTSIMQESSYAKQVRSDLDDASRRTTVKLIPRTPEEARGYIDASTLSTRDAKRGILESYPLIRLL -ENRLSDEDLRELALLLGVSCSTKEARVCCFGSESLTHNIIGYLPYSDAASMSKTTNSRNIYTTYHVRA - ->NP_619670.2 RNA dependent RNA polymerase [Helminthosporium victoriae virus 190S] -MSDPQERSKAYGLLGERLYAVASANSHMLAGYDSLDFTARLVRLTGEATALKAVDPLLPCAVSLLFMDFP -LQLPCTPEETLRLVRRAYDPNTLEEVDYSTLSGYATQFARVKGQRGRWRHLGHLVCNDKAFRERYFPKKK -HAAAAIKTNIRLGPLARAWAARYGLAALGSHLAYMVGMPNDRACATLLLAQTYKARFGSEGVAWAIASVR -QPENAKGLSNALKALGSNTSEPGALFVEANTLQGRYDRTLDMDHEVESRCSPAAIADQVIPYTDELGACI -DFILDTELGGDTIELPDEDEWWTSRWLWCVNGSQNALSDKALGIKNKSGQRYRRMAAEEVNNNPVPAWNG -HTSVSPSVKLENGKDRAIFACDTRSYFAFTYWLTPIEKKWRGARVILNPGEGGLYGTARRIRGSQTSGGV -NLMLDYDNFNSQHSNETMAALYEKALSRTNAPAYLKKAVAASVESTYIHYKGRDRHVLGTLMSGHRATTF -TNSVLNAAYICYAVGIPAFKRMISLHAGDDVYLRLPTLADCATTLNNTKRVGCRMNPTKQSIGYTGAEFL -RLGINKSYAIGYLCRAIASLVSGSWTSLDELQPLNALNGAIVQTRSCLNRGAATGLPELISASFVGLRGF -KRRDLLELLTGVATIKPGPVYTSSCVIREYVVEQPPPPQFDVPPGAGMHATMSYLARHTTLVEAQALEIA -RPAIKSLMLSSSYGKAGPGAQTRPHVPMPKLRRQPPRVAVGFSMAHELTGRGVKEGCLSGHPLLRLFEQR -LTDDDLRALVALVGGNTSAKDIRAEAFGAESSSSTIMGILPHSDASNYCKRTRNGNIIVPYHIRS - ->YP_122352.1 putative RNA dependent RNA polymerase [Magnaporthe oryzae virus 1] -MDLEARSKSFGRLGMSCSSIYSKYRDHLPVFSSMDFLSGLTYVTTNVGVLRSHHPLLPTAVSLLCLDFPI -QFDTSSSHCLLLAQSAYMTVDELARSYPCKFGGRRILGRLIHDSTFREATFPVKSHPAAHTKAHISLGAL -LRSWPSVAPRSEIDYILARCAGRLTADQAIALIVYHVSLRPTFGLSSARFAVAALMCPGDAKGLSNALKA -LGLNGVKEGAVLVEAQTLQGRGVAPIDWGREIPSRCTDAVHENTVFIPEADLRAHVRHFLSSELDADTSL -EPLDHWWSRRWAWCVNGAHTSAASRALGIDHRHAFPAHSRVYRRMASEALESEPVSKWDGTTFVSASEKL -EHGKTRAIFACDTRSYFAWSWLLDPVAANWRNSRILLDPGRGGTYGSTRRIQNAQLTGGVNVMLDYDDFN -SQHSTRSMQIVTEELCSYIGAPQWYTDVLVKSLDSEYITGHGPPRHVAGTLMSGHRGTTFFNSILNGVYI -RHAFGAGAFDSCVSMHTGDDVYMRLRTLRDASTLLVSLKDLGCRLNPTKQSIGYKHAEFLRVAITPTGSR -GYAARSIAALASGNWTDSDPMDARDGFTTTLANIRTIQLRSGSPDLGRLVGPAVKYARGLRTRTVIKILN -GEESYNGSPVYNVPGRTVKVHTFAPKDPDYMLPEIPVSSSWPLNSTYDYLNSHCTGLESRLFEIAQVSPV -PLLAASSYSKGLAQSRQVLTSADLTIRPLGTVSTLGVIDVGSLSAAPPSPPVLAGHPLARLVAARVSDDD -LREILDQFAIPPPPADMTVREYAFGYEPHCVNVRGFLPHADASSLSARTRAGTIFVPTPVNV - ->YP_024728.1 truncated RNA-dependent RNA polymerase [Chalara elegans RNA Virus 1] -MRAETMSRYEEFGHLGKYLSDLLTEFNECLPPLPGTDLMEHLSALTWAAPALMDRHVALPAAVSLLLLEF -PLQMDYKPEFAVHLALHSFDFSFVGQPFDFNVFNNDCRRCSQNAPASCKGNKDPAKGCEHRRTAAYRSKR -KLGLQSKGYYSWLGHRAASDSIFRDTLFPKKNFGAASVKVNVHLGPLLRAVMFELGSARLGELLSLLEAG -MYADVVCSYILYALTLEHHIGSRGVHIAAAMVRQPANAKGLSNACKALGLNATFPGAMLVEGISLQGRGC -KPVDLADELYKRTDPVGVQEQVLPLSDDLRRAIDATIEHELPVGELPDMEEWWSSRWLWCVNGSQNRSSD -AALGLDHVPSDLLGSQRYRRMAAEEVSLNPIYAWDGYTEVSFSEKLECGKNRAIFACDTRSYFGFSYLLG -EVQKRWRNSRVLLDPGKSGYLGLARRLLRGSVRGGVNLMLDYDDFNSHHSIETMKYVFLKTA - ->NP_898833.1 RNA-dependent RNA polymerase [Helicobasidium mompa totivirus 1-17] -MKALRAAQDKAGELGPLGSALLERVLAKPEVFERVSRGNIEEQNMEVANLQENGMGVDAAAFLSSLSFPI -QVKLNQADIITLARLATDTRAYCPLINRTLDTAVARKAGVRSAWTEREGHRLLNNVIGDVNVRKSTVPSE -ATPAATIKANLSLGRTLLCAPKVLGHSIIARIVWNMAGKCSSDVITAAILYTAALLPSHRNRSWRIAVAA -CLDPKAAKGLSTAMKSLGANSVQEGAVLVEAQSLQGRMTGACDLAEEASYRCDARKVAEQVISADPEALR -DSIRWVLNKELANGVDEYQSLDDFWSRRWLWCVNGSHTNASDAKVGIRRKVDLPGIDRVYRRMVAEALEE -EPISCWDGTTFVSATQKLEHGKTRAIFACDTQNYFRVSHLLGPVQNRWRNERILLDPGEGGSLKMAHRMM -DMRAAGGLNVMLDYDDFNSHHATETQKILFEELIKHVNYDPVLGKTLVDSFDKMYCYIKGVRVGQVLGTM -MSGHRGTMFINSVLNAVYIRLATGAAFFDPLSSLHAGDDVYAVLPSLSDAKFLLDSCHNFGCRMNSTKQS -VGVVCGEFLRMAVTPVGAIGYVCRSIASFISGNWSTDAPLTPREYLTNCISQCHTLFNRSNSMHLIQLIS -DCIHKRLNLKRKLCLEMMTGLAAPDGVPLFNTMYGRVYPIVPENWRRRGARFDCACEVHHATSQYSSQHL -PPVKVEALTETGTSAASAMQLASYKRAIDSMLSYEPRKVKFGKPRTMNALGLNLAKELFTHLLNTGCLEA -MPVLRLIQDQIKRPLLRELVLLAGGDGGAADLEVEAWGPQTRKCSFFGWIPYSDAAALQKKADHIKIMNH -TPVRM - ->NP_047558.1 RNA dependent RNA polymerase [Sphaeropsis sapinea RNA virus 1] -MNKFIDRAEQFGPVGDYLLSVVERFPTVHSYGELSFVDALTRLRAMSNNLHANDALLPAAVSLLCLPFPL -QVEMSRADVYALLVKGTSLPFNFVRSTAHLHPLPDDVGRPGSTRYSGRFFARLLNDHSFRRSCFPTKKML -PAEVKPNLALAPLIRSFSTCAGPTLAGHFLRHLAGHVTEDVAMAAMVYAHGLVACYGQKGYDIAAWLVLR -PAAAKGISTAIKALGANAHDFGAVLCEAQTLLGRAVSTIDLAHEAEYRCDPDLVAKQVIEPGEELRSHIR -AVLAMELAGRDLSLPDLDSWWSSRWLWCVNGSQNDASSRLLGIDTARFREFHTREYRRMASEALTHEPIT -SWDGYTNISASPKLEHGKTRAIFACDTRSYFAFEWLLGATQKAWRNHRVLLDPGGGGHLGISRRVRSFMK -HGGVNLMLDFDDFNSHHSLNSQRMLFGELCDRANAPGWYRKVLSDSWGKMHVNIAGHMRPWLGTLPSGHR -GTTIVNSVLNAAYIRMALGGPAFDKLTSLHTGDDVYIRADTLTSCEWILDRVRSVGCRINPAKQSVGFGT -GEFLRMAITQRETRGYLARSVASFVSGNWTNQNPLDPADALRTAIVSTRALINRSGRQDYARLVGPALRL -SHPLGTRNIIRLLSGDVALDDGPVYNTDGRIVHFRVEGQIEDALPVSERWPHNATDAYLLSHVSEVERAA -LAATGTDAAALMLASSFSKGHSSLGSARRQVVRVAKRETTRAHGFADASDLLHRDRDKVGVLKAYPLVNL -VKNRLRKETISELLILAGHTPGADPYRQAFGEHPESKNIIGSLSHSDASALGKATRSGNIYTLTPVFV - ->AHH25153.1 RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 1] -MELLDRAKDFGPLGDVLVQHINLCPDIISDFRDTFVGRLIKLTNSANSLRSRSYLLPAAVSLXCLDFPLQ -EDMTFADFIRWVREAYSIEVVDSATFAESGLTHLPPGRLVRRICGSSEIRDRAFPKPQLLAGQTKTNVKL -SALITSAVVMMGSERVAALLRSSWGMRSDRVMSKLVYAFVLSRRFGEIGHKLANFLCNSPGDAKFVSLAI -KALGLNSTDWGSVLCEANALAGRGTGAIDLVTEAAQRCDSDYVESHVVHVDPDELRTHVRAVIERELKNT -RPMSSLDDFWTSRWLWCVNGSQTTESSLALGIDPHYCRSTHKRAYRRSASEQVKNEPITSWDGHTSVSVS -AKLEAGKTRAIFACDTRSYFAFSWVLSAAQRDWANSRVLLDPGVGGHIGMFQRISRAQRGGGVNLMLDYD -DFNSQHSTTSMQVVFEVLCDKYHCPAWYKDVLVKSFDRMYVNCGNERRKVLGTLMSGHRGTTFINSVLNA -AYIRMAVGGQKFDRMLSLHTGDDVYIRANTLSDCVQILDSTKRLGCRMNPTKQSIGYKGAEFLRMGMRRD -DCFGYFPRALASFISGNWANTDPLDPVEGLRCAIGGCRSLINRGMVPAVAELVAPSLRYRTGLGARTLCK -MLAGEIAIEGAPVFGGSGFISTYRVETRVEDRIRVDKRWARYATGDYLAHHTTSIEAAALEMSGSAGTNL -LIISSYVRGLNEERVQPKPAPSWKKLPRINLRGYACASDLVNKQRKRGVLSQYPLINLIKNRLDESMLRA -LISEELGYVPEGEPFKIAFGEDSHSKRILGVLSYADASSFCSRTESGSIYTLYRVAM ->AMQ11131.1 RNA-dependent RNA polymerase [Beauveria bassiana victorivirus 1] -MIDKITARAREFGPLGTSLLGILSRFPHVYNQFGTSTFTDDLIKLRNVSGTIASLNQLLPAAISLLCLPF -PLQIKLRRSDILRLTSLALALPFNPLGRSDLIHPEFVSLLPLNRRSLPRAGSAILAKFINDEGFRSACCP -HKALAAAGSKTNVTAAALARSFSTIYGAQVAGAMIALSAGYLTEDQFCSALIYGAGLHSHFGLRGFAIAL -WSLRNTDGAKGLSTALKALGANSMPEGAVLCEMASLRGRAVGDLDLSSEASYRCDPSLVKEKLLTVDPDD -LRQHVAAVINHELSGRDVALPDLHQWWSARWAWCVNGSQTARSARALGLPTDLFADTHDRMYRRMAAETL -TTEPVTTWDGTTDVSASAKLECGKTRAIFACDTRSYFAFEWVLSPVQRAWRNDRVLLDPGFGGHLGVVKK -VQALQRGGGVNLMLDYDDFNSAHSTPVMQMVFDVLCTRVGAPDWYRKVLIDSFERGFITIGGRRLHVEGT -LMSGHRGTTFINSILNAAYLRHALGGHYFDSLPSIHTGDDVYLRPPTLADCDHILRSARDYGCRMNPTKQ -SVGFETAEFLRIAMGKTCAYGYFARAVSSFVNGNWANLDPLAGEEALLTAMNGVRSLSNRSATDHLPRLI -APALRHTFGLGARALINLLSGRASIEGSPLYDVAGPSRAYRLIRPPPEELKHDPRWPTHATNDYLTDHTS -EVEALALSKCGGDISRVMAASSYSKGRVIPGLDSKPRFELRATTIPQPLGFVNSSELIKAPERGGFLSKY -PLLSLVKNRLSDADIRELVIAAGGTVIGNVREFAFGPASTTKNFIGRLSYADAGMLSKRTTSGNIFTLFP -VNM ->ALM62239.1 RNA-dependent RNA polymerase [Soybean-associated double-stranded RNA virus 1] -MSTLLERCAAYGALGDELVRVLEESHLDTSGFESVDMVQALLRFKANIQTYRMADPLLPVAVSLLLLPYP -LQHEVPPSTVTAMVRIAFPMSFLALEALPLDRNALRRFGIHPDRRSKAIRHIAVTAINNSEARHHWFPRK -PLAAAGTKTNVTLGGLLASCAATHGLRTLGIVLNAVMLKVTEDQLMALLIWVHTQHQRLGVTAFAHAVGL -ITRPEDAKGLSTALKALGANSSAPGCFYTEGIAMRGRAAGEVNWDKEVSYRVDPDLVKDSVIEPDLDTFA -GHIDAILDAELPGTYELPELHTWWSSRWQWCVNGSQTRASDAALGIDLRALDATHSRHYRRMASEFTEFN -PVPDWDGTTYVSKSAKLEHGKTRAIFACDTRSYFAFSWILNATQKHWKNARVLLDPGHGGTCGMARRIQH -AQRGGGVNLMLDYDDFNSHHSTPVMQLLFERLCLKFNCPQWYTDKLVRSFDNMYLVEGSIHRRIMGTLMS -GHRGTTFINSVLNAAYLRAAVGSSWFDSALSLHTGDDVYVRANTLADCATILEKAKAFGCRMNPTKQSIG -FYNAEFLRCASNPRYGVGYLCRAIASLVSGTWTDPGALAQEEGLTSAIVGCRAVMNRGMSPHLGNFLAPA -LRYSKGIKLSTVAKLLNGTAAIAGSPVFNQNSAAEVYEFIRPPADPPPVHPAWARHATLSYLADHVSDVE -ATALNMVPVDIVGLMVTSSYAKGLNLPGKVSPQRVILRRLPSRRFRSVIDATSLMSAPTQPGCLSSFPVL -QLFGSRLTPDMLRTLVGLVGGDINAADIRREAFGSDSVTRNIVGFLPFADAASLSSRTDYDMISVQYNIY -L diff --git a/seq/clusters_seq/cluster_262 b/seq/clusters_seq/cluster_262 deleted file mode 100644 index 90a0524..0000000 --- a/seq/clusters_seq/cluster_262 +++ /dev/null @@ -1,82 +0,0 @@ ->YP_009227192.1 nonstructural protein NS4A [Spondweni virus] -TIATGLIEAFGMLPGHMTERFQEAVDNLAVLMRAEAGSRAHRMAAAQLPETMETILLLSLLAFVSLGVFF -VLMRAKGLGKMGSGMIVLAGSGWLMWMSEVEPARIACVVIIVFLLMVVLIPEPEKQR - ->YP_009227203.1 nonstructural protein NS4A [Zika virus] -GAALGVMEALGTLPGHMTERFQEAIDNLAVLMRAETGSRPYKAAAAQLPETLETIMLLGLLGTVSLGIFF -VLMRNKGIGKMGFGMVTLGASAWLMWLSEIEPARIACVLIVVFLLLVVLIPEPEKQR - ->YP_001527885.1 nonstructural protein 4A [West Nile virus] -SQIGLIEVLGKMPEHFMGKTWEALDTMYVVATAEKGGRAHRMALEELPDALQTIALIALLSVMTMGVFFL -LMQRKGIGKIGLGGAVLGVATFFCWMAEVPGTKIAGMLLLSLLLMIVLIPEPEKQRSQTDNQLAVFLICV -MTLVSAVAA - ->NP_776019.1 non-structural protein NS4a [West Nile virus] -SQIGLVEVLGRMPEHFMVKTWEALDTMYVVATAEKGGRAHRMALEELPDALQTIVLIALLSVMSLGVFFL -LMQRKGIGKIGLGGVILGAATFFCWMAEVPGTKIAGMLLLSLLLMIVLIPEPEKQR - ->YP_001531173.2 Nonstructural protein NS4A [Dengue virus 3] -SIALDLVTEIGRVPSHLAHRTRNALDNLVMLHTSEDGGRAYRHAVEELPETMETLLLLGLMILLTGGAML -FLISGKGIGKTSIGLICVIASSGMLWMAEVPLQWIASAIVLEFFMMVLLIPEPEKQR - ->NP_739588.2 Nonstructural protein NS4A [Dengue virus 2] -SLTLNLITEMGRLPTFMTQKARDALDNLAVLHTAEAGGRAYNHALSELPETLETLLLLTLLATVTGGIFL -FLMSGRGIGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQR - ->NP_740322.1 NS4A protein [Dengue virus 4] -SITLDILTEIASLPTYLSSRAKLALDNIVMLHTTERGGRAYQHALNELPESLETLMLVALLGAMTAGIFL -FFMQGKGIGKLSMGLITIAVASGLLWVAEIQPQWIAASIILEFFLMVLLIPEPEKQR - ->YP_009553734.1 NS4A [Kampung Karu virus] -DLLTVIGGLPGYMNEKWMNAMDTLYILWSGDSSSRAYREAMNSLPEALEVAITVALATIVTLGIFFVLMR -SKGMSKMTVGLITMMFASALLLWAEVPAAQVAGLVVVMFILMVVLVPDSEKQRSITDNEIAKIVIAVLVI -AGA - ->YP_009333112.1 nonstructural protein NS4A [Cacipacore virus] -SALGFVDIVGKMPQHFATKTTEAMDTMYMLFTAEKGGRAHRAALEELPEALQTIALITMLVLMSGGVMFL -LIQRRGIGKIGLSAMTMLTVTIMMWWAGVSGVKISGTLLVSLLMVVVLVPEPEKQR - ->YP_009333128.1 nonstructural protein NS4A [Kokobera virus] -SALSILDLIAVLPSHLNLRLQEALDTAAILSRSEPGSRSYKAALENSPEMIETFLLCALVCLMTIGLVVV -LVRGKGPGKLAFGMVSIGVMTWLLWSAGVDPGKIAAAVILVFLLLVVLIPEPEKQR - ->YP_009329954.1 nonstructural protein NS4A [Saint Louis encephalitis virus] -SALGMMEVMGRMPNHFWEKTVAAADTLYLLGTSEANSRAHKEALAELPDSLETLLLIGMLCVMSMGTFIF -LMNRKGVGKMGLGAFVMTLATALLWAAEVPGTQIAGVLLIVFLLMIVLIPEPEKQR - ->YP_009259662.1 nonstructural protein NS4A [Chaoyang virus] -SYVPIIEVLGKLPQHFADKTIDAADTFKTVLTATPGSRAYRLAVDNLPDAAETAIFVTMVGFMTMGILIF -LMAPKGMTRMSLGFMTIMAATYFLWASGMAGYQIAAMQLVAFILFVVLVPEPGSQR - ->YP_009259533.1 nonstructural protein NS4A [Donggang virus] -SYMPILDVVGKLPQHFSDRAIDAADTIRTVLTANPDSRSYRLAIDNLPEAAETAMLIGMLVSVTMGSIMF -LMMPKGITRMSLGLIVMIMATWFMWTSGMAGYQIAAVQLLAFVFFLVLVPEPGNQR - ->YP_164815.1 NS4a [Usutu virus] -SAVGFLEVLGRMPEHFAGKTREAFDTMYLVATAEKGGKAHRMALEELPDALETITLIVALAVMTAGVFLL -LVQRRGIGKLGLGGMVLGLATFFLWMADVSGTKIAGTLLLALLMMIVLIPEPEKQR - ->NP_775671.1 non-structural protein NS4a [Japanese encephalitis virus] -SAISFIEVLGRMPEHFMGKTREALDTMYLVATAEKGGKAHRMALEELPDALETITLIVAITVMTGGFFLL -MMQRKGIGKMGLGALVLTLATFFLWAAEVPGTKIAGTLLIALLLMVVLIPEPEKQR - ->NP_776006.1 non-structural protein NS4a [Yellow fever virus] -GAAEVLVVLSELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIVMLFILAGLLTSGMVIF -FMSPKGISRMSMAMGTMAGCGYLMFLGGVKPTHISYVMLIFFVLMVVVIPEPGQQR - ->NP_722536.1 NS4A [Murray Valley encephalitis virus] -SAIGFFEVLGRMPEHFAGKTREALDTMYLVATSEKGGKAHRMALEELPDALETITLIAALGVMTAGFFLL -MMQRKGIGKLGLGALVLVVATFFLWMSDVSGTKIAGVLLLALLMMVVLIPEPEKQR - ->NP_733810.1 nonstructural protein 4A [Dengue virus 1] -SVSGDLILEIGKLPQHLTQRAQNALDNLVMLHNSEQGGKAYRHAMEELPDTIETLMLLALIAVLTGGVTL -FFLSGRGLGKTSIGLLCVIASSALLWMASVEPHWIAASIILEFFLMVLLIPEPDRQR - ->YP_009268593.1 nonstructural protein NS4A [Lammi virus] -SYVPLLEVMGRMPQHFTDKTIDAVDTFKTVLTATPGSRAYRLAIDNLPDAAETFLFVLMIGFMTMGILVF -LMAPKGVTRMSLGFMTIMAASYFLWVSGMAGYQIAAMQLVSFIMFVVLVPEPGSQR - ->YP_009268577.1 nonstructural protein NS4A [Ilomantsi virus] -SAVQLWDVVGRLPQHFSDRIVDAADTLKTIMTGDPSTRMYRHAVDNLPEAAETAVFLGMIFAATMGFVMF -MMMPKGITRMSIGFLVMIMATYFMWSSGMAGYQIAAVQLLAFIFFLVLVPEAGGQR - diff --git a/seq/clusters_seq/cluster_263 b/seq/clusters_seq/cluster_263 deleted file mode 100644 index 9adc9fc..0000000 --- a/seq/clusters_seq/cluster_263 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009667135.1 6K2 [Paris mosaic necrosis virus] -SQSEIIKFLQLKGKWDGKKFLNDIVVATFALIGGGWMMWEYFTKKMKETVSTQ - ->YP_009458613.1 6K2 protein [Saffron latent virus] -SKEEMCKFLQLKGKWDGKKFMNDIFVAALALLGGGWMMWDYFITKMQEPVNTQ - ->YP_007001299.1 6K2 [Blue squill virus A] -SKNEIAKFLQLKGKWDGKKFMNDIFVGIITLIGGGWLLWEYFSKKLQEPVTTQ - ->YP_006405409.1 6K2 protein [Basella rugose mosaic virus] -DKKSMRKCLKLEGQWDKHLMSNDVVVAGCVLVGGGWMIWEFYKQSQEMVEFQ - ->YP_006395357.1 6K2 protein [East Asian Passiflora virus] -STHEVCKFLNLKGKWDGKKFMNDALVGVFALIGGGWMMWEYFTKKMKEPVSTQ - ->YP_004940324.1 6K2 protein [Yambean mosaic virus] -SKEEMCRFLNLKGKWDGKKFMNDIVLATLTLIGGGWMMWEYLTKRMQEDVVTQ - ->YP_004564594.1 6K2 [Hardenbergia mosaic virus] -GKSEVANFLNLKGKWDGKRFMNDALVGVVTLIGGGWLIWEYLSKKLNEPVTTQ - ->YP_004306481.1 6K2 protein [Passion fruit woodiness virus] -SKSEIVKFLGLKGKWDGKKFMNDIIVGLVTLIGGGWLMWEYFTKSAKEPVSTQ - ->YP_002321504.1 6K2 [Zantedeschia mild mosaic virus] -SKGEISKFLKLEGKWDGKSFMNDLLVGVITIFGGGWMLWEFFIKSWKESVTTQ - ->YP_001974441.1 6K2 protein [Fritillary virus Y] -SKHEMSKFLQLRGRWDGKKFMNDIIVAVFALIGGGWMMWEYFTKSIKESVTTQ - ->YP_001816831.1 6K2 protein [Telosma mosaic virus] -SKNEISKFLGLKGKWDGVKFTSDALLAVMVLIGGGWMMWEYFTKETKESVSTQ - ->YP_842355.1 6K2 protein [Wisteria vein mosaic virus] -SKQEVSKFLQLKGKWDGKKFMNDAVVVTFALIGGGWMLWDYFARAIKEPVSTQ - ->YP_077273.1 6K2 protein [Watermelon mosaic virus] -SKHEVSKFLQLKGKWDGKKFMNDAIVAIFALIGGGWMLWDYFTRMIREPVTTQ - ->NP_871743.1 6K2 protein [Onion yellow dwarf virus] -SSNDIAQALQLKGKYDMRKISTDIIVSSVILFGGAWMAYDTFKHLMSSKVRYQ - ->NP_734354.1 6K2 protein [Bean common mosaic necrosis virus] -GKAEVCEFLGLKGKWDGKKFFNDVVVAIFTLIGGGWMLWDYFRHYMQEPVSTQ - ->NP_734108.1 6K2 protein [Dasheen mosaic virus] -NKSEICKFLDLKGKWDGRRFTNDLIVGAVTLLGGGWLIYEYFSRTMRDPVTAQ - ->NP_734188.1 6K2 protein [Zucchini yellow mosaic virus] -SKQEVSNFLGLRGKWDGRKFANDVILAIMTLLGGGWFMWEYFTKKINEPVRVE - ->NP_734197.1 6K2 protein [Soybean mosaic virus] -SKHEVSKFLQLKGKWDGKKFMNDAVVAIFTLVGGGWMLWDYFTRVIREPVSTQ - ->NP_734384.1 6K2 protein [Cowpea aphid-borne mosaic virus] -SKHEVAKYLGLKGKWDGSKFRNDFLLVVFTIIGGGWMMVDYFSKCTQEEVTTQ - ->NP_734118.1 6K2 protein [Bean common mosaic virus] -SKEAIIEFLGIKGKWDGKKFMNDAILAAFTLLGGGWMMWEYFSKRMQEDVATQ - diff --git a/seq/clusters_seq/cluster_264 b/seq/clusters_seq/cluster_264 deleted file mode 100644 index 763150c..0000000 --- a/seq/clusters_seq/cluster_264 +++ /dev/null @@ -1,100 +0,0 @@ ->YP_009259671.1 silencing suppressor [Pelargonium leaf curl virus] -MERAIQGNDAREQADGERWDGGSGGTTSPFKLPDESPSWAEWRIHNDETISNQDNPLGFKESWGFGKVVF -KRYLRYDRTETSLYRVLGSWTGDSVNYAASRFFGVNQIGCTYSIRFRGVSVTISGGSRTLQHLCEMAIRS -KQELLQLTPVKVESNVSRGCPEGTEAFEKESE - ->YP_009507822.1 unknown [Havel River virus] -MEGAIQGSDAREQANSERWDGGCGGTITPFKLPDESPGLHEWRLHNSEESEDKDHPLGFKESWGFGKVVF -KRYLRYDGTEASLHRALGSWERGSVNDAASRFLGLGQVGCTYSIRFRGSCLTLSGGSRTLQRLIEMAIRT -KRTMLQLTPSEVEGNVSRGRPEGAKAFEKESE - ->YP_009037610.1 RNA silencing suppressor p19 [Moroccan pepper virus] -MERAIQGNDAREQANSERWNGGSGGSTSPFKLSDESPSWTEWRLHNDETNSNQDNPLGFKESWGFGKVVF -KRYLRYDGTEASLHRVLGSWTGDSVNYAASRFFGINQIGCTYSIRFRGVSVTISGGSRTLQHLCKMAVRA -KQELLQLAPVEVESNVSRRCPEGFKAFEEESE - ->YP_008999615.1 p19 protein [Eggplant mottled crinkle virus] -MERAIQGSDAREQAYSERWDGGRGGTITPFKLPDESPSLIEWRLHNSEESEDKDNPLGFKESWSFGKVVF -KRYLRYDGTEASLHRALGSWERDTVNDAASRFLGFGQIGCTYCIRFRGSCLTISGGSRTLQRLIEMAIRT -KRTMLQLTPCEVEGNVSRGSPEGTEAFKEESE - ->YP_002308433.1 19K protein [Grapevine Algerian latent virus] -MERTIQGSDVREQANSERWDGGCGSTITPFKLPDESPSLYEWRLHNSEESEDKDHPLGFKESWCFGKVVF -KRYLRYDGTEASLHRALGSWERSSVNDAASRFLGLGQIGCTYSIRFRGSCLTLSGGSRTLQRLIEMAIRT -KCTMLQLAPCEVESDVSRRCPEGTEAFEKESE - ->YP_459924.1 p19 protein [Maize necrotic streak virus] -MERAIQGSDAWQQTGGQRRVGGCGDSFAPFQLPDESPTSDEWRLHHDAYDPDTDCPLGFKEFWSVGKAIS -KRYHRYDWKEASLDRALGSWQGDKVITEASRFLGVDQVSCTYSIRVRGVSITLSGGSRALLRLVSMADRI -KRSELQFATSAVESVVSRGCPEEETPKESE - ->NP_945118.1 p19 [Pelargonium necrotic spot virus] -MERAVQGGDAREQANSERWDGGCGGTITPFKLSDESPSLHEWRLHHSEEGEDQDHPLGFKESWSFGKVVF -KRYLRYGGTETSLHRALGSWERNTVNNAASRFLGFGQIGCTYSIRFRGSCLTISGGSRTLQRLIEMAIRT -KCTVLQLTPSEVEGNVSGGSPEGIEAFEKESE - ->NP_835257.1 symptom severity modulator [Cucumber Bulgarian latent virus] -MERIVQGDHTGKQAVGECWDGRYGSTITPFQLPDESPNRDEWRVHHCETNPHKDYPLGFKESWGFGKVVF -KRYYRHDWKETSLHRVIRSWSGDTVNYAASRFFGVNQVGCTYSIRIRGISVTLSGGSGTLQRLIEMAIRI -KLSELQLASDEMEGHVSGGCPEADQNCT - ->NP_613264.1 core protein p19 [Cymbidium ringspot virus] -MERAIQGSDVREQADSECWDGGGGGTTSPFKLPDESPSLHEWRLHHSEESENKDNPLGFKESWSFGKVVF -KRYLRYDGAETSLHRALGSWERDSVNDAASRFLGLSQIGCTYSIRFRGTRLTLSGGSGTLQRLIEMAIRT -KRTMLQPTPSEREGNVSRRRPEGTEAFKEESE - ->NP_612584.1 orf5 [Carnation Italian ringspot virus] -MERAIQGNDTREQANGERWDGGSGGITSPFKLPDESPSWTEWRLYNDETNSNQDNPLGFKESWGFGKVVF -KRYLRYDRTEASLHRVLGSWTGDSVNYAASRFLGANQVGCTYSIRFRGVSVTISGGSRTLQHLCEMAIRS -KQELLQLTPVEVESNVSRGCPEGIETFKKESE - ->NP_062901.1 p19 protein [Tomato bushy stunt virus] -MERAIQGNDAREQANSERWDGGSGGTTSPFKLPDESPSWTEWRLHNDETNSNQDNPLGFKESWGFGKVVF -KRYLRYDRTEASLHRVLGSWTGDSVNYAASRFFGFDQIGCTYSIRFRGVSITVSGGSRTLQHLCEMAIRS -KQELLQLAPIEVESNVSRGCPEGTETFEKESE - ->NP_040957.1 p20 protein [Cucumber necrosis virus] -MERAIQRSDAREQANSERWDGRCGGTITPFKLPDESPSLLEWRLHNSEESEDKDHPLGFKESWSFGKVVF -KRYLRYDGTETSLHRTLGSWERNSVNDAASRFLGVSQIGCTYSIRFRGSCLTLSGGSRTLQRLIEMAIRT -KRTMLQLTPCEVEGNVSRRRPQGSEAFENKESE - ->NP_039812.1 protein of 19 kDA [Artichoke mottled crinkle virus] -MERVIQGNDAREQANGERWDGGSGGTTSPFKLPDESPSWTEWRIHNDETDSNKDNPLGFKESWGFGKVVF -KRYLRYDRTETSLHRVLGSWTGDSVNYAASRFLGVNQIGCTYSIRFRGVSVTISGGSRTLQHLCEMAIRS -KQELLQLAPVEVESNVSRGRPEGAEAFKEESE - ->sp|Q8JMT1.1|P19_PLV RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -MERAIQGSDAREQAYSERWDGGCGGTITPFKLPDESPSLIEWRLHNSEESEDKDNPLGFKESWSFGKVVF -KRYLRYDGTEASLHRALGSWERDTVNNAASRFLGFGQIGCTYCIRFRGSCLTISGGSRTLQRLIEMAIRT -KRTMLQLTPCEVEGNVSRGSPEGTEAFKEESE - ->sp|P15960.1|P19_AMCV RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -MERVIQGNDAREQANGERWDGGSGGTTSGFKLPDESPSWTEWRIHNDETDSNKDNPLGFKESWGFGKVVF -KRYLRYDRTETSLHRVLGSWTGDSVNYAASRFLGVNQIGCTYSIRFRGVSVTISGGSRTLQHICEMAIRS -KQELLQLAPVEVESNVSRGRPEGAEAFKEESE - ->sp|P69517.1|P19_TBSVK RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -MERAIQGNDAREQANSERWDGGSGGTTSPFKLPDESPSWTEWRLHNDETNSNQDNPLGFKESWGFGKVVF -KRYLRYDRTEASLHRVLGSWTGDSVNYAASRFFGFDQIGCTYSIRFRGVSITVSGGSRTLQHLCEMAIRS -KQELLQLAPIEVESNVSRGCPEGTQTFEKEGE - ->sp|P50628.1|P19_TBSVT RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -MERAIQGNDAREQANSERWDGGSGSSTSPFQLPDESPSWTEWRLHNDETNSNQDNPLGFKESWGFGKVVF -KRYLRYERTETSLHRVLGSWTGDSVNYAASRFFGVNQIGCTYSIRFRGVSVTISGGSRTLQHLCEMAIRS -KQELLQLTPVEVESNVSRGCPEGVETFEEESE - ->sp|P50626.1|P19_TBSVB RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -MERAIQGNDAREQAYGERWNGGSGSSTSPFKLPDESPSWTEWRLHNDETISNQDNPLGFKESWGFGKVVF -KRYLRYERTETSLHRVLGSWTGDSVNYAASRFLGFDQIGCTYSIRFRGVSVTISGGSRTLQHLSEMAIRS -KQELLQLTPVEVESDVSRGCPEGIETFKEESE - ->sp|P50625.1|P19_TBSVA RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -MERAIQGNDAREQAYGERWNGGPGGSTSPFQLPDESPSWTEWRLHNDETNSNQDNPLGFKESWGFGKVVF -KRYLRYERTETSLHRVLGSWTGDSVNYAASRFLGFDQIGCTYSIRFRGVSVTISGGSRTLQHLSEMAIRS -KQELLQLTPVKVESNVSRGRPEGVETFKEESE - ->sp|P50624.1|P19_TBSV8 RecName: Full=RNA silencing suppressor p19; AltName: Full=19 kDa symptom severity modulator -MERAIPGNDTREPAYGERWNGGPGGSTSPFQLPDESPSWTEWRLHYDETNSNQDNPLGFKESWGFGKVVF -KRYLRYDGTETSLHRVLGSWTGDSVNYAASRFFGFDQIGCTYSIRFRGVSVTISGGSRTLQHLSEMAIRS -KQELLQLTPVQVESDVSRGRPECQTFKEESE - diff --git a/seq/clusters_seq/cluster_265 b/seq/clusters_seq/cluster_265 deleted file mode 100644 index 71413eb..0000000 --- a/seq/clusters_seq/cluster_265 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009509069.1 polyprotein cleavage product E3 [Mosso das Pedras virus] -SLVTTMCLLANISFPCSQSPLCYDKKPADTLALLSANVDNPGYDLLLESVLSCPGRKKR - ->YP_009509055.1 polyprotein cleavage product E3 [Tonate virus] -SLVTTLCLLANVTFPCSTPPICYDRAPAETLTMLSTNIENPGYDELLEAVLKCPGRQKR - ->YP_009509046.1 polyprotein cleavage product E3 [Rio Negro virus] -SLITTMCILANVTFPCAQPPMCYDKQPSETLALLSANVDNPGYDELLDGVLRCQGRSKR - ->YP_009509037.1 polyprotein cleavage product E3 [Pixuna virus] -SLVTTMCLLANVSFPCSQPPMCYDHKPAATLALLSANVDRPGYDDLLEAVLKCPGRARR - ->YP_009509029.1 polyprotein cleavage product E3 [Mucambo virus] -SLVTTMCLLANVTFPCSTPPICYDRAPAETLMMLSKNIDNPGYDELLEAVLKCPGRQKR - ->YP_009509019.1 polyprotein cleavage product E3 [Everglades virus] -SLVTTMCLLANVTFPCAQPPICYDRKPAETLAMLSANVDNPGYDELLKAAVTCPGRKRR - ->YP_009509010.1 polyprotein cleavage product E3 [Cabassou virus] -SLVTAVCLLANVTFPCSQPPICYDRKPSETLAMLSENIDNPGYDVLLDSVLKCPGRQKR - ->YP_009020588.1 E3 protein [Madariaga virus] -SLTTVMCVLANITFPCDQPPCMPCCYEKNPHETLSMLEQNYDSQAYDLLLDAAVKCNGRRTRR - ->YP_003324596.1 E3 protein [Fort Morgan virus] -SLIPVMCALANITFPCNQPPVCYARNPELALDILEENADSPAYDELLQNIVRCTARRAKR - ->YP_002802306.1 E3 protein [Highlands J virus] -SLITAMCVLANVTFPCDKPPVCYSLAPERTLDVLEENVDNPGYDTLLENVLKCPSRRQKR - ->NP_819016.1 E3 protein [Aura virus] -SRAITAMCILQNVTFPCDRPPTCYNRNPDLTLTMLETNVNHPSYDVLLDAALRCPTRRHVR - ->NP_819005.1 E3 protein [Semliki Forest virus] -SAPLITAMCVLANATFPCFQPPCVPCCYENNAEATLRMLEDNVDRPGYYDLLQAALTCRNGTRHRR - ->NP_818999.1 E3 protein [Barmah Forest virus] -SAAALXITALCVLQNLSFPCDAPPCAPCCYEKDPAGTLRLLSDHYYHPKYYELLDSTMHCPQGRRPKR - ->NP_818939.1 E3 protein [Western equine encephalitis virus] -SLVTALCVLSNVTFPCDKPPVCYSLTPERTLDVLEENVDNPNYDTLLENVLKCPSRRPKR - ->NP_741965.1 E3 envelope protein [Venezuelan equine encephalitis virus] -SLVTTMCLLANVTFPCAEPPICYDRKPAETLAMLSVNVDNPGYDELLEAAVKCPGRKRR - ->NP_740692.1 envelope glycoprotein E3 [Mayaro virus] -AASTVTAMCLLTNISFPCFQPSCAPCCYEKGPEPTLRMLEENVNSEGYYDLLHAAVYCRNSSRSKR - ->NP_740674.1 e-3 structural protein [Sindbis virus] -SAAPLVTAMCLLGNVSFPCDRPPTCYTREPSRALDILEENVNHEAYDTLLNAILRCGSSGRSKR - ->NP_740683.1 E3 protein [Ross River virus] -SAALMMCILANTSFPCSSPPCYPCCYEKQPEQTLRMLEDNVNRPGYYELLEASMTCRNRSRHRR - ->NP_740708.1 E3 protein [Onyong-nyong virus] -SLALPVMCLLANTTFPCSQPPCAPCCYEKKPEETLRMLEDNVMQPGYYQLLDSALACSQRRQKR - ->NP_740645.1 E3 protein [Eastern equine encephalitis virus] -SLATVMCVLANITFPCDQPPCMPCCYEKNPHETLTMLEQNYDSRAYDQLLDAAVKCNARRTRR - diff --git a/seq/clusters_seq/cluster_266 b/seq/clusters_seq/cluster_266 deleted file mode 100644 index ca44a91..0000000 --- a/seq/clusters_seq/cluster_266 +++ /dev/null @@ -1,420 +0,0 @@ ->YP_009507783.1 guanylytransferase [Pulau reovirus] -MAQIRGLRLAESFNVPSKSSSPSFITYDFLLSRLQCASTPWTALTTSSGSDITVVRLLFPLQGIQSPTLE -SPIPTTFPEWRTWMQERLAVIYEDLIRRYPISEFHGRHVNPLICNTIVASFFSNSPYSSLLDHVMLKVSP -AVDILDANLLQRNHFWPLSNDLLMMPAGKKYWTFDGYQTSADAPCLFGKNQTPYADVIYGRDLTSLLTFV -DSAPSQLTPLVHLDRPSYGPHVLLPTENVIPAIATSSKDCAFWLLLESCLDQLRANQSSSRSTPVTRRIH -SYLVVRSPYFAFPESVEYALLALNNASFCGSQLTVPVPNHPTCSMVATLVSQLSDSSKAITVPSPKPRSF -TLYSNLKFDYPQSLTPFISISPLTFTGTLGSASLLKPTAASVRWQPQFDDSTSSPVDLALNLMNSALTLP -VTPDYGHAWTGSPLFSYAVSDRHDAAVPIDLVPDFPADYFSTEQQDARARFRDYRLIADRSLHKDTANLT -CVSQQQDVLGNKFVFNGMSVAYMGASGTHPDDQPSVIAPWLSGKLASVFKPSSIRQFGWDVTRGVILDVT -HSIASGDFSFVYSDVDQVQCNSDDLVSSTRAFILQCQNLLTLVQVGGSLVVKCNFPTSRVLAWVYAELSS -WFNRVVVMKPLLSNNLEIYIGLLQKLAIASPSFGPSSSVVVFLTKQIRRYSSLIEASVTLPDRGASVTLD -NPLTCLSLNFVNVTSVGSEDDLRALACFSLLSSPSTIRLSRHEYFDSFRTAVTSVVTPDSRRLWSRLAYV -PRIFPSSLTVQSRSIAYSPPTLFKTKTNLWTLLSVYYDWVLSGIPGRPNLWMDLGTGPECRLLSKISEDI -PVCMVDVRPSYLPMNCWKTQTDYIVKDYTDMQVILDYSPDYVSAILTLGAASFSSGVSLSSLVRDFLNSC -KQVGATKIIFQLNSPADTRVDSPHRELQIDVTKQMFFFPTLGRKEPYLPLADVIQLLSQTFPGATVEIRY -PEDDYSWLSNPLVNGLSIDTDAISKLLVLSQFFPLFIVHADVKSVTFSNMTSVGSEMSLTIHGFSSTSSY -DVTLDGVSLLTISGAKVDSSLVSASLSVTNDDAVLRFTPASAGILRVSQTAPVVLPIGSTVISAPDDAIT -VIWPSSLDYSDAGTNVSITCNSWFTLRLFAERDGEVIRVSDDKYDIRPAGSNSWTLNVILDRSDAFYRFF -LRDVQSAVPGQYIDFELQQLSIHVWDPSKPSFLSPPYNGDFVISTPGGPVQLSRPFTQIPADWKTVNVSV -SVISDLPSFLVPPSEYYGIVPV - ->YP_009507755.1 VP1 [Scophthalmus maximus reovirus] -MATVYGIQLTDRLNTATVRRPLRLRRYDSYITTFTTPNGISQLYRALDFQPTQFSASVLQTFPPLNAWNP -APQFLPDDLDLSQWKEWITDRMRSLATVLQRAYPLVANSRREVNPIVIGLVTSAFLNQRPIERFLPLLFL -RPGNRDPIAPLVTVDATFSDDTYVSNRVLYTPAGLKYLTLRSYDSTKSSAICTFGKHVPFYAIAAFYPDE -HARLTILHRYNGGPPLIEHFDQPTYGPHVLIPALGSPEGYDTAKPTFADLLLTEGLLDSFRLNASAGPST -AVARIDQTYHIVMNGNPSDHTQLATRLSNLSLLAVQGCQMTVQVVDHPSMSDVCGFLVRLLGPGDPQRLL -NYQTDQILIWQASPFPFGNNPRYIRRQGRVPFTVGNTTYVPDTKTPLPFLPQYRRAVVNKNNALASYQEN -LLPSLPIYHGFALTGGAFFQSRDITGDPANVWPVNTMPTLPQDYFSIRSRQRRELLSRLRSPSDRSYIKD -LHNISFAATVLNPVNNQIVLSEGFSMAYLGAASTHGASDQPLIIDALKNGTVPGVPVPSKVAQFGYDVAN -GSIMDATLSPPTGTFSFVYSDVDQVEDAGLSIVAANRAAAAVTTVALSMTTAGGLTIVKINFPTPAFWTH -LFRQHAMHARAMYILKPLIVNSVEVFLLFVSRASDGNLVSSPALRQFLVQLFDRSAYLTDLMAHVPLLGD -VDDGATSLGFNACRLYSPDLPSTNVTPEIQTLAYQLATIVPSTSFIAREDYDGATAVTFYGKRTFLSQNR -LDRLVDVPVPATNAINHQTRFTGSPVYQLFPANPAPVTQLLAGAYNRLVHSQLARVQPQALVDCGTGPEC -RVLSLIPPTTAITMIDARPPAELLAAFNPAMNQYIEDDFLNPALWVAHPYDALTAIFSLGAAFAGANLDL -VVGLTAFLRLVQPGNLQHLWLQLNTPLTSNTSLPGLLEIDTRTNQYIFNGGQRTEPYALPNDILTAVRQV -FPAATTSWLTASPSMDWAEYVIALGSSMSLDDVNTMITYSGLAPILHIDQTQRPMDVPVPLVVGVQANIH -VAAPVQQTTVIGTIAGVQVFTADGVTAPSTLGPLAAVWDAALSRWNLTLTPNQAGVLDVVVDHAGVMLNR -GSTTIALPPATINVTFPQAANRDYTNAGNDAAIVCDPFYRLGVFVSVNGTFQPVNPERAAIITAANVRSL -HYVYDLSDNHVLMYICDITDNNVGRNIAFPLADIFQTVFPNNTPLLASPPYPSASGRLLLNGQPFLDLDP -LPPVLPPGVQIQALSTAVEPARQTVEVPAGVYVYVVV - ->YP_009507745.1 VP1 [Green River chinook virus] -MATVFGIQLTNRLNTATVRRPFHPRRYDSYITTFTTQPGINQLFRAVDYDPVDHTATFLQCNPPLNAWNP -SPQFNPSDLPLGQWRRWLTDRCRALATTLQRHFPLVVNAPRLVNPIVIGMVTSAFLNSESIHLYLPYLFY -DPGVAPILHSILQVDQQFSHSTFVVNKVLYTPAGVKYLALRFYDPSSPSAPCSFGKHVPSYALVAYYSDE -TSRLSAIHRYTGGQLILEHFDQPTYAPHLIIPRLGSPIGYGASSPNQGDLLLVESVIDAFRQNSSSGPST -AVARIDQTYHPVMNCQPADMTLLSSRLLNLALMAVQGCQTVSPMSNDPPMSEVNGFLSRVMSPGDPQLLA -AYRADYEQIWRNSPFPIGANPRYQSVRQVNRFSLGTVTHVPNSSQPLQFLPQYRNANVTKANGLASYNTQ -RLLPIAIYHGHAVTGGTYFTSRNISGDPAAPWPIANLPPLPPLYFSAESRTRRELLSRLRHPSDRSLLKD -TANFNFLATLLNSATNDPVLYEGFSLAYLGAASTHGDVHEPLILDALRLGSIPGVPIPSKIGQFGYDVES -GSLMDTTLASPTGTYGVVYSDVDQVEDAGDRIDLADRAAIATMLTALNMTTAGGVTVLKINFPTVAMWTQ -LFHRFATMARELHIVKPLIVNSVEVYVIFSGRANDGNLTPSPALHQYLIELFARATNLMSVMRHVPLLVD -VDDGTSSLGINACRQYSPDLPLAVATPDLQSLAYQLATIVPSTSYMSKEDFDGTSALTFYGKRTFLSALR -LARLRNIPLPATTALRHQSRLTGPPSFQLFPVKPASVNQLMAACYNFILHAALQAVAPPRLIDCGTGPEA -RILSIVPAATDLLMVDQRPSAEAITSFNPALVSYVMADFMDPAFWNNRQTNAITSIFSFGAACAAANLDL -IAGLTAFLQSTRLTGAGHFWLQLNSPLSPLVDIPGLITIDTRRAMYSFNGGQRQEPYALPDAILAAIRVV -YPNATFTWLTPSPSMDWIEYVLGLGSAIDFDTIQTVRDYGLLTPILHVDLTQPPMVVPIPLVVGVQAVLQ -VAAPTNQTTVVGSIAGVQVFTGDIAASQSTIGPIQFQWDVANLRWDITLTPNQAGVLDVHVVDGPANLNR -GSTNINLPPATIVIAAVVNPDFTNQGNDAAIQCDMYYRLGVFVSVAGVYQPVNLERAVIMMINNVRTLHY -VHDLSDNHVLMYVCDIGDNTIGRSIAHPLADIFRIQFPNNVPLLASPPYPNASGRLMLNGQNYLDLDPLP -PVLPPAVVLQQLSTAVQTGRDTVEVPVARTRMWSCKTS - ->YP_009445953.1 core turret protein [Piscine orthoreovirus] -MATLYGLRITRLKNLPLLERDTEEYTYKEIITFLTTDVVKRLRQFQNGDRECYAVQLLFPLTGWCPSVDV -VDGTSYNTLGKLINLIQTSCGLLARQLNVRYPLVGAANAIVNSLVITQLVDCAIRHESTAALIEHLFDDN -GQISSLTVHATTWDEVKLSKNMTVRRRVVECVAGLKYWLFRNVKGAKSFETWGKDYPGYANVHFFDDSAG -KQAAIRHIGNDVHIFQHFDNPTYAPHLYVPLEGNYSRDMYTDSFSTLVQMECVVDQARANSNSRLKMVSR -RFIEVMKCIQRPMGETGVSILSKLDEIGTVLANGGQFELATLDLSRREVIHSMIDTISDTPNSSRAIPFD -ATRLVIFLDTAYTGPMPSTDFNVSTYEFGFSLIGSVSGKAFSRPIRYSPNYKDDLGDLHDVRELLRTFVK -RKDDVTISNIWDGLPLVDFAKFGNAAATPVDPRLRKEFPNDYFDREQSINRMLFRGYRKTIDRSWAKDQA -VLETIFSIAGNWLTANKSYTAAYFGASGIHPNDDQPLVIDPWSKGTIFGVPAPSSKVSQYGYDVSNGVIT -DLTRPSPSGTFSFIYCDVDQVQDAGDDLGVCYQIVRSLFDTINDALTTGGSFVMKINFPTRQIMDYLVEV -VAPKFTDGVLIKPVVSNNLELFVGFFCKVDNRGCHWNSDCSRFMFRLHNRYNHLDHACDYIPIIGNAREH -PRAISRQEFAIRNPTSSSDTLSQEIELSLGLFSQQCAANTITISRNLLHGMTEILVSGVVTASSLNRCER -LDYSPTIDSTTILHQHREIATASPQLFQFEASEWTLLAMGYNELAARFVNGSAKSLVDVGSGPEGRSINY -VDSDIKVTLFDQRTPHINVDWFANVEYIQGDYLQRRDWRGCTFDTAICIFSFGAATAGSPTGMIEYLTEL -LEILKDAGCTRIIIQLNCPLMTKPTGVVSKLEIDVINDDYYFIKQGRVEPYASPQDILGAITQALPQSTV -QIKTLDDELSWFPRIISEGFRVTTEAMRDAITLSKLLPLFLIETSKTLFRPAKYIGLVDEVIAATWTVTD -PFVDVSVYLEDTSVGLFNTIDNEIIGVEVKAVFDGRGTYRGTFSTDKAGVVTFEQTEKDGTSTILGSFLC -VTGPNAVAITWPANEVVGDNPNVASLTNNTGYELIVAYEYDGTWIGVNAYKANVYEDAAGDDKMEYYHVV -GEEKLAWALVDHHYGSPGARVVIPFVWPDVTALPGDVLVAPPYAGDWLVNVDGNLTAELHVDEPDEIPAL -WTLMTRSVANNGSSLSYIGQAGIYTFLKLP - ->YP_009351849.1 VP1 [Fall chinook aquareovirus] -MAAVFGIQLTNRLNTASVRKTYYPQRYDHYITNFTTSTGINTLFRPINFNTLTHDAPLVQLYPPLNAWTP -APEVYPSDMSLFDWKDWLTDRTNALSVALQSVYPLVPNARRRVNPIVIGMVTSAFMNSESIHSYLPFLFY -DPAVETPLSYIVDVNRTFSLTTFTEDNVLYTPAGIKFAPLYQYDTDDPNSVCTYGKHVPNYATVAYYETE -TARLTAIAKHAGGQIIMEHFDRPTYAAHLVIPRLGSPTGYGSSAPRQGDLLMVESIIDCLRQNASAGPST -AVARIDQAYHPVMNCDPMDIVTLSGRLLNLALIVTQGCQTPLSLPSDITMRQVNSFISQVMSPGDPQRLV -EYRADFKLIWVSSPFPAGANTRYRPRPGRVPFVHGGITHVPDSERALQFLPQYRRATVTKPNSQASYEEQ -QLLPLSIFHGHAITAGTYFTNANITGDPTAPWPVATLPPMPARYFSSASKTRRELLSRLRAPSDRSYLKD -QANFGFLASLLNPINNEPLLREGLSIAYLGAASTHSTANQPLIITDLEQGLVPGVPIPSKISQYGYDAES -GALMDATQASPTGTFGLVYSDVDQVEDAGDRIQIAERVAIDTIYTALQMTTMGGVTLAKVNFPTPAFWTT -LFARFPTMARTMHILKPMIVNSVEVFIVFAGRANDGALTCSPALHQYLTELFARVVNVMRVMVHVPLLGE -SDDGQSSLGINACRQYSPDLPVAGVTTDLQSLAYQLATVVPSTSFLARQAFDGTTAVTFFGKRTFLSNRR -MERLQDIPLPITTAIGHQSRFTGPPSYQLFPTKPASVTQLMASAYNFIMERQFVVTANLRLLDCGTGPES -RIIPLVPLTTDLTMSDTRPTAEALHAFPQNTTAYVQADFMDPPFWAQRQTDCISAIFSLGTAFAARSQTL -IQGLTAFLNMSQPAGSNHFWLQLNVPLSGIIDIPGLIAIDARRMEYSFNGGQRVEPYDLPDPVIAAVRAV -YPNATCSWLTPSPTLDWLEYVIGLGSSLSFDDIATVRAYGLLTPILHIDLTQPPVIVPVPLVVGVQAVIQ -VASPANTTTVIGMIAGIQVFSADGTGSTSTLGPVALVWDLANLRWDITLTPNQAGLLDLHLIDGPATLNR -GSTLIALPPPALRVTFPPAPDFTVNGNDAAVRCHPFYRLGVFISVNGTYQPVNPERASVQTVPAGRILHY -VLDLSDNHVLMFLCDIGDNQIGNYIAFPLAHLFTTVFPANAPLFASPPYPSASGRLILAGQPFMDLDPLP -QVLPPGVVQQQLSVAVQTNRPTVLLPPGAYTYVVV - ->YP_009259500.1 putative guanylyl/methyl transferase [Etheostoma fonticola aquareovirus] -MATVYGIQLTNRLNTATVRRPLRLRRYDSYITTFTTPNGISQLYRALDFQPTQFTASILQTFPPLNAWNP -TPQFIPSDLDLSQWKEWITDRMRSLATVLQRAYPLVANARREVNPIVIGLITSAFLNQRPVDRFLPLLFL -EPGQRDPIAPLVTVDVTLSDDTYVSGNVLYTPAGLKYLTLRAYDPTRPSSICTFGKHVPFYAIAAFYPHE -RARLSILHRYNGGPPLLEHFDQPTYGPHVLIPALGSPEGYDAVTPTFADLLLTEGLLDAFRLNASAGPST -AVARIDQTYHVVMNGNPGDYSQLASRLTNLSLLAVQGCQMTVQVSDHPSMNEVCGFLVRLLGPGDPQRLI -NYQTDQIQIWRGSPFPFGNNPRYIRRRGRNPFTVGTTTYVPDTKTPLPFLPQYRQATVNKNNARASYQAN -ALPGLPIYHGFALTGGAFFQSRDITGDPANVWPVNTLPDLPQDYFSIPARQRRELLSRLRSPSDRSLVKD -THNISFASTVLNPVNNQIILTEGFSMAYLGAASTHGSSDQPLIIDALKTGAVPGVPVPSKITQFGYDVAN -GSIMDATLAPPTGTFSFVYSDVDQVEDAGLSIVAANRVAAAVTHVALSMTTAGGLTVVKVNFPTPAFWTQ -LFRQHATHARALYILKPLIVNSVEVFLLFVSRTSDGNLVSSPSLRQFLVQLFDRSAQLSELMAHVPLLGD -VDDGTTSLGFNACRLYGPDLPAVNVTPEVQTLAYQLATIVPSTSFIAREDYDGATAVTFYGKRTFLSRRR -LDRLVSVPVPATNAINHQTRFTGSPTYQLFPINPAPVTQLLAGAYNRMISAQLTHVGPQSLVDCGTGPEC -RVLSLVPPRTAITMIDARPPAELLAAFDPAMNQYIVGDFLDPALWLVNPYDALTAIFSLGAAFAGAGVDL -VAGLTAFLRLVQPGNLQHLWLQLNTPLTSNASLPGLLDIDTRTNQYVFNGGQRTEPYAPPADILAAVRQV -FPAATTSWLTASPTMDWAEYVIGLGSSMSLDDVTTMITYSGLAPILHIDLTQRPMDVPVPLVVGVQANVH -VAAPSQQTTVVGSIAGVQVFTADGVTAPSTLGPLAAVWDPALLRWDLTLTPNQAGVLDVVVDSAGVLLNR -GSTTIALPPAAIAVNFPPAANRDYTNAGNDARVACDPFYRLGVFVSVNGTFQPVNPERAAIVAVPNARVL -HYVYDLSDNHVLMYICDITDNSVGRNIALPLADIFQTVFPNNTPLLASPPYPTASGRLLLNGQVFIDLDP -LPPVLPPGVQIQALSTAIEPARPTVEVPAGTYVYVVV - ->YP_009246465.1 Lambda C [Mahlapitsi orthoreovirus] -MARFFGVRLADTLSCPTAHSPVRRYVYDDFCEELKADENKFPWIPLINTQNQEVVAVQLLRPLQGAISDH -FVNPWPSYYSEWKRWMISRLNNLLRNILNVYPVTVYHGLDVNPIIANLIVAAFLNGLPFMSYIPFLFVRR -NVFDDVIDANLVASGMLACYHDNYFVLIPGMKYYAFKNYSNSPFDPCLFSKDKSTYATGYYYRNYEEISV -PLTTLTIPSSVLVHIDRPTASPHFLVPTSGNNVDVYGQQVDSFMLLMLEAMIQQFYNNSIANPAKSFHRL -DECYMVLTSQFYEEVDSFENRVFQLSVAATNGYQLMERVPRHPTIEWVSRFITQIKAPGVSDLLTFANPR -YVPILANSPSPLPSIHVDYYRRLTASVPLSIGSVFIADDAQRPVQALLQYTPATIANYDADHAANVASTL -PITPEYDDFWVGKPLFTSYAHHNSDVEVLQNQLPDLPSDYFSHDDIYFRALFNSYRGIKDKSYHKDLANL -QFVATLMNADNKPYLTSKTAVLYMGASGTHPHIQQPTVIKPWTEGALPGVPKALYVRQVGYDVVFGRMCN -VRHPLPIGTFPYIYSDVDQVVDAGDDLYKANRVAIDVYENIVRTLPRYGSFCMKVNFPTAFVMSVLLERI -IEQFHSYAISKPMINNNVEVYVHGFGKLPRHANPDAKLRAGVVLFLRQLFNRYKTLKRALTDVPKRGVTD -DGTNLSGILQINLLDTNFTGDQSLNVMALAGLARRNDSAVITYATRNHFGSQMVTITGIRSKFGETRQER -MMYTPVPILDAYQMQSRSIPYKTPVIFGDKAGVWRTLAMFFNEALTRSFPSQLTHLCDLGTGPEARILTL -MPSVKPLTLIDVRPFSESNVCWATETNFLELDYLQDDWSRFVDCNEVSCILSLGAACVESGFTVLEGLEN -VIKKTRDSGAMKLLIQLNCNMFGNVEGVPGELIVHSTTGMYEFLKSGRIEPYVSYVDMEQYIEDNLHDYA -ITWMVMTQDLGWLDQAFSSLVGTSTEAIETARHLSQYLPLLLIDFSRPGVETRGELIQGHLGKMRMHLPD -DAIVVVYFVDGARSALWSHHENYSYIGRMLANYHDHVLEMDWQPTINGLVTLRAGPNIDELTYNLGSVIV -QAPATNVDIEWPQQWDFSDTGTDIKLRLDGFYDVRVYYGDDVNKYPVNPSKYSIIQRNQNDRIMTWVVDR -SDAYHQFWLLDTQSDLPGQYISVRLDELTTHLWPESIETFLSPPDLSVWQVIYDDEIVANFDKGNNVVPE -YWERADESYAVDGSLPTFYVPAGVWRINHLG - ->YP_009110696.1 guanylytransferase [Cangyuan orthoreovirus] -MAQIRGLRLAESFNVPSKSSSPSFITYDFLLSRLQCASTPWTALTTSSGSDVTVVRLLFPLQGIQSPTLE -SPIPTTFSEWKTWMQERLAVIYEDLIRRYPISEFHGRHVNPLICNTIVASFFSNSPYSSLLDHVMLRVSP -AADILDANLLQRNHFWPLSNDLLMMPAGKKYWTFDGYQTSADAPCLFGKNQTPYADVIYGRDLTSLLTFV -DSAPSQLTPLVHLDRPSYGPHVLLPTENVIPAIATSSKDCAFWLLLESCLDQLRANQSSSRSTPVTRRIH -SYLVVRSPYFAFPESVEYALLALNNASFCGSQLTVPVPNHPTCSMVATLVSQLSDSSKAITVPSPKPRSF -TLYSNLKFDYPQSLTPFISISPLTFTGTLGSASLLKPTAASVRWQPQFDDSTSSPVDLALNLMNSALTLP -VTPDYGYAWTGSPLFSYAVSDRHDAAVPIDLVPDFPADYFSTEQQDARARFRDYRLIADRSLHKDTANLA -CVSQQQDALGNRFVFNGMSVAYMGASGTHPDDQPSVITPWLSGKLASVFKPSSIRQFGWDVTKGVILDVT -HSIASGDFGFVYSDVDQVQCNSDDLVSSTRAFILQCQNLLTLVQVGGSLIVKCNFPTSRVLAWVYTELSP -WFNRIIVMKPLLSNNLEIYVGLLQKLAITSPPFGPSSSVVVFLTKQIRRYSSLIEASVTLPDRGASVTLD -NSLTCLSLNFVNVTSVGSEDDLRALACFSLLSSPSTIRLSRHEYFDSFRTAVTSVVTPDSRRLWSRLAYV -PRIFPSSLTVQSRSIAYSPPSLFKTKTSLWTLLSVYYDWVLSGIPGRPNLWMDLGTGPECRLLSKISEDI -PVCMVDVRPSYLPMNCWKTQTDYIVKDYTDMQVILDYSPDYVSAILTLGAASFSSGVSLSSLVRDFLNSC -KQVGATKIIFQLNSPADTRVDSPHRELQIDVSKQMFFFPTLGRKEPYLPLTDVIQLLSQTFPGATVEIRY -PEDDYSWLSNPLVNGLSIDTDAISKLLVLSQFFPLFIVHADVKSVTFSNVTSVGSEMSLTIHGFSSTSSY -DVTLDGVSLLTISGAKVDSSLVSASLSVANDDAVLRFTPASAGILRVSQTAPVVLPIGSTVISAPDDAIT -VVWPSSLDYSDAGTNVSITCNSWFTLRLFAERDGEVIRVSDDKYDIRPAGSNSWTLNVILDRSDAFYRFF -LRDVQSAVPGQYIDFELQQLSIHVWDPSKPSFLSPPYNGDFVISTPGGPVQLSRPFTQIPADWKTVNVSV -SVISDLPSFLVPPSEYYGIVPV - ->YP_009020573.1 lambda C [Reptilian orthoreovirus] -MARFYGVKLADTLSCPSTESPQERYTYDALCAELDSSITRYTPWIPLRNGQLKEVVAVQLLRPLQGTVAD -RFVNPWPKDYDSWRLWMTNRLDALLRVLLTKYPMVEYHAHEVNQIVVNTIVGAYLSETPYYKYLDFLFVK -DTLFRDIINSAMIVPGLLVCRPINYFQLSPGPKYYAFSNYTGSAQDPCLFGKETSNYAVGYYLPASLFTM -PSTVLLHYDRPSVIPHYLIPSAGIGVDIFGQMRDAYTLIMLESIIQQFRHNANANPAKPYHRLDECYAVY -TGSLYDNVGSSHWRIAQLAVAATNGYQLTERVPAFPTNGWVSTLLSQIRAPGENPLVLSSNPRYVPILHD -SPSPLPEFIPRWYVRVNVNSGMDVSNVHVVDDSASPVQILMQYGLNTVSLAEARAAYDSKSTLPITPEYH -DFWTGGALMHATRAVAADADVNTTQFPSMPPYYFERAQIRSRGIFTSYRGVVDRSLAKDTSNLIFAYGQY -GLTGDKYLKANESVAYLGASGAHQPRPEPSIISKWKEGSIPTVPAAARIRQIGYDVTLGQIADLRFPLPV -GTFTYVYSDVDQVVNGGNDQNVANRVALELLDTCIQLVSVRGSMCMKINFPDGIHFGRMIRSLATNFESH -AITKPMIGNNMEVYIHGFSKLQNSVPRSPKASSVVFMREIRNRYLVIVQACDRLPLRGITDRGDYDSGIT -QINIINPRLSVRWADDVAAISGLAMISDGHRAVMSRRKHHGAEMLTLTGVRSRYSLNRNRRMRVAPSPRL -DAIVNQVRDIAYVDPQVFSSSASLWTAYTLFCNEVVSRVFSDVNKSLVDLGTGPEARIISLVPPTKELVL -IDSRPFSESTNCWNTLTDFVTTDYLNPGWEVRYPSDQLSCVLSLGAAASSRLISLLDALDILLPLINANG -ADDILIQLNCPLYGQQTGVVGELSLNTVTKQYNFVTFNRSEPYEAKAALEARILQEFPNSSMTWITATIE -LPWIGILWESLMSISTTSIEKALELSQYMPLIYIQKDVRGVNVVGQLRVGNLGQFAVTLTSPQDTFQLHL -NGAEVMDWNGNVLTSYVGAANGALQGNVLTIQWMPSRVGNYVSRVINLTAGVDQLRGSLTVSPPDQTLNV -VYPAQWDVSLAGTVITLNLDDFFDLRIFAGTQTAKQMINSEKYSIRITAQGTRELTWIVDRSDSTQNFWI -QDVQSEVPGQYISVLLDQLTTHTWPTDVPILLSAPDDSMWVVRENGVMQCILNDDSSQVPLFWDPQPVNY -GTNAGLQTYEVPPGDYVYVRV - ->YP_007507317.1 guanylytransferase [Melaka orthoreovirus] -MAQIRGLRLAESFNVPSKSSSPSFITYDFLLSRLQCASTPWTALTTSSGSDITVVRLLFPLQGIQSPTLE -PPVPTTFPEWRTWMQERLAVIYEDLIRRYPISEFHGRHVNPLICNTIVASFFSNSPYSSLLDHVMLRISP -AVDILDANLLQRNHFWPLSNDLLMMPAGKKYWTFDGYQTSADAPCLFGKNQTPYADVIYGRDLTSLLTFV -DSAPSQLTPLVHLDRPSYGPHVLLPTENVIPVIATSSKDCAFWLLLESCLDQLRANQSSSRSTPVTRRVH -SYLVVRSPYFAFPESVEYALLALNNASFCGSQLTVPVPNHPTCSMVATLVSQLSDSSKAITVPSPKPRSF -TLYSNLKFDYPQSLTPFISISPLTFTGTLGSASILKPTAASVRWQPQFDDSTSSPVDLALNLMNSALTLP -VTPDYGHAWTGSPLFSYAVSDRHDAAVPIDLVPDFPADYFSTEQQDARARFRDYRLIADRSLHKDTANLT -CVSQQQDALGNKFVFNGMSVAYMGASGTHPDDQPSVIAPWLSGKLASVFKLSSIRQFGWDVTRGVILDVT -HSIASGDFSFVYSDVDQVQCNSDDLVSSTRAFILQCQNLLTLVQVGGSLVVKYNFPTSRVLAWVYAELSS -WFNRVVVMKPLLSNNLEIYIGLLQKLAIASPSFGPSSSVIVFLTKQIRRYSSLIEASVTLPDRGASVTLD -NPLTCLSLNFVNVTSVGSEDDLRALACFSLLSSPSTIRLSRHEYFDSFRTAVTSVVTPDSRRLWSRLAYV -PRIFPSSLTVQSRSIAYSPPTLFKTKTSLWTLLSVYYDWVLSGIPGRPNLWMDLGTGPECRLLSKISEDI -PVCMVDVRPSYLPMNCWKTQTDYIVKDYTDMQVILDYSPDYVSAILTLGAASFSSGVSLSSLVRDFLNSC -KQVGATKIIFQLNSPADTRVDSPHRELQIDVTKQMFFFPTLGRKEPYLPLADVIQLLSQTFPGATVEIRY -PEDDYSWLSNPLVNGLSIDTDAISKLLVLSQFFPLFIVHADVKSVTFSDMTSVGSEMSLTIHGFSSTSSY -DVTLDGVSLLTISGAKVDSSLVSASLSVTNDDAVLRFTPASAGILRVSQTAPVVLPIGSTVISAPDDAIT -VIWPSSLDYSDAGTNVSITCNSWFTLRLFAERDGEVIRVSDDKYDIRPAGSNSWTLNVILDRSDAFYRFF -LRDVQSAVPGQYIDFELQQLSIHVWDPSKPSFLSPPYNGDFVISTPGGPVQLSRPFTQIPADWKTVNVSV -SVISDLPSFLVPPSEYYGIVPV - ->YP_004769547.1 core turret protein [Baboon orthoreovirus] -MARLHGLIFSDSLSSPIRYRENHRYTYDEYIRQLKDPEHNQLWSVLRNVKSNEVNAIQLKRPLNGITIMP -TLNHRSVTYEAWKVWIIGVLRNISIDLLNYHPLKENYSKTINSIIAAQICDCAMSSRPYRHLFDCLFVEI -SIVDDILHSNILVSDSLWCVSNKIICLVAGLKYLRFFNYEFDDYDICLFGKDELRYAVTYYAKTYYDLES -QVSLCSSCIFHIDRPSVAPHIIVPSDTATIHLTGFSISYLDGLLLESAVEQFHNNSESSAEKEFSRLDEC -YCIAKISADHFDGDLTSRLSVLSSCATNGLQLLDIDTSKITNLQVISLLLRLMSNTQSTTLLDWSVGYVL -MYIDSPSILPSIYPDYYRTTGMEIGLKEGLTRKGKRVEIPVIMMKQYGLTWIDQQDGELSWKKRNKLPVS -PLYGKAISGKAICYRVKSNDQKVRAVSSDHLPDLTESYFSKEESALRDKFKSYRLAADRSLLKDICNWTF -LYSFIDDLGKDAIPSGINACYLGASGNHPTNEPTIITKIRKNEIAGVKQVANIAQFGYDVTKGIVCDLNY -PISTGTYDLVYCDVDQVQSASNSYKSSDQLTISLFDRASELISPSGSICFKINFPTRTVMSWILRRLSSM -FTVYGITKPLVMNNVEVFVVGVKKLKQYKQPVILNNMCVFMHRVINGYRELNIAIKELPVYNGRQNVHDP -IFVNEIQLLDPYLDSDHTLDAEALTYLSNVTFGSTIHFSSYDYFGARMLRASGVTNKYAYNRYNRLAYLP -IPRFNALNAQVREIGYGQYKMFDSVASPWVLLSSFYNYQLCLNVRSEDVMCDLGTGPEARILHFIPSNVP -ITLVDVREFNESDTPWMTYTNFVIADYLSDELETLGDVTLLTCLLSLGAACASSGVDMLSGLRRIFSMIS -DNNIDRAILQLNLPIGEVKDAPRDFIEINEVDHTYVFKNNGRVEPYITMAQFQQLLSEHLSSHSYQIVLF -EQNFSFLNNIFNSFISTTTEHLENFSVLINYMPLIILSKSTLKFEHDTQLTVGERMHLSISNVKPNTSFL -IYHDGVEMLKWNDGMLVHDLFVESKIEMVNDNILIELVTDEIGNYHLECDYGSKEVFSFFVHPPDDTITI -HWPVKWDFTDYGTIINIDVDDYYNLEVLFVSDQGELNIVNPDKYAIIPNGLNHKTLSWIVDLSDRNQTFI -LRDRVNDTSSHYLFLSLDKLSDHAWSTTNDLYITAPDRRLWQVMYNGSPVAHFHDGKLLQKPSNWVKSNV -NYGAILEYDTYLAPSGSYELMLKV - ->YP_004226523.1 lambda-C protein [Avian orthoreovirus] -MAQIRGLRLSTTLSAPPSRKTLTSHTYDELISALKLTTKPWRPLKSRDRNSITAVQLLFPLNGYIEPMFI -LEKDMSYEDFESWLSPLLSALADQLLRRYPIASHHGRLVNPLLSNAIVAAFLSNVPYLHALDHLFIIRGV -VEDILDVGLSIQNHLWYDRSALVTPAGQKFIQLSGYHFSSQDPCLFSKQLRCYGLVYYFLDMSDCISYCQ -RHLSNSTPLIHFDRPSNGVHCLVPSESTTPIAGSLPVSALSSILLESCIQQSLLNTRTPTGSPVVRQVEV -LLPISSPFFERQNTLEYSLFALSNALVNGYQLIDLQPNHPDCATVAAILARLIDFSKDITTIRPSPAQFN -IYADSPLTYSGENANFIRRLPCSSGNPIGPVVVGKTVDRAIGWMPQFDPASSYNPDLAMDSLSKATTLPL -RAKFSPFWSGPALFSFASCDRKNGIYDVSFMSQFPSLYFSDDDTASRSRFSSYRAVKDRSLLKDTANLLY -ISNLASSHDHRLIPDSKTMVYVGASGTHADNQPSVIKPLLNGSLPGVFKPLSVKQIGWEVTNGTICDIEL -PLATGTFFFVYSDVDQVQAGDSDLDASSRRFCSQLDMLVKLTYTGGSVVAKCNFPTNLVWRHLFTTVSPY -FSSIHLLKPLIANNLELYVLLAEKLPVPEASFLPSADMVVFWRSQIQRYRTLRDSFAVVPSIDSTLSLED -DLTVSVLNFVDVTSLSSVEDQHALAAFSVITSLGSQKLSIHPYFDSYRTQLIGIITPHSRNVLDRLAYIP -RVFPSTIDVQHRIMSSSDPEIFGFRSTYWTQLSFFYDNALMQMDFTEAKHWLDLGTGPEARPLSFLPSDL -PVTLCDTRPFIFPSGCWATFTDFLSYDYLTTNVILSTGADVVSCVLTLGAACADANITLHEGVRQIVSQC -VDANVKTLFLQLNCPLPSAGDVPRDVLELVQTNSTYIFHSLGRIEPFIPYSALLEIVEDLCPGIVVEIKT -MDPSLSWLNYAVHFNASVSSDDIVLAMRLSHFCPLFVFHLNRHSADFPDDARVGSPFTVLLSNYEDTSSY -EVTLDNVTIATITAGSLVGFSSGVQVASKDDQLTLTINSASPGILSIIQVLPVRVSLGSCVIEAPDPSLS -LIFPAKLDTSLSGTDLELFLSDWYDVALFYIDEANSRLLPVSDTKYEIYRKDQTPGTRTINYIFDRSDVF -FKIVLCDVSPSGVGRFIYRELPELSSPVWPEDVRTFLSLPFDSPMVIISPDGPVNYDGATVTPPTSWLTV -DGSTCVVDGRPSFFVPPGRYGLVRV - ->YP_003717772.1 lambda C [Broome virus] -MAQFYGIRFGNTLNCPTQYRESVSYTYAKYCDDLSCLETKLPWRPLRNTRTNVVVAVQLFRPLQGTISSA -YINEWPSEYESWVTWIKDRLACLRDILLQRFNMIDYYDALVNPIVANLICGLYLHSEPIGEIIESLFLRQ -TVYQDLRDMSVNVPGFLTYHSDSVELNAGRKYYRFNSYVYDDFDPCLFTKDCSNYGRVFFYRNDNDLMSS -NIDALANGVVLCHYDRPSYGSHAIIPNVGNGVPFCGIVMDSVVGVMFEAVCEQLRNNQLASLSQQFSRLD -ECYGFIRARMFESARDNVFIRLQQLGVLATNGFQLATRLDRYPTNKTIAELYQHLMFGSGDDFDVVVRPR -VVYVFQDGPCVLDGLNDHYVRTTRRLGFNHGAITITDPVDGPIRVGIQYKHELVSKCDALSAMAEVSSSA -IPIVFNDFWVGEPFTFSYVFSFLDLARDLHDIPNIPHEYFSLEHQYARDVFSKYRGLIDRSYYKDKAILR -HVYSIKDPNDVLFMHDHMNVAYFGASGTHPAREPTILREWRDGKLDGVSPPIKVTQFGYDVTKGMIIDVS -VPFRSSVYTYIYSDIDQVVDGGDDIDAATRVLFRCLDNMLGQLSHEGNITFKVNFPTTAVWRRLGQHYFP -RFASFSIVKPMIANNIEIYVSCYGYETRRPRCDVRMGVHLFMASLYRRYAVFNRITDYLIPRGALESSAS -GSGVFEINIPSHRVSASIDFMERAIAGMYALNYGGTIRMAHRDYYGVELLSLSGVKNEFAERRLARLDMC -PKGDLRAILHQTRLIHYKPAEYFHDAATLWIAYTAFSNYMIYSLGVRQDTNLVADMGAGPEARILSLIPS -DMPCLLLDVRPFAESLIGWKYETQFIIFDYLHDRWDNLPPFDTVICTLSLGAACASAQITMIEGIERFLN -LCVLHGVKHILLQINCNLDGSSMGVRNELRIDDVNHQYNFLKYDRVEPYTDRRQVEHAIDKLSHCAYAWY -TAPLDFDWVHFIWKGLSAVSTVGLQGCIELSRYMPFVVIDNPSTRLRYNNQPVVGQTFEFDIHGVNFVDD -VVWWHDGVEVARYSNDVVTTLVGPIACTRRGNDIHVVWDLHMSGLFHLTLTDDELRGRIGSVFVEPPDPA -IIFQPPTHWDQTVNGTKMVLNINTWYKLEVFVYSGDTRRVVNPDKYDLIDVAGTGYEFIWVCDRSDAFHR -FVVHDTQSEAIGQYVHLELSDLTTHQWDETQVSLISPPDRRRWQVESNGDVIATFNDGQIDVIPPNWVRQ -DISYSALDEFPTYMAPAGRYRLIRT - ->YP_003199419.1 lambda-2 protein [Mammalian orthoreovirus 3] -MANVWGVRLADSLSSPTIETRTRHYTLHDFYSDLDASVGKEPWRPLRNQRTNEIVAVQLFRPLQGLVFDT -QLYGFPGTFSQWEQFMKEKLRVLKYEVLRIYPISTYNHDRVNVFVANALVGAFLSNQAFYDLLPLLIVND -TMISDLLGTGAALSQFFQSHGEVLEVAAGRKYLQMNNYSNDDDDPPLFAKDLSDYAKAFYSDTYEVLDRF -FWTHDSSAGVLVHYDKPTNGNHYILGTLTQMVSAPPHIINATDALLLESCLEQFAANVRARSAQPVTRLD -QCYHLRWGAQYVGEDSLTYRLGVLSLLATNGYQLARPIPKQLTNRWLSSFVSQVVSDGINETPLWPQERY -VQIAYDSPSVVDGATQYGYVRRNQLRLGMRISALQSLSDTPAPVQWLPQYTIDQVAVDEGDAMVSQLTQL -PLRPDYGSIWIGEALSYYVDYNRSHRVVLSSELPQLPDTYFDGDEQYGRSLFSLARKVGDRSLVKDTAVL -KHAYQAIDPNTGKEYLRAGQSVAYFGASAGHSGADQPLVIEPWMQGKISGVPPPSSVRQFGYDVAKGAIV -DLARPFPSGDYQFVYSDVDQVVDGHDDLSISSGLVESLLDSCVHATAPGGSFVMKINFPTRTVWHYIEQK -ILPNVTSYMLIKPFVTNNVEVFFVAFGVHQQSALTWTSGVYFFLVDHFYRYETLSAISRQLPSFGYVDDG -SSVTGIEIISIENPGFSNMTQAARVGISGLCANVGNARKSIAIYESHGARVLTITSRRSPASARRKARLR -YLPLIDPRSLEVQARTILPSNPVLFDNINGASPHVCLTMMYNFEVSSAVYDGDVVLDLGTGPEAKILELI -PSTSPVTCVDIRPTAQPNGCWNVRTTFLELDYLSDGWITGVRGDIVTCMLSLGAAAAGKSMTFDAAFQQL -VRVLTRSTANVLLIQVNCPTDVIRTIKGYLEIDQTNKRYKFPKFGRDEPYSDMDSLERICRAAWPNCSIT -WVPLSYDLRWTKLALLESTTLSSASVRIAELMYKYMPIMRIDIHGLPMEKQGNFIVGQNCSLVIPGFNAQ -DVFNCYFNSALAFSTEDVNSAMIPQVTAQFDANKGEWSLDMVFSDAGIYTMQALVGSNANPVSLGSFVVD -SPDVDITDAWPAQLDFTIAGTDVDITVNPYYRLMAFVKIDGQWQIANPDKFQFFSSNTGTLVMNVKLDIA -DRYLLYYIRDVQSRDVGFYIQHPLQLLNTITLPTNEDLFLSAPDMREWAVKESGNTICILNSPGFIPPQD -WDVLTDTISWSPSLPTYVVPPGDYTLTPL - ->YP_001837094.1 VP1 [American grass carp reovirus] -MAAVYGIQLVNKLNTATVRRTYLPNRYDILIDRLTNHTQHNVLHRALDFNATTREATVVQLYPPLNAWTP -SSATNVTDYTYLEWVDFIQERSTTFSEVLRQRYPITTYANRFVNPLVVGAAFSDFLNADDISVYLEHLFY -DPRVESPVQAILSFPYQWTPRFHVFQEFIRTGAGCKYARSSRDMTPPTPARLPRYGKHRPAYATVFYYNT -LAARSTILAGISAGPTALEHFDSPTYGPHIILPQAGDVLGYHSRPVSQADLLMTESVMDCLRENSQASAS -TAVARLDQTYHPVANFDPTNEDSMMSRLTNLALLVVQGAQAELAIPTVPTNDDVRGFVARLMSEGQRQRW -FPYRTDRVLIHPDSPFVLPPGDFYAAYRVANFPFTSGTYTSVPNATKPLRVLPQYRAATILPAQATQAYE -DHVIAPININHGYCISGGVYFTADDISIDPTPFPARDLAQLPQNYFDPNRMARRELLRRLRVPSDRSYLK -DNAVFTFLASLVNPATALPALQPGFSLAYLGASAAHAKSDEPTILADLRNGSIPGLPIPSRIAQFGYDVV -HGSLLDLTRAVPTGTFGLVYADLDQVEDAGTDLPAANRAALAMLGTTLQMCTAGGVAVLKVNFPTVEFWT -QLFNQYATFATTLHVVKPIVVNSSEVFVVMSGRQSAGNLTCTTSLQRALLAMYARNAAIDQTMTHVPMLG -QADDGTSALGMEAIRLFDPLFVEGNPNAATSALATLMANVVPSSIHMSRLPVNGPVSTTIFGKRTFLSTR -RRDRLLEYPLPMVTAINHQRRFTAPPSFSIYPTEPVNVTTLVAAGYNAYVHTVITSAQPAHLFDLGTGPE -CRILSLVPQNTRVTMVDSRPCAELMQAYDPNTTAYEQADYTLAAFWNGRQCDAVSAIFTLGAAAASNAVT -IDALLANLLPSIANAGTTRLWLQVNAPLAGPTPIPGLIDIDTRAGTYTFNNGERTEPYIDPQVMQATVLA -HFPNATLSWYTLPPTCEWLDYIIGAGSSLDLSTIPTALQYSQLTPILSIDTNVAPLRVNPIPTPLGQQCA -IRIPTNDPAAVLDAKHRGVPVITGTPAALTSLMGNAALQYIQANNEFLLQLTPTLAGIFDVTLTSAGQPP -IPRGSFTITAPPPTAAVTMPANIDYTDAGNDGPIACDPYYNLAVCIMRNGQYVRVNPEKARVETVAAGRA -LHFVLDLADNHVLMYLCDVTPAAIGAIIAHPLADIYQLVFPNNTPLRASLPYIGGGARVELNNQPYLSLT -NPPPVLPAGTALAALATAASVGQPTYTLPAGAYRYVLE - ->YP_398629.1 putative guanylyl/methyl transferase [Chum salmon reovirus CS] -MATVYGIQLTNRLNTATVRRPLRLRRYDSCITTFTTPNGISQLYRALDFQPTSFQASILQTFPPLNAWSP -SPQFVPDDLSLSQWKEWITERMRALATVLQRAHPLVANAGREVNPITIGLITSSFLNQRPIDGYLPFLFL -ARNARDPIAPLVTVDITFSDDTYVSRHVLYTPAGLKYLTLSSYDPTKPSSICTFGKHIPLYATAAFYPDE -TARLTILHRYNGGPPLIEHFDQPTYGPHVLIPALGSPEGYDTHLNICRLLLAEGLLDSFRLNASAGPSTA -VARIDQTYHVVMNGSPDDHTQLATRLSNLSLLAVQGCQMTVQVADHPTMSDVGGFLVRLQGPGDPQRLIA -YRTDQILIWQASPFPFGNNARYVRRPGRVPFTIGTTTYVPDTKTPLPFLPQYRQATVNKNNAQDSYELNV -LPSLPIYSPFALTGGAFFQARDITGDPANVWPVNTLPGLPRDYFSIQSRQRRELLSRLRSHSDRSYVKDV -HNISFASTVLNPVNNQIVLSEGFSMAYLGAASTHGTTDEPLIIEALKSGTVPGVPIPSKISQFGYDVANG -SIMDATLAPPTGTFTFVYSDVDQVEDAGLSIVATNRAAVAVTNIALSMTTAGGLTVVKVNFPTPAFWTQL -FRNHATDARALYILKPLIVNSVEVFLLFVSRATAGNLVSSPALRQFLVQLFDRSTSLSEVMAHVPLLGDV -DTGVTTLGFNACRLYSPDLPTVNITPEIQTLAYQLATIVPSTSFIAREDYDGATAVTFYGKRTFLSRNRL -DRLVDVPVPATNAINHQTRFTGSPVYQLFPTNPAPVTQLLWLARTTGLYTASWPRLLLSRWLICGTGPEC -RILSLMPPATSVTMIDSRPPAESLAAFNPAMNQYIVGNFLDPAQWVANPHDSLTAIFSLGAAFAGAGQDL -VVGLTAFLRLIQPSNVQHLWLQLNTTLTSTASLPGLIEIDTRTGQYIFNGGQRTEPYAAPDAILAAIRLV -YPAATTSWLTASSTMDWTEYVIGLGSSMSLDDVSTMISYSGLTPILHIDLTQRPMDVPVPLVVGVQAVIH -VAAPVQQTTVIGSMAGVQVFTADGVNAPSTIGPLAVVWDPVLSRWDLTITPNQPGVLDVVVDHNGVLLNR -GSTTIALPPATIVITFPQAANRDFTNAGNDAAVVCDAFYRLGVFVSVNGAFQPVNPERAAIVTAANARVL -HYVYDLSDNHVLMYVCDITDNNIGRNVALPLADIFQTLFPNNTPLLASPPYPSASGRLMLNGQLFVDLDP -LPPVLPPGVQIQALSTAIEPARQTAEVPGGAYTYVVV - ->NP_938060.1 guanylyl transferase/methyl transferase VP1 [Aquareovirus C] -MAAVFGIQLVPKLNTSTTRRTFLPLRFDLLLDRLQSTNLHGVLYRALDFNPVDRSATVIQTYPPLNAWSP -HPAFIENPLDYRDWTEFIHDRALAFVGVLTQRYPLTQNAQRYTNPLVLGAAFGDFLNARSIDIFLDRLFY -GPTQESPITSITKFPYQWTIDFNVTADSVRTPAGCKYITLYGYDPSRPSTPATYGKHRPTYATVFYYSTL -PARSRLLANLAAGPTVLEHFDSPTYGPHLLLPQTGDVLGYSSSLISQAALLMVESVMDALRDNANASAST -AVTRLDQSYHPVTSFDPSTFNTLLQRATNLALLAVQGVQSESAIPAIPTMSDVRSFVARLMAEGDPQQWF -PYRVDQILYWPESPFVPPIGPFYAPFRPVNFPFTTGSYTVVPDASRPLRLLPQYRNATITVQQADDAYED -TALSPLITTHGFCVTGGVSTSIYDISGDPTAYPPAQLVDTPNDYFDRERMARRDLFRRLRAPADRSAIKD -RAVFDFLASLVNPTTANPVLDTSFSMAYLGASSAHANADEPVILADIRSGSIPGLPIPRRIVQFGYDVVH -GSLLDLSRAVPTGTFGLVYADLDQVEDAGTDMPAANRAAIAMLGTALQMTTAGGVSVLKVNFPTRAFWTQ -VFNLYATHATTLHLVKPTIVNSSEVFLVFGGRQSNGALRSTTALQRALLSLYARNAAIDRAVTHIPFFGV -PDDGTSDLGIDAVRLFDPMFSDAVANLPSNALASLVSRVVPSSIMFTRVPSNGPVSTTIYGKRTFLSNRR -RARLRDVPMLITTTLVHQRRFTTPPTFTLFSSEAVPVTTLVAAGYNSFISEQTRNPNLAHLLDLGTGPEC -RILSLIPPTLQVTMSDARPCAELMASFDPALTAYVQGDYSTAAFWNGIRCDSATAIFTLGAAAAAAGTDL -IAFVQQLIPRIVAAGGTRMWLQLNTPLYEVSSLPDLIDIDLRDRVYRFNGGERVEPYADPVPLQQAIAAL -LPAAALSWHTLSPTCDWLPYIIGVGSPLNLSDINTAISYSRLTPILHIDTTTPPLRVNPVPTPLNQQCAI -RITSLDPAAVLSVQHNGVEVIGGTPGNVISVAGAAALQYILANQEFLLQFTPTLPGIFDVFLTTLGQPPV -PRGSFTITPPPTTVVLNMPPPGQLDFTDVGNDARITCDPYYQLAVCIFKDGQYVRVNPEKASVVTNAPNR -DLHFVLDLADNHVLLYLCDVTPSGLGDRIAFPIVDIYRIAFPRNTPVRASLPYTGGGAHLTSGGNPFMSL -TTPPAVLPAGVALAALSTSVATQYPTYTLPAGVYEYVIE - ->sp|Q91RA4.1|LMBD2_REOVJ RecName: Full=Outer capsid protein lambda-2; Short=Lambda2; AltName: Full=Lambda2(Cap); Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MANVWGVRLADSLSSPTLESRNRSYTLHDFCSDLDASAGKEPWKALRNQRTSEIVAVRLFRPLQGLILDT -HMYGFPGEFDAWEVFVKEKLRVLKYEVLRVYPISGYSNSHVNVFVANALVGAFLSNQAFYDLLPLLIIND -TMINDLLGAGVSLAQFFQAHGDVLEVAAGRKYIQMNGYSNDDDDPPLFAKDLSDYAKAFYCESFEVLDRF -FWTHDASAGVLVHYDKPTNGNHYLLGTLTQMVSAPPFIINATDAMMLESCVEQFAANAAARPAQPATRLD -QCYHLRWGAQYVGEDSLTYRLGVLSLLATNGYQLARPIPKQLTNRWLSSFVSQIMSEGANETPLWPQERY -VQIAYDSPSVVDGAVQYGYVRKNQLRLGMRISPIQSLSDVPAPVAWLPQYTIDQTALEDGDMVGHMSQLP -LRPEYGSMWVGEALSYYVDYNQSHRVVAAKELPQLPDTYFDGDEQYGRSLFSLARRIGDRSLIKDTAVLK -HAYQAIDPSTGREYLRAGQSVAYFGASAGHSGADQPLVIEPWLQGKISGVPSPASIRQFGYDVAKGAIVD -LARPFPSGDYQFVYSDVDQVVDGHDDLSISSNLVESILSSCMQATSPGGSFVAKINFPTRSIWYYIEQKI -LPNITSYMIIKPFVTNNVEVFFVAFGVHRQSSLTWTSGVYFFLVDHFYRYETLSAISRQLPSYGYVDDGS -SVTGLEVISIENPGFSTMTQASRVAISALCANTGNSRKTISIYESHGARVLMLVSRRSPASAKRKARLRY -LPLIDPRSLEVQSRTIMPSTPVLFENSNGASPHVCLTMMYNYEVSSAVYDGDVVLDLGTGPEAKILELIP -PTSPATCVDIRPTAQPTGCWNVRTTFLQLDYLSDGWITGVRGDIVTCMLSLGAAAAGKSMTFDAAFQQFV -RVIAQSAANVVLVQVNCPTDVIRSVRGYLEIDQTSKRYRFPKFGRDEPYSDMESLERICRATWPNCSITW -VPLSYDLRWTRLALLEAATLNSASIRIAELMYKYMPVMRVDIHGLPMNKSGNFVVGQNCSLTIPGFNAQD -TFNCYYNSALAFSTEDVNAAMIPSVTATFDNAKNEWTLDMVFSDAGIYTMQAVVGVNASPIALGSFVVDS -PDVDITDAWPAQLDFTIAGTDVDITVNPYYRLMAFVKIDGQWQIANPDKFQFFASATGTLTMNVKLDIAD -KYLLYYIRDVQSREVGFYIQHPLQLLNTITLPTNEDLFLSAPDMREWAVKESGNTICILNSQGFIPPQDW -DVLTDTISWSPSLPTYVVPPGDYTLTPL - ->sp|P11079.2|LMBD2_REOVD RecName: Full=Outer capsid protein lambda-2; Short=Lambda2; AltName: Full=Lambda2(Cap); Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MANVWGVRLADSLSSPTIETRTRQYTLHDLCSDLDANPGREPWKPLRNQRTNNIVAVQLFRPLQGLVLDT -QLYGFPGAFDDWERFMREKLRVLKYEVLRIYPISNYSNEHVNVFVANALVGAFLSNQAFYDLLPLLIIND -TMIGDLLGTGASLSQFFQSHGDVLEVAAGRKYLQMENYSNDDDDPPLFAKDLSDYAKAFYSDTYEVLDRF -FWTHDSSAGVLVHYDKPTNGHHYLLGTLTQMVSAPPYIINATDAMLLESCLEQFSANVRARPAQPVTRLD -QCYHLRWGAQYVGEDSLTYRLGVLSLLATNGYQLARPIPRQLTNRWLSSFVSQIMSDGVNETPLWPQERY -VQIAYDSPSVVDGATQYGYVRKNQLRLGMRISALQSLSDTPSPVQWLPQYTIDQAAMDEGDLMVSRLTQL -PLRPDYGNIWVGDALSYYVDYNRSHRVVLSSELPQLPDTYFDGDEQYGRSLFSLARKIGDRSLVKDTAVL -KHAYQAIDPNTGKEYLRSRQSVAYFGASAGHSGADQPLVIEPWIQGKISGVPPPSSVRQFGYDVARGAIV -DLARPFPSGDYQFVYSDVDQVVDGHDDLSISSGLVESLLSSCMHATAPGGSFVVKINFPTRPVWHYIEQK -ILPNITSYMLIKPFVTNNVELFFVAFGVHQHSSLTWTSGVYFFLVDHFYRYETLSTISRQLPSFGYVDDG -SSVTGIETISIENPGFSNMTQAARIGISGLCANVGNARKSIAIYESHGARVLTITSRRSPASARRKSRLR -YLPLIDPRSLEVQARTILPADPVLFENVSGASPHVCLTMMYNFEVSSAVYDGDVVLDLGTGPEAKILELI -PATSPVTCVDIRPTAQPSGCWNVRTTFLELDYLSDGWITGVRGDIVTCMLSLGAAAAGKSMTFDAAFQQL -IKVLSKSTANVVLVQVNCPTDVVRSIKGYLEIDSTNKRYRFPKFGRDEPYSDMDALEKICRTAWPNCSIT -WVPLSYDLRWTRLALLESTTLSSASIRIAELMYKYMPIMRIDIHGLPMEKRGNFIVGQNCSLVIPGFNAQ -DVFNCYFNSALAFSTEDVNAAMIPQVSAQFDATKGEWTLDMVFSDAGIYTMQALVGSNANPVSLGSFVVD -SPDVDITDAWPAQLDFTIAGTDVDITVNPYYRLMTFVRIDGQWQIANPDKFQFFSSASGTLVMNVKLDIA -DKYLLYYIRDVQSRDVGFYIQHPLQLLNTITLPTNEDLFLSAPDMREWAVKESGNTICILNSQGFVLPQD -WDVLTDTISWSPSIPTYIVPPGDYTLTPL - ->sp|Q91RA6.1|LMBD2_REOVL RecName: Full=Outer capsid protein lambda-2; Short=Lambda2; AltName: Full=Lambda2(Cap); Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MANVWGVRLADSLSSPTIETRTRHYTLRDFCSDLDAVAGKEPWRPLRNQRTNDIVAVQLFRPLQGLVLDT -QFYGFPGIFSEWEQFIKEKLRVLKYEVLRIYPISNYNHERVNVFVANALVGAFLSNQAFYDLLPLLVIND -TMINDLLGTGAALSQFFQSHGEVLEVAAGRKYLQMKNYSNDDDDPPLFAKDLSDYAKAFYSDTFETLDRF -FWTHDSSAGVLVHYDKPTNGNHYILGTLTQMVSAPPHIINATDALLLESCLEQFAANVRARPAQPVARLD -QCYHLRWGAQYVGEDSLTYRLGVLSLLATNGYQLARPIPKQLTNRWLSSFVSQVMSDGVNETPLWPQERY -VQIAYDSPSVVDGATHYGYVRRNQLRLGMRVSALQSLSDTPAPIQWLPQYTIEQAAVDEGDLMVSRLTQL -PLRPDYGSIWVGDALSYYVDYNRSHRVVLSSELPQLPDTYFDGDEQYGRSLFSLARKIGDRSLIKDTAVL -KHAYQAIDPNTGKEYLRAGQSVAYFGASAGHSGADQPLVIEPWTQGKISGVPPPSSVRQFGYDVAKGAIV -DLARPFPSGDYQFVYSDVDQVVDGHDDLSISSGLVESLLDSCMHATSPGGSFVMKINFPTRTVWHYIEQK -ILPNITSYMLIKPFVTNNVELFFVAFGVHQQSALTWTSGVYFFLVDHFYRYETLSTISRQLPSFGYVDDG -SSVTGIEMISLENPGFSNMTQAARVGISGLCANVGNARKLISIHESHGARVLTITSRRSPASARRKARLR -YLPLVDPRSLEVQARTILPSNPVLFDNVNGASPHVCLTMMYNFEVSSAVYDGDVVLDLGTGPEAKILELI -PPTSPVTCVDIRPTAQPSGCWNVRTTFLELDYLSDGWITGIRGDIVTCMLSLGAAAAGKSMTFDAAFQQL -VKVLTKSTANVLLIQVNCPTDVIRTIKGYLEIDQTNKRYRFPKFGRDEPYSDMDSLERICRAAWPNCSIT -WVPLSYDLRWTKLALLESTTLSSASVRIAELMYKYMPVMRIDIHGLPMEKQGNFIVGQNCSLTIPGFNAQ -DVFNCYFNSALAFSTEDVNSAMIPQVTAQFDANKGEWSLDMVFSDAGIYTMQALVGSNANPVSLGSFVVD -SPDVDITDAWPAQLDFTIAGTDVDITVNPYYRLMAFVRIDGQWQIANPDKFQFFSSSTGTLVMNVKLDIA -DRYLLYYIRDVQSRDVGFYIQHPLQLLNTITLPTNEDLFLSAPDMREWAVKESGNTICILNSQGFVPPQD -WDVLTDTISWSPSLPTYVVPPGDYTLTPL - diff --git a/seq/clusters_seq/cluster_267 b/seq/clusters_seq/cluster_267 deleted file mode 100644 index e3291dc..0000000 --- a/seq/clusters_seq/cluster_267 +++ /dev/null @@ -1,211 +0,0 @@ ->YP_009345130.1 hypothetical protein [Hubei myriapoda virus 9] -MTTVCLKCGPYSTWRLISSWPWWPSVGGFLLACLGQLLTFYSNVTPECQQQCFPTRIWWGQKPSANPCGS -VPVFRGVGDIVTFVGLSAPITIYLLGLVLRVALNAAVFGYRYLSTAAKSISSIVRWSSASLTEVCSHCRL -LATYSDDPTWYTPESMLDSSELSPRQFSDLPKCQIAIYVIHCGRYFRGYGIRVPGGVVIPEHVIGSAVSI -EMRSPFATLDVVDVQGSKIDVTTDCLFLPMKDSVLAPLQRTTAQPSVVVPGLSTSAQLFGGPPDKLWTSY -GQLSHHPKLFGFLRYCGSTLRGASGAPIVVGKRVAAMHVGSEGKFNISIAMDYILFCLDQQSKLVLQSSQ -KIQTPLRYNPESFASTGEYLENLARKGKKRKAWQGMDGNVYFRGRGGKIQSIDPSTLTDAMHDALDWSHT -ELSAPASGGWGDYMDLYSPESGGKVKCEDSEIESSETESESDHPCPRRQKPSTLKMTPTKLAECVSNEDS -GNGQIARCVDASDIQRAQSVYKKNGFGEAVSSLVYQKVMKQVDMAIRESIRAHLKIQSDSTPKYEPEPEE -QGKSQPPASAVEPSSAQKKPLQAGAIPEVTTSQPGPKKKKSSSKKAWKRSTPLQAQVSAPSYQGAEQSEK -SSAGTVSRGIEIESNYCVDQYDSDSPSWEAVEAALFH - ->YP_009345117.1 hypothetical protein 1 [Xingshan nematode virus 5] -MVIMSAMEALNSLALTASSGAVWMLMSLAIPKVTRVVEEDTLIKILMCVAGFPAVLLGAAVLGRKLRVLG -RWVLTLVLAPIHILMLIFRGCQRVWRRLFRVYLPKGYKVGGVYPETVVADSPLFKATGPPCQVLIGAGGT -VLGCGFRYEDALITAYHTFQAAEGPFWMAGMPLREGEPEKVALPLPDSVEVLPDLAVVRVRRWPTGVKSA -RFARQHEGYGRITGPLDQTSNGFIETGKMFGYVTYSGSTQGGFSGAPYMLGSHVAGIHLYGGVQNGGYSG -PYLEKVLKPYLGKVPESSEDFVERLARRGDRLDYKKHPLREDRYLVYSGGEYYDVPSEQLRAVRRMEKQL -GYQDVEDPEWLERKKTKKLRSDKHLMYAMEWAMAEPGELPAGIGGFEEFVELCETEDAARRELREMKWAK -RAEKAREAMNKLFAEHEPRIDWTAECARPGDINFPEARRVRERERKKAQRKRRALRKLVGATGCTPVCGN -QP - ->YP_009345115.1 hypothetical protein 1 [Wuhan pillworm virus 3] -MDYNLIIYTILFLFFLLWKMSHDIFYKFIDWIRKGHVLDFLREHGWQIILFIFGLHLTQRWTFEIRNLIE -NYNAWTTPDMCWDFPQLTWDPTTALQYIPPSTSRFWNLLMLFCWFINWLSMRTLGIKFHALQPGSDFIIA -NLPPTQVRIKVVTRNSQIAYGVGAVFKWQGRIFLATVKHLLKDACFIQAGRDNFWVDLDQSYRSIHSDGV -VWELNSGITSKLGVKLGSVGQITGQLAVSTVSTDLKQSSGIVYLLDKIVCYTGSTEVGFSGAPYLAGSRI -YGIHIGEASGRNIGYDLHDAMRRYDSPEMTEESRKEGFGTEGEDVAKDYYKTSGKSNMRTSGPSNIYFAD -PTQSYKDKVDYSNLKSTPWALDDEPREGWERRVTKLESKIDNVIDLIQSTLSKQDGDVPLEQTTGSSQKS -GLDFQRGPQSTPVTPHAKRAQRNGSISSQDSVLSAKPNVSSQSHLSKNQKRKLRQKSKKPALAMSKKPSR -DTVALALELLQKPGP - ->YP_009342460.1 hypothetical protein [Wuhan heteroptera virus 2] -MESLSQAVSSIVHALEEIETAEFIAEQYYNPGHNFTDQLISPGFNTAKLLFNTLQSSLDSAKRSSVLILR -HRAIMEHLQHLPYAMVLPLMGALTVIFFLIAFVWTRRREAKLVRLAATPQCYREDSKWLTLQPPQAQFAV -YRMGKEGRQLLGSGVRLLDTTRSDANIALGKQNRPPRQLLVVPEHVLMSAYNSQDGLSRVMLVPMAKYDT -ETAKYNEGISAAKLIWRRVATDILVAEKPNNKLCRGAPLRVTPVSPDAISLCTSAFDHQGGSMGKLKTSG -FGTLLYSGSTRAGFSGSPYLQNNKCAGIHLGGNPNSGIAATYIMAKVAHFEFNSLPQACIQPSDNPYQEP -LSYLPDEEIPQKESNLNSGDSDMEFLRRVLRSAGKDEYQIEGSGNFDYVTIGYRGQYRTVTTDEYNALLD -EADSYWQTKRHHECCWRAPSTAPSTCPPSTTYEATESLLRNPSSTAMVDSGQRAKGLQTVMEDVVLPDQC -GFICAKHGRTSVWVKDVGHSSANSVLSQSTIRSRRKRRRAQPAKLESAPLEQPSLNYVRPDQSQQKWSGQ -SKIMGGHTTLAVQSANQDHSIVASRTPTMSYPIAVAKPLQTASQSTQTLLPQPSEEQFPQPKKSTLILDS -KSSKGTLYDSQIQELIMRQWTLTSELAELLKSVNQIQSQVSVISGIIRQSETPSAMNAASTPTPTILPSS -STSLERRLDSSIPEANTATDQTMPKSSSNSKRRNNRRSKNNAIGSSGA - ->YP_009342306.1 hypothetical protein 1 [Wuhan arthropod virus 4] -MSAEQVQTPVNFVLQAPAGAFAAPPPAESHWLVRAYKRLGSLWPLFLASWWFSIPNLMQVLVGAYFDKLG -WLVDLYGRVEYSADKGIQYVVDYRLPLILGTCTTLIWACATAMMWKWMNDDVKDTIDREVQVRLLQEGLA -KQAATQTVTDPVEFTPEKVKPSSILIKPERPTFQAAIYGELNGAWVRSGQAFKVRHQLITAYHVVESFQR -LRLVTSSGEIDMASERFRWVEGDVAFCLLTPQENQILALTEAKLANHEFASNSGLIVKATGFNNSSYGFL -KEYPAFGYVEYAGSTVPGFSGCPYVVGPKVYGMHLGGGVENLGLNGAYLDMLVRRKDESSEDYLFGQIEK -HEKYDYQQSPYDPDEFRLRIGGKYYLIDADMLHSLETRQKGAGSNKVMFKDLEYDAEAYEYPTEEEHIPV -YKPKEIPKIDDEIGKVSKEIAGLIKEISENRNAPTASKPVGAAGPRKQPVSAQKQAVARPKGTTSSSPKV -SVTDGPELTPVQRSQVLQDIAKSLRTLRAEQPRLQQAISKKSSKSPQAASQIGRLISLNTELQRIISEFG -LMTPLPTSTETRARDVASTSVSPP - ->YP_009337876.1 hypothetical protein 1 [Hubei mosquito virus 2] -MYKPYTMIMEDLFISHYAMLVYVALLVFLALTIVNVINPCTKAVCTCSFAAVFVMFCRALALVTRQFLFQ -GIHGVVVVLTSKKFWQSFYVTTPEIFYTMIAFYLTLALVIAFNVWYKRREAMRHKYMVLNESGTYMEEKA -MPNSHYEIVPVLPGFQAAVLVSLDGITYRENGQCFWVDEGLVTAAHVVEGYDYCCIYRDEKHKIEVPSSV -FETGQGDYACCRDPIKITQKVGLSKAKLAGVGLEKGSGISANIVARGKRSIGFLDQHPQFGFVTYSGSTT -SGFSGAPYHLGRTILGMHLGADSVNMGYEAAFLKTELRPSRVIKKLVDLKKEDSASWLVEHLGREDEIQY -WQSPFDPSEYKLKIGNMYHIVDNEVLKEILTRKKGRQAVDKIBYLEESDAPRKTTKSTETMVWREDVEES -VRVYGGEVDLFDEPVIVPVPVEDRHEDPLIATIRGLVQEFGRTSVYTSAQLPAVKEAAQVPPVKEAEAVV -PAAPRVDELPLAPRDAMTFNDSGNLFRAPAVPAGAPGMVSVPAPVQNQGQPIYGPMAFPYPPPLVNYHME -SRSSMLAPQNVAFTRTQRNRNRRAARQQKRSELEQYRQRFGPLQNGGATSQQPPTPTHGSIESSTGQ - ->YP_009337375.1 hypothetical protein 1 [Wenzhou sobemo-like virus 4] -MTIYANVMEILYSSVIYVQIVAMVILAITALNVVNPLNKTMILVSFGTIVAVLLRSILYWIETMVIMTYG -TAKKIWVELIFQRFVNNLGTDPNKELKLLIVMALIIIFMYIWKVCKYLQSTKVVYVVPSKVKKGDACYVE -ERAMPNSIYEHIKVLPPFQAMVMASLDGYKYHVMGQCFWVDEGLVTAAHVIEGFEHLCIYRDLEHSINIK -ATEFECGNGDYAVCREPTKITQKVGLSKAKLSPVAVQKNGGVTVNVSAMDKRTAGFLDEHTQFGFVTYTG -STTKGFSGAPYCFGRTVYGMHLGSDSKNMGYDGAFLKAELKPSRLIKQKLGIKTEDSAEWLIGQVDKYAE -LDYVRSPGNPDEWRVRVGGRYHLVDDEVMATLLQARKGTEVRIGKLKDFQREALFSRGEISKKSSNHPKL -AKEEDPEKAMVKGLLAEFGRTSIVTEEPMVRESGPSVDGLPLAPRDAMSFNDSGNLLRAPTVDVGALGVE -NVRREFVRNPSLQTCQQTVCTCQTPSVSYHMESRKSMPAQQSEASARTVKNKNRRMLRQQQKKELELYKQ -LCGPIQHGASTLHPQQTQMHGSTQSLTKH - ->YP_009337352.1 hypothetical protein 1 [Wenzhou sobemo-like virus 3] -MYFAVCYTAAHMMLEAALLYALYSVKAENGRLLKMLERPPPVQALMEIMSDSTVFAVLAVLSITSLLIHT -YKTISWFFRKTYTAFKFAFYWLRSGIYIPTVDSLYRPERYMEGSEAVKTFNKPSFQVFLYGYDGKSYRYV -GSGFRYRDYLVTSLHVIDEYKCIQVRKLRSEGKGFEILRDDFMSGACDLTWSLVDPREWSKLGVSTAKLV -STMPAKIQASVNDGLQLTQGSVSKLPVFGYVSYSGSTWPGFSGAPYYVNNHVYAMHVGSGGVNLGISGSY -IHALLRLEDSQDFVLQDIKATARQRRFNARVSPTDPLERLVEYNGRMYVFDREDIADVEQYLEWNDEVNY -SRESMAYPDHGPVERPADVIRQQENAPAPPTPPAPATSVAPAQTTPAVPGPSAPPTVAADVTTPCVEQPE -GNANRASAGVEARGNVSQQGSALNVSVSVNPVSKTLLEQPATATNGQTSTPAPRSVASVSTSTDFSRRKQ -TAKLVRLEPGASTALRRDAVAGSAQ - ->YP_009337334.1 hypothetical protein 1 [Wenzhou sobemo-like virus 2] -MSTVAPDGTFMRNEAYVPGSEYQRTVDGIPKFQCQITDKFGSSVLGYATWVGDYIVTPTHVVATANTDHV -LLLTRNGAVSVPTDQWRHLEYADVSYLPYEQRTYSPLQLSKGTVYPPGKEVNNLFVTATTSTVFTTGIIR -EHPTDALYVTYEGSTKPGFSGVPYVSNKKAVAMHLGSNSYGKGIKYSWILIMIYEAENPNQNVRHYSDET -EKVFIRESTGSDTYEKLIAQALERMSQGERYFKRAGYRGMDSYLFNNSDGTMMEITPHTRQHMMAFNEYD -NDAEMMSGGYRRKRSRKAPSGWGELEELAPVRKGKGKGGKFRYDEPPAFKGKSWVDMMEESDYEEENADD -SNQDQPMVSQVKNKKAIKKLGLDNVPQTAMLVPDISRPTVPVYSDYPEVVKTSKNVVSPPDVEAPGKTQT -AEEVVIPLRTTTGSLPTPNFNLDHLSNTAAPPLTLAQLGEALPLILESLNSLSNRLQNPRRRRSRKPSAK -PSNSCAQE - ->YP_009336971.1 hypothetical protein 1 [Beihai sobemo-like virus 23] -MAEVDENILRQRWLMYFFFYSSGISIILHIILFVYLLYLICMAAKRCICRRRDRSTVETTLLREGWSENR -ITTDNSRYYGAVPVSIPASPQRTYTVPLDSSMSWDAQAEPGQYVPETAWTHDKVTRVKTDNMPHFSLRVD -KEVLIEGKRTRCVAGNAVRVENDIVLPTHMLEDAERIFLMAPAKRVNGKEGEWIQLEIYSDETEQLDSDL -SVVRSSRFYITDVFEKLGLTAPILGTVNSMVFVTVTSFCLVKIEGMQYKEVDGFYRGRGDLSPSKRKLGY -TDFNGSTYPTFSGSPYHVGEVLYGIHLSGSTRVNMGLDVQYIRILLMKVDGQIQIPESSSEWLEKLSKQQ -KQRKTRLVVSRHASDPDYVIVRQGGGYKVAHYKDFEKFFPSGTYDVGTDTAYMMPESPDEPVPTKGKSID -DLFVKTCQDISLEAKTLKLKMPEKKEKTAQEKAKEIDQEFKKACDTLDKYSNKLLDKENTDKQKVVQSYE -QEVEDNYSVVSEMTSLSHDVPSKEVEAGLEHKLKLMSDNLAAMAEILKQSAFVVEDKVAKPVSQVIYRHN -KEIDEIRDELIDYKSITGRAFTQLNQKIIKRLPPEEVSVPESPMVPVEVSYEEDQVHSFDPKEEGFSEPS -ENRDQELVEMHKEIQSFKSQLGQLKNLNQTLIAENLVLKEQKEKALENWRLEEQKLNQQKEIVNSHRELH -HQVKQQASDLGHQMNQVMEQISSIDKMKKEIQKKMEDLNKEMVNQKQTQQSKKLYNSQPKMTPELEIKKL -LEEEGLKWTFFQVLYNSALHTNNISPYVNGYVMSQAKKVKVNKEKLLNPKQVAHGTIDLQQLKTNWLTFI -ETLKMDGSKNLKELEKIYALVVE - ->YP_009336890.1 hypothetical protein 1 [Sanxia sobemo-like virus 2] -MSGSNYTFLKSALQLTTLLLCVGTFVDTFDSEENKCQMDLNHALAAKTEMEHEQKYLDSKMRALMDKMVE -EKAAVLLADKQILELAHNLTVLGKEHKALKSKQNLVERDFLKMSRKLTGERSRNKRSITTKEQQIGNMIG -KSKSQRDELEECERRVIALQSSVDSLNYWKDELRKKVEQLEDDNEDLRERMKTSASQIDFRMVGGLILLF -ATVVVSLAWYKHRKVVTLLKEEIITLQTRVESMTQRYSPVQMLANSMTNVSLGNSSNESLIPECKVPGNA -LFPHDSDPGQLTILLKIGDALVAAGQVFWIKDWLVTAAHVINAVSEDEDIILSSMVPQADGTRKEVRVNR -SRKDFLIPTPSFDLAYMKVDNSFMQQFKSNKFKTNHLSSDVYVSVSAEGMASTGHMEPNDDGSVTYYGST -TPGFSGAPYLWDGKVVGMHLGARAKEVCQYGLSIAIIERILTRFSRPYKAESTEDELFEKNFYETLEERA -MVGGRIKAKRINPEDFVVYYKDKHGNHQSGVISDMDIEDDDIYNYLDFGGDLEEADEYYSKRNPKDSDFR -KRKGRRYDPEVYFDVPAHFPKNSKKERSMISREMGKKIVEVQTEKDVEENGKIDPAGDAQKQSIQKSNDP -MISILAAMTKISDGQESMLALLKRALPSTSSGSKRGRQSRSPMSQNK - ->YP_009336867.1 hypothetical protein 1 [Sanxia sobemo-like virus 1] -MLLLSGNFTAEEWTVLAEMLYTQVPQERRLALLLDLLKDMDVTDNVIFLMKKVGVWTFLSAFVALSVLLA -STMFIILQFFLAKMRSTSCTRGRYIELDTITRPSPAHSMVSIPLPHSASPPYDNESVKAGSKLLSPKLAK -CQVRITFPDGSPCASGFRYRSDLLITAKHAVNEPGKYHIIGSSGYRHVLDSDDFTRVQSSDLVCALLPTN -VWSALGVANGLSVEKIDDGMSVTVSSSEASSTGVMSSTDYIFHYEYTGSTLPGFSGAPYMQANRVAGMHL -GAGTLNIGLSIKAIDMLLDHPDLDIDDMDNFQGESNKRGQKKANRKIADDSYLDYVRATSEKGNKRYEAM -YVNGQNDDHGVVIKGIRGRIVYAEEQEDWMDISPTPKKKVRSFTGESLSSKTTTLTPQFEDQPPFLEERQ -AQQKLSLETLTTATAELSQKDKQILLNALMMKTQSSGPRQNATVLDTAQEEPAPSQSGSTFQPPRTQDRV -TRKASTRYHAWTPAQKRRVSVRTSRSTPNRT - ->YP_009337734.1 hypothetical protein 1 [Wenling sobemo-like virus 2] -MFKVIAIGVVLATLVALARYRWDYLVTMGALAMVFVMLVWELLFRFWQGALVPLYESLLEPLLVGDDPTP -IFYTPTPTTMELTPMMIYFMIVAATVILVTLIVRCTPSSRIIYSPEAMVHGSAFEALPPPKCQVTLVAVT -GTEVSTGQGALIKYGEGVAVVTALHVVKGASVVLAENREGLSIRLGARKVIHQDGVAFPVEAAQMSRLGV -RVGQTTVVTSPVAASAHGVMGRSSGCLMQISDGLLSYQGSTQPGYSGAPVMVGGKVAGIHIGTVEGRNLA -FDISLAISRSNDYRYNPEAQTTSEMTPREKGYYGGMDARFKKGLAKLEEQDDQPGSWAHIPEEIDYSRKL -TFENQMLSLTKSVAALEETVAKMDFRRRRGSTRRHLSSSSESSCSSSESSRDEDRGSRPSQKSKNHRKRP -SKHLRRQASRISRKSVVVTVPHPSEPPPKPGPSGLTSSTSGKGPAHPKKSEGKQ - ->YP_009337272.1 hypothetical protein 1 [Shuangao insect virus 9] -MLWFSSQPDKMVTVSFEIPEKKVSKVKLVTRYGWGWYRFIGLFWPIIVASQLYMLAFYLSLDATTWQFAF -SQTVAEPVVRLVNAVFSTLVLCFVVYLMRGTMRLTGLCKDPTGSVTFEPERMRPGSLLMPHAPSKCQAEL -YGKTQGTWVRLGQAFRSSNYLVTASHVIDQVEIVKITTEHASIEVPTSLFRQVEGDLSIMPVTDQVLAPL -KLANGRLASHEATEGSGLFVKIGGFGSGSLGMLKPNPAFGFVEYTGSTVPGFSGAPYVVGDVIYGMHLGG -GAQNLGYSGAYISMLMRALQEDSTDFLMSQIERAAEYQVSQSPYDPDEFRVKVGGRYYLLDAEGVHKLNL -RVQRDVSSGYRDYEPEGALPQVEKVVKPVVIPATSPKPPMARVEELESLLQTGNGPAPAENPVPAGATGQ -DSVPARPARRPRRRSPAATTSSATLPSSATDGQDQTPAQLRGALQDIADSLKMLRKELGTLQMQTSRRRL -KESESASATGRLTSLRRELSRLRSSGGSQ - ->YP_009330127.1 hypothetical protein 1 [Hubei sobemo-like virus 14] -MSQTVMSIVDRLSEPQWFRNVESMSNIALPWVLTTLMAFYLFVPPILGGLRRVARNLIWLVVSVRMACKV -FWRNTRDSFLGQPRYNPESTMPGSPFVPVSKLPKFQCMVLGNSRAGADPVQVGVAFRFEDWIVTAWHVVE -CCSEMWLSVEGETVPISKSSFDHLEGDIAYAPFSKDLGRLGLSKPKIRTAGTGRFDEAYVQVAGGSPLSQ -TNGALKPSRSFGYVRYTGSTKPGFSGAPYYQHVFVYGMHLGGGTENLGLDATYLHSMLLATRESTPDILD -KVMFGRQPIAVRSFGNKYMAKTGSGYKNIMYEDYVELSNQGRSWADMVEDYEGEANLMYDDEEPTLQENF -NRAALRAARVAASETSSQLSVISGAVSSRCMRKDTEIQELRDQISQLRKLLINYHEQILVQGKIPTQTTE -TSTSELKKQQENLRQKSSGQLSKTQKKRLRQRLSKNITGEPSMRILKEHSGILTQDQPPAYVTYKGTVRP -LEKSLDGMGSGTQDLRSWLSSSVKYSAESGALKGEKLLSSTQSRSSLSRRRTSRQKLE - ->YP_009330087.1 hypothetical protein 1 [Hubei sobemo-like virus 8] -MSSTMSSLISLLKSFLSDTCRFVMTLVIMFLIWPHVSEWAVENWLRFVDLFTIPVARRSQAFWVHQKMRF -IGHTPSDHVSLLEIGFMAAVAYCMVSTAYAQISPLVKVIRRTFVVYTQQKTGIYSFEPERMMPGSSFEAS -SIPSFQAEVIIRRDGIYYQAGQGFLTSAGKYFVTAYHVVEGSEDVQLKTLKGEVYVSPDRFCAMDGDLVY -MEITPQERSALNLSSAKLMSTAVGKGSGLFVQAVAGGQKSMGLLDKHEAFGYVTYKGSTIKGFSGSPYYV -GKTVYGLHIGGSTENLGYDAAYISMQLSSFEEDSSKMFIEQALARGDEINFMRSPYDPEEYFVEHNGKYY -VMEEEDLDDLRKVKRGSVKQGILQPVYERESAAAGSPRVDEDLPAAPRTALVFQDQENLVRAPAVVAGAR -GEVLASQEIVRDPLLAGPRLAGLLPRESLESKATAGPSQIPAVPSAPLDSTVKSIPKSARARRLRNLRQK -IARLEQPSGLTRRGKSILDRRVASMTFSRASSPAQ - ->YP_009330009.1 hypothetical protein 1 [Hubei sobemo-like virus 10] -MIAKVLAFIAWCTKGTNLLTLIMALYIVNQWLQPVMASMADRIFEVYSGLRLRFNLFWDGYRPGNPGWTF -ATNTHRLAYQVHHAWANNMSPPEILGYLVCAYYAAHYVVILCMFVRKQLAFLYSRIQSDVLLDFAEKMRP -GSMLEPATNSPKFQVEVWVRTDKTGFYKSGQAFCTKFGFFTAYHVVEDAVEVKLVNRVDSQTYELIIPAS -RFQQVESDVALLTVTSNEIGVLQTPQCKLMDLGLMARSGLFVRVQAYGSQTMGLLEPSEAFGLCSYKGST -IKGFSGSPYYCGNNVFGMHIGGSSENLGFEAAYLYMLGKRNSEDTEDYLLKELERDEDFVWQRSPYDPDE -ARVKLGGKYYNVDMSTIKKMEKMKTGRRAPVYDPDYEEEGLSEASDAETEDSLPLAPRGGLQYDDSKNLI -APQQSSAPAGVSGTPTTPQDTVPQSALKALNGITLESRKRLAKSILDGRELTPALLRDLSISTSVSSKSK -RRSAYRQKKKN - ->YP_009329991.1 hypothetical protein 1 [Hubei sobemo-like virus 11] -MALRFVCEVLFWILFMVFKVLWRFELGRCILAFLSVFGLVLFWNRVIDLLWLVWVILSGLWRVKPVAVAP -EPVSPASMLLWWFIIVALLGILMLTYLLFLWVFVKIKSAIVGKQIEKFAFERYQEGSPYLSAKCPDVQCE -VWVSYDGDWLKSGQAFRVGDKLITAGHVVREARQAKFVSGAGEVFVDVDSFAEIEGDVVFVRLSNLDITT -LALKSAKFANVGCDEGKGTFCTVQAFGRMSMGMVESFPAFGFCKYTGSTDHGFSGAPYLVNRTVFGVHIG -TQGVNLGYEGAYVAACLRSRNEDSEEYLMKQVKKGRGVARQSPYDPDEVQIQVGGKYFTMSRDAYEQAQE -EMERDFERKFDDDSGRWGGGKNYHTGKNRGGRLVRESALERSEPLPTFDDVQSEPKNVGRIENAGVSISP -KKPASWYEDQEVFVRKPNIQIGPSTSTPSTTIPVTVRPDMVLPESIAVPRRNPSSPTSKNAKKQAKALQR -EKLRSELLTQLGKALGIGDREILKKNFSSSSNESLKELLGSVTSKPV - ->YP_009329982.1 hypothetical protein 1 [Hubei sobemo-like virus 9] -MVFSEILMWSISSFYAASFSSVMLVVIYWHLRYWRKRIMRNIMHMWNLAEYYVLMEEVEPVYQEPKGVMM -DFLETTYGYVVSKLPGNSNLELFAYVILSALGLIIAVFLLKAIVKSLMKLYVKMQVYDLDGFSEKVRVGS -VLENSMQEPAFQAEVWVRRKGPYVKSGQGFLTNFGFFTAYHVVEDVESVMLVKGSNKVEITPDLFVQLEG -DVALYKMTQQVACKLGLSQSKLYPIETPHKAGLVVRVHAFGQRTMGVLRPDEGFGLVVYEGSTIKGFSGA -PYHVGRMVYGMHMGGSTVNLGLAGAYLHMLAKYELEDTDDYLQQQIMNEGKEFVWQRSPYDPDEARVRIE -GKYYQTDMDFIRRMEQKVKGKQVSYKRPDYEEEALSEVSDESLPLAPRGAMSYPDSKNLLEAPAVNAGAS -GKLEGRVGVPKGILNHLREIDLESREALVSYLMDGQPVTRVQQNEVSGSTRKNSRNRKRKLKKQVQRQVK -QSSNNV - ->YP_009329978.1 hypothetical protein 1 [Hubei sobemo-like virus 12] -MGFRMDNNLITTLHVIDRLCEIQIKTDKGAVMVHKEEFQELDNEVVFAPYTQNMQVLGLAKYKIATVGMS -MFDMAVVRIVGKMPYVRTSGLLKKNRSMFYVSYHGSTAKGFSGAPYVQGRNIYGMHMGSTTGTHNMGLDA -TYIQAMVRGLDEAYPWEKYKKRAARMKGKVTPSGGYLETHDGYKRVDASKYNDLVEQGIVDWGELMDKME -YDQPEADLPSANREHLEHDDSDSDQDFRSRGLPTMDRPRAVASNCPIAGISTDPAEVVFYPGSQQKQGTP -ILTNQTFQHSREFTDHLESILAQRNDLSPTIRRSLRKATNFLKSQAMKNSTESSKQ - diff --git a/seq/clusters_seq/cluster_268 b/seq/clusters_seq/cluster_268 deleted file mode 100644 index 43273e1..0000000 --- a/seq/clusters_seq/cluster_268 +++ /dev/null @@ -1,846 +0,0 @@ ->YP_009345020.1 hypothetical protein [Zhejiang mosquito virus 1] -MKTILNKINSQVLAKYKLDNNLWPEEALRKAFSWSKQQNEGLKTLTNHTKVPLNKHYDVYFRATLIGTTT -KEFQNSIRRNIGKTKIHKKIHQTQPDWTVLDDLTFMRLPEHYSHTSKSCMMIDHPVPIWQPGGYALQDRE -LTRPQEYDRYVTSNMMANDVEPVEEEDPRPRYTPAIRSIYDIAPAINWATERPILHKEKTAIDKPLESAI -PTLVAEAIGNMDFFKTLKCDTTLLASAIASSIISIGTSVYALCSTEPTTTAKVLNSVAIVSSLTTFALAL -TKIFNQFSIKHHIDKVFKHLPELATNILSKQFFSNSWMWVYPAITTLISIILAGLTTFNICDIKTVIDKS -RLITATKTLTSTSNDIAKFIMEDLAGLDVTGDQKAFEELHIWAKRTSELAVKSVVQFIQDPELNFELHNA -VNCTLPIITKKYVSKDISHASRSAYQLILTNISKLQEKIEGVKIINDACKRIETVGILFAGKKGIGKSRL -CTYVAEFIASIMGLPKTIYNLNKSKDTGFYGPYGGAAFAEIQEWMALRENDPNLPHLNQIISGDHFNLES -AHLAGKVQPFQGRVVFLTANKKCPDLLRVLDIEAAKATWDRILRFECIDEMVEGRSGINAHRKPNFTHMS -FKFVTSSDETNEANLVTRDVTIHEVLGIILFQVASRELQFLQSGLATKVIKHEDVKERMSFLQGIVFRNG -QQTEEATANSGQDFNILRIDGPQYTGKTRLANQIANYVHGLLPDWPIIHTQGLEDAVMSPKDPSKKGIYV -IDDIIECSKSSYRMFLRWINQGHPDNLYIISSNHTYKTKTRYNLFHLSTTKYWDLNTFGVSSGIARRLGL -EGEVRCSDGSVLTMNPRATHVHVSKPGNIQHGEEQLTLTQLKELVISKFQAYLKSKEVISVRFETYTKDT -TDFDVIVKVPTYSAVKEVFKNFSSMTKARLGLTPGVTVFFKPHLIPEIARHFRSEKELLPGHVLDAQSLI -EQAEAFCVIMNRIVPGLSARFTVEDTRDNLILQDRVLYVNIPFGKEALEVKHCAMKEEINIRHGQDTYIV -TYEDYARFIKSGVTPASLDELPLEVISQVNLYVIDNIKDSIFKYQTLMASIEMAKEKYVADNLVNFVKNK -PVISIIIGLVSLTTAGSIAALIAKLFSKENVTSNSADDENDYDPKISIFARRYKSALLEDAQEAKNIREE -VAQAGLSRQFNQWEYEWRSGNEPSISNALPLAMERGDVAEVIRLSKKCPPVASDIFSGNVSNMLSRKDEY -HQPPSPLEQLAEKLRKNYVQVHSNMGNLYGLMVKGNLGITLAHCMTDDNKEILISSNGIQYIAHVERITR -ERDLCTFRVKDKTWPAASDITSLFPSAGNMLDLTSGWYVRPTERPLFVNAPIEYIDRHCGAIVDPNNPLF -RVEGKSWKYRLTGIATTAQTFKVGDCGFPLIGFANNNYYIIGIHNAFHTAGFGWFASITRQDISEITSNS -SPTRVAKSILHPKLNAPMIMDDKMHELATAPYQRSIYEGVSPLAMWGYNEQLRFASHPKHKKTFCDVAGK -FLQCETIGSALTDKNVTDFSKLYPDTRGSYYPLFSQAVKYALSQQREESYDHVIDEHVTAFLKSYILKEY -HSDKILKPHEIINGLKNLKPLDMSTSAGPKLKKFFNIHTKRPVGNEDVLFINTSKNAEKPWYVINKETAA -GGMLISDFSYYMSVIKQGQPICVVVKDNAKVELLPKEKVAQGKVRLFNEMDLSINMVLKAYFGAALDNVM -AKHATTFFCIGMNPYKDATAHMLYFNNMDGDFVNADFSALDKTITAKLIEDFVEAFLPHVEPESRDALYK -TLTYRLHSMGGNVYFSDSGNASGSFVTTLLNCYVVAKMSVYSFTRKYYEEFKTLPSYADITDNLILRILG -DDAIRKVNNTIFTSPLTQEDFIKDALKYRLQQTPPKTTGDISFCSREYVKYKDVYFPRLKQSSITSCLFW -FKNQDPEQIYQHCFTAIMEAGLWDKEFFDRVVSATIELAKVYKFKVDLASHNAVQECWYAYIRGWKDSPV -WGRSNPGIINVNTNSTGSNQQFLKMADIWLNEYVQKHKLDAPIYEYTPVVVDNVASWRCEASIKTRAENV -KGKGEATTKQAAKKLACAGIQNEVQAVSNMDAVDGNCVTTMSTARKWMNEYVQRLGLNPPVFTFTSYGAE -EMKTWSCRLELTVGPKVYCFTATSRTKAEAKEYACSKLKAELDPSEVEIEWLCYKHGNSVHHTQKCWEQQ -LLGVFSSVEKFKEYLKDAYPAMTYINDESVDKLQQTKCITAIKTAIEGYLAQYVLPTLRKKLTEFSDTVD -ARDAKDLDCMLEELKVTSNADIPIEPATMNQAMNAQMASDLPSQSNPQPTAIVPAVTGPGDSIMSAIQVA -QESTLNPTGAPNMLSVGAVGFDIKMLIYEQYMDCDTEFSTTESAPTGSIILQIPYAVMSQFIHYYIKAYA -QYHERYTGALKFRITGIGNQMLSGAVGACWQARRVKSSTILISEAQKFSYEMKGVNLPFNEIHTLHDARK -DGFYREVKDDAGSLDDRPHLVLFVGMNVYNAFRDSTLVRFRVASKLANAREDNPFYFSLPCRLDNSDNTS -RVHFTSGVAPASTFESTFPSTLNVPVFIYTDGSLRRGSDYAKGTRYEPYNPYCLTTAVMRTVAYRRSNQG -LVTPTLGDPVTADNLAARWPTMDAYLKDNGITFQKAMVCVLTQSTMDSGRYAEMLMACSLPGMNGMGGSL -TEAEWNKAKTVGSWVLDNNYIKDSNIKIYSILYNDQAVFLTTTNESFIMTSYRFGQIKVVTNHGTFFMYL -TLSLGRSQAQGDLDFYYRCGLTNQVNVNSSPQTVKNMAAYPLLNIDTSLGALPNFVSLPAGYKALRISDI -PASAVTIEDFPGPTATDDSVIERWFYERSEGLSNLQCLEFNLVDKTSQRLILTARYFREWRMFVVSADDA -YSTLPIGIDNIVIQSVVEIDRSNAFQPTNTASWFTRNSTTARALRLIDSTGSSTNNVTSNAALALGAGLL -SGLGQGMQQVSNNKFTEKMQKNKFDQEIKMQGNMFEQQTKMQQNQFDHNQNMAIMNNDFQTMLQRNHFVE -SRYSDKMNSLNRMTEKGLGTQTNFLNNTASSFS - ->YP_009337411.1 hypothetical protein [Hubei picorna-like virus 58] -MTTIRNILSGLPLFSSSNLEKTVSISLITANVISVANSLYIVIKCEKLPPSVKVVNYISLTTSIVSLASA -IFSIASKFLKPERLGILTDEIEKYIEHLLRTKKQSQPDIEMKELGKANSDDSSVWSITNALTTLGSLIMA -ALTGTGLISASKFITGSSVLRSAGTYKKEADTLIKNIATEIFGMDVIGDRVYIDKLKELCTLAVEITATP -VYKFATNPELVQQFRQLNADIIDIMDRKYDNVKNSNIAKSYLMHLNTSRVSLTEKLRLVNEMLREKQRQV -TVGIMLCGPPGIGKSTLIKYLTNHCAKTLGYKKGLYNLNTGDGFYEVYGGEAFGVYDEFASTVGNDPSIK -PLNSIISGDYFNFEGSDNAVKMQPCLLKCVFLSSNEDTPQLKQTLKDKSIEAFFTRVDRIICSDPVPISN -RDSAPHRKPDFSHLNFNFVTNTDTDGANLKSVPINIKELINYVISKMAFNEFKFLRQITQENVDADTQKR -MSFLQKLITHTDVANSKGGKYFIVRLEGAACTGKTTRAKAMMERIATAFKKNIHTITDFDTAPEEDAIYL -IDDMLDNPDSFSKYFRWTNRVDKQSMIVICTNIVYKQKTTVLNNCMSILNGTKSSKHYRITDPTFLTYPG -LTRRIGLTGNVTNTKQSVYNSPDEQITFSITSFDQVHLKRNYTQEEMSEFVYEKYRRFVSEGNGITVLME -QPPKETNFDISLTASSFEALKEAFKNGYNSAKTLTGFSKDVCIKADVVVGAKIHKAIYDIKTMFPTSVEN -VTESQLQEMAINYSSQLNRLCPGVSMFIHIRGSLTAVLLNKTLYLPETNHSTVQIYDLGKDGLRISSPRF -NTTYTWRQLATFFKEGVSNDMEGIPLQETQELMAYLELNRNILPYLKLETTNNWVEKNYARLRESQGVAD -LIKNPLVQTLAGIIGIVSVGAVITKIALMHRKEVKETKFKANGGTSWSDPDVMDPKLKKLTDRFVQDMFK -ADKVALVRAEAKAMGLEQAFNQWEADWRSNMHAGDETYEQERFDFALGELISLGKIQQIVALANKAPLLV -SDSMKRLMGTKSNMVLKKDAIPSPPRKSVDLIADKVRGSMVRVSTELGTNYGMAVAERLIITVSHGIKDF -GEHVMVQDNGKYYNACVILLDRNRDLAALHVTTKQWEMKPDIIKHFIKHSDLHDVNQAFFFKAIENETTV -LGAKVSYFPQSPGLHDDENPFYNPKTELFKYYLAQANTLTTVFLPGDCGMPLISIKNQEYDIIAIHNGYS -YSGVGCFSTTTRELLANAFRVSREYNNKNLIKVETPAVVYENEFVSNAYNPDPQLFEYITPNGFKRDFQC -YMPVMYLEALKERRMSRFQRSSPDLPVMGFFPNLNTPSNPTYKCEYTPILPEIDFPQTKVPSAITLKHVT -DTSELIKDAKGNPSPLFTQCALFGKDTVVGTLDEEAWKCTQRFMRMKVANMFKAKIRRLNLTEAINGFES -LQAMDMKTSTGLYIKKFHKIITKRPENNPDVLFRFDGNKYVINQQTQAGRTLLDMTISAKNFISEGIPLA -VFAKDNPKVELLPKEKVAKGKVRLFSEFDLYFNLVLRIFFGHQVSQLFENHLENDYAMGMNPYLDATYYY -RKLAAFDGVVVSTDYKSLDKCFPYILIEEFCECFLQHESPEVRKAMAVTLGNTYHVIEGSVVPTNKGNGS -GTFVTTPLNCFGIDTVNTYTFVKEFRIKFGCNPGYSAYIENCLIIALGDDKMSKLSPLMPISLDTMVKSA -REFGLLLTPAKAEYEGEISFCSRIFFWDKTQQVCFPALKQESIYTCLYYGDDTHKEDTIARINVAIFEAS -LWDKEFFTRIQTVCMEKARQRGVFNNCFWFTYEEYRTNFVNYVRSNSDSPTLQVETNLNYKSNNKIQPTE -LLLSEFHVKNENINMSNYVSALFEHCSKNNIPVKIRDTPLSRGGKQLWEVTFELIINNLKEPVCSTGIGN -NKKEAKQDAARVLLTKLGADKKFDPNEEIQAWVVKSRETFCSNTSTHVTTDEDDEIHELMKAFASLGKVQ -NVSYDYSTEDGISYTVQLTVTDQPADLVYDIICPLLTKNHPPSSSGKSNTGPSNIPVEPMTVNSAASYQG -IARLPDGNQTAQPVAMAPTMGPPGEAINATNELVMTKTLNPVGAPNMLPVGAIGFDIKDLVYTTFLDQDV -EVEITNEAPSGTILFQIPYGVDTGYTNKYIKSYAAQHERYAGALQYRLTTIGNQLYSGSIGVAWYPRRIT -TATTDISEMQKYSWYAKGVQMPWTEDITLHDARQELFWRKVSEDSGNLDDRPHLVVFLFVSVKNPYDAPS -TVRIRVASKLAGSTEPNPFQFSNPELPSIIGSQLGFSNDNSGVTALYDVYKHFRNYPVNIYTDGTLAATF -QSSSQLSPYFTTQASDLGLGGITGGIPSGQELYYGNPNALYFGSRSALVSNWPMLADKVDSSIDASMVSV -KFITTLNKRDFGRYIQKCPFMASRGANLDEDGFNEAKVLNNWGTVEGLTFYQLHWSDLVFQTGTQPVVGR -PAARTYVIGSNKLVTDKGIVLAIFYLATVESRDPCILNQGSFVGSPPDFTNYRVELDYDRIETSSSSPSG -LLTTLPLGWNKISLTTVLPSVVLTGDPTSFTTTDDVTVLHDFSQYDSLPDNMCSQFALIDTRSNQIVATV -RYLQEYRIFVINKKFTSDSNYKVLPVDGTYLAIARLTVINRGLEFPVTDTGLWVDRTSTTSMRNAGMVES -SKTPFDYEVVRVTRAPSETGKSNAWLAAASIGGGAMQGIGQGLNAMADRKFQMKMQGNQFQFLEGMQGRS -FDFRELMQEAQFGQERDMAAIHMENQMAIDTNRARQSQIARGMEGRVLSMPGQSYA - ->YP_009337405.1 hypothetical protein [Hubei tetragnatha maxillosa virus 5] -MKQKVQYSDEYGVHNVKPGFGYRRIQRPFKQATTTYRRLTKGTTTPKVTACDWSFLETDPLCIVAREEYG -KFISAISNGESKKGDFSKKNNSNKNTNTNNNNTAQASQSGQIKDDVPDLKINVGDIDLESMTSSSSVSRA -SSVCDYPITSTLCSEIMEALPSICDPKATSVNEVEMVINNIFDKDIFPEMNDKAHLISIIVSTLTGLGTS -VTTLFNTKMTKKMIALHVINITAQLTTFSITIATVFKKLKIKFLLSKVKDACKSLAETLLTKIRSNSENW -LYTGISTLITIIIGGLSTFNIFNIKSIIDGGRWLTSLKSYQTAGKEALNFLCEDLLDLDIKGDKKTFEII -EKLAKRTSELKSYNYYDFVYDKSKLLELKELTNKVIGATMQRFKGVEVSRAAQAGLRIIIENHNELISTL -KKVNSIIESSKRQECVGLLLAGPPNTGKSHMTTFIANKVAKILGYSPDIYNVDKSCKGTYFQPYGLQDIG -VQEEFMAMRSQDESLGYINKIVSSDPFSLEGADLDFKIQPCQLKLLMLTANAISPNLTGVLDESYARAVW -DRIMRVEVSDPLAEGRHTVSAHRKPDYSHVTLRLVTNTRELKSCNLKYETITINQLINILINRIINKEVN -YIDTLLNPDNLQQLPDENKNKLIQRKSHLQHINSLMVKSNAGEYKVIRIQGPPQTGKSMFAKQIANLFTS -TYPSYKIINIDKEALQAKIDPGHFIYIIDDILEHTVTSYNQYIEWINKIDSRSIVIIVSNYIFPKFEKNM -DYSTRLYGWWTGIPNQPISYYKFNLEGIHDGIVRRLGLPGMVELHGQFFTTSKTSQLLINVKNYGTTWDF -QGATMNQCDILNSVHRTMSHELQNIMDVKIHEGMCGNINAKLSADVQFKNVAIGAKTFSSIQNLLRALII -PEEGVKITIEPNFIQAIQATYDQATQLLGSTEISTKEQRRVAMYQFAILMNRVRRGVYLRLKLIDEDETY -VLHDGILYVPPLTNHNIQFQHNKEHISFFYNGENHNYSISLLKELNEGTQPIALTHLDPLVLAKLNQYYQ -THIKDHDTALASWYAFIEEEKVKLREGGILGFLKHHWVVSLITGIGLIWTFKKIFTTIFTPGAGNASTVL -DEDEDIQHLAARYRKAIFQPDSAREIVAIRDQARREGVYEKFNDFEYSIRSNAHPNLPIDKQYEEDLKAV -KLIIEQTIRNKDVDQLIEYMKQFPRICAAYLQERQGLGNMLTVKETSHQTPKPVQLLCSKLKSNYVRVDS -SRGIVYGLVYNNTVITVSHMVEEGDYVTIRSNGKAYKGQVFKVVRREDRAFIRILDKTFPPSASIKSLFT -DINSLQNLREAWFIKPTESPIFCRGDIKYINHTAIPIKDINNPLYDLNRKYIEFSLISMKGVMEVFALGD -CGLPLVAKINNEFKIIGIHNGLSASNVTWFTSITKEDLEINPYSNSAPVEYTSTLDIVLNPLTNKNNQLD -KETVKALLSPSTTSHFNVSNKIKFFGFYPQFKSPSNYKHKKVYNPLPEEFLECLTAPAPLYPSDVKDTSK -LTKDARGIYDPLFAQVLKYGERTANYRKWDTKIVDWTLHLIKLYYSRHYQDFKFRLLKPHEIINKWNNLK -AMDMSTSAGPRLKRMGILTKRPAHDPDILFVDANKHRLNEPPFYHFAQTKAATLLKNDYNYYLQSIQEGI -PILMMCQDCRKVEIIDKEKAKYKLRLFNAVDLSVNMVLKSYFGIHLNNVIEKKDECIYTIGMNPYTDAST -HMKYFNRFEGTYQNADFSALDKSIPPELIKWFVECVFQKRIPQKEQDALYETLTFCIHNMEGHLYGVHGG -NESGSYVTTLLNVFVVHFTTWYTTIKKFFSINKEYPSIEQIEEILTLRIMGDDCIRKYRKGFEVTFEELK -EDASLFNLNQTEAKGEGEISFCSRTYIKIKDDIYAPVLKKCSIISCLFWLANETPEQIKQNCQVALLEAA -LYTHMEKEEGMKEGFLFDKVQLTVEKYARKYSFDPDIFPYEMYIDGFVNYVRMYSQSPFFKDKGETQKSI -KITANSYELDIFQYILHNSAKSNMAAEYDVQFNEYLQLKDIRSHTITYTVTQYNERPGWSCHLSVTLDDT -VEGCGSGTTKKEAKKEACADFFTNLENLKPKLRKIFKTTNPFLNGQSNNSPHSIDSQDYAIFSDRNSKFS -PERRRDILEKMREEMKFACLEQFKDDDYTGDVRANFKIIKAGKKKVKYQFEFSKIGHANTGDQAIEPATM -MQAAQGQSTMNLPAGVNPQPTQVTPAMTQPGEAVMANIQLAQTDTLNPVGAPNMLAVGAITFDIKSLIYE -QYLDSDIEYEVTDDVESGSIIAQIPYAVNSSFVNRYILAYSRLHERYAGAIMFRITVIGNPTFSGAIGMA -WYPRAIQEPIARISELQKYAYSAEGVTLPFNKIHILHDGRQELFYRSTNETVDGNRPHLILFVMLSIQSA -LREGIRTRMRIASKLANGADPNPFHFANPELELPFPDSPTPPGTTTSSVSQFGTVFPQINNKRMFLYTDG -VYASPEHFDDEIPVFSTIVRNLNLHAGVGEQGAPMPSLGVAQEWDDLNFGGEWPGYSIPTSGASRTGASL -AFTSTLNSRNTALLLRALPSPVSDGTRQVTLANWRMFSFYTQYDTPFLATHGIQIHSVTGSDTTYALPSN -FSGRHHQASGWRKIITDKGVMIVHLILHAFTSGVQVGQNMIMEFEPSGVYPTPQDPNRTPNYPYLLIEEQ -FMFARPSAVALPPGYKRLVFSNMEPSAVVIPGFNYATASNEPVLKKWFQERAINLPSTQALQFRLIDRIS -TRAIATVRYLQELDTFVINSNDPISYRALRTNIENIDITTPVAINRTLDFAYSDTMDWESRTGQAFLATL -GYQSSAQEEAIGEVRGRHNVGVVFRPFIEEAQSNMLKHTEPKQLKVWEFSAHGRKQYVVLHPHLKPIVKS -TTIKMEESDKTFTEYCNPEEPFRPLFVQGRSNAMLAAMIGGGALSGIGGALQQNAQNKFHEKMQGNQFSH -EASLQGNMFAHQKTLQDSNFDFQKYMQQGNFGQEQLIQERGYQNDLGLLQSSHLEQRETNRQESQNRMTE -RGLSARVMNLPGLNGGSSRA - ->YP_009337395.1 hypothetical protein [Hubei picorna-like virus 60] -MQNNNNNKPCDALKCALREQADPVRHLVDAHKIKPFSYEEVSMVKANNITSPCFFELLATIRPLTFPSPQ -AAQQFVYNVVADSYTDKRSGGQPSARDNFTKRFFAKDGTGGMQFDDLDVVARALNCTFAVCATKIPKQNS -RGTNTKYFTIGHSPERVYIKWVYNHHYQLIKPIDDTTSSSTMSDDEEAPLIRYQTHPNNTLNGKPEQPQD -EFKKTVMNTPCAFTKKKTLQSLTPPSSTTPTISEDEEEIVSAVNLIREHLLKDFFPGLDTHVKVAVATSI -AASIVSVSANISALIGGKLGPINKAVQIASIVTSLTSFTSNVSLALRANEIKFNFDKVMNSIPGLASTLH -STFKANSAAWIYPTISALVSLIIGGLTIFNVSDIKEVIQAGSLLKTINAFGTEAKNITKYVLEDLLELDV -TGDHIQHKALIDMAKRSAELCVMPHYKFFGDPGLLQELKSISEKATDLTAKKFANPKTSQVARMASQTLM -HNVAQLQEKELAIRTVFDASARQETFGVLLAGAPSIGKSYLLTQIWAKLSPIFGYKPDLYNLTISRSDGF -FEPYGMQDCGFVNEFMGSREDAFLTHLNSTISADPKNLEGAFQKSQPAQFKLVFLTSNDIAPELTRHLTD -SAAKAVWNRILRIQVEDPKCQGRQGINTHRRADFSHLTIKKVMDTEALSKERTLNSLQTITLDSLIVEIA -HQVARREKRYLQSIVNNDIFNNEQEDIRKRIARLDEIMATTNWANAEGGNTYNIIRLQGPLETGKTELAK -EMAAAITSVYYKRELVIITQPSQFLEKPSKHPMVYLLDDVVDETTHNDYFKWINSCNSESLFIITSNKSY -TRTLTTQAWLKTKALNVFGFAGEEEHFYIDTQHTHSGIARRLGLYGRVDAITEKGIETVVNDPSMHMTID -ATPGFQYTINGTPIMPEDLKQHVFRTYTAFIAKSHKIQVLNSTYSNPTQEYDVKITADDIDSLYNAFSNI -TNITSLLFFGAKGASVTISKRVMVAIPRDMHDATALIPNKKLETREEVISLAKRLGAFMAKLIPDIKIYF -HLAGQPPIVLIDKQLFIGAHETLSIDIVRSSDAFHWVFQGRAHRLRDEDFALFTSTGVLPPAMQELPQFI -IAAIVAKYASMRKEETPLIRTWIEHYKIERMIRDYKERGLLSFLFEHKALTLCAGILGIATVTTLGVKLY -RFLNPENQANSAPDEDDPDSSYYKDISHLKSGYRSALVKGDRIAMQNIYHQARQEGYSEKLNQWEHAFRS -NSYLSRPKSEIELMIRESVNNQDLDSLAFFIKFDPDTVKSVLANKANMLSIKETQHQMPTLPQIWIEKLK -RNYVLVINPITQAKVYALGLRDKTAITVSHIFHKVGETCIIQSDGKQYQAVCSALHRQRDMAMIQVIDKS -FPSFRNMTSALVSHQDFGKFSTAWFVRPTDKPLIYSASTRFRGRSAFTMTDSNNPLYTLNHDFWEFHLIG -MKDLTSTFSSGDCGFPLMAMFENEWKIIGIHNSINGISSTGFFSSVSTEDLSNVSNSFSNKVPHMLDPET -GYVLDNSTYKALTEGKWEDSKYAGVSPLQIIGRNASLHTHSSPKHKKKYIPICEGILECETLPSALDMTH -VKDTSKLIADKQGNYYPLFAQSVKYTKKTPTYGQFDLELIEHVQESIKQYYDMHYGDAHQLRLREVINGY -ENVQPFDMTTSAGPKMKRDFGINVKMPKTGQSDILFTNCNADDPQKKPFYVINTKTNAGNALMQDFHHYM -SAIEAGDPILLVIKDNAKVELLPKDKVEEGKVRLFNEMDLSVNMVLKSYFGGFLNSIIEKHHECMYTIGF -NPYKEATLHMLDFNLIDGVVVSSDYSGLDKSFPKELITGFVEAVSRGKWSKEVETALSKTLTYTYHSING -HIYPVDCGNESGSYVTTMINCYAVHFVNWYTFARKWRETYNIMPSLKDFEDNFCQKILGDDCIRKISYRV -KIDFDDLAKDAALFNLTLTKPKIEGEISFCSRVYKMIRPNIYAPCLKTASITSCLFYLASETKEQISMNI -NIALFEASLHGKEFFDQVAKAALAIAKHYNITIDLYPHKCYIDYFIGYVLNESKHPTLQAAGSLSTQLIE -SNSQDYSPQNIIQKQKFNKMADMWLNEYVQAQRLETPVYTYSMDGKTEWDVEVLLKHHENQYRAKGHGRT -KQDAKRAACKQLKATLTNFTRNIGSLRIGKIQRELTESDMEAVIGMFMKHFNIKDDDFEITIGTTNQANA -DTPMEPATMNQASRFQGEGSLPGNANPQPTAVVPAMTSSGEDIQAAIMGQEQHTLNPIGAPDMSTVGAIQ -FDLKDLAYQQFLDADTEIEVSADMPAGTVVAQIPYGWNHPFLNRYAKRWVQQHERYTGSFKYRYTVIGNP -LFSGAIGIAWVKKRITTSIVPVSMMQKYSYSAKGVTMPWNVIHTLHDARKQYFYREVEDDLDDDNLADRP -HLVLFLLMSLQNPLQPGVITRVRIASKLATVGEGNPFRALDPADTDTAPETTTTPVPQNRFQSVFPQDLN -KRIWLYTDGQLSASTNPTMEAYYPDMIYEARKNGIIGGQVGGTDPNDLSTYYNVENWARTDTVTNLTADW -PWMSGKSVSPGDYVTVYITTVTNMDQKSFYAWINNNNWAGFQKQPGGNTMTWNKWNANKGYLPAPQLAGG -VLTHSSTYNQTELKFSTNASLGYGFSNTIKEWIKYVTDKGTIYFLLCYTEQMRGIAATPYPRQVASRFAG -HYQTQTVQNLPQYPIFNVDEQLGSIRGNINTLPSGNLLFRMTEMPPSSVAVGQAQMPTCSDNATIAKYFA -LLSQGLATLQCLQFRLIDAQSVRQIATVRYLQESQVFVINAPNSPTRYRVVPQNNENIIIGQITVVQRAT -DFQETVTFDWTDRVAPEFLRRGDVFKTAISPDLFSDVTPQKDGYTANATLLASMAGGALGGIGQAIGQHQ -NRKHEKEMQSNQFGHEETMQGNMFNFQNQFQQQGFDFQKMMQENQFGFQNKYQAQQHYQEQLLQERGYQN -DLGLLQSSHQEQRITNKEQSHNRMTERGLSSRVMGMPGAVTSASSA - ->YP_009337269.1 hypothetical protein 1 [Hubei picorna-like virus 55] -MSCSKALEQGRIMPQISWVNTYKFVKNTIETWLVGLVENFLSPSEVTKILMQLVFLITNIIVNVVTLFKS -STGVTMRLLSGANLLVTFQLVASAIANGDVGFDTNRASDYLECRITELEEEYADRVFPNSAHAEVEQGWV -QTTAVVFTAVFTAILAGYGISTKGIDGLTSVFRSFTATKNGVSSIKEIVQEAIKLIAEDKYDPVNIEKTK -IRELTEEAQELVRLNIGAIACDNAVKERFRTIIKDINQALLSFRHPDSANMRSLLGTLCTDLNKKLSEVD -SLIPTRQVTAGVFLLGDPGVGKSTLAAYISKVMAKEFGWRDSIYNVSPGVRFYEPYLNEDFGIVNEFAST -VTPDLFSTDANRICSSDPFNFESAGLSGKVSMCRLKMLFVTSNNWCPEIRELLPDSIPAFWDRFIIFEVK -DPKIENRRMRAYHRKEDFSHLTFNIVEQYSQRGEAFKFRYVFKDLTVFDVMEILRTKLALETIAFHKRVE -QPLPIDFKEDILKAANPPEGSYAYKYFGFDAVVQPNAVGRNFCILRLQGPEGTGKTTIAKKIAEKWQKLT -KSHDGPSRYKIQLSQSLKDFTPEKKPYIYILDDWVSSNMTVTESEEYVKKMNSTTTDSIFVITTNEVIKR -RMPWFDLGARLVAIMLNNEYEYPYLMDNFSKLYPGIRRRIGLDKLYLCEGKLHQLPSLEFCLTIDTTTNG -HIKHNYNNVGVSYILEHLKKKYMAMLEDRYNVVVLNHRPETEQTHVDFELIVDSTETLFSKLRTSLGASS -LFLNPTSKASLKVSDRIVEGVMNVNPEKSIRPSDFVCTEIFKEEVPLQDRYSAIVERITRVLLQYFSDPT -FRVTIKQTKECVYSEKGVVYYYKDDDTDIVTVKGEDILLQINTPKLINAVDFARSLSEDKLESKFQDSLK -QLTTTEYLVLEDYFKRSIRKQAFNPFITKVIKEEMKFKRLKDPSYINGLLTIKSNKVLVATVCVLVGATT -LGGIYALYKLVRPMFQEMAKKETVVDNAFANTGSTPPKRAMENQSLKDKRNLGATVTTAIKSNSIHSKHR -GKVIPNYKSNKSSSGSEEELIINSPHTKHRGKVIPNMETAEILETVQPTDKTLNEQHEHICKSCSCLYIH -SHKMNKNFIHPQHSKQCPNYLCKEYHNGFNKSNAVLIQLNFIETSLEPLTPNALAKIMGEEFSYVKTGLL -KFFSTLTEEQRKQVYDEIHQAKRGNDRTFKRLTRRYPQLEEYVQRVIKANMLCEADMIESPESELEALHR -KLTKCYVQVSYAGFKAYALHVGKGMFLCVSHCFDEVGRTVLLKSENVSYPSIVLSIVRERDLAVVYCKDL -NNLPSGKKYFTRDSNNLSLAGYFMRCGPQLAIVSGNLEYKEYQEFIDGSCSNEHYKPCMEVLKLRRVGLM -VEEIIKKGDCGFPFMCKVGGSIKLIGFHNAYKTHAIVYGSFISQPLIDELCEVITPNADIPSPITVVRVP -VKRENEETIHIEMSMPHEYAREFLNCIKPDPMMPLESTLTSIGYNPKFKNFNNMKEKHYTHEIELENPNM -QLPAAFTNRYVTDFSEITLNGKGIPDPLWTQAMKYGTRKKNYDEDIFRHAVEMVVDYNKLTYCNSKPFKM -LTEFEALNGRTEPFLSNVDIKTSAGPYAKYFYGIMTKQEFLEITYHDEKPIYSFAHNKIGQNIRNHLKTQ -RSLLENYGIPPCLISQDNAKVENIDKEKAEKGKVRLFNNVDPSINALLKIMFGDWFSRAMAKSSEGYYAI -GQNPYTTSTEIWHRFSTKQGKILNTDFKSFDKLLITELIEAFCYIAGRLTKNERLEELPMIYDALALTLT -HAIHLLNGSVYVVHNGNESGTFVTTLLNCVSVHIIFNYSFIKCWNKVPHYIGIKPMLNDIMSRSELAILG -DDKTQIVSKDIPMTEEELIEIAASLGMECTPAKGGEDSGNEINFCSRVLEWNEHDQVVYPKLKKSSIIGL -LYWFVSFEKNQVRDNLMICLFEASLHDEAFYDSVFRDAMVVATAMGVDIRTIPFTNYQQSRKRLRSMLMN -DFEYQQISELAVRKTKLFEDFCELSKFLKVSRTLENKVETASAAQIVEYKELQDCSRVLRKRKSSTAKRI -TREQVDEGEMASFSHTSNPISACNELISKLKLDKPIETYERTGSDHEPTYSCTLRYTGREFGGTGPSKTS -AKTQAFGALRVFLDDNIICNAACEEQVQSAHEKIKKTAITAFCFTMEAHLEAAKSLSDGKKYVIIVASRL -GIDNVEEIGGYIRFYRNGNCYVLSPIIERLGRKTAAACYARYPGVTYDTKTKEVTYDVHASTSQYVLRDI -DEKTYSDEDGELVANATRDIPIVGEEDSGTPGKMPVTSVMDSDKAMYQPIVMNRSAPNNSWLKAGGITFN -ISDLAYNQFVGCNKQVQISDGKAAGSILAQIPYDPVSNEFANAYIQNLVLLHGRMTGDWMVKITCPGNPG -MQCSIGVAWVPSKIAGETIQIDQATKYAFFTTGVSSEWSHTIVMTDARQSSFYRTIQRDSKKTTVTTDDM -PHVVVYCETPATSVFTEKKSTFLTFFSKLCDEKDLLFNPSIKPFVLADPQASVKFDATKGYTLNF - ->YP_009337100.1 hypothetical protein [Hubei picorna-like virus 59] -MAHRPAPRFYPEWYYKGQNRLVRDECPLDNSFSTPSTSYTSCLSQPNPSYADCLGQDATTTESALHVIHQ -INEEVEDRWINIDSVDRDEIADQITSVADQLNTALMDFLSSEGALNNIFGPVGSLVTTITSSLISITSAV -IGAIYIDNKAIRVLHIGTVGVNLLALVQTIVAYFKTHSSFKFCAKAATEAVAYAKEELKKLVMQKDASNP -QPNAGGWLKPAMTAIVTVLLAGLSGIKSIGTSGVLKFMSFIRSIGPTTDVTNRLAKWVAEKTCGIDLFGE -QSQSEDYKNFVQRCTELATMNTSEFVMDGKLLCELNTVIARAIQLSSVPKSREESESLRTTSQILSNALA -VLHEKKVVINSILENSDRQETICLLLEGPGGHGKSRGGKYLAAEVAKVMGYSEKLYNLEKDGDFYQPYGG -EDIAHIQEILNTRVMEDTFITMANKIISGDHVNLEGAALGAKVQPCRIKLVVATTNNDTPDLARRLHAKA -VEAFWSRVVRVKIFDPNYQGRKGQENAHRKPDYSHLQVKVCTTSAAQPAPEDWVDCTFNALKYTTLNMII -SKELQFMTSNKELLLTRYKITEDRYAQRLSQLRKLQSIYSPAPAKQSKGKAFFVVRIQGNAGTFKTSLMT -QMAETYANIFGYNIYALKGCAIGYPESNYDEVPKLGIHLIDDVLDSEEYEKYLTWINKGDARNIYIIATN -TIIQKESLWCFQRCGPFSVQPAYVLNSNCSSGICRRIGLDSNVKYNGELIINDLATQHTINALGSGSYKI -HNKIKPQMDTVNYIYDQYKLYIETITGFVKERQYYTGTAEFGLEFSAPSLEVLKKILTSRTAAFQAYTHS -YKGSTLRLSPEVTKKLSDVRIADSFLVKHDIENSSLMETLEAMAVQFTKVVPHENIRMTIGKNVFALVRN -TMYIGDELERNDEVELLPSGLIYTFQGIKYVVSWEDYVAWERNKEFRTTINRVPAHIFSSIKIALMTTAP -PPILEWHRQQFDNKKSIEGLLNKSKYKAILNHPLLKIVGGLVLVSGLAAVGVGIYKIVKTASVEAKKNSH -DEEEGIEHLTSKMRAAMYKDNTKAEIQAVRRQAEAEGLSEKLNDWEYKWRTNSANINQLYTIDQQAVKEN -IQLSIMQRDFELFKSLYKTDPIAVFNALIPGKQTLPSDLPHPKLSLLDVQTKKILRNYAYISNAEGSNLA -LAIMGRKFVTVAHTTRAVGDISTISFELNGNPISSLARCIFLNRPRDLACYEIMDKAIPEFPSLVSMFTT -QDQYAYIQCGTIIKRDGNYHTSTMNYYPRLAVKLIDHKDKEKRLWECDEKVITCSTMGLPTPSVLSSGDC -GTVIMGYVKGEYKILGLHNGVQIDLTYFSSIDLDDIRLLSKGPIAQPNSVREDNVFKHPKTGQQMILDPY -MIKKFTEPSNWGRSRYQKVGTPINIYGFNRGLKLYSKPESKMAYRPVPDTKLVCDYLPAATTLKFVTDYS -ELVKDDNGHPDPLWTQCMNFSMKHPNLNNYDSDTYIHAKQLMVQKMLEDFGEPTQFNLTQIVNGRGKAKG -LTWNSSAGLKMKIKYNIHTKRPDHDPNILFYESAPGHFKINTQSEPGKDLLQDYQMKNDAIKRGIPICIV -VKDNAKVELIEKEKAQKGKVRLFSELDLADNMVIKHWFGYLQDIGHQRHLKNDWMIGYNPYTDATVIMMR -MASKPGKVISSDCKRLDKTITGMLIEDFVYATQSTQKESIRKALADSLKNTIHIVDGSVFLLSGGNESGS -FITITLNCYVLDFIVCYAISARMKEMGLLKPSLSQIRAAHEKVILGDDMLLKCDDKLGITYDYLEKVAGS -FNIHLTPAKTETEYSFCSRDFVQDIMNPLICLPKLKKESIIASLFYFKRFDAETVMSNCISALGEAAFWD -SDFFREVEKVVQFRMKEIGKPRELDYYPQDIYVSFFRDFIMGDHVSPLLQALAEPSAHKILKNSKASEDF -LLKYPNFKMSKSWLNEYAQNNMLNVHTVYDSTGSPDRPEWTCTLSISNRLHERKHTSKGTATTKSQAKKI -ACDEMHAQINNETSERYQLVCVDWIKDSRIVLMDKTVQTLAHRIKSLGFDPPEVILNFEEMCPDELDEES -DSIISAAPTIEEVGTKNTGPGEQPVSPAMYNQAAQATMSAAAVTLPNAQPTQMAPAITTETNPVNASLEL -APRTTLNPMGAPNMAGCGGITMDMKQLIYSNFIDCDKEIIIPADAAAGTVAAIIDYGPESEYMNDYVKAY -ARNHTRYTGAVEYRISGMGNGIYSGAAMIAWLPKRPTTNIVSIADCMLYAYSSHGLNGSWNIIHALHDAR -KHGFYREIGEEGDKPCLVIVLQVSIQNPLKEDAQVRIRVASKLQGSDGANPFIFFAPTIGPQVPPQLRLM -SNVKLPVSEVALEALFPEFLNLDHTIYMDGNRYTTNVAREPGVFYPPFCVNRVGASGLGTNYPNQTEPLA -SFSRPCYGNAGWSGDRPRLVQSWPNLAVEWGSVKKACLFVEVITTVPYNDLARILANGPVRAYRGGERVY -AENSNDIDEQQWDRIGNLEYWGVKDEIVAITSSDLKRRLTDIDGMMFGTRAQYKIITNKGTIYLVLLYVR -SDERTFPDSYMRREQASANFALFKSIPIPTAIADNFALVSQTPILPTGYRALRITDIPASSITWADSSAP -TATDTPSVIRYFEGKCPPNQVLQFQLVHVVNSRPVATVRYLPLHRMFVINVPNSGILYKLMPWRPAELMI -SGVATVEPTNDFPSTDTTEWLSRQAPSFISDSASFNGKFVSMTLEEEATPQAAFLTAMGGGMLSGMGQAM -TDAANRKHQMAMKDMDYDFSREMFGKNSEQQLLLQANQFDFNSKMQESNFGFQKEMQGNKFIQEKYLQQS -DYENKLAMRGIIAPSNIGIGGRGNPVMGSGSSFV - ->YP_009337062.1 hypothetical protein [Hubei picorna-like virus 61] -MSLSKPQTDIKADPQIRLPDYPSPDASNDRPLVPAEDTMKFVQVLTELLESVLSKQVVDSLTYSVCSSLV -ASLLGLITSAAAMFNTTTIGGVLHGAVLLTQLNAFVANMYVLLKASNITFSKERAMEAVKHAIKTIVDKL -TPNSFEWLATLFQQAMLVIITGLTSFKVLDVEHILKTGSMIKANETITKSVTGFTKFVLEDLCHLDITGE -AAYFKEVTTYAERSIFLASTPYHEYITKPELYQELQDMLNNIIPVVSKRVTPKTSASLRSACTILVNHVS -VLNTKLASIREALTAQLRQETVGVYLCGKQAVGKSSLITYIWDKIASDLKYSKDQYNLSLNNDSAHFAPY -AMQHVGIYNEFGFYRDQDPILPKLTGIISGDPFNMPGAALEHKVQPANFKAVFLTSNVLSPNLTASCSQQ -GVEALWDRFLRFEIVDPNNPSRNQLCPWRKPDFSHLTVNLYRTNDSSLVLPESSKEVMTVDQMIKEIKSQ -LIARELNYLNTRACSLHPQDYIQTRTKELQLVPNAGRTFFVVRYQGPPSVGKTADATVLASLFNQGYKLP -IRYIYSFDEPSVKAPAIYIVDDTLPQQEHKSYLSWINTTHEKSVIFIVTNTVLKPTGMWNKSVHVISDAP -SGIVRRLGISHPTTYKGPDGTVKSLAMPFQYTIDKNSSGLATCGEFTNGDINEYLLKEYNNYLISLGGVI -KTQLPYTGPSDNWDFRGVFKTIEEMHTYLGSKAAATSAWAKGKIHISPRLANFARAMERPTDLLTAINVT -TANHMEHNATVLANFFERRIPGIRMHFTVGDKTFVVNGRTLHLPYNTDISVIATPEEFIITNITNTVAIP -RDVALKNYFSEQPMLIPALENDISSYIALNHYISHHENRQTWFTWFSLERLKDSVEEYKRKGILSFAMDN -KVITIIAAIGVAVTTCITAKKLWQWAFTKSLKQNTSGDEDDPSDPRYNPLQDLRDRYEREVFNKGNTKLI -KQEAAERGMGKLFNEWEQAYRTNARNTQDVVNLLQNTPIEDAIRHFQENPRSLRSLVEYSRSDYHVPNMV -SIDDIITPIPSLTKQMAAKLIKNYARIDAKGSCYALGLRDKLFATVSHLFGEVNEICQIRYNGEVYNAKC -ITLNRPRDLAIVKVMDKNFPTLTNIESMLPSISEHITTTSATFVRPLANELSYHTDTVRYIPKSIITRTS -SDRFSLNENFYIMDITTMCASQQVYQRGDCGFPIVVYRDNKWLIMGIHNSYHQADGTMYFSALHKEDLAY -IRTDVTPNSDMSIGHPLDPETAYTTTPFMRSKLDVSVKSSVFQNVSELPFFGFNPNFAPTSRPAHKKKYL -ALCEEITDCPVLPSALDLTHVEDTSALVKNIHGTPHPLLTQAVKYSKKTPEYGQGLDPQIAEMTLDHIST -YYRIYYDVEPVPLKHGAIINGFGSLQGFDMTTSVGMNIKMQHKIQIKRPEGNPDVLFINKNKDGEKPYYA -INIATPAGKQLNNDFDLYDRSIQSGIPICMIIKDNAKVELLPKEKVKKGKVRLFNEIDLAINMVLKKYFG -RFVEKVIANHSQTMYAIGYNPYLDANYYYKALAKQDSTFISTDYGSLDKTIPKELIKFFTYTVLHGYPDQ -VKEALYKTLANTYHFIEGSMYYVDCGNESGSYVTTLMNCFVVHFNTWYTVCRVYKEQHRVWPTYKELTNA -CNMRILGDDCIRAITGLPIDFNELKKDASLMNLDLTAPKQEGTLSFCSRVFSFEDGIIYPQLKEESVIGC -LFYFTELTTVKIEQNMAVALFEASLHPKPFFLKVAAMCDILARKFDITYNRLSYEVYRLTFREYVVGLTE -SPVYQGQATPNSRQFSGSHIAFSPEEEAAIMNHKIWLNEYAQRNALAVQSEDRAVDSEWMNTITIVYPGG -LSITANGKGPTKAEAKNKSATIMFNEVSEHAPIESQKAFDGTVTKPYPYSAVKPAKDGLNTAKDSNTRVQ -SILPAFAKVQQNADTPIEPATMNQAAKFQGVSSLPHSVNPQPTGQVPAMTSSGEDVVAAVSGAQVQVLNP -IGAPDTSTMGAIQFDLKDLVYQQFLDSDTEIEINADLPAGSIIAQIPYALANNIYTNPYIRAWGALHERY -TGSFQYRFTLIGNPLFSGAVGIAWYPKRITTSTAPVSELMKYAYSAKGVTMPWNVVHTLHDARKDNFYRE -VADDVNLDDRPHLVLYLHMSLQNPLQPGVITRVRIASKLSNAAEPNPFRAMLPMIPAEPTTTFQAATLSS -PTSLQELLPGMHNLPLWIYTDGNKAVGTVPGINRNEFQFYRADNQRGHGYAAGGRMTNGAARVQTVAEAN -GDGWAVTAIPNSHVVTTLLTLHNMSQSELSEVFTELAAQGMRYGGANGTGRLNAVQWANLAVMPIQPTSK -TIIHSRTVNASPVTMETIATGGVTESAMEAVIRVLGWHKYITSYGVLIFAVTQVTQTAGAVAEAKRMESM -SAMKMDEQLAILQIEAQVGATSPNVSELPAGYNLLQFTEIPPTAIAADGMRVPTASCNNDVAHIFARRAE -GLVDTQCLQFRLIDLRSSRVVATVRFLQEYGVFVINNPHLDAYRVIPQSTHDLAISLVSTVERTTDFQHT -DTSFFVSRQSPASILTAKQTVASYKTIFPREDDVVENAALLAGGLLSGIGGALGQHAQNKQDEKMQSNKF -AHDEAMQSNMFTFNREMTQSQQDFQNMMQQNAFGYNLDLMRETQKENRETGAINAANALTARGLGSRTFA -LTSPVPGSSIA - ->YP_009337038.1 hypothetical protein [Hubei picorna-like virus 63] -MGTMSAIRASMKVGFKESFLHYASILAQLNSFVASIALLFKEEGISFSKKRALEAVSELTAETLGSTKSN -SAMTWILPVIVNLVAIILAGLTLFKVVDIKHVINGGSALRAIKTIKDGVGDITNYILEDLFKLDVTGNVP -YYETTIKLAHRSVELASMPYHQFVSDPKLYQELLDFTPRVIDIVNKKTDNKTSASVKQAITVLNNNIHTI -REKISAVQEALSANKRQETLGVYLAGEPAVGKSSLANYIWSRVSDRLGYSSDIYNLAVNNENAHFQPYAL -QHCGVYDEFYYARTNDPMIKKVTSIVSGDHFNLAGAALEHKTQPANFKLVFFTSNVLTPELTRELSTEGA -KAFWDRFMRIEVLDPKPVGRLLDNEHRQPDFSHLTLRYWKTNSIAAQMPQDAPCEEMKVSQLIDLITKTL -ATREVRYLKGPTNTYPDEYVQERLRLLEPRANAGRNFFVVRYQGEPGTGKTRDVTNLAVKLSTIHNLPIV -YVEDFKTFTQAPSVCVVDDILHESEYSAYFEWVNKTHIKTIVIIATNHIIPVTPTRWGFGKKVRYVRAPP -GKSGITRRLGLEGEVRNLTEKQEDSVAQWQYTIDKKPGNFTQSSFTSSNIEECIIREYNKYLTGIDGYTV -LRVPPPDRSDYDLKITVSDIPELRQLFSSKQGVIKAFFTKQISVNPALVETFKRVPSPSHLLPAASVEDM -SHVEMNFEGMVVYLAKRLPNVTISLTIGNRVFAAVGNTLYFPPEVRDDIVITHDMNNFRIEQGTNFRIVP -LEPFIVEYYNGTNIVPTDFDLDTYAALVSYIKLHWESEQWKNMALLHAHKKEVEDAKSNFSWSPFIIKVL -AALLGLYALFKTVSAIKKMFNVVRPNSLEEENGVDSERFNRINHLKDKFWDAMRKGGRTTDAGLSALREI -REQADQESLRNVYEDWADTAMFARSNFLDNIRDEQKVEQFITEKPKLAAKIISLSSSAPNQLTLGNLVNP -SLRTTQIHQKLAKNYCRVTNSGKIGRCYALGYKANLLMTVSHMFDAEGETCSVDSADKTYCAKVVELMRD -RDLAIIQITDKTFPPFPDITSMFVKTVPPQVVEAMYIRPIPGEFSTTTSRAKFHEKVEDMTDPGNKRYCP -TKKILEYSIISVRNTLRDGDCGLPLVVEVGNEYKIIGLHNAYHAFLSRMFFSAVTSADFSYSSNSTESAV -MSADPSMSPEPNNSKIVENGAEETSDENIIEAEKEIVKEVEPFIIGNMDNYTFEKLNEPHEISKFEKVSD -LNVVGYSRALHMHSNPKHKKVFLPAAGAMEECPSLPSCTDMSRVLDSSNLVKDRFNVPSPLFTQAVKYSL -ATKSSGQYDKRLLDITCEYVKTYYEINYAEPRDISLAWIINGFGNLKGLDLTTSAGPKMKLKYRIHTKEP -LFVNITNETSRRPFYAVASTEAGRELRNDFYNYEAGIEKGKPVTIVCKDNAKVEFLPKEKVYKGKVRLFN -EIDLSINMLLKKYFGYILESMIKNHVDCIYAIGYNPYLDATHYNREMERGVGELISTDFESLDKTIPDYL -IQRFVRTTLYNKPPEVQEAIAQTLSFTIHNMDGHLYTVNGGNESGSYVTTMLNCFCVHVTSWYTFIRKFE -QVNLRVPTYDEIMTGVTMKILGDDCIRRMDLGITFEDLKHDAALFNLRLTPAKQDGALSFCSREYIVKDG -IVYPALKRSSIETSLFYVTEETPEKINQNISVALFEASLHPEPIFNEIRSRCLRLVRHYNLTPEMYTYTQ -YRQFFRMYVLGFENSPVFQETGNPINQNKINFVNSNTKQTNKNFTMATSWLNDYLNRHQLHGHYDESYTP -QDGEWICNLEVETPTAFLTSEGKATTKRAAKKLAAEELKETVSFERPVETITTCDGKIYTPGRDLKEEMF -ALLSKIRKQEQETLDEELDDSLKDLMVKSNAEDLPSQPNPQPDISTIGMIDFDKMCKPYTGSFDVTVDPS -LELELEMNDIVDDLMELATAKHQAVAEPTHQSNPQPNPTKELDIEEILKYSYLAKGVIPPLHPEVRELEA -KHKPYLDSLQSRFAVIGSLPFSGTWLRGAKTLKDLVVKSNADMPIEPATMNQAARAQGVAELPSQPNPQP -TAQAPAMTSSGEDILASVAGAQHHQLNPIGAPDMSTVGAIQFDIKSLVYEQFLDCDTEIEINADLPAGSI -IAQIPYAIRNNPYINKYILLYGSPHERYTGSLLFEFTVVGNPLFSGSVGVAWMPHRIKTATFPISELQKY -SYSAKGVTMPWNVIHQLHDARQDKFYRLLADDDNLDDRPHLVLFLHLSLQNPLQPGVITRVRVCSMLAGA -NYSNPFRFMNPVLSSPVKSHQLLSQDSFQTPFDTFLPEGLNRKIWFYLDGFKAPTNANTTERPPFSYDVT -MTTAAGYRVSAPGDLNVPQGPTTCLRYHGLKGSTLMDLWSDYTVPYTDETEIFMNIQFIHDLAPGRFAKW -MKESAKFGGFYANVSVFNPTVWNQMKTQIIPDGDILTHSLTYNDTQVVISSWKYTTSTTLQRNLMGWRKW -ITSEGTIICFGMTTGVVKGPNQNPLIVEAYSSFTTIDGLSQQYPILQIEAGGGYTSPSLPELPTNYRLLR -ITDMPPTSVAIEGFRNPTATDNATLLAIFAQYNHLPITLCPQFTIIDNRSVTGILRVRYLQEYRIFVVAV -VSPSAYLVIPQETRNMSISKISIVERTTDFQITNTSTFVNREGPSFTSVLTSPIRVTSNAWMALAGGMAS -GIGQGLSQIAQRDHDKLMQQNQFAHNKEMQQQGFGHEFAMQGNMFQFNREVLASQQDFAKMMQHSNFSYG -MQLQDREYQNIQHTNALQSQNRLMERGLSARSLTLTSTMPGASFA - ->YP_009336733.1 hypothetical protein [Wenzhou shrimp virus 8] -MTPQPTHLKPLPDLDALADANIQEATLEYFEEDFPCLQHIPSSSDLEFLADNESARALCESMDEMQEHLC -HPAVEHLDHVPCFFPEQNLRPATSAWQALLLDEHIARWALVPLRHRTLARWNSTFHETCAELNLPPYFTP -AVQRQSISDVILDVIKSELVDTVKESAKNFAKSVNWVEVTSKTIQFVAACDALANAVRTRGKAAVALHVA -SFVSHLLPFAVFVCDLAGILPEQGLFTFFKEKATEFFNPKNLSELSHSATVDTDYLFNELTSQPVQQKLD -WSKVLKPEYIFRAAVVLVLSIFAATGFAKSKNMNAGQLYTAINAGTTLASKATTAGSFLICSLFGIEMPH -ETLEKKAEELETKATKITHSDYATQAAYDDASLKEYITQCDLALKKCKTPETATLKSRFQTIKRDIEAVR -TNLSQISAPMYLQMVQWNEYTFPSEKDLNFASWTQDTLEKCIQFNTFMDSVTALIPERHPVSPLYRSLKA -KSEVVRRILESRQRWISEGGDLCTQPYDRILAKLDSWLQTTAAAFHTEPSRYHDFDADLNMAQALLKNPI -NDHTRHAHSMLTSSYVKAKQHLAELVKDAAQASRPPTVVIQLVSEFGHGKSRLTTDHLIPDVANLLGISP -STYFINISENGHWSRYLGQNFAICDEYLRCMSKDALFKHIAEIASNARFDVNSAEIAGKGVPFQSKVLFL -NSNQTRCTFPELSPDHVDAIHSRIMTIQVFNPEWAKYVADCKQRGTVPIRTHPVNRDPKRVKFALCSFGT -ANEIVSKKPLDYDNLVKFIARLIQDNDTQRSTALTTIDDLADQITQVTPAPVNYQFRPDMSRQGVAEFPD -LRPVTMIEAKNKTVKNGALSCIFCKGAHLSETCTQFVQGPEHAPRWQAGPIDSCVNHLVFHLYGKPGFGK -SHTTINHIVPALQTLTKLRSVKLDSFDEVFETPMIVFIDDMVLDDQAGYLRWWSAQPVSHIVVLCSNMKA -KSDKWKTRKDYFRRALSKSKEDSRFFKIKELKVPGVVRRLGINGYVKFDDNWESTQSGRCFHVKSGCITT -MDDQLIDLDKEIQEFAVLWHKFTNTVYTILPSTDIPNKADIMLMFDSYDEVTKAFASTMSVLKAASKGKI -VVRPGLSGCTANVDLSEMKLPEEYPISNLEWTRFASVVSRVYTSAKSVFVSIGDDIIFADLATRKILKNS -NLSPVQIFTSRDKKQVIVRDASGKRYSYDSEKFITRVTTTLQDVSYWQGLPLEAIIYLMKNHQVIIEEHF -PLTFLKLKKKARRDRNMQIVQQITNSKAFQVGFPIAVIGVAATAIFGIAKLSSKKEKSPKKPSRPDSDSS -SSESESDEEQSAPQKSEKSQTRVARKSKQSAPEKKERSNTKVLRKQKQSEPEHNLKSSTKVARKKPQSEP -QKSDKSSTKVARKLMQTILEEDEEQHQSLYDFEVTSRNPESVDTTIRLLAENYVKVKGFGSNFGIILGNG -FILTTLHTVRMNTIDSLIEIDSDTLFSAPATIHTTWEGSDLALLKCPKATGKNLLKHWVTNDDIHKLYEG -AMISPNSSRGVDTFNGRFILFPQKRRLGQYHDERTVSIDFVRSDIVTRAGDCGSAYISTQKDVRGHIFAL -HACLQNGRSHGAYIGREDLQDAMQSTPRRIPQSSSTPAEGVSHIEINGHEILGTTSQVDFLVQKFGSTDA -PRMPLPKDAIELGRLAKTPPETTKSRKIPITQPGMQTTCSKVPVSTDLYRVLEFDQMVKELNGKPSHLAT -QIAKHGMTPDLNIDEGCLRRATSLVAYRYVSAMAKNGRQLSTLSLQQTFGGIKAKGKVTLDKLTNDTSAG -FLSLYLNKAPLKDAYVKVHDDGRVEPTELGIKTFQDANNILARGKDYPNATLLSIGHCKLKSELLPAQKI -EQGQLRCFVAEGIESIIPCRSKLGSFVAMQNELRHDLFPCIGIDFETESSYLLHRLLSVNDTIQQGDFKR -FDKTMPIELKRAACEVVEKCYMRNPLNRYDAPARRVLYNTWCSPLYLARDLLMTTGNGQPSGNAMTATLN -SIVSEIAYTYCLFRHADEHNLRLNTGDFTMIIYGDDWVAATKTELMPSADKLISYMSEIGLTLTSPIKTE -PLKDFYPIEEMEFCSRTFHEHTSLVVLARLKKSSIEALLHYCYSLTTEAVQDNIRTALQFAVSYDEEYYN -LILHDAQILVAHYGGTLPQPYEMAFSALLGKIINKTNTKREFKRATEKQSLPRKDPRNDLSSSCPDLTKL -TLTISHSTIPCTQVIVDDPPQTSLPNEPTANNLRAEESERVHHPVQQVLLHPNQNCESVHQRVDPGKDNM -PGQAPHALPKGNPREFPIPGSRQVDLSSAYAALTPPEQETRITSRVSKGGNVPPEGSSTRRPDSARSNMP -ASSPRSAPLNSKQRRLKRRAEERAALAAERATKQSSAELPDARRFTPSPAEKQRLMKKATGALPTAWNKL -RVTPLPESPAEKQSLGAPSADAVPQMESGAPAGGNGSAPAIGPVHPPSLLQGMITLAGTAQNLLNSAMEF -VMGTPLQIDPSIAAWTVIKEISLHESKWQNPVARLFYKLHKNRSPAIDIKVEVTGAVFDVGKLATCIVYG -PPANGEKYSDLELQQHYLTLYATNENNTVVTTLTDRRTSHFARTDEYDREPESQYPKLLVFVAVPIYNTS -GADTPRIRIRVGDRLHPSSIFTMPNLPLVRSLTTSGTGTHQSIPQAYHDLKIVVDGAYYISADSRRHLGP -DINGYLVHGPANLVSVRPHVGAIRYSFVNAGTVTAFSVLYGAMTLDEASGSYKDEIDVIYDHTNGIMSFE -AVQGEILDIHQFNGAVKHGVGTQMRPFYWVRTTRATFLACQPKAGNQINTSIRWFTGMSGLLPIQMSAKD -PLTPTGESRCLLQQFSSSITSGNYAGENSLPFAGTLDHTFAQELGIEDDEAVVALTDRTTDSTVCYLRWS -GTFKSWSIRATPYLASNFTLSNCYISHITREFSGKLPDTDLSNFSSRVASQGTPQKIVSCRTDLAEPPAK -RQGNLISTSMQIAAQQDMLGQQLDHQKLQNELNREFNAWNAQQNRQHSEFLQTRDQHFKAQMRGLRIGNL -DGRDIPAGTQLSVLPPAYSKHDPFSSSAKPEEGNSKSASRTSEYPLIPFVKPGEVNSDASEPTPREEVQV -TSDPPIYSEQSQAIMKQMGHKEGEGLGKQSQGITSPIQPDYSDLAGGKRYPVLGADPTPANPSGTGLKST -KASIPPPASLTGGTAGRVAAKSASKTALKAASKVHPALIAADVAKEVISSNPTSLGQLAPVQPMQPTVSL -DGR - ->YP_009336689.1 hypothetical protein [Shahe isopoda virus 1] -MPISYKTSYFPRSQVAVKSQIDNQTPTRGNSSRYSSIGGRGDAQSSQTGTLSTIVFPTIQKPTFVGAQFN -RVGSDASRLLKTFRPMCPRKDTEEERIAKLVKRAKSSRDWIKPQFAKDFVIAPDFVKTKDYLKAEVFTDP -KTPIGVRYKPIMFQRHTRLPYHFVHPEEIKFDRVAALKRLRAFKPPNIKWTKKTSFPVHHRLPRNKAYCR -VPGGVLLKGHFIPDEPLIEHHNGPMAESVLQVIYDYVTKSFPLIPAAAAQSLVSSLLAMGQTIYLLSQAE -TVAKRILHVGCLLIHLTACGASVKVLTDFGSVKRRIDKALSAGHIGSIRTGDPDIDGITIAVQNWLNENF -ENETQTETLSDDSIEGNDYDDYTKKTFRPLQDMIDSDASSLLSGSTGTSSISSATSRHTGSTILLHEEVC -DSIDHHLTTLKWVQIGATLFYTALASIAAGAGKSVSSINAMFALTEHVKKASTNVKDLTETILKDVMGQD -LDGTQGLYTIYTDLLTRAQAFDLKTATDVCSEGTTFVLRDLVRDIGAALNRQSIRFDNLGPSRTILTSTH -TRLHTLLEAVWVRKRTSEHYVDPFVIQLVGEPGVGKTRFARYATDIINSEVYQKPDMGIYQVNVGKKEFF -WLPYAGQKVAFMDEFLAQGPDDPLIGVFNEVFSGSFYNLEGAAIDDKVQPREFELAILSSNQGRRVLRDQ -HHHFAADSEAALYGRIRTFEIVDPLVAGNRRLLDHRRPDFSHLTIYPVTYQLQGAGYLKRQDGPAISVRQ -LIDIMKAGIQAARNNKVVPGAAIPPPGEVPGVAPFAGNPGPPINLHPGLARFNPAQAPPMNPFFDLVDHH -AATPLIVHINGPPHTNKTLYASTILKTMAATYNLEYECIRSFNQNSSEAKMYVVDDMIRVGENGNSHEYM -HWLSNVPYNAIVVVCSNIDIRFERESLLARTGKYFASFVRKTYDHNHLVVPNLGDVTGIARRLALSGSIK -IGATSSRKSSSIVNPHCGVFVRSVNTGREFWTGLKFIPTNDSILTSTIEDAYITHLQSAGGRTHQHVMSI -PETNADIIVTCPDIASLRNMLNNPARLISAYASPQPPSNGVPGCGVWVSRRCEQANLGGLNYWSYGGPLN -DDNDLLSLTTDYCTRMSALGNNFTCIVHIGRFKAYVNGNMIKTTSNPLILRGVVDKGNEFDIILSKTGEP -DIAVTIKESEVEYIVNGHWHLTSLISLPLSIKTIVRDTLINDNRLGNLRTNGMKAHYTRARKLWLLATGK -KVKEFVCENKWALLIGGAAMLVLLIMWFKRNKAPLVKETNEFTVELNKKVFRPLSQEEFAYYQLNWMEDR -QNLLGWGPRELFHLVSDLPSGIQPYWRKEYPFLSAHLPLELDEHMKFESHVLYMDSGEYESWLATIKSDD -FALKRRGVVDKDLYDGIAEATRGRITRNMYLSAFLDPEEKKKWKSKTPARYSEDREERFKPKYNNRHAQD -RDAREYEERQNKGNFSDMGHATEWRQDSTPNIATPKPIDDLVTRLQAARVGVFTDLGKVYGVHISNGYIL -TVFHVVSNIINTPTRPFITSDQYKGPADIVYSDSKRDIAILKAPHAHWPETIKRFPHELPNECNGMIVLN -RSTAVAVYGPTFFFVECGLQYKDAMDVSPNRENLFKTVLTHSPIVTGPGDCGSPLVNADAGGTILGIYTG -LNVVSKTAMSSAITQAELENIIKSVTFFKEENAKTEHHSLIETDRVVDEWTAAQLSCAKSDFPTFDLDVV -ARWKNSFFVPTKPGNWVESPLAQYLPKHKKPIVIDPVVLEKNGVILPVNNRGQRSVKMELARKFVRQRRA -PKLDLLKNIAREAARHHFATSQVRKLTFDEAVYGLKPSDFFFDHYTPSPLDTSAGEYFKARWGVTTKSDM -FVKNKDKTIDYTTGKPVVKNVEAYQDLKDRVEYIDKLARRGETLLVIHKACVKDELLKIEKADKAFGRLF -YSCDWALNIWLNMTFGTVFASMRACHQDASWKIGINMETGFDEMIRGHLAKSSNVICADAANWDISMHPS -TIKAAQEFISEVCYRSKSYDTTNLKNITKVAARYRSESFILVEDSVIKVDGIMTSGITGTSEVNSIMHLI -MYLYAVNKHLNNVKLSVETFINHACFSSYGDDAKLSVAPFLEEILSDVNVKLMYDDFGVTATNDTKSGPP -ATTPIQNGSLCSRTPIWNDKHAVWLPGLKKVTIESMISWSRTGLPSDIAVRCRTASLYAAAWGADYYNFI -CDIIRKIPPEYKNSAAWRDAGPLEPHDVVISFLSQTMKGQTELTFKGFSEARRDFYQSLIADNSLVTNHT -LTNNMSSPIEIDVVDVAPSAPYLIVEPPSEKPSPISESLPSYEQAVHEQVMNDHCDECPRCLAGVYCVNR -AANPLRERVVLDSYKSYLLTLKDLRACQDRIYSNYVNQKRMRNQLPSFRIYELDRLIFSLDYHILDMQEI -IDSVQSDSDLTDNSIDHHMEAPPPVAPSAASSSISRTTAVSENAPLDQQLVAPLEMPSGGAIPFNDAGTV -MVLQNAQIPSMQAATGNAMDVKIACQEFYDFATEPYLITEDVPVGTVVACINYGIGACSPRAQAWINQHR -SWDGVILIKAMLVSNSLNQGQLMLGLVDTRDSHDLVNGVLIPKKTIKLDTLQIKEPVIVDMNKNYTQQFA -LMDMRRQYMWRDVEDNDADFYRSNQSLVAIVYTKVSANAKDSGLSIPLRFQSAWMGTAMNPKPLDSSSDA -TVAGLEQTRFADIVPSDEFTILVSDGVSPPVAGDSFSNNKVNTFPCNFPTNNENSANPIEMFSFRQCTDG -INEYMSGEGVRVGKSLYPTDENPANWKFFFLGTPTNMNVYKHIFTEQTKESVKIYDPATDTQTFPAAGDT -IRVIKCFSDKGIHRAVYLKIKSTAAVSGTRNITIDDSFLNTDLETVRNYANFVAGARPPTGFCALRFANS -PNFQITDINPNAYTIGLTSAEISLRKLFNRIGFNVSFKIMDPDGYEIQTVCFINGGFFTTSKIGRYHPVD -VRSCRISNVTRLGANVRPISDDLPENRVLGNFANAEINTMRKDMEKLQKMVEALTLQSSSTDVSSPIELV -SMDELRASLNKQAGAVEHHMLAVGAGLTAAGMQSERWGHRVFDRRTMQQMSAQNFDQMMKLQVSNQEFRT -NYSKMMTEIELEARKNFFDYRNAYDAASSAQSMSNAQNTNATTQTYGASQPTEMTPSKYYSYPTETQSTS -SGSQYNVNDTKTYSSSGQQTYGASAPVSMMPSKYARGSYNPPPKTKSIASGSTDTRGYSYSTAYPSQDRV -SYTQPTSATGPTKSYASVAASTTSGRPTKPAAH - ->YP_009336630.1 hypothetical protein 1 [Changjiang crawfish virus 6] -MSCSKQVDTESLNARSTWSSTYKMIRTTISSWLTTLIEGFLDPSEVQKILLQLVFMITNIIVNVVTLFKS -STGITMRLLAGTNLFITFQLVASNIAQRSGQFQTERASEFLEARIADVEEDFSSHVFPNSPGVLENAWVK -ATITVFTAVFSAILAGFGLSMKGIDGITSMFRAVTATKNGVSSIKELVGEAVRIIADEQYDPVHLERTRI -LDLTSEAQDLARLNIGAIACDNAVKERIRSIIKEINEALTLYRHPDSANLRSLLGTLCGELNKKLSEVDS -LIPTRQVTAGVFLLGEPGVGKSSLSAFLAKKLAKEFGWRDNIYNVSPGVKFYEPYLNEDFGIVNEFGSTT -VPDIFSTDANRICSSDPFNFESAGLSGKVSMCQLKMLFVTSNNWSPDVAELRPDSVPAFWDRFIIVEVVD -NLIRNRRERANHRKDDFSHLTFHIKEQVSNYDEPFKFKYIYKNLSVNDILDILRTKLALETIAFHKRLEQ -PLPEKYKESILAASNPEVGSYAYCYFGFGNVLETNASGRSFCILRIQGPEGTGKTTIAKDLADKWCKLTK -PFEGTSRYKIQFSNSIEQFKPVKDSCIYIFDDWVSKKITYEQSEEYVRKMNLTKEDSIFIITTNEILVRK -MPWFDVGARMIACLLNNEYEYPYYLEEYKELYAGLRRRIGVDSLYLCEGKLHQIPALEYCMTVQTTVNGC -LVYKNSNVGVMHLLENIKKKYLLMQENRYNIVVLRQRPEEEKQEVDFELSVDSSTTLFSKLQSSLGASSL -FLRPTPQASLKVSKRIIDGIMSINPDKSIRPSDFICTEIFNKEIPMQDRYTSVVERVTRVLLQYFSDPTF -RITIHETKDCVYSDKGVVYYYRDTDDEAIKPQGEQAIVDFGTRHVIEAVDFACAMLEDKVEEKFKNSLKA -LTVSEYTELEEYYKRSLRKGVSNDFLVAVAKHESTFKKLKDPTYINGLITINNNKVLITTICVLAGSTAI -GGIYALYKFMKPLFTQDNVVDNAFANTGSTPPKRSMKNQAEKDKKNLGTKVTSPVFSNSRHMKSRGKVYP -NYYTTVSDSSSDEISPNSPHTKHRGKVYPNVMENILPSEHPEEKHNYEPHEHICKSCSCLYTHVHKFNKN -FIHPQYSKQCPNYKCAEYHNGQNKTKAVLVQLEFLNTSVEPLTPNALAKVMGEEFSSVKTGLLNFFAALS -EEQRKQVYDEIHQAKRGNDKTFKRLCRKYPNLEEYIQRVIKANMLCEADMIETAETELEVLHRKLNKSYV -QVSYAGFKAYALHVGSGMFLCVSHCFDEVGRTVLLSSAGKVYPSIVLTIVRERDLAVIYCKDLSCLASAR -KYFTKDSTNLAMAGYFMRCGPQFTVVSGSLEYKEYQEFIDGNCSNEYYKPCMEVLKLRRVGLMVEEVIKK -GDCGFPFICKVAGSVKIIGFHNAYKTHAIVYGSFISQPLIEELCEVITPNAEVPSPISVVKVPVKREYDD -IKHVEMSMPYEYAQAFLNCQKPDPYIPLDSTLTSIGYNPIFKNFNHLKEKHFTHEIELENENLQLPAAFT -TRYVKDFSELCLNGIGKPDPLWTQAMKYGTREKNYDPEILKHAIEMVLDYNKTTYCANKSLRLLTEFEAL -NGRTQPFLSNVDVKTSAGPYAKYFHGVTTKQQFLDTSYHDSKPIYSFSKNKIGQDIRNHLKTQKALLENF -GIPPCLISQDNAKVENIDKEKAEKGKVRLFNNVDPAVNALLKIMFGDWFSRAMEKSSEGYYAIGQNPYTT -STEIWHRFSTKQGKILNTDFSAFDKLLITELIEAFCYIAGNLTTNERIPELNMIYQALALTLTHAVHLLN -GSIYVVNNGNESGTFVTTLLNCVSVHIIFNYSFIVCWNKVPAYIGIKPMLNDIMSRSELAILGDDKTQIV -SKDIPMTEEDLIEIASTLGMKCTPAKGGEDNGQQINFCSRVLEWNEHDQVVYPKLKKSSIVGLLYWFVSF -EKNQVRDNLMVALFEAALHDRCFYDSVLRDAMVVASAMNVDVRTIPFTNYEQARKRLRSMLMNDFEYQQI -SELAVRKTKLYDDFCELTKYLKATKLIDTKIEKANIVQIKEFENIRDSQITAQRSEQRLARRAARHAVNE -DSMASYSNNSNPISACNELIAKLKIERPTERYERFGQDHEPTYSCTLNYAGREFGGEGPSKASAKTQAYG -ALRVYLDDNIVCNAACEDEVKKANEKIQKTAAVAFCFYMEAHLEAAKRHADGKKYIIIIGERYSDDVVEE -IDGFHRFYRNGNCYFLSPIIAKVGRKNAAACYARYPGIKYDQTTKEVTFDVHASTSQYNLRSHDEKDYED -GEGALVANSGKETPLIGEEDSGSPGKIPITSVMDSDKAMYQPIVMNRSAPNNSWMRAGGITFNINDLVYN -QFVGCNKQVTISDGKAAGAILAQIPYDPVSNEFANAYIQNLVLLHGRMTGDWMIKITCPGNPGMQCSIGV -AWTPHKIKEESIQMDVATKYAFFTTGVSSEWSHTIVMTDARQSSFYRTIQRDSTKTTTNTDDMPHVVVYC -ETPATSVYTEKKSTYLTFFSKLCDEKDLMFNPAIKPFILADPQSSVKYDSKKGYSLNF - ->YP_009336543.1 hypothetical protein 1 [Hubei picorna-like virus 56] -MSDSGENFSKEFLTTCEGLYDITRESLNLWFNQLFTNALDPHSTMSWISNIVAGCSSLILSIVTLFSSSS -GVIMKILGGANLLANFHSLLITIKQVNDSEFDLDKALFKAKHDLTEHFPTEEEKIYANAGFDIGGDWLKA -ISFGLTTLFTAIVAGFGYSTKGLEGITSLFRTLNFTNAGFSTLKTGIDKMLSTLICEKYDPLYEERKVLT -ELVERSQEMVRTPIANISVCNKKRLALKDNIHEINVALVQYKHQDTGPLRTVLIGLVKELNKKLEEIEHS -PDIRQVTTGIFLQGKRGIGKSTFVNYLAKQLAKEFDWNDRIYNVSFGVRFHEPYMNEDILIYNEFCSTID -PDPFLAEANKICSSDPHNFESASLSGKVSNCQGKVLVVTTNSMEADIPEFRPHAVGAFWDRFIILEVLDP -LISGRRKIVDHRKPDFSHLRFNIKEVQDLENQSPSFTTLFKDVSVFDVMTLIKTKISYEIIKFHEAKQIE -LSEHNKNIINQYVPPTEGTIPFKYFGMEAIIQPNAHSRDFLILRLQGKEGTGKTTLANSIAERWIKLTTD -AEGQSTFKTVLVTNLRDFRAVSEPAVYILDDCISSKMNYIASHKFVETMNSTHKSSLFIITSNESLKELE -FSKNKWNRLLSLIKWTDCSLAYNLSEYDHLYSGIARRIGCDSVYYSKGQINNTLAVKHCILIDFPGEYPT -FKKNIITENQIFQKLVDTYVGYKKEIYNIVILNKRPDSELESVDFELHCEDRETLLSKLRKRTEVINMYL -RPNEEIGLQVSDRVLDQLQQNHGGIINPSDFICKEVFQENIPPDEKYIAIATRMSKVLLKYFPDPTFRIY -IKKTRQTAYFHNGFLYYYYDHPLEVLRVEDNLLRINLSKVKYVEAIDIARSLVLTSPDEKFKGTASSLSI -MEYETIKQYYYSSLKKKEVNHFIIDVTKHIEGFKKETNPKLLKNLLRARSNKVLIFAVTGLVGISSAGIL -YTLYKIFKSKYDKVNSNAFANIGSTPPTRTSASQNTHDLLDTKILREKDLLASRQFPPREVNINALVPMS -DYSWVRKGKGYSNIYPNNLGLELEHDGINTLIKKDLAKKPHEHVCIKCGCLYSHIHKFNPSYTHTQYPGQ -CPNSSCEYYHNGDNPKNAILIQKDYHMTEYHLFTPNALSKCMGPEFEIVTESLKRFFSALSQEQRREVYD -QIRQCKFEGDVAFKKLIKRYPEIKECVDIAMHANMLTDSDMIECDLSEVEILHKKLNRCYVRLTKDLYKS -YALHIKDGYFISVAHCFDKVEDQLILSDNGNRFAAVVVKILRERDLAVIRCDLLKALPKSLQYFASSHEE -MPLYAHFMRCGPTMSLLTGGLEYKEYQEFIGKVCDNEYYKPSTEILKLRRAGLRVLDVVSKGDCGFPFIG -KIRGSLRILGIHNAYINEGVIFGSFISSQLLKELLSSPFPNALALSTPVVLKIPIVKEDMSPSTLEMSMP -IEYADVFDRSIKPDPRMPVDSPLKSIGYHTIFANPSKLKEKHKTHDLELITPNLQLPAAFNLNYVTDFSD -IVKNGFGRYDPLLTQAFKYKFTNQPIDFDAYKLAEQIVQDYNLLTYCSEGPLRIITEYEAINGMRRPFLA -NFDITTSAGPYAKYFGNAYFKKDIFNVTMHNGVPEYTFNSSPIAQSIRNNMKQQKKLLFNKHIPPCLISQ -DNAKVEMIEKEKALKGKVRLFNNIDPSINAILKMLFGHWFAQTMTKSADGYYAIGQNPYTTSTEIWHRFS -TKDGKILNTDFRAFDKTLHPRLIKSFCKIACSLMKVKPKYNKEAIYEAISKTLIHAVHLLDGSIYVVNNG -NESGTFVTTLLNCVCAHIIFTYTFIKCWQRIPKYINIKVTLKSIMQYSELAILGDDTTRKVSSEIPITEQ -DLFHYAKELGTECTKAKSGEDTDNIDFCSRTFYWCDKDQIVYPRLKQCSIFGLLRWFAEFSKNQIRNNLM -VALFEASMYDIKFYQDVLQDSMTVCNHYDVDIRTVPFTNYEMARARLKSMLLNDCEYQDLTALANRNQIF -ESDIHNITVILKQNLLNTASAISANPERIESIVNQHKADNKTSKALQKWALALNEDKMVLGNPTNNPVSD -CLELISKLKTEKPTERYTSEGPSNSPTHSCTITYNRKQFGGEGPSKASAKNQAYGALREYLRDNIVVNAI -CEDQASKSYEAIKKVAIRATALLIEHHISQAKANSMGRPSVILVSKRSYNGDISKIDGFDRFLLDGHCYF -LSPIIQELGRTQAASCYLRYPGITMNSDKEIIMDLRNEHRSYSLRNVEEEKDYDDETELIYANATKEEGE -SLGGVLNDIPPAASTNILLDSENSLHMPIVMNYSGPSNALMNAGGINFNIMDLVYGQFVASEYKLDVDGT -KDRGQVLAVVPYDPINNSFINDYIKNYCYLHDRCAGSWLLCVSSAGNKSMIGSLGFSWQPTIWKGTTIAM -AEATKYSFFTTSVSESFSYTIAMTDARQSEFYRTLVRDSKGKSSMTETPSIVIFVHTKSQPVFTEKRATT -VNFYSKLASNVDAAKNPAVKPFIASNPMLAIKQTSETDKTSILNF - ->YP_009336535.1 hypothetical protein [Hubei tetragnatha maxillosa virus 4] -MFDQIQKDFASDPTIKWRIINEIRKTRVINITDLSMKDGQLYIKDHKVSAPWDILAHMMPSIHVAQTKVK -TLSGPISELLSSMDIFDTLKKQAKLQLAQSFTSILTSIYSIIRNPSDLITWTFALANLTTTIMMVVSLCK -VTSKFRKFAKAVVEEDLHPDVLQAILSSILENLDPSKHEEADSAAHSSPKEDCAFHNVQTEITAEEYETL -LKANTYKVHTETGVSHKGSGPFNGCLQIITTENETHYFSCAPHSNQSSDHGLFLAPGFTAVLSIIYVLAV -SGMTYAGFTNVKALLDLSRLKNEMKTSVKDVKDFLKFVAQDMCGFDIAGDVSLMKGLDQQVIVNQNLLEK -KAVEFVINNALYFELVDAMKDTRTKLSTLSASPELRSSQQAFTMLLTSTLAQLGDKKAAVIRLKTTLSFR -PDVVVIFLHGQAGVGKTTLARHIVDKMATGLGIKKDIYNINFPENGHWPAYAGQQFAIYDEFGAQREKDP -IIKHFNGMVSTGYFSMDGADLELKPQPCGIRVLIVIGNTPRWPMLNSLSKEAIAAFWSRIPTYHVEFPNL -KNLKNRNDTTGRRPDFSHLTITSEVYPNSDHEHKPASTAPIHLDELINNACQEYIAHGTAFTSQLNAKLE -TDDKPQHKETNHLVFNVTGPSGRGKTTTFLNEIIPRFSEYCRNPFVHITTIAQLQEPRAEPAIYLIDDIL -TTSAAPSTVASYVEFYNSVAYGSVIILVSNMVDKKCLGVRAHPYFKIPIPFTLWSLPKAYADNDACLRRM -GLEGWVRGSTSDVFVDGVGTRMYFDGYFHIGGQQMGLNDVTTHMNEMYYRHLEGGSEYTVLETPEITEPS -DDDYVKISNMTREELANIISNGGLAIMQVLGRKIKIPKSVLDNFSLHYPIENFKIDGLEKEDILKIGPRL -LKLFRRNCPTTNVYIDLGDVKIMSRGRLLFVSKLEAHHTKTQVIQDHQAGQDVLRVVTAGGEITREIVLP -LHSVFSWFEGDTIALDPRTIWAQEFAALNRWCKNNPCSLRKFYRARAWTQCEAQLAVMQSRFLPQAVKFL -QKIPAIEIILALAGIVCTAWVVTRIVRSFQSAVDVNQGQNMYGAQVSSPGTAKQPEPDIDDYRTWRAGSG -DIRLSKETAHFFNLDAYDRDLARWKRDNNAQMLSRPIVTGPPSVDVVVQAAVNSVVRCESGSIALHGHMI -YGRVGLTNAHLFGAARFKDQSITPENFRFSVEHKGRAYQAMILDLHPAHDIMIFFVDDTKMPLFPDIRGY -FYSITDYRNGETVDGALVKYRETTILEKCTLTYDQRSANYWHQQTQTGVVSRGISASFITSMNGMATKEG -DCGSFYISVGNVHGTKQHARIMGIHVGMRSGVFPHATATPRELLMGETVITQASTTEYQLRPMGPNKYGI -TGDELLDDFTAGVIDGVAKIESPFPSDNISVLGVDRKQYVEPLKPARVQTGYVGIVEKFVKETSGTRPTT -TLGIRLPEDAIKNSTGQKDHLLNQFKSYGDVLPKMDEKHLKDVTEWVKTDLASRYSPNKSIPRMDEIING -FQEGHPLHGTVNRINMDASAGVYFQRKYSVNTIRELFTLGENNRYYLAETPAAEDYFSRANLFVRQLHQG -KVPQTITKTCLKSELRPVEKVEKGVIRTFDVSDASVRLAHRMILMPTMCSIQIRKDRLAGPIQIGINALT -DFPVLFDRLSKDGGKLLQLDFSEFDRHLSYDLIRASYEVALHIKDPEKDHSVLAEALAKQTCCTYRLVGN -TLVQTFQGMSSGFYFTSLGDSIANYIMLVYVLTKVKNKGMQWFKQNVDCIILGDDISVRVSEELSNELDL -NAVLEEYRRIGAKPTAADKKSEVALQPFEELSFCSRTVRRSANGVILCPLKKRSILALLEWVTESKRQQY -IEDGQVRTHSTLAQIADNTALALSEASLHKKTFYDKILSVAKLIVKDLRMKNAPEYVINKIDLFLYHRNR -EALAEDIIYGRESLKIIYDEPSNHQNQSSDTMTSPVSLVYEWAAKRSFSVVVKQHTIPIGSEPSKCIIQI -TCDRGLFCFEAHGSSRNESKKLAFAKAVIDLNIDKEASTSLEAFCNLMMVKHQIIKTTYFDGTEWVVYYQ -PNKQQSSIDWIDMGISAAKSDATLEAMRRLSKRYPEKHVQFVETGEVQVSGLNESHSIVPTNTPSNAGLS -ATAHAPDPVVSRDITPNTSAPVADQTPLLTMVGGPNVTTEHPNMLTAPDMLDFGGVDFTVRDAAHNQIID -HNPSIAISQAAVRGTIIAIEDYNPYNKNVKIQEWVKLHSRYVGSITIRLHLIAQPIFMGEIVVSWQPDVR -GMKVGDILPDDVLQLYSWKTMSLQQGATEFMTLQDARSDKFFRTDDIAGEDARMARPGLVVAIYQAMVNP -FDNLQAACYLNIGSCLGPDFRPSDPRRPAPGGSPTDPQSNNSNSLVGKTFGTVFQEAGIEGAPEIFMSTD -GTFFPSQNALVTTPSSQGEKFPPINFPAITATWGAQSPDVNVPAAEVSYGSFSTEEAMSNANIISMVSAK -SFGSAGASFIILQGSYPTSPQPTSWLNRSLSKPTWEGMRTAFANAIAGPDSITNYATVSGTVNFSLNGST -WSQINVVNMMELAWGNDKLQVWGATFGAGNSDGARISLRQPLLANTVSSVVYRYPILSLQSNQELLRFGA -ASFPALTYTNSNLPSSTFNEFEQAMLRYFKTITSTTGGFVQFALLNPLNSQPAVNVRYDSAVGFTITSVV -QTPFAQYNGPPVNNLTFANVIFGNRTSVFPATDTTTWINRRGALPTFASPRRVKSAVLADYLKTKTVPEE -PTEQMIMSSLVQELQIERKIQKYVPFVEEGQIQAAAAGMAAAGALSGMGTALGGWLTSSKQNDLERELQR -NQQSFEKLMQSRRYKKEAELFDQNMRSRVQASGAMAPINQLQYTANQNQGNTVYDSANRTSRSSRAGNRS -FNTITRTS - ->YP_009337160.1 hypothetical protein [Hubei picorna-like virus 62] -MSCSKPIAVVRPFSPNQEEAKEAVNLIKIASTEMTEYITTKLGRGTRYVTISSIVAAIMGTMSAIRASMK -VGFKESFLHYASILTQLNSFVASMALLFKEEGISFSKKRALEAVSELTAETLGSTKSNSAMTWILPVIVN -LVAIILAGLTLFKVVDIKHVINGGSALRAIKTIKDSVGDVTNYILEDLFKLDVTGNVPYYETTIKLAHRS -VELASIPYHQFVSDPKLYQELLDFTPRVIDIVNKKTDNKTSASVKQAITVLNNNIHTIREKISAVQEALS -ANKRQETLGVYLAGEPAVGKSSLANYIWSRVSDRLGYSPDIYNLAVNNENAHFQPYALQHCGVYDEFYYA -RTNDPMIKKVTSIVSGDHFNLAGAALEHKTQPANFKLVFFTSNVLTPELTRELSAEGAKAFWDRFMRIEV -LDPKPVGRLLDNEHRQPDFSHLTLRYWKTNSIAAQMPTDAPCEEMKVSQLIDLITKTLATREVRFLKGPT -NNYPEEYVQERLRLLEPRANAGRNFFVVRYQGEPGTGKTRDVTNLAVKLSTIHNLPIVYVEDFKTFTQTP -SVCVVDDILHESEYSAYFEWVNKTHIKTIVIIATNHIIPVTPTRWGFGKKVRYVRAPPGKSGITRRLGLE -GEIRNLTEKQEDSVSQWQYTIDKKPGNFTQTSFTSSNVEEYIIREYNKYLTGIDGYTVLRVPPPDRSDYD -LKITVSDISELRQLFSSKQGVIKAFFTKQISVNPALVETFKRVPSPSHLLPAASVEDMTHVEMNFEGMVV -YLSKRLPNVTISLTIGNRVFAAVGNTLYFPPEVRDDIVITHDMNNFRIEQGTNFRVVPLEPFIVEYYNGT -NIVPTDFDLDTYAALVSYIKLHWESEQWKNMALLHAHKKEVEDAKSNFSWSPFIIKVLAALLGLYALFKT -VSAIKKMFNVVRPNSFEDENGVDAERFNRINHLKDKFWDAMRKGGRTTDVGLSALRDIREQADRESLRNV -YEDWADTAMFARSNFLDNVRDEQKVKRFITEKPELAAKIISLSSSAPNQLTLGNLVNPSLRTTHIHQKLA -RNYCRVTNSSRIGRCYALGYKANLLMTVSHMFDAEGETCSIDSADKTYSAKVVKLMRDRDLAVIQVTDKT -FPQFADITNMFVKDMPPQVVEAMYIRPIPGEFSTTTSRARYHEKVEDMTDPGNKRYCPTKKILEYSIISV -GSTLRDGDCGLPLVVQVNNEYKIIGLHNAYHAFLSRMFFSAVTSADFSYSSNSIESAVTLPSDEEVPEPE -KKPVEAKEAPVEEQDTSTNLSCRDNPVEIGYIDSYTLDKLNQPHEVSKFEGVSDLNVVGWSRSMLIPSRP -KHKKIFLSAAGGMDECPSLPSCLDLTRVTDASNLVKDRFNNPSPLFTQAVKYSLSTPTTGQYDQRLFNIT -CDYIKTYYEINYSEPRDISMCWIINGFGNLKGLDMTTSAGPKMKLKHGIHTKEPLFVDATNNDNPWYRVA -STKAGNELRTDYHNYETSIEAGIPITIICKDNAKVELLPKEKVFKGKVRLFNEIDLSINMLLKKYFGYIL -ESMIAKHVDCIYAIGYNPYLDATYYAREMERGIGEYISTDFEGLDKTIPDYLIDAFVRATLYNKSPEVQT -AIAKTLSFTIHNMDGHLYTVSGGNESGSFVTTMLNCFCVHVTSWYTYIRKFEEEQLRIPTFDEVITGVTM -KILGDDCIRRMNMGVTFEDLKQDAALFNLRLTPAKENGAISFCSREFISENGIIYPALKRVSIETCLFYV -TAESPEKISQNVSVALFEASLHPEHIFNEIRERCLRLIRYYNLTPEMYSYRQYRQFFRFYVLGFRISPVY -QETGNQMNQNKIYSVNSNTKQTNKNFTMATSWLNDYLNRHQLHGHYDESYTPQDGEWICNLEVETPTAFL -TSEGKATTKRAAKKLAAEELKETVSFERPVETITTCDGKIYTPGRDLKEEMFALLSKIRKQEQETLDEEL -DDSLKDLMVKSNADMPAELPSQSNPQPDISTIGMIDVDKMCKPYIGSFDVTVDPLLELELEMNDIVDDLM -ELATAKHQTVAEPTHQSNPQPNPIKELDIEEILRHSYLAKGVMPPPPIFSELGVREHEVKHKPYLDSLQS -RFATIGRLPFSKSWLTGAKTLKDLVVKSNADMPIEPATMNQAARAQGVAELPSQPNPQPTAQAPAMTSSG -EDILASVAGAQHHQLNPIGAPDMSTVGAIQFDIKSLVYEQFLDCDTEIEINADLPAGSIIAQIPYAIRNN -PYINKYILLYGSPHERYTGSLLFEFTVVGNPLFSGSVGIAWMPHRITTSTFPISELQKYSYSAKGVTMPW -NVIHQLHDARQDKFYRLLADDDNLDDRPHLVLFLHLSLQNPLQPGVITRVRVCSMLAGANYSNPFRFMNP -VLASPVKSHTLLNQDNFQTPXDTFLPEGLNRKIWFYLDGFKAPTNTNTTGRFPFTYEVFTTTAAGYRVSA -PGVLSVPQGPTTCLRYHGLKGSTLMAMWSDYTVPYTDETEIFMNIQFIHDLAPGRFAKWMKESAKFGGFH -ADVSAFNPTVWNLMKTHIVPDGDILTHSLTYNDTQVVISSWEYTTSTTLQRNLMGWRKWITSEGTIICFG -MTTGVVKGPNQNPLIVEAYSSFTTIDGLSQQYPILQIEAGGGYTSPSLPELPTNYRLLRITDMPPTSVAI -EGFRNPTATDNATLLAIFAQYNHLPITLCPQFTIIDNRSVTGILRVRYLQEYRIFAVAVASPSAYLVIPQ -ETRNMSISKISIVERTTDFQITNTNTFVNREGPSFTSVLTSPIRVTSNAWMALAGGMASGIGQGLSQIAQ -RDHDKLMQQNQFAHNKEMQQQGFGHEFAMQGNMFQFNREILASQQDFAKMMQQSNFSYGMQLQDREYQNI -QHTNALQSQNRLMERGLSARSLTLTSTMPGTSFA - ->YP_009333320.1 hypothetical protein [Beihai blue swimmer crab virus 1] -MRVPHNQIAQYYNEFAVPQIPQTSVQIEEIFDSPMETSPIATPALSAPVDLANQIEQAVEIYNEEFAKLT -VSDLDPEFLPELTVEPNDFEAPKTPEPEAATSDLEWDDEPYINDPFASFPSWESFPTDNKLPTSYITEEE -LATVEKTPGVHHFMDSVTTQDPTTSAPITIWSHREITSYPDFVKLVVRQQTLKTIPYEQTKAFVLSQEHF -PMSCQINNWTAAETYHEGSCYLAAFCEDAQQAFLLYSTESAPQFIVTDFYSRQESKNTSTEYCCYLKCKP -APMMVFGPLDCGCYNWCKCDCETVIRAKKLTPKKLISALSNIVTIMEEEEDQIEPGDIVHQSAQERYPDM -PSAKEIQDAQLRVEGIADHAVCSECNLTLGHWQKGDDPLKDHRKWANDKCLGARLRDNKHIKKVAKDTRQ -PLNTQVVRIGHERRNLATFQNHLARIYARKRCRARQVAIEQLKKRIATFKQQKKVTEDWNIHTETYYTQT -GLKSRQFSSPLRKLTFTPNAKTTQVFWSRDEWKTFAKLIVETESKVSEQMQEMGYPPVIRTEACSGDNNF -IMEQCKSIIKKVTGITDKLICVAVGLVLASAVTSVIAAVKAENRTARWSSIASAVCSLVSVACLIVANSR -LKKNATEAMDSIKNLVGKCTSTLHSAFSAKKPEEVVETPKEKLHFQYVDDFDNAKDKIWYVNQMDDMHWY -SLQYYGETAPYVLNALAVLPSKEPTRLKHRKSWSWTEPPKYNQEEWTSYIVSLAEDAAPQAPSSVYSEEY -GSEEDAEESILVRGATFLQTKNRLVTAPLSKDVEQKPGEVDEAQAIEKLSNTIASETYKAKAEAGLTHIC -TKKCWDKPGKGKVDWYPYTNILGLVAHLYVKSPPETPIREEKDLKHMGFRVTTVSEMSPRDTNCPNCILL -CHSKDGSYVGLPTAYTAKKLQYGLNPPHFWPEYTSITAGHMTGTKLFDKNAISYIPYSVDMIPQLRNGEM -HNDRCKRTYGTDSHTDEERGIYWNTKIGENAAVKHHTAEGRARFTAYPIKEAKNLRPLTKRTDAFADFDC -GFIETEHGMVDVLPEEVKFSDSSSMIKLCTGVIATLGVGSYLGMSEKMDPIKACQLINQASTAKTNLDGA -MNWIADVLLTSSEDESLSDIYKKIQRRYQILNAIPATYINVKPEFVYAIKRIQNEIVDFVKLMKNQKNSS -EVSFYVRQCNLMTQELNRKFAEVVDGRENSGSRVPVLFVQLCGGKGLGKSTAVQAGGAIIRDINLMLGLD -PETAPHQIIVDGGGFYNAYGGQAVGIRDEFLRQGDKDPFIEQVNALASPAAHGLSGAFVKQQPVAYRIVF -LISNVMHVTIRDYVPEVRDAFWSRILRYRVSHEGIEKLVDDWGRHVVTDRDPRKWKWQRFTCNGDGEDRN -ETTIDYENFLHDVHRDILKLEYVNGSYRPLTELSRVIKEEVHTQMVNVQELTPNFKTRKFSQGRADDMPQ -DMRKKVTPNIAMSGHAHCVIHVHGKPGMGKTTFVKRQLANRWHTIDRDAKVYEMTSEFKIPADAKERDVY -IVEDLLLAHQDAYHTFYQNLPGASVIIITSNIEVERPNWIYDALLSKIGTKRTYLNEIKAPGLLRRVGFS -GQICNAEHSVDVVGTANFALEMTSRLNFKTQSGEDLSPIAAVARITQQVAQWADVFLEHPLKQVVDAIDE -NFNYDVVITAKNLDHLKNVLSSNTAILANLGMTPDHAIYLNPDVKDEFIESGNFSCAPWVLKEGHNRDEI -AATLYSTLRQFRPQSTVKVVVGDYQAAVKSPGVMEVLLSANDYDVKFLKQDVKDTVVHFVSIKSANEEIL -LDMQKVETGILKGWTYVDLSAKEYEVVVANQRAIESIPEVSDIVLAKQAEREKQQKQAAKKAKMLYLKSI -VYDHPILFSLACIALIGFMCSTITKAVKAVAGWWSSSSTELYKESGELIEAPLHGLACQKCKTKLVPEVR -TVYLAQGPRQRLCSVMCSKCKTYVDLSPGMAKHVKAGMKIDNEIIHDQDLEWLRQKTNDRTQACGTISES -TTEKRPKNMIYFPSGQVVSTDAPQWSDSLFACGDDNIDVVKNKIRQNFVKVSCCGSEVYGIGLAGKLVVT -VAHIFFKNKAKPQVAYLEDGVERWVPATLVRQYTDRDIAFVTVDDKTKPQFKNIIRYVSTGADLDYVHDV -ALAHYKPNNSSDMYYTTAVFGDRIDLPIAELNVSDRGVMTATTLVALTTMKAIGRFAKKGRCGHPLLAFP -NKDIQCRILCGLFNSSSKDAIDVTCSLLTREVVEDVIKHMHGKAQEHQLMGVLMPNKDAVYFTDYEVALF -SKMYDNPCNDQVVSDNLTYIGSIPHGRNERVKLEPTIYHDIVAEARPLDVGIVPKVAPDVPEVVKDKKGK -PCYYSTELSKIKSNDKQDDKIKKAVNEFVLKLYEDVYGTYYTLNTFKVLNGCENVSKARKSTAVGPSVKV -VHPKADRKGYFYEERGQTTHWKETEEAQRMLEREMQILNLWYQGKPVLFVTEASIKEELLPKEKLAKGKA -RLFGAADQTCVNLEKRVMGGFIANAVETPANVVIGLDQQYDFHRMRRIFRTYPRVLNVDQKAFDKHTSNR -DVKDALRIILNLNSTIEMRENRFQIIEAIAESLSKYIFVANGQCYHVTSGLNSGQYCTNIGGSTVSIVRG -YYGVAKALMDEQQLDLEKAMLVLRRYFVMACGDDIVIMSNALQLPSAELVVHYMQDSGVTMQSPSKTGVN -NYEWTMYPDTPIEFCGRNITEVEGYVVAPIRETAIYKGLYYTRDASRQNAILQLRVALEELYLHGDEKEY -NKKRQLFQQCQKKTGIREHLPTWNEARLHFLEKHHGKGQVPLTAANSKETQDLLATRDLMIRRFMQNTYT -RVACSGDNHQTAHQDNSEMERVDKKSETPKKEYMETPAARSAPRAQRTIQDAVQERAAQKHPELWTNKGP -GIYHYEGPSVFLHDVSAGSFANSNFSPEPADYDVSDTGFTARLSQLAATGKKCDFYTQQLLKTILGTKTD -KLWNLCLKAGVGLVATPVTPEPHEDELSAYHLRWQLVTASHTKFVFDGESWGTTSGDALRSAFTAILGAI -IVDNHVSMKNRGNRTFREWFQRIACAGDNERTGGRDVSDSSAVPNSSDTFVSTNLQQDAGTFSLQGGMIP -MDILKGGMHKNILDTIDQDFLIATKTISQTTAAGDNLLTLHLRDALYNHPFAKMMMQYSTKVSGYITFSI -ISTMSQLNQGGIIGYMVYPEGNSSGDLQVSGLIEKQLYQHDIRAVTQGISTRFTLPLCSQSAFFFWTEDF -SETSDPELQPKFNVDAITAISNATTAAGDTAGLIYVHVNVSGLVFAGIKQTVLPPVGGTTLGTSTALTNH -KDAINVNDVVGGIATLCLTGRSEMRENISSTDLYREYDEPRAANAVPAGLTPVSWGQVKYNSAPPLQNCI -QKSTEVKLWNIADAEANEEIKMVVGRICTNKGSYDSGEVNPMLIASGTRTSKSKGSFTYLLRGGDGAMEI -LREDALSGLGPFYHGESSGSITEKYEARSLQWEWETPLVTVGQTYNIGEALPETWTNVTLRETCYHINTL -GATNTNVGDDGQYKLFNYIKRKYDPTPGSIWAFSFLNKRYNQPVAQCVLYAAANLKDWRMYARVEETECV -STYEDSLIMSNPTQQSTSYVPPMTTTRNWVKSTYSSDTLSAKFMVREQVEKLGRIHSSKLDRVVRAQKVK -SSVEKTLLREGEESPVVKKPPRKEKRSGRQLEACSGKSTHCPHDRLQIDCRDCGASSCAQCGRRLSRCTC -RTVLKNRRLEACCLEWQEGCPHDYVTVDCTTCGASSCSQCGKRFSRCTCKRRCRELYACSLLGGAMSGMG -NALNQRKQHKYDMENQDNWFRNAGNLSQQNFEQQQQLNMANYQNQKQLEMMRQSGSTTRADIAADATRYS -ARQSAGANVESTELQQQGANQRLASSQNFTREMKGIGQSNIMPSGTSSPKPPTGGASGEGSTGAVPKITR -AAEDAAVVL - ->YP_009140560.1 putative polyprotein [Kilifi Virus] -MLKTIRVLSPCLAIVTNVSVLVQMDHGFIKTPLVVTMLGVHLVAIIDAILQAFGVANIAYSFISHHVKTA -IEQIVMPVIRKPMEWIKPNVSEIIITVMGWLRPILSILFLTVGFFFPSINMDEWCRSVNTKIAAVNNIQN -ATTELTNKVLEDVCGVDLYGSKLLISNRQQIAEEGIELCKTSTEVFIRDLTKRQQLFSYTKRATDTIAEK -IPKESADLTRTLSPFNTIIHANIKTLSEIIKTIEECTQLTSRPPAIGVLLSGLPGVGKSKFSEYAMAQIA -SNLGLDKEVYSVSKSGDYFDPYKGQHFGIHDEFLYARSEDPIIPILTKLLSGEYFNMEGAAIHNKKQTCE -LKMVTLTTNGQDPSKKLVQKIDANAVKAIWDRIVRVNVLDKLTTSRHDATGNTHRKPDFTHLTLKLTIST -EDKPDEMEYVQITPSEYIMYFTYRIANNIVSFCKDNKVDIYPVEKMQQYMADHQSAFEVLSKRLISVIGL -PVEDVDGKIPSLTFVRRNMEGRNFFVIRLEGKGGTGKSTTAENLAVKFSRIFDMEIENVQAHFPMPGPKP -TIYIVDDILTTVNSDQYLEWINRTNQRSIIIICTNLILEQRRTWMMSKYTDVSLHSESSGIARRIGVMGN -YKHKKTWGYVDSRYSTTVTMQNSMYIESNLHDSQTLMQLMYIKYIAFVSNSRELVVINDNHGIQDPDLHI -RAKNFQTFSDTMKSKTKLLKSVLSSNSDFSIRPKDMTILKEFSQLDSPDAFIVQNMTNKAEFEENVKTIV -SKLCIYRPETTVVIDIEEENITAGYKDRCLYLMKDLCDVSAIIKHSDNTIFIPKNGGIHELEITDLAWFK -TQAEAKRGCVGLSATEMIMIDTYINRIPILQRTLDLYIHSYTIERDVLKHKAKTLKNNHNLEKCLAFILG -LSSVILAGVGIYDLYDRIKARKNVMDIIDEARHNTLEDENHAIQRKIMQRYQKEFAAGRCHEVVRKGIIE -EFGKEAWQEAEWTMRSNTSKPSLTVQEMIETGEWIALRNHWRDHPDDMPIMNRNQITSEMIEFQQSNQIE -TLSKLLEINTVLLINTKTGGRNFALGLYGSYLVSVAHAVADDMEACTIINNGVQYPVACVYLSRKRDIAF -YAVKNNAFSFRDIRGHFADADLFGKTNEGYYLRTISDNRTIAACTIRYTAKRLNPITDDSPYFNPDEGLY -TVMFATSQRPIFLKSGDCGFPLVVRTNQGLKVIAIHNAVTPTSMAFFASISQQDFGLIPTTQTKQLKIES -NTHIYHFSNPGIKFISHEWYEKILTAELKEPKLPRHGLNVIGYCKEAHLPSFPKDSHRELLPKLIRNELQ -EKLPTKPSALKFNPKVMKPDKLVKDKFGEPHTLWTQSVKYSHKEPLYNQFDPVVYKISLDIIKQRIARDY -GAPTVMNLKENINGNNTSNNKGWDVTTSAGPLLKKLYAVHTKEPLFENKGQSGEKPFYIFNKTPAAVHTR -EMYEKYCEALEAGTPIAIWCKDNRKVELLPSDKVTEGKVRLFNEIDFSVNLVLKKYFGHMLSKILDNHVE -GPYKIGMDVYSEATFYNKMMNQVDGNLLSTDISGCDKTMPKEIIYDFCEAFCQGYDPKLVKALAQSLIYT -IHIMDGIIYTVDGGNESGSFVTTMLNCFAMEMITVYPVVEKLMMKNLTPTLNLVCESMNAIYYGDDRSVR -FSKELSIQDSDLVRCGSYFNFKVTPAKVQSEYISFCSREFIPDEDGIVFPKLKQTSVLSCLFWVKKPETQ -YISANINIALFEAAMHEEAFFRRVVNIVLAILNRYPEVTNYVNIYDYNQYRTVFKQFIYGLESSPVLLKT -DKTEKPINIEIIQNLEPLSIQANTYNNIMDFVSALNTKSQKESKEPKYSYTMTGPKEAPTWECAATYNGK -TTVAQAKTKKAAQQQAAKNHVQPNNDTTKLTLNMQINEDMLRNKAYFEPYSSVGLATIDNSLLSVNLEPT -TDMDDLAFQLGEDGYEIVAQDTIRIFLGGEPINKIQILIDNLHTTPNNDTPIEPATINQAAMGAQRQNLP -SQSNPQPTAVNPAMTNSGEDIVGAITTALPETLNPIGAPDMLAVGAITFDIKDIIYQQFIDCDTQITVSD -DAVEGSIIAQIPYGLHSEYVNSYIKYYAKAHERFNGSLQFRFTVIGNPLFSGAIGIAWFPRKITTNTMKV -SELMKYSYQAEGVTTPWNKIHILHDARREHFYRLVEDEESDYATRPHLIMFLMMSIQNPLKEGVQCRIRI -ASKLANSGDPNPFTFANPDVSTITTSLSTALPGLATPLGNDAINQFPSLLNQDWNIFTDGSIAAPQVYKA -IGANRDLYLDGPLKGPYVRFVHSTQEFIGNSLQFSDNQKYPVSTEATGDVNKGHPSLVFYTNVPEEQFKL -FYVSLKKFTDALTSATDPPRSNTAIPDSFYVSLQETVKDLNKKYKETSFIITGMSTFRPDSTGRNRFGCV -KVITTHGVIVIDIVLQETDYTVQVTSARADTTSGTSRSIYGDIDTMLTGEFIPSGLPAGYRILRITPQAA -SAVIRTSLMVPTATDSPIFAKYYRRLAENLTALQCVQFSLVDSISQGTVATVRYLQEYGIFVINTNDAEQ -YSYFPQTLGKLSISAPAIILRANAFPYTNTNNWISRVSATYFQYKTLNICEAEEDLLEKVSLGLEDIPVK -RNASLGLMMAGGMLSGIGSAMGQKSKYKHELNMQGNQLAHEKEMQASDQQYGFQYQKNNFKGQAVLSGQN -FLQAQKLAQKQQEYTQQNAERSFNQQILLSGARTPVSGVTTASSKA - ->NP_620557.1 protein P1 [Acyrthosiphon pisum virus] -MSLSKPSELEQVKPSSLWITTYHTIKQLIKEWFTKLVEAVLNPGEIRIAITAVVHTLTGVVLSTMTLFKK -GTGYLMKIISGVNLLSTFELLLVQIGRNKELFTPDSAMRHAESKLSEYLDHSDSEEVYANTEQEAENIWV -GCLSTILTTLFGALLAGFGLSTKGLEGLTTTFRAATTTKNGVFCIKELVDAALKIIAEEKFDPVYKERKA -ITALTEEAQVLLRLNLGAIASDNKVKDRYRTIIKDINQALTTYRHQDSANMRSLLATMVGELNKKLAEVE -ALIPTRQVTAGVYLQGEKGVGKSSLCNYLASYLAEVFDWSPSIYNISPGVKFYEPYLNEDFGILNEFGST -VDRDTFSVDANRICSSDPFNFESAGLAGKVSMCQLKALFVTTNVLNPDIKELQPNAVPAFWDRFIIIKVM -DPLITDRRKQVNHRKSDFSHLSFRVYDLGTDENDLPKFRLLYDKLHISDILQILRTKLALETKAFYNRLN -YPMPEALQKIIDLESNPPPGSYAFKYFGFQEKIVENSGGRDFCILRLQGPEGTGKTTLAMKLAERWMELT -TAFEGKSRYTIQKSSSLEEFVPLNTPTIYVLDDWVTSNITIQESSIYVTKINATTNDSIFIITTNEIIKR -RLPWCELPNRLLVGLLGGTYNYPYDMNPYKELYSGMRRRIGLDDLYSVRGRVHDVSSTEYCMVVTTDEKS -VLTCSGKNVGFPFIVESIKQRFINMMENRYNITTIQGRPENELPTVDFEIKVANKDILFKKLSTSLGAAS -LYLKPDAEASLYVSERIISGIAEVNPDKAIRPSDFICKEVFDDSIPTDERYTTIVERITRVLLQYFKDPT -FRVVIQDEKRVVYSENGVVYYYEDKEDTRIAFEGYNLIINLSTPKMVFPYDFAAALVEDRMEKKFVGTLS -NLTAAEYTIIEKYYKRSITSKKCNPFLVAVATRELQLTRMKDPKYVKGLLKLQNNYLLKLGLCGLAGVGS -VALLYGVYKLIASTMKQEEEKIKDNAFANVGSTPPKRAMNNQSNKDKQRLGATLTDPIIENSPHHKHRGR -IIPNKKRTSRYATTSTSSSSETTTTSASSSDEITSDSDSSISSDSSVKPEPITIVGNPAHRIIGNSAHTK -NRGTIIPNNHTREIKRVDRAKKENEDYNKVPHEHVCTTCGCLFTHIHKFNKNFLHPTYQNACPNYKCASY -HGGNNKYNAKLIQADFINSADEPLTPNAMTKLMGEEYAPVKVSLLEFFSALPSEERKQVYDYINQARDAN -DRTFARLCRKYPGLENCIMKAVHANMLTDADMITNDDTDLEILHKKLNKAYVQVNYAGFRAYALHVGEGK -FLTVAHCFDSVGRSLVLLSEGKSYPGVVVSITRERDLSVVYCKELSNLRSAKSAFVKDSSDLVLGGYFMR -CGPCVTIVSGNIEYREYQEFIDGSCSNEYYRPSMEVLKLRRVGLVVTETIRKGDCGFPFVARINGTMRII -GIHNAYKTHTVVFGAFISQPLIEELCSVVVPNADVPSTAVVVKVPVIRDDNYNDYLEMSMPMEYAEEFKK -CFSPDPYMPKNSGLTSIGFNQKFRNYNHMKEKHFTHELTLENANTCLPAAFSDRYVTDTSELVKNGFGKP -DPLWTQACKYGRRNKNYDRHIFRHAVEMALDYNKMTYCAEKPFKFLTEFEALNGRTEPFLSNVDITTSAG -PYAKYFHNIYTKRDILDTELADGKPIYKFAQNRAGQSIRNHLKSQRNLLAVYGIPPCLISQDNAKVENIE -KEKAQKGKVRLFNNVDPAVNAILKIMFGDWFSRAMAKSSEGYYAIGQNPYTTSTEIWHRFSTKQGKILNT -DFKAFDKLLITELIEAFCYIGGELTKNEKHPQSALMYEAISLTLIHAVHILNGSVYVVNNGNESGTFVTT -LLNCVSVHIIFNYSFIVCWNKVPSYVHIKPLLKDIMSRSELAILGDDKTQVVSKDIPMEEEDLIDIAASL -GMECTKAKGGLDDGKQINFCSRVLVWDEVEQIVYPRLKKSSIIGLLYWFASLDKNQVRDNLMIALFEASL -HEREFYDSVLRDAMLVSLEFGVDIRTVAFTNYSQSRQRLKSMLMNDFEYQQISALADRKTKESDYSDLIR -ILKTAQLLENDIQKAKTADINNFSVVKNRALAIQKYTTTSTTPTTGSVVYESEMAPLSTNSNPISACLEL -ISKLKTAGPVEHFERAGPPHSPTYSCTVTYAGRHFGGEGPSKASAKTQAYGALRQYLEDHIVCNAACEDQ -RIEATQKIRKVAITAFSFYIENHINTAINNADGKKYIIIIGKRSADDTVEQIDGFYKFHRGGNCYILSPI -IEKLGRKTAAECYRYYPGVSFDSSTNEVTLDVHASTSNYSLRDDFEKDYTDDDHLVFANSAEDKPILGVM -ENDDPQTMPVTSVMDSDKALYQPIIMNRSAPNNSWLRAGGITFNIHDLVYNQFVGCNKQITVGDGTAAGT -ILAQIPYDPVDNPYANGYIQNLVLLHGRMTGDWMIKITCPGNPGMQCSIGVGWSPTKISETTVPMDVITK -YAFFTTGVSSEWSHTIVMTDARQNNFYRTIQRDSKKTQVDDVGNMPHIVIFCETPPTSVYTEKKSTYLTF -FSKLCSENDLMFNPSIKPFVLADPQQSVKYDARKGYSLNF - ->AKH66852.1 putative polyprotein, partial [Thika virus] -DSDLFTKTKEGYFIRPIHTDRTIAACAIRYTAKRTVPLPDPSNPNFSPSEGLYTTLFATTGTPIFIKRGD -CGLPLVVRTSNGLKIVGIHNAITLTNMAFFASVSTDDFKDIGNSVISNTSMYHYHKPSHAFNTHEWYQHI -MTDIKRQAKVPSQGLVVLGYHPEAHLPSFPKDSHRELLDAQTRQDIGERLPTKPSALIYKPHLMSDSKLV -KDKNGIPHTLWTQSVKYAKREPLYQQWDKDTYKLTIQLIHQRITRDYGRPKFLSLYLNINGDGTTNNKPW -DITTSAGPLLKKLYNVHTKEAIFENKAPEGKQPSYVFNDTEAAKHVREMYETYCKGLEQGTPMAIWCKDN -RKVELLPAAKVHEGKVRLFNEMDLSVNMALKKYFGHMLTKILETHVDTPYKIGMDVYSEATVYHKKMSKI -DGNLLSTDISGCDKSMPKEIIYEFCRAFCQGYDEKLVTALAESLTYTTHIMDGVIYLVDNGNESGSYVTT -MLNCFAMEVITMYPVVEQLLSKGIRPSLQNIEDVMSAIYYGDDRSVKFSKELSITEQDLVRCGAYFNFKV -TPAKVQSDFISFCSREFIPDEDQIVFPQLKESSVLSCLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXR -VVNIVETILATYPEVSQYIHIYDYEVYRKVFKDFIYNECKSPVLLKTEKTEVAFEEEVIQNLEQLNIQAN -NYSTTIKIMDYVSHVNHKAQKSSLEPLYKYERTGAQESPIWRCTLTYNGNVYHASARTKKEAQQKAAEQC -VTANNNPGSQPKLVLNMQDYHDLLINEAFFLPYCKAGFASVGDSKLSVNLEPEISVDELKMDLADNNFNI -ESIQYIKIIVDKTLVKKMQVLIGDLHVQQNNDQPIEPAMINQAAMGQQRATLPSQTNP ->APG78030.1 hypothetical protein, partial [Hubei picorna-like virus 57] -MGVLSKDMPAQAWNGITTAIETGMDYLGKIIRDMIMKLGSEQFIKPLLEHVGTFAELIFDVVLASYYIFT -NAEVYVAARIASMGQITVKLLRLINTIDRICCSNKIKFCVKSAKEAMEHSCKQLFCSLNNVTPNQVRNKE -DSMMFFINTAIKMTSLILMGGLFATTGFKAIELRTNFGVTKEALQTGAEFSKIIEGFVKEFITNEIDPDI -KIAEDLIAKNNEWMKTSSLSFYDIITDSTKLSYIEEALKEQSAIIGSASYNKPVFSGARSLIVDQKRKFE -EMLKAINDVSKTTTRQATVGVLIHGKEGIGKSSICTEYLNNEIGKIFGWNGQIYNVDMLNYHDPYRGESF -GIKNEMFAVKEDPFVPKFTKICSSDPYNFEGASLSNKTAPCHLKVLFMTTNVKNIELGEYHKDCRSAFWD -RLIHYEVEDPQLDPKGGREGENPHRKRDFSHLKWRIRIHKTENKIEYLCNEANVPLEFTTQEVMWNIIRR -IIKAEKSYLGSLLNIKPEMQVEIQERLDRLNTLGDRPNTGGSDFFAIRLQGTPGSGKTTTARKLARKLHI -AYAFEIQESTSITEFEPIRDRPMIYLLDDWINKTIEPLKAELFIEKTNRIHKNSILIITSNVEFQLESRI -YNKQRYLTFAITTGGDYSSVLPYDSRPYKHLPTGILRRIGLELMIRDPSNNNIIIRPPHVSRTYTVNRVG -EFINRSNKSMIFNQILDQSVADFNQHLSRSGDFQIIKTRPEIVPTNVDVDFKSKDAKSLKNSLKNMANII -KMYRGYDPDTTVSHAPDFYSDEAFCASDYAIDMDAIQGESDEELLEAVASRLGLNFHRCFPGKTFRARLE -TGKSAYIERGRLYLYDQESTNRVTFNHNTMQYTGSGRVMYDITPEDFARALEGRKDMPTNERFVGSMINL -KYHEFDEILEYYESMRADGEEQARSFVAECELMRYKKRIRISPKWALMYAEIVDNPVKYFVFGLLAMTAV -GGTAFGFYELYQYLRKQKEESTTVANAMYYTETTPSKRQQGRDKARNVRETYVNQMYSAHSSPTGRIAKH -ERRRNLNETYVNTSAHVNQFAHIQSNPSNRIAKRERERNLRETYVNTFNYAGIHEHQCKDCNQWYNHTHR -GASLNHRQMKFECPYEKCRNYYGNHKNGENFTHAIKIGGPAMENSTIVAIQDRYKITQTELLNRILYEAD -DEHMNEMFHELATGDCNLDEFKKALPECYTNVFEFGIKPNMLGVGDMIRQYKESPYKQFHKSVQPLYVRM -DNANGCNYAQHLEQGLFLTVGHAVTEVGELSTLRHLEKKYNARCVYVDRNKDEAIIYCSALANVIPAGLK -RIVKTSEDMQATCGAFMRCGPSYELLVGKIMPSYGIRICQDNPRYRPNEYQIKLHAVGLTDVTHIIQQGD -CGFPLVAMIDGQVQIIGFHNAYNTSVNAYFTAVDQERLRQVISNVVITNNCKMGTPMGKVLFPGTKFREE -PSSFALPTPYVDVFTSEAAPTEKFQDDKLDWLGYFRPLHFKNNYKIPVHTHDLELENPNGTLPAAYTKQF -ITDYSDIKRNAFGHYDPGYTQIVKITPGRSDYDPKLLDLSAEFVQEHMYSWYGTCSFLRDHEVLNGSPDG -FLNKVDLSTSAGPLMKLMYKVTDKKPLFDVREEKGLHFIHWKKECEMSQTVRSLAQQTFDMLLDEDATKV -PLIVAQDCMKVENISAEKAKKGKVRIFNTVDLHHNLVMRKLFGDLVKKCNKEHETAWFAIGQDPYKTSTK -IYKDFQLIDGTLVNTDYKNYDKSIHAILIRKFVEIFVGLLDTETRKKIPDVEVFKDKLAKYLTNTVHLYD -GNAFFTTQGNNSGVYITTLLNCVVNHILTMYSVIRKYRQMYNMTPVLVEVLRQFVARYMGDDRTLKVSHN -LPLTMEEIIRDTAEFGMLCTPTKTRDDGTNSEVIDFCSREFFWDPLKQVVFPGLKKSSICGLLYWFKHND -RLQVIQNLTSCLYEAALHNDKNFFMSILRDVRKVAEHYGVSLTEFG ->YP_009140561.1 putative polyprotein [Thika virus] -MSFIQNKYNVRLSNFDGHTYITRYYISHVGVNPIKSQPVAQPKIEPHQQYQTKLTTRKRKRVWDDMTKIG -NHKTEVQQVYTYGIMDFSKKMPEEPTRVWLDKIEDVNNDLEWDDYDQIILSNSGTKADQIEVEDPNDMGT -IMDCIISTITSFLTRERITKTIRITSPLTAIITNLAVLYQMEKRAITTTAIIALLGLHIAALIETLLDIY -NVKNIAFDFINHHVTNAIKEYLVPVIMKPIHWVKSNSSTVISTILGWIKPVMSIIFLTVSFFIPNINIDE -WCKTVNTRITTVDKLSSATNDLSNKIMEDLCGIDIYGSKLIVDTRREIAEEGIELSKIPTEVFIRDLVKR -KQLFNYTQKATSIMSEKLPTNGQEKLVRTIAPFNTMINNNIKLLAEIIKTIEECTQLPSRPPTIGVLLSG -EPGVGKSKFSEYAMRKIAANLTLDDSIYSVSRNGDYFDPYGGEHFGIHDEFLYARSDDPIIPTLTKLLSG -EYYNLEGAAIHNKRQTCEMKMVSFTSNGTNPTKNLLVKINENAVKAIWDRMVRVNVIDKQVTSRHDTTGN -THRKPDFTHLHIEMTISTEHQPGQHEYVRVTPQEYVMYFTFRLASNIKNFSKDHEVGNLFPMEKIDEYIT -NHKQQFENLNSALMIAANQANIDLNNIKKMSFVRSNNSSRQFFVVRLEGRGGTGKTTTAHHVAGKLGNIF -SMKKIEVSEQFPEPDPYPCIDKYWSLLTYIVDDILTHVNSDQYLSWINKTHMKSIVIIVTNIKFPTRWTW -KFDTYTDLSGFSESSGIARRIGIEGFYKHNKDYSFVNTLNSTQVLMEDTMTIQGEQYDVIKLTNLIYKKF -IDFVAQTRTVTIVQDNHSIERADITVCARNYKTFTDALSSKSALISGYLRPSKDFSVVLSSLSILEDFRN -LSSPDVFTMGAITNGAEFQANVMTLVEKLCIYKHDAKVIIEIEEENISAGYINRCLYINKDIFNVSAIVK -HNGTSIYIPRDGQLFTVDLQDLAFLKATKVAQKSVTDLSASEVDIINKYIKKDAELANLLQYYTYSYQID -KEFMLEKKSFYSKYKHLFRCIVLLTGICSTIYASIKIYKYFTKNTDESKQGEWDPLYCNPNTAVGEDHAT -TQKIQQRYMNEYGRTGDHQYAKKLMIEEYGQDQWNKAEWDMRSNQKQTKQTKQERPERSAIEYIGTGQWE -SLRDHWKLYPNDIPTFSSNQVTPDMIKFQSNNQIEMLSNTIANNTVTITTINNSGNNYAIGICGPYLLSV -AHGMKDITDPCYITNNDQRYPVTCVYLNRDRDIAIFKIKNNNFSFKDIRCHFGDSDLFTKTKEGYFIRPI -HTDRTIAACAIRYTAKRNVPLPDPSNPNFSPSEGLYTTLFATTGTPIFIKRGDCGLPLVVRTSNGLKIVG -IHNAITLTNMAFFASVSTNDFKDIGNSVISNTSMYHYHKPRHAFNTHEWYEHIMTDVKRQAKVPSQGLVV -LGYHPEAHLPSFPKDSHRELLDAQTRNDIGEKLPTKPSALIYKPHLMSDSKLVKDRNGVPHTLWTQSVKY -AKREPLYQQWDKEIYKHTMQLIHQRITRDYGKPKFLSLYLNINGDGTTNNKPWDITTSAGPLLKKLYNVH -TKEAIFDNKAPEGKQPSYVFNDTEAAKHVREMYEMYCKGLEQGTPMAIWCKDNRKVELLPAPKVHEGKVR -LFNEMDLSVNMTLKKYFGHMLTKILETHVEAPYKIGMDVYSEATIYHKKMSKIDGNLLSTDISGCDKSMP -KEIIYEFCRAFCQGYDEKLVTALAESLTYTTHIMDGVIYLVDNGNESGSYVTTMLNCFAMEVITMYPVVE -QLLSKGIRPSLQNIEDVMSAIYYGDDRSVKFSKELNITELDLVRCGAYFNFKVTPAKVQSDFISFCSREF -IPDEDHVVFPQLKESSVLSCLFWIKKKETKFIQANINVALFEASLHRKEFFLRVVNIVETILAIYPEVSQ -YIHIYDYEVYRKVFKNFIYNECKSPVLLKTEETEVAFEEEIIQNLEQLNIQANNYSTTIKIMDYVSHINH -KAQKSSLEPLYKYERTGAQESPVWRCTLTYNGNVYHASARTKKEAQQKAAEQCVTANNNPGSQPKLVLNM -QDYHDLLINEAFFQPYCKAGFASVGDSKLSVNLEPEISVDELKMDLADNNFNIESIQYIKVIIDKNLVKK -MQVLIGDLHVRQNNDQPIEPAMINQAAMGQQRATLPSQTNPQPTGINPAMTHDGDDIMGAVTTAMPETLN -PIGAPDMLAVGAITFDIKDLIYQQFLDCDTQLVVSDDAVEGSIIAQIPYGLHSDYINSYIKYYAQAHERF -NGSLQFRFTVIGNPLFSGAIGIAWYPRKITSKTMPISELMKYSYQAEGVTTPWNKIHILHDARRQHFYRL -VEDEDSDYDSRPHLILFLMMSLQNPLKEGVQTRIRIASKLANSAEPNPFTFSNPDVLAKTPALATSIPGI -GTYQGMNVANTFPHVLNIDDNIYTDGILAAPQVFKYNGGYGNLKTSGPLIAPFINIEQTTGSAWWRAGDS -VQFKSNQNYLWDTTSTGESAKRGRPTLLPWSNLPNEQYIQFMNALYIQSGGNSPNRGLELIEETPDDSTT -SIPTKLYELFAKAINSLRSDYVKTGFMVTGMLTYRPDTTGRNRFGSIKIVTTHGVVVFDISIMDVLDKQI -DLSTQSSNQSNNISTTGYGAIDVPYSINHPYSNLPTGYQILRIGNQTVSAVITSTITTPTSTDDATVAMY -YKRIAEPLTITECIQFELQDSISYRNIATVRYLQEFGIFVINTHNNNPYALFGQKFSELYLTNPVTIARS -NAFPLTDTSTWINRQADVYRQTLELDMISSGMRDIEVISNSMIVGGMLRSWRSSWTEFEEQART diff --git a/seq/clusters_seq/cluster_269 b/seq/clusters_seq/cluster_269 deleted file mode 100644 index 6ce1848..0000000 --- a/seq/clusters_seq/cluster_269 +++ /dev/null @@ -1,276 +0,0 @@ ->YP_009337808.1 hypothetical protein 2 [Sanxia picorna-like virus 13] -MEMHTDSTLGLTTMEEEVVNRVDAFGPHFKPIDHDLTPNVVNPKDFFKNPRAIASGTFAAGGTEVVYTQA -IDTNWLFSTAFPTGAQRLNGVHAVNFKLKFTLAVAANPFSQGHVCLNFQPGLVITSGQNFVRNTRPLCVN -LPHVTLDFAEQSMAELTVPVMSERDFYILRDNDALGNVSITTLAPLIAPPGGITAGTHWQLLLTIVDMDL -IGCIPTTVVTQSGLSIPAVEAATNARPLSTIFTAAGTGLKMVSKNVPMLSSLLGTPVWLLGKAAKLAYAF -GFATPLVNTPPLRTYVTNVPDVHYVDRPKAIPQLDPFSTTALSVGPSIAQSDVDEMALAYILAKESYLGY -TDIPATTARNALIAAFPVSPAHLRYKVSAIPNVASIVPENNAQAYAVSHCCYFASMFRMWRGGFRFRFHF -VKTKMHGGRVQVTFIPTQPIISHLLVMPANNALDGYTQVFNLRDGADCVFDVPYIRNLPMSRYTSPLGTL -SIKLLEPLTSPANVSATITMCTFVSCLPDFVLSGPCNSRYDSRNVTNITTQSGLVVQDNTADAVSNTAGG -VCTSVKQLISIPHHRKLPTTYSMAELYFNHWYATLYTYNETSYSIQRLVYHCYAFVRGSSDFSFRTNKDI -AVYVAGREIAYGNTPMAYGTNIHIRLPHYATTRRINAFNARQPVTTTLSPQLEVTESCLVLEQSDVTSGT -YTVCAGDDAQLGYYIGPPPVSRGSESEGLTTFFPPLPTAPVVLASAPPPPDTALTMPPQEHSETSELTAE -PPHSSLPSSRIRRSLVHNMHALQHTNEAFDPVST - ->YP_009337728.1 hypothetical protein 2 [Sanxia atyid shrimp virus 3] -MTYASILSYFPQAAFRLSGVYGVKATLCFRLQVASTPFHQGLLALNWQYSCSSSFIGTAKRANYPGLCTN -LPHVRLDLSESTMCELKVPYMSWREFWSTDAVVAYGLLALHSILPIAPVVGINAPTYELYMHWEDIEFYA -ADSISFSTITPQSGKMVKRARGVEAKEADDAHLLSDSLSHGARIARFVTKNIPSLAAFSTPTAWALDTAS -GVARFFGFSKPQLQEPPVRMVMGVTGGEQNVDLPSTTQMLGLMASNTLGFSSEFSHSDVDEMALEYVLGQ -YSQICAGNFAVTDAAGAALYGASVSPCAFWYRQPAAAPFGNFRQPAAIGGTDTTNAFLPSHAFWWASLFR -YWRGGFRFRISFAKTKHHGGRVLVTYNPAESSGGAWTTVEGPEVSGSLTQPFGYSAIFDLRDTNVLEFDV -PYTSGFPYQYFGNRIGSFSMVVLDPLQAPSVVAQVVPFLVEVKCLPGFELADYSGMTWYPHLSVPTIKLQ -SGKLIDVISDDANQLTVGESIKSAKQLIMSPVMVDSAVPVTNTTIQGVPWWFYSLMTNTTPIPPGFDYSG -FTHAPGAIASCYSFARGSTDYHIYPHRADNIAFVARHTASRVVVRNAAATVNTPSVLYGTRSATPGVVAT -AGAPLHVRFPAFSPAVRLPTYIGGINRYRFAFTNNAGINSYADANPGILADYPTFTAINSSGTGSYATTS -FCAGDDAALGMYIGPPPLALPLSNSTAPIDADTVIQANFF - ->YP_009337714.1 hypothetical protein 2 [Sanxia picorna-like virus 11] -MDFDSTAAFRTFLSPVNWDRLKGAVGVRGTLKFHLVVAATPFHQGLAYMCFQYGISVADGNWNRGNVYNL -ATNLPHVRLNIAEETMATLSVPFVSNREYITVDNNVADNTLNYGVFNLGLLLGSPVVAGQTPPSYSLYVS -FHDLELIGAVPYNTTNVVLQTGVVIKDSVSLGKSGVTAEANAGGVISKTLEVAADVAKAGSMIPSLSKIG -GTADWMLRSLSKTASSFGFSKPNDETKWTKMVKYGYAGESQVDVPNQAFPLSPFMSNKIAVTSAIGCVDE -DQMSFDNVLTKPSYIFRGQFSDAAASGDLLYGCSLSPSCFWYRDFSVVGSPNGNIGLPTNATVTQNCFYP -STLCYIGDNFRMWRGSFKFRITFAKTKLHGGRVQVSYVPYSQAVSAIAAPSNTVAAPEIITSLVQPSGYS -EIFDLKDSSIVEFVCPYISPDPYIAFNNAMGSLTMIVVNPLRVTGNAPTAVQFMVEVCAEPGFELSVPKP -SLLHPVGKGGLVAPKYQSGLEVPGPRIPLVSNLDSTSQFVIGEKFNSLKQLMMMPDWSYFEAPNASFTDF -VPVPWFKTNTVTNTTPVSNTYSVSWFGSRSSRVSQLFAFANGSTAYTIHHDGANVPGITVTMRWWGNNNG -VIGGITDLRAPENNSFSSVFIPEEQATSRFIVPTYSKYMRIPIMRSTPAFGTNVTSLFDPMTYTGEYSLA -MPLCVLRNTSGASRRFVVGRAAADDAYASQFVGPPPCVLFPTTSTNSPVNGGFSSLNQY - ->YP_009337211.1 hypothetical protein 2 [Hubei picorna-like virus 21] -MRFRTVFTLQIAATPFSQGVACLSFQYDVSTTDSNTFVRSKYACSATNIPHVRLDVSETTMVQLSVPYLN -MREYLTINDGSVGSKWQTGVVAINTILPMRTGSGNNPTYRLYVHLEDLELVGAAPDALTTINFQAGKPID -KEFGNAAYPISSAVASASETFKWLSYGIPSIRSLAGPTSWFLGKAAGAIRYFGWSKPAIQDAPMRMHIYN -NILENNVDVPSNALVMGPMVSNRLKVDPQFAATDVDEMALPFVLSQWCQVKYFTLSNADVSGTYKMGIPI -APSAMYFNTLASNSTPTVFSDAFNSFFPTGLFYWGNMFRQWRGDMKFRFTFGKTKMHAGRVIVYFTPSEI -SLSQLPPNTSVALKVPDVTGGPHPFGYSKVFDLKDSNVFDFDVPYISDTPYKDFYGATGTLTMFVMDTIN -ASSVISTTIDCMVEVCGGSNFELSVFKGNDFPASVNAVPRFQAGVVIPSIAENASEMVVGEHINSVKQII -AMPSTVFYFVSLPDAEDKYALLPWWSSAIQTNLLPPQRLDALESSVWNPGSYAARAYCYARGSTDYHVYR -DQPVKMSMWLYQVSAPGNAPPTFSGVPANNVAVPFLYTTDSTLHSRFPAFGRVARYVSGSLNNQNMAPTQ -AVLSQADTVPFQLGKLRTYNFDTDGTTVWVNRCAGDDAMLGHYMGPVPLAIQPATGGTFANWNNLTAMRQ -SGRQLYHRRTGNSYLDPDLAAQFDAEDLKNKPLEVAPPPTPSRTRSMRPPSPFKVSGDVGVKPDNLSGKL -TSLLARAELLPKYANLTAQVAEVVSDALDQQ - ->YP_009337056.1 hypothetical protein 2 [Hubei picorna-like virus 20] -MKSMNDDNDMREGVEICDQIQSVAIDAVPESTGVTTFVQEACTAIAAVEPSLSRTFYAVQTDLQDVREYF -RRPICIKAGTIGAPRGRLHNVNSTMSGFLGLWTNGLDRLRGAYGIRAKMVVTLQVAATPFHSGLICLNGQ -YGLATSTAVYDRTVDPCTSTNLPCVILDLSSDTSVQLHLPYLSTGEYSDIRGTSSEPGYIQIALNTLTVI -PSIAGMGPPTYQLYLHLEDIELFGATPQAISTVVLTAGRKLSPVTEEFEKDSHPFSSALYASSKAVSFIA -KGVPSISSIGGPVSWALGKAAGIVRYFGYGKPAVTDPLMRILRQDNIGEFNTDVASALMVVAATATNTTS -INNKVGYSDVDEMSLAYVTSRWGQICVFDLATSAAVGTLIYATPICPLAFWFRYRAGIPAANKIVPQFST -ATSNCIQPSHLMFAASSFKQWRGGIKFRFTFVKTKMHAGRVMVNFNPYHSTTTNTSRLTSATAVDIPQYG -VLGADPFGYSAIFDLKDGNVFEFKVPYVSPVPYANLATITGALAMYVVNPVIASSVVSSTISVVVEVAGD -SDFELANPAGLMFPVHNGGTISLQAGRVLSEAPEIVNSMTMGESITSVKQLIGISGISLLTGAAGADFQG -IVPPWFFQPTPSVLTPAPAVALPTGSFHYGGNWASCYSFMKGGTDVHIYDINRAYNIAVSQFPSSGGYNP -NTRTPDNRSSANTPVIISSQGSVHARLPAYFSTSRMYSWVANLLVGTGAAWLGTNPYGAGTTVSPLAGLQ -AVYAFKADFAGTNTSGISTAIYFNTNAADDAQLAMYIGPPPVYLPPSPAVAGPYDSDSTFIQGN - ->YP_009336910.1 hypothetical protein 2 [Wenzhou picorna-like virus 33] -MLSGVLATASRVPKAVGRLFPSLAPIMGSTSWFLAASARAANAFGFSKPVVQKVPPVLRTFHNYESNIDM -PVPAVAVGAFAGNSVAVSKALGGTDIDEMSFDYILSKPSQIFRGTMSTTDAHATVEYASHVALTHMWFRA -PTTGVDRGNISFPRGAPAVGGTTAVIPSNLLYFAQHFRLWHGDLVYRVTFAKSKFHTGRVMFSFIPNYQR -VTNVSTYSEAGLAGGPAPGVFAGDLQPSQYSLVFDLKDGSEFEFEVPYIAPMPHVGINDSIGFVTMQIMD -PLVANGESSDTISFIVEVAAKPGFYFAGIAGPNVPIWPDLTAPTIEFQSGVGGITVDASQHSVGEKFMSA -KQLMLHPIMRRFSHANNTTAQGTIPLWPCSPAWGDGAPLPVNTQRQYPFIRSGMVAQCFAFGIGSTLLHM -QTAGLSVSSIVRVVQSPGDNGEAVTGTVPGLYNRPIASPNTAWAQSSRGPTSTESYLLPMLSAAPRFRIG -DFNNASPTRDWSPGGSSTISTDSLAVKTTYNWSVANVDGATRLWSWGVSAADDARCAAWIGPCPMVLANN -GSTTNTFYIDGGVNN - ->YP_009336761.1 hypothetical protein 2 [Changjiang crawfish virus 3] -MVTPMTYMLDEATLCGKLEANQHPGLSGGADVQDLKVYLARPHAWTSGTFGTGPGSQVVLSIDTYADLVT -LLGPTTLDRMKGSRGFRATLCFKVVVSATPFHQGIANLSFQYGALDQNNANCRALYYYKSTDLPHVMLDI -SESTSVELRVPYLSPYEYFGGFSDGESLDYGAVALTRLTDFRLAGTQVAARYTIYVWLEDVELVGAVPFE -LSTVLLQAGSSVNELKESKLISRGLEATARVAGAIGSIPSLRPIMTSTAWFARLAAGVASSFGYSKPVDQ -TIVKRRLLTTYGGEAHIDMPTSSFKASPFQTNELRVGPVSGTVADEMSFDYIFSKPSYVFRKEIASTVAA -GDLIYASRVYPKAFWFRDNSGSGNIPFPAQATLTTNALAPSHLCYVGDNFRYWRGSIRYHFKFSKTKMHG -GRVVVNFVPYANSAVSAPVSSSAIIPTAGAFGTDLSGLTKVFDLKDGSEFTYDVPFIYPFPFASTNTSIG -TLTMHMIAPLNSPTNSASTIDMMVFVSALPGFEFAGMQGSIMDGVPFNSTPVVYTQSGGATLSDDVSMDT -IGERFTSVKQIAMVPDFHIGPDKANATISAFVLPPWFRKNSLFPFANPIANNVQAVWFGSKSGRMAEMFA -FVNGSTEIVAYHDGPDTNAAMHVSVAPIDGNGSVTGVSSLYVKNPAALGHTVIEYAQSALRVNLPAYAAA -KRIPFYVYNNGAGTSESTDLTSFVTNYPLMRHAYFFRFRNNSGSARRLVITRAAGDDATMGQYIGPPLVC -FFQSTSTVSPNPSAVI - ->YP_009336754.1 hypothetical protein 2 [Shahe picorna-like virus 9] -MIRTGTLPTTRGGIVSDEVSVTSLSTWYPNFLTRLAGVYAIRFSICFTVRVSTTPFNQGILCSSFQYASS -IGNAYEFTRGTYSFSADQVPSVCINFEESNECTLKIPFLSTLEYLPLRGGFVNVLPYGTWTMNNLVNAPA -LPTTSNPTYKVYIHLEDLELIGVTSLVDNTAILNSGYGTKDSVRVVRKMAKGIAVADKELRKSKVISSTL -GSVGKALNIVSKVPVIGSYMGTPSWLANTLAKTASAFGYAAPATEEACQLKLDRQTLDPTHIDVPIASSK -LSNFQSNKLEISEAMGASTEDQMSFAYVLSKPSQIYVGEITTADISNTLVYGTKISPMSFWFRSTGNGNI -PMPFASTATTNCIYPSNIMNLCDHFRYWRGGITFNIEFSKTQFHAGQLLVTFIPFAETGSANVINNIARI -PETTGGLTQPNQFSMLLDLRSGSSFDFHVPFIFDTPYASVNDSTGSLSVVVVNPLLATSTQVSTSIDFIV -RVSARDDFEFAVPVPPSFCVANTNFGEAFLQSGYGTMDNSENYDGFGGDVSVEPSANIIGEKFNSLKQLA -MIPTWFYALVPNITIAEFAIPHWTYTPSFTLAVPMSTTASAPLATSHCGKIASMFAFSNGSTRFTVQPQG -TTSINNLVAYYKPNPSNVGTASYNGFGNARTKRLYANGGTVISSNRTTTMDVPLYSRVQRLDHANYHGTA -SVRNYVGIPISGLVHQQFQCQSVPMLSVRNTSGSGRDLYFAFSAGEDATAVAFIGPAPVILFNAAATVSP -NASTLFIEG - ->YP_009336748.1 hypothetical protein 2 [Changjiang picorna-like virus 7] -MLFNNTNPTTPEVAQVEKCDEIEGITVQGRTEDYDVASFNNEAAVCAEAPGTTSYTNLKQDIDDNSIRRL -LSRPVLITAGNLAAAPGRPAAASFQSADFRNAFGTLTWDRMNGYLGVRFTLKITCIISKTAFHQGVLSLV -WQYGCNTDNKFRGNHFPLSVHLPNVRMNLAEETMMVLSVPFVFSEEYLKISTVGTDLQRYGSYALVNLTG -CPVVAGQSVPRYSIYFSMEDVELIGHVPFNTTTVVTQSGVPKHSEAHMKAGGASRSRVTDEGHAKGVLSG -AVSAMSGVANAVSLVPGLSSVGGAADWFLRSTSGALEAFGFSKPLDEKLTTRVARNIYTGEGQTDLPNVG -FSLAPFQSNKLAVDASVGCTEEDHMALDYILTKYSYIYRGEYSTTQSTGDTIYTAPITPSAFWYRDRALS -VVGATGNLPLKAANTATENAFYPSTLCYLSDNFRYWRGNLKFRFTFACTKLHGGRVVATFIPVRSTGINA -GTPITTTRVIPTTGGTGPNLTGESMVFDLQDGTTFEYVVPFVYPNAYCGVLSEYIGDLSLMVVSPLTANS -VVPSIVNFMVEVCAEPGFELAVNTASLMSAVPGAGTLAVSFQSGLSDLTVSNKASEQCIGEVFKSVKSLM -QIPDYYVADIAGNTIGSSTLDPWFKPNCPAVTTPMSTTTQALYFAARSSRVAEMYAYVRGSTGYVLLKDR -TGSLTHSFKFATEDGNVSSATLGSFYDKGLAPNGCSVVPEALESSRVVIPTYAKIPRIPLDIRDFGFGGA -RSFPTNDVWLTSATNAVPTLWIRNNTAAGARYMLGRFAADDAICSQFIGPPPVIILNVLATTNPIFGSGI -GTEW - ->YP_009336738.1 hypothetical protein 2 [Changjiang picorna-like virus 8] -MVFTTTVAANPFHGGVLATCYQYDGDNLSTYQFCRVNAPQLSTHLPHVRLDLSENTMTMFTVPWLYPIDY -LPLDSTMSGLPLGVFGLEQIVPTPTLASSPAPTYRVFVHLENLELIGSRPMAEQVVVAQSGGRVSKAPHS -KAIINEQHNTGVVSGVLDKVSNAATLLSDVPLLSTVAGPAAWVAGLAGNVASIFGFSKPRDRSMPVRHFR -TDYVGEGNTDMPNSAYTLAITSENQLTVDSTLGGMDLDEMSLKYVLSKWSQLNLFTMTTTDTMGTVLYSG -NTCLMNYWYRAGSTKPFSSIAPPFNSATENCFYPTNLMYWAQFFKMWNGTLKYRFTFGKSKFHAGRVIVA -FTPQARQVGRTTRNIDDVASIERTGGVPGTIPQPFSYTKIFDLKDSSSFEFDVPYLSPYLWTGINGYTGG -LTLTVLDPLIANGECATNIPVLLEVCGGDDFEFAFPATATMVPVTGNSGLIARVQSGGTLGVKNQNVHEY -TVGEVVKSVKQIIMCPAAVTADIAPSGQFFTVHAPFPYIPAFTNTVPMPVNVARWQTSSKPGLASACYAY -YNGATSYHIYTDKNDRTATYFYINGIDQGASAAPINSVYNAQNTTICNHRVNTGNSSLHVEVPPYTYYPR -QSIRRMYNPITTGYFPNNANFAQTDNNFSQPVSYVRNLDTVKGNRVIWAMAAADDSRLATFIGPPPVYLF -NPLSTARVELSPGTA - ->YP_009336730.1 hypothetical protein 2 [Changjiang crawfish virus 2] -MQNEESIVDTSIFSSDATKCAEIVGSDESTISEQGDISDLKKYLARPRAINQGSFSTGTGLELDRGFVNR -ASWVTILGQSAFDRMDGAVGFRATLCFRLVATATPFHQGIAALSWQYGTTANISQNGLRCRLPALATNLP -HVKLDLAEQTAVELRVPYIAPFEYIPIDVGVGANTAQYGTLGLTRLTDFRLGGTQTAARYTLYVWLEDVQ -LVGAFPVESTVITLQAGLTDELRKSKLVTKGLDAVTKVATGLSSIPTLKGVMGTTAWYARHLAGLASSYG -FSRPVDETMVKRKHVINYAGESHIDMPLAAFKASPFQTNLVSPSKVGGTDEDQMALSYVLSKPSMVFRRV -WDSTFAIGDYIYAGHVSPMSLWFRNNPTGLSGNRAIPSNATLTTSCFIPSALMYVGAGFRYWRGNLRYTF -QFSKTKMHGGRVVITFTPSRTTALSAPIGNTVTVPTSGSTTGVSMQGYTKMFDLRDSSVVDFVVPYVSSE -PYANVGFSTGTLTVAVASPLNSPTAAADSIDMLVYVAAEPDFEFAAMAPTIVDGTDHRSNQTATAGIFLQ -AGGVSPDKGTSQLVIGEIFKSVKQIAMVPSWHTWDVVNDNAITYSLKPWYRKNWLPVLSGNSAISNTATA -VWYGSPLGRMIEMYAYGYGSTLYTVISDKPDASSSTMEVFVVPSDAGTTPASLGDIYNRQSAASTGHTIA -ETRGTIRVTIPNWSRVRRIPILAANNGTSEASNPGFITGTAGTYNQEHEYALSVRNNTGSTIRYNMGRSA -GDDFVLSQYIGPPLCNFFQSTATSRPNPSTLPF - ->YP_009336699.1 hypothetical protein 2 [Changjiang picorna-like virus 11] -MADSTMVCNTIDGVEINANTVGTGVSNFVNEACSDMTFRGETYRPLPEMITDNTSAQDINSFFSRPRRLW -YGVYNAFYNDDKQIFSTIVGSSYMKDWHYEKMKGAFGFRATFCYKVVFTATPFHGGIMRFAFAPTADKPI -PRGNNILAVSQLPGVDIDLSESTSATIKIPWVSPLNFLPVQAPSTQVVEDTNLGVVYLSKMTEAIVGAGA -EAPTYTIWTWLEDLELIGARASQVTVFPEAQAGKFERHGKSASSKESDAIPGNVSNILSAGSKLSMWLGS -KVPLISSYAGPVSWVLRESAKVAASYGWSKPIDSKPAAKVIRTLNVSQWNSDTPYTGHNLGLFADNAVCA -YPGFAGTDLDEMSFEYVLGVSAAISAPTYAKTDGVGKVLYTCSLAPDSMKLSSNNVNNSKFGLSYLPIWV -APVCGLAQLFQLYRGGFKFRIKLAKTCYHTGRLLVGFNPTNRSNAYENFVPADSSSNAAFYEPISYKSVI -WDLRDDSVLDFECPFIAPVSYLPTGQPFGDFFIRVLDELECPDTVSATLRFVVEVSCLPDFEFAIPVTPN -MSIYPLNGATPLADVEAQSGKFEPTKPTNTVDAANYCIGERIRSIKQLLLRASLYTVAVNGSTTQVYSQV -EFPTITAGAEKAMENESRDYLNWLGSAYIYRRGSVCVSAVATSPDTLLTAYHGLGTSIDMSPVVTENVGA -VHVKMPYYSAVSRSATTTTVLQPYDVYDFVTVAVSGPSTTRPVAIYRSVADDFQLGAFVGFPPITVSDVS -ANTRLLKTSITSKI - ->YP_009336675.1 hypothetical protein 2 [Changjiang picorna-like virus 10] -MLRDDTELCSNLEGLHMTGDTKTVTGVTTLLSDACESTEVLGKHYAPGKVVRSQPELQDLKEYFRRPRII -ASGALPNSVRNLFNQWEFTSANIFSTWFPGGITRLLGVYGCKFKIVFTLQVAATPFHQGLLCLNWQYGTT -AGSTVTMPRNKFSPLSTNIPHVRLDLAESTMAQLTVPFLYEFEFMPIGSNNTPPYGILGLNLLLPIPVVV -GINAPTYKLLVHLEDLELIGASHFATSTVVAQAGRKMSPIKEEFENDAYPYSSTLHSASRTLGWIAKGIP -SLASLTAPAAWFLDKSAGVLRSYGFAKPLVQDPPMRAQKLLTANEQVVDVPSTALMVAPFASNSLSVDAQ -FGGTAVDEMSLAFINSRWAQICVGSISTARNTGTVIYATGVGPSYFWFRTRANPPFCNVPVPAYSATGNN -GILPSHLLFTSSCFKLWRGGFKFRFTFSKTKLHGGRMLVAYFPYSNLGSNTAITGTTSAPVLPTYLAPEF -ASSAPQPTGLSTIFDLRDSSIFEFEVPYSSYVPHMRFNELMGSLTMSVLDNVQATGVVSDTIDFMVEVCG -GPDFELSVPIGPIFAASQAGTPVAQSGRVLTSTATDVCELTIGECVTSVKQLIMIPKWRSDSAYAGATYG -GNVYPWWYSPVQSVLTPGPTSFPSETFGFGAYFSSAYNYARGSTEVHAYAINAANSAGVVWIASMNPANN -GAVPTDNSPNTGTGSNAPRVIATDNSALHVRFPSYQNIVRYGANDITSDWSFELDNVAKIQAPLTVPNNN -LIGPAVGMITMQNIGVTTITYISRAAGEDGMLAHYLGPPTFILPGVVAASGGYDPDMVGLKPY - ->YP_009336620.1 hypothetical protein 2 [Changjiang picorna-like virus 6] -MKTTAVTMFQNEADVCAEVNASANPTIVPDDDIGDLKKYLSRPFPWRSGSFTASPGAQETFNISSLGNVT -TVFGQGIFDKMEGALGFRATFCFKVVVTATPFHQGVAAISFQYGNISAGNNTRGSFYYLAPNLPHVKLDI -AEQTSATLKVPFISACEYWPLKSEAEDWNVNMGTFAITRLTSFRLTGTQTAPRYTVYTWLEDVELVGAYP -YATKTVTFQAGLDAELRESKLVSRGLTTVSKIAGSLTQVPIIGPIAGHTAWFSRLLSGAASSFGYSRPVD -ETVVRRKMIVGYAGESHVDMPSSSFKATPFQTNKLAVGKLGGNGIDEMSFEHILAKPSYIYRKQFDSTGA -VGDLLYCSIVSPSCFWYRDNVGTGNIGLPPNATLTTSAFAPSTIMYLGSNFRYWRGKFRYHIKFSKTKMH -GGRVLLSFTPSSIFNQNSPITTSMEIPDSNTAGVQMTGYSKMFDLRDGSEVEFDIPFTCQSPYQTFTGTT -GVFAIQIISPLNSPTNASDIVDMMVSVSALPGFEFSAIMPSLIDGVAPNGSISDAGVYKQAGGATDTSDA -SMYIPGEKYTSVKQLMMIPDWHIFDQANATTLTFTLGPWFKKNYLPNTTGTTPIGSTATAAYYGSKSGRM -QDLFAFANGSAEYTVITDKPDAAATTITVFTSPNDTGNTFTAPGSLYQKGLNEFAAHTMFENRGSFRVCV -PSFTKYQRVPAALFSGLVGSDATAPSNYTVSGVFTAHVTAMRVRNNSLTTTRVAFGKAAGDDATVSQFIG -PPLCNFFQATAANSPNPSTLPF - ->YP_009336531.1 hypothetical protein 2 [Changjiang picorna-like virus 9] -MVQLKVPFLWHYEFLPVQDTTAGVPYGLAALNVILPVPAVAGLALPTYKIFVHMDDMELLGADNQVATSI -IPQGGKFVEKEVTDNKPSSVVAHVSDTVRFLARGIPAISSFTSPANWFLDGVAGSLKAFGYSKPLNLESI -HRMQRRYDVGENNVDLPVGNQLLGPLSDNHLPVSTFCNTDVDEMSLKYILSQYNQICIGGVTPSEVHGTV -IYGTNVSPSFFWFRSTSLPYGNWPAPLYSTATTNAFIPSNLFYIGQMFRLWRGGFRFRVTFAKTKHHGGR -MMISYNPTYNDFLTSSNTGTPVSAPEISGGLVQPFGYTMICDLRDDNVFEFDVSYWCPAPYMAFDSHIGG -LTITVMDPLQAPAVVNQGVGFLVEVKALPDFHFAVPRGPRYPPMVGNPAIRKQSGKMVSILKESDCQDCI -GEKIESVKQLIMIPSRTATTGINPSTFATQVIPPWFAYRQPDTSVPFPNGFSRPFSMRYGSSIALMYTYA -TGSTDFGIVPVTPDTSPLLEIGYTPSDEGIGFAANNVQQKPANSILRQYARGDQGLFVRTPAYFKYKRVP -VHYWVSGSNNFLIDGTRNNRFSSALHAQSRIVQPYVQITNGAVASYFRMYLSAGDDARLGLFIGPPPLHL -TQSGYSADIDSDYPVVAS - ->YP_009336954.1 hypothetical protein 2 [Wenzhou picorna-like virus 31] -MVSVFGQPSYNRMDGAKGFRATLCFKVVVTATPFHQGVAALSFQYGTANMINGRRGNFPYLATNLPHVKI -DVAEQTSVELRVPYLCASEYFPVDVTAQDGFANNYGTVALTRLTNFRLAATQTAVRYSIYTWLEDVELIG -AYPFETASVLLQSGGSTVTSELRASKLISRGLGAVSSVASSLASYPSLSAVMGSTAWFTRAAAGLASSFG -FSRPVDETIVRRKAIVGYMGESHVDMPSSAFKAAPFQSNTLAVGSVGGNGLDEMSFNFILSKPAMIYRKR -FSSAAAVGDNLYAGIVSPSCFWYRDDIGSGNNSIPPNATLTTSCFAPSHLMYIGSNFRYWRGGFKYTFQF -SKSKMHGGRVVISYVPGTAFSLNGPISNLQDIPVAGATGVQMTAYTKMFDLRDSSTVEFEVPYINESPYT -LFTGCIGTLSVQVVSPLNSPSTAADSVDMLVYVEALPDFEFAGLCPSMLDATSFRSDNTASGVYVQAGGV -ASSSDASQYVIGEKFRSVKQLAMIPDWHVFDQANATALEFTLGPWFRKNYLPIITGTTPIANTASAVWYG -SKCGRMQEMFAFVYGSTEWTAITDDPDGAANGMSLFVTPTDGNNAITGAGSLYNRNLSSPSGHIIYESRG -ALRTVVPPFSKYQRIPHYAYNVGSGTSETINPGTYTVAGSYAPHLYTLRVRNNTGVTRRIALGRAAADNA -TMGQYIGPPLCNYFQATASTPPNPSVLPF - ->YP_009333536.1 hypothetical protein 2 [Beihai picorna-like virus 79] -MDMQDMAVAINTVNEETAAFEGEAFAPSAVMRAEPQFDRLGDDMTADVRRILARPKFLTKRQLLSDPKTG -FLQDYNLSRKFYDDNFKISERFAGSLGIRFTTCFRIVVAASPQVGGRLRAFYNPVAVADDDNNVITTYKD -MSWANKSPTAYTQLPGAELDLEQSTALDYRIPYTHFLEYMPLGGQPIAEDGICLGTFNITDYLKIAFDAS -TSVPYLTVYTWLEDIEVIGARNPQLEATWFGQANSEPNHIFAGIVRGGAIVEGNAVTSPAHNLTTKFLSD -GNFIISYDLTKNEYFMEMTVDYTDTDGDQQDIIVRTQVDFDWSRSNQPVYIRFNEGIYNAPKNNTLKMEI -NGSIGQQPGSKQIKEPLILRSDKLIQSTVILTFAWYDEASKKDWSLIAPISVTSSDFYTDVSIVEASGKH -SYIDGTNKVTYNVYSVQPIGVPENGYYYTVAQQGPNLGYIEKYTVNNFPDESATFYNNGITANYDLTTTD -VDGAGTFDMYVEAKKGNSVGYSVSLSGLVYPEPKIESQSGMAEDIERDGPFSGPLYKLGKAASLVGSAIP -LISSVTTPLAWATRVGANMASAFGYSRPVQCEKTDRFWNTQNHFQNNADGPDGAFNLGLLQANSVCPDGT -VGGTNVDEMAISYLVSKPAAIDRFTVDTAATGRVYQLGLCPDSMYFNADYANSAVSHPPFTWDSDISFPG -AVVNPAPTFWLGQMFTYFRGGFKFRIKCNKTRFHGGRMMLMFTPYSYQDFYNKVLFSPDANDPNMDDDLY -GQSIVWDLRESSEIEFECPFIALSPYLPTAVPYGTFSISVIDNINAPANVMQELSFVVESSAMPDFELAF -PVQKDYIPNPANDLRTYGSTYAPVTTSELEIESQSGVPIPLNDKSCQCIGEKIMSVKQLLSRAEWSVYSA -MKKMAEPYVPGGLKGCIRMYPWYSPRNYFGFYDNTCKGYYKLSTHALIASAFAFARGGTCYDNNAAVAHP -MLFSYNDLYRTANSSIGAGSNLFEVTNFMKAKDPFYSQTTKVPTLPSYNPVQISSTKYNFSPYRKPEFVF -LFGQDDDITSSRAADDAQLGFFLCAPQMMYTSLTNSDPDTFTVPIADGFDLTCLGSVDGTDFERVFQVTA -SEDKKKKH - ->YP_009333142.1 hypothetical protein 2 [Sanxia picorna-like virus 12] -MKTSFVMPTEDYQSLRDYFARPRLIVTGALPTTRISFVNSEVTPTVLFNTWFPGSLTRLAGVHGVRFTLR -FTLTVAATPFQQALLCQSFQYGTDSTIAAGSVIYKRLGNSAMVTNLPHVRHNITDTTMTVFDVPFLYAKD -YLPLYYDGSSSTNSLGQYSANALLPYRAVAGANAPTFKLFVSIHELELIGSAPQVATTVVVQSGAGRKES -LVGGNNPKEAEKKSMKLSDRLSQASKVATIAAFVPALTGVAGPTAIAAEAAARVARAFGYSRPQVEDQPT -RVYRNNYCADANVDIPSNSYVLAPMQRNEVRTDAMAGGTDVDEMALAYVLEKPCQIFAGDFSTTDSVGTT -LYGSAVCPTNFWFRSNSARPGGNITLPSTAGLTTNAIYPSTLCYIGSMFRYWRGGLKFTFTFSKTKFHGG -RVIVAFVPGLTDNPSNSVLSNTIPTIENGGLPQPFSYCEVFDLRDADTFEFHVPYVSPTPYTPVTASIGG -ITMTVLDPLVSSGETSGTVDYIVEVQAEPDFRLGCPAPCMFTPASSTGTGVVFQQSGLGGVSDLPDNVDE -YTTGETILSLKTLMMIPTYVVDSFDAGTTSLWEAWPYWTRSLWTMAVPMAPVTSKTFAFSRSGNIAACYA -FVVGSTEHHVYCPVTNGVYISASANERDGNAGAGAADPRSRSVSGKQRVLTTDNSLHLRVPLYARVARVP -LASSSAAFGADIGTTTFAGGGDKYISNYNQILVRNGTTAAIPFFYGRAAADDARCVGWLGPPPVVLFSST -VTFGPDSPGDFNF - ->YP_009329820.1 structural protein [Bivalve RNA virus G2] -MQGMAVPTNVETKEVTAYMNEAMSRAVNGKVFPPPPTLSELQDDVYNDVRRYLSRPKAVLRRSLDDNWSA -TVPVVLDAAFFEQFFSGRLGGALGFRATMCFRLQVATTPYIGGMLRLVFQPFYDADNPDTSTSRFQNRAT -AIPTAYQLPGVMLDLATETVCEFKVPYAYHQDFLYNPIAASARTQYTYGTASVTPHLRPVFPVNGGAASY -PWTLYFWMEDVEILGSTGTSMQTDTSADLSYGLLAQSGMPKEEEFVVSEALYAGSHALDVVSRAPGAQYL -RPLSWASRVAAKTAEAMGFSKPTNLMPQRPVSVQTVTNQFHADGDNAVVTLATLSDAKIAYDTKCFGVDH -DEMELDRFLSHSGLLYVGSVSAFESARNTRLFSLPICPAAMYYNTGVSLGQAVRPIDKFARRTTTNGQIY -TTPAFIMSTCSTLWRGNFRIKVRFGKTKFHTGRVALCYQPHSIRPQLMADLGVTAVTNVPDFSKSAETDN -LTVIWDLREGNEADIVIPFTSSQPYLPVESWAGTFSLVVLDNLVFPESVAQYYRYSVSVSCEPGFRFAGF -KEPFVYVSPTDLATYTVQSQSGLQSAECQAIGEEILSVKQLLMKSDTASVNGGTTPHPWLGAGTSRVMPL -GCNVVGNTLAYDVSGALGGFARFCLHDLFVHSFAMMRGSTDFEGKCTTANSGVAFSLRV - ->YP_009255228.1 structural protein [Antarctic picorna-like virus 1] -MPFEAMALCISLATTHNEDLRDTLVECNEVEGLSLNPHPERIGVADISNEACQTVKVGVKAKTSFIVPTT -GYQDLLEYLARPRLISSGSLPTTRTSFVLWDITRSNLTFNWFPNLLNRIQGVYGIRFTTRFTVMTASTPF -QASLLCQSVQYGTTLANTSMYPRLANPAFVTNLPHVKHDIIETTMSIFDIPFLYPQDFMPLYPVNGGDNS -SLGCVGAYALTSLMPYRALAGANAPTYKILVSLHDVELIGSMPANAGAVLIQSGLSDRGSANSAFKGRSS -MGNATLAEEKSVKGSDFARKAATTLRTIAPYVPMLGSVGHLTANLLDVGANVASAFGFAKPQVQEAPTRV -MRNSHIGEANVDIDTASYVLAPFQNNRLAIDALAGGTEVDEMAIQYVVGKYGQAFVGNMATTDATGSVLY -GSQVCPTNFWFRTNSLRPSGNIALPVGSTAITNSIACTPLCYVSSFFRYWRGTMKFKFTFSKTKFHGGRV -IVAFVPGINDPGPAGVLSNLAATIEIASGLPQPFSYCEVFDLKDSSAFEFSVPFVSPDPFVDVTASIGTV -TMTVLDPLVTTGETSTSIDYLVEIKAEDDFQFGCPAPPMFGLLNSASLANIVYFQSGLGGIDDIDDSVTQ -YTTGESILSLKSLMMIPNFIAADLPPNTTSITSAYPYWYSSKIPAVVPLPPTTTAIGGFSRAGNIAAMYA -FVSGSTEHHLYHYAGGSANVTLSAAAYRVDNGAVPSSLGDPRRRGIASTQRILTNDTFLHVRAPSYQRYA -RVPIWEGNTLNNFGAIGLDLVLASGSIANHVRLIVNNASGAATRIAYGRSAADDARCFAYIGPPPVALLQ -ATQSVEPDGQPILF - diff --git a/seq/clusters_seq/cluster_27 b/seq/clusters_seq/cluster_27 deleted file mode 100644 index d5f9677..0000000 --- a/seq/clusters_seq/cluster_27 +++ /dev/null @@ -1,644 +0,0 @@ ->YP_010087296.1 nucleoprotein [Garba virus] -MTTLYRNGKPVEVKLAVDKLEPEFPAKFFEGGKKPKIIVPKYEGNLEALYKAIRGEIKTRALTAKSIKAF -LYKYGITIKTQNDQDWISFGVAIAKANEEVNPWNLCDIEENGTYESGLSEPTREKDHVWMFVYCIGLYRL -GKITDPTYQNNIIKTVDKVLKTIDKEAASLSTGAETAVQVVNDVNYCKMIACLDMYFYQFKNHDLAVARV -GSISSRYRDCAALLGINHLTMLGGGDPSVGVRWALEPVVQDDIDRLITPGQETSKTGSYMPYMMDLGASK -LSPYSTTVNSSFHLFVHAAGALSLDQRSINARFLPAPNVNGIIRNAAFFIFAMNKSVDWSIGFTKGPEVE -KPPLRLQISGGRNVLPKSREIEDWMNWRKSMKDEFDGVIDEFLRDRASRIANVRPSTVGHYIKTTYGV - ->YP_010086760.1 nucleoprotein [Holmes Jungle virus] -MKSVRTSESIKYIQPGDKPKPQYPREFFEKNPGQKPLVNVPHKDIDLANIRNIIKSGILQGGLVISYVLR -FIYLIGKAIGDELDDNWQSFNVIIGGKGDKITPWSLVEIKEEDSRRVDANVDQTATADDDKWMLMYILFV -YRHARAQVSNYKKTLFEKFQTQISPLLPNKITLTSPPPLYNSWLSNKNYCKMIAAIDMFFCHFPQHQDSN -LRFGTITSRFRDCAALTSLEHFRETVGFKGDEMFGWIFVGSLEEECHVLMKSGQELDQPGSYSPYLVDFG -LSLKSPYSASTCPGMYTYCHLVGSLLTSTRSQNAKMISDKNLVNIRTNALLVAYVFSENIECKVYFTDNQ -EFLQAGLDGQDQLTEIPDDDGVSSSLSMQDDMPKSKDPIEWFMYLKSLKFQIPNIIKDFGKTEASKMSQC -RVGSIGKHLNDAF - ->YP_010086573.1 nucleoprotein [Harrison Dam virus] -MSLSKVYNQLTQKSVGVPLIADKDKPEYPSEVLHEGKTIGLVIPNYNGTLQALARAVRHGIDKNKLSCDV -AKVYLYCYFKEIKEQLDHNWVSFGITIGAKGQTITPFNIFTVTRAGEITLGESAEADESDYLWMAIYLLV -AYRVGRATKGDYKSKIAEASERVLKAAKPSAPKYQASWGSSDQWINDINYCKIVAGIDMFFFKFKNNTAA -ICRIGTITSRYRDAAALISLNHLRKICDGDLTMGLTWIFCPAVSVEFDRLAKEGQEVEENFSFTPYIIDL -QISRLSPYSTTQNPGVHLFIHATGTFLLKRRSIDARMSDCTGEAGIIKNAGLLAFVVNSKMDWKLIYSDG -PKEEKQGARGLLAAIDRKSEDLPEGREAADWYKWLKKKDFQLPEVVIKFMKDQASRIVNPRQDSVGEKIQ -IMYGD - ->YP_010086558.1 nucleoprotein [Kwatta virus] -MPLSIVSTLSGKKVDPLVVEDKEPLEYPSKYFTIHSEITIFKVQHSLKLNALAGGLIAQLNASVGSVEGA -RAFLTDYVSQFTQKLSEDWTSFGVPLGRADAEVHAFSYVAWKEGPDKYTTSGGNPVDDEKDLLCLAVYLC -TVYRIGRTSIAEYRTRLVAAGDTFIRGIKANFVGLDNCLDFCDRWVQDQNYCKIVACIDLFFKKFKNHDI -ARIRFGTVAARFRECTALLSLAHYQDLVGMKIEQALRWIWIPRVAEQINNLMMPGQEVLDVTSLMPYMMD -LGLSKRSPYSAASNPEWHMYVHFIGALMGFKRSVDARSLMVSGESNIMGNALVVAFVCRSRLTMERMYIT -QEEHEAVEKKRQQNASAAAALPTKSGTQEKAKKQEETKDDDSDAEEESEFEVDITGLPETQDPDMWFFFM -KGEKFRIPDAIYDWGTFRAKAIASTIRKGSVGERLVLTFLGAQE - ->YP_010084452.1 nucleoprotein [Lepeophtheirus salmonis rhabdovirus 9] -MAAKHPESININKGPGASPALFSRTDMETEFHVNLSKPGIQSQYPSEWFSAGNKRPSVSVKVPTTYLKKE -SRDYFCNLLNNSLTVPEWSTIQGMVWLSEMYPSQLKGNDVLESFGIKLTDDKNQVTVKSILNYAEVEDPT -TTLKPPANNKTEWTDQEYFVGITAVLVSYRYHRLVHEEGREALLRRLAGMLTSQTLSLASIVRKALPEPG -EDMRKVMAALDLQFNLGDGNPEFKRVRMGTVHLLQRDQTFLSEVLAISGWFGTESYEMIKWIFSAEVAKE -VRDRFSHADEEAGQQFSYSAYMIDFGISNRSPYSVTANPLFHMWVHIIGVLLGKVRSYNAIQLEDGLIGR -YFLASALTAFRWSQSSTLDTQIFEKIVRDDIQEEYNNKRKNRDLLEHQLGPGGEEDFADDFSEDSDDDED -TIRKKIRTPREAVAWCGGVWKRPLFEWVDGTLSSKVHNMRQGSMGRAVREYWKSNRSSINRDRWILTPWD -EGHSSSY - ->YP_009176993.1 N protein [Santa barbara virus] -MYCNITEEVITPCIPKEQSDPQYPSDFFGKKQKPQLIIPQSNWEDKALRNAVYYGILGGDLDIRLALQYL -YRTLKKLEGELSDDWESFGLKIGRKGEKINPFSMYDVTETQEPNIDGKTGKEVSEEEDQWMPFWILSHYR -LAKTQNDAHRVTLAERLNEQILSINPDASEVTSGKSLFLGWALNANYSKIVAGLDMFLNKFKQHSHSFLK -MTTLPSRYRDCSAILNLSHISSVTGLDITAVLDWVFVGQVAKEINQMLKPGQEIDKNDSYTPYLMDMGLS -MKSPYSATACPTFHTWCNIICCLLHSERSKNARLISENNIMNVTANAMIMVYVISNRVSIVKAYSKEKPP -VKMNPTPAPAAKITINNSNADSSDESDDESISSEFLARPRTNDPADWFQYLINNDCNVPEEIKRYIRSEA -RKITNPRQGTIGKHVLEKMA - ->YP_009666511.1 nucleoprotein [Caligus rogercresseyi rhabdovirus] -MDDDIIHFKTGKVFNLLAPVENLPTQYPATWFQQEKKKPYLTLRLPQSLIAEPARIVALLEAQMQIGRVT -PDVLAFGYKFLLDREVLTRDGPAWVSFDQQITHENKDRLDGILTMTMQAEDGLEMKEGKPTLVDTLYVNL -VVCGAIVRRDRVANVAGKDRITQIVTRAVQSSMGIDISGYLGSVQVTTNATTTKIMAAVDMYLYNRRDSM -YGFLRLGTVCTRYRDCTVLSEFVLLEDLSQTKCKEAISWILSPIVSDELISTMEPGQETHSVGSYMPYMM -DLQLSTRSPYSTSENPKYHTWIHIVGTLLHKDRCRKAAVIEEALIGRLFKGAALAAYRWTESDEVVQVFG -RMRDKVIEESAAEIRRSQLEANFLDGTDPEEDSPGAYADWARDEYPRKLFQFIDNTLNGQFMVIRKGSMG -DFVRNHWAGHRDSLVPEKFELPPWNGSIFPNA - ->YP_009664712.1 N protein, partial [Bas-Congo tibrovirus] -PRTYFESNGFKKPSLRLPKSNLSLKDLREIIKGSLKASTIDPEYTLLFLKQYVTRFKAQIAEDWISFDVK -IGRAGETISPLNLFAIEEYDPKLRDMVKDTTAEEDDDHWMAFVLLSIYRISKVLNPAYRATLIGKMNSQA -QGINSNALKVLDNAVIFNVLSSNNSYVKLVAGTDMILFRMGDGEHSLYRWGTVVTRHEDCSALLSIAHIC -KLLGKEIEEFLEWIFVNSVGRDVEKILEPGQELDKPYSYGPYMKGLGLVTRSIYSASACSNLYTFCHMLG -AVLHSDRSKHARMINEGNLINIKLNTSVIAWVLGSRGSLVQIFVKNSRKDEVLSKQTKNQGKIETDSLVS -FDEDEIPQTSDPHEWYDYLARRDFDIPDVIMNAVRNEAKKLVGTRIGTIGHYVQTTY - ->YP_009362267.1 nucleoprotein [Chaco virus] -MSTEPTLKFIHTKKEVIVKRSTTGKNFTYPNKGFKGKPEVTVGIFKGKHGDLKNIIFSELIKGTLTPDLF -IRWLITSDVSEENLLDNDWKSYGVTIGLKGKNVRWIDLLEIKDGSEINLDKVTEKPTNIDDTLLATLILS -IYRVNSAQNNDHKTKICDHIETFLKDAGLDSSISISDWIRTYSGWIKNKNFLKVVAALDMYYNKFSSSER -ASIRIGTLGSRHKDMAAWVSLGYLVKITGQTGNELLRWIWERPVAEQLVRICEPGQEDDKDDSYFPYGHD -MCFVPISPYSASANPELFVWIHTIGTLLNKTRSKNARMMEDIPTSAILRNALIMAYAVSQYSSMIGQFTE -SGNTEESRVPDGQSGTIPKTVRGDVWLKWIKAQKGTIPPLIISWYTKIQGELQGSRSGTVAETIHSTVI - ->YP_009362259.1 nucleoprotein [Klamath virus] -MEGLKLYSKKSQRSLPTAVPLDRDVAEYPSEFFERARVKPQFQYVQVSNTLEDLRQMVAGMIQVSELDLE -VCKAYLIAVLLTQEEVLQNDWVSFGVIIGKRGDKVNPFCMVQMAPEGLPRVLDSQQKSSQVNAADDLWMC -MYLLCFYRLGKITNKTYLSTILDRMNSMLLGYRKDVAPLSANLQAIEAWHNDSGYCKIVACVDMFYSMFK -KSPMAAIRVGTIPARYRDCAALTAMAHFSKLLGQDLAKCLEWSFVGRVSDEIEALLQPGQELDNPFSYTP -YMMELGLSRASPYSTVRNPCWHLFCHSIGALMQSTRSINARHLEAADQSNILANAELVVYVFETHIVWAK -NFRPEGTMDASDALDSLQPETLGTSMLPASVDADAWFSWMKLHNFTLPSQCVKHCEKNARKLKNSRESSI -GKYVASRLAD - ->YP_009362229.1 nucleoprotein [Iriri virus] -MFFCPTREEVSPKLPGDDLSIQYPSTHFQNGGGKPILQVEQSDYDLKEARDSVRTGIELGEIKLPIAIRY -LQLALTKVKAPLDDDWESFGVHIGSKGDQIGPLDLLEVKVIKSPKLQSKTSKASSEDDDHWIIFWLLSHY -RIGRTQNAAYRDSLINRLNEVIKTISPEAVDINEGKGTTSGWVNNPSYCKIVGAVDMFFSRFKNEEFADL -RMGTLPSRFKDCAALLSFSHVVKVTNYEPEKILDWVFCGSIGSEAVRMLKPDQELDKPDSYMPYMMDLGL -SSKSPYSSIMNPQFHAFAHIISALLKSDRSKNARISTEQNTANVLANAEIVAYVANKSLDLCKAFVKIGE -KSPDEELEEEGTIEISEGLGFPKGSEPMEWYLYLKDSEFQIPFEIKEWVNEESKKMSGSRPNTVGSFLFN -AAY - ->YP_009362219.1 nucleoprotein [Ord River virus] -MKSVRTSETIKYVQPGDKPKPQYPKEFFDKNPNQKPLISVPHKDIDLANIRNIIKSGILQGGLQISYVLR -FIYLIGKTITDELDDGWHSFGVAIGGKGDKITPWNLVEIKEEDSRRADANIDQTATADDDKWMLMYVLFV -YRHARAQVSNYKKTLFEKFQTQVSPLIPGKITLTSPPPTYNSWLSNKNYCKMIAAIDMFFCRFPQHQDSN -LRFGTITSRFRDCAALTSLEHFRETVGFKGDEMFGWIFVGSLEEECHTLMKAGQELDQPGSYAPYLIDFG -LSLKSPYSASTCPGMYTYCHLVGSLLTSTRSQNAKMISDKNLVNIRTNALLVAYVFSENIECKVYFTNNQ -EFLQAGLDGQDQLTEIPDDDGVSSSLSMQDDMPKSKDPIEWFMYLKSLKFQIPNAIKDFGRAEAAKMSQC -RVGSIGKHLNDAF - ->YP_009362201.1 nucleoprotein [Gray Lodge virus] -MISLRTNKEIQFVAPSDKTPPQYALEFFAKNGSIRPLIMIPQKDYTLDDIRGIIHHEILQQDLKLSHVIR -YLYLVGTGITETLDENWVSFGVEIGRKGNTVNVFCMMDVKEEDDKRADGTSDPNITSDEDKWMALYLLFI -YRYSRASNAAYQAGLVDKLRMQVASVTLNPPAFNPPKGTYRAWLNNKNFTKLVAAFDMFFCKFPNHDSAF -LRFGTITSRFRDCASLLSLNHLRETAGIEGNQLFAWMFVGTLAEEAEVLMKENQELDKSDSYTPYMMDLG -LSLKSPYSAAVCPGVYTWSHLICSLLVSTRSRNARMVSESNLANIRNNAAIVAYVHAKNTECGLFFSDNK -DLIKEVQNMKDQSGDAESVDDLGDLPKSKDPAEWFVYLRGNQYQCPEEIMRFVQVESTKMNNSRPGSIGA -HLYDAFAN - ->YP_009362181.1 nucleoprotein [Joinjakaka virus] -MFSLSNKSVIKYVSPSDKVPPQYPSEYFKNHLNSKPSLTIGQKKLDLPTVRQIIKAGILKSDIKIQHVNR -YLFLLFKEQKERLDQQWASFGVTIGTKDEDINPFSLVDVSEMDDPIIDGTGDNTATPEDDPWMALYLVYV -YRHSRANNAAYQASLFERLKVQISAVSNLQVQLVSPKLSYKSWLANRSYCKIIAVLDMFYCKFPESTYSF -IRYGSVTTRYRDFAALLSLDYLRETTGMEGDDVFGWMFTSALSREANMLMEPGQEIDKCDSYTPYMMDLG -LSLKSPYSASNCPNTYTWCHIICTLLMSIRGKNARMVNESNLVNTRMNGVVVAFVYSKNFECDIQFTKNK -DLIDGNIKLDELANNTDNGLDLSEKPPAGKDPLDWFLYLKANDFQLPAPLEDFAKDAARKLTNVRIGSIG -KHISEVFM - ->YP_009362167.1 nucleoprotein [La Joya virus] -MKSIRNQTPIKYIQPGDKPKPQYPSEYFASNPQAPLVKIPHPDLDLKGARQIVKASISQGTLDVNVVIRF -IYLVGLEFKATLDTKWKSFGVDIGDVGATMCPWNLLTVEFDTHVAPRGNIDQTATKDDDLWMMAYVLFVY -RYSRAQMATYKATLFDKFKVQIAPHTDPGFIPTAPHANYSAWLSNRNYCKLIAALDMFFTHFPQHPEAFL -RIGTITSRFRDCAALTSLEHYRETVGFKGDDMFGWIFVGTLEEECYILMKPGEELDDPNSYAPYLIDLGL -SLKSPYSASTCPSMYTFCHMVGSLLTSGRSQNAKMISDKNLINIRMNALLVAYVFNENVECKIYFTDKEE -LLRAGLDGQENATQTATDALELQSLGSDNEPPKNKDPVEWFMYLSSLNFQIPQFIRNFGRQEAAKMGQCR -VGSIGKYLGDSLV - ->YP_009362160.1 nucleoprotein [Itacaiunas virus] -MFCTVKQELVTITLPQENVQVQYPSEFFSTVKDKPTLVIPQGSYDLTEVRNAVRTGMDLLDLKLPLVLRY -LQLVFEGVKDKLIKPWSSFGVQIGDADQEVTPLSMYQVNVLTEDKIGAATTKTAGKEDDVWMVFWLMSVY -RISRTGNQNYRDALVERLNGVLRSISPEAVDITDNKAVTGNWINNTSYCKLVAGLDMFLNMFKNHERSAW -RMGTLPSRGRDCAALASFSHAVTVTNLEPEEVLDWIFCGSVAEEVCRMMKPGQELDKVDSYFHYMMDMNL -STRSPYSATANPQFYTMMHMVCCLMHSERSKNSRLSSENNLSNLYANSAVMAYAVGKSLSFRKAFIKPGT -QNPDEVAAASEEIIGDDADQLPADKDPVAWYMMLKDHNMTLPEKIAAYAKSEARKLSNLRPATVGKFLFE -TA - ->YP_009362144.1 nucleoprotein [Rochambeau virus] -MFFCPTKEDVVPKLPSDDLAVQYPSTHFTNGGGRPMLQIEQIDYDLDEARDSVRTGIELGDLKLPIVIRF -MQLALDKVKATLDDDWETFGVKIGSKGDSISPMDLLEVKLIKTPKLQSKTSKKASETDDHWMLFWILAHY -RIGKTQNQNYKDSLITRLNEVIKTINHEAVDINEGKGTTSGWVNNSAYCKIAAVVDMYFFKFKTEEFSDL -RMGTLVCRFRDCAGLLAFSHVIKVTNYDAEKILDWIFCGSIGSEIMRMLKPDQELDKPDSYMPYMMDLGL -SSKSPYSSIMNPQFHAFSHIIASLLKSERSKNARISTEQNMSNVFANAKIVAFVTNKTLDMCKAFAKRGE -RSPDEEIEEAGDIEISDGSEIPKSSDPMEWYLFLKDKDFEIPREIEEWVNDESKKLVGSRPNTVGSFLYN -NAY - ->YP_009362133.1 nucleoprotein [Landjia virus] -MYSIHTKHTIDFIAPSDKLEPQYPSTFFVNNNNARPSLTVEQSNYSLDEIRKIVHHGIMHGTIKVQHAIR -YLYLVGAKVTETLESDWESFNIKIGSRGESVGIFSTLNVTEDRSVKIDGSSSPDVDEKQDCWMVLYLLFV -YRYTRATNATYQALLIDKLKVQIAAVYTGDINVPSPKATFKSWLNNKNYLKIVAAYDMFLCKFPNNEYAY -WRFGTIVSRFRDCASLLSLNHMRETAGIPGKDLFGWMYMPVLREESISMMKPGEELDKSDSYAPYMMDFG -LTLKSPYSASACPSVYTWCHFVCSWLVSARSRNARMISDAGIAQLRTNAAIVAYVHSKNYDFDLQFTDNP -GIIDGTIKIDGSGGDDDSDDDTGSTTGTIIRPDELPTTRNNVEWVQYLKSQNNQLPYKIEQFIKEESQKM -TNMRAGSIGKHLYDAYA - ->YP_009362118.1 nucleoprotein [Manitoba virus] -MYSLRAKETISFIAPSDRIEAQYPSVYFENNNHHHPTLNVEQSNYSLEEIRRIVHNGILKGTIRVQHAIR -YLYLVGVKVSEILDDDWKSFGVSIGDKGDNVNVFSMLNVVEDKSAKLDGEETPNVDEKHDGWMVLYLLFI -YRFSRATNATYQSLLVDKLRVQAASAFTGELQLPTPKTTFKSWLNNKNYLKIVAAYDMFFCKFPNNDFAY -WRFGTITSRFRDCASLLSLNHLRETAGISGNDLFGWMFMSVLDEESRKMMKEGEELDQSDSYAPYMMDFG -LTLKSPYSASACPAVYTWCHFICSWLVSSRSRNARFISDAGVAQLRTNAAIVAYVHSKNYDFELQYTDDP -DIISGKVNPNADIDDMIDQSSSNNHGGDYQDDDNQSVASTGTVIEYDELPTSRKPLEWLKFIRARKNQLP -PKIERFAIEESQKMVNMRAGSIGKHLYDAYA - ->YP_009362111.1 nucleoprotein [Marco virus] -MEVKLQDLKSEETFSYEKVADQTDPQYPSTYFQEKAKPKLTISCQKIETKTLREFVMAGVLNWDIDIKYV -NAYLHRVLSEVKSENKDRWHSFGLEIRAAGEDATPFDMYDVIINEDNPKAGITGVNVEAEVDKKLVLQLL -FVYRYHNTPNSTHLATIESKFNDQLNAIDGPRFEYRCPDAKTKLWMQDPNFLKIVAGVDMFLCKFPSHNW -AFLRFATIVSRFKDFAALTALDHLWGLLGIEGNEGMLWCWTPELGKEARRLLRPNQEISKPDSYTPYITT -MGISLKSPYSATSCPATYNWAHIVGALLGSTRSRNARLFNENNLITIRTNASIMAYAFQYSACLEQQFSK -DRATRRAEIEKKKLEQDNPDLVAEDGLNPDQEPSSKDAEAWYDWIEGLGFVLPDQIRQFVQTSARNIVSP -RDKTIGKHISEIFSAQ - ->YP_009362104.1 nucleoprotein [Sena Madureira virus] -MSTEPVLKFIHNKKDVILKRSAGTKCYTYPLKGFKGKPEITLGVYKGKKEDLKKIVFGELIKGTLDCDLF -LRWLIDSSVNEVNKLESDWKSYNVTIGQKGKEIRWTDLIDIKDGSEIKLEKATEKPTKLSDIILAILGLS -IYRVNSAQNPEHKTKLCDHIEGFLRDLGLDSSVSISDWVKTYSGWLKNKSYLKVVASIDMYFNKFSTSDN -ASVRIGTLGSRYKDMAAWVSLGYLIKITGQTGNDLLKWIWERPIAEQIVRLCEPGQEDDQDDSYFPYGHD -MGFVPISPYSTSANPDLFIWIHFIGALLNKTRSRNARMMEDIPTSSILRNSLLFAFAISQYSSMVGQFTE -SGNTEDSRTPVGQSGTMPKTVKGDVWLKWIKAQGGTIPSTIITWYNKVQTELQGARTGTVAEAITGLIL - ->YP_009512989.1 nucleoprotein [Flanders hapavirus] -MKSIRNGKQIRFLAPADKVEPQYPKAFFDANGQMAPTLTIEQSSFDLKTIRGVIYDGIMKGTLRVQYVVR -YLYLVCKEMTEVLEADWQSHGVIIGNKGDTVNPFSMFQVVEDTSNKLDATGGTNIESDQDGWMTLYLLYI -YRHSRITNVQYQTALWDRLKIQITTAHPNPGNYQTPKNIYRSWLQNKNYTKIVAGIDMFFCKFPKHDYAY -LRFGTVTSRFRDCASLLALNHLKSTAKMAGEDIFAWMFLQQLEEEAYILMKEDEELDKVDSYTPYMMDMG -ISLKSPYSATACPSIYTWCHFIGSWLVSTRSRNARMISDAGIAELRANASIVAFVYCKNYEYQLRFTNRD -DITDGADPTGNDKDDKRDDTDDDNESDTDSLESLSLLPKSKDPVEWYCYLKGLHFQTPREVLAFITAESQ -KMNNMRPNSIGKHLHDAYA - ->YP_009512979.1 nucleoprotein [Curionopolis virus] -MFFCPTKEEVTPKLPSDDLAVQYPSHHFQNGGGKPIIQIEQIDYDLKEARDSVKTGIELGELKLPIVIRY -MQLSLSRIKCTLEEDWVSFDIKIGSKGESVSPLDLLDVKLIKGPKLQSKTSKGASEEEDHWILFWLLSHY -RIGKTQNQNYRESLITRLNEVIKTISPDASDINEGKGTTSGWVNNQAYCKIAAAVDMYFFKFKTDEMSDL -RMGTLVCRFRDCAALLAFSHVVKVTNFDAEKILDWIFCGSIGSEIVRMLKPGQELDKSDSYMPYMMDLGL -SSKSPYSSIVNPQFHSFAHIIATLLKSERSRHARISTEQNMANVLANAKVVAFVTNKSLDMCKAFAKKGE -KSPDEELEEEGTIEIEGEFGMPKSSDPTEWYLYLKDLEFEIPREIDDWVNDESKKLNGSRPNTVGSFLYS -NTY - ->YP_009508528.1 nucleoprotein [Beatrice Hill virus] -MHCLVTSTSIKLTAPSDTIDVQYCSDYFEKNNKQKPKINLPKLPIGLSELRALVKGGFKANDLKLQHVLA -YLGHLLQDIKGELNEDWTSFGVLIGNKNRQITPLDILDVNYYDDKLLDGVKSNDVSPSDDAWMLFACLGI -YRLARTQNLQHRSAILMKMNAQILSLNQNAIKLIDNTMFYNSWIANYNYTKLIAACDMFFYKFKDHEHAV -CRFGTIPSRWKDCGGLTSLSHLKNLTGMELEEIVSWIFVPSIGKEICRMMKSGQELDQPDSYVPYLMDLG -LSMKSPYSSSVNGGTYTWIHMIGSIMHSQRSLHARMVNENELPNIRISAMLTAYVKFNKGSLMKVFVKEE -DKHLYLGEDQDDNEGNGSDYIDLTQHPNTDSADDWYQWLELNNFTLDDVIKDSITRECRKIQNTRTGTIG -SYIQTTLS - ->YP_009505520.1 nucleocapsid [Durham virus] -MQAITIVSKKTQRAIVPSVPLGRDPPEFPADFFARGQKPEMMIPNNAVTLDDARMILLDQIKKGSLDINL -GKLYLYLVLKDITQNLDADWTSFNVQIGSLGTSMSPWGIVTVNQDPNQCRIDGSSKDTSAKKEDDQWMCM -YLLAIYRVGKATNKTYQTMLIDQLNRMLASVSKNAVPMVQAMAALDSWPNDTNFCKMVACVDMFFNKFKN -HEWAVLRFGTISSRFRDCAALTSMAHYQQLLGINLGKALEWIFVSRVTEEVELILTPNQELDKPDSYMPY -LIDLGISKNSPYSSTRNSSWHLFCHGLGALLASRRSVNARHLEAADQSNILINVMLISYVFQTRVTWSKN -FVEQGQVDKSDALNDGKKSVLLSKSSLPSTTSADDWFCWMKLNRFELPDDCKVLAGKNAQKLNDSRDGSI -GQFLYKRLGN - ->YP_009505512.1 N protein [Ekpoma virus 2] -MFSTLSNKTLSCALPQDDSTYQYPADYFKNQKKRPIVRLPKPLHTLDELRLIIKGGLRASKLDTSHILMY -MRLVLETIKEDVQREWESFNLKLARVGDQIGPLDLLEIEDYDEKQRDGTKDQAGDREDDHWIMLILLGFY -RINKISHNQYRASMISKLNQQANNINPEAIKLIDNPQMISMICSNPNFSKLVAAVDMFLYENKESDRSLW -RWGSVACRYENCAALTTLSHLTDILGKNLEDILPWFFIETIGHEVERILEPGQELDNVRSYTPYCHAYGI -SQKIPYSATAAPGLYTLCHLIGGLLHSPRSQNARIVTERNMVNIRINATLIAFVLGSKGSLKQIFVKETD -KHKVINPKTTGGADNESLDSFDLDEIPNSSDPHEWYHYLYTRDFELPKEIVEVMKKEARKITNIRPNTIG -HYISTTF - ->YP_009505504.1 N protein [Ekpoma virus 1] -MYCLLNKKNVECKLPSEAPTPQYTSEFFESNNHQKPSVKFPQLDQTFQQIRCLVKGGLRSSDLKIQHAMH -FLRHYLESIKNELKSDWVSHGVEIGVSGNEITPLTLIKVELAPAQLQDGVQANDATEADDMWMAMTILGI -FRIGRTSNAQYRATLMARLNTQIKCLNQDAIPLVDDVNLMGSFINNPMYVKVVAVVDMYFNMFREDERSI -LRFGTLGSRYQDCSSLMSISHLTSLTGLPLETVLDWIFVESIGREMLAMLKEGQELDNPNSYTPYMMPLG -LSTKSPYSASYAPGTYTLIHIIGSIMHSTRSYHARMVSDSNIANIKINALVMAYVLSNKGSLRKVFVKEE -YKDIFGDDNKRDQEDDDSVDSLDLDELPRSNDPIEWYNWLESNSFEIPEKIMGVMKRECRKISGTRPNTV -GHHVYSTMA - ->YP_009505492.1 nucleocapsid protein [Drosophila immigrans sigmavirus] -MTDRRVLDAIIKFGDQDAKTIKIASMSSMTEGETPSVFFSNKSKPALAIMLGTLEANFDAEWQTFQVSLW -KHLSEDSLNVEWVKTFFYFFFKKEVEPLTSEWSSYGLIISTKKDDKFSVTPWDSIAITETKGAHPGNMQT -VAHAAPIQDLGWKLALAFAGINRLIRVANKEYRDMLILSLGLKVALPLFDNQGGTDHVSFAIAATAYGSW -AQDAGFCKMVAALDMFYHRFKNGRYALVRWGTIPCRDKDLGAMLALEDLRLQTESSLVSEVLSWIWLESI -GREVGALMSTVGDLTEAITPHSYFHYQADMGAVSSSKYASVHNRKLYTLTHMVGTLLNQRRSYNAYLIEP -TNVHSIYKNAIFIAYACRVSHNLRPVGAPETKKEDFLNAIKPANEEEDDIDDQDEMNPEPKTRNALEWFL -YWRGFNYQVTDKLRDWLGQTVDTISEPREGTIGQWIKQNGKVIP - ->YP_009505480.1 nucleocapsid protein [Drosophila affinis sigmavirus] -MTQTQVTAANIAQLRAEDKSTVNNTLLNGILLKGAKSGQAFGAPNQIATETPPTKPRSWFEDHPNKKPEV -IIPKCSLELNALAPMVKKAIATFKLQGDMALVFLSKYFNEQIKSDPLTDDWKSYGITICARGQVATPMSI -CTVIDGQESDFSTLSQEILADGDLPAMVLYILAIYRIQRLVHQSHITIVSNRIKDMLKGFRKDTIVQDTY -LDNIQTSYKGWMSNSNFLKLVASFDMFMFKFKNHSLASMRVATVSSRFRDCAGLTSIQQVAKTMGREICA -EVGYWCFTPQLGKEFMNLFTGHEELSIQDSYFPYQIDLGLTDKSAYSATVNQTLYFFLHSVAALIGSKRS -NNAIHNLDSDPDKTTRLAIWVALIHHTSSDFSREIIDYSETIPNQDDPVDEDDFAPDTARPRDANPRTWY -AYLKDRNFDFTPNDILQFAAMRNNLGRDLRENTIGHYLSTYQITPASDRS - ->YP_009505461.1 nucleoprotein [Mossuril virus] -MRSIRHGTPIRYIAPADRVDPQYTKAFFDANGNHAPTLTIEQSTFSLQQIRGIIHDGIVRGNLRVQHVIR -YLYLVCVQITAVLDARWETHRVVIGGQGTTVNPFSMYNVVFDETNTIDAAATNGIEADQDYWMVLYLLFI -YRYSRITNANYQGALLDRFRVQVLTAYPTPGQFQTPKGVYKAWLNNRNYTKIIAGIDMFFCKFPNHELAY -LRFGTVTSRFRDCAALLALNHLKSTAKMEGDEIFAWMFLQQLEEEAYTLMREEEELDQGDSYTPYMMDMG -LSLKSPYSASACPSIYTWCHFIGSWLVSTRSRNARMISDAGVAELRANASIVAFVYSKNYSYRLRFTDRD -DIINGQNPVNAMQDDQDGSDDGSDTDSVESLGTLPRSKDPIEWYCYLKGMHFQTPREIQAFISGEAQKMT -NMRPGSIGKHIHDAYA - ->YP_009362244.1 nucleoprotein [Sweetwater Branch virus] -MHCLVTSSTVKLVSPSETIDVQYCSDHFEKNGRQKPKLNVPKLPIGIQDLRALIKGGFKSNDLKIQHVIA -YLQHVASNFKGELQENWESFGVKIGNRGDTINPLDLVDVTYYDDKLIDGVKSNDASEADDIWMTFACLSI -YRLARTQNVQHRSNLLMRINTQLASFSSNALKLTDNVSLYNSWVSNFNYTKLIASFDMFFYKFKDHEYSL -MRFGTIPSRWKDCGAITSLSHLKNLTGMDLEEIVTWIFVPSIGKEICRMMKAGQELDKADSYVPYLMDFG -LSMKSPYSSTANAGLYTWIHMIGSIMHSQRSINARMVNENELPNIRISAMLTAYVKFNKGSLMRVFVKEA -DKHLYEKDEGEDDGENSSDYLDLTQHPTSDSADDWFNWLEMNNFTLDDDIKDAIARECKKIQNARSGTIG -SYIQGTLG - ->YP_009361971.1 nucleoprotein [Parry Creek virus] -MKSVRTSESIKYVQPGDKPKPQYPKEFFDKNPGQKPLINVPHKDLDLATIRNIIKSGILQGGLEISYVLR -FIYLMGLTIEDELDDVWNSFGITIGGKGDKINPWKLVEIKEEDSRRVDANIDQTATPDDDRWMLMYILFV -YRHARAQVSNYKKTLFEKFQIQVSPLLTGKITLTSPPPTYNSWLSNKNFCKMIAAIDMFFCKFPQHQDSN -LRFGTITSRFRDCAALTSLEHFRETVGFKGDDMFGWIFVGSLEEECRTLMKEGQELDQPGSYSPYLIDFG -LSLKSPYSASTCPGMYTYCHLVGSLLTSTRSQNAKMISDKNLVNIRTNALLVAYVFSENIECKVYFTDNQ -EFLQAGLEGQDQLTDIPDDDGVSSSLSMQDDLPKSKNPIDWFMYLKSMKFQIPQPIKDFGRSESAKMSQC -RVGSIGKHLNDAF - ->YP_009361960.1 nucleoprotein [Mosqueiro virus] -MKSVRSGNVIKYLAPADKVDPQYARAFFDSNGGATPSLTIEQSTYTLDQTRGIIHEGIMRGTLRVQHVIR -YLYLVGGNITARLEDQWESHGVVIGNQGDIVNPFAMYQVTLDNQNTIDATGSPNVDADQDLWMTLYLLFV -YRYSRVSNTNYQGALMDRFKVQVVSAYPNPGAFQTPKGVYKSWLNNKNYTKIVAGFDMFFCKFPKHDAAF -LRFGTVTSRFRDCAALMALNHLRTTAKMEGDEIFGWMFLQQLEDEAYMLMKEDEELDKVDSYTPYMMDMG -LSLKSPYSATACPSIYTWCHFIGSWLVSTRSRNARMISDAGIAELRSNAAIVAFVYSKNYNYQIRFTDKD -DIIDGTNPINDMDDEYDSSDESSMMSISALPKSRDPLEWFCYLKGMQFQTPREIQIFITLEAQKMHNMRA -GSIGKHLHEAYA - ->YP_009361951.1 nucleoprotein [Hart Park virus] -MKSIRDGKTIRFIAPADRVEPQYPKAFFDANGHVAPTLTIEQSSFDLKTIRGVIYDGIMKGTLRVQYVIR -YLYLVCKDMTEVLEADWQSHGVPIGKKGDTVNPFSMFQIVEDTSNKLDATGGTNIEADQDGWMTLYLLYI -YRHSRITNVQYQTALWDRLKIQITTAHPNPGNYQTPKNIYRSWLQNKNYTKIVAGIDMFFCKFPKHDYAY -LRFGTVTSRFRDCASLLALNHLKSTAKMAGEDIFAWMFLQQLEEEAYVLMKEDEELDKVDSYTPYMMDMG -ISLKSPYSATSCPSIYTWCHFIGSWLVSTRSRNARMVSDAGIAELRANASIVAFVYCKNYEYQLRFTNRD -DIKDGADPTGNDKDDKKDEKDGDNDSDTDSLESLSLLPKSKDPIEWYCYLKGLRFQTPREVLSFITSESQ -KMNNMRPNSIGKHLHDAYA - ->YP_009345047.1 putative nucleoprotein [Wuhan pillworm virus 2] -MPSLYHIHEKKKIAIREHKTDITPEYPVDWFRTDKRKPKVTLQTTKLKDDDIYDVFLGELKKGVARVPTA -VSVLLDLASDLKATLKEEWKSYGVVIGKKDDLITPLDLFDHDVEQQDKDYTSTSRKFSQAEKRDVGVLAL -GLYRLAAAPNEGQYRDKIWTAIINQVLTLSDTIHAPAKLLNFDVAGYSALVSAYDMFFSKFPDHEYQYSR -VGSITSRDQNCAALTGLTFLSKTMGVDIETVAQWIFNHKAGSELFKMLEKDDPEIERSDSYFPYQHGFRL -VKKSAYSASANPNLHMWIHMVGTLLGEARSRNARVLSGVEAKGLFTAASLVAYGNRKGGVLDIQFAETLP -EDGSAPSGPPIGGVVDEPDNNSPTEWFVWYDTSDDAEDKIDDFIQTTIARMGQTREGTVGELIKTL - ->YP_009344985.1 putative nucleoprotein [Xinzhou nematode virus 4] -MASEAPKAIVVSSVYNWKTGEKSVIPSGLGEVAVQYPHEVIKAKRAFTFPVFKHPTNELDTQLEEKIIAS -DMASTTPISVALSFLFKVLPVQLSGTLSERWESYGIEIGRKGQTVNPLSLIEVTPDHLEPIGAGGDPSKK -DNVLGMLAKCLAVYRIQNTPRDQPGYRANLALRLHDLYKGAPFELSNMAGIQSLTHWIQDSRYTAVVAAV -DMFLRKFPGHPLEKLRACTLGSYDKDCATLASIDLAAKSLGADLTTLVTHMLGKTINKDMERILHCSERE -EFGKEDSYFHYVREFGYLARSPYSASANKNLFTWCQMIGVLMGIPRSINARMLDADSPKLLLIEAAFLAH -HLGSAPEFERLFVATQEEANALKRNQEELDREAGVRYKNPSTLLAKLHKAKFTLTPEIKNCFLGSVNALV -NPRPQTVGEFVKNYFI - ->YP_009344974.1 putative nucleoprotein [Xingshan nematode virus 4] -MFKAHDSAFTIYDTETGKIGILSKYGLEPEVYYLNELKEKPLITVPHYYTDPDVIKGIRTIIDGNTPAST -DSSLGIAFIAHMAKKIKGILDEDWKSYSVVIGRKGDEINPLDLLRLRIEEKESKMTTIDRDVVSGDFGLL -AKCLMVHRVGIASAEGGYKENLCARLATIFTMEPFNLKNVIGVTNLAHWLQDTSFTSIVAAIDMFLRKFP -NHQYEKLRACTLGTFLKDCVVMSSVNQAADALLTSPPILLQYVFSRDIATDVRHIIGGEPTEEKTTDHSY -FQYMREMNLVPRSAYSASLNPNLFLWCQFIGVLLGKRRSMYARLLECSSPTMILVHACYVVYYLSGSPEA -KLIFALNKEKGEQITKAREARKRLEMDEESTPSPEFVLSEMQKHHYTITDEMKDKFLLTVRTIDGSRRGT -VGEFIKNFFIPQN - ->YP_009337290.1 putative nucleoprotein [Hubei diptera virus 10] -MEEAPKRILSFRSGKSFNVKKTAEKRVAQFPSTWFNTEGNDKPKLIIPKSNMDLKTLRASAQLFMSGAKV -HIDIILEYIFVVLSNVVEENSHRWGSYGVAIAGEKGKINPFCMFLVSRTESRATDAVVSKEALNESVDIW -LCLYILSVYRIEKITEQKYKNDTIKKMCDHVIMLGGTTFNQYTEAAVKNRSWANSPDFRKLIAGLDMFFH -RFEANDFSILRFATIGSRFRDCAALQVYSYVSELCGFTEPGDLLHWVFNETLAEEAEAMFNNNEEYDDNE -SYFPYHIDMGLVARSAYSSRVSPGMHFFCNLVGALLGSERCLNARALLDKDIPGITNNAKWVGFIFMKSP -ELRTFFADDTEEGRQLKAQQEEALRRRMEERAAQFMEIAEGDKSEGEPEEVDLEEVSWQPIGRNPAQWID -YITIQHGEPNATMKATFRDMQAGIKNPRPGSIAEFITKPIFHS - ->YP_009337134.1 putative nucleoprotein [Hubei diptera virus 9] -MNSLNQTRVFCTLDGKFYNVSNVDDSKPTSFPSAWFEANRGQKPKLNIMKDVPLSKLRALMLSSPTNPKV -PVIAVVTYLYLVLKERKTICPDDWSSYNTSICSANSQISPFDLVTIGEIEAIELDTVVERDLPSGADKWI -IIMVLSIYRLERAREPAYEATLIRNITNLIKACGCTEPPNLTSLLRLHLKWANDPGFKTAVAAIDMFYYR -FENDEWADIRIATIGSRLKDCSAIQSLTQTASIAGLSSTHEIIYWIGNVAVGTEFSKMSSSVEEAGMLYS -YFPYQVDFGLVTRSAYSARVNPALHTWLHIIGIFFCNSRSLNAKFFLEKDVAGVIRSAQWVGYVLSRGQH -SGRIFVPADRPEQDMVDEWNENQQEATDANEGVEDLTLGAISDTDLTREPLSNNPFEWFSYMSHRDYKLT -QSMRSKIRSVQSEIVNPRPGTIAAMAQTSLFYHE - ->YP_009337067.1 putative nucleoprotein [Hubei dimarhabdovirus virus 2] -MNSLFHVKTGERYSIVLPEITVPSQYPSEWFQNNKGRKPIVRFNKGKISLDKLTKAVMSGIDGGTLNVEI -VKYFIHTYMSAEVEESPGNWESFGVTIAGEGDDVTPWSLVEVVTTDTTIPNVQENKVEPGDEEWIIMLLL -SASRLVNIPVQTYFESLRDRIFKLMKNAGCKLGTFPPPSLYDTWPLDEGYAKMIAAIDMFYSKFPARPGS -ILRICTLRSRFKDCASLLSVGYISDLLNLTNESAFLDWIWTEKIASEMIRMLHPNEEVVKNDSYFPYQTD -FRLVNKTYYSASKNPQIYFLIHAVGTLLSSTRSKNAKFLSDSNIVNNIQCAKIMAYAFSTIFTMSKAFTA -DGKPVETDSGEGEETIASAPETTSGRDWFIYMSTMNFQLTKPMIEFVERAKRSLGETRADTIGDYVKNNF - ->YP_009337008.1 putative nucleoprotein [Hubei dimarhabdovirus virus 3] -MALNFSDERFTATSQSMISLYESLTNRQREILERIDANTLSNESLYNLDQELAAFVPESLPREASPQFPA -DAFRAKPTVVLYSVEKADVHKYYHTVMASFREKLVHPKMLATFLHLSMMTYQVELTATLPTAWTSFSIPL -TDGSLNTSPLHLYTATAVGTPTLVESTVPATEKAIKALVMVVVGFIRYSLMIENLGDYRRNFNTRLTEMV -KSIDPDFPADVSSYSGIRGMNNFFPDLGLVAAALDMYTVRVPQSEWRIFNMGTLVLRNMSAAALVAVTYM -REIMNSTESNHYYHSWIWVQSAADEYAKMMRSGQEINNLYSYAPYLMTLGKAVKSPYSATVNSNLFTFIH -VIGASLGLPRSINSRQLDHINLNELIINAQFYVFAAQRAPRFNMHVVNDEIIRALKEIHAGNRAAVGQLA -EIRGRLVENDDEEMEIEDSASVAGTNDLTQFQTAVDHEAYPRSSSGVEWSSWYFRNKVVPEEVKENCQKV -WRQFVTPRNNSLGSVLKNLS - ->YP_009336832.1 putative nucleoprotein [Hubei lepidoptera virus 2] -MANLDKRTSIYLPTGKAVTLEYQDEALETSYPADWFAKNPGQKPTVTIPIYKVDLTQLGNAVRIGIRRNC -LSVSTAKAFLYEYGKTVTDKLTERWDSFGCLIGDPNQEITPWDIVTVKEEGDGVTTEAGLSTADLNAPNG -PGQWTNKALALYILCIYRLLRVTDDTYSEELQQRMMNQLKPLGGEHMSFHGVRGFYQSWLSDLGFTKMVA -AYDMFLHYFKHHEHGLLRIGTLSSRHKDCAALLSIGYLEHILNIEAAELMDWIFLPAMGIEMTKLTKEGQ -ESGKPSSYFPYQADLGLVIRSAYSSTANPYLFHWIHVSGSLLGNKRSINARNNFEGNVADLCLNAVILAW -AYGRGGALAPQFSRTGQAPEEPEDDPEEDEGEDISSGDKLWWSVSGRDPASWFAVLSGSRFRVPESVRRE -IKNLQGKIQDRREATVGKFVKESLIY - ->YP_009315882.1 nucleoprotein [Gata virus] -MSALKFIRDKTSLVVQAPSTGLEYEYPSVFFRDLKKPQLIIKSMYKNLTLAKNAFANSLRSSAPDLDAGK -EFVYLYLKSKPMTNRDKWESYSVLVAEEETDVTVWDLFDTVLRNQTVPTKATVSSEQDISDVGLLVMFCS -IYRMGCIFDSGYMGQVSDRIMTQAVGVPDDIPKPDALIALVGSWLNDANYLKMIAGIDMFLHRFPKSEFA -QARVGTISSRYKDCASLLSIRFFLHVVGSDNLDDAPAWITYEPIALNLIRMSKIGEEAARGDSYFPYQSD -MGLVRKSAYSTVVNPSYFFFVHGVGALLGLERSKNARMNLEYGLSGTVANVFLVAYVHKRNHVQALQFTA -SGEPVRVSGDNSDTEQDGITIRSNSPVKWAKFMSSREWVMTDFMKETIRRMQMELLEGETRAGTIGEFLS -KHSID - ->YP_009315877.1 nucleoprotein [Orgi virus] -MDFMTRVSTKKAITPRAPTSKLDVQYPHAFFSDPPTKPVLNFVLTSNSLQESAELVYQGILNNSIDLDYA -KTFLYYFGLTMTDRLTEDWTSYGVKIGAIHEEVNAWCMYSRSTTVTKKDGVSAPVRPELYPKIALVLLSI -YRFIRIANQEYRSNMIQRMNAQIESGTSSALAISETLAVYTSWQGDRIFNKLVAGIDMFLMRFPQHQWGH -LRLGTIGSRFRDCAALLSYGYLMKQLGTSKSIEILDWVFVESIGDDIDQMMKKEEELDQPYSYFPYQVDM -GLVHKSAFSTVANPHFYFFAHAIGTLLLSERSKNAIQVLDGSIPNILYNAIIVAFVHSKSHSIEKVYTAE -GKALVVESETPDETTETDSDVYSSKNASEWFVFLRTLKGELPARIHSFFKRSISKLTNVREGTIAQFLQK -DPVYSEI - ->YP_009305114.1 nucleocapsid [Wuhan Tick Virus 1] -MIFVIDLRLTLNTPTTRSIMTSKKPKKTPIYGESLFGVASSTEIFEGVTERRPAPETPDFPSDWFARKPE -KPTYTILCSAEKTELNKMVYGGLKSGNLDIDLAAEYLVSIFPQMKGKAKDQPWTSFGVTIAEAGAEISPL -SLITVVEEKRTAPTPGQIQAFNGSDLFLVTSLACVYRLALLYTRTAHEEYIKTVRSRMINVMSGSDIGGT -FADGVLQPLMLKKREWATNRTFRGLMAAIDMYLMYDKDSPWQLVRMGTIVSRGKDCAALGDVQRIARCLS -VSPSDSLLWVFETSMLQELQWLTARDEELGKSESYYHYCADFSLINRSPWSGTMCKQIHLWANCTCILLH -SNEAMTTRYIECENVTGVVHNAIIVAYAHRGTSDARRLMFKTREEAVAAQAKMNRPIRAKTEDELFGEDD -AVAQLEHIPGVDEPMGRDVSDWVNFMFGLHWILPDHILEWATRRVKVLKTVRDGSNLEFLRKYLEVGD - ->YP_009304975.1 nucleocapsid [Wuhan House Fly Virus 1] -MDVLNLTDQETNEFLRVRAIQIEKTGEYVYPWFEQHNSKPPIKIPKVDDAAGARLRAITKGFASGVICSV -QTASAFLSWKMKRMTARVDSVWKSYNQLIGDPNADITPLSLLSVEEVGTPTISGNEVSSSEADEKWIIGY -ILCLYRLGRVNDANYRGDLIKSMKPILEAQGMPNTTNLLADCGKTTAWCQCPVFRKLVAAIDMFFYRFPD -HEWSFVRIGTISSRYKDCTSLLSVAHTSRLLGFPHPAELWTWITNITLHHEMKKMFNNADEFHDPYSYFP -YQIEMGLSKKSLYSTRENPGIHAFCHIIGLYYQNARSMKARWMLEDDVNPILFNAHLVGFAFTRGKWADK -FWAVKGHIGPPAPPARQPDNAQQEPMEEEVDEEDIQSLNQDGVDAIAPPDERTPQAWTPYLDAHNWTMSP -AMVRTAKLVASGIVVERDYTIAKFSKTPAFYLNMD - ->YP_009304651.1 nucleocapsid [Wuhan Fly Virus 2] -MSGVFKIVSVSDQKKIEIKNLVEGKKPVYPSTWFSENPGKPKCIIPKINTDMKIIREHLKNSAENNEKVN -FNIAMKFMVETMRRVEETNPKRWVSYNVEIAAEGAKVSPLSLIEIIEGAETQQDIQAGSGLPEDDPLDKW -LMIWLLSVYRVDKTSDLNYRKQVCDLIERQMSLTGIVTENLSIESVATRHASWVTDQSFRVLVAAIDMFF -FKFEKNIWAPLRVSTTSSRFRDCAALVSYGMIAGVMGLKKQGELALWMFTSSLAEELKQMFSTNEEFDIE -ESYFPYQMDFGLTPRSPYSSRMNPCIYFFSHVIGVLQGEKRSQNARMTIQKDVIGVLHNARLVGYVFMSR -SGRAIQFIPDDANAAAVLNQAQPTDNASEELSMDMEPSNRSPTDWFTYYDNSDGQPNNKMIAVFRSVMST -LINQRQDTIGEFLRTNLYR - ->YP_009301739.1 nucleocapsid [Wuhan Insect virus 7] -MSKKQFFKVGDLTRTQVTLLAPHPQVPAQYPSEYFVNNKNKPKVVLSVKGLNVENIRAVINSGLSNNNLR -LAPSLYFIYLYFLNVKTVLTKKWESFGIKFGDPNEEVTPWSLIDVQLDSDSGVDHPSLEGIAKSDDNWII -CLLLSMHRLKSGMLDSYREIIQKRIYDQMHQYGYKKGGYPSSFTSEDWAGSLDFTRMVAAIDMFLSKFPT -LVQSQLRICTLTSRFKDCTALMSLGFIKEVLCLPDESEFLDWIFTAPIAAEAEAMARHGQETLEPDSYFP -YQSDLRLVNRTHYSASANENIFFLIHAIGSLLHVQRSMNAKKTTDKNIINNSCNAKIIAYVFSNTFKMAK -QYQAIGGPAVQKRNLNINKPEDLEPKTQNAALWYAYMQSYDFKLTPNMRAFVERSKAEMGETREGTIGHY -VQHHF - ->YP_009300664.1 nucleocapsid [Shayang Fly Virus 2] -MSVGFNIISVSDKKKFVIKNLTEGKKPVYPSTWFTANPGKPTCIVPKINTDLRIIREHLKNCVDNNEKVN -FNIAMKYMVETMKRVEETNMKHWASYSVDIAPEGAKISPLSLIEIKEGAESHQDIQAGSGLDPDDALDKW -LMIWLLSVYRVDKTNDVNYRKHICELIEKQMALTGVPSDNVSVESIATKHASWTADQSFRVLVAAIDMFF -FRFEKNIWAPLRVSTTSSRFRDCAALVSYGMIAGVMGLEKQGELALWMFTPSLAEELKQMFSTDEEFDME -DSYFPYQMDFGLTPRSPYSSRMNPCIYFFAHVIGVLQGEKRSQNARMTIQKDVIGVLHNARLVGYVFMSR -AGRAIQFLPDDAHAAAMLNQDQIMDNLSEELSVDIEPSNRSPIDWFTYYDNLDGKPNPQMISVFRSVMAT -LINQRPDTIGEFLRTNLYR - ->YP_009287860.1 nucleocapsid [Bole Tick Virus 2] -MTDTETVPKTPLATETAEERKARLLASDPRPRPRNVRIHKGFEDFQDVQLSAHTDKDDVEYPSRYFRTHP -GKPLFKYAPTGMTYEHLKGAAYKCLFGSAYNQAVVVEFLIKTYQDIKYTLPDIWISYSVVIGEKGQEVTP -LSIVHLQEAPTVTTGTQATTDIEPLNLVYLMASLYRLSIMKARQATPEYMRDVRTRLKTLATGKLTADQL -RALDEHSTCIDGWHQDASIRTLMAILDMFIRKSKLDTLTPIRIGTLVCRGRDCAVLGDLTRLQEITGFST -EQVVSWLFASCLLKEIEGLTHPGEEYWDPDGYFYYFSDLGLSKKSPFSGSANPMMHFILNTIAFFLGGTA -APNTRFIDCAKVPTLFHAGLLAAFALRRPDEIRPIATTTDAEAIIAQNILQNHLPALQGAPEAIAEAVDT -DDLPEPHVDPPKGQDWSQWVTYYEVNEWAILPPIRDWAQKFATPSTAFRQGSIGQKLRELISTLP - ->YP_009177239.1 nucleocapsid protein [Adelaide River virus] -MFCTINQKAVQPAKPSDTTTPQYPADFFNKNNHQKPTVRVTQRGYKIQELREIISNGIVQDDLNSHHVVR -YMELIMEDITDTLDEDWNSFGVKIGRKGDKITPLSLVNVMIEEDELIDGKRNNGVNKKDDKWIMLVITSY -YRFAFSQNQNHRSNLITKLNLQLRTFLKDPPTIVDNMGLFTSLISNINFTKLISALDMFLNRFKNNDWSY -LRFGTIASRYKDCSALMSLSHVCDVTGMKMEEFMDWIFVYSTGEDMIKLMKEGNEIDNPMSYMPYTMSMG -LSTKSPYSSINCPSIYSFIHMLGSFLGSERSRNARMVSENNIVNLKVNAGVVSYVKSHRASMIKAFISND -VKEQWYNNDDNDNENGGDDESDEELDEMPKGDNPVEWFMYLESRHFELPEEIKNFMNREARKITNPRVGT -IGKFVSTMN - ->YP_009177205.1 nucleoprotein [Yata virus] -MFSALSGKPVAACMPHETIPPQYPADFFRNNKNTKPHIRIPMSELDLDRVRKFVHAGIIDRNKLKLPHAM -RYLFLVLSKIKEKLDNDWKSFGVTIGLKDQDLDPFCMYVVHHDAGPQVDGTESTTVGPEDDDWMVMYLLG -IYRLGRTQNDTHKGNLATRLLAQMKSLNPRTLNITNDDALQNIWVGNTDYCKLIAGVDMYFNRFKKSDFA -YLRFGTIPSRFKDCASLLSIGHVCNLTGMTLEEYLGWIFVATVGREIESMMKEGNEVDQPFSYMPYMMEM -GLSMKSPYSSAASPGVYTLAHIIGTLLFSERSKNARMVSENNLSNIRINAEIVAYVRARKGSLMKVFHKD -KASLEAAQAVQEDNGSVDVLLGDLPAGNDPDEWFTTLEINQFELPHEIRTHTISESRKIGNTRAHTIGHH -VATTFV - ->YP_009177193.1 nucleoprotein [Koolpinyah virus] -MFCTITETSIRAIKPTDNVPPQYPGDYFVRSKGSKPTIRIPQSKLDLQAARELVKGGLSKGELSVKHGIR -YLYLLMCDINEVMDEEWESFGVVIGKRGENCNPLSMYNIIEEDDKLIDGTKNPKATADDDKWMALAVTAM -YRLGRTMNQTHRNTVITKLNAQMQGISKDAIPMIDLPSLQASWVSNQDFCKIIAGIDMFFNKHKMNDWAY -LRFGSIPSRFKDCSALLSLGHICDVTGMDLTDFLDWIFVGTVAKEIVGMMKEGNEIDNAYSYTPYMMDMG -LSLKSPYSSTVCPGTYTLVHMIGTLLFSDRSKHAKMISENNLSNIRINSEIIAYVKGKKGSLVKAFIKPE -FKDHYKDDDTTDSESDDGDEAGSLPKSDDPMEWFAFLEYNHFDLPDVIKEHTRLESRKIQNTRAGTIGNH -VVTTFN - ->YP_009176978.1 nucleoprotein [Walkabout Creek virus] -MSLSKVYNQLTQKAIGVPLVADKDKPEYPSDVLREGKTIGLVIPNYNGTLQALARAVKHGIEKNKLSCDV -AKTYLYYYFREIKEQLETNWISFGITIGAKGQSINPFSIFTVARSGDLTLGESAEADEKDYLWMAIYLLI -AYRVGRAAKGDYKTRIAESADRVLKAACPDAPKYLASWGSSDQWINDVNYCKIVAALDMFFFKFKNNEAA -ICRIGTITSRYRDAAALIGLNHLRRICDGDLTMGLTWIFCPAVSIEFDRLAREGQEVEENFSFTPYIIDL -QISRLSPYSTTQNPGVHLFIHATGTFLLKRRSIDARMLDCTGEAGIIRNAGLLAFVINSKMDWKLIYSDN -PKEERQGAKGLLVAGDKKSEDLPEGRDAADWYKWLKKRDFSLPEVVLKFMKEQATRIVNPRQDTIGEKIQ -NMYGD - ->YP_009176966.1 N [Inhangapi virus] -MFCNLTKSTFSPILPKEITLPQYPSEFFQSRRGKPTLQIPQKDVPMKDLRALVKGGIVAGKLDVKIAIRF -AYEFLKDQEGTLNSKWESFGVHLGDAGSKIKIFSMFDIEEIADKRADGSEVQGASEEDDEWMTFWILSQY -RMARTPHPDHRGKISTKLTTAATAINPDALAIPSKTGMTQSWVSNVNYTRLVAGVDMFLSMFKQHPMSHM -RMGTLPSRYKDCSALLGLSQMADLTNLTAEEIADWIFVGTIGTDLLTLMKSGEEVEKPESYTPYLMDMGL -SNKSPYSATQCPNFYNFCHLTCTLMRSTRSKHAKLIHENNISDIYANAQIMAFVLGKTMKIMKVFTKKDT -KKQALNPDDFTDVDDTKSADDDFDPSGDVEMPSTRDPTDWFNYLIQCECKVPSEIKNAIQQTAGRLGTTR -DGTIGRFIEQSSI - ->YP_009094435.1 nucleocapsid [Sunguru virus] -MSVYNILTGKNVIVPRVADKDKPEYPSSFFEKKKKVNLQIPKYEGTLDALRKAVKSGISNKNLSIQGAKA -YLYYFFKGIEEDSVEEWVSFGVVIAKRGGKVNPFSMVDVAMSGEFVSNSSEQADAKDDIWMVMYIVAQYR -IGRASLPEYRNQIIQAGNRLMTSLSSDAESLETGIAFCDQWVADANFCKIMACLDMFFNRFKKNQFAVCR -FGTIVARFKDCAALLGLNHFTELCNDDLRTGLKWVFCEVISKNITSLSEPNQEVDNPLSYTPYMIEFQIS -RLSPYSTTNNPAFHLFIHAMGSFLLNKRSVDARFLQSSGTINIISNAGLLAFIMFNRMDWKLIYADDRTT -NLKKPLTTDEDSDNGGSDVEQDDDLPEGRNPIDWFRWMEQRDFKLPGQVLSFMKDQAGRIGITRPGSIGE -KIKQMYGS - ->YP_009094425.1 nucleoprotein [Malakal virus] -MYCTLNKKEIKPIKPTDNVPPQYPKEFFDKGNRQKPTLRVPQGKLDLPTVRELVYGGLERGELQLPHVIR -YLYLVGEKIIEKLDDDWESFGVNIGRKNQEINVWCFYNVIIENDQTVDGKKNGNIDEQDDKWLVLALLAY -YRLGRSSNQTHRNNLLVKLNAQIKGFKKDAPNIIDDVAVHGSWVTNSEFCKIAAGYDMFLNRFKNSNYAH -VRFGTVPSRYKDSAGLMSLGHVCDVTGMSIEELLDWIFVYNVGEDVVKMMEEGNEIDQPYSYMPYMMDMG -ISNKSPYSSLACPHIYTYLHLIGALLTSERCRNARMVSENNLQNIKMNAFVVAYVKSHKAMLKKAFLKPS -DRDFKEEDSGDEDDDGGEDEEGQSEFDEFIGDMPKSSNPMEWYIYLQSNHFALPDKVVDFCLKEAKKIQN -ARPGTVGKYLSTIA - ->YP_009094418.1 putative nucleoprotein [Oak-Vale virus] -MEIEVYDLESGRPIPKIDLEDRERGDFPAQFFQNGGRIRIQRVEHQLTPQQIFDGVWADVIHDRITVTGA -KLFLQTLGTEIIEDTFDAEWTSFGVVLAPGGGAASGPFHAVEWVAGQETYTSTSGEPCQGQTLIYCAVYL -CAIYRLGRSTAEGEYRGKLETTISEFLAAMIPDRPQLTGRGAIAGRWVQDRNFCRLVAMLDLFFREKKDH -DYAVIRMGTIASRYRECTGLTSLTHLARLTGDPIERAICWVFQQDVARQVINLITPGQEITNFRGLTPYI -ADLGISRRSPYSSGINPEWHVFCHAIGVFMGNRRSIDARYLEAKDESTIMQNAGLVAYVCRHRTNLVQAF -GSRSRVRTHRQNDVRVRGGGLNPFLDDDAILEEEADVGLPNVKDPEAWYDFLKMRNFQMPIEIVEYMRER -ATALTQTRTGSMGHKIFTVYAQQNVRRVQ - ->YP_009094404.1 nucleoprotein N [Coastal Plains virus] -MYCLLNDKPIELSVPSENAEAQYCSDFFEKNNKKKPTLRIPKLPINLNDLRSLIKGGLKANDLKIQHVLC -YLATMYQQIKGELRDDWESFGIHIGSKEDTISIVDLCEIEMYDDKLIDGVKSNDASASDDQWMTFACLAI -YRLARTQNVQHRATVLTRINNQLIALNPNAIKLTDNSALYSSWLSNLNYTKLVAIADMFFVKFKDHELSV -YRFGTIPSRWKDCGALTSLSHLRTLTGLSLDEIVSWVFVPSIGRELVKMMRPGQELDKQDSYVPYLMDLG -LSQKSPYSSATNGGLYTWVHLIGSIMHSQRSLNARMINENELPNIRISAMLTAYVKFNKGSLTRVFVKQE -EKDLYRDSSPEDEGSDYLDFTQKPEGDSPDDWFSWLEMNKFSLDDHIKEAIAKECRKIQNTRSGTIGAYV -QNTMS - ->YP_009094395.1 nucleoprotein [Kimberley virus] -MYCTLNKKEIKPIKPTDNVPPQYPKEFFDKGNRQKPTLRVPQGKLDLPTVRELVYGGLERGELQLPHVIR -YLYLVGEKIIEKLDDDWESFGVNIGRKNQEINVWCFYNVIVENDQTVDGKKNGNIDEQDDKWLVLALLAY -YRLGRSSNQTHRNNLLVKLNAQIKGFKKDAPNIIDDVAVHGSWVTNSEFCKIAAGYDMFLNRFKNSNYAH -VRFGTVPSRYKDSAGLMSLGHVCDVTGMSIEELLDWIFVYNVGEDVVKMMEEGNEIDQPYSYMPYMMDMG -ISNKSPYSSLACPHIYTYLHLIGALLTSERCRNARMVSENNLQNIKMNAFVVAYVKSHKAMLKKAFLKPS -DRDFREEDSEGEDDDEGGDEEGQSEFDEFIGDMPKSSNPMEWYIYLQSNHFALPDKVVDFCLKEAKKIQN -ARPGTVGKYLSTIA - ->YP_009094365.1 nucleoprotein [Almpiwar virus] -MAWYLKGSNEGFTPSDKRSLRTFDYPSESYITEHKPVVKIYDSDKNAQDLKALVLGGLKHNNLSINVFLT -WLMKSNLAKTLTLTEKWKSFGRVIGEANSAISFKEILKIESAGQLDTGKLTEDSTNIDKASDLAVAMMGL -APFRAHSNKNASYATQIINNLNGYLKSMTVNHFTITGDCSMYSGWLNDQNFIRVVAALDMFYHRFSEDDN -AMVRFCTLNARFKHCSAYLSIGYFCNATGMDEHQFPKWVWSRKVGEQIVRVFKDGQESEEEYSYFPYSFC -FGLVRHNPYSAASNPELNAWIHIFGALTGKTRSIRANNVGDYDSNTILIQALQMAYAFSGSDTSEAVFSK -TPNDKPAASSFDGMAGRDGKKVVSLEDVFPVGRDGEVWRKYFAAKGVNADVLKWYKNAVDKIEADRENTI -GHYLKSANPRITKK - ->YP_009094319.1 nucleoprotein [Culex tritaeniorhynchus rhabdovirus] -MSDPVCRHGNLEGYQVPSISLASTIKATYPSEAFAKQEKPIVRGPAYTGDLAGFDAYMTSQLSQTTVTSL -PIDYAICYLHAVACVTGVKLNEPWSSFGVNLTDAENNVYIASLYKFQAVGDALDLSGGAVQGDRLYNLFM -LFAPCRLHSGLRPEYRPLLAERYKTVMGDKKKGLEPSALAIKCAGWDQHPAYAALAAAYDMFLFKTENHE -YAKVRIGTTPMRFRDCTMLGVLEVMRNAMKVESMIDATYWIWNGRLADEFEKIYKEGEELDKADSYTPYY -AGFKLGNRSPYSATMSPQLHYFVHTIGCLANSKRSINARAITEVGIEDARDNAIIVGYVMAGRRRHRAQY -FRPSEAELWKAPEPRPRADCLPGANEAVRDRGQEDEESSVSEVSSVCSRIARDFDGEEIPEPLSSRASDW -YQYMASQHFIMPRQMEIAACNILAALDKTRPDTIGDHLKMWAKAKLRTLQQT - ->YP_009094161.1 N protein [Xiburema virus] -MFCTISKKSFKPVLPRELSVPQYPKAFFEARQGKPILQIPQKGVDIKTIRGLIKGGITLNKLDVKLVIRY -VYEILKEEKGTLTQKWESFGNVIGNQGSDVKIFQMFEIEEVEEKLADGQENQTATEEDDEWMVMWILFQY -RMARTVHAEHRTLIANKLTMVLQGVYPQAPAIPSKTTTTANWLGNLNFTKMIAGIDMFFHMFKQHPLSNL -RMGTLPSRYKDCSALLGLSQMADVTSMDENEIADWIFVGAVGKDVMNLMEPDQEIDDPNSYTPYLMDMGL -SNKSPYSATQCPAFYNFCHITCTLLHSTRSKHARLINENNMSDIKANARIVAYVVGENLNLMKVFLKDEQ -DKVLTHEELMGERGLEDDMSVDFDPNAVVPMPETRNALDWYNYLVRTDCKLPQLVIEKTQGLARRIGNTR -DGTIGKFVETTMA - ->YP_009094129.1 nucleoprotein N [Berrimah virus] -MYCTLNKKEIKAVKPTDTIPPQYPKEFFINGNGKKPTLRVPQGKLDLPTVRELVFGGLERGELVLQHVLR -YLYLVGEKITEKLEGDWVSFGVNIGRRNQEINVWNFYEVVIEDDQTIDGRRVNNVDESDDAWLTLALLAY -YRLGRSSNQNHRNNLLIKLNAQIKGYRKDAPNIIDDVAVHGSWVTNSEFCKIAAGFDMFMNKFKNNKYAH -VRFGTVASRYKDSAGLMALGHACDVTGLTIEEILDWIFVSNVGEDVVKIMDEGNEIDEPYSYMPYMMDMG -ISNKSPYSSISCPHIYTFLHVIGTLLTSERSKHARMVSEHNLQNIKMNAFVVAYVKSNKAALTKAFLKSE -DRAYEKQHEGADESDEDDNESDNDDEFGAMPKSSDPMEWFIYLESNHFVLPDKVTEFCMRECKKIQNARP -NTIGKYLSSIV - ->YP_008686596.1 nucleocapsid protein [Drosophila obscura sigmavirus 10A] -MARLLDAGVIYSGSETVSKPIQLASFSRTSEGEYPAAFFNPPKRPSLSLVTGRADENNPLSLGELGAMIS -THLLADSLNVEWVKTFLYRYFESVKEECADDWSSYGNKFRTTEQTKRYVSPWNLIEVKIDPGIFPDSPTE -GNPVIADRESLRKLVIAVTGIHRLNKTSNAEYRTLLGNRLSAFAAVKRNDGDDSSTNFFNFGIATTTYAT -WNQDVGFCRMIAALDMFFYKYKHHPDAIIRWGTIVSRDKDLGALLALEDLRANTASDTITNVLSWVWLSE -ISSEFIRLSANETDQKEALLEHSYFHYQSEMRLVNSSAYSTTHNRKLYTLTHMVGSLLGSTRAQNAYLIE -KTNLSSLMRNAIFIAYACHHSHELAPIGAAAGQAEALKIARKKLNPQEEVLEGDAVFAAINREPDTRNPL -AWYSYWKGLNFEDTEKLKKWVKFATERVSETRENTIGQWVKAYGSDTSSN - ->YP_007641368.1 nucleoprotein N [Tibrogargan virus] -MHCLVTSTSIKLTAPSDTIDVQYCSDYFEKNNKQKPKINLPKLPVGLAELRALVKGGFKANDLKLQHVLA -YLGHLLQDIKGELTEDWTSFGVLIGNRSHNITPLDLLDVNYYDDKLLDGVKANDASQSDDAWMLFACLGI -YRLARTQNLQHRSSILMKMNAQILSLNQNAIKLVDNTMFYNSWISNYNYTKLIAACDMFFYKFKDHEHAV -CRFGTIPSRWKDCGGLTSLSHLKNLTGMELEEIVSWVFVPSIGKEICRMMKSGQELDKPDSYVPYMMDLG -LSMKSPYSSSANGGTYTWIHMIGSIMHSQRSLHARMVNENELPNIRISAMLTAYVKFNKGSLMKVFVKEE -DKHLYIGEDQDENEGNGSDYIDLTQHPNTDSADDWYQWLEINNFTLDDIIKDSITRECKKIQNTRAGTIG -SYIQTTLS - ->YP_006200957.1 nucleoprotein [Obodhiang virus] -MFCTINQKAIRPAKPSDSTTPQYPSEFFDKNNYQRPTVRVTQGGYKIQELREILSNGILQDDINPHHVVR -YMELIMEGITDTLDDDWTSFGVKIGKKGEKITPLSLLNIMIEEDDLIDGKRNNDVTKKDDKWIMLIITSY -YRFAFSQNPNHRSNLITKLNLQLRTFLKDPPTIVDNMGLFASLISNVNFNKLISALDMFLNRFKNNDWSF -LRFGTIASRYKDCSALMSLSHVCEVTGMKIEEFMDWIFVYSTGEDMIKLMREGNEIDDPLSYMPYTMSMG -LSMKSPYSSINCPSIYSFIHMLGSLLGSERSRNARMVSENNIVNLKMNAGVVSYVKSHRASMIKAFISNE -VKDQWYDNEGNEADDKTDDESDDELDGMPKGDNPVEWFMFLESRHFELPDEIKSFMNREAKKITNPRSGT -IGKFVSLMN - ->YP_006202618.1 N gene product [Kotonkan virus] -MFCTITETSVKAIKPTDNVPPQYPGDYFGRSKGTKPTIRIPQSKLDLQAARELVKGGLSKGELSVKHGIR -YLYLLMCEVNETMDGDWESFGVVIGKKGENCNPLSMFNIIEEDDKLIDGTKNPKATPEDDKWMALAVISM -YRLGRTTNQTHRNTVITKLNAQMQGISKDAIPMIDLPSLQSSWVANQDFCKIVAGIDMFFNKHKMNDWAY -LRFGSIPSRFKDCSALLSLGHICDVTGMDLTEFLDWIFVGTVAKEVVGMMKEGNEIDNAYSYTPYMMDMG -LSLKSPYSSTVCPGTYTLVHMIGTLLFSDRSKHAKMISENNLSNIRINSEVVAYVKGKKGSLVKAFIRPE -FKDQYKDDDTTDSESEEGDEAGSLPRTDDPMEWFAYLEYNHFDLPDVIKEHTRSESRKIQNTRAGTIGNH -VVTTFN - ->YP_003518280.1 nucleoprotein [Ngaingan hapavirus] -MFSRLSNKKVTFFNPSDKAKATPASHFFRDNGKKKPILNIPQCHLSLSEVRQVVKSGILKSNLNVAHAIR -YVYLVLKDQTIRLDSAWESFGVVIGNQGEEVGPFXMVDVAHDEDPKIDAESDQTAGPADDLWMVTYVLFM -YRYFRATLPAYQNALFEKLKIQIAGCTSAKIPLNMPNPIYKGWLGSKSFTKMVACIDMFYYKNPDCDWAS -IRMGTLVARHKDAAALTSFAHLKDVLGEEGDKIFGWIFVSNVAKEVEEVMLEGEELDKPYSYTPYLMELG -LSNRSPYSAASAPGLYTFCHLVCSLLASGRSKHAKLVSENNITNIRANAIIVAYAYSANVSCDIFFTEDQ -NIDAKLAAMNKKYDDEDDDLLGSGDYPPTTKDPFEWFCYMKANDFQLTDPLLEFAKGEVRKFTNMRPNSI -AKHLHDSHA - ->YP_002333271.1 nucleoprotein N [Wongabel hapavirus] -MTTSPKTMKSVRTSESIKYIQPGDKPKPQYPREFFEKNPGQKPLINVPHKDIDLANIRNIIKSGILQGGL -EISYVLRFIYLIGKTIEDELDDNWQSFDVIIGGKGDKITPWNLVEIKEEDSRRADANVDQTATADDDKWM -LMYVLFVYRHARAQVSNYKKTLFEKFQTQVSPLIPNKITLTSPPPVYNSWLSNKNYCKMIAAIDMFFCHF -PQHQDSNLRFGTITSRFRDCAALTSLEHFRETVGFKGDEMFGWIFVGSLEEECHILMKKGQELDQPSSYS -PYLIDFGLSLKSPYSASTCPGMYTYCHLVGSLLTSTRSQNAKMISDKNLVNIRTNALLVAYVFSENIECK -VYFTDNQEFLQAGLEGQDQLTEIPDDDGVSSSLSMQDDMPKSKDPIEWFMYLKSLKFQIPNIIKDFGKTE -AAKMSQCRVGSIGKHLNDAF - ->YP_238528.1 nucleocapsid protein [Tupaia virus] -MSLSRLYSKKTQSSYNATAPLDRDPPEYPGDAFKKSRNKPTLTRPKSNLSLDDARCMIKGQIQNGSVDIE -LAKHYLILVLEQITEDNSDDWTSFGVQILSRTERGRPLCMVNIQLDDKDKTFDSQVKDSTATVEDDLWMT -TYLLAIYRIGRATNKNYQTQLIDELNKLLATFPGDYVPMVQALQAFDSWPNDPNYCKLVACVDMFFSRFK -KSKWAILRFGTISSRYRDCAALTALNHFSRLLGVDLVDALEWSFVGRVSDEIEQMLKPDQELDKVDSYTP -YMIDLGISKASPYSTVRNPAWHLFCHTVGSLMMSRRSINARHLEAPDQSNILSNAELIVFVFETRIKWLK -NFRKLDSVDASDQLDQVAPVVLGTKDLPNTTNADDWFSWMKLNNFELPDECGRYVSKLAKKLKDSREGSV -GQFVYLRLGD - ->NP_065398.1 nucleoprotein N [Bovine ephemeral fever virus] -MYCTLNKKEIKAVKPTDAIPPQYPKEFFINGNGKKPTLRVPQGKLDLPTVRELVFGGLERGELVLSHVIR -YLYLVGERITEKLEGDWISFGVNIGRRNQEINVWNFYEVIIEDDQTIDGRRANNVDENDDVWLTLALLAY -YRLGRSANQNHRNNLLIKLNAQIKGYRKDAPNIIDDVAVHGSWVTNSEFCKIAAGFDMFMNRFKNNKYAH -VRFGTVASRYKDAAGLMALGHACDVTGLTIGEILDWIFVSNVGEDVVKIMEEGNEIDDPYSYMPYMMDMG -ISNKSPYSSISCPHIYTFLHLVGTLLTSERSKHARMVSEHNLQNIKMNAFVVSYVKSNKAALTKAFLKSE -DRDYEKRQEDGSNDDEDEDESGDDDDFGAMPKSSDPMEWFIFLESNHFILPEKVTEFCIRECKKIQNARP -NTIGKYLASIV - diff --git a/seq/clusters_seq/cluster_270 b/seq/clusters_seq/cluster_270 deleted file mode 100644 index 4738883..0000000 --- a/seq/clusters_seq/cluster_270 +++ /dev/null @@ -1,570 +0,0 @@ ->YP_224083.1 L [Taro vein chlorosis virus] -MDSDYPDLDPEALTVLDSIREGIQDEEEEDNNDKILSGTGDYHLKSALRTLDDMTRHPIFNKEYQKAVRH -FGISPTMMMTPTAVLKLTVSQTKINKAVGFLFGDILVRLDSLPWAVDCYDSIQAEIKTMHSHMMIFATPS -WVEDVHNKVSSLVEYDHDATLIWATVITLKNYLPAWREKGASLLDWRSVQYDPESEYLVMKVDRDFIIYV -GSDICVMEIGKQTLWAPVPYILNGADKVAERYNVKYYCALCDELDIPDRISLEKLNQIIEVGDDCLQALG -NKGYDIIGSYEALLAGIIQARDNPQVIPDRELLQRTTLNDPGNTIGVTFLKRWDALMEDLNPEQIACAHG -LYRIWGHPAVDILGGINKMREVASIVKLPSSKILTDIGRQFKEMFFTSYHSVHKHYPKHLIREYKSDSYI -HECLKDNRTLNSKVLSYHFPDWDSVALEKNFEVPYSWNLVHNLKDKAISPSRSELYETLSTRNSIFGASN -RRGILKSLTMETVQLRAFLQDVNDKGLPDNDKIIGVYPKERELKIKARLFSLMSFKLRLYFVSTEALLGD -KILKYFPQITMSLDMLSMIKKMFRVSGQTTRGDDSVTVIFNLDFVKWNLQMRKIICSPVFTQLGALFGMP -NLFDITHDLFRESVIYLCSGEGDLRGDPVFGVAPDGVWSWTGDESGKEGLRQKGWTILTVVTIMLIAKRH -HVDVSLMGGGDNQVLGITIGGMVRDSVGELTQDSCKLAQCTIKRFTQDLITTFGDLGLPLKASETWVSDS -LFMYNKHMFYKGMPLRSPLKAVSRIFPLANDSIMTLDNMINNISSGVKAACMKERHGIPLVFIKTMAYRR -VAELSLIMHPLTVCFKKPELPDHGIVARSGKKLKIPVTSKNLRQYFSLCTLGSSTMGHPGTLHLPDIIMR -GFPDPLTSHLSFISEMRRYIVDPGLASVVDKLSHLSTSPTTEYAKLVEDPTSINHDAPTHGLNEIRQMSR -DFLMSTTLATNPHLKSLFSLLDRGTEKDFYDALCSAQELDVKVLHEIAGATLYGYTNGIASRIDQTGTVR -ALNENIDVLRRLALAETRYIGYLMARDTREHDLKPSSCSRITAQQYRDLSWRKPILGVTVPHPMEMCQIM -SSTETIYHDAVVCWSDRVSGSEIYQSMGQGKIYQGSYTKERFKATDIAAAYGNEDILVKAVRLQKLINWR -YDEGSNFAKIISLTLEAITDANTEGFHRSKEEIKGEFDHRRGVTGDISGGIPNFLVTPTSHFSSTTSSWV -SHSRGGKNENIHFQSVLINLLYRAMVYRGSVPGLPEMIWYSKEKCSDCITEIKDPDPIKTTPSLHTLPSA -KGNPFAYIESVNVKLDYHHQIEITKGMEEEYLINSLWDGQNVSGEEESGLLLYLMLIGSRQISESFILLM -RERINAATALQYMLNRVILARKLGLDSQFPIRSTSCVNLLLGTDDNILSCRDRFNIELLSGSWESGVSCD -MSVLYRDDLLTASELHVNVYLQNVPLQLALSRAASSTQLQSCLECQAIVLDRPSQRELMRYLHWCCPYHT -ANAPPRILRIHSEKLIKGIELRTDNPLLVPYVCNPVTLERVEKVPVMVDSWELPVHMHSTWDSILPQLYL -TLKSLLSQVTISSLIVDDDITLINLAASVMLDLRRELPVYINVKGFSGTEINNKFDNLKLLPPNIRSSVS -VYHENRSLIEASAAVWLPEPSSVESVGADWLVLWGDTWRMGAGVPGHVLVTESKLSTGMAWVLHRDPLAS -QSVLELCGAVQIWEKKALDWDMREGHCVPIQMNRTLACSRLGSRGVRWTMWSTAAGLDKVTRILRSKLLS -LSGNPGSSYHWRKGCQKILKAYVLSLYAHCVDNMLEASGRLVGVSVHGSLSGIVPICDMHSSDRIQRAQY -LFLKERCQGGPFILRNRLERRINLLSPVHDLLDGPSQS - ->YP_010085100.1 RNA-dependent RNA polymerase [Citrus chlorotic spot virus] -MESLLNTSYTETNLSDNIQHDHAEGEAVETGGSYHLKSALRTHDDYKKNHGCRQDLAVLLEYKSGHPVSN -PCKLLPLLWSFIVTGAASMFDSNVHNELTEHYKDEDMLAEMLHLTEEELPLVMNQQDASLINDEVTRIID -ESWRVETFLGRLNFLRWFLTHLVINHNRGENGIEDGLCYKEGMGVYTYYLGTSLSITTSKMWANIDINGD -KSIIHMSHIVNVLDKLTERYNSIIYNRMCRSTSMSSIYPSDSTLQSVISLGDSLLLAEGNEAYRGLASFE -ALCVGEIINKGDKGVWDVNKFLRTMKQEVGQLGAQFDHWCTSLCRILEGLNVQQISCLHGLYRIWGHPVV -DLEKGLEKLRSVALVEKFIPRSFSINTSNMFKETFFMNYYKKHKFYPPYTWIGPPGSHYLQQNLLLEKEI -DRHNIRYHIEDWQSVQCEKTFEIPATYSLASCIKDRAISPTRSELERMVQSSRSVMNQDARRGVLKWLNS -SMIPVRDFLQNINDNSLNFDDCIIGLYPKERELKLEARYFALMSFKMRLYFTITEHLANDHLLEYFPMVT -MSDSMLELQKKLDALSRKQTSSKRGVVHYVVNIDFRKWNQQMREEMTVDLFHDTDRLFGFTNLVGRTHEI -FKRSYIYLSSGEYVPTLNSRGKLKTEAPYSWTNDPSGKEGLRQKYWTIMTACDLMVVARKFNMKIDLVGG -GDNQVLIVEVSSDRLDHDGTMSQEGKAECQEKMNSFMQSLSEYMEAKGLPLKMEETWISPDLLMFFKMMY -FRHVTLISPLKQASRVFPLSNDQVMTIGNMASTISSAVTVLSSKDMQVGPAIMLGRLTVGDLSVMVCNNH -PLSLDKRMWSEKVPICRGGVRRIINVPPHRRVPKKIFLSLTLHHKVLGGSAIISPLGMLMRGFPDPLCEH -LTWMSMIIRSRPEYRIFACMSLNNKSPWSHLLEDPVSVNHDAPIHGLAVLRREAENALASARKYTNKDFL -ELAHTCNKQMMEGLADALCSGDNIDIRVLHDIMGANLGGYFNSIASKVNKASTVLRMNKTSKVIETITGQ -EKVCMSYYASYGMIDHDLTPSACPTVTARRYRALSWGKNIMGITTPHPAAFLEYVSGPHDCDHNYVQTKT -LSSGEVDPQVRGPFMVYQGSYTKEKFKPTEMAAAYGEEDLLSRAIHLMKLINWRYPPDSSMAKIIRGLLG -SLTDADPSLFYGMMEWISGDAEHRYQDMATKHGGVPNIAYSILSYVRANTSTFRKHSRGGKNETIHFQAV -LIYTSMMSLFKHYGGIGHWHEKCSKCITRTTADPDFQFKKRVSFPMLPGNMFAYVPSEMIKFHYHDLRRI -RAQEMADDRIVSLTTMSAREKATAACSLLSAVIAASQSKDRSNDTVSSITMWSSIIHVKDLVPMVCLRLS -VDHLMKRKEWVWPKPHIPQKVAMLLEPFIMSPSGRDWITAMGLDTSEMGLGQIGIIRDIISNVVDGRVIP -KVMPNRVSPLWTNDVICAKIFENNHIVGCEECLNKGSTLARTEICNDMRIEYLFKICSVTLPVFKGDLTQ -MDIPISVAPHYDWESSPVQVYSVIADGTEYVPFPKDGPITRSIPLGASGVSSIMDILHETRGTILVSTDA -VSLMIWRRMYGRRTVKIYNDSNKSLDSVCEMNSMSEEMSDKYMDDEHTNEVADVIYCNTYPNPSGWCIVT -SRDEVNALYERAKGVTPVSVMVSSLRNPTRVICAVKIDQGDDGGWGNFQSLYRSLEARCQPHVSQVKKPS -VKTKLISQLVSLFQACDGSFQGCLDHLIIHVRTMVHKREIYSRKGRDAMYMLIILELVRHNGGVSKAFNL -GRIKYVNRPRMIKASLLSNNNRKAASDVRDAREVFKRANISTVLCNDNDVRIIL - ->YP_010087201.1 RNA-dependent RNA polymerase [Morogoro maize-associated virus] -MDPDYPDLDSEALEVLESIREGANEEDEDEDSGRVLSGTGDYHLKSALRTFQDMMRHPIFKKEYTKAVDQ -FGISHKMMLDPIDTMRLVLRDTPIRKSVGTLLGDVTTRLASLPWMRDCYDLIQAEIKTMHSHLMLVYTPD -WVESIHEKISREVAYDHDTSLLWSTVITLKNYLPAWRGRLDPLLDWKSVSIDRETGYLKIRISIGMLLYV -GTDICIIEKDERTFWSPIPYILNGADKAAERYNVRYYCALCDHLNIPNRVSLAQLNDIIKIGDDCLAALG -NKGYDVIGSYEALLAGVIQARDNEHVIPNRELLRQTTLNDPGNEQGRQYLLQWNEIMEGFTPEQIACAHG -LYRIWGHPAVDILGGINKMREVASVNKTPLNSVLTDMGRQFKEMFFTSYHGVHKHYPQHNIMSPLKGSYI -QECLQDNLTINTKVLSYHFQDWDSVELKQNFEVPYSWNLVHNLKDKAISPNRKELYETLSTRNTIFGAAN -RRGILKSLTMDTVQLRSYLQEVNDHGLLPEDKIIGVYPKERELKIKARLFSLMSFKLRLYFVSTEALLGD -KVLKYFPQITMSLDMLSMIKKMFKVSGQTTRGDDSVTVIFNLDFIKWNLQMRKVICSPVFSQLGQLFGMP -LLFERTHELFKESVIYLCSGEGDLVADPYYGVSPSGSWAWTGDESGKEGLRQKGWTIMTVVAIMLIAKRH -NVEVSLMGGGDNQVLGITIGGMVRDISGALTADSCHLAKKVIKTFTEDLISTFESLGLPLKASETWVSDS -LFMYNKHMFYKGVPLRSPLKAISRIFPLANDSIMTLDNMINNVSSGVKAACMKERHGIPLVFMKTLFYRR -VAEITLVLHPLVGCFKKPELPTHGTVIRSGSKLSLPITSKCLRSYFVLCMQGVSVMGHPGTLHLQDIVMR -GFPDPLTSHLAYIPELCKYIVDPGLMTTVENLSHMSTARTVEYAKLVEDPTSINHDAPTHGLNEIRQMSR -DFLMGTTLAANPHLKSLFSLLDRRAEKEFYDALCSAQELDVKVLHEIAGATLYGYTNGIASRIDQTRTVR -ALNENVDVLKRLAGAESRYMGYLMVRDLRSHDMCPDTCSRVTAQRYRDYSWRKPILGVTVPHPLEMCSIH -SSSETTYLDAVVCWSDRSSGEDIYLSMGQGKIYQGSYTKERFKATDIAAAYGNEDILSKAVRLQKLINWR -YDEGSQFAALIQLTLAAITDAETSGFHRSKEEIKGEFDHRRGTAGDISGGIPNFLVTPTSHFSSTTSSWI -SHSRGGKNENIHFQSVLINLLYRAVVYRGSLEGLPEMIWYAKEKCTHCIREISDPDPSRTTPTLATLPAA -KGNPFAYIESVNVKLDYHHQVEISRGMEEENLINSRWDGTNVTCEEESGLLIFLMLIGSRQISESFILLM -RERANARTVIQYCLNRLILMRRLGLDKQFPIRSTSCINLLLGTDNNIRSCRDAFNIEILNGSWEAGITCD -MSFLYRDDLLTALPLHVNVYLQNVPLQLALCHAASSCDLQSCLECQAIISSQVAKRGSADHLKWRCPYHQ -DIDFAPKIFRIHSEKLIKGVEVKTEHDLLVPFISDPVSLERVQRIPVSPETWELPVHLYSTWESILPQLY -LMVKSALTSMPISSIVVDDDLSMINLLSRVLADLRRSVPIYINTGQFSGSEINNKFDNLSLLPPNIRDSA -HIYHENSIILDSGSLLWIPESDQSAVIQARWVVLWGDSWKLARELIGRAVITKDRLSTGITVLIEVDPSD -RPAVLEICGAAQAWEKKSVDQEMREGHAVPIKLNRVLACSRLGSRGVRWTMWSTPLGLDKITRSLRSKLM -SISGNPGTSLQWRKSCQKILKAYVLSLYAHCSDSMLDAAGQLVGVAVQGALVGITPICDRHSSNRYQKAQ -YLYLKEKCREGPFILRSRLERRINIMSPIHDLLG - ->YP_010086818.1 probable RNA-dependent RNA polymerase [Wheat yellow striate virus] -MDVEEPTYWAHDEDDDYWWDADEYLGEEEEDEIYEDTEDELVEGGDFHLKSALRGEEDMLQNPIYKKERD -SMVEELGSLGTLMNHIDVVSMLNLIGTRVAQDKRPSGGHTFLHEGGFNIIPLQENVKLIKAELMSVLPEM -AGMNIDQVLSGSYHLFMADHPYVTCTISTVLFILSVLNNVKNIRQGIEVGTLIGRILYRKGNLVCLSLAA -ATLCYLSTDILVFDVDGSRHYMPKTYFLNGCDKVQERFNIMLYSYMAESLGVPGSCPSQIVKRTISWGDN -VLANMGNEGYNVIGLYEAILVGMILDRDDEALSPTPRSESFLSNILTGLSSEEQGYAKRLITTLQDMSPA -QLADLHGLYRIWGHPIIDIDGGVRKLQRVTREEKGDINAKPESRETVRSFRRLFTTEYFKKHSIYPPMEI -TSKFNTYLGNCIRTGKEIDEKHINYQFSDWDYIELQETFSIPYSWNVLHLAKDKAISPTRNEIYNMLLSK -GRIFNAELRRGVLKLMTTTLIPLRDFLKKVASDGLDIDDCIIGLFPKERELKILARFFALLSFNMRLYFT -STEELLGSKLLKYFPQITMSSNLLEMQEKMASMSKELRTQNRSVTYVINMDFVKWNQQMRESTCRGVFTE -LDKLFGLKGLYTRSHQIFKDSVLYIADGTRRILPDPISGVMIDDNACWTDDGAGKEGIRQKAWTIMTVCD -IAAVARHHPGKFHLVGGGDNQVLTITYHTNQVDTQGVITEAGKQKIKSKVKRFLTDLEKHFSERGLPLKT -TETWCSTSLFMYNKYMYYQGSPLRSPLKQVSRLFPFSNNTSMTLQSMAQCLGTGLRSVAQKELSHIPALM -MRNIWGSILTWIVTVCHPMLLSISNQDSLKGDGIITRGKKEIKMKVESVESAPLALKIIYLPGHFGGPGL -VNLLQMTMRGFPDPITEGIYFLMAMKKNTARLGSAYTSLFQRMAGVSFSRSRNYEPLVEDVCSLNTDAPR -SGTSEQREVARKILLKSKLGGNENLRDLLRIMEGDNEKNFYKALTASRVLDIRVLHEIASATLYAITNTF -TSRVDRTATLKRLTLRYSMIKSLAESERKFLRYLLVRDLKQHDIMFEKCSRVTADECRTLGWGKQIIGVT -VATPFEYLSVSLREKHVCDGNNIIVRLSSSGNKKQLGEVLGPCKPYLGTYTKEKFKMTEVAAAYGDEDVL -TKALRIMKIINWRFPEGSTMSEILKAPFRAVTDIDPQRMIQESSVTKGDYDHRRKMDSRVHGGIPNFVIT -PLSHMSICTSTWYKHARGGKNENIHFQACIIQTMYKIVMLLMNNGKCDEIIHAHESCSTCICEITEPVLD -LVTPMMDLIFPQLQNNSLVYIPEQAISFDHSRMKEVDYARRVGMLDCHRDTDYTWLDTYSSLSWLIMCDV -IGWTRMPHSFYFMIQNDVDHYLLSMYLICLWKVMRKEFELINTQLDWEPMIKVYSTQEGITVLSNQMGLV -VGGSLEGGLEVDMKDICSAFNTLTITQIPPFSVNLALPKIHKQVAAWWMLMDDNTLLCIDCHNNLNGYWD -GTSINRRVDNDLRCYIHADGDVSPRIINAHISNLSQGLIRLTPGGTSQSHPIIIGGDKLNNLETVPSVED -EWPDVESITLLNQIGETNDIDGRLRFIIESMSVIMPDIVVVRPDMLDITLVKTARELLKCDDGSKLIIYI -LVEEPSYLESGTIYEMERAFPNSETVDVQFDYRKAPGGLHKLWINPREESIQRVEVGDWICISFTDLIKH -HDSILADTVLTMKERRLGRQFLVNKGVGSFKPGDLGKYIFSSELNMSYKQSRQVDYEINKVMIQNPTLGG -KFMILKRRCSWALFSSRYEMLRAVERIKKNMTVEGRSTKTKEWSKTHQDDVLILIISMLMRADEKDESEI -FTLGYVNCDVENLVMYPKFSSTQVVSLRYLDYFWFFKRMYEYQEKTFAIPYTEIRTSLNIGSPKRSGYNT -INSSE - ->YP_010086602.1 RNA-directed RNA polymerase [Physostegia chlorotic mottle virus] -MDETDWEDRQDDWFGDRDDNEWAQVHDDMHEGGDYHLQSALKSIDDYRSSHIFVKEEAKMVRLIGDMGTM -LTNISCLQFLWAIASLKTPLNQNCIIRDLAGMDLMTVDAQRIGILVEAECDVLYNGRLFQDMSIKNHVSS -CLQSHKVLFPCMSDVVRILCFLLMMKNNLKTIRSKEIMGYSGLNNLHVIQEHIQVMIEPEFIITLNTDLV -HIQIGSKRLWAPMPYFICAADKIQERYNILLYSSIMMPLIRNICPSPDLIERVLKIYDDLLSDVGNEGYS -DVSGFEALVVGIIISRDDDDLKLNGDSFLQEVLRDVSPRGGVYVRQMYDLLKNVNIDQLADLHGLYRIWG -HPIIDIDGGMEKMRSVSLKEKDTDLALGESVGRKFMEIFFSNFRKKHGVYPLHHIERPEHVPMSKAESGI -YLLRCLKSNTIFNTASIGYSMEDWEWVVLDQNFEIPTSWNIIHTVKDKAISPNREELHHNLITRGHVFNQ -DMRRVILKTIMTPLGPMREFLEKVGENGLENKYLMIGIYPKERELKVKPRFFSLMTHEFRLYVTSTEGLL -NDKVLKYFPEITMSINLLEMTKIMSKLSGNQSDQSRSVTYVVNMDFMKWNQQMRYDICHPVFSRLGQAFG -SPGLYDQTHLMLKNCVIYLSSGERPLVADPVTGVVVDGINSWTGDGSGKEGLRQKGWTIMTVCDIKYVAD -RLGMDASLVGGGDNQVLTVTIRTSDLSEDGEISQEGKRKVRDKMRSFLAELQDHFDRRGLPLKASETWTS -TSLFMYNKHMYYKGRPLRTVMKQISRCFPFSNSSVMSTALMCNSVSTILKAAMQKEHFIVGVITMKCLWG -LYIAELSRSMNPLFYVTEGGLLSGSFEVVRQGTKRSVQIDEMDIVHFWAKILYLPSIFGGPGLSNCFNLT -ERGFPDPVTEGVMFLNKMHERLMKIDISLGIAVGTLKGISFSQQPNYEKLVEDPASISHDSPSHSTSTLR -ENAREAVLSVAKHNNPDFVNLVSVASKDNERAFYTALCSGNKLDPKVLHEIAKASLYGVTNSLISRIDKT -RTIRRMNETMSVVSELAESEVRYIGYLHVRDKLPHDLQFSSCSRLTADSMRSLSYKKQVLGVTVPHPAEY -LNVVPKNGHLCMSGYITIQDGRQTTGDIWSIKGPCKPYFGSYTKERFKATDIASAYGDEDVLKRAVSIQK -LIGWRYPAESAFGKIIAATLQAVSNVDPEIVWVREETIRGSYDHRRNTDANTHGGIPNFLNTASTHLSLC -TSTWVEHSRSGKNEYIHFQSCMITCVRFMLRYMMIPRFTDSITEYHAHECCSTCITEIEPIPPDSTAPTI -PVSFPTLPLNQLVYVESENIKMDYTRRLAIEDSQSIAPMILEDITVLGSSHDRIVDCISSILVIETLGRK -HGMSKSFMILAREKVCVDACLILYRKKMTLLRYVDPTINIQSCRSLDVLCDTLQGMADKMSALGWATEGQ -LEGVSSSVVSDTWENDKPINILCYPNSVLGWLPLQIVMYKLGKSPMLVGCPDCLDILRRMMNMRTKDAMS -MSMCSAHQFVSVRVPIICAHPDNLLKELSSTGDPNIPDMYHFEDVPELGHIHMSHESELLDLPTLLPIFA -VGEETSVQEWADLIQRFICHVDVDFIVMDIEIESAEILYLAIKTRSSFIKPIHIMIDELSNEETGNILEK -FRNGKLGQCPVIMSYSEEDLPDGTGLVLSQKHDILVEVLNSRGRAFSVMGGSRLSRLDIDMKRRISGVYT -FPRVKVPALLLIVELSNENYLTNLSPLHHLYEEVVHTGPETTHQDKPGQNFSHTSTTRQQISAFTFKNRL -EMITVFSKRMREIDRIDSFCRMRRKARRIQDILLKLVVSVLAHAEPYDADTIGRIKSIDMDSIKGTLKPR -LRSCSIESVLVRFYKLMYLKLRRDPHLSNMGIKWEDVMLCINFKTQKRRGFNVVGT - ->YP_009976137.1 RNA-dependent RNA polymerase [Citrus leprosis virus N] -MDSLLDTSFTERFMSDPIYDGEEKEKSPVEDGNDYHLKSALRSHQDYIKNKYQKEHLRALRTVKNPPMAE -NPCKLLPIIWYYVISGLSIVGEASVKFLQETYHNEEASEEMIHLSTVELSQVLSQKDTKSLIDESLRLMG -DIWDQGCVLGRLNIIRLGLTHLVINKNRGIKATEDPISIRVNDSTFSYYFGDSFVITCNPAWAIVSLDGS -EHILNMQHVINVLDKITERYNMIVYNRLSSSTSLKSLYPEEDSLIDVISMGDDLVMSEGNEAYRGLSAFE -AICVGEIISRGDSSVWDVSRFLRTMIDEVSSLGKNYEIWVEKLLDVLRNQNIQQLSCLHGIYRIWGHPVV -DLEKGLEKLRSVALMDKQISPEFSRNTSNMFKETFFCNYYKKHKFYPPYTWIGSPATNYIRQNLMLDKEI -DRHNIRYHLEDWSHVQCEKTFEIPATYSLASCIKDRAISPKRSELIQMIRERGTIMDQTSRRGVLKWLNS -SMVPVREFLEGIDKSGLQTDDCIIGLYPKERELKNEARYFSLMSFTMRLYFTITEHLANDHLLEYFPMVT -MSDSMLELQKKLDMLSRKQSTTREGTLYYVVNIDFRKWNQQMREEMTTDLFYDTDRLFGFTNLVGRTHEI -FKKSYIYLSSGEYVPRLSHRGNLTYEKPYSWTNDPSGKEGLRQKYWTIMTACDLMFVARKHNMKVDLVGG -GDNQVLIVEVNTDLTNPDGTMSEEGKNDCKQKMASFMRSLSAYMEEKGLPLKVEETWISPDLLMFFKMMY -FNNVTLISPLKQASRVFPLSNDQVMTVGNMASTVSSAVTVLSSKDMQLGPAIMLGRFVVNDLSCMVVNNH -PLSKGKERVRSMWSTHISVCHGGSRRRVAVRTGVKTVKNIFLSLTLHHKVMGGSAIISPLGMMMRGFPDP -LCEHLTWISKISKEDHKYTVYGSMSINNKTPWSHLLEDPVSVNHDAPMHGLAVLRREAERALATATNYKN -TDFLDLAHSCNKEMMEGLADSLCSGDTIDIRILHDIMGANLGGYFNSIASKVNKTSTVMRMNRTSEVVEI -IAGQEAVCMSYLASFPTRDHDLHLTGCPTETARRYRHVSWGKNIIGITTPHPAAFLQYSDPDHVCDHNYV -QVKTSASESIDPFIRGCYPVYQGSYTKEKFKPTEMAAAYGEEDLLSRAIHLMKLINWRYHPESQMAKVIR -GLLASLTDAEPSLFYGMMEWISGDAEHRYQDMATKHGGVPNIAYSILSYVRANTSTFRKHSRGGKNETIH -FQAIIIYTSMMSLFKSYGGIGHWHESCTSCITTTTRDPLFKVPKRISFPTLKHNMFAYVPAESVKFHYHD -IKRIGMYEHLSSRAISITEMSDAEKLVAASSLLSAVIASCDTKDRTSDTVSSIVLWADYIRIDMLLPMVC -LRLSVDHMMRRHEWTWPKPLISKKSIRLLEPFIMSSSGRSILNRLGLEVSELAQGDIALLRDVIYSSVSP -SVYPRIVPNRVSPIWSNDVICAKIFESDHIISCFSCFNRGGEISAFDVCGDTRLEYLFKVCSITLPVLKG -DLSQIPKAPEEHGHLEWDSTPVQIFEVMESNYPFVPFPKDGPITRSIPLGIQGVGAILDIISETKGRITV -STNTVGLAIWRTFHHTRDIKIYNEGGSSLDLVCDMNNLGVESSDEYMDSEMTDQLSDVIYDVPLPCQPGW -WLVTSRDELNLLYDRCKTLQPFSILASGVRPLNRAICAVRLTSSGDERWGGLQSLYRSLEGRCQPYVSKI -RSPISKTKTLSTAIALFHSHDGSYTAAIDHLIIMVRNLFWKNELYTKKGRELLYLVVVMELSRNPDYTSL -ALSLGRIRALEGPRRIRAQMNGKDNRPAARDVRDLKELFRDDGTRRGGIHSRLGFVLVI - ->YP_009666993.1 RNA-dependent RNA polymerase [Clerodendrum chlorotic spot virus] -MDALLNVSYTETNLSDNVQQDYAEGEAVETGGSYHLKSALRTHDDYRKNYRCRQDLTILMEYKTGHIAYN -PCKLLPLLWSHIIVGIGTMFNSNAYEEIKDHYRDEDMLSELLHLTEEELPLVMSQEDASLINEEATRIVD -ESWRLDTFLGRLNGVRWFLTHLIINHNRGESGIEDGLCYREGMGVFTYYLGDTFSITTSKMWANVDINGD -KCIIHMDHIVNVLDKLTERYNSIIYNRMCRSTSMSTIYPPDNMLQRVIAIGDGLIMSEGNEAYRGLACFE -ALCVGEIINKGDSGVWDVNKFLRTMKHEVGQLGAQFDHWCTTLCKILADMNTQQISCLHGLYRIWGHPVV -DLEKGLEKLRSVALVEKCIPRAFSVNTSNMFKETFFMNYYKKHKFYPPYTWVGPSGSHYLQQNLILEKEI -DRHNIRYHIEDWQNVQCEKTFEIPATYSLASCIKDRAISPTRSELSRMVQSNRSVMNQEARRGVLKWLNS -SMVPVREFLQDINDNSLHIDDCIIGLYPKERELKLEARYFALMSFRMRLYFTITEHLANDHLLEYFPMVT -MSDSMLELQKKLDALSRKQTSSKRGVIHYVVNIDFRKWNQQMREEMTIDLFNDTDRLFGFNNLVGRTHEI -FRRSYIYLSSGEYVPSLNGRGGLRTEAPYSWTKDPSGKEGLRQKYWTIMTACDLMVVARKFNMKIDLVGG -GDNQVLIVEVSTDRINHDGTMSDEGKAECQEKMNSFMTSLSEYMESKGLPLKVEETWISPDLLMFFKMMY -FRHVTLISPLKQASRVFPLSNDQVMTIGNMASTISSAVTVLSSKDMQIGPAIMLGRMSVGDLSVMVCNNH -PLSVDKRMWSEKVSICRGGARRTISVPPHRRTPTRIFQSLTLHHKVLGGSAIISPLGMLMRGFPDPLCEH -LTWMSMLTRPRPEYKVFSCMSMNNKTPWSHLLEDPVSVNHDAPIHGLAVLRREAENALASASKYTNKDFL -ELAHTCNKQMVEGLADALCSGDNIDIRILHDIMGANLGGYFNSIASKVNKASTVLRMNKTSKVIETITGQ -EKVCMSYYVSYGMVDHDLAPMSCPTATARRYRTISWGKNIMGITTPHPAAFLSYTTGPHECDHNYVQTKT -MSAGVVDPLSRGPFHVYQGSYTKEKFKPTEMAAAYGEEDLLSRAIHLMKLINWRYSQDSSMARVIRGLLG -SLTDADPSMFYGMMEWISGDAEHRYQDMATKHGGVPNIAYSILSYVRANTSTFRRHSRGGKNETIHFQAV -IIYTSMMSLFKHYGGIGHWHETCDKCISRTTVDPDFQFKRRVAFPMLPGNMFAYVPSEMIKFHYHDLRRI -KAQEMADERIISLSCMNDGDRAVAACSLLSGVIAASQSKDRSSDTVSSITMWSSIIHAKDLIPMVCLRLS -VDHLMKRKEWVWPKPHVPQKVAMLLEPFIMSPSGRCWLSSMGLDISELGVGQIGVLRDIIHNVVDGRIIP -RVIPNRVSPLWTNDVICAKIFENTHIVQCDDCLNRGSTLVRTEICNDMRMEYLFKICSITLPVFKGDMTQ -IEMPLPITPLYDWESSPVQVYNVLSDGTDYIPFPKDGPITRSIPLGASGVSSMLDILHETKGTILVSTDA -VSLMIWRKMYGKRVIKIYNDSHKGLDSVCEMNAMTEEVSDRYLDDEHTNERPDVICCSAYPEPAGWCVVT -SRDELNSLHEKAKHMTPVSVMVSSLRCPTRVVCAIRLDSGDEGGWGNLQSLYRSLEARCQPHVSQVKKPS -VKTKLISQLVSLFQACDGSFQGCLDSLIISMRSMVHKREVYSRRGRDAMYMLIILELVRHNGGVSKAFNL -GRIKYVNRPRMIRASLTNSNNRKAASDVRDAKEVFKRAGVDTVICNDNYVRVIL - ->YP_009507905.1 RNA-dependent RNA polymerase [Coffee ringspot virus] -MDALLNTSYTETNLSDNIQQDYAEGEAVNTGGSYHLKSALRTHEDYKKNYGCRQDLAVLLEYKAGHVVSN -PCKLLPLLWSHVMVGITSMFDSNAYDEIVDHYRDEDMLTEMLNLTEEELPLVMNHRDATLINDEATRIID -ESWRLDTFLGRLNGVRWFLTHLVINHNRGEDGIEDGLCYKEGLSVFTYYLGKTFSITTSKMWANVDINGD -RCIIHMNHIVNVLDKLTERYNSIIYNRMCRSTTMKSIYPPDNVLQNVISLGDSLVLAEGNEAYRGLASFE -ALCVGEIINKGDSGVWDVNKFLRTMRQEVGQLGAQFNHWCTSLCRILEELNVQQISCLHGIYRIWGHPVV -DLEKGLEKLRSVALVEKQIPRSFSLNTSNMFKETFFMNYYKKHKFYPPYTWNGPEGSHYLQQNLMLEKEI -DRHNIRYHIEDWQNVQCEKTFEIPATYSLASCIKDRAISPTRSELARMIQSSRSVMNQEARRGVLKWLNS -SMVPVRNFLRDINDSGLHIDDCIIGLYPKERELKLEARYFALMSFNMRLYFTITEHLANDHLLEYFPMVT -MSDSMLELQKKLDALSKKQTSAKRGVIHYVVNIDFRKWNQQMREEMTVDLFHDTDRLFGFTNLVGRTHEI -FRKSYIYLSSGEYVPTLNGRGGLKTETPYSWTNDPSGKEGLRQKYWTIMTACDLMVVARKFNMKIDLVGG -GDNQVLIVEVSTDRVNHDGTMSDEGKMECQEKMTSFMTSLSEYMEDKGLPLKVEETWISPDLLMFFKMMY -FRHVTLVSPLKQASRVFPLSNDQVMTVGNMASTISSAVTVLSSKDMQVGPAIMVGRLSVGDLSVMVCNNH -PLSVDKKMWSEKVPICRGGIRRMVSVPPHRKVPSKIFLSLTLHHKVLGGSAIISPLGMLMRGFPDPLCEH -LTWLSMLVRSRPEYKVFSCMSMNNKTPWSHLLEDPVSVNHDAPIHGLAVLRREAENALASASRYTNKDFL -ELAHTCNKQMVEGLADALCSGDNIDIRILHDIMGANLGGYFNSIASKVNKASTVLRMNKTSKVIETITGQ -EKVCMSYYVSYGMIDHDLTPSDCPTVSARRYRAISWGKNIMGITTPHPAAFLKYITGPHECDHNYVQTKT -MSSGEVDPQVRGPFHVYQGSYTKEKFKPTEMAAAYGEEDLLSRRYSQESSMAKIIRGLLGSLTDAEPSLF -YGMMEWISGDAEHRYQDMATKHGGVPNIAYSILSYVRANTSTFRKHSRGGKNETIHFQAVLIYTSMMSLF -KHYGGIGHWHETCDKCITRTTVDPDFQFKRRVAFPMLPGNMFAYVPSEMIKFHYHDLRRIKAQEMLDERI -VSLSSMTTDDKMAAACSLLSGVIAASQSKDRSNDTVSSITLWSSIVHVKDLIPMVCLRLSVDHLMKRKEW -VWPRPHIPHKVAMLLEPFIMSPAGRSWLSSLGLDMSETGVGQIGILRDIISNVVDGRIIPRVIPNRVSPS -WVNDVICAKIFENNHIVQCDECLNKGPTLVRTEICNDMRMEYMFTICSVTLPVFKGDMTQIDTPIAVADH -YEWESSPVQVYNVIADSTEYVPFPKDGPITRSIPLGASGVSSILDILHETRGTILVSTDAVSLIIWRRMY -GKRVVRIYNDSHKGLDGVCEMNAMTEELSDRYMDDEYTNEKADVIYCNTYPDPAGWCIVTSRDELNSLYE -RAKDKTPVSVMVSSLRCPTRVICAVKMDGGNDGGWGNLQSLYRSLEARCQPHVSQIKKPSVKTKLISQLV -SLFQACDGSFESCLDHLTINMRSMVHKREIYSRRGRDAMYMLVILELVRHNGGVSKAFNLGRIKYINRPR -MIRASLSNSNNRKAASDVRDAREVFKRANINPIINNDNYVKVML - ->YP_009444713.1 RNA-dependent RNA polymerase [Maize Iranian mosaic nucleorhabdovirus] -MDTEEPISQDDLDVLDGLLQAEEGEEETTDEDGGSMLGGMGDYHLKSALRGFDDMMRHPIFRKEFEKAIV -SFNISHNNMLSQTETMFFMMNDTPIIPRRGMLLGDFQQRVVALPHGEDVFDIIHAEICVLHPHLQLSLSV -SAVRDIVRLVMEKVAKDDFASMTWAAAIMIKNFIPAWKKRGRQLLDWPSVNFDADTGYVRMVLEGDLIIY -MGSDICIIEKYPNVRWAPVSYILNGADKLAERYNVRLYSGICDHLSIPDRVSLDDLNRIIDVGDRCLDEL -GNEGYAVIASYEALLAGIIQMRDDDKLIADVGLLRRTTLEEFANTRGGKYLAEWDRMFLNMSAEQVACAH -GLYRIWGHPVVDILGGILKMKEVASVKKCPDESVLKDIGRQFKEMFFTAYHRLHKHYPKSIILPGFPKKS -YIIEALQNNTSINVKVVGYVFLDWDYVELKQNFEVPYSWNLVHNLKDKAISPTRKEIYHTLVRRNTIFGA -ENRRGILKSLKMETVQLREFLQSVNDDGLPDEDRIIGVYPKERELKIKARLFSLMSFKLRLYIVSTEALL -GDKILKYFPQITMSLDMLTMIKKMFRVSSQTTRGDDSVTVIFNLDFVKWNLQMRKNICHPVFRQLGELFG -MKDLYDRTHDLFRTSTIYLCSGEGELTADPEYGVNPNGIWAWTGDESGKEGLRQKGWTILTVVAIMLIAK -RHNVDVSLMGGGDNQVLGITISGMVRDSMNGLTDESKGVASKIIKSFTDDLLTTFQDLGLPLKASETWVS -DSLFMYNKHMFYKGIPLRSPLKAVSRIFPLANDSIMTLDNMINNISSGVKAACMKERNGIPLIFLKALSY -RRAAEIVLTLHPLVTCFRAPSLPTQGIVMRSSKKTNVKVTSKQLRNYFACCLTGASIMGTPGTIHVTDMI -MRGFPDPLTGHLAFIGALTTRIQSVHLRAAVEKMSHMSINRSIEYAKLVEDPASINHDAPTHGLNELRQM -SRDFLMKTTLATNPYLTDLFSLLDRKSESAFYEQLCSAEELDVKVLHEIAGATLYGYTNGIASRIDQTRT -VRALNENEDVMKRMADAEWRYVGYLLARDILTHDLVPDECSRVSADRYRLYSWRKPVIGVTVPHPIEMCS -VTSQTRTSYMDAVICWSDNVQGNDIYTTMGLGKIYQGSYTKERFKATDIAAAYGNEDILSKAVRIQKLIN -WRYDETSNFADIIRLTLKAITDADTEGFHRSKEEIKGEFDHRRGISGDISGGIPNFLVTPTSHFSSTTSS -WTSHSRGGKNENIHFQSVLINLLYRAMVFRGSSLSTSPVVWYSEERCPHCIVEIREPDPSRTTPKLDKIP -TAPGNPFAFIESINVKLDYHHIVEIHKGNEEENLINSSWDGTNISCNQEAGLLLFLMVIGSRQISESFIL -LMRERVQASEVLQMVINRITLMRRMGLDKQFPVRSTSCLNLLLGTDQNLSRCHDRFGVEIVSGSWEKGIQ -LDASFIYRDDLATTGDLHVNVYLQNVPLQLALSHAASRVEVQRCLECCAIISDRVSKRDSPNHLHWRCPE -HMDKDFSPTIVRIHSEKLIKGENIIDGHEFSIPFIDEPVALEVADRTEADLNEWSMPIYMYSAWENILPQ -LYVTMKSAILYTKVTSIIVEDEITLINLVARCLNDLRRSMSIYVLSDEFSGTEINNKYDNMRLLPPGIRD -TIRLYEESKHEVCKETALVVNPSSKAPIEGGSLHVIWADNWTQVRETTGHMYHTSVRIASGYCGILEVFD -RETPALFSLAGSVLSWEKKPIDHERAKIQETPIQLSRVLGCSRLGVRGMRWVMWDSALGLERIIRTLRSK -LLSLSSNPGRADHWKRACQKILKTFMISLYAHVQGDMLERAGSLVGVRVHGALSGITAVHDAASENRLQR -RQYIYIKENSKEGPFILRNRVERRISLMSAVYDLLG - ->YP_009176977.1 RNA-dependent RNA polymerase [Datura yellow vein nucleorhabdovirus] -MEDTHWTSAASWTGDDTDDMLVGEERSNQETAGSYHCKSALRDHQSNMKLFLYKKSFLKLRDLTGADPYT -DDVCLLLPDMWNCFFHKSHGLNRIEDYRAARQHTIPYPAVDNWLSHVARNFMNSSIIINTLNQEKTIWKS -EMSWLNKFQDADYYSMMGPLESVIKLVGFLNASLVVLNFTPIEERPSIRATLPGVCVSEHDGVFTMAFNE -YLSIVICGQAVRVRTPAYDQIMQTDFYLNLCDKINERLNVSIGASLVQKLSIIRGTGNPDECNMTAIVTR -IIGWGDRLLYRLKNRAYDLIGKYEAYCVSSILMYDDEEIWMKDEFQRNLLVDDEDNAPDLYLYARELCAE -LNQLSPIALAEVHGLWRIWGHPIIDLEGGLKKMETTCLKRHNIDTKETKTGERTFKSIFAINYYKKHHHY -PLCNMTSRDMMNLYWEHLTERDAEEINRDRVEDVGRCYLFRCIRDNRPIDDRVSGYSHSDWDRIIFYQNF -QTPHSVNLATMIKDKAISQTRSELVSSVLTRNSVFDSTKRRGVLKWLSEQTLRLKNYLIGVDTNGLAEDD -RIIGLYPKERELKTKARFFSLMSYNMRMYVTATEEILGKYLLPYFPMITMSDTLLSMIIRLFNMTTNIGA -TDSSVTYSMNIDFSKWNQNMREQTNDLVFQNIDRVVGFRRLISRTHEIFRTSYLYLCSGEYIPAIIRGRL -TAVSPYSRIGDESGKEGLRQKGWTITTVCDIVSLAFVHGVAIELIGGGDNQVLTVTIKSSKKNLSLTYSQ -QRRLIRGRMERFRNALAKKMDKRGLPLKLEETWISHRLLMYNKIMYHDGVPLTSRLKVISRLFSNSNEGI -ACLGSVCSTLGTGYQSLSTKDYDPVLAWVVSRVFTLLNVAQYHLACPVSGLTRLDKTILSSQTYMREGLS -PFGASRRNPTPHSSKRDEVSFTGDKTLDVVDLYLICLYYHKVFGGPGVGSPLSYIMKGFPDPLSEALCFN -YMVIRGGSYMSPVTRQKIENMTRVEKAKVKHWEHLLEDPVSVNHDAPSHGIAALREQASEVLKKATIKNR -QFKELITLGDKNYLRELSENLCSPPVLEPRLLHDIVGSTIPGYVNTILSKVDQSSTLSKLSTTGGVISNI -YESEIKYYLYLTNKIKIGKGHTLSTCPTRDATTLRNTTWGKEIVGVTTPHPAAYLHPISHGDGSLMCDQN -YISLLVKRPFKIHELKRGEFRPYFGSYTKEKFKGSILASAYGDEDLIRRALKIQKLLGWRYKQGTYMYKV -VQGILSCVTNADPNKFLPTVEEITGDVEHRYHDMATKHGGIPSNLIKHYTHVSCNTSTFINHSKGAANES -LHFQAAIIYCSMVGIMSEAHKHKTSRMYHFHESCNVCIQPIIQPEDVERLPHDVSLMACKQNELMYVEEA -DIPVHFHNVVAFHNNQMKQARLNQKAAPEEMVEFRDKKERTSWLLLAISTLILNKGVKESAIDLIIYMMS -KEEIIISLLAFLYVSLIQKNLPLREYDICDIKDIIVENGKVISKLLMSPKIRGLMYEEGIIEGKRKSGEE -NAMLCLVQDRHHMLCDTLRGAVCKYQDPHYKISKTLMLIVDNPSSLSCKVCSEYVHSTIWAKEDKVCAIH -QPSDRDISYHLYSLDKLARFAGPANAPSTKKRKRADGLFSFIKVAKDTTSSRIVKTRLSGFLFRKLPYEE -NLQNYICGGWSNVRVTSDETEESDRLRHQSLVFPEPPYGTVLPSEEGEVLALVQGIVAVLSLCCGGESHG -VISVALEIDINKFSAQTYCKSICMISDTLSQIGDITIRLLFLLDNVTDLERTDNNIISDICYNIRLSGYN -TGRLHIIEKGESQMDTSGVLEQSDIAILMNPKVVAYLPETQQRAFVYTNNEKCYEVLTDLESIMDENNVS -SKGNILQPLFSSSYPSPAIVMLDKIRFGSTDDGRSFSDSKVRDALDTHQPNLDNVTTNMIVESSAAGGGT -SLVYGVYKRYVTLDCGILEESHMVRVMSCLSVGLYDFALRSERGDSMNWKCMLLIKIIISLKIATAEDST -YALKYYSRTVGVSFKRGTCRRILLHKGVPRDGEMGGLVNWTRGSFAQDLPSVLMEVRKWIVINWTSNHKL -TRYIDI - ->YP_009094358.1 RNA-directed RNA polymerase [Eggplant mottled dwarf nucleorhabdovirus] -MDETDWEDRQEDWYDARDDSDWGVCSDDIHEGGDYHLQSALKCIDDYRASHIFVKEENRMMKLIGEMGTM -LNNISCMQFLWAIATLKTPLNQNCIIRDLQRMDLMSIDTQKIGTLVEAECDVLYNGRLFQNISIKNHVSN -SLLMNRLSYPCLSDTVRILCFLLMMKNNLKTIRSKGILGYSGLDHLHIVQEHIQVMVEPEFIITMNTDLI -HIQIGSKRLWAPMPYFICAADKIQERYNLLLYSGVMQPLLQDICPSPDLIQNVFKIYDDLLHDLGNDGYS -DVSGFEALIVGIIISRDDDDLKLNGDAFLHEVLRDVSPRGRVYVRRMYELLRNVDVDQLADIHGLYRIWG -HPIIDIDGGMEKMRSVSLQEKETDLALGDEVGRKFLELFFSNFRKKHGVYPLHHIERPDHIDISKAESSV -YLLNCLKGNTIFSTSNIGYSMRDWEWVVLDQNFEIPTSWNIIHTVKDKAISPNRDELYHNLITRGHVFNQ -DMRRVILKTIMTPLGPMREFLERVGANGLENKYLMIGIYPKERELKIKPRFFSLMTHEFRLYVTSTEGLL -NDKVLKYFPEITMSINLLEMTKIMSKLSGHQSDQSRSVTYVVNMDFMKWNQQMRYEICHPVFSKLGRTFG -SEGLYDQTHLMLKKCVIYLSSGERPLTADPVTGVVVDGINSWTGDGSGKEGLRQKGWTIMTVCDIKYVAD -RLGMDASLVGGGDNQVLTVTIRTSDILEGGEISQEGKRKIRDKMKAFLSELQQHFDRRGLPLKASETWTS -TSLFMYNKHMYYKGRPLRTVMKQISRCFPFSNSSVMSTALMCNSVSTILKAAMQKEHFIVGVITMKCLWG -LYIAELARSMNPLFYATEGSLVSGNFDVMRQGSKRSLSLDEMDLVHFWAKILYLPSIFGGPGLSNCFNLT -ERGFPDPVTEGVMFLNKLHDRLMKTDIGLGIAVGTMKGISFSQHPNYEKLVEDPAAISHDSPSHSTSTLR -ENAREAVLSVAKHNNPDFVNLVSVASKENERVFYSALCSGEKLDPKVLHEIAKASLYGVTNSLISRIDKT -RTIRRMNETMSVVSELAESERRYIGYLHVRDKIPHDLKFSDCSRLTADSMRSLSYKKQVLGVTVPHPAEY -LNVVPRNGHLCMSGYITIQDGRQGGQDILSTKGPCKPYFGSYTKERFKATDIASAYGDEDVLKRAVSIQK -LIGWRYPSDSTFGRIIAATLLAVSNVDPETVWVREETIRGSYDHRRNTDANTHGGIPNFLNTASTHLSMC -TSTWVEHSRSGKNEYIHFQSCMITCVRYMLRYMRGPRISDAITEYHAHECCPTCITEIEPIPPDSTAPTM -QVSFPTLPHNLLVYVESESIKMDYTRRLAIEDSQSIAPMILEDITVLGSSRDRIIDCISTILVIEALGRK -HGMSKSFMLLAREKVDVDACLLSFRKKITLLRYIDPMMSIQSCRSLDVLCDTLQGMSAKMSALGWATEGR -LEGVSTSVITDTWEEDKPVGILCYPNSVLGWLPLQIILHKLGKNPMLVGCPDCLGILKKIMNMRTKDALS -MSMCSAHQFVSVRVPIICAHPDNLLKELVQVSDPNIPDMYHYEDVPAISRIVTSHESELLDLPTLLPIFS -VGEETSVQEWADLIQRFVHEVEIECIVLDLEIESAEVLYAAVRTRTGFNKPIHIMIDSLSDEETGNIFEK -FRDNKLGQCKVIMSYSEEDITEGDCLVISRRQEMTSEILEARGRVYCAMGGSRLSTMDDDMRRRINCVFT -FPRVIVPALLMILEFTNQNYITNLSPLHHLYEEVAHTGPEAPRPTRHGDNFSHISSTRQQIRLYAFKNRL -EMINVFSRRLKEIDRIDSFCRMRRKTKRIQDILMRLIISVLAHAEPFDADTIGRIKSADLDSIRGKITPR -LRTCSVDNAMVRFYKLMYLKVHRDPLLQNIGATWEDVFISINFKTQKRRGFNIVGT - ->YP_004927971.1 RNA-dependent RNA polymerase [Potato yellow dwarf nucleorhabdovirus] -MMMMMCGEVVSPRICMKGVIIICNQLSKPVDDYRKNFIFKNEEAKIRSVVGDMGALLDNITCISFWWKLA -TLNTRLNENYIMSDLTVHGLLAVNTGMIGDLVRSEMRVVYQGDVFPDVNMSRYVSSRIQHVTILYPNLIW -VTRLMCFVLLMKNNLKTIRAKGTSIFPNLEHFSLVGENAVVQVEPSFLLTFNTDLLHINVGGRRFWCPMQ -YFINASDKIQERHNVLLYVSLCNPLIPRLSPSVDMVIRVIEIFDRLLARLGNEGYSDVSVFEALIVGIII -DRDDPDLILLPGEFTRSIINDTSEMGRAYVEEMREYLLNLSVDQLADLHGLYRIWGHPVIDIDGGLEKMK -NISLKEKYTDEALGRTVGQKFKEIFFQNYRAKHGFYPPHRLPGDPDSANDDEDDEIPPATYLTTCLATGK -PFSTSNVGYKLEDWDTVILKKAFEIPYSWNIVHTIKDKALSPNRVELCNNLVSRGHVFNQNMRRVILKTI -STPMKPMRDFLKSVAAFGLALVFLLIGLYPKERELKVLPRFFSLMTHELRLYFTATEQLLNDKILKYFPE -ITMSLNLLEMQKKMGKMSGMQKTQSVSVTYVVNMDFVKWNQQMRKNICTYVFRPLGELFGLPLLFERTHY -LLEKCVIYLSSGERELIPDSEKGVKVDNKYVWENDGSGKEGLRQKGWTIMTDCDIKLVADRLGMNSSLVG -GGDNQVLTITLTTEDVGEDGNVSIEGKQKIKERMRVFMEELQKHFDKRGLPLKTSETWTSTSLFMYNKHM -YHNGRPLRTVLKQISRCFPFSNSSIMSSSLMCNSLSTTLKSAMQKEHFLVGILTMKAMWGMYISNLAVNM -NPLFYSTRGCLLSGKYTITRNRVDEQSNVTERNLEMLWAKIMYLPSVMGGPGVANCFNLTQRGFPDAVTE -GFLFLQRLHAEMHMINPKMGAAVGELLGMSFKKEPNFEKLVEDPASLSHDAPSHSTSVLRERARSAVMEM -APGVNREFVDLMKVADKNKELEFYKSLCSGEEIDPKVLHEIAKSSMYGVTNSLLSRVDKTRTIKRMNETV -SVVSDIAAAEEKYIGYLLVRDNKPHDLKLTGCTRVLADVARQMSYGKRILGTTVPHPAEYLAVFPASHPE -CMTGFISMRVQPSTKDERMTSTGPCKPYYGSYTKERFRATEIASAYGDEDVLKRATNIQKLIGWRYSAES -MFGELITAVFRAVTNIDPTHLRVREEVIRGSYDHRRNTDSNTHGGIPNFLNTISTYLSICTSTWTEHSRS -GRNEYIHFQACIITCILKMMPMLTSNHQFPRIQEFHAHETCGTCITEIENIDPIRTAPKRPVSFPTLVGN -TLVYMDAEDVRIDYHKKVLIEETNTIAPLLMSSEMLDGEINLLEDCVSTMLILESYGIKHHTAKSYMILA -RERIDLGLCLTRFREKSVSLRVLLPFLTTVIPGSLNVLCDTPAGYIEKFNTLGWTTEGKIEGSTSSFRID -FWDRDIPVSYLSTINSTLGGIPMQVAMHIVGRFPRVLSCLDCLDILRKMIHQTAQFSVNITLCAIHNNMM -KRLPITNVHPDNVLKGRPVSDEPDLYGLTPGTTEIQQVLPSDESDMVELPRPTRLQEVGELLHNNLWSNL -IQNFITSVWIDRIIIDNTLEALEMLMGALKIREGFQKDIYILVDELSQEETGVIYEKFRSSRLRGCRVVI -IYDTADIPSGDMLLISQSESWKQDETGGKEVYVIYGGSQLNRMEDDMKRRIEEVYHDNSLIQTSFLSVVH -LGAYPRHTVNLSALAAVMNQGEVFESDRYGGRSGRSLFTTLSGTRGLVSRYRFRTRYEMINLFQRGLEKI -EKIDTFQKGRVKTPKIQMELMLLYVSAVAHCPDDQSEFVKRIKRMRLDSIRGTIKPALRRAALESLASRF -YLGMRDYLSKIEHIQFHIDDLSQGINFKTQRRVGVNLIGSM - ->YP_001294929.1 polymerase [Orchid fleck dichorhavirus] -MNSLFQECYTESLMDDNTDTIITGKGRSAHNEGEYHLKSALRSHSDYVKNKHQAKDLLKLSEHTSVYAPK -NPCKLLPTLWRGVIEGEEWVEDEVIIYLRELYHDDETDDCALELLDAELEHVCHDDDKTGIMQGVVQCQE -DAWNKHTIYGRANILRWALTRIVIDHNKEEPTELSPMGRKLADKVFAYSLSSSLSMMISRSWCHLMINDS -PGTINIQHLINTLDKLTERVNIMTYLTLCNKTGLSSIYPSVDITLKIIQLGDNLILNEGNDAFRGLASYE -AICVGAIINRGDSSVWDVGRFLNTMVQEVGSLGENYKTWSSDVLVILQGLKIQQVSCLHGMYRIWGHPVV -DLEKGLMKLRSVALMEKEIPPECARNTSNMFKEIFFTQYFRRHKFYPPHTWTGPEGSNYIKQVLSLEKEL -DIHNIRYHLEDWENIRCEKTFEIPATYSLASCIKDRAISPKRSELVSMIIRGGSVMSQSSRRGVLKWLDS -TMIPVREFLDGIDKSGLSKDNSIIGLYPKERELKMEARFFALMSFQMRLYFTITEHMANDNLLDYFPMVT -MSDSMLELNKKLDTLSRKQVTKKEGVVHYVVNIDFRKWNQQMREEMTVPMFLDADRLFGYTNLVGRTHEI -FKSSYIYLSSGEYVPQVSLRGRLVQEEPYSWTNDPSGKEGLRQKYWTIMTACDLMYVARQHGLKIDLVGG -GDNQVLIVEVTTDKVGDGGELTTEGKSECKFKMETFMRHLSNYMEKKGLPLKTEETWISPNLLMFFKMMY -YDHTTLVSPLKQASRVFPLSNDQVMTIGNMAATVSSGVTVLSSKDMQIGPAAAFGRLICADLASLVTKDH -PLSDGGEMWSDSISICRGGSRRKVNVKPNLKTPMRIFLSLTLLHKVMGGPAIVSPLGMMMRGFPDPLCEH -LTWVSMIKSKFSQAANFACMSINTKVPWAHLLEDPVSVNHDAPMHGLAVLRREAEQALAKASGYKNRDFM -DLARCCNKSQMEGLAEALCSGKNVDIRVLHDVMGASLGGYFNSIASKVNKTATVLRMNKSSSVIATIAGQ -ERHCMSYFAASSSVPHDLTPLRCPTATARRYRRLSWGKEILSITTPHPAAFLKPVIGDHQCDHNYVQTKT -SGGNLINPHSRGPYPVYMGSYTREKFKPTEMAAAYGEEDLLSRPIHLMKLINWRYSSSSNMARILKCLLS -SLTDADATLFYGMMEWIAGDAEHRYQDMATKHGGVPNVAHSILSYVRAHTTTFRKHSRGGKNETLHFQAV -MIYTSMIALFKKYGGIIHWHESCGECIQQTVGNVDVVLTKRVEFPILKGNLFAYVPAESIKFHYHDMKRI -RSVEDLGFRTVSYESMSPLDRRTASISMLSAVISSSESRDKSSVTMSSIEMWAGTVNLTEIIPAVCLRVS -VAELMRTGEWSWPKPHLKKRIIMLLEPFIRSKLGRYQLLEMGVTLDELRSNNPGVLLDIVQVVMDNSRMT -PKIIPNRVSPSWVNDVICAKIYENEHIIFCSGCLTKGPCVDKVEICNDILMEYTFKVCSATLPVLKGDMS -QIICDRDFSNDPDWTSSPVQLFEMMDNPAAQFTPFPRDGPIAVSRPLGSAGLSSILDILAETNGIIMVGD -DSVSITIWRQFRATRKVMIFKTGDNHLEAACDFICMAPDEADLLMDSESPDGKADVYYGDNIPIAPGWWI -ITSRKDVNRLYKYGKDMSPTRVLISKDRGQTRAICAVKMEQTPGQKWGDFQATYKKIEMRCGNYSGMVRN -PTSKNKLISCAVSLFHRVNGDIEEAVKCCVTEMRTIFWRNELYTKKAKDLMYLMSTLHMAGMEGGIEFAR -RSIKPRAVRRPYRILIKTTARNNYKAANDVTDVRELFRVTPKPIGAPGGDIIINIGK - ->YP_052855.1 L [Maize mosaic nucleorhabdovirus] -MDPDYPDLDPESLDVLNSLQEGYDEEEEEDSGVSLNGMGDYHLKSALRSYTDMMKHPIFKKEFSKAVINF -GISHDSMMSQIETMFYILKSTPLSMHLGTLYGDLFTRQSTLGHSEDVFDIIQAEIRTLHPHLLLEMTPAK -VEEMVLIADRKTYDERAASAFWSTLITIKNYIPAWTEKGACTLDWPSVSLDKKSGYVKVMAGKDTTIYIG -TDICVIEKYPTVKWAPLSYLLNGADKVAERLNVRYYSCLCDQLDIPDRITLDMLDEIIKVGDDCLREMGN -DGYNVIGSYEALLAGIIQRRDNQGLIPDRDLLWRTTMEEFTSSPGRKYLEEWSTMFSSLSPEQIACAHGL -YRIWGHPIVDILGGIKKMQEVASVKKNPRQSVLDEIRRQFKEMFFTSYHRIHKHYPLHTILNSLDNSYIL -NCLKDNLTINTSAISYNFQDWDCVMVEKNFEVPFSWNLVHNLKDKAISPNRQEIYQTISTRGTIFGSQNR -RGILKSLTMETVQLRDFLQGINDNGLDDIDKIIGVYPKERELKIKARLFSLMSFRLRLYCVSTEALLGDK -ILKYFPQITMSLDMLTMIKKMFKVSSQTTREDDSVTVIFNLDFIKWNLQMRRNICEPVFSQLGKLFGMEN -LFNRTHETFRDSLIYLCSGEGVLSADPVYGVFPDGTWAWAGDESGKEGLRQKGWTILTVVTIMLIAKRHN -VDVSLMGGGDNQVLGITISGVTRDLQGELITESASLARRTIKQFTEDLLTTFSDLGLPLKASETWVSDSL -FMYNKHMFYKGIPLRSPLKAISRIFPLANDTIMTIDNMINNISSGVKAACMKERHGIPLVFMKTLAYRKV -AEGALILHPLTTCFRTPTLPENGTVTRDGRKRSVKVTRNQLRSFFTLCMVGSSTMGLPGTIHLPDMIMRG -FPDPLTSHLSFISELVPKIMDPRLASIIATSGHMSINRMTEYAKLVEDPVSINHDAPTHGLNEIRQLSRD -FLMNTTLAANTHLKSLFTLLDRQREKEFYDALCSAEELDVKVLHEVAGASLFGYTNGIASRIDQTRTVRA -LNETVNVMKRLADAEARYIAYLFARDIHKHDLIPDQCSRVTADRYRYYSWKKKVLGVTVPHPIEMCQVTS -ATQTAFEDAVICWSDNLSGSRIYTTMGLGKIYQGSYTKERFKATDIAAAYGNEDILTKAVRLQKLINWRY -DEGSSFARIIQLALRAITDASTDGFHRXKEEIKGEFDHRRGISGDISGGIPNFLVTPTSHXSCTTSSWIS -HSRGGKNENIHFQSVLINLLYRAMVYRGSLPGLPEMVWYSKERCSHCIIDIVEPSPERTTPALSEMPSAP -NNPFAFIESVNVKLDYHHQVEIEKGMEETNLLNSEWGGSSLTSVDEAGLVLFLMLIGSRQVSESFLLLMR -EKIEPRPLLQAALNRVILVRKLGLDRLFPIRNTKCINLILGSDRGITACHDGFGLELHGGSWEKGIECDT -SFLYNDTHLKPCKLHVNLHLQNVPLQLALAHASYNPDVCECLECRAIIEDRVSKRMVGQYKSWRCQYHAV -LSFSPIIMRVHSERIIKSEGAIYEGDLHVPYVEEIIALENSQKLAIDVTSWRLPLLMHATWESILPQLYI -TMKACLVSLPLEGVIVDDDLALINLVSKVLVDLRRTIQVYIRAGTFSGSEINNKYDNIRLLPEDLRDSIH -ILTTTDKPDPAATVQLAADDTSPLDCAVYHLIWGDTWGMTRKVHGRILIPSSRVTTGFAGVMVTDYYATV -AVLELAGAVNLWEKKLIDLEHRNNLDVPLSMNRVLAGSRLGTRGIRWAQWTSPAGLEIVVRKVRAKLSSI -SGNPGNAAKWRRNCQKVLKALMISLYAHSGEDMMRNASGLVKVNIHGTLSSVTAVCDPSAESRVQRAQFL -FLKEKAPNGPFIXRSRLERRINLLSVVSDLLG - ->YP_052849.1 L [Maize fine streak nucleorhabdovirus] -MDPEIYDSILQDWENEGDSAVERTPSVRLKSALKTLPDYLKNKTAKRRYEAVTEVVRPKALDDSTIVLGK -IWDKAKSEHVGKFYHFVESTFPSYDRGLVEIFRAEIQILCGNTQGSNCDVTLNSVIYGELKNIAPNLLPL -YLFFSFLVTISWGTYTNTTRYAWPVIKESIGILWAEYDEKFKVGIYDMWALLQAKYHAPIIIHRDHLLNI -TDKLGERLNCFIFSSISNQVQDMLSVSMNCLDRVMAWGDTVIKNHGSAGFQLLSNYEPLVVGVLLSRGDD -RLWDTTRFLTNVISDIKEELSWAVFYVDELLELLSGLSIDQLCDIHGLYRIWGHPEVQLKQGLEKMFKIS -MADKVIDQENINISGRMFKELFFRNYKKIHKHYPNFTFNVIDESELNELLLTDYVMKCLNDNQEIRIDEA -RYELNSWDRVSILKNFEIPYSWNLAHNIKDKAVSPDREELKTSVRRGLGAIWQDARRTVLKWLNLNILSM -RDFLSNIDRFGMDVKHLIIGLYAKERELKYYPRFFSLMSFYLRLYVVSTEQLISDNLLQYFPQITMTSDL -LSMTKKILKLSGKMKEKDDDFNTNETRKKEVSYSINIDFKKWNQQMRYEITEPVFTQIDATFGFTNVIRR -THQFFQGCYVYLCSGEYTPEISGDSFILEPPWSWTNDGSGKEGLRQKGWTIMTVCMIEYVMRQHAARSQL -IGGGDNQVLVVTLETESLNNDGTITNEGKRELKERMEKILKDLDSFFTSVGLPLKINETWVSSELFMYNK -IMCYRGRTLRSCLKTASRSFPFSDDAATTLHGIMSTLGTSVKSLCSKDFSHLPAYVFSRWLVLIASYLVF -YFHPLIPGGINNLPSIQSRYGNMGSFVKMQVQRSNMTIKKLITRCLFYFRIFGGYGIGVPSDWVMRGFPD -PLTSAIAWINRCLSLISGTNPYRDELTSFISMSTKPGDPSYLHLISDPVSINHDGPIHGLARLREEAEKA -IVESPLVKNHNLKEIAKVCYSQNSQSLLNAMCSSNVLEPKFLHDIFSATLYGYFNSIVSRVDKSATITRL -NKKANVMEALRITEIEYILYSLERSSHHYDMKPATCSRITAEIYRSTSWKKPIVGVTVPSPVEVFSTIRE -SWHTECDENYVLLNVMEKPNKERPYGPLEPYLGSFTDEKFKLSPLANAFGEESLLNKAIHIQKLRYWRYN -KGTDLFNLIETILKSECDLDPDSVFILHSSSSSATHRYSGEVLAHGAIMNANPDAYRYFAMTTSTLQKRS -KGGRNDMIHFQGSLLWISSMMVNKATIAEIESGTYHFHEECDTCIYPLYEVLDEDTTLNTVPNVPIMKDN -TLAYVPSNMIQISYADEVLIKKYRSLRCQIADPQVAVKEELIYDAISQVLFVLLTGKRVLTGSQVNKLFS -KIKPDMVFGKLKTLLNLHEEFLKNRGTIKWGKLDPILSNVNIIDMIKRLGLSVPRKHLHKKGISCSLLVP -QIMMKVNPEDLGYLTTLDIDPWIIIAARCYLKDPALRTCEECKKSLLSLFVSNLEFCDLEYSCEFHTARK -IPQLVFVPTDAYSISKEGTYSLSNGGNEKTTTRVTANALKVIPRVKVPIPITLPPYSCMREIISGSDICS -LAYMMLWLMSKSEANSQILILTEADWNILFMLIHIAKVTRIEIRIVTKLSQNSTTGSEFSNLVGFRDGLR -EEDKRFIIIDEPQEHTLRDGNCIQVVTYQTGQVYDTKYIAFPSTSILCFGKVQGMILTRRELYYPSVQID -RSNWSIAERSTNEGCDITVLHNMRHHFMKIKKVNLQKALIEEMLDSSIYPIRSHNAMARGLPEIEQYKLP -VSRLQLIYNVQVLMKHLDNMPMKWRRTVEGVWKYHCHAFIIMLALSLPPFKSGRLLKGLQEYRYDQLTKT -LILKRRNVSNALLCDTFQYFLEDCGRFRVPIKITGYNKIFLVEVDESLKGIHVI - ->NP_620502.1 large protein [Rice yellow stunt nucleorhabdovirus] -MDDEGHGYWQDYDEDESWLDAENDVFDDDIFEEAEDNEHLVEGGDFHLKSALRGEADMLTNPIYEKEREK -LQEDVGDLGVALGHLNVRPFLEKMGERISTDHTNTPLIENIKQGGFGAIHHMKSTARLIVAEAATVTETM -LTSGVDTTLAGAYHFFEQNHPHMKCTVNMVLMFLTILNNVKNIRLNVDLHQILKNNISIKGSTVCMYITV -ATVAYFSTDIVVFDIDGQKYNTPKTYFLNACDKIQERFNVILYSYLAEGLSIPGSPPTYIVNRIIDWGDS -ILYQMGNDGYDVIALYEATVVGVILSRDDKDLSPTSGGGDFLENIQQDLGPVQQNHIRYLIALLHDMTPH -QLADLHGLYRIWAHPIIDIDGGVKKLQKVTQSLKGDINSKPESQETVRSFRRLFVMDYFVKHQFYPPITL -PEKSNCYIGNCIRTSKKIDESHINYNFSDWDLVELGGAFSIPYSWNVLHLAKDKAISPTRSEMYTMLCKT -KRVFNAELRRGVLKLMNTTLTPLREFLTEVAEHGLDIDDCIIGLFPKERELKIMARFFALLSFKMRLYFT -ATEELLGSKLLRYFPQITMSSNLLDMQEKMSSMSRDLESQNKSVTYVINMDFVKWNQQMRESTCEGFLKN -WSKLFGLPGLYSRSHQIFRDSILYIADGTRDLTPDPDTGIMVDNNVCWIDDGAGKEGIRQKAWTIMTVCD -IAAVARHHPGVFHLVGGGDNQVLTVTYHTNQIDTDGNITEEGKSKIKAKVKKFIEALETHFAARGLPLKT -SETWCSTSLFMYNKFMYYKGVPLRSPLKQVSRLFPYSNNTSMTLQSMAQCLGTGLRSAAQKEVSHIALLF -MRNIWGSVLGWIILYCHPMLPSISSETCNSGVSTIVRGRKAINMKTHRIDLVALILKILYLPGQLGGPGL -VNIYQMTMRGFPDPVTEAICFLRKFKNYLICVGSSYSSHLARMAGVSFSASRSYEPLIEDVCSLNLDTPR -SGTGGKREVPRKILLKSRLGGNQHLKELLGIMKGPSEGEFYRAISSGKVLDVRVMHEITSSTLYAVTNTF -TSRVDKTATLKRLTFKFSMLESLADAELKYIRYLSVRDDKTHDIMFDGCSRIIADECRTKGWGKPVLGVT -VPTPFEYLQISWTDEHICDNNHITVRISNQDRQVTTETLGPCKPYLGAYTKEKFKMTEVAAAYGDEDVLS -KSLRILKIINWRYQDGSTMSEIIKAPFRAVTDIDPQRMVQESTVTKGDYDHRRKMDARVHGGIPNFVTTP -LSHISISTSTWYKHARGKNENIHFQACIIQTMYQIIIRTMSNIHSQEKLHVHECCQTSISEIQEPRANLD -LTTPQMIPTFPTLMGNPLVYIPEQSITFDYSRTQEVDYSRRVGSLDCQRSTDYGWVDAYSSLSWLIMCDV -IGWTKMPDSFYIMQQERVDHYLLSMYIISIWKVLKSEFDLHKTMLDWGPLLKVYSSDTGVQVLSQSMGIV -VLGKLEGGLSVNMMDICNSLTGLTSNQIPPFSVNLALPRIHKQVATWWKLTCSDTMYCIQCSNILKGYWE -GTLINTRIDNDLRCSECADGGISPRIVNAHISNLSDHLIKIIPDREEVQLPLLAGGEDLNNLEVVLSLED -EWPDSDDITILNRIGEMNDIDGRMKNYLEVMALLNPDVVIIRPEILELEILRRVCEVVRLASEGKLIIHI -LVEEPSYLESGAIYEMERAFPRHNLFHVQFSFRKPPEGLHKLWLLPQDITLARADVGDWLVIPFTQLLDF -HDTITPDTRLHHQREAIRQDVFWSTKEQGGLGLVVLQHICTKTELSYRLDRSKVDVEINKMMIKDPVMGG -KYVILKRRCSWAIFSTKYELLCSAERIKGNLSADGKKITSKDFSRTYKEDIIIFIISVLMRSLDCDEKRV -MTLSYVECLPELLTLKPRFNKSGVISVRYLDYFWFFKRVYSYNNPEVAIPYSAVIKGVNLGSPRKEGYSL -ASSDGAP - ->NP_042286.1 polymerase [Sonchus yellow net nucleorhabdovirus] -MEGMDHWENAKYFQGIEDIEEDTRQPTVDSMSSGTYHCKSALRSHKDNMKLFLYRRDFLIFSHRFNGLPY -DEQYLGVLPKLWSCFYDKTHDLSGFLDQYASREHCTPSDSFSRWADPTVLHLYDDPIIRNLLASENKVLN -FLEGGISDILDKYQICIKRNIRLIYLHLFLNLALIVLNHTDADSMPDRRVELNGVTFKLEEGVILCEYNE -YLKIYVLKGAVIWDMPAYRQVLQKDLFLTICDKISERINIVIGATIITALSHKTNLDDPDSHLYDACINM -IKIGDNILVNHGNRGFDLLGKFEAYCVACILTYDDQRIWNPLEFLNNLIEDDRINQPDLYNDANNLVAFL -RKQPIVILAELHGLWRIWGHPIIDLEGGMKKMEATCTKQSPVSVEETRVCERTMKLTFFTNYYDKHHHYP -LSTLTHPDHFNLYSQYLSERDKIEYLANKDIAFEHSYIMRCIRRNKKIFQRSSLYNHKDWDQVVILQSFQ -IPKSVNLATMIKDKAISMTRSELIESVNTKNSVFDSTKRRGILKWLNEQSDKIYNFLMRIDDKGLDEDDC -IIGLYPKEREMKTKARFFSLMSYKLRMYVTSTEELLGKYVLKYFPMITMSDNLLSMVIRLFDMTTLIGDK -GVAVTYSMNIDFSKWNQNMRERTNAGIFDNLDRILGFRSLISRTHSIFKACYLYLCSGEYVPVISNNQLT -AQSPWSRTGDESGKEGLRQKGWTITTVCDILSLAFKYNARIQLIGGGDNQVLTVTMLPSESMQSQGRDSQ -LLKVRERMTSFRNALAKKMVKRGLPLKLEETWISHNLLMYNKIMYYSGVPLRGRLKVISRLFSNSNVGVT -SLGGITSTLGTGFQSISTKDYTPTLAWLISRVFTDIYISTYHLLNPISGTQRLDKQVLMSRGNIRQGRNE -LGGETSVPIINKIRNHAALATDHTLDLDSLLICVLYYHKILGGPGIGPPTAYVMKGFPDPLSEGLTFNYL -VITNVLNERTKRKIISVTKVMKNRNQHWEHLLEDPVSVNHDAPPHGIAALRAQAEAVMRSAKITNIGFKN -LIDIGDNQYLRDLSEKLCSPNDLEPRLLHDIVGSTIPGFVNSVLSRVDQSTTINKIAGNSDVVTSIYLSE -MSYYLYLSKKVNTQDGHAIGSCPTRDSKMLRNWTWGKNIIGVTTPHPLGYLKRERHSESSSCDNNYIRVL -TKRIGNSWELRRGQFRPYFGSYTEEKFKMTTLASAYGDESILKRAIKIQKLLGWRYHQGSSLYNLIQKIL -TCVTDADPNKFLPLPDEITGDVEHRYHDMATKHGGIPSNLIHLYTHASCNTSTFINHSKGAANESLHFQA -AIIWTCMQSICRTSASSSVSDISHYHEACNQCIVKLEDPIESDYSTSDISLMSCPANDLMYVKEDDIPVH -FHTTMEFYRASSSSTVLKKIKKIEDAEVISSRMTWVVTLCSHLLNQDTIKHSTWKLISEDLSKEEVMFIV -MSITMYIMSEQDIPVHSASLSDFRTLYEKNKDIIDRVLGIEALNDAVSGVSFYNNRCSDDQCLRWKETSD -QILSHYKTTGTCAVKYQAPHFRICTRLVYLMTNPSCQSCPCCLGVIKDDSNDGPIMCQLHGELAGPCGYH -LCSLDKLNKTKKGLNMSKVFYTDGTISAQHDAKNRPTKKRPHGENSLTEMFKRAKTSRENRILKQNKESY -LFIQPRLMVDLFIDMGSMWEKTQISDQGSHIIPAHTNPIVLSKKSDLYVPAAISKFVSNGFLIMDAVERA -LGKPSKPITEHSQLSVNISYGIEYHPEIKRETVQLLRFVNELAYTGYGGGVTICITLFPIFISDIEAVDP -RLISDIIYRYRADSSDYACIRLTDMGDMCFDINNILSDCDACLSYDPGCWSQDANLVYIISDTSDIMIKA -KEFETLHSFNKFYSVECLAPRFFMSSSTVSALVISKSSSINSIDYDRLAEIHLDRRGTQMWDLSRLFANM -TMRDGLTEMIKCIYNNVSGEATILTSQSLVDAAISKIKVDILRGLIDMVRGERMNWRTQYMIQILLGVMI -LTSDNPEDYRREISKYNNAVVLLQKPRRIKLIRDHLGGADRKFYHATTNNGLLGSSLNDVTHILEGILYI -THRTSRKLCTSISLEV - ->CBY88606.2 RNA-dependent-RNA-polymerase [Eggplant mottled dwarf nucleorhabdovirus] -MDETDWEDRQEDWYDARDDSDWGVCSDDIHEGGDYHLQSALKCIDDYRASHIFVKEENRMMKLIGDMGTM -LNNISCMQFLWAIATLKTPLNQNCIIRDLQRMDLMSIDTQKIGTLVEAECDVLYNGRLFQNTSIKNHVSN -SLLMNKLSHPCLSDTVRILCFLLMMKNNLKTIRSKGILGYSGLDHLHIVQEHIQVMVEPEFIVTMNTDLI -HIQLGSKRLWAPMPYFICAADKIQERYNLLLYSGVMQPLLQEICPSPDLIKNVFKIYDDLLQDLGNDGYS -DVSGFEALIVGIIISRDDDDLKLNGDAFLHEVLRDVSPRGRVYVRRMYELLRNVNVDQLADIHGLYRIWG -HPIIDIDGGMEKMRSVSLQEKETDLALGDEVGRKFLELFFSNFRKKHGVYPLHHIERPDHIDISKAESSV -YLLNCLKGNTIFSTSNIGYSMRDWEWVVLDQNFEIPTSWNIIHTVKDKAISPNREELYHNLVTRGHVFNQ -DMRRVILKTIMTPLGPMREFLEKVGANGLENKFLMIGIYPKERELKIKPRFFSLMTHEFRLYVTSTEGLL -NDKVLKYFPEITMSINLLEMTKIMSKLSGHQSDQSRSVTYVVNMDFMKWNQQMRYEICHPVFSKLGRTFG -SEGLYDQTHLMLKKCVIYLSSGERPLTADPVTGVVVDGINSWTGDGSGKEGLRQKGWTIMTVCDIKYVAD -RLGMDASLVGGGDNQVLTVTIRTSDILEGGEISQEGKRKIRDKMKAFLSELQQHFDRRGLPLKASETWTS -TSLFMYNKHMYYRGRPLRTVMKQISRCFPFSNSSVMSTALMCNSVSTILKAAMQKEHFIVGVITMKCLWG -LYIAELARSMNPLFYATEGSLVSGNFDVMRQGSKRSLSIDEMDLVHFWAKILYLPSIFGGPGLSNCFNLT -ERGFPDPVTEGVMFLNKMHERLMKIDIGLGIAVGTLKGISFSQHPNYEKLVEDPAAISHDSPSHSTSTLR -ENAREAVLSVAKHNNPDFVNLVSVVSKENERVFYSALCSGEKLDPKVLHEIAKASLYGVTNSLISRIDKT -RTIRRMNETMSVVSELAESERRYIGYLHVRDKVPHDLKFSDCSRITADSMRSLSYKKQVLGVTVPHPAEY -LNVVPRNGHLCMSGYITIQDGRQSGQDILSTKGPCKPYFGSYTKERFKATDIASAYGDEDVLKRAVSIQK -LIGWRYPSDSTFGRIIAATLLAVSNVDPETVWVREETIRGSYDHRRNTDANTHGGIPNFLNTASTHLSMC -TSTWVEHSRSGKNEYIHFQSCMITCVRYMLRYMRGPRISDAITEYHAHECCQTCITEIEPIPPDSTAPTL -PVSFPTLPQNLLVYVESESIKMDYTRRLAIEDSQSIAPMILEDITVLGSSRDRIIDCISTILVIEALGRK -HGMSKSFMLLAREKVDVDACLLSFRKKITLLRYIDPMMNIQSCRSLDVLCDTLQGMSAKMSALGWATEGK -LEGVSTSVVTDTWEEDKPIGILCYPNSVLGWLPLQIILHKLGKNPMLVGCPDCLGILKKIMNMRTKDALS -MSMCSAHQFVSVRVPIICAHPDNLLKELSQISDPNIPDMYHYEDVPAISRLMTSHESELLDLPTLLPIFS -VGEDTSVQEWADLIQRFVHEVEIECIVMDLEIESAEILYTAVRTRTGFNKPIHIMIDSLSDEETGNIYEK -FKDNKLGQCSVIMSYSEEDIIEGDCLVISRRDDIASEILEARGRVYQAMGGSRLSLMDDDMRRRINCVFT -FPRVIVPALLMILEFTNQNYITNLSPLHHLYEEVAHIGPEAPRSSRPGDNFSHISSTRQQIRMYTFKNRL -EMINVFSRRLKEIDRIDSFCRMRRKTKRIQDILMRLIISVLAHAEPFDADTIGRIKSADLDSIRGKITPR -LRTCSVDNAMVRFYKLMYLKVHRDPLLQNIGATWEDVFISINFKTQKRRGFNIVGT ->BAH97108.1 polymerase [Orchid fleck dichorhavirus] -MNSLFQECYTESLMDDNTDTIITGKGRSAHNEGEYHLKSALRSHSDYVKNEHQAKDLLKLSEHTSVHAPK -NPCKLLPTLWRGVIEGEEWVEDEVIIYLRELYHDDEADDCALELLGVELEHVCHDDDKTGIMQGVVQCQE -DAWNKHTIYGRANILRWALTRIVIDHNKEEPTELSPMGRKLADKVFAYSLSSSLSMMTSRSWCHLMINDS -PGTINIQHLINTLDKLTERVNIMTYLTLCNKTGLSSIYPSVDITLKIIKLGDNLILNEGNDAFRGLASYE -AICVGEIINRGDSSVWDVGRFLNTMLQEVGSLGENYKTWSSDVLVILQGLKIQQVSCLHGMYRIWGHPVV -DLEKGLMKLRSVALMEKEIPPECARNTSNMFKEIFFTQYFRRHKFYPPHTWTGPEGSNYIKQVLSLEKEL -DTHNIRYHLEDWENIRCEKTFEIPATYSLASCIKDRAISPKRSELVSMIIRGGSVMSQSSRRGVLKWLDS -TMIPVREFLDGIDESGLSKDNSIIGLYPKERELKMEARFFALMSFQMRLYFTITEHMANDNLLDYFPMVT -MSDSMLELNKKLDTLSRKQVTKKEGVVHYVVNIDFRKWNQQMREEMTVPMFLDADRLFGYTNLVGRTHEI -FKSSYIYLSSGEYVPQVSLRGRLVHEEPYSWTNDPSGKEGLRQKYWTIMTACDLMYVARQHGLKIDLVGG -GDNQVLIVEVTTDKVGDGGELTTEGKSECKFKMGTFMRHLSNYMEKKGLPLKTEETWISPNLLMFFKMMY -YDHTTLVSPLKQASRVFPLSNDQVMTIGNMAATVSSGVTVLSSKDMQIGPAAAFGRLICADLASLVTKDH -PLSDGGEMWSDSISICRGGSRRKVNVKPDLKTPMRIFLSLTLLHKVMGGPAIVSPLGMMMRGFPDPLCEH -LTWISMIKSKFSQADNFACMSINTKVPWAHLLEDPVSVNHDAPMHGLAVLRREAEQALAKASGYKNRDFM -DLARCCNKSQMEGLAEALCSGKNVDIRVLHDVMGASLGGYFNSIASKVNKTATVLRMNKSSSVIATIAGQ -ERHCMSYFAASSSVPHDLTPLKCPTATARRYRRLSWGKEILSITTPHPAAFLKPVIGDHQCDHNYVQTKT -SGGKLINPHSRGPYPVYMGSYTREKFKPTEMAAAYGEEDLLSRPIHLMKLINWRYSSSSNMARILKCLLS -SLTDADATLFYGMMEWIAGDAEHRYQDMATKHGGVPNVAHSILSYVRAHTTTFRKHSRGGKNETLHFQAV -MIYTSMIALFKKYGGIIHWHESCDECIQQTVGNVDVVLTKRVEFPILKGNLFAYVPAESIKFHYHDMKRI -RSVEDLGFRTVSYESMSPLDRRTASISMLSAVISSSESRDKSSVTMSSIEMWAGTVNLTEIIPAVCLRVS -VAELMRTGEWSWPKPHLKKRIIMLLEPFIRSKLGRYQLLEMGVTLDELRSNNPGVLLDIVQVVMDNSRMT -PKIIPNRVSPSWVNDVICAKIYENEHVIFCSGCLTKGPCVDKVEICNDILMEYTFKVCSATLPVLKGDMS -QIICDRDFSNDPDWTSSPVQLFEMMDNPAAQFTPFPRDGPIAVSRPLGSAGLSSILDILAETNGIIMVGD -DSVSITIWRQFRATRKVMIFKTGDNHLEAACDFICMAPDEADLLMDSESPDGKADVYYGDNIPIAPGWWI -ITSRKDVNRLYKYGKDMSPTRVLISKDRGQTRAICAVKMEQTPGQKWGDFQATYKKIEMRCGNYSGMVRN -PTSKNKLISCAVSLFHRVNGDIEEAVKCCVTEMRTIFWRNELYTKKAKDLMYLMSTLHMAGMGGGIEFAR -RSIKPRAVRRPYRILIKTTARNNYKAANDVTDVRELFRVTPKPIGAPGGDIIINIGK diff --git a/seq/clusters_seq/cluster_271 b/seq/clusters_seq/cluster_271 deleted file mode 100644 index ed6d3e0..0000000 --- a/seq/clusters_seq/cluster_271 +++ /dev/null @@ -1,191 +0,0 @@ ->YP_138515.1 fusion protein [Parainfluenza virus 5] -MGTIIQFLVVSCLLAGAGSLDPAALMQIGVIPTNVRQLMYYTEASSAFIVVKLMPTIDSPISGCNITSIS -SYNATVTKLLQPIGENLETIRNQLIPTRRRRRFAGVVIGLAALGVATAAQVTAAVALVKANENAAAILNL -KNAIQKTNAAVADVVQATQSLGTAVQAVQDHINSVVSPAITAANCKAQDAIIGSILNLYLTELTTIFHNQ -ITNPALSPITIQALRILLGSTLPTVVEKSFNTQISAAELLSSGLLTGQIVGLDLTYMQMVIKIELPTLTV -QPATQIIDLATISAFINNQEVMAQLPTRVMVTGSLIQAYPASQCTITPNTVYCRYNDAQVLSDDTMACLQ -GNLTRCTFSPVVGSFLTRFVLFDGIVYANCRSMLCKCMQPAAVILQPSSSPVTVIDMYKCVSLQLDNLRF -TITQLANVTYNSTIKLESSQILSIDPLDISQNLAAVNKSLSDALQHLAQSDTYLSAITSATTTSVLSIIA -ICLGSLGLILIILLSVVVWKLLTIVVANRNRMENFVYHK - ->YP_010087172.1 fusion protein [Alston virus] -MASLLVGLMLLGLTTGSTGLDPTALMQIGVIPTNVRQLMYYTEASSSYIVVKLMPTIDSPISGCNISSIA -SYNSTITKLLQPIGENLETIKSQLVPTRRRRRFAGVVIGLAALGVATAAQVTAAIALVKANENTAAILNL -KASIQKTNAAVADVVQATQSLGTAVQAVQDHLNNVVSPAITAANCKAQDAIIGSILNMYLTELTTIFHNQ -ITNPALTPITIQALRILLGSTLPTVVEKAFNTQISAAELLSSGLLTGQIVGLDLSYMQMVIKVDLPTLTT -QPATQIVDLATISAFINNQEVMAQLPTRIMVTGGLIQSYPASQCTITPSTVYCRYNDAQVLSEDTVACLQ -GNLTRCTFSPVVGSFMTRFVLFDGIVYANCRSMLCTCMQPASVILQPNSSPITVIDMYKCVSLQLDNLRF -TITQIANATYNSTIKLSASQILPIDPLDISQNLAAVNKSLNSALQNLAQSENYLSAITSANTTSILAIIA -LCIGILGLLLVILIAVVIWKLVSIVSTERTRMDNYVYHNQAFQQSQLDLNKP - ->NP_054711.1 fusion protein [Mumps orthorubulavirus] -MKVFLVTCLGFAVFSSSVCVNINILQQIGYIKQQVRQLSYYSQSSSSYIVVKLLPNIQPTDNSCEFKSVT -QYNKTLSNLLLPIAENINNIASPSSGSRRHKRFAGIAIGIAALGVATAAQVTAAVSLVQAQTNARAIAAM -KNSIQATNRAVFEVKEGTQRLAIAVQAIQDHINTIMNTQLNNMSCQILDNQLATSLGLYLTELTTVFQPQ -LINPALSPISIQALRSLLGSMTPAVVQATLSTSISAAEILSAGLMEGQIVSVLLDEMQMIVKINIPTIVT -QSNALVIDFYSISSFINNQESIIQLPDRILEIGNEQWSYPAKNCKLTRHHIFCQYNEAERLSLESKLCLA -GNISACVFSPIAGSYMRRFVALDGTIVANCRSLTCLCKSPSYPIYQPDHHAVTTIDLTACQTLSLDGLDF -SIVSLSNITYAENLTISLSQTINTQPIDISTELSKVNASLQNAVKYIKESNHQLQSVNVNSKIGAIIVAA -LVLSILSIIISLLFCCWAYVATKEIRRINFKTNHINTISSSVDDLIRY - ->YP_009512976.1 fusion glycoprotein [Teviot virus] -MNLLKVHLLLMLYPSCICGINKDKLLSIGLVHKSVKNLMFYSQGSPSYIVVKLVPTLGNLPENCTLNSLK -RYKSTVTSLLTPLSDNLNYLQQTLTVSKGSRRRRFAGVAIGLAALGVAAAAQATAAVALVEARQNAAQIQ -SLSESIQNTNLAVNELKTAIGASAVAIQAIQTQVNDVINPAINRLSCEVLDAQLASMLNLYLIHLTTVFQ -NQITNPALSPLSIQSLQSLLQSTSGILTNMTAGSNLVLNDALATGLITGQVVGMNLTSLQIVIAAYVPNV -AKLTNAIVHQFIRITTSVNGTEVIVQSPTQIMEQNEVMYELRSDHCSESVMNIYCPYIDAQLMPPTSTNC -INGQLNDCTFSKVVGSFPTRFAAVEGAILANCKYLQCNCLVPPYIITPLKGEMISMINLSKCQRLDLGTV -VFEINNPVNVTFNGNYRADVGQLIVTNPLDISAELNQINTSLSNAQTFLSKSDAWLRVSQWLSNSGTIFI -ILLIGLGIGVIYMVINTYVVVQIIKEINRIRDKDRAHLLKGSVSSISM - ->YP_009512969.1 fusion glycoprotein [Menangle virus] -MIPRVLGIIVLYLTHSQILCINRNTLYQIGLIHRSVKKVNFYSQGSPSYIVVKLVPTLAAIPPNCSIKSL -QRYKETVTSLVQPISDNLGYLQDKLVTGQSRRRRRFAGVAIGLAALGVAAAAQATAAVALVETRENAGKI -QALSESIQNTNQAVHSLKTALGFSATAIQAIQNQVNEVINPAINKLSCEVLDSQLASMLNLYLIHLTTVF -QTQLTNPALTPLSIQALTSVLQGTSGVLMNSTNSTLTQPMDLLATGLITGQIISVNMTSLQLIIATFMPS -IAELPNAVLHSFFRITTSVNLTEVMIQSPEFIMEQNGVFYDFNTAHCQLGDNNVYCPYIDAARLSSTMTN -CINGKLGDCVFSRVIGSFPSRFVSLNGAILANCKFMRCNCLAPEKIITPLDGEMISLIDLRVCQKLTLGT -ITFEISQPVNVSFQGGFVANAGQIIVTNPFDISAELGQINNSLNDAQGFLDQSNNWLKVSGWISNSGSLF -IAGIVVIGLIVLCIVIIIYINVQIIREVNRLRSFIYRDYVLDHDKAPYSPELSSPHRKSLKTVS - ->YP_009094496.1 fusion protein [Tuhoko virus 1] -MVTIIKPLILLVTVILQISGHIDTTALTSIGAVIASSKEIMYYAQSTPNYIVIKLIPNLPNIPSQCNFSS -IAYYNKTLLDLFTPISDNINMLHQRLSNTGRNRRFAGVAIGLAALGVATAAQVTAAFALVEAKSNTAKIA -QIGQAIQNTNAAINSLNAGIGGAVTAIQAIQTQINGIITDQINAATCTALDAQIGTLLNMYLLQLTTTFQ -PQIQNPALQPLSIQALHRIMQGTSIVLSNLTDSSKYGLNDALSAGLITGQIVSVDLRLMQITIAANVPTL -SRLENAIAHDIMRITTNVNNTEVIVQLPETIMEHAGRLYQFNKDHCLSSTQRFFCPYSDAKLLTSKISSC -LSGIRGDCIFSPVVGNFATRFISVKGVIIANCKFIRCTCLQPEGIISQLDDHTLTVIDLKLCNKLDLGLI -QFDLQVLSNISYEMTLNTSQNQLILTDPLDLSSELQTMNQSINNAANFIEKSNSLLNSSTYEFNRSVALL -VALILLSLTILYVIVLTCVVKLLVHEVSKNRRHIQDLESHHK - ->YP_009094464.1 fusion protein [Achimota virus 2] -MLNSFYQIICLAVCLTTYTVISIDQHNLLKAGVIVKSIKGLNFYSRGQANYIIVKLIPNVNVTDTDCDIG -SIKRYNETVYSLIKPLADNIDYLRTQFAPTKRKKRFAGVAIGLTALGVATAAQVTAAVALVKAQENARKL -DALADSIQATNEAVQDLSTGLQAGAIAIQAIQSEINHVINPALERLSCEIIDTRVASILNLYLIRLTTVF -HRQLVNPALTPLSIQALNHLLQGETEGLVKNESKMTDSKIDLLMSGLITGQVVGVNIKHMQLMIAVFVPT -TAQLPNAYVINLLTITANINNSEVLVQLPNQILERSGIIYQFRGKDCVSSPNHMYCPYSDASILSPELQL -CLQGRLEMCLFTQVVGSFPTRFASDKGIVYANCRHLQCACSEPEGIIYQDDTSAITQIDASKCSTLKLDM -LTFKLSTYANKTFDASFSVGKDQMLVTNLLDLSAELKTMNASVAHANKLIDKSNLLIQSNALIGHSNTIF -IVVIVILAVMVLYLIIVTYIIKVIMVEVSRLKRMNIYSIDK - ->YP_009094456.1 fusion protein [Achimota virus 1] -MWIMIILSLFQIIPGVTPINSKVLTQLGVITKHTRQLKFYSHSTPSYLVVKLVPTINTESTVCNFTSLSR -YKDSVRELITPLAKNIDNLNSILTIPKRRKRMAGVVIGLAALGVAAAAQATAAVALIEAKKNTEQIQALS -ESIQNTNKAVSSIEKGLSSAAIAVQAIQNQINNVINPALTALDCGVTDAQLGNILNLYLIKTLTVFQKQI -TNPALQPLSIQALNIIMQETSSVLRNFTKTDEIEHTDLLTSGLITGQVVGVNLTNLQLIIAAFIPSIAPL -NQAYILDFIRITVNINNSESMIQIPERIMEHGISLYQFGGDQCTFSDWSAYCPYSDATLMAPGLQNCFRG -QAADCVFSTVMGSFPNRFVSVQGVFYVNCKFIRCACTQPQRLITQDDSLSLTQIDAKTCRMLTLGFVQFS -INEYANVTYSFKNNVTAGQLIMTNPIDLSTEIKQMNDSVDEAARYIEKSNAALNKLMYGGRSDIVTTVLL -VGFILLVVYVIFVTYILKILMKEVARLRNSNHPDLIKPYNYPM - ->YP_009094078.1 fusion protein [Tuhoko virus 3] -MLWLTILIALVGNHESTCMNINFLQSLGQINSQKRFLNFYTQQPPSYMVIRLVPTLQLSANNCTLGSIVR -YRNAIKELIQPMDENLRWLSSNLIPQRRGKRFAGVAVGLAALGVAVAAQATAAVALVEARANAEKIASMS -QSIQETNKAVTSLSQAVSASGIAIQAIQNEINNVIHPILNQVQCDVLDARVGNILNLYLIKVTTIFQNQL -TNPALQRLSTQALSMLMQSTSSYLRNLSSSESAINADLSMTNLIEAQIVGINMTNLQLVLAVFIPSIARL -NGALLYDFISITISSNQTEVMLQIPHRVLEIGNSLYTFEGTQCEMTKLNAYCLYSDAIPVTESLRDCMNG -LFSQCGFVRIIGSFANRFASVNGVIYANCKHLTCSCLQPDEIITQDTNVPLTIIDTKRCTKISLGHLTFT -IREYANVTYSLRTEIANSQITVVSPLDLSSQLTTINNSLADATNHIMNSDRILDRLNSGLYSKWVIIFLI -CASIVSLIGLVFLGFLIRGLILELRSKHRSNLNKASTYSIDSSIGLT - ->YP_009094065.1 fusion protein [Tuhoko virus 2] -MAPCVLFLSSLLLISTISPSHGINQPALRRIGAIVSSVKQLKFYSKTKPNYIIVKLLPTINLSKSNCNLT -SINRYKESVIEIIKPLADNIDNLNQKLLPKNRRKRMAGVAIGLAALGVAAAAQATAAVALVEARKNTQMI -QSLADSIQDTNAAVQAVNIGLQNSAVAIQAIQNQINNVINPALDRLNCEVLDAQIASILNLYLIKSVTIF -QNQLTNPALQQLSIQMLSIVMQDTAKILGNFTIGDKFDQHDLLGSGLITGQVVGVNLTNLQLIIAAFIPS -IAPLPQAYIIDLISITISVNDTEAVIQIPERIMEHGSSIYQFGGKQCVYGQFSAYCPFSDAVLMTQDLQL -CMKGNIEHCIFSSVLGSFPNRFASVDGVFYANCKYMSCACSDPLQVIHQDDSVNLMVIDSSVCRSLTLGH -VTFPIIAFSNVSYQMKTNISIEQMIVTSPLDLSTELKQINNSVNIANTFLDSSNRALKTSIFGTSSQIIL -IVLLIFTCLLILYVIFLTYIIKILIKEVKRLRDGNSRTGSKLSFINPDV - ->YP_009094032.1 fusion protein [Sosuga virus] -MAHINSLILLLMLTETGSSVNIQLLQSLGVINTHKRQLAFYNQQPPSYLVIRLLPTLNIPKQNCTLNSIN -RYEKAVKDIIKPISDNLNWLSDNLIPKRRGKRFAGAAIGLAALGVAVAAQATAAVALVEARANAEKISSM -SAALQETNQAVSSLTAAMASSGIAIQAIQNEINNVIHPILNQVQCGVLDSQIASILNLYLIKITTIFNNQ -LTNPALHRISIQALSVLMQSTKDSLKNLTAGDTQTSLDLIRTNLIEGQIVAVNMTTLQMVIAVYIPAVAK -LESAVLLDFISITVSSNQSEVMLQLPSRILEVGNNIYTFKGDQCTLTETTAYCLYSDAVPVNEKISDCMK -GIQSSCIFTRIIGSFANRFASVNGAIFANCKSLTCSCTQPDGLIYQPDNVPLTIIDKIKCSKLNIGHLTF -NIRDSTNATIDLHTDLSDSQITITNPLDLSAELTQINNSVINSHLHLMNSENILRRIDSGLFSKAIMIFL -LVGVCSLIIVVIGLIVWIRFILLHLKSSSHTNRQGSSLFSIDSV - ->YP_008378663.1 fusion protein [Human parainfluenza virus 4a] -MGVKGLSLIMIGLLISPITNLDITHLMNLGTVPTAIRSLVYYTYTKPSYLTVDLIPNLKNLDQNCNYSSL -NYYNKTALSLIQPIADNINRLTKPITSSEIQSRFFGAVIGTIALGVATAAQVTAAIGLAKAQENAKLILT -LKKAATETNEAVRDLANSNKIVVKMISAIQNQINTIIQPAIDQINCQIKDLQVANILNLYLTEITTVFHN -QLTNPALESISIQALKSLLGPTLPEVLSKLDLNNISAASVMASGLIKGQIIAVDIPTMTLVLMVQIPSIS -PLRQAKIIDLTSITIHTNSQEVQAVVPARFLEIGSEILGFDGSVCQITKDTIFCPYNDAYELPIQQKRCL -QGQTRDCVFTPVAGTFPRRFLTTYGTIVANCRDLVCSCLRPPQIIYQPDENPVTIIDKDLCTTLTLDSIT -IEIQKSINSTFRREVVLESTQVRSLTPLDLSTDLNQYNQLLKSAEDHIQRSTDYLNSINPSIVNNNAIII -LIILCILLILTVTICIIWLKYLTKEVKNVARNQRLNRDADLFYKIPSQIPVPR - ->YP_001331033.1 fusion protein [Porcine orthorubulavirus] -MPQQQVAHTCVMLWGIISTVSGINTEALSQYGVVVTNVRQLTYYTQAGSTYLAVRLLPSLASPDQSCALH -SIINYNATLQAILSPIAENLNLISTALREQHRKKRFAGVAIGLTALGVATAAQATAAVALVRANKNAEKV -EQLSQALGETNAAISDLIDATKNLGFAVQAIQNQINTAILPQIHNLSCQVIDAQLGNILSLYLTELTTVF -QPQLTNPALSPLTIQALRAVLGTTLPALLSEKLKSNIPLGDLMSSGLLKGQLVGLNLQNMLMIIELYIPT -LSTHSTAKVLDLVTISSHVNGREVEIQVPNRVLELGSEVLGYGGSECALTMSHILCPFNDARVLSTDMKY -CLQGNITHCIFSPVVGSFLRRFALVNGVVIANCADMSCVCFDPQEIIYQNFQEPTTVIDIKKCGKVQLDT -LTFTISTFANRTYGPPAYVPPDNIIQSEPLDISGNLIAVNNSLSSALNHLATSEILRNEQIWTSSLGIST -IVALVIIGILIICLVVTWAALWALLKEVRGLNSAVNSQLSSYVMGDKFIRY - ->YP_001249276.1 fusion protein [Mapuera orthorubulavirus] -MRSSLFLVLTLLVPFAHSIDSITLEQYGTVITSVRSLAYFLETNPTYISVRLMPAIQTDSSHCSYHSIEN -YNLTLTKLLLPLQENLHQITDSLSSRRRKKRFAGVAVGLAALGVATAAQVTAAIAVVKAKENSAKIAQLT -SAISETNRAVQDLIEGSKQLAVAVQAIQDQINNVIQPQLTNLSCQVADAQVGTILNMYLTELTTVFHPQI -TNSALTPITIQALRSLLGSTLPQVVTSTIKTDVPLQDLLTSGLLKGQIVYLDLQSMIMVVSVSVPTIALH -SMAKVYTLKAISAHVNNAEVQMQVPSRVMELGSEIMGYDIDQCEETSRYLFCPYNGGSILSATMKMCLNG -NISQCVFTPIYGSFLQRFVLVDGVIVANCRDMTCACKSPSKIITQPDSLPVTIIDSTSCSNLVLDTLELP -IISINNATYRPVQYVGPNQIIFSQPLDLLSQLGKINSSLSDAIEHLAKSDEILEQIQWDSPQGYTLIALT -SVLAFVVVAIVGLLISTRYLIFEIRRINTTLTQQLSSYVLSNKIIQY - ->YP_138508.1 fusion protein [Simian orthorubulavirus] -MRLTPYPIALTTLMIALTTLPETGLGIARDALSQVGVIQSKARSLMYYSDGSSSFIVVKLLPTLPTPSGN -CNLTSITAYNTTLFKLLTPLMENLDTIVSANQAGSRRKRFAGVVVGLAALGVATAAQVTAAVAVVKANAN -AAAINKLAASIQSTNAAISDVISSTRTLATAIQAVQDHVNGVLASGLTEANCRSQDALIGSILNLYLTEL -TTIFHNQIVNPALTPLSIQALRIILGSTLPLIVESRWNTNLNTAELLSSGLLTGQIISISPSYMQMVIQI -TVPTFVMQPGAKIIDLVTITANRMEEEVLIQVPPRILEYANEIQAYTADDCVVTPHAVFCKYNDGSPISD -SLYQCLKGNLTSCVFTPVVGNYLKRFAFANGVMYVNCKALLCRCADPPMVITQDDLAGITVIDITVCREV -MLDTLAFKITSLNNVTYGANFSMLAAAIKDLSPLDLSAQLAQVNKSLASAEEKIAQSSSLAAQAVSQEAT -ITVGSVAMLIAVLALIAGCTGIMIAVQMSRRLEVLRHLTDQSIISNHHYAELNPPPYNHSYESLHPIPQS -H - ->NP_665869.1 fusion protein [Tioman virus] -MRIALTAVIVSIHFDLAFPMNKNSLLSVGLVHKSVKNLYFYSQGSPSYIVVKLVPTLGNVPGNCTLNSLV -RYKSTVSSLLSPLAENLEYLQKTLTVSRGGRRRRFAGVAIGLAALGVAAAAQATAAVALVEARQNAAQIQ -SLSEAIQNTNLAVNELKTAIGASATAIQAIQTQINEVINPAINRLSCEILDAQLASMLNLYLIHLTTVFQ -NQLTNPALTPLSIQSLQSLLQGTSSVLTNITSSSKLALNDALVTGLITGQVVGLNMTSLQIVIAAYVPSV -AKLSNAVVHNFIRITTSVNGTEVIIQSPTIIMEQNEVMYDLKTGHCTESDLNIYCPYVDAQLLSPGMTNC -INGRLNDCTFSKVVGSFPTRFAAVEGAILANCKYLQCNCLTPPYIITPLNGEMISMIDLSKCQRLDLGTI -VFDINNPVNVTFNGNYRADVGQMIVTNPLDISAELNQINTSLSNAQGFLSKSDAWLHVSQWVTNSGTIFI -ILIIGLIVGIVYMIINTYVVVQIIKEINRMRTSDRAHLLKGSISSIST - ->NP_598404.1 fusion protein [Human orthorubulavirus 2] -MHHLHPMIVCIFVMYTGIVGSDAIAGDQLLNIGVIQSKIRSLMYYTDGGASFIVVKLLPNLPPSNGTCNI -TSLDAYNVTLFKLLTPLIENLSKISTVTDTKTRQKRFAGVVVGLAALGVATAAQITAAVAIVKANANAAA -INNLASSIQSTNKAVSDVIDASRTIATAVQAIQDRINGAIVNGITSASCRAHDALIGSILNLYLTELTTI -FHNQITNPALTPLSIQALRILLGSTLPIVIESKLNTNFNTAELLSSGLLTGQIISISPMYMQMLIQINVP -TFIMQPGAKVIDLIAISANHKLQEVVVQVPNRILEYANELQNYPANDCVVTPNSVFCRYNEGSPIPESQY -QCLRGNLNSCTFTPIIGNFLKRFAFANGVLYANCKSLLCRCADPPHVVSQDDTQGISIIDIKRCSEMMLD -TFSFRITSTFNATYVTDFSMINANIVHLSPLDLSNQINSINKSLKSAEDWIADSNFFANQARTAKTLYSL -SAIALILSVITLVVVGLLIAYIIKLVSQIHQFRSLAATTMFHRENPAFFSKNNHGNIYGIS - ->sp|P33481.1|FUS_MUMPS RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MKAFPVICLGFAIFSSSICVNINILQQIGYIKQQVRQLSYYSQSSSSYVVVKLLPNIQPTDNSCEFKSVT -QYNKTLSNLLLPIAENINNITSPSPGSRRHKRFAGIAIGIAALGVATAAQVTAAVSLVQAQTNARAIAAM -KNSIQATNRAVFEVKEGTQQLAIAVQAIQDHINTIMNTQLNNMSCQILDNQLATSLGLYLTELTTVFRPQ -LINPALSPISIQALRSLLGSMTPAVVQATLSTSISAAEILSAGLMEGQIVSVLLDEMQMIVKINVPTIVT -QSNALVIDFYSISSFINNQESIIQLPDRILEIGNEQWRYPAKNCKLTRHHIFCQYNEAERLSLETKLSVA -GNISACVFSSIAGSYMRRFVALDGTIVANCRSLTCLCKSPSYPIYQPDHHAVTTIDLTSCQTLSLDGLDF -SIVSLSNITYAENLTISLSQTINTQPIDISTELSKVNASLQNAVKYIKESNHQLQSVSVSSKIGAIIVAA -LVLSILSIIISLLFCFWAYIATKEIRRINFKTNHINTISSSVDDLIRY - ->sp|P09458.1|FUS_MUMPR RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MKAFSVTCLGFAVFSSSICVNINILQQIGYIKQQVRQLSYYSQSSSSYIVVKLLPNIQPTDNSCEFKSVT -QYNKTLSNLLLPIAENINNIASPSPGSRRHKRFAGIAIGIAALGVATAAQVTAAVSLVQAQTNARAIAAM -KNSIQATNRAIFEVKEGTQQLAIAVQAIQDHINTIMNTQLNNMSCQILDNQLATYLGLYLTELTTVFQPQ -LINPALSPISIQALRSLLGSMTPAVVQATLSTSISAAEILSAGLMEGQIVSVLLDEMQMIVKINIPTIVT -QSNALVIDFYSISSFINNQESIIQLPDRILEIGNEQWSYPAKNCKLTRHHIFCQYNEAERLSLESKLCLA -GNISACVFSPIAGSYMRRFVALDGTIVANCRSLTCLCKSPSYPIYQPDHHAVTTIDLTTCQTLSLDGLDF -SIVSLSNITYAENLTISLSQTINTQPIDISTELSKVNASLQNAVKYIKESNHQLQSVSVNSKIGAIIVAA -LVLSILSIIISLLFCCWAYIATKEIRRINFKTNHINTISSSVDDLIRY - diff --git a/seq/clusters_seq/cluster_272 b/seq/clusters_seq/cluster_272 deleted file mode 100644 index e16a571..0000000 --- a/seq/clusters_seq/cluster_272 +++ /dev/null @@ -1,171 +0,0 @@ ->YP_010087176.1 nucleoprotein, partial [Cuiaba virus] -RTTKKLYDYSIFYSKYSTLILKFFKDYLIIMISGYRIGTERSIIHKRITTVKKPNLLNASVKQKPVIVLP -KYSGVTDDLKSIVYGSYYKMTLTPEVFITWLMHSSVTKKCKLETDWKSFGLTIGEKDKSVSWLDIVNVVT -QDEEPLPKESSVQTTKISDRSLAIMCLSVYRLNSTQNDDHRTRISDNISKFLIDDPNLERVDLSPCTAGF -KKWMKNKNFLKLVAALDMFYSRFTEEDEAAVRIGTLGSRYKDQSVWVSLGYFSRITGFTGSKFLDWIWDG -MLADQLERICTPGQEDDDDYSYFPYGHDFCYIPVSAYSAVMNPEMHCYIHMVGALLGKKRSINARNMEEV -QSQNYITHALTCAYAISQSSDMTAQFSEDGKKPEGKAPPGGGARRACPVGRDGKTWLTWMNNRGNVLSDE -MRVWFDKRRETLRDSREGSIGECLRDMTL - ->YP_009666834.1 nucleoprotein [Vaprio virus] -MANQTVYRFSTKKIIAPKLPLESSDARYPADFFQKGEMPILVIHYKKEDLPKMRFHVRRGLEDNVLDIKI -VNAFLFYVMVEKEPFKIHSEWKSFDIKIAGAGDERHHFCMYQVEIKNEDFPNKDAKDETDESKDKALVFS -LLFQYRANRATYDAYKTELCSKATKTVQMIDPNATPLSKTLAGATAAWTSNPNFTKIVAGIDMYFFKNKE -AEWADLRFCTLGSRYKDCAALTALSYITQLTSIKIHELLLWIFTERMADEADRLSQEGNEVDQADSYMPY -MRDMGISDKSPYSAQMNPALTTFCHVVGCLLDSTRSKNSRLAGEVDRLNSVVNGVVVAYVLSSRPTFVQV -YGADASAQQQSIQPNMVMGKMPATPDPDEWFLYLGKSGFVLPQEIKNWFERKAKALTETRSGTIGEHLQN -KRTI - ->YP_009362195.1 nucleoprotein [Keuraliba virus] -MATTTIYRYSTKKAVQPILPSETNEVKYPKEFFKEPKKPRLTIYYKKADLPKMRAYVRQGLVEYKLDIKI -VNSYLYYVLENLPAHQLTSDWTSFGIQLGNARDEIKHFVMFDVDLKGESFPNEAVAADDAAKDDKALVFL -LLFQYRVSRASHDGYKTDLCDKAIKTLNAMSLNSPALTKGLISVTAAWPSNIDYTKIVAGVDMFYFKNKE -ATWADLRFCTLGSRYKDCAALTALSHVTQLTGVKLCQLLLWVFTERMADEADRLSEPGNEVDKADSYMPY -MRDMGISDKSPYSAQVNPSLTTFCHVVGCLSDSTRSKNSRLAGEVDRSNSVINGVIVGYVFGTRPVFVQA -YGADSSSEQVVVEPNTVIGAMPKNADPDEWFAFLSTDGFILPDEIRAWFEKKVKNLKDTRAGTIGSFLAE -KRTI - ->YP_009362190.1 nucleoprotein [Nkolbisson virus] -MAEKVAYRVTTKKVVKPVLPQEETPGQYPSDWFDNHKGEKPRLVIPYKHADVGTLRGVVYGGIQKDTLDI -KVAITYLYAVMVKLEVECDDEWKSFGVLIAGAKTTVNPFCMVEVESKQLDLPNQQAGAATEEDDLWMCFY -ILVQYRLLKVNNVEYEKLLVKRANVHLASLPGAKKSEVHSRKVYAAWLANPMYLRIVAAVDMFFHKFKEH -PYAICRYGTLGSRYKDSAALTTLNHITKLTGLQIVDFMMWIFNDNIADELDRMARPDQELEKCDSYTPYM -RDLGISDRSPYSAQMNPTFHMFCHALGTLLGSRRSMNARIAGEVDVTNTLTNAEVVAFILNRCPTFVKAY -TEDLESVPTAQHKAVAGKMPTGPDPDMWFEYLAKLNFVLPDEIIRFAKERASGLSNIRPDTIGELVYKQL -K - ->YP_009362155.1 nucleoprotein [Barur virus] -MSDRVPYRVATKQPVKPVLPQEETPGQYPADWFSTHQNKKPRLVIPYKSKDLDTLRGIVREGIEKDSLDV -KVAITYVYNVLKEQEAECDDLWTSFGVEIAQAKTTVHTFCMMEVEEKAVDPPNLQPKPCTPEDDLWMCFY -ILVQYRLLKVTNTEYEKALIKRANVHISGMPGGKKFDVHSRKMYASWLSNPTYLRLVAAIDMFFHKFKDH -PFAICRFGTLGSRYKDSAALTTLNHITKLTGLPITEFMMWIFNENIADELDKMAKPDQELEKCDSYTPYM -RDMGLSDRSPYSAQMNPTFHLFCHALGTLMHSRRSMNARIAGEVDVTNAVVNAEVVAFVLNRCPTFTKAY -TEDLESVPTVVAKSVVGQMPTGPDPDLWFEYLAQKNFILPEEIKRFAHERASGLTGIRPDTIGELIYKML -K - ->YP_009508523.1 nucleoprotein [Kanyawara virus] -MSQTGVKSVYRLSTKEKIQPILPQETTAIQYASTWFRENKGRKPMLSVSYKNVDTLDLLQHAAYGLETGN -LNGELATAVLYRFLSSEQHQLTSDWTSFGVTIGRLGEEINPWSILEVHEKDGLLENNEVNKNITTDDANW -IAFYICFLYRFSRANNQSYKDALSDRAEEHAKNINNVSIRPQPSAMIKMQALILHSPFNVAIAAIDMFYH -KFKTSRYACFRFGTLPSRFKDCSALTTLNHITRLTGLPIEQFMLWVFSTKMADELDQMSKPGEELDKDDS -YVAYMREMGTSERSPYSSQANPAFSLFCHVVGSILGSKRSRNARMGLEVDTVNSVTNGKIVAYVLGTRPN -FTLEYSNDPSIEFTSTQPEVLDITKLPISSHPEEWFSYLSIHGFSVPPEAEKWIESRISSITDTREGTIG -KFLKMQK - ->YP_009505471.1 hypothetical protein [Nishimuro ledantevirus] -MRNLLVYQGGCFFPHESTDIKMSDRVPFRVATKQPVKPILPQEETPGQYPADWFNTHKNEKPRLVIPYKI -KDMDSLRGIVREGIEKDSLDVKVAITYVYNVMKGQEAECDDIWTSFGVEIAQAKSTIHTFCMMEVEEKSV -DPPNLQLKPCTAEDDLWMCFYILVQYRLLKVTNTEYEKALIKRANIHISGMPGGKKCDVHSRKMYASWLS -SPTYLRLVAAVDMFFHKFKDHPFAICRFGTLGSRYKDSAALTTLNHITKLTGLPITEFMMWIFNDNIADE -LDKMARPDQELEKCDSYTPYMRDMGLSDRSPYSAQMNPTFHLFCHALGTLMHSRRSMNARIAGEVDVTNA -VVNAEVVAFVLNRCPTFTKAYTEDLESVPTVVVKSVVGQMPTGPDPDLWFEYLAQKNFILPEEIKRFAHE -RAAGLTGIRPDTIGELIHKMLK - ->YP_009362254.1 nucleoprotein [Oita virus] -MSARAADPVYRRNKTEPVSITLPREDTELTYPSAWFKKFKESKPVLEIGLKDTAPKVLFGAIAHGFEDGS -VTGEMAVAGLYHVFKDQKHKLPVKWDSFGVVIGAADQEVCPWDIVTIDWKQTKLENVVEKANITEKDYTW -IAFYLCFIYRYTRATHPDYRDQLYVKANEHAKNLNEKAVPINQGQLNRLRNILLHDPYIKAIACIDMFYY -KFKNSPLASVRYGTLSSRFKDCAALTTLNHITKVTGLSISKFILWVFSSRMADEVMQLAVTGEELDKGDS -YAAYMRELGLSDRSPYSTQANPSFSLFCHVVGTLLGSKRSKNAKMGAEVDTVNSVLNGKIVAYVLGTRAG -FTAEFTTEKPDLSVTEQEDSSSVTEIGEVPESQNPEEWFAYLSASGFKIPAEIEQWVKHKVSNLTDLRDG -SVGKFLKSQC - ->YP_009362239.1 nucleoprotein [Mount Elgon bat virus] -MSFNERKPVFRLQTKSKVQPVLPQETTAIQYASTWFKNNVHKKPNLLVSYKNVSLDLLLKHAANGLETGD -LTGELATAVLYRVFKDEKHQLPTDWTSFGVIIGKSGEEINPWALMDVQEKDGILPNTEVNKDVGLDDANW -IAFYICFMYRFARATNQAYKDALADRAEEHAKNLNPKSVRPQPPAMTKMQALILHAPYNAAIAGIDMFYH -KFKTSKLASVRYGTLPSRFKDCAALTTLNHITRLTGLPIEQFMLWVFSARMADELDQMSKPEEELDKGDS -YVAYMREMGISERSPYSSQANPAFSLFCHVVGTLLGSRRSKNARMGLEVDTVNSVINGKIVAYVLGTRPT -FTLEYSTDPNQEVEVSTLETLDLSKIPTSSHPEEWYGYLSINGFKVPPEAEKWIESRLHTLADLRDGTVG -KFLKTQK - ->YP_009361998.1 nucleoprotein [Fukuoka virus] -MSDRVPYRVSTKQPVKPVLPQEETPGQYPADWFNTHKNEKPRLVIPYAIKDLDTLRGVVREGIEKDSLDV -KVAITYVYNVLKGQEAECDDLWTSFGVEIAPAKSTVNTFCMMEVEEKAVDPPNLQPKPCTAEDDLWMCFY -ILVQYRLLKVTNTEYEKALIKRANVHISGMPGGKKFDVHSRKMYASWLSNPTYLRLVAAVDMFFHKFKDH -PFAICRFGTLGSRYKDSAALTTLNHITKLTGLPITEFMMWIFNDNIADELDKMAKPDQELEKCDSYTPYM -RDMGLSDRSPYSAQMNPTFHLFCHALGTLMHSRRSMNARIAGEVDVTNAVVNAEVVAFVLNRCPTFTKAY -TEDLESVPTVVVKSVVGQMPTGPDPDLWFEYLAQKNFILPEEIKRFAHERASGLTGIRPDTIGELIYKML -K - ->YP_009361990.1 nucleoprotein [Kern Canyon virus] -MSSMQVYRFKNQKVIEPKLPQEKTEGEFPSQWFKPDGSRRPEIIIYHDKAILPAIRGLVRQMMETKVFNI -KVINAYIYYVLADKGEIESHRDWESFGVIICKEKDKVNPFVMCNISIKKENLPNLTGAEIPKDDDDKWMV -FWLLFQYRIGRATDETYKSELIKRANQFMLSFAEKPVELSRTVSTLTVSWLANMNFTKIVAAVDMYYCKF -KSAPWAEMRYCTLGSRYKDCAALTVLRHISDLTSLTVAQLVLWVFTERMADEVEKISTEGNEVDKADSYM -PYMRDFGISDKSPYSAQMNPSLVMFCHTIGCLLSSKRSMNARLAGEIDRLNSVINGSITAYVLGHLPMFV -HSFGETPADTQIKPTVKDRPGQPPSAANPDEWFAYLGSKGFQLPPEIKDWVKAKMDAIATPRKETVGAYL -KERGFD - ->YP_009361868.1 nucleoprotein [Le Dantec virus] -MANETIYRFSTKKSVHPLLPSENNEVRYPKDYFAEPKKPRLTIYLKKDDLPKMRSYVRKGLVEYNLNLKI -VNSFIYYVLKDLPPHQLGSDWESFGINLGKARSDITHFVMFDVDFKEEDFPNEAVTADEDSKDDKALIFI -LLFQYRASRATHDAYKTELCDKATKTLNAMGLNAPAITKGLTAVTNAWPSNIDYTKIVAGVDMYYFKNKE -ATWADLRFCTLGSRYKDCAALTALSHITQLTGVKLNQLLLWVFTERMADEADRLSEPGNEVDKADSYMPY -MRDMGISDKSPYSAQMNPSLTTFCHVIGCLSDSTRSKNSRLAGEVDRSNSVINGTLVSYVLSTRPGFVQA -YGTSAASEQMVAEPTTVIGAMPKTSDPDEWFAFLSKEGFVLPEEIKVWFEKKVKSFRDTRVGTVGSFLVE -KRTI - ->YP_009305118.1 nucleocapsid [Yongjia Tick Virus 2] -MATRGVFRLATGKRVITTLPQDETPGEFASDWFDKHPKQKPRLVIPHKTDDLKLLRQVVKRGLKEGNLSV -QTATTYVYKVLSQVELDSEDVWTSFGVEIALAKQRVGPFCMMQVELREEPLANTETATATEDDDQWMVFY -ILAQYRILKLQNADYERLIINKANNHLSAMKGKADEKQDLDGSKRYGAWVQDPTYLKIVAAVDMFFCKFK -DSPWAIVRFGTLGSRYRDATALTTLNHITQRTGLTIDQFMLWIFNDTVADELDRMSREGQELDKHDSYVP -YIRDMGLSAKSPYSAQLNPAFHFFCHAIGALMNSTRSINAKLGPEVDLTNTLANAQVVAYALSSCPVMVK -AYGTDPQDKPVEDQDTDLGGLPKEPNPSKWYDYLVINNFQLPREIIEYSKTRASVILNPRPGTVGEMISK -TLR - ->YP_009305098.1 nucleocapsid [Wuhan Louse Fly Virus 5] -MAQSNIKQIFRLSTRQQIQPILPQETTAIQYASTWFQNNLHKKPQINISFRNVPTETLLQHAAAGLETGD -LTGELASAVLYRIFREEKQHLQTEWLSFNIQIGKTGDEITPWTLVDVQEKDGVLPNAEINKKITAKDADW -IAFYICFLYRYSRATNLAYKDALFGRAEEHAKNLNPEAVKPSTPAMTRMQAIILHAPFNACIATLDMYYH -KFKNTRMACIRFGTLPSRYKDCAALTTLNHITRLTGLPIEQFMLWVFSARMADELDQMSKQGEELEKGDS -YVAYMRELGVSERSPYSAQANPAFSLFCHVVGTLLGSKRSKNAKMGVEVDTVNSVINGKIVAYILGTRPT -LTLEYGTNPDIRVEGTSEIPMGINKPPSNAHPEEWFGYLSMTGFRVPVEAEKWVESRLATLTDLRDGTVG -KFLKTQK - ->YP_009288318.1 nucleocapsid [Huangpi Tick Virus 3] -MAAKKRKTGLTPECDGKEFYSYDREKTTKHVIQMASSETEPVSPQDWFTAHPGEKPPVILTPVRPAGNTD -KILRELCHYLMADHGTTINVNALVQFLTIMLKAISTKCDADWTSYGVSIGKEGQTVCAADIVDIKPQALE -STTYTWSDVTPFNEIQCLGHWVVLYRLGVTQDRADDKYKADVIARASAVLKRAPFKCERVKLSSGMDSSV -AVTGDANVRACIAAMDMFFNRFPDHPWAALQIGTLVSYRRDCGILNDLSLIFDQRAIPYESVLEWLWMPE -YGDEMTRIYADPREEIRDRTSYYSYMSDLGLTTRSPSSISANPNLHLFICSFHALGGEERGRNARVVGKV -DKNPIIVNAAYMNFAVGRRPGFKRKIFSTAEEAQKAQQDDLAAEELAAIPGVVTTVGAPDPAVIPTGTSG -REWYTYALALGGLHPDIHMRLQQRTADWKKDREGSVGRMLHDYFKEPPLVRLRASAEPPEQPDDEV - ->YP_009177008.1 N [Kumasi rhabdovirus] -MSFSKPEAVYRTCNHKQVKPVLPQETTPIQYASAWFRANRARKPTLVVGLKNVPLVQTLQHAAQAMIEGR -LTGDIAAATLYKIFKSQKQRLSLDWVSFGVVIGNADTEVCPWDLVNVDERDTQLPNEQINDQIREEDAPW -IAFYICFLYRYSKATHTDYRDMLYNTAKDHAKHLSQRAMDIQPGSLAQMKNVGLDANYNCAIACIDMFHH -KFKNLPLSAVRYGTLPSRFKDCSALTTLNHITKLTGLAIEQFMLWVFSSKMADELDQMSKPDEELDQGDS -YVAYMRELGVSDRSPYSAQANPSFSLFCHVVGALLGSKRSKNARMGAEVDTVNSVLNGKIVAYVLGTRPS -FTKEYSTDKEEDPTGTAEEDVTPGEIPNGANPDEWFAYLSIKGFVLPDEVVHWVKTRVQLLTDVRDGTVG -KFLKTQG - ->YP_009094472.1 nucleoprotein [Dolphin rhabdovirus] -METIVRKTTGSVVTPILPAIEDTPTYPSEFFKDNDRPSLTVEYTNVPLDELRGAVISGIQKGELTADLVV -AFIYQVCREWEETEETGWTSFGVEIAKRGQNVNPFCMVNVKIGTKPKPDWTVNTVNDNSGDFQLVMGLLG -IYRVSNISNETYKEKILGTIQTQLDAIAKNKVMMKTLAVNKQVTLSPNFNMAVACIDMFYHRYKGAEKSV -IRVSTLTSRYKDCAALSTLAHISNFTGLSLKETLDWVFSDRVAAEVEQMMRPGEEIDRQESYMPYLKDFR -ISRRSPYSTTFNSNLHIWGQSACALMGSRRSQNAILMSEDNLVNIMTNAKIMAYVLGTSADLTKAFTVDG -EEAGESDDEGEGIEDEEAESEMPKGRQALEWFEYMSSMSFTIPSKMEEKLKAMAKRIALPRPGTIGAAIK -QDMGV - ->YP_009094023.1 N protein [Kolente virus] -MSSGEKLTVFRLSSRKTVKPTLPQESTAPQYASTWFETHKEKPTIIVGFKGTDTLALLQYAAKALEAGTL -TAEAATAVLYKVFQDEKQLLASDWRSFNVEIAAAGTEITPWNLVKVKEKDSILPNNETNPDITLEDQDWI -AFYICFLYRYSRATHVDYKDQLYGRATEHIKNIKPKAIIIQPGALTQMKNIILHSHFNAAIACLDMYFNK -FKTSARASVRYGTLPSRFKDCSALTTLNHITKLTGLSIEQFMLWVYSNRMADELDQMAKEGEELDKGDSY -VAYMRELGVSDRSPYSAQANPSFSLFCHVVGTLLGSKRSKNARMGAEVDTVNSVLNGKVVAYVIGTRPSF -TLAYNTSLPDPEKEEQIEEPQSGSVPSRADPEEWYAYLSDFGFKLPDEIERWVRVRVNLITDVRDGTVGK -LLKTLG - ->YP_009094018.1 nucleocapsid [Fikirini rhabdovirus] -MSEKNRLTVFRLASRLPVKLTLPQESTPVQYASKWFEVNKDQKPTLTVGHKNTSKLNLLQYAARALETGT -LTAEAATAVLYKVFLDEKHQLLQEWTSFGVQIAPPKVDVTPWDLVKIVEKDTNLPNNELNQTITEDDQNW -IAFYICFLYRFSRATHTDYKDQLYSRAIEHVKNISSNTHIIQPGALTQMKNIILHPQYNMAIACIDMYFN -KFKTSPRAMVRYGTLPSRFKDCSALTTLNQVTRMIGVSIEQFMLWVFSNRMADELDQMAKEGEELDKGDS -YVAYMRELGLSDRSPYSTQANPSFSLFCHIVGTLLGSKRSKNAKMGLEVDTVNSMLNAKVAAYVLGTRPS -FELAYNTVLPPPDEKEKVDELPHGPIPTGTDPEEWFSYLSEYGFQLPEEIERWVRARVNLITDTRDGTVG -KYVKSQI - diff --git a/seq/clusters_seq/cluster_273 b/seq/clusters_seq/cluster_273 deleted file mode 100644 index c13eb55..0000000 --- a/seq/clusters_seq/cluster_273 +++ /dev/null @@ -1,96 +0,0 @@ ->YP_009825036.1 NSP8 [Duck coronavirus] -SVTQEFSHIPSYAEYERAKDLYEKVLADSKNGSVTQQELAAYRKAANIAKSVFDRDLAVQKKLDSMAERA -MTTMYKEARVTDRRAKLVSSLHALLFSMLKKIDSEKLNVLFDQASSGVVPLATVPIVCSNKLTLVVPDPE -TWVKCVEGMLVTYSTVVWNIDTVFDADGVEIQPSSNGQSLVYHIGGDRIAWPLKVNLTRNVHNKVEASLQ - ->YP_009755917.1 nsp8 [Canada goose coronavirus] -AVVSEFSHIPSYTDYEKARAAYEEIQAQVKRGIVISPQEVAAYRKAANIAKATFDRDLAVQKKLDAMAER -AMTSMYKEARVNDRKAKLVSSLHALLFSMLKKIDSDKLNTLFEQAQNGVVPLASVPIVCSNKLTLVIPDL -DIWNKCVENDVVTYSGVVWNIDSIFDGDDNEVKPQFVRRDNITVPYYDIKPDMAWPLKLSLVRNTHNKIV -LQ - ->NP_835349.1 putative coronavirus nsp5 [Human coronavirus 229E] -SVASSFVGMPSFVAYETARQEYENAVANGSSPQIIKQLKKAMNVAKAEFDRESSVQKKINRMAEQAAAAM -YKEARAVNRKSKVVSAMHSLLFGMLRRLDMSSVDTILNMARNGVVPLSVIPATSAARLVVVVPDHDSFVK -MMVDGFVHYAGVVWTLQEVKDNDGKNVHLKDVTKENQEILVWPLILTCERVVKLQ - ->YP_009924390.1 nsp8 [Rousettus bat coronavirus HKU9] -AVASEFSNLSSYVDYENAQKAYDTAVATGAPASTVNALKKAMNVAKSVLDKDVATTRKLERMSELAMTAM -YKQARAEDRRSKVTAAMQTMLFNMIRRLDSDALSNILNNARNGVVPLGVIPRTAANKLLLVVPDFSVYTA -TITMPTLTYAGSAWDVMQVADADGKTVNATDITRENSVNLAWPLVVTAQRQQATSPVKLQ - ->YP_009944299.1 nsp8 [Betacoronavirus England 1] -ATLSEFSHLATFAELEAAQKAYQEAMDSGDTSPQVLKALQKAVNIAKNAYEKDKAVARKLERMADQAMTS -MYKQARAEDKKAKIVSAMQTMLFGMIKKLDNDVLNGIISNARNGCIPLSVIPLCASNKLRVVIPDFTVWN -QVVTYPSLNYAGALWDITVINNVDNEIVKSSDVVDSNENLTWPLVLECTRASTSAVKLQ - ->YP_009944373.1 nsp8 [SARS coronavirus Tor2] -AIASEFSSLPSYAAYATAQEAYEQAVANGDSEVVLKKLKKSLNVAKSEFDRDAAMQRKLEKMADQAMTQM -YKQARSEDKRAKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLNIIPLTTAAKLMVVVPDYGTYKN -TCDGNTFTYASALWEIQQVVDADSKIVQLSEINMDNSPNLAWPLIVTALRANSAVKLQ - ->YP_009944361.1 nsp8 [Pipistrellus bat coronavirus HKU5] -ATLSEFSHLASYAELEAAQSSYQKALNSGDASPQVLKALQKAVNIAKNAYEKDKAVARKLERMAEQAMTS -MYKQARAEDKKAKIVSAMQTMLFGMIKKLDNDVLNGVISNARNGCVPLSVVPLCASNKLRVVIPDITIWN -KVVTWPSLSYAGALWDISLINNVDGEVVKSSDVTETNESLTWPLVLECTRAASSAVTLQ - ->YP_009944332.1 nsp8 [Tylonycteris bat coronavirus HKU4] -ATLTEFSHLATYAELETAQSSYQKALNSGDASPQVLKALQKAVNVAKNAYEKDKAVARKLERMAEQAMTS -MYKQARAEDKKAKIVSAMQTMLFGMIKKLDNDVLNGVIANARNGCVPLSIVPLCASNKLRVVIPDISVWN -KVVNWPSVSYAGSLWDITVINNVDNEVVKPTDVVETNESLTWPLVIECSRSSSSAVKLQ - ->YP_009944276.1 nsp8 [Human coronavirus HKU1] -SEFVNMASFVEYEVAKKNLADAKNSGSVNQQQIKQLEKACNIAKSVYERDKAVARKLERMADLALTNMYK -EARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLSAIPALAANTLTIVIPDKQVFDKVV -DNVYVTYAGSVWHIQTVQDADGINKQLTDISVDSNWPLVIIANRYNEVANAVMQ - ->YP_009944261.1 nsp8 [Rabbit coronavirus HKU14] -ALQSEFVNMASFVEYEVAKKNLDEARSSGSANQQQLKQLEKACNIAKSAYERDRAVARKLERMADLALTN -MYKEARINDKKSKVVSALQTMLFSMVRKLDTQALNSILDNAVKGCVPLNAIPLLTANTLTIIVPDKQVFD -QVVDNVYVAYAGNVWHIQSVQDADGTNKQLNEISEDSNWPLVIVANRHNEVSQAVLQ - ->YP_009915695.1 nsp8 [Murine hepatitis virus] -ALQSEFVNMASFVEYELAKKNLDEAKASGSANQQQIKQLEKACNIAKSAYERDRAVARKLERMADLALTN -MYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPSLTSNTLTIIVPDKQVFD -QVVDNVYVTYAGNVWHIQFIQDADGAVKQLNEIDVNSTWPLVIAANRHNEVSTVVLQ - ->YP_009924375.1 nsp8 [Rat coronavirus Parker] -SEFVNMASFVEYELAKKNLDEAKASGSANQQQIKQLEKACNIAKSAYERDRAVARKLERMADLALTNMYK -EARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPSLTSNTLTIIVPDKQVFDQVV -DNVYVTYAGNVWHIQSIQDADGAVKQLNEIDVNSIWPLVIAANRHNEVSTVVLQ - ->YP_209251.1 nsp8 [Murine hepatitis virus strain JHM] -SEFVNMASFVEYELAKKNLDEAKASGSANQQQIKQLEKACNIAKSAYERDRAVARKLERMADLALTNMYK -EARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPPLTSNTLTIIVPDKQVFDQVV -DNVYVTYAPNVWHIQSIQDADGAVKQLNEIDVNSTWPLVISANRHNEVSTVVLQ - ->YP_009924326.1 nsp8 [Human coronavirus OC43] -ALQSEFVNMASFVEYEVAKKNLDEARFSGSANQQQLKQLEKACNIAKSAYERDRAVAKKLERMADLALTN -MYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPSLAANTLNIIVPDKSVYD -QVVDNVYVTYAGNVWQIQTIQDSDGTNKQLNEISDDCNWPLVIIANRYNEVSATVLQ - ->YP_009742615.1 nsp8 [Severe acute respiratory syndrome coronavirus 2] -AIASEFSSLPSYAAFATAQEAYEQAVANGDSEVVLKKLKKSLNVAKSEFDRDAAMQRKLEKMADQAMTQM -YKQARSEDKRAKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLNIIPLTTAAKLMVVIPDYNTYKN -TCDGTTFTYASALWEIQQVVDADSKIVQLSEISMDNSPNLAWPLIVTALRANSAVKLQ - ->YP_008439219.1 nsp8 [Bat coronavirus CDPHE15/USA/2006] -AVATTYANMPSYIMYENARQAYEEAISNRSSPQLIKQLKHAMNRAKGEFDHEAATQRKIDRMAEQAAAQM -FKEARAVNKKSKVTSAMHAMLFSMLRRLDMSSVDIILNLARNGTVPLSIIPALCATRLSIIVSDFESYAK -LFREGCIHYAGTIWSVADIKNNDGKPVHQKEVTSSNAENLSWPLCINAERIVKLQ - ->YP_001941181.1 NSP8 [Turkey coronavirus] -SVTQEFSYIPSYAEYERAKNLYEKVLADSKNGGVTQQELAAYRKAANIAKSVFDRDLAVQKKLDSMAERA -MTTMYKEARVTDRRAKLVSSLHALLFSMLKKIDSEKLNVLFDQASSGVVPLATVPIVCSNKLTLVIPDPE -TWVKCVEGMHVTYSTVVWNIDTVIDADGTELHPTSVGSGLTYCISSDNIAWPLKVNLTRNGHNKVDAALQ - ->NP_839962.1 putative coronavirus nsp5 [Porcine epidemic diarrhea virus] -SVASTYVGLPSYVIYENARQQYEDAVNNGSPPQLVKQLRHAMNVAKSEFDREASTQRKLDRMAEQAAAQM -YKEARAVNRKSKVVSAMHSLLFGMLRRLDMSSVDTILNLAKDGVVPLSVIPAVSATKLNIVTSDIDSYNR -IQREGCVHYAGTIWNIIDIKDNDGKVVHVKEVTAQNAESLSWPLVLGCERIVKLQ - ->NP_740626.1 coronavirus nsp5 [Infectious bronchitis virus] -SVTQEFSHIPSYAEYERAKNLYEKVLVDSKNGGVTQQELAAYRKAANIAKSVFDRDLAVQKKLDSMAERA -MTTMYKEARVTDRRAKLVSSLHALLFSMLKKIDSEKLNVLFDQASSGVVPLATVPIVCSNKLTLVIPDPE -TWVKCVEGVHVTYSTVVWNIDTVIDADGTELHPTSTGSGLTYCISGANIAWPLKVNLTRNGHNKVDVVLQ - diff --git a/seq/clusters_seq/cluster_274 b/seq/clusters_seq/cluster_274 deleted file mode 100644 index 2111cea..0000000 --- a/seq/clusters_seq/cluster_274 +++ /dev/null @@ -1,133 +0,0 @@ ->YP_009825033.1 NSP5 [Duck coronavirus] -AGFKKFVSPSSAVEKCIVSVSYRGNNLNGLWLGDSIYCPRHVLGKFSGDQWGDVLNLANNHEFEVVTGNG -VTLSVVSRRLKGAVLILQTAIVNADTPKYKFLKANCGDSFTIACSYGGIVIGLYPVTMRSNGTIRASFLA -GACGSVGFNIEKGVVNFYYMHHLELPNALHTGTDLMGEFYGGYIDEEVAQKVQPDKLVTNNILAWLYAAI -ISVRESSFSTPKWLESTTVSIEDYNKWAVDNGFTSFVSCTAITKLSAITGVDVCKLLRTIMVKSAQWGSE -PILGQYNFEDEMTPESVFNHVGGVRLQ - ->YP_009755914.1 nsp5 [Canada goose coronavirus] -AGFKKLVAPSSGIENVLVMVRFKGNVLNGIWLNDLIYCPRHVIGKYSGDDWQNALHMANNFDFEVISNKI -GGLSVIERRMQGALLVLRVNQSNKNTPKFKFVKAHDGDTFTIACSYNGVIAGLYPCTLRANGTIKGSFGL -GSCGSIGYNLVNGIYELCYMHHLELPGAIHAGTDLSGNFYGDFVDEEKAQFVKPDPLIANNVVAWLYAAI -INYRESHYCYPKWLESSSVSLEEFNAWAKDNGFTSFIDGPVFQKLASITGVELGRVLRTILTKHACWGND -PILGSFSFDDEITPFSVVEQCGGVVLQ - ->NP_835346.1 putative coronavirus nsp2 (3CL-PRO) [Human coronavirus 229E] -AGLRKMAQPSGFVEKCVVRVCYGNTVLNGLWLGDIVYCPRHVIASNTTSAIDYDHEYSIMRLHNFSIISG -TAFLGVVGATMHGVTLKIKVSQTNMHTPRHSFRTLKSGEGFNILACYDGCAQGVFGVNMRTNWTIRGSFI -NGACGSPGYNLKNGEVEFVYMHQIELGSGSHVGSSFDGVMYGGFEDQPNLQVESANQMLTVNVVAFLYAA -ILNGCTWWLKGEKLFVEHYNEWAQANGFTAMNGEDAFSILAAKTGVCVERLLHAIQVLNNGFGGKQILGY -SSLNDEFSINEVVKQMFGVNLQ - ->YP_009924387.1 nsp5 [Rousettus bat coronavirus HKU9] -AGLTRMAHPSGLVEPCLVKVNYGSMTLNGIWLDNFVICPRHVMCSRDELANPDYPRLSMRAANYDFHVSQ -NGHNIRVIGHTMEGSLLKLTVDVNNPKTPAYSFIRVSTGQAMSLLACYDGLPTGVYTCTLRSNGTMRASF -LCGSCGSPGFVMNGKEVQFCYLHQLELPNGTHTGTDFSGVFYGPFEDKQVPQLAAPDCTITVNVLAWLYA -AVLSGENWFLTKSSISPAEFNNCAVKYMCQSVTSESLQVLQPLAAKTGISVERMLSALKVLLSAGFCGRT -IMGSCSLEDEHTPYDIGRQMLGVKLQ - ->YP_009944296.1 nsp5 [Betacoronavirus England 1] -SGLVKMSHPSGDVEACMVQVTCGSMTLNGLWLDNTVWCPRHVMCPADQLSDPNYDALLISMTNHSFSVQK -HIGAPANLRVVGHAMQGTLLKLTVDVANPSTPAYTFTTVKPGAAFSVLACYNGRPTGTFTVVMRPNYTIK -GSFLCGSCGSVGYTKEGSVINFCYMHQMELANGTHTGSAFDGTMYGAFMDKQVHQVQLTDKYCSVNVVAW -LYAAILNGCAWFVKPNRTSVVSFNEWALANQFTEFVGTQSVDMLAVKTGVAIEQLLYAIQQLYTGFQGKQ -ILGSTMLEDEFTPEDVNMQIMGVVMQ - ->YP_009944370.1 nsp5 [SARS coronavirus Tor2] -SGFRKMAFPSGKVEGCMVQVTCGTTTLNGLWLDDTVYCPRHVICTAEDMLNPNYEDLLIRKSNHSFLVQA -GNVQLRVIGHSMQNCLLRLKVDTSNPKTPKYKFVRIQPGQTFSVLACYNGSPSGVYQCAMRPNHTIKGSF -LNGSCGSVGFNIDYDCVSFCYMHHMELPTGVHAGTDLEGKFYGPFVDRQTAQAAGTDTTITLNVLAWLYA -AVINGDRWFLNRFTTTLNDFNLVAMKYNYEPLTQDHVDILGPLSAQTGIAVLDMCAALKELLQNGMNGRT -ILGSTILEDEFTPFDVVRQCSGVTFQ - ->YP_009944358.1 nsp5 [Pipistrellus bat coronavirus HKU5] -SGLVKMAAPSGVVENCMVQVTCGSMTLNGLWLDNYVWCPRHVMCPADQLSDPNYDALLVSKTNLSFIVQK -NVGAPANLRVVGHTMVGTLLKLTVESANPQTPAYTFTTVKPGASFSVLACYNGRPTGVFMVNMRQNSTIK -GSFLCGSCGSVGYTQEGNVINFCYMHQMELSNGTHTGCAFDGVMYGAFEDRQVHQVQLSDKYCTINIVAW -LYAAILNGCNWFVKPNKTGIATFNEWAMSNQFTEFIGTQSVDMLAHKTGVSVEQLLYAIQTLHKGFQGKT -ILGNSMLEDEFTPDDVNMQVMGVVMQ - ->YP_009944329.1 nsp5 [Tylonycteris bat coronavirus HKU4] -SGLVKMSAPSGAVENCIVQVTCGSMTLNGLWLDNTVWCPRHIMCPADQLTDPNYDALLISKTNHSFIVQK -HIGAQANLRVVAHSMVGVLLKLTVDVANPSTPAYTFSTVKPGASFSVLACYNGKPTGVFTVNLRHNSTIK -GSFLCGSCGSVGYTENGGVINFVYMHQMELSNGTHTGSSFDGVMYGAFEDKQTHQLQLTDKYCTINVVAW -LYAAVLNGCKWFVKPTRVGIVTYNEWALSNQFTEFVGTQSIDMLAHRTGVSVEQMLAAIQSLHAGFQGKT -ILGQSTLEDEFTPDDVNMQVMGVVMQ - ->YP_009944273.1 nsp5 [Human coronavirus HKU1] -SGIVKMVSPTSKIEPCIVSVTYGSMTLNGLWLDDKVYCPRHVICSSSNMNEPDYSALLCRVTLGDFTIMS -GRMSLTVVSYQMQGCQLVLTVSLQNPYTPKYTFGNVKPGETFTVLAAYNGRPQGAFHVTMRSSYTIKGSF -LCGSCGSVGYVLTGDSVKFVYMHQLELSTGCHTGTDFTGNFYGPYRDAQVVQLPVKDYVQTVNVIAWLYA -AILNNCAWFVQNDVCSTEDFNVWAMANGFSQVKADLVLDALASMTGVSIETLLAAIKRLYMGFQGRQILG -SCTFEDELAPSDVYQQLAGVKLQ - ->YP_009944258.1 nsp5 [Rabbit coronavirus HKU14] -SGIVKMVSPTSKVEPCVVSVTYGNMTLNGLWLDDKVYCPRHVICSASDMTNPDYPNLLCRVTSSDFTIMS -DRMSLTVMSYQMQGCMLVLTVTLQNPRTPKYTFGVVKPGETFTVLAAYNGRPQGAFHVTMRSSFTIKGSF -LCGSCGSVGYVLMGDCVKFVYMHQLELSTGCHTGTDFNGDFYGPYKDAQVVQLPVQDYVQSVNFVAWLYA -AILNNCNWFVQSDRCSIEDYNVWAMSNGFSQIKSDLVVDALASMTGVSLENLLAAIKRLHKGFQGRQIMG -SCAFEDELTPSDVYQQLAGVKLQ - ->YP_009915692.1 nsp5 [Murine hepatitis virus] -SGIVKMVSPTSKVEPCIVSVTYGNMTLNGLWLDDKVYCPRHVICSSADMTDPDYPNLLCRVTSSDFCVMS -GRMSLTVMSYQMQGCQLVLTVTLQNPNTPKYSFGVVKPGETFTVLAAYNGRPQGAFHVTLRSSHTIKGSF -LCGSCGSVGYVLTGDSVRFVYMHQLELSTGCHTGTDFSGNFYGPYRDAQVVQLPVQDYTQTVNVVAWLYA -AIFNRCNWFVQSDSCSLEEFNVWAMTNGFSSIKADLVLDALASMTGVTVEQVLAAIKRLHSGFQGKQILG -SCVLEDELTPSDVYQQLAGVKLQ - ->YP_009924372.1 nsp5 [Rat coronavirus Parker] -SGIVKMVSPTSKVEPCVVSVTYGNMTLNGLWLDDKVYCPRHVICSSDDMTDPDYPNLLCRVTSSDFCVMS -DRMSLTVMSYQMQGSLLVLTVTLQNPNTPKYSFGVVKPGETFTVLAAYNGRPQGAFHVVMRSSHTIKGSF -LCGSCGSVGYVLTGDSVRFVYMHQLELSTGCHTGTDLSGNFYGPYRDAQVVQLPVQDYTQTVNVVAWLYA -AILNRCNWFVQSDSCSLEEFNVWAMTNGFSSIKADLVLDALASMTGVTVEQVLAAIKRLYSGFQGKQILG -SCVLEDELTPSDVYQQLSGVKLQ - ->YP_209248.1 nsp5 or 3CLpro [Murine hepatitis virus strain JHM] -SGIVKMVSPTSKVEPCVVSVTYGNMTLNGLWLDDKVYCPRHVICSSADMTDPDYPNLLCRVTSSDFCVMS -DRMSLTVMSYQMQGSLLVLTVTLQNPNTPKYSFGVVKPGETFTVLAAYNGRPQGAFHVVMRSSHTIKGSF -LCGSCGSVGYVLTGDSVRFVYMHQLELSTGCHTGTDFSGNFYGPYRDAQVVQLPVQDYTQTVNVVAWLYA -AILNRCNWFVQSDSCSLEEFNVWAMTNGFSSIKADLVLDALASMTGVTVEQVLAAIKRLHSGFQGKQILG -SCVLEDELTPSDVYQQLAGVKLQ - ->YP_009924323.1 nsp5 [Human coronavirus OC43] -SGIVKMVNPTSKVEPCVVSVTYGNMTLNGLWLDDKVYCPRHVICSASDMTNPDYTNLLCRVTSSDFTVLF -DRLSLTVMSYQMRGCMLVLTVTLQNSRTPKYTFGVVKPGETFTVLAAYNGKPQGAFHVTMRSSYTIKGSF -LCGSCGSVGYVIMGDCVKFVYMHQLELSTGCHTGTDFNGDFYGPYKDAQVVQLLIQDYIQSVNFVAWLYA -AILNNCNWFVQSDKCSVEDFNVWALSNGFSQVKSDLVIDALASMTGVSLETLLAAIKRLKNGFQGRQIMG -SCSFEDELTPSDVYQQLAGIKLQ - ->YP_009742612.1 3C-like proteinase [Severe acute respiratory syndrome coronavirus 2] -SGFRKMAFPSGKVEGCMVQVTCGTTTLNGLWLDDVVYCPRHVICTSEDMLNPNYEDLLIRKSNHNFLVQA -GNVQLRVIGHSMQNCVLKLKVDTANPKTPKYKFVRIQPGQTFSVLACYNGSPSGVYQCAMRPNFTIKGSF -LNGSCGSVGFNIDYDCVSFCYMHHMELPTGVHAGTDLEGNFYGPFVDRQTAQAAGTDTTITVNVLAWLYA -AVINGDRWFLNRFTTTLNDFNLVAMKYNYEPLTQDHVDILGPLSAQTGIAVLDMCASLKELLQNGMNGRT -ILGSALLEDEFTPFDVVRQCSGVTFQ - ->YP_008439216.1 3C-like proteinase nsp5 [Bat coronavirus CDPHE15/USA/2006] -AGLKKMAQPSGVVERCIVRVSYGNMVLNGLWLGDTVYCPRHVLAASTTTHIDYEHALAIMRLHNFSISYG -NTFLGVVGCSTKGALLLIKVGQNNVHTPGSYTFRTLKPGDSFNILACYDGTATGVYGVNLRTNHTIRGSF -INGACGSPGFNIHGSTVEFCYLHQLELGSGCHVGSDMNGAMYGGYEDQPSFQIEGVSNLVSENVVAFLYG -ALLNGCNWWLDQCGVTVEAYNDWAHSNGCTSLSSTDCFTILAAKTGVEVSRVLAAIQRLNVNFGGKAILG -YTSLTDEFTVGEIIKQMFGVNLQ - ->YP_001941178.1 NSP5 [Turkey coronavirus] -AGFKKLVCPSSAVENCIVSVSYRGNNLNGLWLGDAIYCPRHVLGKFSGDQWSDVLNLANNHEFEVVTQNG -VTLNVVSRRLRGAVLILQTAVANADTPNYKFVKANCGDSFTIACSYGGTVVGLYPVTMRPNGTIRASFLA -GACGSVGFNIEKGVVTFVYMHHLELPNALHTGTDLFGDFYGDYVDEEVAQRVPPDNLVTNNIVAWLYAAI -ISVKESSFSLPKWLESTTVSVEDYNKWAGDNGFTPFSTSTAITKLSAITGVDVCKLLRTIMVKSSQWGSD -PILGQYNFEDELTPESVFNQVGGVRLQ - ->NP_839959.1 putative coronavirus nsp2 (3CL-PRO) [Porcine epidemic diarrhea virus] -AGLRKMAQPSGVVEKCIVRVCYGNMALNGLWLGDIVMCPRHVIASSTTSTIDYDYALSVLRLHNFSISSG -NVFLGVVSATMRGALLQIKVNQNNVHTPKYTYRTVRPGESFNILACYDGAAAGVYGVNMRSNYTIRGSFI -NGACGSPGYNINNGTVEFCYLHQLELGSGCHVGSDLDGVMYGGYEDQPTLQVEGASSLFTENVLAFLYAA -LINGSTWWLSSSRIAVDRFNEWAVHNGMTTVGNTDCFSILAAKTGVDVQRLLASIQSLHKNFGGKQILGH -TSLTDEFTTGEVVRQMYGVNLQ - ->NP_740623.1 coronavirus nsp2 (3CL-Pro) [Infectious bronchitis virus] -SGFKKLVSPSSAVEKCIVSVSYRGNNLNGLWLGDTIYCPRHVLGKFSGDQWNDVLNLANNHEFEVTTQHG -VTLNVVSRRLKGAVLILQTAVANAETPKYKFIKANCGDSFTIACAYGGTVVGLYPVTMRSNGTIRASFLA -GACGSVGFNIEKGVVNFFYMHHLELPNALHTGTDLMGEFYGGYVDEEVAQRVPPDNLVTNNIVAWLYAAI -ISVKESSFSLPKWLESTTVSVDDYNKWAGDNGFTPFSTSTAITKLSAITGVDVCKLLRTIMVKNSQWGGD -PILGQYNFEDELTPESVFNQIGGVRLQ - diff --git a/seq/clusters_seq/cluster_275 b/seq/clusters_seq/cluster_275 deleted file mode 100644 index 2bb306d..0000000 --- a/seq/clusters_seq/cluster_275 +++ /dev/null @@ -1,140 +0,0 @@ ->YP_009825027.1 NSP15 [Duck coronavirus] -IDNIAYNMYKRGHYDAVVGEIPTVVTGDKVFVIDKGIEKAVFVNQSTLPTSVAFELFAKRNIRTLPNNRI -LSGLGVDIAYKFVIWDYTNQTPLYRNTVNVCPYTDIEPKGLVVLYDDRNGDYQSFLAADDAVLVSTQCYK -RYPYVEIPSHLLVQNGLPLKDGANLYVYKRSKGMFVTLPETLNTQGRCYETFEPRSDVEREFLSLSEQDF -VAKYGKDLGLEHILYGEVDKPQLGGLHTVIGMYRLLRDNKLSAKSVTDSDCEVMQNYFVLADNGSYKQVC -TVVDLLLDDFLDLLRNILQEYGSGKSKVVTVPIDYHNISFMTWFENGFIKTCYPQLQS - ->YP_009755923.1 nsp15 [Canada goose coronavirus] -IDNLAYNVYMRGHFDDVAGEMITVVTGDRVSVIKDDVEKVVFVNKTTLPTTVAFELYAKRNIRNVPNTKI -LLGLGVDVTHGFSIWDYENSSVVFRNTVKCCPYTDIDSSSLCVLFDDRYKGALQQFLSADNAVLISTQCY -KKYPFISLPFYVQEQNGFTLQSGEALFVYKRVKGKFLNLPQTLYTRSRAVEGFKPLTALEEEFLNSSKEE -FLSKYDKGLGLEHIIYGDISKQQLGGLHAMIGAARMFSKDSLQFKPVSTTGDVLQNYYVLSSNGSYKQVC -TLCDIVLDDYIDLLANIKTQYGDMTSKVVTVSVDFHDVPFMTWFDNGDIKTCYPQLQS - ->NP_835355.1 putative coronavirus nsp12 [Human coronavirus 229E] -GLENIAFNVVNKGSFVGADGELPVAISGDKVFVRDGNTDNLVFVNKTSLPTNIAFELFAKRKVGLTPPLS -ILKNLGVVATYKFVLWDYEAERPLTSFTKSVCGYTDFAEDVCTCYDNSIQGSYERFTLSTNAVLFSATAV -KTGGKSLPAIKLNFGMLNGNAIATVKSEDGNIKNINWFVYVRKDGKPVDHYDGFYTQGRNLQDFLPRSTM -EEDFLNMDIGVFIQKYGLEDFNFEHVVYGDVSKTTLGGLHLLISQVRLSKMGILKAEEFVAASDITLKCC -TVTYLNDPSSKTVCTYMDLLLDDFVSVLKSLDLTVVSKVHEVIIDNKPWRWMLWCKDNAVATFYPQLQ - ->YP_009924396.1 nsp15 [Rousettus bat coronavirus HKU9] -SLENVAYNVLKSGHFTAVAGELPVAILNDRLYIKEDGADKLLFTNNTCLPTNVAFELWAKRSVNVVPEVK -LLRNLGVTCTYNLVIWDYESNAPLVPNTVGICTYTDLTKLDDQVVLVDGRQLDAYSKFCQLKNAIYFSPS -KPKCVCTRGPTHASINGVVVEAPDRGTAFWYAMRKDGAFVQPTDGYFTQSRTVDDFQPRTQLEIDFLDLE -QSCFLDKYDLHDLGLEHIVYGQFDGTIGGLHLLIGAVRRKRTAHLVMETVLGTDTVTSYAVIDQPTASSK -QVCSVVDIILDDFIALIKAQDRSVVSKVVQCCLDFKVFRFMLWCKGGKISTFYPQLQ - ->YP_009944305.1 nsp15 [Betacoronavirus England 1] -GLENIAFNFVKQGHFIGVEGELPVAVVNDKIFTKSGVNDICMFENKTTLPTNIAFELYAKRAVRSHPDFK -LLHNLQADICYKFVLWDYERSNIYGTATIGVCKYTDIDVNSALNICFDIRDNGSLEKFMSTPNAIFISDR -KIKKYPCMVGPDYAYFNGAIIRDSDVVKQPVKFYLYKKVNNEFIDPTECIYTQSRSCSDFLPLSDMEKDF -LSFDSDVFIKKYGLENYAFEHVVYGDFSHTTLGGLHLLIGLYKKQQEGHIIMEEMLKGSSTIHNYFITET -NTAAFKAVCSVIDLKLDDFVMILKSQDLGVVSKVVKVPIDLTMIEFMLWCKDGQVQTFYPRLQ - ->YP_009944352.1 nsp15 [Pipistrellus bat coronavirus HKU5] -GLENIAYNVIKQGHFTGVEGELPVAVVNDKIYTKSDVNDVCIFENKTTLPTNIAFELYAKRAVRSHPDFN -LLRNLEVDVCYKFVLWDYERSNIYGSATIGVCKYTDIDVNSALNICFDIRDNGSLERFMSLPNGILISDR -KVKNYPCIVSSNYAYFNGTLIRDNTGNSQSSDGEVKQPVTFYIYKKVNNEFVQFTDTYYTLGRTVSDFTP -VSEMEKDFLALDSDVFIKKYKLEAYAFEHVVYGDFSRTTLGGLHLLIGLYKKHQEGHIIMEEMLKERATV -HNYFVTESNTASFKAVCSVIDLKLDDFVDIIKAMDLSVVSKVVKIPIDLTMIEFMLWCKDGQVQTFYPRL -Q - ->YP_009944323.1 nsp15 [Tylonycteris bat coronavirus HKU4] -GLENIAFNVIKQGHFTGVDGELPVAVVNDKIFTKNGTDDVCIFKNETALPTNVAFELYAKRAVRSHPDLN -LLRNLEVDVCYNFVLWDYDRNNIYGTTTIGVCKYTDIDVNPNLNMCFDIRDKGSLERFMSMPNGVLISDR -KIKNYPCISGPKHAYFNGAILRNIDAKQPVIFYLYKKVNNEFVSFSDTFYTCGRTVGDFTVLTPMEEDFL -VLDSDVFIKKYGLEDYAFEHVVYGDFSHTTLGGLHLLIGLYKKMREGHILMEEMLKDRATVHNYFITDSN -TASYKAVCSVIDLRLDDFVTIIKEMDLDVVSKVVKVPIDLTMIEFMLWCRDGKVQTFYPRLQ - ->YP_460022.1 nsp15 [Human coronavirus HKU1] -SLENVIYNLVNVGHYDGRTGELPCAIMNDKVVVKINNVDTVIFKNNTSFPTNIAVELFTKRSIRHHPELK -ILRNLNIDICWKHVLWDYVKDSLFCSSTYGVCKYTDLKFIENLNILFDGRDTGALEAFRKARNGVFISTE -KLSRLSMIKGPQRADLNGVIVDKVGELKVEFWFAMRKDGDDVIFSRTDSLCSSHYWSPQGNLGGNCAGNV -IGNDALTRFTIFTQSRVLSSFEPRSDLERDFIDMDDNLFIAKYGLEDYAFDHIVYGSFNHKVIGGLHLLI -GLFRRKKKSNLLIQEFLQYDSSIHSYFITDQECGSSKSVCTVIDLLLDDFVSIVKSLNLSCVSKVVNINV -DFKDFQFMLWCNDNKIMTFYPKMQ - ->NP_828872.1 nsp15 [SARS coronavirus Tor2] -SLENVAYNVVNKGHFDGHAGEAPVSIINNAVYTKVDGIDVEIFENKTTLPVNVAFELWAKRNIKPVPEIK -ILNNLGVDIAANTVIWDYKREAPAHVSTIGVCTMTDIAKKPTESACSSLTVLFDGRVEGQVDLFRNARNG -VLITEGSVKGLTPSKGPAQASVNGVTLIGESVKTQFNYFKKVDGIIQQLPETYFTQSRDLEDFKPRSQME -TDFLELAMDEFIQRYKLEGYAFEHIVYGDFSHGQLGGLHLMIGLAKRSQDSPLKLEDFIPMDSTVKNYFI -TDAQTGSSKCVCSVIDLLLDDFVEIIKSQDLSVISKVVKVTIDYAEISFMLWCKDGHVETFYPKLQ - ->YP_009924422.1 nsp15 [Rabbit coronavirus HKU14] -SLENVVYNLVKTGHYTGQTGEMPCAIINDKVVAKIEQEDVVIFTNNTTYPTNIAVELFAKRSVRHHPELK -LLRNLNIDVCWKHVIWDYVRQSIYCSNTYGVCTYTDLKFIDKLNVLFDGRDNGALEAFKRCENGVYISTT -KIKSLQMIKGPPRAELNGVVVDKVGDTDVVFYFAMRKDGQDVIFSHIDSLGVSPYWSPQGNPGGNGKPGN -VGGNDALAQVTIFTQSRVISSFECRSDMEKDFIALDEEMFIQKYGLEDYAFDHIVYGSFNQKIIGGLHLL -IGLFRRHQKSNLVVQEFVSYDSSIHSYFITDDKSGSSKSVCTVVDILLDDFVALVKSLNLNCVSKVVNVN -VDFKDFQFMLWCNEEKVMTFYPRLQ - ->YP_009915685.1 nsp15 [Murine hepatitis virus] -SLENVVYNLVNAGHFDGRAGELPCAVIGEKVIAKIQNEDVVVFKNNTPFPTNVAVELFAKRSIRPHPELK -LFRNLNIDVCWSHVLWDYAKDSVFCSSTYKVCKYTDLQCIESLNVLFDGRDNGALEAFKKCRNGVYINTT -KIKSLSMIKGPQRADLNGVVVEKVGDSDVEFWFAVRKDGDDVIFSRTGSLEPSHYRSPQGNPGGNRVGDL -SGNEALARGTIFTQSRLLSSFTPRSEMEKDFMDLDDDVFIAKYSLQDYAFEHVVYGSFNQKIIGGLHLLI -GLARRQQKSNLVIQEFVTYDSSIHSYFITDENSGSSKSVCTVIDLLLDDFVDIVKSLNLKCVSKVVNVNV -DFKDFQFMLWCNEEKVMTFYPRLQ - ->YP_009924381.1 nsp15 [Rat coronavirus Parker] -SLENVVYNLVNAGHFDGRAGELPCAIIGEKVIAKIQNEDVVVFKNNTPFPTNVAVELFAKRSIRPHPELK -LFRNLNIDVCWSHVLWDYAKDSVFCSSTYKVCKYTDLQCIESLNVLFDGRDNGALEAFKKCRNGVYINTT -KIKNLSMIKGPQRADLNGVVVEKVGDSDVEFWFAMRSDGDDVIFSRTESLEPSHYRSPQGNPVGNRVGDL -SGNEALARGTIFTQSRFLSSFAPRSEMEKDFMDLDEDVFVTKYSLQDYAFEHVVYGSFNQKIIGGLHLLI -GLARRQRKSNLVIQEFVSYDSSIHSYFITDENSGSSKSVCTVIDLLLDDFVDILKSLNLNCVSKVVNVNV -DFKDFQFMLWCNEEKVMTFYPRLQ - ->YP_209242.1 nsp15; endoribonuclease [Murine hepatitis virus strain JHM] -SLENVVYNLVNAGHFDGRAGELPCAVIGEKVIAKIQNEDVVVFKNNTPFPTNVAVELFAERSIRPHPELK -LFRSSNIHVCWNHVLWDYAKDSVFCSSTYKVCKYTDLQCIESLNVLFDGRDNGALEAFKKCRNGVYINTT -KIKSLSMIKGPQRADLNGVVVEKVGDSDVEFWFAMRRDGDDVIFSRTGSLEPSHYRSPQGNPGGNRVGDL -SGNEALARGTIFTQSRFLSSFSPRSEMEKDFMDLDEDVFIAKYSLQDYAFEHVVYGSFNQKIIGGLHLLI -GLARRPKKSNLVIQEFVPYDSSIHSYFITDENSGSSESVCTVIDLLLDDFVDIVKSLNLKCVSKVVNVNV -DFKDFQFMLWCNEEKVMTFYPRLQ - ->YP_009555256.1 nsp12 [Human coronavirus OC43] -SLENVVYNLVKTGHYTGQAGEMPCAIINDKVVAKIDKEDVVIFINNTTYPTNVAVELFAKRSVRHHPELK -LFRNLNIDVCWKHVIWDYARESIFCSNTYGVCMYTDLKFIDKLNVLFDGRDNGALEAFKRSNNGVYISTT -KVKSLSMIRGPPRAELNGVVVDKVGDTDCVFYFAVRKEGQDVIFSQFDSLGVSSNQSPQGNLGSNGKPGN -VGGNDALSISTIFTQSRVISSFTCRTDMEKDFIALDQDVFIQKYGLEDYAFEHIVYGNFNQKIIGGLHLL -IGLYRRQQTSNLVVQEFVSYDSSIHSYFITDEKSGGSKSVCTVIDILLDDFVALVKSLNLNCVSKVVNVN -VDFKDFQFMLWCNDEKVMTFYPRLQ - ->YP_009725310.1 endoRNAse [Severe acute respiratory syndrome coronavirus 2] -SLENVAFNVVNKGHFDGQQGEVPVSIINNTVYTKVDGVDVELFENKTTLPVNVAFELWAKRNIKPVPEVK -ILNNLGVDIAANTVIWDYKRDAPAHISTIGVCSMTDIAKKPTETICAPLTVFFDGRVDGQVDLFRNARNG -VLITEGSVKGLQPSVGPKQASLNGVTLIGEAVKTQFNYYKKVDGVVQQLPETYFTQSRNLQEFKPRSQME -IDFLELAMDEFIERYKLEGYAFEHIVYGDFSHSQLGGLHLLIGLAKRFKESPFELEDFIPMDSTVKNYFI -TDAQTGSSKCVCSVIDLLLDDFVEIIKSQDLSVVSKVVKVTIDYTEISFMLWCKDGHVETFYPKLQ - ->YP_008439225.1 nsp15 [Bat coronavirus CDPHE15/USA/2006] -GLENIAFNVVKKGSFVGAEGELPVAIVGDKVLVRENDVDNLVFTNKTSLPTNVAFELYAKRKVGLTPPLT -ILRNLGVVRTYKFVLWDYEAERPFTSYTKDVCKYTDFDAGVCTCYDNSVQGSFERFTLDPDAVLISLTAV -KKLTPIKISYGYLNGVAVSQHEKKPLTWYIYTRKGGKFDDYPEGFYTQGRTSADFKPRSTMEEDFLNMDT -GLFISKYGLEDYAFEHVVYGDISKTTLGGLHLLISQVRLSKLGILKIDEFIAAGDSTLKSCTVTFADNPS -SKSVCTYMDLLLDDFVTIMKSLDLSVVSKVHEVMVDCKMWRWMLWCKDHKVQTFYPQLQ - ->YP_001941188.1 NSP15 [Turkey coronavirus] -IDNIAYNMYKGGHYDAIAGEMPTIVTGDKVFVIDQGVERAVFVNQTTLPTSVAFELYAKRNIRTLPNNRI -LKGLGVDVTNGFVIWDYANQTPLYRNTVKVCAYTDIEPNGLIVLYDDRYGDYQSFLAADNAILVSTQCYK -RYSYVEIPSNMLVQNGMPLKDGANLYVYKRVNGAFVTLPSTLNTQGRSYETFEPRSDVERDFLAMSEEDF -VVKYGKGLGLQHILYGEVDKPQLGGLHTVIGMYRLLRANKLNAKSVTNSDSDVMQNYFVLADNGSYKQVC -TVVDLLLDDFLELLRGILNEYGTNKSKVVTVSIDYHSINFMTWFEDGSIKTCYPQLQS - ->NP_839968.1 putative coronavirus nsp12 [Porcine epidemic diarrhea virus] -GLENIAFNVLKKGSFVGDEGELPVAVVNDKVLVRDGTVDTLVFTNKTSLPTNVAFELYAKRKVGLTPPIT -ILRNLGVVCTSKCVIWDYEAERPLTTFTKDVCKYTDFEGDVCTLFDNSIVGSLERFSMTQNAVLMSLTAV -KKLTGIKLTYGYLNGVPVNTHEDKPFTWYIYTRKNGKFEDYPDGYFTQGRTTADFSPRSDMEKDFLSMDM -GLFINKYGLEDYGFEHVVYGDVSKTTLGGLHLLISQVRLACMGVLKIDEFVSSNDSTLKSCTVTYADNPS -SKMVCTYMDLLLDDFVSILKSLDLSVVSKVHEVMVDCKMWRWMLWCKDHKLQTFYPQLQ - ->NP_740632.1 coronavirus nsp12 [Infectious bronchitis virus] -SIDNIAYNMYKGGHYDAIAGEMPTVITGDKVFVIDQGVEKAVFVNQTTLPTSVAFELYAKRNIRTLPNNR -ILKGLGVDVTNGFVIWDYANQTPLYRNTVKVCAYTDIEPNGLVVLYDDRYGDYQSFLAADNAVLVSTQCY -KRYSYVEIPSNLLVQNGMPLKDGANLYVYKRVNGAFVTLPNTINTQGRSYETFEPRSDIERDFLAMSEES -FVERYGKDLGLQHILYGEVDKPQLGGLHTVIGMYRLLRANKLNAKSVTNSDSDVMQNYFVLSDNGSYKQV -CTVVDLLLDDFLELLRNILKEYGTNKSKVVTVSIDYHSINFMTWFEDGSIKTCYPQLQ - diff --git a/seq/clusters_seq/cluster_276 b/seq/clusters_seq/cluster_276 deleted file mode 100644 index 0ee22d0..0000000 --- a/seq/clusters_seq/cluster_276 +++ /dev/null @@ -1,190 +0,0 @@ ->YP_009825026.1 NSP14 [Duck coronavirus] -TGLFKICNKEFSGVSPAYAVTTKALSATYKVNDELAALVNVEAGSKIPYKHLISLLGFKMSVNVEGCHNM -FITRDAAIRNVRGWVGFDVEATHACGPNIGTNLPFQVGFSTGADFVVTPEGLVDTPTGNNFEPVNSKAPP -GEQFNHLRALFRSAKPWTVIRPRIVQMLADNLNNVSDCVVFVTWCHGLELTTLRYFVKIGAEEVCSCGAR -ATTFNSSTLAYACWKHCLGFDFVYNPFIIDIQQWGYSGNLQSNHDLYCDVHGRAHVASADAVMTRCLAIN -NAFCQDVNWDITYPHIANEDEVNSSCRFLQRMYLNACVDALKVKVVYDIGNPKGIKCVRRGDVNFKFFDK -NPIVPNVKQFCYDYDQHKDRFVDGLWYCFGIVNVDCYPDNSLVCRYDTRNLSVFNLPGCNGGSLYVNKHA -FHTPKFDRISFRNLKAMPFFFYDASPCDTVQVDGVAQDLVSLSTKDCITKCNIGGAVCKKHAQMYAEFVT -SYNAAVTAGFTFWVTNQFNPYNLWKLFSSLQS - ->YP_009755922.1 nsp14 [Canada goose coronavirus] -NTGLFKICNKDFAGVHPAHAVTTYALSSNYKVGEGLAALVNANVGDVIPYKHLISLLGFKMNVDVECCHS -MFITRDSAIRNVRGWIGFDVEATHACGTNIGTNLPFQIGFSTGADFVAVPEGLVDTPSGSQFEPVQSKTP -PGEQFNHLRSLFKLAKPWSVLRPRIIQMLADNLSGVSECVVFVTWCHGLELTTMRYFCKIGPEKRCHCGQ -RATCMSSSQDSYSCWKHSLGCDFVYNPYIVDIQQWGYSGNLQSNHDLYCNVHGHAHVASADATMTRCLAI -YNAFCQDVNWEITYPHIVNEDEINSSCRLLQRYFLNACIDALKPSTIYDIGNPKGIKCVRRSDVNFRFFD -KQPIVHNVKQLTYDFNEHSNKFKDGLCLFWNCNVDCYPDNSLVCRFDTRNLSVLNLPGCNGGSLYVNKHA -FHTPRFDRTSFKYLKPMPFFFYDSSPCDTIQIDGVSQDLVSLSTKDCITRCNIGGAVCKKHAQLYAEFVS -AYNVAVTAGFTFWVANGFDPYNLWKQFTSLQS - ->NP_835354.1 putative coronavirus nsp11 [Human coronavirus 229E] -SESSCGLFKDCARNPIDLPPSHATTYLSLSDRFKTSGDLAVQIGNNNVCTYEHVISYMGFRFDVSMPGSH -SLFCTRDFAMRHVRGWLGMDVEGAHVTGDNVGTNVPLQVGFSNGVDFVAQPEGCVLTNTGSVVKPVRARA -PPGEQFTHIVPLLRKGQPWSVLRKRIVQMIADFLAGSSDVLVFVLWAGGLELTTMRYFVKIGAVKHCQCG -TVATCYNSVSNDYCCFKHALGCDYVYNPYVIDIQQWGYVGSLSTNHHAICNVHRNEHVASGDAIMTRCLA -VYDCFVKNVDWSITYPMIANENAINKGGRTVQSHIMRAAIKLYNPKAIHDIGNPKGIRCAVTDAKWYCYD -KNPINSNVKTLEYDYMTHGQMDGLCLFWNCNVDMYPEFSIVCRFDTRTRSTLNLEGVNGGSLYVNNHAFH -TPAYDKRAMAKLKPAPFFYYDDGSCEVVHDQVNYVPLRATNCITKCNIGGAVCSKHANLYRAYVESYNIF -TQAGFNIWVPTTFDCYNLWQTFTEVNLQ - ->YP_009924395.1 nsp14 [Rousettus bat coronavirus HKU9] -SEVTVGLFKDCAKAEPLGPAYAPTFVSVNDKFKLNESLCVHFDTTELQMPYNRLISKMGFKFDLNIPGYS -KLFITREQAIREVRGWVGFDVEGAHACGPNIGTNLPLQIGFSTGVNFVVTPSGYIDTESGSRLANVVSKA -PPGDQFKHLIPLMRKGEPWSVVRKRIVEMLCDTLDGVSDTVTFVTWAHGFELTTLHYFAKVGPERKCFMC -PRRATLFSSVYGAYSCWSHHRHIGGADFVYNPFLVDVQQWGYVGNLQVNHDNVCDVHKGAHVASCDAIMT -RCLAIHDCFCGEVNWDVEYPIIANELAINRACRSVQRVVLKAAVKALHIETIYDIGNPKAIKVYGVNVNN -WNFYDTNPVVEGVKQLHYVYDVHRDQFKDGLAMFWNCNVDCYPHNALVCRFDTRVLSKLNLAGCNGGSLY -VNQHAFHTDAFNKNAFVNLKPLPFFYYSDTACENATGVSTNYVSEVDYVPLKSNVCITRCNLGGAVCKKH -ADEYRNFLESYNTMVSAGFTLWVDKTFDVFNLWSTFVKLQ - ->YP_009944304.1 nsp14 [Betacoronavirus England 1] -SQIVTGLFKDCSRETSGLSPAYAPTYVSVDDKYKTSDELCVNLNLPANVPYSRVISRMGFKLDATVPGYP -KLFITREEAVRQVRSWIGFDVEGAHASRNACGTNVPLQLGFSTGVNFVVQPVGVVDTEWGNMLTGIAARP -PPGEQFKHLVPLMHKGAAWPIVRRRIVQMLSDTLDKLSDYCTFVCWAHGFELTSASYFCKIGKEQKCCMC -NRRAAAYSSPLQSYACWTHSCGYDYVYNPFFVDVQQWGYVGNLATNHDRYCSVHQGAHVASNDAIMTRCL -AIHSCFIERVDWDIEYPYISHEKKLNSCCRIVERNVVRAALLAGSFDKVYDIGNPKGIPIVDDPVVDWHY -FDAQPLTRKVQQLFYTEDMASRFADGLCLFWNCNVPKYPNNAIVCRFDTRVHSEFNLPGCDGGSLYVNKH -AFHTPAYDVSAFRDLKPLPFFYYSTTPCEVHGNGSMIEDIDYVPLKSAVCITACNLGGAVCRKHATEYRE -YMEAYNLVSASGFRLWCYKTFDIYNLWSTFTKVQ - ->YP_009944351.1 nsp14 [Pipistrellus bat coronavirus HKU5] -SQIVTGLYKDCSRESSGLHPAYAPTYVSVDDKYKTSDELCVNLNVPANVPYSRVISRMGFKLDASIPNYP -KLFITRDEAIRQVRSWIGFDVEGAHASRNACGTNVPLQLGFSTGVNFVVQPVGVVDTEWGSMLTSIAARP -PPGEQFKHLVPLMNKGAAWPIVRRRIVQMLSDTLDKLSDYCTFVCWAHGFELTSASYFCKIGKEQRCCMC -NRRASTYSSPLHSYACWSHSSGYDYVYNPFFVDVQQWGYIGNLATNHDRYCSVHQGAHVASNDAVMTRCL -AIHDCFIERVEWDITYPYISHEKRLNSCCRAVERNVVRAALLAGRFERVYDIGNPKGIPIVDDPVVDWHY -YDAQPLSKKVQQLFYTEDCAKNFSDGLCLFWNCNVPRYPNNAIVCRFDTRVHSEFNLPGCDGGSLYVNKH -AFHTPAYDASAFRDLKPLPFFYYSTTPCEVHGNGNMLEDIDYVPLKSAVCITACNLGGAVCRKHAAEYRD -YMEAYNLVSASGFRLWCYKTFDVYNLWSTFTKIQ - ->YP_009944322.1 nsp14 [Tylonycteris bat coronavirus HKU4] -SQIVTGLFKDCSREDTGLPPAYAPTYLSVDAKYKTTDELCVNLNITPNVTYSRVISRMGFKLDATIPGYP -KLFITRDEAIRQVRSWVGFDVEGAHASRNACGTNVPLQLGFSTGVNFVVQPVGVVDTEWGSMLTTISARP -PPGEQFKHLVPLMNKGATWPIVRRRIVQMLSDTLDKLSDYCTFVCWAHGFELTSASYFCKIGKEQRCSMC -SRRASTFSSPLQSYACWSHSSGYDYVYNPFFVDVQQWGYVGNLATNHDRYCGIHAGAHVASSDAIMTRCL -AIYDCFIERVDWDVTYPYISHEQKLNSCCRTVERNVVRSAVLSGKFEKIYDIGNPKGIAIISEPVEWHFY -DAQPLSNKVKKLFYTDDVSKQFEDGLCLFWNCNVSKYPSNAVVCRFDTRVHSEFNLPGCNGGSLYVNKHA -FHTPAYDINAFRDLKPLPFFYYSTTPCEVHGSGNMLEDIDYVPLKSAVCITACNLGGAVCRKHAAEYRDY -MEAYNIVSAAGFRLWVYKTFDIYNLWSTFVKVQ - ->YP_460021.1 nsp14 [Human coronavirus HKU1] -CTTNLFKDCSKSCLGYHPAHAPSFLAVDDKYKVNENLAVNLNICEPVLTYSRLISLMGFKLDLTLDGYSK -LFITKDEAIKRVRGWVGFDVEGAHATRENIGTNFPLQIGFSTGVDFVVEATGLFAERDCYTFKKTVAKAP -PGEKFKHLIPLMSKGQKWDIVRIRIVQMLSDYLLDLSDSVVFITWSASFELTCLRYFAKLGRELNCNVCS -NRATCYNSRTGYYGCWRHSYTCDYVYNPLIVDIQQWGYTGSLTSNHDIICNVHKGAHVASADAIMTRCLA -IYDCFCKSVNWNLEYPIISNEVSINTSCRLLQRVMLKAAMLCNRYNLCYDIGNPKGLACVKDYEFKFYDA -FPVAKSVKQLFYVYDVHKDNFKDGLCMFWNCNVDKYPSNSIVCRFDTRVLNKLNLPGCNGGSLYVNKHAF -HTNPFTRTVFENLKPMPFFYYSDTPCVYVDGLESKQVDYVPLRSATCITRCNLGGAVCSKHAEEYCNYLE -SYNIVTTAGFTFWVYKNFDFYNLWNTFTTLQ - ->NP_828871.1 nsp14 [SARS coronavirus Tor2] -AENVTGLFKDCSKIITGLHPTQAPTHLSVDIKFKTEGLCVDIPGIPKDMTYRRLISMMGFKMNYQVNGYP -NMFITREEAIRHVRAWIGFDVEGCHATRDAVGTNLPLQLGFSTGVNLVAVPTGYVDTENNTEFTRVNAKP -PPGDQFKHLIPLMYKGLPWNVVRIKIVQMLSDTLKGLSDRVVFVLWAHGFELTSMKYFVKIGPERTCCLC -DKRATCFSTSSDTYACWNHSVGFDYVYNPFMIDVQQWGFTGNLQSNHDQHCQVHGNAHVASCDAIMTRCL -AVHECFVKRVDWSVEYPIIGDELRVNSACRKVQHMVVKSALLADKFPVLHDIGNPKAIKCVPQAEVEWKF -YDAQPCSDKAYKIEELFYSYATHHDKFTDGVCLFWNCNVDRYPANAIVCRFDTRVLSNLNLPGCDGGSLY -VNKHAFHTPAFDKSAFTNLKQLPFFYYSDSPCESHGKQVVSDIDYVPLKSATCITRCNLGGAVCRHHANE -YRQYLDAYNMMISAGFSLWIYKQFDTYNLWNTFTRLQ - ->YP_009924421.1 nsp14 [Rabbit coronavirus HKU14] -CTTNLFKDCSKSYIGYHPAHAPSFLAVDEKYKVNGDLAVCLGVGDSSVTYSRLISLMGFKLDLTLEGYCK -LFITKEEAVKRVRAWVGFDAEGAHATRDNIGTNFPLQLGFSTGIDFVVEATGLFAERDGYSFRKAVAKAP -PGEQFKHLIPLMSQGQRWDVVRPRIVQMFSDHLVDLADSVVLVTWAASFELTCLRYFAKIGKETCCNVCT -NRATVYNSRTGYYGCWRHSVSCDYLYNPLIVDIQQWGYVGSLSSNHDMYCSIHKGAHVASSDAIMTRCLA -VYDCFCNNINWNVEYPIISNELSINSSCRTLQRVMLKAAMLCNRYSLCYDIGNPKAIACIKGYDFKFYDA -QPIVKSVKTLFYSYEAHKDSFKDGLCMFWNCNVDKYPSNAVVCRFDTRVLNNLNLPGCNGGSLYVNKHAF -HTNPFSRAAFEYLKPMPFFYYSDTPCVYMDGMDNKQVDYVPLKAATCITKCNLGGAVCLKHAEEYREYLE -CYNTATTAGFTFWVYKTFDFYNLWNTFTKLQ - ->YP_009915687.1 nsp14 [Murine hepatitis virus] -CTTNLFKDCSRSYVGYHPAHAPSFLAVDDKYKVGGDLAVCLNVADSAVTYSRLISLMGFKLDLTLDGYCK -LFITRDEAIKRVRAWVGFDAEGAHAIRDSIGTNFPLQLGFSTGIDFVVEATGMFAERDGYVFKKAAARAP -PGEQFKHLIPLMSRGQKWDVVRIRIVQMLSDHLADLADSVVLVTWAASFELTCLRYFAKVGREVVCSVCT -KRATCFNSRTGYYGCWRHSYSCDYLYNPLIVDIQQWGYTGSLTSNHDPICSVHKGAHVASSDAIMTRCLA -VHDCFCKSVNWNLEYPIISNEVSVNTSCRLLQRVMFRAAMLCNRYDVCYDIGNPKGLACVKGYDFKFYDA -SPVVKSVKQFVYKYEAHKDQFLDGLCMFWNCNVDKYPANAVVCRFDTRVLNKLNLPGCNGGSLYVNKHAF -HTSPFTRAAFENLKPMPFFYYSDTPCVYMEGMESKQVDYVPLRSATCITRCNLGGAVCLKHAEEYREYLE -SYNTATTAGFTFWVYKTFDFYNLWNTFTRLQ - ->YP_009924380.1 nsp14 [Rat coronavirus Parker] -CTTNLFKDCSKSYDGYHPAHAPSFLAVDDKYKVGGDLAVCLNVADSSVTYSRLISLMGFKLDLTLDGYCK -LFITRDEAIKRVRAWVGFDAEGAHATRDSIGTNFPLQLGFSTGIDFVVEATGMFAEREGYVFKKAAARAP -PGEQFKHLVPLMSRGQKWDVVRIRIVQMLSDHLVDLADSVVLVTWAASFELTCLRYFAKVGKEVVCSVCN -KRATCFNSRTGYYGCWRHSYSCDYLYNPLIVDIQQWGYTGSLTSNHDPICSVHKGAHVASSDAIMTRCLA -VHDCFCKSVNWNLEYPIILNEVSVNTSCRLLQRVMFRAAMLCNRYDVCYDIGNPKGLACVKGYDFKFYDA -SPVVKSVKQFVYKYEAHKDQFLDGLCMFWNCNVDKYPANAVVCRFDTRVLNKLNLPGCNGGSLYVNKHAF -HTSPFTRAAFENLKPMPFFYYSDTPCVYMEGMESKQVDYVPLRSATCITRCNLGGAVCLKHAEEYREYLE -SYNTATTAGFTFWVYKTFDFYNLWNTFTRLQ - ->YP_209241.1 nsp14; exoribonuclease [Murine hepatitis virus strain JHM] -CTTNLFKDCSKSYVGIPPCAFLLAVDDKYKVSGNLAVCLNVADSAVTYSRLISLMGFKLDLTLDGYCKLF -ITRDEAIKRVRAWVGFDAEGAHATRDSIGTNFPLQLGFSTGIDFVVEATGMFAERDGYVFKKAAARAPPG -EQFKHLVPLMSRGQKWDVVRIRIVQMLSDHLVDLADSVVLVTWAASFELTCLRYFAKVGKEVVCSVCNKR -ATCFNSRTGYYGCWRHSYSCDYLYNPLIVDIQQWGYTGSLTSNHDPICSVHKGAHVASSDAIMTRCLAVH -DCFCKSVNWNLEYPIISNEVSVNTSCRLLQRVMFRAAMLCNRYDVCYDIGNPKGLACVKGYDFKFYDASP -VVKSVKQFVYKYEAHKDQFLDGLCMFWNCNVDKYPANAVVCRFDTRVLSKLNLPGCNGGSLYVNKHAFHT -NPFTRAAFENLKPMPFFYYSDTPCVYMEGMESKQVDYVPLRSATCITRCNLGGAVCLKHAEEYREYLESY -NTATTAGFTFWVYKTFDFYNLWNTFTRLQ - ->YP_009555255.1 nsp11 [Human coronavirus OC43] -CSTNLFKDCSKSYSGYHPAHAPSFLAVDDKYKATGDLAVCLGIGDSAVTYSRLISLMGFKLDVTLDGYCK -LFITKEEAVKRVRAWVGFDAEGAHATRDSIGTNFPLQLGFSTGIDFVVEATGLFADRDGYSFKKAVAKAP -PGEQFKHLIPLMTRGHRWDVVRPRIVQMFADHLIDLSDCVVLVTWAANFELTCLRYFAKVGREISCNVCT -KRATVYNSRTGYYGCWRHSVTCDYLYNPLIVDIQQWGYIGSLSSNHDLYCSVHKGAHVASSDAIMTRCLA -VYDCFCNNINWNVEYPIISNELSINTSCRVLQRVILKAAMLCNRYTLCYDIGNPKAIACVKDFDFKFYDA -QPIVKSVKTLLYSFEAHKDSFKDGLCMFWNCNVDKYPPNAVVCRFDTRVLNNLNLPGCNGGSLYVNKHAF -HTKPFARAAFEHLKPMPFFYYSDTPCVYMDGMDAKQVDYVPLKSATCITRCNLGGAVCLKHAEEYREYLE -SYNTATTAGFTFWVYKTFDFYNLWNTFTKLQ - ->YP_009725309.1 3'-to-5' exonuclease [Severe acute respiratory syndrome coronavirus 2] -AENVTGLFKDCSKVITGLHPTQAPTHLSVDTKFKTEGLCVDIPGIPKDMTYRRLISMMGFKMNYQVNGYP -NMFITREEAIRHVRAWIGFDVEGCHATREAVGTNLPLQLGFSTGVNLVAVPTGYVDTPNNTDFSRVSAKP -PPGDQFKHLIPLMYKGLPWNVVRIKIVQMLSDTLKNLSDRVVFVLWAHGFELTSMKYFVKIGPERTCCLC -DRRATCFSTASDTYACWHHSIGFDYVYNPFMIDVQQWGFTGNLQSNHDLYCQVHGNAHVASCDAIMTRCL -AVHECFVKRVDWTIEYPIIGDELKINAACRKVQHMVVKAALLADKFPVLHDIGNPKAIKCVPQADVEWKF -YDAQPCSDKAYKIEELFYSYATHSDKFTDGVCLFWNCNVDRYPANSIVCRFDTRVLSNLNLPGCDGGSLY -VNKHAFHTPAFDKSAFVNLKQLPFFYYSDSPCESHGKQVVSDIDYVPLKSATCITRCNLGGAVCRHHANE -YRLYLDAYNMMISAGFSLWVYKQFDTYNLWNTFTRLQ - ->YP_008439224.1 nsp14 [Bat coronavirus CDPHE15/USA/2006] -AQTDGCGLFKDCSRRDDMLPPSHASTYMALSDNFKTDKELAVQIGVNGPIKYEHVVSFMGFRFDVNIPNN -HTLFCTRDFAIRHVRGWLGFDVEGAHVVGENVGTNVPLQLGFSNGIDFVVRPEGCVVTDTGSAIVPVKAR -APPGEQFAHLVPLLRKGQPWSVVRKRIVQMCCDHFINLSDIVVFVLWSGGLELTTMRYFVKVGPIKHCEC -GKLATCYNSVSHSFYCFTHAFGCDYLYNPYCIDIQQWGYTGSLSSNHHQHCNLHRNEHVASGDAIMTRCL -AIYDCFVKNVDWSVTYPFIANENLINKSGRMVQSHVMRAALALYKPKAIHDIGNPKGIRCAVTDVSWYCY -DKQPLNNNVKTLEYDYITHGQFDGLCLFWNCNVDMYPEFSIVCRFDTRQRSLLNLEGCNGGSLYVNNHAF -HTPAFDKRAFAKLKAMPFFYYDTSDCDKLHDSINYVPLKASNCITRCNVGGAVCSRHADQYHSYVAAYNN -FTQAGFTIWVPQNFDLYNLWQTLAKPNLQ - ->YP_001941187.1 NSP14 [Turkey coronavirus] -TGLFKICNKEFSGVHPAYAVTTKALAATYKVNDELAALVNVEAGSEITYKHLISLLGFKMSVNVEGCHNM -FITRDEAIRNVRGWVGFDVEATHACGTNIGTNLPFQVGFSTGADFVVTPEGLVDTSIGNNFEPVNSKAPP -GEQSLESVISAKPWHVIRPRIVQMLADNLCNVSDCVVFVTWCHGLELTTLRYFVKIGKEQVCSCGSRATT -FNSHTQAYACWKHCLGFDFIYNPLLVDVQQWGYSGNLQFNHDLHCNVHGHAHVASADAIMTRCLAINNAF -CQDVNWDLTYPHIANEDEVNSSCRYLQRMYLNACVDALKINVVYDIGNPKGIKCVRRGDLSFRFYDKNPI -VPNVMQFEYDYNQHKDKFADGLCMFWNCNVDCYPDNSLVCRYDTRNLSVFNLPGCNGGSLYVNKHAFHTP -KFDRISFRNLKAMPFFFYDSSPCETIQVDGVAQDLVSLATKDCITKCNIGGAVCKKHAQMYAEFVTSYNA -AVTAGFTFWVTNNFNPYNLWKSFSALQS - ->NP_839967.1 putative coronavirus nsp11 [Porcine epidemic diarrhea virus] -ANEGCGLFKDCSRGDDLLPPSHANTFMSLADNFKTDQYLAVQIGVNGPIKYEHVISFMGFRFDINIPNHH -TLFCTRDFAMRNVRGWLGFDVEGAHVVGSNVGTNVPLQLGFSNGVDFVVRPEGCVVTESGDYIKPVRARA -PPGEQFAHLLPLLKRGQPWDVVRKRIVQMCSDYLANLSDILIFVLWAGGLELTTMRYFVKIGPSKSCDCG -KVATCYNSALHTYCCFKHALGCDYLYNPYCIDIQQWGYKGSLSLNHHEHCNVHRNEHVASGDAIMTRCLA -IHDCFVKNVDWSITYPFIGNEAVINKSGRIVQSHTMRSVLKLYNPKAIYDIGNPKGIRCAVTDAKWFCFD -KNPTNSNVKTLEYDYITHGQFDGLCLFWNCNVDMYPEFSVVCRFDTRCRSPLNLEGCNGGSLYVNNHAFH -TPAFDKRAFAKLKPMPFFFYDDTECDKLQDSINYVPLRASNCITKCNVGGAVCSKHCAMYHSYVNAYNTF -TSAGFTIWVPTSFDTYNLWQTFSNNLQ - ->NP_740631.1 coronavirus nsp11 [Infectious bronchitis virus] -GTGLFKICNKEFSGVHPAYAVTTKALAATYKVNDELAALVNVEAGSEITYKHLISLLGFKMSVNVEGCHN -MFITRDEAIRNVRGWVGFDVEATHACGTNIGTNLPFQVGFSTGADFVVTPEGLVDTSIGNNFEPVNSKAP -PGEQFNHLRVLFKSAKPWHVIRPRIVQMLADNLCNVSDCVVFVTWCHGLELTTLRYFVKIGKEQVCSCGS -RATTFNSHTQAYACWKHCLGFDFVYNPLLVDIQQWGYSGNLQFNHDLHCNVHGHAHVASVDAIMTRCLAI -NNAFCQDVNWDLTYPHIANEDEVNSSCRYLQRMYLNACVDALKVNVVYDIGNPKGIKCVRRGDVNFRFYD -KNPIVRNVKQFEYDYNQHKDKFADGLCMFWNCNVDCYPDNSLVCRYDTRNLSVFNLPGCNGGSLYVNKHA -FYTPKFDRISFRNLKAMPFFFYDSSPCETIQVDGVAQDLVSLATKDCITKCNIGGAVCKKHAQMYAEFVT -SYNAAVTAGFTFWVTNKLNPYNLWKSFSALQ - diff --git a/seq/clusters_seq/cluster_277 b/seq/clusters_seq/cluster_277 deleted file mode 100644 index 7937d84..0000000 --- a/seq/clusters_seq/cluster_277 +++ /dev/null @@ -1,152 +0,0 @@ ->YP_009825016.1 nucleocapsid protein [Duck coronavirus] -MASGKATGKSDSPAPIIKLGGPKPPKVGSSGNASWFQSLKAKKLNSPPPTFEGSGVPDNENLKSSQQHGY -WRRQARYKPGKGGRKPVPDAWYFYYTGTGPFADLKWGQPHDDIVWVAVDGADVDVKSGQGTRDPDKFDQF -PLRFAEGGPDDNFRWDFIPVGGRGRSGRSSVATSRESSRPGSREGSRGRRQMGPNEDLIARAARIIEEQQ -KRGGRITKAKANEMAERRYCKRTLAPGKTVDQVFGTRQKGRERNFGDDRMVEEGIRDGRTTAMLNLVPSS -HAMLFGSNVQAKLQPDGLHVTFSFTTVVPRDDPQFDNYRQICDECVDGVGTRPKDEPKPRSRSSSRPASR -AASPAPKQQRPKKEKKPKSQDKDKTDGAMSQEERQNDQLEFDDETKVIPDKIDWGSSALGDSEI - ->YP_009755908.1 nucleocapsid protein [Canada goose coronavirus] -MASRGNSRTRQNQGGKSDAPAPVLKLSGGPPKVGGSGSASWFQALKSRKPDGPEPHFSGSGVPVNDNVDL -KASHGYWRRQRRFKPGKGGRKPVGDAWYFYYTGTGPLADLQYADPHDDVVWVKAKGADTSTRSKQGTRDP -DKFEQFPLRFADGGPDNGFRWDFIPTSRGRSGRNSVASSREQSRNGSRAATPERRGRTSSVNEDLIQKAA -DIILKNQGKSGRITKAKANEMAERRYCKRVLAPGKDVDSVFGARTKNKPRNFGDDKMVEEGIRDGRTTAM -LNIVPSSHALLFGGEVQSKLNPEGLAVTFTFTTTVDRNDPQFDNYVKICSECVNGVGTRPSGDTKPKSKP -SSRAQSPAPKPQRKKQQKKKQQDEDVVPKDEQEVNDRLEFDDENPEDFADRVDWGNSALGSSEI - ->YP_009825005.1 nucleocapsid protein [Infectious bronchitis virus] -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGNVSWFQAIKAKKLNTPPPKFEGSGVPDNENIKPSQQHGY -WKRQARFKPGKGGRKPVPDAWYFYYTGTGPAADLNWGDTQDGIVWVAAKGADTKFRSNQGTSDSDKFDQY -PLRFSDGGPDGNFRWDFFPLNRGRSGRSTAASSAASSRAPSREVSRGRRSGSEDDLIARAARIIQDQQKK -GSRITKAKADEMAHRRYCKRTIPPNYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPRLQPDGLHFKFEFTTVVPRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRSSSRPATRG -NSPAPRQQRPKKEKKPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->YP_001941174.1 nucleocapsid protein [Turkey coronavirus] -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGNASWFQSIKAKKLNTPQPKFEGSGVPDNENIKTSQQHGY -WRRQARFKPGKGGRKPVPDAWYFYYTGTGPAADLNWGDTQDGIVWVAAKGADVKSRSNQGTRDPDKFDQY -PLRFSDGGPDSNFRWDFIPLHRGRSGRSTAASSAASSRAPSRDGSRGRRSGSEDDLIARAAKIIQDQQKK -GSRITKAKADEMAHRRYCKRTVPPGYKVDQVFGPRTKGREGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSKVTPKLQPDGLHLRFEFTTVVPRDDPQFANYVQICDQCVDGIGTRPKDNEPRPKSRPSSRPATRG -NSPAPRQQRPKKEKKPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->YP_001876448.1 nucleocapsid protein [Beluga whale coronavirus SW1] -MASTSGKGKNPADKSVKFQAPKVGRQAPALPTSGNVSWFQAIKGRKAGEEGITFKGPGVPVNENVSKNQN -HGYWIQRSRTTPGGKKLAPLYYFYYTGTGPRDQAKYGQEIPYVTWVKGDGAKTSQLSEAGSRDVNKFPNA -FPLIFPTGDGPERGFFIERSRSRSRSNSQADNRSKSRERSQSSDKPRKEDRGRSKSPAQKNPGSGGKITK -EKAALMVSRAYSKRTLPPNKPVDQVFGSRDTEKNFGDAEMIKKGMSDPRFTAALIPTPGPQAALFGSHVT -LKEKPDGLEITYKYRTLFPKTDERYEEVKHAYLSNVPLQDGGQPLHSSGVQKKLKSRSKSRDRTDDGVEN -PTTVNLDWSSEPVEGFLDDGTGDLEWSEA - ->NP_040838.1 nucleocapsid protein [Infectious bronchitis virus] -MASGKAAGKTDAPAPVIKLGGPKPPKVGSSGNASWFQAIKAKKLNTPPPKFEGSGVPDNENIKPSQQHGY -WRRQARFKPGKGGRKPVPDAWYFYYTGTGPAADLNWGDTQDGIVWVAAKGADTKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAASSAAASRAPSREGSRGRRSDSGDDLIARAAKIIQDQQKK -GSRITKAKADEMAHRRYCKRTIPPNYRVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQLDGLHLRFEFTTVVPCDDPQFDNYVKICDQCVDGVGTRPKDDEPKPKSRSSSRPATRG -NSPAPRQQRPKKEKKLKKQDDEADKALTSDEERNNAQLEFYDEPKVINWGDAALGENEL - ->sp|Q82616.1|NCAP_IBVM RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGNASWFQAIKANKLNIPPPKFEGSGVPDNENLKSSQQHGY -WRRQATFKPGKGGRKPVPDAWYFYYTGTGPAANLNWGDSQDGIVWVAGKGADTKFRSNQGTRDSDKFDQY -PLRFSDGGPDGNFRWDFIPLNGGRSGRSTAASSAASSRAPSREVSRGRRSGSEDDLIARAARIIQDQQKK -GSRITKAKADEMAHRRYCKRTIPPNYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLKFEFTTVVPRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRSSSRPATRG -NSPAPRQQRPKKEKKPKKHDDEVDKALTSDEERNNAQLEFYDEPKVINWGDAALGENEL - ->sp|Q9PZ51.1|NCAP_CVTIN RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGSVSWFQAIKAKKLNSPQPKFEGSGVPDNENLKTSQQHGY -WRRQARFKSSRGGRKPVPDAWYFYYTGTGPAADLQWGDSQAGIVWVAAKGADVKSKSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAVSSAASSRAPSREGSRGRRSGAEDDLIARAAKIIQDQQKK -GSRITKAKAEEMAHRRYCKRTVPPGYKVEQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFASKLTPKLQPDGLHLKFEFTTVVPRDDPQFDNYVSICDQCVDGVGTRPKDDEPRPKSRASSRPATRG -NSPAPRQQRLKKEKKPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->sp|Q9PZ50.1|NCAP_CVTMI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGNASWFQAIKAKKLNSPPPKFEGSGVPDNENLKPGQQHGY -WRRQARFKPSKGGRKPVPDAWYFYYTGTGPAADLNWGDSQDGIVWVAAKGADVKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAASSAASTRAPSREGSRGRRSGSEDDLIARAAKIIQDQQRK -GSRITKAKADEMAHRRYCKRTIPPGYKVDQVFGPRTKGKEGNFGDDKMNEEGITDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLKFEFTTVVPRDDPQFDNYVKICDQCIDGVGTRPKDDEPKPKSRSSSRPATRT -SSPAPRQQRSKKEKKPKKQDDEVDKALTSAEERTNAQLEFDDEPKVINWGDSALGENEL - ->sp|Q9PZ49.1|NCAP_CVTNC RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPIIKLGGPKPPKVGSSGNASWFQSIKAKKLNSPQPKFEGSGVPDNENIKTSQQHGY -WRRQARFKPGKGGRKPVPDAWYFYYTGTGPAADLNWGDTQDGIVWVAAKGADVKSRSNQGTRDPDKFDQY -PLRFSDGGPDSNFRWDFIPLHRGRSGRSTAASSAASSRAPSRDGSRGRRSGSEDDLIARAAKIIQDQQKK -GSRITKAKADEMAHRRYCKRTVPPGYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLRFEFTTVVPRDDPQFDNYVTICDQCVDGIGTRPKDNEPRPKSRPSSRPATRG -NSPAPRQQRPKKEKKPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENHL - ->sp|Q9J4B0.1|NCAP_IBVDE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGNASWFQAIKAKKLNSPQPKFEGSGVPDNENLKTSQQHGY -WRRQLRFKPSKGGRKPVPDAWYFYYTGTGPAADLNWGDSQDGIVWVAAKGADVKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAASSAASSRAPSRDGSRGRRSGSEGDLIARAAKIIQDQQKR -GSRITKAKADEMAHRRYCKRTIPPGYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLKIEFTTVVSRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRSSSRPATRT -SSPALRQQPQKKEKKPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->sp|Q9J4A3.1|NCAP_IBVD1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKTTGKTDAPAPVIKLGGPKPPKVGSSGNASWFQALKAKKLNSPPPKFEGSGVPDNENLKLSQQHGY -WRRQARYKPGKGGRKSVPDAWYFYYTGTGPAADLNWGYSQDGIVWVSAKGADTKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPINRGRSGRSTAASSAASSRAPSRDGSRGRRSGAEDDLIARAAKIIQDQQKK -GSRITKAKADEMAHRRYCKRTIPPGYKVDQVFGPRTKCKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLRFEFTTVVSRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRPNSRPATRT -SSPAPRQQPQKKEKKSKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGESALGENEL - ->sp|Q98Y32.1|NCAP_IBVH5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKAAGKTDAPTPVIKLGGPKPPKVGSSGNVSWFQAIKAKKLNSPPPKFEGSGVPDNENLKPSQQHGY -WRRQARFKPGKGGRKPVPDAWYFYYTGTGPAANLNWGDSQDGIVWVAGKGADTKFRSNQGTRDSDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAASSAASSRAPSREVSRGRRSGSEDDLIARAARIIQDQQKK -GSRITKAKADEMAHRRYCKRTIPPNYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPRLQPDGLHLKFEFTTVVPRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRSSSRPATRG -NSPAPRQQRPKKEKKPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->sp|Q98WJ7.1|NCAP_IBVH1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKTTGKTDAPAPVIKLGGPKPPKVGSSGNASWFQALKAKKLNSPPPKFEGSGVPDNENLKLSQQHGY -WRRQARYKPGKGGKKSVPDAWYFYYTGTGPAADLNWGDSQDGIVWVSAKGADTKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPINRGRSGRSTAASSAASSRAPSRDGSRGRRSGAEDDLIARAAKIIQDQQKK -GSRITKAKADEMAHRRYCKRTIPPGYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVIAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLRFEFTTVVSRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRPNSRPATRT -SSPAPRQQRQKKEKKSKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->sp|Q96605.1|NCAP_IBVV1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSAGKLKFDSPAPILKLSKNTGSTPPKVGGTGQASWFQSLKEKKRTGTPPTFEGSGVPDNSNVKPQFQHG -YWKRQHRYKPGKGGRKPVADAWYFYYTGTGPFGDLKWGDSNDDVVWVKAKGADTSKIGNYGVRDPDKFDQ -APLRFTEGGPDNNYRWDFIALNRGRSRNSSAVTSRENSRPGSRDSSRGRQRSRVDDDLIDRAAKIIMQQQ -KNGSRISKQKANEMAERKYHKRAIAPGKRIDEVFGQRRKGQAPNFGDDKMIEEGVKDGRLTAMLNLVPTP -HACLLGSMVTAKLQPDGLHVRFSFETVVKREDPQFANYSKICDECVDGVGTRPKDDPTPRSRAASKDRNS -APATPKQQRAKKVHKKKEEESSLTEEEEEVNKQLEYDDDVTDIPNKIDWGEGAFDDINI - ->sp|Q96598.1|NCAP_IBVVI RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKAAGKSDAPTPIIKLGGPKPPKIGSSGNASWFQAIKAKKLNVPQPKFEGSGVPDNNNIKPSQQHGY -WRRQARYKPGKSGRKPVPDAWYFYYTGTGPAADLNWGENQDGIVWVAAKGADTKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAASSAASSRAPSREGSRGRRSGAEDDLIARAAKIIQDQQKR -GSRITKAKADEMAHRRYCKRTIPPGYRVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTATLNLIPSSHA -CLFGSRVTPKLQPDGLHLKFEFTTVVPRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRSSSRPATRG -NSPAPRQQRPKKEKKPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->sp|Q8JMI6.1|NCAP_IBVSA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPVIKLGGPRPPKVGSSGNASWFQAIKAKKLNSPQPKFEGSGVPDNENLKTSQQHGY -WRRQARFKPGKGGRKPVPDAWYFYYTGTGPAADLNWGDSQDGIVWVAAKGADVKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAASSAASSRPPSREGSRGRRSGSEDDLIARAAKIIQDQQKK -GSRITKAKADEMAHRRYCKRTIPPGYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLKFEFTTVVPRDDPQFDNYVKICDQCVDGVGTRPKDDEPKPKSRSSSRPATRT -SSPAPRQQRLKKEKRPKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - ->sp|Q64960.1|NCAP_IBVAR RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGNASWFQAIKAKKLNSHPPKFEGSGVPDNENLKTSQQHGY -WRRQARFKPVKGGRKPVPDAWYFYYTGTGPAADLNWGDSQDGIVWVAAKGADVKSRSHQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGRSTAASSAASSRAPSRDGSRGRRSGSEDDLIARAAKIIQDQQKK -GSRITKVKADEMAHRRYCKRTIPPGYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLKFEFTTVVPRDDPQFDNYVKICDQCVDGVGTRPKDDEPRPKSRSSSRPATRT -SSPAPRQQRPKKEKKPKKQDDEVDKALTSNEERNNAQLEFDEEPKVINWGDSALGENEL - ->sp|P12648.1|NCAP_IBVK RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MASGKATGKTDAPAPVIKLGGPKPPKVGSSGNASWFQAIKAKKLNSPPLKFEGSGVPDNENLKTSQQHGY -WRRQARFKPSKGGRKPVPDAWYFYYTGTGPAADLNWGDSQDGIVWVAAKGADTKSRSNQGTRDPDKFDQY -PLRFSDGGPDGNFRWDFIPLNRGRSGKSTAASSAASSRAPSREGSRGRRSGAEDDLIARAAKIIQDQQKK -GARITKAKADEMAHRRYCKRTIPPGYKVDQVFGPRTKGKEGNFGDDKMNEEGIKDGRVTAMLNLVPSSHA -CLFGSRVTPKLQPDGLHLKFEFTTVVSRNDPQFDNYVKICDQCVDGVGTRPKDDEPKPKSRSSSRPATRT -SSPAPRQPRPKKEKKTKKQDDEVDKALTSDEERNNAQLEFDDEPKVINWGDSALGENEL - diff --git a/seq/clusters_seq/cluster_278 b/seq/clusters_seq/cluster_278 deleted file mode 100644 index c8ec9e8..0000000 --- a/seq/clusters_seq/cluster_278 +++ /dev/null @@ -1,57 +0,0 @@ ->YP_009664754.1 triple gene block protein 3 [Banana virus X] -MHQVVWVIAGSLLVLATLHIVNNIINIRPEGCSVIITGESVKFFNCVFDEKFIEFAKSVKAINHRLS - ->YP_009508320.1 triple gene block protein 3 [Atractylodes mottle virus] -MRDFQVLMLVLALLVLGFVYVNINPYSPCVVVITGESVRIVSCEFTPEFVALARDLRPAGSC - ->YP_009288959.1 triple gene block protein 3 [Ligustrum virus A] -MIEVCLVIACVLLIVASVYLNSVNETCSITITGESVRIIGCVQSPEFLDLIGKLKPAGSC - ->YP_009174684.1 triple gene block 3 [Nerine latent virus] -MFPKLSASFYLTTILTSVLTFLLFSASQPNENKCTVIITGESIRILGCTFSEGFLEYAKGLDVLRV - ->YP_009158849.1 triple gene block protein 3 [Pea streak virus] -MQLSQIIAGLISCCVVLLSLHVIEFYLKNTQNSCTIILTGESVKILGCDFSSEFIEYAKTLKVQAI - ->YP_009126734.1 TGB3 [Alfalfa latent virus] -MQLFQVILSVFSCCAVLLCLYTIDSFLNNSVCQCTVVLTGESVKIVGCEFTSEFIEYAKTLKVQAI - ->YP_009022067.1 triple gene block 3 protein [Gaillardia latent virus] -MLNRIQCLILVTSLVVSLCIVYFRDRNNTCVVVITGESVKIVGCEFTDNFVEFAKVVKPAGVRF - ->YP_006860592.1 triple gene block protein 3 [Apple green crinkle associated virus] -MFLKSGLGLAIAAASAAYLVLLLAQQLLMNSQKQCTIVITGESVSIVGCVYSDAFIELVKGLKPYYHPLG - ->YP_006522437.1 7K protein [Potato virus H] -MLELPRLALYFAIIVVTFLGCELALKATKNRQGCTVVITGESVKIIGCEFTESFIEYAKNLKPANHW - ->YP_004089622.1 triple gene block 3 [Apricot latent virus] -MYPRGGLAQGIVAAVVVYLVLLLAQQLIMSRANSCTIVITGESVSIVGCTYSDAFIELVKGLKPYYHPLG - ->YP_002647024.1 triple gene block 3 [Red clover vein mosaic virus] -MTLNRLSYLALGVLSCVITFTILSFSSNRDSQCVVHFTGRSVTISGCEFTQNFMEYAKHLDVLRV - ->YP_001552320.1 triple gene block 3 protein [Phlox virus B] -MFSTSQLIVILIGLLFVLAYGLIGTSNNCVVLITGESVRIINCKFTGEFVEYAKTLKPAGSC - ->YP_001430024.1 triple gene block protein 3 [Coleus vein necrosis virus] -MLTDPQLIKLAILAAAVTFAALLLSNGWGSTCNCVVHISGESVRITGCEFTKDFIGYAKTLRVANNKE - ->YP_001165304.1 triple gene block 3 protein [Phlox virus S] -MLSRIQCAILCASVLCSILLLLLNRSNSTCVVVISGESVKIVGCEFTDNFLEFARGVKPYCGCF - ->YP_842441.1 triple gene block protein 3 [Narcissus symptomless virus] -MLAMFPKLPAPFYLIVSLTSVLTYYLFFTLIPQENKCTVIITGESIKILGCVFNEQFIDYAKSLDVLRV - ->YP_595730.1 triple gene block 3 [Daphne virus S] -MSLNISLSLLHVGLIVFTVLCILGSLYLSPSGSQCVIIITGESIKILNCEMTPAFLEYAKGLHVERI - ->NP_619562.1 TGB3 protein [Garlic latent virus] -MQLQPLIALLIGLSVTLIICLTFDSIRTERCTVIITGESVKFLGCEFTRDFIDFAIQAKPFGSL - ->NP_604467.1 ORF4 [Apple stem pitting virus] -MFPRSGLGLAVAAAVVAYLVLLLAQQLYMSNSSQCTIVITGESVSVVGCVYSEAFIELVKGLKPYYHPLG - ->sp|P28898.1|TGB3_CLV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MQASGLILVALFSAVVSYLALLHLSSSSSSCVVVVTGESFRISGCDFTEEFIGFAKTLRVANSQP - diff --git a/seq/clusters_seq/cluster_279 b/seq/clusters_seq/cluster_279 deleted file mode 100644 index 8d9e55b..0000000 --- a/seq/clusters_seq/cluster_279 +++ /dev/null @@ -1,813 +0,0 @@ ->YP_009345025.1 hypothetical protein [Wuhan spider virus 3] -MAGSKVIRSSVQAPVPSCPYLVTLEPYSGDVRRYDVLLHNRYPVFPDRIPARMSKWRIYVTDKAHRRHIY -KLLAQLPEGRQRRFQKKYVRRCALKAGVRDQSFDDLAAIFYTDCRNMVRRELSLHAQECAERHAARPAAS -VIKIRPRTGKHGLKKVVQKVFPTSEWTLTERDVGFKPYSPLFAMTEEQRRCRVERGLFNVRKRNIHLRFR -GKELRDKLRAACWQFRNDVWGWVPDGDELPLRSKDEYWPIRYYDDKILLRAMQSNAEWQMMEQPSEEVSG -NITFEDEAPISNDTAHTSGSITLPDTTGSIREGRWTMEDVLTREYPVEEFEWTTSQEWTTPIVNLGLPKA -ITDDINSLITRQLSFFAFARFGVKIRIQLNGTPFHNGALLAYIHPLSWVNDTTVNKSSIFAYAHGFLDAS -KANSVTLEFPFTHLHAYFAQCKGMIKDDSMNALGTLFIRVLNKLQAGTGASTILSGTVYMSLINPELHQP -IHGVNSFSYGTGFMQGLFGEAVDMAGQWTGGLVTGAGHQLLNWLGVKDKPSDPLSSQPIINRTGAPVCHG -AGLDRSIRLSLSPVSQTDTTPDIIGTKSSDFSLQTLCQIPSLIKTFTWNDQQKAGTLLYSSLATPVFIDD -DFMSLVTKTTGSYTKYYPSMLAYISRGFCYYRGSLILKIQFIATELHGGRIAIVFDTHGTADVLNEDFES -NKCNNTIIVDLKVKKEIIVKIPYFSVKPWLRCDHFRSWKNVKQTDPGVQNKVFLDSDIMGIIRFFVLGRL -VHPATVSSSIDVNLFVYAGDDFELAVPNSTSLLHTSALDETARWQSLRYPYCHDTKTKICDSEDYIYDLW -TDGFSLYKAGLLGKIKDKAPSDLWIYARIIAPNYDTLTWKQKVLNLVEEGKTDACVDYIRSLPKFETLIQ -NSPPFTEVYNASAQMLTELSTRESFGNPINILHGSSSSSGTVEKTLSENAFNLQTVWRRYYPLYISPSFT -HYHAFTLITVPVSPTFTPSQDVFSTPTDSAVLHVQNLLSYLNMLFVYYRGGMRFKIYIRALNTDVYVWHN -PIEAQGWSVTSGVSQEQICEQMNFAGDIAITQTQQCIEVEVPMASSYLQLLGRKIRHTPDLRSQNGTLYI -AARNVRNPFSISIFVSTADDFHVNLIRSAPVVHEPWALTYSDKSDVVKYPELARNMQYISSNVQIPGSFV -FNEAANGKIGLCSDINAASPRQAKMRKFLGRFARDTRSSEEEVTAKLEPIITSNDLILKPPHIKTPIKTT -DVDASHMNLNYFRYLVNRQEDLTEGEWWAMHSYWSRFRGDIQMFGMEEFTNIGNRIEAHIERVMPQLRAT -LEQAQTTFETLGTQAISLNSKAEDFCAMGRRVETQLTETLDHLTAATTTHGFMAQEIAKCGAPISNLFGT -LHVMVKGALIGTLVGNFYEMLTSGPSFTLILNSSFMLCYIFEVSPVTVVGAIVEYIFEGSPPQANEGQAQ -LFGLEDLTVHDGLTAALAILATTIYCAIFGKVPSLASIKHLIRSVVETGESQGPADWLRSVHFATMGIKS -VSQVYDFFKVYSDKIISYILGKDSKEVLIEREFKEKADEVLRWIEEIEKIDDEDYLEQALQDIESHNALF -LLVEKGKTFTREVVEGQMPKNLKTIISDANRKLSEVVKRWQLARPGHGYRYSPMSVLISGQPGLGKSQVS -HGILDVVKDVLRIPQANSTYTVALNDKYFSAYNTQPIVIWDDMLQNKDQDELVTSFIQWCSTLDCRLNMA -GLAEKGKLFQSKFILLTSNVQYPILDNIRDQVAFLRRRHIHIYMQFKDGWDIDRVKGLPNNDPEFQHADF -FLKHPIRDEVLHHFDSVWNVYHYIALRMIEWDVTQREDIKEYLSRHESLRLPKGVILTVKPDKSAKNWRY -IHENGRDHFYRADASGNIYEDFNPRYIGEYEVLIDPTLDEEEMVDAEMAVEELQEQPQMVEILYLAQFRD -DVPVARNSTRWFSLAKRKIEAIKNKVTQKVSDFYKKHPNYVKVTGVIAAIVASGFILNSFKNNKSEEKEE -SPTREEAAKSFKKPVTQVITSEGATGKSQPIEINGSKIVAENAVYSGAPRTRIGKVAIEGCVDKTAMDIA -RNKLYPAMAQFGWDFDGCIARLQGVCIGGKVCLLPRHFFMKARDGDFFYFVRNNDKIQVEYNSINKIDIL -EKDACLYYMGARFDSKPKILNCFAKEEEILKLNLTTPAMLIGLTADGIYTQKSCVAKANQKCSYPVYGGI -QYLMTGWQYDIDTQKGDCGSLLLACTNRLSAPQKIVGMHTAGYHDQRGGFSVIFTQEQISNSLDELVRRH -GMQVFPAPLPVEVGSIEMLEKVKVIPQGHFTLRGIMAGNMCPSQPQKTSYRPTPFQGLMAPVSKAPALLK -PVGDISPLKHGLSKYGKLTTPFKTKFMDIVEKDILNDLLALPFDLPAKAVTMPVAVFGLPGVPYCEKMNM -NSSPGWPYQVLPEHRGQKGKAYLFGDEEAPIKSKFLKEMVEAREKAAREGLRVQSIWRDCLKDELRPIEK -VSAGKTRLFTIAPVDLTILVRKYFLSFEQMFYKNYSKFFSAVGINPESYDWTRAYHRLLRTGDRCIAGDF -ANFDGKLMAEFISRAIRIINSWYAYHGEEDTKAANVRVTIGDELIHTDQLVLNLVYQSHQGNKSGNPITV -ILNTMANAMYMRCSWLEIMSEKAPEYATMLAYHENVTEEMYGDDNRLTVMEKVLPHFNQISITDCLAAHG -IEYTDELKTGNLVPWRKLIDTSFLKRSYRIDDEIGRDIVLPVMDVATLNALTNWYRDGIGLEEQLQANQR -SALGFAFFHGRKFYDNFNYEFCRLMREEKLKPLCITYDELLNLFVTDMRDDGTHFNDLVGLNFAA - ->YP_009342320.1 polyprotein [Biomphalaria virus 2] -MCNMNGVKQNNEQINFNLNENFNVYDVLNKANSKPTDRTTSDEESSNVFIKRFPEDMRKCINVLLRHDML -HNNRFHQQNNFGCSVMPTDQNYDNYVRISNKIIAYYVANGTHNVDEYKLIFNFEYNPLFMRSDLLNRMTS -PVLVRIVDELFDSYEPYAIVRLLCKLYYYNVNRLLDSKGINITSFDGVRDFTNDDYVVLLNIIDDIDRTV -GGRALSDSQTLYSFVNYQFHTTLMSHGIPTFDLNEDMLPFIRDYIPLGEAIYHYMMPMSQGNIEDLSYLE -LLSRSVKSTIDLPSQIHQSVKGIADSTQNVSFCVDKMAGLTDSFVNFVRSFLERTTETAGQMSKDITMDI -IEIFIDFVSDLPDFTQVSRLRWITYMSRILRLFVPNAMSVAINLFNTYFSMVRTAVAQGVDDIIQTLVVV -LTGTIALQQIPDRPNVNKMMEYMKITNLSIPFSKNVITVLTSVFKMLPEMVKAWAISFVPEHLFYERLID -KYSKILDRIDFFLTLDLDKIYFSRELSKEFNTLYIGAHDLVKDMAPFARDNSGEFSLLREQLRKFDKLYD -SFCAIQRCGVIRECPFSLTIYGDSQIGKSTLSSAIAKFMFPNVPSDRVRYVIPTDPEEFWNGYSPLHCVT -AEDDADQDAEYKNALQLFSIVTNAPYQPPMASVDDHSIGVKGTPYHSKMHIRCTNNAYPNPSSKVLTVAA -YWKRRHMLVHAQVKPEYIVDGKVQYCPLFKHLYFSLLDPMDPNSKQTPQEIGDLSDFLILLRRKYNEHME -NEKRVMDLMTSTSDVFIDNLSVAYNNTSLLPDAQGRLTDMMFETAQELRIKATGTYTIIREYIEQNSTVA -RILKLLGVVAGIATTTVALITMYNSLFGEKQAIAEAIPSGDMRTLKYKKMKRPAYSEGTTDNTAESLVTE -VVKGRQIYAEIDDRRTLKRNNMCGIFIGGRYALFPYHLFLASDGQLVEENSRFVLRTDQASFEQMFEAKR -LTRLCTRKGESKDVAVYECTLQVRAWKDIRHHFISENDLAYIANWSDAALNKFNSGIFERQLVKITTITN -QMYSVNGVITPYTIYKGFQYDAITVSGDCGSVLVVYNTRIVGKLLGIHVAGERNKHHGYTELVTSEMLDG -FVPRIQPRSRPITIDERPAVILPEGNYTYYGTVPAAQAVYPVTRSEIKPSVIHGEIRKPTTAPVSLDKRE -FGETIARYFQPSMPINPKMKAILQQDANELNDALDSYKLGVVSEFEAINGNSKYKYFERMNMSTSPGLPY -KKIGVGKGKERFFSKDVDGNYTVSDPTLRKLIDDRIAMAKKGIAIDSMWMDIPKDERRKPGKSTRMIVTP -PLDYQIVFRMYFLDYIVSYYNSALKMHSAVGINPYSMDWTEMMNSLKANSDVGGDGDHTQFDGHMLTDFL -EIDINAINHYYRYEANHDVSSLVREVLWYEMVHTPTQCVNIAYCVHCGVPSGCNCTTIINTNGNDKYYKL -CWLGLAPPEMRDLKHYYENVKLYCYGDDSIASIKREVLSWYNLKAISEHLKIYNIKFTMADKAGDILEYK -PLEECTFLKNGFRREGMIYHALMEENTLYEMVNWIRESDDDYYATVVNANMSLMMWYHYGVERFTLERAA -LYEALVKAGKQRNLIPHLLTYDYLDDCFRTDRVPVAEGTMETTPTPKDVAPPTRSMLSRLLGTTTIAEGE -FEDFYTGQGIKRFYDDDRDFGQALLENDEQAYLFVRELMDIYSFEDNEDWFWSYFMAVYTKVKAVCYNYN -THVSANHVVLAAKHGLHGMTALNMSMFSKVINWFKNSFGFSTTAVAQGNGDQTAGEIDAANDKEETNVAN -AVTFIEQKPAVDIDKSSALNSNVDTMFGAWSLNRFFQKPQRVGTYKFTTTQLQGDVLKVISLPGVFFKID -QWANIVNTFTFMRYKPVIRVQLNGNKFCAGRLIVYGVPFSLTPTEIYPTTNKNMTGYTGFEHAFLDASSN -DTCTLTLPWVYPREWMNIATQPLSSRTTISNLYMHSNSATVTPYNSAITHSFRIAVFNPLQVGTGAPTEI -NVTVFLHLEDMDICVPSVYKTSAQGGSQSYVTQNINNWEKVASQTLPTQIVGDKYDFKSDLKVSTMDKPN -YTISPDYFVRRALGYMSHGVNIEHLERLALYPNGVSTANERDFGTSMDEMDLKYLTSKYTYYNSGTISTT -DVTGTVLKYYPITPYILPSPTAQPAPLLTALNNQSWCPPGDHYQIPLLSYVSMPFNFWGGSLKYRFDFIT -NAFVTAKVYAAIIYGTYAANTVTTGIEPTSALGYTFEVNADNKTFEIDVPYVADTPWKRIAHGQVATSGG -TTVNVSYDDFINDECCTGQIALYVVNPLSVPAGLPTSYTFNVFIAGGPDYRLNYVSRANTAWVPIAQGID -PNPGTDLSFLGQALYKTDMGVMSEVYTSIKDLLKRYHHVNTSFDKIGGAGTDISQMYTTSTVIPISSLIT -PFTSSNSFNINNASTVFNWYLALYRVWRGSLRFKICVELENETEGVQLVPNITVDYMPDTQRLFSGSRSE -VMGANNESTGPNSSFSTTLKTIYNTTHHGPRSIANSGAPFVEIEVPFVYPNRVAPVPMTGPDGVANLDMA -LPPTNRSYTFANMTNNFGSLIINYPKLPNSYLCTTRIYMAAGDDFRVGCQVGQPLITYGGSNESSIPTSN -YAVPPDFYN - ->YP_009337339.1 hypothetical protein [Hubei tetragnatha maxillosa virus 3] -MATTQGSSTCASSFFSESSFETDDFHSTGSPVLDTAHVTKSYCHNCNFTAERVREVSHLTAAEKVDFMYN -WIRHVNSCHGFDEDEVDCYSEEESIEYESDEEVNRLGVWQDDLFQEEQESEYDHELYPSEPEESEVGDVT -EDFYRMTTAEPEFLMVDGDRRITVKLVGVKSERIYPLPLSAEDIFEVFDEYLQTSPFFTQKLQTVRSILG -NRKFARRVTRYLKQSRMTEGTAKFWTDWTFDTRRHRDDFVKHLDKKIAKAEMAMQQDEVQVEENTMFSDQ -RETVQEDGFIANQQQVSGQEVSMQEQQWHVRNIMQKPMLVGVIPWSTKDEVEKLLKAYQVPGDTIVGPHY -NQIATFAFWRGHPTFRIQLNGTKFHCGRVIVAFIPFYSKKKHTDVLWSTNNLTSFPHVMLDASISNSGIL -NIPFAHYQTYFGSVIPSAYSFLGQLVVSVFNRLSTSTTSSQTLDISVWVSYDNCELHQPCHAHVPQFAQA -ESGVEGAVKSVLPMITDLVAPGASSVIGSVAGGIANLDKPTDPVEISRWVPNAVSSLNFGDGLDKSNRLS -LRPGTATVTEVENISTTKDDMNLLELAKIPTVVSRVVWGTADAPQALLRTLKVNPCLWTAHGNGEGADPP -VDVYTVNLLAYLSRPMKYWRGSIKYRIQIIASAMHSGRLQISFTPGYLASDFNNSNYANTFIVDLQEKSE -VEFVVPYMATRPWLRCDKIQEITTTNAGSVIGSTAVGFIKIHVLNRLCCPDTVAQHVDINIFNSAGDDFE -LAFPSDLAFFRGIGNLVVQKNEAKAESGQEFVNTRTDTQAPQTITAGKGLLSSSVVTTLSENAMDLKTVL -RRYQAVFTDSGVKIGTGVRKFLHAFQNTPTLSGVHKKYGVTAVQTRTHLCHYSELFTFWRGSLRYKYVYH -TKNPITFTVFHIPGVFGPDKFDPQVVSGDFSDLLVALTSTGAQIAVSQIQNSIEFEIPFYAPYQQLKTMD -GGIMNATAATGTVFIIGETTEQPELHYTLFQAAGDDFGLNYLRGAPRVQILKGKEIARDMSDAATSLYVG -MKPTFAEKKSDSEKEESEERAPAKAEMFSGFRNPFTQTMNTAAAVERACNTSTNLLNSLSSQLGLAARAG -TSDILEGEEDGTDDDDDNGGIVHQLLSALGSFATELLKNAGMKIKNLAVTIANLVSGFNSFLQASSFVVK -VCAIVTVVSELFGSVMTTAKDKLFWLVSKFLNGRTEGNQRLVAESSAAIFSFAPVVMATAAVGLLMGGFA -KLPNDKETNDVMKLVTERMRTFNFGCAAMSNLKSCYTQMKELFDWIQDTCLNWLAPQFLASMKLQREFHD -VETWTKFIDETMATDYVDRSNWDTEFRSRIGRASEAAERYNQYLITGRIGKEASIIREYVRKCYEMRDLV -NESHKALPSRKDPFCVCIVGPPGVGKSGSVLYLADKVMDDQNYPKQKRICPVNPIAKQFSEGYAGHAAIY -MDDISAFTSEEQYHHFFNLKANTKYALDKPFDKTDYFRSDFIFMTSNIPYPTPNFINDLDAFNRRRDVLI -EMTFKDEETEQAAKDGVPGTLKRDYSHAVFRFLNPRDETAEKGPPLTWEQMEEEVKNRARLHYINQEKQL -RYLLSAAGYTAPPLISELPPDDMSKEDQLAWFQRVFHPVEEPIVPEAGDDGSGWWIKHHSEVEFDEEKVS -QHPLLSLYRPELFSYLQWSGSEFCLSDKGLEQPNIAAEFATLKCDFNRHHGNDWEKAKKDMLITLASVSQ -FKGVKGALDATKVKLVELKEGLKTYWEKAKKWFEENCPVLGVMRTWPAKLALGLAGVAVLVGFGQRIVHR -CLCAVVTHLGYRCPKCGKWPELEKCRWKDWLIREWKEIYGETVDYVAGRVLTAEEEQGIDELRGRSFQGI -RAGTEMKLNAEVGPYSDITAGGKQVRIFAHHGPYNNETHGGARQRVFADSGQKTDDLITNRVIPCLYRLR -GVHGGVPAEVNGFALGGRLLLIPSHFYDVMDGKFELYYGKEWMVVLKDETRARHIPNKDLVIFTMPVSFH -EHKSCVKHLISEKQLGLLSKTECLMMKQMNKDYVMAETVTARTFKELHYELDGEVPTEYFVAGLWEYRSV -ASPGACGSVLVTMDEKVEGQILGFHCAGNGTYGYSQIVTREMIEPYVTTKLGTPTPECTKQFGRVIPHGH -FGRVGQLPKGQGVRQSDKTEIIPTAIQGAVSPPVTQPAILSSRDPRYKGSDNIMTKGIAKYGKPAVPFDP -RHIAMVEESFNAEIEQWEVPRKAQVLNMLETLQGIELLDGFDRLPMNTSPGWPYTLTRPRTELGKAYLFD -AEKTRIENKELEKKWSARLAMAKLGERVESVWTCCLKDERRPLAKIEAGSTRLFVIPPVDFSLLMRMYTL -DFSVAFKVNRHTSFTKVGIDTQSLEWTKLYNYLAECSEYVVAGDFERFDGALPPEMTHQFFKHCNYYYRR -HGTCTEEDERVRGVLADESVHTVMLANEDVFVTHVGNKSGNPNTVNINSVANYYYMAISYLGLAERYSPE -NATMDKFRKNVRIAIYGDDNVLAIKREILEWYNQLTIADFLKGYGIVYTNETKTGLTKYKKLDEASFLKC -SFANHESIAKVKVPLMTEATILELLNWTRKAPDQDELLESNINDALRFAYFYGSKYFNALRGKVVAALKE -RNKTLDVMTYSDFHYWFLFVCGKLPHGKSSAEMNLLEVVAASGNSGFARFMTRFLLGVPCGIASSMGWFV -RSSDVKRTDERFAIAIPSGEGKTWLCKKYPHLFVDHDDILLPAAKRSLKEHGMSWTRLWDMLDLELPLHD -KRILLVHHPANTRRQMLGSYMLPKPSHIRFNAYQRMRLGDTAKVMERDQRNKEILTLARQKAPYLFRDGR -RN - ->YP_009337045.1 hypothetical protein [Hubei picorna-like virus 45] -MSTNGKNFSVTRQPPMGQPLEREAISESVGTPTHVGISTLMQNWNLHGLKMEPFHDTVKRFVGQGRLPRC -GNFSPKMMKITNKKGEQVDIIDPWSYRDGKHQAEEMAIETWALYDTRLPNRKFTWAQMEGARMACWFFLK -DWIKYTKNASSKRQCIFGTCFMLLCAIEDETTKKVDAICDKIHRRYGQAPPPSEKILPAPTPFKLVSQLS -VEALSYALEEVADIPMERARVQKASVSYEKPLTAQMVTVSPPVFTSKDVPVSTGFSPMDIDAFDREVAAN -LAEVSDLFKNLKEHEEMIGSGEVEEEEPMEVEEIKPIEMNPYQWEFSHYLKLKSQAVIEAQLLLQKVDPL -NLTCKCWNCVERFLRRNPYALHNQINVCPDQCLYTNDFVDKTERARLAHRARCLEVLTGRVSEYQMCSHE -VVDWANTESQEFFDEEYEDMAQIQGSEECVSLDDLDLNTFMCEIELDYFMEQAGAPDWRILWVTDEEPWS -IFEQEELHQLYLQMLEDESEELPFAQMDECLESHESVEKTQNLTFVDERPVDRAGAYLGSSSRTYDINRS -IAEKPWTLNATLDREIPVAEFEWNTQGVFTNLVELPFPKCYTDKSANMGRNIVKNFGFLRSDAYFRIQLN -GNRFDQGRLVCWLRPLTWSVDKKRLSPSNFANLAHVFLDASVSNSGVLTMPYTHMLTHFCIKPKKLEDDS -MSCLCTLVITVLNKLRVGEGAPPTLFGTVYAKLDNPVLHQVCYTSDTMSFDSTTGYVDSLDNPNAPAIIQ -GEPGIWEKAAATGVGQALGNVLKLMTGGLATGVGNFLGGLIFDRPIDPRPVEPVLNRSVQPMCYAVGPDS -SVRMGMTPISQRESRPAMVASNFDDMNFDKILQTYQLLYSDSISTSTSVKSRVARLPVCPTFIPSTDINN -LSTTGVRYRPTALAYISRAFAYYEGSLVFKFQFVTSQFHSMRIGIAFDPLDDFTEEISYEQIFNMNMGLF -DIQEQKEIEFVCPMTSTRSWLRCDRFRSDANFSGAGAEELNREPLNRSSMGSLSMWIVNRLVAPENVSQT -IDFNIFIKAGKDFRLAIPVPLHPLRLTPAVDTFAQIPDSQYVFAPNCPPNLIGSPGMFENKAYIEYLYRV -GRQFYLEGKLTIPTDGYWWQCVPNLQLGYVDDVLAWRDKASLLSTYTNDTPQWQYMASLLPYVNKWRIPT -DDWAKPKDSDSFKYLKDDGAIVQGSVEVATTSREQGAEPIVPTRGMQTAEAAPTTISENAQNLQTVMRRY -YPLYESEPIYNSLGYTLITVPVTPTCLTAEFKPYKGKVMFNRILHPIAYFSRLFNYWAGSLSYRIIVKSR -KTSRKVYAIYDPRTTVNFRVLSGIMFDRLSHRMNLATVLSVSHLQGSVDVQVPWSSRYDQGLIELDEDLQ -DLRSQNGTLEIYIENDTDLEPFGTDPFTVSVFLSTGDDFVLNLYRGPTYIYECKYLTNSYSGAKSMCVKY -PEFQYNNSIRDWGNYPATNLGRPQWPRPGGVYNFGTTEVQSNCDPLTPKSFQEIVKRKAGAFGKSVKGPS -ALFCPPPTITEGNEIQHFEELLEGTDGAVIQGLFADLRKMKNNVVDSSTALKNILTRVDETNLSEGIACL -VQKANAFTNGISESVSTPAVAASVAKYSVLSAEILTVGAFIYSLKECFQNPNLKNFIMLSITAAGCIGFA -VSNALQSVITNVVNWALSCELPQTQALDGATAQVIDSHSSTICTVVAVIATVFYAYIFDVLPSMTTLKEG -FRKLFGDDIDLPKKPKAKIQAKGFELKNAHFSLLGITALDKVYTKCLDMVSSFLDWILERDNPGVIAART -TQEYRDRIMHCVNELDLLDNEEYFTNAMHDPFIHNRFYKLQGELNDLTSLSLREKKEVMDSQVRNLISEC -RKRCQKLIKELERDSPKPMLRYDPICICLCGESGTGKTKLMHKIADVIKEEMDLPRYNLIYPKGIKDEFW -SGYKNQPIVFWDEFAQSRKMDEVIPEFMTLRGSTPVMLNMAALNDKGKYFTSQAMVLTTNVPFEDFNAVR -DNNAFKRRRHLLIKLTHRPGFNAMNMQNARHDQFPNWEHCFFTLMNPMQDTAIADGLDLTTILEMVRLRV -RRWHQNQMAILGAEDLPQEMPRGIVPIDNNDNLNYDEALETLALAEQAAFEAIEEEHLEAENEFHNPVNI -QQDIAMNLDGVPREAFEALPEYYRPPPEVGPIVDVEGAGARIQMMVEDEDEIFVDCQESPFGFVDYVREE -REFVTECVYAKQPVMSVYPWTAEEIKKVATKTKCRLFRLAEDCSEQIALAARSFLRSQYTQSLINKVRTN -NLWIKAQNFCSELKQDLIMCFDKIKKTIYDMIENHPRIAKVVGAMTLFAGVGGVLYAFGNTQSVPIQEEG -FYESHIPRMCRPRIIPESYEAGPDRAARPRIIPESCVDCEGAYEGGPARCARWRVVPEGAACQGCEDKPA -MDIRAKVHPAVCRIRWDTTADGGVGQLNCTRIGGSLILLPYHFFRYRKTGDKFSILHRMTTLIYEYDEKR -MFREGAKDWVLYDLGFRIEPAKHLFKYFVSEKNLVRKCNAILLAADVDGTLKMTSGKATGDAFFQYTDLK -GDTYKQRGWRIEMTTVQGDCGGLLMGLGAHLPPPGKILGIHVAGYHNKAIGYDVLITREQLESLASKAGI -LFNESPIPKSTDVTAPLNTFRLVPHGDFTIVGTMDKTKRVFQPMSSDLKPTPWANEITDFPNPKKPAHLM -PFKNADGNRISPLALALPKYGKVSLPLPVRSIDKIVSFLSDYLESHLTEHTGKRIFSDLEAVNGIVGVEY -AERLNMKSSPGWPYQIENRGEPGKFHFFDEDGHIIDEKLQRRLDYRIDEAREGRRVASLWRDCLKDELRP -IEKVDQGKTRLFTIAPLDFTIAVRKYFFDFVIAFYSAARSDFFSAVGIDPESLDWTFLYNRLREISDNAV -AGDYSGFDGGLKPEAIEAICKLINLWYNDSPENQNVRRVLFLEMCHTLHLVNDCVYMSHQGNKSGNPLTV -IINTLANLIYLLCAWIDIYPDRPIEEFFKWCRAIIYGDDLLITVSDKVTKFNQKTLTASLAKFGIVFTNE -MKDDSDVGEFRSLDEVTFLKRGFRKDPEVGHLFTLPVMSEETLHSYLFWYRATEEEDEEQLRQNMRAALN -FAFFRGRTFYEWYNKLWKECMRKHGFNPLSISYDEQLDIFLNKAGALGEIAPAQVQGLDAFMKASNNKWS -RRFCGVTESIFYYLCAGLNVFKCKNLKPPSDDRWAVSIPSGEGKSTLCKMYPHIFVDHDELLLPKFQEIS -KFNLSKLPWRAKIAREIDFPPEDRRILLVHSPANTKRNLIGCFVTPSPTYIRVNIFHRLLQNEPYVMDFS -ERNDTLLRLARKVEPGLFEAD - ->YP_009337018.1 hypothetical protein [Hubei picorna-like virus 48] -MAFFYNDQTCDVVAPPALSCEDLEMEWQDMDVPQGFIMWNNYPTQRWKDFPVMAAGEDLIEFPLDRGFDD -VDDDDSANFIPPPQGSDGWPDLDQDTENGQSPSPWFEEEEDKPEEELTPPFTSPYTFIPIQKDNTFFIPT -QEDEEEIWTLPLNYRQLNTLTTRYTDKSPFFTRFFKQQIEMRGLGEYVELMNEHLRDQQRHDKGTPDYWY -HQFFGYKRYRDELVKYFNDMTVHAHAEIREDTTEKEVNTTFVDQREETSDQGFMQGQVSTPGNGISMPEN -EYHIRTVMAKPILTFTSEWTAAMEEGHYITELLLPGDFIQGPHYNLLSTFIFTRFVVELRFEINSNKFNK -GYLVAAFIPQFQKASTAKSFFSADNILGFPHGKIDASLSNTVTLRIPFAHTHTYFNTNKQNDYEYLGRVV -LVVFNRLGSTTGAPSTVDVSCWTSFKDAELHQPSEAHTVILPSAHAGVEGIVKSALPFVKDLIAPGASSS -VGGVMGGAANCDFPSDPSSPQRWVPNAVPAMNYGEGLDRTTRLSLRPGTATVHQPEMIQTTQDDMQMKSL -VKVASRIATFTVSTGDGPGSVVGVIPCYPTLAVQKQTKKAGDADVDVYDTTILGYFSRSCKFYRGGLTYT -FMAAVNQMTSVRFQISFIPGNYTTNFDKTKYYNVLYWDVQEKHEISVTAPYMSERPWLRCDRMVARSELT -TFDPSLSYGTIVIHVVNRLTVPDATSTSVDISVLVAAADDFELAFPTDLSLYQGSGDVLPRAHSLAETVT -TREENNSIVLINGVGMLKNVTESTMSEGAMDMKTACRRYQKVFENTVTIDENSVISFVNTPLLGSVNKRF -NNNNGIQFRTLLAHYGESMTFHRGSLRYILVVHGWDTSKAFISEILHTPGVFTNNFTPSNLSNEWVQILG -SSEVQGVMVGSSQIQASWSFEIPFYTAYQQLKTLIESTEPGANWTARTATGTVFIRCNGEKSTKYRISLY -QAAGDDFTYNYFRSVPRVQFLKDAEKNRQTDDDSRALYNPNYLDYPGGITPTRQAWMKTVADTPENTPNT -LTKTVRTQINSTVHAHAGGFWETTRSYFPRNPYTTAMNTLESITQAANTAENTVQGISTRLGLSTAAEVE -KADEGGIFTSLNSFLQGLPSLVGSGVGIAGNIAVLVAGFQAYLTAESTFVKGCAIVAILRELFGGLLQWS -AEKLTQMAISIIEGIVNGCKQGNQQPGVQAQAELVEFVPAIVGVATAALVVLGFKSIPNDKETTEMCKTV -SERLRLFNFSSTAISNVKGLYKQMKELTEWIVEQVLLLTAPQYLAQLKLQEGFQDIEVFALFVDETYDTD -YKTRSQFDREFKMKCLQAADQAEVFNRLRIRGKLGASGRVLAEYIRKAKEIGLAVREAWKELPVRVDPLC -ICIKGVTAVGKSAGITSLAFKLMDNLGYPVEGRWCPHNPAEEFFTENYTGQDAIYMDDISLFKDQKQYAF -FSMLKSNAKLPLVMAFKKGQLFTSDFIFMTTNTAYPAPNECNDVVALQRRRDVLIEARWVSEEVQRQVVM -EGRTELRRNFDHMRFRILPSVEPRLARQRGLVQRAASDWMCYADLLREVEVFANNYFTNQDRLIRDSLRV -MHIPIPEEYDRQMEERERRVDALAEEEARLRDAEAGEEVAAIIAVNEVVVAEEDFQEAEDNIERFLNQDL -GEIPPVEPVPEARNLLMEAEAMAGEDDIVLSLSLDKAHDQPLLSTVSDESIEAVVYSKEMKKWVARDADS -VEEANELLFLLDEERRKLEEAHADTEFKIAQLKDTRSRTVDPQLVRNIDLTLDALDDVMAQKTTTLESEM -WRCVKKSRMARFKAATLGKLEQVKNWLKDQWTAAKEALPWLKCLESWPAKLVAVAVAIFGLTGLIVKTAH -KCYCHSMRYMGYRCHFCGRGPVLDHNLNQSWILREWQRIYGDEEYEPGRITKKEEEEQYFDVLGEKPQGI -FLGTKKSCEAMVGPYSDVTRGAALHHVVPHLGPYSDVTKGAALNHVVAHSGRPMEVANNRVIPALYRVRT -VTGLQMMSLNALAIGGKKLIMNKHFLDVAKKNGEFQIWHSNEWLRVQYDEDLIVFFENKDLAVVEVPSIQ -MHKDMTGHFIEEASLGKYKKTAAMMIEQTSKMVPVIHETTAVAIDEMKYTMTSEGKTETYLTRGMWKYES -KLTFGACGSVLMTTENKSNGMILGIHTAGDVRTGYAALITREMVAALIGKGRLGTPIPAAHFLVSPMVPE -GHFGHIGQVERGVFQSDKTTIIPTAIHGMVAPPVTEPAVLSSRDPRLKVKKNIMKSGIAKYGKAPVEFSK -RHRAMISESVRESMEAWTLQREPQILTDEEALCGIDGMEGFDRLPLNTSPGYPWVLSRPKGEVGKAYLFD -IGQKRISDTELKKKFEMREALARRGERVESVWTCCLKDERRPLAKIAEGSTRLFIIPPVDYSLLERKYMQ -DYSVALKDNRRISPSKVGIDPQSLEWTELYNYIAEFSSFVFAGDFQRFDGTLAPDLTTDFYRDGNYFYKR -FGKCDEGDSNVRETLCDEGIHTICLANDVLFMTHTGNKSGNPNTVNKNGDVNFRYIALAYLGLAEELGKL -ATMAEFHKNVRVACYGDDNIVAVKAEVIEWFNQQTVTQFLARYGIVYTNATKSGEVLPYISLEEMTFLKQ -SFRDHSGLKGVKVPHMAENTILELCNWTRIAPDQDELLESNCNDALRFAYFRGFEYFTSLRQKIAAALKA -RGKKLRVMTYSDFHYWFLFVCGALPCHVKCQAMFEKIAASGNGVMTQKLMKLVQKIPYAITSMTGVLSTR -EVKDKTDNRYAIAIPSGEGKSWLCKHYPHLFVDHDAILLPEASRRLKEKGLNWKHLWEMLEVDYPVDDRR -ILLVHHPNNTRRKMLGCYKLPKPNFIRANAYQRARMPADCKVMNRDERNEEILKLITTMEPNLGKTRGLT -ATTPLISQVEKRV - ->YP_009336567.1 hypothetical protein [Hubei picorna-like virus 49] -MDTTKRNTLTTNSHHVDGVLAGFSPPLLSSIVPEPDHICDSSEPQGCNTKNDTHPVRFRSYAEVLKDSSL -SSVVLQHEFSRDEQDDSKGSSLIDAHLWQFSHSKRQLNNITPGKFLCNACGTPCATLTSYRQHCLSDKHK -RFMVNEQRVYHYSIPNHTMFCEICSCHFKILDLEEHDKSKHHKMLMANKRYFAVNSGLVAIRFDYRSIVH -GYQNKIIDTCHYCGTTYFLSRNFQHQCDKVPSLFGSIKQQEFVTQSDTGVATEQGILNKTQTQDLSSKEA -NIIVAGRVQPVECNMDIISTKTSTIEDDHFVELQKQVMNKPLQHDSLTWKSSDELDKLLFAGYMPGDFIM -KKLNMHFNAVNIFSMWRSDVEATFVLNGNPTLQGQLIVGYMPYTGRSIPEMRAHWLTSLPHAILKPRGSE -SVTIKIPYSYRAPMYNLQLVNGGNDDRNSGILYVAVLNRLQALSTTSPSISISILVRYPNSQFVQPKQNG -EISFPVSAGFASRSNIVSEPKLLPPITSETCTRFDGGVEFIHRVHTAPYEQQGQVGSALENIATGIKDIV -IGGVKVVKGAIGLAESFGEKATRVTYPYTIYAGSPSTWPSGRGSDNSIVFATHPVSNNLAEEGMINIVPE -ASLLKLCQEYNMVWRIDWSMTDAAQKQLFVTNVTPIPVIVDNDDVWQPRIQFNSTPFTFWSGSIKYKVDI -VAPKLMSGAIMLVHTPLIPAGVDISLDSVSNYPYMIFNLDDTNDSCEFTCYQKNKYAGQSIRYIAGNFEA -PACTLGAFRIYVFNVLSSSTPICASSVQLNIYIAAGDDFRLHGNAMYPMLDEICYNGIFETQAESGPVHI -EGAPAISAHGIERCSSAIAGEEIRSFITEMKRVTHYYIQPMTFQNVSNLRACFVAEVSWANVLFPFTISN -NQPPFNDNSGIGYSIPSVSYFLKQFAAVRGSLRIKAWLGPVYEVLTYKDDAGVVHKKTVLHQFQAPVYVS -SYVGAGTGHDTLGDDSFGDRDANGLTIIPVGAVGDFELPYKNINSFMSTSCNVSSSKQTLRVNATLADIT -RLRFTVDPFIISPTLTPPSGTTPVNHTTVPSIYIAFAAGDDVLVAGRLPPPTPYFSKNNASLQVNSGATA -SIINDLTPSSFRLEDFFKSPDKFEEQGDTVEQGAALGGFAHKITSGATTGVTQHLDTSISAATEQVRGII -NQTRHHATAASQHILSSMQRTFQSLADTIPTTASNTVSSAVDAGFASVVGNRNILCSAAADAMSIADIAS -STTIGGFIWNVVRFLLSSPFVTTIRDLLVNAVQQLLGFFFESPPQIPLGDFQQQSLSDDIKIEPSNAIKI -IETLGGILFLMFVGKSCGASSIRSFGDMIRTTNLIARFTNACKSIGNFLPWLKDVFGVAYKWLCSIFAPA -VSGLSDEDREVFDSLEGFFEEVDNLKIIFGADLFPPDDAIRDRVFRLYDRAVIIRSVLIRPSNRQVFPPS -VHALFHQYARTLVELVTYCEKAYVDLDTRVDPFSVCLYGDPGVGKSGALLKIITDLAEIMSVPHYNRMYY -RNENTKFFDGYRGQFFYVIDDLFSDTEAPAIKELIQMKSNAPLILNMADLSEKGRAFSSKFLLVTTNEAY -PKPATTVTKAALWRRRDVLVKIQNTGKPKNIETMEHISFGIMNANVESSAISETFTYEQFIKFIFSMYNC -HVLKQDELLSGSRQPSTFVDALRTQHQAFLNMPPSPCEFEMPELPQHFVETPEPPQQNFERMSPEVMATY -VTNVINNMQAWADLPLSALRIHLSEVPLNVFEAVSAARHRYIERGLRTRVTEDLPIIPETSSPGFQEQGL -DDLGDPISMQVERDAELDSNASDEAYEDIPDGDIPFDSDIFPVSEDQVLSLLEEKNVSAHMVIRQSIDVF -RAHFPKGLLPSQCFYNPGVFYSQFSLKVFSALKQHEMLTFALYNLAILCERVSHMKWVCGHNDYPHDVTT -ALCCLPFIGHHSAEYDFTIFENIRQIAQKFSAEAADHIATELDKHLAYVRTHLPDSNANNVTSWHAYLAI -QYTVYCASTDTPIRVHERITQRPIADDEMLYPVIPGSRQSDGTLNPAFYQESPDHLMYWETRYALTDFVS -WRAFWDSVEPEWEQVDALADPMPASVRVNEALRRAISFPQVSKVKQIWMKIKTTFKNTLNAFVDSFYNHP -TICACVYYGLMLSCLYVQFRRARREERKYVHSLYNQGQMNAYLTGETKHAAKPNTFVLQSASSQVQEVVE -HRVIPGLYTIRVVSSKTQRYHQANAFCFQGRDFVTVRHIFDDLVDGDIIELADSNHNIFRTVYIKSSCYE -IEGRDLLFFRAGKSLRLHCDSRCHFIGRDHLARITRSPVTLVTRRPDTFELQMHHSPECVRLDEAKASGV -VKRGLIGYAAETAVGHCGGVVVSHADSLTARCVAIHVAGSVKDMHEMGVGLGIVLTREDLDEAFGFFEKV -SKGVIDTGLRRVLASIEGVKFVPQGCITLLGKLDPHETPGSTSKTSLQKTPISGRLWPSITRPPLTDREI -AKMNFPEHPHITAISKYGRGQVSVLKPGELVNVCSHIETIIKGLPVIGLLSEYRRVLTISEAVCGVPDVE -YLDPINMSSSPGYPYTLDPRYKYGKHGFVETEPFEIAPDVELQCVERLEAAKRGERVPSLWVDCVKDQRL -PIYKVNAGKVRVFTICNLDFLIVFRQLCQSFCACFYQNHLKFFSAVGIDTHSVEWSRLFFQLKRRSGVGF -AGDYSSYDGTIPPECIMAVCEIINAWYDDGEENALARRVLFEELVHTYQTYRGVVYQTHRGNPSGNPCTT -VLNTIVGAIYLYYVWNLTFLGTDLYGTDQFDRFVTMFIYGDDNIVAVDCSVADRFNQVVVSEKLASIGIT -YGSARKDGTLDLIRPIEELTFLKCGFGRDSQHAFIIHPLMDVATIQELFNWTRSCDDPWTLTSDNIIDAA -RFLYHYGSRRYTRVRELYHKHVYFKLSNRLKPMPPWSELDSAFCFKFGLPTSTNRSSLCDVFFTPIQTNA -SLALALSD - ->YP_009336534.1 hypothetical protein [Hubei picorna-like virus 47] -MNTFKQNKNERVAKDFEEYIAGGGRIMYDGWRLYSHGDPLELHYSPPTDMRPRRKISYVVARRRYDDKHE -QAEAKFVDWCEQRRALYAKYGNNEFQKACVTMKLSRQRKQKMLDWLEATRTIRDGKFWSMSGEPVVVGQD -LVGNQRMRVAQALEEEEPWWEVLYTKAHNIVQNKKRMLGMGPFQHYHSFPEDRFKKAVMSMLNVTPVERK -IKNAARTFKQWFDSEELWNDAMLTERIEGTPAEVFAKLQYFWKNRDVRHKYRSNGEIAVVWCCVFSILEL -KLAQSTEEQDQESEEMEENVTFSDEREVIQDEVLLTQQVLVDQKESISEEEWHIRNVFNKPYKYKTFQWR -TSDKTLSILGDGPWDVPFGMMMGAPVNLCQRFTYIKTGVRIRAQVNGTKFHSGRALLYFIPTYDDVTFDD -EITPRTNFPAFPSVWLDASVGNSATLDIPFVHGNTYFSLFSQKATGKTWQTLGRVGFLNYAPLMATSNAC -EDISVTIWVSFPNAQLHGPTYMHDFVVPRPDIQIPVNVTYEDDKFSVVPYPDNFAIDKYREAQMMEAVIG -EAVGKAALSGLTSVAGSMPNFDQPINPLESGTIKQRSVLGLPHGSGLYQGERLSLYPGEQTPSGSASVGA -SSKDMDLRALCKMPSEVCRFKITKDTAVGKMTAFLVSPCVYVNSDWNKDEQTYNFHATHLAYNCRPFKYW -QGTLIYDGVIIASNHHTCRIGVSFFPDHSWTENTELQQQGNLKVIDLQEEREFSFSVPYVADREWMRCDS -FMEKHDIIRLGYHATGMLYFNLMNKLCCPDTVPPEITVIVFIRAGPDFRLAVVGDLAGLESPFGKYESKT -VRRNDRAFVPKERKGVKDETQESVKELKEAFENHKHEVIHSSHHHDVNIVVPAQAIMKATQREEDVFVEG -KTAMATFGPIRSGDTVPRVKACCSLPMKEPYEGRLCREAQAKTETAGVSTKTDKISAGDTKSDPKASKAK -PASSKKDTTQTGVSRRMETRVAPMMKGGEMCASSSMLSEDFMNLKTLLRRKCPLFIHTNSENKDNQIYYW -VNTPCLGFTSGIMIDRRKKDYQVGLATNRTLLAHFGCEFVFWSGSIRYTMVFSHGTEGRTWFVFHLPGNA -VKKDNWTEQEIDEGYLNATAYYASMLQYGTQIAVTQTSNSLEFEIPFYTPYKVLRCNNDMQETPRTCTGT -VYVMCFGKPLANECVTLFHSAGDDFEYIMPRAAPKVGVPNIIYTGGASPAEHLKGDREEPGDFELWYNHK -FPINHPELTTEEHRDEAKKNIPEYTVAVKSLERDYSKSRKAQMMTSIATGVTAAMQVGTSIYTGYKVSNC -IDSVQQALNSERSDKLVNDAGRVVENLANVTEKVANDTNVGRVTEGAAHLLQTASGVARNIASSTDAAQK -ANEAGYSWSSIADTIIILGSIFSVLDPNATLMVRVVAGVTLIKNILGKLADTITFNLQEWVTSLFPREVA -EGRQAQGAEDGPGALVGCLVTACSCLFFGGKPSGKEGQDLWQFWSNRLRTFNFGVLAMSNVRKLWDMIVD -AFENVKNWWLKIDKPEVFAKISLIQDMEHIEHWATFLDEMDVETVCARCNWDMQYKYEVWKCRDFFDKLQ -SHMFGKAFNGTEGSLIKDYIRKAIEVSELVKNCKLKLEFRVDPFCVALWGPSSIGKSAAITALGYDVCDA -MDYPMYNRWCPVNNAEQFMTENYDNQTCIYMDDFGTISGQIGEAQYAQFMNFKSNCAFPLNMAFKKGKYF -TSHFIYQTTNIPYPKPNCVAHLPALLRRRDILLEAIHVPGRIMEPGNFNHMNFRVCDPANEQAEPSGWMS -YEQTRSYVIQAATIHHANQAKLMFASLERARYPIDDFYRQEVARFDELRRGQLGLPPAQERTLRTHASAE -DEDDFDDDFEEAEGEGMQEGDARMATARELGEDNLLDNTDEVGLEGLMREPPVPAPRKSKPKVEMAGQRD -HMPIPAADVAFDFEGAFLLFKDHKELNDLVEPCIWRYLRGANRCFYWDVDGFKKDLECFQWCAKNRLHES -NPANLREIILDRWLEEAKFVSRVSYGMELYDFIMRHRRVGETCCVQYLDVAAIKRLRGLEVAFDDVWKWI -TLEVEGWTIREDAPEYFKREWESMKRAYYAANGHELEYGLSQHSWFRPRTYSENMLRGLSWVVSTPTFTF -NNLSKLVNGKIQDVKDKVQEMREDRRSWWEWLKAGANEALYQCGKFMRIEWVRNILTIGGMMLTAFFMSY -GLLWAMETSMHWSMCPAVRARGFRCGYCGKWPDTPNNMALEAWRTLKGDAPYEPGYVMTVYEEQALRDLK -LSKLPSGYEERGLPPAATREAHMLGTEENVKEAQAKAYSAGEGTKVAKAVHRTSHMMMSDPNAENLAYVN -MRDATYLLRVHGTNGKIFTVNAIAIGGRKLLTVSHVFKHYEAGSYLSIDVTGSGFVKFQLEPDALFLDKT -KDVAIISMPKGFFRLHKSKLNSFIAEKDVELVYRNPVALAIVEPNAGGWTVLRGECEPVLHVDYYTADGE -QYVQQKGWEYNIPTKVGMCGASVIVHNTRIPGKILGVHVCGDAQSGYASVITKEYLTPHLEGEPKNGIPF -PEAADKTAQCKIIPEGYISFVGALPKEMRRRQPSKTSLIKTPIFDMIEPHQTEPSVLTSNDPRLEVPIYP -LKKGLLKLANQPVTFPQEVMSAVRESIQMEFEMLDPVRKPQLLNEEEIVVGNERFEGLEGMDLSTSPGFP -YVLSRPHGEKGKEYLFREGWAEEVKEKYAHRKAEAMKGERVESVWMDCLKDEKRPIEKIKAGKTRIFCIP -PVDYTMLCREYTLDWSAAVKNSRDKIACQVGIDVMSLEWNALRNRMCKFTDKFVAGDFECYDGMLCGPII -QVVNEEINKFYGDSPDSANANVRNTLTDEFVFTVSSADGELYLTYQGNPSGHPLTAPKNSFGNLGYMTGC -FVGLALRRGLKHLANATAFRKFVRLIAYGDDNLLGIHNEVIDWFNQITISEWFAEHGINYTTADKRECVE -PYITFDECSFLKNKWGMSEDVPNIYVPLMSKTTIYELTNWMHNQPDSMEQLKVNLEDALRFAYFYGRAFY -DDLRTRVAKALRKQNLNIELPTYSFYSAWFMDKINA - ->YP_009337438.1 hypothetical protein [Sanxia water strider virus 9] -MTQRVLTEVTQSRAPCILNRIPPNGIAPDFGGKYSHPTVAFLCTPQCITMETLRDAGKDQGKSTYAQTVA -AVNADTPIARIRRINNILSEWPSRYAQRFRKRFTRRCNQKQILMDEGNFEEWSAVRHVYCLQQLKCWAQN -LDRAWEKERFHQWRDAQSERNLYYMGLAIDRMYDDSQEFDMLFRKIHDNQYEEDSLHSLTEEEYEEDKED -IPEDVEDWSLTDATGAYLDLGEEQGSTGKSYNNYWKLPYLISEAIVAEHFDVEVRELVYEDVIRHHYGID -KWTVGDVINYFTLPNSEIGEEQGDDGQSATNLESTATMSSTANITFVDERPVDTTSLHTGSEIQTRDIHN -DIGENDWTMTKVLTREYPLQSFEWKVGETNNQKIYSNGLPKIITDNKKCMITRQLQFFGFLRAGIKIRVQ -LNGTKFHCGRLLVYFKPLTWNDDTEDNFYSKTCYPHFFLDASVSNSGEIVIPFTHLLSYFSQESGTLYND -SINTLGSINIYVYNQLRAADKSSQSLYGQIYASLESPYVHLPTAGITNFSYGDGYMQGLESFLKKQAGGL -INSGLGLADKFTGGLVTGAGDALCNLLGICDKPLDPVSAAPIINRTVAPLSHGAGLDRSTRLGLSPIAQT -NTPAEILGSTNGDFNMITLCQIPCLLDQKEWNITATTGTKLFDMLVTPVYLTNPQYTFDGTGRYTNYQPT -MLAYVSRGFCYWRGGLKLKIQAITTQFHSGRLVLVYDPHGNANVNLTDIEDNKSHNIIIMDIQEQQEIVI -DLPNFMVKPWLRCDKFRADANLVAQSFVLNNAFLDCDIAGVFRIFVLNSLVRPDNVTDSVQINIFFYAGD -NFELAVPNPVAPLSARKGASTIEYFNVPRYPWCLKGDPQLCNDYDIIYDLYNTGKRLYEQGVLTPGANWY -TVTEKIFNQDTICFVYEGKKIQYLMETLTGPCADLIKGLPNYETKVEQGEEQGIESYTTTRDNEGTSIPI -TDGNKRSSAAPDTTSENAMNLQTLLRRFYPLWVSQDIRHSNGFTIITVPVSPSFAPQDTATTLTSAINRK -YDIHNLAWWVRLYTYWRGSMRYKILLNVKDADIYVWHNPVDAKDFVVTSGFTYENVTEQLNFATEVASSR -VQQGIEVEVPFYSGFNQLVHSHVSNKADLRAQNGTLYIAVRNRGQDFNVSLFVSTGDDFFLNVLRAPPVV -HEQGLSAYVDDTEATSEMKYPELQKALMHTSSATAIPGSFVVNRFSKGAFRNCDIPQFAMGEEQGIVSDY -LGIDAVVDVGRNLTTQFEGIKEKLAEATENLPSIMSFVDVLKRQVPIAVDTVQQGVSSLSDVATFGKWAT -STLMIGTMIVEFADLIKKFSWLRLVKVIVMMCIYLKVEFHTIISWLVKQVQEMYEGSRPKANDGDTVNEE -QSLTELVCDNQDEIVMTMSAIATVIFCSIFGKMPKWKDIRNYVMESVIGEEQGEEQGLTESLRNIHYSVM -GAKSLNAAYEFFSRWIEKFINWIIGQECKELQMVRAFNERSQAVLDWLNEIETMDADDAVLEALTNVNLH -NKIYALVDTGREFTKWTMSDKVPQNISCVIRDSNKKLMDLVKRINANRPGQGFRYAPFVVMFDGASSIAK -TNVMHEFTDMFREELKIPYFNSVYPVPTTAKYLDGYTGNSIIEWDDILQSPEQDALVAEFINWRSNADFK -PNMAVAEEKGKIHFLSKVIEMTTNNGQINLNSIRDMNAFRNRINIKFMCHLADGWTVARVKGLQEKDPNY -SFMLFDAYFANENGTDFELYRANMSFIDARELTRLHFIRWDQKQNNLVDNYLASHGSLKIPKGIQIALNP -EVGTAQMFEDGDQYEDAEEKYRAICGGFLLERSHDLSPDEMNEAELFEYIFDDADFIEKLRYYEMYQARK -QIQDALALNQALKAGRRSFWTIAKEMFNRGKDNIQRLAMEIYEKYPRLIQTLGLVAAIGTGYLLFSTMWN -MMHKDTSEEAYENHAKMPARKVIKAEMYEPHVRNPAKVMRAELYEPVVKNPTRIVRAEGVEEGSDDPEAV -QVARNKIHPLLYSFGWQKGNNPVQLQGLAIGGKVIMTPYHFFRRAKDGDQFYFVRGTDKIVVEFVKSRLQ -RLDDKDCAIYYVGAQFDSRKSILNCFVKEQDLGRLGKKIPAILVGVTQGGVCLEKSCKAESNQIIKYKGQ -DEGAEEFVQIGWKYDIDTLKGECGSILIACSKMLPPPSKIVGMHTAGYTSTRGGFSIVLTREQVETALQQ -IVARHGPQVFSAPLPPQVNTDQDTFEEQAAPKPEGAFTYWGTMDKKFCPSQPQKTCFRATPFQGEIFPVA -KIPAALTIVNGISPLKKALTKYGKLTTPFNAKHVRIVKADILNEMCQLEGDMPVEPTSIETAIFGLPGIP -YCEKMNMNSSPGWPYQCLPNSRGQKGKAYLFDAEARKISDQLLAKNVMEREELAKQGERYPSIWRDCMKD -ELRPVEKVKEGKTRLFTIAPADYTVLVRKYFFAFEQMFYKNHSKFFSAVGINPESYEWTVAYNRLRQYGN -KCCAGDFASYDGTLMADLMFEVGEIIDDWYKLNGEKDEQATAVRRVLIDEMIHTYQLINNCVYKTHQGNP -SGNPLTVIINTMVNVFYMRLVWMEIMSEKKPQWATMDEYHQNVIEEAYGDDNRLVIKQKVIDLYNQITIT -ECLARHKITYTDELKSGECVKYRKLEETSFLKRSYRIDSEIGKEIMLPKIDITTISGIINWYREAEFIDE -QLQANMRAALGFSFFHGREFYDKVFKQYQQVMRREGVKPICTVYDEQLDRFLAMIHGNEDGVYENFVELG -F - ->YP_009337053.1 hypothetical protein [Hubei picorna-like virus 50] -MNSNMCAFKTAWNGSEDFFKFVARKDQRVIDIWTRKGDLPFDVRAKPGVHNVMAGHPVDPFIWSMNLSCP -TNADDLVFSYGNVQGVLNIIWRMYDKDLQKFRQKLKGKFNDSGKKRWNAFYLSSCWSILSSIMRKIVKKE -EVQKSLHRITPSFEPVSNPAIQLAVGELIDAPRQVSMELPKKKLTTIEFSPNHEDLIIVPMNPGDFEGDN -ADIQEYIKRESKHDVMTRNLLKMRSSKCPTKIKKLRAKIDQMRNHLKHVSVDCTCARCCYRIILASKFSS -TLVNHVCNAKSQMADAQPPPNLRNKTIKAIVGSIRTVRNAAERHQEKMYVLCKIGRFAENLGEYDTMLVH -RQQRVIYNAYMAFHTFLSTTPVGALVGEPELLGITHSYWRQADKLLDYCNRYVDKINKTTECAKIVKYVK -KVQNYLFSVAVALREPFNPDNVHYVEFDDEDEGLAGDIEVLGLQEDLVYGAEPQMSDGAGATPPLKGAAA -PDPTKAPAAGKKDTPKGKTSASKKAPGKKSKADGKAVKRDPPKEKAVTKGETNKTLVLADERTVQKQTLK -KTKNLKAESNAEKNQQMTNVFERMMFIARYNWDSATSTDDSLDALLLPGAYFSFASQKAEKVCQPLTVNN -ILCNYGFFRADMKIRIQLNSSKMASGILLIYFEPFCFKERQNYATAQSFTNFPHAWVNVCESTSVEFTIP -HCNMREYFPLYWDNHAEYNYWGYLHIRPWNALRGGDSTSVSATISVYMGFENWEVHQQVNPKLPTAITFA -QDVIPIDFPGYSLETKVPSPETYFRVARAGDNLELQMEAGIGEVLAAAPEVGAVLMETLAKAMSRLAADA -PLANAAPANARLEIANVPAHGDGPKNAKSLSLTSCKQTPMKDKFMDSIAPCSYKDLLKMESYVASFSLKT -SHKENDSLFTWCVCPMMTRESMTKTVKLHSYGEQKVAALDNSWATAADNWTTDIVGLSPTMLSYLAMGHL -WWRGSLNWRFQAAKTAMHTARLGLRYQPSGGTTGKETECQKISQPSVIWDLQESDELLLTTEYAAPQPFL -STKWYGISGDAGDSSVVGDFACGIAEMFVVNQMKKGTGVSDTVTINLYITAGPDFEFAGTSDLACIAGIG -KMPTIKMSNDLIQAGQGFRHRYLEFLWAMCTETSKTHEDITYTPITGGTSIGTYADQKKAVEMLFGFEQE -DYVTKYLAKFRKLYGIWLEMAEHMSKRDVICQIPRRTRLTYDAALQFVYKTGLENKWADVVPARNVEPLF -RGLAIAHMGHHAVKLPWLPDLTLFPVQYKAGDSVVRLGNAALEDATEVELQMADKEDEGLTCDAPKVKGI -NPFTDGTNVGLASVKELLGENFNDMYQRLRRQYCVAKWVYPRYRDGTDTWFDTWQTIFARMNPEEFDDMY -WEIGIPVTPAQNIGYLGDGNAYPSVGSNKGKFCDSKEALAAIGLNWGNDYTVTPLTWLTEVFTFWRGDLR -FSFFFPTDVELHATVVHIPDDYGEAYTSVNSGSAVRRMCNFGNVLYKGNVMGELSVEVPWCAKYSRLVLS -RNGNSAITQNGSIRLFVKIKRDNWDRSIAKPPSNLETDAKYLPVDPVKFWLYMSFGETAELLVPRAAPKV -FMYKGWYDLVHNDKFIYDNYDEIHAVTLRRPLKHKLFCGVERVIRPTTDGGYEKSLKVSQQCHPVFHTGS -LETTHRLTEANLKALRALPEDFIFLYHKEPELQMSDESLITLKRTTLSTIMEEVPLCREDQEAIELKMLE -FADEKPELQMDGEETCSEDTMAVTKEVYDAFKRHGDEVDGSTYRLTMNEVIAGGMHTFTSIPVLLEKFNK -LMEQTSNTWNIADGTLNALKSAGEKTSNLMGNVIPKAWDAARIGLIGYAIYSFLNAEDWNGKAMALMSGA -LGMGLNYEIVKRSFDWLLASISSLFAKQEDQETVELQGEDEDDTGGEFARFVLRHASSLKIVASAVGAFA -IFSMCPSGVPKMENGVKGFAQSMVGKLRNLTVVGAGFKTFEWLFEWIGKMFKYAFEWACDLVTGGMLTKK -ALIVAYPKVLDWLTQIERYKNENELTKAAWDYEVQMKIWRLMDKGKEFAESIGKKEQFLANYIAQGSKNL -ADMHKTTVENNMSVPFRTDPYCIWLYGAPGVGKSAMANGLGDFIGDFVDLPHTNRVYARNEDEEYWSGYI -GQPIIMIDDICQNKKGHAVHEFIRMKSNNEVQVPMASLNEKGRKFRSQIIIATSNMGYPVPEDMASAKAL -WRRRNLLVKVSAGPNGQKGARYCKFNVMHPIQQDVIIKEDISYADLAAYAAQDARDYLLEQWALIGSNLK -GRKIPKWSAVEENADAVEERFRDAVFRAGGQIMKREVPTFADLDFLAGMNAANVQECIKKTTQYAMQGFW -EIVEPVVMKYREQKYGQAVAVAKAVEEVVKQVEAGAETQMTDEEEFNKLRDTAWDIQDTDERKYIGYKKL -LRTKLYPTSWTHVADADEIRKTLQSMYPEDTTDEIERRVNDWQKIFNESNRMDQMDTGESHTPTEFGECL -KKADFRNMFIKQGENWQILALPSDANDDQFQAMSVWETVLKDMEMKDRNTLLDKLMKREADQTKLHEPGV -TTTLRQWVNTKTQAVLKYFEDHPWIMKTLKLGAMAAGFFLGYRLLRWICKDYTDKIEAKLCEYFGLLKET -KYVKYVKDKAVVVKDWTKNTALVKKVTALIAKETGSDAAETEACIEPIVEDALKNGILPSLTSVEKVRKK -NTNAYYVGEKPKAVPQGSADSTADSVRNLIVGNFVRIKAFTANQMRSMLGIIVDRNYLLAPLHYFDQMEE -RTEMTITYLRGNQKETHIEVFSSEKLRQLAKLDAAIYQLGFGFVPKRKITQHFMTLTDLPHVSKTGANLI -TLTPEDLVIQHVVDAQRRGGLVYKDNCIINYDVENLNIFEYNAGTSKGDCGSLLIARNNGIRKKILGMHV -AGLPGRDIGYSVCVPAEVIESTINSFLDDEAIIQLPEESELQEAFPHYVADPEEVIMPKGEFRLQGIIAK -SLEVKMPMKTEIRKSPIHGKIREPVTEPAILSVKDPRWTFDGTPLYNAIEKYGKESKPFYYDDLKYVVKD -VASDWYSWKLIMQPRVLTDHEAIFGNETIPFCDRMNLTSSAGFPFVKWNSKKGKSWLYDTETFDGIKDGR -YREMYLKREDNAKKRKRVPHLWISCLKDERRKKKKILQGKTRAFMIAGADWVQLYRKYFLAFFVNFYANA -GQFYSAVGVDPFSMDWTVIFRRITTVGKSGFGIDYENFDGLTDADLMILLTIEVNKWYKVHDPNWTEEDD -NVRLTLASDCVHSLVLVGCLMLWKKKGNPSGNPGTAVINTKVNVCYARLALLAVLRMIKLVCKIKQLFLP -NELMARLIDTPMKLYRTGVREIAYGDDGVYGVAEWLQSFFNLKTVTDALAMHGIVSTSENKEDEYEVQPL -LNCTFLKCGFKPLAGRESFYLAPIAQDTIYELTNWVRKSDDDIAQLRVNLQDALKFAFHWGLPFFRKFSA -DVNNVLHEHGMMPVVSSYHIERENFLDKCM - ->YP_009333537.1 hypothetical protein [Beihai picorna-like virus 104] -MLRFFEGFDRISSRQQWLEHMVQQLPETIQKLIYTPDIDVEVEINTKIMEITGFIQKHRDNKTSFYNTNE -VRLFKTYRSWLDVQSNCLACDANAPRNLLTVLNKLKNELIDIKGKFIDEDFNPHPIEPFCIYMAGEPGVG -KSSIAKYIGAAINNQAEKVSQDNLFYVKNPGDPYCTGYTGQFCWIYDDFAQQVSSQDGLDLIKYITPQRA -PLNMASMNDPSIGIKGTKQQSLLFICCSNNAYPVFNNLESQEAFLRRRHVVIEMINQTRDPLKGMFRYLD -KFQNRIMSPNMNLKELLVDLIHRYNHHIQCQTSLRNQYMGVMEDFGPEKLVLGNNGIEIVAQGSTGRNSQ -ERLSASDMSVLNSLSRADVDDITQGAESARMLALYRRVARASNMDRGIEEFLDAREEDNQVVTTTDVIAA -TTENVRYWTRLKNRLKYRLKVLLYAFRQYLCSDVKSLLSIFTIATCTAGYLMYKNGKESSQEQITTEGSS -SHKNKVQKHKEWLEQQKLAEWNAKCYNQYMDYYDMEYEAEGVITMEKLTQIINKDSDNVTLSIHTNSDRN -KFERILTQVSNKENIIKRTGNKVVLKTDFGKLIVDNNHKQEIKNEKPEFKQVESEGCEDIEALNISKVVS -NQLMTITTVCYSGEDVSRTMTMSALPVKGQLILAPRHLFMYQGKYMTGTIKLYSRGCPLPILEFDKNNVI -EFPGEYGPADIVLYYLGARSRSFRDITNLFCTKRTLSNLTEKFDISLIKQVNGDIIHYFTKNTEMTVSQK -SYDASGCMTVLGKILQYDLSTEKGDCGSVAILFHPSAEGKIIGMHIAGALSISKGFAKPISRELLLDRIA -EAEKKFGKQLNGVGIERIKNSIISAEGKEIDIDLPVIGLLERSNFMPTKTKYIESEVFESCGRHRCEPAI -LSPNDARNPAHVSPLYLAVNKYAEQNYFIPKDIMVKIKTALPVLFKREIMTPLREFDMETLINGNNEEYI -DPLNMKSSPGWPYDKSKDGKRHLFDINENGKYVPKGLLEERLMERRQLGEKGERIASIWTDCLKDETRPM -EKIRELKTRSFSVAPVDFNIWLRYFTMDFKKRICDNRIKNGIAIGINPYSLEWTMLAHHMTEYSSTGYAG -DYAGYDRMLHSELMELCLNFVFEHFSNKSVENELFFKTLIQELKFATCSANQYVYLRTQGLPSGADFTAS -LNSMVGICYLLYAWLITAPCKMQNVASFIANVRYQVFGDDNMFFPRDSVKKFYNFESVRDALKLIGITYT -PETKDDLTNVAARPIHDMQFLSNGFRPEGGFYKATLKMISIIEMTNWITNKIPSHQATKDNINTALRFLY -FYGPNVYHKFYKLWVEWADFTYDVLDNIYITNGGFDDILDFENNLNIWKVEPQGNTINHIQNMQGWSHIS -RATLPSEITGDKLDNKFKFSAMDKPAVTLTCPPTAIKDFQGLSNASNIEYINKLALNPSTLQETTSANTA -EEEDEMSVLYIARKWGFIRGAVEGTPASWTVNYNIMSDYAIDPCYALCFASVNPFHISPLTLSAMYANFW -RGSLEYKFIFFTDGFTTGKVCVDFRYGVYDKYVDPAKTSEKNSQYSVIMDINPHKYIFHVRVPYMHAAPW -LNCRNANYTASLSAKNYEHCVSLGFMNISVINPFTGSSGERCKVRMNILERAGEDFEVAGSFSNNLTVVC -EGAETEIIGNNSSMHVPMGEVAKSNGTTIDTIGSSKVESVNGYGSTQVSMNVRNISFEYFFDRWQQIGTS -TLITSSSPALYIDLYSKMRSVVQWDIILKQYVYFKCAKAQVRIEVNGNPFCSGGLVAAHLPVVANYARKT -EITEFNIVNLQHGILNYNTTSSITLNAPFIIDSDFVSLPYHLAYDTDTQPGIIDNVCPLGSLLLNFSFAP -LQRVGTTPQSPSYAIFMKVEDPVLKIPITIRNVTTRVEDDGIVAKDINVVPEGSESYMQNMDSEMITVGE -YITLPKDVTISDPIDSFRSLIRKYSTFDLLLRRDADLDLYMKPLEVRQTNIMPFSKDYTTGFLRLMPRIF -ALYRGGLRFKIRAVTVNSETDDSTVVSNTTLKLFNASMKAKHPVRIAVAYTPRSYATDSTDSSVFQDYFV -NDPLFPMNSTPTYDMTVEAGFLEFEVPFGCNTNWKSFNDTDNPSFASDPILRAIIYNQNYSDVNVNLTAL -AAAADDLRFKSFIGVTPARLYKTKLVPDAYA - ->YP_009330031.1 hypothetical protein [Hubei picorna-like virus 46] -MKMSFTYSVDASVRVVTRVCGVVMETTKSDWVGEFDYSDCREAMSEIRDHVIDDIEQEIQRIEAERLDAD -NEVDDTPLGYEACFHWDREGYARAAKDVEDWEYNFQVRRQQQQLVIRTPTESEAEQSDSGCSIEEPGWSL -ADEIVDNESSTSEEEQEERFDFLGIKFTKQELYDRMWNRKCSTCDEDDSEDEERVQELMNYSWEAVPSDE -ECVTQGDESQRLFFEHYPDCLDWMHDMEAACEDYCFNYEMKHDCDPPIWSERMDNVVRQAKKCKTMQKSA -NVIEKWMIDNELFEHVKIQPEELEAHHYKAMFYEWSPGSTTFWCSNRWRAHKDVWLLAHIVEYFNGPQTQ -GVQNDLEESESLSKFENVTFVDERPVEATPAHINGDKHSADQHVEISEIPWSVKQVLEREQYIGSFTWKV -GDVGNLVNIGMPKALVKDGSVVQQQLRLCGFLRAGVEIRIVMNGNKFMIGRLIAHVEPVRFKNDDGLYQI -QMYPNFPHVMLDASVSNSAVLCLPFCSILSYFCQRQGALEDDSINTIGRLYIDVFNKLQAPEGGTQQITI -TVYARFLNPAIHQTVFSIDKFSYSDGFVTQGLEDGNLVVNRSNQPPCFGDVKDNTVMLSLNRNGGKTADS -QTLQGIDDDLKKAIQIPSLAFQGFWKTSDVTGNTLYSFPVSPSYVGPINVSIVKDHGVVLRPSFLNYISR -CFAYWTGDIMIKIQVVGNAFASGRLVHIFDPSWVPSYGAVQDLDTLMNYNCVIQDIQEQQEIVIQVPYTS -VRPFLRCDHWQYQELFDDIKDTAKLSESFMGYFRTLVLNQLVMPAQMTQTIEVNYFIYAGPNFSFRVPVD -LQPLDVDTGVTSNRLRREPVYPWITGASVDPEYENKDYIRLIWSEGLRLFKQGWLTNVAQWWKSLPVTGK -GELDEVYAWCYKARQLIEYAEDTEESPQKILMKSLGRFQVPITMAVIPEDDCETQGLEEFVTGRVAPELT -PIVITKGNRANNPPEIEDNALSTFLHRYYPLMIGSPHLGLTGFTMYQIPVNPCFVPQQREPIKGMLPPVA -QTNQLAWISKMFGFWSGSLRYKIVFPNTDSDVYVAYVPQENMYFRIHSGVSVQDVHALMAYAGDVAVTHL -QGSIEVTVPFSSPYNQCMTSIRGGHYDARNQNGTLFIYVRNKLQSVQPFIPALSVFISAGSDFKLNWMLS -PPNVYDGNIDFDCNETKFEYLQSESHKKMTNYGMNVRTPSNAGWDNVNKITVMGFCEGEPTTQGLGDYLT -MPFTFMKNVNKAAISLPAQVEQFNKSVEEAKDAIESASKATSEFSDKAKNYLELAASTLLAGNVVRSFVK -VCKEATAGNFVDLALSVASLLGFNIRRQIIETINALLNYFSKEKEQAQAQAMNGAILNVVEDNEGLITKS -VAVLGTVIYAYVFDCLPDFGKLKEWLKNFLVAEPVAQGFDLRGAHFGFLGMNALAKVFDNLVHYAKKFVD -WVLERDSPETLLARKAEKIRDKVLHLIGRLDALDNEMEIVRALQDPLCHNRFYQLMEDCMELTETYLKEG -MDVRVGQLLTECRTRARKLLTRLERENPTHGWRYDPFVVCLHGETGTGKTALMREVSDVIGHKLQVSKYN -RVFNKTINDDFWSGYNGQPIVHWDEFGQNAQKDATVAEFIELRGNDPHKLNMAALEEKGKYFTSQAIIMT -TNVPYHTFGTVIRDKDAYLRRRHLMIQMKHKPGFNANVLQGQEGFDKTFNHCEFYFTGNMDRQDSDGPYT -KEEVMERIDEEIEIWDIKQRVMYEEYISSRGLGHLPRGVILELEGVNLEEENETIVLPEATEPLAPPVEI -TGSNGVTYRVAAIEKQNPQNMCMVMGDDNLLCAKLNSLEKKNIEREKAYYKMTYNLSNCSAEDLSQCYID -KAAFCYVPITNTAKARESIVEFGKRKTYGLYYKAKALFEDIASKAIDIYKKYPKLLVVTAALGTLTAVVT -SYLLIRSEDPDVEAGEGPSCAWQDEDDQPLDKHAEKIIQRKIRAEQQNYENTQAKKGVKLVKAEHQAYGD -KPHAKKGVKLVKAEGNEDQNSTDIRKVVHPNLVVVGWMKGSSKRTTLRGLHIGGQLLLLPFHFFNGATEG -DRFILAHRLNPIYVDFEKDKLARLDDKDWCLYDCGPRFEPRKNISKYFIKEAHLGKLTSLNAMLCNIDLN -GVYVVQKGLARAILNFKYTDAATKDKYIQRGWETNFATEAGDCGSFLMAMTPAIPAPGKILGIHTAGYVK -RSQGFSVLITYEQLQQAIAKLPQQVYGLPVPKEVDRDESVTGNIRCAPEGDYSIYGSMPNKLAPAQPVKT -DFKKTPFSEESLEGIPCTKKPAHLHPFKNAEGNMVSPLRIALAKYGQGTIPFRSRNVKKVKKHLINMFKN -KLVGTERRVFSEKEAIVGIPGLEFADRLNMKSSPGWPYQVLNKKEPGKFYMFDEEGNVVNDELRQRIDER -LSNAKLGQRVPSLWRDCMKDELRPVEKVDSGKTRLFTIAPVDYTILVRRYFMDFAINFYGNSCNFFSAVG -INPESYEWTKLYNRLAECGNRCVAGDYKAFDGTLMPELMDACADIINAWYDDGEENARVRKVYINEMIHT -MQLVENCVYATHQGNPSGNPLTVIINTIVNYMYLCLVFLEVYPEATLDEFEQETRSVCYGDDLLITVRDK -FRKFNFNSIRDILTEHGLGFTTDRKSPEQEEPDFVELDSVTFLKRGFRVDVDFGKQFKLPTMAVDTLNSY -FHWVRKSEEEEEQLQENMRSALAFAAFHGRDFYDEYHNKWAECMRSANYSPLCITFEEQVDAFLVAANTA -NLVGADRQFFDNLTEGQQIGEIHSVFGVPIVKPLTPREKFWQWIKLGAYGITAYCVLRAISWMDKQGRKV -LALDIKERERNSRWAICIPSGEGKTTLARKYPDYFVDIDDLILFKGEYPTQEAYVEQKLYEKYGVGKDKI -QLLPWAKQASCYYDLQIWWVEWIRSEDIDENDRRILLTHAPMNTYRKIAASFLLPEATHIRNNEVGREML -KKMTGVKYCDFDEREKLCLQIVEEKEPAVYAKEAFIAKIERTVGHFTSRVSF - ->YP_009330013.1 hypothetical protein [Beihai picorna-like virus 103] -MYVKNPGDPYCTGYTGQFCWIYDDFAQKINSDDGLELIKYITPQRAPLNMASMNDPTIGIKGTKQQSLLF -ICCSNVSHPIFNNLEDQGAFLRRRHVVIEMTNQIRGDPLNSTFRYMDRFENRIISNAMNFKHLLSDLITM -YNNHMNCQDILRTRYIETMADFGPHRLNITNGRVTLAPKQEEIVSQGFEVENQLESNLGSRLYNDIQNHL -DDTLSGVEDPRVLNIYNRLIRQPEFLDAREEDNVELTNQELQVALIENKNYWQKLKLYVFERLKSMARAF -KHYIYNLSFKEGMLIGAASLFSIAIITKYASRQQNVLEHIEIEGSSGHKNKEAKHKQWLDQRRAQALWDT -CKKNVPKNYYYDQDEYEPEGKIDEILNVIKDDNQLSLAIYTNGNRDSYNKLIGKFKNNKAIKTSMNKAIF -QTKQGRLIIDNNVKDYLNQITQTKDKINAVVSEGCEDQEAVNVTKVVQNQMLNITTICEENGSVVRSMTM -SALPVKGNLILAPRHLFMYKGEYMTGRIMLTNRNISLPEIEFDINNIVEFPDTENGICDIVLYNVGPRIR -TFRDITNLFCTRQTLSQLNSQFEICLVKQQDGEILNYFTKETDMITNDITYDASGCKVVLGKALQYALST -EKGDCGSVAILYHASSAGKILGMHIAGAKSIAKGFSKPISRELILERVMYAEKKFGPQLNGMGIERLKNV -IISAEGKDIDIDLPVIGLLEKNTFMATKTKYTQSDIFESCGPHRCEPAILDPHDDRNVCKVSPLYKAVNK -YAETNKFIPKKYLDKIRTALPVLFKREIQQPLKLFTMEELINGNQDKYIDPLNMKSSPGWPYSKSSEGKR -HLFTLDTNNKYVPGEELQDRLTRRRDLGRQGIRIESLWTDCLKDETRELQKIEEVKTRSFCVAPVDFNIW -LRHFTMDFRRRICENRISNGVSIGINPYSLEWTMLANHMMEYSNTGYAGDYSGYDRLLHSELMDMCLQFI -FDNLPQQSAEDRLFFKTLVNEIKFANCVVGKYVYHRFRGLPSGADLTATLNSMVGICYLFYAWLYNAPNK -CQNVVSFINNVKYQVYGDDNMFFPRDSVKHFYNFTSVQCALAAIGITYTPETKQNEIISEARYIHEMSFL -SNGFRPENGFYKATLKKISIIEMTNWISKKLPSHQATKDNVNTALRFLYFYGFPTYNLFYNKWKQYADYT -YDVLDEIYIENGGFDDILNFEMEIESQGNTINHIQNMQGWSHVANASLPSEITGDKLDNKFKFSSMDKPI -MSIACPPTVLKDFQGLSNSHNIEYMNKLALNPNSQQVTSSDNTLEETDEMSLLEIGRRWGFLRGKIETST -WVKDAVLIDDYSLEPCYALFNASTSPFNMSPMCVASMYNSFWRGSLEYKFIFFTDGFTTGKVCVDFRYGC -YGPYVGPTKTSERNSQYSVIMDVSPHKYIFHVRVPYMHAAPWLCCRNANKQFELSSGNYMGCVSLGLMHI -TVVNPFVGSGSDRTKVRMNILERMGPDMELAGSFSNNLTIVTEGKEDIIGNTSSMLMKMGEIADSKGTTI -DTIGSTNVDNPVSFKQDQSLSMDPNNVSFDYFFNRWQQIGSSEVISNSSPNQILDIYSLMWTRSQWKQIL -SQYVYFRCAQAKIRIEVNGNSFCSGGLVVAHVPVTSDSTRLNAITDHNVVNLQHGLLNFNTTSSVTIDAP -YINDTDFTSLVYQVNGSTVVSGLGKIDQIRPLGSLILNYTFAPLQKIGTAPQPPSVVIYMKIEDIVLKIP -IALQVVETSSAQTNNKTQFEIENQGSEYMTNLDSEIITVGELMPLRKDYAIGDSVTSMRDMIKKYVPFQL -FKKTPADANLYLDAHESVTVRLPSLATSDFSSSSYGANGFLRLSVQLFALYRGGIRYKIRATTVNSETEE -ATGVGAVSLSLYNASMKDKHPVTLYATFSPRPDASDSDATDIYKNYFTRPNINPFTSTATFDITTSSGYL -EFETPFGINSVWKTHPNKTSDNSTNNPLLCDAITYVFFNNENNSDIKVNVVALAAAADDLRFKSFVGVNK -ARVVTYNQEPDAYLA - ->YP_009330044.1 hypothetical protein 2 [Hubei myriapoda virus 4] -MPRWLTTCVSQPLLHRGETHHHLISLNSTRKMETTNLKVSDVSVQQTTQEILARLRNQMTETEKRLAQLE -TGISDVTDFPLKRKMKDTTKAQPRTRPVKQSSTDFFSILESDNGTMLVQEFATYYVDGVEYQHMFKPRCV -IVKPQPKQLPNEIFYTLNDNDRKLTTDAYLAKLPKSPKRERKVYSPRHPRKFVPEDKPQCCMPASELTPN -EDEVDCITEELLQDYMPIKRTRPTPTVEPEVVEDPKEAWNNHSHAPAQIVRYVPSSEPDQTILHEFTEDD -ISPTKVILKKTTSMRVKGSLVELTDAQVKRQMPSWARKWFTPTLKPVCEQKVNGRKVTKCQLVNEKYYHV -KSADSLYRDDVGKKYGQYDHLPELIKRVVAEPKPEVTCDTVEDFVEGEVFKHDLSPKSEEVVRNFYTRKV -GKLHRIRPRAPEEGANFIKAVASREPEYSAIQDHDYEEWRNVFKHADFESRVYREEEFFDIAPFKLATIP -GDTVPVFSWDNYLEYHYRDNDHHPEHHLAPMNTLCSLHCVTDVYVSLLGAMPVPAARTLLGSLMLSPKFS -KVEDGVKLAAFLAELPVEAFDKNARSWRTDDDLHKQTRTCLGVPEEMSIKDWINSHQDNGKWHKHFMWKI -AYSPLGIACRREITAHIMAVEATMKAVHQIDIKHDKDKLSASMFMAYFLQWAFPVMQQGFFSGVKEALSD -AKGMVYTARLLKGKYKDLKQIVSHPFEYMKRYLSMNGSIDLGVSLILHLYKIMTAETWAQTVLEVVHAMA -SAGIMTTSFQFVLAFIFGGIGVRDTDATGRPPPADIITVSRPTASTAIPLGTTVSIEETPRAGIFNRMKN -RFKKLIKGEPKPDDSVPTCALQPPRHNQPGPSQPTPSTSTPKPTPLPPAPTPSSGLDRLREEIRSEEKNK -DALKQRRRQETEALLNVMGECAEMIDHHVEDGQTFTEIEDITDWTTFFDNVNARIFNFGERIRTHPNAED -IPRLRASYAEAVRQSGILYKTFTIYRKSDPGTIPNVLKPELAEDIEVAPPASYPSMPTANLSPVPEHEPS -PSPPPSVRPKRKKASEVKKMAKDVAGIFTGLRDVASSIVDDVRKATTSSPPPTEINILQPSIDMKALEAA -LYERETQGLNACSTRPQLNFSSSLFQAHAHTPGELRAHSHPKYPVVPITEDAAIARATTFILSRREEIEV -ETRSATRWLVHGVPTVQQTHVSRLAVDLDAKHCSKDILVKTTSGEERTVTMLVIHKPCGLALRFQKFDPV -HFLMILKDRNQKAQTDYLLACFYDEFNHVCLLPNMADPTKTANDDEIWTPSPPEPVPEPEPVMEEGFSTI -DLVVKAMFAIVGLTVCKGLVSTETFNFWSREGKANIKALNDSFNISKNVASILQHLGAILRSMFVFFTKK -TGEWSFWGTRQVVADVEQWRQRVMEVTSPGNLVDIGINKTKRDQIFNLGLESEKIYMRALSDKVDAPILN -AIRSICDEVRKLVDKCTNMELAMGTRYTPFSIQVYGSPGVGKSTMINSVVNDLAIATHISPDIYAVNIGC -KHWDGYKGQKFIKFDDLITERHDLENLKQFMSVNSNDEYIPPRASLEDKGQRVEPWCVVSCSNVPYPEDD -LLVDPVAYQRRRHKLIGVKVRKEFLKNRNGDQSPSNIDWDKIRETEKDTDRFPHLVMRYSNPVGNNDSVE -IQSGGWMNFEKMATDLTRLFKQHIDREEKIKTTRSRPRPLNPEYEVNIQQAAEVGYAKELSMYGSVTLSH -FVSRHPRAYDDTILEAIRLFWMRVYEQQNIILDEIDDCTFSVVPHGWQNFAQYTLTLLNDLSKTNEVAFE -DYKSAARRNFPVSFLAAPEFSFPGFVNARAFVVNNGPINHFSHIYHWFVDPEFIAVNYKPFFKPFSKFNI -KLRSDLVNTLSPAPRANYRLHKPSNERLTLRTFDHGDAAWCMHYWRRDHLGFNRPWIRTKNGHAISHLIC -LFACDPQLMRKHWKELQSFEYVEHLPENIRKQYYDFIADARSRCSVELEYDGDFPDDDRRLMMQIINMIA -PYMGVVQAAIPAQMVTPDEYSPGVFEKIACNIRSIGRFLYNILCLDTNAFFQWYASVPWWMAILVYISGV -VGAVLAFGAVVDILSMLWTNRQEISAAVVEKAQVITTSATTKLALIGNSVYKKIYSTDKPTKEQLDEKAE -EARTEIGILHLEQAKKILDPEGSGYGGNKNTHSNPIIIRAQGSNDLSRLETERLVFSHTYPFECMTRDRG -ASHGYMIAIRGRHFLTNAHLVRGDVSYVKVYDLPGSPIVDGRPTLTIWSQNISVLPNKKRDIAFLEFTDP -RVPCSRDMTPHFCPTSYSYRHDQCEATSLSVSAPHYLIGAPRAFQDFPSHAVSSVEVTSLLEEVNVIEHA -FTSNLSAAGLCGAPYVRTSGESDGRIFAIHGAARFGVPVYREDVIDYVKGIPTGNWTAVAEAAYRAPAEG -ATTKPLPDYVDFENRVFQLGSIPTPTANTKTRLHQTPWFEQILPNEVAPAVLNTWDSRNQSKFDPILLNL -TRKTVSAPPEPFNEDDRLEFESGVKQIIVSYGYDMEPRPLSFEEAIVGVDGVPEIQRMNLNTSPGYPYTL -DRPTNGKRSYLTMGDTPDCPLLEISEVLESALLARLLEASQGKKTRTFWTLFPKDETRPLDKIANVKTRV -VSVPPMDYTILWRAFNAHWIRFWYLFRQYLPNKIGVNMDSMEATDLAAYLAQVRCDGKLLDTLISAEDAQ -TYDGSHVDHVSYTKCKNFFYQVHSGQYPESELPTDYSIVEDDRLSWLSKSWCPVHDTIRNTLDEELVGQH -VVYADWVFWVVFGMGSGHPATGDFNGFKRWQIVFGAYCKAMRENGFPLLARFHHFVTWVRQCNFGDDHLR -SNAKLLDSMFTPTAVVAFAKTLGYTFTSDVKDQEADELRPLGQCTFLSRSFTYMKENPNIILCPLKEISI -AGLCNWFRESKYVPQRIQQIRNLEDAARFAFSYGKDYYDRFCFRALRIVAQHGYVAPVFPTYEAARDLFF -AGL - ->YP_009272812.1 polyprotein [Washington bat picornavirus] -MAPVFGGKLFLNLNMATTQGNQTYDREAPSAIYEPAENKPAPTMSEDLVIEFEHRGRLMRSRIVGTASLI -YHLPLDGEEVDMFLKTYQSPFFDKLYERVIVAVGVKNFRRIVNEYLCTSQYRRGEMSYYNDQVFGTRRRR -DAFKAHLEKYLPKEEDEVAQAESLQEDGSTVSIIGGNQFYTRKDLREARDSTKRFVVTCVYEALSSWPKE -RQLAFKSWFARKMNEKHMEVQKRMPFEHWAFHENETCYNAMLSWMNSKKNREQKKEETPVAESAGEESNV -DQQQNTTFTDQREIVRDQGYVTSQELSNLKEYSMPEDEWHVRNIMAKPIPFYTGDWSTGTATGTIVQRWN -VPGDTLFGPHYNMVSTFTYFRGHPKIRVQVNGTKFHAGRLIFAFIPLYDHANYEDKLYSISSMTALPHVI -LDAGIANSGELTLPFVHLNTYFNSVSGDRPWQTLGTLVCAVYNRLRAATTSSQTVGVTAWISFDNCELHQ -PCFAHDVTFPKYNKDKRDKLETPQAESLVEGVLKSALPLVKDLITPEMTSFGNVLGGAADQDKPTDPVEI -TRWVPNVVSGLTKGDGIDRCERLCLKAGGYTIPDADLISTTQDDMNLLQLMKIPTRLQLTSWSSTTTSGT -RIAHIPVAPFVIEGQEVDSSIVPPVDIFRPTMLSYVSRAFKYWRGGLRYKIQIIASQMHTGRLMVSFGAG -LEVGAKEFSKASYLNTYVIDLQEMHEVEFVVPFMAERPWLRCDVTKPVSGKFSAQNFSHTGWLDIFILNK -LAHPESVSSDVEVNVMISAADDFEVAFPSDFAYYQGSGSPISIAFSETAQAESLVEQEAVTTRQDEGVIT -LGKGTGRLTAAGPTTMGEDAMSLKTLLRRYTKAYHNHHGVANEYKIITFDNTPVLSSVNKCFNKQQGRQY -RTTLAHFSELFTFWRGSLRYKMVYKVAASDGNTPALTLRVFHIPGVFNFGTFTPAAVSLPKKTTMEALEA -YGTQVAVSELQGSMEFEIPFYTPYTQLKTFHSGIPNARSATGKVVCVLESPISMSEVHINLDLYQAAGDD -FTLNYLRSPPKMQFVADADDNRDTDDTAAWLFPDKVVCGDNAAPEHETPQAEGLMDYIPGVSHVRATASK -VDIAADKMITVSDTANHVMLQAAKKLGIEALSEEEEEEGATTMFEDASSALAKLSSPLMPIIETLLASLK -TLPDSLSKFVRQDISAMDVFIEISNLFSGLTAFQHGTHFVQKVCAIVTIVSTIVKDITSRIRNSLYRFAA -TVLEYFNGSKEGNALPEGESLSIDLVAPLAASMVVGMGIIGFKHIPSDKETADMCKGMSEKLRLFNFSTL -AVTNVRKLWNEVKELTQWCMDWLMEKLQPQLLAQLKLEREFEDIETWTLFIDSLEKLPYTDMIHYDIEFK -NKVFRAIDQGVKYNNLIVTGKCGKAASIIRSYCMKAHEIGVKCENSKNELPYRIDPYCICMFGTSNIGKS -GCITTLGYDIMDTLGYPLHNRWCAINCSETFFSENYRQQCAVYFDDFSTFTTEEQYNKFMNLKANTALPL -NMAFKKGEYFNSQFIFMTTNTPYPQPNFVTNHEALLRRRDKLIEADWIEDPVIQAALDNGENMSEHRKLD -NSHLRFRVVHSVDDTKTPGPWMNYAMLRAHLTGEARHHLAIQQRKVTADLERAGYIMPVAEAAEVRRLCL -PAIANAPSLKLLEPMLWKHMEWDSEEEKFSLFLKDAEEDAEYLEEQWNDAQQFFTDMGLDIQTVLKNHSY -VVKDTTPLLTKIRGSLNELKEWLKKTVTKICEDHPWIATIAKWGIYIAGALAAANILFNFSKKVIHKCAC -SVIRYFGFRCGLCGKWPALKNVGHRWQQWIIKEWSKLYGNEDYTDGHVTTIAEEQALKAATGDQTTVVDY -ELGTKKQAEAEGVYSDVTKGMRPIRVTAQSGPYSQDTKGAPKLKLTAESQAEQAETIISHRILKYIYRFK -TKGGVGISSQQTNGFAIGQRKVLVNSHLLYGLKDGDLIETFHNGRWIEFEFHEEICKRVPNKDLVIIEMP -KSFHAHKSNLPHFISEKDLPYVQNVEGSLCKMTTGLDHLIVDNLRVKPMKQVRFEQNTLGGKVPYFVQDA -WKYQKCTQFGDCGSPLICTSGPAAGRILGIHIASTSKESYAQLITREMLSGLLDAQLGTPIPEAEARLGH -TVPDGHFGRIGCAGVKERMFQSDKTEIIETEIHAMITPPETFPTVLSKRDPRLTVKVDPLKQGISKYAGV -SKPFPLRHRKIVNEMMQEEVKLLDLVRENPRPTTWEQACYGDEWIEGFERLPKNTSPGFPWVKTRPAGEA -GKEYLFDIENQRMRRELETAVLQREEMAKKNERVLSIWVDCLKDERRKLKKIETGNTRIFTIPPVDFSIV -LRKYTMDFNAAIWNSRDTNDCKVGIDPQSLEWTTLYRWLAEFSPICVAGDFSNFDGNMPAEIIDDVRGDI -DAFYEFHGECSEEDKNVRRVCFEEMIHTVHLARDEYYMTHIGNKSGNPITVILNSRVNKRYMALAWIGIA -EEKGLWDFASMQKFRDNVRCAIYGDDNVLAIKPEVLEWFNQETISEYLAKYNITYTNEEKSGITKFKNLD -DCTFLKQRFSDHTEIKMIKVPLMAKTTINELLNWTRVAPDQDELLASNCNDALRFMYFYGKNEFNMFRDK -VLRALLEKEKKIVLRTYTDLHLWFLGVIGAREKQ - ->YP_009315888.1 polyprotein [Burke-Gilman virus] -MASCQLPPAYRDSAFTLSRHGGQIPQLCFISLGYIIKPGILYGMFENLVPNRFSLKVPTMESYLLQKQTS -ESVIPTLKVSKTVSSVSTQNAQCSGEHHSPQSPELNPRESFETRTGPSYICDTDNIKFVNVPLTDARSPA -RSPPSLSDLKHFKPRGRKISGNLPRKHYKFVADFRNYSDRAESLYKKISWMRAKIDRNQEMIEEFDKQHP -TPWHSPLASDKWIHCTNKCDECYSQYARFAEELRRRHKCRHWGNAETFDGMLSDSDSDINVGNAQAGQSV -VTCKPQESSRNHYIAMIAALDQLIFTSRSNRDVHGNNPEQREANARRRLRRLSGAVLTFIRFLKDNGFVC -SYGRSVSPSLDNWYDEMVDSLDRFKINIEKAHTQAIYDFEVMHLHELLKNWLEMTDNSGRNDGPFCFVNR -NNQHFDDVPLDVGVAQSADTADWGALMENDDPGCSKMMAKESPIEYQVEEHQSNVVFQEDRAVEHVTADV -YVPNIPVKPKGICELPNDLENQFTRPITLVSDVWNVTDARGLFKIGRILPNDMFVGACGGEGLLRILNTY -SYFNCSYEFTIRLNTIKANCGKLRMIWVPFPVQFNTRELEFNTFIKYPNVEIDASSSTAGVITIPWQHFR -SYFPIGDDPDHAYTYTGKLYVVVWNQLRIGPGSQNNVGYTIQARFVNHNLYGSHHLREGNDPFAVNDIGY -TGSASTFKDPLYRTEVSGILDTLPGVNVGRAQSFEAKFAAKAMEKASQMVGGIMCDKPTEGRTPLAVQIR -TIDALAHCDGSDHSTRLSLHTKAEVDVNSDVAGVSGDQMDLTTFTGEYTRIQVKEWSVTANANARIAQFV -VNPCVSLYEEPTLGLYTGFQPSFLAFVARQFMFWGGTLVYRLTAVASDMHTGRLQVRWMPDANTDTEESQ -MPFCKKIQAPNDVWDIHEDRVVEVPIPSMFPREWLRCDQFLPLDANDPTYRYNNGIGRASNGILTITVLE -PLRCPSIASSTIDINIEICGQNIPGHPDNFRLAFYTGFDNALAIGVPLSKVVNSMGLNAVQQTFVGNDCF -EARVCAYMFLKVKELAITVDKVNNSIWQNAWRAVKDDLSPFIANIRNIQLIETFIEDPSINNFVQINEIH -YMSGPIGSRLAAFAGSLSFKAWSKVSLGFRKLLFDGACGPASNIEQEAKPSSNLQGIVKSSIFKEADVTT -SIFTSTHVCPTGNFVGEAQSAKPDGKAVTSVAAKKQNPTSPLIAVGKPMTTHSDRTMGESWMSLREILKR -PACFWSFNLSLEANVPTIVEVPVRPMQISGNTPDRRLTALSVFSQLFTFWKGSLRFKLVMPGNPGMTAFG -MHDPVKTGAMHIYRTAEAVFMNETQKGMAMYGGNVMNVVEVETVFVSPYQMCSSFDFDHGLACQNGLVRF -FLKSDVTRSVECMVFHSIGDAFNFYVPRNCPAIMFNKFSEFSRDVSDRNRNEGLCNTRSVEYGYKREIVR -SMDTSKIVPQYDIQNFVGIAQAGGDGDDVVRQYNIVSQENDASGTHILDQAVFDEVDGAFQGIIKVGNFM -NSNLPMIEKSMNSLNNVLSGLEASSRLLPKVEKVIDKMGNAAEGVADTTDKMSTVFNMTRIGGIVLGVYN -LFQAEDWTTRVLALSGIGVALGVTPEIAANCVNWMFSHLGYSDPVWKVPQRKVNDVGSAQSFKEMLRDYS -GCLKWIASGIATFSFFSLFSDTPDPEHVKSFASTWCDRLKQFHFAGQAVKTAEWLFTWIGNVLQSAVDWA -FDIEKDGFLARKIIADRSDDVLDWLDRVNKLNNEDELMETIWNPDRQQEVWKLMDQAKEMYKLVQNKGVP -SSISTYISHGYRKVQELAVKQANLNSPVPSRIDPFCVCMTGDSGLGKSSILAAVMGYVGDYMDYARTHRC -YGRNSADDFWTGYCGQPFVVQDDFAQDGSTTTEIMEFINMKSNFSMHLNMASLEEKGRPFRSHFILQTTN -NPFPTPSDIACHMAIWRRRNLLLHVKANPGLARADDFSNLLFDVIDPIDCTRRVQISDLNMDQVIELICG -RASDHLAAQRKRVWLDLNAGIIVKETPKEHLLKEHEDARNAAGMMSNEFAQTCSQPISGRNPLRHTVKKT -INRAKEKSTPSDLMWFDDTQAQGTSQSGGEEWERVKFRSNLNFNRPQDCAVNDGLSRLRMLDSRLKMGDA -QRVIIAKDEEIAAFIASNWRGCKQIPTVQQMKDLHVRVYGKQESAKHMVLSHHNDFKSSDWRAYPDLMWS -CVVLNTVTNRYEMNSETTSEVAALNWELGVMDNGEEKWQQICKNYYDRDLHNVIENTYMSKVRAAAEGAK -CWVKQWCADHPKLFKLAKMLTWAAGLFLSWKIIKCVFPSLSEKIEEKVSHVFGIVSDSRPVVYIRDVAST -GVDKTRQIVNSVARKIKSTLGYAIEEDIDPVEIERIAESAVAEAIKKSESAPYTAGITKSVPRTMYRAQL -GSMESQGAKDKQANNLVQNCVSRALAVLEFSTPKGTSWVNVLAIRGQCVLAPWHCLSVLVPNTTFTIIKQ -GVPYVFFFQEGSAYRLRNYADAGILKLPVNWPAAAAIEKHFIRDDELNFNLTDAELEVMEKLDNGMPQLR -SHIVEAKAVASANYLLPDATLLKQQSGWSYNATTRAGDCGGLLLAFNPRLQHKFLGIHVAGVADDHTGFS -VLITYEMICEGLHHFNEVSNGLPVAQSANPDPELYSRGPIELNGNFTYVGLMPDKLSVGLPVKSAIRKSR -IHDKVFPHVTEPAILAPWDHRYQGTPPMQLAIDKYATPTLPFPPDDIAEITKDMMREFDEMVPAMPRRLL -TEVESIYGNDSIKFCDRMNMSSSPGYPYVLTRPACTVGKSYLFDEGGVINEELAMNLELREAAAKVSHRV -PSLWVDCLKDERRSLEKIKTGKTRQFTLPPVDLTILTRKYFMSFVTAFLSHNCKFFSAVGINPYSFEWTY -LYNRLAANSNYAFAGDFSRFDGIHDPYIYMKICDAINHWYGDDEPPENKNVRRTLFLEFVHTQHLCKNLV -FFDHQGNPSGNPLTTVINTIMVAYYMRLSWLSLARIQGQPTYASIESYHKYVVEACYGDDSVVSVKGEVA -TWFNQVMVATFLKQHGIVFTDEAKNAVCLSLRPLNSVTFLKNGFGKHPSFPQWKMAQICKDTIHELTNWV -RDSDDPVEQLRQNVEDASKFAYFWGIDYYIDFMQRVNRALLDAGIRPVYLTWKYWDEVFRATVF ->AOC55077.1 polyprotein, partial [Baker virus] -VHLSARTNSTRCLVSFSSSPFSLITSTVKLSYTPVKPSKMAGWNRESFTVDVINEKGEEEKETRQRVEFV -LADKWTVNTEVTESFAELKKYYAWQPDYRQLEHDIAKCMCWTALKTARTQLKECWEKTKASMQTYWDKLI -EKLGTLAPWIETLKSWPAIIAIALGAVALLAGFGKQIVHKCICALTTHFGYRCPKCGKWPELEKCRNKDW -LLSEWKEMYGETVEYEAGRRTTMQEEQIFHELHGIQAEGMLMGTEAKFRAEVGPYSDNTNGAGRRLIIAQ -NGPYNDKTNGQRGHKIIANAGQRTEDVVNNRIMRYLYRLRAFSRGQPLELNGFAVGGRWLLIPRHFIEAI -GDTFDIYHSNAWMTVQKRLDSIVPIENKDLVLFDMPVQFHLHKSILPHLITERHLSHLEKTEAILVRKLG -HTHIGTELLTATMQKELRYEEDTEDDDPRSYYVTGLYKYPSQASPGACGSVLVVTNDKVDGSIVGFHCAG -GGGNGYSQIVTREMMAPYITSQLGTPLPKCEARYTGVVPEGHFGMVGCVPRGQGLYTPNTTNIIETAIHG -KVSAPVTSPAVLSQKDPRLQVKGNLMSKGIAKYGTPPLQFDRRHLAMVEESLNAEIEQWTAPRRAQVLTF -EETLSGNPIIDGFERLPMDTSPGWPFVLSRPPGEKGKAYLFDLEHNSIKNKELEQSWNARLELAKRGERC -VSLWTCCLKDERRPLAKVASGNTRLFVIPPVDFSLLMRMYTLDFSVAIRNQRHTSFTKVGIDPQSLEWTQ -LYMYLAEHSEYVVAGDFTRFDGTLPPELIHQYFVHCNFYYRTFGSCTKEDELVRATLADESVHTVHLAGE -EVFVNHTGNESGNPNTVNINSFSNYYYMALAYLGLAEAKAPHLATMSHFRKLVRAAIYGDDNILAIKADI -LPWFNQETMAEFLLKFGIVYTNESKTGITRYKKLSESTFLKCGFFDHDSIKHIKVPRMAEATILELLNWT -RRAPDQDVLLESNCNDSLRFAYFYGPDYFNGLRTKIVNALKSVNKPLDIMTYSDFHFWFLFTCGMLPTFA -RPEGNLLETISQSGNSRLARQMNKVLFGTPAKVLHALGMLKKDDERQRTDSRQAIAIPSGEGKTWLCKRY -PHIFVDHDEILLPAAAKALKDHGLSMTHLMKIFDLDLPVTDRRILLVHHPANTSRQMMGAYLLPQPSYIR -ANVFQRAQMSTAKTMERDERNTEILTKARLLAPYLFKGDEPPPKISI ->AOX15252.1 polyprotein, partial [Rainier virus] -MADERTVQKEDLKKAKTLKPDSNTEVNQQMDNVFKRMMFIGRYKWDEATPVSSDLDSFLLPGAYFNFASK -KAEKVCQPMTVNNILANYGFCRFDMRIRIQINSSKMASGLLLVYFEPFLFKERQGYVGNEGVINFPHIFI -NVCESTSAEFVIPWCNMRDYFPIYWDHNAEYNYFGYLHIRPWTSIRVGATTSASATVSVYMGFENWEVHQ -QVNPKMPAAITFTQDIIPDDYPYYGLENVHPTPEDYFIVKRALSNVEMQMEAGIGEVLAAAPEVGAVLME -HLAKAMSRLAADAPLANAVPANARLEVANVPAHGDGPKNGKVLGLTSCRQTPMKNRFLDSIAPSGYGDLL -KMESYVYTFFLNVSNKENDSLFTWNVCPMMSRHVMDQAVKGVLSGEKIDLADSQWKIGATSYNCQLTQVS -PTMLAYLAMGHLWWRGSLNWRFQAVKTTMHTARLGLRYQPSGGVTGKENECQKISQPTVIWDLQESDELK -LTTEYAAPQPFLSTKYWGISGNASEPIVVGNFACGTAEMYVVNPMKVGTGVSTTVPINVYVSAGPDFEFA -GTSDLASVCAIGKNPSLKLVMTGGEDIARRTYYNEFLWHRMTYEQLNIGDLVFIPSLNERCKIGVPKDHD -TAVRILFGLDKDYFNVLTRREDGALKRWKEVMQDRKNSAFIHPPRGTRLTYQAALHFVCMQQFETLVVND -VLTLPMKKALMRGLACAHMREHLIRATWLPDLSLFPVMARSADGSTEHMANLAYTNKSCSGALAEMQMDK -GEVEEGLTHDAPKVKGINPFTDGTTTDIASVHDLYGENFKDMYQRLRRQYCVCQWVYPRYRDTSDGWFDG -WQQDLGRMNPEEFNEMYWEVVIPVTPSQMIGYLGDGNAYFTIPGNAGKFCDSQHLGAKLGASWGNDYNMT -PLTWLSEIFTFWRGDMRFSFFFPDNVETYATVVHVPDDYCYSYTSLTTASAVARYSNFGNVLYKGNVMGE -LSVEVPWCSKYPRLVLSRYKNSAITQNGTIHMFVKMRRSEWQRGLRGIPPNPQSNDVKESPVDPIKFWLY -MGFGETAEMLVPRAAPNVWLYSSWYNHIFTDKQIYDNLDTITASASLSRIKEHEMQDNVTRKIVPTTDGG -YEKPMRVSDRKHPVVKGDLTATFKRDYDYWLKTRLPGRMEHVYKPTPPPEKKEAEMQGADDIPVTKEVYE -AYHVADQVDGQVYKLSMEDVVNGGLKAVAAIPLMVDKFNRVLEQTSNTWSITDSAMKALKNAGDKTSELV -GNVVPKVWDATRITLIGYAIHSFLQAEDMNSKLMALMSGALGLGLNYDIVKKSFDWLLTSITSVFSTQVN -IENTIEQQDEDDVTGEFAQFVLRHAETLKVVASAVGAFALFSFCPSGTNGMTGKVQDFASRMVGKLRNLS -IVGTGIKTFEWLFEWIGKMFKSAFEWACDLATGGMLTKKALTVQYPEVLDWLSHIECFAQEGEITKAVWD -YEVQLQIWRLMDKGTAFADGIGKKEQFLANYITQGCKKLQIIHEKTIANKMSVPFRKDPYCIWLYGAPGV -GKSAMANSLCDFISDFIDLPHQNRVYSRNEDEEFWSGYIGQPVVMIDDICQNKKGHAVQEFIRMKSNNEL -QVPMASIEDKGRKFRSQMIIATSNMGYPVPENMASAEALWRRRNLLVNVIKDPLGRIGAHYCRFHIMDPV -HQDRVLQENLSYADLAAYAAQYVRDYLHEQTALVGANLKGQKIPKLWNGQCNVEAVQEKFLDTITSMYVK -ITTGITPSIEDLEFLNAMDDDTVARYIRAHHRFNLDDIWKTVKKAVDKYKDMNAQRNHELIKTVEVLAAE -AKALADAQMEDSASEEFNRLRDTAWDVQYASERKYAGYKKLQQTKYYETDWTHVANEHEIKNVIRDLEPN -LTNDQVEQKIRQWKEVFNAANNLTPADSVAHLTPKGFSEGLKNEDFRNMFVLEENEWKIKPTPDEPNEWQ -FSALSYWETCLQPMKVEDRNKVLNTLIEKVKNETVVKTPSISGVLKLWVKKKTAEVETFFEAHPWLFKIL -KVGGFVAGFFLGYRLMRWICGDFVDKIERKLCSFFGMAKETRYVQYVKDKTIQVRDWTKQTALAKKISSM -LASEVGGAETEADIQPLVTETLKNVLLPKIDEMNKVRKKGVPAAYFIGEGKKAIMQGSADQGADDVRDLV -VGNFVRLRAITGDKMRNMLGVIVDRNYMLAPIHYFDNMEPRTEMTITCSNGKEREVYTELFDPEKLRQLE -KLDAAIYQLGNGFRPKRKIIQHFMTAVDLPHVSRTSASLITLNKEEIIIQHNVDAVRRGQVLYKESLKNY -VLNNFNMFEYGAGTTKGDCGSVLLARNTGIRKKILGMHTAGIPDRDLGYSVCVTAEMLQAAMDSYSNDHA -FVDLPGEAEIQEAFAFTVTNRDEIVIPEGNFQLQGIIAKHLEVKNPVKTEIRKSPIHGLVREAVTEPSIL -SSRDPRWQRPSTPLYRAVEKYGRPSKPFYFSDAQYVAAEAASDWYAWKRIMDPRVLTDHEAVFGNELIPF -CDRMNLQSSAGFPFVKWNAKKGKAWLYDFDAADGIKNEEYRVMYREREEKAKQRVRVPHLWISCLKDERR -KKSKIEEGKTRAFMIAGADWVQLFRKYFLSFCVNFYANAGSFYSAVGVDPFSYDWTVIFQRITSLGDKGF -GIDYKEFDGLTDADLMYLFVVEINKWYKVYDKNWKIEDDNVRFTLISDCVHSLFLVGCLLLWKSKGNPSG -NPITTIINSFINACYLRLAFLTVMRYLKRLCKQLKIVAPNEILASIVDSMLEGYRLGVREITYGDDGVIG -VAEWLERFFNLKTVTSVLAEHGIVSTAEDKDAELYEVQPLTECTFLKCGFVPMPGRESVILAPIAQNTIY -ELTNWVRKSNDDVGQMRVNLQDAMRFAFHWGAEFYWKLGDGINNALHEKG ->AOY34452.1 polyprotein, partial [Crab spider picornavirus] -MCRVLASVSASNQPHELASRVGFDSTTVFRKNRCIGASPPTSREKRREKLSCCILPYPVQGVAMEVVVKP -SGYGSLCGGDVCSDCYSFGYGLNLKQVEVMERTSLLHEFALEYVSLTDVLPEYPREKYSTLPPLPYTFYA -TPNVANDSTRLEIINLSTKDTKGDHRFTVSNMEAALRILERHALLPKRKYKKWRDEQMYRLIPLCYAFLV -HIKELLLKVSPLPCKEVEKKPPAEQPLKAPLVVLPAEPAPAPKAQPAPKPAISRITPPAITTPKSEDKAP -NAFDPLVYTKNSAHGEWFPARTSEVQDAIKAVKRRANPPKKGFSQPWTKFVPRPVGKTLTGAPRDFGFGN -DGKCYSFYGKERISSPVCLDQLTGVSVQLPSGERVQLKETRYESKSVVPQEEMQVRFPPVQDKKQKKQPR -KVVTPPESQLRTQGDLVAAQETRVRHTWKEAELGLTWPSSNAGQETECFKSLPICHMEAVAQNTVTQEEN -TTFVDQRETVSDQGYITSQKEPNLQNVSMQEDQWHVRDVMKKPLVLKNFSWTTGNEQYKELFTAVVPGAC -FKGPHENLVKSFVYWRGHPRFRLQVNATKFHMGRLMMVFIPLADTKNYTNYLWSVDNLTSFPHVMIDASE -GNSGVLDIPFVHMFSYFTSVEERRWDKLGSIKVFVFNSLRVAQAASKTVDCTLWVHWDNCELHQPCELHD -VQMPKTEAGVEGLIKGLADVSPLSLPNLTKTVGNISGMTSNCDKPTNPVEIKRWVPNVVSSLNFGDGVDM -SNRLSLQNGTYTVGDSDLISTTQDDTNLLEIMKIPTRLQTYSWPTQTESEARIFEIPVTPCNVGDVTYNV -PVSDGRVDVMSPTLLAYISRTFKFWRGSLRFKIQVIASSMHSGRLQFAFAPITRFKTFDQSNFVNTYIMD -LKERHEIEFVIPFMSERPWKRCDKLIPLVQTESARDGNYKTGWLNCHVLNRLTAPDSVAQQVDINVFVSA -GDDYEVAFITDLAYFKASGSPVDVKKSKIRVHMNAEASVTTRTDESSLTLTKGGGLLSSSATSTMGENAM -DLKTVLRRYYKVYETSGNIGHYSLMSFENRPELSGVNKPFGDYKNQYRTPLNHFAELFTFWRGSLRYKLM -LESYSGTAITIRVYHLPGVYTNDQFSPINYTQADELLMGSMENGGVMLANERVQGSMEFEVPFYTAKTQL -QTWGKPDSTNTTGSILILLNSPFTETHAHFTLYQAAGDDFAFNFLRSAPKVCIDSGYETLRDLSDTAQWI -YAGKKPFPSDDEDSPIGWGPLTTYCPPKVEMMRLWNSATDTILSPVREARNAYRTIVGTARSVRTTCDAV -TDGLQTVGLTARVPPGEGVDCTFQSTGSPIQLALNVLASQLRSLPSQVGIASMVTSWTVTVSTLVSGFNS -FLQAESILVKACAIISIVAELLGSALKCVEEKLMTVAINFLNIINGCNQGNQQPRVEMDICSFIPALIAT -LVVGLSVLGFNAIPSDKETMGLLTNLNNKLRAFNGLSLAIQNVKKVWETINDLINTGIDYLLGMFAPQIL -ARIKLERGFDNVEDFAKAIDDLKQSPYEERAKVDEDFVLEIGRLADQAMKYNQLLIAGRIGREANVLREY -CKEMLKIAQEVKVAKQNLPFRIDPWCICIYGETNLGKSAIASDIVFKIMDAMDYPLHNRWCPVNPTDKYF -SESYDGQYAIGIDDLGTFVTEEQWKHFHFLHSNQELPLDMAFKKGKRFNSHFIATSTNVKYPSPNCVEDI -AAIQRRRDMLVEVQWRDPDNRIKTDNNENLTFRVIPSVENVHRGIAGEEQPLTGWMSYEALVEYAIERAR -YHITTQKDFVRRSLEKKHYTVPPELRPQVEGRLIRPVRALDLEKVWDHPLLSLLVDEDDTELLQDLEWNH -CDAFPNHGYYTPKVMEGAPMQRFEAMLQEADDLGDDLQKAMYECSYTLIFDTARESIRYGFEALSSLKDA -LKKGYDKYAQEYPWLKILETWPAKLAAALAAGSLLLYTASKVIHKCVCATVRAFGYRCSRCGKWPRKEEI -VDKPWLMNQWVEMYGKAVEYEEERVTTLEEENALEEALGTGESLQRPKRGTREAHIGPYGTETLGARAMR -IVPHKSGVYEKGTPGQKTAKIYPHNGLDRLETLITNRIAPATYRIRGLKGGAAFTMNAIAVGGRRVILPK -HFWNIVDEFEIWHSNAWMLIDKQGLDHHVFEDKDLVIVQLPDQFHLHRDLSKHFVSEKDLRFLQRCHGRL -ICQRDAYSYSVIECKEILAVDKVVYSDDHNQIEYKSRSCWRYPTTLGPGTCGSLLVADNNKVSGQILGFH -VCGDGYQGWSQLITREMLEPFIQSGKLGTPLPTLVEHETTMKPEGHFGLIGKVAPGTGVHQTAKTTIIPT -AIQGDITPPATQPSVLSSNDPRLSKPTNVMRKAFEKYGQVPLDFDPQHVEMVFESLEAEIETWTVQRIPQ -VLTKEEAVLGCPEYEGFDRLPMNTSPGWPWILTRPTGELGKAYLFDIESGKIKDLKLQQALEQREKMAQR -GERVASVWTCCLKDERRSHEKIASGSTRMFSIPPVDLSLLTRMYTLDFSLAIKDNRFTSFTQVGIDPQSL -EWTHLRQRMEAFSPYIVAGDFSRFDGTLPPQITKKFYEHCDSFYRKRGWWRPEDRQVRMILCDEAIHSVH -IVNRDIIINHTGNESGDPNTVNKNSFANYYYLALSFLGLAAKHDPSMLNMAAFRQQVLPFVYGDDNALPI -KPTVLDWYNQETIAEFLQQYGIVYTNESKTGITKFSNINEIAFLKNKFGWHEEFTDIAVPLMSHQTIHEL -LNWTREAPDQEELLKDNINDALRFAYFYGKKFFSELRSKIVLALNKRNIKIQPMTYLDFHFWFMFVVGKL -PSRTKHAQGFFEYVAEHGNGLLARAFQKTLTPVYKVVSWTGAMRSSEQQERTDSRYAIAIPSGEGKSWLC -KKYPNVFVDHDEILLPAAREVLATSGISLQALNKIFELEFPAEDRRILLCHHPNNTRRQILGTYALPKPA -YIRANLYQRLRLPKDTEYLERDVRNRVIFEKVAAHEPSLATFLGFNGNGSCLPWQAGDSSAEAQNQSPTA ->AOX15251.1 polyprotein [Burke-Gilman virus] -MESYLLQKNKSESVIPTLKVSKTVSSVSTQNAQCSGEHHSPSSPERYPRESYGTRAGTPGLYDIGEVKFV -DVPLIDARMPVRPSPSSLKKFKHFKPRGRQLAGNLPRKHYRFVADYRDYSERAQRFAKKVSWMRSKIDAN -PEMIEEFDRQHPTPWHEPLASDAWIHCTNKCFECGEKYVAFAKYLRDQHKRRHWMDVETHSHSDCSDLDV -GVAQAGQSGVSCKPQDSSRNHFVAMIAAVDQLLFTSNANREGHGNDPAQREANARRRLRRLIGAVLTFIR -FLKDNGFVCNYAHADQPELDQWYDEMVDSLHRFRVNLTNAHTQAIYDFEVMHIHDLLVHWLENKNTERTD -GPFCFVNPGNNHDVPINAGVAQSAEPSTSWGEAMEEHDPGGEKMMAKESDIEYQVEEHQSNVVFQEDRAV -EHVTADVYVPNVKVKAKGICELPNDLENQFTRPITLISDTWSVTDNRGLFKNGRIMPAQMFMGPCGGEGL -LRILNTYSYFNCSYEFTIRLNTIKANCGKLRMIWVPMPMQFNTREADINSYIRYPNVEIDASSSTAGVIR -VPWQHWRSYFPIGDDPDHMYTYTGRLFVVVWNQLRIGPGSQNSVGYTVQARFVDHNLFGSHHLREGDDPF -SDLLLPYTGSGANLKNPAYRSDVSTILDTLPELNAGIAQSFEGKFAAKAMEKAGQLAGGLMCDKPTEGRT -PMHVQLRTADPLAHCDGSDHSTRLSLHTKAEVDVRPDVAGVSADQMDLTKFTSEYTRIEVKEWSVTANAN -ARIAQIIVNPCVSRYFEDPILPYTSFQPSFLAYVARQFLFWGGTLVYRLTAVSSDMHTGRLQVRWMPDAD -SDQEEAGMPFCKKIQAPNDVWDIHEDRVVEVPIPMMSPRQWLRCDQLLPLDANDKNYRFDNGIGRNSNGI -LTITVLEPLRCPSIASSTIDINIEICGQNIPGHPDNFRLSYYSGFDNILAIGSGVDQLENTYELTDIQQT -FVGNDAFEARVCAYMFLKVKELAITVDKVNNSIWQNAWKAVRDDLSPFIAEIRNIELIDTLLVPGISNIA -HIVQINEIHRISGPTTTRYASFAGSLTFNAWTSLSTTAKGLLFDTSCIVAANIPQDQSPSRNITQSLVTR -TFRAALVSNEVTTEHPDCIFVGEAQAAKPGGGIVESVGAKKQHPTAPLIAVGKPMPTDSNQTIGESWMSM -RDILKRPMCFHSFAVQLEANIPTVLNVPCTPFQTAGNSATRRIVALSSFSQLYTFWKGSLRFKLVIPGSP -GMTGFGVHDPVRAGPMHLYRTTDTLFMHESQKGMAMYGGNVMNVIEVESVFVSPYQMCSTFNFDEGLACQ -NGVIRFYLRSASARTVQCHLFISIGDVFNFFTPRNCPSLLFNKMSEFGRDVSDRNRGETFSNLKTIKYGY -KTELTRTVNVSELTPKYDIENFVGIAQAGGEEGEDPRHYNIVSEADGSGTHILDQAVFDEVDGAFQGIIK -VGNFMNSNLPMIEKSMNSLNNVLTGLEASSRLLPKVEKVIDKMGNAAEGVVDTTDKMSTIFNMTRIGGIV -LGVYNLFQAEDWTTRVLALSGIGVALGVTPEIAADCVNWMFAHLGYSDPVWKVPQRKVNDVGSAQSFKEL -LRDYSGCLKWIASGIATFSFFSLFTDTPNPEHVKSFASTWCDRLKQFHFAGQAIKTAEWLFNWIGSVMQA -AIDWAFDIEKDGFLARKIIADRSDDVLNWLDKVNRLNNEDELMETIWNPDRQQEIWKLMDQAKEMYKLVQ -NKGVPSSISTYISHGYRKVQELAVKQANLNSPVPSRIDPFCVCMTGESGLGKSSILATVMGYVGDYMDYA -RTHRCYGRNSADDFWTGYCGQPFVVQDDFAQDGSTTTEIMEFINMKSNFSMHLNMASLEEKGRPFRSHFI -LQTTNNPFPTPPDIAHHMAIWRRRNILLHVKANVGMARADDFSNLLFDVIDPIDCTRRVQIADLNMDQVI -ELICARAADHLEAQRKRVWLDLNSGIVIKETPKNQLSKEHDDAKEAAGMMSTSFAQTCSQPISGRNPLRH -NVKRTRVDEKNAPSNLMWFDDSESQGTSQAGGFKAKDEWERVKFRSNLNFNRPQDCAVNDGLSRLRMLDS -RLKMGDAQRVIIANDDEIAAFIASNWRGCKQIPTVQQMKDLHVRVYGKQESAKHMIVSHHNDYKCSDWRA -YPDLMWSCVVLNTVTNKYEMNEATTSEVAALNWELGVMDNGEEAWQRICKNYYDRDLHNIIENTYMSKVR -AAAESAKCWVRKWCDDHPKLFRLAKMLTWAAGLFLSWKIIKCVFPSLSDKIEEKVSSIFGVVSDSRPIMY -VREATSVGVDKTRKIVNSVARKIKSTLGYAVEEDVDPVEIERIAESAVAEALKKAESAPYTAGITKSVPR -SMYRAQLGTMESQGAKDKQANTLVQSCVSRALAVLEFSTPKGTSWVNVLAIRGQCVLAPWHCLSALVHNT -TFTIIKQGVPYVFFFQDGSAYRLRNYADAGILQLPVNWPAASSIEKHFIRDDELSFNLTDAELEVMEKLE -NGMPQLRSHIVEAKAVASANYLLPDATLLKQQSGWSYNATTRSGDCGGLLIAFNPRLQHKFLGIHVAGVA -DDHTGFSVLITYEMICEGLHHFKEVSNGLPVAQSADPDPELYCRAPIELNGNFTYVGVMPDRLSVGLPVK -TAIRKSRIHDKVFPHVTEPAILAPWDTRYDGVSPMQLAIDKYATPTIPFPPDDIEEINVDMKREFAEMVP -AMPKRLLTPTESIYGNDSIQFCDRMNMSSSPGYPYVLTRPACTIGKSYLFDEVGVANEELAMNLELREKA -ARVSERIPSVWVDCLKDERRTLEKIRNGKTRQFTLPPVDLTILTRKYFMAFVTSFLSHNCKFFSSVGINP -YSYEWTVLFDRLAANSNYAFAGDFSRFDGIHDPYIYMKICDAINDWYGANEPQENKNVRRTLFLEFVHTQ -HLCKNLVFFDHQGNPSGNPLTTVINTIMVAYYMRLSWLSLARLQGQIGYASIDFYHKYVVEACYGDDSVV -SVKGEVANWFNQVMVASFLKQHGIVFTDEAKNAVCLSLRPLTTVTFLKNGFGKHPTFPRWKMAQICKDTI -HELTNWVRDSDDPVEQLRQNVEDASKFAYFWGAEYYGDFMIRVNRALLDAGIRPVLLTFKYWDDVFRATV -F diff --git a/seq/clusters_seq/cluster_28 b/seq/clusters_seq/cluster_28 deleted file mode 100644 index f11ba20..0000000 --- a/seq/clusters_seq/cluster_28 +++ /dev/null @@ -1,423 +0,0 @@ ->YP_009553177.1 triple gene block protein 1 [Chrysanthemum virus R] -MDVLVNLAKNFGFHRVCTTLNKPIVFHCVPGAGKSTLIRDCCRADSRFVAVTLGKEDERDLTGVGITKYS -GTIPDCEYPLLDEYTLAESLSEGWFAIFGDPIQAANRVVLRAHFTCNLSRRFGKCTAQFLRELGFDVVAE -GEDTVQISGIYVEDPRDKIIYYEPEVGCLLRSHSVEASHITEVIGQTFDSVTFVTASEYPVDRSSAYQCL -TRHRKSLLILAPNASYSSA - ->YP_009552758.1 triple gene block protein 1 [Panax ginseng flexivirus 1] -MNNLLAALDLYNFECVSDKLSFPLVIHCVPGGGKTSLIRDLIKIDSNFVAFTAGEPDIPNLEGKYIKRYS -KDCAVKGKLNILDEYLTAEDWTGFSVLFSDPFQNVKAPLEAHFVGSRSRRFGKETCKYLRNRGFEVNSTS -EDTVVIGSPFEVKTEGQLICFGKGAIDLAVSHSASFKLPCEVRGSTFEVVTVLKSEEPNSENLHLFYIAL -TRHKHKLIILE - ->YP_009664749.1 25 KDa protein, partial [Verbena latent virus] -ESRRFGKATAQLLQHFGFDVHSSGDDVVNITDALTVDPKGVIVYYEDEVKCLLDYNRVEAKHVREIRGKT -FEEVTFITASNDLGPDPVAAFQCLTRHKVALNIFCPNATYRPA - ->YP_009664741.1 triple gene block protein 1 [Helleborus mosaic virus] -MNCVYDILIEFGFHRFSNHHSEPFVVHCVPGAGKSTAIRKILSCLPEARAYTFGVCDPPSVCTCRIQGVR -EWDQATSTEFTIVDEYTEGDYIKLQPKLVFGDPCQSEHLSRVLRPHYTCLTTKRFGAETCAILRKLKFQI -FSEKCDTVLQVGIFEGEPEGQVVAFEDEVKTLLNSHNCEYKGLCEIRGETYKCVTFVTATVGVPKQDIEK -FFLCLTRHSEKLVILSPDAAFASC - ->YP_009664735.1 triple gene block protein 1 [Cucumber vein-clearing virus] -MELVLDKLLDLGYIRSSLPLREHLVVNCVPGAGKTTFIRKLLTEEGNFAAFTTGKPDPANVHGNWIRKWE -GKLDSSKINILDEYQNLTSIPEGFHILFGDPVQSCNPLVLPPHLTCTETKRFGKQTCELLNSLGFQISSS -LEDIVEIEDIYTGEPEGQVICCEREVANLLTAHNLDFLQIQDIIGATFEVVTFITSNSFPSSEKADHFRC -LTRHSKRLKILCLNAEYLN - ->YP_009508318.1 triple gene block protein 1 [Atractylodes mottle virus] -MDVLLRVVGKYRFTRLNSSLRQPIVFHCVPGAGKSTCIRELINLDSRFSAYTLGIPDRPNLQGIGIKQFE -GQCEQGKLCILDEYTLGPFEPNLFFAVFGDPIQHKPSLSLRADFICCESRRFGRCTAQLLRTLGFEIQAE -GDDVVNLGKVYGSDLTSVILYYEPEVGCILRAHNLRAFNPEEVVGQTFECVTFITGKTVLPIEERELAYQ -CLTRHSKELHVLTPDATYSAT - ->YP_009505627.1 triple gene block protein 1 [Melon yellowing-associated virus] -MDVLISKLNSKFISVSSNTRPLVINCVPGSGKSTFIRELITEDSRFVAYTAGEPDFQNLTGRYIKPFKGE -VEEDKFCLLDEYQVVDSGSFWKFVAIFGDPQQNFLTKSFPASYICNLSHRFGSETAEYLRKTGIDVHANK -SDKLSIEHIFSGKLIGTVLAFERPVIKLLNSHNCQFKRPEEVRGCTFDKVSFVTVGSGYKECEKHLAYIC -VTRHTEELKILSANVPNTTCRLF - ->YP_009357231.1 TGB1 [Pepper virus A] -MLCVINKLVDCGFSRVGPSLNKPLIINCVPGGGKTTIIRQLLEEFDHLVAYTTASPDPINLKGNQIKALP -ATPEEGKLVILDEYQNLAKLPDWVDIAFGDPLQSCNPNLLSADFLSYRTHRFGRNTCGLLGRLGFRVESD -LEDSVVFEGLFEGELEGQILCCEQEVEELLTSHSVEFLTPRTAQGKTFEVVTFCCSERPLANNLHLYLIC -LTRHTSKLRILNPEGAAGFF - ->YP_009288957.1 triple gene block protein 1 [Ligustrum virus A] -MDILVKYLNKYNFIRLSQSIRPPVVIHCVPGAGKTSLIREIIQADSRFVAYTCGIPDKPNLEGRWIQKIP -EVFPRDVFVLIDEYTLSDTNFEVFAVFGDPLQSNKQVPAQAHFICNFSHRFGASTAKLLQSLGYKIEAEG -EDIVSIEDIFSKDPEGQIIYFEKEVGKLLCDHSVEARSVEEIVGQTYESVTFVTAENQPLLDPVATFQCL -TRHRNRLLILCPNASFTSA - ->YP_009275351.1 triple gene block protein I [Jasmine virus C] -MELLLNKLESFGFTRVSSALRLPLIVHCVPGAGKSSLIRAILEDSVELRAYTFGQADQPNLIGNYIRPFT -SDSILDQRTIIDEYTLSPQPIHGVLALFGDPRQPGVDQGLVANFLGNFSRRFGANTAIFLRKLGFNVHAE -GEDTVRVLDIFKAEPSGVIVCFEPEVQKLLCAHNLEYLTAQEIQGSTFQEVSFIVSGPFQIERAREHFLC -LTRHRATLNILCPDATYTTS - ->YP_009224953.1 triple gene block protein 1 [Elderberry carlavirus E] -MDIIIELAEQYGFQRTKSKLVKPLVFHCVPGAGKSTFIRLLLAEIPGSKAFTHGVADTPTICGLYIRDAK -DIELTSSGSALLLDEYTEFEELPTHTLAAFGDPLQSNKYAPREPHFISDLTRRFGNQTVLLLKSLGFNVR -TEKVDTVVVEDIYAGEPEGQILCNEREVAALLCAHQLDYLFVDQLRGRTFDKVTYITAENSPSDRVGSFQ -CLTRHTTKLKILCPDATYGPAELF - ->YP_009224947.1 triple gene block protein 1 [Elderberry carlavirus D] -MDCLINLALGCGFERVSVNHNGVLVFNCVPGAGKSTLIRKALHKDSRFVAFTFGKADPVGQRGRSILPAA -LFDSEATCGKLVLVDEYTEGDWRALGACAIFGDPQQASVNRLWPEPNFVCLLSKRFGAQTAALLRNLGHE -VYSEKEDIVEWSGVFQGEPRGLVLAYEPEVIELIKDHDLDFLHPNCCRGITVEHVTFITSLDHFDVNRPD -LQYLCLTRHSKSLLILSPNATLGTA - ->YP_009224941.1 triple gene block protein 1 [Elderberry carlavirus C] -MEIVLEEADLAGFERTNLKLSKPLVFHCVPGAGKSTFIRHLLGLSSRFEAFTHGAADPRKLDGRRIKPVS -ELEAADQNSLVLIDEYCSGEEVSERALAVFGDPLQSNTGPVLQPHFVLNKSRRFGAQTAALLKTLGYDVE -AEGDDNVKISDIFKAEPEGKVICYEREVAQLLRRHGVDYLCANSVRGSTFDEVCFVTAESEPSDRALAFV -CLTRHRKKLQILCPNATYAPA - ->YP_009224935.1 triple gene block protein 1 [Elderberry carlavirus B] -MDVLIKLATSFGFIRVNNNCSGVYVFHCVPGAGKSTLIRSILNCDSRFQAFTFGKADLQNLEGRRIKGPS -EAILEGKLNIVDEYTEGNWEQFNPVAIFGDPIQSANLDRVRPCSFICRETRRFGKSTCKLLKGLGFEVNS -NLEDTVLTGDIFTVEPEGLIIAFEHEVKELLRAHNCEFHEPCAIRGLTAEVVTFITASDCIAQDLSHLFY -IALTRHTKKLIVLSRDATFGSTGQD - ->YP_009224929.1 triple gene block protein 1 [Elderberry carlavirus A] -MDVLIKLALERGFDRIHSNYSGCAVFHCVPGAGKTTLIRDLLQRDSRFQALTFGVVDKQSLSGRRIKGPS -ERLEEGKLTIVDEYTEGDWEIYKPIALFGDPIQSCNLSRVKPSNFECRRTFRFGRQTCALLGRLGFHITS -EFDDEVIIGDVFSIEPEGLIIAFEPEVKELLEDHGCDYSEPCALRGRTTKAVTFITASESIPESLAHLFF -IALTRHQEKLIVLSRNATFGAT - ->YP_009215375.1 triple gene block protein 1 [Asian prunus virus 3] -MDFVYDKLIEAGYIRTRLSISFPIIVHCIAGAGKSTLIREIIEADNRFEAFTYGVPDPINLSGVRIKGAA -DIGRARADSIKIVDEYIGQVLPDGTAFCFADPNQFPYTCPDAHFTSYQTKRFGEQTCSFLGKLDCAAFSY -KSDQLIFEKLFEGSVEGQIVCYEKEIFELLDRHGADYKRDCQIRGSTFDIVTFITASESFEPEDRYKVYL -CLTRHRSVLRILSPEGMFLRDNAKFDATS - ->YP_009204562.1 triple gene block protein 1 [Asian prunus virus 2] -MDFVYDKLIDAGYIRTRLPISFPIIVHCVAGAGKSTLIREIIEVDHKFEAFTYGVPDPINLSGVRIKSNS -DIPSARADSLKIIDEYIGQDLPEGTTFCFADPNQFPYTCPDAHFTCYQTKRFGDQTCALLGKIDCAAFSY -KQDQIIFDKLFAGDIEGQIVCYEKEIFDLLDRHGADYKKYCQIRGSTFDIVTFITASDTFEPEDRYKVYL -CLTRHRSILRILSPEGKFLREDAKFDTTT - ->YP_009174682.1 triple gene block 1 [Nerine latent virus] -MDIALSLIREKTVCINNNLKDTIVVNCVPGFGKSTLIREILSKSRTFRAYTFGVPDPNSLDNLRIRSIND -FVAEEGCHVIIDEYTEGNFTAFKPKIIFGDPNQTGRKVEVXCNFIGTETKRFGKSTCXFLNARGYQINSS -REDELLVEYIYSGEPIGVIIAVEEEVKKLLRAHHLSFKDEKSSRGETYDEVTFVTSDAKRNDCEYRWKSY -IATTRHRNKLLILSGDAFDATS - ->YP_009158847.1 triple gene block protein 1 [Pea streak virus] -MDVFINNLLECGFRRNKIVFDLPIVVLAVPGAGKTSSIRRLLRQDSRFEAWTFGVADHHNCSGRFIKGIS -EESKPDPSKFILIDEFQRGDWEKLKPFAIFGDIAQLMLKSSAAFESVFSKCSSHRVPLPIVKLLQELDFE -ITSEREGVLEVRTLLGSEPEGVVTCFESEVCEFLDYNQINHKSPADIIGLEFPTVSLVISGKSAIGVHRA -EFYICCTRATEKLLIITPEPEGFHNCSNAIDSTS - ->YP_009116869.1 triple gene block protein 1 [Yam latent virus] -MDVLVKYLCKYNFVRLSSKLASPVVIHCVPGAGKSTLIRELIRADTRFVGFTAGVEDEPDVSGCWIQKWT -GETPDGKFVILDEYTLLSEVPEVFAVFGDPVQSVTTSVRPAQFICTFSQRFGSATSALLKELGWEVEAIG -SDVVRVLDIYSADLQGVVLYFEEEVGCLLRAHGVDAKSFEQVRGQTFKVVTFVTSENAPVRSRVAAFQCL -TRHREALYILCPDATYSSA - ->YP_009126732.1 TGB1 [Alfalfa latent virus] -MDVFIKNLIECGFRRNKVVFDLPIVVLAVPGAGKTSSIRRLLREDSRFEAWTFGVPDHHNCSGRFIKGVT -ESSEPNPDKFLIVDEFQRGDWEKFKPFAIFGDVAQLMLKNTASFESVFSKCSSHRVPSSVAKLLQELDFE -ITSEREGVLEIKDLLGSEPEGVVTCFESEVCDLLDYNQVDHKNPTEVIGLEFPIVSLVISGRAVLEVHRA -EFYICCTRATDKLIIISPEPEQFHRGTHAIDSTS - ->YP_009094348.1 triple gene block protein 1 [Asian prunus virus 1] -MEFVYDKLIEAGYIRTILQIDFPLIVHCIAGAGKSTLIREIIESDSRFEAFTYGVPDPVNLSGIRIRGAE -ELANARPESFKIIDEYIGQHRPEGTAVCFADPNQFPYSSPNAHFTCYQSKRFGDQTCAFLGKLDCAAFSY -KSDQIIFETVFEGSIEDQIVCYEKEVFDLLDRHGADYKKDCQIRGSTFDIVTFITSSESFEPEDRYKVYL -CLTRHRSVLRILSPEGMFLRDNAKFDATS - ->YP_009046479.1 TGB-1 [Cherry twisted leaf associated virus] -MEVVHGLLLDASFSRTSYSLSFPIVVHGVPGCGKSTFVKSLLDFEEFSAQSYGVVRPANLAGRGVEKALQ -PLQPGFNVLDEYLSGPSYEGFDLLLSDPYQNFRKPLTAHFINSCTYRFGPSVCKYLNLLGFEIVSGREED -TELIFGKIFGGEIRGEIICFEKEVEDLLNKHSAKFHHPCDLRGAEFEHVTFISAHSDLQEIVGPDLYVAL -TRASKSLTILQP - ->YP_009022065.1 triple gene block 1 protein [Gaillardia latent virus] -MDVLVDLLNKYGFKRVNSGLSIPIIVHCVPGAGKSSCIRELIQSDSRFKAYTLGIEDPRNLQGIRIEKYK -GQTEEGHLNILDEYTLESTDLSKFYVCFGDPIQTDCATVRPADFICNESKRFGRSTAQLLRELGFDITSN -REDSVQIAGIYEVDPRDTVIYYEDEVGCLLKRHLVEAHHIKEIIGKTFDSVTFVTTHNGVDHEGRAASFQ -CLSRHRENLLILCPNATYGPT - ->YP_006860590.1 triple gene block protein 1 [Apple green crinkle associated virus] -METVLSLLNEFGFERTVEPLSDPIVVHAVPGSGKTTLIKQALLRNHNIEAVTFGVPEKANIHGTYIKKAR -QGQRGRGNFSILDEYLSGEYSTGFNCLFSDPYQNHGDCLRAHFIGRCSHRFGNQTVQLLQGLGYNIASSK -QDIVERKNIFQLVDPEGVIICLEESVEDFLKWHSVEYKLPCQVRGATYDIVTFIHEKPLEELVSPDLFVA -LTRHKTKLVLVTN - ->YP_007761582.1 triple gene block protein 1 [Cherry rusty mottle associated virus] -MEIAHGYLLDANFERTEFSLSFPIVVHGVPGCGKSTFVKRLLDCEDFHAQSYGVVKPTNLAGRGVEKALQ -PLQSGFNVLDEYLSGPSYEGFDLLLSDPYQNFRKPLTAHFINSSTYRFGHSVCKYLNLLGFEINSKREKD -TELIFGRIFEGTIKGEIICFEKEVQELLDNHSAKYHHPCNLRGAEFEHVTFISAHSDLQEIVGPDLYVAL -TRASESLTILTP - ->YP_006589920.1 TGB1 [Sweet potato C6 virus] -MDVIVKKLRSRGFTFNKPIEGSFLVVNCVPGAGKSSLIRELIEEDSRFEAFTFGHPDKPNVTNCFIKSSD -EIRSRQFAIVDEYTEGDFRKFDPIAIFGDPCQSTAFKEPNLICNYFSTRTFRFGKATAALLRSLGFNIWA -DKEDTVEIGHIFEREPEGQIVCYEPEIQRLVERHSGFALTVEDIRGITEDVVTFITSETKFDNKHSALIY -LCLTRHMSKLLILTPDGSYTSS - ->YP_006522435.1 26K protein [Potato virus H] -MDVLVDLLNKYSFTRIHSKLSSPIVVHCVPGAGKTSLIRELIKLDSRFVAYTAGVEDEPHLSGRWIRKFE -GVVDEGKFVILDEYTLLESLPDNLFAVFGDPIQSNTKVVRSADYTCNRSKRFGRSTALFLRELGFDVVAE -ADDEVAVANIYQVDPVEQVVYFEQEVGCLLRAHHVECKHYTEIVGQTFEKVTFVSSESNLSSNRVAAYQC -MTRHRSKLLILTPDATFTAA - ->YP_006297587.1 unnamed protein product [American hop latent virus] -MEIVLEIAEKFGFVRVSNKLSKPLVFHCVPGAGKSSLIRELLNASDGFRAYTFGACDRVNLSGKYIRRAP -WSDSDILGKLLLVDEYTEGTFDLSIAFAVFGDPLQSTRDNFCTPHFTCHLSRRFGQKTASLLRLLGYQIE -STKEDVVLIEDIFKADPIGEIVCYEKEIYNLLCAHCVEFKTPDEIRGATFKNVTFITASSEPVDSKAAFI -CLTRHTEKLQILCPDASFAPSQLH - ->YP_004936160.1 TGB-1 gene product [Garlic common latent virus] -MDVLVNKLLSFDFVRVSSKLSKPIIVNCVPGAGKSHLIRELLREDERFVAYTFGADITETTDCITIQRVP -EAKPIHNFIIIDEYQLGDWRSFEPIAIFGDPLQGSSECLRPHFTTDLTKRFGSSTCSLLQSIGYQIRSER -TDICIIAEVTSAELEGVVIAYGPEAEWLLKWYGVSHLRVCEIQGKTFDVVTLVTDYSIVTEDNRRDLYLC -LTRHRNKLQVLNGDATLATS - ->YP_004901681.1 triple gene block 1 protein [Mirabilis jalapa mottle virus] -MDVLVDILNKYNFVRHSTLLSIPIVIHSVPGAGKSSLIRELIHKDCRFTAVTYGEEDPPTISGVRIQKAT -PENSVGEFLLVDEYLAAEDIPKAFALFADPLQVTSRNALRAHFTKTRSHRFGRSTAQLLRDLAFEVEADQ -EDSVQVADLYQVDPRDQILYHEREVGDLLRAHGVAATCIEEARGRTFESVTFVTSSNSPIDRASAFQCLT -RHKKSLLILCPNATYTAC - ->YP_004089620.1 triple gene block 1 [Apricot latent virus] -METVLSLLNEFGFERTVEPLSDPIVVHAVPGSGKTTLIKQALIRNHNIEAVTFGVPEKANIHGTYIKKAR -QGQRGRGNFSILDEYLSGEYSTGFNCLFSDPYQNHGDCLRAHFIGRCSHRFGNQTVQVLRNLGYNIASSK -EDIVEKKNIFRLVEPEGAIICLEKEVEDFLAWHHVEYKLPCQVRGATFDTVTFIHEKPLEELVGPDWYVA -LTRHRHKLVLVSN - ->YP_004035879.1 triple gene block 1 [Cowpea mild mottle virus] -MNELLTLLSKYNFTRLGHKLGDPIVVNCVPGAGKTTLIRELLASSDSFIAYSTVRADPPNLTGRRIEKLP -TELPKGKFIVLDEYQNLKDLPSGLFAVFGDPLQSLTPLNLPATFITTNTHRFGKSTCSILNTLDFKIESS -KEDLVVVEDIFKGELEGTVICFESEVASLLNRHAVEFLLPCEFQGETFKVVTFVTSGVINLSNKSKHLIC -LTRHSEKLKILCPNADYPKIEQCL - ->YP_003324582.1 triple gene block protein 1 [Butterbur mosaic virus] -MDVLVAKCLEFGFIRVSISSSKPQVIHCVPGAGKSSLIRAILRADSRFYAVTGGVPDPVTGQQGRILPLD -GTAHPGACFKLVDEYTEAVEAIEGAFAIFGDPVQSKRASPLLPNFISLNTRRFGSSTCDLLKVFGFEVYS -TKEDVVQIARADQSEVEGKLIVLGDEAKALACYYNLEYLTADSARGKTYPVVTLLTGFSEVPAEEYPDLY -VCLTRHQEKLLVLTGDASCTPA - ->YP_003075958.1 triple gene block 1 protein [Kalanchoe latent virus] -MDVLVNYLSKYKFVRLSSCITPPIVVHSVPGAGKSSLIRDLINSDCRFSAFTFGKEDSQSITGVRISKAT -KELEAREFCIFDEYLEGDLPPWAFAAFADPLQGGSGKVLRAHFIKEESHRFGKCTAQLLRELKFSVTASG -EDVVQIRGLYEVDPQDTIIYYEREVGELLRAHCVEAYSICEIRGQTFDSVTFVTANSKPIDRELSFQCLT -RHRRSLLILSPDASYTAC - ->YP_002985637.1 triple gene block 1 [Hydrangea chlorotic mottle virus] -MDVLVRYLELNKFKRLKSNLTLPVVVHSVPGAGKSSVIREIIRADPRFEACTYGKPDQPHLSGRWIKEAK -GFTPKTPFLLVDEYIEAAEPIAAFAYFADPIQGGAGEILEPHFIKTESHRFGRCTAQLLRELNFEVTAEK -EDVVQIKGLYEVDPKDTIIFFEKEVGNLLRAHGLVCYCINEIRGQTFDSVTFATSECKPEIDPAKAFQCL -TRHRRSLLILNPDASYSAA - ->YP_002776348.1 TGB1 [African oil palm ringspot virus] -MEEVCEKLLELGYIRVESVSISYPIVVHGVPGCGKSFICKELAKDPKFKVQSFGVIPAGSLCGAVIHKAL -TEPSGDFNVLDEYLGGKDFNLERFDLVLSDPYQSFKKPERAHFTSNITRRFGSQVCCLLNKLGFDIIPHP -SLPAEQTLLIEGSLFKTELRGIIITFEPAVAELIRAHGLEPKHPCDVRGAEFEVVTFCTAHADLQEIVSP -ELYISLTRATKELRVLTNKFDES - ->YP_002647022.1 triple gene block 1 [Red clover vein mosaic virus] -MDVLFNVLELCGFTRSSIRVGNPVVILAVPGAGKTTFLRRLLLEDTRFIGCTFGIPDPHGVTGRHILDAR -TLGPVEEGKLVLVDEFQRGDYKALNPYAILGDVAQFCLAPNLVIEANWFKSSSHRVPSVVCDLLNTLGFS -ITGSSVGELHILGLFESDLRGTVITYDPEICQLLTDHTCEHTSLEACAGVEFEEVSLLLNGPVIPAGDRA -KFYLAATRASRVLNIFLPIISELSIAGHASDSTT - ->YP_002574615.1 triple gene block protein 1 [Helleborus net necrosis virus] -MDYLVNKLYKNKDFERTTLPLSSPIVINCVPGAGKSTFIKDLIDSDSRFVGLTFGAVPNPDLSSRGIISI -KDYQPKSGDLVLIDEYTEGDHSNLNALALFGDPLQSNSKHPKPPAHFVATFSHRFGEKTAKLLRDLDFHV -HAEGADQVTLGNLYDLDPIGQIVAVEPEVIALLCKHNCDFLDLKQARGKTFDRVTFYCAESNITPELRAD -YFVGLTRHRKELLILNPYAALSTT - ->YP_002308448.1 triple gene block 1 protein [Hippeastrum latent virus] -MDILLEKLNSVGFVRIASRLRAPLVVHCVPGAGKSTLIRELLIEHTCFKAYTGGSPGVPELSGRFVRPIS -EFTEGEENVLIDEYTLCPSIPAGTLAVFGDPCQPGIDHKLTAHFICKDSKRFGSQTSEFLCKLGFEISAK -GQDEVVVQELFDSEPRGVIVFLHKEVECLLRAHSAEGFSCQQVQGKTFDEVCFISAEPFEAARAKEHYIC -LTRHRKRLQILCPNATYTTS - ->YP_002302558.1 triple gene block protein 1 [Potato latent virus] -MDVLVNKALEFGFKRLSSVLKSPIVFHSVPGAGKTTLIRALLNSDSRFEGWTLAEGDKPNLEGVVIRKYV -GGEVGPFALLDEYCVEPEIAGKLYAVFGDPLQVNNIGFLRASWIKVETHRFGKATAQLLNQFGFEVSSSK -ADILVIADIFVGEPEGTVVYFEEEVGCLLKRHSLEAVHIDLVRGDSFPVVTFVTSENCMILDKVRSFNCL -TRHSEKLIILCPNATYSPT - ->YP_001798593.1 triple gene block protein 1 [Hop mosaic virus] -MDVIVSLLEKFGFTRLRTKLELPIVVHCVPGAGKSTLIRELINADPRFVAFTAGIEDEPSLTGNWIRKWS -GEASSGSFLVLDEYTLLTELPPAYALFGDPVQLDSKVVRPAHFVCSVSRRFGTATSALLRELGWPIEASG -NDLVQVLDIYSGELAGVVLYFEEEVGCLLRRHGVDAKHIELVRGQTFEVVTFVTSENTPLLSRTSAFQCL -TRHKVALYILCPNATYTAA - ->YP_001661447.1 triple gene block protein [Ligustrum necrotic ringspot virus] -MDVLVSVLAKYNFERLSSNISPPIVVHSVPGAGKSSLIREIIQKDSRFQAYTHGEADPVHLSGVRIQKYA -EPLLGEFILLDEYLGGPVPDGVFAIFADPLQGGPGRPLRAHFIKKTSHRFGKATAQLLRNLDFEVEATND -DVVQVSGLYETDPRDTIIYCEAEIGSLLRAHNVEAYCVSEIRGKTFESVTFVTAENSPKDRALVFQCLTR -HRKSLLILSPDASYTSR - ->YP_001552318.1 triple gene block 1 protein [Phlox virus B] -MDVLVKFASDYGFVRLNSKLGKPIVFHCVPGAGKSHLIRKLIEYDSRFRAYTLGEADQAQLSGVRIQALS -ERTTEGPYDLLDEYCQHDCATEGFFAVFGDPFQSTTVNHLRADFICKKSRRFGSCTAQLLRALGFDVEAT -GQDIVQIKGLYEVDPADQIIYFEEEVGCLLRRHGVEASNIREVIGKSFNSVTFVTAESKIPFESRGWVFQ -CLTRHRESLLILSPDASYTST - ->YP_001497154.1 triple gene block protein 1 [Peach chlorotic mottle virus] -MDTVVSLLFEYGFERTSVPIEDKLIVHAVPGSGKTTLIREALNRNLGIEAFSFGEPDLPNIWGRYIKKAI -SGQKGTGSFCILDEYLSGEFGTGFDCFFSDPHQNSGDCAPAHFVGRSSQRFGRNTAGLLQSLGYSVNSAK -DDELIFENVFRAELEGAVICVEKNVEDFLRWNHCEYRLPCQVRGSTFEVVTFIHELPLDQLVGPDLYIAL -TRHSKKIQILTN - ->YP_001430022.1 triple gene block protein 1 [Coleus vein necrosis virus] -MDFVCTVLQEFGFSSVSVKFGRPIVVNCVPGSGKSHCIRTILGRDSRFVAATFGKADPINVHCRRIASTS -EIEGLDSKYIIIDEYQLGSWEAFDPIAIFGDPCQGTAPCIPPQFISTKTRRFGYNTCGLLQKFGFSIESD -QEDEVVIQSSAVGEVEGEVLACGPEAELILTWYGYPYKKYCEVRGSTFEVVTLVTDFSTIPEELRVELYT -CLTRHRRKLIIINGDATFAPAGQH - ->YP_001429586.1 triple block protein 1 [Potato virus P] -MDILVKVLLECGFTRIRSNLDLPIVVHSVPGAGKSTVIRKLIGSDRRFKAVTFGEPDTVNLLGSYIRGAE -AIPDCQFLLVDEYLSGRWIESAFAVFADPLQGGTGEVREAHFINSTSKRFGKTTAQLLRSLEFDIQAEGE -DSVQISDIFKVEPRDQIIFYEEEVGRLLRAHGLECRCIEEVRGKTFESVTFVTGENSPPSKDRAKVFQGL -TRHRKHLLILCPDATYTTS - ->YP_001165302.1 triple gene block 1 protein [Phlox virus S] -MDILVSLLCKYKFERLNSKLCLPIIVNCVPGAGKSSCIRELISSDSRFCAYTLGTEDPQNLRGVRIKSFK -GEIEEGKFNVLDEYTLSEVDLSKFFVCFGDPIQANSDFARPADFICRDSKRFGKCTATLLQNLGFDIKAC -GEDSVQIAGIYSEDPRDTVLYHEEEVGCLLAKHCVEAFHISEVVGRTFESVTFVTSHAGVDHPDRAAAFQ -CLTRHRKSLLILCPDATYGPP - ->YP_001086453.1 Triple gene block 1 Protein [Chrysanthemum virus B] -MDVFVKILSDFGFTRLSSSLHLPIIVHCVPGAGKSSCIRALLKADSRFAAYTLGVPDPCNLDGLCIRTFT -GSVDPKCFNILDEYTRFNGEICNFFALFGDPVQNPPRTLYRAHFKSTLSRRFGKCTAQFLRELGFEVEST -KEDAVSIKGLYDFDPVGTVLYYEKEIGCLLRAHSIGAYEPEEVVGKTSETVPLVSAENHIPAEARHLVYQ -GLTRHRSVLHLMTPDASYTST - ->YP_842439.1 triple gene block protein 1 [Narcissus symptomless virus] -MDIALSLIRERTYCVNNNFRDLIVVNCVPGFGKSTLIREILSKCRLFRAYTFGIADPNNLTNIRIRSVHD -FVDEDGCYNIIDEYTEGDFSVLKPKIIFGDPNQTGKQVKIECNFIGTETRRFGKSTCEFLNKRGYQITST -EEDSLRIKNIYSAEPIGIIIAVEEDVKKLLRAHCLEFKDEVSARGETYDEVTFVTSDSQRNDCEYRWKSY -IATTRHRKKLLILSGDASDTSP - ->YP_717534.1 TgBP1 [Passiflora latent virus] -MDVLVSYLNKYKFVRLCSNITPPIIIHSVPGAGKSSLIREIIRADPRFVAYTQGRADPVSIEGVQIQKQP -ATIPSGASFVLIDEYIEKGLPEGAFAAFADPLQGGSGRVLRAHFIKTESHRFGKCTAQLLRELDFSVTAH -GEDVVQIRGIYDVDPRDTVIYFEPEVGNLLTAHSIEAFCIEEIRGQTFESVTFVTSQSAPIDRAKAFQCL -TRHRKSLLILCPDATYTAA - ->YP_699984.1 Triple Gene Block protein 1 [Narcissus common latent virus] -MDVLVNLLNKYGLVRLSSKLVLPIVVHCVPGAGKSTLIRELLACDSRFVAYTGGLGDPAHITGKWIQRWQ -GDYDRTKNLVLDEYTLIEDVPGAFALFGDPIQVNTTSVKPADFICYTSRRFGSATSTLLKALGWPVEASG -NDLVQIHHIYSFEPTGVVIYFEEEIGCLLREHCVAALGLEEIRGKTFDTVTFVTSENSPLISREAAYQCL -TRHRLALHILCPNATYTAP - ->YP_595728.1 triple gene block 1 [Daphne virus S] -MDLLVDVALEFGFTRVSSVLRSPVIFHCVPGAGKSTLIRELINRDHRFIAFTAGEPDPPNLSGRAIKKYI -GSIPKDRFVLLDEYNVLESIPDGVYACFGDPLQVAVQRNQEAHFVCNFSRRFGSATAQFLRGLGFEIAAE -GQDTVEIRDIYAVDPKGQIVFFERSIGCLLKAHSVEAKHISEIRGQTFDHVTFVTESNDPRSDLPSAFQC -LTRHRVSLLVLTPNATYSTA - ->YP_277429.1 25K protein [Potato virus S] -MRMFDSLGNQLSSIEYMDVFLQILNKYKFERVSSTLNTPIVVHSVPGAGKSSAIRELLKLDSRFECITRG -RPDIPNLEGAFIKAERGGENKLLLVDEYIEGPVPEDAFAIFADPLQSTAVSPYRAHFIKTLSHRFGKCTA -SLLRDLGWDVQAEGQDSVQIADIFTVDPRDTIVYFEPEVGELLRSHGVEASCIGEVRGATFEHVTFVTSE -NGPLVDKAAAFQCLTRHTKSLLILCPDATYTAA - ->YP_164259.1 triple gene block protein 1 [Sweet potato chlorotic fleck virus] -MLNKLIMDVLLNKLRDSFNCISSCNKPIVINCVPGSGKSYFIRTLISGDSRFRAYTGGVPDFQNLTGRYL -RKFEESVDPQFTNILDEYQAVDQVHYSKFAAIFGDPLQEIPKSIYPQASFVGNVTKRFGKETALYLSKPG -VDITSEREDKLEIEYIFSGKIVGVVIAFEEGIIRLLRSHGCSFRRPQEVRGETFDRVTFVCTGRRVTECE -RHLVYIALTRHRDQLKILTADVTNATRGLF - ->NP_958172.1 triple block protein 1 [Poplar mosaic virus] -MDVLINKLVSCNFSRTRNQVGKPCIINCVPGAGKSTLIRELLNSDSRFRAYTFGEADPKNLSGRRILPAS -ELRNAPQGALIIIDEYTEGSWEPGKICAAFGDPIQLMGARHCLTDFVCNKTKRFGNSTCELLNSFGFEIY -SEKEDICLVRDFFEVEPEGTVVAFESEVKDILARHFVEFEDICSIRGKTFEEVTFFTASNSIPEHLAGRL -LPVLNPAQKQAHYCLPRCHFRPLLIILRLS - ->NP_932789.1 triple gene block protein 1 [Lily symptomless virus] -MDVLLGLLSEFGFERLSSELSLPIVVHSVPGGGKSSLIRKLINKDRRFSAYSFGLEDCESITGVRIKKAH -ASIPRSEFVVFDEYIEGDAPPWAFAVFADPLQGGPGPVLRAHFIKRRSHRFGKCTAQLLNDLSYEVESDL -ADVVQIQGLYETDLQGTVVYYEACIGNLLRAHSVPAYCISEIRGQTFESVTFVTSENYPVDRALAFQCLT -RHRSSLLILSPNATYTTS - ->NP_624314.1 movement protein 1 [Sugarcane striate mosaic-associated virus] -MEIVCRYLDDFKFERTSLELSSPIVVHAVPGSGKSTLIRKCITENPSLTACTFGKPDLPNLTGTYIKGYK -EGEKYDIIDEYIGNRFECVPVALFSDPFQNCEQYINTAHYIGRTSHRFGVVLLSYCAGLVIKFPHLAKTR -SLRVQVTLLNLQVLSYALSLTLRTIYLHITSSLFIPWTSAERLLTKLHSIILVISAILLVLSYIFR - ->NP_619560.1 TGB1 protein [Garlic latent virus] -MDVFCKVLIECGFSRLDGSGNKCKVVLGVPGCGKSSCIRRLINTDSRFIAATFGTPDPLNVTGRRIRSVT -ELSATELNGKLLLLDEFQQGDYEDLKPFALFGDVCQFFDSTKPYPVADWCKTVSHRVNKFTCDFLRDFGF -EITSDVSGVLEFGGLYDRELQGVVITYCTQVSALLKAHGVDHYTVASCRGSEFAEVTLCLSDRVVPKEDL -AKFYVCATRSRGNLLILTPDASEPST - ->NP_612809.1 25 kDa protein [Blueberry scorch virus] -MDVLVKYLVKNNFERQSSNLTLPIVVHSVPGAGKSTLIRELITADPRFSALTFGKADPPNLRGAFIRSAF -GATPYPEFTLIDEYLEGEVLEGAFAVFADPLQGGPGIPLRAHFIKRISHRFGSQTSALLTSLGFEVEACG -EDRVEIADIFKRDPVGVVLYHEKEIGVLLAAHSVEAYCIKEVRGQTFESVTFVTAESAPVLDRALSFQCL -TRHRKTLLLCPNATYTAA - ->NP_604465.1 ORF2 [Apple stem pitting virus] -METVLSLLNEFGFERTVEPLSDPIVVHAVPGSGKTTLIKQALIRNNNIEAVTFGVPEKANIHGTYIKKAR -QGQRGRGNYSILDEYLSGEYSTGFNCLFSDPYQNHGDCLRAHFIGRCSHRFGRQTVQILRDLGYNIASSK -EDIVEKKNIFQLIEPEGVIICLEKGVEDFLKWHSVEYKFPCQVRGATFDIVTFIHEKPLEELVGPDLFVA -LTRHRSKLVLVSN - ->NP_116488.1 25K protein [Aconitum latent virus] -MDVLTNLLNKYGFQRLHSKLSKPIIIHCVPGAGKSSLIRELLATDDRFIAYTGGIADEPNLCGRWIKKWE -GTAPLDRLVLIDEYTLLQELPDCFAAFGDPFQTNTNLVHPASFICKHSKRFGIATAALLRDLGFDITAEG -DDVVQISNIYEAEPRGVVVYFEEEVGCPLRSHCVEALHVSDIQGKTFEEVTFVTGECGPITSRVQSFQCL -TRHRTFLHILCPNGTYTSA - ->NP_066259.1 25 kDa protein [Hop latent virus] -MDVLVDLLHKFGFERLSSRLTTPIVVHCVPGAGKSTLIRELLDLDTRFCAYTAGVPDSPRLNGRWIRALE -EYPGTEGRLAIVDEYTLLDKLPFEPFAVFGDPIQSNSKGVLPAHFTCNFSRRFGLATSNLLRDLGWNVIA -EGSDVVQISDIFGVEPIGTVVYFEAEVGCLLRSHCVEAKSLAEIRGQTFDIVTFVTSENCPSSDVCAAFQ -CLTRHREALHILCPNATYTAA - ->NP_059938.1 25 kDa triple gene block protein [Cherry necrotic rusty mottle virus] -METIYSRLLNANFSRTSFPISFPVVVHGVPGCGKSTFIKSLLDCEEFSAQSYGVVRPTNLAGRGVEKALQ -PLQPGFNVLDEYLSGPSYEGFDLLLSDPYQNFRKPLTAHFINSNTYRFGVSVCQFLNKLGFEINSCKEED -TELIFGKVFGGEIRGEIICFEAEVEEILRKHSAKFHHPCNLRGAEFDHVTFITAHQDLQEIVGPDLYVSL -TRATKSLTILSP - ->NP_047282.1 24.4 kDa protein [Grapevine rupestris stem pitting-associated virus] -MNNLVKALSAFEFVGVFSVLKFPVVIHSVPGSGKSSLIRELISEDENFIAFTAGVPDSPNLTGRYIKPYS -PGCAVPGKVNILDEYLSVQDFSGFDVLFSDPYQNISIPKEAHFIKSKTCRFGVNTCKYLSSFGFKVSSDG -LDKVIVGSPFTLDVEGVLICFGKEAVDLAVAHNSEFKLPCEVRGSTFNVVTLLKSRDPTPEDRHWFYIAA -TRHREKLIIMQ - ->NP_047279.1 helicase [Cherry green ring mottle virus] -MEVANEFLLDAGFVRTAFALSFPIVVHGVPGCGKSTLVRKFLDLEDFHAQTFGVSKPTNLSGRGVEKAPV -PLQSGFNILDEYLSGPYSEGFDLFLSDPYQNFRKPLTAHYINSCTHRFGPSVCQFLNKLGFEIESAKEED -TELIFGKVFGGTIRGEIICFEKEIEELLRSHSASFHHPCDLRGAEFDDVTFISAHTDLQEIVGPDLYVAL -TRAKKSLTILQP - ->NP_056768.1 25K protein [Potato virus M] -MDVIVDLLYKYKFERLSNKLVCPIVVHCVPGAGKSSLIRELLELDSRFCAYTAGVEDQPRLSGNWIRKWS -GQQPEGKFVVLDEYTLLTEVPPVFALFGDPIQSNTSAVQRADFVCSVSRRFGSATCGLLRELGWNVRSEK -ADLVQVSDIYTKDPLGKVVFSEEEVGCLLRSHGVEALSLQEITGQTFEVVTFVTSENSPVINRAAAYQCM -TRHRTALHILCPDATYTAA - ->sp|P27330.1|TGB1_LSV RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDVLLSLLSEFGFERLSSELSLPIVVHSVPGGGKSSLIRKLINKDRRFSAYTFGLEDCESITGVRIKKAH -ASIPRSEFVVFDEYIEGDTPPWAFAVFADPLQGGPGPVLRAHFIKRRSHRFGKCTAQLLNDLSYEVESDL -ADVVQIQGLYETDLQGTVVYYEACVGNLLRAHSVPAYYISEIRGQTFESVTFVTSENYPVDRALAFQCLT -RHRSSLLILSPNATYTAS - ->sp|P37988.1|TGB1_CVB RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDVFVKILSDFGFTRLSSSLHVPIVVHCMPGAGKSSCIRALLKADSRFVAYTLGVPDPCNLDGLCIKAFT -GSVDLKYFNILDEYARYNGDASDFFALFGDPVQSPPRNLYRAHFKAVLSKRFGSCTAQLLRELGFEVEST -KEDLVSIRGLYDFDPVGTVIYYEKEIGCLLRAHSIEAYEPEEVVGKTFETVTFVTAENHIPAESRHLVYQ -CLTRHRSVLHLMTPDASYTST - ->sp|Q02109.2|TGB1_POPMV RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDVLINKLASCNFSRTRNQVGKPCIINCVPGAGKSTLIRELLNSDSRFRAYTFGEADPKNLSGRRILPAS -ELQNAPQGALIIIDEYTEGSWEPGKICAAFGDPIQSRGPGIVADFVCNKTKRFGSSTCELLNGFGFEIHS -EKEDICLVRDFFEVEPEGTVIAFESEVKDILARHFVEFEDICSIRGKTFEEVTFFTASNSIPEHLRADFF -QCLTRHKNKLTIACPDATFAPS - ->sp|P16650.1|TGB1_PVSP RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDVFLQVLNKYKFERVSSTLNKPIVVHSVPGAGKSSAIRELLKLDSRFECITRGRPDIPNLEGAFIKAER -SGESKLLLVDEYIEGPIPEDAFAIFADPLQSTAVSPHRAHFIKTLSHRFGKCTDSLLRDLGWDVQAEGQD -SVQIADIFTVDPRETIVYFEPEVGELLRSHGVEASCIGEVRGATFEHVTFVTSENSPLIDKASAFQCLTR -HTKSLLILCPDATYTAA - diff --git a/seq/clusters_seq/cluster_280 b/seq/clusters_seq/cluster_280 deleted file mode 100644 index 8ae15a6..0000000 --- a/seq/clusters_seq/cluster_280 +++ /dev/null @@ -1,190 +0,0 @@ ->YP_009507725.1 NS1 [Orungo virus] -MDQFLDSFDVTGDEANALRLLRAVSPEMTCSHLRRDCLIGGICAKQFFNEVLMAILDSKDRQAARQLVTL -AVMTSYDRELIWLNVLRSTEVLPANDYAHEIQNVVLNLKRVFIESGLEEKYKSFRAKRRPNRSPTEDAFS -FLPFFYIPMNETKRVGIKKLTRVRNLGVAFVDRREVTHHLPFTVDAARRDLMMLRNFTLEHQRTCTFTGS -KSLIHGMIFCPEEMEPLFQKKETALEIYRICEQDMKFINSRGTMSFPRFLMQRLAVKNASSDAMDQVMLG -RTPSDGRLMSVTGASFFFGGGPDWRKWAMPALLVRAARLRTVVTSFVKEWFDSTHTCQVCFLVEKGVADS -IPITDVRLSDVCGVGPVAYVRSYAHDDGNAPDVRVLRKGEIFRLMGGHYVMQTTASTMESLVVAAKEMQR -LVRGDGFWDTPYWGLSMANLCKIMFHENPAKEQIHFMIKLITFGMFGGVQRHNGLFTDWDNLEEFLKRMF -STEEMGVRMYESSYHAILRACILHLQTTAKPTLVQLTHAIESLDLGIEEVPFNFYV - ->YP_009507714.1 NS1 [Lebombo virus] -MEQFLESFQIHGEKSIIFQLFSSISKEWNCSHLSRECMVKGVCARQFFNSLCDQALANQDFQMAEQLEDI -ATIAMHDRERVWLNAIKSASITPKTDLELEGSMAVAELERLYNRYGTKDAFMTLKTRKRLARVATDDSLS -MLSYFYVPVNGNAVSAVSHLTRFGDIGIAFVERTEVTGFVAFDQREIQRVLDRLRATVATQEPRCPFTGV -HKHVAYMMFLPKTALELFQDKEKVVHLMRVAQQDTKMITAMRTQAYPRLMLQRYGVDPCFDFALHHLHLG -EIPYGTGTTNLVLHRFFTDGGSDWRTWCLPLVVMRTARLGKALGAQICEYITQKSSCQICFLVERGCDTI -PITDVRLAEMTGGDEVVYGRPIRHDDEDYIPDLRLLRAGEVYRRVGPHYLAQRVSTSREALIVSAKELHR -SVRGDEHWGTVQWRKNVVNLVRLVLYWFPTSDERTQIFNLWCFALFGMVPRQDGKYTNWDDQGDFLNMMM -STQDVAPRVVGILYKAMLDLALLHLQSTAVPGVPPIDLMRSEELEIVEPVNFNFHYLQM - ->YP_009507698.1 NS1 [Eubenangee virus] -MDIFLVNFRVEGEDAFAVRLFGSVSRFWNCSHRQRNCLVGTSCCRQNFEELVDRANREDDHELAGQLVRN -AIQCVLDREKLWVHCVRAFSELAVDGLDIQLDVYFTQLKRICAETGVDHHMRSLKTERRANRVKIDDTVS -FLPYFYPPMINDGIVRSVAFGRYGGCGIWYYEREEGIRYADFRVREVRAQILRCERAILRELPLCPYTGK -RCNIYRVVFFPIRMRDFLNEEGERDHIMRRVESDGWMIEHLGGPHERDFITQRLGTYPRGPEALSQIMML -KREVGGREMNLVEMRVTRDGGETWASWGFPGYMIRLWNCGRIDINLVDQFILSKQSCQICYMSEERRDRQ -VLLFDYRLAHMMGTPLVRYGTDVIHDHGGLDVRKVVLTRNQMLVRLCDHWIIMTPDTGMEAILTAAGTMH -KIARGRGQWNTTDWMQTMGLLCRLIIRWEFDRRQWGMVLKLFCFACFGYVERTNGARPDWNDLQIFYRFL -LEEVNINIAELEEAVFVMFRLAVLAMSHLNLKETVDIEEDLAINPEEREETHAAIIGFEEMLGNDD - ->YP_009507733.1 NS1 [Warrego virus] -MFYDFSEDVTCSHLRRDCFINGVCARQFFKMCVQNANVEFDRNAAQTLVEIASTAIQDRERLWLNASNAF -KIQLNEDVELQMTQLLREVFEEYQKSGMMDETRQLAHRRRAQRVYIDDSATFIHNLYVPYSEGKIVHPVT -FTRWRSIGVVFYKTSDASYWLPNDLAQVSNDKRVLQRTIKERMPSCPFTGSHAPIVQTVFFPVGMQGIFE -DEKQRNNIMRRVQMDIRLLFQIGTRYESRMTEQFLSVEPRGQEFLHHVMLSKFALNGTDTNWVEIRCRGE -GGQTWGSWAFPALMMRMHLQNRLTQDEIINWIVRKHDCQICYLKEIGMQENIVIVDTRAREVLGTPTIRI -AKDVIHECDGFEIARLTLVHTEQLTKIGNHWVKVTAMSPLEAFIITAITIHREIRCDGAWEERNYRNGIA -LLARLIIRYHFERAHRTWIYRLFCFVCFGYAPRDDGEEPNWDDLGSFLKIIMGGVPYEIEEDETVFAQLF -KACRLIMSLAYQRGIGAFVLPIQYDNDVDRNEFVEYLREV - ->YP_009345883.1 VP5 [Orbivirus SX-2017a] -MERFLRKFEFSNSRSQALRVFDAVAEQWTCSHLKRDCLIGGVCARQNWKDMIETTYESGRAEQAHRLGAI -AEVSTSDRLTLWLQCLQSFERPYDEDIRGEIIKLGTEMLDDYRNSEMARQAGDLPNGPRLDRERMDDSLS -ALPYVYVPVNQGKIVTPQRIARFRHVGIVYYDPDDADEWLPPDAYHLRKVRNDLMEDIRAQLPVCYDSGF -QGPIHRLVFLSVHMLPHMQSATFRRCINLYLTTAVRLEAQFSTPTEARLTMQTFGMVPPGESPMHRMMLS -EIYINGEHTNWVRLRAKTRGLGSWESWLMPMTLVRKAIIDGAGSQRVFDFIRSDYPCQLCYLQSKDDAEF -YIVDTRTADLMGLPAVRQVRAVSHAHKDFDVARERLSGNDHLGRIGSHYYVYAVHSGMEALITTAITIHK -WIRGNGIFCDESWIEGVAMLFRVLVRWELNKKQLSCLYRLFCFVCFGYEPRHDGYIPDWYDLGKFLDVIF -QGVELNMEELDVAHARMFKLGRLVITMSLNARTMAPDFDTGTDHADVLAQLCTQMREMWNNTLA - ->YP_008719922.1 hydrophobic tubular protein NS1 [Changuinola virus] -MERFLTRFQISGERAISVKTFFLVSDDWTCSHVRRDCFFKGKCARQFFKDCVEQCANEGTVAEARELVEI -ATRAVCDREKLWLNLYRSLQYDDENVSEMSLNGVMGRARQLYQESGMLDDVKTMKLTANPDRVTLDDCSS -CIHMTFLPIYHGRICKPMSIMRYGQFGIIFINKERIESLVPFDQEEFRHEKIELQNHVRQMLPICPTTGS -RSRIYNLAFAPMEMAVHMRHKEFKLEICKRLEMDFKYVNQLGTRYAPRMILQRTSLEVRGEDPGLTPYMA -RLQRNGSDDSFVKVRMCVTGNEDWRTWLYPELLGRMFNQGRIDGRLIHNYIEGRGDCQMCFLQNLGLGRE -VIMVDTRTAEIVGTNPVRTVMNSVHDNNDVKLACHVLTGNQILTKMCNHWVITTASSAMEAFVITATTIH -RDVRGKGLWMDKRWQEAVYQMGRLMFRFDLNARGRTNILRLFCFVCFGYMPVENGKMPDWTDLGSFLRMV -MGGEINSMGKDFESFTVLLEAVRGVMVLSNKHEIVPAALEERPDNMHMREQGFAVESHMHNEYVRRGQH - ->YP_008658419.1 tubule [Wallal virus] -MEDFLRRFRISAGEASAVRTFYKVSSYYSCSHLKRDCLVGALCMKQDFRLLCERALANDDVQMAEELIAM -AEQTLLDRETLWVHMHNMTSLAPPDDIDQQIDDLMNRLKNMYWMHGMQQQARTLPALRDPHRVYIDDTTG -TMPYFYVPIVQGQIPRIEATTRYRGCAVAFYRPTPEMDFAPFDLRALRRQLNDVKTAIQHEFPICPSTGK -RCGIHRIVFLPYKMRAHLERDEFIHDFMRRVESDGWMLQNLRTELEKSFILQRFGVYPAGGEPIDAIMLR -QIVVQDGITNLVRMRVLNEGGESWSSWTCPYYLVRLALNGVIPWDVVNNYILGKEACQLCYLKNVHKMGE -VHLFDVRSANILGTSPARVGQNVAHYTDVEDVRNIVLNGKQQVMRFGNHWIVMTPYTSMESLLLLAQTVH -RTARGNGGWGTTEWVQNMNLMSRVLFMWNNETNFTGAMLRLYCFVCFGYMPRENGSVCDWLDYGKFLNII -LNHQPMSMDEEEACYSVMMQLAVNSMYWGNRLKFVDNILEVERDDAAEVAVAALQYQEEVRRWDWGN - ->YP_003240112.1 NS1 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MERFLRKFNMNSYYANHVKMFQALSPQWTCSHLRRNCLFDGVCAKQHFEEVMNRITDRNDAHAAYRLAEM -AQITMLDREKVWLQCYKSFSEPYDENIAEKIQTCGRELLDKYKNSDMVTKIDNMIKFDPTRIVLDDNFSA -FPYLYIPVNYGQMVQPIRIMRYRQIGYCFYQPDAVDDWVAPDIYPMRRPRMEMCRQVKEAVGSCSFTGFS -GPVFQIMFFPMQMLPYMENEGFAKIINRYAQMAVQQYLRVGYIEERRYVTQLFGECPAGEFPMHHMMLRR -WEGNGRPQTLVQMRHTIAGNKEWQTWLLPMILVRIAVKEPANFEYVRGFMQGRHKCQLCFLKNGCDRETF -YHIDVRTSEMVGCSTVTQVMIGEHVDISLPVQKIKLTGTEHLGRASDHYFKYNATTGMEALIRTAIQIHR -WIRGTGIWENDEWQEGIYLLARVLLRWELSTQARSIMFRLFCFVCFGYAPRKDGTIPDWKDLGTFLDIIL -NGTELGDDEDEAATGIMFELSRCVMTLAYAERIKVVTFNAPECDEGAVMNIAQAMANMWDN - ->YP_052938.1 non structural protein NS1 [Palyam virus] -MERFLNYFGIHGDEAADVLLFGQISPEWTCSHHRRDCLMWGICARQFFSTCCEMAVDHAEWGMADQLVKI -ASTALHDKDRVWLNLLKSLGILSNQYKDEVQIRIDDIYRKYVEVGVRADFQSFRKKRRPMRTYTDDSFSL -MHCFYLPYTKDGIVPVTGFTRFKNIGVAFYDDQVQFQLASFRDRSLEIRTDVIKDEIGEVIPRCKYTGVR -NPIRHLMFIPQRLQNCLDDIQFAKKVFRHAQHDAKLIQSIDTRLFSRLMMQRFGLKNATEDILHQFVIGR -LEWQGEETSIGRSRILSMKDYAWEQWCIPLTISKLMHVMRVSLNIIFDWFDSGDVCQNCFLFQKGFETVV -IIDTRLSDLCGCNEVFLARNYRHDVEQMPRMRDLRPDELFRRMGCHWVAQEVPSSLMATMIVMEEVHKMI -RADQHWETPAFRSTMLMLARVMLYWKHGSAERTQLFRLLCFAIFGTQSESEGRYIDWDDLGEFLNRMLKV -EPFSMSEDTDSYVSAFKLMLLFMQSSNTVAVHVLEEAFQTLPLISREPKTYAYAI - ->YP_052970.1 hypothetical protein BTVs5gp1 [Bluetongue virus] -MERFLRKYNISGDYANATRTFLAISPQWTCSHLKRNCLFNGMCVKQHFERAMIAATDAEEPAKAYKLVEL -AKEAMYDRETVWLQCFKSFSQPYEEDVEGKMKRCGAQLLEDYRKSGMMDEAVKQSALVNSERIRLDDSLS -AMPYIYVPINDGQIVNPTFISRYRQIAYYFYNPDAADDWIDPNLFGIRGQHNQIKREVERQINTCPYTGY -RGRVFQVMFLPIQLINFLRMDDFAKHFNRYASMAIQQYLRVGYAEEIRYVQQLFGRVPTGEFPLHQMMLM -RRDLPTRDRSIVEARVRRSGDESWQSWLLPMIIIREGLDHQDRWEWFIDYMDRKHTCQLCYLKHSKQIPT -CSVIDVRASELTGCSPFKMVKIEEHVGNDSVFKTKLVRDEQIGRIGDHYYTTNCYTGAEALITTAIHIHH -WIRGSGIWNDEGWQEGIFMLGRVLLRWELTKAQRSALLRLFCFVCYGYAPRADGTIPDWNNLGNFLDIIL -KGPELSEDEDERAYATMFEMVRCIITLCYAEKVHFAGFAAPACEGGEVINLAAAMSQMWMEY - ->YP_052965.1 nonstructural protein NS1 [African horse sickness virus] -MDRFLTYFQVRGERANAVRLFGEISEQIDCSHLKRDCFVNGICARQHFKECCNIATDNGSRTNADKLVAL -ALRALLDRQTIWTCVIKNADYVSQYADEQMEEEVNKLYDVYLQSGTREEFEGFRQRNRPSRVVMDDSCSM -LSYFYIPMNQGNPAPVAKLSRWGQFGICYYDRTNVDGLIPYDEIGLAQAIDGLKDLIEGRLPVCPYTGAN -GRINAVLHLPLEMEVIMAVQENATQLMRRAAQDFKFITHAGWRLYPRLLRQRFAIEDATEGVIHHVMLGH -LRYYDEDTSIVKYRFLNDGSLDWRTWTIPLHLMRTARLGHLQPESILVFMHKSLHVRYALWLTSLCLTQS -RWLIQKLPELTGGTDVLYTRAYVHADNHKVPNVRDLMMNEVFRKIDDHWVIQKCHTTKEAITVTAIQIQR -SIRGDGQWDTPMFHQSMALLTRLIVYWLTDVTERSAIFRLTCFAIFGCKPTARGRYIDWDDLGTFMKNVL -DGRDLTVLEDETCFISMMRMAMLHVQRSKVVCATVLEAPLEIQQVGQIVEVPFDFMHN - ->sp|Q85967.1|VNS1_AHSV9 RecName: Full=Non-structural protein NS1 -MDRLLTYFQVRGERANAVRLFGEISEQIDCSHLKRDCFVNGICARQHFKECCNIATDNGSRTNADKLVAL -AMRALLDRQTIWACVIKNADYVSQYADEQMEEEVNKLYDVYLQSGTREEFEGFRQRSRPSRVVMDDSCSM -LSYFYIPMNQGNPAPVAKLSRWGQFGICYYDRTNVDGLIPYDEIGLAQAIDGLKDLIEGRLPVCPYTGVN -SRINAVLHLPLEMEVIMAVQENATQLMRRAAQDFKFITHAGWKLYPRLLRQRFAIGDACGGVIHHVMLGH -LRYYDGDTSIVKYCFLYDGSLDWRTWTVPLHLMRTARLGHLQPESILFFMHKSLRVRYVLWLTSLCLTQS -QWLIQKLPELTGGTDVFYTRAYVHAENHKVPNDRDLMMNEVFRKIDDHWVIQKCHTTKEAITVTAIQIQR -SIRGDGQWDTPMFHQSMALLTRLIVYWLTDVTERSAIFRLTCYAIFGCKPTARGRYIDWDDLGTFMKNVL -DGRDLTVLEDETCFISMMRMAMLHVRRSKVVCATVLEAPLEIQQVGQIVEVPFDFMHN - ->sp|Q03068.1|VNS1_AHSV4 RecName: Full=Non-structural protein NS1; AltName: Full=Hydrophobic tubular protein -MDKFLTYFQVRGERANAVRLFGEISEQIDCSHLKRDCFVNGICARQHFKECCNIATDNGSRTNADKLVAL -ALRALLDRQTIWTCVIKNADYVSQYADEQMEEEVNKLYDVYLQSGTREEFEGFRQRNRPSRVVMDDSCSM -LSYFYIPMNQGNPAPVAKLSRWGQFGICYYDRTNVDGLIPYDEIGLAQAIDGLKDLIEGRLPVCPYTGAN -GRINAVLHLPLEMEVIMAVQENATQLMRRAAQDFKFITHAGWKLYPRLLRQRFAIEDATEGVIHHVMLGH -LRYYDEDTSIVKYRFLNDGSLDWRTWTIPLHLMRTARLGHLQPESILVFMHKKLTCQVCFMVDLAMLDTI -PVVDSKVAELTGGTDVFYTRAYVHADNHKVPNVRDLMMNEVFRKIDDHWVIQKCHTTKEAITVTAIQIQR -SIRGDGQWDTPMFHQSMALLTRLIVYWLTDVTERSAIFRLTCFAIFGCKPTARGRYIDWDDLGTFLKNVL -DGRDLTVLEDETCFISMMRMAMLHVQRSKAVCATVLEAPLEIQQVGQIVEVPFDFMHN - ->sp|P35931.1|VNS1_BTV2A RecName: Full=Non-structural protein NS1 -MERFLRKYNISGDYANATRTFLAISPQWTCSHLKRNCLFNGMCVKQNFERAMIAATDAEEPAKAYKLVEL -AKEAMYDRETVWLQCFKSFSQPYEEDVEGKMKRCGAQLLEDYRKSGMMDEAVKQSALANSERVRLDDSLS -AMPYIYVPIKEGQIVEPTFISRYRQIAYYFHNPDAADDWIDPNLFGIRGQHNQIQREVERQINTCPYTGY -RGRVFQVMFLPIQLINFLRMDDFAKHFNRYASMAIQQYLRVGYAEEIRYVQQLFGRVPTGEFPLHQMMLM -RRDFPTRDRSIVEARVRRSGDENWQSWLLPMIIIREGLDHQDRWEWFIDYMDRKHTCQLCYLKHSKQIPT -CSVIDVRASELTGCSPFKMVKIEEHVGNDSVFKTKLVRDEQIGRIGDHYYTTNCYTGAEALVTTAIHIHR -WIRGSGIWNDEGWQEGIFMLGRVLLRWELTKVQRSALLRLFCFVCYGYAPRADGTIPDWNNLGNFLDIIL -KGPELSEDEDERAYATMFEMVRCIITLCYAEKVHFAGSAAPACESGEVINLAARMSQMWMEY - ->sp|P35933.1|VNS1_BTV13 RecName: Full=Non-structural protein NS1 -MERFLRKYNISGDYANATRTFLAISPQWTCSHLKRNCLFNGMCVKQHFERAMIAATDAEEPAKAYKLVEL -AKEAMYDRETVWLQCFKSFSQPYEEDVEGKMKRCGAQLLEDYRKSGMMDEAVKQSALVNSERIRLDDSLS -AMPYIYVPINNGQIVNPTFISRYRQIAYYFYNPDAADDWIDPNLFGIRGQHNQIKREVERQINTCPYTGY -RGRVFQVMFLPIQLINFLRMDDFAKHFNRYASMAIQQYLRVGYAEEIRYVQQLFGKVPTGEFPLHQMMLM -RRDLPTRDRSIVEARVRRSGDENWQSWLLPMIIIREGLDHQDRWEWFIDYMDRKHTCQLCYLKHSKQIPA -CSVIDVRASELTGCSPFKMVKIEEHVGNDSVFKTKLVRDEQIGRIGDHYYTTNCYTGAEALITTAIHIHR -WIRGSGIWNDEGWQEGIFMLGRVLLRWELTKAQRSALLRLFCFVCYGYAPRADGTIPDWNNLGNFLDIIL -KGPELSEDEDERAYATMFEMVRCIITLCYAEKVHFAGFAAPACEGGEVINLAARMSQMWMEY - ->sp|P33471.1|VNS1_BTV1A RecName: Full=Non-structural protein NS1 -MERFLRKYNISGDYANATRSILAISPQWTCSHLKRNCLFNGMCAKQNFERAMIAATDAEEPIKAIRLIEL -AKEAMYDRETVWLQCFKSFSQPYEEDIEGKIKRCGAQLLEDYRKSGMMEEAVKQSALINSERVRLDDSLS -AIPYIYVPIKEGQIVNPTFISRYRQIAYYFYNPDAADDWIDPNLFGVRGQHHQIKREVERQINTCPYTGY -KGGIFQVMYLPIQLINFLRMDDFARHFNRYASMAIQQYLRVGYLEEIRYVQQLFGKVPSGEFPLHQMMLM -RRDFPTRDRNIVEARVKRSGDENWQSWLLPMVLVREGLDQQEKWEWLLEYMDRKHICQLCYLKHSKQIQT -CSVIDVRASELIGCSPFRTVKIEEHVGNEPIFKTKLIRDQQIGRIGDHYYTTSCYTGAEALVTTAIHIHR -WIRGCGIWNDEGWQEGVFMLGRVLLRWELTKAQRSALLRLFCFVCYGYAPRAYGTVPDWNNLGSFLDIIL -KGPELSEDEDERAYATMFEMVRCIITLCYAERVHFAGFTAPACESGEVINLAARMSQMWMEY - ->sp|P32931.1|VNS1_BTV20 RecName: Full=Non-structural protein NS1 -MERFLRKYNISGDYANATRTFLAISPQWTCSHLKRNCLSNGMCAKQNFERAMIAATDAEEPIKAFRLIEL -AKEAMYDRETVWLQCFKSFSQPYEEDIEGKIKRCGAQLLEDYRKSGMMEEAIKQSALINSERVRLDDSLS -AIPYIYVPIKEGQIVNPTFISRYRQIAYYFYNPDAADDWIDPNLFGVRGQHHQIKREVERQINTCPYTGY -KGGIFQVMYLPIQLINFLRMDDFAKHFNRYASMAIQQYLRVGYLEEIRYVQQLFGKVPSGEFPLHQMMLM -RRDFPTRDRNIVEARVKRSGDENWQSWLLPMVLVREGLDQQEKWEWLLEYMDRKHICQLCYLKHSKQIQT -CSVIDVRASELIGCSPFRTVKIEEHVGNEPVFKTKLIRDQQIGRIGDHYYTTSCYTGAEALVTTAIHIHR -WIRGCGIWNDEGWQEGVFMLGRVLLRWELTKAQRSALLRLFCFVCYGYAPRADGTVPDWNNLGSFLDIIL -KGPELSEDEDERAYATMFEMVRCIITLCYAEKVHFAGFTAPACESGEVINLAARMSQMWMEY - ->sp|P33472.1|VNS1_BTV1S RecName: Full=Non-structural protein NS1 -MERFLRKYNISGDYANATRSISAISPQWTCSHLKRNCLFNGMCVKQNFERAMTAATDAEEPAKAYKLVEL -AKEAMYDRETVWLQCFKSFSQPYEEDVEGKMKRCRVQLLEDYRKSGMMDEAVKQSALVNSERVRLDDSLS -AMPYIYVPIKEGQIVNPTFISRYRQIAYYFYNPDAADDWIDPNLFGIRGQHNQIKREVERQINTCLYTGY -KGRVFQVMFLPIQLINFLRMDDFAKHFNRYASMTIQQYLRVGYAEEVRYVQQLFGRVPTGEFPLHQMMLI -RRDFPTRDRSIVEARVRRSGDENWQSWLLPMIIVREGLDHPDRWEWLIDYMDRKHTCQLCYLKHSKQIPT -CGVIDVRASELTGCSPFKTVKIEEHVGNDSVFKTKLVRDEQIGRIGDHYYTTNCYTGAEALITTAIHIHR -WIRGCGIWNDEGWQEGIFMLGRVLLRWELTKAQRSALLRLFCFVCYGYAPRADGTIPDWNNLGSFLDIIL -KGPELSEDEDERAYATMFEMVRCIITLCYAEKVHFAGFAAPACESGEVINLAARMSQMWMEY - ->sp|P27585.1|VNS1_EHDV2 RecName: Full=Non-structural protein NS1; AltName: Full=Hydrophobic tubular protein -MERFLRKFNMNSYYANHVKMFQALSPQWTCSHLRRNCLFDGVCAKQHFEEVMNRITERNDAHAAYRLAEM -AQITMLDREKVWLQCYKSFSEPYDENIAEKIQICGRELLDKYKNSDMVTKIDNMIKFDPTRIVLDDNFSA -FPYLYIPVNYGQMVQPIRIMRYRQIGYCFYQPDAVDDWIAPDIYPMRRPRMEMCRQVKEAVGSCSFTGFS -GPVFQIMFFPMQMLPYMENEGFAKIINRYAQMAVQAIFTSRLHRGERYVTQLFGECPAGEFPMHHMMLRR -WEGNGRPQTLVQMRHTIAGNKEWQTWLLPMILVRIAVREPANFEYVRGFMQGRHKCQLCFLKNGCDRETF -YHIDVRTSEIVGCSTVTQVMIGEHVDISLPVQKIKLTGTEHLGRASDHYFKYNATTGMEALIRTAIQIHR -WIRGTGIWENDEWQEGIYLLARVLLRWELSTQARSIMFRLFCFVCFGYAPRKDGTVPDWKDLGAFLDIIL -NGTELGDDEDETGQTGIMFELSRCVMTLAYAERIKVVTFNAPECDEGAVMNIAQAMANMWDN - diff --git a/seq/clusters_seq/cluster_281 b/seq/clusters_seq/cluster_281 deleted file mode 100644 index 2cc48ae..0000000 --- a/seq/clusters_seq/cluster_281 +++ /dev/null @@ -1,205 +0,0 @@ ->YP_009551900.1 putative 67.3 kDa coat protein [Nephila clavipes virus 2] -MSDLNAPQPTPGGSANNEEVFDSTIVPSQGQVSQDQMPGPDNREAPMHSGEMNGVDPFFYTQWMALVSFV -WDTSMSPGQLLWFIPIHPTFVHQWMGHVSKMYNAFAGGFDFAISIAGTGFHAGKLMIVRLPPNIHPETLK -TVADVTAFPYFVIDPKTLQVVTKSAMDQRNVMYHYLPYNKDNIQSFGGYIAVYVMLPLNTSSTGATQISL -QVLTKPAQDFMFTQLIPIRSDVLNEYKPSEIMPSLDFRFPKFSPLFGGPLKNLTCWTSTAKKWITREVFN -CKKLDGTFIRQGDRPGSTYQTKYILPQTDSLTLKLENFPDKKVKSLRVKLAYLDTVQGGLATQILSEPES -GKICFSFENFCPDPKQPEVFFPNFRCENALISFINRSTPDYPNAGPAWPCSIVQKTPTDPQELFSNIVLI -DGKVVEQYYKYKDGLVTPPAELDGFIDDLFLKQGMTVVIKFLKDVSLSTSTVSWAPPIPESIITFETDVS -SAAQPYELSNYLASYNFKDTITEHECLLWQLQDTKVDLPILPIKLHFNGCFTTLNLKENVIYDLTDGRYK -LEFLGVTQETTPLQKVKGVLKTSQYAQNMVVSRMASTIV - ->YP_009345022.1 hypothetical protein 2 [Wuhan spider virus 4] -MSHIPQPGQGEGEQIPSMAASPPMPDINLPQSQSNDITHGMQMLTFDQWNVTQFRFMSSFSWNTHETPGK -VLWFTPISPLFLDTNLAYYSKLFNQWAGDFEFMFKVAGTGFHAGMLSFAKLPPNIHPNDVQNPNQLSVFP -WSGVDPKCLEPITEDGQDIRQVLFHWMNPKTDAFNEVNQIGGYLCAFINLDLNTGVGAAQRISVGVWVRA -KPNFQVRFVVPIALNEQIPSSIAPCSISENFNFTFQQVYYLASTPTVATLFVIEPASVKVLNTGVYNTFD -LDGKGNSDHDNKSFTTPVPAMEQVNLKKLADNKFSFEKPTPQWPCSFKDGYVIPVVPGSSIYLGNVSVDD -KWVYSGGGVDLDPIENPYNFYGTVDVAKSTKLPTDASWGPPIGGESLVVFADSTGTLKSAQTAKIAELFA -TTRLSSWLAPGTAARFLVFDNPNKIPLGYLKLYRKGFMTMVGQNDQVKLQLKNVRLEFDGFMEESGVLPS -RPQDAFNLMVTTMYQRPAIAKAAKKTLLAELRRVRVGTLIRDGSSSVSSSGWREHNISRSDIRRIFRSSS -NSAQHQSPSSRFPAEVYRRDNQPRRTSLHEEWFARLPVLAGNRPDAQHSLPSKRS - ->YP_009345016.1 hypothetical protein 2 [Wuhan spider virus 5] -MATPIAQANSSVSNGLDLPSTVEAGAHLPSEIQTPTPPTVEVPFAAGEGNRLDPYFYEQFIKVEVFPWTT -MDQPGKVLFVLKLDPKNINKQLAYFLDAYYAWGGDIKLLLKVLGTSFHAGQLGIYYLPPGVDYKNYTPQE -LSIFPWEIVDVKDINLHEILMRDIRPTKYHMLEDKPNDPFYVQSGGTLVIAADTQLSTSSTGVNKIYVSV -WSKLAENFQVAYMIPPRELKPSLNFSNEFMAAVNSIFYTGSKSLASAPFRIDTIDIQPVTIRQTFNGIYN -CFTTAGENLYTPILPDDYPNSKFNLPIYPMAGTFRTTSNPFEVEISFGFWEFWPKEFTISYKDGDKRTSA -TPSDMSWDKSDPFKVSFTHEDGLSVKIDEPVKFFPDNVGVFTDKVSPVNQSTTPVNTPQARESIVYFQNS -FGAYSLQPAVLAQACADKQFIDVIPQGMAALLIMTEKTSGLPLTYLKFYNRGIFTAPASVDLVKFSLDDK -QIKFSNFVLETQSFPVNEEMIRNMLAYCSFHTNKKIMRRISSAKSLQSRKALKKFVTFQETGI - ->YP_009345010.1 hypothetical protein 2 [Wuhan spider virus 6] -MSQVNAPQPSTSGPAEIFDATIAGTNETPVSSDGNRGPNTTEAPTHAGANNGIDPFFFKHFIALRKATWT -VNQDPATLLFSVPIHPSECHQWMAHIGKMYNVWAGGIDFAVKVAGTGFHAGAIMCVRIPPNIQPKTLRTE -SDITAFEYSVIDPKTLEVISKSVMDQRYVMYHYTNEFNLEKPRTFGGYFCIYVLMPLNTSATGSAEITVQ -IFSKPCSDFKFSQLKPISLGPVIELYPPALEWALRLGKPVYCAGTADHAYFFELLPNIKSADFELVGCAD -FITGAHNIGVAPLSNGFSIGELVFAKGSNADYKVVLSSNKTITFRGHDFRTGDSAYFLISFIPKGYTQYI -TVMVYVKIDVIDLDRVTIKNDNSNIPERYSACSFKVDQWDSIKEGALLTTIFSNVKEVDIYLYRFVEKTI -HADRKFIPPLPETIAFFSPSVLTSGVGKVCQSTLIRDAIIESKDSLHQGECFLLEFWDDDVNLPILPFKL -YREGVFTTIQQSKNVTYNLNTKNYSLRIVSKGQANVPLARNARQISTYARNHAISNMAAFHIADGLNSDG -SSSRTGSVD - ->YP_009342255.1 hypothetical protein 2 [Wuhan arthropod virus 3] -MSTQTASAVSMGDEVFSSTEDAPLPVSAIQAEHADPGEAVPHVGAANTIDPFFYEQFVAQTNFTWTSSDN -PGKLLYTIPIHPVFANIYLAYLVQLYNTWVGGLDFKVKVAGTGFHAGALILARIPPNIDPNSLTTTSAIT -AFPCTFIDPKTLTAASQSIMDQRQLMYHYTNYDSADSTTIGGHFAIYVLQSLNTSASGTNQIDVQVFCKA -SADFELLQIKPPNLTLNPPTPTYANFTKLFDRLAGQTTPYAFGSNCIEFIVAPTTGPIYAGLEGARQLDG -SLLGTYTTFDEQVTAQRFSGISGVAGTNAGGKLVIHRNAAAPYTFQVIQFYQNNCKVTGVAMHAIGDEST -PASYVSSVDVDFTLPYNASSTAALGYMDESSATFVLTDGINPVVIPTQGESLVGFGFYATRSIAGSIYYT -AQSTQMALGLRDKVFGEVPPDSALLFILIDTVLSIPVNYLKLYPQGFFTSSHVDQPIVYDSRRYRLEYVG -LIEVTTTVPTKIEFETHKLAMSRSFDHKKKRSGFLSAAYHGESAA - ->YP_009337758.1 hypothetical protein 2 [Hubei picorna-like virus 72] -MAQVINNQAPDVAAAVTPAPMPAAPLLQETAGMPPTMAPQAAGMVNNYDMQFRVHKLKVKVIEWTKTQPV -GTVLAVFPIHPSQHPWTDYISRLYNVWAGAFRIEMQPVATHFHAGRVAMVRIPPNLNPYDMSIPVNYTMF -DFVSIDAKTPDPVALTPIDQRRLFYHYVGEEPVDGVWKPDDIGGYVMLIVDNMLVTSSTGVDKIDINIYM -ESDSFEFAQLKPLAPTSIALNPFSPGFVSPFSTEQKYALHNIAVTKLSSVPQFSTKYTLYVMDSGGKATY -RLLDTNVFGPRTCAGQAKEANIISLYSPHGELNAGKYTINDHKAVDATFAHVVGDVYVCNTTCEAGPSYC -RFESGAWNGLTALDTSKWFMMFDAVSKEVYNNENLVWFGTDKDEWAPQVDEVRAMWFDGRYEAVLPPGKA -ILYQIYNTEINAPVGYMKLYSNGLCSMKSDKNYTVMRLESLSFRVMDIVQSRDPFPNHDPPTIAGMTMMA -MKRDLIIGESSRRRQSMNQIETTEHLPPWMFTANMSLGQFNAFQRFYDARANVNQPTNTIGIMGGQTITS -GGNIVSGPAFYNPIGRYTTGNPITSGAGNTAGMMVNSERGLIPYDNGMRGQVSGTLQTALQNQGALQTLP -DAWNSHQTTATLQDLEARQAVVPLMSQLPGQSQALVHAEFANEFGNAAQAVVNDMNGEGVGIQNLDQTPG -GVGITELETEYPNGRLPTATDFANEGLRAAENFAGSMSEATQSSMLLGAVSGGLNMIGNVVTGALNFKAQ -EDSISQRAQEFQTMAPSLQALNQAEANEYNGKANLLNAQAQNISQSYAYNDSLITRYQQSLQQSGLPGYL -AFGGGSAMNFAPRTAATQITSGGNSITSSLPGDPTTQSASGAGITFGQGDFTSPS - ->YP_009337175.1 hypothetical protein 2 [Hubei picorna-like virus 71] -MSQAHIPQPTAESAPAEVFESTQAAPHTTTVSGIGNSGAPPAEITQHTLAHNNIDNYFYTQFIFLDKFTW -TTQNVAGTMLWSMPLHPRAFCKELAYLSAIYNTWGGGIEINFKIAGTGFHAGALAFARIPPNIDPSTLGS -DYGYTKFEYKVMDAKTLEVDTVHIGDQRQVNYHYMVGDPKDPKSWDIGGTVACYVWAGLNTAATSTQAIQ -VVISMRLAPEFRFNQIRNLTIESQENDQKIPTSTEYMFNNISRGTASADRFTSVTHLKVLPSTIKKLDIQ -FSPSAQGDGPYRKSITGYAQTSTYYREWTRCLVSNADNGEFRFKLTNDNEAYFAQQKDFSIMQYNRLVIC -NTKANNVKPSIIANLSSSVYNSQIKDFVMKLDTKMPDGWATDDELLVCPQFPFKDISKGMPDQVMEETPV -PALSESFIVFGRSDNYTSGSVQTYSMLREFQRNTLNSWLATGEAALFAVVDKNEQTPLFYVKLYREGYLT -ARPSTSMLKFDLGSLKLVFQNTIRTTTEIPASGPNMPSSNRAVFASLHKHASRENERSQPNKEQMNIIGS -LGGAMAGAGLNFGTLSPFAQNQQNYANQSKLSKQNYEQQRGLNQQNYDLSTGAFTKYGLPEYMANFNGNQ -PQNTVQHLRGLNYATTAPMFSRGAPSNPNPHQQMKGWANYGNQTTVKNKFNDVPQPQSEAFGANAPPPLS -NGQSPFFNSPSPRGAGRGGLGSGFNVPDYMPNDFLTNPGPGAFSPISQDYNRRAQVANYH - ->YP_009337105.1 hypothetical protein 2 [Hubei picorna-like virus 69] -MSQPLLDEQKITSVQDAPGVNLPPSIDGPPIPTNEVWHHTGHKNVIDPQVYEQNIFVATVEWSVNDEAGK -LLWVSEVNAQSNPLTSFLSGMYHYYKGGFVYMIKVMGSAFHAGQVSLTVLPPDANPTELSGTRGWTAYNW -VAVDPKHIGPIEVEVRDVNQGSFHFTDPSKQGLSPLKRIGWIAMFVDSRLNTTTSGTQQISIEIWCKPTR -DFMFSYLRIPRPSETPSVGIPAELAYLLNFSLQGNGILLANSFVQTDKITVFPKTIKSYPYQPFNQIWVD -GTHTEFAFLEEPGYSRRTIGNTKWDSAIGASEFSAIRPLPITNTRTGQPSPIAPPSSGRAPSRLDWNIGQ -WVFDKWISPQQGHFFAYMEGDYLRDGDNIYMFRPAIGPKTTMINAHQNTKTFTVPVDGEAFFLLSAQSNS -SIVSPLSYAVYELFRTKQIAQWWRPGQCAIFNLVDVEEGIPLRKVKLYEEGYFTTTGSDNYISYDIKKLR -LDFQNFAIRTSPLRSSSEMRRNDLLIRQKVAY - ->YP_009336988.1 hypothetical protein 2 [Hubei picorna-like virus 75] -MASQNTNNAPQPTPSNGQAAEVFAGTDPAVLQPTISAIGNVGPSSAAGVLGTAAMPGLDQYIRTNWLTIG -TFLWTVGLAAGDLLWSTRIHPSTHPILSYLSGIHNTFVGGLEFMVKVAGTGFHAGAIKMCRIPPNRDPKE -FITTTETDHFEWGIIDPKTLEVVCQELPDQRRMNYHYLNDITVDGFGGYFAIYVQIPLNTSASGTHQISV -NVWCRASPTFQLLQVVPPPRNPSLQVNSWSHLAEWMQFSIQEDFCCNSIKTGARTLVICDKKIVLLQRGM -DGMRDGYGNLRSKTFPNGLQKRSIAGNVTWPLTPPSNWPSLGADVYILDWKDPKFIGPAWKAPFTVAAKQ -TQDISLGVNGTLGNHTFQFEPDTEHSIKVSIVPVPPVTTPAPNQQQRSIFVDNIDGMKLPFKNSEPADDW -KVPVANESLVVFSASPSPSGDFLDKNWYSTQTAKMADAMLKFQPWRQLGSNTAIILQAWDSEYNLPLATF -KLYREGFMTSIGTSSNVLLDMTKTNIVAVQTITAGSAIPSLPEATSYARNLATVQLTREVASLRAQLKN - ->YP_009336656.1 hypothetical protein 2 [Hubei picorna-like virus 76] -MATLAANQPDPGSGEIFDSTAIRNETPLSAVGGQGGPTQEAPQHVGEVNTIDQFLRVQSIHLSTTTWSTS -ADAGTLLFSVPIHPSFTHVYLGHLWKMYNAWAGGTDFDLYINATGFHAGKLGQARIPPNIHPSTLRSISD -WTAFEYQVLDAKTMQGSCSSIIDQRNTMYHYQDFDENNPSTFGGYFCVFVIAPLATSSTGATSVSILIFS -KPASNFTFAQLRPLKSAQNNAVIPPDLEKALDFRRFAAEPVQGSEIQGMTVHSLKGKKILKDEVINCAKF -GGAAMYEHLFTPISSGAVRKKQNFVELQANLQVVRVNGENYIRSVGRSDLVFNTLTDNPKEPGIPYVDNL -VCVLTFVAQKADSTVPSSVVAGFFTNNTKNPQFHGFWANFKDNAGNWDSYGFMDDEVPELKTDTSYDLLL -KNFFIQILQVTLPDSAKTYAPPITESVIDFQTYSRSSAQPLALQMLLKDPSFDSVLPDGQTLNFELWDEH -ANLPIMPLRLHRDGFFSTVAQDTDLVFDLTTHKYALKYIGRSPATSALSAAVSRAPSEYKRNFLHSRLVA -TVDGELKF - ->YP_009336624.1 hypothetical protein 2 [Hubei picorna-like virus 73] -MADVNNAPVPQSDAAMDTSTTPATPLMSGIRIVPDAPPVEAVTHTGNAGNFETQYMHSQWIQVPNIPTWS -TGQLPGTILWQSPIHPMINRCTDFMSQVYSAWSGGFDFKIKVVGTAFHGGWLAFTRVPPHVPLSSLKRVD -DFTMFETVYLDPKATDCADIQVMDQRPILYHLNTIPLREPQDGETTRDQFLDSLSFGGRLVMWVSGQLLT -SSTGSQTIHVLIWTKPGAQFTFAQVIPPGVTDIGGNEDVYARIADAVVGYQPLANWANIGEELVSIPAEF -YDRAIALSTDCVDLNGDVINDINGDHFPDFYRKYFSTLKVPIKVASVPLNSQMLLYDLPGKIATDAIAFP -PWGAADGVWPLIDPNDYVLAEAFPLPHFILASHNNYTRGVNSSNSQEMVKTKTFATTAPYSATPCPHYSL -QVTGGFSSDTFKTGEYPKDFMYGQASNVSFNAEFAASRDYCAAISLDLSQWSPPNGESYVLFYTGDSVFD -DIACAQTYAFKKLCASGVLSRFFSRTSTLVFSLNDKVSGAPAMYIRLLSTGLFTTNASKNFIHINANTVT -LQYVGTYRINDGLPSIPVGDRLAALINTAVVRPSKEGVTSGIDTVISALRTL - ->YP_009337326.1 hypothetical protein 2 [Hubei picorna-like virus 77] -MSHPGMSAAPAVSGDPAISASTDAAQLPLSTISTAPAPAAEAIPHTGSLNALDPYVKEQFISQGSFEWTT -ADLVGKLIFSCPIHPVNANPILAYLSKIYNTWAGGLDFKAKIAGTGFHAGALALVRLPPNVDPATVSDVN -KFTCFEYEIIDPKLLECVSRSVMDQRNTMYHYNPYDATNYNSFGGNFCIFVLQALNTSSSGSTSISVQIF -SKASEDFEMLQIIPPNITTLSST - ->YP_009336577.1 hypothetical protein 2 [Hubei picorna-like virus 70] -MSQAHIPQPDTTSAAGEVYDSTQSSPPSNTISAIGVPGPPPQEVIQHTTSPNNIDQYFYEQFIYCGNFNW -STSDPVGKMMWKLEISPKNFNSTLAHLAAIYNTWGGGVTVNIQVAGTGFHAGKLAIARIPPNLSASNFVN -FKYTNFEWMEMDVKTLQSVALNVQDQRQVNYHLTVPEKNSPRSWDIGGTVAIYCFQKLNTGVGATQQVNV -VITTKLNKDFVFSQIKPPNIEDQINTAFFPPNLLSTMDFSARTIAPAGQVINKLVIQSVKDVQTNYNDAF -LCYGLGANLMDKTKLDKYIQSTGRKYISSRQVKTIALNTCNQSPCDSAVLNNSYTQAWTASNPSFLNWET -FFLTDGTAVVKTAVKDTTRINEDKWEDFNITFQDNVNALKTNVAVCLNPISQYLRCTVAYPQYVPPVSES -IVVFSAQNYDSGVYQTLQIFDATENAYQAGFKLEPGQCAQFTLFDKKNNIPLMPLKLHRNGVFTTIARND -YLRLDLANLKLDFIGYIAETDVLATTPQMQQNLYLAASIEKYQSLVAAVNRHPDE - ->YP_009333543.1 hypothetical protein 2 [Beihai picorna-like virus 118] -MSDKDAAMPPTASGEITEGMGVPPEMPVDAGIDRPGGITAEVQQVHTSRNDSIEAFLRSVPILQKIIKWS -VNDLPGTILYQVPVHPSYVNYMVKWFKKAFKYWNGPIIFMIDLAGTGFHGGKLIIFHTPPGKRFKPSKMT -MAQWFTFSHGLVDAKSQGNNLACAPDVIGRTLHDNGPFDENNEDTYGGWFGIGVVMELKTSGTGDQEIQF -SLEACLAPSFSFNYIVPTNLLEEDEEVLTFDLDPVPILSQFPELTELRFHATSAMNGNQVVYLNPLGSDK -GLLKEPIRTLVTTTTAIQGDVSVPQSQSTTKPPEYPPTVGSGKKVIEYETDSSSCGLFCPNNNNPLPINT -VTLVLDGGAILIAAKITDNTPRSGVVSLGVDGALTQVRHNSSTSQVITQVAPNGEAIITFHWKGDTEQDG -VCMTPGMAKQCQNIDPSTGTPIYTLRDAKTDQVLLWVRLNYNGFFSTTPTNVLTRISLANKVLKFEYRLA -ITERLPIPEGSELNRIAAEQTSFVLADLKQEMESKFRNYFAAFLSRHPMSAHDHDWKDFEDRVRPLDTPL -LDPKLFCATTPFSAPDQASTSLGRQLSDIGDELEYSNCGHRDCKYAKSCLKAETD - ->YP_009333486.1 hypothetical protein 2 [Beihai picorna-like virus 120] -MSTRAAPATASEGVTDQHPSESGYVPNAMGNPAYPAIPTRTDEIPERVKALNMLDPYFYSQFVLLNSFSW -SNQDQKNKILWSIELKPENMHANLAYITAMYNAWVGDFEFMLKIAGTGFHGGALGVAWIPPNLNVEDLSG -AELTIFDYLLLDPKMQDLKGFAGKDVKNVDFHWKDNEAAAQFNSDFAKVASKGGYLVMFVVLPLITSTTG -NNAINLAIFNRVAPTFRVGQMIPIKYVKPSPALFHDPFLDFSMGVNLRNYINFGFFKQIRIEPVTIMTTD -QFRDLNLSFKNMTIVDRSATSIRQVTDNGDISFTYVRSNGVPNPEKIAKGITASQNLVAVEWKSSANDYE -VEVANVAGGRIGQVHDAVITYGALYQSDASWFPNFTNSGGFLTITNGLDESLVTFCGQSASNAGFTLPGT -VVEMLQRGMFKGIPDGQDVVYDIVDIPTDLVTRQIRLTEIDGSVFFTTNKTDQPIILEASKSKFVFSEFI -GRETPLTAPAAEMKRNLEIVLQRDRLAKIEQAMAESQM - ->YP_009333462.1 hypothetical protein 2 [Beihai sipunculid worm virus 5] -MSMPATATGTPKNYDVSAQGGGPAALDTQQVPVEASQTAIVAHSGDVNVIDPYLKKHFILNSIFRWTTAM -PAGTIIRKIPVHPSYANPQVQHLSQMYNLWAGSLDYKIEIAGTGFHGGRLLVAKVPPNLNPDDYTPQGLT -VFHNVEIEVKQSMGGTLTAIDQKNIVYHYNSGSKPELGSVDGGTLVIIVLYPLVLAAEQNGSVNLGLYSK -LGDDFVFSQMQPVLQTTTYNPTHLNNMFRFDHRECLHPVVTACVFKKLRVSKNQKEVYHGLGFLAGADDT -RRLFKEHRNDTRGCSNTDGTSATPVQGFTRIFESQVQFGLNIYHNGGNGVTDQVYTAQGNVSNPAKGYVW -LQHGIHDAVQNENAYCAEGTKSHLDPIAWYEDPLCPPPAPVSTTNGEGIVYFQFDGDTTDRGCLQDYTIM -SMFRAGALEELALGESVVFQLVDARTNNVLNYVRLHREGFMSAVMPATSTEYDLRDIYLRYMEKLPVSNP -LPGSQLAELTSQNYALNKAADALRDELMEVKQAFAGLASSVESELQTSKGSKLPWRRQQQKQSQQRLQGA -LAASLESLEETSSLQA - ->YP_009333393.1 hypothetical protein 2 [Beihai picorna-like virus 119] -MATSDGLTEDLSSNSPPTGGVTSEEVQPDLTADFQKNVFTHNTIDSYLVGHPFVVGHFSWNTNQVEGTVL -HSLKLHPSNFPPELRALVMMYEYWTGSVDVVLTIPGTGLHGGKLMVYSMPPGRPIEEGVIEQSIYPWISL -DAKEQSSLAFQVQDQCRVKWHQTSTFEEEDYGRTIVMTVLSKLIATTPQASDVRVVVSFAIRSDFRVSTL -KPMPFTKPVATAFLRDFDFSDALDRLHPMIDFELNEIRVSPNTTAGAEFADTTVNFKGINPKQNHQSYRD -AQLHGALWIDRGEETGYARIHKESVPDKAEGDQYRIFFVGLKMVRSNGAGDTDISFNHPVGGAQLTINVP -GSERAALLSIDAPRHGNWGAIPDGPVAINDAGESMVYFSFTDDHEAQGVALPFLVSEAILNGAFESLPDD -VSVVWDVKHKVTGAQYGKIRLWPSGFFTTGRVATWTVIPLENLRFTNPVTWPIRSALPFNDSGAQAALAN -MQLAQAQRQIKDLEQRVNALAIAVDDGE - ->YP_009330035.1 hypothetical protein 2 [Hubei myriapoda virus 2] -MADGRAPAVPDAEAAETGEIISTQTVPPTVPASRVASGPPPSEIVQHTGNANLIDPNILNQNILSSTITW -SVNQPQNSVLGTFYVHPSYHLYLDYLSRIYYTWSGSLQYSVCVLGTGFHAGKIMLVRAPPGYDLDSLSNI -EEATGLEWMALDPKSIIPAELGLNDYRGVNFHMTSIPADSRVTAAATKTFACPEGGWGRLKHDPDKVRSA -VRAGQDVSSFFDTIRHGGILQLRVMGELKTSSTGNMQIQILVFVKPGPDFQFSTLIPPTVKVGPTPSQVP -AVIASLLSSAVAVRRCSATLSPVRTLLVVSASVTPNKMWFYGCASSQGDWCHNTRSYVTKDPIITHSPEI -SLLRFPTVAKNDFSGYVCDVRGATGNEPIAQIAPKGDLGKVVDPFDCNVLILENVVEANEIRGTEYDAPD -SVWIAEENLSADRSEVATVVHPVGMWELPDQELVFADSAFMKDTWHRTLKWPVNSVIDRESMTAKLNLNF -MPANAPVCWRAIRPVALDLFIKKEYLNLKPATKESVFLFCPIKPGTLDAASIETGKYGLPTYQTDDVSRY -LAYGNAQGLLTSEDALLFELYSVPLGTPVRYLKMYSSGMITTNASDTTLILDKSDDYDVRFVQKIAASAA -IPALPTHSTWASQVHIANVVGKRENAMLRESVTALDRKIELLTSLLRK - ->YP_009329973.1 hypothetical protein 2 [Hubei myriapoda virus 3] -MSKETKAEAVPENNPSLAFTNEDVSLPTSHSAFTEPGTSPSTVARDIGCTGVRVFPELYEKDYQIDSITW -DIGKSPGTILKVFTISPDMHPQIAYLMKMFQFWSGTIDIVFKIAGTGFNAGLIGITTANPLVPLETYKNT -TDFCNFDTQYADPKQLALFKVTPQDFHIYNTHINSINQTATGDTDADKITKASGLAAKVAVFVSLQLASG -TSGNTSVDIGVFLVASKSFRLHQILPAPSSITNNISFELLNHALDVSNPYTKTATLERCRGMMAVPLSLL -DKPKRFIANCAGATGWENDRRIWNKTHTYNSINGPIVIENVTYDYPAFLGFYESHPTTVVSIPVNQNDET -ALSSHVATLDYTDYFEEHPEEKFVGVGFYTKNCIPASLIPRKSVLQNIRTVESQNLDGALGLYAIDNPEE -YQNLTSMLPQHPRFDSIRNSFQWGLAMINPTTKHYTNLHSFTTLKNLTCTPPDWELDFSLKGPSSGESFL -LFTDTYDYQAADLISIDIGLPSFQTEHLASYMYNKPIQSNQSVLLSMVDTIDDKIVSQAKLYPEGYITVP -TVSQLTFYGIDRYKLIAQRVVPRNYEMRPTAVQNLVTNMIAARTQQESQTTYQTKYTNFLLSQHKLLTKK -FKTVDKLNKYLDEHSTKWPAEPLPPEQPSAPDS - diff --git a/seq/clusters_seq/cluster_282 b/seq/clusters_seq/cluster_282 deleted file mode 100644 index 9671ab0..0000000 --- a/seq/clusters_seq/cluster_282 +++ /dev/null @@ -1,321 +0,0 @@ ->YP_009666127.1 env protein [Guenon simian foamy virus] -MAPPMNLQQWLLWKKMNDAHMALEHVSTLTEEQKQQVILEIQQEDVIPTRMDKVKYLAYACCATSTRVMC -WLLLICVLLIIVFVSCFITIARLQWNKDIVTLGPVIDWNVTHQATYQQLKAARLTRSLRVQHPSISYVSL -NISSLPQGIIYVPHPEPIILKERVLGISQVLMINSENIANAANLTQETKVLLTDMINEELQDLSDQMIDF -ELPLGDPRDQEQYIHHKCYQEFAHCYLVKYKNPSPWKQEGLIADQCPLPGIHDPTYYKPQSIWDYYLKIK -NIRPQGWTSKQYYGTARMGSFYIPKSVRNDSFSHVLFCSDQLYGKWYNIDNNIKLNEELLITKLWNLTIK -SKLKARALPKEWNKQGNSRIFRSLQPLDICNRPEAVILLNTTYYTYSLWEGDCNYTTAHIANLSECKEVN -RLRHPYSCRFWRYKEGKEEVKCLGHEKIRCLYYSEYSSPEAQFDFGFLSYLNSFPGLKCIENQTVREPEY -EIYSLYMECMNAAKIHGIESVLFALKTFLNFTGTPVNEMPTARAFVGLADPKFPPVYPNITKEQKTCEKA -RKRRSTNIEKLRSMGYSLTGAVQTLSQISDINDENLQQGVYLLRDHIVTLMEAALHDITIMEGMLAIQHV -HTHLNHLKTMLLLRKIDWTFIRSNWIQEQLQKSEDEMKIIRRTAKSLVYYVTQTSSSPTATSWEIGIYYE -ITIPKHIYLNNWQVINIGHLVESAGHLTLVKVKHPYEIINKECNYEQYLHLEECISQDYVICDIVQVVSP -CGNSTVSSDCPVTAIKVKEPYIQISALKNGSYLVLSSRKDCSIPAYVPSVVTVNETVQCFGVEFRKPLYS -ETKLSFEPQVPHLKLRLPHLVGIIANLQNLEIEVTSTQESIKDQIERARSQLLRLDIHEGDFPAWIKQLA -SATRDVWPAAATVLQGIGNFLTNTAQGIFGTTVSILSYAKPILIGIGVILLIAFLFKIVSWLPGKKKRN - ->YP_009513250.1 env [Feline foamy virus] -MEQEHVMTLKEWMEWNAHKQLQKLQSTHPELHVDIPEDIPLVPEKVPLKMRMRYRCYTLCATSTRIMFWI -LFFLLCFSIVTLSTIISILRYQWKEAITHPGPVLSWQVTNSHVTMGGNTSSSSRRRRDIQYHKLPVEVNI -SGIPQGLFFAPQPKPIFHKERTLGLSQVILIDSDTITQGHIKQQKAYLVSTINEEMEQLQKTVLPFDLPI -KDPLTQKEYIEKRCFQKYGHCYVIAFNGNKVWPSQDLIQDQCPLPPRFGNNLKYRNHTIWKYYIPLPFKV -SSNWTRVESYGNIRIGSFKVPDEFRQNATHGIFCSDALYSNWYPRDLPSSVQQSFAQAYITKVLMKRKKQ -PTLRDIAFPKELSPVGSGMLFRPINPYDICNMPRAVLLLNKTYYTFSLWEGDCGYYQHNLTLHPACKNFN -RTRQDHPYACRFWRNKYDSESVQCYNNDMCYYRPLYDGTENTEDWGWLAYTDSFPSPICIEEKRIWKKNY -TLSSVLAECVNQAMEYGIDEVLSKLDLIFGNLTHQSADEAFIPVNNFTWPRYEKQNKQQKTSCERKKGRR -QRRSVSTENLRRIQEAGLGLANAITTVAKISDLNDQKLAKGVHLLRDHVVTLMEANLDDIVSLGEGIQIE -HIHNHLTSLKLLTLENRIDWRFINDSWIQEELGVSDNIMKVIRKTARCIPYNVKQTRNLNTSTAWEIYLY -YEIIIPTTIYTQNWNIKNLGHLVRNAGYLSKVWIQQPFEVLNQECGTNIYLHMEECVDQDYIICEEVMEL -PPCGNGTGSDCPVLTKPLTDEYLEIEPLKNGSYLVLSSTTDCGIPAYVPVVITVNDTISCFDKEFKRPLK -QELKVTKYAPSVPQLELRVPRLTSLIAKIKGIQIEITSSWETIKEQVARAKAELLRLDLHEGDYPEWLQL -LGEATKDVWPTISNFVSGIGNFIKDTAGGIFGTAFSFLGYVKPVLLGFVIIFCIILIIKIIGWLQNTRKK -DQ - ->YP_009513243.1 env [Rhesus macaque simian foamy virus] -MAPPMTLEQWLLWKKMNQAHQALENVTVLTEEQKQQVIVDIQQEEVIPTRMDKLKYLAYSCCATSTRVLC -WIVLICILLLVVFISCFVTMSRIQWNKDIAVLGPVIDWNVSQQAVIQQIRAKRLARSLRVEHATEAYVEI -NMTSIPQGVLYIPHPEPIILKERVLGLSQVIMINSENIANTANLTQETKVLLADMINEEMNDLANQMIDF -EIPLGDPRDQKQYQHQKCYQEFAHCYLIKYKTAKGWSSSTVIADQCPLPGNHPTVQYAHQSIWDYYIPFE -QIKPEGWTSKTYYEDARVGGFYIPKRLRNNSYTHVLLCSDQIYGKWYNIDLTTQERERLLVQKLINLTKG -NTSQLKDRAMPTEWNKQGKANLFRQINPLDVCNRPEMVFLLNSSYYEFSLWEGDCGFTRQNATQANPLCK -DFYNNSKWKNLHPYACRFWRYKQEKEETKCSNGEKKRCLYYPQWDSPEALYDFGFLAYLNAFPSPICIKN -QTIRDPEYEVYSLYMECMNASDRYGIDSALLALKTFLNFTGQSVNEMPLARAFVGLTDPKFPPTYPNVTR -ETSGCNNNRRQRRSINNYEKIRSMGYALTGAVQTLSQISDINDERLQQGVYLLRDHVVTLMEAALHDVSI -MEGMLAIQHVHTHLNHLKTMLLMRKIDWTFIRSDWIQQQLQKSEDEMKLIRRTAKSLVYYVTQTSSSPTA -TSWEIGIYYEITIPKHIYLNNWQVINVGHLVESAGHLTHVKVKHPYEIINRECSNTQYLHLEECIREDYV -ICDIVQIVQPCGNETELSDCPVTALKIKSPYIQVSPLKNGSYLILSSTKDCSIPAYVPSVVTVNETVKCF -GVEFHKPLYAETKTSYEPQVPHLKLRLPHLTGIIASLQSLEIEITSTQENIKDQIERAKAQLLRLDIHEG -DFPDWLKQVASATKDVWPAAASFLQGVGNFLSNTAQGIFGSAVSLLSYAKPILVGIGVILLIALLFKIIS -WLPGKIKKN - ->YP_009508889.1 envelope glycoprotein [Simian foamy virus Pongo pygmaeus pygmaeus] -MAPPMTLQQWLVWDRMQKANEALKSTTAVSEEEKEHLILEIQNEEIIPTKVDRVKYLLYTCCATTTRTLA -WLFLFCVLLIVVLVTCFITIARIQWNQDIQVYGPVIDWNISHQAIYKPLLMKRLARSIRTHYPIPKNVEV -NMTSIPQGVYYEPHPEPIIVKERVLGLSQVIMINSETVANSANLTQEAKVLLADMVNEELQGLADVMIDF -EIPLGDPRDQDQYIHRKCFQEFAHCYLVKYKDPKGWPSEKLIVDQCPIPGVHIPSIYKYQAIWDYYIPFQ -MMRPENWKAEDVYGQARIESYYVPKIFQNNNISHVLFCSDRLYNKWYTTENTLLQNEELLIIKLTNLTKK -DAQLKERALPPSWSTEGKSLLFREANTLDICNIPEAILLLNTTYYNFSLWEGDCGYDGKNITNMLTSCKD -FYTQASKSKHPYACRFWRYKTEKEETKCYDNKDKTRCLYFPKWDTAEELYDFGFLAYLGHFPSPICIKEH -KIKEVKYSVYSLYQECINKASTYGIGNVVEGIKELLNSTGTPVNEMPNARAFVGLVNPDFPPLYKNKTSQ -ERESCFNRRNRRSLDNNYVKLRSMGYSLTGAVQTLSKISDINDENLQQGLYLLRDHLVTLMEATLHDISL -MEGMLAVQHLHTHLNHFKTMLLERRIDWTFINSDWLQQQLQQPTDHMKIIKRTARSLVYYVEQTSNSPTA -TSWEVGIYYEIIIPKHIYLNNWQIKNIGHLIHSAGQLTHVTIDHPYEILNRECEETKYLHLEQCIKQDYV -ICDIVERVQPCGNTTGTTDCAVYAKAIKSPYTEILPLKNGSYLVLSDSTSCNILPYIPSIVTVNETVECF -GVLFKKPLTAERKTDYTPHIPPLRLRLPHLLGIIAKLKNIKIEVTSTQENIKDQIERAKAELLRLDIHEG -DSPAWIKQLAAATEDVWPTLATGLKSIGNFLSDAAQGIFGTAFGILGYVKPILIGVGIILLIVVIFKIIS -WIPIKRKSQ - ->YP_009508583.1 env protein [Yellow-breasted capuchin simian foamy virus] -MAPPMSLTEWILWNKKRNMTIMTSNLTGITPDQKKALLDEIDEEELFIKPTIQQRLSYTCYLACATTTRI -MIWILFCVIVLAVALITCFTTAARIQWRHAIITPGPVIDWNSTIHEIIPAQQRTRRFARDLIRVTEEKYV -EVNATGIPQGIILLPHPKPIIQKNRVLGLSQILLINSESLASIFNIKQEHKSVLTEIIQEEMRNLQDVTL -NFDLPIGDPKTQHEYVQSRCFQEFKDCYLVKYQENNKPWPTDDVIADMCPLPGGESLPQNAWDYYLEISN -IRPQNWTSATYFGQARMGGFWVPPKLKQQNFTHVLFCSDQLYGQWYNLSNSKETNERLLMNKLDKLLNNT -NKLRNRALPVDWNTQGQNRLFRNLSRLDYCKLPEAVVLLNSTKYDYSLWEGDCGIYRNNVTEHPSCKNFN -YSTKFKVHPYTCRHWRFIEGEEKTDCFTADKTNCLYYSYYSSPSYLWDFGWLAYNNHFPSPICVKETKIR -EAKYEVYSLYGECMQATKAYTIDQVLVGLHGFLNFQKTPVQDMPKERAFIGLDNPKWPPYYPNMTIEQKI -KCKDRNKRNRRDTNNWQKLQKAGYAITNAVTQIAKITDLNNEAIVSGIYLLRNHIVTLMEATLHDVSALG -DAVTIQHFHTHLAQFKLLLIENRVDWNYISSKWIQEQLNLDDADMKILRRTAKALVYNVEELDSRPTSTT -WEIALYYEIIIPGQVYSTNWEVHNIGHLVDSAGSLTLVTVQHPYTIVNQECGETKYLHIEECTEQDYIIC -EQVTEVLPCGNQTNSDCPVLAKTVNPGYVHIEPLKNGSYIYMAHYQDCGIKPYVPQIVTVNATVKCLGHE -IQPPLQFEETASSLTPQVPSLKLRLPHLVGVIVKLKNIQIQVTSTWESIKDQVERSQTELLRLDIHEGDT -PAWIRQLAESTKDIWPAAANIFGKVGEFLSGALGGLFGTLGYIKPIILGIVILLLIVVVVKIISWLPSKK -KQN - ->YP_009508578.1 env [White-tufted-ear marmoset simian foamy virus] -MAPPMSLTEWILWNKKRNMTIMTSNLTGITPDQKKALLDEIDEEEPFIKPTIQQRLSYTCYLACATTTRI -MIWILFCVIVLTVALIICFTTAARIQWRHAIITPGPVIDWNSTIHKVIPAQQRARRFARDLIKVTEERYV -EVNATGIPQGVILLPHPKPIIQKNRVLGLSQILLINSESLASIFNIKQEHKSILTEIIQEEMRSLQDITL -NFDLPIGNPKTQHEYIQSRCFQEFKDCYLVKYQDNNKPWPTDDVLADMCPLPGGEGLPQNAWDYYLEISN -IRPENWTSATYFGQARMGGFWVPPKLKQRNFTHVIFCSDQLYGQWYNASNSKKTNEELLLSKLDKLLNNT -NKLRSRALPAEWNTQGQNRLFRNLSRIDYCKLPEAVVLLNSTKYDYSLWEGDCEIYRNNVTKHPSCKNFN -YSTKFKVHPYTCRHWRYIEGEEKTDCFTADKTRCLYYSYYSSPSFLWDFGWLAYNNHFPSPVCVKETKIR -EAKYEVYSLYGECIQATKTYTIDQVLVGLHGFLSFYKTPVQDLPKERAFLGLDNPKWPPRYPNMTNEQKI -RCIDQNKRTRRDATNWQKLQKAGYAITNAVTQIAKITDLNNEAIVSGIYLLKDHIVTLMEATLHDVSALG -NVVTIQHFHTHLAQFKLLLVENRIDWNYIDSRWIQDQLGLDEADMKILRRTARALIYNVEEIDFRPTSTT -WEIALYYEIIVPGKVYSTNWEVHNIGHLVDSAGSLTLVTIQHPYTIVNQECGETKYLHMEECTEQDYKIC -EQVTEVLPCGNLTGSDCPVLAKTVKPGYVHIESLRNGSYIYMAHYQDCGIKPYVPQIVTVNATVKCLGYE -IQPPLQFEETTSSLTPQVPSLKLRLPHLVGILAKLKNIQIQVTSTWESIKDQVEKSQTELLRLDIHEGDT -PAWIKQLAESTKDIWPTTANIFGKVGEFLSGTFGGLFGTLGYIKPIILGIVILLLIVIVVKIISWLPSKK -KQN - ->YP_009508572.1 envelope protein [Western lowland gorilla simian foamy virus] -MAPPMSLQQWIIWNKMHQAHQALQNSTLVTEEQKEQIILEIQNEDVIPTRMDRVKYFLYTCCATSSRVLA -WMLLACILFIIIIVSCFITLSRIQWNKDIQVLGPVIDWNVTQRAVYQPLQLRRIARALRAQHPVPKYVEV -NMTSIPQGVFYQPHPEPIIHTERVLGLSQVLMINSENVANSANLSQETKVLLTEMINEEMQSLSDVMIDF -EIPLGDPRDQEQYIHRKCYQEFAHCYLVKYKTPQPWPNEGLIVDQCPLPGLADVSFYPYQAIWDYYAKIE -NIRPANWTSSKLYGKARIGSYYIPKRLRNMNNTHILFCSDVLYSKWYNLQNSILQNENELTKRLSNLTIG -NKLKNRALPYEWAKGGLNRLFRNISVLDVCSRPEMVLLLNKTYYTFSLWEGDCNITRYNINETVPECKDF -PHRRFNDHPYSCRLWRYKEGKEEVKCLTSDHTRCLYYPEYSNPEALFDFGFLSYMKNFPGPQCIESTVIR -QQDYEVYSLYQECKLASKIHGIDSVLFSLKNFLNYTGKPVNEMPNARAFVGLIDPKFPPTYPNITRDQYQ -GCNINQRRKREVNNNYSKLRSMGYALTGAVQTLAQISDINDQNLQQGIYLLRDHIVTLMEATLHDISIME -GMFAVQHVHTHLNHLRTMLMERRIDWTYMSSSWLQTQLQKSDDEMKVIKRTARSLVYYVKQTYNSLTATA -WEIGLYYELIIPRHIYLNNWQVVNIGHLIKSAGQLTHVTVSHPYEIINRECSNTLYLHLEECRRLDYVIC -DVVKIVQPCGNSSDSSDCPVWAEPVKEPHVQISPLKSGSYLVLASSTDCQIPPYVPSVVTVNETTQCFGV -TFKKPLVAEEKTSLEPQLPHLQLRLPHLVGIIAKIKGIKIEVTSSGESIKDQLERAKAELLRLDIHEGDT -PAWIRQLAAATEDVWPAAASALKGIGNFLTGAAQGLFGTAFSILGYLKPILIGIGIIILVILIFKILRWI -PMKKKSQ - ->YP_009508567.1 env [Squirrel monkey simian foamy virus] -MARPMTLHEWLKWKKTNAVRQLTENLQSLPPEQKELLIQEIEEEDVPTPSWTQKCSYMCYLACATTTRIM -GWIIFTLIIASVILVTCFVVMARIQWRNAITVPGIILDWNSTSHEVFPMPQNKRRSARDLIRILEENIVE -INTTSLPQGILFEPHPKPIIGKERVLGLSQVILINSESIATSLEIKQEHKHILVEMIKEELLSLQNVMLN -FDLPLGDPKTQQEYISQRCFQEFKHCYLVAYNETQKPWPTDDVVQDMCPLPGNGYSPQNAWDYYLEIKNI -RPENWTSKDYFGSARMGGFWVPPWLRQNNYTHVLFCSDQLYEKWYIPYGLTEENDVKLMNKLKTLLNGTN -KLKARALSAYWHPQGQNKLFRNITRLDYCKYPEAVILLNTTKSDYSLWEGDCNIWAHNITVHPACKNFNF -SEKSKVHPYTCRHWRFKEGPEQTKCLEDKTQCLYYSEYSSPSYLQDFGWLAYQGHFPSPICEKETKIRMP -GYTVYSLFGECLNAAQQHGIERALIGLHAFMNFTKTPLQEVNKERAFIGLDSPKWPPTYPNITIFSVDKC -KTEKRKKREIHNWGKLQAVGFTITNTVSKIARIIDLNNEHLVSGLYLLKDHLVTLMESTLHDISILGNAV -AIQHFHTHLTQLKLLLMENRMDWTFIDSSWIQDQLKLSDEDMKILRRASRALVYKVEEIGEGVTSTIWEI -GIYYEIIIPRVIYSTNWKIMNLGHLVYSADNLVQINVEQPYEILNVECGKSTYLHIDKCEEQDYVICEVI -QEKQPCGNQSGSDCPVKARTIEKGYTYIQPLKNGSYVVMSHFQDCHIKPYIPQIVTVNATVKCLGEVFQP -PLVPGNSSTEVLPVTTSLKLQLPHLVGIITKLKGFQVQITSTWESIKGQVEQAQAELLRLDLHEGDSGQW -IKQLASASKDIWPAAATVLGKIGDFLGGTAGSIFGIFGYLKPIFIGLTILILIVLVFKILSWLPTKRKAQ - ->YP_009508562.1 env [Spider monkey simian foamy virus] -MAPPLTLQEWMLWNKKRNLETWTNNSSALTSEAKQMLLDEAEDINNLGKPTLKHRFSYLCYLACATTTRI -VGWIVFVCLLIAVICITCFVTMARMQWKQAIITHGAVIDWNQTTHKIIPVALRSKRSPRDLVRIVEEKWI -EINATGIPQGVYLTPHPKPIIVKERILGLSQIVLINSESIASSMEIKQEHKSLLTKIINEEMKSLRDVML -DFELPLDDPKTQKEYIQQRCFQEFKDCYLVKYNTPNKPWPTDYVLQDMCPLPGGEYPPQNAWNYYLKIQN -IRPKDWTSGKYYGSARMGGFWVPPKLKQQNFTHVLFCSNKLYGKWYNSTNTVELNENLLLKRLTNLFMNN -ESSSQLKNRAMPREWHSSGQNALFRNITRIDYCMLPEAVILLNTTKTDYSLWEGDCNIYTNNVTKHPACA -NFDYKDRPKLHPYTCRHWRLKEQEQTKCLGEQTNKCIYYPAYSSPEYLWDFGWLAYNGNFPSPVCEQQEK -IREPKYELYSLYGECMRATEQLSLEQVLLGLHGFIRFQHDPITQMPKERAFIGLDSPKWPPIYPNVTGET -RASCPSKRQRRDVDNNWNKLQKAGYAITNSVKQIAQISDLNDESIVSGLYLLRDHVVTLMEATLHDVSAL -EDSIAIQHFHTHLMQLKLLLMENRVDWSYIDTQWIKTQLQLNDEDMKVLRRTARALVYNIDHIEDTKTST -IWEIAMYYEIIVPSVIYSTNWNVQNVGHLIASAGSLTLVKVKHPYEIINQECGIIKYLHIENCQETDYVI -CDTIEEVQPCGNQTGSDCPVLAEPVPDGFHVIESLKNGSYIYMSHYQDCSLTPYIPQVVTVNATIKCLGR -NLKPPLSQTEAETNLVLTPQVPRLKIQLPHLVGVITKLKGIQVKITSTWETIKDQIDRAQAELLRLDLHE -GDSANWLKQLSKATEDIWPAAAATFGKVGDFLSGTFGGLFGTLGYIKPIIVGIVILLLIVIVCKILSWLP -SKRKTQ - ->YP_009508557.1 env protein [Japanese macaque simian foamy virus] -MAPPMTLEQWLLWKKMNQAHQALENVTVLTEEQKQQVIVDIQQEEVIPTRMDKLKYLAYSCCATSTRVLC -WIVLICVLLLVVFISCFVTMSRIQWNKDIAVLGPVIDWNVSQQAVIQQIRAKRLARSLRVEHATEAYVEI -NMTSIPQGVLYVPHPEPIILKERVLGLSQVIMINSENIANTANLTQETKVLLADMINEEMSDLANQMIDF -EIPLGDPRDQKQYQHQKCYQEFAHCYLVKYKKPMSWKSEGIIVDQCPLPGLHSPTYYQYQAIWDYYLKIY -NIRPKDWKSKDYFGTARMGSFYIPSELRNSTYTHVLFCSDQLYGKWYNAKNTVRENEEILVTKLYNLTNG -SKLKNRSLPKEWNKQGSNRLFRSFQPLDICNRPEAVILLNTTYYTYSLWEGDCNYTQQHIQNLSECKNLN -RLKHPYACRFWRYKEGQEEVKCLGNEQKNCLYYSEYSSPEAQFDFGFLAYLNSFPGLRCIENQTIRDPDY -EVYSLYMECMNASDKYGIDSALLALKTFLNFTGQSVNEMPLARAFVGLTDPKFPPTYPNVTRETSGCNNN -KRQRRSINNYERIRSMGYALTGAVQTLSQISDINDERLQQGVYLLRDHVVTLMEAALHDVSIMEGMLAIQ -HVHTHLNHLKTMLLMKRIDWTFIKSDWIQQQLQKSEDEMKLIRRTAKSLVYYVTQTSSSPTATSWEIGIY -YEITIPKHIYLNNWQVINVGHLVESAGHLTHVKVKHPYEIINRECSNTQYLHLEECIREDYVICDIVQIV -QPCGNETELSDCPVTALKIKSPYIQVSPLKNGSYLILSSTKDCSIPAYVPSVVTVNETVKCFGVEFHKPL -YAETKTSYEPQVPHLKLRLPHLTGIIASLQSLEIEITSTQENIKDQIERAKAQLLRLDIHEGDFPDWLKQ -VASATKDVWPAAASFLQGVGNFLSNTAQGIFGSAVSLLSYAKPILVGIGVILLIALLFKIISWLPGKIKK -N - ->YP_009508547.1 env protein [Central cimpanzee simian foamy virus] -MAPPMTLQQWIIWNKMNKAHEALQNTTTVTDQQKEQIILEIQNEEIQPTRKDRFKYLLYTCCATSTRVLA -WIFLVCVSLIIVLVSCFVTISRIQWNKDIQVLGPVIDWNVTQRAVYQPLQTRRIARSLRMQHPVPKYVEV -NMTSIPQGVYYEPHPEPIVVTERVLGLSQVLMINSENIANNANLTQEVKKLLTEMVNEEMQSLSNVMIDF -EIPLGDPRDQEQYIHRKCYQEFAHCYLVKYKEPKSWPTDGLIADQCPLPPLHGSSPYPYQAIWDYYARVE -NIRPEKWTSKQKYGDARIGSFFIPKGIRNKNVTHVLFCSDIMYSKWFNNLNTLQQNEVELTKRLLNLTNG -NKLKNRALPSEWANGGLNRLFRNSTLLDVCNKPEMIILLNRTYSSFSLWEGDCNITKDTIDDKVPECKNH -SKNKRNDHPYACRFWRYKEGKEEVKCFTADKQRCLYYPEYSNPEALFDFGFLASQRNFPAPICIEQQQIR -DQDYEVYSLYQECKIASKVHGIDTVLFSLKNFLNYTGIPVNEMPNARAFVGLVDPKFPPSYPNVTREHYT -SCNNRKRRSVDNNYAKLRSMGYALTGAVQTLSQISDINDEKLQQGIYLLRDHVITLMEATLHDISVMEGM -FAVQHLHTHLNHLKTMLLERRIDWTYMSSAWLQQQLQKSDDEMKVIKRIARSLVYYVKQTYNSPTATAWE -IGLYYELVIPKHIYLNNWNVVNIGHLVKSAGQLTHVTIAHPYEIINKECVETVYLHLEDCRRQDYVICDV -VKIVQPCGNSSDTSDCPVWAEAVKEPFVQVNPLKNGSYLVLASSTDCQIPPYVPSIVTVNETTSCYGLDF -KKPLVAEERLSFEPRLPNLQLRLPHLVGIIAKIKGIKIEVTSSGESIKEQIERAKAELLRLDIHEGDTPA -WIQQLAAATRDVWPAAASALQGIGNFLSGAAQGIFGTAFSILGYLKPILIGVGVILLIILIFKIVSWIPT -KKKNQ - ->YP_009508542.1 env protein [Brown greater galago prosimian foamy virus] -MEEPMTLQQWLRWRNMNLLNKADQLQRKVQQTPTLTKSETLEEIVDIEPPVYDSTTEPLPYTRMDLIRFR -LYRLCATTTRTMGWCIGLFCLLLILLFSLVIVILRLQWRNAIVTPGPIIAWNESHEAVLTTRPNYTAARS -RRSVEPALPVDIEINITALPQGMLLVPHTKPVVKKERALGFSQIIIMSSDSMANSMGLKKEDIHLLVDLL -NEEMEQLQNIILEFDLPIGDPHDQSYYIEQRCKAALQHCYVVEREGKGWPTDGAILDQCPLPDITKWNPY -QTHAIWDYYLQPPAFVKKTWNSSQVYGNVRMGSFYTKGNGFQNTSQYVIFCSDQLYGSWYYKNDVTQQMR -DQTLMLKLRNLTMSNTSHAQLKDRALPPDWTTQGQNRLFRSITTFDVCQRPEMVFLLNTTYYTYSLWEGD -CNITSTNVSLHPSCKHFNKSTNRHPYACRHWGLYFGEEKTLCYSDSEDRCSYFPSYYGREALNDFGYLAF -TDMFPAPTCIETKEVRKPQYKVYSAFQECMIKSQQYDINDVIAKLEALFTPLQGRPQNRAFMISSTGMPE -EYKEKPIKVQRSCLTSRKRRDTNFHKIQSIGFNLANAISTVSKISDLNDNQLAKGMHVLRNHLVTLMEAT -LHDISKFESGLALQHLHTHLAQLRSTLQENRVDWSILDTAWIQSELNTDDNTMKLIKRTAKAMVHHVQQT -QKSLRATTREVGIYFEIIIPAAIYTQNWQPLNLGHLVFNSGQLTQVFVEQPYNLVSMECNIPTYLHIEEC -VNQDYLICDIVEEVLPCGNQTGSDCPVMAKAVKAPFVSITPLKNGSYVILADTSACTIPAYSPVLVTTND -TLQCYGHILKRPLKNHKDLPAVTIYEPRVPDLVIRLPHLVGVIAQLKDLKFQVTSSWESIKDQIARSKEL -LLQLDLHEGSAPEWINRLAAAAADIWPATGQALKGLGDFLQSTVGSLLGTGLSFLSYLKPILIGIGLIFL -VVILFKIISWLPGTRDKRE - ->YP_009508538.1 Env [Puma feline foamy virus] -MEQEHVMTLKEWMEWNAHKQLQKLQLTHPELHVDIPEDIHLVPEKVPLKMRMRYRCYTLCATSTRIMFWI -LFFLLCFSIVTLSTIISILRYQWKEAITHPGPVLSWQVTNSHVTVGGNTSSSFRRRRDIQYHKLPVEVNI -SGIPQGLFFAPQPKPILHKERTLGLSQVILIDSDTITQGHIKQQKAYLVSTINEEMEQLQKTVLPFDLPT -KDPLTQKEYIEKRCFQKYGHCYVIAFNGNKVWPSQDLIQDQCPLPPRFGNNLKYRNHTIWKYYIPLPFKV -PSNWTRINSYGNIRIGSFKVPDEFRQNATHGIFCSDALYSDWYPRDLPSSVQQSFAQAYIAKVLMKRKKQ -PTLRNIAFPKELSPVGSGMLFRPFNPYDICNMPRAVLLLNKTYYTFSLWEGDCGYYQHNLTLHPACKNFN -RTRQDHPYACRFWRNKYDSESVQCYNNDMCYYRPLYDGTENTEDWGWLAYTNSFPSPICIEEKRVWKKNY -TLSSVLAECVNQAMEYGIDEVLSKLDVIFGNLTHQSVDEAFIPVNNFTWPKYEKQNKQQKTSCEGRKNRR -QRRSVSTENLRRMQEAGLGLANAITTVAKISDLNDQKLAKGVHLLRDHVVTLMEANLDDIVSLGEGIQIE -HIHNHLTSLKLLTLENRIDWRFINDSWIQEELGVSDNIMKVIRKTARCIPYNVKQTRNLNTSTAWEIYLY -YEIIIPTTIYTQNWNIKNLGHLVRNAGYLSKVWIQQPFEVLNQECGTNIYLHMEECVDQDYIICEEVTEL -PPCGNGTGSDCPVLTKPLTDEYLEIEPLKNGSYLVLSSTTDCGIPAYVPVVITVNDTISCFDKEFKRPLK -QELRVTKYAPSVPQLELRVPRLTSLIAKIKGIQIEITSSWETIKEQVARAKAELLRLDLHEGDYPEWLQL -LGEATKDVWPTISNFVSGVGNFIKDTAGGIFGTAFSFLGYVKPVLLGFVIIFCIILIIKVIGWLQTTRKK -DQ - ->YP_001956723.2 Env [African green monkey simian foamy virus] -MAPPMNLQQWLLWKKMNETHLALENISSLTEEQKQQVIIEIQQEEVIPTRMDRVKYLAYACCATSTRVMC -WLFLICVLLIIVFVSCFVTVARIQWNRDINVFGPVIDWNVTHQATYQQLKAARLTRSLKVEHPHISYISI -NMSSIPQGVMYTPHPEPIILKERVLGISQVLMINSENIANVANLSQETKVLLTDMINEELQDLSNQMIDF -ELPLGDPRDQDQYIHHKCYQEFAHCYLVKYKKPSPWISEGIIVDQCPLPRIHDPNYYKYQPIWDYYLKIQ -NIRPQGWTSKSYYGTARMGSFYIPTFLRNNTVSHVLFCSDQLYGKWYNIENNIQENEQLLKTKLYNLTTY -SKLKARALPKEWNNQGNARLFRSFNPLDVCNRPEAVLLLNTTYFTYSLWEGDCNYTTALIQNLTECRQPD -RLKLKHPYACRFWRYKEGQEEVKCLGNEKKKCLYYSEYSSPEAQFDFGFLSYLNAFPGLKYIENQTVREP -EYEVYSLYMECMNSAEKYGIDSVLFALKTFLNFTGTPVNEMSTARAFVGLTDPKFPPTYPNITKEQKRCN -NLKRRKRSTNIEKLRSMGYSLTGAVQTLSQISDINDERLQQGVSLLRDHVVTLMEAALHDITIMEGMLAI -QHVHTHLNHLKTILLMRKIDWTFIKSNWIKEQLQKTEDEMKIIRRTAKSLVYYVTQTSSSTTATSWEIGI -YYEITIPKHIYLNNWQVINIGHLVESAGHLTLIRVKHPYEVINKECTYEQYLHLEDCISQDYVICDTVQI -VSPCGNSTTTSDCPVTAEKVKEPYVQVSALKNGSYLVLTSRTDCSIPAYVPSIVTVNETVKCFGVEFHKP -LYSESKVSFEPQVPHLKLRLPHLVGIIANLQNLEIEVTSTQESIKDQIERAKSQLLRLDIHEGDFPAWIQ -QLASATRDVWPAAARALQGIGNVLSNTAQGIFGTTVSILSYAKPILIGIGVILLIAFLFKIVSWLPGKKK -RN - ->NP_054717.1 Env [Equine foamy virus] -MTPPMTLPEWMQWRYRQNVNKIREAIPDVQIDLPVYNPDMTKPLPLCLRIKYWMYLLCATSPRVMAWLLF -VCVLISVMIIAVIVTVFRMQWKAAIDVPGPVLFWNHTDVLTHVPPVGPHVTRLRRAVHLADRAVNINITH -IPQGVFLEPFPKPIIDKERVLGISQIVMIDSGSIAQSMNLDLYMKHLLVDMINEEMVALSNVVLPFELPV -GDPSTQDQYIHKRCYQQFAHCYIVWQPGRRVWPTSEIIQDQCPLPDHPYRPLGYMQQNAYDLYLQPPRYG -LQNVNLTSLYGIARIGAYRVLYPEQYNATIFCSDQLYGNWWYLNRTSEQKEETYRKKMLNLTENNSSILK -DRALPPTWTPKGQARLFRELNPLDFCTKPEAVMLLNQSYYTWSLWEGDCYVYSRNISFPPECINYTRIAN -KTAHPYACRHWRLLSTNNEGKDEIKCSEYGCLFYPKYDQFELANDFGFLAYQKMFPSPICIQNYSLSTEP -YKVQSLYQECIQKGTSYDLEDVINQLLRVLQNNGIDLGKVPASRAFTPFYNQMPVSYKKRDVTKRKSCGR -RKRGDNFRKLQTSGLSMNQAISTLAKISDLNDENLAAGIHLLQEHIVTLMEATVHDISMLEAAHGLQILH -THLSTLRLLLTENRVDWNLIDSTWIQQQLQADEALMNVIRRTARSMTYRVIQQINRPDMTLWELGIYYEL -IIPKKVWLTNWKIQNIGHLIKNAGHLARVELQHPYEIVNQDCEQLTYLELKGCQELDYLVCEEILQHEPC -GNQTGSDCPVTAQKIKDPYVWIYPLKNGSYLIMSSHTDCAIPPYEPVLVTVNDTVRCFGTTLKKPLRTSL -ETFTFQPHIPQLQVRLPHLVGLIAKIKGLKIEITSTWENIKDQIKRSEAELLRLDLHEGDYAEWTKQLGK -ALEDIWPAAAQTVSKIGDFLGKIADGIFGTTFSLLTYAKPVIIGIVVIVLLILIIRILSWLAALGPRRRR -REDKGE - ->NP_044930.1 envelope protein [Bovine foamy virus] -MAPPMTLQQWLQWRYNLETTNLLQMNPKMESVCLPDFDPPGDEEVSLRIRCKYWIYLCCATSTRIMAWIV -FILTVLSILLISVLIAVFRLQWKGAIESPGPILVWNNSNSINAQPTTPPHYSRLRRAIHLAQKPVQVNFT -SIPQGLFLEPHPKPIISKERVLGLSQVVMVDSSTLTQKLNLEGEAKSLLIKTINEELISLQDVVLNFDLP -LGDPHTQEEYIAKRCYQHFGHCYVVHIPGGKEWPTREIIQDQCPLNNSWLTALHYDYLPAWDYYNQPPPR -QLSLKDFRKYNISNNGSRYEAYRLPLQDKIGAVAFCSPTLYSSWWNYTQSSREREELFRRKLETFLNPQT -GCLNPEALPGTWHTLGKGEWFRDLTTYDFCKKPEAVFGLNKTYYSWSLWEGDCGRQGNDTQDYPPECRNY -EKKDGVHVYGCRYWRTYSQTAHTPDNLSCYLSEDYCLFQPKWDSAEIRSDLGYLAYLGAFPSPICIEARN -LTDQDYKVTSIYAECVKQGKQYDIIDVTRQLTSKLTRRGVFLGDLPADRAFSLLTDFSLPASYQNKTQDG -RRKVCSSKRTRRSINNWRRLQITGQSMNQAITTLSKLSDLNDENLAAGIHLLQDHIVTLMEATLHDVSLL -GHMTSIQHLHTHLATFKNLLIGNRVDWSVLENKWIQEELKYTDEVMNVIRRTARSITYDVQNVKNTSDST -MWEIYIYYELILPERIWIRNWQVANLGHLTHNSGYLTHVTIHHPYEIVNQDCEELTFLHLVDCHEQDYLI -CEEVMEVEPCGNLTGSDCPVLAENIQAPYVYLHPLKNGSYLLMASHTDCSLPPYEPVVVTVNDSLECYGK -PLKRPLTSHTEIKLFAPQIPQLRVRLPHLVGIIAKLKSLKIKVTSTWESIKDQIHRSEQELLRLDLHEGD -YSDWILQLGNALEDVWPVAASAVSTIGTLLEKAAGTLFGNVFSILAYAKPVIIGIILIILLLLVIRILRW -LAVERRRKQE - ->NP_056804.1 envelope protein [Simian foamy virus] -MAPPMTLQQWIIWNKMNKAHEALQNSTTVTDQQKEQIILEIQNEEVRPTRKDKIRYLLYTCCATSSRVLA -WMLLVCVLLIVVLVSCFLTISRIQWNRDIQVLGPVIDWNVTQRAVYQPLQTRRIARSLRMQHPVPKYIEV -NMTSIPQGVYYEPHPEPIVVTERVLGLSQVLMINSENIANNANLTQEVKKLLAEVVNEEMQSLSDVMIDF -EIPLGDPRDQEQYIHRKCYQEFAHCYLVKYKTPKSWPTEGLIADQCPLPGYHAGLSYKPQSIWDYYIKVE -ITRPANWSSQAVYGQARLGSFYVPKGIRQNNYSHVLFCSDQLYSKWYNIENSIEQNEKFLLNKLDNLTTG -SSLLKKRALPKEWSSQGKNALFKEINVLDVCSKPELVILLNTSYYSFSLWEGDCNFTKNMISQLVPECEG -FYNNSKWMHMHPYACRFWRSKNEKEETKCRPGEKEKCLYYPYQDSLESTYDFGFLAYQKNFPAPICIEQQ -EIRDKDYEVYSLYQECKLASKVHGIDTVLFSLKNFLNHTGRPVNEMPNARAFVGLVDPKFPPSYPNVTRE -HYTSCNNRKRRSTDNNYAKLKSMGYALTGAVQTLSQISDINDENLQQGIYLLRDHVITLMEATLHDISVM -EGMFAVQHLHTHLNHLKTMLLERRIDWTYMSSAWLQQQLQKSDDEMKVIKRIAKSLVYYVKQTYNSPTAT -AWEIGLYYELTIPKHVYLNNWNVVNIGHLVQSAGQLTHVTIAHPYEIINKECTETKYLHLKDCRRQDYVI -CDVVEIVQPCGNSTDTSDCPVWAEAVKEPFVQVNPLKNGSYLVLASSTDCQIPPYVPSIVTVNETTSCYG -LNFKKPLVAEERLGFEPRLPNLQLRLPHLVGIIAKIKGLKIEVTSSGESIKDQIERAKAELLRLDIHEGD -TPAWIQQLAAATKDVWPAAASALQGIGNFLSGAAHGIFGTAFSLLGYLKPILIGVGVILLIILIFKIVSW -IPTKKKSQ - ->sp|P14351.2|ENV_FOAMV RecName: Full=Envelope glycoprotein gp130; AltName: Full=Env polyprotein; Contains: RecName: Full=Leader peptide; Short=LP; AltName: Full=Env leader protein; Short=Elp; AltName: Full=gp18LP; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 80; Short=gp80; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 48; Short=gp48 -MAPPMTLQQWIIWKKMNKAHEALQNTTTVTEQQKEQIILDIQNEEVQPTRRDKFRYLLYTCCATSSRVLA -WMFLVCILLIIVLVSCFVTISRIQWNKDIQVLGPVIDWNVTQRAVYQPLQTRRIARSLRMQHPVPKYVEV -NMTSIPQGVYYEPHPEPIVVKERVLGLSQILMINSENIANNANLTQEVKKLLTEMVNEEMQSLSDVMIDF -EIPLGDPRDQEQYIHRKCYQEFANCYLVKYKEPKPWPKEGLIADQCPLPGYHAGLTYNRQSIWDYYIKVE -SIRPANWTTKSKYGQARLGSFYIPSSLRQINVSHVLFCSDQLYSKWYNIENTIEQNERFLLNKLNNLTSG -TSVLKKRALPKDWSSQGKNALFREINVLDICSKPESVILLNTSYYSFSLWEGDCNFTKDMISQLVPECDG -FYNNSKWMHMHPYACRFWRSKKNEKEETKCRDGETKRCLYYPLWDSPESTYDFGYLAYQKNFPSPICIEQ -QKIRDQDYEVYSLYQERKIASKAYGIDTVLFSLKNFLNYTGTPVNEMPNARAFVGLIDPKFPPSYPNVTR -EHYTSCNNRKRRSVDNNYAKLRSMGYALTGAVQTLSQISDINDENLQQGIYLLRDHVITLMEATLHDISV -MEGMFAVQHLHTHLNHLKTMLLERRIDWTYMSSTWLQQQLQKSDDEMKVIKRIARSLVYYVKQTHSSPTA -TAWEIGLYYELVIPKHIYLNNWNVVNIGHLVKSAGQLTHVTIAHPYEIINKECVETIYLHLEDCTRQDYV -ICDVVKIVQPCGNSSDTSDCPVWAEAVKEPFVQVNPLKNGSYLVLASSTDCQIPPYVPSIVTVNETTSCF -GLDFKRPLVAEERLSFEPRLPNLQLRLPHLVGIIAKIKGIKIEVTSSGESIKEQIERAKAELLRLDIHEG -DTPAWIQQLAAATKDVWPAAASALQGIGNFLSGTAQGIFGTAFSLLGYLKPILIGVGVILLVILIFKIVS -WIPTKKKNQ - ->sp|P23073.3|ENV_SFV1 RecName: Full=Envelope glycoprotein gp130; AltName: Full=Env polyprotein; Contains: RecName: Full=Leader peptide; Short=LP; AltName: Full=Env leader protein; Short=Elp; AltName: Full=gp18LP; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 80; Short=gp80; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 48; Short=gp48 -MAPPMTLEQWLLWKKMSQAHQALENVTTLTEEQKQQVIIDIQHEDVVPTRMDKLKYLAYSCCATSTRVLC -WIVLVCVLLLVVFISCFVTMSRIQWNKDIAVFGPVIDWNVSQQAVIQQIRAKRLARSIRVEHATETYVEV -NMTSIPQGVLYVPHPEPIILKERILGLSQVMMINSENIANTANLTQETKVLLADMINEEMNDLANQMIDF -EIPLGDPRDQKQYQHQKCFQEFAHCYLVKYKTTKGWPSSTVIADQCPLPGNHPTVQYAHQNIWDYYVPFE -QIRPEGWNSKSYYEDARIGGFYIPKWLRNNSYTHVLFCSDQIYGKWYNIDLTAQERENLLVRKLINLAKG -NSSQLKDRAMPAEWDKQGKADLFRQINTLDVCNRPEMVFLLNSSYYEFSLWEGDCGFTRQNVTQANSLCK -DFYNNSKWQKLHPYSCRFWRYKQEKEETKCSNGEKKKCLYYPQWDTPEALYDFGFLAYLNSFPSPICIKN -QTIREPEYKISSLYLECMNASDRHGIDSALLALKTFLNFTGQSVNEMPLARAFVGLTDPKFPPTYPNITR -ESSGCNNNKRKRRSVNNYERLRSMGYALTGAVQTLSQISDINDERLQHGVYLLRDHVVTLMEAALHDVSI -MEGMLAIQHVHTHLNHLKTMLLMRKIDWTFIRSDWIQQQLQKTDDEMKLIRRTARSLVYYVTQTSSSPTA -TSWEIGIYYEIVIPKHIYLNNWQVINVGHLLESAGHLTHVKVKHPYEIINKECSDTQYLHLEECIREDYV -ICDIVQIVQPCGNATELSDCPVTALKVKTPYIQVSPLKNGSYLVLSSTKDCSIPAYVPSVVTVNETVKCF -GVEFHKPLYAETKTSYEPQVPHLKLRLPHLTGIIASLQSLEIEVTSTQENIKDQIERAKAQLLRLDIHEG -DFPDWLKQVASATRDVWPAAASFIQGVGNFLSNTAQGIFGSAVSLLFYAKPILIGIGVILLIALLFKIIS -WLPGKPKKN - diff --git a/seq/clusters_seq/cluster_283 b/seq/clusters_seq/cluster_283 deleted file mode 100644 index 560631b..0000000 --- a/seq/clusters_seq/cluster_283 +++ /dev/null @@ -1,215 +0,0 @@ ->YP_009666125.1 gag protein [Guenon simian foamy virus] -MGDHNLNVQELLNLFQNLGIPGQPNHREVFGLRMTGGWWGLGTRYNLVSIFIQDDSGQPLQQPRWRAENR -PVNPMVHNTIEAPWGDLRLAFEDLDVAEGALRFGPLANGNWIPGDQYSMEFQAPLVQEIAMMQQAELEEI -LDVVGQICMQVIDIVDMQEVQIRGLQRQAQERGNGSNIPVAGIQAPPSSPVSQQPFASSLPQPPPASSSA -LADLGWGSGAPGQVDPRLSRVAYNPFLPGPGDGSGGSVPVQPSAPPAILPSAPALPAPAPQPVVQYVAQP -LVPVPQAIPIQHIRAVTGNTPTNPRDIPMWLGRHSAAIEGVFPITTPDIRCRVVNALLGGSLGLSLEPIH -CVNWAAVVAALYVRTHGSYPAHELANVLRAVVSQEGVATGFSLGNMLSNQNFDLIWGILRPLLPGQAVVT -AMQQRLDQEPNDAARAANFNRHLNDIYGLLGLNARGQSISGSRSVPSTGPSASAGRGRSGRRNQQQSGQQ -QQQRRSNQGPRGQSNNQNNQRQSEGNNQGQGGQGRYNLRPRTYQPQRFGGGRGRRWNENSQQQAPPGRSS -DQFRSQSQQPQSEARGDQSRTSGAGRGQQGRGNQNRNQRRGEASASRNVDTVTATTTSSSASPGQNGTSP -TPPAPGGRSQGD - ->YP_009513248.1 gag [Feline foamy virus] -MARELNPLQLQQLYINNGLQPNPGHGDIIAVRFTGGPWGPGDRWARVTIRLQDNTGQPLQVPGYDLEPGI -INLREDILIAGPYNLIRTAFLDLEPARGPERHGPFGDGRLQPGDGLSEGFQPITDEEIQAEVGTIGAARN -EIRLLREALQRLQAGGVGRPIPGAVLQPQPVIGPVIPINHLRSVIGNTPPNPRDVALWLGRSTAAIEGVF -PIVDQVTRMRVVNALVASHPGLTLTENEAGSWNAAISALWRKAHGAAAQHELAGVLSDINKKEGIQTAFN -LGMQFTDGNWSLVWGIIRTLLPGQALVTNAQSQFDLMGDDIQRAENFPRVINNLYTMLGLNIHGQSIRPR -VQTQPLQTRPRNPGRSQQGQLNQPRPQNRANQSYRPPRQQQQHSDVPEQRDQRGPSQPPRGSGGGYNFRR -NPQQPQRYGQGPPGPNPYRRFGDGGNPQQQGPPPNRGPDQGPRPGGNPRGGGRGQGPRNGGGSAAAVHTV -KASENETKNGSAEAVDGGKKGGKD - ->YP_009513241.1 gag [Rhesus macaque simian foamy virus] -MAAVEGDLDVQALTDLFNNLGINRDPRHREVIALRMTGGWWGPATRYNLITVLLQDDQGQPLQQPRWRAE -GRAANPAVMLTLEAPWQDLRMAFDNVDLADDTLRFGPLANGNYIPGDEYSLEFIPPAMQEIAQMQRDELE -NVLDIVGQITMQMSDLIGMQDAQIRGLEGQIRGLRGNLPVAGTPPPPPPSLDLQPAAASSPYVAPASSAP -AAPVASADLGWFAGGPSPGSVDPRLARVAYNPFLPGPSDGSGVAPVQPSAPPAASPLLPLPPAQPVQPVI -QYVHPPPMNPAQQIIPIQHIRAVTGNAPTNPREIPMWIGRNASAIEGVFPMTTPDLRCRVINALLGGNLG -LNLEPQHCVTWASAIATLYVRTHGSYPIHQLAEVLRGVANSEGVAAAYQLGMMLTNRDYNLIWGIIRPLL -PGQAVVTAMQHRLDQEINDAARVASFINHLNGVYELLGLNARGQSLRIPASGGQTTAGTSAGRGTRGRRS -QQGTPGRQSSGQSQQQGRRSSQGQSRQSDSSDQNVQRQSQGGNGRGGYNLRPRTYQPQRYGGGRGRRWND -QPARSDNQQRSQSQQPQSEARGEQSRTSGAGRGQGGRGNQNRNQRSAGGNADRTVNTVTTASASTSASGQ -DGSSPAPPASGSGNQGN - ->YP_009508887.1 gag protein [Simian foamy virus Pongo pygmaeus pygmaeus] -MAAQNFELDVQELLNLFQDNGVTRNPRHLETIGLRMLGGWWGEQERYQSARIILQDDDGEPLQVPRWEEV -LRPVNPLAHFVISAPWDQLRRAFHDLDVGNGALRFGPLANGNYIPEDPYSTSYRPVNPQEMAQMQRDELE -EVLEVQGEIELQMIDLIEMQTIEIRGLRQLVNELQRERDSGRGASIPGASSSPPPQSYVGLPGMPSVSGL -SSLQPEASSTPGGRAPRVSFHPSNPFVRPPSPERPRARSSERIPLPLQPPVIQYVPVPQPVLAPAPAPSP -VIPIQHIRAVTGEVPNNPRDIPMWIGRNAPAIEGVYPVTTPDLRARIINALIGGKSGIHLTAPEAVTWAS -AVAAIFTRTHGSFPMHNLSAILTGIANGEGVESAYNLGMMLSNGDFNLVYGIVRGLLPGQAAVAYMQQRL -DAEPSDALRAQNFIQHLHLVYEILGLNHRGQSIRTSLPTSTRPRGQGRGRGQGQGSIPSTPRRPQSGRGL -STPNRGSNNANNNTQSNVQTETPRRSFGGYNLRPNTFRPQRYGGGQGQRRDSQPDRRSQGSSQNNRPSAP -LESRGEQSRGPGGGGRAGGRRNQNRNSGQGNESSSHAVNAVTQSAVTEQQNESPTPPPTSGGRS - ->YP_009508581.1 gag polyprotein [Yellow-breasted capuchin simian foamy virus] -MAQGNPIINQGDLNVVELTYLLRENGLPGNPRDGQTYAVAMTEGWWGDHSRYRVVRVVFQDTSGNPLPQP -QWMDEDRHMNPLQDPVIGCTFNQALRAFDQIDLGEGPSRFGPLADGMFLISDNAWMDFVPLSAMEITDLD -NERALREHLTYCCTLLENMMADIIVTQRENRDLNNTLSNLRATMPAASQAPPRPSIPAIPMATSTPGGPS -GPSGLPPSTFSMGAVGPSYVPPVQPPPAPPIALPMIPSAPSAPVVSVPQSQPPQPMYNPYMMPGNLQGNV -LPISQIKAVIGETPTDHKAVPLWVAKHAAAIEGVFPTGSPEVRCRVLNSLLTGHGGMMLHPVDCVSWTNA -ASVLFQRVHGVVPLHQLPKTLEDVAKAEGLLVAYNIGMTFTNNNFDLVWGIIRPLVPGQAAVAMLQGYLD -QYPRPQDKIENFSRFLRRTFEVLGLNFLGQSIRSTQAPSRPNPASRGTSSARGRGGNRGRGRGRGAQPGP -PPGRGTATPQATGTSRSSSGSFEDQPRYNLRPQVNRPSRYGGGPNPARSNPEPQGGDASSTPRGQGERSG -RPKNNNARQNQQPPRRPDRTVNTVRTAQPSPAATSGNQNDSSTPPSTASGSEENRA - ->YP_009508576.1 gag [White-tufted-ear marmoset simian foamy virus] -MAQGDPIINQGDLNVVELTHLLRENGLPGNPRNGQTYAIAMTEGWWGDHPRYRIIRVVFQDTSGNPLSQP -QWMDEDRQMNPLQDPVVGCTFNQASRVFDQIDIGEGPSRFGPLADGMFLITDNAWMDFVPLSAMEITDIN -NERTLRDHLTFCCTLLENMMADIIVTQRENRDLNTTINNLRATIPVASQALPHSSLPATPMAASTPGGPS -GLPPSTFNIGAVGPSYQPPAQLPSAPPIALPVIPSAPLAPAVPLPQSQPPQPMYNPYMMAGNLQGNVLPI -SQIKAVIGETPTDHKAVPLWVAKHAAAIEGVFPTGSPEVRCRVLNSLLTGHGGMMLHPVDCVSWTNAASV -LFQRVHGVVPLHQLPKTLEEVAKTEGLLVAYNIGMTFTSNNFDLIWGIIRPLVPGQAAVAMLQGYLDQYP -RPQDKIEHFPRFLRRTFEVLGLNFLGQSIRSTQAPSRPNSANRGTNTARGRGGNRGRGRGRGVPPGPPPG -RGTATPQAAGTSRSSSGSFGEQPRYNLRPQVNRPSRYGGGPNPARNNPEPQGGDTSSTPRGQGERSGRQR -NNNARQNQQPPRRPDRTVNTVRTAQPNPTVASGSQDDNSTPPSTARGSEENRA - ->YP_009508570.1 gag protein [Western lowland gorilla simian foamy virus] -MASNSNVEEYLLDVEALVDILRERHIPRNPMHQEIIGLRMTEGWWGQMERFQMTRLILQDDDGEPLQQPR -YEVVPRAVNPRTQFVISGTLAELRLAFLELDLPEGALRYGPLANGHYIQGDPYSLSYRPVTMAETAHMTR -DELEDVLNVQSEIGIQLINILELQEVEVRALRRQLAENSSVRRGGMSPGAPIPRPPVSSYAGLPSLPPAP -GIFPSAPSPPQASSTPGQRPWSLGNDLDQSVIPGPSQPRVSFHPSNPFQIDSVPRPRSASRERPAVETQP -SAPPLIQYVPVPPPVFPPSVNTVIPIQHIRAVTGEPPRNPREIPIWLGRNAPAIEGVFPVNSPEVRCRVI -NAIIGGNLGLALTPTECATWDSAVATLFIRTHGTYPMHQLGNVIKGIVDQEGVATGYTLGMMLSGQNFPL -VYGIIRGFLPGQAVVTAIQQRLDQEVDDQTRSDTFIQHPNAVYEILGLNARGQSIRTGANSTPRPTRGRG -RGISRQSQSAPGAGRGRQRSDGNLQDNNPSSQNQGQSNSNQRGYNLRPRTYQPARYGGGRGRRWSDNTQT -NRQTESSTQNPRQAQTGQGVRGDQSRAPRSGASRGGRGNQNRNQRPSGSGDSHTVNTVTQAVTSSTTDES -STVASAPTSGSQGE - ->YP_009508565.1 gag [Squirrel monkey simian foamy virus] -MARGNLDIARLTVLLQENSLSGNPPHLTHYVLRMTEGWWGPFPRYTRVRIILQDESGNPLSQPRWELVDR -MFNPLRDPILETTLDEMDRVFDGINLSPGTERYGPLCDGNFLYTDDAWNDFNPMSALEVADVELTPALYR -EHLNFLSRVAEGMLGDIIMLKQENEDAHETITRLRERIINQPTSAPIITSTPGGVSGLPPSVYQPTGLHE -TYIQPSRPMQPSAPPLPLMGDLGSGILSVLPISQIRTVIGNTPVDPKKVPLWIAKSASAIEGVMPTNTPD -IRCRLVNALLPQHGGLILQPHECNSWTQIASALYTRVNGMIPLHALPQTLSQVTKEEGILVAYQIGMTFT -GQNFPLTWGILRPLLPGQAVVAMMQGYLDQYPTDDLKAVNFASILRRVFDILGLNYMGQNIRNQSSPSLT -VSSARGATGRGRGRSRNIGRGRGTTPNFQGRGQPATTPTVTTSGSTSSTTESQGRNSNPNYNFRPRVNQP -PRYGGGPNPYRNREPLNNPPNRENTTQNNTRRPGSQGQNRNRNQNRTVNAVQVVPNQDNTNQPNINPNQN -VSTPASASGGNS - ->YP_009508560.1 Gag [Spider monkey simian foamy virus] -MAQGNQPEHIDIGHLTILLRENGLPTNPPHGTEYAVRMTEGWWGDYARFTVIRVAFQDNQGNPLPRPEWE -YINRDVRPLNDSIIGCTFRQAQQAFNNIDLARTPSRYGPLSNGLFLITDPEWLTFNPLSAVEIADLDDQE -IRDFLAHATIVLDNVMADVVIQQREIEDLTKTNLRLRNALASSGTYQPIGMPIAQSSPAGPSASVAGPHM -PAMPSVPYISAIPSAPPAPIPVAPAAAPPLGPLPSAPAPPVLPVIQPNTFGTDGNGYTAVGPVPVLPIAQ -IKAVIGEAPTDARQIPLWVAKHAAAIEGTFPTGSADVRCRVLNALLTSHGGMTLSPNECGTWSLAAAALY -QRIYGVIPLHDLPHTMAEVARREGILVAFNMGMTFTNNSFDVVWGIIRPLLPGQASVAMLQGYLDQYQGQ -QQKAQAFPTLLRRTFETLGLNYLGQSIRSNQPARTSGSVAGSITQGRGRGQPRSRPPNRASNRSNNPPRP -PPPRSQEQQPSPAGRGSSSSSAPPQRSGYNLRQQINRPQRLGGGPNPYRNLENRNIPRSETVPSSRRAET -SETNRPNQARGGQQGSSNRNQTRSINTVRASDSQVIPSAPPAETNPAATAVTPNGPNQHSGRN - ->YP_009508555.1 gag protein [Japanese macaque simian foamy virus] -MAAIEGDLDVQALTDLFNNLGINRDPRHREVIALRMTGGWWGPATRYNLVTILLQDDQGQPLQQPRWRAE -GRAANPAVMFTLEAPWQDLRMAFDNIDLADDTLRFGPLANGNYIPGDEYSLEFIPPAMQEIAQMQRDELE -NVLDIVGQITMQMSDLIGMQDAQIRGLEGQLRGLRGNLPVAGTPPPPPPSLDIQPAAASSPYVVPASSAP -AAPAAPADLGWFAGGPGPGSVDPRLARVAYNPFLPGPSDGSGVAPVQPSAPPVASPLLPLPPAQPVQPII -QYVHPPPINPAQQVIPIQHIRAVTGNAPTNPREIPMWIGRNASAIEGVFPMTTPDLRCRVINALLGGNLG -LNLEPQHCITWASAIATLYVRTHGSYPIHQLAEVLRGVANSEGVAAAYQLGMMLTNRDYNLIWGIIRPLL -PGQAVVTAMQHRLDQEVSDAARIASFINHLNGVYELLGLNARGQSLRIPASGGQTTAGTSAGRGARGRRS -QQGAPGRQSSGQSQQQGRRSTQGQSRQSDNSDQNVQRQSQGGNGRGGYNLRPRTYQPQRYGGGRGRRWND -QPARSDNQQRSQSQQPQSEARGEQSRTSGAGRGQGGRGNQNRNQRLAGGNADRTVNTVTTASASTSASGQ -DGSSPAPPASGSGN - ->YP_009508550.1 gag protein [Eastern chimpanzee simian foamy virus] -MASGSNVEEYELDVEALVVILRDRNIPRNPLHGEVIGLRLTEGWWGQIERFQMVRLILQNDDNEPLQRPR -YEVIQRAVNPHTMFMISGPLAELQLAFQDLDLPEGPLRFGPLANGHYVQGDPYSSSYRPVTMAETAQMTR -DELEDVLNTQSEIEIQMINLLELYEVETRALRRQLAERSSTGQGGISPGAPRSRPPVSSFSGLPSLPSIP -GIHPRAPSPPRATSTPGNIPWSLGDDNPPSSSFPGPSQPRVSFHPGNPFVEEEGHRPRSQSRERRREILP -APVPSAPPMIQYIPVPPPPPIGTVIPIQHIRSVTGEPPRNPREIPIWLGRNAPAIDGVFPVTTPDLRCRI -INAILGGNIGLSLTPGDCLTWDSAVATLFIRTHGTFPMHQLGNVIKGIVDQEGVATAYTLGMMLSGQNYQ -LVSGIIRGYLPGQAVVTALQQRLDQEIDDQTRAETFIQHLNAVYEILGLNARGQSIRASVTPQPRPSRGR -GRGQNTSRPSQGPANSGRGRQRPASGQSNRGSSTQNQNQDNLNQGGYNLRPRTYQPQRYGGGRGRRWNDN -TNNQESRPSDQGSQTPRPNQAGSGVRGNQSQTPRPAAGRGGRGNHNRNQRSSGAGDSRAVNTVTQSATSS -TDESSSAVTAASGGDQRD - ->YP_009508545.1 gag protein [Central cimpanzee simian foamy virus] -MASGSNVEEYELDVEALVVILRDRNIGRNPLHGEIIGLRLTEGWWGQIERFQMVRLILQDDDNEPLQRPR -YEVIPRAVNPHTMFMISGPLAELQLAFQDLDLPEGPLRFGPLANGHYVQGDPYSSSYRPVTMAETAQMTR -DELEDILNTQSEIEIQMINLLELYEVETRALRRQLAERSSIGQGGVSPGAPRPRPPVSSFSGLPSLPSIP -GIHPRAPSPPRATSTPGNIPWSLGDDSPPSSSFPGPSQPRVSFHPGNPFVEDEGHRPRSQSRERRRNNPP -APIPSAPPMIQYVPVPPPPPVGTVIPIQHIRSVTGEPPKNPREIPIWLGRNAPAIDGVFPVTTPDLRCRI -INAILGGNLGLSLTPADCVTWDSAVGTLFVRTHGQFPMHQLGTVIQGIVNQEGVATAYTLGMMLSGQNYP -LVSGIIRGYLPGQAVVTALQQRLDQEVDDQARAETFIQHLNAVYEILGLNARGQSIRASVTPQPRPSRGR -GRGQSTPRPSQGPVSSGRGRQRPASGQYDRGSNNQNQDQGNTSQGGYNLRPRTYQPQRYGGGRGRRWNEN -TNSQESRPSDQGSQTPRPNQAGSGVRGNQSQTPRPAAGRGGRGNQNRNQRSSGAGDSRAVNTVTQSATSS -TDESSSAVATASSGDQRN - ->YP_009508541.1 gag protein [Brown greater galago prosimian foamy virus] -MSQPSASGSAGAGGAPQQPPPPPPQPGPAAPVPRAQIGYGDLDVLLLQQEYHLIDPNLQVQHLDTLLVRI -TGGNWGPGDRFARIEVLLRDTLGPLQQPRYRYAAMQQADLRNDIILHLNYQDAIIIFDMIIPSEGVHRHG -PMFDGLWIHGDDYSMNFQPITAHELYLLPQQVLTEEVELLTEVCNRMADWIRRHRCGGGSGSSQPPPPPP -PAVPVLPSAPPASSLPLPPQGWGISPPVATSTPGAAGHSSSAGPNISLGGTYVPPPVAPPAPVIGGPGGP -GQLPAMVQVLPAQPVVIPINVIRSVCGDTPSNPQDIPLWMGRIIPAIEGVFPIDNPNLRMRVVNALLALH -PGLAITELNAQTWGQVLAVLHMRALGHTALHQLPALLETIVKTDGILPAYNMGMEVTQQDFSYVWGILRT -LLPGQAFVLSMQNELDRLPAAQRPGMFPGLLQRTLDILGLNSRGQNIQKTNTQQQAPKRGQKPKPRLPPV -HRRPAPFTPPATPSPRQQASASPSSQGDNRSPQPQGRGTYGPSRGGGSGPRYNFRPRVQPPDRYGFGRGQ -GGRSSIGAQDNQQPGQGGQRTQQTNQNRNQGNATGGRTQPQNRTVNTVRVTQTNPQGGSSVSNPAVTTSQ -NTGTGSATQSSSS - ->YP_009508536.1 Gag [Puma feline foamy virus] -MARELNPLQLQQLYINNGLQPNPGHGDVIAVRFTGGPWGPGDRWARVAIRLQDNTGQPLQVPGYDLEPGI -INLREDILIAGPYNLIRTAFLDLEPARGPERHGPFGDGRLQPGDGLSEGFQPITDEEMQTEVGTIGAARN -EIRLLREALQRLQVGGVGRPIPGAILQPQPVIGAVIPINHLRSVIGNTPPNPRDVALWLGRSTAAIEGVF -PIVDQVTRMRVVNALVASHPGLTLTENEAGSWNAAISALWRKAHGAAAQHELAGVLSDINKKEGIQTAFN -LGMQFTDGNWSLVWGIIRTLLPGQALVTNAQSQFDLMGDDIQRAENFPRVINNLYTMLGLNIHGQSIRPR -VQTQPQQARSRNQGRSQQGQLNQPRPQNRNNQSYRPPRQQQQHSDVPEQRDQRGPSQPPRGSGGGYNFRR -NPQQPQRYGQGPPGPNPYRRFGDGGNPQQQGPPPNRGPDQGPRPGGNPRGGGRGQGPRNGGGNAAAVHTV -KASENETKNGSAEAADGGKKGGKD - ->YP_001956721.2 Gag [African green monkey simian foamy virus] -MGDHNLNVQELLNLFQNLGIPRQPNHREVIGLRMLGGWWGPGTRYILVSIFLQDDSGQPLQQPRWRPEGR -PVNPLVHNTIEAPWGELRQAFEDLDVAEGTLRFGPLANGNWIPGDEYSMEFQPPLAQEIAQMQRDELEEI -LDITGQICAQVIDLVDMQDAQIRGLERRIQDRLGLRDNLPVAGIQAPPSSPIGQPIASSSLQPIPGSSSS -PADLDGIWTPRQIDPRLSRVAYNPFLPGSSDGSGGSIPVQPSAPPAVLPSLPSLPAPVSQPIIQYVAQPP -VPAPQAIPIQHIRAVTGNTPTNPRDIPMWLGRHSAAIEGVFPMTTPDLRCRVVNALIGGSLGLSLEPIHC -VNWAAVVAALYVRTHGSYPIHELANVLRAVVTQEGVATGFQLGIMLSNQDYNLVWGILRPLLPGQAVVTA -MQQRLDQEVNDAARITSFNGHLNDIYQLLGLNARGQSIARAQSASTSGNSASAGRGRRGQRTQQQAGRQQ -QQQTRRTNQGNQGQRDNNQRQSSGGNQGQRGQGGYDLRPRTYQPQRYGGGRGRRWNDNQQQQQAQPGRSS -DQPRSQSQQPQPEARGDQSRTSGAGRGQQGRGNQNRNQRRADANNTRNVDTVTATTTSSSTASSGQNGSS -TTPPASGSRNQGD - ->NP_054715.1 Gag [Equine foamy virus] -MAQNETFDPVALQGYYPAGGILADNDIINIRFTSGQWGIGDRWLQVRLRLVDPNTGQPLAQPEYEDTGLP -AENRGIVVAVSHNAARNIFNNVQPAGGPNRHGPLHDGQFQVGDDPSEHFVPIEENLIPQEIVNLGAARRE -VRLLREMCVRLLHVRRQMMGMGMPGAIQPQPPVGPLPAPAQPPIPGPPVPPPVPPPAPPAPVNPPVPPVQ -PIHHLPITHIRAVIGETPAQIRDVPLWLAQSIPALTGVYPAMDAGTLTRLVNAITARHPGLALGMNEAGS -WHEAVHLIWQRTFGATALHALSDVLKGIAQRNGVVMALEMGLMFTNDDWDLTWSVIRRCLPGQASVVTIQ -ARLDALPNNQARIIQAGFIIREVYEVLGLDPLGRPLNFPGGLTQRDTAVPVTRGRGRGRTGPRRGPVLPV -SSNQRRQETAGGNQPQTQPQQQNTFSNQTNQRGNQRQWQNRGTDSQRRYFFRPRPSQPQRYGSNQGPDNP -NPYRGRDSTNQSGQERQLPQQQQGSRRGPGRNTNSGNNTVHTVRQVESSQLQQNASPTASPSTNQGQQP - ->NP_044928.1 gag polyprotein [Bovine foamy virus] -MALNDFDPIALQGYLPAPRVLQHNDIIICRATSGPWGIGDRYNLIRIHLQDPAGQPLPIPQWEPIPNRTA -NPRTQPYPVVSAPMATLENILNNFHIPHGVSRYGPLEGGDYQPGEQYSQGFCPVTQAEIALLNGQHLEEE -ITILREITHRLMQGVRPPAVPQGPAPPPPPAQPPAPLPAPPIGPPPPAAPAPAPGPMPVPQHLPITHIRA -VIGETPANIREVPLWLARAVPALQGVYPVQDAVMRSRTVNALTVRHPGLALEPLECGSWQECLAALWQRT -FGATALHALGDTLGQIANSDGIVMAIELGLLFSDDNWDLVWGICRRFLPGQAVCVAVQARLDPLPDNATR -IVMISHIIRDVYAILGLDPLGRPMQQTLPRRNNQPPRQQPQRRQQPRRTGNQEERGQRNRGRQNAQTPRQ -EGNRLQNSQLPGPRDCPNNSNQPRYPLRPNPQQPQRYGQEQNRGNNPNPYRQPTPGNGNQNRNFSRGPAP -VNEQSRGRGRSSQGTNNTGSSAVHSVRLTSAAPPIPPQDAGTPPTSSGNQGQSS - ->NP_056802.1 gag protein [Simian foamy virus] -MASGSNVEEYELDVEALVVILRDRNIGRNPLHGEIIGLRLTEGWWGQLERFQMVRLILQDEDNEPLQRPR -HEIIPRAVNPHTMFVLSGPLAELQLAFQDLDLPEGPLRFGPLANGHYVEGDPYSRSYRPVTMAETAQMTR -DELEDTLNTQSEIEIQMINLLELYEVETRALRRQLAERSSIGQGGISPGASHSRPPVSSFSGLPSLPAIP -GIHTRAPSPPRATSTPGNIPRSLGDDNMPSSSFAGPSQPRVSFHPGNPFAEAEGHRPRSQSRERRRDIPS -APVISAPVPSAPPMIQYIPVPPPPPVGAVIPIQHIRSVTGEPPRNPREIPIWLGRNAPAIDGVFPTTTPD -LRCRIINALLGGNLGLSLTPGDCITWDSAVATLFIRTYGQYPLHQLGNVLKGIADQEGVATAYTLGMMLS -GQNYQLVSGIIRGYLPGQAVVTAMQQRLDQEIDDQTRAETFIQHLNAVYEILGLNARGQSIRASVTPQPR -PSRGRGRGQSAPEPSQGPVNSGRGRQCPAPGQNDRGSNIQNQGQENSSQGGYNLRSRTYQPQRYGGGRGR -RWNENTNNSETRPTEQSPQTPRPIQAGSGVRGNQSQTYKPAAGRGGRGNQNRNQRSSGAGDSRAVNTVTQ -SATSSTDESSSTTTAAPSGGQGN - ->sp|Q00071.1|GAG_SFV1 RecName: Full=Gag polyprotein; AltName: Full=Pr71Gag; Contains: RecName: Full=Gag protein; AltName: Full=p68Gag; Contains: RecName: Full=p3; AltName: Full=p3Gag -MAAIEGDLDVQALANLFNDLGINRNPRHREVIALRMTGGWWGPATRYNLVSLLLQDDQGQPLPQPRWRAE -GRAANPAVMFTLEAPWQDLRLAFDNIDVGEGTLRFGPLANGNYIPGDEFSLEFLPPAMQEITQMQRDELE -EVLDVVGQITMQMNDLIGMQDAQIRGLEGQLRGLRGNLPVAGTPPPPPPSLDLQPAAASSPYVAPAPSAP -AASAAAADLGWFAGGPGPGSLDPRLARVAYNPFLPGPSDGSGAAPAQPSAPPVASPLPSLLPAQPMQPVI -QYVHPPPINPAQQVIPIQHIRAVTGNAPSNPREIPMWIGRNASAIEGVFPIPTSDIRSRVINALLGRQLG -LNLDPQHCITWASAIATLYVRTHGSYPLHQLAEVLRRVSNSEGAAAAWQLGMMLTNQDYNLVWGMVRPLL -PGQAVVTAMQHRLDQEVSDAARIVSFVNHLNAVYELLGLNARGQNLRVSTGGQTTARTSAGRGARGRRSQ -QGTPGRQSSGQAPPQGRRSSQGQQPRQSESGDQNNQRQLQGGNNRGGYNLRPRTYQPQRYGGGRGRRWND -QTARADNQQRSQSQQPQSEARGEQSRTSGAGREQGGRGNQNRNQRSAGENTDRSVNTVTATSASISASGQ -NGSSTTPPASGSGNQGN - diff --git a/seq/clusters_seq/cluster_284 b/seq/clusters_seq/cluster_284 deleted file mode 100644 index c4b2b24..0000000 --- a/seq/clusters_seq/cluster_284 +++ /dev/null @@ -1,95 +0,0 @@ ->YP_009259670.1 movement protein [Pelargonium leaf curl virus] -MDTEYEQVNKPWNELYKETTLGNKLMVNVGMEDQEVPLLPSNFLTKVRVGLSGGYITMRRFRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWKVMYQEDALKVLKPSKKKASKTDSSV - ->YP_009507821.1 movement protein [Havel River virus] -MDTEYGQVNKPWKELYKEATLGNKLTVNVGMEDVEVPLLPSNFLTKVRVSMSGGYITVRRVRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTELLDLGKEVRLTMQHLDFSVLARSDVPIVFGFEDLVSPYLEGREL -YSVSLRWQFGLSAQCYSLPPAKWKVMYQEDALKALKPSKRKASKTDSSV - ->YP_009037609.1 movement protein [Moroccan pepper virus] -MDTEYEQVNKPWNELYKETTLGNKLTVNVGMEDQEVALLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWRVMYQEDALKALKPSKKKASKTDSSV - ->YP_008999614.1 movement protein [Eggplant mottled crinkle virus] -MDTEYEQVNKPWNELYKEATLGNKLTVNVGMEDVEVPLLPSNFLTKVRVSLSGGYITVRRVRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTELLDLGKEIRLTMQHLDFSVSARSDVPIVFGFEDLVSPFLEGREL -FSVSLRWQFGLSAQCYSLPPAKWKVMYQEEALKALKPSKKKASKTDSSRLE - ->YP_002308432.1 movement protein [Grapevine Algerian latent virus] -MIWAHLSACQARKGLLEQDQFMDTEYEQVNKPWNELYKEATLGNKLTVNVGMEDAEVPLLPSNFLTKVRV -SMSGGYITVRRVRIKIIPLVSRKAGVSGKLYLRDISDTTGRKLHCTELLDLGREVRLTMQHLDFLVSARS -DVPIVFGFEDLVSPYLEGRELFSVSLKWQFGLSAQCYSLPPAKWKVMYQEDALKALKPSKKKASKTDSSV - ->YP_459923.1 p21 protein [Maize necrotic streak virus] -MDAEYEQVSRPWNELYKEATLGNKLVVNVGSEDAEIPLLPSNYLTKARLAMSGGYITMRMIRIRIVPLVS -RNSGVSGRLFLRDITDTTGKKLHSTELLDLGKEIRLSLRHLDFSVSTRSAVPIVFGFEELVSPYLEGREL -FSVSFRWQIGLSAQSYSLPQVPWKVLYQEDALRRKLPKKANKTNSPPNV - ->NP_945117.1 movement protein [Pelargonium necrotic spot virus] -MDTEYEQVNKPWNELYKEATLGNKLTVNVGMEDVEVPLLPSNFLTKVRVSMSGGYITVRRVRIKIIPLVS -RKAGVSGKLYLRDISDTAGRKLHCTELLDLGKEIRLTMQHLDFSVSAKSDVPIVFGFEDLVSPYLEGREL -FSVSLRWQFGLSAQCYSLPPAKWKVMYQEEALKALKPSKKKASKTDSSV - ->NP_835256.1 movement protein [Cucumber Bulgarian latent virus] -MDTEYEQVNKPWNELYKEITLGNKLLVNVGMEDMEVPLLPSNFLTKARIGMSGGYITVRRIRIKIIPLVS -RKAGVSGKLYLRDITDTTGKKLHCTELLDLGREIRLTMRHLDFSVSTKSDVPIVFGFEELVSPFLEGREL -FSVSLKWQFGLSSQSYSLPQTKWKVMYQEDALKQIKTAHRKRT - ->NP_613263.1 putative movement protein [Cymbidium ringspot virus] -MDTEYQQVNKPWNELYKEVTLGNKLTVNVGMEEEEVLLLPSNFPTKVRVSMSGGYITVRRVRIRIIPLVS -RKAGVSGKLYLRDISDTTGQKLHCTELLDLGKEIRLTMPHLDFSVSAKSDVPIAFGFEELVSPFREGREL -FSVSLRWQLGLSAQCYSLPPANVKVMYQEDALKALKPSKKKASRTDSSV - ->NP_612583.1 movement protein [Carnation Italian ringspot virus] -MDTEYEQVNKPWNELYKETTLGNKLTVNVGMEDQEVSLLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGREIRLTMQHLDFSVPTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWKVMYQEDALKVLKPSRKKASKTDSSV - ->NP_062900.1 p22 protein [Tomato bushy stunt virus] -MDTEYEQVNKPWNELYKETTLGNKLTVNVGMEDQEVPLLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWKVMYQEDALKVLRPSKKKASKTDSSV - ->NP_040956.1 putative movement protein [Cucumber necrosis virus] -MDTEYEQVNKPWNELYKEATLGNKLTVNVGMEDVEVPLLPSNFLTKVRVSLSGGYITVRRVRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTELLDLGKEIRLTMQHLDFSVSARSDVPIVFGFEDLVSPYLEGREL -FSVSLRWQFGLSAQCYSLPPAKWKVMYQEDALKALKPSKIKKASKTDSSV - ->NP_039811.1 protein of 22 kDa [Artichoke mottled crinkle virus] -MDTEYEQVNKPWSELYKETTLGNKLMVNVGMEDQEVPLLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWKVMYQEDALKVLKPSKKKASRTDSSV - ->sp|P15961.1|MVP_AMCV RecName: Full=Movement protein; AltName: Full=p22 -MDTEYEQVNKPWSELYKETTLGNKLMVNVGMEDQEVPLLGSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSIYVRWQFGLSKNCYSLPQSKWKVMYQEDALKVLKPSKKKASRTDSSV - ->sp|P50634.1|MP22_TBSVT RecName: Full=Movement protein p22; AltName: Full=p21 -MDTEYEQVNKPWNELYKETTLGNKLTVNVGMEDQEVPLLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTKGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWKVMYQEDALKVLKPSKKKASKTDSSV - ->sp|P50633.1|MP22_TBSVK RecName: Full=Movement protein p22; AltName: Full=p21 -MDTEYEQVNKPWNELYKETTLGNKLTVNVGMEDQEVPLLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGQEIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWKVMYQEDALKVLKPSKKKASKTDSSV - ->sp|P50631.1|MVP_TBSVB RecName: Full=Movement protein; AltName: Full=p22 -MDTEYEQVNKPWNELYKETMLGNKLMVNVGMEDQEVPLLPSNFLTKVRVGLSGGYITMRRFRIKIIPLVS -RKAGVSGKLYLRDISDTKGQKLHCTESLDLGREIRLTMQHLDFSVSTRSGVPIVFGFEELVSPFLEGREL -FSISVKWQFGLSKNCYSLPQSKWKVMYLEDALKVLKLSKKKASKTDSSV - ->sp|P50630.1|MVP_TBSVA RecName: Full=Movement protein; AltName: Full=p22 -MDTEYEQVNKPWNELYKETTLGNKLMVNVGMEDQEVPLLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTKGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVKWQFGLSKNCYSLPQSKWKVMYQEDALKVLKPSKKKASKTGSSV - ->sp|P50629.1|MVP_TBSV8 RecName: Full=Movement protein; AltName: Full=p22 -MDTEYEQVNKPWNELYQETTLGNQLMVNVGMEDPEVPLLPSNFLTKVRVGLSGGYITMRRIRIKIIPLVS -RKAGVSGKLYLRDISDTTGRKLHCTESLDLGREIRLTMQHLDFSVSTRSDVPIVFGFEELVSPFLEGREL -FSISVRWQFGLSKNCYSLPQSKWKVMYQEDALNVKPSKKKASKTDSSV - diff --git a/seq/clusters_seq/cluster_285 b/seq/clusters_seq/cluster_285 deleted file mode 100644 index f75fca0..0000000 --- a/seq/clusters_seq/cluster_285 +++ /dev/null @@ -1,76 +0,0 @@ ->YP_009825038.1 NSP10 [Duck coronavirus] -SKGHETEELESVGILSLCAFAVNPEETYLKYVSAGNQPLGNCVKMLTVHNGNGFAITTKPSPTPDQDSYG -GASVCLYCRAHIAHPGASGTLDGRCPFKGSFVQIPTSEKDPVGFCLRNKVCKVCQCWVGFGCQCDSLRQP -KPSVQ - ->YP_009755919.1 nsp10/11 [Canada goose coronavirus] -GKGHDTEEVEAVGILSLCSFAVDPASCYKEYIAGGGKPLANCVKMLTVHNGSGFAITTKPSPTPDQDSYG -GASVCLYCRAHVAHPNMDGKCPYKGSFVQIPSVEQDPVMFCLINKVCNVCQCWIGYGCKCDELRPTMQFD -SYSREPPTSRFVDGPTKADNNYLNGYGVAVRLG - ->NP_835351.1 putative coronavirus nsp7 [Human coronavirus 229E] -AGKQTEFVSNSHLLTHCSFAVDPAAAYLDAVKQGAKPVGNCVKMLTNGSGSGQAITCTIDSNTTQDTYGG -ASVCIYCRAHVAHPTMDGFCQYKGKWVQVPIGTNDPIRFCLENTVCKVCGCWLNHGCTCDRTAIQ - ->YP_009924392.1 nsp10 [Rousettus bat coronavirus HKU9] -AGSATEVASNSSILSLCSFSVDPEATYKDYLDNGGSPIGNCVKMLTPHTGTGLAITAKPDANIDQESFGG -ASCCLYCRCHIEHPGASGVCKYKGKFVQIPLVGVNDPIGFCIRNVVCAVCNMWQGYGCPCSSLREINLQ - ->YP_009944301.1 nsp10 [Betacoronavirus England 1] -AGSNTEFASNSSVLSLVNFTVDPQKAYLDFVNAGGAPLTNCVKMLTPKTGTGIAISVKPESTADQETYGG -ASVCLYCRAHIEHPDVSGVCKYKGKFVQIPAQCVRDPVGFCLSNTPCNVCQYWIGYGCNCDSLRQAALPQ - ->YP_009944375.1 nsp10 [SARS coronavirus Tor2] -AGNATEVPANSTVLSFCAFAVDPAKAYKDYLASGGQPITNCVKMLCTHTGTGQAITVTPEANMDQESFGG -ASCCLYCRCHIDHPNPKGFCDLKGKYVQIPTTCANDPVGFTLRNTVCTVCGMWKGYGCSCDQLREPLMQ - ->YP_009944363.1 nsp10 [Pipistrellus bat coronavirus HKU5] -AGSNTEFAINSSVLSAVTFSVDPGKAYLDFVNAGGAPLTNCVKMLTPKTGTGIAVSVKPEANADQDTYGG -ASVCLYCRAHIEHPDVTGVCKFKGKFVQVPLHIRDPVGFCLQNTPCNVCQFWIGHGCNCDALRGTTIPQ - ->YP_009944334.1 nsp10 [Tylonycteris bat coronavirus HKU4] -AGANTEFASNSTVLTLVAFAVDPAKAYLDYVGSGGTPLSNYVKMLAPKTGTGVAISVKPEATADQETYGG -ASVCLYCRAHIEHPDVSGVCKYKTRFVQIPAHVRDPVGFLLKNVPCNVCQYWVGYGCNCDALRNNTVPQ - ->YP_009944278.1 nsp10 [Human coronavirus HKU1] -AGVATEYAANSSILSLCAFSVDPKKTYLDYIQQGGVPIINCVKMLCDHAGTGMAITIKPEATINQDSYGG -ASVCIYCRARVEHPDVDGICKLRGKFVQVPLGIKDPILYVLTHDVCQVCGFWRDGSCSCVGSSVAVQ - ->YP_009944263.1 nsp10 [Rabbit coronavirus HKU14] -AGTATEYASNSSILSLCAFSVDPKKTYLDFIQQGGAPISNCVKMLCDHAGTGMAITVKPEATTSQDSYGG -ASVCIYCRARIEHPDVDGLCKLRGKFVQVPVGIKDPVSYILTHDVCQVCGFWRDGSCSCVSTGAFVQ - ->YP_009915697.1 nsp10 [Murine hepatitis virus] -AGTATEYASNSAILSLCAFSVDPKKTYLDYIKQGGVPVTNCVKMLCDHAGTGMAITIKPEATTNQDSYGG -ASVCIYCRSRVEHPDVDGLCKLRGKFVQVPLGIKDPVSYVLTHDVCQVCGFWRDGSCSCVGTGSQFQ - ->YP_009924377.1 nsp10 [Rat coronavirus Parker] -AGTATEYASNSAILSLCAFSVDPKKTYLDYIQQGGVPVTNCVKMLCDHAGTGMAITIKPEATTNQDSYGG -ASVCIYCRSRVEHPDVDGLCKLRGKFVQVPLGIKDPVLYVLTHDVCQVCGFWRDGSCSCVGTGSQFQ - ->YP_009924328.1 nsp10 [Human coronavirus OC43] -AGTATEYASNSSILSLCAFSVDPKKTYLDFIQQGGTPIANCVKMLCDHAGTGMAITVKPDATTSQDSYGG -ASVCIYCRARVEHPDVDGLCKLRGKFVQVPVGIKDPVSYVLTHDVCRVCGFWRDGSCSCVSTDTTVQ - ->YP_009742617.1 nsp10 [Severe acute respiratory syndrome coronavirus 2] -AGNATEVPANSTVLSFCAFAVDAAKAYKDYLASGGQPITNCVKMLCTHTGTGQAITVTPEANMDQESFGG -ASCCLYCRCHIDHPNPKGFCDLKGKYVQIPTTCANDPVGFTLKNTVCTVCGMWKGYGCSCDQLREPMLQ - ->YP_008439210.1 nsp10 [Bat coronavirus CDPHE15/USA/2006] -AGKQTEQVMNSSLLTMCAFSTDPAKTYLDAVKSGVKPIGNCVKMLANGAGNGQAITNGVEANTSQDSYGG -ASVCLWCRAYVEHPAMDGFCKYRGKYVQVPIGTVDPIRYCLENEVCKVCGCWLNNGCSCDRTSIVQ - ->YP_001941183.1 NSP10 [Turkey coronavirus] -SKGHETEEVDAVGILSLCSFAVDPADTYCKYVAAGNQPLGNCVKMLTVHNGSGFAITSKPSPTPDQDSYG -GASVCLYCRAHIAHPGGAGNLDGRCQFKGSFVQIPTTEKDPVGFCLRNKVCTVCQCWIGHGCQCDAIRQQ -KPSVQ - ->NP_839964.1 putative coronavirus nsp7 [Porcine epidemic diarrhea virus] -AGKQTEQAINSSLLTLCAFAVDPAKTYIDAVKSGHKPVGNCVKMLANGSGNGQAVTNGVEASTNQDSYGG -ASVCLYCRAHVEHPSMDGFCRLKGKYVQVPLGTVDPIRFVLENDVCKVCGCWLSNGCTCDRSIMQ - ->NP_740628.1 coronavirus nsp7 (GLF) [Infectious bronchitis virus] -SKGHETEEVDAVGILSLCSFAVDPADTYCKYVAAGNQPLGNCVKMLTVHNGSGFAITSKPSPTPDQDSYG -GASVCLYCRAHIAHPGSVGNLDGRCQFKGSFVQIPTTEKDPVGFCLRNKVCTVCQCWIGYGCQCDSLRQP -KSSVQ - diff --git a/seq/clusters_seq/cluster_286 b/seq/clusters_seq/cluster_286 deleted file mode 100644 index 342a7b7..0000000 --- a/seq/clusters_seq/cluster_286 +++ /dev/null @@ -1,72 +0,0 @@ ->YP_009825035.1 NSP7 [Duck coronavirus] -SKLSDVKCTTVVLMQLLTKLNVEANSKMHAYLVGLHNKILASDDVNECMDNLLGMLVTLFCVDSTIDLSE -YCDDILKRSTVLQ - ->YP_009755916.1 nsp7 [Canada goose coronavirus] -SKLTDVKCSAVVLMQLLTKLNIEANSRVHKHLVELHNKLLAEEDLVKCMEYLLGMLVTLLAMDANLDLQP -YCEDVLTNNTVLQ - ->NP_835348.1 putative coronavirus nsp4 [Human coronavirus 229E] -SKLTDLKCTNVVLMGILSNMNIASNSKEWAYCVEMHNKINLCDDPETAQELLLALLAFFLSKHSDFGLGD -LVDSYFENDSILQ - ->YP_009924389.1 nsp7 [Rousettus bat coronavirus HKU9] -SNMTDLKCTSVVLLSVLQQLRVESSSKLWALCVKLHNEILASNSPTEAFEAFVSLLSVLLSLPGAINLDE -LCSSILENNSVLQ - ->YP_009944298.1 nsp7 [Betacoronavirus England 1] -SKLTDLKCTSVVLLSVLQQLHLEANSRAWAFCVKCHNDILAATDPSEAFEKFVSLFATLMTFSGNVDLDA -LASDIFDTPSVLQ - ->YP_009944372.1 nsp7 [SARS coronavirus Tor2] -SKMSDVKCTSVVLLSVLQQLRVESSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLSMQGAVDINR -LCEEMLDNRATLQ - ->YP_009944360.1 nsp7 [Pipistrellus bat coronavirus HKU5] -SKLTDLKCTSVVLLSVLQQLHLEANSKAWAHCVKLHNDILAATDPTEAFDNFVCLFATLMSFSANVDLEA -LASDLLDHPSVLQ - ->YP_009944331.1 nsp7 [Tylonycteris bat coronavirus HKU4] -SKLTDLKCTSVVLLTVLQQLHLESNSKAWSYCVKLHNEILAAVDPTEAFERFVCLFATLMSFSANVDLDA -LANDLFENSSVLQ - ->YP_009944275.1 nsp7 [Human coronavirus HKU1] -SKLTDVKCANVVLLNCLQHLHVASNSKLWQYCSVLHNEILSTSDLSVAFDKLAQLLIVLFANPAAVDTKC -LASIDEVSDDYVQDSTVLQALQ - ->YP_009944260.1 nsp7 [Rabbit coronavirus HKU14] -SKLTDVKCANVVLLNCLQHLHVASNSKLWQYCSTLHNEILATSDLSTAFEKLAQLLIVLFANPAAVDSKC -LSSIEEVCDDYAKDNTVLQ - ->YP_009924374.1 nsp7 [Rat coronavirus Parker] -SRLTDVKCANVVLLNCLQHLHIASNSKLWQYCSTLHNEILATSDLSVAFDKLAQLLVVLFANPAAVDSKC -LASIEEVSDDYVRDNTVLHALQ - ->YP_209250.1 nsp7 [Murine hepatitis virus strain JHM] -SRLTDVKCANVVLLNCLQHLHIASNSKLWQYCSTLHNEILATSDLSVAFDKLAQLLVVLFANPAAVDSKC -LASIEEVSDDYVRDNTVLQALQ - ->YP_009924325.1 nsp7 [Human coronavirus OC43] -SKLTDVKCANVVLLNCLQHLHVASNSKLWHYCSTLHNEILATSDLSVAFEKLAQLLIVLFANPAAVDSKC -LTSIEEVCDDYAKDNTVLQ - ->YP_009742614.1 nsp7 [Severe acute respiratory syndrome coronavirus 2] -SKMSDVKCTSVVLLSVLQQLRVESSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLSMQGAVDINK -LCEEMLDNRATLQ - ->YP_008439218.1 nsp7 [Bat coronavirus CDPHE15/USA/2006] -SKLTDVKCTNVVLMGCLSSMNIQANSAEWNYCVDLHNKINLCNDLERAQEYLLALLAFFLSKNSAFGLDD -LLDSYFDNNTVLQ - ->YP_001941180.1 NSP7 [Turkey coronavirus] -SKLSDVKCTTVVLMQLLTKLNVEANSKMHAYLVELHNKILASDDVGECMDNLLGMLVTLFCIDSTIDLSE -YCDDILKRSTVLQ - ->NP_839961.1 putative coronavirus nsp4 [Porcine epidemic diarrhea virus] -SKLTDIKCSNVVLLGCLSSMNVSANSTEWAYCVDLHNKINLCNDPEKAQEMLLALLAFFLSKNSAFGLDD -LLESYFNDNSMLQ - ->NP_740625.1 coronavirus nsp4 [Infectious bronchitis virus] -AKLSDVKCTTVVLMQLLTKLNVEANSKMHVYLVELHNKILASDDVGECMDNLLGMLITLFCIDSTIDLSE -YCDDILKRSTVLQ - diff --git a/seq/clusters_seq/cluster_287 b/seq/clusters_seq/cluster_287 deleted file mode 100644 index 45ba5d8..0000000 --- a/seq/clusters_seq/cluster_287 +++ /dev/null @@ -1,111 +0,0 @@ ->YP_010086095.1 NSs [Itaporanga virus] -MMRFFHPEMPEYSFDYSKEGGRVNVLYVNKFEWTEVPASYHMGSLIPLKFVSLSRKKFMNLKEFYDRDTL -PLLWGDCKGSQVMGPSLNCFDEMIKMLASIPLNDFLAPSRGHTAHGISWPTGSPDLNYIVYSSKDINPES -YYSRCHVASMLMNGAKGNPSLDLACVALHKKIRKAAADMGVSPELFSGRNLVKDVACVQVISVLRGAEMD -QRVFGKGDNLVDWLVECAARIRTSDPGCLGDEIGFGPRCRAIDDLDRCLEGNDSDFSDDSSDDEAAIAAS -NLSSVE - ->YP_010086200.1 nonstructural protein [Tres Almendras virus] -MSNMMMYTFDLPHITRSATDRNRVYVEYSAFNNYHSAPICRYKGAEFPCTSYKVSTKVRYRLSTFIIKDE -LPLSWGQAHQQVKGASPSFFDTTMEKISYLNLDRQLKWSEPNLREALSWPLGKPTFSFFKLSQISSYEYH -WEEKCTFATLVMRCGDGEQLDDSLVNMYKKIDVELAERDIFSGNFTGQNIEKEIAYVQLIRMMTALPYDY -RESEFHSSLFQYVLDLYRVISPSLLGNRKWNPQSTWNNSFTELSFDTDSDLDDVGCCSC - ->YP_010086197.1 nonstructural protein [Mona Grita virus] -MNFLYDQPVIFRMGGVGDPEVEVGYLPFEAWSVRKICVHNTMEIPLETYRFAMDHRPTLRDFYSRGEFPF -RWGPGTFNSRVQEETTNSFDSAIMDLVGFPLASFTKSFLPNVKLALSWPLGYPTTDFIQLSGIPGHFTHN -GWKGMAATSLFRMNPQLERFDQVFVEAHKSILEEAERRGLSSDYFTGYDLLKEVASLQIVRLLNAVDIDV -VYSNIPTNLTYKLLDLRDTFENESPELLGNRFWIPSPDTWFDGLYLQTDSSFSSDED - ->YP_010086226.1 NSs [Icoaraci virus] -MNIMNFIYEMPIILSYGGMGNPEAEVGYYPYEGFTTYRVSVHHDMEIPVGTYRQVMDHRSTLEDFYSRGH -FPFRWGPGSFNSRVENPTCSDFDEMLRELMLFPNEAYTRSFLPNISEAISWPLGYPCSKFIQLSNEQKSQ -LTLRSWKSAAATCILRMNPWVSTFDQAFVEAHKMILKEATNRGLSRMLFPGYDLIKEVAIVQLVRAMNAL -DIDMTYSRIPTVLTNMLEKHKNVFENENPDLLGNRKWIPSDDTWFEVLNYHGESEFDTSSDSDAA - ->YP_010086180.1 NS protein [Buenaventura virus] -MSKNNYYALQIPCFTMSVGPLSRQSVQYVPFNKQYNCPVSNYRGMEVPVHHLRQSFESKRKLSYFLKDFQ -IPLTWGSMDSQVTQKSPEFFDTTIDRISELDIKTCLRWCEPNIKKALSWPLGYPSLKFFYHSHVDSYCFN -WSKKCDFATQLMRMGGGMGLDDSLLFSYSKIVGELSLRQIPYEVLTGYNISKEIAYIQVIRMLTALEYDL -SDDCCQSPLCHILLSQKSVISEQILGNKKWKVIKREDQTHMLPRGVGDLGRM - ->YP_010086121.1 nonstructural protein [Maldonado virus] -MSNMLNYVFDIPHITRSATDRSKVYVEYSAYNGYWGTPICRYKGAEFPCSSFSVSDKTSFRLRSFIDKRQ -LPRSWGKPFLQVKGKSPSFFDTTIEKISHLNLERQLKWSEPNIKEALSWPLGVPTLAFFKLSQIESYEFN -WEEKCFLMTLIMRSGDGVQIDDSLVNLYKKLIRELGERDIPCLNFTGQNIEKEIAYVQVLRMLTALPYDY -YESDFHSSLYDYVKELSEVITPQLLGNRKWVPLHTMSPRYTRIADSWDSDLDSEEEMEIDGPAARWGEGF - ->YP_010086119.1 nonstructural protein [Echarate virus] -MSQLLCYAFDLPHITRSATDRSRVYVEYSAHNGSYHTPICRYRGAEFPCSSFAVSERSSYRLLNFIEKGQ -LPKAWGKPFLQVRGKSPSFFDTTIEKISYLNIERQLKWSEPNIKEALSWPLGVPTLAFFKLSRVESYDYN -WEEKCYFMTLVMRCGEGEQIDDSLVNLYKKMIVELNERDISHLNFTGQFIEKEIAYVQILRMLTALPYDY -YESEFHSMIYDYVKELSLVISPDLLGNRKWKPLCTMDPLFDRLVDDWDSDLDPEEPMETEDYGAACCLGL -GKDKGE - ->YP_010086107.1 nonstructural protein [Alenquer virus] -MSNMMRYMFDYPHITRSAVDRSKVYVEYSAVNGYQDTPVCKYKGAEFPCSSYRVSTKTRYRLSTFIEKGE -LPHNWGKDYLQVKGKSPSFFDSTIEKISYLNLDKQLKWAEPNLKTALSWPLGKPTLAFFKLSQISSYDYH -WEEKCSFMTLVMRCGDGEQIDDSLVNLYKSVIRELKNRDIRHENFTGQDIEKEVAYVQLIRMLTALPYDY -YESEFHSLLYDYVKELSLVITPHLLGNRMWEPKSTHDDQFNDLFDEMDSDFDDEATADYNHDSA - ->YP_010086086.1 nonstructural protein [Turuna virus] -MSSMLNYTYDLPHITRCATNSKRVFVEYEAFNGLHHSPICRYKGAEFPCTSFKATDKIAYRLSKFIDNGE -LPRCWGKHHQQVTGSSPSFFDTTINKISYLNLERQLKWSEPNLKEALSWPLGVPTLAFFKLSRVDSYVFN -WEEKCLLMTLIMRCGEGDQIDQSLVNLYKKMIVELNNREIAHLNFTGQDIEKEIAYVQVLRMLTAIPYDY -YESEFHSLLYDYVKELSMVITPHLLGNRKWTPLATMDPRFMGLVDEWDSDFDSDFDQDELLEWDAAPASQ -DRGDGKEERVEGVF - ->YP_010086082.1 nonstructural protein [Nique virus] -MSNMMRYAFDLPHITRNATDYNRVYVEYSAFNNYHSAPVCRYKGAEFPCTSFKVSSKVRYRLSTFIMRDE -LPLSWGQAHQQVKGASPSFFDTTMEKISYLNLDRQLKWSEPNLREALSWPLGKPTFTFFKLSQIHSYEYH -WEEKCAFATLVMRCGKGEQIDDSLVNLYKSIDVELAEREIFSGNFTGQNIEKEIAYVQLLRMMTALPYDY -HESEFHSSLFQYVMDLYKVISPSLLGNKKWNPQSTWDDSLRDLTYDTDSDFESGGCCSC - ->YP_010086076.1 nonstructural protein [Itaituba virus] -MSNMLNYVFDIPHITRSATDRSKVYVEYSAYNGYWGTPICRYKGAEFPCSSFAVSDKTSFRLRSFIDKGQ -LPRSWGKPFLQVKGKSPSFFDTTIEKISHLNLERQLKWSEPNLKEALSWPLGVPTLAFFKLSLIESYEFN -WEEKCFLMTLIMRSGDGVQIDDSLVNLYKKMIRELGERDIPCLNFTGQNIEKEIAYVQILRMLTALPYDY -YESDFHSSLYDYVKELSEVITPQLLGNRKWTPLHTMSPKYTRIADSWDSDLDSEEEMEVDGPAARWGGEV - ->YP_009380503.1 nonstructural protein [Oriximina virus] -MSNMLNYVFDIPHITRSATDRSRVYVEYSAYNGYWGTPVCRYKGAEFPCSSFTVSDKTSFRLRSFIDKGQ -LPRSWGKPFLQVKGKSPSFFDTTIEKISHLNLERQLKWSEPNLKEALSWPLGVPTLAFFKLSFVESYEYN -WEEKCFFMTLVMRSGDGTQIDDSLVNLYKKLIRELGERDIPCLNFTGQNIEKEIAYVQVLRMLTALPYDY -YESDFHSSLYDYVKELSEVITPHLLGNRKWEPLHTMSPRYTRIADSWDSDLDSEEEMEVDGPAARWGGEV - ->YP_009346023.1 nonstructural protein [Tapara virus] -MSSYLYDRPIIFRQSQEDCSVQYLAFNSFTDREVCVNNDMEIPVENYMASCAFRESLQDFYRAGTLPLKW -GDEMPVNRVTKGSYRLMDGVIESLSRFSREDIIKSYLPNVQNALSWPLGYPTLDFIKACVMDTPLYTRKS -THATLIFRTGQPADCLDQSFVNSHRRIVMESVTRGFDVKTFTGQNLVRDIASLQCVRVLNAYQADLLYCG -VSTELTKELSKLRIIHDGHPQNPLGNQRWIASPDSWDYRPNPDVELDFDLDISDSSEDE - ->YP_009512943.1 NS protein [Punta Toro virus] -MSNINYYARELPVMSTSPDQLKRVTVDFVPFGKRHNAPVSLYKGMEIPLHNLRQSVIAKNRLITFLNNYD -LPKEWGYEQGLVIRASPSFFDVTIARISMLDIKDALKWCEPNIKRALSWPLTYPTLKFFEFSTLEGYQLN -WERKCDFATQICRIGKGLGLDDSLMFTYKSMMRELSIRNIPADVFTGQAIEKEIAYIQLIRLMTALEHDK -RDDCCQSELFKLVELQYEVTSKELLGNRLWLPLTMLNQDI - ->YP_009346012.1 nonstructural protein [Munguba virus] -MSFLWNLPVVRPSSCFLGLPSVNYVAFNKMATFEACKVNGAEIPLKHLKLCYDKRPTLFDFLRNREVPVS -WGDHTDDQILNRSPRTFEGLMFGLSEIEEVEYGRYNEPYLKKAVSWPLSYPSHTFFNLAVRKTEMGNWLH -SSMWATMMLRMSHTDNLEESIIKVRKRIIEKANDMGLDLSLFTGENLILEIAHLQCLRLIYASRKERERG -VNHSKTYSIVRSYDCFSVISDVNFDPMIADSLFGSNDGTMSGESDVDQLRVDLERYLSL - ->YP_004347995.1 nonstructural protein [Chandiru virus] -MSNMLNNVFDIPHITRSATDRSKVYVEYSAYNGYWGTPICRYKGAEFPCSSFTVSDKTSFRLRSFIDKGQ -LPRSWGKPFLQVKGKSPSFFDTTIEKISHLNLERQLKWSEPNLKEALSWPLGVPTLAFFKLSLVESYEFN -WEEKCFLMTLIMRSGDGAQIDDSLVNLYKKLIRELGERDIPCLNFTGQNIEKEIAYVQVLRMLTALPYDY -YESDFHSSLYDYVKELSEVITPHLLGNRKWTPLHTMSPRYTRIADSWDSDLDSEEDMEIDGPAARWGEGN - ->YP_003848706.1 non-structural protein [Rift Valley fever virus] -MDYFPVISVDLQSGRRVVSVEYFRGDGPPRIPYSMVGPCCVFLMHHRPSHEVRLRFSDFYNVGEFPYRVG -LGDFASNVAPPPAKPFQRLIDLIGHMTLSDFTRFPNLKEAISWPLGEPSLAFFDLSSTRVHRNDDIRRDQ -IATLAMRSCKITNDLEDSFVGLHRMIATEAILRGIDLCLLPGFDLMYEVAHVQCVRLLQAAKEDISNAVV -PNSALIVLMEESLMLRSSLPSMMGRNNWIPVIPPIPDVEMESEEESDDDGFVEVD - ->sp|P03516.1|NSS_PTPV RecName: Full=Non-structural protein NS-S -MSNINYYARELPIMSTSPNQLKRVTVDFVPFGKRHNAPVSLYKGMEIPLHNLRQSVIAKNRLITFLNNYD -LPKEWGYEQGLVIKASPSFFDVTIARISMLDVKDALKWCEPNIKRALSWPLTYPTFKIFEFSTLDGYQLN -WEKKCDFATQICRVGKGLGLDDSLMFTYKTMMRELSVRNIPAEVFTGQAIEKEIAYIQLIRFMTALEHDK -RDDCCQSELFKLVELQYEVTSKELLGNRLWLPLTMLNQDI - diff --git a/seq/clusters_seq/cluster_288 b/seq/clusters_seq/cluster_288 deleted file mode 100644 index 10b8ac4..0000000 --- a/seq/clusters_seq/cluster_288 +++ /dev/null @@ -1,1111 +0,0 @@ ->YP_010087319.1 orf1ab polyprotein [Botrylloides leachii nidovirus] -MTNTLGINVQNSNQYLFIFLLTCSVITIQTGSLTLGTQLAVTAVLLNFVRHVVRQKNKKQARFYVHKTWR -NIKATLTETKEKTDQIKKYINGPLTQHQAKAQTFAMIQFMASSILMFILDFVIEGYIDLPELVQNNIRFW -MGVYIILCTYKTWRNTTHKKTQTTLRMTVLTITLTCMAVSAFNPEMTEAQSEKALSEVYEKSQGISQLLE -MIVADFGKHQNIPTRKTGKSTKRKFFSAYRLNKHRMEESERLLKELDAEMKTIRRKARINSVRRVIQKIL -SPITKTRNWVVDCMVVFTFIYHRMTTATATRKVLTGRKPAPLPKEPEDLTFMEMFFEYLLTMVINAVKHT -IVLVFETAWIYVFSYMLFMWLVKTTVQNKPYAKRGKFVDFLLRKIIYRLPCPFFNIPYLNIKSGRAMKND -VHDERDLYLFEKSKSFAFCGECNCTGHQASECFNVTGREIIGHPDRISPLKALENGLYVHPSVYNRFRVR -QKIFDQATDKVKTVEPLSKTYQAYNAITTAEAKSSNANSEFGNSVLMPVEKRSKLLGFSQPRAEQTWDPL -HLQVKRQVKEIMDQDSIEYRAKETHTPIDYDKKQGSQGKTEEEEVRSSGSKHFNFEGKVWKVSSTFENEK -GKIPHIEATTETEPIPLKTGAPTKVYIEPNWTFPNGSCDVVVNSAHENFVGTGGIAKAIQDNCPQTLKML -IHWKNRKTGTFPWRMIMVTDGCKNFPYVFNLNAPQRREGNLKQVYEDLFFFYSRCIIMAGSRDLDITIPM -LSTGIYNAPGMEEISALAAYDACMKECKFDTKVIFGGKNSKAAAMLERALATDRKTIESEFTPQIVKELC -TTSLKEAKKIAEKLSGSGEADNLEKKIPEKGTSKNERVSLPFTSKFNGANVLDKFRINKCTITIVNKAPD -FMEGFTIHTPEALAKNIKPKCFTRCVFQKDQVPDSIFYEIDGPVFLWFDEKSLTNDAIKNSWTISGFSKT -EKKLDFKNKSVYLAGIDGVASDEDIVSFFTRITCHKARFTLTDDISIDGNTDFLEIFCDYMRKMNYFYTS -TRSDMKTILDYHRTKQMATFTQARISCYYKSDLKIFNEKLEEHVKFVEENYADAISTEIQKKQKIVEQHT -SKMDEMNIEYNSWEDRWEDQCNGEDIKTHFSQAELHELSEQIALRDDQEDYSARDQGKTLTVSLNVEATE -FTPGKKTYPARSGSPVSKHMMCLLIVCVMIACVSASLTAPIVGNDEGFACKGLGYVYTIDRPKDVGNMEL -RFTDQIKRDYHCQMKLAKGNCLTKNGITRNVNEPEIDITTETWELMKNIPLCKGDISKRTKKRYLFCEYD -APYQLDNIVTINEEGHRWSCEIQPQELITDDPSVYTLYDDFELHHAVREYRKELVKDMNMAIQNKLTEIV -MPDRCPKHMGKTIASTYCVYDLYDDDLAKFEVHQQQVNCLRTDQSCKRIVMDYIANSFEIAIDEDFKGCT -YTTNGCIHYDHLYYCKVAGTWKQEEGPFEVVERISMTDQECADLIAVITNDTIDSQEKTLYLEEKPAAVY -ESVKRANVAEIIPDNLQMEQIVKIADDQIKKIEQCVGGLCTSHSGNLSFATTRDPKSRCAQFRDLSDFLS -LTEEEAEEDCNMTETKTIYWRVSNPTAMYYMITRELPGLTLDFALYNAVGRRVILSTYCGIWTTCRDVMI -KKDTCGPITGISDIDNWYHEQCVLAVGNIHVQIFGGDLKIETTGEETSIAPSDVIQIWNSKVSWYRTCTA -IPYPYVKMSVSGEVFSTKEWFFQVRPDQYNKPGMARFYPHENNTLEKAIYAQSDDWQYSKYVEQESRTLF -GATQRVFTSEDGTEVTGYEEGFILTIIDKFVMSVGKHIALGTGVCLTVTLILSIVYNKIYDQLRFSDSAF -RRFLADSIFAFTLFILSNLAVRFGLEYGAYIVLTGLPLAKFIFWRMSGDTPKDKQKGSHYVFLSVVFTFW -LSTAFCYMYFYWAHWDLLGKTLIVFFSYSSTLLSTKKPWEHNSYYTDHKTMIRWLNYLADEDPNWQSTYF -AAKNKLMRIAAQTGPEFDKLRRAFYKGNYFETLNTASDKGRTGFYWAPVALPTVARDFVKTEGKQTSLES -LIHSGNTSRIITTGFELEVQRHLQQYTYKNNTTLLGFTSGDKLYLQRHIFGRVEDDVALQKEIAEKIKNG -TFQNELPTPFKILSVEPSDNKMYYTFLIDAEFPEFEFGKSEYGNATLVGKDFKTGKNVIQKTAMTPDNFH -YSDTFAGECGSVLIQHDKIVGFHIGSLTFTSVAPLDCGKQRVNLYINPDGTIRDELKNLDTTKNSGLEPK -IACSGPYFNSVFVKWLSFLYVANKGKSVQAFTHPNPRDFKTVGEMDVNVDNCIDSTWTIVSRTPGITSKP -DYVAFIRTGLTKIVDTPEVLNYSPKMHGPNGYMMIEPLSNFKGRFIDLEEMMSSFDMTSVYEIESSVYDI -ITKPYLGLKMTYFGTNVITNLIHRAILIAFITTIDWLIWHQYKTQGFYKTVTLAYGEMPPVFTDLYINFI -RICACVLLLPAHCLKGQWKFTLVTIMLRAFEYGLGTIYDFDRMLSFLAPSGNYALYLLKSCGLSALLLIY -WAILVLNIGRGFYISFTTDIYGFYMVSQLADQGFLKGPLLSVVSVVFNSGLFSFVGLGVWVLFFITYPFR -NNDSTKIKLEAGNTRLVMNNVAVHIVNGLKQLNLGEKYSDFITWVGKNKNTCNESALFEQISNYVEKFYV -DNPDFISDYASRAYMNDAEIANFLASKHSITVEAARHVSCMHDNLFVLKLISLVSEKDARKFDTAIKDLV -KCKRLELSAILSLMHDNKFIVNSTTEIHLIRRDINAQYMALCKLYKNIAAKKEPTYWLGVFHGLIDKLAA -DDLKINVLDHYLDIVTACQKDLATVESSDAVNEQMIALRNMIDTLTADKEDPKLTNAQKKALNRKINELE -QEYSKTRRDFHKRLTMEEQQRRVDQKRQRFQLTQQRERAAAARTKQHFTVIRNNALLQVANYTYMAAKLA -ELGEDYPTVINNLRERFNDNYSEIMEYLADKFAENPKGARPVYGTDDKKIAQLESDTVKQPGLNGMVLPD -TQAAYVYTIAEPEYGENCSIDFIAPCGAELEHTAKNHQKFACGKAYSTALAIHTNKCPTCLKHFMQGKIP -HPKCDRVCNTRSITGYSIETILCSGCKKCTRCTGLARGAGEENPCNSGMHHGKVCRKTTCRKTCIFDHLP -TITTSVESFQVSKIANVSDINYGYVRTKDGFDIFATFDKKRALVAHIGDNVPKGFIKVKSPAAYRHNTFS -LSFDAVTAGIIYSLLSYVSATTLESLVCRQCDGKATHGCKEHSDQIEHTSGYKDVRPFMYIDGLISDKEY -LNDLVIGYEDSRRDENVFNFGCASCTGKQKQFCAVQNNEFKIPRGMPQALVPLYHHVANNSLKCKKCNGG -IIGEECIFCKGMEIARGEVEGAQDPLNLPGRPDRLHVIKNDQGAFLDLSVNQTSSTSGTINFKCKQSVEK -EYDIYKRLDKSSGFVLKHSLKRIEGKRYLLRHNISPLTLADVYKAILDNDWDTVFRVFECEIFKQSGIPS -PVRVCKIVKWFCCPHCMYFRACLGYVMLNIYLKTYQFCKDNSHEFDFKMVCDNVDLNGVLDFEDFEERKG -DVNICFYEILNRFQKMWSKCGLNFISDFVLQHNPEDVDEYLMDLNIALKKMHKDGHPNTGKRVSFQFFCD -LFHFEELENTKLTDNLMEVRPSSFKTGSEWSEYLNLCKNYKVRYDGNKHIINFPPDKDEKPIVGKYENVT -IAQCERCELSSDATTLADFSNLNTVLSETKVVATEYDPCTNVVCYGRTKAAMPHPPPQGVYADSNLKETL -SQIGFTVKPPGYMLAVEDDPETTLTTNFDYYKTDKTDIHAEMKLYGKNENGDISNGIQFLAYISVQREQD -KIREAGKEKGIKFAVQPCAEHVIKNPNKSSGYPNRLVGNAKTWRTALTDAERDVVVNHRKHAHHQTVIYG -HEKFAITEKVKSRPISGISVTESDAGRALFSPWLESIKAYHKKGKMVIGFNKAHIHDILADLFHQIDPSK -STQAPITWADKEYDLIAIDFPKWDRGLAPERTMESNISIAEMYDWEGHTPEERDGIYKMCMSEYLNTTPS -ITVLDQRVYHKSGGICSGSSKTANGNSYSHLFLDAAGKFDTVCYYTGKNRDLLELRNIVMIFETVPLMRI -EGRHELANRACDILEKYMNIKVLSDDGFSLVHRELTPSVPLILKHYYLYSNYVFPREKWDVTKPSLGPHE -FCSSHFIVIDGRYFMVPRFDRILGSSLLTSKSRRFDPTQRIAILSSLALEVVTLMFDTSKPLRQRGLFLY -ILRHMHDYYVEYETMIHSGEQFTGRTASEDEFFNGLSNAADFWQYMLDYRKYLNIYGLKEIPAELKQFSI -EPPQVLQVEGKVFLPLSQKLLTISRKMSGILRHGHFGFTVRKDGYVKVQALLDFFGISMQDMRNIVQQNE -KQRFKLTEFGREWFVRCNQGHSQQMMVTIMNERVTRGRFPYAIHGTRSECIESILKNGLSRQKRQHIHFA -TPRGEVRQGSDCLIYVDLNRCIEDGIVFEISDNNIILTQGKNGWIPPKYFIKYKTPLKEVFVEEPKEIML -PQEMLVEAYDTCPYCGDRPDMRCNTCGFGACVSHARHHVQTDTDHKVYAYKGLNIKCSICSSSNIFELKF -DKSVGQVLCKKHADSEAMPIFAGDKFNITAQIKMTNSEIERLVALYRKETSRPVKFAISLNLLQHGSVGL -YLEELRSCHEKELADSKDSKHEVLTLIDEHTFKPVGFPINRHNTYYIEQDNTMVVVTPVNIGLSWLVENV -TLKEGAKLHSVRSISSLTKNLSDFKTIPTPTVKKFLTLDVKKKEIPSLIDTSSLNEELAKAYEHARTHDI -TIVQGPPGTGKTHLASFLVRNYLKNNLKVLVTSSSHDAVDNITTVLMRKVKTNLYRNVPREHPERVHCKA -PFYTNQKDCMILTSTLQTNVPPSFHPDVVICEEFSKNTDIALINVLQQKKPIRFVAIGDPCQLQTIHPIE -GIPKDYGNYLLFWCKKKPEMMQKLSQQYRMAREISDLVSDFMYNGELKCKVTKEELPFNRLQLITTHTKT -TSIRGGLYNEGTAKRAVEISSWLREFSPEKSCTIIGDYNVQVNYISHVRTDRTVKVITIDQSQGDEYDFV -IVALSDINQFTMDPNRLNVAFSRAKEGLIIISPNDKIRKYRPFDKIETASQEEEPVIEGDKSQKKYKDLR -NECVIPSTYFAETTSPFDLIAIDFESVTTSDKKYNHIPMGFELGVHTNGITRSFVGKPGLYDKDWKFEEV -KKYFIPPGFKSFKYRIARANSTFDTALSAFVKYVFDTCYFRPIFATYASALEKACLVPVSIFGNYRCTRT -NCEFTPFFYEGSRKEPIPLCNKHITKPKGFVNPVFLETQTERCSLTKAHEKICTDTHTGMAHEASFDATI -TYCRAEKLGMFKIGSPAWDNFEQKLHCKPDLCKAIRTVEKNMLSAIEEPLCDMGCGKKPRETMHHGVDPR -YNGETFENHQCGLNSQYFGNSHYYVNVSDLSKENVYIFGFFKEDNNPLVRKYRGKCYNTFGKRLHCHDMV -CSDIAGYTKENFKSIVSPCRKECSQRKVDLTVPSDVILKNFRCINTVSTSFDRATYSICEECHHEIKEIL -EAWLVIYKQRCPIKFYKYRKMNEQIEGIIRPTYEKEFPIKLPGYEHRTRHLGNTVLKCKTWLNELTKMYE -SVPNLPLLYLGARSETNQAPAADFLAHYFEVSAVDCEQFNSELVLDTHFDGVSTFRPKKLYNYIFSDIYS -PTPDRDFKEISRLVQENLIVGGHLVFKITSQYNHFESITALSSCFENCYLLEPCTGNVSSEVIVWMANFG -VEPIMEQHLQLRYYGYMQELVDRKRKFSRVNSQFRLKLYTVPKITFYEGNSVKFFTSVSPDHWESWKQSR -SKEFNLI - ->YP_009551696.1 pp1ab polyprotein [Yichang virus] -MTLHLTFNRTPKGILDTPLATLFERALPTIIPITCGLLGLFVLRMFRWLLVKCRQRNGPLATTVLQRLCQ -RVYRALTRKNLYYVENLEKKRNETRVNLFLSENSARFDRTHLIGYCRRCHLYGHTTDSKLHGINLEAVTL -AKYCNTFNCTSFERAVKLAYTVAHIRSFEKNPKAFMDFYGHSNQVLPTKIAIAPIASVKDLKMLDTQLGT -TYVNNTVTIPHHYFYAYATYDELVDLVVKLTMAVDYVTIYTTHDMTNLNAANFVVSDTVPTFTNTCDIYD -VVCADMKKLTRDGSVLRMTKAQADQLKDNEDETASTTSAQDPADVDAVDPLKQYNKGKQRKPRQQNQQTN -GYAKQNYRYPQTRGLGYGAQYNTYGYCNTQNVQYANITSPFGTPSMQPPIHPGPDYTPAGFYSSGPNPQT -NTDPETSNLNAAVVTPNGIYDPQPEQTQLTDQFPPQAQQAPEQSSTVISSQGHRYSTKFPWLNVSPLALL -VIITAITLPLCTAQNCRTYHTSQTISVDMPASFSTRDSCMLVHNITERTLSQFNYMLTPQECFSVDGVEW -SPLTRLTFQNTLNIQNIIEPVANKLENYDLHRFVSGDVVPGALLVFKHEFREELEIVRRFYNGILIQYFG -SLKSEGPSDVVVSLNDQSDYYIMTSSKHFDTLRSELETRNQHIVYLIDNEEITCEPPLCVYFNGFNSTVK -DLNLRIRDTIEITVKHTPTGRPVLINPACYDQCECIDIVYKEPEQRIEKHQFSASYYTERSRYFKAYALS -IYDALMDGTLQHQNFEITQHPYVSTGHDDPHCYTKTSQGFCVYDPKLVELNSCVNLYNYTMQCPPELDFC -NQVMQTQFCKPGHVVSTVKTTVLQESCSKGKEVVEHGTPIKPTPCTTYEKVEIFTGDYSTVPADVLLVDE -SKVDSVEEIISVVETQTESYPCSNPHFGNYVNLKDLTAWFDLLDASNSYKHVILPFVHYEHYDISSVLES -VASYMLNCRNYTWYHHFERDSNRDQFRHVPIDKRAVYAYEFSDMSKKDFLDMVAIFFDTVDNGQYKQYSP -ALAPSEGRQHTLLLSIELEQDWIRLNPKQRVCQKLREMFGYCDFNLVDVMFTMPYTLVQHGSYVMYPKRE -RTELQNRFEYHINRMKDFANTIYSFAYNATVNVTLTMPSLPTVNLTLPTMPTVPTVNVPNPMTYLSNWYQ -AVIQPHINQLSDIYVGYDRAYPRMATYYRDYIDRIDESELCEVLHHADTVVMYRDCIANNMGEVILEFPR -FGPTAFDFQTKVVKNLTRENLKLFITAIGYTYREEVIMLYRPLFTDPYQVVPSYHIPNLVQYCFTEEPNQ -IRGTPFSADNTQCFPKLTGLRDLDQFLSCFVNYQSVIAILLVFFFVPMIMRTFRYETLLWTRFGILTTIF -VVKYLHTILRVDWMFGNLLWWITSVQIVPNSLTGIKVVTHFVYIIALLSQAYTTLHDLQRTWDIKFMPAL -CLTYVELAFYGFDFYQKYFLTNVFSTLLLGILGTGVLYTFRDALKPAHKRKYVTLQQAANDWCAFRDSQR -TPTDSYARTMCQKPFQDCIGSLDVEKLLKADYLLQCYNNTVGTTFNPKECMLIKQFNVNEWLARNTTHLA -ENRSQLQNQNAYTNSSQRYVVPGCTPEMNPKLTFLNPTTQVRSILYGAIVDGYLYIERHMFGGDKETFLK -NYADGEGLTHVSPLPQSIYNIAEATLYKTMIKVPLHKPQPSPFKKHPDPNKYTGPVQMYVDTRIVHGFIQ -EGHHDICTQNGDCGGMLFTLNGEFLGLHCAGSLDVVFADNKNPTIWTGYKNPHPSEIMITLDSDINLPGD -TTDYDFTSTKVIYKHPLRAVVPTLQTLQHITNSSNEYYPYDKLLYRDFSITEEDYLKHKLYVNYPYFVNN -FKTIINHTLGSNSLEFCLKYGIKQIQFVHRQLQNHAAIEETCKNTWLVLSLKVALFLFMSYAHYYASGDG -QAPTLRTIMCGLIWLLVIALQTYYPGLTLVLYITEFIIMTTQLYHYALFIGLHFGYFQLWPVKGELLSLR -SFFSQIKEAIVYYTITNQNTTEEAPQRALALRLGTKENLCAHLSTVLKILKPNSAFSKLTSVTNELDDLR -ATWGSDPQASTKLDRAITEIYALYPVLFTILTKVTDYDTQIQLLASYIGERGDFDLDNFETKYQGDLAKA -GYTTIERELVEESDEIVNTIDTPDMQTSLTLVSKAKEMQTIDISTIDDQRLPELITTLINYTEDITKVDV -KLINTLADRIVCILQALRADKDEILKQDFAEYFLQLRKYKDFFATTVTGDMRQRNIVGNTFSRIIAQLSR -CVNAQEQTRAQIAAAAAKIRQKESSRLIQEANKNRKLQRQNQNIAVAILHMVHACLANRFMLQNQVSINN -WASILPRIAAQLRPLGIETLTNEDDSNVLITNEVQRSDFVTLQSTLWTGNVYAVVTSICGKTPFVCSTDH -KHSHYNCNKSLFPVWYKHVSSCQECMFMYNNGTHPICGRRYDDEIVKRTKRPTYLNFLERYRSCPDCIPC -TTCVVNRDTHCDSPSYHFEGDETAPKMATNQSYLTPVTINPAKLEYNTSPGKIDACFNNKPIVTRFTACP -VSVPAKWRPLTRIRSDPDGFYYVTENCPNDISILNATINAIIQRLGDIPPLPADDSSRLDPGLIENQALA -EPENYATFTTTLSSTELAIKLDEHPILVNVILNKDFQTQQKQLVSELHDICPSAHILCYYDAKASVNVIN -YYVNHVSVSRLPLTDEKGMATAFDTLSALYENPPDFLRWPQALSRDESAARYHQLRVVTKPKKIHYTIDR -SCNKTSRTFGTPSGNVNVKVKSSTQHEFTVYQHLINQNADLFILAHEIKIQKYFVYLYRHNITSISVADI -YGIIKYGDWKPLYNALREVTWHNIRTDIIVSIQEHTKRPQHTCCLLCRQFLAELGLFMHKLHDRVFQTTK -SMYRFYNFVLTADNVDLNGILDFEDYIHRDTVIEPTELAIVKCMAPFYQMLYSFYEHVGMYFISHPIYST -SAITSDNLLAEYENVVLAMRQDPSSLTTHIQRPPITEFSKFLHLDTYAIAPTPIYDSFADIELFERDSRI -PEKFHVAILPVTREYVDCEGFDQYDQLTDTQQHFRVDYDHMNCLTKHFWSLLEQYNITVLSLYIKNHPYR -DYYHKQNLRSCLNIWVHALYDANVNISHFDSLNYEKTQRATFPIVGEVIAKLPSDCELCSSKIPPDILSV -YDFGSNIHAKAQLCDGYGMERKNPLAEFDTALQKIGTFTENSDYAYNMKTQPPLIIDNQFIKNLEDQGLN -IIVPPERPNPAIHDPECTYHSEYYIKTPSLGSIKEDLELFNQNTAGSMSPTVMLMALDFLNQLLYDELLA -ADGAPNCPYVPDEVPIRNKHKSAGTPYRKYGDSEFMRNLFDEYRNPLVHHKRHSADQALTLTINKVSISA -KHRDRTILAISILKSEAGRSLFRRYLEKIKTMANAGGSILIGLIAQYGGWDKMYKNLYADFPVDDPKVLL -GGKDYPKWDRRISNLLQLLTTTTFFNLVDPVTQMEYNNATPNETWHEYIAETTNIMFDYLVFGDELYQKP -GGVTSGNSRTADGNSLLHLLIDFYAITMQLIQSTADNFNVERDVRNRLARIALEVIPSEYIQDPQIYTQP -EILKYIRRNIAKGAYLSDDGLLLHRTDLIQYNDFMHESLLLSTYDIPNNCHKYHQDPVNRYAREFLSQDT -FQFGDMAFPLPEFGRIYTAMVLSDNNNSNDPQINITRLMSLYAFLYCYYFKYADNPNHKYIKFLDAVRKY -LDEHLTTYDDTFLDCIPLQIQESEQVFNFRNCDMFENFDHLWGFDQSNAYEAYLMKYRHRYPRLSQYKLA -YLYELQPEAANQLQNESQYILTFCYVCGGNAYITCAICYKSFCNSIDNNHGSHLEQHYYLSHHERYTVNS -RAISCSHCYENDISKLYRVTPEKYACEQHKPANASALVTPTNTATPKHINLCVVRSPATNIYEESLRAYN -ETHRDFQLTPQQYSTAVYRLLQYDYTLRDSQVDGHIRCTMKLCSYGIVRPYYQLVMSLTHKESKALDDYT -VDIPVLNSYTEHTNSSELTILEVPQVYKFDQHSTYSLVTPDNREIPIMPQYHNLSVTKTHLWSLDLPPTI -PPKIIRQKRLNILSTILRTSTRHIPVYLKLLMSWDTRLSTMDESYPLCNPQYFKPSFIVPRINDVLSLLN -KRRFQVIFGGPGTGKSTLLAHLVHHVHSENKRVLIYTPSHQSANSLLYKIADIFQQYRVSKPGLVRITTE -GMKDLKLKNHPAITYRDSMHMNDRIVVTTIQSYSAVNFIEKIDLVILDEFSLTADSYLVAGFAHFKPRTR -VLFTGDPRQLSTVDDVRRDLDPRFHTLINFYTETFPTEVYVLREHYRCHPDIFDMFKNLYYKDKDMVCKT -TAEQRAIRPYMPVNTIHVESCSYKNQGVYLNNDEREQVQKILRDVVEVLQYCPTYTADGRSVPKTIAIIC -SYSSQLQAFKAEQRAGNIPSDVNLTTIDSAQGDEFDIVILCLTEINNFTLNPNRFNVAISRTRSVLFITV -PKVQSMYTLRYKELYTELYLRKCFESFSMYNTGHSMEYFEFQNLLQNHAQPTEEYKPSDYINLRDIHTES -AVTLPINVVQSDYIFFDAEFLNPHVRTSEAVNIAFGFVHGNSTRSVSGTPRRFVKNRLGHIKPIEWPYPS -GRDPFRVYSCHWLNKKPEYLAALRKLVDQGLQFGSHVDLKHLLVYCRDNIHVRPVIVTYSGKTDLTFFKA -YTLYPPANTTCSVIRCLSSPIYAAQNDLSTQYLCSYHAQQLTYIELTHFVNLETIDLSPVTSDGVRGIKV -THNNKLLTHVEIPEEIPTNKLQDLHDHFCKSGHVCTAHDPKDDALMTQCLYKNFIYPNIEYIINDPQGNM -KYFLSTKYRLKNFNPSLCTIRRTLQQVWYNKYKQKFISHCNMGCGKNTLDFAVHNVDITRGKDPQNNMNT -HICESEEDIYFDSHWYKITPYTKPSYIFYDNNPEHYYSIGNTGKLLYLNSKYAIYQHEVPSLLGSDVFPE -YFTQCTTEVVFHTMLTPTNKSLPKCYKPATKNGSDVFVGTVCTEHNLQLDLIDQIYQAIEYGYRFQKIEP -IPDKASINNHTLQLVPHSFDPTTLEIPGYTTRPMPFSNHMELKAKGVLHMLQDAMIYTGIALDPTKPVIN -AGAAGKLGNTPMADVFAKYLTQQVIINVDPRLVPNKDNNNYPITIAEMYDIGFRTQLIISDIHNNDSPWL -EDLFAYADRYLLSEGVLIFKLTSRIATLDNLQLINSYSKGFTYVKVLNLGVVPSSSELWVIFANKSNPPV -NGWISHNLIQDLRQHWYKIQTATEPIKPNYQIFKLSKFKYIPKKS - ->YP_009666297.1 putative ORF1ab [Ofaie virus] -MSYYNMAMQDNPAMARDKTLDDETFYTRLFQIWTPLTIFLLTILYLIRDHIRNPLNGPLADNIIKKLLQR -LHYLITRRYYYYQEPIFTRDESRVHTILTPDFARVDRVNLSGYCSTCNLYGHTKNATKHTATADAIILAR -ICKILNYKHNLLNVTGYTVHNVKAFERNPKHFVDTYGSLVDKIPCKYAVAHKDDLIDLKTCEANLGTFYI -NNTRAVPHMVFMAYTNEKELNNLVANLSLVVESLMVYTKYDIPDEPTMMLYKSTEQLVFSNDIDLMLAVT -NEMKEIKTPWFFRTKRPEKDKECEKDETQTNIVLAPQTALLENSIKPRRNHKIHIRQSKLAMGPAFMTLL -CLCSIFNPITSICTDYTLNSDAKQICSNVRNLTGTDYMKYYNFEQALNKCFSVDGITYEDLPRLSIKHPK -LDLSSVMHAVPLQDYILQAFTGQLPIGTYVVSRLDTLLDLQILADFYSFDAIVYGTPSATDSIEGRIIQL -LNDTEYDCHMPSCILFTGLDKTPKDISLKIGRTRKRVTHNENGTPLIINPLCVNTCHCRVELAKPVVTQP -KSLEPDADYYLDLHYFQQYTLRIYDDMLSGVLYYNNYTLTRHTYKADNCMLTTNLGFCSYDPQLFNITTV -YNEHGNHMQCGYNHEFCEELQKEFAQIEIPLETPMVVNTANPDCLPLYTYLNTYNFTGAETLLKLLLNGL -KNDETTSLLIPVVHSTLLNFDLLIDYMQLCIEGSVTKLDSFELNEINNVTLFKQYVHDTYGASKIVYIKD -IQDLNCDYAKAFFPYLDHYEPAVKGVLTVSTYNIKSLEGLTVDTYMQKHFSDCMHMDYAQPLYVRLSENI -LLAPSEFDNTYKPIKLPTYSVYVNTYSFYSDYLKVLFEEVNAKSQEGLMECLILLKTKFLDTVYSAIQHF -YTVYSNFYYSFMDMCTRFNNRKPIRRDFYHQSLRSFDVGNVCEFLHHSDTIVMYQDCVRAELSDIYVINL -RYGTTPAFDYHMYKLETPFTKQTIIDMSNAIGFQYKNATYNYFRPLYTYTGEYVMTVREDKLQYCKSDKV -PIPAFSPDQDLTCYAYVVGSQVIDHFIANFGFLFILYVTSIVIVIAVAITVKEYTVVMIGKLVFIFIYAF -GPWLLTPSFYLSTFFSALYNTMPFVVNTSYGALLIVGCVTVVVIDLVSTTLQIRTRNDFARNILQLVTLL -FEIIAVIYYIVMPYLFTGYGLALTIIACFVAYRYRQSQLPNYKKSYVTNKVAHQDWVKYRNSIRERTDEA -AKSALRRTVNTSLENADAESMQRVSYLINCYLKTVAVPHFDPNLSFHVPSISTSALFSRDSELMTGMQNY -SDTIMTNKSAACNPSIPHAVLNLPVEINPLITFSVNNYTSGLRGAIINGYLYIQRHLFGDTKKTFQECYD -NGRGLDRCKNLERSKYDIESTVIDGTLIKIPLVDKNMVPHIKVHPNPLSYTGPVTLYLSRYDDTKKEDIL -CVHTGFISEGHHDIRTIFGDCGGMLFDQAGNFLGLHCAGSGDVIFQDKDGNPNIWTSYRTKHPSEIMITI -NNEINIPNPTNYDFNSTKVIYNHPLRKISATLETLQHLTNPTNLKMAYDPRLYNDFNITEEQYDLHGYYV -DYNDFVNNFNKYVTTTIGSKSFELCLKYGITDRNNVTYRNQSTAVEHYVQPTCANKIGLDLIDLLYMLLY -VCIQTHPIFYVTAFVSFVISMSKIRKSYKTFCVTLLSLIPYAYLRFWPITTGLVYIPLKLCKVIKFTDYM -PNVNFVIRNKNLKIAKELSKELGTKKNLCIHLSTILKSIKPYPAFSALTNVVNEVDDLMATWDDVADAEQ -QLDKHIAEIYKLYPVLFVVFEKTNTYEEQVKIILSYINETGHFDMNGFEIRYDEQEHTTKVNDSPDYINL -QTALVQKQDSLVALRNMHGNFDINEIANANIAELVRYMIMCTSDDTLDRDLLAQATDKLVSHIRQIRADE -DQCENLVSILSEVIKHKDYITASCLTATPKDKNYMLNCFVRVISQLNRVINMQTNHKIAEAKSVEKERRK -ESNQIMEQNNRLRKLQRQNQSIASAILHMVHACFANQFMLQNESRKVMKALAGLNVDLDPTELESEYFTA -DDVNITNQAIQTKFTTLNTVLWAGNSYQCVPSMCGAVDFTCDKQHNHGYFNCSMAIGAEWYEHVENCAKC -RSYFVQNKHPRCGQVYPSDLKRYRTLSNFIARYHSCPQCLPCTSCVKHREPGCEVQCYHPNDTQVRNQAY -LTPSNIKADNLLYECIDKSVGDINVVYNGRIWLMRRATKIPTPNRYRFISNVKLSNLDPVGYYYISDVCP -TELPLLHAMIHQIQLKLQDLTNIHNESVVEQQVVDSTKIYDTPLTENILNDLRDTHLYLLYIQLKLEDEP -LYYELLNALKDLPVYIVLVLSESITDNCAKFYVNYQQVDRRTLTNETSTAMALKIRNIVSNPTGFSLWSR -TLGRDVDVTRYHQLHVKTAHGERYTVDISCNKTSVTYVNSQAEHINIKVKKHIQKEYNIYEHLLNQEPDL -FLIEHTFDQYPHINTLRRHNLTLLSIIDIYGVIKSENWTPLYLALTQVNHHKLPLELLQNIKAHTISPQH -TCCLLCRRFLAELGLFMHKLNKRVFETTKHMLKHYNFVLTADNVDLNGILDFEDYIPMQQNLELKDILRK -MQPYYHTLYSIYEHLGMYFISQPIYNRPITEHDDLITRFEEVIYKIRNTPLDSKFDDTLLYRPTIQYFAK -YLHLNTYAVEPEPLWNIYDNIDSPNITIPGLSVDDLSKIILKPTRPYDDYIDLATTDPTLLDGDVYCRID -YQQISNLTFLIPKINENAMLIEIYIENHIYELNAYNHMLKVTLNIWLANMYDANVNLSHFDSINYHNNGS -CPGKATFPIVGEVDATLLHECKICSDLIPNDIKSVYDIGTNIHARAQLEDYKPPRRVNPLVEFDTALLKI -GTFRPENDYAYTMKTSPDHIIDYELKQYLAKQGLTALIPPLNLNPAVHDPSTTFTSPYYIKTPSEQSIRE -DLNMYNQNTAVGASPTVLLMAVELLHQLLTDTILSSDGKPNCPMVPSEVPVRNKHKSSGTPYRKLGDAEF -MRDVYGEYRDKLVYHKRHSADQALTLVINKVAISTKHRDRTILAININKSEAGRSLYRWFLDKIKATANT -GGPIVIGLVAQYGGWDKLYKNLYKNSPIDNPDASKHVVIGGKDFPKWDRRITNMLQLTATNVFFNMIDQW -AQQIKNEATPQQTWHEYFSETTQVLYDYLVFLNELIQKPAGVTSGNSRTADGNSLLHLLIELYSIIVQLI -QSDPDNVGLESRVRSDLCKTVFETIPSDYIQQPPLRKTNVLTHIRSNIAKGLVLSDDGLILFDTRIIKYD -DFMAESHMLSKYSIATNKHKYHIDSVERKAREFLSQDTFDFGNMSFPLPDFGRIFSAMLLSDNKNVLDPK -IYITRLLSLFSIAYLYYFKYYKEVTHPTIKLLDALRSYIDSLLETTEEQFLDCIVLPDGDAELDLRNCDI -YENFDRLWDLVSSSAYIEYLSLNRHRYKRLSLFGQQLLQKYDQTKLANEHTLRNQGTYVSYNCYVCGGTA -YLTCATCERVFCNSIDKHHGSHIEQHLQYSGHDRLYLNCKLVRCKHCYITDINKLYTSGRDLYFCNSHKP -PNAVSLITNNEDKLLPPRLFLCVIEPKLVSFYEQCYRNYTVMHPLYPIAYDKFMSLVQTYLSLDYQYSNT -LVANRIRVCMRLASFGIVRPYHQLIMQLTKIESKVIDASVIDINITPTNIQEVGTCFIEVPQEYKLDQHS -TYAYLIGNREVVFTPTYHSLSLAKTSHIWKTDIIIPNYCTYIRQRRLNTLSAILRNQTQHVPEFTRLLLS -WNQTLPITARQFPVYKPSVTLSAQPNVVENINILLSELNEKRFKIMFGGPGTGKSHTLSILINHLHSNGM -RILVYTPSHQSANALLFKIANLIRKRGIRDAGLVRIVTDGMKEEIKPHPFITYRASMCDKDRICVTTIQS -FSTVQHVKDIDLVILDEFSLTSDNYMLTGLSHLKPSTRCLFSGDPRQLSGVDELRKVLDPRFHTLINYYT -ETYPKEVHVLKYHFRCHPVIFDFFKGLYYADKDMACSVMPEDRLIRPLQPINTVPVGEPTYRSQGVVLNQ -DETDKVLDILVLITQTIHLHYSLDYQPTVAIICSYKSQLQNFMALQQKNLVPDYVKLSTIDSAQGDEFDI -VILCLSQVNNFTLNPNRFNVAVSRARSVLFITVPPQDRSISFLYKDVYKTLITKSHFKYFKIYNESGRLI -VPQDNTVLLRNHSTNYINIRNLAGDSHKLKRKYPMNVIKDDYIFFDTEFLNPHDAPQEPVVLSYGFTSVH -GSRRISGTPMRYVKDKFNNLVPTEYKFKDYNKPLTSTYVTNWLRHRFKEQFDHLNTAVKFGISAKVLVNA -KHMLNFCINNIHVKPVIVTWAGVSDHKFLIANTLYPPIHQTCNIVPRCNSPPIYASPQGCHTYYLCSYHA -QLYQENLNITHFVNLEIIDLTVSTNKQDVKTLSVTHNNEVKLILTLNEAPKSGSLDSIHSHYCNTKHKVI -TPHDPLDDAIMTRCVFESLVKSQFDKLLYEQKANLTAFLSMDYRLRNFDPDMCKLRRELQQYWYQIYTAT -TNKTHCNNGCGKVILKDALCNIDIKLGRDANNNMNTHNCNAQEEIYFDSHWYKTTPYTKSAYVFSDINPK -HYYNLGTTGLCLYLNSKQAKYCHPFNMVIGTDVFKTQYYTQYCDLGRLTHDYTIKDSKSIPDCILLSSNV -NCEFKNLVCNVHHDQLEIISKISLATDYGYQFIYVEPNNTLTPQRNIQRAPLDWDHTQVELLANTKQHIS -NAGLIKIKAQEILHMLQDSMLYTHKTHLNPNLPLIYFGAADFNGVPVITNELQTYLKRTNFINIDPRLTQ -IDKTNHKKTIKEMMDMGYNTELIIADIYSSNYMWIPELVEYTQKYLIENGTLILKITCTCDDQKLHLLDD -LSKNFTYVRVCTVTATAHSSELWVVFANKRKPPVQGWIPHDLRNELRKQWYSMMHSPIIPKYGRVCEFKY -SPK - ->YP_009666293.1 putative ORF1ab [Kadiweu virus] -MSYYDIAFKDNLVIQRDQKLASEATYIMAYTIWFPAFIMTMALSVKIYQNVPNPLSGPLADNLLKRLVQK -IHFFFTRKNYYYQEPVFTRDESRITVFMTPDFVRIDHTNLIGYCNKCNLFGHTTSTSRHTNVIDALILAK -ICKIRSYNNQTRCIKAYTVHNIKAFDKNPKAFFDLFGTPITKIPSKYALAPKHTLVNLTTIETNLGTIYI -NNTIENPHLGYIAYENQEQLDTSIATLSMVMENLMVYTTLAVPDVTSMNLLKCEEEPVFTNNIDLTLAIQ -AEHKDNHTNWLLKAKKKDNKESAESAAETSPRTKNGHVKNGKETQQKPTEKSNPQLKPQTTLLQNTLAKS -NNRQRKGHYLTFGPTFMTMLCVIGLIQPGLTICTQYLPQRENDFIPCKYVSNLTSEYVQYHYYHVTQQAC -FTVNSDQYTDLVRLNMEHPHIALPVIEPKPLDNYILEAFRGVLPYDTIIYSKFSTLQDLQILMDIYDYDY -EKVLFLEEDAKSLPMDYKGKIVQTLLEQDSFVCELPKCILFTGLNDTTTDINIKITERLSRRIPHAPSGL -PVKVDPACTHKCYCKIEEESVKPQEIVKPTLVEPPTEFYLNTRYFQQYELRLLDDFSNNLFQYNNYTLTR -TVYKADTCYVKEPATDFCLYDPALFATRRVVNKFGNHLECGINHKFCEDMQLEFAPQHLTTPTVQDCDPL -YTFLKEYKMDGMPTNMIKKAIKDMHNTPTGITLVKHNHTTMDVMKLAEFMQYCINKNNNYTVTARSFFFD -YPDVSSLNKFLHNFTATTNVVLINGANGIPCEKAEAYNPFTDYYGKTKSTNTIFLFVHYITTPIERTSSH -HIYEWYARCPKLTQTKARNLARNMVFNLLDLPTDYSFKTPPIRKIVSENVYVHYKDMFYNTVKHYTSYFT -AKFNQKHETVKDYILETGTIITDLTLDTYNALLNMILYRYRNFNNFIVDMTQNYNNQHPQRQDFYHQSKR -AFDEGKICEFLYHSDTMVLYLDCVKDSLDKIYVYNLRYGLDVNEFHMYELKMPFTRYTMGELSTAIGFQY -KGENYHYFRHLYTNPGEYALTIRKKELEFCKSEIIPTPAFTPEQPLTCYPYITGFQALDHTINDFGIHLL -FYIMASSMVVAIAVNIRDNSYVMVGKLFYVFLNTVAPWMITPNFPGSRFISWIYGKISVTNTSCELVLTV -CILIVTFVDVFAYCIQFGKFMRNDFNRYVLVHVTLIFECVYVSYYILIPHLFTGYGMITAIIVTYLSYYN -YKRQLPNYLQSTVPLAVAHQDWVNYRNTTREKTDEAAKAQIRRLMNVNITEVDSNKLRECVYLAACYQHT -VSNPTFNPRHALHIPSVSTSIMFARDSEVMSTIQNQSNTTIKNHSAASNPSVPHLALNLPVTINPLITFS -TGKYDSSLRGAIVNGYIYIQRHLFGETKKQFELCYNNGAGLDKCKNLERSKYDIDSAEIIGTLIRIPLIN -KDSVPKIVVHPNPLTYTGPITLYLSKYDVELQKPVLCMHTGFVEEGHHDIKTVFGDCGGMLFTQEGQLLG -LHCAGSDDVSFQDIATGKPNIWTSYKTQHPSEIMITLDSKINLQNPENYDFSSRTVIYTHPLRSIRATLE -TLQFLTNPTNTPIAYDNRLWSDFRISAEDYKRCGYNVDYKTFVNNFKTYTTTLINGTRSFESCIKYGITD -KNTTYRNKSKISYNYVPNPFESKSTILDNTLDLVYVFIYMFTQTHPMFYVAAFMIILVMILKINLKYKTA -LISFLCIMPHLYIDHYFGLIYLPLKIRKSIMEYLKITTTAVATIYTSKALALRNQNLKIAKKLATELGTK -KNLCIHLNTLLKCIKPYPAFNNLTQVINEIDDLMAKWDDTYDAEKRLNQYIDEIYKLYPVLFVVFERTES -YDEQIKIILSYINETGHFDLNGFEINYDEKEHTTQIIEQNEELQKLQLRLNTQKVSLNKLKNMNSKFDPS -TINNANIAELVRYLMIGSTPDTLDRELLTMATEKLVQHIKAIRIDTECDTDLVALLSEIYKHKDHITQAF -LTSNAKDQNFVMNNLVRVIAQFNRQINAQAQQKYVEARRIELERKKESRVIMEQNNRIRKMQRQNQSIAS -AILHMVHACFANRFMLDTDLKNESLKIIKALIDTNLELDPTEAEHQYLSTRMNNQAITTNFTTLSTVLWT -GNGYQKVPSMCGAKEFVCTKQHNHGYFNCTMEIKDDWYAHVNECEKCKRYYQQNKHPRCGAIYSAETKRY -PTLANFINRYRSCSHCLPCTQCLSIREANCNIECYHPNDNQIIQNQAFLTPVNVKADSLVYSYVDQENGD -INAVYNGRIWLMRRTNPIIPPPNRYRLISNLKVRTYDPEGYYYISDVCPTDLDLLYSMINQLQIKLQERT -SIHNEAIIEEEPTITPIVQQKYTAPLNGVMLSELREKHRYLLLLKIKPNYEHIYHELVHELEVLNLPIHV -CHITYESPTLTNHATMYINYEQVWREKITGDNIVKISKHIQSLITTPMDFQHGHVQLSRNSNVARYHQLS -TKDRYTIDISCNKTSVTYIPNGKVINVKIKPLIEKEYRIYERLYNLNSELFLTKHTLKEHPTTNYLLRHD -LTALSLADLYGVVKSENWKPLYDTLPQITYHQIPKELVLQIEKHTSAPQHTCCLLCRRFLAELGLLLHKL -NKQVFSTTTTILRYYNFVLTSDNVDLNGILDYEDYVEIEEEHDIEIKEQLRKMQPYYHTLYSIYEHTGMY -FISQPIYDRAIENHDDLIQRYEEVIENIRTLTLDSKFEDTVLYRPTIQHFAEYMKLDHYAVKPEPLWKIY -DTIKSPKIEIPGIDNVAIIIKPTRPFQDYVELNHENIKKFDGLTYCKINHRELYNIQDILCNLPPEATIQ -ELYIVDHPFEIESYQHMLKVNLNIWLASMYDANVNLSHFDSINYERTKQVSFPVVGTLDATNLHDCEICQ -DMIPPDIKSVYDIGSCTHAKAQLEDYKSPRKINPLVEFDTALLEHGTFTPENDYAYTMKTKPDHLIDLEL -KSYLDKSGLTALIPPLNPNPIIHDPDKTFSSAYYIKTPSEASIQEDLEMFNQNTAAAVSPTVYLMATELL -HQLLTETVLASDGNKNCPMRPSDVPIRNKHKSSGTPYRKYGDSEFMRELYGEHRDALVYHKRHSADQALT -LVINKVAISTKHRDRTILAININKSEAGRSLFRWFLDKIKYTANTGGPILIGLIAQYGGWDKLYKNLYKD -SPAENPETAPHVLLGGKDYPKWDRRISNMLQLTTTNIFFNMIDQLQQYQHNNATPSETWHEYMSETTQIL -YDYLVFGNKLYQKPGGVTSGNSRTADGNSFLHLLIDMYALIVQLIQSVPENVDIEAPLRSQLCKIALTVI -PSDYLEDPQLRSTDILTSIRTRVAKGAYLSDDGLIVIDTRLIRYDDFMAESHMISKYLIANNKHKYHMDP -IQRKAREFLSQDTFDFGDMSYPLPEFGRIYSAMLLSDNKNTLDPQINITRLLSLYAYLYIYYFKYAEQPT -QNYIKLLDAIRKYIDERLETTEDQFLDCIKIPDLQDVELDLKNCDMFENFDHLWGFDLSDAYIEYLHKYK -HRYPKLSIFKRQILEQYEQAKLVNKNILHNKGTYVTYNCYVCGENAHITCALCERVFCNSKDSTHGSHIE -QHLQYSGHNSLYLNCKTVKCRHCYVTDINNLYTAGKDMYYCLVHKPTQSVKLLNNNVNEKLPPNLYLCIT -DSRPVPFYERCYENYTAIHPDYPVTYNNFMSLIQQYLYQDYTLPQNQLANRIRVRLQLSSYGIVRPYHQL -IMQLTKIESKVIDASVVDIPITPTNSLEIGTCYIEVPQVTKLDQHSTYSYLLGNREVNFTPTYFRLSNKT -THIWQTDVQIPTSCTYIRQRRLNTLSAILRNTTQHVPEFTRLLMSWNQQLPIAARPFIEFIPTFRIPAQP -TVAENINILLNELNVKRFKIMFGGPGTGKSHTLSILVNHLHEKGFKILIYTPSHQSANALLHKIASIMRK -RNIQNPGLTRIVTDGMKEELKPNPYITYRASMLDKDRICVTTIQSFSTVQHVKDVDLVILDEFSLTSDNY -LLTGLSHLKPSTRVLFSGDPRQLSGVDETRKTLKPCFHTLINYYTEIYPKEVHVLKYHFRCHPLIFKYFK -DQYYADKDMECKTLAEDRIIRPLKPISTVKILDPHYKNLGVVLNQDETDKVLDILVLITQTISLHASYEF -QPTTAIICSYKSQLQNFQALQQQKILPEYVKLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAISRA -KSVLFITVPPIDKSPHFQYKDTYNKLLETEQFEYYKIYNEGSSKILLLDSPTTLRNKATFINIRNLAGDT -HKLQTTFPINIVMSDYIFFDSEFLNPLENRQEPVMLSYGFTHENNKRRIAGIPMRYVKNKFNILTPIQYN -FVDNDNPLTSTYSCNWLKHKDPSQFRHLSFSVKVGIKNNTTVELRHMLNYCIDNTHVKPVIVTWAGASDH -CFLKANTLYPPISQMCNVTIKCKSPPIYASPQGCHTYFLCSHHAQTLKEQINITHFVNLEIIDLKVEKNV -KTNETTMNVIHNGNHKLTIGLQNLTSNSLKDCHAFYCNTRHAPITPHDPLDDALMTQCLFHSIVRTKFEE -IVYEEKANVAAFISMDYRLRNFNPEMCKLRRELQEYWYKKYSATTKTHCNMGCGKDKLTHALHNIDILQG -KDPQNNMNTHTCNSEEHIYFDSHWYKTDSFKKPSYIFSDINNDHYYNLGTTGLSLYLNSRLAKYIHCFNP -VQGTDVFKTEFYDSTCSETRETENFVIKDTTSIPDCIIHSSTDACAQNFKSFVCTNHIGQIDIISKISQA -THYGYSFVKVTPTTIHNQSNLKRAPPTWDHTTLQIPGYEIRTPQSVGHMQMKALGILSMLQDSMLFTTKT -KLKPNMPIIHPGAAGHYGQTALANEFKKHLKQNHIINIDPRLKQENTTNFKKTLKEMLNIGYPTEIIISD -IHNNKVEWITELCEYTNKYLLETGTLIFKITSRGATEQALELLQKLSEQFTYMRVCNLNAVTRSSELWVV -FANKRKPTVQGWMPHELRNELRKHWYSMTQNIITPIQRHRIGTFGYSPK - ->YP_009505590.1 pp1b polyprotein, partial [Dak Nong virus] -STWARSLSRNSNVARYHQLCTNTKYGTRHTIDISCNKTSLSYIDEYNQTVNVKIKEHIVKEYEIYETLIN -QDSNLFLIKHKLVHATIPHLLRYNMTALSFADLFGLIKEENWHPIYDTLPQVTYHKISNDLLIKIKSHTP -SPQHTCCMLCRRFLVEFGLLLHKLNYKVFETTTRLLQGYEFVLTADNIDLNGILDFEDYIPRAEYFVCDV -KYQLRIMQPYYHTLYSFYEHAGMYFISQPIYMSEVDPSLDLIHQFELAVEAIRDLPLDSIFDDQPLFRPT -IQHLTQYLKLNLYAMEPEPLWNCYDTMHCPLIELDGIDNSTTNIIVKPTRPIPEYIELNHNTVKTFDGDI -YCKLNYNEINNLQDILYSLPQNATILELYIVDHPYELESHNRMLRTSLNIWLHNLYDANVNLSHFDSINY -EISQKASFPIVGTVPAILLRDCEICKDTIPDDLKDVYDFGSCVHAKAQLSDYKTPRKLHPLIEFDPALLR -HGEFLPTNDYAYTMKTKPDHIIDLELKEYIDSTGLTALIPPLNINPSVHDPETTFSSPYYIKTPSEVSIR -ADLELFNQNTAGSVSPTVFLMAIELLHQLLTEEISASDGKPNCPMVPSEVPVRNKHKSAGTPYRKFGDSE -FMRELYGKYRDAIVYHKRHSADQHLTLTINKVATSKNHRDRTILAISINKSEPGRSLYRWNLDKIKYTAS -LGGPILIGFTAQYGGWDRLYKYLYKDSPADNPATAEYAVLGGKDYPKWDRRISNMLQLTTTTVLYSLIDP -NMQEKLNNATPSQTWHEYMAETTQVLFDYLVFGNELFQKPGGVTSGNSRTADGNSLLHLLIDFYAIIIQL -IQSTPENVHLHAKLRNSLCKTVFTTIPADYIDQSCVTLRKTDTLHIIRQSIAKGTYLSDDGLLVHDPRII -RYDDFMSISHLISHYMIAQNKHKYHVDAIKRFAREFLSQDTIQFGDMAYPIPEFGRMYTAMLLSDNKNTL -DPQINITRLLALFSYLYIYYFKYEDQPTHPIIKFLDALRTYIENKLNTTDEIFLDCVKIPDLQDVEFDLK -NCDLYENFDYLWGLDQSSAYMNYLCKYKHRYRNLSLFKRQLIQQHELTLLHNPTTLVNKGKLITYNCYVC -GENAYLTCATCERAFCNSADTDHGSHIEQHLQYSGHTCLYLNCKTVKCKHCYTNDINLLYTTGREHYCEA -HKPKHAARILNSNVNPKLPPLLYLCVTNPRPVTFYEQCYINYTKDHPMYVISKQQFMGLIQTYLHQDYTL -PINQLANRIRVSLQLSSYGVVRPYHQLIMQLTKLESKVLDSSVVDIPITLINSQEIGTYYIEIPREHKLD -QHSTYSYLIGTREVSFTPTYYRLSSTNTHIWQTDTQIPNYCTFIRQRRLNTLSAILRNTTQHVPEFTRLL -LEWNQQLPITAKPFAVFKPSLKIPAQPNVTDNINILLSELNSKRFKIMFGGPGTGKSHTLSILINHLHEK -GLRILVYTPSHQSANALLYKIANLIKRRNIQNPGLVRIITDGMKDEIKPHPYITYRTNMLDKDRICVTTI -QSFSTVQHVKDIDLVILDEYSLTSDNYLLTGLSHLKPSTRVLFSGDPRQLSGVDEIRKPLPARFHTLINY -YTETYPLEVHVLKYHFRCHPTIFQYFKDLYYADKDMECATSVADRIIRPLNPINTVQVSEPTYRNQGVIL -NQDETDKVLEILVLVNQTLALHSSYEYQPTIAIICSYKSQLQNFISLQQQKIIPEYVNLSTTDSAQGDEF -DIVILCLSQINNFTLNPNRFNVAISRAKSVLFITVPPIDKNPSFLFKDVYMTLNKHNLTYFKIYNNSGKA -ILSLDTPTTLKTKANKAYINIRNLDKATHTMQRKFPINIVMNDYICFDAEFLNPKDNLQEPVMLSYGFSS -KYGKRRIAGIPVRYIKDRFDKIVPQEYNYRDNNKPLTSTYNCEWMRKQYPDQYKHLLHSVTQGIRNSTLV -DLKPLLNFCVDNMHVKPVIVTWAGASDHCFLKANTLYPDISTVCNITTRCSSQPVYASPQGLHTYYLCQY -HAHHLQGYINITHFVNLEIIDLKLNYNQYTGERILNVFHNENLKLELKLENVASNSLTDCHARYCRTVHA -PITPHDPLDDSIMTQCIYQSFVQLHLEAIAYEPQANLKAFTSMGYRLKNFNPEMCKLRRELQQVWYKQYV -DHNITHCNMGCGKDHLKHALHNIDILQGKNPQNNMNTHTCDAEEHIYFDSHWYKEGNFTKPSYIFSDINK -EHYYNLGTTGLSLYLNSKCAKYVHEYRTINGTDVFKTLYAQYCALERQPHQAAIKPSCSIPDCIITSNIG -ENFQTLVCNVHKDQMDIIGKISQATGYGYQFIYTGKHLLQNHSALSKAPHNWDHLTLEIPGYNTRKQHSG -HMTTKALGILHILQDSMLYTNRKTLNPKLPVIMPGSASFLGETVLANEMSKYLKQTKFIHVDPRLKIDNQ -TTHYRKTLMEMLDIGYTTELIISDIHNNTSPWIPELVSYTQKYLIDTGTLIMKITSRGATEDNLALLETL -SKDFTYVRVCNLNAVTYSSELWIVFADKRKPPVQGWTSHELKSELRKHWYAMTRSIIQPIMRSRTCVFRY -SPK - ->YP_009505589.1 pp1a polyprotein [Dak Nong virus] -MTYHDYAFGDNVVLERDQALEFESFIIKFFKFWLPLFLFMLFVFHNIIRHIMYYPFVGPVSDNVLKRCIQ -WITFLCTRRYLYYQVPNFARDESRLNIFLHNDFARIDRNTLNGYCKICNLYGHTHTDKHNPTIDALVLAK -TCKIFRYNDKVTKPLAYTVHNIRAYEKNTKSFVETFGTNTTNIPTKYALAPKKAICGLTTIESNLGPIYV -NNTIAYPHLGFIAYDNKQQLQELLANLTIVLDTFMVYTQYELDDTTINIRKSNVMLNFVNDFDLTCALNN -ELKDPRTPWLLKAKKSSNKSNELEDDTEAEETLKSKRKGKSGEFSKTSKSRKETHIQNSNDAETPETLKT -ATAENVAQNGLQNASASTKQKRKGKLTPQTQLLDHTLNQTRLAHRTPYLKFGPTYMTLLFLTFVMIPAQA -TICTHYEPVAQENQYCEYVQNVTSPKYLAYANYEHLNTKCFSTDGAEYKDLVRLSVSNVLNLSNLIKPIP -KDDYILKAFSDALPLNTHILSDYNTKLDLQILMQFYNLNGSNVVYVETYSESEDYAGKVVQLLAQSTGDI -CEKPTCILFTGLDTTARDVDVKVTERLVKRIKHQEHGKPLHIKPSCGKKCYCMYKPEIKPEIVEIKKFTP -QADFYTQLSYFQNYELRMYDDFDAGVLRYNNYTLINFVYNNDTCLLYLKHRCAYNLENFEITRVYNDIGN -YLECGVNQEFCESLQQEFIFTEPELVVTSNPITEDPTTYFKVCDNHYTALQAKYPMVEKSFWINFLISAK -RALAGKEPATFIIVHDSTTVIKSVIADVIAVAEECYVRTALKLTPQDFNFTLDYIEILEAYHPLIKKQKI -VLIEDIDQLTVPAARSLFSFFDTYDPAVKDAFIVGTLNHKRYTETVSLNRYNDQTPTSYVEDILKENWKA -MSGDILVPLIVRITDNVHIILANQVITPAKLATPESNIPTLNNTSKVINTFTHYSAHILRTVGDDSTQAY -KYLNNSVNNFTSYVSGSAYNFYDYVHTALKTTKYHIFKRYNNMLSAYDDIKRNIYNSYPIRQDFYRRNAH -LFDLGRMCDYLHHSDTIVLYQDCIHEKLDTIYVIKLRYGQNENAFHVQPLEPPHTKQYISALSEAIGFEY -RGKKYNYYRMLFTNPEEYVLTIRENYLEYCKSSTSPTPAFAPDTKLTCFAYITGFKVIDSFIAEFGLFLM -LYTAALIIILAVAITIRDNNYIMLLKQSICFAYIFGSLLLTPNVYGSYIFVTLYNMLPYTGGTSYGCLLA -MSTIAITIVDFLAYTTQRYRPEFTKHVLQVTTIIFEIVVVSRYILFPYIFTSYGFVLTIIASYVAYLIIQ -ARRPNYLKSTVSNATAHADWVAYRNSTREKTDEAAKSNLSKIINTNVADIKKEQLLECLYLAACHQATVA -AQTYNPKHYLHIPNYNTRIMFARDNELMNYSSVLSTDLKNKSAASNPSISHIVLELPVTINPLIKYTTKT -SVSSLRGAIVNGYIYVQRHLFGSKKQEFEACYNNGKGLLNCHNLERSKYDIDSAELIGTLIRIPLLNDHS -FPKIKLHPAPLTYNGPVTLYLSRYDTELQKDVLCVHTGFISEGHHDIKTVFGDCGGMLFDPKGRLLGLHC -AGSDDVVFMDLNTHKSNIWTSYKLQHPSEIMITLNSEINLPNPENYDFETSKVVYHHPLRNVGATLETLQ -HLTNKTNAKLSYDPRLLSDFNITAEQYVQHGYNVDYNNFVSNFNRYTTTTIGTKSFETCIKYGLMDNKNV -TYNNQSATSVSVVDAPTRLDYILDVLYVLLYMFTHTHPAFYIAAFCVFCLFFVKMNKYLKMVLITIIFMI -PDLYINYYYGLLYLPVKWRYQIAHHIMSYKTTTALAVRYNENSTIAKDLAKELGTPKNLCTHLATILKCI -KPYSAFNDLSQVVNNVDDLMANWADVHNAEELLKQYIDEIYKLYPILFVVFEKIETVEEQIKTVLSYISD -TGEFDLNGFEIHFDEKEHTTNIIDHNAEEIHAKLLEEKASFIALKNMNSDFNIESINTASIGELVRYLII -SSTPETLDRDLLARTTEMLVRQIHNLRENNEHNENLIALLSEIYKHKDFLTASYLTSNLRDRNYVMNNLV -RVIALFNKQINMQVTQKQYEARRIENERKKESKQIMEQNNRIRKMQRQNQNIASAIVHMVHACFANRFML -KNEAQLIMQELTGNNLDLDPTDAEMLHYEAYRSGQVLTNKSIKTNFTTLSTILWTGNGYQTVPSMCGDHE -FSCTASHKHGYFNCTMEIKDNWYTHVENCAKCRSYYKANKHPRCEAIYSTDVKRFPTLSNFVSRYRSCPA -CLPCSSCISTREPTCTIASYHVDDTAHYKNEAYLTPLNIKPDNLTYSFVNSVSGDVNAIYNGRVWLMRRT -TPATPPPARYRHITNLKLQQADPEGYYYISDVCPTDLAILNAMINQIQLKLQEHITLNNQNDYEEVTNYI -SFSNPLSEATLSDLRKKHTYLLILRLRLDSDNYLMDVVNYVKQNQLPIFIVHVSNEIIDTNHATLYINYM -QVWRHAIIDSSNIVCDILTKVISQPMDFPLGRVL - ->YP_009505587.1 ORF2 [Karang Sari virus] -MTALSFADLFGLIKDENWHPIFDTLPQVTYHKINNDLLTKIKSHTPSPQHTCCMLCRRFLAEFGLLLHKL -NKKVFETTTYILQRYEFVLTADNIDLNGILDFEDYMPREEYFEFDVKDQLRIMQPYYHTLYSFYEHTGMY -FISQPIYTSEVDPSLDLIHQFELALEATRNLPLDSKFDDQPLFRPTIQHLTQYLKLNLYAMEPEPLWNCY -DTMDCPQIKLDGIDNAITSIIVKPTRPIPDYIELNHDTVKDFDGDIHCKINYNNINSLQDILYSLPLTAT -ILELYIVDHPYELESHNRMLRTSLNIWLHNLYDANVNLAHFDSINFETSQKATFPIVGTVPAILLRDCEI -CKDKIPDNLKDVYDFGSCVHAKAQLSDYKTPRKIHPLIEFDTALLRHGEFQPNNDYAYTMKTKPDHIIDL -ELKEYIDSTGLKALIPPLNINPAVHDPETTYSSPYYIKTPSEQSIRADLELFNQNTAGSVSPTVFLMAIE -LLHQLLTEEISASDGKPNCPMVPSEVPVRNKHKSAGTPYRKFGDSEFMRELYGKYRDAIVYHKRHSADQH -LTLTINKVATSKNHRDRTILAISINKSEPGRSLYRWNLDKIKYTASLGGPILIGFTAQYGGWDKLYKYLY -KDSPADNPATAEYAVLGGKDYPKWDRRISNMLQLTTTTVLYSLIDPNTQTKLNDATPSQTWHEYMAETTQ -VLFDYLVFGSELLQKPGGVTSGNSRTADGNSLLHLLIDFYATIIQLIQSTPENVNLHAKLRNKLCKLVFT -TIPSDYIDQSSVTLRNTDILHTIRLTVAKGTYLSDDGLFVHDPRIIIYDDFMSISHLISHYMISLNKHKY -HVDAIQRFAREFLSQDTIKFGDMAYPIPEFGRMYSAMLLSDNKNTLDPQINITRLLALFSYLYIYYFKFE -DQPTHPILKFLDALRTYIENKLDTTDEIFLDCVKVPDLQDVEFDLKNCDLYENFDYLWGLDQSSAYMDYL -CKYKHRYRNLSLFKRQLIQQHEQDQLHNHTNLVNKGKLITYNCYVCGENAYLTCATCERAFCNSADSDHG -SHIEQHLQYSGHTCLYLNCKTVKCQHCFTNDINLLYTTGREHFCEAHKPKNAARILNSNANPKLPPLLYL -CVTNTRQITFYEQCYINYTKDHPLYVISKQKFMGLIQTYLHQDYTLPINQLANRIRVSLQLSSYGVVRPY -HQLIMQLTKLESKVLDSSVVDIPITLINSQEIGTYYIEIPREHKLDQHSTYSYLIGTREVSFTPSYYRLS -STNTHIWQTDTQIPNYCTFIRQRRLNTLSAILRNTTQHVPEYTRLLLEWNQQLPITAKPFAEFKPSLKIS -AQPNVTANINILLKELNSKRFKIMFGGPGTGKSHTLSILINHLHEKGFRILVYTPSHQSANALLYKIANL -IKRRNIQNPGLVRIITDGMKDEIKPHPYITYRTNMLDKDRICVTTIQSFSTVQHVKDIDLVILDEYSLTS -DNYLLTGLAHLKPSTRVLFSGDPRQLSGVDEIRKPLPSRFHTLINYYTETYPLEVHVLKYHFRCHPSIFQ -YFKELYYADKDMECATSIADRIIRPLNPINTVQVSEPTFRNQGVILNQDEADKVLEILVLVNQTLALHSS -YEYQPTIAIICSYKSQLQNFISLQQQKIISEYVNLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAI -SRAKSVLFITVPPIDNNPSFLFKDVYATLCKHNLTYFKIYNNSGKAILSLDTPTTLKTKAGKMSYINIRN -LDKTTHTMQRKFPINIVMNDYICFDAEFLNPRDNLQEPVMLSYGFSSKYGKRRIAGIPVRYIKDRFNQIV -PHEYSYKDNNKPLTSTYNCDWMRKRYPEQYKHLLNSVTQGIHNLTTVDLRPLLNFCVDNMHVKPVIVTWA -GASDHCFLKANTLYPDISTVCNITTRCTSQPIYASPQGLHTYYLCQYHAHQHKESINITHFVNLEIIDLK -VNYNQYTGERILNVCHNDNLKLELDLDNVASNSLADCHARYCRTIHAPITPHDPLDDAIMTQCIYQSFVQ -PHFEKIAYESEANLKAFTSMDYRLKNFDPEMCKLRRELQKAWYSEYITHNITHCNMGCGKDRLKHALHNI -DILQGKSNPQNNMNTHTCDAEEHIYFDSHWYKEGNFKKPSYIFSDINKEHYYNLGSTGKSLYLNSKYAKY -VHAYRTVSGNDVFKTLYAQNCSLERQPHKAVIKPSCSIPDCIITSNIGENFQTLVCNIHQDQMDIISKIA -QATRYGYQFVYTGKTLLNNHSALSKAPPNWDHLTLEIPGYNTRKQHSSHMTTKALGILHILQDSMLYTNR -KTLNPNLPVIMPGSASFLGETVLANEMSKQLKRSKFIHIDPRLKIDNQSTHYRKTLMEMLDIGYPTELII -SDIHNNTSPWIPELILYTQKYLVDNGTLIMKITSRGATEDNLKLLENLSKDFTYVRVCNLNAVTYSSELW -IVFADKRKPPVQGWTSHELRSELRKHWYSMTRNIIQPTMRSRTCVFRYSPK - ->YP_009505586.1 ORF1 [Karang Sari virus] -MTYHEYAFGDNVVTERDHALEVEGFIIKIFKFWLPIFLVMVFVYNNIIRRIMHYSFVGPVSDNVLKRFLQ -WIIFVFTRRYVYYQVPTFARDESRFNIFLHNDFARLDRNTLNGYCNICNLYGHTDTDKHNPTIDALVLAK -TCKLIRYNDKVTKPLAYTVHNIRAYEKNTKAFVETFGTNTTNIPTKYALAPKKAVCELTTIASNLGPIYV -NNTIAYPHLGFIAYDNKQHLQELLANLTIVLDTLMVYTQYELDDTTINIRKSNILLTFVKDFDLTHALNN -ELKDPRTPWLLKAKKGSNKSTELEDDTEAEDNQKSKRKGKVGEAEKSPKTRKGNEAVKAEAMPKQRKANS -EAIDAEDLPKSRKGNSDAVKASEPQPRKEIDTVKADGPHIPQAVKVEKSTELLSETNDAEKAEEKTEQST -ENLEAVKAEENPAPISEPSEKPAQISQTSAAVVQCSEHAQTNSELQSAPVTVAKEQNKATPGTSKNTPVA -NEKPTSGKSNAPATKYKQTSKRKGKQLTPQTQLLNHTLANQNRPARIRPYLRFGPTFMSLLFLTSVLIPT -QAMICTRYVTVPQSDQYCEHVQDLTIANYHAYANYEHLNSKCFSTDGAEFKDLIRLSVSNVLNLSNVIKP -IPKDDYILKAFSDALPLKTHVLSDFNTKLDLQILMQFYNLSGSNVVYAENYSESEDYAGKVVQLLAQSTG -DICDKPTCILFTGLETTPKDVEVKVTERLMKRVKHQEHGKQLIINPSCSKQCECMNKPTIEPEIKETVKF -APLADFYTQLSYFQNYELRMYDDFDMGVLRYNNYTLTTFVYNNATCLLNLKHRCVYNPEHFEITRVYNDI -GNYLECGVNQEFCESLQQEFTFSESDLVITENPSVEAPIRYYEICNNHYTALQTKYPLIEKEFWTNFAIS -IKNALVGKEPATFIIVHDSETVVKSVIADMIAIAEECYESTALKLTHKDFNVIPDYSDIIAKYHPLISKQ -KIVLIEDIDLITVPAIRSLFSYFDTYTPAVKDAFILCTLNHKRYTEVLALNLYNDQTPTSYVEGIFKENW -KLLDDKTLIPLIVRVTDNVQTILAYQVATPPAKLSQPMPEVPTLNNTSKVINTFTHYSAHILRTVGDDST -QAYNYLNNSVNNITDYVSESAHDFYDYVNDALKLTKRHILKRYRNMLMAYYDIQRNFHNSYPIRQDFYQR -SVYLFDSGRICDYLHHSDTIVLYQDCIREKLDTIYVIKLRYGQPANAFHVQPLEPPHSKQHISALSEAIG -FEYQGHKYNYYRTLFTNPDEYALTIRENYLEYCKSEISPTPAFTPNATLQCFAYITGFKVIDSFIAEFGL -FLVLYIAALIIILAVAITIRDNTGIMLLKQFLIFAYIFGPWLLTPKVYGSYILVKLYNLIPYTSSTSYGC -LLAMATVAITIIDVIAYMTQRYRPELTKHFLQVVTMSFEAVAITRFILLPYIFTSYGFVLTIIASYVAYR -FVQARRPNYLKSSVSNATAHADWVAYRNTTREKTDEAAKSNLSKIINTNVADIKKEQLLECLYLAACHQA -TVAAQTYNPKHYLHIPNYNTRIMFARDNELMNYSAVQPTKLKNKSAASNPSISHIVLELPVAINPLIKYT -TKTSVSSLRGAIVNGYIYIQRHLFGSKKQEFEACYNNGKGLLNCHNLERSKYDIDSAELIGTLIRIPLIN -KNAFPHIKLHPAPLTYNGPVTLYLSRYDTELQKDVLCVHTGFISEGHHDIKTVFGDCGGMLFDPKGRLLG -LHCAGSDDVVFMDLNTQKSNIWTSYKLQHPSEIMITLNSEINLPNPKNYDFETSKVVYHHPLRNVGATLE -TLQHLTNKTNAKMPYDPRLLSDFNITAEQYAHHGYNVDYNNFISNFNRYTTTTIGTKSFETCIKYGLMDN -KNATYHNQNTTSVAIVEKYSCLDYIMDVIYVLLYMFTHTHPAYYIAAFCVFCLFFVKMNKYLKMILINII -LMLPDLYLNYYYSLLYLPLKWRCEIYHLIRHKAYTTSIAVRYNENLTIAKDLAKELGTPKNLCTHLATIL -KCIKPYAAFSDLSQVVNNVDDLMANWVNIHNASELLKEYIDEIYKLYPILFVVFEKIETVEDQIKTVLSY -INDTGEFDLNGFEIHFDEKEHTTNIIDPNAAEIYEKLMVEKASFIALKNMNSEFNINSINEASIGELVRY -LIISSTPETLDRELLARTTELLVRQIHNLRDNNDHNENLIALLSEIYKHKDFLTASHLTSNLRDRNYIMN -NLVRVIALFNKQINMQVAQKQYEARRVENERKKESKQIMEQNNRIRKMQRQNQNIASAIVHMVHACFANR -FMLKNEAQLIMKELATYQLNLDPSDAEMIHYESYQHDTVLTNKSIQTNFTTLSTILWTGNGYQTVPSMCG -DHEFTCTASHKHGYFNCTMEIKDDWYNHVENCDKCRSYYKQRKHPRCPNTYDPDTKRFPTLSNFIARYRS -CPCCLPCTSCLSKREPTCTINSYHVEDTAYYKNEAYLTPLNIKPDNLTYDFTSPDSGDINANYNGRIWLM -RRSTPATPPQARYRHITNLKLQQADPEGYYYLSEHCPTDLAILNAMINQIQVKLQERSILNNENASEELN -NYIKFTTPPSDATLSDLRDKHTYLLVMRLRPDSEHHLLEVVNYVNQNQLPTFIVHVTNDTIENDHATLYV -SYMQVWRREIIDNIDVVCDILSKVNTQPLDFPIGRVL - ->YP_009448185.1 ORF2 [Dianke virus] -MLLNQESDLFLIEHKLVHDTIPHLLRYNMTALSFADLYGLIKEENWHPIYDTLPQVTYHKINDDLLLKLK -SHTPSPQHTCCMLCRRFLVEFGILLHKLNYKVFETTKAMLKHYDFVLTADNVDLNGILDFEDYKPRKDIY -EFDVKSQLRIMQPYYHMLYSFYEHTGMYFISQPIYTSIVDPSLDLIQQFESAVEAIRHLPLDSKFDDQPL -YRPTIQHLAKYLKLDLYAMEPEPLWNCYDTMECPQIELAGIDNAVTSIIIKPTRPLSEYIELNHTTVKNF -DGDVYCKINHNEINNLQDILYNLPLDATIHELYIVDHPYELESHNRMLRTSLNIWLHNLYDANVNLSHFD -SINYEKTRKASFPIVGEIPAILLRDCEVCKDKIPDDLKDVYDFGSCVHAKAQLSDYKTPRKLHPLIEFDP -ALLRHGEFLPNNDYAYTMKTKPDHLIDRELKEYIDSTGLTALIPPLNINPAVHDPETTYSSPYYIKTPSE -QSIRQDLELFNQNTAGSVSPTVFLMAIELLHQLLTEEISASDGKPNCPMVPSEVPVRNKHKSAGTPYRKF -GDSEFMRELYGNYRDAIVYHKRHSADQQLTLTINKVAPSKNHRDRTILAISINKSEPGRSLYRWNLDKIK -YTSSLGGPILIGFTAQYGGWDKIYKYLYKNSPADNPGTAEHAVLGGKDYPKWDRRISNMLQLTTTTVLYS -LIDPNTQKNLNNATPSQTWHEYMAETTQVLYDYLVFGNELFQKPGGVTSGNSRTADGNSLLHLLIDFYAI -ISMLIQSTPENVHLEEKLRNSLCKTVFTKIPSDYIDPSCVTLRNTDTLHIIRQRVAKGAYLSDDGLIVID -PRIICMFAFMAVSHLISHYMIAQNKNKYHIDAIERYAREFLSQDTIKFGDMVFPIPEFGRMYTAMLLSDN -KNTLDPQINITRLLALFSYVYIYYFKYVDEPTHPIVKFLDVLRNYIELKLNTTDEIFLDCIKVPDLQDVE -FDLKNCDLYENFDYLWGLDQSSAYMDYLCKYKHRYRNLSLFKRQLIQQHEEAQLRNENMLQNKGKLITYN -CYVCGENAYLTCATCERAFCNSADTNHGSHMEQHLQYSGHTCLYLNCKTVKCHHCYTTDINLLYTTGREH -YCESHKPKNAVRILNYNENVKLPPLLYLCVTDTKRITFYEQCYMNYTKAHPIYAISKEQFMGLIQLYLHQ -DYTLPVNQLANRIRVSLQLSSYGVVRPYHQLIMQLTKLESKVLDSSVVDIPITLINSQEIGTYYIEIPRE -HKLDQHSTYSYLMGTREVSFTPNYYRLSSTNTHIWQTDTQIPNYCTFIRQRRLNTLSAILRNTTQHVPEY -TRLLLAWNQQLPITAKPFPEFKPSLKIPAQPNVTDNINILLKELNEKRFKIMFGGPGTGKSHTLSILINH -LHEKGMRILVYTPSHQSANALLYKIAHMMKRRAIQNPGLVRIVTDGMKEAIKLHPYILYRPNMLDKDRIC -VTTIQSFSTVQHVKDIDLVILDEFSLTSDNYLLTGLAHLKPSTRVLFSGDPRQLSGVDEIRKPLQSRFHT -LINYYTETYPREVHVLKYHFRCHPSIFQYFKDLYYADKDMECATSIADRIIRPLNPINTVQVGEPTFRNQ -GVILNQDETDKVLEILVLVNQTLALHASYDYQPTIAIICSYKSQLQNFISLQQQKILSENVKLSTIDSAQ -GDEFDIVILCLSQINNFTLNPNRFNVAISRAKSVLFITVPPIDKNPAFLFKDVYETLNKHNLTYFKIYNT -SGKAILSLDSPTTLKTQAEMKYTNVRHLDKNIYTMQRKFPMNIVMDDCICFDAEFLNPRDNVQEPVMLSY -GFSSKYGKRRIAGIPVRYIIDKFDRIVPQKYNYKDNNKPLTSTYTCDWMRKQQPEQYKHLLTSVMQGIRN -DTTVDLKPLLNFCVDNMHVKPVIITWSGASDHCFLKAHTLYPDISTVCNITTRCTSQPIYASPQGRHTYY -LCQYHAHNLKDQLNITHFVNLEIIDLKVDRNQYTNERTLKVYHNDYLKLTLDLDNVASNSLTDCHARYCR -TIHAPITPHDPLDDAIMTQCIYQSLVLSHLEKLAYEPQANLKAFTSMDYRLKNFNPEMCKLRRELQKIWY -QQYINTNKTHCNMGCGKEPLKQALHNIDVLQGKSNPQNNMNTHTCDSEEHIYFDSHWYKDGGFSKPSYIF -SDINKEHYYKLGTTGLCLYLNSKYAKYVHEYRTVSGNDVFKSLYSPFCDLGRKPHQAKIEPSCSIPDCII -TSNIGEQFQTLVCNIHIDQMDIISKISQATKYGYQFIYTGKTLLNNHAALAKAPHNWDHLTLEIPGYNTR -KQHSSHMTTKALGILHILQDSMLYTNRKTLNPNLPVILPGSASYLGDTVLANEMAKTLKQTKFIHIDPRL -KIDNNTTHHRKTLMEMLDIGYTTELIISDIHNNTSPWIPELIAYTLKYLIETGTLIMKITSRGATEDVIQ -QLEELSKNFTYVRVCNLNAVTFSSELWIVFANKRKPPVQGWTSHELRAELRKHWYSMTRSIIQPLMRSRQ -SVFRYSPK - ->YP_009448184.1 ORF1 [Dianke virus] -MTYHDYALKDNVVLERDQKLAMDNFVTNVIQCWIPILTTLLLTIYALRKIMHNPFVGPVSDNPLKRALQW -IIFVFTRRNLYYQVPVFARDESRLNVFLHNDFARLDRNTLNGYCKICNLYGHNHTEKHNPTIDALVLAKT -CKVLRYNDKVTKPLAYTVHNIRAYEKNTKTFADTFGTTTTNIPTKYALAPKKAVNALNTIESNLGPIYVN -NTIAYPHLGFIAYESKQHLQELLANVTVVLDTLMVYTQYELDDATMNIRKSDIKLSFVNDFDLTNALTNE -LKDPRTPWLLKAKKSSNKTSEQEDDTEAEDTQKTKRKGKLQPQTQLLQHTLAKQNKPARRQPALSFGPTF -MTMLCLISIMSPTYAKVCTTYEILDQADLYCNNLQNLTIAKYHAYANYEHLTKQCFSIDGVEFKDLIRLS -VSNALNLNNVIKPVPKDDYILKAFSNALPLNTHVLSDYDTKLDLQILLQFYNLNESNVLYTETYSESEDY -TGKVVQLLAQGTGGICKAPACILFTGLDTTATDVEIKITERLTKRIKHQEHGKPLIISPSCSKTCYCMHK -PEPKPEIIEPVKYAPPAEFYTQLRYFQNHELRLYDDFEMGVLRYNNYTLNTFVYSNDTCIQTRGVHCVYN -PEHFVVTRVYNNLGNYLECGVNQEFCESLQQEFMFNEPQLVVTELTAVEEPTQYQKICEYHYTTLQSKYP -LIENTFWINLNVSVNRALAGTEPATFVIVHDTPAIVKTIIADIIEIMEECYDNTALKLTHHDFNKLEYMD -DYSQILLKYKPLLEQHKIMLIEDIDLITVPAARALFSIFDTYTPLVNGIFVLGTLDHKRYNETIEFNYYN -GKTPTAYVEGILNINWQLLEDHTRQPLIIRVTDNVHNILARQLVTPPAKIAPKPEVPTLNNTSKVINTLT -HYSAHILRTVENDGTQAYTYINNSVHNITDYVNDSVHNFTDYVYTVYNSTKYHIVTRYNNMLMAFYDIQL -NFYNQYPMRQDFYHKGMRAFDLGQVCDFLHHSDTIVLYQDCINQTLDTIYVIKLRYGQNSNAYHMYPLKQ -PLTKQSIYELSDAIGFVYKDRRYNYFRTLFTNPGEYVLTIREKYLEYCKSDNSPTPAFAPDVPLQCYAYI -TGIHVVDNFIAEFGLFLMLYTAALIIILAIAITIRENTMVMCLKLITIFAYAFGPLLLTPRVYGSYIFVS -IYNWLPYTSNTSYGCLLMMGALAIAVIDLFAYMTQRYRAEFTKNILQLATLLFEIVAVTKYILIPYIFTS -YGLVLTIIVSYVAYRYIQSQRPNYLKSSVSNATAHADWVAYRNTTREKTDEAAKSNLSKIINTSIADVHK -DQLLECLYLAACHRATVANSTYNPKHHLHIPNYNTKIMFARDNELMNYSVLSTDLKNKSAASNPSISHIV -LEMPVAINPLIKYTTKTSVSSLRGAVVNGYIYIQRHLFGSKKQEFEACYNNGKGLLNCKNLDRSKYDIDS -AELIGTLIRIPLHDKHSVPHISLHPDPLSYNGPVTLYLSRYDTELNKDVLCVHTGFMSEGHHDIKTVFGD -CGGMLFDPKGRLLGLHCAGSDDVVFMDITTGKSNIWTSYKLQHPSEIMITLNNEINLPNPENYDFETSKV -VYQHPLRNVCATLETLQHLTNTTNAKLPYDPRLLSDFNITAEQYAQYGYYVDYSNFVNNFNRYTTTTIGT -KSFETCIKYGLLENKKTDYYNQTATIFNPPEHSSSGFDNTMDVLYVFVYMFTHTHPAFYIAAACVFGLFF -VRMNRYLKMILSTIIFAIPHIYVNYYYGLVYMPLKWRKQITALAIRYNPYTAVAIRYNKNLTIAKDVARE -LGTPKNLCTHLATLLKCIKPYAAFNELSQVINNVDDLMANWANTYNAEELLKQYIDEIYKLYPILFVVFE -KIENYEDQIKTILSYISDTGEFDLNGFEIHFDEKEHTTKVIDTNVEDIHDKLMAEKASLIALKNMNFEFD -IETINSANIGELVRYLIISSTPETLDRDLLARTTELLVRHIHQLREDNEHNENLIALLSEIYKHKDFLTA -SHLTSNLRDRNYIMNNLVRVIALFNKQINMQVAQKQHEARRIEDLRKKESKQIMEQNNRIRKMQRQNQNI -ASAIVHMVHACFANRFMLQNEAQKIMKVLLGNNLELDPTDAEMHHYNAYRNGQVLTNQAIVTNFTTLTTI -LWTGNGYQTVPSMCGQQDFTCTATHKHGYFNCTMEIKDAWYKHAEECTKCKSYYRNNKHPRCGAIYDTTV -KRFPTLSNFIARYRSCPACMPCTQCLTQREPGCESPSYHIADTAHYQSQAYLTPINIKPDNLEYNFVDAN -NGDVNAIYNGRIWLMRRTTAITPPPARYRNITNLKLKQTDPEGYYYISDVCPTDLAILNAMINQIQLKLQ -DRTVLSNENHVEKDDNTIKFSTPLNDTTLDDLRTKHKYLLVMKLKPDDEHHFVEVVNFIRTANLPIFVAH -VTYAENDVNHATIYVNYLQAWRNEIVDDVIKTCDILEKIIKHPLDFQSGLVL - ->YP_009333345.1 hypothetical protein [Beihai Nido-like virus 1] -MYATMQQVSHIDRVRVHRSYYYNGVFVRYLASPAPGTHVVADNACEFNSFVNSGATHVQCTFPVRELYFD -FTSTSPVLFWSTVDSPRSLALGENKLVIHVHGYIPKESYERKPVRIDQRIIVKFYLHDRLCSYKFSDLVS -LIDNYPGYHVSIRVQTGVYFGRDSKFLDQLISYSRSHKVDVDYCVSKLANRIVDAEARGFSCAQYHLAYP -APDDLPRFDVVQQRDSRLKTQEVLTELRNASTELRALVDVGNVRARLESGQRGGRASGRGFVASPPVSHG -VFDFTRRGSSHGVDVSVPPPALPFTPPSSAPSAPLGAGARPKAPDAGRGRGRGRGGGGGFGKAVLYMMAI -LCCISLTAAAPSNIQHYSNNQTYACLGPDYHFVSADTVSRLPASPVISSTLLPSTSIDYDCQAVVNDLSC -LLFNGKRLPLDHPLASVNYAAATILKSLTTCAHEVTSGYLYLQCPSSSGYKDDYFYQLLIDDDFGASWDC -DVPFEEYQFEHQDLSSFYTPFQITHASHLYDYHVRDSLASGTFPTPPSNITFRGACNGIGEDSPNGCVVS -LLPSSFEHFRVVINDLAGDVFCVKHDTYCPSIISRYLSAAAAPTPPPDHALHTVLDDASPIVSSNNCVDG -ICHQHIASPEHGALIDRLTINGTLCERFGSVVSGMLDGNVSQSELSRDCQNGAIWVVNNPRNFFSAILNR -FPAAVEFMQLDSQKVGYSTPIVVRETCGFFHFHCRYFRLKHPSCGPLTDFPPLNSWFSDLCNSQFVSASV -RLVGGDFSCVYNPSGHTECSTGKLYDIWFGANTSDFDRSISLGFSYVRATINTADHEYKKEYNLPFPPSI -VGRYGYSYVYPHANLSIAFPAYAYCDDWMFQDFVEIEPLTPFLIHIQRFRGSINGAILSVYPSGFGLSYL -AIFINLISETTITFLIAFAITVLFLFALRWILESFVFIHIKSAQVIICDWLMHIIIGALYLFFNSTTVSF -IAVASHILFPIILCRFRLKDNFTNPTAGNIGTYAALFIHFVISVCFVYFAYYDFIFYCLMFISVGFFSNY -LFLCYTMLPWHYATFPVTGEVRIKYIKYISDNYGGNFAHHWNRIRQQVTAGLSVDSLTPEQREEYHKCSF -LDFVRVCNDTGANVKVWTRGFKTGAFGVTSVQAAAVAPPNFFARSLDSLKRAWRCGFADSNTITQLQCRT -SLFPSSRVKHDTALAKHLYRLHFNCTSLIGLHTGQLLLVERHLFHDNDRSYAEIHRELTEQIQRDGLRLH -DNLSIVDISPFDPRSYIFAFHVREETFIVPLAAPKDGEAVFYCVDLEGHIICERTAVRANGIHYSETYSG -DCGGILVQGGSVVGFHIAGHSSDVNGILTTLRAQRYNIFVDSAGYVLSSEHDGVDLRSTQHAVYTNNGPL -FNSTIINYFTLYYILSRGTPFPSKCSNEREICAVGDPDISVADAIDHNLATLGNYIADAAAFKSYIHRCL -CCLIDSTSETLTHTPSLTNHIDLECLWSYHGRYLNVREHLEQLGVNGVVPESLIRVPFRYDETTYAILFG -HSPVCASIVRLLLICYYWYLYFLPHSFPSIGADVIINYEELFTVQTFIPYRLCLSLTSITLNILLIPADS -LNIQLGLFFVDLCYFVLEEIIYNYYWRYDSLLRIWCIGSGVGLTVFVYYALIAFFVCCFFCFIFFQLRGF -YISRHIVFLYFMLFFPLFRYVKHLHTIISYIYTFSCTVPLSTLICVFAWFFRFLNIYFLNPSVTFVESRD -LRAGLIDLYISLISSLRCLPGMGAYSEHILFADRDLKSAQNDRIYSMFRSLTDALRDHFPHLCAEYTKRC -YLTDSEIGTQLLQHYGVQTESVNPSDFCGLLRTALAIDKLDGCAELARTSHRLRLLILNGLRQRKRSLNS -LITDLSKSSTHGDSQLSNIFTSDTKQLRLIYERLCSYYSHMSSCGEFEFWEACLHEFLSWLSDNYDHPVS -ALESLKPLALHYLRKGCILEFISELPEDIVALEERIRDLRLTERTREINKLIATLEKELKDKRTAWNIKR -NAEIQAAAEQRRSDQIADTRHRLAFNTQKRNQMLQNNYAIAMHRLSRSLLFASHLDAHGESFDSIYQYLH -DKHSGDFDALWRHFLDPALSNQPTNDEETIITESGDVAGYRRGNKTAVTRHNGKVFVESKFLPISPDTSR -LYYTSCEASTCDFCCTTPCGLELCHENHSTFRCASTLIRDFTSHFDSCPTCLELLLRRKLIHSRCGKQFF -GTGVRSFVVDFITCIDCRRCSVCHGLPRSREPPSCTSRGWHGVSCTDSDCAGCSDDHAPETAVEAYQLSR -VPSLGTLTTLKSRRNDKGWMFSTTHNDSQVDVAFVGDDSPPNGFHKLSPTIAKHYTSLNIYVHPSIYSLG -CLSSFLDTLRSTHLESVHCNDCSKLATSNCFSQSHSLALPPKSAITNGILNLQVEAGDLEKLAVSLFKPW -RPCRDNPDTYTYTLCDISDPSHTRFCGLHNGGSVDVPKRLPVHLHPLYVHTANYPTKCTCCGGARWSSSC -LFCKYIDCDNTTLESSRLFXHTAPVTSSIPRHHHINTPGDGHFYDVSTNTTSSTYSDGNNYKQKPRVRHE -LSVYQKLAPLCDFILPHSLKHNRKVFLIRHNISSLSVSDIYHAIKHQDYTTLDRVFDCNIWTSTGLRKPS -RSCEHVKWFCCQHCQYYRCALGFVLFNVYRRALSFCHTALPFYSFHLTADNIDLNGVLDFEDYVALPPNS -PTLSLSTCFYPTLTIFEKMWAHAGLNFISSFHFSPHETDSDLDIALLRNLTFLSHSHDLPHTGHRLSYDG -FKLALSLDSLVEQALPRDISTIDPSSFSSKSEFLRFIICATSWKQRYDSNQHIINYGLHTGEHPILGTMP -HVQLCECTNKCCLQINYDKRLLYDWGGVLQTLRTTKCGAEFNFNNYQNIVVFGNFGSGGSAPRSYQLNFD -ADMVDHFTSLGFKVLEPGLTPTDDDDPSTTFHTRYQYHFTTNDLLRDEIRKYGQNQSGSISTGVLYCASR -LLHLELNDITSRYTHDTSNPCTLLPSNLHNIRRPHKSAGQPYVKVGDSDVVRGVLGDDRDTMIKHRCHSH -HQTLVTANAKLAVGGKFKCRPISGINVLESDVGRTLFTAILEAIKHCCYENMIVIGWSKFTGFDRLFRNF -LNSRLDHIDYRLSGKDFPQWDRSVESNMQLLTNFLIFCSYDWALCREFCSLQEALHLFCTEFTNTVYSYF -ICDNLVMRKSGGVCSGNSKTAPGNSIMHAIWEYAAIIEHLHYYRGEDPELIELRQFFMLYESHSLSALRE -HDHLLDTNLLRLQSHHLLRVLSDDGMVLHDKELLFDYSSLFPYFYLYSNYHFTNDKHYSCAPLHGPHEFC -SAEAIIVDDKYYLCPEPGRHLGALFYSSRTTRFDINVRIALLSSYILEGIPLLFNTLLPYHERILPLILL -DYIKKLSNSGCSIDSNVISSNSFSYGGDEFYSLLTASENKWEFLCDINRYLELYGISXLPDDLRVSRFTQ -LEGMRICPYCNYAGCYRCGDCDTTFCNSDQGHALHHLNTTGHCHWKFRLNPIKCFSCSSNDIRDLFYDNT -KRRILCSNHTRDGVSLVGDKRFLFGEKLVGISLSPTTHYTDRFLSSDDPRDKFACAMVAGVRENSRVYFH -HLADIYEHQLEADSQFQEYELIIDPNDHYSFHCANGSITVNRHNSYYILRNGTLTLCDLTVQSVSTLTCS -ILLSDGDRLRVYKVTSGLVKCLKDFNPNRTNDLLEDLLKFRAHPHSCHTHINTSSLNPTQLHAFNHCLDN -SLAIIQGPPGTGKTHLIAQLVDNFVIGHFRVLILATSHAAVDNAVDAVYRVNKNVHRNVPQDHSERVYCR -APAYHNGACSVLGSTFQTAIPSNLTFDVLIIDEFSKVEDIYLFNVLQHFTFEKILCFGDHKQLGVIHPIH -DLSHIYGNIFLYWCHFRPNDIITLDTQFRMSREICDVVSNFMYDGKLQTSVTYPPIGFDRFSIIVLPCKP -IHHHRGCYNPVISERAVNISRWLCETFPTLCTCILVNYNVMLSYTRSLCRDDDHFSVLTIDQSQGREYDH -IVMCLSDVNPFTTNPNRLNVAISRAKRVFVALAPSERIYDYAPFDAFKPSNTFLEGNTPFLTPFLELRHI -GRRDFPFHGRLPVPCTLDNFSIDVECVFNRNPELNHIPLPFELSVVHERGSRTFRGTPVNFHSSLGPTPV -EPNDYRIPPGFSVFRRRLTSATSSFAEMLLTFARYIHSFCITRPTFICYSGDLDRAALTPICYSDSDSPC -SHPNCPHPPTYFCVPDPGRIFTCDPSEYHLVCAKHSAHTNIHGFVNPNFVDLTLLCGSGKLVTRHDLHCN -DRHNGITHDAVFDATLTHCLSKHLNACPPTTPDFLYHDKQFYNPRKCQSLRTIERHLLSFIPGPICDIGC -GSRPPSSGHGVDPLHNNESYYGHQCDLTHRYFGNSHYYIDLSHDLTDNIYIFGTFSDNPDPDLLPDGSGL -WYTRHTKRLFHHPLPPIPHLPHHTRSLWWFLGSHTTCHGRHTNVTLSHDVTCRLPCHTILGVCDNFVTLS -ICQHHSDECRDIIDAYNHLHRISSTYRFFHFERTPTPLESIILDPPAPPFSPVLIPGYEKRVKLNTGRLT -IIKAQEFLDAYASRYPKFYQLPLLYLGAADANGFAPAVDYLCRSFTHVDAVDIVPFTSNCSHCWFNGIET -FQTNTLYYYILCDCYSANPDLIFTHVARLTISNLIRGGSLVFKVTCTFHSWDTIHXLQSLFSSCTLLKPP -TGNCTSEVLIWCDGFLTDCEINRHAPPLQDRYFGYLSKLCNGSRPLSNRLAPAKVRTITLPNLTYRASSS -LSLCHTHVPRSLWSEWNDARRRICEKQDQHLQRPLQR - ->YP_009026378.1 ORF 1ab [Casuarina virus] -MTYHDFAQQANSALERDQQLALDNAFSDIIFYWTPILTWLCLLLYIIKKCANNPLSGPVADNILKRLIQR -ICYTFTRHYWYYQVPVFARDESRINVFLHPDFARLDRNNLTGYCNICNLYGHNNNERHTPTLDALVLAKT -CNILRYNNKLNVPLAYSVHNIRAYEKNSRLFAEIFGDTTTNIPTRYALAPKKAVCDLTTIECNLGPIYVN -NTIAYPHLGFIAYNNKQHLHELLANLSIVLENIMVYTQYELAETSINMRKSNITLNFVNDFDLTNALTNE -LKDPRTPWLLKAKKASNKNSELEDDEAEKPATQNSPTKPKFRPESKLLQHATKKPTRKYPQLAFGPTFMT -IACLIAIMCPVNSKICTDYSTAQQSAVYCNTVQNLTDSHYTAYANYEHLHSKCFSTDGENYMDLIRLSMS -NALNLNNLIKPTVKEDYILKVFTRSLPLGTYVLSDYTTLRDLQVLVQYYNLDSEHILYIDDYSERADYSG -KVVQLLAQGTGGRCDLPNCIRFTGLATTITDVDVKVTERLTKRIKHQEHGKPLIIKPSCLSTCDCMIKEE -PKIEIVKYTPLDSFYTQLRYFQLYELRIYDDFDMGVLRYNNYTLTHFIYTNETCILTHGKYCVYNTDHFL -AKRVYNNLGNYLECGVNHEFCEALQQEFMYIEPLEAQVEPEDLTKRTPQLHENCQSIYNKLKAKYSLLDH -IWTHVHVNLRAIWTRGAPATIVILHDSELVVKTVVEDIVHVAEVCLDNTAIRLNASDFNTELYTSDYHNF -LLEYRPLLVKQKVMFINDIDLIHHNVSSSLFTIFDQYGPLVPDAFVIATLKHKRQKLQYAQSKVTPTEIV -EKILNENWRTLKKDVREPLIVRITDTVLAVYADQINAPPPKIESVTPTLNNTSQVINTLIHYSTHMLNGM -ENHSFNAYSQVNTTVYNFIDYLYDAYDTTTYQLVTRYNNMLMAIYDIRNNFYNNYPLRQDFYHQSLRSFD -AGLICDFLHHTDTIVLYQDCVKQELDTIYVIKHRYGINGNDYHMHLLKTPLTKQSLYDLSNAVGFTYKNK -NYNYYRTLFTNPGEYVITIRENKMEFCKSSNTPTPVFGPDANLDCFSYITSIQFIDHFISEFGIFLALYG -AALALILAVAITIRDNTTVMLIKQAVIYIYAFGPWLLTPRVYGSYIFVTIYNFIPYTSNNSYGCLLMMGI -IVIATIDVLSYMTQRYRADFTKNLLQLTTLTFELVAITYYILIPYLFTSYGIVLTIIAGYVAHSYIRSQR -PNYMRASVTNATAHADWVAYRNSTREKTDEAAKSNIRKIMNTSIADIKQEKLLECVYLAACYQATVATST -FNPQHSLHIPSYNTNIMFARDNEIMNHAVSTDLKNQSAASNPSIPHIALELPAAINPLIQYTTRTCVSSL -RGAIVNGYIYIQRHIFGGKQHEFDVCYANGKGLQKCKNLDRSKYDIDSAELIGTLIKIPLIDRHSIPDVK -LHPDPLSYNGPVTLYLSRYDTELGKDVLCVHTGFMSEGHHDIKTVFGDCGGMLFDPKGNFLGLHCAGSED -VIFMDTTTGKSNIWTSYKLQHPSEIMITLDNKINLPNPVNYDFETTKVVYKHPLRNVKATLETLQHLTNT -TNANIAYDPRLLSDFNITAEQYAHYGYNVDYTNFVNNFNRYTTTTIGTKSFETCLKYGLMDKRSEYHNQS -VNPFNKPEVVSKIDIVLDAAYVFVYMFTHTHPAFYIAAVCVFALLFVKMNKYLKMLLSTIIFIIPHIYVN -YYYGLLYMPIKWRQQITALAIRYNPHTAVAKFYNQDLKIAKDLAKELGTAKNLCIHLATLLKCIKPYTAF -NDLSQVINNVDDLMANWANTHNAEELLTHYIDEIYKLYPILFVVFEKIDSFDEQLKIILSYISDTGEFDM -NGFEIHFDEKEHTIKTTDTNIENIHEQIMVEKASIIALKNMNSEFDIETISTANIGELVRYLIISSTPET -LDRPLLARATELLVRHITQLRENNEHNDNLIALLSEIYKHKDFLTASHLTSNMRDRNYVMNNLIRVIALF -NKQINVQVTQKQYEARRIEEERKKESRQILEQNNRIRKMQRQNQNIASAIVHMVHACFANRFMLQNESQK -IMKALLGTGLELEPTEAEVNHTAAYLSGQVLTNKNITTNFTTLTTILWTGNGYQTLPSMCGTETYTCTAT -HKHGYFNCTMEIKDMWYKHTEECARCKSYYRNNKHPRCGALYDSTVKRFPTLSNFIARYRSCPSCMPCTQ -CISQREPGCESASYHVSDTAHYQNQAYLTPINIKPDNLEYNFVDSINGDVNAIYNGRIWLMRRTTAINPP -PARYRNITNLKLKQTDPEGYYYISDVCPTDLAILNAMINQIQLKLQDRTVLSNENIQEEFNNTMKFSSPL -NPLTLDELRTKHKYLVILKLRPDSDHLFMEFLEYIHTSKLPVFVVHLSHNDVQKDHATIYVNYIQAWRGE -LIDDTVKLCDILEKITKRPLDFSQWARTLSRNSNVARYHQLCTNTTVGLRHTIDISCNKTSTSYIDEANR -IVNVKIKAKVAKEYNVYEMLINQYPNIFLIKHKIVHDTIPHLLRYNLTALSFADLYGLIKEENWHPIYDT -LPQVTYHKIDPDLLFKIKQHTPSPQHTCCMLCRRFLAEFGLLLHKLNFKVYETTTNIYAHYDFVLTADNI -DLNGILDFEDYILREEVLVNIDVKSQLRIMQPYYHTLYSFYEHTGMYFISQPIYTSMVDPNVDLIQQFEL -AINNIRDLPLNGKFDDSPLHRPSIQHLTKYLKLNEYAMEPEPLWNSYDTMHCPQIEIPGIDSTITNIIIK -PTRPIPEYIELDYNTVGILEGDVYCKISHNKINNLQDILYNKPLDVIIHELYIVDHPYELESHNRMLRTS -LNIWLHNLYDANVNLSHFDSINYDKTQKVSFPIVGTIPATLLRECEICQDDIPEDLKDVYDFGSCVHAKA -QLFDYKTPRKLNPLIEFDTALLRHGEFLPTNDYAYTMKTKPAHIIDRELKDYIDSTGLTALIPPLNINPA -VHDPETTYSSSYYIKTPSEASIRQDLELFNQNTAGSVSPTVFLMAIELLHQLLTEEISASDGKPNCPMVP -SEVPVRNKHKSAGTPYRKFGDSEFMRELLGKYRDAIVYHKRHSADQQLTLTINKVAPSKNHRDRTILAIS -INKSEPGRSLYRWNLDKIKYTASLGGPILIGFTAQYGGWDKFYKQLYKNSPADQPDIAEYAVLGGKDYPK -WDRRISNMLQLTTTTVLYSLIDPNTQTKLNNATPSQTWHEYMAETTQVLFDYLVFGNELYQKPGGVTSGN -SRTADGNSLLHLLIDFYAIIIQLIQSTPDNVHLEPELRNKLCKTVFTRIPSDYIDVSNVTLRNTDVLRTI -RLRVAKGLYLSDDGLIVIDPRIIRYDDFMSISHLISHYMIAQNKHKYHIDAISRYAREFLSQDTIKFGDM -VYPIPEFGRMYTSMLLSDNKNILDPQINTTRLLALFSYLYIYYFKYMDQPTHPILKFLDAIRNYIETKLH -TTDEIFLDCVKVPDLQDVEFDLKNCDLYENLDYLWGLDQSSAYMEYLCKYKHRYRNLSIFKQQLIQQYEN -ALLHNENKLQNKGKLITYNCYVCGENAYLTCATCERAFCNSADTNHGSHIEQHLQYSGHNCLYLNCKTVK -CSHCYTTDIHLLYTNGRDHYCESHKPKNAVRILNNNVNANLPPLLYLCVTDARRIPFYEQCYINYTKAHP -LYAINKEQFMGLIQTYLHQDYTLPSNQLANRIRVSLQLSSYGVVRPYHQLIMQLTKLESKVLDSSVVDIP -ITLTNSQEIGTYYIEIPREYKLDQHSTYSYLIGTREVSFTPMYYRLSCTNTHIWQTDTQIPNYCTFIRQR -RLNTLSAILRNTTQHVPEYTRLLLEWHQQLPITAKHFIEFKPSLKIPAQQNVTDNINILLNELNNKRFKI -MFGGPGTGKSHTLSILINHLHETGLKILVYTPSHQSANALLFKIANALKRRGIQNPGLVRIITDGMKDEI -KPHPYITYRTNMLDKDRICVTTIQSFSTVQHVKDIDLVILDEFSLTSDNYLLTGLSHLKPSTRVLFSGDP -RQLSGVDELRKPLQSRFHTLINYYTETYPKEVHVLKYHFRCHPTIFKYFKDLYYADKDMECATSMADRII -RPLNPINTVQVGEPSYRQQGVILNKDETDKVLEILVLVNQTLTLHASYDYQPTIAIICSYKSQLQNFISL -QQQKILSDNVQLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAISRAKSVLFITVPPIDQNPTFLYK -DVYKTLNEHKLTYFKIFNNSGKAILSLDSPTTLKIQAENMKYINIRHHNPTMCRKFPINIVMDDYIFFDS -EFLNPRDNVQEPVMLSYGFTSKYGKRRIAGIPVRYIQDKFNRIVPLKYNYKDPNKPLTSTYACDWLRKAQ -PEQYKHLLNSITQGIHNNTIVDIRHLLNFCVDNIHVKPVIVTWSGASDHCFLKAHTLYPDIDVICNITTR -CTSQPIYASPQGKHTYYLCQYHAHQLKEQLNITHFVNLEIIDLKLDRNQYTDERTLKVYHNNNIKLILDI -KNIASNSLKDCHSTYCRTVHAPIQPHDPLDDAIMTQCIFQSLVKPHLEKLAYETQANLRAFIGMEYRLKN -FNPEMCKLRRELQKHWYKMYIERNIKHCNMGCGKEPLKAALHNIDILQGKNNPQNNMNTHTCEAEEHIYF -DSHWYKENGFTKPSYIFSDINKEHYYKLGTTGLQLYLNSKNAKYIHEFRNVDGDDVFRTFYNPICDLNRK -PHNAEIEFSKSIPDCIITSNIGEQFQTLVCNIHEEELDIISKISQATKYGYKFIYTGKTLLNNHVNLTKA -PLNWNHITLEIPGYNERKNVPNHMKIKALGILQILQDSMLYTNHQKLNPKLPVILPGSASNYGDTVIANE -MSKHLKQSTFIHIDPRLTSDNQTTHFRKTLREMLDIGYNTELIISDIHNTSVSWVPELVEYANKYLLDNG -TLIMKITSRGATEEALEQLENLSKNFTYVRVCNLNAVTLSSELWIVFANKRKPPVLGWTSHELRTELRRH -WYSMSRNIIQPLMRARPSTFRYSPK - ->YP_004598981.2 replicase polyprotein 1ab [Cavally virus] -MTYHDYALKDNAVLERDHKLALDNLVTNVIQYWTPILTMLLLAIYILKKIMQNPFVGPVSDNPLKRALQW -IIFVFTRRNLYYQTPVFTRDESRLNVFLHNDFARLDRNTLNGYCKICNLYGHNHTEKHNPTIDALVLAKT -CKLLRYNDKVTKPLAYTVHNIRAYEKNTKTFADTFGTTTTNIPTKYALAPKKAVADLTTIESNLGPIYVN -NTIAYPHLGFIAYNNKQHLQELLANVTVVLDTVMVYTQYELDDATINIRKSNIKLHFVNDFDLTNALTNE -LKDPRTPWLLKAKKSSNKALEIEDDTEAEETQKTKRKGKLQPQTQLLQHTLAKQSKPARRQSHLTFGPAY -MTMLCLISIMSPTQAKVCTTYEILDQADLYCNNLQNLTIAKYHAYANYEHMNKQCFSTDGAEFKDLVRLS -VSNALNLNNVIKPLPKDDYILKAFSNALPLNTHVLSDYTTILDLQILMQFYNLNGSNVLYTENYSESEDY -TGKVIQLLAQGTGGICKAPACIKFTGLDPTATDVEVKITERLTKRIKHQEHGKSLIIDPSCRKTCYCMRK -PEIKPEPVEPVKYAPPAEFYTQLRYFQNHELRMYDDFEMGVLRYNNYTLSTFIYSNDTCIQTRGVHCVYN -SEHFVITRVYNNLGNYLECGVNQEFCESLQQEFMFNEPQLVITESSTAEVSAQYKKICANHYTTLQSKYP -LIEKLFWSNFNVSVNRALAAKEPATFLIVYDTPAIVKTIIADIIETMEECYGNTAIKLTSNDFNKLDDYN -DFLIEHKPLLAKHKIMLIEDIDLIKVSMARAIYTIFDTYNPLVYGVFVLGTLNHKRYNETLAFNYYNGQT -PTSYVEGILTNNWRELEDHTRQPLIIRVTDNVHTILARQLVTPPAKLAETMSKIPPLNNTSKVINTLTHY -SAHILRTVENDSTQAYTYINKSVHNITDYVNGTVHNFTDYVYTAYNTTKNHIVTRYNNMLMAVYDIQLNF -YNRYPLRQDFYHKGMRAFDLGRVCDFLHHSDTIVLYQDCINQKLDTIHVIKLRYGQNANAYHMYPLELPH -TKQTIYELSDAIGFVYKDRKYNYFRTLFTNPGEYVLTIRENYLEYCKSDNSPTPAFAPDVPLQCFAYITG -VQVVDSFIAEFGLFLMLYIAALIIILAIAITIRDNTMMMFLKLITIFAYTFGHLLLTPRVYGSYMFLSIY -NILPYTSNTSYGCLLMLGALAIAVIDLLAYITQRYRSEFTKNVLQLVTLFFEIAAVTKYILIPYIFTSYG -LVLTIIVSYVAYRYIHSRRPNYLKATVSNATAHADWVAYRNTTREKTDEAAKSNLSKIINTSVAEIQKDQ -LLECLYLAACHRATVASSTYNPKHYLHIPNYNTKIMFARDNELMNYSVLSTDFKNKSAASNPSISHIVLE -MPVAINPLIKYTTRTSVSSLRGAVVNGYIYIQRHLFGSKKQEFEACYNNGKGLLNCKNLERSKYDIDSAE -LIGTLIRIPLHDKHSIPHISIHPDPLSYNGPVTLYLSRYDTELNKDVLCVHTGFMSEGHHDIKTVFGDCG -GMLFDPKGRLLGLHCAGSDDVVFMDTTTGKSNIWTSYKLQHPSEIMITLNNEINLPNPANYDFETTKVVY -QHPLRNVCATLETLQHLTNKTNAKLPYDSRLLSDFNITAEQYNQYGYYIDYNNFVNNFNRYTTTTIGTKS -FETCIKYGLMDNKKPDYYNQSATIFNSPEHSSSGFDNTMDVLYVFVYMFTHTHPAFYIAAACVFGLFFVK -MNRHLKMILSSIIFAIPHIYVNYYYGLVYMPLKWRKQITALAIRYNPYTAVAIRYNKNLNIAKDVAKELG -TPKNLCTHLSTLLKCIKPYAAFNDLSQVINNVDDLMANWANTYNPEKLLKQYIEEIYKLYPILFIVFERI -ESYEDQIKTILSFISDTGEFDLNGFEIHFDEKEHTTNIIDTNVEDIREKLMAAKASLIALKNMNSEFDIE -TINSANIGELVRYLIISSTPETLDRDLLARTTELLVRHIHQLRDDSEHNENLITLLSEIYKHKDFLTASH -LTSNLRDRNYVMNNLVRVIALFNKQINMQVAQKQYEARRIEDVRKKESKQIMEQNNRIRKMQRQNQNIAS -AIVHMVHACFANRFMLQNEAQKIMKALLGTNLELDPSDAEMQYYTAYRTGQVLTNQAIVTNFTTLTTILW -TGNGYQTVPSMCGLHEFTCTATHKHGYFNCTMEIKDAWYKHAEECTKCKSYYRANKHPRCGAIYDTTVKR -IPTLSNFIARYRSCPSCMPCTQCLSHREPGCESASYHIADTAHYQNQAYLTPINIKPDNLEYNFVDVNNG -DVNAIYNGRIWLMRRTTAITPPPARYRNITNLKLKQTDPEGYYYISEVCPTDLAILNAMINQIQLKLLDR -TVLNNENHVENDNTIKFNTPLNDTTLDDLRTKHKHLLVMKLKPDSEHHFIEVLDFVRMNNLPIFIAHVTY -AENDVNHATIYVNYLQAWRNEILDDVTKTCDILEKIIKHPLDFSKWARTLSRNSNVARYHQLCTNTNNGI -RHTIDISCNKTSISYIDEVNNNVNVKIKQHIVKEYKIYEMLINQYPNLFLIEHKLVNFTIPHLLRYNMTA -LSFADLYGLIKEENWHPIYDTLPQVTYHKINDDLLLKIKSHTPSPQHTCCMLCRRFLVEFGLLLHKLNYK -VFETTRAILTHYDFVLTADNVDLNGILDFEDYKLKKSTIAHDVKSQLRIMQPYYHALYSFYEHTGMYFIS -QPIYNSIVDPSLDLIQQFELAVEAIRNLPLDVKFDDTPLYRPTIQHLTEYLKLNIYAMEPEPLWNCYDTM -NCPQIELPEIDNAITSIITKPTRPLSEYIELNHTTVKNLDGDVYCKIHHNEINNLQDILYSKPTDVTIHE -LYIVDHPYELESHNRMLRTSLNIWLHNLYDANVNLSHFDSINYDKTRKASFPIVGTVPAIPLRDCEVCQD -EIPDDLKDVYDFGSCVHARAQLSDYTTPRKLNPLIEFDPALLRHGEFLPNNDYAYTMKTKPDHLIDCELK -DYIDSTGLTALIPPLDINPAVHDPETTYSSSYYIKTPSETSIRQDLELFNQNTAGSVSPTVFLMAIELLH -QLLTEEISASDGKPNCPMVPSEVPVRNKHKSAGTPYRKFGDSEFMRELYGNYRDAIVYHKRHSADQQLTL -TINKVAPSKNHRDRTILAISINKSEPGRSLYRWNLDKIKYTSSLGGPILIGFTAQYGGWDKLYKYLYKNS -PADHPDIAEHAVLGGKDYPKWDRRISNMLQLTTTTVLYSLIDPNTQSKLNNATPAQTWHEYMAETTQVLF -DYLVFGNELYQKPGGVTSGNSRTADGNSLLHLLIDFYAIISQLIQSTPENVHLEVNLRNALCKTVFTKIP -SDYIDSSCVTLRNTDILHTIRRRVAKGAYLSDDGLIVIDPRIIRYDDFMSVSHLISHYMIAQNKHKYHID -AIQRYAREFLSQDTIKFGDMVYPIPEFGRMYTAMLLSDNKNTLDPQINITRLLALFSYLYIYYFKYVDQP -THPILKFLDALRTYIELKLNTTDEIFLDCIKVPDLQDVEFDLKNCDLYENFDYLWGLDQSSAYMDYLCKY -KHRYRNLSLFKRQLIQHHEEAQLHNENKLKNKGRLITYNCYVCGENAYLTCATCERAFCNSADTNHGSHM -EQHLQYSGHTCLYLNCKTVKCHHCFTTDINLLYTTGRDHYCEAHKPKNAVRILNHNDNTKLPPLLYLCVT -DTKRVTFYEQCYINYTKAHPTYAISKEQFMGLIQLYLHQDYTLPVNQLANRIRVSLQLSSYGVVRPYHQL -IMQLTKLESKVLDSSVVDIPITLINSQEIGTYYIEIPREHKLDQHSTYSYLMGTREVSFTPNYHRLSSTN -THIWLTDTQIPNYCTFIRQRRLNTLSAILRNTTQHVPEYTRLLLEWNQQLPITAKPFAEFKPSLKIPAQP -NVTDNINMLLKELNEKRFKIMFGGPGTGKSHTLSILINHLHEKGLRILVYTPSHQSANALLYKIANLIKR -RTIQNPGLVRIITDGMKEEIKPHPYITYRTNMLDKDRICVTTIQSFSTVQHVKDIDLVILDEFSLTSDNY -LLTGLAHLKPSTRVLFSGDPRQLSGVDEVRKPLQSRFHTLINYYTETYPREVHVLKYHFRCHPTIFQYFK -DLYYADKDMECATSIADRIIRPLNPINTVQVSEPTFRNQGVILNQDEADKVLEILVLVNQTLALHSSYEY -QPTIAIICSYKSQLQNFISLQQQKILSDNVNLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAISRA -KSVLFITVPPIDKNPAFLFKDVYETLHKHNLTYFKIYNTSGKAILSLDSPTTLKTQAEKMPYTNVRNLDK -ETHTMQRKFPMNIVMDDCICFDAEFLNPRDNLQEPVMLSYGFSSKYGKRRIAGIPVRYIKDKFNRIIPHK -YNYKDNNKPLTSTYSCDWMKKQHPDQYKHLLTSVLQGIRNDTTVDLRPLLNFCVDNMHVKPVIVTWSGAS -DHCFLRAHTLYPDISTVCNITTRCTSQPIYASPQGRHTYYLCQYHAHQLKDHINITHFVNLEIIDLKVDR -NQYTNERTLRVYHNDYLKLTLDLDNVASNSLTDCHTRYCRTIHAPPTPHDPLDDAIMTQCIYQSFVLSHL -EKLAYEPQANLKAFTSMDYRLKNFNPEMCKLRRELQKVWYEKYIDTKKTHCNMGCGKEPLQQALHNIDVL -QGKSNPQNNMNTHTCDSEEHIYFDSHWYKDGGFKKPSYIFSDINKEHYYKLGTTGLCLYLNSKYAKYVHE -YRTVSGNDVFKSLYSPYCDLGRKPHQAVIEPSCSIPDCIITSNIGERFQTLVCNVHQDQMELISKIAQAT -KYGYQFIYTGKILLNNHAALAKAPLDWDHLTLEIPGYNTRKQHSSHMTTKALGILHILQDSMLYTNRKTL -NPNLPVILPGSASYLGDTVLANEMSKTLKQTKFVHIDPRLKIDNNTTHHRKTLMEMLDIGYTTELIISDI -HDNNNPWIPELMEYTLKYLIDTGTLIMKITSRGATEAVLQQLEHMAKNFTYVRVCNLNAVTFSSELWIVF -ANKRKPPVQGWTSHELRAELRKHWYSMTRSIIQPLMRARQSVFRYSPK - ->YP_007697642.1 ORF1ab [Alphamesonivirus 4] -MTYHDFANKDNVALARDQALATDAFYIKVFGIWTPITLAIIYLIGHKLKNPFSGPVADNLLKRLLQKFIY -LFTRKYLYYQIPSYTRDEFRTNIFLSSDFARVDRTNLVGYCKKCNLYGHVDQSPKHTITFDSLILAKTCK -LLSYNEKLSDLKTFTIHNIKSFEKNSKLFSENFGNPTTIIPSKFALAPKAAVSQLTTIEANIGLIYVNNT -AQYPHLGFIAYNNQEQLEQQVAILSTVIDNLMVYTTYSINDVTTMNLHTSVEELTFTNDTDLTLALQNEL -KDPRSAWLLKAKKVTNRVVDNEDEATDQEHQQKPRRERTLKSENQLLQHTNAKAPKIEKFKHRQAPRLMF -GPTFMSMLFMLSIINTTYSTICTQYQLAAEADVYCDQVKNLTQEYLPYYNYEQALKQCFSVDGFNYVDLI -RLSVNKPQLNLRNVLKPEINSDIHKALTNTLPFNTYILSTFETYLDIQILLNYYNINISESNILFTEQHT -YTKEYAGRIVQLTTQSTEISCQLPSCIYYHGFTHEPLDLEINITTRVTKRIQHQAEGTPIQINPACKETC -TCMNKIEPEPVKPLLLAPPREFYTNIHYFQRYELLMYDNLETGVLQYNNYSLDRHIYQNDNCYKHTDKYC -VYDPELFETIIVYNKYGNHLECGVNHEFCISLQKEFVYVEEIDTVEIKQVNNEIQCALPLDTLRTKYPKI -DDKVFVQFNVGIKNAIQNKSPSTTTFLYDSDLVVKSLVEDLIVATENCFDRLALRLNYTYFNQPQYLEDY -RALINDLKPQLEKHSVLVIDDIDKISPQTIQSLFQICDAYEPLVPRAVIIATINTEQAFEIVHTDENIFN -MDPVAYGLKDVEDLYTKRWGSLDQNMLIPLIVRLTENVFTITLEHLTGIKPPTPVVVVPTVTPTENIIYK -TANTYTHYSAYLLEVFNRERHYAYDYLADTVEVFNNVSRVVCDTVYDSVHLRYNNVRKAYNDIKRNFANQ -YPQRRDFYRHGQRAFDAGQVCEFLHHTDTIVLYQDCVQDKLEDIYVMKLRYGVDANEYYTHKLETPFTKQ -TYHDLTEATGFIYKDYNYIYFRPLFTNPGEHVLTIREDYLEYCKSDTSPTPAFATEAPLSCYAYITGVQF -LDHFISEFGWFFILYTAALLIILVISVAIREYTTTMIVKMTLIFLYSFGPWLLTPKFYGSYILVKLYNSL -PYLIGNSYGCLLVLSVLLITTIDLMSYTTQRFKPEFSRHVLQISTLAFEVMAVLYYIFIPYLFTSYGFVI -TILVSYVAYIHYLSQRPNYKRSYVTNEVAHADWVRYRNSTREKTDEAAKSNLRKIINTNVADLNKESLLE -CIYLATCYRATVQDSPFNPRHQLHIPSYNASVMFSRDNEIMMQSLMTSTDFKNKSAASNPSIPHKVLGLP -VTINPLITYMSNKYASSLRGSVVNGYIYIQRHLFGSNKNDFLVCYNNGKGLEKCKNLERSKYDIDSAELI -GTLIKIPLVDRNSVVDIELHPEPLTYNGPVTLYLSRYDSEQGKDVLCVHTGFMSEGHHDIRTVFGDCGGM -LFDTKGRLLGLHCAGSEDVVFMDKLQGKSNIWTSYKMQHPSEIMITLNNEINLPEPVDYDFNTNKVIYHH -PLRNVMATLETLQYLTNSTNARMPYDKRLLSDFNITAEQYEQYGLYVDYNQFVKNFNQYTTTLIGTKTFE -SCIKYGITDKSTTTYRNQSNPLMLPTPVNNGFDDIMDMLYVFIYMFTQIHPSFYVAAVAVVVLLFIKMNK -VLKLTLITLICIIPHIYINSYLGLLYLPVKWRAQICGLKYKKLNSTAMTTTYDNQNLKIAEGVARELGTR -KNLCTHLSTLLKCIKPYPAFSELSQVVNNVDDLMANWNSTHNAEELLQQYIDEIYKLYPILFVVFEKIDN -YDLQIRTILAYISDAGEFDLKGFSIHFDENEHTTEIVGTSNETEHLANRLMDAKASIIALKNMNVEFDIE -TLNDANIGELVRYMIVSSTPETLDREILTRATEMLVRYINRIRENNETNEQLIPLLSEIYKHKDFLTAAH -LTSNVKDKNFILNNLIRVIALFNKQINLQVQQKQIEARRIEDERKKESKLIMEQNNRIRKMQRQNQNIAS -AIVHMVHACFANRFMLQNESRKIMKALIGLNLELDPSEPESQYYAERRAGKCYSNQTIVTNFTTLTTILW -TGNGYQKLPSMCGDAEFVCTQQHKHGYFNCTMEIKDAWYKHVEECPKCKNYYRQNKHPRCGGLYPSDVKR -YPTLSNFISRYRSCPSCMPCTQCLSRREHNCENDSYHFSDATQVANQAYLTPINIKPDSLEYNFVDVNNG -DVNAVYNGRVWLMRRTQAIIPPPSRYRNITNLKLKNLDPEGYYYISDVCPTDLAILNAMINQIQVKLQER -TFVHNENFTQEPTIDTTYRTPINEQLLSQLRENHKYLLVLKLKPDSDNLHYELLRFVKTLSFPVHVCHIT -YDEITTNHVAMYVNYTQVWRTTVNDNLETTYEMLKRIDQTPLDFSKWARTLSRNSNVARYHQLHTKRLGV -ERYTIDISCNKTSTSYVNEQSQIVNVKFKAHVKYEQLVYDTLINQESDLFLIEHQLETQPIPYLKRLDMT -ALSVADLYGVIKDENWHPIYDTLPQVKYHKLDQDLLKKIKEHTPCPQHTCCLLCRRFLAEFGLLMHKLNF -KVYETTTTLLRSYEFVLTADNVDLNGILDFEDYLQLDEPRNVECVDQLRKMQPYYHSLYSIYEHAGMYFI -SQPIYKHNVDSSVDLIQQFESVLHSVRNLPLDASFDDTPLYRPTIQHLTQYLKLNIYAMDPEPLWNSYDT -MQCPQLEIPGIDNTVTNIIVKPTRPIPEYIELTHSNVKNFNGPTYCFVNHSAINNIRDILNAMPQDATIH -ELYIVDHPYELESHNRMLRTSLNIWLHNLYDANVNLSHFDSINYEKTHQANFPIVGSVPAVPLLDCELCK -DKITDDIKAVYDIGSCIHAKAQLEDYQLPRKVNPLVEFDTALLKIGTFRPGSDYTYTMKTKPDLLVDSEL -KEYIDNSGLTALIPPLNPNPSVHDPATTFTSPYYIKTPSEASIREDLEMFNQNTAGSVSPTVFLMAVELL -KQLLTEEILASDGLPNCPMVPSEVPVRHKHKSSGTPYRGHGDAEFMRQLYGSARDDLVYHKRHSADQMLT -LVINKVANSTKHRDRTILAININKSEPGRALYRWHLDKIKYTANNGGPILIGFIAQYGGWDKFYKQLYKN -SPSDNPETAQYAVLGGKDYPKWDRRISNMLQLTTTNVLFSLIDQTTQLRRNNANPAETWHEYMAETTQVL -FDYLVFGNELHQKPGGVTSGNSRTADGNSLLHLLIDFYAIIIQLIQSTPENVDLQSELRSRLCKIVFTKI -PADYIDDLNTDRLVKTDVLKTIRLNVAKGLYLSDDGLLVFDPRIIRYDDFMAVSHMISYYMIAQNKHKYH -IDAIERYAREFLSQDTIKFGDMVYPIPEFGRIYSAMLLSDNKNTLDPQINTTRLLALFSYLYMYYFKFVD -QPTEPTLKLLDIIRDYLEQKLDSTEEIFLDCVKIPDLQDVTFDLRNCDMYENFDHLWGLDLSSNYMEYLC -KYQHRYRNLSLFKRQMIQQYEQDKLNNENKLVNKGKLITYNCYVCGENAYLTCATCERAFCNSSDSIHGS -HLEQHLQYSEHNCLYLNCRTVKCSHCYTTDINVLYTSGKNVFCEAHKPKNAACLIQNNVNPKSPPHLYYC -VTAPRRISFFEQCYTNYTQAHPHKLIIKEDFMSLVQTYLHQDYTLPANQLANRIRVRMQLSSYGIVRPYY -QLVMQLTKLESRVLDASVVDIPITPTNIQEIGTYHIEIPQEYKLDQHSTYAYLIGSREVVFTPTYYRLSS -KNTHIWQTDIQIPNYSTFIRQRRLNTLSAIIRNTTQHVPEFTRLLLAWNQQLPILAKRFPIFKPSLKISA -EPNVVDNINVLLSELNEKRFKIMFGGPGTGKSYTLSILINHLHESGFKILIYTPSHQSANALLYKIANVM -RKRNIQNPRLVRIITEGMKDELKPHPYITPRTHMLDQDCICVTTIQSFPTVQHVDVDLVILDEFSLTSDN -YLLTGLSHLKLSTRVLFSGDPKQLSGVDEIRKPLLPRFHTLINYYAETYPKELLVLKHHFRCHPSIFQFF -KDLYYADKDMVCATAASDRIIRPLHPINTIQVEGPSYRNQGVIRNENETEKVLDILVLVSQVLSLHASFN -YQPTIAIICSYKSQLQNFASLQQLKVIPEYVNLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAISR -AKSVLFITVPPVDNNPAFLYRDVYAKLQAYELTYFKIFNNSGKAIIELNSPTTLQNKASESFMNIRQLPK -EDYTMKRRFPMNVVLDDYIYFDSEFLNPKDNPPEPVMLSYGFSSKHGKRRISGTPMRYIKDNYNRITPIK -YNFKDKDKPLTSTYVSSWMKKDLPTQFKHLNNAVCKGISDNTIVDVKHMLNFCIDNVHVKPVIVTWAGTS -DHCFLRANTLYPDVSQTCNIASRCLSQPIYASPQGRHTYYLCQYHAHKFKEQLNITHFVNLEIIDLSVDK -NKHTDERNLRVTHNNELKLKLEISKSIASNKLQDCHDFYCNTIHTPITPHDPLDDAIMTQCIFQSLVQPH -FEKLAYEQGANLRAFLSMDYRLRNFNIEMCKLRRELQIEWYERYTSINTSRTHCNMGCGKEKLSNALHNI -DIKQGKNLHNDMNTHICSSDEHIYFDSHWYKINGFSKPSYIFSDMNKEHYYNLGTTGLCLYLNSKYAKYC -HVYQEVTGTDVFKSLYSSSCELELKPHKAIITDCTSTPDCINSSTLGSQFQTIVCSLHYGQMDIISKISQ -ATQYGYRFVYTGSELNNKAHLNLAPHTWDHQTLEIPGYSTRINNPCHMQTKAIGVLRILQDSILYTPRKT -LNAKYPVILPGSASNYGETILANEFRRHLKQSKFIHIDPRLKLDNNTTHFKKTLKDMLPIGYPTELIISD -IHNNDDPTWITELIDYTHKYLLDTGTLIMKITSRGATSTALEQLENLSRIFTYVRVCNVNAVTCSSELWI -VFADKRKPTVKGWTSHDVRSELRKHWYSMTQNIKNPILRYRLDIFRYSPK - ->YP_007697636.1 ORF1ab [Alphamesonivirus 3] -MTYHDYAAYDNVVLQRDHITNTQTFYINMLTYWTPLSILSVFCIYLLKRFMPNPLSGPVNDNTLKTLIQR -IHYFFTRKYYYYQVPVYARDESRQNVFLTPDYARIDRVNLTEFCTRCNLYGHNTQSAKHVNTIDALILAN -VCKIRKFNKDLKQVQAYTVHNIKAFEHNPKLFTEIFGTPVSIIPSRFALAPKSTLATLKPKSTNLGQIYI -NDTIEHPHIGFFAYENQEQLNDLIAQLSLVLDHLMVYTDLPIPDVPTMQLYKDSSLLHFTNNTNLLCAFT -SYQKEARTNWLFKEVKSTKVDDEDEHNLEKQEIQLKPQTNLLKYKTSKIGKSKARLPAEESTTENPQGKQ -TKIGVNPVRVAFGPSFMTLLCIFALVQTSFSKICTEYHNTNEDGIPCQLVNNLTNTKYMAFYNYEQVKKP -CFSLDGNNYEPLVRLSMQTTDLNLNNIIKPQPIDEYLLKAFYGLLPLNTFVLSTLETKTDLQILAEVYNI -NTTDNSKIVYNTPNTIRSDYVGKILQLSDKVTFDCEAPTCILFSGLNFNASALDIKITNHLTRRIEHSTT -GRPLIISPECKQICSCSMQSTVKQEPEVINPSQDFYTKLRYFQQYELRMYDDLMSGVLQYNNYKLERHMY -KNSYCLSTDTKTNHCIYDPTLFNTTVVKNANGNYLECGVNHKFCEDFQLEFAAVEYIPEIQPKTTDVCEP -LFNYLKSSDLTSDAISIIQIITQATKEKLPANQITFALNGTLRDLQPLATYMQNCVDANNIVKTTSGSEL -DLYTDRNSLMEHLQTIYSNTNIILVNDVQDLDCNKATAFFSFIDPYIDIPTYPHTLTLFTQQVKLFNVSS -LQYLTDYYQNCIVTNEWNTPFAVRMTENIITISTVFDDSNEIPIETPLESQILNTLNYYTTYFSQVFYTK -QTTYTDLFTATYETLKQQLTTRVHNVVDSFTTMTEKFEQHYPLRNDFYHQTLRAFDLGRFCDFLHHTDTL -SLYNDCVSDQLQPISVINLRYGLDSNAYSTHDIDLPINKQSLYSLTQATGYIYRGQKHLFYRPLFTNANQ -YVLTVREDYLEYCKTSTIPVPAFTPETTLTCFPYITGAQSIDHFIAEIGPFLILYTAALTIIVLAAVQIR -ENKYIMFIKMCIIFVYAFGPRILTPAFPGSFILSWLYNHIPFVASTSYGVLLMLSILLTVTIDFVSFVTT -YSKRTPEQLRTCVLHFVALVFEVLATFYYILLPYLFTGYGILMLIITTYVAHIYRRSQLPNYRRSSVTMA -TAHADWVKYRESTREKTDEAAKSSLRRVMNMYIGDATSDKLLESVYLAACYTNTVLAPTFNPRHTLHIPR -CSNSVFCSTASEVMNHSSNDSNMSTTIRNKSALSNPSIPYIALPLPVSINPLITYDSVSSLRGSVVNGYI -YILRHLFGSNQKDFDSHYANGKGLNKCKNLERSKYDIDNAELIGTLIRIPLVDPKSVPDVKIHPKPLSYT -GPVTLYLSRYDSETAKDVLCVHTGFIAEGHHDIRTVFGDCGGMLFDPRGQLLGLHCAGSADVSFLNCTTG -TPNIWTSYKIQHPSEIMITLDNGINLPQPEDYDFYTAKICYQHPLRDVRATLQTLQYLTNQGNTKLPYDP -QLLADFNITAEQYNQHGYYIDYKNFIQNFIKYTNTLINSRSFEMSIKYGVANLQTNLTNQSETQLAINNP -IAKLATDYTYLLDLLYIIIYMLSNRSPLHIICALVSMIIIFIKMDKRIKVTLLSLCYAIPLFYYRIYIGL -ICIPLRLTALPAFTKPLTALNVYRNKNLKLAKTLATELGTSKNLCIQLATLLKCIKPYPAFSELEQVVNN -VDDLMAKWDQTHDAEAVLKQNIDEIYKLYPILFIVFEQVEKYDDQIKLIMSYINDTGNFDLNGFEINYDE -NEHTTKIIDPALDNLQMELVEKQDCLVTLRNMNSEFDISSIQSANIGELVRYLIVSSTPETLNRELLTQA -TEALVQHITTLRNSNDHSENLIPLLSEIYKHKDLLTSSYLTAHAKDKNFVMNSLVRVIALFNKQINLQVQ -QATIEAKKVERARMAESKTIIEQNNRIRKIQRQNQNIASAIVHMVHACFANRFMLQNEARKITKALAGTN -VEFELTEAESDYYYQGRVKNTVMNNQAITTNFTTLSTVLWTGNGYQKLPSMCGSEEFVCTLPHKHGYFNC -TMQIKDAWYAHVDACERCRTYYKNNRHPRCGAIYPADLKRYATLSNFVSRYHSCPQCLPCTQCLSIREST -CSINCYHPSDNSVIINQAYLTPLNIKPDSLEYTFIDKTIGDVNAVYNGRIWLMRRSIPISPPPARYRHIT -NLKLKQADPEGYYYISDVCPTDIAILNAMINQIQLKLQDCATMSNESLTLIDTQTQINSTIFCKPVNDNM -LDELKENHIYLLILKLQPQDEHLYIAMENYLSKLNPSIHICLIIHNEIPSNHLSLYINCMQVWRHSINHD -NPESLSESVNKMTINTDFSKWARSLSRNTNVARYHQIRTVSKQNVRYTLDISCNKTSVSYITPNSEIVNV -KVKPNIEKEHTIYEMLTKTSKIDLFLIKHTFMRQSINYLMRHNMTALSLADLYGVIKSENWKPLYDTLPQ -VTYHKISPTLLNNIQQHSSAPQHTCCMLCRRFLAEFGLLMHKLNKQVFSTINFMFQHYDFVLTSDNVDLN -GILDFEDYTKTEYTRTLELKDQLRKMQPYYHTLYSIYEHTGMYFISQPIYARPIDPSIDLIDQFEMAISN -IREFPLDGTFDDSTLYRPSIQHFAEYLKLDVYAVKPEPLWNCYDSMQAPQITIPEHDSIQVILKPTRPMS -EYIELTHNTIKTFDGTIFCKIDHSQFNQLRDILCNLPNDAVIHELFIENHPYELEAYTRMLKVNLNIWLN -NLYDANVNLAHFDSINYEHTKTISFPIIGKMPATLLADCEQCQDEIPNDIKAVYDLGSNVHAKANLQDYK -TPRKINPLVEFDTALLKHGDFNEHNEYKYTMKTKPDLYIDYELKSHLDSTGITALIPPLNINPIVNDPNL -TFTSPYYIKTPSADSIKEDLEMFNQNTAAAVSPVVYLMATELLHQLLTETILASDGKPNCPMVPSEVPIR -NKHKSSGTPYRTFGDSEFMRTLYGEYRDKLVYHKRHSADQALTLVINKVAISTKHRDRTILAININKSEA -GRSLFRWFLDKIKDTANKGGPILIGLIAQYGGWDKLYKNLYKDSPIDNPDATEHVVLGGKDYPKWDRRIS -NMLQLTTTNVFFNLIDQNTQFKNNQASPSETWHEYMSETTQILFDYLVFGNELYQKPGGVTSGNSRTADG -NSFLHMLIDMYALIIQLIQSTPENVHIESKLRNTLCKTVFETIPSDYIDNTNVNLLRNTDILHRIRTRIA -KGAYLSDDGLILIDTRLVKYDDFMAESHMISKYAIATNKHKYHLDPVQRKAREFLSQDTFHFGDMAFPIP -EFGRIYSAMLLSDNRNTLEPSISITRLLALYSYLYIFYFKYANTPTHHELKLLDAIRTYIDDHLENTEDI -FLDCVKIPELQDVQFDLKNCDMFENFDHLWGLDQSSAYIEYLHKYRYRYKNLSLFKVQLLQEYELQLNSH -NKTELQNKGQLITYNCYVCGENAYITCATCEKSFCNSKDTIHGSHIEQHLQYSGHDCLYLNCKTIKCRHC -FAIDINKLYTSGKDMYFCETHKPKHAIRLVNNNVASNLPPLLYLCVTEAKSVSFYEQCYRSYIATHPTYV -VTHEKFMSLVQLYLYQDYSIPSNQLANRIRILLQLSSYGIVRPYYQLIMQLTKIESKVIDASVVDIPITP -TNIQEAGSFYIEVPLEYKLDQHSSYSYLIGTREVTINLTHHNLSSNKTYIWKTDVQIPNYCTFIRQRRLN -TLSAILRNTTQRVPEFTRLLLSWNQQLPISARTFPRFTPSLKIPSQPNVAQNINTLLNDLNEHRFKIMFG -GPGTGKSHTLSILVNHLHEQGFKILIYTPSHQSANALLFKIANIMKRRHIQNSGLVRIITEGMKDEIKPH -PFITYRASMLEKDRICVTTIQSFSTVQHVRDIDLVILDEFSLTSDNYLLTGLSHLKPSTRVLFSGDPRQL -SGVDETRKPLQPRFHTLINFYTETYPNEVHVLKDHFRCHPHIFQYFKDQYYADKDMVCATKAEDRLIRPL -NPINTVHVGPPTYRNQGVILNQDETDKVLDILILVTQTLTLHASQEYQPSLAIICSYKSQLQHFATLQNQ -KIIPEFVKLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAISRAKSVLFITVPPIDQNPAFLYQDVY -KTLGQHNLSYFRIFNNSGKVVKVTLSTTLHNQSAPLEYINIRNIASSKQTLQRKYPINVIMDDYIFFDSE -FLNPRDDPREPVMLSYGFTSAYGNRRISGTPMRYVYSKFNQLTPVKYNYKDNNKPLTSTYIATWLKAKAP -EQYNYLRDTITQGIKNNVTVDIKHLLNFCIDNMHVKPVIITWAGDNDHCFLKANTLYPPAAQICNITPRC -TSTPIYASAQTCHTYYLCQFHAQTFKEQLTITHFVNLEIIDLKAINNKQTNEKTLRLTHNNELKLTIELS -QDIKSNSLNDCHAYYCNTTHRPITPHDPLDDALMTQCIFKSVVQPQLESIAYDNANLNAFTSMDYRLRNF -DPEMCKLRRDLQKHWYAKYSETSITHCNMGCGKQPLKQALHNIDINQGKNNPQNNMNTHLCDAQEHIYFD -SHWYKTGGFSKPSYIFSDINKEHYYKLGATGLCLYLNSKHAKYLHAFQPISGNDVFKTEFYNSQCTTNRT -PHHLSIEDNTSMPACIIQSSSNEDFHTFICDGHYDQMQIISDISKATKYGYQFIKTGPTTLSNHSNLQKA -PSNWNHTQLLIPGYETRKISPPGHMLNKALGILSILQDSMLYTHRTTLNKNLPIIHPGAAGFNGDTILAN -EFRKYLKQSKIINIDPRLKRNDDTHYKLLLKDMLPKGFNTELIISDIHSDVEWIPELIEYTNKYLQQSGT -LIMKITSRMATEKAIQQLETLSENFTYVRVCNLNVVTCSSELWIVFANNRKPPVNGWTSHDLRNELRKHW -YSMTHNILHPTIRSRLDVFRYSPK - ->YP_007697629.1 ORF1ab [Alphamesonivirus 2] -MTYHDYALKDNVVLERDQQLAAENYVINVLSCLFAILTGLLVLPYGLKIVKNPFAGPVSDNFLKRFLQRI -IFVFTRQYIYYQVPVFARDESRLNIFLHNDFARLDRNNLNGYCTKCNLYGHTNTEKHNPTIDALVLAKTC -KILRYNDKVTKPLAYTVHNIRAYEKNTKTFTDIFGNTTTNIPTKYALAPKKALCNLNSIESNLGPIYVNN -TIAYPHLGLIAYNDKQHLQELLANLAVVLDTFMVYTQYELDDTTINVRKSAIRLSFENDFDLTNALTNEM -KDPRTPWLLKAKKTSNKSLELEDDTEAEEPQPKRKGKKLKPQTKLLQHTLAKQNKTARNQTPLGFGPTFM -TMLCLTCILTSTQAKICTEYDTISQSDVYCNTVQNLTISKYHAYANYEHLNRQCFSTDGVEFKDLIRLSV -SNVLNLNNIIKPTLKDDYILKAFSNALPLNTYVLSDYNTFQDLQILMQFYNLNSSTVVYTENYSASENYV -GKVVQLLAQGTGGICAAPTCILFTGLATTVTDVEIKVTERLTKRIKHQEHGKPLFVDPSCKTTCTCMDKP -KVEPITIEPVKYAPHADFYTQLRYFQNYELRIYDDFEMGVLRYNNYTLNTFIYSNETCILPHGHHCIYNL -ENFETTRVYNHLGNHLECGVHQEFCESLQQEFMYNEPTLVISEIPIAEEPIMYHKICDNHYTALKSKYPL -IAENFWRMYNVSMKHALSETSTEPLATIVIVHDTETIVKTVIADLIHVVEECYDKTALKLTYLDFNNVED -YDQILVKITPLLQQHKILVIEDIDLIKASSARALFSIFDTYEPLVKGAFIIATLNHKRYNETLAFNDYNP -TTPASYVDDILHKNWQELPNHTRLPLITRVGDNVHTIFGKQLVTPPEKLIPEMPKMPALNNTSTVINTLT -HYSAHILQSVGNDSTLAYNYINNSVYNITESVNDIIYNFTDYVKMAYNTTKYHIFTRYNNMLMAIYDIQQ -NYYNQYPLRQDFYYKSSRAFDLGQVCDFLHHSDTIIMYQDCVKQNLDEVYVIKLRYGQNANAYHMYPLKQ -PYTKQTIYELSDAIGFVYKDRKYNYFRPLFTNPGEYVLTIREDYLEYCKSDTSPTPAFAPDANLQCYAYI -TGVQVIDNFIAEFGIFLMLYTAALIIILAIAVTIRDSTMVMILKQVIIFAYAFGPWLLTPNVFGSYIFVK -IYNLIPYTSNTSYGCLLMVGTLAITTIDLFAYLTQRYRAEFTKHVLQLVTLVFELVAVSKYILLPYILTS -YGFVLLTIVSYVAYLYTRSQRPNYLKSSVSNAVAHADWVAYRNSTREKTDEAAKSNLSKIINTNVADIKK -EQLLECLYLAACHQATVAASTYNPKHYLHIPNYNTKIMFARDNELMNYSVLTTDLKNKSAASNPSIAHNV -LELPVTINPLIKYTTRTSVSSLRGAVVNGYIYIQRHLFGNKKQVFEACYNNGKGLLNCKNLDRSKYDIDS -AELIGTLIRIPLVDKSSVPNINIHPAPLTYNGPVTLYLSRYDTELNKDVLCVHTGFISEGHHDIKTVFGD -CGGMLFDPKGRLLGLHCAGSDDVVFMDIKTGKSNIWTSYKLQHPSEIMITLNNEINLPNPENYDFETSSV -VYQHPLRNISATLETLQYLTNKTNAKIAYDSRLLSDFNITAEQYAQHGYYVDYNNFVNNFNHYTTTTIGT -KSFETCIKYGLLNNKKVEYHNQASNPFNPSEPSSSNGFDNVMDLLYVFVYMFTHTHPAFYIAAVCVFCLF -FIKMNRHLKLIISSIIFAIPHIYVNYYYGLFYMPLKWRKHITALATRYNPYTAVATRYNKNLNIAKDMAK -ELGTPKNLCTHLATLLKCIKPYPAFSELSQVVNNVDDLMANWANTYNAEELLKQYIDEIYKLYPILFVVF -EKIENYEDQIKAILAYISDTGEFDLNGFTIHFDEKEHTINTTDTNVEDIHEKLMAEKASIIALKNMNQEF -DIETINNANIGELVRYLIISSNPETLDRDLLSRTAELLARHIHHLRENAEHNDNLITLLAEIYKHKDFLT -ASHLTSNLRDRNYIMNNLIRVIALFNKQINFQVTQKQYEARRIEEERRKESKQIMEQNNRIRKMQRQNQN -IASAIVHMVHACFANRFMLQNESQKIMKALLGTTLELDPTDAEMQHYAAYRNGQVLTNHVIITNFTTLTT -ILWTGNGYQIVPSMCGQEEFTCTATHKHGYFNCTMEIKDAWYKHAEECAKCKVYYRANRHPRCGAVYDST -VKRYPTLSNFIARYRSCPSCMPCTQCLSHREPGCESASYHIADTAHYQNQAYLTPINIKPDNLEYTFTDI -ASGDVNAIYNGRIWLMRRTTAITPPPARYRNITNLKLKQTDPEGYYYISEVCPTDLAILNAMINQIQLKL -LDRVNLNNETHVEEYNNTIKFNTPLTDTTLDELRTKHKHLLVLKLRQDSEYHFVDVLNFVRMNNLPIFIV -HVTHEEINVNHATLYINYLQAWRNEIDDIKTTYDILENVIKNPLDFSKWARTLSRNSNVARYHQLCTNTN -VGTRYTIDISCNKTSTSYIDSKNNIVNVKIKNNIVKEYNIYEMLINQYSDLFLIEHKMVTSTIPHLLRYN -MTALSFADLFGLIKDENWHPIYDTLPQVTYHNIDNDLLLKIKQHTPSPQHTCCMLCRRFLAEFGLLLHKL -NYKVFETTRKMLTHYDFVLTADNIDLNGILDFEDYVPKKYIDINIDVTSQLRIMQPYYHMLYSFYEHTGM -YFISQPIYTSIVDPNLDLIQQFESAIEVTRNLPLDGTFDEKPLYRPTIQHFTQYLKLNLYAMEPEPLWNC -YDTMDSPLIEINGIDTTVTNIIIKPTRPIPDYIELNYDTVKLLDGDVYCKVNHSEITKLQDILYCLPASA -LIHELYIEDHPYELESHNHMLRTSLNIWLHNLYDANVNLSHFDSINYDKTHKASFPIVGTVPAIPLRDCV -HCQDDIPEDLKDVYDFGSCVHAKAQLSDYKLPRKIHPLIEFDTALLHIGEFQPNNDYAYTMKTKPDHLID -WELKEYLDSTGLTALIPPLNVNPAVHDPETTFSSPYYIKTPSEESIRQDLELFNQNTAGSVSPTVFLMAI -ELLHQLLTEEVSASDGKPNCPMVPSEVPVRNKHKSAGTPYRKFGDSEFMRELLGDYRDAIVHHKRHSADQ -HLTLTINKVATSTKHRDRTILAISINKSEAGRSLYRWNLDKIKYTASLGGPILIGFTAQYGGWDKFYKQL -YKNSPADQPGVAERAVLGGKDYPKWDRRISNMLQLTTTTILYSLIDPNTQKKLNNANPAQTWHEYMAETT -QVLFDYLVFGNELYQKPGGVTSGNSRTADGNSLLHLLIDFYAIITQLIQSKPHNVHLHSKLRNRLCKTVF -TKIPADYINTSCVTLRKTDTLRTIRLKIAKNLVLSDDGLLVLDPEIIDYTDYMSISHLISHYMMAQNKHK -YHIDAISSYAREFLSQGPHKFGDMVFPIPEFGRMYSAMLLSDNKNILDPKINITRLLALFSYLYIYYFKY -EDEPTHPIVKFLDALRTYIDNKLDITDEIFLDCIKIPDLQDIEFDLKNCDLYENLDHLWGLDQSSAYMDY -LCKYRHRYRNLSIFKRQLIQQHEQAQLHNETKLLNKGKLISYNCYVCGDNAYLTCATCERAFCNNTDTNH -GSHIEQHLQYSGHTCLYLNCKTVKCQHCYTSDINLLYTTGREHFCEIHKPKNAVRILNSNDNEKLPPRLY -LCVTDNRRITFYEQCYINYTKSHPDYAISKQQFMGLIQQYLHQDYTLPTNQLANRIRVSLQLSSYGVVRP -YHQLIMQLTKLESKVLDSSVVDIPVTLINSQEIGTYYIEIPREHKLDLHSTYSYLLGTREVSFTPNYYRL -SSTNTHIWQTDTQIPNSCTFIRQRRLNTLSAILRNTTQHVPEFTRALLEWNQQLPSETKPLPEFKPSLKI -PAQPNVTDNINILLKELNTKRFKIMFGGPGTGKSHTLATLINHLHDKGFRVLVYTPSHQSANALLYKIAN -MMKRRGIQNPGLVRIITDGMKDELKPHPYITYRTHMIDTDRICVTTIQSFSAVQHVVVDVVILDEFSLTS -DNYLLSGLAHLRLSTRVLFSGDPRQLSGVDVIRKLLHSRFHTLINYYTETYPLEVLVLTYHFRCHPMIFQ -YFKDLYYADKHMECATSIADRIIRPLNPINTVQVGEPTFRNQGVILNQDEADKVLEILVLVNQTLALHST -YEYQPTIAIICSYKSQLQNFISLQQQKILSDNVTLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAI -SRAKSVLFITVPPIDKNPSFLYKDVYTTLNKHNLTYFKIYNTSGKAILSLDSPTTLKNQAEMSFINIRQL -NSHTMERKFPINIVMPDYICFDAEFLNPRDNVQEHVMLSYGFSSKYGKRRIAGTPVRYIKDKFNKIVPIK -YPYKDKHKPLTSTYVCEWMKKQKPEQYQYLLNSITQGISNDTIVDLKPLLNFCVDNVHVKPVIVTWSGVS -DHCFLKAYTLYPDISTVCNITTRCTSQPIYASPQGRHTYYLCQYHAHQLKDHINITHFVNLEIIDLKVEY -NQFTSERILRVYHNNYLKLTLDLDNVASNSLTDCHKRYCRTVHASITPHDPLDDAIMTQCIYQSFVLSHL -ENLAYDPQANLKAFTSMDYRLKNFNPEMCKLRRELQKTWYQQYIATNKTHCNMGCGKEPLKHALHNIDIL -QGKTNPQNNMNTHTCDAEEHIYFDSHWYKDGGFSKPSYIFSDINKEHYYNLGTTGLVLYLNSKYAKYIHE -YRKVSGNDVFKSLYNPFCDLGREPHQAAIEPSCSIPDCIITSNIDEKFQTLVCNIHKDQMEIISKISQAT -KYGYQFVYTGKTLLNNHSTLSKAPPTWNHLTLELPGCNRKHVSHTTTKASGILYILQDSMLYTNRKTLNP -NLPVIIPGAASQFGDTVLTNEISKNLKRTKFINVDPRLKLDNHNTHYRKPLKEMLDIGYTTELIISDIHD -NNNNTWIHELIEYTLKYLIETGTLIMKITSRAATEDTLEYLEHLSKNFTYVRVCNLNAVTPSSVLWIVFA -DKRKPPVLGWTSHELRNELRKHWYSMARNIIQPLTRARPCIFRYSPK - ->YP_004767305.1 ORF1ab gene product [Nam Dinh virus] -MTYHDYALKDNVVLKRDQKLALDNFVTEVIQFWTPILTTLLLLAYALRKIMHNPFVGPISDNPLKRALQW -IIFVFTRRNLYYQTPVFARDESRLNIFLHNDFARLDRNTLNGYCKLCNLYGHNHTDKHNPTIDALVLAKT -CKLLRYNDKVTKPLAYTVHNIRAYEKNTKTFADTFGTTTTNIPTKYALAPKKAVSELTTIESNLGPIYVN -NTIAYPHLGFIAYDNKQHLQELLANVTVVLDTIMVYTQYELDDATMNIRKSDITLSFVNDFDLTNALTNE -LKDPRTPWLLKAKKTSNKASEQDDDTEAEDTQKNKRKGKQLKPQTQLLQHTLAKQTKFARRQPFLSFGPT -YMTLLCLISIMSPTYATVCTTYEPLDQADLYCNNLQNLTIEKYHAYANYEQLNRQCFSIDGAEFKDLIRL -SVSNALNLNNVIKPVPRDDYILKAFSNALPLNTHVLSDYNTILDLQILMQFYNLNGSNVLYTETYSESED -YAGKVVQLLAQGTGGICKAPACILFTGLATTVTDVEVKVTERLTKRIKHQEHGKPLHINPSCRKTCYCMY -KPKVKPEPVETVKYAPQAEFYTQLRYFQNHELQMYDDFEMGVLRYNNYTLNTFIYSNETCILTRGVHCVY -NPEHFAITRVYNNLGNYLECGVNQEFCESLQQEFMFNEPQLVITESMAVEAPTQYHKICDNHYTSLQVKY -PLIEKLFWSNFXVSVNRALAVKEPATFIIVHDTVAIIKTVIADIIEIMEKCYDSTAIKLTHHDFNKLEYM -DDYSQILVKYKPLLEQHKIMLIEDIDLITVPAARALFSIFDTYTPLVNGVFVLGTLNHKRYNETLAFNYY -NDQTPTFYVDGILNANWQLLEDHTRQPLITRVADNVHTILARQLVTPPAKIAATMPKVPTLNNTSKVINT -LTHYSAHLLQVVGSDSTQAYTYINSSVHNITDYVNDSVHNITDYVYTVYNSTKNHIVTRYNNMLMAAYDI -QLNFYNQYPLRQDFYHKGIRAFDLGQVCDFLHHTDTIVLYQDCINQKLDTIYVIKLRYGQNANGYHMYPL -KQPHTKQTIYELSDAIGFVYKDSKYNYFRTLFTNPGEYVLTIRENYLEYCKSDFSPTPAFAPDATLQCYA -YITGIQVIDNFIAEFGLFLMLYTAALIIILALAITIRDSTMMMFLKLSIIFAYTFGPLLLTPKVFGSYIF -VSLYNMLPYTSNTSYGCLLMMGALAITVIDLFAYMTQRYRSEFTKNILQLATLLFEIVAITKYILIPYVC -TSYGLVLTIIVSYVAYRYIQSQRPNYLKATVSNATAHADWVAYRNTTREKTDEAAKSNLSKIINTSIADI -NKDQFLECVYLAACHRATVAASTYNPKHYLHIPNYNTKIMFARDNELMNYSVLSTDLKNKSAASNPSISH -IVLELPVAINPLIKYTTKTSVSSLRGAVVNGYIYIQRHLFGSKKQEFEACYNNGKGLLNCKNLDRSKYDI -DSAELIGTLIRIPLHDKQSIPHISLHPDPLSYNGPVTLYLSRYDTELNKDVLCVHTGFMSEGHHDIKTVF -GDCGGMLFDPKGRLLGLHCAGSDDVVFMDTTTGKSNIWTSYKLQHPSEIMITLNNEINLPNPTNYDFETT -KVVYQHPLRNVCATLETLQHLTNKTNVKLPYDPRLLSDFNITAEQYAQYGYNIDYNNFINNFNRYTTTTI -GTKSFETCIKYGLMDNKKVEYYNQTATIFNPPEHSSSGFDNTMDVLYVFVYMFTHTHPAFYIAAACVFCL -FFVKMNKYLKMILSSIIFTIPHIYVNYYYGLVYMPLKWRKQITALAIRYNPYTAVALRYNKNLNIAKDVA -KELGTPKNLCTHLSTLLKCIKPYAAFNDLSQVINNVDDLMANWANTYNAEELLKQYIDEIYKLYPILFVV -FEKIENYEDQIKTILSYISDTGEFDLNGFEIHFDEKEHTTNIIDTNVEDIHDKLMAEKASLIALKNMNLE -FDIETINNANIGELVRYLIISSTPDTLDRDLLSRTTELLVRRIHQLRDDSEHNENLITLLSEIYKHKDFL -TASHLTSNLRDRNYIMNNLVRVIALFNKQINMQVAQKQYEARRIEELRKKESKQIMEQNNRIRKMQRQNQ -NIASAIVHMVHACFANRFMLQNEAQKIMKALLGSDLELDPTDAEMQYYTAYRNGQVLTNQAIVTNFTTLT -TILWTGNGYQTVPSMCGAQEFTCTATHKHGYFNCTMEIKDAWYKHAEECTKCKSYYRTNKHPRCGAIYDT -TVKRYPTLSNFIARYRSCPACMPCTQCLSRREPGCESASYHIADTAHYQNQAYLTPINIKPDNLEYNFVD -INNGDVNAIYNGRIWLMRRTTAITPPPARYRNITNLKLKQTDPEGYYYISEVCPTDLAILNAMINQIQLK -LLDRTVLNNENHVENANTIQFNNPLNDTTLDDLRTKHKHLLVMKLRPDSEHHFIEVLNFVRMNNLPIFIA -HVTYADNTVNHATIYINYLQAWRNEILDDVTTTCDILEKIIKHPLDFSRWARTLSRNSNVARYHQLCTTT -DAGIRHTIDISCNKTSISYIDEVNNNVNVKIKSHIVKEHKIYEMLINQYPNLFLIEHKLVNDIIPHLLRY -NMTALSFADLYGLIKEENWHPIYDTLPQVTYHKINDDLLLKIKSHTPSPQHTCCMLCRRFLVEFGLLLHK -LNYKVFETTRAMLTHYDFVLTADNVDLNGILDFEDYKLRKCTVAYDVKSQLRIMQPYYHALYSFYEHTGM -YFISQPIYNSIVDPSLDLIQQFESAVEATRNLPLDAKFDDTPLYRPTIQHLAEYLKLNIYAMEPEPLWNC -YDTMDCPQIELPGIDNAITSIITKPTRPLSEYIELNHTTVKNFDGDIYCKVNHNEINNLQDILYCMPTDA -TIHELYIVDHPYELESHNRMLRTSLNIWLHNLYDANVNLSHFDSINYDKTRKASFPIVGTVPAITLRDCE -ICQDEIPDDLKDVYDFGSCVHAKAQLSDYTTPRKLNPLIEFDPALLRHGEFLPNNDYAYTMKTKPDHLID -RELKDYIDSTGLTALIPPLDINPAVHDPETTYSSSYYIKTPSETSIRQDLELFNQNTAGSVSPTVFLMAI -ELLHQLLTEEISASDGKPNCPMVPSEVPVRNKHKSAGTPYRKFGDSEFMRELYGNYRDAIVYHKRHSADQ -QLTLTINKVAPSKNHRDRTILAISINKSEPGRSLYRWNLDKIKYTSSLGGPILIGFTAQYGGWDKLYKYL -YKNSPADNPDTAEHAVLGGKDYPKWDRRISNMLQLTTTTVLYSLIDPNTQRKLNNATPAQTWHEYMAETT -QVLYDYLVFGNELYQKPGGVTSGNSRTADGNSLLHLLIDFYAIISQLIQSTPENVHLEVNLRNALCKTVF -TRIPSDYIDSSCVTLRNTDTLHTIRRRVAKGAYLSDDGLIVIDPRIIRYDDFMSVSHLISHYMIAQNKHK -YHIDAIQRYAREFLSQDTIKFGDMVYPIPEFGRMYTAMLLSDNKNTLDPQINITRLLALFSYLYIYYFKY -EDQPTHPILKFLDALRTYIENKLNTTDEIFLDCIKVPDLQDVEFDLKNCDLYENFDYLWGLDQSSAYMEY -LCKYKHRYRNLSLFKRQLIQHHEEAQLHNENKLMNKGKLITYNCYVCGENAYLTCATCERAFCNSADTNH -GSHMEQHLQYSGHTCLYLNCKTVKCQHCFTMDINLLYTTGRDHYCESHKPKNAVRILNYNANTKLPPLLY -LCVTDTKRVTFYEQCYINYTKAHPTYAISKEQFMSLIQLYLHQDYTLPVNQLANRIRVSLQLSSYGVVRP -YHQLIMQLTKLESKVLDSSVVDIPITLINSQEIGTYYIEIPREHKLDQHSTYSYLLGTREVSFTPNYYRL -SSTNTHIWQTDTQIPNYCTFIRQRRLNTLSAILRNTTQHVPEFTRLLLEWNQQLPITAKPFAEFKPSLKI -PAQPNVTDNINTLLKELNVKRFKIMFGGPGTGKSHTLSILINHLHEKGLRILVYTPSHQSANALLYKIAN -LIKRRTIQNPGLVRIITDGMKEEIKPHPYITYRTNMLDKDRICVTTIQSFSTVQHVKDVDLVILDEFSLT -SDNYLLTGLAHLKPSTRVLFSGDPRQLSGVDEIRKPLQSRFHTLINYYTETYPREVHVLKYHFRCHPSIF -QYFKDLYYADKDMECATSIADRIIRPLNPINTVQVSEPTFRNQGVILNQDEADKVLEILVLVNQTLALHS -SYEYQPTIAIICSYKSQLQNFISLQQQKILSENVNLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVA -ISRAKSVLFITVPPIDKNPAFLFKDVYATLHKHNLTYFKIYNTSGKAILSLDSPTTLKTKAEKMTYTNVR -HLDKNTHTMQRKFPMNIVMDDCICFDAEFLNPRDNVQEPVMLSYGFSSKYGKRRIAGIPVRYIKDKFNRI -VPQKYNYKDNNKPLTSTYTCDWMRKQHPEQYKHLLTSVMQGIRNDTTVDLKPLLNFCVDNMHVKPVIVTW -SGASDHCFLKAHTLYPDIATVCNITIRCTSQPIYASPQGRHTYYLCQYHAHQLKDHVNITHFVNLEIIDL -KVDRNQYTDERTLRVYHNDYLKLTLDLDNVASNSLTDCHTRYCRTVHAPATPHDPLDDAIMTQCIYQSFV -LSHLENLAYEPQANLKAFTSMDYRLKNFNPEMCKLRRELQKVWYSQYTNTNKTHCNMGCGKEPLQQALHN -IDVLQGKSNPQNNMNTHTCDSEEHIYFDSHWYKAGGFTKPSYIFSDINKEHYYKLGTTGLCLYLNSKYAK -YVHEYRTVSGNDVFKSLYSPYCDLGRKPHQAEIEPSCSIPDCIITSNIGERFQTLVCNVHKDQMDIISKI -SQATKYGYQFIYTGKTLLNNHAALSKAPHNWDHLTLEIPGYNTRKQHSSHMTTKALGILHILQDSMLYTN -RKTLNPNLPVILPGSASYLGDTVLANEMAKTLKQTKFIHIDPRLKIDNNTTHHRKTLMEMLDIGYTTELI -ISDIHDNKNPWIPELMTYTLKYLVDTGTLIMKITSRGATEDVLQQLEDLSKNFTYVRVCNLNAVTFSSEL -WIVFANKRKPPVQGWTSHELRAELRKHWYSMTRSIIQPLMRSRQSVFRYSPK - ->AGI52414.1 polyprotein 1ab, partial [Moumo virus] -PDITNYVPIPQVVYHRIDQELLLKIKQHTPVPQHTCCMLCRRFLAEFGLLMHKLNSKVFKTTTTMLMHYD -FVLTADNVDLNGILDFEDYQHRKDPYNITCINQLRKMQPYYHALYSIYEHIGMNFISQPIYKNVVDPTVD -LIQQFEEAVYSVRTIPLDAKFDDTPLHRPTIQHLAEYLKLNIYAMEPEPLWNSYDNMQCPPIEIPGIDST -LTNIIVKPTRPISAYIELNYDTVKDFDGPMYCKINHTEMNNIKDIIYNMPLEASIHELYIYDHPYELESH -NRMLRTSLNIWLHNLYDANVNLSHFDSINYDKTNIATFPIVGAVPAIPLSDCKLCHDEIPDDLKGVYDLG -SCIHXKAQLEDYKSPRKVNPLIEFDQALLKHGDFRPNSDYAYTMKTKPDLLIDYELKDYITSTGLTALIP -PLNINPAVHDPETTFSSPYYIKTPSEPSIKEDLEMFNQNTAGSVSPTVFLMAIELLHQLLTEEILASDGK -PNCPMVPSEVPVRNKHKSSGTPYRKYGDAEFMRQLYGDSRDALVYHKRHSADQMLTLVINKVAISVKHRD -RTILAININKSEPGRALYRWNLDKIKYTANNGGPILIGFIAQYGGWDKFYKHLYKDSPADNPEVAPYAVL -GGKDYPKWDRRISNMLQLTTTNVLFSLIDQTTQLRQNKATPSETWHEYMAETTQILFDYLVFGNELYQKP -GGVTSGNSRTADGNSLLHLLIDFYAIIMQLIQSTNENVHLEENLRSKLCKTVLTKIPADYIDKSNVDLLK -TTDTLKTIRLRIAKGLYLSDDGLLIFDPRIIRYDDFMAVSHMISYYMIAQNRHKYHIDAITRYAREFLSQ -DTIKFGDMVFPIPEFGRMYTAMLLSDNKNTLDPHISITRLLALFSYLYMYYFKYVDQPTQPIIKFLDALR -SYIEEKLDNTEDIFLDCVKIPELQDVEFDLRNCDMYENFDHLWGLDQSSAYIEYLCKYKHRYRNLSLFKR -QLIQQYEQGNLTVNETELTNKGKLVAYNCYVCGENAYLTCATCERAFCNSSDPLHGSHMEQHLQYSGHDC -LFLNCKTVKCRHCYTYDINVLYTTGRDVFCEQHRPKNAARIIQDNVNAKSPPLLYYCVTEPRRISFYEQC -YVNYTKSHPNMTVDKNQFMSLIQMYLYQDYTLPTNQLANRIRVRMQLGSYGIVRPYYQLVMQLTKLESKV -LDSSVVDIPITPTNIQEIGTYYIEIPQEYKLDQHSTYSYLVGTREVTFTPNYYRLSSENTHIWQTDAQIP -NYCTFIRQRRLNTLSAIIRSSTQHVPEFTRLLLAWNQQLPIAAKSFPEFKPSLKIPAQPNVADNINTLIK -ELNAKRFKIMFGGPGTGKSHTLSILVNHLHASGFKMLIYTPSHQSANALLYKIAGLMKRRSIHNPGLVRI -ITDGMKDELKPHPYITPRTHMLDKDCICVTTIQSFPTVQHVDVDLVILDEFSLTSDNYLLTGLSHLKLST -RVLFSGDTRQLSGVDEIRKTLQPRFHTLINYYAETYPNEVLVLKYHFRCHPLIFQFFKNLYYADKDMVCS -TQAIDRIIRPLHPINTVPIQGPTYRNQGVIRNEHETEKVLDILVLVNQILSLHTSFDFKPTIAIICSYKS -QLQNFTSLQQQKIIPDFVKLSTIDSAQGDEFDIVILCLSQINNFTLNPNRFNVAVSRAKSVLFITVPPID -SNPTFLYKDVYLQLQNYNLTYFKIFNNSGTTISLDSPTTLKNKKQEKVEMQYTNVRMLAKSSAYKIQRRI -PMNIVFDDYVYFDTEFLNPIDNRQECVMVSYGFSSKNGNRRIAGTPMRYVKDKFDKLVPHKFNFKDKNKP -LTSTYITGWLKAQLPQQFKQLNTAVLNGIRNDITVDLKHMLNFCIDNTHVKPVIVTWAGATDHCFLKANT -LYPDITQICNITTRCTSQPIYASRQGRNIYYLCQYHAHQHKEQLNITHFVNLEILDLKAEFNVHTGEKTI -SVTHNEELKLKFEIHEDVASCKLTDVHDFYCNTVHTPITPHDPLDDAIMTRCVFQSLVQSEYENLAYEQQ -ANLSAFLSMDYRLRNFNPEMCKLRRELQDAWYTQYLTASKPKIHCNMGCGKQLLKRALHNIDIKLGNKPY -NNMNTHTCNAEEHIYFDSHWYKENGFQKPSYIFSDMNKEHYYNLGSTGLCLYLNSKHAKYCHKYREASGD -DVFKSLYSLECDKDQKPHTHAIQDCTSTPDCIITSNTSDENFQVLVCSKHYDQMDIISKISQATKYGYRF -IYTKANELNNHAHLNKAPQDWDHQTLEIPGYTTRTNNPCHMQTKALGVLHILKDSMLYTSRLTLNAARPI -ILPGSASHYGETVLANEFKKYLKLSKFIHIDPRLKIDNNTTHFKKTLNEMLDVGYSTELIISDIHDNTNK -NWIAELIEYSTKYLLESGTLIMKITSRGATEEALALLQAYSKNFTYVRTCNINAVTCSSELWIVFANKRK -PPVNGWTSYDLRTELRMHWYSMTQNIRTTVLRPRIGLFKYSPK diff --git a/seq/clusters_seq/cluster_289 b/seq/clusters_seq/cluster_289 deleted file mode 100644 index d105ac1..0000000 --- a/seq/clusters_seq/cluster_289 +++ /dev/null @@ -1,304 +0,0 @@ ->YP_010086267.1 polyprotein [Lates calcarifer birnavirus] -MEMTTKSTVVPYLKSLLMPDTGPASIPDDNIERHTIKSEPTTYNLTVGESGSGIIVLYPNSPNSPLGAHY -KRDKNNPSKLIFDRAITTSQDLKKAYNFGRLVSRVLSAKSSTLPSGVYSLNGTLSAVTYIGSLSEIGDLD -YNKILSTTANHNDKVGNVLAREGVTVLALPTGFDLQFTRMGDDSPSSEGAATVDPSSQPRVYSAQAETSQ -TITTGTSKNLIAHNLDAITPVTVQTHVSLTTTSPTSVEISLIGLDESKVVTHNAIISGTTGTLTQDVTAI -FTQADIKQPIVAMTVKVTAAADITAAQIQTRTTVHGGDAPGVLRPVTIVAYETLAENSILTLAGVSNYEL -IPNPELSKNIVATYGKLNPQEMLYTKVVLSHRDEIGLKSVWATDQYKDFRAYFAEVADISKPLQIAGAFG -WGDVLGFLRRWVFPGINAVLPVAAPLTNFIGEKIQQAYPEAASGHPRAASGRPRAAGTFRPFRPMACDEV -DHKFNSPALVLPGDWSFLTPEGTSKEVLPNGTLVVGSTGEEGAIKITDSVTIVSSGAWLRKLLPCLNPTP -LASDRPYIPPHMRGRPESPTCTGAPTKFTTHRGALFPTVAFGEGTSVAQTYVALPGDYRHLMAPNLRNEW -APTQDGFKVYGMTHHTIHDNGKPSRKLNIQVATGGNITILPVDKVQWDKEDPGLATVTTSWNGFPAVVGQ -SGSLALALASNLDYFPQAVFTGCLNNGQVQPVAFGCMKAQAAHALGLKLCGFTTGRDEDIPLYTAEEALQ -QASTYRAELPYSTRDSLYNGFFYCMAADTSLDEELDEIFKWADENLGSSEEQVDTYASFPKSKDVTTTDA -TDQALALMEEAAESDPQLAKVLNIMWWVESCGLIDHLYDWTKIDKGGARMFHMIRNAPTPGSKSQRRKYG -KEAAGYEDVQEAVLERELQAKLLKAQRLSSAAILNGSPFATPDWIARNDYRGPNQAQNRYFQATGEEPPV -KMTEFLQPGTPSPRGAPNIETIASNIYGLPHQAPAPPEFVELVKEVYAENGGRGPNQGQVAKLRMQATLM -KGSSPGETSAAPKPKKKLTTPPKPTSARLGRFMNFGGGLI - ->YP_010084301.1 polyprotein, partial [Tellina virus 2] -MNTNKATATYLKSIMLPETGPASIPDDVTERHILKQETSSYNLEVSESGSGVLVCFPGAPSSRVGAHYRW -NANQTELEFDQWLETSQDLKKAFNYGRLISRKYDIQSSTLPAGLYALNGTLNAATFEGSLSEVESLSYNS -LMSLTTNPQDKVNNQLVTKGVTVLNLPTGFDKPYVRLEDETPQGLQSMNGAKMRCTAAIAPRRYEIDLPS -QRLPTVPATGTLTTIYEGNADIVNSTTVTGDINFRLPAAPPADTKYDFQLDFVGLDNDIPVVSITSSVLA -TAANFSGVSAKFTQSIPTEDITKPITRVRLSYKVNQQAAITTAATLGALGPASVSFSSGNGNVPGVLRPI -TLVAYEKMTPQSILTVAGVSNYELIPNPELLKNMVTRYGKYDPEGLNYAKMILSHREDLDIRTVWKTEEY -RERTRVFNEITDFSSDLPTSKAWGWRDIVRGIRKVAAPVLSTLFPMAAPLIGVADQLIGDLTNTNAAGGR -YRSMAAGGRYKDVLESWASGGPDGKFSQALKNKLESTNYEEVELPAPSKGVIVPVVHTIRSAPGEAFGSL -AIIIPGEYPELLDANQQVLSYFANDTGCVWGIGEDIPFEGDDMCYTALPLKEIKPNGNIIVEKIFAGPIM -GPSAQLGLSLLVNDIDEGVPRMVFTGEIASDEETIIPICGVDIKAIAAHEQGLPLIGNQPGVDEEVANTS -LAAHLVQTGALPVQKAKGVNKRIKYLGELMTSIASGMDEELQRLLNATMARAKEVKDAEIFKLLKLMAWT -RKNDLTDHMFDWSKEDPDAIKFGRLISTPPKHPEKPKGPDQRTAQEARATRISLDAVRAGADFATPEWVA -LNNYRGPSPGQFKYYLITGRTPDPDDEYEDYIKQTITKPTDMTKIRRLANSVYGLPHQEPAPEEFYDAVA -AVFADNDGRGPDQDQMQDLRELARRMKRRPRNADTPRKTRAPTEPAPQRSSRFTPRGE - ->YP_009508101.1 polyprotein precursor [Tellina virus 1] -MASKQFSMLATRKSPYIKSLLLPETGPASIPDDKIRRHVKRSESTTTNLTSTTGKGMLIVYNNHPKNLVG -SHYTYASDGKLRFDRNLYTAQDLSKNFNYGRKVSQLVTIKSTQLPAGVYAMQGTMNGVCIDGAPSEVETA -LKYETILSASTNALDKVAGVLVNDGVGVLSLPTTFDNDYIRMGDPAPSSFTPGSAQLSKPTHNPGLNSIV -TAGTTGLTSGTKTISTTKTIISTDVINVDSTEGLLLDLNIQLMRWGVPSGKTATVTVDVKTVDLAGAETD -AEQREIKISGTNTGRDNVITLSGLMMGLSGKKPLVAPTAAVVIEVSAISSESMTLTHSGHINNYSLTSLC -AGTPGTTNPITIIIYTDLTPGGIMTVTAVSNFELIPNAELRKNIPTDFGNSDPSEMDFIKRILGQRETLE -LRTIWDMGMYDARRDVLSEFAHLDDNSLAMAWEWSDVLWWIKKIAGTIAPIAGAVFPAAAPLTSAISTMA -NAASGRALAASGKPLYRNMALAGERPLSRQITRIARTAARMTATALRSAALTPCCLRNQDACNLTADILM -ELTGADSCPPGISSAARLVNENNGCRCSNPSPDIKDAISAIEAGEAMDSILTAEVAQAADRPMIRTKRKA -RKTRTANGVELSAVGVLLPVLMDSGRRISGGAFMAVKGDLSEHIKNPKNTRIAQTVAGGTIYGLSEMVNI -DEAEKLPIKGAITVLPVVQATATSILVPDNQPQLAFNSWEAAACAADTLESQQTPFLMVTGAVESGNLSP -NLLAVQKQLLVAKPAGIGLAANSDRALKVVTLEQLRQVVGDKPWRKPMVTFSSGKNVAQASTNPFTSNNP -FNPFMNLGDEYEEAPINPFLNLLPEAPTPPVPAPRRRPTPSPRQIAVAERFEAAAEEAAAQSPDLSDALE -VANWLMETGNIQMMLDFMKRDRRGDKLSRMLFVTTYPSMAPNPGNGPTPEQARWESAVRKAGNMAATYPD -ITPEWVVANGYAGPDQAQAKYFSIHRRLPTAGETPIFSLGEKRKPGPDHARRLLQRLLASRDWNEEQIDA -LTDYVEEHGTGPDEATMQYIAQLGHNRRERPSASSRNAMKEARDAARTTAKMSLNRYKNNSGML - ->YP_009255397.1 polyprotein [Victorian trout aquabirnavirus] -MNTNKATATYLRSIMLPENGPASIPDDITERHILKQETSSYNLEVSDSGSGLLVCFPGAPGSRIGAHYRW -NQNQTALEFDQWLETSQDLKKAFNYGRLISRKYDIQSSTLPAGLYALNGTLNAATFEGSLSEVENFSYNS -LMSLTTNPQDKVNNQLVTKGVTVLNLPIGFDKPYVRLEDETPQGPQSMNGAKMRCTAAVAPRRYEIDLPT -ERLPPVLAIGTLQTIYEGNADIVNSTTVTGDINFQLAANPANDTKFDFQLDFLGMDNNDPVVSVTSSVLA -TADNYRGVSVKFTQSIPTESVTKPITRVRLSYKINQQTSIDNAATLGAMGPASVSFSSGNGNVPGVLRPV -TLVAYEKMTPQSILTVAGVSNYELIPNPELLKNMVTRYGKYDPEGLNYAKMILSHREELDIRTVWRTEEY -KERTRAFNEITDFSSDLPTSKAWGWRDIVRGIRKVAAPVLSTIFPMAAPLIGAADQFIGDLTKTNAAGGR -YHSHAAGGRHRDVMDSWTSGAQTGKFSLKLKNRLESNNYEEIELPPPTKGVIIPVVHTVESAPGEAFGSL -LIVIPGEYPELLDPNQQVLSHFENDTGGVWGIGEDIPFEGDDTVYTALPLKEIKKNGNIVVEKILAGPAM -GPSCQLGLSLLVNDIEEGVPRMVFTGEISGDEETIIPICGVDIKAIAAHEHGLPLVGCQPGVDEVVANTS -LASHLIQTGALPVQKTQGASRRIRYLGELMRTTASGMDEELQGLLQATMARAKEVKDVEIFKLLKLMSWT -RKNDLTDNLFEWSREDPDAIKFGRLISTPPKHQEKPKGPDQHTAQEAKAVRISLDAVRAGADFASPEWVA -DNGYRGPNPGQFKYYMITGEVPNPGDDYEDYVRKPITRPTNMDKIRRLANSIYGLPHQEPAPEQFYDAVV -EVFATNSGRGPDQDQMQDLRDLARQMKRRPRTAETRRQNQAPPRAAPSGGSRFTPSGNDGEV - ->YP_009177608.1 polyprotein [Tasmanian aquabirnavirus] -MNTNKATATYLKSIMLPETGPASIPDDITERHILKQETSSYNLEVSESGSGILVCFPGAPGSRVGAHYRW -NVNQTELEFDRWLETSQDLKKAFNYGRLISRKYDIQSSTLPAGLYALNGTLNAATFEGSLSEVESLTYNS -LMSLTTNPQDKVNNQLVTKGVTVLNLPTGFDKPYVRLEDETPQGLQSMNGAKMRCTAAIAPRRYEIDLPS -QRLPPVPATGTLTTLYEGNADIVNSTTVTGDINFSLADNPPTETKFDFQLDFMGLDNDVPVVTVVSSVLA -TADNYRGVSAKMTQSIPTENITKPITRVRLSYKINQQTAIGNVATLGTLGPASVSFSSGNGNVPGVLRPI -TLVAYEKMTPLSILTVAGVSNYELIPNPELLKNMVTRYGKYDPEGLNYAKMILSHREELDIRTVWKTEEY -RERTRVFNEITDFSSDLPTSKAWGWRDIVRGIRKVAAPVLSTLFPMAAPLIGMADQFIGDLTKTNAAGGR -YHSMAAGGRYKDVLESWASGGPDGKFSRALKNRLESANYEEVELPPPSKGVIVPVVHTVKSAPGEAFGSL -AIIIPGEYPELLDANQQVLSHFANDTGGVWGIGEDIPFEGDNMCYTALPLKEIKRNGNIVVEKIFAGPIM -GPSAQLGLSLLVNDIEEGVPRMVFTGEIADDEETIIPICGVDIKAIAAHEQGLPLIGNQPGVDEEVRNTS -LAAHLIQTGTLPVQKAKGSNKRIKYLGELMASTASGMDEELQSLLNATMARAKEVKDVEIYKLLKLMAWT -RKNDLTDHMYEWSKEDPEAVKFGKLISTPPKHPEKPKGPDQHHAQEARAARISLDAVRAGADFATPEWVA -LNNYRGPSPGQFKYYLITGRDPEPGDEYEDYIKQPITKPTDMTKIRRLANSVYGLPHQEPAPEEFYDAVA -AVFAENGGRGPDQDQMQDLRELARRMKRRPRNAETPRRTRAPAEPAPPRSSRFTPHGDNAEV - ->YP_004956722.1 unnamed protein product [Espirito Santo virus] -MNTSNEYLKTLLDPAQFIADIPDDIMIRHINRAETITYNLKTGASGTGLIVFYPNTPSSVAGFHYRWDAE -NSVWAFDQYIYTAQELKKSYDYGRLISGAVSVKSSSIPSGVYALTGTFNAVWFQGTLSEVSNLNYDRILS -ITSNPLDKVGNVLVGDGLAVLSLPQGFNNPYVRLGDESPSSLTSVTHITNTSQNLGYGGAFAVPQTTVAG -QGTFFKEFNINVDSVGPIDVTWSGLMTMQDEWTVTANYQPLNLSGTLIQGSMRTIIWSNVGVSNGTHYMN -LNEINVSFFHENPPPEPVAAIKVHITFGNNTNGESSMNVDGSFTFHVVGGATIGVNSPTVMIGYQGVASG -STITLSGVNNYELVPNPALQKNLPMSYGTCDPTDLNYVKYILSNREKLGIRSVMTLAQYAKMKMQMNIMT -DYHVGDREASSFDFWHLLKQIKKLVVPVASTMLPQFAPIIGAADNLANTVLGNSASGQPIGNSASGLPIS -MTRRIKSAHSADTPIGDSNWEPTRNPEFNKLDVIYDVSHSSMALFPVIMMDNDQVVPSDPEELFIAVSLT -ESLRKQIPGIDTMPYYTIGGHRVYNAVSNGRVSTAPFLTSDYILLPCYQLFDGKLATSKTPNKVTGTSHQ -LALYAAEGLQKRGIMGKAPYAAFTGSVAGQSVGEVFGISLKSQLTDSLGIPLFGNSQGLPIQNLHQLERL -LDISGDVPRRTPRETPNHWTASSASVPFSNTNPFLNGEVDQERNVQFLPTNTNPFLDAGQDVGGVPPQQM -ARIISDDTRNAFLEDGQSIPSSQEKIVTVHEFLLQNQELLEAMFGLISRGHEKALVNMVTKAAVNIKTQA -KDLTEERLARLEVKIQHLARQGIVLDPENVKRAGRITQEDTQAAIIRSKDHQMRNKLRRVFLNNVSIGRE -YTEDEFVDFWIRQGFIPNGLQISAWLREEDWSSPTPALSKRHYDSYLQMLGPSPDQGLVEQVRSMVDSVY -DENGNKGPSQVQARALSSSVRRLISQSLVTRPQPVPKVPVRKIEPIATGQGSNPERRAALERLQRARGGE -SEMI - ->YP_052862.1 polyprotein [Blotched snakehead virus] -MDFSKENTQIRYLNSLLVPETGSTSIPDDTLDRHCLKTETTTENLVAALGGSGLIVLFPNSPSGLLGAHY -TKTPQGSLIFDKAITTSQDLKKAYNYARLVSRIVQVRSSTLPAGVYALNGTFNGVTYIGSLSEIKDLDYN -SLLSATANINDKVGNVLVGDGVAVLSLPAGSDLPYVRLGDEVPSSAGVARCSPSDRPRHYNANNKQVQVG -TTDTKTNGFNIDATTPTEVTVDMQIAQIAAGKTLTVTVKLMGLTGAKVASRSETVSGNGGTFHFSTTAVF -GETEITQPVVGVQVLAKTNGDPIVVDSYVGVTVHGGNMPGTLRPVTIIAYESVATGSVLTLSGISNYELI -PNPELAKNIQTSYGKLNPAEMTYTKVVLSHRDELGLRSIWSIPQYRDMMSYFREVSDRSSPLKIAGAFGW -GDLLSGIRKWVFPVVDTLLPAARPLTDLASGWIKNKYPEAASGRPLAASGRPMAASGTFSKRIPLASSDE -IDYQSVLALTIPGTHPKLVPPTEREPNSTPDGHKITGAKTKDNTGGDVTVVKPLDWLFKLPCLRPQAADL -PISLLQTLAYKQPLGRNSRIVHFTDGALFPVVAFGDNHSTSELYIAVRGDHRDLMSPDVRDSYALTGDDH -KVWGATHHTYYVEGAPKKPLKFNVKTRTDLTILPVADVFWRADGSADVDVVWNDMPAVAGQSSSIALALA -SSLPFVPKAAYTGCLSGTNVQPVQFGNLKARAAHKIGLPLVGMTQDGGEDTRICTLDDAADHAFDSMEST -VTRPESVGHQAAFQGWFYCGAADEETIEELEDFLDSIELHSKPTVEQPQTEEAMELLMELARKDPQMSKI -LVILGWVEGAGLIDALYNWAQLDDGGVRMRNMLRNLPHEGSKSQRRKHGPAPESRESTRMEVLRREAAAK -RKKAQRISEDAMDNGFEFATIDWVLENGSRGPNPAQAKYYKATGLDPEPGLTEFLPEPTHAPENKAAKLA -ATIYGSPNQAPAPPEFVEEVAAVLMENNGRGPNQAQMRELRLKALTMKSGSGAAATFKPRNRRPAQEYQP -RPPITSRAGRFLNISTTLS - ->NP_690805.1 polyprotein [Yellowtail ascites virus] -MNTTKATATYLRSIMLPENGPASIPDDITERHILKQETSSYNLEVSDSGSGLLVCFPGAPGSRVGAHYKW -NQNQTELEFDQWLETSQDLKKAFNYGRLISRKYDVQSSTLPAGLYALNGTLNAATFEGSLSEVESFSYNS -LMSLTTNPQDKVNNQLVTKGVTVLNLPTGFDKPYVRLEDETPQGPQSMNGARMRCTAAIAPRRYEIDLPS -ARLPTVPATGTLTTIYEGNADIVNSTTVTGDISFRLEQDPPNDTKYDFQLDFLGLDNNVPVVSITSSTLA -TTDNYRGVSVKFTQSIPTETITKPITRVKLSYKINQQTAIGNAATLGPLGPSSVSFSSGNGNVPGVLRPI -TLVAYEKMTPQSILTVAGVSNYELIPNPELLKNMVTKYGKYDPEGLNYAKMILSHREELDIRTVWRTEEY -KERTRAFNEITDFSSDLPTSKAWGWRDIVRGIRKVAAPVLSTLFPMAAPLIGAADQFIGDLTKTNAAGGR -YLTHAAGGRYTDVMDSWASGTDTGRFSRNLKDRLESNNYEEMELPPPTKGVIIPVVHTVESAPGEAFGSL -LVIIPGAYPELLDPNQQVLSHFKNDTGCVWGIGEDIPFEGDDICYTALPLKEIKKNGNIVVEKVFAGPAM -GPSCQLGLSLLVNDIDKGVPRMVFTGEIANDEETIVPICGVDIKAIAAHEHGLPLVGCQPGVDEVVANTS -LASHLIQSGALPVQKAQGASRRIKYLGELMRTTASGMDEELQKLLHATMARAKEVKDAEVFKLLKLMSWT -RKNGLTDHMYEWSKEDPEAVKFGKLISTPPKHQEKPKGPDQHTAQEAKAVRISLDAVKAGADFASPDWIA -ENGYRGPSPGQFKYYVITGRVPDPRDEYEDYVRKPITRPTDMDKIRRLANSVYGLPHQEPAPEEFYQAVV -EIFAENGGRGPDQDQMQDLRDLARQMKRRPRPAETRRQNRAPPRAAPSGSSRFTPSGDNGEV - ->NP_690836.1 polyprotein [Drosophila X virus] -MNTTNEYLKTLLNPAQFISDIPDDIMIRHVNSAQTITYNLKSGASGTGLIVVYPNTPSSISGFHYIWDSA -TSNWVFDQYIYTAQELKDSYDYGRLISGSLSIKSSTLPAGVYALNGTFNAVWFQGTLSEVSDYSYDRILS -ITSNPLDKVGNVLVGDGIEVLSLPQGFNNPYVRLGDKSPSTLSSPTHITNTSQNLATGGAYMIPVTTVPG -QGFHNKEFSINVDSVGPVDILWSGQMTMQDEWTVTANYQPLNISGTLIANSQRTLTWSNTGVSNGSHYMN -MNNLNVSLFHENPPPEPVAAIKININYGNNTNGDSSFSVDSSFTINVIGGATIGVNSPTVGVGYQGVAEG -TAITISGINNYELVPNPDLQKNLPMTYGTCDPHDLTYIKYILSNREQLGLRSVMTLADYNRMKMYMHVLT -NYHVDEREASSFDFWQLLKQIKNVAVPLAATLAPQFAPIIGAADGLANAILGDSASGRPVGNSASGMPIS -MSRRLRNAYSADSPLGEEHWLPNENENFNKFDIIYDVSHSSMALFPVIMMEHDKVIPSDPEELYIAVSLT -ESLRKQIPNLNDMPYYEMGGHRVYNSVSSNVRSGNFLRSDYILLPCYQLLEGRLASSTSPNKVTGTSHQL -AIYAADDLLKSGVLGKAPFAAFTGSVVGSSVGEVFGINLKLQLTDSLGIPLLGNSPGLVQVKTLTSLDKK -IKDMGDVKRRTPKQTLPHWTAGSASMNPFMNTNPFLEELDQPIPSNAAKPISEETRDLFLSDGQTIPSSQ -EKIATIHEYLLEHKELEEAMFSLISQGRGRSLINMVVKSALNIETQSREVTGERRQRLERKLRNLENQGI -YVDESKIMSRGRISKEDTELAMRIARKNQKDAKLRRIYSNNASIQESYTVDDFVSYWMEQESLPTGIQIA -MWLKGDDWSQPIPPRVQRRHYDSYIMMLGPSPTQEQADAVKDLVDDIYDRNQGKGPSQEQARELSHAVRR -LISHSLVNQPATAPRVPPRRIVSAQTAQTDPPGRRAALDRLRRVRGEDNDIV - ->NP_690838.1 VP2-4-3 polyprotein [Infectious bursal disease virus] -MTNLQDQTQQIVPFIRSLLMPTTGPASIPDDTLEKHTLRSETSTYNLTVGDTGSGLIVFFPGFPGSIVGA -HYTLQSNGNYKFDQMLLTAQNLPASYNYCRLVSRSLTVRSSTLPGGVYALNGTINAVTFQGSLSELTDVS -YNGLMSATANINDKIGNVLVGEGVTVLSLPTSYDLGYVRLGDPIPAIGLDPKMVATCDSSDRPRVYTITA -ADDYQFSSQYQAGGVTITLFSANIDAITSLSIGGELVFQTSVQGLILGATIYLIGFDGTAVITRAVAADN -GLTAGTDNLMPFNIVIPTSEITQPITSIKLEIVTSKSGGQAGDQMSWSASGSLAVTIHGGNYPGALRPVT -LVAYERVATGSVVTVAGVSNFELIPNPELAKNLVTEYGRFDPGAMNYTKLILSERDRLGIKTVWPTREYT -DFREYFMEVADLNSPLKIAGAFGFKDIIRALRRIAVPVVSTLFPPAAPLAHAIGEGVDYLLGDEAQAASG -TARAASGKARAASGRIRQLTLAADKGYEVVANLFQVPQNPVVDGILASPGILRGAHNLDCVLREGATLFP -VVITTVEDAMTPKALNSKMFAVIEGVREDLQPPSQRGSFIRTLSGHRVYGYAPDGVLPLETGRVYTVVPI -DGVWDDSIMLSKDPIPPIVGSSGNLAIAYMDVFRPKVPIHVAMTGALNAYGEIENVSFRSTKLATAHRLG -LKLAGPGAFDVNTGSNWATFIKRFPHNPRDWDRLPYLNLPYLPPNAGRQYDLAMAASEFKETPELESAVR -AMEAAANVDPLFQSALSVFMWLEENGIVTDMANFALSDPNAHRMRNFLANAPQAGSKSQRAKYGTAGYGV -EARGPTPEGAQREKDTRISKKMETMGIYFATPEWVALNGHRGPSPGQLKYWQNTREIPDPNEDYLDYVHA -EKSRLASEGQILRAATSIYGAPGQAEPPQAFIDEVAKVYEVNHGRGPNQEQMKDLLLTAMEMKHRNPRRA -PPKPKPKPNVPTQRPPGRLGRWIRAVSDEDLE - ->NP_047196.1 polyprotein [Infectious pancreatic necrosis virus] -MSTSKATATYLRSIMLPENGPASIPDDITERHILKQETSSYNLEVSESGSGLLVCFPGAPGSRVGAHYRW -NLNQTALEFDQWLETSQDLKKAFNYGRLISRKYDIQSSTLPAGLYALNGTLNAATFEGSLSEVESLTYNS -LMSLTTNPQDKVNNQLVTKGITVLNLPTGFDKPYVRLEDETPQGPQSMNGARMRCTAAIAPRRYEIDLPS -ERLPTVAATGTPTTIYEGNADIVNSTAVTGDITFQLEAEPVNETRFDFILQFLGLDNDVPVVTVTSSTLV -TADNYRGASAKFTQSIPTEMITKPITRVKLAYQLNQQTAIANAATLGAKGPASVSFSSGNGNVPGVLRPI -TLVAYEKMTPQSILTVAGVSNYELIPNPDLLKNMVTKYGKYDPEGLNYAKMILSHREELDIRTVWRTEEY -KERTRAFKEITDFTSDLPTSKAWGWRDLVRGIRKVAAPVLSTLFPMAAPLIGAADQFIGDLTKTNSAGGR -YLSHAAGGRYHDVMDSWASGSEAGSYSKHLKTRLESNNYEEVELPKPTKGVIFPVVHTVESAPGEAFGSL -VVVIPEAYPELLDPNQQVLSYFKNDTGCVWGIGEDIPFEGDDMCYTALPLKEIKRNGNIVVEKIFAGPAM -GPSSQLALSLLVNDIDEGIPRMVFTGEIADDEETVIPICGVDIKAIAAHEHGLPLIGCQPGVDEMVANTS -LASHLIQGGALPVQKAQGACRRIKYLGQLMRTTASGMDAELQGLLQATMARAKEVKDAEVFKLLKLMSWT -RKNDLTDHMYEWSKEDPDAIKFGRLVSTPPKHQEKPKGPDQHTAQEAKATRISLDAVKAGADFASPEWIA -ENNYRGPSPGQFKYYMITGRVPNPGEEYEDYVRKPITRPTDMDKIRRLANSVYGLPHQEPAPDDFYQAVV -EVFAENGGRGPDQDQMQDLRDLARQMKRRPRPAETRRQTKTPPRAATSSGSRFTPSGDDGEV - ->sp|P61825.2|POLS_IBDV RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -MTNLQDQTQQIVPFIRSLLMPTTGPASIPDDTLEKHTLRSETSTYNLTVGDTGSGLIVFFPGFPGSIVGA -HYTLQSNGNYKFDQMLLTAQNLPASYNYCRLVSRSLTVRSSTLPGGVYALNGTINAVTFQGSLSELTDVS -YNGLMSATANINDKIGNVLVGEGVTVLSLPTSYDLGYVRLGDPIPAIGLDPKMVATCDSSDRPRVYTITA -ADDYQFSSQYQPGGVTITLFSANIDAITSLSVGGELVFQTSVHGLVLGATIYLIGFDGTAVITRAVAANN -GLTTGTDNLLPFNLVIPTNEITQPITSIKLEIVTSKSGGQAGDQMSWSARGSLAVTIHGGNYPGALRPVT -LVAYERVATGSVVTVAGVSNFELIPNPELAKNLVTEYGRFDPGAMNYTKLILSERDRLGIKTVWPTREYT -DFREYFMEVADLNSPLKIAGAFGFKDIIRAIRRIAVPVVSTLFPPAAPLAHAIGEGVDYLLGDEAQAASG -TARAASGKARAASGRIRQLTLAADKGYEVVANLFQVPQNPVVDGILASPGVLRGAHNLDCVLREGATLFP -VVITTVEDAMTPKALNSKMFAVIEGVREDLQPPSQRGSFIRTLSGHRVYGYAPDGVLPLETGRDYTVVPI -DDVWDDSIMLSKDPIPPIVGNSGNLAIAYMDVFRPKVPIHVAMTGALNACGEIEKVSFRSTKLATAHRLG -LKLAGPGAFDVNTGPNWATFIKRFPHNPRDWDRLPYLNLPYLPPNAGRQYHLAMAASEFKETPELESAVR -AMEAAANVDPLFQSALSVFMWLEENGIVTDMANFALSDPNAHRMRNFLANAPQAGSKSQRAKYGTAGYGV -EARGPTPEEAQREKDTRISKKMETMGIYFATPEWVALNGHRGPSPGQLKYWQNTREIPDPNEDYLDYVHA -EKSRLASEEQILRAATSIYGAPGQAEPPQAFIDEVAKVYEINHGRGPNQEQMKDLLLTAMEMKHRNPRRA -LPKPKPKPNAPTQRPPGRLGRWIRTVSDEDLE - ->sp|P29802.2|POLS_IBDVE RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -MTNLQDQTQQIVPFIRSLLMPTTGPASIPDDTLEKHTLRSETSTYNLTVGDTGSGLIVFFPGFPGSIVGA -HYTLQSNGNYKFDQMLLTAQNLPASYNYCRLVSRSLTVRSSTLPGGVYALNGTINAVTFQGSLSELTDVS -YNGLMSATANINDKIGNVLVGEGVTVLSLPTSYDLGYVRLGDPIPAIGLDPKMVATCDSSDRPRVYTITA -ADNYQFSSQYQTGGVTITLFSANIDAITSLSVGGELVFKTSVQSLVLGATICLIGFDGTAVITRAVAANN -GLTAGIDNLMPFNLVIPTNEITQPITSIKLEIVTSKSDGQAGEQMSWSASGSLAVTIHGGNYPGALRPVT -LVAYERVATGSVVTVAGVSNFELIPNPELAKNLVTEYGRFDPGAMNYTKLILSERDRLGIKTVWPTREYT -DFREYFMEVADLNSPLKIAGAFGFKDIIRAIRRIAVPVVSTLFPPAAPVAHAIGEGVDYLRGDEAQAASG -TARAASGKARAASGRIRQLTLAADKGYEVVANLFQVPQNPVVDGILASPGILRGAHNLDCVLREGATLFP -VVITTVEDAMTPKALNNKMFAVIEGVREDLQPPSQRGSFIRTLSGHRVYGYAPDGVLPLETGRDYTVVPI -DDVWDDSIMLSKDPIPPIVGNSGNLAIAYMDVFRPKVPIHVAMTGALNACGEIEKISFRSTKLATAHRLG -LKLAGPGAFDVNTGPNWATFIKRFPHNPRDWDRLPYLNLPYLPPNAGRQYHLAMAASEFKETPELESAVR -AMEAAANVDPLFQSALSVFMWLEENGIVTDMANFALSDPNAHRMRNFLANALQAGSKSQRAKYGTAGYGV -EARGPTLEGAQREKDTRISKKMETMGIYFATQEWVAFNRHRRPSPGQLKYWQNTREIPDPNEYYLDYVHA -EKSRLASEEQILRAATSIYGAPVQAEPLQAFIDEVAKVYEINHGRGPNQEQMKDLLLTAMEMKHRNPRRA -PPKPKPKPNAPTQRPPGRLGRWIRTVSDEDLE - ->sp|Q703G9.1|POLS_IPNVS RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; Contains: RecName: Full=Structural peptide 2; Short=p2; Contains: RecName: Full=Structural peptide 3; Short=p3; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -MNTNKATATYLKSIMLPETGPASIPDDITERHILKQETSSYNLEVSESGSGVLVCFPGAPGSRIGAHYRW -NANQTGLEFDQWLETSQDLKKAFNYGRLISRKYDIQSSTLPAGLYALNGTLNAATFEGSLSEVESLTYNS -LMSLTTNPQDKVNNQLVTKGVTVLNLPTGFDKPYVRLEDETPQGLQSMNGAKMRCTAAIAPRRYEIDLPS -QRLPPVPATGTLTTLYEGNADIVNSTTVTGDINFSLAEQPADETKFDFQLDFMGLDNDVPVVTVVSSVLA -TNDNYRGVSAKMTQSIPTENITKPITRVKLSYKINQQTAIGNVATLGTMGPASVSFSSGNGNVPGVLRPI -TLVAYEKMTPLSILTVAGVSNYELIPNPELLKNMVTRYGKYDPEGLNYAKMILSHREELDIRTVWRTEEY -KERTRVFNEITDFSSDLPTSKAWGWRDIVRGIRKVAAPVLSTLFPMAAPLIGMADQFIGDLTKTNAAGGR -YHSMAAGGRYKDVLESWASGGPDGKFSRALKNRLESANYEEVELPPPSKGVIVPVVHTVKSAPGEAFGSL -AIIIPGEYPELLDANQQVLSHFANDTGSVWGIGEDIPFEGDNMCYTALPLKEIKRNGNIVVEKIFAGPIM -GPSAQLGLSLLVNDIEDGVPRMVFTGEIADDEETIIPICGVDIKAIAAHEQGLPLIGNQPGVDEEVRNTS -LAAHLIQTGTLPVQRAKGSNKRIKYLGELMASNASGMDEELQRLLNATMARAKEVQDAEIYKLLKLMAWT -RKNDLTDHMYEWSKEDPDALKFGKLISTPPKHPEKPKGPDQHHAQEARATRISLDAVRAGADFATPEWVA -LNNYRGPSPGQFKYYLITGREPEPGDEYEDYIKQPIVKPTDMNKIRRLANSVYGLPHQEPAPEEFYDAVA -AVFAQNGGRGPDQDQMQDLRELARQMKRRPRNADAPRRTRAPAEPAPPGRSRFTPSGDNAEV - ->sp|P22351.1|POLS_IBDVS RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -MTNLQDQTQQIVPFIRSLLMPTTGPASIPDDTLEKHTLRSETSTYNLTVGDTGSGLIVFFPGFPGSIVGA -HYTLQSNGNLKFDQMLLTAQNLPASYNYCRLVSRSLTVRSSTLPGGVYALNGTINAVTFQGSLSELTDVS -YNGLMSATANINDKIGNVLVGEGVTVLSLPTSYDLGYVRLGDPIPAIGLDPKMVATCDSSDRPRVYTITA -ADDYQFSSQYQPGGVTITLFSANIDAITSLSVGGELVFQTSVQGLVLGATIYFIGFDGTTVITRAVAADN -GLTAGTDNLMPFNLVIPTNEITQPITSIKLEVVTSKSGGQAGDQMSWSASGSLAVTIHGGNYPGALRPVT -LVAYERVATGSVVTVAGVSNFELIPNPELAKNLVTEYGRFDPGAMNYTKLILSERDRLGIKTVWPTREYT -DFREYFMEVADLNSPLKIAGAFGFKDIIRAIRRIAVPVVSTLFPPAAPLAHAIGEGVDYLLGDEAQAASG -TARAASGKARAASGRIRQLTLAADKGYEVVANLFQVPQNPVVDGILASPGVLRGAHNLDCVLREGATLFP -VVITTVEDAMTPKALNSKIFAVIEGVREDLQPPSQRGSFIRTLSGHRVYGYAPDGVLPLETGRDYTVVPI -DDVWDDSIMLSKDPIPPIVGNSGNLAIAYMDVFRPKVPIHVAMTGALNAFGEIEKVSFRSTKLATAHRLG -LKLAGPGAFDVNTGPNWATFIKRFPHNPRDWDRLPYLNLPYLPPNAGRQYHLAMAASEFKETPELESAVR -AMEAAANVDPLFQSALSVFMWLEENGIVTDMANFALSDPNAHRMRNFLANAPQAGSKSQRAKYGTAGYGV -EARGPTPEEAQRAKDTRISKKMETMGIYFATPEWVALNGHRGPSPAQLKYWQNTREIPDPNEDYLDYVHA -EKSRLASEEQILKAATSIYGAPGQAEPPQAFIDEVAKVYEINHGRGPNQEQMKDLLLTAMELKHRNPRRA -PPKPKPKPNAPTQRPPGRLGRWIRTVSDEDLE - ->sp|P08364.2|POLS_IBDVA RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -MTNLSDQTQQIVPFIRSLLMPTTGPASIPDDTLEKHTLRSETSTYNLTVGDTGSGLIVFFPGFPGSIVGA -HYTMQSNGNYKFDQMLLTAQNLPASYNYCRLVSRSLTVRSSTLPGGVYALNGTINAVTFQGSLSELTDVS -YNGLMSATANINDKIGNVLVGEGVTVLSLPTSYDLGYVRLGDPIPAIGLDPKMVATCDSSDRPRVYTITA -ADDYQFSSQYQPGGVTITLFSANIDAITNLSVGGELVFQTSVQGLVLNATIYLVGFDGTTVTTRAVAAGN -GLTAGTDNLMPFNLVIPTSEITQPVTSIKLEIVTSKSGGQAGDQMSWLASGNLAVTIHGGNYPGALRPVT -LVAYERVATGSVVTVAGVSNFELIPNPELAKNLVTEYGRFDPGAMNYTKLILSERDRLGIKTVWPTREYT -DFREYFMEVADLNSPLKIAGAFGFKDIIRAIRRIAVPVVSTLFPPAAPLAHAIGEGVDYLLGDEAGAASG -TARAASGKARAASGRIRQLTLAADKGYEVVANLFQVPQNPVVDGILASPGVLRGAHNLDCVLREGATLFP -VVITTVEDAMTPKALNSKMFAVIEGVREDLQPPSQRGSFIRTLSGHRVYGYAPDGVLPLETGRDYTVVPI -DDVWDDSIMLSKDPIPPIVGNSGNLAIAYMDVFRPKVPIHVAMTGALNAYGEVEKVSFRSTKLATAHRLG -LKLAGPGAFDINTGPNWATFIKRFPHNPRDWDRLPYLNLPYLPPSAGRQYHLAMAASEFKETPELESAVR -AMEAAADVDPLFQSALSVFMWLEENGIVTDMANFALSDPNAHRMRNFLANAPQAGSKSQRAKYGTAGYGV -EARGPTPEEAQREKDTRISKKMEAMGIYFATPEWVALNGHRGPSPGQLKYWQNTREIPDPNEDYLDYVHA -EKSRLASEEQILRAATSIYGAPGQAEPPQAFIDEVAKVYEINHGRGPNQEQMKDLLLTAMEMKHRNPRRA -PPKPKPKPNAPSQRPPGRLGRWIRTVSDEDLE - ->sp|P25219.1|POLS_IBDV5 RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -MTNLQDQTQQIVPFIRSLLMPTTGPASIPDDTLEKHTLRSETSTYNLTVGDTGSGLIVFFPGFPGSIVGA -HYTLQSNGNYKFDQMLLTAQNLPASYNYCRLVSRSLTVRSSTLPGGVYALNGTINAVTFQGSLSELTDVS -YNGLMSATANINDKIGNVLVGEGVTVLSLPTSYDLGYVRLGDPIPAIGLDPKMVATCDSSDRPRVYTITA -ADDYQFSSQYQPGGVTITLFSANIDAITSLSIGGELVFQTSVQGLVLGATIYLIGFDGTAVITRAVAADN -GLTAGTDNLMPFNLVIPTNEITQPITSIKLEIVTSKSGGQAGDQMSWSASGSLAVTIHGGNYPGALRPVT -LVAYERVATGSVVTVAGVSNFELIPNPELAKNLVTEYGRFDPGAMNYTKLILSERDRLGIKTVWPTREYT -DFREYFMEVADLNSPLKIAGAFGFKDIIRAIRRIAVPVVSTLFPPAAPLAHAIGEGVDYLLGDEAQAASG -TARAASGKARAASGRIRQLTLAADKGYEVVANLFQVPQNPVVDGILASPGVLRGAHNLDCVLREGATLFP -VVITTVEDAMTPKALNSKMFAVIEGVREDLQPPSQRGSFIRTLSGHRVYGYAPDGVLPLETGRDYTVVPI -DDVWDDSIMLSKDPIPPIVGNSGNLAIAYMDVFRPKVPIHVAMTGAPNACGEIEKISFRSTKLATAHRLG -LKLAGPGAFDVNTGPNWATFIKRFPHNPRDWDRLPYLNLPYLPPNAGRQYHLAMAASEFKDTPELESAVR -AMEAAANVDSLFQSALSVFMWLEENGIVTDMANFTLSDPNAHRMRNFLANAPQAGSKSQRAKYGTAGYGV -EARGPTPEEAQRKKDTRISKKMETMGIYFATPEWVALNGHRGPSPGQLKYWQNTREIPDPNEDYLDYVHA -EKSRLASDEQILRAATSIYGAPGQAEPPQAFIDEVAKVYEINHGRGPNQEQMKDLLLTAMEMKHRNPRRA -PPKPKPKPNAPTQRPPGRLGRWIRTVSDEDLE - ->sp|P27276.1|POLS_IBDVO RecName: Full=Structural polyprotein; Short=PP; Contains: RecName: Full=Precursor of VP2; Short=Pre-VP2; Contains: RecName: Full=Capsid protein VP2; Contains: RecName: Full=Structural peptide 1; Short=p1; AltName: Full=pep46; Contains: RecName: Full=Structural peptide 2; Short=p2; AltName: Full=pep7a; Contains: RecName: Full=Structural peptide 3; Short=p3; AltName: Full=pep7b; Contains: RecName: Full=Structural peptide 4; Short=p4; AltName: Full=pep11; Contains: RecName: Full=Protease VP4; AltName: Full=Non-structural protein VP4; Short=NS; Contains: RecName: Full=Capsid protein VP3 -MTNLMDHTQQIVPFIRSLLMPTTGPASIPDDTLEKHTLRSETSTYNLTVGDTGSGLIVFFPGFPGSVVGA -HYTLQSSGSYQFDQMLLTAQNLPVSYNYCRLVSRSLTVRSSTLPGGVYALNGTINAVTFQGSLSELTDYS -YNGLMSATANINDKIGNVLVGEGVTVLSLPTSYDLSYVRLGDPIPAAGLDPKLMATCDSSDRPRVYTVTA -ADEYQFSSQLIPSGVKTTLFTANIDALTSLSVGGELIFSQVTIHSIEVDVTIYFIGFDGTEVTVKAVATD -FGLTTGTNNLVPFNLGGPTSEITQPITSMKLEVVTYKRGGTAGDPISWTVSGTLAVTVHGGNYPGALRPV -TLVAYERVAAGSVVTVAGVSNFELIPNPELAKNLVTEYGRFDPGAMNYTKLILSERDRLGIKTVWPTREY -TDFREYFMEVADLNSPLKIAGAFGFKDIIRAIRKIAVPVVSTLFPPAAPLAHANREGVDYLLGDEAQAAS -GTARGASGKARAASGRIRQLTLAADKGYEVVANMFQVPQNPIVDGILASPGILRGAHNLDCVSKEGATLF -PVVITTLEDELTPKALNSKMFAVIEGAREDLQPPSQRGSFIRTLSGHRVYGYAPDGVLPLETGRDYTVVP -IDDVWDDSIMLSQDPIPPIVGNSGNLAIAYMDVFRPKVPIHVAMTGALNASEIESVSFRSTKLATAHRLG -MKLAGPGDYDINTGPNWATFIKRFPHNPRGWDRLPYLNLPYLPPTAGRQFHLALAASEFKETPELEDAVR -AMDAAANADPLFRSALQVFMWLEENGIVTDMANFALSDPNAHRMKNFLANAPQAGSKSQRAKYGTAGYGV -EARGPTPEEAQRAKDARISKKMETMGIYFATPEWVALNGHRGPSPGQLKYWQNTREIPEPNEDYPDYVHA -EKSRLASEEQILRAATSIYGAPGQAEPPQAFIDEVARVYETNHGRVPNQEQMKDLLLTAMEMKHRNPRRA -PPKPKPKPNAPSQRPPGRLGRWIRTVSDEDLE - diff --git a/seq/clusters_seq/cluster_29 b/seq/clusters_seq/cluster_29 deleted file mode 100644 index 86b5ed8..0000000 --- a/seq/clusters_seq/cluster_29 +++ /dev/null @@ -1,2373 +0,0 @@ ->YP_009345890.1 polyprotein [megrivirus B3CP-APO] -MDSNTSFSNTNNGNNTQSVNDSEKLCARCGFPLCENSCTICTLVSLTVPCLKCGKPVSICQPTCSDCVPF -RYTYQNGDSKPEDNTPLQAQQEDTLSQRLPFESSTSETTLAGATPNQTLSIPDVAWTGERTLDSDIPQIL -SVMEWNTSAANGTILTTAEQAGTNSSLPQVNPIALPYHLLTNSQTPDGGWQTTNVANNIFGALYQRHALY -RSGFHVEVSINGTQFHSGSLLVVAVPTPHLWMANQTGNNPDNPKNYSILDISNFSQLGVFPSARLLPRSN -SAVTLDLPFVGQAPVLSTDAMDIQWMVFVFVETKLGIPTTGSAPALTVVVKAAPRKAMFWAPHRPERTFG -RLGTLRNTTSTTPAPASVEYNGLVNTGEAMFSNLEERSGAVVVRRRGSPSPSFLPARVESFRSVISIPTL -MGTCLVTNSTPVGTVLFQTQVNPLATPTTQRQGTGSPAVTTQIGQPYLWDLARYFTQWRGSLQFTIEFTG -PAITSGRLLLAFQPGTNRFWKGGSGDDYVLEGATLQVLTQGPHVIWDVSNSTSASLNCPYSVPTPWAPIT -PVQDNMMPQSNSTSGTFFVALLTQFNTPTIVSPSNPLLIYVSAGPDFDLRFPAPVPIIQRPLYNGILDQG -PESVQPVVGASFVTTLDSLDLGTFFHQSRFYGTFSATTGSTNARLLTIPLSLVNYGYSTTTAATVVENPF -RNFTNLFTFIKADLRLTIGIPFSANVVVAYRPPGTSSTVFSNAGATAGSQAPNSLDALLNGGAVVLSTRA -SNMGVEVNVPFSSFASVFQTTNNRLQRTDLQYQPNESQFDPGDLGTVFVAARVNSQTLSNVSIFIGLDNA -EFYLPRPFGPRAVPTAAPASLLPNPESFSWEEVEEMDTMPPLEEEPMDTEYQNPRKCPICNNWMIGRYCW -KCDKFDGVIPVCKRCHYGEIFQRGFCKGCYQLVFNVFVYEMGPVEFACETLAPYLSNTPESDLIAARPIA -QMADLIVQPAIGAIVLAASELVGYKAHERIFGTERSAEDMLERGDFEREEVIRASYEAPTPFCAPHCGGV -DRWLCRCDECLDWINEMVAVIEDGYQIDDGGRIEFNLRLHGFLPMSVEVAEYLGWNFDQLVEARPGWSVE -SLLSEIQDTEEIEAEWRMQLLDELPLEFPVGPDYPGQWYDNEWYREFLPSEKTSPFPWHDTPYSKALLVS -DPSRLCRFYHFLWNDTRYITWLSLHGKHLEALEMARNHPARRVVVPIKLGAEFVKVTSMADERRSSFILE -RDLAEVRATAMTNTVKASALFRSALRRAEYRRLTSWEEGEVKKTLRFLLSLEEESDEEFFQDDEPFQCEY -EAPLGNLPAPPGPPGVRINTQQVAEVAAQHGVVVSQDNGEEVDDEPPETPYVYKVNRGVYYHWGIAFRGQ -AISLQQQGMGAVVALTNYDLPRAEKVDEIGMYEWFRAVCMLGQEFEDYNIQNNCTHFVEVITGNSYQNTG -TWLLAGLGAAALATAFIFQSPKVGPVAMRLKSLETGIEPKKTTRWVRFLRSIPRHREHVFRRTMFFGRRE -QLPRRIFQNPSYNAPINITLSNPRVEQTANVSAVALQQTLQTYNEMAPQISAAVTAAAAALQDTSMKAGD -FVDRLENLVTSTTEFLPKMASTTAETASSIFGDLSRNIGSMILKIIGYTLIIFGNPNPSTVAGVISLMAA -EALTSRFLRDKIRSLAVSLSHKLQSLFTSCFGLSVCADDPEIFEDIPFNTSYADFMRERTEYEAPEPSVT -QSFNQTVLAMKNIDWIIQKIKELIEFTINKLKGKKEKNPEGWLKSRADYMVKLYDDSVQVGSCQNVDQSL -LKKRMEETSEMLAFAVNNRMGQPAALLSKTLGNYRQAQRKLNAASYHDRPEPLVVYIHGGPGCGKSVLSN -LIASAYCKRMGLPFSTSVFTTPPGSEFFDGYTGQPVHIIDDFCQNTTGEDVKLFCQMVSTTRFSPPMASL -EEKGVNYCSKLIIATSNLATPQSNEVRIPAALERRCHIRVKTSLHPAFTTPAGTLDMVAAFKELGPAKSC -DFKVDCPYLNGAAVSCSVRAGGDRRAEKLSVYELMEMIYDELDRRDSCQDLFRNVCYQAPQPGDSRCYDD -GVVPTLCSHQDHESANCRRVFFRKGDEVWHRDFETYQEMRDFMDAYHLGKLPEGKEIRPCPCLDPHCGKL -LFIGESSAKTFDFRSKSAMDFFLFNHGGSFKFIDPQPKEFVPTAKPQKEENIEEIKKSLTRLQKSCLISF -GITALGALSTLIGAIIWLVRRNRRQSEAPYAGMPGAGRPARTNHPRPIPTRNIKYEGQNLPQIYPKIEKN -TFSITFRNAGKTLFSLSGLGIAGRVAIGNYHGFSRADQVTIFGKTYDLDDLKPTRIVRNGHPTDLCVFTL -PDGNEFKNITRFFLSVKDRIPRADCVLISRAEKMVCNFWARNVSGKRTVVVDAKDTHEEDTHHNVICYDI -PSMPGMCGSPLLSTNSAREVVLGIHFAGTGSTGLAVPVYVEDFKSFLEANLKPIPHPGKPTHVARKSDLK -PSPVHGVFPVTHGPAALTKNDKRLNEGVDLDTVMFSKHTPDHPGWPTLEPAMSYVVKDLMHKLGFHPSEK -IQMWTLEQAINGEGVMDGIMMNQSPGYPYNTQGRSRRSFFVWNNNRWEPTEELRKEVDRALKNPDQFYFS -TFLKDELRPLTKVTAGKTRLVDGDSLPRALAYRMVFGPMFERMLAKHGPEIHSAVGCNPETFWTTVFHQM -GPATYPYVFDLDYSCFDSTEPAISFRLMAKYLKPYFEIDVTPFFEALAVSKHVYEGIAYEMEGGMTSGCV -GTSMFNCINNSAYIVSALLKLKINPEDVAWICYGDDVIISTHEKALSKRIAQFYAENTNLVVTPASKSGE -FPETSTIYDVTFLKRYFQPDSNYPDLIHPYMPLDHLKQSVMWCTDGPFQAKLDSLCFLAFHAGRKDYEEF -VNAIDGKAREKGLSFNFKSFEFLMSQWYANFM - ->YP_009054902.1 polyprotein [megrivirus C2] -MSSSQHKPEDNTPLQAQQEEVQVESSAFTTATTETSIVAQTQQSGQVVRRNWVPSETPNSEIRTFLYAAS -WATNNAQGTMLTFNSPTALSGPIALPHALITGSQPTETLDPITGATPTMSGYTHFGLQYVSNGLYRTGYK -LFVSVNGTQFHSGSLFVICVPQPHVLLKPLSNQLPDVTLNYNCGDIYNFAQQGIFPSGRLLPRSNSQLEL -DLPYSGQDAYTSTATRHVDYFVFVLVETPLGIPTQGTAPTLQVVVEAQPVKPVFLAPHRPVRFTNNLGTF -TNLPALPAPASFEGVRILPAQSGIASEAQGAIQGVHWKNNHHSLDHLPARIEDFRSLLSRPTIKALVEVG -TSYTVGTVLAQWEVSPINDILTSGGATGVRTIEGSTFLHSFSRLFLQWTGSIVYTVEWTGPAVSAGRLIL -GFQPNANRESKLGSYAPALPTGNVLSSLSQGPHMIWDLSNSTSMSFRADFALPSEWAAVNPLVTAGTAGG -TNPSQTTQNGGVINFSSSGSCYLALLTPIVTPTVVQSSFKIVLSESAGPDFSLRYFAPRSPNTYPIYNGI -IDQGPVNIPEPLGESTTPFQEISVAQVLAHPRLYGNFSFTQNRVLEIPLSLISYSKGPGANTNSAQITPA -RLFASLFTQIQADLRIIVTTSANTSVFVAYQPPGTTPTVFGQVPLSRAALSMANFTIFSTMADNVGFELV -VPYPGNHATFQTSLCTTLRSDNVYQASQDQNDPGNLGTLLVVNKDIAQATVSQISVTVYLGLLNAKCFIP -RPFGPLTSQIVQPNSLSVRGAEDEPDFWEDQPEGEESFFQAPHLTSLKIEQAKDQVARFEEYFDWLYSEV -GDTISPEHALAICSAVENAINQCDDEVENQIAFAELPLEYPQSLPCPGVWFRGEFYRCCYLPPDNRFFPQ -VAAHSPLPVEHNLCELYEALRAFETMCLIDPLATSHCYQALLTQLLDSNWVIPEMKTEIRKKMCFLLEML -SHPERIMAHWNWESCHTQAFRNLCQRIGRPLAPSMIDDDYFSDEEDAEFQAPTSCDQKFLNLIQSYRSAM -LVNPWHTFEVAYISFYDLTLREDVPQWFKDQVDDVCFENLCARAGDRIDVDNSVLLESYRQAFDELFSEE -PDFFSDDEIEAEFQAPKPPVVAASAPRPRPPTPLPSPVVSEDEDEGEDEPDDIYVYYVDNGLYRHWGIRS -KQQAISLKAHGFQCRVSYTPEDLGKHVDYCAVGYNEWCKAVCRLGQIYDYSITHNCTDFVEEITSYQYSF -QNTGYWLAAGVVAAAVATTAIFHSVPPSWDERPFLPEKTTPRVVVVAPRRRVHKWRRATLEKIVELKRKM -LFQAPINIQLTNPAVDRAASITTTATLETLDEVKNMVPVLVNSASTVASSLSAASASVEHLTKSMETFLE -NATAAIPGAVSAMESTAGELISSASKKIASIILKVIGFTLVIFGNPSPSTIAGVIALLVAEVYDNAYLRK -KMSDLAVSFTSKIKDLVGSLFGVSSCCDEEPIFDDIDDAFHRYMLTRTETDFEFQAPSRLQEFNQGVLAM -KNVEWIIEKVKELIDWLIAKLKSKEKSSPEDYLKSRADYITDLYRDSLATASCQNVDVNLLNQRVKDTRD -MLAYCTNHRLAGAAHILSRTLANYEQTSRKLKTSVYASRPEPLVIYIHGSPGVGKSLLSNIIASAYCKRK -GIDFKTSVFAQPPGSEYFDGYTGQPVHVIDDFCQNTTGEDVKLFCQMVSTTPFVPPMAALEEKGVQYRSR -LILATSNMATPQSNEIRIPGALERRCHIKVRAVLARAFQTPAGRLDMAEAFKELGPAKSPDFKADCPYLN -GAAMTLSVTRGEDRTREHMSVYDLCDMIFDELDERDGNQTAFSNIIFQSPSQNVISFCKHVDHSPDSCFN -IFIATSQGPIVHRFDSAEDRNSWLQSQIPPLPKAGNVRCCPCKNPDCAKIQFTFKTDDEQIRSQVINFPG -REVAEWWLRFVCGRPGSAYALESEIWPAPPIFIPTAQPIKNESIEKELKKLKKRSLISFTITGISAIASA -IGLLIYFLRRRRDPEPQAPYSGAGGRQRQPPQQRPVPQRIVHYQAPYRMPQIYPKVEKNCTSITFHQDSH -SFDLTALFICGRTFVCNNHAFSHSHTIEIGGHKYKPEELSPELLVRPSGATDVVICTLPRGDERKNLVPY -LLSQKDRPTNDDVLMVSRSKTIAANFECTNLRGRKSVCVKEFDNADEQNFHRCYTYDLKSTPGMCGAALI -SRNPARETLLGIHFAGGPGVGIGVPLYKEDFAHLFQGNLKPIEHPGQPNHIPRRSALKKSPAYGAFPVKS -EPAILSQKDKRCEVDLDEVMFSKHVPDHEGWPTLEPAMAYVVEELMQKCGFSKDDPVPMWTLEQAINGDG -VMEGIDMGQSAGYPFSAQGRSRRSFFEWDGEKWQATEELKKLVEHALEHPDDYYYATFLKDELRPSEKVK -AGKTRLVDSDSLPRILAMRMVFGPLFEAMLRKNGSEIHSAVGCNPDVDWTRFYYEMGPDSFPYCFDLDYS -CFDSSEPKVAFLLMAKYLEPYFQHDVTPFLAAVATSKHVYGDKAYEMEGGMPSGCVGTSMFNCINNSAFI -VSALIALKVSPDSCKWICYGDDVIISTDEKALSRRIADFYAKNTNLKVTPACKSGDFPEESTFYEVSFLK -RFFVHDSHYPQLIHPYMPLEHLEQSSMWQTDGEYQQKLDSLAQLAFHAGGPDYRKFCDTIQKKCRSRGTE -VYFRPFEYYMAMWYAHFM - ->YP_009030047.1 polyprotein [megrivirus A2] -MDSFTSTSNTNSSVTKNESGVNHQAHRPISYSYENGVSKPEDNAPLQVQETDPAPSVAPHERATVETTLK -AVYPSGTSPVSDVAWDSARTIDAEIPRIVATYTWTSSKNPGDLLTGLTGSDAVETLPVSQNPFCLPNHLF -LAEPTASSGLNIPAQTSLFQALYSRHALYRSDFVIEATLNGTQFHGGSLMIAAIPMPHVWMGSLTNQNPG -TAKNWTMLEMVQMNQLGIFPSVRLLPRNASAVRLELPFLGNAPQAETAALDVMYAIVVIVESALTIPTGT -APTLTMTLRVGAKNAHFYAPKSYQGLPSSLGTFNLPYDLPNPASYEGATTISTGQSAFLNLTPRAAGRLN -RFGRSTGLGYLPFRVEDWRSVLSRPTLISLVKFSSATTVGTNIFQINVCPTGTPVINRDTTQALPSGSVA -RLTGVPYIQSLARYFAQWRGSLTYTFEYTGPAVSSGRLLLAFIPGRMRAYKAGNSGEYVETGALLQNFTE -NTHLIWDISDSATVSITCPYSIATPWAPVAVNSWQAVPLSGYSSGTLVLVVMTPMVTPTVVAPTADILVH -VSAGPDFEMRFPTPIPVNTIPMYNGVLDQGPEMVQLPTGEHMMSDMGSMNLQNFFSQSRFYGTFSNPAGT -TSASMLTIPLSLINFAPTGNLKVAENPVRTFAGLFTFLRADLRVTIGVPFTNNLIISYRPPTITQSGFTA -TNASPPDSAASLLNGPSVILSTRASNMGVEVTIPFPSYASVFQTSWSQTNRNDTRYLAQTNQVNPGDLGT -LFVASRIGTTALVNISVFLAFDNLEAFVPRPFPPSVGTQWGGVAPTSIGPDFSWEEVEEMDTMPPLEDER -MDTEYQSPRQCSKCQEWCIGIWCWRCQRYDGEIPVCKRCHSGVIYKDGWCKGCYQLVKSVFVYEAPTPHC -ASHCGGVDRWICRCDECLDWIAEMVPMFEDGYELDDGGRIEFNLRLHGFLPMNVEVAEFLGWNLEELAEA -RPGWSVESLLSEIQETEEIEAEWRQQLFDELPLEFSPGPDYPGQWFDKEWYREFFPSEKTSPFPWHDNPY -SKALLVYDPSRLCRFYHFLWNDTRYITWLSLHGKHLEALEMARNHPARRIVVPIKLGAEFVKVTSMADDR -RSSFILERDLAEVRATAMTNTVKASALFRSALRRVEYRRLTSWEEGEVKKTLRFLLSLEEDSDDEFFQDD -DPLQCEYEAPLGNLPAPPGPPGVRINTQQVAQAAAQHGVVTSEDNGEEVDDEPPEKPYVYKVNRGVYYHW -GLAFRSQAISLQQQGMGAVVALTNYDLSRAEKVEEVGMYEWFRAVCMLGQEFENYNIQNNCTHFVEVITG -KSYQNTGTWLLAGLGAAAIATAFIFQSPKTGPVAMRLKSLETGPEPKKTTRWVRFLKSIPRHREHVFRRT -MFFGRREQLPRRIFQNPCYNAPINITLSNPRVEQTANSSAVVLQQTLQTYNEMAPQISAAVTAAAAALQD -TSMKAGDFVERLENLVSSTTEFLPKMASTTAETASSIFGDLSRNIGSMILKIIGYTLIIFGNPNPSTVAG -VISLMAAEALTSRFLRRKIRDLAVSLSHKLQSLFTSCFGLSVCADDPEIFEDIPFNTSYADFMRERTEYE -APEPSVTQTFNQTVLAMKNIDWIIQKIKELIEFTISKLKGKKEKNPEGWLKSRADYMVKLYDDSVQVGSC -QNVDQSLLKKRMEETSEMLAFAVNNRLGQPAALLSKTLGNYRQAQRKLNAASYHDRPEPLVVYIHGGPGC -GKSVLSNLIASAYCKRMGLPFSTSVFTTPPGSEFFDGYTGQPVHIIDDFCQNTTGEDVKLFCQMVSTTRF -SPPMASLEEKGVNYCSKLIIATSNLATPQSNEVRIPAALERRCHIRVKTSLHPAFTTPSGTLDMVAAFKE -LGPAKSPDFKLDCPYLNGAAVSCSVRAGGDRRSEKLSVYELMEVIYDELDRRDACQDLFRNVCYQAPQPG -DSRCYEDGVIPSLCSHQDHDSGNCRRVFFRKGDEVWHRDFDTYQEMRDFMDAYHLGKLPEAKEIRPCPCL -DPHCGKLLFIGESSAKTFDFRSKSAMDFFLFNHGGSFKLVDPVPKEFVPTSKPEKEENIEEIKKSLTKLQ -KSCLISFGITALGALSTLIGAIIWLVRRNRRQPEAPYAGMPGAGRPARTNHPRPIPTRNIKYEGQNLPQI -YPKIEKNTFSITFRNSGKTLFSLSGLGIAGRVAVGNYHGFSRADQVTIFGKTYDLDDLKPTRIVRNGHPT -DLCVFTLPDGNEFKNITRFFLSVKDRIPRADCVLISRAEKMVCNFWARNVSGKRTVVVDAKDTHEEDTHH -NVICYDIPSMPGMCGSPLLSTNSAREVVLGIHFAGTGSTGLAVPVYLEDFKSFLEANLKPIPHPGKPTHV -ARKSDLKPSPVHGVFPVTHGPAALTKNDKRLNEGVDLDAVMFSKHTPDHPGWPTLEPAMSYVVKDLMHKL -GFHPSEKIQMWTLEQAINGEGVMDGIMMNQSPGYPYNTQGRSRRSFFVWNNNRWEPTDELRKEVDRALKN -PDQFYFSTFLKDELRPLTKVTAGKTRLVDGDSLPRALAYRMVFGPMFERMLAKHGPEIHSAVGCNPETFW -TTIFHQMGPATYPYVFDLDYSCFDSTEPAISFRLMAKYLKPYFEIDVTPFFEALAVSKHVYEGHAYEMEG -GMTSGCVGTSMFNCINNSAYIVSALLKLRINPEDVAWICYGDDVIISTHEKALSKRIAQFYTENTNLVVT -PASKSGEFPETSTIYEVTFLKRFFQPDSNYPELIHPYMPLDHLKQSVMWCTDGPFQAKLDSLCFLAFHAG -RKDYEDFVNAIDGKAREKGLNFNFKSFEFLMGQWYANFM - ->YP_010084237.1 polyprotein [Poecivirus BCCH-449] -MNTTMADFSSYFSLLFDVVLMYLKMAEGVEKTKGGEYDQRPAESDSTVNVAGGIGSHDSGMLLQVMQDAS -VPMVVGSSRWTMAQETGTVISSWIDRRGRSVVAPILLPWTLYNHKWEREPYFLSCFSHHALYRCGFSVEV -STHGTQFHGGSLLVIGVPRPRVRCDEGTWTLLDVGNFGQLLVFPHGRIVPRNNASVTLNLPFVGPGEHIQ -TNAATVMWAVLVVVETPLQVAQEMTKTTLDVLVTVTPRDVSFVGPTLRHYTDITSDLRFAMWDFVAFDPV -SEISEPVAVAMYQIGIDPGPGNVMLWKQPEVIPFPGTHRGLSYLPPPVEDFRSVLSRPTILDIVEVTGEA -TPGSLLMLGGVSPTMTLITGGSRSVWVDRQHNSSYLSNFSGYCGQWRGSILITMEHTGPAITSGRLVLGF -VPGVSVRQAVGVDLWTLMGSRAVEWDLSAAPAVTLEIPFAAPSTWMRVCDLARSVRLGEITGVFYCGVLE -TVLIPTTSTSSIRIVVMISGGSDFQLRGFGPHCMSNEPMYQSPVEELASLSVQAVMSTQRLAMAGVLGNQ -HNGVASIPMSLCTFNVGHVQSNPFYVFANLMTMWRGTLELTLKLAFTGTFHVTYLPPGRWVNPNRTPVNL -LTIGDSVLVPSSTRSGVVQFSIPYPGLSPVQCTTSSMLRTRYAVARSYFPDDGDLGTLLISGAPQNCKFL -LYLGLVDAVGFLPRPFPRLQYRTIRTGDIYPQIGDTVRIDREAPGAPQPSRREVRRERDREVAQYQGGPV -NRYWVVMYGSSQGFYDSRSRAYITTVDGLALKYYISKGRKVLYLSPCEDKWWMEAESYLGEDVGVDEETF -VTVITDIEFDSRYVWGVLKSGAHNGLTLDKIRNRECADLQVSVQVNSPGIEQVGTSIKEAVSILQEYAPT -VSQSVVEAAKSVKGTASSIEQLSAEVLSVVESVKKSIDPVVGCRLVDVAGFCCKLLGAFLILVGNPSGVT -IAGLTAMFFGDIIRLGGVWKTMFGAVKKFKTKMICLLCGLFGVCPPTEVLEDTIRIGTDIGECVGAEAPE -EAEDDLDPEVQEAVEYQAATKHFNETMTAMRNLDWALSRVYSILTSLLNILKKHDESDIDSFVKRRGKYM -NNLFEESVLMLSANDVQLEVAEAHLHEARCLLDWGVANQSHMIVNLMTKTVDNYVKGLRRLRMVRSTPRA -EPVVLFVHGDPGVGKSIVSNVVASALCKHYGWDRSSSVFFQPPASDHFDGYNGQPVHIIDDFCQDSSSRD -VQLFCQMVSSCRFTPPMAALEDKGVEYTSKVIIATSNLPRPVSTTVRTPGALERRCTLNVQAVMEGRNQM -LNPTEAFRIVGPAQRPYVKYDTPFFNGESIKFNVGRGGDVLGIGSREVLSLYEMIDVLIDEIDKRSQCSC -DIEQVLYQQPLPIGPKTQIAVLGDTKLVCCNYMKLSTFEYNSEKELLDDLKPYLPQSVFGGGWHACECNL -AGCSKIVKESGECVDCTTPSLRSFLLKHYGYEPGDFKSAIARTGERIVQFVDDNKKWLKWGLIALGTVTT -VLGLVITCRSKFGEGVQVQAPYDPNYSRRSGKRKVRIVKPHEVDYQSELPQIWTAVERNSVPVFFDNMAL -TGFGLHDNYMVVNHHALSLSDTMTVCGKKFKLDDLQCRRLVRGGKPTDLVVVQLPLSSNIRFKNMSRYLR -SVNDGYNATHGMLLNKSHDVVQLIEVNNIALGRRVVSEGDAFEGVISYSASTKRGTCGAPLIASCKGLDR -VLGIHFAGGSNKGYAVPLYKEDCEVVFQALYVPTDEVAKSVHVPRKTALFPSPAYGCFQVKKGPAVLSRN -DHRLGEGVDFEDVIMSKHTKSMSDREVKLNEEGWDTLKAGVDYVVNKIMRTIGAGRKSFKMLTVEQALNG -YGVMEGMDMSQSPGYPHNTCGVKRRDLFFQKDDKWYPKPEVEKQIMRELEDFGQSKFTTFLKDELRSQEK -IVAGKTRVIDADRVERVVAMRMVFGQFFEAMLRNHGTGVFCAVGCDPDVFWTRLYYEVGPTAYAYLYDFD -YRNFDSHQPKAVFKMLASALQPWFEADVIKPLMSLAVSRHVYETEVYELHGGMPSGCVGTSIFNSIHNAA -FIASALIAVGVDVEQCSWICYGDDLLLASDVECLAQKIADFMNTTTCLEITPADKGEKFRDDSSIFDVTF -LKRKFQPDTLYPHLIHPRMDEDVLEQSVMWQTDGDFEQKFFSLCFLAFHSGRKWYEQFIERVVNVCEEKG -VDLYPPSFDFLMTIWYMKFR - ->YP_009329856.1 polyprotein [livupivirus A1] -MHEWPGRNVFAMENPPKFEPVLPKLTPRNVKTVTFSESTIVHNLENEDRTGPWEQEARDRSRFQRRIIQT -AEILDPVICAHLKALDLSNVRGQGQSITTINGNGNHVATEVGANGWTTSVPVNSTVEGPMVSTTEKPAPT -ATSSEGGSKSGSKVHKFFKPILRGLATGATALLADSSFEVGLETSDRIDSTSHGNTIIQTQTAIPVQPAY -SGGSGTPSLFPNPDLPTTPGPAAARSFLVDQFVWGVDVAISAPIYGPHSLTNIAGYPNCYPLPDSVFSSN -PTSVFTKTATSHAFIHCGYEVVLQCNPSQFHAGALLLVAIPETIQFNTIPGPAQLFVYPHQILNLRTTNM -VRLQLPYIGCTPTLPIGYAQNWSVHMFVLSPLQASAGTPQELNVTLNIAPLDVHFYGLRHQVTGQHLKNR -LLPGAMAFGNVVAGQEIPLIQVAPSQPDCDWEPGEIHSWLEMAQIPCLYTDQVYWTAVEEAGALLVTFPI -SPNSLSGSNTLLTSISSFFSQWRGNLKVNLCFTGAKQQYGKLVVAYTPLSGGTAPTTIEEAMQATYTIWD -IGLNSTLEFEIPFISFTAWKTVTQVGSDSTGALLGYFSVFVLNPLMGPSNVAPSAVILPFLSASSNYCLR -FAQNPILGFQGDTQQLNNIEIGLPAVGSDLPEAIVDLPHPQPLETDLKYWFQQYRQVAVGGSFAPFPITT -SNVLINLDPTTFEGNSFFETAIRAFTYVKGDLKVMLRYVTTRGTETTGYAPISAAVAFVPPGAAPNPLTQ -TNYTNNYSVETILPPEGVTEVCLRIPYSAITPVLPTVYNGYYNVTKTSVGHLDGATFGSIFITLPSVAGD -VTWLTATAFFAIDNFRAWAPRTLPIVGAPTNTALCDHSLSLQNVTPKHGPRNPHYQAGPLSTDDPPVGLA -YIKKCNRLTYVHWAIAVGEHQISLSQRGVKAYVELEKVCGVEYKRVPYSCFFNAWKVLGTEFYDYSATNN -CTHFVEALTGESLYNTGTSISFALGAAATVACAGLIGVQTHLANNSALPVLKAPKGQMMKGVIGSALHGR -IMEVTTPERVDKVLNSVSSVAATIEAVDIDRVTDCLENFSIAAANISDPDTITMFETQTTSLAESLREAS -DSIKGITTTLNDYKPLITGVTGNIFSRILGILVKIIGYIMIIFGSPTPLSIAGVITLIVGDLAPYLVERL -RDSNPFTALYIWVKEKLGFKVTEEDCTVQPPVSLVGEGGDDLNSHLAGKQGWSEGLKDFNFNIAGLKNAE -WATDKILAIAAWILEKCGYSVLYDPKKVFEREKGEIVMALYLDSIASKTATGLDVTKLQSNIAEVKDLLL -TANKLNMTNYVTLLRTAVTNYADTLAFYAKAAFTARPEPVVVYLHGDPGIGKSIVSNALAHAICKALGKP -PSDIYAPSSASEYFDSYLGQTVHIMDDLGQKPDGTDWEGFCNLVSSAPYLPPVADCDRKGTPYTSRVIIV -PANFAVPNNASARCAAALKRRLHYKFTVETLVGNLDVAAALTPCGPAPRDYVKADFPLFNGTTLKLLLDS -DSAKKFPAPDFYHLIDEVVSEVNRRSDNNDLLKHVVYQGKTVDDLVTESARLRTSVKDHFTKSAEEGNIC -CYFVLGTRKHKSKIAAGVMILSGIAALTTLIASIIAIRKATKAPLVEQAAYSGLNNGSSKKKPPPPPPAL -PLRTVVPSSGTLQYHGLPQISGKVRGAVHPIFNSHGGMSAFNIVGRVWVDPRRFTDHYTESFTLAGATYQ -WNQCKIEVCEELLYFRVPTGPMGKDMTKYMRAKPTLYGSGVMISNLEGYTSIVKATQMRDHGMLRTGDGI -THSETVGYIAATYEGLCGAPLISQNPADNHIVGLHYAGYVGHSGFAAIFKLDSVRAVLAIMAVGQGLRTY -LETLDKTVHIPRTTRLRPSPAAGAFEATHGPAVLTTRDPRLMQDKALDQTIFAKYVKDQKEMWPAFEPSL -SHYLTAFQNVEIRTLTLLEAINGTPRLDGIDMSNSAGFPYNTMGISRKSLFDWDDTLSCWVPTPLLEADV -NVALETPGAFLYTTFLKDELRSLEKISEGKTRVVESASLPLILAGRMLLGGLFEEMQSNPGCYGSAVGAD -PDVHWTQFYHSFVDYSRVYDLDYKNFDGSIPSGAFFVLGRHLANLIGDDEVRIYVEAIGVSKHVYGSAVV -LMEGGMPSGCVGTSILNTILNNAFIISALSMHPDFNAEAYRILAYGDDVVYAHNPPIPPGFIKEFFDRNT -PLEVTPASKAGDFNLDSTILDVTFLKRHFVPDPKMPVYIHPTIDPAVYQQSVMWVRDGDWQDTVTSLAQL -AFHAGPNNYKNWVTQVLATAKLKGVLPNFPSFEFLQYRWILSLD - ->YP_009054903.1 polyprotein [gallivirus A1] -MFHSVHSVYSKSSLEKMECFKKIFDEVACESGFSGIDDPAIDQPLANRLGCTVSRQRRLQLARRILFYAP -GSGKPQKKTYLHSSLPKSQYDPDAPCPFPYLDPPPTPTPPPEPLDLSDCDLDFSGCMLNDDLFYYYQNGS -LPFGAALTLEQIQDFYYGKEAVPHGNITYNVTGSNNSFDTSQGLSASGSLAASGGDATAISTPPVQENNA -RSAPVRPPRTAPSVDIDVVSNTRSRDHELPPQTDRLSANPASILQSATRRVRTNAMASDIKAEETGYVSF -DTMAYACRSAPWPDPPVYDPPNLGPAIVGGPLSDSLQLVANFEWSGAHEPGQVIKVTGGFPFVQYNVMNA -ESQVNPPFPLGKDNSKRSGYVISLPSAIVSSNPNCVFSRLYDSNRFYQCGFTVQVSVNANPGMSGIMKIT -AIPSAASAFDNLNGFSTPSVLLNLSEANTATITLPPFFPRGAGITGVEDSWSLVLTSVTQLRLGLMAGSL -NVNIAVAPRSSRFWLTANPSSQGLVTMPAAGSGSDYSYSAQLKDFHPVTITPPPLPYLEHLPGEVKSFDE -IARIPSFFQRVDIEDNQVGAKVAVIPISGAEILSHTGPLSTAMRAFSQWRGDLILDLVASVSQNCTGRMM -VAYTPPGFEVPSSMASVSPSAKHLWDITSCSSISILIPNCFPGGWCPSLPHQSPQNYIASLLGYVSVWVE -NPMLDLPQSAEGWSIVAFIRAGESFELRGCSPQLYLSETQAPANETAAAMAAAVSRQGDEELKDSNLRTY -LAYNILSNTTQTIANTPTSTACFVDLKSNAVNITMLDGETFLYGNRVYSSYTHSMIAQSDRGINQDWSSS -SMSWICRNFKAFSCDLAVRISILPQFNGEGNNARPFKLRWSYLPPGASQCWVSAATASPYSGFALSDGQS -PIHIPLFIPNMLPRNVFQMWPASYPKYNSSGDADQRAFGVDMDNFGSLQFAIELVNPPANEQTTHVIRAW -MDINIGAINFRGFGFIPQYSPAKTTTRFYPVPRLVNESRIPAKRQNGVIECDDDSPVYVIRDPKVTYVHW -AIRKGDQQISLTKRGIQAVVSYEPVSGDVWATATDQSWVMAKQLIGSPLPYHAFQNCTHFVSALTGYNLQ -NSGFGLALGLGAAAAATASVGVAKTLLDAHFRQIPKRQGPLDKTVEASENIMKAATIVNGAAACLESSVK -KIQPSMDKLEAAAKGISKSINRVCDIGDKLVPAAKAVVSETGGVVGKFFMWITKIIGYIMIIFGSPTPLS -IAGLITVIAADLAPGLVEIASNTSPIQSLVAWVSSKLGIKTSVAEIQSVFGDDEPEETQTPPPTNPDAEP -RVEPSAPPAPEPQGVKDYNDWMNAFKNTDWMIEKVFKLVEKILKWLGIKIRDDPATHLADKEEAIFTLYT -DSTAAVSHPSPNLTAIRSNIAAGQKLLQVASQAKSPVHCQMVTQALRNYSSRLTSLTNNTPTPRPEPVVI -YLYGPPGSGKSLMATLLASTLANELSGDPDDVFVHPSGGYAYFDGYHGQSVHLIDDIGQDPQGEDWKHFP -QMVSTSPFKVPMAALEDKGILYTSRVIICTSNFEKPTRAAVRCAAALDRRLSIILRCEPLANGERLIVED -ALAADGPATRHFAADCPFLRFECCRLTIDPKNVGARIEGRFAHLDDLVDEIELLICSKKNNLDCFSHLIK -PRKPVAAQLPPMVNGVAPTVPPQLPQLPPGPPPKPQGKLACTFNNPPSDSEDDGYEEIEVLSSTPSEVQV -AISQNQDISVIKKLWQWRKPIFVGTAVLSVITSLTVLISLTYSYFKERRQGAYTGTPAVKSKTPEPAPRK -NPPRARRQGIVGYNPTIVNNVVGGCSSDGDKLSTFSAIGVGQRFFVTADHVVLKDKAQLTIGTKTFPARK -IFTFKELCMLEAPDAPQMKCLDRFIKDCNAKAGYLVASFPRGPGFIQVSEASYVVSDCPEITAAECYHYK -CVSFPGLCGAPLVLSTPAGPRLVGVHVAGVAGVTGYSDPLVDFMDAFRQANPQSVIVDIPMPGPAPHIPR -RSKLTHSPAWGAFEPTKEPAALLNHDRRLPPGVTVDEVAFSKQNKGDVIEPWPGLSEAADLYFSQCNFPR -FKTLSMIDAINGVEGLDGIDMTQSAGYPWSLTTSRRELFILNGDGKYVPKPELEEEILKTLRSPDYFYTT -FLKDELRLTAKARAGKTRLVEAAPIHAIIAGRMVFGEVFALFHSNPGKFGSAVGCDPDFHWTVFAQSFKR -FRNVWSLDYSCFDSTLPSVCFNLIASRLASLIDVDEEIMSPNVVLHYLNTIRSSKHVFGDRAYVMIGGMP -SGAVGTSIFNSMINNISVLSALISRPDFVPTPANYQILAYGDDVLYACSPDFHPRDLKAFYDKYTPYVVT -PASKEGDFPESSSLADVTFLKRWFVPDETIPFYYHPVIEPDTYEQSVMWSRGGDFQDTVTSLCFLAHHAG -PSNYSAWCCAVRDACIRNGYAPPIFLDYSYLQMRWMQVVSG - ->YP_006576515.1 polyprotein [gallivirus A1] -MKLQLNLDFLAFSTPGSANPIPLAFGVSGSKFRRLALAHKIVAFSDERPPRRSGSYLYPSLPKPQYTSPS -SITISSVRKPSESSSPPPEPLTPPPEPEIIDLLEECDSDFQACLLNDDLEYYYKNGVLPFSSCLSYDDIY -ELICTHASFQGNITYNVTGNNNSFDTDQGLMASGSVAATGHGNASATDVTSPDPIQANATKPTKPKPTRS -IPSKAASFDVIAMTSSRGVDTPPSTTRSAENPASILQSARTRNQTNAMASDVKAEETGFVTFDTMAYANR -AAPWPDPPEYDPPNLGPPIIGGPLADSLQIVTSYSWTLSSEPAQPLRSTLGAGWVERGDTDQNKQNPRFP -LGSGAPETAPTTGHVIYLPSAIVISNPNCVFSRLYTSNRFYQCGFTVQVCVNANPGMSGILKITCKPSAA -SGFDNWNGFSTPSVLLNLSEANTATLTLPPFFPRGAGVTGIEDSWCVVITTVVEPRLGLMAGELNVNLAV -APRNSRFWLTAAPNTQGLVTMPAAGSGDGYTYSAQTRDFHPVMQAPPPLPHVDHLPGQISSFSELAMCPS -SFRNRYYSIPKCFWCKDAVISLSGAEILSHTGPLSSAFRAFSQWRGDLILDLVAATSQTASGRLIVSYTP -PGFAAPSRLTDLSPSAKHLWDLTSCSSVSILIPNCFPGGWVPTLPCTDPQKFVVTMLGFVSIFVENPLLD -LPQTSEGYSIVAYVRAAPNFELRGCSNQLFQSESQAPQGPSLDDDDFSTYLSYVSKLNSPSTFPMTNASK -EMFYEFSPDKVAVVPLDASFFLYGCRSIFSYTTSNVGDRADIPADTDWCHTAASWVCRNFSAFSADLGIR -FTFLSHTEGKYKIQWAYLPPGASQCLSGTVFSSPYSGSFIVDVEQANLPLYVPCMLPRNVFQLHPPQFPA -YYRTGISPTSARTFGTSVDEFGSFQFLVTDASQIATPQPASSTNLTVLMNIELGFFNFKGFGLMPQYSPI -KTNTPFYQPPCFLSNARIPSKRQGGEAIDISGEPVYVIRAPRPTYVHWALRQGDQQISLTKKGIQAVVSY -EPCTGDIWALTTHQAWHMAKSLIGDTLPYHAFRNCTHFVEALTGYNLQNSGLGITLGLGAAAVATASVGV -AKTLLDAHFRSLPQPRPRPQGPLDKTVEASENVMKAATIASGAAASLESSIKKIEPTIKSSMDKIESSAK -ALAGSINKFTDLGEKLVPAVQAVAGEAQGVLGKFLMWITKIIGYIMIIFGSPTPLSIAGLITVIAADLAP -GLVTLASNTSPIQSLVAWISSKLGIRTNAQEIADQFAGDEPDAPDQTDDPAPPPPPPPQNPAPQPQGVKD -YNDWMNAFKNTDWAIEKILKIVERILNWIGIKIREDPATKLAEVEDKIFLLYNDSISALSHPDPNQAAIR -SNLKASESLLSTAAKAKSPVHCQMVTQAVRNYNTRMTALTNNVPAPRPEPVVLYIYGPPGTGKSLLATLL -ASIFAYHLSGDPNDVFSQPPGSYEYFDGYHGQSVHIVDDIGQAVDGSDWVHFPQMVSTSPFKPPMAALED -KGMLYRSRVIICTSNFPGPTKSAVRCHQALERRLALKLKVDALPDCSFDIRDALTPDGPSTRHFTSDCPF -LRLETCRLTVDMANVGDKMDFKFRHVDQIVDEVLLMIQAKHHNINTFKHLIPTPRPLSSKFDTTQCKQTS -LGLSCPPLFENDRDSNIIRPLPQGKIACNFQNPPTTPSDEDVQVQSSIPTEVEEAIRENKPLSFVQKVWS -WRKPIFVGTAVLSMITSLSVLFSLTYRYFRSKSQGAYTGTPSVKAKPEPAPRRNLPARPRRQGIVGYNPT -IVNNTVGGVSTNAQKTSTFTAIGIGERYFVTADHVVLDNMAQLTIGDTSYPAYKVFSFRQLCVLHAPEAP -QMKILERFIKDCNSKIGYLVASFPRGNGYIQVSNAQWVVSDCPEITSKECYHYSCVSFSGLCGAPLVLST -PAGPRLVGVHVAGVAGVTGYADPLVDLLHAFKDAMPQSLIVDIPRSGPPAHVPRKTKLTHSPAWGAFEPT -KEPAALLNHDRRLPDGVTVDEVAFSKQNRGDVVEPWPGLTEAADLYFSQCNFPRLKMLTMDEAINGTEGL -DGIDMNQSPGYPWNQRTSRRELFILNEDGRYEPVEELKLAVLKLLQDPDYWYSTFLKDELRKTEKARAGK -TRLVEAAPIDAIIAGRMIFGQLFALFHSNPGMFGSAVGCDPDFHWTPFAHSFKPFRNVWSLDYSCFDSTL -PSVCFNHIGSRLQDIIEVTEECHPDIVPKYINSIRASKHVFGARAYVMIGGMPSGAVGTSIFNSMINNIC -VLSALISRPDFVPTPNNYRILAYGDDVLYACTPDFHPRDLKAFYDKWTPLQVTPATKEGDFPNSSSLSDV -TFLKRWFVPDETIPYYYHPVIEPDTYEQSVMWSRGGEFQDTVTSLCFLAHHAGPRNYTNWIAAVRDACKR -NGYDPPIFLEYSYLQMRWMQLVSG - ->YP_009179370.1 VP1 [sicinivirus A1] -VQGDEVVPANASPDDGINALEQNHVRGPPSMEVAPYFSMFTKAWMSPLKQSEPDEEAYATSPTAAAPIVA -YLTEAMSLPQSVDLSPSMWVRSVHTRGTSSLLTQAISSCFYFRADLDIELMITIPAISYASTVQYPAICV -QYHPPGSTIIQPQESQSATVFNTPSASVYTTAFPRLPVPKASSGAGSSQAVTYHLNLSVPYSAINPMVPS -IYSGTTVDLKKPQDAVYAAPDSLGRLYIFFMRDGCSNTDCVLGDLRLRLRNFTPAVARLPLLALAYDTVE - ->YP_009179351.1 polyprotein [sicinivirus A1] -MERNIGHALTCVKPCTYCSGSVCAGWRLCFGSSSPPVRLYSPLTPLPNTDLMSAYPDMARCLLQAARDAA -AGACNPHLCRFADQYLFTYPLPVQRNPPTLPHNVYWNDVFDEVATSVLTHSPHPPTMRYFLKPNPKAHPT -PVETDDTIPGSPPDWMTLVDEDDAKFLLTHGKLPFGASLQLHTPRIPHGRQQTKLQEIVLLKDVPGAVDA -FRQAVADLQNFVPNHHLTPITPSLYTFRFGGYHCFIALTESGHSLDTAPLIPHRATFHLSTRLEKHGGPV -RPHSPPGDLPPARPVDGPEGFSDALYDACLSYLGRRDHALIEPSVSQPGFRFSHPAYPGRLPLEIRFVRH -PSVAPPLRDFTRGIRRPGIQYIPVSGMGGVPQSPAPPIAHVITAATNAIRRSSHWHCPLVRQVSTHGWLF -AYSGYWCSILLREGRHDHDMCGRIPPCGGRLEICENPVRHGQTITNIYGDGNWVTSEQGANGWSTNANVN -LADGSISSTPVQRPLSQNPDGPKGPGKKGRMLEAAPPGVNPRPSNTHLDDSVVETLSSGNVTLDTTSTAP -VTAPLDWPAEPADPPDADSFVPGPSVDRFWHVSTLTWTPAQLAGTLLEGANAFKPVDVPYTSPGNTTTGT -TFTYPHSLVAANPGSPVSDAFGNFMLWRSGVAVHISTSASPAMAGALLITAAPEGYTDCLQREWSRDASG -LTTIPYVLLNLCQSNTATLILPPCTVTPFDDMRTHTSWAVRVYVFTQLNVPPGITNKLAVQLMFAPLQTR -FLFAIPNKQHLRTRILPGSGDGYAFNIPENQGVPMAQYLPEHELTDYIPGSFDSFMRFANTPGLIRTLRW -GSEYGPGQYLLRLNLNSVSLGPDTHTPLAYVLSSFAQQRGSLSFDLVFVGAQVQSGRLLVSITPPSQTPP -QSVEDALRGHSLTWDVTVSCNCSFHAPFFSANAWRSLAVDGSATSALYNSWGWLSVFVYTPLLSTPFSCD -YATVHVFVRAGPEFVTRIPSGLAASIQVQGDEVVPANASPDDGINALEQNHVRGPPSMEVAPYFSMFTKA -WMSPLKQSEPDEEAYATSPTAAAPIVAYLTEAMSLPQSVDLSPSMWVRSVHTRGTSSLLTQAISSCFYFR -ADLDIELMITIPAISYASTVQYPAICVQYHPPGSTIIQPQESQSATVFNTPSASVYTTAFPRLPVPKASS -GAGSSQAVTYHLNLSVPYSAINPMVPSIYSGTTVDLKKPQDAVYAAPDSLGRLYIFFMRDGCSNTDCVLG -DLRLRLRNFTPAVARLPLLALAYDTVEGSSQEASPPTFVPPATINADLPPTNPLTPVEPTLQGGPLASSA -TERCYIVRKTSLGHISWALRSSNQQIGLKLQGFRCVVGYESCEGALYQEVLPAHFSMAQAMVGQPFPLTI -RNTSRHWIERITNIELPRLHPLAACCFGAGALASVAAETVLRPEKHGLKDLAEASQNLQRAADAIDCAIS -SANLPGFAQQISQAVNTLTNTAGDLNTTIRAVSDTVRREGRDIADDFSRGARSMVTATENATRLAESLNI -PVTADTLLQAAQAIKDASSQVSHSIDTAAEVAKRLIPAVESVVAGTRKESPSMLSGLFKAFSRFLGYGLI -VLGNPTPLSLAGVFILLVGDLGDEIVEFFKNIHRPIACLFAWMACKLGLKVSKEDCLEASDGLEVPQPQG -PVRDYNDVANAIKNTDWLIHRILDLARIMCEWLTKRTKEDPAAKLDDLHQLVTQLYSDSVDTLTAPRVLR -SVVEENLCRARAALPTAAELRSPPHTTMLLRAITNYETKVSSLNHNQPHQRPEPYVVYIHGPPGCGKSLM -GSLLASRLAQALSGDPDDVYSPASVSCEYYDGYRGQTVHYIDDVGQDPEGKDWRDFAQLVSTAPFVLPMA -NLEEKGRLYTSRVIIMTSNFPEPNPRSSRCPEALSRRLRLRLSVTPPPRGPKHLDVAAALAPSASGPTKY -FASDCPFLRLEAFVLRSEMGAPNFNHMDELIDYILSQLDHTERNTSAFRHLLPNTPKKQGLVLEDELVAL -DEQEEPERHGVPERTASMPCLPTSMNGETLQHAGSAPIPTSLRPRACRTYEVFHEPCPDPYCTDWPNPTP -ERPTHAPVRRSVLEESPLTQAIQQNKPLTFIEKLWQYRKPLFLTSAFLSAVSAISTIALFVKSLISKPQA -AYTGKPPVKPRKQVAERQAPLPPPAPVRHCLSGGAMTVAKNVVDITGLDVESGAPCKVNGTGIFDRWVLT -VSHVVSKVEDVVVTLEGKDYRPSKVIYDGEICALYVPGIPQFKDLRRFTRNIRQHTTGVLPSHTPSGPAF -ILVSNIRLRNSPWPSLTGKRDVYYYTGATFPGLCGAPLILQNPGGPSLVALHQSGVAGTSGYAIPIADLL -AQLTVPETQSEILACEPGGPPPHVPRRSKLVKSPAYGAFPVTKEPAVLSRHDRRTEADVDQVAFSKQGGG -DIDEPWPSLIPAVKLYFSRCNFPPLHTLTMLEAINGTPLLDGIDMNQSAGYPWCLTRNRRSLFDVGEDGL -YHPCPELYQEIEACLHNPDYFYTTFLKDELRGVDKVAAAKTRLIEAAPIHAIIAGRMLFGGLFEAMHSQP -GMYGSAVGCDPDYHWTPFYHSFLDYSEVWALDYSNFDSTIPSVVFKLIGEELAKIIQLPPSIPPDAVQKY -VQSIYLSKHVFGDQWYIMKGGNPSGCVGTSILNSMVNNISLLSAMLTHPDFDTSAWRILCYGDDVLYATV -PSIHPSFIADFYHSQTNYKVTPADKASTFPETSSIHDVTFLKRHFVPDERFPTYIHPVISPETYQQSVMW -TRGGPFQDVITSLCYLAHHAGPNNYQKWCDTVQAQCLKSGFEPIFIPYEVLQYRWLATVMT - ->YP_009553012.1 polyprotein [Goose picornavirus 1] -MDFTTIQTLFDNGSHFGMDSKQYLNLRCLFYELRRSTQRRIPFWKKAIANYVLSQPQEKNWKASPVTLPR -NVFMDEAERKWVLWALRMPGHERYPSEIAFLFEDSVEPFENFHFEDCRCNIDGLFEGRCVHGIYFTKKKV -TGPCDCGSAHRLIGYCKHHLYHNPDLAGLISESEDGWSYDDDSDYDDGSAPLKRQSGPPPEIIELEEEPI -PDLEWDPFLLDVPIQRQGQTITNIYGSNNSVTSNTGANGWTPTANVNLADGAITSGDASAHTGTGQMGGS -STTKPTTGGLDKTSDQPLLSVGSSGISGSLVKPLKNWWNQGHTNSTISSAATPETKARWEKNQAWLNSKA -NLGTVQPSQTMKGNATIQTGSAAHCLVAFPPTPAVPLPNPDAPSAPGPSADRTWLLDTFQWTATQTQNQY -LSGPNAMLWNPDEWAQPMENPKNFGETTSGSYYPLPAAMVHAHPSSTWAAMYNTHSLWTCGWRVQITVNG -SQFHAGALGLFLAPQYAGSPTQIQNLFVYPYVICNLYESNTASIEVPFAGPTPNISSGLHCPWTVIVSVL -SPLRPPAGEGQSQELTVTLFVTPLNSTFHGLRQPRQQHLKMRQVPGSGAFGTVVAGQEIPLCGVGPECPP -VEYMPGEIHDWLEYARRPGIMETVVWTTTEPAGEQLALLPVNPATLAQQNAPLASIVSLFSQWRGSLNLH -LLFTGATQMYGRLVVAYTPPGFEPPTTMQEAIVGTYTIWDINSAPALDFTLPFISSSYWKTVDMADLEGP -LGSSGWVSLWVQTPLQAPGVTPASCDILGFVSAADDFQLRLQQNPVLGFQAGDETIGGGQPTTAPLDVDT -FSYPYHPGLPDTVLANYFSFYREAAFTSTTLALPGDLQIPLVPHQSNSIIGNLLSAFTYYRGDLRIALEI -TCSVQQPITLTMAFVPPGATIPTTISQNTLANFYAVTQVVTASAVICLSVPYTSPLSALMTNYCGWQAYN -STSFGTLLTNTLGTLVLLADKQAFDSPEEISVTPFFAFGNFRAWLPRPLPPTNALPPTVRVDTSTILRRQ -AGGDFETPTEAWVVIVKHATHNHWAVRSDLGQISLSKRNGQAIIDWEEVEGEIVTEIPVGTFEMAMRMVG -SPYPYSVTQNCYNWVSDLTGLSFDQDTGKGLALGLAATAPLLMLGAAKTAVGIKQQGLGDAVASAATTAA -AVSNSVKELNLTQNVADLRKVADRLDLPNASANLLAAAERINLPGSTQNLTKAAETMASSIEGLQSTLGN -LKDTATGAVSSFMTSLVTLLLKVVGYIMVLFGSPSPLSIAGLVTLILADYTPDVVSFFSSKPLSAIYYFV -ARAVGLSVKPETVVEEAEPVERQGVVRDYNDVMNAVKNTDWAINKVLEILEKVLTWLGVKVKEDPKARVA -ELHDDVCNLYRDSLLVLSAKEVDKTQLKKNLTLAQTHLSEISKLNSPVHSNLLMQAIKNYTTFDAVERNA -GPRPEPVVVYLYGKPGCGKSVIGSLLAAQLAQILSGNSEDVYSTTDPSCEFYDGYTGQAVHFMDDIGQDV -TGRDWASFPNLVCTAPYIVPMAALEEKGRTYTSKVIICTSNFPGPNNTSVRALGALERRLHFRIEVVPVS -NLTVDEAVTPDGPATQYFAADSPILRGEMSKLVVNDRSIERVDIGHLDDLVDAVLKRVHRSAATTTKFSH -LIRRQGVESSIPTPLTQAIRANKPLQIIEGIWKYRKPIFAVSAFLSVLASVTTLVMVARKFTRNQAVYSG -LGEKKKKPENLPVPAPRFGLRRQAIPPNIGTIMRNVVTCTGHSEDRYITAQITFLYSRFGVTVRHIFPED -WTHLEIAGKKYEKKDVVVTFHYELAYVSLLTGPEYKDIRRFLSEKISEKGYLIGTTNTTQLLVNFWDASY -RKVNRDGIVIPDAVGYRTASFEGMCGSPCVCVNNGIATIVGFHTAGIAGYSGFSQKFDYKLTTQSLMKAI -EHPGRSPHINRKTRLEESPFFGVFPSTKEPAALTKFDPRLEVDLDSTIFSKHGRGDITIPWKNLEAAFAL -YFSAFNGKKFRVLSLMEAINGTPELDGIDMNQSAGYPYALTTPRRNLFIETAEGWVPTDQIKEDVEKCLK -DPVYYYTTHLKDELRPIEKVKAGKTRVIEAAPMHAILAGRMIFGGLFEHMQTNPGRYGSAVGCDPEVNWS -TFFYDFSSFEQVFDLDYSAYDSTVPSICFDLLAEHLSDLIQHPAVIPYIKSISRSMHIFGDQAYELQGGM -PSGCVGTSIFNTMINNCIVLSALMEHPDFDPQSYRILAYGDDCLYATNPPIHPRFVKKFFDEHTNFLITP -PSKSGDFPDFSDLSTVTFLKRWFEPDDKRPHLIHPVIDPAVYENSVMWTRGGDLQDLVDACCNLAYHAGP -KNYADYTQKIVERAAELNLDIKVLPYAFLQNRWVQKVSK - ->YP_009552756.1 polyprotein [Rhimavirus A] -MGANITKIYGNNDVVTANLGANGWRAAVPVAVDGEVNANGTDMSSPAPIPGMAIPTGSGVESMKSAKGAG -KPRPTNFKPTTSTSRTPKAQTITTVNGKPSVGTAILGHIKEAASKSWGSIMDVTDPLLDDPNQEEGYSQS -DRVSNTTSGTTIIQTQDSIPIRTAYMGGSYGPTPSMDVPTQPGPAVERFNNIFSGEWKSSDQQWTVLLKT -GIMQALTSENEQPGVNNSTVFVRTLTNHVLWRTAYDVQMQVNPSQFHQGSLVMLALPAGVDAKTVVGTKQ -TFIYPFQILNVRTTNTVRLALPFMAATPVCDIFEDPWQIIVMVLTPLAATTGTPQSLPVNVVVSPRQTEY -QALNFTTVLNEDLQSYRPCTPIQTAPQLDGMIEGLLEGFVDSISYEFNLNPGQKEDLNLYVGFNRAFLTN -TLMDGNDLCEDWMIRLQEFRTELCEVAVQIIEHYQEEVQHFRVRQTPGALAFSNVTPGQEIEFFSVAPQA -PPSDWIPGEVFDWLELARTPTLVSDSIEWTQAHATGTLLHQIPVQPFSGAATMLSIVASNFAQWRGPINM -TYMFTGARQHYGKLLISYNPNPFKPPSTMSEAMQGVYTIWDIGLNSSTKFTIPFLSSLPWRPIQGDVDLN -IGYVSVWVYNELMGPSNTTSSAVVLPYISAATEFQMRFAVCPNITYLHEETQSGEAIGQCNMETGMVDEG -AVAPEDVIVGAYDPVPDTNLAAWFAQYRDVSALADYASTPKPSIRFPDTTYNQIVIDLSAKTLTDYSVFP -DQFTTMLSMFTYFKGDLKLRIEVYHRENPFEVGDWFKYTHIPIGGSANTDVAYLQSYPTITKHWNPNDAS -ICVRVPYNSPLPAVTPYHYTQKTELQQLSGWGKLVLTSTKPFTSFVSVGIDGFRAWGPRPCGFASPVQTT -TRDLEETQMLRTVDNVSRAFTAERMGTILDGFGNALGHLTEDRVDQIVTATLQTPPALAGVADAVERATR -LLNPDQVEQLVTAASQTPPAVAGMADALERVSQILTPEQMERFITAVEKAIQNVPEGIDSVDRLSENISR -ALTLLEGNDPHVRVVKILLKAVGFLLILTSNPSPQVLAGTLMLMAAELPMTLDPLRNLRNWIFSQLGVTV -DEGEEETQSLRQFNEFMTTLRNVTWAGKEIKSVIGEFLDHLGLTISETPEALLEEAYEDIQVLHKDCLKV -SDLTGVDWEVVESNLARAHELLKIAKKTKDTILQRQLYTIISKYHQAQAEAEKAYGGQRQEPVMVYLWGP -PGTGKSLITKLLAGAICKLKGISFKQNVFTPPPKSEFFDGYMGQEIHLIDDLGQAADGSDWESIINIVSP -VPFFPNMAFRKGIPYTSKLVIASSNFEAPLDLKVRSKDALRRRCHIKVHVHLTDDPLCQKMLDSDEALKF -INGNKPGKYTKYQTPLTHGDAVTMKVNGKKVGFEDLVDIVAEALKVRAGREDHLNELMPELTQVGFSRVL -NNVYEKMTDVEMGEAFKTLKSRLLKGLKFIMPAITLVATVIGGYMVFKKEEIRLDEDVEAAYDPAATRRK -PGAKVLSKERKPARVVLKEKFQTSPEEEPRHEIFNAIDKSTFPVEIVGVGAQTALGLVDDLYVINQHAVR -GSGQMFIRGKFYDLKCMKFYEPPNTDLAFFRLPDQTKVRNIAKFLIPLPESSKYPMQILSKMFKAHPCKV -DVRVVTSKLLRATNYLRSRNIVVSNSGEPMYLNDVFRYRVDSYPGLCGSPVVLMDPSKPKILGIHCSGKM -GVTGTGVGVYEYLEQAIHELNPSQLQAQMRIEEKANPIAYVPRDSALTKSPFYGFVEPTKAPAVLRQTDR -RLGEGVVLDTQIFSKYKGDEKPQWASLAPACQLYFSKFPNTQFKALTMDEAINGIPGLDGIDMNQSAGYP -YVSAGRTRRSFFVLNDNGRYEPTMELTEQVEKVLAGEYGTYMTFLKDELRDDAKVESGNTRIVDAANLPS -IVAGRMIYGSLFAYLHLNVGIKHGNAVGCDPDRHWTQFYQEFLEFDNVWDLDYKNYDASIPSYAFNLLGE -QLEKICEHPQAKPYVQHLAKTQHVYGDVLYSVTGGMPSGCVGTSIFNTMLNNIFVLSALITHPDFDPLNY -AVLAYGDDVLYSCEPNIRPEYIKEFYEKHTNFTVTPADKNSEFPEESTIHDVKFLKRWFYPDMDHIGLVR -PIIDPSVYYQSIMWMRKGDIQDTITSLCYLAFHSGPNNYKKWVDTAMRWCSKYSFLPWSYLDYRWRHLVL -YDYE - ->YP_009552280.1 polyprotein P2/P3 [Hedgehog dicipivirus] -MAVLSKMIPSGSLEMFSLFSNPLAKVNTAADSINELAEVLKDSINDDNVRKVNEAITIVHDAANDFKNMT -DGSSLDFKTLVDTVSETAKSTKDTLDSVRDFFDNLPNLVSDVVRGATSSLSSWILKIIGAIVLLRESPTK -TTLFGVALMLGADHFATVAEGLFKFTVKCVAAALEVPAWIFDRFDSFPKFDELMNWVSGAVHEGPARAAA -NADANKPKASHRENVVKAASDTNTLVNAAKNVEWIVLKICELIKWIKACLQNFEETPNGFLESSKGKLFT -LLEESMEMLDGQKLSKDKIDNGLEFVKKINIAAAKVKDYDTMKIANAAHANLSKANMKYRSALYYERFEP -VVIYIHGPPGIGKSLAANRLAKAFCAYFGIDFAENTYCSPPASEFMDGYAGHKIHLIDDLGQDPEGKDWA -SFCQMVSTTKFLPNMAAVEEKGIPYTSEIIIATANFSDPPPNASRDIGALRRRCKLAVEGRLMTDYILNE -KLDVVRAIAPTGDRHPFFGRVDHYINGDAIKFVRKGMEYGCQPVGSYMSMIELFEEAIREYETRKAVFAK -LSEDAYHEAPRPIRNRTDSLSSFCSALDNLPSPNPSSNLAAFFEELNAEDEFDYCVYCEELSECCVCETR -NSYAESNCIRCGNMVKECVCCKDCGMIVCNCMSYKNTFAAAKEEVIYENTRKIIEDNKSEGIHPYWGEVR -ALRIMKERAEKPLPRFIDNVRAHAKASRKIVANSRPVKAVKDYYNLAKATVRTLTGKAIEEWNSFGPITK -ITAAISVVAIIERLTSMLLSLYRYMFPTPTSTAEAAYGDGYMKMWKKEAKRRELTAPVTHEIMPNIYHKV -NANTFPIKFLNGDPTLPETTCILMTALGLKGWTYAVNTHALNEVTHIELRNSIVEMSEVQRHDVKRFGGM -TDLTLLTFPRRTFTAVKDITGYLRKDTSLPAYHHPGVLLVRGSFTCDVLADNIKNFSRVTTETGEHHNVI -MYRAMTTFGYCGAPLLTTNNAESVILGIHMAGNGHGTGFAVPIFHSDIPVMEEGLRTRIGDAKPVFINRK -TKIEPSVIHGVFPVNKAPAVMRASDKRMKPEVSEKFDAPDGPLFGKYTGDLKTPFPGLNEGKEIVIARLR -KLIPNKLKPLSLHDALNGIPGMDKMDLKQASGYPYNTEGVRRRDLITVDDEGKYVASERLVSDIEECKKK -PFPYTTFIKDELRGLSKIEAGKSRLVEAGSLPVVVEGRMIFGNLFALFNTNPGFKTMCAVGCDPDKHWTE -FYHPLTQKANVWDYDYTGFDGSIPSCSFDALADILCEFVENEADVRRYIENVKNSRHVYEGGEYLIEGAM -PSGCCGTSVFNSIINATLLFSCFTEICPDFDPFEPLLLAYGDDIIIGSDYDLRPSKVAAWMSANTTFKIT -PADKGTKFNDESDIHMVRFLKRTFVPDTVIPTLIHPVMEEDTFRQSLNWTKDRNTFGDCITNLSSLAWHY -GPRYYESWCRVIAEKMLEVGEDYPSFIPYSTHRRNWLRKFEIESGFKMKKNISDFEF - ->YP_009552279.1 polyprotein P1 [Hedgehog dicipivirus] -MAPTRSKNVSAINVTGPIADLLRPFPVIGAVAGALQGLASSWLSKTTNGLLNNSGFEIGTGTSDRILSVT -AGSCGVSAQEAVTVRDGWAGEKPTDVGVPCSDKPTLPGPAAERLEYVWKAEWTANANVDENIFPKKLAIP -QCLFIDTPTNPSTTFLPNAARHALSRASFTVVVTVNANPFTAGVLLVVAIPNVPTNEDFPDPDSTLPISQ -LTLYPHQFINLKTNNQATLHLPYHGPGPLMNHHSTSMYRICGFVFSKLVKTNAISGGTVRYTVQVAPMDV -EFYGLHYPLRPNAELDFAINQGLAVRLAPNTASIVTTSPLDDVVVLAQGSQTEMPTGYLPGMVESFCQPL -SVPTRMTTIGGSNGGFDVTSSDVAGTYVYAFPIDLANLAFETTYLGSISKLFTHWTGELTFSLMCAANSM -TRGRLLVSFLPGITEVPPTMELAMLGTYQIYDIGLNSTFIFPIPFISSTFWRVTKGYGIGAQNGGRFYDD -HVRAGVISVWVYTDFQTPSPNNATTVSFIPFISSGNGFALRLPQDPNVFVPPYDPSLPVRHEAPEPTVHM -GSADASEAAAAPTQADVDGTPASYSNLEPGQPVETKSSDVWEGMSGFTSHTSPDMLLSNYFGRMRLMGTY -YGSPPAGSGVNLPARRITSQKLIPIYWGSFIDEQPVTLLENLTSLFLYAQADVRVDIIAYPTSGKTVLND -GTASNVEIRLNPTNQGKLRVVNLPPGYAKFKKNYETLGDDFSTIPAEELACFPSVTTVLQGPSNTVSVYI -PYQSIYNAIPAAYGGFGYNRNRTTATDNSNPSSLKSVSEGALFGVLPDKEFCTIVLDYSLASYYTFEVFL -SFHNLRTFIPRPFTLQARKITMGSKSAAMAYVNGYRPTGTREMTERETPTDFFKKLMEKAAPACRAVKPA -ASGVSVTNP - ->YP_009666862.1 polyprotein [rafivirus B1] -MQMNSLNLGTKHGNGSYLYSSLPKRGEHDTCDCPCESLHVMTSCGRNFSHLTTHQLQRLGKYYEKWLKSC -QALQSTVGNATDEQWDCRCPDWQYHIDTKCSRWTFGEYCDCPEERLHVETCCGQRFDFDYKQIKNYSYWY -PEWTPLQEYARNQIETTQGANVTKIYGNNDIVTANAGANGWRAVVPVAAPGGNVSLSNSGNQSGPIPGVA -IPTGSGVESNKSAKGAGTPVPTKFKPTTNSARTGMDTLKTVNGVITGIGTTASNVAQTASSLHKSWGSMM -DIMDPLLDDPNMEEGFSISDRVSATTSGTTIVQTQDSIPIRTAYMGGSYGFTPSMDVPTCPGPAVERFNN -VAAGEWSVSDSQWKEIYSNGVLKALLSTDTVPGVNSSTVFTRTLTNHVLWRAAFDVQLQVNPSQFHQGSL -VLCAMPAEIDPMQNPHQVFSYPYQILNLRTVNTARLSLPFISVTPVADIYEDPWNIYVFVLTPLAAAANT -PNTLPFNIVVSPRQVEFQGLNFTQVPFEPTQHIKVRRVPASNSFGNNVPGQEVEFASFAPQAPPCDWVPG -EVYDYLEMARIPTVEGNLIEWSQAKPTGTLLSTYVVQPYKPESTTYLSLITSNFAQYRGPINFYFMFTGA -RQHYGKLLVAYNPNPFVAPSTMAEAMQGVYTIWDVGLNSTLKFTVPYISSIPWRPTQSGVTLSTGFVTVW -VYNELMGPSNVTNTAMIVPFVGASESYQLRLPVLPTYSYINPTPQEDVNVESTQSDTLTNMETGMADEGA -TAPEEVVIGSYDPLMDTNIAAWFSQYRLGPWDSAMQWTEVKSKYIGGTYYASTLDLSSQWLTAGPNQFST -MLSLFTYFRGDLKIKITTITPPDGAWVRLVHVPVGASAVYDYALLDSYPEITWVPTATDPTICVRIPYNS -PNTVISPHAYTTKSELLPLSSWGQIVIVSSTKFDCTFSTAIDGFRGWCPRPCPRQLHPQTNTHERVEKTQ -MLRMFSPGLYSTCEMLKQENTVGKMTKTLENLNFVLSKIDHTKASAVLDAVQKAPEVFNDFTEEVRRLGD -VLTPQRVEKFLNSVESLTTSVHEGVAIAGGLEYQLNNFTEISGSNPMVKILVKAVGYILILTSNPSLQTL -IGASILAASDFAGNFSAVAVIQWLYDKFGWEYDGDECIETGQSLKQLNELIATTRNVKWTLEQTYALVQS -ILNKIQNKVENDPRHKLELALEEIETMHIDSLKVQDLVDIDWEQVEQNIKRCRELIKLATDAKDTLTSRQ -CYTIMQNYINAKAEAKKISTGVRIEPVVVYLHGLPGCGKSLISTLLAAAICKQKKLNYSQSVYTPPPNSE -YMDGYAGQYVHVIDDIGQAADGEDWAQFVNMVSPVPFLPNMAFRKGIPYTSRLIIASSNFSSPNDLKVRS -KEALERRLHIKCHVEVKSGFESVRGRINGPLATTPLEGESKYFEKNAPIINGTALRISMGKQELTLDELV -EHTLNELNVRENRTLSLLTLIPEMGQNQIVEKTQVRVMPSKIQALEVLSKLEFSNSLKTLKQRLSCGWKV -AAAALVGIATLVGLWLYSTKPAVQATEAAYDPMAMRKKKKNIPLSTPCVTPEMAEKFQNNEWPHEVFNAI -DKSTYPILFESADFSCSQTCFGVIDDMYVVNWHSWSRTTSFTFRGHVYKTSEISSWHPPNTDLVYFRIPD -QTKTRNMTRFFIPLPPCSKNKLNLLSKRFEVKGCAFELDVVATKIVTGVGYQRVPVRKIETDGEVSAVVD -CFSFLAPTYPGLCGSPTVLMDPSGPKILGIHISGLPGKTGTGETLWNTWIEALHILNPEQHQAKAECTGE -AYPPAYVPRVSALKPSPYYGMVTPTKMPAVLRQSDPRLGEGVILDQVIFGKYTTDFDKQWPSLAPAMDLY -FSRFDSTFPQISMHEAINGIPGLDGIDMNQSAGYPYVTTGRSRRSFFTLDEDGYHPTMELKNAVMEVLNG -NYGKYMTFLKDELRDVTKVEAGKTRIVDAANLPSIIAGRMIFGSFFAYMHKNVGIKHGSAVGCNPDFHWT -QFYYDFTDSENVWDLDYSAYDSSIPSFAFQMLATHLDRLCLSPHAYDYVMHLASTQHVYGNVEMIITGGM -PSGCIGTSIFNTILNNCFVLSALITHPEFNPLNFKCLAYGDDVIYSTQPDIHPSYIKQFYDENTPFKVTP -ADKDGIFPDHSSIHDVMFLKRWFYPDGIHPGLVRPIIHPSVYYQSAMWMRDGDIQDTLTSLSYLAFHAGP -NNYAKWIATCKTKSQEHYFLPWSYLDHRWWLMCHTGEIWDAPKDFIW - ->YP_009664723.1 polyprotein, partial [Bat picornavirus] -FFFLFVFFIYVFVFAHLLSLGPPHHRGSYTHPTLPYNVYEQEQFDQLCLEALRAPGFFHPHQPQQQGAAF -SFPRNRFTMVYTPENPVDGWDLRKEIPGVGVLQIGWVLDPDGLFRLSPVPVRGMEIAEVSRYHWDDATRQ -LGRQTLLRFSLLNLPTDVRHSPEDIERQGNSVTNIYGNGNSVTTDVGANGWTPTVNTSVGDNPMSSSSAS -ETPIGIKGGSSTASKTTTSVSSGNSVGSRYRKWWEPAAARGLERGIDHAINLGDSIVGGAASAAKAGVDA -LKNKLNKPPAAANLLSSVPTANLAGNSEIQSQAPSSAVIAYPPTPSVTLPGPDVPSVPGPSGDRAWLVDT -FQWTQEMPVDMWPSGPNSYTNSSAYPPHPTGPTNGGAYPLPWALVTAQPQCVWSAMYQNHSYWNAGFRIQ -LTVNGSQFHAGALVLCAVPERAVTETGSANSLYTTPYAILNLATGNTCTLEVPYVSCTPNSSTDFLHAPW -TVFVSVLTPLTPPTGSPSSLQCSLYVTPLNSSFHGLRYPVKQHIKTRQVPGSGAFGTAVAGQEIPLVGVL -ATRPDVSYLPAEAFNWLEFASRPGLFTSLTWTMADDPGDKLQMIPLSPDALAATTTGIGFALTLFSQWQG -EIKVRLLFTGSAQHYGRLVVAYTPPASRPPTDMAEAMHGTYTVWDVNGESTLDFTIPFMSQSYWKTVDIG -TPDGLLSNNGYFSVFVMNPLTGPSSAPPSAQIQAFVLAGDTFRLRFQQSPALGWQAGEEATEVPINSEGI -DIQTPSGDLGERTTMRYKDTDLHPDTFLENYFSFFRYLPLQQGGGPLLMSAGSVSLLPLDPMTMFTSDTT -KLISCFTYFTADLRINLRIVSALSASTTLAVALIPAGATVPPDIANTTVATSSLSNFTLVEQPLPASGTV -ELSLSFPYTSPQSALCTVFNGWENFSGSNFGRLHSNTFGTLVFYSISPQLPGPPVGVQETISISAWYSFG -GFRGFVPRSPPVLGPLPTHSYSSAMEIISPKRMKAFARRQCARPIELLEMEPEDRAFVVRVQRTTYVHWA -LRYVRWDGFQQQISLSNAGLYAVIAFEEPEGECVGEVELDCWERATLLVGEIYPYNAHTNCSTFISDLTG -YPCSNTGLSIGAGVALLGVSALAAGVKTLLARRQGLGDMASAAKVVTQRNVDDAFTIARDGLRVADAGAA -RIETAANRLLEASRNVHFDHLDSAADRLYAAADRISTSLGRRSRDDRQPLKSLHSAWWRWHLPHRALFCW -LAKFFGYLLIIFGSPTPMSIGGLLLVILADSAPTVVDFFRNSNNPLGAAFYWIATKLGFETTPEEAAAAA -ADQQGVVDFNHGVQAAKNVDWILDKVISLVEKVMNWLEKKAGNDPGKQLADNHDKIMQLYSDSVTAVNSP -PTTIELANVRANKKLAEDLVPVASKAKSQNHVTLLNQAIRNYSTLLGRAPVHNPGARPEPLVVYLYWPPG -SGKSLVASLLASTLANKLGSGPDDYYSPTSPDCEFFDGYTGQPVHLIDDIGQDPEGRDWAHFPNLVSTAP -FIVPMASLECKGTYYTSKVIIATSNFQGPNDRSARSLAALDRRLHIRLKVTSQGPFSVESALAACGPGTK -YFTAECPLTRLESNEITFDSRSLHTTTLSDLDSLVDFILDLVQDRRDNSNLFRGLIRQAGTQKDVQASTG -VASSVEDAFTQTVRQNAPVEEVKKRWNFQIPLFYTAGFLTIISSLIAIFYFARALRNERNQGAYTGLPTP -KPAPRRPVPHPRTPPVQTAAPVRQGLSPAIPKLAENVVLIAALKDDEEVRFMSFTYIQGRWGVTASHLIE -GATHIRIRDKIFPLSELDYVVDGELMAIHIPHREYRNIRRFLSTQTYETGFLVSSLFKGTSYVRFSHLRT -ASLNIENVIVDSNSLIYACSSFPGLCGSPVISSDPSGVRVLGIHVAGIPGSSGMGCQLSDERWANMLAVM -SERQSRIVLVDHDGPPSHIPRSSKIKPSPAHGAFEITKEPAPLSQYDPRLINFNVQVYDKHNHGDITEPW -PGLVEAFDLYFSYFPSSIRTLTMDEAINGTHSLDGIDMSQSPGYPWVANGRSRRSLFTQENGRWIPTPEL -VAEVEKVLEDPVYVYTTSLKDELRPLEKVKVGGTRLIEAAPIHAIIAGRMLLGGLFEYMQSRPGEHGSAV -GCNPDYHWTQFFWMFSTYEQVYDLDYKGFDASLPTVCFDLVSRHLERLIGDPRVPKYIDSISNSLHVFGF -FFYRMIGGNPSGCVGTSILNTIINNCCLLSALMSHPDFSPNHTLILAYGDDVIYATNPPIHPSFVRDFYR -EHTQLIVTPANKGDTFPETSDIYDVTFLKRWFVPDDLRPAYVHPVIKRDVYEQSVMWVRTGDFQDVVTSL -CYLAFHSGPQNYLNWTSAVAAKCRENGVFVNFLPYSFLQMQWLKLVSV - ->YP_002456506.1 polyprotein [Porcine kobuvirus swine/S-1-HUN/2007/Hungary] -MASSILISDSTFAPILTHKLSRRVRRHPVAWHPRENTRLSYITAMEELDACYINEQHRLFPLPRPSHWYN -CLYCQHPSEDGDTLMSLACEYDEDCPHHSSIIHPKKPVQKDPEDILEDSEWPDNILHPEPPSFTTDAEQN -WLDRLASASLPGPTQVRPLSKFFAENPPPASLAGKMNLSDFSDLTLSTPCQIVRQGNSTTNIYGSNNNVT -TDVGANGWTPTVNTGLGDGPVSSSADDLAGRCGGSSTASKDSSKSSKVKVHNFNHWWEPAAAKALSRGVD -KALDGIEGAGKLAGSAIKSKLSGARPGPSPNLIALNPSSTQSGNAMITTGSTAPVVVAYPPTPSVPLPNP -DAPSQPGPSGDRTWLLDSLEWTTEDPSFWCLAGPNGMQNSALENLQFPVSTSTNWGTQGTVAPTAYPLPF -SFVHAYPDCPWTAMHDTHSMWNCGWRVQVVVNGSQFHAGCLAMVMVPEYSYGTFNQNRQNAIFTFPYALL -NLYQGNTASLEVPYIGPTPNSSPCVRAPWTFYLMVLTPLVVPTGSPTSLSVSIYVTPLNTSFHGLRQVQT -QHWKIRSLPGAGAFGNVVAGQEIPIYAMESFRPPVDYLPAKVSDWLELDHRPGLFESYPWTMAETTGEKV -AEAVIEPVLLAATGTPISFVTNLFAQWRGELQLSLLFTGSAQHFGRLAICFTPAAGTPPTSLQDALRGTY -TVWDINSSSTLDFTIPFISQAYWKVTNMANQNSLLATLGTVSIWVMNPLTGPSSAPPSAVIQAFVSAGES -FTLRGIQAPGFQIQAADDADAPTPVSNIESGSATTVPEPRTTFSYTDNPTPPDTNLQRFFSIYRPIFVNG -QDYSVGFTAEAGYTFPLNPVDWVANAGPGDTLPLLLSCFTYFTADPRVALTFSNPAPYAACITIYFAPPG -SSPQTGDTSTASMGCFYSVQTSVPPTSEATIPISIPYASPLSAIPLSFFGFSDFAGGHDVVNTTFGTLYI -RATFQGDVPAPTYITMTAQIAFGNFCGFVPRAPPPLGTSPTPAAAVVRPKGRSRIVRQCLTNDVPTPTLC -PDVRVYIVKVQRMTYTHWALRAVHSDRVEQISLSRFGLNAYVAYEDPQGVVYQEVEPYHWMVAVAMVGTR -WDYSATNNCTHFVSNITGVELPNTGFSLLLGLGALALLSGCAAVQASKGGIIRQGLLSLEAPPEVVQAAN -RVAESIETTASVVREADLARSTLNISMAANRVAESIETTATAVREADLARSTLNISMAASDIRMAATQVS -SSLNGFTDMLSSFSKTFTQGASKMLSDGISTFLTWVAKVFGYLLVLFGSPTPMSIAGLLVIICADLAPQA -SEYFHSCSSVLGSLYYWIATKLGLSCTPEEAHAATVEHQGVRDYNDAVNAVKNTEWLMDTCWRWAERVLT -WIRGKAKTDPQTVLADAHDEILRHYSESIAALSSERTPVSAITDAITRCRELTKVAADAKSGSHSSFLLQ -ALRNYQLALNSARKAQSGPRPEPVVIYLYGPPGTGKSLLASLLARVLAQKLSGNPDDVYSPSSAVCEYFD -GYTGQVVHFIDDLGQDPEGRDWANFPNLISSAPFIVPMANLEAKGTHYTSQVVVVTSNFAGPNERAARSI -GALRRRMHLRINVDRIDGKPFDPVEALKPLNQPSKYLTSQTELSLFKSFKLTVAVDSLWQPSFTDFDSLV -DAIVGRLDRSTGVSDLLASLVKRQGLTIEAEPTELSYQDALEMLAESKPVSTTLSFERAVSQNAPLSVIN -QLWSYRKPIFACTTFLTVIGFVLTIIAVARTLWKAKEDAPDKSQGAYSGLPRLKRQEKVRPSHPPPPPPS -QSRSVVRQSLSPALPKIADNVYPISTKSPSQGRNASCGFFLFSRFFLAPTHIIPDDTDRISIGPDTFDWA -TLQHRRLGKELTIIHFPTIRQHRDLRRFIGYHPYPTGHLISTLSGPPVYLRFSKNRMVTLDLPGVVEEPT -AYGYKAPTFQGLCGAPLITDDPAGVKLLGLHVAGVTGCSGFSVPISTYLPEIEQFAIDQQSIIIPGPNIV -PGVNVNRKSKLGRSPAFGAFPVKKQPAVLTQKDDRLEDGIRLDDQLFLKHNKGDMDESWPGLEAAADLYF -SKFPTMIHTLTMEEAINGTPNLEGIDMNQAAGYPWNTMGRSRRSLFVQQNGIWLPLPELEAEINKTLEDP -YYFYSTFLKDELRPTSKVTLGLTRVVEAAPIHAIIAGRMLLGGLIEYMQANPGKHGSAVGCNPDLHWTKF -FFKFCHYPQVFDLDYKCFDATLPSCAFRIVEKHLERLIGDERVTRYIETIRHSRHVFGNETYEMIGGNPS -GCVGTSIINTIINNICVLSALIQHPDFSPESFRILAYGDDVIYGCDPPIHPSFIKEFYDRYTPLVVTPAN -KTDTFPENSTIYDVTFLKRWFVPDDIRPFYIHPVMDPDTYEQSVMWLRDGDFQDLVTSLCYLAFHSGPKT -YDRWCTRVRDQVMKTTGFPPTFLPYSYLQTRWLNLLAA - ->YP_009513232.1 polyprotein [Chicken megrivirus] -MSSSQHKPEDNTPLQAQQEEVQVESSAFTTATSETSIRSVTQPSGSIVARNWVPSRTPNSQVRTFLFSGE -WTSTGKSGELITYSQPITLNGPIPLPHVLITGSETNAYTIDRPSASNPTMSGMTHFGLQYCSNGLYRTGY -KVFVSINGTQFHSGSLFVVAVPQPHILYKQLGSQYPNYQISYACGDVYNLAQQGIFPSGRLLPRSNSQLE -LDLPYVGQDPYTSTANRNVDYLIFILIETPLGIPTTGTAPTLQVVVEAEPVDPVFLAPHRPLGFTNNLGD -FTKLPALPAPASFEGVRVLPCQSGIASEAMGALQSVQWKNKHHTLDHLPPRVEDFRSLLSRPTVKAILDV -GTAYSVGDVLAQWEVSPINDILTNSVANGVKTIEGSTFLHSFSRLFLQWTGSIVYTVEWTGPAVSAGRLI -LGYQPNAIRESKTGTYGPALPTGNVLSALTQGPHMIWDLANSTSMSFRADYALPCEWAAVNPLATANYSA -SPSGQNQSIQNGGVINYSSSGSCFLALLTPIVTPTVTQTAFKIVLSESAGPDFCVRYFAPRSPNTYPIYN -GIIDQGPVHVPEPQGEMVEVGQEISVEKVLAHPRFYGHYQLDQSSVLEIPLTLISHSSNGTNDARISPAR -LFASLFTQIHADLRIIVTTSSNNSYLVAYVPPGTDASALAPIPRSRAALSMANFTIFSTMADNVGFELVV -PYPGNHSTFQTSQSTSLRSDNTYAYSQFSDDPGNMGTVLVVAKDQSVSTGGGSVTATYVTVHLALLNAKC -FVPRPFGPLTTQIVRPTALSLPGADDEPDFWEDAPEGQNFFQAPHLTTLETEQAKDQVARFEEYFDWLYS -EIGDSVTPEHALAICKAVEDAINQCDDEIDNRNAFAELPLEYPQSLPCPGVWFRGEFYRCCYLPCENRFF -PQVAAHSPLPVEHNLCELYEALRAFGTMCLIDPLATSHCYSALFRQLLESDWVIPEMKSEIRKKMVLLLE -MIAHPERIMSHWDWEMCHTQAFRNLCQRMRTSLSLGATDEDYFSGTEDAEFQAPSACEQKFLNLIQCYRS -AMLVNPWHTFEVAYISFYDLTCRSDVPQWFKDQVDDVCYENLCACVGERVNVDNSVLLESYRQAFDEVFS -EEPDFFSDDEIDAEFQAPRPPAPASSAPRPRPPTPLPAPIVEDDEDEGDDEPDDIHVYYVDNGLYRHWGI -RNRDQAISLKAHGFQCRVSYTPEDLGKHIDYCTVGYNEWCKAVCRLGQIYDYSITHNCTDFVEEITSYQY -SFQNTGYWLAAGVVAAAVATTAIFHSVPPSWDERPFLPEKMTPRVVVVAPRRRVHKWRKATLERLIELKR -KMLFQAPINIQLTNPAVDRAASVTTTATLETLEDVKQMVPVLVKSASSVASSITAASASVEHLTKSMETF -LENATAAIPGAVSAMESTAGELISSASKKIASIILKVIGFTLVIFGNPSPSTIAGVIALLVAEVYDNTYL -RKKMSDLAISFTAKIKDLVGSLFGVSSCCDEEPIFDDIDDAFHRYMLTRTETDFEFQAPTRLQEFNQGVL -AMKNVEWIIEKVKDLIDWLIGKLKSKEKSSPEDYLKSRADYITDLYRDSLATASCQNVDVSLLNQRVKDT -RDMLAYCTNHRLAGAAHILSRTLANYEQTSRKLKTSVYASRPEPLVIYIHGSPGVGKSLLSNIIASAYCK -RKGIDFKTSVFAQPPGSEYFDGYTGQPVHVIDDFCQNTTGEDVKLFCQMVSTTPLFPPMAALEEKGVQYR -SRLILATSNMATPQSNEIRIPGALERRCHIKVRAVLARAFQTPAGRLDMAEAFKELGPSKSPDFKADCPY -LNGAAMTLSVTRGEDRTREHMSVYDLCDMIFDELDERDGNQAAFSNIIFQSPSQNVISFCKHVDHSPDSC -HNIFIATSQGPIVHRFDSAEDRNSWLLSQIPSLPQAGNVRCCPCKNPDCAKIQFTFKTDDEQIRSQVVNF -PGREVAEWWLRFVCGRPGSAYALESEIWPALPIFIPTAQPIKNESIEKELKKLRKRSLNSFTITGISAIA -SAIGLLIYFLRRRRDPEPQAPYSGAGGRQRQPPQQRPVPQRIVHYQAPYRMPQIYPKVEKNCTSITFHQD -SHSFDLTALFVCGRTFVCNNHAFSHSHTIEIGGHKYKPEEPSPELLVRPSGVTDVVICTLPRGDERKNLV -PYLLSQKDRPTNDDVLMVSRSKTIAANFECTNLRGRKSVCVKEFDNTDEQNFNRCYTYDLKSTPGMCGAA -LISRNPARETLLGIHFAGGPGVGIGVPLYKEDFAHLFQGNLKPIEHPGQPNHIPRRSALKKSPAYGAFPV -KSEPAILSQKDKRCEVDLDEVMFSKHVPDHEGWPTLEPAMAYVVEELMQKCGFSKDDPVPMWTLEQAING -DGVMEGIDMGQSAGYPFSAQGRSRRSFFEWDGEKWQATEELKKLVDHALKHPDDYYYATFLKDELRPCEK -VKAGKTRLVDSDSLPRILAMRMVFGPLFEAMLRKNGSEIHSAVGCNPDVDWTRFYYEMGPDSFPYCFDLD -YSCFDSSEPKVAFLLMAKYLEPYFQHDVTPFLAAVATSKHVYGDKAYEMEGGMPSGCVGTSMFNCINNSA -FIVSALIALKVSPDSCKWICYGDDVIISTDEKALSRRIADFYSKNTNLKVTPACKSGDFPEESTFYDVSF -LKRFFVHDSHYPQLIHPYMPLEHLEQSSMWQTDGEYQQKLDSLAQLAFHAGGPDYRKFCDTIQKKCRSRG -TEVYFRPFEYYMAMWYAHFM - ->YP_009513029.1 polyprotein, partial [Rabbit picornavirus] -GKVAKVTSTMSALYVHGRLCVTASHVLNGAEWLEVGGVRSAVDDIPKFVDGELVLLEIGSREYKSLVRFL -KPSSTFSTGFLVSAILHGTSYVRFWDCHGAPLSIEDVIDEPNALLYRCSSFPGLCGSPVIADDPSGICIR -GVHVAGVPGYNGMGCELSPKRLERMLSSMTVLQSKISPIAPLGPPAHVVRSSKLHPSPAHGAFPSTKGPA -VLTQRDPRLNEGVTFDVQVFDKHDKGDMTEPWPGLEEAFDLYFSNFPSSIRTLSMQEAINGTDTLDGIDM -GQSAGYPWVGQGRSRKSLFTWTGTEWAPKPELEQAINTCLENPEYVYTTSLKDELRPLAKVAAGGTRLIE -AAPIHAIVAGRMLLGGLFEHMQARPGEYGSAVGCNPDRDWTKFFWDFASYEQVHGLDYKGFDATIPTVCF -DLASKHLTKIIGDDRVSAYISSISSSLHVFGRKFYRMSGGNPSGCVGTSILNTIINNCILISAFLNFSQP -VEFRILCYGDDVIYATEPPIHPSFLKDFFDRWTPFKVTPADKGTTFPDASSIYDVTFLKRWFVPDEIRPM -YIHPVIDPEVYQQSVMWLRDGDFQDVVTSLCYLAHHAGPTNYSSWCDKVRKACESVGVQPYFLPYSFLQH -SWLKMVSA - ->YP_009508462.1 polyprotein [Penguin megrivirus] -MDSRLTFLQDFLKEHGASLSDWERRVIEREIEITKKRKFEEETEMVVKELIKSVDAVFAPLDANVFKFEA -PDPELNEILDKMLKDLPLVLTQKDLEMFQEVISRYRFEADITTKFDNPSQIVVKNLAFKFESPMQPHKPE -DNTPLQAQQEDMCEEKNFGSAATAETSIRAVTQPQGRSRSNWTIETHAGASLRLWIAGADWTSSATTGTA -LNFSLFQANGPAAGPLLLPLALVRNTSAIDVGGSDTSIIGTNQFSQMYVTHALYSTGYDVFVTLNGTQFH -SGSLLVVAVPAPHVLQKPLSNQLPSANKSIAIGDLYNIVQAGIFPSGRLMPRSNSELHLSLPYVHHSPMK -QTVTGEVDYAIYVIVESQLGVPTGTAPTLRVNIEVQPKNPIFAASKRPQNTFAFGQVNITTLPTPPVPAS -FEMQSRDIPGVGAIASARSGALQTVTFGKPAPELFFLPPRTEDFRSLLARPTIKTLIKVQNSYSTGTLIA -EWDVGPLGDLRDPGGAVKVQEGESFLSTFSRYFVQWRGSINYTLEWVGPAVSSGRILLAYQPGAAREHKT -GEQGPQVPAGNVLNALTTGPHLVWDLSNSTSVTFTADYALDTDWANVRRMMAAGSGNTASSDYGGVGSKG -ISGTMYLAMITNVVTPQTTQQAFDIVLHESAGPDFSLRYFSPIASNTYPIFNGVLDQGPPDAVHIPGSTE -LDEFPLSVERIMNHPRLLANGSFTRNQVLKLPLSLITFSTGTTVNDNQVRIAPARIFPALFTYLKADLRI -IVTTSSTSNLIVAYRPPGIPDTPFGDVPLSANSLTNANWTILSTRADNTGFELFVPFPSNQSAFGTSIST -LNRSDVVYNPAQDQYNPGYLGTVLVVSRDIDQATVTQQSVSVFVGFENVSAHVPRPFGPIIARIAQPTML -AQEVDDFGEEPLELAEFESPTPSPLPRTFFTIEEFKRIWNISDREWDEGITDDGWEIASLLDELDISLED -VVDIPLCLLDLDETLDDEEVAYENLNLLFELPMEYPEGPDYEGIWYFGEFYRMIPSYSPCSSCCWETPYM -KAVLVYDPCPRMREYHKFWIITRRIRCEAITYPFKALMKAQREYRRFTMSGYTPRKIVSEYQKAFDFVLH -IMQDQFEVSTDSEDSDFFDDDMEGSIYFEAPMEKDVKPKRFCQIATDSDPPILINVPIDTDDEDEAEADD -EPPLHPYGEKPFIYYVSRGLYTHWGIAKGNQAISLVQDGMHAKVSFTPEDLPRAQLYKYVNMGAWFSAFC -SVGSDFPDYSITNNCTHWTEMMTGEPCSNTGKYLFCSLAACAVAIPFLFHSGRVPCDSAQTTTRGHREHR -FRRSIFFSESFSLPRRKPVSAFYEAPMFKINVSNPAVETSANAATLEIKQTLETYRDMAPALSTAITKAA -CSMQDTSIKASDLLDKLDGLVDSAIDFLPKAVDAATEASQSIVSSLGKKIGSMLLKVIGYCLIIFGNPNP -ATVAGVISLMASEVLDSKMLRDKIKSVAVSFSHKLQSLFSSLFGVDVCVDDPEIFDNTEFDVLYRQYMED -RVEFESPSQPLQTFNQGVLAMKNVEWIIEKIKDLIGFVIDKLKGKQKSNPEDYVKQRADYIVKLFDDSVE -TGSCQHVNRGLLDKRLGEANTMLSYCVNNKLHSAAQLLSKTVTNYRTTARKLDASAYEERPEPVVVYIHG -APGVGKSILSNVIARAYCKKFNLPFSSSVFTTPPGSEYFDGYTGQPVHIIDDFCQNTTGEDVKLFCQMVS -TVRFSPPMAALEEKGCNYTSRLILATSNLATPQSNEVRIPAALERRCHIKVRTILAPQFQNSSGKLDMAA -AFRPCGPAKTSDFKNDCAYLNGAAITCSVYVGTDRTVEKMSVYDLMDLIYEELDRRDQCQDVLKNILFEA -PMTPGLQKYYADGVIPTLCNHVDHDSKNCYRVFFRKGDWVDKYDFRTHSELAEFMEGYYKGILPDAKEIR -PCPCTNPDCGKLQFFVDGAAKIVDFRSKSAMDFYLFKSGNRHVIFDPEPFSPTVVAPTPKKDVNDLKSEI -LSLKKKCFISFAITALGTLASVIGAVVYLCKKKKSGVQAAYTGLPPGSKTKKDHPRPIPQRHINYEAPLL -PQICNKLSNNVFSMSFNCPGYKPFCISGLGIADRLAVTNHHAMSKAVSVTCRGKTYQRDELKPVQIVRGG -RPTDLVFFTFPDGDQFRDITRFFQSTKDKFPRDDVVLVSRSEKMVCNVRATNVRGLKNVSVGDDGEGVNF -HNVVAYDCATMPGLCGSPIVSTNPARECILGIHFAGTGATGLCAPIYREDFGPKLEGKIEPIPHPGKPTH -VPRKSNLKKSPAYGAFPVTHEPAALTRNDKRLEDGVVLDDVMFSKHSGDHPGWPTLEPAMSYVVTDLMKN -LGFSVHDKIEMWTLEQAINGEGVMDGIDMNQSPGYPYNTSGRSRRSFFEWADGKWKPTKELEEAVQHALE -SPEDFYFTTFLKDELRPRSKVVAGKTRLVDGDSLPRALAYRMVFGPLFERMIAKNGPKIHSAVGCNPDTD -WTRYFHEMGPGVYPYCYDLDYSCYDSSEPKISFELMGKYLQPYFSFPIGKYFDALATSKHVYELNAYQMV -GGMTSGCVGTSMFNCINNSAFIVSALISMKLSPEDFSWLCYGDDVIISTHEKNLSKRIAEFYHKNTPLVV -TPASKSGDFPETSTIYDVTFLKRYFQPDSAYPELIHPFMPLDHLKQSVMWRTDGPFQAKIDSLALLAFHA -GGDAYREFVQRVEKACHDRGEDYVFKPFEYLMAAWYANFF - ->YP_009508290.1 polyprotein [pigeon mesivirus 1] -MATFRRSDDFSTEDNTPLQAQQDDGLTNRQPFESSTGETVLSAATMDNQDLSVETSWDVARTLDSDIPVL -ISTLDWTTGNAFGSRLTAPATAQGVNYSSPNPVILPYHLVAPGVTAESGDGGYGVNGVGTSIFAALFRRH -GLYQSGFIVEVSTNGTQFHGGSLFVVAVPAPHIWFATQTDSNASDTRNYSIIDFANTAQLGIFPSARLMP -RSNSSVQLELPYIGQNPCLATEAMDAQYAVLVFVETPLSIPTQGTAPSLTVHVKVAPRNARFWAPHFSTV -PYARLGNFAIPSWTPQVVPQMRVNTGEAAFFSLQSRSAGPLAARNRVPQEKFLPPRVESFKSVLSIPTVT -AVVDTTNATADGVVLYQTDVSLTAFSTTTRDFQVNTVTQYRLVPYLADLSRYFTQWRGSINFTIQYTGPA -VASGRILLAFQPAASRQRKIGQSNDYILQGDTLTVLTHGPHEIWDISNSSSVTFSCPYSVPSPWAPINPV -QAGISVNSGSSSGIFYMALLTPINTPTVVAPNSTFVIRVSAGPDFDLRHPGPIPIIQTPLYNGVLDQGPV -EAPPIMGQHTVTEFDDLDIESFFSRSRYYGSYRLTNGNNAGGLLEIPLSLIDYAGGNNAATTPAVPFRAF -ASLFTFFRADLRVLLTLPSQGNWVVAYRPPGVPGAVYGSSIASFGSQMPSNLQTLLNAGATILSTRASNF -GVEVTIPFASFANVFQTSNNRIQQTDTRYIPNTSQFNPGTMGNLYVASRSLTTTGLIVEVAIAFENISAY -IPRPFALRIGSSGNIGAPAAAQAAARTDEPFDEEIEQDTAQPRPFEKCEWPQQTESGIIFHMPVRSHDPW -TCQCVLCTCWRDDPITPEEQDWFEDYGYYPFTVVDACRAGFRENLESDDDTWEVQSLLDEIECTIMNQEC -DNRELFRELPEEYPEGPAFSGMWIDTAGRWETSSLRGKEWYRLLCVGQFYDRPNERTIYDRQVRVYTPLA -QERLSHQLQAQAERNLRIAGQAPVLARQMWIDTWTNSVRYMAHGALGACVIGRMHEILNLLERVHDAFEA -GRQADQLVDEGFSEESLPLCARGDWQEDDDFFSDGEEVDPPRFEGRMEMLEEAYNTHEHTAEEKPYVYRV -NRGCYNHWGLAYKGQAISLKQRGIHAVVSYTPEDLEKAVKIEDVGFFAWIKAVLLLDQTFEDYNIMNNCT -HFAEMLTGRSYQNTGTWLMAGLAIAAVTTAIVFHAPGNWDERSPLTRAESVAEHVYDVPRPVEAAPKKTT -RREWFPKRCMFFWRKPKKPIYMPMSAVEFQAPINVQVTNATIEANARATSIQASQTLREYQDLAPVITAA -VTNTAAAMQDTSMKASEILDKFEGLINSVTEAVPKLTNDVSEATTTLTGEFAKKIGALLLKTIGYVLIIF -GNPNPATIAGIVALMSAEVLDSPFLRKKIKSALTSLSHKIQTLFGSLFGISACDDDPHIFDIGHIDQAYN -DYMRERVNFEAPEPIVTFNQGVLAAKNIDWIIEKVKGLIDWILEKIKGKEKKNPEEILRSESQYIVSLYD -DSVESGSCQSVDRELLKKRIKEVREKLAFYQNQRLTQPCVLLSKTLHNYRTIERKLAASEYSDRPEPVVV -YVHGPPGCGKSVLSNVLASAYCKKHGLKMKDSVFTTPPGSEYFDGYTGQPVHVIDDFCQNTTGEDVKTFC -QMVSTTRFSPPMASLEEKGVLYSSKLILASSNLSRPQCNEVRIPAALERRCHIKVQMLISQDFVTKSGNL -DMSRAFQPTGPAKNGYFKANCPYLDGTAVRFKVEVGLNTAPWDNKEMDVYELFDLVEDELNRRQGVQDSF -RGICFEAPTGVRNRYTPTVVPFLCNHVDHEMDNCHRVFFRDSATKELWHEDFETDQAMDDALTPYWDGKI -PPKDWKVDICSCDSKDCGKLVWKKDEQTLCVDFRSRSLADYFLWKHSGHRLADPNPPPFRPIRVDKLAWD -IEKIRTQIKAMNKSLLMSFGATFLGFIATAIGGIIYLVRKMRNRKKEEAPYSGAPGQKAQKREHPKQLPV -RNVQYEGLPQIMPKIEKNVFPIAFHREKEVTHLSALGIFGRVAAVNYHAAAGCDKVEFRGKFYDIKDLHP -VHIYRKDRKTDLVFITFPDGNQFRDISRFFLSVKDRFPRDDAILVSRSAKMVMNMMCRNVRGRKDVEMAP -SAGGEEYHNVITYDTPSMPGFCGAPLLSLNKAREVILGIHFAGNGALGFAVPIYKEDLALAYQGDIEPLP -EPEKRTHVPRKTNLMKSPAHGVFPVTHGPAPLTNKDPRLKPDVDLDVTIFQKHKPDQKAWKELEPAMDYV -VTHLMHDLGFEKGEVEPITLDEAINGFGAMDGINMNQSPGYPYNASGRSRRSFFEWKDNRWKPTEELEKA -VAEALQDPENFYFTTFLKDELRPLSKVEAGKTRIVDGDSLPRVLAYRMVFGRLFEAMLRNHGVKIHSAVG -CDPDIFWTPLFEALGPDNYDYVFDLDYSCFDSTEPADSFRLMAKKLQPYFKVNIGKYFEALAVSKHIFEN -RAYQITGGMPSGCVGTSMFNCINNSAFIVSALIALKINPDSCAWICYGDDVLIATNEKRLSGRIAEFYHK -NTPLIVTPASKTGDFPETSSIFEVTFLKRYFQPDSHYPHLIHPLMPMEVIQQSVMWRTDGPFQSKLDSLC -LLAFHAGGPAYREFVEQVDKACQRRGERYYFQPFYYLMARWYAHFGL - ->YP_009505618.1 polyprotein [Rosavirus M-7] -MAGRKDSRSARSNISGLPVTDAIASVLEPIPVVGTLANAFKGLASSWLSSATDGLLNDSAFEIGSSTATS -DRLLEVSVGNTNISSQDAVTVRDGWAGPKPVSCPVPPVDSPTSPGPAGLRFRSIATTEWNTTDHHFSPIP -LLKVKLPQALLADSGPDPSNLFHPTAVRHHLMSSDFAVTVTVNANPFASGCLLVVALPNPPTVSPDADVS -LELFTTSQYSSTPGAYTRAQLTLFPHQFINLKVTNQATLLLPYHGPTPVCVHSISTLWRVNVYQWVGLYQ -TPYTSTTPITINLQAAAVNAKFFGLRAARQSAYESPVRVASCFNSIISCAPLDGSVPLAQSAQTLLETGY -MPGRVESFAXPLSVPTLMCYYPGSVDWGGIYVDSTMARGTFLYGFPVDLGNIVFCKSYLGMLAEFFSQWT -GTLCFHLQAVTTSFTRGRVAICFTPGDTTGPETLEQAMAGTYAFFDIGLNSTHTFPIPFISVSHWRTISS -QESRTSENFSWYPPSSRMGVVTVWVYNALQSTAPAAAAPLVLVPYISGGSDFAFRLPKDFSNQVYWYAPK -KNPGVSYTYDLTNEAVPFRGVAYEAPEKEHVSIAMGEQDADVAEAAPTQAPVQETPSMYGNLEPGQPVSV -DARDAWQGVEGVCNHTSPDMLLENFFARKRLYAAFQNEVTETKTTWYFPVPLRWRWWYTDMLADGYPARA -IQHMFAYIQADVRVDLEFHFVGGDDSWYPRVVVANLPPGAPHDLSLNWPGRRLVVESRLYNYPFVVTPVS -RFANTVSVVIPYQSPYNVIPLTTNAYARYAANYNRDGDTPVHAAPVDGDGWGAEAFDRFGTLGVLVSPSR -QCRVNVYVSFHNAQFFIPNPFPELGMFNQGFSIYNVGVPNLWSAKQPFLRKNGFVRENGQDIYPVIPPGM -VMPLGEIQDASTRERPETDAPQAVRYESAEFEWQDDVRAEGDWSWYVDRRCYVAWRYWKGTSYRHWMIVC -EDQECSLVQEGFECRVRITPAQPHTFYASVNPLVWSECVLLDHVGYVFPGYNVVNNCTHFVSRMTGVKCE -NDGTLALKSLALVGALGLGLAAVAAYEAPTREKTPPPRVFHQVGRCPDIAEQPSVVDEPDGKLSVKLAAF -GCSAKIQGEWEPRHWLPKHSVSVSPPFLAQACYEAPGCIDSVCQASDQFSQAMSNISKALTPENVEVLVC -SAKALGCTASSVDHVAQKLTALVSQIPQVVAQTQQSIAKKIASLLLKLAGLLLVVFSSPNPLTIAGVMTI -LLGEAVDSASLDQVKSLKQWFCKKLGIPKKVMDYAPPPPTLVDGETRTVQQEAPTFGEELMEHAKDFNTL -SMSAKNIDWIISKLKEFVKWLLDTFTNWKKDAPEARFAAQKPKVFELFADSVHAMDSQNINLENVKKNRD -LAQQLLGLATEVRDSACVNMLQRTYSNYCTVIRKVSQAAFQIGLKPVVVYLYGGPGCGKSVLSSVLAKGL -CKAFDKDPQSQIYSQIPNSDYMDGYTGQFVHIIDDLGQDPEGKDWQNFCQMVSTVKFLPNMADLEQKGIP -YKSRVIIATSNFGDPTHGSARDMGALVRRMRFPVSVEARTKFCSGKSLCLSKALEVTGASPHPCFKAMCP -LISGDAVALTLRVDGESPEKLGVLDLFDRVVAEVRARDQTHTMLSSICYEAPLYEEFPAPDMVDAIALAS -GTFRTIDSCSTPMIRLPETVAELSEPWWKNLVSGLSKTSLLARIGVIAGVLSLVSSVLVVAYTAYRFTKE -REREEGAYSGGPRPKKVPKVKKPTTEPTVRYEGLPQIYRPVVANCFPINFYDSDPTDRANPHCGMFTLTA -VGVFDRTYICNAHGFKEATHIGIRGRIYAVGDINKRHVRRNQRRTDLMVFQLPDGDCVRNILRYFRKTPE -EAPSRSPAVMAVRGKFNIDVLATCVESFPFVQMSGDINYGALRYHAMTMPGYCGAPLISHDKASEKILGI -HMASNGCGIAYGTSVYQSDFENLEYEGLRTCQGAGLPVHVPVRSKLRPSPAHGAFPVKKQPAVLRQSDER -LCAADLDEVLFSKYTQDMVEPFPGLDIGLQVVRNRLKILIPEKLPQITVAQAINGIDNMDGLDMNQSPGV -PYVSEGVARRSLFDCVDGQWVPRERLASDIAQVSGDPSLGHFATFLKDELRSTEKVAAGKTRVVEAGSLP -HIIVGRKIFGNLFALFNGNPGFRTMCAVGCDPDVTWTDLYHPLSSKAYVFDYDYSGFDGSVPSCCFDALA -DLLAEFVEGEEDVRRYISSLKTSFHHYKGKLWRLDGAMPSGCCGTSVFNSLINAMLLFSAFSQVCPDLRA -DEPLLVAYGDDVLVGTDQPLFPSKVAEWVNTHTTFRITPADKGDVFNDESDIHCVQFLKRHFTPDPDFPA -LIHPTIDPDTYEQSVMWQRAGDFQETVNSLAQLVFHRGPRSYSRWCESVTRSCVDAGYPPPFFPPFALLR -SQWLKKFEVVTFDRLQNVAQ - ->YP_009448192.1 polyprotein [Passerivirus sp.] -MESYPTTLTKKIEEGDNFEFDGDFRTSKTSYRLKDSPGFGLMKEKCYPEIEEETFEDLILAAYEKPKKKR -ITYLARELKSILPAPAKPCVPRDVARIYPNFTPHMCLSSPHHRRMTMAFIDAARDYFYDLENPHLEKLKL -AWAMFKFNTQGPSPPPSLPYNVYEQEEFDRYATWFLGKRETPPPQSYYLFYPLPENVSKISEIEEADWEG -VDLSKNWLDLVTEDDAKYLLENGTLPFGSCLAMAKKSKLYVTDVAKEESILEKMKMALERLHLNPASTPA -FCTRLDTNVHPAAWCFYTGDFRCTLVGFESKFDWTKDQLKHIIPEHLYHEMVVAFPLPVPKHQGPYPTPS -PRFAEILGELRRGKSPYLVGDNTRMVVKKGIVKIVVEEPPLVAEYEYPVDKPHLATIKFVKQQGSVIYNI -KGDGNWISTEQGANGWTPSANVTVEGGDISSATAGSNNPNTTPSMPLKKPSPPSTKPVRRIGGNDQLSNS -RMTSIRSGDCFVDIPNTATVAEPIPIKPYFPISNLGEPHKPGDSVDRYWHVTTGNWNVGATKVLWTRSVN -ETSLKYPATAPANFGTSGAFVSFPYSICDAHPGSAIATCFNTYAYWRANASVVAIANAPPQTAGALLLVA -VPEDMPRNLTLREALHFPYALLNLASSNTAVLNLPFCAASPVEITLSHFGWNICAFPLTPLAIPVGVAPY -VTVSLLMSTLDSEFYCLRTPSLHGIPTHPQPTSGDQYHWSSAPPTSQAIVPFIPKKPQTSHVPDPIVNFH -EIATRPGLVDVYTIDMDAAVGTKLICQRLDPVSLTQDVKITSDDKGPHVLTPLGTVMSLFAQWRGTLIVN -LVYAGSQQSTGRILVSTSPPMGGCPNTMQNALRGRSMIWDLTVSTECEIEVPFYSETPWKKLLRSTGSAA -NLDCLAGWIGLYVYSPFSAPPQNPDSASIAMFIRAGDDFVARIPSGHLPTRLYTDGGYDTAPPSTLARRQ -GETPRSEGEPTNGIANLDLTHKPVPMDVAAYFSQFSTLALAANDNPRYGSISAQYNGGATLPLTLQQLSR -FKFGLSTLLTRLFTYFQADLEVSIRIKFTKQDNPPEPVRVYYFAPGTSVIDGADAWTTATFTSTPVITWE -PDQGTKPNFLFTIPYSAHYPVVAPTYRGVTDLVNNAQFGSDYGYLGMLRIQLGDFGSTAQFQAQVAVRFV -NLKAFLPRPLRTNPMPTNQDGMWSVTTPVLPTHQAGTMLPKAPTQPVYVVRKKTLTYDHWAIRSGDVQIS -VQRGKHGLNPEINYEDPEGEIYCEAPNSAFAFALAQIGEPYPYHCVNNCTNWVESLTGIELPNTGKTLAT -ALGISAAVATTAFAAKTLEAKHQGLGEMARDTVRASENLTRAAHVAHDAVSKAEAVVQLAKIPASADSIR -AAAADMKVAASIISESIGEAGEIVEKITPVMESLNTVRDGAITGFLQIVTKLIGYIMVVFGSPTPLSIAG -LITIITADFLPNVAAYFKKIGNPLSMLYYWIASKLGFSVTPEETADQAPTPETPSQDTSAQDGTQPQPQH -QGAFKDYNETVHAMKNTEWLITTIFKHLKELLEWLGLKSKTDPATKLAEKHEQIMELYKDSIAAVNSPTI -EIGAAKDNRDLAQRLMTVAQEAKSPTHSQILVQTIRNYSTAVNNQEAMEAPPRPEPYVVYIHGKPGSGKS -LFAQALARTLAFHLCGDADSVYAPSSADCQYYDGYCQQVVHYIDDIGQDMEGRDWKDFAQLVSTSPFIVP -MANLEKKGMTYTSKVIIMTSNFEEPNPRAARFPEALLRRLKCVISIQPKQPPMDTLSGKSRYLNPEEALA -VDGPATRFFAADCPLLRFESFDLTSSHNFRHADDVVRHILERVNNATGITNALNALIPRPRHQGPKPKPT -SFENPAYQPHPNEIQSSVPTPLTQAIRTNSLSLVQKMWTYRKPLFATATFLSILTSLTCLITLVYNQFKS -KKQGAYAGMPTPKRGTAPQGVYSGLPNQPNQPHPRPDRAPRPVRQGVKQVDISLRKNVFIVTSYDDPGRT -MLELNGLGLFDRWVATVTHIGLDRPITVTVDGISYPVTKHVTHGEICALYVPGMPQCRDIRRFIRNPRFH -QTGTLIAHTNAGPAYLLANQVRFGDTPYPSLTGLTDVYTYNAATFDGLCGAPLVLHNPAAASLVGIHVAG -VAGVSGVAIPLTPLLEKIPVPAAQSKILPLPMPGPGVHIPRRSRLQKSPAFGAYPVEKIPAPLSKNDPRL -PEGVDVDVVAFSKQNRGDMDKPWETLPPAVDLYFSQCNFPKIRMLNMDEAINGTPLLDGLDMKQSPGYPW -SLTTNRRSLFTQDETGKYYPVPELEEAVLACLENPDYFYTTHLKDELRPVEKALAGKTRLIEAAPIHAII -AGRMLLGGLFEYMHARPGEHGSAVGCDPDYHWTPFFHSFDEFSQVWALDYSCFDSTLPSCCFDLIAQKLA -KIITPGEGIAPDAIVKYIRSISISKHVFGNEAYLMVGGNPSGCVGTSILNSMINNCVLISAFLTQKDFNP -NQMRILTYGDDVLYATNPPIHPRVVKKFFDENTTLIVTPATKAGDFPDESTIWDVTFLKRYFVPDEIRPW -YVHPVIEPATYEQSVMWTRGGDFQDVVTSLSFLAHHAGPTNYMIWEEKVRKAAAAKGVSLNILPYSYLQH -RWMLLVTS - ->YP_009380518.1 polyprotein [Canine kobuvirus] -MAGRMESRSARSTVNSFYRLTYITATDYQDFLYLQSFPQMSYPLPKPSYFPCPYCHCDEEHGFLSPESLC -GEGFEPCHRCTNPLPRRKYNIVPPEDWLLDSNVQDWFEPPTFLSDFQQKVFDKIALLSLPGPFQAKTPEE -LAILGALKQLLKFPDLPPQRMPLAVNQLKPQGNSVTNIYGNGNNVTTDVGANGWTPTVSVGVGDAPVSAS -ADQLPGRPGGASSDKAHSSSSSKTTIETGNRVGSRFSKWWEPAAARALERATDAACDGIEGAGKLAGKAI -SRKLTGPAPASSTSTPQPGLAALNPSATQAGNAAILTGSTAPSFLAYPTASSVPLPNPDEPSMPGPSGDR -TWLLDTVTWEQSQEAGWNLAGNNGMLWGALDNPTFPISSSNNWGIEGSGSQAIYPTAYPLPFSFVRAYPD -SPWAAMYNTHSMWNCGWRVQVTVNGSQFHAGALILYLIPEAATKAIESARRNAGFVYPYVILNLYESNTA -TLEVPYISPTPNTSSGLHAPWTSYLQVLSPLNPPTGVPTSLSCSIYVTPIDSTFHGLRYVAPQHWKTRIV -PGAGAFGSAVAGQELPLCGVRAFYPPNDYIPARVHDWLEFAHRPGLMATLPWTMAEEAGDRLAILPVSPS -AIAGTGAPISYVLSLFSQWRGELAAHLLFTGSAQHYGRLVVCYTPAAPNPPQTMQDAMRGTYTVWDVNAA -STLEFTIPFISQSYWKTVDIFNPDALLSTTGYLSVWVLNPLTGPHSAPASALIQGFLSAGESFNVRFMQN -PALTSQANSEDLNAPQDTANIENGASDNTPQPRTTFEYTENSLPPDSNLENFFSFYRLLPLGSNTQPSIP -VPDGAVARLPLDPLNWQQSADVAGLTAMLSCFTYIAADLRFTLRISNPNGLPTSLLIAYAPPGATIPQSP -DRQTLSNFFMAETPIPMSDTTLISFSIPYTSPLSAIPTSYYGWEDWSGTNFGVLQAGSWGTLLFLPSYPQ -QIVQPQPLTITAWVAFGNFKGWVPRAPPPLPPLPTPETNPEKSVAVVKQGAHTSLGDVDPDDRVYIVRAD -RPTYVHWALRKVARDGSTKQISLSRDKTTAVVAYEPLEGELYQEVFPSAWTIAELQLGKPWEYSATHNCT -HFVQGATNVELPNTGFSLALGVGALALVAASAAGAVQALKGIKRQGLLTLTADADTNRTLTRISESVTQA -TQAVTNFDLQGPANSVTLAASDIREAATRVASSLDGFTDVLHDLKSSLFSRVSDAVEAGVVSFLTWLAKI -FGYLLVLFGSPTPMSISGLLVIICADLAPHARDFFAATGNVLSSLYYWIATKLGFSVTPEECEQATLEPQ -GLKDFNDGALAMRNVEWIGETAWKWAQRILTWIRGKAQTDPQAKLADAHDEIMMHYSDSILALGTEKPPV -EHITTAISRCRELVTIAQDAKSGPHSAFLNQALKNYTLALSQHRKRQVGPRPEPVVVYLYGPPGTGKSLL -ASLLAQTLAQRLSGNPDDVYSPTSASCEYFDGYNGQVVHFIDDIGQDPEGRDWANFPNLVSSAPYILPMA -SLEEKGTHYTSRVIVVTSNFHEPNERAARSMGALRRRVHLRINVTSNGVAFDPVNALNPIPNTTSKYFTA -QTPLTLFQANVVRLDRDSIWTPSFSNLDELIDAIMARMDRSTGVSNSLASLIKRQGRTITAEPREIPEAY -ADELVEALAHHKPISCSLPLSQAISNNTPLETITNTLWKYRKPIFVATTFLAVVGFLTSIIPLARSIWQQ -REDSKSETQAAYSGLPHQKAKPKSAKPIPTRHIQRQGLSPALPGISQNVVHVESGNGTSKAVMSGFYIFS -RYLLVPTHLREPDHTTLLVGTDAYDWATLQTLELGELTLVHTPTSRQYRDMRRFIGSFPYPTGVLVSQYK -AAPLYVRYSDNRVLDMDFPGAIVCKQAYGYRAATFEGLCGSPLVTDDPSGVKILGLHVAGVVGASGFSAP -LHTILPQITQFATTHQSLIVPTGEVKPGVNINRMSRLHPSPAYGAFPIKKEPAPLKRNDRRLNDGVNLDT -QLFLKHGKGDQTEPWPGLEAAADLYFSAFPTSLPVLTQEQAIMGTPNMEGLDMGQAAGFPWNTQGRSRRS -LFDEPEPGVFVPKPELQVEIDRTLEDPDYVYSTFLKDELRPTAKVKDGLTRIVEAAPIHAIVAGRMLLGG -LIDYMQGRPGEHGCAVGCNPDVHWTEFFYKFADFSQVYDLDYKCFDATLPSAAFSLVAERLERLTGDPRV -SKYIHSIRHSHHIYGNQMYDMVGGNPSGCVATSILNTIINNICVLSALIQHADFSPSKFQILAYGDDVIY -ATEPPIHPSFIRDFYQKYTPLVVTPANKGSDFPPTSTIYEVTFLKRWFVPDDIRPFFIHPVMDPDTYEQS -VMWLRDGDFQDVVTSLCHLAFHSGPKTYERWCMKVREQCLKSGFAPNFLPYSYLQLRWLNLLAA - ->YP_009362314.1 polyprotein [Harrier picornavirus 1] -MEDNTPLQAQAEDQLTKISRDVRETSETNLVASTPAAGIITNDSGFERVVNLDACLPTLVSSFTWTSTAA -IGDILTTWQPNNEIVGTATSNQSPIPLPHALVIQNSTGFGDVNAGNNRAVGIFGVEFVRHALYRTDYVVT -ISTNGTIFHSGSLMAVAVPLPHVWFQQLTGTNPDANRSWTMNDILSLNQLGIFPSARLLPRSNSSVSLSL -PHPGPMEHLPTSAMDLTWAVVVFVESKLKFPHTTVPSLNVLVEVTPQRAAFYGPRAPQQVYARLGSFRDQ -TTNPVPASEVESILEGRTNVNPGVGTFYTLSPQAIGNTYATTSRSLSFLPPRVESWHSVLSIPTLHSFFE -LSTTYQQGTPLFMMAVSPTANLIRNYQTNPTMGSIKVVVGNTFLANFVRYFTQWRGAIQYTLEHTGAAIT -AGRIILGYLPGARLPWKAGQEQPHIPTNLTAQLMNSPHIIWDLSNSTTATFTAPFAAGTTWANVTLPDYT -SQITTQSSSGVLAAAILQPIVTTAANAQSLDLLMHVSAGPDFRVRFPAPVPILNQPIFNGVLDQGPEVGN -LAPGERFVDEDDVMDLRNFFQQSRLYRTGITTAGGQGSITAVPLSLVTYAPSQTPATSRENAFGVFTSLF -TLIRGDLLITVTTELNTSMAVSYRPPGATLSVISNTATSALPKNEQDLFLAPTTITSLRADNTAVQIRVP -FPHFAPVWTTSNSRTARGDVRYKPETSQFDPGDLGTLFIAIRGQATTQTPFRVFLAIENGSFFIPRPFGP -TTTTQVQPQGLLDEILKEHTALELSAALFSTLFRDDCWQRFFDSVSLDSLPWEYPEGIEWDGEWIDGEWY -SLPLPGQLIASGQILRRWVTHDPTFTRAEYVKAVACQRLLAGVTDMMEFKFWAEIAATPRFEGGNGDVYY -YRVNRGTYMHYGIGCGEDTISLVQVGMRAVVSRTNESAFATFLGPLPRVAWLRATSMLGHDFGPYNLHRN -CSTFVEACGAPPVVNTGKWLFAGLAVAATGITIASLFQGQQPIEEVPKESYHPSPEHLWARKVLRTLRVE -TAVANRPVKYHPKRCVFYNKNNPFSRVVPAWFEGPISVNVVNPAIEASATMAATETATAMKALGDQVPIA -TAQLAQAAASVVETSNNMNQFISRLDAVIYSTVEGLKVTLPEALSEVATGVACQFASIVLKFISMALIIF -GNPNPSTIAGVLGLIIADLLVLSPVRRVVINTAKTLSAKMALFVSEVLNLGCPNHVPRFFDEVDTVVENF -DPDYQEYMNERREASFEGLDDFNKSIQAMKNVDWVVGKIKEIIEWAVNLIRHKQAANPKEFLAKNGNYIN -TLYKDSIQTASCQNVDRDLLKKRMEETKDLLDYAVIHNVPSATNILSRTLSNYNQTDRKLIASENNPRPE -PLVVYIHGVPGVGKSILSNVISSAYCHKHGLKLRDSVYSQPPSSEFFDGYTGQPVHVLDDFCQNTDGEDV -KTFCQMVSTVRFTPPMASLEEKGVNYCSKLILATSNMASPHSNEIRTPVALERRCYFKVKTILNPDFAAD -GVLDVHQAFQNVGPAKKPYFKYDTPYFNGSAVTFLLSVGGRYESQSLDAYQLMDRIFEELTRRETTSSEV -MQALFEAPGVLPHLCKDLKNCFENCRRIHFSNDSKVWHQDFPTVEDKNKFVRSHYPDTTGVKAIRTCPCS -DPYCGKIQLDDKPFIFPSRSIREAFLYEHGDLPREREPFFVPTVKEKCLYERVEQMETVTKFSIAATVLS -AIGSLVGLVVYFLRRKKDVEEQGPYSGLPKGKPAPTFRKIKHKTVSYEGGLPQIYSKINANCFSIHFVSA -NPYSLTALGLKENMFVTNHHALAGATKVECFGRSFDVEDLKPERLVRGEDPTDLVLCKLPIKSHTFKDIT -RFLPRRKDKYPVSEAILLSRTNSLPLNVSALDLNFGATTQVGDLTFPKVISYKATTMSGLCGSPLVTVLG -PRERILGIHFAGTGSVGFSCPLYYEDILPEFEGIVRKIATLEKPLHIPRRTTLRPSPAYGAFPIEKGPAP -LTNRDPRLDEGVNLDDSVFSKHAVIEMRTGREHRPIQGEQNYKFCEVNDSGWRNLEAGMEYVVSKIMKNL -GVSKFEPVSVLEAVNGYGFMDGMDMGQSPGYPRNAQGVSRRSCFDLTPEGWMPTEELMAEVDKALKDPSD -FYFSTFLKDELRGRDKIAVGGTRIVDGDALPRIIAMRVVFSQFFEKMLVKNGPEVFSAVGCNPDISWTLY -YHHFSRFKNCFDIDYKAFDSTQPKKAFELMAKALKPYFSVDPTPYIMSLACSKHIFGDTVYEMEGGMPSG -CVGTSIFNSINNSAFIASAILSMGMDPEDFSWICYGDDVIIGSDVDGLPQKIADFYHAETCLRITPASKS -GSFPEVSTLADVTFLKRGFQPDSSETHLIHPVMDQNALEQSVMWMTDGPFQQKFDSLCLLAYHGGRKAYE -EFVDAVVEKCRTRGEIVVAKPFSYLMTLWYSLFFR - ->YP_009290629.1 polyprotein [Rosavirus B] -MAGRNGSKTSAKHSVSGLPVTNAIASVLEPIPVVGQIAGAVQGLASSWLSSLTDGLLNSSAFEIGQSTAT -SDRLLEVSVGSTSMSSQDAVTVRDGWPGDGQIPRPLPPPVDKPTPLGPAGERLRTLAVADWNARLGFNNP -LLYAYLPNDLTKDSSTDPSNLFHPTADRHALMRSDILVVVTVNANPFTSGLLLVVAVPNCPPTRWDQLVI -NPQSNEHNWIPAYFSRQQLTLFPHQFINLKTNNQATLVLPYVGMAPVMAHHHRYMYRLFVFEWSQLYRTP -YTSNTPVTVTLQAAVTNAEFYGLNTHRQLVTLFQNDTRLSQNQNAIVSTHPLTDCVVLAQGAQALMDTSY -LPGRVTSFSQPLSVPTLMAITQEGWGGILVTPNYTKGTFLYGFPVDLKNVVFMKTYIGEMANLFSQWTGT -INFHLMAVTTAMTRGRIAVCFSPGDTTEPKTMEQAMAGTVAFFDIGLNSTFTFPIPFISETVWRTLAEQA -TQRNQQFSYYPPTSRMGVVTVWLYNQIQSTAPGDTAPVVLLPFASAGSDFSFRLPTQNALGVPPYAPQQN -WYGAVRYNYQLSGRPPTPPPGPDTVRFENGEKEGVVIHMGDSDAAQADAQPTQADVASQPACYGNLEPGQ -PVQVTPADAWEGVTGFVSHSSPDMLLENFLGRRRLYATIGIPDNTSDVNSWYAPIPIQIAWQRGEDFPTS -ARNIQHMFAFWQVDVRVDLQFQFHSEPNWQTIATIANIPPGADPYLDLAYMDQIAVQSRIYDFPFVTAPL -SRSNNTISVVIPWQSPYNALAPWLGGWSNVANVYDDTAENQIQQSKGAGWGVVPFRELCYLGVLLSPSHS -CTVNVFVSYHNVQCFIPQPFPQYGLWTAFPDGTQGVNRVSTGEVVLHSLQQPFSLNNGKYKVVNGQTQYL -FPVPVDKFVPLSVETRTRPSARDLSTEVVDELTRFCIRDDPDDERPARFESGELEWDDRYDSFDDYPHDW -SWAAQPPRPYVAKRNWAGTSLTHWMIVCGEKECSLEQDGFDAVVRVKPLQAPCEFVESVPQCVWSQCLFL -SKINYKFPNYHVLHNCTDFVENLTGVKCENSGKRVLASLALVGALSCAAAVAVSFEAPPREKTRSPRVYH -KMQRCPALAEQPRITEDTVDGKLAVRLAAFGVEGEVKSEWEPRNWIPRRRRTMSGETCSWLPVREEGGPL -KSLEQAGDQLASTLKKLDSALTPENVEILVNSAKTIGCASSSVDNLAAQVSRMLDKLPTVVSQTQKFVAK -KCASVLLKLVGLLLVIFSSPNPLTIAGVLAMLLGEAVDAASPDWAGSIKRWFCKKLKIPLSVMDYAPPCP -TLPLLDESQANVQQESPKAQSTGEKIVDMAKDFNVMTLSARNVEWILDKLKEFVEWLLATFTNWKKDAPE -AQFMGQRHKIFELFADSVHAMDGQNINIGNVQKNKELTQKLMDLCSKVRDVTCLQILQRTYSNYCAVERK -ARQAQYSDRAEPVVVYLYGEPGCGKSLLSTILAKGFCKALGLDPKYQIYSQIPNSDFMDGYTGQAIHIID -DLGQDPEGKDWQNFCQMVSTVKFLPNMADLEQKGIPYSSKIVIATSNFSDPTYCSARAPAALVRRIRFPV -NVKVRHDTRLNARHALTPTGPCAHPCFRALCPLMDGAVSMILTRDAQKQISFFDLFDAVLTEVHNRAGVH -DQISQICFENPIVREWEVEPDTFTLNLQDLEKANFRAIDPTCEPEPIRLPETVSELKLPWFTKLLNPDPN -QTWVTVAKVAAVLSLVTSVLGVGFIIYKAVSSKESEEGAYSGLKKAAKKAPKPPALSPPKVQYEGLPQIY -NPVSKNCFPIQFFDREPQMGCGWYTLTALGVFDRTYVCNAHGFRYNKYIQIRGRQYAIEDLKMRRVERNG -HKTDVMIFSLPDGPCVKNILKFLRKSPDLAASRSPAVISVRSKYNLEVLATGIQDFGSLDMSGEQLFGVL -RYRAVTAPGYCGAPLISYDPSHEQVLGIHMASNGAGIAYGSSIFQSDFAEAKAEGLREYAGPGLKVHVPT -HSKLHPSPAYGAFPVDKEPAVLTQRDERLLNVKLDDVLFSKYKSDMKEPFPGLEIGREIVRNRLKALIPN -PLPQITLQEAIDGIDGMDGIDMNQSPGVPYIAEGISRRSLFTLVDDHWVPCERLAKDVAACSVDPQLGHF -ATFLKDELRTKQKVQDGKTRVVEAGNLAHVLVGRKIFGNLFALFNSNPGFQTMCGVGGDPDTLWTELYHP -LSQKRFVFDYDYSGFDGSVPTCAFDALADVLKDFVEGEEDVRKYIHSISQSFHHYKGKLWRLDGAMPSGC -CGTSVFNSLINAMLLFSCFSQICPDFQSDEPLIIAYGDDVLVGTNQDLLPSKVAAWVNKNTTFCITPADK -GDTFNDETDIHQCQFLKRHFTPDPDFPHLIHPTIEPATYEQSVMWQRTGDFQETINSLAQLVWHRGPYTY -RKWCNAIAAKCDEGGHQIPYFPPFSLLRHNWLMKFEVMVPA - ->YP_009290630.1 polyprotein [Rosavirus C] -MAGKNGKRSENPSHDVSGLPVTGAIASVLRPIPVVGQIAGALQGLASSWLSSATDGLLNDSGFEIGNVAG -TSDRLLEVAVGTTTLSSQEAVTVRQGYPSDPYPIPNDSKPPVDTPTLLGPAGERLHQITVFNWQDGYYSP -AYAQASVRLPRDLMKDSADNPSNLFYPTAQRHHLHTSDFIVTVTVNANPFTGGCLLVVAFPNYPHIPGEP -LGALRCANGKPGFFVRHQLTLFPHQFINLKTNNQATLILPYHGPTPVSPQHSRDTWTVVVYVWSRLYLTP -YTSTNPVTVSVMAAATNVAFYGLAPRRDVVPEGDFRIAQNQNAIVSTHPLSDCVVLAQGAQCALDTSYLP -GGVNSFAQPLSVPTLMLGTSKEWGGIYITPTTARGTMIYGFPVDPRNVVFSKTYLGMTSKLFTQWTGTIN -FHLMAVTTQMTRARLVICFTPGATTEPTTMEQAMAGTVSFFDIGLNSTFTFPIPFISETVWRTLSDDETF -RDQNYSFYPLTSRMGVVTVWVYNVVQSTAPSTAGPIALLPFASAGADFSFRLPRSIEIGVKPYKRQVAVT -NSITFSIKSTTDGSTPLPASNNPFSFPAPPFPELPICAQGARFENGPEEQSPVIRMGESDAASAGEQSAQ -APVDAQPACYGNLEPGQPTQVQARDAWEGVDGVCTHTSPDMLFQNYFARKRLYAAYEIPRGADAYYLPIP -ISCFWSREENFPYPARFLQRLCMYMQADVRVDLQIQSYGVPDWYSQITVANIPPGADTRLGWSYNADNSA -VLSRLFDFPFTTQPLSRENNTVSVMIPYQSPYNALCPWRSGCGVVGVNFTDTPVGIPPVSGRRWNYLPFN -EFCTLGVVVSPSFHSCLNVFVSYHNVVLNMPLPYPQLGMWCDEPPEAVEESDSNGPYLWSVTQPFLRCNG -RVSQDGAIWYDQNPSEYIPNMIEASTASRDLGGLATVRLSEECEDEAACFEAGELEWDYSPFPDHRWSMD -TSVWISKRKWAGTPYTHWMITRGDEECSLEKDGFDAVVVMRSSEEPYERVHQVSPLVWSHCLILSRMKYV -FRNYNILNNCTHFVECVSGVECENDGKKMLASLGLIGTLGCAIAVAAAFESPPREKTRAPRVFHTLTKCP -DLAEQPSICDEPDGRVAIKAKAFGASVSGSYEWLPKHWTKATKNKCGYNWLKADLEKGPFENMSAASKQL -EKTLKSIDGALTQENVEMLVASANAFGRASSSIDNLAATLTDMVKKVPDFVPTTQKKIAQKVASVLLKLV -GLLVILFSSPTPLTLAGVVAILLGEAVDAASTDWATNLKNWIVRKLGLPASVMKYAGEMPKIFPDDAPDP -TQSPTPTDGAPVIRMEKGDCCSSSSSAIETAKDFNTITTSMRNAEWIISKTKEFITWLLDTFTNWKQNSP -EARAHQSRGEIFEIFADSVHSLDAQSVDLDQLEKNKKKAQSLMALCGEVKDVTSLQLLQRAYTNYCATER -RVRQSQYSDRAEPVVVYFHGKPGCGKSLLSSILAKGLCKALGLDPKTQIYSQIPNSDFMDGYCGQAIHII -DDLGQDPEGKDWQNFCQMVSTVKFLPNMADLDQKGIPYKSKVIIATSNFPDPTFQSARDVAALKRRLAFP -VGVATQNDRRLNAKDALAPIGPSPSPYFKATCHLLEGTAVTLTLTKDKQKQVNFFDLFNDVLQEVDARQR -VHAVISDICFENSEWETSEVTPETLSAARYSATQSCVTLEPMRLPRNREELKAPWFTKLLNPTGNKTWDT -VARIAMVLSIVASITTFGVMLYKCTGTAADAEGAYSGMKKAAVKQKPQRLSPPTVKFEGLPQIYAPVQKN -CFPIQFFDAHPDDLQGFFTLTAVGTYDRTYITNYHGFEKAKWIQLRGVQYPVDQLRVRRVERNGSPTDVA -VFTVPSGPCVKNILKFFRKGPEDPTPRAPAVLTVRGKMNLDVLASGVQAFDALQMQEGWYHGILRYRAVT -APGFCGAPLISYNPSHEVILGIHMASNGSGIAYGSAVYQSDIKPVAKEGLRSFIGKGLRAHVPTTTKLRP -SPAWGAFPVTKEPAVLHYTDERLVDVDNLDGVLFSKYKQDMVKPFPGLEIGKQIVRNRLRKLIPTKLQQI -TVHDAINGIEGMDGIDMNQSPGVPYISEGVSRRSLFELVGEHWVMGPRLTEDYARVSNDPKLGHFATFLK -DELRDTKKVAQGKTRLVEAGNLAHVLLGRKIFGNLFAVFNSNPGFDTMCAVGCDPDVHWTQFYHPLAAKT -RVFDYDYSGFDGSVPSCAFDALADVLADLVEGEEEVRAYIDSLKSSFHHYKGKLWRLDGAMPSGCCGTSV -FNSIINAMLLFSCFSQILPDFDSSEPLLIAYGDDVLVGTNQELPPSRVAAWVNSETTFQITPANKGSVFN -DETDIHSVQFLKRFFTPDPHFPHLIHPTIDKATFEQSIMWQRCGDFQETLNSLALLAWHHGPKSYKAWAD -AIVRKCLDDGNPPPYIPPFPLLRHNWLMKFEIETFV - ->YP_009214667.1 polyprotein [Gallivirus Pf-CHK1/GV] -MECFKKIFDEVACESGFSGIDDPAIDQPLANRLGCTVSRQRRLQLARRILFYAPGSGKSQKKTYLHSSLP -KSQYDPDAPCPFPYLDPPPTPAPPPEPLDLSDCELDFSGCMLNDDLEHYYRNGSLPFGSALTLEQIQDFY -YGADPVSHGNITYNVSGSNNSFDTSQGLSASGSLAASGGDATAVSTPPVQDNTARTAPVRPPRTAPSVDI -DVISNTRSRDHELPPSTDRPSANPASILQSSTQRVRTNAMASDVKAEETGYVSFDTMAYACRSSPWPDPP -VYDPPKLGPAIVGGPLADSLQHVANFEWTGAHEPGQVITITGGFPFVQDNSANSTAQVNPPFPLGKDNSA -QSGPVISLPSAIVSSNPNCVFSRLYDSNRFYQRGFTIQVSVNANPGMSGIVKVTAIPSAASAYDNLNGFS -TPAVLLNLSEANTATITLPPFFPRGAGITGVEDSWSLVVTSVTQLRLGLMAGALNVNIAVAPRNSRFWLT -ANPSSQGLVTMPAAGSGSDYSYSAQLKDFHPVTVTPPPLPYLEHLPGEVKSFDEIARIPSFFQRVDIEDN -TVGAKVAVIPISGAEILSHTGPLSTAMRAFSQWRGDLIVDLVASVSQNCTGRMMVAYTPPGFEVPDSMGS -VSPSAKHLWDITSCSSISILIPNCFPGGWCPSLPHNNPANYIASLLGFVSIWVENPMLDLPQSAEGWSIV -AFIRAGESFELRGCSPQLYLSETQAPAQETAAAMAAAVAQPQGDEELKDSNLRTYLAYNILSNTTQTIAN -TPSSTACYVDLKSNAVNVTMLDGETFLYGNRVYASYTHAGIAQSQRGSNQAWSLSSMSWICRNFKAFSCD -LAVRISILPQFTGENNNARPFKLRWSYLPPGAAQCWVSAATAAPYSGFALSDGQSPIHIPLFVPNMLPRN -VFQMWPASFPKYNSTGESYQRAFGVDMDNFGSFQFAIELVNPPTSESTQNVIRAWMDINIGAINFRGFGF -IPQYSPAKTTTLFYPVPRLVNNSRIPAKRQNGVIENDDDSPVYVIRDPKVTYVHWAIRKGDQQISLTKRG -IQAVVSYEPVSGEIWATATDQAWVMAKQLIGSPLPYHAFQNCTHFVSSLTGYNLQNSGFGLALGLGAAAA -ATASVGVAKTLLDAHFRHLPKRQGPLDKTVEASENIMKAATIVNGAAACLESSVKKIQPSMDKLESAASG -IAKSINRVCDIGDKLVPAAKTVIGEAGGIVGKFLMWITKIIGYIMIIFGSPTPLSNAGLITVIAADLAPG -LVEIASNTSPIQSLVAWISSKLGIKVKASEIREVFSDDEPEETQTPPPTNPDAEPRVEPSAPPAPEPQGV -KDYNDWMNAFKNTDWMIEKVFKLVEKILKWLGVKIRDDPATHLADKEDAIFTLYTDSTAAVSHPSPNLTA -IRSNIAAGQKLLQVASQAKSPVHCQMVTQALRNYSSRLTSLTNNTPTPRPEPVVIYLYGPPGSGKSLMAT -LLASTLANELSGDPDDVFVHPSGGYAYFDGYHGQSVHLIDDIGQDPQGEDWKHFPQMVSTSPFKVPMAAL -EDKGVLYTSRVIICTSNFEKPTRAAVRCAAALDRRLSIILRCEPLANGERLVVEDALAADGPATRHFAAD -CPFLRFECCRLTIDPKNVGARIEGRFAHLDDLVDEIELLICSKKNNLDCFSHLIKPRKPVAAQLPPMVNG -VAPTVPPQLPQLPPGPPPKPQGKLACTFHNPPFDSEDDGYEEIEAFSSTPYEVQVAISQNQDISVIKKLW -QWRKPIFVGTAVLSVITSLAVLISLTYSYFKERRQGAYTGTPAVKSKTPEPAPRKNPPRARRQGIVGYNP -TIVNNVVGGCSSDGDKLSTFSAIGVGQRFFVTADHVVLKDAAQLTIGQKSYPARKIFTFKELCMLEAPDA -PQMKCLDRFIKDCNAKAGYLVASFPRGPGFIQVSEASYVVSDCPEITAAECYHYKCVSFPGLCGAPLVLS -TPAGPRLVGVHVAGVAGVTGYADPLVDFMDAFRQANPQSVIVDIPMPGPAPHIPRRSKLTHSPAWGAFEP -TKEPAALLNHDRRLPPGVTVDEVAFSKQNKGDVIEPWPGLSEAADLYFSQCNFPHFKTLSMIDAINGIEG -LDGVDMTQSAGYPWSLTTSRRELFILNGDGKYVPKPELEEKILKTLRSPDYFYTTFLKDELRLTAKARAG -KTRLVEAAPIHAIIAGRMVFGEVFALFHSNPGKFGSAVGCDPDFHWTVFAQSFKRFRNVWSLDYSCFDST -LPSVCFNLIASRLASLIDVDEEIMSPNVVLHYLNTIRSSKHVFGDRAYVMIGGMPSGAVGTSIFNSMINN -ISVLSALISRPDFVPTPANYQILAYGDDVLYACSPDFHPRDLKAFYDKYTPYVVTPASKEGDFPESSSLA -DVTFLKRWFVPDETIPFYYHPVIEPDTYEQSVMWSRGGDFQDTVTSLCFLAHHAGPSNYSAWCCAVRDAC -IRNGYAPPIFLDYSYLQMRWMQVVSG - ->YP_009165595.1 polyprotein [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -MPFIFTPPTTLDQCFCQEHGLDYPLPRPSYFWECEMCCHKPLPTCYSLCPTHAPTEPKSPPTPPEDILYD -SNWPQDVLHPNWEPPKYWPDWVYNALDQIALKTLPGPHQSPLTASEKLFLQMLELGIHPNFIHSPRHTPS -PGDGVVRQGQSINNIYGNGNTVTSDVGANGWKPTVNTGLGDGPVTSSSDQIPGQPGGSSTSKTQKPAADG -GSSTVRVHNYNKWWEPIAQKALSRGVDHALDGIEHAGKLAGKAIKSKLETGAQDTRLLAMPGNLGVPAQN -TTQSGNFAIQSGSGYPTVVAYPPTPCVPLPNPDLPSFPGPAGDRTWLLDTFLWPQDAVQRQFLTGVNGMT -WDALTGGLTFPFSTDSNWNTQALGGGGYPLPASFVHAYPDSAWAGMYNTNALYNCGWDFTVVVNGSQFHG -GSLAIFAIPESFDQTLTNTRIYGAFTYPYAILNLFQSNAVTLSVPYIGPAPNAYSGLHCSWTFVISVLTP -LQVPASASTQLAVSVYVSPTNSSFHGLRMVAKQHWKIRAVPGGGAFGSVVADQEIPIYGVTPYDPPSEYL -AGRVHDWIEFAHRPGIYDIYSWTMADDQGTLIAQSPIDSLVLSALGIPIAFVTSLFTQWRGPLHLSLLYT -GSSQTYGRLLVAYSPPGTSAPTNMQDASRGTTTIWDINGSSTLDFTIPFISATYWKVNNLSTPSSLLGFL -GTVSIFVMGPLTGPANAPPSGAIVAFLSAADGFGLRGIAMPSLALQPQAGTDLDAQTSQSTIENGAPTSA -PEERTTFQYVDAPVPPDTNLAAYFSFYRPIFISGNSYSVQLLSSIQVMELNPVDWIRNAGPGDTLPNLLS -CFTYIRGDPRLSFRISNPASFAANITFFFIPPGGAVPSGAFTNIQLEDNYHVRCSVDPVSEQTVCLSIPY -CNPLSAIPTSFMGFADFQGGNDIVNTTFGTVVITVEFQGSVPAASYPTIYAGLAFGNFAAWVPRSPPTTA -SAPSTASLAFTLHGEEEEAPPRRDPRPTALELFQKHKATHPRLPIALARRQGGVHSQDDLPPLTSPYVRV -YIVRCQRPTYVHWAIRAVHPDGAEQQISLSRNGLHAVIAYEEPEGELREEVPPGCWTTAVSLVGEPWEYN -AEHNCTHLIQNITGVPLPNTGISLIFGIGALALVAAGTAQALKGAFVQRQGDSDTSDAETSGSQTPTPRP -RRSLMARARSALSGVARLPEAVRETAQAINDADTYRTSMNLTLAASDMREAASRVSNSIDGFTNFVRDYA -ATFQSTVQDTLSNGIATFLQWVARLFGYLLILFGSPTPMTIAGLLIVLAADFSPEVVSFFQASGNGLGAV -FYWIASKLGLSVTPEEATSVATERQGLTEFNTFSTSVRNVEYLATKAWEWTSKLLKWIQGKAETDPQTKL -AKVADEITRLYTDSILLLGNEKAPIDTIVEAIRRCHELATVAADAKSAPHSAYVQQALKNFTLALNKAKA -EAKGPRPEPFVVYVYGPPGSGKSLFASILSSVLAKQLSGDANDVYSPSSPDCEFYDGYRGQSVHFIDDIG -QDTEARDWKNFPQLVCTAPFILPMASIEEKGTLYSSRVIVVTSNFDRSNDRAARCPAALERRLHLRINIS -PRKKGGPFKPEDALRDTLCPNHSPYLTHHCKFSDLSCFDLSVDPRSIHAPLTPFTTFDEIVDHVLRRVDR -NAGISDRVQSIVRQGDKVVEATPTELPFEDALQFVASAKPIPVVPPLAAAIARNEPLTVCDAIWKYRKPI -FATTTFFTVLGFLATIIGFAYRLWKSRGADQELNGEQGAYSGLPQVARAKRQVKKPVPAPRTAGPVQRQG -GLTPCMPNLHKNVVSLIGHAPTERRYASGLFLFDRFCVVPTHIVTNCHHIQLDDDVYDVKELPEPLILGP -ELLLYHVPKARQYKDIRRYIGIYPYSTGFLLSKHRGNATYVRFSKNHTAPLWISGVQIEERVYGYDCCTF -AGLCGAPMVTDDPSGSKILGVHVAGIPGSTGFSIPLHPFTEQIAAYATKSQSLIVPRGSLKHEGLPGVNI -NRKSRLRPSPAYGAFPVKKEPAPLTRSDPRLNPGINLDQQMFSKHGLGDQVQPWPCMKEAVKLYTSFLPK -KIRTLTQFEAIHGTTNMEALDMGQAAGYPWNTMGRSRRSLFEEVSPGIYEPKPELQDAIDRCLEDPEYIY -STFLKDELRPTAKVQDGFTRLVECAPIHAVIAGRMLLGGIIDYYQGRPGQHGSAVGCNPDLHWTQFFYKF -APYQEVWDLDYKCFDATLPSCLLAAYADWVGEVTGDVRASQYVHSIRYSHHVYGSDLYDMIGGNPSGCVG -TSIMNSWCNNVAVISSLMYCSEDFNPRAFEILTYGDDVLYACEPPVHPSKIRDFYREHTTLIVTPASKES -DFPATSTIYDVTFLKRWFVPDDIRPMYIHPVMQPDTYEQSIMWLRDGDFQAVVDSLSYLAFHSGPLTYQR -WCQKVADQAAKHGVYPHFLPFEYLQMRWLNLVST - ->YP_009165594.1 polyprotein [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -MPSYKTCLTSSEALWLQERPFHFSLPKPPSLHDCPECVAIFGGALDSPSFPSRCPTHRPRVPRTPPPPED -ILYDSNWPEDVLHPNWEKPTYYPDWIQREFDRICAKTLPGPLFSPLTKAERIFLQCLDLGVHPNFIVPPR -PTDSVVRQGSSQTNIYGNGNNVTTDLGANGWSPTVNTGLGDGPVTSSQDEIPGQRGGSSTSKTQTPAASG -GSNTVKVHNYQKWWEPIAQKAAERGLDHALNGIESAGKLAKDAIKSRISSNGSQDTRLLALPGSALSTPA -PNTVQSGNFAIQSSSGYPTVVAYPPTPCVPLPNPDLPSYPGPAGDRTWLLDTFTWDTSSGPRQFLGGSNS -MTWNALNGGLSFPFSDADNWNSSGNSTGGAGYPLPASFVQAYPDSAWAAMYNTNALWNCGWQVTVVVNGS -QFHGGSLTIFAIPEGFDSTLSQSRFYGAYTYPYVILNLFSGNAVTLDLPYIGPAPNTFSGLHCPWTIVVS -VLTPLQPPTNGSNSLGVSIYVSPVNSSFHGLRMVARQHWKTRAVPGSGAFGSVVADQEIPIYGVCPFSPP -VDYLPGEVTDWIEFCHRPGIFNIYTWTAAEGTGDLIAQETIDSIALSALGIPIAFVTSMFTQWRGPLHLS -LLFTGSAQHYGRLLVAYSPPGTRAPASLEDAARGTSTVWDINGVSTLDFTIPFISATYWKVNNLATPNSL -LCQLGTISVYVMQPLTGPSTTSPSASVVAFLSAGDGYNVRGINMPSLALQPQAGEDLDAPTSTSSIESGA -PVSAPETRTTFEYKDAPRPPDSMLANFFSFYRPIFVSGSNYSIQLTSGIQIMELNPVSWISNAGPGDTLP -LLLSCFTYIRGDPRVAIRVSNPAAYAAYLSFFFIPPGGAVPNTNFTNIQLGDCYNVRATVGPTAEETICL -SIPYANPLSAIPTSFMGFADFTGGTDVVNTTFGTLVITVTFQGNVDTSQYPTIYPSIAFGNFRAWVPRAP -PTTASAPSTTSSAFSDPRGTALEYYRLHVATHPQLPIALSRRQCQDRECPSLLNPDSRIYIVKAQRPTYV -HWAIRAIHPDGSSEQISLSRSGIGCVIAYEECDGEPYQEVSPGCWVTATCLVGEPWEYNAENNCTTFVSN -VTGVSLPNTGYSLVFGVFALSAVSLVAAQALQGRQAQRQGDSDSSTSFVSARPTPAPRSLHNTLFSTSES -DRPKPRPRRQTRRCRRPVTDSDSEEPGFFSYPKVIKDAAASARYVADTAKELELKATSNNLMLCSSDLRC -AADKVSASIDGFRDFLGTWSSSFKENVGDAVSSGIVTFLKWVAKAFGYLLVIFGSPTPMSLAGVILLLCA -DLSPDIAGYFSKVPNAIGALYFWIASKLGLTVSARECAEQGAMHQGGLGDFNTFANAVKNMEYLASKSWE -WTAKLIDWIQGKVKTDPALKLADAHDEILRLYRESIEALAAAPPNAPAAADSISRLQELAKIAADAKSGP -HSTYIQQSIKNFTSVLTKAGKRYSGNRPEPFVVYLTGPPGCGKSLLASILSATLAKELGGSVDDVYAPAA -ADCEFYDGYTGQSVHFIDDIGQDPEGKDWKNFPNLVCSAPFILPMAAIEDKGTYYTSRVIVCTSNFDRAN -DRAARCMPALERRLHLRIQVRAKGGKVDPQEVLRDTGLPAPKYLTHHCKLADLSCYEFVVERNSIHKPAD -GRFSCYDDLVDFILSRVQRNTSTSDMVRNIVRQGERTITRTPEHASYHTVIHDLLTSSHVSVPADLGRAI -HSNQPLSVCDKIWQYRKPIFATTTFISVCSFLATLLYMAYRLWKSRHQEDGVEQAAYAGLPNLYRKGKQQ -RPTPKPRSTKGPVVRQGGVTPAMPNIHRNVLPIAARLPGAVNHSSAFVLFSRFVIIPTHIVADADQIQLG -NDVFDVKTLPDPLDLGPELQLWYFPTLRQYKDMRRFIGSHPHKTGCLLSAHGNNYTYVRFSNCRRGPLVI -GGEHVQDGAYVYNAATFGGLCGAPLVTDDPAGTSILGIHVAGIPGCTGFSVPLHLYTEQIADYATQHQSI -IVPRGNLKHEGLPGVNINRKTRLRPSPAYGAFPVTKGPAALRRSDPRLEEGVDLDAQLFLKHDKGDQIKP -WPCLEEAIALYTSTLPTSFRTLTQEEAIHGTPSMEGLDMNQAAGYPWNTMGRSRRSLFDEPTPGIYVPKP -ELQDAIDQCLEDPEYIYSTFLKDELRPLAKVEKGLTRAVECAPIHAVIAGRMLLGGIIEHYQANPGEYGS -AVGCNPDYHWTPFFYKFAQYENVWDLDYKCFDATIPSVLLSAYADWVHKVTGDLRARQYVESIRYSRHVY -GSELYDMIGGNPSGCVGTSIMNSWCNNVAVLSALLYCSGSDFNPRAYEILCYGDDVLYACQPNVHPRDIK -AFYDKYTTFIVTPASKTSDFPDSSTIYDVTFLKRWFVPDDIRGMYIHPVMTPETYEQSVMWMKDGDFQCI -VDSLSYLAFHSGPKTYKAWCQKVGDQARLHGVDVHFLPYEFLQAKWVNLVSA - ->YP_009138081.1 polyprotein [Porcine kobuvirus] -MASSILVSDSVFAPILTHKLSRRIRRHPVAWHPRENSRLSYITAMEELDACYINEQHRLFPLPRPSHWYN -CLYCQHPSEDGDTLMSLACEYAEDCPFHQSIIHPSKPVQKDPEDILEDSEWPDNILHPEPPSFTTDAEQN -WLDRLASASLPGPTQVRPLSKFFAENPPPASLAGKINLSDFSDLSLTTPCQIVRQGNSTTNIYGSNNNVT -TDVGANGWTPTVNTGLGDGPVSSSADDLAGRSGGSSTASKDKSTSSKVKVHNFNHWWEPAAAKALSRGVD -KALDGIEGAGKLASSAIKSKLSGARPGPSPNLIALNPSATQSGNAMITTGSTAPVVCAYPPTPSVPLPNP -DAPSVPGPSGDRTWLLDSLEWTTENPQLWNLAGPNGMQYSALQNLTFPVSNPVNWGTQDNSPATAYPLPF -SFVHAYPDCPWTAMHDTHSMWNCGWRVQVVVNGSQFHAGCLALVMVPEYCAGELAQGRLNAIFTFPYALL -NLYQGNTASIEVPYIGPTPNSSTCVRAPWTFYLMVLTPLSVPTGSPTSLSVSIYVTPINTSFHGLRQVQK -QHWKIRSLPGAGAFGNVVAGQEIPIYAMESFRPPVDYLPAKVTDWLEFAHRPGLFESYTWTMAETTGEKV -AEAVIEPVLLAATGTPIPFVTNLYAQWRGELQLSLLFTGSAQHFGRLAICFTPAAATPPTSLQQALRGTY -TVWDINSSSTLDFTIPFISQSYWKVTNMANQNSLLASLGTVSIWVMNPLTGPSSAPPSAVIQAFVSAGES -FTLRGIQAPGFQIQAADDDSAPPPVSNIESGSATTEPEPRTTFSYTDNPTPPDTNLQRFFSIYRPIFVNG -EDYSVGFTAEAGYIFPLNPVDWVANAGPGDTLPLLLSCFTYFTADPRVALTFSNPAPYAACITIYFAPPG -SSPQSNETPGSSMGCYCSIQTSVPPTSEATIPISIPYASPLSAIPLSFFGFSDFAGGHDVVNTTFGTLYI -RAAFQGDAPASTYITMTAQIAFGNFCGYVPRAPPPLGTSPTPAAAVVRPKGRSPIKRQCLTTDVPAPTLC -PDVRVYIVKVQRMTYTHWALRAVHSDRTEQISLSRLGLNAYVAYEDPQGVVYQEVEPCHWMVAVAMLGTR -WDYSATNNCTHFVSNITGVELPNTGFSLLLGLGALALLSGCAAVQASKGRVTRQGLLSLEAPPEVVQAAN -RVADSIETTASAVREADLARSTLNISMAASDIRVAATQVSSSLNGFTDMLSSFSKTFTQGASKMLSDGIS -TFLTWVAKVFGYLLILFGSPTPMSIAGLLVIICADLAPQASEYFHSCSSVLGSLYYWIATKLGLSVTPEE -AHAATVGHQGVRDYNDAVNAVKNTEWLMDTCWRWAERVLTWIRGKAKTDPQTVLADAHDEILRHYSESIA -ALSSERTPVSAITDAITRCRELTKVAADAKSAPHSSFLSQALRNYQLALNSARMAQSGPRPEPVVIYLYG -PPGTGKSLLASLLARVLAQKLSGNPDDVYSPSSASCEYFDGYTGQVVHFIDDLGQDPEGRDWANFPNLIS -SAPFIVPMANLEAKGTHYTSQVVVVTSNFAGPNERAARSIGALRRRMHLRINVDRLDGKPFDPVEALKPL -NQPSKYLTSQTELSLFKSFKLTVAVDSLWQPSFTDFDSLVDAIVARLDRSTGVSDLLVSLVKRQGLAIEA -EPTELSYQDALEMLADSRPVSTTLSFERAVAQNAPLSVVNQLWNYRKPIFACTTFLTVIGFVLTIIAVAR -TLWKAKGDAPDKTQGAYSGLPRLKRQEKMRPSHPPPPPPSQSRSVVRQSLSPALPKIADNVYPISTNSPT -QGRNASCGFFLFSRFFLAPTHIIPDDTDRISIGPDTFDWATLQHRRLGKELTIIHFPTIRQHRDLRRFIG -YHPYPTGHLISTLSGPPVYLRFSKNRMVTLDLPGVVEEPTAYGYKAPTFQGLCGAPLITDDPAGVKLLGL -HVAGVTGCSGFSIPISTYLLEIEQFAIDQQSIIIPGPNIVPGVNVNRKSKLGRSPAFGAFPVKKQPAVLT -QKDDRLEEGIRLDDQLFLKHNKGGMDEPWPGLEAAADLYFSKFPTMIHTLTMEEAINGTPNLEGIDMNQA -AGYPWNTMGRSRRSLFVQQNGIWLPLPELEVEINKTLEDPYYFYSTFLKDELRPTSKVTLGLTRVVEAAP -IHAIIAGRMLLGGLIEYMQANPGKHGSAVGCNPDLHWTKFFFKFCHYPQVFDLDYKCFDATLPSCAFRIV -EKHLERLIGDERVTRYIETIRHSRHVFGNETYEMIGGNPSGCVGTSIVNTIINNICVLSALIQHPDFSPE -SFRILAYGDDVIYGCDPPIHPSFIKEFYDKHTPLVVTPANKTDTFPENSTIYDVTFLKRWFVPDDIRPFY -IHPVMDPDTYEQSVMWLRDGDFQDLVTSLCYLAFHSGPKTHDRWCTRVRDQVMKTTGFPPTFLPYSYLQT -RWLNLLAA - ->YP_009067077.1 polyprotein [Salivirus FHB] -MEGSNGFSSSLAGLSSSRSSLRLLTSLLSLPPPNPDARRHSGWYRSPPTLPVNVYLNEQFDNLCLGALRY -PGHKMYPSVYTLFPDVSPLKIPQSIPAFAHLVQRQGLKRQGNSTTNIYGNGNNVTTDVGANGMSLPVAVG -DMPTASSSEAPIGSNRGGSSSSPKSSSDGNVVRGSRYSKWWEPAAARALDRALDHAVDATDSVAGAVSGG -IKAGARKLTNKFSGAQTTRLLALPGNIAGGAPSSAVNANNTTISSQALLPVVDPYPATSAVSLPNPDAPT -EVGPAADRQWLIDTLTWSESQGALQVFSGPKALSPGVYPPTLEPNTGVYPLPAALCTAHPDCVFAAAYNT -HAYFNCGFDVTVVVNASQFHGGSLIVLAMAEGLGDITPADSSTWFNFPHAIINLANSNSATLKLPYIGIT -PNTSTEALHNYWTILFAPLTPLAVPTGAPTSVKVSLFVAPSASAFYGLRFPVPYPTPQHWKTRVVPGAST -YGSVVAGQEIPLVGYAPAAPPRNYLPGRVHNWLEYAARHSWERNVLWTAAEEVGAQLVTYPIQPQTIAGT -QTNTAFVLSLYSQWRGSLQISLIFTGPAQCYGRLLLAYTPPSANPPTTIEEANNGTYDVWDVNGDSTYTF -TVPFCSQSYWKTVDIGSSSGLVSNNGYFTVFVMNPLVTPGPSPPSATVAAFLHVAEDFDVRLPQAPALGF -QSGADGAEVQPAPTSDLSDGNPETDPAPRDNFDYPHHPVDPSTDLAFYFSQYRWFGLNEDLTPLVMTGGL -FYHVSLNPINFQQSSLLSVLGAFTYIYANLSLNLTISAPNESASFYIFYAPPGSSVPTAQSVAEMSFFTH -TVTPLNLTSSTNVTVSIPYASPQSVLCTSFGGFGLQNGGDAGNLHSNTWGTLVFYVDLPSSLSVSVSAYI -SFRDFEAYIPRQTPGVGTGNPSTGIVRVARPTPKPRRVRRQGGNLADLILTPESRCYIVAHTTAPFYSLL -LVNPDEEYAIGMTPHGDESILAYSSRDGTRYHPTAPAFFLCAAACVGTVFPYSIAQSHLWLSDLTGVPLR -SCPPLTLFLSAGAALCAGAQTLIAVAQGGALPPAPPAPSTALARRQGLGDLPDAAKNLSAALENVARVAG -DADIATSSQAIASSISSLSNSIDGATTFMQNFFSGLAPKTPVSPLQHLFSKLIKWVTKIVGSLIIICNNP -TPSALIGVSLMLCGDLAEDLTSFFSSLGNPLAAVFYRCARALGLSPTPQSAAQAAGGRQGVRDYNDIMNA -LRNTDWFFEKVMGHVKNLLEWLGVLVKDDPRTKLAQQHDKILELYTDSVTASSTPASELSADAIRSNLEL -AKQLLTLAHGANSVTHINLCSRAITNYSTALSAISLVGTPGTRPEPLVVYLYGPPGTGKSLLASLLASTL -AQALSGDPNNYYSPSSPDCKYYDGYTGQPVHYIDDIGQNPDGADWADFVNIVSSAPFIVPMADVNDKGRF -YTSRIIIVTSNFPGPNPRSARCVAALERRLHVRINVTAREGVTFSAAEALKPISPPRSTRYCKFSNPLTE -FSMFSLAVDYKSVVLPNTPVSCFDELVDFILHSLSERNSVSTLLSGMVRTDVVRQGATDSPNLPLPSVLP -SVPSQDPFVKAVNENRPVSFLSKLWSWRAPVFAATSFLSLIAATLTIVRCLRDLRNPQGAYNGAPVPKPR -KKDLQKQPTYTGPVRRQGFDPAVMKIMANVDSFVSLSGTKPIWTMSCLWVGGRNLIVPSHAFESDDLEIT -HIRVGSRTFDVSRVTRVDDGELSLIAVPDGPEHKSLIRFIRPASPKSGILASKFSDTPVFVSFWNGKPHS -TPLPGVVDERDSFTYRCSSFQGLCGSPMIATDPGGLGVLGIHVAGVAGYNGFSARLTPERVQNFISQLAT -PQSVLHYHPPMGPPAHVSRRSRLHPSPAFGAFPITKEPAALSRKDPRLPEGTDLDAITLAKHDKGDIPTP -WPCMEEAADWYFSLLPDSLPVLSQEDAIRGLDHMDGIDLSQSPGYPWTTMGRSRRSLFDADGNPTPDLQE -AIDAVWDGGSYIYQSFLKDELRPTAKARAGKTRIVEAAPIQAIVVGRRLLGSLINHIQGNPLSFGSAVGC -NPDIHWTQIFHSLTPFPNVWSIDYSCFDATIPSCLLHAIATRIAKRSDQPGRVLDYLSYTTCSTHVYDSL -WYTMIGGNPSGCVGTSILNTIANNIAIISAMMYCNKFDPRDPPVLYCYGDDLIWGSNQDFHPRELQAFYQ -KFTNFVVTPADKASDFPDTSSIFDITFLKRYFVPDDIHSHLIHPVMDPETLTNSIMWLRGGEFEEVLRSL -ETLAFHSGPKNYSDWCGKIKAKVNENGCDVTFTPYSVLQRGWVSSCMTGPYPLTG - ->YP_009054901.1 polyprotein [Chicken picornavirus 4] -MSSSQTHKPEDNAPLQSQQEDLAPSTAPHEKATVETTAAAVVQNEQNVIFDNSWSDVRTIDAEIPRIVAT -YTWTSTQSSGDFLPVVTGSDAVETLPASPNPFCLPNHLILSQPTSSIGLNVPAQIGLFQALFTRHALYRS -GFKVEVTVNGTQFHGGSLFVVAIPHPHVWMQSLSNTDAGTEKKWTALELVQVNQLGVFPSARLLPRNASA -VTLDLPYVGPAPQLETAALDVMYAIAILVETPLSIPTGTAPTLTVVMRVGAKDAHFFAPKPYQTPQSNLG -GFDVPLDIPAPASLLQGLRVNTAQSAFVNTSPGSVAQIERRSRNTETSFLPARTEDWRSILSRPTLMTLV -QFTAATTLGTNIFQTNVCPTGTCVTNRDTTQALPSASIKRLQGVPYLMQLARYFSQWRGSLTYTFEYTGP -QVSSGRLLIAFLPGRIRAAKPGQGADYVETGALLQNFTENLHVIWDLSNSTSVSITCPYAVATPWAPVVI -NSWQAPPLSGYSSGSLVAVVMSPLVTPTVVAPTSTILVHLSAGPDFEMRFPAPIPVNTVPMYNGVVDQGP -VVDDLPQGEHYLTTMDSMSFQTFFNQSRFYGNFSAAPTSGTTTASSVTIPLSLISFAPSSGQLKTAEVPV -RMFAGLFTFLRADLRITVGIPFQNNLIVSYRPPTATPSTYAASGAGSPDSAASLLNGPSIILSTRASNMG -VEFVVPFSAFASVFQTSWSQANRVDTRYNANTNQINPGDMGTIFVASRLSSVNLTNVSVFIAFQNAEFFV -PRPFPPLTSTSWDGTAPNNLERDFWEEEDPTPVEFQSPKKTTLKIEQAKDQVARFEEYFDWLYSEIGDTI -SPEHALAICSAVESAINQCDDEVENQIAFAELPLEYPSSLPCPGVWFRGEFYRCCYLPCENRFFPQVAAH -SPLPVEHNLCELYEALRSFETMCLIDPLATSHCYSQLLHQLLESEWVIPEMKHEIRKKLVFLLEMLSHPE -RIMAHWNWESCHTQAFRNLCQRMQRPLAPSAIDEDYFSGEEEAEFQAPTSCDQKFLNLIQCYRSAMLVNP -WHTFEVAYISFYDLTLREDVPQWFKDQVDDVCFENLCARAGDRIDVDNSVLLESYRQAFDELFSEEPDFF -SDDEIEAEFQAPKPPVVAASAPKPRPPTPLPSPVVSEDEDESEDEPDDIYVYYVDNGLYRHWGIRSKQQA -ISLKAHGFQCRVSYTPEDLGNHVDYCAVGYNEWCKAVCRLGQIYDYSITHNCTDFVEEITSYQYSFQNTG -YWLAAGVVAAAVATTAIFHSVPPSWDERPFLPEKTTPRVVLVTPRRRVHKWRRATLERIVELKRKMLFQA -PINIQLTNPAVDRAASITTTATLETLDEVKNMVPVLVNSASTVASSLSAASASVEHLTKSMETFLENATA -AIPGAVSAMESTAGELISSASKKIASIILKVIGFTLVIFGNPSPSTIAGVIALLVAEVYDNAYLRKKMSD -LAVSFTSKIKDLVGSLFGVSSCCDEEPIFDDIDDAFHRYMLTRTETDFEFQAPSRLQEFNQGVLAMKNVE -WIIEKVKELIDWLIAKLKSKEKSSPEDYLKSRADYITDLYRDSLATASCQNVDVNLLNQRVKDTRDMLAY -CTNHRLAGAAHILSRTLANYEQTSRKLKTSTYASRPEPLVIYIHGSPGVGKSLLSNIIASAYCKRKGVDF -KTSVFAQPPGSEYFDGYTGQPVHVIDDFCQNTTGEDVKLFCQMVSTTPFVPPMAALEEKGVQYRSRLILA -TSNMATPQSNEIRIPGALERRCHIKVRAVLARAFQTPAGRLDMAEAFKELGPAKSSDFKADCPYLNGAAM -TLSVTRGEDRTREHMSVYDLCDMIFDELDERDGNQTAFSNIIFQSPSQNVISFCKHVDHSPDSCYNIFIA -TSQGPIVHRFDSAEDRNSWLQSQIPSLPKAGNVRCCPCKNPDCAKIQFTFKTDDEQIRSQVINFPGREVA -EWWLRFVCGRPGSAYALESEIWPAPPIFIPTAQPIKNESIEKELKKLKKRSLISFTITGISAIASAIGLL -IYFLRRRRDPEPQAPYSGAGGRQRQPPQQRPVPQRIVHYQAPYRMPQIYPKVEKNCTSITFHQDSHSFDL -TALFICGRTFVCNNHAFSHSHTIEIGGHKYKPEELSPELLVRPSGVTDVVICTLPRGDERKNLVPYLLSQ -KDRPTNDDVLMVSRSKTIAANFECTNLRGRKSVCVKEFDNADEQNFHRCYTYDLKSTPGMCGAALISRNP -ARETLLGIHFAGGPGVGIGVPLYKEDFAHLFQGNLKSIEHPGQPNHIPRRSALKKSPAYGAFPVKSEPAI -LSQKDKRCEVDLDEVMFSKHVPDHEGWPTLEPAMAYVVEELMQKCGFSKDDPVPMWTLEQAINGDGVMEG -IDMGQSAGYPFSAQGRSRRSFFEWDGEKWQATEELKKLVDHALQHPDDYYYATFLKDELRPSEKVKAGKT -RLVDSDSLPRILAMRMVFGPLFEAMLRKNGSEIHSAVGCNPDVDWTRFYYEMGPDSFPYCFDLDYSCFDS -SEPKVAFLLMAKYLEPYFQHDVTPFLAAVATSKHVYGDKAYEMEGGMPSGCVGTSMFNCINNSAFIVSAL -IALKVSPDSCKWICYGDDVIISTDEKALSRRIADFYSRNTNLKVTPACKSGDFPEESTFYEVSFLKRFFV -HDSHYPQLIHPYMPLEYLEQSSMWQTDGEYQQKLDSLAQLAFHAGGPDYRKFCDTIQKKCRSRGTEVYFR -PFEYYMAMWYAHFM - ->YP_009054898.1 polyprotein [Chicken picornavirus 1] -MCDRIPPCGGRLEICENPVRHGQTVTNIYGDGNWVTSNQGANGWSTNANTNLADGSISSTPIQRPPSQNP -DGPKGPGKRGRMLEAAPPGINPRPDNTHLDDSVVETLSSGNVTLDTTSTAPVVAPLDWPADPLDPPDADS -FIPGPSVDRFWHVSTLVWSPSQQPGTMLQGANAFRSSVTPYTSAANIEALGSTFTYPHSLIAANPGTPVF -DAFTNFMLWRSGLAVHISTSASPAMAGALLVTASPEGYEDVQQRSWTTNACGLTTIPYVLLNLCQANSAT -LILPPCTVTPFDDMRTHTSWAIRLYVFAQLNVPPGITNKLPVQLMFAPLRTHFLFAAVPEAHHLRTRILP -GSGDGYSFTVPENQGVPMAQYLPEHESAEYIPGHFDNFMRYANTPGLLRTLRWSSEMDHGTPLLQLNLNA -ISLGPDTHTPLSYVLSSFSQQRGSLSFDLVFAGTQMHSGRLLISVTPPSAHPPRDVEDALRGHSLTWDVT -VSCNCSFHAPFFSPTAWRSLAMDGTALNTLYNSWGWLSVFVYTPLMTTPFSCDYADFYIFVRAGPEFVTR -IPSGLAASIQVHGDEVSPASATPDDGINALEQVRGAGPPSMALAPYFNMFTKAWMSPLQVPDVEDARVAP -SPPEITAYLTEKLTLPRTVELSPTAWTLSVHSRGTSSLLTQAIASCYYFRADLDVEILLTVPSIAYEATV -HYPAICVQYHPPGSTIIQPNEKQSSTAFNAPSAGIYATAFPRLPAPRPSNTSGSAPAVTYHVNLTIPYSA -INPMVPTVYSGTTTAAGPPKPTPPTPLQSVLSIPNSLGRLLIFYLRDESTDENGLLGDIRLRLRNFSPAM -ARLPQLAFAFKEMPTQSSDVSPPTVMPPGEIAAALPFPNPLQPAPPPIAPSRHGGENPGGSERCYIVRKT -SLGRVSWALRSSNQQIGIKLQGFRCVVAYEDCEGSLYQEVIPAHFSIAQAMIGQPFPLTIRNTSRHWVER -ITNVRLPNIHPVAACCFGMGVLASLAAETVPRPEKHGLKDLAEASQNLQRAADAIDCAINSANLPGCAQQ -ISQAVNTLTNTAGDLNSTIRAVSDTVRREGRDIADDFSRGARSMVTATENATRLAESLNIPVTADTLLQA -AQAIKDASSQVSHSIDTAAEVAKRLIPAVESVVAGTRKESPSMLSGLFKAFSRFLGYGLIIFGNPSPLSL -AGVFILLVGDLGDEIVEFFKNIHRPIACLFAWMASKLGLKVSKEDCLEASDGLEVPQPQGPVRDYNDVAN -AIKNTDWLIHRILDLARIMCEWLTKRTKEDPAAKLDDLHQLVTQLYSDSVDTLTAPRVLRSVVEENLCRA -RAALPTAAELRSPPHTTMLLRAITNYETKVSSLNHNQPHQRPEPYVVYIHGPPGCGKSLMGSLLASRLAQ -ALSGDPDDVYSPASVSCEYYDGYRGQTVHYIDDVGQDPEGKDWRDFAQLVSTAPFVLPMANLEEKGRLYT -SRVIIMTSNFPEPNPRSSRCPEALSRRLRLRLSVTPPPRGPKHLDVAAALAPSASGPTKYFASDCPFLRL -EAFVLRSEMGAPNFNHMDELIDYILGQLDHTERNTSAFRHLLPNTPKKQGRVYERHLEEPDKQEEPERHG -VPERTASMPCLPTCTDGETLQHAGSAPIPTSLRPRACRTYEVFHEPCPDPFCTDWPKPTPERPTHAPVRR -SVLEESPLTQAIQQNKPLSFVEKLWQYRKPLFLTSAFLSAVSAISTIALFVKSLISKPQAAYTGKPPVKP -RRQVAERQAPLPPPAPVRQCLSGGAMTVAKNVVDITGLDVESGAPCKVNGTGIFDRWVLTVSHVVSRVED -VVITLEGRDYQPSKVIYDGEICALYVPGIPQFKDLRRFTRNIRQHTTGVLPSHTPSGPAFILVSNIRLRN -SPWPSLTGKRDVYYYTGATFPGLCGAPLILQNPGGPSLVALHQSGVAGTSGYAIPVADLLAQLTVPETQS -EILTCEPGGPPPHVPRRSKLVKSPAYGAFPVTKEPAVLSRHDRRTEADVDVVAFSKQGGGDIDEPWPSLI -PAVKLYFSRCNFPPLRTLTMLEAINGTPLLDGIDMNQSAGYPWCLTRNRRSLFDVGEDGLYHPCPELYQE -IEACLHNPDYFYTTFLKDELRGLDKVAAAKTRLIEAAPIHAIIAGRMLFGGLFEAMHSQPGMYGSAVGCD -PDYHWTPFYHSFLDYSEVWALDYSNFDSTIPTIVFKLIGEELAKIIQLPPSIPPDAVQKYVQSIYLSKHV -FGDQWYIMKGGNPSGCVGTSILNSMVNNISLLSAMLTHPDFDTSAWRILCYGDDVLYATVPSIHPSFIAD -FYHSQTNYKVTPADKASTFPETSSIHDVTFLKRHFVPDERFPTYIHPVISPETYQQSVMWTRGGPFQDVI -TSLCYLAHHAGPNNYQKWCDTVRAQCLKSGFEPIFIPYEVLQYRWLAMVMT - ->YP_009028557.1 polyprotein [Rosavirus A2] -MAGRKETRSARTNVSGLPVTDAIASVLEPIPVVGTLASAFKGLASSWLSTATDGLLNSSAFEIGSSTATS -DRLLEVSVGNTNVSSQDAVTVRDGWAGPKPEPVPVPPVDTPTSPGPAGQRFRSLATTTWGTSTHNFSETP -LVQVKLPQALLKDTGDDPSNLFHPTAARHHLMSSDFAVTVTVNANPFASGCLLVVAYPNAPTPTPQESVK -LSVHSTDDETNTPGAYCRSQLTLFPHQFINLKVCNQATLLLPYHGPTPVCVHSITTLWRVCVYQWVGLYQ -TPYTSTTPITINLQAAAIDAKFYGLRAARQSAYESPVRVAPNFNCILSCSSLDGSVPLAQGAQTRLETEY -MPGRVTSFSQPLSVPTLMCYYPGSTDWGGVYVDATMSRGTFVYGFPVDLGNVTFCQTYLGTLSEYFSQWT -GTLCFHLQAITTSFTRGRVVICFTPGDTTEPKTMEQAMAGTYAFFDIGLNSTHTFPIPFISLSHWRTVSS -QASRTSTNYSWYPPTTRMGAVTVWVYNALQSTAPAAAAPLVLVPYISAGSDFSFRLPKDFSNQVWSYLPR -KNPGVTWNFTPGGPGENGETAARGIAYEAPDKEHVSIAMGDGDAEAAEAAPTQAPVQENPSMYGNLEPGQ -PIDVEARDAWQGVDGVCNHTSPDMLLDNFFARKRLYAAYNLKTTGTKTSWYFPIPLRWRWWYSDLRADGF -PARSLQHMFSYIQADIRVDLEFQFANGDPWDPRVVVANIPPGGPHDLSLNWTGQDPAVEARLYNYPFVCS -PVSRFANTLSVLIPYQSPYNVIPLTTTGYARYAATYNNDGGDPVHAAPADGDRWGATSYDRFGTLGVYVM -PARESVVNVYISYHNAQFFIPNPFPQLGIWNEDGTKVSAGFPTLWSATQPFLRNNGLTSSNGKAWYTNIP -TGMIKPLGEQQDETGSQTSSDAVRYESAEFTHEATPEGYWSWSSRQPCYVAWRYWEGTRYRHWVIVCGEE -ECSLVQDGVVCKVKITPVKPHNFFCEVNSIVWSECLLLDHVGYVFPGYNLVNNCSHFVSRMTGVDCENDG -IQALKSLALXGALGLGLVAVAAYEAPTREKTPSPRVFHTVGHCPEIAEQPSVIDETDGKLSVKLAAMGCS -AKVQGEWEPRHWIPKSGLSVSPPFLAQANYEAPGCIDSVCQASEQFSQAMKTISSALTPENVEILVNSAK -AMGCSASSVDNVAKKLSELVTQIPTIVPQVQKSIAKKIASVLLKLAGLLLVVFSSPNPLTIAGVLTILLG -EAVDSASLDQIKSLKHWFCRKLGIPHKVMDYAPDPPSLLSDNPTGPPTVQQESPTFSEELIQQARDFNTI -SMSAKNIDWIISKLKEFVKWLLDTFTNWKKEAPEARFADQKHKVFELFADSVHALDSQDINLDSVRKNRD -LAQKLLGLATEVRDVACVNMLQRAYANYCTVVRKVSQAAFSDRPEPVVVYLHGGPGCGKSVLSSVLAKGL -CRAFNKDPSSSIYSQIPNSDYMDGYTGQFVHIIDDLGQDPEGKDWQNFCQMVSTVKFLPNMADLEQKGIP -YKSRVVIATSNFGDPTHGSARDMGALVRRMKFTVQVEAKEKFCNGRTLCLEKALVAVPNSAHPCFKAMCP -LLTGDAVALTLRCEGESPENLTFLDLFDRIVAEVRARDSTHSMLGSICYEAPTFEDAAGTVDTAALASGS -FTSINPSVAPRVRLPTRVAELSETWWKSMVSNVSKTPTLTKIGAIAGVLSLVSSVLVVAYTVYRFAKERE -REEGAYSGGARQKKVMKPKKPTTEPTVRYEGLPQIYRPVVANCFPINFYDCDPRDNARSGGVFTLTAVGM -YDRTYICNAHGFKDATHIGLRGRVYPISEINKKHVRRNHRRTDLMIFQIPDGDCVRNILKYFRKSPEEAP -SRSPAVMAVRGKFNIDVLATCVESFAFVQMSGDVNYGALRYHAMTMPGYCGAPLISNDKAAEKVLGIHMA -SNGAGIAYGTSVYQSDFENLEYEGLRVCAGTGLPVHVPTKSKLRPSPAHGAFPVKKQPAVLRQSDERLCA -VDLDEVLFSKYTEDMVKPFVGLDIGLEVVRNRLRTLIPSKLPQISISQAINGIDNMDGLDMNQSPGLPYV -SEGVSRRALFDCVDGQWVPRERLASDIAQVSADPSLGHFATFLKDELRSVDKVKVGKTRVVEAGSLPHII -VGRKIFGNLFALFNANPGFQTMCAVGCDPDTTWTELYHPLSAKTYVFDYDYSGFDGSVPSCCFDALADLL -VDFVEGEEDVRKYIASLKTSFHHYRGKLWRLDGAMPSGCCGTSVFNSLVNAMLLFSAFSQICPDFKASEP -LLVAYGDDVLVGTDQSLLPSLVAEWVNSHTTFKITPADKGSVFNDESDIHSVQFLKRHFTPDPDFPALIH -PTIDPDTYEQSVMWQRTGDFQETVNSLALLVFHRGPKTYGRWCSSVSRACVDGGYPPPFFPPFSLLRAQW -LKKFEVVTFDHLLSKELQ - ->YP_009026385.1 polyprotein [Tortoise rafivirus A] -MNSQNLGTRSYTGSYLYSTLPRRRSFEECCCLTTQQHQECPQKYQYEAKVNKFSFVDCTKCFCPDVQFHV -QTNCATRMGSLSKKQIRKYKEKYPKKMSFLQIVKRFIFTCEDAQGANITKIYGNGDVVTANAGANGWHAA -VPVALPGSTQTMSGSNNEGPAAIPGLAIPTGSGVENVRSAKGAGKPVPTNFKPTTISSRTNGSAIQTFNG -HVQDMANTMKSISSLTSATKKTWGSMMDLVDPLLDDPNQEEGFCISDRVSATTSGTTIIQTQDSVPIRTA -YLGGTYGPTPSMDVPTVPGPAVERFNNITSGNWSAADAEGTVIYSSGILAALLGGTTPVPGVNNSTVFTR -TLTNHVLWRSSFDVQLQVNPSQFHQGSLVLVALPAALEPFTNISQLFAFPFQILNLRTVNTVRLSLPFVA -VTPVADIYEDPWNIAVVVLSPLAAATGTPSTLPFNIVVSPRQTQYQGLNFTQVPLQPTPESAQHFKVRRT -PASNSFGNVVSGQEIEFAAFAPQAPPHHWLPGEVFDWLELARIPTQNGKNILWEQSAEAGTLLEQYEVQP -YDPNSPSYMSFIAANFAQYRGPINYYFMFTGARQHYGKLVVCYNPNPFQAPTTMAEAMQGVTTVWDVGLN -STLKFTVPFISSMPWRPTQNTVNLSTGYVTVWVYNQLMGPSNVTNNAQIVSFIGASQEFQLRFPVLPTFY -YEKPESAQGGEELTNMETGTVSEAAEAPAEVIIGAYDPVMDTNIAAWFSQYRLWTPTTATWQPATSRHSS -ESFGMMTIDLSIEALSAASTQFSWMLSIFTYVKGDLKIQIAANQWGIPPPVRIAHIPVGASATNDPLELD -SYPQIIWTPTASDLNIAIRIPYNSTNTAVSRHQYTTRQEVKPLSSWGTLVLVTNGSVGNLPVPLFSAAFD -GFRCWAPRPFPNAPYVPPSTTVVQTPERQLEKAQMKAVGKMGDFISQLEPNVLTEAFKNVGAVAKTFDDK -MIADVVQTTKHGVGGIQDLAGALKSVSDKVTTEQVAKFVDSVKTISGTTEQISEQVTKSLNTFTGFFPTN -PIVKLILKVVGYILILTSHPTPQVLMGLAMMGVADLGGSSSVTGILDWFYKKVGISKPEVEQTQTLRDIN -EIINTARNAKWVVETGYEIVLKILKALKKDVEDSPQKKLEDAVDDIACLHVDSLKVKDLCSVDWDQVDNN -ILRIREMLKWAKEAKDIVTSRHLYTILSNYLDAKAEAKKNQTGTRTEPLVVYLHGLPGCGKSLVSTLIAA -AICKKKGIKYSENVFTPPPNSEYFDGYTGQFIHVIDDLGQMADGSDWATFVNMVSPVPFLPNMAFRKGIP -YTSKLIIASSNFTTPNDIQVRSREALERRLHIKVHVEATPTYSHGNGKLLSDEALRSDLNLPSKYFEKNF -PLADGRAIKMTMAGKQYTLETLIDHIFTCMTAREDRRTRLLDLIPEIAQGDVKPSVEEEIEKMNPEEYKN -AFSTLKQRLVRGWSIALTVMGGIIGLFGIWLLCKPKAKEEAESAYDPTAMKKKKKTLVKPVSTLTVPLER -YQNGEFPHEIFNAIDKATFPLMAESYECRTTQSFMGIMDDMYVMNKHTWDAACSFVMRGKSYQKDEIPSW -SAPNSDLLYFCLKDQTKVRNMTKFFLPPPPATKFKMNLLSKMYHASHDIFNLDLIDAKIVPATNFRMMPA -KRVQIASGEIRVIYNTFSYDTNSYPGMCGSPVVLMNPAGPKIIGTHISGLAGRMGSAEALDATWRTAFVA -LHPEYGQGDMQILGEAEPIAYVPRVSALKPSPYYGMVTPTKSPAVLRQSDPRLGEGVILDQRIFAKYTGD -TCEEWPSFFPACQLYFSKFPRSFEPLSMFEAINGIDGLDGIDMNQSAGYPYVSVGRSRRSFFTVGVDGYY -PTIELKNAVMDVLTGNFGKYMTFLKDELRNDEKVEQGKTRIVDAANLPSIIAGRMIFGRLFAYMHKNVGI -KHGSAVGCNPDFHWTQFYGEFSKFEEVWDLDYSAYDSSIPTFAFKRLAQCLRQIVNHPLAADYIEHLANT -VHVYGSMEYMVRGGMPSGCVGTSIFNTMLNNCFILSALITHPDFNPEQFGMMAYGDDVIYATNPTIHPSF -IKQFYDEHTPLTVTPASKDGMFPDTSTIHDVVFLKRWFYPDLMHPGLVRPIINPEVYYQSVMWMRDGDIQ -DTITSLSYLAFHAGPNNYVNWIKACKTKCEDHYFLPWSYLDLRWYMLCQTGEDPSGNFGGFVW - ->YP_009021568.1 polyprotein [Sicinivirus A] -MERITGQTLTCVKPCTYCSGSACAGWRLCFGSSSPPVRLYSPLTPLPNTDLMAAYPDMARCLLQAARDAA -AGECNPHLCRKADEFVFTYPLPVQRKPPSLPHNVYWNDVFDEVATSVLTHSPHPPTMQYFLKPNPKAHPT -PVEDGDPLPASPPDWMTLVDEDDAQYLLKYGKLPFGASLQLHTPRLPRYQPPTSVKDMVLLKDVPGAVDA -FKQAIADLQSFLPNHHLTPITPSLYTFRFGGYHCFIVLTESGHPLETAPLIPHRATFYLSTRLEKHGGPI -SPHSLPGDLPPPRPVDGPEGFSDALYDACLSYLGRRDHALIEPSDSQPGFHFSHPAYPGRLPLEIRFVRH -PGIAPPLRDFTRGIRRPGIQYIPVSGMGGVPQSPVPPIAHVITAAVNAIRRGSHWHCPLIRQVSTHGWLF -TYSNYWCSILLREGRHDHDMCNRIPPCGGRLEICENPVRHGQTVTNIYGDGNWVTSEQGANGWSTNANVN -LADGSISSTPVQRPPSQNPDGPKGPGKKGRMLEAAPPGVNPRPSNTHLDDSVVETLSSGNVTLDTTSTAP -VTAPLDWPADPVDPPDADTFSPGPSVDRFWHVSTLLWRVNDQPGTILKGQNAFTLTQTPLTDPANLGNGD -TFTFPHSLIGANPNSPVSDAFTNFMLWRAGVAVHISTSASPAMAGALLVVASPEGFEDAQQRPWNAQVSG -LTTIPYVLLNLCQSNSATVILPPCTVTPFDDARSHTSWSVRVYVFTQLNVPTGVANQITIQLMFAPLASR -FLFACVPIKHHLRTRMLPGSGDGYSFNIPQNQGVPMAQYLPEHESADYIPGHFDNFARFANAPGLLRTVR -WLSEYTPNTPLLRLNLNSISLGPDTHTPLSYVLSAFAQQRGSLSFDLVFVGAQVQSGRLLISITPPSQAP -PASVEEALRGHSLTWDVTVSCCSSFHAPFFSAAAWRSLAIDGSTTKALYNSWGWLSVFVYTPLLSTPFSC -DYADVHIFVRAGPEFVVRVPSGLAASIQVQGDTVAPADPSPDDGINALEQVRASGPPSMQIAPYFDMFTK -AWMKPLAPPESDDASTELAEGDDGCPPIKAYLTEKLSLPHTIELRPSVWSMSVGSRRTSSLATQAIASCY -YFRADLDIEIMLTIPAVTFTATTSYPAIGIQYYPPGGTIIQAQECASSIAFNAPTAAVYATAFPRLPAPG -SSTASSQAVTYHLNLTVPYTGINPMVPTVYSGTTEAITPEHARSPMSIPDSLGRLYIYYLRDESTNPDFL -CGDMRIRLRNFTPAVARLPQLAFAYSVADFSEAQASPLVTVPPVSINAELLPNPLVPSNITPALQSGPAL -TSTATPCYIVRKSSLGSQTWALRSSNQQIGIQFKSFRCVIGYEECEGTLYQEVLPAHFSIAQSMIGQPYP -FHIGNTSAHWVERITNVQLPRIPPLLACCIGAGALASLAAQTVQRPERHGLKDLTEASQNFQRAADAIDC -AVNAANLPACAQQISQAVTALANTAGDLNTTVRMASDTVRREGRDIADDFSRGARSMVHATENATKVAES -LNLPVTADTLLQAAQAIKDASSQVSHSIDTAAEVARRLIPAVENAVAGARGEAPSMLSGLFKAFSRLLGY -GLIIFGNPSPLSIAGVLILLIGDLGEEIVEFFRNIHRPVACLFAWMARKLGISATKEECLEASEPLEVPQ -PQGPMRDYNDCMNALKNTDWLLHRILDLARILCEWLTKRSKEDPAAKLDELHQLVTQLYSDSVDVLTAPR -VMRSAVEENLSRARAALPTASELRSPPHTTMLLRAITNYETKVASLGHNQPHQRPEPYVVYIHGPPGCGK -SLMGSLLASRLAQALSGDPDDVYSPASVSCEYYDGYRGQSVHYIDDVGQDPEGKDWRDFAQLVSTAPFVL -PMANLEEKGRMYTSKVIIMTSNFPEPNPRSSRCPEALSRRLRLRLSVSPPPRGPKHLDVTAALAPSGNGP -TKYFSADCAFLRFESFVLRSEMGAPNFTHMDELVDYIMSQLDNTERNTTAFRHLLPSTPKKQGRIQDILE -QMRSTELEPERHGIPERTESMPCLPTSSDGETLQHASSGPIPAYLRPRACRTYDIFHEPCPDPFCTDWPR -PTPERPIHAPVRRSVVEESPLTQAIERNKPLSFVEKIWQYRKPIFLTSAFLSAVSAISTIAFFIKSLISK -PQAAYTGKPPVKKAKKEPETQAPLPPPAPVRHCLSLGAMTISKNVVQITGLDVESGAPCKVNGTGIYDRW -ILTVSHVVPNVSGVVITHEGKDYTPSKVIYDGEICALYVPGVPQFKDLRRFTRNIRQHTTGVLPSHTPSG -PAFILTANVRLRNSPWPSLTGKREVYYYTGATFPGLCGAPLILQNPGGPSLVALHQSGVAGTSGYAIPIA -DLLAMLDVPQSQSEILECEPGGPPPHVPRRSRLIKSPAYGAFPVTKEPAVLSKYDSRTDKDVDEVAFSKQ -GGGDLDEPWPSLIPATKLYFSHCNFSKLRTLTMLEAINGTPLLDGIDMNQSPGYPWCLTRNRRSLFDIGE -DGLYHPCPELYQEIEACLHNPDYFYTTFLKDELRGVDKVAAAKTRLIEAAPIHAIIAGRMLFGGLFEVMH -SQPGSYGSAVGCDPDYHWTPFYHNFLDYREVWALDYSNFDSTIPSVIFKLIGEELAKIIELPPSIPPGSI -QKYVQSIYLSKHVFGDHWYTMKGGNPSGCVGTSIFNSMVNNISLLSAMLTHPDFDSSAYRILCYGDDVIY -ATVPSIHPSFIADFYHKHTLFKVTPADKGNTFPETSSIHDVTFLKRHFVPDERFPTYIHPVISPETYQQS -VMWTRGGPFQDVITSLCYLAHHAGPNNYQDWCDTVRAQCLKNGFEPTFIPYEVLQYRWLAMVMT - ->YP_009021445.1 polyprotein [Melegrivirus A] -MSFSQTHKPEDNAPLQSQQEDLAPSTAPHEKATVETTAAAVVQDEQDIIFDNSWSDVRTIDAEIPRIVAT -YTWTSSQASGDFLTAVTGSDAVETLPPSPNPFCLPNYLMLNQPTSSVGLNVPAQVGLFQALFTRHALYRS -GFRVEITVNGTQFHGGSLFVVAIPHPHVWMQSLTNSDAGTQKKWTALELVQVNQLGIFPSARLLPRNASA -VTLDLPYVGSAPQLETAALDVMYAIAILVETPLSIPTGTAPTLTVVMRVGATNAHFFAPKPYQTPQSNLG -AFDVPLDIPAPASLLQGVRVNTAQSAFVNTSSGSIAQIEKRSRNTGTSFLPARVEDWRSILSRPTLMSLV -QFTAATTLGTNIFQTNVCPTGLSVTNRDTTQAIPSASIKRLQGVPYLAQLARYFSQWRGSLTYTFEYTGP -QVSSGRLLLAFLPGRVRAAKSGQGAEYVETGALLQNFTENLHVIWDLSNSTSVSITCPYAIATPWAPIVI -NSWQAIPLSGYSSGTLVAVVMSPLVTPTVVAPTSTILVHLSAGPDFEMRFPSPIPVNTIPMYNGVVDQGP -AVGDLPQGEHYLTTMDTMSLQVFFNQARFYGNFSATSGTTASMVTIPLSLISFAPSSNLKVPEVPVRMFA -GLFTFLRADLRITVGIPFQQNLIVTYRPPTATPSTYDATGAGSPDSAASLLNGPSVILSTRASNMGVEFT -VPFSAFASVFQTSWSQANRVDTRYNPNSNQVNPGDMGTIFVASRLPSAQLSNVSVFIAFQNAEFFVPRPF -PPLTSTGWDGTAPNNLESEFWEEDTTPVEFQAPTSENLEEGDQIMLSVTLDILDSIRELCSELMEGVDTL -YGRARAAKFAWMVQSTFEEWKKNDQDASEFFSDTSLIEPVVFQSPPPPSAREKAARLEEFFDYMYGCVES -EEELHAVCDAVNAVIDSFYDSDGEFIGDIRDRFLELPLSYPEGPDYAGVWFRGEFYRCLYVTTQNRFFPQ -VAAYSPVEAEHNLFALYEAMRQFELMCFADPLSTSHHYQRLLFLLLQQPWVIPEMKSMVRQKLAFLYTMI -SHPERIFLDWNWELFHTPPFRYICNMVGVETALTDDGFFSGEEEGEFQAPTSCDQKFLNLVRAYRDAMIV -NPWHTFEVCYISFYDLTCRNDVPEWFKRAVEDACFDTLCARVAERVNVNNEVLIERYRQLYDEIFSEEPD -FFSDDEIDAEFQAPKPPAPASSAPAPRPSTPLPSPVVSEDEDEGEDEPDDIRVYYVDNGLYRHWGIRNRQ -QAISLKAHGFQCRVSYTPEDIGNHVDYCTVGYNEWCKAVCRLGQIYDYSITHNCTDFVQEITSYQHSFQN -TGYWLAAGVVAAAVATTAIFHSVPPSWDERPYLPEKTTPRVVVVAPCQRVHKWRKATLEKVIELKKKMLF -QAPINIQLTNPAVDRAASITTSATLETLDEVKNMVPVLVNSASTVASSLSAASASVEHLTKSMETFLENA -TSAIPGTVSAMESTASELISSASKKIASIILKVIGFTLVIFGNPSPSTIAGVIALLVAEVYDNTYLRRRM -SDLAISFTAKIKDLVGSLFGVSSWCDEEPIFTDIDDAFHRYMLTRTETDFEFQAPSRLQEFNQGVLAMKN -VEWIFEKIKELIDWLIYKLKSKERSSPEDYLKSRADYITDLYRDSLATASCQNVDVNLLNQRVRDTRDML -AYCTNHRLAGAAHILSRTLANYEQTSRKLKTSTYASRPEPLVIYIHGSPGGGKSLLSNIIASAYCKRKGI -DFKTSVFAQPPGSEYFDGYTGQPVHVIDDFCQNTTGEDVKLFCQMVSTTPFVPPMAALEEKGVQYRSRLI -LATSNMATPQSNEIRIPGALERRCHIKVRAILARAFQTPAGRLDMAEAFKELGPAKSPDFKADCPYLNGA -AMTLSVTKGEDRTREHMSVYDLCDMIFDELDERDGNQTAFSKIIFQAPSQNVISFCKHADHSPDSCYNIF -IATPQGPIVHRFDSVEDRNSWLQSQIPPLPKAGNVRCCPCKNPDCAKIQFTFKTDDEQIRSQVINFPGRD -VAEWWLRFVCGRPGSQYALESEIWPAPPIFIPTAQPIKNESIEKELKKLQKRSLISFTITGISAIASAIG -LLIYFLRRRRDPEPQAPYSGAGGRQRQPPQQRPVPQRIVHYQAPYRMPQIYPKVEKNCTPITFYQDSHSF -DLTALFICGRTFVCNNHAFSRSHTIEIGGQKFKPEELSPELLVRPSGVTDVVICTLPRGDERKNLVPYLL -SQKDRPTNDDVLMVSRSRTIAANFECTNLRGRKSVCVKEFENADEQSFLWCYTYDLKSTPGMCGAALISR -NPARETLLGIHFAGGPGVGIGVPLYKEDFAHLFQGNLKPIEHPGQPNHIPRCSALKKSPAYGAFPVKSEP -AILSQKDKRCEVDLDEVMFSKHVPDHEGWPTLEPAMAYVVEELMQKCGFSKDEPAPMWTLEQAINGDGVM -EGIDMGQSAGYPFSAQGRSRRSFFEWDGEKWQATEELKKLVDHALQHPDDYYYATFLKDELRPSEKVKAG -KTRLVDSDSLPRILAMRMVFGPLFEAMLRKNGSEIHSAVGCNPDVDWTRFYYEMGPDSFPYCFDLDYSCF -DSSEPKIAFLLMAKYLEPYFQHDVTPFLSAVATSKHVYGDKAYEMEGGMPSGCVGTSMFNCINNSAFIVS -ALIALKISPDSCKWICYGDDVIISTDEKALSRRIADFYSKNTNLKVTPACKSGDFPEESTFYEVSFLKRF -FVHDSHYPQLIHPYMPLEHLEQSSMWQTDGEYQQKLDSLAQLAFHAGGPDYRKFCDTIQKRCRSRGTEVY -FRPFEYYMAMWYAHFM - ->YP_009000902.1 polyprotein [Caprine kobuvirus] -MESKLLVSESTFVPALTHKISRRIRRHPVAWNARENPRLSFITAMEELDAAYISEQHRLFPLPRPSHWYN -CLFCQNPSRDGDDLMSLACEYGDDCPHHQSHLDPKPVRQVKSPEDILEDSEWPDNILTSDPPAFTTDAEQ -NWLDQLALATLPGPQQVRPLSKFFSEHPPPPSLASKVPIPGCCYGLECPTCDPHLPTSAQVVRQGASTTN -IYGNGNNVTTDVGANGWTPTVNTGLGDGPVSSSADEVPGRAGGSSTAKKDSSPSSTVKVHNFNKWWEPAA -AKALSRGVDKALDGIEGAGKLASDAIKSKLSGGRPGPSPNLIALNPSATQSGNAMITTGSTAPTVVAYPP -TPSVPLPNPDAPSQPGPSGDRTWLLDTLTWTPDLTAGYHMAGPNGMQWTALQQPVFPLSTSTSWGTQNNM -APTAYPLPAAFVRAYPDCPWSAMHDTHSMWNCGWRLQVVVNGSQFHSGTLVLLMVPEGSDIFSTGNPRTE -AMFVFPYALLNLYQSNTASIEVPYIGPTPNTSSGLRCPWTFYLTVLTPLSVPTGATQSLSVSIYVTPINS -TFHGLRQVQKQHIKMRSLPGANAFGSVVAGQEIPVYAMETYRPPVDYLPAEVHDWLEFAHRPGLFDTITW -TMAEDAGYKLAEVSVDPSVLVNTNTPLSFVVNMYTQWRGEIQLSLLFTGSAQHYGRLAVCFTPPAATSPA -TLQDALRGTYTVWDVNSSSTLDFTVPFISQTYWKVTNYTLPTSLLAKLGTVSVWVMNPLSGPSAAPPSAL -VQFFVSAGESFNVRGLQSPICTLQAADDDSAPHPISNLESGEVVSVPEPRTTFAYSDNPTPPDTNLANFF -SIYRRAPMDSSGDWEKSFNQGFETITYDLAAWIQNANAGDDLPLYLSCFTYMAADVRVAFSISNPAAYSA -HVSFYYLPPGATPLWELSDNNVVALSNYYHVDAVVPPTSTQVVPLSFPYASPLCALPLSYWGFSSYDGET -DVANRNLGSVVVYVSFQSDAVPTTQNVTISQKVAFGNFRAWVPRPPPPLGAPPSASGVSAVALPLRRPMS -SKSKIVRQCAAPDGCTLPLTCEDVRVFIVKVQRPTYVHWALRAVHPNGVQQISLSRWRLTAVVAYEDPVG -VVYQEVEPCHWAVAEAMVGERWNYSADNNCTHFVSNITGVSLPNTGFSLCLGLGALAVLTGFAAVQAAKG -GIVRQGLLSLEAPPEVVQAANRVADSIESTASAVREADLVHSTRNITLAASDIREAATQVSSSLDGFTQM -LQNFSSTFTQGVNKALGDGLSTFLTWVAKVFGYLLVLFGSPTPMSIAGLLVIICADLAPSASEYFTSRNS -VLGSLFYWIASKLGLSCSPEEADAAAVEPQGVRDYNDAVNAVKNTEYLAETCWRWAERVLNWIRGKAKTD -PQALLADAHDEILRHYSESIAALSSERTPVNAITDAISRCRELTKIAADAKSAPHSSFLSQALRNYQLAL -NSARMAQSGPRPEPVVVYLYGPPGTGKSLLAALLSRVLAQKLSGNPDDVYSPSSASCEFFDGYTGQVVHF -IDDLGQDPEGRDWANFPNLVSSAPFIVPMANIEAKGTHYTSKVIVVTSNFAGPNERAARSIGALRRRMHL -RLNIDRKEGEAFDPVEALRPLDLPSKYLTSQTKLSLFHSFKLSIDVDSLWQPRFVDFDSLVDSIVSRLDR -ATGVSDLLDGLVKRQGLTIETEPTELSYQDALEIDSHSKPVPTSLSFERAIAQNAPLSVIDTIWQYRRPL -FACTTFLTIIGFLITIIALARTLWKAKDDTPDQTQGAYSGLPRLKRQEKVKPNHPPPPPPAQSRSIVRQS -LSPALPKIADNVYPITTHSPSIGKSSSCGFFLFSRFFLAPTHIVPDDTTRIMLGPDGYDWNTLPHLRLGN -EMTLIYFPTARQHRDLRRFIGSFPYPTGHLISTLSGPPVYLRFSKNRLVHLDIPDVVSEPEAYGYKAPTF -RGLCGAPLITDDPAGVKLLGLHVAGVVGSSGFSVPIHKYLPQIEEFALGYQSIIIPGPPVDPGVNVNRKS -KLGRSPAFGAFPVKKQPAPLSQKDERLEDGITLDDQLFLKHNKGDMDQPWPGLEAAADLYFSKFPTVIRT -LTLEEAINGTPNLEGIDMNQAAGYPWNTMGRSRRSLFVEQAGFYMPTPELQEEIDKTLSNPDYFYSTFLK -DELRPIPKVTAGLTRVVEAAPIHAIVAGRMLLGGLIEYMQAHPGRHGSAVGCNPDLHWTKFFYKFCVFPQ -VFDLDYKCFDATLPSCAFRIVEDHLLKLTGDERVPRYIESIRHSRHIYGSNTYEMIGGNPSGCVGTSIIN -TIINNICVLSALIQHPDFSHESYRILAYGDDVIYGCDPPIHPSYIKEFYDKHTPLVVTPANKGSTFPETS -TIYDVTFLKRWFVPDDVRPFYIHPVMDPDTYEQSVMWLRDGDFQDLVTSLCFLAFHSGPRTYARWCDRVR -ERVMQTTGFPPTFLPYTYLQTRWLNLLAA - ->YP_008802588.1 polyprotein [Feline sakobuvirus A] -MPFSFSTPSPFPPEHTHLDHLLSGVSSNAQLKALRRIRHSPQCHCQEWRTPPTLPYNTFVQDIFDEIAMS -TLGAPGFHFSQRCLNYLSTLLPSPELEWDSSDVVYHGNSQTNIYGNNNHVTTDVGANGNTPTASVSVGEG -AVSSASDSTTHNPLKGGSSTAPKDKEPGKPDAKGNISTRRYHAWWEPAAAKALDRALDHGVRAVDSVADG -VASGIQAGVGKIKQRVAGVQDTKLIALPQNDATMSGNTVLAAASGIHSGATYPPTPSVPLPTPDAPSCPG -PSGDRFYPVDNLSWSEADPIDSALSGPNACSITPLFPLSNSANWGDVNTGSIGYPLPASFVKAAPDCPWT -AMYNMHAMWNSGFHVVLTVNASQFHEGALVLYAFPENTYTNSNNPRCNFTVPYAVLNLTQTTQAELDLPY -IAPTPNSTTMGMHSPWYIVVGVLSPLLCPVGSSSTSVGVTLQVSPKNSSFHGLRHVQPQHWKIRSVPGAT -TFGSMVAGQEVPLCGVVPTRPPVDYLPGEVKDLMEFAARPSPYSALPWTMADEPGTLIHSFPVGPLALAG -TQTPLSFVVSLFSQWRGSVDINFMFIGCAQHYGRVLISFTPYCQNPPTTIQEAQRGTYTVWDVNGNPELS -FNVPYISTSYWRSTDLLSADKLSATLGYVSVFVCNSLSGPGAAPISASLLSFICAGPDFEVRGQQNPALQ -LQAGDDPPVGGVTTGDPVPAPVRDTFSSPTTGMSPDTSLVNYFSFYRNFMDVGVIKPQLYYQVSLDPIGF -APNFLSTLLHVFTYFVADLRVNLEVEATQPTSLAVAFAPPGATLPVNWTSDSFRAFFHTSQPLEAGSNQV -CYSIPFFAPYSVLSTSFYGFGGFQGSEFGQLNANTFGTLILNPGAQVNVSVRLAFGDFRGFIPGPVPATV -PPSGSSTAVMVSSYRHTGVPQGIAVPRLPSVRPNALETKHRLAPLTPLRQSGDPLPICPYTFPEDSVYII -KQRRIGYIHWSIRRVTAGSCFIKPGVVHQISLVQHGVKARVSLEEPVGEIYREVPYYCFEMIARTLGSVV -PYNYRDNCGEYITRFTGVSLPNTGLSLAAGLTVAAGALCLAQTALEVKRQGLVDNARGVANTMDSAAGKL -IAAANLMDVPGSADKIHESARLLANAAGDLSTRISEALSIFKQPPDSNPITRGVNNFLKWLTKCVGYMMV -VFGSPTPLSIGGLVLIILGDLAPSFPNVRNPFAAMALWICKKLGVSCTETEAEEMCVEGQAGVDDFNKWS -LAAKNADWLFDRLMSCLKQLLDWVGLRVREDPRSEIWANHDKVEKLYEDSISATTSAKPPDSQAVQANLS -IARALLETAGRASSSLHTSMLQRAISNYTSVLCNPQRTMSGTRPQPVVVYIHGAPGVGKSLVASLLARTL -AAKLGTGPDDFYAPSSADCQHYDGYTGQPVHYIDDIGQDVEGRDWADFPQLVCSSPFVLPMASLEQKGTY -YTSRVIIITSNFSGPNPRSVRCQPALERRLTIRLVAELGPEPCSPAESVAPDGPSSKHFSSSTPLTRFES -IKLSWDQKSLFTSPENPKSLDELVDLVLELVARNSAISGDLNSLIKQGMTPVPEPQDSIQSPLVEAVSDN -TPLSVLKAKFSSFFQRPLFTTALFLSICSSVGVLIAAVLSIRKSLSETKEQPSEQGAYSANGQRPKPRRP -PLPKTHLKGPVVRQGVSPAVVKAMRNCAVIATEGVNGSDPHVVGGFFFFSRYFVTVSHILPASTVSIGGI -EHRLEDLEPFVWNELLVLKVPGREHPDLRRYVDRPTSATTGHLIGCLAGGPVLMRVDRLRPTPFKSPGFE -ARDLVYMYGGPTFPGLCGAPLFTDDSSGPALLSVHFAGVTGYSGFGFPLAGLAEAILGHYATSQSIITPT -PLPADGPVHVPRRSTLVPSPAFGAFPVLKEPAPLTNKDPRLNPDVDLDVSVMAKHNKGDLTKPWPNLEAA -VALYFSYLPDHFRTLSMHEAINGTPGLDGMDLTQAAGYPWNTRGVSRRSLFIDTPGGYKPTPELEKSVDD -CLDNPDYWYTSFLKDELRPTEKVALGATRVVEAAPVQAIIAGRMLLGGVLEHMQSNPCQYGSAVGCDPDR -DWTRIYWDFNEFDEVWDMDYKCFDATLPTVAFELIANELAKRIGDPRVIPYLRSVSNSQHVFGRQAYIMT -GGNPSGCVGTSCWNSIINNCVLLSALMSHPDFDPDRYRILAYGDDVIYAHEPTIHPSFVADFYRKHTSLV -VTPASKAGSFPEHSSILTVTFLKRHFVPDETYPMYVHPVIDPETYRNSVMWTRGGPFQCQLDSLSYLAHH -SGPNNYQAWVDAVKAQCAANGVEVRLLPYAYLQAAWLQTVMA - ->YP_007974220.1 polyprotein [Turkey hepatitis virus 2993D] -MSYKPEDNAPLQSQQEDKAPSVAPHEKATVETTVAAVVQSEQDVIFDNSWTDVRTIDAEIPRIVATYSWT -STQASGDFLTAVTGSDAVETLPSSPNPFCLPNYLIVNQPASSSGLNVPAQVGLFQALFTRHALYRSGFRV -EVTVNGTQFHGGSLFVVAIPHPHVWMQSLTNTDAGTQKKWTALELVQVNQLGIFPSARLLPRNASAVALD -LPYVGPAPQLETAALDVMYAIAILVETPLSIPTGTAPTLTVVMRVGATNAHFFAPKPYQTPQSNLGAFDV -PLDVPAPASLLQGVRVNTAQSAFVNTSSGSIAQIERRSRSTETTFLPARVEDWRSILSRPTLMSLVQFTA -ATTLGTNIFQTNVCPTGTSVTNRDATQALPNASIKRLQGTPYLSQLARYFSQWRGSLTYTFEYTGPQVSS -GRLLIAFLPGRVRAAKSGQGAEYVETGALLQNFTENLHVIWDLSNSTSVSITCPYAIATPWAPIVINSWQ -AIPLSGYSSGTLVAVVMSPLVTPTVVAPTSTILVHLSAGSDFEMRFPAPIPVNTIPMYNGIVDQGPAVDD -LPQGEHYLTAMDTMSLQTFFNQSRFYGNFSATAGTTASMVTIPLSLISFAPSSNLKVPEAPVRMFAGLFT -FLRADLRITVGIPFQHNLIVTYRPPTATPSTYSAAGAGAPDSAASLLNGPSVILSTRASNMGVEFVIPFS -AFASVFQTSWSQANRVDTRYNPDSNQTNPGDMGTIFVASRLPSAQLSNVSVFIAFQNAEFFVPRPFPPLT -STGWDGTAPNNLEGEFWEEEDPTPVEFQAPTTEGLEKGDQVMLSVTLDILDSIRELCSELMENVDTLYGR -ARAAKFAWLVQSTFEEWKKNDQDADEFFSDVSLVEPVVFQSPPSPSAREKAARLEEFFDYMYGCVESEEE -LHAVCDAVNAVIDSFYDSDGDFIGEIRDRFLELPLSYPEGPDHAGVWFRGEFYRCLYVTTQNRFFPQVAA -YSPVETEHNLFALYEALRQFELMCIADPLSTSHHYQRLLFLLLQQQWVIPEMKSMVREKLAFLYTMVAHP -ERIFLDWNWELFHTQPFRYICNMVGVETALTDDGFFSGDEEGQFQAPTSCDQKFLNLVRAYRDAMIVNPW -HTFEVCYISFYDLTCRSDVPEWFKRAVEDACFDTLCARVAERVNVNNEVLIERYRQLYDEIFSEEPDFFS -DDEIDAEFQAPKPPAPASSVPVPRPSTPLPSPATSEDEDEGEDEPDDICVYYVDNGLYRHWGIRNKQQAI -SLKAHGFQCRVSYTPEDLGKHVDYCTVGYNEWCKAVCRLGQIYDYSITHNCTDFVQEITSYQHSFQNTGY -WLAAGVVAAAVATTAIFHSVPPSWDERPFLPEKTTPRVVVVPPRCRVHRWRRATLEKIIELKRKMLFQAP -INIQLTNPAVDRAASVTTSATLETLDEVRNMVPVLVNSASTVASSLSAASASVEHLTKSMETFLENATSA -IPGAVSAMESTAGELISSASKKVASIILKVIGFTLVIFGNPSPSTIAGVIALLVAEVYDNAYLRKKMSDL -AVSFTSKIKDLVGSLFGVSSCCDEEPIFTDIDDAFHRYMLTRTETDFEFQAPSRLQEFNQGVLAMKNVEW -IIEKIKELIDWLISKLRSKERSSPEDYLKTRADYITDLYRDSLATASCQNVDVNLLNQRVRDTRDMLAYC -TNHRLAGAAHILSRTLANYEQTSRKLKTSTYSSRPEPLVIYIHGSPGVGKSLLSNIIASAYCKRKGIDFK -TSVFAQPPGSEYFDGYTGQPVHVIDDFCQNTTGEDVKLFCQMVSTTPFVPPMAALEEKGVQYRSRLILAT -SNMATPQSNEIRIPGALERRCHIKVRAILARAFQTPAGRLDMAEAFKELGPAKSPDFKADCPYLNGAAMT -LSVTKGEDRTREHMSVYDLCDMIFDELDERDGNQTAFSKIIFQAPSQNVISFCKHADHSPDSCYNIFIAT -PQGPIVHRFDSVEDRNSWLQSQIPSLPKAGNVRCCPCKNPDCAKIQFTFKTDDEQIRSQVINFPGRDVAE -WWLRFVCGRPGSQYALESEIWPAPPIFIPTAQPIKNESIEKELKKLQKRSLISFTITGISAIASAIGLLI -YFLRRRRDPEPQAPYSGAGGRQRQPPQQRPVPQRIVHYQAPYRMPQIYPKVEKNCTSITFHQDSHSFDLT -ALFICGRTFVCNNHAFSRSHTIEIGGQKYKPEELSPELLVRPSGVTDVVICTLPRGDERKNLVPYLLSQK -DRPTNDDVLMVSRSKTIAANFECTNLRGRKSVCVKEFENADEQNFHRCYTYDLKSTPGMCGAALISRNPA -RETLLGIHFAGGPGVGIGVPLYKEDFAHLFQGNLKPIEHPGQPNHIPRRSALKKSPAYGAFPVKSEPAIL -SQKDKRCEVDLDEVMFSKHVPDHEGWPTLEPAMAYVVEELMQKCGFSKDDPVPMWTLEQAINGDGVMEGI -DMGQSAGYPFSAQGRSRRSFFEWNGEKWQATEELKKLVDHALQHPDDYYYATFLKDELRPSEKVKAGKTR -LVDSDSLPRILAMRMVFGPLFEAMLRKNGSEIHSAVGCNPDVDWTRFYYEMGPDSFPYCFDLDYSCFDSS -EPKIAFLLMAKYLEPYFQHDVTPFLSAVATSKHVYGDKAYEMEGGMPSGCVGTSMFNCINNSAFIVSALI -ALKISPDSCKWICYGDDVIISTDEKALSRRIADFYSRNTNLKVTPACKSGDFPEESTFYEVSFLKRFFVH -DSHYPQLIHPYMPLEHLEQSSMWQTDGEYQQKLDSLAQLAFHAGGPDYRKFCDTIQRRCRSRGTEVYFRP -FEYYMAMWYAHFM - ->YP_007935798.1 polyprotein P2-P3 [Canine picodicistrovirus] -MAALKEIVIRFSSRTNRKVIHDIGVTALNLCSDYELFPTLPMKECERTGTIELILRPGMYIPKTPNKATL -EMGLFDNLSTSASNIDRTTKMLSSTLTETNIKKVFDAVDSFKDTADNLNTVIPKVSALVDEVTRIFGPAC -ESIAEKIARGFIKVISAFAIIAANPSPITLLGLAGMFAADYVDVTKVVSFTESLKMFILEKLGLSEFSDI -LELPDKVSEFITDKISSIKDYTSDTISEAYDKVKSIVMEAGDDKEHLKHYRKKVDKEDLFAKATKGVKLI -NDTATAVKNVKMFIDLIMWLLDEIKKWRTKVEDTPKYFVESNKDKVFEYLELSYTFENGCNIDKSKVDEV -LYFLKKMSVAANKIDDRHTVTLVNGLYNTYSKIKAKYNSRLYMERFEPVVIYLHGKPGCGKSLASAELAK -AFARYFKLDFEECVYASPPASEYLDGYGGHKIHLIDDLGQDPEAKDWKNFCQMVSTTKYLPNMASLEEKG -IPYTSEIIIATANFSNPPDTASRDIGALRRRCKLCFEMTFAPDDGENTYKTEDSKLDVAAAFTPDDDSMP -DEYVGELTPFWNGKAVSLTRTGMAYNGPEKDCSFSLLEVFYKAIAIYEDRKSKFSRFVKKKDVTLESPSF -DSLKEFSEAVKTRTGELYEKAKSLYLSNKWMERFSKAFAVIGLLSFSFGLVYKLYNWIKPKDELESPYDS -LYMNKMKKNARKPLNKVALHEMIPNIYPRVCNNTFPIKFIDADPNSEDPKFMHMTTLGLKDWMYAVNTHA -LEDTLWIELRNVFIPLAECKRYDIKHDGKLTDLTILEVPRKYFSAVKDITKYLRKTPEIPSYNDPVVLCV -RGNFNLDVLGDNVRNFSVINTDTTAYHDVISYRAMTTRGFCGSPVVSTNNAESVIFGIHMASNGYGTGFA -VPIYLSDIPVTLEGVRKKVGEVPTPFAPTHTKLKRSPVYGVYPVTKEPAPLKPTDRRIDEGVDFNEAVFG -KYGADMKEPFRNLDVGRDVVIARLKKVLPTKKFAPCTVSEALNGKDGLPKLDLKQASGYPYNLSAIKRKH -LIESDKDGFLTATPKLLADIEESKKHPEKFPYTSFLKDELRSVKKVKAGKTRVVEAGSLPVIVEGRMIFG -NLFAYFNTHPGFETMAAVGCDPEVCWTDWYYKMREKAHTWDYDYTGFDGSIPSCSFDALADLLCEFVENE -DDVRRYISNIKNSYHAHDGNLYLIEGAMPSGCAGTSVFNCLINAMLCFSCFMDLEPEMDPFEPLLIAYGD -DILVSSDHDLFPSRVSEWMKKNTTFKITPADKGEIFNDDSDVSDVRFLKRLFVEDPICELIHPVIETETL -EPSLNWCHEGEFETKVDAISMLAFHHGPEYYRDWCKKLTDICEERNISPPGLKPYSVHRNRWLRVNGKGV -ASVPLK - ->YP_007935797.1 polyprotein P1 [Canine picodicistrovirus] -MSLDLTGAVASLLKPFPIVGPLASSLRGLASSWLSKTTNGLLNESGFEIGHGTSDRLLALTAGTGCISSQ -EAVTVRSGWAGVEPTTVPNPSTDTPTDPGPAGNRFTYTRSGEWSTDTAVDSMIFELDLPGEVMTSTLSAP -GTTFGPTADRHALGRFDFVVTVTVNANPFTSGCMLLVALPMYPSVSYTTNSLYSPSQLPIFPHQFINLRT -TNQATLYLPFVSPSPLMDPSIGNIYKVVALVYAKLRKTTELSGGPVTYTISVAPIESKFHGVHYPINKAS -LQAPTRILPSIGAFSTTSDPTNAIPLAFDSQVAIPSDYLPGLTTSLSQPLAIPTRMAVISNTAGFEINAS -TAEVGTCLYAFPVRLGNSALRTTYLGNMARFFSQYTGELKFSLMCCANSMTKGRLLISFLPGVVTRPNNI -NQAMLGSYVVYDVGLNSTFEFPIPYISPTYWRPTLGFGNHNVRDNTNQPKPAQTTLDSLGVVSVWVYTRF -QTPSANNTVPIDFIPFLSAGKGFSFRLPTAPTTYVPTLVTLQSDVKVSMGXADAARATSAPTQSSVDAEP -VLYTSNEDGQPHDVAADDVWAGVSSISQFTSPDMLLSNFFGRSRLYKTLDMPKCETSGLNYTKVPIQWGS -RSATLSEYEVIDTIMNWFQFCQADLRVEFVIYPATGSFNPPTGLVSDHPFDASAHGSGFVAVSNIPPGAL -IGPFDMNQMSAFPTVVQPVSGPITTFSVYIPFQSVWSALSCSYSSAKDYINTNWISSVAPAYYAKNFGLL -PTPEFCTLYFATGLPFDSRTEIYVSFHNIKMYIPRPIVTGTTEVTLSSIDAAFANNNGFTAHTVARVGEF -INTE - ->YP_004782207.1 polyprotein [Mouse kobuvirus M-5/USA/2010] -MANTRVSRSVLSAVAKTSTHRVYHTVLDCYDRLYLNSYPQMLYPLPRSPSFPCPFCMYDEDLEFLSPESL -CGEGAEPCWKCMSKSPRKKNNLVSPEDWLEDSDVQDWFEPQTYYSDFQQRVFDKIALLSLPGPFQAKTPE -ERAILGALQQLLKFPHLSPAQMPLAVKQLKRQGNSVTNIYGNGNNVTTDVGANGWSPTVSTGLGDAPVSA -SADTIPGRSGGASSDKTGSVSVSSGNKVGSRFSKWWEPAAARALERATDATINGIEGAGKVASKAISRKL -GSSSAPAPNSSTETPQPSLIALNPSATQSGNAAILTGSTAPSFLAYPTAKAVPLPNPDEPSQPGPSGDRT -WLLDTVTWEQSQTAGWNIAGSNGMQWTSLESPSFPISTESNWGTQSGQAPTAYPLPFSFVRAYPDTPWAA -MYDTHSMWNCGWRVQVTVNGSQFHAGALILYMVPEATTQTIESSRRNAGFVYPYVILNLYESNTATIEVP -YISPTPNTSSGLHSPWTFYLQVLTPLTPPTGLPTSLSCSIYVTPVDTTFHGLRYVAPQHWKTRLVPGAGA -FGSAVAGQELPLCGVRAFYPPNSYIPAQVHDWLEFAHRPGLMATLSWTMADEPGERLAILPVSPSAIAGT -GTPISYVLSLFSQWRGELAAHLLFTGSSQHYGRLVVCYTPAAPSPPTTMQQAMRGTYTVWDVNAASTLEF -TIPFISQSYWKTVDINNPDALLSTTGYLSVWVQNPLTGPTSAPASALVQGFLSAGESFNVRLMQNPALSS -QSLSEDLDAPQDTANIENGAADNTPQPRTTFDYTENPLPPDTKLENFFSFYRLLPLTTNSPNLPLPITDV -AQVPLDPIHWQSDADVSGLTAMLSCFTYISADLRITLRISNPNGLPISLMVAFAPPGSTIPANPDAQSLS -NFFMSEVPITASSSTLVSFSIPYTSPLSAIPTTYFGWEDWSGTNFGVLKTGSWGTLLLLPQPPSSESALL -DLSLTCWIAFGNFKGWVPRPPPPLPPLPTPATSPEKTVAIVRQGARHTLGDVPPDDTVYIIRARRPTYVH -WALRKVTPDGSAKQISLSREGLTAVVAYEPCEGEIYREVPLSSWSIAELQLGSPWDYSATNNCTHFVQRA -TNVDLPNTGFSLALGVGALALIAASAAGAAQALKGIRRQGLLTLTADAETNKTLTQVSQSVTQAAQVVSN -FDLAGPANSVSLAASDIREAATKVASSLNGFTEVISDLKTSLFSKVTDAVESGVATFLTWLAKIFGYLLV -LFGSPSPMSISGLLVIICADLAPHARDFFTASGNVLSSLHFWIATKLGLTVTPEECEQAALEPQGLKDFN -DGALAMRNVEWIGETAWKWAHRILDWVRGKAKTDPQAKLADVHDEIMMHYSDSILALGTEKPPIDHITRA -ISRCRELVSVAQDAKSGPHSAFLNQSLRNYTLALSQHRKRQVGPRPEPVVVYLYGPPGTGKSLLAALLAQ -TLAQRISGDPDDVYSPTAASCEYFDGYTGQAVHYIDDIGQDPEGRDWANFPNLVSSAPYILPMASLEEKG -THYTSRVIVITSNFHEPNERAARSMGALKRRVHLRINVTSNGVRFDPVNALNPIPGTQSKYFTSQTPLTL -FQANVVRLDRDSIWTPSFSNMDELVDAIMARMDRSSGVSNSLASLIKRQGNRVVEATPREIPEEYADELV -EALAHHRAVPCSLSLSHAISNNTPLETISQTLWRYRKPIFAATTFLAVVGFLCSIIPLARSIWQGREDSS -SQPQAAYSGVPHQKSKPKTPKPIPTQHIQRQGISPAIPGISQNVVHVESGNGLEKNVMSGFYLFSRYLLV -PTHLREPHHKTLLVGVDAYDWATLPTLELGELTLVHTPTSRQYKDMRRFIGAHPYPTGLLVSQLKSAPLY -VRFSGNRVLDMNFPGAIVCEQAYGYHAATFEGLCGAPLVTDDPAGVKILGLHVAGVAGTSGFSAPLHTIL -PEILRFATTHQSLIIPTGEAKPGVNINRNSRLRPSPAYGAFPLKKEPAPLKRRDPRLNEGIDLDSQLFLK -HGKGDQTEPWPGLEAAADLYFSTFPPSLPVLTQEQAIHGTPNMEGLDMGQAAGFPWNTQGRSRRSLFDEP -EPGYFVPKPELQVEIDRTLEDPDYTYSTFLKDELRPTAKVENGLTRIVEAAPIHAIVAGRMLLGGLIDYM -QARPGSHGSAVGCNPDVHWTEFFYKFSEFSQVFDLDYKCFDATLPSAAFSLVAKHLERLTGDPRVVKYIE -SIRHSHHIYGNQMYDMIGGNPSGCVATSILNTIINNICVLSALIQHVDFSPTKFQILAYGDDVIYATEPP -IHPSFIRDFYQRHTPLVVTPANKGSDFPPTSTIYEVTFLKRWFVPDDRRPFYIHPVMDPDTYEQSVMWLR -DGDFQDVVTSLCHLAFHSGPKTYERWCMKVREQCLKSGFAPNFLPYSYLQLRWLNMLAA - ->YP_005102465.1 unnamed protein product [Porcine kobuvirus SH-W-CHN/2010/China] -MASSILVSDSVFAPILTHKLSRRIRRHPVAWHPRENSRLSYITAMEELDACYINEQHRLFPLPRPSHWYN -CLYCQHPSEDGDTLMSLACEYDEDCPFHQSIIHPSKPVQKDPEDILEDSEWPDNILHPEPPSFTTDAEQN -WHDRLASASLPGPTQARPLSKFFAENPPPVSLAGKINLSDFTDLTLSTPGQMVRQGNSTTNIYGSNNNVT -TDVGANGWTPTVNTGLGDCPVSSSADDPAGRSGGSSHRQQGHIHHFQGRSPQLNHWWEPAAAKALSRGVD -KALDGIEGAGKLASSAIKSKLSGARPGPSPNLIALNPSATQSGNAMITTGSTAPVVCAYPPTPSVPLPNP -DAPSVPGPSGDRTWLLDTLEWTTENPQLWNLAGPNGMQYSALQNLTFPVSSSANWGTQDNSPPTAYPLPF -SFVHAYPDCPWTAMHDTHSMWNCGWRIQVVVNGSQFHAGCLALVMVPEYSAGELAQGRLNAIFTFPYALL -NLYQGNTASIEVPYIGPAPNASTCVRAPWTFYLMVLPPLSVPTGSPTSLSVSIYVTPLNTSFHGLRQVQT -QHWKIRSLPGAGAFGNVVAGQEIPIYAMESFRPPVDYLPAKVNDWLEFAHRPGLFESYTWTMAETTGEKV -AEAVIEPVLLAATGTPISFVTNLFAQWRGELQLSLLFTGSAQHFGRLAICFTPAAGTPPTSLQDALRGTY -TVWDINSSSTLDFTIPFISQSYWKVTNMANQNSLLATLGTVSIWVMNPLTGPSPAPPSAVIQAFVSAGES -FTLRGIQSPGFQIQAADDDNAPPPVSSIESGTATTEPELRTTFSYTDNPTPPDTNLQRFFSIYRPIFVDG -EDYSVGFTAEAGYTFPLNPVDWVANAGPGDTLPLLLSCFTYFTADPRVAITFSNPAAYAARVTIYFAPPG -SSPQSEETPGSSMGCFYSIQASIPPTSEATIPISVPYASPLSAIPLSFFGFSDFAGGQDVVNTTFGTLYI -RVSFQGDVPATTMITMTTQIAFGNFCGYVPRAPPPLEQPPPLLPAVVRPKGRSPVVRQCQAGDVPTPTLC -PDVRVYIVKVQRMTYTHWALRAVHSDRTEQISLSRLGLNAYVAYEDPQGVVYQEVEPCHWMVAVAMVGTR -WDYSATNNCTHFVSNITGVELPNTGFSLLLGLGALALLSGCAAVQASKGRVTRQGLLSLEAPPEVVQAAN -RVADSIETTASAVRDADLARSTLNISMAANRVADSIETTASAVRDADLARSTLNISMAASDIRMAATQVS -SSLNGFTDMLSSFSKTFTQGASKVLRDGISTFLTWVAKVFGYLLVLFGSPTPMSIAGLLVIICADLAPQA -SEYFHSCSSVLGSLYYWIATKLGLSVTPEEAHAATVEHQGVRDYNDAVNAVKNTEWLMDTCWRWAERVLT -WIRGKAKTDPQTVLADAHDEILRHYSESIAALSSERTPVSAITDAITRCRELTKVAADAKSAPHSSFLSQ -ALRNYQLALNSARMAQSGPRPEPVVIYLYGPPGTGKSLLASLLARVLAQKLSGNPDDVYSPSSASCEYFD -GYTGQVVHFIDDLGQDPEGRDWANFPNLISSAPFIVPMANLEAKGTHYTSQVVVVTSNFAGPNERAARSI -GALRRRMHLRINVDRIDGKPFDPVEALKPLNQPSKYLTSQTELSLFRSFKLTVAVDSLWQPSFTDFDSLV -DAIVGRLDRSTGVSDLLASLVKRQGLTIEAEPTELSYQDALEILSESKPVPTSLSFERAVSQNAPLSVVN -QLWNYRKPIFACTTFLTVIGFVLTIIAVARTLWKAKEDAPDKIQGAYSGLPRLKRQEKMRPSHPPPPPPS -QSRSVVRQSLSPALPKIADNVYPIATESPTIGRSASCGFFLFSRFFLAPTHIIPDDTTRISVGPDVFDWA -TLQHKRLGKELTIIHFPTIRQHRDLRRFIGEHPYPTGHLISTLSGPPVYLRFSKNRWVTLDLPGVVEEPT -AYGYKAPTFQGLCGAPLITDDPAGVKLLGLHVAGVTGCSGFSVPISTYLPEIEQFAIDQQSIIIPGPNIV -PGVNVNRKSKLGRSPAFGAFPVKKQPAVLTQKDDRLEEGIRLDDQLFLKHNKGDMDEPWPGLEAAADLYF -SKFPTMIHTLTMEEAINGTPNLEGIDMNQAAGYPWNTMGRSRRSLFVQQNGMWLPLPELEVEINKTLEDP -YYFYSTFLKDELRPTSKVTLGLTRVVEAAPIHAIIAGRMLLGGLIEYMQANPGKHGSAVGCNPDLHWTKF -FFKFCHYPQVFDLDYKCFDATLPSCAFRIVEEHLERLIGDERVTRYIETIRHSRHVFGNETYEMIGGNPS -GCVGTSIINTIINNICVLSALIQHPDFSPESFRILAYGDDVIYGCDPPIHPSFIKEFYDKHTPLVVTPAN -KTDTFPENSTIYDVTFLKRWFVPDDIRPFYIHPVMDPDTYEQSVMWLRDGDFQDLVTSLCYLAFHSGPKT -YDRWCTRVRDQVMKTTGFPPTFLPYSYLQTRWLNLLAA - ->YP_003853285.1 polyprotein [Passerivirus A1] -MAHRSRPFPADPKTETQILNHLHFAITTLHRDPNYTPPCTTRLPVRIHKAAWAFHAFGYRCTLVGFEPST -PWTLKEVKQVLPETLFQEECHAFPLPSPTIQGFTYLTPDPIFADVLRRVRSGDFTVFQSDNAKVSGVDDD -YTLIYSFGPHTARYTIPRSRPWLTSMEFVPSKQGQTITNIYGDGNWVTSSTGANGWSPSVNTNLADGTIT -STNTGSPAPQNPNGPSNSSRPNKPRMSTLGRVNDQLAQERIRTLRAGSIGMDIPSQAPITQPLDIPPRAD -VSYLGEPFRPGPQVDRFWEVSHAKWNLSDTGLLTWDTSALQSTLAAPCTKHANFGSGTHLNFPYSILNSQ -PGTAISNAFSTHSYWRGDALIQVTVNSSPQASGVLLVAAIPEGVDVLTPQSATHYPFTLLNLATTNCCAL -LLPFLSPNPVDPCGLHFQWNVVALPITPLRVPAGTPSFLDVSCMMAPQDSEFFAMKTPAQQGVKVRETSA -TGNAYVTSCSAGTSIPALPYIPSNPPTTYVPGRTTNYMGLANQPGLMALVDIGMDSPVGTKLLCQKIDPI -SLTTPISGTLTPLGQTLTHFSQWRGTLDIDLVFSGSQQNTGRILVSTTPPVAGCPATMEAAMRNTCYIWD -LTTSASISITIPYFSSTPWKTLSRSTSLSLSVNQLAGWLGLYVYSPLSCPAFNPDQATIAVFIRGGADFE -ARIPSGLATATIQGDEEVPASSNDGLNTLELRPSSDGPESMSVAAYYSSFSPISLYSTPSSQRNPSIPIT -SYPYALPLDPTHLIAGGTSNYVCPSLSTLLFREFTYLSADLEIDIVFTPGGDSDLPRDVDVYVIPPGCTI -PANPNPVTLSGFPSAGWREMTEDRAHVTLSVPFSAENPVIASRYLGFPSYESSQSLATSLYSFGTLLITS -PYFQNPLGTAYAEISLRFVNLQAFLPRPLSFNTLPDTQTYTAPAYVAARRQAGGILRTSPEPCFVIRNQR -LTYVHWALRSGNKQVSIQRSGLGGLTPVIGYEDLEGEVYAEVPPHIFALAEAQVGSEYPYSATNNCTTWV -ETLTNLSLPNTGNSLALGLGAIGVGAAAALAVKTAEAKKQGLSEVSRNANATAESLQRAAHIAHDAVSKA -EKIASSVSLPSSTDALRAAAADMKVAANLIAGSIKDAGDIVDRVSPVIDSLASTADNAVQGFLKILAKLI -GYIMILFGSPTPLSVAGLLVVIASDFAPAVVQYFKNVGNPLAALYYWIARKLGLESVTAKDAVDAAPTLS -QLSSEPTPVQTPPQPTRQGVKEYNDGVNALKNTEWLIKKIFEYIKDLLEWLGVRAKSEPSAQLAQSHDTI -MALFQDSISATSAPSLDLPAARSNLAKAQSLLPLATAAKSNTHSHILTQSIKNYTNAIASQEALLAPPRP -EPYVLYLYGKPGSGKSVFAQALARTLAYHLCGDPESVYAPSSSDCAYYDGYAQQCVHYIDDVGQDPEGKD -WKDFAQLVSTSPFIVPMASLEKKGMLYNSKVIILTSNFSEPNPRASRIPQALQRRLKCMIEIEPLSCPTQ -SNLHTLSSLPNQFNPETALRVDGPATRYFANDCPMLRFEAFRLTSNHGFKHADDIVRHVLDKVKNSGGIS -NALAALIPGVKPLARQQAGDEVPISKAPTCFTNPSYIPGSTEDLSWDPTDIQSSVPTPEVVAVKSNDIGL -LKKIWKYKKPLIATATFLSILASVTVLFTSLYTTFRSRSQPQGAYAGMPLVREGTVYHGAYSGLPLSSAP -ASTRPKASPRPLRQGLQECDIALSRNVVTVTSYDETSGKMLQLNGLALFDRWVATVTHIKLDRPMTVDIN -GCSYPVTRHVVHGEIAAVYAPKMPQVRDIRRFIRAPRMHHVGTVIANSTSGPVFMLANNVKHGDTPYPDL -TGLTQVYTYDTPSYDGLCGAPLILHHPASPTVVGIHEAGVAGVSGVAIPLLPLLEKIPLPTQQSVITPLP -MPGPGVHIPRRSRLRPSPAMGAFPVEKIPAALSSHDKRLPEGVDVDTVAFSKQNRGDLDKPWPTLPVAVD -LYFSQCDFPKLRMLSMDEAINGTPLLDGLDMKQSAGYPWSLTTNRRSLFTQDPETGKYKPVPELEEAVLA -CLENPDYFYTTMLKDELRPTEKALAGKTRLIEAAPIHAIIAGRMLLGGLFEHMHARPGQYGSAVGCDPDY -HWTPFFHSFDRFAEVWALDYSCFDSTLPSCAFDLIAAKLSQIITPLPGIAEDAIVKYIRSISVSKHVFGD -RAYLMTGGNPSGCVGTSILNSMINNSVLISAFLTHPQFNPAEMRILTYGDDVLYATTPTIHPSFVKSFFD -QNTTLIVTPATKAGDFPDHSTIWDVTFLKRWFVPDEERPWYIHPVIEPATYEQSVMWTRGGDFQDVVTSL -SFLAHHAGPTNYDAWATKVREQAARKGLLPNILPYSYLHHRWLQLVVS - ->YP_003853286.1 polyprotein [Oscivirus A1] -MARVCANTTPVRGWYSAPYFPIPSYAKMKNWFGFMDVVPRVDLASSIKLACGQGASYSIVVGDGNNLETN -QGANGLTATVPVNSTFSGEVVARSSRGSNPPPPAPNHKEKKPKEGRSKAWDFVKKLLPDPSNEVSLLDVD -RISTISAAGTAIAVQQDIPPVFAYEGKFEYPELTGEEISTIDLLADRYFQIDSFTWGPSIELFKPLSGSN -SVKYTAPEAEISVWSFPESLLKATSKLAFTKAFHTNAYYRCGWEFLLEVNGTVFHQGAIAMTAIPECASP -GAFMSGIGRTLIYPHAILNLRTSNQARLIVPYASANRFDPTTGAEFAEETPGMTVKSYHQQWVLVIWVMA -PLQILQGETQLIVNLLARPLEAQFMAPHYSYGQPFMVRNLVAPEGLATAQPGQEFNAVNWSPIVPEPKWL -AGEYTHMSQIAQVPSCIGILRWSGGSAAGTGTIIYRAPITTSNLVEMDTWLSFAMQFYTHWRGSILLNLT -FCGSRQQSGKLLVSFLPLSTAGNLSLEELMSGTYTVWDVGLNSTLSFVVPFCSQTAWKAIGSASGLEFSQ -ALGVLYIAVYNPLVTPYGATTAPILVSWSAGSDFQLRQPAVPRLLAQSDDQITNLETGIATEFLPAQIPM -GNLDQSELSDLFAIYRPFWTGEDFSQSVITANTDEGDTYWMVSLDPTDWATSSLFSQLVKSFTYVNGNLH -IKITVQSKSEVANFTLAVAYIPPGGPMGPDLKQALNFPLVQIDISSYTIKEIPINIPQSCVTEAIPTSYS -GFADLTRGTWGRLSGSGWGTLVVAALPRGGKSLRMAWWMDARITQARVYMPRVIGRVGGLQSEKKSPFSL -TTRSAGPLWKANQVVSKVVEFSDTPELLGQVRPGAAPTHLWREIWLYEGPLSFALSDGSEHIVVTNKIFP -GDLDGLVRTQKVPLVKFLQLRSLIGVTLVTSRAEFLDMLLNIDGCSPQTQLGVLDFPPTGQCVGQGIIEN -TRIAAESVSYASMNLERALTQERLDKISEISKSFMLASENVHMAVDGVNAALSHLVPVISSCDEVKPLHG -LAQSLVTWIVRIIGILVLLVSNFNLSTLTGVFLILSADFITKVTFEAFKDNPFQFIGKWISEKLDLGVDV -DIEPILYVKEEEDPEEGPSGQAFKPKDFNDWANFFKNIDWAVTRLVVLIEKLIKQIQAAKANPEIGISWY -HDDIINLYSDSIKSLSLEDVDKTQLSMNLGEVRRLLSMSLKAGNTGYQTLLKQALSNYTQAQNSLQRTIF -AVRPEPVVVYIYGGPGSGKSVLAGLLARAISKALSGRADDIYAPSSFGTDHFDGYHQQTVHLIDDLGQAV -DGSDWANFCNMVSSAPWAPPMAKLEEKGMYYTSKVIIVTANFNLPNYASAREPKALERRLHFKMFMGGSL -NVDFACAPDGIPMRYFKSGCPLLRNSNGAIKESGSVLPCKFSDMDDVVELVLAEVKKRSLGLTMFDDLVG -QAASPEAQPSPPGFFRSVKNTFSVLVGRNPEACTLEEVQKLKKRANWLKAVFTGLGIIGALFAMWKAIKP -SDSPAEPEADPEDSLEKIPESQGPYNTIPHMYRRPEKPTSRLKMEKAKYQGVPPILRKVQDSVKWTTFFS -DSVPIGACSSWNVVDRFHLTVNHVWEKATNFKIGNVMYSKEKISFTRIGEAVLFYLPNVPQGKNLLKFVK -ARTIRGVRAGFLAGNMDGVPNVVRVWEMTTFRGIETQDGIFNEHCLGYRCASYSGLCGAPLILEDPADYR -IAGIHFAGYAGYSGFATHFNKQELVEAMAKISVPQSQIVEAGTLEKPVHIPRNSVLKPSPAAGAYPTVLE -PAVLRRSDGRLLDGVVLDEAIFSKHDKGDMTEPWKNLPQAFSVYFNQFQNKEIRTLTLHEAINGTPLLDG -IDMNQSPGYPYITQGVSRRSLFTWNPDGHWDPVPELVAEVERALENPQEFIYTTFLKDELRKVEKVENGL -TRVIEAAPLPVILAGRMLLGGLFEEMQSQPGKYGSAVGCDPDIDWTKFFWKFERFEHVYDMDYKAFDSTV -PTAAFDLLSYHLERLIGDPRISKYISSIASSRHVYGNKIYLMLGGMPSGCVGTSILNTICNNCFVLSALL -EHKDFDINQYYIIAYGDDVVYATNPPISPTFIKSFYDRYTPLVVTPADKSDKFNESSTIFDVTFLKRSFV -PDPTKPWLIHPLIDPVVYEQSCMWVRDGDWQDTLDSLCQLAFHSGPKTYARWVETVRAKAHSRGVLPRFY -PFDYLQKRWELKLES - ->YP_003853287.1 polyprotein [Oscivirus A2] -MDSPGHTPEYHIFTRRNTAKRTKIDCSLPSNTKPFSGWYTKPAVPIASYRKTFNPLDPYLAVGQGASYSV -VIGDGNSLETNQGANGLTATVPVNSTFSGEVTARAPRNPPALPQPPPAHKEKKPKTTDGKKPWDFIKKLL -PDPQNEVSLLQVDRISTVSAAGTAVAVQSDLPPVLAYEGKFEYPPLTGEEISTIDLLADRYFQLDSFQWG -VATNQFYPLSGTTSVTYAAPDNTYSVWSLPESLIKATGKLAFTKAFQTNAYYRCGWEVLMEVNGTFFHQG -AIAVVAIPEVQTTAAFTGSLGRTLAYPHAILNIRTSNQARLLLPYASANRFDPTTGAVFKSDSGLPGLGT -LSYHQQWVLVVWVISPLQVLSNESNLTVNLLVRPLQAEFMGPHYAYGQPFVVREVVGSSGFANTQPMQEF -NAINWSPVIPEPDWLAGEYRQMSQVAQIPTIDRLIRWYGGSSNPAGTILYQVPITTATLVENDSWLSFAM -QFYTQWRGTVMAHLTFCGSRQQTGKLLVAFLPLGTESKLTLEELMSGTYTIWDIGLNSTLSFVIPFCSST -SWKSIGASSGLEFSQALGMLYIAVYNPLVTPYGAPNAPVILSWSGGVDFQLRQPTAPRLFGQGDDQITNI -ETAVPTEPLPAQMLLGNLDQSDLETLFAIYRPFWTGENFSQSRIVIDDDNPSNLVWYISLDPTDWAETSL -FSQLVKSFTYIAGNLHIKLTVYNMSLYNPFTFSATYIPPGGNIMSSLPMSQNMPLVQIDMDGNYMREIPI -NIPQSCATEVIPTAYAGYSDLSRKTWGRLSGSGWGTLMVSIQQRVIGSNKIRASWWMDARITNARAYMPR -IVKAVSKASDEKRPFSLTTRSAGPLWTPSQVSTSTVGYSDATGQGKLGDTPVHVWRKCWLWEGITSFAIS -DGNTHIVVDTTVHLGDLDGLTRIQQVPLVKFLQLHSLVGVTLVTTRAEFLDMLLDSEGYSAQTQIGVLDY -PPSAQCTGQGIIENTRIAAESVSYASMNLERVLTQEKLDRISEISKSFMLASENVHQAVEGINTALNAIT -PILSTSPLIEVTEGLAGKIISWVIKFIGFIVIIASNFSLPTLLGVFMLISSDYITKISFDLFKNSPFQCV -CDWFCEKLGIEKKISVDPEIFHDPLEGPSGQGFKPKDFNDWANFFRNIDWAATRVIALITKLIEQIREAR -KEPEIGIFWYHDDIINLYSDSIKSLTLEDVDKTQLSINLNETRRLLSMALKAGNVGYQTLLKQALSNYTQ -SQASLQKTLFTTRPEPVVLYIYGGPGSGKSVLAGLLARAISKALTGKVDDIYAPSSFGTEHFDGYHQQTV -HMIDDLGQAVDGSDWANFCNMVSTAPWAPPMAKLEEKGMFYTSKVVVVTANFNLPNYASAREPKALERRL -HYKLFLGGQLNVDFACAPDGTPMRHFKSGCPLLRNSAGLLKDSGSILPCKFKDADDLVDLVVAEVRRRTG -LLSMWDDLVGQGTDDSPTKPGFFRSVANTFAVAVGKNPPVESMEEVVKLHKRAAWLRAVFAGLGVVAGLL -TMWGIMRSGNSSDQEPESEGPAVSQGPYSSIPHHFRKPTKPQERLKMDKAKYQGVPPIMRKVQDSVKWIS -FFSDGVPAGSCSAWNVVDRFFITVFHMWNRATSFKIGNVMYVKDDVKMTRIGEAVLFYLPNVPQGKNLLR -FVKARSIKGVKAGFLAGNMDGVPNMVRVWELTTFRGIETQDGIFNEHCLGYRCASYAGLCGAPLVLEDPA -DYRIAGIHFAGYAGFSGFAVHFMKQEILDAMARISIPQSKLHDLGTLENPVHIPRKTVLKPSPAAGAYET -TLQPAILSQRDGRLEAGLVLDETIFEKHDKGDVTEPWKNLQEAFAIYFNQFQNKEIRTLSLHEAINGTPL -LDGIDMNQSPGYPYITQGRSRRSLFTWNEEGFWEPTDELKVEVEKALENPSEFFYTTFLKDELRKNEKVY -AGSTRVIEAAPLPVILAGRMLLGGLFEEMQSQPGLYGSAVGCDPEVDWTRFFWEFEKFPNVYDLDYKAFD -STVPTAAFKLLAVHLETLIGDSRVGKYIMSISSSKHIYTSKIYLMQGGMPSRCVGTSILNTICNNCFLLS -ALLEHPDFDLDSYYVIAYGDDVVYATNPPISPSFVKKFYDEHTPLKVTPADKGSTFNENSTIFDVTFLKR -SFVPDPDKPWLIHPLIDPNVYEQSCMWVRDGEWQDTIDSLCQLAVHSGPKTYQTWVDTVRAKAKTRGVTP -RFYPFEYLHRKWEHKLED - ->YP_003065643.1 polyprotein [Salivirus A] -MMEGSNGFSSSLAGLSSSRSSLRLLTHLLSLPPPNRDARRHSGWYRSPPTLPVNVYLNEQFDNLCLAALR -YPGCKLYPSVYTLFPDVSPFKIPQSIPAFAHLVQRQGLRRQGNPTTNIYGNGNEVTTDVGANGMSLPIAV -GDMPTASSSEAPLGSNKGGSSTSPKSTSNGNVVRGSRYSKWWEPAAARALDRALDHAVDATDAVAGAASK -GIKAGATKLSNKLAGSQTTALLALPGNIAGGAPSATVNANNTSISSQALLPSVNPYPSTPAVSLPNPDAP -TQVGPAADRQWLVDTIPWSETTPPLTVFSGPKALTPGTYPPTIEPNTGVYPLPAALCVSHPESVFTTAYN -AHAYFNCGFDVTVVVNASQFHGGSLIVLAMAEGLGDITPADSSTWFNFPHAIINLANSNSATLKLPYIGV -TPNTSTEGLHNYWTILFAPLTPLAVPTGSPTSVKVSLFVSPIDSAFYGLRFPIPFPTPQHWKTRAVPGAG -SYGSVVAGQEIPLVGYAPAAPPRDYLPGRVRNWLEYAARHSWERNLPWTAADEVGDQLVSYPIQPETLAN -TQTNTAFVLSLFSQWRGSLQISLIFTGPAQCYGRLLLAYTPPSANPPTTIEEANNGTYDVWDVNGDSTYT -FTIPFCSQAYWKTVDIGTSSGLVSNNGYFTIFVMNPLVTPGPSPPSATVAAFLHVADDFDVRLPQCPALG -FQSGADGAEVQPAPTSDLSDGNPTTDPAPRDNFDYPHHPVDPSTDLAFYFSQYRWFGLNEDLTPLNVTGG -LFYHVSLNPVNFQQNSLLSVLGAFTYVYANLSLNINVSAPLQACTFYIFYAPPGASVPSTQTLAELSFFT -HTATPLNLAAPTNITVSIPYASPQSVLCTSFGGFGLQNGGDPGNLHSNTWGTLILYVDLPQSDSVSVSAY -ISFRDFEAYVPRQTPGVGPIPTSTSIVRVARPTPKPRTVRRQGGTLADLILTPESRCFIVAHTTAPYYSI -LLVNPDEEYAISMFTHGDESILRYSSRGGTRLAPTAPAFFLCAAASVDTILPYPISQSHLWLSDLTGIPL -RAVPPLTLFLSAGAALCAGAQTLIAVAQGGSAPDTPPTPNRALFRRQGLGDLPDAAKGLSAALENVAKVA -GDADIATSSQAIASSINSLSNSIDGATTFMQNFFSGLAPKNPTSPLQHLFAKLIKWVTKIIGSLIIICNN -PTPSALIGVSLMLCGDLAEDITEFFSNLGNPLAAVFYRCARALGLSPTPQSAAQAAGGRQGVRDYNDIMS -ALRNTDWFFEKIMSHIKNLLEWLGVLVKDDPRTKLNSQHEKILELYTDSVTASSTPPSELSADAIRSNLD -LAKQLLTLSHAANSVTHIQLCTRAITNYSTALSAISLVGTPGTRPEPLVVYLYGPPGTGKSLLASLLAST -LAQALSGDPNNYYSPSSPDCKFYDGYSGQPVHYIDDIGQDPDGADWADFVNIVSSAPFIVPMADVNDKGR -FYTSRVVIVTSNFPGPNPRSARCVAALERRLHIRLNVTARDGAAFSAAAALKPSEPLAATRYCKFSNPLT -QFSMFNLAVDYKSIVLPNTPLSCFDELIDFILGSLRDRASVNSLLSGMVRTDVARQGGNADAPAPSAAPL -PSVLPSVPSQDPFVRAVNENRPVSFLSKIWSWRAPIFAASSFLSLIAATLTIVRCLRDLRSTQGAYSGTP -VPKPRKKDLPKQPVYSGPVRRQGFDPAVMKIMGNVDSFVTLSGSKPIWTMSCLWIGGRNLIAPSHAFVSD -DYEITHIRVGSRTLDVSRVTRVDDGELSLISVPDGPEHKSLIRYIRSASPKSGILASKFSDTPVFVSFWN -GKPHSTPLPGVVDEKDSFTYRCSSFQGLCGSPMIATDPGGLGILGIHVAGVAGYNGFSARLTPERVQAFL -SNLATPQSVLHFHPPMGPPAHVSRRSRLHPSPAFGAFPITKEPAALSRKDPRLPEGTDLDAITLAKHDKG -DIATPWPCMEEAADWYFSQLPDSLPVLSQEDAIRGLDHMDAIDLSQSPGYPWTTQGRSRRSLFDEDGNPV -PELQKAIDSVWDGGSYIYQSFLKDELRPTAKARAGKTRIVEAAPIQAIVVGRRLLGSLINHLQGNPLQYG -SAVGCNPDIHWTQIFHSLTPFSNVWSIDYSCFDATIPSVLLSAIASRIASRSDQPGRVLDYLSYTTTSYH -VYDSLWYTMVGGNPSGCVGTSILNTIANNIAIISAMMYCNKFDPRDPPVLYCYGDDLIWGSNQDFHPREL -QAFYQKFTNFVVTPADKASDFPDSSSIYDITFLKRYFVPDDIHPHLIHPVMDEATLTNSIMWLRGGEFEE -VLRSLETLAFHSGPNNYSTWCEKIKAKIRENGCDATFTPYSVLQRGWVSTCMTGPYPLTG - ->YP_003038594.1 polyprotein [Salivirus NG-J1] -MEGSNGFSSSLAGLSSSRSSLRLLTHFLSLPTLPVNIYLNARRHSGWYRSPPTLPVNIYLNEQFDNLCLA -ALRYPGHKLYPSVHTLFPDVSPLKIPHSVPAFAHLVQRQGLRRQGNSITNIYGNGNDVTTDVGANGMSLP -IAVGDMPTASTSEAPLGSNKGGSSTSPKSTSNGNVVRGSRYSKWWEPAAARALDRALDHAVDATDAVAGA -ASKGIKAGAAKLSNKLSGSQTTALLALPGNIAGGAPSATVNANNTSISSQALLPSVNPYPSTPAVSLPNP -DAPTQVGPAADRQWLVDTLSWSETIAPLTVFSGPKALTPGVYPPTIEPNTGVYPLPAALCVSHPESVFST -AYNAHAYFNCGFDVTVVVNASQFHGGSLIVLAMAEGLGDITPADSSTWFNFPHTIINLANSNAATLKLPY -IGVTPNTSTEGLHNYWTILFAPLTPLAVPTGSPTTVKVSLFVSPIDSAFYGLRFPVPFPAPQHWKTRAVP -GAGTYGSVVAGQEIPLVGYAPAAPPRDYLPGRVHNWLEYAARHSWERNLTWTSADEVGDQLVSYPIQPEA -LANTQTNTAFVLSLFSQWRGSLQISLIFTGPAQCYGRLLLAYTPPSANPPTTIDEANNGTYDVWDVNGDS -TYTFTIPFCSQAYWKTVDIGTSSGLVSNNGYFTVFVMNPLVTPGPSPPSATVAAFLHVADDFDVRLPQCP -ALGFQSGADGAEVQPAPTSDLSDGNPTTDPAPRDNFDYPHHPVDPSTDLAFYFSQYRWFGLNESLTPLDA -TGGLFYHISLNPINFQQSSLLSVLGAFTYVYANLSLNINVSAPSQPCTFYVFYAPPGASVPSVQTLAELS -FFTHTATPLNLAAPTNITVSIPYSSPQSVLCTSFGGFGLQNGGDAGNLHSNTWGTLILYVDLPQSDSVSV -SAYISFRDFEAYVPRQTPGVGPVPTSTSIVRVARPTPKPRTARRQGGTLADLILSPESRCFIVAHTTAPF -YSILLVNPDEEYAISMFSHGDESILQYSSRSGTRLTPTAPAFFLCAAASVDTVLPYSISQSHLWLTDLTG -IPLRAVPPLTLFLSAGAALCAGAQTLIAVAQGGSTPETPPTPNRALLRRQGLGDLPDAAKGLSAALESVA -RVAGDANIATSSQAIATSINSLSNSIDGATSFMQNFFSGLAPRNPTSPLQHLFAKLIKWVTKIIGSLIII -CNNPTPSALIGVSLMLCGDLAEDITEFFSNLGNPLAAVFYRCARALGLSPTPQSAAQAAGGRQGVRDYND -IMSALRNTDWFFEKIMTHIKNLLEWLGVLVKDDPRTKLNGQHEKILELYTDSVTASSTPPSELSADAIRS -NLDLAKQLLTLSHAANSVTHIQLCTRAITNYSTALSAISLVGTPGTRPEPLVVYLYGPPGTGKSLLASLL -ASTLAQALSGDPNNYYSPSSPDCKFYDGYSGQPVHYIDDIGQDPDGADWADFVNIVSSAPFIVPMADVND -KGRFYTSRVVIVTSNFPGPNPRSARCVAALERRLHIRLNVTARDGVAFSAAAALQPSNPPSATRYCKFAN -PLTQFSMFNLAVDYKSVVLPNTPLTCFDELVDFVLSSLRDRASVNSLLSGMVRTDVTRQGGNADAPAPSA -APLPSVIPSVPSQDPFTRAVNENRPVSFLSKIWSWRAPIFAASSFLSLIAATLTIVRCLRDLRSTQGAYS -GTPVPKPRKKDLPKQPVYSGPVRRQGFDPAVMKIMGNVDSFVTLSGTKPIWTMSCLWIGGRNLIAPSHAF -VSDEYEITHIRVGSRTLDVSRVTRVDDGELSLLSVPDGPEHKSLIRYIRSASPKSGILASKFSDTPVFVS -FWNGKSHSTPLPGVVDEKDSFTYRCSSFQGLCGSPMIATDPGGLGILGIHVAGVAGYNGFSARLTPERVQ -AFLSHLATPQSVLYFHPPMGPPAHVSRRSRLHPIPPAFGAFPITKEPAALSRKDPRLPEGTDLDAITLAK -HDKGDIATPWPCMEEAADWYFSQLPDNLPVLSQEDAIRGLDHMDAIDLSQSPGYPWTTQGRSRRSLFDED -GNPLPELQEAIDSVWDGGSYIYQSFLKDELRPTAKARAGKTRIVEAAPIQAIVVGRRLLGSLINHLQGNP -LQHGSAVGCNPDIHWTQIFHSLTSFSNVWSIDYSCFDATIPSVLLSAIASRIAARSDQPGRVLDYLSYTT -TSYHVYDSLWYTMIGGNPSGCVGTSILNTIANNIAVISAMMYCNKFDPRDPPVLYCYGDDLIWGSNQDFH -PRELQAFYQKFTNFVVTPADKASDFPDSSSIFDITFLKRYFVPDDIHPHLIHPVMDEQTLTNSIMWLRGG -EFEEVLRSLETLAFHSGPKNYSAWCEKIKAKIRENGCDATFTPYSVLQRGWVSTCMTGPYPLTG - ->NP_740257.1 unnamed protein product [Aichivirus B] -MDPSNFKLFSISHRTAKRWSWRRFRVETREKTLYSYVDPAVDAYLKRERRFPFSLPKPSHFYDCLFCNHP -SEDDEDSLLTLDGEYGDPCPLHSGVLDPKPRYQKPPEDILEDSNWCDVLNEDVTYSTDSLQNAFDQLALA -LLPGSAQVKDSSHISKLYFKLFSLSQFPGVPTTPLSLPKPTGNIISQGQSQTNIYGNGNNVTTDLGANGW -SPTVNTGLGDGPVSSSQDEVPGRAGGSSSAPKNSSPAVSTTHAQNFKHWWEPAASKALSRGVDKAPDGIE -GAGKLASDAIKSKLSGARPGPSPNLIALNPSSTQHGNAMITTGSTAPVVVAYPPTPSVPLPNPDCPSEPG -PSGDRTWLLDTFEWSQENPMWWCLAGQNGMQYNTLANPTYPFSASTNWGTQNGVAATAYPLPASFVQAYP -DCPWTAMHDTHAYFNCGWRMQVVVNGTQFHAGALLIYAVPERPLLPNGVEYEHTTFVYPYTILNLYQSNT -ATLEVPYVGCTPNTTTCVHSPWTFYIAILTPLAVASSSNQTLSVSVYITPVNSSFYGLRHVSKQHWKTRA -VPGSGAFGTAVAGQEIPIYAFETFTPPVDYLPAEVHDWLEYAHRPGWADMFNWTTADTQDTRLALVPVSP -AWLSASGTPISFVLDLFTQWRGELTLSLLFTGSSQHYGRLVVCYSPPSGAPPATITEAMRGTYTVWDINS -SSTLDFTIPFISQSYWKITNISAPDSLLASFGTLTLWVVAPLVAPSAAPPSATIHAFLSASNSFSVRGMS -MPTLALQAGDGIEAPTPVSNLENGEAAHTPEPRTTFQYSDNPSTPETNLRRYFSVYRPSFMQGNKYGITA -TAGVHSVTYNPVEWIANAQVGDTLPILLSTFTYFRGDPRVAFTISNPAAYTAQVTFHYFPPGATPYDATS -PNASTDLANCFTVDAQIPPTSMDTVCLSFPYLSVLSAIPTSYFGFSNFQGGSDVLNTTLGTIVIYVGWQG -DAPTGTALTIYQKIAFGNFRGFVPRNPPKLTAYSQPNMVTKTNAIVKLPVYRPMATTKAMVRRQCAGESL -FQPCLCSRVYIVRAQRMTYTHWALRCVDSDGTRQISLSRFKLSAVVAYEDPEGEVYREVEPFHWSLAVAM -VGSPWDYSASNNCTHFVSNITGVELPNTGFSLALGIGALAIGAGVMAVQTAKGRITRQGLLTLGAPPGAI -DAAHRVSRSIEETVSAIRSADLLNSTANLSLAASDIREASTRVSSSIDGFSNMLQDFARNFRGRVDDVVG -SGISSFLTWLTKIFGYLLVLFGSPTPMSVAGLLVIICADLAPQLRTYFTERNTTLGALFYWLATKLGLLV -TPEEAEVAAIEPQGVRDLKEGVQAVRNTEWLAETAWKWAKRVLDWIRGKVKTDPKAILADSHDEILRHYS -ESIAALGSERTPISAITDAISRCRELVKVAADARSAPHSSFLNQALRNYQLALTQNRMADAGPRPEPVVI -YLYGPPGTGKSLLGSLLASVLAQKLSGDPNDVYSPTSASCEYFDGYTGQVVHFIDDLGQDPEGRDWANFP -NLVSSAPFIVPMANLEAKGTHYTSKVIVVTSNFTGPNQRAARSMGALERRLHLRICVDRKDGYKFDADDA -LRPLDLPSKYLTSQTKLSLFKCFNLKPDLNSIFLPEFNDFDQLVDAIVARLDRASGVSSRLAALIKRQGA -HSERTFETAPSEIDADEVLEILSKSKPAPTHLTLERAIASNAPLSFIDTLWKWRRPVFATTTFLTVLGFV -ITVLGVAKVLWTKKEDTPDEPQAAYSGMPQLGKKDAKAKGPKPAPTRGVVRQAGPSPALPKIADNVLPIQ -ARTPAGVNKCSALYLYSRICIVPTHIVPDNTIQIQLGNDVYDWATLKHVRLGKEMTVIWTPTSRQYRDLR -RFLGSHPYPTGHLISAFQGVPMFIRFSKNHMVTLDVESVVHEPVAYGYKTPSFEGMCGAPLITDDPAGIK -LLGFHVAGIVGSTGFSVPFYPYLPEIEQFATECQSLIIPGPETHPGVNVNRKSQLGHSPAYGAFPVKKQP -AVLHQRDARLEPGTKLDGQLFLKHNKGDMDKPWPGLEAAAALYFSKFPTLIRTLTQEEAINGTPNLDGMD -MNQAAGYPWNTMGKSRRSLFIEEAPGYYVPTSELQAEIDKTLENPDYFYSTFLKDELRPTPKVTAGLTRV -VEAAPVHAIVAGRMLLGGLIEYMQAYPGRHGSAVGCNPDLHWSKFFFKFAKFDQVYDLDYKCFDATLPSC -AFRIVEDYLVKLTGDERVRAYVETIRHSKHVYGSQTYEMIGGNPSGCVGTSIINTIINNICVLSALIQHP -DFSPDNYRILAYGDDVIYGCDPPIHPRYIKEFYDKHTPLVVTPANKGSDFPDSSTLYDVTFLKRWFVPDD -QRPFYIHPVMDPDTYEQSVMWLRGGDFQDLVTSLCYLAFHSGPKTYGRWCERVREQCLKTSGFAPTFLPY -EYLQLRWLNLCAA - ->NP_047200.1 polyprotein [Aichi virus 1] -MAATRVSRSVLAAVAHSAAHRTYHTVLDCYDRLYLNTNPHLSYPLPKNSSFPCPFCQYDEQNEVLSPESL -CGEGAEPCWKCSQDKPRRKYNTTPPEDWLYDSDVQSWFYPETYYSDLQQKFFDKLALLSLPGAYQAKTPE -ERALAGALTQLLNFPSTPPLTLPTTNLQRQGNSVTNIYGNGNNVNTDVGANGWAPTVSTGLGDGPVSCTP -PTPSPGDTEVPPPRKPTTFPAPPTKSGSRFSKWWEPAAARASESATDSAIEGIDAAGKAASKAITRKLDR -PAAPSSTANPQPSLIALNPSATQSGNASILTGSTAPSLLAYPTATPVPLPNPDEPSQPGPSGDRTWLLDT -VTWSQEFTRGWNIAGSNGMQWTGLESLIFPVSTDTNWTSTSSPTAYPLPFSFVRAYPDSSWAAMYNTHSM -WNCGWRVQVTVNGSQFHAGALILYMVPEATTHAIQTARDNAGFVFPYVILNLYESNTATIEVPYISPTPN -TSSGLHAPWTFYLQVLSPLNPPPSLPTSLSCSIYVTPVDSSFHGLRYLAPQHWKTRAVPGAGTFGSAVAG -QELPLCGVRAYYPPNAYIPAQVRDWLEFAHRPGLMATVPWTMADEPAERLGIFPVSPSAIAGTGAPISYV -ISLFSQWRGELAAHLLFTGSAQHYGRLVVCYTPAAPQPPSTMQEAMRGTYTVWDVNAASTLEFTIPFISN -SYWKTVDVNNPDALLSTTGYVSIWVQNPLVGPHTAPASALVQAFISAGESFNVRLMQNPALTSQTLTEDL -DAPQDTGNIENGAADNSPQPRTTFDYTGNPLPPDTKLENFFSFYRLLPMGGSGAPSLSFPADEGTIIPLD -PINWLKGADVSGIAAMLSCFTYIAADLRITLRFSNPNDNPATMLVAFAPPGATIPLKPTRQMLSNFYMAE -VPVSAATSTMVSFSIPYTSPLSAIPTSYFGWEDWSGTNFGQLSSGSWGNLMLIPSLSVDSAIPFDFQLSC -WVAFGNFKAWVPRPPPPLPPLPTPAANAERTVAVIKQGAASATPDVDPDDRVYIVRAQRPTYVHWAIRKV -APDGSAKQISLSRSGIQALVALEPPEGEPYLEILPSHWTLAELQLGNKWEYSATNNCTHFVSSITGESLP -NTGFSLALGIGALTAIAASAAVAVKALPGIRRQGLLTLSADTETNQTLNKITGSVNQAAQVVSQFDLSGP -ANSVSLAASDIREAAHKVASSLNGFTDVIADIKDSLFTRVSDAVESGVATFLTWLVKLFGYLLVLFGSPT -PMSISGLLVIICADLAPHAREFFTASGNVLSSLYYWIASKLGLSVTPQECERATLEPQGLKDFNDGALAM -RNVEWIGETAWKWAHRLLDWIPGKAKTDPQAKLADVHDEIMLHYSDSILALGSEKLPIDHITKSISRCRE -LVSIAQEAKSGPHSSFLNQAVKNYTLAISQHRKCQTGPRPEPVVVYLYGPPGTGKSLLASLLAQTLSQRL -AGTPDDVYSPSSASCEYFDGYTGQTVHFIDDIGQDPEGRDWANFPNLVSSAPFIVPMASLEEKGTHYTSK -VIVVTSNFHEPNERAARSMGALRRRVHLRINVTSNGVPFDPTNALNPIPGTQSKYFTAQTPLTLFQSNTV -RLDRDSIWTPTFTNMDELVDAIVTRLDRSTGVSNSLASLIRRQGNRVIDAEPREIPLEYADDLLEAMAHH -RPVPCSLGLSQAIANNTPIQQISETFWKYRKPIFTCTTFLAVLGFLCSVIPLARSLWKSKQDTPQEPQAA -YSAISHQKPKPKSQKPVPTRHIQRQGISPAVPGISNNVVHVESGNGLNKNVMSGFYIFSRFLLVPTHLRE -PHHTTLTVGADTYDWATLQTQEFGEITIVHTPTSRQYKDMRRFIGAHPHPTGLLVSQFKAAPLYVRISDN -RILDLDFPGVVVCKQAYGYRAATFEGLCGSPLVTDDPSGVKILGLHVAGVAGTSGFSAPIHPILGQITQF -ATTQQSLIVPTAEVRPGVNVNRMSRLHPSPAYGAFPVKKQPAPLKRNDKRLQEGVDLDTQLFLKHGKGDV -TGPWPGLEAAADLYFSTFPTSLPVLTQEQAIHGTPNMEGLDMGQAAGYPWNTLGRSRRSLFDEVEPGVFV -PKPELQAEINQTLEDPDYVYSTFLKDELRPTAKVEQGLTRIVEAAPIHAIVAGRMLLGGLIDYMQGRPGE -HGSAVGCNPDVHWTSFFYAFSEFSQVYDLDYKCFDATLPSAVFTLVADHLTRITGDPRVGRYIHSIRHSH -HIYGNRMYDMIGGNPSGCVATSILNTIINNICVLSALIQHPDFSPSRFHILAYGDDVIYATEPPIHPSFL -REFYQKHTPLVVTPANKGQDFPPTSTIYEVTFLKRWFVPDDVRPIYIHPVMDPDTYEQSVMWLRDGDFQD -VVTSLCHLAFHSGPKTYAAWCMKVREQCLKSGFAPNFLPYSYLQLRWLNLLAA - ->sp|O91464.2|POLG_AIVA8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Leader protein; Short=L; Contains: RecName: Full=Capsid protein VP0; Contains: RecName: Full=Capsid protein VP3; AltName: Full=P1C; AltName: Full=Virion protein 3; Contains: RecName: Full=Capsid protein VP1; AltName: Full=P1D; AltName: Full=Virion protein 1; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Protein 2B; Short=P2B; Contains: RecName: Full=Protein 2C; Short=P2C; Contains: RecName: Full=Protein 3A; Short=P3A; Contains: RecName: Full=VPg; Short=P3B; AltName: Full=Protein 3B; Contains: RecName: Full=Protein 3CD; Contains: RecName: Full=Protease 3C; AltName: Full=Picornain 3C; Short=P3C; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp; AltName: Full=3D polymerase; Short=3Dpol; AltName: Full=Protein 3D; Short=3D -MAATRVSRSVLAAVAHSAAHRTYHTVLDCYDRLYLNTNPHLSYPLPKNSSFPCPFCQYDEQNEVLSPESL -RGEGAEPCWKCSQDKPRRKYNTTPPEDWLYDSDVQSWFYPETYYSDLQQKFFDKLALLSLPGAYQAKTPE -ERALAGALTQLLNFPSTPPLTLPTTNLQRQGNSVTNIYGNGNNVTTDVGANGWAPTVSTGLGDGPVSASA -DSLPGRSGGASSEKTHTVSGSSNKVGSRFSKWWEPAAARASESATDSAIEGIDAAGKAASKAITRKLDRP -AAPSSTANPQPSLIALNPSATQSGNASILTGSTAPSLLAYPTATPVPLPNPDEPSQPGPSGDRTWLLDTV -TWSQEFTRGWNIAGSNGMQWTGLESLIFPVSTDTNWTSTSSPTAYPLPFSFVRAYPDSSWAAMYNTHSMW -NCGWRVQVTVNGSQFHAGALILYMVPEATTHAIQTARDNAGFVFPYVILNLYESNTATIEVPYISPTPNT -SSGLHAPWTFYLQVLSPLNPPPSLPTSLSCSIYVTPVDSSFHGLRYLAPQHWKTRAVPGAGTFGSAVAGQ -ELPLCGVRAYYPPNAYIPAQVRDWLEFAHRPGLMATVPWTMADEPAERLGIFPVSPSAIAGTGAPISYVI -SLFSQWRGELAAHLLFTGSAQHYGRLVVCYTPAAPQPPSTMQEAMRGTYTVWDVNAASTLEFTIPFISNS -YWKTVDVNNPDALLSTTGYVSIWVQNPLVGPHTAPASALVQAFISAGESFNVRLMQNPALTSQTLTEDLD -APQDTGNIENGAADNSPQPRTTFDYTGNPLPPDTKLENFFSFYRLLPMGGSGAPSLSFPADEGTIIPLNP -INWLKGADVSGIAAMLSCFTYIAADLRITLRFSNPNDNPATMLVAFAPPGATIPLKPTRQMLSNFYMAEV -PVSAATSTMVSFSIPYTSPLSAIPTSYFGWEDWSGTNFGQLSSGSWGNLMLIPSLSVDSAIPFDFQLSCW -VAFGNFKAWVPRPPPPLPPLPTPAANAERTVAVIKQGAASATPDVDPDDRVYIVRAQRPTYVHWAIRKVA -PDGSAKQISLSRSGIQALVALEPPEGEPYLEILPSHWTLAELQLGNKWEYSATNNCTHFVSSITGESLPN -TGFSLALGIGALTAIAASAAVAVKALPGIRRQGLLTLSADTETNQTLNKITESVNQAAQVVSQFDLSGPA -NSVSLAASDIREAAHKVASSLNGFTDVIADIKDSLFTRVSDAVESGVATFLTWLVKLFGYLLVLFGSPTP -MSISGLLVIICADLAPHAREFFTASGNVLSSLYYWIASKLGLSVTPQECERATLEPQGLKDFNDGALAMR -NVEWIGETAWKWAHRLLDWIRGKAKTDPQAKLADVHDEIMLHYSDSILALGSEKLPIDHITKSISRCREL -VSIAQEAKSGPHSSFLNQAIKNYTLAISQHRKCQTGPRPEPVVVYLYGPPGTGKSLLASLLAQTLSQRLA -GTPDDVYSPSSASCEYFDGYTGQTVHFIDDIGQDPEGRDWANFPNLVSSAPFIVPMASLEEKGTHYTSKV -IVVTSNFHEPNERAARSMGALRRRVHLRINVTSNGVPFDPTNALNPIPGTQSKYFTAQTPLTLFQSNTVR -LDRDSIWTPTFTNMDELVDAIVTRLDRSTGVSNSLASLIRRQGNRVIDAEPREIPLEYADDLLEAMAHHR -PVPCSLGLSQAIANNTPIQQISETFWKYRKPIFTCTTFLAVLGFLCSVIPLARSLWKSKQDTPQEPQAAY -SAISHQKPKPKSQKPVPTRHIQRQGISPAVPGISNNVVHVESGNGLNKNVMSGFYIFSRFLLVPTHLREP -HHTTLTVGADTYDWATLQTQEFGEITIVHTPTSRQYKDMRRFIGAHPHPTGLLVSQFKAAPLYVRISDNR -ILDLDFPGVVVCKQAYGYRAATFEGLCGSPLVTDDPSGVKILGLHVAGVAGTSGFSAPIHPILGQITQFA -TTQQSLIVPTAEVRPGVNVNRMSRLHPSPAYGAFPVKKQPAPLKRNDKRLQEGVDLDTQLFLKHGKGDVT -EPWPGLEAAADLYFSTFPTSLPVLTQEQAIHGTPNMEGLDMGQAAGYPWNTLGRSRRSLFDEVEPGVFVP -KPELQAEINQTLEDPDYVYSTFLKDELRPTAKVEQGLTRIVEAAPIHAIVAGRMLLGGLIDYMQGRPGEH -GSAVGCNPDVHWTSFFYAFSEFSQVYDLDYKCFDATLPSAVFTLVADHLTRITGDPRVGRYIHSIRHSHH -IYGNRMYDMIGGNPSGCVATSILNTIINNICVLSALIQHPDFSPSRFHILAYGDDVIYATEPPIHPSFLR -EFYQKHTPLVVTPANKGQDFPPTSTIYEVTFLKRWFVPDDVRPIYIHPVMDPDTYEQSVMWLRDGDFQDV -VTSLCHLAFHSGPKTYAAWCMKVREQCLKSGFAPNFLPYSYLQLRWLNLLAA - ->AEX38455.1 polyprotein [Salivirus CH] -MEGSNGFSSSLAGLHSSPSSFRLFGQFLSLPEDNQEGSRPSGWYRLPPTLPVNVYLNEQFDNLCLAALRY -PGSKSYPSVYTLFPDVSPLKIPQSVPALAHLVQRQGLRRQGNSITNIYGNGNDVTTDVGANGMSPPIAVG -DMPTASSSEAPLGSNKGGSSTSPKSTSNGNVVRGSRYSKWWEPAAARALDRAPDHAVDATDAVAGAASKG -IKAGATKLSNKLTGSQTTALLALPGNIAGGAPSATVNANNTSISSQALLPSVNPYPSTPAVSLPNPDAPT -QVGPAADRQWLVDTLSWSETIAPLTVFSGPKALTPGVYPPAIEPNTGVYPLPAALCVSHPESVFSTAYNA -HAYFNCGFDVTVVVNASQFHGGSLIVLAMAEGLGDITPADSSTWFNFPHAIINLANSNAATLKLPYIGVT -PNTSTEGLHNYWTILFAPLTPLATPTGSPTSVKVSLFVSPIDSAFYGLRFPIPFPTPQHWKTRAVPGAGT -YGSVVAGQEIPLVGYAPAAPPRDYLPGRVRNWLEYAARHSWERNLTWTSADEVGDQLVSYPIQPETLANT -QTNAAFVLSLFSQWRGSLQISLIFTGPAQCYGRLLLAYTPPSANPPTTIDEANNGTYDVWDVNGDSTYTF -TIPFCSQAYWKTVDIGTSSGLVSNNGYFTVFVMNPPVTPGPSPPSATVAASLHVAGDLDVRLPQCPALGF -QSGADGADVQPAPTSDLSGGNPTTDPAPRDNLDYPYHPVDPSTDLALYFSQYCWFDLNESLTPLDVTGGL -FYHVSLNPINFQQSSLLSVLGASTYIYANLSLNINISAPSQPCTLYVYYAPPDASVPTVQSLAELSFFTY -TATPLNQTASNNITVSIPYSSPQSVLCTSFGGFGLQNGGDPGNLHSNTWETLILYVDLPQNDSVSVSAYI -SFRDFEAYVPRQTPGVGPIPTSTSIVRVARPTPKPRMVRRQGGTLADLILTPESRCLIVAHTTAPYYSIL -LVNPNEEYAIGMFSHGDESILCYSSRGGTRLTPTAPAFSLCAAASVDTVLPYSISQSHLWLTDLTGIPLR -AIPPLTLLLSAGAALCAGAQTLIAVAQGGSTPETPPIPNRALLRRQGPGDLPDAAKGLSAALESVARVAG -DADIATSSQAIASSINSLSSSIDGATAFMQNFFSGLAPKNPTSPLQHLFAKLIKWVTKIIGSLIIICNNP -TPSALIGVSLMLCGDLAEDITEFFSNLGNPLAAVFYRCARALGLSPTPQSAAQAAGGRQGVRDYNDIMSA -LRNTDWFFEKIMSHIKNLLEWLGVLVKDDPRTKLNSQHEKILELYTDSVTASSTPPSELSADAIRSNLDL -AKQLLTLSHAANSVTHIQLCTRAITNYSTALSAISLVGTPGTRPEPLVVYLYGPPGTGKSLLASLLASTL -AQALSGDPNNYYSPSSPDCKFYDGYSGQPVHYIDDIGQDPDGADWADFVNIVSSAPFIVPMADVNDKGRF -YTSRVVIVTSNFPGPNPRSARCVAALDRRLHIRLNVTARDGVAFSAAAALKPSEPPTATRYCKFSNPLTQ -FTMFKLAVDYKSVVLPNTPLSCFDELVDFILGSLRDRASVNSLLSGMVRTDVTRQGGNAGAPALSAAPLP -SVLLSVPSQDPFTRAVNENRPVSFLSKLWSWRAPIFAASSFLSLIAATLTIVRCLRDLRSTQGAYNGTPV -SKLRKKDLPKQLVYSGPVRRQGFDLAVMKIMGNVDSLVTLSGSKPIWTMSCLWIGGRNLIALSYAFVSGD -YEITYIRVGSRTLDVSRVTRVDDGELSLISVPDGPEHKSLIRYIRSASPKSGILASKFSDTPVLVSFWNG -KPYSTPFPGVVGEKDSFTYRCSSFQGLCGSPMIATDPGDLGILGIHVAGVAGYNGFSARFTPDRVQAFFS -NLATPQSVFHFHLPMGPPAHVSRRSRLHPSPAFGAFPINKEPAAFSRKDPRLPEGTDLDAITFAKHDRGD -IATPCPCMEEAADWYFSQLPDKLPVLSQEDAIRGLDYMDAIDFSQSPGYPWTTQGRSRRSLFGEDGNPVP -ELQEAIDSVWDGGSYIYQSFFKDELRPTAKARAGKTRIVEAAPIQAIVVGRRLLGSLINHFQGSPLQHGS -AVGRNPDIYWTQIFHSLTLFPNVWSIDYSCLDATIPSVLLSAIASRIAARSDQPGRVLDYLSYTTTSYHV -YDSMWYTMIGGNPSGCVGTSILNTIANNIAVISAMMYCNKFDLRDPPVLYCYGGDLIWGSNQDFHPRELQ -AFYQKFTNFVVTPADKASDFPDSSSIFDITFLKRYFVPDDVHPHLIHPVMDEQTLTNSIMWLRGGEFEEV -LRSLETLAFHSGPKNYSAWCETIKAKIRENGCDAAFTPYSVLQRGWVSTCMTGPYPLTG ->AIK67137.1 polyprotein [Kobuvirus SZAL6-KoV/2011/HUN] -MAATQGNRVVRSVVELATTYDRLYSTAMDYDDSLVLSSHPHLSYPLPKPSSLCLECHFQYYDIEPMSWEG -DDYDHHPCPKHRLRPKTWCLGEDFPQTKRQVRLVLPPEDILWDSDHVNWFDDEPHPTDWQQAVFDQIALL -SLPGPKQAKTPQQKKKLFHLLSLLRTSNSPFSLPLPTNQIQRQGQSVTNIYGNGNNVTTDTGANGWSPTV -NTGLGDGPVSSSQDQLPGRAGGASGAKDSSPSTGQNRVGSRYSKWWEPAAARALERATDTALDGIEGAGK -VATKAISKKLDGSSTASPQPSLIALNPSATQSGNAAIMTGSTAPSFLAYPQAESVPLPNPDDPSKPGPSG -DRTWLLDTVTWDQSQTIGWNLAGPNGMQWTALESPQFPISNSTNWGTQLLSAPTAYPLPASFVRCYPDSP -WAAMYDTHSMWNCGWRVQVTVNGSQFHSGALILFMIPEATTQAVETARFDSLFVYPYVIVNLYESTTATI -EVPYISPTPNTSSALHHPWTFYLSVLTPLTPPTGMPTSLSCSIYVTPVDSTFHGLRYVAKQHWKTREVPG -TGAFGSAVAGQEIPLFGVKAYHPPRKYLPAPVHDWLEFAARPGLMKTLNWTQADDAGHQLALLPVSPAAI -AGTGAPISYVLSLFSQWRGELAAHLLFTGSAQHYGRVVVCYTPAAPTPPSTMQEAMRGTYTVWDVNSAST -LEFTIPFISQSYWKTVDIWNPDALLSTTGFLSVWVQNPLTGPTSSPPSAQIQCFLSGGESFNVRFMQNPA -LTSQGETADGLKAGQDTANIEMGASDNTPQERTTFQYTENPNPPDSNLQNFFSFYRLLPLGDGTPALSLS -LQSAAEIPLDPIHWLSNADVAGLSSMLSCFTYIAADLRLTLRIGNPNGIPCTLLLAYAPPGATLPRNPTR -QYLSNFFMAEVPCSADQASMVSFSVPYTFPLSAIPTSYYGWEDWSGTNFGILSTGSWGTLILLPEASSSV -PADTPLSITCWLAFGNFQGWVPRAPPPLPSLPSPQPTPITPSQQGGAKFTLGDVDPDDDVYVIRCSQPTY -VHWAIRKVTADGSAKQISLSREGTTAWCPMNPSREKSIANTPQAWTIAELQLGDKWDYSATNNCTHYVER -ATGLSLPNTGYSLALGIGALAVASTSVVAVQALTGIRRQGLLTLTADADTNRTLNAISDSVKSATQAVSN -LDLHGPSQNITLAASDVREAATKVAASLDGFTEVLSDVKRSLSSTVSSAVECGVISFLTWLSKVFGYLLV -LFGSPTPMSVAGVLVIIVADLAPHAKTFFTQSGNVVSALYFWKLAKHGLQVTPEECEEQALQQQGIRDFN -DGALALRNVEWIGETCWKWAQRVLEWIRGKAKEDPQAQLDAVHDEILSHYSDSILALGTEKPPKDFLVDA -MTRVRELIPIAQAAKSGPHSQFLNQALRNYTQALSNARKRQSRPSPESVVVYFYGPPGTGKSMLASLLAQ -TLAQKLSGSPDDVYSPTSASCEYFDGYTGQVVHFIDDIGQDPEGRDWANFPNLVSSAPFIVPMASLEEKG -THYTSKVIVVTSNFHEPNDRAARSMAALRRRVHLRINVGSNGVPFDPVNALNPIPGTQSKYFHSQTPLTL -FQTATVRVDRDSVWTPTFTNMDELVDAIVMRLDRSTGVSNSLASLIKRQGKTITAEPTEIPMAFADELTE -ALAHHKPVPVSLGLSQAISINTPLKQISDTLWKYRKPIFATTTFLAVVGFLCTLIPLARSIWKAKEDTPE -EAQAAYSGIPRQKNKTQKAPKPVPTRHIQRQGLSPALPGIANNVVSVASGCPGEEFRMSGFYIFSRFLLI -PTHLREPHHTHLMVGVDKYDWATLRTQELGELTIVHTPTSRQYKDMRRFIGSYPYETGILVSQFKEAPLY -IRISDNRIVDLDFPGIVVCKQAYGYRCASFEGLCGSPLTTDDPAGIKILGLHVAGVAGTSGFSAPIHTIL -SEITAFALQQQSLIVPTGCVRPGVNVNRQSRLKPSPAYGAFPIKKEPAPLKRGDHRLEEGIVLDKQLFLK -HGKGDMTEPWPGLEAAADLYFSTFPSKLPVLSQQEAISGTPNMEGLDMAQASGYPWNTMGRSTRSLFLES -EPGVYVPTPELQQKIDETLENPDNEYSTFLKDELRPTAKVVQGLTRIVEAAPIHAIVAGRMLLGGLIDYM -QGHPGRHGSAVGCNPDVHWTEFFFKFSEFSQVYDLDYKCFDATLPTAAFEVVARHLERLTGDPRVASYIR -SIAHSIHIYGDQTYEMIGGNPSGCVATSILNTIINNICVLSALIQHSDFSPSKFQILAYGDDVIYATEPP -IHPSWIREFYERCTPLVVTPANKTQDFPPTSTIYEVTFLKRWFVPDDKRPYFIHPVMDPDTYEQSVMWLR -DGDFQDVVTSLCHLAFHAGPKTYAAWCTKVREQCLKSGFAPNFLPYSYLQLRWLNMLSV ->AOQ26209.1 polyprotein [Rosavirus C] -MAGKNGKRSENPSHDVSGLPVTGAIASVLRPIPVVGQIAGALQGLASSWLSSATDGLLNNSGFEIGNVPG -TSDRLLEVAVGTTTLSSQEAVTVRQGYPTDPYPIPNDSKPPVDTPTLLGPAGERLHQMTSFEWSDGYYSP -AYAQAYVKLPRDLMKDSAASPSNLFYPTAQRHHLHSSDFIVTVTVNANPFTSGCLLVVAIPNCPEVPGET -MGTLYYINNKPGYFVRHQLTLFPHQFINLKTNNQATLILPYHGPAPVSPQHSRDTWTVLVYVWSKLYLTP -YTSTNPVTVNVMAAATNAAFYGLAPRRDVVAEGDVRLNQNVNAIVSTHPLTDCVVLAQGAQCALDSSYLP -GAVNSFAQPLSVPTLMLGPSKEWGGIQLDPSTTRGTMIYGFPVDPRNVVFSKSYLGMTSKLFTQWTGTIN -FHLMAVTTQMTRARLVICFTPGATTEPTTMDQAMAGTVAFFDIGLNSTFTFPIPFISETVWRTLSDDETF -RDGNYSFYPTTARMGVVTVWVYNVVQSTAPATAGPIALLPFVSAGSDFSFRLPRSIEIGVKPYKRQVAVT -NSIPFQVLGSTSDPTPIPRSNPFEFPETPFPELPPYAQDVRFENGPEEQAPVVRMGAADAESAGAQPAQA -PVDAAPACYGNLEPGQPTQVEARNAWEGVDGTCTQTSPDMLFQNFFGRKRLYAAYGLPTTRADAYYFPIP -IACDWSRDESFPYPARFLQRLCMYIQADVRVDIQVQRYGNPSWNSQITVANIPPGADTRLGWSYNADNPA -AYSRIFDFPFVTQPISQNYNTISVMIPWQSPYNALCPWRGGAGVTGVNFTDNPVNIPPVNGKRFNYLPFN -EFVTLGCIITPAENGVVNVFVSYHNVSLYMPLPYPQMSMWVDEPPEQVEEANGNGPYLWSVTQPFLRCNG -RVSQDGSIWYDQNPSVFIPNMIEASTASRDLGGLRFSRECEEDTLRFEAGELEWDYAPFPDYRWGADCSV -WVSKRPWAGTPYTHWMITRGDEECSLEKDGFDAVVVMRESVEPYERVQRVSPVVWSHCVFLSRMKYVFRN -YNIMNNCTHFVESISGVECENDGKKMLGSLAMIGALGCAVAVAAAFEAPSREKTQAPRVYHTIGECPDIS -DYPHLVDEADGRIALKAKAFGVSLSAEHEWEPKHWTKATKRKSGYSWLKTDFEKGPFENMSAASKQLEKT -LKSIDGALTQENVEMLVASANAFGRASSSIDNLASTLSDMVKKGPDFVPTTQKRIAQKCASILLKFVGLL -VILFSSPTPLTLAGVVALLLGEAVDATSTDWASSLKNWIVRKLGLPHSVMKYAGKFPDLFPEPPPDPQSS -TSSQDVKPQAQIRMEKGDCCSSSATIEMAKDFNTVTTSMRNAEWIITKTKEFVTWLLDTFTNWKQNSPEA -KAHQSRAEIYELFADSVHSLDAQSVNLDQLDKNKKKAQSLMTLCGEVRDVTSLQLLQRAYTNYCATERRV -KQSQYADRAEPVVVYFYGKPGCGKSLLSSIMAKGLCKALGLDPKTQIYSQIPNSDYMDGYCGQAIHIIDD -LGQDPEGKDWQNFCQMVSTVKFLPNMADLDQKGIPYKSKVIIATSNFPDPTFQSARDVAALKRRLAFPVG -VATTNDRRLNAKDALAPIGPSPNPNFKATCHLMAGTAVTLTLSKDKNKQIGFFELFEEVLTEVDARQRVH -AVISDICFENSEWETSEVTPDTLAKAEFVATVGCVAVEPPRLPQTPAELKAPWFTKLLNPTGNKTWDTVA -RIAMVLSIVSSLTAFGIMLYKCTGSSADAEGAYSGMRQKVVRQKPQKLSPPAVRFEGLPQIYAPVQKNCF -PIQFFDEHPDELKGFFTLTAVGVYDRVYVTNYHGFEKAKWIQLRGQQYPVKQLRVRRVERQGSPTDVAVF -TVPAGPCVKNILKFFRKGPEDATPRAPAVLAVRGKMNLDVLASGIESFDALQMQDGLYHGILRYRAVTAP -GFCGAPLISYNPSHEVILGIHMPSNGSGVAYGSSVFQSDLKPVHCEGLRSFVGKGLRAHVPTTTKLRPSP -VWGAFPVTKEPAVLHHTDERLIDVENLDAVLFSKYKQDMVKPFPGLEIGTQIVKNRLKKLIPNKLPHITV -HEAINGIDGMDGIDMNQSPGVPYITEGVSRRSLFEMVGEHWVMGQRLSNDYAKVVENPNSGHFATFLKDE -LRDTKKIAQGKTRLVEAGNLAHVLLGRKIFGNLFALFNKNPGFDTMCAVGCDPDVHWTQFYHPLASKTRV -YDYDYSGFDGSVPSCAFDALADVMVELVEGEDAVRAYIASLKSSFHHYKGKLWRLDGAMPSGCCGTSVFN -SVINAILLMSCFSQIQPDFDSAEPLLLAYGDDVLVGTNQDLFPSRVAAWVNRETTFQITPANKGDVFNDD -TDIHSVQFLKRHFTPDPHFPHLIHPTIDKATFEQSIMWQRCGDFQETLNSLALLAWHHGPKSYAAWTGAI -VKKCLADGNPPPYIPPFPLLRHNWLMKFEIETFV ->ADL38960.1 polyprotein [Oscivirus A1] -MARACANTTPVRGWFSAPYFPIPSYAKMKNWFGFIEVTPRVDLASSIKLACGQGASYSIVVGDGNNLETN -QGANGLTATVPVNSTFSGEVVARSSRGSNPSPPALNHKEKKPKEGRSTAWDFVKKLLPDPTNEVSLLDVD -RISTISAAGTAIAVQQDIPPVFAYEGKFEYPELTGEEISTIDLLADRYFQIDSFTWGPALELFKPLSGSN -SVKYTAPEAEIAVWSFPESLLKATSKLAFTKAFHTNAYYRCGWEFLLEVNGTVFHQGAIAMTAIPECASP -GAFMSGIGRTLIYPHAILNLRTSNQARLIVPYASANRFDPTTGAEFSEDTPGMTVKSYHQQWVLVIWVMA -PLQILQGETQLIVNLLARPLEAQFMAPHYSYGQPFMVRNLVAPEGLATAQPGQEFNAVNWSPIVPEPKWL -AGEYTHMSQIAQVPSCIGILRWSGGSAAGTGTIIYRAPITTSNLVEMDTWLSFAMQFYTHWRGSILLNLT -FCGSRQQSGKLLVSFLPLSTAGNLTLEELMSGTYTVWDVGLNSTLSFVVPFCSQTAWKAIGSASGLEFSQ -ALGVLYIAVYNPLVTPYGATTAPILVSWSAGSDFQLRQPAVPRLLAQSDDQITNLETGIATEFLPAQIPM -GNLDQSELSDLFAIYRPFWTGDDFSQSVITANTDEGDTYWMVSLDPTDWATSSLFSQLVKSFTYVNGNLH -IKITVQSKSEVANFTLAVAYIPPGGPMGPDLKQALNFPLVQIDISNYTIKEIPINIPQSCVTEAIPTSYS -GFADLTRGTWGRLSGSGWGTLVVAALPRGGKSLRMAWWMDARITQARVYMPRVIGRVGGLQSEKKSPFSL -TTRSAGPLWKANQVVSKVVEFSDTPELLGQVRPGAAPTHLWREVWLYEGPLSFALSDGCEHIVVTNKVSP -GDLDGLVRTQKVPLVKFLQLRSLIGVTLVTSRAEFLDMLLNIDGCSHQTQLGVLDFPPTGQCVGQGIIEN -TRIAAESVSYASMNLERALTQERLDKISEISKSFMLASENVHMAVDGVNAALSHLVPVISSCDEVKPLHG -LAQSLVTWVVRIIGILVLLVSNFNLSTLTGVFLILSADFITKVTFEAFKDNPFQFIGKWISEKLDLGVDV -DIEPILYVKEEEDPGEGPSGQAFKPKDFNDWANFFKNIDWAVTRLVVLIEKLIKQIQAAKANPEIGISWY -HDDIINLYSDSIKSLSLEDVDKTQLSMNLGEVRRLLSMSLKAGNTGYQTLLKQALSNYTQAQNSLQRTIF -AVRPEPVVVYIYGGPGSGKSVLAGLLARAISKALSGRADDIYAPSSFGTDHFDGYHQQTVHLIDDLGQAV -DGSDWANFCNMVSSAPWAPPMAKLEEKGMYYTSKVIIVTANFNLPNYASAREPKALERRLHFKMFMGGSL -NVDFACAPDGIPMRYFKSGCPLLRNSNGAIKESGSVLPCKFTDMDDVVDLVLTEVKKRSLGLTMFDDLVG -QAASPEAQPSPPGFFRSVKNTFSVLVGRNPEACTLEEVQKLKKRANWLKAVFTGLGIIGALFAMWKAIKP -SDSPAEPEADPEDSLEKIPESQGPYNTIPHMYRRPEKPSSRLKMEKAKYQGVPPILRKVQDSVKWTTFFS -DSVPIGACSSWNVVDRFHLTVNHVWEKATNFKIGNVMYSKEKISFTRIGEAVLFYLPNVPQGKNLLKFVK -ARTIRGVRAGFLAGNMDGVPNVVRVWEMTTFRGIETQDGIFNEHCLGYRCASYSGLCGAPLILEDPADYR -IAGIHFAGYAGYSGFATHFNKQELVEAMAKISIPQSQIVEAGTLEKPVHIPRNSVLKPSPAAGAYPTVLE -PAVLRRSDGRLLDGVVLDEAIFSKHDKGDTTEPWKNLPQAFSVYFNQFQNKEIRTLTLHEAINGTPLLDG -IDMIHSPGYPYITQGVSRRSLFTWNPDGHWDPTPELAAEVERALENPQEFIYTTFLKDELRKVEKVENGL -TRVIEAAPLPVILAGRMLLGGLFEEMQSQPGKYGSAVGCDPDIDWTKFFWKFERFEHVYDMDYKAFDSTV -PTAAFDLLSYHLERLIGDSRISKYISSIASSRHVYGNKIYLMLGGMPSGCVGTSILNTICNNCFVLSALL -EHKDFDINQYYIVAYGDDVVYATNPPISPTFIKNFYDRYTPLVVTPADKSDKFNEDSTIFDVTFLKRSFV -PDPAKPWLIHPLIDPVVYEQSCMWVRDGDWQDTLDSLCQLAFHSGPKTYARWVETVRAKAHSRGVLPRFY -PFDYLQKRWELKLES ->AGS15016.1 polyprotein [Pigeon mesivirus 2] -MREYPSLASDWLIDWRRIQWHLHNPQTWEMATFRRSDDFSTEDNTPLQAQQDDGLTNRQPFESSTGETVL -SAATMENQDLSVETSWDVARTLDSDIPVIVSTLDWDTAKTFGSLLAAPVSATGITYTSPNPVVLPYHLVN -PGSTQESGDGTYTVASNVGTSIFGALFRRHALYQSGFVVEVSINGTQFHGGSLFVVAIPAPHLWLAGQNN -IDASGNRYFTFLEFQNKAQLGIFPSARLMPRSNSSVQLELPYVGQNPVLATESMDAQWGVLVFVETPLTI -PTAGTAPTLTVHIKVAPKNARFWGPHFPTVPHEKLAGFGNVTWDQSAVPQMRVNTGEAAFFSLESRSAGS -LAQSMNVPSEKFLPPRTESFKSVLSIPTVHAVIDTTSATADGVVLYQVDVAPNSFSTVTRETTVNSVTQT -RLVPFLADFARYFTQWRGSIVFTVQYTGPAVASGRLLLAFQPGASRQRKTGQTNEYILQGNTQRVLTTGP -HVIWDIANSSSVSFECPYSVPSPWAPVNPVQGGISVQNNSSSGIFYIALLTPINTPTVVQPNSTFVIHVS -GGPDFDLRHPGPIPIIQTPLYNGVLDQGPVDAPTVIGQHTQEPFDDLSVERFFSRVRFYGSYNLASNNTA -GGIFQIPLTFVDYAPARGTGNYVVPANVPAVPFRAFTNLFTFFRADIRVMIALPATGDWIVAYRPPGAPP -TVYTSINASFGSQNPSNLSSLLNAGATILSTRASNFGVEVIIPYDSFANVFQTSNNRIQQTDIRYAPSSS -QFDPGSMGTLYVASRALTMTTQTVEIALGLVNVSAYIPRPFSARVGSGNMANVPQPASLQNFDEEIEQEE -AQPRPFEKCEWPAQTENGIIFHMPVRSHDPWDCQCVLCTLWRDDPITPEEQDWYEDYGYYPFTVVDAYRA -GFKENLESDDDTWEVQSLLDEIECTIMNQECDNRELFRELPEEYPEGPAFSGMWIDTAGRWETSALRGKE -WYRLLLVGEFHDRPNERTMYDRQVRVHTPLAMERMSHSLLAQAERNLRLAGQAPVLARQMWIDLWTNTIR -CMSHNARIGFYVMSRVHEILRLLERCYDAFEAGRQADQLIDEGFSEEPTPLRVRGEEWQEDEDFFSDGEY -IEPPRFEGRMELLEEAYHTHEDKAEEKPYVYRVNRGCYNHWGLAYKGQAISLKQRGIHAVVSYTPEDLEK -AVKIEEVGFFAWIKAVLLLDQTFEDYNIMNNCTHFAEMLTGHSYDNTGTWLMAGLAIAAVTTAIVFHAPG -NWDERSPIVKAESVAEHVYDVPRPVEITPKKTTRREWFPKRCMFFWKKPKKPIYMPMSSVEFQAPINVQL -TNPTIEANARATSIQAAQTLREYSDLAPVITAAVTNTAAAMQDTSVKASEILDKFENLISSVTEAIPKLS -NDVSEAANTLTGEFAKKIGSLLLKTIGYVLIIFGNPNPATIAGIVALMSAEVLDSPFLRKKIKSAFTSLS -HKIQTLFGSLFGITACDDDPHIFDIGHIDQAYNDYMRERVNFEAPEPIVTFNQGVLAAKNVDWIIEKVKD -LIDWILKKIRGKEKKNPEEILRTESQYIVSLYDDSVESGSCQSVDRELLKKRIQEVREKLAFYQNQRLTQ -PCVLLSKTLHNYRTIERKLAASEYSDRPEPVVVYVHGPPGCGKSVLSNVLASAYCKKHGLKMKDSVFTTP -PGSEYFDGYTGQPVHVIDDFCQNTTGEDVKTFCQMVSTTRFSPPMASLEEKGVLYSSKLILASSNLSKPQ -SIEVRIPAALERRCHIKVQMLISQDFVTKSGNLDMSRAFQPTGPAKNGYFKANCPYLDGTAVRFKVEVGL -NTAPWDNREMDVYELFDLVEEELNRRQGVQESFRGICFEAPNGVRNRYTPTVVPFLCNHVDHDMDTCHRV -FFRDSAAKELWHEDFDNEQAMDDALTPYWDGKIPSKDWKVDICSCDSKDCGKLVWKKDEQTICVDCRSRS -LADYFLWKQAGHRLADPNPPPFKPIRVDKLAWDVEKIRTQMKAMNRSLLVSFGATFLGFIATAIGGIIYL -VRKMRNRKKEEAPYSGAPGQKAQKREHPKQLPVRNVQYEGLPQIMPKIEKNVFPIAFHKDKEVTHLSALG -IFGRVAAVNYHAAAGCDRVEFRGKFYDIKELHPVHIYRKDRKTDLVFITFPDGNQFRDISRFFLSVKDRF -PRDDAILVSRSAKMVMNMMCRNVRGRKDVEMAPSAGGEEYHNVITYDTPSMPGFCGAPLLSLNKAREVIL -GIHFAGNGALGFAVPIYKEDLALAYQGDIEPLPEPEKRTHVPRKTNLMKSPAHGVFPVTHGPAPLTNKDP -RLKPDVDLDVTIFQKHKPDQKAWKELEPAMDYVVTHLMHDLGFEKGEIEPITLDEAINGFGAMDGINMNQ -SPGYPYNASGRSRRSFFEWKDNKWKPTEELEKAVAEALQDPESFYFTTFLKDELRPLSKVEAGKTRIVDG -DSLPRVLAYRMIFGKLFEAMLRNHGVKIHSAVGCDPDIFWTPLFEALGPDNYDYVFDLDYSCFDSTEPAD -SFRLMAKKLQPYFKVNISKYFEALAVSKHIFENRAYQVTGGMPSGCVGTSMFNCINNSAFIVSALIALKI -NPDSCAWICYGDDVLIATNEKRLSGRIAEFYHKNTPLIVTPASKTGDFPETSSIFEVTFLKRYFQPDSHY -PHLIHPLMPMEVIQQSVMWRTDGPFQSKLDSLCLLAFHAGGPAYREFVEQVDKACQRRGERYYFQPFYYL -MARWYAHFGL ->AIU36192.1 polyprotein, partial [Norway rat kobuvirus 1] -TPNMEGLDMGQAAGFPWNTLGRSRRSLFDEPEPGVFAPKPELQIEIDRTLEEPNYVYSTFLKDELRPTAK -VEQGLTRIVEAAPIHAIVAGRMLLGGLIDYMQGRPGQHGCAVGCNPDVHWTEFFYKFSEFSQVFDLDYKC -FDATLPSAAFSLVAKHLERLTGDPRVSKYVNSIRHSHHIYGNQTYDMIGGNPSGCVATSILNTIINNICV -LSALIQHPDFSPAKFQILAYGDDVIYATEPPIHPSFLREFYQRCTPLVVTPANKGQDFPETSTIYEVTFL -KRWFVPDDKRPFYIHPVMDPDTYEQSVM ->APR73491.1 polyprotein [sicinivirus A3] -MERSTGRILTCVKPCTYCSGSVCAGWRLCFGSSSPPVRLYSPLTPLPNTDLMAAYPDMARCLLQAARDAA -AGECNPHLCRKADQYIFTYPLPAQRNPPTLPHNVYWNDVFDEVATSILTHSPHPPTMRYFLKPTPKPHPA -PVEDDDPLPNSPPDWMTLVDEDDAKHLLQYGKLPFGASLQLHTPRLPRSQPPADIREMVLLKDVPGAVEA -FNQAVADLQNFLPNHHLTPITPSLYTFRFGGYHCFIALTELGHSLDTAPLIPHRATFHLSTRLERHGGPG -RMPSPPGDLPPPRPVDGPEGFADALYDACLSYLGHREHALIETAEMQPGFHFSHPAYPGRLPLEIRFVRH -PGIAPPLRDLTRGIRRPGIQYIPVSGMGGVPQSPAPPIAHVIAAACNAIRRGSHWHCPLVRQVSTHGWLF -VYSGYWCSILLRESRHDHDMCDRIPPCGGRLEICESPTRHGQTITNIYGDGNWVTSEQGANGWSTNANVN -LADGSISSTPVQRPLSQNPDGPKGPGKKGRMLEAAPPGVNPRPSNTHLDDSVVETLSSGNVTLDTTSTAP -VVAPLDWPADPIDPPDADSFIPGPSVDRFWHVSTLVWSPNQQPGAILQGANAFKATVTPYTSPTNIEASG -STFTYPHSLIAANPGTPVFDAFSNFMLWRSGLAVHVSTSASPAMAGSLLVTASPEGYEDVQQRSWTTQAC -GLTTIPYVLLNLCQANSATLILPPCTVTPFDDTRTHTSWAIRLYVFTQLNVPPGITNNLPVQLMFAPLKT -RYLFAVPYKHHLRTRTLPGSGDGYSFNIPENQGVPMAQYLPEHESADYIPGHFDNFMRFANTPGLLRTLR -WGSEMDHGTPLLQLNLNAISLGPDTHTPLSYVLSSFSQQRGSFSFDFVFAGTQMHSGRLLISVTPPSAHP -PRDVEDALRGHSLTWDVTVSCNCSFHAPFFSPSAWRSLAVDGTATKALYNSWGWFSIFVYTPLMTTPFSC -DYADIHVFARSGPEFVTRIPSGLAASIQVQGDQVEPASASPDDGINALEQVQPSGPPSMALAPYFNMFTK -AWMSPLQVPDAEDTRATATPPEITAYLTEKLSLPRTIELSPTAWTLSVHSRGTSSLLTQAVASCYYFRAD -LDVEIMFTVPSIAFEATVHYPAICVQYHPPGSTIIQPDEKQSSTVFNAPSAGIYATAFPRLPAPRPSSGS -APAVTYHVNLTIPYSAINPMVPTVYSGTTSAVGPPKPTPLSPLQSVLSIPNSLGRLLVFYLRDECMGSDC -LLGDIRLRLRNFSPAVARLPQLAFAFREMPTSSSDVSPPTVMPPGEITAALPFPNPLQPAPPPPLPTRHG -GPQGGTHGRCYIIRKSSLGFQTWALRSSNQQIGIQFKHLRCVIGYEECEGTLYQEVLPAHFAIAQSMIGQ -PYPFHIGNTSAHWVERITNIQLPRIPPLLACCIGAGALASLAAQTVQRPERHGLKDLAEASQNFQRAADA -IDCAVNAANLPGCAQQISQAVTALANTAGDLNTTMRVASDVVRREGRDIADDFSRGARSMVHATENATKV -AESLNLPVTADTLLQAAQAIKDASSQVSQSIDTAAEVARRLIPAVEGVVAGARNERPSMLSGLFKAFSRL -LGYGLIIFGNPSPISIAGVLILLIGDLGEEIVEFFRNIHRPIACLFAWMARKLGLTVSKEECLEASEPLE -IPQPQGPVRDYNDCMNALKNTDWLLHRILDLARILCEWLTKRTKEDPAAKLDELHQLVTQLYSDSVDVLT -APRVLRSAVEENLSRARAALPTASELRSPPHTTMLLRAITNYETKVASLSHNQPHQRPEPYVVYIHGPPG -CGKSLMGSLLASRLAQALSGDPDDVYSPASVSCEYYDGYRGQTVHYIDDVGQDPEGKDWRDFAQLVSTAP -FVLPMANLEEKGRLYTSRVIIMTSNFPEPNPRSSRCPEALSRRLRLRLSVSPPPRGPKHLDVAAALAPSA -SGPTKYFSADCPFLRFESFVLRSEMGAPNFTHMDELLDYILSQLNDTERNTTAFRHLLPTTPKKQGRAYE -RHLEELRTTELEPERHGVPERTTSMPCLLTSTDGETLQHADSAPHPAFLRPRACRTYDVFHEPCPDPFCT -DWPRPTPERPLHAPVKRSVVEESPLTEAIERNKPLSFVEKIWQYRKPIFLTSAFLSAVSAISTIAFFIKS -LISKPQAAYTGKPPIRKQKKDPEPQQPLPPPAPVRHCLSLGAMTVAKNVVQITGLDVESGAPCKVNGTAL -FDRWVLTVSHVVPDTTSVVITHEGQDYKPSKVIYDGEICALYVPGIPQFKDLRRFTRNIRQHSTGVLPSH -TPSGPAFILVSNIRLRNSPWPTLTGKRDVYYYTGATFPGLCGAPLILQNPGGPSLVALHQSGVAGTSGYA -IPIADLMAQLEVPKSQSEILECEPGGPSPHVPRRSRLVKSPAYGAFPVTKEPAVLSKYDRRTEADVDVVA -FSKQGGGDIDEPWPSLIPAVKLYFSKCNFSKLRTLTMLEAINGTPLLDGIDMSQSPGYPWCLDRNRRSLF -NVGEDGLYHPCPELYQEIEACLHNPDYFYTTFLKDELRGLDKVAAAKTRLIEAAPIHAIIAGRMLFGGLF -ETMHSQPGNYGSAVGCDPDYHWTPFYHNFLDYSEVWALDYSNFDSTIPSVIFKLIGEELAKIIELPPSIP -PDSVQKYVQSIYLSKHVFGERWYTMKGGNPSGCVGTSILNSMVNNISLLSAMLTHPDFDPHAFRILCYGD -DVIYATVPSIHPQHIADFYHKNTLFKVTPADKGNTFPETSSIHDVTFLKRHFVPDERFPTYIHPVISPET -YQQSVMWTRGGPFQDVITSLCYLAHHAGPNNYQDWCDTVRAQCLKNGFEPIFIPYEVLQYRWLAMVMT ->AJT57879.1 polyprotein [Porcine kobuvirus JS-01-CHN/2013/China] -MASSILVSDSVFAPILTHKLSRRIRRHPVAWHPRENSRLSYITAMEELDACYINEQHRLFPLPRPSHWYN -CLYCQHPSEDGDTLMSLACEYDEDCPHHSSIIHPQKPVQKDPEDILEDSEWPDNILHPEPPSFTTDAEQN -WLDRLASASLPGPTQVRPLSKFLAENPPPASLAGKINLSDFSDLSLTTPCQIVRQGNSTTNIYGSNNNVT -ADVGANGWAPTVNTGLGDGPVSSSADDLAGRSGGSSTASKDSSKSSKVRVHNFNHWWEPAAAKALSRGVD -KALDGIEGAGKLASSAIKSKLSGARPGPSPNLIALNPSATQSGNAMITTGSTAPVVCAYPPTPSVPLPNP -DAPSQPGPSGDRTWLLDTLEWTTENPQLWNLAGPNGMQYSALQNLTFPVSTPANWGTQDNSPPTAYPLPF -SFVHAYPDSPWTAMHDTHSMWNCGWRIQVVVNGSQFHAGCLALVMVPEYSAGELAQGRLNAIFTFPYALL -NLYQGNTASIEVPYIGPTPNSSTCVRAPWTFYLMVLTPLSVPTGSPTSLSVSIYVTPINTSFHGLRQVQK -QHWKIRSLPGAGAFGNVVAGQEIPIYAMESFRPPVDYLPAKVTDWLEFAHRPGLFESYTWTMAETTGEKV -AEAVIEPVLLAATGTPISFVTNLYAQWRGELQLSLLFTGSAQHFGRLAVCFTPAAATPPTSLQQALRGTY -TVWDINSSSTLDFTIPFISQSYWKVTNMANQNSLLASLGTVSIWVMNPLTGPSSAPPSAVIQAFVSAGES -FTLRGIQAPGFQIQAADDDCAPPPVSNIESGSATTEPEPRTTFSYTDNPTPPDTNLQRFFSIYRPIFVNG -EDYSVGFTAEAGYTFPLNPVDWVAIAGPGDTLPLLLSCFTYFTADPRVAITFSNPAPYAARITIYFAPPG -SSPQSDETPGSSMGCYYSIQASVPPTSEATVPISIPYASPLSAIPLSFFGFSDFAGGRDVVNTTFGTLYI -RVSFQGEVPSNTYITMTAQIAFGNFCGYVPRAPPPLGTSPLLPTAAVVRPKGRSPIVRQCQTTDVQVPTL -CPDVRVYIVKVQRMTYTHWALRAVHSDRTEQISLSRLGLNAYVAYEDPQGVVYQEVEPCHWMVAVAMVGT -RWDYSATNNCTHFVSNITGVELPNTGFSLLLGLGALALLSGCAAVQASKGGITRQGLLSFDAPPEVVQAA -NRVADSIESTATVVREADLARSTLNMVHAASRVADSIESTASAVREADLARSTLNISMAASDIRMAATQV -SSSLNGFTDMLSSFSKTFTQGVSKALSDGLSTFLTWLAKIFGYLLVLFGSPTPMSIAGLLVIICADLAPQ -ASEYFHSCSSVLGSLYYWIATKLGLSVIPEEAHAATVEHQGVRDYNDAVNAVKNTEWLMDTCWRWAERVL -TWIRGKAKTDPQTVLADAHDEILRHYSESIAALSSERTPVSAITDAITRCRELTKVAADAKSAPHSSFLS -QALRNYQLALNSARMAQSGPRPEPVVIYLYGPPGTGKSLLASLLARVLAQKSSGNPDDVYSPSSASCEYF -DGYTGQVVHFIDDLGQDPEGRDWANFPNLISSAPFIVPMANLEAKGTHYTSQVVVVTSNFAGPNERAARS -IGTLRRHMHLRINVDRLDGKPFDPVEALKPLNQPSKYLTSQTELSLFKSFKLTVAVDSLWQPSFTDFDSL -VDAIVARLDRSTGVSDLLASLVKRQGLTIEAEPTELSYQDALEMLADSRPVSTTLSFERTVAQNAPLSVV -NQLWNYRKPIFACTTFLTVIGFVLTIIAVARTLWKAKEDAPDKTQGAYSGLPRLKRQEKMRPSHPPPPPP -SQSRSVVRQSLSPALPKIADNVYPISTNSPTQGRNASCGFFLFSRFFLAPTHIIPDDTDRISIGPDTFDW -ATLQHRRLEKELTIIHFPTVRQHRDLRRFIGYHPYPTGHLISTLSGPPVYLRFSKNRMVTLDLPGVVEEP -TAYGYKAPTFQGLCGAPLITDDPAGVKLLGLHVAGVTGYSGFSVPISTYLPEIEQFAIDQQSIIIPGPNI -VPGVNVNRKSRLGRSPAFGAFPVKKQPAVLTQKDDRLEEGICLDDQLFLKHNKGDMDVPWPGLEAAADLY -FSKFPTMIHTLTMEEAINGTPNLEGIDMNQAAGYPWNTMGRSRRSLFVQQNGIWLPLPELEVEINKTLED -PYYFYSTFLKDELRPTSKVTLGLTRVVEAAPIHAIIAGRMLLGGLIEYMQANPGKHGSAVGCNPDLHWTK -FFFKFCHYPQVFDLDYKCFDATLPSCAFRIVEKHLERLTGDERVTRYIETIRHSRHVFGNETYEMIGGNP -SGCVGTSIINTIINNICVLSALIQHPDFSPESFRILAYGDDVIYGCDPPIHPSFIKEFYDKHTPLVVTPA -NKTDTFPENSTIYDVTFLKRWFVPDDIRPFYIHPVMDPDTYEQSVMWLRDGDFQDLVTSLCYLAFHSGPK -TYDRWCTRVRDQVMKTTGFPPTFLPYSYLQTRWLNLLAA ->AIF74255.1 polyprotein, partial [aichivirus F2] -PQIGMEIGETTSQTWWDAVDQLNYRTPFKFCLLNLPPDVRINRHLIQRQGNSTTNIYGNGNSVTTDVGAN -GWTPTVNTSVGDNPMSSSNQTGGGSGPGIKGGSSTSSKDKVASGNHVGSRYSKWWEPAAARGLERGIDHA -IHLGDKIVGGAASAVGAGVDALKNKLHKHSPPPAANLLVSLPTSNQAGTTEIQSQAPSAHVIAYPPTEAV -VLPSPDAPSVPGPSGDRAWLVDTFQWGTDVPPSQWLAGPNSYDPQPAAYPPKPTGHANGGCYPLPWALVT -AQPQCVWSAMYSNHSYWNAGFRVQLTVNGSQFHSGCLVLCAAPEGVGRSYGAEGDLFTAPYALLNLCTGN -SCTLEVPYISPTPNASTDFLHAPWVFFVSVLTPLMAPTGSPQTLQVNLYVTPLNSSFHGLRYPVRQHIKV -RNVPGHGAFGTAVAGQEMPLVGVDAARPDSGYLPARPTNWLEFAGRPGLLASLTWTMADEPATRLQSLPI -SPDALASTTTGIGFVLTLFSQWQGEVKAQLLFTGSAQHYGRLVVAYTPPASRPPANLDEAMHGTYEVWDV -NGSSTLDFTIPFMSQSYWKTVDIGTPDGLLSNNGYLSVFVMNPLTGPSSSPPSATIQAFVMAGDTFNVRF -QQSPALGWQAADEGETEAAVSSMEQSQPTGDLGEQTTMTYHQTDLHPDTILKNYFSFFRTLALKEGGGPL -LMQAGSVQLFPLDPMTMFKSDVTVLLSSFTYFVADLRINLRVVTNISASTTLSVGLIPAGATIPPGIENT -TVATATMSNFTLIEQPLPATGSIELSLSLPYTSPQSALCTVYNGWESYDGKNYGHLHSNTFGTLVFYTVA -PQLPGPPLPGTETISISAWYGFGDFQGFVPRPPPALGPLPSQSIANLNGAATFVRRAKDRTYARRQAAVH -LDFGIEPEDRAFVVRVQRSTYVHWAIRHCRWDGKVSQISLQNVACQAVIGFETPEGDEVVECELDHWERA -CALVGSTYPYNAHHNCSTFIEDLTGYPCSNSGLSIGAGAALLGVAALAASAGVQTLKASRQGLGDLSSAA -KVMTQTNVDAAFTVARDGIRVANVGSERLATAADRLYRASENVDFRRLENAANTLSDAARRVADSIDGAR -DTVENLSSVLQTPATSPFNSFFRWIAKFFGYLLIIFGSPTPMSIGGLLLVILADALPSVTEFFKKSGTVI -GAAFYWVASKLGYNVDPAEAEQAAAEEPAAERQGVKDFNDAMTAMRHADWLLDKVLATMERLLRWLDKRA -GDDPGKLVADNHDKILELYTDSITAVSSPPTTLDVQAVKHNKDVATKLLTASQKAHDQHHSVLLSQALRN -YATVLGKNPGLNPGSRPEPLVVYIYGPPGCGKSLLASLIASTCAARLGSGPDDFYSPTSPDCEFFDGYSG -QPVHFIDDIGQDPEGRDWAHFPNLVSTAPFIVPMASLEAKGTFYTSSLIIATSNFQGPNDRSARSLAALE -RRLHIRLKVTPEGVFDVDSALAACGPATRHFNHECPLTRLEACSVRQDPRSITPVTFTDLDDLVDAILDQ -VQVRRSHCTNFKGLIRQAGDPLPEPSPDEQIPSSQPPSGEPFRVFRSTEDDFTRAAHRNAPVPVLESLWK -YRVPIYYTAAFFTIVSSIIAIIYFARQVRSQSQGAYSALPVPKPRKPQPKPRSIPKSAAQRQGTLPPAIP -KLAENLVPVTAYADDKALRSMSLFFLQGRWAVTASHLIHDATHIKVGDTMLSLSDLLYAVDGELMAINIP -GREHRNVVRFLNPNSTFSSGFLISAVFNGTSYVRFSGVKQTPLDIEDVIVESRALVYSCSSFPGLCGAPV -ITADPSGCSIRGIHVAGVPGSSGMACELSPERWAAMLAATASRQSILEPIEPVGPPTHIQRSTALKPSPA -YGAYPLSKEPAVLSRKDPRLYPTVDFDVQVYAKHNQGDITEPWPGLVEAFDLYFSSFPRKIRTLTMDEAI -NGTPNLDGIDMNQSPGYPWVSKGRSRRSLFTWEGDRWCPIPELVKEVEAVLSDPVYVYTTSLKDELRPLD -KVRCGGTRLIEAAPIQAIIAGRMLLGGLFEYMQADPGSHGSAVGCNPDYHWTKFFWDFSSYDQVFDLDYK -GFDATLPSICFKLLSQHLHRIIGDDRIPAYIDSISTSTHVFGSYFYRMVGGNPSGCVGTSIFNTIINNCC -LISALMSHPDFSPTGYQILAYGDDVIYATEPSIHPQFVKEFYDEHTTLVVTPASKMGVFPEYSDIFDVTF -LKRWFVPDDIRPMYVHPVIQPEVYQQSVMWLRGGEFQDVVTSLCYLAFHAGPINYGYWCSKVQEAAARVG -VEPVFLPYSYLQLSWLKLVSA ->BAO02685.1 polyprotein, partial [Kobuvirus sewage Aichi] -TENSLPPDSNLENFFSFYRLLPLGSNTNPSIPLPNDAVAQLPLDPLHWQQSADVAGLTAMLSCFTYIAAD -LRFTLRISNPNGLPTSVLIAYAPPGATIPQNPDRQMLSNFFMAETPISESNTTLISFSIPYTSPLDYMQG -RPGEHGCAVGCNPDVHWTEFFYKFADFSQVYDLDYKCFDATLPSAAFSLVAERLERLTGDPRVSKYIHSI -RHSHHIYGNQMYDMVGGNPSGCVATSILNTIINNICLLSALIQHADFSPSKFQILAYGDDVIYATEPPIH -PSFIRDFYQKYTPLVVTPANKGSDFPPTSTIYEVTFLKRWFVPDDIRPFFI ->AIF74248.1 polyprotein, partial [Bat picornavirus] -RRCTGTDPFPPQKARFHTREGALYFHENYSLINSTGMLYVMVINKLQTTSNVSPDIDINLFMSAGDDFQF -FFPVESDFILEHREPSFMEAGEEKPDPKEVAKLGLGPKGAVNEKKLEKAATNDVTSMSKVPTVPQKKVDV -KPKESAPPAPNALAEPVGGTVGSIEDSQSGDLGSTKDKTVIEATDVLVPESHTNLCRLLGRAHYYTYQTV -NIDGGSGTRPRSLTQYMLRLTVPETGVLGTLFSMNTFWRGPVTLHFTTVRVNSGPTFLLSVLPPGTSCPA -SASALMAAGAVLWDTTMSKSITLEVPYYVHTTGLITTRGYLGLSESSPRSPTYLGDLVFWPVKFVTQKVT -FDIGMSFHRGFSLTTKRPTPIQKVTFSTANEAELDVSRLRTVVSRTVDPVLEEGHEPWLAVTLTTSPCHI -ATSISSAMKETLLVKKSRGFYDHYGVKTQAGVVHFNSNNVVWSALRGTAEVVLSPDDGWDACDEREDPDA -VENALALVGETFQYSIMRQNCEHFARLICEGECHSTQSDGMMRALKGSAVVCGLGAAASVGAVCKQGLFG -VNAAVTKIENVAERASSYLDALPTPESLRETLTSLLPDVKTAVVQKIVSYVCKLTGYLSLVITQHNLSTL -LGVLVCIAGDVINECSGVKVMKCIRAIEACFERSRPSDEHLTDIALSAAEGLLETSMPPSARKQVAHGIK -DFNSITLAWKNVEFLFQRFLQILSWIFVNIRKVMGFPMTSALEKNKEQLSAWMTDCQWCLDNESLIAGSA -TNVAKLNAVVLMGEQLVKMRAMWEKGDEFAASLRWYFTNVKCLQLRVSTCSPVSRPEPIVIMLSGEPGQG -KSLLATYIAQDLCLINGVSVQEEVYNKPPNAEFFDGYRGQLVHIIDDIGMDPEDKDWRDFTMMVSTTCFR -PNMADLGDKGIQYTSRFVILTTNFPDASPVTLRSCEALKRRVLYHFKVSTKAGYSTSRGHLNVEKATKDG -SLFDGSCLNIVGESGTAWTLEQVVKEIQSEFTRRGALHQKFLHMRGDYQEKAYGVRLTTKQNLHKEGDEP -LVEINLSEYKAMFHKTIRTPANEKWCPFLDEKEDVPNESWIKIRKSVGDFVERVSKTPLWLKAAFLAGTA -FSLIGLGMSVFMWRKLKAVEDKVSDEKQGPYTNVPVVTKPARPPVQMDVKLVKQGQNLEVLEKVAANMVQ -VSFLPYGAKNERRMRGLFLGNDVIMVPQHLVELDSGVLTIHDPMGTIVLDVENGKLETYRSFKLDGASVD -AMFFHVPGLKRHCKKIVHCFATLQELERLPHSGVLLHARQEGVFCLQATKVAHCGPILADGCQLSLRSLR -YTCTTAPGFCGQPLAANYPSGYRVVSMHVAGSVGFVGYGIPIWRELVEMILDECSPVKQFADGVRVLEEV -DPPIHLPTKTKLKPTGLVPPTRSPAVLGDTAIKPSFAKYVHHYKTKVPPPAVRDKLLQLYRGVASGRPCL -TYGEAIYGDGRGLAPLDHQSSAGYPYCLNNQRKQDLYEDPEFQQRVFDTAEGEADVTFATFFKDELRPKE -KVALSKTRLIDAAPLHFTVAFRMAYGHFMSEMHDCAGTSIHCMVGCDPETHWTDLYWEMVDLAGEERFID -LDYSGFDASVSKDMLIWVLNLLADATQVSFPALFQYLINPRRIFKKWVYETDGGLPSGCPCTSILDSMIN -TAIVMTAIYKAEGTLVRYQEKVKFVTYGDDVLLAVAPTGKLTPEHLIETAAEFGMIMTSARKDRAPCFMH -VSDVRFLKRSFFVDLIRPNLVHPVISEEVIYDLLAWKRQGADIVDNCNQALMFAYHHGKHIFVEIYNLCL -QVAEPAQLLTYTDCKERWFSLFY ->ADI48258.1 3D, partial [Bat kobuvirus TM003k] -WTGLREAFDLYFSRVPEVRTLSMTEAINGTPGLDGLDMNQSPGYPWTAHGRSRRSLFTQVDGEYHPVEEL -RTEVLRVLEDPKYVYTTFLKDELRPCEKISIGATRLVEAAPLHAIIAGRMLFGGLFEHFQNNPGEYGSAV -GCNPDVHWTAFFHQFSPFSSVFALDYKNFDATIPSCAFDLLSEHLARKIRHPSVGPYIRSIQKSTHVYGT -DFYVMQGGMPSGCVGTSIFNSILNNCFILSALIQNKDFNPHRCRILAYGDDVIYATEPPIHPREIKSFFD -LNTPLKVTPADKGEDFADDSTIFHVTFLKRWFVPDEVRPYLIHPVIHPSVYQQSCQWKRSGDFNDQLTSL -SYLAHHSGPQNYQNWVDAVTAACLKNGYKPSFLPYSYLQLQWLKEVTT ->AIU36193.1 polyprotein, partial [Norway rat kobuvirus 2] -AINGTPDLDGIDMGQSPGYPWVERGRSRRSLFEWDGSAWKPLPELESAVLSCLEDPSYVYTTSLKDELRP -LPKVEAGGTRLIEAAPIHAIIAGRMLLGGLFEYMQKRPGEHGSAVGCNPDRDWTRFFWDFSPYDEVFGLD -YKAFDATLPTCCFDLVAKHLSSRISDPRVTRYISSISSSLHVFGDRFYRMVGGNPSGCVGTSILNTIINN -CCLLSAMISFPGYSKSKILCYGDDVIYACEPPIHPRWIKEFYDTHTPLKVTPADKSATFPETSSIYDVTF -LKRYFVPDDKRQMYIHPVIDPETYRQSVMWTRKGEFQ ->AEA03667.1 polyprotein, partial [Picornavirus chicken/CHK1/USA/2010] -FIDDIGQDPEGKDWMHFPQMVSTSPFKVPMAALEDKGILYTSRVIICTSNFPQPTRSAVRCASALDRRLT -LCLRCEPMSDGARLIVEDALAPDGPATRHFAADCPLIRLECCRLTCDHRNVGGRIEGRFAHLDDLVDELS -LLICSKKNNLDSFAHLIKPRRPRVNDLGIMNGFVCSVPPPLPDQPSSNPEPQGRIACSFTNPPDAPRDDD -EYEEIEIQSTIPSDVENAIRNNKDIGIIRKLWSWRKPIFVGTAILSVITSLSVLFSLTYSYLKEKRQGAY -TGTPAVKVKPEPRPRKNVSSASRPRRQGITGYNPTIVNNVVGGVSTSSDGRLSTFTAIGVGQRFFVTADH -VVLEDKAQLTIGTNSYPARKVFSFKELCMLEAPEAPQMKCLDRFIKDCNSKIGYLVASFPRGPGFIQLSE -ASYIISDCPEITATECYHYKCVSFRGLCGAPVVLSTPAGPRLVGVHVAGVAGVTGYADPLVDFMAAFREA -SPQSLIVDVPMPGPAPHIPRKSKLTHSPAWGAFEPEKEPAALLNHDRRLPPGVTVDEVAFSKQNRGDVVE -PWPGLSEAADLYFSQCNFPKMKMLSMEDAINGIEGLDGIDMTQSAGYPWSLKTSRRELFTLDENGKFQPV -PELRDEILKCLRCPNYWYTTFLKDELRPTAKARAGKTRLVEAAPIQAIIAGRMILGELFALFHSNPGKYG -SAVGCDPDFHWTPFAHSFRKFRNVWSLDYSCFDSTLPSVCFNAIAARLSPLIEVLPECHPEIVALYINSI -RSSQHVYGNRAYVMIGGMPSGAVGTSILNTMINNISVLSALIPRSDFVPTQSNYQILAYGDDVLYACTPD -MHPRDLKAFYDKYTPYQVTPASKDGDFPDTSSLADVTFLKRWFVADEVIPFYYHPVIEPATYEQSVMWSR -GGDFQDTVTSLCFLAHHAGPTNYSNWCSAVRDACTRNGYSPPTFLDYSYLQMRWMQIVSG ->YP_009182172.1 polyprotein [Rabbit picornavirus] -MALSKVSRVSGNPLLPLNVFEQDSFDELALLHLPGPASIRRPKSLSLHIRRLCRTPVRQGASFSQPLQPA -AMTWIVWNPVDILQGYQIRHRNGTGQVFQLGWVPAGTRFRLDLRPISGDALSQIPDEVWFADLLYLGRTF -LSRWDLLNPPHNRSYDRRRHLRPERQGNSQTNIYGNGNNVTTDVGANGWSPTTAVGDGAMASQDQTPSKG -AGGSGAGSGSAKSGSNSSGSRYTKWWEPAAARGLEHAVDQAVGVTNKATSAVGKGLSAGIDALSNKLGRR -SQDTRLLALPPTLSGNLLATVPDSTQHGNTEIQTQAPYSAVVAYPPEPYPVLPNPDDPSLPGPSGDRAWL -VDTGTWSAERGTGDWIWGVNAVDVGSATYPPTAQGASAAQGGYPFPWCIPISQPNCVWSHDVQTAQLLAC -RQARYQLTVNGSQFHAGTLIMFACPEVPSDGSENANFVWPYAILNLATGNTCTLELPYVSPVPISSTDFL -HAPWSVFIYVLSPLVAPTGTPTSLTWNLYVTPTSTEFYGLRYPVQQMRFHVKTRHVPGNGAFGTVVAGQE -IPLVGVRTERPPVSFLPGRPNDWLEFASRPGLVTDLLWTMADDAGLNLLTLPISPDSLAEAATPIGFVMT -LFSQWQGELKAQLLFTGSAQHYGRLVVAYTPPAPNPPATLAEALHGTYTVWDINGESTLDFTIPFMSQAY -WKTVDIGTPYGLLNNNGYLTVWVMNPLTGPSSAPPSARLFGFLLAGDSFRLRFQQNPALGFQAGGAGEGG -NGGEEAGLSAPSSTTTMESGVPDTELKPRTTFDYTDTDLVPDTNLRNFFSFFRWFPLSPESAPEAPSLTM -TPGVYYQIPTDPSQFNGCTVKDLLSAFTYFIADLRINLRVTPSPGYSGSLLVAFVPVGATLLLWALSPLA -LSNYTLVDQPFNGLGTQEVAISVPYTSPQSALFMAFNGWGVYNPSAAEYGDLHTNSWGTLVLMASDSVEA -VSLNVEAWISFGNFQGFCPRATPPLGSLPTSVGVPRLGRSSFAKRPPPYVRARRQASPAMLWLEDLGEDD -RVEVIRVDRLTYVHWAIRRTTPEGEVEQISLQNERLRCVIGYETPEGRPVKEVDPWCWELAVRQIGCEYP -YSATTNCSTWVSDITGYSCSNTGTALAIGLCGAAGLSLAAWGAQTLKAQRQGLGDLSRAAAVVNQDNVDN -VLREVHRGVGILDGASIRLEAASKNVLAASGNINFSHLDSAAETIASAAERMASSIDGARSTVEGLASTL -KVEGSSALGRFLRWVARIFGYLLILFGSPTPMSIAGLLVLICTDMAPAASRFFQGAGDFFGGIWYWIANK -LGLSVTPQEASVAAAQAAAEQQCSHSGVQTFNHWMTAGRNVEWLLDKVLTLLDKLLKWIGIRAKDDPQTK -LANAHDSIVRLYTDSLCASTEMLEMADKGFSVTAVKANMKTAEQMLAVAHEAKSSTHATLCTQALRNYTM -ALSAVEKGRSGNSGARPEPVVVYLYGRPGCGKSVVASLLAAILAKKLGSSADDYYSPASVDCQFYDGYHG -QPVHLIDDIGQDPEGRDWADFPNLVSTAPFIVPMASLEDKGMYYKSRVIIATSNFAGPNERSARSIAAID -RRLHIRLHVHSDGFSVEDALSPCGPATKHFACETPLVRLEGINLKFDQRSLYAAQLDSLDDLVDLILTQV -DVRAANVNRFAKLIPQGLNTAVNGCEGCDGCLVCSLEKDYGKVIDSISNFAEARAPDPPPVVLDSSATTV -EDHIRANVSMSVIEKLWVWRKPIFAFSTFITVLSFLGSLIGLAVVYRKQKQGAYSGVSKPAPPKPPRSGA -RVVTRCAQRQNLSPAIFKISSNVVPVVAGKDGEVTSTMSALYVHGRYCVTASHVLNGAEWLEVGGVRSAV -SDIPKFVDGELVLLEIGSREYKSLVRFLKPSSTFSTGFLVSAILHGTSYVRFWDCHGAPLSIEDVIDEPN -ALLYRCSSFPGLCGSPVIVDDPSGICIRGVHVAGVPGYNGMGCELSPKRLERMLSSMTVLQSKISPVAPL -GPPAHVVRSSKLHPSPAHGAFPSTKGPAVLTQKDPRLNEGVTFDVQVFDKHDKGDMTEPWPGLEEAFDLY -FSNFPSFIRTLSMQEAINGTDTLDGIDMGQSAGYPWVGQGRSRKSLFTWTGTEWAPKPELEQAINTCLEN -PEYVYTTSLKDELRPLAKVAAGGTRLIEAAPIHAIVAGRMLLGGLFEFMQARPGEYGSAVGCNPDRDWTK -FFWDFASYDQVHGLDYKGFDATIPTVCFDLASKHLTRLIGDDRVSAYISSISSSLHVFGRKFYRMSGGNP -SGCVGTSILNTIINNCVLISAFLNFSQPVEFRILCYGDDVIYATEPPIHPSFLKDFFDRWTPFKVTPADK -GTTFPDVSSIYDVTFLKRWFVPDEIRPMYIHPVIDPEVYQQSVMWLRDGDFQDVVTSLCYLAHHAGPTNY -SSWCDKVRKACESVGVQPYFLPYSFLQHSWLKMVSA ->AOQ26210.1 polyprotein [Rosavirus C] -MAGKNGKRSENPSHDVSGLPVTGAIASVLRPIPVVGQIAGALQGLASSWLSSATDGLLNDSGFEIGNVAG -TSDRLLEVAIGTTTLSSQEAVTVRQGYPTDPYPLPNESKPPIDTPTPLGPAGERLHQIKDWQWQDGYYSP -AYAQMWCRLPQDLMKDTVDNPSNLFYPTAQRHTLHSSDFIVTVTVNANPFTSGCLLVVAIPNYPHSPQDS -MMALYYENKPGYFVRHQLTLFPHQFINLKTNNQATLILPYHGPAPVSPQHTRVTWTVCVFVWSRLYLTPY -TSTNPVTVSMMAAATNASFYGLSPRRDAAQLEGDFRISQNANAIVSTHPLSDCVVLAQGAQCVLDTSYLP -GAVNSFAQPLSVPTLMIGPSKDWGGIQISPSTTRGTMIYGFPVDPRNVVFSKSYLGMTSKLFTQWTGTIN -FHLMAVTTQMTRARLVVCFTPGATTEPITMEQAMAGTVAFFDIGLNSTFTFPIPFISETTWRTLSDDETF -RHSNYSFYPTLSRMGVVTVWVYNVVQSTAPATAGPIALLPFVSAGSDFSFRLPRSIEIGVTPYKRQVSVT -NSSTFSVTASSAGDTPLPASEPFSFPEPPFPSLPLCAQDARFENGPDEQVPVVRMGASDAASAGEQPAQA -EVDAAPACYGNLEPGQPTQVSAKDAWEGVEGVCSHTSPDMLFQNFFGRKRLYAAYGLPTTRSDAYYLPIP -IACNWQRDESFPYPARFLQRLCMYMQADVRVDIQIQRYGTPSWNSQITVANIPPGADTRLGWSYNSDNPA -VFSRIYDFPFVTQPISDVNNTVSVMIPYQSPYNALCPWRSGFGVSGVNFTSNPNAIPPVSGRRRNWLPFN -EFCTLGCVITPAENGVVNVFVSYHNVSLFMPLPYPQLSMWAGEPPEQIEEANGNGPYLWSVTQPFLRCNG -RVASNGDIWYDQDPRVYIPNMIEASTSSRDLGGLRFSEECCDDSAEFEAGELEWDPMDFPDHRWSQDTTV -WVSRRKWAGTPYTHWMITRGSQECSLEKDGFDAVVVLRESVEPYEQVQQVHPIVWSHCVFLSRCKYVFRN -YNVLNNCTHFVESIAGVSCENDGKKMLCSLALVGTLGCAVAVAAALEAPARDKTRAPRVYHTLTPCPDLS -QHPLIRDEPDGRLALKAKAFGVSVAGKYEWEPRHWTRSTKSRAGYNWLRPCYEKGPFENMSAASKQLEKT -LKSIDGALTQENVEMLMASANAFGRASSSIDNLAASLSEMVKKVPDFVPTTQKKIAQKVASVMLKLVGLL -VILFSSPTPLTLAGVVAILLGEAVDAASTDWATSLKNWIVRKLGLPQSVMKYAGKFPDLFPEDRDQPQPC -SSTDGPPDIKLEKGDCCGSSSSAIEAAKDFNTISLSMRNAEWIIAKTKEFITWLLDTFTNWKQNSPEARA -HQSRGEIFELFADSVHSLDAQSVDLAQLEINKKKAQSLMALCGEIKDVTSLQLLQRAYTNYCATERRVKQ -SQYSDRAEPVVIYFHGPPGCGKSLLSSIFAKGLCKAMGLDPKTQIYSQIPNSDFMDGYCGQAIHIIDDLG -QDPEGKDWQNFCQMVSTVKFLPNMADLDQKGIPYKSKVVIATSNFPDPTFQSARDVAALKRRLAFPVGVA -TTTGRRLNAKDALAPIGPSPSPHFKATCHLMEGTAVQLTLTREKQKTVSFFELFNEVLQEVDARQRTHAV -IADICFENSEWEIPDVSAETLARAHYSATQSCVTVEPIRLPNNREELKAPWFTKLLNPTGNKTWDTVARV -AMVLSIISSLTAFGIMLYKCSGSNADSEGAYSGIKQKVAKQKPQRLSPPAVKFEGLPQIYAPVQKNCFPI -QFFDAHPDKLQGFFTLTAVGVYDRTYVTNYHGFEKAEWIQLRGQQYNKDQLRVRRVERNGSPTDVAVFTI -PSGPCVKNILRFFRKGPEDKTPRAPAVLTVRGKMNLDVLASGVEAFEALQMQDGTYHGILRYRAVTAPGF -CGAPLISYNPSHEVILGIHMASNGSGVAYGSAVYQSDIKPVASEGLRSLVGKGLKAHVPTTTKLRPSPAW -GAFPVTKEPAVLHHSDERLLDVENLDAVLFSKYKQDMVEPFPGLEIGTQIVRNRLKKIIPQKLPQITVNE -AINGIDGMDGIDMNQSPGVPYISEGISRRSLFEIVDDQWVMGSRLSRDYARVADDPKVGHFATFLKDELR -DTKKVVQGKTRLVEAGNLAHVLLGRKIFGNLFAVFNSNPGFDTMCAVGCDPDVHWTQFYHPLAAKVKVFD -YDYSGFDGSVPSCAFDALANVLADLVEGEEEVRAYVASLKSSFHHYKGRLWRLDGAMPSGCCGTSVFNSI -INAILLFSCFSQILPDFDSSEPLVLAYGDDVLVGTNQELLPSRVAAWVNRETTFQITPADKGTVFNDETD -VHTVQFLKRHFTPDPHFPHLIHPTIDKATFEQSIMWQRCGDFQETLNSLALLAWHHGPKSYKRWADAIVG -KCLEDGNPPPYIPPFPLLRHNWLMKFEVETFV ->AGU62946.1 polyprotein [Ferret kobuvirus] -MGIYALDLHCLIESRLIDSQVNNQLIGHMDSTTSFSNTNSTISSSSQASNRTGVLRYSCVDSAPDAYFKS -TYGFPFSLPKPSHFYTCLFCNHPSDEDEISLMTLDGEYGAPCPKHSLPKTKPHHKSPEDILEDSNWCDVL -DENATFHSDYTQNAFDQLAMALLPGPKQVKDQAKFSQLLSKLSHLIXSSTTHLSLPHPSGNIIKQGQSQT -NIYGNGNNVTTDLGANGWTPTVNTGLGDGPVSSSADELPGRAGGSSSAPKNTNSSSSHTTSVSHFKHWWE -PAASKALSRGVDKALDGIEGAGKLAGSAIKSKLSGARPGPSPNLIALNPSTTQHGNAMITTGSTAPVVVA -YPPTPSVPLPNPDCPSTPGPSGDRTWLLDTFEWTQEQTIWTNLAGKNGMQYTSLTSPTFPFSTPSHWGXQ -NGSSATAYPLPAAFVRAYPDCPWTSMHDTHAYYNCGWRLQVTVNGTQFHAGALIVYAVPESPVNPNGTPY -AHSTFVFPYAILNLYQSNTATLEVPYVGCTPNTTTCVHSPWTFYVAVLTPLAVSTSTNQALSVSLYITPV -NSTFYGLRHVTTQHWKVRSTPGSGAFGTAVAGQEIPIYAFQTYTPPLDYLPAEVHDWLEFAHRPGWADQF -SWTTTETQDHMVAMVPVTPEWLSASGTPLSFVLDLFTQWRGELMISFLFTGSSQHYGRLAVCYSPPGAAA -PKTIHEAMRGTYTVWDINSSSTLDFTIPFISQTYWKITNIVTPDSLLASLGYITVWVVSPLVAPSAAPPS -ATVHAFLSAADSFNVRGMSTPMLALQAGDGIEAPTPISNLENGEATSTPEERTTFSYTXNPQPPETNLLR -YFSVYRPIFMYGGQYRMEAQAGHHTIEYNPIKWIANAQAGDTLPLLLSTFTYFKGDPRVAFTISNPAAFA -VNISFHYFPPGAPPYNPXSPNSLVDLGNCFTVDAQIPPTSMDTVCLSFPYLSVLSVIPTSYFGFSNFQGG -NDXLNTTLGTIVFFVTWQGEVPATTALSITQKIAFGDFRGFVPRAPPSLTSFTQPTKTTQAARLIYEPIH -QPMSVAKAMVRRQGRGEIPHLADPNSKVYIVKACRPTYVHWALRCISDEGTQQISLSRSGLTAVVAYEEP -EGELHREVEPYHWTLAQAMVGSDWEYSASHNCTHFVSNITGVTLPNTGYSLALGLGALAVLVGAMAVQTA -TGRVTRQGLLSLEAPPEAIDAANRVSKSIEETASAIRSADILNSSANISLAASDIREASVRVSSSIDGFS -SMLQEFAQNFKGRAEDIISSGISQFLTWISKIFGYLLVLFGSPTPMSIAGLLVIICADLAPQAAAYFHEK -QTTMGALFFWLASKLGLSVTPEEAEAAAIEPQGVRDFNDSVQAVRNSEWLAETAWKWARRVLDWIRGKVK -NDPQTLLADSHDEILRHYSESIEALGSERTPVSAITNAISRCRELVKIAADAKSAPHSSFLNQALRNYQL -ALNQNRMADAGPRPEPVVVYLYGPPGTGKSLLGSLLASVLAQKLSGDPNDVYSPTSASCEYFDGYTGQVV -HFIDDLGQDPEGRDWANFPNLVSSAPFIVPMANLEAKGTHYTSRVIVVTSNFTGPNQRAARSLGALERRL -HLRICVDRKPGYTFDANDALAPLDLPSKYLTSQTKLSLFQCFNLKTDLNSIFLPEFDNFDQLVDAIIARL -DRSSGISNRLLSLIKRQGGSERTFETAPSEIDADEVLDILSKSRPVPTHLTLERAIASNAPLSFIDTLWK -WRKPLFATTTFLTILGFVITVLGVAKVLWTKKEDTPDQAQAAYTGFPNLFKKDTKPKAPKLAPSRGVVRQ -SGPSPALPKIAENVLPIQAKTSNGVLKCSALYLYSRICIIPTHIVPDDTTQIQIGADVYDWSXLKHKRLG -KETTVVWTPTSRQYRDLRRFIGSHPHPTGHLISAFQGVPMYIRFSKNHMVTLDIESVVHEPVAYGYKTPS -FEGMCGAPLITDDPAGIKLLGFHVAGIVGSTGFSVPFYPYLPEIEQFAVECQSLIIPGPEIHPGVNVNRK -SQLGHSPAYGAFPVKKQPAILHQRDERLKPGTKLDDQLFLKHNKGDMETPWPGLEAAAALYFSKFPSSIR -TLSQEEAINGTPGLDGMDMNQAAGYPWNTMGKSRRSLFREEAPGYYVPTPELQVEIDRTLENPNYFYSTF -LKDELRPTAKVMAGLTRVVEAAPVHAIVAGRMLLGGVIEYMQSHPGRHGSAVGCNPDLHWSKFFYKFSKF -SEVYDLDYKCFDATLPSCAFKLISKYLVKLTGDARVATYIDTIRHSKHVYGNQTYEMVGGNPSGCVGTSI -INTMINNICVLSALIQHPDFSPENFRILAYGDDVIYGCDPPIHPRYIKEFYDTHTPLVVTPANKGSDFPD -HSTLYDVTFLKRWFVPDDQRPFYIHPVMDPDTYEQSVMWLRGGDFQDLVTSLCYLAFHSGPKTYGRWCER -VRDQCLKTSGFSPSFLPYEYLQLRWLNLCAA ->AGC36402.1 polyprotein [Canine kobuvirus CH-1] -MAGRMESRSVRSTVNSFYRLTYITATDYQDFLYLQSFPQMSYPLPKPSYFPCPYCHCDEEHGFLSPESLC -GEGFEPCHRCTNPPPRRKYNIVPPEDWLLDSNVQDWFEPPTFLSDFQQKVFDKIALLSLPGPFQAKTPEE -LAILGALKQLLKFPDLPPQRMPLAVNQLKPQGNSVTNIYGNGNNVTTDVGANGWTPTVSVGVGDAPVSAS -ADQLPGRPGGASSDKAHSSSSSKTTIETGNRVGSRFSKWWEPAAARALERATDAACDGIEGAGKLAGKAI -SRKLAGPAPASSTSTPQPGLAALNPSATQAGNAAILTGSTAPSFLAYPTASSVPLPNPDEPSMPGPSGDR -TWLLDTVTWEQSQEAGWNLAGNNGMLWGALDNPTFPISSSNNWGIEGSGPQAIYPTAYPLPFSFVRAYPD -SPWAAMYNTHSMWNCGWRVQVTVNGSQFHAGALILYLIPEAATKAIESARRNAGFVYPYVILNLYESNTA -TLEVPYISPTPNTSSGLHAPWTFYLQVLSPLNPPTGVPTSLSCSIYVTPIDSTFHGLRYVAPQHWKTRIV -PGAGAFGSAVAGQELPLCGVRAFYPPNDYIPARVHDWLEFAHRPGLMATLPWTMAEEAGDRLAILPVSPS -AIAGTGAPISYVLSLFSQWRGELAAHLLFTGSAQHYGRLVVCYTPAAPNPPQTMQDAMRGTYTVWDVNAA -STLEFTIPFISQSYWKTVDIFNPDALLSTTGYLSVWVLNPLTGPNSAPASALIQGFLSAGESFNVRFMQN -PALTSQANSEDLNAPQDTANIENGASDNTPQPRTTFEYTENSLPPDSNLENFFSFYRLLPLGSNTQPSIP -VPDGAVAQLPLDPLNWQQSADVAGLTAMLSCFTYIAADLRFTLRISNPNGLPTSLLIAYAPPGATIPQSP -DRQTLSNFFMAETPIPMSDTTLISFSIPYTSPLSAIPTLLLWLGRIGLVPNFGVPPGWLLGAPSLFLPTY -PVEDVQPSPMSITAWIAFGISRGVGCPVPPPFPPLPTPATAPEKSVAVVKQGAHTSLGDVDPDDRVYIVR -ADRPTYVHWALRKVARDGSTKQISLSRDKTTAVVAYEPLEGELYQEVFPSAWTIAELQLGKPWEYSATHN -CTHFVQGATNVELPNTGFSLALGVGALALVAASAAGAVQALKGIKRQGLLTLTADADTNRTLTRISESVT -QATQAVTNFDLQGPANSVTLAASDIREAATRVASSLDGFTDVLHDLKSSLFSRVSDAVEAGVVSFLTWLA -KIFGYLLVLFGSPTPMSISGLLVIICADLAPHARDFFAATGNVLSSLYYWIATKLGFSVTPEECEQATLE -PQGLKDFNDGALAMQNVEWIGETAWKWAQRILTWIRGKAQTDPQAKLADAHDEIMMHYSDSILALGTEKP -PVEHITTAISRCRELVTIAQDAKSGPHSAFLNQALKNYTLALSQHRKRQVGPRPEPVVVYLYGPPGTGKS -LLASLLAQTLAQRLSGNPDDVYSPTSASCEYFDGYNGQVVHFIDDIGQDPEGRDWANFPNLVSSAPYILP -MASLEEKGTHYTSRVIVVTSNFHEPNERAARSMGALRRRVHLRINVTSNGVAFDPVNALNPIPNTTSKYF -TAQTPLTLFQANVVRLDRDSIWTPSFSNLDELIDAIMARMDRSTGVSNSLASLIKRQGRTITAEPREIPE -AYADELVEALAHHKPVSCSLPLSQAISNNTPLETITNTLWKYRKPIFVATTFLAVVGFLTSIIPLARSIW -QQREDSKSETQAAYSGLPHQKAKPKSAKPIPTRHIQRQGLSPALPGISQNVVHVESGNGTSKAVMSGFYI -FSRYLLVPTHLREPDHTTLLVGTDAYDWATLQTLELGELTLVHTPTSRQYKDMRRFIGSFPYPTGVLVSQ -YKAAPLYVRYSDNRVLDMDFPGAIVCKQAYGYRAATFEGLCGSPLVTDDPSGVKILGLHVAGVVGASGFS -APLHTILPQITQFATTHQSLIVPTGEVKPGVNINRMSRLHPSPAYGAFPIKKEPAPLKRNDRRLNDGVNL -DTQLFLKHGKGDQTEPWPGLEAAADLYFSTFPTSLPVLSQEQAIMGTPNMEGLDMGQAAGFPWNTQGRSR -RSLFDEPEPGVFVPKPELQVEIDRTLEDPDYVYSTFLKDELRPTAKVKDGLTRIVEAAPIHAIVAGRMLL -GGLIDYMQGRPGEHGCAVGCNPDVHWTEFFYKFADFSQVYDLDYKCFDATLPSAAFSLVAERLERLTGDP -RVSKYIHSIRHSHHIYGNQMYDMVGGNPSGCVATSILNTIINNICVLSALIQHADFSPSKFQILAYGDDV -IYATEPPIHPSFIRDFYQKYTPLVVTPANKGSDFPPTSTIYEVTFLKRWFVPDDIRPFFIHPVMDPDTYE -QSVMWLRDGDFQDVVTSLCHLAFHSGPKTYERWCMKVREQCLKSGFAPNFLPYSYLQLRWLNLLAA diff --git a/seq/clusters_seq/cluster_290 b/seq/clusters_seq/cluster_290 deleted file mode 100644 index d3a0a7a..0000000 --- a/seq/clusters_seq/cluster_290 +++ /dev/null @@ -1,160 +0,0 @@ ->YP_010085010.1 phosphoprotein [bank vole virus 1] -METNCQTAIKDALKIMEILKETPCPQTKEQLSTRVDIADSDITRPDYRPRSKSEDRSTEKSRSSRGRETD -KGTEARPSSSNSDPIKRPRKRLSRSSSAYNNEPEVLHTSVCQDNPHAGDGGASDQQHLGPTGGGIHMHHQ -SGGTKPEGSSGVGEEDDGGSGSYIEAEDLENPNSGSSDDDEEPTNLIAAGTMDSARSSGADSKNLQASAV -DDSMTDQEVREALGACSAPPPRRLTGLGAAAASLPTNSAGRDHIKRGIEGSTTSSGPQKDSWSNHGVIPA -ARGSDLCPSEKDVDVATAQGTARSAWMRKQSGTNQIGTTDSLLPPSPNFRLKRHAWSRFSHSSAEGSTRS -CLNDEDSSVASDSPLVLISEILDNQKLILDKLKSVDEIKHEIDGIKKMIARQGLTLATLEGQISSVMIAV -PSYGAPTKSGEINPDLKPLLGRDKCRALTDVTRPHKITIDFDNPTSTKTGAVQEKAKKLEIKPGIIPEPL -NDQKTNASGFKPVLNMVTKEVIAALIDMRVKDKEAQSRMKKLLHSVKTQTELNELHKAILQALKRV - ->YP_009177599.1 V protein [Phocine morbillivirus] -MAEEQAYHVSKGLECIKALRENPPNMEEIQEVSNIRDQTYKSSKESGTTGVQEEEITQNIDESHTPTKRS -NSVSDVLQEDQRGREDNTAPVEAKDRIEEDTQTGPAVRRYYVYDHCGEKVKGIEDADSLMVPAGPPSNRG -FEGREGSLDDSIEDSSEDYSEGNASSNWGYTFGLNPDRAADVSMLMEEELTALLGTGHNAGGQKRDGRTL -QFPNSPEGSIGNQACEPIKKGHRREVSLTWNDDRCWIDKWCNPICTQVNWGVIRAKCICGECPPVCDDCK -DDPEMQNRIWYETPTRETK - ->YP_009177598.1 phosphoprotein [Phocine morbillivirus] -MAEEQAYHVSKGLECIKALRENPPNMEEIQEVSNIRDQTYKSSKESGTTGVQEEEITQNIDESHTPTKRS -NSVSDVLQEDQRGREDNTAPVEAKDRIEEDTQTGPAVRRYYVYDHCGEKVKGIEDADSLMVPAGPPSNRG -FEGREGSLDDSIEDSSEDYSEGNASSNWGYTFGLNPDRAADVSMLMEEELTALLGTGHNAGGQKRDGRTL -QFPNSPEGSIGNQACEPIKKGTGEKLASHGMMTAAGLTNGATRSAPKSTGGSSGPNASAGSVPQSVTTAK -MIQKCKTESGTRPQPEKPNEIESDGEYDDELFSEIQEIRSAITKLTEDNQSILSKLDTLLLLKGEIDSIK -KQISKQNIAISTIEGHLSSIMIAIPGFGKDTGDPTANVDINPELRPIIGRDSGRALAEVLKKPASSRGNQ -KDGGLILGSKGQLLKDLQLKPIDKNSSSAIGFKPKDSAPSKAVIASLIRSSKVDQSHKQNMLSLLKNIKG -DDNLNEFYQMIKSISHI - ->YP_009094335.1 phosphoprotein [Salem virus] -MRKPCPPHPQGLLRMEINRRRLKRGLVTDVSTQLSGILKEFKLNPGAIPFVPKSDQHQEEKNAGVGNVRL -GAPNVEMTEISKGRAELVDPAPVVKPRRLNPLHLPIVPHSSPNPHDKDTPLKSRHKQLSSDQVTPSGSAD -YNPDSDASKHVSIDEVYNLLITILEEQRELQSKVEGLYKIQGEIDQVKKNMLKVLTQISIVEGHLSTVML -AIPSSGKDPDSSFRNPDLRPVLGRDKARGVIDLLGSKKVTIDLDKPTPSSSSEPRVILKANKDALQLEGP -DQSSSNSSHYIVGDPKIAKQILKSLITSSKLSPEIQTNLLDDLSLIGSKSDLQEFHRLLIDLLSGDNGGT -STQFSQ - ->YP_003873249.2 V protein [Measles morbillivirus] -MAEEQARHVKNGLECIRALKAEPIGSLAVEEAMAAWSEISDNPGQDRATCKEEEAGSSGLSKPCLSAIGS -TEGGAPRIRGQGSGESDDDAETLGIPSRNLQASSTGLQCYHVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSGGDDESENSDVDIGEPDTEGYAITDRGSAPISMGFRASDVETAEGGEIHELLKLQSRGNNFPKLGKTL -NVPPPPNPSRASTSETPIKKGHRREIGLIWNGDRVFIDRWCNPMCSKVTLGTIRARCTCGECPRVCEQCR -TDTGVDTRIWYHNLPEIPE - ->YP_087121.2 P protein [Rinderpest virus (strain Kabete O)] -MAEEQAYHVNKGLECIKALRARPLDPLVVEEALAAWVETSEGQTLDRMSSDEAEADHQDISKPCFPAAGP -GKSSMSRCHDQGLGGSNSCDEELGAFIGDSSMHSTEVQHYHVYDHSGEKVEGVEDADSILVQSGADDGVE -VWGGDEESENSDVDSGEPDPEGSAPADWGSSPISPATRASDVETVEGDEIQKLLEDQSRIRKMTKAGKTL -VVPPIPSQERPTASEKPIKKGTDVKSTSSGTMAESSSTGGATRPALKSQWGPSGPNASAENALASASNVS -PTQGSKTESGTTTSRISQSNIEPEDDYDDELFSDIQDIKTALAKLHDDQQIIITRLESLLSLKGEIDSIK -KQISKQNISISTIEGHLSSVMIAIPGFGKDPNDPTADVDINPDLRPIIGRDSGRALAEVLKKPASERQSK -DTGKLGIESKGLLKKEFQLKPIEKKSSSAIRFVPDGSVASRSVIRSIIKSSHLGEDRKDYLMSLLNDIQG -SKDLAQFHQMLVKILKN - ->YP_133822.1 phosphoprotein [Peste des petits ruminants virus] -MAEEQAYHVNKGLECIKSLKASPPDLSTIRDTIESWREGLSPSGRATPNPDTSEGDHQSINQSCSPAIGP -NKVYLSPGDNLGFREITGNDCEAGLGGVQGKRSNSQVQRYYVYSHGGEEIEGLEDADSLVVQADPPVANV -FNGGEDGSDDSDVDSGPDDPSRDTLYDRGSVAGNDVARSTDVEKLEGADIQEVLNSQKGKGGRFQGGKTL -RVPEIPDVKHSRPSAQSIKKGTDGNSVSSGTVTECLSISGATQAVPESRWESSEQNASVGSVLKSARSAE -TIQGLTQESGTIASLTQPKENDSEYEYEDDLFKEIQDIRASIAKIHDDNKTILSKLDSILLLKGEVDTIK -KQISKQNISISTIEGHLSSIMIAIPGFGKDIKDPTSEVELNPDLRPIISRDSGRALAEVLKKPAVDRSQK -IGTKANSSSKGQLLKDLQLKPVDKQASSAIGFVPSDHESSRNVIRSIIKSSKLNTDHKDYLLDLLNDVKG -SKDLKEFHKMLTAILAKHP - ->NP_945025.1 phosphoprotein [Dolphin morbillivirus] -MAEEQAYHVNKGLECLKSLRENPPDAVEIKEAQIIRSKAACEESSESHHQDNSEKDTLDFDESCSSAIRP -ETYRMLLGDDTGFRAPGYIPNEGEPEPGDIGKEEPAVRCYHVYDHGGQAVEGVKDADLLVVPTGSDDDAE -FRDGDESSLESDGESGTVDTRGNSSSNRGSAPRIKVERSSDVETISSEELQGLIRSQSQKHNGFGVDRFL -KVPPIPTSVPLDPAPKSIKKGTGERSALSGTETEFSLTGGATRLAQESRWASSESSAPAENVRQSVTNAE -RTQKPPQGSGTTASQKSQNNGHSDDEYEDELFMEVQEIKTAITKINEDNQQIISKLDSIMLLKGEIESIK -KQINKQNITISTIEGHLSSIMIAIPGFGKDPNDPTADVELNPDLRPIISRDAGRALAEVLKRPAVERNPK -VTPKVHPGSKGQILRDLQLKPVDRKMSSAVGFVPTDDLPSRSVLRSMIKSSNLESEHKRSMIGLLNDVKS -GKDLGEFYQMVKKIIK - ->NP_047202.1 phosphoprotein P [Canine morbillivirus] -MAEEQAYHVSKGLECLKALRENPPDIEEIQEVSSLRDQTCNPGQENGTTGMQEEEDSQNLDESHEPTKGS -NYVGHVPQNNPGCGERNTALVEAERPPREDIQPGPGIRCDHVYDHSGEEVKGIEDADSLVVPAGTVGNRG -FERGEGSLDDSTEDSGEDYSEGNASSNWGYSFGLKPDRAADVSMLMEEELSALLRTSRNVGIQKRDGKTL -QFPHNPEGKTRDPECGSIKKGTEERSVSHGMGIVAGSTSGATQSALKSTGGSSEPSVSAGNVRQPAMNAK -MTQKCKLESGTQLPPRTSNEAESDSEYDDELFSEIQEIRSAITKLTEDNQAILTKLDTLLLLKGETDSIK -KQISKQNIAISTIEGHLSSIMIAIPGFGKDTGDPTANVDINPELRPIIGRDSGRALAEVLKQPASSRGNR -KDSGITLGSKGQLLRDLQLKPIDKESSSAIGYKPKDTAPSKAVLASLIRSSRVDQSHKHNMLALLKNIKG -DDNLNEFYQMVKSITHA - ->NP_056919.1 phosphoprotein [Measles morbillivirus] -MAEEQARHVKNGLECIRALKAEPIGSLAVEEAMAAWSEISDNPGQDRATCKEEEAGSSGLSKPCLSAIGS -TEGGAPRIRGQGSGESDDDAETLGIPSRNLQASSTGLQCYHVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSGGDDESENSDVDIGEPDTEGYAITDRGSAPISMGFRASDVETAEGGEIHELLKLQSRGNNFPKLGKTL -NVPPPPNPSRASTSETPIKKGTDARLASFGTEIASLLTGGATQCARKSPSEPSGPGAPAGNVPECVSNAA -LIQEWTPESGTTISPRSQNNEEGGDYYDDELFSDVQDIKTALAKIHEDNQKIISKLESLLLLKGEVESIK -KQINRQNISISTLEGHLSSIMIAIPGLGKDPNDPTADVELNPDLKPIIGRDSGRALAEVLKKPVASRQLQ -GMTNGRTSSRGQLLKEFQLKPIGKKVSSAVGFVPDTGPASRSVIRSIIKSSRLEEDRKRYLMTLLDDIKG -ANDLAKFHQMLMKIIMK - ->sp|Q9IC37.1|V_MEASA RecName: Full=Non-structural protein V -MAEEQARHVKNGLECIRALKAEPIGSLAIEEAMAAWSEISDNPGQERATCREEKAGSSGLSKPCLSAIGS -TEGGAPRIRGQGPGESDDDAETLGIPPRNLQASSTGLQCYYVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSGGDNESENSDVDIGEPDTEGYAITDRGSAPISMGFRASDVETAEGGEIHELLRLQSRGNNFPKLGKTL -NVPPPPDPGRASTSGTPIKKGHRREISLIWNGDRVFIDRWCNPMCSKVTLGTIRARCTCGECPRVCEQCR -TDTGVDTRIWYHNLPEIPE - ->sp|P60168.1|V_MEASY RecName: Full=Non-structural protein V -MAEEQARHVKNGLECIRALKAEPIGSLAIGEAMAAWSEISDNPGQERATYKEEKAGGSGLSKPCLSAIGS -TEGGAPRIRGQGSGESDDDTETLGIPSRNLQASSTGLQCHYVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSEGDNESENSDVDIGEPDTEGYAITDRGSAPISMGFRASDVETAEGGEIHELLRLQSRGNNFPKLGKTL -NVPPPPDPGRASTSETPIKKGHRREISLIWDGDRVFIDRWCNPMCSKVTLGTIRARCTCGECPRVCEQCR -TDTGVDTRIWYHNLPEIPE - ->sp|P26036.1|V_MEASI RecName: Full=Non-structural protein V -MAEEQARHVKNGLECIRALKAEPIGSLAIGEAMAAWSEISDNPGQEQATCKEEEAGASGLSKPCLSAIGS -TEGGAPRIRGQGSGESDDDTETLGFPSRNLQASSTGLQCYYVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSGGDNESENSDVDIGEPDTEGYAITDRGPAPISMGFRASDVETAEGGEIHELLRLQSRGNNFPKLGKTL -NVPPPPDPGRASTSETPIKKGHRREISLIWDGDRVFIDRWCNPMCSKVTLGTIRARCTCGECPRVCEQCR -TDTGVDTRIWYHNLPEIPE - ->sp|P60169.1|V_RINDK RecName: Full=Non-structural protein V -MAEEQAYHVNKGLECIKALRARPLDPLVVEEALAAWVETSEGQTLDRMSSDEAEADHQDISKPCFPAAGP -GKSSMSRCHDQGLGGSNSCDEELGAFIGDSSMHSTEVQHYHVYDHSGEKVEGVEDADSILVQSGADDGVE -VWGGDEESENSDVDSGEPDPEGSAPADWGSSPISPATRASDVETVEGDEIQKLLEDQSRIRKMTKAGKTL -VVPPIPSQERPTASEKPIKKGHRREIDLIWNDGRVFIDRWCNPTCSKVTVGTVRAKCICGECPRVCEQCI -TDSGIENRIWYHNLADIPE - ->sp|P35974.1|PHOSP_MEASA RecName: Full=Phosphoprotein; Short=Protein P -MAEEQARHVKNGLECIRALKAEPIGSLAIEEAMAAWSEISDNPGQERATCREEKAGSSGLSKPCLSAIGS -TEGGAPRIRGQGPGESDDDAETLGIPPRNLQASSTGLQCYYVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSGGDNESENSDVDIGEPDTEGYAITDRGSAPISMGFRASDVETAEGGEIHELLRLQSRGNNFPKLGKTL -NVPPPPDPGRASTSGTPIKKGTERRLASFGTEIASLLTGGATQCARKSPSEPSGPGAPAGNVPEYVSNAA -LIQEWTPESGTTISPRSQNNEEGGDYYDDELFSDVQDIKTALAKIHEDNQKIISKLESLLLLKGEVESIK -KQINRQNISISTLEGHLSSIMIAIPGLGKDPNDPTADVEINPDLKPIIGRDSGRALAEVLKKPVASRQLQ -GMTNGRTSSRGQLLKEFQPKPIGKKMSSAVGFVPDTGPASRSVIRSIIKSSRLEEDRKRYLMTLLDDIKG -ANDLAKFHQMLMKIIMK - ->sp|P06940.1|PHOSP_CDVO RecName: Full=Phosphoprotein; Short=Protein P -MAEEQAYHVSKGLECLKALRENPPDIEEIQEVSSLRDQTCNPGQENGTTGMQEEEDSQNLDESHEPTKGS -NYVGHVPQNNPGCGERNTALVEAERPPREDIQPGPGIRCDHVYDHSGEEVKGIEDADSLVVPAGTVGNRG -FERGEGSLDDSTEDSGEDYSEGNASSNWGYSFGLKPDRAADVSMLMEEELSALLRTSRNVGIQKRDGKTL -QFPHNPEVRQGIRSVDPLKRGTEERSVSHGMGIVAGSTSGATQSALKSTGGSSEPSVSAGNVRQPAMNAK -MTQKCKLESGTQLPPRTSNEAESDSEYDDELFSEIQEIRSAITKLTEDNQAILTKLDTLLLLKGETDSIK -KQISKQNIAISTIEGHLSSIMIAIPGFGKDTGDPTANVDINPELRPIIGRDSGRPLAEVLKQPASSRGNR -KDSGITLGSKGQLLRDLQLKPIDKESSSAIGYKPKDTAPSKAVLASLIRSSRVDQSHKHNMLALLKNIKG -DDNLNEFYQMVKSITHA - ->sp|Q00793.1|PHOSP_MEASY RecName: Full=Phosphoprotein; Short=Protein P -MAEEQARHVKNGLECIRALKAEPIGSLAIGEAMAAWSEISDNPGQERATYKEEKAGGSGLSKPCLSAIGS -TEGGAPRIRGQGSGESDDDTETLGIPSRNLQASSTGLQCHYVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSEGDNESENSDVDIGEPDTEGYAITDRGSAPISMGFRASDVETAEGGEIHELLRLQSRGNNFPKLGKTL -NVPPPPDPGRASTSETPIKKGTDARLASFGTEIASLLTGGATQCARKSPSEPSGPGAPAGNVPECVSNAA -LIQEWTPESGTTISPRSQNNKEGGDHYDDELFSDIQDIKTALAKIHEDNQKIISKLESLLLLKGEVESIK -KQINKQNISISTLEGHLSSIMIAIPGLGKDPNDPTADVEINPDLKPIIGRDSGRALAEVLKKPVASRQLQ -GMTNGRTSSRGQLLKEFQLKPIGKKMSSAVGFVPDTGPVSRSVIRSIIKSSRIEEDRKRYLMTLLDDIKG -ANDLSKFHQMLMKIIMK - ->sp|P26033.1|PHOSP_MEASI RecName: Full=Phosphoprotein; Short=Protein P -MAEEQARHVKNGLECIRALKAEPIGSLAIGEAMAAWSEISDNPGQEQATCKEEEAGASGLSKPCLSAIGS -TEGGAPRIRGQGSGESDDDTETLGFPSRNLQASSTGLQCYYVYDHSGEAVKGIQDADSIMVQSGLDGDST -LSGGDNESENSDVDIGEPDTEGYAITDRGPAPISMGFRASDVETAEGGEIHELLRLQSRGNNFPKLGKTL -NVPPPPDPGRASTSETPIKKGTDARLASFGTEIASLLTDGATQCARKSPSEPSGPGAPAGNVPECVSNAA -LTQEWTPESGTTISPRSQNKGKGGDYYDDELFSDVQDIKTALAKIHEDNQKVISKLESLLLLKGEVESIK -KQINKQNISISTLEGHLSSIMIAIPGLGKDPNDPTADVEINPDLKPIIGRDSGRALAEVLKKPVASRQLQ -GMTNGRTSSRGQLLKEFQLKPIGKKMSSAVGFVPDTGPASRSVIRSIIKSSRIEEDRKRYLMTLLDDIKG -ANDLAKFHQMLMKIIMK - diff --git a/seq/clusters_seq/cluster_291 b/seq/clusters_seq/cluster_291 deleted file mode 100644 index 127298f..0000000 --- a/seq/clusters_seq/cluster_291 +++ /dev/null @@ -1,190 +0,0 @@ ->YP_007002852.1 replicase [Caulobacter phage phiCb5] -MTKSYVDFFLEVYQAVMKDYLMIYPSDRKDVERDMSRLQLLVQQRGFGVITLDLPALGKALDKSLSLGHL -HLDGTPLCRRKSRSSRLPRLFWGFWNRVFEPCGLLRSDPDINSILFLRQLCYLGKKVRLDCSKNRVYDSV -SEFFRLDRSLRDSSCDWSNDDLDFQGRSLSLTDHTVYPRSTPLEDLLGVNLEDTPRSAYPMLDSVQRTAD -AIFSLFGSFDPREGFAKHGPGAVSDLRRDKDSKYLFPTWPEKLSSVFPIDEWGYHSYSAWIDNLLGLSRK -HMPSGHEPPSKLIAVPKTQKSPRLIAAEPTAHQYCQQNLRSWIEDRVSQTFLSRSISFRDQTHSGRAALK -ASRDQEHWTIDLSSASDCVSTWLAERLLRSNESLIRAVHSVRTRWISNDIDSKSPAHYRLKKLFTQGSAI -TFPLQTVFYYTICLGVLLHKRGLKVSYRNLKAVTGEVRIFGDDIIVPKDCGADTVRCLSYLGFKVNDSKT -FGTGKFRESCGVEGYDGYDVTPAYVLSIPTALGPAAVASNIEVSNNFHRKGFWHVAALLKRIGERNPLSR -VPVVGPAVGASGWTSFVGTDLSGFKTRENHNLQRTEVLVPMPMAKIKITPTEGNASLFQYFTENPAPDTK -WVAGVRGRPATSLRRRWDPIMNYAS - ->APG77046.1 hypothetical protein, partial [Beihai levi-like virus 8] -MEIQKHAVKTLLLALFEDMRGRLDGTHDSLCHYLSGLVSDICHELEHRGPRVLLISLPDLGKRFDKGLSS -SFDWKGFFKDEPTRKFSRRFLQFTLEEIFDTDGRTKDKVDADAIFCVRQLFYFFKKYSIDCPPQAVKEAV -DDFVQIDQELRDPSGSWNSDYWYPGAFGFCGDPVLSGSHPRATKLWRTVDGVFASIVPMHEVDRLRVRPR -HGPGAVADMRTGGDKYLFPHWPSKLEGQFPFVAFGQHREDLHITEEMTSTPSSAEPPARLIAVPKTFKGP -RLIASEPIAHQFLQQGLMQWMRSNISPLLRNTINFLSQEESREAALKASRTGNLATVDLSSASDRLSCWT -VERALARNQSLLECLHAVRTRYIVDATGSDPDLSLRLRKFAAQGSAVTFPVQTIIYAGLSIAAVLFDSNL -KPTRRNIRVAGEKVRVFGDDIIIPNRCVPILTLMLDSLQLKVNGHKSHASGAFRESCGMDAWRGYNVTPV -YLNSCTWESSPDKIQSWFDVTNNAHKAGLWVLAKTMTDMVPPSVRAKTITSDSEGDGLRLFSFCRGFSTQ -AQCRYSQHLHRDEYRVFTVRTKVQKKSRLSWNDFYQWLIESPDPQSKWSHGYITKNTSRLCEVWVP ->APG77043.1 hypothetical protein [Beihai levi-like virus 4] -MKSTGSILSAIYKGVVDDAALVWPNLRRSLERDLSRLLRAYEDRGIHFLTVILPEAGKILDRELDGNEVD -WSEAPRGYPLLHREPRLFRGLRNLIFDEGKLRPDADPNAVLFLRQLHFMLKKWKGECPATVRKESINDFI -RTDASLPTPSSAWDEVVPSWNSSRGDHPFRDDRSPLYTDQRLELSGFAEWCTRIVHSCFPDIEWLNLRPK -HGPGAVAESRLEKYSFPNWTERLDRMFPFDWYVDGLRSNQYPDYRETFSVFHAVPKTQKSPRIICAEPIS -HQYAQQALWDWLRKAVNRSFLSKSIDFTDQERSQELATLGSLNQSYCTIDLSSASDRLSCRLIEWIFFEH -PLLDYFQASRTRYCKIHDAEAGFNEIIKLRKFSTQGSALTFPVQTIVYTIIAGYVLARGYDWKKMSDSQL -RDHIFSQVRVFGDDIIVPNSEYDHTVQVLEELGFVVNTAKSHNGVAFRESCGMDAFKGYPVTPCYVPQTY -DGIPGTLASTVDTAVNLYMYGFYHASEAVWESIPSSERKLIPTLNLTKIEDGSQRASGLFMVCRTDDDLS -SRKKFWDDDLHYDTIDTLTVESTTSKDIDSPESGLTQWFIENPRPDEFWSHGIVQSVRLRKRRTRVVL ->APG77055.1 hypothetical protein [Beihai levi-like virus 9] -MTLEHFVVPEPLLQVCYDLCREYPEHTMRIARYLLDLNLRCKTRGVDRTLCIDLPKQGKIYDKGLSTGTI -CRTNDGMYNGTEYRKNSGITPILELTFRRVGERWVLRHPTDPTLVFYSRTLLYSSKKLKRKAPDEVQREG -ERSFFDLDSKVTEVSLPSKDLRDLRAIAARIIPLSIPTWNDLDFRHGPGAVSDVSIKRKDKFSFPTWTHK -LNRWFPYKEFSGHRYGYSGILKGLTNYGKVCSVPKTLDKVRVITVEPASNQFFQQGLRGFLVGNLTAIAS -QSIDTSSQEASKTAACKASVDGLSATVDLKSASDYLSLDLFEICFDVNPVLRDMLLACRTDKVRSPEGSL -HTLRKYAGQGNATTFIVQSLIYTILCILAILRDENLKATRNNIRRAGKKVRVFGDDILLPSANLLTFSRI -LCDCGLKVNTDKTHHNGFFREACGGDYFRGYDVTPFYLKAFRPEKDPEGLVSWLSVANNAYAKGLLQTSA -WMRDHGPHNIPVNSPSGFGYVSRLPYRFSPFRARWNRELHRLEFWELLPISRPTLRPSELTYGRLYKYFV -EKPNHFVKWEGDLTIGTTVEIKRRWVA ->AMQ23516.1 replicase [Leviviridae sp.] -MKSVPKIRFSHSRRGDICFAPRNASQRIVESQEQKEYVIEGEHDYCTIVDSALSVFLFTLDHCSVLGNAT -EKKLFDKYVMHLTQLSYWDELWCMFDTYKAEALDHLSGGTHRCHLHASGLSLRGIFQYLFNHLLNGWIDV -YSICCFIAKTRVQRPDQEQEQMEHFVQYQLNELPQKKISDWALGLIAQKYRKHPLPFEQGAFLPKHGPGA -VNPSVDTFWDKQEQFLLTKYTKYWLKDKWQIPFFLNGVQPSELTVDSVSKLCCVPKNWKKPRTIASEPIS -NQYLQQGLEHMFDYAFSSDPWWRRRINLHDAERGAKRSMNYRSYATIDLSSASDDVRKQHVDAVFKNTPW -RRALHAVRSRYCRVDERTIKLESFSTMGSATCFPVETVIFLLACEVACDLSDTRRHCIVFGDDMVVPVEA -YDMAILILELLGFTVNTEKSYGVSLNDYGLTPQHPELEYFREACGTHSYRGSRIALVYLRESFINKHFLQ -PEEYCTCVDTLSNLSVYRRCFSYFWKGMSNAKVKGCANVRFADLVPFADLDTHTEFIPRDLPESLGEVPR -CIAGKDTYLYHTNWFKVGVVIEKGKPVHLSNEAIATYDEESRYQAWLYDHASDYDLRHQRLSSNLIAQDS -YSSELAAYNTWLYKHRREYNTRFRGGRTKRYFEPIQRSSAVIARVRIDNSQSDIQRFIDRQWPTAVSREI -IATTDIKRGFRTHKVYTVCPKRVYQFTS ->APG77006.1 hypothetical protein, partial [Beihai levi-like virus 7] -MEKPKRHAVRALYESFLADVKNYADSTALCLPLQEGLRPENLSAWSPQSISAVMPSAHYHCFRGIIKRVC -DLYETRNDLHYIMIALPEMGKAYDTMLSSGFDQERFKSEWTKASKPGSISRRIWVHLQALIEVHILCETQ -GIDLDPTLVFFTRTLFNFFKKAKMECPTENIRKAVHEFIQIEGELREPSGSWSADSWVHQRFYFSNDSHC -LGSHPRGQKLWRIVDDVFASIIPMSEVDNLHLNPRHGPGAVADMKSGGDKYLFPTWPSKLNGVFPWCAYA -QHREDLHITDETHSILDPKEPPARLIAVPKTLKGPRLIASEPTAHQFLQQGLMRWIRTHMSPPLRIAYDP -TSQEPSREAALLASVSGELATVDLSSASDRLSCWTVERALGANQSLLESLHAVRTRCIVDATGTDDLLSL -RLKKFAAQGSAVTFPVQTIVYVGFCIAAVLFTSNLPVTRRNVMRVARKVRVFGDDIILPREAVPILSILL -DTVQLKVNASKTHTTGHFRESCGMDAYKGYDVTPLYVTQLGQDTSPESIVSWVDVSNNAHNKGLWHLAAK -MKDMLPHKVGRLIPTSSYDGDGMRFFTFNNGFVADETKWRYNKHLHRTEYRVICVRSSSKVKSRGSWQD ->AHA86936.2 gp2 [Marine RNA phage MB] -MHNSYESVFLGLYEGIFKDISVNLPSVTGLERDLGRVSRLVATRGLRSVTVDFPAYGKHLDACLDAGRLT -PSNIPGFGYGRGSSHPFLGGLISRVFHSDGVLREDYCPDSIFFLRQVLYAAKKPKFDCKESATYAAFSRY -QAVEASLRPATLDWATGNFDGDRVRDLDLYDHGLRTGQAELFSELGISGHFADTVHKVADCVSSSLGWFD -PLEWRPKHGPGAVADRLPVRGWKYSFPTWSARLESVFPQADLACANYMMWLDEDAGNGTFLDLEVPSVVL -PVPKSQKTPRLIAKEPVSTMWCQQAIWNYLENSVAKTPISASIHFRDQRYNSDAALKASKTRSHWTVDLS -DASDRLSLWLVERLFRRNLTLLAALQASRSMYCYVPTRAGYELLRLKKFAPQGSASLFPLQTIVFTILAV -ASVIEARGWRPTVSNIRRASKEVLVFGDDSIVPEDSGRQYGELLTYCGLSVNKSKTYHLGNFRESCGTEA -FDGWDVTPAYLTRPMVEPTPESVVATVECSNNFYLKGLWHTAEAVLRTVPRHILYELPTVGTGLGSPFGL -VSHQGFMATPITRWNPLLHRYEMRTFCPIGQELRSHVGGNGHLLQYFTEEPSPDILWTSGAXIRKRLKLG -RRWVASIGTDIVCSEWKTLGRG ->APG77239.1 hypothetical protein [Hubei levi-like virus 1] -MNGGGLKSLMLFLRKVLEELGDRCGTSTDLDWKTIETRSENEGFSFITITLTNFASDFQKSLDQGYVAPS -QFKSFSFSGGLPRFLGGFLELVFSRKDGRLLDLPSIDAIHAVQQITSAFGKIFLDCSDARVKAAIDGYIK -CEKEVRLKWDELGDAKRFQFSRIKTLLFADMFTYVDHSIYVNGVMPKHGPGATAERITSNQKYVFSEWPQ -RLNNAFPAWENIVATLDDYESLEQLDFLEPGQERPVRVVTVPKTLKTPRIIAIEPVAMQYMQQGILELFE -DGVSRFDIPRNFISWKSQIPNQELARKGSADGSLATLDLSEASDRVSNQLVRLLFEDHPHLMEAVDATRS -RKADVPGHGVIRLAKFASMGSALCFPIESMVFMTVLFCGIEDELNRPLTRKDIKSYFGRVRTYGDDIIVP -TGYVHSVVNSLETFGFKVNSNKSFWTGKFRESCGKYYYDGVDISFVRFRRNVPTQRRHAQEVTSLVETRN -HFYRSGMWATVKVLDEHLDSLTRRFGWPFPKVHENSPLLGRLTFLPYEYDKWDQFLHRPLVKGCVMESTL -PEDFLDGTPALLKFFLKRSELPFVDRNHLERAGRPDSVRIKVRYGTPY ->APG77028.1 hypothetical protein, partial [Beihai levi-like virus 11] -MKSLSNRLLEVAGGILEDASLAYPTIRSGFVKDLAKLTRLVEHRGLGVFTLDLPALDSSLLLGLEHGSLP -SKGTKMYSKKCRVPRLFSGLYLLVFDQLGCLRSNPDTTAIFFLRSLYCLGKKVEIQCSWKRELRAIKEYV -NVERTIRRPTLSWESDSLSLDRNRNTVHVRDCVDADLPLFPESGGESIDTHPELFDRCQRVADIIAGELG -HYSPDAIIDGRQGEAATLGIKHGPGAVAEKSGRYIDKYDFQNWSAKLERLYPFQYFGRMPNDLEHKVRNH -EVPARLLCVPKTAKGPRIIAAEPSEHMYAQMLLKSWLEERINDTFLGAFINFKRQDLSGKLVQRSSLDRK -LSTIDLSAASDRLSLWLIERIFRKNDSLIDAIHASRTRWIHIPQTGEYLKLNKFASQGTAITFPIQTLVF -LVIAISANIDGEVTRSKILKLRNQVRVYGDDIIIPTHGYAKTTRLLHNLGLKVNQEKSFSKGYFRESCGT -DSYLGDDVTPVKPKRVISDGPASCVAVIDTTNNLFYKGLWNASRRLQTRHSTDSRQKVRIVGRDAGATGY -GSYMLRNFVEALDIDSPNSKSDVYRNLCRLRRIYSENRLGEVRFNESLQRYEVRGMSFQTRRVLSPFNRG -YSGLLDRSIRPSETH ->APG77277.1 hypothetical protein, partial [Wenzhou levi-like virus 2] -MSISFKGVNMKSLLSILSALLEDASSSLGADTRRDRETILDRIEHEGVAFLHITLPLFGSWLEQSLESGR -AVPSIHSTFRRKPKSTKCVLPCFLQGLTRLVFNEETGVERKDASALAVFFIRQICYLYKKPKALASKKRI -AKAMKQFVETDSEVPSLSELTHSLHIPTLTNCQSWWNEASQIPPTGPVLIRTSWIAATMSLLLPRFESQY -MEARSACFPKHGPGATADKLRGNSKYCVRDWYSRWSDVFFHEELYGNTIELEEDLDVIEPQDELPVKVIA -VPKTYKTSRIISIEPTAMQYAQQYVLSKMIHSIEKSSFKGILKLSDQSQNRNLARKGSVGDLPFATIDLS -EASDRVGLALVQVLFGYHCPTLTADLLAVRSSRAILPDDKVLSLRKYASMGSATTFPVESVIFAILAVAG -TAKTLYEERHGAGVKNFRKSQYHCIRDATTLVSVYGDDIIVPRAAFKEVCQYLEACGLKVNANKSFAQGF -FKESCGGDYYKGVDVTPAYCRTEAPGRPADAESLISFVSLSNQLYFKGCWRASEEVKSIVEKIIGELPLK -AKRSSYLGWETFRDAVQYEKFDKNFSPIVRAYTVKTKKRSNPLDGNSALLKHFLSRMPQEAKDHL ->APG77052.1 hypothetical protein [Beihai levi-like virus 10] -MKNSLEKVCLGVVQSLLLRDFAATYPNLTESFSKDYTRISEILRTRGLPSLMDDLPKLDKALLAGLEHGR -LSYDGPFSRLVSRSVRVPRLLSGLWLRVFDNSGCLLESPDQTAIAYIRQLSSCFKKLKMKCTPQGLEKAV -HEYFTVDAELRHPSLLWLDEEFDTFDTLKTLSFVDESSRQAGDHVTELCRILERFCDSVSGHLGLYDPYE -FTNSVGLSTTKGRHFKHGTGSVSDMRRGENKYSFPSWPPRLSSVFPYEAFGSHDLSATHHGNECQPSKLI -AVPKVLSRPRLIASEPTCNQWCQQITRYWLLDRLEHTKLSAFIDLRDQSKSQRMVSKSSRDRELATVDLS -SASDRLSLWVVERAFRKNRSLLAALQAHRTPFIKDATGVTDFCVPLKKFASQGTAVTFPVQTLIFLCCAA -AALGCESLSDLHRSFRGKVRIYGDDIIIPRKGYDNLKILLDHLELEVNVDKTFSQGFFRESCGLDAYKGA -DVTPIKPQILNVTGPESLLALIDSSNNFFIKGYWRTGDYIARTIPPELYKFIPVEHISDLTSASFVSFVG -DDMSMLPFRRNHDLCTIQRRRLHFTSSVEKESATDPSGFFQFIVENPSPDTDWCSGVVTANSLKQRVGWV -DSWKDCVLYRA ->APG77064.1 hypothetical protein [Beihai levi-like virus 6] -MLTDVKPLLRIYRGLVRDVEEILGMEPLSLECDLDRISRSARKRGLPFLVVDLPSLDQALSQGLESGRIE -SDGLVYGGKWLPNLFRQIFLLIFAEDGTLRQEADPLAIKCLRQLLKVFKKLRVPCPQEHINQKIDEFIEI -EDTLLEPQLSWGDRDLVIQGSFPCLPDMVRNSWKLRFGTSYDELMGFKSAFRDELMKDLSFVQSTFDTLL -KSFRFKEGWFQPRHGPGAVSEPYVNSKFEFPTWPVRLEKFFPYSDWGMVNHSTYDLNSEGIHDTLDPPAK -LIDVPKDYKGPRLIASEPISSQYIQQGLMKVLRKNVRQSPLRFSVDFLSQEPSKELALSSSASRGMSTID -LSSASDRLSCALVECAFRTNYRFLEILNSARTPTVRLPDGSVLVQRKFAAQGAAFTFPVQSVIYACICIG -VLRSDNPKARITDLARKVRVFGDDMIVPRHLFSRICWVLEACGLKVNFSKSFSKGYFRESCGTDAYKGVD -VTSTSILNIYDHRDPGTLVSVVEQSNNLYLDGYINASRLLQETILWKVRKKIPWKRPGNESVFGFIGNGS -NRLKARWNSDLHRTELLCLTVDNKVKKSSVDGHLRLIQWFIEKPLPESVWVPGYPQSVKPRYRLRWVRP ->AMQ23527.1 replicase [Leviviridae sp.] -MYTSEDFASLEFWELALSEACWGVPQMAPGGALERAEHRRNEESSLLAELRSPCCGLSPDTVHRRLFTST -SLKKWRLPVSGTGDLKEEAVRGFIQRNGTVSPSSAIHWFTLRKMRELLDRWLPPTEALPLPKLGPGAVAE -KYTWAQKRMLLERVAEASMSCLPTLSGDPIIDHDRARLCAVPKQWDKDRLITVEPYINTLLQQRARAYVF -QCLSAGPLARSRFWRRFWDVAPLVQRDRALLGSVTNACATIDLSDASDGISYDLVASVFPAHILAELDLS -RTPYFVTDQGSYELKIYAGMGNATTFLVETLVFLAYCTATAYRYGFRPQCTVFGDDIVCGDELARSGRLE -NEGSFFRVNRAKSFWGGSPFRESCGVFAYKGVNVTVPKAKGFRLARPEELLALSQYMRDLRATRTVVTRN -LRKILAGYHPLPNLRWLPENTIGMCEPCLRAFEHQDIRWNRHYQRLEVRCRQIAARTKVLDVDRQAGLDG -WFIGAIRTERIFTRKRGPFYGVKVPLKGFDYRDRWLPASGTYGC ->APG77049.1 hypothetical protein [Beihai levi-like virus 5] -MTKKTIEVLLQVLDGLITDTSRITGLDLDPLLGDFSKISVTSKSRGLDVLSISLPAICNDFERSLDIGKY -ERSYNGPLSALAGNTPDLFSTLYGRVFGSDGILVDEPCVDSIRCLRQLLLFAKKVDVECSQERISDSVTK -FISIEDELPEPILGWGNICLFGSNPRHDIISCAYDHYESSRCSGTEVSSEPGKWDHLTGDLSAIFRLAGR -TICKWRLPNSFKPKHGPGAVSETYVSSKYEFPSWNPRLEQFFPFSEYGCYSYTDIGQLSDPISDDDPSAK -LIAVPKTQKGPRLIASEPIASQYMQQALLKCFRSWVSQCWLSQCIDFKSQEPSRELTLTASEDRSFSTID -LSSASDRLSCRLVETIFGSNRPLLEHLNAARTPTLRIENDLMPNGSGEMTIWQRKFAAQGAAFTFPVQTV -IYTLIAAGVCSRVFNTTPEDARIGEMPRVYGDDIIVPSLAFHRTCSALEALGLRVNNLKSFHNGNFRESC -GMDAFGGHDVTPGYVRRTYDAGDLNTVESIVECTNNFYKKGFVEASYKLQMTLPKSIRRLIVGKPIESNV -FGFIAPMSTVKKTRWNPELQILEARILRVETKVKKSKPDGNLSLFQWFIELPGPETHWVPGVVKIVKPRI -RLRWVPVRDIG ->APG77034.1 hypothetical protein [Beihai levi-like virus 2] -MKIPTKLLWRVLLDVRLQPSDTIDSDYKYICSRYESEGMSFLTITLPRLDDILLQGLSQGRLTRSSFIGF -KPYRRGGSLPALMQGFFRRIFHDDGSLLPEPDTCAIFAIRQVARLFKKVELPCSDIRVKAAYERYRNNDE -SVDWYSHRNAIDVSLWTNICGYLWSDLEELSRELYCFPGIFGSGATAERLGRNARHSIKQWPRRSENFFP -CSYHAVSRDDSGDLEEIVHLDVHSEEPVRVVQVPKTLKTPRTISVEPSYMMLMQQSIAKPLMTYLESKSF -GFRSIRFTDQSVNRELARRGSIDGSLSTIDLSDASDLVSNDLVISAFEHVAPTFLGFIQACRSTTALMPD -GTILPLRKFASMGSALCFPIEAMVFFSIVMYSLVRQSGKSPSRHLLRSLAKDVAVYGDDIIVPTTMASGV -MGDLERFGLRVNHDKSFTSGLFRESCGGDYYKGVDVTPAYVRQWGTPDTLGSATDIVAHASLSNILYMKG -MWHACQYIRNAIERRIGHVPLSRFAISGLHFVSFLRSSSLRYDQRLSGYRVKGRVPRPRMVADAPTNPSG -FLSLAFREREFSKFLSGFSNWCNGRRNSFFIPHSEDDYRRDADAGVFPASTGYKSEQLPIRQRQSALSGW -GSEYAFREPRDFDWNHLAAPWDNSRSIGSRSSLSLIRHDRLDRMYTSERPYSLCLKSKWTASPAGLNWTS ->APG77267.1 hypothetical protein [Wenling levi-like virus 1] -MHKFSMASIPLRAIVSDIARWKSELQQPLLGDVHRLENILRTRGMGIVLTDLPDVGKAYDRALSRGYFDF -SELPLSCGSFEKRKILFHSLLMETMDPLGIVDEGADPTAVFFTRQLFYLFKKLQVDFKAGSVFDATLAFV -ATDSSLRRPSHDWSDPTSFGYESKKKSAIAEAYSDYCDRKSLFEGQGPAFGFPTLSKLVHVFEWVCDAAM -AKYPLIQDPYESFVPRHGPGAVSDGRKGDDKFLFPSWPERTRSVFDPALFSSTTEETYHHAQHLLLDPRE -LPAKLLAVPKTYNGPRLITAEPTALQFLQQGIRRWLLAEMPRSLKRCIDIKDQGPSRDAALLSSSNGKAA -TVDLSSASDRLSLWTIEQVFESRPDILACLAASRSLFVRNATEEPDAFSHQVLRKYAGQGNATTFVIQSM -VYTLVAIAATLVYHGYSTGHGVSRNWWKVTKSIRVFGDDIIVATEVVPYLDLLLNFLQLKVNGSKTHASG -SFRESCGMDAYKGVDVTPLYLSNLTPGNKAESLMSWLDVSNNAWQKGLWDLATWMDTELPCKLATLVPIS -HEPLSCLSRFTFLNGVAPGSLIKYNNKLHRHDILGLTPVVKEVKRERDGYSDLYAYLLSTHREPDVKRDR -LRYLSLRKETNVGTTVKTTVHLRKSWVQAPAW ->APG77040.1 hypothetical protein [Beihai levi-like virus 3] -MKSAEQTVTAVYGSILADATKTWPALASSFEKDLIRLRRAFQDRGLSFFTITLPDAGKVLDRALDGISTS -PSEYPKGYKLRKKRPQLFEGLFCKVLDSDGKLMPDAEPDAVFYLRQLHYCFKKLQVPCDPQKVKEAIDDF -FSIEASLPRPSEGWESDVPTWPEDRAPHPYWGESSTSLPLEDVDSSRFSDGFWREFGDFCSRILTTDFPL -SQDDWLSFRPKHGPGAVSEGRVRKYSFPNWSFRLDRVFPHDWYTNGLQSGDRPDYEEAPSRLIAVPKTQK -GPRLICAEPISHQYAQQAVRNYMEERVRRRESVLGTAIDFQCQEYSGELALQGSKDQSYCTIDLSSASDR -LSCRLVEWMFHKHPLLDFFHAVRTRVVFQNISEDAPNWVHLHKFSTQGSALTFPLQTVIYGIIAAFAIAK -SDGTQWKRMSTNGLRDHLFSQVRVFGDDIIVPNHQFGPVTTLLEECGFIVNPDKSFRGSAFRESCGVDAF -RGFDVTPCYYKKPYDGSPESLATTVDVSNNFHLSGLWNTAMTVVGEIPYEEFRLLPVIKQQSLVDNTGRS -GGLHLSSFSGTLYPGSPIWNSDLQREEYTVISTRSRIERYDHEGHANLIQYFIEQPDPLTKWSSGEISKT -SVRKALVRVGRGD ->AMQ23519.1 replicase [Leviviridae sp.] -MSACNESYKRPPIEEADWLDAFGQIAETRAKDLIPESALQTVRGMLEWTANESTSLVQRCGAELAHFPDV -DAVLFLALAWKKWRYAGPEQAVVRREAAIRGFLERNDRARLMPLPDSITIDRMRHYLTRWLPFQGVPPGR -FGPGAVEEGYSQPRRWDVLLPYLADAAQFERSSLSPEGWEFDLKLHKDDVTCFDRHAARLCAVPKDWNKD -RLITVEPTGRSFLQQTCRSAILAAVHSGPLRGTAMDLLGSDGQRMQRHLALRASATRELGTVDLSDASDN -HGRSLCFLYFLLGSVHFWNRPGRPTFTLPGGEERELFIYAGMGNATTFVVESLLFTAYVAALAWRHGYRV -RRPSVFGDDIIVDSRVCEVLASEAASLPRKHGQVVLWHEHTYLRESCGIYAINGRDVTPSRINGFDPSFD -GWIGAGEYIMTNLNAPQSYKTLFGHRLAEAFAKNGGPNWPFLVRELLVYLGVPFRSRTTPYPYAPRFPTK -GSSGTCAAKGEHSCSPPGHSVPEWCIGGDYHNLGCCESTIHRLPREGGTYRTAQAVDEVACVARRIDFPS -KRKSRQVAPVCSGGHCLLCHADTKQQVSNVPNPNITGDAVDQQRWPPFR diff --git a/seq/clusters_seq/cluster_292 b/seq/clusters_seq/cluster_292 deleted file mode 100644 index 62bb23f..0000000 --- a/seq/clusters_seq/cluster_292 +++ /dev/null @@ -1,340 +0,0 @@ ->YP_009551328.1 RNA-dependent RNA polymerase [Phytophthora infestans RNA virus 3] -MNLAFQVAGVTPAYAMMAWLYLTPTPPSVYTILPVLGQLMTAVLHSDIARTREPGVPCPIADVWDMRRNP -ATVRTKAKQWAVAFTGRTWDGSLWKDLPGYRAIQPSSCGCYILSTSQLAPVTHFVAEQGESVCEAHTRAH -AKFLHPSTTLDTLPTEYLVGWNLIFPPERSSADGKQLLDANAVLSNCSESIRKAFSDKIVREGVPDWTNI -MVGNVALCAEHDPAILDVMAMIPRGTSFLGHLKCLKKIHTHVRVTGLSLTTGLSEDAAYRRRFYGLDYMM -GRSDFYPLDFLDEMVSRMVPPSKHALPRMNGKELVFDKEEYNKLFAATVDKALREILLPETHLLSFEELM -ERRLNWVSSGSAPGVKLTHTVGDTTEKGGVNKRVAFTHLDTAALRREMHNHREAVLHSRHATKFEPGKKR -ALWNTGLMHYSSSSVLLEQFANNERKNVPWYMPSHTSAFSTAQDFVRVRTLRERIGVMWDFSDFNINHLL -EHMAYLYKRAGEILVERNVSGKGDSDVLAAAKWVAASTMETWLEDAESGVTAKVKRSLMTGVRGTSFVNT -ILNHVYTEMAREYALRLGGVQLLNDPTYAFGDDVFSSADSHAKAVLFCHVMNTLGTAGTTYKINLELGEL -LRVSYDETGFSGYPLRALVGLVSGEYFEGNAINDIHQRAAAFHEQVRKCVARGANLDIDKWYKILMNRHC -SLKVTKASGKRLNIEPNIQLIYTPAVFGGLGLIKSEMPKTMRLHEWTSTVRYPIFEFERGFAQSIVGTGF -RDRELIVRLVPGEERKRLIGVATNEVGQSIIGGKAPPSQVKYAFRKYVDGFVAWRKGITKGLPIDLARDS -EISMDVGRAEALWFAAMRGRDTSHVYDSQTSVSSMAGFSSNSAFSQTLDYQVRVNGHSLSQAMIAIATKS -MPVHMRLRVFTLATRLSQIPAQYRRAWFNGEFGFLPVVDCGSAKLNSMVRWMTMSMVESDIARYLVGTKR -EIVIRVAGLEQRVRRYVLSTVRRMGITHLSD - ->YP_009553633.1 RNA-dependent RNA polymerase [Trichoderma asperellum dsRNA virus 1] -MNLAAVNSYKLSPGQSEEDSAIIFDFVKIAEACDFNSPPKNAFDAILCYIFLHPTPKTVFDLVPTFEAHE -NWQTKTFQPVLSQKSIDLINDLPRSQINLGGQHNYFLYRNDHVDLGCCATRLATVLGYRYTFSEHYNDPY -KLGVLQNETERMLSSTWRRGIKVIYCDEPYDKPTDLLKHIQAITDSNLNNKQQPELTPQVIIFWFYNHVA -FAINDDLTQDLTQHYAKLWDDLVKVSGMPQVKRQILQKYPQBAGRAGGKVFLTTDGVLDLTYTDQRVKAL -AYMLYTSENDWENVAACALLLLPNLELTGTDLTRFICGNPWIFMQDFKTYVKFCKYVHSMSRTHAQLPNY -WLKYGRADEGRAWANAIYGFDIIGGRSELLSFDTKGEMLMRLADPVKRGKLVITDFGLDITQHGYDRYLD -DIVKTTAENLITDDVSAETLEHWYARRFFWGPSGGAPGAKVSWTYASGASDNFRLNKRGAMLAIPFSQVQ -EILRDSERVAVQWSVEALKFESAKMRGILNTGMFPFLAQAYILTQFDSNVKTDTWFSTAHGNTARIANAL -RRLSDLKTRPALMWDYADFNINHTLRMMLLMYTHIVRVMLLRLKYDIPSRTLAQIRQDFTDTLRXTLNAR -NNTYLIDNDSDVIVRAQRSLQSGERGTSTTNSFFNKVDASIVRETGKRLLGYDLLPFASDKLGDDDFETV -GHLLDAIYACSLFNLTGAAGQIXKILVEWVGKRGSQGEYLRQNYDAATDTICGYPLRAMMGLIHGEFFSD -PIPQPFNRTATLMEQVAKLSRRGFTTPTRLINIWLKDNAALVYTNRQGVKKRIVGDIHLATLPAVLGGIG -ITEAKDAVMVSTSNLLNIKPPIQVAANTPVAVCIPSGEGKSTIARNYSIFIDHDSLIDQEFLDGLKEQAA -LTGSWNLVNRYLRRAAEECEDVMLGRRVLLTWSKDTAPVGSRTYGFMLTRGTGLRANKANRATLTREFGN -KLSIQNNFSELHANVIMLYCRLLTANSWEVRVFESSMPKPEYIKPKIDTVTLAKNSKLSVGDFDTLRRFN -VPINRAVYDEIGHSALSGGYPKNQLNESLAQYASNIDKWYNAGHWEVKYLYARPLFTLAQVKAQVDEIIS -VNLAIYLVGRKHRTEDAFELNKDGHPAIHEVKHHYSSITRLMRPIGASTQVTIKTIIDGQLPTRYTGDLG -RLYTTLFRTRSQFLRQETASIGVGVDSSITEMRDFIDAVMGSEDKTTRSLGLPSNLFKYIQGDLQLIPPV -NPGVSAELISFIRASALMCLEANTPQLRQWLSDINVSKLIAFRTYEHTMLMLLLRALSVNYPGINFKD - ->YP_003359178.1 RNA dependent RNA polymerase [Diplodia scrobiculata RNA virus 1] -MSGRLLAHKGYVLQDFAAIRKFYNLQRPPRDTVEAAAAYLFLEPCPAEAWLFLPPDLLWDDTLPFPVSEP -RFLRRDSHTTRSSLKLDASLLTKLEAAYPPPSGRPGGKILADWRLVFAYLRGAAPTRRRELAMSTLAMLA -ATDADWGSIAAISLVLLPYFSTLGGVYAAEAMLAILPDVLPPLVEYAKLCKALHQGLRLTYTFPRPDGCY -ARLSQEEVRQLTGLDVLPGRNEVFAVSHVGGMFMRASYGSLPTTLLRSADGTPHYDRPSFDQEMRECIAE -ALQSILPNKLQPNTFSQWYARREFWAASGGAPGAKMSWLRQDSESPDAKIEVERVRLNKRAALLLVPEKY -HRRHFADPSSAVLYSKAAPKFENGKRRIIWNTSLTHYVAQGFLLDLVEPRFRQGTWYSAANSAPHRTARS -IARFNELHGAIGFMWDYADFNINHSRDAQIMLFSVLADLISERLPRDDPATAECRADVVRITSWVNTAKK -NFFMHDSETGYVQEAVRSLASGERATSFVNTVLSRAYRLQHDRASRRFFGRQLLLPRSDHQGDDVFATVA -SMPDATLAGCMFLLTGYAGQLFKITCNHTPSGEFLRQFYGMHGIAGYPIRSAMGLFSGEYFEDLPPDPPS -RVSATFMQFSKVAARGGAFEPHLITLLSQRNASLAYSDKNGSVHHVTCPPALSITPRSLGGLGGVTTRRY -QLKGNVIRDAAATDWTWVPRSQLASPTGQTKRRALAIPSGEGKTTLARMYPSFFVDHDALLSVWDIPPSP -RRAARTAYCKRQSLLCPVDKVLLTWGPTTVDSSFEFLGSIMLQTPTGTRANAENRRYLASVSQGKRVTVR -SQTQLPHAALALASQRVSTVAFESDIPPPVVQMPELPVAPMVAKAGLVDTQTLATLQAHRLQEVATQAVA -SSSFGGAFPRASLSASFARYARDLDAFVKAVKPRARTLEPAASSPQLAYQRARPIWDAILQPNSDPSVPY -DHPLPLPATVYGDYIRIMLDAGFSLGEVFLAAVDDQSPVCYHGLAGRIFALMQRRRLDVKAYRATAWLFS -AITPATPPEQSDLLARYILGRVDLPPVASDRPGTDLNSTVRLISLRHLDNWGRELMPLLF - ->YP_009342055.1 RNA-dependent RNA polymerase [Trichoderma atroviride mycovirus] -MHTDDKSCATRLLSLLGVRSVPRERFADVDKVGVWTVLNQRLILLSWHVGMVIDSTFEHVNSDGHPSKCL -EIMIQRSREFAYSAERSGLCGYYIHIFNFRDHVSFAIKTAHLKKYTQTLLACDTTTPIHETVEAFSPPTG -RAGGKVFVTPRLLKSIGNPTILQCALATKLKENLHRLDWEQIMVTGMILLQYLNVGAGDVLWYLITYEKL -WDSKFLDAVKILKDAHVETRIKHKLPNVSIHYARSTFARPWARSLYGLDTLPGRSEKFNMNFQSEQLMRM -IDTSKRAWPAIVEDKYGNNYIKFFPDKYTEQVKQIARKTAEDLIQSQTNLETFTEFFSNRMFWGASGGAP -GASVHWDDTKEKLRVNKRGALLSLKEQKARNILEYMRSHPDPKPIQWSVKAVKYESGKLRSILNTVLENY -VIQGYIFNAVDTNSRRDSWYANTHDNPSRIANAMRRILDLKQRPGLMWDYADFNLNHTFFLMSQEYLARV -EVLLERCDSHLPMDVQNTIRADMRAATAYAILARYNTYLHDPETMITTQAVRSLQSGERGTSSINSDSNE -TDTTIVRRVCKEMLGIDPIVPVTDHAGDDAFENVISMTYAPLVCSVYNLTGAAGQAYKIAVSYATFNGAS -GEFLRLSYDAASNHIAGYPIRGMMGFIHGEFFAEALPQPFDRLASFLNQRNKLQRRGWVAPDSLFNAVCR -YNTRLTYTLSDGTKRHFYPDIETVLTPAAFGGVGVDTVDSQLLSQLSDKQIVTPLHANCPYDAIVIPSGE -GKTTLARKYPDIFVDHDSLVSSINLIALRSRAVSSGNWEPLNAYLRGEGERYMSVNRGKILLTWSPSTAP -SRSRICALLLQQPVGLRANIANRSSIMNDMNKKYVHMFKNYSERDAYLMSITAGLTGLTYKVYQRTGDAI -PKFNWPRVDSKDLLHRSKTVIKDHATLHRHNLPMDVSITDAIAQSALSGAWPKDALYKSIAEHARQLAEW -ARKSSFEYKIIAPLKLCSDTEFVAEAVSTSMYVLGLSGSLNHSNAGGGLTFVLNDLLRPATKRLKHHYNY -IPTLTRLCGCSVNASTQYLIKCSNGDNLLEKILSLMASERSFSHSKQRATKQFDEVIEFIDRLGLLKQEP -LLAFGKVVSPSLAEAWFTGGLQLLPPAICHQSADLSTFVRDITLNVIETHFLARLNRTNDLQQIVVTVHH -YERMAQYAINKVLNGLIPGIIMQD - ->YP_009336676.1 hypothetical protein [Hubei virga-like virus 16] -MGELFVSVAAKLSDYQLKGEPSVGCQKPGVLAGVLFLIKRGCFSASTFVGRTFIYSADFGRYLIFHQNQH -LPSIGIISKLSLAPITEFTYRCVYRSLRAAGVESQIPCSVSNPYPAVVIPSGEGKSTLCRNHPELFLDLD -EEIVKAFNYTTDYGGPDGQIRILDYPDGYYFPGLPSFRKKFEAHINSEENKKKVLLTTGIETGGRTVYRA -YALPQPTGIRFNYPTRAVLSVFYKEQLRVVCPTERDRALIAFARNYNSHFTLRRFWSSERLRAVLSALA - ->YP_009272909.1 RNA-dependent RNA polymerase [Fusarium poae mycovirus 1] -MTDGNFTGSYKLSGNEYPGYDFSKIHSLYKLDRPPTTLASAAVARVMLHPQPDALNLAIPPIHQLCNPPL -LSPPNKIALGSRWLQSLTLSLRTTRRRKERLLSSISFGEFRSYPADSTVGAFTYFPNYSGNLETSCATTL -LSLLGVMYPITDRSDLLLRLGPDSTEKPRDPYKLGLPYPDFMAFICATVRPGIRAFVTDDAFEGPFSRDF -FESLVDSGVRILIVIHGVSHVSYYVKTTFLQDELDLYHDYAFLNASSLPSLTGKSIKQLLPCLDEAYPPN -RGRPGGKIHISLQDVVKNMTLGIGQYALFERAWRYRDSNDWEAVILTTLLLIPYLESHAGVELTLFFLRL -APVFFKLPFVEAVKSLKEAHSYIRQFSTLPGSGIPSLRITDARAYSDLLYGLDTIPGRSELLTLDFDSEL -IMRAADPTIRAVPAIDATGKLVFDYEKYSLYETAAARETFDSILPSKISVESFASWYDRRMFWAASGGAP -GAKVTWTHTQDSDLERADLNSKEEKLRINKRGALLAIPEKHFMKVLANAVDPIQWSVKALKYEPGKLRSI -LNTSMESYLFQGYLLDIFDNNVLPNTWYASSNSGLPKIMGHVRRLKALKTQVGCMWDYADFNINHTFEGM -RLLYETLTAALLKRIDWKNTPGDTQEAYRDIKRISSWVVRARLSTYVQDNDSGNIMKLARSLQSGERGTS -FTNTLRSNIDHGIVNRTAAALFGRPLTYTQGDKTGDDVFLVTRTMRDAILLCSLFNLCGFAGQVYKVLVS -YPQLGGARGEFVRYGYDASSGAVRGYPIRALSGFVHGEFFHDPIHSPAERGAAILEQYAKLTRRGIHLPK -QVLESYLAAATQLVYTDKGSKHRVNVPLELILTPAALGGVGISYNPSGLLSSVEGSTRELQPIQAAILIP -SGEGKTSLSRMYPEYFVDHDQLISACDLEILRADAVSTGHWEKVNSYLRSVTVPVGKVILTWAPETVPPG -CEVLGAFMLTKPSGLRANAANRKSLVLAVNNGSIPKKKFQMCATHGILTQKALHTLSAYLIQGGIIRTSF -VDANDVVKPLPRLKLPTLGAHKILRRARTKVIDYQTMAKYGVEAKIPELDESLLASGLTAALPARTISDA -IARQAKELDVHLATLRKVYVKTTLPVEIKTQDIVMLMRKTLNSLIHGTPNSERGSNLHPYEPVLHPTHHY -GSIPSLIRPLAFSNGKALALAIDGQSVNLTDVPGRMGNLLTLLKRANRFLRRGDGKAAGTIHRFEAFLRN -SLSLTGLDSTSTKNLADYVEGTLNLYPPQNMTVPAELVSLERDLALAHFEEHYIPVLKFEAPLVRELISV -LDAAAHLAVGQILQEEFPGFVIRD - ->YP_009253995.1 RNA-dependent RNA polymerase [Fusarium poae dsRNA virus 2] -MFQSFLNLVSTETRINAESPWIIPDPLIGEIAQVLTRIRDGRHKMRDGSYFYDFSRLYDLFELSKPPDST -AKACAASILLHPTPSVVYNYIPSIMPDAPIPEGLQLLASGGLVLNSQARQLLRLLPQPLQRVSLLSSYNY -YENHGVISGVCIHRALHVLGLDNRYDHNELSAVGDHMKLGIHFDQVLRLLAPLPPTHIKRFFISCTSMDE -HHSQALSIISTYSGDHSCLVFVSHTHISFALNLNVSIRTYDELLNFYSHLPKGWLASLEDKYPKNTGRPG -GKILVNWPAIASTAKQSPAVRLIIKLLLAADNDWELVIAFNLTLLPFIDDLSEDIVLWLLHCNISSDALN -ALVFPKSLRVTALFNAEFTTVAKAFKEVHTQGRLFSHLLGFGRIIRPIHLARGYGDLVYGFDTLAGRSEK -YKASFVDECLMRSVDPHIRGIPKFDSTTKQIYFDEDAWTTMIKEVSREVVSELLERKVALTPFHKWYDRR -MFWGASGGSPGTYANWSTGERLRLNKRGALLVTPEKSIRGMWDQAYNAVQWSVGTIKFESGKIRHILTTG -LYNYISQAYILDNFESNIKPDTWYSAKHHSAARVANHIRRLEDLQSGVATMFDFSDYNLEHTFYQMLAIM -KSALEEMVARGRDDTMPTDYADAVGDLLAAGDYVMTARARTFLNDKLSGLVVRIVRGLQSGERSTSFFNT -LCNKVDSVMVDRVAEQLFGRRLITHQGDRLGDDVFVKNADMKDSILMCALFNLLGSSGQLYKITSEYCSP -KNPGRGEFLRHSYDGASGRVSGYPIRAIVGFVHGEFFSEPLPAIYDRAATILEQVAKIQRRGCTLPMSLV -NESIRFNCRITKTLDSGIKKTVVADPLLALTPAAFGGIGVTRAQESQALITGGGSIISVANDTDDHRYAF -LIPSGEGKSSLARLYPNLFVDHDDLISVPYFQELRANAVLTGTWKPVNTYLRSVLPSRDRRILLTWSQQT -VPHNYRVIAAFLLQQPSALRANIANRETILKTSRRIVTFFPTHQRLEIAAVNLANALTRTSHTSTVINTR -GALTPPPTLTLRINDTRGTLKRARVHLSDDNALNRLGLTSQVDLSRDVLDGVMSGGYPKPLLRKAVDAYA -NDLLKWTATVRDVSFTVTLVPHTYDQTVTNAERIFFRSIGANVVNKPLSQTTIFRRNREGYPMTSRIVHY -YSCLERLILPSGFSIGAGVRKSIQCMPSIGPYPLSTKIILFCQKLLSLVDEKDRQVQIMNKKGQLNPLFK -VSHFTQSMQAALPPSCDEFIMNYITGDLQFIPPANRRNHSSDFISLLRDVTLSAIESDPILMRKLALANN -RDRVYTIYQLEDMVALNLERRFLDALHVILSD - ->YP_009253997.1 RNA-dependent RNA polymerase [Fusarium poae dsRNA virus 3] -MTDDNFTGSYKLSGKEYLGYDFSKIHSLYKLDRPPTTLASAAVARVMLHPQPDALNLAIPPIHQLSNPLA -LSPPNVVALGHAWLRNLTLATRITRRKKERLLSSITFGEFKSYEVNSRIGQYTYFPNYSGNLETSCATTL -MSLLGNFYPITDTNDLLIKHTPSSTERPQDPYKLGLPYPSFMAYICATVRPGIRVILEADAFEGPLERDH -FDAVIDPSVKVLIVIHGSSHVSYYVNSTLLNDELELYRDYAYFNASSFPAATGFSIKQLLPKLDEAYPPN -RGRPGGKIHVSLQDIVKNMTLGTGQYALLERAWRYRDANDWEAVIVTTLLLIPYLESYAGVELTLFFLRS -ASVFFTLPFAEAVKALKEVHNFIRQFSTIPGCGIPSLRITDARAYSDLLYGLDTIPGRSELLNLDFDGEL -IMRAADPTIRAVPTIDRSGNLFFDYEKYSSYETAAARETFDSILPNRISVESFSSWYDRRMFWAASGGAP -GAKVTWTHSSDPQDSSDHEGNSREEKLRINKRGALLAIPEKHFMKVLTNAVDPIQWSVKALKYEPGKLRS -ILNTSMESYLFQGYLLDIFDNNVLPNTWYASSNSGLPKIMGHVRRLKALKNQVGCMWDYADFNINHTFEG -MRLLYETLTAALLKRIDWKNTPGDTQEAYNDIKRISSWVVRARLSTYVQDNDTGNIMKLARSLQSGERGT -SFTNTLRSNIDHGIVNRAAAALFGRPLTHTQGDKTGDDVFLLTRTMRDAVLLCALFNLCGFAGQVYKVLV -SYPQLGGARGEFVRYGYDASSGAVRGYPIRALSGFVHGEFFHDPIHSPAERGAAILEQYAKLTRRGIHLP -RGILESYLARATQLVYTQEGVKHRVNVPLDLILTPAALGGVGISYNPSGLLSSVGSSISELQPIKAAILI -PSGEGKTTLAQSHPEYFVDHDQLISLTDLAILKADAVATGHWQKVNAYLRSVSPPPGKVLLTWSPETVPH -DSLVIGAFMLTKPSGLRANAANRKSLMLAVQKGSLSRKVFKLCPNHGVLVKNALHCLSAYAVQGGVVRTS -FVNEDNVVKPLPRLQLPSLGAHKILRRSKTKVVDYQTMAKFGVESKIPDLDESLLSSGLTAALPARTVSD -AIARQAKALDVHLAGLRKVYVKTTLPIELHSTELVTLMRKLLHSLIHGAPNSAGDSNLKPYAPVLHPTHH -YGATPSLIRPLGFSNGKALALTIDGQRANLTAVPGRLGKLLTLLKRASRLVRKGDGNAASTVLRFEGFLR -STLAVIGTNSESISNLMAYVEGTFNLYPPQNMTVPAELVSLERDLALSYFEMHYLNTLTLDPPLIRELIS -VLDTAAHLAVVQTLQEEFPGFLIRD - ->YP_009179230.1 RNA-dependent RNA polymeras [Papaya meleira virus] -MAASQGRYCEERFNLFKEYTSLNEFPDLNLKVEETFKNFVFKLSEIEGLPLLSHCNLLIDIKGFLDKFPL -IHYDYNNSNFRNIVTWIFIEPLPREIYSYLPVKLFNTINIFILKDLEKELPKINFISNLILNKNNLEITN -NLFFKPLGRLGGKTHLLIGDFLKLFNFSFSDIGREEDKGKKVVHILINKNRVNNNIGIYDFNNLIKLNKD -TILSNDIIYTLISVLLYQLELGCEESWNSLNVAGLLISPITSPLGFICTLFFISSNITKLTILEASKICK -KFQNTVRIGGRLPIFNIPITDDNKYFLDGQKGILSIEGIRMLYGIDSLLGPSEFYKYDPISEIITRQVHP -NLELSLYKGGSRSNKAYSSTIEAVNKREEIIRQDMYNSLFFSVVKKLHRPFKTFREWFNIKFSWSASGGC -PGAKVFWSESEKIRVNKRGALLNVKVDDVVKAINETYNNPVHYSKAARKYEKGKNRVIWNTTLLMYLAQS -YLLYTFESILDPLQHDFSFELKQISSWNSSTANADMRFISNQERLRSLKDNSGLMFDYSDFNINHSIVVQ -NKLYDVLSQVLFNKMKVNQENYFQVLKDIKWITEYILRAKTFSVLDAGVTDNYVSQVMRSLESGERGTSF -INTFLSNCYIRNLNTNSRSLFGRQLLINNLWLQGDDVFAMTKNLEDGCLAVNLFNCLGYAGQPSKIGLSL -YNNGEFLRLSYDPSCEIIGGYPIRSTSGLISGEFFKESIYDPDTRAGCYLSSVIRCNVRGAIIPNNLIYI -LCQRNCRLIYTKPNSKEKIVMIGDPVLALIPSILGGFGINSILDIGMKHQVPGTDQLLNRLQLSPKMISG -GWFSSKFKNLNPPKDSLPIGELFWIRRVSNNSNNNFNSYYVINTTKSNPILRFDNIPDILGDKSIPDFLS -AYTICNKSLTKEITQNIVKSSVMGALPLDLLSKSLTKYGEDQLEYRSKCNVHWVKYTSNFGSLNIKLSFI -SHYISNFIKFLISDEIKTSHKIDISVNLNYGILPTLFIEYGFSLQENFERVIDELNTKELLELIASKQTK -KFSITSFFKNILILNKYNTKLYLKWIKGKILLFPPVFSLIDSDIINIWRGWSLFSVEKMILHGVNLREDD -IPYIILELELLIENYIKDSLESLNKINKFYSMQTKA - ->YP_009115498.1 RNA-dependent RNA polymerase [Botrytis cinerea RNA virus 1] -MLSAYELLSKHSQGAKRVVAGLTDSMSASTYKMSGTTYPGWDFSRLYSKYNLSTPPNSYEAAAVASVLLN -PRPDALNMTISPVYATNELYATGSDVKPGIGSSWLTAFTTTHLHELRYERFEQSNFTFPSLKDFVLFTNY -GESKTASCATRVLQLLGCAYPLVDSHHLALAKQALRLGDPNKLGMEQPALANYLIAAWSPHSMVFDDSNA -IEGFNEEAYARLHATFPSAPILVCLHDGHVTYAIRRNFLFAELANYRAAYQNASPHLSAQDKELLTTSFP -KNIGRAGGRVHLTLEMLLKTKLEPAQRALLLGFLAHRNAGNDFEQVFVTTIALIPFLEGPAGRDLTLFFL -RNMPVICSLSTNQVAKWLKAAHAHARIFQCLPGLALPAITIQEIRMYGDLIYGLDSLVGRSELMKLDFTA -ELFMRTADPIRRAVPTLVQTSKGFELNLSEELYETYEDRAINTTFREVLPEKLNLESFEAWYARRMFWGA -SGGAPGAKITWSDREGGDKLRLNKRGALLAIPAMHFTKILERAVSPVLWSVKALKFEPGKLRSILNTSME -HYVMQAYLLDHFDANVNSGTWYAIANAGAARLAAHARRLEALRHSVGFMWDFADFNINHTFVGMEKLFSA -LSRELLARAQYSNNPASTQKAARDIKQITSWVNAARHKTYLSDNDSHAVLEIKRSLQSGERATMWVNTLR -NNVDHRIVSLASEQLFGYDLAPDAGDKTGDDVFLTTRTVGDAVLMSAMYNLCGAAGQAHKILLSYPQHGG -ARGEFVRYAYDASANRVSGYPLRALAGVVHGEFFTEPVTNPADRGATLIQQFAKVARRGVVLPDALLDMM -LNASVSLAYTDSKGKHRVTVPRQLIALPAALGGVGVTETTNGILHSELSPVHRQANGSYAICIPSGEGKT -TLARRYPSLFADHDDYATAHIRHLVKEARETGQYDKLNLAWRNAPIPTDKVLLTWHPSTVPHGMEVLAAC -ILSKGTGLRANTANRAALRQAVRNHLLSKEKLKTFNSMAGLLAYAIQATIERVDIVRSRTFAMVSNDDHN -KIGSLPMLNVAPAGASSILRAAKTHVVDYDSLRRFGVSDKTQVVDTALLKSALTAAYPSTVISNALATLG -KDLHEYLRTHKIMPIRVAPLKISTTGAFQQLKLSYSRTITQLHTSTGGFIPAPRHSYNSLVGLMRPAGFS -NGAALALAIAAPKPSKAPGKLGKLFSFLDIATAHGKTADASASAALLKYAHEVHAFYVVSTKSEEAAESL -YQYLSGNVSFFPPAGGFPAEMLALARDLALQHIETSHTTLLSGPAEHLRTACAAIESLAILAIVSTLQER -LPGFIIRD - ->YP_006331065.1 putative RNA-dependent RNA polymerase [Sclerotinia sclerotiorum dsRNA mycovirus-L] -MLPAYETLSKHSQGAKKVVAGLTDSMSASTYKMSGIAYEGWNYHYLYNKYKLDSPPSSYEAAAVASILLN -PRPDAPNLTVAPIAATNALYESSKPYKFGIGSSWLRAFNEVPLHLQEQERFAQKAFQHPALTEFTLFENY -GSTPQASCATRVLELLGCVNPVTDMHHLALAKQPMRLADPNKLGMELPALVNYLISAWSPYGMVFNDLVL -TDEVDESTYLKLLEDFPKAQVLVCVHYGHISYAVRGTFLQAELNTYRSAYHNQTPHLTSLDRDALLAAFP -KNTGRAGGRIHLSLDLLLRSKLTTPQRALLFGFLRGRHAGNDFEEVFITTVSLLPFLEGAAGIDLTLFFL -RNMSTIVTLSTNDVAKWLKAAHAYTRIYQCMPGLALPGLLVPQIRAYGDLVYGLDSLVGRSELMKLDFTG -ELLMRTADPIQRAVPILQNTTAGYELHLSTALYEEYEDRATNSTFNDLLPEQINLESFEAWYARRMFWGA -SGGAPGAKIAWSEKNGGDAMRVNKRGALLAIPAAHFTNILEKAISPVLWSVKALKFEPGKLRSILNTSME -HNVMQAYLLDHFDGNVNSGTWYAIANAGAARLAAHANRLQALRTQVGFMWDFADFNINHTFSGMEKLFST -LARELLRRARYSNGPQHTQQAAQDIKQITAWVNAARHKTYLSDNDTKAVLEIKRSLQSGERATMWVNTLR -NNVDHRIVSLAAEKLFGYDLAPQSGHKTGDDVFLVTKTVGDAVLMSALYNLCGAAGQAHKILLSYPQHGG -ARGEFVRYAYDASSNRVSGYPLRALAGVVHGEFFTEPVTNPADRGATLIQQFAKVKRRGVHLPDSLLDAM -LAKSTSLTYTQQRKKCRVTIPRDLIALPAALGGVGVTETTNGMLSAEESTTRTVMRDAFAICIPSGEGKT -TLARRYPELFVDHDDYSTPEHEIKRKQAVYTGQYDELNAAWRNITYDKSKILLTWHPSTVPTGVKVLAAA -MLVTGTGLRANKANRTALRQAVAQHLLAKKALRVYPNSRTMFAELTQLAIEQVDIVRSRTFAMVSKQNHN -DIGSLPMLRIEPIGATSILRAAKTHVVDYESMRRFGVSGKTHIVDTALLKSALTAAYPASTISNALAKLG -EDLHNYLETHTIKPIRMAPVDPRAKTAFPLLKQAYLKTLENYTALASGYVPAPRHSYNSIVGLMRPTGFS -NGAALALAISAPAPSGAPGKLGKLFSFLHIALARGKVSDAAASDSVGKYSQEVRRIYALAKNSPNAADNL -YDYLSGNVSFFPPKGGYPAEMLALARDLALQHIETNQPALLTAPAEQLRSVCSVLDSLAILAIVSALQEK -LPGFIIRD - ->YP_003288789.1 putative RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus-3] -MSSKTYLGFNFNVLYRDYSLHRVPENLQEAIVASVVLNPRPDALNLRVSPLDQLCGQNAPLQLGKTVLPG -LGHAWLDAFHTAKKSRELELQRFSHTDHRFGSATYAYFSNFGDTKEASCATRLLQLLGLVYPFTDENHLS -PGRPSGRPEDPYKLGVAFHQLVHACFTAFDPGFFTFAEMQYPFSTEDKTLATMDSLSSSFPRANILAVVY -PDHVQYCVRRSMLETELGYYEMLTYGHSRGQPLVTKATAPKLIAAYPRNTGRAGGRIHLDLEDVFAVPLN -NGTKALAATLERYRNSNDFESVFATTLLLLPTLESKATPDLILFFLRNAEYLLATEFTTLVKRLKAINQF -IRIYQRIPGLGLPAYNINQLREFSDSLCGLDVLPGRSELLSLDFNAELLMRMADPAIRGVPVLEGHSLTF -SSSLYNQYEDVAIKQSFDDLLPPVVQLEPFEQWYNRRMFWAASGGSPGSKITWNIQQAESKMRLNKRGGL -LAIPAAHFRSILEEALRPIQWSVKALKYEPGKLRSILNTSMEHYIFQAYLLDHFDGAVSGGSWYASANAG -FTKLTAHVERLQKLKCEVGLMWDFADFNINHTFDGMIKLFSALRDNLLKRSRSTGTPMYEEAVNDITTIT -KWVEAARSNTFISDNDAGNIAQVVRSLQSGERATSWINTLRNHVDHVIVQQASHNLFGYNVSPTQGYKTG -DDVFLTVPSMQDALLTCAMYNLCGCAGQVSKIFVSYPELGGSRGEFVRYGYDAGSNSVRGYPLRALTGLV -HGEYFNDPIISPADRCATILEQSKKLARRGIVVPKPIVSRLISKNCTLTYSEGNRKIRTTVPPELVELPA -ALGGVGVTQDLDARLVTASSSLDTLGLPEQRWAICIPSGEGKSTLAAKYPTLFIDHDSLLGPQFHELLRV -ATMSGQWRALNSYLKSIVPEDPRILLTWGPATIPSSRGILGAFLLARPSGIRANTANRKSIQDLATQGGL -SKDKLIIAQNHAQRDRAILKLATQLLKPGSKLRKRFVDKDTGEVMRAPFFQFPRLPASEVMRRAKVQVVD -YQSLHLYGAGSKIDQLDQSLLTSGLTGALPKSLLSNALAKQAKELQHYLNQGTFSYYVPPTLDDFHPQAS -IKAIAQQVRDQLRDYFAGVTARVAAPGHNYNSMIALLQPLGFSDGAGLQLAITSQKPVKYAGKLGQLWSV -FHIAMKPRLVPAQQALRIDAYAATLQRFCNVNPATNEVHALIYQYLSGDLNLYPPSASHLSSELASVTRD -LALMTFEARHLDTFVRGADYLRELISALDTCAQLALCQVLDEFAPNFIIRD - ->AEZ54148.1 RNA-dependent RNA polymerase [Fusarium virguliforme dsRNA mycovirus 1] -MLQYIFITPAPESVWDFLPYNLIELAQSDPILTSSAMKILDQLVPDSATSAAGKVYYDIYANNYNDEFSC -ATRLLTILGFNEFDGERFEDVNKVGMFRQPLLRLLTMSLQLGIVLRTEHENTETLGERDLTCSLIKDSIF -YMSANEGWEAYPNKPAMVIVLFEDHIAFALRRDYLVRIQEAYLYRYLDDNGRTTTFKSVIERYPHVAGRA -GGKVFITSEMLTTVPVTTGILSVLAARLHTVSQADLGWEQIFPINLILLKFLDIVGEDLLWALCDTHELW -VSRQPEAAKLLKQMHAQIKTAHRIPRLSAYFGRNYMARDWARRLYGLEVLVGRTEQFELDFSKEAIMRTI -DPVNRALPEIRTHLNGFKYISFSHTALQDMLPVATRKVFNQLLKDTAALTTLDEFYDTRNYWGASGGAPG -AKITWENSQEKYRVNKRGALLALPKSRIRELLNSVRSKASKGIQPVQWSTKALKFEAGKLRSILNTTVEH -YVIQGYITHVFETNIRTDSWYSVGHSNSARIANQLRRIADLKENVGFMWDYADFNINHAYYLISDLLLAR -IEAVLSRAREPSPARLQTVGADLNACAAFVILARYNTYLYDHDTDVAIRSMRGLQSGERDTSRVNSDANR -VDTEIVQMLGKKILGYTLLKPHIDASGDDAFELTNSVSDAMYASALYNLSGSAGQVHKVSICRPTAGGAE -GEFLRLHYDARTHSVSGYPIRAMVGFSHGEYFNEPVPQPAQRYAAFINQRAKLTRRGWSCPGPLFDAVVR -KHTKLVYTDSGIRHIYTPNPRLVTLPSAMGGFGVEYDAKELVSGCSPPYEITWRTRKYTALFIPSGEGKT -TLARQYPDLFIDHDTFVNDLILHPLRSEAFKSGDWKPVNAYLRNVIRIMIGDSGSILNGPFGPKVILTWG -PDTIPQNITGVALLLKDLTGLRANVANRASILRSVPKQSIHYYKNFAERNSAAVALCGPTGEEVRVSYMK -FEAPSVPPRYAIKGVDARGILRNTKTTLADYAVLNKYGVTETVALDREVVESSLSGAWPKQLMTDSLADY -GRQLARWEKSGHFETSEMLITRVYVHYKLEAYVRHLVRFHLGIKSTSEGGGRPILENNKIGYPAAIPVKH -HFNAIPSLVAPFALTTNVSFMLLLDHALKQLPNNHKSNFAALRKLLVDFTTPHQLTKRRASPVVQDHLNW -ITRFDSSNDDVQLFAYRWFKGDFFLLPPHATQYSSDFLTLARDITLVVVECAVRGELFRHLAGMPSIKAA -FTIKVLELDVTNLIQMMLHEIIPGITLKD ->AMU19320.1 RNA-dependent RNA polymerase [Papaya meleira virus] -MSLKEFPDLNLMVEGIINKFTIKLSGIETLPFLSNTDILLVNVKDYLAQYPLTDNNYNYREDNFRNILNW -IMIEPLPREIYSYLPNKLFKMIKDFVIFDIVEYLPKLNNYIRSLLTNNIIEIPSYVFLKPTGRLGGKTHL -LLGDLLELYGFKFSNLNDSDEKEGILPIYVEINKNRLYNNINLVDISHLFKLNKDNVLLSDLIYTLISLL -LYQLSFMLYEDWNSINVAGLFISPISSPLGFIPLLFFIVSEIIKFPILDASKICKRFQNTIRVAGRIPFI -NILITQESEYVLDRELGILNVEGIRMLYGIESLLGPSEYYKFEPISEIITRQVSPNLELSLYKGGSRGNN -TYSTTIESINRREENIRKDMYNSMFFSIVKRIHRPFKTFRDWFKVKFSWSASGGCPGAKVNWSADERIRV -NKRGALLNVSVEEVVKAINDSFLNPVHYSKAARKYEKGKNRVIWNTSLLMYLAQSYLLYTFESVLDPLQH -DQSFELNQISSWNASTANADLRFISNRERLLSLNNHCGLMFDYSDFNINHSIIVQNKLYDVLSQVLMNKM -KVNQENYPQVIKDIKWLTEYVMRAKTFTVLDAGIEDNYISKVMRSLESGERGTSFINTFLSNCYIRNLNT -NALNLLGNKLLINNLWLQGDDVFSVTNSLENSAMAVNLFNCLGYAGQPFKIGLSYHNNGEFLRLSYDPKN -KTIGGYPIRSCAGLVSGEFFKESVYDPDVRAGCYLNSIIRCNIRGAIIPNKLMFLLAKRNCKLVYTIPEN -NIKIRMIGDPTLALLPSILGGFGINSILDIGMKHQVISTDQLLNRLNFSPGYLRHGWFSKKVNAIKYPRD -SFSINNLFWIRRNKESTNTVNNNQLFSYYILKTDTNTPTLKFDKIPDVLGDKNIPDYFSAYLINPQKSYT -KAITQNIIKSSVMGALPKELLSRSLTAYGAEHYKYRHKIQVGWDKYTTHFDKSYLKLNYIMVYMNTFIDF -IIECDNPRKEDNFSISVNLNYGILPSLFIEYGFSLQENFERVLDGLNNREILDLIASVQHHKFNVSTFYR -NILSLNKYNSLLYIRWIRGKILLFPPCFNLIDSDIINIWRGWSLLLIEKMILNGIHLVESDIPYLVLELE -LLIEQYIKERLQSVNKLNEFYSIQTKA ->CAJ34335.2 putative RNA-dependent RNA polymerase [Phlebiopsis gigantea mycovirus dsRNA 2] -MMSFIRDFTVKQSDSGAPDTSPPIMKFKEAVDFYDLDSPPSDLPGAALRFVLFEPLPSEVWNFLDPLLFW -NEEFKDYPEVLSELSGPQMPEHTPSPKNVNLGQELDRLYPQNSGRPGGKIHLMCSDVLQTAKNYWSQQLG -IRLYYGVNDWGNVAAASLVMLPLLSSAAQEFIAPRLTVARSMFNLPFSDFTKVCKALHTSIRVTKTVPVA -GGYSRISTEQARQLYGLDLLPGPSELHKFDPQAEILQRATPGANPLWPSIEKGAIHYSSLRYDQAFKNAL -TLTMRELIPHTIRTPPTFSEWYNDRMAWAASGGAPGARVVWDEGTRGERINKRGALLAIPEEDLRKVLIM -SAGAVLYSKAASKFEKGKRRAIWNTAIEHYLFQAYILDIIDASAISEGASGLPVSWNAATHSSNQRLAAQ -IFRLDTLGRSVGLMWDFSDFNINHQKSASTAIFTMAVEGIENAIDSNEDATITQVRRDLRACREWVNTAK -LNQIFDSGETEPLVMPVLRCLASGERATSFTNTILSRVYRHMVNAWATEQLGYPLIKDGDSQQGDDVFAA -VDSVSRGVITAATYNLLGYAGQSYKVTLDYAPRGEFLRLSYDGVAGIVAGYPIRAGLGLISGEFFEESTF -DPDARAAAYWEAVTKATRRGANIPQGLIDRLISRNCHITLTLPSGEKTRIVADVDVAITPSALGGVGVTG -GAPLPLRRKHKDPEAITTYSSPLGSRPLFSYPKVRASELLRRTKLADLSTLRRLGFEGRELALASQSIVN -SALTNAFRAEDRANAITDFASRLHKWKKTVQSVPIVLPPAAPHLHVTSAIQTLWLEALGLDSTRADHGSN -PIGYAIIIPSGEGKTTLKREFPELFIDHDDYISWQAVEHLADNGFNHALADYNRQRLLPKDKVLLTWGQD -TVPPGYRVLMIPLIRDWEGPRMNEENRAYLEKQREVSWFNSFEERNEAILAVVNQNLNSVRALSYNRRSH -LIPNFSPVTPLRHHFGMVSSLTRPLGFSLLEIMLNTVSDLPNTSKYPGQLGKWNTLFNSAIPRSAHGALN -LQENISKFNTFARVGGDYQMDLRMDYLLGKLQFLPPTSMSHSADVIVTARDITLLILERNLPNWFSLPLL -EFLHSVSQLETSVLRMYTYYIQPTYLAGVLYID ->AEZ54146.1 RNA-dependent RNA polymerase [Fusarium virguliforme dsRNA mycovirus 2] -MFYDFESAVATYKLNQEASDITHALMQYIFFTPAPPSVCDHLPGFLLTAWDPREPVISKAGFTLASKTLH -DSETIGPGGQKYMCYPNMDRDEYSCATRLLTILGLRDIPMERKEDIHALGIPIPVFRKLLMACWHSTFHL -DASHQFESATMRREAIENDIQLSATFTPQYYGIAPPVLIVILYADHVAFALRKDWLASQAYGFVASDVLD -SGSTTTLLSIREVIGKFPRVTGRAGGKVFLNEDVISACPHAGALPQALLNRLEHSPTPGWEQILPINLFL -LLFLDEVGEDLVHYLINDKQLFNLETPDVVKRLKEYHTYSRLWHKLPCLMINYARSTHARDFARRLYGLD -TLPGRSQQYTLDFTSEQVMRSIDPVERALPEIRELPSGIKYLSFNHEAYYTLMPKIVRDSLRVLTKDHVT -LQGLTEFFSARAYWGASGGAPGAKVTWDESGEKLRLNKRGALLALREQRIRDLVFYLHNKRTEHRVPVQW -STKAIKYEAGKLRSILNTTVEHYVLQGFITANFEANMRDDSWYSVGHSNPARIANQLRRLADLSHSVGYM -WDYADFNINHNFILMAEEILARIDVMLERCTSPSGKELETLKKELYNCAAFTVTARFNTYLSDNDTGIVT -QAKRGLQSGERDTSRVNSDSNDIDTRIVRHISKRMLGYDCIHPIVDESGDDAFETTASLSDAMLASSLYN -LSGAAGQVYKISVSLPSYGGAAGEFLRLPPRAANRNGRGDPNRAVGGFSHGEVFSEPVPQPAERMAAFIS -QRQKLSRRGWHCPDALFQALVRVNCRLRRTLRSGKIITFVPDPRLVQLPAAFGGIGIQYKDKNMIVDSHK -PYRVHSPARRVDCFYIPSGEGKTMLSMRHPTLFADHDSIVSAERLEGLRALAMETGDWSPTNAYLASCAD -LWAQAADVAELDGFSQRPILLTWGPDTVPKHFTGYGLLLKQLTGLRANKANRRSLMASGCPYREFSTWYK -RDAFALACVGGSATRATISYSYYESKIPLPVMQYPTEHAGQIIRRSKTVIPDFEKLTLSGVESLTPVYAD -LLQSSFSGAWPKTELYDAIANYAGAMHEWQTTGTWRAKTITIDGLPTLSALRPFVTHQVVTSLGLAAINS -RTATPLFNKNKVGYPAAVPVRHHYNGKPALLRPLGLTVGVSLTLLFDPKLLRRRTPGSRSFLRMYEVIGD -AVKQARHQGSSKAILRDYQAWLSGYLGAPEHEQEFVARWLTADLSLLPPSNTDDSADLVTFIRDITCYTV -EKLDDGLLLRTMSRYDAQHAACFFMLTERAINHLVLETIQTYYPGVSLKD ->ALD89097.1 RNA-dependent RNA polymerase [Macrophomina phaseolina double-stranded RNA virus 2] -MRDLLKGKYPLNQGRAGGKVFLDFDEVLPAIADNPVSWCLAERLSVAQNDWEQVAGLAILLLPYVSLAGE -DIGLFLLQNSDYLFNQKFVDYIKTCKAIQQYTRMNGALPNYFRTDPQTACDRAWANQLYGLDVIGGRSEL -MHLDFTEETVMRLIDPALRAVPVYDIGEGRLYMSHSLYEKYEDEATEEAVREVIKDAGDGVTLEAFDNWF -DRRMYWGASGGAPGATITWRKNDEKLRVNKRGALLSIPRDYIRKVMNRWEKAVQWSVKALKFESGKLRSI -LNTSIESYVIQAFILDNFDKNTRNDTWYSSAHDMTARIANAYRRIGELERNHGLMWDFADFNINHTFSGM -IKLFNVTVKVLQERGEHATPPHIYVSAKEDLESALKWVRVARDNTYVKDNDTGFVSKLVRSLQSGERATS -FVNAMRNHIDYLIVRKTAHNLFSRPFLQKKGDKQGDDVFLPVKNAVEATLACAIYNITGAAGQLSKITND -YHKPEAARGEYLRYAYDGRTRIVSGYPIRAMMGVIHGEFFDEPIPKPLERAATFMEQFAKLQRRGWIPPK -TLLERIIKRNCHLVYTHEGIKNRVVPRPELVTLPAIFGGIGVTETISGAEMVQSHTPPLLTNTLQELSGY -IAVYIPSGEGKTTLAKKLGHQVAVDHDELVGPAFLALRECASMTGDWKPVNKYLRDCARGVTGRLLLTWG -PDTAPAEGRLGEYTIMLRKPTALRANRANRTALLKNKRNLRLCDNWAQMFASVIDIVLTHGRLEAATETQ -MKIRVFESEHKPPKFMYPRIAATDILRRAKTHLADYDAVRSFGIPETDFVDDAALESALTAAYPKDPLYQ -AIADYARRLDLWQKTGCFRQKTVSIPKDDYDQIGRSAIYQLLHALGIMPGGSINYQSLSLNIEGYPITSR -LTHFYNCIDRITALSGCSLGLVVRRLIEKQDTTKYSGNLGKLYSFLVILGQRKESLANLSLSYKETDTIN -DIRDFIDSTMANQNSDSDIGNRVFEYIVGQRSLLPPYNPGVNAEIISAVRASVLVVIENHYKHRITLEPI -VFALWVQRVEFAALVFFFRNYQQTEGSVLLLD ->AOX49922.1 RNA-dependent RNA polymerase, partial [Sclerotium rolfsii RNA virus 1] -LREGQRDALETALRPQVRILTFSDWYADRMAWAASGGAPGAKVQWEPQAKADRLNKRGALLLIPESHILN -ILRQTLKPSLFSKAAKKFENGKIRSIWNTSISLYVIQAYVLHHFERACAPGTWNTSADNTFSKMRGDIKR -LLGLSKHGNHGLMWDYSDFNINHTAESMVSLWEETLNVMLPRLVADTPGELEQCRLDLELCVAYIVNAKS -NTFLYNPETEFGSYVCRSLQSGERATSFVNTFNSRAYAYIHDRVSMRLFNRTLLLPQLAAHQGDDVFRIV -ASISDGVLACALFNLLGYAGQVFKIMLEYNPRGEFLRLHYDGVANIIAGYPVRSYMGLITGEFFRENVID -PGDRAMAFCDQFGKVLRRGAVLPQRVLDVLLRDHTALTYTHDGVQRRVTPDLTMLFTPSALGGYGANAIT -AQGTVALGSSLQAVPDVSGDALQRETASVGYAIAIPSGHGKTTLKNRYPELFYDQDDFTNQPKVASLIAD -AKRRARGGDAGGWEDLDAYHRTASIPRDKVLLTWHAGTVPTGFRLIAALMVDANREGVVLKRTDEYFFVN -IHRHSTLSINLFSNHNELANEAVRLAKIAKNKEFVPGYSVRRFLTYNTRVKERPEYHFPPVPVRAFYAGT -AGQIPDVGTAIRYQLDFSTVTSIKRSILNSALPGAYPAAKLSNTLAKYASELDKWMRINKPSTTIHVTAM -PPQQVLDEFSSYAAMFTGSLYSFQGDVSTAQGFAHAVNLYFSTIADDTNISSAYLFARPNYGAFQHLVRP -TGCSSFETLVNIVDASPLLASDTGHAGRWLNLINSTTRAKESRVGISYAKLHKRISASTFTAEEKSNAYN -FLVDYFRGNLSLYPPTKRVLSPVIISLSRICTLMFVESNFMYFARLDIITRYDYLCVLEEHAISRSQQRL -SSIPSQVHSTPIQYID diff --git a/seq/clusters_seq/cluster_293 b/seq/clusters_seq/cluster_293 deleted file mode 100644 index 56c934d..0000000 --- a/seq/clusters_seq/cluster_293 +++ /dev/null @@ -1,126 +0,0 @@ ->YP_052953.2 VP6 protein [Bluetongue virus] -MSAAILLAPGDVIKRSSEELKQRQIQINLVDWMESEGGKEDKTEPKEESKAEGSKDGEGTQSESGQKEEG -GKETKDADVDRRIHTAVGSGSGTKGSGERANENANRGDGKVGGGGGDADAGVGATGTNGGRWVVLTEEIA -RAIESKYGTKIDVYRDEVPAQIIEVERSLQKELGISREGVAEQTERLRDLRRKEKNGTHAKAVERGGRKQ -RKKAHGDAQREGVEEEKTSEEPARIGITIEGVMSQKKLLSMIGGVERKMAPIGARESAVMLVSNSIKDVV -RATAYFTAPTGDPHWKEVAREASKKKNILAYTSTGGDVKTEFLHLIDHL - ->YP_009507726.1 VP6 [Orungo virus] -MLVLAPGDLIDGVRHQLSERGVRIRLRETNDDEQKDEPVDTGGGKPGDRIGKEVGNAESEQSSDKEKKAG -GSSDSELGEGGDGRRQTEKAEGGENGTRDIGIQDKDKQFDRRANPDNALDRENRSGDPQLKYGFATKRIA -NAVESKYHCGGFEGPGSDGYIKLEMSIMKALGLNRDDHIEQLEFLKHQQKGPSKIQVRTIASETKLKEVC -NIMDKPVAPTARSAVSVVSNRVEDVKEAQVYFTTPTGDRGWKEVARKASQTPNIRAYINPEGDDPKVSFL -HLIDHL - ->YP_009507703.1 VP6 [Eubenangee virus] -MTTVLLLAPGDVIKDSTRELKERGIQIKVVDWNDEKKSGERTENGDSRAARGGGGTATEETENRVGVESG -GKSSGTRNEGGERGSVEGSRKRELPDLGIQRKDHGSDRGFESQVKSVARKFQVLSREIAEVIREKFGQEV -GVYKGGGLADEKILFLDSHVLKEIGLGKEAHAQQREAKKELDRWLKSTNNINPSKQKRKNGRKGKQDSED -NDNVDTEKGDRQQLAEPSEVKTDGKDLIEDVLSYKKLEDLIGKRDVKEEKFGAVRSSVRLVSNDIEDVKK -ASAYFTCPTGDTSWKNVAREAAKQDNIMAYVGQSEDPKIEFLHLIDHL - ->YP_009507692.1 VP6 [Equine encephalosis virus] -MSSGLFLAPGDVLEKGREKLKQLGIEVKIKPWQTAKKEDGLQNGSADNGGTDSGELRGAGKTGTANVEHV -GGDERGGSAGEDGRELAQEAVEGRGQKKGVVRTEGRTDGSQHRKTAGEDGVSSGPGSNGGAPAGGSRGEE -KATERGARRLQKTEGGKEVPADGAGRDERGDDGGRGRGVEYRVLADGVAECVYKKYGIKLPVGDSEGKVI -IIEKALSKDLKIDPDDYQRQFDELKAGGGKGGKIQKEQIISVNSKGKLESLLKVEARSNSQETIKGDLKY -RLGTNDISAVGRATMIFSTSTGDTGWKEVMREAAKNTNIMMYTHEGDSDPIVYLITLLEHL - ->YP_009507734.1 VP6 [Warrego virus] -MSSAVLLAPGDVIRASLAELKERQITYHLKEWDAEKGETSEKTEDTDGLGSGGETEKEKDTGSKEGDKQD -TKGDKHSIKSTGCQRGEKEKGGATEPASSAKNGNGKAGNYVVLTEDISKLIQQKYGTNVDVFKEGTTKTV -LYLEKHVQKELNMDREQTAEQQERVRDAREWIKRQKGKKKDNMRIKKQQKGKEKEKKKEKGRKGKKEEKE -DGDEEEGEDEEKGSSEKKEETDEQVGEEGVITILSVKKLLNLIGANENKREVVSARAVGVRLVSNAIEDV -KQATAYFTSSTGDPNWKEVARKAAENPNIMAYVSKSDDPKKEMLHLIDHL - ->YP_009345887.1 VP9 [Orbivirus SX-2017a] -MSAAVLLAPGDVIRDSLVELKERQIQVHIKEWQKEGETKTAGEIKTESGIEEEKKEEDKPIEKGKEKQIG -EVDKERKEESKQKEEKAEKEKKEDSVVGKEVRAAKAQHAKPNQNVKKEKESSKEEEKREKDQASNAEADR -GTKSGTGVGTEFAAERGGDKSRKDGREGGGRADVSNDGGDGNVQRGWVVLTSEIARAISSKYGTNVGVYD -EKSLNVIYIDKPLQKVLDFSREMSAEQTEMVREVRKRRGKGDGKLVIDEVMSMKKLQSMIGGLERKADVV -RARDTSVMLVSNDEADLERATAYFTAPTGDKNWKEVARKAAMKGNIMAYTSTGTDAKSEFLHLIDHL - ->YP_009163952.1 NS4 [Fengkai orbivirus] -MSAAVLLAPGDVIRDSLVELKERQILVHIKEWQIEGETKTTEIKAESGKEEEKKEEDKPTEKGKEKQIGE -VDKERKEESKQKEEGAEKEKKEDSVVGKEVRAAKAQHAKPSQNVKKEKESSKEEEKREKDQASNDETDRG -TKSGTGVGSEFATERGGDRSRKDGREGGGRADVSNDGRDGNVQRGWVVLTSEIARAISSKYGTNVGVYDE -KSLNVIYIDKPLQKVLDFSREMSAEQTEMVREVRKRRGKGDGKLVIDEVMSMKKLQSMIGGLERKADVVR -ARDTSVMLVSNDEAYLERATAYFTAPTGDKNWKEVARKAAMKGNIMAYTSTGTDAKSEFLHLIDHL - ->YP_009047249.1 helicase [Umatilla virus] -MRIVLLAPGDIIEKSEPELKQRGIDIKIKDWRKDGGSHVPSTERENGGTGKDGESATPGSSGSNGHVGRD -GEKSKGNGKEENDSRPGDSRCVRTDDERITKTEIKDGDGDKSGDKQSASAKDSKDAEGDERSADSTVVKG -KKIKENEGTDPKITKQLAAERSIDGDGPSGSVYVLTRAVADAINQRSGKQLDVLATLSDLNGKGKCYQIS -GGVGKIIGLDNDAVKEQIDALNELKSQYDGPNVNALLKRVLRIESSSKLDQMFPKNVDEKQTIGSGVVLA -TNDPSFLPQSNALFTCPTGDTNWKEVARQATNKTSIRAYTYSEIGSGSEIKEAFLSLIDSL - ->YP_008719929.1 helicase [Changuinola virus] -MSIAVLLVPGDLIEKIRPELSDRQIQVDLVGWKPEREENAEETTPAKEESHTGGLGEKDAGGGKEKKEEK -AGDKENRERSEQKEGGIKKDAGPGGGGDDRGGDGVVGNADGGHGVGAEGAGGKRMVVMTEDIARKIKQKI -GVDVQVYPAEGTVLFLERHVQNELLMDKESAAQQQEVFKTIQKMGKKDQKIQIDRVMSMKKLTDMLGSND -VVEKPISARQSGVKLVTNNPVHIKRATAYFTAPTGDINWKNVAREAAKNANIMAYHSESDDIAKDLLHLI -DHL - ->YP_008658421.1 helicase [Wallal virus] -MTTVVLLAPGDVIQNSIPELKERRIQFRVKAWEKEDGSDAKNGDGGVSKQSEDGSGAIATAGENNKEEGK -RGSNGGGDGINKGLLKGKDQLGDVPTERGRDIQGDSGNRTGAQAAKERTYVVLVDRIAQAIKAKLGGDVG -VLANITDASETRILVLDRHVLKELGLDQEVIAQQKEVLQKIKRVNNDSKKVKVRGKKDQHNDKKEKDRSN -GKEEEEGKGPTKKNEVESKKEDEGYTSKYEEVYSYKKLDELVGKKDRKEEVFTARSSGVRLVSNDIKDVA -IATAYYTCPTGDTNWKEVARKAAEQSNIMAYAGKGENSKEEFIHLIDHL - ->YP_003240116.1 VP6 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MSAAVLLAPGDVIQRSTEELRQRQIQIHLVDWEKEDKGRKEETEERSESGGQKEKEKEKDEETPSKRREE -KKTDEKDANEDEVRRVDAPMGHRPSDYRSGEREKGPSDGGRDKVKGGDRNDATRTGGNGTGGGRWLVGSE -EIAQCLQNRYGVSIPVYKPGANGTFINLEKSLQKELGFSREMAAEQTEALRTIKSELKKRKMAKPHAVKN -DVSQKSDKKWGKGEGNDGVKKGEGKDTDKHEDQDHDDDEGGKMIAESSVSSSERTPDLAVEDVMSQKKLL -SMIGGGEKRDETIRARETSVMLVSNSIDDVAHATAYFTAPTGDTKWKEVARAAAKKSNIMAYTSEEGDIK -KNFLHLIDHL - ->YP_052937.1 minor core protein VP6 [Palyam virus] -MTTSLILAPGDVLFSVRHELAERGIRVRFTEQFKDGESKDKDGKDEDGQDQRISGGGGENKQKGGDESSD -RTTEAKSRDTVTATEVGKHADGDGGSGESDKSSTRTGTEIGGGVFVLTSSVSKALTEYGNIMIYESGGGT -VIFLDKNLQNEFNLSKSDRLEQVDALRELKQKHRKDVQIRTISTIASLEKILGERKIVSKQQDKVVPITG -IHHASNRIEDVKDAKAYFTCPTGDVTWKEVAKAAGQNKNVMAYYHDGKEDAGEQFIHLIDHM - ->sp|P33422.1|VP6_BTV2A RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -MIDWAESESGKGDKVEPKEENEAEESKDGEGTQSESGQKKESSKEAEDADVDRRVHTTVGSGSGAKGFRE -RANENVDRGDGKVCGGVGDVDAGVGTTGTNGGRWVVLTEEIARAIESKYGTKIDVYRDEVPAQIIEVERS -LQKELGISREGVAEQTERLRDLRRKEKSETHARVAEKGRRKQGKRVHGDAQKESTEDEKTPEEPTSVGIT -IEGVMSQKKLLSMIGGVERKMAPIGARESAVMLVSNSIKDVVRATAYFTAPTGDPHWKEVAREASKKKNI -LAYTSTGGDVKTEFLHLIDHL - ->sp|P33423.1|VP6B_BTV10 RecName: Full=Protein VP6-B; AltName: Full=Minor inner core protein VP6-B -MLLAPGDVIKRSSEELKQRQIQINLIDWIESESGKEDKAEPKEESKAEESKDGQGTQSESSQKKEGSKEA -KDADVDRRIHTAVGSGSSAKGPGVRANENVDRGDGKVGGGGGNADAGVGTIGANGGRWVVLTEEIARAIE -SKYGTKIDVYRDKVPAQIIEVERSLQKELGISREGVAEQTERLRDLRRKEKSGAHAKAAERGRRKQGKKP -HGDVQKEGTEEEKTSEEQASVGIAIEGVMSQKKLLSMIGGVERKMAPIGARESAVMLVSNSIKDVVRATA -YFTAPTGDPHWKEVAREASKKKNILAYTSTGGDVKTEFLHLIDHL - ->sp|Q03328.1|VP6_BTV1S RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -MSAAMLLAPGDVIKRSSEELKQRQIQINLTDWTEGETNKESKAERKEGDKAEELKDGEGRNRRAARRKRA -AKETKDARCDRRIHTAVGSGSSAKGPGERANENVDRGDGKVGGGGGDADAGVGTTGANGGRWVVLTEEIR -RAIESKYGTKIDVYRDEVPAQIIEVERSLQKELGISREGVAEQTERLRDLRRKEKSGAHAKAAERGRRKQ -GKKPHGDAQREGPEEEKTSEEPASVGITIEGVMSQKKLLSMIGGVERKMAPIGARESAVMLVSNSIKDVV -RATAYFTAPTGDPHWKEVAAKLQKRRTIRYTSTGGDVKTEFLHLIDHL - ->sp|P32935.1|VP6_BTV17 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -MLLAPGDVIKRSSEELKQRQIQINLVDWAESEGGKEDKTEPKEESKAEGSKDGEGTQSESGQKEEGGKET -KDADVDRRIHTAVGSGSSTKGLGERANENADRGDGKVGGGGGDADAGVGATGTNGRRWVVLTEEIARAIE -SKYGTKIDVYRDEVPAQIIEVERSLQKELGISREGVAEQTERLRDLRRKEKNGTHAKAVERGGRKQRKKT -HGDAQREGVEEEKTSEEPARIGITIEGVMSQKKLLSMIGGVERKMAPIGARESAVMLVSNSIKDVMRATA -YFTAPTGDPHWKEVAREASKKKNILAYTSTGGDAKTEFLHLIDHL - ->sp|P32934.1|VP6_BTV13 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -MLLAPGDVIKRSSEELKQRQIQINLVDWTESEGGKENKTEPKEESKAEGSKDGEGTQSESGQKEEGGKET -KDADVDRRIHTAVGSGSSTKGPGERANENADRGDGKVGGGGGDADAGVGATGTNGRRWVVLTEEIARAIE -SKYGTKIDVYRDEVPAQIIEVERSLQKELGISREGVAEQTERSRDLRRKEKNGTHAKAVERGGRKQRKES -HGDAQREGVEEEKTSEEPARIGITIEGVMSQNKLLSMIGGVERKMAPIGARESAVMLVSNSIKDVVRATA -YFTAPTGDPHWKEVAREASKKKNILAYTSTGGDAKTEFLHLIDHL - ->sp|P32933.1|VP6_BTV11 RecName: Full=Protein VP6; AltName: Full=Minor inner core protein VP6 -MLLAPGDVIKRSSEELKQRQIQINLVDWTESEGGKEDKTEPKEESKAEGSKDGEGTQSESGQKEEGGKET -KDADVDRRIHTAVGSGSSTKGPGERANENADRGDGKVGGGGGDADAGVGATGTNGRRWVVLTEEIARAIE -SKYGTKIDVYRDEVPAQIIEVERSLQKELGISREGVAEQTERLRDLRRKEKNGTHAKAVERGGRKQRKES -HGDAQREGVEEEKTSEEPARIGITIEGVMSQKKLLSMIGGVERKMAPIGARESAVMLVSNSIKDVMRATA -YFTAPTGDPHWKEVAREASKKKNILAYTSTGGDAKTEFLRLFDHL - diff --git a/seq/clusters_seq/cluster_294 b/seq/clusters_seq/cluster_294 deleted file mode 100644 index d25a392..0000000 --- a/seq/clusters_seq/cluster_294 +++ /dev/null @@ -1,393 +0,0 @@ ->YP_009553471.1 replicase [Neofusicoccum luteum fusarivirus 1] -MREFHPETLTCHQMVLLNASYKGFIICCALLCLVVMLILAWGGFGIWLFLFSLIVNGFSPFWFSVFIISG -PISAVPLALFFSDSIKSEAELVRLVGEDRALWATVDGFSLFTHPAKRGFKELVWSGHDESGVWLETWART -LNLPQEIQQMVGQGAASLGSVMWSRMIIWLDTLVTDVPSGVFSILAFFLIFRKSIRAGYFWFQHFFLVWL -TMFVLLYHVPPEKFFQGIVSVTWALVRLIVQFQKGYVEPIDWLKWRLTSIVIRFTALAESVNSEFSKHHS -INISRGSTELTNHLRVLTMRATIFINDLSLPSFVRRRFPFSVDVNVLNESLNIMRDLGWPVDASITDPHP -VAEQMGFKEWLLCGSDFRQGIHNLQVQIDEDLESLRIAGVSYRRTEEYASIENELESTSRYFKSPKYDFP -DLALDDVWYVVGDIFRHSRLTSFNYIIRMWEKKYALGAFMRGPLRPWRKYKRSAFIRNIGGYRPFKALWA -STFRVASHVLPVSAVSVKGEALPEKKWASNLVRTVIGSPITQYIMSTVWNYGPNHTFAWESTPIKIGMPL -NGYWMYSIWARHARCQLHIEGDFTAFDSTVSSGIMDLIKAVRKKGFESHKDRDRIANLSDVNYEQVEKQL -LQTTSTGNVYLKGTGLTTGHSSTSMDNSLALVIIDLMAWKDVTGLSAREFMYYNELSCFGDDHVLSCLAS -KPAVWTPKNIADVMKRWGLTNNLEVKPSLSSISFLSKWGRKATPNEVKHLLKNGVDSRFIVWHDKKKLVG -KLTAPITNKTPSYRLKRLLSYLTLTAHHQDVYDGLVKVICGSKSLMAQLRNDKLRIPSYRSVLRNWYSPS -PPPQFHDEVNHDFDESTNDGRLIQYGEVSALDAIFGALSMVPDLLSPLLFNYGYMRALQVFLKSRLEWII -DLVSMNNNIFSAGLLESHLARTPYRFIESSIFLPGLSGCNNTTLMVRHWLFCAYMAVRPKQRFGAWFNFA -IARISSLQFFLNCKIMTQGRQNELQLDLLVVASLLSLISLPDWLPSLGTFNFPDVQLLVDSIMHYFTVLI -WSNVPPNFKETTPTLRTFDRFAGPLGVSAPTGSGKSTGFIQHLYLVAGHRFRKIVVVEPRTLLVHGLTNF -MKSAYGLDCSGATTGLKLDKSKRVIYATPQALMNHLDLFSPDNLFVLDEVHINDHFYDLFRNLFVRSRLP -CIFVSATLPSNVGEQCQAVLDIPMANLWTTTETVVKRTANKDRESVIGGYEACVLGLAGTLGRSSRMLAF -GPTKARAERLANKSRSSALALHSDIPVPTEWKVNCIFATPVADVGITIPEVTLVVTPNFTTFNSNGLSSL -SGETSRQRRGRTGRTCNGEYRLIQYDIETIDSEWQTCFTPIGVQRLLLAGVPTKMLSSLSSESVIRAFGV -PPPPDSDSVEAILRDVDVFLNNFRPVFLAHQVARDSGDPLFGAPVNLHATGIGNISSSFPQPDTGFDNSV -IDLVSEFIKAKSGSSTIKDLDTFVTSFDRMAGPILRVGNLFRSLLDGEKTDAMNPKNSSPTGVLEEVYAL -KDIYKILQSLEEVA - ->YP_009551681.1 RNA-dependent RNA polymerase [Sodiomyces alkalinus fusarivirus 1] -MMIFSDHGFLTFILFVLDVLSRFLVLLSGLLVALFVILCVLGLPLIAAGIIIFASGFMQWALLFWFWCPV -ICVIAAVMVLTARHWVSREVALIHQFGPDQALWVDQGSFWHLRPVASFVVAAIDTSLSPLDSDFILSSVR -KVTGTPTSLNHYQAASLLWSWFVLAAQKAVTYSPTFWALCYSYLAFMIAGIAGRYVKFVWKVLQWTFAFS -VFVLFASPAGFWGTMASVVFVAEVVRKVLSFPILRRLKLEVTILTAYLLAVHLEYKFVGRKWFDEHGFKV -RVGRKFQNTFSEVASKIAIVVSDLGLPSYILGPRKSSYSTADVQETLELMKELGWPINTSLADPSRTGST -GKYVEWLLGGTDWEQGLHNRTMYLDRLLDPLRLKAVEWKRTEEYRSVRNELESISRYFKSPRYNYPDLPL -DDVWFLLGDIFKFSRIAPFNHIIRMWEKKYALGSFMHDPDRPKKKYSRWKFISSIGYASFKNLWRRTFEI -ASSLTPVSHVSVKDEALPPRKYLEDKVRTVIGSPIGQYILSTVWNYWPNHNFRWETTPVKVGMPLNGYWF -SRMYAKHARCQIHVAGDFSEFDSTVDHQIQRLIAAVRKRGYEHHRDYDRICKLIDINYDQVNSQLLNTTS -TGDIYRKGTGMTTGHSSTSMDNSAACVILYLMAWKDLTGLSAKEFKFYNELSVFGDDHVLSTLQTRPVMW -KFSNIQQSMAKFGVTLRVEAEGSLSKIPFLSKFVRRPTPSDMDDLRLAGVAGHNGWVVYHDKSRLVGKLV -SKVKSMAPEYRLKRLLSYLSLTAHHPDIYDQIAGIIKRTNTFSRIVKAKQITVPSYQKVLQDWYSSAAVT -PHAEMEEADVSLNPDNAWVQYGQVGPLDSLLGSLALLPDLINPTVFNFGYLRTFQARCAPICSWPIELIR -RANSTLGYAEVAAIVRRSPYDVLDATIESDNSETSDSSLMLRHWIFVTYHHLTKGRRKTGTLTEIHRKVA -NFQFLVNCKFQSDINRWSFPILDLLVITALGLVHSPLDFGFVMKFEVPDLADFFNRLSFFLFQRFWTALP -PNYADVIRLVRDARTRVPITVEAPTGSGKSTAFIKACADNLGHKFKKVVVIEPRSAIVKTTVPYCQQALG -MDCTGSTVGMHPDPEAKVVYCTGTEFLLHQSWWGTENLVILDEAHITEATYLLVHEILKGLAQTQVLFLT -ATPSPNLLARSTHIPLNTARIWQVNKRAEVHEGPRTVHEFKQHYMEEVQAILKEQPSSSKALVFFNTVAE -CLAAVELIGSRAAVLSSGVNPLAISSYSVICTTSVADVGLTLPDVNLVITSDIGFLVRQEKEKVVPMHTR -LSHDQIEQRCGRTGRTSHGQALILRYPKVIVNQDFSSLEDPSTILTLLASGAPVSVLSSYCRGGIMRLLG -LDQEQGPAAEDLREEALRQLDKYTHNLGALVRERVSAMEMSTNDGSVPSFIDTARMGLLRESTRVSTLSL -LGSVLDISASLAKRMFSGGSPPPELDALIREQSAPLLGNIKAELPFPDPDLGEWGFT - ->YP_009480682.1 hypothetical protein [Botrytis cinerea fusarivirus 1-S2] -MWSIIFSVYWPEAIQLIAATFWMWAAFIIVFPAMLILHGLADVMFICLFSLLVLRSYGASLIFIFFKFSM -WGTSPAFNIADQLRPIVTGLEKWLNIDLMSHYERAIRDYSLSPWSLQFLIIFIISKYFLRRQMDFAKSLL -WALLISNVLGPPLQAQGLLRQSRKFCLGFI - ->YP_009480680.1 hypothetical protein [Botrytis cinerea fusarivirus 1-S1] -MWSIIFSVYWPEAIQLIAATFWMWAAFIIVFPAMLILHGLADVMFICLFSLLVLRSYGASLIFIFFKFSM -WGTSPAFNIADQLRPIVTGLEKWLNIDLMSHYERAIRDYSLSPWSLQFLIIFIISKYFLRRQMDFAKSLL -WALLISNVLGPTAGSRFVKAVTKVLPRFHLSHLSYLAMAFRRPWLRLVLEEVTPPIEDRMYRSVLALRAL -KWLGAMGFMLPSDSPTARRSRIGTLSQLLQIGGKIVNDFDLPAFIRSPYQSRLTEVETIDLMKSLGYPTN -AQVQIHVPSKSGQQNKPWWIGRSDWKMHLPMFKSFIQEELEDFRKLRNLPVFKHSYTYGTLDSQVESISR -YFYESPVSMTLTKDDYDIVWDLVKDIYANSQLAPISEIYRKWDKKMNVGVFASSREKFTKFGSAKKMPRW -EYIRLMGGHKKVIKQWYAFFKHYPRMENFAQYFTKAEFLPPKKWLNDVVRTPVASMLPQYVSQMVWSGVQ -NHRFRPFETPVKIGLPINGTNLSKIYALHEVTGGKDGATHFAGDCSAFDSTLTGPVLDVIKHVRKRGFKD -HRAVKGNIMAGGQELRGN - ->YP_009480678.1 hypothetical protein [Botrytis cinerea fusarivirus 1] -MWSIIFSVYWPEAIQLIAATFWMWAAFIIVFPAMLILHGLADVMFICLFSLLVLRSYGASLIFIFFKFSM -WGTSPAFNIADQLRPIVTGLEKWLNIDLMSHYERAIRDYSLSPWSLQFLIIFIISKYFLRRQMDFAKSLL -WALLISNVLGPTAGSRFVKAVTKVLPRFHLSHLSYLAMAFRRPWLRLVLEEVTPPIEDRMYRSVLALRAL -KWLGAMGFMLPSDSPTARRSRIGTLSQLLQIGGKIVNDFDLPAFIRSPYQSRLTEVETIDLMKSLGYPTN -AQVQIHVPSKSGQQNKPWWIGRSDWKMHLPMFKSFIQEELEDFRKLRNLPVFKHSYTYGTLDSQVESISR -YFYESPVSMTLTKDDYDIVWDLVKDIYANSQLAPISEIYRKWDKKMNVGVFASSREKFTKFGSAKKMPRW -EYIRLMGGHKKVIKQWYAFFKHYPRMENFAQYFTKAEFLPPKKWLNDVVRTPVASMLPQYVSQMVWSGVQ -NHRFRPFETPVKIGLPINGTNLSKIYALHEVTGGKDGATHFAGDCSAFDSTLTGPVLDVIKHVRKRGFKD -HRAVKAISWLVDRNYAGIEKSLLVSSNTGDIARKGSGLMTGHASTSADNSLAMVALYALAWRKLTGTGSQ -EFLKYNTLSVYGDDHILSIARNAPVQWTFPNVQSYLASCNITLREEVQTGGKGTKLESIPFLSKMARKPS -AEEAHLFESLGIEVPRWTISHDREKLLGKMTAQLPNQNPLARAKRMQSYLYLCAHNPEAYEALTVALNTL -FGKFPKVEKELGKYTPSYARVLKVWYDPESAPKIDEDHLVGDDDGVSAYGGLTAMDQFLNATAALPDYFN -PIMKNNGWVKSIQQLAGTSLFWPNELIQRTNSHVSDAQVSSSLRKSCYSFLEHRGTVPSSGSTLLTLLLR -HWLFILMTPRSSTDAISSVTKVADGVSASAARWNFVLLAKDTYKLESASLHLKETLFLWILGFLEVPFEL -PSVFYSLRIPDPVEICSKTIELAWNQVWVRVPVAFRALGHIKEDTFSRFLVTAKTGIGKSTTLVNYLDLE -NVCGLMIVIVPRSNLVTGLMNYMNKKFGEKFTGLTTGLTMDTRRRVVYMTAQEFLINFERFSLLDHMLVL -DECHIDELPYKVIKALILKNKTSSRKTVMMTATAELMSEDLTNFQRIDFPQARLFSIRDVSCSIPLTSNL -SQDYARLVLNQLVHARQSAGKALIFVDSLEEAEQVSTLLPMSYQVLHSRGEVVPNPNVEVYVGTSMVDVG -ITIPGLSLVISKDMQYRGGQRTTWKCPSGHCGMEAVYVRPQYEKLPSSLVTQRRGRTGRTSNGEFVLVNV -TAMAGEIAQDVSKPTPKDMVEEWIASNLPLHLLVESGILGLATLSETVIKAKLDSGDERRKFSAILKLLN -KVQSESRKENVPPATQFTGHYAGLSLSVPTPFIFQKWGLSSMDMTLNSLRFFKKRGGHFRHLLQVMKYGF -SQDYFVKTDQGTHLAMNRCIRLTEMWQRSQVPGNTDKETFDEEFWTKALGPNPFQKKITDSVDNPWTSQY -VLPGVPAVLRTEMHQGLLEELLEMFHETCPHGVHLFENVREQKVCLACTPGLKLPDTNLRELSAMTPKSL -VHFADSSLLKGGHYVRLGTNTKHFGTRPLRGQPL - ->YP_009325419.1 RNA-dependent RNA polymerase [Nigrospora oryzae fusarivirus 1] -MSFNFSSNLEGLDLLQEVNRLINSRIVQKFLRIKGWCFLDQLGWRLEAKLGRGPEKATLFDLTRSRTWRQ -ETFMTNRLFLYLNLFCQLLFIILNLVIWPVMLWQTAEYIFFTIILTSLAIVWGNPILLIGCVALGVFVTY -YILFFFRMIGSTVWLIIDIARGANGVTRRPNIISEAVVTFLVMLSSNDMEAHKRAELFDLYAAVIQAWII -KTNYEGWMTKALAVILTFNFLRFMAKMISNTVTLFLRLVVSFLTCSAVIILYSWNYKTDIILCMTIEVIY -YMMIRAYKISWRILQKAWITFSHKIFGPTLSASGSKELFLYIRNITLVYEVLRLKVVIRTIYWAHSLGFY -LDVNSLPRKPQTWRGVFVQSMMGMVRMINDFDPPGFIRRAGKPTWNSFSDTLDMLKELGYPVDPDVVFTP -EETNPLDPRFDRNLDGDTHVGLGIRNIKIYKTEEFELIKGLAAKYVHSDTYANIHNELDSVSRYFSDIDV -DMSDELMKKGIEETWQMVSRIYANSRITPIHSIWKNWNKKFNFGALAYRNVQDKKNKTFRKKKMTRKAYI -ASRKNGQTIIKEWTKWYQHFPLMKNITNVFPKSEALPPKKWMKNKIRTPLSPFCHNTYFRWCFRMSQTTD -FALRTLQLRLECQINGTWMSRLFERHSRFDFHYAGDFSEFDSTIRGPVTEAIKSIRKKGYSNHKMMGTLA -EMIDLTYDSIEHSSLLLPSTGRVYNKAGGLMTGHASTSSDNSIATVFFYMVAWIDLTGKSAKEFVNFNEL -SCYGDDHILSISQASPKAWNFKNIQTTMSRFVVTLRDEVPEGASDQNLMEQSSPPEFDPPEELDPLPFLS -KFSRKPTSADISEWETHMGGIPMPGRIVFHDRVKLLGKAAAGTKTKDPFYRIDRLIGYMYLTAHNKDLYD -MFNEMIEVIIRKNLEYRDDTRIKKQQALPKMRSKIPSYAKVLSTFYDPNSTVRDHDDMLEDEGGVVSYGS -MTTWDYICNYASYIPDILNPNVLNMGFNVLTQRVVRNAMTWPREFIGLANKVVTDGHMRSLIVQTPYRFL -IDQDKVTVKTNPLTLLVRHWVFMASSSDKYVFNPFGRLDALSNKVANLSFIINGRLQTKYTAYSTPVTQV -ILVVALNWLPLPEVALYDDDSTLYLSDLILGIKLPDVALVGNRLVELGLGKWSSSIPPNFKELDYLERGL -KPQEIHVIQAGTGTGKSTALISHLASTLGPSFRKIIVVEPRSKVVLGLVKYMQGEGLDCSGATTNLMLDP -RAKVWYVTAQECLLHPTWVSSENLLVLDEAHIEELAYEQLRKYVKSKGAEGPPMIYMTATPNAQWMEGAT -TYTEIPISKVFSTEEVSIPMDKGVIKASIDSKSEDYWLSKYFQVVSGLYASSRSHDRKFLIFVNDKANID -IFLRGLPGKGLALSSDTEGNPDWDVDYIVSTSVADVAITIPGVTHVITPNFLRVVQSDKGYVRRPLFTKL -DPSTKTQRKGRTGRTNNGVFYLVNFEFPQGVLRERKEEGNLSKLIQWTLEGLPLSVLAEADPEILNIGGY -EMSEGASEILSTFEENLKDARSRTGHEIRKDLADASQDYIETEIHMPGMTGMSSGFEFTISSASERVAEI -FFDTLNMLGAGEVVSALMLEEE - ->YP_009272906.1 RNA-dependent RNA polymerase [Fusarium poae fusarivirus 1] -MCFCVLFMGLLALVSSVPCIIFWVVCPFLSPWVALWISGLLPSFISVLMLGGVFTARRFVYREAELIRQF -GPEHALWVDSGSWFSFRRHASIVVAGIDPLIGCPSEDIVLSSLRRINGTHKLSGWYENSSLLWSSCILYA -KTLMNFSPTLWYTFGAFVFSRAFRPVRSVVENFYRVLKWGVYVMLLLATVSTSSLVSFVMISSAILSSLW -HANFGGWYYRMKLELTILVVEAVNVWLEFNFTGVKWFSKDGFTVRKGVKGKAYLADSLSKLGIVIADLGL -PNYILSKQPQSYSADDIKSSLEVMRDLGWPINVELADPSRFSSSSAYADWLVSGSNWQQGLHQRQMYADK -ILDPLRVKAVEFRRTEEYMSLENELESIARYFKSPRYDFPDLPLDDVWFLIGDIFKFSKLAPFNHIIRLW -EKKYALGSFMVDPSNPRKKYSRWKFISTIGYRSFKELWRKTFEVASLITPVAHVSVKGEALPPKKFLLDK -VRTVVGSPLGQYILSTVFNFYPNHNFRFESTPIKVGMPLNGYWFNKVYNRHSRCQVHFAGDFSDFDSTLS -GKVREIIKAVRKRGFSSHKDVDRICKLIDLNYDQVNNQLLNTTSTGDIFGKGTGLTTGHSSTTMDNSIGS -IVLYLMAWRELTGMNAQAFKHYVELDVFGDDHIISWLATSPVAWNFPNIQQVMARWGLELREEASGKLEA -LPFLSKFVRRPNPTDVAEMKAAGVWSHGSWIVYHDKARLLGKLVSKVKTMAPEYRLKRLLSYLSLTAHHK -DIYDQLRNIIARTNSFAGILRSHKFSIPSYEKVLQDWYTSNAIEPHQEMDEIDPSLVDSGLVSYGSVSAF -DSVLGILAQVPDIINPVLFNFGYLRAFQARGAHLFDWPFQLLEHTNNVWGPGELSFLMQRSPYSFLDPTI -RSGSERSNLTSLIVRNFIFLQLKNAFKIPSVLVRLGSAHRKFAQVQFWLNGKVMDDVRSGELPIWQMTLI -AMLAYVSLPDIFPWLAEVSVPNIPLVIEKGFLSLSNFFWSSLPPNYADVITQLRHLAPVSGSKLSVAAPT -GSGKSTALIKAVADHLPLNFSRVVVIEPRSAIVRGLVPYTQRQLALDSSGATSGMTLDTKAKVWYVTAQE -ALMHTKWWSSDTLFVMDECHIDETAYLLLKQLFAKREELVVYLSATPPQDIIDASLHLPLNTARVWNLAV -SHMQRKGLLSYKEAWLDFKHQALLLLSSLPKASRSLIFVNTVKEANELATAIGSRAVVLSSSSDHSLIHD -ASVIVSTSVADVGVTIPDVDTVLTSDIGFTVVEDFDNARGVYYRLSGSQLRQRVGRTGRTNHGQGKVLLY -PDVPTHDVSASLQTPSAVLDLLKTGVPVRVMAEHLSGPLEKLLGVDNAEGPAARDMVDFAFTQLDRYSEN -FSLLLQERAASSELPTATGEAPAHIDNARMGLVRISSMLPSSQIVSSMVDIVSSLAQAAFSTGEVKDYYM -ERAEVATNKIGFGVKGLRPLPDPSLGEMGFD - ->YP_009182158.1 175 kDa protein [Pleospora typhicola fusarivirus 1] -MLLAGFLSFILFPIEILMKSLLVLFCGFSALLLVFTMAVWPLLLLSFLSFSPAPLLVVGVVTNAHFLVAF -LFFVVLASSRAFIRREVSLINKVGAQHALWTDSSTWDHYFGGAPFRFVVASFDVEDPKLMEQFQSKVLSQ -FISKNDYSNYYQLTSIAWSRFIMNVHDNLMPGPKVWLLFLLWSLNVLIAAYVKPLKRIGAVFRYYILLSF -AIFSLSPGTVVFAISVLFRVVNFMVSMISKDFILWVKWTFTAVMVDLANVSIEWNFVSRKWLNRSGFIPS -RGKSNLLSVFTESIAKLAVVVSDLGLPHYIMGGKGSYNAEHVQETLDLLKDAGWPINVNLTQPSRFGSSQ -AYADWLVSGTDWQQGIHNRKVYVDTALDPLRVKAVEWRRTEEYRSDKNELESLARYFKSPRYNYPDLDIN -DVWLVIGDIFRHSRITPMNYIIKMWEKKYSLGSFMVDPSNPRKKYSRWKFIRTLGYANFKKLWRKTFEFA -PMLAPVAHVSVKDESLPPRKYLADKVRTVVGSPIGQYIMSTVWNFAPNHNFRWTTTPIKVGMPLNGYWMD -YVYSNHARCQIHYAGDMSDFDSTLSGSVLSMISSIRKKGFEHHKDRDRIARLIDINYKQVSEQLLNTTSS -GDIYRKGTGLTTGHSSTSMDNSVGLVTLYLLAWKQITGLSAKEFKYYNELSCFGDDHILSMAGNKPAAWN -FKSIQSAMSKWGVTNNLEATGPLESIPFLSKRVRGPTPKDIADFNLAGVPHPRFAVFHDRDKLVGKMVAK -VKTIAPEYRLKRLVSYLSLTAHHPDVYEMVVAIINRTNTFKKYLHSPQNPKGFVVPSYKKVVADWYKPDA -RFPENMIDEVQEQYHVQDSIITYGGLTPLDSILGALALVPDFVNPAIFNMGYMTSLQSKLHKTISWPVQL -LSLSNKAYGSAELSYLLRKTVYEFIDPTIAVHVQHDVNYSSLLIRHWIFLWFKSTPFKMPELFPVASVSR -KVAQLQFILNGKVQLDSRRWSFQLHDLAVISFLSFVCIPDLFPQVKGVSFPDVNLLVEQMLYYGQNIFWK -NLPPNYADTTKHLRELDGDTSLVISAPTGSGKSTALVRHCAIVCGHLYKKIIVVQPRSSIVRTVVPFVKT -TMSMDASGATSGMTLDQASKIWYVTAQELLLHPSWYKGAVSNNLIIIDECHISEPAYDLIKKEVVSAKCH -RVFMSATPNYSEFDPNSIIDLPLISARLYNVHISYVPRDDIATRNDFVRHYTSDVLAALHTRPQNSVILV -FCTTLGMCMQMSEQCPRKSCVLSSGTQTVPNISNGMVIFSTSVADVGITLPNVDLVVTSDIGFTIAHDLD -GSREVYYRLTKSDITQRAGRTGRTNNGACIVMQTPHARFVTDLDSIRSKSSVFDLIVSGIPIETIRHQKL -DDLKSLLGLSDLPEERAAATLDASLLQLQLYRSNLEPLLHERAKLMELETNDGSAPRPIDNARMGILLDT -STISSSDLVRALISVVSALGLRTTANHEEREALEKKIREDSLPLIGNIKSRLPYPDPDLGEWGLAPDTLE -DYYHFHGR - ->YP_009182154.1 174 kDa protein [Penicillium aurantiogriseum fusarivirus 1] -MLRKFLIIVIISLIMMLLMAFLPVLLMYGFVLPLWVVGWLPSQTIFLWLFFGPLMLLLVSLRAKAAIDAE -YDLLQEVGPDRLLWCSVAKPYGNILGVNVRPKVQEVWFEGWHAFAEECFDIPVLGPIMHKFRGAGEESFR -TLGSRAWSGCVSWAHKTSDWRFVCFTWTLTLWHLWKVIAKRGFPIILRLVTVWLWLIVIVCYAPEGVVWH -VSISLAQGLLAFFAVGVSGDWLFLTWLKWRVTDLVVSFVLATDGFSWWVKSSYSQKLAGDTKKAAGVFQE -LVMKGVLFIDELRLPEFIRRRGGFAVDGEVLKNSRDIMAELGWPVNVLPTEPDFDIEPLAEKFKESLLLG -SDFKTGIRTAKMRVDSSLVHLRVQALQYKRTETYQSTTNELEATSRYFPTPVYRYPELELEEVWSVLADI -FAHSKLTPFNRIISLWEKKYALGFWMKDPFRHKKYRRKDFIGKMGYNAFKRLWAETFYWAPLIAPVAHIS -VKGEPLPPKKWAANKVRSIVGSPVTQYILSTIFNFGPNHNFAWETTPIKIGMPLNGFWMSRLWSRHARFD -NHLEGDMSEFDSTVQGKVVELIKAVRKKGYDFHKDRSRICDLIDINYEQVVNQSLGFTSTGNIYAKGTGL -TTGHSSTSMDNSIALTVLYLMAWKELTGLSAREFLAFNELSCFGDDHMLSYSSSRPLSWAPKNIAKVMAK -WGVTNNVEQKSLWKLSFLGKFCRKRTTSDVAHGKLHGVDLPQHIVWHDRQRLLGKLTAPIKNTQPTYRLK -RLLGYLELTAHHQDIYEEITRIIKESKSMQATLRNEKLRVPTYRSILRRWYESSAKPPADTEFQDEEERF -IQDGTLISYGTPTMADELLSTLSLVPDFLNPSVFNMGFVRAAQNRLGSLVSWPFELIGGQNNSLGEGQLK -YLLDRTPYRMLDASIAFATPGSCNKTELLIRHWLFLLYCRWRPTTRAVRLLGFIVSKVANWQFGINGVVQ -FEAAQMDFGLDKLLVVACLSFVVFPEVLGPVTMVRLPDIGVISDLLWNKVLSTIWASVPSNYSEVGRIVS -RQAVGAKPALIVAPTGTGKSTAMIGYFRQQLGGTCPKIVVIVPRTILAVGLTTYMKSIWGDDISGSTTGL -TLDPSAKVWYVTPQSFFGLTGRIPRGSLVVIDEAHLMEKEYQLLRQIAPLLPFKVVMATATPTEDVLKDC -SYQVSVPVASLWSVDVVEKRLPPTPVTRLGAAWTSFIKQHRSSLSRNMKALYVVNTPEQADELAASDSLP -SQALSSMHSSQIDPGACRYYATSIVDVGVTIDGLDIFVTPDWHYAGAGRLYKLTPEERKQRKGRVGRTRN -GTFVIVSHDHTLPEKPTDFALDVGNLHTFLSTGVSPYLLHKLEPTGTLRALGLNPLTVKEEDIGDVLRAS -HIYYANLKPLVLSALGRNVDASGGVVLQHTGTGNISSTFQWDAAELVTAMDTGLGKLLRSFHEGDEESFP -TDDSLWARLTRSAGPYYNPANLLMRLSSDPAEGETDAINQKNPAGSFVENIYEVNKIITLLASVE - ->YP_009143301.1 polyprotein [Sclerotinia sclerotiorum fusarivirus 1] -MDSFMQEVWRIFRSRSDVRLVKKILSLGLIAPPPVGVTYYSNEGMLVGWSPKLEDEVYEKSKWLKRALYT -ISYLFLFGMLLVLVLSSCLFSALFCGMIFGLFWCFLLHPFVCMGTLIALFFLVFVEMVSYSNVMHNIIMT -TINTIRGKNFNVIGGSHNMISSIILNYFVSKRHVEASFEYDEFFGTTNRVELDTNANNYHWFDIPEYQEF -DSRLVKIQMKYLDWYEKGLWQKLTALVIALVSLKFCSFLFKTTTKVAQKVITCLMLLAVVIGTGMLTPFT -IFAMIDWIHYGIQTFFYNCVKLYNYVRSIIKNSLIIFASGLPEINEDGKTIIFKLDGTIKLSSFVHLKFK -LLRLRWAIAVLRFLLRSQFIVDRKTANEKKFKFRSVFTSFSLEVTRKINEFNVPGFVRKFPSHLNRLDVQ -STIDILKDIGYPIGDVKAQRPNETILGGRHNSWLQDGTNFITGLRALKTYDFVEFNAFKLDVQEYRRSDS -YVTIENELESVSRYFNNKSVSIPKEQQVVDAVWEVVKEIFSDSKITPIRSIYKKWKKNYNVGPLAPSSKL -RKDGSMKKMRRTEDISRFPTLTSYLNYWNNLYRHFPAMAMISSAFYKSEALPEKKWRRNKVRTPIGSMLP -QYLWQMVWSYEPNHRFKPNETPIQIGLPLTGFHLSKLFERHSKMKYHYAGDCTEFDSTITKNVQRIIKSV -RKKGFSRHRQFDMISEMIDINYDRLNESLIMTPSTGNVYKKGTGLTTGHASTSSDNSLALVSLYMAAWVN -LTGLSAHEFKNFNELSCYGDDNIFSIAEGAPKVWTFENICNVLATWGVTMNNEVPDVDPTDLTKLPFLSK -FCKRSTVADQAYFREKMGMNAPQFIVYHNPDALLGKMKAPVLNRDPRYKVTRVLSYMDLCAHNEKAYYVG -REVIETLLFKYPDLAFMRARIPSYDQVMEKFYSSNTSVRDPEERDFENFDPDDIVEYGSMTFMDYIFNYM -SVVPDVLNPSIKNVGFGRTAQKALGFLTTWPRQLLSKSNGVFSEGHLSMLLQSSCYDFIEDRSLTIQDET -DLTLLVRHWLFLYFRLDSEKYNPIAWIDWLLMKFANVQFILNGKIQTKYKNYSFPVWNLLLLGILNIVSI -PDIEFIEHGTDLKFSVGESIMNFTLIDPTYWFGKIYNFVLNLIWDQVPPNFKNLQYLENIEHHGKTHLVV -AGTGTGKSTTMILYLQSALGHMFKKIIVIEPRSKVVKGLVSYTKTIGVQSSGLTSGLKLDQREKVWYMTA -QELLLNPQWVSKENLFVLDESHIDELPYQVVKQMIGAGSGLTTIMTTATPAQKEKDMAFTTTEIELPKIY -STKLKSYNKDVEVQVDRNNWCSRYLGIVTEIMSSYRTPEKFLIFINDKADLEVFSKNVKGKGLFLSSETE -EVDLSEDCDFVVTTAVCDVAITIPGVTVVITPNFTRNVQHKPDGTTEPVFVQIDPSTLRQRMGRTGRTNN -GDAYVINFKGSFISQSLTINNEGLLTEWLAGGLDINILGKYMPKLFDCFEKNLTTEQLLTLTKFIETNRV -DKFKTKQRLKNPDEIPPREMNLFGIHMEGISGTSSGFSFIYSDLLNDYVTLLKNAKEFVSKTDWSKENLS -LSKARFARDNFLSSIGSRGAEGSAKPVREEIYGTKRQFHLPETKPTGRPVRKGSF - ->YP_009052456.1 RNA-dependent RNA polymerase [Penicillium roqueforti ssRNA mycovirus 1] -MFYTFALIMWWSTLHALFGFLCCFGPVYLVLALFAVASPVSVLDSLLLCVTFPWVVVFIFRSWRSRLDQE -VILINSVGKDEALWHDLDLFEGKVAFRAVGMQGWDLLSPYIIRVLGDVGLSEVPLEGDLRDGLYKASSRW -WSNCVLSIHAVASHRFTPWFYLSFITFSFIIRIPVFIAKKGLALLRFWALGALFIWHMPDELAAKLYTTL -VEVSSLFYDGKFVEWVGWQLTRLSVRVTVVLLDAQFIGVKWTTRKGFRSDSEARKFSSVLREGLMQFSIF -VTDLGLPHYIRGSREPTRQGVQESYELLKELGWPVNVGLQDPDLSVVPEKWSSWVISGTDWQQGINNMKT -HVDHDLDKLRLHAIEYRRTEEYASVENELEATSRYFRSPRYDYPDLALEDVWFMIKDTFVHSRLTPFNHI -IRMWEKKYGLGAFFRRPGSKAKMRRSDFISSIGGYAPFKRLWRATFEVATSIVPVSAVSVKNEALPPNKW -AENKVRSIIGSPIAHYILSTIWNYEPNHRFAWTTTPTKIGMPLNGYWLADLYHRHSRCQHHVAGDMSAFD -STLSGEVVKMIAAVRKKGFESHKDVDRICDLIDVSYEQLGHQLLNTTSTGNIYGKGTGLTTGHSSTSMDN -SLGLLILYLMAWKQLTGLGAREFKHFNELSDYGDDHILSYLSTKPAAWNFRNIQKVMARWGVTNREEKMG -SLDVIPFLSKTSRKVTNEDRVSFAKYGVKEPKRVVLHDREKLVGKMVAKVKNLDPVYRAKRLISYMGLTA -HHEDLYSGISDVLARSSTLRRAVRKEGLKIPTYKKVLQQWYNPSANISHDVIQEELSDGQKSMVMHYGQV -TIIDSISGALAMLPDILNPAIFNFGYSRLLQLQLRSFLEWPIEFLVMQNGVVSQAELLRIFRSTAYEAVD -PTIHTMSGASYDYGGLLLRHWLFCWYKGRSLAPSVLSWFEKVVGKVNLVQFLLNGRANIQLGRANPYLLD -LFVISILNLVPSVPILSPLKSIRLPRVDIFLDMVWQVLVVFVWSGVPPNYKELTHLARQLQELKGGVLVT -APTGTGKSTTMVKHLELTLGLFYNKIVIIEPRSALVKSLVPYCKNTLNMSCTGRTMGFDFDRREKVWYVT -PQEAALHWEETFEENNLIMIDEAHIEEPFYVFLKEVLKSSGRGHLLVTATPTPSLLDSCVAQVPLLLAQL -WTVLSSKDSCMESDPRTCIKRYEDWVVDQVHNSWTNSKALVFHPSKDGAARLADRISRKCSFYNSDVQDG -SGQVILSTNVTDAGLTLPNVDLVLTSELDNVMDPAAGTVVLGRLSLQTTYQRRGRTGRTSNGQFKLFACP -NANVKQIAEIALNEKSLVSEWLSLGLSPWLIHTIDSKRMFSFLGMDSERVDPDLLRETLNSLQLFSNNLE -FLRASRAAQEAQKAEDPNKFVYDYSASGIIRDSSTIDFSEISRIALRVAALGPSLKRGTDVDAGQLDSDL -FFLDQISGVHTPFKNLMPDLTGLLEKTDYDYKEVQAIKPPKILHKGCREPDEP - ->YP_009047147.1 RNA dependent RNA polymerase [Rosellinia necatrix fusarivirus 1] -MDNGLLIFTLFWFELCARLLLLITAFMWFLVVACLVAGLPLFLIFLVVATPGWVSNPALVFAWPFLAPII -GLYLTRTLRSFVDAEKRIIDSVGAEHALWVQNGGTPFGKVIPIFLVADMGWFKKEFEGDFFQVLFQKWKP -SDRGFPSYYEMSSVLYSHFILSIHGLMVHSPSVDVLVFLWLMAMFWRGVGKYVWWLVRVTFMYFSFVISI -ILSSNVAFWGFVSFLGLLISFVKFIVSPLFTDRIKLELTIFVAEVTNIYVEWKFTGRKWYSTEGFTIRPG -KSVGIMLSNSLTKLAVTISDLALPSFILGARKSGYSIADIEHTIEVMTELGWPVNYGLSADKKPKWFDGL -RPFQEWALTSTEWEQGMRRRQVYLDELLEPLRLKAVEFRRTEEYRTLENEVASLSRYFKSPRYNFPDLPL -EDVWFLLGDIFEGSRLTPFSYIIKKWEKKYALGSFMKDGLHAYKKMSRKKFINSIGYRSFKALWRKTFEV -ASTLAPVAHVSVKDEALPAKKWMEDKLRTVIGSPLGQYIMSTIWNYAPNHNFKFDSTPIKVGMPLNGYWF -DRVYSRHSRCQHHYGLDFKEFDSTLTAEVNKLTKAIRKKGFERHKDYDRICALIDVNYDQVEHQLLHTTS -TGNIYQKGTGLTTGHSSTSMDNSIGTVVLYLMAWKKVTGLGAREFKHYNELSCFGDDHVLSFLSTRPVAW -NISNIRSAMSSFGVSLKLDSEGSLEKIPFLSKWIRKPTAADKADFKAAGLDNWSGYAVFHDRDRLIGKMT -SKVKTMAPGYRLKRLISYLGLTAHHPDIYAQLSEIIKRTNTFKPIMQREGIKVPSYNSIMKAWYNDKFDG -AYEEMEEADPTLMPDNDLVVYGQVTLWDSFVSGLALLPDLINPTVFNFGYVRSFQARFAGLCSWPLAFIR -KQNGLSGVSELTGPVMKSCYSFLDPSIEVPCEDNLSTLMVRHWGFLLWYHLKGGKQRAGFFTSIHLKIAN -ANFMLNGKILSETKRFSLEILDLFVVTLLGFIRVPPLPIEYLEDVEFPDLAFIEENIKHAIYARFWSSLP -PNYADVVSTLKRLPPSLGALRLAVSAPTGSGKSTALVKCLAENVGHLYEKLIVIEPRSLIVTSITPYTQT -KLGLDSSGATTGMTLDKSAKVWYVTAQELLLHPSWVSPKNLIIMDECHVKEPPYMMVRSIIDQGGTNVLF -LSATIPSDVYDTCVTLDLNTAKVWRVNTMHHTFEDLVSARSVLDHYAAEAVSIVMKLPANAKVLIFSQTV -AEATSIAERVGRRAFVLSGSSKTGDMSGYNVIVCTSVADTGITIPDVDTIITSDVGFVVEHGVKESSKLY -YRLSADDLKQRVGRTGRTNHGQAHVLKYPSARLRDTNQKLDSIPGVMSLLGSGVPVRELVQFCPNSLSTM -LGLDNSKEDVELVKSASFEQLHRYSSNIKTLLFERSQALQLMTNDGRPPAAIDNARMGLVLPGTAIDTTG -ILQSIIQICGLLGMREESAGERLEWVENEIRRLSEPLLGRIKCNAPFPDPDLGEWGIANSPAPSSDDEYL -EY - ->YP_223920.2 RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus-1] -MPDDLNVKSPDSIKMQFAHYLLNWPTWLIRIIATLWLLSFILPLFLLLTVLPFGVFAVAIAFFIQGGILT -WAVFLFFVPFFVIICSKFWRRAIAKEKALRDALGPAAFLWYDEEKTAAGVVLRPVVMAGWDLIVPAAGDA -LRSALPAQWQAVIGDFPMSTDELASWSWSYLIDFADWMTCGPAVGVVMFDMAVLARLFALHRLSFRLAKR -VIFLSALAFSLIWAASPEFLLGCAFALDHFIMLVSSGTLGEWLRWRLTHLVVVCAVWALDVSTVSRKYTP -VAFGQLGGRGRHRNFAGLFREKLMQATVFVSDLGLPHYIRGTRPMTRQGLDESLDVLRELGWPVNVRTND -NVAEVTDRLGFREWLLCGTDWEQGIRNLKTYTDELLDDLRLHAVEFRRTEEYASLDNELKSTSRYFRSPR -YDFPDLELDDVWFLVKDTFQHSKLTPFNYIIQMWEKKYGLGAFFRKPGSKAKLSRRDFIKSIGGLKPFKQ -LWRRTFEYATVIVPVSAVSVKGEALPPKKWMEDKVRTIIGTPLVHYIMTTIWNYEPNHRFAWVSTPTKIG -MPLNGYWLSDLYFRHSRCQHHFAGDMSSFDSTLSGKVIELVKAVRKKGYEHHRDHDRICNLIDVAYDQLE -HQLLNTTSTGQVYKKGTGLTTGHSSTSADNSLGIAILYLFAWKELTGLSAREFVHFNELSDYGDDHVLSF -LATKPAAWNFKNIQKVMARWGVENRLEASGPLDSIPFLSKFSRRLTAEDRAVFAKYQVPLPKRVVYHDRD -RLLGKMVTRIKNSDPRYRAKRLLSYLSLTAHHEDIYNGISRVLTRSSTMIRAIKQMGVSIPTYQKVLSDW -YHPTTHSVTDVFDEVAGEANDAGLAFSYGQVTWVDSFLGTLSMVPDFVNPAIFNFGYDRLLQVQARRWLS -WPIEFLSAQNGVSSSSELRSMIGKSCYSSLIVDVFSQAFEPTSASSHLARHWVYLFWFWSSRSAARSSWL -SGITYKLNQVQFTLNGTINAEFAVIGWKLADLCVIAACSLIPPTPFLAPICDIMLPRVDLLINTIVGVFI -GLFWTNVPPNYKEVTHLVRQLPSMRGPLLVQAGTGTGKSTSFIKHLSLVVGARYNKIIVIEPRSALVRSL -VPYVRDTLLVDATGCTAGYDFDPTRKVWYMTPQEAILRHRHTFDRGNLIVVDECHLGEAAYRVIQPFLKS -QSHLDSIYLTATPSAFNFEQCEASVELNIANVWHVAVDHPVSRAAEVGAYLKDYRALVLDCLRGLPRISK -VLVFYPSKEGAISFADSIDRPVSFLNSGSHDTTGSVILSTSVADAGLTIPAVDLVVSPCLDYTTTGFGLE -VTYALLNQMQIKQRQGRTGRTNNGRFVFLQGPNLRLQTMDSFQALPENIVTSLMSNGVTLPQIRGLDPGL -EAQFARSFQHSTGLPGPIVDSALENFDKVWSNFSYWREGRMLQSINAMASEVQEVLFDHLTAGNVSVSSF -LSEGDVLAASRDFAAGLAAIKSGYEWDLTAFDGALTKLQSLTAGKAPIEGALPYEYAAAIDQSLSRQEHE -FNAKSFWQAT - ->ADO60939.1 putative RNA-dependent RNA polymerase, partial [Grapevine associated mycovirus-2] -MENFAQFFTKAEFLPPRKWQKGKVRTPVASMLPQYISQMVWSGVQNHRFRPFDTPVKIGLPINGTNLSKI -YAQHEITGGIDGATHFAGDCSDFDSTVTGPILDVIKHIRKRGFKDHRSIKAISWLVDRNYDSIEKSLMVS -SNTGEVYRKGSGLMTGHASTSADNSLAMVAVYALAWRKLTHMKADDFLKYNTLSVYGDDHILSISKTAPI -SWTFPNIQAYLASCNITLREEVPTGGKGTKLESIPFLSKMARKPNSEEINHFTKLGIEIPRWVISHDSEK -LMGKMTAQLPNQNPLARAKRLQSYMYLCAHNPEAYEALDSALANL ->AQM49936.1 replicase [Agaricus bisporus virus 10] -MTSSSRNFIEVHSMMILSTFILAVQSVTWILGIPSGVLNDVHIYDGTTAVVPGAFDSNSIYEDIRFNDVL -LLFLDVVKKVQDNRKRIKMVLWLMLACCSLLSFSLLFSFFYAYLMPILLFKSMGTIFFLSLGFKIFLLIG -SISNAFIVFVNYYWIYTVYTFVGLIMAQGEGYEKILQFFDPIIKRFSESNSNNHNLEMHLDGDLITARSL -SSMPDRPLAIAVWSYWQFCPNRNKALAGTLVGLFAVFMTIFKRLYLALRLSLRLNFIFIKYTFMLILMCL -LASDQILEFVTGLAITIAVLPFSTVYYLLFGKKSMYWQYVKTLAAYYAVIFMKAWMLAELEIDRREPNVK -GFTPRKTSWRSAWNTTIMSISRTLDDIQIPNFLRTMPDRFDAQAINEANEIMKTLGWPSAPLVVEDPNIT -PANFARFAGFLIGSAKIEQGIRQLNLSIADEMKELHQMAPEYKRSETYANIENELESLSRYFNSKEVTVP -IIPTDEIFLLVGSSFVNSKLTPFRKIISKWEKKYGLGPFWSKPGAKKWRKLSRREFISQIGGYKNFVDLW -AKTFPVAHTIVPVAPISVKGEALPPKKWLNDMVRTVVGSPIAHYISSTIWNYGPNHNMKPWSTPSKVGMP -VNGANISRLFEEHDTYAKSFQGDFKEFDSTVTGPIKEMIKNVRKKGFEKHRDYAKICYLIDANYANLDHM -PLMTTSTGNIFKKGSGLSTGHSSTTPDNSLAVVILYLCAWKVLTGQSAHEFRFHCKLSCYGDNHILSYHS -TAPAVWQPNNIMLAMKRMGVTLVDECPSRKLYDMDFLSKRARPATSYDNAMLKSLGLRPRKTVIYHDPAK -LIGKATAPSLDVQKDRNYRAKRIISYLSLTAHHPEIYNRLTNILDKVLTSNKGVKIKPPAPIPTYKDVLT -TWYNPASVFVEDDYDEDVEVSQDENLQEVLDYTERGLLDTFANIAAFVPDVLNPAIYNVGYTNYLVSLFA -SRMAWQVELIKRQNGILTDAQATMIVRQTPYGFLADSPVAMKFPVDKPTGVLLFKHWMALLVTLAIPKVK -FANKLLSIDAKISSFNFILNGFVTTDVRRLDIPFVKIAILTIVGLLPDWQAPEFLTLVKVPSFSAIVEYF -YGTIINMVWSHIPANFKQLHAALEHLGNDISTVLAEAPTGTGKSTTMVAYIMKSIGHKYDRVVLIEPRAI -LPETLVPYLINAFGLDAAIVNETHPFSPNHKLIVTTAQEVILHPNWVTSSTLFILDECHVEESAYLAVSE -LLRRNGRHLIYSSATPNKLSLETCDVHVKLTIANSWSVKEVKVPPKNMGGNSTFRETSREYMKVAIDLVN -AYPRRKFLVFVNDKSEAYSVANSVKSRTCILSSDSKIIDAQAQIFIATSVADVGLTIPSVDWVITSNIIT -KSKLSNGEIVIVRCVLDNLTLNQRRGRTGRTNNGFFTIVKFTGISNFASEPPGNNILSAGKELLLAGLPP -TSVFSCVPGLYAAIAGTSGNTNEESFREHLVLMEQAMSGMSPSPSSITGLDSDVKGKSVAVVHGNTIQTA -LAPERAPPDREGFPDYVRRSTSVATTEQLGKMMVSFAKWASEQTDQSEFNTDRYNMFFRLRHISYPNFIK -FWNKKEYDNYTLKTKMKRVGDETGRFSRYSEVGMEQAVIAEEELYDLTLNWA ->ALD89094.1 RNA-dependent RNA polymerase [Macrophomina phaseolina single-stranded RNA virus 1] -MFLNIVWIILATVFVASLTVFSLLIIWGGFGVTLGLLASASYFCGAWGILVFLVLGPFAGLWIIWVFKDS -LTKEKILIDQVGADAALWTSFETHAASAHPLKMVFKEAYWRGHDLSGPWLVSWAEALGLPEDMKVNLEDG -VVRLGSRAWSYCVLQLLSLSNSWASPLVGAGVAWLYARFVWRTCGRLSLRVLAIAELTFLVLVCLPGEEI -LPLLIRCTYSVLRAIVRFTKRREEFWEWAHWRYVSLVVKFLAAVESLNEEFQKHHSKAIARGSSRLTQHF -KTFVMRASIVISDIGLPSYVRRRGGVQPSKEALEASMALMRDLGWPVNVKLDEPDLDKAFSWKEWVLCGS -DFKQGIHNLKLQVDEDLEALRIAGLNYRRTEEYASFENELEATSRYFRSPRYDYPDLELDDVWFLLKDIF -ERSRLTPFNHIIRMWEKKYALGAFMRDPFRARSKYKRSRFIHDIGGYGPFKKLWASTFYWASQILPTSAV -SVKNEALPEKKWAANKVRSIIGSPISQYILSTIWNYGPNHHFAWVSTPIKVGMPLNGYWMSTIWQRHARC -QIHVEGDFSQFDSTISGEVVSMIKAIRKKGFEGHKDRERIAELIDINYDQVVHQLLNTTSSGNVYLKGTG -LTTGHSSTSMDNSVGLVVLYLMAWKSLTGLSAREFKYYNELSCFGDDHVLSILATKPAIWTPKNIRGVML -KWGVTNNLEVKQRLDQVTFLSKFGRKANTTELRELGGMGIKAQFIVWHDKAKLVGKLTAPITNKAASYRV -KRLLSYLSITAHHPDVYDGMVKVLVKSKSLMAHMKNNGIKIPSYTKVMRDWYSPSPESKNVTHLDEIAHE -FENTGYIVQYGEVTALDAIVGALSVAPDLLSPILFNYGYMRALQGFLRSRLAWTVDLLCLNNQIMSAGML -ENVASRTPYRWLETALFVPGLSGVNETTLLIRHWLYLVYMRHRPRQKFGATLNFIIQRIANLQFALNGRV -LLENRQHDLQIDCLIVAALLSLIAVPDWIAPAGKIILPDVQLLVDSVVHFIMVMIWQAVPPNFRETTSTL -RTFDRKGGPVGVQAPTGTGKSTAFVQHVAMVAGHRFRKIVVIQPRSLLVHGLTSYMADFYGLEVTGATSG -LKLDPTKRVVYITPQALMGHLDLLNHENLIILDECHLAEPHYGMLREIIRKAKLPSLWVSATLPESLQSI -CQLVIDIPLANLWDVDTQVVNRDGTNMTEVLNDYEAYCKDVGNQIGPSQKALIFVPTIAMAERLVDKCRH -PAIALHSNSKINPDWSARVIYSTPVADVGITIPDVTFVMCANFTTIKGNELVVCDGNTIAQRRGRTGRTN -NGLFRLVRYNAPMRQPDFADVSSPLNIRQLLVDGVPPRFLAALNTEAVLSAFGVPPAEDETALEDQLRAL -EIFMANMRPVLLAATSARATADPNFGPPMVLHHTGIGNISSSFPQPDSGINEEILNLASEMLGVKSGVAQ -TISTDLLDKFDRMSGPIVKVGNLIQGILSGSRTDMLNPRNSEPTGLLDEVYALHDIYTILRDL ->YP_009222009.1 polyprotein [Alternaria brassicicola fusarivirus 1] -MATIASRFRDLVTNQVRLTTSIVCLILFVFVNVTMLTLVSLFLMEIVPSFLVWAMLSVNYRLLYKIPLVV -GSLWYSARPLTQLVKKLGDLGFYTLGDILLGTEGPYGRSAVSDGLVGIVYIIKLISLGLEKAPRNVEGQQ -WLAFQMEGEIQGWIYGAQSWWGHTRDKIEFTWLVRRMMTLGMLWTICWYVPVQLLRAFRIALLIVGTFFL -LNPKWDMLLLYSIRVWARVIYVAYLAVWNTRYLMSLFRSSLKVLRNAIIIDILAFVQEVDFLESKYGGRP -SPPKKFKAIYTEVSLKIISKVNALNLPSFAKSISDTGKIPSIKETIEVLKEVGYPVDETVTVEEPVTAER -KTRERWGDWLHAITSWSFGLHPLRTYHASEFDKFRHLAPVYKRSDEYVTLENELDATARYFRDTHVSISD -FEKGVDETWKMLWPIFKESKVTPLWLIYRNWEKKYNLGVFATSPKSKKGLRKMRRREDLFRFPSTKEYLK -YWEKLVENFPRMAMISNVFYKTEALPEKKWAKGKVRTPVSSMLPQYLSQMIFSFQPNHNFRPFDTPVKVG -LPLNGANLGEIFRRHSRFSRHHMGDMSDFDSSITGEVQKAVKAIRKRGFKDHSDFPRICELIDMHYSRIE -KSKLILSTTGKVFNKGQGLTTGHGSTTSDNSIVTVFLYMMAWSKLTGLSAHDFRRFNELSVYGDDHGLSI -SDMAPAVWTFSNAAKLMKEWGIEMREEKVSDGLKTGVRFNDLTFLSKTGRVATEADKAHWRFTFGTDNCP -AIIVTHDKSSLLGKMMARTTSKDPAFKIKRIISYMSLTAHHPEAYQIAREAIHNIVKRYPDLENRWAQVP -SYKKVLSDWYSNLRDVREPEDESTDLPLVSYGELTTLDYITNTLSVVPDLLNPKVYNAGFALAVQNVAGD -ALQWPVELIQRANKVSGKGHLDRLVDVSPYRFLAKRELVTPTEEMATLQLRNWLFILLRGNSSSFFVKAW -ADWAFLKIASAQFLLNGVVMKELPTFDIPIRKILLATALSWVRVPPSTEATAFGSIFASLSLPDPGLWSR -KLTGIVSNAIWSRQPPNFKNLEYIRNPEMVGKTHLVTAGTGTGKSTTMILYLHQAVGHNFEKIIVIEPRS -LVVKGLVKYTTGALGMDVSGATTGLALDQRAKIWYVTPQELFLHEEWMCDRHLFVLDECHVDEPFYKFAM -AALKLAKATIVLATATPSDKNIQLADFQANLDLPNIWKVAEDRKELGKFEEQTYLSRYFGAVNEYVDLSH -PSTRFLIFVNDLRNIDYFVHRLPGKCVAISSKEEYKEDPSARFFISTAVADVAITIPDIDVVITSNVVRG -VTLLRDGTTKPIFNALSPSRLKQRRGRTGRTNNGCFVTMNFELGRDVNIEAEHSAPELITSWLDMGLDLP -KLYDVYPKPFDELLSEMRMKDKSFTGQVIKVLNDRIKSTDFRNFSERHSFEYSMDDMDKVGVLNQGRTGR -GTFHTLQLERAVPGGIHALLEEVLIDLRLLEDPVEETPKVAPKPKKKGVRFG ->AQM49938.1 replicase [Agaricus bisporus virus 11] -MEYFAKRIAEIRTVAGAALTVVQKPSEKTEMSLPGSWLEAYDEERFDFYEFLNMLMWTIAPPLVSGMRIG -FAIAMIWLFALLLYVIIVTFSLAVPASFVWVLTSLFGMGGAFKLAVLFVLVLLVFVIVVKLIVSYLWVYT -VEFLLMAVESKYPQFLASIRKYNAQLLSDLQHRNLLGLIYPPKMVVGYINGEEILWDELPALVQGLTSTI -EVFSILSFMKYAPKPFSFVRELISVIIILLVWNFHLWVWVIKTFTKRGVFMIKCALILTMITLTTDFAIT -SWLWSVTKFSMYTTWSVLKIFRYNKSWKNFKAFCRLWFLVICLRVYILFCSAKLLVHRHRGNGKSFNKSL -SRFRAVYNQTLMDFGHAIDSMALPDFIRTFRDNIDAESIQESQDLLSTLGWPTSVNVTAPDFSSEKWGEY -RKYALASFDFEAGIPRARFYVEKELQSLRELAPMYMHSFQYATWQNELDTTARYFTEQDYKYPDLGVDEA -WQLLWPIFKDSKLTPFSYIVSRWEKRYGLGPFWRDFDKKRPRKLPRFKAIKMMGGFANFVKTWAKTFYYS -PAIVPTAGVSVKSEALPPKKWMADRLRTIISAPLSHYILSTVFNYGPNHNFKYWYTPIKVGLPLNGINLS -KLFEQHASYSNHFAGDFSQFDSTVQQKVLDLVKAIRKKGFEAHRDYKKICHLIDINYKGLFNNSPLAFTS -TGKIYDKKTGLSTGHSSTSMDNSVAIVVIYLMLWKDITGLSAHQFRHFNKLSCYGDDHILSFLSTAPTAW -TKFNFIKTCAKWGLSLRDEAPKARGLDGIEFLSKFARQPTTTDRQEMEAAGVPVPTLVVAHNPAKLIGKA -TAPIKNAAWEYRLKRVISYLDLCAHHKDIYTELATHARFLNGKVKQKRVVPTYEDILRKWYDPKTVQKID -DESFETPPEHDDNVILSYGNESLIDQMFHALSIIPDLLNPVIYNQGYVDWAISRLGRRLSWPIHLIRVAN -DCTSVAHVQAIGRRTPYEWLVVNQHVYKYGLNISPGGLLLRHWLYVAFKWPDALTPRYVVMLRSLTKKLS -DFAFVLNGKVWVDTYRAGVPMWDIGLVFLLSFLPDFPLLTYLFYIDLPNPLSIMEMVYQSIIAKIWHSVP -PNFKELHTHLVQFMEQPFPMLVEAPTGTGKSTTMIKYFMDHMSGRFEKFIVVSPRAIIAENTAPYMCNSY -GVDACAVTASSPFVVAHKLMYVTPVELLLHHGWATNNSLVIIDECHLNEVLVVSIITWCKRLAIPFIMTT -ATPSKANIDLADRHINLRMANVWTKTEVHSETYVPDQEQRLISQSEWFADYTRFVMNILQVNPPMTRFLI -FVPAVHQCQLLADRIGRRTCILNSSNKLVDEKASVYIATAVADVGLTLPSIDWMISSNVTKTTVPKGESV -KVTSVLADTALLRQRAGRVGRTSNGFYSFFMLDPGKGCPAWIETDPPTTLTRVGEQLIRAGVPITQIAII -VPEFLANVFGKDPKDRTHEPLYDSFCENVKNWQGFNDFHQWKSISSYSKAVVSTTHEDSPDVEGVFVADA -PEVLYGGGPVAGIDTKPLTLDEWTNFIIYASKEGAERDFVMKATDVSRFIKNHPINGEIFLRKLRNLGGM -EAVEPYYWGTTSSGTVDGPYVSALDAEGTKRRWTGDQEDKDYAFFGGIYNLDKKSEPKPKIKKAGPLDGF -FGKKTKPKGG diff --git a/seq/clusters_seq/cluster_295 b/seq/clusters_seq/cluster_295 deleted file mode 100644 index 6d03ff3..0000000 --- a/seq/clusters_seq/cluster_295 +++ /dev/null @@ -1,90 +0,0 @@ ->YP_009552721.1 coat protein [Blackberry virus A] -MNGKAVREAVITLMSVKAPGVLVDPKSDEERELSNNTIANIFGNIAIYGTSSKVSSYPISVRCFDLSVGR -LKEDKLKGADLKIAEIVISMLTISKAASEPPLAGITLRQMCEPFATDAYLFLKVAANSNIYSNLARKMTR -SGNKEPQVLFDFAKGLPINKLTRSEASAIQVMHQRLFRTEGAKGVFDAQSNVGENSVEL - ->YP_009552542.1 coat protein [Grapevine virus G] -MGEESKKMRLAVLTLLSVKHPALKSEPSSDEEKELNHNILSNIFGNIGIIGTSAKISNYPESIRCYDLSA -GRLNPNLLKGEDIKLGEILVTMIVVSKAADGPPMGGATLRQMCEPFANEAYHYLKAAANSGVYTNLAKKM -TRAGNKEPQVMFDFSKGLAISRLTRSEASVMQVMHQRVFRTEGAKGVFEAQSNVAEGPVEV - ->YP_009551970.1 Coat protein [Grapevine virus J] -MEGLSRSQRIREAIKTLVLADAKPVERPEESGYDQGMYLRTLFGYIALVGTSKKAVHEYEVDILGPKSNK -NNLDSRGKINIAEKVLSLMTLSRAVSEGPLVGATLRQMCEPFAQEAYEMLTKLAQMGIYSQLAKKMSRLG -NKEPQVMFDFASGLDLSKLTLQEATVVQAMHSRLFRTEGAKGVFNAQSSVGEQAVEI - ->YP_009551949.1 coat protein [Grapevine virus G] -MGEESKRMRRAVLTLLSVKHPALKSEPSSDEEKELNHNILSNIFGNIGIIGTSAKISNYPESIRCYDLSA -GRLNPALLKGEDIKLGEILVTMIVVSKAADGPPMCGATLRQMCEPFANEAYHYLKAAANSGVYTNLAKKM -TRAGNKEPQVMFDFSKGLAISRLTRSEASVMQVMHQRVFRTEGAKGVFEAQSNVAEGPVEV - ->YP_009551908.1 putative coat protein [Grapevine virus H] -MGSFTRRDQMREVIKTLLLTGATPVENADDQGYDVPMYLETIFGYIAITGTSKKAEHYGEVDILGPKTNR -DNIDSRGKVDIGLCVRKMLSLSATARMPPAAKATLRQMCEPFAHEAYVFLTRGAALGIFTQLAVKIARLG -NKEPQVMFDFNSGLDLTSLTLQEATVIQGLNSRLFRTEGAKNVFNAQASVGEQASEV - ->YP_009664764.1 coat protein [Mint virus 2] -MCIRQLKSYIQNMEGLSRRSRIRSTITTLLSAGVNFADESSDNGFDRGMYLRTLFGYIALVGTSRKAEHY -GEVDIIGNKFSKSSADARGKINIRECVRKMQSFASVVPDGECRGATLRQLCEPFAKEARDCLVILNSWGE -QSQLAKKMTKSGHKEPQVMFDFNAGLSLSDLSDEEAAVIQSLNSRLFRSEGAKKVFTAQSSIGEQAVEI - ->YP_009664759.1 coat protein [Actinidia virus A] -MAKNISRTAQMRVAIEELVTSGVTFATDAKDAGMDRMMYLRTLFGYIALNGTSRKTIHYGEVDVIGNKLG -GEGIDSRGKINVGNLVRAMVSFARITPEGPAKGATLRQMCEPFAEEARECLAILASKGAYSQLASKLTKL -GQKEPQVMFDFNSGLDLAKLSATEASTIQALNSRLFRTEGAKNVFTAQSSVGEQAVEI - ->YP_009508899.1 coat protein [Heracleum latent virus] -MDGISRSARIRNAVKTLVLAGETLVENASEGGVDASMYLRTLFGYIALAGTSAKTEQYDEVDIIGSKYSA -DSLDPRGKIKIAEKVRAMMSFARVVPSGECKKATLRQMCEPFAAEARECLIILSGWGVYSRLACKISKLG -QKEPQVMFDFNSGLDLSALSSTEAATIQALNSRLFRTEGAKSVFTAQSSVGEQAVEI - ->YP_009505636.1 capsid protein [Grapevine virus D] -MYLRTLFGYIALVGTSKKAQHYGEVDIIGPKANRINVDSRGRINISEKVASIMALSRAVSEGPLVGATLR -QVCEPFAQEAYEMLAKMAEMGLYSQLARKMARLGNKEPQVMFDFASGLDISRLTLQEATVIQAMHSRLFR -TEGAKGVFNAQSSVGEQAVEI - ->YP_009465948.1 coat protein [Grapevine virus I] -MESKEIRKAVLQLLSVKVPGLSAEPKTPEELVVSSNILSNIFGQIAIVGTSSAIESFPEELPLYDLATGR -LDDTKTAGANLKLGEIIFALASMVKMATQPPMAGLTLRQVCTPFAAEAYIFLKTAAASKVYTNLARKMTR -IGNKEPQVVFDFAKGLAIGKLTRSEASCIQVMHQRLFRTEGAKSVFDAQSQVGENSVEV - ->YP_009389466.1 coat protein [Grapevine virus K] -MENLSRNQRLRETIKTLVLADAEPVEKPDDAGYDPGMYLRTLFGYIALVGTSKKAQHYGVVDIIGPKSNR -NNVDSRGKINVSEKVASIMALSRAVSEGPLVGATLRQMCEPFAQEAYEMLAKMAEMGLYSQLARKMARLG -NKEPQVMFDFASGLDISRLTLQEATVIQAMHSRLFRTEGAKGVFNAQSSVGEQAVEI - ->YP_009373231.1 coat protein [Agave tequilana leaf virus] -MDGRKIKVAILTLLSAKVPGVKSEPSNDEERDSTNNILANIFGSIAIIGTSNKVSNFPSIIPNFDLSAGR -LDDTKKKGEEIKLGEVIISMLTLSKAATEPPISGLTLRQMCEPFATEAYLFLKAAAVSGVYTNLAKKMTR -VGNKEPQVLFDFAKGLPLAKLTRSEASAIQVMHQRLFRTEGAKSVFEAQSNVGENSVEL - ->YP_009352885.1 coat protein [Arracacha virus V] -MERAARRTAVITLLGAYTEVKDRLDKPDTLSEVLEGVFGHIALIGTSNKAVYPASVVLHNRDCTRADFTK -GIAFPLRSFVLALKGLGKSADNEPIAGSTLRQFCDPFAEEARSYLLRAVTWGSYSQLALKLAKLGQREPQ -VCFDFSKGLDLLNISVSEAQVIQSLHSRLFRTEGAKGVFAAQSSVGEQAVEI - ->YP_006590068.1 coat protein [Grapevine virus F] -MAQISRRMNMREVVETLVLSGANLSENTETAGYDKQMYLRTLFGYIALVGTSKKAVHYGEVQIMGPRTNK -VRGVDARGVINIAEQVNRMRGLSVAVTEGVAKDATVRQMCEPFAKEAYEALIMLAGLGVYSQLAIKMSRL -GNKEPQVMFDFAAGLDVGSLSRQEATVMQAMHARLFRTEHAKEVFDAQASVGEQAAEI - ->YP_004935361.1 ORF4 gene product [Actinidia virus B] -MSGATSRTGNLRKEIEELVLTGVVLAPDAKTAGVDKGMYLRTLFGYIALNGTSKKTTHYDDVDIIGNNIT -ETSIDSRGKINVATAVRQMLSFSRITPTGAAKGATLRQMCEPFAEEARECLAILASKGIYSQLATKLSKL -GQKEPQVMFDFNGGLDLGRMSATEAATTQSLNSRLFRTEGAKAVFAAQSSVGEQAVEI - ->YP_002117778.1 coat protein [Grapevine virus E] -MESKAIRSALMTVFEAKIPGLKAEPTNQEEVARNNSILANLFGNIAIVGTSAAVINYPVSVPCYNLESGR -LNPHELMGEPLKVAQVVMYMIAVRNSTTEPPLANLTLRQMCTPFAPEAYLFLRTAASAKIYTQLARKMTR -IGNKEPQVVFDFAKGLPLSTLTRSEASCIQVMHQRLFRTEGAKGVFDAQSQVGENSVEV - ->NP_619657.1 coat protein [Grapevine virus B] -MENISRMAKIRSNISELLCAGVTFVTDARETGFDRPMYFRTLFGYIALTGTSAKAQHYENVDIIGDKVGA -EGIDSRGTINISEQVKKMMGYSRAVPSGVCKGLTLRQMCEPFAEEARDCLTILATLRVYSRLALKMAKLG -QKEPQVMFDFNSGLNLLTLSATEASAIQSLNSRLFRTEGAKNVFTAQADVGEQSVEI - ->NP_619665.1 coat protein [Grapevine virus A] -MAHYAKRVEIRAIIEELVLAKAQPTDDASESGYDRNMYLNTLFGYIALVGTSKKAIHYGEVDIVGPKASK -KTGIDPRGKMVVSELVGRMRTLSVAVSEGPVKGATLRQMCEPFAQNAYDFLVVMAEMGTYSQLATKMTRS -GFKEPQVMFDFASGLDLKALTLQEATVIQAMHSRLFRTEGAKGVFNAQSSIGEQAVEI - diff --git a/seq/clusters_seq/cluster_296 b/seq/clusters_seq/cluster_296 deleted file mode 100644 index 59af40b..0000000 --- a/seq/clusters_seq/cluster_296 +++ /dev/null @@ -1,72 +0,0 @@ ->YP_009227190.1 nonstructural protein NS2B [Spondweni virus] -SWPPSEVMTAVGLICAIVGGLTKTDIDMAGPMAAIGLLVVSYVVSGKSVDMYIEKVCDISWDKDAEITGT -SPRLDVALDDSGDFSLIQDDGPPTREIVLKVFLMCVCGVSPIAIPFAAAAWFVYIKSGKR - ->YP_009227201.1 nonstructural protein NS2B [Zika virus] -SWPPSEVLTAVGLICALAGGFAKADIEMAGPMAAVGLLIVSYVVSGKSVDMYIERAGDITWEKDAEVTGN -SPRLDVALDESGDFSLVEEDGPPMREIILKVVLMAICGMNPIAIPFAAGAWYVYVKTGKR - ->YP_001527883.1 nonstructural protein 2B [West Nile virus] -GWPATEVMTAVGLMFAIVGGLAELDIDSMAIPMTIAGLMFAAFVISGKSTDMWIERTADISWESDAEITG -SSERVDVRLDDDGNFQLMNDPGAPWKIWMLRMVCLAISAYTPWAILPSVVGFWITLQYTKR - ->NP_776017.1 non-structural protein NS2b [West Nile virus] -GWPATEVMTAVGLMFAIVGGLAELDIDSMAIPMTIAGLMFAAFVISGKSTDMWIERTADITWESDAEITG -SSERVDVRLDDDGNFQLMNDPGAPWKIWMLRMACLAISAYTPWAILPSVIGFWITLQYTKR - ->YP_009553731.1 NS2B [Kampung Karu virus] -MLAVVGILIAALGTVYNTTTVMVPALLGGLLLFAFLLSGKAVDLRLEFAGRVSWSATAPIVGKSPRLEVK -INENGDMDLIAEKRVSMEQHVVMIVLLALTSLHPVVLPFTCGAWFLYKKTGRR - ->YP_009430303.1 nonstructural protein NS2B [Zika virus] -SWPPSEVLTAVGLICALAGGFAKADIEMAGPMAAVGLLIVSYVVSGKSVDMYIERAGDITWEKDAEVTGN -SPRLDVALDESGDFSLVEDDGPPMREIILKVVLMTICGMNPIAIPFAAGAWYVYVKTGKR - ->YP_009333110.1 nonstructural protein NS2B [Cacipacore virus] -SWPASEVFTAIGITFALVGGILECEPHTMAVPMVIAGIMGTAYVISGRHTDMWLEKAADISWELDAEVTG -SSPRLDVTLDDDGNFNLIDDPGTPWKLWMMRMACLTVGAFSPWAILPSLFAYWMTIKFTKRS - ->YP_009333126.1 nonstructural protein NS2B [Kokobera virus] -SWPLHEAMAAVGILCALFGALAETEVDLAGPLAAAGLIVMAYVISGRSNDLSIKKVEDVKWSDEAEVTGE -SVSYHVSLDVRGDPTLTEDSGPGLEKVLLKVGLMAISGIYPVAIPFALGAWFFLEKRCKR - ->YP_009329952.1 nonstructural protein NS2B [Saint Louis encephalitis virus] -SWPASEVLTGVGLMCALAGGLLEFEETSMVVPFAIAGLMYITYTVSGKAAEMWIEKAADITWEQNAEITG -TSPRLDVDLDSHGNFKLLNDPGAPVHLFALRFILLGLSARFHWFIPFGVLGFWLLGKHSKR - ->YP_009259660.1 nonstructural protein NS2B [Chaoyang virus] -SWPAGEIMTAVGLTCAMIGALSGNAMNDIAGPAAAASLIFVAYAISGRSADVFLEKAGEISWIDDAAVSG -SSPRVDVQVTDGGDFRLRHEAEASWLKNGVMAFCLVLAGVHPLAIPVAGLIWFGFVKSGRR - ->YP_009259537.1 NS1-NS2AN-FIFO protein [Donggang virus] -SWPAGEVMAAVGIVCALAGAITENQGEVAGPAAAAALIFTAYAISGKANDIFLEKAGEMTWSHDAQLSGS -SPRVDVKVGESGDFSASPRV - ->YP_009259531.1 nonstructural protein NS2B [Donggang virus] -SWPAGEVMAAVGIVCALAGAITENQGEVAGPAAAAALIFTAYAISGKANDIFLEKAGEMTWSHDAQLSGS -SPRVDVKVGESGDFRLRHESEDSWLKTGVTAGCLIMAGFHPLAIPVAGLIWYGYVKHDRR - ->YP_164813.1 NS2b [Usutu virus] -GWPATEVLTAVGLMFAIVGGLAELDVDSMSIPFVLAGLMAVSYTISGKSTDLWLERAADITWETDAAITG -TSQRLDVKLDDDGDFHLINDPGVPWKIWVIRMTALGFAAWTPWAIIPAGIGYWLTVKYAKR - ->NP_775669.1 non-structural protein NS2b [Japanese encephalitis virus] -GWPATEFLSAVGLMFAIVGGLAELDIESMSIPFMLAGLMAVSYVVSGKATDMWLERAADISWEMDAAITG -SSRRLDVKLDDDGDFHLIDDPGVPWKVWVLRMSCIGLAALTPWAIVPAAFGYWLTLKTTKR - ->NP_776004.1 non-structural protein NS2b [Yellow fever virus] -SIPVNEALAAAGLVGVLAGLAFQEMENFLGPIAVGGLLMMLVSVAGRVDGLELKKLGEVSWEEEAEISGS -SARYDVALSEQGEFKLLSEEKVPWDQVVMTSLALVGAALHPFALLLVLAGWLFHVRGARR - ->NP_722534.1 NS2B [Murray Valley encephalitis virus] -GWPATEVLTAVGLMFAIVGGLAELDIDSMSVPFTIAGLMLVSYVISGKATDMWLERAADVSWEAGAAITG -TSERLDVQLDDDGDFHLLNDPGVPWKIWVLRMTCLSVAAITPRAILPSAFGYWLTLKYTKR - ->YP_009268591.1 nonstructural protein NS2B [Lammi virus] -SWPAGEIMTAVGLTCAMVGALSGNAMNDIAGPAAAASLIFVAYAISGRSSDVFLEKAGEITWCEEAAVSG -SSPRVDVQVTDGGDFRLRHEAETSWLKNGVMAFCLVLAGVHPLAIPVSGLIWFGYVKSGRR - ->YP_009268575.1 nonstructural protein NS2B [Ilomantsi virus] -SWPAGEIMSAVGLTCAMVGAISGASTNELAGPAAAAALIFTAYAISGRANDIYIEKAGEISWNTEAQVSG -SSPRVDVKVTEGGDFRLRHETEDSWLKTGIIGLCLVIAGIHPFAIPVSGLLWYGLVARTAKR - diff --git a/seq/clusters_seq/cluster_297 b/seq/clusters_seq/cluster_297 deleted file mode 100644 index b3f9e51..0000000 --- a/seq/clusters_seq/cluster_297 +++ /dev/null @@ -1,180 +0,0 @@ ->YP_009512938.1 glycoprotein [Parrot bornavirus 5] -MPPLMCFPIVFGTLVLALLDLTSGLQALRCNTDSTPTLIDLEIHRLCHNRTEHVVPCEVSYRNHTVIELS -AQHISCYKYHCKTYWGFFGSYSADRLISRFTGDVQQCINETQEDPFVCNWYYCCSAQIHEICRCSSSNVT -VAVKTIPPFMYCSFADCSTVSLQELSSGRANLSDGSFLLFKQTNITSSTVNQTLNGTILCNQSPIVSFDE -FRRSYGLKNGTYTGDTISIKCNITAANCSKSRRKRDLSQIQYLVHTLRPTLRDAWEDCELVQSILLELFG -SGTTSSSRFLRSWLNSTDIVGYVVNGVGVIWQCEPTNVTFLPWNESTYYPPVQVEGQRFYLNDEGRIQRN -TPEAKPGLKRIFWHERYYLGTVGSNMKPKRVKYNKSSHDYHLGEFNWALNITPGINLGVGHETNPINHAF -GTQSDLLPYTKSSNITSTDTGSGWVHIGLPSFAFINPLGWIRDILSWAAWLGGILYLVTVCISLPALIVR -RRRLGRWSE - ->YP_009512932.1 glycoprotein [Parrot bornavirus 1] -MLHSMYFRIAFEILVLVLWARTYAIPALKCNTESTPSLVDLEIRRLCHNKTDNVVSCSVSYRNHTFTELN -VTHISCYKYHCKTYWGFFGSYSTDKLISRFTGDLSKCLNESMEDPFVCNWYYCCSAIINDICRCDIATAI -VSVKSFPPFMYCSFADCSTVNEKDLSNGNATLSDGSLLIFTPYNLTHDIVNGTFNGTIYCNGSAKVISFD -VFRRSYGLKNCSYTDQSLNVTCENCSSHCPPQRRRRDLSETTYLAHKLRPMLADAWEDCEILQSLILGSF -GNGMSGASQFLREWLNHTDIVGYIVNGIGVIWQCQQVNVSFLPWNESTYYPPVNLSGTRLYLNDESRLQT -GSPEAIPGLKRVMWYGRMYLGTVNSGQRPKRVKYNRSSHDYHLTQFDWNFNFTPSITLATGHETNPINHA -FGTQSNLLPYAKSSNLTSTDTGSGWVHIGLPSFAFLNPMGWLRDIMSWAAWLGGILYLVQLVMSLPVLIA -RKRRLGRWSE - ->YP_009508488.1 G [Southwest carpet python virus] -MISFNLTLLTLVLGPQRGDQSRPRSSHLARQLQSRLLLYLTFILICLTIPGTTSECNITTNGLHCNLTST -PTRISLNWTFPCDDFNQDNHQPARCNITLKTHDPTPLHAKAEKCYIYTCTTSFGFWGVYSESREVTRTLH -RNGCEFLDEIPEDPYTCNYWWCKYNVKTERCLCLTENITVVALPNGHLYCSFEDCSMLSAQLKIQYTPTL -TKVLILDNVTHTNATYANQPATIINGTRVMIHSLHKEYTIVNYTLNDTSIDGQCEAASSTRRRRDASQGY -TIDSIGHLLYPGFEACRLLRTLLPAIALQPLLDHTLLLQAWFKNDSIAGRIVSDTALVWTCERVDCQLKN -LSSTSLYFPVQCNDKNFSLNPWTNVLYSDGPDVGPGRYLALKIANGSHITGVTGSGICPQVIEEPSMTTG -IFSFTIPDLHEDLIQSVHILDPVFYALGMRRDFSATLHSSTNHETNTSETLWHIGLPSFSFLNPLGWIRD -LTSWAAWLGGILYLVTIVIFLIRTLTRRVKYGR - ->YP_009508482.1 G [Jungle carpet python virus] -MISFNLILLILVPGQQKEGRSKLRSSRLVLLQFCQPLLPYILIYLVVPGMTLGCNISTTGLICNLTSTPT -RIALNWTFPCDEANPDDQQPAECNITLMTHDPTPLHAKAEKCYVYVCTTSFGFWGVYSESREITRTLHRD -ECNFVEEIPEDPYQCDYWWCQYNVKTEKCLCITENVTVVGLPNGHLYCSFEDCSTLSSGTKLQHTPTLTK -ILILNNTSHENVTYLNQPATIINSTRVMIHSLHKEYTIYNGTINATDLSGVCSEKTQIKRRKRDAGQGYT -VDSIGHLLYPGFEACRLLRTLLPAIALQPLLDHTPLIQAWFNNDSLAGKVVSDTALIWTCKKVSCDLQNL -STNSLYFPVSCNKHNFSLNPWTSVLYSDGPNVGPGRYLALKLSNGSHVIGVTGSGICPQVIKEPSMTTGI -FSFTIPDLHEELIQSAHVLDPVFYALGMNKDFSTTLHSSSNHETNVSESLWHIGLPSFSFINPLGWIRDL -TSWAAWLGGILYLATLIVFLVRTLTRKIKYGR - ->YP_009505427.1 glycoprotein [Estrildid finch bornavirus 1] -MQHLMSSHIAFGILVWGLLDLTFALTALKCNTDSTPTLIDLDIRRLCHNRTDHVVSCEVSYRNHTVLDIR -ANHVSCFKYHCKTYWGFFGSYSSDRLINRYLGDASLCSNETKEDPFVCNWYYCCTAQVTEICRCTTNNVT -IAVKTFPPFVYCSFADCSTVNERDLAQGRANLSDGSYLLFSNLTISSDWTNGTFNGTILCNSTSSVVSID -AFRRAYPLRNCTYTSETLNITCQNCSEPCRSRRRKRDLPEMQYLVHKLRPTLADAWEDCEILQSLILGIF -GSGMTGSSQFLREWLNHSDIVGYIVRGIGVVWQCHKVNISFLPWNESTYYPPVNASGERLYLNDEGRLQT -NTPEARPGLKRIFWHEKYYLGTVGSDIKPKRVKYNRTSHDYHLSEFEWALNITPSINIMAGHETNPINHA -YGTQSDLLPYTRSSNITSTDTGSGWVHIGLPSFAFINPLGWIRDIMSWAAWIGGILYLITLCISLPALFV -RRRRLGRWRE - ->YP_009269417.1 Glycoprotein [Variegated squirrel bornavirus 1] -MQSTQHSMSFPIVCVILGSVLLVQTFELRGLRCNTDSTPALVDLEIRRLCHTPSENVISCEVSYYNHSQQ -SLEARHTSCYKYHCKTYWGFFGSYSADRLVSRYTGAFNVCNNGSFPDDPYECNWYYCCSALTTEICRCSI -NNVTVAVRTFPPFMYCSFADCSTVSQLELTTGFASLSDGSVLEFEPWNISKELINGTFNGTILCNSSSKI -ISFDEFRRSYSLVNGTYMSSSINITCSSYNGTCRAKPRKKRDLSQIEYLVHKLRPTLRDAWEDCEILQSL -LLGVFGTGMASASQFLREWLNQTNIVGYVVNGVGVVWQCDWVNVSFLPWNESTYYPPVNFSNSRLYLNDE -SRLQTSTPEARPGLKRVLWFGRYFLGTVGSGVKPRRIRYNRTSHDFHLQDFDASLNLTPSINLATGHETD -PINHAFGTQANLLPYTRSNNITSTDTGSGWVHIGLPSFAFLNPLGWLRDIFSWAAWIGGILYLITLCISL -PASFARRRRLGRW - ->YP_009268915.1 glycoprotein [Aquatic bird bornavirus 2] -MYFRIVYGTLVLGLLGPIYAFRALKCNTDSSPTLIDLEIRRLCHNSTENVISCEVSYLNHTVTEVNVTHV -SCFKYHCKTYWGFFGSYSADRLINRYTGKPGLCDNSTMDDPFVCNWYYCCSATITEVCRCTTTNAVVAVR -SFPPFVYCSFADCSTVTEGELATGRANLSDGSYLIFSPYNISSDIVNQTLNGTILCNQSSKVVSFDEFRR -SYSLTNGSYTSSTLNITCNNVTGTCRAPKRRTRRDVSQIEYLAHKLRPTLLDAWEDCEILQSLLLGVFGS -GLSSASRFLREWLNHTNLVGYIVNGIGIIWQCQPVENVTFLPWNESTYYPPVKVKDKRLYLNDEGRLQTS -TPEARPGLKRIFWHERLYLGTVGSGLKPKRVKYNRSSHDYHLEEFNWSLNFTPSINFAAGHETNPINHAY -GTQSSLLPYTRSSNITSTDTGSGWVHIGLPSFAFINPLGWLKDVFSWAAWIGGILYLITLCISLPALFAR -RRRLGRWKE - ->YP_009268909.1 glycoprotein [Canary bornavirus 1] -MRLSMCSLIVCGILALVLSHRTFAIQALKCNTDSTPTLIDLEIRRLCHNRTENVVSCQVSYKNHTTFELS -AQHISCFKYHCKTYWGFFGSYSADRIINRYLGDASLCVNASSEDPFKCDWHYCCSARVTEICRCSNTNVT -VAIRSFPPFMYCSFTDCSTVNEFDLRAGRANLSDGSYLIFAPYNLTSDIVNGTFNGTILCNSTSKVVSFD -EFRRSYPLKNWTYQSETMNITCSNSNGTCKGKSRKRRDLSQLTYLVHQLRPTLRDAWEDCEILQSLLLGV -FGTGMTSSSRFLREWLNHTDIVGYVVNGIGVVWQCSRVNITFLPWNESTYYPPVYAESTRYYLNEEGRLQ -TNTPEARPGLKRIFFHDRFYLGTVGSGLRPKRVKYNRSSHDYHLTEFEWSLNITPSVIVGHETNPINHAY -GTQSDLLPYTRSSNLTSTDTGSGWVHIGLPSFAFVNPLGWIRDILSWAAWLGGILYLITLCISLPALFMR -KRRLGRWRE - ->YP_009268903.1 glycoprotein [Parrot bornavirus 7] -MLHSTYFRIVFGTSVLVLWAQTYAIPALRCNTESTPSLIDLEIRRLCHNQTDNVVPCTVSYRNHTLNELS -AVHISCYKYHCKTYWGFFGSYSTDKLISRFVGDLAQCLNVSAEDPFVCNWYYCCSAVVKDICRCSISNVT -VSVKSFPPFMYCSFADCSTINEKDLLNGNATLSDGSLLTFSPYNLTHDIKNATLNGTIYCNGPAKVVSFD -EFRRSYGLRNCTYTDQSLNITCKNCTDHCRPRRRRRDLSETAYLAHKLRPXLADAWEDCEILQSLILGSF -GNGMSGASQFLREWLNHTDIVGYIVNGIGIIWQCQRANVTFLPWNESTYYPPVCDNGTRLYLNDEGRLQT -GSPEAMPGLKRVMWHGRMYLGTVNSGQRPKRVKYNRSSHDYHLNEFDWNFNFTPSITLATGHETNPINHA -FGTQSNLLPYTRSSNLTSTDTGSGWVHIGLPSFAFLNPMGWLRDIMSWAAWLGGILYLVQLVMSLPVLIA -RRKRLGRWSE - ->YP_009268897.1 glycoprotein [Parrot bornavirus 4] -MLHSTYSRFVFGTLVLGLWVQTYAIPALKCNTESTPSLIDLEIRRMCHNKTENVVSCSVSYRNHTFAELN -VTHVSCYKYHCKTYWGFFGSYSTDKLISRFTGDSSQCINGSVEDPFVCNWYYCCSAIVNDVCRCSISNAQ -VSVKSFPPFMYCSFADCSTVNEKDLSNGNATLSDGSLLLFDPYNLTHDVVNGTFNGTIYCNGSSKVVSFD -AFRRSYGLKNCTYTDQSLNITCENCSSHCPHRRRRRDLSEVTYLAHKLRPMLADAWEDCEILQSLILGSF -GSGMSGASQFLREWLNHTDIVGYIVNGIGVIWQCQRVNVSFLPWNESTYYPPVDSNGTRLYLNDESRLQT -GSPEAIPGLKRVMWYGRMYLGTVNSGQRPKRVKYNRSSHDYHLDEFDWSFNFTPIIALATGHETNPINHA -FGTQSNLLPYAKSSNLTSTDTGSGWVHIGLPSFAFLNPMGWLRDIFSWAAWLGGILYLVQLAMSFPVLIA -RRRRLGRWSE - ->YP_009174180.1 glycoprotein [Parrot bornavirus 2] -MLHSMFSHIVFGTLVLVLWAQTYAIPALKCNTESTPSLVDLEIRRLCHNKTDNVVSCSVSYRNHTFNELN -VTHISCYKYHCKTYWGFFGSYSTDKLISRFTDDLQQCLNVSIEDPFVCNWYYCCSAIINDVCRCSITHAI -VSVKSFPPFMYCSFADCSTVNEKDLANGNATLSDGSLLTFNPYNLTHDIVNNTFNGTIYCNGSSKVISFD -VFRRSYGLKNCSYTDQSLNITCENCTSHCSSRRRRRDLSETTYLAHKLRPMLADAWEDCEILQSLILGSF -GNGMSGASQFLREWLNHTDIVGYIVNGIGVIWQCQPVNVSFLPWNESTYYPPVNLSGTRLYLNDESRLQT -GSPEAIPGLKRVMWHGRMYLGTVNSGQRPKRVEYNRSSHDYHLDEFNWSFNFAPSVTLAAGHETNPINHA -FGTQSNLLPYARSSNLTSTDTGSGWIHIGLPSFAFLNPMGWLRDIMSWAAWLGGTLYLVQLVMSLPALIA -RRRRLGRWSE - ->YP_009055062.1 glycoprotein [Loveridges garter snake virus 1] -MLPSMCILIGSGMLELVHLVQTSELQALNCDTRSSPALIDLEIRRLCHKTTENVVSCEVSYQNHTVEEVK -ATLVSCYSYRCTTYWGFFGSYSADKMTFRYTGNATTCNNQTVEEPYICNWYYCCSKAVNTICRCTNNNVT -VVIKSAPPYMYCSFGDCSTVTKREIERGLAKLSDGSLLYFNSTIIPPDIVNTSINGSVLCNNKSKLVSFD -KFRRSYPLRKWKYNSQSINITCSNDSNICPAKRRKRDLSQVSYALHKIRPILADAWEDCEIMQSLILGMT -GSNLFSSSQFLRKWLNRTDIIGYVVGGLGVIWQCSRVNVSFLSWNESTYFPPVSYMDKIMYLNDEDRLQD -STPEAKPGLKRLLLHGSYFLGTVGSGLKPKLVSYDRSTHDYHLDEFDAIFNLTPEVDLISGHETNPINHA -FGTQSGLLPYTRSTNLTSTDTGSGWVHIGLPSFTFLNPLGWVRDILSWAAWIGGILYLITLCISLPALIN -RKRRLGRWS - ->YP_009041460.1 glycoprotein [Canary bornavirus 3] -MRSRASTQSMLPSTFFLIGCGTLVLVRLHLISAPQALKCNTDSTPALIDLEIRRLCHNKTEDVVPCEVRY -RNHTTTELSAVHISCYKYHCKTYWGFFGSYSADRLINRYLGSASSCINTSSEDPFKCTWHYCCSARVTEI -CRCSISNVTVAVKSFPPFMYCSFSDCSTVSESELRLGRANLSDGSYLLFDQYNISSDIINGTFNGTILCN -STSKVVSFDEFRRSYPLKNWTYTSETLNVTCNGTYFSNCSGKTRKKRDTPQIEYLVHKLRPTLRDAWEDC -EILQSLLLGVFANGMASSSRFLREWLNHSDIVGYVVNGIGVVWQCNRVNITFLPWNESTYYPPVKAESKL -YYLNEEGRLQTSTPEARPGLKRIFFHERFYLGTVGSGLRPKRVKYNRSSHDYHLNEFEWSLNVTPVVNII -VGHETNPINHAYGTQSDLLPYTRSTNLTSTDTGSGWVHIGLPSFAFINPLGWIRDILSWAAWLGGILYLI -TLCISLPALFMRRRRLGRWRE - ->NP_042023.1 glycoprotein [Borna disease virus 1] -MQPSMSFLIGFGTLVLVLSARTFDLQGLSCNTDSTPGLIDLEIRRLCHTPTENVISCEVSYLNHTTISLP -AVHTSCLKYHCKTYWGFFGSYSADRIINRYTGTVKGCLNNSAPEDPFECNWFYCCSAITTEICRCSITNV -TVAVQTFPPFMYCSFADCSTVSQQELESGKAMLSDGSTLTYTPYILQSEVVNKTLNGTILCNSSSKIVSF -DEFRRSYSLTNGSYQSSSINVTCANYTSSCRPRLKRRRRDTQQIEYLVHKLRPTLKDAWEDCEILQSLLL -GVFGTGIASASQFLRSWLNHPDIIGYIVNGVGVVWQCHRVNVTFMAWNESTYYPPVDYNGRKYFLNDEGR -LQTNTPEARPGLKRVMWFGRYFLGTVGSGVKPRRIRYNKTSHDYHLEEFEASLNMTPQTSIASGHETDPI -NHAYGTQADLLPYTRSSNITSTDTGSGWVHIGLPSFAFLNPLGWLRDLLAWAAWLGGVLYLISLCVSLPA -SFARRRRLGRWQE - ->YP_009268921.1 glycoprotein [Borna disease virus 2] -MQPSMSFLTGFGTLVLALSAQTFDLQGLSCNTDSTPGLIDLEIRRLCHTPTENVVSCEVSYLNHTTISLP -AVHTSCLKYHCKTYWGFFGSYSADRLINRYTGTVRGCLNNSAPEDPFECNWFYCCSAITTEICRCSIKNV -TVAVQTFPPFMYCSFADCSTVSQQELESGSAVLSDGSTLTYAPYSPRSETVNTTLNGTILCNSSSKIISF -DEFRRSYSLANGSYQSPSINITCFNYTSSCRPRLVRRRRDTQQIEYLIHKLRPTLKDAWEDCEILQSLLL -GVFGTGIASASQFLRGWLNHPNIIGYIVNGVGVVWQCHRVNITFMVWNESTYYPPVDYNGRKYYLNDEGR -LQTNTPEARPGLKRVMWFGRYFLGTVGSGVKPKRIRYNKTSHDYHLEEFEASLNMTPQLDIASGHETDPI -NHAYGTQADLLPYTRSSNMTSTDTGSGWVHIGLPSFAFLNPLGWLRDLLAWAAWLGGVLYLINLCVSLPA -SFARRRRLGRWQE - ->YP_009237646.1 glycoprotein [Aquatic bird bornavirus 1] -MSSLIVFGTLVLDLSALTSAFQALKCNTDSTPTLIDLEIRHLCHNSTENVISCEVSYCNHTSTEVPATHI -SCFKYHCKTYWGFFGSYSADRLINRYTGQPRLCINTTGEDPFVCNWYYCCSAIVNEICRCSVANVTIVVR -TFPPFAYCSFADCSTVSESELNRGRANLSDGSFLLFTPQNITFDAVNITLNGTVMCNQSSKVVSFDEFRR -SYPLVNGTYCSSTLNITCSNISGTCRQRSKRTRRDLSQMEYLVHKLRPTLRDAWEDCEILQSLLLGVFGS -GLTSASRFLREWLNHTDIVGYVVNGIGIVWQCQRVENVTFMPWNESTYYPPVKVDGRRLYLTEEGRLQTN -TPEARPGLKRIFWHERLYLGTVGSGLRPRRVKYNRSSHDYHLDEFNWRLNLTPSINILTGHETNPINHAY -GTQSNLLPYTRSNNITSTDTGSGWVHIGLPSFAFINPLGWIRDILSWAAWIGGILYLITLCISLPALFTR -RRRLGRWKE - ->YP_009165496.1 glycoprotein [Canary bornavirus 2] -MRNRANTQSTRPSMSSPIGYGILVLALLHLTFALQALKCNTDSTPALVDLEIRRLCHNRTEDVIPCQVSY -RNHTTIELQAVHISCYRYHCKTYWGFFGSYSADRLINRYLGDASLCTNDTVEDPFKCNWHYCCSARITEI -CRCSISNVTVAVRSFPPFMYCSFSDCSTVNELELSIGRANLSDGSYLLFEPYNLTSDDVTGTFNGTILCN -STSKVVSFDEFKRSYPLRNWTYTSDTISISCNGTRFSNCKGKERRRRDTSQIEYLVHKLRPTLRDAWEDC -EILQSLLLGVFANGMASSSRFLREWLNHPDIVGYVVNGIGVVWQCDRVNITFLPWNESTYYPPVKTDSKR -YYLNEEGRLQTSTPEARPGLKRIFFHEKFYLGTVGSGLRPKRVKYNRSSHDYHLTEFEWSLNITPTINIL -VGHETNPINHAYGTQADLLPYTRSTNLTSTDTGSGWIHIGLPSFAFINPLGWIRDILSWAAWLGGILYLI -TLCISLPALFMRRKRLGRWRE - ->sp|Q8BB27.1|VGLG_BDV1 RecName: Full=Envelope glycoprotein p57; AltName: Full=gp84; AltName: Full=gp94; Contains: RecName: Full=Envelope glycoprotein p27; Contains: RecName: Full=Envelope glycoprotein p29; Flags: Precursor -MQLSMSFLIGFGTLVLALSARTFDLQGLSCNTDSTPGLIDLEIRRLCHTPTENVISCEVRYLNHTTINLP -AVHTSCLKYHCKTYWGFFGSYSADRIINRYTGTVKGCLNNSAPEDPFECNWFYCCSAITTEICRCSITNV -TVAVQTFPPFMYCSFADCSTVSQQELESGKAMLSDGSTLTYTPYILQSEVVNKTLNGTILCNSSSKIVSF -DEFRRSYSLANGSYQSSSINVTCVNYTSSCRPRLKRRRRDTQQIEYLVHKLRPTLKDAWEDCEILQSLLL -GVFGTGIASASQFLRGWLNHPDIIGYIVNGVGVVWQCHRVNVTFMAWNESTYYPPVDYNGRKYFLNDEGR -LQTNTPEARPGLKRVMWFGRYFLGTVGSGVKPRRIRYNKTSHDYHLEEFEASLNMTPQTSIASGHETDPI -NHAYGTQADLLPYTRSSNITSTDTGSGWVHIGLPSFAFLNPLGWLRDLLAWAAWLGGVLYLISLCVSLPA -SFARRRRLGRWQE - diff --git a/seq/clusters_seq/cluster_298 b/seq/clusters_seq/cluster_298 deleted file mode 100644 index 965b931..0000000 --- a/seq/clusters_seq/cluster_298 +++ /dev/null @@ -1,370 +0,0 @@ ->YP_009507780.1 inner core shell [Pulau reovirus] -MMRKTARRRHKDAAISDADDKSSTKNKDDVTVPKDSDTAKNKVSVPPAKNPAATGIPSTDGSSQLAVAVN -VEKVDHSTKSTSPPPENVIGNKVDLVAPVSSEASAANDKLRQDGVKHVEFTAAGDGNTNVIGRTKAVDNE -GAIQVVPAKSAAVASAKAMMEQKIPVQGLPKVTRNNGFLCTVCMAQFMNSEALAIHQTTHSIGLDSSLTS -YSIATAVEDFIQSWASSTSTANNKTVLTVSEVDDLMMTEGIRLVTWDSGLCSSFELLPIVSSNVIQDVIS -YSWFTASYNIATPFPQASVVRIVLRTNWAAKLDSQASSRECSVYLAPPTENNIRAFTTVLNSGLTPDGAF -NPNTFRMNVLTMCLKFVLSNLHLNRSTPFTMDLTSAAPNLSASQLRVLPGEDNAKWFPVMYPSRVLVPLY -NKTADFVNQCIRDRIGRYDRAQIFAGAPSEWADMYETCDSLTLAVRELWMNRVMQMNISPSDIADAISRC -SKMLLTVSAPTAPSVARLLPWRVSTQERQLLQCLMYLNVGTNADFIQPILSSFAQVLARVSPLKINPTMI -ATAMSSIVESTTNTQSPAAAILSKLKPVASDFSDFRLSCAAWLFNGCVTTYLSESSFPANGGSITSIDTL -VDMFICLLALPLVTDPNAPCQAFMIVANAMVGYENLPMDDPNFSQQRLAAAFNNPSTWPNCFLNPANIDR -RQCPVLRWWAETIHRAWPNPSQIQYGAPDIIGSANIFTPPDVLLLPIQNRPIRITNPTWSVDNEMTIWRG -AVIDLIVRIISNGRYQPNWNQSIRASMMNAITNFRIIKTCTPAYLAELLPVELAAIAPTLPFQPFQVPFA -RLNRDAVVVHVNVSRQAPDVIAQPALNMPMTQQRTGVPIAVSARPLAVALLSGEYPSDPPLQTNVWYVNT -LTPVYSNDGLFNNIQHAMVASEAFSTLIALLAQCTDMQLPVARPLQWLRQITLAANEATVFGKLINELFL -NCFDLSEKTVLLQPFLESDPRATQLALSYVRYDTTTEVFIPQVRPSALVEATMLVEETLSHEYNLFGICR -GDVIIGQHMTPSGFNPLAPPPTVVFTRGDPGVHEFGERSFAHFGLNGDDVTVLDAHGVRRPLLGDWVMPV -QVMMLNIGIFPRLMLDRILKGKLRVRLEMGAYPYQLHYYKGRDFTDGFTLLEQWMSKLSPLGVPTVPFLM -PESENHSISSGMATHYIWATEFNDGSLFATNTDLPVTVFGPDKAVPIERFRHLVDPAAQSTTNQLPFTID -LYSAMRRYYLETPPITATVTTFGNGLPALN - ->YP_009507750.1 VP3 [Scophthalmus maximus reovirus] -MPRTSRNVRATEVATTAIPPTNAATDSTVDTTTAPTIASADAAQHASHITSAQLGAAGIADKVPSSVVTN -DGDISVTPISENAAQLASSNQPASVISNPAGAASVSIVNPSALRCQQCGAEFSSMTQLAEHVRTEHRTGA -GSLVTSPAINQAIESWLLTWEDLRLLAPTIATDALNKYMGESVAKAPLLIIEDSGLCTSFLASDTVSIAG -LQRELVGFTWFMETLQMIPALPEGAVNHLICHTGWASKDSASRNLNVRLSPPTHGAVSAYTTVLSKGYVK -DMQFNLHTFRANALMLSLKFVLSNLKINKSTPLTQDQTPRSRGRYIRFHDDKELLAVAYPGREVLMEANR -NALFLDEAIPDRVGRIGRAQNVSGDVSAHIDTYELCDDLTLAIREMYHNMLFSMHLDPASVMEIVQDVSQ -QLVAASIPFAQTDTILCPWAASSPTLQLGQVLNLLNVANNTSAALPLIEAAATLIMGITPLRMEPRILSE -AIKRVPETTTIVPSPTGELTRLLKPLGNDYSAFYRCIAGWLYSGIVQTFISADSYPDPTQSITSIPAIWK -SLIVTLAAPMTTDPHAAVKAFMSMANLLAQPEPIIIPAPGMTQSTPAVQFGHPEVWPPGFIDPTTLDRNR -TPLLHALATMIHAHWPQPGVIPYGSARLGSANLFLPANQLAYPWPTQPLPRITVGPTYDSAMSRWIDNVF -GFYINVVNSRYVATIVGDTTRRTLIGLMSALRQVKTMTPFYIERMCPTEIAVVGGVTVVPPFQVPFSRLD -PDQVITNVMVSRVDPQLRADVAVDPIVTMPTLANSLPVDPAAIVVAMLCGQTDATLVPSYHYGSAITPMF -LSEGIFTRNQRAVIASEAFVCARSIIAQCIPDGFQVPRPLQAFNQYNASGSTAADLLKAVDDMFKTAFDL -DGSLIEGIGLYGDPRVADLSVAYIRQNGAVERVHTAPDSSFIHEAMQVTSQVMINEPNLWAIARGDVILA -QNATNNNWDPMNPVGLPLIVRGAPGVRVVGQHGMIIPQPGGFSPMIRDETGNPQPIDGDWIYPISVLQVS -VANFRDHVWPMIQTGRTRVRIEMGHFLYSIHYHEPFGQITEAPALDAWLAGISPTGVPPFPFSAPIPQIN -IPITARRVYFGYCTMNNNGATFSTLGAAIQSAWGTDVTIQRNRWPALIDPAYIPGHSQLPARIQLYNPLR -RYNYRYPVLKGMLYIPGVE - ->YP_009507744.1 VP3 [Green River chinook virus] -MPRTKRSAPSATAATTATPQTNAAISSTVDTTTAPTIASADAAQKDANITTAQLGPSAIGDKVPSAMVTN -DGDIAATSAAQHTIDIATANQPSSTVDVKTASATVPIVNPSILRCNQCGAEFSSMSQLSEHIRTEHKTGA -GSLVSTPAINQAIESWLLIWENLRLLAPDVPTDALNKYMGEASAQAPLLIIEDSGLCTSFIATDTVSIAG -LQRELVGFTWFMENLHMVPSLPEGAINHLQCHSSWAAKDSASRHIDVHLPPPVHGATAAYTTVLAKGYVK -DLQFNPHTFRANALLLMLKFTLANLKINKSTTSSQDYTPRSAGSMIRTFDGDSSLLAAAYPGREVLLESN -RNALFLATTIPGRIGRIGRAQNVSGDVSAHVDTYELCDSLTLSIRETYHTLLRQMHLDPVQVAQIIGDTS -RHLVAASIPVAMNDSILCPWFASTPTLRLSQVLNLLNMANNTSAAVPLLEAATTLLLGITPLHMDPNILS -EAIRRVPETTTITPSPTGELTRLLKPLGNDYSAFYKCISGWMYNGLVQTTIDAQAFPDPTQSITSIPAIW -RALIILLASPMVTDPHAAVKAFMAMANLLAQAEPIVINAEGMTQSTAAVQFSHPEVWPPGFVDPTQLDAQ -RTPLLRALADLIQAHWPQPGVVEFGSSRLGSANLFLPANQLAYPWPVAQLPRITVGPTYDSAMSRWIGEV -FAYFIRVVNSRYVASIVGDTTRRTLIGLMAAMKQVKTMTPFYVERMCPTELAVIGGLTVVPPFQVPFTRL -QPDQVITNVMVSRVDPQLRADAAVDPIVTTPTLANAIPVDPASIVVAMLCGKTDPTLVPSYHYGRAITPL -FLSDGIFTRNQRAVVAREAFVCARSIISQCVDDGFAVPRPLQVLNQYNASGSTAAELLTAVNEAFKTAFD -IDGSLLDGIGTYGDPRVAELSVAYIQHNRHIERVHVNPDAGLIHEAFQTCCETLMNEPNLWAMARGDIVL -AQHATHGTWDPLNPIGIATFDRASPNVHVVGPHGMIIPQAGGLPPMIRDDAGNPQAIDGDWIYPISVLQV -SVAVFINEVWPMIQAGRTRVRIELGHYLYTMHYHEPHGPLSEAPMIEEWLKHVSPTGIPPFPLSAPIPQI -VNPITSRRVYFPFGTQNNNGAMFSTNAAAIQSAWGMDIAIERDRWAALVDPNYIPGTNLLPARIQLYNPL -RRYNYRYPELKGMVYMPGVV - ->YP_009445962.1 core shell protein [Piscine orthoreovirus] -MERLKRKDKYKNTNTKENTQELTVDESAVSSNNPTGKTTDNGGVGKNRGSLPAISASDSDSSEEEAIVEV -QRIKKSKAQKKSTKLATTTQNDSNESNIVTQPGMGMSANVSTINVLPPTVTMPLQTTQSSPGPAVDQSGE -TKLGRSSNVSGKEAAMQAPAVDRSEITDNPRYDPTTSTGTTSCPLCFMTLSSVPDLLLHISMRHAPIDSF -STTAPQIQDAERQFITIWSAHNAAALSSLSTGLTTSSSFLSKVPPRLFVFDDGICSSFRFMTAVEARYLP -EVRGYAWYDEIYDIILPFPASAVVRIVLDTDWAMVSDETLPIKLTTLLPTLSNVGLLRQVLTVLSDNSKY -NPVWARANVIVMGVKFILANLVINRSSSWAQDSTPSVSGRLLRTVPGKPEYWPLMYPRRTLNANVSKISR -FVEQTQAERTGRVDRAMLYQGEKVIYTDVAETCDTLTVRLRDMWTGKILKMHYTHSDIALALSECARVVS -FSAVMALSPRTILPCRATTDERKLAQVLNIARLGDLRLRIEPIIQSAADTLRSVTMLEINPKILTAVLNR -ICENQTQSVTVTGTILRLLSSATTDSSAFWTCIASWLYNGIVTTTLRQQDYPNPTASITDYTALWSALIV -SLVSPLTNDPNAPVKIFMTMANLFNGYERIPMNNASMTQGTPPWAFNNPNKWPACLIQPRNINQNIAPFM -RAWADLIHRYWPQPGVVNYGSPHHLGATELLVEDGQIVTPLPVQPQQFEYAALDRDNEMSTWINQVCNFF -IRCINGTDLRTASNQATQQALISAISQLKTSPSLTYGYMSRYLPYELAMISPTLALPPFQIPFQRLNVND -IVYQIGVRRHVVRDQVEPALDTSSTLETIGQLIEIDAQALLVSLLSGTMNAKVLPSVHYAEKITPLYMDD -DFFAPHQRAVVVSEAYSLVRTIISQISDTRGPQLNPLAWIPAPNASSPVSAEVARLVNDMIKEAFDMPGE -LLEGLIGYGDPRYTQVEIVAQRCRAAPLRFEPLIPPSVLAQELQLVENVITAEPNLFGLATGDLYLERID -TSAGFSGLNVIGWEQWDANTPGVIVAGSSLLICSGFNGVDPMIMDADGVERPITGRWVVTLEAWRSSVVT -VQKLLLPRIRAGKLAVRILVGIFPYTINYYEPAVGIDEWKLLSDWASMCEPTGIPAIPFTAPVPSDVSVV -TAACVRYLRCSTFNEGSLMATNAGSPRTVFGQSVEFDIGRWMQLCDLNTGVDEIQLPNMIEFYQIFRRYN -ITQTELTQVVTLTGTLTHPVLN - ->YP_009351851.1 VP3 [Fall chinook aquareovirus] -MPRNRRATTPVAAAANATPNTNAATSSTVITTTAPTIASADAAQQDAGLTPSQLGPASVGDKVPSAMVSN -DGDIQTTPTATHTRDLANTNQPSSTNTSTNTNATVPIVNPSVMRCNQCGAEFSSMAQLSEHIRTEHRTAA -SALISTPAINQAIESWLLIWENLRLLAPDVPTDALNKYMGEAVAKAPLLIVEDEGLCTSFLATNTISLSG -LQREIIGFTWFMETLHMVPSLPEGAINHLVCTTGWASKGSGSRGMEVRLSPPVLGATSAYTTVLAQGYVK -DMQFNPLTFRANALMLMLKFTLANLKINKSTVLSSDVTPRSAGSMIRTFDGDSQMLAVAYPGREVLQEAS -RNALFLETAVRGRIGRIGRAQNVSGDVSAHVDVYELRDDLTLAIRETYHDLLRQMHLNPAHVAQIIADVS -RHLVAATIPVSMQDTILCPWFATTPTLQLSQVLNLLNMANNTAAAVPLLEAATTLLLAITPLKMNPNILS -NAIKSVPENTNITPSPTTELTRLLRPLGNDYSSFYRCIAGWLYSGIAQTVIDVDAFPDPTQSITHIPSLW -KALIVLMASPMTTDPHAPVKAFMAMANLLAQPEPIAIDVPGMTQSTSAIQFSHPAAWPPGFVDPNRLDAR -RTPLLHALATMIHARWPQPGVIEFGSSQLGSANLFMPANQLAYPWPVAPLPRITIGPTYDSAMSRWISEV -FDFFIRVVNHPYVAATVGDTTRRTVIGLMTAMKQLKTMTPFYIAHMCPTELAVIGGITVVPPFQVPFSRL -QRDQIITNVMVSRVDPDLRADAAVDPIVTMPTLANAIPIDPASIVVAMLCGTTSPTLVPSHHYGRAVTPM -FLSDGLFTRNQRAVIAREAFVCARSIIAQCVPDGFVVPRPLQALNQFNASGSTAAELLTAVNDAFKVAFD -LDGSLIDGIGAYGDPRVADLSVAYIRHNQDVVRVHTPPDAGLIHDAFQVSCQTFMNEPNLWATARGDVML -AQNATHGAWDPLNPVGLPFIVRGGPGVRVVGIHGMIIPQPGGLPPMIRDENGVPQPIDGDWIYPISVLQV -SVSVFINEVWPMIQAGRTRVRIEMGHYLFAVHYHEPYGIHSEAQMVEEWLKHISPTGIPPFPFSAPIPQI -QPPITSRRVYFGYATQNNNGSLFSTNAAAVQSAWGMDVQIDPDRWSALVDPAYIIGTTPLPTRVELYGPL -RRYNYIYPELKGMVYMPGVA - ->YP_009259509.1 putative NTPase/RNA helicase [Etheostoma fonticola aquareovirus] -MPRTSRNVRATEVATTATPPNNAATDTTVDTTVAPTIASADAAQHASNLTSAQLGPAGIADKVPSSVVTN -DGDISVTPISENAAQLASSNQPASTVHNPAGAASVSIVNPSSLRCQQCGAEFSSMTQLAEHVRTEHRTGA -GSLVTSPAINQAIESWLLTWEDLRLLAPTIATDALNKYMGESVAKAPLLIVEDSGLCTSFLASDTISIAG -LQRELVGFTWFMETLQMIPALPEGAVNHLICHTGWAAKDSASRHLDVRLSPPTHGAVSAYTTVLSKGYVK -DMQFNPHTFRANALMLTLKFVLSNLKINKSTPLTQDQTPRSRGRYIRFFDDKELLAVAYPGREVLMEANR -NAIFLDEAIPERVGRIGRAQNVSGDVSAHIDTYELCDDLTLAIREMYHNMLFSMHLDPASVMEIVQDVSQ -QLVAASIPFAQTDTILCPWAASTPTLQLGQVLNLLNVANNTSAALPLIEAAATLIMGITPLRMEPRILSE -AIKRVPETTTIVPSPTGELTRLLKPLGNDYSAFYRCIAGWLYSGLVQTFISADAYPDPTQSITSIPAIWK -SLIVMLAAPMTTDPHAAVKAFMSMANLLAQPEPIIIPAPGMTQSTPAVQFGHPEVWPPGFVDPTTLDRNR -TPLLHALATMIHAHWPQPGVVQFGSGRLGSANLFLPANQLAYPWPTQPLPRITVGPTYDSAMSRWIDNVF -GFYIGVVNSRYVATIVGDTTRRTLIGLMSALRQVKTMTPFYIERMCPTEVAVVGGVTVVPPFQVPFSRLD -PNQVITNVMVSRVDPQLRADVAVDPIVTMPTLANSLPVDPAAIVVAMLCGQTDATLIPSYHYGSAITPMF -LSEGIFTRNQRAVVASEAFVCARSIISQCVPDGFQVPRPLQAFNQYNASGSTAADLLKAVDDMFKTAFDV -DGSLIEGIGLYGDPRVADLSVAYIRQNGVVERVHTAPDSSFIHEAMQVTAQVMVNEPNLWAIARGDVILA -QNATNNNWDPMNPVGLPLIVRGNPGVRVVGQRGMIIPQPGGLSPMIRDDNGNPQPVEGDWIYPISVLQVS -VANFRDHVWPMVQAGRTRVRIEMGHFLYSIHYHEPFGQISEAPAIDAWLSGISPTGIPPFPFSAPIPQIS -VPITARRVYFGYCTMNNNGATFSTLAAAIQSAWGTDVTIQRNRWPALVDPAYVPGRSQLPARVQLYNPLR -RYNYRYPSLKGMLYIPGVE - ->YP_009246471.1 Lambda A [Mahlapitsi orthoreovirus] -MDGYRKRIVGKKRSAIKEIHEIKKLSDKDINAHNVLAGSTSASKHEVANPNTGLTNPPYPNKNTDSADVA -KVINVASDNAAQLKAYVEDKRDRAVADNNPNRDSSDEDAKRADRDSKSVGGGGTATYVPEGNLGLNELKR -SSTVDHDGGVKVESIRKEAKDSVATLLDTRPIVSGLPVVSRPRDYVCLVCMRAFMSAEQLEVHQLTHSIG -PIAGLTSYETDQAVNAFVESWSAATAAANLKSALTLDELDNLMMIEAPQLITWDSGICSSFQLVPIIPAR -TVQDVIKYTWFSSSYNIDTPFPQGNVVRIMVNTNWGAKLDHDRNCHVQVHPPTMTNVSVFREMLNMGLTP -DGSFNPRVLRANVILMCVKFVLDNLHINRNTAYVLDVTAALDINLGAKQLRSISDDDGAKWFPIMYPSRV -KLPLRSKTAEFVNYCVEGRIGRYDRAQTFSGVMAEWADNFETCDSLTLSIRERWLQRLMGMNITPGQVAE -ALSRCSKHFLTISSSQAPSITRLMPIRATTAERQLLQLMQFMNIGTNANYIQPIISNAARVLSKISPLLI -NPRLISDAISSVVEQTNNTVSPAATILMRLRPTLSDFSDFRRACVAMLYNGAVVTYLDEQSYPKHKGNVL -DVETLIDIFVCLNAMPLMTDPNGPCKAFMVVANAMYGFENIAMNDPNWNQQIAAASFNSPHLWPQCFVQR -TIDRQRCPILHKWADTIHNLWPRPSRVTYGAPDILGSANLFTPPDVLLLPFQTLAANTVSPTLNLVNEYC -NWRNAIVDLITGIVNDGRFTVSWNPAMRASMTNAMMKFRIMKSYTPAYIAELLPLELAAIAPTLPLQPLQ -VPYVGLARERIVTQVNVSRQAPNVIHQPALNISVTQQMVGVPLAINARPITVALLSGTYPEEEPLITNVW -YANTLTPLYTHDGLFANQQHAVVVSEAYRTTIACMAQCANMQYPIEHPFEWITQIELGANESANLARRIN -KAFLEAFGMHESTILLQPFLEGDPRATQLTISYQRADGTTEQVTPDVSHSCITDSVIEVGNVLSHEYNLF -GLCRGDIIIGQYLTEAGFNPLSPPAALVFDEGDEDVHVFSNRTIATFGMNGSEMTVEDENGDKIPLRGKW -VMPLSLWQINNAFFTTILPSRIRAGQLFIRVKLGAYPYMLSYFNARDQFDGFDVFQKWMSTLSATGMGPV -PMLMPESQDHNVSSGLIIHYIWATEYNDRSLFCTNSSSPITVFGPDKSVPLERYTVLVDEDAPPRTTQLP -QMVDFYNLIRRYNFETPSITAVVTTYGDGLPANI - ->YP_009110698.1 inner capsid protein [Cangyuan orthoreovirus] -MMRKTARRRHKDAATSDADDKSSTKTKDNVTVPKDNDPTKNKVLVPPAKNPAATGVPSTDGSSQLAVAIN -VEKIDHSTKSTSPPPENVVGNKVDLVAPVSSEASAANDKLRQDGVKHVEFTAASDGNTNVIGRTKAVDNE -GAIQVVPAKSAAVASAKAMMEQKIPVQGLPKVTRNNGFLCTVCMAQFMNSEALAIHQTTHSIGLDSSLTS -YSIATAVEDFIQSWASSTSTANNKTVLTVSEVDDLMMTEGIRLVTWDSGLCSSFELLPIVSSNVIQDVIS -YSWFTASYNIATPFPQASVVRIVLRTNWAAKLDSQASSRECSVYLAPPTENNIRAFTTVLNSGLTPDGAF -NPNTFRMNVLTMCLKFVLSNLHLNRSTPFTMDLTSAAPNLSASQLRVLPGEDNAKWFPVMYPSRVLVPLY -NKTADFVNQCIRDRIGRYDRAQIFAGAPSEWADMYETCDSLTLAVRELWMNRVMQMNISPSDIADAISRC -SKMLLTVSAPTAPSVARLLPWRVSTQERQLLQCLMYLNVGTNADFIQPILSSFAQVLARVSPLKINPTMI -ATAMSSIVESTTNTQSPAAAILSKLKPVASDFSDFRLSCAAWLFNGCVTTYLSESSFPANGGSITSIDTL -VDMFICLLALPLVTDPNAPCQAFMIVANAMVGYENLPMDDPNFSQQRLAAAFNNPSTWPNCFLNPANIDR -RQCPVLRWWAETIHRAWPNPSQIQYGAPDIIGSANIFTPPDVLLLPIQNRPIRITNPTWSVDNEMTVWRG -AVIDLIVRIISNGRYQPNWNQSIRASMMNAMTNFRIIKTCTPAYLAELLPVELAAIAPTLPFQPFQVPFA -RLNRDAVVVHVNVSRQAPDVIAQPALNMPMTQQRTGVPIAVSARPLAVALLSGEYPSDPPLQTNVWYVNT -LTPVYSNDGLFNNIQHAMVASEAFSTLIALLAQCTDMQLPVARPLQWLRQITLAANEATVFGKLINELFL -NCFDLSDKTVLLQPFLESDPRATQLALSYVRYDTTTEVFIPQVRPSALVEATMLVEETLSHEYNLFGICR -GDVIIGQHMTPAGFNPLAPPPTVVFTRGDPGVHEFGERSFAHFGLNGDDVTVLDAHGVRRPLLGDWVMPV -QVMMLNIGIFPRLMLDRILKGKLRVRLEMGAYPYQLHYYKGRDFTDGFTLLEQWMSKLSPLGVPTVPFLM -PESENHSISSGMATHYMWATEFNDGSLFATNTDLPVTVFGPDKAVPIERFRHLVDPAAQPTTNQLPFTID -LYSAMRRYYLETPPITATVTTFGNGLPALN - ->YP_009020572.1 lambda A [Reptilian orthoreovirus] -MSGYGDRIREKKSKAKQKGKLSSDALPARSEGSVSSSTQLYTENPNASNNPHFSKRGTDGVNTEKVAKAS -PAVDKTVKAFQDKDRDAPIAHNNPNATSNAQDERRANDINNKDSAGSTISYIPEGSLGLNELERSFKVDH -SGGVKPVPLRQEAKDAAASTMTPHPVVQGIPVVERPDGHTCMICMRTFSSLSQLNIHQLVHGVGPTAGLT -SYDISKAAIDFVESWSNATATANLKGNLSMDDVDNLMMVVPPRLITWDSGIASSFKLEEIVPAATVQDVI -SYTWFTSSYSITTPFPQSSVVRIILHTNWAAVLDHVRRCEVKLLSPINSNVDAFTNLLNLGLTPKGAYNP -RVLRVNVIMLAVNFVLDNLHLNRNTAYVLDLTAALDADLGSKQLREVAANDADKWYSVMYPSRVKLPNRS -KTADFINNCIQDRIGRVHRAQTFSGAMSEWADTYETCDSLTVAIREEWLQRLNRMNVSPQQVASALTRCA -KHFITASAPQAPSVTRLMPWRVSSDERRLLQCLMFMNISTNADYFAPLLNEAARTLRAISPLHINPRLIA -DAISSVVEQTTNTISPAAAILTRLRPAMSDFSDFSKACIAIMYNGVATTYLDEKSYPRNKGNVLDVETLV -DIFVALMAMPMVTDPNGPCKAFMIVANAMYGFENIAMDDPNWNQQTAAASFNSPSLWPQCFVQQTIDRVR -CPHLARWAATIHRLWPQPSQITYGAADIIGSANIFTEPDVLLLPFQNAAINTTSPTLSLTNEMCNWRNAV -VELIVSIVQDGQFSQSWNPAMRSSMVNAMTKFKVIKSYTPAYLAEMLPSELACIAPLLPFQPLQVPFVAM -NINQIPTKINISRQAPYDISQPALNITMTNQMVGVPIAVNARPITVALLSGTYQEDPPLVTNVWYAQALT -PLYTHDGLFSNLQHAMVANEAYRTAIYAMAQCASLNYPVDHPFDWIPELELPANESAGLAKRVNQAFMTA -FDMSDDTVMLQPFLTGDPRATQLAISYERFDGTTQNEIITPGLSVVSEAVSLVGQTIANEYNLFGLCKGD -IIIGQQMYEAALNPLQPPAALMFDVGDPGVRLFTSTNIATITYGLNGGAMTIEDSTGVNIPLEGRWVMPL -SLWQMNCSFFKTVLPQRIRLGDLHIRIKMDAYPYIIQYYDARVAFDGFGMLERWLDSLSATGAAPVPFLM -PESQDHNVTSGLTTHYILATEFNDGALFCTNSSSPATVFGPSKSVPLERYSVLVDDQVPPRANQLPEKID -FYGLVRRYNLETPKLSGLATTYGQGMPRMI - ->YP_004769549.1 core shell protein [Baboon orthoreovirus] -MPPNRVRNRTIRRKVNEPVSLNDKVKEHDFSRDGGQIEKATAGSTTNEDSNDVQIKKISEPTSQKAHQDI -EDNELVKNQIVPSHKNDEDGGLEDVTQKSQQLSLLNQQKPITGITPKQTEQYVCPICMRAFMSREQLDVH -QLTHSIGVSSGLVNYDTQKLILEFIESWSNATTTANNKSRLSNAEVSALMMTVSPLMITWDSGLATSFKL -VPIVNAPTVQDVISYTWFSSSYNLPTPFPQASVVRIVLHTNWASMIDNSRNLPLIIPPPTTSNVMLFREL -LTTDITPSGCFNPRILRANAIMMSVKFVLDNLHLNANTSYTLDMSAALVPSLGSKYLRVIDSNNSDKWYS -IMYPSRLKLPNLSKTSEFVNYCIDDRVGRYDKAQIFSGAMAEWADTFETCDSLTLYIRDRWLLRLEELNI -SPAELAQGLTRCAKNLVTITAPTAPSSMRMMPFKVTNMERQLHQIMLFMNIGNNADAIEPIISNAAQVLS -RVSPLNINPKLVSDSISAIVESTTNSISPAAALLNRLRPQMSDFSDFRRACISILYNGLCTTYLDEASFP -SQRGNVLDVNTLVDMFTCLNALLLTTDPNAPIKAFMTVANAMYGFENIHMDDPNWNQQMNAAAFNAPSLW -PQCFIHRTIDRRQCPILYNWCNTIHEFWPRPSQTTFGAPDVFGSANLFTDPGVLLLPFQYTPASTVTPTL -NLLNEMCNWRNAVIDLLLAIINDGRLTLSWNPAMRSSMANALVKFKIIKSYTPAYIAELLPLELSAIAPA -LPIQPFQVPFCGLNRDQIPVKMNISRQAPNIMSQPNLHISMTQQFIGVPIRVNARAITVALLSGLYLEGE -PLITNVWYADALTPLYTNDSLFANAQQAVIASEAYQTSMVCIGQCANVNNIMDHPFDWLPEIEFGANEAA -SMAKTINNYFLEAFDLPDDSILIQPFLEGDPRASQITVSYEQYNGLVVKVTPEFKESMIADSTLMVGDVM -RHEYNIFGLCRGDIIIGQYLTESGFNPLAPPAELIFSRDDDDVHIFSDRTVASFGMNGNEMTVEDADGNF -IPLRGKWIMPLRLWQINHAFFSTILPNRIRSGHLFIRIELGAYPYTLQYFNGRDQMDGFSVFTQWMSMMS -SMGMAPVPFLMPESMDHDVSSGLSIHYIWATEFNDGSLFCTNSASPYTIFGPDRSIPLERFSILTNDDIE -IKSNQLPEKIDFYGLVRRHNFETPPLSAMVTTYGTGLPHYI - ->YP_004226521.1 lambda-A protein [Avian orthoreovirus] -MSSRKVARRRHKDATESKDTKNTTKSKPSSTDVKESVENATDKKVTVPTPDNPAASTPSSTDGASQTSVA -KQTNDNDNSVKESAPKPTVSSDGKDGMHGAVKSQDAKATVAVDNNKDRDVVFGGAGSGDKNAITKTGSVD -NDGGVKVVPAKDATISSAKAMMEQKQLVAGLPKQPKSANHLCTVCMAQFASSDALAIHQTTHSIGSNAAL -TSFSISTAVEEFIQSWATATSTANTKTALTVSDVDSLMMTEGIRLITWDSGLCTSFELVPIVHSNTVQDV -ISYSWFTSSYNITTPFPQATVVRIVLRTNWAAKLDSPSSSRECDLRLAPPTESNARSFSMLLNTGATPEG -TFNPNTLRMNVLQMCLQYVLSNLHLNRSTQFTMDLTAAAPNLSASQLRIVPEDKEGKWFPVMYPSRVNIP -LFNKTADFVNQCIRDRIGRYDRAQTFAGAPSEWADMWETADSLTLSVREMWMSRISQMNITPADIADAIS -RCSQSLLTVAAPTAPSVARLLPWRVSSDERQLLQLLMYLNVGTSADYVQPILSAFARTLSRVSPLRINPT -LIANAMSTIVESTTNTQSPAAAILSKLKPVASDFSDFRLACAAWLYNGCVQTYLSEDSYPSSGGSVTSID -TLVDMFVCLLALPLVTDPNAPCQAFMVVANAMVGYENLPMDDPNFTQQRLAAAFNNPTTWPQCFLHPQNI -DRRQCPILSWWAQQIHRNWPTPSQITYGAPDIIGSANLFTPPDVLLLPLQHRPIRITNPTLNFDNELTTW -RNTVVDLVLRIIDSGRYQPNWNQSIRASMRNAMTNFRIIKSYTPAYIAELLPVELAAIAPTLPFQPFQVP -FARLDRDAIVTHVNVSRQAPNNLAQPALNMSMTYQRTGVPISLSARPLAVALLSGQYPTDPPLQTNVWYV -NTLTPLYSNDGLFNNVQHAMVASEAYATLITMLAQCTDMQYPVDRPLNWLRQINLAANEATIFGRSINSL -FQTAFDLSPSTVLLQPFLESDPRATQLAISYVRYNGDSETFVPTVRPSMISEATLLVERTLSHEYNLFGL -CRGDIILGQHMTPTAFNPLAPPPSVIFNRGDVDVHEFGSRSFANFGMNGEEILVMDANGVRRPLLGRWVM -PLQLLMVNIGVFPKLLLDRILKGRLYIRLEVGAYPYTVQYYQGREFTDGFTLLEQWMSKVSPMGIPPVPF -LMPQSEGHNITSGMVTHYIWSTEYNDGSLFATNTDLPVTVFGPDRTIPIERYRALVDPGALPATNQLPHT -IDLYCSLRRYYLETPPITATVTTYGDGLPALNH - ->YP_003717771.1 major core protein [Broome virus] -MDAFRTRVKRRRSRAPEGKNTDSGVNSESLNEVKPIHERTDLNVAHNEGSTTNQKSYISDVGHREQRLVV -DAPGKVDGYDASDMTLLKKEKEEVIHPVHQKLGGDAANDPNARYNQNSDPARKNSSFSYTPEGNVGLNEL -ERSKRIDHDGGVKTQPVREEVQAMAQSVLSTRPLYGGLPSYNHELNSHVCMVCMRAFMSPEQLAVHQTTH -SLGAVSGLMSYEVSQAVIDFVASWSRATATANVKSGISVDEIDDLLLNSAPLLVTWDAGLCTSFTLVPII -PARTVQDVIAYQWFSSSYNIETPFPQGSVVRIVLQTNWAGQLDHDRGRRVKQLPPTSSNVPLFRQAFNHG -LTPSNAFNPRLMRSNVILMCLDVVLDNLHLNRNTAYALDLTAALTANLGAKQLRAIGGDNPGKWYPIMYP -SRVRLPNASKTAEFVNHCLEDRVGRFDRSQTFSGAMSDWADTYETLDSLTHLIRTRWYNRLQRMNMTPNE -IADALSRCAKHLLTISASQAPSMTRLMPFRVTTPERQLLQIMYFLNVGTNAGLVEPIISNAARMLAKMSP -LMINPQLVADAIASVVEQTTNSISPAAALLMRLRPNMADFSDFRRSCICFLYNGMVTTYLDEQSFPVHRG -NVLSVDTLIDMFICLMALPMTTDPNGPVKAFMVLANAMYGFENITMDDPNWNQQRAAASFNNPRLWPRCF -VQRTIDRARCPVLARWADMIYQYWPRPGATTFGAPDILGSANLFTGPDELLLPFQVTAPNTVSPTLSLVN -ELCNWRNAVIDMLVGIVNDGRFMINWNPAMRASMTNALTKFKIIRSYTPGYMCELLPIELAALAPILPLQ -PLQVPYAGLDRNAIPVHMNVSRQAPHEIAQPALHMSMTQQFVGVPIAVNARPITVALLSGTYPENPPLIT -NVWYAAALAPLYSHDGLFSHLQHAVVVSEAYQTVIACLSQCTNMRFPVDRVFEWIPQIELGANESANLAR -HINEAFRMAFGLTDESILLQPFLEGDPRATQLTIAYTNYVGDVRSLTPVIPDSMIAETIVDVGRVLRHEY -NCFGICKGDIIIGQLLTESGFNPLAPPSSVVFDETMDDVHVFNHRTIATFGMNGGEMTVEDAAGNHVPLR -GRWVMPLDLWQINNAFFKTILPTRLRSGQLMIRLRLGAFPYVLQYFNARDPMDGFDMLQQWFDSISPTGI -APVPFLMPESQDHNVSSGLAIHYIWATEYNDGSLFCTNSSSPATVFGPDKSIPLERYSVLTDEDFPPRGS -QLPAVIDFYGLIRRYNLETPPLTAAVTTYGSGLPMSV - ->YP_003199422.1 lambda-1 protein [Mammalian orthoreovirus 3] -MKRIPRKTKGKSSGKGNDSTSRSDDGSSQLRDKQSNKANPATAEPGTSNCEHYKARPGIASVQKATESAE -LPMKNNDEGTPDKRGNTKGALVNEHVEARDEADDATKKQAKDTEKAKAQVTYSDTGINNANELSRSGNVD -NEGGSNQKPMSTRIAEATSAIVSKHPARVGLPPTASSGHGYQCHVCSAVLFSPLDLDAHVASHGLHGNMT -LTSSEIQRHITEFISSWQNHPIVQVSADVENRKTAQLLHADTPRLVTWDAGLCTSFKIVPIVPAQVPQDV -LAYTFFTSSYAIQSPFPEAAVSRIVVHTRWASNVDFDRDSSVIMAPPTENNIHLFKQLLNTETLSVRGAN -PLMFRANVLHMLLEFVLDNLYLNRHTGFSQDHTPFTEGANLRSLPGPDAEKWYSIMYPTRMGTPNVSKIC -NFVASCVRNRVGRFDRAQMMNGAMSEWVDVFETSDALTVSIRGRWMARLARMNINPTEIEWALTECAQGY -VTVTSPYAPSVNRLMPYRISNAERQISQIIRVMNIGNNATVIQPVLQDISVLLQRISPLQIDPTIISNTM -STVSESTTQTLSPASSILGKLRPSNSDFSSFRVALAGWLYNGVVTTVIDDSSYPKDGGSVTSLENLWDFF -ILALALPLTTDPCAPVKAFMTLANMMVGFETIPMDNQIYTQSRRASAFSTPHTWPRCFMNIQLISPIDAP -ILRQWAEIIHRYWPNPSQIRYGTPNVFGSANLFTPPEVLLLPIDHQPANVTTPTLDFTNELTNWRARVCE -LMKNLVDNQRYQPGWTQSLVSSMRGTLGKLKLIKSMTPMYLQQLAPVELAVIAPMLPFPPFQVPYVRLDR -DRVPTMVGVTRQSRDTITQPALSLSTTNTTVGVPLALDARAITVALLSGKYPPDLVTNVWYADAIYPMYA -DTEVFSNLQRDVITCEAVQTLVTLVAQISETQYPVDRYLDWIPSLRASAATAATFAEWVNTSMKTAFDLS -DMLLEPLLSGDPRMTQLAIQYQQYNGRTFNVIPEMPGSVIADCVQLTAEVFNHEYNLFGIARGDIIIGRV -QSTHLWSPLAPPPDLVFDRDTPGVHIFGRDCRISFGMNGAAPMIRDETGMMVPFEGNWIFPLALWQMNTR -YFNQQFDAWIKTGELRIRIEMGAYPYMLHYYDPRQYANAWNLTSAWLEEITPTSIPSVPFMVPISSDHDI -SSAPAVQYIISTEYNDRSLFCTNSSSPQTIAGPDKHIPVERYNILTNPDAPPTQIQLPEVVDLYNVVTRY -AYETPPITAVVMGVP - ->YP_001837096.1 VP3 [American grass carp reovirus] -MPRRPRRNAKTSDKAEDAQTLVAPAANASVSSTVNTTTSPTLAAGNESQQRAGIDPNQAGSAGVGDAAPS -SRVDNDGDVITRPTSDSIAAIANATKPAAVINNAQATALVPTSNPHAYRCNVCNAEFPSMSAMTEHLRTS -HRDEPSTLLATPVINAAIQAFLQAWDGLRLLAPDVSSEALSKYLDSTVDSSPDLIVEDQGLCTSFMLIDN -VPASHLSPELIGFTWFMQMYQMTPPLPEGAVNRIVCMTNWASLGDPSRGIEVRLPPPTDNTVHAYKTVLS -QGYVASSQFSPLTFRANTLLMLTQFVLSNLKINKSSTFTSDVTTLTVGRMICSFEARPELLALAYPGRAV -LPVNTKNAQFLATAIPDRIGRIDRANLIGGEVSASVECMELCDSLTLYIRENYLMLLRSMHQDPTRIVQI -VNECARNLLNSSIPVNLRPSILCPWFASTADLRLQQAIHLVNISSNTAAALPQVEALSSLLRSVTPLVLN -PTILTNAITTISESTTQTISPISEILRLLSPTGNDYAAFWKCIASWAYNGLVQTVLSEDAFPDSSQSITH -LPSMWKCMLLTLAAPMTSDPHSPVKVFMSLANLLAQPEPIVINVDGMHQTTPASQFSHPGVWPPGFINPA -QIPVAQAPLLRAFADHIHANWPQPSDFEYGSAAQGSGNLFIPPNRMVYPWPNAPLPRMTVAATFDSAMSQ -WISTTIAFFIRVVNAPIMAPTVNDLTRRTITGVLTAMRQVKTMTPFYIQHMCPTELAVLGSITLVPPFQV -PFTRLVQNDAITNVLVARVDPTQRGDAAVDIRATHATFSAALPVDPASIVVAMLCGQTPTNLIPSHHYGK -AFAPLFTSNAMFTRNQRAVITREALVCARSIVAQCQDDGFNVPRPLAGLRQFDITSAAAAEIWHAVNDAF -KTAFDIDGALLDGMGLYGDPRIADISVAYLQYDGRVTREHVPPDQSFIHRALLTTENTFLAEMNLFNVGA -GDIFLIQTPTNGNWAPMVPVAHPPFARGGPNVNVVGNHGTLAMRPNGLEPQLIDNAGVPRDIAGDWIYPI -DVLQVSVSTFRDYVWPLVVAGRVRVRIEIPHYVYTTHYHQPQTTFTDAQLVETWLAGIDPTGIPPIPFSI -PIPQVGACITSRRVYHVFAAQNNNNSLFSTNSSSIATVFGEDAGVSPARWPALVDPNYQFGTNELPNRIT -LYGSLFRYNFTYPSLSGVMFMRSAE - ->YP_398631.1 putative NTPase/RNA helicase [Chum salmon reovirus CS] -MPRTSRNVRATEVATTAIPPSNAATDTTVDTTVAPTIASADAAQHASNISSSQLGPAGVSDKVPSSVVTN -DGDISVTPISENAAQLASSNQPASVIRNPVAAATISIVNPSSLRCQQCGAKFSSMTQLAEHVRTEHRTGA -GSLVTSPAINQAIESWLLTWEDLRLLAPTIATDALNKYMGEAVAKAPLLIVEDEGLCTSFLASDTISIAG -LQREIVGFTWFMETLQMIPALPEGAVNHPICHTGWASKDSVSRHLDVRLSPPTHGGCFSIHYRTVEGIRQ -GHAIQPSYFPCQRPHAGPQVRSVQSQDQQVYSVDSGPDPRSRGRYVRFFDNKELFAVAYPGREVLMEANR -NALFLDESLPDRVGCIGRAQNVSGDVSAHIDTYELCDDLTLAIREMYHNMLFSMHLDPASVMEIVQDVSQ -QLVAASIPFAQTDTILCPWAASTPTLQLSQVLNLLNVANNTSAALPLIEAAATLIMGITPLRMEPRILSE -AIKRVPETTTIVPSPTGELTRLLKPLGNDYSAIYRCIAGCLYSGLVQMFISADAYPDPTQSITSIPAIWK -SLIVMLAAPMTTDPHAAVKAFMSMANLLAQPEPIIIPAPGMTQSTPAVQFSHPEVWPPGFVDPTTLDRNR -TPLLHALATMIHAHWPQPGVIQYGRSRLGSANLFLPANQLTYPWPTQPLPRITVGPTYDSAMFRWIDSVF -GFYINVVNSRYVATIVGDTTRRTLTGLMAALMQVKTMTPFYIERMCPTEVAVVGGVTVFPPFQVPITRLD -PTQVITNVMVSPRGPPAPCRRSRRSHRYYAYAAPIPCQSIPLRLSLLCCVRQTEATLGPSYHYGSAITPM -FLSEELFTRNQRAVIASEAFVCARSIIAQCVPDGFQVPRPLQDFNQYNASGSTAADLLKAVDDMFKTAFD -IDGSLIEGIGLYGDPRVADLSVAYLRQNGAVERVHTAPDSSFLHEAMQVTSQVMVNEPNLWAIARGDIIL -AQNATHNNWDPLNPVGLPIFARGGPNVHVVGSRGMIIPQPGGLAPMIRDDAGNPQQIEGDWIYPISVLQV -SVANFRDHVWPMIQAGRTRVRIEMGHFLYSIHYHEPFGQITEAPAIDTWLAGISPTGIPPFPLSAPIPQI -TIPITARRVYFGYCTMNNTGATFSTLGAAIQSAWGTDVTIQRNRWPALIDPNYIPGQSQLPARVQLYNPL -RRYNYRYPSLKGMLYIPGVE - ->NP_938062.1 NTPase/helicase VP3 [Aquareovirus C] -MPRRSARKAQSATASPADTNVVPAKDAPTTNSPPSTTSPNQAAADANQQQAGIVSSQSGPNAVGDSAPST -SVNNDGDIITRPTSDSIAAVANATKPAAVVSDPQSMKVTPIVNPSSYVCNVCNARFSTMSALSEHLRSDH -RDDASTLLATPMINNAIRSFLTAWDGIRILSPDVSSKHLSAYLDSAVANGPELIVEDTGLCTSFMLLDNI -PSAHLTKELIGFTWFMQMYQMTPPLPEGAVNRIVCMTNWASLGDEGRGLEVRLPPPTDSSVHAYKTVLSR -GYIDNAQFNPLALRSNVLLMLLQFTLSNLKINKSSTFTSDVTTITSGRMIRAFEGRPELLALAYPGRAVL -PTQTKNAQFLSTAIADRIGRLDRANLIGGEVSAMVECMELCDALTLHIRETYVMLLRSMHQDPTQIVQIV -NECANNLLNSTIPISLRPTILCPWFASSEDLRLQQVMHLVNISSNTAAALPLVEALSTLLRSVTPLVLDP -TVLTNAITTISESTTQTISPISEILRLLQPMGNDYAAFWKCIASWAYNGLVTTVLSEDAFPDSSQSITHL -PSMWKCLFLTLAGPMTSDPHSPVKVFMALANLLAQPEPIAIGVPGMHQTTPASQFSHPGVWPPGFLNPQL -INPQQAPLLRAFAEHIRANWPQPSEFGYGSTLQGSANLFIPPNRMVYPWPNQPLPRLTVAPTYDSAMSNW -ISTTIAFFIRVVNSVNMTATVNDLTRRTMTGVMTAMRQVKTMTPFYIQHMCPTELSVLASVTVTPPFQVP -FTRLVQNDVITNVLVARVDPAQRGDAAVDIRATHATFAAALPVDPAAIVVAMLCGQTETNLIPSHHYGKA -FAPLFASNAMFTRNQRAVITREAFVCARSAVAQCQDAGFLVPRPLDALRQFDVTSAAAAEIMHAVNDAFK -TAFDLDGALLDGLALYGDPRIADLSAAYLQYGGNVVREHVPPGPSHIHRTLQQVESTFMAEMNLFNVARG -NLYLVQTATNGNWSPMAPVAAPPFVRGGPNVRVVGRFGTIVPRPDGLEPQLIDDGNVPRDIAGDWVYPSD -VLQVSVAVFCDYVWPMVKAGRTRVLVELGHYVYTLHYYDPQISLDEAPILEEWLSKINPAGIPPVPFCIP -IPQVYPCITARRVHYAFTSENNNDSLFSTNAASIDTAFGENAAVSPLRWPGLVDPNYRVGTNDLPNRITL -YNSLYRYNFTYPTLDGIMYVRSAT - ->sp|P15024.2|LMBD1_REOVD RecName: Full=Inner capsid protein lambda-1; Short=Lambda1; AltName: Full=ATP-dependent DNA helicase lambda-1; AltName: Full=Lambda1(Hel) -MKRIPRKTKGKSSGKGNDSTERADDGSSQLRDKQNNKAGPATTEPGTSNREQYKARPGIASVQRATESAE -MPMKNNDEGTPDKKGNTKGDLVNEHSEAKDEADEATKKQAKDTDKSKAQVTYSDTGINNANELSRSGNVD -NEGGSNQKPMSTRIAEATSAIVSKHPARVGLPPTASSGHGYQCHVCSAVLFSPLDLDAHVASHGLHGNMT -LTSSDIQRHITEFISSWQNHPIVQVSADVENKKTAQLLHADTPRLVTWDAGLCTSFKIVPIVPAQVPQDV -LAYTFFTSSYAIQSPFPEAAVSRIVVHTRWASNVDFDRDSSVIMAPPTENNIHLFKQLLNTETLSVRGAN -PLMFRANVLHMLLEFVLDNLYLNRHTGFSQDHTPFTEGANLRSLPGPDAEKWYSIMYPTRMGTPNVSKIC -NFVASCVRNRVGRFDRAQMMNGAMSEWVDVFETSDALTVSIRGRWMARLARMNINPTEIEWALTECAQGY -VTVTSPYAPIVNRLMPYRISNAERQISQIIRIMNIGNNATVIQPVLQDISVLLQRISPLQIDPTIISNTM -STVSESTTQTLSPASSILGKLRPSNSDFSSFRVALAGWLYNGVVTTVIDDSSYPKDGGSVTSLENLWDFF -ILALALPLTTDPCAPVKAFMTLANMMVGFETIPMDNQIYTQSRRASAFSTPHTWPRCFMNIQLISPIDAP -ILRQWAEIIHRYWPNPSQIRYGAPNVFGSANLFTPPEVLLLPIDHQPANVTTPTLDFTNELTNWRARVCE -LMKNLVDNQRYQPGWTQSLVSSMRGTLDKLKLIKSMTPMYLQQLAPVELAVIAPMLPFPPFQVPYVRLDR -DRVPTMVGVTRQSRDTITQPALSLSTTNTTVGVPLALDARAITVALLSGKYPPDLVTNVWYADAIYPMYA -DTEVFSNLQRDMITCEAVQTLVTLVAQISETQYPVDRYLDWIPSLRASAATAATFAEWVNTSMKTAFDLS -DMLLEPLLSGDPRMTQLAIQYQQYNGRTFNIIPEMPGSVIADCVQLTAEVFNHEYNLFGIARGDIIIGRV -QSTHLWSPLAPPPDLVFDRDTPGVHIFGRDCRISFGMNGAAPMIRDETGLMVPFEGNWIFPLALWQMNTR -YFNQQFDAWIKTGELRIRIEMGAYPYMLHYYDPRQYANAWNLTSAWLEEITPTSIPSVPFMVPISSDHDI -SSAPAVQYIISTEYNDRSLFCTNSSSPQTIAGPDKHIPVERYNILTNPDAPPTQIQLPEVVDLYNVVTRY -AYETPPITAVVMGVP - ->sp|Q9WAB1.1|LMBD1_REOVJ RecName: Full=Inner capsid protein lambda-1; Short=Lambda1; AltName: Full=ATP-dependent DNA helicase lambda-1; AltName: Full=Lambda1(Hel) -MKRIPRKTRGKSSGKGNDSTERADDGSAQLRDKQSSKVTQNVKEPGTTLKEQYKTRPSLQTVQKATENAE -LPMQTNDEGAVDKKGNTKGDKTNEHVEAEVNAADATKRQAKDTDKQKAQVTYNDTGINNANELSRSGNVD -NEGGDNQKPMTTRIAEATSAIISKHPARVGLPPTASSGHGYQCHVCSAVLFSPLDLDAHVASHGLHGNMT -LTSSEIQRHITEFISSWQNHPIVQVSADVENKKTAQLLHADTPRLVTWDAGLCTSFKIVPIVPAQVPQDV -LAYTFFTSSYAIQSPFPEAAVSRIVVHTRWASNVDFDRDSSVIMAPPTENNIHLFKQLLNNETLSVRGAN -PLMFRANVLHMLLEFVLDNLYINKHTGFSQDHTPFTEGANLRSLPGPDAEKWYAIMYPTRMGTPNVSKIC -NFVASCVRNRVGRFDRAQMMNGAMSEWVDVFETSDALTVSIRGRWMARLARMNINPTEIEWALTECAHGY -VTVTSPYAPSVNRLMPYRVSNAERQISQIIRIMNIGNNATVIQPVLQDISVLLQRISPLQIDPTIISNTM -STVSESTTQTLSPASSILGKLRPSNSDFSSFRVALAGWLYNGVVTTVIDDSSYPKDGGSVTSLENLWDFF -ILALALPLTTDPCAPVKAFMTLANMMVGFETIPMDNQIYTQSRRASAFSTPHTWPRCFMNIQLISPIDAP -ILRQWAEIIHRYWPNPSQIRFGAPNVFGSANLFTPPEVLLLPIDHQPANVTTPTLDFTNELTNWRARVCE -LMKNLVDNQRYQPGWTQSLVSSMRGTLDKLKLIKSMTPMYLQQLAPVELAVIAPMLPFPPFQVPYVRLDR -DRVPTMVGVTRQSRDTITQPALSLSTTNTTVGVPLALDARAITVALLSGKYPSDLVTNVWYADAIYPMYA -DTEVFSNLQRDMITCEAVQTLITLVAQISETQYPVDRYLDWIPSLRASAATAATFAEWVNTSMKTAFDLS -DMLLEPLLSGDPRMSQLAIQYQQYNGRTFNVIPEMPGSVVTDCVQLTAEVFNHEYNLFGIARGDIIIGRV -QSTHLWSPLAPPPDLVFDRDTPGVHVFGRDCRISFGMNGAAPMIRDETGMMVPFEGNWIFPLALWQMNTR -YFNQQFDAWIKTGELRIRIEMGAYPYMLHYYDPRQYANAWNLTSAWLEEISPTSIPSVPFMVPISSDHDI -SSAPAVQYIISTEYNDRSLFCTNSSSPQTIAGPDKHIPVERYNILTNPDAPPTQIQLPEVVDLYNVVTRY -AYETPPITAVVMGVP - diff --git a/seq/clusters_seq/cluster_299 b/seq/clusters_seq/cluster_299 deleted file mode 100644 index b3c5540..0000000 --- a/seq/clusters_seq/cluster_299 +++ /dev/null @@ -1,72 +0,0 @@ ->NP_758890.1 vpr protein [Simian immunodeficiency virus SIV-mnd 2] -MEQPPEDEAPQREPYNEWLIDTLAEVQEEALKHFDRRLLHAVGSWIYKTYGDTLEGVRQLISILQKALSM -HYRYGCRESRIGQEGGGCYPLRSFPRSDNPL - ->NP_056841.1 vpr protein [Human immunodeficiency virus 2] -MTEAPTEFPPEDGTPRRDLGSDWVIETLREIKEEALRHFDPRLLIALGYYIHNRHGDTLEGARELIKTLQ -RALFVHFRAGCNRSRIG - ->sp|P0C1P6.1|VPR_HV2EH RecName: Full=Protein Vpr; AltName: Full=Viral protein R -MAEAVPEIPPEDKNPQREPWEQWVVDVLEEIKQEALKHFDPRLLTALGNFIYNRHGNTLEGAGELIKLLQ -RALFLHFRGGCQHSRIGQPGGGNPLSAIPPS - ->sp|Q76637.1|VPR_HV2UC RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MAEAAPETPPENESPQREPWEEWVEDVMEEIKQEALRHFDPRLLTALGNFIYSRHGDTLAGAGELIKILQ -RALFLHFRAGCQHSRIGQPGGGNPLSAIPPS - ->sp|Q74123.1|VPR_HV2KR RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTEAPAEFPPEDETPPRGPGDEWVIGILRELREEALKHFDPRLLTTLGNYICARHGDTLESARELINVLQ -RALFVHFRAGCKISRIGQTRGETPFSAIPTPRGMQ - ->sp|P19509.1|VPR_SIVSP RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTERPPEDEAPQREPWDEWVVEVLEEIKEEALNHFDPRLLTALGNYIYDRHGDTLEGAGELIRILQRALF -IHFRGGCRHSRIGQSGGGNPLSTIPPSRGVL - ->sp|P18046.2|VPR_HV2G1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTEAPTEFPPEDGTPRRELGGDWVIRILGEIKEEALKHFDPRLLIALGNYIHSRHGDTPEGARELIRILQ -RALFVHLRAGCNRSRISQTRRRTPFPAAPTPRGMY - ->sp|P24111.1|VPR_HV2CA RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTEAPTELPPEDGTPPREPGDEWVIEILRDIKEEALKHFDPRLLTALGGHIYARHGDTLERARELIRVLQ -RALFTHFRAGCNHSRIGQTRGGNPLSAIPTPRRM - ->sp|P12521.1|VPR_SIVS4 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MAERPPEDEAPQREPWDEWVVEVLEELKEEALKHFDPRLLTALGNYIYDRHGDTLEGAGELIRILQRALF -IHFRSGCAHSRIGQSRGVL - ->sp|P11265.1|VPR_SIVML RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEERPLENEGPQREPWDEWVVEVLEELKEEALKHFDPRLLTALGNHIYNRHGDTLEGAGELIRILQRALF -MHFRGGCNHSRIGQTWGRKSSLNYTALLRRAITHAIVKSVATIASFVFLKRD - ->sp|P05957.1|VPR_SIVMK RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEERPLENEGPQREPWDEWVVEVLEELKEEALKHFDPRLLTALGNHIYNRHGDTLEGAGELIRILQRALF -MHFRGGCNHSRIGQPGGGNPLSTIPPS - ->sp|P05958.1|VPR_SIVM1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MEERPPENEGPQREPWDEWVVEVLKELKEEALKHFDPRLLTALGNHIYNRHGDTLEGAGELIRILQRALF -IHFRSGCSHSRIGQPGGGNPLSTIPPSRSML - ->sp|P20884.1|VPR_HV2ST RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTEAPTESPPEDRTPPREPGDEWVIETLREIKEALKHFDPRLLITLGNYIYARHGDTLEGARGLIRILQR -ALLLHFRAGCGRSRIGQPRGRNPLSAIPTPRGMR - ->sp|P06938.1|VPR_HV2RO RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MAEAPTELPPVDGTPLREPGDEWIIEILREIKEEALKHFDPRLLIALGKYIYTRHGDTLEGARELIKVLQ -RALFTHFRAGCGHSRIGQTRGGNPLSAIPTPRNMQ - ->sp|P05930.1|VPR_HV2NZ RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTEAPTELPPEDRTPPREPGDAWVIEILREIEEEALRHFDPRLLTALGRYIYTRHGDTLEGARELIRILQ -RALFAHFRAGCGHSRIGQTRGGNPLSAIPTPRGMH - ->sp|P12455.1|VPR_HV2SB RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTEAPAEFPPEDGTPPREPGDEWVIEILREIKEEALKHFDPRLLTALGYYIYTRHGDTLEGARELIRVLQ -RALFTHFRAGCGHSRIGQPRGRNPLSAIPTPRNMQ - ->sp|P15837.1|VPR_HV2D2 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MAEAAPEIPPENENPQREPWEEWIGEILEEIKQEALKHFDPRLLTALGNFIYSRHGDTLAGAGELIKILQ -RALFLHFRAGCQHSRIGQSGGGNPLSTIPPP - ->sp|P17761.1|VPR_HV2D1 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -MTEAPTEFPPEDGTPRRELGSTWVIETLKEIKEEALKHFDPCLLIALGNYIYNRHGDTLEGARELIRVLQ -RALFVHIRAGCDRSRKGQTRRRAPCPAAPTPRGMH - diff --git a/seq/clusters_seq/cluster_3 b/seq/clusters_seq/cluster_3 deleted file mode 100644 index c5fa5c4..0000000 --- a/seq/clusters_seq/cluster_3 +++ /dev/null @@ -1,5220 +0,0 @@ ->YP_010086240.1 RNA-dependent RNA-polymerase [Norway phlebovirus 1] -MSMSRLDQLSQYLVEQVRDREPGLIVPDHIFTDLGTWHKPPKVLVKQTQSGVLCVEFPGLEQWRSASEVS -MSSIVEETWPQERVSHLRHDYTAGFFCRSLDTPLRSVFKVCRDDNDNLTPDNIMTVGDVVLVHEFATTKS -TLETSSIEAFKSKLLRYAPALSHRALAEGKVVVLTITVVSPKTVMSNLPLSMDDVSELCVRFLVARSMVW -QLEQQGVLVSDEEKEDSEKAERARMTMAQIKFNWEFQNEHFPNCDESVYEASRSQPNKKYVVEQVLAGFQ -NAEKRLREDHFLKKGENLLESERLDLNLEECAQAINDHVIKTEQDRSFKPTCTMSSVIPIPWWVPNRGTG -SNNISQSPAGEPNYMPSLGTSDETTFQHWNSAWEFAKQHPERMTEESVLDELYEARSAIPENIDLSEGQK -VEMERLEKLMGRISKPSEAKTRSCYRRVNVRVSPRDEKEAAKRGLRGKKFAHDNDVKAHHEQSQLPFSLD -NYVEDIDLLLESSQKLFTSVSGVRNSYDRDLLPHLAEQAYALHRDGVGDPWVKLLSTWLSTDLGKWCSFV -SDLGTELAISMKQHCKGNQMILKKLRHFDVYVLIRPTSTKSSVFYSLMTFQVNLTNDPSVAGSVFKQAYS -NGQIYWTEFNSVDSSKLTNMVKCRSIMYTMLSYWLEFYGLKFWEVKLTAKTREMEEVWKMVTTCLMIILD -DKTKTEEIITTSRYVFMEGFVAQPAVPKPHKMLPKLPEVLRSRLQVWLMHRMFESMRLISRHPFAMTWDE -GRPVWFGLFNMFTQQSLREPMQLVSCFYLGYLKNKEESPQGNSSSKLYEKVMEYESRKPGTNLNLGWGDP -SLNDIKFHEFSRSFLLYCADSAMKKLETMYGPRVKAQMTEDILYAIGNYDLEQFATLKASATYDQSMYDY -DPKKKYHRAKVVEFVVKNSHKATHIHELLLECLEGLEQNTCLHIDLFKKAQHGGIREIYVLGPRERLVQL -CLELIARTICRRFPSETMMNPKNKTDLPQRHNKDAKAKCGPKFMTTATSDDAAKWNQGHYVSKFAMLLCR -FTDSVLHPFIMRACALFTRKIIKIDDQLLKIFAKYEERVFRSEHIELMHAAFRGRGDEKYGHVEQGKTFL -KTETGMLQGILHYASSLLHTVYQEFMLDLIEARFKHKHAILKRKNTNLKPHVTVMQSSDDSSVMISFPVS -SSIPEATSQGMVLSWMCFQVKKELGLLLGIYPSEKCTTNTPWIVEFNSEFFFMSDLIRPLFRWVAAANGL -SEHETLAGRQEEMSSNLTNVLGGGGTTSLTANVQLSQMMLHYQILGASTSLVFGHYSLMLEEVPDPSLGF -FLLDHPFLAGLGGFKYNLYLAVKKTRLGLKYKRILKGQDEAAGPRAEKGMRIRTLETTKAGSLVESTIIS -MSTRKKWLALMERMGLPETWKEDLMADQRVLYEKAHTPEQLRLRLSVFVHSPGVISSISSSGTVVRLVAL -AAYALTRPVVQDRTDWYCDANLLFKKQSLLATMAEAHCESRMLEEPITLKDLQALFPQREDYDDLERVGE -NFSGVTGSSVRPHRPRITTRVQVTGSAGGAALTLQNVVEDRWYGYKKYMVAPRTMEQLWEAAKLRIPWLE -DTPEQTLISSPFTEPVSLRNFIVGDPIKSRNVAMSGVPVKRGSGLSNLFTMVAENFAPNFKLGGSTDTVA -RSRSEKFFWIRHALALVAQGPFNPSEKEKMLREILHENRDLTVDAVAARSRRNCLAIMQDFVINGNMEST -IQMITDHRLGALGSYVLRQKYARPEDVNPTLGEKPGYYDHGIWRGVYDRTFIEVHVYRGLCDSSVSITGV -MVSSTDDLNNVFKFLKEWASENGCDNDRHYWSAPPGLCGERVTRMFRFSSCPLDNGVAVHLEPRLRIGVT -ADAYAAMTFSFRNGVMRIEALDENPFVPERWTTLLSYRCRDNDIDVTRQDAQHNLTLVGRVANPFVLPWL -NCMPVDTDLFDELEKIICARRGTSTNPRWSWDSKPTGIMDWKALADKIRELSELELRRRGILTMASISGT -DISASPDEPPEAVQQQINQDYLTALAAQGEEEGDEYMMMGNEDLLLKVFTAFDEELQEPEPCDLNDDDLR -LIVEEMDFDLAAHLDEMVKNYAMVEAESETRFSHPFMSSVIDEFVKNMGGPNALSKILVSKEYPPNISEQ -LIIRLRLLLNWWDKRLVRRVHMRLRVRDSRPDLDQALAAL - ->YP_010086222.1 RNA polymerase [Karimabad virus] -MNSILQNQNQLAPGFTKRGLRKYDCELFGVNLPTFSVRKSGAGVSIDLSLDTLNPNSTIGSTLQQDVTVE -ANEILNFVHDFTFGHLAGVTDEPFARKFPIMNDGFDHMTPDLIVETPAGGIFVVEFTTNRGSSAQAETAA -MAKFGKYELACEIRSGQRPVCLSVISAHRRGVVSNLDLEEDEVDEIVFRYRVAIAIFDEMKLVLPGLDTG -DEEITKVENEVKGIVASINIDWGETERAFPHFKERTFAAFRNSVIDDNYISRIISNSLTECQDEMRESAF -LDPELSMEERLKKNTEEAARMVEKARSGFYKEGRMRDVHDSKATIQIPGWVTYEGDEGKGLEPLQGLEVI -GDHPIIRIWQKVCLAADSESIDRMFDDIEGELEFAMSGEADYNNRKPDRSDERNRYHRVRIEMDHEETEY -AAVFGVCGKSYKDNNMVKEARTRSKLGFSPDHNISDLEKFLYTKDNSIFDSEIGLYNPLMEDVELRRAAQ -KIHQPSLILSEGGNEMLNAHSNYMTSKLGSWTQMVSLIGAELSASVKQHVKPKYFVIKRLLGSGIFLLIK -PTSSKSHIFVSFAVKKENVCDVLHNTGVFKQYYEAGDLWVTDFVSYRLSKLTNLCKCNALMECSAAFWTE -CFGGYPWEMSNFLSGKEMQNLEAAFMTKMSLLTLMEDKATTEEMQTIMRYIVMEGFVSQPELPKPQKITS -KFPKVLRTEMQVFMLRRLEMSIMEIAGKPFRLQKKDGSISWGGLFNPLSGRALRELQPLISACYNGYFKN -KEEETEPSALSKMYKKIIELESAKPETDDFLGLGDPESPKMHEFSRSYLKKCCEHGLNLLRKQYGHNIVD -QIDNQILREVSSLTLERLATLKATSKFNEDWYVYKDVEDKNYTRDKLLVRMSEFAAEGKTLAIEKFEDCM -STIESRGAMHICLFKKQQHGGLREIYVLGAEERIVQSLVELIAKTIGKFFASDTLCNPPNKMKIPESHGT -RARKHCGGPIWTTATSDDARKWNQGHFTLKFALMLCEFTKPRWWPIIIRGCSMFTKKRMMMNMRYLSILH -GHRELDVDDDFVMELFSAYHGDADVPWMSEGRTYLETTTGMMQGILHFTSSLLHTLHQELIRSISFKIFN -MKVHPEMSSRIVCDMMQGSDDSSMLISFPADDESTLVRCKVAAAICFRMKKKLGYYLAIYPSEKSTSNTD -YAMEYNSEFFFHTRHVRPTVRWIAACCSLPEVETLVARQEEASNLMTSVPEGGGSFALAAIVQQAQCTLH -YMLMGMGISGLFPVFAQQILRWMDPGLGFFLLDNPFCAGLGGFRFNLYKAIMNTELKVIYTYFMKNIRDR -ETEDWDEAVAQIPETCSVSPGGAIVMSSSLKWGSKQKFEKLRARLSIPDDWIERINEAPDVLYRAPRTGD -EIILRIAEKVHSPGVVSSLSSGNAVCKVMASAVYFLSAAIFQDSGKQEGKVLPGEKYSLLRKMAVYEGFR -TVDRMHPDDLIFLFPNVEELEGLDAIVGNKGKIEVIKRVGLREATQTRVVVFDHMQTSRASAEKLVSDKW -FGTQKSKIGRAMLETEWNRLKGTIRWLEDTPGETLQKTPLQNHIQVRNFFARLEGKSRTVRVTGAPVKKR -SGISKLSLVIRDNFCRNGYLKGIEDVSGSSRAVTAEALKHTLFCILQGPYPEEYKLQYIQRALTSFGQID -IRQGDGKTKSNLLAIMQKFMNDEEDLPRVIEEVGAGIIGGFVKVQKVEKKKGVISYYGDGSWRGTMDGVQ -IQIDIFNKKGELPQITAVTMKDPQSPWDLGPSIRSWAEDIGAGNSLDFSTKAASGARYWISGFKVYGPSH -PYGAPVYIISNSMTRLVNLTTKDIKMKIRNHTVNLYTKGGFRGGDMHITSYTAGDSDLSPESLRALSIPE -REGALGGFTKEPSKSWVLCRPLPEHLVEAVLEVASGERAVSSIDSLKLSGIVQKCTEASIRQKVGALFTL -VPSLEESEPKANIDDIIDILIEDIREDTFEGIAKEMGESMGDSLSSPEFDNSDVNLFGPAHFKEVSGLAM -ISHPLMDEFVEHIVERMGRSNVRVLLENNTCTSTNLALGKLLYKALGRDPNSIKVQNLRPIIRTEVTDDM -LG - ->YP_010086176.1 polymerase [Tehran virus] -MERILRKQPNPEKTLTIHPLRRYESSIYDTPIPAYVVKHSSDGVTIDIATSELADGQSGSTIQPFESVPA -QNLTLFKHDFTFGHLADTTDRKFVEVFGVLENRADDSDYQSPDMIIETETGHVYVVEFTTTMGDANSAEL -AARNKIAKYEIACLNRSAIKPISLYIIAAHFNGIISNLDLTDDEVNEIVFRFRLARDIFEELREINPALF -DSDETISRLEREVNSVMSSIMIDWDKTQEKFPSFRRELFEQFKERDVDEDYISKIIKKCSDEALKGIETD -SMFTEDITSKQRFELSSKKAANEIMNKIAEMISYDFLRDTEDHKSTVQFPPWVTIEAAPGKDLEPLKSVS -VEGSHPMCRIWNKVCNNASIEKIERMHDDPVLELEYAMSGATERSVERNKYHRTVLTLNPEEREYAAVLG -VCGKKNSNLGAVKEARVRSKKGFSITHNVSRVEEFLTNQSLKDLTPTDGLYNPLSEDKKLRLLAMGLHQP -TMVHGDEEIPETLNCHLKFLGTPIGSWLQMVSITGAELSASVKQHVKPNQFIVKRLKDSAVYLLIKPTTS -KGHIFVSMAVDKRYLFGELEKSGVFKSSIDAGDLLVTDFVSFKLSKITNLCKALCVTEAAACFWAETYGF -ESWKFIDQATAGRFADAWFMIKLSLLTLMEDKATTEELQTMQRYIVMEGFVSLPEIPRPTKMLSKIPKVL -RSELQVFLVHRVFETMQRISSNPFQLHRIGGNIRWKGLFNPYSGNPIDELQTLISCCYNGYFKNKEEESE -PSALSAMYKKIIELEHLRPKTDEFLGYGDPEEPKMHEFSRSYLKLLCNHAKSKLKKQYGRGVMTQIENSI -IREISSITLERLATLKATSNFNNAWYVYKDVKDKNYTRDKLLVKMTQFAQRGKTLAIEMFDECMTRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSVVEAIARAIGRFFDSDTLCNPSNKTRIPETHGQRAKKRC -GRAVWTCATSDDARKWNQGHFVTKFALMLCEFTPEEWWPLIIRGCSMFTNKYMMMNLDFLRIIDGHKELN -IEDEFVGKLFKAYHGESEEPWISQGRTYLKTSTGMMQGILHFTSSLLHSLHQEFIRTTSMQLFTLKMGSD -ASSRVVCDMMQGSDDSSMIISFPAESEKMKMRYKLVAAMCFRVKKSLGIYAGIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLLTAITEGGGSFSLAAMVQHCQCSIHYMLMGL -GVSSLFSEFAKAISKWLDPGLGFFLFDNPYSAGLSGFKYNLYRAIMNSNLKSVYSFFMKRVKGSSEKSDS -IISDSCSVSPGGAIVMSSTLRWGSVEKFKRLRNRLNIPEDWKDMINQIPEILYRAPQTGQEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGNQEYKIINNDKYSLMQKIIAFEQFHDREEISQEDL -LFLFPNLAEFEAFDSIIYDKGHFNVIPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -PGFRQEWDRLRAIVRWLRDTPEETLEASPFSNHVQIRNFFARMEGKPRVIKVTGAPVKKRSGMSKIAMAI -RDNFCKTGFLQGLEDEIGHSRAMQVEKLKHYLFSVLMGPYTEEAKLEYVVKILKEEPQVILNYNDKRSRA -NTISLLQRFIKSDVGIAHLIEDMKAGIFGAFTKVQQFSQSSVTNKYYGRGVWKGVMDGYQVQIDIDGKEG -VPSHIYSITVSNCSKPWVLTQSLKAWCEDMQVHNNMDVSKGNSKANYWMYGFKMYGSSYPYGCPIYIVRN -DITNLGLLHDDDIDIKVRRNTINLFVRSKDKRPRDLHILSYTPSDSDISSVSSKHIMEDEYFVYKGLFSV -EPTRSWMLCQSIPWNFIRPVLQVASGKRRNPRQLDIERLKEIIRLCTESSIRNKVGTVYGQNRPEKFIES -EPIDMSEMFDMMLEEGMDDAFENLADYLTVEEDPDYMDEVDFDEESLNLFGPAHYKEMQSLTVLAHPLMD -DFIVRLVGRMGRVKIRRLLEKSIITTDLKELAELLFVALDRDPSQIKEELIQGDSPTEIPDDLLG - ->YP_010086170.1 RNA-dependent RNA polymerase [Dashli virus] -MNSIIERQEPLGEGFTRRQLVHHDDSLMNAYLPQYVVLPDKDGVSIEIDRESFDLNSTTGNSIKNERYQF -SALPNFVHDFTFGHLTPLTDVPFVSAFGKYRDGYDHLSPDVIFKTTANSFFIVEFTTFRGGERGCRTAAY -NKLVKYEVACESRSARERLGLFVIAVHRNGIWTNLSLEEAEVNELVFRYRTALDIFEDLKRRYPELSVDN -EDLTRAESELRGIVSSIQMDWHKTSSTFPHFKKDVIESFRTFVPDEEYLSKIVSGVIEKAQKDLVKETFS -GRLGEHEDRFTMNEKECGATLEKNVEGYYTGREFRRTNDCKSTIQIPAWVTIPGEPGKSLDTLAELDVDG -EGVMASIWSKVAYEAKIEGIERMMDDRDAELEQALEGSLDRPDLRNKYHRVCLSLTANETAYIAALGVGG -KKRKDSPNVVQARTRSKLPFSLYHNTRDLESFLNNDDMSLFKHEMGLYSPLTEDMALRTAAAAIHQPTLI -SREGKNEIIQAHLNFMESQFGSWTQMVSLIGAELSASVKQHVKPNHFVIKRLLNSGIYLLIKPTSSKSHI -FVSFAVEKSKLLYTFCDSHVFRACEDAGDLLVTDFVSYKLSKITNLCKTNSLAEASFFFWSECYGYNVWE -AQDSLQNNTGISRDISFMTKLSILTLLEDKAATEEMQTMLRYVMMEGFVSQPEIPKPHKMCKKFPKVLRT -ELQVFIMNKVIDSIMRIARHPFILQKRDGKISWSGMFNPFSGRPTKELQVIISCCYNGYFKNKEEETEPS -SLSNLYKKIIELEDQKPDTDEFLGAGDPETPQMHEFSRSYLKKVCDHGKLLLARAYGQNFRDQIDSSIMR -EINSITLERLATLKATSNFGESWYVYKDVKDKSYSRDRLLVKMAAFAREGKALAIEKFEDCMSEIEARGA -MHICLFKKQQHGGLREIYVLGAEERIVQSIVEAIARSIGRFFHSDTLCNPTNKMRIPESHGRRAKSHCKG -PVWTTATSDDARKWNQGHFVTKFAMMLCEFTHPRWHPIIIRGCSMFTNKFMMMNLQFISILDGRKELPIE -DEFVQDLFEGYHGNKVLPWVKPGCTYLQTTTGMMQGILHYTSSLLHTLHQEFIRTLSFRIFDMKVKEDMS -TRVVVDMMQGSDDSAMLCSFPCSDEHLLSKCKVAATICFRVKKLLGIYLAIYPSEKSTQNTDFVLEYNSE -FFFHSQHIRPTVRWVAASCSLPEVETLVARQEEASNLMTSISEGGGTFSLSAMIQQSQCTLHYMLMGMGV -SDLFSEYKKAILRWKDPGLGFFLLDNPFCAGLGGFRHNLYNAVTRTNLGKVYAYYLRKVVGKSPDDEFND -EERCSVSAGGAIVLSSSLKWGSRKKFQQLRDRLDIPDDWIDQINENPEVLYRAPRTGTEIILRISEKLHS -PGVVSSLSTGNAVCKVMASSVYFLSAAIFEDTGKPEFRPFSESRYSLLQRMMKYEIYEEGDTVTNEDLIF -LFPNIEDLSQLNAIVYDRRRIEVVRRQGGREATQTRVVVFDTPQIRVSPEKLVSDKWFATQKSKIGRTGF -EVEWAKLRQVVKWLDDSPSDTLLRSPLLNHIQIKNFFARMEIRPRTVRVTGAPVKKRSGLSKLSVVIRDN -FCRGGFVKGIEDVSGAHRSMWAELIKHLLFCVLQGPFSFNMKLQLIQKTLFDSPIIDLRESDGKTKTNLI -SIMQRYVHNERDVLDVIESVGAGIVGGFVVRQRTKVENGKVLYYGHGVWRGVMDGVQIQIDVDNHIGAPT -NIVAVYVARSSVGPWDLLRTIKAWAEDQNIRNTDDVSKSSRKGAHYWMHQFKLTASNLAFGAPVFMVEGR -MEAIWDKESENLEMTINRSTVNIGIVNEGNERRMNILSYTATDGDLSPEIIDILPSVETVNVLRHYSGRL -INAWVYCKELELRDIRRLHKMYEGNQEGSIIDVDKMKDIVKTCIESSLKSKIGASFSAVVQRDDLDPNFD -MSAIVEMMIEDTNTDMFKCIAQELEEDIKVSYDDAEFDKEDIDLFGPSHYREVTNLAMVSHPLMDRFVDH -LVEKMGRKDLRRLVSTCCVSEKNLDKAKILFTFLQRDLSLIEVDNSEDELLSDSDSDGFI - ->YP_010086144.1 polymerase [Salanga virus] -MDEIIRKQPRPRNGFNCGNLTHYSQGVNSLGLPAFFVNRDPSGITIEVLMSPIRQISSIGSSIKDNLKVS -AAKMPNFIHDFTFGHLASHTDTSFSSVFPTMNDTYDDYTPDLIIKTTSGNYCVAEFTTFRGLMDGARVAA -ETKISKYELACRNRASNSSVSLYVISVHKFGVWSNLTLGAAEVNELVFRFRLAVDIEKEITVLFPDLAAV -DEDKSKLEREVSGILCNVDIKWERTEDIFPSFKKKMFSRFRDLTPDQDYVTSIISKCINKAQEDLVKGSF -FLPGKSLTERLQMNRDECERAISGYLDSLKERDFLRDTRDHKSTVQLPPWVSWVGEEGKDLDCLKPLRVE -GDHPMCEVWRTVCLKAAKEEIDRMQDDPVEELRMAMEGQKDRPDERNKYHRVRVEMDPWCKEYLATLGVG -GKQLKDSALVKDARLRSKMPFSPDHDTSFLDSFLYGDSSKDFETDEDLFTPMMEDYDLRILAQSIHQPSF -TVDVGENEFLRNHRLFLQTPFGSWLQMVSLIGAELSASVKQHVKPGSFVVKRLLGSNILLLIKPTSSKSH -IFVSLALLKSGHMKDLENSECFKPYIDAGDLYITEFVSYKQSKLTNLCKAVSLMESTLCFWTECYGGLPW -KSVETALSKDTSDTSHMFKLSLLTLMEDKATTEELQTLQRYVTMEGFVSQPDIPKPHKMVSKLPKLLRSE -LQVHLLHRMLNSMRRISEKPFLLTRDNSSIFWSQLFNPLTGSEVRDIPPVINSCYNGYFKNKEEETEPSA -LSSMYKKIIELEHLRPSSDDNLGWEDPKEPAMHEFSVSYLKETIQHSKKLLQRVYGQSFMEQIDSDIMRE -VSSLTLEKLATLKATSNFNESWYVYKDVKDKNYTRDKLLVKMAEFASSGKTLAVEMFDECMTRIETKGCM -DICLFKKQQHGGLREIYVMGADERIVQSLVEAIARTIGRYFASDTLCNPSNKMKIPESHGSRARAQCKGR -VWTCATSDDARKWNQGHFVTKFALMLCEFTERKWWPIIIRGCSMFTRKRMMMNLRYLEILDGHRELAVDD -DFVMDLYEAYHGNVQKPWMKKGCTYLETTTGMMQGILHYTSSLLHTLHQEYIRSLTFRIFNSKVAPDISR -SMVCDMMQGSDDSSMIISFPHENEQTLMRCKVAASICFRVKKLLGVYLAIYPSEKSTSNTDFVMEYNSEF -FFHSQHVRPTIRWVASSCSLPEVETLVARQEEAANLLTSISEGGGSFALSAMIQQSQCMLHYMLMGMGVS -QLFKHFATSIKRWVDPGLGFFLLDNPFIAGLPGFRYNLYKAITQTNLQMVYAFFLRRVRTARMPESPVTV -EEQVEKSWGDMMEEYNRGDRPRMLSVIPETCSVSPGGALILSSSLKWGSKQKFIKLRNRLNIPDDWTERI -NENPHVLYRAPRTGEEILLRIAEKVHSPGVVSSLSTGNAVCKVMASAVYFLSAAIFENSGRPEFNYLETS -KYSLIERLAKYDLLDMATKLDPAEIMFLFPNAEEFYNIDMLVFDRQEIDISMRTNKREATQVRVVIFDQI -SSARCAAEKLVSDKWFGTQKCRIGSKAFDEEWKRLQDTVQWLEDDPAKTLESSPLHNHIQIKNFFARMEG -KQRVVRVTGAPVKSRSGVGKLAMVVRDNFCKVAVLNHIESNIGLTRSTAADNLKHVLFSILRGPYLTEYK -KSMCESALLNMDNVVTNAADGKTKSNVISLLQMYSRGEKGLIEAMMSVGAGTLGGYIKPQKFKEVDGKRY -YYDRGIWVGTMDGAQVHVTVDNKLKMPPQIISVMIYGTREPWIITQSLRAWADDMGVENTKNSYNEARHS -RKASFWMYGFKMTGHNSNYGCPVILLDTPLREIVDINQSEIDLKVRGSTINLYVRGKDRDLHILSYTASD -HDMGDSAVISRVKTLIAAQVLGADKEPSRSWMTCSELDKMLWEPVLNIMDGSRHTDKLDPVKLKDIVSTC -TQSALRLRVGTIYSMLPPVADLAVTTDMSTDMDMIFEYDMDEIFETVVEQMIEDEGEYGLADFTGDGIIS -DIDLDLFGPAHYKEISNLSMISHPLMNGLVDAALAQMGRRRELRRLLETRKTHRIHMTMARILFRALGKD -PDSIRQEEIGLDFDFDVEDDMIG - ->YP_010086098.1 RNA-dependent RNA polymerase [Cacao virus] -MNRILETQPDLNYGYNCGALIHYDCTLFNLELPNFEVTKQIGSIQIDLDPASHSAVSTVGSTLETRMTIT -DNKLCNIVHDITVGHLTPITDCMFCSVFEVKGDGFDNHSPDMILETGPDSYYVIEFTTNRGGEQSARTAA -LNKIAKYEIPCQNRSSGVSIKLGVISVHRDGVWSNMNLGDEDVNELVYRYRLALDVFSDISIRCPDLLDE -DGDSQKTKRELNGIISLISMDWERTESAFPMFKKEVIESFGGYSSDSDYISRIVSKSLKECHDDLIKSSF -FDENLTHEERLMRNLQDCEDKISTYREEYMKSDLMREINDSKSTIQIPGWVTSEGPEGKNLKGLGDFSIS -GSHPMCKIWDRVCRCAATEEIERMYDDPDAELEFAMSDQRERSDERNKYHRVRLDISREEEDYIATLGVC -AKSKRDNHQVELSRLRSKKAFSLNHDISALEEFLNTRDRSVFEPSEETYCPLLQDLELRAESMTIHQPRL -FTEKGTNEFLENYISFMKSPLGSWSQMVSLIGAELSASVKQHVKHSSYVVKRLLNSSVYLLIKPTNSKSH -IFVSFAVEKDRLIANLDNSTVFKQCIDGGDILITDFVSYKLSKLTNLCKTSSLLYCALCFWTETHCFSPW -DSVKVAYNERSPGGHEVRFMTKLSLLTLLEDKATTEELQTMLRYVIMEGFVSQPEIPKPQKMMSKLPTIM -RSELQVFLLTRVFLTMRRISSKPFLLIKNGSRISWSQLFNPFTQSSVRDVQPVINCCYNGYFKNKEEETE -PSVLSKMYKKIIELEDCCPKDDMYLGMGDPEDPKMHEFSRSYLKECVDHAKQLLSRIHGQNFMQMIEDQI -VREVSQITLERLATLKATSNFNNGWYNYKEVKDKNYTRDKLIVKMSQFANEGSSLAIQKFEECMTRIEEK -KCMEICLFKKQQHGGLREIYVMGADERIVQSIVECISKTIGKFFPSDTLCNPSNKTKIPETHGMRARKHC -EGSVWTCSTSDDARKWNQGHFVTKFAMMLRSFTTPKWWPIITRGCSMFTRKNMMMNLSFMRILDCHTELK -TSDEFSTTLFKAYHGEITVPWFHPGCTYLTTKTGMMQGILHFTSSLLHTIHQEYIRSLSFKIFNSKVHPE -MSYKMVCDMMQGSDDSSMMISFPSKDESLIAKCKVAAALCFRMKKKLGIYLGIYPSEKSTSNTDFVMEYN -SEFYFHSQHVRPTIRWIAASCSLPEVETLVARQEEASNLLTSVTEGGGSFSLAACIQHSQCLLHYMLMGM -GVSELFKEYSKAIVRWKDPGLGFFLFDNPYAAGLPGFRYNLYKVVTTTNLQKIYAHFLKKVRSREEDDAL -IPETCSVSPGGALILSSALKWGSRQKFLKLRSRLHIPDDWVEQINEHPSVLYRAPSTGNEIILRIAEKVH -SPGVVSSLSSGNAVAKVMASSVYFLSAAIFEDAGRPEYSFTGDQKYSLLHKMALYNGFSGYGDIDDEDIL -FLFPNVSEYQQLDSIIYNKSNIEFIVRINQRENTQTKITIFDQHSSLKISPEKLVSDKWFGTQKSKIGLT -ALDNEWHKLKTIVGWLRDTPEQTLENSPFRSHVQIRNFFARMEVKPRSVRITGAPVKKRSGISKLSLVIR -DNYARNGYLKGIEDIEGVERSNAAEVAKHFLFGILAGPYNTETKEQLVIKSLSILPEVSLKESDRKTKSN -LISILQNWCSGNGGTSQLIEEVGAGIIGGFVLPQKCKINNGKVEYYGEGIWRGFMDGCQTQIEIYNSIGL -PPHIRSITVTDKSSIWELSAALRSWCEDIGAFNGVDYSKTASKKGLKYWMHDFKPYGLDKPFGVPVYVVR -GDMVKEFDVSEDQIRLKVRRSTINLYVKESNRDIHILSYTAGDNDLSPVAVNRTRSQQVKAALELFSKEP -SSSWMRCSSIPIMFINKLLEISESKLNIGTLDSDRLRHIMKTCTESSLRSRVGNVFQNVPGSSDAPQVFD -LDSVINIMIEDMAGDMFTSIAKDIEVDLQEAYSNEEFDFTDIDLFGPAHFKEVTDLTMISHPLMDEFIDF -LISNVGRKKIRKALQTLRCARRDMILLKQLLRCLCMDPNSLREEYQLEDIECEVDDDMIG - ->YP_010086093.1 RNA-dependent RNA polymerase [Itaporanga virus] -MNALLAKQQPAVNNFTRRDFVQIADTLFNAGVPTYDISRTRNGVEIEIDPAGTLQGSNIGSTITPKVVIR -AEDLSNFPHDFTFGHLSDMTDVRFSSVYPVIGDGYDHLSPDVIVTSTGGHKHVVEFTTFRGQLRDAQRAG -LQKVSKYEIACENRSKVHPTTLHIIVATRHGVVTNMDMDQAEVNELTFRYRLALDIYMDLIRLFPEIDTQ -DEEVSRQEREILGVVSMIEMDWNKTTRAFPLFEQRMFDEFNGFLPDEEYMNEIVSGCVNKAKSDIIRESF -SGEGLSKEERLKKNGEECRLQIEKEIQSRDSRETRDPGSHKSTVQLPPWIFTEGDTGKGLAPLAELSCNS -ETVMSSIWSKVIINAQGEEIDRMNDDPDAEYQMAVSNSKDRADEKSRYHRTKIQLTTEEQEYIGSLGVQG -AAVRDAPLVKEKRRLGKLPFSTYHDTTMIDEFLTKPYVKVQEVDLYSPLTQDVELRVASARIHQPDLIKN -EGTNLFIDAHKLFMRSPLGSWTQMVSVIGSELSASVKQHVKKDFFVVKRLLNSPLYLLIKPTSSDKHIFV -SFALDKKSWICDIESSHVFKTYEVSGDLLITDFVSFKLSKLTNLCKTNSLVESAVSFWLECYGYHSWEMD -KMFCGKTYAKGPVFMSKLSLLTLLEDKACTEELQTMVRYIVMEGFVSPPELPKPHKMIKKLPKVLRTELQ -VFLYNRVLILMQRIAENPFQLRKREGQIKWGGLFCPISGDHVSEIQPVINACYNGYFKNKEEETEPSVLS -GMYKKIIELEHLKPETLEFLGYSDPKEPQMHEFSRSYLRACCDHAKTILTRFHGRNFMEQIDEQIMREVS -HLSLERLATLKATSNFNEGWYNYKDVREKQYSREKLIVRMSEFASSGATLAVQKFEECMSLIESRGNMHI -CLFKKQQHGGLREIYVLGAEERIVQCMVEAIAKSIGGLFPSDTLCTPANKVRIPETHGVRARETCGDAIW -TTATSDDARKWNQGHFVTKFALMLCQFTHQKWWPIIIRGCSMFTKKYMMMNLKYLEILDSHRDLDVEDEF -VMDLFLAYHGEKEVPWVKKGETFLRTSTGMMQGILHFTSSLLHTIHQEYIRSLTFRIFNREVQPEFSQKI -VCDMMQGSDDSSMMISFPSKNQEILVKAKATSAICFRVKKYLGVYLAIYPSEKSTANTDFVMEYNSEFFF -HSQHVRPTIRWIAACCNLPEVETLVARQEEASNLLTSVTEGGGSFSLAAMIQQSQCTLHYQLIGMGTSQL -FEYFLEAILRWRDPGLGFFLLDNPYCSGLGGFRFNLFKAITQTDLACIYSYFMKKVKSQPGVDDVAEDWD -REEMIVPEACSVSPGGAIVLSSSLKWGSREKFRKLREKLNIPDDWLEKINESPDVLYRAPKTGEEILLRI -AEKIHSPGVISSLSTGNAVCKVMASAVYFLSAAIFEDSGSIELGLTENSKYSILQKMIRLEEYFKDEEIM -EADDLLFLFPNIEELEQLDLVVFNRANPEVAIRVSNRDATQSRVTIFNDPGSMRVSPEKLVSDKWFHTRK -SKIGSTGFAVEWDRLKLIIRWLRDTPEETLQYSPLANHVQIRNFFARLEGRSRTVRITGAPVKKRGGISK -LAMVIRDNFIKDGFLRDMEDVSGSSRGMITETLKHMIYSIVQGPYTAEHCETLCLNVLRDMPSIRLKESD -GKTRSNILAILQMFARSEMGVLTSIQSIGAGVIGGYVKAQKSYKEGNKVRYYDDGAWRGVMDGVQVHISI -TNRKGATPYIKSVMISKNQTPWMLGPSIRSWADDMGVLNTVDCKPDRGKEPSFWMKDFRLSSKVYQSGCP -VYVASGKMTDFTGLFDKEVKFKIRKNVINLYTESGGRHTHILSYSTSDYDLSPASMRCKDKTVLPTIKSF -SREPGSSWMKCEPIQLNYIKPLCEILDGDRQVAGLDKEKLGTILKACTESSLRQKVGSTFSSVPVAKTNA -QEIDMDEILGLILEDNMKDSFKSLVAEMKEDVEIGDYEESFDISDLDLFGPAHYREISNLAMVSHPLMDK -FVDDVVMRMGRSSVRRLLIENKCDKKSSVTARTLYRILGRDPNSIKIEDYFSASDEEVTDDMLG - ->YP_010086077.1 putative RNA polymerase [Rio Grande virus] -MNEILTNQAPLRQGFNKRELISYVETMYGAELPEFSLTASTKGLVVELDFDFDDQGSAVGSTLKNNPQIL -VEPEKMLNMIHDITVGHLAGTTDCAFSSVFPLMHDPYDGFTPDMIVETTGGTYHVVEFTTTRGGENAATR -AAKGKMSKYEIACINRSEGNSISLFVISVWRGGVVSNLTLSDDEVNELVYRYRLALSIFEEASKVLPELK -EDDEELSKLEREILGIVSTIKLDWDKTERSFEMFERDTVIGYQQQQADHEYVSRVITKVIDSSLDQMKEQ -SFLTKELSLEDRFSLNKQECEEKIGAFLSDLSKRDFVRDIYDSKATVQIPPWVCLSFQEGKGLNCIKGLN -VVGDHPMSRIWYEVSRAADLDLIERMEDDPNKELQEATDGTKDRPDERNKYHRVRLDLDQDIRNYIGSLG -VNGKQLRDSRQSKEARERSKKTFRIDHDTSALDKFLRSTSTSIYLPVEGVFSPFDEDYELRLAAQSIHQP -DFTNDHGANEFIENHSDFSHSALGSWCQFVSLVGSELSASVKQHVKPGSFIVKRIKGSPYILLIKPTSSK -GHIFVSFAAIKSFVHSDICAEGVFKNYVDSGDLYVTDFVSFKMSKLTNLCKCFSLIESALAFWTEANGRE -VWRSVSSLARIRSNEERDISFMTKLSLLTLMEDKATTEEIQTLNRYIIMEGFVSQPEIPKPHKMIGKLPV -KLRTELQVYLTNRALDTMIRIASGGFKIRKYNGKISWSGLFNPFSGGQIRDLQCLISCCYNGYFKNKEEE -TEPSALSAMYKKIIELEHLCPETSEYLGRSDPENPKMHEFSRSYLKQCTDHAKHMLRKNHGPNVMDLIQN -QIIRDLGSLTIEKLATLKATSNFNESWYDYKDVKDKNYTRDKLIVRMSEFANQGKSLAIQMFDECMRVIE -DRRSMHICLFKKQQHGGLREIYVMGAEERIVQSVVESIAKSVGSFFPSDTLCNPANKTKIPESHGLRARK -HCAGPVWTTATSDDARKWNQGHFVMKFALMLCDFTHRMWWPLIIRGCSMFTRKYMMMNLKYLEILDCHRE -LKVEDEFVMDLFRAYHGEIEVPWIDKGKTFLKTRTGMMQGILHFTSSLLHTIHQEFIRSLSFKIFNMKIG -PSASQNIICDMMQGSDDSSMIISFPAADSLSLSKYKTAAALCFRVKKALGIFLAIYPSEKSTSNTDFVME -YNSEFYFHSQHIRPTIRWIAACCSLPEVETLIARQEEASNLMTSITEGGGSFSLAAQIQQSQCSLYYMLM -GMGVSSLFEHFKEAILEWKDPGLGFFLLDNPYAAGLGGFRFNLYKTIKETKLKKMYSYFLKRVRKTSDKN -WDESVIPETCSVSPGGALILSSSLKWGSRQKFYNLRDRLRIPDNWMDQINENPEILYRAPRTGDEIILRI -AEKVHSPGVITSLSNGNAVSKVMASAVYFLSAAIFEDSGRREEKDKVAPKYSLLQKAIQYSKFDDVSDIT -QEEFLFLFPNAEELESLDIVVFNRGPIDIVQRISHRDATQTKIVVFDEYHSMRVSPEKLVSDKWFNTQKS -RIGNTAFREEWDKLCKIVAWVRDKPDETLAASPLTNHVQIKNFFARMEGRARTVRVTGAPVKKRSGVSKL -SMVIRDNFSKVGHLRDLDDVTGLMRSQVAELIKHYLFCILQGPYNDETKLHLTLKVFQSAERIEMKESDG -GSRTNKIALFQAFCNNDPDLLSLIESAGAGTIGGFSRPQRTSYIEGKVYYHGPGTWRGVMDGFQVQIDID -NEVGKLPHITALHVSQNCNPWDLTASIRSWAEDLKAKNDVDMSRSKHLRSGYKYWMTGFKVFGFEQKFGC -PVYTFRERIVSVSEMDVSQVRYKIRSRTINLYIKEGRGDLHILSYTAGDQDISPSSLKFQSGLNEEMSSF -FSKEPSSSWLSCTPLPYGIACKVLKLLDGSVRLDHINSDILGRIIRTCTESSLRSKVGTVFSHLPCVEQP -VKANISEMISLMIDEMDDNNFDDIAMELEEELSAGYDNDNFDLSDIDLFGPAHYKELSEMALVSHPLMDL -FIEYIIDRAKRKEIRRALETCKVLRRNKDMISNLFKALGRDPRSLITVDTESDDTDSYDDDILG - ->YP_010086070.1 polymerase [Punique virus] -MERILRKQPQPEGSLTIHQLRRYESSIYDTPIPAYVVKHSSDGVAIDIATSDLAEGQSGSTIQPYETIPA -QNLTLFKHDYTFGHLADTTDKKFVEVFGVMENRADDADYQSPDMIIETESGHVYVVEFTTTMGDVNSAEL -AARNKIAKYEIACLNRSAIKPISLYIIAVHFNGVVSNLELTDEEVNEIVFRFRLARDIFEELREINPSLF -DSDETISRLDREVNSIMSSIQIDWDTTESKFPSFKKELFENFIMEDVDNDYITRIVKKCTDDSIRGIEQD -NFYSIDISNRERYEMNFRKAVGEINNKIAEMVSHDFLRDVDDHKSTVQFPAWVTLEGVPGKDLEPLKSLN -VEGSHPMCKIWNKVCTNATIEKIERMHDDPIQELEYAMSGSTERSVERNKYHRTVLTLSQDEREYAAVLG -VCGKKNSNLGAVKEARVRSKKGFSIDHDISKVTDYLFSDDLSCLQCEDGLYNPLSVDHPLRMLAMGLHQP -TMIHSDDLMPETLECHSDILKTPLGSWLQMVSIIGAELSASVKQHVKPNQFIVKRLMNSGIFLLIKPTTS -KGHIFVSLAVDKRLLAMEIDKSGVFKPSIDAGDLLVTDFVSFKLSKITNLCKASAVMEASICFWAECYGY -NPWDFIKRTGERRLREAWFMMKLSLLTMLEDKAATEELQTMQRYVIMEGFVSLPEIPRPYKMLSKIPKVL -RSELQVFLTQRLFFTIQRISKHPFVLHKMNSVIKWKDLFNPYSGDNIDELQILISCCYNGYFKNKEEETE -PSALSAMYKKIIELEHLRPKSDDFLGYKDPEEPKMHEFSRSYLKLLCDHAKAKLKRQYGRGVLAQIESSI -VREVSSITLERLATLKATSNFNDSWYVYKDVKDKNYTRDKLLVKMTKFAHRGKTLAIEMFDECMTRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSVIEAIARAIGRFFDSDTLCNPANKMRIPETHGQRAKKRC -GRSVWTCATSDDARKWNQGHFVTKFALMLCQFTPEEWWPMIIRGCSMFTNKFMMMNLDFLRIIDSHKELN -IEDEFVTKLFKAYHGESVEPWIDQGRTYLKTSTGMMQGILHFTSSLLHSLHQEFIRTASLQLFTQRMGSD -AASRVVCDMMQGSDDSSMMISFPADNEKIRMRYKLVAAMCFRIKKSLGIYAGIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLLTSITEGGGSFSLAAMVQQSQCTIHYMLMGM -GVSALFGEFTKAISKWMDPGLGFFLFDNPYSAGLSGFKYNLYRAIMNSNLKSVYSFFMKRVKGGSVRADG -IISESCSVSPGGAIVMSSTLRWGSVEKFKRLRNRLNIPEDWREMINETPEILYRAPQTGTEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGNQEYKVMNSDKYSLLQKIIAFNQFEEENDISQEDL -LFLFPNLSEFEAFDSIIYDKGRFNVIPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -AGFKQEWDRLKVIVRWLRDTPEETLMASPFSNHVQIRNFFARMEGKPRVIKVTGAPVKKRSGMSKIAMAI -RDNFCKTGFLQGLEDEVGHSRAMQVEKLKHYLFSVLMGPYTEDAKLEFIVKILKEEPQVILNYNDKRSRA -NTISLLQRFIKSDVGIAALIEDMKAGIFGAFTKTQQFSQSSINNKYYGKGIWKGVIDGYQIQIEIDGKEG -MPTYLSSITVSNCSKPWVLTQSLKAWCEDMQVSNNTDLSKHNKNANYWMYGFKMYGSSYPYGCPIYIVRQ -DITNLGLLHDDDIDIKVRRNTINLFVRSHDKRPRDLHILSYTPSDSDISSISSKHIMEDEYFVYKGLFSV -EPTRSWMLCQSLPWNFVRPVVHLASGKRAAPRQLDLTRLREIIRLCTESSIRNKVGTVYSQVKPEKMIES -EAIDMSDMFDMMIEEGMDDAFEDLADFLTVDEDPDYMAEVDFDEESLNLFGPAHYKELQSLTVLAHPLMD -GFVTRLVTKLGRSKIRRLLEKNIITSDCRELAELLFLSLDRDPSQIKEELIQGDSPTEVTDDLLG - ->YP_010087315.1 RNA-dependent RNA polymerase [Apple rubbery wood virus 2] -MSASIMQRIDLENVCDLTYEGVFSSDMPINIEYVHEGNYIRLMNKNLEYELIKIDYNNPNFIHDCVSYAI -SGQFKTDMTSYGLTPDFVSEDFLLEISTSSVSDFHALEIQMQSKLKKYAHIANGRKVIVIVISYDLVVSN -YEIKKNIQMAMTSNFCILKRLQSELLMEGKTYWTTSSETKFSRKLSTILQNYVPKNHYDGKKQAFDLIDQ -VWENFDYDSSLGQVLTEVVKHVEDDITTAKFIEKPPSPISFPTEGRTDLKRITVIPMICPEKRVSLELLE -TTCVNSLIAESKIKIIEEGKITQKTQIDFATPMTHFEIMSGYYEDKREDKKERKGYQFKPELTPKEMLEL -NLDGVMAKEFKDKTEIIEKRQLSKIPFSFNVDTQDIESFRLEFAKLLDEKVENVVEDQAYGLMRTAYSCM -IDAHVNMIDQGENVIKEHNEENSTKFINWLNFVSDLMFEINLSLRENTKNGFFIVKSLKRFQADVYIKTT -NSNSHIFFFVVCKVNYILSDTLGPKFVTSNGILVTEWGSLMKRDLENFLSCKETIRSTFCCYDEIFDKCH -NSALTNAKWLSLMIFLSNKDRNEEIVTSLRYTYMKSLSITEHSSTMNKKLGLLMRDRLQVYLTNKVYLLN -NFLTDNKPQVSFDQGTLEVHGMKDLFGSDITFKEGINISYAGYIMTKVKQPQSNQSSKMIEKILDYEIKF -LNVKAEEISTNELNIRKDHCFDYKYVRTFSELGKELLYDMFGNQLDSIIRERITSNLMSIDFESIATLKA -SAKPDLRGKYSEENLEELKSARPRVMSNIVKLINTYKPEHFIDLVEPCISYIVNNNCFNVELFPKDQHNG -LREIYVVDIHARIVQLFVETISRSLCSFFQSETLNHPKNSTALIPKTLKYSLENNGSPFCLMKSGDASKW -SQSQIVTKFYIVLKAFLPQEYHKIVKDALSLWFDKKIFLPPSLIESFMGTNFHTSNVVLNDLREGNLCAI -KKKEDMFMTIQSGFMQGILHHSSSLFHTIVQEGFRQIQFKVLRDAFNDTIYKQYFEKVNICVLQGSDDSS -MAIMGDSKLFHKHKELFLSLMHLKDSLSYKFGIIPSAEKTATCVPFIIEYNSQWLALGKSIQPTIKFAMV -ACQLPSAQSIIQRQEFYYNLLKDCLEKGCTTHTCFKIKLNQLCAYYNLLGCNNTSSFQVTSKLLKECLNP -SLGFFMLEPIVATAVFGFDFNFYCHTQGALVKYLPNSYHTPMETNLPSGNTLRLNTHISKSGWKKWKQLV -EEVGELSSEEEQYLENNPMLYYEKSRNDVYSSVIKIKQKCQDFDVKQSLSSRYGITENMASFFCTFIKVC -KIGKEKDSLVGWMLKCTENGISDLSQMFPKFKLYNEIIHYISVISNQSYLVDVDYIQRGRSQITVLHNKT -SELELFYETVKNVWFDVECDEITKDAYRRLFREYMEEFDWLKWTEKETKAFLNCSSRQLANYVRNVVGKN -RTLTFFDTVAKGSNYPYLLTRIFQKDKKIQVVTGKDVSINKNDIIFSNMKILLNLPYKESYKMNTLKELI -PKLDLSELTSKEAKKYKILKLVLSDKKEDVKNELFKYSFENHLTWYSQAQHFNKENKSWEGIGCLTTIIS -TLTIKTIMMDSLIQEIIVNDLSSFKLHLDVYMKIIKEHKLELGDNVDDDLKLSKYGISKSFKGSKIKISP -ELKSNVAFLENSTLALTNGNMKLVVPMIDIDSNFVEKRNCVQTLYLIESKRLARTFQERRDADHNGYIIE -NIWIDSRSYNKVRHGEIIKSRMIMGGKDVKLSTIMNFEVWKKITLPTNEKLFDWGELGEKIVKQESLDFQ -SYVLTKLESFPKRMPTNEMFHQSMEQNYFDCKEYLNFISRVEFFQQVEDPDYDELVKKDKEEEFDKTLID -RFNELVFNTQALKRAIQKTVEFDSCLINLDNVLMKAQTDFSYCRHLVYGIKDTETTFPNTLFYKFNHELR -RRIPDNDELLEVMSKGDSGNLLTIYQQIALNLLFATPTDFTSLTTVKSLREFILLGYKPKESRSSRSSTR -LGIYLPNNDLEQKMLSKFQIHPKSEQLFDLLNAEQKLLEEAIDEVSQMIEKTTNRRVKMPLLTQVVGYEE -DLKLLKDFRAHALNLENEKESESTKDSLECLDNLEENDISLSAEEEDDDLTHSLTDSDESADIGEDFNLL -NHLQNSYKEKKVLKRNCFLYLNVDITYILRNPKFDIKSQVQKMNCTFHLSDMTILVNGAKDFEVYWPNDD -SAEFSIFYHSTLPELPYSQNILSKEIKKISPLPGGSCLFNCFVYLLSNHGKYFKQEELRQIVHDSDHLKL -LDEDPHKKAILSNDEWGQEEDIETICHELDVSVLVVTDYAILQYNETGQKIGILINYENVHFDVLE - ->YP_010086265.1 large protein [Lentinula edodes negative-strand RNA virus 2] -MSNDIFLKINDIVNNVMTGEPTEPNFYYPTEDLLLNVDISVEGNNVRVSTSNLLDIDSRLEGMRVMFEVG -SQKLNNIRHEMVSSMCSDETDVPLSEIDPKYSDDNRQPYHKMTPDFYCASERRIGELATSAVSEEKVMKK -AYHGKHLTYTPYLKEVEIEQYLIFVVSPNSVLSNCPLTQNIVNELCARCRLGIMVESIIVERLGRDIFTN -EDLSSREKLVEDIFSSFNLGGFEDGPDFELEELLDLSDGILSDEDRDRALTIIAQEFKATKKLKFTSPVV -LEEYEEGIRKNPLGCHPKDHLKRIANFPFVIGYRDSSPDYEALSLGSTYGSTCPTSLKKIWEQCFMTGSN -KPIDPDPEEDLSLTDTPHEMNKHRVKKWHRFPVDFTMEDKEEVGMSGLYGKTSAETFESVRQKQQEDHKS -FDPESEIGDIEEFLGNNTWTRRYSEFDFESSSVGKLLRKAKDMANGEEKGPMLDSVDIFSRFIARSEIVL -YFDMISCFMSEIAYCKKHFNNKGTFMYRYLRNYRAGLVLHNTGTHTFVSFCFQDGASKIVDTGRLGPKLF -RLGDLIITDWCSYDDPHIEHWMKSGPYCASIMARLMASHGIDPYRESEASFFKYDDHRQFWQTLKMIMVI -YMNGKTEMDETVSLMRYFHMNLFDEYGADPFKFVKRLPEVFRSRFTCLLYKRIKNLMFYYKENKVKRVIS -HSVGEGRPHEYGNLKTIYCEGFVPYERLVDSFYYGYVISKIKQHNVKTYYGICKKLLREEFKFLAMKKEG -KKVIDKLEKPEAHRRDRSMLKYFLVVYNKILSKRMGPEFKKVLRKQVVGSIARTSFSELSTLKVSDRDHS -DVDIIPEEFEGLSYKKGRDKLRELKKEEFQKRPKMIQEMNKLSEKFKKDHGRYPNHYVEMVPDALDRLEK -KGHFICGLHPKDQHVTIREFHILEEDARIVQFTIEKLGKTVCENSEGETITHPKKKDKFVQEHYKEALMN -FEDFITMGKSSDASTWCQNHFVSSFCAFLLSVHDPVFHNFIIRVLKLWTNKRVSLPMELITSFLINKKTP -SNDDFYKKLKQKMSDGEAPFVKKNSNEVEIHSGMFQGLLHYISSAYHGMAQEVSENLEKELSRKLFNMPM -VVKKVWGSDDSGSLNSVSITENNKYEVSRNLWRIMHLKEKICEWIGIVNSDKSAMATVDNIEYNSEFYVR -QKPIKPTFRWASACMETVLVESFITRYRIFSNTLTQTLEGGASTLECSLIQLAQAWFHYNLCGNNSTPLF -EKATNLWLKHPAPALGFFPLDPDLSCGMTGFDFSVYLLCKNSGAGSNLISMEEIIQTPQMDYKGKKGKEV -SSEEKSVKLKFSKDDMWKKLMATVDLPTLEECVELIDEDPLIVFGNPTTWEEERLNIVLKLYSPGVKESL -SQHSSVMRMQVASAYMLTGRCFTIRGEKDKKSLLKLLHDEANKDRGRKKDLSEIFPSSVQYEEHFQFLEN -VRLHQFHADTDMNRKSKVSIEVFHSEIDDDYPLLDLCKRKWFKARSVKLGANHFNSVWKETKAKYSFIRD -TLAETREITQLDNLHLKHFLETVSQKSRKIVLSDTTAKNNYFKSVISRIYWPHIKILSSHVENEDRKVES -LRTTLFSVMNYFYSREYKEQLIKSIISQSEVLQDDISYDDPKTRNLKLFRDSLDPDIDKVILIEKMRKIR -KSVLGFFSERQRFDELTKKRKGLGEWRGVTGNTSIIIKMMNEDIDRITINSVSDLDYLGIVLKNLAQEFR -SSFPGNVISKHNLYLTPAGRLVNLNSVQPGTIPVIVDKDMAVNYLELVQSRNWRLRVTPYNIRLTTVIEG -TSTEITLLSDSFNTRDWSPEAPIVIETNTLLDLFNKGISPTIEQWEMFLRTYFPISRRERTEVYHHKSRD -ETYGIFNSKSLREMLIKNFENIAGTSKEGIFERIKSSNAKVEANISFDEVSRSFIESIAMAELGEDSSLF -QRLTNFYRSGLEVPSELHEEVSLTASNIQGLGEDSMMEWIDKIKDSMITTSEWNEMHETVILGMSSRNRF -FMNMYKYLSLKFPSLDFNDLTGSIQLEVVNPWGIILSFAFRNDLEEIDFSDIEDPVGLPSITDDRSEALP -NSDWRSDFSIRSEHSVNERIRALEIFKAEAPDAIAKAQAHASIAQNQRLLFRMRERRGVAHLAFNNVSDV -KKKDFVYAALTQFCRSEEDSLDVQEIIDDETISLDERKSTVLTILKAKSRNIIADLETKGFIDRDMSNSY -LDSLPSQFLTEAFVDLICILLGCKVMFLVKDTEMFRIEPSVPLDSGPDKLKCYVYECESKDCILSSVPF - ->YP_010086244.1 RNA polymerase [Viola virus] -MGDDYDGLTPDFIIETNTGVFHVVEFTTTRAGEHSASEAAYTKIAKYEIACQARSQGRSVCLSVISVHRD -GVWSNLELTEQEVDELCYRYRMALSIFEEIKKEFPKIQDSDEDLARIVRQINGVLSMISMDWDRTESKFP -LFRRDVIETFPSFVPDEAYLSKITSMALKKAQEDLVESAFLKTAESDEERLKLNLQECRNKCQDFKDQFG -KGHSFRDRDHQKSTVQIPPWVTYIGHEGKDLRNLRDLSVEGDHPMVKVWRTVVEKAVFEEISRMYDDPKE -ELQYALSGELKRDDQKSKYHRVKVTLDAESSDYVNSLGVEGKSNRHKTAVTEARARGKLGFSLDHDTSSI -EDFLNESNNNHLLEPVEELYSPLSADEELRKLAGSIHQPRLFTLKGENEFLRAHKQFMASRLGSWTQMVS -VIGAELSASVKQHVGPGQFVVKRLMDSAIYLLIKPTSSKGHIFVSFAVEKQYLESTLINSKLFRDYFDAG -DLFVTDFTSFKLSKLTNLCKTNSLIECALFFWTEAYGFPCWEAMKILPKDRSTSATDAVQMAKISLLTLL -EDKARTEELQTMMRYIMMEGFVSQPEIPKPHKMISKLPSVLRSELQVFLCNRVFLSMERIASKPFRISKS -EGQITWSGLFNPLTGSVVRDLQPVISACYNGYFKNKEEDTEPSVLSAMYKKIIELEHLMPMDEVNLGLGD -PVNPEMHEFSRSYLKRITDHGKQLLSRIYGPNFMSLIEDQIMKEISLITLERLATLKATSNFDETWYIYK -DIKPNTTYSRDKLIVKMANYADEGHVKAIEKFESCMRIIEERGAMHICLFKKQQHGGLREIYVLGAEERI -VQCIVEAISRSIGKFFPSDTLCNPGNKAKIPETHAVRARKQAKGAAWTTSTSDDARKWNQGHFVTKFAMM -LMEFTNQKWWPVIIRGCSMFTNKRMMLNLRYLDILYARRELEVDDEFAKAMHGAFHGEISVPWAEKGMTY -ISTKTGMMQGILHFTSSLLHTLHQEFIRSLSFKVFNLKVAPETSHELVIDMMQGSDDSSMIISFPCKNES -FIAKCKVASAISFRIKRLLGLYAGIYPSEKSTSNTDFALEYNSEFYFHSQHVRPTIRWIAASCSLPEVET -LVARQEEASNLLTSVAEGGGSFSLVAQIQQAQCTIHYMLMGMGVSSLFEEFIKAIRQWLDPGLGFFLLDN -PYAAGLGGFRYNLYQAINRTNLKRLYAFFLRKVRGMREVDDEGNESLIEPEACSVSPGGALILSSSLRWG -SREKFRKLKDRLHIPEDWKEQINQRPRLLYEAPLSGKDITLRIAEKVHSPGIVSSLSTGNAVAKVMASAV -YFLSASIFEDAGRQEYHAFTDTKYSLLQKMALYEGFKGQAGVSKDDMLFLFPNISELEQLDAVVYNKEEI -HYLHRINQREATQTKITVFDNVSQHQIVAEKLVSDKWFGTNKSHLGRVAFEREWRRLKTTVSWLRDTPSE -TLEASPLDSHIQIKNFFARMEGKARTVRITGAPVKKRSGVSKISLVIRDNFVKCGHLDDIEDLEGIERST -SSEVSKHFLFSILQGPYTPENKEHRVIRALQELPEVGIKEQDRKTKTNVIGILQNWSKSKSGVAQLIEEV -GAGIIGGFIKRQRSEEVEGKIVYFGPGIWRGTMDGYEVQLEVTNQKHERTYLKSVTVSEKASIWELCPSI -RSWCDDVGVINTRDVSKHASRSGLRYWMYDFRAFGIEKPFGCPVYITQRRMNEQFIMDEDDIKLKVRGKT -INLYVEDRRNHIHILSYTAGDNDISPLITRNNSDPRVQSLLSSYMKEPSRSWVTCQSLPFAFIDLILKLV -EGDIHKPEIDSESLGKIIKTCLQSSLRNKVGSMFRIMPSASTAYAAIDVDDVFDIMLEDMTPDMFKSTVQ -DMELDIQEDYQSEKFDFTDIDLFGPANFKEITDLAMISHPLMDDFVSTLIAKSSRKEIRAVVEKCVCSKR -NEQDFKLLYRALGMNPKDIRVEESYHADAEEDADLLG - ->YP_010086241.1 replicase [Entoleuca phenui-like virus 1] -MNRMVTDKGKGKGVLRHRAFLSSSHDITKLLDKPRVISATPIEDPHVLKNLTNASFDIEVGDIDKTLHET -FLEKGGKPEQATGDDYLYHYKAKVVVDQPGVVEVGRKKIELVINARDQSFQDMAKLRHDFVANRMTGETD -VPFQEIGVNSIQTPDFIDKSSRTVIELTTNASGTMKSLESSYIAKRIQYESICEQHQLNFIILVVGAFSV -MTNTEISQEEVDELTLRCRIGLNLEAILSEKMDMRFYSEDDITKSHKYLRGIYSDWSHDVNLPENPHFDQ -ELNDLDRPLSEQEEEHVQRILKTTLSESLRKLNYKGNPNAMEEYLSRYNGKNSRKSKSQITIFPMLYQTP -ERYGQRGTFEAVTHNVNVPTFLWDIMQHVMDKPDLMMEYVTAANNKRLSYELANEVETSMSSKAYNKTPS -RAMPKHKLRQSFTFTPFLSQDQVKRLALKGVGAKSLQNDHDVLAKEAFKKLSFHPDAPVDDIARFWNREW -EDENDEIVRAKILGDDAVNLVLKTKASNTLNLEEEPESIRLFENALCHPLSRSASFISDLCTEIAMEYKV -PTREDEWLVKPLRRHKCFVFLRCTGSHTFFFTMHPKASTTLIETGDIGPEIYECGNYWVTNISSITEPYI -EHFMKAESYIPSILVHLMSSFKVPVTELDYIVPSSVNQTLSYIYLTYLNNKIDHEEMLTNLRFLYMKLFQ -ECGANCNEYVDRLPVVMRSRLSVFTLGKIRSLMDYYSKHRILRKSISTPAGPVWEHKNLRVIYHSDAVTL -EQLIDSFYFSYVISKEKSAMGDHSFHIFDKVVKEEWDYMDKVIKKGKKPWGLLDGPEKHRWDWALERKKL -ELCDSVLKDKHGPAYKNLIHNAVWRGVGNLSFSEKATLKASAKDYSDGIKKVDDGRDLTRKEYIEKYRQN -NAKLRGKRPRVITRLKEMIDDYISNTGEKNPDYNKVALWVMDRCLERGILMSDLFQKDQHNGLREIHVLD -ILAKHIQSVAEAASKSICRFFENDTVCSPETKKSFYRNHIESAEGELGSNIMICKSADASKWCQRNHASQ -FYFQMKHYTPEELHPFLYCFYYLWTQKRIALPPELLRNLDVNRDVQSSNDNYKRMMADYHAGNYPFLEPR -GTFIKVSSGMFQGILHDASCLKHDIAQTAWKRLSDEFMMTHLKTRLVTTIVQGSDDSAALVSCGRKNMGI -LAFIIILLWWKEMMSAYSGIWCSEAKSSIGTVSLLEYNSEWYMNGRIVKPCFRWVSACMSVSFTERFTQR -VEQFYNGVTQCVESGTPLLTCAVIQMNQALLHYRMIGIGTHPLSRDFCHMSMSTRNPSLGYFPLELDQIC -GLAGFDYQLYLLTRAGVKVQNWEMEERESDKTISYDDKVMKIVKDSVRHYSLRMSSIKSYLDVLKATSLP -RVESLLKKVEQNPEMLYGKLHTWEQEQLKMAMTLDNQSVKLSLSSHQPLARLMSASAYILNTPCITSNEE -GVGKIKKSLWKWLTTVNSCSEIKLEESGSQLGKNWFVNQDQYDEFTRFIGSMRGMVSYQQVHLRRADKVE -IVVWGSRNDVEVPILDLVKRQWFRFTNVKCSRTAFMNLWKAARQKYPFLRDTYQETKEVMGMEDISIYRI -LQAISSKTRIVRLSDSSAKGKDLWSVASRIYWPDVKVRSNILLQEVGIRELKNAIHCLTTYFYKKQYILT -ECKKLIENNTVLDRMDTFTLDSAYKLKIFQSFLKGESKWSLISKIEKSRQGVIGYFSKRQSRTNTGYKGE -GIWIGLINNIPCKLIMFNREIHTVVVQRISDTSIQMKAIKNLIREFGLKYPKSGPKSESRLYIPEIGNVR -YDEDRPENSAKLLVDKTFNPDVKTKILDQDWELDADDASLKLVFRTDAEGELNVRYTILSETYGHNCWDA -LLPAPDVPDKNFQNWCHGIPCQPLTLLEQMMIPLEKGDLVTMKGLLEKKRFYRPDNIYDISKFLRMMHNS -LGRKIYGHSFNDFISDEIDKKDELIGDKGGFKPTMEQIMAIQEDSLLLMKTFNSLEEEQNPKIAEENKKA -VGKMAAAFGFDDAEDGGEDEYDPYDSSWDDDEEMLMDGKEGEIWEMFGNDDPVLAEMKERAKKTFIGNVQ -QIEMFLNPLHELIRDRSNNEEVMESFHDMGREDPIEVSGPIGAILYLMYPQFEYVKGPGSDLEKVLEVSS -VGEFSSISSSRGGMPVDVSTLLLEKEQLKTTIDIVQEPLKSTLLLRLERINREIEYSEKLNQIESTRSEL -IQLNKMVFMGRLLDEVENKGFWAEGINHHNKQVRIEFLITNIVEKLDQQVAYGMMSAASAEAARVGAWSS -VLTPDFVIAACLYLNSRIFVSIKGKTIFGYRKSYLTNDIDVNF - ->YP_010086206.1 RNA-dependent RNA polymerase [Ntepes virus] -MNSILQNQVQLAPGFTKRSLRRYDCELFGVHLPAFSVRKMGSGLVVDLSLDTLDPNSTIGSTLTQDVIVE -AGNIMNFIHNFTFGHLAGVTDEPFAKKFPIMNDGFDHMTPDLIVETPAGGVFVIEFTTNRGNSAQAEASA -MAKFGKYEIACEVRSSTRPVSLSVISAHRRGVVSNLELETAEVDEIVFRFRLAVAIFEEFKMILPSLDSS -DEETTRVENEVKGILASINMNWQATEESFPHFKEKVFSVFRNSLIDEDYISTIISKSLTECQEEMKTSAF -LEDGQSFEERCARNHNEAVLEVEKAKSAFYREGRMRSIYDSKATVQIPGWVTYPGAEGKGLESLQQLDVF -GDHPMCTIWQKVCLAADSETIDRMFDDVDGELEFALSGTPDYQDRKPDRADERNRYHRVRIDLSREEEEY -VASFGVCGKSHKDDSFVKEARDRSKLCFRPDHSIEDLENFLYSRDNSIFAESFCLYSPLTEDVDLRRMAH -KIHQPKLLVEKGENEMLSAHIKFMNSPIGSWSQMVSLIGAELSASVKQHVKPKYFVIKRLMGSDIFLLIK -PTSSKSHIFVSFAVKKSALQGLLWADGVFKSYIDSGDLFVTDFVSYRLSKLTNLCKCNSLLECSSAFWTE -CFGGFPWELTEFLSGSDVSGLEAAFMTKMSLLTLMEDKATTEEMQTIMRYIMMEGFVSQPEIPKPHKMCS -KFPKVLRTELQVFLLKRLGLSISEVAKNPFRLQKKDGSITWGNLFNPLSGRPVRDLQPLISACYNGYFKN -KEEETEPSALSKMYKKIIELESAKPDTDENLGLGDPYHPSTHEFSRSYLKRCCEHGLNLLKRQYGQNVLD -QIDSQILREVSSLTLERLATLKATSKFDEGWYVFKDVADKNYTRDKLIVRMSEFASEGKTLAIEKFEECM -SRIESRGAMHICLFKKQQHGGLREIYVLGAEERIVQCLVEAIAKTIGKFFASDTLCNPPNKMKIPESHGS -RARKHCGGPIWTTATSDDAKKWNQGHFTLKFALMLCEFTRPRWWPIIIRGCSMFTKKRMMMNLRYLSILH -GHRELNVDDEFVMTLFSAYHGEQSVPWMSEGRTYLETTTGMMQGILHFTSSLLHTLHQEFIRSLSFKIFN -MRVHPEMSTRIVCDMMQGSDDSSMLISFPAENESLLIRCKVAAALCFRMKKKLGVFLAIYPSEKSTSNTD -FAMEYNSEFFFHTRHVRPTIRWIAACCSLPEVETLVARQEEASNLMTSVPEGGGSFSLAAMVQQAQCTLH -YMLMGMGMSRLFPVFSREIQKWMDPGLGFFLFDNPFCAGLGGFRFNLYKAIMRTELKVIYTYFMKNIRDR -DTEDWDDATAQIPETCSVSPGGAIVMSSSLKWGSKQKFDKLRDRLNIPCDWIERINEIPDVLYRAPRSGE -EIILRIAEKVHSPGVVSSLSSGNAVCKVIASSVYFLSAAIFQDSGKQEGKVLPGEKYSLLRKMAAYEGFR -NVDRMHPDDILFLFPNVEELEALDAIVGNRGEIEVIRRMGLREATQTRVVVFDHSQTSRASPEKLVSDKW -FGTQKSKIGRTMLENEWARLKRTIRWLDDTPEETLKRTPLQNHIQIRNFFARLEGRSRTVRVTGAPVKKR -SGISKLSLVIRDNFCRNGFLKGIEDVSGSSRAVTAEALKHTLFCILQGPYPEEYKLQYTQRVLLSFGQID -IKPGDGKTKSNLLAIMQKFMNNDDDLPRVIEEVGAGIIGGFIRAQKSERRKGQITYYGEGSWRGVMDGVQ -IQIDIYNKPGEIPQITSVTMKDPQSPWDLGPSIRSWAEDVGVSNSLDFAAKCTPGARYWITGFKVFGPSH -PYGAPVYVISTSMTRIVNLETRDIKMKIRNHTINLYTKSGYRGGDMHITSYTSGDSDLSPESLKALSPSE -REVALSCFAKEPSNSWVMCNPIPEALIEAVLGIVGGERSIPSIDPVRLSEIIQKCTEASVRQKVGTLFNT -VISVEESDCRTNIDDIIDIIIEDTNTISFETIAQQMSSDMGDTLTSPEFDNSDVNLFGPAHYKEISGLAM -ISHPLMDSFVDHVVERMGRSNVRSLLEQNTCSTPNLALSRLLYKSLGRNPDIIKVQNIKSTIITDVTDDM -LG - ->YP_010086199.1 RNA-dependent RNA polymerase [Tres Almendras virus] -MEEILSKQQIPRGIGLNRPELVQYDDSIIDVEIPFFHISKCEGHMKIDLDLESGTDYSTIGSSLKSVIHV -PDKSLTNLIHDISFAHLAESTDTQFSSQFGVRSDSYDHLTPDVIIKTAAGSFFVVEFTTNRGGERAAYNS -CRDKISKYHIACENRSSDCTVSLFVISIYAQGVWSNLQLNEDEVNELVFRFRLAVAIMEEAKKFYPELSG -DETDMTKLEREMLGVVSSIQLDWEMTTKSFPYFKEEVFDKFIAEPSNEDYLSGIISSELKVCQEQLITSS -FFDCDLHPEDRMKMNHLECINHVEAFKKMFQGPFRNVNESKSTIQIPPWLMTEYYDGKSVSMLSEFDIEG -EHPMCNLWRSLTLSASLGEIDRADDDPNQELIQALEGSIEKSDQKSKYHRVKLRADHPDVIYYACLGVEG -KTHRNNTAVEESRKRSKLGFSMEHNIEGLTDFINTSDTQVFEHDEDLFSPFMIDYELRQAAQKIHQPDLI -TNKGENEFLTNHCDFLKTRLGSWCQMVSLIGAELSASVKQHVGPGQYVIKRILDSPLFMLIKPTSSISHI -FVSFAIVKNNYLGDLWNDGVFKHYIDAGDLLVTDFVSYKLSKLTNLCKCLPLMESASCFWTELYGFEPWR -SSSILRKDRSGSAKEARSMIKMTLLTLMEDKSATEEIQTMQRYIVMEGFVSTPELPKPHKMLSKLPKVLR -SELQVYLVNRVLETMMTISSKPFRLQKKGGQISWTGLFNPITGTDLKDVQPLISICYNGYFKNKEEETEP -SALSRLYKKIIELEHMCPETDEYLGSGDPVNPQMHEFSRSLLKKCTEHGKNVLRRIYGHNFLQQVDAQIV -REISSITLERLATLKASSNFDSSWYQYKDCDKKDYHREKAIVKMSKFASTGKTLAIEVFDDCMKLIESRG -NMHICLFKKQQHGGDREIYVLGPEERIVQSIIEAISRSIGRFFPSDTLCNPSNKMKIPETHGIRARKHCK -GSVWTCSTSDDARKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKYMMMNLRYIEILNKHHSIEV -QDDFSQTIFKAYHGEMVVPWMDDGCTYLKTKTGMMQGILHYTSSLLHTIYQEFLRSLTFKIFNMKVHPEM -SHQIVCDMMQGSDDSSMMISFPCNDEKLLMKCKIAAAICFRMKKRLGVYLGIYPSEKSTSNTDFVMEYNS -EFFFHSQHVRPTIRWIAASCNLPEVETLVARQEEASNLMTSVSEGGGSFSLSYCVQQGQCSLHYMLMGMG -ISSLFSEFKKAILKWKDPGLGFFLLDNPYCSGLGGFRFNLYKAISTTSLKKIYSYFMKRVKSQDSDQDSY -IPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLGIPEDWVDRINENPSVLYRAPRTGDEVMLRIAEKIH -SPGVISSLSTGNAVAKVIASSVYFLSAAIFQDSGKQEYSVIDDSKYSLLQKISKLEGKTLVNSISDSDML -FLFPNIEDLQSLDSLVFNRGAIELVKRKQNKENTQSRIVVFEGNRNLRTPAEYLISDKWFGTQKSKIGRL -AFDQEWEKVVSIIPWLEPTPEMTLERSPLTNHIQIRNFFSRMDQKPRVVRVTGAPIKKRSGVSKLSMVIR -DNFSKLGHIKDIEDLSGASRTNNAELVKHFLFCALQGPYTQERKEDMVTKILHLSDPIGLKESDGKSRSN -ILAILQNFIYGDQDIARQIEDAGAGTIGGFTIPQRSKKLENTVYYYGPGIWRGVMDGKQIQIEINNSLGN -PPMITSITVESSAEVWQLCKSIRLWAEDVGAKNNMDMSKKSSRGAKYWMFDYKMFSEDKPYGIPVYLSGR -KMVDFRQVSDDQIGFKVRKSTINLYVVNNGRDVHILSYSANDNDLSSSCLKLASQVKDSMLSLFCKEPSK -SWASCASIPHFLVHRLLDLIRGDFSIDYIDTDRLSEILKLCCESSLRTRIGNIFSALPTINESKLHVDVD -DLIDIIISDSKQNNFLEVAKALEDDLNEGYDFDDFDFSDIDLFGPAHYKELSDLTAISHPLLDDFVDNCI -STMSRKSVRRVLETGHCKSKDLKLCEDLFTCLKRDVHSLIVDDYELREDETVEDDMFG - ->YP_010086195.1 RNA-dependent RNA polymerase [Mona Grita virus] -MDDIISRQTELHEGFNKRALEQYTEILLDTPIPEFSVSKEPNGICISILVGDVRPNSTVGSTIKPEVKVS -SLKVPNFVHDFTFGHLSSTTDKPFISEFPSVNDGFNFMSPDLILTTTSGSIYVLEFTTFRGGEEGARQAA -ITKIGKYEVACENRSSGHRLCLSVISVHRHGVWSNLQLEEEDVNELVFRFRLAVSIFEEIKIVLPEVSAI -DEDLTKSEREVLGIASSSTMDWDITETTFPYFKKEMFENFSQEPNIEYISSIITKCLEESQQEIYGSSYY -SVESKSERFLLNYKDCDRKLKAYINSYNEMNDIRDRYDSKATIQIPPWVTREGEDGKGLGILSGLNVEGD -NVMSIIWQKVCISASLGDIDRMEDNPEEELKIAMGGLKDRPDERNKYHRVRIQTNDNEKRFLASLGVGGK -NFKDDPIVQKQRARSKLAFGIDHDISALSSFILKDDIKIFQYQPDVYQPLSADKRLRLEAMSIHQPTLFF -RTGGNEMIKNHYEILGSPLGSWTQMVSIIGAELSASVKQHVKTDCFVIKRLLNSGIFLLIKPTSSKSHIF -VSFAVLKSLVVGSLCDIGVFKSYYDSGDLLITDFVSFKQSKLTNLCKCSPLYEAALCFWVECFGGSPWMI -SESLNDDCLRESRIMTKLSLLTLLEDKAKTEELQTMMRYVVMEGFVSEPEIPKPQKMIGKLPKILRSELQ -VYFLSRMRDSMSRISKGPFQLRKKDGMISWSGLFNPLTGNSITELQGLISSCYNGYFKNKEEETEPSALS -EMYKKIIELEHLKPESDKYLGWDDPIKPQMHEFSRSYLKEACRHGKSLLKRLYGQNVMDQIDSQITRDIS -SLTLERLATLKATSQFTEDWYVYKDVKDKNYTRDKLIVKMSEFASNGKTLAIEMFEDCMRKIEMRGSMHI -CLFKKQQHGGLREIYVMGAEERIVQSLVESIAKSIGKFFPSDTLCNPANKVKIPESHAGRARKHCGGPVW -TCATSDDARKWNQGHFVTKFALMLCEFTHPRWWPIIIRGCSMFTNKFMMMNLNYISILDGHSELNIRDEF -VNTLFEAYHGQIEVPWIKKGRTYLQTTTGMMQGILHFTSSLLHTLHQEYTRSLSFRVISMKVGQDAGSRV -VCDMMQGSDDSSMLLSFPSSDQSQFLKFKVAVAICFRLKKKLGEYLAIYPSEKSTPNTDFVMEYNSEFFF -HSQHVRPTVRWVAACCSLPEVETLVARQEEASNLLTSVTEGGGSFSLAAMIQHSQCTLHYLLMGMGVSSL -FSEFCKAILKWKDPGLGFFLFDNPFAAGLGGFRFNLFKAITCTDLMRIYAYFMRRVKGLDHPEDIPETCS -VSPGGAIILSSSLKWGSRKKFQKLRSRLNIPEDWVELINQNPEVLYRAPRTGDEILLRIAEKVHSPGVVS -SLSTGNAVSKVMASSVYFLSATIFQDAGKPEFSFLEDSKYSLLQKMAAYNGLNGVDDLEPEDIIFLFPNI -EELESLDSLIYDRGEIQIINRSSRREATQSRVTVFDEVRTMRTSPEKLVSDKWFGTQKSKVGRTTFQSEW -DKLTKVVRWLRETPSETLNLSPLHNQIQIRNFFARMEGKQRTVRITGAPVKKRSGVSKLAMVIRDNFTKI -GFLKEIEDLSGMSRGLLSESLKHIMYCVLQGPYTDEAKLVKCYQVLNKSPLLVLKPSDGGSKTNKLAILQ -KYANDCKDVITSLEELGAGVLGGFIKTQLSKLVDGQVHYYGLGVWRGLMDGVQVQIEVDNKIGQPTEMTS -VSLFGTSSPWEVSQNIRSWAEDMDVKNNTDISSKVKHGKFWIHNFRIFGASKPFGCPVYILNAPMLDLRS -LKESEVKMKVRRSTINLYTRTVSGRDLHILSYTASDTDLSPMSLRNSKSEEIENIMTVFSKEPSFSWIHC -KPLDIKFLEPMLDVCEGIRSIPTIDPCRLLNILKTCCESSLRIKVGTIFSYTPSSSEMTQVDLDAVMDLM -LDDIESDLFGGIVESLDQDISGPYDIEEFDTSDVYLFGPAHYKEVSNLAMVSHPLMDKFVEILVDGMGRQ -ALRRSLEHGVCQSRFKSHVSILFRALGRDPRSLRIEEIFDDMDSSPVVDDMLG - ->YP_010086191.1 RNA-dependent RNA polymerase [La Gloria virus] -MDEIIKKQIPLSDGFNKWKLNSYVDSLYAYELPEFDLTKMGSSLRVELNFSNADPNSTIGSTLISSPDIL -IPSKELPNMVHNLTVGHLSEATDRMFSSVFEIKQDGFDGHSPDMIIETASGSYYVIEFTTFRGGENGAMK -AALNKIGKYEIACANRSHGRKLLLAVIAVHRQGVISNLMLNDEEVNELVYRYKLSLSIFREAMKLCPELT -DDDSELTKTEREVLGILSMIDVDWSVTESSFPYFKKRMFDQFRGLIPDENYMTEIISKEMQNAQEAMIKS -SFSESGRTLDERLSMNLSECDSFLSEFTSNYNRLDHARDIYDSKSTVQIPSWFTREGPKGKDLNPLKEFS -VAGDHPMCGIWSKVCLSAQCDEILRMDDSFEDELFFALNGGMERPDERNKYHRVKLELNREESDYIATLG -VNGKKHKDSPSSQEARKRSKLCFRTDHDVSRIEGFLNDHRSFMFATNNDLYCPLDEDYDLRIAAQSIHQP -TLTANQGANEFLTNHSNFLHSPLGSWTQMVSLIGAELSASVKQHVKPGFFIVKRLKDSQIYLLIKPTLST -SHIFVSLAVSKFHLEGCLWGDGVFKQPIDAGDLLVTDFISYKMSKLTNLCKCCSLIESALAFWTEAYGFL -AWESTKTASQDRSGSAQEVRYMTKMSLLTLLEDKSATEELQTIMRYIVMEGFVSQPELPKPQKMVSKIPT -ILRTELQVLLFNRVISSMRRIAERPFALNKKGGQISWSFLFNPLSGSPLKDLQPLISACYNGYFKNKEEE -TEPSVLSKMYKKIIELEHLCPESDRYLGYEDPRDPSMHEFSVSYLKSCTEHAKQLLKKTHGQSVMAEIDS -QITREISSITLERLATLKATSNFDENWYIYKDVKDKNYTRDKLLVRMSSYASDGKTLAIQMFDECMKKIE -ERSAMHICLFKKMQHAGLREIYVMGAEERIVQCIVEAIARTIGSFFPSDTLCNPSNKTKIPESHGVRARK -HCKGPVWTCATSDDARKWNQGHFVTKFSLMLCEFTHPRWWPVIIRGCSMFTNKYMMMNLNYLKILDGHKE -LNVEDPFVKDLFEAYHGERQQPWIAEGQTFLRTKTGMMQGILHFTSSLLHTIHQEYIRSLTFKIMNMKVH -PEASFKIVCDMMQGSDDSSMIISFPSSDPQILARYKVAAALCFRMKKRLGVYLAIYPSEKSTSNTDFVME -YNSEFYFHSQHVRPTIRWISACCSLPEVETLVARQEEAANLMTSVSEGGGSFSLSAQIQQCQCTLHYMLM -GMGVSSLFGEYKKAILKWKDPGLGFFLLDNPYAAGLGGFRFNLYKAITRTSLQKLYAFFMKKVRGGEDRD -DDLDNPLVPETCSVSPGGALILSSSLKWGSRQKFKKLRDRLNIPDNWVDLINENPEVLYRAPRSGHEIML -RIAEKVHSPGVVSSLSSGNAVAKVMASSVYFLSANIFEDSGRPEFSFMENSKYSLLQKMAAYEGFSGSDD -MTDEDLLFLFPNIEELNQLDIVVFNRGEINIARRANLKEATQTRVVVFEEHRALRVSPEKLVSDKWFGTQ -KSKIGRTMFELEWDRLKSIISWLGDDPASTLVQSPLHSHVQIRNFFARIEGKSRVVRVTGAPVKKRSGVS -KLAMVVRDNFCKVGHIKDIEDITGLERSQNAELLKHLLFGILQGPYSSEAKEDMCQKVLFRTPLLEIKES -DGKTKTNIIGILQRFVGNDTSIVDLIKEVGAGTIGGFLKAQESKVVDGKVNYYGYGTWRGVMDGSQVQIE -IYNKIGMPPTLQMITVSGRTSPWHICQSVRAWCEDIGAKNNVDVSSKHSFKTVKFWLYDYKLYGSDKPYG -CPVYLISERMTDLVNITSDRISMKIRRSTINLYIKESRSDMHVLSYTASDNDISPISVRSTNRTVREIMS -MFSKDPSSSWMKCESLHSVFIGKILDLSEGKIKRDHIDPERLQHIIKTCTESSLRSRVGTVYSGIPKVIE -SNQIMDYDSIIELMIEDFSADGFEFAVQELAADLEEEYDNEEFDMSDIDLFGPAHYKEISDLAMVSHPLM -DEFVDNIVHKVGRKEIRRALENYVCTTRSRPYLINLFRALKRDPLSLRASDNDSEEGSEPDDDMIG - ->YP_010086183.1 RNA-dependent RNA polymerase [Apple rubbery wood virus 1] -MFKIFLLLLFLVHLSCLNIDMELPKTINASIEGYYVSSFVPIESYSRTGNFVRLMNNKFVSELVKIDYNN -PNFIHDGVCFAIFGKFGTDVRYKTMTPDFLGDNFILEITTSSSSFEDVLKSKLEEKISKYEAIRQTKKLV -VIVVSYDMIISNYEIDERLQAALISNYINLKKLQCELLTEGKSYWVNTQESSLKRRLSNILKNYVQKANF -DQGKKAFELIDDVWDKFNYSESVKSVFEEVSSQVSMDIVSPSYLEKKQNDVFPPQKGKKNMKRITVVPMI -CPSVRKSSRMIRENDLDKLVCKSKCKLINSSSETQTTQDEFASKMTIFEVMSGYYEDKSKDKKEKKGYQF -KPELTSEELLELNLDGIMAKEARDIVEVKQKRLESKEPFSYDVDVNDIEEFRKGFPSLLVEEMDEVPKDE -CYPLMKMAHNCIIDANVNLMDQGELLVKEFNSENRLKLFNWLNFVSDLMFEINLSLRENTKDGYFIVKCL -KRYDADVYIKTTNSSSHIFFFVVCKLEYSLSETIGPKFVRKDGIVVTEWGSMLKRDLENFLNIKETVRST -FVCYDEIFESHHEEAKLNAKWLSLMILLNNKDRNEEIITSLRYTYMKSLSITEHSSTMNKKLNLLFRDRL -QVYLTRKVLELNNCLSVSKPKITFHLGQIEIQGLKDPFERELTFKEAINLSYAGYIMTKVKQPQSNQASK -MIEKILEYEIKYLEVKPEEISINKIFSPKPHCFDYEFVRVFCEMSKELLKQSYGNQLDKIIEDKVAANLS -SINFESISTLKASAKLNINGKFSEENLKQLKSSRPRVLTNIMHLIETHEPVHYIDLIEPCIALIIENDSF -NVELFPKDQHNGLREIYVVDIHVRIVQLFLETISRSLCSMFQSETLNHPKNSTGMIPKVLKLSLEKNENP -FCLMKSGDASKWSQSQIVTKFYIVLKCFLPIQYHKIIKDSLSLWFDKKIFLPPALIESFMNTNFQTTNEI -LNNLREGEYKMIKEKDDMFMRVNSGFMQGILHHSSSLFHTIVQEGFRQFQFKFLHESFVLTKHELSLKNL -YISILQGSDDSSMSIMGDAKLFKQNKGLFLSLMHLKDSMSYKFGIIPSSEKTATCVPFIIEYNSQWLALG -KSIQPTIKFAMVSCQLPAAQSIIQRQEFYYNLLKDCLEKGCSTRTCFMIKLSQLSSHYNLLGCNNTSSFN -STSTLLQDCLNPSLGFFILEPAIATAVFGFDFSFYCHTQGSLIKFLPDSLSSPHESNLPSGNTLRVNAHV -SKSSWKKWKDLVESVGTITDTEQNFLDNNAIIYYQNSCDTYSSKLKIKQKIQDFDVKQSLSSRYGITENM -AAFFCTFMKVCKVGKDKDSLIGWMLKCTEDKISNLSHMFPKCALYNEIIHYISQLSYQSYLVDADYVQRG -RNQVTVLHNKTSEHEMLCDTIKYVWFDLDIDEVTKNAYRKLFNEYKAEFDWLKDTEKETREHMKCSSRQL -ANYIRNVVGKNRTLTFYDTVAKGANYPYLLTRIFQKGKKVQVVKRKEQPSSIDNDLIFSNLKVLLNLPYT -DNFKTSTVKSLVSRINENELTSKEAKKFKILKLLLEEQLEDLESEFFKYSFDSHLSWYSQVQHFNHKTKE -WEGLGCLTTVIAFLVIKTHILDDKIIKISINDLSSFRDNLSTYFKIIKDQKLSYNDDVGDDLKLSKLGLS -KDIKGTKIEIIPELKVNLSSLRNSKLAYSNGNMKIVAPMFSEDNKDFFRGKLCNLQTLYQIEITTKASNF -QDMRNADHKGMIEKKIWVDSLNYKSVRDGPVLGIRHQIGGRDVKVSTIMNFEIWKKITLSTSENLFEWGE -LGTMIVNQEPIIIENFVTKKLNDLPPQLPIENMFNYYMEENNFDKEKFLSFMSKVEFFHTITDPEYDETV -KKDMVEEEQENLLEALNNLIFNSNKLQSAIIKTIEFDTCLTNLESTLLEASADFNYSRFIIYGIKDTQTT -FPSSLLYKFNHELKRQVPDDDELLEIMSKGDVNNLLTVYQKICLKLLFDTPLVMPSINTLRKLREYVLLG -YAPKSSRSSRSSSRLGLYVPDDDFESQLIKKFKMSPKMADFEFILREEMKEIKEAIEEISKMVDKTSNRR -VKMPLITQLSELIEGLTLLQHFERNLPKNDDVKIISSDSSSNELVNYSSSEDQDEMSQASSLSTDGNDDF -NLFELLMNSYNHVELPKQKLPQYVKLNLVEYFSNGFSNVENLLKNCECTFHYNGIAVVENGSSGVEIWWE -DAKEDFIIFSHKKVAEIPFMEKLINKQILPISSYPEGSCLFNSVKHLIKKNKGLEFTQEELRKIVFTSTF -QIELDVSDHRKDIMSNSVWGQEEDISTLCHELNISIGVVTDYSFLLYNEEADYVGAIINYNNTHFDVIE - ->YP_010086139.1 polymerase [Zaliv Terpeniya virus] -MLLAICSRTNPQRGLNCPPAVTYTSSHMRPPIPSFLLWTEGSDVLMDFDLDTIPPGSVTGSSIGPKFKVK -TQAASSFVHDFTFAHWCDASDMPLKDHFPLVNDTFDHWTPDFISQRLDGSKVVVEFTTNRSDQEQSLISA -FNTKVGKYEVALHNRSSSVSILFGVVVVSETTVVTNLNLNQQEVDELCFRFLVSRAVHLEMTTKMIIPEY -DDVDEDKRSREVKAAFHSVQPDWDTTEAKFSPFSRRMFSNFSQMEPDKEYLAHIVVDSIKAAQDDLDKNH -YLSESLTEQERLDRNRDESLKMVREFETEFNKGAERSAWSHKSTVPFPGVIPKVSGDTTSLSRLTELPVI -TGGTDATIRAWRTAYGSVSNGTVERCDEDVERERRAALCSLSVEEIEESKALRMKYHRCKIDNGMMDKLD -LALQGVEAKEFRNHPTIIKKREESKKTFPLTTDTRDIDMFLHQDDSMFNQELSQTPPAPMIEAVKAGAEA -QSLHGLEKEDNPWYQSALTFLSLPIGLWLFMCTCIGVELSISLKQHCGRQKFIIKKLRFFDIFLLIKPTN -SGSHVFYSIAFPESAITGKLHRSQCFKGLQFEDGWFWTEFNSFKMSKLTNVVKCLSTGFNLFWFWRDFYE -VPFWAGSEKDYLAGKQRANKMFKFCLLMLLEDKARTEEIATLSRYIMMEGFVSPPCIPKPQKMIEKLPEL -ARTKFQVWLISRMLQTIIRISDYPFKITAGHKSASWSGMFNWVTGEPVDSTQKLISLFYLGYLKNKEESP -ERNASVGMYKKILEYEDKHPGRYTYLGLGDPPAEDTRFHEYSISLLKHLCIHAEHNLRQNWGESFKAMIS -RDIVDAIASLDLERLATLKASSNFNEEWYQKRTDGKVYHRSKVLEKVSKYVKKSSSHVHHIMEECLKKVE -SQGCMHICLFKKPQHGGLREIYVLGFEERVVQLVIETIARQICKRFKSETLTNPKQKLAIPETHGLRAVK -TCGIHHETVATSDDAAKWNQCHHVTKFALMLCHFTDPLFHGFIIRGCSMFMKKRIMIDQSLINIIDAHTT -LETSNRYLQLIHRGYHGSLEDQPKWMQRGGAFVQTETGMMQGILHYTSSLLHTVLQEWLRTFSQRFIRSK -VSVDQRPDVLVDVLQSSDDSGMMISFPSTDKNSTGKYRYLSALVFKFKKVIGKYLGIYSSIKSTNNTLHL -LEFNSEFFFHINHNRPLLRWITACDTISEQESLASRQEEMYNNLTSVLEGGGSFSLVSFCQYGQLLLHYT -LLGMTVSPLFLEYIKLVSEIKDPSLGFFLMDHPFGSGLSGFKYNVWVAVQNSILGSRYRCLLEAIQNSDS -AAPKKTLDTTTSGTFVQSTIIRFGDRKKWQRLVDRLNLPEDWLEIIDQNPEIVYRRPRDGTEVSLRIAEK -IHSPGVSNSLSKGNCIIRVISSSVYILSRNILSDGLAWLYDEEDELKRPLLFKVMNQPDLDAHSRLTPAQ -LSTLFPMMAEFEKLQTHLRSYMKIDGEFISKKKVITQTRINILETERFLRARPEDLIADMWFGFTRTRMT -PRTFKEEWDHLTAVFPWLKNNPAETLEQSPFQHHVQLRNFFSRLDLKGRDIRIIGAPIKKSSGISNVSTA -IRDNFFPRFVLTHIPDEAALERIEAAGILKHALFLTVTGPYTDDTRLEMCKDFIISSEPILLKPNHGKTR -SNVLSLFQDFFSMRGPDIIFNRIEMANCGVVGGFTSPQTPKEIDGRIVYTGDGVWRGIVDGFQVQLVITY -MPKQKINQLKSITVNSDRSIATLSSFCHSWCKEMGVFNTEDFSKSQRFTKASFYMFNFKISGSRQTLGAP -IFIVGERIFRPICWDPSKLEFRVRGNTLNLTYRESIPKAGQRMFNILSYTVKDTDVSDENAFKLMSLSPR -HKFHGREPSTSWICMRALPINTIDRLLDRILNRERISASIDNDRLAECFKNVLESALRRKGVFLSEFSRA -TQKMLDSLSRDMLDFFAEAGLNDDLDLEEEPWLGGLDTFSLDDEDYLEEYNLGPFGMFSVEQEMNTKYYH -HLLLDSLVEDIIQKLSLDGLRKLFQESEAPVEYKKEVSRLFSILQRDASRIVWKSRDLLSENMGLDVEDD -MFG - ->YP_010086238.1 L [Blacklegged tick phlebovirus 3] -MEILERKALNKNPNMTHASRLEALTEVLLSEEKFLTPGLHSPLLEVFESERWEAQPKVQVSVEGSHDLRL -EFPDLEEWRGSSRMSAMSVVNQVWPQVKMSNVRHDYTAGLFSRNLDRPLKSFFKPLHDHNDDLTPDVIQE -RNGIILVHEFATTSIPDINRMKEMFHMKHLRYDSALSARAEKEGKTVIFSVTVVGPRLVVSSLPLTQEDV -TELCIRLLIGRQIEFSLFSQRVIAHPAEKEESDRIQAARVAFSQIGHDWERLNSEFPSCSQEVYEASKEK -PDLKYITEQLQAASIKARQKLRKEHFLGEEHPSSISDRLKLNQEECVAEINKYLELNEPPEPQLIYNTKS -VVQIPWWIPERGTGSNSLSIERSPGNECLPDIGESKEDTFLCWRSAWDYATQHPEHMTEETIDVEIARAM -TETPEEEVELSEEQQKHAAAVKLQTAGVLEKTPMGMRSKYRRVQYEVPYWTSVELAKRGIEGKKRRDEPE -VKAHKQRSKRPMSYKTEVADLDKLLDRAQRIFTNTYSFKGASYDEHMLPDLAGYAYNLHGRGVGEPWKKF -LKSFLGCHLGKWSSFVSDLATELAISMKQHCKSGQMLLKKLRHFDVYVLIKPTNSSGSIFYSLLTFKAGL -SVDPLSGGTVFKQDFENNVVRWTEFNSVSASKLMNLVKCKSTMFTMLAYWMEFFGLKFWEHELNEDSDQM -REVWRMVAVCLMVSLEDKAKAEEIITVSRFVYMEGLVAQPALPKPHKVLGKLPVALRSRLQVWLVGKVLD -AMKRISLRPFDLNSEDRRPKWNFMFNLFTGRVIEEPMQMVSMFYIGYLKNKDESPQGNSSGALYDKVMEY -ESRKPSSNKNLGLGDPLLDDVKFHEFSRSFLSYCVDLSKAKLRKMWGDNVQELIMDDIMESLGGYTLEEL -GTLKASATYDQTMYKYDAKKTYRRKKVVEFTAKKAQDFTHVHEIVKESLEAIEENGCLHIDLFKKAQHGG -LREIYVLGPNERVVQLCLELIARAICRRFPSETMMTPANKFKLPQQHNKNARKNCGNNFTTTSTSDDASK -WNQGHYVSKFAMMLCRFTDPILHPFIMRACALFTKKVIKIDDQLLKAFVKHDEDIFSSPHVRKMHAAFKG -ALGDAEYSHVEPGMTFLKTSTGMLQGILHYSSSLLHTILQELMKDVIEGRLKRHLTLLKKSSLKPYVTVM -QSSDDSSIMISFPIDKDRPELTCQGYILAWESFQMKKDLGLLLGIYPSEKCTTNTPWVTEFNSEFFFMSD -LIRPLFRWVAAVNTLSEHETLAGRQEEMSSNLSNVLGGGGTTSLTASCQLAQMMLHYQILGSGVSQIFSR -YVSVLQQDPSVGFFLLDHPFMAGLCGFKFNLFKAVKCTKLGDKYRRVLVTQEEVSSDRKAAGLTGKTMVT -TKAGSIVDSTIVSMSTRRRWQKLVSGMEFPADWREQIKENQEVLYEKARTPEELKLKLAAFMHSPGVVSS -LGHSGAVVRVMASSAYCLSHPVVQDRTDWYCSLETKTTKTSLFSLACEESLTEGGAEPISDEQLLALFPQ -KEDFEDLERLGETFSIISGSPVTRNRPRVTTRVTITGSNAGSTFSLLDIVRFMWFGTSHVHASPGHLQNL -WEESKRRIPWLRDTPGETLEASPFVDPQSLHNFIAGDPVKGRVVVMSGVPVKRSFGVSNLYTMVSENFAP -QYRLANSVDVEARGRTEGFIDLRHTLGLISQGFFHDAVKVDLTKQVLKANVRIEVDTGAARSRRNCLAVI -QDFAVHEDIERTKTMIFSHKLGSLGGYSVRQRLVRPEEVQEGEQSGYFGRGVWRGFYDSTGLEIHLDRPR -TDPLSHITAVVVSGTHDLNNVIRFLKEWGKENKVNNDVHCRGPHVPKGRNVARIHGFSFCLGERGAPVLV -NERVAPYLHSEEFAKVEMTVSGGTIRLVATPRYQGARSGTITLLSYTSRASDIDVMRRGVATKLGLKSRG -LNSYVRAWLDCIPLSSQQFESLIQRLKRERGTGAVPKPHWEGRKDGYVDWPKLESRLRELGEIQLRYSGI -LRMSHAPEGQETENLDISEEFDPSYFDDFFTDDATADLGVMLDVLQVTTPVEEDGNVMEEDNMFWNVGDE -EMEFGMDLIRQLEFQEAREEIRITHPYLKVAVEQIVGALGGTERAIRAIMTRSVPASVKDSVVDALVVIF -NWWGQHVDRPLHSAGSDDEEEMGDDVDDL - ->YP_010086231.1 RNA-dependent RNA polymerase [Yongjia Tick Virus 1] -MSLAPPLNDICSRVKPDHPFNVPPSRLYSSSRLPGLPQYNARRSGGQMSISFQPQELTSASTTGSSIAGS -YSLLIPESQTFIHDFTYGVWTSATNQKLRDHFPVLMDGDDLLTPDYVSALSPTEKVVVEFSTVRHIQISS -LETSYKKKSNKYTRALSARATSECSVTFFVAVTCSEHVLTNMVLTQQEVDELCFRHRVAMDIAATLTAEG -LTFDGFGEESQAAREAKILFESMELDWSKVEGMFPQITKEMYDAWREEPDLEYIRNLMTTVMEDSTKVIR -DDTKMCEVEYQDLLHEKLAKVKVMIEEFRKGVDSEAKRDETRHKSTIPFPPWVPLVLPKSDGSLRKIHDL -PGFRAGSTSEGRLWSDVLYSVGTGEVEIQNENKTLEYMESLELLVVEPEEKKERRKKYRRVEIKLAPEDA -VDLAKKGFQGKAHRNNSQVKEARELSKQTFKLSTNTNDIEHFIHADTDVFDVCNSQVLPEEMREAFKNIE -LGHALHGVAEDQNQWTQKSRAFYQTPLGIWLAMVNSIAVELAASVRQNCKPNQVIIKKLRMFDVFLIVKP -TNSSSHVFYTLAIQESSLHCLLSTSPVFKKFDKAGSWYFTDMHSVKIAKLSNMTRALSSVHNLYWFFRDF -NEVSFFQTHSYAESQGLAEAERMTKVAMLVFFEDKARTEEIITNSRYLFMEGFVGPPATPKPEKMLKKYP -SFCRTKLQVWLMKRSFLSMLKIISAPFACTSHHGRAQWTNMFNMFTDAPVASPKRLISLFYLGYLKNKEE -GPDRNGNSKLYEKIIEEEDKHPGRREYLGLKDPPIGDVRPHEYSPSLIKVMARTGIAILERDYGKNIHER -IKDEICTAISSISLDDIASLKASSNFGPDMYKTTHEKPYRRRKVATALSPMIKDGRTHLHHVITECLEKV -EDKGGIHTCLFKKQQHGGIREIYVLGIEERIVQLAYETITKQICKFFKSETLMNPKQKHIIPTSHGYIAT -KICGGIMFTVCSSDDQSRWNQTQHVSKFAAMAIQLLPVEYHAFIIRASALFMRKRIMIDPALLTIMRTTT -NLETGSEIFSRMHSAYHGNSEEKWLKAGEAFITTETGMMQGILHYTSSLMHTLFLEYYRDYSLKRMQTEC -LLPGTKAHMDILQSSDDSSVLISFPYTDVHYGLRCRYFSLILFEFKKRLGRYIGIEASPKSTSGTPYVVE -FNSEFFFHNNHFRPEIRWIAAADLVCEHETLASRQEEMSNNLTSVLEGGSSLSLVAFCQIGQAMLHYLLL -GMTTTGLFIDYCRSLRWSCDPSLGFFLMDHPFAAGAAGFKYNLWVACKFSKLGVKYASLLRLVEDAELAV -EKEDRPTKFASLDTTRTGTFTGSIGIRWGDRKKWVEELEKMDLPEDYLEITDKSPSILYERASTSEQVKI -KIAEKMHSPGVTESLSSGNSVSRVIASSVYCLSQQVMTNSSEWLDTRNDDPEKHSLFYYVKNSTSQLDTS -APSLSNEQERLLFPLSDEYSRIDSNLRGLSSIDGNRILEPRPTIQTRISIVETVKFLRCTPVELVSDVWF -GTTRVNMSMQALQEEWEQLQQVLPWLDRCAEKTLKKSPFKHHCQLRNFLARMSPRGRMIHIVGAPIKRAS -GMSNLMTAIRDNFFPGFILTDSFDAYSKDRADRATVLKHYVYMALTSPLKDEEIRKALLEIFTKCPDIGY -AYSMKKSKLTSLAIIQDWIKNGDDKLAVRRIENSGSGVVGGYSHKQDSRLVGTEVVYFGDGEWSGTVEGT -KMVISLGMGRESGKTALHSITINKEMEAGSITRFLRQWAYDHHVLNDEYGWMTNPPDNKGLKVLFWMHKF -KLTTKWVKGSIPIHIEAKVSHRREALPLSSHLRLTVRNRTINLVGHDGRSFPSLLSYTATDAEASTAVAA -RIREELAALRNPSDAEMEFLRREPSRSWFSMDRLTVKTIDSLVERIYRPMQREENVRLDRVKTQDLIKEL -TGNALRRRGVGMSDYGAIARLPDVEPVPENGAAEPEEESLDDLMNQIMLYDDEVVNGPPIVKWEDIMEDP -YKAAEYEMADPLNADDFHLIAFGSAQVRQDYSVRFYEHPLVDAEVDRMIQQFPTDEISVYLRDRVARSCR -IQEVEKLNKLLSLSDAGVIYVDEEPGGGLDVEDVEELG - ->YP_010086229.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 2] -MSEVNSLVNLVARTLPTTRTDYSSPPPGLFINDQTFSSAIQYEVARNANDINVVFHVPDVLEGTGSTIRA -QPQSHLTLQASTHFVHDFTFQYLSRDTDVPFKKFYETVNDGFDNHTPDVIIEDIQGYTAVVEFATTRMCT -ESSLMKAFKTKIGKYSVACINRGRQRPTLLFCIVVSESLVVSNLNLTNDDVNELCYRFNAAVDVYSHLVL -HDMVPEMSDSDTTVQGSEVETAFKDIRFRWDVTEEKFPPFSKQLYDSWQEPPDQDYIKKMLAHCTQQTID -ELKREHLIDSTDTKTNKLDLNWLECTKKVNEYRTSFHKPRKMRGRLSHKSTIPFPGFMPMVSEYPSISVK -EILKKPSNFPTTKNPTGRAWNEAILAIARGKVARMDEEQETEEKFLSGTITKDEEHSAKALRSSFHRVKL -DLPNEVQVELAKLGVEGKKWKSDSGVVQNRAEKKKGFPLSTDVSDINKFVDSTKDGILEHERITMPPYLK -AILQSDWDAITTHGFKDDELPVKKTVEGFFNSPLMLWCSMVSAIGMELALANKQHCKPGEYIIKKLRNFE -VFLLIKPTKSNGPMFVSLAWHAKDVSNTYLTDYMVFKATQQVGDWCWTEFHSFKPSKISTLIRCTSTMNN -LYWFWREQMDIKPWEKHPNSVLMESVSKMMKISLMVMLEDKAATEELCTNFRYTLLEGFVSDPCLPHPHK -MIEKLPDVARTKLQVWLINKSLNLMQDIALRPYQPRGDRGRMVWENLRNPYTGEAVADPYRLVNLMYLGY -LKNKDESPEKNGCPALVRKIVKMEAAHPGRYEYLGRKDPPLDDIKTHEYSVSFQKYVCDVALVHLKATWG -PNIVQSMHMDVLNAFCGLSLDRVGTLKASSAFDESWYESDGSTPYHRKKVIENLEKFITPMNTHVHHILR -QCLETVEDRGCMHIDIFKKNQHGGLREIYVLGPEERIVQLGLETIAKQVCRRFKSETLTNPDQKTRIPET -HGKRARDSRKNKDVHLRFETVGTSDDLKTFNQTQHTTKLALTLIKFTPEVLHPFIIRACSLFMKKRIKMD -DDLLQIIVNNSQMQTEDPVLEELHKAYRGNCIPPPRWAEAGKSYIKTETGMLQGILHFLSSLHHTTPQIW -FQYHCYHVLSRVFGRHRTGVLVDVMQSSDDSAVLISYPWEDKEKGIQCRLLTAMLLHLKKKIGVFLGLYP -SVKCTTHTLFFVEFNSEFFFHDDHIRPTIKWVIACDQVSEQEAIVARQEEMSNSLSSVLEGGGSLSLCSL -CQVGQSVLHYMLLGASVSFLFDRFMGEASILKDPSMGYFLMDHPFGAGITGFKYNLWNQVRFGTLGAVYK -LFMQTIKEDSIPEDKVRVYRSLETTSCGAMASSVVVRWGNRKKWFRLLEAMNVPDNWEGLLDANPECCYR -QAKTTEEVKLKVAEKLHAPGVSASLARGDHISKIVSSSVYILSRNVVCQGTSWMAPETSELRREPLLRMI -MRQNAVSVTQRDQLTELEINTLFPMNKEYEHVKDILATYMSKEGQNVQGRRKTVQTRVVVFHREEAMRAR -PEDVLTDVWWGLRRSGLTLPALKEHFEQLQRVLPWLTKDPNESLRRSPFLHHHQLRNFLSRMEIQGREVR -LVGAPLKRKLETNIATVVARNYFPQWELNLQYDPRAQSRAKLSEAMKHICYMLTVGPHTDERRQDLIERV -LLDCEQIPIVGGAGKTRTNTLALLQKFMKNRGDAFQFNNEMRKANCGIIGGFTKPQALVERGGSLVYSGR -GTWQGTVNGQFVQIEIDTFDGESQIIEVITNSLEFARLHLGPFLRQWCKDMGVSNTKTYHDLQSPLIAHN -CEIYSYGKGVPVKLSSHIGRQQQLSMRKMELKVEGTCISLVYNEDDSRKMRILAFHGRSTDVSKQNIGKI -EDLLQGQKWVNGEPSNSWMLLKAMRWGTFNVLKDLYEEGQRIPGVDFERFRQLLCESALHNLRVKGYILH -DAPPVRVSTTEATATISNLWNVDLTKTITETIDYIFGPPKPKKAQPALDADGQPVAGPSGIGHEGPAFRA -EVESIPSWGEIMDMGSSSDDDYGWEPAAKREKRPEPERPAEPLEQKEGFFDMPDLDLTDVVDVDAFGEPP -FEIMPQTLYCHTDLAKNILDNGVYSKLGTQDVYTLLSTGSCLKGNEKESRFLMWLLRREGQNINILSTPD -VRSEDYDVGPDNADISQFG - ->YP_010086227.1 RNA-dependent RNA polymerase [Lihan tick virus] -MPTTRLHSLKALAQLTDLVSYKSLYVPGRKTININREQFPAPHISAERSYETVTLKIHLDEGGSEAGSSL -HQQGDIVVTVDSAHTFIHDFTFAHLSRETDVKFSKHFPQYNDDFDNYTPDVILSEIGDRTVIIEFATCRA -TEERALEIAYENKIGKYYMACKNRASQKCKIALLCIVVGYDTIVTNMNIPQLDAEELCLRFSTAVDSYSY -MSSRSMLPDLREGDVTTNGMSVQNAFKDIRFNWDVTEEKFPPFSQEMYDGWFTPPDEKYIEQMVYNSFQK -VNDDLLYQHFYNLDDIGWKSTRMGWRADAECSWYCYRKSNEKTRMMNTIKSTIPIPGVVLRLCRDPGLNP -ANMLMKDTIMIDGDSTMSRLWRKVAYELNLGTVSRVEEDREYEEKIMRGEASVMEEHTAKAMRKEFHRLK -VSLSEDDRVELAKRGVDGKRFKDHPDVKRNREEKKRGFDLFTDVTMFDCFLEEPFEDFIGWEACALPDYV -EQIIEADVESLHAHGFRSEDETPMIDSIKGFWSSPIMRWAFMVTCIGVELAIASKQHCSKDEFIIKKLRD -YDLFLLIKPTNSGGPMFVSFAWHTSDVDRYLTETKVFKKFEMLNRWCFTNFHSFKASKIETLVKAASRIN -NLYWFWREQYEIIPWRIEAKAPHLVDVGKMLKISVMVMMEDKSRTEEIITNLRYIMLEGFVSEPCMPRPQ -KMIEKLPTVARTLLQVWLIHKSLNAIKRVAKNPFRAEPRERKVVWNNIFNPFTMTKVDDPLRLVNLFYLG -YLKNKDEPGEKNSAPALVRKIIEMEAQHPGRYDYLGYGDPPFGKMKTHEYSISFQKLVCQTGLDVLKARW -GESIIDSMHIDILNAFGNLTLDRVSTLKASSAFDESWYTYNPDKSYHRKKVIENFQKFITAEHTHIHHVL -KECLETIEKKGCMHIDIFKKNQHGGLREIYVLGAEERVVQLALETIARQICKKFSSETLTNPGNKTKIPE -THGARARAAIRDLSSQKIETVGTSDDLKTFNQTQHTTKLAFTLIKFTRPELHPFIIRACSLFMRKRIKLD -DDLLQILVTNSEIETTDRVLWTLHEAYRGRITPPPKWASVGRSYIETETGMMQGILHFLSSLHHTCLQEW -YRLFCMGAYGNIFKTRGSGVVVDVMQSSDDSAVLISYPLSDEETNTRCRVAAAQLFLLKKEFGTYLALYP -SVKCTTNTLYFVEFNSEFFFHNNHIRPTLKWVISCDQISEQEALVSRQEELSSALTGVLEGGGSISLCHL -CQFGQSILHYTLLGAGVTFLFEKFLLEAKDFKDPSLGFFLMDHPFGAGLAGFKYNLWNQVRGAQLGAVYK -VFLTAVKSDTPPEEKERVYRSIETTGCGALASSVTIRHGNRELWKALKERCQVDEDWEKRFDENPEALYR -QARTREEVKLKIAEKLHSPGVSASLSRGNSTIKIISSSIYILSRNVITQGSAWMDPDPSVLKQKKPLMRA -VLEEKNISLGDYVQVTEEELRSLFPQHDEFCRLKDIMADHQYITGGQSVGMRKTVQTKVVLVQREDFSKV -RPEDILTDVWFSFKRSALTKPVLMEMFESLKETIPWIRDTAEETLKASPFLHQHQLRNFISRMDFEGRVV -RLVGAPLKAKVETNVATVICRNFFPTWELHMHFDERSKTASQKALSLKHFVALTLSEPLEERAKRDRIRD -TLTEFPDLEVVVGGGKTRRNTLALFKDYFVKGRAGAGQFNVSLRTANCGIIGGFTLPQRSRRDDDGRIVY -YGTGIWEGSVNGHYVRIKIETIDGKNQLTEAKAYEDNFVRLQLTPFLRQWCRDMGVSNTHRSLNKDGCMV -VINFELRTYGTGCPVRLVPSMGYVGDMSYDQMEFRIEGHSMHLLQILGWGRSCKILSYHSRTSDITDEGA -DMLERIATSSNWSWFKQEPSHSWYTLTSLNYDTYKFHKEIMEKGGRLGNIDIQAYREILKQSAHYTLAMR -GFTMHDIPPQTITSGRARDFDKQMWKMIKEGGMSKMFETEQARALLEGAEYEEGEDRQEAGPSTSREDED -RRRIAIGLFPMGVNKGRIMESIDVDLFGEPDHPIIPATLFRHPTLSANCVDNIIGTEMTPTEVTAVLEKW -MVTEGLETRAKELLWLLMRDSSKLVSVPKRDPDFEASYKVSEPQLDPSMIG - ->YP_010086223.1 RNA-dependent RNA polymerase [Icoaraci virus] -MDSIISKQQPLDDGFNRRPLIDYDELLLEVPLPEFSVEKFGDGLVVNLDLNNLDETSTVGSTLKPDLKIK -ASKVPNFVHDFTFGHLCSNTDRTFMSYFPMVNDGFNHLSPDVIMTTPAGSTYVIEFTTFRGDSNGARNAA -LLKIGKYEVACQNRTLGGRLMLAVISVHRHGVWTNLELEEEDVNELVFRFRLALSIFAEIQIHCPTTSAD -EDELTKLEREVLGMVGNISMDWDKTARTFPSFNKGLFDNFAREPDSEYVSKVISESLKKSQDKMFKSSFF -DIDDKDERVEKNCLEAEQKIKDYVDKYNADNPDQRPICDHKSTVQIPPWVTLEGPEGKGLSPLKGTIVSG -DHPMCGIWQAVVRAADSGEIERMDDDPALELELAMDGAKERPDERNKYHRVKIVTTPFETEYIAMHGVNG -KEHRDKMLVKMNREKSKKAFSLSHDTADLERFIRDDSTRIFRSAPDLYCPLSEDEELRKEAMSIHQPSLH -YKEGGNEFTEVHNNLLRTPLGSWTQMVSVIGAELSASVKQHVKQDFFVVKRLLGSEIYLLIKPTCSRSHI -FVSFAVKKSHVDSFITVDGVFKHFVDAGDMFITDFVSYRQSKLTNLCKSFSLFESSLAFWTECHGGKPWL -MIDPEIMQEIPDARIMTKLSLLTMLEDKACTEELQTIMRYVVMEGFVSEPEIPKPQKMLVKMPKILRSEL -QVLLANNFFNTVRRIAKSPFLLRKKEGNIQWSGLFNPITGHPTKELQVVVSACYNGYFKNKEEETEPQAL -SGMYKKIIELEDQRPKTDDYLGWEDPEEPKMHEFSRSYLKEVCRHGIQLLKRVYGQNVLEQIDDQITREV -SSLTLERLATLKATSQFTEDWYVYKDVKDKNYTRDRLLVKMSKFAMEDKTLAIEKFEECMSSIEAKGSMH -ICLFKKQQHGGLREIYVMGAEERIVQSIVETIAKSIGKFFPSDTLCNPSNKTKIPETHGYRARQHCKSQV -WTCATSDDARKWNQGHYVTKFALMLCEFTHPRWWPIIIRGCSMFTSKKMMMNLKFMKILDTHLELDTRDE -FSQKLFKAYHGEESVPWAEAGKTFLKTTTGMMQGILHYTSSLLHTLHQEYIRSLTFKIFNLKVGEEYGSK -IVCDMMQGSDDSSMLLSFPSENPEALRKLKVAAALCFRLKKELGIYIGIYPSEKCTSNTDFVMEYNSEFF -FHSQHVRPTIRWVAACCSLPEVETLVARQEEASNLLTAVSEGGGSFSLSAILQQAQCSLHYMLMGMGVST -LFKNFARAAIKWKDPGLGFFLLDNPYSAGLGGFRFNLFKAITRTNLMRVYAYFMKKVKGDSTTDEVPESC -SVSPGGALILSSSLRWGSKKKFFNLRARLNIPEDWKERINLKPEVLYRAPKSGEEIILRIAEKVHSPGVV -SSLSSGNAVSKVMASSVYFLSATIFHDTGRPEFSILEDSKYSLLQKMAAYEGFDGHNDLEPDDVLFLFPN -VEELESLDAIVFDKGRIQLRNRSSRREATQSRVTVFDEVKTMRTSPEKLVSDKWFSTQKSKIGDTAFNIE -WAKLKGIIKWLRDTPEDTLEASPLDSHIQIKNFFARMEGKSRTVRITGAPIKKRSGVSKIAMVIRDNFCK -SGFLAGVEDIAGATRGLMSESLKHVLFCILQGPYTDEAKLLKCYQVLQNTTPIELKPSDGGSKSNKVAIL -QRYSSDERNVIESLEEVGAGVLGGYVKAQKSKSINGNIHYHGVGIWRGLMDGVQVQIEIDNRVGAVPQIQ -AVSIYGSQSPWEVSQSIRMWADDMGVRNDQDLASKHKHAKFWMHNYKVFGPSRSFGAPVFILSKPMYDLR -TLKEDQIKMKIRRSTINLYTTTPSGRDLHILSYTASDSDLSPISLINCNAKEIEEIMTTFSKEPSRSWIK -CKPLELKYMESVLEVCEGKRRIHTIDGERLAAIVKTCCESSLRTKVGTIFIGAPKHTEMPQLDMESIIDL -MIEDSENNVFEEVVKSLSDDIGSFPLDDEFDVSDINLFGPAHYREIGALAMVSHPLMDRFVDHLINNVGR -SPLRRVLESGVCQSRHRALSSLLYRALGRPIENLRVEDLEDEENNEPVEDDMLG - ->YP_010086215.1 RNA-dependent RNA polymerase [Massilia virus] -MERILRKQPNPEGSLTIHQLRRYESTIYDSPIPAYVIKHSSEGVAIDIATAELADGQSGSTIQPFETIPA -QNLTLFKHDYTFGHLADTTDRRFVEVFGVLENRADDADFLTPDMIIESETGHVYVVEFTTTMGDANSADL -AARNKIAKYEIACLNRSAIKPISLYVIAVHFNGVVSNLELSDDDVNEIVFRFRLARDIFEELREINPALF -DSDETISRLDREVNSIMSSIKIDWKVTEAKFPSFRKLLFDRFNQMEADNDYIARIVKKCTDEAIKGIERD -NYYTDDISNDERMQKNSHTATAEINNKIAEMISYEFLRDPNDHKSTVQFPSWITHEGSPGKGLEPLKSVS -VEGSHPMSRIWAKVCTNATIEKIDRMNDDPVQELEYAMSGSTERSVERNKYHRTVLTLNQDEREYAAVLG -VCGKKNSSLGAVKEARIRSKKGFSIEHDISRVSDFLSSDDMSALKPSDELYNPLSKDFNLRKLAMGLHQP -TLVHADGLTPETLSCHMDLLSTPVGSWLQMVSLIGAELSASVKQHVKPNQFIVKRLMDSGVYLLIKPTTS -KGHIFVSLAVDKKVLAGELDNSGIFKPGIDSGDMVVTDFVSFKLSKITNLCKAASVMEASICFWAECYGY -EPWKFLKHVEERRFKEAWFMMKLSLLTMLEDKATTEELQTMQRYVVMEGFVSLPEIPRPQKMLSKVPKVL -RSELQVFLAQRLFSAIQRIARQPFALHKMGSTIRWKGMFNPFSGDPIDELQILISCCYNGYFKNKEEESE -PSALSAMYKKIIELEHLRPLDDLYLGYNDPEQPKMHEFSRSYLKILCDHAKAKLRRQYGRGVMTQIENSI -IREVSSITLERLATLKATSNFNDGWYVYKEVKDKNYTRDKLLVKMTKFAHRGKTLAIEMFDECMNRIEGK -GCMEICLFKKQQHGGLREIYVMGADERIVQSVIEAIARAIGRFFDSDTLCNPTNKMRIPETHGQRAKKRC -GRSVWTCATSDDARKWNQGHFVTKFALMLCQFTPEEWWPLIIRGCSMFTNKFMMMNLDFLRIIDSHKELN -IEDDFVTKLFKAYHGETTEPWIEPGRTYLKTSTGMMQGILHFTSSLLHSLHQEFIRTASLQLFTQRMGSD -AASKVVCDMMQGSDDSSMIISFPADNERMRMRYKLVAAMCFRIKKSLGVYVGIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTVRWIAASCSLPEVETLVARQEEAANLLTSITEGGGSFSLAAMVQQSQCTIHYMLMGL -GVSALFGEFEKAISKWLDPGLGFFLFDNPYSAGLSGFKYNLYRAILGSSLKSVYSFFMKRVKGSSEKADG -IISESCSVSPGGAIVMSSTLRWGSVEKFKKLRNRLNIPEDWREMINESPEVLYRAPQTGTEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGNQEYKVMNSDKYSLLQKIIAFNQFEEGDSISQEDL -LFLFPNLSEFEAFDSNIYDKGSFNVVPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -AGFKQEWDRLKTIVRWLRDAPSDTLESSPFSNHVQIRNFFARMEGKPRVIKVTGAPVKKRSGMSKIAMAI -RDNFCKVGYIQGLEDEVGHSRAMQVEKIKHYLFSVLMGPYTESAKLEYIVRILSEEPQVVLNYNDKRSRA -NTISLLQRFIKNDVGIAALIEDMKAGIFGAFTKVQQFSQSSINHKYYGKGIWKGIMDGYQLQIEVDGKEG -MPSYLSSITISNCSKPWVLTQSLKAWCEDMQVSNSTDLSKSHKNANYWIYGFKMYGSSYPYGCPIYIVRG -DITDLGLLHDDDIDIKVRRNTVNLYVKSKDKRPRDLHILSYTPSDSDISSVSSKHIMEDEFFVYKGLFSV -EPTRSWMLCQPLPWKFVRPVVQLASGQRTAPKQIDTTRLREIIRLCTESSIRNKIGTVYSQTRPESLIES -EPIDMSDMFDMMIEEGMDETFEDLADFLTVDEDPDYMAEVDFDEESLNLFGPAHYKELQSLTILAHPLMD -GFVTRLVTRFGRAKIRRLLEKSIITSDCREVAELLFLALERDPSQIKDELIQGDSPTDVPDDLLG - ->YP_010086182.1 L protein [Buenaventura virus] -MDNILKKQIFGTEHFINPELIHYDHNLFAVDIPEFVVDRETGRVCIDIDLNSMNPASTIGSSIESKIFVQ -DKDLLNLVHDISYGHLSADTDVRFHSKFGVLGDGYDNLSPDMIVELPSGRMVVVEFTTFRGGERGCHNAA -RDKISKYQIACENRSQTVPLSLFVLAVHKDGVWSNLRLAQEDVDEIVFRFRMSLSIYEEIRKLCPEVTND -DSDLSKTERELIGTLSMIEMNWSKTESKFPYFKEELFLKFKESQIDEDYIGQIISECLTKAQDGIIKDSF -FQKGLSIEDRKYLNVSECDTLIKRYIEDYDRHEGLRNVFDSKSTIQFPPWVYGESDDGKGLDSLRGLKVE -GNHPMISIWEKVIRSAETEEIERMYDDPDAELQYALSGSIGRAEQKNKYHRVRVMLDENEMVFAATLGVG -GKKLRDNYAVKESRDRSKKCFSLNHDISQLEDFISKDHKHLFKESHELWSPLSEDVQLRLAAQSIHQPTL -TIKQGPNEFLQNHCSLLRTPFMSWCQFVSLVGAELSASVKQHVKPNQFVIKRLKDSPVFLLIRPTSSKSH -IFVSFAIDKSYHICDVERSSVFKQYYDAGDIFVTDFVSFKLSKITNLCKCQPLMESAVAYWVESFGFNPW -ESQKLLSSDRSTGVRESMSMIKLSLLTLMEDKSATEELQTLQRYVIMEGFVSQPEMPKPHKMLSKLPTTL -RSELQVYLFWRLIRTMKRIAQSPFCISKKQGQISWSNLFNPLTGNDVRSLQPLISSCYNGYFKNKEEETE -PSSLSKMYKKIIELEDMCPDHDDFLGYEDPYRPGMHEFSRSYLKQCTEHAKSILRKMYGQNVLDQIDNQI -TREVASITLERLATLKASSNFDESWYMYKDVKEKQYSREKAIVKMSEYAKTGKTLALQCFEECMSKIEER -GCMHICLFKKQQHGGLREIYVMGAEERIVQSIVESIAKSIGKFFPSDTLCNPGNKTKIPETHGVRARMHC -KGSVWTCATSDDARKWNQGHFVTKFALMLCEFTHPKWWPVIIRGCSMFTNKFMMMNLQYISILDRHRELN -VEDSFVNDLFFAYHGEKSQKWIEPGSTFLKTKTGMMQGILHFTSSLLHTIHQEFVRSLTFKIFNLKVHPE -MAYSIVCDMMQGSDDSSMMISFPARDDIHFSKCKMAAALCFRIKKLLGVYLAIYPSEKSTSNTDFVMEYN -SEFYFHSQHIRPTIRWIAACCSLPEVETLVSRQEEATNLMTAVTEGGGSFALAHCIQQAQCTLHYILIGM -GLSSLFSEYKKAILKWKDPGLGFFLLDNPYCSGLGGFRFNLFKAITRTELKNLYSFFMKKIRSDDEEEGF -ASRCGVSPGGAIVLSSALKWGSRQKFVKLRDRLNIPENWLEMINENPSVLYRAPRTGEEIILRIAEKVHS -PGVVSSLSTGNAVAKVMASSVYFLSASIFQDTGRQEFSILDRSKYSLLQKMMRYESYLSNDGLKDEELLF -LFPNIDELQLLDQLVYDRGEIDIAYRMSHRENTQTRVTVFEGHQTLRIPAESLVSDKWFGTMKSKIGATA -FDQEWHRLKAIIHWLKDDPDETLENSPLSSHVQIRNFFARMENKPRTVRVTGAPVKKRSGSSKLSMVIRD -NFCKTGFLREFSDSQAMSRSNIVEILKHFMFCILQGPYTTETKEMLVLKILKQADIIGTRDSDGKSRTNI -LSIIQNYVNYDQSIIRQIEDLGAGTIGGFLMPQKTKAEENSVFYYGRGIWRGVMDGSQVQIEIFNRLGLP -PQIMEITTDGKTSIWDLARSLKVWAEDIGAKNDQDLSEKVRKKPKFWLFGFKAFTADKPFGCPVYVTDGK -MTDFRLRSDEEVRFKIRRSTINLYVKNSGRDVHIISYTAHDGDISPACLRQRSELLESSLILFNKEPSCS -WVTCQSLPHAFVHKILDLSEGKVTRTSIDSSRLSKIIQVCTENSLRVKVGTVYSALPSHNEACSSVDVDA -LIGLMIDDMSKNNFDAAIEMMREESNVEYDIEEFDVSDIDLFGPAHYRETSELTMISHPLMDDFINHLIS -KCSRKEIRRCLETNKCQQRFLSHFKDLFRALNRDPSEIRVDELSSDSNSDLDDDMLG - ->YP_010086174.1 RNA-dependent RNA polymerase [Medjerda Valley virus] -MNELLAKQAPLRQGFSSGALIHYDDETLHLPIPDFFVRRSLEGILIDLSLDQLDSNSTVGSSLMPNVDIK -ATSIPNFIHNFTFGHLSSTTDQPFSTKFPILNDGFDDLTPDVIIQSTAGSFHVIEFTTFRGREEGARGAA -ALKIAKYEQACRNRSVGRNVGLHAIAVHRGGVWTNMIMGKDDVDELCYRMRLAEAIEADIQIVCPEYRMA -DEEATKLEREMLGIVSSISMDWEKTERVFPSFKKAMFDRFRSNPPDQDYVKDVLEKVISKAQSDLISSSF -IGEGKSLSERLEMNRVECDHAVNSLLISLRDRPDLRSTNDRKSTVQIPPWVFYEGPEGKGLQPLRSLMPE -GDHPMCSIWAKVCTSAVMETIERMDDDPESELHYAMSGERTRDDERSRYHRVRVDLNQEEAEYAATLGVN -GKKYRNVQSVEVARTRSKRIFSPDHDTSDLEQFLLCQDYSDFEPDSGLFCPLLEDMDLRLDAQVIHQPTF -THYEGENEFLTNHRRVLETPLGSWTQMVSLIGAELSASVKQHVKPNSFVVKRLANSPLYMLVKPTSSKSH -IFVSFALDKTYWHRDLMASSIFKNYIDAGPMFITDFVSYKLSKLTNLCKTNSLYEAGLSFWMESFGFCPW -ESGTISEKAQSPSLREVVYMAKLSLLTLLEDKATTEELQTLMRYIVMEGFVSQPELPKPHKIISKLPTKL -RSELQVYLLHRCLISMRRIAFSPFRLMRYEGQINWSGLFNPLSGSEIRDVHPLISTCYNGYFKNKEEETE -PSALSAMYKKIIELEHLRPETDDYLGWADPTEPRMHEFSRSYLKESIEHAKQFLERIYGRNVMDQIEQDI -VRELSSITLERLATLKATSNFNEDWYIYKDVRDKNYTRDKLIVKMSEYAAEGKSLAIEKFEACMSNIESR -GSMHICLFKKQQHGGLREIYVMGAEERIVQSVVEAIARSIGRFFSSDTLCNPSNKMKIPESHGLRARRHC -KGPVWTTATSDDARKWNQGHFVTKFALMLCEFTSPKWWPIIVRGCSMFTNKHMMMNLDFLRILDSHRELH -VEDEFVQTLFEAYHGNQVVPWLLPGCTFLKTSTGMMQGILHYTSSLLHTIHQEFIRSLTFKIFNMKVNPD -MSKQIVCDMMQGSDDSSMLISFPCSSDEILTKCKVTAAICFRVKKLLGVYLAIYPSEKSTACTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLMTAVSEGGGSFSLAACVQQSQCTLHYMLMGM -GTSMLFSEYKKAIRKWKDPGLGFFLIDNPIAAGLAGFRYNLFKAITTTNLQKIYAFFMKKVKGTTAYHEQ -EGVIPETCSVSPGGALILSSSLKWGSRKKFQKLRSRLNIPEDWIDQINNMPQVLYRAPRTGLEITLRIAE -KVHSPGVVSSLSTGNAVAKVMASAVYFLSATIFEDSGRPEFNFLEDSKYSLLYKLAAYDGFNGVDDMEPE -DVLFLFPNVEEFHQLDTLIFNKGPLNITHRSSVREATQTRVVVFDHLQTSRCSPEKLVSDKWFGTQKCKI -GRTAMEQEWTKLKSTIRWLRDTPLETLEATPLHSHIQIRNFFARMEGKARTVRVTGAPVKKRSGVSKLAM -VIRDNFCKTAILNGIEDEIGLNRSVSAELSKHCLFSVLNGPYTEGAKVAMVEKLLIGLPEVGINPPDRRS -RTNMIGVMQHYVREGVGTVKILEEIGAGTIGAYVKPQKSMKKDGKVIYYGHGIWRGTMDGIPVQIEVDGQ -IGLPPQMTRVLVSRTREPWVLSHSIRAWADDMGVLNTKDMKGSVRGDVRHWMFNFKLFGSNHSYGCPLIV -VPDDLIDFRNIEDKDIFLKVRGSVINLFTRSKGIGRDLHIMSYSTSENDISSSSILALVNAQLDSCVDDF -SVQPSSSWIKCEPLPLEMIRPVLEVAEGLRPIRRIDSDRLREIIRICTEAALRSKVGTIFTFVPISSEMA -APVDMSAMIDLMLEDDDFNGFQEVVDEIMEDMDISNNYEVDDFSSIDVHLFGPAHYKELSNLAAISHPLM -DKLIDAAISQMGKAGVRRLVETGRTGHKDLDISRLVFRSLGRDPSSVLADDFDLNLEYEVTDDMLG - ->YP_010086163.1 polymerase [Alcube virus] -MNELLAKQAHLRQGFSSGALMHYDDETLHLPIPDFFVRRSLEGILIDLSLDQLDSGSTVGSSLMPNVDVK -ASSIPNFIHNFTFGHLSSSTDQPFSTKFPVINDGFDDLTPDVIVQSTSGSFHVVEFTTFRGREEGARGAA -ALKIAKYEQACRNRSVGRNIGLYAIAVHRGGVWTNMVMGKEDVDELCYRMRLAEAIEADIQIMCPEYRMA -DEEATKLEREMMGIVASISMNWEKTEKAFPSFKKTMFDKFRSSPPNQEYVKDILERVISKAQTDLICSSF -MGEGKPLSERLEMNRQECDRKIEELLSDLRGRPDLRAVNDRKSTVQIPPWLFSEGHKGKGLSPMKELMPE -GDHPMCSIWAKVCTSAIMEVIERMDDDPISELDYAMSGERTRDDERSKYHRVRVDLNQEEAEYAATLGVN -GKKYRNHQVVVDARTRSKKIFSPDHDTSDVEQFLLCQDYSDFEPDLSLYSPLLEDMDLRLDAQVIHQPEL -SHYEGENEFLTNHRQILQSPFGSWTQMVSLIGAELSASVKQHVKPNSFVVKRLINSPLYMLVKPTSSKSH -IFVSFALDKRYWIRDLMSSSIFKNYIDAGSMFITDFVSYKLSKLTNLCKTNSLYESSLTFWMEVARWSPW -ESGVLCSKPHGPSLREATYMTRLSLLTLLEDKATTEELQTLMRYIVMEGFVSQPELPKPHKILSKLPTKL -RSELQVYLLHRCLLSMRRISVSPFRLMRFEGQINWSGLFNPLSGSDIRDVHPLISACYNGYFKNKEEETE -PSALSAMYKKIIELEHLRPEKDEYLGWGDPKEPHMHEFSRSYLKEAIEHAKQFLERIYGRNVMDQIEQDI -IREIGSITLERLATLKATSNFNEDWYVYKDVRDKSYTRDKLIVKMSEFAAEGKSLAIEKFEACMSRIEER -GSMHICLFKKQQHGGLREIYVMGAEERIVQSIVEAIARSVGRFFSSDTLCNPSNKMKIPESHGLRARRHC -KGPVWTTATSDDARKWNQGHFVTKFALMLCEFTSPKWWPIIVRGCSMFTNKHMMMNLDFLRILDSHRELH -VEDEFVQTLFEAYHGNQYVPWIHPGCTYLRTTTGMMQGILHYTSSLLHTIHQEFVRSLTFKIFNMKVNAD -MSKQIVCDMMQGSDDSSMLLSFPCSSDDILTKCKVTAAICFRVKKLLGIYLAICPSATSTSCTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLMTAVSEGGGSFSLAACVQQSQCTLHYMLMGM -GTSMLFQEYKKAIRKWKDPGLGFFLLDNPVAAGLAGFRYNLFKAITTTNLQKIYAFFMKKVKGTTAYHEQ -EGVIPETCSVSPGGALILSSSLKWRSRWSFQKLRSRLNIPDDWVDQINNTPQVLYRAPRSGLEITLRIAE -KVHSPGVVSSLSTGNAVAKVMASAVYFLSATIFEDSGRPEFNFLEDSKYSLLYKLAAYDGFNGVDDIEPE -DILFLFPNVEEFHQLDTLIFNKGKLNVTHRSSVREATQTRVVVFDHLATSTCSPDELVSDKWFGTQKCKI -GRTAMEQEWTKLKATIRWLRDTPSDTLVATPLHSHIQVRNFFARMEGRARTVRVTGAPVKKRSGISKLAM -VIRDNFCKTAILNGIEDEIGLNRSVSAELSKHCLFSVLNGPYTENAKVSMVEKLLLTLPEVGVNPADRRS -RTNMIGVMQHYVREGSGTIKILEEIGAGTIGAYVKPQKSMKKDGKVLYYGHGIWRGTMDGISVQIEVDGQ -PGLPPQMTRILASRTREPWILSHSIRAWADDMGVLNTKDMRDTVRGDVRHWMYNFKLFGSGHSYGCPLIV -VPEELIDFRSIDDRDIFLKVRGSVINLFTRSKGIGRDLHIMSYSTSENDISSSSILALVNSELDSCVDDF -TVQPSSSWIKCEPLPLEMIRPVLEVSEGLRPIRRIDSDRLREIIRICTESALRSKVGTIFTFMPISTEMA -APVDMSAMIDLMLEDDDFTSFQEVVNEVMEDMDIMNNYEVEDFSSVDVTLFGPAHYKEMSNLAAISHPLM -DRLIDAAISQMSKAGVRRLVETGRTGHKDLDISKLIFRSLGRDPASVLADDFELNLDYEVTDDMLG - ->YP_010086157.1 RNA-dependent RNA polymerase [Silverwater virus] -MFHRVDDLSEICRRTEKSQVLTVPDYKTYWSTVTLPPPLHHVHRDGSDIVIDFDLTTLDTSSQTGSSIRK -TYRVKLDDAGTLIHDFTFAHWSETTDEPLQNHFPNVRDEANRWTPDFISTRLDGTKDVVEFTTFRSVDER -AAKQRFLDKITKYEYPLELRSRSTPGTVLFAVCVFRGGVVTNLDLTDTEVDELCFRFSVVQAVFSTLKDQ -LLVHEVQDPEETRLERQVQQTFQRIQPKWDVTEQKFYPFTEEMFNFFRDGTMDEEYVREALTHCYDVAKD -NVERRNFLKSTIDPAERLHLNALEASDVIQQFVEEVEKNASRPDHSHKSTIPFPAIIPRVSGNTLSLAPL -KDMDLPYVEEDATGRAWVQAISKVARDEVERAEENEELEREIALNGMDPDSTEDYKRSRQQYHRVDLSSL -SMPERIELAKQGVEAKEFRDHPAIVQKRHESKRTFSLNADTTDIDLFVETDQDFFSEEYAQDIPPALESC -VESSARFQAFQGLDHKKNPWFTSVLSFLRLPIGVWLFMVSCIGVELSISLKQHCGRRKFIIKKLRFFDVF -LLIKPTNTGSHIFYSIGFSKSAILGLLHTSGVFKSIREEGGWCWTEFHSFKMSKLTNPVKALSSMHSMFW -YWRDFFEVPFWTGSETDHAKGIRKANEMFRITLAILLEDKARSEEIITLSRYVMMEGFKSIPELPKPHKM -VEKLPTILRTKFQVWLTRRLLESISRVSRQPFQICNDQGTLFWKGMFNPLTGDSVLSTQKLISLFYLGYL -KNKEESPEQNATVKMYSKILEYELKHSKRYEFLGLSDPPADDCRYHEYSPSIIRLLQTTAHQYLRYQLGE -GWRDIIHKEIIREIALLDLEKMATLKASSQFNEDWYRYEPGRTYHRCKVIEKVSEYVDDKTSHVFQILES -CLDTVENRGCMHICLFKKPQHGGLREIYVLGFEERVVQLVLETISRVICKHFPSETLTNPKHKTTIPESH -GRFAIKICGNQHQTIGTSDDARTWNQGHHTSKFALDLMSFTKDELHPFIFRACSIFMRKRIMLDQNLLRI -LETNSTLLTDDPTLKLLHSVYHGNEQVSWMDLKGGYIQTETGMMQGILHFTSSLFHTILQVWMKKIMAGS -LKQILGINSTRNPHVDVLQSSDDSGMLVSFPSDDPVLTMKCRQKIAILFEYKREVGKLIGIYPSIKSTSN -TLFVLEFNSEFFFHTNHNRPVFRWIAAADTISEQESLAARQEEMSSNLTSILEGGGSFSLVTFCQYSQMM -LHYLLLGMSVSPVFLEFMSAAREFMDPALGFFLMDPPFAPGLCGFKFNLWIACRKGKLGLKYRYFLNLME -GLATPEEKKESWKCLDTTASGTFVQAVLIRWGDRKKWERLAKKIVYDDDWLERIDENPFLLYRRPLTGDE -VRLKLAVKIHSPGVASSLSKGNAVVRIIASSVYILTRSVLSDNLTMLENGEVSKKSSLLRRVLAFNGLLG -TVGPHLTEDQFLLLFPHHQDYLGILDRLSQLRCILGNFSVKRTHITQTKIEIVEKERFMRVRPEDLVSDM -WFGTSRSRVNLKQFEKEWTLLKTSVEWLRDTAQETLEMSPFNHHPSLQNFFSRLETKGRCVRITGSPIKQ -RSGTSNMMTAVRDNFFPGFILADVYDSTGLERSETASLMRHCIFLILAGPYTEDKKVSMIKEVCLKNPQL -ALKLNQYKSRLNSLALIQFHLKNPTDEKIFDHICNTNSGIIGGFSQPQKSRPLGKGRLYYGPGVWRGLVY -GMNMQIEIDSPKESDITFLRSVTIDQDSSKEFLPSFLKTWCEEMNVSNLHTPRITRSKRPLFFIYNFAIR -PTRNPAGCPVYSESSRMFAKTTLDIGLLGFKIRNSVLNLRYYESKREIQKGEGRGMNLVSFLSRDSDAGL -EEAATLSVLMDDKKYSFSNNEPSTSWMTMRSLSSASLSILLSKSNDSLLYGRGIDKEKLKKCIKEALLSS -LKKMGVFLSDLKEAVDKMTDYAYSNAMEDCFNLAFEIDEITGSDHDVFLSDVDDRLVWDPTDFELDLSDL -GPFGSMAIEESTNTRFYHHRIMEDVARKMVSTLGHKGVRDFIVNGCYPRVHRDLIRDWCVFLDISFESLR -VIDDEAFGILLGPSISLDQIG - ->YP_010086155.1 RNA-dependent RNA-polymerase [Rukutama virus] -MLLDICSRLPSAEGYECDPPTNFTAGQDRPRVPTFLVWTDGSDVNVEFDLDSLPIGSVTGSSIGPKFKIK -SKDAATFIHDFTFAHWADSTDISLKSHFEIQNDSYDHWTPDYIAKRLDGSSVVVEFTTNRSDNEASMQQS -YNSKVGKYEVALRNRSQRGLQFFVVVVSDSQVITNLPLNQSEVDELCFREIVSKAVFSELISKLIVPEHL -DEDDDKRSREVKATFQSIQFDWATTETKFHPFSERMFSAFQSLSPDSKYLGSIIKDSLKEAQKNLDEDHL -LSKSMTDSERQLRNSELCLSEIEEFRTHFNDQASRSAYEHKSTIPIPGIIPKVSGDTTSLTGLQDLPPMT -ASKDATARAWRSSISQVLTGDVERVVEDVDKERSIALENLSETELAEIKSLRQKFHRCSPDLDKTDQVEL -ALQGVEAKQFRGHPRLEEKREASKRTFPLFTDVRDIDSFIDKESDEFSEELSQEVPAALFESVKSAAESQ -SLHGINMQDNHWFKATSWFISLPIGIWLFLVTCIGVELSIALKQHCGRGKFIMKKIRFFDIFLLIKPTNS -GSHVFFSLAFPESAILGKLHSSAVFKALNYEDGWYWTEFHSYKMSKLTNVVRTMSMGFNLFWFWRDFFEV -PFWEGSEKTKLEGIKKANKMFKFCLLMLAEDKAKSEEIATLSRYVLMEGFVSPPCLPKPSKMISKIPEFA -RTKLQVWLINKLLLAMVRVSRQPFKISVSAKGTTWSSMFNWITGDKIGTTQKLISLFYLGYIKNKEESPE -KNATAGMYKKILEYEQKHPGRYEFLGLGDPSPDDVRFHEYSVSFLKHLSIHAKHLLRRDWGENVLDSIHR -DIIGEISRLDLERVSTLKASSNFNEEWYFRRTDKTYHRSKVLEKAAAYINPATSHVHHILKCCLEKVESR -GAMHICLFKKPQHGGLREIYVLGFEERIIQLVIETIARQICKKFKSETLTNPKQKLIIPETHGHRASKVC -GLQHETIGSSDDAAKWNQCHHVTKFALMLCEFTHPSLHPFIVRGCSLFMKKRIMIDPHFLDIIDSHTDLK -TGDPFVEGIHKAYHGNIEVPWMSSGTGYLETETGMMQGILHYTSSLFHTLLQEWLRSFSKKLFYPKVIWG -EQCDVLIDVLQSSDDSGLLISIPSADPARLSKFRYLVALLFKFKANIGKYLAIYTSVKSTSNTLGVLEFN -SEFFFHINHNRPLFRWIAACDLISEQESLAARQEEIYNNLTAVLEGGASFSLVAFCQYGQMLLHYTLLGL -TTSPLFLEYIKMVSILCDPSLGFFLMDHPFGAGLAGFKYNLWITVQNSALGCRYNDLLNRIATGVNGSLK -KTLDTTSSGTFVQSTIIRFGDRKKWLRLVDKLNLPDNWLELLDLYPEILYRRPLDGEEVKLKLAEKIHSP -GVSNSLSKGNCIIRIISSSVYILSRATLTDGLAWLQEDETDAKGKFSLLKKVLDQTFACGNDNLSLNQLT -LLFPLHGEYDRLRSHFLGYLSITGKHIKKTKVITQTRVSILESERFMRVKPEDLISDKWFGLNRSRLTPR -MFKQEWESLKTTFPWIRDTPEETLELSPFEHHVQLRNFFSRLDLKGRDIRIIGAPIKKTSGVSNVSTAIR -DNFFPKFLLDFSADSEGLDRAEAAGVLKHCLFLCLTGPYTDEAKYNMVIDFLSKSDKIVLRPNHGKTRSN -VLSLLQDFLGPHGPETILNRIELANCGVIGGFTSPQKATEVDGKVTYSGEGTWRGVVDGFQIQITIGSDA -VQGLTQIKSVTVNNERAISMIPAFCKNWSEEMHVFNSKNFAAKKSSSASFYLLNFKLSTARRPEGAPVYI -VTDKIYHPIQWQIDNLELKVRRQTINLTYYLEKTSRKWKPRQLNILSYTIRDTDVSELAAAKMMTHSSEF -CIHRREPSTSWICMRSLPIPVVDRLIDYLQSEKRISAGIDNELLKRCFADVAESALRKRGVFLSEFSKQV -KSLLENKDFDFLDIFAEAGLECNMEDVLNWIPESGSEFQVDPEDYFDEINLTPFGMFTVQQEQESKYYHH -KLLDAVIEDLIQKLSIEGIRSLLCNCVAPLKFKEECSRFLFLAGKDPTEVRWVSADFNVEDMGLDVEDDM -FG - ->YP_010086148.1 RNA polymerase [Gordil virus] -MEKILKKQPPTTSNLTIRQLERLDCSLFDAQIPTYIVKHSSSGVDIDIMTAGIEEGMSGSSINPFETIPA -NNLTLFKHDFTFGHLAHTTDRKFIEVFGVLENRADDADYQSPDMIIETESGHVHVVEFTTTMGDHNTAFI -AALNKIAKYEIACLNRSATKPISLHVIAVHFNGVVTNLELTDEEVNEIVFRFRLARDIYEELREINPALF -DSDETVSKLEREINSIMSSIKIDWEKTESKFPSFRKELFDHFRQTEVDEDYISKIISKCTSKALEEIQEE -NYHNEDISNAERNERNKSKTKARILNKIAEMMAVDFLRDIDDHKSTIQIPPWYTKEGPKGKDLNPLKSVN -VSGGHPMALIWYKVATSAVLEKIDRMHDDPEAELQYALSGNLERSVERNKYHRTSIILNRDEQEYAATLG -VCGKKNSHLTKVQDARTRSKKGFSIEHDISRIRQFLNDDSLKDFTVTDGLYAPIREDFDLRLQALATHQP -GLVYSDAEIPETLMCHDKFSRTPIGSWLQMVSVIGAELSASVKQHVKPNQYIVKRLLNSGIYLLIKPTSS -KGHIFVSIAVDKEYLEGELSSSAIFKTAIDAGGLLVTDFVSFKLSKITNLCKCFSVMEAAMAFWFEACGI -EPWMMMDEMTTTQAREAISMFKLSLMTTLEDKATTEELQTMQRYIIMEGFVSLPELPNPSKMLSKLPKVL -RSELQVFLVHCQFDSMMRISESPFGIVKSNGQIKWKNLFNPYTGQKVNDLQMLISCCYNGYFKNKEEETE -PSALSAMYKKIIELEHLKPKTDEFLGYGDPLEPAMHEFSRSYLKLICQHAKAKLKKQYGKAVMTQIENSI -IREVSHVTLERLATLKATSNFNKNWYVYKDVKDKNYTRDKLLVKMTEFANRGKTLAIEMFDESMTRVENK -GCMEICLFKKQQHGGLREIYVMGADERIVQSIIEAISRAIGRYFDSDTLCNPSNKTRIPETHGQRAKRRC -GRSVWTTATSDDARKWNQGHYVTKFALMLCEFTPREWWPLIIRGCSMFTNKFMMMNLDFLKIIDGHKELE -IQDDFVTDLFKAYHGEKEVSWLDQGKTYLKTSTGMMQGILHFTSSMLHSLHQEFIKTTSNQIFTMKMGSE -AASRVVCDMMQGSDDSSMIISFPNENEKQRLRYKLVAAICFRIKKSLGIYAGIYPSEKSTPNTDFVMEYN -SEFFFHSQHIRPTIRWVAASCSLPEVETLVARQEEAANLLTSITEGGGSFSLAAMIQHSQCSIHYMLMGL -GVSDLFKQFKVAISKWKDPGLGFFLFDNPYSAGLSGFKYNLYRTILDSNLKYVYSFFLKKVKGQGLARDD -VISESCSVSPGGAIVMSSTLRWGSVEKFKRLRARLNIPEDWKDQINDVPEILYRAPATGKEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGNQEYKVTNDDKYSLLQKLIAFEKFNDGDDISQEDM -LFLFPNLEEFEAFDSIIFNKGKFSVVPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGT -PGFKQEWSRLKAIVRWLRDTPEETLEASPFTNHIQIRNFFARMEGKPRIIRVTGAPVKKRSGMSKIAMAI -RDNFCKTGYLKGMEDEVGFSRAMQIEKIKHYLFSILMGPYTDEAKLRLTCEVLSKESQIVVNYNDVKSRA -NTISILQKFIKHETGVARLIEDLKAGIFGAFVKTQAFSTSVVNNKYYGPGVWRGIMDGYQVQIEINGKEG -MPSYLSKITIAHCTKPWLISQCIKAWCEDMNVSNSTDLHSNRNSDANYWIFQHKMYGSSYPYGCPIYLVN -SDITEIGLVYDNEIDIKVRRNTINLYVKSKDKRPRDLHILSYTPSDSDISSVSFKHIVKDEYFRDKGAFS -VEPTRSWMLCQSLPWRYVPAVIDIACGERRCPTQINRCRLSEIIRLCTESSLRNKVGTIYSQSSPERLID -CEPIDMSEMLDMMMMDDENDMFEEIAGNLTVDEDPELTDLVDFEEEDLQLFGPAHYKEMQSLTILSHPMM -DDFIIRMVSLMGRDRIRRLLEKNYVTSNFKDISIILFKALERDPNSIKEEMIHGDSPVDIADDLLG - ->YP_010086140.1 polymerase [Odrenisrou virus] -MNQLLAKQILPRVGFFCKPITHHDYFFGNAMIPDFQVRKSISGIEIDLNLDELDSASDVGSSLRPNVSVR -SINIPNFIHNFTFGHLADATDRAFSSIFDVVNDGFDDLTPDVIVRTPSGSFHVVEFTTFRGRQEEARHAT -QNKITKYELACRNRSLQGPVSLHTIGVHRGGLWTNMRMDQRDIDELCFRFRLALAIESEIKILCPELRDM -DEEYTKIEREILGIVSGIQVNWSRTEEKFPSFKRDLFWNFYHSEPDSGYLQDVLDKVTRGSQKSLLSSSF -IDPLLTLEERLSKNLSECTSVIDQFISTHESRDNLRPINWRKGTVLFPPWIFREAHPGKSLHTLQELNVE -GDHPMCRIWERVRLSAQLEEIERMNDDPMSELEYALSGTRVRDDERSKYHRVRVDLDVEEKEFIAILGVN -GKAFKDLTSVKDHREKSKLIFSIFHDTTPVEEFLYTDSSPDFKPSNDLLYSPYLEDYELRLQAQKIHQPT -ISRRGGNEFLQCHKGILTSPLGSWTQFVNVVGSELSASVKQHVKPSSFVVKRLLNSPVYLLIKPTSSKGH -IFVSFAVEKNYYVKDLEGSSCFRTYYDAGDLFVTDFVSFKLSKLTNLCKTSALYESALCFWNECYGRKVW -MSHLPLGSGSNSSKDIQYMVKLSLLTLMEDKATTEELQTLSRYIVMEGFVSQPEIPKPHKMVGKLPTKLR -SELQVFLINRLFSAMKRISQSPFVLKRNENSLSWSGLFNPLSGRDTSEVHPIINACYNGYFKNKEEETEP -SALSAMYKKIIELEHLRPISDRYLGWDDPVEPKMHEFSRSYLKEVCNHGKVLLQKLYGKNVLDQIDQDIR -RELGSLTLERLATLKATSNFDDDWYIFKDVKDKNYTRDKVLVKMTEYAKRGKTLAVEMFEECMTNIENRG -CMHICLFKKQQHGGLREIYVMGAEERIVQSVVESIAKCVGRFFPSDTLCNPNNKTKIPESHGIRARKHCK -GSVWTTATSDDARKWNQGHFVTKFALMLCEFTHSMWWPIIIRGCSMFTKKRMMMNLEFLRIIDSKRELEL -EDDFIMTLYNAYHGNVKVPWLEPGKTYLETSTGMMQGILHFTSSLLHSLHQEYVRSLSFKLFNSKVHPEM -SLSIVCDMMQGSDDSSMLISFPSSSEELIVKCKVTAALCFRVKRALGVYLGIYPSEKSTSNTDFTMEYNS -EFYFHNQHVRPTIRWIAASNNLSEAETLVARQEEASNLVTPVCEGGGSFSLTAMIQQSQCTTHYALMGMG -VSSLFSEYKKAIMKWCDPGLGFFLLDNPRAAGLGGFRYNLYKAITRTNLSKIYSFFMKKVRMGSDGLLHN -EIPETCSVSPGGALILSSSLRWGSRKKFQKLRSRLGIPDDWIDQINKIPHILYRAPRTGEEILLRIAEKV -HSPGVVSSLSTGNAVAKVMASSVYFLSATIFEDSGRPEFSFIENSKYSLLYKLATYEGYSDFGEIDPEDI -LFLFPNLEEFHSLDQLVFDRGEIEVIMRSSMREATQTRVTVFDHSVNDKCSPEKLVSDKWFGTQKCRIGR -TGMMREWERLKLTIRWLRDSPEETLETSPLHNHIQIRNFFARMEGKARTIRITGAPVKKRSGLSKLSMVI -RDNFTKVGILSGIEDDLAITRSVSVEICKHTLFSILNGPYSEETKARFCQKSLEVLPQVVINQSDRKTRS -NIVGLLQEYCRDKEGIIRKLETLGAGTIGAYIRPQKSKKVGSRVQYFGEGVWRGIMDGYGVQISVDCKEG -QIPQITGVWVSRCREVWILSKSIRSWCDDMGVRNDNNIAPDQHQPVNGWIFNFQIYGSGHRYGAPIYILK -EEMDNIHDIQDHEIGLKVTNNTLRLYVNLPKVKRDLHILTYTPSDNDISSSSVMELSALEIFRMIPEFNK -QPSKSWLLCDSLPIKFLKPVLDISEGHRQVGGIRPDLLKSLIKNCTESSIRSKVGTIFSMLPTDQPQALE -IDTSEMIDLILAEMDDISFDSAVECVSECLDLNSVLYDEDFGQIDMGLFGPAHHKEISSLMTISHPLMDG -LVDSALSQMGRNGIRRLIETGKCCRRDLGICELLYRAMGRDPNSIKVDIVLADIMETVSDDELG - ->YP_010086135.1 RNA polymerase [Murre virus] -MLLAICSRTNPIRGLNCPAAVTYTSSHMRPPIPAFLLWTEGSDVLIDFDLDTLPAGSVTGSSIVPKFKIK -TQLASSFIHDFTFAHWCDASDMPLRNHFPLENDTFDHWTPDFIAKRLDGSMAVVEFTTNRSDLEQSLISA -FNTKVGKYEVALHNRSRGVDILFGVVVVSDTTVVTNLRLNQQEVDELCFRFLVARAVHAEMTTKLIIPEY -DDVDEDKRSREVKAAFHSVKLDWGETEAKFYPFSEMMFKEFQNGEPDHEYLGRIIGYSFQNAQDDLENDH -FLKEVLTEDERLSKNLEDCRKKITSFQKNFNDQAERPQFSHKSTIPFPSIIPKVSEDTSSISRLQDLPTI -TGGSDATIRAWRSAYSSVVTGQVERIEEDVERERRAALCSLSFEEMEESKTMRMKYHRCKIDNGYNDRLE -LALQGVEAKEHRDHPALQKKRQESKKTFPLNADVRDLDLLLESDGDMFNEDLSQAPPASAIEAIKSGAEA -QEMHGIKKDTNLWFQSMLWFLSLPIGLWLFLITCIGVELSISLKQHCGRQKFIIKKIRFFDIFLLIKPTN -SGSHVFFSMAFPESAILGKLHPSQSFKGLSFEDGWFWTEFSSFKMSKLTNVVKTMSTGSNLFWFWRDFFE -VPFWDGSKKDYIQGIKKSNQMFKICLTMLLEDKARTEEIATSSRYIMMEGFVSPPCIPKPQKMIEKLPEF -ARTKFQVWLINKLLNAIFRVSGNPFKITAGQKSATWGGLFNWITGDPVESTQKLISLFYLGYLKNKEESP -ERNASIGMYKKILEYEDKHPGRYTYLGYGDPDPDDLRFHEYSTSFLKHLCIHAEQELRRNWGESFRCMIT -RDIIDSISSLDLERMATLKASSRFNEEWYQRRTDGKVYHRCKVLERVCEYVKKSSSHVHHIMEECLKKVE -SQGCMHICLFKKPQHGGLREIYVLGFEERVVQLVIETIARQVCKRFKSETLTNPKQKLMIPETHGLRAVK -TCGIHHETVGASDDAAKWNQCHHVTKFALMLCHFTDPMFHPFIIRGCSMFMKKRIMIDQSLIDIIDSHTN -LETTDEYLKKIHRGYHGSLTEQPRWMVRGGAYVQTETGMMQGILHYTSSLLHTLLQEWLKTFSLKFLRSK -VSPNQKPEVLVDVLQSSDDSGMMISFPSLEKKTTGRYRYLSALIFKFKKHIGKFLGIYSSVKSTNNTLHL -LEFNSEFFFHINHNRPLLRWITACDTISEQESLASRQEEMYNNLTAVLEGGGSFSLVSFCQYGQLILHYT -LLGMTVSPLFLEYIKMVSEIKDPALGFFLMDHPFGSGLSGFKYNVWIAVQNSLLGSRYRALLEAIEDDLT -EQPKKTLDTTTSGTFVQSTIIRFGDRKKWQRLVDRLGLPDDWLEQIDQNPEIIYRRPRDGDEVALRIAEK -VHSPGVSNSLSKGNCIIRVISSSVYILSRNILSDGLAWLYEEDDGKKRPLFYKVMFQPELDPHLKLTPAQ -LSTLFPLMAEFEKLQTHFQGYLEIRGEFISKKKIITQTRINILETERFLRAKPEDLVADRWFGFTRTRMT -PRTFREEWQHLVAVFPWLTDNPANTLENSPFQHHVQLRNFFSRLDLKGRDIRIIGAPIKKSSGVSNVSTA -IRDNFFPRFILDYSPDEVAIERTEAAGILKHALFLTITGPYTDATKREMCEDFITNSEPIVLRPNHGKTR -SNVLALFQDFFSLRGPDIIFNRIEMANCGVIGGFTSPQTPTEVNGNIVYTGDGVWRGVVDGFQVQLVITF -MPKQKVNQLKSITVNSDKSIPTLGGFCHSWCKEMGVFNSEDFSKSYRVAKASFYMLNFKISGSRQSYGAP -IMIVSDKIYKPILWDPENLLFRIRGNTINLSYKEFQQGAKPRMFNILSYTVKDTDVSEEIALKLMSLSQK -HKFHGREPSTSWICMRALPINTINKLLERIQGNQRISGSIDNERLAECFKDVLESSLRRKGVFLSEFTRA -TQKMLDTLNQDMLDFFAEAGLSDDLMMDSEPWLEGLDTFTLDDEDYLEEYNLGPFGIFSVEQEMNTRYYH -HLLLDAVVDDIIQKLSLDGLRKLFSEETAPITYKSEILRLMAILQRDASNIKWVDPNQVVDHMGLEVEED -MFG - ->YP_009380501.1 polymerase [Ixcanal virus] -MNEILQNQPVSQDLYFCRAVNHYDDEIFGLAILDFTIREDYGSIVVDLDLEGRDEWSTIGSTVKDQMRLN -PQSLPNFVHDFTFGHLASSTDSPFISLFPAIGDGFDHLTPDVMIRMPSGRTHVIEFTTFRGTSQGAQQAA -LLKIGKYESACERRSRVSPVTFSVISVHRYGVWTNLDLGEEQVNELVYRFRLAVSIFEEMKVMFPELSLV -DEELNKTEREVLGVVSTIKMDWEKTEKTFPHFKRRMFDNFKQTDPDLDYISSVISKCVNESEESILKENH -FELQSLQLRLKENSRQCEKVINDFVRDMSSGELRDVNDPKSTIQIPPWVTIEGPEGKDLVPLKTLEVTGD -HPMQRIWSKVCNSASLELIDRMVDDPESELQHAISGTRDRADERSRYHRVVVELDSAELEYAATLGVGGK -KFRDHHTSREARTRSKRGFSLDHNIKSLERFISGCTQGLLEEGDYYRPLLEDMPLRIEAQRIHQPSHTRN -NGENEFLRNHRLFMETPLGSWSQMVSLIGSELSASVKQHVKQKSFIVKRLLGSGIYLLIKPTNSKSHIFV -SYALDKLYWSHDLSDGTEFKRYLDSGDLFITEFTSYKLSKLTNLCKCCSLLESSLSFWTEAYHEKPWLSV -DVIRSGNQSEILTMTKLSLLTLLEDKARTEELQTLLRYVVMEGFVSQPELPRPQKMISKLPKVLRTELQV -LLIHRVLTSIGRIAASPFRLKKSDGSISWSGLFNPLSGSKLLDLQPLISCCYNGYFKNKEEETEPSALSA -MYKKIIELEHLKPETDEYLGWGDPVEPKTHEFSRSYLKEVCEHGKAVLKRIYGHNVLQQIDDQITREISS -LTIERLATLKATSNFDENWYVYKDCKDKNYTRDKLLVRMSQFASEGKTLAIEKFEECMDSIESRGAMHIC -LFKKQQHGGLREIYVMGAEERIVQSIVECIAKTVGKFFSSDTLCNPNNKSRIPESHAYRARKHCRSSVWT -CATSDDAKKWNQGHFVTKFAMMLCEFTTPKWWPIIIRGCSMFTRKFMMMNMRYLDILNGHRELKVDDEFV -MTLFNAYHGEVEVPWLEKGRTYLQTTTGMMQGILHSTSSLLHTLHQEFIRSLSFKVFNMKVQPDMGSKVV -CDVMQGSDDSSMIISFPANEGPIFMKCKMAAAMCFRIKKTLGLYLGIYPSEKSTSNTDFVMEYNSEFFFH -TSHVRPLVRWVSSCCSLPEVETLVARQEEASNLLTSISEGGGSFALSAMIQQSQCTIHYQLIGMGVSSIF -SHYIRALSRWKDPGLGFFLLDNPYAAGLGGFRFNLFKAITMTELQKVYAYFMKKVKDNSQTEEEGVLVPE -TCSVSPGGAIIMSSSLKWGSRKKFSRLRDRLNIPENWVEMINNNPEVLYRAPKTGEEIILRIAEKVHSPG -VVSSLSTGNAVCKVMASSVYFLSAAIFEDSGRPEFSYLDNSKYSLLQKMMAYDGFNGSHDIDPEDILFLF -PNVEELEQLDMIIYDKGSIDLVERVSSREATQSRVVVFDEKKCMRISPEKLVSDKWFGTQKSKIGRTAFE -IEWAKLTRVIRWLKDTPEGTMEKSPLSNQIQIRNFFARLEGRSRCVRITGAPVKKRSGMSKLALVIRDNF -CKAGHLKGIEDISGSSRSVSVEVLRHVLFSVLQGPYSDESKLDLSIKSLSVSSEIELRENDGKSKANILS -ILQSYIWADRGVIQKIEKAGAGTVGGFIKPQKSFKENQLVKYYGHGIWRGMMDGVDVQIDIDNRKDQNPQ -ITSVRVSGTKSPWVICQSLKLWCEDMGVKNSADFSESVKRSPKFWLSNFRMFGGNHPLGAPVYILDGRMD -DLREIRDEDILIKVRRGTLNLFVKSRGGSEMHILSYTSSDTDLSPSMVKEAELSSPELMSLFSREPSRSW -VCCSPLPVQYTEVLLDVCEGVKKVDRINPIRLGEIIKICTDSSLRTKVGTVYSMQQFSEEAQMIDCDDLL -DIMIEDIRTGAFSEVVKKMEDEVKGDNEDLDFDYDDINLFGPAHHKEYGELSLVSHPLMDKFVDNAISVM -GRSSLRRLLELGVTKSRYLKISRLVYRAIGRNAETIKTDDFSLEDQPSISEDMLG - ->YP_010086125.1 polymerase [Durania virus] -MNEILQNQPNTQEQYLCKALAHYDDEIFGLAILDYSLREDNGSVVIDFDMASRDEFSTIGSTVKNQVSLS -PQELPNFVHNFTFGHLADNTDTPFISMFPAMGDGFDHLTPDLVLRVPSGRTHVIEFSTFRGTSQGSYQSA -MLKIGKYESACECRSRIGPITFSVMSVHRYGVWTNLDLDEESVNELVYRFRLAISIFEEMKVLYPELSLV -DEELSKTEREVLGVVSTIRMDWGRTEATFPHFKREMFEGFRSSDPDLDYISGIITKCVNNSETAIRDENF -YNLDSSHLRIQKNSEVCETAISDYISEMGKEDLRDVNDPKSTVQIPAWVTMQGPEGKDLTPLKALEVTGE -HPMQRIWSKVCTSASLELIDRMVDDPESELQNAISGSRERSDERSRYHRVVVDLDQTELEYAATLGVGGK -KYRDHHSVKESRVRSKKGFSLEHNTKSLERFIKGGNQALLEEGSYYCPLLEDMPLRIEAQRIHQPTLTRE -HGENEFVKNHRLFMESPLGSWTQMVSLIGAELSASVKQHVKAKSFIVKRLLGSGIYLLIKPTNSKSHIFV -SYALDKNYWCHDLSRGTEFKQYLDSGDLFITEFTSYKLSKLTNLCKCCSLTECSLSFWTEAYHEKPWESM -EVARADRQPEIMVMMKYSLMTLLEDKARTEELQTMLRYVVMEGFVSQPELPRPQKMLGKLPRVLRSELQV -LLMHRVLASISRIAANPFHLRKTDGCISWTGLFNPLSGSKIQDLQPLISCCYNGYFKNKEEDTEPSALSA -MYKKIIELEHLKPDSDRFLGWEDPSDPKTHEFSRSYIKEICNHGKAILKRIYGQSIMQQIDDQITREVSS -LTIERLATLKATSNFDENWYIYKDCKDKNYTRDKLLVKMSQFASEGKTLAIEKFEDCMTAIEERGAMHVC -LFKKQQHGGLREIYVMGAEERVVQALVECIAKTVGKFFSSDTLCNPNNKSRIPESHAHRARKHCRSSVWT -CATSDDAKKWNQGHFVTKFALMLCEFTSPKWWPIIIRGCSMFTRKYMMMNMRYLDILNGHRELKVDDDFV -MTLFLAYHGELDVPWLERGRTYLQTTTGMMQGILHSTSSLLHTLHQEFIRSLSFKIFNLKVSPDMGSRVV -CDVMQGSDDSSMIISFPACEDSVFTKCKLAAAMCFRVKKTLGLYAGIYPSEKSTSNTDFVMEYNSEFFFH -SSHVRPLIRWIASCCSLPEVETLVARQEEASNLLTSISEGGGSFGLTAMIQQSQCTLHYQLVGMGVSSVF -PHYRKALSRWKDPGLGFFLLDNPFAAGLGGFRFNLFKAITMTELQKVYAYFMKKVKEGTTPDDDGVLVPE -SCSVSPGGAIIMSSSLKWGSRKKFAKLRDRLNIPDDWIDKINHNPQLLYRAPKSGEEIILRIAEKVHSPG -VVSSLSTGNAVCKVMASSVYFLSAAIFEDSGKPEFSYLDNSKYSLLQKMIAYDGFTGSHDIDPEDILFLF -PNVEELEQLDQIVFDKARIELSERVSSREATQSKIMVFDEKKCMRVSPEKLVSDKWFGTQKSKIGRSAFE -TEWAKLTRIIRWLKDTPDETMRSSPLSNQIQIRNFFARLEGRTRTVRVTGAPVKKRSGMSKLALVIRDNF -CKTGHLKGIEDISGSSRSSSVEILRHILFSILQGPYSDDSKLDLCIKALSLSTEIELRDQDGKTKANVLS -ILQSYIWADRGVMRKIESAGAGTVGGFVKPQKSYKDVDSIKYYGHGVWRGMMDGVDVQIDIDNKKGSPPQ -ITSVRVAGTKSPWLICQSLKVWSDDMGVKNSADFSSTCRKNPKFWLSNFKMFGGNHPLGAPVYVLDGRME -DLREVKDEDIMIKVRRGTLNLYIPGRGSSEMHILSYTSSDSDISPAIVREAESSMGDLMKIFEKEPSKSW -ICCRSLPVQFVEVLLDVCDGIRKVDRINPIRLAEIIKICTDSSLRTKVGTVFSMQQFTSEAHVVDCDDLF -DVMIEDMNTGIFGEVVNKMEEEVRGDNEDLEFDFEDINLFGPAHFKESSELSLVSHPLMDRFVDSAIAKM -GRSAMRRLLEVGYTPTRYIHVSRLIYRAIGRNPDSIKTDDLEILQDMDISDEMIG - ->YP_010086124.1 L protein [Maldonado virus] -MEEILNKQQIPEGIGLFRPDIKQYDDSIMDVEIPFFHISKCDGYMKIDLDLNNGVDYSTIGSSLISTIEV -PDKSLPNLVHDVVFSHLASNTDVRFSTIFGVYSDSYDHLSPDLILKTAAGSHHVVEFTTNRGGERGAFQA -CKDKFSKYHIPCENRAVGSRVSLFVISVYVRGVWSNLDLTDDEVNELVFRFRLAIAIMEEAKRYYPELTE -DESEMTKQEREILGVISSIQMNWEVTSSRFPHFKEKLFEDFMTSSADEEYLSTIISEELTACQEDMIKSG -FIGKVSGIEEKLNLNEAECATMIGDYLKSRSNECRDKLDSKSTVQIPPWTMTDFIEGKSVSCLSGFEVEG -DHPMCKIWRSVTIAAAMGDIDRADDDPNAELQKALEGSIQKSDERSRYHRIKLNLTNEEIVYGATLGVEG -KSHKNNAQVEESRKRSKLGFSLDHDITNLEEFINKHDLGLFEESDDYFNPFQIDFDLRESAQKIHQPDLI -INQGENEFLANHKRLCMSKLGSWCQMVSLIGAELSASVKQHVGKGQFVIKRILNSPLFMLIKPTSSVSHI -FVSFALVKSNHMGDLWENGVFKHYIDAGDLFVTDFISYKLSKLTNLCKCFPLMESAICFWTEIFGFEPWN -STHIMSTDRSGSSKEAASMIKLTLLTLMEDKAVTEEIQTIQRYIIMEGFVSLPELPKPHKMLSKLPAVLR -SELQVYLVLRSLRTMERISKNPFHLQKKHSQITWSGLFNPLTGNTLRDLQPLISICYNGYFKNKEEETEP -SALSRLYKKIIELEHLCPKDDKFLGSGDPREPKMHEFSRSFLKKCTDHGKTILRKIYGQNFMQQVDTQIM -REIGSITLEKLATLKASSNFDERWYDYSVCSKEDYHREKAIVKMSEFAASGKTLAIEVFDECMKLVENRG -NMHICLFKKQQHGGDREIYVLGREERIIQSIVEAISRSIGRFFPSDTLCNPGNKIRIPETHGIRARKHCR -GAVWTCSTSDDARKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKFMMMNMRYISILSRHKELEV -EDEFSRTIFRAFHGEVRVPWMEEGSTYLKTKTGMMQGILHFTSSLLHTLHQEFIRSLSFKIFNTKVRPEM -SQSIVIDMMQGSDDSSMMISFPCSNEDELMKCKIAAAICFRMKKRLGVYLAIYPSEKSTSNTDFVMEYNS -EFFFHSQHVRPTVRWVAACCNLPEVETLVARQEEASNLMTSVSEGGGSFSLAACIQQAQCTLHYMLMGMG -ISSLFEEYKKAIIRWKDPGLGFFLLDNPYCAGLGGFRFNLYKAISQTPLKCLYSYFMKKVRQGIETNDGA -IPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLNIPEDWVDQINQNPSVLYRAPRSGEEVVLRIAEKVH -SPGVVSSLSTGNAVAKVIASSVYFLSAAIFQDSGRQEFSILDSSKYSLLQKLSKLEGINFTNAISDEDLL -FLFPNIEDLQSLDGLVYNRGPIEIVRRKQIKENTQSKVIVFEGNRNLRTPAEYLISDKWFGTQKSKIGRT -AFDQEWEKVTSIIPWLRDSPQETLSSSPLDNHIQIRNFFSRMDQKPRIVRVTGAPIKKRSGVSKLSMVIR -DNFSKLGFIKDIEDITGTSRTNSAEMLKHFMFCALQGPYSQERKLQMVMDLLGKSNPIGVKDSDGKSRSN -ILAILQSYVYGEPHIARQIEDAGAGIIGGFVVPQKPKKLENTIYYYGYGVWRGVMDGKQVQIELDNAVGS -PPMIISVTMEESAEPWQICKSIRSWADDVGAKNNLDISSKIKKKTCKYWMFDFKTFSSDKAYGAPVYMTK -KKMVDFRLIDDSEINIKVRKSTVNLYVKNDGRDVHILSYSASDSDLSPASLRISDESKDEMMDLFNKEPS -KSWATCSPIPAIMMHKIIKVVQGELRITSLDSERLGEIMKLCCESSLRSRIGTLFSALPSVQNTSRVDVD -DLIDIVLTDSKTTGFKEIVKSLENDIKNEYEMEDFDLSDIDLFGPAHYKELSDLNTISHPLMDDYVEFCI -STIGRKELRRILETNRCKTKDLQLSKDLFLVLGRNPNDIKVDEYNIGEQLAVEDDMIG - ->YP_010086117.1 L protein [Echarate virus] -MEEILKKQSIPTGTGLNRPDVKHYDDTIMDVEIPFFHITKCDNFMKIDLDLNNGVDYSTVGSSLSSVIEV -PDKSLPNLIHDIVFSHLADSTDTQFSTQFGVRSDSYDHLSPDVIIKTAAGSYFVVEFTTNRGGEKGALQA -CKDKFSKYHIPCENRAIDCVVSLFVIAVHTRGVWTNLDIGEEDCNELVFRFRLAVAIMEEAKKYYPELSG -DDSELSKHEREILGVVSSIQMNWEKTTGAFPYFKESVFEKFLTTPADEEYLSNVISEELKVCQEEMIKSG -FIGGSSGIDEKLDKNLEECVVEVAKYLSKFSNTEYRGTLESKSTIQIPPWLMSEFSDGKSVSCLGEFEVS -GEHPMCKIWRAVTISAALGQIDRADDSPEQELEKALNGSIDKSDERSRYHRLKLNLGSEEVMYGACLGVE -GKTHRNNIQVEESRKRSKLCFSIEHDVSNLEEFINKHELALFEEDEDLFNPFDVDTDLRIAAQKIHQPDL -INNCDGNEFLENHRKFLSSRLGSWCQMVSLIGAELSASVKQHVGAGQFVIKRLLNSPLFLLIKPTSSVSH -IFVSFALVKNNHMGNLWDDGVFKHYIDAGDLLITDFISYKLSKLTNLCKCFPLVESSVCFWTEVFGFEPW -NAVSNLSKDRSGSSKEATSMIKLTLLTLMEDKAVTEEIQTIQRYIVMEGFVSSPEMPKPHKMIPKLPTVL -RSELQVYLLNRCLRTMETISRKPFRLQKKQGQISWSGLFNPLTGNVLRDLQPLISICYNGYFKNKEEETE -PSALSRLYKKIIELEHLCPESDEFLGEGDPVNPRMHEFSRSFLKKCTDHGKTVLRKVYGHNFIQQIDTQI -IREISSITLERLATLKASSNFDEKWYNYNECSKKDYHREKAIVKMSDFAATGKTLAIEVFDESMKLIEDR -GNMHICLFKKQQHGGDREIYVLGREERIVQSIVEAIARSIGRFFPSDTLCNPANKTKIPESHGIRARKHC -RGPVWTCSTSDDARKWNQGHFVTKFALMLCEFTMPKWWPIIIRGCSMFTNKYMMMNMRYIDILSRHQELH -VADEFSQQIFKAYHGEVEVPWMTSGGTYLKTKTGMMQGILHFTSSLLHTLHQEFVRSLTFKIFNSKVHPE -MSQSIVVDMMQGSDDSSMMISYPCSDESVLMKCKIASAICFRMKKRLGIYLAIYPSEKSTANTDFVMEYN -SEFFFHSQHVRPTVRWVAACCNLPEVETLVARQEEASNLMTSISEGGGSFSLSACIQQAQCTLHYMLMGM -GVSSLFEEYKKAILKWKDPGLGFFLLDNPYCAGLGGFRFNLYKAITTTNLKGLYSYFMKKVRQEGELSGN -VIPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLKIPEDWIDQINNNPSVLYRAPKSGEEVILRIAEKV -HSPGVVSSLSTGNAVAKVIASSVYFLSAAIFQDSGKQEYSILDQSKYSLLQKLHKFEGINLKNAISDEDL -LFLFPNIEDLQSLDSLVYNRGAIEIVRRKQSRENTQTRVTVFEGNRNLRTPAEYLISDKWFGTQKSKIGR -TAFEQEWDKITSIIPWLGETPEETLSLSPLDNHIQIRNFFSRMDQKPRVVRVTGAPVKKRSGVSKISMVI -RDNFSKLGYIKDIEDITGASRTTAAEMLKHFLFCALQGPYTQEMKLQLVTKLLSISNPIGIKDSDGKSRS -NILAIFQNYIYGDRQIARQIEDAGAGTIGGFIIPQKPKKIEGSVYYYGPGVWRGIMDGKQVQIELDNAIG -NPPSITAVTMEESAEPWMICRSIKTWAEDMGAKNNIDMSKKYSKECKYWMFDFKTYSADKAYGVPVYLSS -KRMVDFRQVKDSEIDIKVRKSTINLFIKNEGRDVHILSYTASDSDLSPAVLKTSDKIKDEMMELFPREPS -RSWASCSPIPYFAVHKILRVTTGEVNVTSLDKDRLSEIMKLCCESSLRSRVGTIFSPIPMLSEGRQHVDV -EDLIDIVLTDLKSNNFNEIVKSLENDLKEEYELEEFDYSDIDLFGPAHYKELSDLTTISHPLMDDFVEFC -ISSLGRKEIRRVLEQGRCKNKDLKLAQDLFSALRRNPDGIIVDDYNTHIDQEFEDDMIG - ->YP_010086115.1 polymerase [Precarious point virus] -MLLAICSRSETNQGLDCPPAVTYTSSHMRPPIPSFLLWTDGSDIMIDFDLDTLPAGSVTGSSIGPKFKLK -SKVASSFIHDFTFSHWCDSTDVPLKDHFPLENDTFDHWTPDFIARRLDGSMAVVEFTTNRSDAEPSLISA -FNTKVGKYELALHTRSDGVNILFGVVVVSDSTVVSNLNLTQQEVDELCFRFLVARAVHAEMTTKMIIPEY -DDVDEDKKCREVKAAFHSVRFDWQTTEEKFAPFSKAMFEEFSNKEPDAMYLGEIISHSFQTAQDELRTDH -YLEQVLTETERLEVNREECSQKIQSFMEEFNSQAERSPWNHKSTIPFPGIIPKVEGDTTSLMRMEELPTI -TGGSDATIRAWRSAYAQVCSGGVERCEEDVEKERRAAICDLSVKELEEAKSMRVKYHRCKIDNGSGDKLE -LAMQGVEAKVYKDHPAIQKKRAESKKTFPLTTDTRDIDQFVEKDGNLFSEDLSQMAPAPLLEAVKAGADA -QELHGVPKDKNPWFDSVLWFLNLPIGIWLFMCTCIGVELSISLKQHCGRQKFILKKIRFFDIFLMIKPTN -SGSHVFYSLAFPESAIKGKIHDSQCFKGLNFEDGWFWTEFNSFKMSKLTNVVKCFSTGMNLFWFWRDFFE -VPFWSGSEKDYAVASAKAHRMFKVCVMMLLEDKAKTEEIATMSRYVLMEGFVSPPCLPKPHKMVEKLPSF -ARTKLQVWLINKLLQSMIKISNSPFRITAGHKTANWTGMFNWVTGEPIDSTQRMISLFYLGYLKNKEESP -ERNASVGMYKKILEFEDKHPGRYDFLGRGDPPPSDLKFHEYSVSFLKHLCNHAEQDLRRNWGEAYRSMIT -RDIIDSIASLDLERLSTLKASSMFNEEWYQKRADGKVYHRCKVLERVCEYTKKSNSHVHHIMESCLKKVE -AQGCMHICLFKKPQHGGLREIYVLGFEERVVQLVLETIARQICKRFKSETLTNPKQKLMIPETHGLRAVK -VCGIHHETVGTSDDAAKWNQCHHVTKFALMLCHFTDPMFHPFIIRGCSMFMKKRIMIDQSLLNIIDSHVN -LESTDEYLKKIHRGYHGSLDDQPRWMERGAAFVQTETGMMQGILHYTSSLLHTLLQEWLRSFTLKFLAQK -VALNQKPEVLVDVLQSSDDSGMMISFPSMEKQTTGRYRYLSALIFKFKKEIGRFLAIYSSVKSTNNTLHL -LEFNSEFFFHINHNRPLLRWITACDTISEQESLASRQEEMYNNLTAVLEGGGSFSLVTFCQYGQLSLHYT -LLGMTVSPLFLEYIKLVSEIKDPALGYFLMDHPFGSGLSGFKFNVWVAVRGSLLGARYKYLLEAIEHSGV -ENPKKTLDTTNSGTFVQSTIIRFGDRKKWQRLVDKLGLPEDWLEQIDQNPEVIYRRPRDGDEVALRIAEK -IHSPGVSNSLSKGNCIIRVISSSVYILSRNILSDGLAWLYEEEEVRKKPLLFKVLNQPPLEKHSMLTPAQ -LSTLFPLMAEFEKLQTHFHGYLRIRGEFISKKKVITQTRINILETERFLRAKPEDLVADKWFGFTRTRMT -PRTFREEWQHLTTVFPWLQEDPQQSLRDSPFQHQVQLRNFLSRLDLKGRDIRIIGAPIKKSSGVSNVSTA -IRDNFFPRFVLDYSPDEVAIERTEAAGILKHALFLTLTGPYTDDTKLDMCEDFITKSEPIVLKPNHGKTR -SNVLALFQDFFSPMGSSIIFNRIEMANCGVVGGFTSPQTPKEIDGKIVYTGDGVWRGVVDGFQIQLIITY -IPKQKANQLKSITVNSDKSISSIAGFCHSWCKEMGVFNTEDFSKSHRFSRASFYMFNFKITGSRQTFGAP -ISIVSDRIYKPICWDPDNLVFRIRGTTINLSYREGGGRQRVRMFNILSYTVKDTDVSDENAFKLLTLSTR -HKFHGKEPSTSWICMRALPIPTIDRLLDRINQGIRISGAIDNDRLADCFKDVMESSLRRRGVFLSEFTRA -TQKMLDGLSQDMLDFFASAGMDDGISFEDEPWLTGLDSFTLEDEDYLEEYNLGPFGVFSVEQEMNTKYYH -HLLLDAIVEDLIQKLSLDGLRKVFSDKVAPETYKKEVSRALDILQRDKKDLKWFNPNKAGDNLGLDVEDD -MFG - ->YP_010086109.1 RNA polymerase [Grand Arbaud virus] -MLLAICSRVPQQPGLQCPPAETFQSSHMRPPIPRFLLWTDGSDVIINFDLESLPPGSVTGSSIGPRFNLK -AQTASSFVHDFTFAHWCDAADMPLRDHFPLVNDTYDHWTPDFISKRLDGNMVVVEFTTNRSNQENSLLGA -FNTKVGKYELALHNRASGLSIYFGVVAVSETLVVTNLPLSQQEVDELCFRFLVARAVHLEMTSQMIVPEY -DDVDEDKKSREVKAAFHSIRPDWECTQEKFFPFSEQMFEKFEKLDPDSEYLAKVVFKSLSDAQLEMDSDH -FLKEPLTEEERLTKNKEDCRRIIRSYEDDFNSRATRSPWSHKSTIPFPGIIPKVQGDTTAISHLRNLPVI -TGGSDATIRAWRSAYSSVVCGQVERCDEDVERERRAALCSLTLEELEESKSMRVKYHRCKIDNGHADRVE -LALQGVEAKEFRGHPEIVKKRSESKKTFPLSTDTRDIDLFLQKDESLFDSAMSQTPPAAIIEAVKAGADA -QSLHGIKPSGNPWYTSVLQFLSLPIGLWLFMSTCIGVELSISLKQHCGRQKFIIKKLRFFDIFLLIKPTN -SGSHVFFSIAFPESAILGKIHRSQCFKGLNFEDGWFWTEFSSFKMSKLTNVVKSLSTGMNLFWFWRDFYE -VPFWIGSAADHKLGISKANKMFKFCLLMHLEDKSRTEEIATLSRYVLMEGFVSPPCIPKPHKMVEKLPEL -ARTKLQVWLINRMLQTMVRVSDSPFKISAGHRSASWTGIFNWITGDALESTQKLISLFYLGYLKNKEESP -ERNASIGMYKKILEYEDKHPGRYDFLGMHDPPPEDTRFHEYSISFLKYLCNHAENELRHNWGESFKAMIT -RDIVDSIASLDLERLATLKASSNFNEDWYQKRQDGKVYHRSKVIEKVSKYVRRNNSHVHHIMEECLKKVE -AQGCMHICLFKKPQHGGLREIYVLGFEERVVQLVLETIARQICKRFKSETLTNPKQKLTIPETHGLRAVK -TCGIHHETVATSDDAAKWNQCHHVTKFALMLCHFTDPLFHGFIIRGCSMFMKKRIMIDQSLIDMIDSHVD -LQTNDEYLKKIHRGYHGSISDQPKWMVRGGAFVQTETGMMQGILHYTSSLLHTIFQEWMRTFTQKYICSR -VSVDSKPEVLVDVLQSSDDSGMMISFPTQEKNRTAKYRYLSALIFKFKRYIGKYLGIYASIKSTNNTLHL -IEFNSEFFFHINHNRPLLRWITACDTISEQESLASRQEEMYNNLTSVLEGGASFSLVTFCQFGQLLLHYT -LLGMTVSPLFLEYIKLVSEIKDPSLGYFLMDHPFGSGLSGFKYNVWIAVQNSALGARYRSLLEAIASADG -ESSKKTLDTTTSGTFVQSTIIRFGDRKKWQRLVDRLNLPNNWLDQIDSNPEVVYRRPRDGCEVALRIAEK -IHSPGVSNSLSKGNCIIRVISSSVYILSRNILSDGLAWLYDEEEGRKRPLLFKVMYQPDLDPHSRLTPAQ -ISMMFPMSAEYQKLQVHFQSYSVIEGEFISKKKVITQTRINILESERFLRARPEDLVADMWFGFTRTRMT -PRTFQEEWNHLTAVFPWLNQDPSVSLRDSPFQHHVQLRNFLSRLDMKGRDIRIIGAPIKKSSGVSNVSTA -VRDNFFPRFMLSHNPDEQAMERLEAAGMLKHALFLTVTGPYTNDTKLDMCIDFIVQSEPIALRPNHGKTR -SNVLSLFQDFFSPHGKDIIFNRIEMANCGVIGGFTSPQTLKEVDGKLTYTGDGVWRGVVDGFQVQLVITY -CSKQKVNQLKSISVNSDKSISTLAGFCHSWCKEMGVFNTEDFSKSHRISKASFFMYNFKISSAKQSLGAP -ISIVSDRIYKPIYWDPNQLTFRLRGNTLNLSYNDSPQGVRTRLFNILSYTVKDTDVSDECAFKLMTVSQK -HKFHGREPSTSWICMRALPINTIDRLLEKIESRSRISGSIDTAGLADCFKNVMESALRKKGVFLSEFTRA -TQKMMTTLSADMLDFFADMGLVDDFDMETEPWLEGLDNFTLDDEDYLEEYNLGPFGTFSTEQEMNTKYYH -HMILDLLVEDLIQKLSLEGLRKVFSEKLAPLTYKKEVERMMFILQKDFSDLKWVSADQLSENMGLDVDED -MFG - ->YP_010086105.1 L protein [Alenquer virus] -MEEILRKQIIPVQKGLNKPELIQYDDSIVDVEIPFFHISKCNGFMKIDLDLNNGVDYSTIGSSLSSTIEV -PDKNLPNLIHDIVFSHLSDKTDTTFSSMFGVKQDTYDHLSPDVIIKTAAGAYFVVEFTTNRGGERASFNS -CKDKFSKYHIPCENRAVGCLVSLFVISVYAQGVWSNLALNDEDVNELVFRFRLAVAIMEEAKKYYPELSG -DESELSKQEREILGVVSSIQMDWETTTAQFPFFKEKVFENFLQEPADEEYLSSIISRELQESQKGLIQGA -FYKEGLRQDERLSLNFQECELKIDEYLSNEDNNLRNIKESKSTIQIPPWVMTNHTPGKSVSELSNVVILG -DHPMCKIWRSLVISASLGEISRSEDDPNLEFEKALEGSIEKSDERSKYHRVKLRLESEETIYAACLGVEG -KTYKANSQVETARERSKKPFSINHDTSALQNFLLVNEPYLFEEQYDSFNPFSIDYELRQCAQKIHQPELI -NMKGENEFLENHRKFVACNLGSWCQMVSLIGAELSASVKQHVGPGQFVIKRLLNSALYLLIKPTSSVSHI -FVSFGLLKSNHIGDLWNDGVFKHYIDAGDMFITDFVSYKLSKLTNLCKCFPLIESAACFWTELFGFEPWR -AVDILSTDRSGSGKEASSMLKLTLLTLLEDKATTEEIQTMQRYIVMEGFVSSPEVPKPHKMLGKLPKVMR -SELQVFLTNRVLDAMRKISQNPFRLQKKQGQISWTGLFNPITGSDLKDVQPLISICYNGYFKNKEEETEP -SALSRLYKKIIELEHLCPQNDEYLGLGDPNEPKMHEFSRSFLKKCTDHSKTILRKVYGHNFMSQIDSQIT -REISSITLERLATLKASSNFDESWYCYNDCSKKEYHREKAIVKMSKFAGSGKTLAIEVFDNCMKLIEKRG -NMHICLFKKQQHGGDREIYVLGAEERIVQSIIEAISRSIGRFFPSDTLCNPNNKMKIPESHGIRARKHCR -GPVWTCSTSDDARKWNQGHFVTKFALMLCEFTHQKWWPIIIRGCSMFTNKFMMMNLRYIDILSRHHELKV -DDEFSDTIFRAYHGEVKVPWMDAGCTFLKTKTGMMQGILHYTSSLLHTIYQEFTRSLTFKIFNMKVSPDM -SQMIVCDVMQGSDDSSMMISFPCDNEEKFTKCKIAASISFRMKKRLGVYLGIYPSEKSTSNTDFVMEYNS -EFFFHSQHVRPTIRWVAACCNLPEVETLVARQEEASNLMTSVSEGGGSFSLSNCIQHSQCTLHYMLIGMG -VSSLFEQFKKAILKWKDPGLGFFLLDNPYCSGLGGFRFNLYKAISTTSLKKLYSYFMKKVRLESDESQGL -VTESCSVSPGGAIVLSSALKWGSKQKFYKLRDKLNIPEDWIERINDNPSVLYRAPRTGDEVVLRIAEKVH -SPGVVSSLSTGNAVAKVIASSVYFLSAAIFQDSGKQEYSIVEDSKYSLLQKLYALEGKTLQNSISDSDML -FLFPNVEDLKSLDSLVFNRGNVELTRRKHNKENTQSRIIVFEGNRNLRTPAEYLISDKWFGTQKSKIGKT -AFDQEWDKVTTIIPWLEDNPEQTLNNSPLSNHVQIRNFFSRMDQKPRVVRVTGAPIKKRSGISKLSMVIR -DNFSKLGFLKDIEDVSGTSRTNTAEILKHFMFCALQGPYDQNKKEQLVYKILHLSDPLGIRDSDGKSRSN -ILAIFQNYVYGDTHIAEQIEKAGAGTIGGFIIPQKSKKIEDSVYYYGHGVWRGVMDGKQVQIELNNSVGN -PPMITSITLENGAEPWQVSKSVRMWAEDIGAKNNLDFSKNSGKGCKYWMFDFKMFSEDKPYGAPVFLSNR -RMVDFREMDNEEIKLKVRKSTINLYVNSSGRDVHILSYTAGDNDLSSACLRISSEMKDSMLALFPREPSK -SWASCASIPHFIVHRVLDVVRGNLYVEHLDPTRLAEILKLCCESSLRTRVGTIFSPIPALGDSRCHVDID -DLIDIVITDIRDSDFKSVVKTMEEDLRGGYEQEDFDFSDIDLFGPAHFKELSDLSTISHPLLDDYIDYCI -SSMGRKEVRRLLEQSRCKSKDLKISEDLFTCLQRPLRSIEVDDFGLNEGEFVSDNMIG - ->YP_010086101.1 polymerase [Chagres virus] -MNDIIEIQPTLNFGYNCRQLVHYDCSLYNLELPVFEIERCRGYVKVDLDPAAHSAVSTIGSTLKKTMTIQ -DVNLSNLVHDVTVGHLTPFTDRQFCSVFPVTGDGFDGHSPDLIIDCGNSNYYVVEFTTNRAGENAARGAA -LAKIAKYEIACQNRSVTNSLCLGVISVHRDGVWSNLNLTEDDVNELSYRYRFALDLFSEVLKRCPEISSE -DTEMSKQTKEINGILSLIDMDWKVTESSFPMFKEKVFNDFQSKGTDEQYISRIISKSLDECHKDLLKSSF -LGDELNHEQRVQKNREECEEKIDNFIKSYQSQTFLRGTTDHKSTVQIPPWVTLPGEKGKGLGCLQSLNVE -GNHPMAKIWLKVVRSAILEEIERMYDDPEAELEYAMSGSTSRPDERNKYHRLRLDLDHDEELYAACLGVN -GKSHRNDGMVNDARERSKKLFSIEHDTTPLETFLSERSRDIFSDDNYLYNPLSADRELRVAAMSIHQPRL -ISNEGSNEFLENHDHYLRSPIGSWSQMVSLIGAELSASVKQHVKPRSFIVKRLLDSGIFLLIKPTSSKSH -IFVSFAMDKSLWHSNLNMETVFKGYINAGDLMVTDFVSFKLSKLTNLCKTNSLLYTAICFWTEVYGFTPW -NSMSLLCNERSSVAQEICYMTKLSLLTLLEDKATTEELQTITRYIVMEGFVSQPELPKPHKMIQKLPYAL -RSELQVLLVSKLFMSMRRIAAQPFTLSKKGGQISWSHLFNPFTQSAIREIQPMINCCYNGYFKNKEEETE -PSVLSKMYKKIIELEHMCPEDDKYLGADDPEEPKMHEFSRSYLMECIQHGKQLLARMHGHNFMDLIDEQI -VREVSQLTIERLATLKATSNFNENWYVYKDVKDKNYTRDKLLVKMSNYASEGNSIALQKFEDCMTTIEKR -GSMHICLFKKQQHGGLREIYVMGAEERIVQSLVESIAKSIGRFFPSDTLCNPANKTKIPESHGVRARKHC -EGSVWTCSTSDDARKWNQGHFVTKFALMLREFTHPKWWPIIIRGCSMFTEKKMMMNLNFIRILDCHKELK -TSDEFANTLFKAYHGEIEVPWAKPGRTYLTTKTGMMQGILHFTSSLLHTLHQEFIRSLTFKVFNSKVHPE -MSHKMVCDMMQGSDDSSMMLSFPSRDESTIAKCKVAASLCFRLKKKLGIYIGIYPSEKSTSNTDFVMEYN -SEFYFHSQHVRPTIRWISASCSLPEVETLVARQEEAANLLTAITEGGGSFSLASCVQHAQCTLHYMLIGM -GVSELFYEYKKAINRWKDPGIGFFLMDNPYSAGLGGFRYNLYRAITGTNLQKIYAFFLKKVRGEKDDEDL -DNLEPDSCSVSPGGALILSSSLKWGSRQKFYRLRDRLNIPSDWLEKINENPAVLYRAPQNGEEIQLRIAE -KVHSPGVVSSLSSGNAVAKVMASSVYFLSAAIFQDAGKPEFRFLEPSKYSLLHKLAMYESFYGHDDLSDD -EMLFLFPNIEELRQLDLIVFNKGKIEFVPRSSQREATQTRVVIFDQHNTLKVEAEKMVSDKWFGTQKSRI -GSTGFNQEWTKLKTIVNWLTDTPKDTLSSSPFTNHVQIRNFFARMESKPRAVKITGAPVKKRSGISKISL -VIRDNFARCGFLKGIEDSEAIDRSNSSEIMKHFMFTILHGPYTEETKKTYVEKVMRDLPDIGIRESDRKT -KSNLLGIVQNWVNNPDGTAQLIEEVGAGIIGGFVAPQKSALLNGKVIYYGHGSWRGFMDGNQVQIDIYND -KGFPPHIESVTICEKTSIWDICGSIRSWADDIGIVNNVDCSRKASRSRLRYWLFEFRACGIDKPFGSPVY -VVRNNMIRIDPIKESNIRLKVRKSTLNLYVKQNDRDVHILSYTAGDNDLNPVAVTRSSDPGVLSAISLFS -KEPSSSWLRCDSLPTAYMGMILDLAEGVRVREHIDSPRLMQIIKTCTESSLRSKVGSVFQIVPGSHDAPQ -VFDIDSMIDIMIEDMNIESFTSITKDIAENLEEAYTTELFDFTDIDLFGPAHHKEISDLAMVSHPLMDEF -VEALINRATRKDIRKVLEQGVCLKKNEMHFKQLFRCIGRDPSSIKIETGILDIEDETDFDVIG - ->YP_010086091.1 RNA polymerase [Gabek Forest virus] -MNSILQNQTQLAPGFTKRSLRRYDCELFGVQLPAFSVRKIESGLAVDLSLDTLDPNSTIGSTLRQDVVVE -AGNIMNFIHNFTFGHLAGVTDEPFAKKFPIMNDGFDHMTPDLIIETPAGGVFVIEFTTNRGNSAQAEASA -MAKFGKYEIACEVRSGTRPVSLSVISAHRRGVVSNLELENPEVDEIVFRFRLAVAIFEEFKMILPGLDST -DEETTRIENEVKGILASINMDWNITEESFPHFKEKVFSVFRNSLIDEDYISSIISKSLTECQDEMRASAF -LDDGLGYESRCLKNHAEASSEVEKAGQSYYKEGKMRSKYDSKATVQIPGWVTYPGEEGKGLESLQQLDVF -GEHPMCTIWQKVCLAADSESIDRMFDDVDGELEFALNGTPDYQGRKSDRADERNKYHRVRVEMSREEEEY -VASFGVCGKSHKDDSFVKEARERSKLCFRPDHCIEDLENFLYSRDNSIFAESFCLYSPLTEDIDLRKVAH -KIHQPKVMIEKGEIEMLSAHTKFMSSPIGSWSQMVSLIGAELSASVKQHVKPKYFVIKRLMGSDIFLLIK -PTSSKSHIFVSFAVKKSSLQGVLWADGVFKSYIDSGDLYVTDFVSYRLSKLTNLCKCNSLLECSSAFWTE -CFGGFPWELTEFLSGGDVNSLDAAFMTKMSLLTLMEDKATTEEMQTIMRYIVMEGFVSQPEIPKPHKMCA -KFPKVLRTELQVFLLKRLSLSITEIAKNPFRLQKKDGSITWGSLFNPLSGRPIRDLQPLISACYNGYFKN -KEEETEPSALSKMYKKIIELESAKPDTDENLGLGDPLNPSTHEFSRSYLKRCCEHGLSLLRRQYGQNVMD -QIDSQILREVSSLTLERLATLKATSKFDEGWYVYKDVADKNYTRDKLIVRMSEFASEGKTLAIEKFEECM -SRIESRGAMHICLFKKQQHGGLREIYVLGAEERIVQCLVEAIAKTIGKFFASDTLCNPPNKMKIPESHGS -RARKHCGGPIWTTATSDDAKKWNQGHFTLKFALMLCEFTKPRWWPIIIRGCSMFTKKRMMMNLRYLSILH -GHRELNVDDEFVMTLFSAYHGEQTVPWMSEGRTYLETTTGMMQGILHFTSSLLHTLHQEFVRSLSFKIFN -MRVHPEMSTRIVCDMMQGSDDSSMLISFPADNETLLVRCKVAAALCFRMKKKLGVFLAIYPSEKSTSNTD -FAMEYNSEFFFHTRHVRPTIRWIAACCSLPEVETLVARQEEASNLMTSVPEGGGSFSLAAMVQQAQCTLH -YMLMGMGMSRLFPVFSREIQRWMDPGLGFFLFDNPYCAGLGGFRFNLYKAIMRTELKVIYTYFMKNIRDR -DNEDWDDATAQIPETCSVSPGGAIVMSSSLKWGSKQKFDKLRDRLNIPVDWIDKINDVPDVLYRAPRSGE -EIILRIAEKVHSPGVVSSLSSGNAVCKVIASSVYFLSAAIFQDSGKQEGKVLPGEKYSLLRKMAAYEGFR -NVDRMHPDDILFLFPNVEELEALDAIVGDKGEIEVIRRMGLREATQTRVVVFDHSQTSRASPEKLVSDKW -FGTQKSKIGRTMLENEWQRLKRTIRWLDDTPEETLNRTPLQNHIQIRNFFARLEGRSRTVRVTGAPVKKR -SGISKLSLVIRDNFCRNGFLKGVEDVSGSSRAVTAEALKHTLFCILQGPYPEEYKLQYTQRVLMSFGQID -IKPGDGKTKSNLLAIMQKFMNNDEDLPRVIEEVGAGIIGGFIRAQKSERKKGQISYYGEGSWRGVMDGVQ -IQIDIYNKPGETPQITSITMKDPQSPWDLGPSIRSWAEDVGAANSLDFAAKCSPGARYWLTGFKVFGPSH -PYGAPIYVISTSMTRIVNLETRDIKMKIRNHTINLYTKSGFRGGDMHITSYTSGDSDLSPESLKALSPQE -RGVSLSCFSREPSNSWVMCNPIPEAMVEAVLEIISGERVIQSIDSIRLSEIIQKCTEASIRQKVGTLFNA -VVSVEESECRVNIDDIIDIIIEDTSTLNFESIAQQMSTELGDTLTSPEFDNSDVNLFGPAHHKEMSGLAM -ISHPLMDSFVDHVVERMGRSNVRALLEQNTCSTPNLALSRLLYRSLGRDPNVIKVQNIKPAIVTDVTDDM -LG - ->YP_010086085.1 L protein [Turuna virus] -MEEILKKQVIPTGTGLNRPELKHFDDTIMDVEIPFFHITKCDGFMRIDLDLNSGVDYSTVGSSLNAVIEV -PDKSLPNLIHDVVFSHLADTTDTQFSEKFGVRSDTYDHLSPDIIIKTAAGSYYVVEFTTNRGGERAAMQS -CRDKFSKYHIPCENRAVDCRVSLFVISVHSRGVWTNLDISDDDCNEMVFRFRLAVSIMEEAKKYYPELSG -DETELTKHEREILGVISSIQMDWEKTTSVFPYFKEEVFQKFLTTPANEEYLSSIISEEIKSCQDDMIHSA -FIGTASGIEEKLELNLDECVLAINDYASKRPEKVRGTLESKSTVQIPPWLITEYDEGKSVSCLSDVEILG -EHPMSKIWRAVTVSASIGDIDRADDDPEQEYVRALEGSIEKSDERSRYHRVKLHLDNEEIIYSACLGVEG -KSHKNNSQVEEARERSKLGFSLDHDISNLEDFISKHDLPMFEESEDYFNPFSIDLELRESAQKIHQPDLV -QDCGGNEFLENHRKFLSSKLGSWCQMVSLIGAELSASVKQHVGPGQFVIKRLLNSPLFMLIKPTSSVSHI -FVSFGVLKSYHMGNLWDDGVFKHYIDAGDMLITDFVSYKLSKLTNLCKCFPLMESALCFWTEVFGFEPWN -AVDVLSKDRSGSSKEAATMVKLTLLTLMEDKATTEEIQTLQRYVVMEGFVSSPELPKPHKMLSKLPSVLR -SELQVYLLNRCLRTMETIARNPFRLQKKSGQISWSGLFNPLTGNSLRDLQPLISVCYNGYFKNKEEETEP -SALSRLYKKIIELEHLCPDDDTYLGEGDPINPKTHEFSRSFLKKCTDHGKTVLRKVYGHNFMQQIDTQIV -REISSITLERLATLKASSNFDESWYNYSNRPDRDYHREKAIVKMSKFAANGKTLAIEVFDESMKLIENRG -NMHICLFKKQQHGGDREIYVLGPEERIVQSVVEAISRSVGRFFASDTLCNPSNKMKIPESHGIRARKHCK -GPVWTCSTSDDAKKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKYMMMNLRYIEILSGHQELNV -QDEFSSLIFKAYHGECVVPWMDSGCTYLKTKTGMMQGILHYTSSLLHTLHQEFIRSLTFKVFNSKVQPEM -SQSIVVDMMQGSDDSSMMISFPCSDETLMMKCKIAAAICFRMKKRLGVFLGIYPSEKSTSNTDFVMEYNS -EFFFHSQHVRPTVRWVAACCNLPEVETLVARQEEASNLMTSISEGGGSFSLSACIQQAQCTLHYMLMGMG -ISSLFAQFKKAIIKWKDPGLGFFLLDNPYCAGLGGFRFNLYKAITTTSLKGLYSYFMKKVKQGSPSHEGA -LPESCSVSPGGAIVLSSALRWGSKQKFFKLRDKLNIPEDWIDQINENPSVLYRAPKTGEEVILRIAEKVH -SPGVVSSLSTGNAVAKVISSSVYFLSAAIFQDSGKQEYSILDQSKYSLLQKLHKFEGINLRNAISDEDLL -FLFPNIEDLQSLDSLVYNRGAIEIVRRKHNRENTQTRVTVFEGNRNLRTPAEYLISDKWFGTQKSKIGRT -AFDQEWDKVTSIIPWLSESPNETLDKSPLNNHIQIRNFFSRMDQKPRVVRVTGAPVKKRSGVSKLSMVIR -DNFTKLGYVKDIEDITGASRTAAAEMLKHFLFCALQGPYTQEKKLQLALKIIESSNPIGIKDSDGKSRSN -VLAILQNYVFGDRQIARQIEEAGAGTIGGFTVPQQPKKIEDTVYYYGPGVWRGVMDGKQVQIELDNSIGN -PPCITSVTMEESAEPWQICRSIRLWAEDMGAKNNIDMSKKVVKNCKYWMFDFKTYSADKAYGVPVYMSSK -KMVDFRLVRDSDIDIKVRKSTINLFIKNDGRDVHILSYTASDSDLSPASLKSTSQVKDEMMALFSREPSK -SWASCAPIPYFIVHKILQVTTGELTIEYLERERLSEIIKLCCESSLRSRVGTIFSPLPSVREGRTQVDVE -DLIDIVLTDLKSNNFGEIVKSLENDLKEEYDFEDFDYSDIDLFGPAHYKELSDLTTISHPLMDDFVEFCI -MSIGRREIRRLLEHGRCKTKDLKLAEDLFISLRRDIRTISVDDYSQREEEFVEDDMIG - ->YP_010086084.1 L protein [Nique virus] -MEEILSKQQVPMGQGLNKPELIQYDDSIIDVEIPFFHITKCDGYMKIDLDLDSGVDYSTVGSSLKSVIHV -PDKSLANLIHDISFAHLAESTDTQFSSQFGVRSDSYDHLTPDVIIKTAAGSFFVIEFTTNRGGERAAFNS -CKDKFSKYHIACENRSSDCVVSLYVISIYAQGVWSNLQLSEDEVNELVFRFRLAVAIMEEAKKFYPELSG -DETDMTKLEREMLGVVSSIQLDWSATTSSFPYFKENVFDKFLTEPSDEEYLSTIISSELKNCQEQLMTSS -FFDSDLRPEDRMKMNLLECERHINMFKQEFQGPFRNINESKSTVQIPPWLMGEYYDGKSVSILSDYEIDG -DHPMCNLWRSLSVSASLGEIDRADDDPDLELTQALEGTIEKSDQKSKYHRVKLRVDHQDVIYYATLGVEG -KTHKNNTVVEEARRRSKLGFALDHNTEGLSDFINTSDAQLFELDDNLFSPFMMDYELRQAAQKIHQPDLI -TDVGENEFLRNHCDFLKTRLGSWCQMVSLIGAELSASVKQHVGPGQYVIKRVLDSPLFMLIKPTSSVSHI -FVSFALVKSHHLGDLWSDGVFKHYIDAGDLLITDFVSYKLSKLTNLCKCFPLMESASCFWTELFGFEPWR -SSIILGRDRSGSAREARSMIKMTLLTLMEDKAITEEIQTMQRYVIMEGFVSTPEVPKPHKMLGKLPKVLR -SELQVYLVNRVLETMKIISGKPFRLQKKGGQISWSGLFNPITGMDLKDVQPLISICYNGYFKNKEEETEP -SALSRLYKKIIELEHMCPGTDENLGSGDPLNPQMHEFSRSLLKKCTDHGKTILRRVYGHNFLQQVDAQIT -REISTITLERLATLKASSNFDSSWYQYKDCDKRDYHREKAIVKMSKFASTGKTLAIEVFDDCMKLIESRG -NMHICLFKKQQHGGDREIYVLGPEERIVQSIVEAISRSIGKFFPSDTLCNPTNKMKIPETHGLRARKHCK -GSVWTCSTSDDAKKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKYMMMNLRYIDILNRHQTIDV -QDDFSQSIFKAYHGEITVPWMDDGCTYLKTKTGMMQGILHYTSSLLHTIYQEFLRSLTFKIFNMKVHPEM -SQQIVCDMMQGSDDSSMMISFPCSDEKLLMKCKIAAAICFRMKKRLGVYLGIYPSEKSTPNTDFVMEYNS -EFFFHSQHVRPTIRWIAASCNLPEVETLVARQEEASNLMTSVSEGGGSFSLSYCIQQGQCSLHYMLMGMG -ISSLFPEFKKAILKWKDPGLGFFLLDNPFCSGLGGFRFNLFKAISTTSLKKIYSYFMKRVRSQSVEDDVY -IPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLGIPEDWIERINENPSVLYRAPRTGDEVMLRIAEKIH -SPGVISSLSTGNAVAKVIASSVYFLSAAIFQDSGKQEYSVLDDSKYSLLQKISKLDGRNLVNSISDTDML -FLFPNIEDLQSLDSLVFNRGPIELVKRKQNKENTQSRVVVFEGNRNLRTPAEYLISDKWFGTQKSKIGKI -AFDQEWDKVVSIIPWLEQTPDMTLEKSPLMNHIQIRNFFSRMDQKPRVVRVTGAPIKKRSGVSKLSMVIR -DNFSKLGYIKDIEDLSGASRTNNAELVKHFLFCALQGPYTQERKEDLVTKILHLSDPIGLKESDGKSRSN -ILAILQNFIYGDQEIARQIEDAGAGTIGGFVIPQRSKKIESTVYYYGPGIWRGVMDGKQIQIEINNSLGN -PPMITSITVESSAEVWQLCKSIKLWAEDVGAKNNIDMSKKSSKGAKYWMFDFKMYSEDKPYGIPVYLSEK -RMVDFRQVSDDHIGFKVRKSTINLYVVNNGRDVHILSYSANDNDLSSSCLKLASQVKDSMLSLFSKEPSK -SWASCAPIPHFLVHRLLDLIRGDFTIDYIDSNRLSEILKLCCESSLRTRIGNIFSVLPTVNESKSHVDVD -DLIDIIICDSRENNFIEVAKALEEDLNEGYDLDDFDFSDIDLFGPAHYKELSDLTAISHPLLDDFVDSCI -TSMGRKSIRKVLETGRCKSKDVKLCEDLFTCLKRDINTLVVDDYDLREDEAVADDMFG - ->YP_010086074.1 L protein [Itaituba virus] -MEEILNKQQIPEGVGLYRPEIRQYDDSIMDVEIPFFHITKCDGYMKIDLDLNNGVDYSTIGSSLISTIEV -PDKSLPNLVHDVVFSHLASNTDVRFSAVFGVLSDSYDHLSPDFILKTAAGSHHVVEFTTNRGGERGAFQA -CKDKFSKYHIPCENRAVGGRVSLFVISVYVRGVWSNLDLTDEEVNELVFRFRLAVAIMEEARKYYPELTE -DESEMTKQEREILGVISSIQMDWGVTSLKFPHFKEKVFENFMTTSADEEYLSSVISDELTACQQDMIKSG -FIGKVSGIDEKLSLNEAECASMISKYLESKDGNHRDRLDSKSTVQIPPWVMTDYTEGKSVSCLSNFEVEG -DHPMCKIWRSVTIAAAMGDIDRADDDPITELKKALEGSIQKSDERSRYHRIKLNLTNEEIVYGATLGVEG -KSHKNNAQVEESRKRSKLGFSLDHDITNLEEFINKHDLELFAESDDYFNPFQADFDLRESAQKIHQPDLI -MKQGENEFLANHKKLCMSKIGSWCQMVSLIGAELSASVKQHVGKGQFVIKRVLNSPLFMLIKPTSSVSHI -FVSFALVKSSHMGDLWDNGVFKHYIDAGDLFITDFISYKLSKLTNLCKCFPLMESAICFWTEIFGFEPWD -STHIMSTDRSGSAKEAASMIKLTLLTLMEDKAVTEEIQTIQRYIIMEGFVSLPELPKPHKMLSKLPAVLR -SELQVYLVLRSLRTMERISRTPFRLQKKQSQITWSGLFNPLTGNSLRELQPLISICYNGYFKNKEEETEP -SALSRLYKKIIELEHLCPKDDVFLGSGDPKDPKMHEFSRSFLKKCTDHGKTILRKIYGQNFMQQIDTQIM -REISTITLEKLATLKASSNFDEKWYDYATCSKEDYHRGKAIVKMSEFAATGKTLAIEVFDECMKFIEKRG -NMHICLFKKQQHGGDREIYVLGREERIIQSIVEAISRSIGRFFPSDTLCNPGNKIKIPETHGIRARKHCR -GAVWTCSTSDDARKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKFMMMNMRYMSILSRHKELYI -EDEFSRTIFKAFHGEAKVPWMDEGATFLKTKTGMMQGILHFTSSLLHTLHQEFIRSLSFKIFNTKVRPEM -SQSIVIDMMQGSDDSSMMISFPCLNEDELMKCKIAAAICFRMKKRLGVYLAIYPSEKSTSNTDFVMEYNS -EFFFHSQHVRPTVRWVAACCNLPEVETLVARQEEASNLMTSVSEGGGSFSLAACIQQAQCTLHYMLMGMG -VSSLFEEYKKAIIRWKDPGLGFFLLDNPYCAGLGGFRFNLYKAIAQTSLKCLYSYFMKKVRQGIESNDGT -IPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLNIPEDWIEQINQNPSVLYRAPRSGEEVILRIAEKVH -SPGVVSSLSTGNAVAKVIASSVYFLSAAIFQDSGRQEFSILDDSKYSLLQKLSKLEGINLTNAISDEDLL -FLFPNIEDLQALDSLVYNRGAIEIVKRKQVKENTQSRVIVFEGNRNLRTPAEYLISDKWFGTQKSKIGRT -AFDQEWDKVTSIIPWLRDSPQDTLLSSPLDNHIQIRNFFSRMDQKPRVVRVTGAPIKKRSGVSKLSMVIR -DNFTKLGFIRDIEDMTGTSRTNSAEMLKHFMFCALQGPYSQERKLQMVIDLLSRSNPIGVKDSDGKSRSN -ILAILQSYVYQEPHIARQIEDAGAGIIGGFVVPQKPKKIENTIYYYGYGVWRGVMDGKQVQIELDNAVGS -PPVIISVTMEESAESWQICKSIRSWADDVGAKNNLDISSKIRKKTCKYWMFDFKTFSSDKAYGAPVYMTK -KKMVDFRLIDDSEINIKVRKSTVNLYVRNDGRDVHILSYSASDSDLSPASLRINDESKDSMMDLFNKEPS -KSWATCSPIPALMMHKIIKVVQGELKISSLDSERLGEIMKLCCESSLRSRIGTLFSALPSVQNTSRVDVD -DLIDIVLTDSKSAGFKDIVQSLENDIKDEYEIEDFDLSDIDLFGPAHYKELSDLNTISHPLMDDYVEFCI -STVGRKELRRILETNRCKTKDLQLSKDLFLVLGRNPDDIKVDEYNLREQLAVEDDMIG - ->YP_010086071.1 L protein [Oriximina virus] -MEEILNKQQIPEGVGLYRPEVRQYDDSIMDVEIPFFHITKCDGYMKIDLDLNNGVDYSTIGSSLLSTIEV -PDKSLPNLVHDIVFSHLANNTDVRFSTIFGVLSDSYDHLSPDLILKTAAGSYHVVEFTTNRGGERGAHQA -CKDKFSKYHIPCENRATTNRVSLFVISVYVRGVWSNLDLTDDEVNELVFRFRLAIAIMEEAKRYYPELTE -DESEMTKQEREILGVVSSIQMDWRTTSSKFPHFKEKLFEDFMTTSADEEYLSNVISEELTACQEEMIKSG -FIGQVEGIDDKLQLNELECAKMISKYLEGRSNECRDKLESKSTVQIPPWTMTDYIDGKSVSCLSEFEVEG -EHPMCKIWRSVTVAAAMGDIDRADDDPETELKKALEGSVEKSDERSKYHRIRLNLSNEEIIYGATLGVEG -KSHRNNAQVEESRKRSKLGFSFDHDITNLEEFINKHDLELFSESDEYFNPFQADFDLRESAQRIHQPDLI -IENGENEFLSNHKKFCMSKIGSWCQMVSLIGAELSASVKQHVGKGQFVIKRILNSPLFMLIKPTSSVSHI -FVSFALVKSNHMGDLWENGVFKHYIDAGDLFITDFVSYKLSKLTNLCKCFPLMESAICFWTEVFGFEPWG -SVHTMSVDRSGSSKEAASMIKLTLLTLMEDKAVTEEIQTIQRYIIMEGFVSLPELPKPHKMLSKLPAVLR -SELQVYLTLRSLRTMERISRHPFRLQKKQSQISWSGIFNPLTGSPLRDLQPLISICYNGYFKNKEEETEP -SALSRLYKKIIELEHLCPTDDKYLGSGDPKAPKMHEFSRSFLKKCTDHGKTILRKIYGQNFIQQIDVQIM -REIGTITLERLATLKASSNFDEKWYKYSKCEKDDYHREKAIVKMSEFASSGKTLAIEVFDECMKHIENRG -NMHICLFKKQQHGGDREIYVLGREERIVQSIIEAISRSIGRFFPSDTLCNPGNKIKIPETHGIRARKHCK -GAVWTCSTSDDARKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKYMMMNMRYISILSRHKELEV -EDEFSRTIFKAFHGEVIVPWMSDGSTYLKTKTGMMQGILHFTSSLLHTLHQEFIRSLSFKIFNTKVKPEM -SQSIVIDMMQGSDDSSMMISFPCSNEDELMKCKIAAAICFRMKKRLGIYLAIYPSEKSTSNTDFVMEYNS -EFFFHSQHVRPTVRWVAACCNLPEVETLVARQEEASNLMTSVSEGGGSFSLAACIQQAQCTLHYMLMGMG -VSSLFDEYKKAILKWKDPGLGFFLLDNPYCAGLGGFRFNLYKAITRTDLKRLYSYFMKKVRQGTEESDDT -IPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLNIPEDWIDQINQNPSVLYRAPRSGEEVILRIAEKVH -SPGVVSSLSTGNAVAKVIASSVYFLSATIFQDSGRQEFSILDSSKYSLLQKMSKLEGINFTNAISDEDLL -FLFPNIEDLQSLDSLVYNRGRIEIVKRKQMKENTQSRVTVFEGNRNLRTPAEYLISDRWFGTQKSKIGRT -AFEQEWDKVTSIIPWLRDNPQETLASSPLDNHIQIRNFFSRMDQKPRVVRVTGAPIKKRSGVSKLSMVIR -DNFSKLGFIRDIEDVTGTSRTNSAELLKHFMFCALQGPYSPEKKLQMVMELLSVSNPIGVKDSDGKSRSN -ILAILQSYIFQEPHIARQIEDAGAGIIGGFVVPQKPKKIENTVYYYGYGVWRGVMDGKQVQIELDNAVGC -PPAIISVTMEESAEPWQICRSIRSWADDVGAKNNLDVSGKIKKKNCKYWMFDFKTYSSDKAYGVPVYMTK -KKMVDFRLINDSEIRIKVRKSTVNLYVRSDGRDIHILSYSATDSDLSPASLRISDESKDQMLSMFSKEPS -KSWATCSPIPAVMMHKIIKVVSGELKITSLDNERLGEIMKLCCESSLRSRIGTLFSALPSVQNTSRVDVD -DLIDIVLTDVKTSNFKDIVKSLENDIKDDYEIEDFDLSDIDLFGPAHYKELSDLNTISHPLMDDYVEFCI -STIGRKELRRVLETNRCKTKDHQLVKDLFLVLGRNPDDVKVDEYNLREQMAVEDDMIG - ->YP_010086058.1 polymerase [Salehabad virus] -MNELLAKQAHLRQGFSSGALMHYDDETLHLPIPDFFVRRSLEGILIDLSLDQLDSSSTVGSSLMPNVDVK -ATSIPNFIHNFTFGHLSSSTDQPFSSKFPVINDGFDDLTPDVIIQSTAGSYHVVEFTTFRGREEGARGAA -SLKIAKYEQACRNRSVGRNVGLYAIAVHRGGVWTNMIMSKEDVDELCYRMRLAEAIEADIQVVCPEYRMA -DEEATKLEREMMGIVASIGMDWSKTERMFPNFKKAMFDKFRSNPPNQEYVKDILEKVIAKAQADLITSSF -IGDGKPLAERLEMNGRECDYAIDALIIELRSRPNLRSTSDNKSTVQIPPWVFYEGPEGKSLMPLKELMPE -GDHPMCSIWTKVCTSAIMEVIERMDDDPESELNFAMSGERTRDDERSRYHRVRVDLNQEEAEYAATLGVN -GKKYRNLQAVVDSRTRSKLIFSPDHDTTDLEQFLLCQDYSDFEPLEEAYAPLLEDMDLRLDAQMIHQPTY -THYEGENEFLANHKKILQSPLGSWTQMVSLIGAELSASVKQHVKPNSFVVKRLLNSPLYMLIKPTSSKSH -IFVSFALDKKYWIRDLNASTIFKHYIDAGSMFITDFVSYKLSKLTNLCKTNSLYEASLTFWMESFGFCSW -ESGNVSEKPQSPSLREALYMTKLSLLTLLEDKATTEELQTLLRYIVMEGFVSQPELPKPHKMIAKLPTKL -RSELQVYLLHRSLLSMRRIARSPFRLMRFEGQINWSGLFNPLSGSEIREIHPLISACYNGYFKNKEEETE -PSALSAMYKKIIELEHLKPESDDFLGWGDPEEPAMHEFSRSYLKEAVEHAKQFLERIYGRNVMEQIEQDI -IRELSSITLERLATLKATSNFNEDWYVYKDVKDKNYTRDKLIVKMSEFAAEGKSLAIEKFEACMSRIEER -GAMHICLFKKQQHGGLREIYVMGAEERIVQSVVEAIARSIGRFFSSDTLCNPANKMKIPESHGLRARRHC -KGPVWTTATSDDARKWNQGHFVSKFALMLCEFTSPKWWPIIIRGCSMFTNKHMMMNLEFLRILDCHRELN -IEDDFVQTLFEAYHGNVDVPWISPGCTYLKTSTGMMQGILHYTSSLLHTIHQEFIRSLTFKIFNMKVNPD -MSKQIVCDMMQGSDDSSMLISFPSGSDEILTKCKVTAAICFRVKKLLGVYLAIYPSEKSTACTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLMTSVSEGGGSFSLAACIQHSQCTLHYMLMGM -GTSVLFAEYKKAIKKWKDPGLGFFLMDNPIAAGLAGFRYNLFKAITTTNLQKIYAFFMKKVKGTSAYHMQ -EGVIPETCSVSPGGALILSSSLKWGSRKKFQKLRSRLNIPDDWVEQINDMPQVLYRAPRTGLEITLRIAE -KVHSPGVVSSLSTGNAVAKVMAAAVYFLSATIFEDSGRPEFNFLEDSKYSLLSKLAAYDGFNGVDDIEPE -DVLFLFPNVEEFHQLDTLIYNKGALDVTHRSSAREATQTRVVVFDHLQTSRCSPEKLVSDKWFGTQKCKI -GRTAMEQEWTKLKSTIRWLRDTPSETLDATPLHSHIQVRNFFARMEGKARTVRVTGAPVKKRSGVSKLAM -VIRDNFCKTAILNGIEDEVGLTRSVSAELSKHSLFSVLNGPYTEGAKISMVEKLLLALPEVGINPPDRRS -RTNMIGVLQHYAREGEGTIKLLEEIGAGTIGAYVMPQKSMKKDGKVFYYGPGVWRGTMDGISVQIEVDGQ -PGLPPQMTRILVSRTREPWILSHSIRAWADDMGVLNTKDMKETVRGDVRHWMYNFKLFGSGHAYGCPLIV -VPDELIDFRNINDNDIFLKVRGSVINLFTRAKGIGRDLHIMSYSTSENDISSSSILSLVNAQLDSCVSDF -TVQPSSSWVKCEPLPLEMIRPVLEIAEGLRPIRRIDSDRLREIIRICTEAALRSKVGTIFTFVPISMEMA -APVDMSAMIDLMLEDDDFTSFQEVVEEVMEDMDIMNSYEVDDFSSVDVHLFGPAHFKEMSNLAAISHPLM -DKLIDAAISQMSKTGVRRLVETGKVGHKDLDISKLIFRALGRDPASIITDDFNLDLEYEVTDDMLG - ->YP_009346036.1 RNA-dependent RNA polymerase [Uriurana virus] -MNQIIDNQPALNPGYNCRQLVHYDCTLYNLELPTFEIERCRGYIRIDLDPASHSAISTVGSTLQKSMTIQ -DVKLSNLVHDVTVGHLTPTTDKQFCSVFPIKGDGFDGHSPDLIVDIGGSTYYVVEFTTNRAGEAASRNAA -LSKIAKYEIPCQNRSVMNSLCLGVISVHRDGVWSNLTLTEEDVNELAYRYRLALDLFSEVLKKCPEISSE -DSEMSKQYKEINGILSLIDMDWEKTESSFPMFKKKMFEDFQSKPLDSEYISRIISKSLSKCHEDLLESSF -LNDNLSSEARLSKNSDQCESKIVEFVEEYNKSDFLRDTTDHKSTAQIPPWVSYPGDKGKGLSSLQKLSVS -GNHPMSKVWEKVVRSAIMEEIERMHDDPEAELEFAMSDQMSRPDERNKYHRVRINLSNEEELYLSCFGVG -GKSHRNDVMVEENRARSKKAFSIDHDTTSLESFLTSTDKSIYNQNDELYCPLISDLELRKEAISIHQPRL -MSEAGENEFLRNHINYMQSALGSWTQMVSLIGAELSASVKQHVKPRHFIVKRLLDSGIYLLIKPTSSKGH -IFVSFALDKSLWHSDLDYGTVFKSYIDSGDLLVTDFVSYKLSKLTNLCKTNSLVYTALCFWAEVYSFTPW -TSCAVLSNDRSCSAKEVSYMTKLSMMTLLEDKTATEELQTFTRYIIMEGFVSQPEIPKPHKMISKLPYVL -RSELQVMLINKIFMSMRRIAAQPFTLSKKGGQITWNHLFNPFTQSSIRDIQPMINCCYNGYFKNKEEETE -PSVLSKMYKKIIELEHLCPEDDRFLGSDDPEEPKMHEFSRSYLLQCVQHGKQLLSRMHGQNFMDLIDEQI -TREVSQVTIERLATLKATSNFNENWYVYKDVKDKNYTRDKLLVKMSHFASEGNSLAIQKFEECMNIIERR -GSMHICLFKKQQHGGLREIYVMGAEERIVQSLVETIARSIGKFFPSDTLCNPANKTKIPESHGVRARKHC -EGSVWTCSTSDDARKWNQGHFVSKFALMLREFTHPKWWPIITRGCSMFTSKKMMMNLNFIKILDGHKELK -TSDEFATTLFRAYHGEIKVPWLDSGRTYLKTKTGMMQGILHFTSSLLHTIHQEFIRSLTFKIFNSKVHPE -MSYKMVCDMMQGSDDSSMMISFPAQDEKLIARCKVAAALSFRIKKMLGVYIGIYPSEKSTSNTDFVMEYN -SEFYFHSQHVRPTIRWISASCSLPEVETLVARQEEAANLLTAVTEGGGSFSLASCVQHAQCTIHYMLMGM -GVSELFYEYREAVKRWKDPGIGFFLFDNPYATGLGGFRYNLYKAITKTNLQKIYAYFLKRVKGSESDSEI -IGVEPETCSVSPGGAIILSSSLKWGSRQKFYKLRDRLNIPYDWVDKINSNPSVLYRAPQTGDEILLRIAE -KVHSPGVVSSLSSGNAVAKVMASAVYFLSAAIFEDAGRPEYRFFDTSKYSLLHKLALYKNFSGYGDISDE -DLIFLFPNIEELQQLDLIVFNKGKIDFVPRTSQKEATQTRVIIFDQHNTLKVEAEKLVSDKWFGTQKCRI -GSTTFNLEWDKLKTIVTWLRDTPDQTLDLSPFSNHVQIRNFFARMESRPRAVKITGAPVKKRSGISKISI -VIRDNFARCGYLKGIEDSEGTDRSNVSGVMKHFMYAILQGPYTDDTKRDYIEKVMRDLPDVGIRESDRKT -KSNLLGIIQHWVNNPDGTADLIENVGAGIIGGFILPQKSEVTNGRVSYYGTGSWRGFMDGHQVQIDIYNE -KGLPPHITSVTITEKTSIWDICGAIRLWSDDVGVVNNVDVSKKSSRTRLRFWLFEYRPAGVDKPFGAPVY -VIRSNMSQIDQIKESDIRLKVRRNVVNLYIRQGGRDMHILSYSAGDNDLNPVAVTRSTDPGVIQASELFC -REPSSSWLKCESLPVTYLGMILDLAEGTRSRPHIDSPRLKQIIRTCTESSLRSKVGSIFQLIPGSVDAPQ -VFDINSVIDIMIDDMTKQSFEEVAKDIAVDIEQAYTTETFDFTDIDLFGPAHHKEVSDLAMVSHPLMDEF -IECLISRATRKEIRKALEEGVCSRKNENYFRQLFRCICRDPESLRIEVNALDLEESSDFDNIG - ->YP_009346035.1 RNA-dependent RNA polymerase [Tapara virus] -MDVLLEKQPKPTGKFTKRAVVQYESFLLDNRLINFEVLDSPEGGVTIDFSIDDLDESSTVGSTIPKVKKV -SPSGLKNFIHDFTFGHYASSTDRRFHNFFMPLNDGFDSLSPDMIVRTPSGHHHVIEFATFRGGDAGAESS -ALSKISKYEIACSNRSEQFDITLSVITVYKNGIWTNMIFEEDEVDELVYRFRLAVSIFSVLEAKYPDITN -ISEEMSLTEGEVIGIVADIKMDWGKTEEQFPYFKKEMFESFKSRSKDSDYVSRIISKTILESERELASSS -FYNLSLDKQGRLEKNKEECVSLIDAYIESYESRDFVRDISDPKSTVQFPPWVSTPGPEGKDLDPLKRLVV -EGSHPMVKIWMKVALNASLEVIERMHDDPEAELQYAMSGSILKSDERNKYHRTVVDMTKEEEDYASALGV -KGKKHRDDHLVKESRLRSKKQFSLRHDISELTKFLKDPDPSLFRQGDYYCPLKEDYDLRTSAASIHQPKL -IFEEGENEFLRCHKDFTESRIGSWLQMISIIGGELSASVKQHVKDKHFIVKRLKDSGIYMLIRPTSSKGH -IFVSLAIDKDCFAGTISDSRVFKPFIEGGGVFVTEFISFKLSKITNLCKLFSLAESSLSFWTECHEENPW -EMVSVQLEKRRTSPDIQFMFKLSLLTMLEDKATTEELQTLMRYIVMEGFVSEPELPKPHKMVEKIPKVLR -SELQVFLAIQVLKTMMRISGEPFRVKGKEGRVTWSGLFNPFSGSMITELQILISCCYNGYFKNKEEETEP -SALSRIYKKIIELEHLRPESPEFLGYGDPEDPKMHEFSVSYLKEMIEHAKSLLSKSYGNNFMDQIDSQIV -REISHLTMERIATLKASSNFDKDWYIYKDVKDKNYTRDKLIVKVAEMVESGSTLVIQKFNECMRVIEERG -AMHICLFKKQQHGGDREIYVMGAEERIVQSVVECISRSIGKFFASDTLCNPNNKTKIPESHGLRARRHIK -GPVWTCATSDDAQKWNQGHFVTKFALMLCEFTHARWWPIIIRGCSMFTRKFMMMNLKFINILDSKRELNL -EDDFATTLFSAYHGEIQVPWMDRGRTYLQTSTGMMQGILHFTSSLLHTIHQEFIRSLAFKIYNMKVGPHA -GKRIICDMMQGSDDSSMIISFPNSGDEELYKNKITAAICFRVKKKLGIYAGIYPSKKSTSNTDFVMEYNS -EFFFHTQHVRPTIRWVAASCTLPEVETLVARQEEATNLLTAISEGGGSFSLSAVIQQAQCTLHYMLMGMG -FSSLFREYSRAILRWKDPGLGFFLLDNPYCCGLGGFRFNLFRAIVSTDLEKVYAYFMSKVRKGEAASGGE -LPESCSVSPGGAIVMSSALRWGSKQKFDRLRSRLNIPVDWIEQINEQPEILYRAPRTGNEILLRIAEKVH -SPGVVSSLSNGNSVCRVMASSVYFLSAAIFQDTGRPEFSILNDSKYSLLQKLAAFETLKDNYKIQSEDLI -FLFPNIDELVGLDDVVHNRGRIEMKPRVSFREATQTKILVFDERNALRVAPEKIVSDKWFGTQKCKIGKI -GLDMEWEKLKTIVKWLDESQEVTLRQTPFENHIQIKNFFARMEGKSRTVRITGAPVKRRSGISKIALVIR -DNFSRTGHLDKIEDITGTSRSFRSEITKHAVFCTVHGPYSDVVKEQHISKILRQLPLIELREQDGRTKSN -LLAVMQRYFLEEEDVPDLMYSINAGILGAFTYPQSAKKIDGKVFYHGSGSWRGVMDGVQVHIDIYNEVGE -TAQIRSIKVYGTRSPWEICQNIRSWCDDVGARNDYDASRQKVKSNADFWMFGFKMSGAGHPLGAPVYILN -HPMEEIERIQSSKIGFKIRGKVLNLYVKSKAGRDMHILSYSSTEADVSPSIMNGRSAEAEMIRELFSAEP -SKSWFTFSKLPTESVRTLLKVASGHYSTSTIDPEKLSDLLKLCTESSLRNKVGTIYSMLTIKEEQKIMDY -DDMFDLMLEDMQEDQFDIMVESMKEDLYIEEEALSIDMEDLNLFGPAHYLESTSMGSISHPLMDKFVEDI -VNDMKDSNVRRLLETHIVRRCHLEMAELLYTALKRPLSYLKIEEGPLRSDNEMPLEKVG - ->YP_009346030.1 RNA-dependent RNA polymerase [Ambe virus] -MNQIIARQHNFKKGLTLKRLLHYDSTLIDVALPNFFITKKDDEVKIDFSLDSLDSNSTIGSTLKPECVIT -GVKLSNFVHDFTYGHLCGSSDQKFMSVFPIMNDGFDTLSPDMIINTTGGVYHVIEFATYRADENGCRNSA -MNKIGKYELACANRANDQDVVLSVIATHRNGVWSNLELEEEEANELVFRFRLAVSVFEEAKLMFPALEGA -DEDLSKLERELLSSLSLIEIDWDKTEASFPTFKRKMFEKFSQMSPDMTYLSSIINICINKAHQDLKDSSF -FDMGLSQDERIRLNMNEAQKKVDDFKTEMQSRDFVRNIYEKKSTVQIPGWVTTEGPEGKSVSRLADLSVS -GEHPMAGIWSKVVMSAGMGSISRMEDNPELELQQALGEGLDRPDERNKYHRVKIEMTSDERLYAASLGVN -GMKFKDNPLIKECRVNSKRTFSLDHDLTNLESYLYLNDITNFTPESGLFCGLGEDFELRLRAQMIHQPGL -GQNPNEMLSCHMDITRTPMGSWAQMVSLVGSELSASVKQHVKDNFFIIKRIKNSAVYLLIKPTSSKSHIF -VSFAVEKMFLHSCVHNEGVFKHHYDCGDLLVTDFVSYKLSKLTNLCKCFSLYECMLSFWTEAYGSDSWDS -VSFSSGSSVNSQDMRFMVKLSMLTLMEDKATTEELQTMLRYVVMEGFVSQPEIPKPHKMIGKIPRVIRSE -LQVFLVHRVLSSILQISSKPFLLKKRDGFITWANLFNPLSGLIIKDLQILISCCYNGYFKNKEEETEPSA -LSALYKKIIELEHLRPASDVFLGWDDPMTPEMHEFSVSYLKEICNHGKVLLKKVYGQNVLEQIDDQILKE -MGHLTLERLATLKATSRFDENWYVYKDVKDKNYTRDKLIVKMSEFASTGKTHALQMFDECMQKVENRGAM -HICLFKKQQHGGTREIYVMAPEERIVQSVVEGIAKSIGKFFASDTLCNPANKSKIPETHAIRAKRHCKES -VWTCATSDDARKWNQGHFVTKFALMLCEFTHPKWWPVIIRGCSMFTRKFMLMNLRFVEILDGHREFSIED -EFVTTLYKAYHGEIQVPWIDKGRTYIQTTTGMMQGILHFTSSLLHTLHQEYIRSLTFKIFSMKVQPDMGS -QIVCDMMQGSDDSSMLVSFPASEPRLLARCKVAAAICFRVKKLLGVYVAIYPSEKSTSNTDFVMEYNSEF -FFHSQHVRPTIRWIAACCSLPEVETLVARQEEASNLMTAVTEGGGSFSLAAMIQQAQCTLHYQLMGMGTS -DLFKEFIKAIRRWQDPGLGFFLFDNPYASGLGGFRFNLFKAITCTDLQKLYAFFLKKVKGSMTPEDGEIT -ETCSVSPGGALILSSSLKWGSRKKFQNLRQRLHIPDDWIDQINKNPEILYRAPRTGQEIMLRIAEKVHSP -GVVSSLSTGNAVAKVMASCVYFLSASIFEDTGRPEFNFLEDSKYSLLHKMAAYDGFTGFNDIEPEDILFL -FPNVEELEMLDSIIYNKGKIELIPRVSRKEATQTRVVIFNDQKTMRVSPEKLVSDKWFATQKSKIGETMF -RVEWDRLKKIVRWLRDDTESTLAASPLSNHIQIKNFFARFEGRTRTVRITGAPVKKRSGISKLAMVIRDN -FSKNGHLQGVEDISGMSRSVASELAKHLLFCILQGPYTDNSKLVSVFQVLTKLPEIEIKPTDGKTKTNLI -GIMQRYSNNDEQILEVIEEVGAGIVGGFVLAQKSEVRDGNVHYYGRGSWRGTIDGIQVQVNIDNKSGFSP -QITEVHIYTKRGPWEICQGLRSWAEDMGVKNTSDFSDRAPRATRFWLTGFRMFGLNKPFGAPVIIQDRPM -VDVRTFAYKQLKLKVRNSTVNLYIEAENKRHLHVLSYTSSDGDLSPSILKTGPAVDVMSSLLLFSKEPSH -SWVSCSSLPIAYLDVILDIAEGVRVIKTIDAEKLSNIIKICTESSLRQKVGTLFSSVQINADLAKVDIDS -LMDLMMEDIHSNVFEEMANELHDDIEGQFEFEQFDAADVELFGPAHYKETSNLIMVSHPLMDLFIDHLIV -KMGRQSIRRVIEKGFTQTRFLDMSKKLYRSLKRDPNSIRVDDYEDDEVGVIDEDMLG - ->YP_009346026.1 RNA-dependent RNA polymerase [Urucuri virus] -MEDILRRIENLDHDYMCPDLRHHEISFFNLELPTFEVKEDVDHVEIDLSPIPHSATSTIGSTIRDVMKVR -SKEIPNIVHDMTVGHLCDGTDRKFSSVFPIKNDGFDSNSPDMIIQSQGGTCYVVEFTTNRGGEGACRVAA -QTKISKYEIPCRNRSSDFRVVLIVIAVHRDGVWSNVDLTDDEVNELCYRFRLAVDIFETLKLKLAGMDED -DAEMTKMNRELTGIVSLINMDWEKTTRKFPMFKREVFEKFESTPVDEDYMSRMISRMLGECQDEMMKESF -LDGKLTMEERIELNKKECNDAIDSYISEYMSNDFMRDETDPKSTIQIPPWLMYEGDRGKGLGSLGKVEVD -SVHPMGKIWSKVVQSATLEEIERMYDDPLAELEFAMSDQTDRPDEKTRYHRVKVDLSYEELEYASCLGVR -GKEHKDGAAQKEHRLRSKKAFSINHDVRDLEAFLNDNDRSMFRPTEDLYNILSEDWKLRQVAASIHQPTM -VDREGGNEILDNHKEFMTTPLGSWTQMVSVIGAELSASVKQHVKPSFYIVKRLLNSPFYMLIKPTSSSGH -IFVSFALDKAYWYSDLGQGRIFKSYIDCGGLLVTDFVSYKLSKLTNLCKTNSLVEAALNFWTEAYGFVPW -NSVKITSTDRSSSAADVCQMVKFSMLTLLEDKAQTEELQTLMRYVMMEGFVSQPELPKPHKMIKKLPYIL -RSELQVLLLNRVFQSMRRVASRPFCIQKRAGQISWSSLFNPLSLSDVKDIQPVISSCYNGYFKNKEEETE -PTVLSKMYKKIIELEHLCPDDDKYLGSGDPEDPKMHEFSRSYLKQCTEHAKQLLSRIHGTNFMKLIQDQI -MREINSLTLERLATLKATSNFNDSWYEYKDISKERRYSRDKLIVKMSEFASTGSTLAIEKFEDCMRTIED -RGAMHICLFKKQQHGGLREIYVMGAEERIVQSIVEAIAKSIGSFFPSDTLCNPPNKVKIPETHGIRARKH -CKGPVWTTATSDDAKKWNQGHFVTKFAMMLKEFTDPMWWPIIVRGCSMFTNKRMMMNVKFLDVLFSSKAM -DIQDEFVQTAHKAFHGEINVPWMEAGKTYLTTKTGMMQGILHFTSSLLHTIHQEFIRSLTPKIFNQKVAP -EMSYKIVVDMMQGSDDSSMIISFPSQDEMIIGKCKVAASISFRMKRKLGILLCIIKSEKGTTNTDFAMEY -NSEFYFHSQHVRPTIRWVAASCSLPEVETLVARQEEAANLLTSVTEGGGSFSLASCIQQSQCTIHYMLMG -MGVSELFYEYRKAVLRWKDPGLGFFLLDNPYAAGLGGFRYNLYKAITCTDLQKIYAYFMKKVRKNIVETI -EEEDEESNSDTEDAGSQVSGSSSGSSSTLEPEACSVSPGGALILSSSLKWGSREKFRKLRERLKIPQDWA -DRINENPVILYRAPQTGEEIILRIAEKIHSPGVVSSLSSGNSVAKVMASAVYFLSAAIFQDSGNPEFSIL -GSTKYSLLHKMAMYEGFGNGNNITKEDILFLFPNVEELQNLDSVVFNRGRIDYAHRINQREATQTRVIVF -EEHHNFKVAAEKLVSDKWFGTQKSKLGGAAFSHEWERLKAVIPWLADNPNETLDQSPLRSHIQIKNFFAR -MENKARVVRITGAPVKKRSGVSKMAMVIRDNFVKCGYLRDVDDSIGMERSSASEVMKHFLFCTLQGPYTD -EDKKQTCLRLFQELPEIIIKDSDKKTRSNVLGIFQHWANSPEGTLKKIESAGAGTIGGFVCPQKPFKDKE -GKIRYKGDGVWRGTMDGHQIQIDITSSDTSVTYITGVTIQDSCSVWDIGPSIRAWAEDAGISNSVDVARR -KRHNKPRYWLFDFRPFGADKPYGAPIFVTRGRLSEISVMRESDLKLKVRRSTINLYVKDQGRDMHVLSYT -AHDNDLSPLVVRNSTDKSVQNVLASFQKEPSRSWYRCEALQVPFLNVILDLAEGKRQIKSIDSERLSQII -KICTESCLRSKIGSAFQYVPGSSGAPLAIDIDSIMNLVLEETNIESFERVAKGIAEDLEGSYMSDKFDFT -DIDLFGPAHYKENMDLTMVSHPLMDDFINSLISLSTRRDIRKVLETGMCTKRDEVMFKLLFRSLGRDPES -VRVEYHFPSYDEEVDEDMIG - ->YP_009346019.1 RNA-dependent RNA polymerase [Anhanga virus] -MDSIIKQQIPLREGFNNKELLSYVETLYNVELPTFSLHAVSGGLEIDLQFDDFETASTIGSTLRANPSIH -VDSKSLLNMVHNITVGHLADNTDFRFSSKFPLIGDGFDGHTPDLIIESAGGSFYVIEFTTTRGAERSALS -AARNKIVKYEMACMNRAYGRSISLSVISVWRGGVISNLVLTDDDVNELVYRYRLSLSIFEEASQIYPEIR -KDDEEMTKLEREIMGVVTSITMDWDRTEQAFPMFSRDIVEKHNESAADNEYISRIISKVVDKSLNDMRKS -SFLEGDLSIEERFSCNFKECETSIGNYIANLNSREFVRDTYDSKSTVQIPPWVTSRFIPGKDLDPLKDRN -IITQHPMGRIWYEVCQSANNELIERMHDDPERELSQALNGEMDRSDERSRYHRVKLELENSDVIYIASLG -VGGKSLKDNRSCKEARERSKKLFRIDHDTADIDSFLRSKNDNILKGNPQLYNPFEVDRELRLAAQCIHQP -TLTHEVGENEFLENHNRFLQTPVGSWSQMVSLIGAELSASVKQHVKPGSFVIKRILGSGVFLLIKPTSSV -SHIFVSFAVLKDCLVSELCQSGVFKSSIDCGDLVVTDFVSFKMSKLTNLCKCCSLLECALAFWAEAYGHE -VWNSVRAASACKTVAGRDMVFMFKLSLLTLMEDKATTEEMQTLTRYVIMEGFVSQPEIPKPHKMMSKLPC -KLRSELQVYILNRVLNAILRISESPFKIRKTNGKISWTGLFNPYSGNPISELQILISSCYNGYFKNKEEE -TEPTALSAMYKKIIELEHLCPDSDEYLGRSDPESPRMHEFSRSYLKQCTDHAKHVLRSCHGPNVLELIHH -QIIRDLGSLTLERLATLKATSNFDQSWYDYKDVKDKNYTRDKLIVKMSKFADKGNSLAIQMFEECMSLIE -ERGSMHICLFKKQQHGGLREIYVMGAEERIVQCVVESIAKSIGGFFPSDTLCNPANKSKIPESHGIRARK -HCKGPVWTTATSDDARKWNQGHFVMKFAMMLCEFTHTMWWPIIIRGCSMFTRKYMMMNLKYLAVLDGHKD -LKVEDEFVMTLFKAYHGEIEVPWIDKGKTFLKTKTGMMQGILHFTSSLLHTIHQEFVRSLTFKIFNMKVA -PDASQNIVCDMMQGSDDSSMIISFPAKDSTSLARYKTAAALCFRIKKLLGIYLAIYPSEKSTSNTDFVME -YNSEFYFHSQHVRPTIRWIAACCSLPEVETLVARQEEASNLMTAVTEGGGSFSLACQVQQAQCTIHYMLM -GMGVSQLFEHFKCAILEWLDPGLGFFLLDNPYAAGLGGFRFNLFKAIKETKLQKLYSFFLKRVRNSPCLE -GEDDALISESCSVSPGGALILSSSLKWGSRQKFMKLRERLNIPDDWVEQINQNPEILYRAPRTGKEILLR -IAEKVHSPGVVSSLSTGNAVCKVMASAVYFLSASIFEDTGRPEVNIMGSSKYSLLQKMVTYEGFKNSCNL -TGEELLFLFPNAEELMNLDSLVFDRQKIDLVQRVSHRDATQTKIIIFDEYHSMRVSPEKLVSDKWFQMQK -SKIGKKALDQEWDKLTSIVAWLRDNPDDTLKSSPLNNHVQIRNFFARMEGRARTVRVTGAPVKKRSGISK -LSMVIRDNFSKVGYLRDIEDSGGLLRSQVSEIIKHYLFCILQGPYSDEMKRKLTFDVFYYSEELYIKESD -RKTKANTIAIFQAYTRDSKDVVRMIEEVGAGIVGGFVRAQSTKMIEGKVYYYGIGIWRGVIDGVQVQIDL -DNDVGKLPHITAVHIFGSVVPWEMCQGIRSWADDIRARNDIDVSTNKSLKPGYRYWMSGFKMFSHDKKFG -CPVYVLREKMTSIVDVRPEKIYYKIRNKTINLYLKEGRGDLHILSYTSGDQDISPASLKFTSSLNDAMMN -FFSKEPSKSWLHCTPLSYSVACRVLSLVDGSQRRDHIMQDSLARIIRMCTESSLRSRVGTVFSHLPAAEE -RERTFNLESMIDLMIEEMEDNCFDDITSSLAEDIDASYEDEGFDITDVDLFGPAHYREMSDSAFISHPLM -DCFIEYIVERVKRRDIRKSLETCRVLRRNKDMMTNLFKALGRDENQIKLVDNESGDSDSFDDDLLG - ->YP_009551639.1 RNA-dependent RNA polymerase [Kaisodi virus] -MFHRVDDLSEICRRSEKSGSLTIPNFRTYWSTVTLPPPAHHVHRDGSDIIIDFDLSTLDTSSQTGSSIRT -TYRVKADDAGTLIHDFTFAHWSETTDEPLQNHFPPVRDEANRWTPDFISTRLDGVKDVVEFTTFRSVDEG -AARHRFLDKITKYEYPLELRSRSTPGTLLFAICVFRGGVVTNLDLTDTEVDEVCFRFSVVQAVFSTLQNQ -MLVQDVQDPEETRLERQVQQTFLRIQPDWKVTEGNFYPFTEEMFHHFQSGVMDEDYLKHAMRHSYQEAKN -AVESRNFILATEDTSERLVMNGQEACDQISAFVESVDQNAERDNFSHKSTIPFPGIIPGVTGNSLSLTGL -KDTTVPYITSDATGKAWSIAISKVHSDEVARAEENEDLEREIALNGMDADETEDFKKARQTYHRVDLSSL -DPADRLELAKQGVEAKEFKDNPSIKSKREESKKTFSLHVDTSDIDSFLGSDGQLFSTEKSQDPPAALETC -VDFSARFQTLHGMDRKRNPWSTSILNFLRLPIGIWLLMVTCIGVELSISLKQHCGKRKFILKKLRFFDVY -LLIKPTNSGSHIFYSIGFDKSAILGLLHSSSVFKAVHEEGNWCWTEFHSFKMSKLTNPVKALSSLHSMYW -YWQEFFEVPFWVGSKEDFQGNIRKANEMFKLTILIMLEDKARTEEIITLSRYVMMEGFKSIPELPKPHKM -IEKLPTILRTKLQSWLTRRMLESISRVAYRPFSIGSDQGSIYWTGMFNPFTGDSVLSTQKLISLFYLGYL -KNKEESPEQNASVKMYSKILEYELKHSGRYEFLGLRDPPPEDCRYHEYSPSVIRMLCSTAMQFLRHQLGD -SWKETIHKTILREISLLDLEKMATLKASSQFDEKWYAYNSNETYHRCKVIERVAEYVSDKTSHVFQILET -CLDKVERRGCMHICLFKKPQHGGLREIYVLGFEERVVQLVLETIARAICKHFPSETLTNPKHKTTIPESH -GRFALKVCGIQHQTVGTSDDARTWNQGHHVSKFALLLMSFTKTELHPFIFRACSLFMRKRIMLDQNLLRI -LEMNSSLETSDVTLRLLHSVYHGNERVHWMMPKGGYIQTETGMMQGILHFTSSLFHTVLQVWMKKVVAGF -LKRVLGEKGSQNPHVDVLQSSDDSGMLVSFPSDDALTTMRCRQKTAILFEFKRVVGKLIGIYPSIKSTSN -TLYVLEFNSEFFFHTNHNRPVFRWIAAADTISEQESLASRQEEMSSNLTAILEGGGSFSLVTFCQYSQML -LHYVLLGMTVSPVFLEFMSSACEYMDPALGFFLMDPPFAPGLCGFKYNLWVACRKGRLGIKYRYFLKIME -GYGSPEEKKEHWKCLDTTSAGTFVQAVLIRWGDRKKWERLVNKVVSDSDWLEKIDENPFMLYRRPLTGQE -VRLKLAVKIHSPGVASSLSKGNAIVRIIASSVYILSRSVLSDNLSMLESGESTKKTCLLRRILAFNGMLG -GQGPHLTEDQFLLLFPHHQEYLTINERLNQLQAINGRFSVKKTHITQTRIEVVEKERFMRVRPEDLVSDM -WFGTNRSRVNPKQFEKEWALLCTAVDWLRETAQETLELSPFTHHPSLQNFFSRLETKGRCVRITGSPIKQ -RSGVSNMMTAVRDNFFPGFIMTDVYDAAGLERSESASLLRHCIFLILAGPYTEYRKTKMIEEVFQKMPTI -PFKLNQYKSRLNSLALIQHYILNPSDDAIFDHICNSNSGIIGGFSHPQRSRPLGSGRLYFGPGTWRGIVY -GMQIQIEVNSPPDSDYTYLQSITIDQDSSKEFLPGFLKSWCDEMGVTNLFSPKLARSKSPLFFVHNFVIK -STKNPIGCPVYSEHSRLFTRSSLSTSLLGFKVRSSVLNLRYYENERERSRENGRGMNLVSFLTRDSDAGQ -EEALALMRLMDRKAFSFSNNEPSTSWMTMRSLSSVSLDILLRKSSQSHLLRAGIVKESLQKCIREALISS -LKKMGVFLSDFKQAVDKMAEYALANAADDCFNLAFEIADLEMDDSNIFLREQDPMDRWDPTDFELDMSDL -GPFGSMVVEEITNTRFYHHKIMEDVARKMIATLGHRGVRDLIISHQYPRVHRDLVYEWCEFLDIPRDSLV -ESDDDAFGVLLGPSIGFDQIG - ->YP_009551587.1 RdRp [Melon chlorotic spot virus] -MNSILISTLGLTCKLTNLPNIKRNGRLVQVPGDGWCLLHSLAKSKDSSPEHLFIEMMDYLRETIRDNPVN -GEDLKKMLHAYLDKNVWFDDTLIILFSCCFRTTVQVFSEQGLMKYEPETYKTVVDLRYDAPNLKNGHYSI -YEDCTGILKSNLWSDIVLEVEWAGMITDALPFKGETLDTVLRTIPSPYYNDIKTTIMEQSFQNDNFKRIV -AHGINIATLLRTNFYINLSVRSSMSLFLLKNNESEYLMPVSTLVWVEEDINQEEKLTLEETHLYINPKTK -SLDCSNDYLSEPYNTFKECYAGLISWIFEDQLSEDILDLLFVLIPNSYESIGPLNEILGIKVIFHFGHHD -DNTAYWYNKSELVEYHLVLLKDHCKFLKKWNYVTKADKRQKDLLLLKRNDEYIPTTFPTFMEKVTGFRGS -LYRKAIDLRIQKYSISILKLLTLTITRNVFELVFLLRLLSLNSTKTSVIRVDAALDSTRSSDVSLRVAIK -KVGFSHTHNLLVIDSNSKCYMCSRREQANVHYLPTEKQKHAKKIVKNIIGMEVFPSIPNYAPNLVQYTPE -PKSFQFPEPEVYPTAVMKDIPIFLSLHIEIKNRGKIHVSFENSGSTISTYNNNSYILDRSKARTFIHDFT -FDLIAPETDMAFGSAGLSLRDPDDYKTPDLIVRTEDDKFYIYEFATRRSSALITLEKAQVSKDLKYRGMI -EQRSRILQKDIFYGIITATPQALQTNVIGLPKELCEELMFRMRTATEIFNKFVEMEIEGCADAESSMRQV -RIESIFKTISMENMTFVAPFSREMYEGFAKPIDKDDMENHTKLVLDCFKLSKEKIISEVNTGSTQNVETF -NDISSIRFQESLQKFYDNYRTEYPYGATRTDMKPPVQLPFIYTYNNLPQPTINNIMDLVNIFNCDSSNPH -TRLISSCVLKIKNDDINETNEFDLILMDKEERAKAEKLAKKNRKEYRRVEYQLSETDEMDLATIGLFGRK -YKDMVKDQRSYKKLPFDLHETVVSDIHELLNVQAVELFSRDEEMFFKEDIDKLLKDSLGLHNTNSIQKYL -QTYDYLKQTRVAHWARFISDLGSELSISVKQFCKSGNAIFKKLKNYSTYLLIIPTGGPIFFSLLFPKVDV -CYSNHSTVFKNLNETEGYYYTDFVSVNSSKLRNLVLLESVLYSTFMLFAELSKLPTFEEMDTNTNNFKIA -SGLTMLSLLICLDDKAKTEEACTLNRYVQMEGFVHFPKVMDQQKLLGRVNLNIRSRLELFVYESIIECMK -RYSSNPCRLLVQENKRQYEGMLIPYLRDESGSQRKCDSPEMMLNIIYIGYIKNKDENAEVNALGQLISKI -IGWEDSVPSTAEFLGLKDPKPESIQKHEFNTTAIRVLTYKAKERLKKTLGATNPTEYIGKLILDYLKKQS -LEQFSTLKASSNFGEKYYYYVPKSKSERTSHKAKMESLGIEESDPGYKRSKVIVKLKEWLDSKEKPENQT -TLVVDLLLDALEQVEENMCLHVCIFKKNQHAGLREIYVLNIAERIIQKTVEDISRAILSCFPSETMTHPN -NKYRIPEEQAKDARNNLGSKYVTYNTSDDAKKWNQGHYVTKFICMLVQFTPTYYHGFICRTLQLWLDKRI -MLPVELISLFSNLDEMHTKDEFVSRLFKAYKGYTTEKWISKGQSYIRTSSGFMQGILHYTSSLFHTIILD -FISETAQMHLMNQIKKIAPEMPSRVVVRNMQSSDDSSMMISVPVFDDDKKNNSIIAFCCYIWFTFKELYS -LQLGIYKSEKSTTMTQFIMEFNSEFQFLGEIQKPTIRWVYACCLISEQETLVARQEELSNTLKDVVEGGG -SFILALYCQIAQLILHYRLLGSGVSMFWDIYTNLIRKVRDPALGYFLMDNNICAGILGFNYNLWNSYDST -VLAVRYKQQSICEARAPIRETIKQITPDTLSLSLFTRLTTIRYGNKKIWERIMNNMNFPESIWDDMEKDP -AVLYYGANDVDEVIKKMAIKMKAPGVVASLGSANSLVRIISTGVYIMSRSVVSTQSAMFDNDGNRVLNKA -PLLQLLIDENEKTMSYVRSVDVLRPDPEVPETVVFAKDTLVQITDTPEEYLMDCRPDLSENDIEVSRPLY -QPFIKKNLLPDDKGSWIHFLFTVFNIPHQMYRKKFESENTFGLSGISDLSTTRWDDRSVKRIFYKDDDLY -YQVVTSNYQWGHNDTQLFTLGNELRKLLFPFEVDYQAIRHNMDMIDGTPMNYDPGHRRRTRSNLVIAGSE -SSDLFSLEEIAKYVWFRKTKPPLSDSVIMNLWANFKVKYPFLRDTVEETLSSEDCPFPNHISLRNFIARQ -DKGSRVIHLTGSHGKHGTQHANLLTVIKNNTSDNYSYDQPIIDENKRTQHLNYETLMHTLSMLSEMPLDD -EVRNFLMEDLLVRSPSVSTNIYALGGRRNKMSIIQSIIQEDPEMILSSKQSVDDVYAYYRQSLENRWLSY -TEDDVDSWLDMIYVKTNGETIPVPIYIEGVPEDILKAYNLEPTFNISKLKGNYVQANWDQSLIDFLIYKL -DRNIIRLMARGTVLSLRDATRVIGEFEIKRQRIVQNISLLKLGMIGGYTTRQTRSLEGIYQGIGIWEGHF -NNVGIKIEINSDGENSFFTSICVRKAPNDVKLFLSMLKTWSQDHKVGYSKDKLRPSNVGTILMYVYEGKI -VKSYDASSIPIMLNEHMEVIYNLSVSDFRVELTNHNLRLMAKVQNSDVREITTMQVKFTGRDINPNIRDV -APVDFYQIIQNWSPIISTWVKFDSLDYEWIDYFSRSEMRPMRLFPTEARLNWCTNLVRNSIYRLHLDRQA -VVLTNETKEEEKDGLNIAITGMLSLSNTIETVLRDMPEFNITDVDFEEIIELLETEDIQDRNLASSSKLF -IHPFLDNILLFFVKRYTSSTLRTLIRNCSDPGYKLLSTYSMVRPILEAYSGRIIDLEEPIVKKSDLDVMP -SLR - ->YP_009667028.1 RNA-dependent RNA-polymerase [Laurel Lake virus] -MECGHKLIDNRCELFCDDYVLSTKGICYLYAFYEEERGNVLKDLGEYPNACTFNLYYCKNKLTTSVSVIP -PSEPGGCLHIQNEAIAGQKLTVAHLGECTNCSFKFRSYSNSLKPKMIDYCPSGCNSNVKTYHLISLIRFS -YFANCVGSAFSVRNLILKEDSAINFEFREPRLYFSEDPMPTSIPNAYIEGDQVHMSINDSKVSYGRNSQR -LKAFAHDFVANEWQENTDRPLSVLGVEGHASGMTPDFISLDTRCVLELATCNTDHFRALENSFQDKVLKY -KNELEGYKVKFFVLVVSPQKVFTNLNISQKEVDMLSIRMRQTRLMRQKLIEVLGEDVMDSEYDEMERLTK -RMFSKKYQEIDLADKYKYNLKEISNFGTDLTQSESTNAARILLKMFDDTRNIHTAGRQDLHDYISKFTPE -NSRCDMKRISNVPILLPGYDPGEIDGCLEGNETLKKMWCDSIVKNSGKDNTFSNKNTTLEAALMDKDPKQ -RHMTKRQMQTKLELSEEDKIELALSGVGGKKYKQNHEILEHKEQSKLSFHPHTYTEDISDFCGRNLLNTE -SSLPDTVPYLLKTAVRMSKTISCPDGNNYSIDIWESLLKTDFMQYSMLMTRLFMELAYTYKHWTSHYEFL -YKDLGNGIYALVYNPKSALFVSFAFPKGGAKLWDTGKLGPKCFFSRSHVFTDWASFDSSQLEHFIKFGPY -MGSCLVELLNSSESSLTNYSKYAIECAPHLLLLYCNNKTDVEELVTSQRYLFMKLLEDVGKSPYVFVDRL -PKVLRSRLTVFYLKKTIEMINYYDCNSITKVPRQGEDMIIYDYMNIKSLFSKEFISLNCKINEFYSGYVV -SKERNTGKDKTFKVLTKLIKQEQKFRSKVKGSIFSQGEEYEEFKSNHSLIKFFSTAFRDLLVEKFGKGFQ -DKILDDFTHAAARTNFSDLATLKVSSRDHSKEVDVPVGDETTEQVFEKLKKDFPEELMKRPFCMESMTQI -IKQFEADQGVQITHISQLGPWCLESLLAKGHFDSDQFDKSQHGGEREIHVLEFKARIVQYFVELIARTIC -SYFPSETTINPDTKDSFVKDHYSKSKEMFGDNFTTISKSADATTWCQFHHVSHFSAMYQKLLPEGLLEFV -NKALSLWPRKRLSFPLKQASSLSANVKLKTSNEVYMKFKEEFERGEGMFVKARSNLIEVISGMFQGILHT -TSSLYHTMIQEVMKQVMLTACKGRLGMPKVHITVCQGSDDSGCMISLPGKPSSKNLQIAKRLLLWKERVS -PYLSVFCNEAKSSIGTHDLIEYNSEWHVRHMIVKPTFRWVSASQELSVTERFIDRFRMYNNMLSECLSGG -ASTLECSVIQLFQATMHYSLLGLNTLGNQDIKIKYLELLFENPDPLHGFFPLDEDVSCGVTGVXFLLYNL -YKRTSFGTTLRVLGDSETSIDYSPEDLPNWMKTKDMSSVRLKFSDMKIFYRILERMNLEPLEDAIKSVES -DPTILFSRSNSWKDEQHNLVLKVFSKGVKESISNRSSMLRMSASSAYILTNRCFTVMGDKETEEIEYSVD -GGKVLKKKVPAKHTLLYLMNRHREVLSKTSKDISNLPNLFPYHKEYEKIASDIKNLKENGVVLDQYVKRT -SKVKITVIPKASGDVDIIDMCKRQWFDRGVPSLSKGQFKLRWKELTEKFSFIDSHKGPEGVIGTAKKLNL -NVVQTKMFLESMTERSRSIVLYDSATKGGNLSFSLSRMYWPNKKISLPTSNLDDKVGELRSSLFSVLTYW -FRKDYTDEICKRLIRSAQCLDMDYSRISTHGLKLKIMHDFLLGASKLDLLNRIETSKKGLLGSYVQMQRG -KGNSRKGLGIWQGSICGIGTRMIMKDNICSHVIVNKIYDSVTLGWHLNQLMVESSLKMPEAKDIKDLPDT -NCWLSHDGRILIGRQAKGVPIYQDTSMKTVGTDEIANLVWSIDTHNNNVRIRARDPISNTMITLLSDTLV -NRDWIPGCSTDVDDPVFSKWSRGESLHLPTFQRILEATFPVKRYDFMKLKDDFNTNKLHNHLNWDYKQMQ -KVMRMSIMDRDYIAAVDKTKTDQCSLNDDEVLKKFSSMLSTMVDEFDNGLDEEIGNWAEEVEMEEDYTMN -AWGVDLSLEEEERIRESVSLFAETSTDKYFELVDKTNLAKNFSMPSSSRFFSTLDHSNVATMHEPIRNSI -LERRKAGGILGIVYTIILGEYSIGRDDNLTSEIVEIEEEMSNVSSSISRPGAMMNLTLDEVRVNIANIQN -QMENSPKGLSKRLLRLLNIYKDREEEILNRLDPHTHDLIMLNSDSLLQQVISNFVDLKLLPIDISSVEHE -LRTSLFGTLTRSKITNCAELSSSEKEEAILQLTSGSISRGSIQSLCVAYDINMMLDGEPIHIANQEAITI -NLFI - ->YP_009666332.1 RNA-dependent RNA polymerase [Mukawa virus] -MEPILSRIGNFQGFQKPQVQHHEADLFNTALPSFQVSNTKAGLSLDVNLEDLDRESTVGSTITKDQVIPM -DQILNFVHDFTVAHLTKSTDSRFSEAFPLIGDGFDGHTPDDIIITPGQRVFVVEYTTNRGNERQAEQAAY -TKFAKYELACQNRSSIMPIMLCVISVHRDGVWSNLPLTQAEVDELVFRFRLAVAIFGEIREMSPDALKDD -PELSKTEREVLGIISQIPLDWEKTSKAFPAFQESTIMGFLRSPEDEEYVNSVIAQCTDKAAQDLIRESHM -DTSEDLGERLRLNRELTEMAIDARMVEYTDGRSMRHEKDRKSTVQFPPWVMTEGPEGKDLSSLMDVDARG -ESPMAKVWSSVVRNSCTEEIQRMDDDPEEELRLALENSMGSSDQRRQYHRTTLTLEESERVYAATLGVEA -KKYKDFPLVQDARARSKLPFSPEHDTNDISRYIFAEGQDFKADEYLYSPCMADSELRKKAAKIHQPTLLQ -NEGTNEWITNHDNFLQSPMGSWLQLVSSVGSELAASVKQHCKPGQFIVKRLLNSGLYLLIKPTNSDSHIF -VSMALDKNYWLRDLSGADCFKPYEDVGGLLVTDFVSFKVSKLTNLCKSDSVLSAAACFWAEQYGIKPWLT -WSEDGLSSDSPQNREARSMFRLTLLVHFEDKAVTEELQTMLRYVMMEGFVSQPEIPKPAKMTTKLPKVLR -TPLQVWLLSRVTCTMRVLSNRPFSLIRSGKTVRWTNLFNPYTGAKIGSLQTLVSCWYTGYFKNKEEPPEV -SGLSKLYEKIIELESAKPKDDRFLGKQDPVKPAMHEYSVSYLKRCCAHAKNLLKRLYGQDFMRDIDNDIL -SRFCHLTLESMATLKATSNFDSSWYVHSENKGRTYSRSKVITKVAPLTRAGKRLVIEAFQESMNMIETNG -CMHVCLFKKNQHGGLREIYVMGFEERIVQLCVETIARSICKLFPSETLNNPKNKTRIPETHGSRARKHCG -SAIWTSGTSDDARKWNQGHYVVKFAQLLCSFTQPKWWPIIIRGCSMFTKKRMMLDPKFMDILDRHTELNL -EDEFATTLFKAYHGEVTVPWFRKGCSYLETETGMMQGILHNTSSLLHTVHQEFVRTLTFKMFRSRIGQDF -SSKVVCDVMQGSDDSAMIISFPSEDPEILARAKVVSATCFRIKRLLGVFLAIYPSEKSTTNTDFLLEYNS -EFFFHLQHIRPTVRWVAASMSIPEVETLIARQEESYNLLASTVEGGASFSLAACVQQCQATLHYRLFGMG -VSSLFEHYAKHIIRWRDPGLGFFLLDNPYIAGLGGVRYNLFKAVTRTELGCRYSWFLKGLRGSQALSDEQ -NALVTESCTVSPGGAIVQTSVIRWGNRKKYERLLQSMDLPTEWEDIINKNPIILYRAPQSGEEALIRICE -KMHSPGIASSLSKGNVIPRVMASSVYALSAAIYQDIKKMPGSPLGDGKYSLLSRVIAFETSGILTRLEPE -DIIFLFPNIEELEKLDEIAFDRGAIQIRPRSSMRESTQTRVQVLGDSNQYRVSPDKLVSDKWFQTQRSKV -SRTLFDDLWGRLRATISWLRDTPEETLEASPLASHVQIRNFLARMDSKPRFLSITGAPVKKRSGLSKMLS -VLRDNFARDAILADIEDHVGLSKHTATELVKHSLFCALQGPYTDSTKEQLTQYFLGRLPEIPLKDQDGKT -RSNVLAIFQKFVSSEQSVIQDIIRVGAGIVGGFTRPQRATKRDGKFHYHGPGTWRGVMDGVQVQIDIDNR -EQEPPQLVAVTVSGTQTPWAIIPSLQSWCSDMGVLNSCDVRSKSRPGAKFWIYGFRMSGPSSPYGVPVYI -VSYRISDELSKSDIPISMKVRNSTINLYTRSRFTHADLHILSYTASDQDISSASIAALRKCEDQLELDLL -KREPTCSWFNCKPLDIGMVEALAQVLDGRRSLPRHLDKERLSSIFKMSCESSLRARIGGLPILAEVKVED -NSNFSLQDMIGEVILSLKSDELFEQAVEGMELPNIISDLDFEGEFDLSGIEAFGPSYYKELSNLSLMSHP -LMDGFVEHVLDTIGQREIRRVLSQARCKPSHYDICCVLFRVLGRDPARIKKDVFDRLPASEVEDDMLG - ->YP_009666272.1 RNA-dependent RNA polymerase [Pidgey virus] -MDFNNLIKHNIRLEINKMKEEGRFHNLDLVSNHPLFSFNKFLNCTVPKYELKENKLSTNSKTFNKQVSIS -FKIIEEDSDTEDGLTYRSDTVVSTDFSFVGLEKVNFVHDYTFSVICDNTDFELRTWETYPDNKDDNTPDY -CYVEDNDFIVIEFTTNGGQNNDKGMRRDILHKLEKYSEPLLNRLLKKREQSETINAWLFVINVSQNSIYL -NFDLQVGSRQIIHELCLRYVLARDIECDLIKSGKLPSRTSEEMSLDMKALTNMFTRIKFWKTDPEEPLTG -CLTEQELDKFVNPDPAALNKRLRRTERMIREIRNKASRKNLELSNFSQTLRNRDKKEDIIKPNLESYNRL -VATEQDIKLNSENCYSEVESYEIKQSNSTFRRDIKTVCPFPFVILDRNNDYNMPGPISINGQISSLQGAF -YEKENATGAVWTHVLEQIRFYNAVDDDESLEDQIFRACNPVSTNDVNFRNKRHRIQVVNDDVSQIELAKR -GIYKKTLNMNNEVRAYIHEKSKPFSITTSTIDIEQFITDPELALFTDTEEIHFVTSEVKKLLIDSIKLHI -PESDSKVYDNLVTKILGLPIMRWAKLVADMALEIDISMKQHVGKNQFIVKRVKDHNMFLLLRPTNQDGFI -NYSLLWHDDDTFLSRDSSIFPTIHKYGEYNYTDFRSLTADKLSNQVSMESIIFNQIVFWLNEYKVNVLDD -NLFKNHDLTNVKQAYSMILASTLIASEDKKQTEQVLTNIRYVYMEGFVSFPRMPKPQKMIKKLPDVLRSR -LTIYFVKKTLELMKYICDGGHFYRTIRMDELDMPETYRTNMINYITKEKTEDLNLIINLMYIGYLNNKAE -LMQGNQLNTLYKKIIEMEKLFTDDIKKTIGKVDVENPKKLEELKRHEYSTDMLYFGCLQASAKLKNNKGQ -TFRQQVEESFLRKLSLTNVLNTFGTLKSSSTFDSQNDFHYEIKETYELLTKEKKAILKKENMKPNRSKVI -IEALDYLEKYPDLWNLVPVCLEELMEKNRLDVDLFDKAQHGGIREIFILNFSSRVCQYVLESMSRSLCEC -FPMEMMTHPRNKDILVGTHNQASQEMFKGKSSLTLSSSDDAEKWNQAHYVGKFGQMLAFFYPKYMWPFIS -NTLSLWFVRRIKIDPRLIEIMEKHEDLEVEDECFQELYDIYKGRRPTTSWYTGNKRRFINIQSGFMQGIL -HYTSSLFHVISLECTNTLSLAVIKTFLDKMNLREHSFVITFMVSSDDSSMMLSLPAYSVKVAQFMSLCAS -MCFDIKTFVGESLGIYLSVKSTQNTMFCMEFNSIFNFQESRYHAYIKQVMAVHLLSEKESLIARQEESSN -ALTSIVENGGSFLLASLCQFSQGLMHYRFLGSSVLPIVTNLIADKLLELRDPSVGYFLMDNPLISGITGF -KYNMWNLIETDSSMSTFFKFILDNALAKEATESLTSKQLTLHTTSSGLFTNQLIIPYGENRKLRSVQEKL -NIDDSIYEQVNADPSVLYFKPRSSESIVLLAKLKFLSPGVVESFSRGVGMAKLMAHSVYITTNAILDESA -NFYAVKDTTKKVKTSMTKRLLENIEVMNDLEISLNNEEKTALFPLHDEYYSLKANAAMLRLRDLQIKIKR -TKNVKSTIVIHEADPILLPTNQIIAWKWFTKSTNLSRSVMEELWSRLKNHFKWLRENYNETLAISPFNNH -YQILNFFSRIDATSRRIHLLGAPLGYGSAHSNLITVACRNVWSGHEISLVKDEKEYNLSQEFKCIRHCLY -MISRTPLTLKKREEKLCEILLNSKSFSVESNTVNSAKYNLCMIQKTIKNCSLRNSPDKFIKPQSIKPQIP -TFRSLILEGRLMKNILMEIQTSRFGIIGSFVLCQNYRVVNGRSEYYGPGTWVGKINEVSVRINLNSREEN -GKTESYVESMIVNKPKLSNNFFQSLRMLCTEMHVFNTHSVHQNLPKLLTKMHNFMESSKGTSIYYDSEMS -TLFDVGSESFIKVTYESGSKSLKLKLGNKYMSIKGEREDAFTILSYSLRSRDYDLSVSDLYLSKLNLGRF -LETWVTDKPMKEEDIFKLVEKLKANDSTLKTMGFDIEDLRSWIKDCFISACIKSGLILNKHIKNLNESSM -NKTSFIPDYCKRTAGEILEDLTDELCDLLGDLDDSYQFTEDILMEPKSNNIIESEHIEDLVDQDNEEEYV -DVMNTLLLETVEYQDKEISVDVRNNNNSFIYAVRRLELELGRYSLTQFLSFKVVTNNVTEDKMKLLTFIL -QSKEEDYTHLKMSKLEDPSTSFDDDFV - ->YP_009666266.1 RNA-dependent RNA polymerase [Mothra virus] -MELPGPAGAVIAGELALRRQINDRERTISHYEGVLHSPRQIQRNVADFFTFHRNANGKEVYWQMKQDPEL -GSVSGVELRLTDANLCKTKHEMVAQFFCGGFNTDAAWTLINPECPDKTTPDGIFNLGPKLWVVVEVGTTR -GQPYKTYKDKFVKYLPTIADLIRRDPELTILFWIIVVSPSSVLSTFRLPNQIVDELSLRFHIGIAMEDQL -ITAHLMDAVAEDLTEEERAILASVRSLGEVPIVGRDTTIREMSANEHANFVKNKDYIDKSVNLMIQDTAK -VMKTMTESKNAMHKAFNEIETVIEQSGGARIDHKAPVQMPFLYATESNNEDVLTEGMSFQKNNLPEHIQH -VWMWAYSSRVGTPSNFQSLPLEEEVERAMRSGFPEQPDPNRSHWHRVKIDLKQHEEKFSLDGLGGKKHKN -EYYKEMRRAYQSTGFSVKANTDDIAACLNIVPTRGDVVNRYSDMLTPLLAKANELIGNTSDGLELVREIF -SSDVVTSLCQMSVVASELAVSSKQHTNKDQWVLKKILGYNIELLVRPTNSESHIFFLLRFKGRPLEQGTF -RPTYLSDGWHYTELLSINMSRLENFIRAPLTYALTLLHFRINVDRVQKQDLNRTSSSGYSEKMAMWTLLF -SLEDKTQTEEMALNVRYLMMQFAKGPDPLSPPQPAPIIKKTPNVLRSRLAVHLYNQIKKYCYLQFDGLPV -KVVAKANDTDHSADQFEGLLCPLTHNTVNTFYDAVQIMYIAYAKNKDTQPEQNSDFAMIKKIADYVLNVD -PQRRAYYDGSSDPPIEEYPGPHEYSPSFLTEACDLLRKRFTGDFGRSWQETLEVEILRKLVKTTHHESLA -TMKASANFFLEDAKIMAELEDLEEKNFGKLNDSQAATARILLDMMRTGNFETDDLRWAELHNLIYMSDIH -KTGLGKGKFKRIAKSCKCHEAVINELRADSESVPLLIGDYPSIFRSVMESMGGVCCYLFKKNQHGGLREI -YVLTFKSRLLQLLPEVAARVICGMCPEEVLTHPDKKISGYENHQTNVMSSVGQGTSTQYIHRTSSEDKSK -WSQGMNVSAFNFMFARLLSSKWSNAISRVMHAFRNKKVMVPEGVMSIFEQRIPLSDPTYMTLMEDYNNTM -ARKHFENQRGRMFLLTPTGMWQGILHYCSSLLHVLQTLHYKHLCKMIFQRRTQEYKNANDEGLGLFLIDT -VVSSDDSATYASIVLPQGFTQKTLVEADKVLDQLMKLEVTHSRFFMMIESPKSCISSKTVGEFNSIFSCS -RTYISPTIKKVLAAATIPENEDLESRQIVISNMLKDILEEGGSHFQIHVTELMISFLHYTALGLGTSRLF -QPWADLIIEHPDTHLGFMVVDLMDISGTFGFDFKKYMSLRLTEVPLMASMPAALKAGIEGSFNSGVVLTQ -GDRTRWKNFVKRVVQPGWEAYLEANPEVLYMERGISKEQIEARLSSKACSKGVHEALKQGNSMSRLISSS -VYLLARNVITVKKLNMVTGEGTVKETRESEKKSLLSLTASQMQAEADIVVHSEMTEEQRAVFYPNSALHL -AVANSMMTMRGKDLIRTVRYRRVRNTIEVRGSTNTLVLPIMQVLRWKWLGSRVKMAKTTLDYSWAHYLSV -FPWLRDTLRGTLEFSPFETHTGLFSFFQGVDKKSARLSVLCRRVRSLNPHAQLVEMAKHNQYPELRYSDG -HHYIVEEKVDSASRPTMDKISAVTSVLTAIIHSPYIGDIEHFSDEIAEVIEFTDDELVTLSKTRAFSRVA -TLQSVIENRPNEEVEKMLLNSGQGVVKLYKHAQIKRGNSWSGPGRLIVKTKTTSVEVLVHDTHVIAIKTP -SLPALEEEYALISSYLSSDGFDWDLPKNVRAYKEHFRELGRASLHDVCCSNKSLWRVPRASTYTKTAAGR -LITKSPPSGWDMPFPVVIRSTDEYEGYIQGKLTILKVSDGIMNRLELVSTVDGRELTLMRYYGPRKPIAD -KKLEELFEDKRDSEDIMSTWLYHGRINWRFGLDMLAWAAELEDATPEMAAQFSNFHADRLRGFLIESFKS -ACKQSGRLQMNQPDYVSELNQVYTERFEYSKDMKDAVYGVNFDDFMKVVDERSILDEEGAIAGALPDVMD -SPDVPTVHLDRTTSEGPLAIDDDEPVSDSPRPNTGEVVNWVEDIVPDIPIRFDDVESVGADQIDPSTSSK -SLVDTHEVNPDMFDFKATPLYNMSHPFWTEVIIACTRGGVWEAIEKGFRLQGASARAETIVCQVTGLIKA -KLPGLEDLDDEL - ->YP_009666941.1 RNA-dependent RNA polymerase [Guertu virus] -MNLEVLCGRINAEDGLSLGEPGLFDQIYDRPGLPDLDVSVDATGVVVDIGAIPDSASHLGSSINAGVINI -QLSEAYKINHDFTFSGLSKTTDRRLSEVFPIAHDGSDGMTPDVIHTRLDGTIVVVEFTTTRSLNMGGLEA -AYRTKVEKYRDPISRRVDLMANARVFYGIIVVSSSGVLTNMPLTQDEAEELMYRFCIANEIYTKARTMDA -EVELQKTEEELEAVSRALSFFGLFDPNLQKVEETFPNSDIRMLEEFLSSPADVKFITDTLKAKEIESYEE -LCHTHFLKPEKTMQERLELNRCDAINKTQDLLAGLHQQSNKQTSINKGTVKLPPWLPKPSNESIDIKTDS -GFGSLMDHGPYGELWAKCLLDVSLGNVEGVVSDPAKELGIAVSDDPEMDTPKEAKITYRRFRPTLSLGAK -QEFSLQGVEGKKWKRSAANMKKEKESHESLSPYLDVDDISDFLTFNNLLSESRYGDESIQRAVTILLEKA -SLMQNTELTNSLNDSFKRNLSSNVVQWSLWVSCLAQELASALKQHCRAGEFIIKKLKFWPIYVIIKPTKS -SSHIFYSLAIKKSDISKRLMGRVFSDTVDAGDWELMEFKSLKTCKLTNLVNLPCTMLNSIAFWREKLGVA -PWLVRKPCAELREQVSLTFLVSLEDKAKTEEIITLTRYTQMEGFVSAPMLPKPQKMLSKLEGPLRTKLQV -FLLRKHLDCMVRVASQPFTLIPREGRIEWGGTFHAISGRSTNLENMVNSWYIGYYKNKEESTEVNALGEM -YKKIVEMEEDKPDDPTFLGWGDTSSPKKHEFSRSFLRAACSSLEKEITQRHGRSWKQNLEERVLKEIGSK -NILDLASMKATSNFSKEWEIFSEVQTKEYHRSKLLEKMAGLIERGFMWYIDAVGQAWKAVLEDGCMRICL -FKKNQHGGLREIYVMDVNARLVQFGVETMARCVCELSPHETVANPRLKSSIIENHGLKSARSLGPGSINI -NSSNDAKKWNQGHYTTKLALVLCWFMPSKFHKFIWSAISMFRRKKMMIDLRFLTHLSSKSDSKSSDAFRE -AMTNAFHGNSEASWMEKGKTYIQTETGMMQGILHFTSSLLHSCVQSFYKTYFVSKLKEGYMGESINGVVD -VVEGSDDSAIMISIRPKSDMDEVRSRFFVANLLHSVKYLNPLFGIYSSEKSTVNTVYCVEYNSEFHFHKH -LVRPTIRWVAASHQISETEALASRQEDYSNLLTQCLEGGASFSLTYLIQCAQMLHHYMLLGLCLHPLFGT -FMGMILSDPDPALGFFLMDNPAFAGGAGFRFNLWRACKTTDLGRKYAYYFNEIQNKTKGEEDYRALDATT -GGTLSHSVMIYWGDRKKYQSLLERMGLPSDWVEQIDENPGILYRRAQTKKELVLKLAEKIHSPGVTSSLS -KGHVVPRVVAAGVYLLSRHCFRFSASIHGKGPSQKASLIKLLMMSSVSALRHGGTLNPNQERMLFPQAQE -YERVCTLLEEVENLTGKFVVRERNIVRSRIDLFQDPVDLRCKAEDLVSEVWFGMKRTKLGPRLLKEEWDK -LRASFAWLSTDPAETLRDGPFMSHVQFRNFIAHVDAKSRSVRLLGAPVKKSGGVTTINQVVRMNFFPGFC -LDAEKSLDNQERLESISILKHLLFMILNGPYTEEYKLDMIMEAFESLALPQPTEVIRKSRTMTLCLISNY -FSQKGGSILDQIEKAQSGTLGGFSKPQRTFTRPGGSIGYKGKGVWTGVMEDTHVQLLIDGDGTNNWLEEI -RLSSEARLYDVIESIRRLCDDLGINNRVASSFRGHCMVRLSGFKIKPASRTDGCPIRVLERGFWIRELQN -PDEVFMRVRGDILNLSVRIQEGRIMNILSYRPRDTDISESAAAFLWSNKDSFSFGKKEPSCSWICLKTLD -NWAWSHASTLLATKRKTPGINNELMGNIFRDCLEGSLRKQGLMRSKLSELVEKNVIPLTTQELVDILEED -IDFSDVIATELSEGSLDIESIFDGAPMLWSAEVEEFGEGMVAISYSSKYYHLTLMDQAAATMCAIMGKEG -CRSLLTEKRCMSAIREQVKPFLIFLQIPEDSITWVCDQIAESRGLDEESAIMWG - ->YP_009666133.1 RNA polymerase [Severe fever with thrombocytopenia syndrome virus] -MNLEVLCGRINVENGLSLGEPGLYDQIYDRPGLPDLDVTVDATGVTVDIGAVPDSASQLGSSINAGLITI -QLSEAYKINHDFTFSGLSKATDRRLSEVFPITHDGSDGMTPDVIHTRLDGTIVVVEFTTTRSHNIGGLEA -AYRTKIEKYRDPISRRVDIMENPRVFFGVIVVSSGGVLSNMPLTQDEAEELMYRFCIANEIYTKARSMDA -DIELQKSEEELEAISRALSFFSLFEPNIERVEGTFPNSEIEMLEQFLSTPADVDFITKTLKAKEVEAYAD -LCDSHYLKPEKTIQERLEINRCEAIDKTQDLLAGLHARSNKQTSLNRGTVKLPPWLPKPSSESIDIKTDS -GFGSLMDHGAYGELWAKCLLDVSLGNVEGVVSDPAKELDIAISDDPEKDTPKEAKITYRRFKPALSSSAR -QEFSLQGVEGKKWKRMAANQKKEKESHEALSPFLDVEDIGDFLTFNNLLADSRYGDESVQRAVSILLEKA -SAMQDTELTHALNDSFKRNLSSNVVQWSLWVSCLAQELASALKQHCRAGEFIIKKLKFWPIYVIIKPTKS -SSHIFYSLGIRKADVTRRLTGRVFSETIDAGEWELTEFKSLKTCKLTNLVNLPCTMLNSIAFWREKLGVA -PWLVRKPCSELREQVGLTFLISLEDKSKTEEIITLTRYTQMEGFVSPPMLPKPQKMLGKLDGPLRTKLQV -YLLRKHLDCMVRIASQPFSLIPREGRVEWGGTFHAISGRSTNLENMVNSWYIGYYKNKEESTELNALGEM -YKKIVEMEEDKPSSPEFLGWGDTDSPKKHEFSRSFLRAACSSLEREIAQRHGRQWKQNLEERVLREIGTK -NILDLASMKATSNFSKDWELYSEVQTKEYHRSKLLEKMATLIEKGVMWYIDAVGQAWKAVLDDGCMRICL -FKKNQHGGLREIYVMDANARLVQFGVETMARCVCELSPHETVANPRLKNSIIENHGLKSARSLGPGSINI -NSSNDAKKWNQGHYTTKLALVLCWFMPAKFHRFIWAAISMFRRKKMMVDLRFLAHLSSKSESRSSDPFRE -AMTDAFHGNREVSWMDKGRTYIKTETGMMQGILHFTSSLLHSCVQSFYKSYFVSKLKEGYMGESISGVVD -VIEGSDDSAIMISIRPKSDMDEVRSRFFVANLLHSVKFLNPLFGIYSSEKSTVNTVYCVEYNSEFHFHRH -LVRPTLRWIAASHQISETEALASRQEDYSNLLTQCLEGGASFSLTYLIQCAQLLHHYMLLGLCLHPLFGT -FMGMLISDPDPALGFFLMDNPAFAGGAGFRFNLWRACKTTDLGRKYAYYFNEIQGKTKGDEDYRALDATS -GGTLSHSVMVYWGDRKKYQALLNRMGLPEDWVEQIDENPGVLYRRAANKKELLLKLAEKVHSPGVTSSLS -KGHVVPRVVAAGVYLLSRHCFRFSSSIHGRGSTQKASLIKLLMMSSISAMKHGGSLNPNQERMLFPQAQE -YDRVCTLLEEVEHLTGKFVVRERNIVRSRIDLFQEPVDLRCKAEDLVSEVWFGLKRTKLGPRLLKEEWDK -LRASFAWLSTDPSETLRDGPFLSHVQFRNFIAHVDAKSRSVRLLGAPVKKSGGVTTISQVVRMNFFPGFS -LEAEKSLDNQERLESISILKHVLFMVLNGPYTEEYKLEMIIEAFSTLVIPQPSEVIRKSRTMTLCLLSNY -LSSRGGSILDQIERAQSGTLGGFSKPQKTFIRPGGGVGYKGKGVWTGVMEDTHVQILIDGDGTSNWLEEI -RLSSDARLYDVIESIRRLCDDLGINNRVASAYRGHCMVRLSGFKIKPASRTDGCPVRIMERGFRIRELQN -PDEVKMRVRGDILNLSVTIQEGRVMNILSYRPRDTDISESAAAYLWSNRDLFSFGKKEPSCSWICLKTLD -NWAWSHASVLLANDRKTQGIDNRAMGNIFRDCLEGSLRKQGLMRSKLTEMVEKNVVPLTTQELVDILEED -IDFSDVIAVELSEGSLDIESIFDGAPILWSAEVEEFGEGVVAVSYSSKYYHLTLMDQAAITMCAIMGKEG -CRGLLTEKRCMAAIREQVRPFLIFLQIPEDSISWVSDQFCDSRGLDEESTIMWG - ->YP_009665190.1 RNA-dependent RNA polymerase, partial [Frijoles virus VP-161A] -YVMGAEERIVQSLVESIARSIGRFFPSDTLCNPTNKVKIPESHAGRARKHCGGSIWTCATSDDARKWNQG -HFVSKFALMLCEFTHPRWWPIIVRGCSMFTNKRMMMNLNYLKILDGHMSLKVQDDFVNTLFEAYHGEKTV -PWIEKGRTYLQTSTGMMQGILHFTSSLLHTLHQEYVRSLSFKIFSLKVGPDAGNRVVCDMMQGSDDSSML -VSFPSNDSSDLLKFKVAAAICFRVKKILGTYLAIYPSEKSTPNTDFV - ->YP_009664621.1 RNA-dependent RNA polymerase [Gouleako virus] -MEYINEITKNFNTECSLSGVLHQSEPKVFPQSRGLRIPDVEEDDLIVDIQNQTISVEWNGISDERSASSL -AGPMSKKMSFNEFRSFPHDFTFEVISRNTDDLLSDFFPRVNDNFDNKTPDVISRTAETCLILEFTTTLAN -NKRAMLSRHEEKKFKYTDAIRRRITAMRENASDVKFGFYPIVISNTQALFSPVLGIPQAMINELCARFRF -ASSIVQMARDKGLTIEEDDVSQLKADIFNLMKTIKPKFQEDGDCLVPLTRERVLTTESTAEVRAFASDFY -KKTLSESVSKVRKVVRTKKLQDLKFDLREKCLKPGVKLRLDEKTILQVPNCIPDYNEDWVIEKSFKTGPY -TEFIDECIEATRTSEWNFELSKEEHIELAMREGSILDEKRTATDRRKYKRVRVRLTNEQRLEFAKLGVQG -KSMKDNIEVQMHRESKSIPFDPEVLTDDIDDFLSSNMKEEMDDLPQNMMLSKTLLLQSALDHGSEDSDRA -ESAIKTVKKMDLLHWCSFLSDIGTELSISLKQNVLIDEFVVKKLPNWNAFIIIKPTNSSSHIFYCIVSSS -KCKKATEDGISKTMLDGGNYKYTEWLSYNLAKLTNLVKAESFFIVMMSQWSRYYESTIEECRLQPSIFKM -LKLCLLIHLEDKSRTEEIFTLFRYISMEKFSLTKVDNLKMLEKIPDVLWSRLQCWASKKLLQVMCDDTYV -PKVEEEVSEAGVTKKERSWTNLINPYTRELLTHPKQLVELYYIGYATNKEAKAWENTEFQLIEKIIKYEM -ELDNARPEYCGLREEPNGEYRFHEWSRKMVCSSADTIKRYMKGLYSTSYNSKLNNSIVHRLHRLTWEQVS -TLKASSTFDPSKGKEKDIEGKYTTKRIKVIVAVMRAKNMLRDTPVLTLVEVLKDLEEEGGLRVDIFKKNQ -HGGLREIYVLDLASRIVQLCLEEISRAVCQELPIEMMMHPELKLKKPQEHMYKAAISPESYKSNVSSSND -AKVWNQGHHVAKFAQFLCRLLSPEWHGLIVNGLKLWTNKKIALPDGVMNILSRANTPLFRNSIHQAVHDS -YKGITPMRWLRPGETFMRIESGMMQGILHYTSSLFHASLLMMRDSLWRSYSEQLGVKSITTDLVSSDDSS -RMTDIFYRDSKNFKRGKIFARADHMAIEPLSRCFGIWMSPKSTYCCNGIMEFNSEYFFRASLYRPTLKWS -YACLGIVEVESLVERQEVMYNLITELLEGGSGFRQAFECNIAMGFLHYRLMGITVNPLAADYFIRLSGMP -DPTLGYFLVDCCLGAGLPGFSYNLWKRVKRYPTLSGLYQQLMVQGKMTTTTTGQITRGVQTRFGNRQKVM -KIMDECEESVPNWREDVEETPQILYSVPRTLQNSLIKIMVKLTSPSVTRALSKGNSVARMLASAIYLISG -FSTTIGSNWNAIVLEKENKDVRKTSLWRILNLDVICEGGLGEAQERALFPQKDFFISLEQTLSTISQYSL -APFGSKKMLRSHIQVFPEGATLPFPLERMVRWKWFSEPLPASTSILEIVWAEYKTIYPWLSETPQETLHH -ENSHFETHIQLRNFIARQETRGRLVHLTGAPIRDSKTRDVLLECLLKNQVPGHTLVEPSGLIRREAPREI -ELYSHIASIVNFPLKSSIKRDRIMETLYGAQDLWDGSDMRASSRRTKLGVIQKYIKLTGLGQNKTLKLTD -RPQFLTYIERSRHGVIGGFKKIQVKRPGTEAKQNLWDGDAEWIGSVGNVSVSIRLRDEKLRSITTSSISK -LRESESLLTNLLKEFGVNSIDTTSRIFGAIVFFDLSTFRTDGQGCPVVELPDLKYSLGTQKDNFRIAVTP -THIRLQQTEDGKKFFTIIAHQIRTSDFVFNKKIDQPSYLLRPWINNESEKVGTLTSILDKIMEGGETKSV -DTVEFKQFVKDGLIPSMARSGWRFHQASRIIPSEMLDLNDDLSLMAIPLNLDEASTGFELEDIDFFGGMD -DEADGFSDDELSQDFDMSFDVHDAILGEFDATPFRGVSGNIRSVHRYWDEFSSTQFRELSRRSKDHLETG -YSDSENQKLSSWLSYFLGWEFQPFKFEVGVLESSLQAVEEV - ->YP_009664615.1 RNA-dependent RNA polymerase [Cumuto virus] -MEPTLSVEDLKEKTKIQEFILREFKKNCLISSVLQGSKSILTSQTRVLKIPDLNEGDVEFDALNNVVKIH -LGDGFDDSASATSIRNDLAASYTPDEMRTFPHDFTFGLITSQTDKRFDEIFPKIEDGYDDKTPDLFLNDN -EATILLEFTTTRIDNFNVMKRKIDEKSYKYKEAFQMRINKMRNTNIDKKYGFSPIVVSDTRIAHSSDIII -PSVIIDELCARYRFSINVVDMAVKAGLKLGETEMDQLKIDILTSIKSIKPIYQEESDNPSIKIPFTKKRM -ITDDVSSSDARKESVKVYKRLLTKSLKEVERKENKDDKADIWAKMLKNNIGSRVDQKAILQLPGIIPPYN -NNENWKPNQSFESDVYGRLWDRAIEELSTTEWNFELSDEERIELAMRDGSEEMEKIDNKKRRQYKRVKLQ -LNDDQKVEFAKVGVQAKALSKDPEVQQYRESKRDGFSLNVCTLDIEKYINGSQTIHTMDSNESLNPVMLL -IDKAMGIHNEDKEESLRLFDRLSKDSEYLWCQFISDVGTELCISLKQNVGHNEFVLKKLRFWDAYILIKP -TNSESHIFYSILIRKNGSFNCTPIGISKEPIEYSEYYIYPWMSYSISKLVNCVKAESFFMTMASQWSRYY -DITTIRGIEKEEVRRMMKLCLLVHLEDKPKTEEIFTLFRYISMEKFSLVKTNNTKMLDKMPEIVWSRLQV -WVICKLINCMLSPNYEPTVTEDDLTGTHRMERLWNNMINPYTGEKLLKPAKLVELYYVGYATNKDAKAWE -NTEFDLVKKIVKYELELQNVRPQYCGIEESPDGTYKFHEWSRKLVCAGADSLKRQLKGMYSNKFQERMES -KIVDRLSRLTWEQVATLKASSTFDPNVKKEIGKNGKYQTKRIKVIVAILRQREMLLDTPAKSLAKVLEWV -DSDGGLRVDIFKKNQHGGLREIYVLDIRSRILQLCLEEISRAICLEFPMEMMMHPENKIKRPQEHMFSTA -KNTSKFKANISSSNDAKVWNQGHHVAKFAQFMIRLLPDNWTGLIVNGLKQWVHKRISLPDGVLNFFEKNQ -GALMFDEIHNKIRDGYRGIKSYDWIHQGEQFLRIESGMMQGILHYTSSLFHTALLTMRDSLWKTLCETKN -IKSSTLDLVSSDDSSRMTDVYSESQTQFRVLKILAKADHISIKYLSPFFGIFMSPKSTMCCSGVMEFNSE -FFFRASLYRPTLKWSYAALNILEVESLIERQEVMYNLITELLEGGAGFRQAHETQIAQSLLHYRLMGYSV -NPLFTQYSVCLTKIPDPSLGFFLMDNKLSAGLAGLSYSLWMMTCKNDNLSKLYSRMLDEGNLTTTTTGQI -TRACQIRFGNRQRALKIVKECEEVKPDWRSEIEETPSVLYKVARDLESSYLKMLVKLTSPSVMRTLAKGN -SISRMLASSVYLINGLSTTIGSNWNSIVREIENKDVKKVSLWRLLQSNLTDLTLLTEEKKNILFPMREYY -SSLQNLLLQLKGMLLSEEGSRKMLRSHIMVFPEPAQLPFTLEEIVRWKWFGDSLPASRSVLNRVWEAYLS -VYPWLRSSPEETLERSEGYFESQIQLRNFVARQTSRSRVVHLTGSPIRDMDTNDMVLNAILKNQIPGYTL -VQPDRVRLATSRSAEVLMSSIANTMEFPFSLSELESQIKKSLVNYETAWDGGAIRPSSRKVRLSLIQMFL -KYQEKNTLEDVDGVRLLKAMKDSRLGVIGGFVKIQKQKMARANVWSGSGKWSGVVGEASLDITIKDDRLV -RIETNSVSKLRESQWLLAELLREFGVNAVDLDDLNFSNVGVYFDLKQMRADRTGAPVSENTNLQHSTKIQ -AKNITFFCDRTSLRLMYAFDDSRKFTLVSYKPNPGDFKYVKGIEPHYRILSKWISNQSLPAQSALTMLKN -TTPHFIDAADWKIFVKDSLMESLTRKGWRFNLGLANKMEEVVALDDEYMVDIMGDDFDDFRDFEDDMLEE -EAEHINIEDEQDLEYEAFDLVMGEVQLEHRASALSSIRKVHKFWDEFSLQMYEEHNSTDRSKLENMIHVE -GSRLQPIIEKYYNVTFRRVLVRQEESVLEEIQELEEEWGRK - ->YP_009162641.1 polymerase [Hunter Island virus] -MNLDEICTRLTIGPGYNQGEPGTYDQVYDRPELPALDVSVDNDGVKVDIGLIPDSASQMGSSITAGQICI -KLSEAYKINHDFTFGALSESTDRKLGEVFPIIHDGSDGMTPDVILTRRDGSIVVLEYTTTRSQNMHGLEI -AFRAKLDKYREPILRRLDVMPGARIFYGIIVVGSSNVLTNVPLTQEEAEELMYRFCLANEVFNQARAMDA -DIELQKTEDELESMARANSFFGLFDPNLSKVSEEFPNAEEEMLLSFLNNPTDLKYVVETMSKVEKSTHED -MISNHYLNGGESTSKRLEKNGHDANDEALLLMNKMHDRSNKKMNIKKSTVKLPDWIVRPEPSSISVEENV -CAAGLEKDSPYGELWYSAFLEISLGNVEGVISDPEKELEIAVSDPKGEKESDSSTKIKFHRLRPHLSEQS -KLEFALQGVEGKKWRSSAAVQAKERESHQPLSPFVCVDEIEDFLLHNNLMMHCGFSDSEVATKLWTLVEA -ANKIHESEISSELSNSFKRNFDTTSVQWSLWVSALAQELAAAIKQHCKPGEFIIKKLMNWPIYVIIKPTK -SSSHIFYSLAVRKEKIARRLMGGVFTETISSGEWELTEFKSLKVCKLTNLVNLPCTMLNAIAFWREKLGY -APWISKKANSDLREQVAMTFLMSLEDKSRTEEIVTLMRYSQMEGFVSQPLLPKPQKMLKKLEGPLRTKLQ -VFLFKKHIETIVRVASSPFKLLTSNGKVSWSGTFNPISGRSCSIEIMVNCWYMGYYKNKEETTEQNALGA -MYSKIVEIEEEKPLSNDFLGWGDPVVPERHEFSRSLLRVACQSLEKEIENRHGRTWKQSLEERICRDLGS -KNLLDLATMKASSNFSKEWEIFEEVRTKTYHRSKLIEKVGELIEKGIMWYLDAAGEAWKAVLEDGCMRIC -LFKKNQHGGLREIYVMDLKSRLIQFGIETMARCVCELSPHETVANPRLKSSIIENHGLKSAKQLGHNSIN -INSSNDASKWNQGHYTTKLAMVLCWFVPVKFHRFIWSGISMFRRKRMMIDLKFLESISHKAMCSSDDPFR -VKMTNAFHGNEEIPWLKKGCTYLETETGMMQGILHFTSSLLHSCVQSFYKSYFMTKLKEGIRGKSIDGVV -DVLEGSDDSSIMVSFKATSDQEELCGRFLIARLLLSVKHLNPYFGIYSSEKSTINTLYVVEYNSEFHFHK -QLIRPTLRWVAASHQISETEALASRQEDYANLLTQCLEGGASFSLTYIIQLAQCLHHYMLLGMFLHPLFG -IFMGLLLEDKDPALGFFILDCPTFAGGAGFRFNLWRQCKFTDLGRKYAFYFNEIQKKSKDDIDYRALDST -SGGTLSHSVMIFWGDRQKYQKLLDKLGLPTDWIEQIDQNPSILYRRPRSKAELVLKLAEKIHSPGVISSL -SKGHVVPRVVAAGVYLLSRHCFKFAPSIHGRGATQKASLIKLLVMSSESAMQHSGKLNPNQERMLFPQVQ -EYERVQAILEEMLVMKGKFIIKEKNIVRSRIELFNEPVDLRCRAEDLVAEIWFGVKRTKLGPRLLTEEWD -KLRASFAWLSSSHENTLADGPFNSHVQFRNFIAHVDIKSRTVRLLGAPVSKAAGVSTIHQVLKNNFFPGF -MLDDETSLDTHERIESVGLLKHLLFMILNGPYTDEQKQKLIWEAFCSFSLPNTTEVIKKSRTLSLCLIKN -FVEKKGGSILGQIESAQSGIIGGFSKPQKVFKKGSSQFGYKGKGVWTGIMEKTNVQILIDGDGTMNWLEE -IRISTDAYLFDVIESIKRLCGDLGVNNRVDITHKKQCLIRLKNFNIKPQNREEGCPVRLIEGAFRIREMQ -SPDEVFLRVRGDILNLSIFLQEGRAMNLISYRSRETDISEVAAEYFWRNKDEFSFGSKEPSCSWICMRSL -DSWAWKTASKFIEEDRRTAGIDNTTFAGIVRDCFESSLRRMGLLKMKMEEAVEKVSMPISSQDLIDILQE -DVDFSSVLEDHELEREDLAIDALDEEPKLWSAEIEEFGEAVIRFERSGKYYHVKFMDKAAESLNSVLGKD -GCRSLLGERKCSVKKKSEISQYLPLLGLKMGDILWVDEQLDTARGLDEDSAVGWG - ->YP_009505327.1 RNA-dependent RNA polymerase [Badu phasivirus] -MSEVLESLVIDSLSNSPGLIKTGEVANNTVSVAGIPLPLPNIEVESGSVKISFLPRPAVDYGSSIGSSIA -GISINYGLGDYRLTNLVHDFTFNHLISETDKKLYKVFKHSGSEITNYSPDFVMESVLYNIVVEVGTYRGN -RRAAINYFHEKRQKYESILEVITESERANDGNSKPILFGIIIVSRDAVITNLELSYEQMQEMQIRFLIGM -RIEQTLKERGLIATIDKEATKTRKELSQYFLSLDKKTDHLIEEWNKEMNVKMRADPQEAVFLQAHLNRWD -EQRITNLIDSDVSGIAAEKILNILKGTIRETVEKSRDYEFDPEKRRLKSEEEHHRFEEEYIMINDGNMRR -DSKACINLPFIVPMLQLPERRTSVGLKIVEPKFDTVYDVLYYTAIVKTLNDPLYFPDKEMDLLEQYGWES -PSYTSYEEAQDSNERDIRASSLPKFVDASGLADSEIDAYLHFVKMDDEKYKQLVEDYKKKTNRVQMSLTR -EESFELALKGIEGKGLTTEYIKGKINRNYNAALDSKRKNEPDWFHIRDTDTSDMDELMNDKSFFEDILQS -IPFDSPSLINWKLLINQARSAHGNSFIQQKEEEFVEWFSKTKLFSWGKLVSDIGTEVDISLKQNCKKGEF -VFKKLQDFNVWLLIKPTKKEEQIFFSILFDLDQVCYKNQSSVFKKLYPLYGGDKYAYTHFISLTESKLLN -WVVTLPRLISLFRFWTNFAGVTPYKEEIIMVESKENPDYKNLFGEAMPMLMLSLIIGIADKTEVEEEITR -TRYLVMESLEEWPIEPKPYKLVAKVSRQIRSRLTIWLYRKHKQLCNLYSSNPPRAKNEELYGQGQTETSS -QLFWHGFINPYTKTPLTSGQQVINLFYLGYIKNKDEVAQINKLSKLYDKILVYEQLYNEEIAKKMGIESP -AKPLPHCFDIDLLLDSSEKLRRRIKLSYPDFESEFEKQIGKFLFRTSVEEEFSTLKASSNFGPSLYDRKS -INEKYSRSKVIEKLAKTKQDAVSISELFDIQIVKCLKVRGLNIDIFRKPQHGGDREIYVLGFEERVVQRV -IEQIARILCGFIPEETMTHPQNKTSIPENAFKEARSLFRNNHITLNSSADASKWSQNNCSFKLLLPLLKL -TPRYMHKTIIRCLRLWESKRILINPFILELFDKHKELKFYDKTVQNMYDGYKGKATFRWVKPGLPYIELT -TGMMQGILHYSSSLYHSAIIGRAKEVVENNSKQLVKTFGFKRPFKIVMCHLQSSDDSFFSVSCPLNGTAE -VARRSRLLAASILSFKVNLSTFMGVVNSEVKSALATNHVFEFNSNFEFGFNHYKPDIKAVYSGFLVSEQE -LILSRQEELSVLLTTYIENGGTNYVANGLQVGQSYLHYQLIGMTTTKYFRSFQVLNTILPDPSLGFFLMD -NSLCPGLLGFNYNMWNLVKTSNLGKLFKHRLKPIENKNESLDQTIKLSIELSAHGSLSSTHKIVHGNRTK -WLKLLERIGAREDWREDIEKNPSLMFRRALTPLEVDTKISQKLHSPGVSASLSSLNTLPRILAESAYILK -IRSITSISSWLDPMAKHFDKVTLLEALLKEMKEILNDGQITENELKILFPFHNDFEKNQSLLETLSVRAI -ASSFREYKRKETRIEIATNNEYNLVNLRGLLLSYWFENEEEVNSVKLSRESRDFIFEQHKKIIPWISKDM -KEALEASPFENLVEMFTWLNTFSGRKRVVRLLGTQIISRHGHSRLLSVVMNNLSSNFRLITDKNEVELPI -SSVKLVREKFSLLAALPEDEPKKAENIQKLFSQAGDRVSFNTETIRSKQNDIVIMSRLAKIVVERSWRSG -PREQVLQILSDMIKNKHGTLGIFTTRQKLNFGTDGPQYYGPGRWDGIIDGFNVGFSIDNELGEPTKVISI -TIKTRLAAVESISIRSFIKAMGWLIPSETTYRQKNRLLLNDSGINTTSGIPIIVNDLYEFNLLSLFKLDL -SLQWTGRNLRCIATQDRTSYTVMSVSPKAQYADYSNQTLGFLSDLKFDKFTSEWVKNKALSISEASKLIS -SFHALPDEKQLLIRTNLKAKFENQGVKKLTGTAVLSLAYVSQQETDFDIDQFILDLESMDAGEFITEDFK -DELSSPPEIIEEEDFDFNNIEGLTEMLYESTNEEYGIDLLKREAWRMHHLMDNFVRYIIESVGRDAINKL -IEARVFSEVLTNYQKVFEILLNENRSEWRESKLKTLEELQSESESDNDDSK - ->YP_009512941.1 L protein [Punta Toro virus] -MESLLRKQTINNEGFFKPELRHSDHDLLNADLPTFLVERSSNKIVIDLNLDSLNPNSTVGSSLTSVIEIP -EKNLMNMVHDITFGHIADSTDVKLSSKFGIVGDGYDHLSPDMIVETTSGSYIVVEFTTFRGSERGCLNAA -KDKFAKYQIACENRSRNAPVSLYVIAVHRDGLWTNMTMNQEEVNELVFRYRMALSIHEESRKICPELTDD -DSDLSKSERELLGTLSFIDLDWDKTEKTFPMFKKAVFDNFHVSPPDENYMSHIISKELERSQSDLLKSSF -FQEGLTAEDRRFLNRSECDIRINEFLKAFDREEELRDPFDSKSTIQIPPWVFTDAEEGKGLSILKVLKVD -GEHPMARFWRHVISSAQLEEIDRMYDDPEAELQYALSGDIPRAEQKNKYHRVKLNLSSEEDEYLATLGIG -GKKMKDLASVKESRMRSKKAFSIQHDISRLENFLYGDSSKIFETNDDLFCPLAEDFELRMMAQAIHQPTM -TRDQGPNEILNNHLKMLHTPFGSWCQMVSLIGAELSASVKQHVKPGQFIIKRLLNSPLIMAVRPTSSKSH -IFVSFGLIKAYHTMDIETGNVFKSYIDAGDLFITDFISYKLSKLTNLCKCSSLMECSSNFWIEAFNYAIW -DSTKLLATDRSPGVKEASFMIKLSLLTLLEDKAVTEELQTIQRYIVMEGFVSQPELPKPHKMLNKVPGVL -RSELQVFLFWRVIKSMKRISESPFLLSKRNGQISWSGLFNPLSGNDVRSLQAVISACYNGYFKNKEEETE -PSSLSKMYKKIIELEHLCPEDDKYLGMADPEDPKMHEFSRSYLKQSILHGKDLLKRMYGQNFMEQIDRQI -TREVASLSLERLATLKATSNFNESWYEYKDVKDKNYTREKVLVRMSEIAKDGKTLAIHAFNDCMNAVEAR -GCMHICLFKKQQHGGLREIYVLGAEERIVQSLIETIARSIGRFFPSDTLCNPNNKMKIPESHGLRARKHC -KGPVWTCATSDDARKWNQGHFVTKFALMLCEFTHSKWWPIIIRGCSMFTNKYMMMNLQYLRILDSHMELK -VEDQFVQDLFKAYHGEVPQTWMDPGKTFIKTKTGMMQGILHFTSSLLHTLHQEFVRSLTFRIFNMKVHPE -MSYSMVCDMMQGSDDSSMLLSFPAKDEPTIMRCKMAAAICFRMKKNLGVYLAIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEASNLMTAVTEGGGSFSLAYSIQHAQCTLHYMLMGM -GVSSLFSEFKKAIAKWKDPGLGFFLLDNPYCAGLGGFRFNLYKAITRTSLKRLYAYFMKKVRSASEDEQL -EACSVSPGGAIILSSSLKWGSRQKYLKLRSRLNIPDNWIELINENPSVLYRAPRTSEEIMLRIAEKVHSP -GVVSSLSTGNAVAKVMASSVYFLSASIFQDSNKQEFSIMESSKYSLLQKMMAYDGFENNLELTMEDILFL -FPNIDDLQQLDCIVHDRCQIQVAQRVSHKENTQTKITVFEGHQNLKTPAEYMVSDKWFGTMKSKIGSTGF -ENEWSKLKTIITWLTDTPAATLEKSPLSNHVQIRNFFSRMESKPRTVRVTGAPVKKRSGSSKISLVIRDN -FTKIGFLRDFEDISSATRAFSVEVLKHFLFCTLQGPYSVQTKLDLCYKILSESEVIGIRESDGRTRTNQL -AIFQNFVNSDPDIMNQIESIGAGTIGGFIIPQKSKEVSGKVAYYGRGVWRGVMDGSQIQIEIDNKLGLPP -QVVEVSFDGRIGIWEVCRSIRSWCEDVGVKNDVDMSKRCRRGARFWLHDFRAFTQDKPFGCPVYVTSSRM -TDFRSVTSDDIKFKIRKCTMNLFLKNGGRDIHILTYTAHDGDLSSSIFRSPDDSVCRLKKHFSKEPSSSW -ASCQSLPFAFVHKVLELCNGVIVRDHIDNERLSKIVQLCTENSLRTKVGTIYSALPNFSEGRSIIDVDSI -VDLMIEDMAKEDFNEAVRMMEAEEPASYESESFDISDIDLFGPAHYKEVSELTTISHPLMDDFVNVLILK -CGIKDIRRCLETGRCMTRNKIYMRDLYSSIGRNPDDVQADDYNESESDPDDDLIG - ->YP_009505332.1 RNA-dependent RNA polymerase [Phasi Charoen-like phasivirus] -MSTLLNDIVIDSLSGIQGDVVTGDEILYHDSVAGIPIPEVLVDVRGDLIGVSFRPLDDDDVVSTVGSSVY -GQSLSYNLGDWKLNNLVHDFTFGELIENTDRKLSEIFQRSGAEITRFTPDHVSEEPDFNIIVEGGTNQGS -LRSVKNYYEEKKQKYDALLRTIHQSELANEGNAKKILFGIIIVGHEAVATNLALSKEQVIELQMRFRVGL -RIQKELAELGLVAKLPEDATEIDKRLEQYFLKLDLLSDKEIENWNKSIKRQIDINNPDKDFLELHLKRWD -EDRIKELITHPDKEAASIKTAKILHESLSFVAEQTTKYEFDEEARRVKSQSAHADFEKEYISRNEGNMFT -AEKAFINFPMIVIKPSEPSRVPSRGLKLSEAKFETVYDTLYYAGILKAVADPLYFPDHEADILEQYKWET -PLLTSKEELQKFKDENLPTLFQIGGTFSLNNISSSFLDSALSLVTSDVNKQSELAKDFKGKTSRFNAYLT -DANRFDLALKGVQGKGLTSKFIKGKINVLYNASIDEARESKPDWFHITDTNTDDMDLLMNDTELFMELTS -TLHETTTSITLWQSLINQARDYHGDNYLRLKEEQHQAWLSKTKLFNWCKLITDIGTEVDISIKQNCKKDE -FIFKKLQDFNVWLLIKPTKKEEKIFFSVLFRREDIDYLYESTVFKRLNKLFGGENYLYTNFISLTESKLL -NWVLVLPRMIGLFRFWSNFSNIEPYSEQNIRDLPETHPHFIGFYKNAMPMLILSIIIGLNDKTEVEEEIT -RTRYMVMESLTEWPIEPRPYKMASKASMAMRSRLTLWLYRKHKDLCHRYTATPPRARNEDVTGQEQTETS -NQLFWEGFVNPYTNFKLTTGQQVINLFYLGYIKNKDEAAQANKASKLYDKILTYELQYDLETAKILGLTS -PQKPRPHCFDLDLLIDCGRNLNHRINESYPEFNYNFMKELHNFMFLRSVEEEFSTLKASSNFNEELYDRK -KVSSKYQRGKVIEKLALEGEGATSIAELFEKKYLNALKSRGLNIDIFRKPQHGGDREIYVLGFQERVVQR -LIEQISRIICRFIPEETMTHPGNKTAIPENSSREARTKYKMGHLTLNSSADASKWSQNNSSFKMMICLLI -ICPPYLHKTIIRCLRLWEFKRILINPQILELFDKYRNLKLYDKTLQCMFDGYKGLKTFRWIRAGRPYIEV -TTGMMQGILHYTSSLYHSAIISRVKEVVQQSSHAIQEILKYPKPFKLIMCHLQSSDDSYFSVSAPLTGDA -SIATKSRVLATAILQFKVNYSSHCGVVNSIKTVLNSNHVFEFNSNFEFGFNHYKPDIKAIFSGFLVSEQE -LLLTRQEELSVLLTSYLENGGTNYVANGLQLGQSYLHYHLMGLTTTKYFRTYEVLQTILPDPSIGFFVMD -NPMCPGLLGFKYGLWNLVKSSNLGKLYKHKLRPITLTDAKLEENVKLSIELSAHGSLSASYKLIHGNRRK -WLSLLERMNVDQEWREKIQMNPEILFRRALNPEEVRVKISQKMHSPGVSASLSKLNTIPRILAESAYVLK -LQAVTSLSNWIDPRRTEFSKVTLIEAILKEMKEVLADDDINEQEMRILFPFHEDFTRNQQLLSGVHVVQI -GQSFREYKRKVTSVEIATNNEYSLLSLRGILLSFWFENNTDIMTPRLSKEHRDYIFTQHQKVIPWIRKDI -NESLANSPFNEMVEMLLWLNTFSGRRRIVRLLGTQIISKHGHSRLLSVVINNLSSSHRLNLDRVELESPV -DLISTVRSKFTLASSLPGDHIDIIKRIFSSAGNQVTFVEGAVRSKQNDVVLMCKLARVIDKGWKESNSDE -VLSIINDMKRNKHGTLGLFTTRQRVSLAEDGSPRYHGLGVWEGIIDGYDIMIRIRNTINKPVTVQNVTVN -TPLASVESIAVRKFIENMGWVIPSDIHSGRSTLFLNDKGLNSNSGVPIIVDEGFNVNIAEFYKLQLTLEW -TGRNLRCVAKLRKETGRGVNITVLSLSPKIQHYEYMAKYEKMMGKGTLGNHWLENKPLSQSAAVRIIRSF -NEHSNDEKNLIRANLKAKLQSQGISFRRKIDLIDLAFISKEEQDELLDDYMLFQMPLDLGDLNIVDFEEV -GASPEIVEFEDFELRNVEELADDIALEFEERTSPIEVIKREIWRLHPLFKDFSSLIISQIGRDAINKLIT -RREYNREIESYLPVFEIILEEDRSSWTLITPISMYELAGGDNSEDSE - ->YP_009449450.1 RNA-dependent RNA polymerase [Kabuto mountain virus] -MLQAICSRTPLDGDFTCPPSRTYRSLQDRPSIPTFLVRMDGSDICITFDLSDITSASTGSSLQPEYKITK -IEASTFIHDFTFAHLAPQTDVRLKSVFPTMGDTYDGWTPDYICKRLDGSHNVVEFTTNRSPREAALFTAF -NQKVGKYEIPLKVRSQVSKIFFAVIAVGDDLIISNLELNRAEVEELCFRFILARAVFAELLDRAVIPEFE -NPDEDKHMREVRIALSDIKFKWEMTEEKFHPFTRQLYERYQNMTPDTDWINQKTIEALDMSGRSIFEDHY -LHLSTREQRLERNRQVCLEETEEWTSDFNGRAQRSPLDHKSTICAPAIIPVVSEDTSSLTRYEKLPVFLE -HEDSTGKMWTEAFYRLKCGDVERAEEDVEAELHFAMTPDSEQEQEAKKLRQKFHRVRVNLPKEDWVDIAQ -QGVEAKSLRNHPVIERKREESKRTFPLTTLTDDIESFFREDGNLFSDDHEQEPPAPILEVLKTNAMVQEV -HGIEARTNPWFMASYQFLRRPLGIWLVLMCCIATELCLSLKQHCPSKTFILKKLRFFDIFLIIKPTNSAS -HVFWSLAFPNCAIKGWIHTSHCFKATNTNGTWHWTEFVSHKMSKLTNLVKVLSTIFNCNWFWQEFYEVPF -WIPESHLDSQQKEGLKRAREMLRLCLMVMLEDKARTEEIVTATRYVYMEGFVSHPLLPKPQKMLKKLPEM -ARTKLQVWLLNKVTHVMQWISGHPFRVFAAGRAAEWTGIVNMYSWTPITTTQKLISLFYLGYLKNKEESP -ERNATVGMYKKILEYEDLHPGRYDFLGLGDPDWSDIKFHEYSISLIKFMSELGVVTLRQRWGDNILSVMW -TDFLEGLASLDLEKIATLKASSNFGPEWYEKRHDGEKYHRTKVLQRVAEYVDKGKTYVFEILKDCLETVE -NRGHMHVCLFKKPQHGGLREIYVLGFEERVVQLVIESLARQVCKRFKSETMMNPKNKLNIPENHGLMSTK -ICGSQSQTVCASADAQKWNQGHHVTKFALMLCTFYPESLHPFIMRACSLFMRKRIMIDQSLLDLIDSNLN -LQSSDEYLMKIHSVYHGRDTTRWMEKGGGYIQTETGMMQGILHYTSSLFHTIYQEWLKSFFTSLIRSRVE -RVPRTRTHVDVLQSSDDSGVMISFPAEDPATVERYRFFAATCFIFKGIFGRLLGIYSSVKTTNNTLYLLE -FNSEFFFHMNHNRPLFRWIAACDVISEQESLAARQEEMYNNLTAVVEGGGSFSLAGFCQFGQSLLHYNLL -GMTISSVFLDFLFLARRLWDPSLGLFLLDSPYAAGLAGFKYNLWVVVCNSPLGKKYRLCLERISADSEEE -VRAGKKTLDTTQSGTLLQAITIRFGDWKKWKRLVESLNLPSDWLERLDQDPEVLYRRPRTPDEVKLKIAE -KVHSPGVSSSLSRGNAVVRIISASVYILTRSILTDSTAWMMDVDSVKKKSLLLRITESIQKIEQFPETIT -LSQVQLLFPLQIEYERLRAHLTGYTAIGGAFYQKNKVIIQTKINVLEIEKFQRGKPEDLVADKWFGFSRS -KMTPRVFSEAWDQLQATITWISESPEVTLAASPFLHHSPLRNFLSRLDLKGREIRIVGAPIKKSSGVSNI -STAIRDNFFPKYQLTYSPDEEAVERSEAAGLLKHCLFLVLVGPYTDTTKISMVEHLLTVADPVILRPGQG -KTRTNTLALLQTFYGEHGKDVIFNSIEHANCGVIGGFSHRQKSRVEGDRILYFGDGVWRGLVDGFQVQIT -VFTPRNTTLTHVKSIEILDEKAIRTLSIFLRNWCDEMEVYNKADTSDLFRTTGNFYVYDFNIASSKNKFG -APIFLAKSTIYKTIILDPSRLTLAIHGMTINLVYQETGIIGSYNRLDRRFHVLSYTGRDTDVTEASAKLL -SQLGKTDWFPSKEPTFSWMTMRALPISTVDTILSRMESNQRIPGFDFDKLRVCLKDILESSLRRRGLFLS -PLAEAGRRLFQDEVDLAGFMQWGLDDDFINQRDPVLDIIDELSREVDEEILDLTDLGPMGLMEVHETLSS -KYYHHRLLDLVVDEAVRRMGVDGLRELVKDHRCLEQYREIALRIFRIMGLNEEHLVLYSQQLPETEVGLV -AGDDDLG - ->YP_009449440.1 RNA-dependent RNA polymerase [Rice hoja blanca tenuivirus] -MDDPGIRVPVQIGDKVFDMLGVTREAESFPEAELIEVPGDGFCLYHSVIKALGGDESLVRPFMKLLRMHP -VINQLDIEIASQVYDQLDVRKPDVWGDQWSLSLIAVVLGIKIAVYQGVTLSPTDDCATQRSVIYECTLNP -ASEIVLRLRNHGGLHYSAYETDLDFTSRAFSKLEWFMRNKIHRCDAIYAVHPFGLQVFDESLLLGTTNFN -SLDDLLNYLLKNVYNIRDNGDLFYLNMVRAGLERPTEKARAISLAIGFNLQMVTVFQRTSNKMFRTMLDI -SNVGSRKVTIFEVIGKPTRWHLRTAGGGIMEKNNFRILRIIDKPDELFKLYIKNNNYHRRKEVNTAMDII -NDHYDLVIQSVNRTPQNSGHELLVYWMYMSMFFPEKPSLLKDNGRIKLLPGSIFPSRTPTAFGYYDPDKS -ILLLSQTGLLVQLERTTAIEVPIQFSPAKVEKKYKTSSFPTNSELSNLSDYAPKTRCGFYHPSAEVYVSQ -NLRTPNIVTTIEDNHIIVRLSKEDDGEHNSFTIKSDFFYRKRLSEAKNIVHDFVFDFLSSETDMSLSSAG -LSLNNDADRYTPDVILNRENDERYNDIVAIEFTTRSTESRESLLRAVEIKGERYKEALQERAVVLKKNIS -FYTICVSLDAVATNMLTLPADVCQELVLRLRAANQMKIQLSDNDINLESDTLLAPEIYRIKEMFRECFPN -DKFTYPVTKEMYEHFTSDLTRSEHEYVENMQKKVNDEVLSEAHKQLSDIYDESGEPYMDRKAKDGLIDLS -ERVAQYASYFENNNFKTTKKAPVQLPLIIPLPTDPVLTFDAFDIRCKLKEMKVDSIVKDIWSDALAYHNA -ERVTDHLDELEVSMLDREVATTIEESYKKDRSKYNRTTFHCSIDEKIHLAQRGICAKKLLTDPEVRVYRD -ESKMPFHPFDSETDDIERFTQVECLELDAKINNCAMTMTEDLILSALELHDVGDLEFLWKNVKDHSVTRF -AMYAKFVSDLATELSISLSQNCKENTFIVKKLRDFECYLLIKPVNQKSNVHFSIYIPSNIYYSRNSTFKE -LIGDPENGFVTDFVSANVSKLVNWVRCEAMMLAQRGFWREFYNLAPSLEEQEGVPSTRDVCRMMSWTLLI -LLNDKHQLEEMITISRFIHMEGFVTFPRWPNPGKMIDKLSVTPRSRLECLVIKRMIMLMEHYTEHPIKFS -VVDHNKKWHGFKNPFILDESGKLSDLSDQDQMLNLFYLGYLKNKDEEVEDNGMGQMVTKILGFENQLPED -RQYLGMKDPPLDTIHKHEFSVSFVKLLCDNFLARLKKNLNIKDPVQYIGDKIAKFLSTQFIETLASLKAS -SNFSDEYYLYKPNKRIKNQEMSHSPEVIDATGNISSTYKGKVYHRSKAIEKLTKIIKAEDPSKEMKYVID -LLPEAMEHLNKSKCMHICIFKKNQHGGLREIYVLNIHERIMQKTVEDFSRAILECCPSETMTSPKNKFKI -PEQHNSNARKLLGSDYFTIATSDDASKWSQGHYVSKFMCMMLRLTPKIYHGFLVQALQLWHHKKIFLGDQ -LLTLFNQNSTLNTMDKVIQQVFSAYKGKIQVPWMKPGRSYLEIETGMMQGILHYTSSLFHALFLDDLAEN -CIKDLNNSLARISPGENLKCVVNNMESSDDSSFIISIPNFKAKPAKQLYMMAMVNAWFERKAKLGLYLAI -YKSPKSTTQTLFVMEFNSEFFFSGDVHRPTFRWVNAAILIGEQETLAGIQEELSNTLKDVVEGGGTYALT -YMVQVAQALVHYRMLGSSVAAIWPAYETLLKNSYDPALGFFLMDNPKCPGLMGFNYNVWLACTMTNLGEK -YKEMIEAEMQNEKPSLKAVTEDTINTGLVSRTTMVGFGNKKRWLKLMNTLELTQDVYDKIEEEPRIYFFH -ASTAEQIIQKVAIKMKSPGVISSLSKGNILARKIASSAFYISRHIVFTMSAYYDVDPKTRKTSLIKELMR -SSKLPARTQMTEEPHPLIPESTDEVISHFDDPYDQCLLILNERLRISTAREDRSIPKFFNGYKKRVTGVD -LDIKNRIECLITLASILKYNYMVYRKTDDANMYVVGEKNVVYPTIGKFIQLFEDPNDPIKCFIRISNQNV -RRKALNFDFEKAKSLEGILTLLFPSYSDYLSLKETLDQVSFTSLTHQVNERRRVRADVHLTGTEGYSRLP -MYAAAIWAWFNVKTVAAHDNTYDSIWRYYQKILPWLKDTLQDTITSGPYSTVQGLVNFISREGVRSRVVH -LVGSFGKNVRGSINLVTAIKDNYSNGIVFKGDIFDMKAKETREGMDNYLSIMTALTQAPIETHDRNQILR -SLLVRGPEIAHAASHFGSRRNRLSILQEIIRCDPDLHWVGQSTTFEMVRHKFIELSNQEMPELTQEQFSN -AYDKVESLLHEDQRRGGKTCIASKSPYVLARATDFEIVCYELMVEHDLDDDEAYEAYIDKKEAGINESHL -SELKRLFYEDPSANWINMVMDGTLTSIESIKEIKKNFELRRQGIIERIRSGKLGILGSYVKTQKPVDTGS -GIKYLGEGIWRGSFDETDVSIAMSSMEITQETYLKVVTLTQIKDLRTTIAQLKVWCREHNIKNDRYPKLN -LTQSEMIKYGIAKDSLLAAKVAEFKTVPAAHDGVPIYWNSELSTKSQTQISGLAVDMTDHSVRLRNRIPT -QKKAKEQTIMTIPLLKTDIQVFKTSPVDLEQDIQNDRMRLISFTRIKEVSWLQDWIMWRSSPRDQKNILD -LIKRKKSFREYYGKNAEFKKWLFNLWNYALEVVISHKKLVLAATQASSSSEGSTTEGKDTDSTEVYDHLM -DLVEKNMTDDKLKTIIHEAHLDELALIPYIEEILSEESEVFSYYLSQSHPLLVKYVRYMVSEIDYDKFKN -FIDCIKKKDPLPAVSHKIVEFKEVFKFIYDLNDDSFFQESDDDEKYHL - ->YP_009422199.1 RNA-dependent RNA polymerase [Citrus concave gum-associated virus] -MTNNLRVDSNFSVGSLRLNKIEPTLYVCGELPEPSYNCSYNGSIFKISVNGRERYLDVSSENLRKIRHEI -VCSVMLFESDMPLKTIGVKGEEGDLTPDYINTTYKSVLEVGTSAISELFSLKKVYTGKVIKYSYLIEPTD -YDLFVLIVSPSRVYCNFEMTQDLVNCLCLRARIGLALESVISEYLGQDMFSEDHTADEAIVKDVFRGLTM -NTKSSSDFDLDKISSINDPMTIDDKKHVGKILKATLAESTMRSSNSSEELKRYLDGFEGFSKTSDKRITN -IPMIYQNRIPLEDIETDGENCRDMLLFLKKIWGASSSAKPRDLSKEEQLEEAMGLKYYERHRVQKGAAFN -VKNLTEADKIEAAKSGLGAKALSENPDLMAKSADDKKSFHPTLTETSDILEFHNKTLLKSEVSTIVPKEI -FKLICKGKQIWGGKKSLSVNIFNKLQHTVLANFGQTISNIMTEICYSHKYWTKRSDFYHKIHKGIHILIR -CTGDHIFAIFAFPKSSCQSLEVGRIGPRIWESNNFYFTDVCSFNEPSIEHFVKSGPYMISIASHMLSHLE -LDLSNIDFMNVVLNQHVAGILLLFLNNKADCEELITSQRYLTMGVLEELDPNPYRFCERLPEVIRSRLTC -YFLKKTIRHMQYYTETKVLKIPNKETLEYDIVYSGLKSMISDFEPSLKQKINEFYFGYVVSKERGRGSDR -NFKIMKKIVAEEYRFRDTVLSTFNESLDTQIHVSNRIMIKVFVNIFKSILKEILGKDYELIIKKEIIRSL -AQCSFEDLATLKVSSRSYNDSIIIPNLDDSMTNKMMKDLYEKSNPLEKVKRPRVMESISLLVEECEEAEN -RKIKHPVELIPYCLKEIEKRGFWDSDIFPKSQHGGDREIHVLEVKMRVLQYFVESMSKTLCRLIPSDTLT -HPYEKETFVKKHYQESAQKLGKQFFTMGKSADATKWCQRNDSSKFAAVISPFLPDEFKPFFVHVMSLWKC -KRISFPIQFAANFQANRKTKSNHIYTRMKKEFFNGDGIFSQAQSNKMFIKSGMMQGILHYTSSFTHAMIQ -EVMKKLQTDYLKRRGILCNITVVQGSDDSGQLLSLMGTPSHNRIRLATTLLHWKENVSKYFSIYTSRAKS -SIGCLDLIEYNSEWMVRSNVAKPTFRWVSACLETSVTERFIDRIRMNYNVSSQVLEGGGKVLEVALIQLC -QAWMHYLLIGLHTSKLSLKAASDILDQQDPALGFYPLDSDFCAGITGVEFQIYRLFMSTDYGRGLTYANI -HEPDIKYEEEEIPDQSVSKSLRSVKISFSNMKLWHDQVRRMGIPELEQLVSEVEENPYLIYARHKAWSEA -KFAVFLKMFQPGVKESISKHAATARVMSASAYLISRPCVSIYVSGDLMKVSLLRALAYNKLETEGKVKLD -PSLTFTHSEEYREVMDYIIDLESKSTMVPSKLRTRNKQKISVFERAIDDIPLIDLCRKRWFGIGRLPLSK -RQFETFWQDVKLKYPFIKDDRQETKSILKYNEIELKGFLESITSKPRHIVLLDTSAKSSSLFNSISRIFW -TGIKLVLPGKSDEEETSYSIRSKLFTILTSWYSDTIKKKRIQEIIMDSPILGKIRVPQRIKKLRVLRKWF -TNQDKSSIVKYISDEKLGTVGFFTSRQRGWGKTRSGLGEWRGKCLDVSVIISMSGNCCSQITLSKLIDLR -TLGPLLLDLMNSFSLESPDINNDNYDHWLTGSGRIVGGFGKATYYPIKVDNILKIDIIDQISDYTWSWSV -GNNRIRLQAEYGENQKITILSEGFSCKDWDPDFTIDDDKLLKHWSSGTPIPIEVLDEEISSVCKPLPGDI -MKANRNRRTALTKSGWNLGELLDSIKKQLLTTEDILEMVADDEVDEDMNEDIEALLNEMNMDIDEAIDWT -MDDKFDELESDIDDDDLFDTNIDLFDQIENQLALFTVNPLLDEFTLNDRNSMPKTNLALSNIDTLSRVLL -GDADFVSTVKKFKTDQSLVAPGFMGLLLSFYCGRVCLPVPNTESELITYLEQDSARISSIASDATGLDSL -DINDLESRINYLRDEIGKAPVGLQQTLMNILNRYENAMTISKLSKTKESDMDTYNVVDVCHKVKDRLLNL -ELVPSSYRMLSTHVFHQILKAELDTHIDELTKDGKLTFAQQSVYRESTCKSYLTTLFLDILSSRYNLRIS -CCGYGTSGEHEITL - ->YP_009346010.1 polymerase [Munguba virus] -MDEILINQPELKPGFNRRALDNYHDTLMSIELPEFSLEKEQNSLRIEISLDSLDPSSTIGSTLRDNPGII -VESEKLTNLVHDITVGHLAPNTDKMFSSVFPVKNDGFDGYTPDMIIKTTAGAHYIIEFTTFRGLEAGAAQ -AAMSKLAKYEIPCINRSSESTLSLCVIAVHRSGVVSNLILKEDEVNELVFRFRLAVAIFYEAMKLCPELT -DDDSELSKAEKEIIGTISMISMDWRRTEKSFPFFREDVHNFFMDTPPDEDYMTNIISSTIEKAHKDLLNS -SFIRNELSYDDRIILNSEECKIAISELESGLRAATHRSIFRSKATVQLPGWVTRKGPGGKDLMCMKSLNC -EGDHPMLKIWSKVLSQSRFEAIDRMYDDSEAELDYALKGGPDRADERCRYHRVTVDLSNEEIEYAASLGV -NGKKYSDLNSVKKAREMSKECFSIDHDIRHLEEFLISHDRSLFDTDEELYSPYDEDYELRLAAQMIHQPD -YSLKKGPNEFLKNHNEFIHSAIGSWSQMVSLIGSELSASVKQHVKERSFVVKRLLGSGVYLLIKPTTSSS -HIFVSLAVDKSYFVRDLCKNGVFKSYLDGGDIYVTEFVSYKLSKLTNLCKCCPLLECSISFWTEVFGFYP -WESVFLLSRERSPSMKEAFSMIKLSLLTLMEDKATTEEIQTLMRYIVMEGFVSQPELPKPHKMVPKLPTI -LRSELQVYLIKRALSSMLTISKQPFSLNKRGGQVTWSHLFNPLTGLPLKDLQPLISSCYNGYFKNKEEET -EPSALSKMYKKIIELEHLCPETDENLGVGDPLEPKMHEFSRSYLKSCIEHAKHLLKRTHGSSYMKMIDSQ -IMRELSSLTLERLATLKATSCFNESWYSFKEVKDKSYSRDKLIVKMSEFANSGKTLAIHMFEQCMNLIEQ -RGAMHICLFKKMQHGGLREIYVMGAEERIVQSVVETISRCIGCFFPSDTLCNPANKSRIPESHGMRARKH -CRGPVWTCATSDDAKKWNQGHFVTKFSLMLCSFTSPKWWPIIVRGCSMFTNKFMMMNMRYIEILHNHRDL -TVNDEFVNQLYSAYHGDLEVPWISPGCTYLKTKTGMMQGILHFTSSLLHTIHQEYIRSLSFKIINMKVHP -EASYKVVCDMMQGSDDSSMIMSFPSSDLAMLARFKVAAAICFRVKKDLGIYIGIYKSEKCTSNTDFVMEY -NSEFYFHSQHVRPTIRWVASCCNLPEVETLVARQEEASNLMTSISEGGGSFALSAQIQQAQCTLHYMLMG -MGVTDLFKHYKVAIMRWKDPGLGFFLLDNPYCAGLGGFRFNLYKAITRTQLQKLYAYFLRKVRKNSDDGD -QLETCSVSPGGALIMSSSLKWGSRQKFLKLRSRLNIPEDWVDRINEIPDILYRAPRTGKEIILRIAEKVH -SPGVVSSLSSGNAVAKVMASSIYFLSASIFEDSGRPEFSIASSSKYSLLQKMAAFEGCHGINDITDDDLM -FLFPNIEELNQLDSIVFDRGEIEVIRRLNTREATQTRVVIFDEHHIMRVAPEKLVSDKWFGTQKSKIGRE -AFKNEWKKLKTVVRWLDDDPISTLEKSPLSNHIQIRNFFARMENKSRVVRVTGAPVKKRSGASKLAMVIR -DNFTKLGHLKGIDDLSGLIRSHAAEVIKHALFCVLQGPFSSDAKLQFSQKILFMSPEIDLKDSDGKTKTN -LIGLMQRFINGDGNLIREMELIGAGTVGGFTVRQKSSMGSDGKICYYGPGSWRGIMDGHQIQIDINNKQG -LPPHITSVSISDKVSPWDLCQSIKAWAEDMGVKNLTDISTTHKRWLCKFWMYDFKMFGSDKPFGCPVFTI -RERMTDLHFVKTEDICMKVRGSTINLFIPFQRSDMHILSYTATDYDISPSCLRSNDPFCKDVSDMFQHEP -SKSWMRCSSLPYDFTGAVLNLSEGKIKRDHIDTKRLREIIKTCTESSLRSRVGTIYSVIPGANESHQVMD -SDQLFELLIEDFSPSMFEDTVRCLEDDLIESLEDEQFDVTDLDLFGPAHYKEVSDLAMVSHPLMDDFVEY -LVKKMGRREIRRVIEQGKCVSRFKDYSVRLMRALSLDPSNLIVIDEDSDEPLEVDEDLIG - ->YP_009330281.1 RNA-dependent RNA polymerase [Hubei diptera virus 4] -MTSSIYTDIRTLCLDHTPHNSSAALDISEDYTLACTNMRMPEVEFVTDEANKTVTVSAATLDNLSSFSRT -FESSFEAPSNVHNMKTFSHDLTFGLWVKSTDVKLCSYFPKVPPNIGNLTPDYIFSTRERFYIVEFATCNS -ENQGVVRNHYRAKLLKYQDALLTCSESSGIPISFFAVVVGNSHVVTNLPVNYETAKELVCRYKLTVLARN -TISLFRELDELDYEDLTSEDLKLESFLKSMDKLPDLDEEFSNSNYQELLQGHNPDAMKDFHAFCMGTAAK -KVVDESKKPLDTDSCLRRISIEWSNFLSESMNKAPNGFSNHPKSIVNTPLEVPRIDDSSSLDPLTTIAKF -TTLDGWDSTMRAWVMAIDNYVRKRDKMAEGPEDQARSEMWFSEPVEAERVIGILEDIRDQADEKHSSPEE -ALEAKKRRRTYNRVRIDLEFDDKVELAKVGVDGKSMSGNPIIDSYRKEKKKPIHPEADVSDLEEFLGSDL -SWQMLSEITHHQSVQDSTHQVRTLLNKAELLNSDVSCQGSFIPGVVQLTRTKFFEWSKFISDIAVEIAIS -FKQHCDKGEFILKKLKDWDVLLLIKPTKSSSHVFFSLCAPHHITSELGPNSHVFRKWNVMNSCSWTDFIS -LDKSKVSNWALAESRSVSMFPYWLEFYGCEPHAFEAPEERFDSSTASGYTEQFSTYVEACKMMWISCLIG -LSDKAEVEEACTLSRFIVMEGFVGYPMVPCPEKMIKKFPVNMRTRLTAWVVGKLRELCVYVGQRVMTSGF -GEVDCSEDALESTMTRDFLLWSGLKNWFTGHELNSPGQLVDLFYLGYIKNKNEDSEINAESKLLEKILVL -ESKLTRDVKARIGRINKPLGSETYHEWNIDALKAFCSIVKADKEGLYVGKTLPEALSIELSKKLTNVKVE -DVFMTLKASSNFGKEWFIYAEYAKERQQGVSEDKSASQNFEPQRNKKHFYYRSKVLEKSLLFTGDDKTTL -LDILPSCFNEVKSNGFMRICIFKKQQHGGLREIYVLNFAERVVQYVIELAGRTICNIFPGETMTHPETKK -TMLSEHHAKVRSIRQGREVSTSYTSADASKWSQNQYCFKLASVLILMFPDKWHKFFLHSLELWKRKKIKI -NDELLYMFEKNPSNMFWDETIKRLHLEYQGLSEEETKWMQKGESCVTVSTGMMQGILHYLSSAFHSIVNH -GISIAIKQYIELRHRFIKVVCTTVQSSDDSGMMLSFCYDSDRISRKRVAEIMAVMHQYKVVVSEELAIKN -SEKTTMHTPRVFEFNSCFYFGEQQFEADLKLVYASLNLSEQESLIERQEESYTLISSYIAAGGSFYSAKY -AQIGQGMFFYRTLGSTVSRSFYPYSVALLKLPDPSLGFFLLDSELCCGVPGFSFNHWNCAVKTSLGGCYQ -QQLKALLNYKASPETVTGDLEQVTPSGAVLRRIRMSWGKGKKLQRLKEKMELDSDWVDTIDEDPTLLFRP -AATTDEYKIKVSLKLSSKGVSASLSTGVPVTSLMAASLYSIQERVFFLSDETNDEESISVEYGGVRKDKH -SLVELMARALKLGDEEVESRYMKMMFPLESDFESIRNELKCIGRVSHIRKVIERKRVTTTVPVFTTSTSV -PLSPLTVMKTVWYPSLCSADKSTYGPRLVRAAFRELKQNISWLKDDFKETLASSPFEHAHQLVSWLQQLE -EKSRMVTFLGCPMVSRRGRSTLRSAIVNNFHKFYKLTFAVSEESNQNVSDFSLASKTLLNILTLPMTEDS -IKSIVKASLVSMINDRLIEYDNSRMKHRLNSLYTIAYALTENSSTRVIYEQIEKNKRGYVGFWHVRQNFN -SELQKYYGKGIWMGMIGGIKTIIRVSSETLDAPTRFKAITVQSLSEADSHEWCLGVKQWARDNQISDYLD -HRASPRRFFPSSVKRISYLSGHLRKDGMGCPVLIESSIEQDFIKEMPETQNFDIEIPTLNDLKSKSSVCL -RLVSNPQQQGRWRGPKYTLLSVALTTKDWTNSAFIPLTDYVPMPDSIARCWMSNTKCSEIRASLCLNSAG -EVTKSLLLSNLKFQLLAMGVCPDGAVLPDPLLRPRSVLQEEVAPQVQDDMASMLDDIDIDEILAGMTTQT -PGDDRSEASEDAESLSSAGELGADWTMDLLDDMDHEDILDQHMRDFLMFSGEEQFVERRFSIWNYIVANH -VICKNYLDYLLMICPKATMRNMLISKEFEPEHRQVAGVMALCFEGLVLHERSPHEVYAFPPIMEPDLI - ->YP_009330277.1 RNA-dependent RNA polymerase [Hubei diptera virus 5] -MDSSSIEVEDFSECEEWSVDFDQMVKTSSIGFIKTGDLEIPLHHSYPSQISQLELIPYEIRKVNTGEFEL -LYQSEHIGSRISTGSSIKGEKISFKSGKLLKFVHDYVFGCLVSGTDIKISSKIPGVHSDPGSRMTPDFIS -AVEGGFSVVEFATTRGDPILAYMNKINKYSIVLQSILNTYNEGKEAENCIGISLFVIVVGQNEIISNMSL -SQMIRDELSYRFKMAISINSNLREEGIISREFNESDDLLIKRMKQFFHRFNSDPRFINTRTDEWSDKNYE -KMMEDPNSKEMANIEKAIFEKSYESAIGDINNLKPIGKDKKELDSILEAQSISKHNDFENEYLKINEENM -RDDMKAMVQFPLIVPILINGNRSLSVNLFKDIAPLLGSEILEARMWSEAILDSKCNSDNFPQIDDDNILD -TLLTSDVTAFPQQLEDNIKQVSNVVSKVTMNSKMQNTLRNSYQRIIIDLTKEEEKELAKGGIYGKKYSNC -PEVKEDRKEKKRFFDIKDTLTDDLDDLLEDHDFLFTFFNSNLELNNTLRGVIDLIQAGWTVHKEKNMTGN -LILALDSVCKTPYFHFCKFISDVAVEISISLKQNCSRRQFILKKLKDWNVWLLIKPTKSTSHIFYSLLGL -NKEVVFESNSSVFKKVFKVGDYWITPFVSSNISKLTNLCLCESRAFGILSFWSHASKVAPFKLTSDAIHS -VKLTDFQTVKSLIKDGFLLQEDLTKAFDSYFMTIVNLLVSLIDKTEVEEEITKTRYIVMESLVEWPLVPD -VNKMLSKTCNHFKSRFTLWLYRKHRNYTVYLSSRPIKLISEKVCGLTLTNSHLRWVGFRNPYLDKPISDI -QLVINLFYLGYIRNKDQYPENNTLSKLYKKILDLEDKFTAEVNSRIGRIDKPLFSGTRHEYNITIMKSAT -DFCINNRLINNRDYLREVELQMADFLCNSNIEDSMTTLKASSRFGPRYYNRDEVNSDYSRIKVIEAIQPY -IKDDKVFLIDVIEEVYPEVFTQDCLHIDIFQKNQHGGLREIFVLSITNRILQYFIETVSRILCGSFEGET -MTHPINKKIIPENHQKKTALQFKSGFITLCTSADASKWSQNQYSHKFAIMLYRFLPRYMHNFINRILRLW -QNKKILINPLLLKMFDTNPRCLFYDDIIQTMYNGYHGHENVPWISSRSPYIRVKSGMMQGILHYTSSLFH -SVINEYVQALMMKRYVAMVEVMSKKYMKISRVKPVISMMQSSDDSCMIYSVSVNDLKDLHYQQMIAATMQ -MFKIFLGEEVAIINSSKSSVNTKFLFEFNSNFEFGSSHYKPDIKHVFSANLISEEELLAARQEELSTLLT -IYLESGGYTYIANFLQIGQALLNYRLLGSSTTNLFDTYVLLIRFLPDPASGFFLMDNPLCPGICGFKYNL -WSICQEKNMSYLYKYYLNEIVRTHENNESKKVIEATQSGTFMQTTKLSYGNREKWMRLAYRMNLPEDWKQ -YFETKPNVLYRKGLTTEEFKNRVAMKMHSPGVASSLSKGNCVSRTISASCFILKVRSLSNETSWLDPKKT -FHDRFTLLESLLDSITNFGEESLTSEDLKKLFPFAESYRDTHTLLTSIKISNKYQTHSVTYKRNLTDIYM -FESASFPFESPATLIPYVWFGHMDPKIERPNYSESTINQLFQKLVLMIPWLDRDPEVSLKKSPFSNHLEL -KTWIEKLGTSVRTVRLLGAPISTRAGLSSLPTLIFQNFACSYKLIIEGEHKITKVRASSINLKHYLLLAA -TMPNLTHDETAALMKYFLNEFYCDLKEDSRSFKRKNNSLFIMAAFASKSMDILGILNKVRTLKSGVIGGF -TKRQKMLTKEGDQKIYTGEGEWIGMIDGKKIKLVMFGDGVSNKLMKVYMKEPSELNNIYSPLINLLQELN -IEVSSTRVRPIYDTKIFLSMRGLSHDNGVPIYSEKEENIPFDLDQDWTLKLDWSGYSLRLQLVRSWRYKS -PQRARFECLPTTSKDSRDEERRIIQDYESPITILNYVPHESDLMMEGIIKSSKVTSESKIDGVEGLSDLE -KKWLDYEPLDYGQALAFMDEVYRRFVEVRDEQAREDFKKFSENLRSLLGSKNVRRKTKMSLISTKAMIHK -PEESREVLKEVMDLTVDDDFIHDLLEEIGMEKTDEEEESEEEDRYETQGVFPDLEDYMGEAEQLIESLSK -PTDIIRNRNSRVFTQHPLLKRYASRLLEEFPVKKIRKMFEDLTIEERDSEHKSAICIFNEITKNEIIIKD -AYYKRTGITYWRSRRF - ->YP_009330283.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 1] -MQGSSKVGSLAETSYYDELEPGDLIMNMKNKAIRSITSTISTEISSNLFYLNVVDCLSHLDPIPEFTVKP -IPPDMTPGFRLVIGSTERSSGSSLKSTRFSSKMPKSSRFPINWAHDFTFLTLESKSDRPLKDYFPEMNDE -DDKLTPDTIIRVSNNCIIVMEYTTRDSRSHKSLRIACQEKYNKYLSALNSRKDEMVRRGERISIYFGVIA -VSPYSVCSNFVVPRELAEDLCYRLKFAYSVEQELIPYGIQPRLDEEMSLIEKRCAIELSSISKEEYIEDQ -RDEYPEYSREIYDSIWMSEVDTGLISEQISNLHESVKKELNEESEKRGALGTHESLFRILKQQNIKKIGS -CIHVNGPDECNLQTCEILRGLREDKCIKSASELARESKIASLLVESQSILLDDFNKKKLCLRESQGELQT -KIDKKALIQYPYLPLSSNITHQEGEMMDISEDIEDFGIEDGAYPRLWRKVICSKNFEESAGSYSGQDKCP -YGESCCVACNPDCCDDDGASENGDDECEEFQDSINDGGINKGANDRTLYKRVQLTDLTIDDQIEFACQGV -NGKRFADHIKKINKKQRSQLPYSPDVDTEDISKFINESWCDLFLASSEEEGTGSSATDLLEDAANLINSL -ENVKEVLDTQRKVQTTNLFRALKVISDMAMELNMSLKQHCKKDQYILKRLKDYKVYLLIKPTNSSGCLFY -TVFWDSDEMLTNDIHEGIFKSPIKINDRYMCTEFCSTNKSKLSNQITTWAKLHAILAMYMNENKLDPLVS -GGELPVFTTEECYYLKTGQKLGRNQKVPDLVTRLRKTCKMTLLMMMIMLHDKAEVEEHFTMIRYICMEGF -VALPCLPNPAKMMSKFSVAVRSRFTMWVQKKLMEFIKGIILGGRYISEQESIIGSNRKKIVRTNLNNKFI -NEEIESEGELINMFYIGYGVNKNQVPNANVIGKLYAKILEWEDKFDESYIGEIGIKERPDSEDYRDHEYS -PNWIKAIADSLLNVIKSTSGKDPKNFLESQFLRTYGGMTIMKTLGTLKASTTFNERTSAPGSSLPRRLKV -IEAAKDLLESDKIYMFEAVKESLDTLYNEGGLYVDLFKKNQHGGLREIYILNIHSRVVQHCIETMSRSIC -QIFPSETMTNPSSKTRLFNAHKQRVFNRFQGVEVMTNCTSDDAKKWNQGHYASKFALLLCRLMPKRYHSL -IRSICFLWHKKKIMIDGSLLRIFKKNPDLELNDKIIDEIGKIYMNRKQGTRWMEVGSRYIQTRTGMMQGI -LHYTSSLLHTAHNEFLKRHFSNFIQNFLEENNEKLDLTLNRDPTNPYRYMRPVITVMQSSDDSSVIISLP -CINRERRLEMSYLTAFCFKFKAKSGRLSGIYPSEKSTLNTPGIMEFNSNWLFMNNRHNPYLKHVLSSVRV -SGQSTLVGRQQELYNGVTEVIENGGSMMLAFICQYAIGLLHYQTMGLSVSHLFDHHYKGKLLKLKLPSLG -YFLMDNIHLSGISGWDFLHWNLCKKTLVGDYLFLLFEANKRTEKLETDDENYKALIMDNCTAGLFSAVTN -LRIGSNYKLQRLRESFNIPIDWLALLNENPLPIVSTPKNVEDRNLAIAAHLNSPNMQASFNDLDCTSSIS -AAAGYHLKFACYVVDSSVQIDSLTSQTREAILDQVSWADVKEDKNEKLAEIVRERYKSMSKKSLMAVLMR -AWELNNEIDDVKNEFQSEKFYEEEKNNFISNIFPKEGDYLTIADHTQTIPPLVLYKDDRELQNRLSTISV -TSTDFEQFKAPYNLCLMKWFNIGKIDRRCLNYLWVNLTHRYPWIKDSYKKTLRSSPFTDCIQLFNFLSRL -EKKDRKVKLLSIPITMNLHESDLSILINNNVWSGHGILKPEDKTEAKLLGDSLNMRNVAHTLYMVNAFPL -NEDYKLKIMDKLLSGTIIKNTQVKSTRLMKLLTITKFSQGASNAEILSDVTDGAFGVVGVYTRRQYYDKE -KKIYTGIGIWEGRLEGTNIKIIVKEVNGQTSLLKVILSRSLDIKTLIDFLKEWCEMNNVYNDHNFGDQKE -YSLPSYSKTRMGRTFGLQSSIMSSPTEVYSFGLTRFGVISIGSGVTPNCKVFLDNMYKSPMLDPTRGDLS -LEFMNGILRLIFTEVIRPLSDPCRRIKKGSLSSFRESNYIRRYTLVSHRIQYRDFAQRFVNRQSFGALSE -TLTQSEGNIITHWLTNEVCPLSKLLDLLHRLIAIGKGEREHSSMPIDIEGFKALIKGNFDSWAMDNLNRA -VYGGDIGLTEYFDEPEEADLEMHEVCSKLVIDDSELKSLIGDDIKKLIDDLGQDDEDGIIASIDMEGFNM -EGLTFADFVTHKVDESQVIYNSPLFSSLFEFLFGSLKNEEDRRNLFEDCSLPIRLQDNKEVIEFVLNKQL -RIVFNSLQEEGYSDLFPEIK - ->YP_009329894.1 RNA-dependent RNA polymerase [Hubei diptera virus 3] -METLETILENTETYGDYVNSPDPIISTYIAGDLLPEFDLSLDKATDTLQINFRLIEGTSYSTIREKFIVS -NHTYKAKSFVHDFTFHPLSETTDTPLVRFFPTAPEAYRSLTPDACVVINDRLFIIEYATTQSSNPRALRE -AYNAKRLKYLKPILDCFECMPPHERMQMGFTSVGFHIIVIGRGQIYSNLQMDDMTKNELGLRFELSLKVM -EKERIMCIFPDQLGDLSENEAKLMGFLNSVEIGNGKPDDDVFSKKNYQKMIAPKDPKEVRVLIKKAFRKS -AEKAIRSSEYCLQANHEKRIRESEDHKAAYYESFRKDQEFSEHQKTVVNMPFFICKNTVPSLRVKDHLKS -YEMGESCEHTFRAWKEAISSYETSCYEEEEDILIPDDITEENILSQLRSLEEGEIDKEKTKNLYHRTRLN -LSNDIWVKLAMQGVNGKSFEDVKEVKEKAAQRKKAFSLNIDCSDIEGFLDGCLSDLFWEYKAVNNTNLCI -QQLANKALGIHKNRKVSERYMEFTSRILRTRIMKWSKIVSDIATELAISMKQHCKKDEFIIKKLKDFSCF -LLIKSTKSSSHIFFSILVMKKDVEFIKNTGKVFRNFMQNPECYWTEFVSLNEAKIVNWSLTESRSMSMIP -YWLEFFEVPPFMLSLDDVNPEEPHIKEKIKEAYKMTVFTTMVALADKPEIEEHLTNIRHMTMEAFASYPN -YPRPFKMFSKFANQFRSRLTVFIVKKLLSFNDYIVNGNIKSYETEGGAEDLYTMTGSVRNWSGLINPITR -FPLNNPGQVINLMYLGYIKNKNEKNELHSDSSVLMKILSLEEKFTPEIKERIGKVNKEFGSETAHEYNID -LIKYSCDHALEKKLFSKEQAGKELMALISTTNVEDILMTFKASSNFDESFTIFSVYSAAEIEKRRARAKN -GDKDTPENYMKSSYYKRSKVIEKSIKYVEGNRVKLIDILPLCFQEIMSNGHLRICVFKKQQHGGLREIFV -LNFAERVVQYVIEQAGRLLCSYYPGETMTHPDSKKKIPEQHASQSKRVNKHGITITTFSSSDASKWSQNH -YSHKFAIMFVRLFPKEWHGFLWNSLSLWRNKRIKISDALLNHFSKGMGLKFYDEKIQDLYEGFKGIKKSK -WIKPDHSYIEVETGMMQGILHYTSSAFHTLMNSLVEKEVRRYCKTIKIPFNCIISTMQSSDDSGMILTLN -NKELPRNKSTYTLMLKLMYTLHEFKNVLNSEVSIINSIKSVIHCSRVFEFNSKFYFANFHYEPEIKLLFA -AQIISERESLLERQEELSTLLSTFVETGGSFYSAFFVQFGQLISCYRALGSSVSVKFQILFTCLLMMPEP -SIGFFLMDNPIGPGLSGFVYNVWKNSRETRVSAAYRMHLDKVLSRKENSKLETTNLGLLVRQSFLQFGAR -YKLEQLKRRINCPVDWVKQIDENPVLLFREAQSTSEYMLKVAMRLGNRGVSESMSTGVSTTSIMASSAYI -LNCAVFSILDDDSIKTQEVTFKGEKRKKATLLSMIENCMVARGDCLTEEQERILFPFLNEYLNLQTKLES -VDDLVFVKRAQEKRRVITSVEVLEKDQGPLISTLSVLKMKWFESEFQNKTPYSKRIMERVFLMLKENISW -LSDSFSETLKQSPFDHAHQMMNWFIRLQTKSRVMCLLGAPISTQRGRSTINSALNMNFHKSHVLHRNYQF -HGTLSALGNLLHQIIGISSFPQSADKRTELITRLLLENKESIVFDASKSLSRTNSVATIIELIKQNPDIP -KAIQQIEQNKRGYCGSWIVRQHLKFDKTGNRRYTGKGAWSGIISGVRTRIEVGDNESRGLVTRAFVLDWK -PYYIDDFIKGLKAWMKDNHLNAPEVSFTAVRESELVMYFNNGYNMAKLGFPVIKAPSTIPFDINIDESTR -FAVDTEDWVGFLKKDVAALRLYAIDEDDHRTTMISVPLYPSDWNITSPPSYSEDHKNYALTDWVSNRAMS -LSKCIKNISKQKRNRREDLLMLIRKIIIKRLNTIGVVTDHHNPPELISSSHIAQQDAEDVVSVSSFGDEM -DLEDALDFEWEAFGESANEEDIIDMMGTDDSLSCLLETDFDLDVFVESRQLMGDRIINGSSLFNNLVESW -RDHHTVGRLNRILKTGYYLAGEQDLIQNYFSWLFPAINFCMQQPDYCIQDLDDLDYDPFDT - ->YP_009329876.1 RNA-dependent RNA polymerase [Shahe heteroptera virus 3] -MDKSEPSTSANSQGRDTTYQPGRTWAEVVRKKVRSHKVGPEQKKQRRQHAESECDPDLLNLEAEPRVDVP -FVFFQGVDYIWETFRSARPIKGRLYTDKPNCFNQSRILATPFIDESNIQLNVADNTVRISWGGCDVDDSA -SSIHEQKPIIMTADQMRSFPHDFTFQILSITTDEPLHKVFKPESDGYNNRTPDVVHRTLDGVLILEFTTH -AISTHFMLERAYKEKEFKYLNAISLRVSNYPEKESKIFGFFPIVVSSTKVVCSDTIRLPQNVINELCARL -RFARSIVKIATQKGLRISEDQVKQRVDDIDEMLKAFPEAFQEEGDCLVPLTKERVITTDVSMEMSSTFSR -KVYAELLIKNLSNKLRDHTPTTPSEVIAELQAMCVSNNPPGTEFRKDLKSVLQVPGIIPPLASEWDSPFF -LGETALERLWASAVSQSRTKEWMTEDSIEEMKIKAERDIEDDKVVIDEERASRRRYKRVRIPLDREQAIE -FAKIGVQGKGYKTNPVVVRDRLWSKMPFHLDTEVDDISDFIGRSEDYSDLEVTHSTNLVIKILDGSLAVH -KPKNPCTINDLMSEIKTLDLYHWCAFISDLATELCISIKQNVYHDEFILKKLNNWNCFVLIKPTNAESHI -FYTILTPQSAKIVAAGNIAKPVMKLYDHYYTDWVSADISKLTNLVKAESFFISMLAQWCRHYGVLLNELR -KVPEVLKMTKLCLLIHLEDRSKTEELFTLFRYISMEKFSLSKINDKKMLEKFPTQIRSRLQVWTIHKLAF -AMSTDPYVRLTKTDSQDEAREPMRRWRGLINPYLGTEVKHVKQLIELYYLGYATNKDAKTWSNAEFKLVE -KILKYEDELDKARPEYCGIDEEPAGDYRFHEWSRKMVCASADIILEHYGKLYSEDPKNHLKGVILQRLQR -ITWEQVATLKASSTYDPDVGKAADKNGKYTTKRVKAIIAVLRNIKLLADTPILSLRKIVENLDKTGGLRV -DIFKKNQHGGLREIYVLDIYSRILQLSIEEIARALCDGLPVETMMNPELKLIKPQEHMYKSAMQKRKDRI -TMCSSNDAKVWNQGHHVAKFAQFLCRILPQNWVNFIVKALSFWTHKKIALPDSILNMISRIDTPDFYNPL -FNRLNHAFKGATKERWISPGETHITIESGMMQGILHYTSSLFHCGMIMLRDYHWGQFMKTTGHRHISTDL -VSSDDSSRMVDVFVDKDISPGLRPMMQIVRSDQMLIGEWSKHWGIMMSIKSTYCAENVMEFNSEFFIGAS -LCRPTIKWVYACLQFPEVESLVERQEIIYNLTTELLEGGSGFVQAFQTQVSMAFLHYRLLGVTSNKLSRD -YLLELASIPDPSLGYFLMDNPIACGLSGFSYNLWLRIEAFIPLNCLYANFMNQGTITNTTTGQLTRATQI -RYGNRAKTMKLIEESQEICPDWRERIEKDPILLYTASKTIEHSIIKILVKLTSPSVTTAMSKGNSVARMI -ASSVYLISGFATTIGSNWQSLTGVKTMKTSLWKLLSTKLNLQETLTRDQLASLFPQLDYFKSLESLYADL -AKFQLQPYGSNKMHRSTIVIYPNDRGLFFSLENIIKYVWFGEPLASDKKTILRVWDMYKSIYPWLKDDPH -STLNSDDCHFEDQIQLRNFIARQIVGGRKIHLTGVPLKTSKTRDMIYEAITRNQVPGYTLTSGEKVIRSL -SDEKRMESDIACILTYPLHQDTKYDEIVKVLKNYNIIWDGSDLRASSRRISLGILQYFIRLGIDGSGRLE -KDEDRDVLMERIKISKLGVIGGFTQVQKQDEVTKDWYGKAVWKGLIGDAAVLIVLNNDRLDKIVTNSISK -LRRSVDRLNNLMVEFRLNTYEPATATFENNVKYVGAPRARYNLKEFSTIQEGASVVEDSNLKYDTDLAGK -YLKLFVSETHLRLVQRESLTDRFYTICSYKASASDFNFLRDEWKLDCHVGVLKAWISGVPEEPQVLDKLI -RETVIPNKPTKAVDKEAFKDFFNLGFVNALIKMGLRPTHRSFPQIEIPSLQTLDLDPAPEYTGFTDPEGI -NTGYAEVLDVLYSLNDTLDDPWASSDDEPTSKEPSIIDIQKTDYHISTHQLLLGDLDIKKSQDISLDIKL -MHPYWDNYVSSMLKTMSPKSRDNFNRGITDESNKKLTDILSALLGWEFKEEQLMIIDEPKKPQNEIDDIV -ASF - ->YP_009305143.1 RNA-dependent RNA polymerase [Yichang Insect virus] -MSAAKTSPSRRSRIHKKDMPTGWSREELIGRVLDDVYKTVMVAGVLEVPTPTYRSKTYTLQVPQIDADNV -QYDLMARSIVLNFDTDNLDTASASSIVGMVDFKIDVEQFRTFVHDYTFAILAGSTDCVMSEYFPFMSDGK -DNRTPDLITSNGISTVVLEFGTTRNPTPQGLRSKFDVKRYTYEEALRSRVAKLSRGQGSCIKYMYSPIIC -NGEGIMAAGTISLPSKIVNELCARFRFARIIMELAIAKGLKIEEDGDSQLRSDLRAMIASIRPVFQTEGD -EGEECSARLVPLTRERVVTDDVDPQEAYRFAAEEYKKLLTEQLKNVERQPNKLRMSDLEDEVERNTFGDR -LDMKAVLQMPGVCCGLRSDWTWQKTPESDERANFINDIIEVTQDESWSWSRGKIETIRLAMMDSSNPELQ -TLESTRRTYKRVVCVPTELYRLEMAKLGVQGKDFKTNAEVSLYRETKKEGFSMAVSTDDIEEFINQDPED -GSMGLSPSQNITLEIINRGFEIQDETDPHMMPTIKRALQTPTGRWVSLMSAIGVELSISLKQNVDKDQFV -VKNLKQYDAYLIIKPTRSDSHIFYMLVFKNDCHNIGPKNLTKLTNRYTEWSCTDWVSFSLSKLVNLTKAE -SFFLAQLSQWSRYYKLTFEEGIKRKEVWRMTRVMLLVHLEDKPRTEEIMTLFRYISMEKFSLVMNDNKKM -LSKIPSVLRSRLQVWVTKCMLRSMASPNYEPSIISDGTSSKRLDRMWLNMINPYTGEAIEHPSQLVELYY -AGYATNKDAKAWENTEFDLIKKIIQYENELDKADPKHCGMRERTDPHQYRFHEWSRKMNCATADHLKECL -KLQYGLAYEDAITEKILYRLQRLTWDEVASLKATSNFDPSTAPEPNKSGKFSTKRMKMLIKTLKISGELE -DTPALTFPKALEWVSEDGGLRVDLFKKNQHGGLREIYVMEIHSRIVQLVVEEISRALCQELDIEMMMHPG -NKLRKPQEHMYKSARRTSPYKVNISSSNDAKVWNQGHHVAKFAQFLVRLLPPMWHGVIVNSLKQWTMKRM -ALPDGVMNFLHNQRGVPLWDPIHEEIRLAHSGLLNRRWMVKPGCHYLLVESGMMQGILHYMSSAFHASFL -TFRNYLWKKLSNAMCIDSTSIDLVSSDDSSRMTDVFSSDEAFFRRSVCVAKADHLVIKTLSPLMGISLSP -KSTLCSNGVMEFNSEFFFRASVFRPTLKWSLASLGIVEVESLVERQEVMNNQITELLEGGSGFRQAAETQ -VAQAMLHYKLLGSEVNSLYIDYIIQLLEMPDPSLGFFLMDKPIGAGLSGFNYNLWKAVQYSRRLSCLYAS -FIRQGELTTTTTGQLTRGAQVRFGNREKTKRLIESATEAKPNWREDLEANPEYLYMVAKNMESATLKMMV -KLTSPSVIKAISGGNALARIMAASVYMINGLAVTLGSNWIDSLSSLESQDMSGKRVSLWRLITSAIISEE -PLRRDEELVLFPQTDYYISLARIFTDLSSMRLAHYGSRKMLRSHIQVFPESSALPFSLEDMVKWRWFDYN -LVASSGVLREVWDNYRKVYPWLADSPQETLSNADCHFESQIQLRNYVARQSMKSRSVHLTGAPVRDTTSR -DLLVVALIRNQIPGYTLESPTDIKVGSVDVSDDLQSKLACYMTFPLNHRTREQKIVTALNDFDDVWHPSR -PRPQPRKVRLGVIQAFIKMSKKRSGMPMRILRFKEYMKHSRLGVYGGFVKRQVLQGGVWIGEGEWRGVVG -SASVSLTIKDSKLVALGTDSISDLRLSEPLLNGLMRELEVTGYEDSIIGSKFYDLRTISTGRGAPVYERR -GFKMDSKLTFDKLELKISAESIRLVAKDDPRSSYTIVAYTMHPSDFKFNRNAEESDPVLRFWVNNQSLPG -DWAEDSLEMLMKKGDQSRILDFEEFKKFVGESLPPSLLKAGLDVFSCTRSEASQLTEGPDPDFDEDMDFF -DMGEDFVFDDKLLLETNVEVEMPELDNNIEFTDVDVILGEMPLEIHRSTLGNIRRVHNLWTSFSRLQVGK -LSHKVRRDIEKGIYNSAADPLITILEFYCGMAFSRGTNLDVSNSLEAVMELPEPGDAWF - ->YP_009305136.1 RNA-dependent RNA polymerase [Wuhan horsefly Virus] -MFMLMFSDSCEISEVSENDMPHFRGNHIKLSDLMKEIGLQTDILSACILFSKSFLEPFRLVISDGDKKTS -YQTIGLPPSNFFYFDEEKLYFDERLKISRFDWRKPIKKEKEESRIVPPHCNIIVNSENILGSYENMSTCL -CETYSLNVTPFELVYILRSNLINSPSLLQEFMSYLGFSICLHFDGIGDSKNADCHISVEKNKWIVSSSSF -ISICRTRGFPYDESCSSEYPFSNEEPSSYIHDLQLPKDCIENNYEEMMLEIKLFFIPKWLINKLSIICRE -LSNTRKSLRLIYPNEDGIESYIDIGCQPDRLELKIDCRSHNIKIRSTGKDFPSNLSLNLHKYNIIDPQRF -IHFLRKEIAQNQQKRYLKPREMNKITLQEVCTLYDWKLEDLIYMYSNYKIEALRPLREVPRTRIMINTDS -KIMELCQILDNIFKVDMFGPFFDRALGITTWKRYHNPIETLNEVKILTGISFSLVKMDFNKIIFFREGNE -KHMIQMLNSGKYKYIGDPVINRNFTPIKERVYEVVEQLKPTGESATMSVTKDDLASFIEDQMDFDDPNPL -VLAFYLHKERKEDVTVLLDNNYSLATQCIESDSVYKILSLRSKGRNKETTILIHAPTRKSFIVRRFTGVN -PDLGRKVEPIALSFTEEFPSLEKAMKYTRDELKREEEEKLLAHSRSVQISDKMAITITNPFVPISQQKPV -EIKSTPIPELPLYRIEQMGDKIQVVFDSNPQLNFSCDSIDASSIVHDFTFAQISRKTDVSFKEANLSLGD -SSDFKTPDLIMDIPTNPNEKRKILVMEFTTLRSYNTETLRKSYDAKIIKYHDDLVKRHAKNLFDFCSYIV -IAIGPSCIILNDRILAKDKNFIDELYFRFHLAISIVHTFERMNLIHEEEQELSRQEKFVKTIFRSIPTSV -SKNFNISDRCLHNIIRDCSDEDVQITNKLLSDLWLKSSDQAVTQLTMDNSSEYHSSIIEKIREYEEWMRT -NSGTRTDMKAPIQYPFIIPDPISLEEEFERIKDFRVGYSFKEDDVSMMQNMWNSAILSLDNAAVEKYNLD -ERELAHMCPKEQKQYIEANKQLKMTRNRVIVRPSESMKLYNAKLGVEGKRSIKMSEDQVLDYRKIKKIPF -HYDTKINDIESFLELDCLNFDPFTPYYELDDRSEGIDVLLASAMEIHGEKTMIEKYRAQTNKYMMSKAGT -YLRFISDLATELSISLNQFCKRDEFIIKRLKYFESYLLVKPSRKGAVIFFSILIPKGDSLVSLMGAKSNT -VFKTMHDIGKYYITEWVSSNISKLNNLVRAESMGYALSIYWSEFYGHFSLEGKSENQSIVRMFWLSILLL -LEDKHRTEEAITMTRFIVMEACVSLPYRPHPEKMLPKLKTLIRSRLQLKIMKDALHLIKYYSRHSIKPES -INKSARLHVWKGFVNPFIKENGSLAKLETQDQAISLMYLGYLKNKDEQPEANSEGELIDKILCREDQLPT -EKTFLGEQNPLPDKVRPHEFNVSLIKAAVNRELLTIGNKIGTNQISEIKTHITNRIVRNLCYKTIDELAT -LKASSEFNPNYYHWRPSELIKAEDNLNENPLYKKAKDTKLVKNLKNIIGKEKMIIDEKQDEGEDVDEFYY -ISDDKYYRSKAIEKIMKILNDSKREDENKHLVVHFIKDMLNLIEENGNCLHICIFKKNQHGGLREIYVLD -MQSRILQFIIEDMSKTILYENFPSEIMTHPKNKFLIPESHYLESYQLYEKNFEVISASDDAEKWNQLHYV -VKFMVLLCSYVDFTLHGSIIQILKLWLNKKIMLPMGLLKDFQKVGKNYDEGLVKRAYMAYNGKSSEKWLQ -EGCSYLQTESGMMQGILHFTSSLFHATILSYITEYTKFMLSSISQKPIIVSYMVSSDDSSMMISVPKNSQ -EPEDSILVKDLMVMTAFKFKTLVGNQVSILTSIKSTRFTKHLVEFNSEYMFGADNHRPTFRWVNAAFMIS -EQETLIGRQEEMSNLCSNVLEGGGSIHLAYGVQLSQSLLHYRLLGSSVSDLWLTFVLTVYNMPDPSSGFF -LLDPIVSCGMLGLQYNLWKATISTKLGIKFKMMLLQESQNADREDIRLDTVSLSSISRTSFLRFGKTKKW -ESIMEKLNIPKDWIKRIDENPEILYRSPRSIEECKLKIATKLMNPGVIASLSKGNILSRILASSVYILSR -PVLTTTSAYYKKLVGISSKDSKCQICLIRDAIASKNEVTTACAIQPGPYNDLQSSLAHLETVGDLTEYYC -ASSFISNNLCLRVEFEKELKQLGYEKDEIITAPMMARLIKIMVNWTQVNSILVELPALLNKESEKEKCRV -FEFKSDFNNSEIVTGYSMTLDNAYLPIFFKKNDNRVYGFKTPRVTKVMTKGLIHQHITLNDADMDILFPF -RKNYLSHLSSLSGIQIKSYVKRHNMRRKIRADIRITTDSPFSGGHIEKVLRFKWFNMPMKYPHKQIEDDF -KHYCTLFDWLRDTQQETLKASKFTSSIQLQNFISRLDKKPRTIHMVGVVGWKKHGYLDIIPVIRNNFSNG -LIFTNISNFQKRTEHGILNAYTHVIGSIIESPLIEDRKIQLIAKCLNTGPEVKFNQMGESVSVKLSLIQR -IAMNLDKEYNTPSESEKIMLLTELDRKKIKIFLECDYTETITIRNDEGELEIFQPLLDEQSKNNHITSYE -DAWIEEVFYEPIDRLIKEIENESENFKNGMISYMLKNNPNSTYNDLVLIIEKEREKTQSVIDLLMKNRFG -IIGQVDGDRWIGSFSMTDVEIKFLKKDNEMTIETITLSNNVNTETFGRDLREWLENHSYALNFKLYTLVG -QRIQSCVKGKIGEERIYNRPDYIIKTDLSVSHFNITSTQFTLVPIFVNKSLSSNICDGKLTLSLNKNSDL -IVCRSTISSSRYVNIIFKMNFSKFVIRSENKELSQLKTFSSGLDPWLRDWINYVPSTNFTWQITQNLDNP -QILNKYYIDESKLELYIKSFWTKFQSRHPTPQDIKHATPYEIANVKEITVKMSSYADLLSNFRGYNHLFN -KRDILDRADSKEEEVYEVIENIYFDEQILDFIEYKTKRIFYNPIMEHSFSEMKSQMNMTFSRNSIFYVPS -STTPYLKLMKMTCLIPNDIIIKNNNDLYLDNRDEDLY - ->YP_009305140.1 RNA-dependent RNA polymerase [Wutai mosquito phasivirus] -MKPRISTDYGTSIGSSIAGQSLTFKLGDYRLSNFVHDFTFNHLINESDKKLYKVFKSSGREITNYSPDFV -IESIMNNIIVEVGTYKGSREGAIKYYYEKKQKYEGILEVIRESEVSRGGNSKPILFGIIIVSRDTIVTNL -DLSEEQLQEILLRFMVAIKVEDKLKRMGLVPLLEKDATMTRKELEQYFLNLTKLSDPLITEWNNELMRRA -SKCSEDEPFLMSHLKRWDEKRIEALMDENISATSAKKTFEILKSSIGEVVGRTTTYEFNSEKRRMKSEKA -HADFEDEYIRENEGNMRQDCKSMINIPMVAPILQLPDRRPSQGLTIIEPKFENVYDVLFFTAMGKSLTDP -CYFPDKEMDLMEQYGWESPEYVSYEQAEVVTEESHGSPGSLNHISADGLSEHQIDTYLHFVELDDQKLKA -LKAEYKGKTGRVKMALTKENSFDLALKGIEGKGMTTEYIKGKINPNYNASLDEERKSKPDWFHITNTDTS -DMDALIVDEKFYEDLLSSVPFDTPSLINWKMLINQSRSYHGPSLLADKEKEYVEWLSRTKLFCWAKMISD -IGTEVNISLKQNCKKGEFIFKKLQDFNVWLLIKPTKKEEQIFFSVLFDMSTTCHLSSSSVFKKMYPLFNG -KKYAYTHFISLTESKLLNWVITLPRAIALMRFWSNFAGVQPYKEEDILFESKENTGYTQLIKEAMPMLML -SLMIGLSDKTEIEEEITRTRYLVMESMEEWPIEAKPYKLVSKISKQFRSRLTLWLYRKHKALCALYSANP -PTAKNEELIGHGQTDTSSQLFWHGFVNPYTGTPLVSGQQVINLFYMGYIKNKDEVAQINKISKLYDKILV -YEQLYSKEIAQTMGIVSPSKPSPHCFDIEMLLDCSDRLKTRIMNSYPDMLSELEKQLSKFLFKTSIEEEF -STLKASSNFGPLLYDRKNVSSKYTRSKVIEKLAKLKGKSVTIAELFEDQMLKCLRTRGLNIDIFRKPQHG -GDREIYVLGFEERVVQRIIEQIARVLCGFIPEETMTHPHNKSIIPENAFKEARSLYRNSHITLNSSADAS -KWSQNNCSFKLLLPLLKLTPKYMHKVIIRCLRLWESKRILINPGILELFDKHRNLKFYDKTVQNMYDGYK -GIGNFRWVKAGEPYIELTTGMMQGILHYSSSLYHSAIIARAKEVIGIYNNQLVKAFGYKRPFKIVMCHLQ -SSDDSFFSASCPVDGTAEGARAARLLAASILTFKVNLSTAMGVVNSEVKSALATNHVFEFNSNFEFGFNH -YKPDIKAIYSGFLVSEQELILARQEELSALLTTYIENGGTNYVANGLQVGQSYLHYVLIGMTTTKYFRSF -QVLNSILPDPSLGFFLMDNSLCPGLLGFNYNMWNVVKSSNLGKLYKHRVKPLESTNQSLDDTIKLSIELT -AHGSLSSTHKILHGNRNKWVKLLERVGAKEDWRSDIEKAPSLMFRRALTPEEVDTKISQKLHSPGVSASL -SSLNTLPRILAESAYILKVRSITSISSWLDPMVKHFDKVTLLESLLKEMREIIEDKQITCEELKILFPFH -NDFVRNQELLGTIMIKDIAASFREYKRKETRVEIATNNEYSLVSLRGLLLSYWFEDDEEINTVRLSRESR -NYYFEQHKKIIPWIDKDMNESLRASPFSNLVEMFVWLNTFSGRKRVVRLLGTQIISKHGHSRLISVIMNN -LSSNHRLISERSEEEHPVSSILLVREKFSLLAALPESEPNKAKDIHKLFAEAGDRVSFDKEAVRSRQNDV -VLMSRLCRVVSEQSWRSGPREPVYKIIDEMKRNKHGTLGVFTVRQLLTVGKDGPKYHGFGCWEGIIDGYA -VKISIDNEMDEPTRVISVMTRTRLAAVESIALRDFIKAMGWQIPSSPSVNRNRLLLSETGVNSTHGIPFY -IDERYEFDLSHLFSLDLSIEWTGRNLRCVASHERRTFTVVSIAPRAQHINYNSQSLGFLSHIKLNKFTKS -WILNESLSLPDASHLIDSFDSLSTERQMMIRTNLKAKFEDQGVKKMSGTPVMSLVYVSQPDDDFDIDQFM -LDLDNMLPEEAITADFTESLDHPPEIVEVEDFDYKNIEGLTEILYEKTNEEYGIDLLKRESWRLHRLMDR -LVKFVIENVGRDAINKLIETRVFSKALEEYQVVFEIMLNETRSEWKEPKLQTLKELAGLRETEEEDGVGI -EDPSGETGEPDASVH - ->YP_009305000.1 RNA-dependent RNA polymerase [Wuhan Fly Virus 1] -MEEVESELSQETPNEYRFKEEVLHSILDEQANRVPPGEHVNLGRQTGVYDSTSVTYNLIPYEVKVEERRI -TTRNDFTLIIQRENLEGVGSIGSSILNGVIRAKDVRTFPHDFTFNPITSSTDVRISDILSGVSTPLSNLT -PDFMEYFNGNLVVMEFATTQQDSAIRTVLNQKVDKYRIPLREAARQYSIQNNMYLVVHLCVIVVSETRVA -STHRLPQSIIDELTYRYRLSYSIQSKALSLMGRDRDLIDDNKEVDMFKTFFKSIPVEDLGGEFSLENYNE -LMQDLEPQAEEELVKKIIKTAKSQIHEKIQDYEANYQPNKGSDNPNFLDCRMAFMKYLDDYVEQNKQDGL -RSDMKSMVQFPFICLRRVSRYDFKSMIDNNAFPRYDEENHLLRFVRTVVMKIASGDIYVPETNEDDTDSL -KYLFSEAGVENHNLFETHIMSNPGKYSKKSQHQIENRSKYHRMIVEIEKEDEIEMAKYGIYGKKYSDNHE -VKRYRKDKKRFFCPKETDTSDLDKILDNDDLVTELFDKSSSLNETDLDVRGLIFESLSLHTTPEELKNAS -SEYYLDVMESTLGTYASMISDIGVELAISIKQNCKSHEFIVKKLKNFNLWLIIKPTKSTSHIFFCAFTYD -SELCNGLSSSCKPEAMRSVFKTLHRDGSFLYTEFISLNMSKLVNWVLCESRFYSACAFWQNSYGIPVSMG -QEISETHKQGLREAQKMTLITLMVNLIDKAEVEEHMSMLRYITLEGLVAAPNKPNPHKMFEKFSMSIKSR -FTLWVIRKLATFASHVADGNIKMIIERIEDVATNTLNRKLWTGFMNPYLNQPVSSPQLMINLFYLGYLKN -KDEYPENNTLSKLYEKILVIEDELTEEVESRIGKTDKPWGSNTKHEYNISLIKLATKKCVEKFQSSNPGF -LLDLENLVLSNLARTGLDPTFTTLKASSSFNSDLFALRSVTTGYHRMKVVEALTKKLNQEDYRLVDVLPE -VAEEVFERKYLLIDIFQKNQHGGLREIYVLGLFDRIIQYVIESISRTICTSFPGETMTHPNNKRIIPESH -HAKCKQRYSNSYITFGLSADASKWSQNHYAHKFSIMLFRFLPKYMHGFIWQALHLWKDKRIMLNPKLIHI -LDDSTIEGFYSEPIQKLYDCYHGRINLKWYTHGESFIKTRTGMMQGILHYTSSLLHAVVNEFSKMVMLNW -CSVLQDSRGPPEIDMLESSDDSAMLFSLPIDDNSKSLRKAHILAQFAQEIKEIIGREVSIINSIKTTSIS -LFFYEFNSEFKFGSNHYRPDIKFMISLPLISEQEALIARQEEWSTLLTQYIENGGSTWPVHCGQVSQALI -HYRLMGSSVCKEFEDFTFLALHLKDPSQGFFLMDPIISSGLLGFKYNLWNACQKTNLGLLYKEYLFRSTD -GSEEKLEVTQSGSLSRTVKLIYGDRRKWLRMLERIGASETWKEEINEDFKMLYIGVKSNADLRRMISLKM -HTSGVAESISKGNVISRTIASSVFILTFQCLTRMREWQSEDTGGKPKQSLFDLLTDSIKTISKADRRIDE -SELRVLFPFHDDFTRIREMIDGLGNISLKPVFKDYRRRITNIEVSTSVKISNLPLKEIVTQVWFPGEKHT -IISPRTAMVLFYEHQNTIHWLNKDPKKTIQDSPFDDAVSLYNWISSVSNKDRVVRLLGTPIISHHGKSSL -MSAMMLNFQTNFKLERSDDTSRYIETHSIKTVLYMLSRSPFSEQRAVSLMELALEHSEYQIEFQSKNRKS -RENTLAIIQKTLAGGTSQEISTMIEDNRMGIIGGFTTRGSPSKRTSLSGPRARYEGTAIWEGLIHGVKVK -LVTNSVGLSKDEDYTGVLRRIEISEITHFMYISNDIRTLCKEMKLKIDTYDNVKLGRSTTFGLISSGLSQ -RFGVPVSKLSKELQFNIEGVSNLRVDIRRNAIRLIADMRDWSQSSVTLLSYVIQESDYYDSDRAIPESFI -ERIPESIRKQVTLWIRDKPADVRDASCLIEAVSGIFGRPKEELTDEDRHKSKVLREVFVAAMIRLGINQS -KDNNQFAIAATRFKPEPEEFDLDELRVEYDPAWEDEFDLNISEEGSMDQEDQEVSTNWDIDMMLGDDAPD -LLGLVEFLEDNTRIQLSDASIFRRHKLFDNYAKNILTQFAIPNVSSCFNLARVRESTKSLRSAVAVYVGV -SPDSIHVEDSRDEPSSINFNFD - ->YP_009304989.1 RNA-dependent RNA polymerase [Wenzhou Shrimp Virus 1] -MENWLRELETSNDAVYVHNPSTIFEPTETPELLSYEIKNWDPVAMTQVEIEFDDRPDEGTGSTLATQVIS -VQRVRTFLHDFTYSHISHNTDMRLDSVFRRMGTRGDNLTPDVILQDGNKILVVEFATTRGGDAALERSFR -TKTAAYDFELRERAENRSMDHFDTQVFYGIIVTNELKVCSNLPLTEEQVNELVFRYRMAIDIQAELGVLG -VSFHEEDDEMSQTSAEVKTILRNIPLSFHFDDKYVTREVYENSFGAPDSEYLKTMISTLMSDGRKKDLAT -GAGMNFCDEDKRWEGPSITKNLNECLSSIKKYERDLMEGERQHSSTKAIVQLPAWVAKRESHPGSTSVNY -IDVGDHPSAAHAVWKNAMFHVLSRQGFKDDDEQEILMTEEENQDHHKPHRAEYHRVDLTLEDHVRSALAV -NGVYGKEFKRNERVLAQRSEKRKPLSYSVDCSCVDTFISDNSLHKTLDTPIHEDLVRIISTSTEMHSNDS -HQRECLKKFMSSILGAFSCIMTDVATELCISLKQHVKRNQMIIKKLRYYPIYMLIYPTDSSKHIFVSLLA -EKDTVSIWDSSDCFKKTMSNDKVIFTDFVSFNSSKLANLCKLEATMYNTSMFWAEEHGEASFLGGQPMPW -YSRDSGVRAEVWKMISLCLLISMSDKRHVEEQLSLYRYMNMEAMAIFPRVPQPYKMLKKMTLVPRSRLEL -WIIKRHLRYMKHVCSGYHAIKVKDDSGDSNWHNLINPYTLAPLQSISSNVNLNYIGYLCNKEETAEGNTA -ANIYEKILVLEDELPSSNEFLGLRDPVDPGYHEFSPSLLKAAVDNIKDRFRRVFGSSWEANIESKILRAL -GSITLEEMATLKASSDFSPKYYTLKPGDAYRRRKAIQGVRDLMDGESTQMYEVLSKCLTQVEQDGCMHID -IFKKPQHGGDREIYVMDINSRVVQLGLETIARTYCSFIDSEAMTHPKSKFKLPEEHERNAEKKLGTHVTF -CQSADARKWSQGHHVSKFMQMLCRLTPNYMHGFIVRACALWTKRRILISPALIKIFCETPSFTSENDIVQ -RMYNGYAGLGTEKWISQGQSFIQVGSGMMQGILHYTSSLLHSIFQEFLRSLLRSHYRSRELVIGEPMITV -GQSSDDSYIMVTFKTRDSETWAEAALEASMMHHLKSTLSKFLGIYDSEKTASMLMSVLEFLSEYMEGSSL -HRATVKSVFACLSISEHESLSSRQEEMSTLLTKVVEDGGSINLASHCQIGQALLHYRLIGSSVSPAFNLY -CSGIFTSKDPALGFFLMDHPFTAGLLGFKYNLWNACVNSSLGKKYKRMLIQPLAHRPLEATSSGTLLHSY -HITWGNRKKWERLVRSLELPEDWREMIEQDPVVLYRKARTEKDLELRLAEKVHSPGVCSSLSKGNAVTRI -ISGSVYILTRNVMSVVGDKSKISLIKAILDERSEIEPLSWAEESLLFPSALEYHSISVALRKVDSRAGVF -RKHKDRRKRSNIQITDSDGDYLFQPDVILAWRWFNIDRMHAAMRVKEKMFQKLQQALPWVKDTPEETVEQ -SPFENQIQLHTFLTKTTMKRREVHLLGTEVTQRGGVSSLLTAVYQNHFPGYHLQFLKDEVAASQSSEYSK -LAHFIHMTHLSPYPDDHKHQLIVSKLASSPQIEFKEKFGRSRRNCLAIIQKSLALGISDLLDQITFSYKM -GVVGGFVKRQKSQTIRGKVVYTGTGTWVGSMDGVNMRVTVEGTRTSNHVMSIVVSNPRAMYTQTFGKLLQ -TWMKEMGVTEGDPQVKPNVVAYYRNGHIYQGGRGGGGGVPIFHSLHGLEVFEPRLIQSVDIQVKNNTVRL -VAGYGTRHISNMTILSYTTRDKDSTLTATRADFPEIKPAMQYWYANSSWPSDMALGIINKALTGVLMPNA -DQPAVQQWLQTLFRNSAERKGLLEETLDLPIGSITSTVVPEVFDDFWDLVDFGEPENLEEFGEAVPSWED -FDFEMSFGGANISNLTPGVLRTHPLLDSLVGKLVRDLSMPAMSKLVRDKVGTPAMHPLVALFAYLMGVDQ -SEIAIPDWDLVPSEAEAWSLV - ->YP_009293590.1 RNA-dependent RNA polymerase [Huangpi Tick Virus 2] -MFHRVDDLSEICRRSEKVDSLTVPDFRTYWSTVTLPPPLHHVYKDGSDIIIDFDLSTLDTSSQTGSSIRT -TYKVKADDAGTLIHDFTFAHWSETTDEPLQNHFPSVRDDANRWTPDFISTRLDGIKDVVEFTTFRSVDER -AARQRFMDKITKYEYPLELRSRATPGTLLFAICVFRGGVVTNLDLTDAEVDEVCFRFSVVQAVFATLQNQ -MLVQEVQDPEETRLERQVQQTFLRIQPEWDTTEKNFYPFTRDLYHSFQNGVMDEDYLRGALKHCYAEAKK -DVEDRNFIHVTTDSSERIVLNGEEAAKSITEFVEAVDAKALRSDHDHKSTIPFPGIIPKVQGNTLSLSGL -KDVSFSGITADSTGKAWAEAISRIHSDDVERADEHEELEREIALNGMNPDETEDYKKSRQQYHRVDLSNL -DSSDRVELAKQGVEAKEFRDHPAIQQKRQESKRTFSLHADTSDIDCFLTEEGNLFDETLSQEAPPAVESC -VESSARFQSLHGIDHKTNPWSLNVLSFLRLPIGVWLLMATCIGVELSISLKQHCGRRKFILKKLRFFDVY -LLVKPTNSGSHVFYSVGFHKSAILGMLHSSNVFKAVKEDEGWCWTEFHSFKMSKLTNPVKALSSLCSGYW -YWREFFEIPFWTGSTDDYSNNIRQANEMFKLTIMLLLEDKARTEEIVTLSRYIMMEGFKSVPELPKPHKM -IEKLPTILRTKLQVWLTRKMLESISRVSQRPFQICNDQGTLYWRGMFNPFTGESIMSTQKLISLFYLGYL -KNKEESPEQNASIKMYSKILEYELKHPGRYDYLGMMDPPADDCRYHEYSPSLIRLLCSTSIQFLRHQLGE -GWRETLHKSIIHEIAHLDLEKLATLKASSKFDEKWYSYNPSETYHRSKVIERVADYVNDKTSHVFQILEG -CLLKVESRGCMHICLFKKPQHGGLREIYVLGFEERVVQLVLETIARCICKHFPSETLTNPKHKLTIPESH -GRFAMKICGNQHQTVGTSDDARTWNQGHHVSKFALLLMSFTKSELHPFVFRACSLFMKKRIMLDQNLLRI -LETNSNLLTDDETLRNLHSVYHGNEHVSWMTFKGGFIQTETGMMQGILHFTSSLFHTILQTWMKRVVAGS -LKAILGINSTLNPHVDVLQSSDDSGMLVSFPTDDPTLTMRCRQKTATLFEYKRKVGKLIGIYPSVKSTSN -TLFVLEFNSEFFFHTNHNRPVFRWIAAAGTISEQESLAARQEEMSNNLTSVLEGGGSFSLVSFCQYSQML -LHYVLLGMTVSPVFLEFMCSAREYMDPALGFFLMDPPFSPGLCGFKYNLWVACRKGRLGLKYRYFLNIMD -GLATPEEKKASWKCLDTTSSGTFVQAVLIRWGDRKKWERLVKKVVTEDDWVDRIDENPFLLYRRPMTGQE -VRLKLAVKMHSPGVASSLSKGNAVVRIIASSVYILTRAVLSDNLMMLEENRIAKKTSLLQRVLGFNGLLG -SSGPHLTEDQFLLLFPHHQDYLGISDRLGQLRSIAGRFSAKKTHITQTRIEIIEKERFMKVRPEDLVSDM -WFGTSRSRVNTKQFEKEWILLKTTVEWLRDTAEETLTLSPFSHHPALQNFFSRLETKGRSVRITGSPIKQ -RSGVSNMMTAVRDNFFPGFILSDVYDSAGLERSESAGLMRHCIFLILAGPYTEYRKTKMVEEVCCSLPTI -SFKLNQYKSRINSLALIQHFLKNPNDEKIFDHICNTNSGVIGGFTQPQKSRPLGQGRLYYGPGVWRGLVY -GMNIQIEVNSPPDSDYTYLQAVTIDHDSSKDFLPGFLKTWCEEMNVTNLYSPRYSRSKKLLFFIYNFSVK -PLRNPSGCPVYTESFKLFTNSSLRIDLLGFKVRSSVLNFRYYENERERGKSNGRGMNLVSFFSRDSDAGL -DEASSLSSLMDQKIFSFSNNEPSTSWMTMRSLSSVSLNILLSKSSESHMLRAGIDKEVLKRCIKEALISS -LKRMGVFLSDLKEAVDKMTDYAYTTAMEDCFNFAFEITEITSDDSDLFLNEPPQTAQWDPTDFELDMSDL -GPFGSMAIEEATNTRFYHHRIMEDVARKMVATLGHRGVRDLIINSTYPRVHKELVQEWCSYLEINFESLA -AKEEEAFGIALGPVIGLEQIG - ->YP_009272912.1 RNA-dependent RNA polymerase [Fusarium poae negative-stranded virus 2] -MDYKKRTAVSRQSILTEVTKALGFQNRIQPFLVDPNSDPWFPVDKSEYDSYRRYMHELAVHGILDSGLYE -SHSFKLELVGITSNDNMLNRQKPDLYTLFANEIQLGEVAVTNNLDFVTKKKERKYDDFIAELRGADYMVS -YNVHIVDMTDPEWPLKFPKISDLHRQMLEEMIENLRLIHADPHFAPFAKMESSTQYVPGLDFEYPEAVFK -EKIKEAIGVTEEPSETNRRLEMGGKDTLTDPEYVHFLAKAILRSKPLERPEPRNYPVDPKELIDDWEAYK -SIPPTKKKLPKILQLGAPSIMMEEPQSSLDQHLHIMRTTKFFGGYLDFIKSSLQISNPDENTNIIKLSLS -ADELEREQMQGPGRKSLLKRQGLIIEREEPVHIGISQDHTAKLYNLADKIKTIPEPELLETSSPEMETVG -MTMYVQMRKLIEHFSTDRTNGVLKFYQRLSTEITINSMRRRKGGEYVLGYSGFRGVYFLVAPGPQLRTES -NTEFVKVISFVPPICDELSAEWDPVGNHWESKWLSVDTNRLKHWQRASDRCQLSMLANVERLVEPGKSFN -TCFDEELTNDNLFLLAMTYLEDKQLTSVTNQTIRYLWVKSLGDKSLKSIMSKFPSRVNSVVQSTMLQRSV -EWAIKMCNTNLSEIIKMPNVRRNEESGNYDESTTGVVGKLPRIFTCGPDVPISYNLNEIYWCMAYNKDRQ -NATQDALGILGKIFKEEKKFCDEVDKRQEDEKIDYLFGTTTIEEDINHASSENPESHYFSMRAVQTGLRL -QDKHEHNFGSGGTWKTTEKLTSIFNLNLSEFATFKASVKEISRHINPCDLKEVEKLGCRTKAIELVAELV -KSERLLNSVDVLMQFSGEASERFEVFIQIFKKGQIGGIREIIILYIKARIIFRLTEDIAKLLSKSDKREI -LTKGRDKRHMMRGDFEELMASFPEGTNVKMVKNSYDMTTWAQKFIPTIFMAIGQVQFSDFKPIRDLYRML -FMAHVNKKIEYPVKLVEQWMKHPQMKHKDNAYMQSMKEKFLNDGVPYFLNKSNMCQGIPHYSSSVLALSC -QSLRDALFEECLVILGQKKHISWRTRVGSDDKGDLIALDMSTPLSYLQYKTFEQCAAWSERLHSMELSVK -SASGHVIYELNSAFMSNLEILSPTIKFTMAAADCIGTTSCTSFVNESYTRIRQMRENGCSSLLTGMAHLI -NQDHFYWIFDTKLQGGNSPERAFGQPKKEIPYDFGIYPFYDVDLQDIIGPEFHNYMACVVNGPNKPACKL -LFTEVKDSDTTEFLDEDGVMGLFKKDHFGIKQGLVKQLAGMRRRVGSDPDSIKEFFEKNPFLLVRGPETV -EETENVICSKLHTKGAAESLRRTNPAIYIGRLAAFRSAKAWSVRSKVPNFVVDLVEGTRDAVYNEELVTY -NEFLEQSKELSEDWQFDIHDAKKLVFPQAESFEVVRSFVGNFGPMRTTDRKYSQAVRTWVTNTYNYEFSS -SIKSILETSIGVSQSSPREEVEEMKKLLGFGLKSLDQISEECREKGIKPLDLYFYISRILKVSSMKKAQV -FASGPSTSSAHMTAINVKKFNHIPGMVMALEAGITEDALAEENRMDNKVDVLKLYTNLKLMSYYNSTVVG -KFNDYTVDCRVNGSSLSEWCETIVRSVKSIRSFDRTTQKVFRYAASQLLEPSEFKEKLTAWRVLNYSYVK -RQKKTVTARGQVNWTGPLRVLVNSGNECYEIHDDGSGEPMILAKKITELDTFFLSLKDMSRILDIPVSKF -FRVRNIQVGDIYQSDNKKMLVRAVNRPISSKQKLRVKFFQDFRFKALTDFNSFSVSTNYDEKVGSLEVFL -NDGRNRSAAICHFTGSLFHMSVPHNVSISGQQFLGVDLGYVLSNRDFFSNGRMPMTESSAAVNELKTKID -WTIFGQQKELTANRIQSYLEVREEVNEEAFNLGELQEPGRFQEDTSITEFGGETLNQMFQDAMDQIDKKL -FQGLGESDWADYEEVDDTRANEDSFEELLNREDEKVIGVMRAIGYKRPARRMNFGQITLLQLGAVMLSSA -MDRFFLSGSILRESKRDLPGYYVWLYNYKPKTGTSGFNFEIVRKLLLKYILMTLDKTYGFSASSTGNLLK -ARHPSLGKPMTVLVSIAEGLSETSLDLLDSLFQAQAEGCMDSDDESIESDNVSES - ->YP_009246447.1 polymerase [Toros virus] -MNSIIERQEPLHDGFTKRQLVHYNSTLMNAYLPVYIVVSDKDGVSIEVDRDNFDIGSGTGKSIKDERYGF -QNLPNFVHDFTFGQLSALTDVPFHSVFGKRRDGFDHLSPHAIFRTAAGSHFIVEFTTFRGGEGGCRKAAQ -NKLTKYEVACESRSSNNRIGLFVIAVHRDGVWSNLVLEEEEVNELVYRFRTAVDVFEELKRRYPELSGDN -DELTRAESELRGIVSSIKIDWERTQESFPSFKRDVIESFKTFVPNEEYLSRIVTRTIEKAQTDLVRDSFA -GMMENPLERMEKNLQECEDALEKSLAPYYTGREFRPLNKCKSTVQVPAWVTVPGEPGKSLDTLVDLNVDG -DSIMASIWSKIVYEAQLGSIERMIDDPEAELEMALEGKLDRPDLRNKYHRVKIELSASESVYIASLGVGG -KKLRDNPIVKEAREQSKLPFSLYHNTRDLESFLRDDKPDVFEHEVGLYSPLTDDMNLRNLAAEIHQPTLI -RREGSNEIINAHRCFMESQIGSWTQMVSLIGAELSASVKQHVKPGHFVIKRLLGSAVYLLIKPTCSRSHI -FVSFAVEKSKVIYMLSDSHVFRQHEDAGDLLVTDFVSYKLSKLTNLCKTNSLVEASFFFWSECYGLNVWE -AQEALMNGNPSSKEVTFMTKLSMLTLLEDKATTEEMQTMLRYVMMEGFVSQPEIPKPHKMCKKFPKVLRS -ELQVLIMNKVVDSIVRISKGAFTLQKREGRISWMGMFNPFTGRMTKELQVVISCCYNGYFKNKEEETEPS -SLSALYKKIIELEDQRPATDEFLGEGDPEDPKMHEFSRSYLKKVCEHGKLLLSRVYGQSYRDQIESSIMR -EINSITLERLATLKATSNFGESWYTYKDVKDKSYSRDRLLVKMANFAREGKTLAIEKFEDCMTEIEMRGA -MHICLFKKQQHGGLREIYVLGAEERIVQAIVEAIARSIGRFFHSDTLCNPANKMRIPESHGRRARTHCKG -PVWTTATSDDARKWNQGHFVTKFAMMLCEFTHPRWHPIIIRGCSMFTNKYMMMNLQYLSILDGRRELEIE -DEFVRDLFEGYHGNKVLPWINPGCTYLKTTTGMMQGILHYTSSLLHTLHQEFIRTLSFRIFDMKVKGDMS -SKIVVDMMQGSDDSAMLCSFPSSDDVLLSRCKVAATMCFRVKKLLGLFLAIYPSEKSTQNTDFVLEYNSE -FFFHSQHIRPTIRWVAASCSLPEVETLVARQEEASNLMTSISEGGGTFSLSAMIQQCQCTLHYLLMGMGV -SELFGEYKKAIQKWRDPGLGFFLLDNPFCAGLGGFRHNLYNAVTRTNLGKVYAFYLRKVVQRNDDEEFND -EERCSVSAGGAIVLSSSLKWGSRKKFLQLRDRLEIPADWIDQINENPEVLYRAPKTGNEIMLRISEKLHS -PGVVSSLSTGNAVAKVVASSVYFLSAAIFEDTGKPEYRPFNESRYSLLQRMMKYEVYDEGDTVTNEDLIF -LFPNIEDLAQLNMIVHDKRRIEITRRQGGREATRTRIVVFDTQQTRASPEKLVSDRWFATQKSKIGRTGF -ELEWAKLKQVVRWLEDCPADTLAKSPLLNHIQIKNFFARMEIRPRTVRVTGAPVKKRSGLSKLSVVIRDN -FCRNGYVKGIEDVSGAHRSMWAELIKHLLFCVLQGPFSNDMKLQLIQKTLYDTPSIEIKESDGKTKTNMI -AIMQRYMQGDKSTLDIIEQVGAGVVGGFVVRQRTKLVQGKVSYFGFGVWRGVMDGVQIQVDVDNDLGQPT -NIVAVHIVRSNVGPWELMRTLKAWADDMGIRNYDDVSRGSRKGASYWMHQFKLTASNLTFGAPVYIVESK -MEALWEKEEEILSFNLNRSTINISIASASGGRKMNILSYTAGDGDLSPEVLNILPSTETCNVMRHYTGRL -INSWVYCKELELRDIRRLAKLYEDQSSQSLLDMDKMREIVKICTETSLKAKVGATFSNAVQQDDLDPNFD -MSAIVEMMIEDTAKDTFSSIVRELEDDLKINYDDAEFDKEDIELFGPSHYREVTNLAMVSHPLMDGFVDH -LVEWIGRRDLRKVVSTGVVSEKNLEKAKLLYLFLGRDTNTLEVETSEDELQSDSDSDGFI - ->YP_009246413.1 polymerase [Zerdali virus] -MERILRKQPNPEKTLTIHPLRRFESSIYDTPIPAYLIKHSSEGVTIDIATSELADAQSGSTIQPFESVPA -QNLTLFKHDFTFGHLADTTDRKFVEVFGVLENRADDSDYQSPDMIIETESGHVYVVEFTTTLGDVNSAEL -AARNKIAKYEIACLNRSAIKPISLYIIAAHFNGVVSNLELSDEEVNEIVFRFRLARDIFEELREINPSLF -DSDETISRPEREVNSVMSSIIIDWDKTQSKFPSFRKELFDQFKDKDVDEDYISRIIKRCSDNALKGIEID -SMFIEDISNRKRFELNSQKSNKEVLDKIAEMMSYDFLRDPEDHKSTVQFPPWITSEAMPGKDLEPLKSIN -VEGSHPMCKIWNKVCNNASIEKIERMHDDPVLELEYAMSGATERSVERNKYHRTVLTLSPDEKEYAAILG -VCGKKNSNLGAVKEARIRSKQGFSVDHNVSRVEEFLSDHPLTDLTPVGGLYNPLSEDKKLRLLAMGLHQP -TMVHADEEMPETLDCHLKFLETPIGSWLQMVAITGAELSASVKQHVKPNQFIVKRLKDSAIYLLIKPTTS -KGHIFVSMAVDKKYLHGELEKSGVFKPSIDAGDLLVTDFVSFKLSKITNLCKALCVTEAAACFWAETYGF -EPWRFIDQARTGKFSDAWFMIKLSLLTLMEDKATTEELQTMQRYIVMEGFVSLPEIPRPTKMLSKIPKVL -RSELQVFLVHRVFETMQRIASSPFQLHRVGGNIRWKGLFNPYSGSNIDEMQTLISCCYNGYFKNKEEESE -PSALSAMYKKIIELEHLRPKTDEFLGYGDPEEPKMHEFSRSYLKLLCNHAKSKLKKQYGRGVMTQIENSI -IREISSITLERLATLKATSNFNSSWYVYKDVKDKNYTRDKLLVKMTQFAQRGKTLAIEMFDECMNRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSIIEAIARAIGRFFDSDTLCNPSNKTRIPETHGQRAKKRC -GKSVWTCATSDDARKWNQGHFVTKFALMLCEFTPQEWWPLIIRGCSMFTNKFMMMNLDFLRIMDGHKELK -IEDEFVSKLFKAYHGESEEPWISQGQTYLKTSTGMMQGILHFTSSLLHSLHQEFIRTTSMQLFTLKMGSD -ASSKVVCDMMQGSDDSSMIISFPADSEKIKMRYKLVAAMCFRIKKSLGIYAGIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLLTAITEGGGSFSLAAMVQQCQCSIHYMLMGL -GVSSLFSEFAKAISKWLDPGLGFFLFDNPYSAGLSGFKYNLYRAIMNSSLKSVYSFFMKRVKGSSENSDS -IISESCSVSPGGAIVMSSTLRWRSVEKFKRLRNRSSIPEDWKELINQIPEVLYRAPQTGHEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGNQEYKIINSDKYSLMQKIIAFEQFSDKDDISQEDL -LFLFPNLAEFEAFDSIIYDKGHFNVIPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -PGFKQEWDRLKAIVRWLRDTPEDTLEASPFSNHVQIRNFFARMEGRPRVIKVTGAPVKKRSGMSKIAMAI -RDNFCKTGFLQGLEDEVGHSRAMQVEKLKHYLFSVLMGPYTEESKLEYVVRILREEPQVILNYNDKRSRA -NTISLLQRFIKSDAGIAYLIEDMKAGIFGAFTKVQQFSQSSVSNKYYGRGVWKGVIDGYQIQIDIDGKEG -MPSHICSITVSNCSKPWVLTQSLKAWCEDMQVHNNMDVSKGNSRANYWMYGFKMYGSSYPYGCPIYIVRN -DITNLGLLHDDDIDIKVRRNTINLFVRSKDKRPRDLHILSYTPSDSDISSVSSKHIMEDEYFVYKGLFSV -EPTRSWMLCQSIPWNFIRPVLQVASGKRKSPRQLDLERLREIIRLCTESSIRNKVGTVYGQNRPEKFIES -EPIDMSEMFDMMLEEGMDDAFENLADYLTVEEDPDYMDEIDFDEESLNLFGPAHYKEMQSLTVLAHPLMD -DFIVRLVGKMGRVKIRRLLEKSIITTDLKELAELLFIALDRDPSQIKEELMQGDSPVEVPDDLLG - ->YP_009227127.1 RNA-dependent RNA polymerase [Adana virus] -MNELLAKQANLRPGFTSGALIHYDDETLHLPIPEFFIKKTVEGILIDLSLDQLDSGSTVGSSLMPNVDIK -AAFIPNFIHNFTFGHLSSTTDQPFSSKFPVINDGFDDLTPDVIVQSTAGSYHVVEFTTFRGRDEPARGAA -ALKIAKYEQACRNRSVDRSVGLYAIAVHRGGIWTNMIMGKEDVDELCYRMRLAEAIEAEIQILCPESRMC -DEEASKLEREMLGIVAAIGMDWERTERTFPSFKKAMFDKFKSAPADQEYVKDILEKVISKAQSDLISSSF -IKEGKPLAERLEMNGRECDYAISALITELRSRHNLRHTNDNKSTVQLPPWLFSRGPPGKDLQPLKGLMPE -GDHPMCSIWAKVCVSAIEEKIDRMDDDPASEFEYAMSGTRTRDDQRSRYHRVRVDLTQDEAEYAATLGVN -GKKYKGHQSVVDARERSKRIFSPDHDTSDLEQFLLNQDYTDFEPDVGLYDPLLEDMELRLDAQAIHQPTY -SHHEGENEFLSNHRKIMESPLGSWTQMVSLIGAELSASVKQHVKPNSFVVKRLVNSPLYMLVKPTSSKSH -IFVSFALMKEHWRGDLKCSTIFKSYIDAGPMFITEFVSYKLSKLTNLCKTNSLYEASLVFWMESFGQCSW -EAGDLCEKPHSPALREVVYMTRLSLLTLLEDKATTEELQTLLRYIVMEGFVSQPELPKPHKMISKLPTKL -RSELQVYLLHRCLLSMSRISRQPFRLARYDAQINWSGLFNPLSGSEIRDIHPLISACYNGYFKNKEEETE -PSALSAMYKKIIELEHLRPETDEFLGWGDPKEPAMHEFSRSYLREAIDHAKSFLRKIYGQNVMDQIEQDI -IRELGSLTLERLATLKATSNFNEDWYVYKDVRDKNYTRDKLIVKMSEFAAEGKSLAIEKFEACMSKIEER -GSMHICLFKKQQHGGLREIYVMGAEERIVQSVVEAIARSIGRFFSSDTLCNPANKMKIPESHGLRARRHC -RGPVWTTATSDDARKWNQGHFVTKFALMLCEFTSPKWWPIIVRGCSMFTNKHMMMNLDFLRILDSHRELN -VEDDFVQTLFEAYHGNVQVPWLIAGRTYLKTSTGMMQGILHYTSSLLHTIHQEFIRSLTFKVFNMKVNSD -MSKQIVCDMMQGSDDSSMLISFPCDSEEILTKCKVTAAICFRIKKLLGVYLAIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLMTAVSEGGGSFSLAACVQHSQCTIHYMLMGM -GTSMLFDHYKKAILKWKDPGLGYFLLDNPIAAGLSGFRYNLFKAITTTNLQKIYAFFMKKVKGTTGYQEE -AGVIPETCSVSPGGALILSSSLKWGSRKKFQKLRARLNIPDDWVEQINTIPQILYRAPRSGLEITLRIAE -KVHSPGVVSSLSTGNAVAKVMASAVYFLSATIFEDSGRPEFNFLEDSKYSLLCKLAAYDGFNGVDDIEPE -DILFLFPNVEEFHQLDTLIYNRGGLNITHRSSSREATQTRVVVFDHLQTSRCSPEKLVSDKWFGTQKCKI -GRTAMEQEWTKLKATVKWLRDTPAETLEATPLHSHIQIRNFFARMEGRARTVRVTGAPVKKRSGISKLAM -VIRDNFCKTAILNGIEDEVGLTRSVAAELSKHCLFSVLNGPYTEETKLSMVMNMLVELPDVLVNPSDRRS -RTNMIGVMQHFARYGKGTVKMLEEIGAGTIGAYVRPQKSQKVNGKVTYYGPGIWRGTMDGIPVQIEVDGA -PGMPPQMTKILVSRTREPWVLGPSIRAWADDMGVLNTRDMKDKVTEVVKHWMFNFKLFGSSHAYGCPLIV -AKGDLIDFREIDDRDVFLKVRGSVINLFTRTKGVNRDLHILSYSTSENDISSSSILSIVNAQLEKGVPEI -CMQPSSSWIKCEPLPLEMIRPVLEVAEGSRSILKIDSERLKEIIRICTEAAIRSKVGTIFTFVPVSSELA -APVDMSAMIDLMLEDDDFNDFQEVVDKVMEDVDIMTTYETDDFSSVNVHLFGPAHFREISNLAAISHPLM -DRLVDAAISQMGKAGVRKLVESGRTGHKDLDISRLVYRALGRDPTSIKTEDFELELSYEVTDDMLG - ->YP_009141013.1 RNA-dependent RNA polymerase [Bhanja virus] -METRIQDTRINFPRREELTAEGILYHAQLDDTTLPAFTILETESSLSLEIDEVPDSISQVGSSIALGASR -IEVGQISTLVHDFTFAVVSEETDEPLSRHFPVMNDGEDHLTPDMIIDVTQTERRVVEFATHRGAGHRGVL -NYFNQKMEKYKRPLALRKDRTGWHITLSCISVGTDHVVSDLRLPQNLVDELVYRFRFACAVMAQILVAFP -GLAPQLSEEVTQNERRGIECVELLTQNLVGDGPGPKTGVFPLGEREILDNFLMKSEDGDYLKTIFAKSFN -NSLREIRQEHVPEGMHRNGALRKNQGEALSQMTALQEEMLNDYSRQARPPPENKYKSTIKVPPWLIYATK -RDINVCPDPDDLDGLSDETVTSRVWKSALTDTFFSGNPGWQENIDREVSLASGLLITEDSDKLKSDFHRT -KLNLTSQDWVALAARGIEGKALRHEEVVRAADEESHQTLSPLSDTTGIESCLEGNNWFHQTRGQKEHLFQ -DLDQLIESSLEVSCDSQGLRDSILTTFRNYRSTNAVHWACMVTQLATELSISAKQSCKKHQFILKKLPNF -PVLVLIKTSRSGSHVFYSLAARACDITGELDGQVFKNYERSGVWFITEFNSVKLCKLENMIKLPMLIISM -LAYFQETEEKLVTRYSRPTLFSRKMTTLAILTCMEDKSLTEELITIQRYIQMEGFVSEPLLPKPQKMLEK -LSVPLRTPLQVFLFKQCMNSIRIISGSPFKRLSKGGEIVWRGCFAGCLGISCSPEQMVNSWYLGYLKNKD -EDTEVNMLSAMYEKIVKVEEKRPESDENLIGGDPVDPKMHEFSGSFIKFMARALDEEVSQRRGRNWKQDL -TVQFYRSLGSITLDQMATLKASSNFSEEWEEFEESRMREYHRVKVIERIADLVNQGHTYYTDCLGRCFKV -VLEDACMRICLFKKAQHGGLREIYVLRLEERMIQFGIELLARKINEAVGHETISVPSRKEEILDTHQVRA -AKACGEGTMVTLCSSNDARTWNQGHYTTKFAFLMCELMPKELHGLIWASCAIFRRKKMMLNLDYLNSIAK -HKEGLRGFKKRLHDGFSGNDDVPWIKKGKTYIVTETDMMQGILHLTSSLYHAAYQAAVRKLIRTKLKTLV -GCRILIDVIEGSDDSAIIISGAVKSSEEEIRFRLAATACLLWVKHLGVFAGIYMSPKSTIGCLDVCEYNS -EFRFARLMCRPTFKWVCASLNIPEVEKISDRQEAFANLLTSVLEGGGTSSLCSILQICQAWCHYMLLGLW -SSCVFKSIIPHLISGKNPDVGFFLLDNPVCSGILGFRHNLWRFVRKTELSNIYARTLDNRALDGFMLTSG -GSLSKSHLIRWGDRKKLEQMKERSNLVENWQEQTDDDPSVLYKPPMTNKEVKLLLCIKIDSPGVAESLSK -GNVLGRVLASSVYILQRRCITAKKSKRKYTLMELAMEQDPNYRALTLAEESAIFGDIVSLERNETMSQVY -SHANGVLIAKSREMRRAKVEVLSADESFRAPPVKIMGDVFFGTTRSHMGANMLSRELHYLKESFPWISND -PYECLNQSPFSSQAEMKTFFEKLEQKTRKVRMIGAAVFTRMGQTTLENLIRFNFQKNFELTKSDVSDSDY -SDEDEKFCRHVVTMILSGPFTNERKECMIIDFLRNSSLGPPKQLLRRSRTNVLRVVRSWLDGHARIEELV -EKSMDGICGAFTIRQKVSKDKKGNVSYKGLGVWTGRIEGTDCKLHIENGFSSDQILKQVVIASDKSLSDF -LPGLERLCKELKVINPRKPFPIREAERQNFIGALVDFRLESRFSRAGAPVLLVPQHEGFLKEMMDRSDIS -LIVRSDVLNIRADLGDGRLITMLSYKSSGQDADSEQGAQFFCRREMLSRKFGWAIREPYLSWISLGPIPD -TLVPRLKEEMTGRRKTEGIDGEQLREIFKRCCKGSLRRKGLTVGQYSSVRLRIEDTSEEVDIDLLELLDE -DVDFGDTLQSQNLQDLEASIEEMEVLFGEDDLEVFDFTEVISRSDPLAYHGFCDRIIEDYIKRLGHEAIR -KAIQKRECTRSDYPLVKSLFESIGENPDELSVLPDKDDVYASSSLVTEDLWG - ->YP_009047242.1 polymerase [Heartland virus] -MNLEALCSRVLSERGLSTGEPGVYDQIFERPGLPNLEVTVDSTGVVVDVGAIPDSASQLGSSINAGVLTI -PLSEAYKINHDFTFSGLTKTTDRKLSEVFPLVHDGSDSMTPDVIHTRLDGTVVVIEFTTTRSTNMGGLEA -AYRSKLEKYRDPLNRRTDIMPDASIYFGIIVVSASGVLTNMPLTQDEAEELMFRFCVANEIYSQARAMDA -EVELQKSEEEYEAISRARAFFTLFDYDDGKLSEAFPNSDIEMLRRFLSQPVDTSFVTTTLKEKEQEAYKR -MCEEHYLKSGMSTKERLEANRSDAIDKTRALMERLHNMSSKELHSNKSTVKLPPWVVKPSDRTLDVKTDT -GSGELLNHGPYGELWSRCFLEIVLGNVEGVISSPEKELEIAISDDPEADTPKAAKIKYHRFRPELSLESK -HEFSLQGIEGKRWKHSARNVLKDEMSHKTMSPFVDVSNIEEFLIMNNLLNDTSFNREGLQETINLLLEKA -TEMHQNGLSTALNDSFKRNFNTNVVQWSMWVSCLAQELASALKQHCKPGEFIIKKLMHWPIFVIIKPTKS -SSHIFYSLAIKKANIKRRLIGDVFTDTIDAGEWEFSEFKSLKTCKLTNLINLPCTMLNSIAFWREKMGVA -PWISRKACSELREQVAITFLMSLEDKSTTEELVTLTRYSQMEGFVSPPLLPKPQKMVEKLEVPLRTKLQV -FLFRRHLDAIVRVAASPFPIVARDGRVEWTGTFNAITGRSTGLENMVNNWYIGYYKNKEESTELNALGEM -YKKIVEIEAEKPTSSEYLGWGDTSSPKRHEFSRSFLKSACISLEKEIEMRHGKSWKQSLEERVLKELGSK -NLLDLATMKATSNFSKEWEAFSEVRTKEYHRSKLLEKMAELIEHGLMWYVDAAGHAWKAVLDDKCMRICL -FKKNQHGGLREIYVTNANARLVQFGVETMARCVCELSPHETIANPRLKSSIIENHGLKSARQLGQGTINV -NSSNDAKKWSQGHYTTKLAMVLCWFMPAKFHRFIWAGISMFRCKKMMMDLRFLEKLSTKANQKTDDDFRK -DLAGAFHGNVEVPWMTQGATYLQTETGMMQGILHFTSSLLHSCVQSFYKAYFLSRLKEGIAGRTIKAAID -VLEGSDDSAIMISLKPASDNEEAMARFLTANLLYSVRVINPLFGIYSSEKSTVNTLFCVEYNSEFHFHKH -LVRPTIRWVAASHQISESEALASRQEDYANLLTQCLEGGSSFSLTYLIQCAQLVHHYMLLGLCLHPLFGT -FVGMLIEDPDPALGFFIMDNPAFAGGAGFRFNLWRSCKFTNLGKKYAFFFNEIQGKTKGDADYRALDATT -GGTLSHSVMTYWGDRRKYQHLLDRMGLPKDWVERIDENPSILYRRPENKQELILRLAEKVHSPGVTSSFS -KGHVVPRVVAAGVYLLSRHCFRYTASIHGRGASQKASLIKLLVMSSTSAERNQGRLNPNQERMLFPQVQE -YERVLTLLDEVTALTGKFVVRERNIVKSRVELFQEPVDLRCKAENLIAEMWFGLKRTKLGPRLLKEEWDK -LRASFSWLSTDHKETLDVGPFLSHVQFRNFIAHVDAKSRSVRLLGAPVKKSGGVTTVSQVVKSNFFPGFI -LDSSESLDDQERVEGVSILKHILFMTLNGPYTDEQKKAMVLETFQYFALPHAAEVVKRSRSLTLCLMKNF -IEQRGGSILDQIEKAQSGTVGGFSKPQKPYRKQSGGIGYKGKGVWSGIMENTNVQILIDGDGSSNWIEEI -RLSSESRLFDVIESVRRLCDDINVNNRVTSSFRGHCMVRLSNFKVKPASRVEGCPVRLMPSSFRIKELQN -PDEVFLRVRGDILNLSILLQEDRVMNLLSYRARDTDISESAASYLWMNRTDFSFGKKEPSCSWMCLKTLD -SWAWNQAARVLERNIKTPGIDNTAMGNIFKDCLESSLRKQGLLRSRIAEMVERHVIPLTSQELVDILEED -VDFSEMMQSDIMEGDLDIDILMEGSPMLWAAEVEEMGEAMVILSQSGKYYHLKLMDQAATTLSTILGKDG -CRLLLGRPTGRSNLREQVKPYLTLLQIREGDVNWVSEYKDDTRGLDEDSAEMWG - ->YP_009010958.1 polymerase [Arumowot virus] -MNQLLSKQTSPRVGFFCKAIGHHDYFVGNVVLPNFQVRRVMDGIEIDLNLDDLDTASDLGSSLRPSLTVR -SNNVPNFIHDFTFGHLADTTDRAFSSVFQVVNDGFDDLTPDVIVKTPSGSFHVVEFTTFRGRQEEARFAT -QNKITKYELACRNRSVQGPVSLHTIGVHRGGLWTNMKIDQKEVEELCFRFRLSLAIEAEIKLICPDLREV -DEEYSKLEREILGIVSGIKIDWDVTQSVFPSFKRELFRRFYQSEPDQEYLQSIIDKVTRKSQDDLLKSSF -INLTNTLPERLMSNLQECENAITDFIDNHESRDNLRPANWRKGTVLFPPWVFRESDEGKSLTPLKDLNVE -GDHPMCRIWERVRLSAQLEEIERMDDDPESELEFALSGERTRDDERSKYHRVRVDLDLEEKEFVAMLGIN -GKAYKDWSSIKDNREKSKLIFSIYHDTTPVEEFLYTDSSLDMTPEDDLYGPYLEDIELRLQAQKIHQPTL -SRKGLNEFVECHQGILKTPLGSWCQFVNVVGSELSASVKQHVKPSSFVVKRLLNSPVYLLIKPTSSKGHI -FVSFAIDRSYYIRDLLGSSCFRTYYDAGDLLVTDFVSFKLSKLTNLCKTSALYESALCFWNECYGKKVWL -SHDPSHITTNTNKDIQYMVKLSLLTLMEDKATTEELQTLSRYIVMEGFVSQPELPKPQKMLSKLPTKLRS -ELQVFLINRLFSAMKRISQSPFNLKRGENSLSWSGLFNPLSGRDTTEIQPIINACYNGYFKNKEEETEPS -ALSGMYKKIIELEHLRPETDRYLGWDDPQDPKMHEFSRSYLKEVCNHGKNLLQKLYGKNVLEQIDQDIRR -EVGSLTLERLATLKATSNFSEDWYIYKDVKDKNYTRDKVLVKMTEYAKRGKTLAIEMFDECMSSIEEKGC -MHICLFKKQQHGGLREIYVMAAEERIVQSIVESISKCIGRFFPSDTLCNPSNKTKIPESHGIRARKHCKG -SVWTTATSDDARKWNQGHFVTKFALMLCEFTNSMWWPIIIRGCSMFTKKRMMMNLDFMNIIDSKRELELE -DDFVMTLYNAYHGNLTVPWLEAGKTYLETSTGMMQGILHFTSSLLHSLHQEYIRSLSFKVFNSKVNPEMS -LSVVCDMMQGSDDSSMLISFPSSSEEIVVKCKVASAICFRIKKNLGYYLGIYPSEKSTANTDFVMEYNSE -FYFHNQHIRPTIRWIAASNNLSEAETLVARQEEASNLVTPVCEGGGSFSLTAMIQQSQCTTHYALMGMGV -SSLFREYKKAILKWCDPGLGFFLLDNPRAAGLGGFRYNLYKAITRTQLSKIYSFFMKKVRIGASPDDPNE -IPETCSVSPGGALILSSSLKWGSRKKFQKLRARLGIADDWVDQINRNPHILYRAPRTGEEILLRIAEKVH -SPGVVSSLSTGNAVAKVMASSVYFLSATIFEDAGRPEFSFIEDSKYSLLYKLASYEGYSDFDEIDPEDVL -FLFPNLEEFYSLDQLIFDRGEVEIILRSNMREATQTRVTVFDHSANDKCSPEKLVSDKWFGTQKCRIGRT -GMNREWERLKLTIRWLKDSPEETLESSPLHNHIQIRNFFARMEGKARTIRITGAPVKKRSGLSKLSMVVR -DNFTKVGILGGIEDDLAITRSVSVDIAKHTLFSILNGPYSDETKARFCQKSLEVLPQIALNMSDRKTRSN -VVALFQEYCKDYTGIIKKLETLGAGTIGAYIKPQKSKKVDNRVQYFGEGIWRGVMDGYSVQISVDCKEGQ -MPQITSVWVSKCKEIWVLSKSIRAWCDDMGVRNDQNFKPTYGTSPNGWIFNFQIYGSGHRYGAPIYSLRE -EMDNIHDIQDDQIGLKVTNNVLRLYVNLPKIKRDLHILTYTATDNDISSTSIMELSAYNIMEMIPEFSKQ -PSKSWLLCDSLPIKFLKPVLDITEGVRVVEGIRPDLLKELIKNCTESSIRSKVGTVFSMVQTSQTSGPEI -DTSEMIDLILSEMDDISFDAAVTSVNECLDLSNVLYDEEFGSVDVGLFGPAYHREIRNLMTISHPLMDTL -IDTSLSQMGRNGIRRLVETGKCCQRDLGLSELIYRALGRDPSNIRVDMVLADVMDTVDDDELG - ->YP_008719916.1 RNA-dependent RNA polymerase [Razdan virus] -METRIQDTRINFPRRDELTAEGILYHAQLDDTTLPAFNIQETESSLSLEIDEVPDSVSQIGSSIALGATR -IEVGQISTLVHDFTFAIVSEDTDEPLSRHFPVMNDGEDHLTPDMIIDVTQTERRVVEFATHRGAGHRGVL -NYFNQKMEKYKRPLALRRERTGWHITLSCISVGTDHVVSDLRLPQNLVDELVYRFRFACAVMAQILVAFP -GLAPQLSEEVTQNERRGIECVELLTQNLVGDGPGPKTGVFPLGEKEILDNFLMGSEDGEYLKTIFTKTFN -DSLREIRQEHVPEGMHRNGALRKNQGEALTQMTNLQEEMLADYSKQSRAPPENKYKSTIKIPPWLIPATK -RDINVCPDPDDLDGLSDETVTSRVWKSALTDTFFSGNPGWQENIDREVSLASGILITEDAENLKTEYHRT -KLNLTSQDWVALATRGIEGKALRHEDAVKTADEESHQTLSPLSDTSGIESCLESNNWFHQARSQKELLFQ -DLDQLIESSLEVSCESQGLRDSVLTTFKNYRNTNVVHWACMVTQLATELSISAKQSCRKHQFILKKLPNF -PVLVLIKTSRSGSHVFYSLAAKASDITGELDGQVFKNYERSGAWFITEFNSVKLCKLENMIKLPMLIISM -LAYFQETEEKLVTKYSRPTLFTRKMTTLAILTCLEDKSLTEELITIQRYIQMEGFVSEPLLPKPHKMLEK -LSVPLRTTLQVYLFKQCMSSIRIISGSPFKRLSRGGEVVWRGCFAGCLGISCSPEQMVNSWYLGYLKNKD -EDTEVNMLSAMYEKIVKVEEKRPENDDNLVGGDPINPKMHEFSGSFIKFMARALDEEISQRRGRSWRQDL -TAQFYRSLGSITLDQMATLKASSNFSEEWEEFEESRMKEYHRVKVIERIADLVNQGHTYYTDCLGRCFKV -VLEDGCMRICLFKKAQHGGLREIYVLRLEERMIQFSIELLARKINEAVGHETISVPSRKEEILDTHQVRA -AKACGEGTMITLCSSNDARTWNQGHYTTKFAFLMCELLPKELHGLIWASCAIFRRKKMMLNLDYLNSIAK -HKEGLQGFKKRLHDGFSGNDDVPWIKKGKTYILTETGMMQGILHLTSSLYHAAYQAAIRKLIRTKLKTLV -GCRILIDVIEGSDDSAIIISGAVKSGEEEIRFRLAASACLLWVKHLGVYAGIYMSPKSTIGCLDVCEYNS -EFRFARLMCRPTFKWVCASLNIPEVEKISDRQEAFANLLTSVLEGGGTSSLCSILQMCQAWCHYILLGLW -SSCVFKSIIPHLISGKNPDVGFFLLDNPVCSGILGFRHNLWRFVRKTELSNIYARTLDNRALDGFVLTSG -GSLSKSHLIRWGDRKKLEQMKERSGLVENWQEQTDDDPSVLYKNPSTNKEVKLLLCIKIDSPGVAESLSK -GNVLGRVLASSVYVLQRRCITAKKSKRKYTLMELALEQDPNYRALTLAEESAIFGDIVSLERNETMSQIY -SHANGVLIAKSREMRRAKVEVLSADESFRAPPVKIMGDVFFGTTRSHMGANMLSRELHYLKESFPWISND -PHDCLNQSPFSSQAEMKTFFEKLEQKTRKVRMIGAAVFTRMGQTSLENLIRFNFQKNFELSKSEVSDSDY -SDEDEKFCRHVVTMILSGPFTNERKECMLIDFLKNSSLGPPKQLLRRSRTNVLRVVRSWLEGHARIEELV -EKSMDGICGAFTIRQKVFKDKKGNVSYKGLGVWTGRIEGTDCKLQIESGFASDQILKQVVIASDRSLSDF -LPGLERLCKELKVINPRKPFPIKEAERQNFIGALVDFRLESRFSKAGAPVLLVPQHEGFLKEMMDRSDIS -LMVRSDVLNIRADLGDGRLITMLSYKSSGQDADSEQGNQFFSRREALSKRFGWAIREPYLSWISLGPIPD -ALVPRLKEEMTGRRRTEGIDGEQLRDVFKRCCKGSLRRKGLTVGQYSNVRLRIEDTSEEVDIDLLELLDE -DVDFGDTLQSQNIQDLEASIEEMEVLFGEDDLEVFDFTEVISRSDPLAYHGFCDRIIEDYIKRLGHEAIR -KAIQKRECTQSDYPLVKNLFESIGENPEELSVLPDRDDAYASSGLITEDLWG - ->YP_008003507.1 RNA-dependent RNA polymerase [Lone Star virus] -METRIRNEVIPFPRRNNITAEGLVYLAHLDDSRLPEFSITPQEDSLLLEIDEIPESISQVGSSIIVGHQR -FEVGKVSTLIHDFTFAAIAEETDKPLSRHFPIINDGEDHLTPDLIIDASATEKRVIEFATHRGPGVRGVL -NYYNQKIEKYTRPLAARQERTQWDISLSCIAVGYDHVVSDLTLSQATVDELVYRFRFASAVFNQILVAFP -ELAPKVSEEISSAERAGRECLNFLTRTFIEEPEGESLLENFPLGSKRYLDAFLENSEDEQYLKRVFAGTF -QETLDEIRGEHILEGHRRGPALERNGEEASSQMAQLVKDQLDAYLKQKVKQDESKFKSTIKIPGWLIPDT -PRTIDIGINHKDLEGMSDETVTARVWKAALTEVIFTPQNSWQEDLEREYAIATSRLQVDPEGENTKQDYH -RVKINLPAQDWVSLAARGLEGKSMRQEELVRKTDEASHTVLSPHHDISGISSCIESNNWFHHFKLGQEEN -LYGVLDVLISSAMEVATDGQQVGEKILKAFKDYRNLNCVHWASMVTQIATELAIAAKQSCKRHHFIIKKV -PNFPIYMLIKTSRSGSHVFYSLAARTNSVKHELSGRVFKEYERSGNWFITEFNSVKLCKVENMLKLPMLI -VALISYSQESDEKVVTKLSRPTTFTRKLVTTAIMVCMEDKALTEELITIQRYIQMEGFVTEPLLPKPHKM -LEKLSVPLRTTLQVFLFQQCLKAIRSISRTPYRRMCKAGEVSWRGCFSGVIGMSCSPEQMVNSWYLGYLK -NKDEDTEVNMLGAMYEKIVKVEEKRPLDSSNLLGGDPLKPNMHEFSGSFIKFMARALNDEIRGRKGHNWQ -EEITTQFYRSIGSVTLDQLATLKASSSFGPEWENFDDVRLKEYHRVKVLERISELVQEGHTFYTDTIGQC -FRVVLEDGCMRICLFKKAQHGGLREIYVLRLEERLIQFGIELLARKINEAVGHETISVPSRKEEILDTHQ -ARAAKACGEGTLITVCSSNDARTWNQGHYTTKFAFLMCELMPRELHGFIWMSCAIFRKKKMMLNLDYLNS -MCRKRPEASGFKQRLYDGFSGNEEVPWIQKGKTFIQTETGMMQGILHLTSSLFHAAYQAAIRKILKAKLK -TTINSRVLIDVIEGSDDSAIVISAAVKSDDEERKFRLMASVLLHWVKRLGLYAGIYMSPKSTIGCIDVCE -YNSEFRFSRLMCRPTLKWVTACLNIPEVEKIADRQESFSNLLTSVLEGGGTSSLCSVLQLTQAWCHYVLL -GLWSSCVFKLIAPYMEESKNPDIGFFILDCPVSAGILGFRHNLWRYVRKTKLSAIFAKILDNKGHEGFTL -TSGGSLSKCHLIRWGDRKKLDGIKNRTGMNLDWRILTDEDPSLLYKPPQNNHEVKVAISLKLDSPGVAES -LSKGNVLGRVIASSVYILQRRCITVRSQRRKYTLAELMLETEDVGRTLTLAEENALFGDIIALEKNEALC -QSYGEAIGNFIGKSREMRRAKVEVLSADEAFRAPPVKIMGDVFFGTTKSHMGQNMLARELGYLKESFPWI -SADPHECLSNSPFTCQAELKTFFEKLEQKTRKVRMIGAAVFTRMGQTSLDNLLRFNFQKNFELTRQGNGE -YEVVNEDEKFCKHVITMILSGPFSNERKSQMIVDFLKISDLSPPKTLLKKSRTNVLRVIRAWLEGHSRIE -ELVERSMDGICGAFTVRQKISRDSSGQLQYRGLGVWTGRIEGTDCRLQIENSGPGEQVLKQVTISSDKNL -SDFLSGLERLCKELRVTNPRKSWAKQKKQDLSLIGALVDFKLESRLSCAGCPLRLVPASEGFLKEMMDRS -NISLIVRGDILNIKADLGDGRLITMISYKASGQDADQEQGARFFSRREVLKTHLSWSVREPYLSWISLRP -VPDALVPRLEEEIMGKRRTEGIDAKQLKDVYQRCCKSSLRRKGLTVGQFSTVKLRIEDTSDDVEINLLEL -LEEEVDFTSTLERTSLDMLEDSIEDMEVFFGEEDLEIFDFTELISRADPLAYHGFCDRIIEDFVKKLGHD -VIRRAIQKREMLQTDAELVKKLFDCIGENPEELEIVHDELDEYASSGQITEEVWG - ->YP_004414703.1 polymerase [Aguacate virus] -MNEILQNQPLSQDQYFCRAVAHYDDEIFGLAILDFTIREDCGLVIVDLDLDGRGEWSTIGSTVKDQIKLN -PQNLPNFVHDFTFGHLANSTDSPFVSFFPAVGDGFDHLTPDVMIRMPSGRTHIIEFTTFRGTSQGAQQAA -LLKIGKYESACERRSRISPVTFSVISVHRYGVWTNLDLSEEQVNELVYRFRLAVSIFEEMKVMFPELSLV -DEELSKTEREVLGIVSTIKMDWERTEKTFPHFKREMFENFRQSEPDFDYISSIISRCIGESEESILKENY -FELQNLQLRLRENGRQCEKALSAFSSEMASGDLRDVNDPKSTVQIPPWVTIEGPEGKDLIPLKSLEINGD -HPMQRIWSKVCNSASLELIDRMVDDPESELQHAISGTRDRADERSRYHRVIVELDSAELEYAATLGVGGK -KYRDHHSSREARTRSKRGFSLDHNIKSLERFISGCTQELLEEGDYYKPLLEDMPLRIEAQRIHQPTYTRN -NGENEFLRNHRLFMETPLGSWSQMVSLIGAELSASVKQHVKQKSFIVKRLLGSGIYLLIKPTNSKSHIFV -SYALDKLYWSHDLSSGTEFKRYLDSGDLFITEFTSYKLSKLTNLCKCCSLLESSLSFWTEAYHEKPWLSV -DVIRDGRQPEILTMTKLSLLTLLEDKARTEELQTLLRYVVMEGFVSQPELPRPQKMISKLPRVLRTELQV -LLVQRVMTSISRIAANPFKLKKSDGSISWSGLFNPLSGSKLLDLQPLISCCYNGYFKNKEEETEPSALSA -MYKKIIELEHLKPGTDEFLGWGDPEDPKTHEFSRSYLKEVCEHGKAILKRMYGHNVLQQIDDQITREISS -LTIERLATLKATSNFDENWYVYKDCKDKNYTRDKLLVKMSQFASEGKTLAIEKFEDCMTSIESRGAMHIC -LFKKQQHGGLREIYVMGAEERIVQSVVECIAKTVGKFFSSDTLCNPNNKSRIPESHAHRARKHCRSSVWT -CATSDDAKKWNQGHFVTKFAMMLCEFTSPKWWPIIIRGCSMFTRKFMMMNMRYLDILNGHRELKVDDEFV -MTLFNAYHGEVEVPWLEKGRTYLQTTTGMMQGILHSTSSLLHTLHQEFVRSLSFKVFNMKVQPDMGSKVV -CDVMQGSDDSSMIISFPANEDSTFMKCKMAAAMCFRVKKTLGLYLGIYPSEKSTSNTDFVMEYNSEFFFH -TSHVRPLVRWVSSCCSLPEVETLVARQEEASNLLTSISEGGGSFALSAMIQQSQCTIHYQLIGMGVSSIF -PHYRRALARWKDPGLGFFLLDNPYAAGLGGFRFNLFKAITMTELQKVYAYFMRKVKDNSLPEEEGVLVPE -TCSVSPGGAIIMSSSLKWGSRKKFSRLRDRLNIPENWVELINDNPEVLYRAPRTGEEIILRIAEKVHSPG -VVSSLSTGNAVCKVMASSVYFLSAAIFEDSGRPEFSYLDNSKYSILQKMMAYEGFNGSHDIDPEDILFLF -PNVEELEQLDMIIYDKGPIDLVERVSSREATQSRVVVFDEKRCMRVSPEKLVSDKWFGTQKSKIGRTAFE -VEWAKLTRVIRWLKDTPEGTMEKSPLSNQIQIRNFFARLEGRSRCVRITGAPVKKRSGMSKLALVIRDNF -CKTGHLRGIEDISGSSRSVSVEVLRHILFSVLQGPYSDESKLDLSIKSLSSSSEIELRENDGKTKANILS -ILQSYIWADRGVIQKIERAGAGTVGGFIKPQKSYKDGQTIKYYGHGIWRGMMDGIDMQIDIDNKRNQNPQ -ITSIRVAGTKSPWVVCQSLKIWCEDMGVKNSADFSESVRRTPKFWLSNFRMFGGNHPLGAPVYILDGRMD -DLREIRDEDILIKVRRGTLNLFVKSRGGSEMHILSYTSSDTDLSPSMVKEAELSMPGLMNLFSREPSRSW -VSCSPLPVQYTEVLLDVCEGLKRVDRINPIRLSEIIRICTDSSLRTKVGTVYSMQQFSEEAQIIDCDDLL -DIMIEDIKTGAFSEVVRKMEDEVKGDNEDLDFDYDDINLFGPAHHKEYGELSLVSHPLMDKFVDNAISIM -GRSSLRRLLELGVTKTRYLKISRLVYRAIGRNVDAIKTDDFSLEDQPSISEDMLG - ->YP_004382743.1 polymerase [Sandfly fever Turkey virus] -MNSIIERQEPLGEGFTRRQLTHCSDSLMNAYLPQFVILPDKDGVSIEIDRESFDLNSTTGNSMKNERYQF -SALPNFVHDFTFGHLTPLTDVPFVSAFGKFRDGYDHLSPDVIFKTTANSFFIVEFTTFRGGERGCRAAAN -NKLIKYEVACESRSARERLGLFVIAVHRNGIWTNLSLEDTEVNELVFRYRTALDIFEDLKRRYPELSVDN -EDLTRAESELRGIVSSIQLDWKKTSSAFPHFKREVIESFRNFSPDEEYLSRIVSGVIDKAQKDLVRETFS -GMLGEHEDRFTMNEKECGAILEKSVEGYYTGREFRHTNDCKSTVQIPAWVTVPGQPGKSLDALAELNVDG -DGVMASIWSKVAYEAKVEGIERMMDDKEAELEQALEGSLDRPDLRNKYHRVHLSLTANEQAYIAALGVGG -KKRKDSPSVTQARAKSKLPFSLYHNTRDLESFLNSDDMSLFEHEMGLYSPLTEDLPLRTAAAAIHQPTLI -GREGRNEIIQAHQNFMESQFGSWTQMVSLIGAELSASVKQHVKPNHFVIKRLLNSGIYLLIKPTSSKSHI -FVSFAVEKSRLIHTFCDSHVFRNCEDAGDLLVTDFVSYKLSKITNLCKTNSLAEASFFFWSECYGYNVWE -AQNSLQVNTSMSRDISFMTKLSTLTLLEDKATTEEIQTMLRYVMMEGFVSQPEIPKPQKMCKKFPKVLRT -ELQVFIMNKVIDSIMRIARCPFTLQKRDGKISWSGMFNPFSGRPTKELQVIISCCYNGYFKNKEEETEPS -SLSNLYKKIIELEDQKPDNDNFLGAGDPESPQMHEFSRSYLKKVCDHGKLLLTRVFGQNFKDQIDSSIMR -EINSITLERLATLKATSNFGESWYVYKDVKDKSYSRDRLLVKMASFAREGKALAIEKFEDCMSIIEERGA -MHICLFKKQQHGGLREIYVLGAEERVVQSIIEAIARSIGRFFHSDTLCNPANKMKIPESHGRRARSHCKG -PVWTTATSDDARKWNQGHFVTKFAMMLCEFTHPRWHPIIIRGCSMFTNKYMMMNLQFISILDGRKELPVE -DEFVQDLFEGYHGNKTLPWVKPGCTYLQTTTGMMQGILHYTSSLLHTLHQEFIRTLSFRIFDMKVREDMS -TRVVVDMMQGSDDSAMLCSFPCSDEHLLSKCKVAATICFRVKKLLGIYLAIYPSEKSTQNTDFVLEYNSE -FFFHSQHIRPTIRWVAASCSLPEVETLVARQEEASNLMTSISEGGGTFSLSAMIQQSQCTLHYMLMGMGV -SDLFSEYKRAILKWRDPGLGFFLLDNPFCAGLGGFRHNLYNAVTKTNLGKVYAYYLRKVVGKSQDDEFND -EERCSVSAGGAIVLSSSLKWGSRKKFQQLRDRLDIPDDWIDQINDNPEVLYRAPKTGAEIILRISEKLHS -PGVVSSLSTGNAICKVMASSVYFLSAAIFEDTGKPEFRPFNESRYSLLQRMMKYEIYEEGDSVTNEDLIF -LFPNIEDLSQLNTIVHDRRRIEVIRRQGGREATQTRVVVFDTPQIRMSPEKLVSDKWFATQKSKIGKTGF -ETEWAKLRQVVKWLDDTPSDTLLKSPLLNHIQIKNFFARMEIRPRTVRVTGAPVKKRSGLSKLSVVIRDN -FCRGGYVKGIEDVSGAHRSMWAELIKHLLFCVLQGPFSQDMKIQLIQKTLYDSPVIDLKESDGKTKTNLI -SIMQRYIHGEKDVLDVIENVGAGIVGGFVVRQKTKVDNGRVLYYGHGIWRGVMDGVQVQIDVDNHIGAPT -NIVAVYMARSSVGPWELLRTIKAWAEDQNIRNTDDVSRTSRRGAHYWMHQFKLTASNLQFGAPVIMVEGR -MEAIWDRESENLEMTITRSTVNIGIVNEGNERRMNILSYTATDGDLSPEIIDILPSVETGNVLRHYSGRL -INAWVYCRELELRDIRRLSKMYESGHEGSIIDVDRMKDIVKTCIESSLKSKIGASFSSVVQKDDLDPNFD -MSAIVEMMIEDTSTDMFRCIAQRLEEDIKVSYDDAEFDKDDIDLFGPSHYREVTNLAMVSHPLMDKFVDH -LVDKMGRKDLRRLISTCCVSEKNLDKAKLLFTFLQRDLSLIEVDSSEDELLSGSDSDGFI - ->YP_004347993.1 L protein [Chandiru virus] -MEEILNKQQIPEGVGLFRPEIKQYDDSIMDVEIPFFHITKCDGYMKIDLDLNNGVDYSTIGSSLISTIEV -PDKSLPNLVHDVVFSHLASSTDVRFSSVFGVYADSYDHLSPDFILKTAAGSHHVVEFTTNRGGERGAFQA -CKDKFSKYHIPCENRAVGGRVSLFVVSVYVRGVWSNLDLTDDEVNELVFRFRLAVAIMEEAKRYYPELTE -DESEMTKQEREILGIVSSIQMDWKETSLKFPHFKEKVFEDFLTMSADEEYLSGIISEEITACQEDMMESG -FIGKVTGIDERLKLNESECNKMIDSYLKSRGPNCRDKLDSKSTVQIPPWVMTEYLDGKSVSCLSGFEVEG -DHPMCKIWRSVTIAAAMGDIDRADDDPETELKKALDGSIQKSDERSRYHRIKLNLSNEEIIYGATLGVEG -KSHKSNAQVEESRKRSKLGFSLDHDITNLEEFINKHDLELFAESDDYFNPFQIDFDLRESAQKIHQPDLI -MDQGENEFLANHKKLCMSKLGSWCQMVSLIGAELSASVKQHVGKGQFVIKRVLNSPLFMLIKPTSSVSHI -FVSFALVKSSHMGDLWDNGVFKHYIDAGDLFITDFISYKLSKLTNLCKCFPLMESAICFWTEIFGFEPWN -STYIMSTDRSGSSKEAASMIKLTLLTLMEDKAVTEEIQTIQRYIIMEGFVSLPELPKPHKMLSKLPAVLR -SELQVYLVLRSLRTMERISRHPFRLQKKHSQISWSGLFNPLTGNSLRELQPLISICYNGYFKNKEEETEP -SALSRLYKKIIELEHLCPTDDIYLGSGDPKEPKMHEFSRSFLKKCTDHGKTILRKIYGQNFMQQIDTQIM -REISTITLEKLATLKASSNFDEKWYDYEKCNKEDYHREKAIVKMSEFAASGKTLAIEVFDECMKFVEKRG -NMHICLFKKQQHGGDREIYVLGREERIIQSIVEAISRSIGRFFPSDTLCNPGNKIKIPETHGIRARKHCK -GAVWTCSTSDDARKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKYMMMNMRYISILSRHKELDI -EDEFSRTIFKAFHGEVTVPWMDAGATFLKTKTGMMQGILHFTSSLLHTLHQEFIRSLSFKIFNTKVRPEM -SQSVVIDMMQGSDDSSMMISFPCSNEDQLMKCKIAAAICFRIKKRLGVFLAIYPSEKSTSNTDFVMEYNS -EFFFHSQHVRPTVRWIAACCNLPEVETLVARQEEASNLMTSVSEGGGSFSLAACIQQAQCTLHYMLMGMG -VSSLFEEYKKAIIRWKDPGLGFFLLDNPYCAGLGGFRFNLYKAIAQTPLKCLYSYFMKKVRHGLETNDGT -IPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLNIPEDWIDQINQNPSILYRAPRSGEEVILRIAEKVH -SPGVVSSLSTGNAVAKVIASSVYFLSAAIFQDSGRQEFSILDDSKYSLLQKLSKLEGINLTNAISDEDLL -FLFPNIEDLQSLDSLVYNRGAIEIVKRKQIKENTQSRVIVFEGNRNLRTPAEYLISDKWFGTQKSKIGRT -AFDQEWVKVTSIIPWLRESPQETLQSSPLDNHIQIRNFFSRMDQKPRVVRVTGAPIKKRSGVSKLSMVIR -DNFSKLGFIRDIEDITGTSRTNSAELLKHFMFCALQGPYSQERKLQMVIDLLNKSNPIGIKDSDGKSRSN -ILAILQSYVYREPHIARQIEDAGAGIIGGFVVPQKPKKIENTIYYYGYGVWRGVMDGKQVQIELDNAVGS -PPVIISVTMEESAEPWQICKSIRSWAEDVGAKNNLDISPKIKKRTCKYWMFDFKTFSSDKAYGAPVYMTK -KKMVDFRLIDDAEISIKVRKSTVNLYVRSDGRDVHILSYSASDSDLSPASLRINDESKDEMMELFNKEPS -KSWASCSPIPAIMMHKIIKVVQGELKISSLDSGRLGEIMKLCCESSLRSRIGTLFSALPSVQNTSRVDVD -DLIDIVLTDSKTAGFREIVQSLENDIKDEYEVEDFDLSDIDLFGPAHYKELSDLNTISHPLMDDYVEFCI -STVGRKELRRILETNRCKTKDLQLSKDLFLVLGRNPDDIKVDEYNLREQMAVEDDMIG - ->YP_003848704.1 polymerase [Rift Valley fever virus] -MDSILSKQLVDKTGFVRVPIKHFDCTMLTLALPTFDVSKMVDRITIDFNLDDIQGASEIGSTLLPSMSID -VEDMANFVHDFTFGHLADKTDRLLMREFPMMNDGFDHLSPDMIIKTTSGMYNIVEFTTFRGDERGAFQAA -MTKLAKYEVPCENRSQGRTVVLYVVSAYRHGVWSNLELEDSEAEEMVYRYRLALSVMDELRTLFPELSST -DEELGKTERELLAMVSSIQINWSVTESVFPPFSREMFDRFRSSPPDSEYITRIVSRCLINSQEKLINSSF -FAEGNDKALRFSKNAEECSLAVERALNQYRAEDNLRDLNDHKSTIQLPPWLSYHDVDGKDLCPLQGLDVR -GDHPMCNLWREVVTSANLEEIERMHDDAAAELEFALSGVKDRPDERNRYHRVHLNMGSDDSVYIAALGVN -GKKHKADTLVQQMRDRSKQPFSPDHDVDHISEFLSACSSDLWATDEDLYSPLSCDKELRLAAQRIHQPSL -SERGFNEIITEHYKFMGSRIGSWCQMVSLIGAELSASVKQHVKPNYFVIKRLLGSGIFLLIKPTSSKSHI -FVSFAIKRSCWAFDLSTSRVFKPYIDAGDLLVTDFVSYKLSKLTNLCKCVSLMESSFSFWAEAFGIPSWN -FVGDLFRSSDSAAMDASYMGKLSLLTLLEDKAATEELQTIARYIIMEGFVSPPEIPKPHKMTSKFPKVLR -SELQVYLLNCLCRTIQRIAGEPFILKKKDGSISWGGMFNPFSGRPLLDMQPLISCCYNGYFKNKEEETEP -SSLSGMYKKIIELEHLRPQSDAFLGYKDPELPRMHEFSVSYLKEACNHAKLVLRSLYGQNFMEQIDNQII -RELSGLTLERLATLKATSNFNENWYVYKDVADKNYTRDKLLVKMSKYASEGKSLAIQKFEDCMRQIESQG -CMHICLFKKQQHGGLREIYVMGAEERIVQSVVETIARSIGKFFASDTLCNPPNKVKIPETHGIRARKQCK -GPVWTCATSDDARKWNQGHFVTKFALMLCEFTSPKWWPLIIRGCSMFTRKRMMMNLNYLKILDGHRELDI -RDDFVMDLFKAYHGEAEVPWAFKGKTYLETTTGMMQGILHYTSSLLHTIHQEYIRSLSFKIFNLKVAPEM -SKSLVCDMMQGSDDSSMLISFPADDEKVLTRCKVAAAICFRMKKELGVYLAIYPSEKSTANTDFVMEYNS -EFYFHTQHVRPTIRWIAACCSLPEVETLVARQEEASNLMTSVTEGGGSFSLAAMIQQAQCTLHYMLMGMG -VSELFLEYKKAVLKWNDPGLGFFLLDNPYACGLGGFRFNLFKAITRTDLQKLYAFFMKKVKGSAARDWAD -EDVTIPETCSVSPGGALILSSSLKWGSRKKFQKLRDRLNIPENWIELINENPEVLYRAPRTGPEILLRIA -EKVHSPGVVSSLSSGNAVCKVMASAVYFLSATIFEDTGRPEFNFLEDSKYSLLQKMAAYSGFHGFNDMEP -EDILFLFPNIEELESLDSIVYNKGEIDIIPRVNIRDATQTRVTIFNEQKTLRTSPEKLVSDKWFGTQKSR -IGKTTFLAEWEKLKKIVKWLEDTPEATLAHTPLNNHIQVRNFFARMESKPRTVRITGAPVKKRSGVSKIA -MVIRDNFSRMGHLRGVEDLAGFTRSVSAEILKHFLFCILQGPYSESYKLQLIYRVLSSVSNVEIKESDGK -TKTNLIGILQRFLDGDHVVPIIEEMGAGTVGGFIKRQQSKVVQNKVVYYGVGIWRGFMDGYQVHLEIEND -IGQPPRLRNVTTNCQSSPWDLSIPIRQWAEDMGVTNNQDYSSKSSRGARYWMHSFRMQGPSKPFGCPVYI -IKGDMSDVIRLRKEEVEMKVRGSTLNLYTKHHSHQDLHILSYTASDNDLSPGIFKSISDEGVAQALQLFE -REPSNCWVRCESVAPKFISAILEICEGKRQIKGINRTRLSEIVRICSESSLRSKVGSMFSFVANVEEAHD -VDYDALMDLMIEDAKNNAFSHVVDCIELDVSGPYEMESFDTSDVNLFGPAHYKDISSLSMIAHPLMDKFV -DYAISKMGRASVRKVLETGRCSSKDYDLSKVLFRTLQRPEESIRIDDLELYEETDVADDMLG - ->YP_089669.1 RNA-dependant RNA polymerase [Sandfly fever Naples virus] -MERILKKQPAPVRALTIHPLRRYESSIYDTPIPAYVIKHSSDGVTIDIATSELADGQSGSTIQPFESVPA -QNLTLFKHDFTFGHLADTTDKKFVEVFGVLENRADDSDFQSPDMIIETETGHVYVVEFTTTMGDANSADL -AARNKIAKYEIACLNRSAIKPISLYIIAVHFNGVISNLDLSDEEVNEIVFRFRLARDIFEELREINPALF -DSDETISRLEREVNSVMSAIQIDWDTTEKKFPSFRRELFENFRSKEVDDEYISKIIKRCTDEALRGIERD -SLYTEDITNKERFELNSKRAASDIKNKMAEMMSYEFLRDTEDHKSTVQFPPWVTRTGPAGKDLEPLKSVS -VEGSHPMCKIWNKVCTNASIEKIERMHDDPVLELEYAMSGSTERSVERNKYHRTVLTLSPEEREYAAVLG -VCGKRNANLGAVKEARVRSKKGFSIGHNTERVEEFLSDSCVEDLIPTEGLYNPLSEDKSLRLLAMGLHQP -TLIHMDDETPETLDCHLKFLSSPIGSWLQMVSIVGAELSASVKQHVKPNQFIVKRLLDSAIFLLIKPTTS -KGHIFVSLAVNKKFLHGELSKSSVFKQSIDAGDLLVTDFVSFKLSKITNLCKALCVLEAASCFWAETYGF -EPWKFVDQASAVKFLDAWFMIKLSLLTMLEDKATTEELQTMQRYVIMEGFVSLPEIPKPHKMLSKIPKVL -RSELQVFLTHRLFSTMQRISATPFQLHKVGGNIRWKGLFNPYSGNSIDELQTLISCCYNGYFKNKEEDTE -PSALSAMYKKIIELEHLRPPTDTYLGYEDPIDPKMHEFSRSYLKLLCNHAKTKLRKQYGRGVMNQIENSI -VREVQSITLERLATLKATSNFDDSWYTFKDVKDKNYTRDKLLVKMTQFAHRGKTLAIEVFEECMSRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSVIEAIARAIGRFFDSDTLCNPSNKIRIPETHGQRAKRRC -GRSVWTCATSDDARKWNQGHYVTKFALMLCEFTPQEWWPLIIRGCSMFTNKFMMMNLDFLRIIDSHKELQ -IEDEFVSKLFKAYHGESVEPWISQGCTYLKTSTGMMQGILHFTSSLLHSLHQEFVKTTAIQLFTLKLGSD -ASSKVVCDMMQGSDDSSMIISFPSYNEKIKMRYKLVAAMCFRIKKSLGIYIGIYPSEKSTPNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVASKEEAANLLTAITEGGGSFSLAAMIQHCQSSIHYMLMGL -GVSALFSEFSKAISKWLDPGLGFFLFDNPYSAGLSGFKYNLYRAIMNSSLKSIYSFFMKRVKGGSQRTDG -IISESCSVSPGGAIVMSSTLRWGSVEKFKRLRNRLNIPETWKEMINESPEVLYRAPQTGTEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGSQEYKVVNNDKYSLMQKIIAFDQIGCNDEISQEDL -LFLFPNLAEFEAFDSIIYDKGRFNVIPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -PGFRQEWDRLKAIVRWLRDTPEETLDSSPFSNHIQIRNFFARMEGRPRVIKVTGAPVKRDLGMSKIAMAI -RDNFCKTGFLQGLEDEVGHSRAMQVEKIKHYLFSVLMGPYSEEAKLEYVVKILKEEPQVILNYNDKRSRA -NIISLLQRFIKSEIGIATLIEDMKAGVFGAFVKAQQFSQSSVNNKYYGRGIWKGVMDGYQVQIDIDGKEG -MPSHLSGITISNCSKTWILTQSLKAWCEDMQVYNNTDVSKANPKANYWMYGFKMYGSSYPYGCPIYLVRH -DITNLGLLHDDDIDIKVRRNTINLFVRSKDKRPRDLHILSYTPSDSDISSVSSKHIMEDEYFVYKGAFSV -EPTRSWMLCQPLPWSFVRPVLQVATGSRRSPRQLDLERLREIIRLCTESSIRNKVGTVYGQNRPEKFIEA -EPIDMSEMFDMMLDEGMDDAFEELADYLTVEEDPDYMDEVSFDDDSLNLFGPAHYKELQSLTVLAHPLMD -DFVTRLVGKMGRPQIRRLLEKNVTTRDLRELSELLFMALDRDPSQIREELILGDSPTEVPDDLLG - ->NP_941973.1 RNA polymerase [Uukuniemi virus] -MLLAICSRTIRQQGLNCPPAVTFTSSHMRPPIPSFLLWTEGSDVLMDFDLDTIPAGSVTGSSIGPKFKIK -TQAASSFVHDFTFAHWCDASDMPLRDHFPLVNDTFDHWTPDFISQRLDGSKVVVEFTTNRSDQEQSLISA -FNTKVGKYEVALHNRSTTSSILFGVVVVSETTVVTNLNLNQQEVDELCFRFLVARAVHLEMTTKMIIPEY -DDEDEDKRSREVKAAFHSVQPDWNVTEANFAPFSRRMFSNFAQMEPDKEYLAHIILDSLKQAQADLDGNH -YLNESLTEQARLDRNREESLNMVKDFERDFNNAAQRSAWSHKSTVPFPGVIPKVSGDTTSLSRLVELPVI -TGGSDATIRAWRSAYGSVSNGTVERCDEDVERERRAALCSLTVEELEESKALRMKYHRCKIDNGMMDKLD -LAMQGVEAKEFKNHPSIIKKRSKSKKTFPLTADTRDIDLFLHHDDLMFNNEHSQTPPAAMIEAVKAGADA -QSLHGLDKSANPWYASALWFLGLPIGLWLFMCTCIGVELSISLKQHCGRQKFIIKKLRFFDIFLLIKPTN -SGSHVFYSIAFPESAILGKLHRSQCFKGLQFEDGWFWTEFSSFKMSKLTNVVKCLSTGFNLFWFWRDYYE -VPFWAGNEKDFQTGKQRANKMFKFCLLMLLEDKARTEEIATLSRYVMMEGFVSPPCIPKPQKMIEKLPNL -ARTKFQVWLISRMLQTIIRVSDYPFKITAGHKSANWTGMFNWVTGEPIESTQKLISLFYLGYLKNKEESP -ERNASIGMYKKILEYEDKHPGRYTYLGLGDPPSDDTRFHEYSISLLKHLCIHAEHDLRRNWGESFKAMIS -RDIVDAIASLDLERLATLKASSNFNEEWYQKRGDGKTYHRSKVLEKVSKYVKKSSSHVHHIMEECLRKVE -SQGCMHVCLFKKPQHGGLREIYVLGFEERVVQLVIETIARQICKRFKSETLTNPKQKLAIPETHGLRAVK -TCGIHHETVATSDDAAKWNQCHHVTKFALMLCHFTDPLFHGFIIRGCSMFMKKRIMIDQSLIDIIDSHTT -LETSDAYLQKIHRGYHGSLDDQPRWISRGGAFVQTETGMMQGILHYTSSLLHTLLQEWLRTFSQRFIRTR -VSVDQRPDVLVDVLQSSDDSGMMISFPSTDKGATGKYRYLSALIFKYKKVIGKYLGIYSSVKSTNNTLHL -LEFNSEFFFHINHNRPLLRWITACDTISEQESLASRQEEMYNNLTSVLEGGGSFSLVSFCQFGQLLLHYT -LLGMTVSPLFLEYIKLVSEIKDPSLGYFLMDHPFGSGLSGFKYNVWVAVQNSILGSRYRSLLEAIQNSDS -AAPKKTLDTTTSGTFVQSTIIRFGDRKKWQRLVDRLNLPEDWLDVIDKNPEIVYRRPRDGFEVSLRIAEK -VHSPGVSNSLSKGNCIIRVISSSVYILSRSILSDGLAWLYDEEEEVKRPLLYKVMNQPELDLHSRLTPAQ -LSTLFPMMAEFEKLQTHLRSYMKIEGEFISKKKVITQTRVNILETERFLRARPEDLIADKWFGFTRTRMT -PRTFKEEWENLTSVFPWLTGNPSETLELSPFQHHVQLRNFFSRLDLKGRDIRIIGAPIKKSSGVSNVSTA -IRDNFFPRFVLTHIPDEAAMERIEAAGILKHALFLTVTGPYTDQSKLDMCRDFITSSEPITLKPNHGKTR -TNVLSLFQDYFSKRGPDIIFNRIQMANCGVIGGFTSPQKPKEVDGKIVYTGDGVWRGIVDGFQIQLVITY -MPKQKSNELKSITVNSDRCISALSSFCQSWCKEMGVFNTEDFSKTQRFSKASFFMHKFKISGSKQTLGAP -IFIVSEKIFRPICWDPSKLEFRVRGNTLNLTYKEVNPGAGQRMFNILSYTVKDTDVSDENAFKLMSLSPR -HKFHGREPSTSWICMRALPISTIDKLLERILNRERISGSIDNERLAECFKNVMESTLRRKGVFLSEFSRA -TQKMLDGLSRDMLDFFAEAGLNDDLLLEEEPWLSGLDTFMLDDEAYLEEYNLGPFGVFSVEQEMNTKYYH -HLLLDSLVEDVIQKLSLDGLRKLFQEEEAPLEYKKEVIRLLNILQRDASQIKWKSRDLLSENMGLDVDDD -MFG - ->NP_620522.1 RNA Polymerase [Rice stripe tenuivirus] -MTTPPLVIPLHVHGRSYELLAGYHEVDWQEIEELEETDVRGDGFCLYHSILYSMGLSKENSRTTEFMIKL -RSNPAICQLDQEMQLSLMKQLDPNDSSAWGEDIAIGFIAIILRIKIIAYQTVDGKLFKTIYGAEFESTIR -IRNYGNYHFKSLETDFDHKVKLRSKIEEFLRMPVEDCESISLWHASVYKPIVSDSLSGHKSFSNVDELIG -SIISSMYKIMDNGDQCFLWSAMRMVARPSEKLYALAVFLGFNLKFYHVRKRAEKLTAKLESDHTNLGVKL -IEVYEVSEPTRSTWVLKPGGSRITETRNFVIEEIIDNRRSLESLFVSSSEYPAELCSQKLSAIKDRIALM -FGFINRTPENSGRELYINTYYLKRILQVERNVIRDSLRSQPAVGMIQIIRLPTAFGTYNPEVGTLLLAQT -GLIYRLGTTTRVQMEVRRSPSVISRSHKITSFPETQKHNNNLYDYAPRTQETFYHPNAEIYEAVDVKTPS -VITEIVDNHIVIKLNTDDKGWSVSDSIKQDFVYRKRLMDAKNIVHDFVFDILSTETDKSFKGADLSIGGI -SDNWSPDVIISRESDPQYEDIVVYEFTTRSTESIESLLRSVEVKSLRYKEAIQERAITLKKRISYYTICV -SLDAVATNLLSLPADVCRELIIRLRVANQVKIQLADNDINLDSATLLAPDIYRIKEMFRESFPNNKFIHP -ITKEMYEHFVNPMISGEKDYVANLKSIIDKETRDEQRKNLESLKVVDGKKYTERKAETALNEMSQAEEHY -RSYFENDNFRSTLKAPVQLPLIIPDVSSQDNQFSNKELSDRIRKKPIDHPIYNIWDQAVNKRNCSIALGH -LDELEISMLEGQVAKKVEESYKKDRSQYNRTTLLTNMKEDIYLAERGINAKKRLEEPDVKFYRDQSKRPF -HPFVSETRDIEQFTQKECLELNEESGHCSLINVEDLVLSALELHEVGDLEHLWNNIKAHSKTKFALYAKF -ISDLATELAISLSQNCKEDTYVVKKLRDFSCYVLIKPVNLKSNVFFSLYIPSNIYKSHNTTFKTLIGSPE -SGYMTDFVSANVSKLVNWVRCEAMMLAQRGFWREFYAVAPSIEEQDGMAEPDSVCQMMSWTLLILLNDKH -QLEEMITVSRFVHMEGFVTFPAWPKPYKMFDKLSVTPRSRLECLVIKRLIMLMKHYSENPIKFMIEDEKK -KWFGFKNMFLLDCNGKLADLSDQDQMLNLFYLGYLKNKDEEVEDNGMGQLLTKILGFESAMPKTRDFLGM -KDPEYGTIKKHEFSISYVKDLCDKFLDRLKKTHGIKDPITYLGDKIAKFLSTQFIETMASLKASSNFSED -YYLYTPSRRLKNQEQSRSKHVIDAGGNISASVKGKLYHRSKVIEKLTTLIKDETPGKELKIVVDLLPKAM -EVLNKNECMHICIFKKNQHGGLREIYVLNIFERIMQKTVEDFSRAILECCPSETMTSPKNKFRIPELHNM -EARKTLKNEYMTISTSDDASKWNQGHYVSKFMCMLLRLTPTYYHGFLVQALQLWHHKKIFLGDQLLQLFN -QNAMLNTMDTTLMKVFQAYKGEIQVPWMKAGRSYIETETGMMQGILHYTSSLFHAIFLDQLAEECRRDIN -RAIKTINNKENEKVSCIVNNMESSDDSSFIISIPNFKENEAAQLYLLCVVNSWFRKKEKLGTYLGIYKSP -KSTTQTLFVMEFNSEFFFSGDVHRPTFRWVNAAVLIGEQETLSGIQEELSNTLKDVIEGGGTYALTFIVQ -VAQAMIHYRMLGSSASSVWPAYETLLKNSYDPALGFFLMDNPKCAGLLGFNYNVWIACTTTPLGEKYHEM -IQEEMKAESQSLKSVTEDTINTGLVSRTTMVGFGNKKRWMKLMTTLNLSADVYEKIEEEPRVYFFHAATA -EQIIQKIAIKMKSPGVIQSLSKGNMLARKIASSVFFISRHIVFTMSAYYDADPETRKTSLLKELINSSKI -PQRHDYLQEPHTLKPTKVEVDEDSWEFKSAKEECVRVLKQRIKIHTGREERSISLLFENMAKSMIGRCTD -QYDVRENVSILACALKMNYSIFKKDAAPNRYLLDEKNLVYPLIGKEVSVYVKSDKVHIEISEKKERLSTK -LFNIDKMKDIEETLSLLFPSYGDYLSLKETIDQVTFQSAIHKVNERRRVRADVHLTGTEGFSKLPMYTAA -VWAWFDVKTIPAHDSIYRTIWKVYKEQYSWLSDTLKETVEKGPFKTVQGVVNFISRAGVRSRVVHLVGSF -GKNVRGSINLVTAIKDNFSNGLVFKGNIFDIKAKKTRESLDNYLSICTTLSQAPITKHDKNQILRSLFVS -GPRIQYVSSQFGSRRNRMSILQEVVADDPTLHWPDQDTSQKQLEDKFRELAHKELPFLTEKVFHDYLEKI -EQLMKENTHLGGRDVDASKTPYVLARANDIEIHCYELWREYDEDEDEAYQAYCSEVEAAMDQEKLNALIE -RYHVDPKANWIQMLMNGEIETVEELNKLDKGFESHRLALVERIRVGKLGILGSYTKCQQRIEELDGEGNK -THRYTGEGIWRGSFDDSDVCIVVQDLKKTRESYLKCVVFSKVSDYKVLMGHLKTWCREHHISNDEFPTCT -QKELLSYGVTKSSVLLYKMNGMKMLRNMEKGIPLYWNPSLSTRSQTYINWLAVDITDHSLRLRNRTVENG -RVVNQTIMVVPLYKTDVQIFKTSPVDLEQDVQNDRLKLLSVTKAGELRWLQDWIMWRSSAVDDLNILNQV -RRNKAARDHFNAKPEFKKWIKELWDYALDTTLINKKVFITTQGSESQSTVSSGDSDSAVAPLTDEAVDEI -HDLLDKELEKGTLKQIIHDATIDAQLDIPAIESFLAEEMEVFKSSLAKSHPLLLNYVRYMIQEIGVTNFR -SLIDSFNQKDPLKSVSLSILDLKEVFKFVYQDINDAYFVKQEEDHKFDF - ->NP_058528.1 RNA polymerase [Rice grassy stunt tenuivirus] -MNTNCQFSNISYLHNMNNEIVGVERFKYNDVEYDINGSLVDCFYKGAETIPTPSPNLKCFFNALCLCLRV -ESKDYIKVMNKLRNQYYAMSIWTASELKELLRELDPNDSYMATYYSIIHVSICLDICICIHDETWDSHCK -TFGDRSKLMIHMKLESRHYEAIDDPTYDYFELSSVLGGYLGSLDDDIDLPSMIELKVETKPLGDVFTERG -QWYNSLASLAESNLHQQVPQFNCNLFSSIVRLKKYSRQQEVAMLSLNLGMTIEVRLLSYHENLYSLEGGF -KCVGPGNGLLELIYDGSTNKWFFLKISGLLEVDQNYQVLEKVHDLESLIRQLTQSFVQPSNWYSNKLKMI -EKCKTIFPQRREVDYEPFLNKNKLLSLCFLSKELENLLTILLVDNDMVNVGTILKPKIYKYWGQNPELTK -KQKHFLLDSEGNLWGAVKSGLPVTVLRDDQYDKDFPTLSFSRKTAEFLFTSYDDDIQKLTNPEHSGYDES -MYGLYEMHPRLKVPETSEIVSPDETEIVISFENRFGNRKYHDFPSIPDNRAYSCKISTVKNIVHDFTFAL -FGDDLDVSFTDAGLFIPGDPDNNKTPDMIIKHGEKHYSVIEFTTRNTNMRPDVRSRGWEDKTLKYRDAIH -NRRDHFKISIDYYIIVVCQNGVQTNLMNLPTETMDELIYRYKLARQIALQIEQNLEYDIKADQAMKMEIS -SIKKIIEGIRIHKEDGELDPSKFIKPYTMAHYTKAVGTLESEDYDYLHKLDTYVSNKSMRKMEKLKHLND -VNIRAYRDEIRNESILMMQSRKMEYESNFIKNEEAYRTSNEASVQLPMLVPKIVRVVGVSNTHEEVRNVV -DEIISTSSMSSTEEAWKQGICGFMHYLYEIEDGKSDFSLAMEEPTLSTQMEDDLKKIRNKFNRISMVFDM -DDRIDLAKIGINGKKYSKDPEVLAYRNESKKPFSLFTSTDDIERFINEECLQLFTPHDQELDNSVLDLIS -DSLKIHGCSSQSRLLESLDTYLKSKAYLFTKFVSDLAVELSISVKQNCQPREFIVKRLRDFQVYVLIKST -GSDGKVFFSLLFREDQELSKIINTTFKKVSKLGDRFLYTDFISVNYSKLVNWTRCESLMLSLYAFWREQY -NIPPNIGISSIPDEDFNSDYLKMWANCLLVLLNDKHQTEEVITSTRFIHMEAFVETPNWPKPHKMFEKLS -TIPRSRLEVFYIKSAIKLMECYTETPIRLDNSGPMRRWYNIKNPFVTENGSLSNFPNHDVMLSSMYLGYL -KNKDEDPEDNASGQLISKILGYEDKLPRGEDKKYLGLEDPPVDQCSTHMYSISLVKRMCDSFLGRLKSET -GVSDPKDYLSTLCLEYLSHEFLESFVTLKASSNFSAEYYEYRPNENKRSRPQTVNEDLPKSESNRRNYGR -SKVIEKIQTILTKKDPNEKYRLVVDLLKESLEEVEKNACLHVCIFRKNQHGGLREIYVLNIYERIVQKCV -EDLARAILSVVPSETMTHPKNKFQIPNKHNIAARKEFGDSYFTVCTSDDASKWNQGHHVSKFITILVRIL -PKFWHGFIVRALQLWFHKRLFLGDDLLRLFCANDVLNTTDEKVKKVHEVFKGREVAPWMTRGMTYIETES -GFMQGILHYISSLFHAIFLEDLAERQKKQLPQMARIIQPDNESNVIIDCMESSDDSSMMISFSTKSMNDR -QTFAMLLLVDRAFSLKEYYGDMLGIYKSIKSTTGTIFMMEFNIEFFFAGDTHRPTIRWVNAALNVSEQET -LIASQEEMSNTLKDILEGGGTFYHTFVTQVAQAMLHYRMYGSSVSPLWGSYCSMIKLSKDPALGYFLMDH -PMASGLMGFGYNLWKTCKQSFLSVKYADMLNLEFNTENSKRKMTPDIANLGVLSRTTTVGFGNKTKWMKM -CDRMHLTDDIFDSIEQNPRILFFHAKNAEEMQQKIAIKMRSPGVMQSLAKTNTLGRRVASSVYFISRNVL -FSMSAGVETDEKRKTSIFRELLNSNSNVVSKIGQKEAQIPGVQSLTEEPSDDFYSVEGLREGVIKMVSVL -TDLTMEQSERLLSEKFGLTLDDTKLNDWFIDENKLMHKLSKGFGINIHVYISRDPEASFKLCHTFKCLTN -SENLYFMLNPNYLLVRRQESSSMSDEHRRQIQESYKEIQSLFPEETDYLEIESNLSSLNLNMARSGINQR -RRVRSQIQLTGTEQSSTFSVYSVAKFIWFGEKDVPAHPKTLKIVWKKYKETWLWLRDTIGDTLVGSPFVS -YIQLNNYLSRVSTKGRVLHFVGTMGKASSGNVNLMTLIRNNFSNGIVFSGGFTDVIKKEKTEDYKSLLSN -LTMLNQSPLKYEEKLVAMTDLIVDNKDLEYSTSMLGSKRNKLAIIQMFLRTDPDLKFSGDYNTQDAVNLV -EHHLGEFDQNLSLGGFRSLIRMGQLVEKELLDSGMGYEELEKNFEDLTINSLSASARRAYCQYIYCDRVL -EDAYQQYNKRKPTQKMLLSLELLKAEAANDPTRNWLTMIGHRIVKSSYDLMKLRDEAKYCRRDIMEKIRI -GNLGLLGGYVQKQSYNREEKKYFGPGVWRGYLHDVAVQIEVNSDQNMESYIKSVSLSSAMHLSDTIQSLK -EWSREHRVGNSHYTMAYGNRDCEMLGRMFEFRRVQMSDRDGCPIVLDPKLIIHQPFLSDSFCIDITDHSI -RLLQECTGERAPYTTVLTVHLSKKDVITSELQSQQNVNMIKRLKMDDWLKDWILWRDQRAPTSLFTQMNL -GQFPDLVDEKRLKSWCRELFESSLGYQKIVQLSKLSKAARDRLAHDYPESIQEDKEVCEELESMESLLTR -ISQAYKTIDMTIKDEDLEHLYELARDLAEEQDEIQMEKEAVNVSLFHKMFLSSVRKMDTFMGTDDLRLTM -NIIKGESRQKLPASSMHYKRILQFMYDVPDSQFPTYNPPSSRGRGRRGRGRSYMF - ->sp|P27316.1|L_RVFVZ RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MDSILSKQLVDKTGFVRVPIKHFDCTMLTLALPTFDVSKMVDRITIDFNLDDIQGASEIGSTLLPSMSID -VEDMANFVHDFTFGHLADKTDRLLMREFPMMNDGFDHLSPDMIIKTTSGVYNIVEFTNFRGDERGAFQAA -MIKLAKYEVPCENRSQGRTVVLYVVSAYRAWCMVYLELERTLKQREMVYRYRLALSVMDELRTLFPELSS -TDEELGKTERELPAMVSSIQINWSVTESVFPPFSREMFDRFRSSPPDSEYITRIVSRCLINSQEKLINSS -FFAEGNDKALRFSKNAEECSLAVERALNQYRAEDNLRDLNDHKSTIQLPPWLSYHDVDGKDLCPLQGLDV -RGDHPMCNLWREVVTSANLEEIERMHDDAAAELEFAFGSKGQARERNRYHRVHLNMGSDVLVYIAALGVN -GKKHKADTLVQQMRDRSKQPFSPDHDVITYLNFSLHALVTCGQQMRTCTALSLVIRDSVGSPEDSSAILV -RKGFHEIITEHYKFMGSRIGHGCQMVSLIGAELSASVKQHVKPNYFVIKRLLGSGIFLLIKPTSSKSHIF -VSFALSALAGPLISPLPGFSSPTKMLGILLVTDFVSYKLSKLTNLCKCVSLMESSFSFWAEAFGIQAGTL -VGDFVPRSSDSAAMDASYMGKLSLLTLLEDKAATEELQTIARYIIMEGFVSPPEIPKPHKMTSKFPKVLR -SELQVYLLNCLCRTIQRIAGEPFILKKKDGSISWGGMFNPFSGRPLLDMQPLISCCYNGYFKNKEEETEP -SSLSGMYKKIIELEHLRPQSDAFLGYKDPELPRMHEFSVSYLKEACNHAKLVLRSLYGQNFMEQIDNQII -RELSGLTLERLATLKATSNFNENWYVYKDVADKNYTRDKLLVKMSKYASEGKSLAIQKFEDCMRQIESQG -CMHICLFKKQQHGGLREIYVMGAEERIVQSVVETIARSIGKFFASDTLCNPPNKVKIPETHGIRARKQCK -GPVWTCATSDDARKWNQGHFVTKFALMLCEFTSPKWWPLIIRGCSMFTKKRMMMNLNYLKILDGHRELDI -RDDFVMDLFKAYHGEAEVPWAFKGKTYLETTTGMMQGILHYTSSLLHTIHQEYIRSLSFKIFNLKVAPEM -SKSLVCDMMQGSDDSSMLISFPADDEKVLTRCKVAAAICFRMKKELGVYLAIYPSEKSTANTDFVMEYNS -EFYFHTQHVRPTIRWIAACCSLPEVETLVARQEEASNLMTSVTEGGGSFSLAAIIQQAQCTLHYMLMGMG -VSELFLEYKKAVLKWNDPGLGFFLLDNPYACGLGGFRFNLFKAITRTDLQKLYAFFMKKVKGSAARDWAD -EDVTIPETCSVSPGGALILSSSLKWGSRKKFQKLRDRLNIPENWIELINENPEVLYRAPRTGPEILLRIA -EKVHSPGVVSSLSSGNAVCKVMASAVYFLSATIFEDTGRPEFNFLEDSKYSLLQKMAAYSGFHGFNDMEP -EDILFLFPNIEELESLDSIVYNKGEIDIIPRVNIRDATQTRVTIFNEQKNLRTSPEKLVSDKWFGTQKSR -IGKTTFLAEWEKLKKIVKWLEDAPEATLAHTPLNNHIQVRNFFARMESKPRTVRITGAPVKKRSGVSKIA -MVIRDHFSRMGHLRGVEDLAGFTRSVSAEILKHFLFCILQGPYSESYKLQLIYRVLSSVSNVEIKESDGK -TKTNLIGILQRFLDGDHVVPIIEEMGAGTVGGFIKRQQSKVVQNKVVYYGVGIWRGFMDGYQVHLEIEND -IGQPPRLRNVTTNCQSSPWDLSIPIRQWAEDMGVTNNQDYSSKSSRGARYWMHSFRMQGPSKPFGCPVYI -IKGDMSDVIRLRKEEVEMKVRGSTLNLYTKHHSHQDLHILSYTASDNDLSPGIFKSISDEGVAQALQLFE -REPSNCWVRCESVAPKFISAILEICEGKRQIKGINRTRLSEIVRICSESSLRSKVGSMFSFVANVEEAHD -VDYDALMDLMIEDAKNNAFSHVVDCIELDVSGPYEMESFHGRSTLTCTPSTILIRTYTFYLTLHQTMISV -QAFQVILDEGVLLIALVNNYLRGSKANCWVRCESVAPKFISAILEICEGKRQIKGINRTRLSEIVEFVLN -LPKIKSRIYVLICRQCHGANFPPISVRRLMLEDIASVARRLIIVASFGS - ->ALS19620.1 polymerase [Toscana virus] -MERILKKQPAPVRALTIHPLRRYESSIYDTPIPAYVIKHSSDGVTIDIATSELADGQSGSTIQPFESVPA -QNLTLFKHDFTFGHLADTTDKKFVEVFGVLENRADDSDFQSPDMIIETETGHVYVVEFTTTMGDANSADL -AARNKIAKYEIACLNRSAIKPISLYIIAVHFNGVVSNLDLSDEEVNEIVFRFRLARDIFEELREINPALF -DSDETVSRLEREVNSVMSAIQIDWKTTEKKFPSFRKELFDNFRSKEVDEEYISKIIKECTDEALKGIERD -SLYTENITNKERFEMNSKRAISDIKNKMAEMMSYEFLRDTEDHKSTVQFPPWVTRTGPSGKDLEPLKSVN -VEGSHPMCKIWNKVCTNASIEKIERMHDDPVLELEYAMSGSTERSVERNKYHRTVLTLSPEEREYAAVLG -VCGKKNANLGAVKEARVRSKKGFSIEHNTERVEEFLSDSCVDDLTPTEGLYNPLSEDKSLRLLAMGLHQP -TLIHMDEENPETLDCHLKFLSSPIGSWLQMVSIIGAELSASVKQHVKPNQFIVKRLLDSAVFLLIKPTTS -KGHIFVSLAVNKKSLYGELSKSSVFKQSIDAGDLLVTDFVSFKLSKITNLCKALCVLEAASCFWAETYGF -EPWKFVDQASAAKFSDAWFMIKLSLLTMLEDKATTEELQTMQRYVIMEGFVSLPEIPKPHKMLSKIPKVL -RSELQVFLTHRLFNTMQRISATPFQLHKVGGNIRWKGLFNPYSGNSIDELQTLISCCYNGYFKNKEEDTE -PSALSAMYKKIIELEHLRPPTDKYLGYEDPTDPKMHEFSRSYLKLLCNHAKTKLRKQYGRGVMSQIESSI -VREVQSITLERLATLKATSNFDSSWYTFKEVKDKNYTRDKLLVKMTKFAHRGKTLAIEVFEECMSRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSVIEAIARAIGRFFDSDTLCNPTNKIRIPETHGQRAKRRC -GRSVWTCATSDDARKWNQGHYVTKFALMLCEFTPQEWWPLIIRGCSMFTNKYMMMNLDFLRIIDSHKELQ -IEDEFVSKLFKAYHGESVEPWISQGCTYLKTSTGMMQGILHFTSSLLHSLHQEFVKTTAIQLFTLKLGSD -ASSKVVCDMMQGSDDSSMIISFPADNEKVKMRYKLVAAMCFRIKKSLGIYIGIYPSEKSTPNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLLTAITEGGGSFSLAAMIQHCQCSIHYMLMGL -GVSALFSEFSKAISKWLDPGLGFFLFDNPYSAGLSGFKYNLYRAIMNSSLKSIYSFFMKRVKGGSQKTDG -IISESCSVSPGGAIVMSSTLRWGSVEKFKRLRSRLNIPETWKEMINESPEVLYRAPQTGTEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGSQEYKVVNNDKYSLMQKIIAFDQIGYSDEISQEDL -LFLFPNLAEFEAFDSIIYDKGRFNVIPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -PGFRQEWDRLKAIVRWLRDTPEETLEASPFSNHIQIRNFFARMEGRPRVIKVTGAPVKKRSGMSKIAMAI -RDNFCKSGFLQGLEDEVGHSRAMQVEKIKHYLFSVLMGPYSEEAKLEYVVKILKEEPQVILNYNDKRSRA -NIISLLQRFIKSEIGIATLIEDMKAGVFGAFVKAQQFSQSSINNKYYGKGIWKGVMDGYQIQIDIDGKEG -MPSHLSSITISNCSKPWVLTQSLKAWCEDMQVYNNMDVSKANPKANYWMYGFKMYGSSYPYGCPIYLVRH -DITNLGLLHDDDIDIKVRRNTINLFVRSKDKRPRDLHILSYTPSDSDISSVSSKHIMEDEYFIYKGAFSV -EPTRSWMLCQPLPWSFVRPVLQVATGSRRSPRQLDLERLREIIRLCTESSIRNKVGTVYSQNRPEKFIEA -EPIDMSEMFDMMLDEGMDDAFEELADYLTVEEDPDYMDEVNFDDDSLNLFGPAHYKELQSLTVLAHPLMD -DFVTRLVGKMGRPQIRRLLEKNVTTRDLRELSELLFMALDKDPSQIKEELILGDSPTEVPDDLLG ->APG79273.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 13] -MEEPKVNRRVGYSAMYFPPPDLTKLPMFLREGLSDLRAIIPIAPTLITTYETSGQDFQCFFNALDFIMEI -DDTKQLLYDNIGKVPLSESHRQHLTNVLRRGSTEWGNSIVIEFASVVLDVTIPVYVTVGDILLGVAYYGS -GAETRAIRLEASWSPNGLNGVHFEAIAYNEDDLLLLPSEITRSDEVKSDEEYDVASNDNFLEVFKSIDVK -SPKKRIRVRKHRPRARDRWAKKNAQEIADALDLPVVDNDEAWKNASKKGKGHSIPLGIEYPEVKSAEVLK -DVIEVPPSEQEVPSEDGKRVDLDKDDEIASDDDLDFDFVESVTDRLKQMSFEEEMGASSGSDSMNEAIRQ -ARELVDDEEKFEISLARKIWNKIKEKIEKISMSLGSEIIVGTEPGLHEKLIEITKRLEQDKIDRMDLVFR -MTESLKILRSQYESLDQNDDDDLTNEEYKRLREERKERHRERLRKILDDSTTVTREDCLEMDEELAAILD -EEEDFCAVDNYMSLASRAAAHYSLSRAADFRNEEKFYAEEKPMSVSADVMISDSFHQCVDVAKDGKNVRW -IFTEPDGFNYNESSIRSGEATENLVDAHKIRHNIVAGAIDSKETDRKLSDVFGPLDEDDNLTPDFIFEES -HFIVVVEVGTSRATDEKVLEEDYNRKLSAYSHCLCRRARADKRIIYIPVIVGMRSVVSSIFLGQSMVNDL -LIRMRIGCAIEDLAKEKGILTFEHIGNSQKEKVMSAIDATLSRCMKKDPPADDSEKKKIYITEDYIAKVV -NLKPDWKKITNHYAQCIALSREDAMRKLPPMKESIEKYMKKVSGDGLSVDVVRPDGTIGTMHFRRDKKPV -AIVPLIEPDPTETCKVPRRINIFHKEGPAELQEIWHHVLNECLDETWIDDNVNNLLLEAFGSTDKFIIQM -ETKRKEKRSKYHRVDISGIYGKVGPYLEKDGVNAKKLKNDPEHQIRQLFQKRAFAVDTEVDDIEEFINDS -ALFERSSKPLNCGEMKVMELLEKAQEIAGNTKFTRDCLEDWMLTKWYRAADLLTDIGAELTIGLKQNTAA -EEMVLRKIPNYDVYILTKPTKSDSHIFFSLYCPNGEASLMGLPFRPVHGAYPGFMTDFVSVRADKLCNIL -NAGPRFLTVVSFFADFYGLDVRDQDACCQHSEFKKMVNFTMLVSIENKAATEECITASRYMYMELFKTQS -SVIKPDPFKILSKFPTIFRSRLALYVMKKIIATFSLMVQNPPTPRFDTDSHEFDKSDGLPGDKWEGLLNC -ITGGPLESSTAAVNLMYIGYFKDKNEAAQENTEVNMAYKIVEAEMVIDVDNPALRGEMDGHPGRKKGSKN -FCMHSIAAGCDLLKKRLVNSMGHDWESKINRLILKSLSKQLTHEIATLKASCIESHTDTDRTTTPEDKER -IQRCKVIEALAADIGSFGTNPMLRLDDLLEYIENTSSGVICDLFKKSQHGGLREIYVLTIRSRLIQLALE -TFSRVLCSQFPEETLTHPENKLKTLDEHKSKAQKYSKSEGIPYTNICNSSDKTKWNQEFVMTAMSIPLFR -LLPSKYHGFIQRAFNLWANKLIKLPPSVLRMMDLGIDLSSETFQAIKTAYYGGKISGPGIFRKKRSPFLN -LTSGMMQGILHYTSSLLHLTFLGLNKMYMKQILKGIGQIVDKIQSKKVKKIRVLISSVCSSDDSATILTL -FGESDVFVERDKFFLRWASILMNASVYFCRFFCMEESDKSAIAGFNTVEFNSEFILQNTLAVPYIKYVAA -ILNFTQSQSQIKACHEKYNLMSAAFQSGFPALNTHVCQIAQLIYHYKAIGSSTTKLFDNFFELIIDYPSP -IHGFFLMDTDLAPGLAGFSYCYWKAISGLAPQLASSLKFLSRVEPEAGPDGVAVQSLSIRHGDLERWVKA -VDRVADGKLVTSYSTYRDTNQKVFVNRPALNEKLQVIEENPNLFFLDPVNDEEVRIKLLMKMTSAGVADA -MQRGNPLIQAFSATAYGLFTQCYVTTTLTKQVNESLIKETKKISLLKALTDTIEESERIEINDIDLDKHF -PLAAKYKEMDVVIDYYRNAEEVQCRDFRQKKSTIRLASSASKLPISLLQCLRSAWYGEDCKYSNRVVAEC -LDVYKEQYPWLRGDFKTTLENSPFESFSELHGFLSKVEFKTRTFVRLGPRIMSASFTGCVHQLVKKCFMR -RIVLVFNSPTYKKSPFRDGSFQDALCKLDLALGIPERDQRNAKATEVILGLVSCNPSTHQEKKAHIMSQF -MNGILNQKSVVNEMKYNKLEPFLVFPLEQTRQESAKGVRWVGKGECVASFGGMEVRFTLKDGTITMLEVN -DLEHVRKNPGLIINLMNRLQCRMVVRDCKNMPGVVATFDGKRFRSVFYSGTPVYVDPGLTSVFMYPEKIQ -VNIFYRGFGLFYYSKQGHKVYLLKYRTMDRELYSMSTVETRKGVKRRGIWDCWVDSYPLDCEELLSRCVR -FFSDEIVQLGMQIGYSENQLLKLYKKVKWDKPWITDDEMSLEKRWLQDTFRKRIYFRGVVFRQVFESVCK -EIEDEEQDDDIIDQDEDEIHEQIYNMLKSDYIGEALEDLIKGTREDMTWADQVDLEEAGIGEEDLMLASG -LTSDIDLIQLNLNFECIQRAPQSVIESKTPDSYSQHPLWDRTIEILTEGSPSFITDALSGIVSPVFPQLS -FLVQALGGVRTVRKSRNMKTEISFNVM ->APG79267.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 3] -MVISRRHRYKFIHNVVEEAVSYCGGNISSTDVLLSDLGIIGDDGDLKTPDRMYKDPSGDVLIIEVATSRG -RDKNVLESIYRKKVNKYWDVLRARAAKIKIYFCVIVVSDEQVLSSCPLSRELTNDLVVRMRLAIALTVLY -LGTYNKVLDVHDHIHDKSRYGDMIKKEIEKLQYKLPNTPKNPLHIDEEFIKRCKKVPDANHIAKCYIKDY -YPFCSKLINEKRPDPNLEHKKLIDRLKEGDRKFNIKPVVNLPLFSVQRSDEPSTDVKSYGFGECNEYLAT -NKVWRNAMMEVDNNLESFLEDPSGILVHALCEDNYMVKEFENATKTSRKKYHRVSAMSDLDSTERVLLAS -QGVDGKRYSKLDTIKERRSHQQKSFSWTTPTNDISKFLCMKTIYEGNHDPGVLMNLMRKADDLSGNPPES -SDVAEKWMKTDLYNSFDIMSAIMIEVAVSCKQHCKRDEMILKKLREFDVYLLIKPTKSSEHCYVSIFVPH -TKTFKNYGDSVFQHFTKVSMGWMTDFVSFKPGKIENKSSMSASVISLASFWSWFYELDSCDPVSVSKHDE -CSKMLLLSLLIRAEDKKYTEEAITLTRYMVMECFKSNLSIRAANPSRLFTKWSSIVRSRLQLWVIKEASS -NFVSMEINPPSPIRVDKDCLPEGEDVAPQDSWIGLLNPFTGSEVKTGSKMINLVYLGYLKNKDDDAEGNT -DYQIIEKTVEEEFNFDRNQSEKAMGFNENCERPGNKQYDMDFVVYGCSVLEQRLRVRYGDSWKSQITDLI -IERMSGMLTEEIASLKASCTVNHEKVDDMSAKVGDSKAAKRVKVLEAIAENLAMFSLNPLTRVSKFIEYI -EDSSKGLILDLFKKSQHGGIREIFVMTVQSRVVQLFAETTARVLCEQFEEETLTHPENKTLKLDEHKAAS -VRIALKRNCNYEDMCSSSDKTRWNQRQLANLLSITLFRLTEEAFHPSLQRILNMWTERRIKLPQNVINML -CTSAQLQSPVYKQLLNEFHDPGPDKIFKKKSDPFIHMTTGFMQGILHYTSSLLHLCLLNFIISLFLPRLR -SRFPMLYFTISAVCSSDDSAIIITIFAEVNSLGTLPSDDYKRGVAEALVILEYANQLCEYFSMANSTKSV -TGIPNIVEFNSEFKLDNTLAMPVIKFVAASLGISEAESFIQRFHTQYNLLSDLNRSSFPSFNVSLCQRSQ -LILHYKTLGSSTNPLFRIWADNIVRFPDPSFGFFMLDSNLLPGVMGYSFMYWMASMNSPILRIPLSAYAS -NSLEISEDGGVLRSLTIRMGDSERWLRMVTTVKGSLDLEYEVEKDPSILFRKADNLADLKLKLAIKSTLP -SVSKSMRRGNSFVQALASSVWSISYHCFSKISVYQDANGKYNKCRDKTSLLLELKKAISIAGSSVSPQRD -IMKMVFPSLERYEEAHEVISLLKTYSEIGCRAPRNRRVTLVIQPRTLDIPLSLKQVLDWKWNGTPLRTSG -ATIIRCWTSYNEMLPWLSTNFKETLENSPFGTFQELMGFIESYTSKTRYFVRVGPSTHGVKFTSRLSLMI -RKSFKHGVVLMPPGERLPGKIIGNDIMSQVSLALMIPDEEVRKDKVRNCLINGEIIFKSLADLNGMSERD -RNFAICQAIEKKQVKLSDLVAHMQTTGIGVFMTFNKSQEKVEDEKKRYKYVGSGKATLRIRKTLVELHLM -NDRCVRIVVSRWEPLRNSYIELRSIMRSIRVKPDLIEKRSRANKKQRFICRMSESGFSERGEIGTPIYED -PDLVFTESIHNLLTLEIERHRMIVYSVNKFHKGKSEVLRWEHRSSDIKQADSSQVKDDLWEAWMNCCPLA -WHVCFAFLELIVETKGEAGEIARSWVRNILSQRLSIKYSRSLMAGIGVFDRNEPQIIPQELAPEIPDDEL -LSLFETVSESFRDDILDELAGLLSPLKESEDTLDSMKLLWAPVDRDLEEEYKRTEPSSSRDYYSRTPLFD -ELIRVLENESPGFWINMLQGVVSRSHPEFSVPLMTLMQIQPKVKSTKMTDKVKIAKAKIKNSFRWARSGS -IDDDDPLKALAEQRSQEKEEENNERIQNAIKRVQESPMSTSQSDEDDSDSFYDDEFDDDFDEELITGALK -KAERISIRTSDSESDNVSVITTIENYQEKTLDKPVIAPFLVSQVRDQDVLLPDLEINYEGLSLPAVESNE -LLGSVIRSFYDGLVLLHRNIVIFSTYSLVERCVESNKLLNPGEAISDGRCFYDSIRQMIDYKESVESLKE -IVWNHPLISLFNDPEKAKNFFSIRNEWSDDWAVKACSVILGIQLCIHTDGMFCYYSNKGKPILHLRLSGN -HYVPLWKENWDGTREMITQDIIKQEMLDVDIKDFLSRDRLGRSGVNTDLIVYVNSKIKDSMEDLTKINHK -LRDVFGKEKHAFIMIGMHDRIRRLRLTIMNISSCLEKFDPGPGELIPVHPLIIVLRKTLTDVSNIIYSIE -NSLDSIA ->APG79243.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 2] -MVEFTTGFVPSPYHMREKMAAKVKKYTPLVHILESQGIRVKLDVVVVSKQRVISTIALTKSICQGLCQRY -IASFASFETIKASCPELREFFLSEREKTSTIVDMRPVMEQIGINESFILSRYKKCCSKINTCYFDESAFI -KRVYKEHSKLNETTQPLYRSVRDLVEPGGRIDHDDAVSRFPLAIPFETLDNFRLSGAASNLSSCLPINLY -EIWSQCLPAFVSDPDRFQAFSWLPGQDIELFCQRVKAITKEQRNERGKITLSLSKAAKEELALRGVLGKK -LRFAKFMKMRREETQKHFHPDSNIQDIQDFVDSFPDRLDSSTVSHQETSLSLLSFATPESKWKPMDTYDK -MKDNVYDMLVFLADLNFELAASAAHSCKSKDFILKKMSRWDAFLVIKPTKSQEHTFFSLMMRTRNDLHLG -RVFPRAHKCGDYQFYDFRSVNLASIECLIRLPECYLGVKYIAQSRALLKNLAMGLLMALDGKSDAEEVVT -KSRYMYMKATQIPWHARNPWIVLEGLPSRPRSRLTLFLLHRCVCLVPRLSDMKITEISDEEDKWENIPNL -VSDDLLSNWEDVLQVIYTGYFRNKNAFSSSNQFMAMLEKIVKPEMELQEDEAYHDAVLKGNSMSPKKMEW -NSGFLELCVDRWLIQCSKNGMPEVKKYVTEEFLNRLSKVHLDELATLKASNVDLVEKEFLENSKNMPREK -LVTVVHREVSDFGETMADALPVAIQKIADRGGSMMISLFKKAQHGGLREIYVMDLASRVVQYSLEVLGRV -LCDTLPHEAMTHLAVKNRYRQEHSAKVQTRLNILQKKCSEVKSFTLFDNDDAEKWNQYHHVQKFAKMLEM -CTEEILHPYIRVGLSQWMNKRIRMDYSMCKSMKDNVYIPSSPVAKYIRDGFIGEISSEIAKPHMMDLRIE -SGMMQGLLHFVSSALHALCMLGFEYMKLKMISHLKRQIRRVKPDLILEVISTAIVTSDDSICCNTVLTNM -NSSQAIVLGSTMCSAVKLVSGMYVGITSSRKKASYGTAPISEFNSQWMDRREVLRPCIRHVLACFTSTCI -GNFMEQQDEMASLRQQALESGCSIHHVSFINLLQGLFYYRMAGSCTNAIFDSLTPLFMSLPDPNAGFFMM -DDPKFAGIMSVDHSAYNLALKTNLGARYNLCLSEPVHLTGASGSIRSSTSMTIYFNRMTKHKALLETIDH -KGVREYMEKHPDLLYRKPKSLEETQMVIAVKLMTAKVLQSMSKDNNVAARQIASSVYHFSAPLVLPDQEF -LDRVTGIDIDTMPTKTSIAQLLSKYEYACRYEAEQGRRVLTPLAKVWYFPQHKEFDRLNELSAALESRVE -WAHEVEPKTATVIEVIRSQFSDIPLVKCCAFRWFNLKDYFTNESLMEVTWHEVREKYPFLQDTHDETLSA -SGLSHPIQLRNALDRTEKKGKVIRPTSRGGRAMRSTGLASFISYNFMKGKILKSHGSFEGEDLLKKGLRR -ISMTLELPISEEKKKELIRSDINEMEYDILRTHPRYSGLCLAHSSLPENLSMTNCLKLCPSEAGAVGSWI -QAQKKRVVRGRREWYGHGCWGGIFSRGDDHARVLVNIHDKKVVQIKTDNLDLLQHFSTTLTKLFKNWSCD -VPDTHDGNASTHFKADFGVPVGVPVFRGEHPLFPNQLMSEKPELVIRNKLLTIQASGLPLYEFKPRADLI -QGGIASPNGPMLSLLRDNLSIPAERLIMFLKKKQTGEIKKIQDWLVELIGNFVADPQEVLPEHFLMDIAD -DDDEMPDLIESYQVASSLLTLSEKPPYTDDEGEQIYTAATLDCLGEDDMKLFEYRTDLRTFISPIHFNFF -QSLKMYATPVVNRLAVSGVAMSEMEQFVYHLYTGEDLPLYQEADAEDDFFELTY ->APG79349.1 RNA-dependent RNA polymerase [Wenling crustacean virus 7] -MAFKDILVDSIESDILANQFICDPYSIYDSSVNPYLIDFKIDYEEVGNIVTKFRISFDCDLDDFSTGTTL -KASANKIYNVTEMSSFIHDFTIEPIEMDTDVKLSYMFPLIDDGANHLTPDSIWRTTKGHYVLEYTTSGTT -NVSSMEKTFAKKVASYSQALQNRLEKDKYIKFDVIVISPDSVITNCQYLTDSMIDELCYRYKMAVAINRQ -LVRDGITRSDVDDSETQNQKKIHDILASIRPSPSVKFMDESFLHQALKPCDRDSALKAVNDCMGKCVKKV -NDRIKNIYQWDPDNHKAVGKTIQNKVSEMEEMIEKYEESVNENPLRSLFDKKSVIQLPFWVLNEGDGCIS -ITSDNLNSESVGWSNDETFKVWLAAIQKLEMGLVSNNEEDEDLEMKIAMGEEQADPMQKGKFHRVSLEID -GSMKKELQKVGIFVDRKFQDEDIKELRRDKNNGFSLDVEVDDIDDFLENTKIWEDTETVLMKDSEILDTL -MESSKLHGSEEASDISREVVESFLCTKMGRVSSFIADLATELCASIKQHCKKDQFVLKKLRHFDVYVLIK -QTNSMKHLFFSLLAYNQDIEFSLSSPWKKFNSNGRVSWTEFVSVSQSKLVNLVMAESNIFASWCFHHHFF -SVPIWQERNDYRVCKQTNLDTLIMLHDKSQVEEIITNMRYVMMEGFVSPPNIPNPHKMIEKLPIKIKSRL -TSYIIRRCFDSIRRISNGYYFKPIDPEKPSDDMVWLNLFHPITGDRLDSPYQLINTFYIGYWKNKDETPQ -ANTIGKMFIKILELEKDRPTKENVGETSKFEHELKYHEFNADFLKLICDHAGNYLRLSIGKDWKDVLDKE -IRSKLAEADIESHSTLKATSNFGPDWYNPDVGKKYFRSKVIENLWKLADEQKTLMLELLPKSLSFLEENG -ESLFIDLFRKPQHGGLREIYVLTVEGRVVQLVLETISRVLCSKFSSETMTHPKNKVKIPENHAKVARKQF -GSNFITMATSDDAKKWNQGHHVAKFAMMLCRLTKPLYHGIIIRGLRLWLNKKIMIPLELLKIFDCNPFLQ -SYDPYLARMNDCYRGRAEEIWMKRNSRYIQTETGMMQGILHYTSSLLHSILLQYYVDICRESGKNILRAL -DVKPNFIISTQQSSDDSSVLLTVNCQKDERVYAMALGSQLFDLKVYLSKLVGIYDSIKSTRLTLNVMEFN -SEFFIYGNQFRPTSRWVSAVNRIPETEAFSARQEQMANLLTEVLEGGGSVYLCSLLQWSQGLLHYRLLGS -SVNGLFFNYINALSLIKDPATGYFLMDHPMAAGLMGFKYNLWNVLMTNRTLSSKYKFMLQNMQEAIPKLK -EKGIQYKTLETTTSGSFVNSILVTWGNRQKWNRMIERLNLPENWLTIINNNPWVLYKKPETRSELVLRIA -AKIHSPGISESLSKGNAISRIMAASVYLISRNVVKDTSQWNLNEERRTQSLLKIALDDNKFLDGSVHEGL -SKDELRMLFPFSADYHEIRENLMHFINFAGKKQLELPKKRGTNIRITESDGDYLLNPIQLVAWKWFGIDK -LAAASRLKESSWNKLKENFNWLCDTPEETLKKSPFESQIQLQNFLSRLERKNRVVHLSGVAIKTNLGKSN -LLTAIYQNFYPGFTLDPVFDEQKAETSRSSRQVLHCLFMICAMPLTMSRKQQLMKNIIRSTPILPWDENS -ARSRRNMLHIVQKHEQSCGKIEVLQKIFHNKLGIIGGYIKPQRSKYIDGNVVYYGKGIWLGCMDDVTVRI -DVDSKDNMSYVTKVVLSSDTHVWATHKLIKSWLMDNNIISGIDIPSRDAAGFMNNQRIVMGPHGIGTPVY -LNSNLRYVYDMDSIVSIDTIYRNFTINLRAKIKHGHEFKEHNILSVSMNQSDINMEIGRMIKFEEGFPVV -AERWITGRSLKTSAARTLIDKTIDNESLRFTDLGENKIWVRDIIRESLRRKSLLPAAINLEQQSQTQVVT -TEEQDRLNRMIQNDIEVGFVQGIDFSTIMMQMSTQPDVCNPLADDVYEGVDILNVMEIDPRSGPKSHPIF -ENYIRYLIEDISTRQLQKLLIEKKISKANRDYLKFAKFILNCGEELFTDSLSSSESDIEESDDLF ->ALJ83282.1 RNA-dependent RNA polymerase [Ramu stunt virus] -MPSFDNENSFQSDYLGLTCFAELKDCTTDHFKVVRVPGDGWCLLHSIAHALNINSRNLYFNLLNYCLQNA -AYIDSTIKDILNPFFSSAWFEESLIILASCAYKVNIHVIHNNNQAVIFGKPKNRQNIYIRLYSNYGEPGV -HYDGIEQFENISARWELDEDKINFVREYSGTLTQDGVPLNKLYGELFPLSQKTIERMFSQPWAQNSSMID -FSNLNINICIIKDSTILLNMGSSRSSRIFIESRQHLYKVLCSDLTTASDSIKSSFCSFDNGRLRCSPDYL -SLVYPTLSECVVDLISWVFNGNVKEKIKNMLLIIASRSLNELMRCLQIDLTIYSNKKWGNGVISKRFGLT -GKTQYSILEGDSGYRFIYKSNYLSLNQQKSSFFEYKITKKIKVTTTSDMLGQLHGINMRTVSYSDLPQFI -YLNGMISDLIKIEPVSPEESIILSSLVSIRQGLSVVQTALSLTPDAVDIRLKSLKLLIGERNSYSMYLIS -PESQLSVIRVKRESTIPVKYKPLKTKTNLSSIECFPKNPHFKLNLADYTPKPDRLTSPEPQIYISHKSTN -IPRFELQGLATDIISVMFFDEGTTISTHNKNLYTFKRYNARTFVHDFTFDILSSETDLPFSKIGLAINDE -DDNKSPDLILKVNENTFYIYEFTTRRSDTDIALNKAFLSKDLKYKTMIQNRVEKLNVNIFYGIIAVTNNA -VSTNITSLPEDLCEELIFRLRVASRIFEEFVNKHIEGCNEEERSMEQIRIESVFKTINMEDIEYIEPFSK -EMYDNFSKPVSSEDKEKFRQLLVDAEKLSFKRIKEDLSINGVIENIPISEVSYQKFQLKKREFIESYRES -YPLSETRIGTKASVQYPFILSKSGGYEPVSENMCHLQRLLSMRETTAMERLINSCLVSVNNESGKSNEFS -FILLDEEERKICEKKAKSDRKKYRRVDYFLTDQDKLDLAEMGVHAKDKKEELKNIRAHKKKPFDLFETDT -SDIDDLINKSYIELLEDDNGDHPEDIDKLLKDSIALHDSTSIEKFLTLYENIRKTRIAKWCRFISDVGSE -LSISVKQFCSPGTLILKKLNNFDVYLMVIPTGGPIFFSIIIPKTSCYYKNDNSTFKKIHESENYYYTDFV -SVNSSKIRNLVLCESVLYSCIMLYSELMSVPVLSNFSLQNERFLIAFRLCLFSLLICLNDKSKTEEACTL -NRYIQMEGFVTYPKVMDQSKMFSRFNLNIRSRLEVYITNRLIQVMESYSRKPCKLSVINDRRVYTGMLIP -YLSDVDGSILPCDNPETMLNVVYAGYIKNKDEASEANSAGQLISKIIGWEDIVPVDKRFLGLEDPDIRNI -QKHEFNTTGIRVLVDMAKQRITKTHGASDPTEFIGHQIIDFLRKQSLEQFATLKASSNFSEDYYFYIPPS -KDKRKRKMGKVATDDNDSNQDVKRREVKKQDFYKREKVLIKLKKWMDSKYQDEEPIHLVVDLLKDALLEV -EDHGCLHICIFKKNQHAGLREIYVLNFAERIIQKTIEDFARAILKCFPSETMMHPGNKYKIPEEMNRESR -KTLGSRYIIYNTSDDAKKWNQGHYVTKFICMLVQFTPKYMHGFICRCLQLWLRKRIMMPVELLTLFNDIE -EIHTMDNIIQRTFSAYKGRSQERWLSEPKQSYIETSSGMMQGILHYISSLFHTIILDLVSERAQDMIIKR -LADMKIDGLPTKCVVKNMQSSDDSAMMIAVPVTENDINADRKISTICLIWFTFKEILSEQFGIYKSEKST -TMTPMILEFNSEFYFLGEVQRPTIRWVYASCLISEQETLVARQEEMSNTLKDVVEGGGTFLTALYCQIGQ -LILHYRLLGSGVSIFWDLFRIMISKIRDPGLGYFLMDDPLCPGLLGFNYNLWNAYDKTVLSVKFKSQLDL -EESAPMKETIKQITPETISLSLFTRTTTIRYGNKKIWERIMNNLGFDENTWDAIEEKPEVLYFAAHIKDE -VNKKIALKMKSPGVITSLGSTNSITRVISTGVYIMSRSVISTQSAYFNKDQQRELTKAPLLQLIIDEDKK -TEMLLHSIIDVVRKDSIEITEIRPDELYEIGSNPMSAVISLNPDLEMVDFSKLDDLYPSYIKKYLLVDNN -MSKLHFYLSCLNIQHLIYDETGSENLYSLSLRSELSSDFTGVLHRLFYKNGSVYKEMQSVSTDWKPDVNT -RISLSHQQRNLLFPFEGDYQSIRRNLNNLRTAVIHFEKNHRRRVRSLLVVAGCSSNELFSLEEIAKYIWF -RKVRPALPENIIHEIWSLYKEQYPFLRDSIQETKENPDCPFPNHISLRNFIARQSKTTRTIHLTGSHGKY -SSQHTNILTVIKNNLSESYHYNDPVIDEEKRDVALNFESLMHCFAMTSELPLTDKRRTDISIDLLDKSEQ -LEISMLAAGSRRNRLSIIQNFVKEDPHLSLGVDSTDDEIHKIIIKGLESRCRKYAENMEYYITLCRSRSW -DPTSLDHAPDVPDEVMTYFKTIGYYDSNGFTPYFVDKYYDLKLYEELLHHRNKNILKIVSSGSVCSISDL -FSYFTYFETKKHKLFKIIRTLKLGIVGSYTVAQPMINGIYQGRGVWSGYFDDVGVCITIDSDEKKATHFV -QIKVDRAPNDIKFFIEQLKLWASENGVGFADKKLKMQGLISLSCIYKFREVKPYHPYSVPIILEESLQVY -YDLDPSVFSIQMTKHNIKLVAKMPNTEQRDLTIVQVRFKEEDINTDIKDIAPRDLKLSLSRWSNIIRHWL -LFSSLDLNDLSFFSHSAPLSLSIFPINERLEWGNERVRNVCYKMKLDKQVILISSKITDPSVCSEKFTDF -GFDDVDMDEIVLYDEVDNSLDFLNDIEDFTDLLYSDQTKKELSEINKIFNHPILDNIMFQMIQSLGANTL -VKLLKDSLEPSFTLDQRFIILRPILEAYSGKPIDMKESGGDHDLDKTPSLF ->AJG39275.1 RNA-dependent RNA polymerase [Zhee Mosquito virus] -MKRSPWQSNRPSLASLSSYESSSIRAHLPDDLEDPPLACSLEGLCIHALIQTGVSPVGLNSGEDMVVQHH -KVLRELTLDQATILKDPATGLWSWGIKTKYEPATGSGADKEISYSGLRDDNQMRSLRHDMVGLALCQDPT -DVKLRTVFFERIPSGYGDLSPDYYREYKGCRYFIEIGTSKANSPEGADRDYLKKLSKYTMALEEADHDKP -CFLVVIIVGKDFVLSNFWLEPELVDCLTFHMALAQLMELKMESLNMPRLMAPLETHRDVMVEEIMRQLNS -IPVDEGPSQSPMWITKEFITSLSTPADEEKVMAYFLKEVASAKDTLIEMRSRQEDKRKADFIETLRTQQS -RSVQKPIMAFPCVVVPRSDDFKYDISIPIICDERGALDSTLNLWEAAFEGLNADQRFPERWFLQQEEDML -ETDPVKQKEIEDRNKEGRKHNHRCDVKGKLSKEDLEDLALDGLWGKKHQNNSAKAAKEEENKLPYNWDTP -LQDICDAVEEAAWFDEYDYANPDRSGLALMRHCMELTDQNGEMLSVVDQWTRTKIYSWLELISDISLEIA -ISMKQNVSSGSVLLKKLGHYEVYLLLLPTKMTEHIFFSIMIPPQDGVEVLMELPFRRLHKMYGGGLYTKF -CSFRADKLSNQATSLSTMLGLASYWSYHYELPNAEPESFVVNKVASQMLNFSLLVRLENKHQTEEAITVS -RYMYMEVLKCNSLYPPDPFRLICKFSDCPRSRLELWVMHRLLFSFQTMIDRPVVKPVDLDLDNEVDEDPE -EEVPHNDIWEGLINFVTGTQELRASRLVNLFYIGYTVDKDQVAQANTDYQILKKAVKREREFDLSEVYRS -DGTWDDFTETPKEKQFSINVIKMGCELMCTELSKAHGREFKHVLLNKILLRLSRHMTHELATIKASANLE -HVEWDKLDKADQVLRDRKGRLKVIEAIVKKIGLFEYNPFMKLEEVVVLIESTSRGVISDLFKKNQHGGLR -EIYVLTIESRIVALFIETCSRVLCEEFDCETMTHPKNKSEAIERHKAHVARTVHGKGLKFAELHCSADKK -NWNNHLTARALSIPLLMLLPGVIHGPIQRILNMWTLRLLQVPRGALKLLVEGVKLRCPTYTEMAMEFDRP -GSTGRSLFADSKSSFIVFNTGMMQGILHYNSSLLHVGFLKVTSKLIRTTMKHFHPSTICKVDQMCSSDDS -ATIMSIMFPMDEKEEECTTAVFLGEVICQALTTFSNYHCLMNSEKSTMGARHQVEFNSDFIMGNTVAVPS -LKWALACFGVTESQSPIKRQYDFYARLSQASSSGLPSTNTTMLQIAQGLLYYRLMGSSTSSHFNTYSKLL -KLYPDPTVGFFIIDHVYAPGVLGFGFMHWFLCKKTGITHLKMSNVVNGSLEFTPEGGLLESLVIRHGDSR -RYLSMMETLTDGEGVAAAREKINENPLALYRPAVSRSDSLIKILAKALSPGTADSLSRGVPFMQVVGSTV -YHLHTFSYTRLEASMPDGKKEVSSNKISLIAEIFRRIDAKNEPLIVPKQVAEALSHPNYRRYEEYITLLS -SYKEAREIPVRPMRYKKSSLRFQHATSHIGVPLYDLVREKWAGVPGKNSIQLMEKSWEEYLVLMPWLRDT -EEETLEASPFFDHVQLHSFVSASKKTSRTYSRVGPAIRSSYTLTQLDQLARRTYKEGVVLRLSQDQKQFY -LAFRDRRSAMGLALEIPVEGARLEAFKETVNNYPVERQTLESIRDLNRREAILTIIMAKAMGDRFSDQEI -TKAVEEMGGGLFVSYLQAQKKTTTKNKFGKVVVKWTGHGIIVLTAKDLVCHIKVQDNFATEMDVNSTRQL -TRNHTQVLKCLAEHSIYPSTISTLVSYGYYLTSDGVSPCGPGIGIVEKTGNTMKPPDNLSLSYSVTVQQG -RLALEQITENSKHVVLAYNTLSHEFSVASTNVLHDNVWDAWYQQGRLESSVAIDFINETGVRYKNELSKT -DRSYTCTKEVVALRQFLKKTLLARLRHKGYSVGALDYGVESDSESEAESEANSAVKMRLSDTVHCLKEPG -EIMGLLRGWAAQQDQDTLQENRLAGDLAEGILCNPFPEGYSALLSKTARPVELSTDRLSSQYSVLPFWDE -LIKIVSNYNPSAWPSILSGRNVAGIEFSQSLIWLLLEAKSLPALILGRSKSEQELSEADIAVYAPTGSYR -SRTSKDTAKMRLAIEAVFKKKARSILTSSEKFKSKPELVEEFLTMIEEPLTESLAIIDTESKSSAGSLGA -EEPDPTPEEKVSSWLASSGNTGPDTLPMASIMNVQTLLTSDEITWLHGILMSKLELIRPDAVVTTNHLKS -GVAVSEGPAIDEKEVLFCHLGTQESGHWVSCVTGMETNKWTPYIWVYDGLNSCSNRPEVFRQLKNVFPDL -AEEQLVYKEVPQQEGTECGHVAFLHASHALMGWKVPEYSKASLTTWILNTLICKRLQKLPAGI ->AFH88999.1 polymerase [Saint Floris virus] -MDKILRQQLPTDSSLTIRPLENKESTLYDCPLPAFIVTQALTRVEIEIATSEISENASGSTIKPFVTVPI -QDLTLFRHNFTFGHLASTTDKKFSEVFGVVESDDSNFQSPDMIIETEAGHVFVIEFTTTLGDFGSALAAA -RIKIAKYEIACRNRSALKPISLFVIAAYDKGVVTNMELDDNEVNEIVFRFRLARSIHNDLRLINPNLFDA -DEEMSRLEREVNSLMSSIQIDWETTSRKFPSFREDLFVKFQQSPSDSQYLGRIIKQCIDDAAKGIERDNY -YDKDWTNEQRYKENGYRASNSVMSKVSEMLASDFLRGLNDHKSTVQIPAWCTLKGREGKDLSPLKSLVVQ -GSHPMAKIWDKVCRNAILEKIERMYDDPVEELRYAMSGSRIGDSKEKLKERNKYHRTTVDLGSEEKEYAA -ALGVCGKKNSHLHASKEARIRSKKGFSIDHDISKVEQYLADFSEQDWTPNPHLYNPLSEDFILRLEAMGI -HQPELVHVDEETPETLKCHKDFSETPYGSWLQMVSIIGAELSASVKQHVKPSQYIVKRLLGSSVYLLIKP -TLSKSHIFVSFAVEKQALCHELNMSSVFKPSIDAGDLLVTDFVSFKLCKITNLCKAFAVLEAAMCFWVET -HGLEPWLFLNQLDSYRLRDAVYMFKLSLLTMMEDKATTEELQTMQRYVIMEGFVSLPEFPNPAKMLSKIP -KVLRSELQVFLVRRLFDTIVKISHKPFTIMKSKNIIRWKNLFNPFSGQMITELQTLISCCYNGYFKNKEE -DTEPSALSGMYKKIIELEHLRPKTDKYLGYEDPEKPEMHEFSRSYLKFMCEHAINRLSKQYGKNILKQIE -NSIIRELSSLTLERLSTLKATSNFNNSWYDYKSVKDKNYTRDKLLVKMTEFAAKGKTLAIELFDECMRRI -EQKGCMEICLFKKQQHGGLREIYVMGADERIVQSVIEAIARAIGRYFDSDTLCNPSNKTKIPETHGLRAK -KKCGQSVWTCATSDDARKWNQGHYVTKFALMLCEFTPKEWWPLIIRGCSMFTNKYMMMNLDYLRIIDNHK -ELNIQDEFVQDLFRAYHGEITVPWMDKEKTYLKTTTGMMQGILHFTSSLLHSLHQEVVRSTSMQLFINKM -GPDAASRVVCDVMQGSDDSSMLISFPNISEKVKMRYKMTAAICFRIKKSLGVFAGIYPSEKSTSNTDFVM -EYNSEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLLTSITEGGGSFSLAAMVQQCQCSIHYML -MGLGVSSLFKEFKSAIYRWKDPGLGFFLFDNPYSAGLSGFKYNLYRAIIGTDLRYIYSYFLKKIKDSQSD -DDGVISESCSVSPGGAIVMSSTLRWGSIEKFKRLRARLNIPEDWRERINEVPEILYRAPHSGEETVLRIA -EKVHSPGVVSSLSTGNAVCKVMAASVYFLSACIFEDAGNQEYNVTGTDKYSLLQKLLAFEHASMSDKISH -EDMLFLFPNLQEYEAFDAIIYNKGKFNIVPRASQREATQTSIVVFEYHSSSRVAPEKLVSDKWFGTRKSK -IGTPGFRAEWDRLRAVVRWLRDTPEETLEASPFTNHIQIRNFFARMEGKPRVIKVTGAPVKKRSGLSKIA -MAIRDNFCKTGFVRGMEDEVGYSRAVLVEKLKHYVFSIMMGPYTEEAKLRFLTQVLTDEPQIIMNQADRK -SKANTLGLLQMFLKGEAGVAQKIENLKEGIFGAFTRVQRFSKSSINHKYYGKGTWRGVMDGYQVQIDIDG -KEGSPSYLSGITVAYCTRPWVLSSCIRAWCDDMNIFNNIDVSTKVKNNDAHFWLFDFKMYGHSYPYGCPI -YTVERNITDTAIIMDNEIDIKIRGSTVNLYVKSRENRPRDLHILSYTPVESDVSSIIRLTSDPYFQPGGI -FAVEPTRSWMLCQPLKWEFAQPVLNIVSKARTAPIQLDVTRLSEIIRLCTESALRNQVGTIYSLSRSDNL -DSSEAVDMSDMFDMMLEDEEGFTGFDDLASHLTVQEDPDYMEEVEFDEEDIQLFGPAHYKEIQKLTVLAH -PLMDHFMTRVVNSVGRMQVRRLLEKNLITQDKRKLAELLFIALGKDPSVIKVELIHGDSPVDIPDELLG ->AEF56735.1 RNA dependent RNA polymerase, partial [Soybean cyst nematode associated rice stripe virus] -KHNPMEALKLILEAPLRDHSGQSVYCPDGVLLTPSGHVNVPHPTASWEAGKIAVTFEDELSPLFADFAST -LTDQESRTVMVMGGTKFCHEFTVLPFTLGTDVDIRSATEIFSHLSPVLMSKLPHLTPDLLTKSAGGAPIW -VEFGTTTSCDSAVMHSLFSKKINRYLSTAATTAHKTAGSAVYAAIIGPMSVFTNIPLSDELATYMVARLR -VARRLESQLVTVLPPGHGYSAEDANKIVATQELLASMQFEWDAYSAFTPPGFDLKAAYEKWITYKQDPTL -AAETARQFVEHAFTEAKSEVLLQPFFAQVPGAETVLPSAMSFRAKMDKRIYPDGVLPGVDDLKFYQLASQ -AANGYWDKLDRDHARPSSERKTVIPFPCWDMQPKPKPTNDVNFLPYIDFMTGQPTGELDQALSEILNAAM -QAPSEDFTDDIEKESAWSRCIVATRGAIPTEAIQVIEQAAGAVTILKEQEYRKTIYSSRRTAGPSHFTPQ -VEHYLAQMGVQGGKKACHNNQGVIEYRQGRKLPLHPDTSTEDISALVRSPGILLSPSTWPENQIRTQDVE -SLILIGLESHTPSKDHLGLPFVKNVLTTKLGKLCSFISDLSMELSMNLRHKSRTNKFLVFTLKHFDILVV -ARAVPYSGQIFFALLAPQATTTCITRCNVFKTSHLRNGCYYTEFASLDISKLTNPIRAETTLISVLAHFL -EDAHITPLNCPMQNYLDPVVSSSTILTMLCSLEDKTATEDVFGQSRYLVLSGFSARPMGVKTEEFIKSLP -LFYRSRLALWGARQLCTTMQLLALQPFRPEISEDFTEGSRGGKHLSWRWLLHPFTHVPLENVSQLVNSFY -ICYAKNKDEDSRSNITQKLFEKIIEEEQKLDLSRSHFLGMVEPSPSDIKTFEYSPALLAHACHLSFEYLT -ETMGKNHPSLIESELLLALHNLKVEDLCTLKASGRYTAAKHGRYTTNSRVPQKKAVQVFFPSLREGNFRV -LDLAADAWAGVKSAGGMQISLFKKQQHMGLREISVLSKNDRVLQSLLEKIGRVLASKMRYDCLVDESRVR -AITSKAYKEARSHNGPQVVLYSDSADATRWAQRHIITKFMQLLVMFTPQYMHPFILSSFCMFLRKMIFLD -PTFLSRLYEGNLQDSPLHVGPEGIFHQLLTTIRGSSQCSWFQKGESYITVRSGMLQGIAHFTSGLLHGVA -AELCCRYITSYLNSKIQRLGSPCKAVVAMPESSDDNCLMIWLPQMEDRPSSAVLQTCAVQAGFAKIILSK -ALGIYDSSKKTVRFCPFVSEFKSLFSFHDNNCRPGIKWVLATGTLSDSDTLMERQTEMNNTLTQMHLGGS -TMLSTHIAQWCQAILHYRLLGLFNHSLSDQLAMALATMPDPSLGFFLMDHPLVPGLFGEAYNLYRHLKSH -PVLRCKARCYLEGRVSGEEVILSGQAKKNLLESITCGALTRLFPVAFSSQDKATKFRTDLGLSLTWADET -DSRPHVFYMPASTPQDSQDRIVKTLMSPSLCKALSPSAHLARLAAMGAYLASRPVLRNCPSYALGLTDQS -VFKLSINLVCAIWQGHSKTAATPAGDSEEGDLTSDHWRILFPMLSELVKLQAIVEEVSSMELFPEGTAHS -NIDATVHIFDRADAFAFSPKDLVSNRWFGSPDLPATPEALDIEWARLSSAIPWLKESPQETLSDECPFSH -HHQIADFLDRMISKHRVVRLNAAPSSLFMGRGTILGAATAHFTSGLRFRRSYRERTQSDALNSGRSLADA -VAHSIFCMVNAPLTKSASSKAIQDLLLKCRPFQEPPTTSALRIKKLYIMQQCLKAQQASALQARTLISLL -SFENLGIPNRFEVPQKSRTSKTGHFEYYGKGSWVAIHSGVTYKFWLDSVTEKTQETTFIRAITVNRTSAS -LSGILEIMRLFTMVSGVGTQDRCMMCNLGPQTSRPEDGFGSFMRKVDYKSQCCTSEKTGVPIYYRSSLEY -ILPGTILEGLKVEVSGSKILLTSTKKGEKGRRIVYLSARVDAKWYNLPQFNHWQDQLPLGIDNQIMRKFF -THVPFGVTEFINWACRTVAAHVNKKPALSCFSVEACMQYFRQTCVAQLSRRAFDTSSATAAPPQPVKRRR -RQPSWICKPSCKMQCKLTWKTQLRLHLWPSQMCQMHCTPRTSLRSCQTGISSSSLVNLVTLPTSLEQSEM -RETQASTPCSQT ->AOY18806.1 RNA-dependent RNA polymerase [Bunyavirus sp.] -MNNKMSVSWADIMEEEDRGQMEDASLFLRELSVNPDALCTFEDWLDFTYEITEDPTPQVIMPEEPVFQRA -RLTMREDMIDFIEVTPTERDIVWKINLPEEEESENYSTVSARYERETKRSEHYKIRHELVAEAISLESTD -RKFSEVFPPLHAEDDGWTPDFIMNTRESIYHVVELTTTRSDSEYSARAAFKAKYFKYAKSVSDRQDKNVI -TLTVIVVTPSSVCSSIPLPTRVINDLVFRMQLSILIETKLFDLGFSRLASHDSTEQDRMAQQIGFAVESI -DRKPCSIVKGRLAITDDFRLKCLNQSNPSVVKDCYKMAYERAKSVSHGKIPQEPYVDDYIASYKRNSPFR -HDRKPVTIIPLVVPQHTFPGVKPKMTVVANSGEADYNLGRIWDCALSQLSGTTWQDENEDNLLIEAYEMN -QKKIDECQSKRKEKRKKYHRVTIESINDSETLRYLQKDGICAKKSKDEEWLKERKQSQKLPFSYATATDD -ITNFLGLNLLGNSSVINEGEECVLDLIHKANDMMGNVRFGNDFLRKWMQTDLYRSQDFITDLATELSISI -KQNTKKTEFILKKLRYWDCYVLIKPTNSRSHLFFSLFFPTKPKVLCSSPFRNIMKMSSGWVTDFCSVRAD -KLTNFLIAGPRVISLVSYWCDFYGISEMTPSQCSKNDNFITSLNLSILISLENKASTEEVITATRYMYME -FFRSNVGLSQPNPFKVLGKFPSIVRSRLTLWCIKNIINNGLLMMSSIPQRQKKQEMVIGLEDETTGPEDG -WIGMVNLLTGEEIPTATQVVNMMYLGYLKDKNESAQENVEWKLVEKIVEEELKLKDSRVNRYYGEVEAND -FPQEKEFSLDCMLYGCELMQKRLRMKLGDNWMGILKDETLKALARHLTHEIATLKASSKIDHSSAQYSAH -KGDAEQITRIKVIEAIASKLDKFELNPMEKIKDFLTFVESTANGVICDLFKKNQHGGLREIYVLTIESRI -LQLFLETLSRTLCYQFEEETLTHPKNKLAKLDEHKTRAAKISSAKGVPYAEFCSSCDKTRWNQNFTMPAM -SVPLFKLCDPIFHNAIQRIMNLWSNKLIKLPPGVCKLLLHGQKISSKSYNELFKEFHRGTEKNFKLARRS -NSAFISPTSGMMQGILHYTSSLVHLVFLHTAKKIIMDILKKTQGPLGMFHTFSFVCSSDDSSIILSVFCP -KEGSSSIREKAEQVLKVERHLHCLSHFCGYFNMRESVKSTIGLLDYVEYNSEFLMKNTIASPTIKFVAAA -LTISESESFVMRFHEQYNLISALYSQGFPSFNTHLIQVSQAILHYKTLGSSSSVLFRHFTSKILKYPDCV -HGFFLLDDELACGLSGFSYARWKSVKSDSSLFASMKIIKKGETEVSPDGTIVDSLNLKHGDNYRWHKLLD -RISTGCLLNHAISMKYNPLTGEKTVNTELVKARMEEINSVPELFFLHPQNKEQVKIKLLMKATMPGVAKS -LSKGSPLIQSFSSTAYSLFAHCFTRTTLHKDATEISKETKKYSILSSLSERMSYIEEWKEDTDEIPMELI -FPLITRYQEIEEILSSYRDCDLLRIGRLRQRKTEVRIESFANQLPLTLLQVCRRWWFGHALHVSNSVYNR -CLSIYKVYFPWLMPDFRSTLDNSPFSNYHELYGYISSQMSRTRTVLRIGPGAYSNSFSGKVSLLVKKSPL -KNHILARATEKVTRTEDKGILIANLELSLMIPQRTVREQQVNAQLTSIKSILKDSSKKCTRLEEKILAIA -KFRDGELTESGLSDYLRDNRMGLIIQYSREQRKEISESGEVRWTGEGECIVNDEGVCMRVFLKDDRVTKI -ITKDWDRLSRNPGILRELYAKLGCKPSNVYSPEHCLARFDGFHFSDKSQSGTPIIRQRDFYPIKIDLSNA -EFQIKQHEVGVYKVERNGRTSQVIAFKSKSRLKRSILSKQSVNGFWNAWTNGCRANLSTIVPFVRGLVER -RVPNNVKSWCSNSLKRRLTARGFYGSYLDDISESLTITYENGSDRDDETVSEIEDWLEEEFERRELEDEQ -DVDLDQLAPDETDDAPEDLMREFDEYREIGEEEDDKVLEMIFRTGPDGADELMDVPVIRKKDPECFSSHP -IWDEFIDYLTTGDCRYFQKITDGIVPIYESNIARTLMQILGVKEIKVERSLEERFHMEPTGDLPEEE ->AJG39251.1 RNA-dependent RNA polymerase [Shuangao Insect Virus 3] -MENVKELTIHQSVEYDCNSLLELIANDCYNNMSSSLVSIGGSLMTVDSMKINIDEIDIDLVRKDDNSVTF -NLSGPDYFNDTISTITNLSDSLSIHYSDGHKLRHELVCKIIDPCQTDADLSSIFKMQVNRTPDFIYYCPC -SNPFYLIIEVSTTTNSNMAYKRVSNKIHNYYDTLMTIATHKNVRFFLAVIVITPYEVVSNVNIDSSTAKL -MSLMWNVGESIYIKGVSEGLFSERILDEDETLDNVSASILKEIESAEYRIINNKELTITDKYIQKVKNCE -PDMNKCLNHFKTAFKTANNEYIKLSNNVNKLKDYDDNVDHYFLNADSRAHNKYKSIINMPFIKSSRSDVL -HITTDNNTPLQRMWIHCMDAYLSNESRILPDDRAQAHIMEAECRLQEEITVFEEERKKLRKSMEYPNIIR -QEPGILSYLQSDGLWAKGSYDPIAHEAYQESKVPISSSSPLDDIDNFIANQEIYQSHFSFDENLDNAIDL -LEKASLLVGNNSDIPKSIXEKFSNTLIFEALMNMSLIGTELSAELNHNIPRGNLIVKKVPNRQIYLAVFP -TKCREHIFVSIFMPKADNNCGVIESFPFRECEETNSGYYFPFVSFKQHKLKNLIIAPYMFLNLVSFWAHF -YDIDLPTLNEEYKYHQGFTEMINFCVLVLLEDKSRTEEIITLSRYFYVDLMNSKGRINITNPAKLISKLP -SIFRTRLELYVTKKLLSAVRLMIINPPKKIETEVDDALTVDEMDDVSKDSFVGLLNFVTLEELKKGSKAV -QLMYMGYLVNKNKLTDKNTDFSMIKKLVSASLSVTKQDVSNLGDIQENVTPKSQQFSLVCIKTGTTLLIE -ELKRKYGQKWQNILLSNICRNLSKHMTHEIATLKASCSAGLENINSSESTNELYKSKKVLETINEYIDSF -GLNPFVNIIKIIEILEDNECGLIIKLFKKNQHGGLREISILEIHGRIVALFIETISRTICKEFDFEVLTH -PENKLRLLESHKCDVYEKAKKSGNILIESCNSSDKTKWNQNFTMHSLITPLLIFLPSTVHNILVRSFNIW -VNKHILLPKSVVELLESKTILDDNTYKLVYKQYVGDFSDDCIPIFEESKQKFLNIQSCMMQGILHYTSSL -LHCVFIALSKNVITHYLPKAYVTGVVTSDDSAIISSLIIPRNKTIKSAKVIKTLLDLLMMGVEEFSRWFS -MTPSVKSVLACSNFVEFNSEFIIGNNLVVPTIKYVISSLNLSESESMIHRLDILYNQLSDVFSRGLPSQN -TMVCQWSQGLLHYHSMGASNNTIFNYYCERISVYPDPALGFFPIDNEYLPGVLGLSYSVYQIKKTANIIK -LPSKSLASADITTHKSGMISTNYILKMGDYARHTKLVESIARMSKEEAEVMIEQNPSIIYLLPKNEEDIK -IKLIMKSLAPGVANSLKHGDEFIKSFSASVYGLFTHCYTNIISDKVEGKIVKRSEKVSILGELNRLLEEQ -EEDPDIFVDKNDSNSIKKIFINHQLFDEIRDVFDNLKDRHSVKKYNRRNRKCKLLISSPVFDNSITFLEI -VKHKWFGTQLTASENRIKRVWEEYKTNNPWLHENIEKTLEASPFDSHLSLFYYISSSPNRTRRVMLNCPP -IRAKKFLSQLLLIAKRCLGTNLELSSSESLSRYVPIARNMTSLYLSTCFPEAKARNDTFSYYSEQLNINR -HDLRNTDRMHYKDVELLHLLAYKKDLITTKELLEYYFAKGNGMIVTYIKEQEKRQGKYVGHGIISLRTYD -LRLKLKVVDKEVMQITTNSIDLLVKHSSLFNEKVKILQLEFNTSCRTKAYVSGHVMENVPGTEVIENPLL -EDTIYDYEVISIEPDLMAINTYQLVRGKNILLSSLKTHDMCIASFESSRVENHWIKAWCEMLSLSASNAI -QLLSHAVENDNWEDKFLVKTFKKRYEFRFSQTDYLPEFVQNSAKLTVNIDEIVQNAIENAADFSLLEEID -AEDDEDLDNFETLPIDLFSVCHTFDTSITDFHKESFMSVHPLWDKLIQTINHYEVKSKVTYDERVEVVQK -AIYGRSYRKHLERSGTSWKL ->APG79237.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 1] -MIVFDDVDNVVYVTEFGTYGAPSEHGASGYYKDKILKYSSPLLKRLNKFRLSSNVKLVLNVLIVTPTLVL -SSCRLNQILVDELVLRFRVARAIINELHYSYNWSVEAATDSTELSIKGQLETIDAPDVQDRFFITSDLIN -HCKVDPNSCDEELIWKHIAKKAESLALDRRKQTINVVKMIEDSRPCTDEYQVENRWLNYPYIDIMKSGSF -VPRTFGNNTPMSRLWNAALSNMNSDPGYNYEELINMAENNISRSEIMKEDRRDKQNRVIIKMNDDDALYH -AHYGINAREFRNHKSVLEKREKKQKPIKSDNPFWIYEWICDNFEKLLNYSPNPCPEEPLISKADELSGNQ -FDCFRELEKELRCTSLVNWLYFLSAIGTELGISIKQYVRSKNEFVLKRVPNWPAFILIKPTQKDSHLFFT -ILIHTPIENGVLNPFRELIDLEGMTCFKWCSIQEDKLQNLITCPYVFMASWMTFKRHIELPNPEKINDVK -GDLQTFSHALLCTLVALEDKARTEELITLSRYVYMEAFVEEPSFPKPEKVLSKIDFRPRSLLELYFQERL -INLIIHISQNNLIPLDDQGEEMDVEGEVSTDNFEGLISLFTGEKIRHFEMALDIAYAGYWKNKHTKGEGN -QSLNLLKKIMVCEDALDDVDPDNLGFNSNLNLKQHEFSSNAVKFCADALLNHLKAQHGSQIKEVIKTDFI -KRLANTTYESMATLKATCVADPVKLFPSKDPEVNKKEGSRSKVIIEIFKLLEQGTDKTKTPYTDLTLFLE -AAENQGGLVVDLFKKSQHAGLREIYVLNLVSRIIQYAVETLARVICELTPSEAMTHPSSKPYMPSEHIKQ -CTTYKIKGDRKDVFTRKMNDDARKWSQTHHVTKFFLFISRLVPTSLHNFLARALNLWVKRKIKIPVDVIN -IFMNNESITFSDKVHQRIFNVFKGIEKAPWLNPGEQWLQIRGGMMQGILHYTSSAFHCGLQLYLEGLSRK -YIKVKHPELSLVWSHQTSSDDSGTIATLIGKITEQTKFELECLLFCKWALSELVAIYPSTEKSTPLTLNV -YEFNSDFYVRKGRLKPTIRWTTATMLISETESLIERQESFTNLRRQLLEGGAPIGLCRFINILQARLHYL -IMGSNANNLFAHFCQLALQYPDPHYGFYIMDPSFLAGMVPFSYGHWLVCTNTRIGADYQWEMSNEPVTIL -RPSGRAVRHTFLRWGGYRKYKSIISKLDCNFDWQNHIESNPQIFLLGPITKEDNMAIIMDRLHAPGVAAS -LGVDNVLPRMIASSVYILHEPSLTTVSVSSSIIDPDEDNKRKRVCIISILKSKCSEEEREKPSTLKELFP -DAESYEYINSIQEECCNSQKVRWSSQRRIRTYLTIIDNPRQLTYSLLEIVKFLWYDIQLRTPKDHIAVEV -LEYQTIYKWLRRDPESTLQASPYEKMRDLINFICKTHKNTRRLIVNASSMRTSESNALTFSKRSQWPGIL -LTNPARRLAISKRTLEKSRRCKVTHGITMMMKFGGFNVKYLNGELRTHIKSIHEDLIGCVRGNVKEETLG -IIINFIRQPDTPHNQVIDKIRHLKLGVIGGFTLRQKTNVVNDQVKYVGQGVWEGYFGDVPIRLFINDDDL -WRIEIRSEDQLRRIIGPLRQMCHELSWTPKSDRHFHGLVLTNKFQIRHGPVSEGGSITLNKNMKEPLMMT -KGLISFDCNSNTLRLSLNQRNSKVTILSWTPSVHDVLPYKSDELSNRWFTSSHEIFSKWIMRESLTVDES -LELAATIDDHRSTHLMRTWFHAACASTLRNKGWAVKSLTESISNLQEEPTPDFEMTDFIDFGEIVQFEEE -ALDLPSLVDQPDCLEIMQPTTSLIEEESLKELMEEVYSDTLSSKIDDDMLKYLLEEEDAPAFEHSKLSWE -LTVHHWSFLNPYIDYLNIETHGTYSRALKTMVAMDEVVKSYVKITTGRDCEIARTTPKAKSSIKRWL ->AJG39271.1 RNA-dependent RNA polymerase [Xinzhou Mosquito Virus] -MYNTNKDEQEKIMERNKEFRKVAHRCDVKGALSEEDLRVLALDGVWGKRFQADEEKREKHKTSKIPFHYD -TPTDDIERFLSNASIYDKQDFLGDTMEYSLLEKSMEDLGQDMRSLTFLRDIQKSKVYGALDLITDIAMEL -TVSIKQHTKPSQVLLKKLGFYDVYLLIIPTTSSEHLFFSIYIPPQEGIEVLCSLPFRILKEAQGGGFYTD -FCSVRSDKLANHATIASTFIGLVSYFSYHYKITNITRENFKSSADAVTMANFVLLIRLENKPATEEAITV -SRYMYMEVIKSTSFIKPDPFRLINKLSSCVRSRLQLFVTRRIIMAFDRMVEHGVNRISPDDEGRQEDKEE -DGPSNDYWSGLINPYTMNQERNAGRVVSLFYLGYAVDKDQIAQENSDYKTIQKAIMKDREFDEEHKERSS -GTWDDFHSCPKEKQFSPNVIRAGCRIMQNELRSKYGDGFKDVLATKIIERLSDHMTSDLATLKASARIDH -LPWDQIPTAEHCLRERRGRLKVIEAIMDDIGLFKHNPFTSIGPLIEAIEKTSRGVISDLFKKNQHGGLRE -IYVLTIKSRMVALIMETCSRVICEHFECEAMTHPNKKMEVIEKHKLLASKLAHAKSTKVTEYQCSSDKKS -WNNNLVMPALAIPLLMLLPSSMHGMIQRILNMWNERLIQLPHGVMKLLVNKTPLSCATFKQIAEEFANPG -SSGRPPLFPYAGASAVILRTGMMQGILHYTSSLLHVSYLKLTTSLIKSYYRKYFPDSVCLIDQMCSSDDS -ATIISVSHPKDSTVDQDVKLAVHSEVLCQALTTFCNYSCFTNSEKTTSGSASQLEFNSEFIMGNTLAVPI -IKWVLASFGVSESQSLLMRLQTFYNLMSQVSSSGLPAQNTTLLQLAQGLLHYKLMGSSLNRYFLNYTEEI -KRYPDPNLGFFLIDNIYVPGALGFSYHHWLHCRVHDMFTIRKKSIADGSLGFNPEGGLVDSFLVRHGDSK -RYETMIRDMSNGRDIHDVREEINSTPRLLYTSVTNCDEARYKVLAKALTPGTAQALSRGVPFLQAVATSI -YGLQTYCYTRSEASYSKSTSKFERAHSKISLLGELRRRMLDEDSIQLDRTTSEALCFPNHRVYKEYYASL -LKFCDSEMVPVRMMRHKKSTMRFPHAYSAIPITLFDLVREKWVGFSTRQSVQLINSSWKKYKVLMPWLSE -SLEETLEKSPFLDHVELYNFVSSSGKKSRKFVRVGPAIRSAHPHGQIEQIARRTYCDGYVLRIKGDLQTD -HQRLYKDRRTCMSLALEIPTKDHRESMVRFAARHNPINKGELESITERGRREAVLAVLVSKINGAQDGEI -YETLENMGNGLFINWISAQQKVVVEHEGRISVSWRGPGSLLLSNRLFACLVVVNNSDIQRMDVNSMDLLR -RNQFLVLKILKEQGLRTSNRRLDSSCRTYFNQSGLSNQSPGTPVLEKAENPILQPSRAANTNFEIKIEQG -CLSLMQNYPGLKPSTVISYRVHQQEFGAYQDSSIDTNVWNAWYNQSKLDAQVANDLVISVVKKCQEIDQL -TKEEKVDALNLQSFVRSTLLARLRHKGYSDGSLAAQINWEDDVSEDVDEEEEFNFEEYMDAAWDHFEAEA -LDPNPVMMSWAEKPDENFKDVLPLREVDYEDLLADEDLAAILDNHQIEGKGYELTSTRLTTRYGIMHYWD -ELIDKFRLVNPLAWSHILDGKTVAGVLNSDYFVRLLLRRDASPGLSFGISLHRALSSEALVAAYSPSIQS -SRRSRSSISQEVVSMYDSNLMKMIKESNEFKGNEEILLKMEALMKSARVKTIDQIEKMEEEEEIEMIEPA -MGMEREGDNKSKIQEWSNYNRLISAFNKEDLLNSDYLDLLMGMLGSIVDLGLKDSCTAVSSVMRGASLDL -LTSKPKFLDKNVFFCLKGEANCGHWIAFVTGVRNDGRAEFIDTMNNEENLQYGLDQMRNLFPNISKEDIV -IMRVRSQTLPTCGHGAFMYASHRLMNWHTPEMFDCRCLQNWVKSCLEERKISPMEGVLSTEV ->AEL29685.1 polymerase [Phlebovirus GGP-2011a] -MDELIKRLFDNPEGFQCGDLRHYDSTVFNLELPEFEVREITTGIEIDLQPIPHSAVSAVGSTLQEKYEIR -AIDVPNLVHNMSVGHLCPDTDRQFSSVFPIKNDGFDHLSPDLIIRMASGNCHVIEFTTNRGGVESCHGAA -MSKISKYEIPCRIRSQDSPVTLSVIAIHRDGVWSNIPLEEEDVDEMTYRFRLAVDIFETISRIAPALVRE -DPEVHKTRSDMATMLNGIKMRWDRTTKAFPMFKKEVFDNFHSQPADEDYVSRIISKELERSQEDLKRSSF -MGKSLSLEERLSANLQECCEAINKYIETYESNQFMRDKNDPKSTVQIPSWVTIPGDKGKGLGPLRYLTVE -SEHPMGRIWKRVAECAYLETIDRMYDDPEAELEFAMSGSTERAEERNKYHRVRVNLSPEEEEYAGMLGIR -GKKYRDYSSAKEARERGKKSFSIHHDISELEEFITKQDFSIFQVSDEIYSPLDADIELRAEASSIHQPKL -FSQGQNNEFIDNHIRFLKTPLGSWTQMVSLIGAELSASVKQHVKPNCYVIKRLLDSAIYLLIKPTSSKSH -IFVSFAVEKSCLHSFISRSNVFKSTIDCGDLLVTDFVSFKLSKLTNLCKTNSLVEACANFWVEAYGFPPW -ETFSILSKDRSGSATDATTMIKLGLLTLLEDKAATEELQTMMRYIIMEGFVSQPEFPKPHKMTEKLLPVL -RSELQVFLTHRIFMAMRRISSRPFGLSKRGGQIFWTSLFNPLTLSEVRELQPVISACYNGYFKNKEEETE -PTALSKMYKKIIELEHLCPEDDRFLGMDDPEYPNTHEFSRSYLRQCVDHGKQLLTRIHGSNFMRMIDEQI -IREVSMLTIERLATLKATSNFNENWYVYKDVQDKNYTRDKLLVKMSEYADQGSSLAIQKFEECMSKIEDR -GAMNICLFKKQQHGGLREIYVMGAEERIVQSVVEAIAKSIGRFFPSDTLCNPSNKMKIPETHGLRARKHC -KGSVWTCATSDDAKKWNQGHFVTKFAMMLCSFTEKHWWPVIIRGCSMFTCKRMMMNLKYLDILFKHRELP -VQDEFVQTLHKAFLGEVTVPWMKEGVTYLTTKTGMMQGILHFTSSLLHTIHQEFIRSLTPKIFDLKVAPE -TSYKMVVDMMQGSDDSSMIISFPATDEMSISRCKVASAICFRMKRNLGVYLGIYPSPKSTSNTDHMMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEASNLLTSVTEGGGSFALAACVQHSQCTIHYMLMGM -GVSELFYEYRKAVKRWKDPGIGFFLFDNPYAAGLGGFRYNLYRAIRGTSLQKLYAYFMKKVRGHNVTDNE -DGIAEPEACSVSPGGALILSSSLKWGSREKFFKLRDRLKIPEDWLDQINKMPDILYRAPQTGHEIKLRIA -EKVHSPGVVSSLSSGNSVAKVMASSVYFLSAAIFEDSGRPEFQFFENSKYSLLSRLAQYKGFEGRDDISP -DDLLFLFPNVEELSQLDSVVFNRGLINYAYRSNQREATQTKVVIFDECHNLKVSAEKMISDKWFGTSKSR -IGRTGFQHEWDKLKTIIPWLCDTASETLEKSPLANHIQIKNFFARMEGRARSVRITGAPVKKRSGVSKIA -MVIRDNFVRCGYLRDIEDLSGAERTSSSEVSKHVLYCILHGPYSDEQRKERVIKALRELPEISIKDSDRK -TKSNIIGIMQHWVSHDSGTMELIEKTGAGVIGGFIYPQKPYKDDDGKIKYYGDGSWRGIMDGVQVQINIT -SKKGMPSHISSVVVHESAAIWELGNCIRAWAEDVHVMNSVDFSNVSRGKHLSPRFWLHDFRPYGADKPYG -APVYIVRERMTDLVTRNESAIKLKVRNSTVNLYIVEQGRDMHILSYTAHDSDLNHLSITNSKDERVRSLV -ADLGSKEPTRSWFLCESLHFSCMKIYLDLAYGVVSIPTIDSERFREIIQLCTESALRTQVGSSFKFMPGS -SEAPIAIDLDAMINLMLDDMDPMGFQEAAKDIADDLSISYMTDKFDFTDIDLFGPAHHREISNLKMTSHP -LMSNFVTGLINMSSRKEVRKVIETGECKSKNEEGFRLLFKALGRDPDSVRVEFEEHVEIPDDLSDMLG ->AEF56734.1 RNA dependent RNA polymerase, partial [Soybean cyst nematode associated Uukuniemi virus] -ASPQLLRTIQNSVFDTSSPNQLKQFQFPMIPTLHSLLSGFYSDSPPAGIFSFPGCQVYHAIDNVDLPEVD -IELNGEILSVSFSKMTPRLPEVHAESTTGHSVSDIRTEVMKAQSFNHDFTLQVLTTTTDMSLRTALDPMM -KGQRKLKYPTNFVDQTPDYISHHGERTVILFEFGTMATDNASSLNIYAGEKAEKYKRAVEQYASLEPEVM -MSVMIVGPTTIVTNINLPTHLVEELCYRYRVARVVTRKMEDQGISIRRDFEETEWKRNDSKTKFSTITFN -WKLTEEKFHPVSEEMYLRTIRPISKADEDYVESLVKHSVRQSIKVLNEKHFAGKARSERVTLNKLEFNKE -LEDLRHSRMEKAVRSVGHKKTIIGLPYVVLSQEQTPDNTIRQEDFAVPLQPKEEPSGEIWSEAIYRLRIL -SSEEMERFKNHDAEEMTHINDNDLQYENVSEEKKMARSKRGRITLKISDDIQEKVATKGLFGKKHKNETS -VEEHRLESKKSLHPDCDTSDIDNFLSAGYSCFIVNRLATTRCSPIMNLAQAAYDVHAPGCGEWMISAMET -FGCTRVSQWSQFVTDVSHELCLSLMQTCKGTQFVFKKLLHWPAWILISSAGSTKPLKFSILFKKEAVIHM -SPFGSVFSRVHSDGHYCWTEFRSVNASKLENWSKFETTCSTQFFFWCEQFLVPFWKMSGSDLVALLGISM -DSIWKNMGLSLLLSLEDKSKTEQVGIISRFIMLEGFVMPPMTPKPHKMFKKFPVPIRSRLQLWLERRLIK -AAERIINRSGFTPSSVKLEDGSMMCWDGLFNPFTGEETKDIGMIVNCMYQAYMKNKNEQPEKNQGVGLIT -KILDYEKLRPPSSRHLGFENPEIGNVQFHEFSPSYLKYVCNLAISGLKRSHGADVLSFLEKTILEKLWEQ -DIEKMATLKASSSFCVGLEDYRVAKIRLEKTGYHRKRVMQALMEYRREEDLRVMDMAQRALEDMEHDGCL -RCDIFKKAQHGDLREIYVLTIQARIVQLVLETIGRAVCSLFSSETMTHPDNKTQLIHGHHARSKRCFGPG -YMALCTSDDAQKWSQSHYVPKFAQLLTMFTPAYMHPFIWRACSLFTKKRIMLDINLLDVCANHLNDFKTD -NKAYMDIFKIFSGRMRDTSWYQKGNTFIETETGMFQGILHYTSSALHAVYLEHIKAFSLTTFARCLKEDL -IDDVASTATILVSSDDSSMMVSFDGKNQDILSKGLYISAIVFEVKKQLGQYLGIMSSIKCTSNTPWFVEF -NSEYYVWKDVVRPTIKWVQAALILPEVESIGGRQELLSTGITALLSSGASFSLCSFVQLAQSFLFYKLMG -HGVIRMFGEVSDVLSEAKDPSTGFFMLDHPAMCGAAGLRYNYWKLLSSELVGIYYAKALNMLEGNPIEGQ -KHVTWDTTTSGLMIQGVSLFMRGSNKWEKLRESLNLPLDYEDYFDNNPADLYKRVDVSTLLPFKMALLFN -SPGVIASLGAMVSYSRQLCSSAYILTRPVMADKMENILRPGYKYSLLNRILVVSDAIRLLEEEPDLRVKI -KALFPFQQDYENLQAIVGRYTLMEPTFRKTLRRRALVDLRITDCLPDMAFNAEKVLQYRWFKKDVRLSKT -TLSLYWKELKEQVKWMRSDPHDTLAASPFVHQSQLRNFISRMDQRQRQITVLTEPVRRVYGLANLETVIS -VHFFPGHKLGRSEDESSLSDHRKTETIFTLLTLACQSPLKQDEKTRLVGKILAPTVQPILKRAARQSKKN -LLAIAIKIAKAQQAGGVDSTAWSTTVKETHSLLYNLKLGVIGGITEKMNIRKQVSSSGIRQLYSGNSKWE -GQIDDTYVKFHLTKENESPEHEGATVCAVEVNKLSGLETVRRAMIQLSHSFGWVLWNPINISLFLGRPSF -RLNKTSVTAAKVPGGIPVFHSQNMVYGFSQELIKNFHLRATHRGIDIMADLSTSETGVGKAVKVAGIALK -DSMINKESLSEVTFENGVLTWGNLSLGAKPFLGHWLTMTPLPTRSATQLIRKFRDGHETLDTNYCGKWIR -DLFNKSLIRKGLVSYGDLVGTVTDIVGSNKPQSFQDLGDPGLWELWRTINNAEIPEHIYEETDEIPMVLD -VPAQDLWQDEGILDSVLATLEMFAQTDKPEEMAMSTAQHPLLDDFLETIASLTNHTQMARMIRDKNYIPT -QLRDARYVVAFLLDCDPINLVEEINFAAEEDTQLL ->API68880.1 RNA-dependent RNA polymerase [Bujaru virus] -MDAIILNQPELRRGFNRRAIENYRDTLMAMELPDFSLEKIPGALKIELSLDSLDPNSTVGSTLRDNPAII -VESDSLTSLIHNVTVGHLASHTDKMFSSVFPIKNDGFDGHTPDMIIQTTAGAYYVIEFTTFRGSEEGAAQ -AALTKLAKYEIPCMNRSDGETLSLSVISVHRSGVVSNLALSEDDVNELVFRFRLAVAIFYEAMKVCPELN -DDDSELSKAEKEVLGTISMISMDWEKTEAAFPYFRKDVHDYFMSTPPDEQYMTEIISTTIEKAQEDLKKS -AFINEDLSAEERLVLNRKECDEKITTFKNDLFSRPTRSIFRSKATCQLPGWVTSRGPDGKGLGPIKALNC -TGEHPILKIWQRVFTQARFEAIDRMYDDPEMELEYALKGGPDRADERNRYHRVVVDLSPEEIEYAATLGV -NGKKHSDNNNVKAARVLSKECFSINHDTKQLEDFLFNNDKTIFSREDSVYSPYEEDYELRLAAQMIHQPS -LSLKEGPNEFLRNHNDFIKSPIGSWSQMISLIGAELSASVKQHVKESSFVVKRLLNSGIYLLIKPTTSVS -HIFVSLALDKSYFIRDLNRDGVFKSYLDGGDVFVTEFVSYKMSKITNLCKCCPLLECSTAFWTEAFGYTP -WESMKLLATERSPGMKEAMSMIKLSLLTLMEDKATTEEIQTLMRYIVMEGFVSSPEIPKPHKMISKLPTV -LRSELQIFLINRAFKSMETIASQPFLLNKKGGQVTWSHLFNPLTGNQLKDLQPLISSCYNGYFKNKEEET -EPSALSKMYKKIIELEHLCPETDENLGAGDPKNPKMHEFSRSYLKSCIEHAKQLLKRTHGSSFMKMIDSQ -IMKEISSLTLERLATLKATSCFNESWYTYKEVQDKHYSRDKLLVRMSEFANSGKTLAIHMFEQCMSLVES -RKAMHICLFKKMQHGGLREIYVMGAEERIVQVVIETIARCIGEFFPSDTLCNPANKTKIPESHGLRARKH -CKGPVWTCATSDDARKWNQGHFVTKFSLMLCSFTHQKWWPMIIRGCSMFTNKYMMMNMRYLEILHNHRDL -NVDDEFANQLYSAYHGEEQVPWIDAGKTFLKTKTGMMQGILHFTSSLLHTIHQEYVRSLTFKIMNMKVHP -EASYKVVCDMMQGSDDSSMIISFPSTDIDMMARFKVAAAICFRVKKGLGVFLGIYKSEKCTPNTDFVMEY -NSEFYFHSQHVRPTIRWIAACCNLPEVETLVARQEEASNLLTSISEGGGSFSLSAQIQQAQCTLHYMLMG -MGVTDLFQHYKAAILRWKDPGLGFFFLDNPYCTGLGGFRFNLYKAITRTQLQKLYAYFLRKVRNPGDDRD -ELESCSVSPGGALIMSSSLKWGSRQKFFKLRARLRIPMNWVELINMMPEILYRAPRTGQEILLRIAEKVH -SPGVVSSLSTGNAVAKVMSSSVYFLSASIFEDSGRPEFSIVDASKYSLLQKMAAYEGYHGVSDISEDDLL -FLFPNIEELQQLDNIVFDKGPVDLVRRLNIREATQTRVVIFDEHHMMRIAPEKLVSDKWFGTQKSKIGRE -AFKNEWEKLKTVVRWLDDDPSETLLKSPLDSHIQIKNFFARMENKPRVVRVTGAPVKKRSGASKLAMVIR -DNFSKLGHLRGIDDITGFVRSQASEVIKHLLFCVLQGPFNPSAKLKYVTDILYNSPEIDLKEADGKTKTN -ILGLLQKYINSDKDLIQAIEKVGAGTVGGFTVRQKVSTTADNKVSYHGPGTWRGIMDGCQIQIDIFNKQG -LPPHIESVYISDRVSPWDLCQSIRAWAEDMGVKNTTDVSKKHKKWNCKFWMYDFKMFGSDKPYGCPVFTF -RERMTDLHFIRSDEVKMKVRGSTVNLFIQHQRADMHILSYTATDYDISPSCLRTNEKFVRDILSLFPQEP -SRSWIQCLSLPYDFTAAVLNLADGKIKRDHIDMKRLRDIIKTCTEASLRSRVGTVYSAIPGTSESHQVLD -TEQLFDLLIEDYSPDMFEETVRCLEGDIIEDLDNEEFDLTDIDLFGPAHFKEVSDLAMVSHPLMDDFIDH -LVRKMGRREIRRVVEQGKCILRHKEYSSRLLRALGLDPTRLVVIDDDTDDSPDVDEDLIG ->AFH89007.1 polymerase [Naples virus] -MERILKKQPAPDGALTIHPLRRYDSTIYETPIPAYVIKHTSEGVTIDIATSELADYQSGSTIQPFESIPA -QNLTLFKHDFTFGHLAETTDRKFVEVFGVLENRADDSDYQSPDVIIETESGHVHVIEFTTTMGDLGSAEV -AARNKIAKYEIACLNRSAIKPISLHIIAVHFNGVVSNLELNDEEVNEIVFRFRLARDIFEELREINPSLF -DSDETISRLEREVNSVMSSVRINWGLTSEKFPSFKEELFSNYNNNDANQEYLVRIIKKCTDEAIKGIEKD -SLFDEDLSHSERMNRNADKVSLNIKSKIAEMLSYDFLRDADDHKSTVQIPPWVTLEGHKGKDLEPLKSLS -VEGSHPMCKIWNKVCTNASIDKIERMHDDPQAELEYAMSGSTERAVERNKYHRTVLTLSSDEKEYAAVLG -VCGKKNSNLGAVKEARVRSKKGFSIEHDVSKVEEYLYDINVIDLKPAEGLYNPLSEDIRLRELAMGLHQP -TLIHVDGQLPETLECHRDFLMTPLGSWAQMVSVIGAELSASVKQHVKPNQLIVKRLLGSSVYLLIKPTTS -KGHIFVSFAVDRRYLIRDLSKSSIFKPSIPAGDLMVTDFVSFKLSKITNLCRSLCMVEAAACFWSETYGY -EPWRMLEHVDETKFKDTWFMIKLSLLTMMEDKATTEELQTIQRYVVMEGFVSLPELPNPSKMLSKIPKVL -RSELQVFLTHRVLNTMQVISKNPFQLHRIGANIKWKSLFNPFSGNGVDELQTLISCCYNGYFKNKEEETE -PSALSAMYKKIIELEHLRPNTDQYLGYEDPEEPKMHEFSRSYLKLICDHAKGKLRKQYGRGVMSQIESSI -IREVSSITLERLATLKATSNFNSNWYVYKDVRDKNYTRDKLLVKMTQFAKRGKTLAIEMFEECMSRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSIIEAIARAIGRFFDSDTLCNPTNKTKIPETHGQRAKRRC -GRSVWTCATSDDARKWNQGHFVTKFALMLCEFTPEEWWPLIIRGCSMFTNKYMMMNLDFMRIIDSHKELN -IEDEFVTKLFSAYHGETVEPWIDQGKTYLKTSTGMMQGILHFTSSLLHSLHQEFIRTTSMQLFTNKMGSE -ASSKVVCDVMQGSDDSSMIISFPAENEKMKMRYKLIAAMCFRIKKSLGIYIGIYPSEKSTPNTDFVMEYN -SEFFFHSQHIRPTIRWIAASCSLPEVETLVARQEEAANLLTAVTEGGGSFSLAAMVQQCQCTIHYMLMGM -GVSAIFEQFSKAISKWMDPGLGFFLFDNPYSAGLSGFKYNLYRAIMNSDLKYVYSFFMRRVKGSSTKEDA -IISESCSVSPGGAIVMSSTLRWGSVEKFKRLRNRLDIPEDWKDMINNSPEVLYRAPQTGTEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGNQEYKILNNDKYSLLQKIVAFDQFSGGEDISQEDL -LFLFPNLVEFEAFDSIIYDKGKFNIVPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -PGFRQEWTRLKAIVRWLKDNPEETLDASPFSNHVQIRNFFARMEGKPRVIKVTGAPVKKRSGMSKIAMAI -RDNFCKTGFLQGLEDEIGHSRAMQVEKLKHYLFSILLGPYTEDAKLEYVVKILREEPQVILNYNDKRSRA -NIISLLQRFIKSEVGIANLIEEMKAGVFGAFVKTQHFSHSSINNKYYGRGIWKGVMDGYQIQIDIDGKEG -MPSHLTGITISNCSKPWVLTQSIKAWCDDMLVNNNVDVSKGNTKANYWMYGFKMYGASYPYGCPITLVRH -DITNLGLLHDDDIDIKVRRNTINLFVRSTDKRPRDLHILSYTPSDSDISSVSSKHIMRDEYFSNKGSFTV -EPTRSWMLCQPLSWMFVKPVLQVMSGKRTSPRQLDTERLKEIVRLCTESAIRNKVGTVYSQNKPEKLIES -EPIDMSEMFDMMLEEGIDDVFEDIADCLTVDEDPDYMDEVDFDDESLNLFGPAHYKEIQSMTVLAHPLMD -EFITKLVSKLGRSKIRRLLEKSIVTTEHRALAELLFLALGRDPSQIKEELIQGDSPTDIPDDLLG ->APG79218.1 RNA-dependent RNA polymerase [Hubei insect virus 1] -MELIDNIRRNYDLEIWRQSGLRCRGEMLTHHPTQPIPDLQDYMMVHLLEGKLSWRFDMPEDLVETSSLGT -EISRQSRLVDAYKIRHEFVGEGVMLGGSDTRLDKIFGKSPDEPIEETDKLTPDFSLTDTTGVTHIIEVGT -CRSNDMRTIKNVFDEKIFKYKETLENRSTNRITTYTVIITTPRYVMSNIDLDNEIVNDMHLRMKIGMALE -DKATESGIDIFIGQEKSEADKIASMIGDEIKKIGFKNPPIDDYKVFITRDFIDECLRPPDEKLVQELYFK -SRREAMRIDVAPDHNKLMKDYLEDVRDVKETRCDMKPTCNFPFIVMDPKKNSTEGIPRYPVGGDAPDYLM -TLWTRALRSLKDNSSESRPTYSLINEAYETRQEVLKAMEADRLSKRGALSKVDVSPALTSKVLLSLQRDG -IFGKRAKKEIQQRVRRHQQSLPFNWDTRLDDIDEFMNKTDLYDKLDEIPKTQGSPMRLVQESHDAMENSF -DLGKVRDWMKTKWFHGLDLMSDIAVELSLSIKQNTKNGEMICKRLRNHEAYILIKTTNSDSHIFFSLYIP -RKSFLKHKEDLPFRRMYTTGSGYMTDFVSFLKDKLGNILNASSRFLTLVSFWCDFYGVTDFCVDSFRKCK -EATQMLNVSMLISLENKAETEESITQTRYMYMEVFKSIQSRSKPNPLKVLSKLTVIPRSRLNLWVLRKVV -TGFGAMTSFPPMRVVVNQEEQNDADADDVLPGDQWKNLKNFFTGGTLGSATSAVNIMYLGYLKDKNEEGQ -GNTEWKLVEKIVEEECKLRIKKRHEQYGRITSDDEMPKGKGFSLDAVIYGCSTLEMRLRKDLGPNWKSKL -NNEILHSLGRQLTHELASLKASSCIDHMKVDKDSSDEDKKHVVRVKVLEALACRLNKVGLNPFFGLKDML -EYVENTSKGIICDLFKKQQHGGLREIYVLTIESRILQLLVESISRTICTRFDEETLTHPDHKLKLLDEHK -VRSSQISRVRGSIFADFCSSSDKTRWNQNFIMTAMSVPLFRLTEQYLHPAIMRTLNLWANKLIKLPPNVV -KMLSEKTMISSDTYEKLYTKFHGGTIRGMDAPIVKKRRSAFLNLTTGMMQGILHYTSSLLHVCLLSAFKK -ISLMYLKKKHGSEHLRFTMTCVCSSDDSATILTAFSSKKAENFKMSDIKAFFDCDVVLHTMTKFCQYFSM -VESVKSTTALYDYVEFNSEFLFKNTIAMPVIKFVAACLTISESESFMRRQFEMYNLISSLSGSGFPFLNT -YFCQVAQALLHYKTLGSSNTELFEHYEERIKEYPDLVHGFFLMDKDVLCGVAGISYSRWKHCQKYPRLYA -GLALHKNEESDIAEDGTVVDSLVVRHGEHYRWYKLLDRVHDGKLHVESVSAKKKPGTAEKEVDQKLVASK -MALINESPEVLYRHPTTKAELRIKLLSKALSPGVSKSLKKGNPVVQSFASTAYTLYSHCFTRCSTHKRIG -DDKEMRRKLTKKYSLLSSLKERKEYADEVLQTAVGVTELEDMFPLHDSYQEIEDVVQQFRMSDFVECRPI -RQKKHFIRLLAGYDKLPLTLLQIVGIKWFGFSHKSSNSVINRCFDAYCAKYQWLRGDLESSLKASPFDRH -TELHSFISSQTNQSRMYCRVGPTISTMRFSHRIHQMIRKGALRGHKLEPRSYGEEGQQLPEPGFRQSAFE -REKLESELSLALFIPLEFKRDVITRAVLAKLARSRPRLSDWMDCPRWEFEVGMMALYLESKISYQDMMDA -IKNKGAGIRIVYTKPQEKNSDGTWSGEGECLVNAEGYQLKVELRNDEVVRICVKNPKDLIKRPDLLKDLF -ERLRSRPLRTPVYTVNCLYRFNGTRFAHASSVGTPIVHDDDIFVMHLYPTHIGFRVKHGMCSLRVLDDRP -YNLISFKSKSWACAMTGHTGPEAKDPWDAWVHQKPVSAIGAVGMLVELKERIDSPNRPGRSESEDNCWVG -WVRESLTNRLKYKNIGFTTLLDHPSDLATTEDRSIKADAGLCTDEDLQDWLLEEYEESKEEEQEALQFFS -DQLVDSVKDQIICDFSGNAPEDMLDCDLMDFMQTSYDYSPFRPVLRNWIFEDSELLTSVISTEARNFYSL -HPFWDNFISACTARDRAFFDKTLSGIVVSSDQDLSRLLQAILSIKMTNVEISLMEQYLRSKPGYIDEAGP -SNEPPVAVQDQEGNERVDLVDSDGEEGPAGQDWWDV ->AIU95033.1 RNA-dependent RNA polymerase [Kismayo virus] -METKIRNEVIPYPARQDITAEGILYHPQLDDVLLPEFSISESDDGITLEIDEIPDSVSQVGSSLVVGSRK -IEVGQISTFIHDFTFAALSEQTDQTLARHFPVINDGEDHLTPDMIIESSNTERRVLEFATHRGAGHRGVL -NYYNQKVEKYKRPLQNRKDRTGWDITLSCIAVGFNHLVSDLRLSQQTVDELVYRFRFACAVMGQIQVSYP -ILVPQTSDEVSAAERKGKESIELITQSFVHGRGRAPTVDCFPLGSFKYLEKFLEGEEDIEYLKRIFGSTF -ADALKEMSQQHIPQGMRREGALRKNFSEAIHKLEDLQETQHEAYKQQENPPPEDVRKSTIKFPPWITKEG -KRNIDVTPNSEDLDGLSDDTVTARVWKSIFEDSFFSGSEGWQENVDRELAIAKNELQVEDSENLKQEFHR -VKATLPTQDWINLAARGIEGKSLRQEDLVRKADAKSHQVLSPLTDTTSIEQCLEDNNWFHRYKTSSETNL -YQNLDQLITSSLEISCENEGLSSAILETFKNYRNVNVVHWSCMIAQIATELAIASKQSCKKHQFILKKLP -NFPVYLLIKTSRSGSHIFYSLATRASDVVSELNGDVFKDFERSGRWFLTEFNSVKLCKLENLIKLPMLIV -GLLAYFQESEDKIVTKYSRPTLFTRKATTLSILVCLEDKATTEELITIQRYIQMEGFVSEPLLPKPQKMI -EKLGVALRTKLQVFLYNRCLKSIRVISGSPFKRVSRDKEVSWRGCFAGALGMSCSPEQMVNSWYLGYLKN -KDEDTEINMLSAMYEKIVKVEEKRPLSDANLLGGDPLNPEMHEFSGSFIKFMAKALDEEVSTTKGRNWKY -EVTTQFYRTIGSISLDQLATLKASSKFDESWEEFEDARMKEYHRVKVIERISELVQEGHTYYTDTLGRCF -RVVLTDGCMRVCLFKKAQHGGLREIYVLRLEERMIQFCIETLARKVNEAVGHETISVPSRKEEILDTHPV -RAAKACGEGTLITVCSSNDARTWNQGHYTTKFAFLMCELLPKELHGIIWASCAIFRKKKMMLNLDFLNSI -AKGRAQKEGFKKKLHDGFSGNADIPWIGKGKTYLVTETGMMQGILHLTSSLFHAAFQCAMRKLIKAKMKN -LVNCKILIDVIEGSDDSAIIISGAVKSDDEERRFRLCAAACLIWVKKLCVYAGIYMSPKSTIGCLDVCEY -NSEFRFARLMCRPTFKWVTASLNIPEVEKISDRQEAFSNLLTSVLEGGGTSSLCSILQLCQAWCHYLLLG -MWSSCVFGPLVPHLLKGKNPDVGFFLLDCPVSAGILGFRHNLWRYARKTHLSQIYARTLDNKTLESFTLT -SGGSLSKSHLIRWGDRKKLANIKTRTGMEADWRAETNEDPSVLYRPAMTNQEVKLLLSLKLDSPGVAESL -SKGNVLGRVLASSVYILQRRCITVRRTRRKYTLAELMIEQGEDGRTLTIAEESALFGDIISLEKNEDLSQ -KYTHAHGVLIGRSREMRRAKVEVLSPEESYRAPPVKIMGDVFFGTTKSHMGQNMLARELGFLKESFPWID -PDPHTCLLQSPFENQADLKTFFEKLEQKTRKVRMIGAAVFTRMGQTTLENLIRFNFQKNFELVKTDIAEY -ESTNEDEKFCKHVVTMILSGPFTNERKETMLIDFLKSSDLMAPRKLLKKSRTNVLRVVRAWLEGHSRIEE -LVERSMDGICGAFTIRQKTSKDKRGVIQYRGVGVWTGRIDGTDCRIHIENGGPGEQLLKKVIISSDRNLS -DFLSGLERLCKELKVINPRKAHEVSMSQSQILGSLVDFRLESKFSKAGAPLFLIPAQEGFLREMMDKSSV -SLLVRGDVLNIRADLGDGRLITMLSYKASGQDADTEQGQTFFKRRTVLKNTLPWAEREPYLSWISLNPIP -DILVSRLREEMEGKRRSEGLDGTLLKDIYQRCCKSSLRRKGLTVGQYSGLRLKMEDQQDNVDIDLLQLLD -EDVDFEDAMAGASLDDMENSVEDMEVLFGEEDLEIFDFTEIMSRSDPLAYHGFCDRIIEDFIRKLGHETI -RKAIQKRQVLQTDYSLVKCLFESIGENPEELSIVVDADNEYASSSLLSEDIWG ->AJG39269.1 RNA-dependent RNA polymerase [Wuhan Spider Virus] -MATLTCDEANTTLVDVTLPPVVIHKTMVLDVSIEFDIESFFERSSSSYSKPGRQTFTLGYKELNKLPHDI -IAECLGYDSTDKPLSGVYILQDDTDKLTPDVIIQDEKGNFTIIEIKTSRSHYGVNELFNSAKRKYYDAIQ -ARLRAHDITYFVIVVFPHGIVTNMKMTMLQTETLVQHYLFAIHLQDTAKKFGWDPESVLPEKENIIRFFA -ESFKYDKKEENCRGEAESLHITKQTLRNWENLEDPGIKRIFDINMKSAKEIWSEEIIVKDDERTSRDIEI -CAKRISAFFKKVANLDTKDSVKAVIRHPLFTVRSPSPYTSSWRSNELFDMYFDNSTYGTLYSQAAEACLA -DEESRFETLLSTEDEDEIRQRGYWTPPPGDKHRKSKRYVFDSKLSHQQQLDLATRGVGAKSKKNDFNLSA -KIANSKKPYSLQTDTQDISDSFNDDLLFLRDANWEDPLEKKLGPLLNLAKTFAQDSMSAPNEQFLKSFLQ -TTIGRSLAILSEIIEEVNISRLKHVRSHEFIFKRLPNYPIYVLIHPTSTDKHIFYSILVRRRDVDYVNPL -AERLICIGDFYCTEFVSLDRHRITHLLYAQYKQASMMCFWHMLFGDNGCQEYAPNVRVRSHFWMACLVFL -EDKERTSANLQHIRYAYMEVIKGGYTRSDPLKILNKIEHTVRSRLMVFCINRVLNAFSKMVEFPPRLAVD -RTGATPLRELDRLEGLLSWVDQKPLRNFEEALNLSYLGVLHNKEEGDLVQGYLKIFDKVIDQEKKLRSSD -SLKLLVGTSLKANDYGDHEFSATAVKAFSSFTKRYLESKYGDYHSWATDAIVKCIEDKTFADFATSKASA -TSDDALASLKEYLEEDQEDLNFNKISNKIREKGPTAKKCIENTKELMQNLKSQDRRPFMHLKQILDELEQ -AGGIKVKLFKKLQIGGTREIFILPIRARIAINFLESVCRKICDELPCEMLTKGDQKILRSDFHFNKKIKT -CSGRATLTCINSDDAATWAQRFVMPVFGVMLSELVPEPFLTPCIRILNLVTSKQLILPRELLLLFESHRQ -VATYNPSIQSLKEEYLLYEVKDRCLVKTGQNLLQNRSNMMQGILHYTSSLLHSTFITSLTSYVETTLNSP -ELTIVDRAVVTGKVSSDDSSLLITASLPSGATATEASKACILLTLFSKLKGVCYPLFCAKQSYEKSTESC -FHLIEEFNSLWYFRNTLIAPSIKFVAASVRTHVTDKIEIRQNVMYGLKSQVLENTGSIFMTSVVESCQAR -AHYIALGANASGVFTLFEKAIKELPHPALGFFLMEHHLLCGILGPKFQKYLAVKRSSIVSKITKMVFSTT -ILSEEAMDTCFSSFAITQGSRTRYYKMLDRMGLKFSDVIDFYDNHPSLAFDKAKTKEEAGHHVDLKAVTP -SLANSFEFLTDSKQHAASSYVLHAPCVYLKRRVRINKNETTGIIDSEVDEVKLSLVGLIHYVKRALTEGP -ASALDQREESIIFPNASLYSNSIVYAKQAERFDKQISRRERKMYVSIAVPKTISPFLASPVDVCRAKWFG -TSVRHTTYEINQTFSVLRKLYHWLGRSLTETLENSPLNSTVAVAQFLRSLPSYESKIHILGSHHKLPSVQ -DNVFGIIRRCQWPRVFLFEAKEFLPTPVSPKRSRSPSPSTTKGKTPISPSRKTPDPSPRTPEPSGPSSPP -RTPQQKREERRRRARERSLSPAKGPLVRTDKQMAEMAFSGKPAGAKERANTIATALWYNSALPDETNGSS -KGKREAERRVERIFEQNLPILSEHDMKQEVMFSCLDSRESTLAIMQYAALHGGSDISSILHCAKRGLVGS -YVQAGTYDSARKMYTGTAIYKGTYNKINFEISSFDEKVCSLSVKDENSLYKLLQGFIPIIKDLKLCLSAS -MDDLVRCDRRLVREPLWLDMYNQELTKAFRPGRVAIIYTDVKPFRVMASINSILKVKGGTLRLFNAADLA -HKKRGRKVPLLSYTPKKPSIVREDWVYEFNRPVAKKVDHWVGPHPYDRFLARKNLTYLEGEKLIKAVLSE -GSNSINKISPSLEKWVKTTLLARAERAYDVTVSSLAMSKMLSGNLLEDENPQELLGPPQAIDTEHFSILE -SVGEFDDFESMLSDIDNEIFLKVLETEDGSLGQELETDDEPPKLYSNWADYDPEEEIAAQISEYAQTRPA -LQEEIRKDVIYESRFWDGFLNTFKKYALVEMRNEAFRGIYRHGASPSVELIAKLYGAEHKPYLIPDTESM -IPESISDPLLM ->APG79216.1 RNA-dependent RNA polymerase [Wuhan insect virus 16] -MEQLMDYEDLSWVDYLSHVFEIDVTQYRDVVLHYAEPTTLYPEDVPENVMDMVEITEITEEGVFWELKLN -EYEGTVGSITSGSKTIKHGEKYKYRHEFVSEAFGIGTTDEKLGRIKTIGDDDDSRTPDFILQSGDVFHVL -EIATTKSDRHDIVRRSYDTKMFSYLGALINRQLDKTITYTVIVVTPNRIFSSIVLPRLFVRELIMRMRIA -LCLEQHLEASGIDIGDDERSEFKEHIRKRIDSDISSLPYKKVGSNPLLIDEAYARSCLTQSNESKVASKL -ISELLLSKPEIEESWEDSHEDKIRTYVDKFSEGNRRFDLKPISSFPYIVTQELEATSDIPKLLMGNSETI -PAVSKLWIRAMDDYEINPNRESEDPEGLMIEALQEDLGEIKRIEVERRQKRKFYHRVSLRNFLSDDDLEA -LAAQGVWAKKYKNEDFMKARRIHQQSAFKWTTDTSDINEFLNSDDGLNEVEIKDDNRLNLLKHANEIAGN -SDTFIDLLKCWRKTELFQSLDIISDLTYEVAIANKQHCKSQEMILKKLRRFKIYMLISPTKSTEHTFLSL -FIPNPCKIKFSTVFGKVHRVFGGYLTDFFSLKQSKLENLSSMPASLISLASFWSWFYGLDDASPSSFSSH -KEAFHMLKLSLLIRLEDKAQTEETITQSRYMYMEIFKSYFSITPPNPFKILSKLNSYPRSRLNLYCQKQM -IKTFQLMLITPPVRLQNLEKVSLDDGEDSPANDQWKNLLNCFTGNTVATASKVMNLFYLGYLKNKMETPE -GNTDFQLVEKTCEEEFSLDWNNIKASKGEAEEKPKGKQFNKNCIMHGCDVMENRLKVILGSDWKSIVEKD -ILDTLTNRMSLELASLKASSSIDHKNLETTASLSTDFGAKRKKVLEAVSDHLEELGINPFMHINKIIEKI -ENTSGGVICDLFKKQQHGGLREIYVLTIESRIVQLYIETISRVLCSYFEEETLTHPKNKLRKLDQHRSNT -ARIATREDCIYADFCSSSDKTRWNQNFVMPAMIIPLLRLTPIKFHNSIIRIMNLWTQKLIKLPQRVINLL -LTSTQMKSEVYKKLHQEFWNPSSNSVFDNKLNPFIRLTSGMMQGILHYTSSLLHLTFLNSMNKLVPYILK -KFFPENTFIMTQVCSSDDSATILSVFSPKGDRNLTNTTFTACRKASQMLEALNGMCNYYCMKNSVKTTTA -CVDYVEFNSEFLFRNTIAMPIIKFVAASLNITESESFLERFHTMYNLTSDLFSSGFPSYNTYICQLAQGF -NHYKTMGSSVNPLFDFWSSQIIRVPDPIYGYFLLDCDLCPGTLGYSYSYWKCYTTTDLLRRNIKTIIGDQ -AEITEMGGIMQSLIIKHGEVKRWRTMVNSVSKGIDFANIIEDNPELLFREPRTIKELRVSLAIKSTMPSV -SKSMRRGNPYLQSLAMSVYAINTHCFSKTTVTHDLLGKVQKDYRKVSLLGEIRKRIDELPFVGTVPRSQV -ELMFPAITRYEESQKIINLMRTYTLVRTTPFRNRRSEVMVQPFSSFVPLSLMQTCLNLWFGFKVRTSHSI -LRRCVENYKVRLPWLKDTIKESLISSPFNTYQELCNFVSSHSIRSRLFVRIGPGIFSKRLTGQLFQLIKK -SQKEGMVLKAFRSDMSPLDREVRLSAEINSKLSLALTLPTSMGRRSQVERLLKTSPTMYDTIESIQRMNK -RDANLCYIQGYVKNLLTPEDIATSIQQTGSGLLISYTKMQEKTIVDGNVKWIGKGQCIVSCEQTIIVVNM -DNEFAVSIEVASWEKIRGHASTMMNILNELHLRTTTDQTAYMAGAFKSRFDGVNWTIMNARGTPVFENPH -LSMRYKDVKELHLDIGFQSISLTQRTYKGPAAMIRYKTYPGDILPNPCSRISTKIVDAWLYQMPLKSDMA -TALIMTSDASSVTTETRNWIMDTLMARLSQKGLGYGPGLLSHWTPRMESMNDFEVVEITDEDLDKLYDEL -SIQESTFLPISEIGATWVAYEPLEPTEELSTESEHFSQWAFAMHNWMEAEIEENIDITSRGIKSFKYLHP -LWDDLIDDLERRCPKFWQLTFKGIRTDTESQLSSKIIKMLGIKERARPISLQERAQQLIMTVLKEITEDP -NEPSTSGLSPMREIPSKKDELESFKEVEPEDDDDEWFG ->AFM44927.1 RNA-dependent RNA polymerase, partial [Basiki virus] -QHGGVREIFVMTPSTRYIQWIVESISRVLCAELDFECLTHPENKVNIPVAHQAKAQMSSGDSITYYDSND -ATKWSQNIDAGKMGQLLYRVLPDPLGKLVILILDLWYEKRIQLPDDFIQSIYKKGSELRYEDELYQELLE -GIRDGKKTSYTEKNRSWIRVHSGFMQGVLHFSSSFYHACALGLRNHLFKSRCEKAKIRTISTFDLVSSDD -SSRGYTFPSPAVYGAFTAEALAVLSDSALMGWLFTAPGIRKSIKSTDAAPDFLEFNSTWFTQSGQVQPSI -KFIFAALACPSSETLIDRQDMLNNLISQLLESGSPIQECRIVQFCQALYFYKIIGLDLTPIADEMCQHLE -ASPLPSLGYFVMDDSRITGMAGFMYNHYIHMKKNNSLSRIYKSLMGSSTTGHTSVGQVCCPATIIFKETT -TYKKLREELNAIIPNWEEII ->AFH89003.1 polymerase [Naples virus] -MERILRKQPNPERSLTIHQLRRYESSIYDTPIPAYVVKHSSEGVTIDIATSELADGQSGSTIQPFESIPA -QNLTLFKHDYTFGHLADTTDKKFVEVFGVLENRADDSDFQSPDMIIESETGHVYVVEFTTTMGDANSAEL -AARNKIAKYEIACLNRSAIKPISLYIIAAHFNGVISNLDLTDDEVNEIVFRFRLARDIFEELREINPSLF -DSDETISRLEREVNSVMSSVMIDWEKTQSKFPSFRKELFEQFKERDIDEGYISRIIKRCSDEALAGIERD -SMFLEDMTHKRRFELNNERTVKEISDKVSDMMSHDFLRDTEDHKSTVQFPSWVVTEGMPGKDLEPMKSIN -VEGSHPMCKIWNKACNNAMIEKIERMHDDPQQELDYAMSGATERSVERNKYHRTVLTLSPDEREYAAILG -VCGKKNSNLGSVKEARMRSKKGFSIDHNVSRVEEFLTDDDMEDLTPADGLYNPLSADMKLRLLAMGLHQP -DMVHSNEEIPETLDCHLKFLQTPLGSWLQMVSIVGAELSASVKQHVKPNQFIVKRLMNSAVYLLIKPTTS -KGHIFVSMAVDKKYLHKELNKSGIFKPSIDAGDLMVTDFVSFKLSKITNLCKAACVIEAAACFWAETYGF -EPWRFLDQVASGRFKDAWFMIKLSLLTMMEDKATTEELQTMQRYIVMEGFVSLPEIPRPSKMLSKIPKVL -RSELQVFLTHRIFDTMQKIASSPFQLHKVGGNIRWKGLFNPYSGNPIDELQTLISCCYNGYFKNKEEETE -PSALSAMYKKIIELEHLRPPTDEFLGYEDPEEPKMHEFSRSYLKLICNHAKSKLRKQYGRGIMSQIENSI -IREISSITLERLATLKATSNFNDSWYVYKDVKDKNYTRDKLLVKMTQFAQRGKTLAIEMFDECMTRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSVIEAIARAIGRFFDSDTLCNPTNKTRIPETHGQRAKRRC -GKSVWTCATSDDARKWNQGHFVTKFALMLCEFTPEEWWPLIIRGCSMFTNKFMMMNLDFLRIIDGHKELN -IEDEFVGKLFRAYHGESKEPWIDQGCTYLKTSTGMMQGILHFTSSLLHSLHQEFIRTTSMQLFTQKMGSD -ASSRVVCDMMQGSDDSSMLISFPAESEKVKMRYKLVAAMCFRIKKSLGIFAGIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLLTAITEGGGSFSLAAMVQHCQCSIHYMLMGL -GVSSLFSEFAKAIEKWLDPGLGFFLFDNPYSAGLSGFKYNLYRAIMNSNLKTVYSFFMRRVKGGSEKSDG -IISESCSVSPGGAIVMSSTLRWGSVEKFKRLRGRLNIPEDWKEMINEAPEILYRAPQSGVEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGSQEYKILNNDKYSLMQKIMAFEQFADQSNSISQED -LLFLFPNLAEFEAFDSIIYNKGRFNVVPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIG -SPGFKQEWERLKAVVRWLRDTPEETLNASPFSNHVQIRNFFARMEGKPRIIKVTGAPVKKRSGMSKIAMA -IRDNFCKTGFLHGLEDEVGHSRAMQVEKIKHYLFSILMGPYTEQAKLEYVKMVLSEEPQVVLNYNDRRSR -ANIISLLQRYVKNDAGIANLIEDMKAGIFGAFTRAQQFSQSSINNKYYGRGIWKGVMDGYQIQIDIDGKE -GMPSHICSITVQNCSKPWVLTQSLKAWCEDMQVHNNMDVSKQHPRANYWMYGFKMYGSSYPYGCPIYVIK -DNITNLGMLYDKDIEMKVRRNTINLFVRSSERRPRDLHILSYTPSDSDISSISSKHVMEDVYFGVRGQFS -VEPTRSWMLCQSIPWNFVRPVLQVATGARRNPRQLDTERLREIIRLCTESSIRNKVGTVYSQTRPEAFIE -SEPIDMSEMFDMMMEEGMDDAFENLADYLTIDEDPDYMDEIDFDEEGLNLFGPAHYKELQSLTVLAHPLM -DDFVVRLVGRMGRPKIRRLLEKSIITSDLKELAELLFIALEKDPSQIKEELIQGDSPTEVPDDLLG ->AJG39236.1 RNA-dependent RNA polymerase [Dabieshan Tick Virus] -MSRSSAFNAICSRSKPDRPFYLPTARHYHCGVLPVMPLYEASRSGDDIHINFSLEQMDSISVGGTGSSLG -DPYTLRVPSSQTFIHDFTFAVWADQTDQKLRNHFDILKDGDDLLTPDYITTLSPTEKAVVEFATVRQSQL -TTLVTSFDRKTVKYTRALKARSSNECRITFLVVVACSDSVYTNMELTQSEVDELCFRHRVAMELASMLSK -QGLVFEGYGDEPSLKREAKALFESMRVDWKELEANFPQMTEEMYRSWLEKPDLNYIKELMDTMMMDSIHQ -IRAETKIYEMPHADLLQEKTQHLREKIHEFSEMIDQSAERTESRHKSTIPFPAWVPKVLKYSDGSLTKIR -NLPGMATPSTTEGRMWAEVLHSVGSGEVEIDREDMQAEYDHAMEFVVEDEKERKNKRKKYRRVAVKLTKE -EEIDLACKGFGGKAHKFHPRVKEARKLSKQTFKLSTDTNDIERFVQQDESVFKTEHRQSLFSEFTEAFKN -IEEAHAMHGVNETQNLWTQRSKAFYESPLGVWLTMVTAIAIELAASVRQNCKAKQVLIKKLRMFDVFIII -KPTNSTSHIFFTLAISKGSLQCLLSDSEVFKSFHKSGNWYYTELHSFKIPKLTNMTRAMSCIHNLYWFFR -DFHGVNFFHPLTLEDSAGLKEAERMTRASMLVFFEDKARTEEIITLSRYVFMEGFVALPAAPNPGKMIKK -LPKIFRTKLQVWLANRLFLAMLRITKNPFVAHSVDGKAKWTNMFNMFTGAPVESPKRLISLFYLGYLKNK -EEGPDRNGNSQLYEKIIEEEDKFSGRREFLGLKDPPIGDVRTHEYSPSLIKAMSQIGVSILERDYGQNVM -ERVEDDIDTAISSISLNDMATLKASSSFGPELYKTVHEKPYHRRKVATALMPMVKDKKTHLHHVLTECLE -KVEGKGGIHACLFKKQQHGGIREIYVLGIEERVVQLTYETIMKQVCKFFKSETLMNPKQKHIIPSNHGYI -ASKVCNGIVFTTCSSDDQSRWNQTQHVTKFALMAVNILPKKYHAFILRASALFMRKRIMIDPALLKIMRS -ITDLKTGSVIFQRMHSAYHGNSEERWLKRGDSYITTETGMMQGILHYTSSLMHTLFLEFYRDWSLKRMAI -ECLEKETKAHMDILQSSDDSSVLLSFPANVREYTLNCRFFALVLFEFKKKLGRYIGIEASPKSTSGTPFV -VEFNSEFFFHNNHYRPEIRWIAAADLVCEHETLASRQEEMSNNLTAVLEGGSSLSLTAFCQIGQAVLHYL -LLGMTTTGLFYNFCVGVRSCRDPSLGFFLMDHPFAAGAVGFKYNLWTACKRTNLGRHYSALLQLVDKTEK -EVAKEDRPTKFASLETTRTGTFTGAVGLQWGDRSKWLAEIEKMGLPEDYLEVTDARPEVLYERATTAEEV -KLKVAEKMHSPGVTESLSSGNSVNRVIAASVYCLSQRVMTNTSEWLNTREGKPEKHSLLFHIKRASLLVE -DTQTKLNPEQERLLFPLSEEYDRIRNNLKDLTSVSAQRIIDSRPIIQTRISIVETVKFLRCSPVDLVSDM -WFGTTKVNMSRQALNEEWEQLTQILPWLCTSAAMTLERSPFNHHCQLRNFLSRMSPRGRMIHIVGAPIKR -ASGMSNLMTAIRDNFFPGYKLTDSFDQYSKEKADKAALLKHYMYMALTSPLKDEEIKSTVKEILIKCPTI -GFSQGMKKSKLTNLAILQEWVQDGDDSRALRNIEASGSGVVGGYTRRQFSRIVDGVVTYHGDGEWCGSVE -GVRVEVHVGMGPESGLTNFAQVTIDREMDAGDLIRFLKQWAAEHNVRNDEPGHMTLKPQIAGSRLYWLHK -FKLTSGPVEKSIPIYYTRRKVYRSAPLPTSDPLRLHIRKRTINLQAKDGSYTTNVLSYTATDAEASDVVA -QRIREDIDAADARGVDPDMSFLAREPSRSWFSMRRLNPQTVTSLLKATYDPNVREERVRLDKTKTKSLFR -ELTENSLRRAGVGLSDLGSLARLKDEDWDRPEATTKKAAPVDLSHLMCMVMDFDDELVAGPPILTWDDVK -PQTQEAEEEEVNNPLEDYIESDVMGREEFEAFGAGRIRQDYSARFYEHPLLDAEIEGILRRFPAREVTVY -LREKIALQSRKQDVERLNQLLGIPDKDVNYIEEEVEVGPAVMDVENLG ->AHF71068.1 RNA polymerase, partial [Malsoor virus] -DAQMNLEELCRRLHVGEGLSTGEVRLYDQTFDRPALPELEVSVGQDGISVDIGAIPDSASQMGSSVNVGT -TLIKLSEAYKINHDFTFSGLSKTTDQRLSEVFPLLHDGSDNMTPDVIHVRIDGVVVVIEFATTRSLNMGG -LEASFRGKIEKYREPLQRRVDIMRGARVYFGVIVVGSGGVMSNMPLTQEEAEELMFRFCIANEIFTKARM -MDADIELQKTEDELEAITRARSFFAFFDPDVQKTEEVFPNSDQEMLRRFLSDPIDVSYVANVLKEAEKTT -YDELCQNHFLKEGMSTSERLYKNRLEAQEEASSLLETLHQMSNKESHCAKSTVKLPPWIVKPQSGTISID -LAGEGANVEQGDPYSELWSSAFLHVSLGNVENIISDPAKELEIALEEGELDEELKSIKTKYHRFRPELSL -TSKQAISLQGVEGKRWRSAAANMLKEKESHQTLSPYLDVSDIEDFLSHNTLLFDSGFDHEMPQTLLSILL -DKASLLQENDLTKSLNSSFKRNLNTNAVQWSLWVSCLAQELSAALKQHCRPGEFIIKKLMFWPIYVIIKP -TKSSSHIFYSLAVRVRDIHRKLYGHVFTQTIRSGEWEFCEFKSLKTCKLTNLVNLPCTMLNSIAFWRERQ -GVAPWIVRRADSELREQVALSYLMAMEDKSTTEELVTLTRYTQMEGFVSLPLLPKPHKMISKLEKPLRTK -LQVFLLRRHLDAMIRVAASPFKVQAKDGKVEWSGTFNAISGRSTSLENMVNNWYLGYYKNKEESTEINAL -GSMYKKIVEMEEGKPEESSYLGWGDPIKPEKHEFSKSLLKSACISLEKEIEQRHGRTWKTNLEERILREI -GSKNLLDLATMKASSNFSKEWEEFKEVATKSYHRSKLLEKISTLIEDGYIWYVDVLGGCWKAILKDECMR -ICLFKKNQHGGLREIYVMDLNSRLIQYGIETMARCVCELSPHETIANPRLKSSIIENHGVKAARQIGANS -INVNSSNDAKKWNQGQYTTKLAMVLCWFIPQKFHKFVWCGISMFRRKKMMLDLRFLDTICRKSGSNSSEK -FRQDLLDGFHGNRDLPWIKKGKTYIQTETGMMQGILHYTSSLLHSCVQSFYKSLIMSRFKEGIQGRSLPV -AVDVVEGSDDSAIMISFKAKTDTDEGLARMLIAVLLHSVKQLNPYFGIYTSEKSTINSLFCIEYNSEFHF -HRHLVRPTLRWIAASHQISEAEALASRQEDYANLLTQCLEGGSSFSLTYLIQCAQMLHHYMLLGFLLHPL -FGTFVGMLVENPDPALGFFIMDNPVFAGGAGFRFNLWRACRFSPLGKKYAYYFNELQKKSKDDSDYRALD -STTGGTLSHSVMIYWGDRRKYQAMLKKMGLPENWVEQLDEDPSVLYRRPHNRAELLLKLAEKVHSPGVIS -SLSKGHVVPRVVAAGVYLLSRHCFKTSASIHGKGTSQKASLIKLAVASSDSAMRNGGMLNPNQERMLFPQ -VQEYQRVYGLIDGLTELKGKFVVKERNIVKSKIELFHEPVDLRCKAEDLVAEIWFGAKRTKLGPRLLQEE -WDKLRASFTWLSTDHKETLERGPFQSQVQFRNFIAHVDCKSRVVRLLGAPVKKSSGVTTVSQVIKTNFFP -GFQLESEGGLDDHKRLESVSILKHYLFMTLNGPYTDEQKQKMIIEAFQAFDLPPASEVVRKSRTLSLCLI -KNFINQTGGSILDQIEKAHSGTIGGFSKPQKPFTKANGSIGYKGKGVWTGIMERTNVQVLIDGDGTSNWI -EEVRLSTDAYMFDVIESLRRLCDEMGIHNRFTSNFRGHCLVKLSNFRVKPSSRMEGCPIRVLTGSFHIKE -LKNPDEVFIRVRGDVLNLSLMLQQERIMNILSYRARDTDISEKSAEYLWSNRTEFSFGRKEPSCSWMCMK -TLDTWAWNRASKILKEDIKTPGISNMALQSIFKDCLESSLRKQGLLKSRMAELVEKHVTPFSTQELLDIL -DEDIDFSDVLESDIMTGSLEVENIFEDSPMLWSAEIEEMGEGYVNLSHSGKYYHIGLMDQAAQTMTIVMG -KDGCRQLLSERVCNAKLKEQVEPFLVLLNVNASEITWQADHADEQRGLDEEGAEMWG ->AHE13863.1 L [Sclerotinia sclerotiorum phlebo-like virus 1] -MSYNDCLDRYLSIKREELTRERQLISDVIRECPVIPTEPNGQCTRYAAEAIIMDATRVERNLFGDFLKLE -PEKSSWWSVWSAVNILEMEGIDVGVIIKQTGLCVYTTGDDFPNYYLICDGSHTEWSFCDQHVFYEEMDDD -LLTEESLKEYYTRRQNINFYKRIKILVDSTFKWTTMNPVQIVAAACPMIDEEDSYAVAARILFSHSANKG -DLDESEYNPDMYSLLALINSLKNAFVNFVVLRQRDHAMLFFSHLNELPSWLIMYDDIEKTYKVSHTMESI -QNIPYCESYFGQILNDNNFPVQKDQPCTLTFGDLEVEQETYNIEVTVTSSSDILSRGFTYTIKETNPSLS -SEKDVVTSVQAYSWFHKFCGEKLGVKYIDDKLSQICPLEDGYNDYSPDVIHKRTVNGKTHYTVVEFFTRH -SGNKQDLGNDLKKKYYKYQNAISARIAKLGCTGTYLVIGINQNHVVSNGYLSDSVLLMLRERYRAGEQIM -SEVIRMSGENWFVTKEDNQSYHKARKIIQSFILNKDGEITEDHLKTWNKRMSPKSILEKKSLFIQTLEGE -MKSIQTSEMLIDAKLMDHWATIALECESNEHGVQNADRMKDICIYPGFIPQEVETQQTVKASHQNLPYRV -YENDDKKQAHYDVWRDALWKVEDHEWSQEDEKRMNETLIEESELSVESADIHSRLNFLRDEKKRLKEAGK -MSDSKEVKEITKKEDDLKDKVKTLNEKKKALKDKMGTTFERGAYKRVKVDFSDVMRKVLGELGVQGKEMK -DDPYVKKLHEQKKKSLSPETSTSDIDGFLYSNWFMQEALRVRRLAKELVDDSAKMMGSHALVDLLESCID -ETNAGKYSKFVSNLTQELAANRFIGKDDEDCFHVKKMRNWDCTLLIKCTRGMTFFSVGCENPTLMPEEYD -DIFTKPYEESGFYFTPFRSIKTHNIDHHLKTESKLAQCVLTAKQLSNTSDSQFNTKSDVRKHLFSDPEFL -ANFNLQWIIYLENKTQTEEVVTLSRYVMMRCITNVNFKRNSHLVLNKCSRVFRSRLQLWLTKKLAEFCSV -VNGEKGRLPYKDRMGKFVNIYNPFTGQKVIRGAQLVIPCYFGYLVSKIRPTEKNSLTDMLIKITEPEREW -RDIKKELENNKDIDGNPRPIDFRVDDHKEYKRFVWDKDLMNMVVDSVKEDMKRKFGLNWEARIERLIITS -FAKSKFEDIATTKASSNFDPNYNLVLSKGVQNNYLDYVKRLRLRGGRPRVVSAIILFVEEVEKKYNVEIN -DLIDLLPYANKKLQDQKHLLVDLFKKEQHGGLREIYVMEIAARVVQYFNEKIGRILCGFYESEIMTSPKN -KYLIPINHSKTFKKENPNSKSLTFGYAADAAKWNQNHHVDKFIHMLVRLLPPLFSCFIASTIHNWIHKRI -QIPVQILECINNSTDATLLSKPELIKLRAEHFGGENLHGIHPKNTDYVDIESGMMQGIFHFISSALHTFY -QEWLRKMFYYGMEQMGLRKPVISLQQSSDDSSMIVSWPHVKNSKTSKSLALLTKALFNTKDVLGLRMGIR -PSIEKSVSMLMNSIEFNSEFFFDDSWVRPTNRWTYVTDMVQISETFQPRYESFSNSLSDYLAGGGDLISA -SVLQRYQGRLHYMMLGEGLSPFFAEAHEVLLELRDPGCGYFIMSPTSLCGLLPFTANYYFLIQQDPNIRK -SVKAWYDDSKLRSKGFQPSIGQQVNQNSIKFGGRRKYEPFLESLSRNIPSWENDLDKNPGLAFRKAQMYK -ESRLKFLQQYSNPGIAVSMNQTCPLYKEIAAGVYKLHQACWLRRLAWSESLSGEKTSLLRIMKAEIEKNK -TRTADLQQEELDKLFPNRQYYDHYWRMSQIYNKGVLVSETIRMRSRAIITIVDHGYNSTIPILELASFQF -FGEPDVPMTEEMFTELWEKTKVMYPFLGDSAEETKNKLGADHYYEVSNYLQSFRAQKDRELFLTSTTAKT -AEGLDAGAVITRIMSFGCKLRVSKNVDFKDYLDDMKRFDQLMVFLRELPLDPKVTKREIRSMFDQMPMIG -KAPLELCSKRASTLSIMSKVVREECTTAEALNLIERTGTGALSYFDPEGRQTRDDDTKDYKGKGVLRIKI -RDCYYVIVAQDSEITLLACSRIDSKKTDEKVLATICKSLKWSSEAKGNGVQMSFNGTKFQMNEGCPVIED -QGLEKNFRMDKNITLKLTHSFWKDGTSVRLTGGGITFLSYSVDTRNYDFKSLAFKTKEKLLSLFTNGNVL -KYDDLMEELWKVTRNPRNKRFIWDLLTDMMEERLKYYPSNRTEEVVGNAMTDAEFDMFMDQDFNEMLDEA -NDQFAGLSEMIDIDFAAFDDEDDFTALDFHGSSDSKKVNTRKLLILGNPFSEIFHYLKETDKLTNMQIVT -LFMHKTVTKNRKPVSLTSWLKNIFGLDEADDLWFPEDLEEDEDVVEDDFEDFYDERPSAPTISSVDFRDD -EIEAFDFVSIYSRVEIQLMGYKRDEVRRHLELIKPDLGDDQKELGHLIDLVKDANLDVTILNQGDDILYR -SRTRSQWFIKRVKPHTYIMW ->AKF42412.1 L protein [Punta Toro virus] -MESLLRKQTINNEGFFKPELRHSDHDLLNADLPTFLVERSSSKIIIDLNLDSLNPNSTVGSSLTSVIEIP -EKNLMNMVHDITFGHIADSTDVKLSSKFGIVGDGYDHLSPDMIVETTSGSHIVVEFTTFRGSERGCLNAA -KDKFAKYQIACENRSRTAPVSLYVIAVHRDGLWTNMTMSQEEVNELVFRYRMALSIHEESRKICPELTDD -DSDLSKVERELLGTLSFIDLDWNKTEKIFPMFKKAVFDNFHTSPPDENYMSHIISKELERSQSDLLKSSF -FQEGLTAEDRRFLNRSECDIKINEFLKTFDREEELRDPFDSKSTIQIPPWVFTDAEEGKSLTILKVLKVE -GEHPMARFWRHVVSSAQLEEIDRMYDDPEAELQYALSGDIPRAEQKNKYHRVKLNLSSEEDEYLATLGIS -GKKMKDLASVKESRARSKKAFSIQHDISRLENFLYGDSSKMFEANDDLFCPLSEDFELRMMAQAIHQPTM -TKDQGPNEVLKNHLKLLHTPFGSWCQMVSLIGAELSASVKQHVKPGQFIIKRLLNSPLIMAVRPTSSKSH -IFVSFGLIKAYHVMDIETGNVFKSYIDAGDLFITDFVSYKLSKLTNLCKCSSLMECSSNFWIEAFNYAIW -DSTKLLATDRSPGVKEASFMIKLSLLTLLEDKAVTEELQTIQRYIMMEGFVSQPELPKPHKMLNKVPGVL -RSELQVFLFWRVIKSMKRISESPFLLSKRNGQISWSGLFNPLSGNDVRSLQAVISSCYNGYFKNKEEETE -PSSLSKMYKKIIELEHLCPEDDKYLGMSDPEDPKMHEFSRSYLKQSVLHGKDLLKRMYGQNFMEQIDRQI -TREIASLSLERLATLKATSNFNESWYEYKDVKDKNYTREKVLVRMSEIARDGKTLAVHAFNDCMNAVEAR -GCMHICLFKKQQHGGLREIYVLGAEERIVQSLIETIARSIGRFFPSDTLCNPNNKMKIPESHGLRARKHC -KGPVWTCATSDDARKWNQGHFVTKFALMLCEFTHPKWWPIIIRGCSMFTNKYMMMNLQYLRILDSHMELK -VEDQFVQDLFKAYHGEVPQTWMDPGKTFIKTKTGMMQGILHFTSSLLHTLHQEFVRSLTFRIFNMKVHPE -MSYSMVCDMMQGSDDSSMLLSFPAKDEPTIMRCKMAAAICFRMKKNLGVYLAIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEASNLMTAVTEGGGSFSLAYSIQQAQCTLHYMLMGM -GVSSLFSEFKKAIAKWKDPGLGFFLLDNPYCAGLGGFRFNLYKAITRTSLKRLYAYFMKKVRSVSEDEQL -EACSVSPGGAIILSSSLKWGSRQKYLKLRSRLNIPDNWIDLINENPSVLYRAPRTSEEIMLRIAEKVHSP -GVVSSLSTGNAVAKVMASSVYFLSASIFQDSNKQEFSIMESSKYSLLQKMMAYDGFENNLELTMEDILFL -FPNIDDLQQLDCIVYDRCQIQVAQRVSHKENTQTKITVFEGHQNLKTPAEYMVSDKWFGTMKSKIGSSGF -ENEWSKLKTIITWLTDTPAATLEKSPLSNHVQIRNFFSRMESKPRTVRVTGAPVKKRSGSSKISLVIRDN -FTKIGFLRDFEDISSATRAFSVEVLKHFLFCTLQGPYSVQTKFDLCYKILSESEVIGIRESDGKTRTNQL -AVFQNFVNSDPDIIHQIESIGAGTIGGFIIPQKSKEVSGKVTYYGRGVWRGVMDGSQIQIEIDNKQGLPP -QIVEVSFDGRIGIWEVCRSIRSWCEDVGVKNDVDMSKRSRRGARFWLYDFKAFTQDKPFGCPVYVTSSRM -TDFRSVSNDDIKFKIRKCTMNLFLKSSGRDIHILTYTAHDGDLSSSIFRSPDDSVCRLKKHFSKEPSSSW -ASCQSLPFAFVHKVLELCSGVLVRDHIDNERLSKIVQLCTENSLRTKVGTIYSALPNFSEGRSIIDVDSI -VDLMIEDMAKEDFNDAVKMMETEEPASYENESFDISDIDLFGPAHYKEVSELTTISHPLMDDFVNVLILK -CGRKDIRRCLETGRCMTRNKVYMRDLFSSIGRNPDDVHADDYNESESDPDDDLIG ->AKF42424.1 L protein [Capira virus] -MDNILKKQIYEIDGFFKPDLVHYDHNLFAVDIPEFVVDREVGRVCIDIDLGSMNPGSTIGSTISSKIYVK -DKDLLNLVHDVSFGHLSADTDVKLHSKFGIIGDGYDNLSPDMIMELPSGRIVVIEFTTFRGGERGCMNAA -KDKFSKYQIACENRSQTCSISLYVIAVHRDGLWSNLNLSEEEVNEIVFRFRMSLAIHEEIRKLCPEITDD -DSDLSKIERELIGTLSMIDLNWDVTEDKFPHFKEELFINFRREPIDEDYIGKIISDSLQKAQDGIIKDSF -FQNGLSLEDRKFLNVSECDLQVKRFLENFDRTDEMRDVFDSKSTIQFPPWVFSNGDEGKSLDSLKLQEIS -GEHPMISIWHKVVQSATTEEIERMYDDPDAELQYALSGSIGRAEQKNKYHRVKVSLNEEEVIYAATLGIG -GKKLRDNFAVKASRERSKKCFSLNHDISVLEEFVIKDHRHIFIVDEDLWSPLSEDRELRIAAQAIHQPSL -TAKLGANEFSKNHFDLMSSAFMSWCQFVSISGAELSASVKQHVKCNQYVIKRLKDSPVYLLIRPTSSKSH -IFVSFAIEKQYHKFDLERSSVFKNYYDAGSMFITDFVSFKLSKITNLCKCQPLMESAIAYWVESFGFNPW -ESQRLLSSDRSGGVREATLMVKLSLLTLMEDKAVTEELQTVQRYIVMEGFVSQPEMPKPHKMLSKLPTVL -RTELQVYLFWRVIKTMQRIAEHPFIISKKQGQISWTNLFNPLSGNNLKSLQPLISACYNGYFKNKEEETE -PSSLSKMYKKIIELEHLCPIDNDYLGYQDPVDPKMHEFSRSYLKQCTTHAKAVLRKMYGHNIMDQIDNQI -TREVASITLERLATLKASSNFNESWYQYKDVKEKQYSREKAIVKMSEFAKNGKTLAIQCFEECMSKIENR -GCMHICLFKKQQHGGLREIYVMGAEERIVQSIVEAIAKSIGKFFPSDTLCNPGNKIKIPESHGTRARNHC -KGSVWTCATSDDARKWNQGHFVTKFALMLCEFTHPKWWPVIIRGCSMFTMKYMMMNLQYVSILDKHRELY -VEDAFVNELFKAYHGEENQEWIDPGSTYLKTKTGMMQGILHFTSSLLHTIHQEFIRSLSFKIFNLKVHPE -MAYSIVCDMMQGSDDSSMMISFPAKDDVHFSKCKMAAALCFRLKKYLGIYLAIYPSEKSTSNTDFVMEYN -SEFYFHSQHIRPTIRWIAACCSLPEVETLVARQEEATNLMTAVTEGGGSFSLAHCIQHSQCTLHYMIMGM -GLSSLFHEYKKAILKWKDPGLGFFLLDNPYCSGLGGFRFNLYKAISRTDLKHLYSYFLKKVRSDEEEEGF -ASKCGVSPGGAIILSSALKWGSKQKFIKLRSRLNIPDDWLEMINDNPSVLYRAPRTGEEIILRIAEKVHS -PGVVSSLSTGNAVAKVMASSVYFLSASIFQDSGRQEFSIIENSKYSLLQKMVKYESFLSDSPLRDDELLF -LFPNVDELIVLDQLVYDRGEIDIAYRMNHRENTQTRVTVFEGHQTLRIAAENLVSDKWFGTMKSKIGTTA -FEQEWQRLKTIIQWLRDDAESTLESSPLSSHVQIRNFFARMENKPRTVRVTGAPVKKRSGSSKLSMVIRD -NFCKTGYLREFQDSRAFNRSNMIEIMKHFLFCVLQGPYTNDIKLDLVEKILYISEPIGTRESDGKSRSNI -LSIMQNFVNSDCNIIRQVEDLGAGTIGGFLIPQKTASIDGNIHYYGKGIWRGVMDGSQVQIEIFNKTGFP -PQIVEITFDGKAGVWDLAKSIRIWAEDIGAKNDQDMSKSCKRNPKFWMHSFKAYTSEKPFGCPVILTNQK -MVDFRLRSDEEIMMKVRRSTMNLYVKNSGRDVHIMSYTAHDNDLSPSCLRQRNEALDELKLLFQKEPSAS -WASCQSLPYAFIHKILDLSEGVISRPTIDSERLSKIIQICTENSLRSKVGTVYSALPTHNEACSSVDVDT -LIDLMIEDMSKNNFEEAVQMMREEANIEYDMDEFDVSDIDLFGPAHYKETSDLTMVSHPLMDDFINTLIS -KCSRREIRRCLETNHCQQRFINQFKDLYRALNRNPEDVVSDDMFSDSSSELEDDMLG ->AJG39261.1 RNA-dependent RNA polymerase [Wuhan Insect virus 1] -MTSMRTLFFEGNIEVPKDLEVVSHSDPVIQKTLNTPDAAEYEIKYNPKTKVAQITFLDVGPGSSVASMFS -RSDYTISGDVQLSRFVHDFTFEPLFGSTDCGWSTVFEHPLQDQDSKLTPDYLGLHPDLDILCVEFKTHYG -DSDRALMAEYRLARLKYLRPLTNRVMAANRKAYFSILAASHTTVVSDRVLKQDLVDAITYRYRLALSISF -ALGTDYQWFIPDDNDKKKRMLREEIESILPKNGFGDGRINEQVYQIIMASTDEKDFEESMTIALDWAQDY -LLTACKERSIEQSADTYMIYDTTGFRDEKNWINYPCLVPNNSEGIPSVLPLCEMSRLWSVGLIAHEKHRG -QYRMPSDDKYEHAKKNEKPEEYLINLNKRQSNRFTIDFSEKEALFHASHGVRAKQQKLHPSLKGQRDKTQ -TPIHTSNPTEILEWMSNNMKWFFGKSDFKDLSIDLLKEADWLANKVHEGHKEPVSKAFYELSETNGITWL -TLLADVATEVNVSINQHCRSNEFIIKKLLRFNCYIIVKPTTNKSHIFYTLCFPKPIKTPGPGIFKNMFEF -NSVFCTNWHSLQIDKMQNMITAPQIFINAWFTFKRHVDEDPSNLFQFDDLQTFQHAFMCLLIAIEDKTET -EELVTLSRYAYMESHRVEPYLRCPAKIMKKVSFRPMTRLAEFMTHQLVRLCKKIEDQGAVPTTDDERVFL -FNNMWSLFTLEPIKSFEMCLDISSSGYFKNKHSKSEGNQAYKLLKKIIKCEDTVDDIDFDKFYEHKTDPK -EHEFDLTTVQKAADVLVDELRKMYGNNVIDKIGDEFLTRASQITYMDLGTLKASCTADPSIRKAPADEKT -ARQATKREKLLIVVMKAIEQKKAINMCPFLELAKWLTLAESTNLIIDIFKKAQHGGLREIYVQSIESRVC -QLFIELLSRVICELIPSEAMTHPKEKANMPGNHAEKVRKVKALDDKNDVFTRRMNDDAKTWSNTHYAPKF -YITLCRLTPSWLHPVIARILNLWVGRQLLIPFELIKVLRSNPNTPYQDPMYQRISDVFHGRDQATWLKPG -EQWLKVRGGMMQGILHYTSSVFHCSLQVMVEKMARSYIKVHHPQLTLIYSHQTSSDDSGVIATLVGHVTD -EAKRMLELLLMMKWSLGKLMAIYPSIEKSTPLTQRIYEFNSDYYCRTGRLRPTIRWVASALVILETETLI -ERQEHFTNLRTQVIEGGGPIMLSRVINLSQGILHYRLLGSSINECFERFAEDLRNYPEPHYGFFLLDPAK -ASGCVPFAANHWYLCFESNCGYDYVEEMKETSKLDTSARSVRLTFCSYGNYTKMRKLVDKISTEDWEAEI -EKDPTILLRRPKTLKEIAILLSDKLHNPGVVMALATSNIISKLIASGVYMINCPAMGTRSNIFDEHGRLL -SVEEMRNNKRKFSLAYLLGNQKGISRRADPADLKLLFPAADSYAAIFATNQELMHENPLAWQCPMKTRSY -VRVLEVVRDTEYSLEELCRHYWAGITLRSSKHFIDEEFKMSQLIHPWLRLKIEDSLAESSFETYREMIGF -IKRREARSKRLIVNGAPTQGDCSLEYFCRRNQWSGVLYEKVPLVGRAGSLRVMDNLKFVRHGLAMLLNAN -FLPNKSKIETIKEYLDSLSPEVIEALERGSKHDLTILAFLAYFQRKQKMDIIEQIRIAKRGLIGAFTLPQ -TWNSQSKRYEGDGVWEGQIDDEKVVIHFFDARMVHVFIKDRPALENCLGSIRTLCKEAGFIPDFYGLGEK -RGVVIRSDFKIADYARARGTEVTFDKFIASKGVFVPSRSNPIRVACNERTIRLTQKQGGRQLTILSWTPK -PYHLQRVLPEKKWFKIKEELVNTWIMGESLSPQQAGSVLEMARTYRNNERDFARNRHWMVTQATECAQDL -GWCVGGAKPKYFDDEDLINLPAFTFRLDSVTRVREAAEDEFGEEEGVDDFEAQLAANDSSDEEAEDQPQE -AAQDVDRVPEIEDDDVSISLKLQEAGEENTTVVSWYRVGAGTNFFKSFLYRMDQSYLGGVRAALKSGSTY -MEQVSDFCDIALGKDIYYEAIAPGPGEAPEFLKTDKGDAEEWVYPAPDDWSGLVDEEWPKL ->ALP32227.1 RNA-dependent RNA polymerase [Massilia virus] -MERILRKQPNPEGSLTIHQLRRYESTIYDSRIPAYVIKHISEGVAIDIATADLADEQSGSTIQPFETRPA -HNLTLFKHDYTFGHLADTTDRRFVEVFGVLENRADDADFLTPDMIIESETGHVYVVEFTTTMGDANSADL -AARNKIAKYEIACLNRSAIKPISLYVIAVHFNGVVSNLELSDDDVNEIVFRFRLARDIFEELREINPTLF -DSDETISRLDREVNSIMSSIKIDWKVTEAKFPSFRKLLFDRFNQMEADNEYITRIVKKCTDEAIRGIERD -NYYTDDISNDERMQKNSQTATAEINNKIAEMISYEFLRDPNDHKSTVQFPSWITHEGSPGKGLEPLKSVS -VEGSHPMSRIWAKVCTNATIEKIDRMNDDPVQELEYAMSGSTERSVERNKYHRTVLTLNQDEREYAAVLG -VCGKKNSSLGAVKEARIRSKKGFSIEHDISRVSDFLSSDDMSALIPSDGLYNPLSKDFNLRKLAMGLHQP -TLVHADGLTPETLSCHMDLLSTPVGSWSQMVSLISAELSASVKQHVKQNQFIVKRLMDSGVYLLIKPATS -KGHIFVSLAVDKKVLAGELDNSGIFKPGIDSGDMVVTDFVSFKLSKITNLCKAASVMEASICFWAECYGY -EPWKFLKHVEERRFKEAWFMMKLSLLTMLEDKATTEELQTMQRYVVMEGFVSLPEIPRPQKMLSKVPKVL -RSELQVFLAQRLFSAIQRIARQPFALHKMGSTIRWKGMFNPFSGDPIDELQILISCCYNGYFKNKEEESE -PSALSAMYKKIIELEHLRPLDDLYLGYNDPEQPKMHEFSRSYLKILCDHAKAKLRRQYGRGVMTQIENSI -IREVSSITLERLATLKATSNFNDGWYVYKEVKDKNYTRDKLLVKMTKFAHRGKTLAIEMFDECMNRIEEK -GCMEICLFKKQQHGGLREIYVMGADERIVQSVIEAIARAIGRFFDSDTLCNPTNKMRIPETHGQRAKKRC -GRSVWTCATSDDARKWNQGHFVTKFALMLCQFTPEEWWPLIIRGCSMFTNKFMMMNLDFLRIIDSHKELN -VEDDFVTKLFKAYHGETTEPWIEQGRTYLKTSTGGMQGIVDFTSSLLNSLHQKFVRTASLQLFTQRMGSD -AASKVVCDMMQGSDDCSMIISFPADNERMRMRYKLVAAMCFRIKKSLGVYAGIYPSEKSTSNTDFVMEYN -SEFFFHSQHVRPTVRWIAASCSLPEVETLVARQEEAANLLTSITEGGGSFSLAAMVQQSQCTIHYMLMGL -GVSALFGEFEKAISKWLDPGLGFFLFDNPYSAGLSGFKYNLYRAILGSSLKSVYSFFMKRVKGSSEKADG -IISESCSVSPGGAIVMSSTLRWGSVEKFKKLRNRLNIPEDWREMINESPEVLYRAPQTGTEIMLRIAEKV -HSPGVVSSLSTGNAVCKVMASSVYFLSACIFEDAGNQEYKVMNSDKYSLLQKIIAFNQFEESDSISQEDL -LFLFPNLSEFEAFDSIIYDKGGFNVVPRASQREATQTRIVVFEHHSSARVAPEKLVSDKWFGTRKSKIGS -AGFKQEWDRLKTIVRWLRDAPSDTLESSPFSNHVQIRNFFARMEGKPRVIKVTGAPVKKRSGMSKIAMAI -RDNFCKVGYIQGLEDEVGHSRAMQVEKIKHYLFSVLMGPYTESAKLEYIVRILSEEPQVVLNYNDKRSRA -NTISLLQRFIKNDVGIAALIEDMKAGIFGAFTKVQQFSQSSINHKYYGKGIWKGIMDGYQLRIEVDGKEG -MPSYLSSITISNCSKPWVLTQSLKAWCEDMQVSNSTDLSKSHKNANYWICGFKMYGSSYPYGCPIYIVRG -DITDLGLLHDDDIDIKVRRNTINLYVRSKDKRPRDLHILSYTPSDSDISSVSSKHIMEDEFFVYRGLFSV -EPTRPWMLCQPLPWKFIRPVVQLASGQRTAPKQIDTTRLREILRLCTESSIRNKIGTVYSQTRPESLIES -EPIDMSDMFDMMIEEGMDETFEDLADFLTVDEDPDYMAEVDFDEESLNLFGPAHYKELQSLTILAHPLMD -GFVTRLVTRFGRAKIRRLLEKSIITSDCREVAELLFLALERDPSQIKDELIQGDSPTDVPDDLLG ->APG79269.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 2] -MSGFKEDFYQAVNALVGRPKRGRLICFESGSTHYCNPLLPLNFHNCIVLEAIDGTMDSVRGPEVTWHFIE -GTAPGASVSTGSTMRGARYKYSHDLVAEGLCSSMLASTDRSLKIIRELDDDWDNMTPDFIEDLGHHWLVV -EIAGSRSPNTSQLRILHKRKVDKYREALQNRAHGGKAIVFVVVAVGMNHVISTVQLGDELVNDLVLRKRI -SATIEDMYKEKFGVPFDIDESQKLKAHELKTIEALMKGFEYDTSKQPGRVPWLTKSYCEDYGNRADDHYV -ASKFKDHTLEAMREVMRAPKPDVTAQLLKFANDHRDGNRRKDQKCIVNIPLIIPEVDPQATTDIEYRTIP -YNDEPAYVKLWSIAFEQHQENCEMHEEHPENLLSEALCEDPEALEQMQESRSHLKKLYKRVNFAGVLSEE -EKIELAQNGLWAKSQKDNHVIKENREKSQKAFHWDTDLSDIETFIANKALLDKCESPKPAGIELLELADS -YVQNSSEGIDVVKAWCDTDLGNFLNFLCDAMTEVAISNKQGCKRRGMILKKIRHYQAYILISPTIGSQHC -LVSFYFPPGARPFKVTTFLKYYETNWGYFTDFTSFKPGKLENKATMLSALICLASYWSWFYEMPSMMPTD -FQRPEKTEALKMLLLSVLIRLEDKESTEFVITQTRYMFMEVFKSDTSLLLPTPLKLLKKLNTWPRSRLTL -WLNKKVSHNFAEMTRCPPKLSRIEMPIDPKEEDLPPSDSYTGLINPLTGGPLSTGTKAVCLAYTGYLKNK -NEDAENNTDFKMLEKILEEEFDMKNVREDAMYGNLPDDHNPRAKEFDLRMLLHGCGIMKDRLKVILGADW -RSTIEKDVVQALSEKLTEDLATLKASCNINHSELSYETAELGKKLSAGRIRVLEAIYKNISLFGINPYLS -LDKIYETIEESGGSIVVDLFKKKQHGGLREIFVLTIHSRMVQLFIETVARTLCSYFEEEAMTHPKNKIAR -IDNHRIAVAQLAEKRGCHYMNLCNSMDKTRWSQTMMMTMLYVPLAELLPTSMRPAFQRGLNLWVNKKIKM -PQTVINMLLKNTAISSSIFNELKISYEQPEAGTLIESPLCTYVLVRSGMMQGILHYLSSLLHVVFLNSMS -RLVPGMIRGLFPSIDVHFTQVCSSDDSSCILTIMDEEKSSTPTETYHRAAVMALTILESLEDFAKYYGLR -KSIKSASGLVGVIEFNSEFILRDTIAIPVIKFLAAVLLVAESTSLLKRQQMMYNSLSELFKSGFPSFNTH -VCQIAQGLLHYKLMGGGVNALFGEYETRIKQLPDPVYGFFLLDSEYFPGLLGLSFSHWCVARETNIFRLT -AQALARSEVEVSSEGGILRTLTIKMGDSRKWRAVVDSMRGEDTMEDLIEADPGLMFRPAENEAELRVKLI -LKASLPSVAESMMHGNPFMQALASSVYSINTHCYTKTSIVQNVTKFEKTSEKTCLLLELERRLTELPQCQ -PVDANMLFYAFPCQDRYVEAQEVIADLKQHRLANKNRLRQKRSYFSIRPTTVDVGITLKEVCQARWFGTN -LRQTRTAINRAKDYYEKRLSWLRDTVTETLEASPFTSYQELAGFLESYMLKTRTFMRFGPGIPGRNFQLK -LLLLGRKAFKHRKILVKTTGQPMEFKDHDLLSRLNLAISIPDDQRRVEEAMRLLRDHPTLAESIDELQSL -NFRDRKVALCQIKAKGLMSDIDLLDLAVQSSAGTLITYSKMQKRVEVEGRVRWTGEGCALVQTMGAILRV -LMYDDVCYKIFVDRWDIMQRHPKTLLSVLHSLHLKPSDKPSSISCVAKLNRTGYTGPNGVGTPIVLDRSL -VKTMSTDVELVLKIKHESMRLERVSGPDRSYKNKFNLIVANWRLQPEEIHIDPSGRTSTDIWTAWIDQSK -WTLKATLKMLDALSSCEIDEKIGDWLLTTLHHRLVSKCGVDASLRASNWKKVDVMDEQVPPEESYDEDLL -KEMAEMFWREYQVESASDVLARVRDMTLGDALDPLVVVPELTDFAEVKPVEAMDTRLTSDFRRRHCLFDE -IIDALDLETSNFWISTMSGILPKSNQEMSEMFMKLFGVEQVEKKTSVLQVFNIIRKAKGRIISPTRSVGS -ATTKLDWATTGESSEDEDEEEDEDDDSEDFGFTEEDLLAAADEELSAIGESSARRDLIERGEAKLSEITS -TKPLPLINNHLCSILRNLDNVDPYQPKTMCAEPGVHKVWTPAVHDGSCGFHSVILTTGLRCSIAELRQRL -LSSQHLRASVQIEIVMGILQNPYGQMDDDVSRLICRELGINMCVHEVSYEGQIEGMEYYHYNLSEQAPWI -HITWRDKEMAGAAKYDALVKPDEAIPLLDHNPLQEVALRLQFESSPSQLIREDADRLREECNQIISEAAS -LLQGSLHTKRSLIKEMKFLADELQRLGGNFTPQELTTLESWSDECLGTQREMHDVLLILARLSGNSGLLL -TPITLRQRATAGLSPSRSTGKKIDWYSPSSPDDNDK ->APG79252.1 RNA-dependent RNA polymerase [Hubei blood fluke virus 1] -MNSRIQFKNILLLSRDSPLPNVENIYLRDLDSVRKCKEELEFKYENDVMQIFEYNHMGKSFSNHVHNFCN -TGIKKIPHDFTFFWLTRHKEKRMYNYVPDLPPDLGTLTPDFVFEEDGKLYITEFSTRKLSHTATLFEAVK -IKIKKYEALVTWLCKHDYKPILNVVVVSRCAVASTIAMPDSLLNDLCIRYMASLSLIEFARYEHPEINPF -LLDVQELFHIEADVTPVMETLRDIEGELLSKYEKTVEIPKAIDFNKHVLYNMSLQMQKTLNDKVSMPNIT -INNLVAEGGRVDHLDSISRLPMIIMSKGDFDLNDLVSQDLSSLPYHLHEVWRQCIAEYCAKPYDFASFKP -NPNESLEVQIRTLQGVTIEYKKKRNRLILYLDATTTESLSLLGIGGKKHKHQQYMKTHRKESQKHIDPTT -YTDDIQEFIDGFEDQLTESCDDTQLAAHELLQYATPNSRWPPHVTYESLADNAYDSLLHLAIIAREVACS -AAQSCRDKMFILKKIEGFQCLLLIKPTTSNQHIFFSILCNVEYDFSVGSVFPKAHKVGNYNVYDFKSLQF -PMIETLLALPEMYLSVKYLAKGSKALMRNLAAGLLVALDNKADAEEVYTKTRYIYMKAVQMPKHARRPWD -VLRGMPSRPRSRLTLFLLKRAITLCKSVLEMKDWGIEMGKELWSGIPAFASDDMLQNWEEVLMVIYTGYF -RNKNSFMTKNQLMAMLEKIVEPELEYHKDNDYKQHVLKGNSTVPTKMEWNSGFMRFCIEQWKDKCKEQGI -TNPEDHVTKEFIKQLNRVRVEDLATLKASNVDTIERDYDPCYKTTPRKKLLLVLKDSIKDFGPTLETALP -HALKLVSERGGTMMISLFKKPQHGGLREIYVMDVASRIIQYSMELLARVICGTLKNEAMSHVEVKTNYRQ -EHSLKISKEMEILKKRRIDFQAFTAFDNDDAEKWNQYQSMNKFAFMLTNMTDELIHPFIRIALSQWLNKR -IRMEDSMLHSMALGTYNPSSEIAKHIVDGFRGVIDSPIALKGKCDLHIETGMMQGLLHFTSSVLHALSML -GYEALLPEFIKSQVKAINYREKTKYFAKVVTTHAVTSDDSICANTVLTNIPGSQVISCCLTGCSALKICC -ASMMGIISSKKKASYTTAPISEFNSQWMDRSEVIRPKIRHILACFTYASMGNFMEQMDNMCSLRQQALES -GVSIHHISFINYLQGLYFYRLLGSSSGDVFGKLSEYYKKLPDPNAGFFLIDPPVASGIVSTDYNAYMLAC -KNPFLGAKYNMAFDESDMMIGSSGSLRSATCLSIEFSNMRKYMSLVRDLDRDTVTEYLKDHPDLLYRKAR -TPEESSLQIAIKLMQPNVLKSLSKDFSVMARELSASVYILWAPIVRPNKAILNSILGIESPCEKKSIAEL -MVEQVPIMRNQVAKGLSMMTEAIRRWFFPQYKQFDKMNLMIQEIETRLEQHQTSEPKSATSVEVFEHKMD -EISLIKLCSFKWFGFRDAWTSPALYDILWEEATQIYPFLYETHDSTMEMNQIDQAQKLKIILDRTQKKGK -VIRPTSRGGRAVRSSGLISFISYNFKEGVVLKPRDFVEKDDTILKHLRRITMCLQLPIENWRKENLIMKE -INEVGYDVWKSSLRFSPLCLVHKDNPAILSVGKVLDICKGTNSIVGAWVVRQKRSYVGKRAVWTGRGIWT -GYFCSSSKMCRVVLTIHDSELTKIQTDNLECLSEQRSKLLKLLERWQIRPVTVKHTRAVTHFNVHFDHAV -GTPVFVDRCLLVTDDNYDKTWEICVIDKAMHVLYDGTPIYEFVSRADLVQFGTSERPRDVFDCILNNSPI -EYDYMANFLVTEYNDTRSEIQNWIKDLIKKKSPYYDEDNLIDMEPPISIFDFQQDSETIFSTMTGEFFTA -IGTDDSIEAHCLDTEECIQPRVFEAEVNHQNIVSSVHYGFLRKFINEALIVSMKIERQGHPSTKIEQMVM -EVFMGKKLPIRSIEISEDLWEGTSNA ->AII01801.1 L protein [Blacklegged tick phlebovirus 1] -MSRLDQLSQHLVELTRGREPGLIVPDESFIDLGRWQTPPIVQVTQGQGEILNIRFPDLEQWRSVSEVSMT -SIVEESWPQERVSHLRHDYTAGFFCRGLDAPLRDYFKVCRDDNDNLAPDVIMTVGEVTLVHEFATTRSTL -ETSALEAYQTKKLRYIPALAHRARTEERIVIFTITVVSPKTVLSNLPLTLDDVKELCVRFRVADDMVWQL -QQMGILVSDEESEDREKAEKARRTMAGIPFNWEFQNRVFPSCDQSVYDASKSQPDKRYVAEQVINAFKNS -EAKLREDHFIPRETNLSEKERLDLNLEECVKQIEEYVNSVGEGHEFKPVDTLSSVIPVPWWVLTRGTGSN -NISQAPVGKPTHMPSLGYSNETTFNHWISAWNHAKQHPEKMTEENVQDELREALEATPENLELTEKQKEM -TKRLDSVLGRMAKPTSTTTRSCYRRVNVRVSQEDEREAAKRGLRGKKFARDNEVNAHHEQTKLPFSLDNH -VSDIDVLLDKAQSLLAHVSSNRNSYDRDILPNLAEQAYALHGSDVGDPWIKLLRSWLTSDLGKWCSLVSD -IGTELAISMKQHCKGNQMILKKLRHFDLYMLIRPTSSKSSIYYSLMTFESSLTNDPGLAGTVFKQSFSNG -QIRWTEFNSVDSSKLMNMVKCRSIMYTMLCYWLEFYGLKFWEVDLKTQTPEMDEVWRMVLICLMIILDDK -TKTEEIITTSRYIFMEGFVAQPALPKPHKMISKLPTVLRSRLQVWLMHRTFTTMRRVASTPFHMAWEDGR -PIWFGMFNMFTQQSLREPMQLVSCFYLGYLKNKEESPQGNSSSKLYEKVMEYESRRPKTDENLGWGDPGL -DDVKFHEFSRSFLLYCADQAIKKLESMYGPRVKAQMTEDILYAIGNYDLEQFATLKASATYDQSMYDYDP -KKQYHRAKVVEFVVKNSEKATHVHELLHECLEELEKNTCLHIDLFKKAQHGGIREIYVLGPRERLVQLCL -ELIARTICKRFPSETMMNPKNKTDLPQRHNKEAKDKCGPSFTTTATSDDAAKWNQGHFVSKFAMLLCRFT -EPVLHPFIMRACALFTRKIIKIDDQLLKIFAKYEDRVFGSEHIELMHAAFRGRGDDRYGHVEPGKTFLKT -ETGMLQGILHYSSSLLHTIYQEFLLDLIEARFRHKHVLLKRKKTQLRPHITVMQSSDDSSVMISFPVSTS -VPQATSQGMVLAWICFQVKKELGLLLGIYPSEKCTTNTPWIVEFNSEFFFLSDLIRPSFRWVAAANGLSE -HETLAGRQEEMSSNLTNVLGGGGTTSLTANVQLSQMMLHYQILGATTSLVFGHYSDMLAFMPDPSLGFFL -LDHPFLAGIGGFKFNLYLAVKKTRLGEKYKRILLGQQSAGPERADKGLRYRTLETTKSGSIVESTIISMS -TRKKWLTLITRMGLPESWKEDVMTDQRVLYEKAHNPEQLRLRLSVFMHSPGVIASISSSGTVVRLVALSA -YVLSKPVVQDRTDWYCDANSLYQKQSLMATVAKDQPWANPPGEPVTMEDLQALFPQREDYDDLERVGQTF -LRVTGSSVRPHRPRITTKVQVTGASGSATMTLLNVVEDRWYGHKRYMAPPKTMEQLWSLAKQRIPWLEDT -PEETLEKSPFTEPVSLRNFIVGDPTKSRSVAVSGVPVKRGSGASNVFTMVAENFAPNFKLGGSTDQAAKS -LSEKFLWLRHSLALIAQGPYNNTMKGSMMRDLLAANPGLRVDATAARTRRNCLAIIQNFVVNGDRRETMQ -QIIEHRLGSLGSYIQPQRYASPEDVANSPGCYPGYYGHGIWRGRYDRTCVEIHVQRSLKDEKNSISGLLV -STVDDLNNVMRFLREWAEEHHCDNDKHFLKAPEKLHGERVARMLRFSTCAVGSGVAVHVDRRLKIGVSVE -VYRELSFTYRRGVIRIEALEENPFVPRRWSTLLSYACRENDIDITRQGVSDVLNIVGAAKNKFVLPWLDC -KPVDPEAFDLLESAVFSNRGTSTNPLWTWGDEPTGIMDWRALAARIRELSELELRRRGILTMTAISDMDL -PVRDISPEPSVARESDDNPLAYLYEEEEEGDEFNLMSNADLLTKVFDRFDAEMQEPVECDVDAEEFNLVV -EDLDFDLANHLEEMIKNYGMVQAEYETRFSHPFMTKVIDEFIKDMGGPTQLSSILSTKEYPNYLSRELIL -RLRFLLGWWDKRLTPVTRERRRIKRSRPDLQDDLAEL ->AKN08988.1 RNA-dependent RNA polymerase [Caenorhabditis brenneri bunyavirus] -MNNRRYLLDVGVDDAVSYCPPVGESTIDNVPVDVSAGLSVWDHVMNEMIKRKKERGEYLICDVKRQHDIK -SEDHLPMKSWKTDESGSKISFSFESNSLDSMSQVSQNIYREHNVFTVDSTDLKGLTHKVIDRILGGTSDQ -KLFWEEVFDLKGPVLLQGQNVAVLSPDYHFTNGNEHYFMELKTSRHNGFMSYEKYYRYYRPIALCAMNSP -KEIENVTFDVIQISPDRLYCPVIFPTSVVEQLCLLVRSCHVAIDRASHDFNIAVKREEDGVPDLEYDNIR -KSLSDLFNSKSDVESYMDDKFVRGRAWITKKKFKVMQRIKRNGISGIKKILRDNYHSEIVKENEKIMNRL -RIGISKATLKENIHAKKIINNYWKNEVYRSEVSNRKQQSSSKYSTLFKFPLFEILEQKTIDFEKKPLDPD -YVYDDVPIVNLWRSVIKSAPYKTYPDNIHNESSNIGNRIYNELLNDNEQISVRKCRKESSYISSHESLSN -HDEMMLGAKGLNFTDVNKESMGACSTLQNMSRNKVIHPDTPTNCVDESLDLMTSLIRDSFSESNSFFAIE -ELLSESLKSHNVQLSENYQLETNSYNSALGQWFETISDCATELCTSIKTSLKRNEMMIRYIPNKPVAIIS -KMSSFDKSCVYSYCFDKEFIDLKSKTRIFSELHDVGNYYVTKLYSASKDKLDNWIKAHSNFICLISFFKN -LHRVNPLSHDLSELPDVVKKQTCLTMMMSFDDKKRTEEIFTLARYVCMDKFTHPYLGINKAKLVPKLPQI -FRSILELWATKKLTDTMLQERYSLTRNETLMNDGKKKKEMPNYKNLIHPFLQVPLENTEQLVNSWYIGYA -KNKDEDDKSNTYMKLLEKIIKSELELYKTDPQTMLCKTNNMDVHQYTGHSSELNHIALAGMMFKETMKRR -YGENFESILFDRILDALASINWTDVATCQATSVFNDTEKIEQNKLGEYVVKGQRLIEGIFTLLEDIKEYK -PALALTKLVEEMCENENSDLNKVLVHIFGKKQHGGVREIFVMSTKLRVLQLCIETIFKTCNTFFKFETLT -HPENKMIIPKSHLYNAISNKNKESIFVSESSDKTKWSQNLSVDKLFALSYYALPPGLHGFLACTLRIWNN -KKVRYPMDFLNTMFNHRNDTEDMFEDPLWQDIANCYQGRPPKTYFDLPNIVKPGVDFMQVSSGFMQGVLH -FTSSFYHACMINMRDELFKEITRERAYNDFDFLSYNLVSSDDSSRGYVIRCEKIVSENQTKKNESHLVYA -LSEMMASKYLNSRCGMTDSIKSTYGTINFMEFNSNWFHGLSVINPSIKFVLSSTKMVESDSFYERQESMS -NLLSQVTENGAPFSVSKAVQFSQALIHYRIMGINTSLVSGVFREKLLEVPTPMFGLFMMDDPHCAGACGL -QYNHYKHLQSHPNLSRLYKKSLSVGDMYMTSTGNVASPICLNTNFRKKASDVVDRADALYPGWQLKVEES -PELLYRQERNLEEAILNLLIKLTTKGIEDVFSKGKGVVNLLGYTAYALTSNCISTKPTYYENCEKYINAL -RAAEMEEGITLKELVVRMSNPTVENNIYELKEMFERIKDRVRKNSVHKTKTNMFKLMRDTYTDWHNKELP -CLDPSDVELLFPYSDSYLALSDSIEKVRDLLYNRPFVQIKFERKVKCKFILHEPKNIMAIPIYTLVKDKW -FGIRNRFSHVQNEMYYSYYKENYYSFLKESENKTFIASSFPTHRQMRDFFALSTAKGRMCTISGAPVNES -LGKNMLIRLMFCNQNSGFEQSDDREKDSYFTNDEKTRTFLHKLYMLASLPFSKKFKDSMFERILQKSDFD -CQWDKRHISSISTRGKIISVLAMARNTLENNEDATLDEKLTISDLETCVRVIRLEEFGFFRDEPLYFSKN -TTKYLKKHCNNERDPQMKLTGAPKPYKETQNQSFITWTGILFKCRIRIIWYKNVVVKIEIVDAGLFQESI -RSITKFLLDVGTKYVFDHEFNISETRIRPCYRFLFTKENNFLSKECGVPVYVTSNIDSAFPADFKISYKM -FEVVDNSVCLTIDDGRRGRRQILKMTLDSRDYNPSLGEKKQLFRLSEKQFTKEGYLELCVRMLNNEHVMY -NDMENLLKQINQDSKSTVPTLLDDFFEKSQLIDFIYSKFLVLANVTNPLARLIEKREIERELYSDSEDID -VSSDINLEKLYLQDPKLQKDYVQRTIERAFELIDNTNQNYAETDFDVDEDFLDQDIQEMKTKLCHEAMEL -CKEMYSNLDNEDITKVYDGRDLTNSLTVRNRNRTFENFFKNLKECSGTLDRIRCLTYSTEDLKSDYFYLV -QLFKKHLMHVEAKPHREMSGQVTCDTIGSFQDMVSKDPTGEIALIRFTYKGDEVLSWADIARKAGLDASI -VSRETKWGTEYTHLKATPGLKDFYKRTLGEIQDNLKKVCEYLNKAKDKEEEKHYRDRQVEEFDKLMAEMK -KNYIPMYIPFCTLGDWNVWKSDDDDTDKTYKTEYPTFDLTDDESVYFSKPKKELEILQTVHRAKGFRIRP -FIESECKKMRMSSTVEAPDYTSLKDFPPDYNWVDDEIRFDDLQQASTSEPKSEVLDRHKVFSVTHGHEAS -ADNNAEDGWVQPGRKQVITHIKTSTKDKILEEQLKYEKRTKLNYHFDSV ->AJG39265.1 RNA-dependent RNA polymerase [Wuhan Millipede Virus 1] -MDEHNKRLTNRFRFAPTIEDGLEHALYGVQAKKYKNEPALIDKRERSQRPIKSDDPTEILSWLRDNREWF -MEHYESDQLIEGLIDAADAIAGNQINEQVKLAHNKLSSTRGVCWMRFLAIVASELSVSLKQTCVNNEFII -KKLLDYDCYMLIKPTSKESHIFYSLLISKPCTIPDKINIFREWIETDTCYITKWHSVQYDKLQNLITLPE -LFVNSWFTFRRHVKPESHDIFENLESDQTTFEHAMMCAFVAIDDKEGTEEIITYSRFAYMESFVCAPYHR -HPSKILSKLDFRPRSLMQVFLTHQLTLLCELIEKEPAVIAVGDKTDCFTGLWSLFTLEPVSAYEMVLDIS -ATGYFKNKNTKSEGNHFLKVIKKVIKYEDSVYLIDFDKFYDYKPTLLQHEFDLELLKYATESLLKKIERF -HGADIPTMITEEFFRQASQKTWLDLSTLKASCTADPTKRFPDVDPNKNQKESKREKMIMRVLKGIEDGDI -VDLCPYSAIDEWVKASEDKGGLVVDLFKKAQHGGLREIFVLEFDSRVNQSFIELLSRVCCGTVGTETMTH -PDYKGKMPTEHVHKTVLSKAFDDGYSVYTRKMNDDAQKWSQTHHPGKLGVPLFKMTLPILHPIIARILNL -WVGRQLLLPAELLKVLMGGSQRTYKDEEYTKLQDAFDGKIKVEWMNPGEKWITLVGGMMQGILHYTSSFF -HCALQELNERVTTNFFRENHPTVKLIYSHQTSSDDSGVIATIIGKLTEVAKRDLDLLLFMKWSLSERLAI -YPSIEKSTPLTEKIYEFNSDYYVRAGRLRPVNKWISSCLVIISTETMIERQEHFTNMRTQLIEGGAPIML -SRAVNISQLRVLYRMLGYGINDVAPLYLEDLLCYPEPHYGFVLLDPSTLSGIVDFKLNHFRLLNATSLGD -DYIEEMKTKDLQVTESGRAIRLTYCTFGNYKKMRRLIDSVCRLGWREDIEQDPLLLLRKPETLDEIAIIL -TDRLHKPGVALSLDTGNILSRTLASSVYLITSPAMSTRGCIFDESGILIDKTLDSYKKTRVSLSNLLANR -MVSTTTDGPKDLEPFFPLYASYLRVQLLHHAMSTSQLELWTSSIKMKSKVKVYNVHRDHEYTILELCRYL -WLGDTLRTGETHIQTELIAMQKVYPWLVKDYKEALRLSPYSNYRSMMNFVLTTDVKSRFVVINGAPIKHD -ATLEALCRRGQWPGIKLKEVLDNAPDDGNPDVEVKYIRHGLSMIMGFGYIGNTTKYVVEYLESISEESAS -GLSRGDQYDKALYVMWELVNTYKTPVEHYQTIKRLKKGIVGGFTKAQKFDPKDAVYKGEGLWEGMVDGHP -VELHVRDEYLIEIVCCNITFLKEALGSIKSICADMGWTPRGDRIPSLDQEVLTKHFKIEPSEVSFGARIT -YSYLPNISLTPETDLELCANSRSIRLVTTFWIEGIRKQFSVLSWSSRAYHILPRDKLIRAETRYNFRPII -QSWILNSPQSPELSRIYTADLKGLATHGSAKADASLRWVQTQLRDVAHSLGWDSRVLQSLPEVIPTFDAS -LLQNFEQVKIQTEADRLPSGLLEEGDFESSDEEMPEPESDEVATQRFIKEALAGTHNVIFGPFGEGLEDV -SSSDLVPDDYICDETSSILDSESGACSLPASLKRPLEADTPLSEYYEPISSDELLNTICDEIEAQRDREK -KEWDEYYLSKQYSEDFPCITERVTHYVEEKRQKMSVSWADDNWAKDVAEQLAEQLIKEERLMRTLPPRDS -QAVVDDDTLCIAMERNDLMIVDQPMEWKRSGLGTLFHRSYLENVDEDMMGDLRKTLKHRVSSNIEAVQFC -NDILGIKVSYSSPAPGEGVSSIIKSEYDD ->APG79250.1 RNA-dependent RNA polymerase [Hubei blood fluke virus 2] -MNSRLEFRALVLQNRHKTYPNLDNIFDRTLTTLHKVKDEFDFKYDGDHLEVMEHPYMGFCFFEAVHWFSN -NSIKCAPHDLTFYWLTSRKEQKIWKFFRDVPEDLGNLTPDLIFEEDGIYHIIEFGTRKLSDPSSMKSYVE -IKMKKYSSLINWLVRSGRKVQFDCIIVSRCCIVSTIPMPDRMVAALCLRYMTALSMLEFLKYSHPSVLPF -LLDPLEAFNIETDMSSVMEALSNDEENILRKYKLRVKVPEACHFDKWTAFRLALHNQKILNVKQVIPDIT -MKDVIAEGGREDNCDSISRLPFAIAEKGDLSVNDISHSYWCDMPDHLREIWLNVLAEYNCCPDSYQTFQP -TDAPLEHQLTLLQHVTKDYKKYRNRINLVLNHDTKERLATLGVGGKHMRNNNLVRQKRQFSQKHFHWSTN -TDDIQDFINQFPDMIEELSVEEQTTSLELLDLATPKTNWPPMQTYQRLADNVYETLIFMSTIARELACSA -AQSCKPHQFILKRIPSYQCLLLIKPTRSDENIFFSLLMNVRTTFEVGNLFPKPIKLGQYSVFDFKSVNFP -WIETVLRLPEMYLAIKYMAIKSKALMKNLAAGLLIALDNKADAEEVFTKCRYIYMKGIQLPKQSRRPWDV -LSGLPKYPRSRLTVFLIKRSIMLCQRVLLMTNLGVENNDEVWINIPNFVSDDEVSSWEDVLSIMYTGYFR -NKNSYMSKNQSLAMVEKIIAPELEFQHNEEYKAKVEEGISSCPNKMEWNSGFLLYCINRWEQDCSSRGIK -QPKSHITDEFLKQLGRVRVEDLATLKASNVDLEEKEYVEGYKSIPRKKLLLVLKDEILNFGPTLETALPH -AINLISNRGGTMMISLFKKPQHGGLREIYVMDLASRIVQYCVEMLGRIICSTMRNEAMSHTEVKTNYRHD -HMAKVHEELNILKRKRLDASAVTVSDNDDAEKWNQYQYMNKFAFMLIHMTDERLHPFVRISLSQWLNKRI -RLEDTVLRAMANGVLKSNSPDITELIEGFRGLRHTPIIDKGMCDVKVQTGMMQGLLHFTSSALHALAMLG -YEHLIHEYIRAQLKALNKERNKSYMAKLVTNYAITSDDSVCINTLISDIDLPTVISNFLTGCTAMKLATA -SLLGIMSSKKKASYCTAPISEFNSQWMDRNEVIRPKVRHILACFTYASMGNFLEQMDNMASLRQQALESG -VPIHHISFINFLQGLYFYRLLGSASGSVFDKVADFYKILPDPNSGFFLIDPPTASGIVSTDFNAYCLADR -FPMLGAKYSYSYRSSDMMVGSSGSLRSATCLSIEFSNMRRYMGLMKEINKEEIAEYLKEHPDLLYRSARN -EKESSIQISIKLMQPNVLKSLSRDFSVMARELSASVYILWAPLVRPNSAIMNQIMGLPDNCEKRSIAQVI -VDEIPPLRNMVSKGEGCMSEITRKWFFPLYKQFDMMRLMIAELETRIEQLQKSDPKSATSVDVFEGKLDE -ISLLKLCAYRWFNLRDPWTSTSLYDILWNEAKELYPFIHDTHDMTLESNQIDKAQKLKIILDRTEKKGKV -IHPISRGGRAVRSSGLITFISYNFKEGVVLKPKDHFAKDDALFRRLRRITMCLQLPISDTRKERIILSEI -NKVDYELWKTSLRFSPLCLLHKNNPTTLTMSKVIDICRGTNSILGSWVIKQHRPPHTKRRKEWTGRGVWS -GIFCSHNRITRVVLTVQDKYLTKIQTNNLDSLTMQRNKLLRLLDQWDVHPVIAKRADAAAHFISTFEHAS -GTPVFVDSRLKIEDSIYSNLWKINVVGTRLTVTYDNTPIYEFTNRSDLIQESYDIDDTDIINMILDNVSI -PSDIMTDFLMTNFNDDRSNIQDWIKEMIRKRNPYNDPDYFTMPVIIPYSEVEEGLDELFSTFTGDVFVNT -EAETEIANHCLFDEEQSKYRVFEAIATEKNIVSIIHFNFLRKYIDHGLVLVNRVNQQGYVTSEEEKFVFN -LFTGEQKQVKKRKPTFDFWEEFTDRTPSVGSKSPVKTPKK ->AFN73042.1 polymerase, partial [Manawa virus] -MLLSICRRRNSPEGYDSPAPQTLHAGHDRPRVPSFLVWTEGSEVRVEFDLDSMPAGSTTGSSIGPRFKLH -SQKAGTFIHDFTFAHWADSTDIPLKSHFPIKNDTYDHWTPDFISKRLDGTSVVVEFTTNRSDNEVQLQQS -YNSKVGKYEVALRNRSEKGLQFYVVVASDTMVVTNLPLKQSEVDELCFREIVAKAVFSELLSKLIVPESS -SEEEDQKSREVKAAFLAIKEEWERTESFHPFTKSMFQNFQHLNPDAEYLGKIIKESIDESQKSLHDEHLL -SQPMSEMEKLDQNGSICISEVESFRLDFNETAERSPYDHKSTIPFPGIIPKVFGDTTSLSRVLDLPPMTA -SKDATGVAWREAISQIITGSVERINEDIDKERAIALENVSEEELTDLKALRQRYHRCHLNLSKDEQLELA -EQGVEAKQYRDHPRIQAKRERSKKTFPLFSDTRDIEAFLEEDQNEFSESSSQTPPSALLESLKNGAESQS -SHGIPTDSNYWFHNVLWFFSLPIGIWLFFCTCIGVELSVSLKQHCGKSKFLIKKLRFFDIFLLIKPTNSG -SHVFFSIAFPDSAIMGKIHNSSCFKSLNYEDGWYWTEFHSFKMSKLTNVVQATSLGNSLFWFWRDFFEVP -FWEGSAKDHSLGIKKANSMFKFCILMFMEDKSRTEEIATASRYVLMEGFVSPPCLPKPQKMLEKLPSFAR -TKLQVWLINKLLIAMVRISKQPFKINSSKKGTSWLNMFNWITGDKISSTQKLISLFYLGYLKNKEESPEK -NATAGMYKKILEYEDQHPGRYEFLGNGDPDPDDLRRHEYSISFLKHLCIHAKHILRRDWGENVLDAIHRE -IIQEVSRLDLEKVATLKASSNFSEEWYYHKEGKQYHRSKVLEKAAKYITDSASHVHHILKPCLEKVESRG -AMHICLFKKPQHGGLREIYVLGFEERIVQLVLETIARQICKRFKSETLTNPKQKLIIPETHGHRAAKTCG -LQHETVGSSDDAAKWNQCHHVTKFALLLCEFTDPLLHPFIIRGCSLFMKKRIMIDPNFLEIIEKHPDLKT -TDTYLNKVHLAFHGNIETHWMNAGCGYLETETGMMQGILHYTSSLLHTLLQEWIRSFGKRLFNPKILWGE -SDEILIDVLQSSDDSGVLVSFPSADPDKLSRFRYLAALLFGFKANIGRYLAIYTSVKSTSNTLFILEFNS -EFFFHINHNRPLFRWIAACDLISEQESLAARQEEVYNNLTAVLEGGASFSLVAFCQYSQLLLHYILLGMT -VSPLFTEYIKLISIICDPSLGYFLMDQPFGAGLAGFKYNLWVTVQNTPLGSKYSYLLKKIENNANQPTTK -KTLDTTTSGTFVQSTVIRFGDRKKWQRLVESLDLPSTWLEEIDQNPEILYRRPHDGHEVRLKLAEKVHSP -GVSNSLSKGNCIIRIISSSVYILSRATMSDSLCWLTEEELDQGKKSSLLKKVMDQISSDPDEFLTVNQIS -TLFPLHCEFERLRTHFLGYLTIKGKYIKKTKIVTQTRISILESERFMRVKPEDLVADKWFGLNRTRLTPR -MFSQEWESLKTTFPWIQDSFEQTLCQSPFEHHVQLRNFLSRLDIKGRDIRIIGAPIKKTSGVSNVSTAMR -DNFFPKYILEYSADVESLERTEAAGVLKHGLFLTLTGPYTEETKLKMVLNFLEKSERVSLRPNHGKTRSN -VLALLQEFVSFDGPIKILPRIESANCGVVGGFSSPQRLRETKDGVQYVGEGTWRGIVDGYQIQLVIQYEE -SMNMTHLKSITINSDGALTLIPNFCKSWSEEMHVFNSKNYAEKKSKSASFYLLNFRITTSRRPEGAPIFI -VSDRIYHPIFWKEENLFIRIRRQTINLTYALKGQSPKIKSRFLNILSYTIRDSDVSEIAAQRLMMSSAKF -DFHNREPSTSWICMRSLPIITIDKLIERIVSGSRISASIDNTALAQCFADVCESALRRRGVFMSEFSKQV -QNILKDSGFDYLDVFAEAGLECNLENLEMWIPESRCDFQIDPDDYFDELNLVLLV ->APG79335.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 4] -MLRRRSKISNYREVKLSIVVCSRFGIRTNLDLSPTELERLRNRTDFVFDLYEKVVELYPEFSVRDDEELI -QRKIKEMTKSFDDIKLENWTTDLYPEVLINREDFEKWNHQGENPDFNIIKELLRAKSDNPRVFRTRKTND -KNLTYKEMESLVFTKDKDRVRRDNKPISVLPLCFVRTNAAFKRTSPYNNLTGNILETEAANTFLDSEEFL -KEMQSKHLEEGLGAVKATTKWVMKEKRKGERLKPIPKKDPVLRKYIDFLREKGMKEEEYLDKLKKDRQKK -IFDSDCSTKDIQDFIDNEEIWLEDDQWINNEVEDIQDLIANAKQMSESKSSIHMKSFLNTRMGKCLLFQK -RLLYEISLTMKTYVPPGHLIIQKVPKTSVTMVMKSCGIDKPCWVKLFVPRDDLIYSAGAPFREWSLSEDR -DFYHLDSFTVDKFKIDQWVNNFETLLGMSAWYHSLLSSDHLSIPKWSSPAIRRRLNFCVCHLLEAKPNTS -ANLNCIRYLYMEFIKVNSNHIKIVDKLKKFNPDHRSRFSVYVMNNVISASKKMLENQPCPDIPSRLLNTE -DDPSFDSWLGLVSLALNDTITSAQVGLTECYLGCLFNKDQENGVHGEMKMMEKLIEEEISMATADLKKHR -KISEFDWKKDHGHEFSSELTTLAANQVKKLITEESREPYEEWFHKELYRELSRHSLDSLGTLKASMNPST -IVDEVLDEKNLGYFKLLEDLVRKEDLKSLEMLEERTKNLNEAFDEAFEDLMDERVAKEKDRVGQERRKQK -GTGIKHSKLSFKDSKKSRERLLFIERGKQRERLIGSLILSLERLDPNILKNPELLCKRLFDLKANVSNLF -RKHQWGDTREIFVLHPIFRLNVFIVECMSKILGKMIPEEMLTRGKAKHQIYKDLVKESDKMEREQGLNSF -TLNTAGDCTHWCQQFVMPVFGSVLAVLAPKPYWNYIINLLNAVSAKKIEIPSNVLRLFTLKPFEESFSEN -LNQLKNEFLGKSKTNPLVNPNKRWFKNRSNMMQGILHFTSSLLHAAILHLARNFLRQMLEEFSERGVLKK -LFKTQTTPGSTYSKKYTEKSDDSSSESSDGVSKKDEGQILAISQVSSDDYSLGLTFLHKNKVEAKKGCYF -YYLLFVDLVTFCHRLSCIKESPKTVDFLCSGTWEFNSVFKILRSEMCPMVPLIAAATKVQSTTRLDNIQH -LCSNLRQELLNNGACFQMVDVIQESQAFSHYCTLGALFDSNFEEFKRMAKSMPHPLTGFFFLDPPEASGL -GGLEFSTYVSSLGSPNFNRVQHTLLKNFGSEVSKEGHFHIRVQVFQGDSKKAKDFKSKFLENVSVEDFYK -QNPHVLFQKKPTNEEECKIFLREKMEEPGVEEHFHMETCLGKLTTSTMILSQPTLTISMDVGQLDEIAMT -EKSFQELKNSLAKYRKKRADEGRPEPKRFRFKCSMLYLMRIVERTLVEPSESDVISERAMTMPLSSTWDQ -VMSIIKQKRGSQGRKQAAFYLKRNRQKPARDMIELNRTFNNLPVKIIPLLKWKWFGAECPSTKTLCDHTW -ETLKASYSWLRENFDTTLEESPFDSTVAFRNFFVRLDQERTKKVYILGPRLRAVSQIAFLIKVVENCQHP -AFRWVSSSVELGDQARSNNLLQKLALQTIKPLSEKEKFENILIEADSILPEDLSEAKRRVINDPKTSTVA -VMQRLLKDVPGSLDLISLCQGGVTSSFTNPGTIGKNRIWSDSILTVRIEDTLFQFQITSSVCYKVSTNKA -GNIKRYLRLLKECLDEHKIQMMSKKDKQRSKYISPFDESRPQLNLAVGLVSQSNTGDSAPVFENFEIRTP -EEIHKPHLVFAHGFLKLKSNIPNKSRQSEPERTYTVLSISLKEALGSLTQERTVEYTNPAETWKEFFNGR -PASLGLLIRTLKGTKVSDSTRDWARENFKKIWSNTAEVNHFRNTYGSKENPANTSEDYQKKKKVNFNLSE -FEAESQRLLDSMFDMDDLMSKEEEIMSKPEEEQALFFDAGKLFNLDEDDFYYNYLDEGEWQTQNILGVSK -DRLLSDLTSEILVKMGFDLGLRKALERMQGGLGNGLTLLLTTDGELAPLGKILRDTLDLAEPRRERGNEI -QSLLENLNEGGAAED ->APG79358.1 RNA-dependent RNA polymerase [Xinzhou bunya-like virus 1] -MSTLGSPLICDDLLMSITERIEDEYQIFIHPRIEHFPELIPIPSFSNAQWFKRGIVGFEFIIDQYPIELP -ETRRALTILPKLYTYRDPQTVRKFIHDFTFGCICEATDIRLGDCFPELADHYDNLTPDFIYSHEGGTIVM -EFMTSRRPMGPSDNIWAQKVNKYKTALELRVEALRQLHHEQQLSSVPMNTKYAFEVISVSAAAVHSTIQL -QQRTVNELCARYRFACSVLEHLERQPDARILEQEEEYERMMDLEAGAKQLEGLEMKFAEDEDERGMTKNR -YRWLMMQGEMVEEQAVRRCEEEYVRILLRERGKIVEDMKTKKALKMPKDDFEREKEKYWQSVCEGRYCHK -TVVNLPLFNSDPSCRNGLHTCPVINSYDCAEAALWTEVTKNVVQDVVKFDLYDEEEEQAKINREIGKQEV -RANTLKRQAYLRFNVTLNEDHQYDLAKKGINGRHYEKDAIVESHQAERRKPLSANCPTDDIERWVMTCAQ -EFFMTPVAGESQASTYVKSLIRKATLMHDYKYPVSIGDSEVFYCTLGYRWHEIISDLGVELAAAIKQSTT -RNQWILKKLPGYDLFILCKGNPSSNQVFFSLLLPQRGSNTIYNNHGPFRRWIKVDQGWITEICSVNMSKL -HNIITANSMYLCCFSQWYRFFNGSPKRFNPPARMFPTLAVNLLVFLEDKSMTEEVITAWRYSEMEKLKCY -LVTPNPEKMFSKMPTMFRSRLQLWLTKKVSETFVPERYTRKMVHVKPNYEDDENLYTTEEYDEFLKEDDL -EVDVRGYIKEGEMRWFGCKNFIDGQPLDGPDKFIELMYIGYAKNKNEEAEASTDIKMISKILKCENEMQY -VRNDMMGSKTFEPSDEYKMHEFCGLSLVAVAHAIKDRLKSILGTSWQDHICEDLNRAMMATTWEQLATLK -ASSMNYPDHKPAKTKRNRKLTRRKKALVRIMEIIEKHEQDPLNSLAKILQETEEMGGIRVDIFKKKQHGG -LREIFVQVIEARIIQYFLELFPRVICKYIEEETIVNPGNKLRLPLLHAQEKSLLSQETQVYNINSSNDAT -TWNQTNYVTKFSMLLCLIFPQKYHAFIIRGMELYRHKSILLPPGVLHILEDLTTTKFSDETIQLLLSAQE -KGDESSLLDLENYQLKVESGFMQGILHVNSSLWHTGVLMLRNTLFLNTMKSLGMKKVITTDLCSSDDSAR -LVTVGLNSRDKAKCLADVVWLVSDQYVMESFYKRFGIILSIKSAIATELAFEFNSEFFFGASLYRPILKW -VLASCTFVEVESFYARQEVAYNLLQELIENGCCMHQARSVQIAQALHHYRLLGMNNNPANRIYKRALKAC -PDPALGFFLMDHPLCAGISGLRYNYWLALQQTPQLMLKMRNLIVSKDITTTSSSTITSCIAMNFGNRVKW -GRMIRECEERCPDWRQTIEKDPEILYRRTQSLEETQARLMIKLTNPSVASSISSTQNLVKIAAAAVYLLD -HPCIKMGELWYESALTDEPSHLFKHSLTKIAQIKANEIIEQEDAPIEAEEFLFPLREQYEEFFNVLKTWS -GETKAILVRSYKTLRHRSTMQVFCGIRDESIQVEDLVRWRWFSHKLNLSDYIASELWEQLKIQIPWLREN -IKATLEASPFKDHIQLRNFLVHTRSFDRVLSLVGVPFHSTSIQHVVISMASRNFAPGWKIPTCRLDLVRG -ELLKTGMIQHELRMVTAGPFTEDKKTNLCKSLLCQTKAMWSGEKVSMIPMKLRILSVFQKYAQNQYRNSY -DLLDDLRRCKRGVLGVFTALGLKKWDKDEEQMCAKDAIWDGDIGGIRCRLRFQDRKLAEVETTNATALSR -QSEIFNSLLKELKCSDVVMNKVSFGSYGAVIFKSNKATFLSAGSEGAQIIQTKSGFGGIPDIPEMIAHLS -IKNFKIIAGAHSLKLLACTKTWTETKLNWLTILEYHCTNSDLSNYPSHYNLVYDRRIESPWVKGESIPLV -GLVTLVHCSDPGSADHKLFKSLGIEPEQFKNLVRNSIERFAKLHSIVTTPNTTEPSAQQTMTTRSQHEMM -QSTLRKILTEVNLPATMEREVFSTFIAGPDVLQEQEDIHGFNLTDIEIERRGTLMESLLFDAQDLTLFQV -KTERPTYNVLANCHHLCDDINNYLLKEHHGFFKRIMNGEFYENDSKELISFFRTIFYDKFFKQIQSQPLI -NLDWMAEEPTQEETEYREAEIMSEDEEEMPPLEESDSD ->AJG39235.1 RNA-dependent RNA polymerase [Changping Tick Virus 1] -MSEVNSLVNLVARTLPPTQTPYSSPPAELYYNDQTFTSAIDYEVVRTSSDINVTFKCADVLEGTGSTIMV -QPVSHLTVQASTRFVHDFTYKYLSRDTDVPFKKHYPTMNDGFDNHTPDVIIDDIQGYTAVVEFATTRMCK -SEALMKSFKTKIGKYHIPCLNRSTRRPTLLFCIVVSESMVVSNLNLSSADVNELCFRFNTAIDIYTHMLM -RGMVPEMSDADTTVQGSDVEAAFRDIGFRWDVTEEKFAPFSKKLYEEWLMPPDQDYIKEMLAHCTRQTID -ELKRDHMIGLEESKASKLDKNWLECNKKVNEYRDNFHKPGKMRGRVSHKSTIPFPGFMPKVSESPTISIR -GMLEKPTSFPETDNPTGRAWNEAVLAIARGNVSRMDEEQETEEKFVSGTVTKDEEHSAQALRSSFHRVKL -TLPNGIQSDLAKLGVEGKKWKNDSGVVQNREEKKKGFMLTTDVSDINHFVGTTKDGILTHEIITMPPHMK -AILKADWDAIAAHGFKQEEIPIQKNVEEFFNSPLMLWCSMVSAIGMELALANKQHCKPGEFIIKKLRNFE -VFLLIKPTKSNGPMFVSLAWHSKDVSNTYLADYLVFKATQMSNEWCWTEFHSFKPSKVSTLIRCTSTMNN -LYWFWREQMGVKPWDKFPDAALMAPVNKMMKISLMVMLEDKAATEELCTNLRYTLLEGFVSDPCLPRPYK -MIEKLPDVARTELQVWLINKTLNLMKEISAKPYKPRSEKGRVVWDNMRNPYTGDVVEDPYRLINLMYLGY -LKNKDESPEKNGCPALVRKIVKMEAAHPGRYEYLGSLDPPVDDIKTHEYSVSFQKYVCDVALVHLKASWG -PNIMHSMHMDVLNAFCSLSLDRVGTLKASSAFDESWYESDGSTPYHRKKVIENLEKFIKPANTHVHHILR -QCLETIEDRGCMHIDIFKKNQHGGLREIYVLGPEERIVQLALETIAKQVCRRFKSETLTNPEQKTRIPET -HGRRARDSRKERDIHLKFETVGTSDDLKTFNQTQHTTKLALTLIKFTPEVLHPFIVRACSLFMKKRIKMD -DDLLQIIVNNANLKTEDPVLDELHKAYRGHCDPPPRWAEAGKSFIKTETGMLQGILHFLSSLHHTTPQIW -FQYHCYHVLSRVFGRHRTGVLVDVMQSSDDSAVLISYPWETERIGHQCRALAAMLLHLKKKVGVFLGLYP -SVKCTTNTLYFVEFNSEFFFHDDHIRPTIKWVIACDQVSEQEAIVARQEEMSNALSSILEGGGSLSLCSA -CQVGQSVLHYFLLGASVSFLFDRFISEASILKDPSMGYFLMDHPFGAGITGFKYNLWVQVRFGTLGAVYK -LFMQTIKEDVVPEDKSRVYRSLETTSCGAMASSVVIRWGNRKKWFRLLEAMKVPENWEELLDANPECCYR -QAKTTDEVKLKIAEKLHAPGVSASLARGDHISKIVSSSVYILSRNVVCQGTSWMAPEASDLRREPLLRMI -MRQNEVSVTQKDQLTELEISTLFPMNTEYEHVRNIMATYMSKEGKKIDGRRRTVQTRVIVFHREEAMRSR -PEDILTDVWWGHRRSGLTLPALEEHFLQLQRVLPWLEKDPNESLRKSPFLHHHQLRNFLARMEVQGREVR -LVGAPLRKKMETNIATVIARNYYPQWELNLQYDTRAQNRARLTDAMKHICYMVTVGPYSDARRLELVQRV -LLDCEQIPVIQGAGKTRSNTLALLQKYMKNHNNTHAFNAEMRAANCGVIGGFIKPQRLVERAGVLSYTGR -GTWRGTVNGQFVQVEIDTFEGVSQIVEITTNSVDFARLHLGPFLRQWCKDMGVLNTKTFDNLNSPLIAHN -CEVYSYGKGAPVYLSSYSGRPEQLSVLKMEVRVEGTCISLVYKEDDRRHMRILSFHGRSTDVSKQNVGKI -EDLLQTQKWVDKEPSTSWMLLKAMRWDTFNAMKELHEGGRIIPGVDFDRFRRLLCESALHNLRVMGYVLH -DAPPVRVSTSEATATIANLWNVDLTETITETINYIFGEPKTKKKREPVLATSSDDEPQPGPSGLQGTLGP -AFRAVAGTLSSWGDIMDLVTSDSDSDDSEPVVKKPFVEAKPEEPKEPLRQEEGFFDMPNLNLTDIIDVDA -FGEPPFEIMPQSLYCHTDLAKDILATKILPSLGTHDVASLLSQGSCWATKEADARLLLWVLQRENQALSL -LTNPDVRTDDYEVGPVKTDISQFG ->AHK60947.1 RNA polymerase [Karimabad virus] -MNSILQNQNQLAPGFTKRGLRKYDCELFGVNLPTFSVRKSGAGVSIDLSLDTLNPNSTIGSTLQQDVTVE -ANEILNFVHDFTFGHLAGVTDEPFARKFPIMNDGFDHMTPDLIVETPAGGIFVVEFTTNRGSSAQAETAA -MAKFGKYELACEIRSGQRPVCLSVISAHRRGVVSNLDLEEDEVDEIVFRYRVAIAIFDEMKLVLPGLDTG -DEEITKVENEVKGIVASINIDWEETERAFPHFKEKTFAAFRNSVIDDNYISRVISNSLTECQDEMREAAF -LDPELSMEERLKKNTEEAARMVEKARSGFYKEGRMRDVHDSKATIQIPGWVTYEGDEGKGLEPLQGLEVV -GDHPIIRIWQKVCLAADSESIDRMFDDVEGELEFAMSGEADYNNRKPDRSDERNRYHRVRIEMDHEETEY -AAVFGVCGKSYKDNNMVKEARTRSKLGFSPDHNISDLEKFLYTKDNSIFDSEIGLYNPLMEDVELRRAAQ -KIHQPSLVLSEGGNEMLNAHSNYMTSKLGSWTQMVSLIGAELSASVKQHVKPKYFVIKRLLGSAIFLLIK -PTSSKSHIFVSFAVKKESVCDVLHSTGVFKQYYEAGDLWVTDFVSYRLSKLTNLCKCNALMECSAAFWTE -CFGGYPWEMSSFLSGKEMQNLEAAFMTKMSLLTLMEDKATTEEMQTIMRYIVMEGFVSQPELPKPQKITS -KFPKVLRTEMQVFMLRRLEMSIMDIAGKPFRLQKKDGSISWGGLFNPLSGRALRELQPLISACYNGYFKN -KEEETEPSALSKMYKKIIELESAKPETDEFLGLGDPESPKMHEFSRSYLKKCCEHGLNLLRKQYGHNIID -QIDNQILREVSSLTLERLATLKATSKFNEDWYIYKDVEDKNYTRDKLLVRMSEFAAEGKTLAIEKFEDCM -STIESRGAMHICLFKKQQHGGLREIYVLGAEERIVQSLVELIAKTIGKFFASDTLCNPPNKMKIPESHGA -RARKHCGGPIWTTATSDDARKWNQGHFTLKFALMLCEFTKPRWWPIIIRGCSMFTKKRMMMNMRYLSILH -GHRELDVDDDFVMELFSAYHGDATVPWMSEGRTYLETTTGMMQGILHFTSSLLHTLHQELIRSISFKIFN -MKVHPEMSSRIVCDMMQGSDDSSMLISFPADDESTLVRCKVAAAICFRIKKKLGYYLAIYPSEKSTSNTD -YAMEYNSEFFFHTRHVRPTVRWIAACCSLPEVETLVARQEEASNLMTSVPEGGGSFALAAIVQQAQCTLH -YMLMGMGISGLFPVFAQQILRWMDPGLGFFLLDNPFCAGLGGFRFNLYKAIMNTELKVIYTYFMKNIRDR -ETEDWDDAAAQIPETCSVSPGGAIVMSSSLKWGSKQKFEKLRARLSIPEDWIERINEAPDVLYRAPRTGD -EIILRIAEKVHSPGVVSSLSSGNAVCKVMASAVYFLSAAIFQDSGKQEGKVLPGEKYSLLRKMAVYEGFR -TVDRMHPDDLIFLFPNVEELEGLDAIVGNKGKIEVIKRVGLREATQTRVVVFDHMQTSRASAEKLVSDKW -FGTQKSKIGRAMLETEWNRLKGTIRWLEDTPSETLQKTPLQNHIQVRNFFARLEGKSRTVRVTGAPVKKR -SGISKLSLVIRDNFCRNGYLKGIEDVSGSSRAVTAEALKHTLFCILQGPYPEEYKLQYIQRALTSFGQID -IRQGDGKTKSNLLAIMQKFMNDEEDLPRVIEEVGAGIIGGFVKVQKVEKKKGVVSYYGDGSWRGTMDGVQ -IQIDIFNKKGEPPQITAVTMKDPQSPWDLGPSIRSWAEDVGAGNSLDFSTKATPGARYWISGFKVYGPSH -PYGAPVYIISNSMTRLVNLTTKDIKMKIRNHTVNLYTKGGFRGGDMHITSYTAGDSDLSPESLRALSISE -REGALGGFTKEPSKSWVLCRPLPEHLVEAVLEVASGERAVSSIDSLKLSGIIQKCTEASIRQKVGTLFTL -VPSLEESEPKANIDDIIDILIEDIREDTFEDIAKEMGESMGDSLSSPEFDNSDVNLFGPAHFKEISGLAM -ISHPLMDEFVEHIVERMGRSNVRVLLENNTCTSTNLALGKLLYKALGRDPNSIKVQNLRPIIRTEVTDDM -LG ->AJG39243.1 RNA-dependent RNA polymerase [Qingnian Mosquito Virus] -MESIIHDITCSCICNGTDKKQSETLSVPLPHHLDLTPDFSQERVTEFGRTALIVEFATSRANNVRALETT -YNSKYIKYASNLMEINTYLEKKRGIESLENTVEMKICHLVCCVVTSNYVVTNAKISQTLVNELCNLNALG -NSLRYQFESLGLIKRVISDQSLSVSRVDDCIKMINDTPHKFSGNLLISDENIKRFESSEIDEKNALEGLR -SIFEDTKETLDKHIAKQVDIETRADGTVIFKTDKREERIAAFNQKRDELKLIYDSRPTRTTAKAVIPIPY -MKLLPNRQGDMRSLVTYYKKRLSESYLTSDSQSIAYRELFLNCLDGLLSKQNHVFFRSKEDRLREIREKG -GISTESAKQTKRDAVTFKPSYESTLIFAKEGVEAKHLSHMDFMKTHRYEKGKSFHIFNTPTGDIENWIQS -DLKKSADQMIDYRLNDDLIRAALETVKGPKLNVFEEASLKRQRTVFQYEMMQFCETATSVAMEAVANLIK -NVDSNQWLVGSLPNTNVMYIIKPTNKKGHIFISLLFEDENLIKDPNSCGVFKDALEIGEGLFCCQFFSLQ -NGRAQNWVTAKYRIASLFEYFCAENMDADAGEYKPTPKQSKELKLCFLINFDDKRCTEDVLISSRYVYQR -SHETLPRVPDASDFITSLPSFMNSRLGCHIAMKLIDLIRKINEGQLMQSRVYTASDGRTIMEFYNLHDYL -TGEKLSDYGDLLNIFYIGYLKNKNQDHENNTKFSMIRKLLEEDKKVDYDRVDEMAKDGNVCPHDFNIDLV -KLCGHALAALLSKHMGKDFMERLGNEIKEKLASITSLKVLSTTKATSGFTKDNEKDGKFHPNGKVFMEGR -WVYMNNMLNPLLIEAAVRDFCSGNYDKFMHISLFEKKQHGGMREISIMAIIDRIWQRILEVISETICGHI -PQEITNNPNNESTIFRDHTKNVMKERNVDKFTIIGNSNDAKRWNQMHLVAKFGIILTKVLPKEFHKMIWI -ILERFENKKLRLPEEVFNFMNTHMNTPLYDDIYTELRAAFHGEKSPFNRTIKPRENFILVRTGMLQGLLQ -STSSLYHCAVIEAFQNFHSNMVEAKAMDVYLRDPELFDTLTRTSQEYLLGMKEKAKISKAGAFIFFSGLL -SLFVRNHNQTFLGTHSESAAWVVTSDDSAIYVLYQSNTGKETKAPILFNTMAQARLAKFYLLCGIMISIK -STTGTENQIEFKSEFNCIDQSYQARFKNVSAAFNQNVNADFVSKQQNNFSMIRSIYETGVSSLVCFTILC -HLARMHYLTLGSENNDLFPFLKEALLKTRMPATGLHLISPSLITGIATYSSLDYAVIKNMNRTHFFRESY -TSAVEKMKEERKEDIDKGVLRPIDIEWALMRSMKPCNQSNLININFSNMNKALDMIYKLGVTKDDLLYFN -KHPQAFFEIAMSEEAIKAMVAKLVCNPNMADAMGKETDVARELSKAVYILSSYCISLRDSTARGLDIDRI -MAQVKLQKKTDKNAIDKKGKISLIALVESVARYLESAQLEREEEIRSSADKELEILKREKQDLNIIFPAV -EDFEFLFRMEMKYSEKILLRQINIPKRKKAKIRISETKEIDFTLLHKVLIAKWFDINNVYHKSVIDIMMK -AGRKHFPWIRDTFQESLDASLFPNAIDLLLYLETIPEQSSYIRFKSNPFTPNIGENPLETAIRKLFWPGF -EFINSENMTVSPAIERRDFTLSDFRGSIAGIGLCPIIQKKKDELMEHAEKKLKDQFTGNPAILAVSPRRG -NINSLKIIYHALFDEKYVNSKRFTRDMETNKNGTYGIFTQMQEFDPVNRAYFGFGIWQGYVSGTETKITM -YANKKRENNPNLDTQTYLTSVVVGRIGTSMTFFLTGLENLCKDLKIINDLNSPHRHLRLTYPNLSNISNF -KQNTQGCPVYQDLSFVLKPAVFGSELKTRVERIFRNGLITIRLKCIEKLHGNRERDFTILSYTTSLTDFH -GLRRNFMELKESRLQDWFERKPAPVDKIISFLKLLKSGKGKEKVNFWLVNELQNYLRDFASYSVKGSRIA -YLTTNFESKGTAIMNIPASTEPSSEETSIKEETKPEEMELKAPEITEESKKTGEEEEKKVVSFNDEEDVE -TEDEETEDEAEVDNDDDYDYTEDLEAFDMFENFIVSITDDVAKLKEEDDNMMNMVMEFFDNEDLEEMFSK -VELTRLDTHPILDNLLDIFKYNRDYEQNLKIFINGEAIPKFYLEHIEALTVIFPKIENLPIIVKPEINEE -DME ->APG79256.1 RNA-dependent RNA polymerase [Jingmen ascaridia virus 1] -MPFIQPSTMLRIPSCEVQVTGHFEFEFTILPFRPIAPAMRQPESVSLREESRQIKGPDPIKNFKHDFTFE -ILASRTDIPLSKIFPGIPMVGGEKTPDFARLYEDTLIIVEFMTYHMHEVPAHVWRLKSKKYEMLINERIK -EMQKMSERRNVTRRFAYHTIIVTPTKVYSSIQLEQDLINELSARYRFSQQVIEMLRNQAQGLIPPTEEEE -ERELRLQVEAGPLLSMDFKFAPENTFGISESDYRNFLDELDKSPADRELEQFAEYERLRLNKQDELWKDI -KAGKTINFSDDAEYNAELALWKDSFPKETRNHLKCVSNYPLFEVSISRFDSNHKLPDLTIMKCGENPPVE -LWLWQAAARELYGRVEFDVYDEKIVREKVEEVKENITKVKKTRAKNRRFSYYPLGGQHLELATKGIQGKR -YANHPFVLANTKEKKLPLNYDVDTSDIDQWIMKEAEEFYMKEVEGVTKATELKKALIDIGTSIHKAPEAL -SVADFEVFKYTQGFLYYECLTALGLELAAMIQFNQGPYEWILKKVPDFDLFIIARTVCSSKTVFFSLCLP -KRGRNRALRNGPFRKWLEADNFWCTEIVSINESRLQNLVTSQSAYINAFAQWYRFYGGNLREFEPPYQMW -STLAFQMLVHAEDKAMTEEIVTLWRYIEMEKLKNKLTIPKPYKIFKKLPTIFRSRLQIWALHRIVPMMKS -ERYERYAIAISSDMTKDEKELSSAFESDLPEEEEQLLDQIGLITEGQIVWKGCKNFIDGRPLSGPEKFME -IMYIGYQKNKLEVAPQNQDAKMVAKILKCEEQKRECRKSHMGARDFYRSEDFKMHEFCLKSVVFVADKIK -DVLAQVIGPDWQRMICNEVERELFSLSWEKLATLKASSMNYPHIKPVRNKEGKMILPRQRVIERIIDHVY -KNESTLLSQLPEIIEYLEELGGIYADIFKKMQHGGLREIYVMIIEGRLIQACIEIFARVVCRYLEIETIV -NPDNKTALPVKHAKQREDLKQNKSIFTCNSSNDATTWNQTNYVTKFAYLLCAIFPGHYHPFILRTMELFR -KKHILLPPGVIHLLEEHGNTPFTNEVIQRMMYYKAHPTECNFMDFENNQMIIETGFMQGILHINSSLWHA -GVLIARECLFRRSLQAKNYPCDVISTDLVSSDDSARMVTVLVPEGLKKADVLRMMLHVISDQELIGVLYK -RFGIILSEKSATCTEHAMEFNSEFYFGASLFRAIIKWAIASVVFHEVESLFSRQEAFYNLLQEAIENGCS -ISQARAIQIAQAFCHYSLIGLNNNDARDFYFNIMVKCPDPAFGFFLMDHPMAVGIAGLKYNYWLLTRNNA -TLSNRINKLITRKDITTTSAATITTCVAINFGSRIKWRKIVQRCQVKIPDWEQIIEQNPMILYTRPETKE -EVLARMMVKLTDPGVTNSLSSTTSLTKMASSAIYIFDHPCIRLGSLWYESLLTDTPNMLTRLSLIAAGRI -MAQEDIEVCPIEREREIFPLCDQYREFHRNLNAWCENIDTIILVPSLSSYRHRTKLVVFTDLTESTISLD -DICKYKWFGHQNYYSTEMNDEIWASYRAKLPWLRDTVNETLSASPFNNHIQLRNFIAQETSKTRTLNLVG -SPFFSTASHSSIVAMISRNFAPGKKIPTSKVDIVRGHMEEIRNVQHALRMVTCGPFLASRKQFLVNELLG -STEPVWRGEQHGLIHTKLMIMSVLQRFVQGEYYDITNLLNDIRKSRRGIIGLFTKFGLQYSARKVERAGE -TVWDGEIGSVRVRIVFEGDKVKEVWTDDLHRFYQQELAFLQLLKELKARDIVSNPKKDDRRGVVIFEKEI -FKITCVSMIGAPIYVSGPKSGTILRDISDLLRKFDLNSLKIVPTAHEIKLKIIDEHKIFDPETKKKTPVE -YTVLTYACTDSDLCTRVVNISEDISLSSFETAWIKNQPLHQLMFLEIVKCLIEDPGKIELMFLDTQALTH -ILRTHVTRLLEQKGFIQTTGKITEIVEPQIQVNVAEALKQFSKDLIITKEDINLIEKLTSEIPPSEFIYS -EEELMASVEEPDERELIRKGHKMHGTYEFLTEDEKIIAKHSQLLQQTLLEGLLIDASDVKLYQVKDERVK -MRTVLTFHRLAESFINYMETELTRSLARFGRGVYQTLDDPLFVRVFEFLLEKKFELEVPVVQTARDTLSH -DIEVIAAQMGAGPSWAQLAEDEEVEIELDESEQESIPELEKSDED ->AIE42674.1 polymerase [American dog tick phlebovirus] -MSNPSSLAVLVGQNPPPNDERFFCPGPNVYYYDTQDQVIPHHTSVRRGAFIDVTFETSALTGSTGSSIVQ -APKSQLTVSLSHKYLHDFTFHHLSERTDSRLRDTFKTVNDGYDNHTPDVIRSEPSGRVVAIEFTTTRKNK -QRSVMEAYKKKMGKYCPPCETRSTDKPLSLFCIAVSESMVVSNLILEQADVNELCFRFNAAVDVYSFLQR -TDVIPRLDDGETTSIANQVDATFQDIQFDWKVTEEKFPPFSKELYDIWSSDDYDLEYIQKMMVHCTERAC -SKLVDDHLIKMDIPRKAKLDISFKKTLESVGKYRENFTQHGKMRSPNYHKSTIPFPAVVPRVSRVASISI -KDLVRGKEDFPEVKNATGKLWREVFKAVAMGRVKRVEEDQQTEEAFLMGEVTKDAEHTASAMRTSFHRVT -VKLDGDARVELATLGVEGKHLAHEPLVKENRSLKKKGFPLNTDVSDINGYLSDTKDGLLDHSVVGMPEHM -EDILKANIKSMEAHGFMESDLPLMDQVSSFWESPLMAWCQMVTAIGIELAAASKQHCKGGEFIVKKLRHY -DIFLVIKPTRSDSHMFVSLAWYKDDIDCSPLSSSMVFKSSHCQGGWCWTEFHSFKPSKISTLVRTASTMN -NLYWFWREQYDIKPWDSFPDPLIMASVSKMMKLSLMVMLEDKAATEELCTNLRYTLLEGFVSEPCLPLPG -KMIEKLPSVARTKLQVWLIQKSLSCMCRIAHQPFEPRAKDGRMIWDGLYNPFTEEPVTDPYRLVNLMYLG -YLKNKDESPEKNGCPALVRKIVKMEEAHPGRYEYLGRSDPPLDDIRTHEYSVSFQKYVCAISNIMLRTMW -GESIHHTMHMDILNAFSSLTLDSVSTLKASSAFDEMWYDSDGSVPYHRKKVVENFRKFMTEGNTHVHHVL -RECLETIEERGCMHIDIFKKNQHGGLREIYVLGPEERVVQLALETIARQVCKRFRSETLTNPDQKTKIPE -SHGRRARAAQRPNDITVNMETVGTSDDLKTFNQTQHTTKLALTLIKFTRPSLHPFIIRACSLFMRKRIKM -DDDLLQIIVKNSDLKTDDPTLESLYNAYRGNTVPCPRWAEEGKSYIKTETGMLQGILHLLSSLHHTTIQV -FYRYYAYHELTKIHDGRAGKVLIDIMQSSDDSAVLISYPWTDDDKGKKSRVMSAILLLLKKEIGIFVGLY -PSVKCTTNTLFFVEFNSEFFFHNDHIRPTVKWVIACDQVSEQEALVARQEEMASSLTGILEGGGSISLCH -LCQVGQSILHYHLLGASVSFLFSRYMNEAKKFKDPSLGFFLLDHPFGAGITGFKYNLWNQVRHGSLGAIY -KLFLTEIKASTPPAVKEKAYRSLETTTCGALASSVTVRWGNRKKWQALLDRLQVPSDWEEKQDANPECCY -RHPRSAEEVLLKVAEKLHAPGVSSSLTRGDHISKIVSSSVYILSRSVVGGGVSWMTPETDTYVKEPLLRL -LLKQNDIDPNKVMHLDDEEVRTLFPMDSEYKHVKNVLCSYGSVEGRNQIGRRRTVQTRVIVFQREEMMRA -KPEDVITDKWWAMGRSGLTAIALKDHFEQLQRVIPWLHNDPEETLRRSPFLHHHQIRNFFSRMDYHGREL -KLVGAPLRQKVETNIATTVARNYFPQWELSLQPDVRARDRARRSDAMKHFCYLLTSGPYDSDRQTRIFCD -VMRYVDDLDVVAGAGKTRSNTLALMQKYVKLDNTQSSILNNEIRRANCGIVGGFHRPQQAKEIDGKVVYQ -GPGTWIGTVHGHRVEIEVDSIGRRTEVMAVRVDSSEFARMHLVPFMKQWGKDMNVCNTYRTVTAEASYCM -FNFEIYSYGTGCPVFVHERLGVMPDFQMGQMELLVNGHCISVVCSAGSGRRVRILSFHGRPSDVSKPNAD -QLEDEIGQMLWAQKEPSHSWFFLKTMHENTLAAHLSHIDRGKILPGTNTQVYLDILRDATGYYLRSHGYT -IHDMPRATISMGEAEAHIRQMEEASAKVMISDVLVSVGLMTARQDEAQQETDEPPPSETTPTETGMIAAA -LEETWAEIMENIEHQARGLQQDEMETDDVKPRTTPAIFLDMPNLVVRDMFDVDAFGETDFEIMPRSVYNH -PSLVSRYIETKITHIITPSEMALLLTSNNILASKSGAARLLFRLLQRDPERINELTVPVTYTEDLDVASE -KVDESMFG ->AJT39594.1 RNA-dependent RNA polymerase [Bunyaviridae environmental sample] -MSAFSSQTPQSAPSFASGLNIPTNLPATIDGVCLTSLALLNNPEAVFQEQDIPHIIPFVKHYTLDPEWFS -VTKNVERDLWEWSINVPDLTTMESIGPAVSMGGMRTDKAMRTLRHDIVAAALSDSKTDVRLDTVFPNLAG -MREGALTPDFYVQKGGAKFFVELGTTKATDPSSGAMDMRKKLFKYTEPLKAQKFDGPCLLIALIVSPRYV -VSNYVIDPLIVEKLIYHLCLALALEQRIAGLNLPNLMQPADTHEEIIMSRIKDQLELVEVEDYPNENLNI -TRPFIESLSIPADYTKVSRYFCREVNKATQEITNRNAKILASSEDPRYMSLLEALRTKESKSYVKPVTPM -PLFVVPRHSEVDMTVRAFTICDPDGGLPPTLELWSKAMQGYMNLHVDFCEDLEERRRELYYTKDQDQKRV -EERLAANRSRAHRCSLTESISPEALRQLAMDGVWGKKFKNDKEKLDKERLQSLPFHYDTSVADITDFLNN -TELYAEYEYAEEDTTALDLIDKCFRDMDLKPEAMVTATGITRTKFFCALEICSAIALELTISLKQHTKKN -DVLIKKLAHYEIYLLIVPTKASEHIFFSIWVPGQEGVEVLSDLPFRSFKPAYGDAMYTDFCSFRQDKLSN -HATSSCTLMGLIAYFSYHYHLPSCKPSALRKSRDAMMMINYTMLVRLENKLPTEEAISISRYMFMEVLKG -AAVNRPDPFRLLCKISPVIRSRLQLFSVRRLLHAFSIMVDNPVRRLDPEDKSLTDAGDDDVPSNDRWTGL -INPITLSEEPSAAEVISSFYIGYAVDKDQIAQENTDFKIIQKAIKKDLEFDVTETHKSSGIWDEFEDTPK -EKQFSVNAIKVGVEIMRRVLLQRYGAEYQVVIEQLVLKALANHMTDSLATLKASAKIDHVPWNELPQADN -DIKTRSGRLKVIEALVKELHIFGYNPYMGLAEIVQIIEQTSHGVISDLFKKNQHAGLREIYVLTIKSRLL -ALLVETYSRTLCKEFDCEAMTHPDQKLEVVERHKSMVKRIMHATGRKASEYQCSADKKSWNNNLVMPALS -IPLLMLLPKKMHGTVQRVLNLWNERLVKLPHGVMKLLVAGVELSDPTYKTLMEEFEDPGCYNGQPLLPGP -GSAFCILRRGMMQGILHYTSSLLHVAYLHLTSAMIRVYFKTRLPATSCIINQMCSSDDSATIFSILHSKT -STPEEDEKIVVHSELVCQALTTFCNYTCFTNSEKSTMGSHNHLEFNSEFIIGNTLAVPTLKWVFACYQVS -ESENLLLRQQTMYNLMSQLSSAGLPSYNTTIVQISQGLLHYKLLGSDTNQFFDIFYREITNYPDPSLGFF -LMDQPLTMGVNGFSYHHWLHCKMNNMFQVKKKSVLDGSIGFNPEGGIMESFLVRHGDSRRYKELVERISG -GEIWELREKVNSEPLILYSPTQSTDEARVKIYAKALAPGTAQSLSRGVPFIQAVAVSIYGLQNYCYTRSD -ASYDSGKGEKTYSKISLIGEIARRRREKEPASLVHDMTWESVCFPNYRRYQDILIVISKFKHAREVRVGP -IRHKKSRLTFQQATSVIGVPLYDLVKEKWAGHHLKQSVQLKTRAWECYKALMPWLKDTIDETLEASPFLD -HVELHNFVSTQAKRARTYVKVGPAIRSSYPIAQMEQLCRKTYKDRVLLVLPEQRDQKDGINHFRDRRTSV -GLALEIPVDDFRDTWVKVAARQCPVQQGELTNLKDKGRREATLAIITAALNNHPHEEIQRAVEELGSGLF -LSWRTPQEMVEVHKPVYKKMWVGPGELVLSNKNVYCLAKLMDGIVTQIQTNSIVQLRKVQHQILAAFKEQ -NLKPDSCMGGVRAINFLTCKGLDLTGPGTPILEMVNNPMFQPPDNLAMRFSVQITQGCLKLMQTGTTLPA -TLLTYKTLPVEFEPSLDGRTFTDVWRAWYHQSQLDAEVAEDFIVQTVRKRRSEKDDDCRESGQELQGSTK -LIVFIRKTLMARLRHLGYLQLSYRPTAEETTMLSEEEDPEIVKAMQDALQQCMYDVINTEDVGDLEVIGQ -CDADLDRTAVHLNWADEAEEGMMCSEVADFFAEHAIKGAPVEFVTSRLSTRYGIMQFWDSLIHRVNSVNQ -TAWGDLASGRNVAGLVNSSAFVWLFTNSKGDPPRTFGRSVEESVNEEAMIAIYAKKSSRSTASTTSRIQA -RYSDTFMRMTKALISESEKLKGKDEIVKEIMDLLSQAKVKADEAVEAEGSISSSSSDSLVSVGTMSPITK -VQEWVLPNSELGPGPDYIPPAGEAMMEGDELNWLFAMIKDKLNLADECVESATVLCHYLKGELEIPAGRV -FDIEEKMVYFCHLGSIHSGHWTAFVTGVRLDGVVEYYDSLLDHNSLVESKAQLQNLFPNDDIRVEMINVQ -RQGLNSCGHHAVFLSCYRMFGWQLPSVYTDRDVRRWITTCIQTRRIEPMAIMYQF ->AOE46766.1 RNA-dependent RNA polymerase [Phasi Charoen-like phasivirus] -MSTLLNDIVIDSLSGIQGDVVTGDEVLYHDSVAGIPIPEVLVDVRGDLIGVSFRPLDDDDVVSTVGSSVY -GQSLSYNLGDWKLNNLVHDFTFGELIENTDRKLSEIFQRSGAEITRFTPDHVSEEPDFNIIVEGGTNQGS -LRSVKNYYEEKKQKYDALLRTIHQSELANEGNAKKILFGIIIVGHEAVATNLALSKEQVIELQMRFRVGL -RIQKELTELGLVAKLPEDATEIDKRLEQYFLKLDLLSDKEIENWNKSIKRQININNPDKDFLELHLKRWD -EDRIKELINHPDKEAASIKTAKILHESLSFVAEQTTKYEFDEEARRVKSQSAHADFEKEYISRNEGNMFT -AEKAFINFPMIVIKPSEPSRVPSRGLKLSEAKFETVYDTLYYAGILKAVADPLYFPDHEADILEQYKWET -PLLTSKEELQQFKDENLPKLFQIGGTFSLNNISSSFLDAALSLVTSDVNKQSELAKDFKGKTSRFNAYLT -DANRFDLALKGVQGKGLTSKFIKGKINVSYNASIDEARESKPDWFHITDTNTDDMDLLMNDTELFMELTS -TLHETTTSTTLWQSLINQARDYHGDNYLRLKEEQHQAWLSKSLVFNWCKLITDIGTEVDISMKQNCKKDE -FIFKKLQDFNVWLLIKSTKKEDKIFFSVLFRREDIDYLYESTVFKRLNKLFGGENYLYTNFISLTESKLL -NWVLVLPRMIGLFRFWSNFSNIEPYSEQNIRDLPETHPHFIGFYKNAMPMLILSIIIGLNDKTEVEEEIT -RTRYMVMESLTEWPIEPRPYKMASKASMAMRSRLTLWLYRKHKDLCHRYTATPPRARNEDVTGQEQTETS -NQLFWEGFVNPYTNFKLTTGQQVINLFYLGYIKNKDETAQANKASKLYDKILTYELQYDLETAKILGLTS -PQKPRPHCFDLDLLIDCGRNLNHRINESYPEFNYNFMKELHNFMFLRSVEEEFSTLKASSNFNEELYDRK -KVSSKYQRGKVIEKLALEGEGATSIAELFEKKYLNALKSRGLNIDIFRKPQHGGDREIYVLGFQERVVQR -LIEQISRIICRFIPEETMTHPGNKTAIPENSSREARTKYKMGHLTFNSSADASKWSQNNSSFKMMICLLT -ICPPYLHKTIIRCLRLWEFKRILINPQILELFDKYRNLKLYDKTLQCMFDGYKGLKTFRWIRAGSPYIEV -TTGMMQGILHYTSSLYHSAIISRVKEVVQQSSHAIQEILKYPKPFKLIMCHLQSSDDSYFSVSAPLTGDA -SIATKSRVLATAILQFKVNYSSHCGVVNSIKTVLNSNHVFEFNSNFEFGFNHYKPDIKAIFSGFLVSEQE -LLLTRQEELSVLLTSYLENGGTNYVANGLQLGQSYLHYHLMGLTTTKYFRTYEVLQTILPDPSIGFFVMD -NPMCPGLLGFKYGLWNLVKSSNLGKLYKHKLRPITLTDAKLEENVKLSIELSAHGSLSASYKLIHGNRRK -WLSLLERMNVDQEWREKIQMNPEILFRRALNPEEVRVKISQKMHSPGVSASLSKLNTIPRILAESAYVLK -LQAVTSLSNWIDPRRTEFSKVTLIEAILKEMKEVLADDDINEQEMRILFPFHEDFTRNQQLLSGVHVVQI -GQSFREYKRKVTSVEIATNNEYSLLSLRGILLSFWFENNTDIMTPRLSKEHRDYIFTQHQKVIPWIRKDI -NESLANSPFNEMVEMLLWLNTFSGRRRIVRLLGTQIISKHGHSRLLSVVINNLSSSHRLNLDRVELESPV -DLISTVRSKFTLASSLPGDHIDIIKRIFSSAGNQVTFAEGAVRSKQNDVVLMCKLARVIDKGWKESNSDE -VLSIINDMKRNKHGTLGLFTTRQRVSLAEDGSPRYHGLGVWEGIIDGYDIMIRIRNTINKPVTVQNVTVN -TPLASVESIAVRKFIENMGWVIPSDIHSGRSTLFLNDKGLNSNSGVPIIVDEGFNVNIAEFYKLQLTLEW -TGRNLRCVAKLRKETGRGVNITVLSLSPKIQHYEYMAKYEKIMGKGTLGNHWLENKPLSHSAAVRIIRSF -NEHSSDEKNLIRANLKAKLQSQGISFRRKIDLIDLAFISKEEQDELLDDYMLFQMPLDLGDLNIVDFEEV -GASPEIVEFEDFELRNVEELADDIALEFEERTSPIEVIKREIWRLHPLFKDFSSLIISQIGRDAINKLIT -RREYNREIESYLPVFEIILEEDRSSWTLITPISMCELAGGDNSEDSE ->AGA82737.1 polymerase [Arbia virus] -MNELLAKQAPLRPGFSSGALIHYDDETLHLPIPDFFVRRSLEGILIDLSLDQLDSNSTVGSSLMPNVDVR -ATSIPNFIHNFTFGHLSSTTDQPFSTKFPILNDGFDDLTPDVIIQSTAGSFHVIEFTTFRGREEGARGAA -ALKIAKYEQACRNRSVGRNVGLHAIAVHRGGVWTNMIMSKDDVDELCYRMRLAEAIEADIQIVCPEYRMA -DEEATKLEREMLGIVSSIGMDWGKTERVFPSFKKAMFDRFRSNPPDQDYVKDVLEKVISKAQSDLISSSF -IGDNKSLSERLEMNRVECDKAVDSLLASLRNRSDLRGVNDRKSTVQIPPWLFYEGPEGKGLQPLRALMPE -GEHPMCSIWAKVCTSAVEETIERMDDDPESEKHFAMTGERTRDDERSRYHRVRVDLSQDEAEYAATLGVN -GKKYRNTQSVVDARTRSKRIFSPDHDTSDLEQFLLCQDYSDFEPDCCLFTPLLEDMELRLDAQVIHQPTY -THYEGENEFLANHRRVLETPLGSWTQMVSLIGAELSASVKQHVKPNSFVVKRLANSPLYMLIKPTSSKSH -IFVSFALDKTYWHRDLMASSIFKNYIDAGTMFITDFVSYKLSKLTNLCKTNSLYEASLSFWMESFGFCPW -ESGNIIEKPQSPSLREVVYMSKLSLLTMLEDKATTEELQTLMRYIVMEGFVSQPELPKPHKIVSKLPTKL -RSELQVFLLHRCIISMRRIASSPFRLMRYEGQINWSGLFNPLSGSEIRDVHPLISTCYNGYFKNKEEETE -PSALSAMYKKIIELEHLRPDTDDYLGWADPAEPKMHEFSRSYLKESIEHAKQFLERIYGRNVMDQIEQDI -IRELSSITLERLATLKATSNFNEDWYVYKDVRDKNYTRDKLIVKMSEYAAEGKSLAIEKFEACMSNIESR -GSMHICLFKKQQHGGLREIYVMGAEERIVQSVVEAIARSIGRFFSSDTLCNPSNKMKIPESHGLRARRHC -KGPVWTTATSDDARKWNQGHFVTKFALMLCEFTSPKWWPIIVRGCSMFTNKHMMMNLDFLRILDSHRELH -VEDEFVQTLFEAYHGNQDVPWLLPGCTFLKTSTGMMQGILHYTSSLLHTIHQEFIRSLTFKIFNMKVNPD -MSKQIVCDMMQGSDDSSMLISFPCSSDEVLTKCKVTAAICFRVKKLLGVYLAIYPSEKSTSCTDFVMEYN -SEFFFHSQHVRPTIRWIAASCSLPEVETLVARQEEAANLMTAVSEGGGSFSLAACVQQSQCTLHYMLMGM -GTSMLFSEYKKAIRKWKDPGLGFFLIDNPIAAGLAGFRYNLFKAITTTNLQKIYAFFMKKVKGTTAYHEQ -EGVIPETCSVSPGGALILSSSLKWGSRKKFQKLRSRLNIPEDWIDQINNMPQVLYRAPRTGLEITLRIAE -KVHSPGVVSSLSTGNAVAKVMASAVYFLSATIFEDSGRPEFNFLEDSKYSLLYKLAAYDGFNGVDDMEPE -DVLFLFPNVEEFHQLDTLIFNKGSLSITHRSSVREATQTRVVVFDHLQTSRCSPEKLVSDKWFGTQKCKI -GRTAMEQEWTKLKSTIRWLRDTPLETLEATPLHSHIQVRNFFARMEGKARTVRVTGAPVKKRSGVSKLAM -VIRDNFCKTAILNGIEDEIGLNRSVSAELSKHCLFSVLNGPYTESAKVSMVEKLLLGLPEVGINPPDRRS -RTNMIGVMQHYVREGIGTIRILEEIGAGTIGAYVKPQKSMKKDGKVIYYGHGIWRGTMDGIPVQIEVDGQ -VGLPPQMTRVLVSKTREPWILSHSIRAWADDMGVLNTKDMKGSVRGDVRHWMFNFKLFGSNHSYGCPLIV -VPDDLIDFRNIEDRDIFLKVRGSVINLFTRTKGVGRDLHIMSYSTSENDISSSSILALVNSQLDSCVDDF -SVQPSSSWIRCEPLPLEMIRPVLEVAEGMRLIRRIDSERLREIIRICTEAALRSKIGTIFTFVPISTEMA -APVDMSAMIDLMLEDDDFSGFQEVVDEIMEDMDISNSYEVDDFSSVDVHLFGPAHYKELSNLAAISHPLM -DKLIDAAISQMGKAGVRRLVETGRTGHKDLDISKLIFRSLGRDPASVVTDDFDLNLEYEVTDDMLG ->AJG39264.1 RNA-dependent RNA polymerase, partial [Wuhan Louse Fly Virus 2] -MTDFSGSSDDSPPRKSWADMMVDFEPEAQSNPRPGPSGYKKHDWESRDYSPTQSSSSETSQVSHSGGKRR -SRRELHKRAPRMTSPLTKEEQRYLEEFPLTGLPRVSSEPSKAGPVDSRTIKGMMGPIEDPEILKVQVDSK -VEEDITELMILPKPNIRAWAKEERDDPGKKKKRLRWAIETPKEKIPERIRKKMGDFAERTDEMDLDLLQG -LIKEVGDFIPNNDPRDLFIVQEGGERLMIPREMHSVSSGLMPRMTEVLIHNEPEKGFTIWPVVPRDTDLK -IVSDPVTLNEEDSQKVTHTYSIHPWLKGSDVSFQDFFDPDKKGIFTIENQHLSPDWIFRVGNRIYFFEYT -TCSYEISERAAERRLLEKLDKYAPIVHDYFRILGTLDAHLEMVLCVMLATPLNVYSNFELTPCSRLLSFR -VQQALKAKCLLEARGVRQKEDKVRLKNIEECKKLVEELDLSPLTTCKGQAYYNNLRAPLDREDVKISRTH -VKLAFERGIEDFKKDVITAEHQKASLEYLQKFKTRLYAETQECSLHMKAVIQVPLFYCRPTEPSINVKCI -EVTPVDTLTVMWKQAFIYAGLYPQKFTTEEKTIQNVVDSQVLKIEDNSKKDFRRVEILLSQDQAEEIAKV -GVKGKKHKGAKAVKDYRKEKKIPFSWSVEVSDIDVFMDMDEELFSPAGGPKSSWVKSFNKLLDKGRELSD -TTDLALKFQDKIFKAYQTSRLAAWLRIISDIGTELAISLRQNCKDQEYVLKKLKNYEIYLLIKPTSSSSH -IFFSIMTPESEILYYNNTTVCRPFKRSPEGLCYTDFISLTAEKLENWVNAESRGLSLVAGWADIYDLELL -GNFDLSQEEVYEAKGRGKLLTSNPQFAGVRKETLLSLLMSLHDKAKCDEAGDLIRYIACEGFRHFQMKRE -PHKMLEKFPQFCRSRLEVWIYKKLLKTMKMIILRPFSRVKISDTGEKRFAWRNLFHPLLHYPLASPRPLV -CNFYHHYAKNKNEKVGPVQECKMMKKLLKYEDVFDLNSKFLGERNKEDASYGFGEFSISLMRLIGTYMGS -VFKRKYGRYWRKEISSVVYDALWHLDIEETCTIKASSKFTDGVVHRGSDRPRVVQAIVSFMEKHGIKNTT -RIMDLLPFALAEVRKQGCLYVDLFKKEQHGGVREISILTGAERVLQLVVETISRTILRYFESDTLVHPLS -KKRIPTRHETTLNSIRNTTRMYSITSCSSDDATTWCQSHYGPKLGIIPSMLVDNALGALIMTICSAWTKK -LVSIPDQLMKSIIRHRSDEVIGSEMFNKMRACYNGQEQCKWMKTDSDYVEVQGGMLQGILHFTSSLLHTA -VQEFSREIYEKYVRNSFEGQFHPHISVMQGSDDSAIMTSICFKEKSLENFKHANSLAILMSRFKYRIGMM -VGIIPSKKSVKDSHMLMEYNSNFTVMSDTIVPTIKWIMTSQMIPESETLYSRQDNQYNLLSQLIEGGAST -LCASLVQISQAMLHYRLLGGTVSPIFEEVAETWLMHPDLTTGFFWIDHPCLAGVAGLKYGMWKMVRADNS -LMSRKLKHFIMRKQYNVTDLNPDFTFDEIMAISGAGVLSNSLALRFRNSSRLARIMRSLNLPTDYRLKAN -EHPEILYIREEMMLKDFDQLKLRLAIKMNTAGMIQSLGKGSSVARVIAASVYSLETLSWTNSSLQDGNKK -LSLIYRIYQDISQMVYKDPLTEDEICEIFPMFEDYLNLEHLVSNIDFTKASPRFTTRRRVQRELYVYDPV -VTSEKSLDTIVKWKWFGQKATVAKSVLERSWKLWKERIPWLEETHNLTLAKSPFKQDAIGLRNFIARSSI -KGRVLHLNHAPLASSRGNASRRLFFQQDFWPSLFLGYQEDDAIVESDSPLPQVLGYLGQLPVSEKRKTEV -ASQLLIQSPPIEVPDTCPSINNALHNLRLIQDIMKHLHFNRNLDHVDVIWKRMEEWRLGVLGGYSVVQQK -SCTGVWIGKGVWKGTIGGVSMQIFLDGMDISKVLVKDLSSFQQAFDMFRQWVYESRLRFLGSDTSRTKLS -EGEQLVGFVNRSQATVGTGKGSPIIIKAEHDFPSFDLRQDVDWSTCKILVTYFAVKLRVKLYTGNLCEEV -TLLTADSRQLLRRTSKLRPTQEMCDLRLPRLVNCVCRRPMELFQISRLYSDPQEINAWFIEFDLEPGPED -RARMMAFFSDSLKSELLDAGIHSGVELLDKILDVRDQDLQESVASSIRGESLGDDDADCVSSALRDKILM -EIAAQTPKIWKKKTRLLRTGSLPDSLDMDDTQPISVTSDPGLHLRWGSIESLKNPLMETPPKRSSTPDSE -RKKDSMFGSDSDDSSLG ->AJG39234.1 RNA-dependent RNA polymerase [Bole Tick Virus 1] -MNSDNKLASLRTLLLRTECGETGDLFIPQRGFFEVVADTLPVPRHRVSRVGKKININFETESLGEGGGSS -IVEAPEHSITLEASNTFIHDFTFHHLASETDMRFSAHFPRVNDDFDNHTPDVIMRDIHGRYAVVEFATCR -QDDYRVMQHMYENKIGKYEPACRSRSDAEHKIMLFCIVVSESSIISNLELRDVDVRELCLRFNTAVDVYA -YMTSKDIVPMLTEGETTSIGNSVQMAFQDIGFNWEVTESKFKPFSKDLYEGWFTPPDTEYVKRVVHDCVK -KANKLLVTDHDVTSSADRGKRLAENGIRAHEKVLEYTKIYHGKGKRFRGIHAQKSTIPIPSLVPAAVSEP -SVELKAGLSKPSIFPTTKDPVGSLWHEVFLKLARGDIARSDEARDVEEKFLQGDASKDEEHTAKALRSSF -HRVKIATHADDATELAKFGIEGKRHRNDPIVQENRQEKKKGFHLYTDISGLERFIDESPSKLIQHSSYYP -PKFITDILNANKASLDAHGFKNSRLGTIRQVEGFWASPIMRWATMASTIGVELAIANKQHCKTGEYIVKK -LRDYDVFLLIKPTNSTGPMFVSIAWHESDAKAYITDTGVFKRFHCQGGWCWTDFHSFKAAKISTLVKAVS -RVNNLYWFWREQYNLRPWIDITEEDGMKSMVKMLKISMMCMFEDKARTEELFTNFRYILLEGFVSEPCLP -QPAKMIEKLPEVARTHLQVWLIRKSLSTIESLSSEPWRATTDESKMKWTNLFNPFTRDPVEDPYRLINLF -YIGYLKNKDESGEKNSTPALVRKIIKLESQHPGRYEYLGKSDPPLDDMRTHEYSASFQKYVCDAALNILK -ATWGQSIEQTIHMDIXNAFGNLTLDRVSTLKASSAFDETWYKAEESKKYHRKKVVENMQKFIKPENTHVH -HVLKDCLETIEARGCMHIDIFKKNQHGGLREIYVLGPEERIVQLGLETIARQVCRRFKSETLTNPDQKTR -IPETHGRRAKACQTRDNPSGKIETIGTSDDLKTFNQTQHTTKLALTLIKFTKRELHPFIIRACSLFMHKR -IKLDDDLMQIIIKNAELKTTDEILDTLHKTYRGLIDPPPRWAKAGLAYIETETGMMQGILHFLSSLHHTC -IQEWFRMFCGVQLSAAMGHRRSGILVDVLQSSDDSAVLISYPAMDDKQIRKCRLITAQLLHMKKFLGEYL -ALYPSVKCTTNTINVLEFNSEFFFHNDHVRPTLKWIIACDQISEQEAIVSRQEEMSSALTGILEGGGSIS -LCHLCQYGQSHLHYSLMGAGVSFLFSRFLKEAQVYKDPSLGFFLMDHPFGSGLTGFKYNLWNQIKGGNLS -VIYKLFLQSVKEDVVPAQKSRVYKSLETTTCGALASSVIVRFGNRENWMALKKRCDVPEDWEDKMDAHPE -MLYRQAKTTEEVKLKVAEKLHAPGVSASLSRGDQITKIISSSIYILSRNVVSEGTSWMDSKTTVLGSKKP -LLRLLIERNKEQTYGETLLDDSELKTLFPMHNEYCHLKDVLADHMTVDGGPILTQRKTVQTRVVVVQKEE -ATKARPEDVLTDIWFSFKRSGLTRPVLDQAFADMQASIPWLSRTVEETLARSPFLHQHQLRNFISRMDYE -GRMVKLVGAPLKAKTETNIATVVTRNFFPQWQLSFSYDRQAWKSSRLVIGLKHFCTLLCAGPYTDIKKMD -LLQRTFFDYPTLSTTVGAGKSRTNTLALLQHFVKLKPDQVSGFHQEMRRANCGIIGGFSKPQRSRKRGHT -VEYVGEGIWEGTVNGNAVEIIVDTYRGKTNLKEVRVSTDEFARLQLCPFIRQWCRDMDVHNEWRVKAQDA -NFMLHNFELYTYGQGCPVFTSLSRTKCPDIPYDSMRLSIEGSCIALIHYTSERRRVKILSYNCRATDVSD -YAAGALMENMMAKPYFNQEPTQSWMWLRSINHTTLMIHKKAFDDPNKMQAIDKKRYREIIADSTICVMNQ -RGYTLHDISPSSISTAEEEAAMALMWDHDVMATLEPLMKEHDLRTLFGIGGGFTYDEGEPSSRVEDPEEH -DLGNIPGVDLDQIDISDSIDAEVFGELEFAVSAKTRFRHPQFVANTIDEVVKDQLTALDITTLLERRTIV -KGKENAAYHLMWLLGREDENIVVVAHTESLWDEGVRVSDAKSEEDVIG ->APG79359.1 RNA-dependent RNA polymerase [Xinzhou bunya-like virus 2] -MMDLEAGAKQLEGLKMKFASEEDPRGMTLSRYTWLETQGEMAEDRAMNLCEQEYVSLLVRERRRIVSDMK -ERKALVLSHDNFELQKEKYWNNIAEGRYCDKRVVNLPLFIADPSFNTGEHTCPLVNSYAFAEAALWTEIT -KNVIQDLVKFDKYDESEEQARVDREIDKQEIRPNKLKRQAYHRFEVRLNIDHQIELAKKGINGKDFEDVL -PVQQYQKERRNPLSKDCPLDDIEHWVMSGAQKFYMQMVGGTSLASEYIRSLIKKATLMHEYKYPVSIGDT -DEFYSTLGFRWHEIVSDIGVELAAAINQTTTKKQWILKKLPGYDLFLICKGNPSSSQVFFSLFLPKRGAN -RPYNVGPFRRMISVDSGWITEMCSFSSSKLHNIITASSMYLCCFSQWYRFFSGSPKRFFPPNRMFPTLAV -NMLVFLEDKSMSEEVITSWRYSEMEKLKCYIVTPEPEKMFAKMPTMFRSRLQLWLTKRVTETFKPERYTR -KMVHVKPDYEDEKNLYTTEEYDEFLKEDDLEVDVRGYIKEGEMRWFGCKNFIDNQPLDGPDKFIELMYIG -YAKNKNEEAESATDIKMISKILKCETEMQNVRNEMMGSKIFQPSDSYRMHEFCAPSLLAVAHVLKARLKS -VLGESWQDHICEDLNRAMMATTWEQLATLKASSMNYPDHKPAKDKRNRKITRRRKALLRIMDILEKHEQD -PISSLSKILDETEEMGGVRVDIFKKKQHGGLREIFVQVIEARIIQYFLELFPRIICKYIEEETIVNPENK -LRLPLLHAQAKSMMSQEMQIYNINSSNDATTWNQTNYVTKFSMLLCLLFPKKYHAFIIRGIELYRHKSIL -LPPGVLHILENLSTDKFSDETINLLLKAQKGECEQSFLDLDNYQLNVESGFMQGILHVNSSLWHTGVLLL -RNELFKRTMSSLNIDKLITTDLCSSDDSARLVTVGLKTLDKVKSLSDITWVVADQYVMESFYKRFGIILS -VKSAIATELAFEFNSEFFFGASLFRPVLKWVLAACSFVEVESFYARQETAYNLLQELIESGCCMHQARSV -QIAQAFHHYRLLGMNNNKANRLYKKALKSCPDPALGFFLMDHPLCPGIPGLRYNYWLALQQTPQLMLKMR -NLIMSKDITTTNSATITSCVAINFGNRVKWGRMLRDCESKCPDWRETIEKDPEILYRRTQSLVETQARLM -IKLTSPGVATSISSTQNLVKVAAAAIYILDHPCVKMGELWYESALVNEPSHLYKHSLTKIAQIKAQEIIR -PEEAPVEAEEFLFPLREQYEEFFNVLKTWSGDNKIVLVKAYKTLRHRSTMQVFAGIRDESIQVEDLVRWR -WFSHKLNLSDYIASELWDELKTQIPWLREDIKSTLEASPFKDHIQLRNFLVHTRSYDRVLSLVGVPFHST -SIQHVVVSMSSRNFAPGWKVPTCRLDLIRGELLKTGMVQHEIRMVTAGPFEEDKRTELCKGLLTKVNAMW -SGEKVSMIPMKLRILSVFQKFAQKQYKNSYDLLNDLRRCKRGVLGVFSTLGMKKWSEQDNQLCAKDAIWD -GDIGGTRCRLRFQDRKLLEVETTNAMALSRQSEIFNSLLKELKCSEVIMKKMTFGSYGAVNFKGTKAIIV -SAGSEGAQIIQTKSGIGGIPDIPDKLAHLSLNEFKIIASAHSLKLIACTKTWTETKLNWMTVLEYHCTNS -DLTNYPTHYNMIYDRRLEEPWVKGESISIKGLITLAHVSDPSNPNHKVFKKLGISSEQFLSLLRNSIERF -AKSHGILRSPHTEDRGETTQQSSLPTKEQIATAITKLRDLIQKANTIARIDKAIFSKYISGPEVLQEEET -DYGNVYEDVEIVRRGTLLENLVFDVKDLALFQVKSERPTYNVLANSHHLCDDFNMFMITEHRGFFKRIMN -AEYYESDPQELITFFKTVFSDKFYKQIDSAPLIDIDWLTEEPTQEEVEEREYEMMSEGEESLPPLEESDS -D ->API61884.1 RNA-dependent RNA polymerase, partial [Salarivirus Mos8CM0] -SWNRAMDSPRITGSHISLSDYSATTFRRYLPVDLTASLEKLSVLETIVYTALIGEQVSVPGYYGRPIPEW -QAFREIFTLEADWTSISKSARTGEWEWGITVPGEHVPEGMGTVSYHGARSELMMSRLRHDIIAYGIGLDS -TDVTQFPEGMGTVSYHGARSELMMSRLRHDIIAYGIGLDSTDVPLKRVFPDHKFEGNEGNRTPDAYYELR -GARYIVELGTSQATDPDVLMQEFTEKFFKYDGILSEIDCDKPIVFIVIVVGQYGVVSNHVFPDKVLRNLT -YHMRLGVLLERQIEGMGLKRLVRRHDNLSEVIKDGIMEQIVSIPVQTEGFTDPLDITNTFIESLETPADR -AKVVEYFNRELKKHSGKVDEMNDMEKTKGYDLREEYISKLTNQKSRTIPKAVLPVPFVLAPKSETHDQTF -VPFPMSTAGGICDPVMRLWQAASRNYNHRPADYLASKRERFEEMYEVDPEEQKKIQEKNKEKRGLAHRAN -IKGELAEEDLRLLALDGVFGKKFMSDMEKQVKYEESKRPWNWNTDCTDIDTFLSNSDIYTKYEYVNASPV -SRKTMRLLRHSLGTLGQKEDHIDDLESWFTSKVVCGLALIGDIIHELAISAKQYVNSTEVIIKKLAFYDV -YMLIIPTKPSEHIFFSLYFPGQEGLELICESPFRSVKKTADGGYYTDFCSLRADKFENPATIMERFLVSA -AYWSHHYGLRRFSPEDTKKNLNASIMSNVVLLISLENKHSTEEVITVTRYMYMEVMKTNTLISPYPSRLI -SKLSTCPRSRLELFIIRRILMAFDMMVRDGVRKVGKPETEDKSMMDPEEDVLNADHWIGLVNPYTLNSEI -SGSKVVMLFYLGYAISKNIVSQENSDYHTIEKAIREDRKFDPAEAHKSNGSWDEFEDTPQDKQFSVNVIK -YGVELLQGQMGKTFGKDYKAVIFDNILRRLSKHMTSDLASTKASANIDHVSWDNLPEADKELSSKKGRLK -VIEALVKEIGIFEHNPYLSLKTIINIVQLTSKGLICDLFKKNQHGGIREIYVLTIKSRILALFVETCARE -LCSHFDQETMTHPDHKLEVIEAHKARVNHFSAKTDRPCLEFHCSADKKCWNNNLVMSALAIPLFKLLPRS -VHGCVQTILNMWTKRLVKVPNGVLKLLLAGVQLSCPTYSDMLKEFDLPGSTGKRELFTSSRSPFAILRTG -MMQGILHYTSSLLHVAFLASTKELMLSSFKAFKVPVIPFIDQMCSSDDSATIISVVVDKNISHDQVKTVG -YFCEIMCQVLTTFCKYSCFTNSEKSTMGAPHQLEFNSEFIITNTLAVPTFKWLLASLTIAESESLYMRSV -SFYNLLSQVSSAGLPAYYTSIVQAVQGILHYRLLGSNTCFYFQHYADEVESYPDPHLGYFIMDNIYVPGA -LGYSYHHWLHCKTHKMFNLKKKDVLNGSLGFTPDGGITDQLVVHHGDSRRYHALLERMKGEDPDQDLREE -VNSHYELLYRQETTKREAEIKLKAKALTPGTAQSLSRGVAFLQAVSMSVYMLYTFCFSRYDSSFVNGIVE -RSVSKISLMGEIRRRKDVRYKDDYITQEEAEAACFPNHFRFLTYVNVLSPYSLAREVYVTPMRYKKSTIR -FPQATSSTPVDLFTLVKEKWFGHVNRYSIQNKEKSWGHYQSLMPWLNERIDQTLNDSPFTDHLSLFNFVS -NDAKSTRKFSRVGPAIRASFPIAQVNQLARRTWKDGVILQLGESKHASFSQFRDRRTSFGLALEIPNQRD -RELMVAYAAKNHPVSEEELQTVKGRHRREATLAIIVGVIQGMDNDIIKLAIEQMGHGLFLGWVTSQTKQT -TSISSKIITTWTGHGEMTLCNKELTCHVTLYDDKVVELKVNSIRAVSKYYMSVLAALAEQKLKLSLIPMK -NARGFDKKYSCHLTQKGVVLKGDGPGVIQATPVHPLENPDLSNLSFTYRVVKGKIILKQKLKGSSSSHTV -LEHSSLDHEFCASQSGEILDDVWMAWYKQAKLSFSLAEQTLKVYADRVREEMRLGDRYSSKGHLSQETTD -TRKFLASTLRARLRHKSYDSGYLPAIMKSKIAEDEKELDDAIPITEWLHAQDTDVMNKDWFIAMANIENI -SANVNYEEAQQNERVEDALEGTYTVANMDQFYESLMSHRPAIDLVTDRLTSKYSVLPFWDPFIEHVSAYN -PHAWTHLLAGREAAGLDPQLSQDIIWILLNTEASPMKVLGSKERRVSAEAIHAIYAGSISSRASARSLYS -SEVRKSVQNLCRQRLESLRELKSDPGLLSKVLEAIRGAHEEWVEKSVKEETSRSPLPVDYHNTTSAWVMS -DGQTLECGDSLTSTLDGVDKSQYGASEGSDDSSTATEGEKPIRRDWAIMCEEESFVYTDSMKLNVNNLLT -DAELKAIWMVFKMSQWDNSISDVDIVAVMYAMRHNKPELITDRPPLTSKFIYFCHIGSEESGHWVCFATG -SATADIMFFDGMSSSASADGNYDSAYKMFSVIHPGVSHEAIMRRRMPLQEDSTCGHVCAMWAIHYLKNKI -PTNDSVKEARLWVNNCLETKTIYFVRD ->AEL29649.1 polymerase [Leticia virus] -MDEILKKQTYDNDGFFSPELKHYDHDLFAADLPSFSIERETGRISIEIDLGSMNPSSTVGSTLTSSVSIK -DKDLLNLVHDVSFGHLCDSTDIKFHKKFGIKGDGYDNLSPDMIVELPSGRMVVVEFTTFRGGEKGCYSAA -RDKVSKYSLACENRSSRCPVSLFVIAVHKDGVWTNLDLSDQDVNEIVFRFRMSISIHEEVRKLCPEITDD -DSELSKTERELIGTLSMISMNWEETEKRFPHFSKKLFDHFRSEPINEEYISSIISKSLSDAQNGILKDSY -FQEGLNIEERKFLNVSECDLAVKKYVEEYDRHESIRDIFDSKSTVQFPPWVFMEGNAGKSLESLKSLNID -GEHPMIKIWHKVVQSATIEEIERMYDDPQAELEFALSGSITRAEQKNKYHRVRINLSSEESEFISCLGVG -GKKNKDTQASKDARKRSKLAFSLRHDISQLEEFICKDSRILFTYDDSLWCPLAEDYELRLSAQSIHQPTL -TSKHGPNEFLKNHVNLLKTPFMSWCQLVSITGAELSASVKQHVKQNQFVIKRLLNSPVYLLIRPTSSKSH -IFVSFAIEKSFHIMDLDRSSVFKTYYDAGDMFVTDFVSFKLSKLTNLCKCQPLMESAVSYWIESFNFNPW -DSSKLLSTDRSSSIRESTLMIKLSLLTLMEDKAVTEELQTLQRYVVMEGFVSQPEMPKPHKMLNKIPGVL -RSELQVYLFWRLVHSMKRISQKPFLISKKQGQISWSNLFNPLSGNDIRSLQALISSCYNGYFKNKEEETE -PSSLSKMYKKIIELEHLCPENMDYLGFEDPLEPKMHEFSRSYLKQCIDHAKQCLRKMYGHNVMDQIDNQI -TRDVASITLERLATLKASSNFNESWYNYKDVKDKQYSRDKVIVKMSEMASSGKTLAIQCFEECMSKIESR -GCMHICLFKKQQHGGLREIYVMGAEERIVQSIIESIAKSIGRFFPSDTLCNPGNKTKIPESHGMRARSHC -KGSVWTCATSDDARKWNQGHFVTKFALMLCEFTQPKWWPIIIRGCSMFTNKYMMMNLQYLSILDKHREIL -VEDDFVNDLFLAYHGEKPQQWIDTGSTYLKTKTGMMQGILHFTSSLLHTIHQEFIRSLSFKIFNLKVHPE -MAFNVVCDMMQGSDDSSMIISFPAKDDIFFSKCKMAAALCFRIKKLLGVYLAIYPSEKSTSNTDFVMEYN -SEFYFHSQHIRPTIRWIAASCSLPEVETLVARQEEATNLMTSVTEGGGSFSLAHCIQHSQCSLHYMLMGM -GLSSLFGEFKKAILKWKDPGLGFFLLDNPYCAGLGGFRFNLFKAITQTNLKQLYAYFMKKVRKGDEDSDF -ISHCSVSPGGAIILSSALKWGSKQKFIKLRSRLDIPDDWIERINDNPSILYRAPRTGEEIKLRIAEKVHS -PGVVSSLSTGNAVAKVMASSVYFLSASIFQDSGRQEFSVIEDSKYSLLQKMLKFESFSNVQRLQDDEMLF -LFPNMDELLSLDQLVFDRGQIDIAYRVSHRENTQTKITVFEGHHNLRIAAENLVSDKWFGTLKSKIGSTA -FDIEWNRLKSIISWLRDDSQESLESSPLTNHIQIRNFFARMENKPRTVRVTGAPVRKRSGSSKLSMVIRD -NFCKTGHIREFSDVKAMNRSFMVELLKHFCFCVLQGPYTNETKETLIIRVMQESEVIGTKESDGKSRTNI -LSIIQNFLNSDSNIIRQVEELGAGTIGGFILPQKSKEIEGKVHYFGKGIWRGVMDGSQVQVELFNKVGLP -PQVTSITTDEKIGIWDLAKSVKIWCEDIGAKNDIDMSKDTINKPKYWLHGFRAYTSDKPYGCPVHVSKAR -MTDFRLRSEEEIFFKVRKSTMNLYVKNSGRDVHIVSYTAHDNDLSTNCLRQNNDYLRVMREIFCKEPSSS -WAFCQSLPHAFIHKILDLCEGKISRPTIDNERLANLVRICTENSLRVKVGTIYSALPSYSDAYNNVDVDS -LVDLMIEDMTKSNFEEAVQMMREESNTEYDIEEFDVSDIDLFGPAHYKETSDLTMISHPLMDDFMNHLIA -KCSRKEIRRCLELHRCQQRFLSLFKDLYRALRRDPEDLKVDDLLSDSNTDIEDDMIG ->AEA30060.1 L protein [Mucura virus] -MCKHKEAQTMEEILNKQQIPEGVGLFRPEIKQYDDSIMDVEIPFFHITKCDGYMKIDLDLNNGVDYSTIG -SSLLSTIEVPDKSLPNLVHDVVFSHLASSTDVRFSSVFGVFADSYDHLSPDFILKTAAGSHHVVEFTTNR -GGERGAFQACKDKFSKYHIPCENRAVGGRVSLFVISVYVRGVWSNLDLTDDEVNELVFRFRLAVAIMEEA -KRYYPELTEDESEMTKQEREILGIVSSIQMDWKETSLKFPHFREKVFEDFLTMSADEEYLSGIISEEITA -CQEDMMESGFIGKVTGIDEKLKLNELECNKMIDSYLKSRGTDCREKLDSKSTVQIPPWVMTEYLDGKSVS -CLSGFEVEGDHPMCKIWRSVTIAAAMGDIDRADDDPKTELKKALEGSIQKSDERSRYHRIKLNLSNEEIV -YGATLGVEGKSHKNNAQVEESRTRSKLGFSLDHDITNLEEFINKHDLELFAESDDYFNPFQIDFDLRESA -QKIHQPDLIMDQGENEFLANHKKLCMSKLGSWCQMVSLIGAELSASVKQHVGKSQFVIKRVLNSPLFMLI -KPTSSVSHIFVSFALVKSSHMGDLWDSGVFKHYIDAGDLFITDFISYKLSKLTNLCKCFPLMESAICFWT -EIFGFEPWNSTYIMSTDRSGSSKEAASMIKLTLLTLMEDKAVTEEIQTIQRYIIMEGFVSLPELPKPHKM -LSKLPAVLRSELQVYLVLRSLRTMERISRHPFRLQKKHSQISWSGLFNPLTGNSLRELQPLISICYNGYF -KNKEEETEPSALSRLYKKIIELEHLCPTNDIYLGSGDPKEPKMHEFSRSFLKKCTDHGKTILRKIYGQNF -MQQIDTQIMREISTITLEKLATLKASSNFDEKWYDYEKCSKEDYHREKAIVKMSEFAASGKTLAIEVFDE -CMKFVERRGNMHICLFKKQQHGGDREIYVLGREERIIQSIVEAISRSIGRFFPSDTLCNPGNKIKIPETH -GIRARKHCKGAVWTCSTSDDARKWNQGHFVTKFALMLCEFTLPKWWPIIIRGCSMFTNKYMMMNMRYISI -LSRHKELNIEDEFSRTIFKAFHGEVTVPWMDAGATFLKTKTGMMQGILHFTSSLLHTLHQEFIRSLSFKI -FNTKVRPEMSQSVVIDMMQGSDDSSMMISFPCSNEDELMKCKIAAAICFRIKKRLGVFLAIYPSEKSTSN -TDFVMEYNSEFFFHSQHVRPTVRWIAACCNLPEVETLVARQEEASNLMTSVSEGGGSFSLSACIQQAQCT -LHYMLMGMGVSSLFEEYKKAIIRWKDPGLGFFLLDNPYCAGLGGFRFNLYKAIAQTPLKCLYSYFMKKVR -HGLETNDGTIPESCSVSPGGAIVLSSALRWGSKQKFYKLRDKLNIPEDWIDQINQNPSILYRAPRSGEEV -ILRIAEKVHSPGVVSSLSTGNAVAKVIASSVYFLSAAIFQDSGRQEFSILDDSKYSLLQKLSKLEGINLT -NAISDEDLLFLFPNIEDLQSLDSLVYNRGAIEIVKRKQIKENTQSRVIVFEGNRNLRTPAEYLISDKWFG -TQKSKIGRTAFDQEWAKVTSIIPWLRESPQETLQSSPLDNHIQIRNFFSRMDQKPRVVRVTGAPIKKRSG -VSKLSMVIRDNFSKLGFIRDIEDITGTSRTNSAELLKHFMFCALQGPYSQERKLQMVIDLLNKSNPIGIK -DSDGKSRSNILAILQSYVYREPHIARQIEDAGAGIIGGFVVPQKPKKIENTVYYYGYGVWRGVMDGKQVQ -IELDNAVGSPPVIISVTMEESAEPWQICKSIRSWAEDVGAKNNLDISSKIKKRTCKYWMFDFKTFSSDKA -YGAPVYMTKKKMVDFRLIDDAEISIKVRKSTVNLYVRNDGRDVHILSYSASDSDLSPASLRINDESKDEM -MELFNKEPSKSWASCSPIPAIMMHKIIKVVQGELKISSLDSSRLGEIMKLCCESSLRSRIGTLFSALPSV -QNTSRVDVDDLIDIVLTDSKTAGFREIVQSLENDIKDEYEVEDFDLSDIDLFGPAHYKELSDLNTISHPL -MDDYVEFCISTIGRKELRRILETNKCKTKDLQLSKDLFLVLGRNPDDIKVDEYNLREQMAVEDDMIG ->API68884.1 RNA-dependent RNA polymerase [Joa virus] -MDDIISRQVELHEGFNRRALEQYTEILLDTPIPEFSVSKEPNGITIGILVGDMRPNSTIGSTIKPEVKVS -SLKIPNFVHDFTFGHLSSTTDKPFISEFPSINDGFNFMSPDLILTTTSGSIYVLEFTTFRGGEEGAKQAA -ITKIGKYEVACENRSQGQRLCLSVISVHRHGVWSNLHLDEEDVNELVFRFRLAVSIFEEIKIVLPEISAI -DEDLTKSEREVLGIASSSTMDWKTTETVFPHFKQGMFENFNQEPNVEYISTIITKCLEESQRDIYDSSYY -SIESKADRLMMNLKDCERKLKAYTDSYSAMNSMRDKHDSKSTIQIPPWVTTYGDDGKGLEPLSKISVEGD -DVISAMWQKVCISASLGEIDRMNDNPEEEYEIAMGGLKDRPDERNKYHRVKIPMNDGEKRFLATLGVGGK -SYKDDPLVQKQRCRSKLAFGLDHDISALSTFILKDDKEIFIPDPRTYQPLSEDKRLRAEAVSIHQPTLYF -KEGQNEMTKNHFDILSSPLGSWTQMVSIIGAELSASVKQHVKPDYYVVKRLLNSGIFLLIKPTSSKSHIF -VSFAVLKSHVIGTVCNIGVFKSFYDSGDLFTTDFVSFKQSKLTNLCKCFPLYEAALCFWIECFGGSPWAV -VNSLDEDHLKESRIMTRLSLLTLLEDKARTEELQTMMRYIVMEGFVSEPEIPKPQKMVGKLPKILRSELQ -VFLLSRIQDSMTRISRSPFQLRKKDGMISWSGLFNPLTGNSISELQGLISSCYNGYFKNKEEETEPSALS -EMYKKIIELEHLKPETDKFLGWDDPMNPKMHEFSRSYLKEVCRHGKSLLRRLYGQNVLEQIDSQITRDIS -SLTLERLATLKATSQFTENWYIYKDVKDKNYTRDKLIVKMSEFAASGRTLAIELFEDCMKKIESRGSMHI -CLFKKQQHGGLREIYVMGAEERIVQSLVESIAKSIGKFFPSDTLCNPANKVKIPESHAGRARKHCGGPVW -TCATSDDARKWNQGHFVTKFALMLCEFTHPRWWPIIIRGCSMFTNKYMMMNLNYISILDGHTELDIQDEF -VNTLFDAYHGQIDVPWIRKGRTYLQTTTGMMQGILHFTSSLLHTLHQEYVRSLSFRIVSLKVGHDAGSRV -VCDMMQGSDDSSMLLSFPSSDQSLFLKFKVAVAICFRMKKKLGEFLAIYPSEKSTANTDFVMEYNSEFFF -HSQHVRPTVRWVAACCSLPEVETLVARQEEASNLLTSITEGGGSFSLAAMIQQSQCTLHYLLMGMGVSSL -FYEFSKAILKWKDPGLGFFLLDNPYAAGLGGFRFNLFKAITCTDLMRVYAYFMKRVKGAGQEDEIPETCS -VSPGGALILSSSLKWGSRKKFQRLRARLNIPEDWVEMINQNPEVLYRAPRTGDEILLRIAEKVHSPGVVS -SLSSGNAVSKVMASSVYFLSATIFQDAGKPEFSFLDDSKYSLLQKMAAYNGLTGVDDLEPEDVVFLFPNI -EELESLDSLVYDRGEIQIVNRSSRREATQSRVTVFDEVKTMRTSPEKLVSDKWFGTQKSKIGRTTFQSEW -EKLTKVVRWLRDTPEETLKSSPLHNQVQVRNFFARMEGKPRTVRITGAPVKKRSGVSKLAMVIRDNFTKV -GFLKEIEDLSGMSRGLLSESLKHIMYCVLQGPYTDEAKLVKCFQVLNKSPLLILKPSDGGSKTNKLAILQ -KYANDCKDVITSLEDLGAGVLGGFIKTQNSKVVDGQVHYYGIGVWRGLMDGVQVQIEVDNKIGQPTEMIS -VSLYGTSSPWEVSQNIRSWADDMIVKNNTDVSSKVKHGKFWIHNFRIFGASKPFGCPVYILNSPMLDLRS -LKESEVKMKVRRSTINLYTKTASGRDLHILSYTASDVDLSPMSLKNTKSDEIDSIMTVFSKEPSYSWIHC -KPLDIRFLEPMLDVCEGVRSIPTIDPCRLFNILKTCCESSLRIKVGTIFSYTPSLNEMTQVDLDAVMDLM -LDDIESDLFGGIVESLDQDISEPYDIEEFDTSDVHLFGPAHYKEVANLAMVSHPLMDKFVEILVEGMGRQ -ALRRSLEHGVCQSRFKSHVSILFRALGRDPRSLRVEEIFDEMDSAPVVDDMLG ->AED98383.1 polymerase [Salobo virus] -MDSIISKQQPLEEGFNRRPLVDYDELLLEVPLPEFSVEKSGDGLVVNLDLNNMDETSTVGSTLKPDLKIK -ASKVPNFVHDFTFGHLCSSTDRTFMSYFPMVNDGFNHLSPDVIMATPAGSTFVVEFTTFRGDSNGARNAA -MLKIGKYEVACQNRAIGGRLMLAVISVHRHGIWTNLELEEEDINELVFRYRLALSIFSEIQIHCPTTSAD -EDELTKLEREVLGMVGNISIDWGKTSSAFPSFNKELFDNFAREPDSEYVSRVISNSLKKSQDKMFKSSFF -DVDDKDERVEMNCAEAETKIKEFIDKYNSDNPDQRPICDHKSTVQIPPWVTLEGPEGKGLSPLKGTVVTG -DHPMVGIWQAVVRAADSGEIERMDDDPTLELEMALDGAKDRPDERNKYHRVRIVTTPYETEYIAMHGVNG -KEHRDKTLVKMNREKSKKAFSLNHDTSDLDRFIKDDSTRIFRCAPDLYCPLSEDEELRKEAMSIHQPTLH -YKEGGNEFTEVHNNLLRTPLGSWTQMVSVIGAELSASVKQHVKQDFFIVKRLLGSEVYLLIKPTCSRSHI -FVSFAVKKSHVDSFITVDGVFKHFVDAGDMFLTDFVSFRQSKLTNLCKSFSLFESSLAFWTECHGGKPWL -MIDPEIMQELPDARVMTKLSVLTMLEDKACTEELQTIMRYVVMEGFVSEPEIPKPQKMLVKLPKILRSEL -QVLLANNFFNTVRKIARKPFLLRKKDGNIQWSGLFNPITGQPTKELQVVVSACYNGYFKNKEEETEPQAL -SGMYKKIIELEDQRPASDEFLGWEDPEDPKMHEFSRSYLKEVCRHGIQLLKRVYGQNVLEQIDDQITREV -SSLTLERLATLKATSQFTEDWYVYKDVKDKNYTRDRLLVKMSKFAMEDKTLAIEKFEECMSTIESKGSMH -ICLFKKQQHGGLREIYVMGAEERIVQSIVETIAKSIGKFFPSDTLCNPSNKTKIPETHGYRARQHCKSQV -WTCATSDDARKWNQGHYVTKFALMLCEFTHPRWWPIIIRGCSMFTNKKMMMNLKFMKILDTHLELDTRDE -FSQKLFKAYHGEESVPWAEAGKTFLKTTTGMMQGILHFTSSLLHTLHQEYVRSLTFKIFNMKVGEDYGSK -IVCDMMQGSDDSSMLISFPSENPEALRKLKVAAALCFRLKKELGIYIGIYPSEKCTSNTDFVMEYNSEFF -FHSQHVRPTIRWVAACCSLPEVETLVARQEEASNLLTAVSEGGGSFSLAAILQQAQCSLHYMLMGMGVSS -LFKTFARAAIKWKDPGLGFFLLDNPYSAGLGGFRFNLFKAITRTNLMRVYAYFMKKVKGDSSTDEVPESC -SVSPGGALILSSSLRWGSKKKFFNLRARLNIPEDWKDRINAKPEVLYRAPKSGEEIILRIAEKVHSPGVV -SSLSSGNAVSKVMASSVYFLSATIFHDTGRPEFSIIEDSKYSLLQKMAAYEGFNGHNDLEPDDILFLFPN -VEELESLDAIVFDKGRIQLRNRSSRREATQSRVTVFDEVKTMRTSPEKLVSDKWFSTQKSKIGDTAFKIE -WAKLKAVIKWLRDTPDETLEASPLDSHIQIKNFFARMEGKSRTVRITGAPIKKRSGVSKIAMVIRDNFCK -SGFLAGVEDVAGATRGLLSESLKHVLFCILQGPYTDESKLMKCYQVLQNTTPIELKPSDGGSKSNKVAIL -QRYSSSEENVIESLEEVGAGVLGGYVKAQKSKMVNGTIHYYGVGIWRGLMDGVQVQIEIDNRVGAVPQIQ -TVSIHGSQSPWEVSQSIRMWADDMGVRNDQDLASKHKNAKFWMHNFKVFGPSRSFGAPVFILNKPMYDLR -TLKEDQIKMKIRRSTINLFTTTPSGRDLHILSYTASDSDLSPISLVNCNAKEIEDIMTTFTKEPSRSWIK -CKPLELKYMESVLEVCEGKRRIHTIDGERLGVIIKTCCESSLRTKVGTIFIGAPKHTEMPQLDMESIIDL -MIEDSENNVFEEVVRSMSDDIGTFPLDDEFDVSDINLFGPAHYKEIGALAMVSHPLMDKFIDYLINNVGR -SPLRRVLESGVCQSRHKTLCCLLYRALNRPVENLRVEELEDEENSEPVEDDMLG ->ACG58343.1 RNA-dependent RNA polymerase [Rice stripe tenuivirus] -MSTSPLVIPVHVHGRSYELLAGYHEVDWHEIEELEETDVRGDGFCLYHSILYSMGLSKENSRTTEFMIKL -RSNPAICQLDQEMQLSLMKQLDPNDSSAWGEDIAIGFIAIILRIKIIAYQTVDGKLFKTIYGAEFESTIR -IRNYGNYHFKSLETDFDHKVKLRSKIEEFLRMPVEDCESISLWHASVYKPIISDSLSGHKSFSNVDELIG -SIISSMYKIMDNGDQCFLWSAMRMIARPSEKLYALAVFLGFNLKFYHVRKRAEKLTAKLESDHTNLGVKL -IEVYEVSEPTRSTWVLKPGGSRITETRNFVVEEIIDNRRSLESLFVSSSAYPAELCSQKLSAIKDRIALM -FGFINRTPENSGRELYINTYYLKRILQVDRNIIRDSLRSQPAVGMIQIIRLPTAFGTYNPEVGTLLLART -GLVYRLGTTTRVQMEIRRSPSVISRSHKITSLPETQKHNNNLYDYAPKTQETFYHPNAEIYEAVDVKTPS -VITEIIDNHIVIKLNTDDKGWSVSDSIKQDFVYRKRLMDAKNIVHDFVFDILSTVTDKSFKGADLSIGGI -SDNWTPDVIISRESDPQYEDIVVYEFTTRSTESIESLLRSVEVKSLRYKEAIQERAITLKKRISYYTICV -SLDAVATNLLSLPADVCRELIIRLRVANQVKIQLADNDINLDSATLLAPDIYRIKEMFRESFPNNKFIHP -ITKEMYEHFVNPMISGEKDYVTNLKSIIDKETRDEQRKDLESLKVVDGKKYTERRAETALNEMSQAEEQY -RSYFENDNFRSTLKAPVQLPLIIPDVSSQDNQFSNKELSDRIRKKPIDHPIYNIWDQAVNKRNCSIALGH -LDELEISMLEGQVAKKVEESYKKDRSQYNRTTLLTNMKEDIYLAERGINAKKRLEEPDVKFYRDQSKRPF -HPFVSETRDIEQFTQKERLELNEESGHCSLINVEDLVLSALELHEVGDLEHLWNNIKAHSKTKFALYAKF -ISDLATELAISLSQNCKEDTYVVKKLRDFSCYVLIKPVNLKSNVFFSLYIPSNIYKSHNTTFKTLIGSPE -SGYMTDFVSANVSKLVNWVRCEAMMLAQRGFWREFYAVAPSIEEQDGMTEPDSVCQMMSWTLLILLNDKH -QLEEMITVSRFVHMEGFVTFPAWPKPYKMFDKLSVTPRSRLECLVIKRLIMLMKYYSENPIKFMIEDEKK -KWFGFKNMFLLDCNDKLSDLSDQDQMLNLFYLGYLKNKDEEVEDNGMGQLLTKILGFESAMPKTRDFLGM -KDPEYGTIKKHEFSISYVKDLCDKFLDRLKKTHGIKDPITYLGDKIAKFLSTQFIETMASLKASSNFSED -YYLYTPSRRLKNQEQSRSKHIIDAGGNISASVKGKLYHRSKVIEKLTTLIKDETPGKELKIVVDLLPKAM -EALNKNECMHICIFKKNQHGGLREIYVLNIFERIMQKTVEDFSRAILECCPSETMTSPKNKFRIPELHNM -EARKTLKNEYMTISTSDDASKWNQGHYVSKFMCMLLRLTPTYYHGFLVQALQLWHHKKIFLGDQLLQLFN -QNSMLNTMDTTLMKVFQAYKGEIQVPWMKAGRSYIETETGMMQGILHYTSSLFHAIFLDQLAEECRRDIN -RAIKTIVNKENEKVSCIVNNMESSDDSSFIISIPNFKENEAAQLYLLCVVNSWFRKKEKLGTYLGIYKSP -KSTTQTLFVMEFNSEFFFSGDVHRPTFRWVNAAVLIGEQETLSGIQEELSNTLKDVIEGGGTYALTFMVQ -VAQAMIHYRMLGSSASSVWPAYETLLKNSYDPALGFFLMDNPKCAGLLGFNYNVWIACTTTPLGEKYHEM -IQEEMKAESQSLKSVTEDTINTGLVSRTTMVGFGNKKRWMKLMTTLNLSADVYEKIEEEPRVYFFHAATA -EQIIQKIAIKMKSPGVIQSLSKGNMLARKIASSVFFISRHIVFTMSAYYDADPETRKTSLLKELINSSKI -PQRHDYLQEPHTLKPTKVEVDEDSWEFKSAKEECIRVLKQRIKIHTGREERSISLLFENISKSMIGRCTE -QNDVRENVSILACALKMNYSIFKKDAAPNRYLLDEKNIVYPLIGKEVSVYVKSDKVHIEISEKKERLSTK -LFNIDKMKDIEETLSLLFPSYGDYLSLKETIDQVTFQSAIHKVNERRRVRADVHLTGTEGFSKLPMYTAA -VWAWFDVKTIPAHDSIYRTIWKVYKEQYSWLSDTLKETVEKGPFKTVQGVVNFISRAGVRSRVVHLVGSF -GKNVRGSINLVTAIKDNFSNGLVFKGNIFDIKAKKTRESLDNYLSICTTLSQAPITKHDKNQILRSLFVS -GPRIQYVSSQFGSRRNRMSILQEVVADDPTLHWPDQDTSQKQLEEKFRELAHKELPFLTEKVFHDYLEKI -EQLMKENTHLGGKDVDASKTPYVLARANDIEIHCYELWREYDEDEDEAYQAYCSEVEAAMDQEKLNALIE -RYHVDPKANWIQMLMNGEIETVEELNKLDKGFESHRLALVERIRVGKLGILGSYTKCQQRIEELDGEGNK -THRYTGEGIWRGSFDDSDVCIVVQDLKKTRESYLKCVVFSKVSDYKVLMGHLKTWCREHHISNDEFPTCT -QKELLSYGVTKSSVLLYKMNGMKMLRNMEKGIPLYWNPSLSTRSQTYINWLAVDITDHSLRLRNRTIENG -RVVNQTIMVVPLYKTDVQIFKTSPVDLEQDVQNDRLKLLSVTKAGELRWLQDWIMWRSSAVDDLNILNQV -RRNKAARDHFNTKPEFKKWIKELWDYALDTTLINKKVFITTQGSESQSTVSSGDSDSAVAPLTDEAVDGI -HDLLDKELEKGTLKQIIHDATIDAQLDIPAIESFLAEEMEVFKSSLAKSHPLLLNYVRYMIQEIGVTNFR -SLIDSFNQKDPLKSVSLSILDLKEVFKFVYQDINDAYFVKQEEDHKFDF ->APG79324.1 RNA-dependent RNA polymerase [Shayang bunya-like virus 1] -MNIVRDFDVSHAPHPYVQTATMLRLPPCDVKVTGSFEFEFRVLPFEEGAGVIQRQAGSTTLREQVRQVVG -ADNIRAFKHNFTFEILAQHTDVSLSRIFPGITMIGGEKTPDFAVHRPESLIIIEFMTYHMHEVPQSVWRL -KSRKYDTYIQERVKEMERLSLTRRVKRKYAYHTIIVTPTKSYSTIELDQDLVNELCARYRFTQQVYEQLR -SSPSGMIPPTDEETERETRLTYETDALRSMDMRFAPKDTFGMTEQRYLDLMNEKESDPVMREREMFLEYE -RLRSSKEREVIDDLKNGRTVKFSEDEYNEFYENWKKELATDTRKHLKCVSNYPLFCVDVAKFDGSQDLFN -FRAGPYRDDMPTEVWIWGSMTRNLTHAVRFDYLDEPTIERRISESSDNFTKVKRERAQHRRFICYPMEEQ -AIDLAMKGVHGKRFANHPVVEKNTIEKKKPLDFDIDVTDIEEWIMVEAQKFYSKEVGELSVATCLKRELI -EHSTSVHEAPLPISVADHEYFYYTQGFRYHECLTAIGLELAAMIQFNQKEYEWIVKKVPDFDIFIIARTV -NSSKTVFYSLAVPKRGNNQVYNMGPFRKWMNGENFWCTEICSINESRLQNLVTSNSIYINAFAQWYRFFG -GNLYNYEPPVTMWPTFAFQMLIALEDKAMTEEVVTLWRYIEMEKLKSRFAIPKPYKIFKKMPTIFRSRLQ -IWVVKRLIPLMKPTRYDKRAIAIRQGATDDEQELSSTIESNLPEEEERIFDQLGIVTEGQIVWTGCKNFI -DGRPLSGPEKFMEIMYIGYQKNKLEVSPENQDAKMVAKILKCEEQKKECRNSHMGAFEFYRSEDFKMHEY -CGKSVVYVADKIKGVLKQVLGENWQNMISNEVERELYALSWEKLATLKASSMNYPGVIKPRTKQGRIVLP -RQRLLERIINHVCKNDSSLLSQLPSIVEYLEAMKGIHADLFKKMQHGGLREIFVMIMEGRLVQASIEVFA -RVVCRYIESETIVNPDNKSALPIKHAKMREMKKEGRSVYTCNSSNDATTWNQTNYVTKFAMLLCCIFPSR -YHAFIIRAMELFRHKHILLPPGVIHVLEEHANTPFTNEALKKLVYFAKHPRECDFLDFETNEMIIETGFM -QGILHVNSSLWHAGVLLARESLFRLSLHNKQISDDIISTDLVSSDDSARMVTLLAPNDSNIRYVLEAMSH -IVSDQQIMDVFYKRFGIILSEKSATCTEHTFEFNSEFYFGASLYRPVVKWAIASVAFHEVESFYARLEAF -YNLLQEAIENGCSITQARSIQISQAFCHYSLLGLNNSEARLKYVEIIKKTHDPSFGFFLMDHPYAVGISG -LKYNYWLTVKKNDILRNRINKLITNKEITTTSSATLTTCVAINFGSRIKWRKIVKRCEKTIPNWEEIIES -KPVILYTRPEKKEEILARMMVKLTDPGVTNSLSSTTSLTKMAASAMYVLDHPCVKLGSLWYESVLTDEPN -KLTRLSLIAAARLMAEEDKDICEFNREPEVFPLYEQYAEYHQNLSAWCEDMEMLQLSPLLSTYRHRTKLL -VFTDMSESTISLEDTCKYKWFGEQNMYSKDLNDEIWEDYKKRIPWLRDTADDTLHASPFKNHIQLRNYVA -QETSKTRCLNLIGSPFFSSASHSSIIAMISRNFAPGRKVPTSKVDLVRGKLEEIRSIQHALRMVTCGPFL -EPRKLSITKMLLRSVLPVWKGEAHGIIHTKLMILSVLQRFAQGDYTNTLDLLRDIRKSRRGIFGFFTKFG -IQYKERKAERAGPVTWDGEIGSVRVRINFLGDKLQSVYTNDLHRFYQQEVAFLTLLKELQTTGIISDPSM -DDRRGVVEFERNAFKISCASYLGAPIYVVDSRSAMKLRDLTDLISKFDLSSLKLTVTAHEVKLKLQDPER -VITSEYKIPQKKPEYTVLTYSCTDSDLCKRSVVLPEELGLTTFEDCWIKNLPMSADLMMNMIRKLMTEGD -DTLTRMGLHKEEFTSILRMHAKRLMEQRGFIPKTTTLSDEVATVSDEEVIKFIQGLSEYIHISDMDISSI -MRNVTEDVLEPIITEEEELLAQMDAIAEQEVKEKKARRLYGAYETLTEEERLIAQHTEVLGQTYLEGLMV -DASAINLYKVKDERLKMRSVLTFHQILDTFIYTLESEHRKSLERFGKLKYKAVDDPELVRIFEFLLEKPF -SLDTEPQESPENILESELALVQAQLEAELGGEVDWAEIVARDEEVRAQSPGSSILSEDDYDVDEPDEPYF -WNVKSSDDESEDDTQ ->AGC60107.1 RNA-dependent RNA polymerase [Bhanja virus] -METRIQDTRINFPRREELTAEGILYHAQLDDTTLPAFSIQETESSLSLEIDEVPDSVSQIGSSIALGATK -IEVGQISTLVHDFTFAVVSEDTDEPLSRHFPVMNDGEDHLTPDMIIDVTQTERRVVEFATHRGAGHRGVL -NYFNQKMEKYKRPLALRKERTGWHITLSCISVGTDHVVSDLRLPQNLVDELVYRFRFACAVMAQILVAFP -GLAPQLSEEVTQNERRGIECVELLTQNLVGDGPGPKTGVFPLGEKEILDNFLMGSEDGEYLKTVFTRTFN -DSLKEIRHEHVPEGMHRNGALRKNQGEALSQMTDLQEEMLADYSKQSRAPPENKYKSTIKIPPWLIPASK -RDINVCPDPDDLDGLSDETVTSRVWKSALTDTFFSGNPGWQENIDREVSLASGILITEDAENLKTEYHRT -KLNLTSQDWVALAARGIEGKALRHEDTVKTADEESHQTLSPLSDTSGIESCLESNNWFHQARSQKEHLFQ -DLDQLIEASLEVSCESQGLRDSVLTTFKNYRNTNAVHWACMVTQLATELSISAKQSCKKHQFILKKLPNF -PVLVLIKTSRSGSHVFYSLAAKASDITGELDGQVFKNYERSGGWFITEFNSVKLCKLENMIKLPMLIISM -LAYFQETEEKLVTKYSRPTLFTRKMTTLAILTCLEDKSLTEELITIQRYIQMEGFVSEPLLPKPQKMLEK -LSVPLRTTLQVYLFKQCMSSIRIISGSPFKRLSRGGEVVWRGCFAGCLGISCSPEQMVNSWYLGYLKNKD -EDTEVNMLSAMYEKIVKVEEKRPEKDDNLVGGDPINPKMHEFSGSFIKFMARALDEEISQRRGRNWRQDL -TAQFYRSLGSITLDQMATLKASSNFSEEWEEFEESRMKEYHRVKVIERIADLVNQGHTYYTDCLGRCFKV -VLEDGCMRICLFKKAQHGGLREIYVLRLEERMIQFGIELLARKINEVVGHETISVPSRKEEILDTHQVRA -AKACGEGTMITLCSSNDARTWNQGHYTTKFAFLMCELMPKELHGLIWASCAIFRRKKMMLNLDYLNSIAK -HKEGLKGFKKRLHDGFSGNDEVPWIKKGKTYILTETGMMQGILHLTSSLYHAAYQAAVRKLIRTKLKTLV -GCRILIDVIEGSDDSAIIISGAVKSGEEEIRFRLAASACLLWVKHLGVYAGIYMSPKSTIGCLDVCEYNS -EFRFARLMCRPTFKWVCASLNIPEVEKISDRQEAFANLLTSVLEGGGTSSLCSILQMCQAWCHYILLGLW -SSCVFRSIIPHLISGKNPDVGFFLLDNPVCSGILGFRHNLWRFVRKTELSNIYARTLDNRALDGFVLTSG -GSLSKSHLIRWGDRKKLEQMKERSGLVENWQEQTDDDPSVLYKNPSTNKEVKLLLCIKIDSPGVAESLSK -GNVLGRVLASSVYVLQRRCITAKRSKRKYTLMELALEQDPNYRALTLAEESAIFGDIVSLERNETMSQIY -SHANGVLIAKSREMRRAKVEVLSADESFRAPPVKIMGDVFFGTTRSHMGANMLNRELHYLKESFPWISND -PHDCLFQSPFSSQAEMKTFFEKLEQKTRKVRMIGAAVFTRMGQTSLENLIRFNFQKNFELTKSDVSDSDY -SDEDEKFCRHVVTMILSGPFTNERKECMLIDFLKNSSLGPPKQLLRRSRTNVLRVVRSWLEGHARIEELV -EKSMDGICGAFTIRQKVFKDKKGNVSYKGLGVWTGRIEGTDCKLQIESGFASDQILKQVVIASDRSLSDF -LPGLERLCKELKVSNPRKPFPVKEAERQNFIGALVDFRLESRFSRAGAPVLLVPQHEGFLKEMMDRSDIS -LMVRSDVLNIRADLGDGRLITMLSYKSSGQDADSEQGNQFFSRRESLSKRFGWAIREPYLSWISLGPIPD -VLVPRLKEEMTGRRRTEGIDGEQLRDIFKRCCKGSLRRKGLTVGQYSNVRLRIEDTSEEVDIDLLELLDE -DVDFGDTLQSQNIQDLEASIEEMEVLFGEDDLEVFDFTEVISRSDPLAYHGFCDRIIEDYIKRLGHEAIR -KAIQKRECAQSDYPLVKNLFESIGENPDELSVLPDKDDAYASSGLITEDLWG ->APG79293.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 1] -MDLVGMLNNLNLPKPFTYHIPDSVLYHKMNVPELPSWDVQPVNTIIGVSQFNLKFPDLSPDRPGYSLNDE -KVYTLNEKFNWNHDFTFEALAVSLDFRLDTVFQKKHDKGDAVTPDFIFTNQDGVVYVVEFTTNRSSNKEA -LVKSYEKKKIAYEDFLRERASYSKPVVFIIMVVSRDYVLTNLNTISQFEIDELCYRFLVSLDIRSKLERL -GLLMTEKKENESVKIKKLKTIMSGVKVTKENLENYEPFNLEFVESLYKDPDTGYIKNTLEKIVKKSLNNV -DNQGHQLFGEDRYNEMVELSKGKGVELIFMNKENKYDGLLQDEYTKLVDSKIQSYELSSREGNRRLNDDS -KAIILTPFVLVRPTSDCSGEINDKINDLGPVFEDSTLNVWNLLSEKVVFKEYRDEEETADEIMQRMRQES -SSKESAREYSKRKYRRVQIDMTDEDIVELGKIGIEGKKLIKSKNKQVISYREAKSLPFSIDAKGDDVDDL -LLKHKEVFQYIPNETIHMKLVLRDLRDSSAIHHEQATEKNMKIVESILNTAIGNLASQISDIATELCISL -KQHTKSGEFVLKKLKGRDIYLAIKPTRASEMIYFSIGWKNSDVIGSVDSTVFKKVKTNGIFSFVDFVSLD -AAKLSNWVKAESMLIAIVSFWSEFYSAPLWDTSEPINKVRTEVLQMSILSFLIFMHDKSCVEQPITLSRY -IGMEGFVTMPSFPNPQKMLDKFPNHFKSRLEVWIIRKMLKFIHYIGSGNVFMEKETKIMANDGTVGISKV -WVNLLNPFLGTVLHSPDQVINLFYLGYLTNKEQKQHLNTAANIYTKIIEWEENKPKTYDYLGLKDPDFDE -IQKHEFSASFIKRACLVAKETLRKTMGVEWEQALEDDILNDIIHLDIESFSTLKASSNFDESWHNFISKE -DFNKMIKKQHVADLPEGLRTQINELPKTLTETERKQKLIKILSSFNYKPTSFYSRSKVIQNLNGIRKDKV -DIFELIEDALSHCTNNGCLNIDLFQKVQYGLREIYVLGINERILQLIIERISKTICGKFESETMTNPRNK -LNIPSNHTKLASSNFNRKFLTVSTADDAEKWNQGHFVTKFAILLCSLLPRYFHGFVISVLKLWMHKRIMI -DPKLLEYVHQMDESKFYDEKVGSFFKVLKGEVKADWYIPNKTFIETQTGMMQGILHYTSSLYHSVLLNYV -SHLTMNLSRNKAFRLSTSVLVSHMQSSDDSAMLISGGASDDKGSINFLKFARVCFYIKDFLSLMFGVYRS -IKSTSQLLKTLEFNSEFFVGGNLFRPTLRWIAASLTMSEQECVSARQEQFSSLLTQVLEGGGSLLTCSLI -QWAQGLLHYRLLGSSVSKVFLHYSNYMNISKDPSLGFFLMDHPLCSGLLGFPYNLWLTVRKTQLGKKYKF -YVMNLKNASDYVKEGRKKLYTLENTQSGAFLHSTAIMWGNREKFKRFKESLNLDEEWLEKIDESPEILYR -KANNKVELDLKYACKVYSPGVSESLSKGNVLSRVISSSVYILTEDVLHKNEGWLNWNEEDHSKYSLLRAL -IEDASLLGSGESVDSISTKDLQSIFPNHFEFEKANSLLNSLSEYSMTQKPIRESKNRVKVEIYENDYLQV -MKPEHLIMWKWFGIDNLGASIRVKEYYWGELTTTYQWLRSTPKETLKDPDCPFIHHTQIRNFFSRLDRKQ -RVVNLTGTPVSGFYGKAYFMSVISNDYFPGYKINPHGERTHSASDSINSLSHCLRLIQIGPYAYEAKSKL -VTECLRNWEDIETTVVGRSRKTMLSIMKEFCSYNSGRTVTNSHQVRKLVDRVIVQKMGTIGYYDIRQKFK -ENRYIGRGKWNGLMDGVNVEINMMGDENCNSITSVIIDDTRHLDRTFSLLKQFCRDTNVVNKKVVRDRFS -PRRLSHRRVGFLSNFHLTENDEYPPIYCDQQMKNIPECEDLSLSLKLSNFGLNLMASFDKGSLSGRAYET -KTYSIFNMTLKDSDVSLMTNTTTIKRNIDCSKSPLKEWLLLQSMGHQNLTNWVRYVNNSKDKRFTKIFTD -NEIFRTLLSCTLSRILGSVLQTESNKDIPKEKTQIDTIEVDDLDLTSSDSEDILDLDIDFSDGEFDYLIE -DAQDKAPPQGELGYFDEFSGIIDSVFLSGPDSENSMADLFVDVEKKACSLGVLSYHPFLDDFINQIIKDT -NRATTELLFKNNIYTDVTENLVDVIASLKGIEMSDFKSYKEYMKELKRSEEPNREDVYG diff --git a/seq/clusters_seq/cluster_30 b/seq/clusters_seq/cluster_30 deleted file mode 100644 index 9e7d76d..0000000 --- a/seq/clusters_seq/cluster_30 +++ /dev/null @@ -1,2929 +0,0 @@ ->YP_009553501.1 polyprotein [Nephila clavipes virus 1] -MSCIISCQCFDRFHEPEIQALKAFLNDTPKVSFVRCEQQCSHFDCLSVDRTFAPAPRVSPWAVDSSPLQF -ELEGRDRLLRRRELARARLEEARKPRFRVPLHSWRLPQGIFLQYDVSEAHEKLGERNLARFRSWEARASR -FNRPVPTTPKKPAFLEIAGISCPPIRGKWLPYMRKSGETRLDHHRRVKDMVAAIKLGRQLREQERREELD -PLAVLKMELAEEGLRLCSNLFEPLREELLEGQASNYVWPKTRVGRHVYTAKVVDVRHQQWCERNLKRKLE -LAQFQEAFVNSRALRHKRFRSVVHIDSKTHTCWSLKSRMINMFREMVEQNPEVFLNDSVQGEMDDGQKNA -HGEKNEPKSVQKSNVVLEQQEASSVSKALPSTKAEDEYSCFAATSTPKTTESYTNRWITVHQGVWPNNKK -MNDELFKLVLPKDVLENKKFQNAPNYAPFRVNTLWRGNMKVMITVNSNARQYGQFQVRFYYGAYFDKNSD -LRDNIFIGSQMPHILVNASTSNSGELNIPFEYFNPLLSVTKGEQTWDTPPDDGLAMNLGTLYAKVIVPLT -VASTTPGQCTYSVLIAFEDSQFNGLVDSRLIANSLKLEAQMFPIAAAFALTELQNIFDNNRDNPTLSFTG -MPVFPVNAGSWCIGNGLAEWTNVLRLNPQGQTPYAQGRVPSVDEMKIDYVKKIFGMFKILHWSVSQSPGA -LLYKFDASPLFTITDYPQMKSGSDTVLCLPPIAVLTSMFAWWRGSLKLRIDVVGGTELTGRLLVAYIPKF -YKDVSMDIAINCTHTIFDLQTTNRQFNFEIPFFSDKLFWERAKNLKDVYEQMPPPGTVYLFVYNELIPNS -TIPSDCELVLYIAGGEDFEVSVPALPVIGTGFFPTIERTPASVGRIVSKAGYFPVYIGTWDHLSALVPVY -RYGAVSQHIAQFTTNWGNLYKVAYIDPACKPDDTKHMYELNLRLTYGDSDIVDNNYRAICRYLIAIKNSG -YIYAIPLPIIKFSTAMANMIKPQIKSLQAKVDASRDIDLSRFSQWWEYLWGQLTAGEFLECMNPGFRPAV -ASRKDGKDLTAVEVRAFVSPSEYFTPSTALIADVVSNLVPTMEAQAGDEKSSQETKPSRPLKTACGRKAA -VQVFGERFHDLKDVCRRYQLYASGDVKVKEHHLVSRVIVLKFPAVPQGLDLVTKDGDVIRQYWNRTREGP -IPLVASGYRYFTGSLRFRVVFNSVLSDTQELTAKVFVQHRPDVYMDDFLVEERSQQDIGTDDIEHPGYAT -YHQIDTINNVICFEVPFYLPIVRSILQRPIDTFKKWHNLGQIAMGITLPQQANSLRYEIYYSLGDDARFS -VFCGFPPMIDITDIAPSKVSGAAGNAIDIACDGIFKSTRTLKGRATAINANTTFIKQNWRKECPKQDDDD -DLTSEFEEVQGEMFESVWNYLPGNNMSRAADVVSKIDPEKANAAFENISETTSLFSKFKDTVMNVVPTFD -SLKHRIEKYMSLIVNVVTNFIHCLLHLNIKTITVSIISILTQIKIVSLNAVSSLSESLAKLFNTITSRQH -EGEVVVGEMMEEDEEPFNIKDHLTEERNCTAAYISTLIAGVAATLALGAKLSSSNFKSVFLILFDSIKSF -AMTANHLFTFVKNNLICIQKIGNWLVDDSPKMKDIQFLKEQNESIYKWALEAIELIDPWKEDELFMNVRK -SSRVHVLQFGGSLILLNLGNCKIDPQQYRVIEKIFERLSQLKERLVKAHKAPPVRFEPFVYELTGPTGVG -KSELLNRIPFELLKHINVKVRGEVIYHRALGNPHWNNCRNQPICSIDEKFPIQQPGFADVQIAELFQLKS -RCIFNPPMADLPDKNLRYNPLIVQYASNNAFPKLTGILDQDAINRRRDCLIRVKIAEHLLRNNRNLTIDQ -LTYDQRRNFNCLRFQFANDKLDRMTTWSEEYNYERFLERLKDIWFDYYRREEAMFQQHLNRALELAPNDG -ETLETFEGRWREMCDMRTGEDEIRRFRDEYAELLRLHKEKKDHPLDREAKSFNLIRKYFNKDKDTSQLDL -HEIKVRINTLRKTLKDHKEEIIDGKPVGQGDDVTLPRTAASDHYQEIADRVRTMKTRDGLCHHHLVWEML -NSKSDASLIQFNSKDQAYEIHKDSIENFLHNLCIRMTIPISWFLEEQDICQYLINHNPCHKETCPFNDFD -NRMLCAKLLIDASPTCSGFVASLQLENLKDRCPREYLNHLEKKIKSAKKIDMTELREEVTKIDSWYVRAK -DALMSIEWKKWFQRIALIIGFLFFVGILLKVLWFGSSSSAEGLAACGSAVVLAKGEVHASGDFKQGAVKK -ETPRVVASKTLQAFPEAHMGLNLQNSVYGSLERNTFCLSMYDENGEVLMGRCLGISGNYALLVDHYWDAW -KNFSYEKGTTSMHFIPCSSRCPTAIKVDFNELVYTKFENSALGLLKLPLRLACFKDIRRKIASKATHVYV -NGLGCIAEATNGSGSDSDKIFWRFHSIKFSKSPRMTVRGGQFFSDFETSDCYKYPDFHGKGRCGSVLLCA -DLPTPIVGVHIAGTSEGPKYGFSEIIYREMFDHLPNAAPPRASSIAEGQGSSDWYIDGEVIGIGKVGSMF -AHRANEKSRIIPSKVQEDPESPFPVYTELAVLSPKDPRIQNDPFSPMLEGCKHHGKPLKPFNCVDLKEAM -EDYENLVLSKCIPQRVEVGLLPLDVVINGIDGKDGYEHIEWNSSEGFPFVAERPKGAHNKKWMFNFDDNG -KCIGINENCSLLKVLKEKWHLRNNNIIPETVFTDCLKDCRIAKEKVLEPGKTRIFSVSPVDFTIHQRMCT -LDFVVAFMACRLDLEHAVGINPDGFEWASLAQQLLTVGDNIVTGDYSKFGDTIPPEILHGFFKMVIKWYK -KHGNLTWEHQKQLEIMAFEIGNSIHLMFDFLYQVICGQPSGNSLTVIINSYANSIYLRLAWLSIMRYTKY -CGLEYFHKYVKNYSYGDDLIVSISEIIKDLFNAQFLCLFFKKYNLKFTNADKSDEIVPYVNLSKADFLKR -GFLVHPKRKMPLAILAPLKEESIVDTINWVNKDSFGLSNPQCQNFEDMSIQVCEDALRNAFGRGPEYYEN -FRQKLREFWQRHNVNFVSSDWDTLDVRCFDLNLAICPFYTKFSFKISQ - ->YP_009553259.1 polyprotein [Psammotettix alienus iflavirus 1] -MTSHKKQTVPSESTQPAPLSLESFPVLEGFVLDPHPGYEYEYSFSEVARLPFHPAAPRSVQTTAGVPDST -TTSALVYAQPIGPKSRWFYESFALNKKSWDDFIVQNTDGLPRWHKIAVMKKVLEQHVGDWEERYIKRKIS -LWRAAGRIAACHKSFVKKEKAARQAAWLEGGREAHYRAKYPFGCVDHPWFTKRFAKVTGEATVCPWVDAL -PENNFREEADMAPEPRVSVKTRPKASQVEANLAPEGWAYPAPPQKKLNIELIGRIREIRSLLRSLPTPLA -WAEYRRSEAVCEVVKPQSGEWEYADPQMDGLTGDTPISIKSENVVLTEANPASSTITSIPSFRYDWHQLC -STEKTIDYSSLTDRFTFYKAIQWDDKMVKGAEIAPFRMDLPVDFVDSVAANPGTMPMFIPFKIHRYFKSD -IEIKFHLNSNKFQVGQLQISWQYLEKSDGNPLTNIYSRCQLPHVLLNAGTSNEATMRIPFKFIQPYLTTV -QKKKALDRLYLGTLRCFVVSPLAIGKDGPPNCTVSVFIRFPNAQFTGMRDGSIAEPQMEAAAAAMVAGAV -YDKFIGDKNCDNKSDNINPSYLVPTAAHSFCAGTGLVEKVQALRLDNSTVAVGRIGIDDSETSIGIPCRT -FGMLKHFKWQMSSVEGNTTGSVLWSCDVNAQIDKSLVQSFPGTGDRMTTYNMPPVSVISSLYRQWRGSLE -FRFDIIASQFHTGRLLCAYIPGAYGDMKVTLEQARNSPHVEFSLQDSTSFTFIVPYISDKVFWPRKYTGP -HKYSEESSPSKLVLFVLNPLIPMQSVVTSVDIIPYVRAGVDFEVSIPVQPSIGLSDETRNTYMVKDKIYP -TDGSSPYRATNYEGFGNDNKYIFYEGTAALGTASTFHAPEKKLSASEYYFGVAEKESDQPIVKWKLKDVA -KTESGFVKYIVLWNVPNKGNFGIPFPALDEGLKYADKLAQGLKKGEKVATLLSYCYDYVDDSADTASNAN -LYFLPLYKKFIEDDWIIASAQMMDSRVAAEVPLAPTDTLPSTSGGQFNYNESFTDLKDLARRYQLYAEQD -IKLPSGFSGNKPLAIFPVIPHGLALDVSSASSTFNIVRDGHIPIISSGYLYFRGSIRFKIILSADAAQLG -GIKCWVQHHPDGDAGIRSLQVYPQLTAEDSIRSHTYAMHMQAMSVNSIIEFEVPFYQPGMYGLTRKPVIC -NSDDEICHHYTLGNIILGISGGIINKAFDFNLQIFYSVGDDFSFNVWRGFPAVVFTDEVWPVQEEQQPEK -EIVWITGEPQMMEAQEVGEPEMMEWVKGWTRSSVKEVIPEVVESVREAVSDEVIHLRAEIKEVYDKSTKF -DISIPVVTSAVGNLAHIYNNPTPKTVAISIANIIISFFSNSLVNLAKMIDAICVVLKNYWHRFAGGDKQV -TVEAQPEGEEDEAAVQQFCAFIFTSVCCVAGGTYASPGKFPDVLRNINSGVSLYNNAIRLVQNSTDLIQY -CIRYIVSTLDPSAALSAKLLNEVPDIRQWYEEATFLLDVRNRNKYVYDRLMMDRVFDACMLGSLIVSAGM -TKVTPAGKLIIDTQKELRKLQGDLIQRGAHPDVRFEVFPLWIVGSPGIGKSYMVKDMARRLLESISYQHH -GSLIYDIPSGAKYWSGCTNPAVLVSDDILQVKGTRREEELSMVFTIVSSSVLNPPMAAVEDKEKRLNPLL -YIMLSNDAFPNLSNDLSSPEALYRRRRFLIKPQLKIEWAKQMGEGTIRDASQIPRDVTRTFGHLEFYHKD -NVKDVDSAWIGPYEYDAMIEIIKIDFKKHCEDERANFITRMHDMYCLDPDYDDENLIHAIPELQQKQSLK -EQMEYLKERIRDKVEAMRDPRYHDEESGALIRKFVNNVNKLKEKTVNASDKVRSSFSNMFSNASPQADDA -VLVENVAAWTPALSKAYDALEDKAQAGVLEVENLCTTTRFFQSVINEAKTFSLLTSNTIIKPYLPEFFIT -AKTLRNLPCFSYANCDAEFNRKLDNIIERKLDIEQVRGSWYNENMLELFSVGSFSWLEKLRFLCLLPEGA -SSVVEAVFRYDMALEPAFKSDVDIANVDTRRTGDPILDNELSKDHYRKFILNMSNWNGLELFRKIFYCAV -ISMMKEMYKFNNSCWGATGRQEVETLLIRDSKDAFAGDVVHFRKLKKIFELLYTLADKNCSKLNKTKLLI -HKHIEYLYSFTVLLGLLVSPDYNCFECQREANLFNRNIKSSKFCSVQLSLEAVGASYRGCSKLDCVFHNK -IYYYMLCYGAVSCKKQMIPRADAYNNTFFVSNDIVDVRRALRADCRKRISSSFEALCRWLKHIFYHRIPE -TLSGLWNAIIFHIPKIIACVGIGLAFSGISYGVKSVMGTNSPSPQGYSFKFETPKHPVKSKVPISSKTFV -TPQAGAIQIAQLSNKITFNTVFVVVQYECEGRLLEKSCRCLMLRGRAMLILRHYWEEYQYLVDRGYKLDV -ALHFGAGRKSAEPRHVIRRVGWAELQKVAWCSSSSGELTSNFGICELPMYIPMFCDITNYIASMSEHENV -SSLCDLYVVNGESKFGMPLSVKRRFAVAETASSSQVKVDRAYQYNHQYKGLCGSVLVSRKGNSGNGSIIG -IHVAGSQSSGNGFSEPIVREYLDGFFKGNPQPDVMPIPTQEDIDPQVELDSNLMMYGCVPPQFSHKESGK -SKIVPSLLHGEIYPVRTEVNPLRPNDPRQPPGSDPLRDGCNKHGSGDIYPFDAAQMREVTDYMVDRVQQI -MPPVRAEVKPLTLQQAVCGDVDVEYFDSLNWKSSEGFPLSSLRPKSARDKRWLFELKDGEFGYKLEKVDP -QLKVLMKLRDKCFEKGIKPATIYVDCLKDYRLTPEKCRLPGKTRIFSIAPIQCSIDIRMYINDFCASVKK -NRIYNSIGIGINPDSMEWTQLANYLFEVGDKIITLDYSNYGPCLMSQTVAAASECVVEWFRYHGAEPEHV -KRVKWLIECDIINPLHLCANVVYQTLDGISSGSPLTGEMNSIPNLFYIRNCYLEIMSKFSPSLATMQTFD -EKVRIVVYGDDLIMSVSDDICEIFNAISIRDCLALHGIKVTPAQKSAEMVPYTSIYEATFLKRSFTEHPS -RKGVWLAPIERASVEECLNWIHVSDNPQEATLECCRASLDLAYSQGPEYYQNHYHKIKNAINKLGLKIEY -KPWHQRDSEIFGDSQVSLDVTKPPKINLPWTYAPYEL - ->YP_009552832.1 ORF2 [Nesidiocoris tenuis iflavirus 1] -MINFPFRNNCPKMSTYSDSEFLPSGSMMSYDHSSTRTSDLGSMKVLIADGMRGWLAKELSCIASHADKDL -ISSVVSKIIEEFKVQACVEFMNTSIVNRVCQLLCEGLGYAIYTRDISVLVNTFLYILVTCLGTASLPVVF -IVSLAASLMRCVPDQRDSRLRTEAFDSEGIASFLTAIWQAISLAKGATIEKPACFKDWCNAVFEGIGKTS -NKTRSLLTMFENLAKVVTKMLTFILDHCFGIKTGVRLLYHDNDLMRAWTEECNLLLRPDTEELILNEGTW -FARLVVAHRLSYKFATEINVAGAKDLPPLFLELQRRLSALHQRAVNMGIATAYRPEPICIWVSGSPGIGK -TYMKDDLISVLLNAAQIRRTGNDVYTLGVGTKHWTGCENKTVIYYDDFATVDSDDLSAEQIGHFMHLVSD -ARFAPPQADVPDKGKAINPKLVYVNCNESHPKFNCLRNPEAFCRRRHMCIDVKFSPEFLEAFNSVPLKEL -TLEYPGAKQWVTDHTKPGNKYPQMVMRFINPMNGSPLNDWANYEKHESRIFNEFIHRFYVNDQAYRNKMS -RSFAASTVKTSSPLADILTMIKDEALDLSGIDTCKEDTRPIVSRRLADIYSYTTDTLREGSQLCSQWFKD -IIGLVSTEGGCTCFPGLISRYEYDPVSRKIWRNDWEENEPVLLEFCSEDCQMKSRSWWSKARNLSTSDRW -YVVDGLYSHMFKTPTVHAESPEFSFEKMTDAFTQTREEVPKRSWPRKYVVAVAALSAVGLFFVGDKLFTW -IFKAKPEDISDGMSVEQWCEHIASKKKEITEVIASGDNTTVKTRPQFKGISRKIVKTNTELFVEGTTQNM -ANIVDRALCYLVLSGVDRASGAKINGLAMRCFGICGRWLICLKHYIAKVKRTDDAKVNFVNSNGTVVQPL -DFDSCKVRGFDESEIVLIEMPLTIPAFRDIRRHIMSESDSKSMSTQATIYEKALGFSARLYEVSLKMMNN -VIYEDDGVTYSIPCAFSYKWHAPGRCMSPIIANCGIGQRIVAFHFAGGNDRGTGEPVLSESFYDAIPSVL -SAQAPDESFLDNERESRICLSGDLEPEGIVKGKFSAHIAERTSIVPSLIHGYLPIKTKPAPLQPADVNMR -FSPLIEGVVFHGQPCVNFDRNLLEKAVQDVHDQYMSVCKPIRPVIGVLSIQDSILGLPGLDGYQAMEMNT -SEGFPYTTTRPSDARNKSYLFDIREDGCRRELLGVDPNVMSRMNDNEIARSSGIIPFTVFTDCLKDSRIP -VESYYKPGKTRIFSVSPVDFTIQFRQYFLDIMASQKICRHDMEHMVGMDVHSIEWSWLAQTLQQKGPKLI -CGDYSKFGPRLNSEVVYEVGKIWRQWYLELERRDPNLSEQEIQRRYKVREVMFEEIRHSAHLCKDILYKV -LCGAPSGCPPTVNINNDVNKIYIRMAWLDCWKDFPIMATLVAFKKHCNLFVYGDDLIINVSDEAAERFNN -EFLQQFFARHDIKYTDELKGDSIRKYCLLHEASFLKGRFIQSQTRTSVIGYGLAKDSIEDCANWIHKNPD -KAYATSEAIYQSLMLSYEWGREYFNLHRDRLLKAWAENVGTPISLYTYDDMDSIRFGFFDPNVALTLDQL -VQKEREYRELQKRELDSSAGLIPIMSAESQITDPEERIRYKSVYKRLFNVYRLICEFRKSDIREMRQYHS -LNRTIFKEFGEILTPDGQYKKVDSLPLIPEGCEDLSYLFKSIYIQEYI - ->YP_009552831.1 ORF1 [Nesidiocoris tenuis iflavirus 1] -MDTIIMATTNDLPSGLVLNKRFESVVEVPPNELDLTRPYVPYRIYKELKGARPIRRKWTAAEVAAKVAEH -KEIARSIDLEMKKKAKSQAWFATKKLRREMLASFRKPGEFSGDEFLPEMVEPRVSTIDSPTYADVAAEPV -PDHVDRVKPVFEPKCWCDCAVCTFDRRRRAQVVRAIQNRKETKALKAIIKRSNLAFERHMRRLARAPQVC -SSTMEQFFSENKFSPLSTDESDWETEEEVEEPDDYSTPIRERRPKKKVVVDDWETLIPMMDQVRVEEEPT -HDPRRVRVLKGLLRRAIEAARNTASSVVRRARRARVDELKAYIRAFVSGAQSGEMIEMGEIPSREYFKKL -PVYESTTSTPLRPFRVEMDGDDKCPVREDQASNVVLQEAANIETECVPSTMTGLRKYCVGETTVNSANLD -RWTQISNFTWSTTNSYNDTVISSRLPFDAIYQKKDPTSEYKLRDNVIANEFRLRQYFDCDMVVRIQLNST -PFHIGQLQVGWYYLYEQDANSSRRDHVVCLSQTNHVIIDAANSNSAEICIPHRNYRSYINTYNRTDLGPY -SYMGTLLIKVLSPLKVATGSAKDVDGMIQIRLSRCELAGMIPPNLVPSVKTQMFSAVMALEAAKYVRQFL -PDRNRDKPPNPHSASHMYPQTTSSLASGTNDVEPVTPLRLDPLGQTPHPDPSDSSFSVKEICSIFSFVKA -ISISATMAHGTQVECFEASPIFSFSQYSKTAIDGTDCFYLPPIAVISQLYAYWRGDIQFRLDFVASRFHT -MRLWICWVPGYLGTITFEQSLSCAGTYFDLSCDNRSVTIDVPFISDKPWWNHRFSNGVRAEEYDAPSKVC -IFVANRLTYTNAIPSSIEALLYVRGGSNFEVSVPCQPSIGLCFKPGFVDESDDFTMAYDGYFPWYVGSWR -NFEGGKKAILRYGPGSDHVAQFINLKQKTFYKVKDPEVAKTLVFNTASVQTNLSECVLVPVKVSTDTLGY -RYLAVIYVGSDNPVANTSVKDWFLEKKGNKWTWRTTPNYDVASTIGDESFSIYTKGNITLVENELALPLA -EESSDSDSSFETLQTEGDTRNEVTEKVTFEYSPSSSAGMECFGESHMDLKDICRRYQFYHQVDLSTLTPD -FATVDYSFPLLPQGLDLQPISSPYQSLVRDGIIPICLSGYRFYRGGLRFKILVNMPSPATFTVQIRPDRK -FAGAIPRAGGRTKMDGVYLHGYASAVQAVGVNPVLTLEVPFYIPGNCGLLQRPSDSVIKNAQMSRFVSLG -ELCLSVNFPAKIPTGVIPGALVMYSLADDFSPSLFQGFPPMCFVRDSKKD - ->YP_009552119.1 polyprotein [Varroa destructor virus 2] -MIGSLQTSWYYGLEWDAHRALKDNVYTNSQTMHCLVDAMNSNVGVLRIPFRCPKSFLDLQRVHIGGNTTI -PGLYLGTLDVRVLNQLAVANSNTANVANWTMSIKFVNNRFMGPVHRKLLIPQMMGAWMAYQMFKELRGDA -NRDQPINPSTPSHIKPVPTDDWASGNGQSSTTFPLRLNPSGLLVYNGYDRASDIDEMKVDFVKSVFGLLH -VAKRSAQDTVQTCIYSMPVDPILPPDMYYNHDYLGENVYALPPVGVLASMFSLWRGELEVRLDVIAATDV -RGRLMLAYVPSENEVEYAVAMGSSTVYYDIDENRSFTWTIPYMSDRPWRMRRYQPDSPGFVKDHGKFYIF -ITNRLIINNTVPDFAYINIYIRGAPSFEVAVPCQPLIGLAWEPKIRTGTHQLEIGFLSGYWPVYVGGWRY -NGSRVVMRYGETTDHIAQLDYGVMSKFLTAKVKRNVPGYFKIKSLDPSVQTPGTNYAAFRGLQGDYLAKG -LFPVITKSGYCYTIPVVTDSDAVNYYRHLKETLWDFPPQQVQYVFVENSEYWCAKGNQNLVLEWTDVVSR -AEMDERMNVINGVNENYTAVRRTGHGLQLFGESFGDLKDVLRRTTMYMACSQDFSSRSNLVDIKLPVIPQ -GLVFDQGSDENPNYSWNWIRQGNNQIINSGYRFFTGRMHFKIRVFSEKDRVAFFAQHRPHLNYIVDRPTA -TSRNNGDSYVTLEEMWQHGYATMVQDSANNRFLEIEVPFYQMGTCGIGQRIDMESIESYDPDLIHYCSLG -ELVLGSLGGNSAAPRTYQVWSHVSDDFRLSTFQGFPQMIFLSYQDPVAVPDEIKLFKSPKIYYKKVKGQR -SQKPSAPDCVELSEFKPQMEVPEMYVPVVSDIFHSVRNVSKTSATVRNVVNKIDNILPTGESSLASVVDS -VLGKFKDSVDYNSVRMTLSNIMSNIVHCLINPDPKTIAWALMSIIYNIFHIKGVIVSRVIDIVRGLIAHL -VNVRECMKPQMDGVYADVYEPLQGADEGFVRKNITALLSLIMGAVFTYLGSRKPVNYNDSFASRLYEILI -GFSRNTTQLVRFFQNTIELFIEIFDWCVGKICPKWDAVRFLASNDRDLYKWAKSVQYLTDGRNRNLVLTN -PKLVAELYASANEACFIRQRMLALDKSLSNAQTITINKLINDILTFRDQASGMHVAPPVKFEPFVLQLGG -PPNIGKSHLLQELTVDLLEHVGYSTWGEPIYIRSPGNDYWNGLTNQPIVIYDDFLAVTADPVGTQQISEL -FQLKTRCVFNPPMAAVEDKTIRYNPIVVGIASNCHFWNLCGVSNPEAVYRRRDVLIDVALKGYKQISEVP -PEVLKEYGHLKFRFYYNPAQSLSPTTEWQDYADFKLELCRRFKNYYEKESKKFRDLSEKLYRLAPKAGEE -EFKFANHEVGEALDKFFERHNVLASHDDIVISEVMNMISERARNRRPQIYSKMGELLKKGENSRKMTVSQ -ALLEAYCLTNSPPLNPDCVRDKIYKNLRDRSLIPTTEKIKLAMVKAKLEAQMETEEPSMSEYMSVHEYMC -QEWLMASMLHWESERKEWVIRLHAELAGDDERLEAVAKWQKKHKIVYEIENATIKIDNNPCGNGVCPWSE -SNIKAKFLADFIQLGCGVYLGESRGVDIIEAGLVPEDTAVECVPALEEMTDETWLIKVRNLIWKAPWKLV -YTVLTGVIAALGLIAGVASLWHMFKATPQENIEGVKLAPESPYSYDPRRGHKSKVTMKMGRKYNVMPKSG -KFRGQALLTHTIGESCNSIIKRNTFFIRATYELNGVRSVPINARCLGVTGRYAIFPLHYNDAWERLNELD -GVKFEYYDVTTPIPIEFAYDEIDILASDDSAALAFIRMPKRFRVFRDIRNHFIPNDKICVGKTKACLFEL -KIDLNKNLTTIDRHNFEAERSESCKIPASEHFNEFTVSSAYRYAYGGAGVCGSVLFDWMGNIIGMHVAGL -GDGSVGMSEWLTETDMNDLTNLMSELEDPVGEVEFNPEILPEKPDELVMNPTCQVLDIGMIHPSFRNFVD -KDFKLIRSPVHKLAEIEHFPDLVEPAILDQRDNRYQYSSSPLFAGLKHHGCPMRPLPLEMIEEAVGHYTH -KVLTLCRPVRPEPAILSVEEACMGVEGVRLIAPLDWGSSAGWPWRISRDVINPATKSSWVKLTNENTKFI -GLHPDLEEVMNIKHEQRVAGIVPLTVFADILKDELVPHAKAMREGATRIVSMSPIDFTIQQRQYTMDFVA -SFMENRLDLEHAIGINPDSREWTDLYVKLAKGGKTKFIAGDYSKFGDKLPTEIGVRMFGVIGAWYARYAP -PEQVCIIQRVLSIMALEVFNAKHIADRWVYQCVNGLPSGNTMTVVLNSMVNSIFIRIAWMAIMSNTEFSG -LDNFEKYTSLYSYGDDVIIGLDDCVCDLFNTLTIRDFFARYDLKFTDADKEGIPVKYRTLDDMTFLKRNW -LRTHDRKLISSRVYFLAPLAEDSMFGILHWIKKGHEPIEFAKEMSEESARMAFTHGEEYYNKHCDKIRRI -WQKMGHYICLPNWHDLAYRTYLSDQPLLRLTRKLEGLPEAYGGPAGHAG - ->YP_009552080.1 polyprotein [Yongsan iflavirus 1] -MYNQKKERESAKSSPCKSLKETPTTATIFKQSSRTTRKPPNRKFFLMESDMTQEYFHRTPGAPATLPPKK -TFDYFLERATRYEGDHVSKPAKYCFSARSVYNELVLALPEFGAYQLSYYLRQKFDLEHQVRLHVPAFDPH -WECIFIGEHSKLSLAEEVAFRGFFIYVAMTTTADAIRKTKPWTPDPSPFFQRKKTYDEQEAEDIERENYE -NMLAEELGSVSLQSGLQGAVGAAESSTSETQNTQLVAVGEVEEIEPYPDEPSERTLSEQPFTDLNLAGVA -WWLNKVTWSTSSQIQVFDLYNIMFNDVSANAAIVKSFQVHAISKLTARVTIKPNAVNFNSGLMGVTFVPL -WNMWTPEDQKLWLNKYSLTQLPFTMINACSNNEVVVPVNFTYPLNYVPHISSPNYLPQRSLGALIYFPIV -NLSIGDQGTRTCDLNAFIHFDSVEFVGKIDQRVNMQSGLFGKVGETLHAGLSLMNGNPDPTFNMLNKVAK -SLINNKNADHPINPSPGQFVIPQALPSQSSVTNIENPVNSFRMDPLGTVTHNFPPTESFEDLVHRPGLFR -QVTITSADSPKLLTSWTNQPLKPYNDYVPYATDPNRRHVPPVGVIASVFENAKGSSXYEVYAAMTDKHNF -KLMFGVLPTPISPTQPIDLTYLRNSKFTELNYTNGKFTNEVVAPYFNPKTWIRIPSNAYVNSDCQTASYS -PSYCYLYLMTTLSYATGVPSSVTLSIFERAGPDFELSVVKSPSLSVQPYSPPNTYPGLKLAGYHWASDLT -FTSSTLAPGGKPASADWIWNMWFTNPTPNFRAVLLYYGDTPTSGRSIPGYGNRRHAIGYVPLNQPDTSVL -LVSVLDATSAPVADVYRAIRNFSNNYTQPTFDALASYLVNAEMTDAFTYGPTYAFHVLWTPNNPLPTVTK -QMGYTGSTSIFSDVDSPFPSTTMRGWGKKDFGEDFSSITNVLKRPYGDINIGIETARSASYPNAAFRVNV -SPALPRTTPPPINSLDYAYSASAADIVLKGFIGFKGSIIMHALFPSVQNASVWTNYFPDAFPSRDIVQYP -TATNNQRLVSTAPKQTFNLGINSAIRTAIPYYNPAEFIFTWSTLGKQGDNALVNSMGSVTYGLDVNRTSD -LPATLRAVVMRSFGDDAALYFFRGFPPVVFFAEHPPVVARNSSMADIEENPGPIFSRFVHTTAETAGEGF -AQGIFHGVETYFSELATNLEGLVKTKLAEKGVVSTPDFSLTNILTVLFQESGHCILNPGWKTFLWSFGII -LQRLGILGLQGAAKVFAALTAWLAKVAAASSQPSTGAVSLQGNQPDPDPHPTEFITILITGIATLFGIKE -WKDSDNRTAKEFTATFKSALQMGTTLNAFMKATRGAFKAIFQKAYFWYISEKPDAASFKAIAVTDSLIAN -WMKEVDYLTDRSIRETVNADPSLQLRVRVAYIVGRRLHAQLILANDRKTSPLVYYFNLIKKLYEDFAVNG -FASHIRKEPWVIYVHGKTCIGKSQLQSDLCSRLLRAENIVVDGAMTYNVPTISPFMTDLRKQKVIAVDDI -MSVILPESLRTWLSLIFENATCASFKPNKPAIEDKDMEVENEILYINSNFEFIEHDSIPDKNAFHRRFHF -KIEAALTDELVDARHETAKTVPKSILEKFEHLKFRRTLNPWNVLAPRYTDWMPYTELIEMAIKDFRAWRI -AAQESVNRRMLCELQAKSLGLNNITYEDLQNDSFVEDKIREYLETLDAMDNERGIFSYDFRKLLAPHTLT -LKETFNKFYAKVETPVTEMLKKITSWTMSEGSSVSLQGPPIRTSCEEILSMHKVLSGLWECCEFAPGETE -KLKETIIGYFAPAALKYPHHAFVRAVRTCELAKGLHISKCRCEEEALDANLFCEEPKCLVKMYAIHKKSL -QTFASRIKDWNNLAISKLKSFYETIWSSMNPILKGTLVLIGIVATGAVFKLVFDWLTAKWNGDKDGIDKD -FHKTFMFQSGHYSPGTSSKETKKSSKTKTNVSSFTSRAVTLQSGNFEDVVTKVRRNLRWITVCPEAEATN -PSFERMLFPILGIHDRTYLVILHYVQTITKALTQSDVKYIATLYDGHIHVPLSMNDFCESLLKWRCNEDS -LSELGVWEAPARTHLVANILTHCGSRSKLSRMNNECFIVPCFTDLTSPCVITRFNHFNSKYYDVSQYNHL -VAYDGYSKPGFCGSLVFSKNLSTLVAIHTMGSDDGKIGFGELVFREDLETENIVEPGYETIELEALSPEE -IRNFPQYLKMLGKIPDHQVKKQGNKTVFVPSPLSNTVFEVRKEPAPLSSSDERVNYDWSPMKEGVKKHSN -PPKGFERELLLASAEATKELLQQSCPPPRNQVGILSIDEAVLGISELKFKPLDMSTSPGFPLNVIRQRNQ -SGKAFLFHLEQTNNERKLLGLHPDLRRILSYEEHQRAQGIACFSPAIDCLKDELLKTEKARKIGGTRVFS -TSPVQQVIAGKRLMGDWLMSYRSNWILSEHAISINPLSNDWMQLAQRLKTFPNILEGDYSGFGPQADSDV -ASAAITNIIEWYEFNDASFTHLTQLRALREELVNGIHICNNYVYSTISGIISGSFATAEINSEINKIYTR -IAWMGTTNKDLATFDANVVLYTYGDDVIMSVSDEYIEQFNIRTISEFLARHNIKFTNASKSSEIIEKVTL -KEATFLKRSFRFDPTVPNQCLAPLDKVSIEEQLNWVRNTQDPWDLMVASVDSMMIEAAMWGQSYYDEIRS -KINTAFARYQKRYCFVDYRTMIKKIYEKESS - ->YP_001285409.1 polyprotein [Brevicoryne brassicae virus - UK] -MMSVQNKNFVVSSSTTASPSCSTGSSEDYSSEIGSTNVGTCYQHIDSLGYQYARCAEKIEKYESRKIDLL -KILKDLRAKEAQLNIVPPPTVPKSIPVPKSIVNPCKLDNRSYSEVASIPISSSEEELIFNYPIAYSNKLR -INKLQKQYDLNEWRIKKQLKRRTKLNKLMLNQVQYSPIYNYHIDNTNNSVYNPTTVPIPDSYQPFPARPT -SSKHIKIRKDRNSINDSIFGLYIPTPIATRDPILSIKLRCIIRSFRNVVNSSYIKFLIFHKYRLLPSYKS -TPPPIVCYPQMDAHGEDSNNIGPDKKLNTVVSSQRDTSEGVSNKVVYPAWQDLVSSDHYSSYPTSISRWT -KYKTIQWLSTGMSNSSISVPSDLLTEFVNTPVFIPFRNNRLWRGDLEFKFVLNSNKFQQGSLQVSFIYCA -SLEQSFNVYRDNVYSASQTNHCILNAGSSNEGILKIPFKYYNPVITTNPKENVDYCKIFIRVLNVLRCTS -TTYGACDLTIFVNFDNAYFTGSIDFSLIQNPQMFHLMRALVKTAEFGLNQLDPDANRDNPPDISTPSPVV -ISNASSWCVGNTAVDQLNHLRLVSTGQTPHPNLEHDELKTNVISRIFGLVKTLDWSFNHQVGHLLFNLEA -APMGALTQYYSSSVTVANRDYLLYNFPPVTILSNLYAYWRGTLELRLDFVATSFHTGSLLIAYIPGIKSN -VTLDQARSSNYVVCSLQEQQSYTVRIPYIANSPCWPRKYNKSYKIKIVVEINPPGSLQIFVLNPLIPLDS -VHSSIEINCYLRAGVDFELLVPIQPNISTPYNLDYKDAQYNELPLSNADLVEKKTTIYYCCSSPINYPGM -ITLTSSDPSSNNLIMYESLRDGSYINNKKRITDLNNYVPNVPGIFNFYLSSSLSVTFKYQQKSSTSNFIT -SNCKFFVLYNELCSSEGTTTLWVVRDEEAAKRYARSEQSWDDLMKAVINCVGISDTHSDTNAFTYLTKIF -VHKHTTNLCSSLSSSYSDITVPNNEERSLSTNFLDMTQNLQSTSHGLNLFGEDFSDLKDYCRRYQYYTNI -NCKLSSSYIKKQAVAVIPLVPQGLINSVIYPDHSINHIVNRCKDGFIPIISSGYRFFRGGLRFRIVCDSR -RNVNLWVQHRPEMLLKYLQPHMTDIDNQSLSDYFNHSYSFNIQNLSLNNVVSLEVPWYSKNMLGCSFFPK -VISSDTDINSQNLTSFSLGSLILGLDYDKTETTNPLNLDIYYSLADDFHFNTFQGFPLMLTLEQIPNTYA -LPQMGIFNKLISDTPTAKKVDMVTEKAEKLIDNISDCFSALPNLIESNFNNVETCFKVVLHDIFYGILHC -IVSPCVKTFCLVICSIFTKVGVLAVEGIWKLAKLLKRFYKFFIGAVSEDAQQTDDQPSTSKVLPQADTQD -EDPSSICAGIVSIIWAGVNTMLNVTFKSCESLGDCANMLMSGITKGANHANTMFRFVKNIFGVLEKMYKS -VINKIFAKYDKYNRLKVEEETLREWLDLCDNLLSPANSTLVQNDIQWREAVYCAARYGHIYLSKQKAGAT -PRVDMYIRKVYDKIINLRDSLQKEKLFPSLRMEAYGLWLDGKPGIGKSSMITKLSTDLLNSINYKSDSGL -IFNVTPLDKYWSTCDHQPVLTIDDAFAIQTPECIQNQLWAYFSVMSPVPLIPPMAEIKDKKNHYNPEIMI -TCSNNAFPRLPGIAEPKALYRRRHQLIRVQLKKPECPNYPFGFTVEEVNELKHLEFAVATDVRVEGTYKG -AYSYNELIKMLIKDFHKFKERSAAAYEERKRLQFSMLTDSQAKKLPETKKISEYLKEIDTKHQEEIAKQK -LDFATVEETVKAIDEIQSHADFSLRWRADTSLLLPGAKTVEENAAVVDEKAAQVSDPESDTGGAVVFEVP -DTLTNEQLIAAFDPLTPEELAEFDRSRQDMREMENETNRKIKEVIKKSKLMEFEDKIGQDISRTVPERIQ -FLCKFSSFASYILRMGTADNAPSSNAQNNFLLALRVYNNNVPCKDKINMLGDICSKRCVHYLIKYCTSYR -IERKFGLRYPVWEVPIKYDPISQEFVYSKYSNQILNVSDFLCSDPNCIVNRPSEWLKLVSQWLSWGLKYA -PWCAYSKDCPVVSCAVSKISKFRKFINAIQYAIVSTVKFCLYDVFYNSIHWLGTVFITVGGFLSGLAGIL -GLVGLFKVPRPQLVSSGDTVTKAGTANVRKIIFGQFNPGPQSSVSNNNLELISSVYNRINRNTVYINYED -KVLNKISHMKCIVIKERYVIVLRHYIEYLECNATDTSNVNITWADCGSYPFNYKDYKIYWCENSNIGVLR -LGNWFSARRSLIPFITKSDSFLGNAGRECVILDVKLSESYVYNENIKLVDNVTIAPTSYSKMLIMPNAYM -YKKNYPGMCGSVLMNEATNTPILGIHVAGANGKGFSEPICRAQFDSLFQHIEKGIVEDVIPTDWRELETR -FIPVDQRKLFLSGTHTYLGNIDPNIAKYEAGVSSIVPSTIQGVFPIGTEPGPLTPRDPRINGEFSPLLTG -CEKHCNPTIDFNKEDLAYAESDLSSLIIANCKPIRVSCHALTELQAVNGIPDLEGYQSMTWNTSEGFYLS -RLRPKNAHDKRWLFNFDKNGQVVSLHPYLREILDIKMSDRLSGIVPATVHDDCLKDARLPLSKIKIPGKV -RVFSISPVDFTIQFRQYFLDFIASYTKARFNCEHAIGINVHGYEWSELAHFFEGKKLITGDYSGFGPSLN -SEVVTAAFRIICKWYKQYGCSVEDNHIRMMMSKELINAKHLMRDYLYEVQCGLPSGNPATVIFNSIVNSI -YIRCAWLDVMRNSSYKSLLDFRNNIKLITYGDDLIASIDNSVSDKFNNNSLSHFFLKHNIKFTDAAKTGV -NVEPYIYLETATFLKHAFKPHPIRQGVYLAQLEELSITECANWIRKSPDPKTATYDNCVQGTMLAYGHGP -DYYNRYVKKISEAWFEKYEEEFVVRTWSELDHLFLVEGMFIDW - ->YP_009505599.1 polyprotein [Nilaparvata lugens honeydew virus 1] -MSNIVTYHIVTKSRNRGLRAAPQYVVRDLSSPATYVRPIGPRLPRFPTTWEERVARRLVRQYANVEEDFL -YKFYHLIHFMDKKINKAKAVQQPWTRGMCFTQAVIRAWEQMRPKYMRLLRKRERRATRQTPVVAKFSYER -MCEILSVSEGTETELIFGSVHPDDLVPDPVIRAPRKREVPLPKWNKSNRLFQKPSPDGKRHQQREKRLAE -LAAILRGIIFHLKLRRTNTAYRTPIPVVKGVRRVPTTRSGVPLIKFARHENNDAAEDMAQSSTPTIHEST -RVCIVETKDCSELKIPGMNIDPHYSVGDVYRSYATMTEDEILLETFTWSQGAQGTLLKQYTMPFDGIMKL -KSNPVCVPFLVHNYWKGDLQFRIVVNANKFQAGQIQASWWYDALSDSKFSLRENVFTASQTPHVLINAGT -SNEACLTVGYRSPYMCIPITSTERTQDALNLGKLVLRVLNPLSSPDSSAKQCSVSIFIKFLNAQFFGARN -GDVGDTVSPEMMEGALTLASLSMAERVLNNVLPDHNRDNPPVTGPGSPMVPQAMQSLCAGTGDLEPVNHL -RLDAKGQVPHLESPNTLSQWTDIAKTYGLVKTYKWTKDHKEGAVIFTIDGAPQFPLIEYNTHTIESDSCF -SIPPVAVASSLFSYWRGCLKMKLDIVGTSMHTGKLLVCYCPRVLSDITINQARGSPHVVIDMKDGPQSYT -ITLPFISNKYYWHSRYSSNDPGNFTSPPGRVHVFVLNKLIPMESINNFVYINMYWAAGDNFELAIPCQPT -FGTSFFTTKDKPSAEVKAYGGYWPFYWGYYNSFYGGVKAIARYGKGWQHVAQFQNLKMNYYYTVNANSVA -SMPPFLIKNDGQQFVPKFFCPIDLDDGAGLKYLGVMETEENAKKYAALPPNKKDFSLFMDIKNNDDGPWS -APNVNPLFDGHAETMRAPPKIVAFHENDPNTSNATEEGAVVLDCTPCPKPKIAVNVFGENFNDVKTLCRR -YQPYCMFQGVTSQHSGSASIALPLVPQGLDLDIDEHEATKLFYNRYVRDGTIPIVASAYRYVRGSMRLRI -VLPSDVRCNVWTQHRADIHMDKWSVKPIAESGVAACYLNTGYAVCFQTTKVNNTLSIEIPYYQPYAFVLN -QRTDLKRGGALQISSLGTLYIGFEQNTSTPQKFPVSVFYALGDDCFFEYFQGFPPMIPLARRALLGEVVD -AAKHEGFGDTAKALLNAPKLVDRVLDQMTDTLDKVVTEKGVGININGLPSEIKHSHDININIGSETREFI -NNAGESTSGFVKDIMGTKDPNEAVGVVQQFISWLDTITNGTINFGVDLVSQIMHCAVSPVKGTIAIAIVT -ILVKLGLIGSKFFDKVITSAKNLIDYLGISGDDKPKPDNIPPERDETGKVHARVEADYDMVTSFIDVAFA -AVSTVLQVSVTPPRNVFDFTKIVTKEITQNVRNANQVTLFMRNNILVFKKIAEYVTTWTNKATFMEKIME -DDMVPLLSWVEECELLLDPRHEEKSYAMDSMWADRIETAYVLGCTINKHFSAYLKSGRNQQRELYDMFKR -LFGRLTNRREAIFKRGKGTVSRREPFAIWLYGRPGVGKSQLAQYLIPNLLSSAGIGYTGEPIYTLPSGAK -FWTGCRAQPAILLDDFLNVQTGEIKDESIRHVFAVKSPAALNPPMAHLDDKELRYNPEIMVICSNYDFPE -IANVDMTAVWRRREVLLKCTLDPRLISAGYTSFSERSESVKAFCRENEINYRNYEHLNMQFSDSPSAPGC -NYSDPLNINAVLSRLQKEFIDYKTDQHELFIDRMALYNARVKNSDDDTLSISEKLAEFKRLCGMLNDLKW -HDHVSFKDRLRLSTTYSQTGTISQEMLACLQENVDIVKKKMEKPQENTTVPLPSDIVKHAQHENADAAGP -ITTAETSASTTTVTTTNTTVSSHLIISPSGDVKVNAVTNTDFSKVPESFPREQLLNREGKALQVDYVSLY -EPDCKDVRIYTEMEVDSLFFNLAGCHVHDSVTLNKMRNVVCGWYGVKGIKDAYCLSILYAFTTMHLPKPY -VIDSSTLSTGCSMLYVRHNNRQNCELWCACCNSSVLGATFHPACPSLQQLYVLLHKDNLIMKKKITFNTY -GEYTQLLNDLRGREFRDIIVSLYKTPKIWSRMSSMLTNLLFRVIKVYYKANSVEELEIHIKNNVTNVKAA -LPAYEPDKILDTIKTKYPKCIHSKLKVDFVCDIDTLNGDKPYFSPMDLGSELRIPDDKCGEECVLIKEPE -LGRALYCLWTKHNPNSENKPLYFVNNAVKESLSKNSDKNEHSSFFSKVRKAFVAFWEYVKKLGDWLWRHI -KPVLKPLWTFMKYLIPFFLLVGLLWGVYALATGSSIVGGYYAAATTVGGAVCGATATVGSKFSQLFSRQT -ANTPKFTPSHENATSYAETLSSGARNPAPRSVAFARHQGGDCSQKMQEVMRLVSNNTYFACVNEDLTGSL -DRMVAIYQNYFLILKHYYECWISKNTNKLYVFNPSTNSKVCVPIDSIVLESVPDKSIAIIHIPTMPYNRK -ITHLFATALEHTVPRGNCFLQEVMVSHTMVHEKPVTFSAEVIIDGYNCDRQVLDGVYEYTWHGNGRCGTL -LMAPNLNRPIIGVHCAGMGGRGYADPITREMLANYDGKLYEKGYNEDNRPHKAFKTNYVSVGRTDHTMEH -HESGNTRIIPSIIYGVFPVVSGPAPLQKGDKRVEGPEGTVYSPLWSGVANMGIVTLDFPNLTLDTAMDYL -KQRLITLAPPLRENVRPLTMNHAICGIPGMDYYESLNFSTSEGFPWSRFRPAGERCKEWLFDFDTDEDGN -RVLYSVHPELWDQMTAEEDDRVNGIPVDVVFDDCLKDARLPLDKIKKPGKTRIFSISPIQYTIPFKRYFG -DFIAAFTAARLKLNHAIGIACDGPEWGMLIRKLVTHGTNYVTGDYKNFGPGLNTACLLKVCECILAWYEH -YDQSHDRERSNKIRRALLRELVCARHCAYDFVYNVFAGIPSGCPATAPLNSLVNEMYMLSAWIHIMEDTE -YSSLNYFFSHTKLITYGDDFILSVHNDVVDRFNGVSIQNFFQQYNIVLTSANKTSEVMTAYTKNIYECTF -LKRGFARHPTRAHEFLAPLDLDFSVKDVANWIHKSPDTVLATKINAEACVRNSYGHGPAVYNSIKRTIAT -KCANVGLDILLRTWEEYDVLFFSKQ - ->YP_009444707.1 polyprotein [Chequa iflavirus] -MESFNVVVASGVLPPPGYDAWMGRQVEARPQFSWANVVSSGGDCRVADDEFAYSLPEGDYECEVLPPLPF -EYVEEEDEDDDGINVIINSNFTPPPPPLKVDISSINFRVRPPMVRPEDADLHQCPPDTDAYPHLLTFPTT -VIRRSAPRPATIITRIPISSLVNKRMYDRKCEHVRMVEKCMSFFDNASMDEVAPRLPAPCGLFKTTKSKQ -CGVVYSLSRKCVRKSIKRECDNEDWYDPQPRTYLCDIKKKHDPILLAKLRAHRNKSMIDDVLNILESKIE -YAWPQMDSQTGPAGDLTVKKEKSGNVELESQRGESRAQQIAPPGNFNLFASCGVDKVTNFSQYCGRWTRL -KSFEWTTQHTKDLELLSLKVPADVITTFKGTTSCGFQPQIIPFFNNRYCNLTTVVKIAVNSNKFQVGQLQ -GSFYYCASEDNNYGRRNNIRSNSQRPTCLISAGMSNEGALVIPYRHYLSQLPTVMRTRTYYDNILDMARV -SIRVLNPLITNTGAVSTAATVSIFVRFEDCYFNGMIQAKADAQMFTLKRIFRGVADVASTVESTLNQIFP -DADRDNPPLTFPPQAVYQRASGSLCVGEGESASLIPLRLDRRGQTAHPPGTTSTEFSFSNITRTWGLTKM -IEWTSDNLIDEQLFYCEATPCWDVSEYSSITYDGSDCYYLPPVACVSQQHMMWRGSLEMRVDIIGSSLHT -GRLQFAYIPGYSSKTVTAATAKGSLNKVFDLQEGQQILFEVPYIASRPWWPNITVAAVVSENTIPPGYVF -CHVINKLIPMDAIAKKVYLNVYWRAGEDFELGPPCTPTYGLSFNAKRSNYIPPIPSTYCTPAAGYEIMFT -TYYSGLDNQSLTFFWDEVSGHVTYWEGMDDAHYYEVPITFDKWWVDPIGEQDRKKKTYKFRIQAYIKTGS -TATLTQVLYIAKANDCKYQWAYAFASSSDAKLYKFRKDNRYLMKWVQDGPYCEMYDTDKTPNGWRTLDSV -DVARMKDFGGLKFKAISVSNVRAEIGENKAVLADASELVPRPLPTGYGLCSFGESFSSVKAACRRFNRYA -RFPVSLTGINKELVWSVNFPVRPCRMHLDITDIEPRAADNLLRDGYIANIMSAFRFYRGSVKFAFLFSGN -DVSDYIVIQHRPNYPAVQDGAVIRNVLDPVFGHDIIDPQYPMLYQNFLTNACVTCEVPWYGTGDFTLLQP -IAYPKLSRNAVLLEFGSNGNLFIAGRAKEPKTIYCDVLYALGDDASPTVFCGFPPMLPVNEPLDFKQDLG -SLSSSVDVVDDSDLDDDEADAEICGPLYADPQGISDYLGFGESVNQAKEVVSKTPELLNKTNAVLDRTKS -FMDSLIEVKNEFLGLKGASGPLDFAAKFMEVVDHLVYCIISPCWPIITWCVVSIVGIVATYHFKDMLKKI -LNKIFKSYVDKHDTSSPVQQDSGETLLDTDVIKEVKNVVGGVLAGFGIYQQVSEGSLKDCLKNLFKIDRN -IKAGASLVDVVNSALDTVSNLYDYISNSLYSSSIEEMLSSHPAAVKLWALQSATITSPDMFDSVDSDPML -CDKVFELDYMGSYFAMLPCPRVPEYGFIRIIAGRVRKLAETLMERKKCSPVRFEPFVLWLHGEPGVGKSY -VSDTICIDLLRGINYRCSEAIYTRTCGNQYWNGLKNQPILKYDDFLQIQGDDTKLQAGELFSLKTCASFN -PPMAAVSDKNINYNPLVVCAVSNTEFPVLDFLANNTAFNRRRDCLVRICRKARYRNIAINDIDDDVLASF -GHLEFFIHNNVVENRFDQDLQAGTIRALSYAEFKVSLLTRFTQYYANEHVKYMQRCNLYKSLRPEPSVGL -ERLFDKYKKFMIDQQLSKTECRNFFTESYEVAREYRQTSFQEEFLRTLAPPVAQGPKPSESKVFSGCLYA -DEINTGRQTGFFNVKWFAENSNPNEAKWTPVRILNLVAYTNLRDALYNSKLQDTTKMIPIPCGTSEDKVL -SLGAVIAYGLSVTCRNENLTVRDVCADVHTQTNIPYYLPNDSGQLGFKLLKYSHCPHYDLTTLYELYESA -RFDSDQVLCYWGIVDKLGITIPLKDVSTRPDLRQVIPVSPCCHKDGSVNEKCALVVCAPSFMQFYHHGIS -KCDPSIDGNLIKALKAALRDMSKVEKSIDIECDSASVVAASRARARSKSWKQWFEEHKWVKKLITCVGVV -LGIVGSFLGCKALYNKIKGRFNTSAEILEGASSQIVSSGDAKITRLASTARKVDSHVKAQSVDVVCEQSL -IKKIVGNTVHFLCYTPDHESFTFKCVVMHDRSFVYLTHFFERVLLKPGTTMTIIYKGLPRKNVTMTELHL -TPWMLSDGRRAGIGIGLLPDYWPLGKDLTGYLLEQDSAITSAGVMVEPTLEGVVTTPLLVKRCNRVIVNA -SDSSSSYEVIGGYTYNHGGSGKCGSIIIGYRGSRPYILGMHTAGSDRNFVVTGHCEALNKSDFKSVLDVE -VAPEMADADDARMQLRTSIVALGKANKALFVGSNSRIVPSEIHGVFDVHTYPAPLSGRDSRIQGQDSPLL -LGCEKQGVLTLDFPDCDVESCEMDIQDMLNSGCKPIIYKDVLSIQDSINGLPFDGFERMEMNTSEGYPWI -LDRPKLASNKKWLFTNVGTESDPKYVANKLLLAEVERKNDMRENGVVPFVVFADCLKDSRLKKEKCSISG -KTRVFNISPVDATISYRQYFLTFCASYSQCRFDCENMIGINVDGWEWKRLRDVMLDFSPFFLDGDYSNFG -PSLNATIVGKSIQNIVKWYEFNNLASSRTSLARVAIGEELRNSVHICHDLIYQTLCGSPSGCALTVYVNS -LVNSYYVRLAFLGLAREHAPEYYDLSFFTKFVKVFVYGDDLIMSVKPQIIDWYNNVNIAEYFAKYNINYT -SADKGDKIIPYKTVTEVSFLKRKFVDIGEYVLAELDVASVEDCVNWVWKSSDIRESTLVNCRAACELAYG -RGKEEYVRIVQTIRNAYNRIGVTVKLPTWEELHYRIWSKQM - ->YP_009448183.1 polyprotein [Armigeres iflavirus] -MYNQKKEQESAKSGPCKSLNKTSPSSMPTKQTNSRKRPTYVLLKNIIDNRYFHRTSGSPSQPLPKDSFDY -FVERATRFTGDKFGENVKYDFPARCIYNEMTMVLPEYRSFSIKYDLRDADHDSHRVRLIVPYFDPRYEFE -HEHTKLSIAEDYAFRVFFLHSCVLRLTRSLSETNDRPIPAPLPYYKRQSSRVVSNKDTDKNTPTVEFQSG -LTAMVGTSKEKPETTQDSQLVTVQDVETVQPFPDVEPDRINSETVFTDLNLAGVEWWLLRYTWTPSSPIT -TYDLYDILFNAVNSNSAIMKSFQVHAISHLKARIIIKPTANKYNVGLMGVAFVPLWNLWSDADKLAWKNK -YTLTQLPHSIINACSNNEVQVDINFAYPFEYLPHVSSPNYLPYRTLGSLFMFPISPLTIGDQGTKNCDLN -VFIHFESVEFAGKIDQRINMQSGLFSIVKTGLHYASALASGNPDPIFQSLNGVARKLINNKNADHPINPA -PGQFVIPQFVPSQASVTNVENPVNSFRLDPMGVVNHNYPPVESFEEIVRSPALFRQITIQSTDSPRLVAS -WCNQPLKPYRDYVISDYDPRRRYVPPIGVVSSFFENYKGSIVYDIIAAMTDKHNIKLMFGVFPTPIDPGQ -AVDITYLRNSKFVELNFTDGNFAASVTAPYFNPKIWTRNPSNASINSDCTSAAYTPSYCYLYTISTLSYS -TGVSSSIQLNIFERAGDDFELSVYKSPSVTVAPYSDPITYPILTLQGMHWSHDLTFTSSSLAPLGRPASA -DAIWNMWFNNPTSFRAFAVFYGDTPSSGPGVPNYGNRRYGIAYVPLGYNDSSAVIISMLESTAPPLVGVY -NAIAAYATRYNQTTFDALAPYLTTTLLTTSFEYGTTTNFFHVRWTPGQPYPLVSFQSGLTGNASNVPSKP -SPFPPTTSRGWGVKDFGENFSSIINLLKRPYGDVNVNIDTSRSANFPNAAIRVNVTPAIPSLSVLPINSI -DYDSAASAAEIVLKSCIGFKGSIILHALFPSTPNANVWTNYFPDAYPSRDISQYPTSFANPRHISTAPKQ -IFNLGINSAVRTAIPYYSPSQFVFTWTTTKSKQGDNAVVSSLGSVVYGLDVNQVSSVPLTMRTVIMRSFG -DDAALYLFRGFPPVVFFSENPPVIARNSSVADIEENPGPSFSRFVHNTAQTAGHGFSSGVFAGAENYFSE -LATTIENTISQKLANMGISSSPDFSLSNVLTVLIQESGHCILSPTWKTFLWSFAVILNKLGIIGLNVIPK -VTSCLASWFTSIATALNQSTTGAVELQGPSDEPLPHEFITILITGVSTLFGIKEWTDDKHRSAKKFTDTF -KSSLQLGTTLTAFLKATGATFKYLFQTAYYWLIRKNEDAQNVLALTVTDSLVANWMKEVDFLTDRSHRNE -ITSDPSAQLRVRVAYVIGRRLHASLIIGENRKTSPLTYYFHQIKKLYEEFAENGFSHHIRREPFVIYMYG -DTCIGKSQMQSDLCAKLLASQNITTPGPMVYTVPTISPFMSGLKQQPVIAVDDIMSVLIPESLRNWFTLV -FENATCASFRPNMASIEEKEKLVENEILYFNSNFEDINHDSIPNKDAFHRRFHFKIHAEITPELKKDHAT -AKTIDPAILNRIGHLRFRRTLNPHEPGRAIYSKWMTYDELVQHAIEDFAQFRKAAQNSVNRRLMCELQSK -SLGLSDISYEQLQDENFVESKILEFLAEMDKLDNNRGIFSFDIRQALNTGSKTLCDTFKEYYPKISTPLT -NTIKRFKTWSFNTIKPEDVSTQGPSPTPLAPPPHSLKFVVTSLQTIQTLNETIPEEFRTTVMGNCPSGSL -YKNPVPPFLRMVRMEEILNFKHLSECRCEEEYLESGEICEAGDACYVSIYQKTLSKIPHVVTKAHDWYIL -AKNYAKKVALKIWNSMSTPLKCVIGIFGVFITGSLIKLAFNWISSKWSKDENNVDDDFIKTFALQGHYSP -GTSSKNTKKPTAVKTNVSVFTSKAVTLQSGNLNDVVMKVRKNLKWFTVCPPEESSNPHYSRKLYPILGIH -GREYFVILHYINDITDALQKGQVVTLYDGCMHTPLNNSDFHETLFKWRYTDTSLSELGIWSAPAKVNAVP -NIMVHCGSRSKLNRMNNECFIVPCSPDLDSPCVLTRFNNNDARHYDDISEYNNLISYDDYSSPGFCGSLV -FSKNLGTLVAIHTMGSTNGKLGFGELVFREDLGEPIIVEPGYMQMELEPCTDEEIAEFPQYLKMLGKIPP -HQVKKQATSSVFSLSPLANTVFEVRKEPAPLTAKDPRINGEWSPMKEGVKKHSNPPLGFDPELLRIASRH -SCELLKQSCSLQRANVSVLSIEDAVLGIPELNFKPLDMSTSPGYPLNSIRKSTEKGKAFLFNIENSDNER -QLIGLHPSLIRILSTEENLRKNSVACFSPAIDCLKDELLKIEKARRIGGTRVFSVSPVQQVIAGKRLMGD -WLMSYRTNWQRLEHGISINPHSTDWMCLAQRLRKFPYILEGDFSNFGPQADSGVAMMAIGNIIEWYEFNR -AAPEHLTQLYALREELVNGIHICNNYVYSTISGIISGSFATAEINSEINKIYMRMAWIALTNMNLQIFND -NVVLFTYGDDVIMSVSEKYIELFNVKKISQFLGYHGIKFTNASKTAELVEFVTLEEATFLKRSFRFDPNV -PNQCLAPLDKVSIEEQLNWVRNTQDPWDLITASTNSMMIEAAMWGRSYYDNLKNALMKAFSQKSHYYSFL -DYDSMIKKIYYEDTPATYPTFV - ->YP_009351892.1 polyprotein [Pityohyphantes rubrofasciatus iflavirus] -MNPQTNASENLFNPISLTTLASYQIRENFACANRWTFKEPFQTAYKRILSRTDEIARFHSLRFYFNADGY -DSDHDLYDDYEVHFVFHVDNYLVMSIEEQIETLYDIIKEMAFDYELNDFYPDPVKRDIRVTPEFLVLVLN -LSDYKCDHSLESWRRILLLRAGIESNPGPASVDFVNLASRNFLLQDQTINQCAQENDGKLTWFVQIILNK -YPSLSSVTFSSYNKKIAYELCYEQIMAKIGAKMTNNCFDLEPEMLQAFTKRRVVDAVHQSESATEGSHGE -TTSETMAALQTNLVSTTEESSGMPTSVKVLEQMKTTTENIGNYDNLTAQWYLIDEFEWGVTSPTLIREYV -LPRDILANNIAANSPPLIPFNVNYLWRGTLEVRVQTKAQMFLTGQLQISSFYELNVDADAQMRRNIYSAS -QTNHTIINAGGSNDAVLTIPFVYRQPFVQIKQDSLIVGNNSCLDMVNVLIQVLNQLKVGTGSSDVSVAVF -VRFIDSEFTGKRDGAIGNFDGVPTLSISDARHEMMSMLADVASVAIPGVGGMIGTGIRLAERALRQKKKT -KNRDNPPVTGPTDMLIPYTAQNWANGTNIAEPLRTLRLDPVGQTPHPDSLEQVTQMSQIAQIFGLFRQIQ -WNENQNPGTTLLIVPCTPLMPASFYQLSSENPDDQLRFVPPVGVVASMYNLFRGPLEFRLDVVANKFYLG -GLIMGYIPGIDVTTTVTNEMLRNSAFTTYSLDANNLSITYETPFINGAEWYNSPFAKPYNNAFARLPGCF -VVNILQRLQQPENVNSEVDINVYMAGGSGFECANLTQPSLVVPSDATFVVNPLTVFNAVNFPPPNFLGSR -PDTTSGFDTLDPIALTLGGGSGVFAAWNISSSAASFIALAVPPFELGTSMGVVAFSYFLGLRVGGNIRMY -CIQQDIPNIDILISALNSIPYFDNPASRSTLAMITGSGPWFNTTGAGFANIFPHLRAIWTQGQGPLVHDV -ITDAVHESERDQRPNLVTSVQHYNTNNWGLESFGECFADVVDVLRRPVYNQDFNYVDSASNKFPNALFKM -RVGPIPPNTDLEDPFDLVNRSSHSKIILSGYRYYRGGMRYRIVMPNLPQVYVWTQYDASDKISTDSIVYP -MTGIATPVLTHSNPLDILTLQVNQVMNLEIPWYNSNQLNYLQRVNFLNLDSDQINATALGTITVGLSTNQ -TEIIPGSYTINVFSKIADDFAPYVFQGFPPMSFQSFLNPTEPVAEMTAEHEMMRNVIGRNVIKPIVAEVE -TEIGKVAENVRVQIGDVTNSIGEALTEIVEKIKKSANINLDFNWNIVITDLISQLGHCIMNPNIKTFIWS -IITMLTKIGILSYNVIGKAVELFNNICKSVFGIYDRVTKNGTQQEPAVNLNAEHNMPSLSPSQTLPLPLD -DVLVNNTAEFWSLIVASLAGLIGFGASAKYFNAKHFAWGLSRSLREFTMTANGLTSFLKLHLDTIKKILK -SMCFWKSVEEFDPESMIVYNKSFIETWCKEVSYLTAPGMDTKIIGDSYLSDRVYLAFMIGEVIAKNVVSK -TENKTNNAVLTSKLAQIRKLHEQCIMAGKTGAVRRETFGVWIDGDAGIGKSYIVEEISTRLILRGDISFE -GEKTLTLNAADKYWSRCNKQPVLWIDDVFQCQTEEMIQSHLNAIFSVMSPTPLCPPMADLKDKDRIYEPR -ILMMTANSAFPNVKQVSSKAALWRRRNALIRCRMDHEHCRKFDPDYFEGKEAKALPAAALENYQHLWFSF -AKTPKNKETQWIGPYKYERAMEYLDQLWDEFEEQSLRSYNHRLNKYYEAKRQVLPDYLNDMPNVPKHSNL -FLQIKELAARLDNSALSIDEIHWNDMMEAKREKWVDKVFNVPKRMMALLVGRYPMFTYGVNSILTGHIPS -RRSYNRYTVHGLGWDDPKHSDLCPAFDKPPLVTNVPKNSYGRRELGFNPFSKDYRDIVRKSHEMSCFGLT -SEQMNQVEGAMAKDDVMKFADDLQQELVDLEDDESMVWDLFDVSAEHEMNDDDSKELKTLITSVGDTIRN -HFLGRSDRLMLLLNHICSFRRTLKTSQVEEILNFYESTLNFKRDHLSTSTIVKLMYTKIAQFDSCEHYRL -DRDWVYMKNTDKFVYIQQRYEEQKQTKTLSGGFCDDSHCALSMKLFKDHIYGFVRCPEGLPENCTYGIDT -EIQKLVIDDVETFKDKCLLKLKQWSSALWIFLCEHYKSVIAILSVVVISWFVFFKPDTKNATDSEGAAYD -KNKKLVEKRKVTSKRKLIKHNQAKEKIIVGEHEANQNVGDVMRKISNNIVFLQLSYTWEGIPKSKKFRVV -MLRERQCLMIRHYIEDIQFYADHDPNAKLSLLVNGNNEVPLAINCVNEFSVLENQIETDFDEEREGTGYV -LYNGLCVVDLPKTVREFPTITRHFVSAKDELRMSNNGILLMPEPGKGSLANTIVCENVKFSNYENLTVNA -ADTTSAVHLERVWMYRGVHGYGLCGSLLLNEDSGKIIGIHTAGSSTNNVGFSERLVYEEWEFVDEQAKHE -VFVPNLEPITLDDHTLEGAVFPLGKVPKMYAQNNSGISQIRKSVIHGVCEVKTQPAPLTPYDKRLPEGCS -PLYDGVAIHGLPPKEFPKELVDKAREDLKDLLIAKCIPVRPVQMLSLEEAVCGNPLIGLESIPLDTSEGY -PLNKMRPKGCKGKGWLFNIERDEHSNVTKMQIHPELEKIMKVKDAMRLKGIKPFTVFTDVLKDETRPKAK -CIKKAGTRIISMSPVDFTIQSRQVFGDFILAHGKCRSNLEHSIGVNTYSDEWTQIAKSALRTSNKIIAGD -HSNFGPRMMTIVSEAVFKCIRDWYEFHGATEEHLQRLDIMSAELMNSVHLVFDILYQVMCGIISGSLFTA -VFNSLCDNMYFRVAWLSITGRSFEDYYKHMYMTTYGDDNFGSVDDVVSEEFNVYTLHKFFAEYDLSYTDV -HKNTSENMEKYCELKDASFLKTGWKDHPLKAGYYLPTLEKDSIENQLNWITTEGDAVDNTIVNCKSALRQ -AFGHGKEYYEQLANKIKAAFALQGHRFMHRTWEEQFVAIDADYHVVK - ->YP_009345906.1 polyprotein [Bat iflavirus] -MTTAIKRPTVTLKLTATDGKTGKAVAVPSGFDHCTIAITTNLPALKGPYFVDVLNDDESVYASVPIVASS -FPIFDLPAPDTKTLSLRMERVAGLVVTAEAYASIIYYNWSEELTLPTKPLHTIIDNVPEVRFHNDQPVRT -EIVGIPSIAINNTPVDIRDITDTVRQVEVVNDTLPVRDRVLQQPLWVTTQPFNQGVESRLMTSHYSIPYV -KEVEDIISSCFQVQSGKYKPSLAAYQYTLQGKALYSINFEYTGELDADVDTVRYHTESLRHVGARLELIE -CRILYLQIGAQIVPKVGALYAYDATDYITGSIDNSNDTPGLVFDTNPNKLYKVTKAEPEMDRTANVEAQS -VTSGELVAKTSNIVLGETQQESGDIASAQYSPRWHSLSTTELTSRYDNLCDRHMYWKSFTWKTVNQPEGK -ILLQATLPFDYVSSSGSYCNKPNFSMFNVHAFWRGDMVIKVQVNSNQFQSGMLLASWLYASDSYGRDDPA -GPRYANVALMVQRPHAFIQAGDSNEAEIIVPYRYVTPFMRTKNLYPGTPAKMKALNMGTLTICPIVPLMT -GTTPGAPTECGVSVFVNLPDSYFTGMVDGSIAKPEMLRSAPPLEEIDFEEEPALPEMDRVGRVIGATLGA -VSKELGDINCDNPPSTRPAQFFVPLNAQSWSHGTNAYEPINTLRLNGGRIGVGRAPDVGYSDTQISKIVD -VFGLLKPIEWKYADKVNNVAGKQIWGMSAHPQCDKDRLYVNTSQGLMDMYVLPPCGVVSSLYCYWRGSLQ -FKFQIVATTKHTGRLLVAYVPGIADYSKVTLDQAKSSAHVVFSLNTGTNSFTFDVPYIAETMWWQRKYGG -AQRASDFLAPSCIVMFVVNPLVPMESVAQMVTILPFIAAGKDFEVAVPGQPAIGLALNRENVIPKADQLT -FKAGYYPVYVGEWHSFIQGQKVIFRYGNTSEHVAQTTPAPRDPPGLARIYRPAEEIGVLFQTKIAGGRDS -ATGLEVKVVPQGSFKIGYAVAFARDGYNYLIPFPLTGDERGENAAKIVAAAVAKNQDLRNYTQYIGDWVA -DSDWIGDSNHNIPWDPLIFSIAQNYVARPEMERTPVIAREENPNPMTPTSYLPSTRNGVVTFGEGFADLK -DLCRRYQLYWEGTVAPGQIRANKRNAAFVQIPVLPQGLKLDTTLDNPVWNSMREGHIPIVASGFRFYRGG -VRMRIVVTGLNDSIWVQHHPDRPLTDSVVTIGSQIHDKDAYRNHGYGFHVQNLSVNRTIEIEIPYYRPGT -MSLLGDPARDYDSQYYASLGDIVIGLEGDQSVNDPIDIAIYYTISDDCSFNHFVGFPSMVFCDEVFKQET -PPKPPPSYASEQDFEFIAAPEMQRVPEVVALGSSFAGSALTSFLGTCLGNVAMQGTKALSKPIVGVVKQE -VQANITPVLRDIESRVTEASSEISRALGKTLPQQAIINALGQFSQVALNPSPSAIAVAIASMCAQFVVVT -MDMLLAIQQSLTTFLEKVWYKYFNPSNDPQAGGTRAQPEGFFDNINDKELNGFLGLLFTAVAGTVGATVA -PLNKFPNIMKGVREALNVCNASVVFFRNIVDSIVYMYKYCLGATDEELRAKIIIEREYPHMKDWCNEVME -LLDPRNQNVIRHSSKQANRVFDACMYGARLIRENIEVSMPGGRVIYDLYTKVCKLRDDLIELGNHPDVRF -EPFPIWVCGPAGMGKSHMTNRVCKEMLQGINYSTKEMMIYWLSLGQKYWNGIGNNPVIARDEAYAVGGQF -TEEEIATHLAICSSSILNPPMAALQEKNKRLNPLIYYMNSNCEFPQINEARHPEAIYRRRKLCIRAKYTA -DIMRRFPRLLDASLLSNEDVQDYKHLEFEIANDPKDPQTTWNGPYKFDELLHIVKTQFTDHIRKERINFR -QRMRDAYALDPDYDESDQLDYIHQTTLPFESLHELHLRQREHAREILYTAPPTLDDETPWMQSIYERFSY -LWTDCAMPEMPERERAGFYNDRTREMAEMITRNTQLNRGSIMKLLSGGMEFTDEEVNAFVIDPEFAELVK -NKTVQMRFAIHHKDSYLSMLPEAFCTIWQKCGFDDTCVAGSAGFAKRIVPHWEDVSGVDSLRSYVYWIIR -QCQYRMIVAELLKQQTKDQVLEQLLPFFAIKGIATTYQKLMRVRTAEELLEVTKCLDDLDITDARMINDI -YMIIFYMNRVVDHNADFCEHCQFWVTYLHDTSKLEYSARQNTVLYYDSLGLRRRMDKFCTCNSAIASNPL -FRNAMRIVWNHDHGTTSHACNPFAFESHRADRAIVDSWLARIWDYVKDWWRNVAQPFVSVILSFLYEHFG -KIVVLLIGLYTLYNMYSKSGGNVAQTVSSTVGAAAETVAGSVVAGLLINRETSCGDKPTAAPAPASGETP -ESAYMKMGTAKAAHTHQPAQKEGDNLRSPLEILCDKIQNNSCYLRVSWTNKERHMETIQGRCLALRERQI -LIIKHYLEEFLSKPPDSKFLLTYYFNGIASCAFVSRTCLEKAKYFHANGQYDQCNYAVITLDKCLPMFKD -ITSSIALLAEHHLVRNEGYMVGMDNDTRKLTVQGPFELKAKKFLQIAGDDTVYAINNDVAYEYKLRGFGL -CGSVLVSEGVCKGNPGIIGMHVAGCKKLGDGYSEPFYREMFNKPALQPALRYEPPRLLDPELSKVKLTSN -LIVHGAVSKEMAHRESGKSKIVPSALHGKVYPVLTEPNPLRRNDPRQPPGSDPLIDGCNKHGIGMTEAFD -EDLLKQVSDDNMRVLFTEVPCYLEKLRLLTEQEAICGTIHIPHCEPLNWNSSEGYPLSSWRDGKYNNKKY -LFDLDLQEEGYILKGLHPKLLGLLTARQTDRDNNVVCPEIYVDCLKDYRLPPAKCKIPGKTRIFSIAPVA -VTIDVRRFMGLFLSGYKTANVVGQHGIGINPDSYDWTRLANYLREVGDNIVTGDYSNFGPTLSSQVVAAC -IRDIIAWHKLYGASSAHITNLEQILENDILNPWHLCGDLVYQTLNGIASGSPITAELNSEVNKYYIKLAF -LILNKQNGFKYTLLDFNNKVRLVTYGDDFIMSVHNELISWFNCQTIADALLQYNIKLTDAQKGNTITPFM -PLEQSTFLKRSFARHPTRANIWLAPIEEQSITECINWCHRQSDLKAATEEVLRASCELAFGKGPAFYEAH -VTRILKCALNEKLSFKYPTWKELDLRNFG - ->YP_009344960.1 polyprotein [Helicoverpa armigera iflavirus] -MSSFNVQLLQNIFSDLIAQEFDSEQQRLRVLYDKKYEHRANFGFDSALERDRLEDEWRFKYNGLVKRRNW -LWFLMKNCKFVELDDYFNTDFTFSDVDLRLRVDYEFRVKKDLNRYKYINRKSNFVPFSVSNLDVEDLDLD -NNDIDYLNLDANTNVVTYKKRRTRSYEALVDNNYVYNKCEIDNRDYNLLYFAAIRLRSVIELLSSLRNRL -SVNKFYRRSKILKSNDIELQELRYEGGLKPEKIEVPKKVVRNMLKPNNRPLCCNYCPTITCLKCFRGEGC -CDCDSQRNLNRLVNRLVYQGNVEQNAGGDTDVVQTDTAKNVVLTETEITQSDNTSLSNIGWSSLTSSDTI -TNHDNLVNRWLRVGTYEWDKSKVLNTTLVSLDLPRVAIFGGTSTCDQPNKIPFRVHRFWRGDMRVKIHIN -CNKFQIGQLQCSWYYQPKADASFPTKNNVYTRSGTHHCIISAAPNNEVELFIPYKAYKSMYHTKTPPAYD -WHDLPLDLGTLFVTVLSPLKTTGETSPKCSFTVFVKLENNEFTGMIAGDIDTPRQVRETKQDGGIRYEMD -AMGTVLNAAVPLVEKLLVHSGNDNNRDNPPVNAPPAYVVPTASHSWSYGTDVSEPLHNLRLSARAQTCHP -DVDLDEMKIDVLKRKFMLCDIFQWSQQRNNGDLLWDLPVNPIPPKTRLHKTASASTGKLATYQLTPIGYL -SSLYNYWRGSIEFRFDIVASQFHSGKLMLAYIPGIPEGESASIEQARASPNIVISLDNAMSYTWRVPYIA -DRPWWPRRYAGESVSNNTVSPSKIFVFVLNELVLAETVPDTLDVLVYMRGGEDMEFSIPVQPSIGLGYDR -TYVASRDTTDVFMTSTTTSVYVGNWHTVPQCQVLRHAATSEAVARFTEPILDRPVYYVMNNSPPLANTNR -SSGGPTLRQVTRFVFLKSPVFSEYISIPVWWLNASLAAQERLEAIARACFTNNFTVGDWMKDFVFTTTTA -DAQTSYGFFSKDIVTTSNTSGGIKTIPFIATTVSTIEYQGNREQSFAMVDNTQNLASTGRGMLTFGERFV -DLKDLARRYQIYGWTSIPKENIERDPGACSFIVPILPQGLDLAVNTPTTVNQIWNRAREGHIPLIAGLYR -FYRGSIRIRIIVTNGDGLVAWVQHRPDRKLARQTITPCTSVTTAEAVFNHTYGVYMQDLNVNRVIEVEVP -FYQMANFGLLQQPVTNNSKDWGSFYSLGELSVGFFGNSPSSDVRCTVYYALGDDCRFTTYQGVPPVVLLD -DLPEYNSNLQYEGWGNVFRSPKEVGGEVAEGVSEGMIASLQPVLENFLSDIKSALSDTYASVKDTISGLD -FSSKLSNILSQIVHAINNPSPSTIAISVISILLTLGIVTYAMYNTIKEHIVTIWKYIMKKVSPSEQRDGV -EVGAEASELIHNGCVEENAATGFMSIICGGLCTLFGMKNDREKYVPISDSLFKNIDKGMKMSNVCFVFFR -NLMSVIGDMKTWIVQKLYPGFNAAEALLEGRDIIDKWITYSHELFDPMTSQNIKYSQDLQLKLLDCYAFG -KILRVKAKETNYPAVIQLVNNTFDKLHKLHVELVAQGIDPQVRKMPFVIYNYGAPEIGKSHLTTDICTEL -CKSQDIKTETSLMCVLNATSKFWDNCDRQPCLVMDDAFNIRKGTMLEDQIAAIFNVVSPVVLIPPRAAVE -DKGRPYNPEIFVLNSNVDFFKTEVCMEEALWRRRDILIKSELDPDFKKEGCPHCEQGLKVNASLPKEAIA -YLKDYHHLKFKYTFDVTNPNCSYLPDSGYLKYSDLLVLLKNLFKKNREAEQYKFATRVEANNSVAGATKS -IVSNVDNLEQLWNDAMNKRRMAQEIVRNSTMKTIMNSFNSKVKDNLAELKYQILKRVSVTLNPTNNKYLL -LNPTCTECVRIKYQCMVCQIKLQETLKQSSVKVDNHVPPPPPCSGTSDLFPLGFENEAIPSTSSSSVSII -DDIKLEGDDVGECIPIKFLDGIVNEVNETTAKWFDDVIYNCTPEILNKFQDFIKVSEGAIILELRKYPKF -ARTVSVFKNICNSLCNCCHNYKNNPPFVSEGKCSFINPRRPDCPDNIVSFTCRECCYMTLPWMIYETAKY -CKENLTIEPWMTGLVDCDYKGESMLSRVLSNMIKWVYDFYYNKMTPAVKAVYTFFSTFTGWVLGFSFISL -IFSTIIMGAGCMDVCENLKQSRIITREMERVAKTDWDKMINAADQGMPFDALDYENKTYADSGKGKVNRH -VKPKIRVPNKVSKELQHEGVQQFSVVEERLKENMASIVAYVTDSDGIVKKYSNYGIMLRGQTMLIQQHYY -DFWKRLPATATFHFVNNKIKNHPLGLPLYNFFELEVEWFATPNVEYSDSNFGLLHLPNTLPSYKDITKFI -AKSTDHEYVQVGEIYLYHCSEERMMHCNMHVVGRREVTDGTWLRLDECYSYQYSGVGLCGSVLVARNLER -PIIGIHFAGLKSGNQGFAEPIVQESFVTNASDIQNYRFDNLDLHVTDDKPKVEFDTLLYPQGCVPREFAH -NQGCVSQYVPSLIQGVYEVDTEPNPLSPRDPRVIPPGQSPLKLGCEHMGKPPIDFEPSLLEVAADDLCQT -ILKEVKPVRHKIELVSLQDAICGNSAIEGFHPLEWSSSEGFPLRALRPANKKGKKWLFDLEETSDGYKLN -GMVAELKRQLCIGQEYRKQGIRIPTVFTDCLKDTCIDLEKCKIPGKTRIFSISPVQYTIAFKQYFNDFLA -SYQNCRINSEHGIGINVDSLEWTKVANYITTYGNKIVAGDYKNFGPGLMLACVKKCFDIIMAWYERYDPD -PERNLVRRVLLSEILYARHLCLNVMYEVPCGIPSGSPITTPLNSLVNSLYIRCAWKVITGQPFDIMHSNI -KILTYGDDVCINVSDEYIYSFNTITLSEFFKKYNIVFTDIDKSDNIVPYRTLDNVTFLKRGFVRHPHSGV -IFLAPIDEQSIRKCVNWIHNKGDHVLNTLENCVQACELAFGHGPTYYNKVREELSRECMKKLHVSFKAPS -WIEKSERCYDI - ->YP_009342337.1 hypothetical protein [Wuhan fly virus 4] -MLSKKRSKIVKLLKYEDVNTLHLSSYKSLVNLRIMVKNRYLKKQVDLRRMREQNTLFSRLVSGFTTTYNR -FSILDVEEGEVECRLDLDELEYKFTPKPRQNTRFDENEANLLPNDFVRPIPTVRIRDRRLLLKLKAIILK -YVNQRRKKEFREDIPMEYLGASKFEDVPLEPVYRPTTVPDDDQPCCSKDIRPEMMEPEQTTQQQDAGPVV -LNTIEQVSTVVPADVVSDNFWVENSTSDAIVNLPHASQMEIMARQFEWSSDMMQGYEIFQLDLPGEPISG -NMSHAAAMLFGQYAYWNGDMKVKIHINTTPFHVGKLVFSWYYAQHFDANASDRDNIASAIQLPHVCYDAS -EGGDAVLEIPYRNYRSMICTRAKQDDSLNFYMGTLTCRVFNPLTADTSTVVDGYIHVSILNSKFTGICPR -RDVQPEMMTTKSLVKTAETALHVIDACANMDKPNNPAVPIMYTPQFTDSFSTGTNDVSNVHVLRLDPLGQ -TQHPSGSTTSPETSLKEISRKWGYLKTLTWSKSQNKNMSIYSFPVSPELPFDKYYQTRVTDRDGKNVFAS -VLPPVSALCCINAYNRGSLEVKIEFVNSRYHTGALLAAFTPVHLSVDFKDALQSYNATLDLGNTKSYIFK -IPYINERPYNPRYNTMHSKNVKLAPIGSFNLYVLNQLRAAAGVSDHVYVNLYLRAGDDFEFAVPVSPLYS -INLDKTAASHKSAITPTNFSVMGIATWRYMSHIADRAVVIKSGKGDDSVMQFDNLKPFVVYKRTWKNNVK -LESSLLCGVYQKAGDKFAISQQDVRCDLDYIAMIIVNNDGNKYAYAAPFPNSIAANSYVLAHKALVTEQN -PYPNSPTLVQWYNYDTSNVVFYCAIRPNADDSYRNTIGGTNVSDYVWTEDFVAGNMPYSMDEVESQMDIM -SDPTISTLATTMSGMRMFGERFADVKDYLRRYQLYGSFEVKMTAGTKGKMLRIPLSYLALNCTEEINGMT -RTITREGLIPYLLSMYRFGRGGIRMKIIIVGNSNQSVQRDDEVYYLQHKPDVLPHRRDAAQFDLKKDTLP -ELVLQSGYAYTAFSSTVNNTITVDIPCYIPTNMLMLQAPNYNRKSEVLHYFLGVLDLYLPFISNNSSYNV -TIHYAMSDDFQASDFVGFPPMTPLYKTQLIRPEMADEPEKKEPEETGKEVFSGDEIEEDIVEEIASAIIA -AAPVIETPKVELKPTPKPQGPTQSVPVVEQPTTSRQAAAASRPAPAPTTAQSTQQQSAENATEQKNFFVR -MKDTTAKFFKDKVDNFTKSSVREALDLPPVEDARQDTIGKIITDAIKKFGEEHKHVIVSFVSQLAHCIQH -PTVGTFVTALLTILMHLGLNCYSHFERIMVWFRNLFTKDGVQPESEASETKTEKSLEENKRSFARLLVDL -GASAFGKTKDFVSGLHMPNFSGQLFTNIRLGALTANSVITLVKNIFDVVPKIFTWLGKTINPKRWLRWLF -SNKKDMIQKWISDVEYCIEPNNLTQMRSNMYNVLTIEMLVIVGRDIHSKLNRLSLPVSNSYFLMLIKKLD -NLYIDVAKTNLTAGSIGIEPFCICLQGESQVGKTYVTKDLCSNMLKHIGYKTNEALFYTRPPGSKHWDGV -ERQPVCIYDDFLHIKTPDAIAETIGEFLLLKSKATFSPPRAAIEDKGKKYNPLIVALTMNEAYPVLNEVA -ESTAWMRRRDFLIEVKIRDVHAGATVEEIDSNITAKFDHLWFFIKKYNYEHGRNAHEYTERSVPTEQTID -LDPSQHVIEQTRQFTYKQIRFILNNAFKAKYDKMIVEFLKDLKMQTEFSPDAGADFEENVDAYRKWIIEN -RVTKNKRELETLKHAQDFVEKNKCTSCDQTICVCDVAQLRISRIGTTSTQAEMEVAEILALYREYVCEND -VIVKSRMQAIDPRTSFGQFVLQDPCIHKWILMNKCDAGYVIDEFDNKFVLVNNETGDIYDMDTKGCKCEG -KESTKIEVLAPYYMQMAGASQSFRSKYNSLIEDVKVFSPSKLYVHRMEQSKLEFMKWMDEKIHKESWLSK -TGKFIMTMLKPLTYVIGIFCAIYLVKEGVNFGVNVVSSGILKAKTESNKLFAKMVESHVVTDKCVNEGCR -VCALGEMAYTDRLARKTPKKPVLSKVEAQMSMNSFSPVDMKLRRNYFFLCATKKGGEPVYCRCLGLQGQW -FMAVDHYFHKIQSMPLDTLLEFVTAQVRIPIYLTSIEILTIKNSALVLGRLPLQIPAFPTILKRFAPQCM -IPNICREAMLYVTETPVEKEHALNTYNVTLHRNDITLHDDTLHVPHIVDENAMPTTVETYFQYQTSGPGL -CGSVLITEMNCPSPIIGMHIAGAKAGGCGYSEVICYETLEGIISRYENIITDVEIEGQMFNGEYISLNQQ -PQSTLMALEGNIDFMGTVPNKYKYKPPYKSKCIHSLCYNQITTSTYDVPHLSAKDERFEGSPMYNGCMHH -TNPVKAFEQKDLDLVFQNVKEEVFAHVVPLRQKIGPLSVDESICGIPNIIGYDAMEMDTSEGFPFSSIRP -KSAKDKKWLFDLDLTATGYTVNAIDPILIDVMDRKHEMRVNGKVPMTVFIDCLKDLKLPKEKCHKTRVFS -ISPVDFTIQFRQYFYDFTVAFQAARFNIESAVGIDVDSYEWHNMVQLLLDNSTHFVCGDYSKFGPRLMTS -CVLKFFEIITEWYQLHGDTSFENKRIRMIMAHEIAFSNHLMLNLVYRVYCGAPSGCPITTILNNGVNMMY -MRMAFLHLVVRSKGDKLIDDVFTVNSLSCLKRYVCMIFYGDDLIMTVKPEIIDRFNANAVSQFFAKYDIV -FTDALKTGKSEDFLNVFSPETSFLKRNIGRHHYRPIFVAKMDKRAIEETCNWVFQGHDEPEASVTACEAM -MINAFGHGPEYYGQLRERVMQYWQKRKIVPRIPLWTEIDERIFD - ->YP_009342321.1 hypothetical protein [Wuhan insect virus 13] -MAVSKRKRVLANQKSCGLKPQAAKSNNGVAVPKAAKYIPRPVVLKNFEKINKESARSFKEELKELRLFSE -FETNKSYIKFAQAQEKCKRLANEINKFWAINNVRLNSTTYAQSVKQGPINEFPIVYSCLITTKEARNDYF -SSIKQRQKEKKNNDRLIQSIKSRMPIKLISDYNAKRDYVIYWKNVQKEKDEARRIVEAIAERHPIFKKRR -DIIDSDNKTEKPRRKTKNKPIEVLEFEEGCYWEDPSRPEKQRNLQYHIDIVEKREPKPTSVKQIKTRWNR -ISKEIDRGDKPYLREFSNNRLNRRRLLVESLSKTENGKVLMAGYLSVLMKRNDCDLSQILSSFETLKDFI -EPQMENIGAEGKQQQISDKSSTSIISSAQPEDKGKPHDIIEGFHKMAITKEIQQYSTVTSRWIPLDSFKW -TVSHEVDTLVGNIYLPYNQLKQYDKSQNFQLFYTHRFMKPKVIRVKFVLNSNRFQIGCLVADVIYIGRKD -KVSVMLQDNIYSALQRNHCKLMAGAGNNAELVIPYHWINSTMKIQKNINNVLISLRPLNKLSVAGNVATE -CNITAFMTFEDVELNGMISRELVPQMDSIANVINAGSNLISVLKSDGNRDNPPLPLNPISVIPQAMGSLA -YTDFCTEPVYSLRADPRGQITQIMDNNEMSIEFLRDCWSFLKTYEWSTKQENRELFSIPVAPLLDLSLYP -TAYSGKVPTALAMLGSLYGRWRGDIEFKFEVVMCSFYSGSFMVASVPLVGQYDKRTYQLASYSPYVTFDL -SETGERIFVAPWNWYNSFANTRSSSIYDIPSYVKGYWVNPLIAIDNVPPSVYINVYVRGGRNFEVAIPRA -SILCPSFNDPIVPPKGQRPKPYNLESTWYLTYNSNVKSSSGKYPLVPYIQDVKNGFVGYTDLRPMQLYKL -NDKTSKGLRFRCLYKFNSKDVVIQWGCYDIGLSTVNAHGLIVSWNKQEIIDYIEALKKGTSVEKARDVIK -EAMWAGDGEWSQIYKNGKWIKASDDNDPPIWDWTNITIEPQMDNEANVVTRVDNPTITTTMGFEYFGEKT -PDLKSLCRRWNHYGTIVGVVCSQGMPRDCPYSAVIRLNPIKHIDPQVSSSYDNRYRNGVITTIGSMYYLY -RGGLRFRFIVVGNPPEGTMMYVSHRYDLFSKSFLPIVKGDGKVRSKDDMMNTQYATHGQALTVNSVFTVE -VPYYNCQERLFTSFVEDPKYSDNGLLYVWIHSKVASNIHVEVYYSLADDSRFTVFQGVPICLDITNIEPE -PQSDPRGIEIAYEATDDIPCTSQQAKNRGIFRTAKDFMAKQEEAAASVIDLTKDIKTTNNSLYLLIERIR -NFVETSIGKLEASLSSSSKNIKDITDTSVSSILDYFKGLSSTVFSVVTHLIYSLISPCVSTIAWTLCNLY -HVFFGFSLKGLDVVTEFVKSIWNRLKSSPTQLSQGEDIEPQSDFSAIGSYSSLLFSIITTVCSLKVTPPN -SWQGIDKGLFRFGQTARASHFVGIFFEDNIKLFKRVFQKLLDMFGSKTSDFELLAGVNDERLRDWLAGAT -LLIAPQSSNNIENRQDWAYKVFEYTLVGRALVVSLCSEKLTPPKLLQAVQNIQKKLIDLERECINRKVFS -PARYEPMCTWICGKGGRGKSRLLDAIVSEYAKENGVTDGQICHTITNGQKYFDGLTNQQIVVIDDFLSTS -ITVNPDILDLFLQFKSCIPLNPAYSKVEDKVNRVSFRDLIISSNRIWFSNDAGIDNPEAFNRRRDVMIRI -EYSDPSMTPDKFKMLPLSSLENLDYVDIFFHISPGERSESGWMKIERENDKSYKEVVFSFLKQCHKNYHD -KEQISYQKRCARMQDIINNCDNRGSFEVALKKYKVALSEAYSKNDSKPDFSPELDRWLRTSKNAATYSQD -RRISDISFDSLQPQMDLGDFPNETGWPLIKMDSFEISEYSIGEVVEPGFVRTPKEIYCGNECKHVSFNFL -GCTYDAKNQLFENNPLDLVNLDNNKSYCVRNGVCLEVAEVHGCKKLRPSKNCRWYENDFQENFFLNFHTY -SEYSYILNRLKLEDDDSYNLILNELPIYTQNWILNIKQDNKIVVDRSSDRLIKSIKKIQKNIVDDSEVLG -DNAIPKRSRWKTIPQKIWEVMIKAFQMIWKLLEWLLEFLGFIWKVAVVAGLIKFGWDTFSTRNLIPNLHA -SGDYKTLKSRGGVRSRALALALPHNEGIRTDDIIAICGSNSNKKGVINKIINNFFFLVGIKDTDNGTITY -KARCLGLYNRTAICLKHYVEHWRAVGCEKVALVYNGAKGYVTYLIDELDFNWTTEGYGIVTFPKSLPRQF -SKITQFIPSEKFDGNYPSDCIIVEPFVEDCYQYNIRISKITDKVKVPGTTTQSSWEITQGLSYDWGGRGR -CGSFIIAPNMACPLIAIHTAGIGEKKGFGELLFKETFVHQDEPIFEFVEPNMIQDPPPYGLDGEYYCVGG -LTEDKVPRNSTKTKIKPSLISGVFQVTTEPAPLVRTDPRLSEPLDIFRIGCSKRCEPIREFNKRDVYSTI -VAYRDKMFNNVFSQRHCVDVLSIREAIEGFAIEGYDPIIMSTSEGYPWTLDRPSNSGSKAWLFNREEYPD -GRMKIVGIYKPLLDVIDLKDKMRSQCIVPATYFTCCLKDARILKEKVSIPGKTRVFEMCPIELTIAQRQY -FQDYVAGYIRARGNMEHTIGINPDGPEWSELANDLVQFSPFILTADYSGYGPHVSHTLLDAVFTHRMAWY -DQYEDLSDEEKDRRFFVRSCIKEENMHGLHVVRDAVIRFASGLDSGNPSTVDLNSEVNSHLLRIAFLGLA -RKNNIKHCLDLYFFEKYVKIRHNGDDLIAAVKPEIISWFNNESLISFFADYGLKMTDALKEGKVRPYCSI -EEASYLKRGFLKHPTRDGEWLAPLEKASITDTANWIWQSVNDEHASLINSEMCSRLAYSRGPEFYYEVSN -KLKKAWKLKNINFEFPKWSTLDSHIWEGTPGPKYNF - ->YP_009342053.1 hypothetical protein [Wuhan coneheads virus 1] -MNFKRNQFVQRPVARIASYKPYNVKSCMEYNLNKKEIKSLFFEADFSAKIIAMRRKFHELNKIKKNLDLI -YKKFDCLKVVTPKFSFADKVKTNNITSQEAISYTPYIPTSGCLMSLEEARTDFKDAVKLYQKERNEALNL -VKAIASRYPKKIYPIQKDRTNLISLSEGKAFLNKERRYLKRHPAKEVKSFPIGCFAYTPQVEYELSNNLD -ITIKNNVRSLGGKVNKIKRDRNSFESLKSVLNNCYKIKENIRVMDEDFENKIKFLKFKIRMIILRFRNPE -YVDWLFSSKMSSYELPRPQMENEGFLQSNTLVVDKKSNVVIQEESITEKGTPHKISEQFSSLSVSKQSIN -YNTFTDRWLFLDSISWEKGKARDSILGKSYELPYDALQSFKSSPNYAIIMNNRFFRFNMKVKFILNSSPF -QIGCAVADWRYGFSGYYDTVYNALQRNHVKLNAGSSNNAELFIPYHHFNSYLSNKSKECRIGKLTLRVLN -SLSMSDSVSSACSISIYIAFEDIDTHGLISRSITEKEILYNGAQGQMNAIGSLCNEAGDVLDKIGKVSNV -GSNMLRTAGDIFNQDKPPLPLQPMCLVPQTVQSFAYTDGIVEPINVLRSDPRGQRQPAVHTDEMDILKFM -KGWGYVNTFSWKMTDPKGEMLYQLPVTPHISDYSNYGSAASTNVMGVLSVVLPPIGYISSFASKVRGNIE -YRFEVIANSYYTGSLVISSIPLGKMLDQINYKEAMLSNTEIVDIQKTSIADISVPWNWYNAWMRTTNIHN -EENYYATLNVYVLNQLIGIDSVPTSIDVNVYMRAGSNFEICQVKTPQIAIRTDVLVPPTNYILKPWNFEC -KWYTTNSSNVQVDGVYQWVVPYIGNVSNGWVGYTNVQAHTLYKLRDTLMNSTTQFRCSKSLGSGVVAYIE -YGFYHEGLSTSNAHGLLVFTNKARAVEALSLIKKYGYTTKAAKMFFSKKENIAEAYVEDSAWSQISTDGG -STWREATNDSDSNFPVWEIVKLDITEQEQQKDTYPGADSISGQMDTNGIVINTEIVAPKTNLGISTYGEA -MPDLKSIARRWQHYASFVGDTCQEKHPRDCRFLCKFPVRPWRTLNPKVSSNYDNRLRDGSIGLINKMFAY -WEGGMRYRIIATHDIPDDSTIYITHRFDDESNVSNDILPDQDSQLTGRSFMDTHYPTFVQSLNVNSISTI -EVPYMRSQERLATYEDALVPNSNGYVYIWVHSPKIATINLEIYYAVADDFQWSVFNGVPRSINVNTIPPE -PAPQMNIEGKSSGIFEGFKKKMKPDALDRIETLSDTIQRSTEEVTSVAQSVDCSVKTMVGTVDEAASSFN -KLSDKICSVIDSLKKIKMPTWLDPKESDEIKVSGILSGLLESSFDFITHIVYCLLSPTKLVIGWALLNLY -KKIFGFSLEGLSCLKEYIGNLWDRATQSTQQPQIEQQMEDTDISSICSLLYCSLCSIVNLKVKPPNSWSN -ISEGLFRFSQYAKGGAFVGHFFKDNIELFKRIWRKVLVLFGVKSDNFKIVAGVKDNRLKSWLIHSTAILA -PTVRDTVLTNHLWAEQVFALSIVGRSLSLAVIDESGASVHLRNLVTSTLRDLKKLEQELVNRNVFCGERY -EPFCLWIAGAAGSGKTRYLQHIADELATAQNSSSVHTYHTISINQKYFDGFVGQPSILIDDFLSVSPLQD -ETVNQFVQMKSSALFNPPYSETHDKSRLVNFHNLLISSNFLSLTNQPGIHDKDAYNRRRDLVLSFELIDS -KKLAKVYSIEECKKLCHVRVYHHPNELNTIDKVEIKRVENEDYNITVDKFILNEAKNYHLRETSVFKDKV -KNKIQRIENLASTTNNLSEYLDKVFDTFNFGKEDVLEKKKDDFNDYITKVKDNLFKAWKVPMTDNISPQN -DNIPQTTSSGKLWLKDSLSPDKKKIAFKAIEPFSLAELETEFNNGDIVYYLNRSSYYLPELKEKKVCAHK -LAREHMKLYHYEKDINLFVLDPVIFDELELDYTQLPVCFSPDCCVRVSQNEKSEWSFVLDDNCILKTTLE -KKHFKEFYINKAVQDDLAITNKILKLDQVGKIFTILEGEDKPFSEYMDIPESWLAEWFIDAKRALVDISP -TLENARLSLQIDRLQQKIRCKYINIGDDIFPKDNPKKGWVKSTWDSFIKLAKKIFLVLIELAGLISSIIL -FMGIGIGGYNYLTNSHVIDPLTYLSGKACENYEISRRKGNLHPSGDFKTMKIKPSLKERALALGSGQIST -DSDDAILNLCKKNNYFDKSSDGRLNKIISNVFTMVGIKKISEEQSLNFTVRCIGLREFEFLCLKHYIDHF -EENGVDEVAIVYRKNKGIVRFNLQDIQFSWTSAGYGVGTLPVCGQPFKNIVKFLPSERFDGNYPYSMYMV -EVFTDDVKIFELDCKKIKYPIKIPKVLGQKPWTITQGFEYNWEGQGRCGSFLFAPSLASPLVAVHTSGIR -DKCGFAELLLRETFIEDKIDVDFVIPQMDINERGFEPEGDCYIIGHLPPEKAVNIPLKTKIIPSEIHGVF -PVETEPAPLTKLDERLIEPFDPFFEGVSKRCDKPKEFPVGILQAAYLDLRTLLLQCKPLRDPGILSVKEA -IEGLEIKGYEPLEIKTSEGYPWVLERPKRESDKSWMFEFEEENGRRKLIKIYKKLNDVLEIKDKMRSECL -VPLTYATACLKDARIVKEKINKPGSTRVFEMCPVDMTISQRQYYLDFYAAYQNARLNAEHTIGINPDGVE -WTELANKLINFSPFILTADYSGYGPRLLKSVQFKSLLIETGWYEHWQEKNDLDENIRDQEFIRRYSFIWE -SLCHPVVAKNAVINFNTGMDSGNPATVIRNSICNSLYIRCIYLILSKKYAKQYSSLYWFSKFVLMFSNGD -DLIISVKENIISWFNNKTLIQAFAEFNIKMTDALKSGGDIREYCSLEEATYLKRGFKKHPLREGQWLAPL -EKRSIRDTANWIWRSMNNRLASLVNSEQCARLSYTQGPEFYKEITEKLINVWKDKQVVFKVPSWESLDIH -VWEGLDGPIFSYI - ->YP_009337760.1 hypothetical protein [Hubei odonate virus 4] -MPNFVKSTVSPSLSTLVSKMSELIVPEGWIVVGKKSESIPLLAMDLYKLLDADERKLYCDALVREVFLPA -PTPDFNKVNRVEFAEEVFEDVPLDYVKSHETSKRLIKKKSVIPESGPFKQLGHTNTWYLDYAAWEFRPRT -MILRLRNAISNKRQKADVYAFVRRKPACMVKEPVAFPEMESNPGPPEDTVVTSNVVLGETQVESSDVADL -PKSATWHELSTTEENPSYSNLTDRFTFWKSFEWSTDKTDILISNQLPHDFVNSYDRCKMPMFIPFQIHQY -MRGDMEIKLHINSNKFQAGQLIAAWFYGTVYEHNDRSHIADLVQLPHVIISAGASNEATLTIPYRYHVAY -MNTKVRDGFLNPLSMGSLIVRPLVPLSTSATGSKSCNISIFIRFTSVAFTGMIDGSLANPEMDLVGNLVQ -NAAKLLNAPNCDNPPLNTPPRYLVPTAAHSWSMGNGLVEPLHRLSLQRSIGFKHVDDTEAEDMTHGRIVN -KFGLLKTITWNSADVSMNKTGHILWACNVHPQIDKHELYTYIGDRAQLIAYYVPPCGVISSMYEYWRGTL -EFKFDFVATSFHTGRVLVAYIPGIKANRTVTLEQARNSAHVVFSLQDSSTFTFTVPYISDRPWWKRKYGG -PQRRSEFDSPSALFMFVLNPLIPMESVSKSISIVPYVRAGLDFELAVPVQPSVGLGWNTANSSPGTQKIQ -FKSGYYPVYVGQWHNFGNSERAIFRYGNVSDHIAQLDKYIIPSDRSKIYVFTPNVKMMAEFKNPDGNGPY -SIQEITYGVPYQEDDYVYMVPFPSLVFALDFARKYAVSGASGISVLAPFSKTDSSFTAGNVVWTPSEYDL -HSRDSGVQSGFEVIAYPEMERELNPSVMQPTSSLKTTGGGDLTFGENFSDLKDLCRRYQLYWDGKVTPPT -DVKRDYALLQCPIRPQGLDLSVADRPMWNIARDGHIPLIASGFRYYRGGIRVRIIITNFDGIVWIQYRSD -RPLDHESKVVTGSNIKAADRYRNHSYPYYIQDLRINRIIEIELPYYQPGIFGVLGQTSYTLYDKDLNNFT -SLGDLVIGIEGSSIPKDIEIALYYSVADDFSMNTYIGFPPMVFCDETAQPEMEFASGMIASLAGTIAGKV -VTTPIKQKSKEMKEALISEIVMEAQKKIEPMLTDMKKSVEGGKDQIVGAFHDSVVKQALANAVGQLLQVV -VNPTPASLAIAVCSLIGSLVVVSLELIMSLQKLFVEFLSSIWTKYFVQSNDLPEDVREASPEGGDEEATD -NKYNVSLFTMIFGLVATTLGLSCRQPKDYVSFTKGMKEDLGLANNASLFFKNSIDAIIYCYEYCAGETSD -IARARKVVSQNYPSLKSWVDDVVDLLDPRRRRLIFKSSKEANRVFDSCQYGALIIKANIDKSCPGGKVIF -DLYTKICKLRDDLVQGGNHPNVRFEPFPIYVVGEAGRGKSYLTTAICKEMLQHIGYRSSEEMIYWLQLGQ -KYWNGIGNPAVVARDEAYAVDGTFTEEEIQVHMAMCSVSVFNPPMAAIEDKNKRINPLIYYMNSNVSFPS -FVSARCPQAIYRRRKLLIEVNYTRAIKEKYPNIMDASQISEEDRVNNQHLCFRIARNPSDPSTEYTENLS -YQQCLEIIKAKFKDHYEKEQVKFRQRMHDNYCLDPEYDESDNLDFITEISRSNETLKERLERERESLQDR -IDEYSLENEDPFFQRMWQKAKERFRTVFPEVETAVNNTSFEEQLNRAQRGFMTEDRREQVAMLIDRLKLD -RGAVQKIMSGVDITSLSEEDIAGFSMMEDFLPVVENPDRHYQYWVGTHHCAFFKVLGSQTWGASEIAHKD -ASIFGKWSKRLQPHWKERTGIPALKAYVFWLVRQAQWLDILSKYNLDEHIEKLREMYKQEIYDLELDDVK -YEDLVNEPCGTFLGSNAMETYMKPANRLAILLTYLKKSGMSRTVCEHCKVWGENIHDTSRVKYNARLDKL -LFEDTLGYEFSIPCKCTCNNNFSRLSSNKLFIRAMKIIWNHDHGTVEDNDTNPFRFTEYESIRDDARSFL -TRTVEWIKDWWTFTGKPQINYVLRFLRDHALAIITALIGCWALYSSYTAKTPAKKVASGLFGAYYARAAT -SMAFMEAGANYYRDAGVPRRGFTATRPAAREYGEIDVNVEKKIMNNVCFIKCSWLDEVTGNRREIKGRCL -GIRNRQVLVIKHYFEEFAKYKDIGDFQLFLYKNGKECAVELKWVDFAHIKYLRIAEVDNTSNFGILELPK -YVPMFTNIINYFPSIGMHKNVRSEADFISVNGPSKRGIRIEKSDYLLIAGDDDITAIQMDAVYKYGHHGP -SLCGSILLSPGVCNGNIGIIGMHVAGRSGVGFAEPICREWFDSCPPKEKVEYVLPEFNDMRNANVELDGN -MIFYGCVSDAFAHKESGKTQIIPSLLHDQIYDVKTEPNPLRPNDPRQPPGSHPLRDGCNKHGVGYPGKFD -EEILDLVNVDSREILINECKPILTDLKPFSYQDAICGNPQIPMCEALNWNSSEGFPLSNYRPAGTSGKKW -LFDLEESEKGLKLKGLHPRLESLLSMRECARERDVVLPSIYVDCLKDYRLTPEKCKIPGKTRIFSIAPVQ -TTIDTRRYMGNFLCSYKFNTIKAEHGIGINCDSMQWTELVHYLTAKGSHIITGDYSNFGPSLSSQLVSAV -IEDILYWHKFYGASEKHLKHLNRILSDEIITPIHLCSNVVYQPLNGIGSGSPITAELNSEVNKKYVKYAW -IEIMRKEKIELANMESFHQHCRLVTYGDDFICSVSDELKEIFNCVTIGECLKKYGVTLTSADKGTHVRKF -DHLTNSTFLKRSFKKHPNRIGVWLAPIEKQSITECLNWCHKSNDIRFATEEVIRASLDLAFGHGPEFYAE -HAERINQALRIHDLRVSLKSWITRDKDIFGD - ->YP_009337722.1 hypothetical protein [Hubei picorna-like virus 30] -MGDKVRTVADMLNTQYATSVQALNVNSVLTIEIPYYQQSEMSLLAPYSSGPFDNGHIYIWVHSTTKQDVK -LEVYYSLADDMNLEVWQGFPAMYDISEINAEPNMDIKGTPEEGECSMSAGNSVAKVVESIEAAGKAAKDI -SEAKGTIADTAAAVQDTSKRMSSFLETLKSYMDKPRQGLRSFMSSSVAQGKQTSDMNLITLVLEAAKDNA -SWLFDFISQIVHMVISPTKGTVIWSLINIYKLIFGLSFQGFTMIATLLGKIWSKMKGLTEPPQGIPASPQ -GPSFEDDPVKEYAGLLYGSIASLCCLKGMKMENMSDIGLGLFKFGLVARSTTFVGQFVSDSFKLLKRVYS -RFLSCFGTTSPHAHLIAGVTDVRLQKWLMESTAILNPAVRQQVLLNPDWAMKAFELAVVGRGIQLADNST -AKRVSTPQISQLIRSYNDKLQKLEQSLVNAKMFSAARYEPFCLWVAGTPGCGKTRYLQNVATELAMEMGS -SYPIPYHTITAGQKYFDAMKGQPTVFIDDFLTLRPTVDPELFVQFLQMKSTTLFNPPYSMTEDKDRMINF -SNLFVTANYEYVKDIPDIHNDGAYNRRRDLLLRFEFKDPNDSEKTKIQEKYSFEQLNTLSHVNVYILTNV -FKKELSSEKLIERVPGEEYNVTVGKVIRDAAKRFHDQESKSYGERCDRAIRLINNVKDGSGDLSKYLEMA -GKTFEEMKVKLQKAQDSDVQSGSINGFLSEWLDHSKTSSKYNPLIFTETPPEVIDPQPSTSVAPQGKVGG -DKEDKTVTASTSSQSGTSGFDVKRYYGTLLNDVDELGLYANAQDKAMIEPVARMCRDSYHPKCAHTFFDL -DSTAYTYLVQSKTLMSMSDIQNEKVAYSCRLDYCRDKHGNKIEGCTWLKTCSKFKLYAAKAVASSFSDDA -TPCDIAAVIDSLDEETRDICEGLMKRTNERLYEKLYCHAPRVCLLVHKARENLTTVGPVVVEKKSWYQRF -GKLVGNALLKLLDVLWRFIKMVLLVIASVFVVAGLQYLVSSYFGGEDVEANLHPSGDFKDIKGVKSVATR -AVELIKPNTDLKDCTLKSMSDGYVNIKRKLSANVFFITAINPKTKGIIRARCIGLYNHRFIVLKHYIEAF -QALGIEEVTVITVNNRLSIKYKLSDILFSWTRQSTGYGMGEFPKSFPIHFSNILKYMPKQQIHDGAYPAQ -LELVEVFVDERSHVDITGKSIKSPIVVPGTPCSSKWEISQGFEYSWGGEGRCGTLVLAPQMATPLVAIHT -AGRGKTTGYGELLFRDTFEDNKETPLEYVEPQLELRDNPVEIKGEYYKVAQVPGQLQVKVAAVSKIIPSD -IQGVFPVRTSPAPLSNEYGFDPLMEGVQKRCLKIDEFSPKVVSQAAENLQGKILTTVIPVRSSVGKLSIK -ESIEGFDIPGYDPIVMSTSEGWPWVKLRPPHYTNKRWLFQLDETSNRVRVTGLNPLLYERLMADEEQRKE -GLVPWGAFTCCTKDARILREKLRTPGKTRIFEISPITLTIAMRQYSLDFVAAYTTARTSAENTLGINPDG -REWSRLANELLSFSPYILTADYSGYGPRLSTVLLFTWLNIMKEWYRHNGVEDEDFFKSFDSLMHEVAHPP -HLVNNLLFRPVCGLPSGECRTAPDNSGVNSLYIRCAFIELAPRGYSIYDFDRLVLVRHNGDDLIISVKEE -IKDWFNNETLIEYFGSKGLKMTDAAKSGVVRKWCSLEEATYLKRGFLRHPTREGEWLAPLEMSSIEDTAN -WIWKSMDNRAASLVNSEMCTRLAYTRGPDYYNQVVRSLCKAWLDKGVHFTAPTWDSLDYAVWEGEGKPLF -RFLEDQ - ->YP_009337692.1 hypothetical protein [Hubei picorna-like virus 33] -MNFINSSLSPSQSNKSYAEVARNVMRPGHPMDRPPIPYPTLDPGTVPQRPVPVYNPPLKPKRYTHKWRDV -YEELAATGRIFSRTAKAVFKPSYDGDEGRFCLYLRNRYDPQPLLRLARDNYIIAHTKKSVIPRDDIPRGV -AQPTAGRQIKRRRDPMAFERGSLYDVQNIKEPIKSAPTRDLFLLCKIRSRILFLKSRRERGPIRLLAYLK -YCRYVESLGLNVAEVQGWFTPSIKIAEDTKSYIDDAINKITNSVKTAVDSTTNKIVTNISSVASILITGA -AGHSRASWITAIVMSFAVTGIINHDIALKAREPLVSAATKKPVSTSSNAAEAQADSPLQQMEDKMQEDFV -ATGLTLLFQGIGTYCGVATDITRTEKAVGDWAQVITKNLQFNARSTFGLFSFFKHFIGMCKAMVKKIYCY -VHPESEPILAFWEHSEIIRKIINEVNDIVTTPLVNFSDPNVMAKLDSLIALTTEIIVRINSFDQKANGVS -LLNYCIRMKTQLLQIKQQCNQQGYSSTTHLTPYCLYLYGNTGVGKSHVLNSLAPVIGPIMEVSPDTNYKV -YSRNNGQKYFDGLQKEQIIVYDDFAQFNTTVPDNTDVQEVFALITDQPFRPNMADIPNKGRIIDAKVVLI -ASNEAFPKRTFLSSNEALWRRRNMLVRAELTAKPPGKNDPFDPEFKHLRFKIHSDVTKTDEVPILSYTYA -QLVELIKHDAMAYLTAEKSKLANRLQRAQRLLRSSGRDPLSIESLEGALIALLNSKPETSPFSSYFSEND -YIKNIKKWLQNNLPFMYKDPKNNAEIVKVSEEALDEAMAIDGIKETTIDKDNFIEFTGGTLWKTATEEQK -KQIRKFWTDTFPDEMFPPDITESSTAEAEAGLELNFSNIDVTHLTTQTLQQIVTDAAIKQKIDPTKVVIN -YTELLRWLKPYAPQNFMKLPLNLMNPFEFVHFPPYITKFAYRLLTNWIAGDRYFSRSTMLEMVKLTSTIN -CKHCTVDIFEVEHYVEYGYIVRVDGHTELIRFKNCSTDSTKCIHHHSSLLNIIKVLQPTFYKKIEDFERV -YNTYHWKMKKMGYTYDDLPKVGGRLATEKITNCFKACLKKLTKVTSTTVRWLWILLKWLLLLLVPLGIVL -AMVMGVKGWAAAKEDSETPCQTVRNLTPHISNQISDIRSTYGKSLFNPYRVPGYEGEAAYTVASRMPGGN -RLSPQSYGEGQAEISNDMIKILSKNTMFLYIIGRRKIDDEIQTMNLRAFRIAGNWVLIPKHYITFCNILK -LESLEIKVSVPGDRVFEINFASMRVLNISNSELMVLEIPSLPRARDLRCHFIAKQVYESSPIPSHMRVME -KTTDTVILKDLTVRFRNNVDLTCFGDTMITIPECLVYEWSGPGRCMSIILDVNNKIRGFHVAGNKHNGYA -QIITKDLLDELGTIENPADLPYIDPFGNPSLNIDTAVEHIGVAQAKFTVHTPTETAIRKSDIHGVFPVRT -IPAPLKPCALNDYTDPLELGVRKHGMPTKGFDRDTMEVAELRFYELMTSVVKPIWSDVHPRSIDLAIRGV -EGVQSFKPLVLSTSEGFPYLCNRPGNSTSKRWLIHTHDVDGKIEFGLHDQLVAEYEKNMAMRKNGQIPPT -VFVDCLKDARLPIEKAKTPGKVRIFSVAPTDFILAFRQYFADFVVAFTHAKFNFCHALGIAPEKDDWTDL -VIHLSAVGNKFLCGDYSNFGPGFDHAVHKAVYRSILKWYDQYSTVLDALEHQEHQQIRKIMLIELSEPIH -IAGNVFYKTFSGMCSGSPSTTIDNSCVNLFYIMCAWYDIMRVHNPRLATLDAMMKHLRIVVYGDDIIMCI -SDDAVEFFNNLTLHAYFAKYNIKYTDALKTGELRPYCSLAEASFLKRSFKPHPYKSGVFLGCLDKVSVED -CANWINKTIDTRTASLEASYACLQLAYGHGPEYYNEVREKLRIAWLQKGVLFLPRTWNDYELMYRKPEET -RKHLYVAEVQGPPLSTPGENTTCEESVDTVIQDHAAPDIVCPPQISQQVIDWAGADYDASYDRLLDRYVL -LDSLEWKSSDVADSYIHSKDKDSSGNPKEARYVLPRDVIIKNINTPTILPFLQHKYANIDMQIKVVANVN -KFMVGQLQVSWYYLHEEDAGYRFRDSIYSRSQMIHSVIDAGSSNTAELCIPYKNYRPWIHIGADSELGSN -ASLGQLAIKVLSQLTMPSTVYKSATLSVYLKFSRGSFMGVIPRSLNNVKAEVEMMSMLTTMAASYLLQKA -ADSNRDKPPVQLPPQHMQPMATATLATGTGCSEPLHALRLDARGQCPHPDAGDSDMSLLTLAQRPSLLTK -VQWSSSKNVGDKLWNIEVTPTPDRTIFQTILLRSQIGSDKAIYGYVVPPISMVAGLMSEWRGSIDFDFVV -VGSQYHTGRLLCCFIPYVREDLTLEQAQAVPYVVFDIHDERAFTFTTPYIADKPWWPCGYLNGQKDQPKG -IGSLFLLVLNPLIPMDNVANTLDIMVYIKANPSFEVAIPRQSVWGLPWNIETHSVSRIRARDGYAPWFGS -TWRYVDNSKVFVLRYGEVSDHVAQFSNLYQGQSGTSGGWYYYTLDPTDPNYDSNKTISISNVSLDDVAFI -PIDVNDGYGLIYLGVIAKTELIIGRRNIFPQNYTAKSKPNNDKLMAFSAETAYVIGNPWLKRELLVNTPT -LDSFAVSSESAEGEIQICEPSPTVKSTGCGLELFGESYADGKDYLRRYEPLFRIEIPTPRKGVDRSGSYQ -FAMDVLPSGYDVPLDNPYSQTSRDGGQALVASAYRFYRGSMNLKLISNNFLSTFFLEHRPDRKFESTMYR -PAGLTNRDTKWSKNYAQTVLVSNVNKVLTINVPFYQMGSLGLLQRPNPKSNEYALRAYTLGELLIGMDYD -TSTAGTTTTLEILKSIGDDMRFYTYTGLPCLIYIGDIIN - ->YP_009337653.1 hypothetical protein [Sanxia water strider virus 21] -MIQRHGKSLLQVSPLPILGCKTEQEHLALLARLNPPQQGWFSYSECVSTQNSIKLNNKLGHSVGIIPKIV -ITSPKEVNSSLRKERQRMRRIRLSKEEKARRRRERIRQWWATPITEEDKPAWQRAYWSEVDAGLRPHLFG -RPLQRDLKAFFKMWYIKYNVSKKTPPPTVYEPMLTRPACATSVKGQGSCPKKVIPDTSVLRDILEVPVVD -PWAVYSPEYIVDCLIRLGSKIAALREQRVKKFVKLLKFKRIHRSVTPKNMFKTFSLAKKSRENIECLEKP -YYRPRKRFEMEGGDSERLECITAGDVTLQQPADPSEANINRPIVRAKLLDMATSSTTTENPLTDSWIYLT -QEEWNSKHEPEVQYWEYQLPKVLLEKSNLPQIKPFLVHQYANMDMEVQINLTGLAWTRGMLIGSFYYHDE -MDAGYSTHRRTRCAMIQREHVMINAANENSAILRIPFASYFSALPLADRNDFKSTAKLGTLIFTPFNKLL -AAEGQINQLVFTVSVRFVKAILYGKTSSGIGTRTYRSEMLPMLAMMAASKILNNVDSDPNRDKPPYQGAP -LLTTPQTTTGLAAGNNYVEAVQLLRLDARGQTPHPDIRTNKTTWHEIAQTRGYIKTVTLSRDYRAGTFVK -ALSLEACPMLNLTEYNSYRVNEHMAYSLPPLAVVSNMFGKWRGSIVFEFEFVCCSAHHMEVIVGFVPRQL -DDVSFEDIKGCQYDSFKLMAGGPRNFEFKVPFVSPTPFWDRRISTGILDEEVSPPGRIFFFIRTPLVYTS -VIPKTIEINVYMRAGEDFEVAIPVQPSIGLCFDSNGPNPDPNSVIVPRGGYWPIQIDSWASAVNPTGNIA -MLRYGSGGQHVSQFITQTGLDPDKLKFYRNTDVALQAILRKLNFIGHNFFNSAKIRNNNLFIVPLNVGDS -HGFQYAAVATTQIQLVYIYYDVTEVLEGNPPTAKRPREFTIVPRTNPNYDYLTVVDTKNAIDLTESEASR -IYFDEVSPRSLDAQKIVKDFWRDNEVKLVEVNEMEPHIKEECPTFFSPITTGNGKDLYGESFDSIKDLCR -RYHPYGNFTLNIDLSSSTSMGQVSFCIPILPAGLALNARTNLGAALIRDGIIPITNSFYRFFRGGLRFKL -IFGSTPSVDIMYWVQHRPDRRVNTENIRLVSKANVDSMYCHNYATAIQSSTVNPVLTVEVPYYLRGPMGL -LQRPKFSRMFDNEAFSLGELVGGFSVMQNTLIPKMIIPVTVLWSYADDFSPYLPIGFPAMVTLRQYETSE -NVQRERTSSVEEDFEHVELKKFEMGEAEDDSCVRGYSSEGASSSSSKKGAPFKKRSKQTIAREKAEENGI -IARMFRYISGIDLAELRQSIKNMVELGYDRLDLNNVLILVASQLTHVIATPNMTHVFIAFASILVQVGLM -SFNVMSDLLSHVKDFLSALGLARKRSEASGDENIITKIITCLYMCIASCCELELRDIRPGKVEVSKVMKI -LKSNCKTAESVVKVLSMLFEVFSYFVKNVVYYAKNISNIVRWHFVKPNIVDDYIRRASELLHPRNEERVM -TMIDGYMDVDIACEQGASLLRDSAQLKQLKSIATILEMQRKLSSLRDRAARMGCGTSRRREPFCIHMTGA -PGVGKSQFTTPVINAILDEAKITYHGQSVWHLQHGMKHWDGLYNQPALLKDELCPMNDPTMMVEELCYFQ -MLMSCAPFIRPGAAIEDKGRVYAPEIVWLNSNVAYPSLDCVREKTAIFRRYSILWKAQFTPTASTVAAHY -VGAAAIERIKAAGINVDEYQHLEFLQKLNPHDEYDQAWLSPVDFQTMVNITFAAFDAHRIKQFHLLELYQ -NKKVRVIRDPTQVEGQIKYETNNEIASNVRKLKQEVLSSVNTEKYTNATAVIDQVIADGTPILTENRKKS -EMLPSQLETRSQLEVMVDERGFNNVLKDLLEHRVAQDEKSTLLTRAALLQDEQQKNILTAQLLTGQRVHQ -ERKIWKVSKDEYSNCIPYLNTIEGSLCVHTPSNLFSCYYSEDSEKFVSYRTGGIMYEKYCESKCLLKSTE -HGPKILEILAYRSRYWAMLGVSHPELFSLNQRKFNINVNELISSIHKELDSQATWKTRLLSISKFVSILA -IPLGVAASFWGLTKIAEVEKDIELARLKQVRAIVQNRRFPEEESEDEAKMPQVSPAQYSGKTLNGNKRTG -IVRRRVEPLVKTSEMYIGTNESRRCLVRKADYTDYETKEFEMQADVLSNLIVKNTRFLVMSGLDTGKVER -RQCRILGICDRYVIFPHHYIYAWERLDHENRIMSIYHLGQEIEIEAFKWSEFKVVSGTDLVIYRLSSKFP -CFKDIRPHLATYELLDKGLSRSGQFFEVAPNLESALGQTLTIHPINMSRAPPQEVECNDMVLTFTDGIIY -PGVHGAGKCGSVVVDVNIGKIAGMHIAGDSKEGLSTYLVRDWFDDLMGTLTPTTPEEQYPQYVSLEGNPK -ITLEGTFLDGGIVIQEVEHRETGKTSYLPSDIAGVYPVATEPTILSPKDPRSEGKSPMKLGCEKHGKPNT -RIPEEYTTKAIADYRELIFAKVKPICTPVQPLTVEQAIMGDKQLYPGLKPIEMSTSEGFPFISKRPKGCS -NKSWLFKIEETENGKKLMGIHDELHSALVLKQSMREAGMIPATIFTDCLKDERKKKEKIRPDKTRIFSIS -PVDYTIQCRQYFSPFFQAFEHAGSEVEHAIGIAVQKEDWSALVNYMLALPGQKVHACGDYTAFGDTLDAT -LVEASLQIAIDWLEYYEKQSLDEHQVAKNKTVRKCLAAELKNAFHLSFNVLYQTLCGMPSGCPLTVYLNT -MVNSMYLRCAWLDIMKDKPEMRHLDMFRKYVRIVTYGDDVWLVIAECILDMFDNERLHESFKSMGIVYTD -ILKDGRMRKYCNLGEVTFLKNNIIPHPTRSRKFLAALETVSVLDCANWIHKSDDPRAASLEASYASLMLA -YGHGPDFYDKHKQTLTQAWSAHGELFQGRDWYDLDDQFYAEEIPPTFLAQYPIQSTPVGDKALLYAKARA -AHRRYLESLEKKD - ->YP_009337372.1 hypothetical protein [Hubei picorna-like virus 43] -MQVNQCPTAPKGFTTMGVRSAKINEGIAAGFMTSDPHATMEYELRNAQKNEFQTNAVLGITSKAEECSST -GCAGEIFCSDGCLRSDQFQPKPVSLKAGMPLEKWFSFVNSIVDRVERIEASEQKEVIDHAITRHFAFQRT -NFFGFALNIYGNRVGCYPRFIPGVKPSVDYFRAYNVGQYEKGVPMAQQYSVHWRSQMTERAHSNIISGLN -FVSANRVKKFSKRRYVKRTLQEQVSHIETWLLNCGITEPDVSKEIISNLTFVKFRESFENMSKAMVMVPH -FGYICALRAIYGWNLFTCEVLFEEIDALGVFPCNREEVTIDRKPEGWPVERTLWIWWLLDVCEMERGLHV -RLPFYCFTKLCRVFCSRRYQPLCVFKRMRGIELERLLKFCVAIKYVDRDVDIPRLSLVSEFESDFHETQD -PRWMVPNMDPVAVSDSVETNTAADPQGDKGLAEDQEKNTEITGGTDDVEAEFVESEPTAALTDENVEDRG -VEVPVLTTRWGEIGNVTMNTTTPAGTILARIQLPSTALKVLDTTPIALTFKQYEWCIPKLKIKIVTNCSK -QQLACTGAAFIYGYTERDRVVSLENLATLSTMPNVIMNGSFSTSTIIDIPYFSPYPLMPIVQNRWMSNLD -LGTLLVYCIVPVNVAAGNPSSINYRIFASLEGTKFYGQRGYYTPYVPTPCVPIVQADMLSIKKAGASMLT -NALLKPLVKEIGSKTANAVKNIEGELISAFDDVNRDAPTSSHRQMLFQTTTVDMPAGVGPKILNTLRLNP -LGTTQHHPSDVGVDLKFDLDALKQCFGLEAIFVWPASAVPRTRLFETRVQLSPEEKSTFGNVLPGSNWAT -PADNLSTMYQTCRGKTEYKFIFIATGFHTGRLRITYEPGVSRTGSCDLDSVPFAVVDLGADMDKSTVVSF -MVPYQVPLHQLLLRSAAAPYQEAERYWIGNMSVFVENSLVAPSTVGSQVSVLVYKRVTPEFEFAIPRNNL -DMFEVAETGVVHFQNFRFLVQRQTADLTYQSFAVTSSGPGVTTVSYLVPTVASGNMISIQLVPTVTTTWH -LMYGPTITNTVPLVVNVGWSGPDVLLIATVIGGRQWNRVVLKDETTYDYNVLGYTNGSAFSMGRTAITAV -GPPFAFTPSTMMRPDMLVGGEDFRFEGATTKIDLFPTGPQMLASTTGEKHDNLKDLLRRFETWWIGTVSP -STPSVPAERWQIRLPVTFGSPILRETTVEDRLWMRTNKITKIADMFRFARGSIRWTITVSSDTPGTLESI -TMNVCHIPNLRGSFDRIAQTGVFWYPGNGYAVQTFSLKQNNVVAIEFPHYNLGRFAWNSSYLTRKPFLDT -QVSLGTCLISFNGPLCVLRVEVQRALGDDTHFYVFNGCPRRQVPIMINQEYNLQDRPIPTNLPDEAPHVE -EPPVIDLTTHGDIESNPGPIPSKYYQPDLFGIKEAMTDIRETSSMVRESAKSYKQLADKGVVLCDEIHSF -LLKDERFVKYTDIVLQVIHVVANPNIKAFTISLTSILLKVIPYELLAIGVEKLGSLCSRMWHLAFGHRFQ -PDAPGVGESIWSCLCEVFSIKVSARDPMSRKFGAMLRMATLSEKMFGLIELVIEGVKVLLNRIYSKFYPD -EVLFKVLTSPEVSTLIKDYILAVGEITNPKFEAGILASKEYTKYMFEMYEYGEILKANVPKLKIHQRDLY -RQLIDAHRDLKRMCQKSVQCANKPLVRMEPFVLHVAGGPGVGKSYEADQMIQRLVAQMGVRVIGDPVFTK -SPATKFMDGWNDHKLVLKYDDFNAINEAEPDSLNELIHLKSSAIYIGNFADTVDKQRCVHPRLILLCSNE -VMPKHNDVKEIDAVNRRRDLLLYFKLSSNFKFCQWCISEQKKKGMGCIECRTLNKHLIDTGTHIIVSNID -PMSGTERSFKGISAGPIAIWRDHVVRIFDEFQTDEKRRYHDRLDAIEKLIHECADRPWDECVHSHPVLCM -RNKFADKLQDHLGDGVKVKKNGNIWDVQHLGKTFATFNEPIDLLLGDEPLRSVKIKKFDMTRNPELLLQE -AEDKIGVSHEGNSLKIFGAITAATAGIAYASVLRRRAKRVKKSGLANPCICTLIGLKRTISEKVAESRVA -KLDYQNREYIYEGESSNRQVAMSVKEFKARSKSDLPPALYAEYESSVGCIRVENGLFELECDKCVKSRSR -FDLKLEGWDPETVPCICELGEVKYEDIVVCGRLLKVNGVLTWSSCINCVGVPKHVARLLTFGIRTDDLSD -PEMNETAVAIGKSMRYATGLYYAFLALRALYTHFWKKQRDEDEVSPDADSSYEPRKGNHVKMRTSGARAR -SMRHDSMAIDMSKILSKNYYHVQLPTTATHVLMVKDNFGIMTAHFWSLLRKSDSIILTCHGKEYSLVPDD -FEGTEITADDSKGCARRVDLMFVKIRGVPFARDIRSFFADVEQLDNHSLYATFHNIRTKEKIVALPIKPM -EMMMKYRDPVNIGDVLCVGFSYNFSDVGVKPVYDGACMSVLVDEVHQKIIGLHVASEFDTAWTCKGMSQL -ICREVINTLVGEVEAPKDVSVDGLTDLLCLPETLKNKVKILPVEQQALKLPEYIIPIAQVAVPVRHATIT -DIKESPLYGVLGESVKKPVFFLTEGEDLPGIEKMQIAISGNEPNVRWTEKDIKMARDAVMNEILTVMKPG -TVVKGLRSVHEAILGVSDVKNMPGMDLTTSTGFPLNYRYTSKKTLIEVTGEGKGRRMIMDPALQEQHEAY -MLLRAQAQVPPTIFHCVLKDERRKPEKREKPRLIQAGPVEYTIAARRLTMDFTAAFYDSKLSSFSAVGVD -CLGPDWSIMYERLRWKNNIICGDVKNFGPTLPHELVSAVYWIINEWYDLYDENNKGQRTRKTMRNVIKEE -VLNSMNVAYDTIFQTKCCSPSGQPLTVVVNTICMEMYLYMAYRIAMNGTDLTSWAKFKEHVDTLVYGDDI -WVSVDPEISSKFNNLVLSRIFKEHGVAYTDIDKKDVVRPFVNIEDSSFLGRTPRFLNGYNVGALDEDLIK -DIINWTKCKSEKNIDAHMLSTTRGVLTEYMFYGEQKHKEAFRKLNRYWLGRGYNLTGYTFNELYEKWRNK -FPEFRKNGLCLYCRCELPEIELMDHIKTHPESPESERDESGLGPFWEETKYNLSY - ->YP_009337306.1 hypothetical protein [Hubei picorna-like virus 32] -MPPVAVWASDKMAFTGGLILTINVCTTKFSAGMLEVIYIPGNVIPTEIDVSNYMHVVLDIKENKTFHVAT -PSIINNPAWYRRYVGFEIGEDYDPPGFLVVRVNQPLASTCEVVPPDALLNFYISGDTNLQCHVLTQPCVG -PYYIKNNPIGVLRLRTRHQSSNLGTWAQAVATLTLPNQKTMPTYAPTIRYSAVTGAVNVAEMHTPLRDGI -YKADEPVKFNGRNEDFQVWYYFVVNTTYDANYYVFPIGVKSFDAVTDGDTLKKWFETRTTKPSLADVAEK -LGKLSYAKHGDQYIWETNTYNSNQWVQNQTFIPVLLFKREKNARERLMSSSSFEIISGCNQNRVTMEDVI -RMAPPVEGEPRVVFGEKPVDIKDACRRKNLVGLITLHGDGTHFVADEMIPVCIQYNANSEGLLSKFKLTN -SQLYASGFLGFRGGLMYTIIPLRRENGLIGVQHVPDLKKIETQHGNAINQGVIANDVLLSYGYAQHWIDM -NLNSNMSIEVPFYRNARWIFASQTKNLSDAEYTADCNGVLKIFSTSTEPVKCGIWVSFADDASLTYFQGF -PPMSFVDEGLVPVVFNQIGERVLSPVVDKLDDFGAQIQPTLVGINMATTSISALSDTASTMLPSTLTKLS -EAADSVSSLAESANGVIDKLPTGLASFLTNVRSKGVEIYDFMAQFAHVLIDIKSWKHWALFIGTFVLRWG -VITRDKFMTLVDTIMRLFDREKSKLALDSDNEPMLPSNPFSGSESNIPRTKNEVNDDLYVTLGALLISGI -SAHATTKDKFWYLKSIPKFAVMAKTSATGFKWIKELITCIVDFVSDAIERLVMIWNPNVKLLSQGKCLEI -KASKWYESCVPFLNPFAFESIKASSDRVAELSELILWGQRLSQYMADMHLDTRVIHLVQDTQKALVNLKQ -KLAGEFTYGPPLEPFCVWMSGDGGIGKTYVQDHFLVNLLSSQKISVENREMVFTVPAKGDYWERCNNQPV -CVYDDFLVYKDDQTSRDQITTFLALKNKAPFSPPIAECEGKKKLYNPDIVWVNANWDEIRSSALASKAAF -HRRRDVIIRAKLHPYLEQHGYSRYAEIPRHSYDEFCKRDCFKMIQIQPKPGSSAFFYDAPMTQEHFDTQV -SRKEEYDVVATYIIPSPHLRFDIYTTKGDDELVLRASDQNSVEAAFALNTVFCAHRRDQLALMRRHVALE -SALNVSGIPSSVIPTTEHHNGKVEHPKVDGAIKTEVIIANMLKDKGYYQDAITKLNGGSVAFNNDYFKPK -FTPNLTVEDLPVVNKNDTAAIFTSKPVVNTMTKLKDNFAAIKDNFVRTKNQGPQEDNPKPSTSKLGYLET -AEAIANGTYDSSYMMSMEELVEECTRREEAEPRTPKVVVNGEDLSLDYPVNHNIELVVQKKQDIFDYQTE -IAGNSEEIREFINEIYDLKDNREKAEQLRGICTHSLFDPKCMYDYGFFYGFANKAKTHKIIISDRPCPYK -TTEKCPWHDRVRFQSDMNDFLGYHPEVGDYIYKSVDEMDEVRIYPYYFREKAKHTYANILLLRNADKNWL -SYVKKLVVKDVPEALLTAWNWTKAHAGMIIAFLGIVCTIASTLVGIKNSLNFNSAFDKANSMHKLAPHMT -QEEKIALRDAFTAISHARMTNDGGRLKATVDVLNNMTDVINARMLGINDNQIINSGSQPLARTKLGNKAS -AILKTTKSQRASEDGVEALKKKIRRNTFWLMAADKSTPLTPDFGLPMRCLGICEHWALMPTHYLEWWKDQ -DHNQKELRGCVGNGVFKWPMDWLDITEIPGSGLCVMEVPKQIPPFANILKSIPYSAEDFDYCDLSSVELL -ETVTSEIMNTHYGKSQIKMFFSQGDTPSQKETLIQAGFEYNIPTKPGFCGSVLLCGDKIIGMHVSGDMVQ -KGPQRLGYSSAIIGEELYPLFGLERGKSKVYDYYELYGDEELQSVTAGKVFPKTSVVPIGTLKKHRNELS -IFVPGKTSWQKSVMHGVFPSRKSPAVLSPRDERIKDAPFSPLLEAINKHGLVPTPFPKVLFGIAKDYWIN -KHIQLMIPYGRKPGLLSLEQAICGIPGLNYYDKLEFNSSEGWPFCKARPPGARNKKWLFETEMIDNQLRL -KSIYPPLKDQILNKIEETRENLNGFNVVVDLLKDELKPNEKVLIPGKTRCFSGAAVDEVIRFKMFYQDYV -ANYMKYRFHNSSAIGMSCVGREPTVLVNHMISKGFTKHCCGDYSDFGPAFEPNCGSVFYEIAIAWYEAHT -PQGLRNGTFHDDQIARKNMGYSFTNPKHLAVDTIYQTMCGMSSGCPATAPCNTDVNKMYIAIAWLAITRT -DLQTFEENVILMCYGDDIWFSVSDTFCEIFNNETLHHFFKKFEIKYTDNTKDKNNIRKYCSLEEVEFLKR -KYIPHPTRRGDFLAALDKTSIEECCQWIQKDPSNLSLTKQICESAIELAYSHGKEYYAHVVKTIRDKWQT -VLDAPPLALRSWDELDRIFYGELSGITQTFDDSWEAPMERSFCELRYVEGEGKPSCSHLGEECPFCCAPK -LSTGAAISGCPSP - ->YP_009337289.1 hypothetical protein [Hubei odonate virus 3] -MSFSVTTIQLIAPFPCVHKVNKYLPRFELLLMPELKMCKQIGRRIIVDSQLSHWKNVRAWAARVIMLDRV -LHKYVQERNHDKVLIVSLALQNAASQLSRHNTIASARYYSCLEERCYTCCPPQKVFQKKTCEIQHPIGYR -VLEVEECGETDEMYCQCCGEIGYPYCQECYCGCEHDYEESPKPLTVPQEDPFEFIKEYDKLYPIQREEEF -VIVRDPALVMNIRRIRRLLRVSHILCCRTCRYIPTSKEWVYCQYLSEKYVKLPSLKSEPVHLAKWESSGE -EKNGPHGDDSLVPIKAQNTVLTETQVPSVTTGPHRLACLWNSLSSTDYDGIYNQLTQRWLPIKQITWDNQ -GQNAYLWLGILPLEILKSLDSEPCDVPLSMIFRTHQYVNFETMHVKIHLNSNKFQVGQIQATFWYEHNMD -NKFMYRDNIWSASQNHHVLLNAGSSNDATLSIPWCYRKTYLTCKKHDGMEPPLTLGQLSIRVLNPLRTPS -TVSNTAHITVFVSFDNLKFNGLKSGSIGTFESGLEERVRADSQILQPTRGVASTKYGEKLYGENFGDLKT -LCRRYQYYGTFQGTVKESVEGIGKILCEIPLFAQGLKLNIPVDNVVHNLNSFTNIHRDGHIPIVLSGFRY -FRGGLRFKFICPRIADVNFVVQHKPPAGYSGDVILPCNSAKKSNDFLLHTVATYVQNLGTNSIVEIEIPF -YSPGCYTLLQNPMRVNGSMKDAQSFYTLGSLLLSFDFVGKGTPTFKGDWSIPVYYSLADDARGILFQGFS -PMAFLSPISTPQFEMDVVKDVLTIGSLRLLDILDTDHNRDNPPEPVPPQPIVLRNTSSWCAGTGVSEPIN -VMRLDPLAQTRFPGNINTNEMQIDYIKRVWGLIKTITWTSSSKDILKTFLAAPILHRTEYKHWESFNKLT -TYALPPIAVISSMFAYWRGSIEFRFDIVASQFHTGRLLIAYIPGVDADDKITLAQARCSPYVEFTLNEDE -QCIFKIPYIADRPWWPRTASYGDTQAFIKAPSSLFIYVLNPLVPMDSVVPEVYINVYMRGGEDFEVSVPI -QPAIGCNRNLVYTLSPSTYYITALPGYSPYYVGSWRLCYDEKRKIYPLIFRYGAISDHVAQFKSPKEDFK -KLTYWAANTKGALPSIRHITSHVLYNIDLMVLWGSGGYVYGIPMIDDDAAVNAAQKISQLEYKISDISTH -LMDSSQYWLTDAHYGDPNIAWLPQKVSEPQSITFESDKEPKDTSTLGDKFKTLFKSNDNSGDDKKKLTMV -EKLTANVADSVSNAVSSKCEDALEKITASLTEAFKATELEVSESRQQMILNGITNVLHCILNPNWKSVAL -SFASFLVSIGLLAMKYINNVVSIFERIFKKIKAFMPEKKQKAAENESVTQGPTEVLPGPSGTFESNSLET -DMSDLLSLICSTFCFGFSWKNKPEYLKKSWAADLSKDLGLYARSSNQLLIFFRNLLNVSKSLVTRIIDWA -YPQIHAAKRLKDIYPSLQSWAMEVMTLNHPENKHRLMIDGNFQARVIAAASYACLIRSHIVDETMPNAPA -ILTTCQEIMALRNSMTRMGRSPTIRKTPWCLYVTGSTGIGKSFLFEEVSSALLKHVGIQLHDVPKTYTYN -RASEFWSGCTGKEPIFYMDDAFTTRDPASVIKECNVIYQTVSTSILQPVMAELADKNMYWAPEIMWINSN -IAYPSEACMAHKEAIYSRRHCMVKCRLSKEFSKKYPRAQNMRDISAVELREDPKFANFGYLEFGFYPDVI -KESEPREWLSYDRFLLSLKSKFKDHYEKEQMSFDMRINSLYEIYDSTMVKVGQELVDHSKELTTQDLDEK -YTEIRAKCLADLRSKRLLNGLTVPFIRDGKLLHKFSNLTFWTKMLSIPTFLKNFTSLKKKHEDIVSGELE -TPETKAVVLPKKLWDTDDEDEGEEVVGELTPEQSDFFAKYNRIPTEADLKAWRTQRKFQAPESKSIEELF -EEQLAKLNLSSEIAADLRQHVEYDNNLCSMFATLVPKGQTTKIKSYSQLFAMFQKYKGSDCYHCTHSKIL -QREFTYDYINDQFIFFVQDVEVRLPGKVCSFEPCLFSNKIFAYLAYRKFLLCPFNRAKVQDKKMVYVPPI -FHQFVAAQSRFKSFVERLNTYIAQSIELIKGGLKSIWKFVKSNWFYILLSITAVGIPSGIAYLQVSEDRT -LQMEENAAMIRRLRETHGYQGAYNTSDMVPKSIKIPDRVLKGEAANQEVDSAIKIIQGNLVRLMVFYENG -EKFRQFQIVMLKNRQALYILHYHQEIKYIFKTYPDSKLFFVEGENTTARYIPLIFEDLKPVWFSTKIDDS -NIGVLELPVRIRMFRDITKFIASQNQHNAFGTEGRFINREFIKFTDIKKETFYRIDGDENVTGMIMDVVY -TYPISASGLCGSILISNQLSKGIIIGVHIAGDGRKGASEPIYREMFEPLKEHKNECVSITIPHLDDCALA -KIMPETDIYPLGVISADLAHSESGKTQIVPSEIAGVFEIRTEPNPLVPSDPRLPADSSPMKLGVEKHGIV -PRGFPDSIIRTAYYSLRDKILAHCKPVKLDMEPWSLQDVCCGNVEIPHCEPLNWTSAEGFFLNKYKPPGM -KGKKWLFDLEESSEGYKLKGLHPILKQQMALKDALRKRKIRPFTVFTDCLKDTRLPKEKCRIPGKTRIFS -TSPVDFQIQFKQYYQNFISSYQHHRQKCEHAIGIDVDSLEWTEYARLLQSKGLKVVSGDYSNFGPGMIME -FVWYAFEIIIDWYRYYGASESYCEYLGMLRDEIMNANHLCMNLIYTVASSIPSGSPITTPLNSLVNCLYI -RACYIDCGLTLSSFDSNVEVVTYGDDVVINISDSIAEKFNLQTMSDAFLKRGIVFTHCSKASNVQPYEAL -RDITFLKRRWLPHPFKRGIYLAALDKISVEDTANWITKKNSLREGSLLNSEQCLLNSFGWGPEYYNYVKD -KLIQEWRKLHVHVSYKSWDEIDTEKYSG - ->YP_009337284.1 hypothetical protein [Hubei picorna-like virus 28] -MASYIVPSKSRSGGYAVYSPCEEAVPCGPVYGPKNTRNIYQRYDYLERLAFSLKRKWLKNAYECQYKLAY -YMQNGAIWYDAYGQTWVQVPKELFIEVNNYVWDEMLKEARRNRKRHNGGKHNRIKINICDYPCDPDVAWG -LHQCEDSEDDAPILRQGAKKKERVYHAEVWPEDHIYEQEWELVRNPNLLDRLKARLFLFKFVRKPLAYLR -KFKVKEGYASDYKFDANNEVLEEIPLRTYRVVEQQMEEPHGENEQVLRASNVVIGETQVESVADEGLPVV -SWVSMSSNEAFDSGFDRVLDRFTFIDSIQWHMSDVHPQGMMLADMSFPQHAIDKMCQQNRGNVPFCVPFN -IYRYWHGDMEIKLQINSNKFQTGRLQVSWYYYDTKVANDRDNMWICSQLPHCIINAGSSNESTLVIPYKH -WKPLLSTRLRKGYVKPLHLGTLFIHVLAPLTIGPQATSPKSASISILVRLRNSKFTGLADGGIALSEQQM -FKPSALASAASSVLKQFNCDNPTSTSVVPYLVPTGSHSWCAGTGVTEVLHNLRLDYNCLGVNRAIDHGTS -ETTIANIVSHFGLLEPFVWSVDGDKNNKGSVLFEMNVHPIVDKSKVHKWNHANTQLSNYAIPPVGVVSSM -FMYWRGSLEIRFDIVASQFHTGRLLVAYVPGISGGTQVTLEQARNSAHAIFSLQESQSFTFVVPYIADKP -WWHRRYAGPQRRREIAAPSKLFVFVLNPLTPMDQVASTVTVLPYIRGGSDFEVAVPVQPALGLNWNDTIF -IANDYFMRTVPGYAPIYFSTWHSFGTGRQLIARYGPGSDHVAQWTLPNIPAPPNSYAIWELQEKINITPK -GKPFTSEYYLCAWKDSGYIYMFPVLKKEDAKAAALCLYKGQKPTDFMSYLVQFSADSSYGKSNPAYCRPE -YFSVEEITDYEVIEKQSDERGVVENVMSPVGTLPSSSYGITFFNENFGDLKDLCRRYQLLYSGAVELNVD -GINGRDLALFTFPAIPRGLDLVTKNKELIWNIMRDGHIPLICDGFRFFRGSLRYKIVLPNDFDFNVWVQH -HPDKPFDGPAVLNAEFKNDGADLVRNHTYAYYIQSTKVNNIVEFEVPCYIAGNYLVTGKRGEDANTDASD -FYSLGEIAIGIDACCASKSSISFSLYYSFADDACLNVFTGFGAKVFCDEAYSYAEPQMMSWLSSVSSATA -SQMLGSLVTKTVDGSVSRFENRVKNKVMDAVTPLAEELSKEVLLAKHGIETEMHEWMSNVGMTVALSQLM -HVLVNPTPASLAVSFISILAIMCQASVSALFKILQGAQEGLTKLFTRFWPQIAGQSSDLQGDAQQHAEFQ -SEFLDELSKQDVHHISSIIFTLWASAMGITCVGPSKYPNFMRGVFTHVGILNNVGTLLKNMSDTIMYCVR -HLLGATSEKRRLEMIVESNVIELREWVLECNKLLDPRMFNNLLRDQQYSNRVFDACLYGSILVTEDLHKT -CPKPRIILDTYKELCKLRDRIIAMGNHPDVRFECFVVWLAGHRGIGKSFMTDTICAELLRAINYQTNEAM -IYWLTSTTKYWNGVRNPPVIARDDAFNLNGTLMEEELGNYFMIKSSSVLNPNMAAVEDKNKRLNPLIYFL -NSNFTFPVISPAKLPSAVYRRRQALIEARFTDEILQKYGAGDPENISSAEILMPEERENFKHLLFRYSRN -PAVDKSEFWTNWMSYEELMQWLRPKFIQFYQQEQKNFQRRVQTAYSLSSSGVHDFNLPDLEVNSSLRSRV -EQAKQLAARRLLEMRDETIEDPNITSIVKSWGQRLVDWWDCRVEKQSPEPTPGCSFWDDEPIFQGTTKDL -GESVKFEVVRTIFSECGKDMFAEWFAGGVWNPSNKFLEKWMEYTGLQSETLKIMLEDIQDYRLTSSQFFD -AFCPKKEFIDQWPNFYPIVLWGIDLRLFTPTPFNTGRVEGMETLDQAVNYWRLASYRVHSRIFRNHAFTH -DQIAQKIFGKSYAEIRSKEYIDFMTTQYVNNLGIHCKFTQFWLEYLPYCSEVQYCSSRDRFIYKNPFGFT -TSVEARCNCKNCPMRNPMLSRLLAYVWNQTHPNIQLCFNNSSNDSAILEDWLEKAKSFASCAWNHYLKPF -ATLVLAFIIKCIPIIVKILLSLAIAMGIRYTASKVFGPSAEIPNVNFNFEPTDFQVGKNYFKFDSPKFKN -TQNNFNKGFQQSSEQRNAMARAIINNTVILACAYVENGRRMNVNARCLMVRGRSLLMLRHYYEECRSVLD -KRPTFTLHFNVGGAASFREVLFEDVFGCMKRATANDGKSDSNYCLCELPNFIPQFKSIIKFFATASQHLN -LSRTMDLHCVGDQLYCDLEFKREGKFIVASNDEVCSNVVLENAYTYNVQGRGMCGSVLVCNSVNNGNGAI -VGMHVAGAPSKGKGIAEPLFREMFDCYISHVNYPVVDDLDLKPIDDKHIKLNTNLMLLGKVDAEFSHHES -GKSRIVPSLIAGAVYPVITEVNPLKPNDPRQPEGSHPLYDGCNKHGTGHLVPFPKDIVDIVKEDLSSVLN -TRVLPVRNEVSILTEQQAICGDPDVPHFESLNWTSSEGFPMSVYRPKGAYNKKWLFKLKETQSGYELLGM -DERLKTLILARRKAYREGTVPPTIYVDCLKDYRLSPEKCKMPGKTRIFSISPIQTTIDVKVHLGDFMASY -KQNFIASEHAIGINPDSLDWTQVVHYLGEVGTKIVTGDYSNFGPCLNSEMVSVAADNIKSWHEFNHAAEE -HCNYVHNIIREEIMNPLHLCEDIVYQTHNGIASGSAMTGEINSEVNKAYIRVVYMMIMRELSPKHASMAA -FRENVRLLVYGDDFILSVSDEICEYFNLETIIRYFQKLNIKVTSASKTDVVEKYTDLSQATFLKRGFKKH -PWRANIVLAPVDINSVQECVNWVHKSNDPAEALLECCRASMDLAYGHGPEYYKQHGERLVLACRQHNIVF -QPTSWRDRDNEIFGDGSQGENISLRMTVPWFMRDE - ->YP_009337271.1 hypothetical protein [Hubei tetragnatha maxillosa virus 2] -MMQNEISVKSNENKYNSYLSFDDSWTGTTLDRFSPAWMARTKQEMTAVLEAAVNDMTSIRVGGRDVAVPI -QLCRVWGYLCDDERKAFMRYLVHARPVQAPPLTEAAVDLIQEAVERKRVAVTGRKIAKIVRKEYVRRCYD -HGPYRDLNEPIEEQWELVRNPTLLARLRARISGLFYDAPKECIEMQQVEPEMEDARGEHETSVRASNVVL -GETQSESVGGEVVHTPDYYINLSSSEQKPSYSNLTDRFNYCTTIEWNKSQQRDVTLLSYRLPRAALATQQ -DPCMAPPFVPFTIHRYARMGMQIKIQMNSNKFQVGQLQCSWQYLERYTFNPLNTVFERAQLPHCLISAGA -GNEATLDIPYKYYRPYMHTKTRDNFVDPLDLGTLTIKVLSPLAVTDGGPSTANVSVFVRFLDASFTGMID -ANIDKPSFTEVQPEMEAVVQQMGMMALNSAIDYAFGDKNCDNPPELAAPKFFVPAAGHTWSIGNGESHPV -NMLRLSAVKGCGRRGDPEVNELDMRYPCSVWGMLIPFAWNSKLSSSNTVGKMLWYTSVQPMCDKTRMYNY -LSSTTNALKQYVMPPVGVVSSMFQFWRGSLEYRFDIIASQFHSGRLLVAYIPGVDDPSKVTLAQARNSAH -MVFSLQEATSFTFVVPYISDKPWWGRRYAGPQRKSEFVAPSSLVVFVLNPLIIMDKVVPSVEIIPYVRAG -EDFELAIPVQPAVGISDDPVNYLKDSTKLRALPGYAPFYVGKCSIGADLNLFRYGTVALHYAQFSQISGL -AKPDLDQIYIWVLESAKDAPKVQVRGATTKNAAIYAMPLVYQGYTYAMAFTDVKKAIAASVSWRTNGDTT -KLLQYDTTWSENDATYGSATAVYVPKLYSISKGTVMEGITILLEDAIRVEPEMEERRIATNALQPTSSLP -STGAGYSNFGEKFVSLKDLLRRYQLYWEGIVTPASDGVLNRAIIQLPLSPSGLELDITNPNQLWNVLREG -ALGIVSSGYRYFRGGMRLRVVFPAGLDANVWVQHHPDKPADQGLVARTGAAIKQADAFRNHQYGFYVQSL -RVNNVVEISIPFYQPGVYGVLRGLNSYGLTSDLIDYISLGDIVIGLEGVTSFPASIPVAAYAALEDDMSF -NVFQGFPPIVFCEDTIESLASPPVHVEPEMMGAAKVLGLTALMGVASYVGMKSAVGTAVVRAERMALDCV -DGVMEKHVQPIVQQLRDDVGAAAETLTEDVKDTAATIAFTTALGNLMHIAANPTPKTVAIAVVNCLVTVC -CSAWEMASRFYSVVEDFFVKYWSKFSGQADRVVVEPEGFEGMYDESAATSMLFTLACAMLGVGASVIKPG -GYFNLMKNISATVQLPVNIMRFLQNCGETLTYFLKWLCMKDEATIRAQVVLDEDLPDIKLWFKEAQYLLD -PRNRSRLECDRLMNNRVYDACTTGSLLVANGLSDSLPGGKVLWDTYKELCKVRTRLVDIGKHPDVRFEAF -SVWVNGGAGIGKSTFATNLAAAMLNEIQYKTNGAACYYLQPGAKWWNGYTDQPVIVRDDAYQITTGPSFE -EELASHFAICSCSVLNPPKAAVEEKHLRANPLIYLMLANVAFPAVSTTVAEEEAIYRRRKCMIEARIKPE -VKTAWLEANPGKSFTDASQLPSATTKDFGHVEFAIYDSPADKNRTHRDEWVSFAAIKKHMVKAFVDHYTE -EQKKFRQRVMDMYMMCPTTGESVYTELPELAHSISLKDQIEKIRARAEEHLASLEDPELQRMPYWQHLKA -GVLSYARKFVPEAPRPQCVDPDAAGYIDADRVQSVWCGVLRRMRLPAEANALIQNARWTDPMSLVMFSLD -PSREMAFADFDLKIQENDYTVCFPLFMPKEQFTKIKFMDDSEVKLNAWLRLRKFNEDMCTNVSFESWADE -RVSDEERVRRYLVAVLAYAGSVKLFDAIAFWSDLKRRVMNDDCDTSFLLRKIHEAEMEPGCENYACECAR -FWGSVLSEKADTVKYSRTRDRFYYTDCIGLSMSVPRICPHTRSVTNCAVFRRLSCFWFEKTLERRGEQGS -RESTSAAHQAMFEDAVTVMSHWSRIKLKARKWYDEVLSPFLAKIVRFLVEHMPLILTWTIGATLSIGLHY -AMGSLTTDQILDGAFEGSKNYYKWDAPKANAKQSAPHSVPTFQNAPATRVSMIHKLTNNTIFFEGTWVTA -DGIRSVQQCRCFSPFGRRVIMLKHYVEEYAQAMRDPANTNFRLRAVYNVGGKQSSAWIEPAVLFDTAIEA -GPGSNFLLVELPRHFPQFKTLLHLFATKAHHSNMGATCDLFPVTELATYDLPISIKKNYVVTGDSHISDI -TMGRVYTYYRHGKGLCGSLLVCPSVNAGLGGIVGMHVAGSVSSGEGVAEPLYREMFDKAYAARGYSESEI -LPMNLDPVPEEKKQKLDSNLMLYGTVPRGFAHHESGKTKIRPSLIQNAVYSVRTEPNPLRPGDPRQPPGS -DPLYDGCNKHGSGNVIDFSVEDVRVVVEAEKQCQRLTTLPVRAEIKPMTLQQAVCGDPDIPYCEPLNWKS -SEGFPLRVSRPKSAKDKRWLFDLEETERGFKMRGIHDSLAEQMRMRDLCFKRNVKPITVYEDCTKDYRLT -PEKCKIPGKTRIFSIAPVQCTLDCKMYLGDICCAIKKSRIVNGIAIGINPDSLEWTQLVHYLHEVGTNIV -TLDYSNYGPCLLSQLVEGSIEIFLDWLEYNGASKEHLDRAEWIMRNDIMNPIHLCEDIIYQVHNGISSGS -PITTEKNSVPNKLYIRLAWCDIFREDPILRLMSAFEENVRDVVYGDDVIMSVSDLVIDRFNAVTIAASLR -KHGIIVTNASKTKEVTTHGTIYDATFLKRGFAHHPSRKGVWLAPLDVASVEECVNWVHDCNDLKGATIQA -CEASLDLAFGLGPVWYEEHRVKLVKAVQKIGAALRTKNWKERDAEIFGDSCVDRIDLTPKIDWYMNNDYY -NRC - ->YP_009337194.1 hypothetical protein [Hubei odonate virus 2] -MNSSHNVMTYTQSSSSRFSSYSQVLRSRLPYGPVPQGIYSECPIRRRFTPARIVNARHPKYLTGWRKLDI -GAKKFLARFPTRSSCLDVADKKMYDRCRRVLRCRQAHLELVDERREARYWAAQRAQDSSPPRIAQPTSTK -TVKKRTFRPLDTSNCHPDEYFDSLKYCPQRPPVEKRSLAHALLRTKPGAALLCCLSAAFEQRKALKLSYR -PRSLCKGRFPYSPFKKESMSYVDWAELGRRFRKVKGEKTVSFAPDVQVKYYPLEPQMEGASAQGEGDLGH -VITGNVVLTEARENSTASGPTEGAPDWAKISSDDVITSISNLTDRFVPIANFVWPRDAAHNNQNDYICDI -DLPKDFVLSFSQSMCDLPNALPFLCHMYSKFDMEIKVHVNCNKFQIGQLQGTFWYEDTMDNAFPTRNNIW -CASQNHHILISAGTSNEATLRVPFVYRKSFLLNKKMKSMEDPLHLGRFRLAIMNPLTATSSVAKKAYGTV -FIKFSNAIFTGMKSGALGSQRAIHPSSLDLASLPTLIEPQSGGDERVTSKTAIMSPGRTLQSTSNGQLLF -GESFMDLKDLCRRYQYYATYTGSKQTVISGTGKVCAVIPLMAQGLDLGIDGFGKGGTQFGNFHRDGHIPI -VCSGFRYFRGGLRFKIVGPKIVKANMLVQHIPPLMYHGDQLIPCSSIHSSIDMVFHTYSSYVQNLGLNNI -VEIEVPYYNSGCYTLLQYPKVKNEVLSDAQSFYDLGQLIVSIDLVGTDPLTIGKTWSVVAYYAFADDARC -SLFQGFPPMIVLDEIQYNIGTSNLRLLEPQMDEILKVGAMKLLDAAFPDNNRDNPPDTSPSLPVVLNSSH -SWCAGTGTAEPINSLRLDPRGQTRFFGGVGSDEMQVSSIVNVWGFIKTLQWSTDKKFGDPVFVCDASPII -ALSNYKKWQGDKQNLPLYVFPPVAVISSLFHFWRGDLEFRFDFICSQYHTGRLMLAYVPGQDETITLAQA -RASPHVIFTLNESDQCIFKIPYIADRPWWPRNYSYGDSTTSHVAPSQLCIFVLNPLVVMDSVPNIIDINM -YMRGGSSFEVAIPAQPSLGLGRNTHYRPLPDGYSIAALQGYDPYYLGYEHSFYVGEKYYGIFRYSTNSEA -LTQFPSPDVKYLTKTIWVLAPNETGPRADFKGTMQEVTACVLVRIDKKYIYALPIPTNAAADAILAYLAT -EPVVKLGLDWDWKAMVANLWVVDSSSSNTWSREGLRWYPKQFKKVTSIEPQMMGVMKKVLGKDTDEQVVE -PTPSKPNLLERTASNVISNVTSKAATEVVEQVKETISEHVAAIKEAIASETSDETSNYVLLALTNLLHVI -VNFNIFSISVAVVSLLLALGKYLYNSYDKILNVVQKVFHCIGKDPEIKKEEVDPVKRVKAEQESHLIERI -YLWRSMMINRWISEDLTYIMSGMPRVSECQKLSVEDEARYLNLIPVSPTDPLYQQYLHALFLLGLAGIVP -QDTRLAYLCYHSDKSIDIDVGNLRRNYPEIHSWGLHLFKSYELLGDLMKAYNNLDKSDKIKSLIPQGGDA -VELSPLERDVSDLLSMIFSAISQGMSFSNRPEHIKKKWRETLFTDVSLFSRGANNVIYFVRNLFTVIKNM -VRHIADWVAPNYRAARALSEVVPSLSVFSQECHTLLHPTNQERLLVDAQLQARVIDAAMYATIIRSYACE -SKVEGFNAISQVCKDILELRDTLARKGRSPLVRPSPFTVCLCGETGVGKTFIADKLMCSLVQEMKLPVTS -SPIYTLNNAEHWDGLIGTEPCVYGDDLFLSRDATTLMRDVNRIYEIKNTAPLQPKMASLEQKGLQYSPAI -FWYNTNIPFPDESCIAYPKAVYSRRDFLIRVIKTPEYINKNLNDLPVDELAQWKHVEFYASTAVTNPSIM -SDMKSPHWVGPMTFFQFVPYMRQAFRKYYEREQAKYHTKINDIYNLLDASVTRINKELHDPTAVISEETI -NEKFVKAKIGAELALKGNNILPNVPPFQRQAQILYNSAVVQAFEKFFNLPSLVYDRKLLDSLRTKFNLKY -LNTIKTTKIEDPVVQECYMEAISLVDEPPPPAENLVMSPEDLKMLEKEAEDDPPIFSKFSAYKGSEKGWD -AVKVYLGQIMPRPSLLQSLYGDESTSDPSKMAAILSFAQNITISDKRECWYVGEDIQHFYYFLGKAFTSG -TIALNAEPCSHYTMLKQGFDFDNGVILSGKTKVSFDICSNNPMCLCYLPLFHNIVHYSLFHKNYGYIFAF -KQKKLDQIVPRFKESAQTMEYSAIKKFCINVSALAHSSFTKFLKPALFKLWSLLSSKWFIMGLATLGTVA -AIIMRSPAHALMSSTTVLQQSLYDTKPLPSSSVAYAHPVLMQASNNEINDTIRCLQRNFVVLNITFNDSV -FERNYVCLMLKGRLCLVILHYYEDIKAIFDSDDSARLYLMIDGGRKIPLIFEDLKFKWFKKFGNYSNFGV -VEFPVRVRQFAKITHFIASKNSLSSVGTEGVFVRPDSTININLKLSKHYQVIASETSSSTYIETGFEYGV -TKRGYCGSLIIACGLSKGVVVGMHVGGDSKIGVAEPICLEMFEEIKQERSHAAWVSLPKLDDVALAKIMP -DTNIYPLGVVENKLAHKESGKTQIIPSEIAGVFPITTEPNPLSAQDPRLPPGNSPMLRGVGKHGIVPIGF -PDSILRQAYTSLRNKILSHCKPVRVNISPLTLEQACCGDVNIPHCEPLSWSTSEGFYLNAHRPPGNKGKK -WLFNLKEDADGYKLDGINDILASVMSLKEKLRSKSIVPFTCFVDCLKDTRLPKEKCSVPGKTRIFSISPV -DFTIQFKQYYQDFISSYQYNRQSCEHAIGIDCVSYEWTSYYKILQSKGPKVISGDYSNFGPGMVMECVYY -ALQIIIDWYVHYGVKDDHLHMLYMLREEILNANHLCFNLVYTVASSIPSGSPITTPLNSLVNCLYIRCAW -VMLGMDLAEFDRHVALVTYGDDIVINVSDDMCEKFNLKSISDVLLTGGIVFTHCSKQQNDCLYENINDIT -FLKRKWLAHPKRKGVFLAPLDEGSVKDCANWILNKGNFREASILNSEQAVQLAFSHGPEFYEEVAETIRK -AWQKLHHNFSYKSWSTLDSEFFEK - ->YP_009337161.1 hypothetical protein [Hubei picorna-like virus 27] -MASSTPLSPLFSFIPAEISLTVIKGTAPGHMALRLKKDAVTKRLSYTYNAWQDLATYISSTLYDLELLRP -EVEYITSKLASKVMGGIIKTEKTHNLSRCIMKLDVSDWVEYYLHQRGIYLVDNVVYKQINTYSAPRFEYP -SNRYTVLNEDETPIGVEEDDEPRPSTSGRLSFEPPNLFPEVINLKIKNKKKYNYSNLNYDVELPFKEVPS -SLNCNMDLRGRLRDIISSLRSLRTKGSLFCAYIKSKFVRPPPIHELVVEYYRHIDPCYEVLEDIPEYNFE -MDEGSHGEVGLSTSEKSNVILTESRIESEEQTAPVENVSWTNLCTSDVIVDYNKLVDRWIQIDTFDWSKS -VTQGSLLKTYELPLDLVLKEPTTPCRMANTVPFTIHRYWKGDLEVKIQVNSNKFQIGQLQVSWLYVPDFD -SQISSRLNIHNLSQANHVLVNASSSNEASLTIPFKYYLPYLHTKPRGDMPRPLVLGKMFIYVLNPLVVST -GGSDSAAVTVFIRAFNNEFTGMISGALDSIENLRLYEPEMDAMTDVIGGLVSTFIDRNRDNPPNVRPPPM -LVPTASHSWAVGTGLGEPLHPMRLDGRGQVPHPPGIDVNDEMLLRSITSKFGLLRTIEWTKEMAVRTVIF -DCDAAPLMNRDDYYRDGNTDNNSLFTYRVPPVGVVSSMFMSWRGTLEFKFDVIATQFHTGRLLLAYIPGA -RRDQTITFDHLRASSNMVFNLQESQQFSYIIPYIANKPMWPRAYAGDYDLQDAIAPSRLYMAVLNKLIPM -QSVTDKIYINVYVRGGPDFELLVPVQPALGLGYNNHYLFNTNTTGNIVALPGYSPYYSGQFEDFSYAEVF -RWGTLSHQIAQFTEANIYDAAQKQYSYSALVVPQPDFVARVANSSSGDSKLIPIEFAVFLFLPQLGYVCG -VPCLNENAAKKLAYNILVLNKSLNDDVNKPYIISNEFSTSDNTYCKDNPVWKIIWEKIPVSEAEMPERDS -SSNVIMLGGLTSTNFGMKLYGEYFGDLKDLTRRYQLYATIIIPAFSKTDMEKIRVSFPILPQGLELDMGT -KNNINQIFNRGREGHIPLIASGYRYYRGGVRLRFIVNPRFDALIFVQHRPDRILNSTKVVQDVKVITGES -VANHTYASYIQLSSVNGVVEIEVPFYLLGMYGLLQRPKLTFTEESNYFSLGEMCVGVSIDPNQADKLKNC -LLTVYYSMADDMSFSTFQGFPKMVFLSDIPSETPKLKEIVYDDSISNLSCISEYEPEGLLDMFFDKDKME -QEITEVADNVVDNTIDKVRTAVALETSHFTDSLKEAIKSFDASYKDNMLKIGLEIIHIIACPEIKTLALS -TIKILLELKIIIITSMQQFITAVTDLLIRWTSKVSSEPTTETANVTQFEPEGLFDTFEAKDWVAFAGLIF -SGICAFTGLTCPRPRNMSLFCSFFTSSLPNAAKSGNFIVSFLTSTIELVKRLFRWIIMQVYPVEGMFYDI -QDQMPEIKDWVQEVLYLTSKDLDSKLDMDGYFYDRVFAAHIFGQQLTIRYCEEKNSKISTLIRFADKINQ -YYDRMLALGKHPFLRKEPYCIWIEGVPGIGKSYLMEFLTSEMLKAIKYKLRGPKVFTIMPAGEFWTGCKN -QPVLNVDDAFSVEMGQTLERQLNTMYMVKSPVALNPPMADLADKHLRYNPEIFYINSNKAFLNIAGVDPT -AIHRRRDALVRVQYSDPTRTSTSQYSLEELSEFKHMSFYIARDVKDIQTAYDGPFNYMQFKKKICDHFRK -FYRQELVNFEQRLETYEAILEDEETEEDAKHIHTLHEKVMTKHDKARLEYLSALDKCWYSKLQRWKVEIS -NKYFNVSIKDRLLGPLYNLRKEKEPETPEVIAEGALDQINCADIINHLNDYYKKFELKYVGKRPGFRLNY -IPRENEMMNVIYERVFVNQIVQMLSYIVTEDYQNLSYDLQARGLYIVSGLCAIIYNYYESKIKICLDTAN -MTSEWVREFCTTTGMKQDFQLSEWDIKTYFSVNSLIKIDSRILDYILESRLNSYEENFNISRYQQDLVSF -DLVFKVINQVVKLDIIYPASATYNHRRLYALYESLCEWKSSGSTIYQCCHLDMEAKFDPAHKVFRLSDRS -IKDNMKCGSSCIFNSKFMQVTWHMHVVYSDRKLRKQFNDRDYKKLPYFFAHSPFVDKLETLDYKFLDSLT -VDICDWIKRTIPSKVLKWLGVIGKIASFIVMIFMMVKGVSWAFPNLVKSIPFVGYNPFTPAVPQPETVAK -LTELTKESFAIAENSYKVPTKVFTNPLPFRLSNPQININTTNNIRSIIRNSFFIVAEYINENGTPVETKG -RCLGIEGNRAICIRHYHDVFLSYPDSTRYYYETVTQFGVNRIELDYRNLRTDCIIMNEPGFGTNFFILYL -PPQVPKFKTITNLIPPISQHSHTGRTGKLLEYGGMYRDGVQFRVHGSFRIKGRGAMEEVTVIGGYAYDIH -GYGMCGSLLLADSLPNTPLIAMHVAGGDSNGLAEPLYREMFMHLKPQVIRDFEDPSDLSDLEPKHVLSTN -VFSIGAVVPEMYHPDFGKTSLAPSEIAGVFPIRTEPNPLSMYDKRLPKGEDPLIRGCEKHGLPVRPFKVQ -NLDIAAEHVSSWFKTSIVPIRSTVSAWSLQDSVCGNVNIKHCEPLNWTSSPGYGYTHLADKQSGKKWLFK -LDEKEDGFILKGIHPRLKEIMKRKQNLREQGVVPYTVFTDCLKDTCLPKEKCRIPGKTRIFSISPVDFTI -QFKMYFGDFLASYTAARLNAGHAIGINCDGPEWTDLALKLQSKGDNVVDIDFSNYGPGLPLDVAYAAFAI -IIEWYRYHGASKGYIKILWCFAHEILNAYHLCRDHIYQVCSGIPSGSPITAPLNSIVHNILIRLVWMDIM -EKQPLYSSLESFEANVCDVVYGDDGIYNVSDNVKELFNAETIISTFSKYNIVCTNARKCEEVIKYYPLTD -ASFLSREFLFHPTRPGIYLPGLKKISIEGTSNWVRPKDCGLRAASLVNSRMTVELAFGWGPKYYSEVYEK -LRAKWATLNERFVAKTWTERDREIFDLGVDYIYFPLEYYV - ->YP_009337127.1 hypothetical protein [Hubei coleoptera virus 1] -MEFITVSRRSMPVRYEQAAFDVVNEQLRAWRSTKREILRMGEEVLPIYGFTSYEEFENYFLLEITKLRFK -LVEMQFVNKKWNIKKVKQQRAFKKKVRISNFFHDNIYDVLKQDKLPVQKKFEDETACPMWKYYVALWDDY -NTLRQEMRKSKRKYDHSNILIGPLRPEVPPPERNAVLLATLRDHIDHLRACRCDRPYIKWSIITNVNKYL -YQNWTAFKRLFAPIEEGIEMQEYIHHPIYPTLAMPEMESDSHGEGTVTSIKHSNVILTENREGSEEITAS -FQSRTWTDLCSSEEIQNYSQNVDRWILIDTFSWSTGYMQDHMMASYQLPYQMIKSEPVTPCRMPNTLPFT -IHRYWRGDLEVKIHINANKFQIGSLQASWLYSPDFDTNIAQRRNIWSLSQAPHIIINSATSNEATMIIPY -KYYLPYIHTKPRGDMPDPPLNMGSLYIRVLNPLTTSANGPTDANVSIFVRSFNNEFTGMLAGDLDKIETV -VAKPEMDRMIDIASSLLTNLHPDNNRDNPPVVNPPQSFVPTASHSWAVGTNLPEPLHPLRLDGKGQTPHP -PGIEIEDAMSIKKIVQTFGLLTTVSWSKDQGKETFIFTTDVSPLNRKHLKVWSARSDTELRTFAVPPVGV -VSSMFYGWRGTLEYRFDIVASQFHTGRLMVAYIPGVTSESNITFSHLRASTNMIFSLQESDQFTFKVPFI -SNKPYWPREYAGDYDLQNVAGPSFLALAIVNPLIPMQTVSDKVYINVYVRGGDDFEVVVPVQPALGLCWN -SKFLTYEPEYTKIKALDGYYPYYIGRFEDLTYACVFRWNTTAHTIAQFNFANEGDPATREVTYCKVANPQ -AVNLKYWNNVAFAYCEYAVFINEPDLGYIVGIPVVSQQDAYALAYNIQILKLPLNDARNQNFCIKNTWST -VDNEYCIGNPEWDIIKQRIPGARAEGERQEAGQIMSMGTVSSTSFGFNLYGERFFSLKDLCRRYQLYGHY -NISTFNVNTQEYVKLRFPVLPQGLPLQVGINKNNIFEIWNRGRDGHIPLIASGYRFYRGSVRFRLVCDTL -KKGSVIVQHRPDRRLRYLNMQQEDSDITGNSVINHSYASYIQLTHINGLIEFEVPFYQLGMYGFLQGVSN -NDKTEASNFFSLGEICVSMAFNTSDISAIKNVLATVYYCIGDDMTFSTFQGFPPMLYASDVPHGVKPSNV -EEDFHIVHAAPEIFGVKSMVNYTGENLESHLKNVVSDAIVKLEPMQKEMLAAMETGSNTAIVSMILECLH -LTQSSTPYTIALVIINVLIQLKIIFVSNILSIVRSLADVIKRWCSRSSGESISDDTKLPSASAESLTSTF -DVKEWSAFVGILFVGVTTALNVTCKTPSNLTLLAKSMSSHISMGARNFSFVSTFITGIIEITRKIVRYVV -KIVYPLDSWYYDMELDDEEIKMWVDEVLYLTSFNLVDKLDEDGYLYDRIYAAMLTGREIAVKYCTEKTSS -INIIFRVLDKINNLYEEAVSLGKHPYVRKEPFCVWVYGEPGIGKSYVTEFLTTELLKANNIKFNGPKIFT -VMPSTEYWNTCRAQPVLVLDDAFAVEMGTTLEKQLNAMYMVKSSCALNPNMADLKDKQLRYNPEIFYINS -NKAFLNIAGVDPAAIHRRRDVLLNARLIPGTSMKDYSQAQLHRFEHLQFSFHSNPNLATADWGAYMTYAD -MVKIITERYKTFYANELDNYRMRLATYDAMLEEDMGDDDIMHTLSLQEVVANKRQKIRQKYFEDMSNSLF -SKFKSWTGDLTQIFKDKVQFEKVKNYCGKYLSREYGLMHAEIKPEMFLSNIFNRKQVPTASVHDSDQSHS -LIKKEVNNDIEEVRKQEENVLLARKKYECVRSMRTGFDNSLIKLADWCDGVESCSDNANCLVHPLPDVDN -QIKLQFNGIMTQWFTLNNISIEDQRWFTEHFAESDSPGFIPKIFVDNLHRFLLLQKVKGDCKVWFYNALM -KCFKIVVPGVSIRTANIYCWHSKVDSMTSWIYCSKKETWSVFDANKKKWVENPQCIGYCYMHVSLFKHLW -YYTWLKSNTKLFYQYKKKIVVDLPTYFHADNTGLELHAEVAKYFDSRKGAFLSWLKYNMCPTAISFLKNI -TMAALAVTSITLLWTAYDWLLEMLGFSTPIAKLTGAVGVKVITDSVVNKITSRQIGLAEGAYSHPHIKVQ -RIKTEVNAKPLRLTKHEMAPQQAMNVITAIQNNSIMLIIRYTNAQGIFSTVKARCLGVYGNNVLAIKHYY -DEFKAIVDSHNDAKVYVRRVVKSEMMPEVGIDFKNIKVAGFSYDGNPYNTNFMLILMPPHIPLFRDIRNL -FAPIKYHQAVGPVFKLYTFGVGIVEDLSNRGLTPVKIDGTENISAIDVEYAYAYPKHGAGMCGSVLISNN -LDQSPIIGMHVAGAGGLGYSEVIYREMFTIPIELDESVPYDSEPLELSTAAPEIKLDTTLMIYGTVSEAY -KHRETGKTALIPSDIHGVFDVRTQPNPLHRLDKRLPPGSDPMKAGCEKHGRPVKQFDPNNVKIVANDMFG -VIMSSVKPLRQPIGMLSEEMAIVGDCMLPHYEPLDWNTSPGYGYKDRKGKGKAYLFETMDTPVGKLCLGI -NEPLRSILDHKQELREQGIVPVTIFTDCLKDTTMPIEKCKIPGKTRIFSISPVDFTIQFRQYFGDFIAAY -TAARFDAEHAIGINVDSEEWSELYHRITLKQQNFIAGDYSNFGPGLSLQLADAVLNLIIDWYKFYGVVSE -HLNVMRVMKYEILCSKHLCLNLVYSVFGGIPSGSPITTPLNSLVNCLYIRLMWLDIMKNTEFYSMEHFNN -NVTIITYGDDMIGSVSDLVKDEFNMLTLANAFARYDIIFTDAAKTGKITPFVTRNELSFLSRYFVPHPTR -RAMYIAGLKKISIESTANWITKSGCHREASLTNSRMCLESAYGWGELYYSYIADTLRKAWIERGYNFNCP -LWIEKDERIFENKTINDGDYMLLLYNDKI - ->YP_009337046.1 hypothetical protein [Shuangao insect virus 12] -MAFVYESNNLEIVKDTCIKVRCISFCDEFGTVVVYTTVNNGFVSRNYYRYKPIYEYSIWTKSYEFVTNKL -EKLTNYSLTNIYNVLQKQDDIVEIEDSLIDYCDDCRTFNHQLYESSCCCLYKSIIKKVKIDKMAIHDYKQ -LVERHENNIREDRKRSVMLLFKLKYIINELRNIRLGSKELGECIEMSRIDTAVDALSTITACAEMDSESS -LQTDNELHVDKQQTTILTTNSELNEGTASCKESTDMLQLASCGDGVKQFKYITDRWVPIYNFDWKDSHTP -VSNLMAVNFMSLLTKKGQANTPLYNLMSMFTYLKCDLEFRIHVNSTKFHIGQLLFGFYYSAAMDSKAETR -YIPAGIIQLPHVKVNANTANDAILRVPFKYYKSMVCLKSKPTTEVENYGTLVCRVLSKLNMVSGSSNTVK -VNLYVRLVNAEFSGLNVRSFQAAEAEMMSIKKAINLTSDTLDFIMPDDRRDAPPNDTVHVRNIPHIASNW -NAGSKSIVDAIPMRMNEIAQTPHPPGTTNQDDVLDILDICKKPGLYHQVEWTNNHGYDSLIFSDNVSPMS -EHTTSGSEDLLFVSSEAIGSITKKYKIMPPIYNVMSCFSYWRGSLIYDFEVICSDFHTGSFLCAFIPGLT -KKETTNFNIDLMKSCYCATFDIREKKNFSFVVPYIADKPWWPRSFYFNDKYNLTYREPLGTLIVRVINPM -VISNTVPNHVDVNIYIRAGDDFECSVLSQPVLSLNYDLAYSVQDLVFIKDGYYPDMWCDTWHYLPSNKFC -LRYGEGSDHITQFTDNMEATTVYKCMYSAGKIYCVIDKKVVPITHIVCLIVNGDKNKYRYATGFVDYKTA -AKFATSNSNWSNSDTKLMTTFSDAYQSTEPLGNIYFQAVTAVDFEFVEAEMDTEKLDTSTSSLLSTGFGK -LTFGESFSSIKDMLRRYNWEADLIVPIVEDQKSTDYKNLASLQIPISLSGLSFDGNDTNRVLLRHNTLTY -LLSCFRFGRGGIRYRLVFPIMPITVHIQHVVWPTVRARTVTYAPIPTKSYEDYIKSGYTLCVQNLSVNNI -VSIEIPYYSPGSFIVNGVPNIKIPSDIMHHTLGQLLISVDSNKALRKSIITTTGNSKGIPIKVYKAVADD -FSPSCYVGFPPCIQNIEYAKAEMDDEVQPEMMGMARWMVGAAVDPVSTGGDLLKGIVKEASEDIKDTVKQ -VVSEIKEDVFPSSAWSNLTSIFPEVSRTTLVSAIVTILNCAINPNVRTFVLNIVSFFVQVGILAAECVTA -ITSVFVQFINNMIFKSNNIKKNEDTAFSKTMKKYKQEPYKIQTESSTNQQSQVLVPNKPIRTLQMGDRTF -RYLQFLYQKECERMEKQESDGEIQPEGPDCDYGSLVSVCVSGVLTAFSMKNNLLNSSRLPNFSNILLRDI -KDFSMTANHMIAFFRNTSDMFVNVFKWVMSYGSEKDLIYSNVVNNTDVIYKWIDECHWCLDEVNADAIRC -DARSILRVYYCAYFGEQLRKMYFKADLRVQNRMRQLESLIKKIILKRDVLTNSRLAPEVRAEPFVICLDG -DSNVGKSHISDKLLYDLAKSEKWVVSGNPVFTVTPGQPFMNGYRCPKAVKFDDFYQVRSEPFSLQEIAQF -FCFKSSAKYNIPMAELSQKEICANPNLLLLCTNNPFPTINGISSQEAFYRRRDMMVKMRRKSKYSGVSIL -SIPEDIRSNYQHVEFYIRTTVMSDELIDESKWVDYDEFYKQFLERYRSFDKNEQANYLKRMERLMEIQSE -SDVYDLNAIRIEFDKIVELSTAFDPDTNKIVEDKMHEAIKRSLMKHDQLNDELYCRSESNLMSDIVESLN -LVGEMDDEFKDKCYHSIMQDKMVKYPRRFIYKTYANISEDYGERNVLKQSTSLLSNINFEDTFKQGAFVD -IADDSLYPVGSCIMGSESCGHIINFKQFVHWFESQDAIDRIDIVENITKEDVLPMFMPENFMTNMNTYLD -ERLHFAVGVREEVTRIRAKFDTMSWLSEKIKSVFKIIKSIAIGLAGIMSLFFLFRYINKPNILPSSISSS -VVSATGGSESSIPVRDIVHPEMSYSQYNSRAVPSAAAAVKLIRGEMADEVMSNVQKKIRRNTVIFRCTRS -KGSVDLRAIGLTGRKCLLVDHMFEHLMKVYDERGTVAMIIDGITYSLPKSGIKASQIEDSVFVMIEIQMS -IPHFCNIIKLMQNQKSSAMHSPTGYLMEPIISEKKIVELNIHYQDYITTVNNIIINAPEGMDLQDSFSSK -CYSYYTSGKGKCMSVLVADINQPNPIIGFHVAGRTNGGKGYAECVVAETFIPLLDKHITDVVVAEMAPIE -YASIKTDSNLIRIGAIPKPFVQRMPEKCKNIHSMVYNKIYESTYDFPVISPKDKRIQDQPFSPLVKGIEN -QSKPPISFHKDLIKSAKFSLRNKIIQNVRPILSKELLTDEDVVCGIDNMRELFPHLDFTTSEGFPYSKYR -PVGCTNKKWLFDLTEVNEGYKLIKINDMVQEIREVKYQQRRKGIVPFTLFVDSLKDLKMPVEKCCIPGKT -RIFSASPIDFTLDVRKYFGNFVASYTHARLDAEHAVGINVNSIQWHELALHLLNNDESIVTGDYKNFGPT -LMSSCVEAAFDIIVDWYDYNYLYWDLDMPSVENSTIRKVMGYEMTYANHLARDLVYQVITGSPSGSPLTV -IINNLVNGLYMRCIYLICTQGTSFYGLDKFDKYVRVVFYGDDLIMSVNKDLLEFYNGQTIQKAFECYNIV -FTDSVKTGEIKLCSKITDKETTFLKRRFILHPVRKMTYFALGDERSIQEIANWIFETKNPVLATEQAMEQ -MLESAYSLGEEKYNEMCEKIQRLISEYNIHHRTYFRVRMCDWADIDNRCYAIN - ->YP_009337003.1 hypothetical protein [Hubei picorna-like virus 26] -MNYGNILIGPLQEQTDVPIHRDSFLLSKLRFIIDNLRAIRLGFYNFSPRNSVNIIFRTMNVIFNKERDVE -EGVELTEYGAFEMEEPSVHGEGNITTNQASNVVLTEARVEDSVITTPLSRMWSDYVSTDVVGNYNIITDR -WMKLKDFEWTTQSGKHSQLFQLKLPFDAVYNAPLVPCDMPNLLPFRIHRYVRCDMEVKIQINSNKFQIGQ -LQAAWFYQPECDSFFDLRENVFNGSQTHHVLISASASNEASLFIPFKYHLPMVSTKARSDISFPLNIGTL -KVRVLNPLSVTQNGPAKCNGVVFIRFMNCEFTGMVDGAMDIPSAFYQMDRIVDLGANILKGYLSANEDNP -PSRRVANYIVPTATHSWSMGTDITEPLQMLRLSNTGRTMHPDVVENEQLVASVVSKFGLVNTFDWTQSDE -SGKILWKCSAAPILEMSCYNAILSKDKHILTSYYIPPVGVVSSLFQYWRGSLEFRFDVIATQFHTGRLLV -GYVPGATSSSKITLSQLYASPHMIFTLQENQTFTYQIPYIANKPFWSRNYVGNHKASEVIPPSYLYVAVL -NPLIPMESVVAKIQINVYMRGGPDFEVSIPVQPCFGLAFNRNVLYTDTEIVKALTGYWPYYAGTWHSFLS -SEYLIFRWGTASDEVAQFQNNYLKKMILDARRAATDPMKTYTSYYYSFENSAEAPKYQDASGRVQIANIG -LILYGLTNSYTIFVPISSQNLVEAAAKDHYMHYNATGEYEWIRQYAKDHYMHYNATGEYEWIRQYAIKIT -STSNNDYISGNPNLIVSEVNSKFYMSESENSFDECASIEGERESAENVLISGSTLTSTNSGRFLFGEQFH -DLMDLCRRYQLYGAFNVDLSKTRTVGQAVGVFPVVPQGLALDIGTTSAIVEIPNRCRDGHIPLVLSGFRY -GRGSIRFRIVGPSGTIQAWVQHRPDRKLVTPTITVPSKSDRAQSLFNHSYAYYVQDLNINSIMEFEVPFY -QNSAFCLLQGPKFLRTDEAYYYTLGDISIGFVYTKNDLPDIASSLVTIYYSLADDFQMSTFQGFPPMVLL -DDIATPEMMNWTTNLTNKLKGKIVDSVKTEVSAVVQEASKGATNAALEKIAELKESMEAQGLTDVVNTSI -FRCIMTNIAHCTITTNLKHIVTAIISVFIEMGLVAYEQTTVLLGKIMNLLNWVKVDNESGNELTRPDIVE -KAEEAVAEMETPSQEAAVGIITILWSGMCSLLSITTKCPKDVQSLSSFLTKDMTNVMRSSNIFFLFLKNV -FSVMGEMKQYLLGVVSPKFKLSQELEQRQPELVGWCQEVLKLEDPEYRKNYQYTQFYKQRVDMAYLKGSI -FYRNILQLEHKEFSHQITKLYDKIRDLRDASVKTGSDSYIRKQCFTVWQCGEAGVGKSTISTQLIMKLLK -HRNITTSVPPIYVHSTESDFWDTCVGNPAMVVDDLFNIQTGTIFEKHLILLFMLYSPVVLSPPKADLLDK -GMTYNPEIFWINSNYKFFEFDRIHKKAIHRRRDVLFESKVNPNKKAGCLHCDKNIPLVDCDPELLSDNHH -LIFYVYEDVCNSADDAPYKIMEFPEFLVFLKDKYDKVMDKNERMYEQRIAESMELFLQEESEADCMDDYI -ERVQKRHDANLRQIREASLYHELKTCLGNSYSIAKENLSKYLTTNLWDKKASPQMDVWKTCMEEYNHKQA -DECAINCLSTSQERILKSKNMFECYSEFYKRTFWSTEFSCYHYELIPLDRSDRMFLYQLIKISSMGPTPE -VSLPELFKFMAIVTCTEPNIHIYNVKLFIKRLYSYNKAWMGVYFKEVDFDRNSRVLKDHVCMCKHVLLDN -DPELCFIEDGVLYNNKRKPVDKLCAGPCDCGKQFGFNDKEEKSCIWDNHAFKYMWYKRFIDTHPHVVPSR -GIFKNIHIRSLPDALKPRNAVAIPDESKFVQWFKDIKQIALEWVYKTYVIFGKIFKFISSLPWPLITCLL -TGFVCSGSLVYSSYSMVHDNASRIARKQLKHGGAWESHSYTNLQNVNMSEIPRHAVSVVEGSIPQVDVAI -KLVMNNFFQITCIFNERKLSCHGVVLKSRKLLILRHYIEEYEAIKANNPTFYGKFPHRKFVDNIGVQFNL -FDCKITNYGIKDEFGHAMSNYMLLELPLCFPESKCLTQFIATTKDHQRVYGDCVLVQNSGKVSENIKAVR -ETNKLYVKSTATTSSVTLYDKYTYRMHAKGMCGSILLCNNLERPIIGMHVAGVEFGNERGISELLCLETF -EEVIKKEEVYHSVVIPELTDAEFSKININSMIYPLGCTDAKYTVYQSGDTSIVPSKIHGVFPVDTAPNPL -SKNDPRLPKGSSPLEDGCANMGKPPLDFETKLLDNAVFDLQHKLLSVVKPVRDKVGVLDLETAICGNPDI -PHFESLEWKSSPGYPLRFEKKGSNVSGKKYLFDLEEYDRGYKLKGLDPALQKLLTIDEACRKRGIKPFTV -FIDCLKDTTLPIEKCSIPGKTRIFSISPVQFTIQFKQYFLDFMASYQKARTNAEHGIGIDVNSLEWTHVA -NLLQSKGERIVCGDYKNFGPGLMKKAAQRAFDIIFAWYELHGDGKNNNIRKIFASEILDCPHLVTNLFYT -TPCGIPSGSPITTPLNDICNGLYLRCAWELITNMGFDKMNEHCVILHYGDDVCINVTDEISEVFNTVSLR -DAFEKYNIVFTDISKDGSIIPYRTLATCQFLKCGFLPHPFRSGVYTANLDKKSIQGCANWILKRNDPDVA -TIQNCETVLELAHGWGESYYNECLNKMLKECMNVNLKFRALKWSAIDERRYSRLSS - ->YP_009336939.1 hypothetical protein [Shahe heteroptera virus 1] -MPNARHFSPAPPTMTTTFAATAAVNASPDENCQSRQPIRLPREVPTLCEWNIFALSRKMTNWKRRQTAFH -RQLLRREEKEKAWEDRQAKWQKQRVRKAAKQARQDRNRAARQKELWEAYMLQINGFIRSKPQKEPKKAPL -WDPILGQDKKQTRTRWNGICPKTSLSQRGSLPERLSVNEPQQFRSPILLLKLRAVVRQLRYPATPATYFH -SYVAKKVVETVELPPLNVIGEMDKPEDRNCTEGAKVSVQSGDTYVEAHAIPSTANTAAPGWSISTVGGDT -YPELTDRYIQFDQFEWNSNSTGKEYSLPYDIIVEQRNNPSIVPILLHRLMRSDLTIKAAVSGNKFMAGQI -MIAWMYGADYQTQYSIRDNAQTLSQLPHVLISAGQSNDGELRIPYRFVREWLTIYDRQDGRGSLKMGKLI -VKVLNPLASVPGSNAIAHISMYAKFDSLILEGKTMYGLDQIVQGEMMPAVISAATNILRQYMRDSNRDKP -PSPANPAVITPHAFQSMCAGTNVVDHSVQLRLDPLGQTPYPDGYTDEMSVQYISRIFGLVKTIKWTTSDP -AGKLLGVFPVSPIWPTEHYAYQIINGQKCFSMPPVAVLSNFYSYWRGGFQLRIDIISSPFHQGKGLLVFI -PYYDPVSFKNLTLSQAQSFSHMSFDLAASCQVTMDVPFISDRPWWSTMADNGTQPFERPPGHVLLLVQNR -IPEADAIPNFVRLNIYWRGGPNFETAVPCQPAYGLATNIPSNMPDTDYAYAQPGSFPWYIGTWRYVMGGK -AAVARIGVTSDAVAKMKGLRRNYVYEFYDKNQEKVLKVDGMTPPYTFVPVSVDAEGFVYLGLLKDVKDAA -KYWYYLDTKDKKWKERVRPNYDLLFQPEVTSDNTYYDKNANAALVGKYISMPTQNDVPYTLRSKSREDLL -SNALGEVGEEVRTENVVSLLPQDKGDAMHYFGERQTDLKTLCRRPEHYLSMNFTFTLDQPFGAAHYCIPI -HPDGLVPDIHSNNVYRVARESLMQIIASGYAFYRGSMNLTFIVQSNQPIVAWWQHRPDQLATRMTAVSYA -DEHMASTIARGYATQFQSTGTNITQTLVVPWYQPGNLALLQRPALDKQNINRHITLGNIVGGFSFVKQIA -APVKIELTVYASLGDDARFMEFIGFPPVYPIVVSAAALHVPMRDMRLSPTEADQAYGEIGDKVQASWFAF -NVNPTINLQPSALFTPFKDGYDKLMNHAIDQWQAASFSRCYTAVEAMLFQYLINDSRGVATSIAHLLWVM -FSDFFVSGSLALISVIQKVIEYMLGSFKPIQTDQAQGEAPEVSLASKLITSLLQLIGAGVSFSNSKQQSW -ILRLSESVVYASKGTSQLIKFLENLFEVVKDVIHWVRMKLDSTYRARHFLQGAEEMLDHWYSTAMCCLDS -NNESYYDTDPDFVYKLEFTIFMGRRFTEALFQTNCFKLQDTVRFKEILNRLEKLMEKIRSKGQFSITREE -PFCLYLVGEPGIGKTYASLHIVAKVIDSLGIEYKGNPIFVKNSASDYWTNCYDQICVIFDDFAQFKDSGH -NQSDAECLFNLMSTATFVPPQAAVEEKGRRFSPQLVVCVSNCPFPQASNIQTSQALYRRRDSMWRVEIAK -EYRGTNYMTMTKEEKKRLEGSLNHLVFRRYPDPTNEAATPEAPISYDEFLARVIEEAKVKQARERRSRIQ -REKLFTRGSALKLKASNSLVNSMKEYFAKAPRGTYRAIIRTWPEFREYQRFFEKDINEDAEGEMDVYESE -VSENEKVTTRHEEQPTQVDGDVEVPLLSLIELGLNNEITPKPEGTAYAAYIANQNASPPKIEKAPVPAPR -KVIIKADAFAQTELAAEAKVEGRDYLEAETQTEKPLEFPEHRLGTCPCGNCWQYTIIGGEEVLTANAGPY -PTEQVVAILKTTKKKVNMECKHWNQHIAVPAQYRKGDMLYSRLAITPIGENPGFVPHRNCGPNCQIWGPN -SNKVTRNEFIRYHVNKSHFHVAVVYQDWFGQIFETGEEARLTISGYDTKQNSNWLKHAKTLVWFLNGVIC -PVGLLAGFLWFCRKAVDGCAENDKAYREVRAAELRVHQHRLAREEAIQQAQAPYDPANVAKGQRTARGLI -RRSPFVRHNQSTQGQIGGMHPLEPKLIANTFYMCISGYDSNTAEFYSVQARCVGVTGRWVMMPKHYLHKY -KTLNPDTRKCSVYIRQAAEVAQYLDKCPMVSSDDVEIVFIELDGKVPPFRKLYTNFKTERQAEEPIPRDL -QLIEIDIDGKVRYHDVAAQRHDRQLNIMTDNVVVTTIKRSIRYPKHGPGMCMTAVVDANSILGFHVAGVK -DHGYAEIIDQRMVTEWHDECIEQDLEADDSELHELIDYDGVPVIHLNGELAPAGIVQSQFAHNESGKTSF -RKSAVHGVFPVEYEPNPLSVSDPRIFPHSPMQLGCQKHGFATSSFDPSEVEIAARDYTERLINVCPPVRT -PLGITMTEAVCGIPGMKGFQALNLKTSEGFPYTGMRPPGGRNKEWLIKIERELDEVPNVIMNKVLYFDIK -RGIEARRQGKILAPVFTDCLKDDRLKIEKCRIPGKTRIFSSSPIDFTITWRMLFGDWLMAFVKGYLRAGH -AMCIAPEKADWTELMDYLEEGGKTKFLCGDYSNFGPAFDADCHRRIADGVCAWYEEYHQDSLSNGGKGEK -LAQFQLDQRARRAMVEELVHAHHLCFNVLYIAFCGMPSGSPATTPTNCWVNNLYIRLAWREIMRPFPKLM -TFDAFYKNTRLVVYGDDLILTVSDEVAEYFNNVTLQKFFQEHGVTYTDATKTGEVQPWRNKWEAQFLKRQ -WLPHPTRSGLYMSALDKSAVQDTANWIWKCPDPIEMSKETSYACLMNAFGWGPDYFNWIRETLVRAWRRI -PSEEPPRFRTWEELDLIFFEEQDSQGYMPADNRWFGTHFDAE - ->YP_009336575.1 hypothetical protein [Hubei picorna-like virus 29] -MLKMACFPVLFSEMSGAEVKKLVKSDFINSSRSWLQSIWSVKERCGDHPHLRYLEAMCCLQAECIRRDRR -IKKREHEERQALWLNGGREAHYFKKFGKFGGHHEWLLKRLERLTGMETREREVDPIWGEEVFDVEHDEWG -QPIPDYVAPPPRTIWCDACGGELWADHLCPQMLREVAAREAAWRAEELVRKQRKERVKPLKMLLRTLIKR -LTDLRRKLIFRGLPFYTGLPVVKQHSPGAVWQMGDEDEHGAGTTTVTQVSNVVVTEQHGASVAAEPVARS -LISYVSGDLVNRYDELVSRPYVYKVGSWTSAHGFNKELEAIRLPIDFVKSIAGSPNSVPFTVHRYCRSDM -VVRVQLNANKFMMGQLQMAWYYQDSVDLHFSKRNNVASNSQTLHCLINAGTSNEGVLRIPYRYFKPMMEV -GKREDKVEPLDLGCLYLRVLNPLQVTSSSATSCAYTIFVSFENAEFTGMRHSTGNNPLISNSPHARPQMD -IAAGIVASRVLSSVLDGNRDKPTTSARPTYFAPQTAQSWCIGNGESEDAYSLRLAHAQVPHPSSYVDDPF -TVQSVCSRFGYVKKFTWSSSHASGSKVMAIPAIPMGPEEQYYKQETGGVNTFVLPPVAFLSNMFAYWRGS -LRLRLDFVATQMHTGRLLIGYVPGDYIDDMPLARLYASTYAEFDLKEQQQIEYRIPYVADRMYWDRFLNL -SIRGSDVRAPGYVYIYVLAPLAVMDSIAPSIDINMYIAGGDDFELAVPAQPTVGLSFFPVRIIPTSAEAY -PIEGSYGFYVGTYTHLDINDRKDISDGQYFAILRYGPLYEHVSQFFMPKKPNYTKPISYYLKLKNYATDG -WSVRNPTKETSVKPEFFIPWYDGDYVYVLPCRSEEVAKEWCQRMNRHHGDFNAFLSATCRPDKFFFVYPK -GDDDDPYVDTDGHFRWVVMAESGKYPTSPINNEIARAFYPQEGTDSLMFSAHHQMDNRLSVDGVNLSGTS -SVANCGSMYFGEVFSDLKDYMRRYQPYCIMSVSKDDLAKFRPGAVIFSFPVLPQGLQLEVGSEDIPFWFQ -NYAREGPIPLLLSGYRYFRGSLRFRIIFPDSDAIWFVQHIPNGRWHDSLVWQRKTTDYSLGLEHMINHSY -AYTVQQGSINRVISFEVPFYLPYDACILQRFDQNKIRHTDLMSAATLGEIRVGLLSLGALKDTEAYPVIQ -VAYSFGDDARPSTFQGFPPVLLNQDIPLKATPQGLGDYFGAAKTMVSVADEIKRLDINRTVEKTEEALES -IAEGSKVFGSAMVKLDDFTTKSSEAFDLFMQNLKASQAPQVILQALSQIAHVINNPTSSTMSISIISFLS -SLGIVATSQFGELFSLLTRIFRAFQPQQQDGSEGNAVPQIDAEDSVAFASVLFAGISSGLNMRDVKASKY -SKGLALDLVNGITAGCKDGSFFFRFLTNVVQVIIKIFNYCKDKLCPLTLGLENMVDDGEVIKRWAEECIF -LTDTTLEPMFDVVPTLTERVHACYIIGSLIYTKLTCAPKGVNIAVLKDLYQKIVVLKTQLADKGLCSAVR -REPFVIAMYGAPGIGKSHMASMTILKLLRDANIKYDTSPIYSHPAGAKYWENVNQEPAMLMDDTFVLRTG -ETANEEVATMMSLKSCALFTPPIAACDRKKKRYAPELVYYHTNVLFPSLSMMECAEAVYRRRDLLWRAAF -KEGTTMADYTAEELDACDHLRFALHRAPHDKDRADDWSEWMDYETFYNITVESFKSFRLRQIATHTKKVE -FLTAAVHEIDSYPELNRMPLLDVLKLYTSGNYFSDSALFRNETVQLVAKAQGAGDIFLKYLSDMSISQKD -REELKNFFDLSIIKEVRSLVEHIAKGAPQFVIPPTTYKYIASICRTSLWCQISLELGVHTDDSQHKLLCC -WLEAYEKEGKHLLPHTSSNSVLVEDVIKPCAEAEEKAEEQGEDWLSQIKYEGEPVDSKLYTVDSVKRFYA -SNTRTKLCCYHIDKLEKYLKLDEHVEGQQVMVSFGITCTFSKDRFISQLVSIGCPHFFSFLRPSFVKVLS -NICCMHETNVAHWCALNTTCGSEECMLDDFVPVLDEWTDCCKGSKCFRFSKQLREMYSRIYAWGHPNIVA -EMAMDGPSCPQFFRPITVKDRLAIVRPLIVDSLKAIRQWTDTCLDKIWGAMRVVKDFFLQHGKWLLGALA -VVGAGFALYHRQPICEAVRDVKDNKIPEMKEKLYDFGKKICRQRAMLSGATPEFAEYLYPRSAVDLDASE -AIDKSLLGEHQVMASGDQKNAAAANRVSFIAKTISQASAIMPTARPQMNHEDVIVDRICRNTISIVAFKV -DETGKPSVSQSKYARALALGDRYVLTNLHCLELFDHFKYTYWRIFIADRVCEVNMNDVRWVKCDDSTLAI -MRMPANFPQFKQIVKYFASEADHTFRRPTEGQLISINTKGVTYKNCKISVRHQVLSIDGMEGIAPCEIAN -LYEYDAQAPGMCGSLLLAPKLNCPIIGMHIAGLPECNIGFAEPLFKETFSVLFEGIITDLVEPEFLPVSL -ARMDLQTTVFPLGSVGSRMAQRQSVKTGIVQSAIYGFVQPKTAPAPLVASDPRLPPNSSPLVKGCEKHGI -VTKNFSPDVMQRTRERLLTHLLAKCKPRRSGRLVLTDQQAVCGDPQLDFCDPLNWTSSAGYPYTAIKPAG -VSDKKWLFDLEETPTGLHLKDYNEPLKSILLFKRRQREEGVIQPTIFTDCLKDARIAIEKCSKPGKTRIF -SMSPVDYTIDFRRFFYDFISTYQSKRFSNYNMIGINVFGKEWDVLARKLLTYKDVCTGDYSNFGPGLNEE -VAQACLDIILAWYDEFDPEQSLDDRRTRACLAAELIGSMHLCEDLVYRVVAGIPSGSPITVVLNSLVNTF -YLFAAWDMLSVDWPVELQGFQNFDKNVVLYTYGDDFIFSISPVYKNLFNSKILSELFKKFDITLTDAFKG -EEVTPYSSLEEATFLKGSFKYFLGQYWYGLDTDQIFDMANFVNSKHNTLELTLVNAEQSLLHAHGHGKEF -FNVVKSRLGNAFKVIDVAFQGRTFEEITTLKRTVSDDPLYERALKYLLPLF - ->YP_009336552.1 hypothetical protein [Hubei tick virus 1] -MAFFFSFEDQLNIDGSVMNQFNAIQGGLDFDAPVLVNVGEVEWPLPSPTVVSKRKQRRERKEKKQKELPE -RIVQRLEKKKNLYQLHQIRKTKNFARRSEQDYGPAIVFDLESTLIPNRFDLVVKKFNFVKGQFDEIQRFA -LQGSKLNIAKQIKKKICEFSCTFFYRKFKLMSDRALRAYFEKSGKFEPRMLHCDFSSVRPFFFRHGFETL -WNDMYKNVVYNLRTVCSEVERRIHNYGFKFNLLKFSLKSNTSSYFNSLGFYLRNKIEKKLLEEKKKSMLQ -KKLAQINSDFCNNYRKKKNFQLSERTERVALIYKKNKKNFSEWQSLLFKYEFKQTFFKVVKYSRKSRYLQ -IKLGRKLSFMAEARKKKNSSIVKIFDKVEGIFCKSQKKTKIQVLPPKVVWQMDSANVDPTTSTTVTKTSN -VVSVDQGAQDKVVTQRQVNYVTRMSEGSQSNIVSEMVERFAPFDQFKWRESDGSGSRRKIYNLPKDLSVN -LMNNAPNLLFFKKFKYLSFDMEFKVQLNATRFQVGQMLVAWNYAVGQGLLDDISHAIQSPHHILNAPGNN -VLTFVIPFKWAYPYWNNGLDWDPIKLAFFVNSALSVPGSASASCNVFIQARLVNVKVAGMRNVEHQMMKS -LVGIAERGLRYLLADPNRDNPTDITPATAIVPNSSHSWCVGDNSVEVVNVLRLDAVATTPHFETVDEMQV -GFVVKHWGALGLQAWRTNQSTGTSILRYQVSPVNVRDARISYPESGRKLPRYGLSPLTRIASMFAYWRGT -IEFRFDFVAGMFHTGRVAVCFVPGDSNNSFKMDAQSYVEFFDLQDNVSFSYKCPFISDVPWIPTMDSDNR -LTKSVLPPKIGDLIMYVVNPLVAIDGVSDSVTIVPYIRGGPDFEVAVPIFPGSFPSSIGIDYHPSPSAVY -VKQGYFQCFTGKWRYTGNGCIVRYGNVTDHVAQFTGGDFNTVYRSEDPAYLKTSATEQIYVNYFARVKVS -GQEAYFYMAPFKSYNDALAYINAEDKDKISKMVPYVADSGWYWGHNTVLEPVSSIEHQMMSDAVESALTI -EPGPSINSSAMTFFNEGFNDLKSLLRRYSLYCDISVKVPADTPYGGVFYVVPIIPTGLRVHFGNVGPNNK -SIEFQSRIRGGVIANVADGYRFWRGGVRMKIIFRNLNGKDLFLQVTHVPDRFIGSLPASVKPKTALDFIG -NGYAYYSQCSRINECMEISVPFYLNADFGLLAHPDDVPQALKLACTSGYLAFSTLSRLEEEYDLTMQVFI -AFDDDMRFSSFQGFRLSSSAMELPEQLTSVPLELSQASSSRALPLEFEILDLPRVVHQMDRVKEKFSNSI -KYVGSLLGEGMSSSGEEMGRSWGQQIRDAGVAVTNDALSKVSEILELIKSMVGSAFETGKHFFISIASQL -VHLMHNPSYATFVICCATIWASMLPKDTSYSLFNAFIRFLNHPTVLSIIGMIGGISFANVVIDQAHQNFR -ASHQFDSDIVAEFTAMVVTVIASVLGFKMNRKAMMNCPNFMHYLLTHIKEITMTGVGLVAFLKLNMKVFG -NIVDWLLQTFSGVGLDMLCLTKSATLKTWARAAQQMLDPLHKEAIFGNSVLQRRVFVLVTQGQQMMLADS -LNGESAQIGQYIKTLLDKLVKLQDELIDNCYCPNARYVPFVMQLVGPPGIGKSELYCELGISMLEAINYV -CCGDPIFTKNSGPSYWNGVKQQAILLYDEFGLFRSGTAYEEQIAEFMQIKSSAVFNPSIAELENKKLRYN -PLIVLLASNTAFWNDTTVIREPDALHRRRDLLVRCRLKEGITMAEVREQFVARGYKTYDHLEFAVYNSVI -RESEGLGNWMSYASFKDLLIARWKQYHVAELAMYKERLRAMDRLQGPEIPIELEPLEEMVMAGALNTTEE -MLKEAECDAFRKLVRSRVDTSQMTVLGRDRQILNLQEKLSDCTAGSMNVTNEEWELLHQQLKDLRAKRVA -DAVAKYGEDFRQVISDRAVAPDLPSRADTIRRAREELAAEQARHRPDDSDTEEVQHQGGLFTDDDSEDDG -SFVSAIRERAPQTYSTIAQNKYLKFDKEVYVCPHEEHWNHEWFYMRNDELGWHWSHPFKSGYLPDLCRGI -CFFKLSGSENERMKWFKHRYSSVLMVGQWPNANALPHFALRYLPREELEEIHLEDKLDIAIQVGAARATG -ILERMKTIDWWYWLKKISKWVGVLCTGILVVFGAYKVAKPLFSGGSNDIVEPTTQDPWHEHQYAASGDSR -VGRRPSKFAAKMNKQSKVLRDKVTNHQYDERTPLINLESLIMRNSFQVSLEIDGVRRFRQRGLGICGRKA -FTTRHFYEEFKYQIDQKGDSKVLLVYEKDSVTLQFTFKELNFIVCEDSSLGILEFSKRLNSFRDIRKHFV -SESEVGYLGRHGKFYQYLDGRHQFQNVVFDEYEDLEIGGTESTSDQLLPAVYKYPISGPGKCGSVLYTCS -AIPRIIGIHVAGVDGGVFGFAQPILRETFEELKDISVEDPKNIHEFEEIPPAIEPPQGIEILGTVKKDDS -VSIPTKTQIVPSLMYDQICPHETEPAVLRSNDKRCTIDSHIDPVVKAIQTHGLQPQPFDPQILERCVYDM -SDYIISTTPKNYLLVSDELTDQEVFSGIAASNIKKLNLNASEGYPLSKIRLNRTPEFKLFEEDKKKKKLP -MTGKHWLFRFSETVEGLQLEQIHPILSDLMDRNNRLRQMQIAPASIFVDVLKDARVTFDKIEKGKTRMFS -MSPIEFTWACKKYFGVFQSAYQAGRIRNGTAIGINVHSVEWSELARQLLVKGQHIIVGDYKSFGDTLARD -VMWGAFDVILNWYSYYFPYTKVQHIRRVLREELFNAPHLVYNLVYRMLCGIPSGFALTVEINDLVNQIYM -RYCWCLLTRRPLSEFYRYCKVVTYGDDLILSVNSEVIEEFNFETIQKVLLKHNISFQPAAKDGSVYKSLN -LLEVTFLKCNFVKHHKRLNFFLAKLPLTSCLDMLNWQYKDNDKVTVIFENSRAALMNLYGWGPKIFHHWR -RVIMTWISEAVDQGILPSDCLPCHFKSWKEIDDEIFGDSG - ->YP_009336542.1 hypothetical protein [Hubei tick virus 2] -MSNPGEFNLSSKAVKFTVFVEGKGAVRLSFFSFSFETCRWHLVSEERISGASWNLCWEVKAAIRRLFAGW -ICDKFMGKSKGALLRMIFHKGRFFDFGVHVDLTSVRSYFASRGEKKIFFFLKNDIRQLVGSAEKRFAQHW -DFWSLGLKFIHLHFKQVTESFESRLSTYLRAQAEKRAAMKRRLARMRQAKWEAGMARAEARSAAWERKMC -RGEARRRVAALVAEEKRARKAARLAAKQQRRAGRGLCFVQPLEEWERELLLARLHERIEYLSGLRRPALP -MAQRVIVEEPDNVICPEPAQKKQWVEKKKKISALRSLALSRTMYVRVLLKRKSLLFSKVMKAWREFASSL -CQFDWRVVLFVMSTLSCVRPCLEQGGKHQSSHNRWRFRRRREIALEKVFARFDALKASIGSSEPQILPPL -LEHQMDRGDSLNVDPVAEPSVQRTSNVASVDQGETVTVVSEPRHPYPCRMNEGRETQIVSEMANRYMLMR -TYSWTTKQYRGQRVVDMNLPKELFTTALLSKSPNMVMLKKWEYMSFDIHVRIQLNATRLQVGQLLFAWSY -NFDNKKMSDVFTASQAPHAILSSPGNNVVELVIPFKWKYPYWSRTRINSDKKLINLVGMVLVPLQSPDTV -SPSCNFNVQVRLENVNVCGMRTPSVSFNRVEHQMFRAILNGAEGLLRQLGADLNRDNPTTPVAQNAMIPY -MSHSWCIGTNQVEVTNVLRLDAIATTPHPEPTDEMKVSVIARRFSLLTTADWKTGDNVESKLLEIQVGPM -CLNNVEVGAPADVATNKLETYKAPILHVLSSMFAYWRGTIEYRFDFVASMFHTGRVAVCFVPGPKTTGGY -AAALQSYVQYYDLSNATSFTFKCPYICDKTWCETRAQTGSITRDEGDNVGIGWLKIYVINPLVAITGTAN -AIKIVCYVRAGEDFHFAVPCMPTYFPVDLGRSYISPDVTLSAKAGYYPVFAGTWRFWQEGLYYILRYSEV -TDKIAQFDPMCDYSTVWVSSEGLAGFFYVWIKPDEKDPKAKGKWEQQWKTCYYFVRPKIAGQEAYCYLVP -FYDLQDARMFARNQQAMQQFKWIKDSPYVWQKGQRLVAVKQVQHEGDERLDEHIGVEYDMKNCLTSMNSF -NEGFDDLKDLCRRYQLYCDFSAEIHKDTPFGATFYRMAVLPCTLKIDFGVLSQGNKIAEFLNRVRMGPIG -ILANGFRYFRGGLRFKILFKEKNNQEIIIGVTHVPDRRCTVDHQIVRARSTNDFVANGYAYICQSAKTNE -CLEIEIPYYLNSDYGILAHPDDVSDDDKFHCSLGYLAFSIMSRIPQDIDLGVQIYIAFADDMRFSSFQGF -SDIATQFQIPISKDSVELRVGSLEGKEPENSPKLSLSDSEPDIIDWGEVLRAEHQMFGLGVRAEIKGGVD -DALDAVEGRLPSWFSQIRGECKLAATEASDIIMAKTTAVLDQIKGFMGEAFENSKLILITIASNLVHLFL -NPSWKALIVTLAAIYGALFGKSDDGALTRLATIVCQYVNRIIRVQTSTPEPSAPPLEEETTPLRAEHQFE -PGPEDHVKFMAEAAATYMSVLASLFNVRNLPKEKAPNFLSSLFVGVRDFGMTVNGLTKFIRVNVEIAIKA -INFLSRCAGYSGISYYISDYQDQLKAWCRQASMLTNPLNKCRIDTEPFLQHAVFMAYAQAEELLLALNMS -NEYPRLAMHIRDLAVKLGAIRERLTNECLAPMCRYEPIVIQINGKPGIGKSVLFQNVAVRLLKSIDYTTY -SEPVFTRTAGTAYWNGVGTQPALYYDDFLAFRSGQLCDEHVMELMQLKSCGVFNPSKSRRWRLRVPLKDY -NELSCSPTLQCWDLTNVSDHAALHRRRDSLWEAELKPGVDMKEVKKNFEKSGIRTFDHLQFRRYRNVLDE -NSLDDHAIGFEDFMFEICREFKVYHSKELRMYRQRLKDLDMLMPRTTGLEYDLAAEKEVLEFLSRLNKDR -DAMHVDRWREHVRTSCDPSAMTMHGLDPMMAPIRQKQKRSEHQMDPENKSLLDESDEEQGACGGVLQVSS -DTQDIQYISRSQIDTFPTVDKILYVNDHSDDFDCGDTGHRQEVPNEHRCIHWMSLTYRHHYTRLVDDFEE -EFDGVPVTEEDRELAYRRYDELQGPGRAGWHYIPERCNLQKCWLMTTYSAMFRMKWTARYIDIDNPIQPK -PEWLRKSLGEGLVQDIIRLQPAAEAKTREMEKKSRFKTFLDILKTALKWIGLIGFGLSAVYGAYNMLKPR -DSGADPTAAPEPTSTTIDPWHEVTTDSSAWTTEDPWHLKHQYTPSGDQRSARQKAPKNWAKQAKVRAVAI -KAKFAKPQFDASDPVDNLLTLIKRNAFESCLEIDGQERFKQKGLGLCGRIAITTKHFWETMRFHDQQQKQ -TKKQVWVVIKTPTSRLKFRPEELKFTIVDESSLALMEFPVSMTMFRDIRRHIVDTDEIAYCSRQGKFVEL -NGKDWEITNVVYDLVEAVDIGGDENISPQYLEMCYSYPVAGRGKCGAVLVSMKPTPRIIGLHVAGVPEQN -HGFAQAIFKEIFEDLQAIQLSDPPTEHQGLEIKPKIPIPEGVQFIGVVPPEAEVSRPVHSQLIPSLMFDE -VYKHETEPAVLRRNDPRCKVDPQVDPLVKAIATHGKVPLAFKQDVLDRCVRDLSDYLLATTRPYLLCQDE -LLDDQTIFAGIPDGGPLKKLNMSSAEGYPLCLYRQNSTEEHNYFRAIKREKHQPLNGKNWLFDFDVEQHG -VKLREIHPELKDLMAFNDELRKQGIIPATTFVDTLKDARVTLDKVQKGKTRMFSMSPVEYTWAVRKYFGV -FQAAYQKYRITNGTAIGINTQSSEWSMLLRELLKKGSNFVVGDYKAFGDTLERSVMKGAFTAICEWYVAN -FSSSEETQQYREILIEELFNAVHLASNIVYRMHCGIPSGFALTVEINDLVNQLYMRYCWCEITGRPLTEY -HRFVKTVTYGDDLIMTVNDQIKETFNFRSIQRCLAAYDIEFQPAAKDGSVYDTLSLAEVTFLKCKFVRHP -FRPHQFLAELPLSSCLDTINWQYKGNDKIEVLFENTRAAMNNVFGHGPEVYNKWRRAFIIWFGRAAERGI -ITKSDSFIHIKTWKERDDEVFGDNY - ->YP_009336533.1 hypothetical protein [Hubei tick virus 3] -MNYQRAPSVIPLPVLKYQVFEQDHGLYRFRALVFKWNLNCWSWFDDLDLLFEDIDTFGQKLCSWVSRVLA -RFLHTHVPLREAWLKQKMRFEGSLLPLMLHVDLENIFKSFFFSKAPHYAKALRGDVVQDLIAHSREIAKL -ISPLGGRLTPMLFNLDRSHMRSLFNQFDTFRTSKDLKAREKARALEELEERRRLAGRINSTRAELRQQRR -DFHERQQEQHRIKAIDDRLHRSFRKSNNFMKEWWRKYDAGILYEPLSEDEHFKAYQRYCQHLRDLALLRK -PRVPDVRPVGCPVMGLYLSASYGMFRRILKCRKVKYCNDCFRLTRMIATELAPVYVQEQRRRLSESSEFQ -VLPLAGPEGRIEHQAGEEDIVNTDPVAMSDVTVSSNVQLVDESVAANVVSQPAIRYPSMLDGGREATIVS -ELCSRYAYFDSFDWNATSPRELKTYNFPKGLKSEDFYITPNMILLSKWYYWHFDLDIRFSVNANRYQTGQ -LLIGWNYDHSTGGLKMASNNELSQAPHSILYAPVNNVVELIIPFKYHYPYWVNDAVVAPESFVTVQVNVL -NPLTTGEGVAPSCRVMVTVRLRNVKVAGMRSMGTRLRIEHEMFSMAVKAVQSSLRSVFEDLNRDNPTAPT -PHPSMIPYSAHSWSIGTNQVELLNPLRLDPLGVTPHFDSSDEMTVRNITSHFGLMTTSEWTMAQANSTLL -ASFLVTPVNIADLPVRQVANPSACGLAAYESTPLAVVASMFAYWRGSIEFRFDFVASMFHTGRLAFCFVP -TQKGEETVEYKPALQSYVTYFDLGGDPSVTIKCDYICNNTWRPTRLSSGLPVTKAESAIIGKLFVYVVNP -LVAVSGVSNRVYFNTYIRGGSDFEVAVPIFPMLFPICLGLDYIPVTTSISPKKGYCPVYASTWRYWYGGT -KCVLRYGEVSDHVAQFQPVMKFGEVYYTADGVPVVMRGKPYNCNYFVKADVGDSYMYLTPFYSFNQAVKF -IKTKSGSDLLAFERADDKRVWSESTVLVPVDGPQMEYEFEFVEHEAGDERTDPHLSTSPVSINHLSALDS -YNESFSDLKTLCRRYQIYADAVVKITSNLVFGEIFYNVPLFPAGCYIPFSSKGPGNLFYEFLNRARASPM -NILANGFRYFRGGLRAKVLVRCSTNQDVVVSVTHIPDRLLSDVPAIIRSEDTSGYASHGYAHYAQSTRVN -ECIELEIPYYLNSDYGILLDPASMPTINKQHCSLGSLAFTLLSRVEVDTKLAIQVFLAFADDMRFSSFQG -FSAVGSTLQIPNHKPRPELCSTELSQNIVIEHQGLLGVDQEIVRGVRMAKAEVEEALAEQYPTIRETIRA -DVRQVVNDSVSDVWEQAQQIMNQLKDYVGDLANSAKNLLMNVISNIVHVLLNPCLKSVIVALCCIIGLCI -DGANWCLGSLVSFYTRFMEFVQMSYSAVRDFSRKCFGCMGIGCAHCGVVERNHVNCKRDAVPIQHQAGVE -VLSTIEPLTINYVTLIASFFGYKEVTKHRSVPNFLSYAFLNYGKYSSGVTGLVEFIKTNIRALYSCIDWL -SIQTTGSGIDYFICSYPTELQKFCQNAQALLSPLNRERVYAEPYLQRSVFRLASIGQRILFLESRNCARD -KISLYVRDICTKLNSLQESLVADCLCPMVRYEPFVLHIAGAAGIGKSELAQDLGVQLLEAINYRSYSEPM -FTRTAGTQYWNGVRTQPIVYYDDFAFARSGELAESHISELMQLKSCATFNPPIAELENKKVRYNPLIVYL -SSNQAFWRELTMVSDMDALHRRRDSLWKAQLKAGVTMAEVKAQFALTGVKTYDHLEFGRYPDPKDESRQP -TRFYCFEDFQRLLLTEYKSYHENQLILYQQRLRDLGRLQPPTLGFEYDESLEQEVLDYLQRKSLSTDGDL -QRWQNRVRENCDPCHRTVTGLTVPTTVLAARGTPQHEADNELMITDDTYKSCADEEEVDVERNASILPNW -SVYYYIKEDKIKTPPRLQCEHWHHLTFRHSYMEFSDGQPPIYHLASIEVEPQDMPRELQVECSFGTNCWL -LSALSAEFRMRYSMINYKHFLEYKVEPDSRDVAPFARWLFPNLSQVPDFEAAAEARKKSFLERLKESKFY -KVLSVIGQIVKWFGIISMGVFAVFGGYKMLTGCKTESVKPTDDTLWHEYAPSGDVRTGRKAITKNWAKQA -RVRSHALKSKFAQPQYGDADPVSSLLNVIKRNAFESFVKIDGVCKYGMKGLGLCGRIALTTKHFLEEFEY -RVAEARANGSVVALTVKAGNYSHDFKLEQCKFTKVEDSSLALIEFPTSMCCFREIRHHIVTSDDVEYIGI -DGRFYELCNGDHNIVPIKMTPLEEIFISGEGHISGQTLPMCYSYDYGGKGKCGSVVIATTPIPRIVGIHV -AGIAGQNFGYAQAIFREMFESINAVVVTQPVVQPQGEDLQPNIELPYGVIPVGLFTPEQCPSYPRKSEIV -PSMAFDQIYKHQTEPSVLSKYDNRVQINRDIDPFVKAIQTHGNVPLAFDNQILSECIDDLSDFLISTTSP -KFMFVEELLPDAVIFGGLPGGAFNKLNLSSSEGYPLSLLRQYNDPVTHLYRKSKLQKGLPMKGKNWLFDY -EIDGDGLILKEIHPELIELMHHNDALRRQGIVPATIFVDTLKDCRVSPQKVKEGKTRMFSISPVEYTWVI -KRYFGVFQASYQQCRLINGTAIGINVRGVEWTRLARMLLEKGNNFIVGDYKAFGDTLERQVMMGAFQAII -RWYKYYFDTPKDVASYREILIEELFNAYHLVSNVVYQMMCGIPSGFALTVEINDLVNQLYMRYCWKKITK -ASFADYHHFCRVVTYGDDIIISVSDRYKESFNFFNIQECLGQYNISFQPAAKDGSIYTTLDLSQVTFLKA -KFVPHPTRRTQFLAQLPLESCLDTINWQYNKVDPYKILFENVRAALNNVYGHGPKVYAEWRSKIRDWFIA -KARSGVLREQDAFVHLKTWEEMDNEVFDECV - ->YP_009336529.1 hypothetical protein [Hubei picorna-like virus 44] -MIMSNKEASENRTDNNITNSENGQGLFLVTQEEIDFLDRELETCATIDCACKKSWCRGECESIHPVQALN -NMAQEYELGWTIHISRVSEACSRAVWRIVMWLSSVTAITQVVCFSTTQKTAKKEASRVLLEELRRFGLRN -LTRPPSRRLVERTENLSSEPINDKVGAHVLITDNLPDKLPLKYFDGINLSGKNIPGKYSYIKVPTRANPE -MADQEKDSPEALKHQDAGGPDQTTVDQSAQSAITTQRDESAHEVPVVSKDSGMKHLQFYNDVPQTVPNIV -GKWYLYDSFLWNTSQGIGTIIFQGDLLDSFTSIATGTLGLTTLMEQYQYIVPQMKVKVQLAVAPMMSGCL -IVGYRYFGDANAAMLSTNSQVLDAWQVANMPNGKINAQAGNEIVLDIPFRGPVDAIPTNSTFSCRGLNYC -QIYVGVYSPLKSASECNEVAGVVYVSFSSSEGHTQFYGLRKREKSEHEAPRLTLAPSATLFDASKPNVNV -DLQIDTPNDGIAMIKAYRKRVTAKPEVVDQEDLGALLSQGLKVISNLDRPTVSNDVGSTQVVSTPNLSLG -KGPIHLKQLRLDNKVITPHCKNCLGSEDEFNFGKLLSIYTPFAFGTVTTGMEHGSLISMVSLDQTTIQTI -PVCPTLWYQLPTDAGASTRNKVNLVLEGPLAVMGHHFAYWSGSLKFKFEFFTAFSQACRIRVYYAPNRSD -TTQTSIIADIDGAYGSVVFDLKEQTTFEFVAPYIWHAKQAPVYGPFRNKLGAVVGSYHHQRGIYNGTTYH -VAIGNLYVMIESQLACTNAASKTFDFVVSVAAGDDFAYDVPVDSNYCPIVSSSFTKQNKEEFRKRVKPAK -PEGDDVLNQGDSPVKNKISERSMDKMRISIFGNKSVPNKYHRGENPTLKDFARRFAVYHRDNKIFESSTE -AANTWSYPVYCGPARILDKMPFDFISTLFLGYRFFKGGMRYAVQATDSSRNSTVVVQHIPEFASASLASV -SINDSDYNVPVNFVTQWTMTPVEIGYATNFHPGLATEVANNTNFFTCVEAPFTSNYNCLLNNHSNGSIFG -SQDPQYRYRQNGVVSSGSLTIDVIPAVEPSASKPCVVTLTVLRAMADDGHFYCFQGFPLSSRFPSDSHVI -DNYGNSMPDSYIEPGDSRIYFRKPGDVKNWLNSLDKNHWAYQEAMKMVDAKFGTNAALEDLKEKDAKDIA -TGNIKGGTLIRRDRETGEDSVVIERETGEPVPVFPSKANRSAPVLSAVAEVDPDEPSLAQHLAMAVVNAM -KNLLIDLFGLGPVVAWFQDKTECIMLNVIQFLVADTTISKLSCLVRLFYDVGLLTLSAKSQALALLIAIA -AHCLRGPKVYLAQAGDEDIVDLGVKWANFICPIVLGFFLEDERVAKLSLPSRLAHYFKNVLLTGGTMYLF -LTRNISFIKELVHWALGTLSPDYLQLVCLRANSGEVRLWCKKVAEITEANKREHVMNSAELQDYVRRLKE -QGDTIISETRGLTPEIRQPFLNLYNKIVDLYNTVCCTIKFNNQFIEPVIIWLAGVPGCGKSTLMDKVAVN -ALEALGEVFEGNAVFTRNYNDFWNGYNNNPCVKYDDITFNESDQNIDRLLNEIQCLASVAPFNAPMAAID -DKHMIVNPKIVMIGGNKTHINNAAIGNARAFHRRRDILAWVDYSPRFRRWYTDKYGRWQQPKTIADCCDT -QEELDLWSEENGYPHLAFYIHENTVDPIPQNRRTEGDALSFRQFLQVSATRVRTLMRNRRLLSEKILKIN -RDLTVSAWRERGREGLGPLEQEEHQQQLDELRGLLRAEPEVYDLITSTTLAVRGVMSHVSPAWRTTAALT -TYFLGNVWWGSITTNRAINVGRGEAFEDDHTRLGAAGDYARAILYVSFGDSGTRLYNTLEKAAMQAAHDL -VNTVYDICYTRFNFRQRPFLSGAHARDLRSDIIYMPDVRPPMEIVHQGRWNSANSPIVLEEDFMYLVLNR -EVACVGITSWHGCVPEGVHHEQRFCIHRLVTNFIRYMWVEDAQEYRLVLTFYVNAYGQVVNIALKNDSLC -CPMEDDNDKIFYLRSVHNYPGLNVEALRTIRREGLFAEAILQTTDVTAAERVLTMLENGGSIGAWLKVIA -GSALESTKGFLKNYGHLILGVLVSAGLWKGISYLVRKLNPDLPLPEGGIVGSGDAKTPHGKYERRVVKTP -KFTTRAQPQLHGQNGLTTLAMAYDNHCFTIDSLRYRLRGIGLKAGFAIIPQHFFYYVEERAKEFDKIALT -PEEYKHIWFTRIVPDIIKKPGTYKITDGTLTSWISVVNKAPQDEQPILKIEYHSANTNDYPVIIEMWKAG -HKLRFVIPRKQIVVEDFEDKDVSLIQFPNTIPLFKDFRGNTARDSAVADSTNLALFEIDDAGTLSTVLFK -HCVDVDEEVQYDDSRGRKSKVYTVRGYSYPYHHVGLCGSPLIDVSTQKILGFHICTAEDRGISTRIPFEA -VFAHIDSKQPIVQAEDSAIVKSAEAETLDYEQPGEYVPIGEVGSKLAVTIPIFSNIRRSPLHSRFKTTRR -PVSFRTPGERWPGEIVCKEMITKGFGVPVALPTEMLSSVFMAMVDKYCTMPPKFPVKSCYTLEEAVVGVP -EIPEFTPMKLNTSCGWPLNALSPGKNKGDFISISETRQVEFKEELLDIYNRDHELRIKGVVPDSYYTEFP -KDERLKPGKKPRLISGSPLQTTLEFKRYFGAFVSYITSYRTIIKNQVGINPWGLHWHEMITSMKKKGTKF -VCGDYKDFGPKLHPAYVNMFLMCAQTWYYKFCPDHDPEDDVVRATLIQELISCRRILGNFVYQTLQGSPS -GSWITEIINSFVNDCEMCYAWEFTFLFEDHLRGFWNMNHHTEFNTYGDDILGVVDDEVVDRFNNTHIQNA -LRAVDMVYTDANKVEPIRPFVELEDTTFLKYSTRKHPTRKEIWQAVMEEYVIEELPAWVRKSSDIVAACE -QNLEAALRFAYTQGPDFYEAFRERVKESLCWLGRPATETPPWSHYDHSIYDLGLDLSVVGQ - ->YP_009337628.1 hypothetical protein 3 [Sanxia water strider virus 7] -MNTFISLIGKYALPLLNSYFADRNRDKPPFPIQPVCFQPHTTSSLSIGKNASDSVDVMRLDALGQTPHPD -NSNEMDIDYICSQYGLVGTYEIKSDSSSSHMIFSVEAAPCFSIESYGKTSIHGVNCYFLPPVAVISNCFG -FWRGPIEFRLDFVCTTFHVCKIWVSFQPNVQIPMSFIESKACAGIEIDLSGDRRQHTFSVPYLADRPFWP -RYYSSGVGSDVQKAPGLVVAYVMASLTCTASVSSSIYCNLYVRGGKGLEFAVPCQPAIGLSYNPRFAGIP -ADHQAWPFANDSSYAHSYVGKWRNFVNSEKAILRWGTLSDEITQFSGSELGYYYRFADKYRTNREFRKWT -YDVSKVVVEAYFVPFFLDGYHYMALCDNLESAKSVFAPMDSTQTRKWRYSTDGKSLKPDYAHCYTVSDTT -KNTWSPVDSAGKGLMYYLEGQRAVDIDFVLLPDTLVEDYEMISRGESDERVNSGKVTIIQENYSTGSFNN -FGENFSDLKDLCRRYQPYWKYTIKPNEHFGVALAAIPIVPTGLHLEEMNPFNALCRDGLIPIISSGYRYY -RGGLRFKILCQVNNPGSLWVQVRPDRRFRSRAPIKHFTTHLDAIFNHGYASSFQATSVNPTMSIEVPFYQ -PGEYGLLQMTGDVSKEQVAWHVSLGELVIGCMKHPDAKSENLDLVVFYSIADDCRFSTFQGFPPMIKLKE -TFGXDHMSLFAALGASYLAHQIVDRPYKSAICATAGIGTYLAFKRAEPQIDSISEKLALLSEQFKAALIS -SLSIPMLHDFDFLSLSHAFVSFLNSVRLIPQSMFVVICTTLSVIVRKFNRRRYAVNQIKPQLMIGQSDDD -KSTNKQIGELVSLLFSAICISFGIHTSKPKCFSDWTETLIKGFSYTGSRFVSMSNFFCSLFTIVNQLIDW -VIAKISHSSIMARLQASQPDVVDKWLKEVDILTDARNEDKVLSSPKWLVRTLVATELGDYIFRDVMKQRP -SKIAVPGLINRIVTLKRLRDKAVSWTTEVSVRSEPFCIWLSGPPGFGKSQVSNDIIFSLLESSGTTVVGN -PIYDIQPGARFWCGCQGKVAGRFDDFTIISGEKAADDLSAFMQLKSPAIFVPEQAEIEDKGRPWAPKLLA -VCCNEAFPQPLEIRTQKAFWRRRDCLVYVTYANHLDSFIQNQIPFDSPDVAAAHKKYVEENNITSKYSHL -KFAIYKDSANPSSGMSEYMSYEDLIPIVQELEGNYRSKQDFLFRQTLDKINSLQVTEVDDESPLQEILDR -IKSNVDEVLVTLIDPQPYQTDIENGVVITKRWINKLVDGTFLFQSFASILSYAKPNVTSAFSTIQQNFGM -KGEGAELIDQELENEISEVPDLEVLSIRSLRPESLPTLGDECTANRFKCNCLHVNKFNLFNTDCVKWDID -RKLNKWYFRNQTLDLFCGPDCIFIDEQAIDDLKKRKHSIYAIIESNKDIFYPQTSLANLETPVVAESTKG -FIPTMCEFLKTTFGLILASIQALLSNALTYFLGALGVFYYLYKRRSTAIACSDNVPQEDNNSNTNVLTTS -SNQEVFVPSLIDLGTDQAQIARSGDTKTTNAKKTRFKGVKIKSFTAVKSQSHGQFTENIKPLISRNLVFL -RWYKKLPTGEPDPETIVTSRAYGLFEYNLMTLKHYWSNIKAAGCTHMQILSRNCTLCTECSLSSIEFLPC -EESEYVIAVLPPNTVTMFRDIRKHFGSVSQFEAGLYPTRGFAFYQKADTDEINNISFSYSFTPETVIDDH -GEQTSTIIHPIKYTWSGRGFCMSPICAEMCGAQFIVGFHIAGSPKQGVALGMCEPLFKEQLDSIPSIGFI -DREEIDSSFIGNGDPAITIDTSVVPLHTLNYNHPQAIKTQIVSSHIQPRCDVKPLTQPAPLCRSMVPNRA -FDPMEEGVAFHGEPLKPYDLVHYQRAHEDYTEMILSNCIPVSNTVRVLTVEESIEGIPEIDFKSMELSTS -EGFPYLTKRPTKSDNSKRWLVKVESSSGRNKLQELHPMVTKEMDRKDRLRIEGLVPCTVFVDCEKDCRIP -NEKMSVPGATRIFSTCPLDFTIQFRQYFGSFLCAYKKNRLNLEHAVGIAVQGPEWTLIADYLRQGFTKFL -DGDYKKFGPRLDTRDSMDFCRTINDWYRKFDRFNPNIEQDCKKRTCMILESSQAAHLCRNLLYLTLSGMP -SGFPGTVEFNNASNSKGIRRAWLYCWSDDPSMCNMASFRKHIRLICYGDDIVIAVSDEAIGRFNNIFLQQ -YFAEHGMIYTDARKSKDVLPYVSFDQISFLKHMWVPHPERIGMYMSRLDIKSIHECYLWVHRPATHYLDV -DLIEPTMTNCEMSLLLSYGHGPEFYNNLRKFFVDWYQSVKYDYPHIRNPRFYTWRELDNIIYPSDMSQVD -ESECLRIQRIYDSYIERCDIVSTDP - ->YP_009333408.1 hypothetical protein 2 [Beihai picorna-like virus 100] -MNTSNNNNPQSDVQPDNTVAETSHSNVEIHESVQFSDQAPIQEMNVSNSTLANNPYEFPKLDDYLSRVYP -LQTFSWTSSDAVGDKVSEVYLPDAFFAYRALAEKLSRFAYLKCNFHISVRVNGTKFHYGKLLVAFNVSSY -KNNTKDYELRDNIWAVSAFPHVICDAGENEVQEFDIPFLFPLDYYPLDPQSASYGYDPDSLAPLASVFSY -VLNPLSASSTVPDISVTIYGNMTNVKLAGYANSIPVSVQPFLTKQQLIERNQKKHSVEYCHIVERQPVYE -AQIGTERMRLALPDLNRTCVDNMQNDLVDNKPDSKISYPKEYECSSLHDIISKEALLFTGTISSSNAAGT -DVYFWNVNPTSTSSLAFLNGTVYYETPLSFVATAFAFWRGSINYKLQFVASQFHSLRLQLIYVPNGSSYD -QNEPFELMSRIIDIQRDTEFKFSIPYTDIFPYTNSSYGKLYLKVVNPLTFKESPIPDIYFNIWISGGSDM -SFHFPVNKLKADPTEPSNTPLYAAQIGTNSQTPHSNIYDRLAPFNSYEPNLTFDSTEYRDLLNKAILVSS -ISEPTGSNTNMIYGRPEGKAMGKDELISSANNSEYDPWFYYFSRIFRFRTGGITYLILKYTTPGNDTYEQ -ASAAFLSYPTVTNGPTTAITDTILATPEQVVELEASASFVQRSSDLALQPLVVKVPYYTGLNMLLNGIYV -SAQGGAFNDRDGFNVPRTIIRISTTSEALIYLSGADDTNLHFQLGPPYTFVPIP - ->YP_009333199.1 hypothetical protein [Shahe heteroptera virus 2] -MKLDLTFFTMAPKSSNFYTAFNEECLLTRAYTLAARSAVYRRMLADRISRSELKSGVSYKDVAQKFCNPM -PPAPAVPSPPPKEQKTAKVIRRKRKPRHDPRKCLICKNKAILKQERWEARQAKKREKELRIAYLKREAKR -QLNRRTFQQCSQDIVVDLGDLAAVSAVYSTIGARSRKVLPPPERELHWYEWRKNRRKDKVPSVIQHNFTC -AERDSWLESLKLEVVSGTKKPRSLMLLYKLKQFMPHYRQGFSGANITPIMYIFAQGEMADAHPTSELDAV -DKVEIAENTAIESHAGTSTTVVEPMGDLLAMSSSMPQIQDIPELTARWLRITTFMWKGTDEGLIKTIRLP -KDAVDILADNPSLALLKYYRYFRGDMQVRIVLNANRLQVGSLQASWYYSDKQDDKFQLRNNVFSGSTLPH -VILDSSTSNDAEFLLPFRHVKSYIPTNNRADQGATLDLGVLMIRVLNKLTVTSSTYNACNVSVFIKFVNV -KLAGLAPSNLRENTQVEGQMMPIAASMAMRVLNEINADPNRDMPPYTGPPNIVVPQTAQTFCLGSNVVEP -LNAMRLDARGQTPFPEGSPEELTVPYITSKFGLVKILTWSANDSPGDQLARIPASPFWGWDFYQKYVVDS -MDCYTLPPVTVMSQLFAYWRGTLELRVDVVASAFHTGRILVGYLPQFADKSKNISLDQVLGGTYQIFDIA -ASKQIVFSVPFVSDTPWWPRETSNLESTVEIGAPGHVYIFVLNGLIPMDSVSSTVYLNVYFRGGSDFELA -VPIQPAIGLSFNPTQITPSVKGAIYARQGYAPWYLGTWRYLYGGKKLIARYGPVSDHVAQFEGMTKMYCY -NFENTNLNGVLKAAKPDGSLYQFSAENPWYFVPIDVSDGYGFVYLAAIEKNNIGEFYYQWLVKEKKWVRR -DTPDYSALLDAGDTSSNDYYGTGNAVLVRTWVPTPLNPPTYRADDGFVVVGQAGEREDAEAPISLQPSTI -GTQHGMATFGESFASVKDLLRRYEVYLVTKYYIPKRVPIGITHFSIPILIQGMDVDTLTNPVYNTIRDPI -SSVLAAAFRFFRGSMNLRFVVDSSTSNVGIWLQHKPDVALDRLVMYPTNRSQVSTTLNRGYAFAYQNVNV -NNQILINVPFYQRGALGYLQRPVLSSDSLANFYSLGNIDGGFHTGADRVNIQLMVFSSIGDDARYMEFIG -FPPVFPITSITSPPNPSSLTFATGESPPLCNPRSIIVANGEGLTKAIRKSFNAIAMDPIRKLVKNVYDAA -VEKLDNIDDVVYYARVSAALEALVLHVMFDDNRGRAIALINLFRIIVVDSLFGYHKLFTSKLEEVLQTTV -NAIIPEALPNEPRQQCSASGEAPPKKDEGVMLPLVTTLVQTLGVWLGLNDVKAGKPFTKRWAKHLHAGFL -DVSRSTTGIMTFIRNLFQVVSSIWKWFYSKLCPSYRLKKYIKEHQPIVDLFMKEASVLLDPSKSDYFLVD -SSYMARLEVAIFVGELVERTLTAAKVYEVTSVAPILDKLRLLIKLRADCNSRGVSAVSRREPFALYLAGA -SGIGKSQLVSTLAGELLKSEGIKVRAEPIYTHSCLNAHFNGCRDQPVFVFDDYGQIKNSTMDDHHILMLL -KSPAIFTPPQAALEDKKLRYQPEIVIACANEAFPRTVSINDSMALWRRRDFLVGVRLSPEYQGILERHGV -HSIAALPPAIQGGIKNFNHLEFGIYDIATDDQAQPRGWINYNEFLALLKLKVNTYRKAQFELWNERRAVF -DGVNFDFKDDSLESVPDLIAQIRKIYKGEAEDKLLNDEVIKEIWGEVLEPKTEEDIYEHIRSKTVSGQGG -GSLSKDKSKAPKTTSQKIKSLLPDALIKDAPRFRVEYHCGPLPVLTKSQIQVCEDQYQGICDHVNKGRLF -DLRWYQGEGECEPYPVFPHEVTQDSDNTDKVRLHSFNIPCGEMCILRAKDPVANAYLVHVVLKHPFAKRF -KDYLIQMIGGNRTSVRDQIVFKDLKPRTRWTQECDYRYTKAHDFDTIKKKVGLLRTTIGVIKRMVMPVFI -LGGIYYGVSWGLDKWFGPKQADSEGVYASQDTGKGKAKKEGVVRKKFEKITTWAKSTPNEAGGEAGFDSV -KGLIRKVKRNTGFLCFEGVDTNTGRTISGYYRFVGIYQNIALVPVHYFVTWNMLDSKTRKCSVMFSDVTG -KPVTLPVDPSYCDLRTDPDSELAMMQMPASVGCFRDLTRNMRTERDWNSALPSEGVFVEIDANFDLIQVP -VNMKMAKNVRVTFENVETTTVKHVISYNYHGKGKCMSFIVDGSANGTLLGFHIAGDGKNGYAQPVMREDF -VDPSEEIIDSADGSDLEVYLDGEADPRLVLEGEVSDMGVVRAKFAHSETGKTNICKSAIHGVFPVTTEPN -PLHPGDPRIGGQSPMLLGCEKHGLPTTKFDKREMAIAEQDYLDLILDRCKPIRTIDCITYQEAVEGIPGV -KGFKSIELSTSEGFPYTGMRPPGARNKKWLISNGDKFGLHPILKGIMREKMIMRRAGVIPTTVFTDCLKD -TRLPLAKCRVPGKTRVFSTSPLDFTIHWRKYFGDFTMAYINAQFDVGHAMCISPEGVEWTRLFNYLSEVG -NKFLCGDYKNFGAGFSADAHMAAHRIALAWYRYNNAKEEDEKVRRVMAYELVTAVHLGFNTVYRTHCGMP -SGSPATTPTNCKVNNLYIRLAWRAIFEFWPKMRAFSSMHKNLRFVVLGDDVIMCVSDAAAPYFNNVTLSK -YFASVGVEYTDSSKQGIAAKWCSWDEATFLKRNFLPHPSRPFILMAALERDSVTDTANWIWKSPDVIEAS -METSYACLLNSFGHGKEFFNYVRDKLQRAWRQLNIDRYPTFRQWEELDDIFYEALQAYIVQPYPEVVDVK -FPGVGDSPLTAT - ->YP_009330055.1 hypothetical protein [Hubei picorna-like virus 31] -MCGVKKCLEFIKPVESQAGDDKLNETHGDCDEVKVEKKSNVELVSHSKPSVTKPIELDNSIWRKMCSSQQ -VTEYTQLMGRWQRYFSFKWTKDDAFGKAISGPNSKTEFALPIDFIRDNLESPNCILFKQYAYFASDLEIK -VVVNTTPFYCGQLQVSFYYGASLDKYYKDRANVYCASQMPHVIIDASQSSEGVLKIPYRYYKPLMGTFDR -SDDSLVLDMGRLRIFVLSPLKMQDGDTRTVDATVFIRFVNPHFHAMKPRKIGKIEAQMMGIKQVVNATSD -MLDQLYPDPQRDNPTDIIPPKPIVPWSAHSWCVGDNLPEPTNPLRTQASGQTPHPPGTLPREPEANLSYI -SKIFGLAFVQRWSVDDVEGILRSIPFSPMLAFGEYGSVTCTNSTSRDCAVLPPVSVISQLFAYWRGSIEY -RLDIIKTKYHTGRLIIAYIPRYIEDWDPTIEDLTHVDHIVIDISDESYQFQYKCNYVADKPWWPRRRDGY -YSSETAPPGRIYIGILNRLSAPPNVSKEVNINVYMRAGVDMEFSVPVAPQIGLSFNTDVLATVGRTISYK -ADYGPPRTSIYVGAWHTTGSGALLRYGPTSDHVTQFTANPAGGYNQVYVADGFDVPNPDGGKPLNYLVVF -PSYDGAYAYGAVFESALLASVFSKTIQIVAGVWSYDLDKMHQISKNGDYWLDTKVYRWQKVNVAEAQMDE -RKDACGVDLKIHPTIFSTDNGRLTFGEKVDSIKPLLRKYAPYCQFISTKKAPSDPSQADFCFPVMPQGLY -LDPYDQNHVENKYQNRVRDGAIPILASGFRFYRGSVRFRFIVSAKEKGVFWVQHRPDYNLRDEKVTIPRD -DVAESTFQTNYNYLIQSTEINEVIEVEVPFYQPGQLGLLQRPDRSKTEDAVHFSLGYLYCGFDSMKTVGA -KDLPYYCQVFYSMGDDMSFSVFQGFPPVFDISSGKRPVMEAQSSQPSTSSQSDDGFFAKQVKKVTGYLAN -KTQESVTESFREQLISAVAQDQKDAFKEQLQSQGITDIISKWISPDLVRNTGVTQQVAISAISQLVHSLV -NPTPKTIIISLATFLLQIGVLLASFVDKFIAVGKKLINLIFRDPKPNVVPSTGEPSTSIEMQPLIPKPST -SKQVYNTTITPKEVSWYDKMKASCTKKSNPVAQAGDSIVDADQKELQEVTAAFVSTCVTGMLAACKVTKR -DIPDSLPNFSKWLYQGSFTFTRTANGFFMFFKNNFLMLQKIWHWIVVKFFPKYRLDAEAVFYNDSLLKFV -SQVQWCLDETHHMQIRRDPSATNKVYECATIAQLFLLHEAMNKTNRNMPLLMEYCRKIVGLRDKLAIECV -APPVGYEPFVLCLEGPTNVGKSYLAQRLANDAMAAIGFTGYQEITYTRTPGNAYWNQLHNQPVCLFDDFL -ALEDPNFGLLQIGELFCLKSKAVFNPPMAAIDDKNIRYNPLLVILCCNKPFPVVNGVGSPEAWYRRRDAM -YHVQKKEEFMGQHPRNIPCAKEFKHLEFIPYTDPGSTIAGYQPKLTYEYFLADFLKKFKEYSSKELADFA -VMLEQAKRFYPTNKDDESLLNADISSYLNTLYIDNTTVEDRDFVEEGRELMEKANFKTEMARTIRHKLSK -EKAEALEVERIFSENYKKLTHYLNTNQPANFLEFLRGNCTILTIKTELETYAKDVLKLDLAQYYLSIPFL -RFMKQFMKTFKIKGFHHQVLLCIKAVIDIDISEIEHNDVDENLKPILGRDMWIAPPQSVVAQASDSDSES -EDDSDTSRNRYLKCNHVCGIKLFCEKYEAKDISLMVNRMGRCYNINLIPNTCVSDMYAVMSCDKGCFVET -EEFYRYFMQHITIRYRKGQEIVPMWKKYCDRDCKMISNTTTLATIAEVEAVQAEVDENAAKQRLFKIPKI -GTVISAILTGAVLVGSLAVLVKTSKWLFDEITPKPDPIKEKLRNDRAALIQLGHEMLEKESRMSEEEFEL -ENQKGYDAKAVAARNVRPTVVKSVVSQAADSIVDTLTRIVDRNTFTLRVQYMNPNGNLTNRDFRCVGLRQ -HYALLIDHYVFWIDQHFDENTEIFYIKNQIIYKVNWSDIRKSLKQLENSALCVVELPIQIPSFRNIIKFM -ISAKETSNMSAGGYLLQKNEENNTLLLKSFDRIVRESGIDVSNDDDSVTVVDHVYKYPYGGRGVCGSVLC -TDNNNYNGIIGIHIAGLRDCSVGYAEALVRESFDWIVDNGEPEQDDDNAIIANMQDVDNAIVHLKGVVDV -VGTISKKDAWYPAKHSRLIPTICSGLITKPTFEVPVLSPYDDRLEVSFSPLLEGCKHHADPLFYIPKELV -QRAYEDIRDVVLANAKPLRMEVGKLSYEQAICGIPTLPDYESVNFNTSEGYPWRIRRPKWATNKMWMFEM -QETQNGYKLLSMDKKLFETLEYQDERRRLGFYQTPIFVDSLKDAKLPFEKVLNPGKTRIFSISPIDFSIA -QRQYTLDFVVAYQKYWFDLEHSVGIDIYSRQTDSLINDMLTVGNNVVAMDYSKFGDKLYAGCVYYAFKII -IEWYQHYGDNSKENEMVRKCMSYELMYCHHLMINFVYRSLGGMPSGNPLTVILNSLVNCMYIRISWMILL -KNPAIPRGWGLVEFHTHVKKRVYGDDLFLFVSDEVKDWFNAITIQETLAKFNISMTAATKGDELLPYVTI -GDPNATFLKCRFRRHDRRNVWIAYMDKRVVEEICNWTFSTQKDLLASSIEACEAMLELCYGHGENYYEEV -REKIRKFWLDKHVHVKLRTWQEVDCRIYDN - ->YP_009330050.1 hypothetical protein [Hubei myriapoda virus 1] -MNSFFVTSEISFATVVGRKEPTFEEKIWEVDEDHPIEFFVQRPYTHARQYGRFKTDKIQRCRHSCQTFEQ -FLRDPPIGMSRRQCLRLRPLKLKSSSPKFVSTSGWGFKIPTFKSLGKVVATSVKQQKQRVSSYQKEDKSF -SLPSQNLFLPNFAEDVRAHPKSRFAIAMARKINLMEHSRNIGNDIFIQLILHLEQTRLVKFESPILSDEK -LNRYRDKHFRSPKFYSNFKPAYQVFEQADAHGEQMPVKIEQSGNVVLTSQRTDSKPDVPVRVSRGFLPEA -SARESQTLEQLTGRWLIAKQFQWKTADIPGTEVASLILPLDVLQANLNSPNVMPFFVHHYARFDMKVKIQ -VSSVRLQIGQLQSNWFYGASAADPAVFELYDNVYSASQRLHCLNSAGQANDGTIEIPYWSAFSACPIKTD -SLQSITNTSILDLGKLSIKVLNQLKVASGVSNEVSVTVWVSIDNVEFRAMKSRALGNILIDPAVEQMDVI -GGTVKAAESILPTLSKIFNADNPPAHTNSVSFQPTASHSFANTDGVVERVRVMRADPISQTPRVPGFTNK -DNTLFELCRKWSLVETIQWHTKHEAGVVLFDHFSSPRLFKTNSNSKTEGNGSKTYYPTILDYLSRHFAYW -SGELEVRLDVIANTFYTGRIALYVVPGMNSVVSLRNASCSSGQVFDLQENLSFVFTIPFYANTPAWPVYS -EMAHPNFEELAPSVTSLYVINRLVTSDNVPDSVDINVYLRGSTNFEFLLLKTPDISAYLNQVVHRSSSSV -ARIDPNWASAFTSGSRWTDHGGEEYCCVFYNETTDYFTQFLNFNFEKDGKKVYYSIPSDQEINGKFYRWL -LPIYTSKTAITAAQYLCRLKQYPDYPVALAVGNETRAREYVIAYDVEKGNVYIKQGEYMMVAIADSVAKL -PAYPSTPNYTNFTRATATQLALIEFPEIPLPAEETDFVVLEQMDELGVEKMPVVRMNAPSVPTKFGAKLF -NEKIVDVKSMCRRWQFLGCHVGSTVANVKCLMDSVPTVYISTSPMRRFDATEARENALREGLITNIGALF -AGYRGSMRYRLIVTSKGVESSISMAVKHDFMAPMRSQITSVAPAIIPDPSVADYLDTSFAVEYQATDVNP -VLEVEIPFYNRGEFNYLYKPHINKKRIIESFCESGTLDVHLATAASLKYSLEVLYSVADDFEFAVFQGCP -RILELAPLGQSDEEGERIVLEQSDNEGEKVVQSQGIGTMFAKTIKVARETIMSPTVVATAANSIASNVES -STGAISAVANKCSSFVDMMKSSFNSFVKFLPSCTSLSDLCSRLMTSSTLFFTIIVQLLYVFFYPTILSVT -VGLATILSAVSYVGGFHWGSNIVDKFIAFYTYITSPVPTQQSQGPEVDALSTGVSLLWSSVMGALSFASK -GKRVSCGEFTKDLFTMSGNVFRTHNFGVRFISDFIEMMRRMFTKINNWMSDEYPIFKHLNEDMLRQWLIE -ALVVTDVNNQEHVKHEKSWSIKVFELYSRGSVYVATAKHSTNHLGAPLINYVEKIHNKLRDLTETLKQTN -QFSPFRQEPFVLWLYSSEGGLGKSSYVNELIPSMAKEFNVLPFYFKKTAGSKYYDALTKEKFIVLDDFLS -AKHQDQGEQLSQYLEIVGTSQLQLPRAALEKKLTYDDFEFVIVTSNYKDFNAENAAACKSAFNRRRDVIV -EFSGASRQTATYKVCVSQSAKSEVQIPIKTKEELTKYILQAAHKQREIQAKLYQENLLRFNSAVKAVEKS -SSFEEIRDIFLSKCIPGADKDDPSFKWVHDHIAKLKSWLTIKKTDVLSEAEKENVAQLEAKLQERISKEE -VKSEVVDQSDPNGDTISLEGESESDIEHQKQLMAWCFNTPKSPDVTFTNSQKIYDNNVASNTPEHPNEEL -LFANFLFNENCFVKLKLSTRADIPPFGHEYDRECEEGMCPLGMGKHFVFQRVNLTKFKKILETHEFFSKV -DTTLKQAWLKNASLGVLVDSTNLYLDKHCYWACCLCEGADTRDHSQVLMNDISCRIWKDTINALNFKNFD -IFSTNCCGINRNRDKLATVLPFYLRNRIKDLVVYDPKRYIVADSPVMSKIRQTVNQILNYFPKTHVIDDV -AHPIPNDLKVLEEQTRQSKSDSEGNWFKEWLGAVPTKITMNVDNEEKVVKAWSYPGWIRNLICLGSLFAF -ISLLGSVIKLLMGIRNLFKGQSVANQMLTSGSIGPGKQKLDQVATARTLLGRPNGLTQEEIVAIVDVDNA -CNFRDPARLNKVLNNTCFLVCKSNFKEEGEQATCNIVKHRCLGLYNTKILACHHYISHLKSLKQSRADAE -FYLVRIQKKGGMDNKFWSIPILDIDNIEIFSYGTQEGRIGDLCVIDIGTQPSAFCDIRKFMPSSGTTHYA -SRNTLLQVALNGDRSFRSIDTYFSHDKITVSSTNRESSWTLGDHFTYNVGAPGMCGALVWNDSSNTPLIG -FHTAGVNVSIGYAEPILRQEYNLGDTAVQMPTPQMDLVEKYVLDVGFVEPVGTLPKQAAPYIPRKSQIIP -SLAYGIFPSFTEPAPLSKHDDRLLASEDPLEVGLRKRGDPMVPFRAQDLLDASQHLLTKLLTKCVPSFQP -VCKLTVKQSIEGLEGVKNCDSIEFATSEGYPWVKLRPKGVNDKSWLFELQDTSVGKKLISINSELEQTLE -FKSRLRQKNCMPCTYYTAMLKDARILKEKVSIPGKTRVFEMSPIDLTIVQRQYMLPFCVSYMDCRFDCEN -TIGINVNGPEWTTLYQNLVSFSNKILAGDYSSYGPKIEFSVLRQAITIVKDWTIYNAQLGNQPLTDDEIN -EFETLILEIIHSPIVAEGYVFRPSAGMASGNACTVIFNSLVNSLYIRLAYIQLARENAPQYLDLSFFDKF -VRIYSNGDDIIMSVKDDIISWFNNINICTFFANHNLKYTNTDKDDNMVAYNDIFEVNYLKCKFYSHPFRP -MAMLAPLDKRSIYDCAQWIFKSDRSNIDATSENCEQALRLAYGHGPVFFDKIRAILNKWYIENKLYRSLP -VWSTLDVLVWELNEIIITY - ->YP_009329861.1 hypothetical protein [Wuhan spider virus 2] -MVVASLSFLLLNCIIEFINMATYVSAGSSFTYSAIFGSVRTAVRGWARVPSLDTSTLNWDLEVEKPKITW -AERLRAPRPIKRVRGPAQMAPRNPPPPVEDLFTIPFNLGFKFVAPTKRAEREELARKSRAFRRKIRDMTT -PAERPWRVPKTLYIAGVKCPQLGRSMWRPYIRRPLESFGEWQRRIKRIVAAFWQGKRIAAHNRNLDGSPF -EQLVKIMASNGLLHEQLLEEVLLNEEPEISGKIVLWPRKLRVPQVAKFIGPMPKPRGYGFAEACLERKLQ -LAKLWEEFHSRRKQRVARCRRVYSFNDHRVFFLRPALEKLLLEHVEEVIKNDPEAAEAFQAEVPQGNMYF -EKDDDLLSCFTRLDNSSKFLDNSNNFIPCSVGPLVARGEMADAPEKSKSDVKGDNKSAQGDAAKPTTEKI -GNVAIEKAEGVSTSNPIKPMPMASLVGISSKASTEKVDDLVNRFITIKQCTWSTQKQGEELCSLTLPLDA -LNNSDFQNSPNYAPFQVHTYWRGNMRIKILANANIRQYGQLQIVAYYGADFDGNWPTRDNIWTRSQMPHV -LITAPSSNSAEFLIPYDFVNPVLRISRGADSWFVKETGTSELANLCRLSIRVIIPLNSAECTAKEVDLSI -GISFEDNHFSGMVDKRVVEFKPKAEMFSIAAMYAIKEVMDRIDKNRDNPTVNAVGTPILGVNASSWCHGS -GMAEFSNVLRLNPVSCATYPSDRMPAENEMDINFVKRIYGMFKIVHWDTQYKPGELLLKFEASPIFDPSN -YPVVVKDQTTCYAIPPIGILSSLNCYWTGSLELRLDIVGATELTGRLVVAYVPRYFGELTLQQAFNCTHS -IFNLQGDNRQFVFNIPYVADKMFWDRRKILQSKDNEYSTYQPPGSVYVFVYNKLVVNCTVPSDCYLICYL -RGGEDFHVAVPAFPNFALSFNSQMIIPITIKDKAVSIDGYFPVFIGSWYQFYAEYSADRQHLPIFRYGDY -TSHIAQFRIIGNPDVVLGKYCTFVSPAANYSFTWQMKAYWKEGGSEKSEKFDKTFKITGGFVINVEGYIY -LIPAFSEDFARCMLAHASSHMTLNGLRQWFQLPFIAFSNNHQFWANDPDFGKYRGKSGVLFDYADYTTDS -PVLDGTNQGRVVLKYKELKPYPETVVANAIGDERSSNVATPIKVLPSGAGATPQTVVFGETFDDLKDYCR -RYQLYATVDFKIDKSNPAKIFTIKVPIKFQGLPLTVDTSPKSKDIYNNRVRDGVIPYIASGYRFAYGGIR -FRLSFLSNSSYIQNTKITVQHRPDILPEDKVVLEDATTIGNNDLILPGYASYTQFLATNHVISVEIPAYL -PISKVWLQESSDNYTVTRWGNMGSLAIGIEMGPRREGDFRMDIHYALADDARFSNFVGFPPMLDLTSLPP -EDLVSEAIASEYEFVGDEIVKGEMNAEGDGGSFFSSWNPFKKTTAAIDNISKVDVENLNETIGETKSFVQ -NLTGALENILPSVSKVGETIKDYTSTIITAISNFIHCLLNTSIASITTSIMAILAQLKLVSFKSAEVISS -KLSDLFSFITRSSNKQNGEEAVANMAHDESEEDSYKCAYISTLVAAIAAALALTPRLCSSNWKSFGILLM -DGIKNFAMTANHLFTFIKNNLLVIQKIANKLVNHSPSLKDVLPLKDTQEAIYNWCESAINILDPRAEMRL -FSDIKMCSKVHLLAARGHVILLSLGKIKIDTKQFSVIRELYSKLEKLRSRLTKMHLAPPVRFEPFVVEVT -GSTGVGKTTLVTGGMEGANGLAIELFKHLNLTHAGELIYHRQLGNPHWNGCKNQPVFFVDEKFPIAKQGF -DDVQIAELFMIKSRCIFNPLMADLPDKDIRYNPILAFYCSNNAFPSLTGILDSLAVSRRRDVLIKMRWSS -KIWRKYNPTGKNKNFTLKDLTADERNGWNCCEFAFKGDVKDEHSAWSPYKPYKEFLQDLKARWFQYYITE -QAIFERNLIAALSCYPEEGQEWSTIDNQWFNMIEEKFGDRDVLEFKAALRELVTLETYKKMTDKEKSLNY -CKILKKFFCSKAVPDDQLEKAIGEVKDKIMESYRKSLNVVDSTTPAVRAEAFEPPRTSDNAVQILEKQGI -IKKCVESKLVSCAHHYLLDSRDVNSHFSYDSELDCWVTSMENVESFCNYLKEDDGSPYKLTMNKYFGKQD -NSFLQFESSMDKTKWKPFPKFNIIVHDNQAFISNKECKENSKCCWKKEQRLQFAKQFYESDPVAQNLVKN -FNFSNLSSMLPKEFLDSIRMSVNDSLQEEKLSWKEKFYNKISFIPNWFENIRESFFKIEWKHWFKRLAII -MGIFFFLGAMLKLWTMGATASLTSEVAATSICGGTAAMLNGDAVAEAFASGDQRRVFPARTRGREIAEKT -FAKGNMNYSCYDRVKQSLRRNTFFISMYSENRMPLVGRCLGICGMYALVVDHYWEGWQNYSQREGVDDLH -FFFMSALKPEPISCKFSDLKYTKISNSALGLVELKNRSKFKPFKDIRKLIARRETHRNTNGEGLVVEFDA -FDPKTGERDVIPFPCPYTLRSGIKVQGCSLYGDYQTGQLYQYPGFNGLGRCGSILINTELQSPILGMHTA -GAGQLGFAEAIYQEMFDSLDNVISIEGAIGNADDSRWFVPGETIGIGAVAQKFAHRANEKSMIIPSFVQI -HGSHKFPKFTEPSVLSPKDPRLPTPFSPMLEGCKHHGKPLKPINSEHLKIAVADLQNLILAKNKPVRSSV -GLLTPMQAVAGIPDLEGYQHLEWNSSEGFPFVNLRPSSAHNKKWLFTFDGNGHATGMHRLLESHLKSKWD -KRNQGIVPDTVFVDCLKDCTVAVDKVLEPGKTRIFSISPVDFTIQQRQCTLDFVAAFMANRMDLEHSVGI -NPDSSEWAELAVNLLSVGDSIATGDYSKFGDTIPPEFIHAAFRIIRNWYIHNGCKDAELLQQLEIMPYEI -ANSVHLMFNHLYQCVCGQPSGNSLTVIINSIAGALYLRTAWLEIMRNTPFASLADYHKHVKAFTYGDDLI -VSLSDTIKDQFNCIHWHSFFGAHKLKFTNADKGETIVGYGSIMEADFLKRGFRDHPYRRLPQVVLAPLKQ -ESVEDTVNWVWSDSYGRAEEGSPTFEQMCMQVCGDSSRNAFGRGPKYYEQWRQKLIMFWVKRGHVLNLET -WEQLDYKIFNLGHDLFKFYKKIFVPSYYNSDQFLEIK - ->YP_009328891.1 polyprotein [Euscelidius variegatus virus 1] -MASRGNILFGSFSHRELAEFDFAKSWRGSKPKSCRVEVIGSRFSYVWEKLKVRKERRTMQRPSRPKLTAR -EKLRYGLKKKFAGQPAAFYYAFERFLGGGRMKSVASFYKEIYDELRAEAVKACRRTRKNVPTKIRHLSNR -YVLLEEEAGLGDYIPTPEDLDDSAPCIMRPRRAAEDRSNLLVGPRMEPTPPPKRDIRLRILLRARIALLA -ARRCTPAQAEERVAAAEAAVKVLQRVFGRPEMEDQQGDELVHNKSGNVILSESNPAGATITAKPAIDLKW -SKACSSEIATDYTYLTNRWTLIKHGTWDNTTNVNAELTDFKLKLPFDIRHTGAAGAVPMRAPFDVFAYYR -SDIEIKIHVNSNKFQMGQLQFSFQYMDHYRANDSFNNIYSRSQLPNVVVNAGASNEVTLYIPYKNVCPMM -STKWGCIGTLRCFVIRPLNSGDNCPKSCGITIYARLPNCEFVGMRDGGVEPEMEAAAAAMVAGAVYDKVI -GDKNCDEPVAAENPSYVVPTASHTWSLSSGLTSKLHNLRLMGPTKQVARPVGIDSSETSIGVPCRTFGML -KHIPWDATNADTNKNGYELWRCDAHPQIDKSKFYKLVVSNALDTYAVPPVGVVAGLYKQWRGSLEFKFDI -VCTQYHTGRLLVAYIPGVDASSDKITLERARNSPCAEFSLQDSSSFTFTVPYISYVPWFPRKYGGPLDAF -EIEAPSCIVVFVLNPLVYMESVPKKVWLVPYVRGGIDFEVSVPIQPSNSLGCNNKSTIKDQDKVYPDNKS -IPFRVTKSQKFYDSTKYVCYEGTDTLGTVAGWHPSSTPMKKQGNKVVQCYYAKADTPGKLPAVKWNIYNG -ETKKYEDKLLFVGYIVLWYSTDDNYWYGVPFPEAPQGESYAKMVAAGLLLGKDRDKIKTYCFNFIEDSNW -SSSQLAELKFTPVLMELAECERLWQNIKEPFVFVERGLPEMEERFSTLNLLNPVPNLVSTGCGEFTYNEN -FSDLKDLCRRYQLYLNKDIVLDASFNNGEGIAVVPIIPSGLPLDVEDPESIWNYIRDGHIPMVSNGYVFF -RGSIRMRIILSSDDDCFDGSSIWVQHHPDMSNDDPLTPQYFSKLNTDDKLKCHGYGFYIQNLHINRIVEI -EVPFYQGGMYGLLNNVTAANEKKIIHQYLTLGNLVIGLCTPCIKSTKTVNLQIYYSIGDDMSFSTFRGFD -MVCFTDEVWKPDRVNLPEMEEAHPEMWNAVCTGVVSSLASLGAGLVARGATTALATNIKKDIGTAIGEAI -AARLSEPINDVAAEVKQARQELVQQTVDGLKDSVLTNVLCQLAHIAVAPTLATVAVSFGSVLVQILNLSV -NQLTIYADVCKKFFSGTWGHFSKIVLPDAPTAGMPESDFDLDECERSLLALIFTSICTLFGCSGYIAPKG -CGSLLSGINSGVCLFNNFIRLLENCGKVIKKFIKYINSKLNPGVHLEGLLEDDCPEVERWVAEVQFLLDA -RNKERFVYDRLMLARVFDATTFGALLVSNGLDERKPGGKVLWDLFKEIRKLRNDLCERGAHPDVRFETFP -IWITGDPGIGKSYMVKELSNRLLRSINHRQPGSMIYDIQPGAKYWSGVTNPAVLVSDDMFQVGGTRMEEE -LANVFMICSSSVLNPPMAAVEDKERRLNPLLYLMLANSSFPDLGTVCRTPRALYRRRKFLIEAKYTEDIL -ERYPNILDSSDLPRHETQNFAHLRFSIARDPKDVDTDWGAWMSYDEMMVIVEARFRRHYEHERANFRERM -INMYNLDPNFNEMNLIDEIPALQNIASLREQMEIVRARVARQIDELNDPGREPDQDVWHYIRRFRDYVAG -LHNAPEMAPPNGYAVSEPPHVDFDTFSIASEGGYRHRMTDFNGVFDFRQALREHLDKMRPNHQEEMSPYM -ARYLDHFSDLHEAFVKQMEHLPTNCKDKFKEMYAKYPNSEIMGVDLLLNAETRQRVEYWYAIGFVARFAA -AGDGSTAHILQTFSDFNLTIYEMLQFLMYETGRAGDVAKFLICAEEPQNFCDMTGSGVFNEGWDLVDCTE -VAGRRVPLLEKNENMFIDTGAKELASFVQNNFRPLNYFSKVGDGICRRFPEIPEAIKESFKNSSSYEQLY -SACKMLMVLPESMNGLYVDGMYAGYCAMSIVLTLQCLYNWHNCETRISYLHPPSYCHIRNNLVAADGVRI -TTCPQVGCKFNNEFYYLLGCHYAQSHAFIQSYRQVGPEDYEDTQLHFSLHADTLMKLRRERKTTKGLNSF -KHYIKDFFLHTLPTRLWQIFLFCMEWLPLIITAIMVVYRMYQFFAGIDDQDEQPSADPEANYFKFNQPKF -PQKPKVPTSEKVFNPPEMSTEQRQVMINRINKNGVLIYVNWVQDGTRMNRNCRCLMLGGRNMLVLRHYLE -EYSALVEQGFKLNVDLVFGNKIGDPVKVNITFTELMSQVAYCDNSNFCIVVLPKFVRQFPKIYPYFATRA -NHDNVSGKVDMITVAGESSFDLPVSVSKNFVVSETANSSEVVCERVYGYAKRSPGLCGSVIISNSLGSGN -GAIIGMHIAGNAASGTGYAEPLYQEMFAHFFQAMPTREVLEPAVIDISHADVELDGNMFMYGCVPPAFAH -KESGRTNIVPSLLYGQVYQPVTEVNPLRPNDPRQPPGSHPLRDGCNKHGSGNVLNFDPKFVNMAKEDISD -RLNQIVTPIRAEIKPLTLQQAICGDVDVPHFESLNWKSSEGFPLSAQRPKNAHDKKWLFDLTEGEFGYEL -KDLHVLLKQQLKLRDECFKKDIKPPTVYVDCLKDYRLKPEKCAKPGSTRIFSVAPIQCSIDVRQHLTDFT -ASLKQSHIVNSIGIGINPDSLEWTALVNYLFEVGPCIVTLDYSNFGPCLMSQLVAASNECIVQWHKSNGA -SEEHVNRVEWLLDCDILNPVHLCSNVLYQTVNGIASGSPLTGECNSIPNLFYIRCAYLEIMTRQNPEFAN -MYYFNLFVRIVVYGDDLIMSISPDIIDYFNAIEIKNALALHGIVVTSAQKDDELKPFDTIYNSTFLKRSF -KEHPTRKGVWLAPVEVNSVQECVNWMHRCDDGAAATLEVVQASLDLAYGHGPQYYNEHRARLVSACKSLN -IFVHTKTWYERDNEIFGETVEEVKSFNARLPWYYRLDSVQLTIEADSSKLSK - ->YP_009315906.1 polyprotein [King virus] -MAFNYKNLATFKNACGYSFDDTALTQERLTSLCQCRDMAVENSHTTAVWWCEHHSLYHTSSHYPMAEIVV -QIYALKKRLSYLKEVENKLYNIIYYYYKSNSLSTLDQNIKNHSYCRKHQFRARMLIEHVKILYLRKIELE -NKLRLRRERNFIKKTEAFLWYDHTDVDLGRFDFNFKCLSKQVKRSKKSTDLRSKSLDFSNVSYNLQKPYE -EVINKINVELKGRIRDIVDLYRLSRNKGVIPNYSFISPRNMFYIKHALHKFIYYDILTPISEPQVCVPES -EGVKDEKGESVTTTEQGGNVVLAEENPGTPEQVCVHYMPNFWQNVSSNDTKGSYSELTSRYIEVQSFEWS -KDNPALFFLVDIDLPKFILSMDTRTVCDRPNTIPFKVNALAKYDLEIKVQINAQKFQIGQLLVCWYYFPQ -FDLKFTDRWHTTNFSQTIHSLITANVSNEATICVPYNYYAPYIRTRQRDDMPEPNNMGKLIISVVNPLTV -SASGASKCHGTVWCRFVQAEFTGTVSGSFGVAQGITCKPESVFSNVMEGAVSGLMTSFTDRNRDNPPDPR -APMYLVPTASHSWSAGTGVREPVHSLRLDLRGQKPYLTDNVDEMSVKYVVGKYAYIKTLIVSKDDAAGKL -IESFEASPMMDKRHYTTNAGKDNQLPYYCLPPCAVISNLFNYWRGTINFRFDLVYSTIGHNARFLIAYIP -GATTNDKPTLADAKSSPHIVISLGETQSYTYPIPFVSHRPFWSRNYTGNFKSEFVQPPSMLYVFLLNPLV -PMESVPDKMYINVWMSGGDDFEVSVPIQPNIGLAFDRTVMYANTELIFAQEGYYPYYFGSYYRVQNSRVL -VARHAATSGAASVFPTTYSSKATADKAYYYESYDDADMPLYKWTDGAMYRAKWGVIWPTGSSGDFPMILT -RTENDAKALALLLAANKATPNFLQKVNQAVIDLCVPATDPGSNTWSHGNPGWDVHEVLRQRVEEWEVIPN -ANDKNVLTTTERLAGTGFGLMMFGERFYDLKDLCRRYQAYASFTVSVEDLTLGQCSVVLPVMLQGLDLDV -GSPKEIKQVANRCRDGHIPIIASGYRVGSGSVRFRMVVPSAKGVGIWVQHRPDRGLRTRKLIPCREIVTA -EAVVNHSYASYVQMTHVNGVIEFEIPYYVPGPHVMLQVPDIVKTDDRYFYSLGEISIGFVFDKASYQDLA -KELITVYYCLADDARYSIFQGFPPMVLLDDISDVSAVTVEPEGPYPENLDPFSEEQYEYEMKKDKYEIRR -DQRLAACFDDNIQWLPPTESEENFFIEDAIEVKEEGFFSKITDSVLGKATDGLKEKLDEKITPLIDQIEH -KVEEFGLQLEEKTGINIVEVPLVRQVLYNIVGIGINPTIKTILWSIATIMIEIGFLTFSYVQAFTEYLLQ -FIQYIKAQFASNEPNAEVQPKPCIVRPNGPNEDDSPVVGLLTIMWTALSSVVSYKGRKPKDLKGFASFLG -SDMKNVFASSNGLFHLIKNLVTTFKQMYLWIVKRVYPDYGIWTTFSEEYATVKAWCEEVAYLIRPENADD -VLINSDMIDRITLAYDQGALFLTPLSKLMSLQDKDANKVVSVVKDMYKKISKLHADCVSMGVDSHIRKVC -FTVCMYGTAGIGKSYLMSETCRTLLKGVNYVFRGPMECAVDPTSKFWSQCSRQPVLVMDDMWAVETGQIL -EQQIIMLNQLFSHVPFTPPMAELSDKKMRYNPEIYWYNTNKPFPVFDRIDKKMLYRRRHMLIKARHIDFT -KAEEVKKGCAHCEQKFTPQTTPAEYTHLLNDFHHLRFGIHKNVEDPDEKIECELTYAELTKEMLKRYREH -REKETTRFESELRKYQEMRDGMIFEDEQEEFASKMVKIRTLADSYKQKLMNVHMRDVLTKYANLISHESI -VRLTSLYTDYFPNKLTISNHIPGLNTVDDALAYCFSHFKSRKVVPESDNGRSDDEEEYERIKPLPNDLPE -ILLTELSYKNAERYLREIKSRRAQWSEYVSPFCAHIVNSVFQLYSEGIITGEMFDECALSLTLPCKRNNV -DYLTAVLMNVFQLRKKEIISTGPQGETYINKCTGKRKFYSWYDVFCFLGTCVDLPAVLNGVDVQVIRCEC -WDMETDSVTWSELGWAFQGKVSANLDDCTNENCPMKTWLFKRAFLKQYLLDNPYIMHHYRKGNYSMIPKD -YSDGSVSFPDVKTYMFVVKRMLKQVTGAMSKIFIKIWHFLEQYWPIILSFMPLVLVGVGIYMSSPPQPPV -LQMRHSWADAESAYSTNLGMAPKAGVKPVVSQSESQQYEVVLKLIRSNFFYLTMVANGKVVTYRCLRLRM -NEVLILRHYDDEIKSVGDVAVTYGKCAGLNADTFKYGIQFNYRECKIKWYRNVVVDGCYPSNFGILLLPP -CFPMAKDLTKFIATSDDHKYSSGFGSLVEGDKVVEHMRITRDSKRPFVIPKTDIVSPVAMDDVYVYTKHG -AGVCGSVLLAANMQRPIIGMHVAGYGTVSGNGLAEILCSEMFSEIVTTDVPVKSMVMPTLDDAKFASIDL -ETAIYPYGCVTGKFAHAQSSKTQYVQSPIQGVYPVECEPNPLGPNDKRLPKGCNPLSAGIANKGLPPLDF -SNDLLDAAAEDLERVILTQVKPTRSQIGVVPLEQAVCGVAGLDGFDMIEMSTSCGYPLSAIKPSGASGKK -WLFDLEEVKSGEWRLKGMHDELKRLYTLETEMRKRGVKPFTVFVDCLKDTCLPLEKCRVVGKTRIFSISP -VQYTMAFKQYCLDFMSSYQAARFNAEHAIGINPQSLEWTELTSRLLKKSKCIVTGDYKNFGPGLMKLCVN -KAKNIILSWYKHYGASSEHIKVLDILFSEIIDAYYLGGNLIYQTPCGIPSGSPITAPLNSMVNSLYIRYV -YGCLVNRDFSKFSDNVFLCTYGDDVIMSVVPEIVDRFNARTMSEVFKDYNITFTDQDKSNNCVEYRDIRT -ATFLKRDFLPHPVRQGVWIGRLEKRSIQNILNWVHRRGNVSDNIKENASMAFQLAVEWGPEYYNDMCRRV -AIALSDVGVTCVYNTWKYWDEKNYSSL - ->YP_009305421.1 polyprotein [Moku virus] -METKSKETQSEVVAPQNNDDGLIYVDQACELMEDGLESYIQNLIDRQSLVIAGVYSASKVATLLSSRNQI -TYSVNYTYMKPLVFSPSTVSNHITILNDMCPDDLQLIDCAVIKLRVGHDEIVKFQVLYRKYTYAASFEGS -NVVIVDHKAKPTHLWNNMCNNCTYVGVFTSSPNDDGITMMYRYGTKACTCSPTMGFQLQRSRRVPIRAFF -ESEGVTDGQREVQSSNVILSETQQESEDLASNKFIPLWHNFASSEFSPQFTDMCDRFIPWTHFVWTTNDL -ENQSIVDGVLPLSFLNSHGKVYCDTVNFIPFNVHAYWRGDIEIKVHVNSNMFQSGQLIGSWLYAADSFAS -SANKIAGPRYSNIAQHVQKPHIIVSAGASNEATLYIPYRHVTPFLRTKPVFKNTMAATKALDMGRFVLAV -MVPLATGVNAQSPKECSGTIFVRLVSSHFTGKFSGAIAKPEMAGVLDLVKNVAGTVDKVLGDINCDNPPD -TRPAPFWVPINAQNWSHGTKTREPINTLRLDGRIIGCGRSPDVGYSETNIHRIASVYGLLKPFVWSYSDK -TSNVAGYQLWGMSVHPQCDKDKLFTSAAQGARMATYTVPPIGVVSSLFCYWRGSIDFKFEIIATSKHTGR -ILVAYIPGIVDYSKVTLQQAMSSPNIEFSLNTGTTSFTFRVPYIAETMWWPRKYGGPQRADDFVAPSSIV -VFVLNPLVPMESVAQKVTFLPYVGAGPDFEISVPAQPSIGLSLNRRNEVPSDDLIEFKSGYFPVYAGAWR -GWMDGERLIFRYGNTTDHVAQITKVLRPDPNTVMVYLPQSFGQRSTLTTSYKIKANKGEVYHDPITGCEV -AVIKNQNFEGIIGAAIGFQQDGYNYLIPFLFNSNGQKPVQTDAVHAAAIVAKAMQLGQLNRVKDVCNTWV -KDSDWLNNKQNIRWRGVSYPNCVVRASPEMAAESYATPNPLQPTQDLPSTNSGVVTFGERFHDFKDLCRR -YQLYWEGTVTPGQIRDNKRNAAFVQIPVLPQGLTLQPSLSNPVWNSMRDGHIPIISSGFRFYRGGVRFRI -VITGLDDSVWVQHHPDRQFIGQVPILGKDIHDKDAYRNHTYGFHVQNLSVNRTIEVEIPFYKPGIYNLLG -DLALDYDCKQYGTLGDVVIGLEGDQAVDSAIDIAIYYTISDDCSFNVFCGFPDMVFCDEVYKANSSNNSE -VQELQDAQDFEFVDIPTTHASREMMSVANVASSSVSSMFGSLLGSVALKGSKVVTAPIAKVVKEEVKANV -LPAMKDIEREVRNAADDISATLGRTIPQQAIISALGQFSQVALNPTPSSLAIAVTSLLANFVTISMELIL -TLQQTLTTFLSNTWGRYFAGASDHQEARQGSTPEGFIDDMPEKSLHGFLGMLFTAVASTIGLSIASPKHF -PDLLKGVKECLNTCNAAVVFFRNTIDAVVYMYKYCLGETNEELKAKIIIEREYPHMKDWCEEVMLLLDPR -NQNVILHSSKQANRVFDACIYGAKLINENLDKSIPGGKVIYDLYTKICKVRDDLIELGNHPDIRFEAFPI -WVCGDAGVGKSHMTQRVCQDLLQHIDYRTQECMIYWLALGQKYWNGIKNPPVIARDEAYAVGGQFTEEEI -ATHLAICSCSILNPPMAALQEKNKRLNPLIYYLNSNTEFPQISEARHPEAIYRRRKLMVKIKYRQYILDT -YPGILDASELPPSETEGMKHLDFFIARDPKDVNTTYAGPYTYTSFIEIAKKRFKEHVERERINFRNRMSA -AYALDPDYNQLDELNYVHGSTLPTTTLHEQFIHQRNEARRILYAAPPSIEEEEDPYLYNILQRFRYLWEP -PETPQPEMDEPSCSWEFDPTRIVSNSMFRERERNNASIVGDRVKMDRGALLKLVSGGIDVTEDDIRGFII -DPTFEKIIRKAGSTDGIWSRFCLCFEYNSMLPDDLTDWMSKTMFNDSSAYGGEYNTYKILPHWEDVTGTD -CIRSYIYWLMRQQQFQTYARVLYDAGETRDSIIKKYHQLIRKHSGWDWSRVERALNCSTIEQFIEHTAFM -DNPDGSQQCLIDMWLVLRYMNEYVVLREKEFCKHCRFWIDKLRDTAELEYVPRFDTLLYTNTLGLRVKFE -NYCRCNHALTNNILFRNAMRIVWNKDHGMTAHTVLNPFSFSEYKSEYERLNLWYVEIYEWAKAWWKGVAL -PFVSAILTFIYEHFGQIISILLGLYSLYRLYNSSIPQATKESIATASNVIQETAAAAGSFMASVIVKHDI -TPVVVADSFVESAGYCKIDKAKPAKANFKPADKEDDGSLDRIEQLERKLLNNICFLEARWNDEKGDAKML -YGRCLGIRERQVLILKHYIEEMLHIPSDATFMINYIMSGKPCTGFLTRKCLESVTYFSINGKINASNYGI -LTLPKFMPMFKDILNSIVRKNDHHYVASQGHIMSSDMEGRILRHRHMQLRPRAFLVIDGDDEGHVSTICN -DGAYEYSVHGKGMCGSLLISDKVCRGNPGIIGLHVAGSKGNGYAEPIYREMFEHEVLTPKIKYVLPVLKS -AEFSSINLDSNLLTLGIVDSKMSHHESGKTKIVPSLLHGKVYDVKTEPNPLKPNDPRQPPGSHPLRDGCN -KHGYGYSIPFSEELLSKVSHDNRMVLRNYVKNPLAQFRQLTLEEAICGNPAIPHCESLNWKSSEGFPLSS -FRPPQYNNKKYLFDLEEMNDGYQLKGLAPELQKILQLRQAMREQDICVPPIYIDCLKDYRLTPQKCAIPG -KTRIFSIAPVQVTIDLRKYMGLFLSAYRGAGVEAEHGIGINVDSMEWTTLARYLLEVGNNIVTGDYSNFG -PTLSSQIVYSCIEDILDWHEFNQADSETMQHLRFILENEILNPVHLCQDLVYQTVNGIASGSPITAELNS -EVNKKYVKLAFLLLCKKNNYSYTLSDFHTKCRLVTYGDDFIMSVHDDFISWFNCTTISEVLKEHGIILTD -ATKNKEITPYRSLTQSTFLKRTFRKHPYRAGVWLAPIEEQSITECLNWCHKQTNMKAATEEVIRASCILA -FGHGPKYYKRHVXKIHQAARQEGLLAEYSSWEELDEQNFG - ->YP_009162630.1 polyprotein [Bombyx mori iflavirus] -MSSLNIDLLQSTFGDLIAQEFDCEVDKLRIIYDAKHEVRKKYGMTSSLDNDRLFDEWRFNYIKLEKRRNY -LWYLMKTYQFVELDDHFGTDYMFAEIDLRLRSDYEQYERSERNRWKYLNRKSTYVPFSRSGLLVEDTDDE -GSPDAVDLDVDHGKVYYRRRKARSFESLIDNNRVYKKCEIDNRDYSVLYISALRLRNIISALEQSRVIGR -VLKIFRRNKPVEWIDMQNLQYQGGFNPAYINPDVVPVRDLLKDDNRPTCCEYCPTIVCMECYQGEGCCPH -ERVRYQGNVEQDLGGDTGVVQTHKAHNVVLTETEVTQSDTTALSNPKWGELVSSDTISGMDTLVNRWYRV -GTYTWTTNLNRGATIKSISLPRDAVFSGDTTCNQPNKIPFRIHRYWRGDIVVKIHINCNKFQIGQLQCSW -YYQPKADAAFASRSNVYTRSGTHHCVISAAPNNEVELRIPYKAYKSMYHTKTYVGDERDLPLDMGTLFIT -VLSPLKTTGETSPKCSFTVFVKYENNEFTGMIAGDIDMPSQMAEPLEYQMDGVGSILANAVPIVEKLLTS -SGNDNNRDNPPLNGAPRYVVPTASHSWSMGTDVVEPLHNLRLSGRAQTCHPDVDIDEMKIDVLKRKYMLC -DIFKWSQQNINGAQLWSYSVNPVPPKDRLPKVVEPGVNSLAAYQLTPIGFLSSLFQYWRGSIEYRFDIVA -SQFHSGKLLLAYIPGVEESANVTIEQARASPHIVISLDNAMSYTWRVPFVADRPWWPRRYAGESVSNNVA -SPSKIFAFVLNELVMAETVPDSVEILVYMRGGEDMEFAIPVQPSIGLGYDRNYVSSRNNTNVFPVSTTDT -YYVGRWHQTPEVQVLRHATTSEAVGRFSEPILDRPVFYTLGGNVPAANVSTSTVMKHIYTYIILRGIGFS -EYIALAVYIADEPEAARRLEQIARAAHSNDYTYGSWVTHLLITTPGSGASTGYGFIPAEYDTTSNTWGGG -KTIPLIAAEVDVSLEDLEFQGNREESLALIDDAQQLRSTGRGMLTYGERFTDLKDLGRRYQIYGWTTVPK -DQIERDPGACSFLFPVLPQGLNLAVNTPTTVNQIWNRAREGHIPLIASLFRFYRGSLRIRIVVSNAPDLV -MWVQHRPDRRLDRDVITPCTQVSTAEAVFNHTYGVYMQALSVNNVVEIEVPFYQMANFGLLQKPVLSEGK -PVQDWSRFYSLGELSVGFFGDQPSTDVRCTIYYSMADDCRFTTYQGVPPMVLIDDLPEFQGDCKLEYQGL -KDFFGSSPKHLGNQVAEGVSETLSTNLQPVIENFVTSFKSRLSDVYTSVTDSLNEIEWTSKLSAIGSQIA -HAINNPSPSTIAISIISILITFGIITYATYHIMYKYVTEIWAWITSKVTSNKVQKEAGADSAEDALKYQT -GTDNAVTGFLSLICGGLCTLFGLKNSVKYKPASDCLFKEITNGMKMSNVCFIFLKNVMSVIGDMKAYIVS -YLYPGFNAAESLMEGKDIIEKWAEHSLSLLEPHVAQNIKYDRKLQISLLDCYAFGKILKVKALSTQYPAI -VQLVNSIFDKLHKLHVDLVAQGIDPHVRKLPFVIYNYGPPEIGKSHLTTNICAELCKDQGIQTETHLMCV -LNATSKFWDNCDRQPCLVMDDAFNIRKGTMLEDQIAAIFNVVSPVVLVPPKAAVEDKGRTYNPEIFVLNS -NVDFFKTEICLEEALWRRRDIIIKSELDLDFVKEGCIHCRDKLKVDGKLPVDAVIALKDFHHLKFKYTFD -VKNPNCVYLPESGYMKYDELLTLLKQIFKTNRETENYKFADRVARCNEVVSGQPSLVSSVHNLESLWNDA -IARRRNAVNLVTNSTLSSIAKGFARKINENWKECKHVVFKTIYTTLKPGINKYDMLNPTCSKCVELRYQC -IACKLEFDQMLKQTESFSPDEVPAAPLNSWVDTDGLVSSLNNDPKLGYQGDTPIVTEPDDEPGCSTMFPI -PFDHDIKYMLSASGQRWLSDLKNHYATEVLSGFRKFLTTYQDQLSYALRRYPVYARNGVLFKEVCNKFCE -SRPIKCVHHYKQNTPIVFNGKFAFINPVHPSNPDTIDDLTCTDACWMTLPWVHHGTVKACRKLRPTVENW -MCSMEARDLAFDKISFDSVFAKLTKWVCNFYYDRMKPALRAVFSFFTSLDGWMAGLAFLTIAMSTIVMGV -GTYEICANAGGGDVHGGDVARARVHGVSHHHRPAAAPQIGFQAPSYDAGKPRVVKASKAKIRTPTRMSHN -LEYQSAQQFDVVKQRLKNNMSSIDVVYTDIDGNFKRVRNYGLMLRDQQMLIQKHYYDFWRRLDLTTKFYF -NNTSVKLSSPDGILLNNFFDLDVDWFMSADIDYIDSNFGVLHLPKTVPAFKDLTRFMAKSAEHQYIKFDE -CYLYSSLSGESMHCVMNVEYNKEVTDACGWLRLSECYSYKYTAVGLCGSALLCSTLERPIVGIHFAGTTT -FGYAEPICAESFNELDVKHYEYEMCDLRLDGGKEKIQFDTLLYPQGTVQDAYSHHQGSVSQYVPSLVHGV -YDVDTEPNPLSPRDQRLPPNSPPLKCGVEHMGKPPLDFPNELLNPAADDLEDVILGNVKPVRVAVGKLSL -QDAICGNVNVKGFEPLEWSSSEGFPLKALRPPGVKGKKWLFDLDETPSGYVLKGMHGELKRQLTICEMLR -RDGVRCPTIFVDCLKDTCIDIEKCKVPGKTRIFSISPVQYTIAFKQYFGDFLASYQNARLSAEHGIGLNV -DSLEWSQVADHITSRGSSIIAGDYKNFGPGLMLKCVEKAFNILIKWYERYDNDPERQLIRKVLLSEILHA -RHLCLNVVFGVPCGIPSGSPVTTPLNSLVNSLYLRCAWRYITKQNFSTMHEHIRILTYGDDVCVSVSDVY -KDIYNTATLSEFFKMYNIIFTDIDKSDTIVKFRQLNNVSFLKRGFKLHPSSRSIFIAPIELQSIRKCVNW -ITRKGDPMGNTLENCKQACELAFGHGPEYYNEVREFLQKECMKRLGRTFQAPRWYEKSLQCYGI - ->YP_009129265.1 polyprotein [Graminella nigrifrons virus 1] -MEKRTRVYKRAIHQKNQVEWFVGGGRERAYRKVHPFGEMSHTWLTLPVSDITGMGIGDGCENWDVDDIPE -TDVVSPKRVTKTRRTEPEFFGPYHRPRPEAPLRSIMLLTKLRAYIRVLRHSTLVEYNRTAHVVVQKEHEK -PLVEWQIAHPQMEGANGEELGTIRSNNVLLAEANPDGNAIASRPQFRFDWHQLCTTEKKSEFDDLTDRFT -LFKDYEWNSTYEKGTELPGVRCDLPCDFVNSRTDGSMPILVPFKIYQYFKSDIHIKFRINSNKFQAGQLQ -FSWQYMEKYDGNPLDNMYSRSQLPHVLLNAGASNEAELIIPFKYVQPFMSTQQRKDDLKSLYLGTLRCFV -VSPLRAGATGPKKCSIASFIKFPNAAFTGMRDGSIAMPQMMEAAAAMVAVKAVDKLIGDTNCDNPSDNVN -PSYLVPTASHSWCAGTGISEKLQTLRLDNTCVGVGRTGIDVSETSIGIPCRTFGMLEHFEWQTTNVEKNK -YGSLLYSCDVHAQVPKNKVNKSIAFVGLDTYAYPPVSVVASLYKQWRGSLEFKFDIISTMFHTGRLLVAY -IPNFYGDDNSVTIEQARNSACAEFTLQDATSFTFVVPYISNSVWWSRKYTGPHKYGENAAPSKLFVFVLN -PLIPMESVVDSVTIVPYIRAGEDFEVSVPVQPSVGLSDNNLSSLLVKDKVYPTEGSFPFRATKYAGFGND -AKYIFYEGTALLGTASTFYAPYKTLADNEYYYSKAEHPELCGVMRYTSRVDNKEKRGFIQFIVLWNTDKG -NYGVPFPQGEEGERQAELVARMLKQGKPADEVLKECFDYIADDAVSSSELKNLFFIPIYKTFDKFLVEMN -YAICEMDNRYSTENSLSPTSSLPSTSSGRFNFNEQFNDLKDLARRYQLYGEKLIKIPKNEEVNGVIASFP -VVPHGLDLDINDVNSVFNVSRDGHIPVISSGYIYFRGSIRMRLVLASDGVTLGGVKIWVPHHPDGDCRTH -ALEVYPGIKSQDAFRSHGYSFYMQAMNVNSIVEFEVPFYQSGMYGLTRKMSSHAKNSKVCQYYSLGNIVI -GASAGKTDKAVDFRLQVYYSIGDDFSFNVFRGFPRTVFTDEVWPSEKVKKNIVWITAKPEMEPAIIEAEP -EMMKAIAGYFTREAISGVKTAIKEEVKDIKDGFKATFQEAKDANVLNFDKRMLITALGNFLHVASNPTPQ -TLSISIVNVLMSLMNPDSIADVLFLTSALGITIIKNQKKFGMLTKRVEWNEATPQGESHGPDSQTAFCGL -LFTAVASIMGVTVSGPSKFPDIMRNINGTVSLANNVIRLLGNCTDLLTYCVNWITAKMNPQRALEIKLMD -SVPDIRKWYDECMFLLDIRNRDRYLYDANIMNRVFDASMFGNLIIANGMNKSLPGGKVIIDTCKEIRKLQ -GDLYQRNVHPDVRFEVFPIWMSGSPGIGKTYLVKDLSKRLLAAAQVQHKGTTIYDIPSGAKYWSGCTNPL -VLVSDDLFQVAGQRMEEELANIFLICSSSVLNPPMAAVEDKERRLNPLLYIMFCNSHFPEITPTSRTPEA -VYRRRKFLIKAELKDELVLKYPNFQDAGQLEPEEIRDFKHLKFQIALEPKNPNTAYSDWMTYDQLLGLIT -PKFLNHLERERLNFRQRMIDLYCFDPDFDEEDIIMNMPNLDDKPLSLADQMDIMRETVQARIENFNDPQR -EPEVWDYIRRLKEKWTEWRNKRAQPQMGLGSAITSMLRLQEEVDKVHEIVQEISNTGPLYKLFPELSFVQ -ASVINELKCSHSFFFSNNTHHLRHLKEQLFDPNFLDEHCFGRLGSSPNFIYDCRQALVAMLSPSKDVTNV -GAGWRFEVAQDINNVAQFNEKDYLRFFLMHKHGAQIIYKLMYKDGFDSLNDDFANSLDILFTMLGKDTSD -DKLSLKYIVRNLTAIDTPRRMRTYHIKSLLDGVVKGHLARFSVSEKRRADFKIVRNGSIHDVALKDFDSL -VDKIAEASCITTAVRTFFDIFLSLVYVFDEKSERPFMDIVEFKRLVACMMIIYECIPNCIYSCNLDVPTR -KFIRYCEKAEFCTFSGRVELDGEEFKRCDKCVYSSPILEVLLAYCAVGCRRRSRITGHFYGQEIIEITPY -ANVRQWLRKKNRMYADTFFDRLAATCKHIFFHLIPDFFKHIVAILKFRLPAILVTLGGLLAPSAIGGALN -WASGGSLPPIGPSLATGVMTVNHVDGVPQGNYFKFDHPKHPAQKSVQVGQKSFVSPQMGQSGRQAIGNKI -SNNTVFIYVSGIQDGTRMTGNCPALMLKGRSMLVLKHYFEEYEYLVKLGYSLQCTLFYQPKGAAQPAKIP -IPYADLVNKIAWCSASYERLTSNYGIVFLPNYVPQFKDITKTFAFYKEHDNVGSTCDLYCINKENSFDIP -VRVQRNVTVTSTSCSSAVFLDKVYSYGRQRAGLCGSVLVCDSLGSGNGAIVGMHVAGNEKVGFGYSEPLY -RELFDQFFNAFPEVVPLDDTPLLNLEEANVDLSSNLIMYGCVPEKFAHKESGKTKIIPSLIAGQIYPVRT -EVNPLAPNDKRQPPGSHPLRDGCNKHGTGDIRPFDPSLVEKVKEELSDTLYQVVKPVRAEVKPLTLQQAI -CGDVDVEYFESLSWKSSEGFPLSALRPREAHDKRWLFELAEGKFGYELKGLHPLLKQQLAARDKCFKENS -KPPTIYVDCLKDYRLPPEKCKIPGKTRIFSTAPVQCSIDIRMYMNDFCAGIKKSHIENSIGIGINVDSME -WTKLVLYLFEVGTKIVTLDYSNFGPCLMSQLVGASADIIVNWFKRNNASEEHVNRVRWLLECDIINPVHL -RNNVVYQTVNGIASGSPLTGECNSIPNLMYIRLAYLEIMMASELSEFASMYYFNLFVRLVVYGDDLIMSV -SDDIAAVFNALSIRDCLAKHGITVTSAQKDAEMTPYTTIYEATFLKRSFKHHPFRAGVWLGPVETKSVEE -CLNWCHVSENLQEATLEVCRASMDLAYSHGPEYYNQHKNKIIDALRNYDVRMDFLSWHERDNQIFGDSSS -IQEPTKIECKFPWVYKLGHMTL - ->YP_009116875.1 polyprotein [Thaumetopoea pityocampa iflavirus 1] -MNFFYEKLYAELISDNFKNEEDLLCKQYDMRHEIRQKYSLYPGEENWESVLEQEWNEDHRKIVKRRNWLW -YLMKSGQYGLLDEQLGTNVWDDVITQYNIRENKKQEQRERAAQEKRQRQRWWENNDEYKYWLLTSEDEEN -NNSSIVDDDIGPSKYKIKSRVGCVKPEGIDNNRVKIKVEVPRSQGFMDRIYICLINLKNKIKTLASLRSR -NPENIEMSFKYIVPSDVTLEYQGNVEQDVGGDTNVVKTQKAQNVVLTETENEQEDTTAISNPKWGAYVSS -DVISEMDTLVNRWFRVGTYSWSISLPRNATIKAINLPRDAIFQGNNTCNQPNKIPFRIHRYWRGDMVVKI -HINCNKFQIGQLQCSWYYQPKADAAFPTRNNVYTRSGTHHCVISAAPNNEVELRIPYKAYKSMYHTKIAH -NDQRDLPLDLGTLFITVLSPLKTTGETSPKCSFTVFIKFENSEFTGMIAGDIDTPSLREDELEYQMDGVG -NLVSAAVPLVEKLLVGSSNDQNRDNPPGNSAPRYLVPTASHSWSIGTDIVEPLHNLRLSGRAQTVHPDRD -LDEMKIDVIKRKYMLCDIFSWSQQHHNGQILWSYSANPLPPKDQMHTVTEAGTNTLASYQITPIGFLSSL -FQYWRGSIEFRFDIVASQFHSGKILLAYIPGVDESTMVTIEQARASPNIVISLDNAMSYTWRIPYVADRP -WWPRRYAGESVSNNVSSPSKIFAFVLNELVMAETVPDSVEILVYMRGGDDMEFAIPVQPSIGLGYDRNYV -SSRNTSNVFPVSTTDTYYAGNWHTTPLVQVLRHAATSEAVGRFSEPILDRPVYYILSSNFPRANIVNAQG -STVLQPISHFIVLKGIGFSEFIMLPFFANDSLEARIRLEQAARTAFENNYTYGSWVTPLTILVPGSTAAE -SFGFIPQSYNTSANTYGGGKTIPFIAITVDLANTASSESSVNISLEDLEYQGNREESLALVDDTQNLRST -NRGMFTYGERFVDLKDLGRRYQIYGWTVVPKDQIERDPGACSFLFPVLPQGLNLAVNTSTSVNQIWNRAR -EGHIPLIASLYRFYRGSLRIRIIVSNASDLVMWVQHRPDRRLDRDVITPCTQVTTAEAVFNHSYGVYMQA -LNVNNVVEIEVPFYQMANFGLLQKPVISENVSDWSRFYSLGELSIGFFGEKPDNDVRCTIYYSMADDCRF -STYQGVPPMVLLDDLPEYQSESDSLYYQGLGDYFRSTPKEVGHQVAEGVTETITTQLQPVLENFVTSFKS -KLSDVYSSVSDSLSDIEISSKLSSIGSQILHAINNPSPSTIAISVVSILITLGIITYATYHIVHKYVVEI -WTWIVNKVTSNKVQEEAKVEVAEEALKYQDGTDNAVTGFLALICGGLCTLFGLKNSISYKPVSDCLFKEI -SNGMKVSNICFVFFKNLMSVIGDMKALIVAYLYPGFNAAECLMEGKDIIERWAEYSLSILDPAVSQNIKY -DKNIHIALLDCYAFGKILKVKALSTQYPAVIQLVNNIFDKLHKLYVDLIAQGIDPHVRKLPFVIYNYGAP -EIGKSHLTTNICAELCKDQNIQTDTHLMCVLNATSKFWDNCDRQPCLVMDDAFNIRKGTMLEDQIAAIFN -VVSPVVLVPPKAAVEDKGRTYNPEIFVLNSNTDFFKTDICLEQALWRRRDILIKSELDTEYVKEGCIHCS -EKLKVDSKLPVAAVSALKDFHHLRFKYTYDVTNPNCVYLPENRYLKYEELLGVLKEVFKKNREAENYKFA -ERVAKCNEVMNTIPSLVSNVENLEDLWNDAIAKRQAAVDLVKNSTLKTLSKNFADKISEKWSECKHAVFK -KIYAAVNPGRNKYDMLNPTCQKCVKLKYQCIGCKIEFDKLMRSQINVSPDEKNEASTSSSSIEELFSDPK -MSYQAGDPIVVEPDDESSTAVTETIFSHDTKYLLSTPGQKWFDSLKTYYKPHILHTFSKFLDDHQDAISV -NLRRYPTYARGSRLLKDACAKFCKCIHHYERNPPIVYKGKFAFVNPASPGSPDIIDDINCTDGCWLRLPW -IHHRTVRYCKAINNNVEGWMGCMEDCDLTFDKTTFNDIFSKLVKWVWDFYYDKMKPAVKAVFSFFTTIHG -WMCASLFLTTLFSTIILGVGTYEVCTATGSNDISASEIGRARAGLTSVPPQRNTPLSKGQGIGFQANSYE -SGKPRVVKASKAKIRTPVKVSKNLEYQSAQQFDVVKQRLRHNMSSILVVYTDVEGKLRQNRSYGLMLRDQ -QMLIQRHYYDAWRRHDLTAKFFFCNPNVKAIPEEGILIRDIFDLDIDWFMTPNLDYLDSNFGIIHLPKTI -PAFKDLTRFIAKSSEHQYIKFDECYLYSSLSSESMHCVMNVEQNREVTDGDGWLRLSECYSYKYTSKGLC -GSALLCSTLERPIIGIHFAGTAMFGYSEPICYESFNELEVKHYEYDLQDLRLDGDEERVSFDTLLYPQGT -VNGKYSHHQGSVSQYIPSLVHGVYEVDTAPNPLSPHDDRLPPGNPPLKRGVELMGKPPLDFPNSLLIPSV -QDFEDVILNNVKPVRCNVGKLSVQDAVCGNVNVKGFEPLEWSSSEGFPLKSLRPSGVKGKRWLFKLDETP -TGYILKGMHGELKRQLVICDDLRKRGIRCPTIFVDCLKDTCIDSAKCSIPGKTRIFSISPVQYTIAFKQY -FGDFLASYQEARLAAEHGIGLNVDSLEWAQVANYITTYSNNIVAGDYKNFGPSLMLKCVELVFNIIIKWY -ERYDNDPERQLVRKVLLSEILHAQHLCLNVVYGVPCGIPSGSPITTPLNSMVNSLYLRCAWRHITGENFS -VMHDNIKILTYGDDVCINVSNKYKDIYNTSSLSDFFKSYNIVFTDVDKTDKIIQYRSLETVTFLKRSFKL -HPNSSAIFLAPIEEQSIRKCVNWMTRKGDALANTLENCKQACELAFGHGPEYYNNVREFLQQECMKRLGC -SFAAPRWYEKSEICYGI - ->YP_009111311.1 polyprotein [Dinocampus coccinellae paralysis virus] -MAAFPRFVPVQTIKKSSIKLLKSEVKLNNLEFDYLFQGFSINKEIIEKGRALDRADRSIKLFKNKQKFKI -ITPISYSSTLRIGLTVVDDDPAPWTPKEARQDYTKSYKLYLAQRKEAFELVKGIAQRYPKIVDGRQRKRR -TLKSKRVFEVEQFPPQCYYENSVVKDESPNQLINNSIGKIVATSIKQVKKRKRPLMLDYSNLLIGPCLPQ -TDKPNRVERFHSLISKLSKTELGRCLAIGLLTYKIRRCAESADKLYQFQQVLDSLTNAIEPQMDVEGVGG -KPPVESSHKGNVVITEPKVEQGEGIGNQRSFRFHAIPKEIQTYSNMADRWLYYGKFNWTTSHSAGNSIFV -FDTYNNIISSQNYSALNSQLFFSHRLFHTNCKFRLVMNSNRYQMGSLIVSFVYFAGRQVTSSGEVINAYR -LIGNDVQRNHAFLSAGTNNSVELHVPYHYPDSLMRVTEGDLTMGQLCVRVFNPLVVVDSVSPSISVSLFF -CFDNPEMYGTVDRYRNVPAKDSDSDQFEFIQPQMDTIGSLCNVGGEVLTTIGRGLNRDNPPYPLQPMSLV -PQAMPSISYMSNIPDPVNVLRADPRAQSISMGSQEETTIPWIIKRKGLLSTITWKMDNPAGSILYAGLAS -PTLTKSEIRGNSSVSPSYYRANSGNYVYPPVSVISSCFGYFRGSLKLTIQMIATAFHTGSLMFAIYPGGF -VPYVSGRDTIYKPTIDVLRSCPHQIIDFGEQTEFCVEIPWYNENAWADNVGLQDSRRTSTLTNFYLVVVN -PLIVTDRVPPSAYINLFISAGDDFELAIPRHSILGSIWNTSIKPPSDYYLKCYNKEAKVYTTWERNLLIK -DNKYAITSYIQNVSDGWSGYLNCEKGCYYKLNDKTSTKVDILVYYNNKGGTVTRKGKQFSDISAITYGVY -DPILSNSNAQGLVFVKSAEKAIKYAKAIANNTMTPEIKLECCCIWESDSDWSMKYNGSKWVQCDNTEGTL -LWTKNSYTQAAPQIDNLPITSLETPAMITKMGLLTFGEKTPDIKDLMRRYISLCSISGTACNEKFPRDCC -AFAKICVHPFRKLNEHESRTFDNRAREGLVSLLNTAYLGYTGGLRYLFITNTDTGASFPEGTMIYVQHRY -DDDIGWDKALVESSSPVRELKDFMLTSYATFSQSLTVNNTFRVEVPWYQKEEFRYCVPCRAKFNNGRLYV -WIHCPVDVKVNIEVYYSYSDDTDNICWQGFPELLNLTTITPEPQMETEPAPQGIFSTFKKACQAHEKAGE -SIVSVTGSVADAVQQISASAKKLENVADMIIPPEISNETLQETAKTCKDKSSEGLWFLLKKVFSTVSDKM -FSLFTHIIYAILSPTVKTVAWAVVNLFHQVFGFSWDLLSRLSKSIGNVWQSLVTKFQSFSKKKSPQEQPQ -EVPEPQMEYNDTISEYSGLLYGCITSFCKIKSSPPSWKGISEGLFGIGQATRSSYNVGNFISDNVRLLKR -VFHRFLDNFGLRKSDYALLAGIDDNRLKTWMMESFAILASSEREHVFDDPDWTTKAFELAVVGRGFLISI -SNSKIKVNFNLDRQIRELVKGLTDLEQQLINRKCLTPVRYEPWCCWIGGSAGCGKSNLAQYLSDEVAKDL -GLRTSCYSMTFGQDYFDGFNHSSIFIDDFKTVSISTAPQLYVQYLQMKSAVPFNPPFARVEDKDRFINFQ -NLFITANSMFFENEPGIHDFDAYNRRRDFLIEMVPKDVDKICNPRKHYTMEQLSNLEQSRAYLHTNNRAI -NGSSKMEIVPRPGETLKAAIIRLAIESSRNYHNQESRVYADRCQKHRELLNNATGSTLDDYLNNVKDQFS -KFEVDLAKKSGVTPVDSSVIFKDWIGNAKVSSSFLEPQMDTDPQPSTSRQKFRWPNILYEDGDLNKSMLS -SESIDGISDMAEYAKTFVEGEVMEPYIRCVPYKGEPIDCCHEIMDTRTMEYNTVDGVMYSSMVYGNKLNC -RTLSTPFTQCYIMKNGKPALNPKCILLKPETRNLWLRKVTDTLVKMTDTDWLVLKKWILLSKDPLKQKDL -IERFPPEIIEAMTTLINPDIKEPEPMKESWWKKFAFWQKEVKDSHELVGGHAIPVEPRWKRFSKALWSGL -CTIFKWIMKVINVLLVVMGILSALFSFLTIGYIMYTIPDSCHQAAPSPLAERRLVEQGYVLKDVADADWS -TRVLNPRAYNDPTKIWVPTQPQYETQAVVKPQLHPSGDYKTIKSSSNMRAKAMKLLGSGNNNDPEIIRLC -SSTSNNTSFSNIIKKCSSNVFFLIGEKDHVQYKSRCIGLYNKKFLVIKHYIEFFESKDITTVRVVSSKGT -TCITYTLSELNFHWTEEGYGIGELPASYPFQFANIVKYIPTERFDGHYPREGIIMEGFQDAAIEHHLDLT -QFNTPLTVPSKKGMNAWNISRGFQYNWGGSGKCGSLIFCPSMNSPLVGIHTAGVGEKIGYGEILIRETFI -DETETITEFVTPQMEYKENTYVLPGEYGIVGTLDYNMMVHSPKDTKIIPSEIHGVFPKTTEPAPLSSEDP -RLAVKGDPFLAGMSKRCMKVKEFDRQNLDDAAADLCQKLVVQCKPVKTIAVLTTKEAVEGLTVQGYEPME -MTTSEGYPWVKMRPSNATNKSWLFKFSEYPDGRRKLEKIHKDLSSVLDLKQKMRSQCVVPSSYFSGCLKD -SRILLEKTKAFDKTRIFEISPVDLTIAQRQYFLDFDVAYQTARFSCENTIGINPEGEEWSYLANSLLAFS -PYILTADYSGYGPRLNQTALQKCFDISNVWYDFLSKEDQETKDKNWNIRECIKMEVSQGLHIAKNLVFRP -VAGLPSGNAETVIRNSMVNSLYIRVAYLGLAEENASCFADLYWFKQFVLLYHNGDDLIMAVKPEIIGWFN -NRTLIEWFARYDIKMTDALKSGKVREYCSLQEATYLKRGFMPHPTRPKQWMAPLEEASITDTANWIWRCL -DQRAASLVNSEMCARLAYSRGRQFYDQVVKKLTAAWLIKGVEFKAPSWKSLDDHVWEGTEGPHFSF - ->YP_009110667.1 polyprotein [Laodelphax striatellus picorna-like virus 2] -MSLVRLVEVTKSRNRGFYAAEKKIWVYTPTPKTYDRPIGPKNMFDPTHFWSWEERVAHRLKKNFAGREED -FLYKFYHLIHFMDKKINRARAVERPHTRGMSFTLAVVAAWKDMLPSYLRLLRKRERKGRTPKARPSFSFA -RMCEILEVEELPDWTPPAEDLLASPPSPSTRSKRREIPLPKWDKSNKIFHPPAPRVRNAHLLAVLRGLLW -FMRAKRCNNFTPSVVPGRHIIKNKRTSYFRNGVPYAFPEGNESVEKVDQNLSPTIHESNRVCIVETHDCS -EVTIPGMDISPSMGAGEIPREYSALMEDEILFDNFTWSGESKDSLLKEYVMPAKIITMIGKNPVLAPFGL -HQYWRGDISIRAVVNANKFQSGQLQLSWWYDTLSDSKFNLRKQISSASQTPHAIVNAGASNECCLNIGYR -SPFTCLPLTGTDKYSDCLNLGSLMIRVLTPLKSSDSVAKTCSVSLFIKFKNSKFFGSKSADVGRYVEPQM -MEGAMALASLSVAEKALNQILPDINRDNPPVTKAVSHMIPHAMQSLCSGTGSTEPINHLRLDARGQTPHL -ENSNTLTRWSDIAKTYGFIHSYKWSKDHVYGTKLFSLHAAPLQPLNTYQSHKIDSDTAYPIPPVAVASSL -FSYWRGTLKMRIDMVATSMHTGKLAVVYIPRTLDEVDWSSARGSPHVIIDLKDGVQSYTISIPFITNRYA -WPRRINTGDSDSLVDPPGKVYVFVINKLIPMEAVSNDVFLNIYWAGGNDFELLVPCQPSFGTCFFASSDK -PNEEVKAYGGYWPFYWGYYGDFFGGVKAIARYGKGWQHVAQFQNLKPNCYYTVNADSLIYMPPYMLKNDG -TKFDPKYFGPINLNDGVGWRYLGVFETEENARKYAALPVDKKDYSLFMDVQNNDDGPWSNLTNNPIFDET -VVKSDSFVQAVTFARHENDPNSSEATNDAPVVLECVPPPQSNIAPVTFGEDFDDIKTLMRRYQPYCMFEG -VTSPDVGTASVALPLVPQGLDLDISPFEAKGKYFNKYVRDGTIPIVASAYRYMRGSMRLRIVLPSDVKCN -IWVQHRPDSHADKWLPKMLGEHDVTSCYLNTGYSVGFQTTKVNNTYSIEIPYYQPFSFILSQRTDLKRPV -AQSVSSLGTLFIGFEQNSGTVKRFPVSVFYSLGDDAFFEYFQGFPPMIPISSSTPVSEALQNAAHEGIFD -SVVEKASGAAMKSDTMRGVVTRLTDTVEGAVNKVITDEGIQVQHKIKLDDVNFHINLSRETQDFINNSGS -RSAEFVEQVLGTSNPDEATGIAQQFISWLTTVSGGVINFGVDLVSQIMHCIINPVKEAVAIATITILVKL -GVVNGAFFDRMLTGSKNLLAYLGICNTPTEPNETGEVPRADPQGSFDMITSFIDVAFVAVSTVLQTSINP -PRNITDFSKILTKEISQNVRTANQITLFMKNNILLFKKVAEYCTAWINKDKFMEKLIQDEMVPLLSWIDE -CEILLDPKNEERSYAKDSEWASRIECAYITGCSINKHFEAYVKVQRGHRELYDMFKRLLGKITKRREDMF -KRGNGTVSRREPFCIWIYGSAGVGKSQLAQHIIPRLLEHAGISYLGEPIYTLPSGAKYWTGCRAQPAILL -DDYLNVQTGEIRDEAIRHIFAIKSPAALNPPMAHLDDKELRYTPEILVICSNHAFPDFNGVHMEAVWRRR -EVLLTCTLTPELEDAGFKNFSDNVAQAKEYCRDNNIDYQNFEHMRIVHALNPSEPTTNHSNPHSIHTLMV -KLRNDFMEYRRHQQALYDRRKEEYDARIRETSGDVLSLTEKQAEYKRMCTALNDYTWHEHVPFKDVLRLS -QIYSNTGTISEEMKACLQDTHKNVMKDFESTRSADPENDPDKPIVASEGLPAPPPKQGAISKNPPTDCPN -TVIDASKLTPTTSIDASNNHIKCTVGINDNVAKVSLENILYFTHTGSRADVCHMKEIQSICQKYFSLNIP -FDCFAATILFELIQTNKLKPVTVIAGSYSCGIPSMHVQIKDKIAILMCLQCNKPVQGASVHPTCKSLRWL -NVNLPPATNFLTPKTLFFYGNKEQLAVLENVSNLPLIDALRVLYLRYDIWPCIDKKISEPLEQLLMSYFR -VHNAKALKEILEKKYQNETEINRIILAGKVLETIIERYPKCIHGKLKYDYPLMLQTVDNKRAFTSSNLDD -EIKIPDDSCGGDCVFLKNPELGEALYAQWNLHNPTSDCKPQYFEELRNRRAETGNNGTTANSNTSFFSKL -RRLFTSIWTTVKKLGDWMWRHISPVLQPLWKFMKYFLVFFLLIGALWGVYALATGSSIAATYATATTAIG -SAVGGTCAAVGATWTRVVGRAPEVVVAPMPEGVTNYNETLSAASRNPAPRAVSFARHQSNPNSQKINEIL -RIIERNTYFITADASTTWNRMVGIYQNHFIVQKHYYDYWINSGCKTLNIWRSDNSIRITVNIEDVHLSSL -PEKSLAILHIPAMPYVRKITHLFASLKDHTVPRGKCFMLEVMPTNTVAIHELAVNYCEQLMINSTAAIPK -QDLTAVYEYAWHGNGRCGSYLIAPHLNHPIIGIHCAGAGNKGYADPVASEMFEEFNGEMFEVGHNHDDTP -HPSFKGSYLPIGRTDQGMAHHESGVSRLTPSKIAGVFPVVSAPAPLRKGDPRVPVEEGEVYSPLWAGVSN -MGILTRDFPKAHRDTSMDYQIQRLISIAKPNRDSCGPLSYNDAVCGIPALPHYESINFSTSEGFPWSRFR -PNGCHNKEWLFELETDENGHKVLYSINNLLWDQINNEIQDRLEGIPVSTIFDDCLKDTRMALDKISKPGK -TRIFSISPVQYTIVFKQYFGDFLSAYTSARLDLGHAIGIAADSSEWGMLVRALTTKGTHFVTGDYKNFGP -GLNTACLRAVCKSILAWYEVYDNSPSKLDNMKIRKALLDELVCARHCAHDFIYSVLAGLPSGCPATAPLN -SLVNELYMLCAWLGIMCGTEYASLNYFYTLTKLITYGDDFIMSVNPKISHIFNGQTIQGYFKTYDIILTS -ADKTSDEMQPFVTDLSKCTFLKRGFKPHPTRPFEFLAPLDLDFSVKDVANWVHKSPDMNLATIVNAEACV -RNAYGHGEAVYNEVRARIIEACCKKDIPILLKTWHEYDAQFFPPS - ->YP_009047245.1 polyprotein [Lymantria dispar iflavirus 1] -MASFNVSFLQSVFSDLISAEFDYEVTLLRKIFDGKYELRHSYGLTSSLDDNHLEDEWRFRXFKLEKRRNY -LWYLMKTQKFVELDDHFNTDYTFGDLDLRLRVEFSRXVKEHSDRYKYINRKSRFVPFSKSSLPVEDIETE -YYDNNDIDIDFSTNSVSFRKKRSVSFESLVDNNRVYRKCEVDNRDYNLLVSYALRLRELIENAVSLRLPR -RISKIFRKKRKAEWVEMIPLQYQGGTPAAPLTKGIDPIRDLTQDDNRPKCCAYCPAIVCMKCYKGRGCCE -CFPHYQGNVEQGVGGDTSVVQIHKAHNVVLTETEITQSDTTAISNPKWGSYVSSDTISQMDTLVNRWFRV -GTYTWTTQMNRNTTIKSISLPRDAVFSGSTTCDQPNKIPFRIHRYWRGDITVKIHINCNKFQIGQLQCSW -YYQPKADDSFASKNSVYTRSGTHHCVISAAPNNEVELRIPYKAYKSMYHTKTYNGDVKDLPLDMGTLFIS -VLSPLKTTGETSPRCSFTVFVKYENNEFTGMIAGDVDTPSQVSESLQYQMDGMGSILSAAVPVVEKLLTS -SPNDNNRDNPPLNSAPRYFVPTASHSWSVGTDLVEPLHNLRLSGRAQTRHPDVDLDEMKVDVLKRKYMLC -DVFSWSQQDPNGHNLWNFPVNPMPPKDRLYKVAQAGTNTLSKYQITPIGFLSSLYQYWRGSIEYRFDIVA -SQFHSGKLLLAYIPGLEEGGSVTIEQARASPHIIISLDNAMTYTWRVPYVADRPWWPRRYAGESISNNVT -SPSKIFVFVLNELVMAETVADSIEILVYMRGGEDMEFAVPVQPSIGLGHDNSYVASRNNTNIFPVSTTDS -FYAGNWHSAPLVLVLRHAATSDAVGRFSEPILDRPVYYVLSSSLPTANVNSGALTLRSITHYIFLKGIGF -NEYIGLPVYAANTQIALNKLEQIARAAFTNKYTYGSWVSSFMITAPLSTSAGGFGFIPAAYVTTSNTYGG -GKSIPFXAMSVSPTLEDMEYQGNREESLALVDDTQNLQSTGSGMMTYGERFVDLKDLGRRYQLYGWTSIP -RDQIERDPGACSFLFPVLPQGLNLAINTPTSVNQIWNRAREGHIPLIASLFRFYRGSLRIRMVFSNASGL -TAWVQHRPDRRLDRDVITPCTQVTTAEAVFNHSYGVYMQSLSVNNMIEIEVPFYQMANFGLLQKPIIAVG -GPVTDWSRFYSLGELSVGFFGDKPTDDIRCTIYYSMADDCRFSTYQGVPPVVLIDDLPEYQGFTDYFKSS -PKEIGNQVAEGVAETIHSQLQPAVDNFVASFKERMGDVYSSVSQSISDIEFSSKISAIGSQIIHSVNNPS -PSTIAISVVSICITLGLITYATYHIVHKYVIEIWTYISNKVASNKVHPEAEAEVGVEALKYQTDTDNAVN -GFLSIICGGLCTLFGMKNSLKYKPVSDCLFKEITNGMRMSNVCFVFFKNLLSVIGDMKSLIVSHLYPGFN -AAESLMEGKDIIEKWAQHSLNILDPMVAQNIKYDKDLHISLLDCYAFGKILKVKSLETQYPALIQMVNNI -FDKLHKLYXDLIAQGVDPHVRKLPFVIYNCGAPEIGKSHLTTNICAELCKDQDIVSETHLMCVLNATSKF -WDNCDRQPCLVMDDAFNIRKGTMLEDQJAAIFNVVSPVVLVPPKAAVEDKGRTYNPEIFILNSNVDFFKT -DICLEEALWRRRDILIKSELDPDFVKEGCIHCLKKLKVNSQLPVEAVTALKDNHHLKFKYTFDVTNPNCQ -YLPENSYLKYDELMKLLKDLFKKNREAXNYKFAQRVAHCNEVSSNFPSLVKHVDNLESLWNQAIQKRKFA -IDLVKNSTLTSMSQSFXEKISENWSECKHAVFKKIYTSIRPGVNKYDMLNPTCMKCVALKYQCISCKIEY -EKMKKEANTVDTPIPSTSSGSASIEVLFGDPKLGYQGNAPIVEEPADNTDIAVPVFDHDVKYLLSSKGCK -WLTDLNNNYSPIVLHDFKKFLDDHHDSIAVSLRRYPQYARGPELFKSICESGCDCVHNFNKNPPIIYKGQ -FAFVNPSTPGVPDYINCFTCTGKCWMLLPWIHHGTVIACKKMSALRESWMCQMDDRELIFDKITFDSIFS -KLTKWVWDFYYDKMKPALKAVISFFSTLNGWMFGALFLTTIFSTIIMGVGTYEVCTQQGGLQPSGAEIAR -ANTIKTVGDTKFHPIAYQANSYESGKPRVAKASKAKIKTPIRATKTLEYQGAQQFDVVKQRLRNNLSSID -VVYTDVEGNMKRTRNFGLMLKDQQMLIQKHYYDFWKRLDLTAKFYFYNNNIKSHAPDGILLTNFFDLDVD -WFMTPDQDIFDSNFGILHLPKIVPAYKDLTKFIAKSTEHQYIKFDECYLYSSLSGESMHCVMNIEYNKEV -TDANGWLRLDECYSYKYTGVGLCGSALLCSTLERPIIGVHFAGTSTYGYAEPLCYESFNQIDVKHYDYDL -CDLRLDGSKEKIQFDTLLYPQGTVPDVYSHHQGCVSQYIPSLVHGVYEVDTEPNPLSPRDERLPPGNPPL -QRGVEHMGKPPLDFPNDLLRPAARDLEDVILRTVKPVRLVMDKISLQDAICGNVNVKGFEPLEWSSSEGF -PLRRLRPSGVKGKKWLFDLEETPTGYVLKGMHGELKRQLSICDALRKDSIRCPTIFVDCLKDTCIDINKC -KIPGKTRIFSISPVQYTIAFKQYFGDFLASYQEARLKAEHGIGLNVDSLEWSQVANYITTYGNNIIAGDY -KNFGPSLMLKCVEEAFNIIMAWYERYDNNTERQRVRRVLLSEIVHAKHLCLNVVYGVPCGIPSGSPITTP -LNSLVNSLYLRCGWKSITGQNFSVMHDNIKILTYGDDVCVNVSDEFKNIYNTESLSLFFKNYDIVFTDID -KSDRLINYRSLETCSFLKRNFRLHPNSNAIFLAPIDLQSIRKCVNWMTRKGDPKSNTLENCKQACELAFG -HGPEYYTEVREFLQRTCMRQLGCSFTAPRWYEKSEICYGI - ->YP_009026409.1 polyprotein [Heliconius erato iflavirus] -MAAYFEPIYAELISTAFRNDETILYDEYDLRYAVREKFGLYQTEEWEEVLQKEWDDDYKKIVKRRNWLWF -LMKSGQYDLLDEQLGTSVYQDKIVFENKREAEKEKARKTRLKKRSWWEYSAEYKYWELNNDNTEQDNSWL -IEYYNTPYTYKQRPRVSCVRPGPSVDNTYRRTFKQESRSASFLAHIWAVARNLRYTIRDLVAVRTGRYQD -IQLKRIPYSEENPEYQGGGLQTPIKKSVNPVRDLRKGDNRPKCCDYCPTIVCMKCFKGEGCCIHTKIQYQ -GSVEQNVGGDTNVVQTEKANNVVLTETEITQQDVTAKENPNWSRYVSSDTVSSMDTLVNRWFRIGTYSWT -TQLPRNTTITSISLPYNAVFTTTGTCDQPNRIPFRIHRYWRGDMHIKIHINCNKFQIGQLQCSWYYQPKA -DASFGTKNNVYTRSGTHHCVISAAPNNEVELHVPFKSYKSMYHTKRAKNDERDLPLDEGTLFISVLSPLK -TTGETSPKCSFTVFVKFVNNEFTGMLAGNLDNPNISEETDLEYQMDGLGSVLSTAVPLVEKLLVGSSNDA -NRDNPPVNQAPPYIVPTASHSWSMGTDAVEPLHNLRLSGRAQTRHPDVDIDEMNIDVLKRKYMLFDIFTW -SQQNNNGQNLWSMPVNPIPPKDRIYKTASAGTNKLAQYQLTPIGFLSSLHQYWRGSIEYRFDIVASQFHS -GKIMAAYIPGVEEGATVTLEQARASPNIVMSLDNAMSYTWRVPYVADRPWWPRRYTGESVSNNTTSPSNV -YIFVLNELVMAESVSDNIEIMVYMRGGEDMEFSIPVQPSIGLGYDNNYVASRNNTNVFPVSTTYTYYSGN -WHSVSGVQVFRHKATSDAVARFSEPILDRPAYYTLATDFPKANTSTTSEIVLNDIKSCIFLRGIGFSEYI -GIPIVRRVATANDQNRLESIARAAFENNYTYGSWVNSWLMVDGVIGFIPSTLVTTSNTYGGGRTVPWTAV -TVSDTLSELEFQGNREESLALVDNTQSLRSTGRGYMTFGERFVDLKDLTRRYQLYGQATVAKNQIERDPG -ACSLIVPVLPQGLNLTVNNANTVNQVWNRAREGHIPLIASLYRYYRGSIRMRIIVSNGIGLTMWVQHRPD -RRLSRNTIIPCTAVSTAEAVFNHTYGMYMQDLNVNNIVEIEVPFYQMANFGLLQQPVVTEGPPYKDWTKF -YSLGELSIGFFGDQPSDDIRVTIFYALADDCRFTTYQGVPPMVIIDDLPEYKSSLEYQGITDFFRKDPRK -IGEEIAEGATETLSANVQPMLNEFLSTFQSKLSDTYSSVKEDLKGTNFYTKLSSIASQIIHAVNNPTPST -IAISVFSILVVLGIITYSVYHVVVKYVSAIWNWISNKVVSNKVQEEVETAEEALEFQDGTKDNAVVGFLS -LICGGLCTLFGMKNSIKYKPVSESLFQNISSGMKVSNVCFVFFKNLLSCITDMKSLIVAYLYPGFNAAES -LMQGRDIIEKWVMYAQDILDPLVSKNLLYDREAQIKLLDCYAFGKILKVKALETQYPAIIQLVNTTFDKL -HKKHTELVAQGLDPHIRKMPFVIYNYGAPEIGKSHLTTDLCAELCKSQDIKTETDLMCVLNATSKFWDNC -DRQPCLVMDDAFNIRKGTMLEDQIAAIFNVVSPVVLVPPKAAVEDKGKLYNPEIFILNSNCDFFKTEVCI -EEALWRRRDILIHTELDLEFVKPGCVHCEKKLPVNALLPPEAISSLKDFHHLKFKYTFDVKNPSCAYLPE -GRYLKYDELLILLKDLFKKNREAENIKFARRVEQCNEVVGDRHSIVGNVENLEQLWNDAIMKRKAAADLV -KNSTFATICKSFASNALERWEQGKHYVLKTIAGIVKPNNNKYLLKNDLCEECNRIKYQCISCRINMENAM -LDNPTPSTSQGSTSIEVLLEDDDKMGYQADNDGEVVVPEFHHDIIYHLSDSGQKDFKRLSKDYDAYVLDH -FKKFLDICSPTIIIDLRRYPRYARSFNIFRSLCEKYCKCLHNYTVNRPIVYQNKFAFINPSRPSDPDIID -DISCDQGCWLSLPWFYYNTITVCKRINSAIVEPWMLDIGSAKLIHSKITLDSIFSRMLKFVWDFYYDHMK -PAIKTIFSMFTSFGGWVMGLTFLSLLFSTTIMGIGVYEAYNMPSVSSGVQQALRDPDCLSHRTSPKGNCL -FFESNSYEAGKPKVSRAVKPKVKTPTRASKTLDYQSSQQFSVVENRLRNNMSTIVCVFTDVEGKLKRVEN -SGIMLKDQQMLIQKHYYDYWKRLDISTKFYFYNSNIKNISECGLLLNNFFDLEIDWFYSDNSEFCDSNFG -ILHLPKYVPAFKDLTKFIAKQSDHEYIKADECYLFSSLELRSKHCVMNLEFNKSVTDQHGWLRLDQCYSY -RYTCKGLCGSVLLSSTLERPIIGIHFAGTNVLGYAEPLCAESFTGIEVKHYDYELHELRLDGDDPKIDFD -TLLYPQGTVHAAYSHHQGSVSQYIPSLVQGVYEVDTEPNPLSPRDPRLPEGNPPLKRGVEHMGKPPLDFP -KKHLDLASDDLQSILLQAVKPVRINVGLVSLQDAICGNVSVKGFEPLEWSSSEGFPLKSIRPKGVRGKRW -LFDLEETPEGFQLKGMHGELQRQLSVCHALRKKGIRCPTIFTDCLKDTCIDVNKCKIPGKTRVFSISPVQ -FTIAFKQYFNDFLASYQNARISAEHGIGINVDSLEWTEVANYITRYGSAIVAGDYKNYGPSLMLSCVEKA -FDIIMAWYERYDPDEERQLVRRVLLSEILHAKHLCLNVVYGVPCGIPSGSPITTPLNSIVNSLYLRCAWK -DIVNESFEVMHNNVKFLTYGDDVCINVSDNYKDIYNTETLNAFFKKYNIIFTDIDKSDNIIKYRTLDNVT -FLKRGFKLHPSSKAVFLAPIEEQSIRKCVSWITRKGDPLQNTLENCKQACELAFGWGPEYYNAVRERLSR -ECLTRCGQSFSAPSWYEKSEMCYNI - ->YP_009010941.1 polyprotein [Laodelphax striatella honeydew virus 1] -MSLVRLVEVTKSRNRGFYAAEKKIWVYTPTPKTYDRPIGPKNMFDPTHFWSWEERVAHRLKKSFVGREEE -FLYKFYHLIHFMDKKINRARAIERPHTRGMSFTLAVVAAWKDMLPSYLRLLRKRERKGRTPKARPSFSFA -RMCEILEVEELPDWSPPAEDLLASPSPAMAPKKRREVPLPKWDKTNKIFHPPTPRVRNAQLLAVLRGLLW -FMRAKRCNNFTPSVVPGRHIIKNKRTSYFRNGIPYAFPEGNESVEKVDQNLSPTIHESNRVCIVETHDCS -EVTIPGMDISPSMGAGEIPREYSALMEDEILFDNFTWSGESKDSLLKEYVMPAKIITMIGKNPVLAPFGL -HQYWRGDISIRAVVNANKFQSGQLQLSWWYDTLSDSKFNLRKQISSASQTPHAIVNAGASNECCLNIGYR -SPFTCLPLTGTDKYSDCLNLGSLMIRVLTPLKSSDSVAKTCSVSLFIKFKNSKFFGSKSADVGRYVEPQM -MEGAMALASLSVAEKALNQILPDTNRDNPPVTKAVSHMIPHAMQSLCSGTGSTEPINHLRLDARGQTPHL -ENSNTLTRWSDIAKTYGFIHSYKWSKDHVYGTKLFSLHAAPLQPLNTYQSHKIDSDTAYPIPPVAVASSL -FSYWRGTLKMRIDMVATSMHTGKLAVVYIPRTLDEVDWSSARGSPHVIIDLKDGVQSYTISIPFITNRYA -WPRRINTGDSDSLVDPPGKVYVFVINKLIPMEAVSNDVFLNIYWAGGNDFELLVPCQPSFGTCFFASSDK -PNEEVKAYGGYWPFYWGYYGDFFGGVKAIARYGKGWQHVAQFQNLKPNCYYTVNADSLIYMPPYMLKNDG -TKFDPKYFGPINLNDGVGWRYLGVFETEENARKYAALPVDKKDYSLFMDVQNNDDGPWSHATNNPIFDET -VVKSDSFVQAVTFARHENDPNSSEATNDAPVVLECVPPPQSNIAPVTFGEDFDDIKTLMRRYQPYCMFEG -VTSPDVGTASVALPLVPQGLDLDISPFEAKGKYFNKYVRDGTIPIVASAYRYMRGSMRLRIVLPSDVKCN -IWVQHRPDSHADKWLPKMLGEHDVTSCYLNTGYSVGFQTTKVNNTYSIEIPYYQPFSFILSQRTDLKRPV -AQSVSSLGTLFIGFEQNSGTVKRFPVSVFYSLGDDAFFEYSQGFPPMIPISSSTPVSEALQNAAHEGIFD -SVVEKASGAAMKSEAMRGVVTQLTDTVEGAVNKVITDEGIQVQHKIKLDDVNFHINLSRETQDFINNSGS -RSAEFVEQVLGTSNPDEATGIAQQFISWLTTVSGGVINFGVDLVSQIMHCIINPVKEAVAIATITILVKL -GVVNGAFFDRMLTGSKNLLAYLGICNTPTEPNETGEVPRADPQGSFDMITSFIDVAFVAVSTVLQTSINP -PRNITDFSKILTKEISQNVRTANQITLFMKNNILLFKKVAEYCTAWINKDKFMEKLIQDEMVPLPSWIDE -CEVSLDPKNEERSYAKDSEWASRIECAYITGCSINKHFEAYVKVQRGHRELYDMFKRLLGKITKRREDMF -KRGNGTVSRREPFCIWIYGSAGVGKSQLAQHIIPRLLEHAGISYLGEPIYTLPSGAKYWTGCRAQPAILL -DDYLNVQTGEIRDEAIRHIFAIKSPAALNPPMAHLDDKELRYTPEILVICSNHAFPDFNGVHMEAVWRRR -EVLLTCTLTPELEDAGFKNFSDNVAQAKEYCRDNNIDYQNFEHMRIVHALNPSEPTTNHSNPHSIHTLMV -KLRNDFMEYRRHQQALYDRRKEEYDARIRETSGDVLSLTEKQAEYKRMCTALNDYTWHEHVPFKDVLRLS -QIYSNTGTISEEMKACLQDTHKNVMKDFESTRSADPENDPDKPIVASEGLPAPPPKQGAISKSPPTDCPN -TVIDASKLTPTSSIDASNNHIKCTVGINDNVAKVSLENILYFTHTGSRADVCHMKEIQSICQKYFSLNIP -FDCFAATILFELIQTNKLKPVTVIAGSYSCGIPSMHVQIKDKIAILVCLQCNKPVQGASVHPTCKSLRWL -NVNLPPATNFLTPKTLFFYGNKEQLAVLENVSNLPLIDALRVLYLRYDIWPCIDKKISEPLEQLLMSYFR -VHNAKALKEILEKKYQNETEINRIILAGKVLETIIERYPKCIHGKLKYDYPLMLQTVDNKRAFTSSNLDD -EIKIPDDSCGAECVFLKNPELGEALYAQWNLHNPTSDCKPQYFEELRNRRAETGNNATTANSNTSFFSKL -RRLFTSIWTTVKKLGDWMWRHISPVLQPLWKFMKYFLVFFLLIGALWGVYALATGSSIAATYATATTAIG -SAVGGTCAAVGATWTRVVGRAPEVVVAPMPEGVTNYNETLSAASRNPAPRAVSFARHQSNPNSQKINEIL -RIIERNTYFITADASTTWNRMVGIYQNHFIVQKHYYDYWINSGCKTLNIWRSDNSIRITVNIEDVHLSSL -PEKSLAILHIPAMPYVRKITHLFASLKDHTVPRGKCFILEVMPTNTVAIHELAVNYCEQLMINSTAAIPK -QDLTAVYEYAWHGNGRCGSYLIAPHLNHPIIGIHCAGAGNKGYADPVASEMFEEFNGEMFEVGHNHDDTP -HPSFKGSYLPIGRTDQGMAHHESGVSRLTPSKIAGVFPVVSAPAPLRKGDPRVPVEEGEVYSPLWAGVSN -MGILTRDFPKAHRDISMDYQIQRLISIAKPNRDSCGPLSYNDAVCGIPALPHYESINFSTSEGFPWSRFR -PNGCHNKEWLFELETDENGHKVLYSIKKLLWDQINNEIQDRLEGIPVSTIFDDCLKDTRMALDKISKPGK -TRIFSISPVQYTIVFKQYFGDFLSAYTSARLDLGHAIGIAADSSEWGMLVRALTTKGTHFVTGDYKNFGP -GLNTACLRAVCKSILAWYEVYDNSPSKLDNMKIRKALLDELVCARHCAHDFIYSVLAGLPSGCPATAPLN -SLVNELYMLCAWLGIMCGTEYASLNYFYTLTKLITYGDDFIMSVNPKISHIFNGQTIQGYFKTYDIILTS -ADKTSDEMQPFVTDLSKCTFLKRGFKPHPTRPFEFLAPLDLDFSVKDVANWVHKSPDMNLATIVNAEACV -RNAYGHGEAVYNEVRARIIDACCKKDIPILLKTWHEYDAQFFPPS - ->YP_009002581.1 polyprotein [Antheraea pernyi iflavirus] -MSFNMILDSVFSDLIAAEFDSKSNKLRIEYDGRYEHRHAFGLSSQLDDDRLEDEWRFQFNKIVKQRNWLW -YMCKNCKYVELDEYFGTDFTFENMNLRLQIEHELQCKNDTRFKYINRQPKFVNFSVSGLDVEELDLSSDS -EVEIPECVNQGCSQCKVIYRKNKNRVSKDKYLKSIRHLYNEALNPIYKPTVEPIPLDHRDYNMLYSISLK -LRNIIRYLESLREKCIIPSILRRKSSLEEYVMEPLSYQGSGFPTHVKGDSGMDLRKKSNKPQCCAYCPTI -VCMTCFKGEGCCDCRHVKYEGSKCNNQRCYLDVCQQDDPAAYQGGVEQDVGGDTDVVQTFKAHNVVLTET -ERSQHDSTAVSNPRWGSLVSSDTISDMDTLVNRWFRVGTYSWTTSLGRNATIRSINLPRDAIFAGVSTCN -QPNTIPFRIHRYWRGDMIVKIHVNCNKFQIGQLQCSWYYQPKADAAFTFRNNVYTRSGTHHCIISAAPNN -EVELRIPYKAYKSMYHTKTFAGDQKDLPLDLGTLFITVLSPLKTTGETSPRCSFTVFVKFENNEFTGMLA -GDVDVPSQARDDLEYQMDGVGSLLSTAVPLVEKMLVGSGNDNNRDNPPQNNPPNYFVPTASHSWSIGTDI -VEPLHNLRLSGRAQTRHPDSDPDEMRVDVLKRKYMLCDIFSWSQQNMNGNVLWSYPVNPIPPKSRIPVVA -QPDTNVLRSYQITPIGFLSSLFQYWRGSIEYRFDIVASQFHSGKLLLAYIPGIGEGEVVTIDQARASPHI -VISLDNAMTYTWKVPYVADRPWWPRRYAGESVSNNVASPSKIFAFVLNELVMAETVPDSVEILVYMRGGE -DMEFAVPVQPSIGLGYDRNYVSSRNTSDVFPVSTTSEFYVGHWHSAPLVHVLRHAATSEAVGRFSEPILD -RPAYYTLSRNLPNANVGVSITLRSISTFVFLKGIGFSEYISLPIYSNNSLDSRQRLEDIARAAFSNGYTY -GAWVSQFVITQPNTASVNGFGFIPASYTPASNTYGGGKTIPFIATEVNPSIELEDLEFQGNREESLALID -DTQRLRSTNHGMYTYGEKFQDLKDLGRRYQIYGWTTVPKNQIERDPGACSFLFPVLPQGLSLAVNTSTTV -NQIWNRAREGHIPLIASLYRFYRGSLRIRIVVSNAPDLIVWVQHRPDRRLDRDVITPCTQVSTAEAVFNH -TYGVYMQALRVNNVIEIEVPYYQMANYGLLQKPIISEGPTVQDWSRFYSLGELSVGFFGEQPSADVRCTI -YYSMADDCRFTTYQGVPPMVLLDDLPEFQGNMELQFQGFTDYFKSSPKEVGAQIAEGAAETIYPQVQSML -DDFVTSFQSKIGDAYSSVAESLKSIELTTKLASIGSQIIHAINNPSPSTIAISVVSILITLGLITMVSYT -IVSKYVIDIWHWIMNKVSSNKVQVEVGIEATEGELQYHSDTDNAVTGFLSIICGGLCTLFGMKNSIKYKP -ASDCLFKEISNGMRMSNVCFVFFRNLMSVIGDMKAMVVSYVYPGFNAAESLMEGKDIIEKWAEQSLGILD -PIVSQNIKYNRDLHIRLIDCYAFGKILKVKASVTQFPGIIQMVNNIFDKLHKLYVDLIAQGIDPHVRKLP -FVIYNYGAPEIGKSHLTTNICSALCKDQGITTETSLMCVLNATSKFWDDCDRQPCLVMDDAFNIKKGPMF -EDQVAAIFNIVSPVVLVPPKAAVEDKGRPYNPEIFVLNSNTDFQRTEVCEQIALWRRRDILIKSELDPDF -VKPDCIHCQQKLRVDSRLPKEAIVSLKDFHHLRFKYTFDVTNPGCAYLPENRYMKYDELINLLKEIFKKN -REAENYKFAERVARCNEVVNDFPSLVSNVDNLETLWNEAILKRQASVELVKNSTLSSISKHFAAHINEKW -SDCKHGVFKSIYKTLYPGRNIYDLMNPTCGQCIAIKHQCISCQISYEKLLKQSSTEPTVTYHPSPSTSAS -SIEILFSDKKTGPLKYEGCTIEEVEDDEPTPSTSKDVSTPVFDHDIKYVLSESGQRWLKDLNTQYHANVL -RDFKLFLDFHQSGISVALRRYPVYARNGKILKEVCEKFCTCLHNSKSNPPIIYKGKFAFINPTSPDVPDV -IESLVCDMRCWMCLPWIHHRIVDSCLSIRDTLIESWMCCMNDRHLVFDKISFDNIMSKLTKWVWDFYYNQ -MKPAAKAAFTFLTSVQGWLISAVFLTTIFSTVVLGVGTYEACTTAGSGNLGRAMVDMNTMGSGRPPQRAG -IGYQAKSYDASKPKVTKAPKAKVHTPVRATNKAEYQSAQQFEVVQQRLRNNMSSIDVVFTNIEGKEVRVR -NYGLMLRDQQMLIQRHYYDFWRRLDLTAKFYFNNKVVKLDNVDGILLNNFFDLEIDWFMTPDLEYFDSNF -GILHLPKIVPAFKDLTRFIAKSNEHQYIKFDECYLYSSLSDTNMHCVMNVEHDREVTDSNGWLRLSECYS -YKYSTVGLCGSALLCSTLERPIIGIHFAGTKTFGFAEPISYESFNDLKVTHYEYQLCDLRLDGKEAAIEF -DTLLYPQGTVPEAYKHHQGSVSQYIPSLIHGAYEVDTEPNPLSPKDTRLPPGNPPLKRGVEHMGRPPLDF -PNKLLDPAADDLNDVILRNVKPIRNGVGKLSLQDAICGNVNVKGFEPLEWSSSEGFPLKSLRPPHVKGKK -WLFDLEETSNGYVLKGMHGELKRQLIVCEQLRRQGIRCATLFVDCLKDTCIDIKKCSIPGKTRIFSISPV -QYTIAFKQYFGDFIASYQEARLSAEHGIGINVDSLEWSQVANYITTYGDNIIAGDYKNFGPSLMLKCVEK -AFDIIMNWYERYDNDEERQLIRRVLLSEILHAQHLCLNVVYGVPCGIPSGSPITTPLNSLVNSLYLRCGW -KSITNQNFSTMHENVRILTYGDDVCINVSDMYKDIYNTETLSLFFKEYNIVFTDIDKSDVIIKYRNLNNV -SFLKRSFILHPNSKFIFLAPIELQSIRKCVNWITRKGDPLANTLENCKQACELAFGHGPQYYGEVREFLE -KECMKRTGKCFIAPRWYEKSEICYNI - ->YP_008888537.1 polyprotein [Formica exsecta virus 2] -MEFVVVYNAETQSAAIIKPTYEERINLMLADEVPTLNDLHDFYLQQQNTLRHHVRVAEREDADFSELIDY -CRDVGLECNYDRTKHPRIASSLYKKLRQLIHVYYCLRSGKDSCLRIFPEDYLFSSHVFSFRDMKRKRLVY -LLYGHRSMFNVVHCVPETTIETVHGPGDETQDQASNVILASQREDPVIAVAAPSIRWSQYSSNDVVDSYS -TVTDRWFNIGTTEWTTNHKPDDVVFQIKDGKVKRAPILPYDAVWNADTEVCDMPNLIPFKVHCYWRGDME -VKVQVNSNKYQIGQLQVSFYHQADLVKGMLDKCNYWTLSQMKHAVVSASASNTVTLYIPYKHAYPFIPCR -AVPEISSIRGIMNMGRLYIKVLNRLATVTNGPDKCTITVAIRFTNSEFSGTCCGAYFAKAEMDFVTMAGA -MKLLDTVMPDRNRDLPPLNVPPTYVVPTASHSWAHGSGQAHPVHSLRLDSRGQTHHPPACDSDVSITISE -SSAVYALVDQLSWSTQDASGKQLAEYPATPFLCSLIEENGFKSKYAVPPCGVIASMFKQWRGSLEFKFEI -VASQFHTGRIMIGYVPGYVESTIMKKKPMSMNRLRASPYIVFDLQEERCFTFEVPYVSYKPWWPRQLGTD -FATTKQLAPSSVFMYVLNPLVIMDSIPEKVYINVYLRSGKSFECSVPVQPSFGLTWHDKFAFNKDEQLLA -IKGYAPYYCGNWHELGDSKVLVMRWGTLSDQIAQFNTPHVEALGPDIKAIFYTYEDLQSAPRFKKDTGDY -PVQYVVVAWHSSGYNIGIPCSSPQRARTLAYNIYALKLALNDQKCVDQMMHQTDTSSNTYSKGNPALLVQ -YVRTAETAEGFEFINTIPEGEEVDDTTKLDLTRTLARSNHGQYFFGENFNDLKNYMRRYQFAGQITIDEN -IDRDVSKCAFFFPALPQGLELDVGVDGDVNELSNRCREGYIPLISSAYRYYRGSLRYRLVLPREIDMLVW -IQHRPDRVYTRRKVQLCTSVETSQSVYNHGYGSYIQFAKVNNVVEFEIPFYNDSCYNWLQNPVDNLDNGH -KLAITLGEVAVGLQLSHDDLAKIKGKTISIYYALADDMTFTHWVGFPLLYMLEKIPERKPRNVDAVKSAA -RVVRAIPETVYCVPEGLGDFCANKIKNLARETVDEIKEETTEDIKKNVGDMVSDVVETIKKKHLVADPVS -LSMVVSQLGHIALHPTKSTVAWSLASVLIAMGLFAFSSVKLVYDAILAFGKKWLPSEQPNTTQSNNACSD -VVKCQAQAPTLSEYDEETTQWLGILWMGVTSVLGVATRKPTNTQEWHKLATQDLGNGLRSSNAFMTFIRN -TFGVLKKMFNYILGRTDATYALCQNLESHPEVFKAWAREVCFLTDPLSKRKYVGNSTYSARVYEATSFGQ -LLISDIAGELRTEKNTAIAMKLYDKIALLREELIVIGNNPYVRKLPFTIYVYGQPGIGKSHLSTAICAKL -LASMKIPIGTAGMKCTINPQSDFWDQCEHQPVLEIDDIFAVSTPLALEKQLCTLFQVCSPVVLSPPKASL -EDKKMRYNPEIFYLNSNKSFPKYNNVNDEALWRRRDVLIEAKLNDKPGLRKGCPHCDPDAIKLRKGGTLN -LEDIDPRYLDDYHHLEFRFHSNPRDINAEWGDWLSFKDFEKILVKKFKQNREREHYNFTNRVRLCESIST -GFSVLDGTDITEAYERVKQARLESYQKMAESTLANDARKLWDAMRLKGKDSVTESFTKLIRDPLYMFRHV -PKSSFQLDVVQQAEKDLVNAPSTSALPETIEDDNYKFNIPDDNDLSMVPFSLAESIMCSAEAGEVHHPTY -KQEGVNLLLNGEFISELSGNKIREVAHNITDNGMCFTDWVKTHLALLEYNKKHKLKRCKDMYDIIQLVLS -AKDNPTYCAHEGVNLSKLDFLDKKLRTIENDYDWQQDCAGRCIFDSPFLKYLWYHAWLKINPTIALKVRC -KKKDYVPTYFISNDHMSLFDVNGWFDGLLLRMRIFWEDHAKPLFKTLYNWLTRYIPEILIAITSMAALFI -PGMMMYNVVKRPHVLVTPDPELQGKIKPIVDAHYHGKPDVIAPVSNRVQWTEAENLRYDYQTPIKNPPKH -IPVAFAKPQSNLPQIEVVTKLIHRNTFFLHCYIDDRHIVAMRGVVMANREALVLRHYIEQIKGLQERYKE -HLKITFTWHQQQYERMNCKELEIDFLNCRIIYYGSTDLSGCAMSNLCIVELPARIPESKSLKKFVCAEAS -HCRAGTTGRLIEPGTHNILQLKIDYNSKMPYVIEPYGDTKQVNMLNVYTYDYHAQGACGSILLADNLEQP -IVGIHVAGTVGKGCGRGVAEPLSAEMMGCMPKQRLAWHHDITQMPAESYNEGEFDLDTVVYPHGKVLPVL -AQAQSHQTRIVPSLIHGVFPVRTAPNPLSPSDPRLPKGSHPLHDGCRKHGLLTKDFPTNIVEEAYIHLRD -KLLTNVIPITQMVGKQSMQIAVCGDPNVSEFDALNFKSSPGFPLNTEYAKKQFGAEKVSGKKWLFDLTEC -EEGYKLNGLHAELSRLINQTHQMRKRGIKPFTIFNDCLKDTTMPIEKNSIPGKTRIFSISPIQYTIPFKQ -YFGDFMGAYRRANISAEHGIGIDCNGHDWTRLAMFLSKFGGDIVTGDYKNFGPGLNLEVVKVALQIILDW -YEKYENDYGKGSNTETKEERSLIRTIILSELIGAIHMYGNNIYRVGAGIPSGSPITDILNSIVNCLYIRI -IFKIVTGLDISQFDYYVRLVTYGDDLIMNVNPEVLDKFNAITIGEAFKKFDITFTDQDKDGVMAKSRTID -TATFLKHGFARHPTRIMQLLAPLDKISIEGAANWISSLNDPIEATKQNAIQCCELAYGWGPAYYNEIRNK -LVNSLQAKQIDVALPLWINLDKRIWDDIPIISTQNCDLDN - ->YP_008130310.1 polyprotein [Nilaparvata lugens honeydew virus-3] -MASIVFPEYDSAISFRATKRSNVVTVSQCDFDERVSAIRRTMRKRRTLATVKPSVGRKSEAILPFYQWKT -FFCKLPSYKFNNYSCECLCDFFMCEYRVPARVARRMYDSIVVERMRRVNVADRKRKARMLEEHVKNNSLH -AFLYDKRVFVAYVCEQYSVTENAARRIFNSIAQSRVNAFSLLRDYDGRDVPSCVDEGPSPVFVRRQKKDL -RMRSDECQGSDVGIERDEDRVPFVRDTFLAGVLRSKIVLMRIARLPARDRCWMMEKRETFLRNILQQLSD -PAVINDFLKEDLNDGDLVHVEMDVAAHGNTEGQLNVASNVVIEEESDELVIRESSYYQDWTDACTNDVIV -TAPNLTDRWFPLVNFQWHKNQDDVIQRLHLPHDIIVSADSKDLPNIIPFKISSFSHFDSMEIKFLLNSNK -FQTGCLGAAFVYQTDPDLFSELRANKYSLSQVSHVKMYPATMNEASLVVPYRNSLSMLYNQPNVRVEKPL -ELGTLYMFVINPLAVGTNVSSVVNINVEVKFNNLKFTGTRPADWPQVEMETIGTLIAANAAMTLLNTVMP -DPNRDNPPLNAESTYVVPTASHSWSAGTGRVEPTKILRLDTRGQTPHPIGSEDAFTVSNLASKSGLLTIL -DVNITTAHGTLMYHVPVEPMFGSTASHEDSNYEIRRFYRYPPVSVISSCFNLWRGSFIFSLDIVASQFQT -CKLICAYIPNVPAGKTPTLKDIRAAHNWIISVEGPKSVELEIPYIANQPWWPRSYTGNFSSVAAAAPSKF -YIFMLNPPLLQNSSADKFYINIYVRAGPSFEVAIPVQPALGLSWNKYFKSLSSTLYPINPIAPHTHRSYI -VAYYAKSQEYIGQGLPILGIIDLNNKNKLIAPISYPMNQYFKYNPNNSRAGIPIVGRRVNIAVISDVTNS -FSYSWKNGNKTEWLPTVYYGQLLLTHSADGKLTHWVFVPCPDRPSAYKMGDKIQTNNDLKYESLKPFMLF -PNYPSGTSTDNYTFLNTKDLVDNDLRLDFKLSVESNRLESVVVEAGDREGYPAQTISNVKLLRPTNFGML -NFGENFNDLLNLCRRYQQYGIIKMTKSMLSDVDKCSFFFTANPIGLMDKADNSPYNDRDLFTRCREGPIP -LLLSGYRYFRGGLRFRLVFPACKDTLIWIQHRSDRPSNPMTLQACTTVESAQALMNHGYATEIQLANINS -IVEFEVPFYQYDAYGLLQVPPYPSSAGVAYDYQFGLGQVMVGIRCSKEDSALFTDRYCEIFYSLADDFSP -SLFYGFPPTIMLDELPAPINPDSLQLPMLPALSISDTPASSSDGESNSSFEAVESPHVESDVEGVPAPSS -EKKKSFFDIVNPFKKANNFVADKVTSAVQESITTQCAPLVQQAKEEMAKTLKGFSMSNGFKENILLLFTN -IAHSIASMSFQSIAISIISMFIGFGMIAYNYIDKGITIVKNIVTRLFSNFSTAKPDPAPPTSATSSTATG -STTTSVPSTSAAATTSSSASTSTTNTSQPSPDATQHTNSSERKGVHVQAPDDNDSLIASWLSLLFGGIST -LFNYKNNSPFESGRATSNFFKDFSFSMRGAQGLYVFVKNSMQAIKHFFNYICTRCDPHYRVLVNLSEDKN -IISKWLKEVIFLTDPSSFTDNFMENDYVDRVYIAYDYGQLYISQLSGESITPSLFNQINKLFFKLQEVKS -KLLNMGKHPHIRKEPFGVYVYGAAGIGKSQLREELCVEMLKSDNFKFKNTNVFCVVDGGEKFWDHCEHQP -VLVFDDLWNIQEGERFFNQIGMMYRVFSDVVLIPPKADLADKGMRYNPEIVWISSNYTHINANNINTEAL -NRRRNFCIEALASTDEDGIVEGCPHCANRSIKLDTIPAKWLKDYHHVRFYVHTLTADRKFVPTEHTRGER -IDFNQLKEMLCEAFKLNRRNEAQKFETKYQRLLHVVNSTNPKDAPSAFFLTENDLQNKTFAQQLDAYRQA -QHKILEQMKKDYDKSFVAWCERFWCDITSVDFKKFVPAKMVNVYEEYKEKLEEARKQGLKDSASGLSLLD -ENADLDEVGCEAPDTLAFEATFDFSVAPSSDNVKKLDIKSFKTYLGSPDSNNFFKTKWFCFLSGEQQIEC -LDWAFQKFNTRRKILDLKLDCNDNVQCFFYIILSTRMNGHNWKKELSTFIGKYYRLDMFFLNWVMLMYKE -NGFGGEVDISSFASFLNTIGTGKCLHDPRYIQHVLLKNRKFVYSPLSSAYPMRLEECDMTSVVCPCLSET -LRRYYLLRWYLYNPKVFKEYNMNNANAVPIGFESDETFVISEKWYESAMRYYKNFWAKTLSPAISKVYHF -IVKHVPIILMLFSLLCTTVFVGTSVYDARVYYKSQGADSPLWVSGVAEGPYSHTQITGRPTSVHHPAVQS -SQQSEAVIKIIRNNTFYLSICDNTTMRIYRYRCLGIHGHFALMLRHYVDNIKDKIRCVGTTNLTIAVEYN -HNCVLTGSRTIQLDNDAFLASCKFFKHKAPEFYSEDQFNAHQSNFVVFKVPSQCLSFKSLLKFFPSQAEV -NQASTTLRLVNEKDNMELYGKLKSHKVGGLYIPPVHKENAYNDAVTMASYWEYGVHGRGMCGSVLVSNNL -QNPIVGMHVAGCDNAIKGYSELIVKEMFDFIVREKRPEKRQVFKLEDVSYDDIEFESAIYVHGKVSKQEA -NIISRKSRITPTLLHGEFPVTTAPNPLSASDPRLPEPIDPLISGVNKHGLFTLDFDEKLLDRCKEHLSNH -LISVVKPILSVPRFLTLDESVCGSPVLPHVDPLNWQTSAGFPLKQYKPSHESGKKWLFNIKSENNQNYVE -SLHPKLESLLSLQRSMRNRNYQPITVFSDCLKDTCIPIEKCSIPGKTRIFSISPIQYTIAFKEAFGLYMA -SYRNTRFNAFHAIGVNPVSPEWASLKEYLCEVGTKFVTGDYSNFGPGLNLGVASAAMDIIIDWNKYYISD -LTHEQLNTMICLKHELIDRYHLCNNLVYTPGAGLPSGSPATDILNSMVNNLYLMYAWLKLTNHSLLAFDE -NVRMVVYGDDVIMCVSDKYIPIFNSSTISELFKSYNIKFTDQSKTGEIVPFRSLDTCTFLKSYFVPHPFR -PPFYLAKIELDSVHSCLNWNKGTLSTRESTATNAKQSLELLYCHGPEVYDQYRKKILALLSIHNLTVVLP -TWRELDSRIFDDGYNIYQNSFLKTI - ->YP_008130309.1 polyprotein [Nilaparvata lugens honeydew virus-2] -MNSYNKESLLVVPENSPLPYNVAIADSFYVPEPYWARVPKLPVDYQVDFPVDNRPSQVVKRVRSSFDERI -RIIKHKLELQAHVLNRTPPKGSAYFDPKNLPWADRFRHWALKTYKYKSNLYKSDFARMFRNRNIIITTLL -ELQTAERRHDARRNAQLRAKRDYKAWCARMRKSYVLLAPEDSDEDVPSYPDEGPSPPPPPPRKKSVDEDW -YDPQGFNHGPIDNRPLRRKWWKKEWQNIAKMLSAKKSGDDYYRPELYVHTTEPLMEKWASLRYALKPLDT -PPPENKNSSSMVQADTARTFEVEAECSEKGNTIFIEQSGKSVGTTSASVSRKMQSIIPDQARFSSLSDRE -IVLETFKWKNESANTKLKEYSLPAALIKLRKQNPTAVPFQIHTFATCDFIIRVRTNANPFQIGQLQCSWL -YDPEADVNLARRLNIWSLSQTNHCLVNAGSSNIGEIHVQYINPYTTLPAFKSEMSDNSFNLGKFYIFVLN -QLTCPDAISKECSVTVSIELINATYMGSRDMGLGDFTYPQSGIGAAIAVAAAEKLLESTNTPNNDKPPYQ -GSRMAMVPQSNQSFCLGTNLTEPINSLRLDASGQHKSVINGPNEMTVSHISNIYGLINTEIWNTDHHTGQ -RLLSYDASPLFNKSFYFRQTAGTDETYVLPPVAVLSSLYAYWSGELRMRVDFVASRFHTGRLLICYVPLY -LQDITLDQAYSYPYQMFDLREGNQSFTFNIPYMSMVPMYPRRSGYTTVNDQYAPPGRVHVFVVNELIAMD -NVSKDVMMNVYWAAGDNFNVFVPAQPSLVTSFFPDNATPTTNVQADEGTYPWYMGYDVEMVDGGVVPAIC -RYSAFPDRITTFRNTKFGHYYTMNKPSNHYATMGDFNPNGGDPLNIKNYYYKDLFFVPIYNIALEDFVRF -KGKVFGVCKGLDQVKQYYADIGTEADPKVKCLQNLLVIQRTATSGYVDGNPVFTGKQVPEADFEVVARVQ -MDERVVGGPDEEVFAACKVYPVAASLNKDLLGEKFGDLKTLCRRYQPYGVTKVTTSETYGDVQCTFPATP -TGLDIDIKNVMSNYPRYVREGPLQVVMSGFRFYSGGMRFAIATSNDQFSLWVQHRPDYEGELKINTISNK -KTASNLINSGYAQYLQSLKVNNIVTFEVPYYKNRNCLYAQRVKKLKRTANTATLGQVVLGIEGKKKDDKL -AMTIFASVADDFQAYVFQGFPPMIYVDDLQVDVTQSITRTQMFSMKHEHTHKVSEQVLDTFDYMEESVKG -TVDSSIAKLDKLIEDKINRLDNAVSTNLKSISGALKDVKPCIENFSSATKGALMDVIGHLGHCIISPTKS -NICWAVVQSLLKYGILTTSNVTEYADKLLSPISRIFRDTPHTTPNELVDAGVQAKDPHDIRLLDKIVKMG -PKTFLESYETMEDAAVDVVSILFSLISGLYGVKKCDYSWFDPRYYGKVMVEGLPTASRNYRFIIVFFQKL -HELIKKIIEAITYYLNPKLMFGKMVVDDLPLLTEWHENVQKLIDPANEQYIMAKDSIWAPSVEEAYVMGL -YIQKCYTSYLDGNSDDILKLKESHVLFSQAFSKLCLIRNDMYKRGNSAVQRREPFCIWMAGTPGIGKSQL -SGRLTYILLKHLGVQWRGPHTYVVQSGVDYWNGIGQEPCILIDDFLAVESGQMKDIALTDFMKINSPSCL -NPNMAAIPDKNIRIAPEIFYINCNRTYPKVAGTDHVALWRRRDILVEAVLAPFITDAGFTMVKGNEDEIE -AMMAPHYMSFANFDHLNFKVHKNILDPNGATTQLLTYNQFLNLIKLEITKYHKRQVDLFKLAKQEIDETY -VGKEMPQSLSALKKEHKKAMEYLQTYGPAPGVTMSEFFQIMKMVSQKKPLTEEQRRKIVELTYGSKKYQE -ELKEGKHKDLPRKAPEPLILVKETPKEIEKKKVKPLDSETAGPSKRPTLTEIIFGAKVEATSGLTEGETA -EYLTSMVEENQELIKKVLKEKQEKIDKASEKPPKPPNTILSEVKVEDQKTRPDPDAEDPDKIEEVQVDPD -PVRVQCPLFPDHDHCPLFETPRFEWGDWIFCNEKRIAEYDIEELSDILKNLLCTVGPVFSKVLHKGAWEV -KYNPKSAVDQKKLRTYILAYLIHNDAYFDVLNKIEHTEKKNMTKTATMEFNYNHKLFEDKIMNTYFKVVV -KVIYKDMPSGVEKVDRMEIEELKCVHNTDILLCKNKACLAPFADSFIDLGCECHMLASDSFWCRDKEAFI -NSSHKPINPWKCTNKDCRWTKAYANKWAQYNRQSIVIGNIPPEMAADGKRDWLHYIKNCASISIDILGRC -ILGAINSPALCLLYLFIYYAVPWLTFLAVSWRVLNWLFPTPGTANMNDLARVPKDVFAEMHSSGDVRAAQ -SRFRAIKPTFRSVTKLQAATVDLNDNTQQVIKKIRRNTYFLKSDSVEEITTFKGFRVFFLYANIIVFQRH -YYEYLQRHEYFLKTNDWYLENEKYKVKIYVDPSKWVPELPKLNQIGYCKVPEAPRHRSLLKNIASASAQD -KSMGYCLIVSVHEDDCLLLPVRPTKTSHVKVSTGLDIPDYQTDVVYSYPWSAPGNCCSLLLNPKLQAPII -GVHFAGNSMEGYSEPISNCMYADWIEQHIEEHERPEIIDANTVPFDGNFIPLGFMHPDFAKRESGISVEM -PSLVADKYFPVTTAPAPLKKNDPRMPPGYSPLYAGVSNMGKPPKSFCQGPIDDYVDALTNKMIAYAEPLV -DCQVRSFEEAIVGLPGIKHFEGVDMQTSEGFPFSRFRPAGIGNKSWLFNIERGEDGFNKVTSIHKDLMEL -MNKQISERASGTKCLTIFEDCLKDSRLPHTKVLIPGKTRIISTSPIQFTIVFKAYFMDFMAAYMQARLKL -DHAIGIVPDGPEWGNLVSKMHFSNGESKNQNFLSGDYKNFGPTLSMQVMEAACTVILNWCKHYYGYETEA -QKKNQRVRAKLLLEIINSVHLCYNSVYMPLCGAPSGSPITVILNELCNEIYMYSAFVYLGDKHYRGNYSF -KSLKPHNHATVDFYDYHVRKCGYGDDIILNISDTCKEYFNHQTISQFFLAHGIGYTTATKDDALGAFSPS -MCSITFLKRGFEPHPKIPGQWLAPLDMDVSVKEVINWMSKKLDPIEATAVNIEACAMNAYGHGPIEHAKI -REICNKALHEIKSPRTLRTWEQLDHIHFFGFHYKLLDNPMIDKILPVTHMDWRFVKVEMPVVIDHQNFDK -QMTTTLAQSVFAKLCKLNKGWDALD - ->YP_003622540.1 polyprotein [Slow bee paralysis virus] -MEHTPLLSSFPITLLDHCGGNRKIHWTRCYEQKYWLPFSCCKVASRLPCVNVRRSYMWYSKKQSKWIYLS -ESDFRACKAGIYKRREEQEKEKLWSELCDICSWECFEYYKFRDQRLLLLLRKKIADKAQCRCRTNCKLVT -IKHGYVRRVKTIEPCEAIELTNAETFGSNLDFAQPEMDRPEGSEERTVQTSNVVLGETNIESQDIASKEY -SPTWDRLASSEVSDEYPMLTDRWLFWKSVKWEVNDSAFGKMLVQEKFPQSWVQMDVNVNNIPRYTNIPNF -IPFNIHQYMRADFEVKIYVNPNDFVSGWLIMAFLYQGSEMFDYKLRRNPAALMQMPHVLVNVGAANEATL -KIPYRYVRPFMRCKDILRGDNLITGVTEPLNMGVLFVEVLIPFRTSAASSAPKSLDVSLFVKMTNAKFTG -MVDGSIALLSKPIALPEMDRILDNGLGVVSKLLKDVNCDNPPDPTPAKFFVPIPSHSWAHGTNTSEPTNT -LRLDGGVVGVGRSDDIGTSDTAISGIIGVYGLLKPFDWNANDTGRNVGGHLLWSMPVHPQVDKDQVIQVM -TQSKLTQYYLPPISVVSSLYAYTRGSIKYKFLFGNNPRHNARLLVAYIPGISSDNRLTLERARNSAHVVF -SLNEVSEFVFTVPYITDTMWWPRKYGGPQAAGEFVAPSYICMFILNPLVAMESVPSIVTIVPMIAAGDDF -EVAVPAQPAVGLSRNIDVIYPKDSIISFKSGYFPVYVGSWHSFFDSTKAILRYGAVSDHIAQLGNIPANV -NRKAFWIVVGDTIKFKTKLDKINGTEWFIPEGEYTLGYGVVWRDGAYAYMVPYPLTPLGEKIAQYTASLL -ASNTAISQIRPYIPDYIVDSAASKDNILWSPIEDRLRAQTEWVMAEPEMERTFTPNVMQPTPLLPTTNDG -RVTFGEAFNDLKDLARRYQLYWEGTILEGNLRAIRRNSALVQLPLYPHGLRIQPDVNNPIWNIMRDGHIP -VISSGFRYFRGGLRLRIVVEGLNSCVWVQHHPDRPSIFSRPIIGRYIAAKDAYRNHAYAAYVQNMSVNRT -IEVEVPFYQPGLYGMLNASDNNTANSFDRLRFTGLGDLLIGIEGEQPIPKEGIEISVYYSIADDFSFNIF -CGFPPMVYCDETYSAATPDLAQYFEDEVTIAQPEMMMSMCQGFVGSLIGHHLQRATTYGIESAKESIRDV -VKDEFKTQIKPELDNLNKVIGEAANSIGASFGDILPQQLIINAMGQLMQVFSNPSPVALAIAIVTFIGSI -VTLSMELVSTLSDSLRIFLEKVWYRYFHQATEQQAAGASAMPEGFWDEADDKSLHGILGMIFSAICVSLG -LSMAPPKQFPSVMKGVKESLNTANASVTFFRNVVDAIKYMYTYCMGASDEEMRARIIIEREYPNLKHWCE -EVIQLLDPRSRNIVEHDARQASRVFDACIYGAQILQENLDKSMPGGKVIYDLYTRIVKLRDDLIELGNHP -DVRFEAFPVWIVGSAGIGKSYNTTELCKRALQSINYRTKESMIYWLALGQKYWNGIRNPPVVARDEAYAV -SGQFTEEEISVHLAMCSSCILNPPMAALSEKNKRINPLIYYMNANCAFPSIPEARHIGAIYRRRKILAEF -DFTEEIKRTYPNVLDASELPPNARINNNHLRIRVAHDPKNVNTTWSEWMSFDEFSNHFCRKFQEHMEAER -VNFRRRMDAAYALDPDYVPGSNLNYVGDYELPLQTLHERYIYERELAREYLANIENPVNEIEEDGGFWSN -VKRLYENLTTGAADTEMDVPGPSTPSEPPSKRVLRQFLVDHCKFKEDAAALLTRGLGVCSEQDVRTFALP -PEYQFMKDREFVSAASIYCDTMSCLPNSVCCSYRRIGSAMNPRSKIGSNWFVLPKNYEDLGGKDAIRSYC -YWWIRCYQLRSFKKILKKENYVEWADRMLHLIGCEPVNNYEVELRRQLWKIRGASESEIIDMLKELDAEA -RAHNMSDLMAGKELPRITLMWLLTDLTDNDTSVFCDHCKVFATYMRDLSVLEYVPRYGIIRYPGNFGCMK -TIPAECQCEDSIFNNVLFKNAMRILWDHDHVGPEFTEFQDSQTNPFVMQEHNNLRNETRSLLSRIWDWAK -DWWKTTVVPFVGAILTFLYEHWAKILSIILGCVVLYATFTSAKSPTDACMAAAKVSVPVMAGAVGTWSSP -EGSVYQAGERVFKASNAPKPANRESVSDQMHITEQKVINNTCFIICKWQDGKDTKFLRARCLAIKGRDII -VIKHYLQEFKSRPNPTYMFSYKINNSMANTYIDSSIIDNAYIYKINNSSAFSNIALIKLPKHVPMFKDIS -KSIVTQGDHANVGHFCSIVSQQYDEHPVVRSQVPVTWKQHLVIAGDRHVEQIIMDKCYEYNVRGFGMCGS -ALVSPGVCCGNGGVIGLHVAGEKGSGFSEPIFREMFEPVIEKSDPVVSLPNLRSVSESNVQLDHNLILYG -CVDEKMSHKESGKSKIIPSLVHGEIYPVATEPNPLRPGDPRQPPGSHPLRDGCAKHGLGMVHPFPQEDLE -QVNNDARNVLLNEVKNPLCEMRLLTLQEQVCGSTSIPHCESVNWNSSEGFPLCNRRPAGVTGKKWLFDMD -ETAEGYVLKNIDPQLALMLKTNKDLRNRNIVCPPIYIDCLKDYRLPPEKCCIPGKTRIFSIAPIQTTLEI -REYMGLFLSGYKSATVMGQHGIGINPDSYDWTRLANYLHEVGDNIVTGDYANFGPCVSSQIVYSCIDDII -YWHKINGATEDHCRHLELLLKYSILLPLHLCDNCVYQSLNGIASGSPITAELNSEVGKKYIKLAFLGICR -QLNYKYSLNDFNKHCRVVVYGDDLILSVSDAFVSWFNLQSISEYLDNYGIRLTDVTKDGTIVKYRPLADS -SFLKRSFKPHPSRSGIYLAPIEPRSYQECTNWCHKQNDEIEATVEVLRASCVLAYGRGPDEYNNHVNKIR -RVCALKGLRFDPLTWAALDKENFG - ->NP_853560.2 polyprotein [Deformed wing virus] -MAFSCGTLSYSAVAQAPSVAYAPRTWEVDEARRRRVIKRLALEQERIRNVLDVAVYDQATWEQEDARDNE -FLTEQLNNLYTIYSIAERCTRRPIKEXSPISVSNRFAPLESLKVEVGQEAXECXFKKPKYTRXCKKVKRV -ATRFVREKVVRPMCSRSPMLLFKLKKIIYDLHLYRLRKQIRMLRRQKQRDYELECVTNLLQLSNPVQAKP -EMDNPNPGPDGEGEVELEKDSNVVLTTQRDPSTSIPAPVSVKWSRWTSNDVVDDYATITSRWYQIAEFVW -SKDDPFDKELARLILPRALLSSIEANSDAICDVPNTIPFKVHAYWRGDMEVRVQINSNKFQVGQLQATWY -YSDHENLNISSKRSVYGFSQMDHALISASASNEAKLVIPYKHVYPFLPTRIVPDWTTGILDMGALNIRVI -APLRMSATGPTTCNVVVFIKLNNSEFTGTSSGKFYASQIRAKPEMDRILNLAEGLLNNTIGGNNMDNPSY -QQSPRHFVPTGMHSLALGTNLVEPLHALRLDAAGTTQHPVGCAPDEDMTVSSIASRYGLIRRVQWKKDHA -KGSLLLQLDADPFVEQRIEGTNPISLYWFAPVGVVSSMFMQWRGSLEYRFDIIASQFHTGRLIVGYVPGL -TASLQLQMDYMKLKSSSYVVFDLQESNSFTFEVPYVSYRPWWVRKYGGNYLPSSTDAPSTLFMYVQVPLI -PMEAVSDTIDINVYVRGGSSFEVCVPVQPSLGLNWNTDFILRNDEEYRAKTGYAPYYAGVWHSFNNSNSL -VFRWGSXSDQIAQWPTISVPRGELAFLRIKDGKQAAVGTQPWRTMVVWPSGHGYNIGIPTYNAERARQLA -QHLYGGGSLTDEKAKQLFVPANQQGPGKVSNGNPVWEVMRAPLATQRAHIQDFEFIEAIPEGEESRNTTV -LDTTTTLQSSGFGRAFFGEAFNDLKTLMRRYQLYGQLLLSVTTDKDIDHCMFTFPCLPQGLALDIGSAGS -PHEIFNRCRDGIIPLIASGYRFYRGDLRYKIVFPSNVNSNIWVQHRPDRRLEGWSAAKIVNCDAVSTGQG -VYNHGYASHIQITRVNNVIELEVPFYNATCYNYLQAFNASSAASSYAVSLGEISVGFQATSDDIASIVNK -PVTIYYSIGDGMQFSQWVGYQPMMILDQLPAPVVRAVPEGPIAKIKNFFHQTADEVREAQAAKMREDMGM -VVQDVIGELSQAIPDLQQPEVQANVFSLVSQLVHAIIGTSLKTVAWAIVSIFVTLGLIGREMMHSVITVV -KRLLEKYHLATQPQESASSSTVISAVPEAPNAEAEEASAWVSIIYNGVCNMLNVAAQKPKQFKDWVKLAT -VDFSNNCRGSNQVFVFFKNTFEVLKKMWGYVFCQSNPAARLLKAVNDEPEILKAWVKECLYLDDPKFRMR -RAHDQEYIERVFAAHSYGQILLHDLTAEMNQSRNLSVFTRVYDQISKLKTDLMEMGSNPYIRRECFTICM -CGASGIGKSYLTDSLCSELLRASRTPVTTGIKCVVNPLSDYWDQCDFQPVLCVDDMWSVETSTTLDKQLN -MLFQVHSPIVLSPPKADLEGKKMRYNPEIFIYNTNKPFPRFDRIAMEAIYRRRNVLIECKASEEKKRGCK -HCENDIPIAECSPKMLKDFHHIKFRYAHDVCNSETTWSEWMTYNEFLEWITPVYMANRRKANESFKMRVD -EMQMLRMDEPLEGDNILNKYVEVNQRLVEEMKAFKERTLWSDLHRVGAEISASVKKALPTISITEKLPHW -TVQCGIAKPEMDHAYEVMSSYAAGMNAEIEAHEQVRRSSVECQFAEPQAXRNPDDEGPTIDEELMGDTEF -TSQALERLVDEGYITGKQKKYIAMWCSKRREHTADFDLVWTDNLRVLSAYVHERSSSTRLSTDDVKLYKT -ISMLHQKYDTTECAKCQHWYAPLTDIYVDDKKLFWCQKEKKTLIDVRKLSKEDVTVQSKLXNLSVPCGEV -CMLHSKYFNYLFHKAWLFENPTWRLIYNGTKKGMPEYFMNCVDEISLDSKFGKVKVWLQAIIDKYLTRPV -KMIRDFLFKWWPQVAYVLSLLGIIGITAYEMRNPKPTSEELADHYVNRHCSSDFWSPGLASPQGLKYSEA -VTVKAPRIHRLPVTTKPQGSTQQVDAAVNKILQNMVYIGVVFPKVPGSKWRDINFRCLMLHNRQCLMLRH -YIESTAAFPEGTKYYFKYIHNQETRMSGDISGIEIDLLNLPRLYYGGLAGEESFDSNIVLVTMPNRIPEC -KSIIKFIASHNEHIRAQNDGVLVTGDHTQLLAFENNNKTPISINADGLYEVILQGVYTYPYHGDGVCGSI -LLSRNLQRPIIGIHVAGTEGLHGFGVAEPLVHEMFTGKAIESEREPYDRVYELPLRELDESDIGLDTDLY -PIGRVDAKLAHAQSPSTGIKKTLIHGTFDVRTEPNPMSSRDPRIAPHDPLKLGCEKHGMPCSPFNRKHLE -LATNHLKEKLVSVVKPINGCKIRSLQDAXCGVPGLDGFDSISWNTSAGFPLSSLKPPGTSGKRWLFDIEL -QDSGCYLLRGMRPELEIQLSTTQLMRKKGIKPHTIFTDCLKDTCLPVEKCRIPGKTRIFSISPVQFTIPF -RQYYLDFMASYRAARLNAEHGIGIDVNSLEWTNLATRLSKXGTHIVTGDYKNFGPGLDSDVAASAFEIII -DWVLHYTEEDNKDEMKRVMWTMAQEILAPSHLYRDLVYRVPCGIPSGSPITDILNTISNCLLIRLAWLGI -TDLPLSEFSQNVVLVCYGDDLIMNVSDNMIDKFNAVTIGKFFSQYKMEFTDQDKSGNTVKWRTLQTATFL -KHGFLKHPTRPVFLANLDKVSVEGTTNWTHARGLGRRTATIENAKQALELAFGWGPEYFNYVRNTIKMAF -DKLGIYEDLITWEEMDVRCYASA - ->AEM63700.1 polyprotein [Varroa destructor virus 1] -MAFSCGTLSYAAVAQAPSVAHAPRSWEIDEARRRRVIKRLALEQERIRNVLDVDVYAQATWEQEDARDNE -FLMEQLNNLYTIYSIAERCTRRPIKEYSPISVSNRFAPLEFLKVEVGQEASECIFKKPKYTRVCKKVKRV -ATRFVREKVVRPVCNRSPMLLFKIKKVTYDLHLYRLRKQVRLLRREKQREYELECVTSLLQLSNPVSAKP -EMDNPNPGPDGEGEVELEKDSNVVLTTQRDPSTSIPAPTSVKWSRWTSNDVVDDYATITSRWYQIAEFVW -SKDDPFDKELARLILPRALLSSIEANSDAICDVPNTIPFKVHAYWRGDMEVRVQINSNKFQVGQLQATWY -YSDHENLNIQTKRSVYGFSHMDHALISASASNEAKLVIPFKHVYPFLPTRVVPDWTTGILDMGTLNIRVI -APLRMSATGPTTCNVVVFIKLNNSEFTGTSSGKFYANQIRAKPEMDRVLNLAEGLLNNTVGGCNMDNPSY -QQSPRHFVPTGMHSLALGTNLVEPLHALRLDASGTTQHPVGCAPDEDMTVSSIASRYGLIRQVQWKKDHA -KGSLLLQLDADPFVEQKIEGTNPISLYWFAPVGVVSSMFMQWRGSLEYRFDIIASQFHTGRLIVGYVPGL -TASLQRQMDYMKLKSSSYVVFDLQESNSFTFEVPYVSYRPWWVRKYGGNYLPSSTDAPSTLFMYVQVPLI -PMEAVSDTIDINVYVRGGSSFEVCVPVQPSLGLNWNTDFILRNDEEYRAKNGYAPYYAGVWHSFNNSNSL -VFRWGSASDQIAQWPTITVPRGELAFLRIRDAKQAAVGTQPWRTMVVWPSGHGYNIGIPTYNAERARQLA -QHLYGGGSLTDEKAKQLFVPANQQGPGKVSNGNPVWEVMRAPLATQQAHIQDFEFVEAIPEGEESRNTTV -LDTTTTLQSSGFGRAFFGEAFNDLKTLMRRYQLYGQLLLSVTTDKDIDHCMFTFPCLPQGLALDIGSAGS -PHEIFNRCRDGIIPLIASGYRFYRGDLRFKIVFPSNVNSNIWVQHRPDRRLKGWSEAKIVNCDAVSTGQG -VYNHGYASHIQITRVNNVIELEVPFYNATCYNYLQAFNPSSAASSYAVSLGEISVGFQATSDDIAAIVNK -PVTIYYSIGDGMQFSQWVGYQPMMILDQLPAPVVRAVPEGPIAKIKNFFHQTADEVREAQAAKMREDMGI -VVQDVIGELSQAIPDLQQPEVQANVFSLVSQLVHAIIGTSLKTVAWAIVSIFVTLGLIGREMMHSVITVV -KRLLEKYHLATQPQESANSGTVISAVPEAPNAEAEEASAWVSIIYNGVCNMLNVAAQKPKQFKDWVKLAT -VDFSNSCRGSNQVFVFFKNTFEVLKKMWGYVFCQSNPAARLLKAVNDEPEILKAWVKECLYLDDPKFRMR -RAHDQEYIERVFAAHSYGQILLHDLTAEMNQSRNLSVFTRVYDQISKLKTDLMEMGSNPYIRRECFTICM -CGASGIGKSYLTDSLCSELLRASRTPVTTGIKCVVNPLSDYWDQCDFQPVLCVDDMWSVETSTTLDKQLN -MLFQVHSPIVLSPPKADLEGKKMRYNPEIFIYNTNKPFPRFDRIAMEAIYRRRNVLIECKANEEKKRGCK -HCENNIPIAECSPKILKDFHHIKFRYAHDVCNSETTWSEWMSYNEFLEWITPVYMANRRKANESFKMRVD -EMQMLRMDEPLEGDNILNKYVEVNQRLVEEMKAFKERTLWADLQRVGSEISTSVKKALPTISITEKLPHW -TIQCGIAKPEMDHAYEVMSSYAAGMNAEIEAHEQVRRSSLECQYIEPSTSRPLDEEGPTIDEELLGEVEF -TSSALERLVDEGYITGKQKKYMATWCTKRREHVSDFDLVWTDNLRVLSAYVHERSTSTRLSTDDVKLFKT -ISMLHQRYDTTDCAKCQHWYAPLTAIYVDDRKLFWCQKETKTLIDVRKLSKEDVTVQSKLINLSVPCGDV -CVLHSKYFNYLFHKAWLFENPTWRLIYNGTKKGMPEYFMNCVDEISLDSKFCKVKVWLQAIIDKYLTRPV -KMIRDFLFKWWPQVAYVLSLLGIIGITAYEMRNPKSTAEDLAEHYVNRHCSSDFWSPGMATPQGLKYSEA -ITAKVPRIHRLPVTTRPQGSTQQVDAAVNKILQNMVYIGVVFPKVPGSKWRDINFRCLMLHNRQCLMLRH -YIESTAAFPEGTKYYFKYIHNQETRMSGDISGIEIDLLSLPRLYYGGLAGEESFDSNIVLVTMPNRIPEC -KSIVKFIASHAEHARAQNDGVLVTGEHTQLLAFENNNKTPISINADGLYEVILQGVHTYPYHGDGVCGSI -LLSRNLQRPIIGIHVAGTEGLHGFGVAEPLVHEMFTGKAIESEREPYDRVYELPLRELDESDIGLDTDLY -PIGRVDAKLAHAQSPSTGIKKTLIHGTFDVRTEPNPMSSRDPRIAPHDPLKLGCEKHGMPCSPFNRKHLE -LATTHLKEKLISVVKPINGCKIRSLQDAVCGVPGLDGFDSISWNTSAGFPLSSLKPPGSSGKRWLFDIEL -QDSGCYLLRGMRPELEILLTTTQLMRKKGIKPHTIFTDCLKDTCLPVEKCRIPGKTRIFSISPVQFTIPF -RQYYLDFMASYRAARLNAEHGIGIDVNSLEWTNLATSLSKYGTHIVTGDYKNFGPGLDSDVAASAFEIII -DWVLNYTEEDDKDEMKRVMWTMAQEILAPSHLCRDLVYRVPCGIPSGSPITDILNXXXXXXXXXXXXXXX -XXXXXXXFSRHVVLVCYGDDLIMNVSDEMIDRFNAVTIGDFFSRYKMEFTDQDKSGNTVRWRTLQTATFL -KHGFLKHPTRPVFLANLDKVSIEGTTNWTHARGLGRRVATIENAKQALELAFGWGPEYFNHVRNTIKMAF -DKLGIYEDLITWEEMDVRCYASA ->AMO00337.1 RNA-dependent RNA polymerase, partial [Antheraea mylitta iflavirus] -LKGMHGELKRQLVVCEALRKKGMRCAVLFTDCLKDTCIDVKKCSIPGKTRIFSISPVQYTIAFKQYFGDF -MASYQEARLDAEHGIGINVDSLEWTQVANYITYYGNNVVAGDYKNFGPSLMLKCVEKAFDIIMKWYERYD -NDPERQLILRVLLSEILHAQHLCLNVVYGVPCGIPSGSPITTPLNSLVNSLYLRCGWKAITGQNFSTMHD -NVKILTYGDDVCINVSDVYKDIYNTESLSLFFKDYNIVFTDIDKSDNIVKYRTLDNVSFLKRSFKLHPNS -KCIFLAPIETQSIRKCVNWITRKGDPLANTLENCKQACELAFGHGPEYYSEVREFLQTECLKRTGKTFSA -PRWYEKSDICYSI ->AOY34458.1 polyprotein, partial [Rolda virus] -MLILVSEQTLQRFQCVAMNSLTSQSTNNKNNLQLTINRFGLHYEDCAWYNENARCGPDTCPCHKRMASLD -TSDCTDWADKFNTEVINAHIPYSFDWIPHGPKEHTMWSGRLTVKTQKWTSSICYSKKQARKELCYLYFNG -VPNSPPPRNVDKDEDDSSDSDDDITVTIAQPQMMSFLPRPNNSLVHNTNNSLAHNTRPSTVSTLPSFGDI -GHVLGGGGQGRPTGKPRPQPSRPMPLPAILPPTAPALGDGDLSIPQVDTGVVAPGDDAVAGDIGTSGEMV -EVVEHASQKVLLVDQRAEDLGGTNNVSIPIPDDMCAFGDKASVFPHLTDRWFNFGTIDWSITQKPGELIW -TCDLPYDVIKMLGNNPIRQPFAVYSFVRPQMEMLLQVNSTPFHQGMLILGIRYYEAKDTAAGGVKRVRDA -YDLLQTDHVIINPSTLNTGNLKIPYLSQFDMVQVKNGLYNPSLYYCTLYLGVLSPLRTGPNGATNVAITR -FTKFSCDGEQTRFYGQCPIAAVPQGQLVAKAVGYEPTGGSVTMTDAAKVMINGVWKPLANMIGGPGMHAM -GDALLGASMVLDDNVDSMIGNVDGDRPNILADGIPVYQWTAPNLSNGDGAIQCRKLTMSQGTRTTHPPTS -VPNEPTQQMSFPYLCSIWGLINIVEVNKTDAAGKVLSVGHISPVQWREIDATEKVIQLTPTGFCANFFAY -YVGDQEFKFQVVKTGIASCRLRVIFTPDTFYSSDRNDYMSVVFDCEENNEFIVQAPYMAPTRVAPIWGPG -KEDLSVPGSNVYSRPVGFGRWQLILETPYVPMDTVSGTLQILIYHRGASNMSMYVPCPPKFAQYLPKVTR -AEPQMEERTHTTNLVSPLKPSECLGGAVQFGEVTTMKEMMRRSNSVYSKGLTLTANQKVYFSVPVQPGTP -VKRVDGQILTPMQAFHDCFRFYRGGMYYTLFLTADTGVVAKVWHDPLVSMVDTSMPSKIAYIAPQDFTIN -KVGYSRCEHNLAKEILVGGNGNCVALPIHVPFYDPYGFNVNSYDPTIDRITGPLSMCNGHLIFEIHSPLG -GNVFFELYQSMGDDGRYYTFQGTPSVRVAWDSIGDSVHIPGNRFSAWPKSGAIRRSSPVSVTPAEPQMLC -ERSFTPPPAPPMDVFDQPLSLILRWRLRCVLWKIENGEPELFPMIEWLKDALRSARRGERIQKKRRARKA -VPQMLKTVVDTLNVDSVKIGAASALGSICPPLSGPAFAYAADRRIRQAGGILDNLTDITNSVKDTIYAIP -DFMSKAVSGMQDSLSSLFSNLGSGFSSACVHLFTFFKSNEITIKIASFAGILVALGIVTFNIYDKVFGYL -SPLFSYFGKSTPTENAATPATPQDDEPSIVEDSAIRSWIKFLLMSVGSVCGFLNAKSVSAGISHFLKEGM -QMANTAEKFLKDNLDMISRFIYWVIGKENPHLAGLELMKERKEEIKKWATESLEMCDAHSTEKILSSSNE -QLRLFNLYDKGREYISSFSDCPREAMTVILNINRKLANIVDRVGMRVGRGVHYEPISLWIHGKAGIGKSS -CAKHMAIEIAKKMGVVCDSDPVFVRAPTTAYWNGYTGQPIVIIDDWGSIQEPSAFAALLADFVGICSAAE -YNPQFAAIEEKDRVVSPRILVSCSNILFPNHNTICDRAAIFRRRDLCCTTRFAPWFEKKYPDIKTASDPR -ITKADRENEMSKYNHLQFHVLTDVLTEKDYDPTDDTLKWQSLDEVLAEHSYRLVKLDETRKARALQDKAS -SRSLAPDQWLERLRSAGDKELSDKVLDGIKVENSNLKEIVTELVKPKKSKSYAAATTSATPQNHCVCYPG -FDSNPPVNFGDFLSNKCLHKYINKDTLYCDKCEKWQIVVEDCPNLKFTGPIDYVNLGSESCGPKCTIQDI -SDCRKLFDGWLSARNLQNHKSDLPWIVLPRPSWCTSVLTNPQSKSLVESFYSYITNVCTSVWDVIGFSTK -VLLTVVTALGLLYTIYNYYTFMSVGSVVTNTVIETAAPTVANGILSIWQGSVYDAGKSSSKAGRVAAVAG -RLATGQDSRDVKHLLHRNAIRVTLEDNSEAFSVCGIGVCNRFALVPYHVVARIPKATKVKLVTNGNTEIG -GFKLLNMSDVKIHRIDGVDLCVFEFPRVIPCFRSILGLLPRITDVNSFSRAGTLVRSPVGSNEPQEFTQF -VDIKMRRELIAYDFETGRETMELRGFTYPKQAPGLCGAILFDDKHNKIMGMHVCKIGEEGCAQSIVRDWF -DHITPVDVIEPDCKKPTESARVRPKGDFIPISAIPQDQVAFSPAKTSIIPSVCFELLGKASRFPVEMKSE -GDRFPGHIALQNAIEKQTTPTQRWHPEDIKVAVNDLRIDLLSKCQPLSPRDVRPIQDAILGVPGIEFMES -IRLSTSPGYPLNYLYKSSNKATFVDIDETVTPRRLVGLHPKLTELYNVNHAHRLNGDIPYSPYFDFLKDE -RLKPGKAPRLINGCPFEQTIEWRRYFMDFFAAFTKSRLDSNHAIGINVRSGEWTQLADRLKAISPHIVTG -DYSNFGPTLDSELLLHVGDIICEWYTKYADASDSNTVRRVLMEELAYAHHVGGDLSYQTLCGSPSGNPFT -APFNSLVNALYIRLVWLVVMRGTPYCNMVAFKKYMYFCVYGDDFIAAVHPCVVDRFNCASMSNAFSKFGI -RFTDVLKSVDNVRPFCPLSEASFLKHYFI ->ACN94442.1 protease/RNA-dependent RNA polymerase, partial [Nasonia vitripennis virus] -IIEGEVTNDHILSKENLIFHNPSENGMLHKIVSNSITLTGISKLDNGNSILYRSRCLGLFGRTFLVLRHY -IEHFKNKGVDEVAIVFHKHLGVIRFKISELTFKWTEGGYGTCTLPSMPGTFKDIRKLMPSENMTTFPRRM -YMVEPTAESMNIFELEVTQLRSDVEIPSTNGQSAWVIKDGFSYSWGGKGKCGSFLFAPGMACPLVGIHTA -GIGVIKGFAEPLNKETFVIENAKIDVTFVTPQMDYNDGGFQIPGDALVCGHVPQSKAVALATKTKIEESE -IHGVFPVETAPAPLTGSDPRLSKPINPLVVGIEKRCDKPIEFNETDLNTAQEDFTNMIEVNVSAVRNVSV -LSVSEAVCGLLLPGYDPMVISTSEGYPWILERPKDASNKSWMFNFEERPTGRELVGIYSKLMNTIKVKEQ -MREHLVVPATYFTSCLKDARIPIYKIPEPGKTRVFEMSPVDFTISQRQYFLDFYVAYQTARMNAENTIGI -NPDGPEWTELANRLQEFSPHILTADYSAYGPRLLHSVILRAKMAELNWYEQEEERVCMPEEERERNFKIR -YTMIEELINPLNIVKDCIVRFNTGMPSGNAGTVITNSECNSIYIRCAYLGLARKYAPVLADLYWFKQYVR -MFSNGDDLIMAVKPDIISWFNNRTLIDFFSQHNIKMTDALKSGKVREFCSLEEATYLKRGFKKHPTRPGE -WLAPLERASITDTANWIWRSVDRREASLVNSEMSCRLAYTHGPEFYAYVCARLKKAWRKLRVEFDFPSWT -SLDSHVWEGTEGPKFNF ->AMO03216.1 putative polyprotein, partial [Kinkell virus] -DDILQMVNMKPFTVYEQSLIDPATSPSVQVKYQDKDGKLVGNVETLSFQYMAMFIVNNDKNKYTYAMYFL -DKNHAVSYVRAHHKLVSQSNAFPNSPTIAQWLESDPNLTTFYLGDTDXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKANGVLMRIPITPIGLN -TASEGNSKLLNIIREGIIPYISSAFRFYRGGLRFKIIINNVNGSNNIGGHDTIYIQHKPDIISDSRDLTT -NLIDSTTTQRLFQTGYAYTALSTIVNNSITVEVPCYAPTNLLMLQKPDYNQTSEIMHYSLGVLDIYQARP -TEDEIIKTNVTLHYSFADDMDLSCFIGFPPMVLLAETNTLDSFVVPEMAEDKQVEIDSSPSTSTGISHDT -PDVEPEGAVQSMKEKFTESLMQTSMRKIRNQFSLEAKEDDTISSIISDVVAKFGSDYKHIIISCVGQLFQ -VLTNPTLTSLSLAIVTFLGHIGFECTSYLSKWHEWVANLFTSKIQTEAGDDKTEISPIDASKSSIIGSLI -NMSASLIGGSIDALKSFTMPDFSGKLFTNIRFGALTVNSIITLFTNLFKVIPEIIKWVGTIINPKKWYRW -LFFNESKFIKQWVRDVEYVLDPNNRTAVHSQVRYNYHIQLLVIVGRDIVAKERGIQVNNFRYLYDLNSKL -NVLYSEVALTNISAGNVGVEPFCVCIYGASQVGKTYITKELTSHCLKEINYTTYDNLFYTRPTSTKHWDG -VQNEPVCIYDDFAHIKTEEKIGELMGEFLILKSKATFTPPRAHLEDKGRKYNPLIVALTMNEPYPTFEGV -LADQKAWQNRRDILIQAEFVPPANYPNATRVQDIPVKEAHKYKHAKFSINEYTASRNNVSDSSIKLKVEV -PQINDEGELVVEDDKHLMVETTYLNINQLKWYVSKEFVAKYENMKFEYLRDIQQAKSFNPSSDKSFEFNL -EEYIKHITDLRKNMNNQEKRTIEKATKMAKHLLCTSCGQSSDCLCDTNYFNTSSVGTVSAVVQTEGAEDK -TQTRIIFESYSSSKNSAQSALTKASIRDKIQSKLSFFDNYYKNIIIEDYYNQVKQRCLSGELEDLIENPC -IHKLCVYAKDYQNISVFEDSSEWYLTYNDNEYHCDLTASDGCSCTWEKISQKHLEKYRKTCIYLAKLDNV -NCEIPIYFESDEKINKIVTNYTKRMERNKELFYNELGKEIDSQTWLGKLGSIALKIISPIGRILALIGVV -AVLYKGFETVSDIAAPLLAVKKENEAYAEFIKSHVVSQSCVDGKCNICLPQMAYEQHRAPKRKIPTTMTA -KQAEMLPDIRNSVDRKLRRNYFFLCATTTSGVETICRCLGIKNWNFIALDHYVDKIKSLPLDTKLEIRTN -NLIKQVVLKDFKFVKVANSALVIGETPNTIPQFSNIVNLWANAWQMNNLPAEAQLYEINIPEATSKIDSY -HVQWHSNKINLHRDTLPVPNILDNSFISTTIDFYWSYQTSGRGVCGSVLVTELNCNAPLVGIHVAGETSG -GRGYAECICRETLENILLNFEFNPVTDVEIEAQVMGDQVIPLISDTRRIKTAFEGDFNFIGVVPKKYEHK -PAKKSRCKHSMVYDQITKSVYDFPYLCENDMRATVDDRFSGSPMVNGCTHHGQPPLDFPTNLVDQAAEDV -ADLVKAKVSPLRRVVRPLSLEESICGIPSIPGYDAMEFDTSEGFPFTALRPNGAKDKKWLFDLSSDQFGY -SLNSIEKVLSDTLDIKQKQREQGIIPMTIFTDCLKDLKLPKEKAHKTRIFSVSPVDYTIQFRQYFYDFTI -AFQHYRAEVESAVGINVDSYEWHDMIQSLVENSDLFVTGDYSKFGPRLMAKCTTKAFEIINTWYNHNGDT -SSINHQIRYILGQETIFSKHLMLNLVYETYCGAPSGCPITTILNNLVNMIYIRVAFLSIQRSVPNEIDEP -YIANFVGFHNNVKVFFYGDDMIMTVAQELLDTFNGLSLSKFFKQYDITFTDALKTGSLHKGDSLFDRQTS -FLKRNIKRHPKRPIYVAAMDTVAIEETCNWVFEGHKEPEASKIACQSMMLNAFGHGPKYYNGLRRRIIDW -WCNIGEYIAIPTWDDVDVRIYG ->AGO86045.1 polyprotein [Varroa destructor virus 1] -MAFSCGTLSYAAVAQAPSVAHAPRSWEIDEARRRRVIKRLALEQERIRNVLDVTVYDHTTWEQEDARDNE -FLTEQLNNLYTIYSIAERCTRRPVQEHVPISISNRYSPLESLKIEVGKDAGEFVFKKPKYTKICKKVKRV -ASKFVREKVVRPVCNRSPMLLFXXKKVIYDLHLYRLRKQVRLLRREKQREYELECVTSLLQLSNPVSAKP -EMDNPNPGPDGEGEVELEKDSNVVLTTQRDPSTSIPAPTSVKWSRWTSNDVVDDYATITSRWYQIAEFVW -SKDDPFDKELARLILPRALLSSIEANSDAICDVPNTIPFKVHAYWRGDMEVRVQINSNKFQVGQLQATWY -YSDHENLNIQTKRSVYGFSHMDHALISASASNEAKLVIPFKHVYPFLPTRVVPDWTTGILDMGTLNIRVI -APLRMSATGPTTCNVVVFIKLXNSEFTGTSSGKFYANQIRAKPEMDRVLNLAEGLLNNTVGGCNMDNPSY -QQSPRHFVPTGMHSLALGTNLVEPLHALRLDASGTTQHPVGCAPDEDMTVSSIASRYGLIRQVQWKKDHA -KGSLLLQLDADPFVEQKIEGTNPISLYWFAPVGVVSSMFMQWRGSLEYRFDIIASQFHTGRLIVGYVPGL -TASLQRQMDYMKLKSSSYVVFDLQESNSFTFEVPYVSYRPWWVRKYGGNYLPSSTDAPSTLFMYVQVPLI -PMEAVSDTIDINVYVRGGSSFEVCVPVQPSLGLNWNTDFILRNDEEYRAKNGYAPYYAGVWHSFNNSNSL -VFRWGSASDQIAQWPTITVPRGELAFLRIRDAKQAAVGTQPWRTMVVWPSGHGYNIGIPTYNAERARQLA -QHLYGGGSLTDEKAKQLFVPANQQGPGKVSNGNPVWEVMRAPLATQQAHIQDFEFVEAVPEGEESRNTTV -LDTTTTLQSSGFGRAFFGEAFNDLKTLMRRYQLYGQLLLSVTTDKDIDHCMFTFPCLPQGLALDIGSAGS -PHEIFNRCRDGIIPLIASGYRFYRGDLRFKIVFPSNVNSNIWVQHRPDRRLKGWSEAKIVNCDAVSTGQG -VYNHGYASHIQITRVNNVIELEVPLXXATCYNYLQAFNPSSAASSYAVSLGEISVGFQATSDDIAAIVNK -PVTIYYSIGDGMQFSQWVGYQPMMILDQLPAPVVRAVPEGPIAKIKNFFHQTADEVREAQAAKMREDMGI -VVQDVIGELSQAIPDLQQPEVQANVFSLVSQLVHAIIGTSLKTVAWAIVSIFVTLGLIGREMMHSVITVV -KRLLEKYHLATQPQESANSGTVISAIPEAPNAEAEEASAWVSIIYNGVCNMLNVAAQKPKQFKDWVKLAT -VDFSNNCRGSNQVFVFFKNTFEVLKKMWGYVFCQSNPAARLLKAVNDEPEILKAWVKECLYLDDPKFRMR -RAHDQEYIERVFAAHSYGQILLHDLTAEMNQSRNLSVFTRVYDQISKLKTDLMEMVSNPYIRRECFTICM -CGASGIGKSYLTDSLCSELLRASRTPVTTGIKCVVNPLSDYWDQCDFQPVLCVDDMWSVETSTTLDKQLN -MLFQVHSPIVLSPPKADLEGKKMRYNPEIFIYNTNKPFPRFDRIAMEAIYRRRNVLIECKANEEKKRGCK -HCENNIPIAECSPKILKDFHHIKFRYAHDVCNSETTWSEWMSYNEFLEWITPVYMANRRKANESFKMRVD -EMQMLRMDEPLEGDNILNKYVEVNQRLVEEMKAFKERTLWADLQRVGSEISTSVKKALPTISITEKLPHW -TIQCGIAKPEMDHAYEVMSSYAAGMNAEIEAHEQVRRSSLECQYIEPSTSRPLDEEGPTIDEELLGEVEF -TSSALERLVDEGYITGKQKKYMATWCTKRREHVSDFDLVWTDNLRVLSAYVHERSTSTRLSTDDVKLFKT -ISMLHQRYDTTDCAKCQHWYAPLTAIYVDDRKLFWCQKETKTLIDVRKLSKEDVTVQSKLINLSVPCGDV -CMLXXXXXXYLFHKAWLFENPTXRLIYNGTKKGMPEYFMNCVDEISLDSKFGKXKVWLQAIIDKYLTRPV -KMIRDFLFKWWPQVAYVLSLLGIIGITAYEMRNPKSTAEDLAEHYVNRHCSSDFWSPGMATPQGLKYSEA -ITAKAPRIHRLPVTTRPQGSTQQVDAAVNKILQNMVYIGVVFPKVPGSKWRDINFRCLMLHNRQCLMLRH -YIESTAAFPEGTKYYXKYIHNQETRMSGDISGIEIDLLSLPRLYYGGLAGEESFDSNIVLVTMPNRIPEC -KSIVKFIASHAEHARAQNDGVLVTGEHTQLLAFENNNKTPISINADGLYEVILQGVYTYPYHGDGVCGSI -LLSRNLQRPIIGIHVAGTEGLHGFGVAEPLVHEMFTGKAIESEREPYDRVYELPLRELDESDIGLDTDLY -PIGRVDAKLAHAQSPSTGIKKTLIHGTFDVRTEPNPMSSRDPRIAPHDPLKLGCEKHGMPCSPFNRKHLE -LATTHLKEKLISVVKPINGCKIRSLQDAVCGVPGLDGFDSISWNTSAGFPLSSLKPPGSSGKRWLFDIEL -QDSGCYLLRGMRPELEIQLTTTQLMRKKGIKPHTIFTDCLKDTCLPVEKCRIPGKTRIFSISPVQFTIPF -RQYYLDFMASYRAARLNAEHGIGIDVNSLEWTNLATSLSKYGTHIVTGDYKNFGPGLDSDVAASAFEIII -DWVLNYTEEDDKDEMKRVMWTMAQEILAPSHLCRDLVYRVPCGIPSGSPITDILNTISNCLLIRLAWQGI -TDLPLSEFSRHVVLVCYGDDLIMNVSDEMIDKFNAVTIGDFFSRYKMEFTDQDKSGNTVRWRTLQTATFL -KHGFLKHPTRPVFLANLDKVSIEGTTNWTHARGLGRRVATIENAKQALELAFGWGPEYFNHVRNTIKMAF -DKLGIYEDLITWEEMDVRCYASAXX ->ALJ52073.1 polyprotein [Diaphorina citri picorna-like virus] -MEAWARIAQQQDEFLAAEKARKEAEALEIERQKVETERLSKMYAPMTWREWVMADRYCPMKPWQYYAWVR -VQARRLRNRAYALAAKRRAAWRKWALKRHTREAMRLLDLEIKISRVDGWGVKKEAPKPAVATSVKQVVKK -WNRVSEDLTRLVDSFEPKERKERNALLLCKLQGMIAYMAGQRLPQYARVAFNERWALRFMEDYVPSVEPQ -MADEQVRNDEETARLVHLIDNGMNTAATLRNYPEMDNYCQDVINRFIVYRNEPVKYSCELLELRAEVDRK -MQNVISAEHDKLRTMKESQPEGNVVKSMKRTQDKVEKAADTVTGGFGSLMDKAKDIWADMKKRLGKFGEF -FTYDRLVCVLSQVGHMLISPCTATIAMGIGTIILTLIGASFGYLADLACTIGNWLKGCFSKKSTKKNTAE -AQSDDSDGSLEVIGTLLTILSGAACYAFSPDANCLRNLRSMFWDFSLLGRGLTGVVTIVKHLFHLVEKLW -NWLRIKIYYGRDPSYRLHDSPKPVITWARVSERILHPDVESKYSDFPDYVDLLEKAYLQGTYYVSLMRKS -KFKLDCQVYIGKLVDRLRLKRDEMVNAGKFPFTRVVPFSIHLASRQTGIGKSTMVPQSINRLLRAANIRP -MGNAYYKIEPANDHWDACRNEPAILIDDAWNVVESQSEVRQLGVYFNLISNAPYTPPMAALESKKMRINP -VLLWANSNVLYPKPNSVKCNEALWRRRDVVIETRVRATHMKNYRGGKIDIAQYDQKQRDEYVWLEFDILD -DPTNEESPRKFTQIAYDDVMKMLENWMREHHKEQLKRITAEMHNRIADFKEMGNKTVSEMIDDIYRDVKK -KAKPDDLPKNKLLDNLLWTVEDDNLEFVEPQGDYDEEIKYLLDQVKDVSPNENTAEIQAMMEASGLKWSP -STKIMGCTDFEYSKDFIDKIVDNSFKDEDISKVGQLLKCFQLNDRSCKHRICKCIQVIKHEGNHYIAYRC -GTERCPKELKRYECIETCVLNRGVLQGFGYEPFAMDPVRFMLLGKVIQERYMVRSLKLSKRKVKSRVKRV -ALAIGKILKVAAIVLIPAIVALVGFVALRDMAHGAGVWEAVKGAPSKILCMESYRAGMEAQAAVYAGAEK -TTGPGRVAVKSMLPPRLQPETDIQPIDKDIEKALMNEKSQYISDCIRLITRNTYMLVAQYDILGTTYTVH -ARGIFIRGQQFLTVRHCWDEIKARCENRNGKLRLVQSPSFQKELMLEKIRVQRYSNSNYVLLTIEGMPFQ -RDITNMVATARSHNYTTLRGRLVEIGLDRKITDVKLDWETKSVVVAATPNSIDVPMISSYMYNYGGKGVC -GSILICPDSNPCIIGMHVAGMDSVIGYSEPIFQEMFKVVPVKGRIVDVVLPRVKEIAFEEAVLDGNFITL -GLVDAQYARFESGKSSIVPSLCHGIFEVMTEPAPLSRSDPRLPPGTDPMILGVNKHGKPIRGFPSDLIKF -GFESLRSIVRVRVKPLIKVTPTSLEEAILGRAGIGGFASINMHSSEGFPLSALKPPGVTGKKYLFDCDLD -KKELYGIDENLKTIMSIKDGLRKKGKVPFTVFTDCLKDARIAKEKCRIPGKTRIFSVSPVDFSIQFRQYF -LPYTVAHQNSRWDFSSAVGINVNGVEWSVLVGKMIRFSPYQLCGDYSNFGAGFDEEVHRMVGEILIDWFK -FNGDDSEENETIRRVMLHELVYPWHLCKDILYQTVSGMPSGSPITVETNDLVNLYYILMMWFDIMRPLKL -HTLKKFEKYVRVKTYGDDIWMAVHPDVIEYFNNMTISKAFAQYGVEYTDADKKGMDKPYRSWEEVSFLKR -TPKVHPTRLNHFLAALDLNSTLDIANWCYESNDMAVSTLVNLEACSDMMYGHGPEKHQEIKNILELEANK -LGHVGNFRSWETMDDIFLEPQMEDDQGVEGHGSATRDTKEGVTIIDHRDISRETGPEDEIAVSWNNLTCS -EKLDRDCGPEHRWLPIANFKWTTADALMECILKYGDADGMAIPNHGLAKNLSTPMAMKFKQHRYWRGTVV -LKLVVNTNKFMTGQLQMSFFYGERYDGTNVYRDNRQCISQMLHGRLQAGSSNSIELRVPFVYMYPYMSTA -YRPYDLSVMNVGRVYVHVFNQLAASSASYKAVSCQLFMAIEESHFCGLVDMSLGYLHPDMDLMGAAAVSL -AESYLRQYIPDANRDMPVVPMIPNPVAIIGNGNLSYGTGSTEPMHVMRLDPRGQTPYAGISGAEMNIHEV -ITIFGYCKTFKWADEKTGTCLLSIPAGPIWNLKAMDEYKFNDHIYYSLSPLAFVCNLFKQWRGTIEYRFD -FVANDFYTGTVAVVFIPGVQKDPGWEVAKCCSYTTFDLREERSFTFTVPYVTDRIWWPRPLGLDKRNEYF -YPGTVCMYVINPMGLMDNIPSSIEINIYQRAGCDFEVSVPVQPAISTALYQQYSPYSPTELFARDGYFPY -YVGTSRYFQGGTKAIMRWGATFDHIALFDEVTIYCYYHYKGPDTVYFQEKQGDNWIEGTVRVEWAIVWLD -EDNAVYWLIPIKSEKDAKQCILNRYAKKSYTDLLVDCPNNDKGKYMAQNNEHWERFLVTKPHLPHDSDSD -YSIVSAEIGERDNPGMTVDNKGCLPSTSSGLMTFGEKFSSFTDLLRRYQFYYKTSFQADMEGLCSSVVVR -PYGMLPFSNSTDKRQLYAREGMHALISCGYKYFRGGVRLKLICLAETTAPVVLYVQHRPDVVDYLEDRQT -AQDAQLGQGYATHIQNITLNPVMCIEIPFYLDSVFGNIAHNLRDENKDPDRLAHLGRLYISAKCAKKVNI -TVEIYMALADDAKYTLFQGFLPVRFITDVLQ ->AAS37668.1 RNA-dependent RNA polymerase, partial [Venturia canescens picorna-like virus] -TVSNCKIQQVGKLDADLVPPMPSKTKIEASEIQGVFPITTQPAPLSNHDDRLGEKGDILKIGVSKRCNPL -QEFPLECVNTAYMSICKLYKKVLKPVRAGIKPLSYIEACEGLSVEGYDPIEFRTSEGYPWSRMRPAGASD -KSWMFQLTAYPDGRNKVEGFIPELLNTLEGKAVLRKNGIVPASYFTACLKDARIPNRKVSVPGSTRVFEM -SPVDLTIAQRQFFMDFTVAYRVARLQAENSIGINPDGKEWTQLVEYLTEFSPCILTADYSGYGPRLSHAL -LERSFDASIVWLMDNEVCEEEEMNDRCVTRLVLKHEVTHGLHVVKDLVFRPTCGLPSGNCETVERNSQTN -SLYIRIAFYELAKRYAPVYRDAYWFDKKVRLVTNGDDLIASVKEDVISWFNNRTLIEFFVEYGLKMTDAL -KSGVVRDYCSIEEASYLKRGFVKHPTREGEWMAPLEEASITDTANWVWRSVDKRAASLVNSEACCRLAYT -RGPEFYERIASRIQTAVVRVRSSI ->ADI46683.1 polyprotein [Slow bee paralysis virus] -MEYTPLTSSFPISLVDHCDGNRKIHWTRCYEQKYWLPFSCCKATPRLPCVNVRRSYMWYSKRQDKWIYLS -ESDFRACKAGIYKRREEKEKEKLWSELCNVCSWECFEYYKFRDQRLLLLLRKKIADKAQCRCRSNCKLIT -VKHGYIRRIKTIEPCEAIELTNAETFGSNLDFAQPEMDRPEGSEERTVQSSNVVLGETNVESQDIASKEY -IPSWDRLASSEVSDEYPMLTDRWLFWKSVKWEVNDSAFGKMLIQDKFPQSWVQMDLNQNSIPRYTNIPNF -IPFNIHQYMRADFEVKIYVNPNDFVSGWLIMAFLYQGSEMFDYKLRRNPAALMQMPHVLVNVGAANEATL -KIPYRYVRPFMRCKDILRGDNLVTGVTEPLNMGVLFVEVLIPFRTSAASSAPKSLDVSLFVKMTNAKFTG -MVDGSIALLSRPSALPEMDKILDNGLGVVSKLLKDVNCDNPPDPTPAKFFVPVPSHSWAHGTNTSEPTNT -LRLDGGVVGVGRSEDIGTSDTAVSGIIGVYGLLKPFDWNANDTGRNVGGHLLWSMPVHPQVDKDQVIQVM -TQSKLTQYYLPPISVVSSLYAYTRGSIKYKFLFGNNPRHNARLLIAYIPGISSDNRLTLERARNSAHVVF -SLNEVSEFVFTVPYITDTMWWPRKYGGPQAAGEFVAPSYICMFILNPLVAMESVPSIVTIVPMIAAGDDF -EVAVPAQPAVGLSRNIDVIYPKDSIISFKSGYFPVYVGNWHSFFDSTKAILRYGAVSDHIAQLGNIPTNV -NRKAFWVVVGDTIKFKTKLDKIDGTEWSIPEDEYTLGYGVVWRDGDYAYMVPYPLTPIGEKIAQYVASLL -ASGAATSQIQPYVPDYIVDSAPSKANILWSPIEDRLRTQNGWVMAEPEMERTFTPNVMQPTPLLPTTNDG -RVTFGEAFNDLKDLARRYQLYWEGTILEGNLRTIRRNSALVQLPLYPHGLKIQPDVNNPIWNIMRDGHIP -VISSGFRYFRGGLRLRIVVEGLNSCVWVQHHPDRPSIFSRPIIGRYIAAKDAYRNHAYAAYVQNMSVNRT -IEVEVPFYQPGLYGMLNASDDNTANSFDRLRFTGLGDLLIGIEGEQPIPKEGVEISVYYSIADDFSFNIF -CGFPPMVYCDETYSAATPDLAQYFDDEITVAQPEMMMSMCQGFVGSLIGQHLQKATTYSIESAKEGIRDV -VKDEFKTQIKPELDNLNKVIGEAATSIGASFGDILPQQLIINAMGQLMQVFSNPSPVALAIAIVTFIGSI -VTLSMEIVSALSDSLRIFLEKVWYRYFHQATEQQEAGASAMPEGFWDEADDKSLHGILGMIFSAICVSLG -LSMAPPKQFPSVMKGVKESLNTANASVTFFRNVVDAIKYMYTYCMGSSDEELRARIIIEREYPNLKHWCE -EVIQLLDPRSRNIVEHDARQASRVFDACIYGAQILQENLDKSMPGGKVIYDLYTRIVKLRDDLIELGNHP -DVRFEAFPVWIVGSAGIGKSYNTTELCKRALQSINYRTKESMIYWLALGQKYWNGIRNPPVVARDEAYAV -SGQFTEEEISVHLAMCSSCILNPPMAALSEKNKRINPLIYYMNANCAFPNIPEARHIGAIYRRRKILAEF -DFTEEIKRLYPNVLDASELPPSARNNNNHLRIRVAHDPKNVNTTWSDWMSFDVFSSYFCRKFQEHMEAER -INFRRRMDAAYALDPDYVPGSNLNYVGDYELPLQTLHERYIYERELAREYLANIENPANEIEEDGGFWSN -VKRLYENLTTRTADSEMDVPGPSKPSEPPSKRILRQFLVDHCKFKEDSAALLTRGLGMCSEEDVRTFALP -PEFEFMKDKEFVSAASIYCDTMSCLPNSVCCSYRRIGSAMNPRSKIGPNWFILPKNYEDLGGKDAIRSYC -YWWIRCYQLRSFKKILKKENYVEWADRMLHLIGCEPANNYEVELRRQLWKIRCASELEMVEMLKELDAEA -RAHNMSDMMAGKELPRITLIWLLTDLTDNDTTVFCDHCKVFATYMRDLSVLEYVARYNVIRYPGNFGCMK -TISAECQCENSIFNNVLFKNAMRILWDHDHVGPEFVEFQDSQTNPFVMQEHSSLRNETKSLLSRIWNWAK -DWWKTTVIPFVGAILTFLYEHWAKILSIILGCVVLYATFTNAKSPTDACVAAAKVSVPVMAGAVGTWSSP -EGGIYQAGERVFKASSAPKPANRESVSDQMHITEQKVINNTCFLVCKWQDGKDTKFLRARCLAIKGRDII -VIKHYLQEFKSRPNPTYMFSYRINNSMANTYIDSSIIDNAYIYKINNSSAFSNIALIKLPKHVPMFKDIS -KSIATQGDHANVGHFCSIVSQQYDEHPVVRSQVPVTWKQHLVIAGDRHVEQIIMDKCYEYNVRGFGMCGS -ALVSPGVCCGNGGVIGLHVAGEKGSGFSEPIFREMFEPVIEKSEPILSLPNLRPASESNVELDHNLILYG -CVDEKMSHKESGKSKIVPSLVHGEIYPVTTEPNPLRPGDPRQPPGSHPLRDGCAKHGLGMVHPFPHEDLE -QVNNDARNVLLNEVKNPLCEMRLLTLQEQICGSTSIPHCESVNWNSSEGFPLCNRRPTGATGKKWLFDMD -ETADGYVLKNIDPQLALMLKTNKDLRNRNIICPPIYIDCLKDYRLPPEKCSVPGKTRIFSIAPIQTTLEI -REYMGLFLSGYKSATVVGQHGIGINPDSYDWTRLVNYLHEVGDNIVTGDYANFGPCVSSQIVYSCIDDII -YWHQINGATEDHCRHLELLLKYSILLPLHLCDNCVYQSLNGIASGSPITAELNSEVGKKYIKLAFLGICR -QLGYKYTLNDFNKHCRVVVYGDDLILSVSDTFISWFNLQSISDYLDNFGIRLTDVTKDGTIIKYRSLIDS -SFLKRSFKPHPNRSGIYLAPIEPRSYQECTNWCHKQNDEIEATVEVLRASCVLAYGRGPDEYNHHISKVR -RVCALKGLKFDPLTWATLDKENFG ->APG77501.1 hypothetical protein, partial [Bole hyalomma asiaticum virus 1] -MVFSIKTPSLRLELVEVFADYSALFRALKFCFRSRQWRQVDQLVVQADDEQQFKDRLLGGLLRLLQKQLD -FQVPLDAKLLQSKFGRGGYMQKFEFHLDISSVLSFLRQVTFQTTANVFIRECHRQLRMHERNVMLQFTNL -AVSCSFMSHCLKKLTSSYMNQLSTFFFFSAKRRDELKEKLKLKRVEYQRWRNRCCKPLAQIRNEQRAQAE -RAPPRLLRARKIGEKKKIFYCAFPHGDEEIIYQRYLLCLFLFEQQRKKKNFRESVDDFFSSVRFEYPRRA -NLGCKKLDFQVLPPKWGPIQHEMDRQDPTSTDPVSDISVNQTENVLTVDEGTVASVNNGIVSNYNSRIDE -GRESSIVREMCSRYAYFSSFIWDSSSQPGTIIKTFNLPYDFKDDPFKSSPNVVMLTKWYYWSFDLDFRIS -VNATKFQVGQLLISWAYDFSKNDWRYATIQSQSQAPHAILCAPVNNVVELIIPFKFKYPYWCTDEISQEQ -RLVVVRVAVLNALACSDAAAPRANVVMQLRLRNVRVAGLRAFDQSSSVFLAEHQMFSLAVRSVEKLLRNT -LADFNRDNPTSPLPHSSVIPYSAHSWCVGNNQVELLNPLRLDVLATTPHLESIDEMSVSFITRHFGFMKT -IEWTTSQASTTQLFKLAVTPLNICDLVQSNAADPAKSLLPQYCSTPLSVISSMFAYWRGSLEFRFDFVAS -MFHTGRLAFCFVPSLSDIATLKFNNAIQSYIQYFDLGGEPSFTYICPYICNNTWMPMRKASIEFATTLAD -SSIIGVLAGFVVNPLVAVTGVSAAVKINMYIRAGNDFQVAVPVYPVLSPENLGQNYIPVSSQIRCKKGYY -PVYAGSWRNWYGFSKVILRYGETTDHIAQFEPLVKDAVFYAKDSVYYVDKKTNTQVACNYFCRANTGDGY -FYLTCFPSYTSAKMYLDTTNPIYLLDFSRVGSNAWNDGQLLIPVEAPQLRHEAGDERLDNHLAGNFNIVN -TLTSAISFNEDFSDLKTLCRRYQIYADFSVKVSKNTPFGGIFFNVPLYPAGYRIAYDELSVGNLSQEFIN -RARASPMTLLASGFRFFRGGLRAKVLIRSADGTDLLISLTHIPDRDITDKPGVVVPSDTQHFASHGYAYY -AQSTRVNECFELEIPFYLNCDYGLLLDSDSVDSRDKRHCYLGNLAFSLMSRLSKDIDLGIQVFLAFADDM -RFSSFQGFPKVCSTFQIPVSGSPAAESNQLSQASSFEELSIQHEMLGLETKLSNCVKKLGNELKHELTSS -YPSARDVIKSDVIDAVKQGSIEACNQTKELIDYVKNSLGEAFSMSKNLIMNVISNLMHVLVNPCIKSVLI -AVVSIIGSCCEGFNWCFESLHSFYKNLMEFLCSTFTRTVDFVRMCKFCWGFGCSRCSSLPLQVCEKAQHE -AWYDSCETLGSLSQSYATLISAFLGYKTHHRQINVPNFLSHLALNFSKYSAGIAGLTDFIKVNLQMFYEC -VDWLLIKSTGSGIDRYVYSYPDELAAFCKNAHAILSPLNRQRVLSEPYLQRSVFRLAAIAHRINLYESLN -SSRSKINVYIRDLCTKLTQLQEILIQDCLCPMVRYEPFVLHVTGQPGIGKSEIKDVVSYELLEGIGYKTY -SEPIYTRTPGTTYWNGIRNQPVVYYDDFLFMRDGTMQNDHISELMTLKSSATFNPNIAELENKKIRYNPL -IVYLSSNHAFWPDAVSIRDIGALHRRRDSLWYARLREGYSMDRVKQQAATRGTRTFDHLEFGKFPDVTDV -MCFPTRWFTYEQFKTIIVKEFREYHTQELQAYESRLRQLNKLQPPSSGLEYDEHVEEEVLAFLSKQNDIS -LHSKLDDWRKSIIDSCDSTLATVRGLVPVVQHQGKPGETTPLLDSDEEDDFRSVVCDPPISKFLWEPFHK -PECDDEFKCEHYHHLSLHHRYIEFSTPEEEDQSPFYKYCYIEGAPSWLREELPFACSYGSKCWLKSELSA -VFRNCYIQAQYAPQIRKLVEVNKFDIVPWARSIFSELIEIPDFNASEEKKKNFLSRLKNHKFSNILSVIG -KVLKWFGIISVGLLAIFGGFKAIQSFGGNESDSSPIMTTPDPWHMVSPQYMPSGDVRVGRKALPKNWAKQ -AKIRAAVLKNKFAQPQANYSDPNTTLLKIIERNAFESFILVNGKIVCSQKGLGICGRNALTTKHFIEEFK -YQVDEAAKSKATVKLLFKVRNLQHQFHYEQCKFCLVEDSSLALVTFPVTLNAFRDIRHHIIRTDDLEYLG -TEGKFFELIDGASHITQVVFSAEECISIGGAGHISDQELPMCYSYPISGLGKCGSVLIACTPIPRIIGIH -VAGLKQRSFGFAQAIFREMFESLSGPQVCDPNIQNESDCDPKIELPFGVLPVSVISSELCPSHPRKSEII -PSLAFDQIYPHQTEPAVLSKFDKRVLVDPNIDPMVKAIKTHGNVPLPFDADLLDECVQDLSEFLISTSPP -HNLIVEDLLSDQVIFGGIPNGDCFNKLNLQSSEGYPLSLLRQHCDKSVNLYKDKKLQRKLPCKGKSWLFD -YEVDEYGYQLREIHPELVELMEQDKYLVFATHRYATIFVDTLKDSRVAPEKVKLGKTRMFSISPIEFTWA -IKKYFGVFQAAYQQNRIKNGTAIGINVRGPEWTQLVRSLLKRGSNFVVGDYKAFGDTLERQVMFGAFECI -INWYSKHTKSCEDNQRYRRVLVEELFNCYHLCSNVLYQMCCGIPSGFALTVEINDLVNQLYMRYCWKRIT -KLPMSMFYRHVKCVTYGDDIIMSVSDEMIDSFNFRTIQHCLECYNINFQPASKDDLVYEKLSLWEVTFLK -CHFVRHPIRQNQFLAQLPLESCLDMLNWQYRGNDSRSIIFENARAALNNLYGCGPVVYTEWREKILMWFA -EQGRRGILFNDDCFIHLKTWKDVDLEVFG ->AKJ70949.1 polyprotein [Brevicoryne brassicae virus - UK] -MMSAQNKKFVISSSTTASSSRSAGSSEDYSSEIGSTNVGTCYQHVDSLGYQYARCAEKIEKYESRKIDLL -KILKDLRAKEAQLNIVPPPTVPKPIPVPKSIVNPRKLDNRLYSEVACIPTSSSEEELVFNYPIARSNKFR -INKLQKQYDLNEWRIKKQLKRRSKLNKLMLNQVQYSPVYNYHLNNTNDSVYNPTTVPIPDSYQPFPARPT -SSKHQKVRKDRSSINDSIFGLYIPTPTATRDPILSIKLRCIIHSFRNVVSSSYIKFLIFYKYKLLPSYKS -TPPPIVCYPQMDAHGEDSNNISPDKKLNTVVSSQRDTSEGVSNKVVYPAWQDLVSSDHYSSYPTSISRWT -KYKTIQWLSTGMSNSSISVPPDLLTEFVNTPVFIPFRNNRLWRGDLEFKFVLNSNKFQQGSLQISFIYCA -SLEQSFNIYRDNVYSASQTNHCILNAGSSNEGILKIPFKYYNPVITTNPKENVDYCKIFIRVLNVLRCTS -TTYGACDLTIFVNFDNAYFTGSIDFSLIQNPQMFHLMRALVKTAEFGLNQLDPDANRDNPPDISTPSPVV -ISNASSWCVGNTAVDQLNHLRLVSTGQTPHPNLEHDELKTNVISRIFGLVKTLDWSFNHQVGHLLFNLEA -APMGALTQYYSSSVTVANRDYLLYNFPPVTILSNLYAYWRGTLELRLDFVATSSHTGSLLIAYIPGIKSN -VTLDQARSSNYVGLSLQEQQSYTVRIPYIANSPCWPRKYNKSYKIKIVVEINPPGSLQIIVLNPLIPLDS -VHSSIEINCYLRAGVDFELLVPIQPNISTPYNLDYKDAQYNELPLSNADLVEKKTTIYYCCSSPINYPDM -ITLTSSDPNSNNLIMYESLRDGSYINNKKRITDLNNYVPNVPGIFNFYLSSSLSVTFKYQQKSSTSNFTT -SNCKFFVLYNELCSSEGTTTLWVVRDEEAAKRYARSEQSWDDLMKAVINCVGISDTHSDTNAFTYLTKIF -VHKHTTNLCSSLSSSYSDITVPNNEERSLSTNFMDMTQNLQSTSHGLNLFGEDFSDLKDYCRRYQYYTNI -NCRLSSSYIKKQAVAVIPLVPQGLINSVIYPDHSINHIVNRCKDGFIPIISSGYRFFRGGLRFRIVCDSR -RNVNLWVQHRPEMLLKYLQPHMTDVDNQSLSDYFNHSYSFNIQNLSLNNVVSLEVPWYSKNMLGGSFFPK -VISSDTDINSQNLTSFSLGSLILGLDYDKTETTNPLNLDIYYSLADDFHFNTFQGFPLMLTLEQIPNTYA -LPQMGIFNKLISDTPTAKKVDMVTEKAEKLIDNISDCFSALPNLIESNFNNVETCFKVVLHDIFYGILHC -IVSPCVKTFCLVICSIFTKVGVLAVEGIWKLAKLLKRFYKFFIGAVSEDAQQTDDQPSTSKVLPQADTQD -EDPSSICAGIVSIIWAGVNTMLNVTFKSCESLGDCANTLMSGVTKGANHANTMFRFVKNIFSVLEKMYKS -VINKIFAKYDKYNRLKVEEETLREWLDLCDNLLSPANSTLAQDDIQWREAVYCAARYGHIYLSKQKAGAT -PRVDMYIRKVYDKIINLRDSLQKEKLFPSLRMEAYGLWLDGKPGIGKSSMITKLSTDLLNSINYKSDSGL -IFNVTPLDKYWSTCDHQPVLTIDDAFAIQTPECIQNQLWAYFSVMSPVPLIPPMAEIKDKKNHYNPEIMI -TCSNNAFPRLPGIAEPKALYRRRHQLIRVQLKKPECPNYPFGFTVEEVNELKHLEFAVATDVRVEGTYKG -AYSYNELIQMLIKDFHKFKERSAAAYEERKRLQFSMLTDSQAKKLPETKKISEYLKEIDTKHQEEIAKQK -LDFATVEETVKAIDEIQSHADFSLRWRADTSLLLPGAKTVEENAAVVDEKAAQVSDPESDTGGAVVFEVP -DTMTNEQLLAAFDPLTPEELAEFDRSRQDMREMENETNRKIKEVIKKSKLMEFEDKIGQDISRTVPERIQ -FLCKFSSFASYILRTGTADNAPASNAQNNFLLALRVYNNNVPCKDKINMLGDICSKRCVHYLIKYCTSYR -IERKFGLSYPVWEVPIKYDPISQEFVYSKYSNQILNISDFLCSDPNCIVNRPSEWLKLVSQWLSWGLKYA -PWCAYSKDCPVVSCAVSKISKFRKFINAIQYAIVSTVKFCLYDVFYNSIHWLGTVFITVGGFLSGLAGIL -GLVGLFKVPRPQLVSSGDTVTKAGTANVRKIIFGQFNPGPQSSVSNNNLELISSVYNRINRNTVYINYED -KVLNKVSHMKCIIIKERYMIVLRHYIEYLECNATDTSNVNITWADCGSYPFNYKDYKIYWCENSNIGVLR -LGNWFSARRSLIPFIAKSDSFLGNAGRECVILDVKLSESYVYNENIKLVDNVTIAPTSYSKMLIMPNAYM -YKKNYPGMCGSVLMNEATNTPILGIHVAGANGKGFSEPICRAQFDSLFQHIEKGLVEDVIPTDWRELETR -FIPVDQRKLFLSGTHTYLGNIDPNIAKYEAGVSSIVPSTIQGVFPIGTEPGPLTPRDPRVNGEFSPLLTG -CEKHCNPTIDFNKEDLAYAESDLSSLIIANCKPIRVSCSALTELQAVNGIPDLEGYQSMTWNTSEGFYLS -RLRPKNAHDKRWLFNFDKNGQVVSLHPHLREILDIKMSDRLSGIVPATVHDDCLKDARLPLSKIKIPGKV -RVFSISPVDFTIQFRQYFLDFIASYTKARFNCEHAIGINVHGYEWSELAHFFEGKKLITGDYSGFGPSLN -SEVVAAAFRIICKWYKQYGCSVEDNHIRMMMSKELINAKHLMRDYLYEVQCGLPSGNPATVIFNSIVNSI -YIRCAWLDIMRNSSYKSLLDFRNNIKLITYGDDLIASIDDSVSNSFNNNSLSHFFLKHNIKFTDAAKTGV -NVELYIYLETATFLKHAFKPHPIRQGVYLAQLEELSITECANWIRKSPDPKTATYDNCVQGTMLAYGHGP -DYYNQYVKKISEAWFEKYEEEFIVRTWSELDHLFLVEGMFIDW diff --git a/seq/clusters_seq/cluster_300 b/seq/clusters_seq/cluster_300 deleted file mode 100644 index 6714ca2..0000000 --- a/seq/clusters_seq/cluster_300 +++ /dev/null @@ -1,72 +0,0 @@ ->NP_758889.1 vpx protein [Simian immunodeficiency virus SIV-mnd 2] -MAEGAPEIPEGAGEVDLNTWLERSLEKINQEARLHFHPEFLFRLWNACIEHWHDRHQRSLSYAKYRYLLL -MNKAMFTHMQQECPCRSGHPRGPPPPGMV - ->NP_056840.1 vpx protein [Human immunodeficiency virus 2] -MTDPRERVPPGNSGEETIGEAFEWLERTIEALNREAVNHLPRELIFQVWQRSWRYWHDEQGMSASYTKYR -YLCLMQKAIFTHFKRGCTCWGEDMGREGLEDQGPPPPPPPGLV - ->sp|Q89721.1|VPX_HV2EH RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MDPRERVPPGNSGEETVGEAFEWLETTLEHLNRVAVNHLPRELIFQVWQKSWAYWREEQGMSISYTKYRY -LCLMQKAMFIHFAKGCGCLREGHGPGGWRSGPPPPPPPGLA - ->sp|Q76636.1|VPX_HV2UC RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MDPRERVPPGNSDEETIGEAFDWLERTITELNRVAVNHLPRELIFQVWQRCWAYWREEQGMSSSYTKYRY -LLLMQKAMFVHYTKGCRCLQEGHGPGGWRSGPPPPPPPGLA - ->sp|Q74122.1|VPX_HV2KR RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MADPRKTVPPGNGGEVTIGEAFAWLERMVEAINREAVNHLPRELIFQVWQRSWRYWHDDLGMSQSYTKYR -YLRLMQYAMFIHVKKGCTCLGGGHGPGGWRPGPPPPPPGLV - ->sp|P18045.1|VPX_HV2G1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MTDPRERVPPGNSGEETIGEAFEWLDRTIEALNREAVNHLPRELIFQVWQRSWRYWHDDQGMSPSYTKYR -YLCLMQKAVFIHFKRGCTCLGGGHGPGGWRSGPPPPPPPGLV - ->sp|P24110.1|VPX_HV2CA RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MTDPRETVPPGNSGEETIEEAFAWLDRTVEAINREAVNHLPRELIFQVWQRSWRYWHDEQGMSQSYTKYR -YLCLMQKAVFIHFKRGCTCLGGGHGPGGWRPGPPPPPPPGLV - ->sp|P19508.1|VPX_SIVSP RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MSDPRERIPPGNSGEETIGEAFDWLDRTVEEINRAAVNHLPRELIFQVWRRSWEYWHDEMGMSVSYTKYR -YLCLIQKAMFMHCKKGCRCLGGEHGAGGWRPGPPPPPPPGLA - ->sp|P12514.2|VPX_SIVS4 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MSDPRERIPPGNSGEETIGKAFEWLNRTVEEINRAAVNHLPRELIFQVWRRSWEYWHDEMGMSESYTKYR -YLCLIQKALFVHCKKGCRCLGEEHGAGGWRTGPPPPPPPGLA - ->sp|P11266.1|VPX_SIVML RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MSDPRERIPPRNSGEETIGEAFEWLNRTVEEINREAVNHLPRELIFQVWQRSWEYWHDEQRMSQSYVKYR -YLCLMQKALFMHCKKGCRCLGEGHRAGGWRPGPPPPPPPGLA - ->sp|P05916.1|VPX_SIVMK RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MSDPRERIPPGNSGEETIGEAFEWLNRTVEEINREAVNHLPRELIFQVWQRSWEYWHDEQGMSQSYVKYR -YLCLMQKALFMHCKKGCRCLGEGHRAGGWRPGPPPPPPPGLA - ->sp|P05917.1|VPX_SIVM1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MSDPRERIPPGNSGEETIGEAFEWLNRTVEEINREAVNHLPRELIFQVWQRSWEYWHDEQGMSQSYTKYR -YLCLIQKALFMHCKKGCRCLGEGHGAGGWRPGPPPPPPPGLA - ->sp|P20881.1|VPX_HV2ST RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MAGPRETIPPGNSGEETIGEAFEWLDRTVEAINREAVNHLPRELIFQVWQRSWRYWHDEQGMSISYTKYR -YLCLMQKAMFIHSKRGCTCLGGGHGPGGWRSGPPPPPPPGLV - ->sp|P06939.1|VPX_HV2RO RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MTDPRETVPPGNSGEETIGEAFAWLNRTVEAINREAVNHLPRELIFQVWQRSWRYWHDEQGMSESYTKYR -YLCIIQKAVYMHVRKGCTCLGRGHGPGGWRPGPPPPPPPGLV - ->sp|P05915.1|VPX_HV2NZ RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MTDPRETVPPGNSGEETIEEAFAWLDRTVEAINREAVNHHPRELIFQVWQRSWRYWHDEQGMSTSYTKYR -YLCLIQMAMYMHAKRDGTCLGGGMGQKGGDQGPPPPPPPGLV - ->sp|P12454.1|VPX_HV2SB RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MTNPRETIPPGNSGEETIEEAFDWLDRTVEAINREAVNHLPRELIFQVWQRSWRYWHDEQGMSRSYTKYR -YLCLMQKAVFMHFKKGCTCRGEGHGPGGWRSGPPPPPPPGLV - ->sp|P15836.1|VPX_HV2D2 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MDPRERVPPGNSDEETVGEAFAWLERTITELNRVAVNHLPRELIFQVWQRSWAYWREEQGMSISYTKYRY -LLLMQKAMFVHYTKGCRCLQEGHGPGGWRSGPPPPPPPGLA - ->sp|P17760.1|VPX_HV2D1 RecName: Full=Protein Vpx; AltName: Full=Viral protein X; AltName: Full=X ORF protein -MADPRERVPPGNSGEETIGEAFEWLDRTIEALNREAVNHLPRELIFQVWQRSWAYWHDEQGMSTSYTKYR -YLCIMQKAVYIHFKKGCTCLGRGHGPGGWRPGPPPPPPPGLV - diff --git a/seq/clusters_seq/cluster_301 b/seq/clusters_seq/cluster_301 deleted file mode 100644 index d1b2341..0000000 --- a/seq/clusters_seq/cluster_301 +++ /dev/null @@ -1,307 +0,0 @@ ->YP_010088052.1 MAG: RNA-dependent RNA polymerase [Neofusicoccum parvum chrysovirus 1] -MMDSSPTFAASYTGPATMKRTLLRYERNKLQAHWDLHAVVLPMLGGKSTLANRYSGYDIDEMVVGYEPLA -CDKEWEVMIDKREEAFFMDRPESYVLANSLMLKRARRFLSAFQRDCNAQVLYVHTAELAEALGAKVVFCG -SVNPAVIKASSRAKEMDADELRRCLKLADEQDRANKAYCWKHDIRHAGEFYSYSDVGERVGVVLQRSGVI -PLDQRSDAARRSLHMAKSKRDQINTAYTICRDRSYVPWVRAIASRVVEGYMGAAMPEEAAACNSYAAWAR -VIQAAEQHRLPEMPLGNTERSWSEQFPYGPGNSRFALVRIADWLRGVSAGPDDYLWLRQLLNRNDCSYER -AACTAVMGDVFSYIAPELNRYIALLPLGSLAPLDYAEVSKAIHALVRGTRTLLGAELTTLGASLCEYWDC -LAGRYLGAGDMEKEIADRTTEQVPRVYIENDGSRSAEVFNKVFAEEVRQLLFTTINDGGAQMRAGSSITE -DFDTFLEYRKKWVRPGSVTGSPKSDVYLQVVGDREAQVAELADDIAAMGTYVLSKVRLNKAATFEFADFP -NIVRNVLRDYVPNSFTRYFIKNELAKLKGRALFPSHVVHYIVGQFVLYSLMQGLPLEKVRLTAGSEDVMN -DHSLWMAAREFTVGLMLDYDNFNEKHEFADMQMIINELKGLYRVAGVLNSDVSAMIDWVVEAYDRTVLEY -GDHLYAFGHGMLSGQAPTSAINNIINGANKRVIRRQITSLTGLSVMTNRTSGGDDVAAETNDLYEAAVVI -AVGQKMNFAFSTHKQLVSSGFYEFFRLMVDDTGVYGSLPRALGSICSGQWSNSIKAKFVDPASKLGSVVE -MARKLTRRADCNITFMDKICRVAFEKWATYGEKRLVEGYIHGRRADGALGVPMADGTILEVDPIHQPDHE -EVELVGVPYDASLVVARDQVAAAVAVVGQEFAEDEVRLAKRMASTVFKANVAAMEGAGAAQVIGAWAGPS -AVRVRNRKNIPLIPREKRLRGLEGFKVPYERYREEVRAMERAGAKYDALSAAVTKRGRKRLAERVALDCG -VNAERLLYWKEELTLYGCGTILLTEDYYSLAQVLAVITAPEVSDDSMSLRLAEYAMALDTAGVLSY - ->YP_010088049.1 MAG: RNA-dependent RNA polymerase [Coniothyrium diplodiella chrysovirus 1] -MPDSPTVGASYSGTPLGRAGTLLTRAPSPGLIGKWSGLVAVVLPMCNGKSTCAMRFGGYDIDDVVVNDGE -LRCDKEYDVMIGLREAGLWDMQEQAMYAQNQLLLRRARRFFEQASPDGNALILYVHTAELASALGIPIVF -AGAVDSIGVANSERVMALSDEERRMTLRVAREQTGANNAFCRRHNLDHHIYSSYSSMVAEIESALVRSGH -YVHDQELDGLLQATGKRARATDRLDGAMRVIKRREGRTAVKAVAARAVIQSLGGMAPQEAHLYHNHPAWA -RAIQAGGQAEDRSVSVATIRSWSEAEWKEKFPFGPGNSAFALCKLSDWVDATSDEELEQYYWFRQSCALN -DVRYERLLSMALYCDAAVRSARKGDTLVLAVMKSFPLGALNTEAFMEVAQHMHNITRISCTYMCKRVPSS -MLPRITYLHCLSGRNFGVVDMDKEIADRTSVRTPKWYFVNGHRSEREFDKRFTEAVRKSYDYLGTKTLDS -MLRVFDEYPDFDTFMEKRKMWVRAGSATGSPKTDIKLKVPAEMRYAVEELSQDVLECGFRVITNVRLNKA -AAFEFKEFPALVKKALGDYVPNSFTRHFTKHEVGKPEGRALYPSHLLHYIVMSYLLTIAEKGGSMPGTRL -LSPTDQQAEDHLLWREARDVSVGLMLDYANFNEQHEIKHMRTVISELGSFFCKFHGLSKDVQDALSWASD -ALDNIVFEYGDKSVYFLHGLLSGWRNTTWNNSVINRANKLVIAQQVKDITGVSVLTEFQSGGDDVASEER -SYHDAAVILRVGEAMGFEFKAIKQLVSSSYVEFYRLFVSKEGVFGSLCRMLGSAASGQWSNSVIAKFVDP -ASKLNSIIEIARKAGRRSLFDMSYAEKIAICAFKKWATYDDIKLADELIHGTIETGGLGVPKVDGTVYEL -ERFVMDDASEEKVELVGLPSDASRVVAEKACAEVSDMLGAQAAEDPKLLAQQMSSAVFMGALGSSEGART -LQKLNRKAVYTYKPRVRRVKTIPAAAFAQERSGRYMRDKEKYAEAVADYRRAKKRYSSLVKACKGMYKEA -LALKITDGTNCDFEKLIAWCDRITMYGCATYMLTEDYYETVMILALHTSDGTEDGVAWHAARYACGLAND -GYLFY - ->YP_010088028.1 RNA-dependent RNA polymerase [Aspergillus thermomutatus chrysovirus 1] -MNQPTLGASYSGTPTGSNATRLLADGANQHLPHWSGLKAIVAPACCGKSTLALRFGGYDVDDVVADGSTL -ELDSELDEMIGGREDGLFSGDGEAMHRQNLIMLQRARRFLSIVEPDDNPLVLYCHTAEFAEALGLPVLAV -ILVDEDAIKASSRLQQAPSSIRQATLRLFQDQSAANVEFARRHGLDVVRCRTYGGAAQRVRALLSRAGII -SDGPHATEYYSMLDNSPKESELLDRCMALLRKDAGPQWLRACAARQLRLSLGDTAPTEAHRAHNHPSWAQ -VVHAIFSNARTNPVLTVPDLSEDEWRVKFPLGPGNASFALCNISDWVARSRPHIDMPEEYLWFKQLCSVA -GVKYERALCFLTMGDVASYVVPQYKELLHRLPLGSLSDEAYAPLSKLIHNNVRVGLNYLGRRVPVSDLAY -LTYFDCLAGRVIGTENIQTEIEDRTRLQEPKRFFANGAWSAAEFDQRFENAINAAYDHLSRGFWKTLQRL -GSEVETFEKFLETRRQWVRPGSATGAPKADVWLQVPEGLKSQLDDVTVDVSGMTLAILRRVRLNKSALFE -FPQFVELVREAVDEYLPNSVTGFFWKHEAGKPESRALFPAHLTHYIMVSHVLYLAEKGGEIPNSRLTAGS -EAQQRDHWLWRESHDASVHLMLDYANFNETHSIEAMKSVMLGLKSVYARYGALSPDLQYAINWTAESFER -ILLSYDGQLIRLTHGLLSGWRCTTWINSVANVAYLRVIGEQVGVFTGRPVFLDFQSGGDDVAAESRSLYD -AALALRVGAAMGFEFKAIKQLISYEHREFYRLFVNEEGVYGSLCRMLGSALSGQWSNSVLPKFVEPAAKL -SSVIEIARKAGRRARNLSFMEKMALCAFDKWATDGEHQLVDYVIHGTKATGGLGIPNVYGDIYELDGGPV -QQEGSLKPVNVPDAASRPLAKKMVAEVEALLGPSAVVDVGTLSQEMAAGGFFSSIAQSLGPRTLRVAGQR -VSRKVVRRKAIREEEFHGNSSSFVAARHRWGQELAAMKRAGKRYSALAQAVKPERRRDLATMVCLEYPGS -DPGLLQFWQEGLELYGCATYLLTEDYYEDVVLLALLIEGPDIDRVSRRAAELAVGLKNDGYMYY - ->YP_010085115.1 RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus 2] -MSTFSASYSGTTVHRQATLDYTSGEVEDFEKLAAWDSAIAVVAPVCHGKSTLASHFGGYDADDLVADEGL -GREEDPEWEEYCAHLPAPGEQQTQHALQEANRIRFRRLQRFFSICVPDSNAPVVYVHTLEYAHKLRLNVK -LVLEIDLGAISESERFADMTTTDAEQYLESIRRQQSANREFAVRHGYSRPQYCPSYTDAYYAAKRVVERD -CSAHLRPNFYTEHISPQLASADPVVSLINKCTTVLSTNAFGKYEKAIAARAMSNLYQEAALDVTHQHHNH -ARWASEIHRVATPAYYANLKTFLTNREPIKADEETIRAAFPIAAGTPKFAVVHVSDWLKRDTTEYNGLAW -STQLLTTQRHGNASACSYERLLSTMMYDKILEDNPKYAKMRDASRRLKLGLLPASEFAKRSSACHNLIRI -SGTVFGEVMPESQIGLLTYWSSLAGRSQEEVDIEKEAAERAVMSAPKRYYSKALGRWSGEEFDARLHRAI -VHGFSETTTEISDKIYDIAEWATDFDEFLKHRKQWVKSGSATGAPKTDLYLAVPAEYRNMVSEVAESVAE -GVSLTLHRVRRLRLNKSATFEFPEFVQIVKDALRDYKPNSFTRYFTKKEVGRAKPRSLYPSTLMHYIVCC -FVLTLVEKGSPAKGTRQQARSDQQRKDHWLWVETYDRVTALMMDYVSFNEQHENKHLKDLINSLKGWYSQ -FGLLTADMKWAIDWVQESFDNIVLEVGDKHYQFMNGLLSGWRMTSFGNSWLNKAYMAVIKEQVLELSGRV -VLEHAQSGGDDVMALETSLSNAHITLNIGQAMGFSFKAIKQLISNKYREFFRLFATGSGVYGSVCRIIGS -AASGQWSNSVVGTLVEPSVKFASVIDVMAKIMRRSDFPLAFGECMTACMYDKWARIEDKRMTVAVLHGTV -ASGGRGIPTADGTMYELGGMKIMAPRQSVVKLHGVPHDASDVAVETMRQAARSYVDESELLPAGTVAVGM -ANKVFHSALAQAQGIGVAQLAVPSDVEYYQQQPSITRVLTQGPVNDRRYRFWDKFRELDNRLSSAKRAEA -KLSSIEQVLSTAGYNKALDSIALECGVEAARLRNKMDWTLYGYARVALTEDYYDDVVWLATLCADDESNM -NYIAAAFATDLSDMMRY - ->YP_009553287.1 RNA-dependent RNA polymerase [Alternaria alternata chrysovirus 1] -MSATLGASYSRTPTGEQGTRLRSGVSHSQARLPAWKELVAVVAPSCCGKSTAAQTFGGYDLDEVVADASD -STLDTELDEMLACREDGLTQGRNDLMHRQNAIMLQRARRFFATVEPDCNPLVLYVHTAEMAAALDLEVLS -VISLPINVVASSPRAKATSDYERRVLLKLVEEQTSANDEYSRRHGLEKSPCTSYEMVHSRIRSLLFGRGV -LKMTPAAQAWLSALGPASSEEVVMNKAMAILRDRDNIRWLRASAARYLLGSLGEAAPHEAQQACNHPTWA -RIVHAAASASRNLSAGAVPSWTEAEWREQFPLGPGHAGFALCNVSDWLEMTGPAIHADGAYEWFRQVVQL -SGTGVKYERLMCLLSFGDVLAYVVPQWQELVYRLPLGALPDSAFITIAKEVHGAVRSGLNYLGRELQVKD -LTYFTYFDCLAGRIIGANDIEAEIEERTNPQKPKVFFQDGKWSQEEFDKRFREAVAVQCDSMARSMGKVL -ASLGDQVESFEEFLRHRRLWVKPGAVTGAPKADVYLKVPESKMKELSDVAEEVADMTIVVLKRVRLNKSA -LFEFPQFVELVQEALADYEPNAFSRYFWKMEPGKEGGRALYPCHLLHYVMVSHILYLVEKAQPMDNSRYP -ASATDQREDHWLWRESHDAAVHLMLDYANFNETHSRKHMQMMFKQQRAVFAQHHALTESLEQSFRWVEEA -FERICIEHEGNLIAVSHGLWSGMRNTTNTNSLANPAYLRVIGEQVAVLTGISPLTSAQTGGDDVAAEARS -LYEAVLILRCGGAMGFGFKASKQLIAYKYREFYRLFVDEHGVYGSLCRMFGSAFSGQWSNSVLAKFVEPA -AKLLSVVEVARKAGRRARSLSFMEKVVTCAFRKWATDGEEQLAYNLVHGTKATGGLGIPTALGDVLELDG -YAEPEERLEVVGAPAAASMELATKVTTEVSNLLSPECAVDVRQLAAQLSEGAFLSAVAQNRGPGVLRVSG -SRRNPKLVRRKAIRAEEFPKASSNLFYRKLASAREWMQAMRRAGAKYASLSQAVKPKYRRLLAEKVCQGI -PGAEAELVYFWKEELELYGCATYLLTEDYYADVVMLALQQHKCTSDTVSRKAAELAVGLANDGFMHY - ->YP_009551629.1 putative RNA-dependent RNA polymerase [Colletotrichum fructicola chrysovirus 1] -MGATFGASYTSVANRGTRIVGGSSLPAPLPFWAGTKAIVLPVCSGKSSLCFRFGGYDIDGMVGDASELPD -SELDAMLIAREQAYMHQDAGGMDKHNQLMLLRAARFLATVYPDTNPRVVYIHTVEMAVALGLEVIGVFSV -EEQAILQSKRMQAYTAQERLIFGRIAREQAAANVTFCQRHGLDQPTRMGSYHALTNRVESLLVQHRVISM -GAPDLEYIRQLNNVASERELLSLAERRLYDKSSSSWVKVSACHHITRSLGDAAPQEVHQHCNYVRWAEIV -HSISAQRVPASRLNVNFAQAEDVWREEYPLGPGNSSFALVNVSDFLKRTDVASHAGDSYKWFIQLCQRPG -ITKYERLLCALVMGDVSAYVVPRSGCSTAAQYSEVTASQLLDALDLGCLDDQTYLTVAKAIHAEVRVGCN -FLGVPLQPKMLSFFMYFDCLAGRLLGQPTAEGFEAEMQDRITPEKPKKFFINGAWSEAEFDKRLKEAIAV -GYDYMATRQTRGLEKIIQQVETFEEFLKHRKTWGRAGSATGSPKADIYLKVPRDHMLAAEEVAAELGDAL -LIVLRRVRLNKAAFFEFPQFPQLVADALKDFKPNAFTRHFMKSEPGKEGGRYLYPCHLIHYVVVSHVLAL -CDKAGQLPNSRLNAPPSAQREDHWLWRETMDTSLQFMLDYANFNETHAIKHMQETFTQLKSMYAKLGGLS -KDLSNMIEWVVTSLDNMAMEYKDEIVFWTHGLQSGMRNTSHTNHVLNPAYLTVIGQQVEEMTGLPTFIRE -QTGGDDVAARAGSLYQAAIVLRVGQAMGFKFKAIKQMLGMKYSEFFRLLITPEGVYGSLCRMLGSALSGQ -WSNSILPKFIEPATKLSSIIEIARKAGRRAMLNLTFMEKLYLCAFDKWATQEEEKIAEELIHGTVASGGL -GIPYPDGSILILDGQPERLERPVIVGIPTDASRHVAEAAVKDADELLGPGHTIPANTMAKTMAEAVFQGA -VAQALGPRRVRVIGKMRVPKVKAKLSIPREEFSSANSSKFMHWTERCRESVENLRAAGAQYDSLSGAVQP -AARRLLARRICDKRGKVDHHLLYFWKEEFFLYGCATYMLTEDYYDDVVMLALLNAPQCNSESVSRVACEL -AAGLSHDGYMYY - ->YP_009667018.1 ORF1 [Penicillium janczewskii chrysovirus 2] -MNTPTLGASYTRTPTGGNSTRLFASDNDAKLKEWEGLHAIVLPTCCGKSSAALRFGGYDIDDIVADASID -ELDTELDAMLGAREDGVHGNDSSAMHRSNRLMLMRARRFFATVSPDDNPLVVYCHTAEFAQALGVPVGLV -VHLDEEAVSNSIRMTRETTPEVRNVTLQVYREQTAANREFSRRHGLSSRDCYSYSDVQQCVWSALVRCAV -LAPCRQGDEYHAMLDSRPQLSDVLNRSHAVVRTTSTPNWVRACAARQLKLSLGDLAPTEAHAAHSHPAWA -SIVHIVASQTGHVPEQAYASIPDWSEEEWREYFPLGPGNASFALVNVSDWLARTPSDALRSTAYSWFRQL -LLVRGTKYERLLCMLVMGDVSHYVAPQYTELYSRIPLGLLSDVVYATISKQIHAAVRVGCNYLGQRIPSR -DLTYFMYFDCLAGRVIGTEDIDAEIADRTRLQSPKKFCLGGEWSESEFDNRFTGAVQKAYDHLTQGLGAK -LRAMTNVIDTFDHFMEERRTWVRPGAASGAPKADLMLEVPASQAEALDAISTDLGHMTVLILRRVRLNKS -AVFEFPQFVDTVKEALRDYVPNSFTKYFFKFEPGKFASRALFPSHLLHYIMVSHVLFVAEKGGVIPETRL -TAGPEAQQEDHWLWREMHDTAVHLMLDYANFNEQHSIKHMQATILGLKGVYSKHLSLTPDLARAIDWTVE -SFERICAEQDGKLVRFTHGLLSGWRCTTWVNSIANVAYLDVIRQQVQELTGRSVLVRTQSGGDDVAAEEC -SLYDAALTLRVGEVMGFEFKAIKQLISCEYREFFRLFITREGVYGSLCRMLGSALSGQWSNSVLPKLVEP -ATKLSSVVEIARKAGRRARNLNLMEKMALVAFDKWATTGEECLVDFYVHGTKETGGLGIPNVTGDLYVLD -GSPDERPTHLKPVGHPSDASSVTAARIVDKAVSLVGPEAVLPTATVASTMAEGAFVSSIAQNLGPRALRV -GGRRQSHKVVRVLRIREEDVAPGRTSADYQHSKLALRVQLDAMKRAGRRYAELAPAVKPAKKLDLARSVG -ASEGVGGDLLYYWQEEHVLYGCATYMLTEDYYEDVVLIGLLQRGRDRCAVANRCAELAMGLRNDGYMWY - ->YP_009353026.1 putative RNA-dependent RNA polymerase [Botryosphaeria dothidea chrysovirus 1] -MSATLGASYSGTPHGPNVTRLTGRGTAGLRPLPAWRDMVSIVLPSCCGKSTLCSRYSGLDLDDIVADSSD -YDMDAELDEMLAMREAGLIHGDKHQLIKQNELMLTRARRFFATVSPDSNLKVVYCHTAEMAEALGLRVLA -VYSLPDEVVAASQRMREADVVVRGATMRLMREQREANWHYARRHDLEHVEVKAYSTLVHSVGEVLSRARV -LQYTDQARDYVAMAFNQPKEAELLNRSLAILRSRSQLPWLKAVAARQLQLSLGASAPCEAHHEHNHPMWA -RIVHAVASAVVEPALTYVPEWDEDTWRDQYPLGPGNAQFAMCNISDWVRMGGAAMADPDSWVWFKQICAH -RGSRYERVLCHLVFGDVVAYVVRPEHKELLNRLPLGSLSDVDFAVMAKEIHNNVRVGLNYLGVALAPSDL -AYFTYFDCLAGRLMGEEDIEAEIEDRTRLQKAKIFFEDGRWSQSAFDTRLGEAIQTAYDEVSANIGKVLV -EMSDQVETFEAFLKNRRRWVKPGSATGSPKADVYLRVPGDRIEQLEELTTEISGMTVLVLKRVRLNKSAV -FEFPEFVDMVKQALEDYVPNSFTKYFFKKEPSKVKSRALFPGHLIHYIMVSHILYLIEKGGPIRNSRIMA -DSTAQREDHWFWRESQDWCVHLMLDYANFNETHAIKHMQQTILGTKAIFAKHNRLSADLRKSIEWVSESF -EKIAIEHEGDLIIFTHGLLSGWRNTSFTNSILNRAYLSVLGQQVEMLVGFHPFLNYQSGGDDVAAEGRTL -YETAVTLRVGKVMGFEFKDIKQLIGYRYREFFRLFVSEDGVYGSLCRMLGSALSGQWSNSILPKFVDPVA -KLSSVIEVARKAGRRARSASFMEKMAMCAFEKWATDGELRFADHIVHGTRETGGLGIPNVYGDVYELDGT -FTPTENYEPVGMPTDASGELAASMIEDAQKFLPPEATLPHEVLATQMAAGAFTSSVAQNRGPGVLRVGLA -RQDRKLVRIKRIRAEEFPATTSALFQERLIRGRDWLRAHRQAGARYSALSQAVKHPYRRVLASEICKRVP -GADPAVIYFWKEMLELYGCATYLLTED - ->YP_009182332.1 127 kDa protein [Penicillium janczewskii chrysovirus 1] -MQSPTLGASYTSSPKLGGGTRLGRSMSNEEKRPLEWGALQAVVLPMCSGKSTYALRYGGYDIDDVVVNTG -ELKIDDECDRMLELREAAVWRGEREGLHEHNEILLKRARRFLEICSPDSNAPIVYIHTVELADALGLPIL -ACIELDEQIIRETKRFKNASLSVQGHTLTIAREQAAANAVYLQRAGYGTPRRCRSFFELDAYLDANIGKH -ISQNSETKAMIEVLTSRMHEREKLDALYAYVKRSGQPDWAKAVASRNLVHALGDAAPQEAHHHHNHPGWA -RYIHAVYSRVPRSVPGDWHIRSITEDYVRERFPMGPGSSSFALFDISSWLRHTPESAWLEGWHWARQLLS -HPQGATYERLAATIVMGDALSYAKPQYGEICSRLPLGLLNTEEFSVVTQHAHALVRAGCNYLGRKLPTAD -LALFTYWHCLVGRHLGKLDVEKEISDRTSVRAPKFWYFPDGRKSSSEFSRRLRGAISRSYQHLGVVAKDK -LLTMEEDMRTLESFLRIRKSWVKPGSATGAPKTDLYLTATVEKEALAKELGAELLDATHLVIRKMRLNKA -ATFEFPEFPQLVQEALDKFEPTSFTRYFTKYEVGKLEGRALFPANMMHYIVTSYILFLAEKGGSMPNTRL -NAPADQQLLDHWLWHDTRDYVFGLMLDYANFNEQHEIEHMQMVIGELQYYYSKHDLLSDSMRQAFKWVMD -SFDAIVFEVDGKGHKFNHGLLSGWRCTSWVNSILNVAYMDVIAQQVHQMTGIRVLTSAQTGGDDVAATTA -SLYDAVVVLRVGEAMGFEFKAIKQLMGSKYVEFYRLFVSSDGVRGSLCRMLGSAVSGQWSNSVIAKFVEP -ASKLNSVVEIARKAGRRCELNMSIMEKMTLCAFEKWARHDDIEIARELIHGTVATGGLGVPTPAGDVYEL -EQAGVPARADSLEIIGLPHDASDVSAERITTAVRDLLGKEAAMKSSVLAEKMSRSVFIGAAATARGPRLA -QRLQRRVDYTRKPRITRIKRVRPEDVRARHNTMLRHRLDEHKELIRSYTRAKNRFDFLRAGTVDSYAEPL -AMAVAKDNVGVDPLKLIRWRERNTLYGCATYMLTEDYYDAVITLAVIESKDGDEDEVSRIAAGYAKGLSE -EGYTFY - ->YP_009158913.1 RNA-dependent RNA polymerase [Fusarium oxysporum f. sp. dianthi mycovirus 1] -MSAFNASYSHTTVHRQATLDYTKGDVEQLEMLPCWKDCAAVVAPVCHGKSTLAMQFGGYDADELVADEGP -HREDDPEWAEYVSCMPTLGGAFDEQKQIRANQIRFTRLLRFFSVQERDYNLPVVYIHTAEYAHLLGLNII -AIAELDLEAIAATSRFDGMSSLEQHHYLESIRKQQSANREYAQRHGFEAPQYYPTYTEMLYSMKHKIETR -FASELRPSFYDKHVSPEPAGSQKMDTILLRCARIMETNLYTRAEKAIAARTMFSQFGETAIDITHTIHNH -TQWASVIHRVATPAYFANIRKYLATHDRYICPNSEDAVRDAFPLAAGTPKFAVCRIYDWLRFDTTEYDGE -AWSVQALVTQGVGDGTVWSYERLLSTLFYDKIISDQYPHTGAVMGGLGLGFLAGVEFAKRGSECHNLVRI -TGTIFGHEFDESLIGLVTYWNSLAGRSPLEVDIEAEAEARAAMSAPKRYFDTKLNRWSSELFDLKLHEAI -VDGYSEATNTAYEKIAQMAEWATDFDEFLKHRKQWVKSGSATGGPKTDLYLRVPAEYRDMVADITEEVAV -GVNMALHKVARLRLNKAATFEFPEFVAIVKEALRDYKPNSFTRYFTKKEVGRANPRSLYPATLMHYVVCC -FILTLAEKGSPVHGSRQQATEDQQRTDHWLWVETCDHVTALMLDYVSFNEQHERAHLKGLIGSLKVWYSR -YGLLTPDIAWAIEWIQESFDQIVLQVGDKHYHFINGLLSGWRMTSFGNSLVNKAYLAVIREQVLEITKKV -VLNHEQSGGDDVMSLELALANVHLVLRFGEAMGFSFKAIKQLVSKRYREFFRLFATREGVYGSVCRILGS -AASGQWSNSVIGTLVEPSVKIASVMDVLAKVMRRADMPLSFAETFSYCMYEKWARIGDKRMMLTMLHGTT -ATGGRGIPMADGSMYELEGVEIMRPRESIVEMVGVPYDASIVAVREMVEQAKKYVTADGILPEKEVALTM -ARKVFHGALAQSQGLGVAQLAVPDDVEYYTQAPKVRKKLTQTAIRDATYEFWPTFNRMNVQLEAAKKASA -KLAAIKQALNDKGYATALETIAVEAGVEPIKVRLREEWSLYGFARMALTEDYYNDVVWLATLCADTEQQM -NYIASALTTDLWVMGMLHY - ->YP_008914864.1 RNA dependent RNA polymerase [Magnaporthe oryzae chrysovirus 1] -MAGGYDEDVGSLGSGEPVFGASYTNIWHSTLLAAEGSVAHSGPALYAIVLPLSCGKSSLASVLSGYDIDD -MVVNSAALHADDEWRTMLDARSKGWAYEDKAAYRLANDLMLRRARRFLRAFEGDDNAPVVYVHTRELATA -LGLRIIFDGYVEEAAWLGCRRQLESDAVTRDRDLRAYRGQVAANRAHAIRHRQPEPVPYTSHSRLAEAAE -AAITRAGLCAGSPRDLADRTKLCGAPPQIMLDLAHSICRDRHRPAWLRAVAAKLLRYRMGEVLPQEALAA -DNYSEWARVIHATDQHRVAEAPAQSLRGQNWSEVFPYGAGNSRFALVKIGDWIDCTGTSAMGFGYEWFRQ -MVTRREGTYEQASCMLLMGDVFDYMAPELHPLIQRLPMGSLRLEHYAEIAKEIHRLVRSSVTLLGRRLDA -GQLSVCTYWDCLAGRYLGSGDMEKELADRTSEQKPRVWVSRDGTQSADRFAHEFACEVRALLHQTIADGG -EQMRTVTDMVASFDTFLEYRKKWVRPGSVTGSPKADIYLEAVSEREGMIAEVADDIAAMGTYVLANVRLN -KAATFEFAEFPAIVKRVLADYVPNSFTRYFIKNEIGKPAGRALYPSHLAHYVAGQFALYALMKAQPIPKV -RLASERDVAMDEHWMWMQAREFTVGVMLDYDNFNEKHEFADMQLIMRELKGLYRTAGVLSPDLKTMIDWV -AEAYDRTVLEYDGELHSFKHGMLSGQAPTSAINNIINGANKRLLIRQVEELTGRVIFQKRTSGGDDVAGE -TYSLYDAYLAVKCGQQMGLAFKDIKQLLSSDYYEFFRLFVSVKGVHGSLPRALGSICSGQWSNSVKAKFV -DPAAKLSSVTDAAFKIARRAGGNATFREKLCATAFKKWASYNEQALVRGFIHGERHSGGLGVPMSDGSVL -RIEPIQWPDEERVRLKGLPKDASQVVVEDAVKQATELVGPDSVESAEVVANRLSEQVFKANVAAMEGSRV -GQLLGSWEGPRHVRVHEVLRISEADVAATAPTAEEFRAAYAKHKTIIEYYRKAGAKYDALAGVVKPKARE -KLARASCNGTPCDYKKLYFWKEHLTMYGCGTYLLTEDTYDAASMLALVVSSELSNEAVSRRLAECAVALK -RAGLVSY - ->YP_003858286.1 RNA dependent RNA polymerase [Magnaporthe oryzae chrysovirus 1] -MAERYGEDVGSLGSGEPVFGASYTNIWHSTLLASDGSVAAEGPALYAIVLPLSCGKSSLASVLSGYDIDD -MVVNADAMQADDEWRAMLDARTKGWAYEDKAAYRLANDLMLRRARRFLRSFEGDDNAPVVYVHTRELATA -LGLKIIFDGYVEEAAWLSCRRQLESDAVTRDRDLRAYRGQVAANRAHAIRHRQPEPIPFTSHSRLAEAAE -AAIRQAGLCVGNPRDLADRTRLCGAPPQVMLDLAHSICRDKHRPAWLRAVAAKLLRYRMGEVLPQEALAA -DNYSEWARVIHAADQHRVAEAPAQELRGPNWSEVFPYGAGNSRFALVKIGDWIDYTGTSAMGFGYEWFRQ -MVTRREGTYEQASCMLLMGDVFDYMAPELHPLIQRLPMGSLKLDHYAEVAKEIHRLVRSSVTLLGRRLDA -GQLSVCTYWDCLAGRYLGSGDMEKELADRTSEQKPRVWVSRDGTQSADRFAHEFACEVRALLHQTIADGG -ERMRSVTDMVASFDTFLEYRKKWVRPGSVTGSPKTDIYLQAVSERESMIAEVADDLAAMGTYVLANVRLN -KAATFEFPEFPAIVKRVLADYVPNSFTRYFIKNEIGKPAGRPLYPSHLLHYVVGQFALYALMKAQPIPKV -RLTAERDVAMDEHWMWMQAREFTVGVMLDYDNFNEKHEFADMQLIMRELKGLYRTAGVLSPDLKAMIDWV -AEAYDRTVLEYDGELHNFKHGMLSGQAPTSAINNIINGANKRLLIRQVEELTGRVIFQKRTSGGDDVAGE -TYSLYDAYLAVKCGQLMGLAFKDVKQLLSSDYYEFFRLFVSVEGVNGSLPRALGSICSGQWSNSVKAKFV -DPAAKLSSVTEAAFKISRRAGGNATFREKLCATAFKKWATYNEQVLVKGFIHGERHSGGLGVPMSDGSVL -DIEPIQWPDEEMVRLKGLPSDASRVVVADAVEQAAQLVGRDSVEAVDVVANRLSEQVFKANVAAMEGSRI -GQLLGSWEGPRTVRVRDVLRIAEADVAATAPTVEEFRAAYAKHKTMIDYYRQVGARYDALAGVVKPKARE -RLARASCNGTPCDYKKLHFWKEKLTMYGCGTYLLTEDTYDAASMLALVVSAELSNEAVSRRLAECAVALN -RAGMVNY - ->AJD14830.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea chrysovirus] -MSATLGASYSGTPHGPNVTRLTGRGTAGLRPLPAWRDMVSIVLPSCCGKSTLCSRYSGLDLDDIVADSSD -YDMDAELDEMLAMREAGLIHGDKHQLIKQNELMLTRARRFFATVSPDSNLKVVYCHTAEMAEALGLRVLA -VYSLPDEVVAASQRMREADVVVRGATMRLMREQREANWHYARRHDLEHVEVRAYSTLVHSVGEVLSRARV -LQYTEQARDYIAMAFNQPKEAELLNRSLAILRSRSQLPWLKAVAARQLQLSLGASAPCEAHHEHNHPMWA -RIVHAVASAVVEPALAYVPEWDEDTWRDQYPLGPGNAQFAMCNISDWVRMGGAAMADPDSWVWFKQICAH -RGSRYERVLCHLVFGDVVAYVVRPEHKELLNRLPLGSLSDVDFAIMAKEIHNNVRVGLNYLGVALAPSDL -AYFTYFDCLAGRLMGEEDIEAEIADRTRLQKAKIFFEDGRWSQSAFDTRLGEAIQTAYDEVSANIGKVLV -EMSDQVETFEAFLKNRRRWVKPGSATGSPKADVYLRVPGDRIEQLEELTTEISGMTVLVLKRVRLNKSAV -FEFPEFVDMVKQALEDYVPNSFTKYFFKKEPSKVKSRALFPGHLIHYIMVSHILYLVEKGGPIRNSRIMA -DSTAQREDHWFWRESQDWCVHLMLDYANFNETHAIKHMQQTILGTKAIFAKHNRLSADLRKSIEWVSESF -EKIAIEHEGDLVIFTHGLLSGWRNTSFTNSILNRAYLSVLGQQVEMLVGFHPFLNYQSGGDDVAAEGRTL -YETAVTLRVGKVMGFEFKDIKQLIGYRYREFFRLFVSEDGVYGSLCRMLGSALSGQWSNSILPKFVDPVA -KLSSVIEVARKAGRRSRSASFMEKMALCAFEKWATDGELRFADHIVHGTRETGGLGIPNVYGDVYELDGT -FTPTENYEPVGMPTDASGELAASMIEDAQKFLPPEATLPHEVLATQMAAGAFTSSVAQNRGPGVLRVGLA -RQDRKLVRVKRIRAEEFPATTSALFQERLIRSRDWLRAHRQAGARYSALSQAVKHPYRKVLANEICKRVP -GADPAVIYFWKEMLELYGCATYLLTEDYYEDVVLLSLMHSDLDNDTVSRAAAEMATGLTHDGYMYY ->CBY84993.1 RNA dependent RNA polymerase [Tolypocladium cylindrosporum virus 2] -MAIKFSTASAFSEQKATMGASYIGEPSGAGTLLMPNERERIGVGKLHMHAVVLPVLCGKSLMCHKFSGYD -IDDIVVNEEAFKCDQEWEEMIDARERGYFGGDNSGYLTSNRIMLRRARRFFDLFVGDGNAPVLYVQTAEM -AEALGAEIIYVGSVARGVAAATPRYQALSDEEQRLMLKLLIEQDNANEVYCARHGLQYDGISNGYEVQAQ -RVSERLRRCGLNALTSASQDALDRLYLETSFRGRLNQSCAIACNAELTGWVRAVAAREAQVLVGAALPQG -AGQVHNHVRWARVIHALEQHALAVVPGPKPVRTRWSEKFPYGPGNAKFALAKIGDFLESVSERDYQNGYA -WFRQLLNRDDCSYERALCHLIMGDVWCYVAPELKPLVESLRIGGLAPLVFAEVCKGIHTLVRDTKSMLGA -ALNTHGLALCTYFDCLAGRYFGEGDIEKEIHDRTVSLEPRHFIMPDGSKSEAEFDMRFKNAVADVLHSTL -VDGGARILRASKITKSFDTFLEHRKAWVRPGSVTGSPKTDVYIKVVGDREMGIREVADDLHMMGTYVLSR -VRLNKAATFEFEKFPELVRDCIGDYVPNSFTRHFIKNEIAKVKGRALFPSHVVHYIVGTYVLQLLMKAAP -IEHARLIPDEATPRDEHWMWMEARDFTVGLMLDYDDFNESHEIRDMQMIINSLKGVYRRAGALSPDLSAM -IDWVVEAYEKMVFEFDGKQYHFLHGMLSGQAPTSMINTVINTANKRVIREQIFALFGESVMTKRTSGGDD -VAAETYDVFQAAMIVKVGEMMGFAFSTHKQLISTSDYEFFRLFVSAEGVYGSLPRVLGSLCSGQWSNSVK -AKFIDPASKLNSVVEMARKAARRAKGNITFLEKLCNAAFRKWATFGEHELVDGYVHGPRNKGGLGVPMAD -GSIYDIEPIPVDDTPPVELLGLPDSASRVVAKEQISDAKGIVGESGVVAEDQLAQKMAGQVFRGNIAAME -GAMVGQILSDARVPPTVVNITGVKSIRREHYDRHGHDVHVFRRDYARLKHRTDALKNAGARYDALAAAVK -PGYRRRLAHVVGLTYAVDGDLLYYWKENLTLFGCGTYLLTEDYYNAVQLMALVTAPGYSDNEISERLAYY -ATALANSNMMNY ->ABX79996.1 putative RNA-dependent RNA polymerase, partial (endogenous virus) [Aspergillus mycovirus 1816] -VLPMSCGKSTYALRFGGYDIDDIVVNERELACDTEALDMFELRHQAVWEGKAQQLLPHNQILLNRARRFF -TKCSPDSNLMIVYLHTVELADALGIPVLACVELDRHTLASSARMSASSPDVRAATLKIAEDQASANRVYM -QRAGLGAPRKCTSFSELDAYLTSVLSRQCNETPETRAMISDLLHCKDERTRLDNLYSFLKRKGMPKWAYA -VAARNLQHALGDLAPEESHHHHNHPGWARYVHAVYSCVTAKVSANPTVPRWDEDTVRERFPLGPGSAAFA -MFNIADWLHHTPQSAWEKGWRWAKQLMSSPNGASYERLAATIVMGDVLSYAKPEYAELCYRMPLGYLTTE -QFSMVTQHAHALVRAGCNYLGEKLPVKDLALFTYWHCLVGRHLGKLDMEKEIADRTHMRAPKYWYYADGR -RSSTEFSVRLRSAIEKSYHYMAVVSRDKLLSYEEMVRDLGSFLKNRKSWVKPGSATGAPKADIYLSTKQA -NEQLADELGAELLDAAHLVIRKMRLNKAATFEFPEFPKLVEDALAKFEPSSFTRYFEKHEVGKLEGRALY -PANLLHYVVTSYVLFLAEKGGTIPDTRINADADQQLQDHWLWSETREYVFGLMLDYANFNEQHEIEHMKL -VIDELAYYYQRHDLLSAGMLEAIKWVSSSFDNITCEHDGKMYTFNHGLLSGWRCTSWINSILNVAYLEVI -AQQVHLLTGVKVLTEKQTGGDDVAASTASLYDAVVILRVGEAMGFEFKAIKQLMGSGYVEFFRLFVGPDG -VRGSLCRMLGSAVSGQWSNSVIAKFIDPASKLSSIVEIARKAGRRCELNLSLMEKMSLCAFEKWAKHDDI -VIARELIHGTIATGGLGVPTVAGDVYELEPFEVPEHEDRLEMVGLPDDASMQAAQKVVAAVEEVLGPDTA -IAPKVLAQEMSRAVFEGAAATSRGPRLAQRLRKRRDYGAKPRVKRVKSVKPSDVTPRHIIQLRKAVEANK -DAIAAYHRAKNRYDFLAAGVKQRYKPELAMRIAVDNPGVDPGKLFRWREVNTLYGCATYMLTEDYYEAVV -TLAIIESNTGSEDEVSRIAASYATGLAEAGFTMY ->APG76052.1 hypothetical protein [Wuhan insect virus 29] -MLSSNTVRIKINRCYSLCVNKSVTPWIQAVAARVLEHLLGAAVPQEALHHHNYHLWARIIQAVEQHALPD -VQGEPDYDWSEKFQYGAGNSRFALGRIEDWLGLTNRALYKRGYRWFKQLLNRNDCSYERALCMLIMGDVW -AYVAPDIGKVADRLRIGGLDPLVYVEVGKAIHTAVRGSNTLLGRKLLTRDLAVCSYWDCLAGRYFGAGDM -EKEIADRTSDLKPKVFIMEDGSRSHEGFMVEFRRAVKEVLSSTVADGGEKMRRGLDIAKDFDTFLEFRKS -WVRPGSVTGSPKTDIALKAVGERETMIAEIADEIHTMGLFVLHKVRLNKAATFEFPEFVGIVKKAIGDYV -PNSFTRYFIKNEIAKPKGRALYPSHVLHYIIASYCLHLLMKGLPIEHARLVPEESIARDEHWMWRQARDF -TVGWMADYDNFNESHEIEDMQAVMHSLKTVYRQAGAMSDDLRHMLDWVIEAYEKTILEYEGGLYKFKHGM -LSGQAPTSAINTVMNASNKRVIRRQMHVLYGESVMNKRTSGGDDVAAEVYDAYQAALLIKVGQMMGFAFS -SHKQLISTTNYEFFRLFISSEGTYGSLPRALGSLCSGQWSNSVKAKFVDPASKLSSVVEIARKAGRRAYC -NVTFMDKVCAVAFKKWSTYGEQQLADGYIHGARDKGGLGIPRADGSVYDIEPLAKPKAEPVVLMGLPDDA -SSKLAQRQHDEALPIVGRNGVESVKIAAARMSEAVFKGNIAAMESVKIAAARMSEAVFKGNIAAMEGVGV -AQILGDPIPQQSVKIRSVRNIPRLPDDGTLTARHYWKDSKRLADLTDRISSAGRRYDGLAQAVKSEYRRR -LADTIALGYGIDGRLLYFWKEELTLYGCGTYLLTEDYYSGAVLLSLATTTKYTDTAVSERLAYYASALAN -SNLMNY ->pir||T00494 RNA-directed RNA polymerase (EC 2.7.7.48) - white button mushroom virus 1 -MLSGYDSDQIIGWAEDIPNFDVEYACISHTRAKAVVGEDIAHNLSCYSRLRTALSNINPDDNAPFIFVQT -CELAEAIGVQIAMVLIVKEGIIQQTDRYKSLSREEQKTLKHVHDVQNNHNKLWVEKYKYTHRVVLSYNDI -YHKVKGLYISVGCVKSSFGTDDVLSGIDEYTYDAREKVNEVSNLLKESKHPATKAVCARYLQQNFQAAAP -DYANASCSHVAWSRWVNAVKSHVRTESAHYPTNNMVMAMCDEEYVIENFPHQAGSDKFAACHISTVIKDH -MDKLKKPTNGRPVFVQTACLIGSVLKNKDIQCSYEKLLSFILYEMFLYTTDVEMHKLWSSVVYSPVDKDT -FFLCAEYAHARIRTSGTYLGIKLTGRPTDVIYVVSAMFSLVECMAKRIWHKSLTIVLLIGRRRGSGLMEC -LSEEEYNIRFNNAILNCYSHMTTGYSAKFGRLANDVESFKSFMQLRKPGHSQVPGNRCTKVIIRLTEHMA -ELDADPSAGELLTDPIDRIQLRLNKSTLLNFLPVVEAVEAAWRNYDPNSFTGVFWKHEVGKNASRSLWPA -HLVHYVLVSMILHLIDKSGEIPGSRNNAPSDRQLKDHWMWSECQDFVPLMMDYANFNEQHSIEAMKATIQ -PLRDVYAKAGVLSKDLADAIDWVVKSFDQICAIDENGDLRRFTHGLLSGWRCTAYINNLINIAQYEVGRQ -QLHEFFGMSSSYQFDTGGDDGCADEKDLVTAYSLLRSMTAMGFEFKDIKQLISSGTHEFFRLLITPEGVF -GSVIRMLASAASGQWSNSVRAKLVDPLSKMTSIMDIKHKLWRRSGYNDDWAEQLQHYMSLKWVDPESPKD -LTNFVHGQKSTGGFLGIPDCQGRLYELASVSGIEKPSKIELRSFPHDASEQLLNKLAPDIEQMVGADQME -DMERIAVNMSKMVFVGNVASGFSPAIASKMVESTNLRKVRAKRTGRVFAVDNTSPLTIDDVRKDLDLWYP -TIEDIKKVIGDYSSMSLLTKPTSHETLLMKLSVKHNVKLYSRLHYMLMAEPDITGLGPILATEDYYKDLL -ILSFLTAEKLNARGVSQKFSDYAVDGNG diff --git a/seq/clusters_seq/cluster_302 b/seq/clusters_seq/cluster_302 deleted file mode 100644 index 8dc34b9..0000000 --- a/seq/clusters_seq/cluster_302 +++ /dev/null @@ -1,531 +0,0 @@ ->YP_010085109.1 RdRp [Culex phasma-like virus] -MDPGEGTSLSVSSLAKELSERENKAERKDRELQKSLMDKIENLVVVQRSPFVVIKDNSSILSKEELSVAQ -AITVYENVMEARHNVWYDLIYHVKFPNWRDNGAESTFRAFCKDLLQVDIGDADDKNYRPDLLLFNKRVNE -VIIGDITVTSNISAATTRKHEKYACLVAILEQFDIFKVTHLDFVLREDMSNLRQMINKFINAGILPNDID -YTVHRNYCASATDLMVAVKSLCPDKQAFVLGLESKRRKQEMEGDKRNYSLFEGLLGDTIKDIALVPYVPR -RTEDEIINMIKVEVERLGGASYFKTTQKEVEAAFDSVLEKNKGRKVMPPKSTLKVIDNSHSIVDKTGIEL -IIDMVLDIASGPPEDDVKGYLLDLLPSYVQLEKMKSVRDNKMTTEEVKGNDDLKEARVYGQYQYKRVQGG -NNLLTQNLTVECKKGSKNNRNAKKEPAVIDLENMNHYESFITNSIKYYGEVSNKPHFLDDSWDAYNKLEE -DNTLVEREQYRYVKSTNGAQLCNSMSGLYNRIMHMSTRQGKYDNIYIPPNGSFICIIPDDHAPVDRATCD -LPMIFITRTSINDSLNHIEAEHQYSTTEFTYYISKLCRLNVGKIANWNSAGERLCSSATFLLSKCKATQQ -CKAEVVGLLTYLILDVHQKVSEYLDLLKYISFMPFAELNRLPSLIVDKCDLLMKTKMDAWMLNRIRSYIR -ELHDVSKLQAEKPTPVVCNGMVHTDSLGITMKLPSFFNVMIRHNDPTEFIEEVSMLFTSRPKQLYGSQFL -DMSITMTAQWQLDYDKEKEEYGGWADKGYGDGDFPFTARFCYSSDAIYYATQQFEKHVEATGTKIENHLF -SGTYSGFMHENCSLRGCTIEKEKRQNQDNMHTTSIGACLELYKEKGFDERSCRAISIAQEFIDGKKTMEF -SMSQKEQRGSGRPIATPTLGTKAVLMMVEKPEASIGTFVKNNILVAGRNKLKEQHLAYKETISVGLNQGL -TKVYQLTEDQTKYSENDNPHKYEVYLKSSTLLKPDIRRLQLAGLRMLYNRRHLVHRLPEKVMTDNDLHKQ -AYTDANTRGVKTEIGWPQGMLNNLSTTIHSMCDYWVARAFKIAYPNIKIYARGLVHSDDSWVTVCVNNKD -DFLLFSLFRMVAKKLFCLKINEKKLWGGKHLGELVSNYNLNGRVHLTVSKSISNGMSNLTYHNWPIDVNN -QISVIQQVYRSGGKIGVMIMLMTILRQQIMHAYNVDGLQKKLLHQLPIELGGFPRCSVFKLGVGGSNAAY -DELAEQYRQGNFEEAYKLVCAAAAVTRITIDNGADTVKDENWADDDYAEVSLPSKGELLKGVKFLLPKSS -KLKHSLQMIYEVTKNYPDDGLGMIITKPITLAESLGHLRQNTSGTLYQLATEGFGQSKRRLAISQAQQAS -GKVVKVYNAGTMTMEELYNYLKTMIINEEDKLMVNQALQPDNEVIWACNQIVETAIIHDAHKETRGNVIN -RMPEFDTKYDTISPIKDVLLHIIDSHYAKINKPTNYYDKYGTNYTCTETLKNDAANIISRFPSYFCYYNV -VRACSIIMQNKYNTIKERTWVQPRIESDTMTGFLESLYGVSLREGMVYKIYSQPIRSKQSESDSAVVKSL -YTAEVMDSVYPGNFRIEKIGEKDAIDALKAVDPIKLNKNDSLKYGLLMMTMCNNTDYLRKIYADDKFVYD -WIKRQRYYNGRYIGDWCVRYQIGTHSGEISHLNGMTYIKSSTISLPPILSAMRLIASRCFNMNYEFDNGW -SSCQLWSFRSMPRSGYTYYLKSRSFLSTTIINSPEEGCIPLTLDPTVRIRNIVVVKEPESFETDSALRVI -YVNLLGDDGYPHKYRMESIRQDLSSPLKNQMTFEPTFLDGFIAEELFKEGIMEDLMLNRPIGAPISTIRR -MIGNAISTNKSRPVWNVTRSIYNKLHGLGLPTLANAEDPIVDHIVYDWVIEDRGFVEHVEFHKTTDVESL -DAAYVDYETDSIKGQNKIKKTRSIMRVLCKQLWASLTVNNLFDLLGKIINHKVTDIMHEKGNEEITEIDE -DDEWILENPPSTDLFSLVYGKDMDTDAFWRTVTRLRLEHASLHPSPFENEDRLLADYAKFVSNVLDVDEP -KPVRSKFEMFR - ->YP_010086189.1 RNA-dependent RNA polymerase [Anopheles triannulatus orthophasmavirus] -MSGINKAIEYVGKVDRLGDDKRPYDFITKLYRSNDSVHNRISAISKELESLGDKRLAPEHSCQIYLELRN -LRHDNWCSYITNNSAIRGFKAIDTEFGAFVKEVLKINDEVPNDICKLTPDILTLSNDERTVYIGDVSVSD -AAAAARARKHEKYAPLVDYIKSKNIDVIPVSFIIKPNCSNLNSEVEALRQRGLIDIRDGSLTVYSEYANA -CNELMNLALEFSDDRKCTQDMIDISDRRSYDTPILPEVPIELSSIIKELTYNPKRSELELMEMIKKETDD -KMMDGYFSRNIEDSIYEIDELISKSGLNNHISPKSTLKVVDNSHTNVNKTDLDLLEDYLSDIFLGDDSNE -RDLLMHLLPNSKQISLMKRGASKKYASKDYKYDNEMKEFKVYGKYQYKMSSDLHSPLVENYKAQIIKGKK -EKNGKKAPLCINLSDIESFHSFINHSINYYGSLSNKPPVLDDTWEAATKFEHDTTTHEREAYRYACSTNG -AQLCNSMALLYDRLTHLSTSLSTKDNIFIPPNGSFIAIIPHNHAPVTSKNVDVPFIFITRCNKAENLSHI -ESEYSFNGNNYTYFVSKLCRMNIDKIQNWSNAAYKLIATSTYLLTKCSSMSYRKEKVIGTLTYFILDVHQ -KTSEYLDLFKYISFMPFADISRLPALIKDKLDLLMKTRLDAWMLFQLKRFIKELGDTEKLDAVKPMLKTF -NSGVVSSSLGIRMSLPSFCDPSVRHKMPEEFIEEISVMNTVRPKHLYGSQFMDKSITQTCEWNLEYEKEV -EKHGDWAVGGCGEGVFPFDAKFCYSSDAIYYAEKALQNKYTISKGRVMQDLGSSVYSGFLHKNCSLRGCT -KDKSDRSNSNDIHTTSLEACLNRYKESDYVDSECTVISIGIKHLIDNETQQYSMSEKDQRGGGRPIATPT -IGTKAALMLIEKPEMSKGKQMPNNIIVPGKNKLREQCETYKEAISRGTGKGFKMVFQLTEDQTKYSENDN -HRKYLTYIKCNSSLDPSIKALQYHVINGLTNREHLIKRLPKSVLENEELRKYIVRDNTSLGVKAIIGWPQ -GMLNFISTNIHCAADVWITEAYNTMYPMHRVYTKGLVHSDDSWVVVCCNSVKDFERFSIFRMLAKKMFCL -KLNEKKLWGSKYLGELVSNYNLNGNVHLSVGKTLANSFNNLCFQNWPIDVHNQISSLQQCYRNGATLGVI -IMLHTLLKQQIIKTYNVKGLQLDHLTDLPIELGGFPGNSAFQLAVTGVSCHYKHLLDKCRTQDSFTYKVI -SHCLRWSIEKARDENRLASDRISIVGTILETGNNTRDKYYEMVLKDKETLSWDDDDFEDLSLPDRGNVFS -AIHHILPKSKKLSMTLKAIKELKAKFPSNGLEKIVTRVDDLKESLGHLCALASGMIYELAADRYSNSQRR -MAISQSMQSSGKVVRIYKLCPMTFNELLQFISLSTDSSRIDISVIENAFSDNDDLVGLSYDVVHHSCHEI -SDSDKRKVITKMPFVENKFRTIGKLQDVLLYTIDQHLKTNYLATHSKPNVSYDTLKQDMKSIRENFRCYF -VFYPVREACSLIAQQYYSSIKSRLWTQPHLRCDNMLNFLADLYGKTANVDHNYKMYITTSTHCGKSRDKD -KVRTIYFTEVLNRLYEGKFKMLELGGASVDDVLRTINVTRLGEDEMLKYAVLMYTRFNNTDILERLRQSQ -HYTQRYIKAQERYGGAYVGPFEAICKMNNVVVKIIGKPGNLELVSNCNDVMGIMTIMRKFVLNNFHSHRY -ESPGCWGDKHFWRSEKDWSEYYLNYHSRVSTTIASHRGPIALPIKIDSDLSYGSAPTLPVISGFTVDEYL -RRVSYVCKGESTTFTSIRQNFGLPLKDEVVLEPELLEGFNCRELYATGAVENLVTRSMHSVSIDVLRRLL -AGTDTGITCLPVCEMLLHMINKHFRTGSRYEQELREEATVVVDMEIQGCDIAQLQRIEEMTTPENLESIA -MEYVGIEEHRSGPLYKMADIRKALCKSLTGKVTDFKIDSFIYHLIRSRIGHDFFMYMRKSIDDGSMTIED -ISAYLSDEKSKPCSLQTYCFIMASDLNMAKLWDDIDLERYREKRYTIDSSELMCLMIAEVENIIKDIWCI -EREEEPTILDVV - ->YP_009553313.1 RNA-dependent RNA polymerase [Yongsan bunyavirus 1] -MIYDLTEAGGHYLRYVPRTERPDEENQLTPITRLRHVPRQIRAQNPHYDTICQKEEDSRTSANTTAAQTY -NYVKQIEVARHDLWYSAILKNLDPRWETEKQETKFTEFCLKKFELAPKPEDKVNNLTPDLMLVSPCRNHV -FIGDVAVARSPGKINEEKNDKYQVIIDYLRKEKPRLNILHYNFIVAENLDNIEELINIFKIQHRVLKLDY -NPEMDTKMTESASMLIRLIVTQCTEQQEYNRLRELNRIKKIMFDPDTLTKLIDVDLQRGGIDDYVPIRTE -DELIDMIKARVEELGGASYYDVAPDDIDNAFKEVIEENEYTMQENDDGTKTKVSKRIMEPKATLKVCDNS -ADFTYLTDLDLIMDYVKDISKSDPNDVRDYLMSILPNTTQLDAMKKIKDNKMTRDEISKDEDIIVAEVKG -PYQYKRGKAIVNNITNKFEEHVVQGSSAYGRNEKGPVEKLDTDSSRSFINFIDSAISYYGSLSGKPPILD -DSWDTSNHHEEAHTSTDKEIYDYVRKTNGAQLCHSMSALFSRISHLSAHLGSHENVFTPPNGSFIAMMPK -NHSPVTSVNCDMPFIFITRAKRSKPLTHVECEYTLITKDYIYYVSKLCRMNTRVISCWDNAGFRLVASAS -YLMSTCTQLMEIKEKVVGILTYMTLDVHQKVSEYMDLLKYIGFMPFSTLHKLPLLIKDKCNLIMKTRMDV -WLFHRIWDFIKELMLLDKLNAHKPRLTTNNGIPTKESLGIHLELPSFCDITIRHKNANEYIEEMAVLNTL -RPKGVYGSQFADVSTHNTVKWNIEFREEIDKYGGWAVDGHDETDFPFDSKFCYSADAVHYATLELMNHIT -ETENAILNKVVKSQYCDFMHNNCSLRGCTKEVEDRGNQKDIHTTSITSCFKRYEDDKFNDKAARALVIGQ -KFIATDKKMEFAMSEKEQRGSGRPIATPTLTTKAALMMIEKPEAEMGKFMPNNILVAGKDKLREQHMAYT -QALALGVRKGLGQVYQQTEDQTKFSENDNPYKYIPYIKSNNLLPKEIRILQEKTIRKISDRVHLVKRIPE -SIKNDLNLMGEVVDDSKHMGVKGEIGWPQGMLNNISTSVHCAADYWITKAFKKAYPSRRIETVGLVHSDD -SWVTVCCDNEETFKLFSLFRTVAKQLFCLKLNIKKLWGGKYLGELVSHYNLNGHVHMSTGKVICNGMSNL -TYQNWAIDVSNQISTIQQSLRAGATMGNIIMISTILRQQITSAYQIKGLQKRLLHNLPIELGGYPRSSAF -RLAVTGVHAHYSDIYKLMTTAALTQEDKEIQKTIKQLITAAVALGIDNRTPNAFVEDAPGINEDDFLSVE -IPTKGEIFRAVKHIMPKSKKMAYAVAAVRKVIEKEEFKSDGLALIVPRPKTLAESIGHYGDTAKTRQFEL -AAERYTQSTRKLAISQSMQSAGKTVRLNGSKPMTFDEMYKTLMDVEVTGRDWAATITAFTTESEVVIACD -SIINASTMEPTDRSKGKVINRMPKIESIYSTISPIQDVLLTIIDTKTGSGYYAEYGSFKTSLDTRLNDAR -DIEVRFKSYFAFFEVKEACKVIMQGKLGTIKERSWIQPKVASDTIPNFLEDLYGATINPTVVYRVRSDKS -YMKKHEVDQEAIDDIYSCLVLNSVYDGKIKILRYDGVPVKIAVNKIDHTRLDYNSKLKHAVCQMEFNNNP -EYLNSIIRTETFAYEWIKTQNRTVDGKYYGDWAVKFMHADLVCYAESFAGVVTLRVNKLDKDRLLRGMRI -MVQKCFSRDAYEYDLAWWTSRVWGSSSTTNHDAHHYLCSYNSYETQISMGTSKHCLSLYVDKKLAMRSMT -RFVSPKSYSFDDYYRVIKANMMDNNFQESEFRVANIYQSIGVRRPEKVMLDNAIIDGYNNEMLLRHKVLE -DLMLNRPLSLSVRDASILLESNSYIQQKRVLWNSFYYFAELAKIESVTRRLEILPTENNVTSAVIDLVET -DMSSAYAAGLETEVESLSAIAVEYEITQVRGSKRLFKPNNITRELCKHIHKRNKPGTDLDILYAMLIHPD -LKVWFRSYDWETFLTIGERYDKIHYIEYPRELFYFLYAYRWDTEDYWCTIDRTKLLPYNRDHNNMLIFNY -IVEDIVDAFNDNYFEEIRIEMRGTLLDDVLRNC - ->YP_009666981.1 RNA-dependent RNA polymerase [Ganda bee virus] -MERFFDREGRADRTRRFRGPRRGSEVDLQATSQIEVSIKLIREQLDENWRSLPHTKALNCYLNARSLRHD -LWLDFLKDNRSRNEFTFTDTRVLDFVNKLKTDRIVISNIEVPSDIAYKTPDMLIYNSYNKCVILGDISVS -SSVVLAEQRKYEKYLKVKNFLIEIGMRVQHVNFIVDEDLHNIDRLVNMFYNYGIISINQQSLLKTRTYHL -TCNKLMSDAKNHCDDKIKFNEILALTDRSYEYEGLNIDYEPSLNLKYEKAIRTEDEIVNMIKNECDNLCD -KYFDNGFEDAKIKFNELIESFKNREHMKPKATLKVIHNSRDLEEFSGHKLIRSYLMDIAYADEEMISNYV -LNLLPNSEQLADMETKFNDNVIIDKEELKRMKVYGKWQYNIIVKYHGNLLTLDTKEKLTRGKRNPNEKKE -PKTIDPDNYDNIFAELNMYIEQLGSISNKMPFLSDEWDAMTNNEMDQTQDEKEIYNYVRRTQGPQLGQSL -SMLYQRLTHLKTNLSMKDNIFVPPNGSFICIIPKEHQPFTGSKADVPLIFITRVLKQNNNKIFEHEFTFS -TNNYIYYVSKLCRLSLDKIAHWDQSGYKIVACSTYLISTCPVLHNIMNKVIGVISILSLDLHQKTSELLD -LLKYVSFMPFADISRVSKLITDKFDLMLKTPLDVWVLLTIQSFMIRLSEPGNVSGLKPKLKVFNGIVLHD -SLGMEIKIPSLLNIEGKHSKIQHFIEEISMINIIRGKQFYGSQFMDKSITLTAKWNDEFVEEQEKYQGWT -EGHSNTVFPFDAKFCFSKDAIIYAMEYFNTNYPINKNVILNKLSKIEYNVFPHYLCSLRGCTKEKEFRKN -NSDLHTTSLDACLDYYMATGYDNKIANTVVMSKTFHNEEYVAQYSMSEKEQRGGGRPIATPTLLTKMGNV -MIEKPEQAIGTYTRNNILVAGKHKLKTQSETYKELIEEGYARGLKQVYQCTEDQSKFSENDNTRKYYTYI -RNNPLLPEAVRELQIKSMYKMIGREHLVKRLPTQVKNDHDLIKYVNSDSNGVIAIIGWPQGMLNNISTSI -HSIADYWITYVFNKAYGTNIITKGLVHSDDSWYAIACNDKETFIRFAVFRALAKKLFCLKLNDKKLWGSK -MLGELVSNFNINGEVLVPVAKVIANGFGNLLYQNWVIDVHTQISTIQQVYRNGCNIGCLVMLATVLRQQI -ISAYNLHLKPNPMLYTLPIEMGGYPKCSAFELGVAGVNSHYKQIFDYVNKNPRSKLAIIVLRTMNLSMQY -NIAREESDVLYHIDIKSKTNLSHLYESTTVLEQGAYESIVVPKRGEVFSCIKHIMPKSKKISLTVKKIQN -LPFETDNLELLVTRPKDLGVALGHLKSQMSTILFSLASEKYTGSKKRLAINQSIQATGKTVQIAGLRPMT -LKEMTETILMMDGVPLASIDNLKISFEDDTNIVGICSDIVYHSDISLKNFDKRKCINRMPDFEDKYRTIC -PLRNVLLHIIDRVRKTNFRDEFTGSSDPIELIDQDADLVLKRFSTYFAYYSVEYACNLIMQQYFSRIQPR -LWTQCKIRNDDLTNFLSDLYGCSLNNTANFNINIDVTITPIGHDDNNLISSMYTVEVLNTLYQGQFKMDF -IGNKTVNKTLELIDYANLSQSNYLKFGILKYIYDYNMRYLVDYDNKKVYSQYYLKAQKVKDGTYKGDFKV -YIKYGNLVMIVEGEPEDLLITVNNNNIQDITMAMFIYVNRDHVDYAYNYYGSWNLNKFWASKIYQTELKL -MCYGQNMTVIKKGAPGEGVSIIINKNIKYPIREPVDIPDRFEFDNGLRVVYKIYKNTRIRVDNVKQNMNC -PYKDKITLQTEYIDGFSNNELLKTGVILNITMRRSFSVAQTSISRLLDNRVPATNMKPVLASYLRFAKVI -NKEILDDIPETLSGVETVIEAIGGMDPESYMEEHEKTSPEDLTGDEVGIIFSESERAGALTIHGSFTRLF -CKYALSPFLSQEIEDFMLILFKNKKFIEMIKDVGNQINNEELDIDMFIEMGEDMEFNKRLYLFIVSNELD -NDKYINRTFIRKSLSDTNRIISSPAIINWVDLYVKILNQALEDEGEDDFQDIINLLD - ->YP_009666959.1 RNA-dependent RNA polymerase, partial [Seattle Prectang virus] -MLENNDAVNIYLQLRNLRHDLWIDYIKKARSKPYYKFNDIRVGEFHDAFQRKYPSPDIPERLRRLTPDIL -IHDTEMDIIFIGDVSCSNSQMDADRRKYLKYKAIAEFYSSNGYRVRHANFIIENNLQNVTSMIRDFETTG -IIMPVVGLRNRYIHYHRMCNTAMEDSLTYSVDKVATVNMISVIDKEEDPSYDQLILPEDIKWPEVIEADP -KVSEEELAEMIKQEVAKMDENYFDKDIMPALSAINELETRQIDNPIDEPKSTLKVCSNQSDVEIHTGHRL -IESYLADIMLSEDEVIMEYVKWLLPSSRQIDIMRKYQTEDIDKVKAKENGVFGNYQYKIPRHTSDNMLIN -KTIEHLSRGKKLRNEKTKPETISPERFAEIFSSMEDTVYHYGSLSNKPPFLDDSWDCATQLEADNSEEIR -QIYKYVRKTNGAQICHSLSNFYQRVCHLKTSLSTKDNIYVPPNGSFIAIIPSDHAPVTSSNCDLPMIFIT -RTKKTEKSHNEIIAINEFNNKFETDDYVYMVSKLCRLNVSKMANWDQAGYRLTANATYICSLNQNVSIER -VVGILTLMMLDVHQKTSELLDLLKYVAFMPFSDLTRLSSLIRDKFDILMKTKLDVWTLVTLKYLIYELSL -VEKLNASKPKLQLHNGIAIHESFGMHLELPSIFDLSKRHNTPDKYIEEISMIYTVRGKHLYGSQFMDKSI -QQTAQWEDDYQEEVKKYSGWVKDGEGDGDFPFDSKFAYSSSAIIHAMRTFDKIVPVSKGKAMRELTKGTY -NDFMHYNCSLRGCVKEPNERSKPSDIHTTSMEACLKRYQDNNYSTEKATSNEAAYDMFRSSNKMEFSMSE -KEQRGGGRPIATPTLLTKAALMMLEKPEVAIGKQSPNNIIVPGKHKLQALCECYKRFITQASVEGYQLAF -QVTEDQTKFSEMDNPRKFNTYINNNRHLDDNVKRIQLKTVEMLCNRTHLVKRMPENVRDTKLARYLNPQK -NGVNTTIGWPQGMCNFMSTSIHMIADYWITEMYNKAYPENKIKTAGLVHSDDSWVAIACNDISDFERFCK -FRIIAKKMFCLKMNEKKLWASRYLGELVSNYNINGNVHLCISKTIANSLNGLTYQNWVMDVHTQVSSLQQ -AYRQGANIPTLILLGTILRQQIMSSYQVKGNQKEYMDILPIELGGYPTNPVFDLAVNGVNCHYHKLLDYV -KTNISSKISTIILRCLRLSIMRLKSLEENDHTLDVRRAAKTQFLRNYLTTDEQNEGSLRLDYTNIRLPYR -GDVFSCINHLMPQSVKIKKTVKRIKALPFISDGLEMVVTRPRELDVSLGHLKEQTSTMLYTLAAEKYTQS -ARRLAVNQTLQSSGKTVRLSGMVPMTYNELLQAFLEMDNVPRATVDQLAAAFTDSNPIPDITEAIIYNSD -HEVTNKDKRKIINRIPEIDDNFKTLSPLRDILLYIIQASTNEDVFTKYSSSKSPIQLIQDESKIIKRRFS -SYFTCYTVKVACNLIMRLNMENKKTKLWMQPYLNQETLTTFLEDLYGKTLSQDVNYRLRAQIDSRREKNG -DSELIKSVYSVCVLNKLYPGKFIIETVDEYPIGDMIGDIDVGKLSMDDLLKLAIIRKEIWDCDILISEYD -RSRMFSKKYIKAQRFVDGKYLGPIICDVRYGSTVMRIEGEPGSMSLTVNKYNINEILMAMMLFVNDNFPQ -DRYSHPTMWHMSRVWQTQFKFGKMFLTAYTQSATNITTTSQINSIPIMLAETMKFDDAFLTAGDTIYTIE -ENLRTVFKEIDGKKIKIGNVKQNLSCPLAKRINCSYDKIDGITNNELLHSKIILNLTVKRPFANSKTDME -KMINDASQITDGIVVSMYKNILSKFTLSVTPHQFEHLKPLEAIEDYVIHGYDTSKYITAHDETEAADLSH -VETNFMYEEIEDSGAITKYNSIIKYMAQCVLLTQTEVDRDHVVSGILNDPSIIRKISADAMDEDTEELLN -DMIETEDKTANITTICFIIANDLDNQHGWDRLDVKKILEDGITMGTKFSSNILQIIK - ->YP_009664561.1 RNA-dependent RNA polymerase, partial [Shuangao Insect Virus 2] -MTKTDIKDTKVSKFIDMVNSHADFKKDKIDKAPASIKNKSPDILTYRSDLQCIIVGDVTVSESTYLAIQL -KRGKYQPIIEHLSKHVRVVEYYLAIDDDLNNIEVVLKGLEDIQLCKNDDMVKSRCMTLHKDINTSMKLGI -TLMDEKNRDMFKLKLSNANKQCEGIDYSEFTRGLNPMPLYIPKKPIEYYVEQIKKKTDETFNDMFDDDYK -PAEDAFIELEENLLKQDKSTFLQNAKSPLQICENSSTLEEKTSHDLVNEYTKDLLLSTALGLNKTQEEMD -VLYYILNLLPNGKQLDLMKNYNDENKDANTEHKVYGLWQYTMNQGYDSALFDWFKTKVKKGRKDPNIKKQ -PQRVHPDQYTRILDQMEKYIDYLGEPSEKPNFMKDAKWEAKTTAEINGSIEIKKVYDYVTGTNGVQLATA -MNQAYQRITHLSANKSRRANLFVPPNASFIMVIPKNHAPLTQSNVDIPFITITRRPKSMTSEPLSYHASY -ETYDYIYYVSKLSRINADKMDSWDQSQYKIIMTATYLGSKMNLDHERLRRLVGIITMYSLDTHQKTSELL -DLFKYVVYMPLSSLSMLSKLVKDKFDIMLKTHLDVWTLKETEAFMKKLSTYRNDPKKPKLKVHQGRVLNQ -SYGLEFKLPSFSVKGYNHSKVEDYIDETSAFFTLRGKKFGGNQFMQRSIASVAEYDMAWEDEQLVYGGWV -TNGYDDIDYPFNSNYAFSSDMIYYATRHKETSNTYNHRNARVNIQKQDLLSPIHYVCSLRGSLKQEKDMK -HDTDYHSTSLHEALKHYEDVNYDNSKCTLMAVGVDAVRHKTARQIESAKEQRGPGRPINTPDLPTKAMYA -LIELPERTITDANPMNVMAQNRNKLKYLETTYKNACEVLVAKNVPFIAQVTEDQTKYSEQDNTEKFRVYI -QSSTIHRHDVRQIQYNALSKFKGRVHIEKNMPEIFDEVKGDTRYINKLRKSNNQIKTREVVLNIGWPQGM -LNYISTNVHEIAFSYVVECYKIAYPFDEIYIFPLVHSDDSWYTIGYVNPVTYKRFCAFLMYYKRMFCLKV -NMKKWWSSPIGGEMVSNLNFNGETIKSLSKTIANCTQNLLFQTYPIDAMSRVSALQQLIREGADMPVLIM -CHTVLRHQLESNYNMINKRTLNIDYSMLPIEMGGYPDISTFELATTGLKGHYFKIWKFVMSNPNSVEAML -ILKLATLSQDKRMKEMMPSDTVTEFEEEAYYQIAAPEKPDVFIALKHKMPKIKKIAQTLKAIDKLPQVDD -GLGLIMGRALSLEQSLGHLKGQTRSQVYALASEHYSSKKRRMAISQTIQSTGKTVILNNMSPMTIDEALL -TLHAMDVHTQSVDHIKMAFHDESEITDIAYRTVHMSSITDSTIPKTKQINRMPQYKNIFQTTTKFQCVLL -SIYDKEKKTQFANSIFPSEDRTHLEIDMDFILKRFSIYFKSYPLTKALSLIHQQFHSQIKTRLFNQPRLR -TDDIVSFITDLYGCTLNRTQNYNVNIKLSEYSVTKESRVLDTLYTAEQLYKIYNYFKPISVNLNTLGCVR -KDIFVRDLDITMLELPQQYKHAILMKLYYNKDQHIEKIDKGGQYRQSWLVRQKYTRERK - ->YP_009507889.1 RNA-dependent RNA polymerase, partial [Nome phantom orthophasmavirus] -VLLIDEELPTDIANKTPDILMYMEAIETIFLGDLTVTNNVANARIEKSKKYSAIKEYLINKGYRVEHQDV -IIHSSLHNVYSEFRKLSTIGLIHENIDLLHTYIEYHGIAMESMTNAQNNCVDLQLFNVYLNKFDKVTYEA -YDLELDNDEFVKSLPYDDYVPHKTEDELLAEIKNDFDNMNFDAMFEDGIEKTKLAFEKLIKNNVENFEHI -EPKSVFQVVYNVSETEKLTNHNLIMDYVKDIINSDDSEIKSYLLDILPKYSQIGVMKTTYQNKVTDSALK -LEIDIYKSHGVYGPYQYDMATTLNSTITANTKEKLEYGKKLPNLKKSPAXXLLKDIWLTESKTEIHETKE -WRDCYDYVRKSNALQLCSSMSALYDRITHLTTFKALKSNVFVPANGAFIAIMPKNHGPVTNSDANIPLIT -LTRFTNGHFETLCSKYDISRSMDIEYIHKTDNYTYVTSKLCRINLNKMSIWDGVPFKVCATACHLMSTSK -NLRLGKNRTVXILKTRFDAWLIYQVRDFIIKLSDIXXXXXXXFLVPNTRHESAVLFIEEICAVNTIRGKK -LYGNQFMHASAHKCAEWNKEMEDETLVHGTWITEGYNNEKPFPYDSTFAFSSDFIHFAETHTSRKLGLSR -DKIMNDISKSVLSGYVHENTSLRGCTKEPSDMVSETDYHTTSIEAALNYYASVEFDEVKSKVIPCALYFL -KKARSDPASIKFLFYLSLKDQRGPPRPIATPTLAAKIALMLLEKPSQCKGKYVRNNIIVPGVNKLQKQTQ -IYKDTLAEGAARKYKFYSQNSEDQTKYSEGDNLNKYDCYIRSNMTITNTLKKLQLEVLRMLKDRVHIYPX -LPLSVMDDPDLRRYTLGDSKSMSVFAGWPQGMLNYISTDIHCAVSTYITDIYNDIYPEHSVIAEDLAHSD -DSYIVVCTKTKDDFKRFIAFRTMMKRRACLKLNIKKVYGSAITGEIVSNWNINGTVHQSIVKTVANATGN -LSFQNWVIDVQSQXSQLQQLARIGAPLGTLILLHTILYQQMIKVYNVRGEHLKIISMLPVDIGGYPTISA -FELGLCGLSAHYKNILEKCQQEGNEKALKMVLTCLAWSMRSINTGDNDKYISDTVLAAKVEEIMRGKPGI -AFSSEDYMNMQMPNKGTLFLGIKHLMPQTRKITRTMAAINSLNYVSDGMESLITRPTSLRQALGHLKAKS -QSLVYELAAERYSQSARRLAISQSLQASGKVVRLGDYQPMTFNDFHDLLLTIYSFKKCDMEMLRNYLDDE -SELVTLXNLVVNNSVIEEINKSDRKIINTMPEVYNKYETIGHLQDVLLFIVDEDNRTHNITTNFYKTFAK -KEMDRGSALDDAKKIKKRFKNEFRFYEVKSACKLVLQGYLQVPFKKLWIQASCREDNIENFVCDLYGNML -SDKKAYSVKIRYKSQPKNKKDKDIVNSLYSVALLNRMYDGRFQVDRINNIPLFDAIRDVDFSGLDTNEEA -KFAVLSYIYNDDTRYL - ->YP_009362029.1 RNA-dependent RNA polymerase [Kigluaik phantom orthophasmavirus] -MAYNPAEIVTGYIPATERSRDPALQQNFYDEARRLPWTNIYQKIIIDNIVHRGDPYLSPEEAVQSFLSVR -EARHDLWHTFIIENADPDRWTDLTRITKVEQFVHEVLQEDPGSSNIPIGIVNKTPDLLYKQRGSNVVFLG -DVTVTNSVDMARARKYEKYKEVESYLMSLEYNVKHVDFILSRDGYNLYGELNNLSLHGLISNEADASIPR -RFLEVANNIMDEIRTKCTDPILYDEVLAANDKNLEHKNAYEIPDFITDIELEDYTPQNTEHELMIQLKNR -TNEVNLDEYFDTDVMSSIRAFDSIVENNKQNVNKILPKSTIKVVDNSHLYEEKNNHDLIKDYIEDIMTND -PSDIRNYILDMMPRRNQLKLMKKIYDSKIKPPEIKEDLNCEYKVAGVGGGFQYTRHKTGESPQTINMEYQ -LLKGKKIKNSKNAPECIDMDKLNMFYDDMVMTFNYYGKLSSKKSFLDDSWDASTVMEKANTKYEKEVYDY -VRNTCGAQLCHSMGQLYNRITHMSCYQGRFDNIFVPPNGSFITIMPKAHTIATSRKCEMPFVFITRSPIN -QPLYHIEYEHMISTENYIYYIGQLCRLNVNKIACWADSGYKLITSASYILSYAPKLYEVKEQVVGMLTML -SLDVHQKTSEYLDLLKYISFMPFADLHRLPKLIESKCDLLMKTKFDAFHLLRLKDYIIKLSDIDSLDARK -PVITTFNATVMKESLGIKMSLPSFFNTSIRHERPEQFIEEISIIYCSRPKHLYGNQFMDTAMTNLAVWNN -EYMEEVEMYGGWATNGVGEGDFPFNAKYCYSSDAIYYAERCINKEYPIDSNKVNRSMYKSTYGKFMHNNC -SLRGCVKDIDRRSGPMDIHTTSIDECLQYYKSKGYDDKQCRASAVAIEFIKSDRRMQFSMSAKDQRGSGR -PIATPDLGTKAALMMIEKPEAAKGAFVGNNIIVAGKEKLREQHETYTSALSVGIREGLLYVYQLTEDQSK -YSENDNPRKYETYIRVNKSLDRNTKLIQIAALRKLYDRDHLMHELPTNVENDPLLYRYVVRDEKSLGIRA -IIGWPQGMLNDISTSVHSACDLWIYRLYKMAYPNDDIYAKGLVHSDDSWVVVLCNDVNVFKRFAYFRKEG -KKMFALKLNEKKLWGSKYMGELVSNYNLNGAVHLSTAKVISNGVGGLTFQNWPMDVSNQISTMQQALKSG -ASLGTITLLSTVLRQQMTNTYNITGFQKENLHKIPMDIGGYPDNSPYELAITGSHCHYLKLLDNYKRNEN -SECHKIVKSALGIAWQMNREQWPGGDFTEDEILGNDYENVTVPSKGDIFSSIKHIMPRSTKISKTLKTIE -ELREKFEPTGLSMVITDPSTLAEALGDLADRTKGKMYELASEKFTQNMRRLAISQALQARGKVVRIGKGP -ALTFNELYEYLLNVKLGENIPTSIIETAFSSDDELVLCARNTVYQSEIVPIEEKRTRVINYMPEITNKFY -TISDFKDVLLYIVDKEKGTKYYHKYGRKNTSISTLSTDANMIESRFGNLFRFNGIERTANCLMQMKLNAN -KSRDFVQPRTDNKDLPTFVESLYGNILSKDRIHRVHANRSTRDIRNVNADRIQSIYCCEVINSLYQGNFQ -LSKIEDASVADAINDIDYSLLSRNDFLKLGVMQYLVCKNREHLDRYMETETFRVNWLQSQNFSRSGYYGN -FDAEFKSDDIDGRITSSQGNITMRVNTIRVNKILAAMRKFTLSGFSKDYYHFDGAWGTKDLWNYDKHLQE -FEDQHNRNSRWKNRDGTSTLYLCYNGPFSTTISQVPNGKFIKIIYDTTIRYSLNITYTRPDFYEFTTSLR -VISNCYKGLVDNEVKKRDGKTGKYTTCRERERVKKFRSANIYQNFSIPMRSKMLLLPGHIGNISNNSLLQ -TGIMEDVMLGRPIDASKSVIKEVLISSTGEHPTGAFFNCFINLFSKIHRTPDIIPIDEVREEVVTFDMEA -ASGVEVADGFMTTNIESLENYDMSYLSEIVVGQKKLCIIQNIERTLCKYYSGMSSESSKNLFIYKVLTSP -KMKNMMSNIDEDLVRELIDVFRDLGLSGEIDYDLHAFIYGNELDLASTWRNVNRSVLNQSEHGIRNPTVE -KLVESFNRAVDSVLLENEEYTPVRELIDDIE - ->YP_009329871.1 RNA-dependent RNA polymerase [Hubei odonate virus 9] -MSHTRAFEYRGRADRIRRRGANDGRINPSSELEHSIDKTKHDLINAVDLSNSELLELYTQARVDRHEVWI -EFLTRSRSDIGYQFGDIKFGDFLTNVLGFRGAVPDEIKNKTPDILFRHKYTGIVYLGDVAVSASVNLVYQ -RKYLKYKELVDFLKKNKYAVRDCNFIVNENLDNTTELINLMLNIGVINYNPDLVSRVRYFGAMAHSNMLA -CFNRSPDQMQLKQLIDHRDKTEQADAIDIPVPAELAIDMTPLPTKHTEMELINMIKAKVDQIDPETYFDN -GIEVTIKEFDKLEKTYDRDDITDPKSVLKVCDNQLSVESLTNHDLILDYISDIIFSENKEVSDYVRFLLP -TRSQLECMKALYHTRDKTVEGHLLESSKERSVYGPFQYKMMKADKNKLIDDTVVNLAKGKKTRPNSKEAP -KTVHLDCFDQCVVNIEKMINYYGSPSLKPTFLNDDWDSSTNFELENSLVERENYDYCRHTCGAQLAHSLS -GLYQRLTHLKISQGKYDNVYIPPNGSFICVIPKEHAPVNSKRCDVPLVFISRSKNGADHSLFNEYEHKVV -TDTYTYYVSKLCRMGLDKIANWDQAGYRLVASSSHILSSCPELIGSKNRVVGVLTLLMLDCHQKPSEYLD -LLKYVSYMPFSDISRLSMLIKDKFNILIKTSLDVWLLRTMKKFMVCLADTLSLDAKKPKLMLFNHQMVKE -SQGISMKLPSFINMSVRHKSVGPYIEEMGMLFIVRGKHLYGSQFLDQSTTSTAQWNVDYINECDRYGNWC -TNGQGEGDYPFESNFSYSSDAIYYATLYGMKNYKGTANDVLRNLSKTQYSSYMHYNCSLRGCTKEPEDRA -NSNDYHSTSMDECLTYYDRKNYDETQCTTIAVGLNHLLSHRIQQYSMSEKDQRGSGRPIATPTLGTKAAL -CLVEKPEHAIGVKASNNILVAGKQKMKEMSEAYKSLVSSAAVENYKQVYQLTEDQSKWSENDNTRKYENY -IKVNPLLDTNIRMIQLNVVRNIVNREHLVHRIPKQIANNPELLKYVNKDGNGVKAIIGWPQGMLNNLSTS -IHSNADYWITYAYNIAYPNNKVKTQGLVHSDDSWVTVACNSIHDFKKFTLFRIFAKKMFCMKVNEKKLWG -SRYLGELVSNYNINGTVHLSISKLLANAFNNLLYINWPIDVNTQISSIQQALRNGANQPTLILMATILKQ -QLLGSYQVRGKHKELLHMLPIELGGYPSCSAFELAVNGTATHYQNLLGMLKTNPQCEASIIIGKALTLSI -IRRVDDNEIINPELISSLQDHLKMAEDEQTDWYYEKIHMPSRGEVFGCISHLLPMTSKLTRTITKLRNLP -FETDGLEDVIYRPKELSTALGHLKSTTSSRIYSLAAEHYSNNVRRLAMCQSLQSSGKVVKIYGCQPMTYE -GAIRYILTSDYTICNYEVAECAMIDESMMSQLSSLIVNFGTYTPSGHDKRKIINKLPETENRYKTISRLR -NVLLFMLDSVRGTNFLTKYGVSVEPSDVLLNDSSILKSRFSTYFKYYRIEKAISLIMTQSTELIKSRLWM -QPYLKSDNIKTFLEDLYGKTVNSTTNFMVGSTTSEIYKNKEADMVDSLYSMILLNKLYPNKFVVRSIGNV -PIADAINNIDYAKLSGDHMFKYSILKKHHFDDDTFLREYDRSKDYCQNYMVRQTFEKGVYSGVFKVRIKY -GGTTMDIHHDGEYTEIKADNTNIYNITNAMMQFVSRNFKEMSYSHPHQWSSCPVFKPNPIKWGKSFLTSY -RGLSTVISTTAQHDSIPFTLNPNLSFRDTMVVDIADSYTLSEDYRCAFKHYGNRKARIGAAIQNMRCPFN -KQIEVVPDVIEGLDNQLLVKTGLIFNITMKRFGLCSPSDVDKLINSRLPAPTAKCLINLHQCILNSFKKI -DVEIPEDDTTEVVIETFDMHGITPTDFINTDSYTTAEDLTSHDVAFVFEDGEVEQAGALVQFHNLTLALC -MIKTRHYSTEDVLNIISLIYNDPVLLRYLLKDVKSNISEIEPRYKDLIEASNYIEIDSDLYCLIIGMRLS -SRSFWNDLKVKDIMNKDLSNVTNIAQLQYIANKIISFIKQEIYEDAGDRNRDEVLELLKD - ->YP_009329887.1 RNA-dependent RNA polymerase [Hubei odonate virus 8] -MDKYKYHKGKVDRRKVVEAIRPKALVEHAIEDVRSRLQSTENLTNPELVELYLSSRNNRHEVWINYLNNH -NDFGIKYGDVNFGLFCESLNIPEPDRKLRRLTPDILFQNPDTKYVFLGDVSVSVSTALANNRKYIRYKPL -KDHLEKHGLTVKHYNFIVNEDLSNVHNLLNEAYNMGLVDNTTEDLRRLLFFANASQWCMNECFNCSPNRQ -ELKTLIDTQDKIEKVDPLEINVPKELHVDMTSDRKKLSELEIIQMIKDEVESIGIDNYFDNGVDSTIEEF -NKLEDSYANRDTCAPKSILKVADNQMEIEESSGYDLLESFIYDLTFNDDNDVTNYIRHLLPTGPQIDRMR -EWYNKRRELTKAEKDSMREYNVFGPHQYRMRPMKDNRLVQNFKYQLSKGKKTRNEKNAPKTIHRDNFDIC -RSDCERLINYYGTISKKPPFLDDSWDSATEFENDNSKVERENYHFAKSTCGAQLAHSLSGLYQRISHLKI -GQGKYDNIYIPPNGSFICVIPSAHAPVSNKNCDLPFIFITRVTHGSKAVFCEYEQIVDTDNYRYYVTSLS -RLNIEKIQVWDQAGYRLTACISHILSVCPELIPSKNRVAGLLTLLMLDCHQKPSEYLDLLKYVSYMPYSD -ISRLSSLVKDKFQILLKTSLDVWLLLSLKDFIIKLADTCSIDAAKPKLQIYNNVMTKESQGICLKLPSFI -DQSIRHKSAGSYIEEMGMLFIIRGKHLYGSQFLDQSITKVCEWNEEYSKEVEDYGDWAVNGQGEGKFPFQ -SKYCYSSDAIQYAMEYAMTKFGASENDVLKELSNTTYGDYMHNNCSLRGCTKEADKRLNAHDLHTTSMDE -CLKAYVEEKYEDEKCTTIAIGLKHIISGRRQQYSMSEKDQRGSGRPIATPTLGTKASLCLIEKPEQAIGS -RTNNNILVAGKNKLREMSECYKHLVSSAALKGYKQIYQLTEDQSKFSENDNTRKYRNYIKTNTLLGNNVR -AIQLAALDRVIDREHLTHRLPKNVISDKNLSKYINKDGNGVWTNIGWPQGMLNNISTSIHSHADYWITRA -YNIAYPKNKVETSGLVHSDDSWVAVACNSIHDFKRFTLFRIIAKKLFCLKVNEKKLWGSKYLGELVSNYN -LNGTVHLSISKILANSFCNLLYINWPIDVHSQISAIQQAMRNGASQPTLILMATILRQQITSSYMVKGTQ -LDLLHLLPIELGGYPKCSVFELGVNGLDCHYQYILNMLKREPTCKASIIILKALTLSVNKRYKEASSNII -VDKEDLMDAYRNSIGEAPLNWGFNPVVLPSRGEIFCCISHLLPMTNKLNKTIVMLNQIPYETDGLENIIT -KPKDLASALGHLKATTKGRIYHLAAEHYSNNVRRLAMCQSLQSGGKTVRLFDTPPLTMNEMLNSIYERVV -PIAGYELAESALTDESKMSQICEAIVYMGVFTKSGVDKRKIINKLPEHESRYRVISRLRNVLLFMIDQVR -NSNLLSKYGDTIEPNDILVSDSVLIRKRFSSYFSYYSIEKACSLIMMQSMDTLKTKLWMQPYLRNDNMKV -FLEDLYGKTVSMHENFGVTSELAESFRNKDSDLVDSIYSTMLLNKMYPGSFEIESISGSNPDEVIAAIDY -KNLSGDHYLKYGIIKFVTKNDDSVIRSYDQSKQYRQNYRVRQQRNKHGAYEGRFIVQVQYGPVTIEIHHD -NYGNTDIVSNSNHIYYITNAMMQFVNRNFKEDSYTHPHRWSECPIYRNRNKFGRGFLTSYRQLCTTITTT -PQMDSIPFRYDPNLGLQLTASVDVAAEYSIDEDLRVVTKKVDDKTYRIGNAIQNLRCPMSKVIEIKQSFL -DGIDNQMLYKNGLIFNISMRRFGLCSVSDIRTCLEARTPSINSSCLVKFYLNLLSQFKKIDINLDDYNDE -EFIIDDVDIHGITPVSCYDDINMTTAEDLSCVVAEYEFTEDEKVQQGSISKFTSISRILATIMSRQYSHD -DISNMIHILLNDSKFTNVLFDDIQKGQVHIDDVLVSVIEVAQDEEIDTDIYSLIMGHKLDQTIGWANLKL -RNIMSSNVDGVNNLGKLLRIANKISVFVTNFIHGMDEENKVETLKSLLK - ->YP_009305135.1 RNA-dependent RNA polymerase [Wuhan Mosquito Virus 2] -MDKINNLVRIERDPFIIIKANADVLAKDELSVAHAITVYENVMEARHNVWYDFIYTRKNSNWRDNGREST -FRSFCKALLDWDIKDADDHNYRPDIMLVNKRTNVILIGDITVTSNSDAAATRKHEKYSCLVKILAEKEIY -TVDHHDMIIREDMTNIGQEIRRLQSKEVMPWNLDFSTHRDYCEYATDLMISVKNLCSDKRAFMLGLEEKQ -RKRELEGSRRNYSLLEGLLHEDIQRIELEPYIPKMSESDIISMIKVEVDRLGGTSYFKTTEQDVEDAFED -VLSKNRGRKVMPAKSTLKVIDNSHTHVPKTDLELLESYILDLASGPPGDVKNYLLDLIPSYKQVQKMIEV -RDSKMTLKEAKESDDLKEARVYGRYQYKRIQGGTNLMTQNLTIECKKGSKGNRNVKKEPAVIDVDNLPFY -ESFITGAIKYYGSVSEKPAFLDDSWDAYNKVEEENTREEREQYRYVKSTNGAQLCNAMSGLYNRIMHMST -QQGKYDNVYIPPNGSFICIIPNDHAPVDRSNCDLPMIYITRTNINDSLTHIEYEHQYSSNEYTYYVSKLS -RLNVGKIGNWNSAGERLCSSATFLLSKCKATRQAREEVVGLLTYLILDVHQKVSEYLDLLKYISFMPFAE -LNKLPSLITDKCDLLMKTKMDAWMFNRIRSYIKELHDVSKLEAEKPTPVVCNGMVHTDSLGITMKLPSFF -NVSIRHNDPTEFIEEVSMLFTSRPKQLYGSQFLDMSITMTAQWQLDFEKEKLKYGTWATKGFGDGTFPFE -SQFCFSADAMYYASNDFEKHVEATSTKLESHLFSGTFSGFMHENCSLRGSTIDKTKRKNQDNMHTTSIGD -CLEVYKEKEFDERGCRAISIAQDFITSGKIMEFSMSQKEQRGSGRPIATPTLGTKAALMMVEKPEATIGS -FVRNNILVAGKNKLKEQHTTYKETISIGLAQGLSKVYQLTEDQTKYSENDNPYKYEIYLRTSTLLKPEIR -KLQLAGLRKLYHRRHLIHRLPERVMSDPELHKQVYTDENTRSVWTEIGWPQGMLNNLSTTIHSMCDYWIA -KAFKLAYPRSSIYVQGLVHSDDSWVTVCVNNKDDFLLFTLFRMVAKQLFCLKINKKKLWGGKHLGELVSN -YNLNGRVHLTVSKCISNGMSNLTYHNWAIDVNNQVSVIQQVYRAGGKLGIMIMLKTILRQQVTRAYHIEG -THKRLIYELPIELGGFPNCSAFKLGVGGVNAAYDELAEQYRQGKYPEAYQIVCAAAAVTRQTVAEGNDSI -NREHWATDDYAEVSLPSKGELLKGVKYLLPKSSKLKHSLQTIYEVTKDYPDDGLGMIVTKPTTLAESLGH -LRQNTSGTLYKLASEGFGQSKRRLAISQAQQASGKVVRIDHVGTMTMNEMFECLCHHYVVFFQGDHSGQI -LKHLIHSHSPDMIYPEMFEYLATMVTLKEDDIMVAQALQPDNEVIWACTQIVETAVIHKGRPETRGNVIN -RMPEFESKYDTISPFKDVLLHIIDRHYASIKKPTNYYDRYGTNMTCIETLKNDAENIKARFPSYFRYYPV -VRACSIIMQNKYNTIKERTWVQPRIEAETMTGFLESLYGVTLREGMVYEVYSQPIRTKQTETDSSIVRSL -YTAEVMNRVFPGKFIVEKVGDKTPSEALNSVDPIKLNSNDALKYGVLMMNVCGNTDYLSKIHNDDRFIYK -WIKRQRFSNGKYSGDWCVKYQIGQQTGQIKFSSGRVYITTSSMMVPPILTAMRLIASRCFNKNYEFDNGW -ASCQLWATREMPREGYQYYLKSRSYLSTTIVSQPEEGCIALILDSTVRIQDVVIKQAPEGFTTDNALRVV -SVVLKGDDGKHQEYRMASIRQDLSIPLRKSMALEPTILDGFLSEELFQQGIMEDVMLNRPIGAPMSTIRS -MISRAIGTNKSRPVWNVALTLYHKKHGLAMPRLEEEVDLVEDFIVYDWVIEDRGIQDHVEFHKTTNVESL -DAAYIDFENEAMLGQNKIKRSKSIMRLLCKQLWASITESNVYDFIVNIVDHPITKKVASQPNRYINELTN -DEGWILGNTPNTELFSFVYGKMLDTDKFWYKVRGDKLRDIKARPCPFEGEKNIVSQYANYVAGVLEMDPP -PPPEDNFVMFD - ->YP_009305130.1 RNA-dependent RNA polymerase [Wuhan mosquito virus 1] -MDQYKSYVGKVDREMVMDRHSDRVYSRMTSCTRVHARINDIKKQLDKSLTPEEGANLYLEVRSLRHDNWC -EFINETCKIRGAVIEDTKVGEFVREYISNEVNVPAEISNKTPDILVLGNNQNVVYLGDVSVSINPSVARA -RKHEKYLPIKNYLNSLGITVQTLSFNVNENCTNLASEINHLVRHDFIESHPDTVALYETYSIACNSLMLS -IREVVDDKKAMQDMIDRADNIKFDNPIMPDIPFEIRSSVDLEYVPTLSEDELIEMIKAETDIKHNGKYFS -KNISDSIDAINKIVDNNANISHMAPKSTLKVVDNSYDLNVETDLSLIRDYVDDILNADDSNAKELVLHLL -PTLTQLDMMKKAGDKKMTHTECKQDQEMKANGVFGKYQYKIQSTYCSSLVNKYKAEIVKGKKDPNVKKEP -STINLSSIESYHNFISNSIDYYGSLSKKPQVLSDDWEAATKFENDSTILEKEMYDYTIRTNGAQLCQSMA -HLYDRVSHLSTSLSIKDNVFIPPNGSFIAVIPGNHAPVTSKNVDLPFIFITRCNKEKVLHHIEHEHYFES -DKFGYYVSKLCRLNVDKMSNWANASFKLISTASYLLSKSRTLQTSRTKVIGTLTYFILDVHQKTSEYLDL -FKYISFMPFADISRLPNLISDKMDLLMKTRMDGWMLHQLKWFIRELGDKSKLNAVKPVIKKFNTDIVSSS -LGITMCLPSFCNPDLRYRKPEDFIEEINVMNTVRPKHLYGSQFMDKSITQTCEWNDEYSAEVIKFGDWAV -NGCGDGSFPFDSKFCYSSDAIYYAEQSIVKEYSLSKSRVEQKLGSSMYSGYMHKNCNLRGCTKNKSDRSN -AADIHTTSLEACLREYERQNFRDEKCTAIAFGIRHIMSGDVQQYSMSEKDQRGGGRPIATPTIGTKAALM -LIEKPEAAKGHHMLNNIIVGGKNKLREQCETYKSAISEGTRRGYKMVFQLTEDQTKYSENDNTRKFLTYV -KCNKTLSPDVRALQLKVLENMIGREHLIKRMPICVKSSQALSKYIIDSSDSLGVSAYIGWPQGMLNFIST -NVHCAADIWITKAYNKAYPNSNVYTKGLVHSDDSWVVVCCNSVDDFERFTIFRMLAKKMFCLKLNEKKLW -GSRYMGELVSNYNLNGNVHLSVGKMLANSFGNLSFQNWPVDVHNQISSLQQCYRNGAGLGIIIMLATLLK -QQMVRTYNVKGSQLENLGVLPIELGGYPSCSAFELAVTGVTCYYKKLLNICRMKPDSITSDCITKCLLWS -IKRVSISEEHVKVDNKSKGRLEYYSKLKDKTLEWCEEDYVDLSIPSRGNVFSALTHILPKSRKLSKTLKY -IDTIRDKFPTNGLELIVTKPLSLSESLGHLCAQTTGMVYELAADKYSSSTRRMAISQMIQSTGKVIKIKG -MIPMTINELLNFIELSEMENKLDIDILASAFSDDNDVVGITNDIVYHSTHEIADDDKRKIITKMPFIDDK -FNTIGRFSDVLLKIIDLESKTDMLYKYSKPNVSDETLVVDAMNLMRVFKSYFKFYEVKAACSLLAQQYFQ -TLKARLWTQPHMRSDCLTNFLCDLYGKTISNDKNYRVYIHVGRSYERSHDQDITRTIYFTDVLNHIYHGK -FIIESVGERSIGDVINDIDYTKLNDDDMLKYSIIQQLHNYNDDYLRKMHSAGGYRDKWEIAQRRIGRVYK -GKFSALCSLGQVVVRISGEEGDLSIESNKTDVIAIMHIMQKFVQKNFSYSKYNIHGSWGESPFWRSKNQF -STHYLTYYNRISTVITSERKLCSIPIKIDSSLSYGEEPIGSIVDGFSFDNMLRVVYVIVNGSKYKFLTAR -QNFTLPCRDEINLEMNFIQGFDCRKLYSTGAIEHLVLDSSHNISSNVIASLLETGFNTINSEPIGQMLTN -LISTINRRQYLKYIQSSIAQEEIHEVVLEGVTVKEVVDIDETTSPEDLGCIDIEYVEGMRERSSGICRVL -DINRVLCMAYIGKISTKQVESVIYHLLHNESMIDWAKHVMSAYEPDPAIYFDYLDTLSSYRGSSISLRTY -AFIISSGLNVTDTWLDLDIINIAKLTDEVDHSQYLKKISQEIVEVNAEFHGFTVETKQSLADLVR - ->YP_009304995.1 RNA-dependent RNA polymerase [Wuchang Cockroach Virus 1] -MDPFSLVHKKGKIDRQKENWREKRKNERALLPRDDVERQAFDINEQYNEMIKEWRNPNINPEDALELYLQ -ARAIRHDNWIRVLKLMRVSRGMRFGDIEVGKFCEELGIQNVPIEIARKTPDILSMSPDGTYIVLGDVTVS -KSPKIAHSKKVVKYNSIHQFFSNLGYNVKWNNFILEEQLTNVWSELYKMENDGIILVDKEKVNRTIHYHE -LLQKIMINLPTKTNNAQMFQMLLSRHDGLDVQDVDIKLPASMIGCELENYEIMQTESQLMEELTSKTNEL -LKDGYFDCNINKVNSKINDIISSKENEEGDYADTKSTLKVVFNTKTIEPNTGYDLIQDYIQDIQLGLDSI -NKDYLLDLLPSRTQVEKMKDLYKLRTEQLSKDESKKILQSYKDFRVYGPNQYDMTRNSTCILTTHLCTKL -KQGKKNRNVKTKPLNLNPAMFNEYKQNIDCMINYLGSESNKPVFLDDSWDSATNTENDHSKDIREIYNIV -KKTNGAQLAQGLSNMYQKIMHAKTSISVKDTIYVPPSGAFIMIKPRDHAPITAKNCDIPMLFIAREKHSQ -DTQTIQSFLEHEVVYKGDEYIYFVSKLSRLNLNKFSNWDQAGYKLVTACTHLINICPNLKGDLSTVVGLL -TILLLDSHQKVSEYLDLLKYISFMPFADISRLDKLVEDKLDLLMKTKMDVWLILRIKEFMNELSDINRLN -AKKPKLHMFNCQATRESLGLSINLPSFTNPRIRHGTVQEFIEEITMLYIIRPKQLYGSQFMDKSITQTAE -WNNEYNEEVAKHGGWATVGNEDSPYPFDAKFTYNRSAIMHAHEYFKKTIPLDDNKIAKELHRTVYDTYMH -YNCSLRGCTKYISDRKNNNDLHTTSMEACLAHYRNSCYKEEQCTTIGVVDDFINRGEVMQFSMSEKEQRG -GGRPIATPTLGAKAGLMLIEKPEQVIGKFVPNNIIVPGKHKLKEQAETYKDLLSEGAKHGMSKVYQLTED -QTKYSENDNVKKYYCYIANNSVLPINVRIMQTEMLKRLEKREHLVKRMPTRINSEYSLSQHRNKELNGIK -AIVGWPQGMLNDLSTSLHSIADYWITYMYNKCYPNNPVIAKGLVHSDDSWVAVACDSENDFKRFAIFRML -AKKYFCLKLNDKKLWGSKHLGELVSNYNINGNVHLSISKMLANSMNNLLYQNWVMDVNNQISSIQQLYRA -GARLPTLIMLSTVLRQQLMACYNVSGLQKEYLNILPIELGGYPSSSAFELGVTGLTSHYNRLIEFTKNNP -NHPITDIMRKSLLASNVLNAAKKLGKEDPSTYAISKCMEYPTDVSQLVPNAQLQVEIENDYAETPIPSRG -EVFSCVKHLMPKSNKLTKTLEQIKDLPFDTDNLEMIVTRPDELTVSLGHLKHQLTTRLYELASEHYTQSA -RRLAVSQALQASGKVVRFLNLTPMTINELLQAILDLPIRLAKPDLLQIAMTDDTDVSNLCISIVHNSELV -ACDADKRKIINRMPEIIDKFTTVASLKNVLLYTIDTVRRTDYLNKYGYKIEPLDILRNDSRLIHLRFNIY -FRYFQIEQACNMIMRLFMFRERARLWMHPYLKTDTLSNFLEDLYGKSVSDVDNFKVKITNPYIMGNRDDA -NMVNTMYSVNVLNNIYPGKFDLQEIKTMSPVEALDKIDYENLDNEEFLKYAVLRKLYCNTDWYLNEYDKS -KVYDQEFIVKQEYDEKHKKYFGYFELLARYGKTILKVSGEPEDVEIESSTNNINEILHCMFILVNRNFTF -YKYQHYTYWSRSMFWKSKPKFSRTFLSNYHTTCTLITQTKSESSVPFLINKNLARPVSYGCLEAIGYELT -ENLRVVVKITKDSSQRLDNIHQNLQCPYASDCVLIGNLLDGLDNEELLHSGIIISVSNKRYYNCSRTRIL -GLLESRSPPLSNSILVDMYTNFVNKLADDNQVPTRHIQHINEEVEYFTISGETVAERLIKYEETTPEDLT -YVELEYEMDSDERVGCLVKYDNLSRTLCEILYPRLTDYQQDLLIHMLLADRKIVTKVISDLDRDPCDEEE -SLAQRIETLHDAASCMRHPTFVNYFIYSNQLDVEATWANIDVRKVMYLVPENIDSQLKKVFTLLRNSLIE -SIFEETEEDVIQMLRDRLFKH - ->APG79291.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 8] -MEKKVLEYVGKRQRRLEREREKIEDRENINRPMTASQRIIKAIAERVAGYVDELIDVDASHDMYQQAREC -RHDLWLEFLEENRACETARFNDIQFGRFINQLRAMGLDVPECNDESVSGKTPDILYYDMRLQCAFLGDVA -VSVTTQKTDKEKTRKYHPQLDYLRSQDFRVVYRNFIIDDDMSNLNPKAEEFMSIGVIKPDYKQSLIKYKA -FHALANQVMGDIKLKVADKNSLNQKLTESREQIDFDITAELPHLASYTPKFSEEDVISSIRDQTDKNLET -FFDESYEDSIRAFEKLVDSQNNRSHQSPRSTLQSVYSLRDYEQLNGHELIKNYVCDSLKADPSPERDFLL -NLLPTVPQLLLMQNLTSTHVEKRSDIGVFGRWQYKPIPTNDSNFLYMRFKTKLSITKKNPGIKEEPKTIS -PREYDSCSEQIERMVMHLGETSNKTPVNSSEKWQSATNMEIKSTEEEFNTYEYIRRTNGVQLAHALSHFY -QRLVHLKAQLVTKQNVYVPPNGAFIVVKPGEHASTSASNAEIPFIFIARCSNRSSQPPMEYEHSFATKEF -TYYIGKLSRLSMQKLSNWDQASRKIIACAGALVSLSPVLRGSIQRVVGIITLLTLDIHQKTSELLDLFKY -ISFMPFAEVSLLSKLIEDKFDMIFKTRLDVWMLTSMKAFMLRLSTPENIKAKKQVLQMFNGMVVDSSLGM -TVSIPSFICADDNHTSIQSFIEEISMLYIVRGKEFYGNQFMDEALTNTVKWDHEYKEEMKHYGDWVIDGR -GDDVESYPYEAKFAFSADAIYYAQEFCANSYPVDPNSVMKKLMRSDYTDFMHYTCSLRGCTKEKQDRINH -TDLHTTSLDACLNEYEKNNYSSRLRLIDYANKYREPGYTAQYSMSKKLQRGPGRNIATCTIYTKAGNAVI -EKPEQVIGMFTSNNIVVPGKNKLKVQSETYKELLRMSVEKKYKKIYQLTEDQSKFSENDNTRKYYSYIDN -NHYLPHNVRSLQKECMKKMIGREHLQKRIPVEIATDPEFAKNINADRNGVRTDIGWPQGMLNNISTSIHS -IADRWITHAFNKAYPNENIMSRGLVHSDDSWVAVGCNSMETFKKFVVFRIYAKRMFCLKANKKKIWASSI -LGELVSNFNIHGTVYVPVSKVVANCFNNLMYYSWPMDVQSVVSTLQQLQRAGANMGILIGVHTVLRGQLM -EVYNKVGQDDISCYPVEIGGYPMSSTFELATTGLSSHYKCLIDEIERNPESKSSIITLRTMTLSKMECKR -KSDQKKTGGEDMEEVLPVGLADFENVTIPDRGDAFYAIRHLLPKTTKITKSLNRLRALPFETDRMGLLNT -RPADISESLGHLKEQTSTLIYALASEKLSSNARRLAVCQSLQSNGKTVRLFGTEAMTMETLREHIFAMKD -VPPGEVEDLRVAFEDDSYMSDYSRAAVYHSTFEQTDSDKRRHINQLPLIDDKFYTLCSLDNVLLKIIDED -TESGGKIYNKYCKTTDYYPILQEDVVKIRNRFKTYFDFYVGDPYQPCNLIKQQYLSKTKRRYWAQPHLRN -NTLENFVCDLYGKTLNKDVNYRVGINILTGRKNMYDSHAIDTIYTSLVLNTLYEDKFKPIRYDGLGMRDA -LNRMDYMGMDSNHFLKYAILHKCLLNDDTYIQKYDESNAFAVDYIIKQVFTGMTHKGKFITADEIRQQNL -IRKEYIKREIKLLVEKKKKDRELTSRAEGDRNWRARSTDGPDESARIKAEATAIADKKISELQESLDALN -AQSDLKVSKLDDQGKVRVVKDITRPTAQYSGYFEVKLRYGQCILLVKGTPGDVVITSNTNKIDLILGAMY -YYIARDHNDYKYPHPGSWSRCEFWRTKTRGSNYVLHSVTSNYTRIVMSRKSSDVVGINIDKDLVFSSVVA -PDTTICYELDKHLRALSKVSYKAGEKVKSRIGTVKQSLESPTKEKLILEDTKLDGYSNNKLFTTGTIHNI -TLRKHHSIGEGVIDLLMEDRFPPNNNVPLSNLLLQVIKATGVKNIELGEIQSSEVVEIEFEGTSTAEVLQ -QMSEDVPPENLEFIELEMSEELKESATGPIAKYASIHNVLCKRKSHYYNDSILKSAIRKLLSDAHTRKML -LEIKTMIQEDPGMCKNITDPDNRYKSTAEKEAEAKINALMDQSIEPSPQLIRDASGQTGGVAASIIVHGK -GWDKSFPLTAVDFKGEVETLDKNVILIYEEMKNLIEYITEKEDEKNEEIDEFCGV ->APG79294.1 RNA-dependent RNA polymerase [Hubei diptera virus 6] -MNTITKYVGRVDRERNYIRQVEETIDNMTVVTLTHACIKMLVKDIAIDMPPDEAVQIYLSARSNRHNNWC -DYLTYNTSMEGYKVHDTAIKEFVKDILKRDDIVPADIQDKTPDILTLDVTNSFIYLGDVAVTVATGSTRA -RKYEKYKPIANYLRKLGYLVKHEDFIVQQDLSNLSGVIHKFVENGFIKKNHAHTHIFKEYSLLCNKIMTD -ASEFCSNKTQFNHLINEQDKTIKDSTFITEPPESLLDIDTSDYIPRIDEDTLMDMIKTKVDSMDFNEYFT -GDIQKSIKAINDLISVNLNRPHCKPKSILKVVDNSHYVENKSGHDLIIDYVEDILEADESPVVQFALHLL -PNLKQLSLMKKISDKKITDKKGLKSAEFINSYVYGEYQYKISSSYQSFHIANFGTKLSYGKKLRNEKSEP -ECINLKFINDYYSNVSSTINYYGSISLKPPILPNTWEAKTKFEEDNTMNEKELYFYTKSTNGAQLCQAMA -LLYDRITHLSTTLSTKDNIFIPPNGSFVAIIPKEHAPISAKVVDLPFIFITRCPIKESLNHIEYEYTFNS -DNYTYYISKLCRLNIEKISNWANAGYKLLSTSTYLLARCPKLQVRKHKVVGVLTMMILDVHQKVSEFLDL -LKYISFMPFSDISRLPDLIKDKFDLLVKTKLDAWMIYTLKSFITELSSIPSLNAKKPKIRTFNTNVIPDS -LGINLTLPSFIDNDIRHDNPDEFIEEINMIYTVRPKHLYGSQFMDKSITSVCEWNLEHESEIRKFGGWAV -DGFDNSDFPFEAKFCYSADVIHYAEKYLQSQYNINRNSVENILSKTVYSSYMHKNCSLRGCTKDKKDRLN -HTDIHTTSLDACLKYYKDNSYIDEKCTTTSVAYNFLMSGEVQQYSMSEKDQRGGGRPIATPTLGTKAALM -MIEKPEASKGRFMPNNIIVPKKNKLKEQCETYKSAISAGIRLKYKYVYQLTEDQSKYSENDNCNKYEYYI -RSNDAVGPKIKAIQLQSLKGLNNREHLFKRMPKGVSGDLDQYKINDSKSLGVKATIGWPQGMLNFMSTNV -HCGADLWITMAYNRCYPDNTVYTRGLVHSDDSWVVVCCNHIDDFKRFTLFRLLAKRMFCLKLNEKKLWGS -RYLGELVSNYNLNGNVHLSVSKTLANSFANLTYQNWSIDVHNQISSIQQCYRNGAGLGSIILLSTILKQQ -LIKTYHVKGKQLELLNKLPIDLGGYPDCSAFELAVTGVTCHYKSILEESKMNPKSSVAKMIAKCIDWSVN -YMVCKEQTLLDQHSEATKRLYMEALKNEDPRWRLEDYENLCIPSRGDIFKAIKHIMPKSKKLSKTVEHIR -SLPFESNGLEMIVSKPLDLQDALGHLKAQTSTMLFELAAERYTQSARRLAMSQAIQSSGKVIRLNNYPAM -TFNELYDFIESIKVDDEINLLMISNAFSDDNDLVGCAYDVVHSSEAVLSDKDKRKVINYMPDVQDKFTTV -GHLPDVLLYLIDESNRTSYLTKYSSPNVSMSTLKQDSDLITSRFIHYFRYHNVIYACSLIMQQYLSSIKS -KLWTQPHLRSDNLQNFLEDLYGKTINSTNNYIIYSTSGNNYVSTHDRDVVQTIYCTRILNKVYDNLFTIV -DIQGSTEVEALNKVDHSKLNDNDFLKFAVCEHIICGNRDYLDKYLQASRFNCRFIKAQKYINSRYVGDFH -ARCTVNDIVLDIIGDRGKFRLIANKPDVSGIMKIMRLFVASNFPYDRYELNGAWGNKDFWRSDIEFSDLY -LSFYTTYSSTITDKKGLYSLPLSIQPTLSYFYDKQKDLPDGYILDKSLRVVSSLNGNRTYKVGSITQSFS -LPLRNYVVLEGNYLQGLDVQQMFSSGIMEAVILSKIHTIPVSSIEELLIDSGMITSKCVLETYLHLSSRC -INHDLGWTNDRDDTTVEIETYTLEETCISTVYNISELAEPEDLSALEQECINPPGRISGKLYHTNNILGE -ICKAYIGGLTDHRIKSFLHNLMYNRFINDWTISLYRVKQSDPGFIMSIDDLMENADEMVGDQPTIDLFCF -IMASDIDLTRYWDHITISSIKEISYTCENSPYIKTLTDDFVRCLNSVLFAQELTPARCLTDI ->APG79296.1 RNA-dependent RNA polymerase [Hubei diptera virus 7] -MESSIGKMFSHIGQIDRDSKQDNNIRPLSGLHDTLLDLNININNDLTPERAVQLFTNIRDIRHNNWLEYL -NQVKAKDYFNFIDTKVSTFISFLNGLGLDVEVPTNMLNKTPDILLYDSDTGVVYVGDVTVTNSPGAARAT -KYEKYRPIAESIRKSGVIVIDSQFAVKYDLSNLRQLLMNFRNINLIITENEKLESYKHFSLLANDMMSRV -RENCTNNRDFNELLKINDRVKEEANFLVELDAELDNIDVSPYLPSASEIDIIDMVKTETDKINPDEYFAD -NVEPSLQSLNEVIDSNKGKKHMEPKASIKILDNSQDIELENNHNLISSYISDILRGNDDDIQDYILHILP -TAPQNKLMSEIYVNNVNSRDIGKISRYAENKVFGPYQYKMTSSSSNFIIRKLEVNLNKGKKERNTKDEPA -QIDLDYMIDYYKDINQSMIHYGNISNKPRVMDDSWDARTKFENDNTMEMRDIYNYVCHTNAAQLTQSISM -LYSRLIHLSTNQGMRDNVFVPPNSSFICIIPKNHAPVTSKNVDMPFLFITRYKKGKYIPTCEYEYYYEGD -EYIYLVSKLCRLNMEKIVNWSNSSYKLISTSCYLLNRCSSLMEIKNKVVGCIMYMILDTHQKTSEYLDLL -KYVSFMPFSDISRLPELIKDKFDLLLKTKLDAWMLESLRQFIEELGDKDKLKAKKPKIKTFNTNIVTTSL -GIDMNLPSFLDRKVRHNSPSDFIEEIGLIYTVRPKHLYGSQFMDKSITDTCKWNLEYEKEVLNYGKWATD -GVGDGPFPFNSKFCYSSDAIFYAEQLSVKRYGVTKAQVENNLIKGTYFHYMHENCTLRGCTKSKDIRDNK -IDIHTTSLDACIDMYREHKFDNKACRAIETAKRSIISGFRQEYSMSEKDQRGGGRPIATPTLGTKAELMM -VEKPEASKGKYMDNNIIVPDKNKAQEQCKTYKSALEEGIRQKFKYVYQLTEDQTKYSENDNPLKYLAYIR -SNESLSDNAKKLQISVINRLLNRVHLIKRLPKNVKNDPNLHKFIVDDEKTLGVMAIIGWPQGMLNFISTS -VHSAADLWITYAYNKAYPNNRVYTKGLVHSDDSWAVICTNSLHDFKKFVIFRMLAKKLFCLKLNEKKLWG -SSYMGELVSNYNLNGNVHLSVAKTIANSFGNLTYQCWPIDVSNQVSSIQQCYRQGATVPDLIMMATILRQ -QLMSSYKVKGTQLELLDDLPVDIGGYPDVSAFELAVNGINSHYKKILNKLEREPRSKSSIVIKQCLKWSL -RADTICHNAQRFHPDIINAANDIEKIAFEDPSPKWSDEDYENLQLPSRGDVFRAIRHIFPKSSKLAKTIT -NIRSLEYKGDGLEMIITRPESLSQSLGHLVSRAQSKLFELASSKYTQNTRRLAINQSIQSSGKIIRVGKL -PPMTFNEMYNVAMKCGTFDKIGSGVLSEAFNDGDEMVETCHNVVYMSESVPTDNDKRKVINRMPFIEDKF -NTIAKFTDVLLRIIDGFDNGNRLFRYSKPTVELNTIDKDCDLLKKRFNSYFRYYAPEVACSLIMQQKLSS -SKTRLWMQPYLRTDNIIVYLEDLYGKTMNKRVNYSVKTIMSSNYSNSYDKDLVQTMYSTLILNKIYPNSF -VMNQISGLDVKNAIDDVDYSKLNDNDKLKYGVCQVLINNSDDALRLYSKNKTYRQEYLVRQVYRNGTYHG -DYKVICKQKDIVATFQGDNKSVSITVNKPDIPSILNIMKKFVTINFKHHSYEHAGSWGLKDFWTSGFKLT -ETYLTWWSSVSTTITHIQTERSIPIIINPDLLYPTETSEAEPDGFSFDSSLRVINCIINRKRIRLDNIHQ -SFSLPMRKNVLLEPDVLQGFDVQSLYSSGVIEATVLNNPHLITKSDAKSLLEGSIGLINNKPIIISFIHL -ISKVLRQNYNPHYTREVKTVEMTDTVLTGANLDELVEIDDSTSPDDLTSLALEYSSSVSRVGRIHHTGDL -LTIICSAYIGTVNIDKKLSFIHHLIKDPNITSWGKMIRDKNNEVTLSMIMDVIDKGYADFMTDLELYSFI -IVSRLDIKESWESIKLSNLGLIYDSIADNSESIKRLVNKYIENINENVFCGKLLFLETLLDLDSDE ->APG79278.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 9] -MDIDYTNPDSVAEGIKAVIAERGLAGEEYVRYYIGRVGDMSLQEVAIQNGFLPVYTPLTPDIIMVDRNSQ -TINLGDVTVTRNVSRATASKLTRYEDLRAELASANPNWNVRALNVIIDESGSNLPIIEEQLFQLSGIAKS -IKAYNRLLTALNVARRKLETIFARDRTSGKGIAKAYEQETPEEYSIKIENLIDDMPDVVPSQPINQTMEE -FCDEVAELVKKTELPYRLTEEIHVDDLFEQNLFTLNSMRQIVDIDKVKTGMQFIMSNSHYEDKNNVNLLA -DYIHDLNAWSQINGAPLDTTIVSSLPKMNAVMTRLKIPGNDKEKFMYGHSEMDEGAKIMLASAKQRNEHK -EPETVNKALHNALRGRVQDTLDELAQESSKTNGVMWDNKISGLGRVTEEQCEAEIRKYSDTLRATGAYTT -LNTLSLFSKFIMQNKKMMKKYTTFIPENGSCIIITLPDAAMQYSSSCNIPYYCITRTRLGVTKCINDHAV -KHRCRGLHYNYYMTKVHRAHVSKISFFSEINASTLCSCAALAYMRKDIEYGKRLMAFISMLTIDLHQRPS -DYTDLMKYLCTIGMSEYSNLDKLILDKMAGPLKTDVDVYLYYWLRDYINMTVEAKKHNDLVKTSFKATRS -EWFKVGSMFSTRQDVPITLHIEEAQLIATCVQKKKYGSQFMDKSMANLAIRNNEYEREIEIYKGWVTDGI -GDGEYPLESKYAFNTNAIILGQQLNNQMNAHLLPKIMNGISYSTLTHFLPDICDLKGSCKLREYRDQSGN -FDIHTTSLEAAIQLANLDLPDNEYRIIRVAQRMIREERLQEYSMSEKEQRGPGRPIGSPDIMTKCGLRVV -EETFKMLQRDDINNVIRSNVDKASSIHGMYDRVVTEGNERGHTKLMMFTEDQAKWSEEDNMRKYYPLVDN -CLFIPKKLKVLIKRIMDGSNSRIQYSRRVPVSVLKDPLLSRHVVDGGIKTNIGWVQGMYNNTSTQAHNAA -VLLINAVYSRLWDRYQTKHSVGSDKQPYIEDLIHSDDSCSMISYNEDWELELFIKVRHIVKRMMCLKVNI -KKSSISRHVMEMVSNYCVNGDIISPISKIIVSSFSDMSHISPTMDSQSLIGKLQTLARNGATLPILVMVR -SMMKEIWYKTYNFHRGHSNDFSKYTLRQEKLPLECGGWPDCTTFELCVSGVAAHNLTVATFYKTQQCKEE -KCVRGAILRSLALQKAGEMNDVGEHNLVMDASDIIKIRDATSLFSSVKYVVPLKKKVVRTAQAVKSLPDT -MSIFSGLLNITSNKMERLGDLKKQFSSGLVQLAMSGYHDDVIRKYRQLAQLAGGKMVIGLDGSYSTLAKL -ISDLEVPDDYGGVRSEDFLMPKGQVPSLTRNVASMQVSYLEPRGGTITNRQGGRGKGFTLINSIPSILAN -MIDMEKANLEGYTLNDPSAFNVDVMTIKSKYSEYFKQLPLLEAAIMVSRITQKEATSKVWKLPYLNVAND -LMFLITLYGNLYSSHDKAVFKGNVQNTVSSKSVALLNRYKGIYSTIGFLRTNGEKPSKIIIDGLTVSEWM -KFNNPIDALDNDEPTRYKAIVGAVEAEITGRPDIINKLIKDETIISRFVTRGKYSRMTGYTGPFSAVVTG -TQGSIMLSGHGSIIDSIVVDQKISKRDTDYILTLMKYFVTQTFDSSNYATIDSWGFTQYFKNNFPHAEQH -LVFFPDGMRTQVLNRIEKVMALDKGMMIKYTTGRVIDNEWINIQANSYYMDQNKIRHIDNDKEILSVPVH -PKTADYNGIQVLDNSLYPLNINKMLISGRARDFFIGHHQHITDSVVLSCIERNWKVTYLQKVASLAMRYL -GLCSAMDDAVNDSLTSGINVMKIQIDNGIQEINMIKENDTMDDYAIDEETHTVQIQNIENMITRLLKSGI -TGKRDDIAYLFTLNDTIYEMLSSMKAKMSNDDDLWQEIRYTLSSENSTSFNLDVMDLLESNYNTLELICD -NVGDETLNSVVECLIRVDAHNNQIWREEEEVEIRQDFRPVIMRDPMSFTVYKNYFESFGDLDTGLKALYQ diff --git a/seq/clusters_seq/cluster_303 b/seq/clusters_seq/cluster_303 deleted file mode 100644 index cc8a0a0..0000000 --- a/seq/clusters_seq/cluster_303 +++ /dev/null @@ -1,214 +0,0 @@ ->YP_010087183.1 nucleocapsid protein [Mengla dianlovirus] -MDLHGLLELGTRPTAPHVRSRKIVIYETGNQIIICNQIIDAVSAGIDLGDLLEGCLLTLCLEHYYGSDKD -KFNSSQMAAYLRDAGYDFEVIRAQDAKKLADLIPRESHLLNVISALENLDGSEKNKQRVGLFLSFCSLFL -PKLVVGDKASIEKALRQVAIHQEQGMVVYPPTWLTTGFMKLIFSIVRASFIVKFVLIHQGINLVTGHDAY -DNVISNSINQTRFSGLLIVKTVLEHILQRTENGVILHPLVRTSKVKSEVESFKVALRGLARHKEYAPFAR -VLNLSGVNNLEHGLFPQLSAIAIGVATAHGSTLAGVSVGEQYQQLREAAHDAELKLQRRREQMEISSLEL -DLEEQKILEQFHQQKTEITHTQTLAVLTQKKEKLAKLAGELGADKLFQPVIPQPNDKPQMTTVIDPSRTS -VKIQTSFLPPPSTKDNMEGQIPESPESSTISSSSSCIDPNDPFALLIDDDEQEGDFQSADEGDSQDQSAE -AARQEEIRKAEQKFKGLPRTIPTKDQNPPIKPQRTNLAPVQEESESEYTTTSDESGSEDESSDQRNIEVP -PPPLYDTSTSEIGDMGAAEHTLDPFGDMPPLETDVLTPQDTATVPSAPPSSPTPSTNRQGEAQTQNGEDS -SQDWPRRVKTNKGREFMFPTDLLHRTPPQVLLDALVNEYESPLSATELSDDWPEMTFEERKNVAFNL - ->YP_010085036.1 nucleoprotein [Wenling frogfish filovirus] -MDANQVKIFSDIASTPSDSYVSRSKLRVYASKAPDLLYGLLVAALLDPELPRSTAASVFVSLFIGHFYRG -DITSFSTSSIAKELTKFGHNLIYVEVDIDVASRTIISPKASRLSQYIKKAELLTDRTLERFITYLAAMMP -KLMISIDAVHAKVVKTDAVLKSQGIPSLGDVLIHKATVTTVRAHLMDSFPGKYLLIKLTISQVSSGSVCG -GQIKTLIDQARMAGLVLIKLFTDHLISGSGGKGNKSWHPILSLKDLEREAGDMVAAINGISAHGDLAPFA -RILGLSGVEKVEYGKFPKLAAVIVGIGQAHNSSLNLMVVGAAHKPLCEAARLFEESRKKDGEAKLGGMFP -TQVTQAEKRRLADFHKDMTKATEESLKAAKAAQMRTLQAIRASVGGPGSAVGQPKMVTFEDERDEEHHVG -NLTVRRRHREYDSDDYSYSIDQAEYGFDPPEEDLDDEVDDEDEEDEEVGPPPPPGESRPPGDGPTWTPPP -PATGGPSAPSRDVNRNQIPPPASGFNCVEVAGHFGLQAGNKRYTAQDYTAWDKEDLPKDVADFQQGSQIL -TTHGALIAGVEADCKSIRCIFDKKPFTFPQDYRNKDLLTMMFPKTDKYKKYCLLYGTRELSVLRVNNTTL -HYALFNGSRDIQAGLLKGQSYYGTAGKEILT - ->YP_003815432.1 nucleoprotein [Bundibugyo ebolavirus] -MDPRPIRTWMMHNTSEVEADYHKILTAGLSVQQGIVRQRIIPVYQISNLEEVCQLIIQAFEAGVDFQDSA -DSFLLMLCLHHAYQGDYKQFLESNAVKYLEGHGFRFEMKKKEGVKRLEELLPAASSGKNIKRTLAAMPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHAEQGLIQYPTSWQSVGHMMVIFRLMRTNFLI -KFLLIHQGMHMVAGHDANDAVIANSVAQARFSGLLIVKTVLDHILQKTEHGVRLHPLARTAKVKNEVSSF -KAALASLAQHGEYAPFARLLNLSGVNNLEHGLFPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQKYAESRELDHLGLDDQEKKILKDFHQKKNEISFQQTTAMVTLRKERLAKLTEAITSTSILKTGRR -YDDDNDIPFPGPINDNENSGQNDDDPTDSQDTTIPDVIIDPNDGGYNNYSDYANDAASAPDDLVLFDLED -EDDADNPAQNTPEKNDRPATTKLRNGQDQDGNQGETASPRVAPNQYRDKPMPQVQDRSENHDQTLQTQSR -VLTPISEEADPSDHNDGDNESIPPLESDDEGSTDTTAAETKPATAPPAPVYRSISVDDSVPSENIPAQSN -QTNNEDNVRNNAQSEQSIAEMYQHILKTQGPFDAILYYHMMKEEPIIFSTSDGKEYTYPDSLEDEYPPWL -SEKEAMNEDNRFITMDGQQFYWPVMNHRNKFMAILQHHR - ->YP_009513274.1 nucleoprotein [Bombali ebolavirus] -MEVRNSRQWTTQSASDSSVDYHSILTAGLSMPQSIVRQRVIPVFQISNLEDICQMIIQAFEAGVDFQDSA -DSFLLMLCLHHAYQGDYKLFLESGAVKYLEGHGFRFEPRKKDGVKRLEELLPAVTNGKNIRRTLAAMPEG -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHAEQGLIQYPTSWQSVGHMMVIFRLMRTNFLI -KFLLIHQGMHMIAGHDANDAVIANSVAQARFSGLLIVKTVLDHILQKTDRGVRLHPLARTAKVRNEVHSF -KAALNSLAQHGEYAPFARLLNLSGVNNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQQYAETRELDHLGLDTKEKEILMNFHQRKNEISFQQTNAMVSLRKERLAKLTEAIAAASAQRERGY -YDDDNEIPFPGPINDNDDQDQHVDDPTDTQDTTIPDIVVDPDDGGYRGYQIRQDDDMDAPDDLVLFDLDD -NEQGPPSENARPGFTVERGPRSSGQQKSTEQHQPPPSSDLQAPTYNHHSREHHADQGTRSHELRSVQESD -LLASIPEEHHPADHTDDKASLSLPPDSESDGESSPDTDEADRTTAPPAPVYKNHKDAGPATVGETNEETD -FDDNINNQSESPLNDHGIERMYRHILETQGPFDAILYYHMMKDEPVTFSTSDGKEYIYPDSLENEYPPWL -SEKEATQSESRFINIDGQQFYWPVMNYRDKFMAILQHHS - ->YP_009055222.1 nucleoprotein [Marburg marburgvirus] -MDLHSLLELGTKPTAPHVRNKKVILFDTNHQVSICNQIIDAINSGIDLGDLLEGGLLTLCVEHYYNSDKD -KFNTSPIAKYLRDAGYEFDVIKNPDATRFLEVIPNEPHYSPLILALKTLESTESQRGRIGLFLSFCSLFL -PKLVVGDRASIEKALRQVTVHQEQGIVTYPNHWLTTGHMKVIFGILRSSFILKFVLIHQGVNLVTGHDAY -DSIISNSVGQTRFSGLLIVKTVLEFILQKTDSGVALHPLVRTSKVKNEVASFKQALSNLARHGEYAPFAR -VLNLSGINNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAAHDAEVKLQRRHEHQEIQAIAE -DDEERKILEQFHLQKTEITHSQTLAVLSQKREKLARLAAEIENNIAEDQGFKQSQNQVSQSFLNDPTPVE -VTVQARSINRPTALPPPVDNKIEHETEEDSSSSSSFVDLNDPFALLNEDEDTLENSVMAPSTTLREPKEV -SEPLRQTQDLDISQKKQGNESTDPARKQFLRYQELPPVQEDDESEYTTDSQESDDQPGSDNEQGVDLPPP -PLYAQEKRQDPIQHPAVSSQDPFGSIGDVDGDILEPIRSPSSPSAPQEDTRMGEAYELSPDFTSYEDNQQ -NWPQRVVTKKGRTFLYPNDLLQTSPPESLITALVEEYQNPVSAKELQADWPDMSFDERRHVAMNL - ->YP_004928135.1 nucleoprotein [Lloviu cuevavirus] -MNRYLGHGTRTSRENTNLSELHGILSLGLNVDHTIVRKKSIPLFEIGNSDQVCNWIIQIIEAGVDLQDVA -DSFLTMLCVNHAYQGDPNLFLESPAAHYLKGHGIHFEIQHRDNVDHITDLLGVGSRDKSLRKTLSALEFE -PDGSTTAGMFLSFASLFLPKLVVGERACLEKVQRQIQIHAEQGLIQYPTQWQSVGHMMVVFRLIRVNFVL -KFLLVHQGMHMMAGHDANDAIIANSISQTRFSGLLIVKTVLEHILQKTEAGVQLHPLARTSKVKGELLAF -KSALEALASHREYAPFARLLNLSGVNNLEHGLYPQLSAIALGVATAHGSTLAGVNVSEQYQQLREAATEA -EKQLQQHSEMRELETLGLDEQERKILATFHSRKNEINIQQTSSILAIRKERLRKLTEALNEEKNKNALDD -EDESEEDDWSPENRGIRSNKGSTKESSSYTASRTEEDRNNYNSKDDHLSGKEQMSTQQESGADDLDLFDL -DDDGDTNSQDPNNRQKQSDTQQTQESSDRSDYSRRPAYDWPPGDRPHTTQATDEHTDLLNKDHRRNQVKP -GRRGNDPRTLPLISFDDNEGEILDDKSDLPAPDTHSDPDTEESEEEHPDEELLPPAPKYNTKTSEQEPGD -WKQPTSPLSTIPEEEGGHEANNDNSESDLIDQMYQHIFETEGAYAAINYYYKTTGRPVTFTSNNNHDYTF -PQDIEGLFPPWEGKENQKVAEILTNSLHETGQEWADMSAKERYLFLINN - ->YP_003815423.1 nucleoprotein [Tai Forest ebolavirus] -MESRAHKAWMTHTASGFETDYHKILTAGLSVQQGIVRQRVIQVHQVTNLEEICQLIIQAFEAGVDFQESA -DSFLLMLCLHHAYQGDYKQFLESNAVKYLEGHGFRFEVRKKEGVKRLEELLPAASSGKSIRRTLAAMPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHSEQGLIQYPTAWQSVGHMMVIFRLMRTNFLI -KFLLIHQGMHMVAGHDANDAVIANSVAQARFSGLLIVKTVLDHILQKTEHGVRLHPLARTAKVKNEVNSF -KAALSSLAQHGEYAPFARLLNLSGVNNLEHGLFPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQKYAESRELDHLGLDDQEKKILKDFHQKKNEISFQQTTAMVTLRKERLAKLTEAITSTSLLKTGKQ -YDDDNDIPFPGPINDNENSEQQDDDPTDSQDTTIPDIIVDPDDGRYNNYGDYPSETANAPEDLVLFDLED -GDEDDHRPSSSSENNNKHSLTGTDSNKTSNWNRNPTNMPKKDSTQNNDNPAQRAQEYARDNIQDTPTPHR -ALTPISEETGSNGHNEDDIDSIPPLESDEENNTETTITTTKNTTAPPAPVYRSNSEKEPLPQEKSQKQPN -QVSGSENTDNKPHSEQSVEEMYRHILQTQGPFDAILYYYMMTEEPIVFSTSDGKEYVYPDSLEGEHPPWL -SEKEALNEDNRFITMDDQQFYWPVMNHRNKFMAILQHHK - ->YP_001531153.1 nucleoprotein [Marburg marburgvirus] -MDLHSLLELGTKPTAPHVRNKKVILFDTNHQVSICNQIIDAINSGIDLGDLLEGGLLTLCVEHYYNSDKD -KFNTSPIAKYLRDAGYEFDVIKNADATRFLDVIPNEPHYSPLILALKTLESTESQRGRIGLFLSFCSLFL -PKLVVGDRASIEKALRQVTVHQEQGIVTYPNHWLTTGHMKVIFGILRSSFILKFVLIHQGVNLVTGHDAY -DSIISNSVGQTRFSGLLIVKTVLEFILQKTDSGVTLHPLVRTSKVKNEVASFKQALSNLARHGEYAPFAR -VLNLSGINNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAAHDAEVKLQRRHEHQEIQAIAE -DDEERKILEQFHLQKTEITHSQTLAVLSQKREKLARLAAEIENNIVEDQGFKQSQNRVSQSFLNDPTPVE -VTVQARPMNRPTALPPPVDDKIEHESTEDSSSSSSFVDLNDPFALLNEDEDTLDDSVMIPGTTSREFQGI -PEPPRQSQDLNNSQGKQEDESTNPIKKQFLRYQELPPVQEDDESEYTTDSQESIDQPGSDNEQGVDLPPP -PLYAQEKRQDPIQHPAANPQDPFGSIGDVNGDILEPIRSPSSPSAPQEDTRMREAYELSPDFTNDEDNQQ -NWPQRVVTKKGRTFLYPNDLLQTNPPESLITALVEEYQNPVSAKELQADWPDMSFDERRHVAMNL - ->YP_138520.1 nucleoprotein [Sudan ebolavirus] -MDKRVRGSWALGGQSEVDLDYHKILTAGLSVQQGIVRQRVIPVYVVSDLEGICQHIIQAFEAGVDFQDNA -DSFLLLLCLHHAYQGDHRLFLKSDAVQYLEGHGFRFEVREKENVHRLDELLPNVTGGKNLRRTLAAMPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHAEQGLIQYPTSWQSVGHMMVIFRLMRTNFLI -KFLLIHQGMHMVAGHDANDTVISNSVAQARFSGLLIVKTVLDHILQKTDLGVRLHPLARTAKVKNEVSSF -KAALGSLAKHGEYAPFARLLNLSGVNNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQQYAETRELDNLGLDEQEKKILMSFHQKKNEISFQQTNAMVTLRKERLAKLTEAITTASKIKVGDR -YPDDNDIPFPGPIYDETHPNPSDDNPDDSRDTTIPGGVVDPYDDESNNYPDYEDSAEGTTGDLDLFNLDD -DDDDSQPGPPDRGQSKERAARTHGLQDPTLDGAKKVPELTPGSHQPGNLHITKPGSNTNQPQGNMSSTLQ -SMTPIQEESEPDDQKDDDDESLTSLDSEGDEDVESVSGENNPTVAPPAPVYKDTGVDTNQQNGPSNAVDG -QGSESEALPINPEKGSALEETYYHLLKTQGPFEAINYYHLMSDEPIAFSTESGKEYIFPDSLEEAYPPWL -SEKEALEKENRYLVIDGQQFLWPVMSLQDKFLAVLQHD - ->NP_690580.1 nucleoprotein [Reston ebolavirus] -MDRGTRRIWVSQNQGDTDLDYHKILTAGLTVQQGIVRQKIISVYLVDNLEAMCQLVIQAFEAGIDFQENA -DSFLLMLCLHHAYQGDYKLFLESNAVQYLEGHGFKFELRKKDGVNRLEELLPAATSGKNIRRTLAALPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHAEQGLIQYPTAWQSVGHMMVIFRLMRTNFLI -KYLLIHQGMHMVAGHDANDAVIANSVAQARFSGLLIVKTVLDHILQKTDQGVRLHPLARTAKVRNEVNAF -KAALSSLAKHGEYAPFARLLNLSGVNNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQQYAESRELDSLGLDDQERRILMNFHQKKNEISFQQTNAMVTLRKERLAKLTEAITLASRPNLGSR -QDDGNEIPFPGPISNNPDQDHLEDDPRDSRDTIIPNGAIDPEDGDFENYNGYHDDEVGTAGDLVLFDLDD -HEDDNKAFEPQDSSPQSQREIERERLIHPPPGNNKDDNRASDNNQQSADSEEQGGQYNWHRGPERTTANR -RLSPVHEEDTLMDQGDDDPSSLPPLESDDDDASSSQQDPDYTAVAPPAPVYRSAEAHEPPHKSSNEPAET -SQLNEDPDIGQSKSMQKLEETYHHLLRTQGPFEAINYYHMMKDEPVIFSTDDGKEYTYPDSLEEAYPPWL -TEKERLDKENRYIYINNQQFFWPVMSPRDKFLAILQHHQ - ->NP_066243.1 nucleoprotein [Zaire ebolavirus] -MDSRPQKIWMAPSLTESDMDYHKILTAGLSVQQGIVRQRVIPVYQVNNLEEICQLIIQAFEAGVDFQESA -DSFLLMLCLHHAYQGDYKLFLESGAVKYLEGHGFRFEVKKRDGVKRLEELLPAVSSGKNIKRTLAAMPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHAEQGLIQYPTAWQSVGHMMVIFRLMRTNFLI -KFLLIHQGMHMVAGHDANDAVISNSVAQARFSGLLIVKTVLDHILQKTERGVRLHPLARTAKVKNEVNSF -KAALSSLAKHGEYAPFARLLNLSGVNNLEHGLFPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQQYAESRELDHLGLDDQEKKILMNFHQKKNEISFQQTNAMVTLRKERLAKLTEAITAASLPKTSGH -YDDDDDIPFPGPINDDDNPGHQDDDPTDSQDTTIPDVVVDPDDGSYGEYQSYSENGMNAPDDLVLFDLDE -DDEDTKPVPNRSTKGGQQKNSQKGQHIEGRQTQSRPIQNVPGPHRTIHHASAPLTDNDRRNEPSGSTSPR -MLTPINEEADPLDDADDETSSLPPLESDDEEQDRDGTSNRTPTVAPPAPVYRDHSEKKELPQDEQQDQDH -TQEARNQDSDNTQSEHSFEEMYRHILRSQGPFDAVLYYHMMKDEPVVFSTSDGKEYTYPDSLEEEYPPWL -TEKEAMNEENRFVTLDGQQFYWPVMNHKNKFMAILQHHQ - ->sp|Q91DE1.1|NCAP_EBORE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N; AltName: Full=Reston NP; Short=rNP -MDRGTRRIWVSQNQGDTDLDYHKILTAGLTVQQGIVRQKIISVYLVDNLEAMCQLVIQAFEAGIDFQENA -DSFLLMLCLHHAYQGDYKLFLESNAVQYLEGHGFKFELRKKDGVNRLEELLPAATSGKNIRRTLAALPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHAEQGLIQYPTAWQSVGHMMVIFRLMRTNFLI -KYLLIHQGMHMVAGHDANDAVIANSVAQARFSGLLIVKTVLDHILQKTDQGVRLHPLARTAKVRNEVNAF -KAALSSLAKHGEYAPFARLLNLSGVNNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQQYAESRELDSLGLDDQERRILMNFHQKKNEISFQQTNAMVTLRKERLAKLTEAITLASRPNLGSR -QDDDNEIPFPGPISNNPDQDHLEDDPRDSRDTIIPNSAIDPEDGDFENYNGYHDDEVGTAGDLVLFDLDD -HEDDNKAFELQDSSPQSQREIERERLIHPPPGNNKDDNRASDNNQQSADSEEQEGQYNRHRGPERTTANR -RLSPVHEEDTPIDQGDDDPSSPPPLESDDDDASSSQQDPDYTAVAPPAPVYRSAEAHEPPHKSSNEPAET -SQLNEDPDIGQSKSMQKLGETYHHLLRTQGPFEAINYYHMMKDEPVIFSTDDGKEYTYPDSLEEAYPPWL -TEKERLDNENRYIYINNQQFFWPVMSPRDKFLAILQHHQ - ->sp|P35263.1|NCAP_MABVP RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MDLHSLLELGTKPTAPHVRNKKVILFDTNHQVSICNQIIDAINSGIDLGDLLEGGLLTLCVEHYYNSDKD -KFNTSPIAKYLRDAGYEFDVVKNADATRFLDVIPNEPHYSPLILALKTLESTESQRGRIGLFLSFCSLFL -PKLVVGDRASIEKALRQVTVHQEQGIVTYPNHWLTTGHMKVIFGILRSSFILKFVLIHQGVNLVTGHDAY -DSIISNSVGQTRFSGLLIVKTVLEFILQKTDSGVTLHPLVRTSKVKNEVASFKQALSNLARHGEYAPFAR -VLNLSGINNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAAHDAEIKLQRRHEHQEIQAIAE -DDEERKILEQFHLQKTEITHSQTLAVLSQKREKLARLAAEIENNIVEDQGFKQSQNQVSQSFLNDPTPVE -VTVQARPINRPTALPPPVDNKIEHESTEDSSSSSSFVDLNDPFALLNEDEDTLDDSVMIPSTTSREFQGI -PAPPRQSQDLNNSQGKQEDESTNPIKKQFLRYQELPPVQEDDESEYTTDSQESIDQPGSDNEQGVDLPPP -PLYAQEKRQDPIQHPAVSSQDPFGSIGDVNGDILEPIRSPSSPSAPQEDTRAREAYELSPDFTNYEDNQQ -NWPQRVVTKKGRTFLYPNDLLQTNPPESLITALVEEYQNPVSAKELQADWPDMSFDERRHVAMNL - ->sp|Q9QP77.1|NCAP_EBOSB RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MDKRVRGSWALGGQSEVDLDYHKILTAGLSVQQGIVRQRVIPVYVVNDLEGICQHIIQAFEAGVDFQDNA -DSFLLLLCLHHAYQGDHRLFLKSDAVQYLEGHGFRFEVREKENVHRLDELLPNVTGGKNLRRTLAAMPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLEKVQRQIQVHAEQGLIQYPTSWQSVGHMMVIFRLMRTNFLI -KFLLIHQGMHMVAGHDANDTVISNSVAQARFSGLLIVKTVLDHILQKTDLGVRLHPLARTAKVKNEVSSF -KAALGSLAKHGEYAPFARLLNLSGVNNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQQYAETRELDNLGLDEQEKKILMSFHQKKNEISFQQTNAMVTLRKERLAKLTEAITTASKIKVGDR -YPDDNDIPFPGPIYDDTHPNPSDDNPDDSRDTTIPGGVVDPYDDESNNYPDYEDSAEGTTGDLDLFNLDD -DDDDSRPGPPDRGQNKERAARTYGLQDPTLDGAKKVPELTPGSHQPGNLHITKSGSNTNQPQGNMSSTLH -SMTPIQEESEPDDQKDNDDESLTSLDSEGDEDGESISEENTPTVAPPAPVYKDTGVDTNQQNGPSSTVDS -QGSESEALPINSKKSSALEETYYHLLKTQGPFEAINYYHLMSDEPIAFSTESGKEYIFPDSLEEAYPPWL -SEKEALEKENRYLVIDGQQFLWPVMSLRDKFLAVLQHD - ->sp|Q9QCE9.1|NCAP_EBOG4 RecName: Full=Nucleoprotein; AltName: Full=Ebola NP; Short=eNP; AltName: Full=Nucleocapsid protein; Short=Protein N -MDSRPQKVWMTPSLTESDMDYHKILTAGLSVQQGIVRQRVIPVYQVNNLEEICQLIIQAFEAGVDFQESA -DSFLLMLCLHHAYQGDYKLFLESGAVKYLEGHGFRFEVKKRDGVKRLEELLPAVSSGKNIKRTLAAMPEE -ETTEANAGQFLSFASLFLPKLVVGEKACLRKVQRQIQVHAEQGLIQYPTAWQSVGHMMVIFRLMRTNFLI -KFLLIHQGMHMVAGHDANDAVISNSVAQARFSGLLIVKTVLDHILQKTQRGVRLHPLARTAKVKNEVNSL -KAALSSLAKHGEYAPFARLLNLSGVNNLEHGLFPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAATEA -EKQLQQYAESRELDHLGLDDQEKKILMNFHQKKNEISFQQTNAMVTLKKERLAKLTEAITAASLPKTSGH -YDDDDDIPFPGPINDDDNPGHQDDDPTDSQDTTIPDVVVDPDDGSYGEYQSYSENGMNAPDDLVLFDLDE -DDEDTKPVPNRSTKGGQQKNSQKGQHTEGRQTQSRPTQNIPGPHRTIHHASAPLTDNDRRNEPSGSTSPR -MLTPINEEADPLDDADDETSSLPPLESDDEEQDRDGTSNRTPTVAPPAPVYRDHSEKKELPQDERQDQDH -TQEARNQDSDNTQPEHSFEEMYRHILRSQGPFDAVLYYHMMKDEPVVFSTSDGKEYTYPDSLEEEYPPWL -TEKEAMNEENTFVTLDGQQFYWPVMNHKDKFMAILQHHQ - ->sp|Q1PD53.1|NCAP_MABVA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein -MDLHSLLELGTKPTAPHVRNKKVILFDTNHQVSICNQIIDAINSGIDLGDLLEGGLLTLCVEHYYNSDKD -KFNTSPIAKYLRDAGYEFDVIKNADATRFLDVIPNEPHYSPLILALKTLESTESQRGRIGLFLSFCSLFL -PKLVVGDRASIEKALRQVTVHQEQGIVTYPNHWLTTGHMKVIFGILRSSFILKFVLIHQGVNLVTGHDAY -DSIISNSVGQTRFSGLLIVKTVLEFILQKTDSGVTLHPLVRTSKVKNEVASFKQALSNLARHGEYAPFAR -VLNLSGINNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAAHDAEVKLQRRHEHQEIQAIAE -DDEERKILEQFHLQKTEITHSQTLAVLSQKREKLARLAAEIENNIVEDQGFKQSQNRVSQSFLNDPTPVE -VTVQARPINRPTALPPPVDSKIEHESTEDSSSSSSFVDLNDPFALLNEDEDTLDDSVMIPSTTSREFQGI -PEPPRQSQDIDNSQGKQEDESTNLIKKPFLRYQELPPVQEDDESEYTTDSQESIDQPGSDNEQGVDLPPP -PLYAQEKRQDPIQHPAVSSQDPFGSIGDVNGDILEPIRSPSSPSAPQEDTRAREAYELSPDFTNYEDNQQ -NWPQRVVTKKGRTFLYPNDLLQTNPPESLITALVEEYQNPVSAKELQADWPDMSFDERRHVAMNL - ->sp|Q6UY69.1|NCAP_MABVO RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein -MDLHSLLELGTKPTAPHVRNKKVILFDTNHQVSICNQIIDAINSGIDLGDLLEGGLLTLCVEHYYNSDKD -KFNTSPIAKYLRDAGYEFDVIKNADATRFLDVIPNEPHYSPLILALKTLESTESQRGRIGLFLSFCSLFL -PKLVVGDRASIEKALRQVTVHQEQGIVTYPNHWLTTGHMKVIFGILRSSFILKFVLIHQGVNLVTGHDAY -DSIISNSVGQTRFSGLLIVKTVLEFILQKTDSGVTLHPLVRTSKVKNEVASFKQALSNLARHGEYAPFAR -VLNLSGINNLEHGLYPQLSAIALGVATAHGSTLAGVNVGEQYQQLREAAHDAEVKLQRRHEHQEIQAIAE -DDEERKILEQFHLQKTEITHSQTLAVLSQKREKLARLAAEIENNIVEDQGFKQSQNRVSQSFLNDPTPVE -VTVQARPVNRPTALPPPVDDKIEHESTEDSSSSSSFVDLNDPFALLNEDEDTLDDSVMIPSTTSREFQGI -PESPGQSQDLDNSQGKQEDESTNPIKKQFLRYQELPPVQEDDESEYTTDSQESIDQPGSDNEQGVDLPPP -PLYTQEKRQDPIQHPAASSQDPFGSIGDVNGDILEPIRSPSSPSAPQEDTRAREAYELSPDFTNYEDNQQ -NWPQRVVTKKGRTFLYPNDLLQTSPPESLVTALVEEYQNPVSAKELQADWPDMSFDERRHVAMNL - diff --git a/seq/clusters_seq/cluster_304 b/seq/clusters_seq/cluster_304 deleted file mode 100644 index af04b4c..0000000 --- a/seq/clusters_seq/cluster_304 +++ /dev/null @@ -1,245 +0,0 @@ ->YP_009337311.1 RNA-dependent RNA polymerase [Changjiang narna-like virus 2] -MNSASCTNYTVIHLIDGILIRLHAVRPEWKYRHGGPRQWLYVAEPKTSFEVELAQVATTLSDPSFPPSEE -RVKGGGYRLPDGTVTMVPPPPKLESGAALPAPKPGQVHHSVDWRLLHILSGLRAVLLVLVDSCGSCAQSP -DFVGNQPWTDSIAVIKAMRSWSEEDFVPNAKYWKDWPLARLLKQQLPNRPPSWKYGPMACLFTGETGRYW -NRIATYDTTRPDSFVFYRAAFGLSQSKRGFAAVPRSFVKRSLEKHARQLSTPPTSDPDMESARIFAETFF -EGFRIPDILKSLPDIEGTLRACVENPRHAGGAREFLRHLARKHHGMLDSYPVSYSGLASVLEETPEALPV -YVKTREPLVRMIEISPGVIVEEHGLPPLSPEDWRVLVNNHSPQNAREYLPPGVQDAVDRLIDSEPEATNF -PTCKVAEVLEPLKVRLITAMDALRTHVARPLQAALWRYLRASPVFALIGEPISEDLLQDLCARHLADGGG -SNDPWASGDYSAATDGLDIRFSRLVIEVVLSKLRTEDKWLAPFLASILDQQIITYPAWAKLPAILQKNGQ -LMGSVLSFPVLCLANFFAFIQSRPNAAAILRSRRLMDRQPVLINGDDILFRATEERYKVWLSEIAKVGFV -PSVGKNFFHPRFFTVNSVPIEYLPSPTPYQFWSQFSWADMEEIAAPWNINQAPRISIRGFLNVGLLTGQA -KLTGREALGALPLAGWHAGSVLEALNPLQAHKWFLHYHLEEIQRQTRFGSTTLNIFAHPLLGGLGFQIPV -GVEPRFSPEQRRIARALFLSASASYEGQESRFELDSLLFLESRTAAPLSSLGNRRRRVEVELYPTGTPLP -EGYSPFTDSTGVQPLAMVHDLPSSEDDLPTIARCRLSSRRIRQLIHRFDDTVDLHPLELMTQFPYTPVRV -TRSELIADKGVVIPEERPFSKVYSMEIPFQDVPVPEAAEEPVLPKVYEPEDWETATVSLRVINPIVAPYV -PPPLSPEERYRRMEGRRRQVLSREQNARNRGMIYARSALEQSYFEI - ->YP_009337307.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 5] -MSPLEEKSSQKRIRIKTHEFTSCGSTRSFDSGKSLRAFGSKGAASMSRAKCRDSEKSKISVHTTILSVLN -KVQTNNTEVNVILESLLWPFVARRSQRSEMFSPKEFDRMVSSFKKTGETILKYVSADNKEQKFCKYWLDY -YMCLVFQDHQRPEKPEWLNSNLFSGWLKRFVCRAIAKDDVSFIYSLQKGSKRLWPRLSKQSEYDAYLKHA -SRLSSFHGFLPDDLDEEISRTSQEIFLPINSGKMEASKYCPTGSGCLQATKNEFGALSLLPTHFEVSDDI -PNASSLGKLRALNHSFIKYRQESYDQMLSKVHKSLVDAESIFDLKCVAVAEPSKFRMITKGDGYLYTALQ -PLQGQMLDCWKKSKYSTMLEQDFEKRIQTIDKNCRILEFWCSVDYEAATDLIKKQATLTAIKEIDHKYSE -LAFLALSASGQCQYPQLKGFPKIEDITCIDSQLMGHPLSFPLLCTINLAVFRCALKRWVNDSPNSFEKRC -RKERAELMYNNVLVNGDDMLFKCERSFYEIFVKTALDCGLKMSQGKQYLSVDHCMINSLVFKRVGNLMRN -QGYLNLKVISNESLKDGVSLCLPTQISKTINEMCSLVPWACCIIPTVFKRWSSDLKFLNFSPNWFLPCHL -GGFGVERRFGSVFKLTRQQRKVCTMFVKNPELSLYFTKGFSLDHFYKFKSQISGALSHPRFKQSYCPLND -NECSDDPWLERISLIHRFSSFALRKNEVAKLREFGKELYRFRTMPREKVDTLVHLKFVSIKGPICPPLPP -LKLRTLNSHIESSEFP - ->YP_009337159.1 RNA-dependent RNA polymerase [Wenling narna-like virus 3] -MDAHDVFRHQPFTDYIEVINVLTRAPDQATCVKWIKHMTCWPLAIFARNDEPDVPEGLVQALGYEENLPS -DIPEPPGLPSRRKKIFFVPLKGGSRRMLKRLLINKPTNKIPLKVCWAILQGAKRGCAEVTTEFVEAALDK -HKNALTDEKAPLDPEIKEEFRKKFRNIFRAKQYTRYCNGTKYTCFNVRGFTREEGNPGPNACYERPRSEY -GRAGYVRERWQKHLEENLCLKEGDLLGIHIDATTGKSYEEKYSKNLLPRIPHSFLVREALSTLKKQDGVC -HATVVECLEPLKCRLITKGNAMPYAAVMPFQKDMRRHLYDTHFAFKLIGEPLTEQLLHELLAKERRANIF -TDDVKNGKVIKWNSGDFSAATDGISQEVNSLALEEYIASLAGVTEDEKTILRAVLGNHLLDYPSDENRPY -KVREPFMMKNGQLMGCPISFPILCAINLAAYWLALEEYTGRKFEIDKLPVLINGDDILFRATDEMAAVWH -KWITHAGFTLSKGKNYLAKDFLTVNSVCYSYRSQEYRQSQAKLAKAVAKKNKTSKTYVPKGCAASGASGH -GEQLSVPATDDGEESADENNEPESKPTIDVATEFGTNLSDSFTKIGYLNTGLLYQNQTMKWKGWQHGCRS -ELRQKPFADKLNDLLDNCCDKKRTFALAKKHYAIEIAQATERGNLSLTAHPSLGGVGVNPNGIEDDVFYT -RFQRKLGGFLKDRLKKGKFGRHPTQTIGGTEIVSSVVDLNKNLDIEGRVTYRNDAITIAKVPADLCFGDV -VMRRVDEPMREHEQRVKDLHNTNALYNYQAPLDRKKMNLTWTQGYLPTKVLKEFRGTKHPVELKNPSHFH -LEARCKNLYRTDSQFVWEVEINHEDGTVQKYQFLPGVHQELDPQGMSSQQLKDQADLPVGEARVDYLNTS -SRPYTPFCTFGARGTNSPDDLVQSATKELPPIDQSESIAESEIVEESVKVLVC - ->YP_009336851.1 RNA-dependent RNA polymerase [Hubei narna-like virus 11] -MISPFHASDVKSNSFTSLLTYVDLLCDFNPKGRLRVPLVPLLGRISLSDLYTKEEWNQSDTFYLIGPHGS -DSITTNPTLLSALNLRTLFPADCGPVEHSLFFGPHSPFPPGTTFQYIPGGSSYSMLFFLRSILEVYIDFF -TSDLVTPSMRDRFLAYQPTSQSACIAYLSSLGSSFVKVVKFYTAYPMAAFLRQEDMPPRPSQIPEVRTWL -WDGDFRKFLKQITLYRNPEYAPLIWGTLQGVKRGCASVPDTFVSDSYASHFRTMAMESRNSLLQEKALDL -KEILLNPDNVLPLWGDENERASELEEEIKILEDKTGIRNTRAKATLVFNILPPGSDFNGTIYRPIKMEEF -DALDSPIEPSSSASYRYSSRKGGQRMDVMQEVMPPVSLPVSFPTPPSGDLELNLLDAIDVGLVNSFSELE -SYYRQQLSMGDVLRSVRQSHPDHQYIRDWYSGVTRSWSTDDLRYSLSSILRVFCFRLPLTYSVDDFLFAS -EPPFVIPRLLSQLPDGIPQLISSFLGYTVTPEEESHVVKVSSAYTEMKMRSENQEISSILSSITNGVTYD -PSFGDIDVGLQKMFVTDPNSVHEIRGHLPAFFPYTSPRRYLLSLQQLQPDIPVEANVIALIEPLKVRVIT -TGEAAAYYLSKPLQRSFWKHLYQFPQFVLTGQPLTVEILDQLEDSTLAFCAKYSVPTLDQWVSGDYKGAT -DTLDILSSMASFSAGINVLSQHSQLSEQFEKLLDLNRQVLDRHTLNYPKGTLSSLTHDQIVELGGYYGEN -GEVKLLQGTGQLMGSPLSFPILCLINFIAYWTSMEKYLGREIPDFWELPVRINGDDILFKSNTEHYSIWK -EEVKVHSFELSMGKNYIHPRFLTVNSVLYYYTRHHDGSPADFGKVPYLNVGLLLSQSKGVDRDPQRRLPF -AELYRISVGDSCNVRRSHDRFIHYNIKQIKQFTNNGQFNLFIPVHLGGLGFPLLPLIRDWRVPSTDGGTV -FKVSFTKFQIQFATFLSMRVEQVSLTGEIPYKYFAALVPQDLASYMRDLNTARKKIGKSEVLPPAGALSP -VMYYTWKGLRPLQPLYLPSGFDLSSSTIFKDIMYVEPSSIKRTLLSYSYDTSLPLQYRLPSRSVMSEFSS -FFMKGDEKGRFSLPLISSERLLSNEQRPVYVDREALHQLFSTTGLSDLMGDHGPSVFLELADLNNLPHTP -IHLDYFL - ->YP_009336843.1 RNA-dependent RNA polymerase [Hubei narna-like virus 7] -MRVEGSPESSGDLPSTSTEAVLQSVCTPAVAHSDSALGASAPPPRICLSRREQGSVFYQRGYHYCSPGPI -RRQRSAGQRFSPFGTRVLGNDRWNSDLYMKRFNSLPHTGIQEESLLLEDKSDTSGLAKQHPAAMSQEDFI -AFNSEMLSNQAENPLQLLVDAVALFLKRDSFKEAAMKNLLHLVGAGKLKSWLKFALAQPMAELTRGSPLE -SVYEPVKPPKDLPREAYQAKDGGVKLYFLDLFGLEGKERLRVLQRLTERQKDKITPSRRAIVMCFSILSL -KNLAPPLTFPELSAAVKEHQETLTSQVNPRLSSRQKDCLSEIVDAIIPEEYVLFSKLPKGTLTDRAALLE -ERAKDVSVDEYNGIPFATRQRQKVNSSSKLRSGHGLKAQVSGPHLEPCGKKGFSGYRDYGTALSFDHLHS -MSASGKRVMRDEGEKRVPEGTAEKPLRDYETLTLRRKLARDEEEDELLKRYVEEAATADNEAEVVALLEP -LKVRTISIDSGGLRYLASRIQKFLWTRLKDCKCFTLTKGTYVQEAIDGLFRKGLAFVSGDYKGATDSIYS -NVTDYTVRRIFKKIAFPAELRPHADAMARSVTEVILNYTRTLDGEGIKYILELERRMVGEENVRVCYNRK -FFERPPPSFFDIYELFKDTYKVDPWFEIIEEPLVKQTRGQLMGNVLSFPVLCIINAAAYCHASQLYLEWE -VASGRVREYYGGLGMAEMLGGLKSPLAPSQRSVPERMRDWSQFSILTQFCEPVFTKLEEEHMHAVRSFRD -HGHMYSNSFTGEVEPLFYRWVKMDTRGPGNLEELFALRILDAQKTTFQLRFVRDGKDALSNLPILVNGDD -ILFQATKRFYNVWSRAIALYGLEKSVGKNYFSSHFFTINSQLFISDRPEYFNEEKVGELIPVGDELSQPE -RIGTIWWSGLGPSYLQKRKDLANFTGKASAFYQDTRSFLPLVQKEFLNSIVDTNRRPLWNSLWLKANDDY -VKAFDIPRGHIWEGKSGKTKVDAGFLVSRSLPVPLGGLGLELNEKEKLTDAQKIIACRLNSIQGREMSLK -LSDSPLIQSLMGSMQDFFLRKYQTVEASEDEIEYDKVTNRYTYLPRPGIRDIKFGIQVYPLTDAILKVAP -SVFPLWRTPPPDDLKFDKDQLMGLTAKVRAWALKLNKETRTKFASISDDDPTLRRITSTYVVKQELFPLS -DNADRVERHNRIRPSWSKELQERLPHERWDDPDWDRIADELKSSPAIRENPGFDPRNWIENSAELATDFF -HGLTPGFGFGYFNPNWPLR - ->YP_009336802.1 RNA-dependent RNA polymerase [Hubei narna-like virus 9] -MRNHLSFREVCDGCLGSLTRGVPGTLKNSKVPRKRYFKIPGVRTWLKTSGGNYITIFSTKNKIISKLLKE -AMKVLTESHGRSTTDFSTFENWYLHEVIRIFKITDKTIEPEKLFREATDGFFEKASFDSNLEWFWFNDSY -LAEILQFVSAEIHILTDVIPDFHKRFSFEEQSKLYLNTLKIPRDSFIKHIKFHTACPMASILDQDLPAKP -SDFTGHYLIWTGSVKRYLKNILNRRNFNSKDTPLCLKIGMGFLQGIKRGCATVPSSFLYSEVISHIHAMT -TPPELVPGWEYTDGFATKYSDPILQTFGATCEHVLKQTNKVYVPKPYEPSHNASFERSRGEPEFEIEKFV -KGGAYMEVVHSLGLQKITKEVILKGDVGFNISYELPDMDEVAELCRIKYPSRFKFVDDDPLFKEYFPELF -VSSPQLSRELSDTQVIPLCEPLKIRVITKGEALPAYLAKTLQKTMKSYINRFPSMILTTRPLKVDDFRDV -WAREKAIESQLGINLKFCEHVSGDYKAATDKLNINFTKLIFERFMVVLNIPQADRDVYRSVLYEQRVHYP -FAAKLCRDKKLNSLNCLHKANIEAKKLYKLEYAKWVSEGSNFLTEPVLPPLEFAVEQKNGQLMGSILSFP -ILCLANLICYKCALDEYINIDNKLRSKKFVNVFDLPVLINGDDIYFRSNPVFYEIWKKYIGIAGFVLSIG -KNYVHKSIFTINSQCFRYNDEQDSIDEITYLNVGLLIGQSKSGILGEKLPTWDLYNKVTVGSYNKVDSHN -RFFYYHKDSIAQISKNGNYNLFLPKLLGGLGFIRPDPAIPVKITRFQAQLGTYFHNRIIAAYKTPEKELH -MSQAHLIDEHSPDVYDTYLGDQIFQFIKKDEEVPEGYLPLNLSKRTEHLFVHDWGNFEPKLEFRSIDSQT -LKHFRESVKKYQGDKCWFGAESAISGNYPYVLVRRVLDDVETYQENLREVVYNKVLENIKDYFEVKEKLK -PLIFNGNPQVVTDVTTF - ->YP_009333318.1 RNA-dependent RNA polymerase [Wenzhou narna-like virus 4] -MQEELSPQQNALQFLNRISAQSTIGMWTDSTPPFPCPSGKKEGRLAFVANTKSGFNWLVKLPKSLLESVA -LERVTTKLGEVRTWQPQASESEDGIKPTGLNYSKVILVPTIGDCESWRAAADFCCGYLSVIEDSYAKARK -LDFHTATTPFRHLVKIDKSQQTAWIKYYTAAPMSQILGETLPDTPDSSRTPVFFSGKFRKFMHQRCLKGT -RDLRNIRNAFNLLQGVKKACQEISKDFILEAYKKHRKTMETPCAGPPATELHQAKYKMLWTQGRWIDRWK -KYKPVLFKPPGPAATLECPRSEGGGSQYAFEQNRVFDAREIAVQSAIVNEQLWFFFDRLGIRDAFLKTQK -IDEVSPFWTWPESEETGRVQTVPTRCLSPEGKIFYQWQHYSPTWEEVFALYNEEDPKNRTVMVEGIIEPL -KLRTITKGPCHRKWLSQSLQREMADCLDGFWQFKLNKANTDHRMVTRLNAACVRLHSKQDSFTPDTPMWW -CSGDYKSATDSISIHHTKAALETLLNCTSPERVNEACKRLYRDELYEQIVQYPKWTGIPDVQQVNGQLMG -SVLSFPILCVINFVAYWQSLEEHYGCTLNVRSVPCLIHGDDILFKTTHEHYAVWEETIKLFGLQKSVGKN -YFHPKVFTIDSELWVESKRSDGFHFKKYSGINVGSLLKSKVDGRNDYQRLPIWDKFNSSIRGAQDKELYL -KRFLHYHKSTIKPMTWTKAGVLNLFLPRERGGIGFELPWKPNEIPIKENGTPLVAVTKHQLNLASALCNS -FREAGPLKSLAIVAVVDKTDATTEKRYRLPFQEVWKHESTYAREIPGELADPILSEVPSGKPEEYRLRKP -EIRSLARGPKRFRVCTHPPIAPNPRIGRIQRPGELVVEDECVHTYPTEIFSFPYVRSRTIDPDVFWESIA -WAANQYNSGCSSTH - ->YP_009333316.1 RNA-dependent RNA polymerase [Beihai narna-like virus 9] -MSPEDLPACLERAARALAGRQKWLHMKHRSFVALTNGAYFLRSLVEVLLDADPIFLRLKLADFWEVFSIL -WPISPAEQVAVLKYWVAWPMARWLRDSDITSARPPCLPPAPTSLDFPLRGPLRKHFRNMLASRTSSVRAG -TLFTGILQGVKRGCAPVPEEFEVLSCQKHKKALSQPLEFSHGPEFFAKFQAIWGKTNTENRRAALHSRWK -RVFLDRKWARHLHSASNHASVERMRSEGGRVAEVFHVNRILIGEDRDPRLVDEPPLLDMFERNGRVVERR -GWPLASYRQFLRQAAGMCRGRDLRARVELCLEPLKCRVITKGESVPYFVAQTFQKSMWKALQETGAFKLT -SCPVDASMLYGIELSTKDLDLPFDQWVSGDYSAATDGLTLEVNQCCLRAMLDAFQATPEEREVCSKVLGC -HEVSYPDRLDPEANGLEPFHMLNGQLMGSVLSFPVLCAVNLAAYWCALEEYTGRKFKKEQLPVLVNGDDI -LFKANAAFYEVWKKWVKRAGFSLSLGKNYISPNFITVNSESWLHKGGSYFRKLPFLNCGLLLQEAAGPYK -VPLRAETAERPLIPKLQWIIDNCNNPARAFDRIKHHWRRSINVHTEFGRFNLCAPMELGGCGLKLPEAVR -PAVNFTAFQCLLAGRSHQSYKNLEGTDIRDRPKTGFERISVAEVSKSINPLPTESRLGTAVLRSPFEPVR -GELEVRFEDPIACLRVAGELNTAQTASDQERPVYVLKRLSRKRLGAVFSTGAKITKPFLFPFEVRKQLTR -GPTDDASPPAMPEDIAEMATELCKSAPKPSCGGGTSRKW - ->YP_009333278.1 RNA-dependent RNA polymerase [Beihai narna-like virus 11] -MASDHRTDKGDRVEAKTYLSLIDVISQSLRPSGDGKASSCCRCYEASSLACQLIRRHSGVLGSAVVKKRS -KGKEVIQWPDFPQGDVVSSLYFTRSYLEVLIDFDDRLLKWNWKHLSVIFEECMSWEPLNFIKHAKFCTAV -PMADYLNRKLKNPRPALVERVGPYFRGPLKRYLTNRINTGRGKNLSLAWSILQGVKRGCAPASDEYLQSE -YNSYADLLGEPPSNPYSDYTRYYSEIWDGLRVRRETHEFYPSKSAKLGFNRSEGGGVQGMVRSMDLEAGL -VDMVDTDKGVIERRGVNIPPLSDLLTAESEEPCIVRVQGLQEPLKVRFITKSPSVRSYVCKPIQNALWNH -LKESEPFALIGHPIRREDLRQLKIKSLRFDFGPQPFWVSGDYKSATDRIDLRQTKDALECALAELLLHGH -IGLRQADACRRELYEQTITFPLHLQMPSVPQGNGQLMGSVLSFPILCVINLAAYWSSMEEYFQEEYRLQD -LPVLINGDDIGFQSNDEHYAIWQQKIHEVGLKLSIGKNYIHPTYFTINSELWNMCDLTQVHFFNLGCLTN -MNRRTGRECTKSLPIAELWSEVHVGATNKDRAWRRFVHYNKPQIQSATLRGRLNLGLPYTAGGLNCSIPC -DYRVTDGQRRLQRYCERLYRNEGRTLTGVKGRSHMLHKHGRSNNMRLYDGTYEVILSSSEGQEQRVPVEL -LDAPREDIILPVKIPTETHLSPFGPILADDEVENKFSYPSRKDFDQAKKFAPGGSPKNFCLTKKVPYDKR -FVTGKVIKVTPY - ->YP_009333271.1 RNA-dependent RNA polymerase [Beihai narna-like virus 10] -MNFRLEDQLVMYRTAAQWPPEKFIKFAKYTTAWPMAKFLENDLPAVPDGFQGNPLWSGAIKRFLKTRIVA -RSPRNGRLFFGILQGVKKACAQVPESFVMEAYVKHRDALSGEPRGVDPGQMSFYYREFFKRFRPRKPKLY -EASNSASFETVRSMGGARGWIQSNHDLVDDDGLIGMFETRPGKVETVKGPALEPTFDELVDLALEEPKSV -RVGAVLEPLKVRLITKGNTLRYWLSRDCQKQLWSYLQKYDQFALTGRPLMAYDLHGILIREEKLGLKFDK -WVSGDYAAATDTLDLRHTKAAFEEALRMCMFSYSPKYQDVLRSVLYEQEIHYPEGAVKKCAGKLDPVMQR -TGQLMGSTLSFPILCAINLCAYWAALEELTGREFDVHDLPVLVNGDDILFRTDDRLYDIWLRKTKEVGFE -LSLGKNYVHPNYLTVNSQLYYFKNTTFRGKKKSLFIPLGYLRAGLLTGQSKITGRQEAKAAPLWDYFNKV -TREALDPIRAKRRFISYHKDTIVRLTQKGKWNLFASPMKGGLGFEPVEGDKLRFTPFQRRWADFMDWKLR -NDPDNFGTISLIQSRSSKDGPRVLHNPQLTVQPRYGPYEEGVVEIKDTTIPLQILAARLEINDAEDMKSA -LRVKFPKKETLKEFRSRNWRQLKGSLTCDRFRLMEKRSEMNSKVLSALEQMDGVTELLQEYKSTGTFNSL -SLEIMNDIVEGICPSCSAAEKKKYLSHREYSE - ->YP_009333267.1 RNA-dependent RNA polymerase [Beihai narna-like virus 8] -MPERVSRTDLGPILTRFGIDFQSKSSHLLLLFSREERDYAFSAFAFLRSLTECLSDADRVLECPDVLDFV -PTYRWLMDVHSGEEQVKRMKFLTAWPMARWLRNPLPPPPPRVDSDHFRLPFAGRTHRHLRNLLASRTTSV -RSCTVFVALLQGVKRGCAEVNEEFISAALAKHQQALRTPIPALDPDTRRNVRAKFSALWRRETPSRQYGE -WKKVYNREGKCRIARREHNCSIKASFGYSRGAGGRVGALARLAKDYWQCGHNELLSMDYDPSSGRVVTQY -GIPSLGYAALGKLALMEVSDPQGQCHATDALLYRTPELLRGDGLCSADVKPILEPLKCRLITAGSPVSYA -YSMSAQKSMWRHLHDNFPQFKLIGSPLVEDDLGWVRGQTSSLDLPFDQWVSGDYSAATDGLGSEINRLCI -DAYCDAVQATPTERKIWRAVSGNHSIHYTGEKVADAVTASVGSSDPFLMENGQLMGSVLSFPLLCAINLV -AYWAALEEFTGRRFQPNDLPVLVNGDDICFLANDAFYKVWQDWISRVGFTLSLGKNYISPDFVTINSESF -MYSQRRCTFRRIGFLNTGLLIPSASIRPENRRMPLTGKLQRLLSECNNPERTWRRFIHYNKAALRDLTLN -GNVNVFGANALGSLGVTPPPGLEPTYTAYQKKMAKFLYDRHLATWADNEEAKVGSVWKNVPPKEHKLPGS -YLLEGCDDATILAKKKHLGTERCYPVGLVHESEWSEENELPLPAALPALNYQLFGGPLTYFGDVSWSVKY -LSKADLRSFRSCLRRAERDPREWKYRLGRMSTDETPATNKLRVEMPTEPPPTGVEGPADSVRRW - ->YP_009333255.1 RNA-dependent RNA polymerase [Beihai narna-like virus 12] -MSKSDDRRPSDSQYPLRLSVSKTKDEEAFRVGLLQEPRCRKVALLLAPSCKVESVATTSPADPLKPPGAD -NWRLSPSDGQIVTTLKIVSIITAAIEDVHDFHLNDRQIVQAVLMWLPYVMADVWGPVLKARKDILFAYAL -RQEEIPPPWCECCNQTYILPGRAGSALRQRVLYNKNEDNRIFANTILHGLKKGMPKLSEAHVIDGYLKHR -ERLSTDKGPVDPALRECITRTCREIFGGMKFPETTPVLTQNVSSKSSLHSNRSEGGALSEIFSEEDPLEY -YGECFTEETGPLSIKTNKFAAMEKLGQIRERTARVLRSDEGSKLSSHWQTQAILEPLKCRMITKGELRWN -AAWSDIQKEMFRRLGRYEQFKLTRGHEIEKQDSLMFQVSGDPLDLDGDQFYVAGDFSAATDELKADATRA -AIEGFTDPVLRNLLTVNLLNGLINYPPKYEIDPVHQANGQLMGSIFSFPLLCAINLAIYRYTWESRDKSG -FRKPIDSLPVLINGDDIAFRTTRWFYEDWKKNSAEAGLIASRGKCYFTKKFFLVNSRLIHSTPTGILTQA -IPYVNYGLVTGQKKGEGDDDLARSRREKLACLEGALRDLSKDFDERSEILKRATARAMKRGDIRISGISK -TQLGLPSVEIDDDEARRYLTYASRIRDRGQKEPSAGHTGLAPFIFKFPRVKTFGNLRTKQHKAVDLVKHL -AMVGEKKEKRAREEEALMEVLSAQRKRGFAFDIGRLPESSEEILYNKKRK - ->YP_009333251.1 RNA-dependent RNA polymerase [Beihai narna-like virus 6] -MFCRSVWDVLCDADQVFRYMPARCFLDGFLPLLMRAKDEAEQVKWQKYLTCWPMAKFLRQTSMPEVPAGL -EEAIGSSFHFPLTGAARRHLRNLLASRDSEIRPTQVCWAILQGVKRGCAEVSEDFIYATMLKHKASLTQT -LPEMSEEATELVRQKFRNIWRPSFRRRQWTGYGTSRQMERDCSISGNPNFNACYEVTRSQGGRCRAVRST -IIRYLREEWGMDSDNRLLYRMTEVAPGEVVSEYIGPEFLPEVSQKLAERWALKDLERLGGTCRATVCAIL -EPLKCRLITKGSALPYFAAQALQKAMWQRLQAFPCFKLTGCPLDASMLQGLLDQEERLGLDFTHWVSGDY -SAATDGLSQQVNRLCLEEALRGCNASEALKIIARAVLGNHRIEYPTEFEIDGMMQQNGQLMGSPLSFPVL -CSINVAAYWCALEEYTGRKFQLEDLPCLVNGDDICFRANPEFYGIWQRWVREVGFTLSPGKNYIHEHFVT -VNSEGYVHQKHGKVPFHKVNFLNTGLLYSGKSVERKIDWGDQPAIKVGLRPENREMPFTQKVNRVIEESC -SPTRTLLRVHRYFRDEIKYHTLNGEINMHAAPELGGLGIVLPEGSDTRFTAWQCRAAGYLASRWKSLEFG -SVVEGIPGPLTQEEAKHRIVDLNRPLGVEGRVTYQQKKSAALTWQAPVKPGRVVVREKLEPLREGEERIE -PDSSPLRNYQGPPTSANGEWKIKGLDSECRQAIREYRGSGVNRPLRWSREVRSAPSSILDRLVRSDSTSV -LNTWIGAEGQVHSFLSKPVLVG - ->APG77081.1 RNA-dependent RNA polymerase, partial [Beihai narna-like virus 7] -MFVRSTWDCLCDSDKVFRTCDVKDFLDGFLPLLMQAPDEAAQVKWVKYMTCWPMAKFLRQRDMPEIPSGF -EEAIGSNFHFPLRGGARRHFRNLLASRDHEIRPNQVMWAVLQGAKRGCAEVSEDFIVQTMHKHKKALSQA -IPEMDDETTEKVRLKFQTLWCEKRRGKNAFRPSHQMERALKKGGNPNDHACWERSRKQGGRMALVRERLL -QELGFSPDGEEKMIPERLLWRMVELVPGVVHTEYVSPSFLPEVSPARAVQWAIEDLESRNWTMHAGVCGI -LEPLKCRLITKGSGLPYFASMPMQRAMWERLQAFDCFKLTGCPLDCSMLWGLTNKEKALGLHFDKWVSGD -YSAATDGLSQQINRLCLEEALKGANAGEGMSSVCRSVLGNHLISYPKEFSGEVTEFMQSNGQLMGCPLSF -PILCAINVIAYWCALEEYTGRVFDLADLPCLVNGDDICFRANDAFYEVWKQWVTRVGFTLSPGKNYISPV -FVTVNSEGYVYRPDKRKPTFQPVKFLNTGLLYTGESVERRVDWDCPKDKTKAGLRPENREMPFVPKVNRV -IVESCDPRRTLLRVHAFYKDEIRHHTMDGEINMHAAPELGGLGVVLPHGCTTRFTPWQQKVAGYLHSRWC -SLEFGEEVYPSSFGPPSKEESKERIIDLNKPTGVAGRLTYQKRVGTSLSYMAPVRPGRVVVREKLEPLRE -GEERVEKDTSLVLNYQGLRDPSAFGQWCIKQLSPECVQRCREFKGSRVLHPTTWTREVRTAPCARLADEP -IFI ->APG77208.1 hypothetical protein [Hubei narna-like virus 10] -MVISQSKRAFGTVPQSFIDEAYAKHEKILTTAPEPMDEELGMEKTIRVVLRSFLRNWNPENLVDEFQKKH -GSTSASNAYRRHQGGGRAEIQDLISAKFNLSPDSFIAFHDTIDGLVEERGLPPLTREQWIEFVSMEPDST -RADGDMETARVVAICESLKVRIITAMDGQTTFAVKPIQKSLWDYMSKFPMFDLLSQPSSPEIVSRLLRRT -QEIFPDIALDTDVSTSPSDDDQPEFVSGDYQSATDLLKPRATEIAEEEISRKLKGDDVCLRPALKRTLGM -QQLEYPKTSLFCEHTATQLNGQLMGCVMSFLVLCLLNLNTYFKSLPLSVQKLFLNGKLAWRLLPVLINGD -DILFRAKRLQRQKWVAAANDIGFQLSVGKNFIHPRFFIINSLAYVFNPFPKVPEYISTYLRDQEMLAPGA -TCTLSPNMSWADDVEIWESSIQRDTLSHLNQMEKYTLQMKNWWLSSHITALPYANLGLLLGKTKTGEQTS -EKVVSLKTLYDASTCGGNGPFFHSFFLHFHSQAIRTQTKFGGMTLNIFAHPRLGGLGFNVPKGVEPEFNV -AQRILATRLFKASTKNFVGQSSDDPSENFQYLESNAKVSALG ->APG77202.1 RNA-dependent RNA polymerase [Hubei narna-like virus 8] -MNWYPTVYLSSSRSRRSNFLLEQRCVYGRDLWSSLRLHTRDVNVSTPNRCVIQGILEPFKVRVISKGEAL -PYYSCKPLQKALHGALRDLDPFRLIGRPLSPTDFIDLKKKSTREDNWFSIDYSAATDGLSWLYSGQIFQR -VISKLPFEEQMMAMKVLGPHDLYYPVRPEGEKDFDVSHPVFKGTQTNGQLMGSVLSFPILCLANLGVYLL -VTESVQKGWTDRERLAHVLVNGDDMVYAAHPDLWSRHVEVAGKVGLEMSVGKAYVHPVYANVNSTSVHYD -LRKEGGTPWQIDYLNAGLFYGQHKVQEAGSHRISLLLGDEERAPNSRWENTGIREKTLRRMLKESDVDIS -KEGTSLTSTINWILQGSLPGRQKNLLSQFLTLHKEELRQEQAALVKKNGKDYVFSRNLFLPESLGGMGVV -PPAGFNFQLKPIQKKVALSMIRKNLIPSTSQLPLPGFPVEKLDLMVNVPWSRTVAVREVFTTTSLDSLPS -KMLRAFGNLLYGSCRSTFRI ->APG77281.1 RNA-dependent RNA polymerase, partial [Wenzhou narna-like virus 6] -MVRNVKLANSLSRPTVTFSSTFTKEATTALVKGIKILLQCDGYVVHGHEREQSSIDNIVDLLSPLSPKDF -VSAVKYLTAIYLPMLLGDELPPKPDFLSPEATLFTGEYGRYIKRLFATSNGQIRASRRSLSLATSILQLK -RFLPPLPRVLQLEAIRDCKKRLTVERSTDPQILSHIRLVTQQLFPVGWDNGGVPKYSVTNKSCFESSRAE -GGAQAFMFNPNHPIHLPKPLMKKQKSTLDLIRSAIKRLEGREETVCPRKELIEVPILPSQYELDRIDGHR -MELRRHPLAPTREQYLETALATQPEQLESKMEIVEDPLKARVITKNNWQCTILKPLQKMIHSHMRKDPRF -ELMGKQIDADVISALPLFSGAKWVSGDYAAATDNLNADVTETILDEILVNMTGKLSRLPEFISLAARSLT -NLTIFHEEVGYFTQTNGQLMGSLLSFPVLCIANYAMWHKTAQEHYQLWPSGLGDHGKWDNVRINGDDIAF -ASDPGMYEKWKVNVVRIGLEPSMGKNYYSDRLVMLNSRPFLPQTQEDGSARMTELKWLNLGLLKSPADEE -SDNVESLGPMHDDFVRNAEDKRMASGIFIREQQEMLRTTFRNLFGPRCWGGLGGHPVAGTRGADAEAYDG diff --git a/seq/clusters_seq/cluster_305 b/seq/clusters_seq/cluster_305 deleted file mode 100644 index 6231b01..0000000 --- a/seq/clusters_seq/cluster_305 +++ /dev/null @@ -1,102 +0,0 @@ ->YP_009176996.1 M protein [Santa barbara virus] -MSLVSYFKKKKEKRRNLIPLPSAPNYDLATTLSSDQTSWVYGIENIGGDFGEDDDSLLPEYSADNSEEVE -KVTWKIESSLTVDSNKKIQTLNEMMYILEEFVDHYEGSIKFKPFVDVMVCILGTHMKNTDSGSGTIFGYK -SELNEPILFSIIKKLNPHKRDYKFQKQYETHRLGGRQIIELKVKFDQTKRRGIPFEQVYYAPMANSLKPP -SLEPLLDRYDHHIRRDEIGSPTLID - ->YP_009362184.1 matrix [Joinjakaka virus] -MLTLFKKKQKPEKAIVPFGRGNDQPSWVFEGQSGLFPCEIPSAPDPPPYTDDIFVNLEIDAELCIHTTFH -FKSYEQLIKVLDGVIDEYQGSYTWKEIILATYALLAVHFKQSSSGDRVKYQSSICDILTFNVSNPLTPHI -NKTCLTFNTKRMGHSFSVVFNFVGKIVKRKGTPYMTVYNIPMANGSNVPPLSQVLGDLQCKYYGDGQNQL -ILRTN - ->YP_009362162.1 matrix [Itacaiunas virus] -MAIRRWKKELGGILKKDQNEAKWVFGEDTPDYWNFSPSDFGYHQGATAPLEESSTVPMSWLIEGSLDVLS -SEKIKSYSELSHTLEKIVDEYSGINTEKDVILMTLVILAIHLKIKMVDKNYGVRYFSQFSEVVSLRFPIN -NGPSNQKINYQHRWSEKGRNGTVAISYKMTAKRSERSPVSVRAIYETPLPNGTSPPPINVVLGPFGVALN -GEFPNETLSKRPLNTN - ->YP_009505506.1 M protein [Ekpoma virus 1] -MLARIKKKASGLRSSSSSKSSDPEDFKVSAYAPSWDRVDYHTVYDFGEKDYEEAVPEYKPNSETLTCHVQ -SNLEIITRVPVRSIMEMLRVAEAFVDEFHGTLMTKVIITPVYESLATHLTKDPTTRDCINLHKYKSALDE -IIVFPVSGEIKVPSVGISFSTNIQTTFKGHPCTIRFKLSAKPTKRNGQSIFEIYNTPLPSGHEIPALKDV -LSKYEIGLKEDGEGSLFLHI - ->YP_009505489.1 matrix protein [Drosophila ananassae sigmavirus] -MLAKIGEIISSGKRRKEQQISNYLLAGSSKLAEMDGRISERPNPVASAPNYSDLIRNDPHQDYQKSKYLI -TGELELITSEDLRTYTDLFDKLNIWVDDYIGDPGLRPIYSLVYVLIGTHLMKRKMSSDSRFVYSGHVTQL -TCINHLFGTIHSERKDFSWNSVSGLGESKCIIKFDCTLKPTNRTCVNFMDVYLRTMPDSDPPPFINHILE -EYGIELVQKSESDTYFKYKV - ->YP_009337069.1 hypothetical protein 3 [Hubei dimarhabdovirus virus 2] -MNRLFKSPRKRKDTKSSSSSDSSSGSLSELPISVWIPPTSTGPVMEDYWIRPTSPPVSIVFDSHIVRYVK -LNLAITSNKPIKNHDEMINMLSLIIDHYQGPIVLKPVILALYGAAGMSLKLDRGMRSDYKYLSRLDQILM -FHTKNRDYSEEICDINWIKTSSLGGNRYSIQYSLTMEKSNRKGNDFFSHYRKYSRTEKSMSMEVIKEILN -IDRMELESDTAVFL - ->YP_009177241.1 matrix protein [Adelaide River virus] -MLSLLKKKKGSDSGSSSGRESDYENEKVNLYRPEANQTYHDFGYFLNQRPTAPTKFSSKSYSVESFLEVT -TSKKLENGSEILKILEKLVDIYDGSILSKSLIIPIYIILGMRLKLSDQYLKNRFVYKNGITEVVSFTGED -KIHLKENKLEYSKNFTTKLYGEDCYVIFRVSLKTTKRKGPNVFDVYRYPINGQVIKRDIKELLKPHEIGV -EIDGEGIRGLVNM - ->YP_009177207.1 matrix protein [Yata virus] -MIARWRKDRADKAKKDSPPEYSSSSSLWMSTAPAYDGSFGPIFHNPKPEPTKQAFMIECSLEVISKKQVE -GVKGMLKILDHLVDNYDGSYWGKPLIVMMYLVLGTHMESKSRIGIDSWIYQRSLSEAVYIITETPVSMTS -SGLTYNNYTQTTYLGEPASVTYSFKATPTKRYSRPIIGAYKLPLANGLPPPELNDVLRYYGLTTRIQDNG -ESSVEFLP - ->YP_009177195.1 matrix protein [Koolpinyah virus] -MLTLWKKKKNGTPERPTAPLWLSSYEDRYDGAFGAIEETVEVKKAVRINLLTQASLEVISRRPVDSIGSM -CKILDAMADVYDGSYLGKACIITSYLILGTHLVKSNRIGIKSNIYKNAFAEVLQFYISGNIEIDIKGVSY -KKYFSTFYLGEPVTISFDVMLTPTKRKGKNFLDTYNIPMCNGLMPPSLDGQLESYEIYLKPDDNGVLYLD -YDMPQ - ->YP_009176968.1 M [Inhangapi virus] -MQALKLWKKKPGKPSAPSLPDSTSALWSYGLPFNDDSGDYEELPVYSYESVTRDYLMTAELTISTDKGFT -SMSEIIHILEELVDLYDGPYLHKSTVFLIYLTLAVHIKPKNKATENQRIYGVRISEPVSFSYRNTIDPGT -KSLTYKKDFRSLCEQRRTAVSFQLTLTDTKRKACPILDLYNIENQNGQKPPPINEYLNRFGYSMDPNHDG -TKLEINPCNIK - ->YP_009094427.1 matrix protein [Malakal virus] -MRSLFKKGKSKGSAGTRSIASSEEGDPMLIWGTAPPYYGEDYKYEDLNDGLDMEFLTKSYLISVNLDITT -NRPVERTSDMLHILEVMVDEYDGSYLSKPLIISSYLAVGTHLRRLPTSVKNNNRYSNGFTEIVEFTGEKD -IHPRDLEIKYNKFLSTMYQGEGVSISFQFSCKRSKRKGKNIIDAYELELSNGSKPPDFKYSLEPYDIVLT -RDAKGNIGFKKAMN - ->YP_009094397.1 matrix protein [Kimberley virus] -MLSLFKKRKSKGSAGTRSIASSEEGDPMLIWGTAPPYYGEDYKYEDLNDGLDTEFLTKSYLISVNLDITT -NRPVERTSDMLHILEVMVDEYDGSYLSKPLIISSYLTVGTHLRRLPTSVKNNNRYSNGFTEIVEFTGDKD -IHPRDLEIKYNKFLSTMYQGEGVSISFQFSCKRSKRKGKNIIDAYELELSNGSKPPDLKDSLEPYDIVLT -RDAKGNIGFKNAMN - ->YP_009094163.1 M protein [Xiburema virus] -MNTLVKWVKKSPKPSAPSLSLRGEEDNFSWTYGEAFAPTPHQGEELSTKLIQSIKIPFLIIAELRLVSDR -HIGSMGELTHLLEEIVDYYDGPYLHKPTILLTYLMLGMHLKCRSKPQDSQKIYSAKLSEPIEFMYRGNMN -PGKRETQYRKEFRSISDSRRCTVTFSIQIKPTNRASCPILEMYNIPMANNQSPPPINQVLNKYGYSLEQC -YDGSGFEICLAKQLPN - ->YP_009094131.1 matrix protein M [Berrimah virus] -MLTLFKKGKSKGGSIDGRSTSYGESDPMLVWGTAPPDYLDVYQDEKDKNELQFVTKSYLVQANLEVISSK -PIERTMDMLKVLNVMVDEYDGSYLSKALIITSYLTIGTHLRRMSSSVRNNHKYSNGFTEVIEFTGTVEIH -PRDQEIKYNKYLMTSHMGEPVSISYQFSCKKSRRRGKNILDAYNLELGNGARPPDLKDLLDSYDINLCHN -IKGENGFTNVSRS - ->YP_006200959.1 matrix protein [Obodhiang virus] -MLSLLKKKKNLDSESSCSKDQYYENNRFSLYKPVINSQHQEFGCYFNQVPSAPVKFTTKAYLVDSYLEVT -TQKRIENGQDALKILDRLVDIYDGSLLSKGLIIPVYVILGMRLKLSDQYLKNRFVYKNGITEIMSFTGED -KIHLRDNKLEYSKSFSTKLYGDECYVIFRITLQMTKRKGPNVFDVYRYPINGQIIERDIKEMLESHDLGV -EIDGDGTRGIVNLEAND - ->YP_006202620.1 M gene product [Kotonkan virus] -MLTLWKKKKNGGPEKPTAPLWLSSYEERYDGAFGANEEEIEIKKAVKINLLTQASLEVISRRPVDSIGSM -CKILDAMADVYDGSYLGKACVISSYLILGTHLVKSNRIGIKSNIYKNAFAEVLQFYISGNIEIDIKGINY -KKYFSTFYLGEPVTISFDVTLTPTKRKGKNFLDTYNIPMCNGLLPPSLEGQLELYEIYLKPDDNGVLYLD -YDVNQG - ->NP_065401.1 matrix protein M [Bovine ephemeral fever virus] -MLTLFKKGKPKGGSVDDRNSSYRESDPMLVWGTAPPAYLDVYHDERDKNELQFNTKSYLIQANLEVISSK -PIERTTEMLKVLDVMVDEYDGSYLSKALIITSYLTIGTHLRRMMSSVKNNHKYNNGFTEVIEFTGTAEIH -PRDQEIKYNKYLMTSHMGEPVSISYQFSGKKSKRRGKNILDAYNLELGNGSKPPDLKDLLESYEINLCYN -LKGEHGFTNLVKS - diff --git a/seq/clusters_seq/cluster_306 b/seq/clusters_seq/cluster_306 deleted file mode 100644 index b59686e..0000000 --- a/seq/clusters_seq/cluster_306 +++ /dev/null @@ -1,129 +0,0 @@ ->YP_009513195.1 phosphoprotein [Avian orthoavulavirus 1] -MATFTDAEIDDIFETSGTVIDSIITAQGKSAETVGRSAIPQGKTKALSTAWEKHGSVQPHASQDAPDQQD -RTEKQPSTPEQATPHNNPPITSTEPPPTQAASETSDTQLKTGASNSLLSMLDKLSNKSSNAKKGPWSGPQ -EGHHQPPAQQHGNQPSYGSNQGRPQHQAKAVPGNRGTDENTAYHGQRKESQPSAGATPHAPQSGQSQDNT -PVPVDRVQLPADFAQAMMSMMEALSQKVSKVDHQLDLVLKQTSSIPMMRSEIQQLKTSVAIMEANLGMMK -ILDPGCANVSSLSDLRAVARSHPVLVSGPGDPSPYMTQGGEMTLNKLSQPVQHPSELIKSATASGPDMGV -EKDTVRALITSRPMHPSSSAKLLSKLDAARSIEEIRKIKRLALNG - ->YP_009508518.1 P [Antarctic penguin virus C] -MASFTDEEINELFDASDIIIDSIITPTPNVPSTVGKSAIPKGNTRVKTDAWEAYQAQKDEGTPTPTKTTS -PSGTPGGAAPASQDTTSGSIQTTSSSQNVTEGPSTGFLASIDKMAGKNYDTHLKKGSDTLSPNNGLPSGT -PKPQGPLTQPLGQGSNLDIAGSTQSHGRMGELHLSPGVTPGVPLSPQCQPSMSVAAGHAQVSADYASEMR -QVLDAIMSRLSRMEQAVDSIHKGIAVIPNIRNDVQLIKTTVATMEGTLSMIKLMDPGNATISSLNDLRKS -AVSTPVVISGPGDPAPYLHESQYMGLDKLARPVDDLRKLTTKSEPQGKDFVTEKETIASMIRAKPLQDAT -SKRLLSRLDQCTTMEELKKLKRGVLNN - ->YP_009508512.1 P [Antarctic penguin virus B] -MASPPTDPASPQAPPPAARMATFTDEEIDQLFDSSNTIIDSIITSQSNPVQTVGKSAIPAGITKAKTDAW -EKAIRDQIAESDAEHTKASTPEAGSKDSGSSEAQATQQSQNPVTENQPSKNLLASIDRLASKTYEPGLKK -GSTSLLQSQDQQNGSPGPRNPLPPQGNLPSSQATGVNSPYAGGIAASPQHRGATQHVPQSLSSPPSLSAS -VGTAPISADSVSEMRQMLEAIIVRMNKIECTLDSILKQTAGVAGIKNDLQLVKVSVATIEGSISMIKLMD -PGNANISSLTELRASSRQTPVAVCGRGRPEDSLLADGSMGIDKLGRPVKDMRDLVTKPPNDGKDLMLEKE -TLAALIKSRPMQPAAAKRLLARLDNCAGMDDIKKLKRAVLNS - ->YP_009508506.1 P [Antarctic penguin virus A] -MTRALLIKNYGQKIPYQGTHKAHRPTTAAPTMATFTDEEIDQLFDSSNSIIDSIITAQADPPQTVGKSAI -PPGITKAKRDAWEKATASQSTSQVDNQPVPPDQQQHSRGQADNQQPHGTDAGSLANKPSQAFLSSIDKLA -NKQYDAGLKKGSTTLTPNQNPHLGTQGTHPTQPVMEPHTSDLATGGSSQSLGGLQGSQPPHGATPHAPLF -YNSPLSPNAFAGAAQVSADFASEMRQMLETLMAKVTKMESTIEGISKQVAGIAHIRNDIQLVKVSVATME -GTLSLVKIMDPGNANVSSLAELRSSARSVPLITAGAGDPRTALLPDNSLALNKLGQPVKDPRDLITKVVP -DPKDLLMEKETLAALINSRAMQPAASKRMLQRLEACNTMDEVKKLRKAILNS - ->YP_009508500.1 phosphoprotein [Avian paramyxovirus UPO216] -MATFTDEEIDELFETSGTVIENIISAQPNPVETVGRSAIPQGKTKALSLAWEKYANTPEADKREDTDKGP -HASQPVPEVPTTGTPNLDNPSGSELDAGETPVDPSEGCIRTGASSTLLSMLDKLGTKSPGTKKGSENAAL -TPGRSQMMYPVATGATQLVPALEQKQVTGAMVLNPNGNRDTGGSTASSGPQKGSLLSAGATHHAPRSDPS -PGSTPVYAGSVPLPADFVQLIMTMMETVTQKMNRIDYQLDLIVKQTASIPPLRTEIQQIKTSLAVMEANL -GMMKILDPGNAHISSLSDLRAAARQHPVLLAGPGDPSPYIAQSGEIAVNKLAQPVSNPTEVVKASQAPVP -DLNIEKETVRALIMSRPMHPASSSRLVAKLECATTIEEVRKIKRLALNG - ->YP_009255222.1 phosphoprotein [Avian paramyxovirus goose/Shimane/67/2000] -MAAFTDEEIDNILSSSGIVIDEIITAEGPPSGTVGKSSIPHNATRNKVAAWEAHLAKQQTSTDDEKGDQG -STGRSPSEDAEPGASIDPQSGTGNNPEDNADSPTLENARAPSDGLLNMLDKIASRAAEKSQSKEAQASYN -ENPTTKKGQMIAGKGNQVQAGTKKKIPKSGSPQSGDRDTGESTQYHGAQKGSSPQAGATQTVHPSHQHPS -SSPVDAANALNFADFAHAMLGMMESMNHRMSKLEYSIELILKHVSCCPLIRTDIQQLKTAVAVMEGNISM -MKLMDPGNANISSLNDLRKVSQLRPVLIAGPGDPSPYVANDGSIAVNQLSQPVTNRDELVKTLVPQAPDL -MVKKESVGALIKSRPMHPAAAKRLLDKLASATTIDDIKKVKRLALNG - ->YP_009094360.1 phosphoprotein [Avian orthoavulavirus 9] -MASFTDDEISDLMEQSGLVIDEIMTSQGMPKETLGRSAIPPGKTQALTDAWEKHNKSQRSNADHSTGSNN -KTDVNTPHNAEPPQSTGDPSASPEMDGDTTPLPKQETAEKHPCKEGATGGLLDMLDRIAAKQDRAKKGLN -PRSQDTGTLHSGQFPTQTQDPTSRRSTNSSGHSMESRTPAQLPIPRRDDSPHQVRREEEGIAENTAWSGM -QTGLSPSAGATQFALQSPTNQENSHVHAGAALQNADFVQALIGILESIQQRVSKMEYQMDLVLRHLSSMP -AIRNDIQQVKTAMAVLEANIGMMKILDPGSAHISSLNDLRAVARYHPVLVAGPGDPNKTIADDKTITVNR -LSQPVTDQRSLVRELTPPSGDFEAEKCAIKALLAARPLHPSAAKRMSDRLDAAKTCEELRKVKRQILNN - ->YP_009094213.1 phosphoprotein [Avian paraavulavirus 3] -MDLEFSSEEAVAALLDVSSSTITEFLSKQSIPDPGFLNSPSQSSSPSPEPSTSTTGDFLSQLSGDIPDTT -TSGVEPSAPLDTGDTSLVQHIEEGLPSDFYIPKVNNYHSNLFKGGSSLLATAESPGLTVTHKDTTTPEST -PVMAKKKKKQKHCKVPASSAYQHIDNLGTGESTPLHGMQDQEPSKPKHGVTPHVPQSQPSQSSIDVLADN -VPNSVTSVSIPLTMVESLISQVSKLSDQVSQIQKLVSTLPQIKTDIASIRNMQAALEGQISMIRILDPGN -NTESSLNTLRNSGNRAPVVICGPGDPHRSLIKSENPTICLDELARPTQANSPPKSQDNQRDLSAQRHAIT -ALLETRVAPGPKRDRLMEMVVAAKSASDLIKVKRMAILGQ - ->YP_009094169.1 phosphoprotein [Avian orthoavulavirus 12] -MATFTDQEIDEILSSSGAVIEEIITAEGKPKETVGRGSIPQDAAKKKIKAWEIHNTKDQKPDSTTAASTG -KDARNEQDGKAKQKNTEKSEDSSQDKTPSTDPGDNIQEPDRNTDQISTPSASLLTMLDKIANRAAIKGLQ -HPEQPNQINVTPTKKGIMAGNSAAKKETVDQALHQGLSSGTGSGLVIGENTPSHGRKRASYLSDGAIRSV -PRSLQNPENSSVDAESAPQLADFVHATLGMMEMITQRMAKIEYSLDLVLKHASAVPIIKNDLQQIKTTLA -VLEGNIGMMKIMDPGNATISSLNDLRATTQLRPVLVAGPGDPAPYIQNDGSLAMNQLAQPVRDRVNLVKS -LTPPGPDLATEKETVRALINSRPMHPNSAKRLIEKLETASNIDDIKKVKRLALNG - ->NP_872274.1 phosphoprotein [Goose paramyxovirus SF02] -MATFTDAEIDDIFETSGTVIDSIITAQGKSAETVGRSAIPQGKTKALSTAWEKHGNVQPHASQDAPDQQD -RTEKQPSTPEQATLHNNPPITSTEPPPTQAASETSDTQLKTGASNSLLSMLDKLSNKSSNAKKGPWSGSQ -EGHHQPPAQQHGNQPSYGSNQGRPQHQAKAVPGNRGTDENTAYHGQRKESQPSAGATPHAPQSGQSQDNT -PVPVDRVQLPADFAQAMMSMMEALSQKVSKVDHQLDLVLKQTSSIPMMRSEIQQLKTSVAIMEANLGMMK -ILDPGCANVSSLSDLRAVARSHPVLVSGPGDPSPYVTQGGEMTLNKLSQPVQHPSELIKSATASGPDMGV -EKDTVRALITSRPMHPSSSAKLLSKLDAARSIEEIRKIKRLALNG - ->sp|P0C766.1|W_NDVB1 RecName: Full=Protein W -MATFTDAEIDELFETSGTVIDNIITAQGKPAETVGRSAIPQGKTKVLSAAWEKHGSIQPPASQDNPDRQD -RSDKQPSTPEQTTPHDSPPATSADQPPTQATDEAVDTQLRTGASNSLLLMLDKLSNKSSNAKKGAHGRAP -KRGITNVRLNSRGVNPVAETVRKDRRTKSRPPLETRAQT - ->sp|P0C765.1|V_NDVB1 RecName: Full=Protein V -MATFTDAEIDELFETSGTVIDNIITAQGKPAETVGRSAIPQGKTKVLSAAWEKHGSIQPPASQDNPDRQD -RSDKQPSTPEQTTPHDSPPATSADQPPTQATDEAVDTQLRTGASNSLLLMLDKLSNKSSNAKKGPMVEPP -RGESPTSDSTAGESTQSRKQSGKTAEPSQGRPWKPGHRREHSISWTMGGVTTISWCNPSWSPIKAEPKQY -PCFCGSFPPTCRLCASDDVYYGGDFPKSK - ->sp|Q06427.2|PHOSP_NDVU2 RecName: Full=Phosphoprotein; Short=Protein P -MATFTDAEIDELFETSGTVIDSIITAQGKPVETVGRSAIPRGKTKALSSAWEKHGSVQSPASQDTPDRQD -RSDKQLSTPEQVTPHDSPPATSTDQPPTQAADEAGDTQLKTGASNSLLSMLDKLSNKSSNAKKGPWSSPQ -EGHHQRPTQQQGSQPSRGNSQERPQNQVKAAPGSQGTDANIAYHGQWEESQLSAGATHHALRSGQSQDNT -PAPVDHVQLPVDFVQAMMSMMEAISQRVSKVDYQLDLVLKQTSSIPMMRSEIQQLKTSVAVMEANLGMMK -ILDPGCANVSSLSDLRAVARSHPVLVSGPGDPSPYVTQGGEMALNKLSQPVQHPSELIKPAMVSGPDIGV -EKDTVRALIMSRPMHPSSSAKLLSKLDAAGSIEEIRKIKRLALNG - ->sp|Q06428.1|V_NDVU2 RecName: Full=Non-structural protein V -MATFTDAEIDELFETSGTVIDSIITAQGKPVETVGRSAIPRGKTKALSSAWEKHGSVQSPASQDTPDRQD -RSDKQLSTPEQVTPHDSPPATSTDQPPTQAADEAGDTQLKTGASNSLLSMLDKLSNKSSNAKKGPMVKPP -GRASSTSDSTAGESTKPRKQSRETAEPGQGRPWKPGHRREHSISWTMGGVTTISWCNPSCSPIRAEPRQY -SCTCGSCPATCRLCASDDVYDGGDITEGK - ->sp|P16073.1|PHOSP_NDVA RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Non-structural protein C, 38 kDa/29 kDa -MATFTDAEIDDLFETSGTIIDSIITAQGKPVETVGRSAIPQGKTKALSAAWEKHESIQPPASQDTPDRQN -RSDKQPSTPEQMTPQNSPPATSTDQPPTQAAGEAGDTQLKTGASNSLLSMLDKLSNKSSNAKKGPWSSLQ -EGHHQLPTQQQGSQPSRGNSQERPQNRANAAPGDRGTDANTAYHGQWEESQLSAGATPHALRSGQSQDNT -PASVDHVQLPVDFVQAMMSMMEAISQRVSKVDYQLDLILKQTSSIPMMRSEIQQLKTSVAVMEANLGMMK -ILDPGCANVSSLSDLRAVARSHPVLVSGPGDPSPYVTQGGEMTLNKLSQPVQHPSELIKPATVGGPDIGV -EKDTVRALITSRPMHPSSSAKLLSKLDAAGSIEEIRKIKRLAVNG - ->sp|Q9DLD6.1|PHOSP_NDVB1 RecName: Full=Phosphoprotein; Short=Protein P -MATFTDAEIDELFETSGTVIDNIITAQGKPAETVGRSAIPQGKTKVLSAAWEKHGSIQPPASQDNPDRQD -RSDKQPSTPEQTTPHDSPPATSADQPPTQATDEAVDTQLRTGASNSLLLMLDKLSNKSSNAKKGPWSSPQ -EGNHQRPTQQQGSQPSRGNSQERPQNQVKAAPGNQGTDVNTAYHGQWEESQLSAGATPHGLRSKQSQNNT -PVSADHFHPPVDFVQAMMSIMEGISQRVSKVAYQVDLVFKQTSSIPMMGSEIQQLKTFVAVMEANLGMMK -ILDPGCANISSLSDLRAVARSHPVLVSGPGDPSPYVIQGGEMALNKLSQPVPHPSELIKPATACGPDIGV -ERDTVRALIMSRPMHPSSSAKLLSKLDAAGSIEEIRKIKRLALNG - ->sp|P24698.1|PHOSP_NDVB RecName: Full=Phosphoprotein; Short=Protein P -MATFTDAEIDELFETSGTVIDNIITAQGKSAETVGRSAIPHGKTKALSAAWEKHGSIQPPASQDTPDRQD -RSDKQPSTPEQATPHDSPPATSADQPPTQATDEAVDTQLRTGASNSLLLMLDKLSNKSSNAKKGLWSSPQ -EGNHQRPTQQQGSQPSRGNSQERPQNQVKAAPGNQGTDANTAYHGQWEESQLSAGATPHALRSRQSQDNT -LVSADHVQPPVDFVQAMMSMMEAISQRVSKVDYQLDLVLKQTSSIPMMRSEIQQLKTSVAVMEANLGMMK -ILDPGCANVSSLSDLRAVARSHPVLVSGPGDPSPYVTQGGEMALNKLSQPVPHPSELIKSATACGPDIGV -EKDTVRALIMSRPMHPSSSAKLLSKLDAAGSIEEIRKIKRLALNG - diff --git a/seq/clusters_seq/cluster_307 b/seq/clusters_seq/cluster_307 deleted file mode 100644 index 76d04ea..0000000 --- a/seq/clusters_seq/cluster_307 +++ /dev/null @@ -1,1623 +0,0 @@ ->YP_009755883.1 1ab protein [Guangdong red-banded snake torovirus] -MVILKPQFVGCIPAPKCLTSDITQYGQTGSLYEELKSNHKTSNTIQRKHLEVVATINVKVPRVMYNPNDF -PSIQKAISDNKDFLYSDKGFFPSLLVKEYDPTMFSRYGNPLGYKNSIYQPSWESRLVPPSTNGVYLQVPV -NQDFSFVNAYFDATAHDFDYMDQSSVYPVNLTDEEAKHLFTVSPQSTVIAPGSQCMQLVSSVIEALIQIM -SGNGYQLATHRIDLSASVPYNILGLQAALPSCLSLIQVSSIQNLCIVQYKDLRGNFHANIANASKGSLRF -YDNKEFLEANHTIVAIYQVNYRLDMSKLPKDHKIQKEYCLLPRIVTERKTSGAFIKDEKVYPIIISQSIY -VHGINKTGTTTIHQYFSQQCRSQGIFYMPYHRETHNKCSLAVGEFNTRHVIGPFSTKACAAGSLLNRRAH -GYNCLLNFYHQICEHCQAHWSVQARSDRKITQENEEAYQAAANFTQFCGACTRKLHKNIRDCTCPKKGIS -LRSDIKSSLPDKSRRIASAHHHNHRLLCKAKYISWSPSVTFPTSLIWSALPEEWNFGKKPAPKRPPPPAN -GFPKINSKVSKGQNITKANEKSAEGQHHQSSQLYKEVKGGRGDYDHIDDTWDRYHLSDIEYASKSTTPGL -YIPLEVVEKYGKEFILKFDAQSLKDFLNEEAAKNYRQNTVTGGASTQSQNQSKAPVTTQKVAEAITEHQS -MATERVIVKKETIAEEITATDQLQSFAKVEAITRQDTSKYTISKDIAIPRPVAKSVNPAVLPLFDVDSSS -RTIVFTGYAVPETTIKRYEELAQQPNLQHQDTNSSQEYDSDSSETTDSSSGFSGDEDTDFDNFTLARYGS -KHATYYNKNGDRIKIKNSELEYGIEWLECGPGEHLYRQYHRNFRKFNKTESAIQMLADELDIKIHQKSRV -ESTKLTTADEEVNNQYYTIVQKIDNETAQIDQQVEVGILNQLSQTKEKLGGGLFEEIYSQANLEEVFPYK -KEVVNDTIYYKSNIDLVFENASQLYADVLQEYECFTTGLEEMNVKNFFPTNNLKKILKELISLKGFAFNV -SCFAVTKDKALLHSEASNYLIRGQKNNKILQSYKIEQVARFVKGEEYHILQALFLPPNAQGLEFDDPETT -KEISLELLDTIYPMDGSDSEFEYEDEFSSTGSEQPLEKLPQHGDQHHQDQTCGRFPQQGNQHHQIVEQTH -ETQYPTLETQEPPVCSAQQTDNSECQTKDQEKQEQQEDQKQQQSGHDDEHLKYLVLGNKQTLKIDFSKVT -TCQQVFGILRAHPQLVEVEPKLKVQPPLFYAIVVEESHFAVYSSESCRDLVNSGELKSFVLCSEIDTLFI -RKPECPINLDPSNFNEIQSKRYQSFIEQYHFEKYNNVVVALQNFILNGQIDPEFCNTEPTDRIRCYYEAT -HDTTIEKPIKNITPFHVEIVQSNDEDGFLWFRAYTDTIPKEAVLVARHQCNPEDFIVSEDKLIHVHDRIK -DTHRTRHNFIYTRTGSNLYRWELGPYITPESNLTSVSRQLQVLSQVSISNDLARDGFVCQRDSVLHQGLL -AVYSVLSKFTFHSRYTDTKLALNSNEAEDTKRFCEGLFNKLPQTPCSFAHPRSRNQVDFLSDLHQQASDV -DYGIRTGPGIRTPEFKAPLNFGPVLGSGVQGMVYDKLTHVVKVQPKETGIYEEKIIRQIHSLGVLQSTVR -LQGSWIEDSNHYMKLDKIEGADLLTAISKHSFDQRLGLAYSIYKELKYYSKAGVAHNDLHPCNIIVDSTK -ATIVDFGLAVTTQQCGPATIESSNAQSLYRILLSVLFNVDTYDVAANIFFNTVIYDSVDAVTQCATFSGI -GDIKHFPERFYEESMSHLSIPQAIPPNTSGKKIAIVGAPGVGKTTLKESLDSTYGHNCSVYIIQEFPVCE -VTADAILLLHTSRITECDERQYKALKDQDTPIFIIRGQMDQVVESAKRVHKPTNSLESKIRQYFKDAYQQ -KVYCICCYNNEFDFSVLCQDLNNTLSLQFEGVVYQDQLTPSKYEDKNPFSALAVEPLPEYNPANSTNVSM -EKEQATTPSNSLPDGPSNVPPKERMTESLQAPSCEAILTVERPQARVDELTPSSEHIQAHNNSIKSSKIE -TTSVDISQTYGNNPFVSEMTPSANNNPFKSKGQDTTPTTPGYKVPSNTPANPNPFNIDQSIFGSNNPFTR -EEFSNDLEEMAKIFASVEQAVNAEFSNMRATFGQHQCKKSKNSLQALSQVPPDGVVPQTSGTSPLESGSS -SKRVSGGLVPQTSGTSPLESGLSSKRIPGGSPSQTPSIPPPISRSSSKRALSVDEAVPDSWEDISTETWA -PKKKTYTNTKKAKRLRKEPISPVSTDTNTSMDQNVAVDYIINGLPYIEFLCSEATYGIKVFNAAIKAKTD -EGVSRIKNFICLRRIAKINGAYFVNLLNSLCNPVDTKTAMRQILVAISTGDYPHLRKYYKQMKAYCAEDQ -TSPSSKTLPLTSSTFVQIKFQDTFRKPSAYRNSCYLHACVPVWEKMKKYLTLASEDMLKEFQDQQGCASE -LLCATGVPFFTIKCSVHGGFYTSATELCNQCEISDKYNYVQVQGSYSEKFQVRNIEVKPFVWLYYSGNGM -SGHWFSIFERDGIKYHCDTGRVVQFTNPLPQATYTVCELVFKPETATANLADLSGLINIYPEHLAAVVCQ -LESTLAKGYSKKETKDSIDYKCARATYRVHKNLHKCHLHRHDTIYVTAGHISPSKFHHTNKVYTKEVYED -IHFTAKPVKSKAPKWRKTLRVAITEAFKKLSCVSVVLDAGCGEGKDLIHLKSITNEVLGVDLHEDNAQFS -LTGKRHRMRVAYQQVDMNTETFASLMDKVPLTTSFFSWHFHTAPERHLDQYHILPIYNKNTYHTWDEVAT -VNTLQADDDSVYHKITMNTLTSTERLYTKEYWQNKFGCDKVEIQSINDIIPEEQKLAHFENYIIIKHFGE -CCVNTNKPPAILEVDLSDPQESFGSATTSIETSRESTSGTIPSSECSVYSGYVVEEVVKQLDVLTVHSSD -IDHQGVHCGISCILGSKGSDICLYPDCVHCTYSTDTFPSEQVLQNIVKFNKCTNVTKNQLSNYFFNTLHT -LEELIIPLSDESQYDLEKFWVQAYVDKSIYDCLLLLPSANSSPSGCMLNINIAKKHFDSCVNKIDEIPMN -ANRVVVWAGTQSETTEACNHLRQFFRYNMATVDFQYGFLDCYEFCEGSFRTKEDKRSWLEWLTESITGTT -SLEGFTCIYGSTTETSNVNESQPDFINRFIQKHHLNSTARFTSGRETYFFSGRCNGKLPKNIEGCYHQMS -KCERDGELTVSSATIQGRTTAICSIVYSSEGHAVYLNGIKQDFIIRRNVGIQHLLRQELEDSTLQVSDFI -VTHFKKTKTLYRPDLLWFLPFFLFPGINTLLLLAFCYLMTRRPVLSKIACYKSFSVVANHLPYKIAEATG -ISLQNARISTLIASALTVLYILSYLFFAVVANHDLVNSNRPSYHSIFQQILALAGIIPPLDDYKQALTMR -KLCGRNYLCHFGKPYNKAYLDDYRHYVNSTGASYFTQYILALICFLPPWAWPLLLTFAKPSVQLAIFNII -ISIGIGFYMTRFYWRCCNRTGPFCPRHMKTRQPQASFVVDGRVYVIPVIQTNFCKIHNYWCNNNNTHLLP -KPIARTIEDSFNIKKNSIKCDSFYKFVANGKAAEDLPLDFKDYSPDKVYKIDSLDFSVWYHRCALFAYRT -CRQVSLAASGDYNTRQVSMTGDMLKLFDEFGGNCSDYIQGQVAGPENNFHFKFYNALTNDQRAAVEEYCY -KSGQKFTLTCIDLDNYLSGKVPSCFDLYDLPSCEFHQETIQLDKIPTRFHNQISTLACEFSFTVKKSKIK -HAVRSISGYLHIIGIILLGVVLLCNVIRVKVNKGSYPAGLNPSGYDYTKGQLYIHQQITGAEPVSLSSIS -KYQAWLFQNGTFAFTQGRVGSTQRTDCGEVSQSFYVREHVLECGKRVPISVSLSLFSIYIMQYADSYVTP -YGSIDTDGPTTCIGFGSDLMCHAHVVFMPASYFIFYSTLFLVLIVTVMYIFLKLHAYFGRYTPDILGLSI -IHLFICICYCIHPLCAFFSVGGLVFIPLPVGRNFVYTYCVCVGAFLSGIQVFIVMLFYLCCVLVYWYLSR -NPSDGISYKDGVVEFGSDYSKIANSTFVVQVDSINRIINTTGMPFEKILEYSRGPLAKPESALAQKLIHC -QITGTSSLYEPPVRKVPVFLQSACHRVNEIFVDRGNLNNVCLFQYTDGNTTQTIGHGVFLDSNTVLTARH -VYGLMTNNDNVSLYFNKKKISILSFVEVGMNTRITTSPTEAKALVVDEHHDLRLGHPYTQLSLLDCKNSD -CRLYPLVPTSSGHFVNAKTFAGESGTPIFYGSTLIGIHQGTVNHSVHTHTSSSHALATRCDGTGFDKDFN -DIMKTTGDLKYDGNTLFRTHVVNHSPPLTTRQEFLAGIAAVNKVLDVHPYITSIDDPAILGGESYSTEKF -LAFVTSRMPIRQCDFQAVAVTEGVELQSNRLASFALSFTCPTCITLCLAIFNIISMIYSGTVDNFKLFQL -MVSGLLATTLIRNRSAMCTVILAPWVLNLAYNYYYLAIKNLDVLFNVEDPVLYLAAAKFYFQDFVVLLVV -LFFFVLRVAIMPYRQLLFTFGFGIGVFISGGLSFEALILYFVCASFPSSWFAFFCILFANSRYVVVWYIV -NLFLSLRLQYPKVIQMLYRHFTADVVIISRTYISSFTAVHGRLPSFLECVFSILYYSNAGGTVEFLPQSR -FIQRKVVGQHINSTNIAEQSKALMDPDKKLFPFFVQAVEAILQSTNAAQNAFLQWVQTNGSIRELEEWQS -ANPLTADASREDRKHYNIVNSRIQFLRAKENQLAKQLNLIHQEQIRGLIRTEQAHQLSQMVDKAVVDMQE -RFAFKHRKFARGVIAASTLYHPELVVVTNKKSSDAICYDDEAECLAIMGDDDVYHLKSLETNAGKMLTCI -SDVEDLPENAFPLSGVLLKPSAQLQANIGYSLNTADVELDIQEGVVKRILHKEKDKFVLANDNNPENVML -PVNNTMVEFKPVGVITPSLLTAIIAKLREHTVNLQSHIRFGGIPNVKEHVAVSSEPLRTHGYYTVWGPSV -CWKCLNNVKHICDYPKFVQIPSGVTDAKQFLIDNKPCQHNKFVCTCNNKISCNEPVLQRRTLRQRLALIE -HSKNLIKSALKQVTADFELTPSQIHNILQLKDPENFHDSSIPKTNQPHWVFTCLRDCGIKQITKTNTTRI -YLDHKLYLLKIPSDEEVGKEINCYNAYKKYDFCVHHQVYTLSNGKKILLRGPTTEHSIGDLVYAHFANNT -SDALPIPDKADTRRTGEIQQLTIESFANVFESLDRLRDWCLATNVKLPITLDNIDLNGRYMDFGDVDSGT -HNVDNALADLLRCYSMAHKPPPEITKKWFPVKHNFNEGSWLDKVLQFNNNLLHANTTTTELFIDASTGTT -SSYYNEVTGYSEINNSCLDACSDYTRNLFRLQDPGLRFRKPVLNIATVTTQQCEGDDPKQTGARPVFYNE -DAYNYLSKYVDPHVLDESFTYTYYQGDDSDTLSDFMFYDYQSKAFFQPSILKFLFERTLEDFSACATDLR -YSLLDCKPRDSSLGASHYSLRAVKQNKLYEAAPDDFIEELVALSNETPLIFTTKVIQKFALTAKARARTV -AACSMFSSTLFRAIHKPVTANFVKQVQDDPSSVHHLIGISKFHLNFDRYIKTRYGSLNDYDIFGSDYTKC -DRSFPAVLRVLAACVLYQLGDWNPNTYHLHNEIHSFIFDQVEVNGSLYNKPGGTSSGDATTAYANTIYNH -CVHLLVQLQTLVTSPVHNKHRAIKAAACKAWQTGDFTEYNVLLDYYNNEEYRFNFLSDDSFILTSKRDPT -LPKIYNKANFARKLETIIHTTVDEGKSWEDSSGVIHEFCSSRVRLVDGQYHYIPEKSRILAALLIQGSSL -TRDIELLRITALLCESVIFQLVDQPFWSALWGYFQDTCTKFQQQYACLPIPDIMTNVEFYRKLLLDPKGF -EEEYLINIFTESSIRRVNLESKQTYKQCFCCKNPTVSCCTDCPVPYPLCAYCAFEHHNDCGHMVTNLPKC -TYPGCTEQEPANICFCVVDAAFTSRCREHTTVFSIPSVDSALRCFKLPMVQQCIRLPTSVNALALTVDQL -SECTDFFSWDGSLDKHFNIVRLLHHSYLLESFNETKEEVYTYTVIDIDNNTVQIPNARYGSTTYCYILDQ -KGKQRLNCTVDPLGGCIFKLSFLDQTKRFTNYCNITRTTRCQRLVLPGEFDVFETAKFIHGPPGTGKTTH -FIKNYFSLADNFHKVVYAAPTHKLIQDMDLALSGRDDVSVTKSKYNNRDYIHATNDTSKAIHLATFNVAQ -PCVGSILLIDECSLATPLQVVNTIIQVRPSKVVIVGDPFQLSPVTPHTNFHWDYDTFYLRKLIPESNQSS -LNTCYRCPSEIFNVFAGVYHRAGIQFNPASIGGEFKEYPIRDQGTRIDVSVIKEAHSKFKSLSEDYIIIT -NYREAVSQAEASGIDNVSTIDSSQGLTKEAVAVVIYGSTKFSKVLNRLIVACSRATKYLHIYASPSILEH -ISKAMYVNKVELQVHLTKACYLRPVSIEEVACNIGATAVCDIEFYHCRPDNTNDKNFLGAGEVNFLTSRQ -ATIFLRPHYNRDGRFYDPTNSKISVGKPWRYMMRHLPTRETSHVHLHTMLSFLNDTTDLSKHPIIIVLFN -GNNDIDALAEFTLPPCGCAYCDNPARFESTQGPVCQGHVRSVKRLIAFRDACVYNISSPTGLSVTHADVC -NIYHGEAHSASSDVLMTSCILYDNLKPYLAEYSGVGDWCKVCFSPEDPSNRCYGGTLLVDGQYIKDQHTP -QVITPQPPSVHVNDYLPITDCLLPRVPSCHPVSSIFVCKSCCAYYNTHFHQTMDKRRLGIEYNNNVSIQL -SQTEKSLKLSAEVLLLPTGTFVKLGQDSGILYKFYSSLDQTIKRAAKETSQPVPVLDVCQALGITCTNGL -VHPFYPYKEVIDFKPWDTVLSATALDINNQVYVVNKGSNKNPAFRYAAFNIGCNEQIFTNKFEIPRFGLS -HYINGELQEMPETIFSTGRLQNQDKFLFQGEEVTENIHIEVGEYNFNSTKIGGMHCYPKGYMEEVSSSLA -KLPNSPLWHATVVAQAGLKINNSVCDCYIPSLLDAIKKCITSDTISIKTVISIDYIKVPIMIWSDNFTVK -TAYLQAGGPDNRVRAKNTPSDGYVIVNPSIAPLHDNLMEHEVNARFLNNHLYNIQPCNISKYVQICSYIN -NRVKPLALPRVLHLGAATGDEHSFIPVGGIVLNYFFGETNVDHLDVRSINDCNGQFSTKCRFDRYDLIIS -DIWQEGDNTELLISYINEKLALGGSVIWKTTRRSTIKNITSIAKNFGLYELFYCYVNSNSSELFFSFNYK -SQNVTVLEEDVYNVVLHAYNTRLALPNKPVIASSLDISTKFKPSPGFTVPEWMLARISTQNWKSGKFKQQ - ->YP_009755877.1 1ab protein [Hainan hebius popei torovirus] -MVLINVIQRGTAHQTKEFKFGSSEVIDSKVEKFYMNAPPIHKCSDGSSDKAILPYYCSDAKILEYGQFYN -FNNRIDNMYVKRCNVVESQFYTNSFVCDSDNDVVCNPIAIKVDKEIVFNAYMMINKVSNNKCISFVSQMI -DIVLAAYGISSYCSSYNVKNLAGLIAVLPPQVCLYETNQIGDFNIVYSENECEGKILGHVGIMSHGDLYA -TEYSNRCKRNFVLAVNGMPKQWSFENEAKIENVEGQYMYNCSEMNVLFTYNNVKIDNVDVVFKSQQRQNW -PCDVKTDNRNYVSNVVISAGCKSSVVKENFNERVTGSMIVRSNIACHQNGPCDKSVGGFRKLTTRSHNNK -CLFKILNSCCVFCRNDVCSWMLRSATDPLCIDNDIVLMYLQRVINVKAWCNNCIFNIIVNIMKDCNCNIF -FGDNREVLFRCMSLCKLGLRDEQVGTRQCGDLTFNVQPDKLYSNVVIPIVNRRLDTDLVIHHLHEYNGNK -LDYSKLYYSINNNVELTYLNVECYGYRIYDFVEGGIEFIGIDENEEDVQDDKILLTDEDIVNETDLFDFL -MYEEYKGKPNMYWCEKFNKNGVICFGNGEEWTRVGNAKEQRQRRRRRNIPEKRILAKTVQIGNNNVNCCC -YKSGSNEYVKYLYDNGDSYIFKIFSVGNGCYIPFEEELEKCKLRDIVYVNGKESEYDVNIFNCQDITKLR -NSNLVSVDKALCIFDIPGALYYVRSGGHFIIFDSGRGIDIATGKKCDKINFSQVEAVFCESYYKNLLMCY -VNVLCGVLFNEINSLVLEFYDAFSIVLANFKVEVQQEVEEIVEELDYDNDMRCGFNPNVATDKIIEAQLN -REKDRLDNERESQEKKLRVDDGVLKVEDNVRNEGVKVQSRLKFVVDSKYTKWLAKTLERIDVLTPGDVSN -RGYTEFDYFNIKCCLVSGWLNFSADKIVYYFNVLTKYSHDNLLKANFYKLMYCMCKGLKSKRWCSILLDI -EPRLKGYKLITMTDGEFSNCKQELAIRLRNGATMDKFLDGVEMVEGKYQPRQFVKQVDNVLNTDNFYKCD -FNIEKMASQFGESVVEDCFKDMYKGCIIENKFKIRQFDWEKVDRPFNLFKKSDKIVKSQCEIDFEIRVDE -IKSCNFNNMCIKKIDLKESDNAYLRLMIGYGVVDCGINLFLDYYFSVDEILRIAMASVLYPYAVASDSEF -LIDLCYKFDFTLVGSDMTSDYERRLEGYDDFLKLRKDLFYNLETIEFIEIIEESSNNNVIDESSFCIVEV -GLEQVVNHLNNSVVIYDDEDVDDEYEERCNWFGKEKVHRDLYENIKSNFIGSVYERMCDNEVLSNGDINV -DGVQVDDLVDKVYNEISNLCGKAGVEGMTPEVVKQLCGNELKNLGIQFQVDSKAQEXKVRKAEEARKAQE -EKKAQEEKKAQEEKVRKAEEVRKAQEEKKAQEEKMCQVEEVCEIKGGLKYVDEVDGLCGSQDCKVNNLKR -VKSSLELIRGNIKSVNRANKSGKQTEFNLKCDLVFDFGFKPKRPPVSDYSKALKQFGVVSKDLKVCNKNL -WYYGFNKWIGRVDKSVDIYYCKSSRYCVDVLDLYEGVDYNYVLLNSDGGSNVEDFNSVNKNMRLNFALLV -LNLGLQDKFINIFDSVSFICNNEIWSVLDFNILKGNKLNVLDFCLACPYGNKIGDNIVNCSGVSLLYDWL -MVVLGYPAFDIVVEAQAIEDYILSCWMIKSGYSLIDCLGSGSYGTCYLVSKDGVKYVVKMQNDDKSHNNE -VDSLIYLSNTGICDVNYIASFECHELSCYLLLMSYVNGITLDDAVNQNIVVNWSVDEKVIFLKKLLNQVY -LLKYGYNIDHNDLHCSNILVVNNEPVIIDYGMSRKISRDSDEWIVGFMVDCFNVVLNDFRGESDICAMVD -RYSSWTIFNFMYCELFSDSVEVLSKHFKFLDRYNVFNNVCFDKDEVDSFRLVDVDSNLVCSSFGSSIKVS -YDNTQFGKYGYNTIYDDFVMFASGSNSICYIKDNVVVKVIIVDKCDNVAAFQEVEHMMLFSKFSPKVLNS -FGSDKHLCIVMEKAKGDQLNSFKCKFDDNDRKNFNLFMVNYVVESLRFGVYHGDLHGNNVFYDRNSGYFS -IIDYGICVDSSKRHLFLAGLLLVQHRFYNKAKLTYMSAVLCVMCSLGYSDLTEFLSSLIGRTMFPIGENI -VQTRYMFDDGLFVQLCNVFVDYEDVGKILLDQMVNGYVTGVKRTLVLYKKMPGTNLLNVLKCFNIKCIVS -KRKLGYCLSKDDVQTVNKFDWSDILIIKECLSYSDVSESKCIKRNSDCGVFESYSMKEIYLVLDKGKYKN -KFDKDLFFSCSYIFKADVDVNMLRVSGVYPNLKLCVGNVYSNVVVPDVWTAIEVEKFALEVEVLLSYAPL -KLQTGRQVCDYSLFKILQMSSKPYEVKLFNNSCFVKAVEAVIKPMGLYFTDVACQQLQNAYKNLQGDAIE -LCSSVMPSPVVTMQCEKCKYFKTTINILDRCCNNCVCSNMGDYLMLREGPEATDIVGVKTEGGVDVYQRY -AFLSYSGNGTEGHWIAYVKSGKQWRKHDMGRVNIMYSNNKIGGQASIYKRIIGENKSGKVGLKVVNSDVC -SIETDYVVNSTGINFRPGGGIDKVIYSNANDKYRAGHDECYNIAISNGLGNFWPKLITNTSCNSFKPKNV -WLFTVNVDDYKQSLNLGLQFMLAISDKLVIPPIGCGIFGCDIEVFYDVVKQFSDYDLTIVTNDVSHYNYL -DRSIRSDYNLESYDVNASRAGVKFFKNCKTQFVVQMREVANKFINVCEEAVVLDLGCGRGADYTNFKLNQ -KISSVLCVDNSLESLKVYQNKCKHSKFQFFNFDCSSKAFGVWLKDIKYDCVFTNCSLHYFPKNYGCKQFH -IVPYYNESTYGSFMDEFDVKDVVKYEDGCSHVMQRVGLTFSERLYDLSYWQRLFPDAYMVDCYTYLSMFN -VEGLENILYNYMVIVDGYPKPVVVEESDEDGSETSCSVCSGTCDCTSSDEDMFGPKPSKLIGNEHLIGKI -GVDPNVGVLSLDNEGDNVDIEDGSSGEVDCVDCLVHGEVTVDLDMLGVQYGVNFVSSVDNQEFCVDGCGV -CKYSLHQVAGVDMIQLAKYLNGSLLSYSSTKAEHVVVGCCIKGQVYIAPDKATMDMFEVLEVLDDVFYTT -DMARLDVGKPVLILCSNKMYGEILYNTFRKRGIKKVAIYKHEVNNCYIGYDFSFDFKLYFKPYDVVKDLF -KNVEYRIVDGVNAHTLVSRDNGDVVLQNYYKSYGGNKLGAYRVVGETYWINYKYGIGPDSYNEAIGDRII -GGDVDCYIRSVVLSCGRTIKLFYCNNYVSCYEGDIKLFDFKTDNDDVNFKKLERHFDSEIVSCTLLGCYG -VKPQVSRFDKYIYLIPLLLLFMVPWYYIVALFCIYCCMYIPLFVVKKTLSVVTEFYNNIRFMIVGNVAAY -VKLSFDVLVVVVDVVLMLLGLIMFIVFIRWYHYDNVVIDTTKPSYFSFFQLLASKLRLIPGLDEYRSSLT -LDMLCNGNYICQWGRPYNHHYLNEYVYYNTVKYIPVWLQGVKTLLKYTPVSLVFIGLDLDLWQLVCINCL -FVLLFVLCVRHSRPCCDVTGPYCPHHANLTRGNFSCCIEGRQYYFNCARNNFCKTHNWWCEHNGYHLLPT -FFVNILNQTYNIKRGCVKGDKYYQFVNVVPDCPLPSIGKGFNKNKVYSVDHLSFATWNSLAAYYAYIYGG -LVKIATINVDDCNSDDVQLTNSFKSVLLKVLPKCYHSYIMAVKEGDVNNIHINFFSKLSVDIQQFIRTYS -SQYTVKVYYTNVLYDVTYDGLIPKEFDLQGTKAFFHTALINLDSYGMMIKGDLKRLVRQYDYEVCNISEV -RRDGRYGWLSYIFGCFVFLFVLLVCLLPAIRYNGKFAGLNPSGKSCSKCPLYYSTSIGKPVTLAGGNVVQ -AYLYGNGTYSFNSVVVVPEVTECVMPKVFVYKREVQLDCGGVFPHTLDLGFLQVYFMLHKGLFYTPIGSY -DVEEALTCINVMGFVQCHASPLYLSVTKFVIYLSALLLFLVGVLYLYMKCVRIFGVYTYDIVQLFVMHAL -VCVAYYVNPVCVLIVVFIGSFVSVIKPFIYYAYVFFVLSFVFGCPFSVMVIYGSFYVAYFIFKVSMASKG -VDINAEGVVFSSDYSLIARSIFFVQPSDLYKVALATGKTVEEIVGLAKGPPAKLECSLALVLCKAHTENR -VILYEGSKFSMPVKLQSVLIRAADYVIPTSVSNIATFVCDGIVIGHGIFTSPTTVLTARHVVNGHVMVCY -GGNCIPILKTKELGFNVICVVSAQKVKEVAMTRLKYSYNNNLTHFTNSNGLRLHNVYMGPSGHIPFADTF -PGESGSPIFDGSVLVGIHQGIVQQGGVHGIITDPFGVPYDDKFHDVQGTVGQVKFSSNRHFESLSNVKMY -VAKEIFVTQLEEVRQLYSSKPMFDDFDSTIYNVNDAYDLSGVLNSLKALVVVKPLFLPQLQKVGVGSGFS -LTFSSIFGLWLALVYGVDFMLDVDKDIYNLLISGILCLCVTSIFRFRNSLFTITSGLFIISKLDLFFKLV -VVGMLDYSYISVHFNLYDTMLIFLLFSFVIYKFYNCFMTSMLCLCCYVGYVYFYGFTFYSFSVFIYIVVA -PSSIFTALSLYCLCSGIAYYWILVNVLLSLRIVMPKWLKVFYGNLISDELYVPHRYLCNYYAVTGNYPNY -LQCFVSMFLYDTTDVVKFRPQSFKFYSNVVLGMFTKTNNVAAKSAAMMVDDDELKLNFLLEALQNIGDCE -LQAQNFIDAVGCMSEVDKLNSLLETLDLNVKDDRRKYNIVKNRIAFLTAQEKKFLKQIELMHQDELKLLV -RSESVLKLTSVLKNAVERLIESSCLKYKNFGSGILAASTVSNNSVLSIIDNSAPIEVCFGEEDNVIMMND -ESKSWVFESIKTNSGDIVDSDVKYDSLKASDYPLLVKLLVPVLDLQANIGYVVKDSDIVIKDSDVYYKDR -LVLKRSETGNGDVKFTIKGCVYRYDVVGNVIPSVMMCIIEKLMTVSFDLQSIRLGGIPNTKDHEAISDFP -KRCDGYVTYLGESVCNNCCLGYDHDCKLKKKFVQVPNDYINNPMGFVSSTEVCKHNKFKCCGVNLQAVKL -KLTPNKKYLMLKQQLKKRIKSVTGQVVTDLVVNSVQFKTWFNYYDVIDSVCDKHYVNVNSNDSGIFELCK -MRTTNCVIGGKNYMLKSCTEEQCDIEMFYYNKLLGKVNMPKHWKIKVGQGFCLLRGPVTQFSLGDYVYKY -FMGKEPILVDKGDGLSVIQSRKFAVDTFVDECFDNLNLLFVNQELLEGYISLDNVDCNGLLYDFDDFGKG -VKPNLNIMLADFCMYYSQFNLEPNKYFSFWFPKDYDNLLHGSWCDKVLALNNNILNASSKATELFIDVDS -SLTYGYFNELFGFMEVDHKKISDCEQALQYLYYMQDPAIRSLKPVECISSTISCVKDGDLKNDQCKPVYY -NLEAYEDLVKRGVDCDACLKYGYFQGSASDVLSDFNYYVYQGNLFMQPHILKFLYKRVLKDFSVVMTSER -YSYYDCKPNKSSLGPSHEILRALKQDEVYGVLSMEVIEDLVKLAQETPLMFTTKVSAKFALTAKARARTI -AACSMFASTLFRACHKPVTANIVNKSQSADLHSLIGVSKFYGNFDSYIKRRYGSLDNFKVFGSDYTKCDR -SFPLVFRGLAAAVLYELGCWDSDNYLFINELQAFMLDFVLVGDAVYQKSGGTSSGDATTAFANTIYNHVV -HLYVQLVTLVTEPVGIDQSVLKAAAVKLWQTGDSDVYDLLLDEVNGFDYCFNFLSDDSFILTRRDDGLLD -IFNCVNFSRRLETLLHTKVDVTKAWQSDGIHEFCSSEIKQVDGVYQYVPDKNRILAAMLITGKAVDSDLE -LVRLSALLSESVIFSKLDPKFWDAIFGHFYYKYNDYLAKYGSCPLPERLVDENFYKSLVFSNVRDNYDFF -QSYVGNFELQASEVKTCYCCSNITVATCVSCPVEYSLCASCAYLHFCESGHLVTHLAKCMFSGCCENDPS -IMNYCVTATDIKTFCNVHKVGLSLPTLDHVNRLLRIPYANNCVYQNDNVLALSVVIENIKDLDFFFWDDD -KTVMFNKIRLLHDSYLVSQYNSDNELDCVYEVVDSSKNLVKILTVKGCDPLYGFTTYCNIKDDNGRIMLR -CTVDPVGNGVYLLTFVDNGIKFMRFKNIVRVSHELSMLKLSSFNYFDNVEFILGPPGTGKTTYFKNNYFN -SNFGNRIVYCAPTHKLVQDMDKSLGLDSNVSIIVSKHNNRNYINVLNDMSCNIFLGTINVLRPMVGCVLL -IDECSLLTPSQLLSAIVRVQPSKVIIVGDPFQLAPVLPKVDFCWDYKNFYICKLVKSSNIKVLDCCYRCP -SNIFNSFAKVYYDSNISIYPYRQGGIFELVRADFRLNKDCIDVKIIDKLASQVDVILCNYKAAVLYGVSK -GYNIMTIDSAQGITVSNVGVCLFGHTNFTRVLNRLIVAFSRATDSLIVYCDNYMYDYISSKMVVDINCGV -DLPVSLQTNLNASLEIVNNDIFNFVDLDYCVNNVASLAVCDIEFYHVKYHDFKNFLGIGEVSLLTSRNFT -TYLRPRYMKNFIDVEVLNKNIFVPKPWRYMMKFLPSRAVSDCNLNKLIHFIVETCDYDVSNVVFVLFNGR -HDLSALRQICVKACRCTFCNDKAIVANDVYNYCYKHYDSSVKLKYLVNPCVYNISGSSALAVEHSLICDV -NHGVVHSSSVDCVLTGCLLSSMLKNKVDVYKYEGSWCKCNYSVYDKFNRLYGDVLYYKNSRVDIVYMPVS -RTCEIPSVHNSDYVIRPAMVPYGCHPSSNIHVCTMCVQHFKEFYYIQLEYLKMGFEYRGTCSLQALTKVD -LDYKLDCEVFLVDGVTYVRSRGKVLKYYNSLDDTIKRFKFIGNYSLPSRSVLYGLGVTCTVGIIYDGVPL -KDVDSLNSFDVVLTYCELSVPNKQVVLCMGNPSSKYVWHSDETASVVLCNNDSVFVNKSGVVSYSFRTVG -FEVFTNLWSTGRFVNNDRYLFEGEDVVNRHVDLGEYNNVSYKLGGGHMFPMAFQGDLQFKSLFYKDYDVV -YNLQMVGGRGLKCCNSVIDVHVKKFYDYVNMLLTEKLVSLKTVVYIDFQAVPLMLWATEGVVDTVYCQVK -DVVDRGFKVNDDYVIWRPYPYNSSVELERPKAYYVPSFRLNQSNNVTKYVQLCKFLGDYVKVNSGSRILD -LGASSGESHDYLPVGGIVLEHFYSKCFVDHFDIIEVHGRRNWLGGKYDLIVSDIFVEGDVVVDNYGLCYD -YVKKYLKLGGSILWKTTRRNGFKNVIGFSQYFGKFQAFTVRCNMDSTEVYLAFINFKGFDCNVFDYVDYD -VLNSIAFVRMNYQFMVRDSVWPIDFSAKYSALIGVSKFLMGKMTPELWGKGRFRCLDC - ->YP_009755843.1 polyprotein 1ab [Bellinger River virus] -MVFLVPLRKTRNPKTIKSRRYSVLPTYFNKYGSNYIKRQVVYKTETTTQQPLTNVSQGNYSLLAGRFINR -PKHQHQKQTATAGHATSVANYFETMPTKNTPSYAKVASEKYSALAQYDDITKSASAGTTMIKQRIIKQKA -STESNKPTPTSVVSSEQYPTITTTSSYAAIAKKTATNYSAKTETTATTCSNNVSSGVIVKFGKIHESKPA -STALNNYTNFSTTVINDCHLINATSKPCTTLTVHGFMQPHDFATPIQTRAVNYSSQALIEQLNEVAPSNN -QCINTVRELLHAILSNCEITQEEYNIMKFTEITSLAGLKGILPRNFTLKPVNELTSICIIVHEHTTLPAT -HVELVIVEPNTSTVFKTTNNEINKDDFHQKAILQLVEIRPIVTKDTIIYTKTDSVRVADVFYNPEQPKQS -THVASHDYSKTRTIVDKTKKPPASSVINIASSDEQFRTKNNTTNQSQTKDVDDEILGTTSNVYSQLPDDS -TRYFNGEYVQTQEGSIIRYNIKNSTSKKTIADIESKLATTKTKTEYEAVRGNKIFHRTITKAITAGITIC -APLKPSETCTLSKQISRSHSDKCYGEIYSKLCQWCKNQLNHQTSGNSNENDKVRQLVHLQPCINCAIAIT -HHECKCQKNIGKTSLHNFHKISPLKSNEQYHHNHLALTNAIEVNRFRKICFCNEYPKFWDYPAYGTPKSN -SGSSSDSSSKASIVAMLKDELRNSREQNKIMQQQMLQMQQTMMLMQQQFQQQFNNQEEQLRKQQAEELVK -QEQIKKEQLRKQQAEELVKQEQIKKEQLRKQQAEELVKQEQIKKEQLRKQQAEELVKQEQIKKEQLRKQQ -AEELVKQEQIKKEQLRKQQANESANQSQTKLPKHLRTRTPKRNETQIHTKLNSNGAWLREFRAAINNHYT -RQGTAICMAHYLRNQCNFGNNCRNVHISRDGKTGPKPTADQLEQLKCRQYEPCKAFIQNKCRFSLKSCHY -IHKQFTTSECDRLLQDKRILFVPHIPKNFTYTIKFTDNINVLKEHNNIKAATSTVTNNVHHNTTSIITSY -VHRNEQTLQQATTAKTATTNGQDNKVNATNSQNTVREQLYHKHAPTTLSNSSWETAIRHQEQAAANFNND -MLNTTNTESSTRNFEAEKAEILAKIRETELAISQAKAEKIKLARFKIIKLTSNTINTSEKELNSPTSFKA -NHENVPSCKLSTTSNDSRHSNTETNIERTTSSTESTSFTYHTSEPVQTSSVKPSGSITTPRNASTTSTVH -SASNKSPSSSNISNISSISTNCSSTDNDSDFSELSDTDSDSDSYKNSSNLNTFINDFKALQINNNYVPGS -FRHNMNRTSCHGFDSKCYLQYESKFTEMTPTANNLIFNSDFTFCHEKDGICYGYFSSTSSNRLFEGVKHP -CFVIREPLRAVDCFLSKTKAAFISRSERLLDFPGMPNQFLAEYKILTPTECSDQAINLNDATVLDSVLVV -YRYCQLVRGHGTFEQHINTLNDQREQALAADDTITVRNTTRKLHAAAVLMLRTIKAHASQGCVCEEIILR -NAIVTDTNLSINHFNAQLAVKGISYMYFENESNVPIRYHLRYAVEICESLNILHRFDIRESKFAKIIEAY -AFAIRRATSNHGIRLPVDVPDLKSIDDDKAFHFKNINYANCLGSGAYGAVYGSTCGQYVYKVQALEAAER -EYQMLMKVQHLSVPQITDYGTDDKLGVGIIEMAMIKNIKTLYDIQPLNNQSLIERQDTLSNFIDHIDAAL -DSGIIQNDYHNGNVAIDYNTGELIILDWGLAVCTEDNYSIHDIREAAYTWVYNVAKELIVYSNTLYGDEE -TFFDTHDKNHLEFIEWFLDHHTFNRISDMFHNNVAPCKHHAPENFYANSDDLEIEFISDTVIRDNHEIVS -NKSTTDTTSITINTSSIDPALSTHSSTNNDNKISLIEGLINSAHSNHAVTQSNNNELIQSTSLQQSTDTA -LTSTDKHSTPDTSSAIIDYAFQTYLVKIGIGKEITLNSHHNCTTCQDAERFLASHNHLTRTNSILDNLGN -QLNSFAIVVTEGDHYGIYTSGSKYELFNNTEREYILQSTITAVFTTKPSKPRNESTTESSKVEPESTSTS -RTDSTKDTDSANIQNENAVGSKVSLANEESAEHVVQQPERDSKEVISQDTESNNSEDSSNVEPGTTATST -DYSTVSSCNTLDEQIQAIKAELDNARRLNQRCKLKKNNKYKKQLNKAVNMLTQEHARLCKLKKLQLATES -TVTKSTATIQPATIQLSQDTIVSSGTQSPAPVTTRSSPKNKTFKVLPVTAISTSNISSSTTATSSSTVDT -INHSLPESDNSAIDWFDVTSPPKCKDTNSKQVTFSDEVTSYEISPRSNTDNSEYTTISSTSNTSPKQVLN -GKLVSSSYIFESNIEVKTTTEPTTVKPGINRCFIHTANAALATTGRYLSNDTLTELYKAATNKQQDASEL -LMKAQIPIMTTCTCILHGTNDFTTPCCDSAIPQCTSSHVLIPADIAQKSVSYGCYKLTPTAHLIYKGNGH -NGHWKCHYTTPDGSSRLNDNGVIYTKARNERAEYTLCHIELDNDYKTCNGTIRNTSNPIFISNDLCSLAT -SLFNQGQLIHNSDYELTIIRNHDIIHGMTPEAFSQYELQDHATVFISTNVNIDSELTKKLKAAKATTPGT -TLVTDTKAANFEVTNNKKPAKVQAMQRQLRKNIETFCRSNLNTVPGTKTLIVGIGSGNDTPAYAAANNNS -GITYDGCDIDTTALNTCQNRCPPNTKLFHCDVNSPDFDILADKYDLLVSNFSWHFKNVIKCGELSEFHFV -PVYNPTSYHTWSKYYKVTVHEQSDTSITHTIEMPTCTTTEVLHTADWYRAQYCQLTNINTLKALFDSDNE -HFDNFILIHHIGHKNTEQSVDSIDSSPDSTTTTSNTIETSTTTSTQNTEDIELPATSTTNNTRPASTSSA -ALTSDSITRTNIPITIHSCNAEDFESKHATEQVNCRAFLCREHCGSCLINVPKNAVIDNQLITNAQTYCN -WSGVSLNNLDYNLIHTLHKRTIICLNHLEQAPAANDTNWVIRKTTEPAVKVLYMSHDIYSATDSTARLAI -SRNFDIVTHQLCKFVNKPIKELTIHCSTQTDAENLVQKLSNYNIPKVNICDFSIQSTRPVYYDSTDMEYK -PLYKNRAEKSLWQQLKDHIFDMKQPYLIEWDKTSTTCDYSNRHIAIANFSDKHGLDNMQRLINDERCLFI -DYKTTTDTNPDNLDDYFTTHSQNHRGSDMLYNAVYKLPATDLVDTKTVITTLLISAEGKSLYINGRKQTL -PATTARKALTHIMQELHGKNVRLIGEVTTRFYNRNDTATTAFTALDTLALLPFAMFLLQPGIFTFIFALC -LAFWFIFDRTVLKNLSTTAIQLFNTATSYEVTALSNIMRINNTALTRHFVQAFNALCILQTTIVTYNALT -SNTDIINTNARPYHSYTQRFLAAIDYIPQLSEYVTALTVNEICGNNWLCHFGQPKNKLYLDNYSNYMTNN -RNIVKDHILTILSFASPWGLCLYLLGFSASIQLALYNSAMVTVAGYCFFKKWFRCCKATGPYCPKHATCK -SNSIQYYVDGKPYNIQFAKVSFCHCHNWWCNTSQEHILPHPIARVIEASTSVKHSAIKSDQYFNYVNTPA -VGELPEDFNKYNTNDTYSTNKLSYNDWTTRAALFAYRTGKKVNISSHLASSLTSLKPEMTQATIDYFRSH -EDWRSNYVASQQAGRRNIIHIGFLETLNEEELTDFQNFCSQYDQDYIKTCCKHDHFLDGKLPHEFSSNLI -LRTKYHTKTINIDSFAVEHHNDIKQQATAKHYLVSTSKPKRTISTKTYCSTTLAVLLIITLFRVFVRKYN -KINTPAGLNPTGFDYCKGSLYIHDTVTATAVSIGNHANVQAWQYPNGTFAFTRKINTVTERTPCGSFSNH -IFETEDYNANCQLYTPYAINLFKLSIYWFQANKPYTTQHGDYDSQTGAVCFGIAGDLFCHESLTTLTPTA -FIWITTCMVMLFIFMVYLYIKFRGYFGNYASAIIVLIFVHGCTVIIYMLQPMFALVFVLVILASPWHRFV -LWSYSFVICSMFFGLNLVILFILYIIFFAVAFWLARGTTGDVVYTPTGVVFSSDFTGIAKNAFLLTPELV -PTILSVTGMTYEKLLAMSTGPQLKPDTALANAILKCSISNTTILYEPPKCTKLPVYLQSKLNRLSDIVIN -KAQLTNVCSINDSTGQIGHGIFMTPTTVMTARHCNVPDLTVSYRNQSLKAKSIETIGFNIIITVDSQDEI -KPIATDNHHELRLGEQYTHIISPLDDTSIVSVHQLYPTPSGHFAYASTIAGESGSPIFYHNTLIGIHQAM -IKNTDSNSGHALATRLDGTPFDPKFHDTLMTASKICFDGNALFNYFLQHECTNSSTNKAFSSQINEINNI -LSTTNHISQINDFELMQHDAVDLSKLISFVSHSPIVREHHCKPYKATAVSTLQRKTSHQVLVHCTLSNII -GFAMTVTHLLFNAIYGTITIRTYLDIILAGMLLSTIFRSRHVIFLLTAGAYFVNMLNTFLLVCESNITVF -SQIFNTNNFQDLHLLQTIARFGLQDFILVTIIISVMCLKAFILPVRSFIFAAAFWTIAYLTVTIDSYVIA -LFIFSFANASSWFTCLTLLLQATPYYPIWFTANIILSLRLSFPNWVINRYHQLTSDTIKVNRAYFCHHLA -VYQKPPTYLSSLISQLFYDQGDTIEFIPQSKITPYAVVGATTHFPNVNAKSASLMTSPDSEQLYAHFISA -VETVLQSKNSADQQQFLSWCATVCDESQLDQWLNDNPATDDKLTVKRRNIVTARINFLKAKEEKLRKQLN -LMQLEQVRGLMRNEQAIKLCDLLNRAVSELQEKASLRTKSFGAGIIAASTYTVPEMLVITNIAGRNQITW -DDEAEAFCFEFEDNLYYINELNTNANKPIHSEAELTALTAEAFPLYGKLQQDNSQTTSNQANIGFTIKPH -QIEIINTPTGVRIEYAQTSDKFKKPLLEQVTEATDESILLSIDGQLLPFKASAHVPAPVLAAIMAKLRLA -SASLQSIRLGGLENAVEHSAHNDLPLRTVGFTTYYGPSLCKYCRLNIEHNCKVGQFVQIPQHEDPTDYLE -HHKACHHNKFTCTECKPQAENQRNNALKDRLKAIRQQAKNLVSSSVKQVSEDFRLVARQVADILQKPELI -PSLNTIDDFDTVSRWVNVCMNDCGIKQLHKCQTTSITINNENHMLKTGTAEALNNELINYKAHKDAIAIP -KHTVYKLSTGASILIRGPVTKQSLGDIVYAHLHNDTSDAPTIPDKGKPIETKDILTELAKKLEPAINNLN -KLRTWCYANDVQLPITLDNIDLNGQLYDFGDINTGPHNIDIALSDFMRCWSLTGLVPPEITKTWFPVKYN -FTETSWLDKVLQVNNNLLHAKSTATELFIDSESFTTSLYHNDVTGTHKIDTELLSSCTEYLQNLYLLQDP -GLHWRKPILTIGDRVQFASEADTHDHDSHRPVFYAESAFDFFESKLGNIDSVLKYTYFQGSTSDCVNDFL -YYDYQGKLFIQPHILRFLYEQTLDDFESCATEQRFNKIDCAPRKSSLGPSHTLLRAIKQDQLYNIAPDNF -IDQLVELSNKSPLIFSTKCVQKFALTAKPRARTIAACSMTASTLFRALHKPVTANFVKQTQTEGTSIHHL -IGVSKFRGGFDRWFKSRHGNINDWKVFGSDYTKCDRSFPLVFRSMAAALLFELGGWDHNSHHFTNEMHAF -MFDIVHINGQLVYKPGGTSSGDATTAFANTLYNHAVHLLVQLETLVTQQVHKNHTALKVAAVKGYQTGNF -DDYKNMLKHYNTKHYKFNFLSDDSFILTNKHDPTLPDIYNKHNFSKHLETIIHTTVDEGKAWESDGDLHE -FCSSTVKEVNGVLQYLPDKHRLLAALIIEGKAPTPDMTLIRTAAILAEGVIYSQVDNNFWRVLWEYFQHL -LTDFIENYGVLPLPEKMTTEEFYFKLIDPTAPASDMDMLNAVLDEWGIRDIETQGKQQVQQQCYCCQNPT -VSVCTSCPVAYPLCCYCACEHYNQTHHKVTHLPTCAVVGCGESDPELMNFALDNGNFTIRCNDHNTDFSV -KVYDNRTQCFRLPLNQYCVKQESTVSTITKTIDNFTSDNFFAWDSSKSKRENMSRMLHESYILDQYNSEQ -DQIFEYTVTNADQNEVFIKDASYGLTTYCNILDNNGKVKLNCTVDPLRKDIYRLTFLDATKRFTNFNRIQ -RTNRATTKTDLNMLDFFNRVKFILGPPGTGKTTYFINNYFNKNTTGKTVYAAPTHKLVQDMDEALSNRND -ITVFKGKYNNRTYQAPIDDDSKNIILCTVNVVRPIAGCTLLIDECSLLTPKQLFDAIIRSRAGLVVCVGD -PFQLSPVTPLTDFSWDYNTFYLRQIVPIQNQTVLKTCYRCPREIFNTFAGAYHKHNIDFEPAKEGGKVVW -HKLHSENIQISQRILEEADSYNLDTILVNYKQAAIDAMNLKTKFVTIDSAQGLTVGKVGVVIFGNTKFSK -VINRLIVATSRATDELHIWCCAAVEDHIKDNLCTSNTTLQAILTRPNELNQISIEEAAKNLEATTICDIE -FFHVRDENRKKPNFLGLGEINCLTSHAVTTFLRPHYNRDGIYREAVDSDIVVSKDWKYMLWHLPTYLSSA -YRLNHFLQFLNSTTNLSNHGLIIILFNGTNDLDALAEITTKAGKCHCGKPARFTTTSDTDVCQEHASSET -LAAICGGSYYNIRSDTNLTSTHGAICGKYHGEAHTASNDVTMTACLFDSMIKNLVPVSKGLTKTDRFGTW -RKVKPSTNDPNDRVYGNSHYHQSTRLEVGHIGTIINQMPTNTTHTSSYTIIPPAARIKPCHNISRIHCCT -DCLNHYKTWYQTNKNHVDLGWRLESNDCHLQLSQLEKQLKLTAEIHETATGLKVLLGSDSGILIDWQGSL -DQTIRKHVNCKPQPLPVSDVLTGLAINCTVNCSHHAVPIKHADDIKPWDVILVTKAINHEGTQYVCTPAT -TNTDNTKAFVLGISSTIHVNHDELPRYQLTRLDNNTEADMEPSLFSTGRLENFDKWIFPSEQDTNCHHIE -LGDYNNSSLKIGGMHMYPKAFEKTTRIDATKVPNKPLYHANISAGQGTKINNTLSDVEVSRFINAVNDKL -SSTTISTKTTIRIDHQNIPIMIWATNKSIDTAYLQAGGPDIRAPLARKVSQSYVQYTPVIRPYKTSELFN -TQLPYLEVNRQRLNQTNNITKYVQICNYINDQLTLPPQTKVLHIGAATGDEHNQIPVGGVVLDNFFKQGS -VHHHDLRPINSCNNRFQVGLPEHKVDLIISDMWANDDSVEWTSHHDILIKYVNDHLHLGGSVIWKTTKRS -NLTYINHIGSHFGSVDYFVTRCNSNSSETFVVFKYLKLAIEQPTAADADNWQILHHMYAYRHMFKTFCRQ -DSLDCDTILRAHNVHRVPKFLEPRLTASNWASGKFIQNN - ->YP_009666299.1 1ab, partial [Xinzhou nematode virus 6] -EQEAKAKKEAEDANAKAELEAKRAAACNKQHLYIVGDPGTGKTSLIETLLQTTPYSSYYDIHESTTLPLN -ANASSKVLICFTSTTESTTNLVKQSIYQKLNFSVCKTKADEFKKHFSNGQTYSYLKDQIHTAFLKATGKQ -VTVFCYSSIVKAFDFYILRNWLIPQCQQVKTHTSIIQAFITEFKQINAKHTKATSSFSTNNNILRKKLQK -ADAATSQEIQAILFLNTKTLLPFKFSDSPISHPIAYKLACARNIDYLFDFLASSLLEPENVFLKANDIKS -INQTSSKKSLKFAEDTDSKITTKPTKYVKYNNEVSKYYLLRQFPDCYLETSSPPAGTNTGIIVVNNAVDL -KYYIFNSKDNKAYDTIIVLDNTIVKELTLLEKNLLTKNYKVQDTDLKSILEPRTRSISTISPQVERKDLG -VVGRVNHELFKTMTFQATQRLIANHPNFCFVHAVVPIWASQQKYLTKSSELELAKYTPQQQGDAIELATS -TVTQLLFETSCPDHGIRDITNKPYTFCCNKCDRPKPSNFVTHGLNTVPLNTSTYTMKPIVWLAYTGNGNT -GHWVAYHQQNNALYLTNSGVVTQVLRDATPQNLHAYLLRSHVIATYYVVTDHKVSYSSQVPKYFDTKTVV -VVDTAHQEIEAIRQFAKDNGIQYTEEFLRTADSGYTLFKPSDVNKKTITVTQPNALQALAKKRKDATIVI -KSSKLKVEDLKLFKTVVIPNYDCTALNEGAPNALIECSLFLLNQAKPVPKPRIKYTSSSSSSSSLMQSPT -TQQYKPTVKARKSPDVIIKIRETCTRIMDSRFESDYVILNAGAGSGNCLIHLSKSGAQNIIATDYLGESL -EKLSARASQYPDLKIATHKCDMNSAEHKNLELKVDVVTSFFSWHNHHANEQHETNQIHIMPCYNPDTYHT -WSQFGKVEVIERGKTYIKHKLTTPTFVSTETLLTQEFWKNKYGCSKVRVTPLSEYALDLGVITDTYLKNN -PHFNNFIVVNHTSHGESSSTTSSQTSSPTSSTTTSINSEESKVESSCEDFKPLPVVTIHSVDQEDEVVLN -YCSIKLAGSGSHFCRFYCGKCHYNLQENVKPSEQLVRNIQTYLSSSDLTVNVVDEDFFDELHNKQIKVLQ -RRSEFDPDSPDWQLIKDQKFSHTALYIVPTASNVNKDIANPVLNAQFDTIGTKISELIAKEPRHITVWAV -TQQSAKAAVDFIKDNTTSTVSVIDFKVEGTERVRFGDDMYIESFTEPDNRTLWERIKSAFTVKPNEALQL -HFDKYTEQHADGQLTTDFISDFESKHGLYKAYKYQEGSNIYYIKRQTIDDPEAPADIESFYESTTKSRNG -EILMVSGVATSPTKPTTCYNLIHASTGMAVYLNGKRHSINSKSKVDVIQILNTIHNTADYQQASTPIVTK -FKKNIKQGLKSPVTIDWQLILYTFLCLFFPSLLTLSLAIVLYFIIPNTYNLLKKLDKTPGPIRKLNHLYN -TINEVTAFNISSRANIELITAKKMSSWIFRLLSLVLIAYTFSFLAADSDLVNTTKSPSHSFLQWLLSKIG -VIPPYESYIQAITLKQLCGSNYICHYGAPSNKHYLDDYTNYVHTTNVRFVKDILIPICFYCTPWTFVFYF -TPVEPNVVVAIFNIILAIALVIFLNRKWFRCCSQAGPYCPRHASSRNGSIPFVIEGKNYFLPHHKVQFCK -THNWWCNSTFNHIMPEPIAKSIEQALNIRPYAIKTDRHYEITYSSDIKPLPSDPANFDPKQVYSTSQWSF -TEWNLKTALIAYRTGCVVKIAGHSDATYTTEETQFTAEVIDYFSHAGGWLSDYIIGAKASDANTLHLGFL -NNMTSNQRMHFDEFCFQHNQTYSITTCSNDKQLAGKLPDCFCDDSLFIKLPYHQKLIDLETFASQHHQDI -RTQASQHGFAIVSPKAKQSFRTYITFFILILLCFEAAMFSFLWTKLTKYTYPAGLNPTGYDYCKGQLHFL -SERPLATPVALAHGSNNQAWLFSNGTFAFTQETTSTASRTECGILPDGIMVREQVLPCTSAYPTAVNLGI -FSIFWMRNMVDYVTQFGPYKGEAAVCFGRQGLLLCHTSPAILSPATFIAVSTTMVIIMFLCIFCFIKLHR -YFGNYTVDIITLAAIHCVAIIAYLINPLIAIIMLIGVLFIPFRNKLLYWAYVTFMLSIICGISIILVLIV -FMLVVAGIYVYTASPGTGIKYTSSGVVFGSSFSDIARSSFIVNPDHIYKINDATGVDFKQVLQLSKGPQS -QPETLLALALIKAHVTSTSCVYEPAFAKRVPVQLQKALRRIGDLLCPITVVSNICEIHQAGTFLGQGFFI -EKDKVITARHVVEDHENSPSNPCYIVFKGEHIKITYVTEKGFNAICHVPPTDIKKPQLADDHQLQMGVQY -THFTTHSDEAVAAHPLIPTPSGHLAFADTLAGESGSPIFLGSTLIGIHQGIITKGSSSHGLATRLNGTPF -DPKFHDQTKMTSAIIFDGNTVLRTMCPITGPIVGHTDYNEQLAKINKRIESIPSISPVTDPSIINGKSVS -LEKFLTFLEQSPVVTTDIYRPYKSVDVDVKLQSNKKCVVYKTVTFSSVTALISLVYYGFHIGWTGSITLE -TAAQMLIGFLCTAAMFRHQSPILTITAGHFIVQQLTGLLLVAHANFYTLVATQSFTELHVTYRLFLYDCF -LFGIAVFFVGVKFIVLPQQHLFYSFFAIITCYLNGFSYEVIARVLYAAVMPGSIFTLSVLNYINAGYLNI -TVIAVVIMCLRLNYPERIRAIIATLVSDEIQVSRNYFRNYYMTHGNLPGYWSCIISHLFYADNDYITFIP -QKAFYMRQVIGSNTAAANISAQSAALMDTNNDSQLLAMFVEAASAVLQSTSKDVEAFTTWLEACHSIADL -ETWQSANPIKEGATKEDKKLYNKVESRKQLLTATQKKLQKQLELMHAEKVRELVRYDNTVKLANMLERSV -KQLQDSSNFKVCRLASGIIAASTININPVVVITNEASKEKICYDEERQAFLMFYQDRWYQIDNLKTNEGT -VITTEAQFQGLTPRNYPLHGDLAQDLRSVLQANIGYDINLSNINVTRQSDKLVVKYKDQVVLEQIQDGDT -AEYNIDNIAWLPLNGKLEKFNCCQAIPPAVYQAIYQVLTKQSYRSQANIILGGLPNSSTHQAKTAEPFRV -DGFTTYYGPSICPKCKANIPHECNLKGFLQIPKGQNPEDFITNYKIGDDNQWLAFTSAVQQSTKQDDTAQ -GAYKKFLQQAKNLVLSALDQVTADFDLPGRLVSKILNLPGFEQISSDFEPTPRWVNVNSRDCGIKRIEKC -NTVQIKMPSGNYMLKFLTTQEAEHEMNCYEDLKDLLPLVKHELYHLKHCKMLLRGPVTEMSLGDHVYSHL -VNLTDDAPKVPDKANAKEAAEIQDELHYSIESAFENLDKLRDGLMKYDIKLPITLDNIDCNGLLYDFGDY -NTGPHNIDIALADLMRLYSMSHKVPPAFTKKWFNYDTDFKSKTWLEKVLQVNNNLLHAPTTSTEIFIDTE -TGFTSSYYNPVMGYSVVDEEILGKTSTYLQNLYHLQDPSLRFRRPVLNLSTCVNEYSFEEAQGEKAKAVY -YNEDAYNYFSEAIGDLDDVFKYTYYQSDASGVVGDIYYYDYQPKLFMQPHILKFLYQRTLSDFSCCATNK -RFTYEDCTPRNSSLGPSTVLMRSIKQKQLYNAAPDDFIEELVEASAGTPLIFCTKVSQKFALTKKARART -VAACSMFSSTLFRALHKPVTANFVAQAQDPTSKVHHLIGVSKFHHGFDTYFKNRYGDLRDYKVFGSDYTK -CDRSFPIVFRAASAAILYELGGWDYNTYHFNNEMQAVVFDFLLSGNTLYSKPGGTSSGDATTAFSNTLYN -HMVHLYVQLITLASTKVDSRHNILKGAAVKALQTGDFTNYNTVLDHYNSKYYHFNFLSDDSFILTSKEDP -TLPNIYNKHNFSRKLETLLHTTVDESKAWEMDGGIHEFCSSEVKNINGVLQYVPEKTRILSSLIINGESH -DLEMQVIRAAAICAESAVYYTVDPPFWAAVFGYLQKLISDYMEQYQSIPLPMSMISQDFYIALISDKNTK -SEEIMNLLFADYDMANLQSNYQICYTCNNPTVSCCQSCPVPYPLCSYCAYSHYTTTKHLVTHLPTCTHQG -CLEIDPQLMCFTLINSKFTTRCHEHASEYKIPILDADVGSFRLPLAQQCCKQESTVNALSDVITNSSSIK -YFAWDDTNSDEYNYTRLLHHSYLVDVYSEESEQVMDYQVIDALSYKISIPGAKYGQTTYANILDQDGKQR -LTCTLDPLPGSVYLVTLPDNSMRYTKFNKIKRATHNRTLIRPAQFDILRKSTFILGPPGTGKTTYVKEQY -FKNATVFNKVLYTAPTHKLVQDMDNATEDDSNVTVFKSKLNNRTFKHPIDDTTKPIVLSTVNVVRPTAGS -LLIIDEVSLLSPLNIVDTALRSKAANIILLGDPFQLAPVTPNAAFSWDYKTFYLNKLAKEVKSLSICYRC -PSNIFNTFAGIYHRNNIPFFRANEGGELKIVPLASSSPRTDLNVLKEAADFVGGNGVILCNYNESVTIGR -SNGYPVQTIDSAQGITAARVAVVVFGDTKFSKVMNRLVVALSRATQALAIYASKPVLSVIYDNLMVEESW -KTDSMAILQAVTPEFSLKPVTVDYLATNIQASAICDIEFYHVVHRGEPNFLGVGEISLLTSRSIKTYLRP -KYAKGDFYHDVEDTDIRVHGIWKYMKQHLPTKGQSEYNLNTLLQFINKTTDLKDSPIIFITYNGESDQKA -LLQLTALASKCYICNHNSIERDARFIGSRDNSFGPVCQEHAHDHKLSALVDPRVYNISRPRNLTYEHDLI -CPNYHGSAHSASVDVIMTSCILAKELEPVLPVAPITATFDGHTFIKTKASQYEPSDRQYGGLHISSSKLI -QSPREPQFIKPPPNKYHSSDFELGSIITKCIKSCHPTSNVHYCTSCIDYVSQWYSLSRSKNADGYEYKPD -MEFQLNTQQKQMKLSASIVQKPNGLYVVLGEVAGSNSGRLYEYYGSLDQTILKAYHEKETALPILEVLVG -LQITCTVNCAHAYLPCRNDNDLKEYDIPLVYQRKINHKGTQYVITNGTKEKSTAAFMVGLSKQYFLNTSG -NEVYHLQKYIDNEEQEMPKTLFSTGRLHLLSKHVFEGEQEVRNIHIQQGDYSFTSKKIGGAHCYPNAYLN -PDNQIDTVQVANTPLWHATVVQTPGIKIYNSICDVHSNELLTAIEELVSTETISLKTTLSIDYQNIPLMI -WSQKGIVQTAYLQAGGLDVKSPKRAFTEDYLLFTPQIFPAVKEFDEPIPTRYVKDFIIKQPGNISKFQQI -CAYIQKEVKLIGQPSVLNIGAATSWHDIEVDNSTDYHGIPIGGIVLDYYFKGNVEHSDLRPINHCNNTFK -TSKRPTGKYDLIISDIWNEGNNTSILIDLINTNLKHGGSILFKTTKRSYVQNIGSISSHFGKLQFMATRA -NCNSSEVFLVLKYKGSNVDITKLQPDSYNYLRHIYFKRQTELTIPLSTPMSLEQHRPNPGMVVPSWMEFK -ITPDQWKSGNLKQSCS - ->YP_009666260.1 replicase 1b [Shingleback nidovirus 1] -MQREETRASATSSQRKTRRSRASAPGGKKLVRSAVKQVTADFDLTARMIEAILQMKPMSLQGIDEQNEEA -AHWVNVVMNDAGIFRLTKTNTVSLEINHENWMLKVMHPEDLKQEICVYQQLAGKVPMPEHRIVELENGVK -LLLRGPVTKKSIGDIVYAHFANDTDDRPEVPDKGDLVQADRIQKNLFEQLYPAIDNLDRIRQFCHEGRIR -MPITLDNIDLNGQLYDFGDWNSGPHNIDIALSDFVRCLSMANQQMPETIQKWFPVNHNFKELGWKDKVLA -VNNNLLHAPSVATELFIDASTQCTHSYYNPITGYSEIDQEKLERLDDYVSNLYKLQDPGLRYGLQVAAIC -SRVEPLVLKPVIGQKPRAVFYNEEAYDFFTTYLDDISDVHKYTYFQGNEPADTLTDFMLYDYQGRLFLQP -HVLEYLYEQTLEDFGDCRTDRRFTKEDCCPRNSSLGPSTTLLLGVKQKALYEVAPDDIIEQIVNLSATSP -LIFTTKVVQKFALTAKARARTIAACSMFSSTLFRALHKPVTAKFVEQAQNPLSNIHHLIGVSKFRGKFDE -YFTARHGDLDNWKVFGSDYTKCDRSFPLVLRSMAAAILYELGDWDPNSYHFTNEIHSFMLDFVEMGNGSI -YAKPGGTSSGDATTAFANTLYNHAVHLLVQLQTLVTSDVHPKHRALKVAACQAWQTGHFDNYRAMVREYN -SKSYKFNFLSDDSFILTDRHDETLPDIYNKRNFSRKLETIIHTTVDENKAWEAQGMLHEFCSSEVLKIDG -VLQYIPDRTRLLAALIITGKDVAADLNIVRIAAILCEAAIYHKVDQNFWRTLWAYYQDQCNKFSEKFGCL -PVPEQMLVEEFFTDLVDPNGKQATIDIMLGLMEEYGEQVRCTLQAKATVQQKCYCCPNMTISTCTQCPVP -YPLCAYCAVVHYRHTTHRVTHLPTCADHHCDENRPEALNFSLENHQFTIKCNEHVQGLQIPVYDQNTEKF -QLPLSNYAIKYKEAPVDALNATIETFANETLFQWNTDHTPEYNKIKMLHDSHLNEQYSESQEQIFEYEVL -DVNSNKIRIKGATYGFTTYASILNEKNQQKLTCTVDPLGGDQFRLTFVDDSKRYRHFGRIQRTTRCQTII -RAESFDVLRDAEFVLGPPGTGKTTLFIEQYFTKANQYNQVVYAAPTHKLVQDMDQALKGRNDVSILKAKL -NNREYHAVVNDNTKPILLSTTNTIQPRSGCILLIDEVSLLSPRQLLDAVVRSRAAKIIIVGDPFQLSPVT -PVSNFRWDYEQFYLRHLTKIHNQRHLDTCYRCPDQIFNVFAGAYLKAGITIKPARLGGVVNWKTIPNTML -SQMKTTLEEVAKEKPTIILCNYKEAVIEGMKLGLNICTIDSAQGLTSDHVAVVIFGSTGFSRVLNRLIVA -TSRATQRLDIYCTTIVQRVFEEQLMGQKVELEAKLTKPCMLERITLEETARNLSAVSVTDLEFYHVKPND -RTMKNFLGLGEISNQTAWLFKTYLRPRYNRDQEYFEPEDHQIVVSKQWRYMLQHLPDRTTSELYLDIMLR -KINDSADLENHGLIFVTFNGTNDLDALADITLGKAKCHKCGKEARFESEAGPVCQVHIDEGGQLTHLRGA -SYFNIQSSTNLETTHAQVCGRYHGHAHASNVDTVLTSCLLAHLVEPKASNEPVYDDGFQKIEFSVNDKNN -RCYGGKLFYEKNQKLHVIEATRPKIAYRQVDQIEHTDEYVNIPRGYEGKTCHPVSPLHICTACLKHYQQF -EAAVKKRTSKGWYPRATKVNLNLTQAEKQLKLSAEIIQKNHETFVYFSRPGELGTSTKFYHSLDQTIKRV -TRQTAMRLPSCEVIFGLGINCSVNCSHAAVEVKDANEVRPWDIPLVPNVPIKHEGVQYVVQTQRNDDQDD -TKSFVIGLDEIPFTNINGNKTYSLQKYQNNQKVELKPTLYSTGRLYTQQDFLFNGEEQTTNAHIELGEYS -KNKFTIGGMHLYPKAFKGNSQQRFDHIGMTPLWKGTVVAEQGSKINNSIIDVHSGKFIRWVEELIKEDTV -SLKTTIRIDHQEVPIMIWADQKQLQTAYLQKASHSNGRRDVPVYDEAVTHVRTKNEHYIRHQAVICPYSS -VDELMHAEIPVRKYWGEHEGHTQNVSKYVQLCHVINDHCPIPIKAQVLHIGAAPGEGKHYGISVGSVVFQ -HVFSQHNIELDNADLLPINTCNGRHQVIECSEEHGPQKKYHLIVADIWAPVEEGQEYRDNYELLKTYINH -NLKLGGSIVFKTTRRNTVTKLTGLKNCFGSFHMITPKSTIGGSEVWLVFASKHQNVDEDVDDHFHVLHHL -FHHRTKQLAVPRPSQLDIGTKVKIAQVFVVLDFMKSQITQAMWKSGRFIEQ - ->YP_009666259.1 replicase 1a [Shingleback nidovirus 1] -MNQQVALRHVHSHKALEEMGFQPILEKYTVAEWDEVPRLKRLSLYQISELGTPECPTLGQTYRAIQQKYQ -KWSTLTHDEKIQLNATGHGFMDTIGKIQLQCLNAQLEFDEGAIEGILDAQLGVWMVIPPGHQRSSIKFGE -NTISGDTLVVQQPRATLATFEAIVKDLGDKLVGGRPWDVIEFNHELTTSYIADQIHSIVSRPYDSDIRNP -YQWQDKNTKFDAVLEELNIPESQQLGEGVYGVVYQHENQVLKVQAFDCGNVEYENQQKGKGLPYAIQPLK -KLNFEEHWTTVLYYYKFPGGNLFDQNHLTEDEKKIVLSQMLEYLVEATKRGFVHNDLHLNNVLWSKKKQT -ICIIDNGLSFDKEHVKYSKDVVATHNRFYLQNLVQWLYQPWLSSLYCYNAALHSSPEPARWVKYYEQYLS -DEHKTLIEALSNGDWYCKEVQKVHVQDSIWTRAAQAAKGLVQQILPKQDAERQKIRQQEQKLLKEAKAEK -AEAERIEAKKAEILKNEEQEREAEQKAAEEAKAREAAAESAKRQEELRKEEEIRKAEEVRKEQEAAKQLE -EEKQKAARKLEEQLKREQELKEQQLQKEREAEATRQAEKARLEAEEKLAEEKRIEAEKQKQLEREAEETR -RVQAEKLLKEQQEREAEQKAAEEAKAREAAAESAKRQEELRKEEEIRKAEEVRKEQEAAKQLEEEKQKAA -RKLEEQLKREQELKEQQLQKEREAEAARQAEKARLEAEEKLAEEKRIEAEKQKQLEREAEETRRVQAEKL -LKEQQEREAEQKAAEEAKAREAAAEYAKRQEGIRKEEETGPETTTSDNQTPSGSGGQKKKTKKKKAKKAT -KPATTDAPTTTSSDSTDDTSDMQRTLVRFARQLVNVRRESPLLCESFEKFQNTIRSKMQQCNKKSQTKKK -IYQRLSELTKLEFRSAYTEILYKYTQEYAVAYSQDVQNIWAILKEELRTKEFSTVIIEKIDQRLQSRSET -STEDEAKEVTDWEELYTPPKVDHKEETIKCLSQIKDSDLSLTRKNTKVQPGKNKCFVHAAAAVLAKTGQY -FTAKTDELLTAVAAQQQQCAVELLGKVNIPLMPELYCAKHGRRAVAAGFCCAGATFPLKKLQANGIQFIL -VPAHFKIVSAHGNQQWKATAHLYYNGSGESGHWRASVTENGIHTMFDNGTSRVVEELPSANFTLVQIFRS -HFGGIYQAPVTECEKNILVVMPPAQRLEYAQILDMTATTVHRNQKWRTNRGGTVVLGGHQDITSHILAHG -QKAAIGIVDNEESLELLLQCAKVHHFDIYTDKTTHNALVDIDAETKFRKQKVERKKKDHFQMKKGKSNDQ -QAQMRAAIEKFFSNVQSKSITVPGIGIGKDLQQYAAIQHLEKVHGYDLHSEYLTECQKRHRQGNYGFQLT -TTKLDMNDAKSDEVNQSNDLVVSVFAWHFKQKITSHASLEMHIVPVYNPQTYMEWSKLANSEVQVHSRTA -TSVNHTIKTPTMQTTETLHTTDHWLNIYGCKKVKVTLLPNILPELDHPHHKNFALIYHEKHLDSISEEEE -EEEETTSGSGESGSDNGSQSQSQASDNSDDYETYTSDATESGSQGSTECSQEESNPNDTTENESSESGSE -QSDPNQDTSDLRTPDNEEGRDTSSSNDKVDSDEVLIPCRYVSIHTNEPQHHQHLTKVVYKTTQADICREN -CGKCLLNWTGDLTNQDVTNYRTWQQYSDQTLNEFNQEFIHKLHELEWRKVQPGDKVTDEDLHHTWCYVTV -KVQYEKICFIGASQLKVLPNYVYHQLMRDFDLVVSTMNELNLSTAKKYVIIGEESSNEPVQQFLAYHSFA -KAEWYSLDATKDHWFNVKTGEFHQQKQRKLIPEIIKKTFKLRFANHTIEISDKFTDKQIEFEQEYGLKDS -LRFISQDEIFYPTLNDLNDSKPNYEGKTCSWETSEIEVVNKLTALSGESAFVTSNQGPVKLELVRDGNER -RVYLNGRKQKYHELPVDDSFAAHAQACRLQYDHQVTDLKYYQPTKLIDNGTVAKIFGSVTPFILFPSVFT -FFFSILLWFFMIFNFQGCRDFISQVQRKIETASVIVTHFLCTKLRIVHTSKMEKTIYWGLVMLASLLSFV -TLRSLSTNNDIIVTTAPSYHTFVQKIMALVGIIPPLDEYRDAITLSEVCQGKTWLCQFGRPENKLYLNDY -KNYQQKPIVFMTILQTILFYSVPWTWPFMFMNVAINWHYGIYNVLIYIVGTILYLRSHRCCEPQGPYCSK -HATSRDKKCNFICGGRSYIIPHERVHFCAMHKWWCNTTHEHLLPHPIARSIEQQLFIRTNNIKGDSFYTF -VNQSSDERLPEEFNKYDASKVYSVSHLSHIEWTSRCAILAYRSGQVVKVASRYQGSIKTTPVQMTKQVID -YFNIQGEWTSDYVAGQTASHKNTLHVNFLAALSPVQLNDFHDFCDQYNVNYDQTSITHDNFLNGKLPEEL -QYVPDLKGNNHVFLPYHQDTIVLDHFANHQHANLRKAANAHGYFCIVNKAMKKAMSQKAICIVLAILLLI -ATGRALFRKTQKIRIPAGLNPTGKDYAKGTIWVLEELPVGATPVALNQITPFQAWQFPNGTFAFTRARVV -SIEKTECGTFSRGFYQQEKQLSCGKTLPTSLTFFGLSFYWLKAGIEYITVDGPYDSQSGAFCYGMNGQLV -CHETLTFYTPASFGIIASSFTCLLILAIYLFLQLRCIFGAYLRDVTILLVCHTCTLVLYWLHPFYAIVFC -GCLLFIPMSKCVLFLYVTSMYILLFGFNFILFAVVYVIVLICFYYFYLQRSSHIEYTANGLLFSGSFEGI -ATCSFILTPTNSAQLMSVTGLTMDQLVTMSKGPQSRPTVALANSIIKTQITGGNILYEPPVSTKLPAYLQ -RVYRRVNDFLMRDCYTNVCRIYDGAEFVGHGVFIDSSTVLTVRHVIGKELNVHYQGSEYVVERRQEEGFN -VHLTIAGSVKYVPLQIDRHHELQQGRQYIHVIVSGEGVGVTQIHSLVPTPSGHFAFASTVAGESGSPVFY -DDTLIGFHQGGVQGNQQTTTHSIVSRVDGTAFDPQFHDINLTSGQVVFDGNTIFATYMKDRPRKAVEIGT -FQVQLNQINEQFSRNGRISPINDHELLDQWRNSDLSSFLSFVSNDFVVTSSTYQAYKVAKAVLQSKDQQK -QKYKAYQLLDLSPICVIGMILSVWTYVGQVLRGEPFGMQQVIEIVFSCTVITTLFRFKQQLLFLCLGEFV -INVVSHFFEVVILNLVAFTALVAGSVDKEPLDHTMLYQTIIRFGMFDAICLVIMAFCFIIKFYHLPRRMI -YFTVIFYIYSLIVGGETVQLVSAYLVLSAMPSSCFAFVSYLCFGTQFYSAWFVMNFLLSLRVNYPTKIIQ -LYTMLTTDKVEVSWAFFSKHVYKYNKPPSYWQCLLSVLYYGQDDLTSFYPQSITMRTVIGQNVTTANVRA -KSKTLMQNKEEMLVAQFVSAIEPILQSATKEETKALQDWLANVYDISQLSEWLENHQENDKETTKQRNIV -KARIDFIKAKEVRLRKQLEAMQQEQVRGLIRCEQSLKLCQLLDRSIKTLQERASFRTKTFATGVIAASTI -KMPEVLCITTPVGAEHLLWDDESNSPVIMLEDETVLVLEDLKDNEEQPIDSAQKIDVLKPSQFPLVAKFH -ELEKTTLQANIGYEVDVNQIEVTKTGEIRHTNGKVYFRKQDQVTNDSVLLCVDGVLTPFKAEQNISAPIL -GAIMTKLNQKQIELQSRQSITIGGLPNSVEHYAHSDQPLRTKGFQTFYGPSLCWFCQQKLSHDCKMKTFV -QIPIERDIQDPTEYVKAHHVCKHNKFVCHQCNEKKPEPQLQVARGKREGLGRLRLAAKNW - ->YP_009505581.1 replicase polyprotein 1ab [Fathead minnow nidovirus] -MASFEQQLWAANFQTRKYAARTLRRRLHNKRAGDSTPSVFFGGPHRVLKQPTFTPQTLFFEEWIPLRTPR -TLNTGSERMRRVYIVDSVTCQGDYKMVDGIFADLHVPEHPHFPKVRKYRTKRQPKRPNFRVKQPKVEILQ -IEDTPTPQSKPDLKLVIVKDNNCSTIVRELVNASTTAFPAHNTTRGETITFEAPSNKQAEGLFQYDDIES -NKMTMNGVYKPTENDCLVLATTMLAKHLGDVVLTDTNHPTTFTAGEYKFTWVRLPRDTIPSGPVIYVNLG -HCELAYVTRGQTIFFNEPIDKNGLIYKPMLVTSAETSLKTFVPWVGSSTKPKLLQLKKEDTPTPNAWNKP -LQVAVASRVARTVRFPLYSYMGDTKYFPPMDRVKQQMSLITRSENDCLMFALRSYFYNGTVVMKTPNHMG -PFKVKTYRILPHAGRARREYIEVEYIIRPFFRQCLELTVPPYALRLHEQHVQLVRKIADRYIDVQTGEEF -YCTSGKYFVMCPLSVNEHKLQSHPTTHQTFVSPWVGAPKRRLKLVNFTSSTSGTEIRPTTTMQATRPTVQ -YNNCRFVKLVREPLKQRVELNSFEPLKDNNCLKRIYAKLGITEYAHTNHLTTFTISGQKYSWYKVDPKHI -HSTERNFFVQVLNGHATLCKKTDAETFNIFTIVLPPGFTNMLQAVEDGTIINPLAGYGADYYVMNYYGAH -LSPQAATAQWVGTSEPPTHSAHMLVTSLAQGTINNITYANTQEWIKGDFEVQRIKNKETRKFKTLYVESY -QIPIYNQQGNITQTLTSTMTGVVKSKTFEIDIKTTVDGKKYKPKKPTSVKNQELTEQVNESLTASVYAFS -AKAMLYADVTQCQTIKVKYTAGCLTFCPIEFLQASDLLKHLQDESEETPLILLKGFVPALNALYITKDLR -FVPTTLTLTCEKTSSTVNTSLCIAVNPVKPEEVLYSVHQSPALTFPPHGYMYYSFMKLNKHATEFNLSEE -DMDSLAEYVSPIFNQDNHSEGFEIVTPYKILHTSDFAEYENFSVSSFVSDLPSEVSEDESESDQSETEEQ -AQQFIPDIVKTPTTPTQVQTTTTPLATTPLTLSQSDSDVSIHFSNASQSDDDEDGEKSYNQTLAEIEADE -EEFERNLQEFMVTDSYKNRDTLLRLVHSPTLNLYSQDTPTEQQSDHITPPPSPSTTPLDWETLEECGEVE -IYDPDTMENISANQTAGVSTTVFKVGATEKTVNVVDVTVETPDSKQTTTYTETVIKHQNLVAHKHLDLAI -TAMKELPTGDNEVTLSLHTTYANKYVISLVAQAMGVSLEDNTSSSLKIQCQLSNTAVVFTVDGNFPYFHV -ATTTTGHENYTMGYTYNSATYYISALAGLNIIQSPVNTPKPHALPEPPISEILQATTPTVLDATLEEIDF -SDDEVTTDLTQLTIITPAQFSLGSIEDIDFSQHEEPIQQSDPSKIETPTPETKLAVVSWSEEFDQADKER -PITPVAPNTTLCTIENLKVTTKDCMTSTEFQTTLTKSKHGYGLCLYSSNMTLTVGKIEAYGPTSLQVGDH -ITSIKGVNSLDAKVMLAAFQSPAVAITFDVLRMDFVLKPQMTKVESNIKVTTPVVVFDLTNYVREKSELI -ESVFQNMQPKTTPPGPDTITIYIHGYNQEISAVTNMILKNPKIYHPNQKNILHALNEDDKLNVASLVKKI -DDKEFSTDLVYATHERQIVKEITHASALTAFTAAYKTHIIKNISSGSTVLDIGYGKGNDNTRYAAANIKV -TGIDTSQRMLDIAQATKPPNVTTIKEGLLTHTKKNVNYNHMVAFNSLHYPLATTSAERIVSCFPPTATID -IIIPSHHDLDGVKTSTFSATKDSGDMVVTVGGNKFTEMAYNLPIFITALESKFDVLHGDLTTITTKPSTK -ALTNKIWTDIQNFAKNNEDMQKILMGYKTFHATPKKVDIVNNWLDANMAPPINPFKHLSNAAYACFNIAA -IKPSPEVFIINAANKELKNGSGVTCAIFNKHDASLLLSNEIEKLPTYGGSDKLKDHKHVVLTTVKNNTEP -HPINILHVAAPKKQTLRRNLQPSEVAEYEDRMQTELIETYTALVDYSQNFPTATFYIPLLGAGAYGHTPL -DSLTAFITAVKTSTTSTKFVLLLSDRAVAPTESPSFSTDFIYKLVTHMNPNSQQVTYVTQKPNSCSLEAV -HALAQSHDGINLPLSAIYCLYTMPYSLRSLRETMYPNVVWAPNDMVDLSIYQQWLYLVGIDSPCKKSCGF -ETPDYRDGMYYCNGCGVSTTWHLPQQLFPFYPFTSKRAARDYTKSINTVLKPTTAAVHKVIGSAHFQIKS -GPLTDSYPVIFNTDPEICSNYSTWLELKEQEKQDFLDRKEEEELTGTSTPQQSTQQQVTPQQTTQQQATS -NTSTASITSSTSVSVAPSLSVYSSVDLHSSQSDLANISTASDLTPTNSSSQSTHTNTSIYGDMENLMYTN -TAGVVIDVSVKQEQPFVLHMYVNDEIDFEPPGHRVQDNTTGCDTVFTIMEPQTVTTQFVTVPQLTILSVH -VSTEEMKTLFRTKGLAFQAISSKCCVALHIFHSTPTAAYNSSQQINNLKQNLEELQKFTEHANLYTTTHS -YNCCVSSNEVRYQKPTTTISATPLPDLLTQVESVTPGLNRYSTANVGNYTIYYTEDPSVDMDSEIVSCNG -MVICIDHQNEERFHVNLKPRIQNNEGSTLVYKYGKHKLTTSNPDASYLVVETQTSYYHRIKSYSENVMWQ -FFMYFLNILYNMRICVTPTTMYPHYNREPITNASTHLIYSSWNFDFKLSIHNTNWESKPKETLNPIKLIR -NLITIPVAVKFHGRLRPTQTTHTNLGLTSTPTEMDTSVLTTHAAVQYLPFYALFQFKLVPTMLYTLLFYI -LSGYGLFTLFFYIIILKFRSYITQAFDFITSKITASKVTESLEVMKLKSTAIYKESGSKVFTMFSYQGTP -IMIPYSTLFTAITLTGYLTVIWNLALYLGQYSPYENYSTAPYHVTFQKVLVAFGLTESVQYYFPYASLSE -ACSASSALFCSLGSPHNFHYPNDYTQVKVQATDYLNTAWILVVFFGPSFVFIFLPWLCLCTFTNYITIQM -LIIPSIAMNLGALFIFVRGYFVKKCCGEHTCLKHVELGRPLMISPSSSSKYTLQFTNEKICPIHNWYCQN -ADSHTHTLGYELATSIETAYKLKPGTIKPDCPYTEVPEDATIPIMKVTSTSTEYVTDYPETTMQKLHLQC -ISHLIGSPISVSQSKSKPKQQHKGTTLTNRQITGQIHAKLLTDLKRQVPHRDLHSYLVNFVPTTSKSDNI -LPYSVLEQDLTLHQTTFLTKNFVFSTNQSADPTTSSFIPSQINGPTNLPSKYFFIPVIDSGMLCKLDKNI -VDEVLQTYIEVTTIKTQSYAYFKTSFWFTIFLTLTSLLVAAIAFSSVTYPANTAYAGLNPTMQGNIHAQP -FVHNSELPDSSLILVNGANKIVWRANNGSLYFTNAISPSQCASGSVPYIGVRGEHTELCSSARLHYPSTL -FLGSLRVMVLYDGVSYTTPTMSLTSKSKQICAQMGSGSVRCASILPTGASSSAFSLLLSSVLLITTILFY -LQLTQIFRFYTNSVLLSVGIQILTVLATTISTPLAVTIQLFTLTYGYTNWILVTLSLLNLGVLLSTPVGL -TLVICFSAYKMYRLFSTSGHGCVYNDGGTLRFSGSFEQIAASTFPLTNATTAQLMVDLGLTYAQLNVYTS -SRDRSVRKLANALLARTLDSTSEATLYDGTTGNYITKQVLRRLKSAVTVVVTPVTNNLCKITSSTDNGLG -LECTGTFISDTEIVTCAHGIGSINILATHKGTTYTCKVKSVRGDVCILLTTTQNSTVHPVKFSTDFGNGE -DDNKTFVQFISFADSSNSESVTINNTCLLPSGHFFRIGTEAGESGSPYVYNNNIIGIHYGIDHHEQFMLA -SKPDGTFYVPSTERNVSAPIIFSAATFFKPTPTTKKVEELKNLIALTNNKDYDMDTDNAEILQSMFNHIE -AGKPTPTDITNYMPETQVTQQTSVTVGKTLIEPVNNLQTFMYIGMILIELFSYLMLPAGDLSVLFSLIIF -ALLLKFASKIFFYSQEMIRNITAAFFVYRYISFFIYLVANQAFLLQTLTLTRLNIRILTLMVTALMMTPV -VLLTVRRMIYYSKNYITSCLLMLTVIAAHTYTAYTFQVDQYTSIHHYLTTDLNMLYVGINLLTGFFLISI -IPNPLFTTMVYIYILLDCEALCFISVAFLASYLCPKPLRSLTTLLCTDTVTLTVPAYIKWYHALGTDKEY -SVFYAVVESLFSTESTHQVPVTISEGISKEVKYIFGVPSSAKIEDKEEAIIEYNENSEPQDKLVAHNLDK -AATVSGLFTSTVTFEGTFTESLYPQVQGRTWLIREHIDKHYQHIHSTDTIVITTLNSHHLAKESFPTIAG -KVRQVHDLMKQITTQSDEDSLCQAYILALVKSTVINSNRTKEEMNYVSAQTMLTPALIHIFAQAYHDLVT -DKKFTSHITTQSDIMSLQNSLEMYQEMDISTFTPPEIKAHRKRMNTIKSEIARVESATRKLEKFLDNMHK -NEISKRGREDVLLKVNNMLRMHLNKVSNAAHCTIQTPSAGLITLASAFDVRSLCITQHSNEVLVIYDSVT -DSYTVETAGVIYTVYKPTNITGTHLTHIEGDVIKFPMYPVVFSLQKEEQVDDTDVTQQANVGYSQKAINL -EVQQTPAGTVITLDGIVVVTETTDLKSAFSIRGKFFKFVNGSKALTAKQNTPQILRLLKQTVSQQAVIRI -GGSRLSKEHTAISITPVQTPGHCTYAGISVCRKCKSKEQHTCLYADKFVQIPSTHTSNIYKLTDNPPCLH -NKFLCSLCNPPNPLEKQSSPSVFFKLLGRLLDTTLEAAEDPFQSGSYKPSVREFYINVLTKHNMRIAKFP -KESTASIPTQHGNIMLKTKQTAHELATATVLTKQGLPVLKHDRINYNKQDILVRYYTTPYSLGDLVYAYQ -LGDLQHMQLVLNLDDHRVLDPGFYSEYHFFKSEIRKVLTKCIPNVNIILKANLPMAITLDNIDCNGMLYD -FGDYPQKEIPSNRHVIEAIRQLAVFCALPLSDFEEPIGVHQDRQTLQKTLITGTYLQKLLAINDLILSNP -ETPSTASTPFIDPSSATTNTQAMFNPILGFHTVDWDTIGKNHSGVELDLIKTQDTSIYSRPDVLSVGDSI -FYYGKRHGVPMAKQVPTYDLDLICKMDEQGINLSETTAYHFQLGSVSAAVTDYNYYDYNSPRHFDPKFLS -SIYNYMLNKFKPIISTNERLDHSSGCPRMSSMGVGVSGFFQKTVWNALPEDFSDRLLDTASRTIMPFSTK -IVTKHQRTKKPRVRTIGGSSFITSSIFRMLHKPVTNKMVNTAQNNIGPFLIGVSKFNLGFHKYLTAHHPN -GIEDCSVMGADYTKCDRSFPVVCRALSAALFYDLGNLPHKSHWFINECFAFIFDQSYIAGHVFNKPGGTT -SGDSTTAFSNSFYNYFVHLFIQFQTFLSADLPDSLKPIQALAHRAYTLGEPDTYDLYFSQIDDLNSTQYF -LHFLSDDSFIISKPEAFPIFTPANFSIKLQSVLGCAVDVTKSWTEAGKIHEFCSSHIELVNGKYQYIPDP -NNMLAGLCVHATASPPDKIIWKVVATCAELSVFHYTNPATFNNMFHLLQTLHAEFAVANSGLNLLPEKLL -TKEFYTNLIDSDDPDDFIYMTDKLLENNVVLQNSTSQCYFCDNPTVSTCTDCSVPYPMCAHCAYIHNQAT -NHTPSQISGCHVCDVSDPCVLNHTFFNGTVKVACDNHSSGMAIPLVDHYRKLIKIPLYQKCEQQSTSVGS -IKHTKLLDENETPVDNNFFLYNHSDTMEGNFIKLLHDSYMLDEHSTTKSKTFTFSPLDDKIIEVSHSGTD -LYGPTSYCEILDNRGHVVLKATLDPVSATHPNHYYVTTTKGATYKKHSRIRRIIHKAKLTPRHILNQLKK -ATFVIGPPGTGKTTYVIKQFIDNASPANKVAYIAPTHKLVQSMDQAIWDKYGQTASVTISKSELNNNPYN -YTSNQNGKAILLGTPGAVSAHAGCTLIFDEVTLSQLNTICSAIATVKPSDIIFLGDPFQLGPVTHLRNLA -YDYTNFPLYQFCKTKTNLGVCYRCPTAIFDLWSKPYHDQDIMLKPFKIGGSAQIIVNDQCNNPDNNIYVT -KLAEKHPDHTILCNYKKPTFGVPNALTIDSSQGKTFENVIVVLLGSTAFTKVMNRAIVAMSRATHSVQVH -CSPQIHTLFTQIFGWNTETQPQVTPQHQYTVQSNILELEPAQLAENLNSLVICDIEFFHLRHATIPKVQC -TLEVGDMAVLTTSLVSQIMIPRFGPLTTKVRSKYEFGVPKANEKHNWDYMKPHKGITETINTDRTNRLYS -HLATTTEGPVTYVLYGAQNDLRALTGHNISGDYSCIKCGKPATFYTINGRTVNHYCNVHARSASPLMGTV -NARAIDIQRCNGVRKSLTETHSDCCSNSHGEAHTAAADTLMTGCLAALQILKSSKPLSTLLESPDFSPYS -VYIPTGSKLTVKSLKFRTFGSIPFVNINNQTYNFSVLPHYSVLSHYIHLSSNHAHSQQTTEIPPGFPSCV -KVKGLGCTHCANTIAVLHEMYADLEEIGLILSRPIISQAYTQKELEILKNIVNVSTDAFGNKIIQLESGS -IIPFIQDFETSIHNYSLRSNKPIPNPAVLKNLQISQTYGFSTPWLPVSPNQSQPNLLSNTILKDNTDYYL -LHYDQMKVTPNSQSSITAGYYIYQSPMINIPNPTPAYYLTHMVKGVSVPFELGYLSTNRLVTKQFTYIPN -ELETVQKLGHHVTTGDTTEISWTIGGMHTLQSFENLTNYQIVSAPQNPIIAINVSKDRGCKVETTALDIT -LQDYYTISTQQQVTISRTMVFKLDGGIYRMMVFVNDDGSIQTSYPVAQAFKTLPTAHRVTTSYISWPTFF -VNEPVEKWDLGNYNAPPKNQSCNVNIHKFDQMCDFFATELKIPTKGHVHHLGNAGNVYSPGDIVLKQYFN -QAIISGYDLRDVITSSELKLPTDQWKCHLLISDVYEKLTDFTDLALDYITNHLHLGGSIIWKMTETSTVD -VPKIVKYFGNWKLITFAINYSSSETFLWCSGYTATDYNESVVQNDIMSLLGGYRQDYIFVPYCNDYDGNK -VYQDSGLVKVVQPYLKHKLTAAHFASASIFLQDT - ->YP_009408168.1 ORF1ab [Morelia viridis nidovirus] -MVLIVPLSRTNRPPITKPRHFHALPNYYTKYGSDIIKRNIYYNSVDVTPNPLTKVANGNYIEGETTQTNQ -KQTKKVKFNEYIKIRTYAAATANVLSNAEEISVKEENPPQQNAKAKTDALNAETTSNAAAKASAVKTTKE -ENFPQQYAKATTDALNAETTSNAAATASAARNDTNKTSAAIPSASYAAVVAFGLNAETTSNAATTISAQQ -QQEITKDSTATATKEDESMAAIFAATGAVTRIRNPAKNNSYNSSNINIKFLCQATNDPITTTKVHGFIQP -HDFSYNDNSESVTVTNHQLQYECDKVSPSNNQCINTARELVLAAICNAITQEEYNILKFTEVTNFSGLKG -ILPRGYTFNATQHVNNFTIAIWKHHELPVTHVAVINTDEVSTVIYETRDNEVDLSHFNTPRLYELVKVNT -EPADKVVKVTNESVMYNNLFYCSEDLPKDYIFIPSFTTKANIKVKPKSQTLDIKSKYQQFKDELHDLART -TPFNPPPQQQTPIYSQLAGDHRYFNGEFVISGIGRQTANTITNVTTEESNKVFKTRLTAASTYLQAREVK -KNFQAQRKVETVITKGTNIWSPLKPSHTVRLGHVCNKKHNLNCKKEIEKILCDMCKQQWYGQKTGGVYDT -EIDQTIVHTQMCVSCAIEMVDYNCDCDGSVEKIVPFKNKSLTPQQMKQYLSNKNIQFGKIKSVSKEFENI -QDKQFVGNFFHHTHFALRNANKVTKLSKFSFVKVFDKWWDLPAFGNPKQQQQQKPRQQQQQHAQSQPQKQ -KEEQQAQSQPQKQKEEQQAQSQPQKQKEEQQAQSQLKQQHQQQQQVYQQKKDIAHQQQQSQKQQQQEELI -KILKNKLQQQEERNEKLLQQQEEMNKNMERMQQQMQMQMQMQQEMQKDYMQQMQQLLHQNNKQQQQRQQQ -QQQQQQQHQAQSQPQKQKEEQQAQSQPKRQQQQQQQQQQQQHQQQRKDAAPGQRQQQQQQQQQQHQAQSQ -PQKQKEEQQAQSQPKQQQQQQQQQQQHQQQQHQNQPKQMTQEESVNYINDLVHSQWFTIVTNEMNRHYKK -NAICRHNLYGKCRFGSKCKMAHISLNGKYGPLPNQEQQEQIKATTVLPCRNYLQGCCNFGDKCNNLHNYI -NIQRVNEELNKGNLFLLVVPKGFKTKYVIVSEQQMQIQQQLQQLQAVSDSKQEKQQQQQEKQGDQQKQED -QQKQEDQQKQEDQQKQENQQEQENQQQDQQQENQQQNQQQENQQQNQQKENQQMHDQQKQDQQKQEDQQM -SESCQEPENQQQQQLQQSLEQQLQKLQLQKDFQIKVKQQQQEIQKKKDQKKQEMRQLREKFYQQEKLSEN -SQDNQQHQQKQQQKQQQKQQQQQQQQQQQQAQSQPQKQKEEQQAQSQPNWQQSKQQAQSQPQKQKEEQQA -QSQPNWQQSKQQAQSQPQKQKEEQQAQSQPKQQQQQQQAQQEDNNSIAVQFQVFDITTSKPQRPKYQTKV -INLIQGTPLNLSKPDSECNTCQDAVKYLVKQGLKRVVTVFDKNNKQLSKFAIVVALKSHYGIFESGNPHE -LYNGDVYNEILSSSVEAVFVPTFSIIQHKSDHWQGEQQQREQSDNQQQQCQQQQPENSTQQQLSQQQQEE -LTQCQQSQQLLALTQSQPQKQKEEQQAQSHSKQQYQQQQQANSPQRRPIPSSNISRKSRFEITAVHEASR -SITSTNTTSSTSTSETSNEETTTTNNIDHAIQYQNFVSDFRKAQANFKYLSPQFCGNLVQYNKHNFDILY -ASRFVEMEPMPTKRYHSDNFIFCKEEAGVLYGYYHSDISEAVYEGVDFPCFVHREALQAADCYVSKRNAS -IYHKNDICTSSANLPLQFEVEKHVWTASKCRAEGIKIDKKISLNTKVIVCYRYCQLVEGKDIFQRHVTNL -LNQHSSQVGDDVTATTDPLRIARSQASLLLKLMEQHLRHGICMSINNYLNCQSTEFSLTFNNFNFSITKF -VLARYQLCPNGNEIEPDLHGIFIKQLCNQVNFKYTMPEELTPSNYGKVIINIIKQNTVCIDAKIPYEFEA -PDSLDVQPQVDDIDYKKLIGRGAYGRVFGSVSGKYVFKLQGLQGSQYEHKILNQVKHLNVPQVVQHYEFP -EQQVGIIKMKALGLRTLDLLKAEEKQDLKTRQDMLMQCLDHLEDVLKCGIIQNDYHMANIAWTDEGDFVV -LDWGIAKQRKEGQEEEFKAIAYGWYVSLIVDVLANTFLDYHDKVYYVVKEKDLLCYYEWFMDEKLFIKTH -QIFGDKYHEILHHPPIQEEEEESESEWESDYSEDQDHDQYEQQEKPQQKEEEKPQEPQQELQQQLQHQQV -DQQQKEEEKPQVVESQQELQQQELQQQLQHQQVDQQQKEEEKPQEPQQEQQQELQQQLQHHQVDQQQKEE -EKPQEPQQEQQQELQQQLQHHQVDQQQKEEEKPQEPQQEQQQEFQQQLQHQQGKSPSNYTNISSLTTLVT -NKLNCVNNEINNIQQQLTRIKKGKAKAQKAALKAKLEKLNRKKTEFERQLNCKPKTSNQHERTGRQSNVK -RNSELDQPTCKPNTSNEHTKTGQQSEANRNSLYEQDTKDVSPASSLDNIPNIHDAMTKAERKAVDWFEMT -TSPSTSATSLTASTSSYTTRATGEPASILQEPSATTDPQEPTSVNSTTSSHRHNTDEQVSIRVKPITVSN -NGQRKEASILSKKDNIEFRLNEVKKLLGSLQQVNTNLLNEDQTFCHNEALRLCIEQIHSLATEVNDQSVS -SSERSDNVQQIQHSRDIQATSREKRPKVDKLREVSDITISTSTTATSCKPGVNRCFIYAAEAALMTIGKY -FTQETLNDLYKLADKGQHDASEVVARSGIPTIQTAKCILHGTCELTSPCCSNVVPNTTATHILIKQHLGE -ASSYGLVVIQPLAYLHHTGNALNGHWKCSYNTPQGTRKMKDSGVTSNINKHPKATWTLCKVMLNTNLELA -NGDVVGKTNITIISQNINLVASLLTAHGDPVHHDATTLAIVRGNDAITVVTPEAVDYKTLTPKSTVYCLE -YEPAVSKKLHATKCQLHEPQAPASSFALDSKNKDANIKAMQRNLRQYLTKYYSTNLTTQGPIKTLVVGIG -KGNDIPHYAAANNGNGIVYDGCDISNEALNICATKVPSTTTLYQSDVNTKQFDKLCKNYDLLVSTFSWHF -KDQVKCGKHHEFHVMPIYNPDTYDTWSKYYDVTVHAKDEASITHTINMPTCRTIETLHTTEWWYGQYCSN -VIIKSLQTELNSTNKHFSNFIILIHTGHKTSSTSTKKTASTKSTPVSRGSPSSCSSNNSMTSNSNCTETA -SLANSTSQSTTTTQVTRNQNVKVPQLPADNHDCNTMYPEDADDERTEFEQCLNKSNIPITIHSCNSEDFI -DTAYATRPENVNAFFCREFCTNCFVHLPKNAVVDRQLLTNAQVYLKWSGISVNELNYNLISTLHQRQVIC -LDHLEPAPAATDDNWVIRRTTTKPVKVLYMSHDVFKTIDSTQAAIFHRDFDIFTHQLSRFTKRPVQSVVI -HTSSKDEAEAVCNTLEYYNITNIKIAEHRVGKFETLVFDRKTCQYETLHIDKKRAGIWDQIKQHFHDIKK -PYLIEWNNITEECSHDDKYKAIASFSDKHGLDSYQRLIGSDKCVFVDYKTGIDTNPDKLDDYYRSRHATE -TGKEVVYTAVFKLPPTDSNDSRTVFAALAVTKDGKSLYINGDKTSIPATAVNKALYHIMQARYGNNTKVI -GEVYSKFFSPEKETTAAYSYKDLFTALPFMLFLLQPGFFTFALSLLAMAFFLFDRKALFNSVTATHQLVT -TAIEYETTAAMSYLRLGNYKVTKYMIATANAFICLYLLFCAYWACVSNHDLIDTTQAPYHSYAQRILAFF -DYIPSVHDYASAITLKELCGSNIICRLGSPYNKLYLDQYKHYVTNKSYVFRDKLLSLCSFYTPWGLLMCC -LDFKPSWHLAIYNLLMTICICYFIFRRFFTCCKASGPFCAKHALLRTNNIQYYCSGKPHNIQAIKVKYCT -KHKWWCNTTQEHLLPHPIARVIEASTQMQTNYIKSDNYFRYVSQPADRELPEAFKDYNHNNVYSTNNLSY -LEWTQRAALFAHCSSTKVKISSHDSSSITNQKVEMTQAMLDYFKTNEDWRYNYVAAQQAGRKNVLHVGFL -ENMSEKELSEFYEFCCQYDQSFTKTCIKRDNFLNGKVPDCFKSSRLLSTKYHTDTIYLDSFAVEHHDDIK -QQASLNHFLVSQGKPKKYINSKRNIFIALAILVLLALTRVAYRKVVKINTPAGLNPTGKDYAKGTLYLHD -SIIATPVAYGNNRKVQAWHFNNGTFAFTEKRSTLTARTSCGDFDNSFLQVETYHQTCDQYWPLAINLFKL -SIYWFQADKPYTTRHGDYFSQDGAICFGLSDSLVCHETMSIYTPTAFIWITSSVVFCFIFSIFCYIKIRG -YFGNYTSSVLVLMTIHAITVVLYLFVPGLAFVFVIALFFFPAYRLVIGTYSFVVCSMFFGLNLVILFGLY -IIVFLVIFWFSRQRTTDVEYTPSGVIFSSNFSGIAKNAFLLTPENVPTILSVTGKNYAHLLEMSTGPQLK -PETALANAILKCSITNTKMLYEPPKSTRLPVYLQSKLNRLGDIVINNAQLTNICGIYDGTGVIGHGIFTT -PTTVLTARHCYTPTIQVFYRGTLLSVKDHNDTGFNTILIVDKQINIKPLAIDNHHELELGTQYTHVVSPL -DDQATVTVHQLYPTPSGHFAHALTIAGESGSPIFYHNKLVGIHQAMVKSKENTGAHAIACRVDGTPFDSS -FHDTLMAAGKVQFDGNALLNHYLTNECRKVIPVREFNNQIAEANKLIGNYNHISIINDHALLQAEPRDLT -PLIDFLKGSPVIRDYHCKPYKIGNDIKLQNKFSHRLIVYCTLSNMLSLAMTVTYFLTTAIYGTLTIKNFI -DLLLAGAMLTVVFRSRHVVFLLTTGAYFVNVIELFMYVCHTNIEVIRNIFSSNDEIYAHVVQTVVRFGLQ -DIMLCTVILFVMLLKFILLPLRCIIFTIVYWTLVYFFGVINFYTVAVFIFSFANTSSWFTCLTLLLQNTI -YFPIWFTLNIVLSMRISWPKWLINRYHQITSDSVRVNRSFFCHHLAVYHKPPSFFEVLISQMFYSQEDVI -EYVPQSKIVPYVVVGSATHYPNVNAKSRALMSGSDSDTLYAHFISAVEAVLQSTTAADQQVFMTWCAATC -DQETLEKWLEDNPEDTSNKLRTKRRNIIQARIMFLKAKEEKLRKQINLMQLEQVRGMMRSELSIKLCDVL -NRSVAEMQEKANMRTKTFGKGIIAASTLTVPEMLVITNTNGRDKISWDDESECFCFEFEEAIYHIAELNT -NTGQAIHTETELNSLTATNFPLYGKLQDFNFDGVVNQANIGYSIKPHQIRIIRSSSGIKIEYASSSDKAA -KPILEEVQEATDNTVLLVADGVLKPFKVNSHVQAPILAAVMAKLRFDSVDLQSIRLGGLENSTEHVATSN -QPLRCRGYTTYFAPSLCRYCRTNIEHKCKYQQFVQIPANEEPDQYLSNHDICQHNKFICNTCHNTASNQQ -RSTTVKPAEKLRRLREQAKNLVSSAVKQVTGDYDLVLKQVSDILQQPQLHRTVQDDEQHDNEGHWVNVCQ -QDCGIKRLNKCRTTSIQINNRNHMLKTGTEEDLRHEYNQYVALKDLIAIPEHKLIRLKNNSYILIRGPVT -KKSLGDLVYSHLHNQTADAVEIPDKGNPTTAQQLGDELANTLKPAFDNLDKLREWCYAYDVQMPITLDNI -DLEGQLYDFGDMNTSPHNIDIALSDLMRLWSLTNQPAPSVVKKWFPVRYDFTECGWLDKVLQINNNLLHA -RNTNTEIFIDATSNITRMYHNEVTGTHTIDAQQLESCSDYLQNLYYLQDPGLHWRKPIVTIGDRVNFAAT -TDNTDTTANRPVYYNEEAFNFFESRLGNIDPVLDYTYFQGDNNDCVNDFLFYDYQGRLFLQPHIIRFLYE -RTRKDFSSCATDARFSKLECTPRKSSLGPSHMLLRSYKQNQVYEAAPDNFIEELVNLSHHSAMIFSTKCV -QKFALTSKPRARTIAACSMIASTLFRALHKPVTANFVTQTQKAGTDIHHLIGVTKFRGGFHNWFTSRHGD -ISNWKIFGSDYTKCDRSFPLVFRSMAAALLFDLGGWDPYSHHFTNEVHAFMFDMVHLDGKIVYKPGGTSS -GDATTAFANTLYNHCVHLLVQLQTLVTQQVHTNHLAFKVAAVKGFQTGDFDDYDQMLDLYNKKHYKFNFL -SDDSFILTNIHDSTLPDIYNKHNFSKHLETIIHTKVDQNKAWESKGDLHEFCSSTVKPCNGILQYIPDKN -RLLAALCIEGKASTAELRVVRTAAILAEAVIYSQVDVNFWRVLWEYFENQLAEFIDNYGVLPIPDKMTEA -DFYYGLIDPTKSPTDLEIFQAVLAEWGIQDIETQAKNQVQQQCYTCHNPTVSTCIDCPVAYPLCCFCACT -HYHETGHKVTHLPVCSHPGCGMSDPELMNFSLANGQFTIRCNDHDTDFSIKVYDNKTQSFRLPLNQYCVK -QDSTVSNISKTIDNFTEGNFFGWDVNENSHSNMVRLIHESYLNDQYSIEQDVIYDYVVLDVKNNEVMIKD -ASYGLTTYCNILDNAGKVKLNCTVDPLRKDIYKLTFLDDTKRFINFDKIQRSNRLATKTDLALLDVFKRT -KFILGPPGTGKTTYFIDNYFNKQRTNKVVYAAPTHKLVQDMDEALKDNTNVTVYKGKYNNREYHAPIDDE -SKSLILCTVNIVRPIAGCVLLIDECSLLSPKQLFDAIIRSRAGEVICVGDPFQLSPVTPLTDFSWDYNTF -YLRQLVPPYNQTVLSTCYRCPRNIFDIFAGAYHHHGIEFNPAREGGTVVWHRLKNDSIVISQKVLQEADN -SGCDLILVNYKQAAIDALGLKTKFVTIDSAQGLTVSRVGVVIFGSTKFSKVLNRLIVATSRATTQLDIWC -CEAVEDHIREHLCTKTVKPQVLTRPSHLTPVTIEEVASNIEASAVCDIEFYHTRHPTRKHPNFLGLGEIN -CMTSRSVTTYLRPHYNRDGDYFEVTDDYICVSKDWKYMLRHLPNYPDSNMRRNHFLHFLNSTTNLTAHPL -IFVLFNGKNDLDALAEITTPAATCHCGKPARFTTNTDEDVCQVHCEGKFLVAVAGGAYFDIKSTSNLSST -HGIVCGKYHGTPHSANNDVVMTACLLDDMLKQHTAVTEGPTKSDEFGVWRKVKPSLDDPNDRVYGSTHYH -KSARLKHSHNTSINNYMPINKEHTSTYVIIPPAMRIKACHAVSRIHCCTACLDHAKKWNKVNHLNSQQGW -QFESQLLELQLSQQEKQLKLSAEVIQTPMGLRVRLGDHTGVTVNWQGSLDQTIRKFHNEVNQPLPVAEVL -TGLAINCTVNCSHHAVPIITEDRLNQWDIPLVTKAIKHSGTQYVCQPAGRHADNTKAFVLGMDDWVRVNY -DELPRYQLTRLDNNTEVELEQSLYSTGRLATCDHWLFSSEEDTDCAHIEIGDYNNSSLKIGGMHMFPKAF -DNVSLNNIEQESNTPLWHANIVAKQGTKLHNSLTDVEVSRFISAVKDKLSTNTISTRTTLRIDHQNIPIM -IWATAGKIDTAYLQNGGPDVPPSVTQKCSQSYIKYQPVIRAYETATLLKSNIAHFEVVRNRLNQAANITK -YVQLCNYINDNIKVPPKATVFHIGAATGPEHDHIPVGGVVLNHFFTNNDVYHHDVRPINNCNGRFKVGLP -SHKVDLIISDIWSYDEEKDGEWISNHELLINYVNAHLCLGGSIIWKTTRRSNLSYINYIASHFGQVDYMT -TRGNASSSEMFVVFKYFKQHINQPQPSDRDSWQILHHLFAFRHQYRIYTRQDPLNCDTICKPRSVYRVPK -FLEGRIESSSWASGNFIQQNS - ->YP_009380535.1 polyprotein 1ab [Goat torovirus] -MFKTLRELISETELHLCSSTLDLVSKSQLLDQCLGIPQNLVSLSKMVPSILESPTLEPRFTSTHYSSLQS -LQLLALNTSYTLYKWTTGSISKLRGHLERELCRGLVPLNDFTPKGNYVELSLMIPSVLTGQGTSTTTTLQ -GMCSDMVQSCIKSMETDLLKGVPALKDQTSCQEYFLSANYQSLIPPQLLVNAMKMSSVVDLSPLILENTR -LLLKLSPFHGGTSVSYTSMIREFVDCSRRDEKCLKRRLTKKQKRQEEGSFDANKVITLGGKMYRYQVVIL -KCSDEVDDLIGFDGKVREVEYNFENVPHCWGDLVKRKCLIRAKATWNLVDNVDEDLDHVYTDESQQGFHC -VDGNSGVYSACVEDTHLEERIFSRVWLKQTSRFFGTKIQQVSELFKSFGLPELETTYCGVNPVKVGNKWL -SFKDQGRSRVFFVYTDNNVYLATTRKKVSCDYILTKFKSVKWIGNRPDKCRVVKVLAWLISINKAKNCTR -VTTPMLTVQGKISHRRVDYLDISVLDSYVSDIVALNCVQKVKKFLLGHYNCGADLGLFDNFLTPIECGTK -QLVFERCNCPNHQFYVAQFDNHVVLGLGRPTGVVYPEEIPSYANIYAVGFATPRRVVEVHYYSEMNRHQW -PQEYYYFAYDQEYQHVDGDDYINHHSDDVEDQPFPPLLFDDAYDSGDSLDDGGSDLDCFDVDDLCWPEAP -IPVPSPYGYHQGQRLRDLCVAGGDFGCDCPRCDGTFVYHPFRPRHYPSFDEVGPFIQMCEFTLTYSGQNY -NLFYGLGPKVCLQDLVEAGDKLLLLLMRGQLENTLLPHDILACLSSLKLGVNIHPFLWPAPFFNANGEWV -DIFDSGDFTVFGEDFCSKAKSMVESVYFLVENFFSVGRPIENLYCKLHLDGDVKKMLWSTIHMKYIYLAL -IHSEKVFNIILNSTQLSHQDLVKLVTIGTFDVSIVAPCACSGECNHGKVYNWTNLLSSVYRFATLDQLVG -LSYCEKRLLVLRKVQQCLEVEEGYRQPVQLLMTPFYSFSGIAKPIEQPLAGVLHQQVMQMFDTCVMLDVI -CRLKRPKASVHNLFGVLADYFRRPFTYRYYQVAEFLSSESTQVFTDVTSALAPKDLCSDRGYSYHNYAVG -RVVEPRTATTTTKAAIYPPEIVQMIRSFLPIEFDVGVMNYVDDNCDFKYCNLEFCLSGRGSVRLDSGECL -GYKTNLFVIRYKTLPLLYATSSPIYLSDFSLDNAVCLTGDFRLCFDVEPGVTLFGLYFTNGRCYGNVWET -LPRFGLGTLSSPKYHPKCEPFENLAEVFFFKRRVQLVPLVNDYTPVFRNKPDIPKVLTVELMPYYSSIGY -QGFVAPKCILPGSVATQYCKLRHQLDRCVQVTKLAVAYAFYFKPLNMGSPYHLDPMRGTSYGKPAVIQFE -PVGLIKEVNILVYQFGKHVAIHYFPECPTYVAYGHYPSHSVGVWLGYSPSFEECVIAQRNYRVYVPTCFR -LSRTGSYHIQQDEDLERTNIMVSYHYARDFGTKSLTPMFQMFSKIFGKSKQDLIFALNSLSDESQSVLTL -FCNEFDTAYTLQTISDEVAFETSTSPELIACVLAYAIGYELCLTVKTDGECESLDVGSSLEPVYVDYDVS -GNIWDLSTSLQDESSDDLELPFNQYYEFKVGRASVVLVQDDFKSVYDFLKSEQGVDYVVNPANSQLKHGG -GIAKVISCMCGPKLTSWSNNYIKQHKKLGVTCAIRSPGFQLGKGVQIIHVVGPKSADSDVVNKLDASWRS -VFQNVKPDTTILTSMLSTGIFGCSVTDSATTLLSNLVDLDKDVVVFVVTNVSDQYIEALGIVEGFQSAHG -LPNFGNTCWFNALYQLLKSFAVKEQIVQDLVNCFDDFYECPTKQCVEWVCDQLGVVFGEQYDAVEMLVKI -FDVFKCNVRVGYDCLSRLQQFALGSCREIPADAVLMFFGQDKSGHWVAARKVCGVWYTFDDKVVVKKDPD -WSKVALVLRERGLFKAADFETPRPRRRRVAHRVPRETVSQDAIMFLEERQFSSGTMLAHSCVESVESFDV -EGVQSSLFQSVDGLGDVTDLDCSDCVCDNSDLQELQVVASQPSEVLTTSMSTECLGSENSEYSVEVDLNP -VCKKDEQVGESATNGKDGVITSDPQQDFLKSPDPTTKQPETESVEPKDLSVFSKQPQVMLPMTWRDVLFQ -QYLGFKFDLLSLTHVSKFKIVAYFVALWFVLLYCFSDVSLLSRFCLYVVLLWLSHVVLVVKNLDLGLVNL -DGESYVLRILSSVKVPNCIAFNCDGVHWLILKLLYYSFYFYDFFVKMLVVVFQMPQLRCFTWPLLKLGFA -DTFLSHHILAFPTKQVSQSCLPVFGDERKYIYVPYWCKESFRTLVARAKQLTATGRSRTLDNWYYQCCSK -TVKPSSCFNVRDFVFDDACNNHKYYGFFSAFWFYVIFYSGFLSFWLPLIFCYCALFMCTFKNLPVNTTRP -IRWTVLQQVVDDLLSIITRPLIGRPACPPLSAYLTATTADEAVRASRSLLGRFCTPVGFQQPIMNVENGV -AVSSLGFINPLMWPLFVVVLLDNRFVWFFNVLSYIMLPVFVVVLLYFYLRKICGCVNVRGVVKSCTRHFH -NFSKPLVAAGVHGNRTNFTYQPMQENWCDRHSWYCPKEEHYMTPEMAMYIKNYYNLATSPMADTIWCDYV -KSVPNMTWANFKFSLFKSSETVMCGPSSHADSMLLSWYAFLHGIRFAVNPSVVDIPSQTQPIYVSSDSDD -SLDKGCDVSLRPTKNKGKFKKQSVAYFSAGPVDLWYYVMLIIALGAIFVFMYSCFMVGQYVVMPKDKFFG -VNPTGYSYVNAQPYLHASPPVLRNSDGMVLATPLKVPSISYSVYRLLSGHLYFTKLIVAENECTPPFGAA -RLSHEFTCNDFTYILPAHLRILGRYIMLIHPDQLHMLPFEVEHSTHTRLCYVTGTNIVECLPTFEIISPY -VFVVLVAIFTIVFLFLLRMYIVMYSYFKVFTYVVFKLLFVNTVMVLFVVCLPPLVPGVVFVLALWLCDSV -VFLLYLAVLSLFILPWFYVMFFMLIVGGFVFWWMMKSSDVVHLTPDGLTFNGTFEQVSKCVFPLNPLIVN -RLLLDCQMSHSDLVEKSKLKTTEGKLATEMMKVFMTGETAYYQPSNFSFQSVFSKVTSPFTLHARPPMPM -FRLYVYFNGQCVGTTCTGTGFAIDDNTIVTAKHLFECDDLKPTHLSVELSCRSYWCTWKEPNVLSWKFEG -ENAYISVENLRDFYGIDFKYLPFQQIECEFYKRMESVTIFSIKYGSEFATQAWQTVNGHFVCCNTEGGDS -GAPLVWRNSVIGVHQGLCDSFKTTLASDSKGVMMTEVKGHYVDPPVYYKPIIMSAAYNKFVADNDVSIGE -CTNYHNFVNEDFFPMHDELEKVSFGDKMRRYCQSLPRYLEPLHYFHVPSFWQPFKKQSVSSNVSWVVENL -HFIFSVYFLVCDFVAYWWLDDPFSVILPLFFVVQLLSTVVLKNVLFWNTSYLVTLAVTFYVHSEVAESMY -LLGLFSDQIVNRVGLILVVSVMCLFVVVRVVVNVKRAVFVVIVSVLLIVVNIMLGVVQFNSFVAVCMFDI -YAVFAALLTPQPVVAIMMLILFDTKCLMSFAFVVIVLSFRVFKNYKFVRILHNFCNFDFVLTQLSLFRYR -HHNQGNNPSHYEALWLFLKELYYGVQDVKYEVFSPQAGTYNVRFLTDMTEQDQLEAVEQVQRRLQRFSIV -QDKNSQRLVLYSKNIEFLRSQIQQQRVLGANPFIITTLTPKDIAIDNVEVHNPSQFKPEDLQAHMWFYSK -SPIFVGQVPIPTNVQTAAVLDTTYNCQDLTADEKNNVAATLQIQNAALTLSLFEECNKFLEHELGDVPTL -MWQSEDIVDVKQLEVQIEKLRVVLDGMQLGTSEYKATRKQINILQSQLDKALAFERKLAKFLEKVDQQQA -ITNETAKQLSAFKNLVKQVYESYMSSLKVRVVESNDASCLLTSTDLPRKLVLMRPITGLDNIKIVEKANG -CEITAFGDTFTTGPGSNLAGLAYSSTQPLSAYPFIFNLEGIFKQQANIGYKTVECNMSSDNGSVLYKGKI -VAVPSEDNPDFVVCGKGYKLDCGINVLMIPSIVRYITLNLTDHLQRQSLKSRRRLQYNQQGVRLGGVNLG -EHQAFSNELISSVGFTTWVSSTVCTDKTHKHPWFVQIPSNEKDPEWFMHNTPVKNNQWVVDVKPTHWLVD -ADTNEQLFALALTDDEYLKAESILAKWSPITQDVECWFKDLRGYYTVSGLQPLWPVCPKKICNLKIVPIY -QPQSMAYADEPTHFLSLPVVNKNFLQAFYELQEGFPGKKQVAPHISLTMLKLTEEDVAKVEDILDEMVLP -DTYATITTPHMMGQHYVLEVEGLQALHDEVVSVLRQHGIACDQTRMWKPHLTIGEIKDGSVFNKFKDFGI -TCKLEDCDFVKLGAPKANARYEFIATLPVGDFKLLRDVWCACRHLCFQNGAYQSSRSKHYIDLATEYNAG -IVKVNKSNTHSVEYKGQRFMIKRVKDQHEFALAKTAFLPSIIPHHMIHQNGEWFLVRGPTTQWSLGDLVY -AIWLGDQAYLDECGFVFNPSRDEFFEDANQRSYLANLLEPAILSFCGIFQCVKDCQVPYKITLDNLDLKG -QLYDFGDYPCPNKVDNQSALFVLAEVWSMTRKPFPSKFAQLLAEEMNVPADFQMYFQHTLLSGKYFDKAM -CLNNVRPFLRDPANLTTTPFFSQHSGVWTHFYNPIYGLVECDLEEFSNLPEVLQQLITVQGPITSAMTPA -ISIGEGVYAANVPPAAAAKQKIPLYDVGLCQELTDAGVDCGEAFKYFYYLSNPAGALADVCYYDYQGTGF -YSPKLLAGVYDFMKRVTACYKTDERFTYEQAKPRKSSMGINITGYQQDAVYRALGPENIIKLFEYAQKAP -LPFCTKIITKFALSAKARARTVSSCSFIASTIFRFAHKPVTSKMVEVAQNSQGFCLIGVSKYGLKFSKFL -KDKYGSIEQFDVFGSDYTKCDRTFPLSFRALTAALLYELGGWEEDSWLYLNEVNSYMLDTMLCDGMLLNK -PGGTSSGDATTAHSNTFYNYMVHYVVAFKTILSDLSDCNKVMRIAAHNAYTTGDYGVFNTLLEEQFQTNY -FLNFLSDDSFIFSKPGALEIFTCENFSNKLQTILHTRVDQTKSWATTGHIEEFCSAHIIKTDGEYHFLPS -RGRLLASLLILDKLSDVDIYYMRFVAILCESAVYSRYQPEFFNGLFQVFLDKVQQFRKDYCCDPCPPQLL -DRQFYENLVFTSNTEVGLVDCYLENFKLQCEFKQQAGFDKVCFCCPNPAVSVCEECYVPLPLCAYCYYVH -VVISNHCKIEDKFKCPCGQDDIRELFIVLNNSICIYQCKSCVESDRLRISLLSDVDQVVRLPGFRSNSAS -IAKNGVAQLLTPVDNVDVSLDWNHQETVQQNVARIVYHSANMTQMSIEVVYVSFSLVRNDGSSAILDIPN -FKCPDTSYCLFYKPGKTGALKFTGKGTLTSCYDSNNLTWFKVTCPDFNQPWRFATCFVIQQHDAVYPPIK -STQYENVTFVMGPPGTGKTTFVYNTYLSKASPSNRFVYCAPTHRLVGDMDERVDGAVVVSAYNDRTYRNP -VWKKDDSYDVLLCTHNTLPFIKSAVLIVDEVSLIPPHVMIKILSMGFKKVVLLGDPFQLSPVYKNHKVHF -KYDTFYLLQLATQKRYLTACYRCPPQILGAFSKPYCDVGVDLVSFNSKPGKFDIIVSKQLANMQDFSVLS -VLSKEYPGYVILVNYRAAVDYAMQNGLGDVTTIDSSQGTTAANHLLVLFGASNFSKTINRVIVGCSRSTT -HLVVVCCPELYKHFQPILNWPEPVYRYFGMEKQSDFNIIPEVSSLVFCDIEFWHYKADPNSKTRTVYPGQ -IAVVTSQTLQLYLGVFDDAGYKSALRGLPKDVFVPPNWVWMRKHYPSFEQHTYNMQRLFKFIIDTTCGQP -WFILYSCSNDLKSLKFYVEFDTSYFCSCGELAICLMRDGLYKCRSCYGNMLISKLVNCKYLDVQKERIKL -QDAHNAICQQFHGDSHEALCDALMTKCLYLASYDAAFKDTIHVKYKDLCLEIQYKITSPYVRYDGVNKRY -LYRDHGAMYYFKTPKSPMQNVYKYEVGAHAEYSINICNSYEGCHSFGKTCTKCIHIHCIVEQFMADDRYK -DFILVSVVKSDFVEQALSPAAKALMLTVTRVEGKSFYTSNGQRYDLYDYDLSKSVMRVVCAIVKPLPLYS -VVVGLGVNCTVGCVLPNVPMKLKDELLITDVPLSTLRLDLPTWYYVTWPTLSSKTSRWKLAGAQVYDCSV -HIYVEATGEQPLYYLQLGNGESLRELPETLFSTGRLYNLEHDPSKNFNVQQLAVETIPKNHHVFAGDFTD -VGTDIGGVHHVVALNGYKGSVIPNYVKPVATGLINVGRAVKRTTLVDVCANQLYEKVKQQIVGIKVSKVI -FVNIDFQEVQFMVFAKSEEDIQTFYPQKEFLRSYYEWPIILPELESHYDLKNYGQDPQFMPQPVNFAKYT -QICTFIQDHVKVARNSLIWHVGAAGVDGCSPGDIVLSSFFKECLVYSWDVKDYDTLLEKHNYDCNFRPNL -IVSDIYNVSSIVSEVLEDCVHRLALGGTIIFKTTESSRPDIQLSKITKYFAAVHFFSAGVNTSSSEVFVV -LKYKLYSEPIGEELCSPNILRRIAAYRNKLCIVPNFKVFSTSLSYRFSGVKFVQKCFYVSVPRQFCASGL -IQEVPLLCQMKH - ->YP_009344970.1 1ab [Xinzhou toro-like virus] -MVMMKVYQKGTRPQVKQFQLTDELPMMWIPRENIQARKPHIHHKIVLGDYETVQTIPHFYCTNPRPLQTT -EFTQFDAKFKQQNVRKYIETEAYLYATSYIETTDSANTITPKPIKVDSDVMNAAPYMFSTVSKHRCMSYV -SKCVDFILSCYGIPSYTSNYDIPNLAGLMGVLPSNVTLYETNESCSDLTILYQETQTKSGPIGHVGIYHK -GQYFGTQPITHVTKKFVLCVNTNAESHDETFYQTKTKEVKSELNKLTPYTTPKQGTTQFLTKPSIVKPVI -CGDVNYSASTVESIKRELPNAEVLIKEMKQVNEPRQFWPVDPTSVNSRAILNSTRPAAFNIDNIVATIDP -SQIPNCSLDYTNQGQISWGQPNCYIQGFAKLTRYLHAGDCLKNILKNCCLKCYDDLKAYLFDQSNGPIQI -HSQFTFHYLQHIIMTKAWCPQCEFKLINQLTDCTCKEAHHDIRKAMYKWQQLHRLNPSTHQFGTLKCGNH -TFKNQPNSNYSNVEIPYSGRSFPSGLNYHHYHANITQADVHTLSIRKREGKTLKYMNTECFGNEWQEVKR -KPKQRQNGQNGQRQNGQRPNGPQNRLMRNSRSLNILGNKFTQTTCKFEGQLYSHYHCKETEETYVFQMKK -NKGKWYNFESTANEWKEQDGLPFTEYCILPEVSQPNNCQEFRQVCLDQGLVEAPPALLSYLVPQAYYVLR -QSGHFALWNSGTGIDVCTGTFIEFAPYSEIERAYVLPESFVALTLLADVPLLFEERENRAIPKCIEETEQ -FIDDTFAISSENEDEEWEEGSEVEESLEYDEDQKFQYQTPSPAQTETINYVPLNERFDLSRESGQWIFEF -LTQLSHLPPYENTDRGYLNQFELMCFETMIKHGLPATTASQFTHFWIHGPNHLKYQLAVPAAAIVAHLDD -QTWFEHISNQFVHCKMLKPYMCNYDVMDKQINAIKETINQGFVIDTPLCDYPIEEERPVPVVDFDFECKD -TIKLDTENLVTIPESITEQEKNYTIVEQNTTHFEEVAMNLYDYVKDNLPESLKLKETPIKGADFVDVKTW -QYKWIEQKFQDVMLIDVKPQPQVLNLYQTEHISLMIKHGVLDCMEYDALSYFYSLRDSEVEEEPQRKDWA -DLLYAWSVASGNDWLTNLLKCEYEYHFDWKARLLTAYNNLTSYFDELDQLNQDFNKQEAEIEDYVSPQEQ -QFTHAMNKLCAHLDELQLNQLFMIKRKQYLDEQIAEQENLADQLEEEIQNFTSHNNIVSEGDQSMETTHE -TAQMDNTQEEMEVDQNTETPQSTEQNVTNQLNTDLTNSDEYKIFPNSKSVLHKNESYKQAQQTPLRFGDD -DTLSSTEITNSPPLSFGDIPMNLVDVELDQQTPSASVQIETPMEITPPKEKRSLKKKIVNKLKKTFTKTE -TSSDSDMVSDPQSYDWTKPPEKQPKRPPINIWVRAAKELDFKLSSTKQVTNYPNVRIGDFAIVRVKMLNI -PIQALHESRYLPTILEAKEIGDSTFLKCAFPDKPIPMTTLNCNSAIIRTMAINIEETLYSNGYRPAKFNP -QLTYIFEDEILCYDFDLVNFGFVDSYAKDIATFWDLDIPFNGTKADSYNTILALYGNQPTAIEFNRTTLK -GFILQSFAHQNGLEILTKLGKGSFGSAYLCDSKRGFVVLKMQTDAEAWENEIAAMQIIENKQNQPDYEKF -KVNTTKIYHWGDVPCIVQYYFTVMEFVDAWSIDVAFNNELLKDLPIETKLNILDHHEKTIAVLNEEFNID -HNDHHTQNILLTKQDLTYYLIDWGCSVDYDADLTPARNVAYLYDLACVLLLDQAESEPVNVLIEKMKDYN -QHNYLYHPDFAQFKEHIDAKFRDLDFEDLTEELNALSQEVEIEVPIEIEKPKQIPLSKQRKQTMKRREKQ -LVLSDTSSLESAQPLNRKPRPNKVKRAKLACVVEHSDVESLHESVYSNGIDSELDVQEQHPIVIQCSEQS -IAEEIIEFELDPLLPTRKHLSNLKPTQFGKIYPDVYGYDEFEQFGNGTQSKCYTDGNLVIKVIEANIAND -NELNHTMFKEWTCCEAIGIYAPKQIECFSTRTHLFYVMEKVKGKALINIPPKEFTQKDINAFNIFMRNCL -TTFEAHDIYHADLNGGNVFYEQSTGLFYLIDYGICVTSQLRNLCLAGFLIVQHHFYGKQRLTYLAAVGLT -SCSLAYDTCFDFLQSLIGRCMFPIDSDEQTIKPIIQQQDYIHLITKLAEFDESGAKLINILTERYATMQK -FSLIIKAKQLHCNTANILKLFNIKCVRTTRNLGAQLNNVIDNKIRHLTVEDCATLLELVLASQPITNKGQ -RDPDMNVANSYTLREVWHRMKKYHSYIPKTKFFEHTYAFGADLDITNLQFIGKYPNLTAAIGNVRLQLNL -PKQQWTDADVDAYALEIETIMSYAPFKLQAAKTVKLDSDLFNQLAFSSKPYTIKGFNNSCFVQACEPILN -AAGIYFTSESEALLATSYKSIQGCAMELLSCTLPKSVLKFSCDRHPLTKPSTKYEPCCKQCVTIGDPEWL -TQHGTSFNILPLATPHGIVNFQPLFHLYYTGNGQVGHWVSYERQGKKIIKHDMGSHKEVESIPTECKLTV -YKRMQPKPVEITRVIPGIKIINADIKSLEGVVVNSTGVDYRPGGGIDGVIYKNASENYRLNHDLFHQACL -DRKITTQTPILITETNSPNFVPNAVWMFTVDTNNYLTSLSQGLSHMLAKTPSLIIPPIGCGIYGQSIEMF -VGTVASFAKYDITIATNDPTHYAALINLFHGEQNKTTYNQCDKGPKHRLRKPNTDVRKIAHQVIANLSAE -IVVDLGIGHGGDLMAYKSNKKIGKVVGVDNAVEALKECARRMEANHMTKVNILEMDMHSRLTPIWLKEQN -ADVWFSDCALHYFDLNIPTDHPQIHIIPYYNTNYYHLFSDYFEVTTHEVTPQSVEHTLSNPTIKFTEVLH -SIDYWQAKFPYAKFTILNQIKPTNEFLGNYMLIDATVVPQDDVISESESQPTSQSTEDSLGDIEIYDAQG -NKVKVSELPPLSDHSSDSHLTTITEESQRSSNYTDEVCKYSIQIHGDYTEALDIKLLEHSIDAQFVASPT -EYCIVGCSDCKQALAIDDLNSQFPQSPEPSIQSDEFDHIDVKIENSNYQLYITPDSDTIKQFDTWTDLPQ -CHITTKIDSLDISLPTIICAAIPSYAHIIYNTLKKRGFKQLTIHKHVIPNVPSTDNLEFHQYYKSFGKPR -FLDVLSSQTAYIHYKSATDEISYTGDMQSAITTYLNSHDLPYSINYRIMANHVWISPKYDNAPIEYKNVL -NGLDQCTHGNVAVKVATMTNGTYYNIVYTSSYVAIYFNDVLVKVLSKDTDSYVCEQLTLLAKSDLNTLDL -VAFYGVSRPSNFPYHWLLLAVLFFTVPFMYILGIVALMMITSFMYKFKYKIVNQAVQCYSNLRGCITTSI -GPHVRYNYNKISRVVDIAFASISSLFLVLIVRAIHYDTTVIKTNKPAYHTMFQTILSALHIIPSLNEYTQ -ALTLKSLCSGVLCRIGRPYNHHFLDEYVAYNTVKSMGATMSFIKTILSYTPITIWFKGFNLELWQLVLLN -LTFCIAIWYCTLASRPCCKATGPYCPRHANLTRTTFSYCVDGKQYYFQNTRTKFCTRHNWWCDHNGHHIL -PKPIANVIQDTYSIQNGAIKGDDYYQFINTSGTKPLPSIGKDYNSELVYHVNHLSYHTWTHLAAYYAFTT -GKIVKIATVDVSDCTNEPVSFTHNFKDFLSGLINIKYVEYVSRVKISDRNVMHINMFTQLTTQEQNAINS -YVSQTGDKLNFTNIEYDDTYDGMIPLEFDIPGPSIPFHTKIINIDSYGALVSDAVRKASQNSGFRMYKAR -DYRHITTKVWYCYFSAAFIAVLALIIALTPSIRYNGLYAGLNPSGLSCRTCPLYFHDTPIGEPVALAVGN -PIQAYLQHNGTYLFTKTRTVPSKTECTMPKYHLYQHSTPLECGGYFPTVVDLGFIQLTFMLHDGLYHTPH -GSYNIEDPTTCITLMGQTHCHITPMQLTPTKFTIYLTLLLAALIFVLYLYMRCLKIFGVYTYDVMQLCCI -HIAVVIAYWFMPLAAVMVLVAASFAVTIKPFIFYGYVFFVMSFICGCPIFVIALYFLIFATYYYYKYALS -NTGVSIGCDGVVFSSNYSAVATSTFFVNPTDLYKVSVATGKSIAEIVQLSKGNLSKPDCSLAYNLCKAHT -ENRTILYEGTVNSLPVRLQSIMLRVSDVVIPQATRNIVTIVENGEVVGHGIYTTPTTVLTARHVPTANSQ -IQFEGRTIPILKINEIGFNSTCITPPQSVKEVKMSTLEYSYKNNLSHFTTANGVRYHSIYMSPSGHIPFA -DTFPGESGSPIFSGNVLVGIHQGIVNQGGVHGIITDPFGIPYDPKFHDTHGTVGPVVLSANRHYESFNVN -KAPIDLVTFDKQLAEVTELYAAKPLFDTFDRTLYTGTQAVDLSDLIHHLKTHGIIKNLSLPSLQSFKSSY -FSLSFTNIFSMWLALCYILHYTFSDTAEIYDIVMAVTLGLTITAIFRFKNALFTITSGSYIINKIHVFIV -LYISSILNSNMENLHFNLYDGILIFFIFAFIVYKLYNSFLQTVTVVIGYGVYLCFYPFTAISLAYVIYII -IAPASLFTALSLYMLQTPFAYYWICVNLVLSLRIVYPKWLRNSYANLTCDVLLVPHQYLCNYVSLTGNYP -NYVQCFLATLFYDNDDVVKFRPQSSRFYINTILGLETKTNNVERKSAAMMSSDEDKQLNFLLEALYNISG -HQLQSKNFLDWVSTVTEIETLTTYLNQNGDSEDKDLKKNCNIVKSRIAFLEAQERKFLKQIELMHQDEVK -SLIRTENVLKLSGMLKNAVERLVESANLQYRKLGYGIIAASTARNPSVLSIMNKDADVSVIFGDDNVILF -NNEDDDSVSQPILFSALKNNAGDPITNESEYSNLKPSDYPLLAHLITPLPQLQANVGYVVDESNIKVTIN -GVSYKNKPILTFTDVPSDMIIMAANKQLYCKIENQCPPAVMLKICEAARHYQFIAQSLRLGGIPNATVHE -AISDLPLRTEGYITYVGESICQECCLGIASHNCKVSGKFVQLPSKYAANPMGYFTTHKPCIHNKFDHECE -KFAAQKAHGHHHQASKPKLTAAERYLALKQQLKNLISSVTDQVVTDLHPNGFQMKSWFQLDCSPEIDIEP -HYVSVNNQTLGIFKLHKMATTPLLINNEHYTIKALTKEAAEKEMKYFNRYKNSLPIPNHQVIDAPNNCIL -LRGPMHKNSIGDYVYKYFMAEESIDIDKGRPESLIEEQAKIRAIFTDKVFDNLATIYSNDHIVGVISLDN -IDCNGQLMDFGDYDDAFPPEHNLKQQAYADFCNWYSQFMLPPNKHFADWFPINFPDLVQKPWLEKCLALN -NNILNATSKSTELFVDTNAAITHSYNSQLFGYMKIDHEKIQKQNDILQNLYLLQDPAIRAVNPVLSASNT -ITHLKEQTVKPTDAKPVFYNVDTYEDLTNRGLDCDNALKYGYFQGSDANVRADFNYYTYQGNLFLQPHIL -KFLYERTLKDFATTATDARFGYEDCKPNKSSLGPAHDLLRGIKQDVVYQALPENIIDDIVNLAKETPLVF -TTKVSDKFALTAKPRARTIAACSMFASTLFRACHKPITKNFVKVAQEGDVHCLIGVSKFYHQFDAFIRKR -YGSLDKYNVFGSDYTKCDRSYPLIFRAMAAALLFELGDWDYNNYLFVNEIQAFMLDFVMVGNSMFQKPGG -TSSGDATTAFGNTLYNHMVHLYVQLQHLVTSPVDKKHSMWKAAAVQLWQTGNSENYSNYLDYYNSEFYRF -NFLSDDSFILTLKDPTIPNIFNTENFSRRLERLIHTTVDQSKAWQGDTIHEFCSAHIVEVNGVYQFVPDK -DRIIAALLITGKYPDVELDLLRTAALLSECAIYHRIDPAFWNILFKHFTKKFMDMKIKFGVSQLPDIMAD -ESFYLKMIEKDGESNVDILIDALGFAPKTALQKAASNTTVKTCYCCSNITVSVCMSCPVQYPLCAYCAYT -HYCQTKHLVTHLPKCTHPNCGENDPACVNFCSDAHGLYTLCEEHSVGFSLPTLDHNHAVLRIPYASSCVY -QHNDVSALSLTIENATKGDYFACDDTKSLNYNKILLIHHSNIANEYSQQLDVMCNYDVLDVANATVSIRT -INGQDPAYGFTTYCNILDSKGNLVIKCTVDPLGNGNYKLSFIEHTNRFVKFSKIRRTPHDQRLIPLTSFD -ILKNAHFILGPPGTGKTTYFINNYFNHCTAYNKVVYCAPTHKLIQDMDSSLGLRDDVSVITSKHNNRVYT -NKQNSTDANIFLGTINVLRPVRGSILLIDECSLLTPNQILEAAMSVQPSKIVIVGDPFQLSPVLPNPVFA -WSYNNFYLNQFIPRQNSSVLSCCYRCPSKIFDSFAAPYRKAGITFYPHAEGGEFNLIPTHFGYNKDRIDE -KLLLKLAEEYDVVLSNYKAASIFGVNQGYTNIITIDSAQGLTKKSVAVCLFGHTNFTKVINRLIVSFSRA -THKLTVHADKTICDYLEENLIVKNVLQHKEPSPSKVDLEYVSKHIAATGVCDIEFYHCKHQGLPNHLGLG -EITIYTSKFNTQFIRPRYIKHGIDEELDNKNIFTQGPWRYMMKKLPTPSQSETSVSLLLHHINLTTDLTD -SPFIFCLFNGRSDITALQQIISNHPSTCTFCNRDARFLTSHYPVCQQHVEQSDTLTHIVTPKFYDYVSHV -NLVNTHSQVCSINHGTAHLSNVDVIMTSCILGKQLESIVPMTEHIIGWNKVGYSTLDKYNRLYGQHLFYK -NCKVAHPHNPSPTLCPPSKTHNSDYILKPPAIPYSCHPTSNLHICTSCIQHYKEFLMTQAELLDLGYEYH -SSPILQKLNSRQRELKMQAELFSTDDGSMVVYVNNNFCPYYLSLDQTIKRLSYLGSYPLPKKSVLVGLGV -TCGYECVIPDLPCYDRLDEIKPWDIVLTYTELNIPNKQVVLSLGPPDKKHDWHSSPTAAVCLSRTNHPFV -NKSGRPSFWLRTVGYTVPQTLWSTGRIENDDHEQFEGEGIFNAHIDLGEWSNTSYTIGGNHMFPSAFKDT -QYKEVYPNDRLPTHTFDIVKGLGIKALCSVIDVKTNDFYALLHTMINEKTISLKSTIYIDFQPIPIMIWA -SEGTILTAYTQAGGRDVKNTYYLPKDDYVEWKPSPMIVPAEIPRPQGYHIANHKLNQTNNITKYVQICSY -MNDNIRLPPRPRVLDLGASSGCTHDYLPVGGIILEHFFNRPIDHYDIVPVHSRLNTKSGKYDLIISDIFV -PGEDAANNTPLLQQTINEHLGLGGTIIWKCTRRNFLEGICGIAMSFGELSFFTPRCNVDSTEVYVCFKYY -SGSATMPPPLDYDMLRTIAYIRSNYSLMVRDSEIPLQFKAKEHPIANVPIQLLPKMTEKMWKTGRFRCIT -G - ->YP_009142787.1 pp1a/b [Bovine nidovirus TCH5] -MACNMFFQKGRQEEPIRVRVVLCGKKYAPVNQLPRELVHLRSVIEEAVSQFSYFKEVEPSALTVLAKFMN -VPHPRSFEEFKEILAYEGYEIGRDWRHSHLCGLVSWGQEVWEKGLYLVSHGECVIFTIEQVPKAVRYEFY -PILAKGLSKDIPIAPRTSIEFYGFGCFDGLTAYTCYNQDGVEIRVGPFSRSKYGMCDLSEFFLKRTYEPR -DDPLKRVIRPGHVANCQMARKWYASQLVEYNPREFKAVPATWYSINRVEGHFAVFCDSCEADLLGSGYGF -RVNQMEVAFTTPECYKTLCQIEMLLKAVYSKPINMEKVYAGELLQQIKFEDIPSDLVDAVGQYENLHGHR -VLKQLGRGSYGCAFQVVTPESFQYCAKFVETGECDEEIVTQTKLAKVFEFVPQVYGSVSLGNCTVHKMEL -IRGTTLHKVCIDKYDAKDLFRQVVAAEKALYEHGVWHGDMHTNNIMVTRDGKIKVLDYGLSRAAASPDRS -KWLFNFLQDAYWKCTTGISGFAARKGWMIFSVLGLPYVGHNFEDHVDSPWTWLFFEKMFDVFQEVYNVPP -PPPEYKERLQLLQEALTGVESDIDAGFFMKVASRYLAVFANEEKADFPLYNGQCKVLDAEERRAPSKYET -SCYKMDAHSALGKLCVLNSYLEDACKIGRSEYDWWLATDKVPQGYKCGQTRNFAGRANAIYQELYEALNI -ANGRQLREIVKNDVMDFEEDIVYEHEFCLEADEEMLISDYSAAQRVAEMLDNGGVGRYLLNWGSLAEQAS -LMECDSLKSRPCDVSLRTVIMWSVPYEVKLDGRIDMEIDVFSMMTTRVNGADNQSLMEVDLTKDVFVKYA -EEVVYYIKEENVQSFNAVEYQHCEVVELMEYKAQGVKVELQTIFEFVGLEVVDSYVPMRRFKNDCFVLAA -REVLSLMGKFFTRASLDKLEQRFQKRQGDAIELIQRFAEFACGYISCDDCKRCDEPCHQFGFYEGCNNCK -LEFKQGGYALVYTNGVDSDGSCAVIKGVRRRVLVALCYVGNGTTGHWTACIQNEEGQWYDTYAKARIGSP -VNVKACIVSTLGHFNAAVVVPKGAKIVGQNVKVGYCQVNNPCNGDWSYVPAGKHYKALRGTLEIPPHMLD -EVFKPQVKQTKKNKGRKRRSRCKKSKSPAKVADLQKEDSESQKRDQAQKQDLAQVIPAQVMSATISECIS -STSVSDCCKDSWWSPPLSPALPALEEESFEQIAAVSAAGVDLSSTPELKMEEIRLTPVEVEAMQRNVVEA -QQEEPMQRNVGLKAQIEQVFEVDPKKEFNKLKRKVKQFVAKVGEKCECYKLVYGNQEDDFNGLLTDAIIY -GYEKFKCKEYMVVGSVIYFHEVKYREYREVIGELGKYAIEGKKMVGFRKKKDNKEIIVLKNVKYMYENGF -NSVVEDFECDVEYFYEDSFQWQNLMLAIPFCSSFTWISLFMLLLIGSTFVFRTPLSRVVEKCLVWLGAID -AKVRLTLPVYNKEGVRKFGFAVACAGFAAFWRSITVFRPSIDLNRGSTLGVFAILSWIGLLPSYEKYFTS -VSGYQACKGYGFYCNLIRPNNFYYLSEYKYYMKDFQLVYDYTIWYKWIVFFNPITIVFDVFQLVPTEFSV -LVNVVLAVVIAMRFKTKCCNGKQCCAKHCKSKGSVMFPVDGVVKHLPFEKRGWCSKHQWWCNNSTVHYLP -ENIAEAIRVQAELNYKLKGDKDYYKFVGFQSSVKLPIKFEDFDPECVYNVDDLAFNVFSARCAYFSYMSG -RCVKLSSVDGFKYVEQKVEMTKQFKEILGQFGNYFQDYANVAPVGKENVLHDSVYQALGEDKERFLNLVN -NAGLSFVISVSRYDDVYDGIVPSDIEVGKICYKMHYFNKVINLETFASDLHEAILKSANKLGYMIFKPKQ -QRKSMFNCMVYGIMAAVLLICSLNYLVSRGRLGEYAGVNPYGYSNPDKPMYVYSGDKFEGFVPISVGVAH -PSVQAWVSLNNGSVLFSSVKSSYVLTECTMQDTYEEVLMDCGYYQPAMVTIGPLKMMLMKAGKVYQTVFG -VVDAKEAAMCFGSVNNYFCINNIVSMSMHKFLLVTSMVFGCVVVFIIFVNKFYKFFGYYANEVAWLCFIH -IVSVGLYSVFPFALVFWLIGISLWLNKVFVTAYSLLMLAMVVGVNFVLAVVLSVLLVVFVSVFKKNPGNG -ARYTLDGLVFGNDFKEIAMSSFMVEPHHLGMVLASTGMTFAQLMAVSREQPNRKTTQLAQTLLRSNSQKV -NVPYDGSQASVALQSVFKRVFSATLNVAAVQNHCVIVYNDVIIGNGLLVDSCTVLTARHVYVEGCKVRYD -GRDIQVNSVEEVGFNIRLKVDKQSCKKIVYGDLNQCVSRSLTFYTGVSGVLKSFVVTLTPSGHVPFSATV -PGDSGSPVFYGDKFVGVHQGKFDKHGIITTVDGKCIDESFDDEKLTVGQIKFDGNLIVKNVMKGLPVCSS -EKARKTMVEFIDKLNEMNVVKADYVDCNKDFSGVECVIKEEYLVPYEDEIIFKTAKVAKVLKYAVLDYVM -AVSALISLITSMVVNFVSFNNIWYKIGSGVAFAVLFARRNQLLLFLMWIELQTNVWLLASLSVIALIRSG -VFWKQSLVVFLSCVGYYYIINDAYVACVLFVVVMSGGRPLFNAFIMAFVVSGYGDYILPVVLFISLRLKL -HKKIEALFNMLMTDNLYLSSGYCFEGETCEPNFFSALFSLFVYSGSVVEVTPQSKFYKCAVLAVSPTLNE -GAKFKAMLASDESLLNAHVVAAVERIAKQEIVQLQRWCDVENDLDKLYKWLDENPTECKERNAVEARVMY -LVAQRNKVLKHLEAMQREEVAKMVKSDSNNKLVGLLNNAVASLKEKADFRYKNIQNGTFAVSTSSVPQLL -VLTYRPKDMIVEENDGVFEFVFDESEDPSQVFIASCVKTNDGSIVKDLNEFNALKPSQFPVFCEFVNMQG -STGYNVDERNLRIDSDGIYIKNNKVAEFASNGDFVVGNHSVKLLGTIPPAYFSLVVKRLLMVPVLEAVRL -GGLANCEEHYAISSVPVRTNGFITYVGESICDDCRSKKDHECQYKGKFVQLPAGKQLKDVKLCKHNKFEC -KVCAKLQSVQVQSKQRIRNKFQEYVRLSKQSLNLLESVIGQVITDYEAPIGLIQRLYGVTVENPEQVSVH -YVCNYDKDAGIYKKVKTNTGHFKVGDDVYMIKVMDNVQKEVELAEKFAADGVKVVPHKIINLKGKYEVKC -LVRGPTTLQSLGDYVYSFLAGSCEYIKVADRADLTEYGQFQNELKALLQPCIEGLLSMRKAKIQVPVVLD -NIDMFGQWYDFEAAGCDKSYLEQAIGHFCQLYSMTGQPLNGVFDVNYEALIEGSWIDKVKAFNKNLLNCG -APVTEIFYDKDAAQTGGYYNPVYGYLGIDKELLGDQSHFIRCMYALQDPSYRYLIPVYNVSMSTAWHSGF -PREDQVFRQSFYDEELYEMFKKFGLDPDGALKYCYFQDDTAGVVKDVELYNYQGKLFLPDHILEYLYKSA -LQWFEPVKTDYRFGLAECKPRSSSLGPACPSLRGVKQDRVYGLAVEEDIKALMELSNKTPLAFCTKIVQK -FALTAKARARTVASCSMFASTLFRALHKPVTAKFVSEAQRPDSKFGCLIGVSKFYGHFDTFVRTRHGDLE -KYNVFGADYTKCDRSFPLVFRAATAAILFELGCYEPQGYNFVNEVNSFMLDFLECSGTVIQKTGGTSSGD -ATTAFSNSIYNYMVCAYTRLMYLVCNDVPIWMKPYKVAAVNAFLGYDIELMLQLNKDLDELYRFNFLSDD -SFILSDKKAPNIFTAEHFSAVLETLIFTQVDRSKSWEADGSIHEFCSSEIKNIDGMYQYVPDFDRLLASL -LINGKLLDDEMQLVRFAAILMEAAVYSKVAPMKWKQLFLVFKVKCDEFGKYGYMPLPPQMLEEQFYIEKL -VSDKDAELLEAALLGVQLESAASACVACGNPTASKCLQCPVQVNLCAYCAYEHVEQTGHTQSFVVCCNFC -GDENVFNLGACYMGRLVTTCASCSPGYFVSYVDNVNKKLLLPYHDVCLKRPSVVSALEKEFKGFECNGSD -KFMSLYLQQQCFLMDLMMEEKDVYKYDLLENGNVKFEKDPSFGFTTYCDLYNKKNNVVARLTLDPVGNGE -YKVFFPDKFIDLNKFECLGRTQHKNALVNVDFNLLREAVFIWGPPGTGKTTFIKREYFSKATLMEPVYYV -APTHALVQDIEAECEGVVHIAKGNNRVYKNPVDGQASVYFSTCGAARVCANSILIVDEVSLLTPYVLFKV -LAQVKPKKVVFLGDPYQLAPVTPFTDFCWSLDKFWLFNFVMAYNVKKLEVCYRCPSNIMSAFSKIYLDAG -VALRANEEGGKFEIREISLMYDCGADFIRQVAAGVDIVLCNYKNPVVLGKSLGLNIGTIDSSQGATYNKV -AVLLLGSSKFTKVLNRLVVALSRAKKELIVYCCPEIMAFGHEVFGWPMLENEKPVYKMIDYEEVDVKASG -VCDIEFFHVHGKEKNYMGAGNVGLMTSTQWCGYLRPVLPEGSFKDSAVYVPKQWRYMMRYLPNEEKSEKA -VNALLWHIVNTTDLSDNRFTFILWNGKSDIEALKPHFIEEGVCSCGKAAVVFSKEKGYCCQQHVSGFLVK -LVCPNILDMRGGKLEVEHNMICRASHGMAHEVMADVNMTACIFVARMQFKSYVXEDKCVKCYYSPFDVNN -RLYGNVLLYNNAKVDDVFIPVNEVSVENKVHCDEIVYNPKVKGCGNGIYCCKNCHESYLRGKEMMDDMTK -YGFQVELQSMFVMDKGKQKYIIVEDYDKWCKKTAIYKVVGDVEEFKQKINREQKIPLPLKKDCDKVGIKA -VYGFSHPELPEVDEPGKGVLGVVDGCEFKLAVADSHKNDYIVGLNFSVNPYDNYGYKVFKNGEVVLQAGG -LDNKNVVINEDYFVWHPTPCLHEGPLTAVNAVYNFDKTVSPQASFEVQILRFLTAEVKLPANCSYGGDRS -SIYDYYFKNYKEDGADIYISKECMVDKVKKGGCCVWICEGEFKMPVHSFGEVKYFTPRIAGGADVVCVCF -MYKGLIKNDGGVQFDVYRAIRNYRSTFVAYVRSSSISPGDLVKPSSVLRVAECASGFLSDSYWKSGRFIQ - ->YP_009130641.1 replicase polyprotein 1ab [Chinook salmon bafinivirus] -MPNMRIRKIAPKAAVKPTHSGPRVVSNPASCHIYIGPQLRAHNYPSVLKGSFKAASEETITAINLIATVR -KDAGISGQYAGKMGYDPEMGLFXSVEGVRALQASKLQTALEATKKGTRPFGLFRPKQRVTNTHAQNLLAL -HRASSTGPWTKHLPSQGPIGNCLAEFMQEYTTTIPPFDSVLTENGWQYAVTIDGVTKSRLPYTEIRRGGY -EFTTTNYHIGAIQVTANHIGKVNPGDTELDCQYFMLTRNQKPQNFTAEQLEGNIHNIIFDLSHETGKPKL -YGMEEATKFRVTTTALKPTQFNPAPPLDKRPLNIRVPMARVSVQMSHSALQAAVQRQKADTTPNSCVRRL -NTAVAQALHGSDTTCVTPHNNHLGIMNTYTPIHGTEYNDIHNYIWAEVIYAGKYQTRNLSFFASYYNHHV -DIHTCLRGVYDYEELLDVSGLPYGAKFYHLIYDFNKIGLKTRTIGETRQWAGTTPKTPKKKLRLVAMTST -PSATPSTAHLAPPTTTRTPLRTHISIGPHNCTIIDINKAQFAFDNYTSQLPNSCVHRANKAVCAGLNADL -LSTHFNHLSKVETSKGTYQWVHFNPKTTAPAIQFYVLQFQNHVYLRLLPMPMNLSFRTICDIETAHGQPH -PTYTSPDWRVYYLAKYDPTKVLRVQVVDWAGSDTITEDHVTLFPRRHIMTKVLKNDQTKVNYIDPTKDAA -TTPFTIETVEGGKVEVLSANVIRQINIETGRGKKRKTAKLDVKISIRVKATKTRTTIHVFITQAKDESKI -VTPTSEMQGVVDVLISRACVLSQHAGPDMTYELHTPDGSCTNPLVQFFEEEGEVPEDVYDLFPPVERNAE -GLVMCMPEGFALISGCIPHPLAYYADKYGQTLVPEFKVTRTHVERGPIPPSRSIYYTFIPINVDSIPPFG -HTYKSLAKLNTFNLGWEDQDMLDNADTLLPCLLENENMKLYLTWKIIARDFSDNTTETALTTYTDFTTSD -FTPANQTGSSSQSLSSPPLSQLSSHKSLANLSEIGSIDNIPENTPETIQEEEDIEEEVTSPVQLTPNTLQ -EQATLNESIQSLVESVDSDVPYTWEQRVEESEVATIDLQAVELDQTPAPTTIQGHNFSLLLTGNTIGCHN -SIIKLLGETTQTLTETSDQISHFTLAFCPIVSRAGTDIQAAQDKIDTLSKIKPAIIIVLHHTFDVNMVTP -ESSTAITRSNTHAVDMLFHEDQGLLQCQKNYNALAKVADLIHLETPPKTSWLGYFQSLITWPTKVKTPTT -PTPTTLSIEAVQEWVNATSPDLATVDWNSAITEQETTVTSLIEEISLRPNTNNTGYNLFKPNQRNNNSPS -DYLSRTTSATKHCLINGLTSGSTILEVGCGYGKEFHKYKNSNIKVVALEPHHERYTEAAKKLPPNSTIYN -KTLEEYNQIVIKEQIDALVSFNAIHYSTVTEALKACNLAKDQVVILTPNHDKLKALHDSNTTITNYKIEL -ANNKFTFTIGQNTYSENYFSLQDFMIGMHASNFMLSSRSCTLLEHVNTFNAHLLIDATTETTTLLDAYEV -LVFIKFRPLLLTYSNTALATNNSRTIRSALSRLINFRVAPSKTTSQVHVHILTRNDHVTTEQEHLDLANI -EIAHMNPKPAIIFVTSSRSRHNYTTPTVFFDFATLSAPTLPEQLKPLTDLALAIQHTATKTPTTVLTTAP -EDPKTLPTAPTSFTKPSRKRYHCFHDLTTLPVNKNFILVNAGNPHLKSGGGITGAVYNRYGQGFMQTNNS -MHATQLPLEVGDVLEYPSDRNNLINVTAPCINIKHADYKGPQPAHALLATYNAIINYVERKYDNGDEKHV -FIPLLGAGYYGWSQTQSLQAFLSATDNSFRNTYVLLLHPSSVDVETAEVFAKMLATTNPHYYHVEGIAKV -NANSCVLRAHIDLARKTSYPQPSLGLIGAYWMYTCPTLAAPLIQAGMHGPNTWTPGSFIDTSIAEMTARA -YKINLVCDGCFTDIINFNPDQGLICNTCKTPVTLRTNYYRPRLPHMIKNSHGQPIPNITLDGVYHMATHQ -LFLNSVLFKDSHAFTINNLSECDLPTTTAVATYFYKPSDALNFFLNFNSALSAKVTYTPEQITQAQTAPQ -LTTDDDYSDLDIDTIKPTPTTLPRAPTPLSISDSSEDISLSDDTRTVASHHASQMSISADTTSDRYSSTN -SLAISEDITFQTGDGETTTSLLTDSVLYYSVVTVLQLRKAEDCVEPDVETINLRPNSSLHTLTHDTNAID -QLYIQVASDASNHTVINNTTLESISKTMCVKNSLYTLLTNSKCISHHIIVTGYDQHANETHTIKSVTRQA -MLAIQPLSQLTSTHVYSTLEEDISTIFQTVVLEHVNHTKLFTYNTKLHYATTVPTNLLIDGTIEDVQGKL -REVDLGIVVPYHCEVDEQIEYTNAIGDVLYQNTNHHSPLVTFVSIDKSFTKRIFNSLKFQTLQNLWLYLI -TLQVFFTVKGIGFGFSSTEPNTQLMNGLWIQYGIFYFQVHLQTLITHPVKNGYRLLSLPIMLYNKGKRFT -ERSVQTMTGEIVNTRKPSLSNAVQKYGINTRLEYVDIFDLLHYTPGYIAFNIALFYLFAGNGYITFLAYI -IQFFTARDIYRLSKHLYTVSKLTTFLAKFKTATTRHVLYATNQVNNRSLHLTLGSTTIDLLSFTSKAAFL -ALCSSFISWHFGLALAGGHSGEDYSQPPYHAVFQHALRTFGLIPPKEHYYSYPSLASACADSSAPLCFLG -TPFNFHFPSSYTQAASMTTEHVVPFWIQLIILAPPSLFLVVIPWLITMFISPVLTFNQLLLPALAVHFLA -IYVIYLYYFKKSCCHSHTCLKHAKLDRPIQIKGLFDLSMYFNHNKLCPRHNFYCNNADEPGHTLGPVLAA -HITEFYHLKPGTIKPDVALVHTEHHASLSRKELKKHDDPTDCVWTAPQGAADTLVYEAYSHLKGVQIRID -ANTDTTTRSMPIPMGAKTTSSPATGVINTKVLGHLKRHCKKPALQSYLSGYVGAPNAKTTIMPITFFKHL -PEGLKKYAIKNITFSTNMLTDNSNSGFIPEGIHNPNNLPTKTFLVKSIDQTIFDQLHPETQAMLKDEYHI -KLHDITSQFHEVIASVMSYESFIFALIIVLSVFLGFISYSSTITSTTSLHAGLNPTMRGSTTGKPFIHPV -TYPNSILIPLTSSQYNVFRLQNGTLYFTSQITAPTCTVKLPNPYIGLTTEKFYECSSALLHMPFMVHLGG -VKIAYLRAGVAYNMPTYSETPQTSKLCVLTALSKTKCFNILPGGFHTPVLAILVSTCALLATVLFYLYLL -QIFKFYTNSVLMVVAIQIATIFLFMLSPALALTLQLVCLMYLYHNWMVAMINIANLAVLAHTTFGMVLIF -IYALYRLYKVYQVTSTAAVTINATGEMRFHGTLDEVALCTFPISSASVYQIMSDLSLDYIKLASYKTSYN -RATRNLVNMMQRYILDTSIPAILYDGSVTTGIMQQSLVRLKSLVTTVYKDNYSNICKISSTTHSRSTFCV -GTFLNPTTVITCSHGILANSTITVSHKSTIYEATIESQRNDITILKLTTPNSAITHTIECHSPNDADLEN -MQINYTQFVTFADKDHSDAIAMNNTTYLPSGHFFAIGTESGESGSPYFFNNKLVGIHYGVNTCDQFMLAS -KPDGSYYIPEIQHNISTQPSFSLSCIAHGRKSVTAKNTPTLHDAINKALAQTTRSAYTNDLTTEHTPEQL -QAVLDFLTDPLNKSGPKTLHNYMATSIQVQSSTTAQSAHIDVHKPIRQAATLYYLMVIIFDIIAFFLTPD -SDFSNQLVSMTAYLAAWFLNKTCFYNTHVLYTVTTCFQLCKYFNIVYLFTIIQSYKPIEMSMMDKQYDFL -CAIIVALLLSPILVLIWKRLCNFTWHYLVAHLVVGCVLCIHVFFSYRSFTSSTGGLLTFSEFAFNSPLTA -VITATVDESPVSVIVYPLLQHVISATLILAIVPSPLLAATVYSFYLIDQDVLCLLIFLLLTSKLTPLFLR -PLTTFVSYDVVILSPSAYAQWLMAKSEGKEYGLFFAVIQSVFDFTSQHEVELTLEAQEITQQVRVEVHNT -EPKDTKDDTCYITPTTIINPTLIRTDNYFYTNTKKVICEAPIELSDKAKEIVAKQLDAIPHPDAETTDLE -VATLIDCTTTTKQSEVKEIEVDDLTHAYLLALIKATCIESGLPIPVMNYISSQTQIDSVMIHYFVKAANK -LLLKDQELPLITAQSDTSTIQETLEALKLKDTTLMNPQELKAHRKQINILKAEQERQLSMERKLNAFLDT -MHQRAVAEKNRSEVHSKVSAALRMHLSKVANAAHCDMETTDGVITLCSAFSIRSVCITQNTADVSIYYSQ -STDTYSIITTTTVFTAYNPMNANGQPIIPTTDPYNPIISFPVIFSLKHREEKSEIEQQANVGYSERAIKI -TTTEHATGTVLMIDNITSVIPATKTDARFTVNGKGYKIVDNAVAHKARANMSTILAVLRKSTISAQAAVV -RIGGARYQTSHQAISFTPFQTPGYVTYAGICVCQRCTLREQHECHYAGNFVQIPTNISTQGLNKIYELTS -IGPCKHNQFECTTCQPDLITKAPGQVLVYFTAHAQTHYKQIVTQICKFYQVKQCNSQKHADFTIHIIPHG -HSTDTTHPNTTVLVVRKHLVDPDPHPPHIGISSTLTGEELITLDRLLQPAVEPQTKSFLNLLERVVGVSL -PSASDPYTSGSYKPIPRIFYINVATRNDTKLDKVSKLNTWSMETPSGIIMVKNRDASKEFDIATNLPKSL -PILTHKLMTYNRDNLLIRYYTTPYSLGDLVYAYQLGDFEHLLLAAGLSPNPAQLLDPGNYTQYQQTKEHL -RQIIYRSNENLHKIIHYFTTTDKYLPLTLDNIDQNGYLYDFGDYPAKSPVNNHHVLESWTQFCVFCEVNL -AELPGFNLYTQTHSTPQIIKSRQDLVSGSYIDKLLALNHLIAANPEAPSTASTPFFNASAATTDMVGLHS -PLFGYHEVNNDLIRSKLSPTEVDFIRSQDSANYAKPNVISIGNTISYYGKRITTKAAQRKPQYDLDMLKR -LESIGISTLATTSYHYQVADDEQCVQDFKYYDYNSPKTYDPNFLKAIYHYMQDIFSIYKTDVSLNHETGQ -PRPSSMGLGITGFTQKAVWQSLPPDFGPRMLEVAKETLLPFTTKVIAKYARTKKARARTVGASSFVASTV -FRFLHKPVTMRMVDQAQNNIGPLLIGVSKFNGGFDKYIRTQHPNGIEHYNVMGADYTKCDRSFPLINRAF -AAALFYDLGGLPSDNMWFINEISAFMTDFCNISGHTFNKPGGTTSGDSTTAFSNSIYNYFVHLTVQFLTF -LSADVPETYKHLQGAAHRAYSTGTLEDYNTYFELVHDLNCTEYFFHFLSDDSFIFSTQEAFPIFTTLNFS -TKLQSILGCTVDVTKAWAASGHIEEFCSSHIEEIDGVYQYIPDPNNMIAGLYMSGEPVPVDKTIWKLVAT -LSELAIFQHKDPSLFNALYQLLQNMHSEYVTQHNHALLPLQLLNKKFYTDLLQPGFDTETTFLTDLLTSV -GVKLQASNDSQCYFCDNPTISTCADCVVPYPMCALCAYTHWVQTDHAPSQVPPCVSCGCVNPGKLYHCFT -GSVKICCQDHVSGLSLPLVDHAKKVIRIPLFQNCERQRSLVHAITHTALFDDNDEPLNTATFSKYDPEQT -KEENFVRILNDCYMLEAHQAHQNPAYTYEVTEPGTIVVSQPPSTAYGNCVYAEILDDKNRVVLKVTLDTK -SVLTPTVYHVTSCRGTLYTRYNKIRRVQHKPSIVPRSTLAYFNNAQFIIGPPGTGKTTFVIKNYIDRAEP -TNKIAYIAPTHALVQAMDQAIFEAHGYAASVNIVKSELNNKTYNYKANMPGCDIMLGTPGAVSAHTGCTM -IFDEMSLVQLNTAMTAIARVKPSKLILLGDPFQLGPVVHFRNFQYNYEDFPYLHFAPTITSLRVCFRCPT -QIFNVWSHYYTKHDIPLNAFKDGGSFEIIKHHSCARVSETAHPLVTRLSEENPDSMVLCNYKAPVSCLEN -ALTIDSSQGRTYRDVIVVLLGNTNFTRVPNRFIVAASRATHSLKVYLSEEMYDHYSTLFNWPQLETPQIQ -PQNAFTTPNNLEETNLTMAANNISSLVICDIEFFHQVRSLEPKVCTLEVGDITIHTSTSAKQIIVPRVSS -TSSEIFPKYFAHNMPTLTLPKPTPKGDWDYMKPHRGISRRINIDRTHKLFSHMACTTTGTPIYVLYGARN -DILALSKLNIVGDYKCFCNLPANYFTLDAQDNIKYYCTQHAKPAAPLAGTINAKYIDIQTEKITLAKRHA -EICDHHHGAAHTSDVDTIMTGCILGNELTLATQHLSQLLFTEPFSHYSSVISPDMHLTVSTRPCRTLGQL -IITNINGKNYRFSIQPHLDLKTHFFNIVAKQGHSSVLVNVPETVPTCTKIRGETCATCYNTWLILQELHA -ELANTGLALVNPLQRQYTQRETQILTKVMSVTPTSTGKIMVHLDNTLSFPFLIDLPTSIQTYCNKIDKPI -PNPAIFTSLKITHTYGFGTPFVPMTNNPLIPSILSNQKLADNPDYYYITHQPSNSELPFMTSSPTAGFYC -YDTPLINFSERTINKPPYYITRIVRGQLQKPDIGLFSTNRLFTNQYVPLPNEQQAYEDFGKHVSLGETTA -TSWTIGGMHLLPAFQTTTNWQITSEHTPILSITIARDRGVKLGTTALDVPADQLLELIDYKNIIVSKVIA -IKIDGQKVNMMVFTQNGKIQTAYPVQQAKMHDVTKHHVTPDYIIWPKIFSDSPVDKWDIPNYNQPPKGLT -SNVNIHKYDQMCAFFDKHLTIPTNGHVHHLGHAGQVYSPGDIVLKGYFTKARLTSYDLRDCISTSETAHP -DDNWRAHFILSDAYCPNTDFTSLCKDYMTKHLRLGGSMLWKMTETCNIDAESILPYFGSFTFVTFAVNYS -SSETYAFLKGYMGEPNYNTTLPANIMGQLGAYRQNLLYVPYASEYTGTRVYKDSGAVKVIPAMYADRLNT -SHYALGCIYST - ->YP_009052475.1 pp1ab [Ball python nidovirus 1] -MVLIVPLAYTNRPPICKPRRYSVLPSYHHKYGSDMIKRNIYYRGTDITPAPMSRVARGNYIQSVQDQPNT -KKNKKITFNENVKVVEITNNKESHVMADNQTASNAQPKVHAAHNSAPNFAPGKVANDSAPQKKTFAQVAR -NSEVQAASNSAPQEEEKFFFVAAIKRRGRRSAKTKAEAEVSPVKETEESAQKATPNFEPENAHVKETALK -ATPNFTVTTIPINKISYAAVMANAVNATNNTKEATAAAVANAVNANLGEGTPVVPAGIPKGKRTSDSSYE -LQATNPSVATPVLVNEASEDVEVAKVEEPTSIAHEQQHKTAPTPSSSNTTPNYAAAVRAKAKSATTAVAT -TSSNVITSKAGTATAKAGTPTTAQQPQLQAAIINSYAAAVRAKTTQSSNAMTSTVGTPTSTCGAMTPNEN -RIVATVDELLSSNTAAIVTKNKKESGAQLDGNTAASSLKKIITSGEHHDTKAEQEASMQNKLGPVVKDYL -SSLVSLFNKTPTEEPEKDIAEAIVSLAKSYAVAVTDITTSTNTYGKKTDGTSVSSNQGSKQQLNYQHSNH -NTKFLCETLNEITATTTVHGFIQPHDFAYSTNCSSVTVSNHHLQAACSQVSPSTHQCVNTARELILSALT -DITQEEYNVLKFTEVTNFAGLKGILPRGYTFNATQHVNNFTIAIWKHNELPITHVAVLNTDSVSTVIYET -KDNEVNLSEFSEPHLYELVKINQESTERTTSTKYEEIGRNNLFHSPTALPRDYIQVPTFCTKANIKVKPK -SKILDLKTAYQQFKKELKDLSMTVPFNPEESQKTSIYSQFDNDYRHFNGEFVTSGVGNQHLTTVTDVTDN -ETVRSLDMAIKTASSRLEVRQLKHHVKVSRKVENKIIKGTNIWSPLKVTETVRLGKMCNKNHNFECKKQI -EEKLCIMCKHQWYVQRTGGVFDTEIGQTLVHMQLCVVCAIEFTDYECDCEKQNIEVCAFKRSELNQHQLE -EFKKNKDIQFRKTQQNQQQRNERNDFKEIQESEDLPQHFFHHNHLALRGAKKVVRLGKYSFVKVYDKIWD -LPAFGSPSSQQQQQQQQQSKQQQQQQQQQQQQQPGYQRQQQPRQQCQQQPRQQQTGQQRQQQPRQQWPQQ -KQQYQRRYPRQQWQQQQGQPGQQQQQQPRQQWQQQQGQPGQQYQQQQQWQQQQSQQPQENNYQKMQQQQQ -QQQQEEIIKNLKLKLQQQEEMNGKLLKQQEEMNKNLENMQKQMQQQMQQQQQMQMMYMQQMQQMQNQMQQ -QNQQQMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVQSQAQRQQQQKQQQEIVQPQGQAQRQ -QQKQQRDQQQQQQQQHHEQQDQPQQMDQEQASNYINDLTTSQWFETVTTEMSRHYRKNAICRHNLYGKCR -FGSKCKMAHISLNGKNGPLPTQEQQEQLQATKLLPCRNYLQGYCSYGDKCNNLHSYININRVNQELNKGN -LFLFVVPKGFKTNYVIVSEQQMQLQQQLQKLQAVNDSKQSQQQQQQEEQTKHQQPAQQKQQQLHEQQEQE -PEQLQQGTQQRQEQQVQEQKPMQQELLQQQQVVDQKQLQQEFQNKIKTQQEELQKKKDLKKEERRQQREL -AYKKYDQQQSKESLSQNSQESKDQESMKSQKDQECTSQEGHESSKSQASQESQKSQASQKSQKSQASQKS -QKSQASQKKESEKTKESSKQERQQEVLQQQQVQQQAQQQQQVPQTQQQQHEQLQVQPESQQQQAIQQQET -QQEQAQQDEVQATPNTPQPQRQQYQPKVIDLTKGIPLNLKKPDDECKTCQDATKYLVKQGLRRVVTIFER -NSGKQMEKFAIVVALQGHYGIFESGNPRELYNGEVYNQVLSSSVEAYFISNPQRQQQQPQQTEDKLRQQQ -KQQENEQKQQGNNLQLQQQKQESNNLHRQQCPQGAKKQQQQPQQQQDSNNQQQERQQEEMQQRQQQQQPQ -VGESKFEITNIEKLSPLTEVSSGSFTSTSDTDNDADNNILQQNVNQAVKYQNFVKEFRNAQANFKYLPPK -YKDKLVQYKKHKFDILYASKFVEMEPNQTKRYHNENFVFCKEEGGVLYGYYHSDTSEDVYEGVDFPCIVY -REVLQSVDCYVSKRSEFIYHKNDIFTSSVNLPLQYKVETHIWPASKCRAEGIKIDKKTPLNTKVIVCYRY -CQLVEGKNTFQRHVKDLLEQHSSQAGDDVTVITDPLRIAKSQVSLLLKLMDNHLRHGVHMSINNYLNCQS -TEFALVFNNFDFSITKFALAQYQLCANGNELEPDLHGVFIKQLCSQVNFKYVAPDELTSSNYGKVILNII -KQNVVRVDNKIPYEFDMPDSLEVKPQVDDIDYEKLIGRGAYGRVFGSKSGKFVFKLQGLQSSQYEHKILN -LVKHLNVPQVVEHHEFQEQGVGIIKMKALELKTLDVLKVDENQDLTTRQDMLMQCLEHLEQVLKVGIVQN -DYHMANMAWTSEGRLIVLDWGIAKTRKEGQEQEFKAIVYAWYVNLIIDVLANIFLDYYDDVYYITKQKDL -LCYFEWFMDEELFSKTHELFGDEYHDMLHHPPVQEDEEEEESDWESEYSDNQELSEDQQVQEVEYGQPQQ -QCQEVKPQEQFQEEKPQQVKPQEKPQEVKPQEKLHQEEKPQQVKPQEKPQEVKPQEKLQQEEKPQQVKPQ -EKPQEVKPQEKLQQEEKPQQVKPKEKPQEVKPQEQLQEEKPQQVKPKEKPQEVKPQEQFQKEKNQEVKPQ -EKSQDIKPFEQVQSLTIATQQPSNHTNTSSLLTLTQHKINCVNNEINQVQQQLTRLKKGKAKAQKATLKA -KLEKLNSKKSELEKQLNGKSRTSKSPKKADQQATSNKPQQREQVQHKQLNDIPNVNSGMTKVEQQAIDWF -EMTTSPASSRASSSSGPSAATANEQSTTAKPSHKHATVAITTSKPAPATTVQHRLSELQKMVFSLKQVDT -SLLDKHQLSCHNKVLQECFEQIQQLAHEVDSQGTSNSSESSSLLPSQSYHEVKAPSQPSRDILATSRQKR -PKVFCIREVSDIDIKVSTTATSCKPGVNRCFIYAAEAALATLGQYFTQETLNSLYKLADKGQHDASEVIA -RSGLPIIQTAKCILHGTCELMTPCCSNVVPNTTRTNILVKEHIASTSAYGLTTLEPLAYLHYSGNALAGH -WKCSYNTAQGVKKMKDSGVTSVINKHPKATWTLCKVAFNTELALTNDDITSNENVTIISQNINLVASMLT -VHGDPVYHDATTLAIVRDKDTITVITPDAVANHDFTASSNVYCLEYHLQVSKKLKASKCQVKEPQAPVSK -FELNNKNKDSTIKVMQRNLRQELTKYYRNNLTTGGPIKTLVVGIGKGNDAPHYAAANGGNGIVYDGCDIS -NEALNICATKVPSTTTLHQSDINTRQFDILCKKYDLLVSTFSWHFKDKIKCGKHHEFHIIPVYNPDTYDT -WSQYYDVTVHSKDDTSITHTINMPTCRTTETLHTIKWWYQQYCSNITIKSLQTEFNSSNRHFSNFIVVIH -TGHKTSSTSTKTTATTRSSLVKGNHSASRSSSVSSMSDSTTSNTTVTASSEQAISNQVKVPQLPADNHDC -NTMQPSDADDEKTEFEQCQSRGNIPITIHSCESSDFTDTVYATRPENVNAFFCREFCTSCFVHLPKNAVV -DRQLLTNAQVYLKWSGISINELNYQLISTLHKREVICLDHLEPAPVATDDNWVIRRTTTKPVKVLYMSHD -VYKTIDSTQAAIFHRDFDIFTHQMSRFTKRPVQSVVIHTSSKDEAESICNTLEHYNISNIKIAEHRVGQF -ETLAFNRKTCQYESLQINKKRAGIWEQIKSHCYDIKKPYLIEWNDITDECSHEDKYKAIASFSDKHGLDS -YQRLIGADKCVFVDYKTSTDTNPDKLDDYYRSRHATETGKEVVYTAVFKLPPTDNNESRTVFAALAVTKD -GKSLYINGDKTQIPASDINKALYHIMQAKYGNNTKIIGEVYSKFFSPEKEDAAAYSYKDLFTILPFLLFV -LQPGFFTFALSLAIMAFFLFDRQALRNFATTTHQLINTVLEYETAAAMSYCRLGDYRVTKYILATANSVI -CLYLVLYTYWSCVSNHDLIDTTLPPYHSYVQRILAFLDYIPSVHEYANAVTLRELCGSNIICRLGSPYNK -LYLDQYKHYVTNKSHIFRDKLMSMFSFYTPWGMLMYCLDFKPSVHLVCYNILMTVFIAYLSFRRFFTCCK -ASGPFCAKHAMLRTNNIQYYCSGKPHNIQAIKVKYCTKHKWWCNTTQEHLLPHPIARVIEASTQMKSNFI -KSDNHFKYVSQIPDRELPDNFKDYNHNFVYSTKNLSYLEWTQRAALFAYCSSTKVKISSYDSGSITNQKV -EMTQQMLDYFKTNEDWKYNYVAAQQAGRRNILHVGFLESMTEQELSEFYEFCCQYDQSYTKTCIKRDNFL -NGKVPDCFKSSRLLSTKYHSDTIYLDSFAVEHHDDIKQQASQHHFLVSQGKPKKYINSKRNIFIALAVLL -AIALTRVAYRKVVKINTPAGLNPTGKDYAKGTLYLHENIIATPVAYGNNRRVQAWHFSNGTFAFTEKRST -LIAKTSCGEFQNSFLQIETYHQSCDQYWPLAINFFKLSIYWFQADKAYTTRHGDYQSQEGAICFGLSDSL -VCHETMSIYTPAAFVWITSSVVLCFVLSIFCYIKMRGCFGNYTSSVLILMTVHAITVVLYLFVPGLAFVF -VIALFFIPAYRLVIGTYSFVVCSMFLGLNLVVLFGLYTIVFLTIFWFSRNQTNDVEYTPSGVVFSSNFSG -IAKNAFLLTPENIPTIISVTGKNYAQLLEMSTGPQLKPETALANAILKCSITNTKMLYEPPKSTRLPVYL -QSKLNRLSDIVVNSAQLTNICGIHDGTGLIGHGIFTTPTTVLTARHCYTTAAQVFYRGQLLQVKDHHEVG -FNTIFTVDKQDNIKPLAIDNHHELELGTQYTHVVSPLDDQATVTVHQLYPTPSGHFAHASTIAGESGSPI -FYHNKLVGIHQAMVKSKEHTGAHAIACRVDGTPFDSSFHDTLMAAGKIQFDGNALLNHYLTTECRKAISV -RDFNNQITEANRLISNYSHISIINDPVLLQAEPRDLKPLMEFLKDSPVIREHHCKPYKMGNDIKLQTRIS -QRIIVHCTLSNMLSFCMTLTYFLTTAIYGTLTLKNFIDLLLAGFMLTVVFRSRHVVFLLTTGAYFVNMLE -LFLYVCHTNIQVIRNIFSSNDEIYAHVVQTVVRFGLQDALLCTVILIVMMLKFILLPLRCVIFTIIYWTL -VYCFGVVNFYTIAVFVFSFANTSSWFTCLTLFLQNTIYFPVWFTLNIVLSMRIGLPKWVINRYHQITSDS -VRVNRGYFCHHLATYQRPPSFFEVLISQLFYSQDDVIEYIPQSKIVPYSVVGSATHYPNVNAKSLALMSG -SDSKTLYAHFISAVEAVLQSTTAADQQAFMEWCATTCDQETLEKWLEDNPEDIQNKLRTKRRNIIHARIM -FLKAKEEKLRKQINLMQLEQVRGMMRSELSIKLCDVLNRSVAEMQEKANLRTKTFGKGIIAASTLTVPEV -LVVTNTNGRDKISWDDESECFCFEFEEAIYHIAELNTNTGTAIKTEAELNALTATNFPLYGKLHDFSFDG -AINQANIGYTIKLHQIKINRSSSGIKIEYAASSDKATKPILEEVQEANDNTVLLVADGILRPFKVNSSVS -APVLAAVMTKLRLDSPELQAIKLGGLENVTEHVATSNQPLRCRGYTTYFAPSLCKYCRTNIEHKCKYQQF -VQIPVNEDPDKYLSSHDICQHNKFNCDTCHGKVTIQARPSTTVKPADKLRELRKLAKNLVSSAVKQVTGD -YNLVFKQVSDILQQPELYRTVRDDNCQDEQGRWVNVCQLDCGIKRLNKCRTTSIQINNRNHMLKTGTEED -LRHEYNQYLALRDLIAMPEHKLIQLENGSYILIRGPVTEKSLGDLVYSHLHNQTEDAVEIPDKGKPEASE -QLANELAQQLEPAFDNLDKLRDWCYKYDVQLPITLDNIDLDGQLYDFGDMGTSSHNIDIALSDLMRLWSL -TNRAAPKVVKKWFPVKYNLHECGWLEKVLQINNNLLHASNTNTEIFIDATSNVTRMYHNEVTGTHTIDAQ -QLDSCSNYLQNLYYLQDPGLHWRKPILTIGDRVNFAATTDNSEAASNRPVYYNEDAFNFFESRLGNIDSV -LDYTYFQGDNNDCVNDFLFYDYQGRLFLQPHIIKFLYERTRKDFSSCATDVRFSKLECTPRKSSLGPSHM -LLRSYKQNQVYEAAPDNFIEELVNLSHHSAMIFSTKCVQKFALTAKPRARTIAACSMIASTLFRALHKPV -TANFVTQTQKAGTEIHHLIGVTKFRGGFHNWFTSRHGDISNWKIFGSDYTKCDRSFPLVFRSMAAALLFD -LGGWDPHSYHFINEAHAFMFDMVHLDGKIVYKPGGTSSGDATTAFANTLYNHGVHLLVQLQTLVTQQVHN -NHLALKTAAVKGFQTGDFTDYDQMLDVYNKKHYKFNFLSDDSFILTNIHDATLPDIYNKHNFSKHLETII -HTKVDQNKAWESEGDLHEFCSSTVKPCNGILQYIPDKNRLLAALCIEGKASTAELRVVRTAAILAEAVIY -SQVDVNFWRVLWEYFENQLADFIEHYGVLPIPDKMTEVEFYYGLIDPTKSPTDLEIFQAVLADWGIQDIE -TQSKNQVQQQCYTCHNPTVSTCIDCPVAYPLCCYCACTHYHETGHKVTHLPVCSHPGCGMSDPEQMNFAL -SNGQFTIRCNEHNTEFSIKVYDNKTQSFRLPLNQYCVKQDSTVKNISKTIDNFTEGNFFGWDVNENSHSN -MVRLVHESYLNDQYSIEQDVIYDYTVLDIKNNEVMIKDASYGLTTYCNILDNAGKVKLNCTVDPLRKDVY -KLTFLDDTKRFVNFDKIQRSNRQTTKTDLSLLDVFKRTKFILGPPGTGKTTYFIDNYFNKQRSNKVVYAA -PTHKLVQDMDEALKDNNNVTVYKGKYNNREYHAPIDDESKSLILCTVNIVRPIAGCVLLIDECSLLSPKQ -LLDAIIRSRAGEVICVGDPFQLSPVTPVTDFCWDYNTFYLRQLVPQYNQTVLSTCYRCPRNIFNVFAGAY -HQHGIEFNASKEGGTVTWHRLKNDSIVISQKVLQEADNSGCDIILVNYKQAAIDALNLKTKFVTIDSAQG -LTVGRVGVIIFGSTKFSKVINRLIVATSRATISLDIWCCEAIEDHIREHLCTKTVSPQLLTRPNHLTPVT -IEQVAANIEASAVCDIEFYHTRHPTRKHPNFLGLGEINCLTSRAVTTFLRPHYNRDGDYLAVTDDYICVS -KEWRYMLRHLPSYTDSDMRRNHFLHFLNSTTNLTAHPLIFVLFNGFNDLDALAEITTPVATCHCGKPARF -TTNTDEDVCQVHCEGKFLVAVAGGTYYNIRSSSNLTSTHGIVCGKYHGAAHSANNDVVMTACLLDDMLKQ -HTAVTDGPVKNDEFGTWRKVKPSLDDPNDRLYGSTYYHKSTRVKSENTSVTNHMPVNKEHTSTYVIIPPA -MRIKACHAVSRIHCCTACLDHAKKWNKVNHTNSQQGWQLESPTFELQLSQQEKQLKLSAEVVQTPMGLRV -RLGAHTGVTVNWQGSLDQTISKFHNEVNQPLPVAEVLIGLAINCTVNCSHHAVPITTEDRLNQWDTPLVV -KAIKHSGTQYVCQPAGRNADNTKAFVLGMDDWVRVNYDELPRYQLTRLDNNVEVEMEQSLFSTGRLATCD -HWLFPSEESTECAHIEIGDYNNSSLKIGGMHMFPKAFENVSLNNIEQEASTPLWHANIIAKQGTKLHNSL -TDVEITRFISCVKDKLTTNTISTRTSIRIDHQNVPIMIWATAGKIDTAYLQNGGPDVSLPATKKCSQSYI -QYQPVIRAYDTATLLNSNIAHFEVMRNRLDQAVNITKYVQLCNYMNDNIKISPKATVLHLGAATGPEHDH -IPVGGVVLNHFFQNSDVYHHDVRPINDCNGLFKVGLPSKKVDLIISDIWSYNEDTDGEWISNHELLINYV -NAHLCLGGSIIWKTTRRSNLSYINYIASHFGQVDYVTTRGNASSSEMFVVFKYFKQQIQQPQAHNRDSWQ -ILHHLFAYRHQYRIYTRQDPLNCDTTCKPRSVYRVPKFLEGRIEKSSWASGNFIQQNS - ->YP_337905.2 polyprotein 1ab [Breda virus] -MSKTSRELTNETELHLCSSTLDLISKSQLLAQCLGTPQNLVSLSKMVPSILESPTLEPRYTSTHSSSLQS -LQLLALNTSSTLYKWTTGSISKLRGHLERELCRGLVPLNDFIPKGNYVELSLMIPSVLTGQGTSTTTTLQ -EMCSDMVQSCIKSMETDLLKGVLALKDQTSCQEYFLSANYQSLIPPQPLVNAMRMSSVVDLSPLILENTR -LLLKLSPFHGGTSVSYTSMIREFVDCSRRDEKCLKRRLTKKQKRQEEGSFDANKVITLGGKMYRYRVVIL -KCSDEVDDLIGFDGKVGEFDYNFENVPHCWRDLVKRRCLIRAKATWNLAGGVDENLDHVYIDESQXDFRC -ADGSSDSPSACVEDPHLEERIFSRVWLKQTSRFFGTKIQQVSELFKSIGLPELETTYCGVNPVKVGNKWL -SFRDQGRSRVFFVYTDSNVYLATTRQKVCCDYILTKFKSVKWIGNKPDQCRVVKVLAWLISVNKVKNCTR -VITPMLTVQGKISHRRVDYLDISVLDSYVSDTAGLNCVQKVKKFLSMYYNCGADLGLLDNFLTPIECGTK -QLVFERCNCPNHQFYVAQFDNHVVLGLGRPTGVVYPEEIPSCANIYAVGFATQKRVVEVHYYSEMDRHQL -PQDYYYFAYDQEFQHVGGDDYVNHHLDDVEDQPFPPVLFDDVYDSGDSLDDGGSDLDCFDVGYDFFWPEA -PIPVPSPYGYYQGQRLRDLCVAGGDFGCDCPRCDGTFIYHPFRPRHYHSFDEVGPFIQMCEFTLTYSGQN -YNLFYGLEPKVCLQDLVEASDKLLQLLVRGQLENISLPNDILACLSSLKLGANIHPFLWPAPFFNANGEW -VDIFGGGDFTVFGEDFCLKAKSMVESVYFLVENFFSVDCPIGNLYCNLHLDGDVKKMLWSTIHMKYIYLA -LIHSEKVFNIILNSRQLSHQELVKLVIIGTFDVSIVAPCACSGDCNHGKVYNWTNLLSSVYRFVTLDQLV -GLSYCEKRSLVLRKVQQYLEVEEGYQRPVQLLMAPFYGFNDNAEPDEQPLTGVFHQQVMQMFDTCVMLDV -ICGLKRPRASVYNLFGVLADYFRRPFTFRYYQVAEFSGSESTQVFTDVTSALTSKDPCSNRPYIYHDYAV -CRVVEPRTAAVTTRGAIYPPEVIEMIRSYLPIEFDVGVMNYVDGNCDFKYCNLEFCLSGRGLVKLDTGEL -LDYKTNLFVVRYKTLPLLYVTSNPIYLSDFSLDNAVCLTGDFKLSFDVEPGSTLFGLYFTNGRCYRDVWE -TLPRFGLGTLSPPKCHSKCEPFENLAEVFFFKRRVQLVPLVNNYTPVFRHRPDIPKVLTVELMPYYSSIG -YQGFVAPKCVLPGCVATQYCKLRHQLDRCVQVTKLAVAYAFYFKPLNIGSLYHLDPMRGTSYGKPAVVQF -EPVGLIKEVNILVYQFGKHVAIHYFPECPTYVAYGHYPSHSVGVWLGYLPSVEECVIAQRNYRVYVPTCF -RLSRTGCYHIQQDEDFERTHITVSYHYARDFDTKSLTPMFQMFSKIFGKSKQDLICALNSLSEESQSVLT -LFCEEFDSAYTLQTISDEVSFETSTSPELVACVLAYAIGYELCLTVKTDGECESLDVGSSLEQVYVDYDV -SKNVWDLSTHLQDDSSDDLELPFNQYYEFKVGRASVVLVQDDFKSVYDFLKSEQGVDYVVNPANNQLKHG -GGIAKVISCMCGPKLTSWSNNYIKQYKKLGVTCAIRSPGFQLGKGVQIIHVVGPKSADSDVVNKLEASWR -SVFQNVKPDTTVLTSMLSTGIFGCSVTDSATTLLSNLVDLDKDVVVFVVTNVSDQYIEALGVVESFQSAH -GLPNFGNTCWFNALYQLLKSFAVKEQIVQDLVNCFDDFYECPTRQCVEWVCDQLGVVFGEQYDAVEMLVK -IFDVFKCNVRVGYDCLARLQQVALGSCREVPADAVLMFFGQDKSGHWVAARKVCGVWYTFDDKVVVKKDP -DWSKVVLVLRERGLFKATDFETPRPRRRRVAYRVPRDTISQDAIMFLEERQFSSGTMLAHSCVESVESFH -VEGVQPSPLQSVDGLDDVADLSCDNHVCDNSDLQEPQVVVSQPSEVLTTSMSIECPVLENSECSVETDLN -PVCEENEQVGESGIKEQDGVTTSDSQQVFSKSLDPIIKQHEVESVEPQDLPVFSQQPQVMLSMTWRDVLF -QQYLGFKSDLLSLTHVNKFKIVVYLMVLWFVLLYCFSDFSLLSRFCLYVFLLWLSHVVLVVKKLDLGLVN -SGGESYVLRILSSVKVPNCIAFNCDGVHWLILKLLFYSFHFYDFFVKTLVVVFQMPQLRCFTWPLLKLGF -ADTFLSHHILAFPTKQVSQSCLPVFGDERKYIYVPYWCKESFRTLVARAKQLTATGRTKTLDNWHYQCCS -KTVKPSSCFNVRDFVFDDACNNHKHYGFFSALWFYVVFYSGFVSFWLPLMFCYCALFMCTFKNLPVNITR -PIRWTVLQQVVDDLLSIITKPLFGRPACPPLSAYLTATTADEAVRASRSLLGRFCTPVGFQQPIMNVENG -VAVSSLGFINPLMWPLFIVVLLDNRFVWFFNVLSYIMLPVFVIILFYFYLRKICGCVNVKGVVKNCTRHF -QNFSKPLVAAGVHGNRTNFTYQPMQENWCDRHSWYCPKEEHYMTPEMAMFIKNYYNLATSPMADTIWCDY -VKSVPNMTWANFKFSLFKSNETVMCGPSSHADSMLLSWYAFLHGIRFAVNPSVIDIPSQTQPIYVSSDSD -DSLDKGCDVSLRPTKNKGKFKKQSVAYFSAGPVDLWYYVMLIIALGAIFVFMYSCFMVGQYVVMPRDKFF -GVNPTGYSYVNAQPYLHASPPVLRNSDGMVLATPLKVPSISYSVYRLLSGHLYFTKLIVAENECTPPFGA -XRLSHEFTCNDFTYILPAHLRIFGRYIMLIHPDQLHMLPFEVEHSTHTRLCYVTGTNIVECLPTFEIISP -YVFVVLVAIFTIVFLFLLRMYIVMYSYFKVFTYVVFKLLFVNTVMVLFVVCLPPLVPGVVFVLALWLCDS -VVFLLYLAVLSLFILPWFYVMLFVLIVGGFVFWWMMKSSDVVHLTPDGLTFNGTFEQVSKCVFPLNPLIV -NRLLLDCRMSHSDLVEKSKLKTTEGKLATEMMKVFMTGETAYYQPSNFSFQSVFSKVVSPFTLHARPPMP -MFRLYVYFNGQCVGTTCTGTGFAIDDSTIVTAKHLFECDDLKPTHLSVELSCRSYWCTWKEPNVLSWKFE -GENAYISVENLRDFYGIDFKYLPFQQIECEFYKRMEAVTIYSIKYGSEFATQAWQTVNGHFVCCNTEGGD -SGAPLVWRDSVIGVHQGLCDSFKTTLASDSKGVMMTEVKGYHVDPPVYYKPIIMSAAYNKFVADSDVSVG -ECTNYHNFVNEDFFSMHDELEKVSFGDKMFRYCQSLPRYLEPLHYFHVPSFWQPFKKQSVSSNVSWVVEN -LHFIFSVYFLVCDFVAYWWLDDPFSVVLPLFFVVQLLSTVVLKNVLFWNTSYLVTLAVTFYVHSEVAESM -YLLGLFSDQIVNRVGLILVVSVMCLFVVVRVVVNVKRAIFVVVVSVLLIVVNVVLGVVQFNSLVAVCMFD -IYAVFAALLTPQPVVAIMMLILFDTKCLMSFAFVVIVLSFRVFKNYKFVRVLHNLCNFDFVLTQLSLFRY -RHHNQGNNPSHYEALWLFLKELYYGVQDVKYEVFSPQAGTYNVRFLTDMTEQDQLEAVEQVQRRLQRFSI -VQDKNSQRLVLYSKNVDFLRSQIQHQRVLGANPFIITTLTPKDIAIDNVEVHNPSQFKPEDLQAHMWFYS -KSPIFVGQVPIPTNVQTAAVLDTTYNCQDLTADEKNNVAANLQIQNAALTLSLFEECNRFLESELGDVPT -LMWQSEDVVDVKQLEVQIEKLRVVLDGMQLGTSEYKATRKQINILQSQLDKALAFERKLAKFLEKVDQQQ -AITNETAKQLSAFKNLVKQVYESYMSSLKVRVVESNDASCLLTSTDLPRKLVLMRPITGLDNIKIVEKAN -GCEITAFGDTFTTGLGSNLAGLAYSSTQPLSAYPFIFNLEGIFKQQANIGYKTVECNMSSDNGSVLYKGK -IVAVPSEDNPDFVVCGKGYKLDCGINVLMIPSIVRYITLNLTDHLQRQSLKPRRRLQYKQQGVRLGGVNL -GEHQAFSNELISSVGYTTWVSSTVCTDKSHKHPWFVQIPSSEKDPEWFMHNTQVKNNQWVVDAKPTHWLV -DADTNEQLFALALTDEEYLKAESILAKWSPITQDVECWFKDLRGYYTVSGLQPLWPVCPKKICSLKIVPI -FQSQSVAYADEPTHFLSLPVVNKNFLEAFYELQEGFPGEKQVAPHISLTMLKLTEEDVAKVEDILDEMVL -PNTYATITNPHMMGQYYVFEVEGLQALHDEVVSVLRQHGIACDQTRMWKPHLTIGEIKDGSVFNKFKDFG -ITCKLEDCDFVKLGAPKANARYEFIATLPVGDLNLLRDVWCACRHLCFQNGAYQSSRSKHYIDLATEYNA -GIVKVNKSNTHSVEYKGQRFMIKRVKDQHEFALARTAFLPSIIPHHMVHQNGEWFLVRGPTTQWSLGDLV -YAIWLGDQAYLDECGFVFNPSRDEFLDDANQRSYLANLLEPAILSFCEIFHCVKGCQVPYKITLDNLDLK -GQLYDFGDYPCPNKVDNQSALFVLAEVWSMTRKPFPTKFAQVLAKEMNVPADFQMYFQHTLLSGKYFDKA -MCLNNVRPLLRDPANLTTTPFFSQHSGVWTHFYNPIYGLVECDLEEFSNLPEVLQQLITVQGPITSAMTP -AISIGEGVYAANVPPVAAAKQKIPLYDVGLCQELTDAGVDCGEAFKYFYYLSNPAGALADVCFYDYQGTG -FYSPKLLAGVYDFMKRVTTCYKTDERFTYEQAKPRKSSMGINITGYQQDAVYRALGPENITKLFEYAQKA -PLPFCTKIITKFALSAKARARTVSSCSFIASTIFRFAHKPVTSKMVEVAQNSQGFCLIGVSKYGLKFSKF -LKDKYGAIEQFDVFGSDYTKCDRTFPLSFRALTAALLYELGGWEEDSWLYLNEVNSYMLDTMLCDGMLLN -KPGGTSSGDATTAHSNTFYNYMVHYVVAFKTILSDLSDCNKVMRIAAHNAYTTGDYGVFNTLLEEQFQTN -YFLNFLSDDSFIFSKPGALKIFTCENFSNKLQTILHTKVDLTKSWATTGHIEEFCSAHIIKTDGEYHFLP -SRGRLLASLLILDKLSDVDIYYMRFVAILCESAVYSRYQPEFFNGLFQVFLDKVQQFRKDYCCDPCPTQL -LDRQFYENLVFTSNTEVGLVDCYLENFKLQCEFKQQAGFDRVCFCCPNPAVSVCEECYVPLPLCAYCYYV -HVVISSHCKIEDKFKCPCGQDDIRELFIVLNNSICIYQCRSCVESDRLRISLLSDVDQVVRLPGFKANSA -SIAKNGVAQLLTPVDNVDVSLDWNHQETVQQNVARIVYHSANMTQMSIEVVYVNFSLVRNDGSSAILDIP -NFKCPDTSYCLFYKPGKTGVLKFTGKGTLTSCYDSNNLTWFKVTCPDFNQPWRLATCFVIQQHDAVYPPI -KSTQYENVTFVMGPPGTGKTTFVYNTYLSKASPSNRFVYCAPTHRLVGDMDEKVDGAVVVSAYNDRTYRN -PVWKKDDSYDVLLCTHNTLPFIKSAVLIVDEVSLIPPHVMIKILSMGFKKVVLLGDPFQLSPVYKNHKVH -FKYDTFYLLQLATQKRYLTACYRCPPQILSAFSKPYCDVGVDLVSFNNKPGKFDIIVSKQLANMQDFSVL -SVLSKEYPGYVILVNYRAAVDYAMQNGLGDVTTIDSSQGTTAANHLLVLFGASNFSKTINRVIVGCSRST -THLVVVCCPELYKHFQPILNWPEPVYRYFGMEKQSDFNIIPEVASLVFCDIEFWHYKADPNSKTRTVYPG -QIAVVTSQTLQLYLGVFDDAGYKSALRGLPKDVFVPPNWVWMRKHYPSFEQHAYNMQRLFKFIIDTTCGQ -PWFILYSCSNDLKSLKFYVEFGTNYFCSCGELAICLMRDGLYKCRNCYGNMSISKLVNCKYLDVQKERIK -LQDAHDAICQQFHGDSHEALCDAVMTKCLYLASYDAAFKDTIHVKYKDLCLEIQYKITSPYVRYDGVNKR -YLYRDHGAMHYFKTPKSPMQNVYRYEVGAHTEYSINICNSYEGCQSFGKTCTKCIHIHCIVEQFMADDRY -RDFILVSVVKSDFVEQALSPAAKALMLTVTRVEGKSFYTSNGQRYDLYDYDLSKSVMRVVGASVKPLPLY -SVVVGLGINCTVGCVLPNVPMKLKDELLSTDVPLSTLRLDLPTWYYVTWPTLSNRTSRWKLAGAQVYDCS -VHIYVEATGEQPLYYLQLGNGESLRELPETLFSTGRLYNLEHDPSKNFNVQQLAIETIPKNHHVFAGDFT -DVGTDIGGVHHVVALNGYKGSIIPNYVKPIATGLINVGRSVKRTTLVDVCANQLYEKVKQQIAGVKVSKV -IFVNIDFQEVQFMVFAKGEDDIQTFYPQKEFIRSYYEWPTILPELESHYDLKNYGQDPQFMPQPVNFAKY -TQICTFIQEHVKVARNSLIWHVGAAGIDGCSPGDIVLSSFFKECLVYSWDVKDYDTLLEKHNYDCNFRPN -LIVSDVYNVSSNVSEVLEDCVHRLALGGTIIFKTTESSRPDIQLSKVTKYFAAVHFFTAGVNTSSSEVFV -VLKYKLHSEPIGEELCSPNILRRIAAYRNKLCIVPNFKVFSTSLSYRFSSVKFVQKCFYVSVPRQFCASG -LIQEVPLLCQMKH - ->YP_008798230.1 polyprotein 1ab [Porcine torovirus] -MSKISKKPTSETEPLLCSSILDLTSRSQFLDQCLGTPQNLVSLSKMDPSISVSPTLGLKSTSTPYSSLQS -LQLLVLNTSSTQFKWTTGSISKLKAQLERELCRGLVPLNDITPGSNYVELSLMIPSLLTGQEISTTTTSP -EMYSDTVQNCIKLMETNLLKGVQALRDQTSCQEYFLNANYQSLIPPQLLLNAMRMSSVATLSPLTLESTR -LLLKLSHFHGGTSVSYTSMIKGFADCSRRDEKCLKRRLTKEQKSQEEGSFDAGRVITLGGRMYRYEVIVL -KCSDENDDFTGYDDPIEQLEYDLETVPHCWKELVEGKCLTRAKATWDLTEDLDVELDRIHIEEVKSLQRS -GASWDLSSVGVDSQDLEERVFSRVWLKQSSKYFGTKLQQVSELFKSEGLAELVSTMNGINSVRVGDKWLN -FRDQGKSCVFFVYTNDNVYLATTRQRVSCDYIITKFKSVKWIGNKPDKCKITKVLAWLISVNRVKNSTRV -ITPMLCKQGKISHKEVDYLDMSVLDKYASCAFGPTCVQKVKKFLLSYYKCDSDFDLLDNFLTPVKCGKKK -MVFEKCSCKNHQFYVAQFDNHVVLGLGRPNGILYPEEVPAYARVYAVGFANDKHGVEIHYYSEMDNNQLP -QEYCFVAHDQHHQQGGEGDYVNFHPDDFEDGPFPNLDFDIDYDDLIWPDAPIPVPSPYGYHQGQRLRDLC -VADGGFGCDCPRCDGSYIYHPVRPRHYESFEEVGPFFKMCEFRFTFSGQIYNQFYGLGPKVRLQDLVKAG -NKLLQLLIRGQLEELDLPSEILNCLTYLKLGLNIHPFVWPAPFFTSSGEWVDIFDGNDFAFGIDFNKTIG -MIESVQFLCENFLSLSCPLEKLYQDLHTDEEVKQMFWSTTHMKYIYLALIHSERSFKAILNNTQLNNSQL -AKLITIGTLDAPIVSPCACEGNCDHAKPYNWTGILNKVSKYATLKQLIGLSYHDKRLLVLKMTQRYFQIE -EGLFQPVQLLMAPFYSFNDNVEPDEQPLTGMFHQNVMQMFDTCVMPDVITKLKRTRASANDLFCILADYF -RRSFAYRYYRVAEFSDLEKTQVFTDLTSALSGGTSKIGAYAVCRMVEPRSVATTCEKSMFPPEVVRAIRG -YLPVSFNTGVMNYIDENCDFDYCNLEFCISGKGLTKLSQSGLIECKTNLFVVRFNTVPLLYVTSKPICLS -EFSLEASVSLLGDFNVNVDIVPGATLFGLYFTNGKACQDVWETLPRFGLGCLSTPKLHPKFKPFDNLAEV -CFFKQKVKLVSLVNNFTPVEIVKPEVPKTVIVDLLPYYGSVGFQGFVAPKCVLPGTLATHYCKLNNQLDK -CVQVTKLAVAHAFYFKPSNMGSLFHLDPMYGKSYGKPAVVQFEPVGLIKEVNVLVYQFREHVAIHYFPEC -PTYVADGHYPSKFVGIWLGYLPSVEECKIAQHNRSVYVPACFRLSRTGGYHIQQNEDFERSSITVTYRYA -KNFETKSLTPMFQMFSKIFGKSKQEIMCALNSLTPEASKVLTLFCNEFDPAYTVQTLSDEVAFETSASPE -LVACALAYIIGYELCLTVKTGGSCESLDIGSSLEQVYIDYDTCENSWKLSTDLQDDDSEDLDLPFDQYHE -FKLGKASVVLVKDDFKSVYDFLKNEQGIDYVVNPANSQLKHGGGIAKIISCMCGPKLTNWSNGYIKQHKK -LDVTCAVRSPGFQLGKNVQVIHVVGPKNGDVDVQGKLNSSWRSVFQNIKADSTVLTSMLSTGIFGCSVVS -SVSTLLDNLLFLEKDVVVFVVTNDLNQYKEALSVIEGYQDFHGIPNFGNTCWFNAIYQLLKSFATKEQLV -QDLVNCFDDFYDCPTKQCVEWVCEQLGVKFGEQHDAVEMLCKIFDVFKCDVRVGYDCLSRLQHVQLGTCK -NIPAEAVLMFSGQDKSGHWTAARKLNGEWFVFDDKLVIKKDPDWSKVVLVLRDRGLYRASDFETPRPRRR -RVAHRVPRDTLSQDAIVFTEEKRFASGTVLVDTCLESVESFDLEGSHVSFVESVDDCFSDCDQVSSVKSV -QDDLEQSCDDKTDIEDEKIVVVEDVSEDVTEESPLQQPTQQQNVVNDVDFEQVQQQNELEVLPSIFISDF -KLSFEKRLEPIVKQDNFEDIELQDMANIEQSMPCKAMNWKDVFFQQYIGFKSDFVSLANVSKFQVVLYAL -SLWLFLVYCFADFSLLGKFCLYVVLLWFSHVLVTARKVDLSVISLGSESYVLRVLSSVKVPNFIVVNCDG -IQWLILKLLYYSFYFYDFVVKVVVIIFQMPQLRCFTWPLLRLGFVDTFLSHHILAFSNKQVSQSCLPVYG -DDRRYIYVPSWCKESFNTLLQRAKQLTAMGYSKTLDNWYYQCCSKTTKPSSCFNVRDFVFDDDCSSHKQY -GFLSSLFVYIVFYTGFVTFWLPLLFFYCALFMCTFKNLPVDTTKPIKWTVLQQVVDDLVSIITKPLFGRP -ACPPLSAYLTATTAEEAVRSSRSLFGRFCTPVGFQQPIMNVVNGVSVSSLGFINPLMWPLFVVVLLDNRF -VWFFNVLSYIMLPIFVVVLFYFYLRKICGCVNIKGVTKNCTKHFQNFSKPLVAAGVHGNRTNFTYQPMQE -HWCDRHSWYCPKEEHYMTPEMAMYIKNYYNLATAPTADTTWCDYVKTTPTMTWANFKFSLFKPNETVMCG -PSSHADAMLLSWYAFLHGVRFTLNPSVIDIPTPVQPIYVSSDSDDSLDRVCDVNLRPTKSKGKFKKQSIA -YFSSGPVDLWYYVTLVISLGAIFVFMYSCLMVGQYVVMPKDKFFGVNPTGYSYVNAQPYLHANPPVLRNS -DGMVLATPLKVPSISYSVYRLLSGHLYFTKLIVDENECTPPFGAARLSHEFTCNDFTYVLPAHLRVLNRY -IMLIHPDQLHMLPFEVEHSTHTRLCYVTGTNVVECLPTFEIVSPYVFVVIVAIFTILFLFLLRMYIVMYS -YFKVFTYVVFKLLFVNTIMVLFVVCLPPLVPGVIFVLALWLCDSVVFLLYLAILSLFILPWFYVMVFILM -VGGFSFWWMMKSSDVVHLTPDGLAFNGTFEQISKCVFPLNPLIVNRLLLDCQMSHADLVEKSKLKTTEGK -LATEMMKVFMTGDTAYYQPSNFSFQSVFSKVTSPFTIHAKPPMPMFRLYVHFNGQCVGTTCTGTGFAIDD -VTIVTARHLFERDDLKPTHLSVELAGKSFWCTWKEPRVESWKFEGENAYILVENLRDFYGQDFKYLPFSK -IEDDFYKRMEPATIYSIKYGSEFATQAWQAVNGHFVCSNTEGGDSGAPLVWHDTIIGVHQGICDSFKTTL -VSDNSGKMMTEVKGHHVDPPIYYKPVIISAAYNKFVADSDVNVGECTNYHNFGDDDFLPMHDELEKVSFG -DKMRRYCQGLPKYLEPLHYFHVPSFWQPFKKQSISTNVSWVVENLHFIFSLYFLVCDFVAYWWLDDPFSV -VLPLFFIVQLLSTVVLKNVLFWNTSYLVTLAVTFYVHSEVAESMYLLGFFSDQIVNRIGLILVVSVMCLF -VVVRVVVNVKRAIFVVIVSILLICVNVMVGVVQFSSFVTLVMFDLYAVFTALLTPQPVVAIMMLILFDTK -CLMSFAFVVIVLSFRFFRDYRFVKVLHNFCNFDFVLTQLSLFRYRHHNQGNNPSHYEALWLFLKEIYYGV -QDVKYEVFSPQAGTYNVKFLTDMTEQDQLEAVEQVQRRLQRFSIVQDKHSQRLVLYSKNVEFLRAQIQQQ -RALGANPFIITTLTAKDIAIDNVEVHNPSQFKPEDMQAHMWFYSKSPVFFGQVPMPTNVQTAAVLDTTYN -CQDLTADEKNNVAATLQIQNAALTLSLFDECRQFLENEIGDVPAIMWQSDDVADVKQLEAQIEKLRVVLD -GMRLGTSEYKATRKQINILQSQLDKALAFERKLARFLEKVDQQQAITNETQKQLSAFKNLVKQVYESYMA -SLKVKIVESNDASCLLTSTELPRKLVLMRPVTGIDDIKIVEKANGCEITAFGTTYTTGPGSNLAGLAYSS -TQPLSAYPFIFNLEGVFKQQANIGYKTVECNMSSTNGTVLYKGKIVAVPSDDEPDFIVCGKGYKLDCGVN -VLLIPSIVRYITINLTDQLQKQSLKPRRRLRYQGVRLGGVNLGEHQAFSNELVSTVGFTTWVSSTICSDK -SHKHPWFVQVPINEKDPEWFIHNTLLKDNQWVLDVKPTHWMVNADTDEQLFALSLSDDDYIKAESILSKW -SPITQDVECWFKDLKGYYIVSGLQPLWPVCPKKICNLKIVPIYQPQSVRYADQPTHFFSMPVVHKNFLNA -FYELQEGFPGKKQVAPHVSLTMLSLTDEEVIKVENILDELELPTTYITITNPHMMGRHYVCEVEGLQSLH -DEVVSVLRQHGIACDQSRLWKPHLTIGEVVDGSNFSDFGDFAMTCKVEDLDFVKLGAPKANARYEFIATL -PVGDFKLLRDVWSACRHLCFQNGAYQSSRSKHYIDLATEYNAGIVKVNKSNTHSVEFVGKRYMIKRVKDQ -HEFALSRTSFLPSIIPHHMVSYNNEWFLVRGPTTQWSLGDLVYAIWLGDQDYLAECGFVFNPSRDEFFED -AKQRSHLAFLLEPAILSFCDIFSYIKKFGLPYKLTLDNMDLCGNLYDFGDYPCPNVVDNQSALFVLAEVW -SMTRKPFPPKFAQVLACEMQVPDDFQLHFQNTLLSGKYFDKAMCLNNVRPFLQDPANLTTTPFFSQHSGV -WSHFYNPIYGLVEVDLDEFSNLPEVLQQLITVQDPIANNMLPAISIGDGVFSANVPPVLPAKQKVPLYDV -GLCQDLTDAGIDCGEAFNYFYYLSNPAGALADVCYYDYQGTGFYSPKLLAGVYDFMKRVTECYQTNERFT -YEQAKPRKSSMGVNISGYQQDAVYRALGPENIMKLFEYAQKAPLPFCTKIITKFALSAKARARTVSSCSF -IASTIFRFAHKPVTSKMVEAAQNSQGFCLIGVSKYGLKFSKFLKDKYGSIEQFDVFGSDYTKCDRTFPLS -FRALTAALLYELGGWEEESWLYLNEVNSYMLDTMICDGMLLNKPGGTSSGDATTAHSNTFYNYMVHYVVA -FKTILSDLTESNRVMRVTAHNAYTTGNYDVFNTLLEEQFQTNYFLNFLSDDSFIFSEPGALKIFTCENFS -NKLQTILHTKVDQTKSWSASGHIEEFCSAHIIKTDGEYHFLPSRGRLLASLLILDKLSDVDIYYMRFVAI -LCEAAVYSRYQPEFFNGLFQVFLDKVQQFRRDYCCDPCPPQLLDRSFYENLVFTSNTEVGVVDCYLENFK -LQCEFEQQSSLDKVCFCCPNPAVSVCCECYVPLPLCAYCYYVHVIISGHSKIEDKFRCPCGNDDIRDLFI -VLNDSICIYQCKNCVGSDRLRLSLLSDSDQIVRLPGFRANSASIAKNGVAQLLTSVDNVDISLDWNHQET -VLQNVARIVYHSANMTQMSIEVVYVNFNLIRNDGSSAVLDIPNFKCPDTSYCLFYKPGKTGSLKFTGKGT -LTSCYDNKNLTWFKATCPDFSQPWRQATCFVIQQHDAVYPPLKSTQYDNVTFVMGPPGTGKTTFVYNNYL -SKASPSNRFVYCAPTHRLVGDMDEKVDGAVVVSAYNDRTYRNPIWKKDDSYDILLCTHNTLPFIKSAVLI -VDEVSLIPPHVMVKILSMGFKKVVLLGDPFQLSPVYKNHKVHFKYDTFYLLQLATQKRYLTACYRCPPQI -LSAFSKPYCDVGVDLVSFNSKPGKFDIIVSKQLANLQDFSVLSVLAKDYPGYTILVNYRAAVDYAMQNGL -GDVTTIDSSQGTTAANHLLVLFGASNFSKTINRVIVGCSRSTTHLVVVCCPELYKHFQPIFNWPEPVYRY -FGMEKQSDFNIIPEVSSLVFCDIEFWHYKADPNLKTRTVYPGQIAVVTSQTLQLYLGVFDDTGYKSALRG -LPKDVFVPPNWVWMRKHYPSYEQHAYNMQRLFKFIIDTTCGQPCFILYSCSNDLKSLKFYVEFDTNYFCD -CGDLAICLMRDGLYKCRNCFDNMLVSKLVNCKYLDVQKERVKLQDAHDAICQQFHGDSHEALCDAVMTKC -LYLTSYQAAFKDTLHVKYKDLCLEIQYKITSPYVRYDGVNKRYLYRDHGAMYYFRTPRSPMQNVYKYEVG -AHAEYCINICNSYEGCQSFGKTCTKCIHIHCVVEQFLADDRFKDFILVSVVKSDYIEQSLSPAARALMLT -VTRVEGKNFYTLNGQRYDLYDYDLSQSVMRVVGASVKPLPLYSVVVGLGINCTVGCVLPNVPMKLKDDLL -VTDVPLSTVKLDLPTWYYITWPTLSNKTSRWKFAGAQVYDCSVHIYVEATGEQPLYYLQLGNGDNLRELP -DTLFSTGRLYNLEHDPSLNFNVHQLPVETIPKNKHVFAGDFTEVGTDIGGVHHVVALNGYKGTIIPNYVK -PIGTGLINVGKAVKRTTLVDVCANQLYEKVKQQLVDVKVSKVIFVNIDFQEVQFMVFAKSDDDIQTFYPQ -KDFIRSYYEWPQILPALESHYDLKNYGQDPLFMPQPVNFSKYTQICTFIQDHVKVARNSLIWHLGAAGVD -GCSPGDIVLSSFFKDCLVYSWDIKDYDTLLEKHSYDCKFRPNLIVSDIYNVSSNVTEVLEDCVNRLALGG -TIVFKTTESSRPDIQLSEISKYFAGIQFFSTGVNTSSSEVFVVLKYKLFSEPLGEELCSPNILRRIAAYR -NKLCIVPNFKIFSTSLSYKFSGVKFVQKCFYCSVPRQFCASGLVQEVPMLCQMNH - ->YP_803213.1 replicase polyprotein 1ab [White bream virus] -MSILFGNRQANATKRSDMASVARAVYEVDLISTKYARRTQERLAHNKHAKPSYPSVFFGRRMKAVKEPTF -TPSTLFFEEATLPKVLASKAKPDTGIKTRRVYVADSLTINGHTYPIVGHFVEMAVSKKEAFPIQPKRVKP -KPLMAKPIPNIRRTFLTPEERTNTPTTPTTTTTTPFVAGETAGPTIEYTPTSIDLPFAMPTVKQIKENAH -TILREQDDCLRFAQTALFKHLGTVTHTTPNHATTFQVKGRTSLLTFEWRKTTQSPLTDGHFYLQTANNHA -ELMQPVEGKLTTIFTTTIQQGTTHSLHLIKQESARTLKTRKPLKLVTYKQETPTTTITPQSLKKTITYIP -GSFCINVAEPTLQSVMRRQPLTPTPNDALLQIYHKLGCTTKSPNHASTFELFGNTYTWYPVQHTNNLLHK -DPNRRFFLHITGQTPQLLIRTERKTFLTLQDEVTYISGKLFVMNHAPIQGEYKTQTAEWVGSYNMAKTPK -AIKPAKTVEYINTTPCHKPATMPAPITYRQCPYTWTLHEPSISKVQRNLFHIPKTATNCLDRIQKALFPE -IVTSNQHFPIGFTIQTDTTMQSYEWAILCKKVTRTYYLAVQNHHATLWYKCAQVYMCLSDDIAPTTELQG -SVYILNKVTDPGFYQNTRQWCGSNDDLHEPKHLIKNLANGDVNNIAHCSLTPWTTTPLVYSTQKNKLTRK -LIHYYNATYTVQVPKENPNAQPSTMKCAYKAYIDLATPTELQIHLDLEIQGKLYSQTAQKKGSKFNKLDI -PTFGDILKGTLYVFSSKVLLYEQPKRCTSVCFHLPNNAQSFFFNTETIQTFEDLFARISSEEVEDNLVLI -KGFVPCLGAIYITKDLKFIQPELKEDFKHPTTYYTFTTTVDPEQVLYSLHPSFTDIVPPHGFPYYTFAKL -NNHIDAWNITDDQADTLAEAQPIIFQWPTEEATITTPYKVLHYEHLEGLDYISLSSFNTVECPEETQSAH -DSSSESESEDEELPAHPLSNAPSQASLSSVASTAPPTSPTSSPTPSPTPLQQQVGLKGKEVPVGGWVLVS -EEETPSEEVDSPKLLPNEVPLSFDFDLPIEPITRPISPELQQPILTHYEHPTSPTPSVEIEIDFGSYENL -TLQTEETVTTEVQPEPTPAPTPEPTIVTETVQETPVPTETTQESTPESTPESTPEPTPESTSESTLEPEH -VATPSQSPTHITVTEITHEPETPDSWSERYDSTSNIPEVFNQLSFGSTDSVKITTPKTETPDEPQQPTVE -TVSAAQQLLQIVQTATPDIAQLMSELPPYRLICIGSYCPILAENISKQLPTAVTTPTDADIPTVIFNVSE -ETMDTVINTVKTKHQANHLTFSLTTIIALDVPKDKSLPLQQIYDKLTQQDYNTDFIYESHHRQPKESLTH -ASVLSAYTASYKTTAIKSIADNAVVLDIGYGKGNDGPRYAVRPLTVTGIDTAARMLAIADQNKPENVTLV -KQGFFTHITKTSNTYTHVIAFNSLHYPLASSHPDTLVQRLPTCPANILIPCHHLLEGIQTPTYSVVKDED -MWCVKVTKNEFIESSYNYDVFVKALESKYHVTIGSLLDCVEKPSTRSITPTLWTAMRNFVNNDQEMQRIL -SGYITFNLTPLPPKVEIINDWLDNNATVTINNPFASNEGVTFAVHNIGAITTTEGEFIVNAANKQLNNGT -GVTGAIFAAHDKELKLTQAIKALPTYGASDKLESHQHVVQTIIKNNNSTHAINILHAAAPIKVKCTSKNP -EVLLAHNETAQSELKETYKAIVDYAQLNKLTHIYLPLFGAGAYGHKPLDSLEAFLDAMRNRSPQSTTQYT -LLLSDPVKPLDNPSFSYEFLNLLVTNLNINKQFAQLIVNKYHNTCALQSAIQMNTTTDTHNFLATFIYLL -YTMPYSMNTFRQTHTPEEPFTPGKMVTVVDTTIAFLTTLDILPPCGQPCGYLPPSITKDGEYICACQKTS -NWSLPFHFYNARYNKVYHTGLNNILTHKHSAFHKSRNAAHFIAKTGPSTSSYPVYMAPVPEILAYNASYR -DSCQDNAIEEQSDSQASQSPSSPVTIPVSLPTASPASSVKSALRSDIPITTDQQSTTSASISTATTASTI -PTAPLTSSDSNTSVVTSLYGNMEELTYLDASGTSQDFILSETTPFIAHIYHNNEATFIPPGYQLLDTNTN -DPIEMYITPPRPIDGSPMISLASTASTTPMTYPLLSIRLTTEELTSFFKTKTDKFHLISHKSCLTVHLFD -SPTLNSIAADSTSDAHLYQQHLKDLYTFSDCCSMYTRTEVYNCIEADTPLIRQSEQTKFHPINLDTLIEM -VATFPPIVKRYSQTTTPDFTNLTVYFVSNGDIITTPTGSTSEQPPQLKIFLDYQTSSKFTTLVDLTLHEQ -TEANTIITYHHGEHQLLKPNPSAFYIEFQTYSSFFSRFQTFSTNFFWTLFINFLINVRFCITADSAYFHW -QGKPIETTNLNIVYSIGRLDFVLSKHTTPWLTKPTDTLNPLTLIKNTLVQPIAINFHGRIRPLQSTNTRF -GATHTPTKLPVHLLNTSLRTHYLSLLSLFQCTFSVFLAYIALLYSFSGHGIFTVVAYFTMLFARYYITSF -INFCTSQLTATQVTQWFAAIKAKYTGIYESSQDRVLTVNVTGTNVPYIVKYSTILTVTMYVAFMAFVWTV -STYAAQYTAGERYDRPPYQTVFQKTLNVLGLTETVTYYYPYASLNEACAASTSILCRLGSPFNFHYPSDY -TQVRTVQTDTSSPFWLFIIFMPPSFLFIVLPWLILCTITPTVSIAQLLVPSIILNATIVFIYIRRKFTGH -CCGPHTCIKHADISRSLQFRPTSQIQHSLTFCGTLCAKHNWYCNNSDSPTHTLGIQLAQLIETTYKLQPG -TIKPDSSYTHTTETATLPIMKVSTTSTDFTTSEPNTTVEHLHLQVIAHVTGTRISIESSSNKVQQQNTQH -TRLTNKPVTGFMHTTLLQKLKRQHKDELSSYLCNFVPSDNKKDCILPHSVVHMTLTENQRTFLLKNFTFS -TNVTVDPTTTGFIPSSLNISTLPHKHFMINVIESAMLAKLPKEVQDTLRTTHLETTTLERQAMSLTTQAI -LTTFALIMATFVVAFLAFFSTAQVGKTPYAGLNPTMVGNVNAEPYIQPTTLENSILIPLHGASKVCWRAQ -NGTLFFTDAIPTTECARAAVPYIGYKSEFTQTCASSNLRYPFTVYLGSIKVMYLRDGISYLTSTLSHNSN -TKKLCVQVGSNAVRCASVLPTGASSNVAALLMASVVVISMVLFYLYLLQIFKFYTNSVIMSFVIQLLTLL -ATTVSTPLAVTVQLFVITYGYTNWILLTLSLLNLTVLLSTPVGITFVVIYGLYKAYTLFTSSGQGCVYNE -GGTIRFSGSFEQVANSTFPLTNASCVQLLSDLGITYQQLNVYASSRDRNVRRLAQALLHRQLDSASECIL -YEGCSGNTITRQALQRIRQAVTVVVTPASQNLCKITSNQANGIGLSCTGTFFTSTEIITCAHGIGTSDIT -AVHKGITYDCKVKSINNDIAILITTTVNLSVQNIKLDSSFSQKSDNYQRNFVQFVSFVDQQNSDAVTINN -TVMLPSGHFFAIGTEAGESGSPYTLNGNIIGIHYGIDNAGSWMLASRPDGSFYVPATQHGNSAKVTFSTD -AFAQQFPAIVTNKTSDQLVNEIAATNNTAFELDTTDVSHLSNLLKHLKNNNETPKSLSDYLPVAPVTQQT -SVTVGQTLTSPVNNMQTALYTLMLVSEVITYILTPNSDLSVLISMFVTSAFLKFGASKLFYNTEMLRNTI -TTFVVYRYTTLLIALVFSQYYLHILSYALKLNTLVLTVIALTFLVTPLVLLTIRRVYYYSQNYLISCVFI -ISCFASHTYTLYILTDTTVDFQTFIISEPIFSTLLCNLFIGFTLISVVPNPLYVCVVFMYILLDCEALGF -IVTCFMASYLCPKPLRSLTTFLCTDTLVLTAPAYLHWYGAKGTQREYSVIYAVFDSILTPETTIQIPVTI -MEGIEQQVKFIFAVPKSANIQDEEEAYVEYNQNSDIKDLAIKNEEKVCTITGMFRKTRTIKGTLMESFYP -RHQPDSYILNQVVRHNYVLAHDPETIILTTVNPTHLESEPFQTIVKKVRKLHALYQEISEQSSDDTELCH -AYILALIKSTVLEAQMPTEKINFVSSQTMLSPAMILIFAEAYQILTESRSFTNHITPQSDIGSMQTTLAS -LAEMDTDEMTPQERKIHIKRMNVLKQEIAKMESASLKLEKFLDNMHKAEISKRGKEDILLKVSNMLRLHL -NKVANAAHCTIQTPSAGLITLASAFDVHSLCVTQHSESVLIQTPDDDTFLVYVDGQIYTCYNPTDITGKK -LVPINVMSPDNVQFPTYPVVFSLSKQDYAEEITEQNNIGYTERTHNFKLKELASGLAVTLDGLVVVTETK -ELATAFKIGQRYFKFLNTNKTPVARNNTHAIIQLLRNNISQQAVVRIGGSRVSNDHIAISQVPVQTIGYL -TYAGISVCRQCATKQDHTCQYAGYFVQIPREHVSNIFNLTDTPPCLHNKFTCTTCQPLQQQSKTQQPPLN -LVGQCLGLTLDTAFCPFQTGEYKPSSREFYINILNNNVASLRKVFKKNTASIPSENGTIMLKDTGTAHEI -YVAKQLLAKGLPVLQHARFNHDGTDYLIRYYTTPYSLGDLVYAYMVGDFKHMLLALDITDETCLDPGNYS -SYYNFKEQLRNKLASVIPNVNKILAAELPLAITLDNIDLNGFLYDFGDYPTNGKVTNYHVVSCMRQIATF -CSLDITQFPSPLGYTVDRPKLQQTLITGSYIDKLLAINALVASNPETPATASTLFIEASAPTTQTAAISN -PILGMHVLDWDLIKANHTGVELDLIQTQDPSIYAKPDVLSVGDTIFYYGRRRYKHDAYKRPFYDLDLIQR -MNSAGLNLSETTGYHYQCGTTTEAVEDFMYYNYNSPKSFDPSYLKSVYTYMRDKFMKIISTDEKLNHQSG -APRMSSMGVGVSGFFQKTVWNALPEDFSPRLLDTASKTVMPFSTNIVKKFQRQKKTRVRTLGGSSFITSS -IFRMLHKPVTNKMVQTAQANIGPFLIGISKFNLGFHKYLSAHHPNGIEDCQVMGADYTKCDRSFPVVCRA -LSAALFYELGHLEPNNHWFLNEMFAFLLDPSFISGHIFNKPGGTTSGDSTTAFSNSFYNYFVHLYIQYLT -FLTTEMPPSYQPLCNLAHQAFSTGNTETYDLYFSMADDLNSTEYFLHFLSDDSFIISKPTAFPIFTPANF -SMKLQNVLGCYVDPAKSWSADGEIHEFCSSHICKINEKYQYVPDPNNMLAGLICAPEPTPQDKLIWKLVA -TCAELAVFHFVNPTLFNNIFHLLQSLHAEFVSEHSVNLLPPKLLEIDFYTDLIDSEDVEQYSFLADTLTE -KNIIMQSASQCYFCDNATVSTCSDCTVQYPMCAHCAYEHLMLTDHTPTQVLPCHVCDQTDPRHLNHTFVM -GTVKVACDNHVEGMALPLVDHARKLVKIPLYQKCEQQKTSVSAIKYTKLFDENDQPLDPNFFFYDHEQSA -EHNYLKILNDCYLLDEHTVQTSTTYDFQCLEGNTIQVFHKPAETFGNTAYAEILDSKGRVVLKVTLDPIS -AQNPNHYYITTTKGVLYRKYSKIRRTIHKPRLANRHILNTLKKATFIIGPPGTGKTTYVMKNFIDTASPA -NKVAYIAPTHKLVQSMDQAIWDKYNHTVSVSVVKSELNNNKYNYPLNSATKTIMLGTPGAVCTHAGCTLI -FDEVTLSQLNTIINAISVVKPSQVIFLGDPLQLGPVTHMRSLSYSYTNFPLFQFCNDSRVLSICYRCPSN -IFNLWVKPYTDSNVRIDPHAAGGDAKIIVSDQCSNPDAYQYVQKLARNNPDKVLLCNYKKPIIGLENAVT -IDSSQGKTYKHTIVVLLGNTNFTQVINRAIVAMSRSTHSIEVHCSPFIHTKFSELFGWPQNVEKENQITK -QLHEYSVTSNITLLPVSELPNHLGSLVVCDLEFFHVRHETTPKVKCTLEVGEMAIITTSLLKQIIIPRKS -AFTAETHHKHTFGVPKGKPDMNWDYMKSHKAISQQINTDRTHKIFSHMAATTLNRVVYVLYGAGNDLRAL -TNLNIVGDYTCEKCTKEATFYTIHREIVHTFCNHHAPSQFPLMGTINAQAIDIQHASANKQSLTNTHAEV -CNQQHGDAHTASADTIMTGCLASNFLMKSAIKLDNILTTSAFKPYAPYIQTGSHLTVSSIKFRTLGTGVF -SMIDDKLYHFDILPHHSKLSHFLQHSSNQPHSTIVTEIPAGYPSCVKIKGKGCTFCANTIAVITELYEDL -AKLGLTLSRPIIQQAYTQVETQILQNITNVSYNQFGEMLIQLKDDTVIPFINDFQTSIHNYSLRSNQPLP -NPAIFKNLGIKATLGFSTPWVPVTTTTTEPHIMSTKILKDNNDYYHLSPVQLKASPHAFSSITAGYYIYT -TPMINIPNETPAYYLTHFVHGQSTPLDLGYTSTNRLTTKQFIYTPNEDEYKSKLGHHVSVGDTSNVSWTI -GGMHVLTAFQNITNYQLVSGPANPIMRINVALERGNKVETTALDTTLQDYYKIATTNKVTVSKTTFFTLD -GGQYRMMNFANPDGTIQTSYPVAQAQSQLITNYRIYPSYITWPTFFTNEATDCWAIPNYNAPPKNQTCNI -NIQKYDQMCDLFAIDLKIPVKGHIHHLGNAGNKYSPGDVVLRQYFDQAHLTSYDLREVVSDIPVLHPNDE -WKAHFILSDVYAPDTDFTSLALEYMQNHLRLGGSIMWKMTETSILQVNEIVKYFGSWKAVTFAVNYSSSE -TFLFCAGYTGVEYNTSIVQNGYMSLLGGYRKDLLFVPFCNDYTGSKAYKDTGRVKVVANHLADKLTPAHY -ATASIFLNTALH - ->sp|P0C6V7.1|R1AB_BEV RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Non-structural protein 1; Short=nsp1; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=M-PRO; AltName: Full=nsp3; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=Non-structural protein 5; Short=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp10; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp11; AltName: Full=p67; Contains: RecName: Full=Exoribonuclease; Short=ExoN; AltName: Full=nsp12; Contains: RecName: Full=Non-structural protein 13; Short=nsp13; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp14; Contains: RecName: Full=Putative 2'-O-methyl transferase; AltName: Full=nsp15 -MSTSSSILDIPSKMFRILKNNTRETEQHLSSSTLDLISKSQLLAQCFDTQEIMASLSKTVRSILESQNLE -HKSTLTPYNSSQSLQLLVMNTSCTQFKWTTGSTSSVKALLEKELCRGLVPLNDITPKSNYVELSLLTPSI -LIGNETSTTTTLPEIPLDMEQSIISCVENTLLKEVQALSGQESCQEYFLSANYQSLIPPQVLLNLMKMSS -VVDLSPLTLPNTRLWLKLSPFHGGTSVSYATQIKGYANCARREEKCLKNRLTKKQKNQEKGSFDARSVIT -LGGKMYRYKVVVLRCEDQSDNLSELQFEPQVEYTMDMVPHCWKELVKKRLIRAKGTWDLSCVEDLDLDHV -EVRGDSLLHRSSVVHDLTSIVDDTLQEKLFSRTWLRQSLKYSGNILQRLSSLFATEGLKKITLVNSDITP -VQVGDKWLNFVDFGKSTVFFVKTLNNIHLAMTRQRESCNYIHEKFGRVRWLGAKPEQGAIVKVFAWCLNK -KEFKFRDNQLKQYVCRQGVIKHEPCEYLNVEVLDEFVALNNDLNCVQKIKTYLAAYFGLKKVKLTQKNFM -TPLITKKQELVFQPCNCPNHQFYVAQFDKHVTLGLGRKDGILFAEQVPSYAIILAVGFGTVETQLVTHYY -SEMRRVYHPLDFQSNTFVFDHQGVMLEDISPADYNDVGEEDYQLEYSGGFDQPFQNYHSDDEDQAFPDFE -DERHPDEENWARPIISSGESSVVSSRPSSPLVYSSLVPVASPFGYMNGIRVFDICLADDLDFLQIHGQCP -CARCKGLYFYQPIRPRGFTIFENVVEFFSFVEKCEVFEEIGPFFKMIEYSMLYNEYNIFYGLGKKIYQSD -LVLPVKHLDQLWKRAQLDIDVVSEFENFKNSLQNINNVVYIAPYFNDQGEWNDIFDGYEFNLNDNQFWFQ -AKPVYDLVCYIYQGFFSDSRPLEKLYQKLCLDYHTSAMLHTQTHLKYCYVALLHSERAFQMSINLDSLDN -EQLHFLATMGMGDASLVGPTYLSEYHSNFNWYSIMSKACHYVKLEQLVGLTYQEKRLMILSRVQEFYEQQ -HRGPIQLILSPLKVVNLPPITCTEGYCYQPVTRLFDTCVMPDIMKKLSRKRTSVSDVFGILADYFKRTLS -YRCFKVHEFCGIERQQEFSDMTTLKLVTDWCQDTYYFYNEYATMTDVEPKVQVSSDYYLKIPSEVVEHIR -QFLPHNVNVGLMNYVSSNCDFDQCKFEFCLSGKGYVLGNMFFNRCAIQYVKTNLFIVLFKSRPLLYITQE -SIYLSDFNVLQAQCLTGEFCLDFEPVQGKTLFGVYFTNGQRYGQQWETLPRFSLKPLNSPRKRVPTQPFE -ELAEVCIFKQKLKLTQLHNDCSVTPRVCSIPQTITATFQPYYCLENFYGVKAPKVIVSGHLATHYVKLTH -KISKCVLVTKLAVARAFYFTPTSMGSHYHLDPMEGISFGKRATVQFEPVGLIKDVNLLVYQFGSHVSIQF -FPEAPCIVADGHYPSKYSGVWLGYLPSVEECKIAQVNHRVYVPTILRTSKSAPFHIIQNGDMGRGPITVT -YHYAKNFDNKSLTPMFKMFQQVFEKSKDDIFKAFNTMSLEQKKVLSHFCGEFDEAYTLQTMSDEISFESS -AYPDVVACSLAYILGYEMCLTVKVNAKNEKLDIGSQCERVFVDYDVKKNEWTLSPEEGEDSDDNLDLPFE -QYYEFKIGQTNVVLVQDDFKSVFEFLKSEQGVDYVVNPANSQLKHGGGIAKVISCMCGPKLQAWSNNYIT -KNKTVPVTKAIKSPGFQLGKKVNIIHAVGPRVSDGDVFQKLDQAWRSVFDLCEDQHTILTSMLSTGIFGC -TVNDSFNTFLSNVARLDKSLVVFVVTNMVEQYNQAFAVIKMYQQYHGLPNFGNTCWFNALYQLLKSFSEK -EQCVNDLLNCFDDFYDCPTSQCVEWVCEQLGVQFGQQQDAVEMLMKVFDVFKCDVRVGFDCLSRLQQVNC -GFCVEVPAQAVLMFSGKDQCGHWTAARKIVDKWYTFDDNHVVQKDPVWQNVVLVLRDRGIFRSADFERKP -ARRRRVSHRVPRDTLSQDAITYIEDLRFSSGTCLSRYFVESVESFVSGDNVSEVSDEQTCVEVAIEESDG -HVEQICQSSVDCVGMPESFQFTFSMPLQTFVQECDQKCEDDFSQEHVECDQQFEPVEQVGQGGQQDGQVD -QQIKESEQVVEPSAPSGQESPQALLQQVVDEVVYQIEQVKCDQKQDQDSVQCDEIEEINSRGEQTVQQQL -QPILGHDLNENEGPTLSVGAGKLVRCRSLAVTESNLSTSNTIFVWSEVLTHQYIGFKTDLMGLTYNIKFK -LICYVLFLWFGVLCCTSHNTPFYMRLCIYLVLLWLSLMIWNASQINVKTGWNELYVLKLLTSIKLPNIVK -FRCELVQWFVLKCLFVSFYVYDYVVKVCVSIFQMPQLRPFTWPFIKLGFVDTFLSHHILAFPEKVANQST -LPTCGDKRYYVYVPSWCRASFTSLVMRARELTSTGRSKTLDNWHYQCCSKTAKPLSCFNVREFVFDQDCK -HEAYGFLSSLCVYLLFYSGFLTFWLPLFCYYYVLFMCTFKNLPVDITKPIKWTVLQQVVNDVLSLVTKPL -FGRPVCPPLTTYLTSTTADEAVKVSRSLLGRFCTPLGFQQPVMNVENGVTVSNFGFFNPLMWPLFVVVLL -DNRFIWFFNVLSYVMMPVFVIILFYFYLKKICGCINFKGLSKCCTKHFNQFSKPLVAAGVHGNRTNFTYQ -PMQEHWCDRHSWYCPKEEHYMTPDMAVYIKNYYNLACAPTADLVWCDYTKSAPTMTWSNFKYSSYKAKET -VLCAPSSHADSMLMAWYALLHNVRFTVNPNVVDLPPAVNTIYVSSDSEDSVQDKSQPDVKLRPKKPKGNF -KKQSVAYFSREPVDIWYYTTLVIVMGVLFMFMYSCLMVGQYVVMPRDKFFGVNPTGYSYVNAPPYLHAAP -PVLQNSDGMILATQLKVPSITYSVYRLLSGHLYFTKLIVSDNECTPPFGAARLSNEFSCNGFTYVLPAHL -RFFNRYVMLIHPDQLHMLPFEVEYGSHTRVCYTTGSNSVECLPTFEIISPYVFVFIVVIFTVIFLILIRL -YIVMYSYFKVFTYVVFKLLFVNIIMVLFVVCLPPLVPGVVFVLALWLCDSVMFLLYLAFLSLFILPWFYV -LFFLFMVGGFVFWWMMRSADVVHLTTDGLTFNGTFEQISKCVFPLNPLIVNRMLLDCQMSHSDLVEKSKL -KTTEGKLANEMMKVFMTGETSYYQPSNFSFQSVFSKATSPFTLHARPPMPMFKLYVHFTGSCVGSTSTGT -GFAIDDNTIVTAKHLFEYDDLKPTHVSVEIVTRSHSARSASIIWKEPDVKGWTFKGENAYIQVENLKDFY -IEDFKYLPFQQIEKDFYKRMEPVTIYSVKYGSEFATQAWQTVNGHFVCYNTEGGDSGAPLVCNGRIVGVH -QGLCDNFKTTLASDFEGKMMTEVKGHHVDPPVYYKPIIISAAYNKFVAGEDSSVGDGKNYHKFENEDFAC -MCKELESVTFGDQLRRYCYNLPQFLEPLQYFHVPSFWQPFKKQSVSNNVSWVVEHLHFIFSIYFLICDFV -AYWWLDDPFSVVLPLFFIVQLLSTVFLKNVLFWTTSYLITLAVTFYIHSEVAESMFLLGFLSDRVVNRMS -LIIVVAIMCLFVVVRVVVNVKRAIFVFVVSVVLIFVHICLGIVQFNSFVNVVLFDVYAVFTALLTPQPVV -AIIMLLLFDTKMLMSFAFIVIVLSFRVFKDYKFVKVLHNFCNFDFVLSQVSLFRYRHRNQGNDPTHYEAL -WLFLKELYYGIQDAKYEVFSPQAGSYNVKFLTDMTEQDQLEAVEQVQRRLQRFNIVQDKASPRLVLYSKT -IEFIKDQIQQQRAVGANPFIITTLTSNDIGLDNVEVHNPANFKPEDLQAHMWFFSKSPVFIGQVPIPTNV -QTAAVLDTTYNCQDLTADEKNNVAATLQIQNAAITLSLFEKCTQFLESELGEVPTLMWQAEDVADIKHLE -SQIENLRKVLDGMQFGTTEYKATRKQLNICQSQLDQAKAFERKLAKFLEKVDQQQAITNETAKQLSAFKN -LVKQVYESYMSSLKVKVLEANDASCLLTSTDLPRKLVLMRPITGVDGIKIVEKANGCEITAFGTTFNTGH -GSNLAGLAYSTTQPLSAYPFIFNLEGIFKQQANIGYKTVECNMSSHNGSVLYKGKVVAVPSDDNPDFVVC -GKGYKLDCGINVLMIPSIVRYITLNLTDHLQKQSLKPRRRLQYRQQGVRLGGVNLGEHQAFSNELISTVG -YTTWVSSTVCRDNTHKHPWFVQIPVNEKDPEWFMHNTQLKDNQWVVDLKPTHWLVNADTGEQLFALSLTD -EQALKAEAILQKWSPITQDVECWFKDLKGYYTVSGFQPLWPVCPVNICNVRLDPVFKPQSIVYADDPTHF -LSLPVVNKNFLAAFYDLQEGFPGKKQVAPHISLTMLKLSDEDIEKVEDILDEMVLPNSWVTITNPHMMGK -HYVCDVEGLDSLHDEVVSVLREHGIACDQKRLWKPHLTIGELNDVSFDKFKDFAISCKLEDCDFVKLGAP -KANARYEFITTLPLGDFKLLRGAWSACRHLCFQNGAYQSSRSKHYIDLATEYNAGIVKVNKSNTHSVEYQ -SKRFMIKRVKDQSEFALAKTAFLPSIIPHHMEKQNGEWFLIRGPTSQWSLGDLVYAIWLGDQDYLSECGF -VFNPSRDEFLDDANQRSFLANLLEPAILNFSHIYWQVKMCKVPYKLTLDNVDLNGQLYDFGDYPCPNSVD -NQSALFVLAEVWSMTRRPFPVAFARLLANEMEIPTDYQMFFQNILLSGSYLDKALCLNNVRPFLSDPANL -TTTPFFSQHNGVWTHFYNPIYGLVECNLDEFAELPEVLQQLVTVQGPITNNMTPAISVGEGVYAANVPSA -SATKQKIPFYDVGLCQELTDAGVDCGEAFKYFYYLSNPAGALADVCYYDYQGTGFYSPKLLAGVYDFMKR -VTECYRINERFTYEQAKPRKSSMGINITGYQQDAVYRALGPENIARLFEYAQKAPLPFCTKIITKFALSA -KARARTVSSCSFIASTIFRFAHKPVTSKMVEVAQNSGGFCLIGVSKYGLKFSKFLKDKYGAIEGFDVFGS -DYTKCDRTFPLSFRALTAALLYELGEWDEKSWLYLNEVNSYMLDTMLCDGMLLNKPGGTSSGDATTAHSN -TFYNYMVHYVVAFKTILSDLSEGNKVMRIAAHNAYTTGDYQVFNTLLEDQFQTNYFLNFLSDDSFIFSKP -EALKIFTCENFSNKLQTILHTKVDQTKSWSTKGHIEEFCSAHIIKTDGEYHFLPSRGRLLASLLILDKLS -DVDIYYMRFVAILCESAVYSRYQPEFFNGLFQVFLDKVQQFRKDYCCDPCPPQLLEREFYENLVFTSNSE -VGIVDCYLENFKLQCEFKQQANFDKVCFCCPNPAVSVCEECYVPLPLCAYCYYVHVVISNHSKVEDKFKC -FCGQDNIRELYIVLNNSICMYQCKNCVESDRLRISLLSDVDQIVRLPGFKSNSASIAKNGVAQLLTSVDN -VDVSLDWNYQESVQQNVARIVYHSANMTQMSIEVVYVSFTLVRNDGSSAILDIPNFKCPDTSYCLFYKPG -KSGVLKFTGKGTLTSCYDNKNLTWFKVTCPDFNQPWRLATCFVIQQHDVVYPPIKATQYENVTFVMGPPG -TGKTTFVYDTYLSKASSSNRFVYCAPTHRLVGDMDEKVDGAVVVSAYNDRTYRNPVWNKDDSYGVLLCTH -NTLPFIKSAVLIADEVSLIPPHVMIKILSMGFKKVVLLGDPFQLSPVYKNHKVHFKYDTFYLLQLATQKR -YLTACYRCPPQILSAFSKPYCDVGVDLVSFNNKPGKFDIIVSKQLANIQDFSVLSVLSKEYPGYVILVNY -RAAVDYAMQNGLGDVTTIDSSQGTTAANHLLVLFGASNFSKTVNRVIVGCSRSTTHLVVVCCPELFKHFQ -PILNWPEPKYRYFGMEKQSDFNIIPEVSSLVFCDIEFWHYKADPNSKTRTVYPGQIAVVTSQTLQLYLGV -FDDTGYKSALRGLPKDVYVPPNWVWMRKHYPSYEQHAYNMQRLFKFIIDTTFGQPWFILYSCSNDLKSLK -FYVEFDTCYFCSCGEMAICLMRDGNYKCRNCYGGMLISKLVNCKYLDVQKERVKLQDAHDAICQQFHGDS -HEALCDAVMTKCLYLASYEAAFKDTIHVKYKDLCLEIQYKITSSFVRYDSVHKRYLYRDHGAMYYFRTPR -SPMQNVYKYEVGSHAEYSINICTSYEGCQSFGKTCTKCIHIHCIVEQFMADERFKEFILVSVVKSDYVEQ -ALSPAAKALMLTVTKVEDKSFYISNGVRYDLYDYDLSKSVMRVVNSNVKPLPLYSVIVGLGINCTVGCVL -PNVPMKLKDELLITDVPLSTLRLDLQTWYYISWPTLSNKNSRWKLAGAQVYDCSVHIYIEATGEQPLYYL -QQGKGESLFELPDTLFSTGRLYNLDHDAAQNFNVKQLAIETMPNNHHVFSGDFTEVGTDIGGVHHVVALN -GYKGSIIPNYVKPIATGLINVGRAVKRTTLVDVCANQLYEKVKQQLEGVKVSKVIFVNIDFQDVQFMVFA -NGEDDIQTFYPQKDFVRSYYEWPNILPQIESHYDLKNYGQNPTFMPQPVNFAKYTQICTFIQDHVKVARN -ALVWHLGAAGVDGCSPGDIVLSSFFKECLVYSWDIKDYSTLLDKHSYDCNFRPNLIVSDIYNVSSNVSEV -LDDCVHRLALGGTIVFKTTESSRPDIQLSQFTKYFSAVQFFTAGVNTSSSEVFVVLKYKLYSEPIGEELC -SPNILSRIAAYRNKLCIVPNFKVFSTSFSYKYSGVKFVQKCFYVSVPRQFCASGLIQEVPMLCQMEH - diff --git a/seq/clusters_seq/cluster_308 b/seq/clusters_seq/cluster_308 deleted file mode 100644 index 0fe6b69..0000000 --- a/seq/clusters_seq/cluster_308 +++ /dev/null @@ -1,135 +0,0 @@ ->APG78355.1 RdRp [Beihai partiti-like virus 5] -MPCTKLDLGPLYPYYKRALNQGWTRSRPNKETFLSWYRTHLARQHVAERVDWDFDRWRSACELLRNEMKE -FQLDTITLKEAINTFTTLEKSPGLDPITLRKEYSSKANVPFEQVTSLYSKLAEGKLHLAPFSVGYRSHLV -KPGEKKARVILVAPAALTVIEKKWADPLFYALKRQTYPKRYACGFDWFRGDGDQILSMFGDRATSLDWSG -FDHSPPDWMIEDIMQIIRGLFCNSPEEDEVWTSIINIHKKCRVMAPNGKCVFLKGGIKSGSALTHILGTL -TNVAMMYYCVGTSRDFLCYGDDTIVEHLNPKKLAKWVYNHSSFLLNARKCREHEINWLGLAYRSRRWELI -NRDKRMAQLFFPENGSDDPTFFYKNVQAHLVAMGQDPVAEALLRFLEQSGHTEKLDTRCLGYYKSSYLKS -ADDIVDIYAMLERLKINM ->APG78348.1 RdRp [Wenling partiti-like virus 5] -MRKQDLGNLYPLFLKAKRQGWRRSRPSKSTFQEWQTKLAARQTVAKYVEWDIPRWKDATRLLSKDLQNLR -CDTIALKTAINSFSTLGNSPGLDPVTLKREWSSKGDVPWSDVQTLYSQLKRGYLRGVAPFSVAFRSHLVR -GDENKARIILVAPAAFTVVEKKWADPLFKALKATTYPKHWACGFDYFKGDGNQIVSMFGEEATSLDWSAF -DLSPPTWMIRDIFDCMKSAFTMSGDEERVFDAVSAIHQRCLVKHGDSDPVVMRGGIKTGTAFTHILGTLL -NVCMMYYIFGQSRQFLCYGDDTLVTPSDPAKVSRWVRKHSSFTLNSRKCRRREIHWLGLAFRNNDWVLID -RDKRMAQMFFPENGTNDPEGFYKNVQSHLVSCGNDPIGLDLIKYLEDQGYSKVLDTRSLGPYKSRYLDKC -KAPDVVAMMTRLKICL ->APG78347.1 RdRp [Wenling partiti-like virus 6] -MHWRLRPYYHRAQARFRRSRPSRADWSKWIATSTHKRKLADEVEWDNERWEEAVEALTRDLKRLTVDTIP -LAACPDTFKTLANSPGLDEQSFKRYETKGDVPWSEVEDFYKLLSEGKSHKCPPFSVAFRNHIVGKDAPNK -ARVILVAPAALTIVEKKFSAPLALAMRGTAFPKHWATGFDWFRGDGHHMMTYIRSDRALSTDWSTFDLSA -PEWMIRDIYRCMYAAFPSLHAEEARVFQSISDIHARCYVQHGNEKFHMRGGVKTGSSFTHIEGTLINIAM -QYYIHGKDAEFLCYGDDTVVKSALSPRQIARFVHKHSSFTMSVEKTHKGVQWLGLCYRDERWVLINPAKR -WASLFMPERPNNHPDGFARNMQAHLIAAGEDDMASILRDVLEDEGHHDLIPGGAALSYKNRVLADYEISV -IAELESRLKILL ->APG78212.1 RdRp [Beihai partiti-like virus 8] -MDLAQAKAQFTDLSKSAGFNYSGSKTKNDICYDECNEVMQRLAGGDQCLDYRSSIGYRSHLVRKDDPDKV -RIIHVTAGPLCFIEKTFAVPIQHAMINHPYGHWATGWTWDRHGGQVILQRFDPNKTCSLDFQSFDLCCRV -RLTRQIFSIWKELFDLTPFESRVFDSLVESHCLSILKSDKHEYTLTDGIRTGSAFTHIMGTCVSMWLMHY -AGVKNFLCYGDDVIAEGTTSVIRYRLLGTGFSIHPKKSKDKHIQWLGLKLRGRKWVLEDRQARIAKVFIP -EPGKKSCALETRMQASILNAGKDPLAEEFLIILEKYGCDVLSSEIREMLSQWGQSYEGVPYATIRELYEY -VTAEFE ->APG78295.1 RdRp [Sanxia partiti-like virus 2] -MPIGSISHPLYISKWRRAKLQGWTRSFPSRHSVDIWWKKYRERQAVASRVKWDMSKWADAVSSLVNDLKE -IGPINALPLESAPSCFTDLSASAGLSLDGTRPWKTKSELPMSEIYDMWNILKNGKLDRIPPYSIAFRSHL -VRKSDPPKARVVLVSPGPLAMVEKVFAEPLYRALKSWRWPKPVATGFDWFSGDGHHILAHFPPEDTISLD -FSAFDLCPPVFVIRDIFRVIRSCFSLNGDEANVLESICSSHCDSMAKYGKRTFRLKGGVRTGSSFTHIVG -SFLSCIMIRYLVGPKAKSVSFGDDVLLRGDYTAKQLSSLAHRLSSFTISPTKTKRGIHWLGLHRKDSIWE -VIDQDRRWGQLFHPEYAGDAPGLQEQLLQSHLLAAGTGRMARELRTILIEEGILNLRPNLERELKRCVYI -PWEVLKADQATNIIWAEERLKMHM ->APG78340.1 RdRp [Wenling partiti-like virus 11] -MNQALARVRAEKWTRSCTDSVALKQFTCKYYATQRKARRVNWDKDRLQAIVDDVYQLLSPYAPLEPLHTG -NVRDAFSDLHRSAGESFSGEKFRYKSEITDKELMYAEDILFKHGSGIDQKLPKYKIAFRTQIRKVQAKRR -VILISPGPLAMIEKKWAYGVQKIMKQHGSPFGSNHNWYQGSSLNIERQFDHPDTKSFDFSSFDHSSPPFL -TKMIFDMMERLFVMSPRDTCIFRGICRSHLSAVAFYKNKTLSLKGGIRTGSSFTHVIGTLTGLIMTRYIF -GNDVESIHYGDDLLVKTPLSIKRSCELSEKTSFTFALHKSNAGINWLGLKFRRGKWLLADPTKRWAQLFY -PAQPYAFTPRVQAALLTCGADPMRQVLLKYLRKKPERATVQPMLNELIYNLNESNPDPSETNIFNLELVM -KRFFKLE ->APG78211.1 RdRp [Beihai partiti-like virus 7] -MPIGKINDKVYANKWHKARAQKWSRSWPNKHSVKIWWSNYCHRQRLARTAKWDFRKWDACVSSLRRDLRE -IKLTPLPLSKAPSTFDELGASPGLGLSGKKEYATKGDIPIRDIEQMWDDLSNGRLHRIPDYSIAFRSHLV -KADDPPKARVVLVSPGPLAIVEKCWAEPLYLALKSTRFPKPWATGFDWFSGDSARLLQTFNQDSLSMDFS -SFDLSAPTFMIKDVFHIIAECFSMTNIERSVLDSIMRSHCCSWAKHNGRRYRMSGGIRTGSSLTHIIGTF -ICILMTRYLTEPEVQSCSYGDDVVVNTVLPLNKIVQRARETSSFLISPSKSKKGVHWLGLHYIGGRWEVE -NEDRRWGQMFFPEYIGDNPDLQCQLLHSHLLSAGTGRMASEIRGIIRRSGVTSIFPQLDRQLAKCVYLPL -ATDQLSRASNIFEAERLLKMHMEGA ->APG78269.1 RdRp [Shahe partiti-like virus 1] -MLASATMSKEKISFTPLERSLFHTVESYGWKRCRPRPNEFELYVNRHRRYQSLPIEGDPSRVRHAIAKVR -ESLSSYRVEPQSLIRSFWKLKKDTSAGLTFDDLNESFTRKYKTKIDVPFFEVRRDVKRWKRLGYIDNPST -IAFRSHLARGTGHKTRVVFVTPYSVCSLEGKYALPLLEVLKRSSYSSPFGTQHNWLNGGLRKFKSSHHGF -PTSIDFSGFDLSVKRPFIEMAFSLLRECYSLRTHEEQEWQLFQEYFINTRVRDGGVDTVLEGGIPSGSVW -THIVGSIISMFLAYYCVPDLLTVKCFGDDLVIFTKEKLSLVPVIELASTLGFEISMDKSVCGEIHWLGFN -ITGPTPLILNPIKRWAGFFHPDRPDETLAHHRGRLLGYALSSLGDPAFLDDFMTIWEELHGPAIMTDSCV -APEFRGQVVYDLRTLLKVFKNVL ->APG78346.1 RdRp, partial [Wenling partiti-like virus 9] -MPLGSIDDRVYLDKWKRSKAQGWKRSWPNKRSVDIWHKKYVERQAIARRVQWDEQKWDQCLASLEKDLKP -IVLTPIPLDYAPTCFSDLSASPGIGLTGRKEYDTKGDIPMTELFTMWDNLKNGRYHQIPDYSIAFRSHLV -REGDPPKARVVLVSPGPLAMVEKCWAEPLYLALKATSYPKPWATGFDWFSGDGARVLQSFGKNSTSLDFS -AFDNCPPPFMIRDIFRIIARCFKLSGEEELVFRGIMWSHLNTWANHNGRRFFLKGGVKTGSSLTHIIGTF -ITILMTRYLTDINVESIAFGDDVIVNTSMSINSISDKAHKTSSFSISKAKSKRGVHWLGLRFDRGRWEVE -NIDRRWGQLFNPEYVGDNEDLQGMLLQSHLLAAGTGRMADDLRGIIHRAGPRTISPIIDKQMRKCVYLPL -GRRNLFKATHIFELESKLKMHMEQS ->APG78195.1 RdRp [Beihai partiti-like virus 6] -MHIPPSLRKPYAIVKQHGFRRSKPHKKTFQQWIDKFIGRQKRAQKCDWNVELWRSSLSAVIDQLSVAKTC -PIPLIPDAISSLTDYSKSPGVNLEGRREFATKGDVSIRQVIAAKRYLANGEVHKVPDFTVAFRRHLVSGD -EPDKARIILVAPAEFVFVEKMFAEPLYNAVTSIPFAERRIATGFKWFSKHAAYMSGRFGPNSTSLDFSGF -DLSPPHWMIRDVFAELRRCFDLTPEEDCILDAIGRVHQCHWVSFNKQRFRMRGGVKTGSAFTHLLGSIIG -AAMMLYLTDGEGKAMSYGDDVVMEGKWNVKRLASRAYESSSFVIHPDKSRHGLDWLGNRWDKRNGKWVLI -DPIRRLGQLFYPETTRHVDLEVQVQAHLFASAWDPISETLATALREMHSTSIRSGIRGVRYMLRKLDLTR -SDPISAAERLKIWM ->APG78186.1 RdRp [Beihai partiti-like virus 9] -MLAKLNYHPEVIRFRRIASRYRRSKTNKKAFLRWKEKYEKAVRNVEESKWNEERVKQGLDGLRKKLRKIR -GLTPLALSSVKHTCFNDLSKSAGYNKGKAKIKADISLDECQEVLDRLVEGDLCHEYVSRVGFRSHIVEHD -APDKARVILVTAGPIVFVEKIFAYPFQLAMMNHSETVWGTGWTWDRHGGQILQHQFPNGAVSLDFKSFDL -CAPNWLKAEIFSVIGESFELTEREQRLLDSISQLHQTGTATYGMYRIPLTHGVRTGSAFTHVIGTLLSIF -LMEYCGVENYKCYGDDVIAEADLGYLRTVIFSTSYAIHPDKSCSGLHWLGLKLVQERWHIQDVDRRIASM -MLPEPGKRKLDLATRMQGHILNGGRDPIVERWISILEETGNDAITPELREEVHRWGRTYNDCPFLTIREF -HSYLTAEFA ->APG78341.1 RdRp [Wenling partiti-like virus 12] -MSLSKYYGIVKSEGYTRKCSDRDALSKWQNGYYSAQRRARTCHWDWRRIEEICEDVKRLLKPHVPLDVRP -VDAVRETFASLSKSAGHSFSGTDYGTKDNIPDSELYRAEKVLDLHGQGITQPMPHYKIAFRTQIRKKKAK -RRIILISPGPLAMVEKRWAAPFQAIMELVGPPIGTGFSWFEGSGSRITPLISPITKSFDFESFDNSSPTR -LTRLIFDIIASSFNMSERDENIFRGIVRSHTYAKANYRGRVFRLTGGIRTGSSFTHIIGTLTGLIMTRYI -FGDVPSYHYGDDFIVKTKLSIKQACLIASRTSFSLSPTKSKPGISWLGLRLRGTRWVLDDPIKRYAQIFI -PPKRMAFLPRVQAAFLNCGADPMRLVLKRWLLRKHERRIPHPELDYWFPSGLETYHPPNGENSIFNIELV -MKRHML ->APG78357.1 RdRp [Beihai partiti-like virus 10] -MLLTSLPEVQSWRSLSRQYRRSAVTKRAYYQWRYDYYKACRRINASIWNDARVSQAVEGVRYRLRKIRGL -LPLRLDDTRSTFTDLNKSAGYNKSGAKTKGDIKLAEIREVAKRLREGDLCEEYHSNVGFRSHLVKRNAPD -KTRVILVTAGPIVFVEKMFAHPFSEALVQHPYTVWATGFKWDRHGGQLIDHYFKDPSRACSIDFSKFDLS -CPNWLKRQIFALIKETFEMEEHEEAIFDSIVETHCDTTVKYGAEQLRMTHGVRSGSAFTHVMGTLISMFL -MEYCGVENYICYGDDCIAEADLKWLRFVTNSTSYVIHPTKSKMGELHWLGLKRKGQRWVMEDPDARVAKL -FLPEPGKRPYDLATRMQASVLNAGRDPLAGVMLDVLERSGNHALSPTLREELHYWGRTYTEVPFTTIREL -YDYMHAEFL ->APG78349.1 RdRp [Wenling partiti-like virus 7] -MVLPPALRGHYAHVKSLRFRRSRPNKQSFQKWIDKFIGRQRKADQLLDKGKWDFDLFESCLDTVVTQLLE -EKTTPIPLIPDAISSLTDYSKSPGVDLVGIRRYPTKGDVPTQVVIDAHKYMAKGEVHKVPDFTVAFRSHL -VREDEEDKARIVLVAPAEFVFVEKMFAEPLYNAITRIPLNQRKIATGFKWFSKHAAFISSHFGDSATSLD -YSGFDLSPPHWMIRQVFMKLKECFDLNDEEDRIFDSINQVHQRHWVKYHQQRFRMVGGVKTGTAFTHILG -SIIGASMILYLTDGKADALSYGDDVIMKGNWNIRRLARRAADTSSFVIHNHKSSHRLDWLGNRWDAANHK -WVLIDPVRRMGQLFYPESTTHVALEVQVQAHLYASARDPITEDLALALKQMSSRYTRSEIRDVKFMLKEL -DLTHADPITASERLKIWM ->APG78351.1 RdRp [Wenling partiti-like virus 13] -MSLNKRYAKCKAQGWTRRCPDKVAFGQYRSRYFYTQRVAKRAPWDLEAIDRIVEEVKATVRYTNTLLDVL -PLDSVHATFRDLNRSAGCCLCGECKRKSDIPYSELQDMQDLLRSGDPKYYPRYKVAFRTQIRKHVAKRRV -ILISPGPLAMVEKRFAYPFQKAMSQGHSNRPWATGFDWTKYGGAEALRFNHPDTKSFDFSNFDMSPPAWL -ISKVFDIIKSAFTLSPDTELIFEGIRASHMDAMAQYGSHRFHMSGGIRTGSSFTHIIGTLVGLIMTKYVF -GDVESLHYGDDLLVKTRMSINEACKKVRRSSSFVFSRDKSRVGISWLGLRYVNAKWVLDDPDRRWAQLFL -PSKPSAFLPRVQAALLSCGADPMRLELISWLKKGDRATIHPDLALFFPSNMQESYLPDFTEKNIFDLELI -MKRHFNGVV ->APG78339.1 RdRp [Wenling partiti-like virus 10] -MPVGQVTDPLYFKKYATVKAGGWRRSWPNSKSFGAYWQQYCFRQRLARRAPWDRDKWEDCVASLVEDLRD -VRLDPLRCEDTPSCFSDLSASAGLGLSGNKEFLNKGEVPMHEIFKCYDRLAAGRLHLIPDYSIAFRSHLV -RGDAQAKTRVVLVTPGPLAFVEKIFAEPLFRALKARRFPKPWATGFDWFSGDGSRVLQTFGAKSLSLDFS -SFDLCAPIFMIKDVFRVIASCFSLTSEQALVLESIATNHTDAHVLREGKRYHLEGGIRTGSSFTHIVGTF -VCILMVRYLTSLDVESLSFGDDVVLNTNVKLRVIMSRAHNTSSFSISVSKSKRGVHWLGLHYKSGFWEVE -DPQKRWGQLFLPEHVGNDATLQVQLLHAHLLAAGTGVMAAELRLIIQREGCTSLTKPSKRLLKKMYSLPE -DVFEHTGRNVLDVERHLKALMDSTSQNGP ->APG78344.1 RdRp [Wenling partiti-like virus 8] -MPIGKINDSVYANKWRKARAQKWVRSWPNKHSVKIWWSKYQERQRIASKAKWDLPRWDSVVASLKHDLHG -IRIDPLPLASAPSTFDDLGASPGLGLSGKKEYPTKGDIPMEEVDQMWRDLSHGRLHKIPDYSIAFRSHLV -KEHDPPKARVVLVSPGPLAIVEKCWAEPLYLALKSTRFPKPWATGFDWFSGDGARILQTFNNESLSMDFS -SFDLCAPTFMIRDVFRLIASCFCMNSVEEAVLDTIMRSHCYSWAKHGDRRYRMGGGIRTGSSLTHIIGTF -VCILMTRYLTSEDVQSCSYGDDVVVNTSVPLQEIARRARETSSFTISESKSKKGVHWLGLKFVKGRWEVE -NEDRRWGQMFNPEYIGDNPDLQAQLLHSHLLAAGTGRMAAEIRGIIRRIGITSIFPAMDRQLRKAVYLPL -ATDQLTRAKNIFQAERLLKMNMENM diff --git a/seq/clusters_seq/cluster_309 b/seq/clusters_seq/cluster_309 deleted file mode 100644 index 5468735..0000000 --- a/seq/clusters_seq/cluster_309 +++ /dev/null @@ -1,131 +0,0 @@ ->YP_009507722.1 NS2 [Orungo virus] -MAQEVKRKFTRTVCIYDPQNRTFCGRSAAMVCNSYYTIKMGRTTQCGVSPVPVPKSYVLEIPDVGSYRIL -DGQDSISVIVTETGVEATSERWEEWKFEGVNCVPMVVQTEIGKGLIDMEIKFSKGMGLVKPYVKNEVSRT -EIPRLPGASVIDTPIRDYRQLLKDQRDERRAALDAIACDICPTLSRMLGANITDGSPQVEEVATRPTQPP -AQLKLDVPNWDQPTDSDDEDEESKRVTTQSNADTEEQVTFEDCITDQYFSKTGEFAKKYPEMLAGLSAKM -PSETGRFTKILTTRKAQWNNVPLFELDPNGFTYHFSKLGNSTRIFCVQRDLSYMVLPAGQGLK - ->YP_009507711.1 NS2 [Lebombo virus] -MAQEQVQVKRKFTRTICVLDPGAKTFCGKVAKLEGKTYFVIKIGRTTQVGVSNTPVPKCYVLDVAGPGSY -RVQDGQDTVSVILSESGFEATGERWEEWRFEVLSATPIVARMAVGEDEFDAEIKYSKGLGSVPPYAKNEA -DRRMMPTLPGVKALDMPIRDFRQHAREHRDEVRAQLDRAARRLDPSSSRLLGASVGLSEEIPIESVLPQA -KREPVVFASASRELDDWGFDMQPKTSGDWGAKTPIPEVESDEGEMNDTHITTAFISKCSEAAKKHSTTFS -GLSARVPAASGKFDEVILVKKSAWGDVPLFRIDEATRKFEFMAIGTSTQVLCVKDDLSYMLLPTAH - ->YP_009507700.1 NS2 [Eubenangee virus] -MESKTVRRVFTKQVCILDPGCTTICGKIAREAKCPYAQLKTGRVCGFQAVKSPPPKAYILEITRPGAYRI -MDGQDQISMMIEEGGIEITTDRWEEWKYEVVTAMPMTALVDTPKGKVDAELKYAKGAGLVPAYTKNEFDR -RELPSLPGVGISEISVRDLRAKLKAERVMDNPRSEHRDDRRKSTRDETPVFDVSEMLKKVEEMRSEERSW -SDEMEDEAAGGSVDVQQDDGALWEDETAKDVDDESKVMLEDITEEEEPFNATNFITEAFVTNVSAYMKSG -DKRVVGLASSFPKSAGSFDSVVCVKKANWMNVPLFDVDVGKKGYGLRMIGDSAKLYIVKRGLSVMVLPAG -V - ->YP_009507738.1 NS2 [Warrego virus] -MEGKKTNVPRLFTKRVYVLDTRKSTICGRISQLAGLPYCKIESGRVVSVTACAQPSDRGYVLEIEGKGAY -RIVDGQDTISLMIDDYGVSMTTGRWEEYAFEMVSVRPHVMKVKEDRVFKNIEIKHGRGCAAVPPYMRVDR -EQNSELRLPGTERSDMDVSTYRQKRREEREGMDRNTQRLQPAHMRSEEMDRSSWSEQVNASKAQKYDEEF -NKFQESVRQIELEDEYPAGQQDDDNDDNFGLGLVKDEDNTSQKKGGEEREKKASQQDDFGLDFEEECAKF -NYLTDTYSELVGKIVAKNLKQLGGKSMIFPQLGDRYTEKLVVLTKECNNVPLYNIDEIAKEYRFAAIGTC -KRIVMVAKGNSFTALPAGAM - ->YP_009345886.1 VP8 [Orbivirus SX-2017a] -MEHKPKRFTKNVSVLDGSGTTICGVIAKSAHKPYCNISIGRNVSIKAVDNPPPKAYVLQIRQEGGYRIQD -GQDTISLMITASGVEATVERWEEWQFEVLSAMPMAIMMQYNGEMVDAEIKYAKGMGVVAPYVKNEVDRRE -LPKLPGLSESQYDVKTLRQKIKEERERGVTEIRSKIQPLEQETRRMESVEKSFAEMKAIWAAESKADVKE -ENVGGTYGADFFKPFPIESTKMPELKSLEQREQQKEKEVAQAQSFSVDVESGSEEEVEEPNYITQEYVDK -ASKVVKGGDKKIAGLAYVMPKSIGDFSKIIAVKKHKWSNVPLFTVDEKGLKYELQTIGECETVVYAARGL -NLLVLPVGI - ->YP_009163943.1 matrix protein [Fengkai orbivirus] -MEHKPKRFTKNVSVLDGSGTTICGIIAKSAHKPYCNISIGRNVSIKAVDNPPPKAYVLQIRQEGGYRIQD -GQDTISLMITASGVEATVERWEEWQFEVLSAMPMPMAIMMQHNGEMVDAEIKYAKGMGVVAPYVKNDEVD -RRELPKLPGLSESQYDVKTLRQKIKEERERGVTEIRSKIQPLEQETKRMESVEKSFAEMKAIWAAESKAD -VKEENVGGTYGTDFFKPFPIESTKMPELKSLEQREQQKEKEVAQAQSFSVDVESGSEEEVEEPNYITQEY -VDKASKVVKGGEKKIAGLAYVMPKSIGDFSKIIAVKKHKWSNVPLFTVDEKGLKYELQTIGECETVVYAA -RGLNLLVLPVGI - ->YP_008719924.1 inclusion body NS2 [Changuinola virus] -MESKPKRVFTKTVCVLDAYGKTFCGNVAKLCNKPYCLIKIGRVVSIEAVDNPRPKSYILQIEQVGSYRIQ -DGQDNISLIITNQGVEATVERWEEWKFENLSPIPMATMIMVADKRVDAEVKFVKGMGIIAPYTKNEMDRR -DVPDLPGVMPSSMGVKELREKLRNEREQGKVRESEIVRPAVVNHVVEQPKWEDLDTKIAAVTDWNADVPS -EIPHGSSEEEEEEGGEYLTGKYMKKVSTIVKNPDPSVLGMAFGYPKTSGPFDRIIVEKECLWTGVPIYDV -DQTDKSYRLVMIGEATKYLLAVKGQNYFVLPAGAGSA - ->YP_008658425.1 inclusion body protein [Wallal virus] -MEQRTQLRRVFPKTVCVLDPKGETICGMIAKSQHKPYCLVRTGRVICLKAISQPPPKAYVLEVVKCGAYR -LIDGQDQISIMLNKDCVEMTSERWEEWQYEMVSIKPMVVSLQLEYGVVDAELKYGKGFGSVEPYKKNELE -RGEVPTLPGVQQSAVGLRELRTKLKKEREEKVQGRSVELPTMKKVNVQAEQGFEWSAVREKVVEMEEEIK -DWAEHGSSEEEINDKQQTDDEEVVKDDDDEATEEGLVKVDSFITGDYVNYVSGMSKKKDERLSGLSLSFP -KIEGEFEKVLCIKKTEQTGFPVFEVDQATKTFRFRMIGKCDRVFVVKRSMSMVYLPAGGPL - ->YP_003240115.1 NS2 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MEQKQRRFTKNVFVLDQKRKTLCGQIAARQSLPYCQIKIGRNFALKAVATPEPKGYVLEICDVGAYRIQD -GNDVISLMISADGVEGTQERWEEWKFETISCVPMATVLNINGALVDAEIKVSKGMGMVPPYTRNDFDRRE -LPELPGVQRSKYDIRELRQKIREEREKGAVEQPHKPAFKTERWHEQPDSDEDQNLAGGVVNDWTCETQER -DQEAERREALEIRLAEQRQRIEAFKLDSERKREDLERSQEQFRTQEVEWKKPDMGEIIIEEDDEDSEEEE -GARASYITSAYIERISRIRKIKDERLSMLASMMPQQSGEYTTTLFIKKQKWDNVPLYLIDEMQKKYELQS -VGSCERVAFVSKGTNLIILPVGV - ->YP_052939.1 non structural protein NS2 [Palyam virus] -MGDRKRYTKTVCVYDPQNATFCSRGANAGENYYQVKIGRTTQITRTNAPNPKAYVVEIQRPCSVRLLDGN -DIISLIISEEGIEVTTERWEEWLFEALTPIPMVISLHIKGQKTEAEIKYCKASGVIAPYTPNEVDRRITP -QLPGITFTDVSVRDFRQKMREEREKEKERTPMITPIKPKTEAPVMGPSTSQQYQKEESIAVGKISDYVKK -VKLESNVERDSDDEDEHGSNSDSDFDGEPKDEGYITQNYMNMIETVKMKVPGVAGLTLKIPKAAGKTDGM -IAAKKCKWISVPLFNIDKDGLSYDFVAVGDANGFLCLRDGLSYLVLPVSGSVH - ->YP_052961.1 hypothetical protein AHSV_s8gp1 [African horse sickness virus] -MAEVRKQQQFTRSVCVLDLGQKTYCGKVVRAVDGVYYTIKIGRTVQCGVTPTPIPKSYVLEIRECGRYRI -QDGTDVLSLMITESGIEVTQNRWEEWSFEALTPVPMAVAVNVGRGSFDTEIKYVRGSGAVPPYTKNGMDR -RAMPSLPGITTLDVGVRDLRLKMRENREAEREKMERALSGGLDMGSCRMYGGGRNDVREITLDEAGPSRT -PRKLSVQSNESRSDDVARRHAELVEMERLRIMKNEPVRTESMWCQSDSDDQSDEDHEVGGTEPENYITEE -YTRRLNEVKTKYSKELSSLAMRVPKNEGNCGKPIFSKKCKWENVPIYNYDEASGNYRFVSVGSRTHYHCC -ANDLSYMILPAGGSG - ->YP_052952.1 NS2 protein [Bluetongue virus] -MEQKQRRFTKNIFVLDVTAKTLCGAIAKLSSQPYCQIKIGRVVAFKPVKNPEPKGYVLNVPGPGAYRIQD -GQDIISLMLTPHGVEATTERWEEWKFEGVSVTPMATRVQYNGVMVDAEIKYCKGMGIVQPYMRNDFDRNE -MPDLPGVMRSNYDIRELRQKIKNERESAPRLQVHSVAPREESRWMDDDEAKVDDEAKEIVPGTSGLEKLR -EARSNVFKEVEAVINWNLDERDEGDRDERGDEEQVKTLSDDDDQGEDASDDEHPKTHITKEYIEKVAKQI -KLKDERFMSLSSAMPQASGGFDRMIVTKKLKWQNVPLYCFDESLKRYELQCVGACERVAFVSKDMSLIIL -PVGV - ->sp|P33473.1|VNS2_BTV17 RecName: Full=Non-structural protein NS2 -MEQKQRRFTKNIFVLDITAKTLCGAIAKLSSQPYCQIKIGRVVAFKPVKNPEPKGYVLNVPGPGAYRIQD -GQDIISLMLTPHGVEATTERWEEWKFEGVSVTPMATRVQYNGVMVDAEIKYCKGMGIVQPYMRNDFDRNE -MPDLPGVMRSNYDIRELRQKIKNERESAPRLQVQSVASREESRWMDDDEAKVDNEAKEIIPGTSGLEKLR -EARSNVFKEVETVINWNLDERDEEDRDERGDEEQVKTLSDDDDQGEDASDDEHPKTHITKEYIEKVAKQI -KLKDERFMSLSSAMPQASGGFDRMIVTKKLKWQNVPLYCFDESLKRYELQCVGACERVAFVSKDMSLIIL -PVGV - ->sp|P32932.1|VNS2_BTV1S RecName: Full=Non-structural protein NS2 -MEQKQRRFTKNIFVLDANGKTLCGRIAKLSSQPYCQIKIGRVIAFKPVKNPEPKGYVLNVPGPGAYRIQD -GQDIISLMLTPHGVEATTERWEEWKFEGVSVTPMATRVQHNGVMVDAEIKYCKGMGIVQPYMRNDFDRNE -MPDLPGVMRSNYDVRELRQKIQNERESAPRLQVQSVSPREESRWMDDDEAKVDEEAKEMIPGPSRLKKLR -EARSNVFKEVEAEINWNLDEKDEEDRDEREDEEQVKTLSDDDEQGEDASDDEHPKTHITKEYIEKVAKQI -KLKDERFMSLSSAMPPASGGFDRMIVTKKLKWQNVPLYCFDESSKRYELQCVGACERVAFVSKDMSLIIL -RSAFRRL - ->sp|P27280.1|VNS2_EHDV2 RecName: Full=Non-structural protein NS2 -MEQKQRRFTKNVFVLDQKRKTICGQIAARQSLPYCQIKIGRNFALRAVATPEPKGYVLEICDVGAYRIQD -GNDVISLMISADGVEGTQERWEEWKFESDLMRTNGHSSKYKRSVGRREIKVSKGMGIVPPYTRNDFDRRE -LPELPGVQRSKYDIRELRQKIREEREKGAVEQPHKPAFKTERGMNRPDSDEDQNPAGGVVNDWTCETQKR -DQEAERREALEIRLADNDRESKHSNWIVRGRGKILKEVKNSFRTQEVERKKSDMGEVIIEEDDEDSEEEE -GARASYITSAYIERISRIRKIKDERLSMLASMMPQQSGEYTTTLFIKKQKWDNVPLYLIDEMQKKYELQS -VGSCERVAFVSKGTNLIILPVASNPR - ->sp|P10350.1|VNS2_BTV1X RecName: Full=Non-structural protein NS2 -MEQKQRRFTKNIFVLDANAKTLCGRIAKLSSQPYCQIKIGRVIAFKPVKNPEPKGYVLNVPGPGAYRIQD -GQDIISLMLTPHGVEATTERWEEWKFEGVSVTPMATRVQHNGVMVDAEIKYCKGMGIVQPYMRNDFDRNE -MPDLPGVMRSNYDIRELRQKIKNERESAPRLQVQSVAPREESRWMDDDEAKVDEEAREMIPGTSRLEKLR -EARSNVFKEVAAGINWNLDEKDEEDGDEREDEERVKTLSDDDEQGEDASDDEHPKTHITKEYVEKVAKQI -KLKDERFMSLSSAMPQASGGFDRMIVTKKLKWQNVPLYCFDESSKRYELQCVGACERVAFVSKDMSLIIL -RSAFRRL - ->sp|P23065.1|VNS2_BTV10 RecName: Full=Non-structural protein NS2 -MEQKQRRFTKNIFVLDVTAKTLCGAIAKLSSQPYCQIKIGRVVAFKPVKNPEPKGYVLNVPGPGAYRIQD -GQDIISLMLTPHGVEATTERWEEWKFEGVSVTPMATRVQYNGVMVDAEIKYCKGMGIVQPYMRNDFDRNE -MPDLPGVMRSNYDIRELRQKIKNERESAPRLQVHSVAPREESRWMDDDEAKVDDEAKEIVPGTSGLEKLR -EARSNVFKEVEAVINWNLDERDEGDRDERGDEEQVKTLSDDDDQGEDASDDEHPKTHITKEYIEKVAKQI -KLKDERFMSLSSAMPQASGGFDRMIVTKKLKWQNVPLYCFDESLKRYELQCVGACERVAFVSKDMSLIIC -RSAFRRL - diff --git a/seq/clusters_seq/cluster_31 b/seq/clusters_seq/cluster_31 deleted file mode 100644 index cc85514..0000000 --- a/seq/clusters_seq/cluster_31 +++ /dev/null @@ -1,1624 +0,0 @@ ->YP_009666362.1 polyprotein [Marine RNA virus SF-3] -MMKSSYQLTNMLENVTLSKVTRSVPDSTTNTPEWTKNKRSGSPATQRFGSPNNQPSGNYANRTSSRAYQP -LKGRQACVLLRTIRSRFKQDYPTWNLHQDFENLVLAEAHHFQPQNSDTSWFDEVLGQAKLLLSTLGVDGT -DVLEKMEHCAVFAWQVTHADSMVDYTCALSALLSSLFGTSLTRLTTRTFTTELMNLFKSEAGTAGTEAST -AFEPQSAEDFFVALRERFDFLTNIGDLPIMGKLYKLFLHILSRSLLEPLGVSFDTVGYTKFEEQAIRRAH -GSNLGFWHSLFDAVSLFCVMMTKAVKQGSWAPFLHNTTTYQEWVDTVFDLKGKQDFLGNAEAVGFDFFEY -QGQLDHAIEHGAAILRHIPTNQAREYGLVRGLCKDLGYIRDELLTKKAAQASRPAPFGILVVGGSSIGKS -NFCEILFTYYGQLFGHPVASEYKYTRNFNDDYWSGFATSKWCLILDDVAALSTRFTTPDPTIKEVIQVLN -NTAFVPNQASLEDKGRTPVMAKLVVATSNTSDMNASHNYGCPLAIQRRLPFVIKLRPKLQFARIDSPEML -DPTKLNKASSGTYDNYWNIEVCQVVQHGEGNSSQRAAHKIIGVYDDVHDFLVWYANAAKDHVEQQASLMR -AHEDMAAIKVCGSCYRPEVLCSCTRIRPDGWREPSGIDVAIPEVPEDDDFPYVSPHTHDDEPDQTKDPLL -YPTHPGDAAEFLPQSLTEVAGGALTLSWYVLQLYKFLGMWCAMVIFASAHVLGPVYVCRTMIVEFLAHHF -KCFVHAKIELAKQNAHRELRMLALAMEQRLSPLKQHAKVFGAVAGVLAIVVGAQKLLKHSAATRETTSAA -VVPQSDLTEVGGRPKPLSEERTNVWYNTIASVTAFDVAMGSASWKGLPLEQVVAKLGRSVVRLRLRCCDG -KIKTTGALALGGWLYAVNTHAIPEEYDTFSVEMIQTDSKEGVNPNVNFLLARTSIFTLPGRDLSIIMCKA -LGPKSGVRHLLVRPTFEGKYLGSYYTRTSLGFIQANELTEIIPMTHEWNPQEPTKVWQAKSEILTVNGDC -GSPMLARAPTGPVILGIHAAGNQHSQVIATPIYEEDVVAAEGYFGGFTMQGGEPMLNAPTAPERALVSLD -NKSTTRWFREGTANVYGSLTGPRARPKSCVVHTSMAQAAMRHGYVERYGPPALSGWEPWRIAYQDMLHIP -ATFRSDIIKAATRAFTADILALIHPEDLAEVMVYDTFTAINGATGVTYVDKLQRNTSMGFPWNRSKKYYL -TAVPPAHGVLDPVEISQEVLDRTQIILDKYEQGERAMPVFKAHLKDEPTSFKKIAAKKTRLFGGAPVDWA -LVVRMYLLSFIRLAQNNRFIFESAPGTIAQSSEWGEIRSYLTHFGTDRIVAGDYKAFDKTMPPEFILAAF -EIIIEVCRAAGYTEQQLQVVWGIGVDTAYPLYDLNGDLVEFFGSEPSGHNLTVIINGLVNCLYMRYTYIV -LNPEHEAESFKDNVHLMTYGDDNVLGVSRTASWFNHTEIQRVLADHGVTYTMADKEAESVPYIPIRDVSF -LKRSWRYDADLQDFTCPLEHESIEKMLITCVASKSVSREYQGISAISSAVQEYFFYGKEEFHKRSALLAT -IVVESELQAFVEPSTFPTWFTLAERFKSYGAPKRWNEQLDKGRQRVARQPREPKSSKKPEQLPAALEEAA -TDDDLDVVFIPQSDVNKNNQEDLARCGTRQRRVEPRNGPTLAGGTCDSRAQARTVRPFLPPVSNPHLYEK -QSDESTPMETSSATNETTQETVSFMDEGTTYATGTAAAPPSSAPADALTGAELGDFLGRPAQIASFTWSQ -SDVVGTTRSYNVWQNFFNNAAIRRKLENFAWLRCDLKVKIMVNASPFYYGAMLATYQPLPNFSPSTIVND -TGTRYFIPLTQRPHAWIYPQNNEGAEMTLPFFWPKNWLSTLSNADFADMGTLSLVSFTDLLSANGATGSG -ATFTIYAWAENVTLNGPTCGLIMQAKDEYGKGPVSSVASAIAAAAQSLAGIPLIRPYATATQMGATAVAQ -AATALGFCNTPVIEDTRPVRAGAVPVFSSSEQGYPLDKLTLDPKNELTVDPSVVGCGPHDELTISHLVQK -ESFLCRTTWTGTQTVDTLLFQSGVTPVMFDMDDLTQAKLYLTPPAWVAQMFQYWRGDVIFRFRFIATQYH -RGRVRIVYDPSGNSAQNVSNQTSTQVPCFNEVIDLTKDTNVEVRVPYSQALAWSRVIVPTSSTQLPWTTS -TTSTFEHVPGFTNGSIVMRVVTAVTGPTSTVSIPILVSVRGAPNLEFAGPAAIQARYSLFAPQSGDEYEV -TPSIAVTTGGPSQTDDQRFLVNHGECIFSLRQLLRRYSWSTFVGGKTNSDVRLTKYEFHRLPRAYGYDPA -GVHKAKGLVTTATNFSFNNAANHPLTWVSTAFIGTRGSVNWTVLPVTTNTLPSACSQLTVGRLTGVASYG -VNVAAFPAAFDTQLESALSMYLLGNRKFCNSGGVALTAPQTNVAVNVSCPMYSAYKFQTTDKSNISASTS -QDDSVIQAFRAEMLTANTSMCAMDLWAAAGTDFGLHFFLNVPTYHVYSGVPAVANG - ->YP_009666361.1 gp2 [Marine RNA virus SF-2] -MRRFAYLCFKFFLTKQTEKRPDSKLVIENGQDGWTYQDVYGERDFEVQTNAAAQFEWESQKCASRCFGCV -IQAEPETVLPVPTVGGPEVATNLPTTDFNDQVAGVTVSPDTNWAEADVGDANTLADLSGFLQRPVRIHTL -NWLESDPVGYLGLNTIDPWSLFFSNAAIKRKIDNYAFLRATLKIKIIINSTPFNYGAAKVVYTPLWTTHG -RIPTSTNALNLVPQSQLPGAWIFPQNSQGCELSLPFYKHENFIALTSATDMADMGRLSFLVYSALKSANG -VTATGVSIQVYAWAEDVVLAGPTVSLSLQARDEYGLGPVSAPASTVARLAGMMKGIPIISKFATATEMGA -KAVSGIAQLFGFTNVPVIEPPIGVRNTPLPQFSTSAIGYPVEKLTFDPKNELAIDNSIVGFGSDDQLAIE -SLVTRPCFISTNTWSVTTPVDTPLFTALVTPNMYVRSNTSQDLAMSPLDLVQRMFKYWRGDIIFTFKVIA -SPFHKGRLRLSYDPASPAVQTTGDTGPYVMNMIFDLSEGESEIEFRVPYQQAVSWLTTRTNTSYAGRAHA -ITPTPTFAAANDVNGYLSLKVLTLLTAPEASSSVDILMFVRGADNMEFSNALDVQTDLSMFSVQAEAEAV -AVAPGNVATSVATRGRIYMGEEIRSLRHLLRRTHYVDTLAMTGTANGSVTNITMGKYPPYYGYDGGGGIH -LARNQAGPANVPFNWSYTLPYHLLASCFLGQRGSAMWTFTSTDPSVNLRVMRNNSAAVSTATLIPATTTV -LPNADTNSTARARYLAMQKAGSGGSSVVAMDTQNGITVSIPNMVNYKFGPTNAFANTAWGTGASLEFDSI -TVQATTSKDNASTKILRYFSVGTDFNFQCFMYVPIWQVYAAVPAAPV - ->YP_009666360.1 gp1 [Marine RNA virus SF-2] -MNTIQFARGLRLAKVAPHVMLGSDTDCVPVRRSQVKPVTVTHSPVVRVYIQKGLTRTVHTFFTVHEVYAL -VRERLAKLQGPSWCRNHFKIAVTYRGRLLADRAVGLVEYGIRDGETLHVEVSGALCGGAPAGMLVGGDFY -PNVVDTWCARKKARSRKLDTFTRLSHEFAPTDRQRKVVVRRERSLEERAEEQFEKVRLEVQASNDYMATA -QSFVRTLDNAFDTDFVKMMEDVLVFMMLLSRARAKTDIMLAVLVFVKLRTSHALVADAMASITTLVDALF -AEDGPQIQALEDHVTSFRDMMGKWEESKETVIGKKYLKLMKYLVSFGVFSCIGVKPTEKNLKRSADMNES -VNHADFLYCVVDTLSFTLQRGLMFARTGEWSVFLHGPKTYAAWFDKCLDVKRKAYSMGNLEAQGTDYFSF -VAQIKECIEEGHAIVKFASRDLKSELKAAKFMLHEILMIEASVLTKKSAQQERRAPFAVLLHGESSVAKS -MFQKMLFYYYGKLMNLPTGDDYKFVRNPTDPFWSGFSSQVWCIFLDDVGFLNPAKATEDLSLLELIALVN -NVPLVPNQADLADKGKTPVRARLVVASTNTKDMNASNYFACPLAVQRRLPWVITVTPRPEFERADAVGMI -DPLKMSALDGDWPDFWTISVDKVIPAGKAGNRAMASFENVKVFGNTQDFLDWFGPVCKTFDAIQAKAMVD -DCRMKDIELCQLCNRSTPKCTCVQAHNEFVIPEGYEFGQNFELDYEDGPILERKNYMFDGKSYMCHTTFY -RDGVFVRDHVSPVSIRKTPEVQAVSQVDYADILNEVVERQKPRCETRVQKVTAWCITAVLKLYIRHSIVR -RTADWIVSFAIVRTVIKRVIMDFVPPSEMARTFFSFVGVFVERTMTPARWRKLLLGLTATVSAWITYRKM -CNWSVQGSNMSVPDTHFKKNEKENVWKRDDYQTTAFDVDPMSANYASLPTEQVVAKIRRNCARIFVGNEG -QMSIPGNAFCVGGHLWVTNNHILPEDGDLTVKFKVDPDGMGASRNVTFRLEQSSIYRESGSDLAYFEIFA -VDARADLTRLISSATLDGQFVAKYVGLNRDCSPRDTQVRAVVKTSEHCAAHDRVYTYWRGMVEQDTVNGD -CGTVMVGIKPTVAILGLHQLGGSRNMAFAVKLTQSSLARARGFFTRPLIQASAPRISSNTVKKVVGPLRH -RSPLRWLKEGSITVFGSFTGYIVRQRSQVRSTLCGEYIKNIRNWDVPFGRPDLSDWRPWHLAYKDTVNQE -NIASRSIIKQAVAGYVADVCAGLSEDDKANMRIISDHAAINGIARVQYLDKMNFNSSIGEPFNKSKKWFL -TPAPTEEQPDAKMFDEEIMQRAADIEANYRKGIRACPVFSGQLKDEPRAQAKIDAGKVRVFTGAPGDWSF -VVRKYLLSFVKVVQENKLLFEAAPGCVTQSLEWEKYREYLTKFGDDQIVAGDYGKFDKKMTAEFILAAYD -AITQILKFAGWTDTDLLVVFGIAEDTAYAYINCHGDLVMTYGANPSGHPLTVIINSIVNALYLRYCYIKL -NPTKECDTFKEKVTLLTYGDDNVMGVSKSIPWFNHTEMVRVLASIGVEYTMADKESVSRPYINISEVAFL -KRTWRWDEDVGAYLCPLDEESIHKMLCVNIPSKTISEEAQMLEVMRSAVDEFFFYGRDRFEREVDFLKQV -IATYRLTAEYDLKPFPTWEVLRERFWRASEGIYTKRLGVCYSRPE - ->YP_009666351.1 RNA-dependent RNA polymerase [Marine RNA virus SF-1] -MFFTILNNDYPPAVKATEDVHGMSTPFQDTEMSFHQMDQQLLLELPVSHFRSVQAKRTQRNACKLNQRQA -ARPLTPFRVQSSETALSTWRSSQSPPVYRNSRTAFWVTLGPKSICGKYHDLSVEADAFAHYCEAFAIGFY -QLCRAQSWPDSMVAIAAIAKMFDGYIQMDVTAFVTVSALLRYYFPSEKATSSDGLEVQGMDQWALPALDG -AEDWLAFYKNIKKSKAYTKVYRFFMYGLSLSLFDKMGIDMDVLKYEPVAQEAIKAKYHMGEDFVITMLDT -MLFVCRRGYQCFKSGSLQPLYHSGSKYQEWFDKAELLNRRALQLCNAKAHGFDKFSYLADLKSVIEQGES -IRRNVEQREDKLLVQRLLATLKINLDNETTKRAAQKVRSAPFAFLVHGKSSVGKSSFVDICFKHYGKVRG -LATDAEYRYVRNPAEEFWSGYDTSKWCIVLDDIGFMSPSLGTLDPSLQELLYVVNNTPYVPAQAELSDKG -RTPVMSELVIGTTNTQHLNVHAYFSCPLAVQRRFPYVLTIEPKAEYQTEDRPGMLASHLRPDSIPGAYDD -LWNIHVNRVVPIEKPGVEQGRLEHVETFTSMRSFLPWFNAQILEHHRIQDIVKTSLEHAGEVAVCVCNMP -IEWCNCAHVQALEHDLFGEREVNQQLDEVYQSTLLAEQELDGTELTATKLFLSSGFVTQLVLLWYLHLYV -CVHTVPFFNAIFSLLFGGNWFWRWVLGSTYKAEVTRDVFKFMGRHVKARYGNVQHLAKVAASLAACYAVY -RGGTTLLDIWQRMSPQGQVQTVPLEKVGRVPTPDGSTRPDVSYADPMSFNVSDLSQTSLCSKGRDPEVIR -KHIERATVVLHTRGDKLRTVTALNVRGCVYMCNNHAIPEEGDFFVDIVDDENCNIRPGVSNVLVTQSMVK -RYPARDLAFLRLRVRPPGTDLTEYFAAETYGAKIDGEYVGRYVDGRTWRRPVRNIHATYHYWVSHSKHVE -ARTWTGTVEIPTVEGNCGTLLWSNTPKGFVFLGIHTLGRDDSVVSLALSKEEVARACEALEPKYVNRGEI -VISAPSKTRNLGPLHAQSTVHTSNPGSARVVGSFLKEFRQQSKTNVGQTCIAQACEKRGFLIERTRPDMS -RVPWRLALNDMTRPVTLLSEDILQEAVRDFEAVPDLDLSAVHVYPLSVALNGAPGVTYCDKLNRKTSAGC -PYKCPKKRFLRFVDEATSTDVDVVDEIKDEIRRIIATYMNKERVHPVYCGHLKDEPVTFEKAISGKTRVF -TASSLAHTLVVRMYLLPIIVHLQNNRFTYELGPGTIVQSLEWQKIHEYITEFGQDRIVAGDYSKFDKRMP -ANVILAAFEIIENICARAGYDEGDLNVVRGIAYDTAYPLVDFHGDLIEFYGSNPSGHPLTVIVNGLANSL -YMRYCYIVLRPIGAASRKFRENVKLMTYGDDNIMGVAETCPWFNHTAIQTTLQNVDIGYTMADKDADSVP -YIHISQANFLKRTWRWDEDIGALVAPLDRSSLNKMLTTCVLKANVSPEAHAIEVIGTAVREYFWYGRKEF -EDKKQLFHEIVDECNLGVYVMATTFPTWEDLKQQFWDNSRHLRMEGDTEEPGY - ->YP_009666352.1 structural polyprotein [Marine RNA virus SF-1] -MVCGLVSPRLNQTTPKINKQGGAARECGTSESKTEKSWSLSGEQRQTPGENEEYFVNPKSEHPRWTKGET -KRRARALKHSLEQESLDLADFDSDFLLDKSAVERSLSITDPPDCAPILQRQQAVPRVCEACKWCQVQSQW -QVQADVIPSVETGVTGDQRQTVTFLDTNPGDHAGIERSIDSSMTADQTPNADLREFFSRPVRIASFTWLE -SDAVGTSHTFNPWNLYFTDQRVKYKLNNFAFIQAELEIKILVNASPFYYGQMLCAYQPLPGLTPSTITND -TGTRYFIPYSQRPHVWLEPTGNKGGSLTLPFFYHKNWLNAQSAQDMTDMGQLTFLNYTTLASANGVAGSG -VTVSVFASAKNVRLSGPSVGLAVQSDEYGEGAVSGPASAVANAARWFEDIPVIGRFATATRMGASAVSNI -ASLFGWTNVPVIADTMPYRPEPFPHLASTQEGYPVQKLTLDPKNELTVDPQVVGLPSKDEMNIVHLAKHE -SYLCTSTWSTGNAVDDILFSSRVAPTMYDNDGATNPKVYMTPMCWLSKRFNNWRGDLVFKFKVVASPFHK -GRVRISFDPSGYAGENIISDAVSTNVVFTAILDLDGTNEVEFTVPYQQATAYLNLRSNVAPTNINWSTST -TPTFAYNPLYDNGTITMRVSTALTAPVALSTVSILVSVRAADNFELANPTDDTQLLTTWAVQSDTIEVGL -GNHQGVKPPEQNLINFGERVVSLRQLLRRTTWVSASTIPADTTHQYVMFRKLFTKIPGMYGYDTGGINSA -QGLVVPASNFKFNYSLNHPLCWFLPAFVAYRGSTNWTFNVLGGKQPVEHMRVIRNNRPSTQPSEIIDTMT -PGTTSANAAWFSSKFLAGGSGQALTNQRTNAGLSVQCPNYSVFKFQSTSPTYYTAPVAADGSFYDQFQLE -LFLSGTGSGPTPADTILQSYAAIGTDFGVHWFLNVPTFWLYSSNPTAN - ->YP_009423855.1 hypothetical protein 2 [Tioga picorna-like virus 1] -MQSSVVQYVDEDAGLTVGVGAGATEFSQHDATTNTDISKFLERPVRINTLTWFESDPVSTKTALSPWNLW -ATNPAVQAKLRNYAFIRGNLHVKFTINASPFYYGMMQVNYLPLQNFTPSTIIADAGQRWLIPTSQRPHIL -LDPQDSSGGEMILPFIHPMNFIDCGSAAAFTELGFLEYNIYSRLQSANGVSSAGASITTYAWMTDVVLSG -ATVEFAAQSDYVIQSDEYGQGVISKPASTVAWAAGYFEKIPIIGPFATATRIGASAISAIASLFGFTNVP -VIADTEPVRPEAFPKMASSEIGFALDKLTLDPKNELSVDPRIIGLPDGTDEMMISNIAQRKSLLGIATWS -TSDLTDTIMFHSRVTTHLFDMDSAVQPLVFMTPMCYMDGLFAHWRGDIIFTFKVVKSKYHKGRLRISYDP -AGVSSNNIIGNVLTANIVQTVIMDIGEGGEVEFRVPYQQAAQFLRTRTDFAVGNKGWGVNSTPPTYAYDP -EFDNGFITLRVLNILTAPVASSSVDILVYVRAAETIEFANPKDISSSQLSPYLAQSDVYMENIPENEVVL -GDSKLAANNQYVVHFGENIRSLRQLLRRYSYHSSTYMSVAAAAASDNLTVVRKLFAKMPPTPGFCPFGTE -TANKIVGVGPTPYNFCEYTPLAYIMPSFLVYRGSVNWTFNTIGPGLPLNELRVIRDNTGGASPGYNSITV -SGSASEYARALSLNRSAGAAGQSLTNANTNAGVNVQCPMYARQRFQSTYPLRANRVSNADGSDQDMFVLE -GVIKKNMGEPITSVVINSYVGIGTDFSLHYFVNVPTFTRYSVVPTAV - ->YP_009423854.1 hypothetical protein 1 [Tioga picorna-like virus 1] -MSTTQPQTPKPKPTHTRHDLSSSKGIHIICHNCGRRACISDVSNIIIYREQCTNCTFHVQSLLTRETKID -IQNRIRSVHEWLYFGNSYGNSFLSHYVKVPAELDQHLNLLEDTMIFAHHILLSNNAGDRCIAVVNFCKLR -GSRLGFTSALLSVVSTLFEPHTTRAQDPLYDEILQRVDFEAQVLESGEDNIFATARSYLGCFDKLKETAM -YKKLYKLLLYILSTGLLSGVNVSFESLNFSKFEAEAVKRTHRAGVDMFQCMLDTILFVCDRGMVYMQTGD -KSVFLQSGSSYEKWIGTANRLIRDAKFLSNPEPHGINKFAFVSDLKDAIEKGKSILRFTAGLDKFEKTYL -ARVLSDLQLIESEELTRKNSQMPRKDPFAVLVHGSSSICKSQLKQILFYHYGKYFDLPTSAEYMYTRCPT -DEYWSGFNSTQWCIVMDDIAFLKPNGEVDPTLKEMLQVKNSVPYCPPQAALEDKGRTPVRAELLIGTTNT -KDLNLHAYFACPFAIARRLSYIITAHIKPQYSKQGFMADSKLIPITDEGTYMNIWDFEISIPVPGKDEEI -DAQQTKYTSIAKFHEINDLLAWYIGVAKEHAAAQSKALNADTTMSGVEICKECYRSMSWCACEPVLPFEA -QTESETTEPEEDFSTYPWDFQLKLWFYSKTILAQQNEYPEIVGNTLAELAKYHFMFSVLGYVALFTFPLI -TLMTVVFAYTAHSTFVHFWTLVSTWYSFVLGDAWKYKLLFTICGHRQSTYKMLFKLATQRVKKNFLTQPH -LTKLSIFLTSVSVVFALKTLWNQFMPKMAEQSTFGTVPTPMEVEKPTFYYQDPYINTGVEISGASKCVQD -DNVSNSVRDATAKLILRFDNTPELAMATTAFNVHGTIWMLNKHALREGDGKLDVIIDDVTQNVSRNISRV -TFEQSDIRTIQGTDLAFIEIRALPPGKSMIKYFPLEPIKGGRYGGKYHTITKTGVRAVNVLKDIHVGKCP -VFGIPGFQAKSAEQTISGDCGSIALADIGAAQVLIGSHTCANSSRSVFAQAITQKMVHAAVSSYKPQVDV -GPAPISAGTYKRKLVPLHHKSAIRFIPKGTATVMGSFDGYRPKHKSKVSKTFIRDYVTKDGEYEDVCGAP -DMTWKPWNLALTDMTKPDYSFKNSILDECEEAFLQDILNGLGDKINQLQVYTQDVALNGAEGVTFVDRLN -ISTSAGNPFKKSKKHFITLDENNKITGLNPVIQERINDIEETYASGKRYNPQFCAHLKDEPTPMKKVLLG -KTRVFTGGEFAWSVVCRKFLLSHIRIIQNNPFVFEAMPGVVAQSVEWWKLYRYITKHGLERMICGDYGKF -DKKMCAAFILAAFNILIGMAKEAGWNEEQLTTLRCIGFDTAFAHIDFNGDYISVQGNPSGHPLTVIINCL -VNSLYMRYAYRMITTRPLSDFQKLVALATYGDDNAMGVSAEIPEFNHTSISQELGKIGVVYTMAEKEAES -VPYIHVSEASFLKRKFVFDHDIGAIVAPLEHASIHKMLTSCLKSGSLSHEAHSICVIETAMREYFFYGKE -RFLERRAYFQKLIDNLDLSDWVRDSTFPTYSQLVRDFWMRFGDTENAEKYASMHDC - ->YP_009345913.1 hypothetical protein [Beihai picorna-like virus 57] -MWSIFPSGGRPETAVWEPPEYLYRLGISLSSRVFTDDEAAAQTEHRYRGSPHLYSYVDMAVYNPTSSSLL -SEKHGPLAHPVLSKTMPMTTFSKEGSFEAPPESAPKSSVDETEAPWIKVQRKVKPRKVELPEEKSVSARP -KRRKPKPGSKKFQAEKLLEEEEEAPRPSQGARREAVEPPVNAWRLEELEMKRLASHQFNFLPEPQELPDV -DPFGLGPIEENEAIYNPQGKLIRQFNELDKDYWNSANVKKLLEEPFSWPRAVVSLMREYDAIRDKPKRES -RGVNPLRESRHNWGLDRKRWVAPITPDAPVVARPLRYSIQAYRRWAAGEIWKLQSWLIKQTSAWRKRQYV -TGNLAAQYLWDGARPASARERDHMLTKARFYIGDYNAPATKEVPHPRLIDFTRSPNGPLFLKSEELTSED -LDDPVLQKNKPVHRAVSAYLKGSRSIPRDTIQRSIDKLHYQGLFSVDVNVTHEIIFPEVQTILASVGLSE -EKARRYVSLASLVVGVFTSTSVLNAGSLFTMYFVNHPVALNYCLDLLKRIPSVTHQGIGSAFRDHVYYPL -WEAVASAGVFTLLQEFPSSVADLFVPLIKDMVELTRKGLKKESATAVAKWVITWVTDIFGRIKLCIESKS -LAPLWGSKWDPRKWEAYANGYQQYFVQLTIQADASPSTIETVVRLRDEGHIPSHWTRPLTVGEFLLECKD -HLEQGKELVSYFSGQPTVSALLRRSIDKLQSFVSATEIQATGVVERVQPIFIYLYGKAGVGKTNVARQLA -KAIGRRHGFSIDSDGIYDFQGGGVNFQDGFLHTHWCVIMDDIDQSVAPPVSGVRNHCEEVIALVNNKPYS -VEQADVNLKGKVRANPLVIFYCSNFDNGLAQQYLREPHAFWRRITYHVTQTVKKEFSTPDGRLDTEKARL -SETHDMFTFKVAKYKPNKQDENVFLGRSQELSINELFKACQEAFKSQLQHQTRLLQVRASLPRACTECGL -DTDRRCGCVPVEEPDSSEDEDSLSEQNEDQGLLDLYACLHTRLAIGWASVISPGMVRVLRYLSHVPRALT -DPKWMSHRVAEMELTRMQDQLAVGLASVALVGVGIMAVRQLVASVSEDTLQGREANAGIVNLPSNWVRAD -QTFVPGIPSFGKSTFTKEDAQRAIQDSHCYVSSDAGQSMSAFVFGPSCLLVPTHVLDGATKLSVTQSKSA -TTIEVPISPHTFALIPSNRQLAVVFAPNLTGVLGISKKMMGGVDESIHSFDEVEIYGLALVYSPTSNAIK -MQAGTRVLTTNANTQNGDCGMVYLCRHNQSWKIAGMHYAINATTRAGGTTYCTVAGLTSALEISRVAAQF -GQTSAEVRTITQSVSKVPEDVTFGKFGVNSEIWAAQSTGAVVAPLGQLYPPLPGSTMKTKIEDSLFREDL -TEMEAEHCGEVGYWRPPVFRGKMEGSTWVSPFTNMFVTQNLANPDIQLMKLALLDYVSGMARLDHSGYAV -LSEEQAMAGIPGSYVNGVNMKTSVGPPYCVGKSRHAMVTAEGSWFSDNVAKMCDEIEEVLAEGGIPSVLG -LCSLKDEAVKPGKHPRVFICLPFSMNIVLKRYGSPWKSFMRANPEFFESSVGINMTSAECNRIPRHLATV -NPELDEVYDGDLKAMDKSWCPAMFEFVSLAVYVMCSLLGTEPDKNRRLILAMRHVTYSVKNDLFRACMNP -SGCDATVELNGMCLSLCERYVYYRSHPFTGDWAAVDSWFAGILEKPEPPELEGLTFRRNVALIHYGDDNI -KTMRFPPSKDYLKIWKEELGFIMTSASAIKGVLETLVPAKITEVSFLKRMFVWDDELGYYIPPLDMRSIT -RMLVIKKDSSLTRNDHAATVLTEAHREMVYHGEEAFEAFVATAKELAEKHDLLNNPYFDLKPFAHWREQM -AKDTFQTWSLRDNVAPEDCGLNLIFQSMDSLNLAEPPIEAPVVSETFNMTHETGAIMSSAAAQVSASDEQ -PSVHQTMPRTDLGNFLLRPTYVSQTTLVDTDVPNTIIHDFDPWGLFRTTPFIADKLNNYTYIRGTIQVIA -VVTVPGGAYGRYAISALPNAGPKDPTLEISHGLHPENCLQVDHYGLIDGAEAESVVLQLPFAWAYDFGTT -DGINSAPVDAMWTISTTCLQPLSTGVTGGLTTGYVKFYANLLDDFEITVPNFQSKKKHQLVANDAMRTHA -PKVHAMIGEGKGSAIAGQIATVAEAATKVPVIGAMAATVGQVARGAEMVLDWFGFTRTSEEKAPMPITQR -SVTNVAHVDGADSGDTAALSFMNEISIDPRLSGFAGDDCLANADLFQRWTRVASFTWSTDDVPETNLFGM -PVSPSYAVENAGSIHMTTAGYYGLPFEYWRGDMEYKVMIPVSKFHRGALQILWISDDNLPGFDVTNAALN -LIHEVSSGQDIEFTVGYARERPYLHNRLITAQVPIVPYGVAANGFLVFRIINPLTAQSIATSTTVTIFAR -AKNMDFTVPRDTLLYTDGVDPVEQDISTTLVLQGALGDDGGVKEEPPFPLVPPSGPFPGDSLYFGESIQS -VRALLQKPSRLPEILVAGQEILRSPYLPPVDGNDTVWTWQGHYAPLFLGLAYSERYKIYPKNDSWIGMSR -TTYSAAAPATTSTLAPMTFCGPNFGAEFNIPYYTPEKFRLTRDLDAPAYGDSVVRLNVYGRSATAVAVVP -YYSYGPDIRATCFRQVPAVSFRTAISVAPWWVY - ->YP_009345030.1 hypothetical protein 2 [Ubei picorna-like virus 3] -MAPTNQFEELDCRIEQGRVSVPEPTPGVTPVGSPVYTDYTALDMSVQSEPIPAMSGVDLSADVHETVTFN -DTSRGAVAGLDIAPPDVTSIDQTENIDFVKFLSRPVRIASFTWAEADVVGTTRNFNPWELYFSDARVKYK -LNNFSFIQCKLKLKVLLNASPFYYGRMYMGYQPLPTLTPSTIQNDSGTKYFIPLSQRPHLWLEPQLNAGG -EMTLPYFYQSNWINAQSNQAMIDQGRLSFVNYTTLESANDAVGSGVTISVYAWAEDVKLSGPSVGLATQS -MVVQSDEYGQGAVSGPASAVARAASMLEKVPVIGKFATATRMGASAVSSIASLFGWTNVPVISDTHPVRP -DAFPQLASTQIGYPVQKLTLDPKNELTVDPTVVGLTSDDELNIVALAGRESYLTTATWSTADASDKVLFT -SRVTPRLYDTDGATNAKIYMTPLCWVSALFNNWRGDIVFKFSIVASQFHKGRLRISFDPTGSAAMNIISE -VNTSNVVFTSIIDLGVSNEIEFVVPYQQAISYLTNRSTGYTTANLAFSTSATPTYTYNPQFDNGTIMVRV -LTALTAPVAVSEVKIMVAVRAADNIEFANPVEAPDFSMFAVQSDTITSATLGTMQNKHCKEQNLINFGET -VKSLRLLLRRSTLVSVSTPSPDTTSDVVIWRKNYSKIPGMYGYDPGGINNAKGIVVPGSNFQFNYSHGLP -LTWVLPAFVAYRGSTVWTFNVASGSTPVEHMRAIRLTAGTQTAGETSTTFALGTPGPNTRNFYFLDPGAS -GCALTNSRTSAGLIAGAPNYSPYRFQSTAPKYYTVPTSADGSNLDMYRLECMFSGTGAPLPGKSTVWAYH -AIGTDFNVHFFLNVPTFWAYTSVPAAN - ->YP_009345029.1 hypothetical protein 1 [Ubei picorna-like virus 3] -MSCLKISVNMYSALDSFDGSDEMHQARAFLGSSVPNYQLYCNALEATTSIKRTQRERTERKRNSQAIHFA -TDVRDVLRSAKHTPDTTQCVVQSAEYPMFEQFGQYLKDIKIPGGTSTAMQTWFHNNNRRSGMSLLGKYVD -LNPEVDTLCNMVESMGIFWYMTARATNSADRYVALATYSKLMNTHVSEYALGFTMAQQAFNYFCPQDEME -TQSATLPIFDTMRSFLDKFEVIQASPFFTKLYKFSMFSLAHSLFKPVGIDMDFLRFDTIAQESIKRKYHL -GPDMVHCVLDTVLFLAERGYQSYQAGTIMPMFHSEAKYQDWYDQAEKLNRQAHFLSNPEVHGIDRFAYLA -DLKDAIERGHSMRKCTTKKDEKLIITKLLCALELTHDLELTKRAAQADRKSPLCLLLYGGSGIGKSTLQN -VLFQHYGKRRELKTNPEYRYVRNPADPFWSGFNTTQWCIIFDDVAYLSPKLGVLDPTLSEVICVANNVPC -VPNQAELADKGRTPVQAELVLASTNTEDLNTHAYFSCPLAVQRRFPFVLDVTVKAEFQHVDRPGMLDSAK -VPPVVVGSYPDFWNFTVKRVEPAGVERHNQRGKLVEVTRFTSMKDLICWYNTVIDDHMCTQEKVDVGTKS -MVETQLCTECKLPTEWCTCVRVQADVVSDDPPTTLAVYVPPTYLEEPIVEEAWKWPLLDYLSTLDTVTWI -VVIWYAIIRWFWVDSRIAFIPTLMFGDGWYARMLLRSKFKFRLVRAALGAVGAVNEVSLGGGAKMRNIAL -AVGGCLVAYKTISAVRGFFGTTPVQGGVSSKHQPAHEALASIGKRPDVSEEYVEKPSYADKYPFSTADLS -QTTLCSKGQDGNLIAQHIEAATCMFISHGDGATRITTAVNVRGATYILNNHGIPPTCPFFLDVVCEKKGT -LSGSMKGIKITESMVHRIPEKDLAFIKLRCRPPSSDITNYFCKKSYVGLLEGRYIGRDVTGKSWTREVAN -IKLEARKWLSHEALIEQPVWTGRVAVPTVLGDCGSFLLSNTPAGWAILGIHTLGNNKESVMAMKVDMETV -IEACNTLEPEYCSRGSVKISAPSVTRNLGDLSTQSVIKNANNGVANVIGSFTNEFRQRSRTNVVATFIAP -FLLKYGYEASRTKPDMTKRPWVNALNDTTRPVVLMDNDVLDAARDNFIAETSNCGVGGVHVYPLDVAING -CPGLVYCDKMNRKSSAGAPYKKSKKHFMYFLNEAESTDMDVVDEIKDTVADMIATYKRGERVHTVYCGHL -KDEPVTFEKSAQGKTRVFTASGISYTLVVRMYLLSVIIHMQKNRFTYETGPGTVAQSLEWEAIREYLVQH -GEDRIVAGDYSKFDKRMPANVILAAFDIIYDLCRRSGYADDDLKVVRGIAYDTAFPTVDFNGDLIEFYGS -NPSGHPLTVIVNGLANSLYMRYCYLVLRPPTDRTPFRSNVALMTYGDDNIMGVSKNAEWFNHTAIQKVLA -LVDIGYTMADKEAASVPYIHINDANFLKRTWRWDEDVGAYVAPLDSSSIEKMLMVCVAKPNVTPRHHAMQ -VIGTAIREYFWYGREIYETSVAKFEEVIKAADLELYMDDTVFPTWESLRDDFWSRSKHVKLQRPVADQ - ->YP_009337791.1 hypothetical protein [Sanxia picorna-like virus 8] -MHCVYHKLGIEVDSEIFDKLEKQKIRPNLLNCLTFLDACASLLIFLLKQGRQVMITGDFEHLFIDGDSLS -EWTLKAKKLKMNSEFLGNPGAVGLDVHTYIKDLESCIAESKSLTKFMKVNTPEGKYFHTIQFELQAIEKR -YLTVTAAQAIRKAPLAFIIYGDSGIGKSSILTVISDFDARRRGRNTDPTFRYTVTAESEYYDGFKSNMHT -IIIDDAAIHNPSKIQGIDPTISDVMRIGNGIAWCPPQAAIEDKGRTPMLANLLMVTSNVHDLNIPIYYKA -SYAAMRRLKFRIEPIVKEQYAGLDKISLDSSKCGGDTNYPDYWTFKISVATRKAGMCGAYEPHCEFSNMA -HFLQWLGTVSDLHDREQELWLKNSSSYDITLCEHCLNPDDMCLCVDVQLQNLREVDGKTVWVADLTPEEI -ELRSAHRIKYGSGRTEKEKSEEAFRAEFLAAHGSFDLFNSVKEEVRPWRTTSYETRKKRLYTVDLSKKFI -ELFKEKALPLHLEYYAYKELPSLLTTGWKDDDILHDFYNYSTYCTENAAVDDILQMATFFVENEISIPNQ -FYLGGFMDAIFKMIVSIYFYSAFVRGAVQYISKFEFIRRIAMKFLRPCLLRTENQKFFIKKLGKEIDNKL -GGGSNYIKYALGFLSVSSVAAVAYSFWSKSQNSTSNEGDEVTTIDCNLEVCESNIDHDDVPSEVGPVIQA -LRDFGSHPKPSPGDEKVNMWSVEDRAITTVDFVPNMCRDTVGFERKMCRNTLVFETFEPTSVGAWKYSGI -LTILSNEHFLTNSHSIPTGIDCKFRVYLGRNHLVSPKIEFIIKQSQIERIPERDIAIVRTNNFPALFTDI -SRNFVKSTYNGVYDGFYLIKQMDGSMKKIDVLNIKKMHLTRTIQGVFFDMEVFQGKAVSPTQLGDCGAPL -IANTGYGPVIVGFHMIYDEPNTVFAAKFSHEDFNVFSKQMQVQVGKIPVGDIEVYLAKKSYIDFHETGNL -VYHGELKTFRSRPHHNVVDSELAAQIYGKTLNNTLLERRLCGPVMDSWRAQQTGLKEFLQPVKYMDEEVL -QEISNMWTAHILKHLAPSELDLIEPCCLDAAVNGVPGMAYVDSIKRSTSMGFPYYTTKKAYLETLRDDRW -PDGVKFTPAVEKKISEWMELLRNGIRLHAVFGSNLKDEAVSFKKFEAWKTRIFFSCPAELLVIVRMFYLG -FARVVQRNRSLFWVAIGLNTTSPEWEDLFQILSKFGIDTCIAGDHVYYDKRVKMLVMYYVMDSINRICVA -SGHFTDEMQLMMNVLKHELMNPTVDFFGMLITLLGGEVSGHQLTTIFNCVLNIFYLMYAYKMAGYDLADF -FDNVIGVILGDDHVLCVSQDRPLFHHTHIKDVLEGLGLGYTMADKNSESTPYISLYEASFLKRTFVYDVK -LGLHVGPLEFNSIVKMLTIQVKSKTVMLSTQLAQAISSAMSEMFFYGEEAFEEFRQFIISLEKSPSLKEQ -MLDFPLLTFDVYKRRFWNSSKNKAYDTGLQSQKIHISNSYCSDPTSILNGEERMDYLCYHARAFPEIHFY -GSMELDTQQDCKGREIKLSQDHENYQLSKTNEQMNAIPSEIPATEGSESSTSQQTQFVNETASEVLEMGV -PHDMTAKSLITNSHLAEYLSRPTKIHSFTWTENASAGNISTITPWGLFFNNANIKTKLDGFGFIRCKLHL -KFTINASQFYYGSLGAFYTPMHNVVQDTTGSTYGYAPGFQVLQSQKPHVWLDPQTTSSAVMELPFLYNRH -FLNATLLSDFNGFGKIDFTQFAALRSANGVTTTGVTVVVYAWATDVELTGLTSRAVLQSKKEYVGNGQIS -GPASTVANVAKRMTDIPVIGPFAKATEMAAGAVGGIASMFGFTNVPNVKDVEPFKPTSFHTLASSEISEP -INKLSLQPKQEVSVDSTFAGDPTTDQLHITNFCQRESFLCGALWGTTAAEDTIVFTSYVTPQLYEKSTGA -NPHVYSVPMGYVANMFEKWRGDLIFRFKVIKTQYHRGRLSISWDPVQTSMASMPSYGNPRVQNIIFDLED -TDTIEVRVPYMQSTPFTTLRDGSLTVDGPWWSNGPSPAQTAVNGTQNGIIQVRVVNRLTAPEATSDVDVL -VFVRAANNIEFAAPNEILNKTHMVLQSKKEFVMGYPSESDPMSYSEVYGEKISSLRQLLHRQSKASTQVI -PRTANWDGSTLIANFPFQRTPKPYGYTARGGEIAFGTLVPASTFGFNFVRVHPISWLQPCFLGTRGSTNW -TFNVVNNDGKSTVPVMSISVCRNPDSANNKAYYYAIPGTLSTSSLMREHNNSTGVERQGAQGMALTNQYT -QSGISVNLPYYSRYKFLVNNAPLAYNLTDAGNDEKNTDWFELTVKRGITPATTDSNVFIDTYVGTGPDFD -FVFFINCPVFTYLVPPTASTTA - ->YP_009337756.1 hypothetical protein 2 [Sanxia picorna-like virus 9] -MGVFEYTYVTHTSPYSPQSDEYSDSMSNSSVVAEEQLVTFVDNSVNTGTGATASNHVFSSSDGTVSTDIE -AFFKRPVRVNTTSWLESDGVGLKTTLPIWQLWANNTYVKNKLNNYSWFRGDLKIKLQMTASPFYYGKMLL -SYQPLPSFTPSTIVADSGTRYFIPVSQRPRLILIPGESDSYEMTIPFIYPANWLNIQSSVDVAAMGTLSY -YIYSELQSANGVTGTGITITAYAWVENIQLSGASVGYAMQSDEYGEGCVSKPASWVAKAATYFEDIPVLG -PFATATRIGAGAVSAIASLFGFTNVPVIADTNPMRQESFPKLATSEIGYPVEKLTLDPKNELSVDPRIVG -LPSGTDEMAISHLVGRESWLTKIEWSTADSVDALLFYSRVNPLLYDNDGATQAKLYMTPSAFVSNMFDAW -RGDIIFRFHIIASKYHKGKLLINFDPTGYTASNIGNTTSVSNVVFTQIVDIGETHDVEFRVPYQMATQFL -TIRPSLTAANKGWAVRTAVPSPYPPSLLYDNGFLTMRVLNVLTAPVAASSIDIHVYVRGAENLEFANPCE -IDTNHNLSLYAPQSELGELPHADNMQLSKTKKQADNQYLVHFGENIKSLRTLLHRYTLHTIEPLYPSTSA -GLLSTMYKNFLKMPFTYGYCNIGYSVANKIVGAGTANFNYCNMTPISYASLAFLCYRGSVNWTFDVSNPT -PAKHLRVIKDNIHGYNAGLGTSSNQGTTQSKLCYTSLRNNGCAGQALVNQVTQSGINVSCPNMSIFKFQS -TSTFNANQGQAYDGSLLDAFTLEIDSTYPSTIDTSPYLVYSHVAAGVDFSLHYFVNVPTFYVYSSIPTPT - ->YP_009337755.1 hypothetical protein 1 [Sanxia picorna-like virus 9] -MMMFKRQQRTVQSGDNKIEIPLIKTTNLYKGTYQGPSINRNRSGGLKFFSKDNKVHATNIEPDTASRLCF -LNYNHRQRDVLTRYGIEPNPGPLTTIIEDKLCIFSTGIYQYCHNCDTDSKLMESCKTFVVYLNNCPSCFR -AQSQLLSEESKRDIGEKVAQSKKWLMEGDKFGKSFLSHYANIPTDLDEYVNLIEDMAIMAYEFLYSTCLA -SRYISIVSFCKRRGSRIGLTQTLMCVAADLFGTQVVNTCKPDPLQTEIEERLKYQPQSDDGQDNIFSEAR -KYVNSYEKLKETAIYKKLHKFSLYVLTLGLLDGVNITFDSLRFDKYHAKCIKSAHQPGMDMVHCMLDTVL -FVCDKGVQYFKTGNADVIFHSGSSYEKWVNQANKLICDSKYLSNPEPLGIDKFSFLSRLKDAIEKGSAII -KFSSGVDKQERLYINKILNDLRMIEADQLTRKAAQMPRKDPFAILIHGSSSICKSQLKQILFYHYGKVFG -LPTTADYMYTRCPTDEYWSGFNSTQWCIVMDDIAFLKPNNEVDPTLKEMLQVKNSVPYTPPQAALEDKGR -TPVRAELVIGTTNTKHLNLFAYFACPFAIARRMSYVVTARVKPEFAKNNFMADSAKIPVTPEGEYMNIWD -FEVSCPVPESDIEMDCQRTKYKLLKNFTDINEFLIWYISVAKEHETSQVKALSADKVMCDIDVCKQCYKV -SSKCTCYAPQSEEEDLLEEYSWFLKLQLWLFTNIVRGCENDYPMWLIVKYEQWFRPLKFPWLIIYFMLMY -FFPIVIITTTFANFALVMIYKYSWCVLYAFFSRAGGMLWKYKLLSRITNNSQEMYSLMFRLSGQRVKQIH -FTDKTLKKFAAFIAAPIFLLIVRKSIQSFLFGSKDESEQQTVYEDQGNVGVVPIPTNQEKPTFYYNNPYI -LSDVELSSASKCAQNDILTKLVVRNTAKFKFQFTEMPGKFSAGVGLNLVGNLWLLSNHVIKYDTGSVDIV -LDPSDQNVSRNIAKITFCSKDITRIPNTDLAIMQIRAIAPGPNLVKYFPNKDIIRGCFSGKYHTISKNGE -RAILDVVNIKGPGRCPVFNIAGYHGRVQFPTIVGDCGTVCVVDTGKGQVLLGTHTAGSLDGGVFLQHISQ -DMLRPYIAVFHAQVECGDIIPISAPGYERHITNVHVKSSLRFIENGTATIYGSFTGYRPKHKSKVTDTFI -AEHVIEAGYIKENGAPDMTWKPWHLALKDMTAPQYSFNNDVLDKCEDAFYGDIISIIDGEDLKLEVYTQD -VALNGVDGVTFVDMLNTSTSAGNPFKKSKKHFIEITSEGKIASVDPVIQQRVDDIRACYDKGKQYHPQFC -GHLKDTPMPYSKIESGKTRVFTGGEFAWSIVVRMYLLSHIRLIQNNPFVFEAMPGIVAQSKEWGNLHKYL -TKHGSHKIVAGDYGKFDKKMAAAFILSAFRILERLAARAGWPEEDLQYIRCIAYDTAFPVIDFNGDLIQI -QGNPSGHPLTVIINCLVNSLYMRYAYYHISGCDIRTFRRHVNLATYGDDNIMSVSDDCPNFNHTRISVIL -KAIGVEYTMAEKEAESVPYIHIKDASFLKRKFVYDPDICAVVCPLDHSSIDKMLTSRLDEGLLDPRAHSI -CVIETALREYFFYGKEKYLDRLSFFKKLVADLDLNDWVNQSTFPTYESLCEDFHNRLRNHPNHNIEMWGT -RE - ->YP_009337718.1 hypothetical protein 1 [Wenzhou picorna-like virus 14] -MLNSLRLYCYYGTQQTPALLALRSGYLAQSPDSDLFSDIFNIPNLIRLAWNGRGMSDPVETVLYCIESTI -SLVFKLGRSRSPLDAIIYCMDYIRTITKQSITKSILQSSLMSRFKKLFSDFTFPEAIPMDSFRTQAPAIS -WLRFGIDSLDGILDNYQSVSSCDIVVRFKKFILYSLCLYSTETMKLQFSEKLFTRIERNQAIFNTEDKPM -SMMLDVLRNFTYFLKHGLAVMETGDWSLMWLSSDKIAKLHNEYIFLMSNFTPFITGNLKECDTHSYMQRL -DTNIEAIKRTINACKQSKLKSVDLSYLRKMHSELSAKQADIYTEQFARESRDPPFGICILGPPGVGKSSL -IDVFGKCFASKKGKQYDNTIKYTKNPTANFWDGYRTSQWMIVLDDVGFLDPRKAPNGDPTLMEILQIHNT -TAFVPDQASLDLKGKTPCRCELLVATSNTKDLNAEKYFQTPSAVLRRFDINIEVSVKPAFATEDGRLDHV -RIKELNALRERDGLKPLSDIYWNVNVFRYLILPENRFERKVIFEGSIEDFLRHDDGMDKILDNHISALNL -RKANEKIREKVCVCKHVLENGKFCNLLSNMCEHDYVEEVSDKDAEDEKPSFRRLKEIVSAHYEGFSSHVK -KNVLAHFKTPDELNSVLESKGCKPITAFSSQAPELCDTDTEEFVDEEEMPVEFDRYDDYELEQLEKDFIS -KEKKLRNLKTQIYHTRNVHRVWPSLWQNHLDDLNERRIKCQHEIDTHIFRQYTVYKAVKDHRKSIVLKSA -GVVAGVLSLVAAVTLAYKLYVSKSQAPKPIPSDSKVNPWKQQAEKVPYPAYMDTNTLSDRLSRNVGVIKI -HVDDTKSEYIVVGALCVRGTYWVTTKHAFRRAKFISLVTQNNKQGVTSNTQPIRYVESQHIVDDSRDLII -LNFVQSRPCRSLYHLLPKEDLFDINSDGRILFKEEDGSSRDIEVTDIRKCGRPHTYSQGVFSPSYSVGEN -DITYKGENFEGLCGFPIISEVDSLTRNRKASKKIIIAGIHTAGSYFSSLGRVISRDWLDATLPPLDEFCI -QSASDDVEPIGYPEKEVALDDIHPKSPFNFLPSGQAELYGRLDYPRSTPRSSVGKTIMADSVVKHCGVEI -THSAPDMTSWRPMYHAAKDLVNPVQHLDSSIIKLCAYTYFRDISDRIKNPSDIEKYDMDTIVNGAPGVKF -VDKMNFKTSMGFPFRGPKSKFLHPHPYKPFTDFKVFDEKVLDMVVEYEENLAEGKRSGAVFTAHLKDEPR -SLKKVAEGKTRVFTGANVAFTILLRKYFLSVTRLLQNNWQAYNGAVGVNCFSTEWGDFYNYLTVYGSDRI -VAGDYKAFDKRMPPLIILWAFEILIHLNKELGDFSMTDIKIMRGLATDVAYPRVNFGGELVEFVGGNPSG -HALTVVINSLANCLYMRYCFHVLAHEHKVPDICQQFSTYVNLLTYGDDNIMGVSPDVPFFNHSSISKVLS -DVDITYTMAEKDQESVPYISINDASFLKRKWKMIGGLWACPLDETSIFRSLMLRLYDSSIGSRAHAKQVL -DNACRELSLYGQEHYNQRIEQLKKVNSECKLRFEFYTWEEAFQKVYMSICDETALDDDELVEFFAQA - ->YP_009337701.1 hypothetical protein 1 [Wenzhou picorna-like virus 19] -MVDIHGLESPLPDEQPVRSDEFTESDSERLVKDGAVNATLASKFPSELALNNQQADNVIGVNLKLNTKQL -QWFENAARDFASQEVLQGNSLELNLDIKPQADKKRKLSFMDSSGKTLTPFVLETGDIYGACPDHGKTDSK -SLYDEIMDEPSGWEGQEYNNNDTELRRALINEDHFTRLWNNTANNFGIDLGPEENSTGGFYGFVLRAHKL -FVNTPSYYFKMTQFITGCISRNLSANNDFYWANRETFFDTAQKHAFEDAQCDENFSSWYDKMCSLISSLS -DLKEQVLDHLVCAAMVAIYYAFENKATAIALSIGIFTLYYYRTGRNAFSNNVLTFLIRRLHKLFSGAVST -TFDYFGIGGKENQDASKIYQWLDFAAAQSGKVPLFATEAGDDGGDKDWSSRDVIKTIEGFYKELTNYKLT -PLLMGVTGVIAMSGFCAITGRPHEEIADFDVSDYMQLPIERIGTVSQVWDAIKAIYSDVRIYFEFKYRCD -KKRSFLEFRQLRQKLIEWEDKGKDLAIYIPDAMWASLDVVKQLQWRMNYCEFREYAVNELMANKQFEVVQ -AIYGQSPRVLRIKTMYTALHNHYMAILSHRFRPASIGFIIEGGTGIAKSTLLLMMQQFILNHHLKIPLSL -IKQLTYYYTEDDSAFWDGATSSHLLCVMDDVDKYVQDIEPEGNKGIKALLAIMNNLPFNLNQAQLELKGK -VYALYYAIIATSNTITGQGGRHTLDMPSYYKHPGAVNRRFNLVIQVRPTVQFDNGSGAIDTKKLADWQRD -NPNIPPDAWRIKCLRTIATNNGYEVSQPEYVTVPNARLIEECGDVVSARRQYGDAVNYHNVVDFLNMLAT -QLEEHDRVQQSFFEVSESGKGYDFTAAGIRETEGVPQSFKDMLGQSDGSAVRPPNTGTDFTTESGLMENP -GTRAYFWPMGLFVAVLIWHLAAWLYRTAIHIWYTVYDAYQQIWQFFVIARRIGGFYQTILYYRDSARLNT -WVNNHKLVIAGFAGTSLVTAVLYKVYQQFSKKDAEELQSGNTVRTVPVPHGIDLLPAYQPPRFTPSLSLS -GESTSIQEGHMITSCGKNKTFIAKMTFFDPSDFASNDTHATIFSYSGNKPPRAFFNRHALEKWTSKHAGP -WYVKIYGVLANSNEPAIFPDTHFYSHLVKLPAGDTRDLVILDLVLPPGAKQCIRNFKHNEQYLLSKDNFI -DAKGQMVDAVIQNKVSFVRLTDGAMQIHSGGLVTQVHDDFGVESSGKTYKMGSCMRIQLKVPTGPGDCGS -PYLLQRVNCNNKIIECAFIAIHGAGSPNSSHTDKLAVPVYADDMVLPSPVLQESPPLVADVFAAQGADES -VQRREVFKYYETKASQFPVPENMIMHLPTIIRKANGEINQHDKSKKIEETAAMLSSLHQPVGEYVRSAAQ -WVSDILGRKCPVINRHSAHLYGTCKTIDVKTPEGCGTYIVGIGKLDSDWHTSPLYGDLNGHVLSSVNEVI -KTSKKPPKDHVAHIKKVKCLEGEIDYQKLNEKKLSEYDIAMILSDIMKQPEYDPDLADKMLTATDHYLDM -VLKHINVSEEIKIFPMDEGIPINGYVNEQGVRARSLEGVDMKTSPGPPMTSMRPGAKGKLDWFYVIMMHE -DGRVDYGMGDTLAYFVNECRSRMYEAHRVVAQIYWKDEPSNPESEEGRKPKRPIFVLPLYFNLLMREYLL -SINRTMAAFPFVFQQAVGFDSSSEQWAQIHHYVFGSNSTNFVFDGDYRKFDRGLLQEVTDAVRYFIITLC -QKSGNYNEKDLVITNNILKAATSPVVNVFGTLYNFRSLNTSGNPLTTQINCLANNILIWYVFLEKYNQLN -YGDAHMLYVTYVRAMTYGDDNIVGCVPHPDYGQVITCKDMQERLKGIIDYTDAAKNSIVEEYAKHEDVTL -LGRYFSKSDNKIIDRFELKRLWRMLLTYQHRSSRPVQICLRDIYDSALYELARYNSDLFNEVRELLIAGL -KKYQEEVTGNEYSRTEIVQAFFTSNRGIEQTYEFYREKYEEHNRHGFIKDPRYAEVCPDEFSTQSGECYF -MNELPFNATEFERRCFIEQNFGLVPVICGPDYFGTVCGLIVVILTSIAQWVLYITDRFTPALGLYYAFRN -RPMLQPGPILCYFIGWVWRSGVSAELQ - ->YP_009337257.1 hypothetical protein 2 [Hubei picorna-like virus 4] -MILLIDSRRRRKPFSRPTSCGFGSPQFVYYMSQNNKIEKQQVVEEITGNISPEFTSMSAWIWSNKTHFSL -QSEEDCVVPGIEGQGESNEMTSQVVSFVDNADGEIIIAPAPVNPVARVDNTDDLSLGAFLARPSAIGSFT -WNTSTAVGVAYTIKPWQSFLSNATIKKKIDNYAFFRGKLHIKVVVNGTPFQYGLMRCCYSPLLGLVDDKI -RSNPTTSDPLLIPYSQQPGFFITPAANAGGQIELPFFYHANWLDLTISQDVANMGELDFVVYAPLGVAVT -GGTTSVTVQIFAWAEDVELMGSTQRLTLQGDEYNEGPVSSVASGIATWASYLTNIPRIGPFARATQIGAT -AVGSVAKLFGYTNVPVIADIHGYTPMNGPMLASANIGTPVQKLTLDPKQELSIDPTLHGLANHDELSLPY -LLQKESYFGYSTWSTSNNIDHMLLAVRVTPSILATVDLNNGVPAVVGRRVYNTPTSYVGNLFKNWRGTMI -IRIKVVATKFHKGRLKIQYDPRGDLTSAAADVNSVYTQIIDVGEEDDVEIEIPYHQPYPWCYTDTNLNDN -WNQGSDLQRRNRVDNGILTVRVLTTLSAPSTGSVRVLAFVRGGHDLEFANPKNYIGYEGDYTEPSFFALQ -AEDITSIVPNKYVVGTPSQPHAERYSQNFGEAIGSLRVLLHRYMTLDTVSFTNAITNGSNVLNRVTRLMP -HTPGFDPAWGSVTQANKVITAGSANFCYASMTHIPYVSGMFLGYRGGVNYNFTPTADAYGPITDLRFTRS -QVIDSNANARWGTVDTLADSASASTKAWWWNKANTMADSLAGLAITSTVTNNSLTAQVPDFKLANFSLVS -PTKFVMGQGTDGTDRQLAHLRVGTRTLTAPTNINLVTQIAGAPDFTCLFFLCCPTLDFLKGQPTPV - ->YP_009337256.1 hypothetical protein 1 [Hubei picorna-like virus 4] -MTEYNDIELRFDLLTKARVELHAALVDGDFWQYCQAVDHVHRIESHTDTTVRTKKIWPKWAKLSFKRNKV -HLDSNGVYIFLITMDQKRTLYVGLDQTIGQVCAMHNIVVRDAWFSMHGKPIRPDVSLGEHGVQANSTITQ -HFRMLGGCDIAHRVYTHVYECEQQVLAQEPQFILQSEAISHEEHIPEDFLSALMDAVASIHAMLPKKHLW -LGELLENFFQMAYWTRKCESKSDYAACVALSYKLLTGRSVGVTLWTAFKGDDLQADTFTKLTQDARDMFN -VASTVINNPLMKKIKQIYTYLLVQGFLSKVGKNMEVEEFLTLEKKVRPNASSTSMILLVIDVALQICERI -DAYRLTGDWHSLIHDDAAYTAWVADAERIINLAPFTSNLAAHGTTYFKFVSDLQDAIEKGEAVCKYSCKN -SGVESSLMRKRLNSLQLLKNVEVTRRSSQKERKAPFGVLIHGNSSVGKSSFTKMLFYYYGRIHGLEVDDH -YRYVRSPTDEYWSNFDSSKWCIQMDDIAFLLPSKSSDVDPTLKEMLNVVNNVPYVPPQAALEDKGKTPVL -ARLVLATSNAADLNALEYFHCPLAVRRRLPYVIHVAPKQEYIDANGKFLDPAKLPESTGEFPDFWDIEIQ -KLVPVDHTGRDSAELVVVERFSNVKQFLKHFAAASIRHENTQEKSEACDQIMRNVKVCRLCYEIGDDCAC -LQAMTVTPVARVAFIYAAAFATDILSSLTLMFICTSVYMWFARFYMVRQVTARWTRLMNAGVELRFHSLV -NNQRERRFKISVEHLLKAGVIITKWYLCYKAGQFVVKHTTTAKPPVVVEQADSTEEEGKCSLQGNVHGST -EEQLQKEETRNVWYNPTLELSSFDVPLASKSLADYTPSEVRDLFAANCVRIDVRALDEAHAMRMSAVYIR -GQYLLFNRHAIAKGTRFKMTITTQATVGGINSNIETFFDRSEVQEDASKDIAVLRVRNAPPRKDILKFWN -TACIPVSYMVSVKRTPGGTVEYGEVFNVQHLSSFPIEALGVSMNVYMGMYTCETKVGDCGSLGVAMTPRG -PVVVGIHTLGYKSTAGFPHVTRSDLEALCVELETSIEGVGTPTLALHGEVVLGPIHHKSMLRYIPDGTAN -VYGNLPGFRAKPRSRVKATPLQERMLEHFGIEVSFGAPVMQGWEPMYKNVVEMVRPHTDIDQCILDHCVQ -AYAQDVVRELNKVHGDAWKAELLTLSRRASVNGLPGVKYIDRLNINTSMGHPWNKTKKEFLVPAPDDVYP -EGVDFTQEVWDRVEAIEAKYKLGQRAYPVFTGHLKDEAVALAKIEAKKTRVFTGAPIDWSLVVRSRLLTF -VRLLQKNKLIFEAAPGTVAQSVEWTQFHTYLTEHGEDRIIAGDYSKFDKHMIASFVLGSFDVIAAVYKEA -GFSNEEIRVIKAIGYDTAFPVCNMDGSIIEMFGTNPSGQPLTVINNSMANSLYMRYAYCKLNPDGTCWDF -KTRVNLLTYGDDNVMGVHPSAPWFYHGAIQTALEKIGVGYTMADKEAETRPYINISECSFLKRSWRMEEE -LGMYTCPLEEASIHKSLTVWTPSATIDKYRQMVQVIVAANNEYFFYGKDTFEKHHSFFAEILKEEPYNLY -TTSTTLLGWDDLIDRFQKASEAIFPTN - ->YP_009337239.1 hypothetical protein 2 [Hubei picorna-like virus 2] -MQEAHLNKYDYYSDSSNSNHAPVDPDNDNYWTIQSTEVLISDESGTGADLKQETVAFHEGSAGYKEVITS -TPAPFIEEDIISDAQLANFLKRPVRIASFNWLETAPINTALSTISPWALFFGDSRVAYKLNNFAYLRAKL -HVKILLNASPFYYGACIAAYQPLPTLTPSTIVSDGSSRSLVPLSQRPHVWLQPQKNEGAEMVLPFLRNTN -WINIRNLSEFTEMGTLQFMPYTLLQSANGAVGTGVSVQVYAWAEDVEFSGTTLALAVQSEEYQVQSDEYG -TGAISRPASFVANIASYLKGAPVIGKWATATQIGASAVSNIAKMFGFTNVPVIADIMPYRPTAVPQMAST -EIGHPVEKLTLDSKNELSVDPSAVGLETCDDLVVTKFAGRDSYIQRIDWSTSDAVDTLLIQARVNPNMFV -TGGDTNNSIINFTPISYASQMFENWRGDIIFRFEFQASPYHKGRVRISFDPQGSSANNILNQTSSSNVVY -TEIVDLSVHTSFEMRVPYQQALPYLKTPQSYLVADQPVTNSGTFSVNRDTDNGMIAMRVLNTLTAPVATS -GISILMFVRAADNFELANPGQVSTTFTPFQVQSESVLVESSIAGEATKFDNARALINFGESVVSLRPLMR -RQCFTHVIANTTSAARSGALAYRFHKLPPIPGYDTNGVYLANQLVGAGNSVYNYTYWTPLTWLNLCFVGY -RGSVQWHFNHNLTSNTSGASAVVTRIPNNPGNNLILTVAGASTLTTSSAIAFNNLSILYDALPGSAVTNC -TVNTGLSVQVPNYSRFTFQSTSADKATTGSALDGSDMDVYRYTLMASVTNLAQSGTSPTTVFVYNSIGTD -YSPVFFLNCPSMYRQNIVPNPA - ->YP_009337238.1 hypothetical protein 1 [Hubei picorna-like virus 2] -MDIPGPGEIIRYPPRIRIQPIMFNRFFKPANKIHCTYSTVKPVKVLLVFPGVKAVSVEATTTADLYDVLR -YLMLKNMQANNLVSHDAIASRFALYYGMRPLSRNHVDLREYNIQDGSVIKIAYHGLLGGQAVSVPQLPTY -GHVLECEMEILTAEFTLQSEEFDFDRTFNPTGMKLVNAIFESPLLTSGIIPEASARWLHKLIKDVIYLIH -DLMESESNRERLKDLKHFLEGRVGDGWSRDILEFSFAYLSTFCSKQDEELVPQSMEEPLKFVKDYLGKFE -ALKKSPFFKKMYQLGLFILSQSIFSRFGITFDALKYTAMEAAAIKQKFHLGPNLLVSLLNSLIFVCERGY -QCYVTGSIEPLYHSGSTYEKWYDDAMEIKRQSRCLACPEAHGFSLYTFLADARSVIEKGRSIVKHATQIG -ASEKRMVASVLNDLQMILDDNTTRREAQKERVAPFCVCLYGGSSIGKTTLTDMLFFQYGKTFDLPIDSEF -KYTRNPSAKYWDGFNTSQWFVIMDDIAYMHPNIAAGGDPSLMETIQTNNRVAFVPDQASLDDKGRTPFKA -RCVVATTNCEDMNAVHYFQTPLAMQRRFPFTIDVQVKPEFAREACMLDSSKTQIIDGQWPSYWIFTVKRP -VPDGEERRGQRAKLKVVQTYSDINEFLAWFSREAVEHERVQTLIATSSDNMKQIAICKQCFKNTAVCECF -SVQSGLVKKAKRSLKRCAFHGLLAVAQSDLLPKLLHIVSHQPKVVEELEWLCMGENPLYDIQPDVLLDLS -RDDALEQMENFCMGGEDFDAPAQLTLRQRFRSLGEQVCDILGKPEFYGCVIAAVGMLMGAYKLYKTIKGP -SHDTVQPQADSEGRAPVSVGDEKPNVWHKDVYEMSSFDVSDRAQCFKSYPIDKIVEILDNNIIAVRTEYE -HDGATWAKRFRALCVGGHIYITNLHNLPAHDCTMHLITTKVESGVTRNTKMLLSRDLVWTDEQNDLCAFA -VECLPPRKDLTDLFVSKNNRAVLKGYMIKRDDKGMLQRTSVQNYQRFHDFSITPEMKVQNAWVGYPKQVT -EIGDCGAMHLAETPLGPQILGLHVAGNDTKCVSTTVTPEMLEIIKEHFQRPILRDGAPELSSESATREIS -TLNRKSTLRWIPAGVAAAYGSYTGWRNTPRSTVKPTFIQDAVLEEGITVKHGKPVMGSYEPWRIATLDMV -NPVTDLNLSVLKQCKESFLRDILSGISKEALKEVHVYDDVTALNGAPGVAFVDKMNRNTSMGAPWRTGKK -HFLVDLPVSDEYPNAVKFKNEVMVRVQDCITKYENGERYMPVFTGQLKDEALPFRKIEAKKTRVFAAAPA -DWSFVVRKYLLSVIRLIQTNKYVFETAVGTNAASTQWEELRAYLTQHGEDHMIAGDYGRFDKTMPPCIIL -AAYDIIREICRAAGYTPKQLLVVQGIAEDTAFPLIDFNGDLVEFYGSNPSGHPLTVIINSLANSLYMRYC -YTILSPEKSCVHFKRDVALMTYGDDNAMGVRKEATFFNHTAIQQTLAASGITYTMADKEAESIPYIHIDQ -ISFLKRLWRYDEDVGAYLAPLEMDSITKSLTVNTESRSLCPEAHAIAVMASAHSEFFFHGRAEFERGSAM -LQRIVKKKDLEVYVGDNTFPTWEQLKKRFWDNSA - ->YP_009337185.1 hypothetical protein 1 [Wenzhou picorna-like virus 17] -MEHFLFFEIFENLGNTGFSAIRYCSAAFADILFIIGETQPYYYIILTLLLYNVLYGNYINLLADNFEKHY -LLIFKASVIKLIYLFADPYSSGLGLSIILFSSLINFIQEEYRDLIFFSLLYFRTCCEWIMIYLFGVYVLD -ILKNPTGYIIGDILLQILINFHQRVLESMHFYGIELLPKQNISILENFCKGLGLKISGSFYVSFFMDKIE -SAILAMYSLTQVKDFKGFISVLMMFCKQVGAHSHLTKISEYWQYFWRYFSISPASNGDNFFDTLRSWLDG -TGGISDFTYHISIVVSWLMSCAFVSDRIPKFSVDMVETFLDYVRNNSFYKKSTNPVLTLMDSATFLLSGG -WKALFHSDYSYLFRVDNYHKWYNDLRRIADGVNELSTIKSGKHEYFKDLQHFSTELTNIKHRGERFVCQL -DVGHKNISRDALKTMNNQMNLIGNIRRQFSIFTSSSELRKVPFVVSLIGEPGVGKSTILSWLRTQYALMH -GLENSPEHIYTVPPSIERWDGYRDQWCLTIDDLGALRPQLRPDENNFRIIDIVGNTPALTAQAAIEDKGV -TFLKAQFVTVTSNNRDFDLTQYFNNPGAASRRLPLRYEVKLRPGKSELGVVSQYTVQEINEVYAFDIFVL -TEVTNNKALFTQTASDVTMDFLLRDLAERITKHDARQNQVILNDNLISKMELCACGILIGACSQCAKPVA -KRNKSLWSATINTLRDFIWLQTIVGMALFAFDPERLMYTIRVRGYMLYSVVWDVKRSVKSFLVQRSYIPH -HTRNTLPSVHGLFKSAYLYYFCDIYPPEEFGKNFSKWERFCKWTYFVSDPMYFMTWDLNNDYDFENVSNM -YERIELGGKYKDVFRYINWITLFISMISLTQVYKHYVGSESKQERIKPVTIIDQAQAWKESITTYFTSDQ -SSVDFQTVSKRVRDNYYTFVCQNDVESYKNVAIYIFDNWFVTVYHGYRPNYQLYLIKEYNGVKSVVRVDH -STVRIEKERDLVFFQCHNVAPRKSLLPFFSTIDDIIGNLTCALIGAHAGENGPNNKGEVMCIAEVYDSLV -YGDYTLSKSYMIHVNTIGGMCGSLLIHRGTGNRSRIIGLLVAASINGPSWVTPISASIILKNCRSSFLFP -PQPMSSLSYVHFLNEPNCSELPLSDISIFRYIGEMGSLEPLCSTTINKNPTMKSRVYKNPLFYDSVFAVE -PDVGLYGIPIFNSKKGTRVSPLKKNLSHIKAMKVDIGVPALEHASLDYLKRFDLTQIPKFKPLEANVSIN -SWNNIPGLKFSTSLGFPWKCKKNARLPNREETHFVQNIDGTWKMDIYHYEKVYELISLLEKRVLVSPPFC -GSLKDEKRLLSKIEEGLIRLFAGANIHLTIVMRMYFMPLISFLTSDFSRSEMAIGVNSFSCKWKHFYKYL -TNFGEDRLVFGDFSKFDKNMGPRLILSAFWILRRLAKLMGYSEQDLTVLEMISWIIAYPIIECKGDLAQC -IGSNPSGHVLTTIINCIVNSLLHRLAYYTHFTREFNLVVNLLTYGDDCAYGVHKSVDFSHTIAQIAFVGL -GYKYTMADKQSQSRPFIHISQGTFLKRYFRKYSSKTGDYILDPLEPLSLYLMLAWSFQGGLLEDDRAAQI -LYQAELEILRQPNYEQKRLRPLLDECWSILFEQNPYRSRVYFDADSTFEKLLAEDEVVMDFPSDQAGLWS -SESYKYITDLLLGPKKTIEDS - ->YP_009337169.1 hypothetical protein 2 [Hubei picorna-like virus 5] -MFKSTSYKSNKSLFYLQSEETTEVTQEVAAFVDTDHGELVMASNADDTIASVDATDEATLANFLSRPVTV -DTFTWSTTDPVGVRRSLGIWQNLMANSAVKNKLNNFAYIRAKLHIKTVINATPFQYGYMRAAYYPLLGVV -SDKVRTNTTSDEPLLIPYSQQRGYYLEPQCNRAGEMECPFFYHKNWLDITSNQDVLDMGTLRYVVYAPLD -VALPTAPTSLTVTTMAWLTDVELMGPTSKLALQSDEYGNGVVSAPATAVAHAASFLTKIPIIGPFARATQ -IGASAISKVASIFGFTNPPNINNVEPRYLMSAPQMATSEISVPYQKLALDPKSELSIDPAPFGLDREDEL -TINYLKKKESYFGKSTWSTTQSLGTQIANFRVNPYLRQSIPLVNVTNRGFRVYNTTLSYLANVFTQWRGT -IKVRLKIVCTKYHRGRLKIVYDPLGDITTSEPNINTVYTKIVDIGETDDITLEIPYHQDTAWLDTYKFNT -SSNGWTTGTSNAHVPGSTNGTVAVYVYNTLEAPAASTINVLMYVSGGDDFEFNNPSGSIGSTSAFTPSFF -GLQSNEDWGTDTMVFGTRAKPSEHTYDMNFGQSVLSLRKLLRRQQIMDTVPLPNGAASSLNIYRKGISRI -PYTPGFVPYTWPTTASKVLSAGTANYSFNTMHLIPYVAGLYMGMRGGINYTLTVNSPKAVLNDIRVVRST -DVGAVTTANRVAVLSNSTLGSASLSTRVANSSVIWNIRDGTAGIAVTSAAAAPTVQFTLPNNSRFNFTLS -DPNNYAEGSDLDGTSTECALVSIQVANTTATDEVGFTTIQTAAGIGTDFTCLYFQCCPTLDQLVTDPVPT -P - ->YP_009337168.1 hypothetical protein 1 [Hubei picorna-like virus 5] -MTVMVDGLRCIFGFPIQQETPLNHYLGDILRVRQLDRQLSFDKAIGTRKAPDNMKSMLTMRKDALTVWKD -NKTPQDSVTMNNITNTESHTTRACEEHTQYMLTIDAINMELHNLKNQKCKKPSDVYRKLRNIYKLNKHKK -AIIKQQCEIVRTTVVNVKQASRLLNNKIYVGDIGICQNVFFAYTGPMRCETVDLTRTLAENIASLHLPSL -EEHYYFWNTKPIRPNVKLEEYNLSPNATIHILPLMRGGVEVPLPLYTHVQECERQVLAERYTLQSDFFKG -GMSVTQVYDKFTELRDSIEAKTDSKVIDIFDRIFQLFYWSRKCESYLDYTMLASLGFSLMTGRTPSKCIF -GLLETEQDRTDVLQGQFGDFVKMARNLFDMGASLTSNPLVEKITKVYTYLLVQGFLESTGVSLSEEEYLV -LYKKSKVNYKDSTSLAICMVDLAITICERIEAYRLTGDWMTLVHTDAAYTKWAAEADKLIALAPFTSNLE -PHNTTYFSFISDLNECIEKGDAMSKFTRSGTGIDPSFIGKRLNNMKMLKNLEITKRSAQKERKAPFGVLI -HGGSSVAKSTFTKMVYYYYGKIHGLKTDDHYRYVRNPTDEYWSNFDSSKWCVQLDDIAFLLPTKSSEVDP -TLKEMLNVINNVPYVPPQAAIEDKGRTPVMAKLVLATTNAPDLNAQEYFHCPLAVRRRLPYVVQVEPRPE -YLAENNKFIDPSKLPEMTGESFPDYWRITLQRLEPKEFKGRDTAALVPVKVFDNVIEFLEHFGAASREHE -LYQTKSSLCDRKMDEVKVCRLCMKIGDGCKCLQAAPIVGLTSMMWNYSYSYLWYFFTGLLVSIYMYLFQT -GLNIYLLRYYSWRFITGYLSQYLDKERQIKCFTIMNGRVVQSSFSIRLRTVVKGAQWIMSILIVVQAAKF -VTKSVKKTVSVKEQSKEKSDQDETDDHHLSTQGNTFGTTENDLLRENTSNVWYTPTLELTRFDLPDASGS -MSKKTPEEMRDYVSNNCVNLCIEALDEPFRANVCGFYVGAQNLIFNHHAIRMGTRFRLKVISAVASQGIG -GNATMEFDKKDCRINLNKDLVLLRTVGLPPRKNIQKIWNIKNVPVSEMISVRREKSGEVVYRRHFNVSYE -PKFPVEALGQEIDMYMTTSSHLSNKGDCGSVAIAMTPCGPTILGLHTIGYNSRCGFTHITKSDIDELTSN -TPQVYASEAPKFSLDGEVKLTIPDNKSVLRYIEEGTAYIYGRVPGFRPKRASKVTTTPLSERMCEYFSHT -ISHGQPQMKGWVPWRKNIIEMIKPNLTHNQSTLNKCVTSFTKDIIQGLKLAHGEDWKKDLVFLSKRASLN -GIPGVKFVDAINKNTSMGFPWNKSKKNFLKSAPCESYPDGVDFDEDIWEEFDRIIDRYQNNQRVNTIFSG -NLKDEALPFAKCEEGKTRLFTGAPAPWSLVVRSRLLSFVRLLQQNKFIFEAGPGTVAQSSEWSHIRKYLT -AFGADRIVAGDYSKFDKRMTAPWILAAFEIIINIYREAGFDNSELGELWCIAYDIAFPMVNCDGDIIGFF -GTNPSGHPLTVVINSLVNSLYMRYAYCEIVGGGDCDDFQKYVRLFTYGDDNIMGVSPSVDFSHTRIQEAL -ARIGVTYTMADKEAETKPFINITETSFLKRQWRYEEELNLYTCPLETESILKSLTVWLPSKTICKEEQMV -AVISSANSEFFFHGKEIFEKHHAFFKKTLAIEPYSCFVQENTLPDWDALKYRFVEAYKGGSPSLAVRELA -FLDSEKTTVT - ->YP_009337153.1 hypothetical protein 1 [Wenzhou picorna-like virus 20] -MSQPRFMTPLDFLMEYFRGNPSTGACVKSTLGNKKHFPIDMTISFLINIFTANSNVTRASALYMYLSAVI -NYGAFVMATARYHIVLDHLLWFLTTLQPHDRERYRETEPFIPISGEPRKKFEPVDIFDMFDKALESPNEV -FSLLSWYNLKKIVTYLVFFQYVPDSVLPCAKFFSLYDSKYGDDLKNNGNNIDTIVSVLKSVNSLARVAYS -GKLDYTESTDPLKFVQDVEWLRHYRYMCCNPDDLGLPQHQGFISSVEWTDKCHDTYKRRLLLDIKGMSAC -VKTLVHHAIKHLDALYDSSFNIAGGKRPPPLVVGLTGDSGSGKTTFIAPHFSQIIQQSLGYSCPNVNSGF -QIINGADPFLASYDQNRDHVVLFDELGAYKNSDKNTNNIITNSFLEMCTAGKFILNSAHLEEKGKREWRP -RAIFVASNREDFGLPEIVNCPVAGYNRFTVVFHVSIKDKYKKLDEKGNNIGGIDLHKLNAERSRLTAEFG -DKASQKSDYWPVLFYQKNKELNGFSIDWNKPLEFLEACDSMKQCAFEHRKLVENHTKISTANVEAYNCTN -CNKFSCTCEPMVRTSGSYSMSPELFSSPSTIYLLHLFMCALFYDFLVACFRWLPYSEHTLLWVTKRKMKL -EMEHNAARILLKCRRSSKNLKSEIDRIVSNDTLGYVLTKTMFGFKIIALLLTSYLALKKSGLIDKIVPKK -KEEEYQSTAGYNSIARSTLQPNNPHGRIPSKILVNQNNIWSTPNVNKLIFGKACCTPFDVIIEKIKWNTL -FVSFSEAGSEDEMFTHIFNFHDTFYLVTTHWFTDARNIKNPKINIYRPLIKEDSSGTTVCYTHKVMQLDY -NPKMVYHLDNDLSILNIPQLQPGKSLLDCFIKDLGDYKYVDGYNAVIHPTKHVNYVDKVEGKLQIVKYDN -GNDQQLEYPAFVCKSTLPSFKGRCGSPLFTKIGSQTAIVGICAAGKVNSQINAFSPITKPILQLAIEHFK -SPILNSVSSTSFDEAYNTKDLELTPTSGINQSYWLKVEDVGTIRVFGSSPDIPVQRPKSKVFKLKIFDSF -FNKFDKEYHHNLVVPNFKSYTYEGEYYGVYSNMLDQLKEQTHNVNLDHLEAVVSHLTQKFGSIEDFDNLE -FWDMDHACSGANYNPFCKPLPKATAAGWPLNGKKVDWTIPSTSKYSEGVIPNDELRKRILEQIELMSQGI -RPMTVYKTCMKDEPRDRDKNRERKIRVFTCAPMDQAIIQKMFLGNFTGIFTRNFLETETVGGMNCYGPDW -GKVYEKLSQHPNVINGDYSKYDKRVSTIMINSAFSVCYNIIDSRLKLTDKQRMLFRTMMTEVSNPVLLME -KEFLTVNGSLSSGVYLTFLLNNIMNSIFIRLAWLSITNAQDYKASLELFDANVVFFAMGDDNTFSVSDSY -ISLFNFRSIQSYFASIGMKYTNAEKTDDIYGSVPVNQATICKRTWRFDPEFNYYFCPIEKPSIGKMMTMA -LNEGPLTEDQKIQASFISAMYEFVQYGRYEYNNCINILVEVLKENGIKPPKVFSFEEMVKKQIDDEEVPW -DIKILDDFDVSSIQRMTSTGARSVNICPGEVL - ->YP_009336962.1 hypothetical protein [Wenzhou picorna-like virus 16] -MFNKTRNDTGKAFVTKQNFMLLAILQGIFYTCNVTQTEYENRQSFRSYKNWKMFDDAGQLEMATWRSRNR -WLTRRRKDRANKLDREGLTKRLVDLRHSEVQVGAFEFTFGLFLRKYGIDNEFIIDLLEDLLFLAYNLTHI -NALSDVVVALTMFVKSRNKQSMYKTVTAMNLDQYVQNLFYSDEEEVQGFESCLGSVRSLLNKYNTAKNTI -LFKKIQKFISYAILFDVLHLMGIKAESSRMDGVVEKLFCAQNKVEREWDDFIYHALDTIVFIMERGVQCY -KLGRFDPILHSGSNYLEWEAEASELIRLSKFTTNNETLGMSLFEYLGRLDQAIEKGTAISQYDPDVTSAK -YVKSLLNEMKAIKLMEVNRKSAQQEREAPFSLLVYGQSSVGKSMFSRLLFCHFAKAFGLPLGDEYRYVRN -PVDEYWTNFRTQMWCVQLDDIAFLNPDAANGVDPSMKEMIQVVNNVPFVPTQADLADKGKTPCKCSLVIA -TTNTKHLNAKAYFSCPVATQRRLPWIVTIRVKREFARKDGSIDPAKCKLDLAEGYPNVWEIHVQRVVIRG -MQDGILEDVEDFSNIHEFLAWYCRMAKVHRDEQVKAMATAESLKEGKVCPACFYMETHCKCSPQVQTDDF -NDGNLMYRDDMTVDELIRWREQEERRADITARRGFFRVIWDGLRNNIHIRLPAIFMTVQCVIQCRVFAWI -IWLVGNPALIGAISTYRFISGICWVITFFGVLNAHQKYKLDLFIKGMERAAALGNYGPRIKFAATLAGLA -LVVPSTYKLVSMFFPGFKIAGVDHKMTLRDELHYNGKKYKEWYISMDHALSRHELTKEPLDEIITTVETY -YKEKITRDVDPAMVAYFAERGVKLPQYHYFASKGEPQAQEKVRLSEQIGVMPKPRDDERKQVWFNDSYET -TSYDVGRKTLSWKSLPWEQVQEHVSKNVVTLVSTRKSEGGTKKRVVRALCLGGHTYVTNAHGLPNEVCEV -EITQDLSKDGINRNIKFLYNPAMSLIDTERDIMFVSIQNLPPKRSLLELFPKNSTYDGIFNGMYISRSLT -GASEVLEVKNITKSPQVSIDKLNISVDIFHGYCERQTEKGFCGSTLVAKTPQGPVILGIHVLGGQGHVTG -ALALDQEYISKQLDTMWNVSKQEMVQSGEFHLSATGKERIVSDLHMKSPIRYIEEGAADVFGSFLGFRPT -SASRVEESLLCASALKRGYVINTGPPVMRGWQPWRCALLDMVNQKFHIDPLTLEHCVEAFTKDITSKLSL -EDYEDLVILNNMSAINGVPGVAYLDRIKRSSSMGNPWKTRKVNYLVHDPEGIFYGLPDAVKFTDEIYDRI -DTIMDLYSQGIRYHPNFCAHLKDEPVSHEKILSAKTRVFTGSPVDWSIVVRKYLLTYIRVMQNNRFIFEA -GVGTITQSLEWQQIRDYLVKHGEHKIIAGDYSKFDKHMTADIILAAFKVIKNVLRQAGWSDEELRVIQCI -AEDTAFPLVDFNGDLIQFYGTNPSGHPLTVIINSMVNSLYMRLAYCALNPEGTCFDFQENVSLMTYGDDN -IMGVSDKTPWFNHTDIQSALGAMGVIYTMADKEAESKPYLHLSETSFLKRTWRWDEDVGAYLAPLDHASM -DKMMTMWIPSGTICPEAQAIAIIGSAIREYFFYGKDVYEEKRVMFLEIIEECGLKMFMKKSTLPTWESLR -QLFWESSEHVDLEIQSRDYFDSTNSSDISGDSSMAISEEDVEELPMMCDRSSEGSYYVTEGEWDLMHVLL -GPKRRMLSCRSIEVKPKKTVVFVYCADGSMYRFVRESVDAMNSPGRSPKSLFRDVTGWFTKMYTRLVRLG -QRIMVSTDRRND - ->YP_009336941.1 hypothetical protein 2 [Wenzhou picorna-like virus 12] -MLSYQPLQNYRSSTIYNDGTNRNFILYSQRPRALIYPSLNEGVEMTLPFLWPYQYLHASLAQDFTDMGVL -DLNYLTQLQSANGATGAGITLQFYAWAEDVVVTGPTVGLALQSQDEYVPNGTISGPASAIAGVASKLETL -PVIGRFARATSIGASAVSSIAKLFGFTDVPQIGDVQAFQPKGVPMLASTEVGFPVEKLTVDAKNELSIDP -SIVGHSNQDELVISSIVGKESYLAQFAWDTTQGADTLLWNQAISPSTFYMASTSVQNALYMTPLAFASTM -FKYWRGDVILRFRVVCSQYHKGRMRISYDPDGYAAENIASDATSSTVVFNEIVDISSNTDVEVRIPFSQY -LAWCQTDIASYMTPANSGMGAVSTFFHRRGYTNGSLVVRVSTALTAPVTTSTISVLIFVRGAENLEFAAP -SLDGSDRLSVFPLQSDDEIVQRGEEGQDHVVVGAPVSPTDHLYLTYMGEAVRSFRTLMRRKCLINILSPR -GSSGDYCVVYNRLYRMPFMFGYDPTGVETAKGLIATTTDEKFNWSQNNYLSYINQCFLGHRGSVNWVVVP -VAGTGGNVPQYMRVYRKPTATSMGQAYVSTGAPTNYGQYWFTNSDNGSAGQVVGTRFNNAISFQMPYYSN -RKFATNDPQSFTDVTDDNHENDSQFVIENIFSSTNGTKYDGSRLHVYAAAGTDFTSIFFLNVPTFYVLTS -IPAP - ->YP_009336940.1 hypothetical protein 1 [Wenzhou picorna-like virus 12] -MEKQKNPLRTQPKGKSGAAWQRDSSSRYKIACPAKKEAAQRLADRSMKGLNRQSLRDLKDRVLECQAFSD -YVGLKAFPNITTLLEELSGKLGVTGKKLEICESVLIFVWDLASNRTYSDFMRALITLYKALSGESFLKMV -ADKLNSFVRWVLAKFLPMQALEEVVDDATSKFCTFTNLMASQFWSAIVEITSYACAMALKIFPESVSVQR -WSEFYSKAPTDETPLADLFGKILFLLKRGCAFARTGSIHSIIHDSDGYEKWVTEYHSLVDDFQERNAPGG -CEKDPELTIRLEKFLAQGREILSVGVTNKHIKSYISSYVHNAKLMHIAMIVNGVRQESREAPFSLLIFSK -PNVGKSIITQYVAHLCSQVRGFPMGPQALFTKQPGKYWTGANSDVKVIILDDIAAEHEKTLNQGESTVSD -VIALANNVPMIPDMAALEDKGKVILRPDMIIGTTNSVHLNAREAVSCPYAVNRRFPYIIVVEPKSECQND -EGCLDPSKLEEVRVGDHFDAWNFIVYKPVPSGDKIPITSPQFNVATLSRELQKSTTFKEMFRTSNIHELL -RDLSQKIKKHFEVQQTVGRTIRELNNVRLCTECCFSECKCDILKEKNKPMQALEDDVKDVNFWHDIMKRK -TLFWPIYKWVEYCLSPLFPSELSFFSYFLWFLLLRVCSLGVYFTIYVGGLIFLCRSQLASLALNWIWNLE -VMRNFQYWIMRQIGAAAAKRIHGTMSDVIGALGGALLALSAVITIYTVYQQNNSPPVVDVAPPVPEKRDD -GDSSDDLPPTPPPTPLEDDVDDFLDEQAVDEVQASNFYFKPAVTTASDLGDETLSNVTSHRASVEKIKKN -LVFVEAHIYVHGDPTKFKRSRYVGYFLTGQVLCVPAHPGIPYEMIISSFDGTPTRTVQISNRSLYRRSDC -DVILVSIPEYNQRRSILGLIPKTPVTDIKCETVVIRVNREKMELEEDTSKSCFYEEVRLPFRQDKRVPCM -FTFARPTVDGDCGSPYIALSPSGPIFLGPHITVIPTTGDTMIPGCRLHCLKDYENLLARFSSSQQASSAL -NVALCHPMEIGKPYAKSALYEVGFDILGSVVGGKRAKGKSKVRELPIAPAVYERTDVRHAGPRLHERAVA -LKAVAPLAHVQTKEDLGNLYRAVDDYINQIMEAPEYTYELIGRVTCHQAINGIPGEKFCERLNMNTSAGV -PHFKGKKTHFVQDENEDWHMDANIKREYDEIVRRLKSGEKACCLFNVALKDEALPAAKVEAGKCRAFMGC -PVAFAVLVKELTMKILAWLHTNRNLSEIYAGTAVQSLDWERLYKHVTRFGKDRMIAGDFRSFDTKAVSAR -RLEVAYSVIYQLAVRAGFSQADLFLLEAVKFDMFYSMAYVNGDIVEFTGKQSSGNVLTVDMNGIVNSLLM -RQAFYALGGEDFRDARFSDYVVLATYGDDNIMGVSPECDWFNHTSISQYLASLDIEYTMADKDAESVPFI -HIDDVTFLKRSFRYDPDVGAIVAPLAWDSLWKMTSCGLPSRALDANNLSVRNLDTMVREAFFHGKEIHAR -ERSWALKVVREYELEQCLEKSSFPSYDELKSSFWKNSREDSELAYLDEYPLQAQNDYVCAVCRHEDCLYQ -CPDRVICPRCMRCRDPDIMSDDMFLGCFYCETEKELLCDSCRSPWVIHTIRVTDYYNLFLCADCCQSQPR -HSG - ->YP_009336902.1 hypothetical protein [Shahe picorna-like virus 14] -MNFFLSVVCAFFCVALGQSLVNTRLDLTRNSEPPTCDSFIVAGTAPIEWGSPSSFHNTGCWKNVSRLEYD -GLAADFSEAAPLVDSLYSVEGALLGRDWLELKGMAHKPIPCMTTCDFGGLESMNISQILSLPVYGYDPFV -DNEDDSVIFLAEDDVFAPVPWVSGLTHKYHFRLSVVPLVEVSDTCPLAVYWEHAWKPIRWVDTCARHLVS -LFFTDSIITVLNSTIQFLSWPIIMAISGLFLVLNLINDHTIHMIKHVQDSRVQWWWYGIHRRLHGMSRFE -SWSWFVFVTSMACWASPLLLVGGLFDYYSVPIMTSDVFKIAWYFAVGKVFASLVHRFFSYGVTAAEYAEF -PNRVNVRTNIFVIAIAAPIFEEVLKRQLSSTYAIGLFVFLESITSMYASLQQDGITPIGVLTMFCVRCIF -VPWLHFTCFFMKFENGLALHMYNNLFSLIPWLLLYQDIPLCGVYETCLAWVRYIPADGEVPTFGPGTQAS -RVERCTFANTIPVVSMFPRQFASPRMRRADANRFANYTTWLHLEAQMNVTTDGVGLTASALEDTIRSTPS -FAGYTLQIRLMKHWVPGTELRSRGNRSDVGFTTVHKFLFVDVYVEECDAHFRFSVAKFIGWDRTLARATQ -YWMDTYYGQHGEHLRVQRWYSQGGLHTMAGLPDSWENKLLTDLILFAGSFVGSDTCGKLFSVMNFVHNRC -GASTVGPTLRSYFEVLDTYLQQCSVLAPPGPPEVWSHSFQWSDPNVLQLESQAMPSFGDVGQFLKGVFRS -SPLRAALQLVTFMYASVMLFHNSTFDVSAVAALQTKMMEYTKIDEAGDLFGNVWKWISVLVHTGEEFIST -RDWRVLLRNDDEGRRIMDTVEQLDVEFVRLKLDALYCQPVIAYKERVDGVYKDIDKFALVCRATWRAPML -VAKKRVTTMQLDAELLLKQLSFRLAPFSVLLSAGSSIGKSSVQAMIIESFRALNPLSRELKVDVMPTEPL -NEDGIYTRTTSSTRWDGYRNNVWCVVLDDIAQANPRNVPTFALEANEIVKIVNNVAYLPEMPDLESKGKI -MLAPQLVIATTNTVGLNAYHAVNAPFAVLRRFPLVVIPTVKSDYATDGKLDPAKVPEGRAASFMWTFEVQ -TPVLSGNITYRTLLGPGVETNELMTYLSNAASEHFKQQVKLSKSVASMRCSNVCERCLCIPQVCCCPVVP -LAAPALNPEAPEFVSQSFEPPPVSPKLLEVLPQYLWVVLFYMLLQHLKYFLDGFLLLNGALVRRVRAWMD -GRVADAYENVPTAIALAPARLERFLWRRYTRAVMTRVNTFVPSKRTLTMIAAISAGGVLLYLSGLLSGVS -VKSPPKRRHHASQGGEQSRPVGEVPPPVAANVNPWIITADVSAQQALTHHMRTSNPASFTNILTRQIGQF -WDDNGSFIMGINLWGTCWILPRHWMDDNVSRGATVTLARNHCNFVANSTFIVAKERWHPHPSKDCGIYNV -PTVPGRDLTEYIPAKALLHATGKYLLMRSDDEAPRVDSSKRVEETAFVPARLARVNISSETTRRLKIETD -LQHYTFELAPKTTKSGDCGSPYFRAEVGASILWGFHLGANDEGFVGALPFDKEWILGVRQTTGFVSNSDE -SLSMILKNVRGKPDFELVKMHSRCPLRCVGLADKLLSCEFIGTVKGYVGMSFQSNIARNRYADFWESNGY -STKKLIPRLPPGCSYLPKRNFLLNACMQKETFPPEVVSAAAAHYLARLETRLDPMRICVLSDNVAMHGIP -GDQSFSPMAFSKSAGWPWRIAKKYVFTSLGEGVYEIPSDLEERIGDMMTLLREHKRCGIVFTSTLKDEPI -SATKLAEGKIRVFQAVNVEGLFLIRKYFLAIVVALQEQNFVSELAVSINCESADWDVLHDYIFIDTWKII -CGDYSNFDQRMALMLLQAAWGILIDYCAKLKRDGFPVFTADDIVIMRGLAFECCCPIQDFFGDLLTMCGS -NPSGHPLTVIINSIVNSLYIRIAYLLIFSTLVDFDECVRLMNYGDDNSISVAPAKQDDFNQITISTVLGK -FGVVYTDASKDGVLRKFSEDVSFLKRGWAWDAEMKVYLAPLAWDSVCRMLILGHVNSGAVEQDRLCAVLK -ASLDASFQHGKQVFLAHRSLVLKCIEEFQLGPFMARNGGTPTYEYYVEDRLVYKCSSNLGVPYHARLMQK -QRTPVAAVTSILPSFELHSAMDVRGSDVRDQGQTPESLIQGGVWSSHGMSSLGGEECAPSSNTLAETNTN -TELSHFADAGVEVYGAPVAIEQKTPAPLGEGELAKWFARPRTIREYKWDEGVPMNWNFQPWDDFFRHANV -RNKLKGYAFIKNANLHIRFTASTAMTRYGMLMASYKPLWDGSGGHTSYSRYPYFSGGTILEDVPAMSGTK -VSYPGGNAGAVRGNTRKSRMIRSQRPHAIIDLTQPEGVEMILPFLHYHDGIEMLDSPDVNGYTAAALREM -GTIFLEQIVGLHSSGPANTNGVRITVHMWATNVVLAGATPLTSQGFSEFDETPSGKLSEVASAVASAAGR -LTRVPKIGAWARATEMVAGGVASTLRLFGWTAPPLITPVPSVLPRCGWLVANSQIHQADEVLAFDPMCGT -SVDPATVGAYSGDELNIDWLCGLPSIIDVVRWDASDPRDTLLYQLPVTPCAYFESSLLDGIAGVGTDDGR -LSSVVVHQTPSCYIANMFDKWRGTAHFKFSVSCSAMHTGRIRISWDPIFLGGDVYVPTDGARVTEIWDIS -KGKEFIFSVPMNCNTGFLDTMRYFRGALDSSSTEYTRWGARGSPTGFTMSNRYIARQLTNGMVNVSVSHE -LQDAMTISPAYIVCRVHFSDVQFMGPMSDQEAYGPDSHITVTNGGNGNLNTVGFSPFTSQGGKEDCKSQM -ETAPCDAVQRAVPFPVDSGDHHALLYGGERIVSLRTLMQRTALYTHLVADKPYPPSDLSATMKYKKYWFR -WKLPRFPVPFGAKGYYWRHKATGVINSTVTTPTSTLFNFHNTIPLSYITACFAGYRGSIVWRVTKMDEIA -LQSKLSILVKRTTPNILPTSGGDKVRIFETIPAEDGSVQEVKFNSLWDTSFSGGAYAGSHQDSVNAVVPM -YAKMRMFPANMPTMPFGYGATMQSDHSSDLISISATTSGVNWSAVSGGSMEVHLAAGVAAGHDYTPFYFV -SVPEFHVPVYERGISVVEAV - ->YP_009336855.1 hypothetical protein [Wenzhou picorna-like virus 11] -MQSVEQAAVEKSGMELESRSPRGNNESHASTAPGAYTNTGTVESKSKKSTDFAFPLVKYGLCLFLLFCLM -QCCTWSSGYDLPGDSIRLTCENHTSRFEHCISPVLVKSWLPGYDLPVNSSRSTYENLTSDHQQSYSLVNS -STVCLELSFNSSNTVIQDLNVGLVCLHGLFQYASGTLQIFFRLLITSLLILRLSYPRAIFINDPRMTLFV -RFDGRSQTKLEELFPPDIFDYECRSIVEPVVGWEFRFYCRLTDHTIVERVANTDLVYCYSEILNRYSLDS -QGDDDLLRLFPPSLCDISYKRIRLGFQFTIRCKLTGQMFKETIQASSYSESVSLLLDKYGFVAQASSNLV -VDVILLAAAIYNHYKLCGKKGIGLYIACVHFIRSQYPQSNGEVFCPELTGPQLDVITHLMKWHASVNKPR -GLHPPFDLDEEDPLFEAQGLEDNSFMRSLVKIVSVMIAVFGVARGADLSFNDISKLVSSAMNSGPVLTLN -TAAAFIAEVQNVFVTLRNFWASGCKAESFFDCNGALREIHMRLQAIEYQHDDMANKVECHLTIELQSLLS -ELEDIATKLTKLYSTHPARTLEIRAVELRQKRLHDAITLSFKNAAYGRVAPFAISLVGNSSVGKTGIINW -FLHQFAADNPFIRQMQNPRAPPLDVNSVFKHTLGNSQTDLYMTGLANSSWAGVFDDVCVINPKTSPTAYD -SFISGLIKIINTFPYRTEQASLENKGKIFCNFQFVVLTTNVPDFCAPLVCNNPSAVLRRIQYFIEPKVKP -EFAKPGSTALDPSKVHAFIEAHQIYNGEPVPIHTYDVWSYRVVGARAEKIIIGEQMEIGDLMTLLRQSWS -AHARDEVQTIKNSRDVKYCVSCHSVGASTETGLCRVCTNYQGMRLSISSLDTFLVALLEELFKVFLCELH -PICVLLFALMEFLVYIYHGCLCSVRVFPVVLHILLTFVAVNLPYGLLISVFIHYQWNRQFSKARCDIGLI -HVLLSVLTIYYLVTSYQMLRNLLCIAIHFFTSWVSYVRYRVGRFLFQLVYSSTREYLLFTFYYPFISAFR -RHRASRTNRRLLAMASLALAGLSSAAILWYAASMFKVEPQGGTQSQEVEMGSNFWTNSWASSNLSGSPGT -QPAPFEQLVHSNAVVITINGSSFKALGIGGNYFICTKHQLEAVMHGKDTAKYRVEFSPVRNFRPGEGCVD -KSNIAYSENYDLAMIELPNQSSLACLHKYFLPKFKLDATTRVGEGKIISLDKGGIVERKFAVCDRKVIQV -RYAAGDQCVSLFMTETTLDDKPYQTSKGDCGAVAFCAAKANCAVLGLHVAGASGTTRSGILPVSHDELTL -LRERILRYPRAQENDEVLKSDLEIQGKTLSDGYHHKCPINYLDPNSARTEPQCLGNLGSQPQRANRNTNY -IQSRFVNWWASKRILYKCSKSMDFNVPLAVPGKFTPSILPRYGWVPKYRFCDVATDLKEMLPVGKIKSLA -NRVRDYYLSHPSFVADLKRVRPLFLDEAINGISGSKFIKQINMKSSAGFPHSGVKKDLFYRKIDKPHQYE -APPFIVERVNSMEARAKKLVRPGVIFTATFKDEPLNAEKTTLTEEINIALSSKDPEIVRECLRTTKYGKL -RIFQAVNVETTILIRKYYLALVSAIQKNGLLTGIAVGINCYSKEWANMIEHLSPPGWGENFICGDFSNYD -QRMGKEWLVAAWGVLNDLLLQSDYFSELSHDEQVEFVNLLHAFTDDITSPTTIFFGDILRLSGSNASGHP -LTVIINGIANLMYMSYAFECVYPDRDFFTNVRIMTYGDDNILNVHSSCPLFTQPSATLALAQINISYTAA -DKGVITSDYCKPSFLKRTWRKIIYERDMEKHEVVVCPIEYATIQKMLAMETKKHEEDLNNRIIQVLGSAL -FEFMQYGRCPYEQMLNLCNEFVCEHKLELHFKVQYPHGWPSYDEYMRSWIEGGSYAPSSGEEDEGILEC - ->YP_009336829.1 hypothetical protein [Shahe picorna-like virus 4] -MLVVARGATTNRAALHCVSHPVAWSTPPEPKKMSTTKFTIDCGSTTPARASVEVELPVTPTARHEGLGSA -QLVAFPGAAKTCDKIAVYTTGHEGDFQTSTASAEASFISIAPTPDSGRAIFSARKNIESMDEDTRLVHVV -VCALRAGTKHHQLPSDLADLALARLTTSFEAQAGVEDWLRDFTTKMRNLLPFMGVTGQDVIDKIEHCGVF -VWQMSNARSTVDYVCAISALLKSVFNTSVSRLLNSYLLERIVQVFDESYEAFSNKLFPLGDMDKNVAKVI -DDLFVAQSAEEFFQGVRSKFDFITDMRDLPIITKMYKLLLNVLSMSLLEPLGISFDTLGYTKFEQETIKR -AHGSKASFWYTLFDALSSFCLSFTQAVKIGSWAPFVHSATTYEKWAVEVLDLKAKAEFLGNPAAVGFDIF -EYHQRVDDALEQGDAIARTIPPKKKKEGETVRSLLASLRYVKDCMLTRKAAQASRAAPAGVLVYGGSSVG -KAHFAEILYTFYGHLFDHPVEPEYKYTRNFNDPFWSGYTTSKWCIVLDDVAALSTRFSTPDATIAEVIQI -LNNTSYVPNQAELSDKGRTPVLAKLVVATSNVEDMNASNNYGCPLAIQRRLPYVIEVQPKKEFARDDSPS -MLAPHKLRKAEEGTYDNFWNITVKKVEHAGEGIKNQRAKLVVIGVYTDIHDFLAWFGSMCTEHNAQQASL -KRAHSSMTSVSVCKTCMRPRVVCVCDSAPFPAASPPPQTPDSVPSIEVSEEDEEPSVDDIPDDVPDLEAL -AEDEEDLRVHYALYPGIDPNFTPQSEQEGEEPRAQWSYYTYARWDYFSGYALGMYKVLGATAGFSWASVL -AAGSRPYDHGFHLVFWLVQCIMYCSDVYDGFVAFYCFGFIIATYIAMFYKALGRFIYRRGRAAIEGYLRR -RILGRMRSVGDAVSGTYSALLPYHKMFGIAAAMFFAIKLGTRYYQATRGKKSEEDEYQEQHLANIGARPQ -AKEEEQQNVWYNESDKLTQFDVACGSSSWSQATREDLIKYLTRSVLRFRFGEPGNRSTTGAFALGGWLYA -VNSHAIPKGDTLMIEIVAGDASEGVTGNTHILLARSQILAIPGRDVSIICLKNLPPRRNLSSFLVRPSFF -GKFAGFYLGRGADGVLGTNDVTFLEKGVFVWDGITSDVWYGESATATIKGDCGSCLVGLAPTGPVILGMH -AAANGFKRVVCTPIFKEDIESALEHFGTFMLQAGTPTINAPSAPVRALVPLDKKSTLRFFREGSATVYGS -LSGPRARPKSKVQRTPIADVAEQYGYSQKYAAPQLSGWQPWRNACVDMLRIPTTFRQDILVAAKGAFLND -ILKGLGEEKIREVMVYDTFTAINGAAGVAYVDKLKRDTSMGFPWNTSKKSFLTALPAQHGVLDPVSISPE -VLQRSEEIIAKYHSGERAMPVFKAHLKDEPTSHAKIANSKTRLFGGAPVDWAIVVRMYLLSFIRVVQLNR -YLFESGPGTIAQSVEWSELFSFLTQFGEDRIVAGDYKAFDKTMPPDFILAAFDIIEGVCRAAGYTDEDIA -VVRGIGADTAYPLYDLNGDLVEFFGSEPSGHNLTVIINGLVNCLYMRYVYLVLNPAAECESFKENVHLMT -YGDDNVFGVSKKAGWFHHTAIQTVLAAHGVVYTMADKEAVSVPFINIKDVSFLKRSWRWDDDVGAHLCIL -EHDSIEKSLMVHVRSSEVSPEFQIASTVGSAVREYFFYGKEEFTRRSHILKCILFESGLQAYLSDSTFPT -WEDLVDRFHSYAHPQRWHLQVPKERRTRFCEPRPKRQITRPRSSSAEIEQEVGDPDKVPASHKHVSKIHN -EELAHCGMDERELAPRESASLLEGSQYTVELTPSSANLSSFVAQADEEGTVASASTTMASTEETVEFLST -DTPRTVGIAAAHPTLVPADAMSVGQLGDFLSRPVMIDTFDINLSDPVGTTRNINPWDLFFNDAQISRKLS -TFPWLRCDLRVEVMVNASPFYYGSVLLSYQPLPQFTPSTVVVDAGMRHLIPLSQRPHAFIDVQDNEGASM -MLPFVWPKNWLSTSIRQDFLDMGRLTFTNMTTVQSANGAVGSNVSVTTYAWAENVTISGATCGLVMQSGD -EYGVGPVSSAASAVAAAAKALSSIPLIRPYATATQMGAMKIARAAANLGFSNTPVIADTMPVKPCTNPVF -SSSEQGFALDKLTLDPKNELTIDPAVVGLSSEDELSIQHLVSRESILTITAWQSTSPVNTLLFQSAVTPV -MFDMTSVVPKRLYLTPPAWVAQMFQYWHGDMIFRFRFVSTQYHRGRVRITFDPSGTSGTNVSNTVATSTT -CFNEIVDLGKSTSVEVRVPYQQALAWSRTIVPTTESQIPWTNSATATFNHVPGFTNGQIVMRVLTELTGP -LATTSVPIIVSVRAADNMRFAAPADVSLRLSQFVPQSLTEFDSNPSTQVVMGSLGNDEPGAFLVNHGESV -VSLRQLLRRYSFSRLLCPTPYGARCLNFRHVFHRLPVAYGFDPAGPSSAKGQIVSASNFPFNYVSNHPIT -WVSMCFVGTRGSVNWTTNVSMQTSLATGLNTVVIARNGGLASYGYAVTAPPATDSNALANWTLSEMGAGN -AAGLALTNQFTNAMLNVSCPMYSAFKFQTTDKFNLTAPTSQDDAQNNSFVLHARTYNNVTPAVELYAGAG -TDFTVHLFLNVPTLYVHPGAIVSP - ->YP_009336805.1 hypothetical protein 2 [Wenzhou channeled applesnail virus 1] -MGECRGLDTRKPIRMLNSVLLGLEIQSSDLVDEPEGASYGGDSSGSDQQENMVFADSSTANIDNTSSLSD -FQPVVAISKNTELGQFLSRPTLVDTRTWSTSDAVGPLSGNFIFIWHSLLSDPVIKRKIENYAFMKATLCV -KMLINGTKFHYGSLRASYEPLAQGYPKRFDWHPVATGLSIASRIAYDQTPGAYLDPSDNTGVEIRVPMLY -NREFVPLKSANDVQDLGKLRWVVFSPLKVANTGATTSLTISTYAWLEDISMSGSTNALTLQSKDEYDDAG -GPVSAPALTIAKIAKSMTNVPVIGRFAKATEIGANAVGGIAKLFGFTDVPNIQDVPPAALLAAPHLASSQ -VSVPYQPLTLQPKSQISIDPSLHGLKQHDELAIQNIVSKNCFFASATWSTSDNVGACLFNVRVNPAVAWA -GAVSQGSPSTIRGYCIQHTPLSFASQFFENWRGDLIYTIKLVKSKFHSGRLRVTWDPMSSDANTVPPANT -VYNTIIDLSDKDEYEIRVPWFYQLNYARVRDVTTNIWTTGFTNSISAVSDNGALNISVLTNLAAPLESAN -VDILVYVRAADNFELNNPTDQNVTSLFQIQSKDSVDFEVPNAPKVGPVMKHFGAPVNSLRELARRSRLMD -RVPCPPSTATSGFRFVKSVTPLPLCRGYDPNGLSLATGIYQTGTYAFNYMASSFIPLLISPYAGVVGSFN -YTFVLDPGSNSLGWDCYARRENTRKTTASTVGSIQGELLSTDSLSVQRNQLTRFSEVDNGAAVLSCGVNN -TLQVNMPYLNNYSFFSTDPYRQMDVAPARFPDGNERICLEFIAWMKQFTSLDSSKRTTIATYVAAGPDFQ -VLQWIFPATCFVYTATISPV - ->YP_009336804.1 hypothetical protein 1 [Wenzhou channeled applesnail virus 1] -MTYVFLRLLCAIWGATFVPVNPVLCSQYGAFKDHKKKYFLWQSCAVLQERFMIRSRRRRRDRQVSKYRYL -ARKSVNVNVIHCPHWDGNFRLSPSLHGLHIQSGDNWSSFDDDQQRSLEAMGVLFKHIERLLPRTDHGIGR -SLDILESFLLTYHNIRRVTNHTDFMVQMMILFKLVYGGQRIATLNKWFAQINSFFKTDLDSPVQSRETVM -LMRSYFDGVSSCVNNPLFKKSRKLFSFLLTQGLLSQFGISLSEEDFSRYEIRNYQQNYSSKVDLWWCILD -TSITILERVEDYKVTGKFSDFIHGRDKYTEWLDKTDRLLALAPFTGNLEAHGTNAFTFRAEVAEQIEIGR -AMILHTKTMTNTTNSMIVSKYNQLQLLQATEVTRKAAQQERQAPYGVLVNGKSSIGKSSFTKMLYYYFGK -LLDYPIDDSFLFARSPTDEFWSGFDTSKWCLRLDDVAFLNPDKAMMDKTLEEILNVINNVPFNPPQAALE -DKGRTPVRVELCIATTNTKDLNASAYFSCPLAILRRFPMVITLEVKREYCQDEIQIGEVTRASPFIDPQK -LPENLDGWPNFWRIIVEKIVPDCAEGEGKDYAKIVPYKIFEDVNEFLVFFGQSILEHRRRQDNAMKSDKV -MSTLTVCKNCLRTYTVHCDCQPLQVQSRDRIVSYCRTVFNFADDVCREIFIRNWLLIKIITILTHLVIFM -LTWWQSLSQRLYYSWNGSHLIAESLCFSCWKPTSICECSLILQSHDSDDNFFHRNVAPRIICYSRRFYRF -VSQSGIYAFNLILRAHTYYCCCSFTITLLKYAGRYQALRMLTSRFIVPFLESRQQLIILGEWCSVIKKKN -IPRVLAALGLCLSLYATYNFVNKGKSDKDLNVQGDDNAQQIIDNRFEKETSENVWYKKEVSLTSFDIPVP -SRSLVKAPREQLIGMFQKNCVKLQVRFYCKERQVNIIKDICGVFVRGQYLLTNNHAFPELDLGEESKGKT -YDVTIIMSDVSAGITPNVRFKLFPEDICRLPGNDLAMVLVRSVPPFKDILKYWTEKENGDVSLGFYLRRN -EQGLIEDGVVRAATLQHIHLEQLQIDSMIYMAHIDTLTREGQCGSIFVNQSPRGPFIVGLHLLGRNNTAG -YMSVTRPSIDRLISMCDDILGRMTICGGGEPMLNVSDSSFAICDLHYKSLVRYCEDGTANVYGTLKGFRV -SPRSKVCLTPEHARVSSYFDYDVKHGPPSMKNWKPWRNNLLDMIHPNFQHSRCEIHTVAKSFLQDILRGL -PHNWEKRLHKLTRYEAVNGIPGVKFIDSIKRSTSMGHPWCKTKKAFLISSPNELYPDGIDFSSDVWRRVD -EMEAKYRNSERAYPIFTEHLKDEATSFAKISIDKTRAFSGAPVDMGLLVRQYFLSFVKMLQENKFVFEAA -PGTNPASREWTQFYEYLTKHGAHKMIAGDYGKYDKKMVSDFILEAFWIIIELHRLAGWSEEDLQVMWGLA -TDTAFPLINFNGDLLEFFGTNPSGHPLTVIINSLVNSLYMRWMYFRLNPQKECFSFKENVNLMTYGDDNI -MGVSDAVPWFNHTSVQDELAKFGLVYTMPDKESLSVPYVHIDTCEFLKRKWRFDPILGYHACPLNLSSVL -KSLTVWVPSSEICSEQQFVEVMVSANMEAFFHGRDVFDFYHKFFMNILEEDKYSVYLPNGLVSWDEFVQK -FTE - ->YP_009336798.1 hypothetical protein 2 [Shahe picorna-like virus 5] -MGECREFDTRKLIQDSYPVLEGLQLQSSDSYEDSEEAAYATSTGDVQQGNLVFADSCDSNMDDTSILSKF -GPVVQISQQTELGQFLSRPTLVDTRSWSTSDTIGPLPGNFIYIWSFLLSDAVIKRKIENYAFMKATLCIK -VLINGTKFHYGSLRASYEPCAEAYPLRYNWHPVALGSNNAVRIAYDQTPGAYLDPSSNTGAEIRVPMLYN -REFVPLKFANDVQDLGKLRWVVFEPLRVANTGATTSLTVSTYAWLEDVSLSGSTNALTLQAKDEYDEAGG -PVSAPAMGVAKVARALTNVPVIGRFAKATEMGATAIGGIAKLFGFTDVPNIQDVPPMAPLAAPHLATSQV -SVPFQPLTLNPKSQITIDPSVHGLAQEDELAIQNIVSKKCFFASTVWTTSNTVGTQLFNCLINPSTDWAG -SISQGSPATVRGYAIQHTPLSFASQFFEHWRGDLIFTIKIIKSKFHSGRLRVTWDPMSGNGNVTPPANTV -YNTIIDLSDRDEYEVRIPWFYQLNYARVRDVQDNIWNTGSSLTVDPAFDNGVLNISVLTTLAAPISSANV -GILVYVHAGENFEVNNPADLNISSLFTLQSKDTVEVDFETPNTPTPAPTMKHFGAPILSLRELARRSRLM -DRIQCPPSTSTAGFRLVKSVQHLPVCRGFDPNGASTANCIYQGGTHAYSFTGNIHIPVLISAYAGVFGSL -NYTFVLDTGGTQLGQDIGIYRVNTSKNVASSAGSIQGTLTTASSLSAARRELTRFSEFDNGGVVSSASVN -NTLQVNMPYLNNYSFYSTDPARVLSPSAPSRFDDGSNRISLNYAAWLKQFTSEETSKRVTLATYVGAGPD -FQVLQWVFPATAFVYTPVTAV - ->YP_009336797.1 hypothetical protein 1 [Shahe picorna-like virus 5] -MTEFLRFVSLLWCVAFVPNSEYELIQQFGSFHDDRKKYFLWQSCAVLQENFMMRRRCRRRRRRVATYKYS -DFRGRNVYTPHRPHCESQFATKLHGLHIQASNGMDDDAAMRHMMELIGRLAHMNPAWQHSVDGGVASCLD -ILESFMLMYHNIRRCENVTQFVNQMMILFKLVYRDKRGEVLKTLVKRVLKFFDVNLSSRNASNVQSKDFS -DIVQTMRSAFDAGNAVKTNPLFVKLRDLFSYLLTQGMLSSIGLSLSEEDFSKSCIRHYQSTYSGKVDLWW -CVLDTTITILERVDDFRRSGRFSSFVHGKDKYEDWLNKTDELLALAPFTGNLSAHGTNSFQFYSDLCHQI -ELGDAIVAHTKMLTNSNNAYIAKKLHALKLLKASEITRKASQQERSAPYGVLVHGKSSVGKSSFTRMLFK -YYGKLMNLNTGDEFLYARSPTDEFWSGFDTSKWCIRLDDIAFLDPVKAQMDGTLECVLNVINNVPFNPPQ -AALEEKGRTPVRAELVIATTNRADLHAAQYFSCPLAILRRFPFIVSVEVKPEYRQDPINDGTGTVTSTPF -LDPEKIGSFEGFPDLWEIKVQKIVPDCRAEGEPGKDYARLKTEHIFTDVNEFLRFFGERITQHKANQNRA -LGADKYMDELEVCTKCFYVGDKCECLKVQSRDTEEPGEGTYFASVCTIFASFFCFGWLSAYVAKHSRSNY -TRILCCDYALRVFSFFGRYSWFRQFAGICLVPYMHGRVQLSLLGKWCESRDRKKIMIIVALLGTVSVAYA -SYSFFKGKDQKASPDLKVQSDLRSEVDNRFDKESSSNVWYNPTVVLTSFDTPVSSRSLAGKPIDELEDVF -QRNCVHLSVRFRPRGEVKYIRRTISGVFVKGQHLLTQNHAFPIDIEGNYYEVTVVNGPFSGGVTPSVQFK -LFQADIVRSEKEELAMVTVRSVAPYKDILKYWGNESIGDISKGFYIRRNAHGMTERGVVRKAMLTRKCYI -EQLDITDDLYIAQLDEETAEGHCGSMLINATPRGPIISAFHLLGRGTTAGYLAVRVSSIDSLIKRSVDML -GDMKVCGGGEPMLNTDKSNFVLGELHPKSVLRYCDSGTAQIYGGLKGFRPAPRSKVRRTPLCASVCDHFN -YTVGHGPPVMNGWKPWRNNLVDMISPSFLHSRNELHTVAKSFLEDIRANLPDDWKTRLHILSNKAVVNGL -PSVKFIDSINRASSMGHPWNTTKKNYLIPAVDDDYPDGVDFPAEIWDRVKVMEEIYTNGERNFPIFTEHL -KDEAVSFAKIQQEKTRAFSGAPVDMVLVVRKYFLSFVRLLQMNSFVFEAAPGVNPTSCEWCGFHAYLTQH -GLDRMVAGDYSKYDKKMVADFILEAFWIIIELHREAGWSEDSLRVMWGIATDIAFPLINFNGDLIEFLGT -NPSGHPLTVIINSLVNSIYMRWMYLRLNPKRECHTFKENVALMTYGDDNIMGVSKRTPWFNHTAIQEELS -KFNIVYTMADKESESVPYIHIDDCEFLKRKWRFDEELGHYACPLNMNSILKSLTVWLPSSSICAEEQFVN -IVVSANMELFFHGKEVFEEHHSFLCSLLDNSEHKPYLPSGGLSSWHELVLKFKCSEPYLCGSFD - ->YP_009336795.1 hypothetical protein 2 [Wenzhou picorna-like virus 15] -MLWRFQSSDASYSTPNMSVSVEQVMQFHDESVQKTVDLSTNIMAPSTQPDQLFADYFKRPVAIYERNWPS -TDIYGGSSFTIKPWQLWAQKTQVASKLANFAFLRGNLHIKVMINSTPFVYGASLISYNPLLVGTDYRVVG -NAACDINARSQRPSFLIYPQTNTGGEMVLPFFHPQDWLRVGVLSDFSTMGELNSIVVVPLRSASDSVTGA -ALSAHVQVLAWMDNLELSGSTVGPVLQAKDAVADEYNQGIVSKPASALADIAHDLEKTPVIGKFATAATI -GARAVAKISSLFGFSTQPTLADPQPMFSQAFPILSTVGISTQSEKLSLDPKAALGVDPSIVGAPRDSLNL -VDIAGREAIVAVGTWNTTDAVDTLLMTTRVTPFFQNTANAAVGSTAVQHTPMSWVASMFGHWRGDIIFRF -RFVATQYHKGRVIISWDPTGINATNINNTVSSEGIVKSVVVDIGSTPEVEVRIPYHQAYAWLSTMGPVYD -DPVQIGTSTFEYLDGQDNGTLTMRIFNVLRGPNTQPIQWMLSVRAAENFEVANPSLAVDSINSDSPLYMS -YFVPQSDDTVEEADFGTPPEVEAKYLLNFGEKISSLREIWQRKSFVFSWALPDTSADLAQRTLKIFNLPG -QPGYNGGTQTAWKQAGPGSSTTGFTWSRFNYLSYIAPAFVGWKGSVDWTFNFNSAAMAKSFRVSRGKYSL -TAPSLNTTSGSFTSENTGSSDTTMRRITGDKGSALTYQPTRGGVSVIVPFYSKFKFALASAETWNLPPST -YDMRQNNIVVDLAFTKNSLGIERGGAIEGYCAAGADFTPLFFLNVPPLYAYASVPIAN - ->YP_009336794.1 hypothetical protein 1 [Wenzhou picorna-like virus 15] -MSLYDEIFESGLVGASKSDVAFRSNWRASRALKQCAALEAMLPRAKYDRLRQFARHNPRRFVKGFSELAF -DVVEHWGDGRPVPQNGSDYMSMVETFFSTLGRNEAQPFVLHLGFLCYDLYRSTGHSDVWVAVLRFFKDVK -VHHYLRKQIDDVYQYVMKAAFEIMLELQKLERTHLAGSAVYAEAAADAGPVPQTLDSVFESYSGLKDSAL -FRKLHKLMTSACAVAFFSKNGMTNLPAKLGYVEAGLNSTSAQSILQQTDFMMCALQTLHWLATMGFQCVL -DKNVAPLLANVTSYTDWVSKTQDLLSKADQVSCLEPHVTEPMAHGEAKHQFIGQLNNLIESGEAIVRWMK -ADEQYERRFVNNLLMDLKRLKSALITRDFAAKSRPQPYAALITGGTSIAKSAFTKMLYYAFCGVAGLPND -DGFMYPRNPAEKHWSNFQSSCHTILYDEVGKQNPRVGGKDETSDEILGVCNTVPMVPIMAAIEDKGKTPV -LAELVLATSNTKDLNVKSYYTNPAAILRRFDLIITLTVKPEFAQENGMLSPAAVPRPDGTFPNIWNIKCE -VAVPTPAPKGTTEQGFEFKLLASFDNTPDFLRFYALRVAEHRGNQDRAIFASDVMRTIEFCKAPHHVVAL -PRYMCGCPVAQSRDRPDVRYRTTLIETFSQAVLDTTEFTEYPRQWMFVWLFTFLLRFSFFKWFVSHWFSD -AKLIAMAKKSCYDKYTAKRLALTMGLRHSKLFNPPKWVKLIGQCALIAGQAYLIWKGAQWLTSRPVVKPQ -GAKISASTLDNEVVWYKDDYVTTPADVGKSCVGLKGFTPERLLEVYQNNLITLVFDTGRTTMIDGVEKRL -VRNQRAFCLQDRLYVFNNHGIPERDDGRVVCDIVRGPVGPGVSANIQRYEIFPSRLIRMPEKDLAFVWLD -VLDSRKSMIGLLGTKELKGSYNGYLLGRDFRGHLQAPNLVRNIQKGDATIPDIGYLSSLWRGVSQTRTVD -GDCGSMLIGDSAFGPVLLGIHAAGYVLNNDILVASITREDVEGLVNRFVEKPVEAHEMKIDKPGYERELI -DLHKNCPVRFIEEGSVAVYGSIAGHRSDAKSTLVQTPICKELLSRGYELKYGAPVMKGWRVKRNALKDML -QPVANIDPAKLDAIAEQLADEIMERLPVGWQEILGVVSEDVAVNGIPGVPHMERINVGTSAGFPYNKSKK -HFVVPLDPFKGLAGPISLDENIRADIAMVLLSYKEGKRSYPVFRCVLKDEARKFEKIRKELTRVFYAAPV -GWSVVFRMYFLTFLRLFYTNHRAFEALPGIATNSFEWSAVAEDLFAHDHVMDMDYKSFDVQAIKAVVMHT -VFRVIIKIMKTSGKYSEEDIQVIECINVDCSYALLDFFGDLMMVMGINPSGNPATVMFNCLANSILCRLA -YWDLNPDKEIKSFRNYISLYTYGDDNGQGVTDRPWYNMRTISEALAQYGITVTDAEKSEVPKEYQDPAKL -SLLKRRFVWSDTVGAWLAPLEISSIEKPLLVHTKSKTETQENQLIQSIGGAMREFFFHGREEFEKRRTEL -MDVVEKCGLQDYVVDSTFPTWEEELVRFWNTAEKRHFN - ->YP_009336776.1 hypothetical protein 2 [Shahe picorna-like virus 6] -MKYFSNPEVYSKIKGFSRLRCKLHVKLLINASPFQYSLGVMSYRPLAGNTSIPAFSGGELDDYYNINNSF -SSLMSYTQMPHAHFEPQYSKGCEMELPFIYHMDWLPLESSDLAHLKEMGRLSIASYEVLRTAAAVTSANP -INVSVYVWASEVELAGPSMSLQSKDEYSYRPVSTTASAIASAAHSLESVPAIAPFARATGVMASSLGNMA -ALFGFSNPPVIDPVHSMRINYAANLASTDIPTQIEKLSLDPKNELCVDSRTIGLDGVDHMSIRHIMDRDI -FFTNFRWEATDVVDTVLFQTYVNPAVSVVSAYIGASTKCMCSSVQMVPAAMLGTMFENWKGPITFKFVVA -ASQFHRGRLRITYDPAGPWSNSVSGSMRLYQKIWDLSISNTFEFEVPYMAVAAYLKTYDACVTQDNPALV -PPESSFWAPRGMNVRPTYNSQYFNGAFRVDVMNELTAPAPIGVPIFVYVNTKDVEFANPTSGASMSQISM -VKLQSEDVMIVADEITHETIPIVDNVDNLPHVYMGEKVVSLRNILHRSAYWDNIRPSFLGSTANPGYDPL -ATGATMKNALVRWILPRLPMPFSVMRTENASGLPTQGTYDGTPPWSSFNTTIAGFPSNTNYGCNARTTPL -ALITSCYTGWRGSVVWRAFINQNFSPQPNSSVSTHLDSLHIDRDQVSCDVQRPLDNSGIGGAFYSKFNVL -QTGGVAEALAQISPGTTASTLISYLNNDYESLGVTVRNQFSGVSKATPDHVPTVDAILPHYSPNRMLSGN -PQYYFPVASGYSIPTTGAYNNLDTFSINARYASYNNGSTAASLLFAPDISLYNHAGVDFTCFNFLAVPTV -YVYNAKPALATTFTTPSLFNNTGA - ->YP_009336608.1 hypothetical protein [Changjiang picorna-like virus 2] -MAFSILEHCGFTPHFAEIIYAEFRVQKETKKVTSFVYSILDVVEFVLSRARICWDNGSLQPLFHSSSTYL -DWYAKVDEVRLMSRMRGSPDDIEGLRDQDYYLLLEDCITKGENMISFTKTAAERKTMGMIIAELKILRHN -SAIEQSVSKPREEPLGVLIPGDPGIGKSTVTQILGKHYATVRGINFTPECRFDRNPFDDFMSGFKSSMWF -IVMDDVACLEPNKCPSGDKSLADVIQLINMAPYTSNQAELEKKGKVPVLAKLVVANTNVLDMNVFYYFSH -PSAVQRRFKFVLTPYVKDEFCKTDGQGNKINMLDGEKANIWFEAMRKKLGPDADPIADYWDFEVLEWLPV -PIGGRKKLATNHYPFGYQENGKPKRIGMKMFLNYYTVKIEQHIKNQTRMLANMAAMRSIKCCGVCGRSLQ -FCIDREAHRVFDQIACSDCGKTECLHKHQCRRCDCMGITARCEIHNECCEHRIHRSFCPTCRVQVQSEPL -YECTCGKDDCLWKLYPEAIACVCTDCGYCMSEEDVMEGSCTVCLVKAQWEAQYHVQSGDFGLMGWFALYL -CAFLIQLRKLQLHPSAITDRYHQLETLANRGHSTVSAIQAANNYVENAYDQATRIRQSLIIAGARAGQQL -ASRPVMMLLGVVISLVAIGKSCISMHSKFSEQSSDSTPVAKDEKKDPWKVDNYVLTPLDVGRKTSNFQTW -SRDDMQKHIQNNMFIMDVKTKSPKRTRALAICDRYYIINTHALQGYDECEIDFYRKVGNSVSDNVFNIRI -EKHQIFHISEEITIMFIPQLPNRKDIRELFARRTYNGTSHGYYLYRSNEGEIKQFGVRKIKGYNFRHPGL -CNNKMYRAYEGTCDIETMEGFCGSPLIAETALGPAILGIHSAGNSKRSVIASPVYLEDINDYFKGKMIID -TNDVILDAEGINYSIQDLHPKSCFRYLDQGSVTIYGSLNAPRSTMKSMVKKTIIADYLINEEGYKMTHGA -PVLKGYVPIHKSVVPMIDPVFKIPHSLVQEAVNDYFNTVESNLNADEYCILQKLDMDTVINGADGIRGID -KINMNTSAGFPWKRCKKNLFNFDGEKWSMNDELVRVVKRATIVYKLGRRNNFVFTGSLKDEPKKFEKIEA -GETRVFTGQNVAHLLIGRQYYLSFIRLMQRNNLTFENAVGCNAHSDDWDKIAHYLIDFSNNLFDGDYKNY -DKSMMGMTIMVIFDGIIDFHKRHSKMDQEDFIVMRGIAYDIAYSYVDYFGDLVSFLRNNPSGHLLTVIVN -SICGSSYLRIGYHLATGKPISNYRYDVRAVTYGDDVIVSVKDEVADEFNFQTYQAALSRIGLIFTPASKD -GAIYNFKPLDEIDFLKRSFVFNDELDRYSSPLSKTSLQKSLLVNLRSKSITAEEQIVATLASAHREAWQH -GKEYFNEFTNLIHRVLDHHKDLNMYVVGTTFLSYDKLREYYRGDINLPNYVLKEINGEMYEIQSGRTSTY -TQRNCSAISSYCNNPYSIKDIENGLLVYTYQGVPRNSYLGKIWLVVNYATSCYELIVLISGHSSIAKTYT -TIENLDDKIQERVDSTLIKESLLTGVTGIDGSKSKDDRDYNNTGTRNPVDVDLANFLARPIVALVGNWAN -STGVFEDIDAFKYWASDPAISRKLSNYAYIRGKLCARVIVDSNPFNYGMLHVSLLAGARTISLTSANSIK -YSTYLSQRPGGYINISFEKSLDVSSTLLHRGEWYSLEGAGTHSYPEYGVNLTLNTIYGLGSVFNTSQNLT -YRVYLWMEDVELAGATYMIQSQDVVPNATKTSTSAVDAQTIMLKEMKLDPSVGLPVADLNMKSYLDVNPL -IDMFIWHDSLTSGSKIAFFDPCPALTHVGADYASSTPLSFMDRYFTYWRGSLIFTFKIVCAKAHSGTLRI -TWDPLSTQGGDANVQLTKVVNIQEEQEFEFIVPFNQNLYGIPTDFGLPFPTNHIYDGSTPPTHITKNGIV -TIKAMNVLNGPSTNFSVGIAMYMRPGPDFEFLRLSDGTTNISSTYKIQSQDIKYVEHNGKQYMGESFDSV -RDMIERPQFYQTLNTWSHSLMDDRMFASELRFPITPGPSIAGSWILANENTVPVNSPPDSVNRFHNCKNT -FMGQLMTQYVGVAGSVKHSLVTDIVSTVYVSLDGENRPLTPFIVPYGTYTQYSAGTPVVHANFIKRTINE -SNRLTSKTFNNWRGAGWISKYVNPIGHFCLPHLSNYKYHRINNPSDWDTVTYYFDGVQGAATADIFVSAG -KDFQLIQFSGVLPMGSTPHTGPNDHLYPYYNGISRAVV - ->YP_009336547.1 hypothetical protein 2 [Changjiang picorna-like virus 4] -MTDAVPFSEDAVGESEIKSQTVTFLDGDAGVLIDRTYSTNPFAIVDDTKDISLGSFLARPTLIDTLTWST -SDVEGVFKTIKPWFLFLNNTVIKKKLDNFAYIRGNLHVKVVINATPFQYGLMRMDYEPLVGNVTSKIRQP -RTSDVRTLFIPHSQLPGFFIQPAANSGGEIVLPFLYPRNFLDLTSANDCNNFGTLYYLIYDALKVASSGG -STSVTVRTYAWMEDVHLMGSTIKLALQGKDEYDDKDGAVSKPATAIASAAAMLSSIPVIGPFARATSIGA -SAVASIAQIFGYTNTPVIENIHGFQPMNAPMLASAHIGTPLQKLTLDPKQELSIDPAMHGISPHDELSLK -SFIGKESYLTQFTWATSDAAGTTIGGMAISPDVCQTDSLINGTSTIVGYRFYETPLSYLSRCFFNWRGDI -EIRLKVVCTKFHKGRLKISYDPVGNLSATNPDENVVYTEIFDIEDGADIKIKVPYHQDRGWMRCGRSLQE -GWGISVPAPRFGTDNGTLKITVLNSLVAPASGANVAVMIFIKGGENFEFANPSDHIGNNSIAQGWTPSFF -ALQGKDEVDKVAREVQFGDNTINHPNRYDMNFGEPVGSLRNLLHRHQIVQTIPISTNTSNIYNTIVKQFN -RMPTPNGYNPNAVTQAARIVGAGNTTYSYGNMPLLNYIATCFVAYRGSVNYALTVSPDAYGQVADIRVTR -NQAPMTGAGDAWYGVRTLAGFTPTDSVKANYLNWTNNFYDGLAGTAINSTNTNSSLTFNFPDYNNYNFAL -ADYPNYTFGYQADGTENQHVEFSMLLKNAAAATNTQSTITMQIAAGAGPDFTCLYFLCCPTTDYQIVAPT -PV - ->YP_009336546.1 hypothetical protein 1 [Changjiang picorna-like virus 4] -MQARKSYLYEKMQFYVNDEKVAMGVGRIIECSVSSIMLVTRVETPLQFLAWFNLTVASITGRAAGEYIDR -YIFGVKNREDPRVQGAVEDFVRSARTGLINLKHMSENPVFSRIVKAYKFLLVQGFLKKMGLEVNDEEFSK -FEQRALLIEYSSKQEFLFHMVESMLFLTEKFFEFRNTGDVSCFMHSETIYSKWSTEADKLLNLAPFTGNL -EPHGTSYFQFRSDLMSLIEKGEAYTKYISQSSNVEIVAMKRRLNSLRILKNTEITRKAAQQMRECPFGVL -IHGRSGQAKSTFTRILFYHFGKVFGLPIGDDFIYFRNPLEQWWNGFDSSKWCIVLDDIACFRSGALSEVD -PSTKEIIFIQNMTPTMPPQASLEDKGKTPILAKLVIATTNTDHLNANDFFECPLAVRRRLPFVVTVEVKP -EYRQKDSTFADSEKMNTEPGTYPDFWIITLKRIVPLMEGTRERATLEKVGEWSNIYEFLDVYTMSIKAHA -ASQFKAVKSNSLVSAVDLCAVCYRPQVACKCDSFTLQAQEFEENVTTNWVLPERQNSRVVRYCKQALVYT -VDQIAELVVRALLFLGCLIVRKSKERVLRQVNRFCSENKAIQLAGRVATRVVKPKHFDAYILIGGIMAMC -CGSYYYYVKSQEEKPKEKPKQCGLGADDCKCKHWHCDYEECKGECTDMGGIPKHYVPQGNVMNTTEEDLE -QSKSENVWYNDSVAITSFDLPSSSLSLSGAKPEKLRDIFHKNLCYISVRTPGVNIKSMCGIFLKGNTCLV -PAHIFGNNEGEVEVFLVFQTTSKGINSNISFNLDLSHVYMDRKKDVALFNVYSIPPKKDILKFWCEKDIT -PSSVHYLYRESSGDCRYRTIRGISDWGFYDVEEISATVHLHTGKCEHESFDGLCGAIALANTPKGIAIIG -IHMLGREDIHGYNVIGRAFIERSLSNLSQISPILGGINGGSKPMLEIQDKEITLVEPHFKSLVRYLERGT -LNVYGTVAGFHPQPKTKVKKMILCDEFLEYYNVPNNYTAPVMKGWEPWHNNFKEMVEVPTTFDRNILREC -AEGYLEDIIVGLPENWEGELVFLSNKAAVNGLPGVQYIDGINRKSSMGFPWNKTKKAFLLDSPDEKYPNG -VDFPEEFWERVNKIEDTYKNLERAFAIFMGHLKDEAVSKEKARIKKTRLFTGAPSDWAVVVRKHLLSFVR -LVQMNKEVFEACPGAVCQSVEWELFYQHLTQHGVDRMIAGDFSKFDKRMSPEFILWAFWIIVEIFKKAGF -SDEEVKIIWGIAYDIAYPMCIIKGDLVEFFGTNPSGHPLTVIINSMVNSLYIRYAYRCSNPEKTVKTFKK -NVALLTYGDDNTMGVSSEIQWFDHTVIQDQLSKIGVKYTMADKDAESVPFLNIKDISFLKRKWVWNEEIG -HHLAMLDEDSIIKSLTINTPSDSLDEHAHMCAVISSANSEYFFYGREVHDKRREKFLEIMERRPYTFYAT -TYSLPSWDELKDRYLSASVEIEESRAKRVRGFGRSRTRN - ->YP_009336538.1 hypothetical protein 2 [Changjiang picorna-like virus 3] -MTTKPIEETFSYSESDLKNFLSRPVLISSLTWSMGSVMSLGIDPWQQYFNKASIKKKIDNYYLFRGNLKL -KFVINASPFFYGAALVSYRPMINFDPAPIRVSGAAQSWDYILKSQRPHVWLYPQNNQGASMTLPFLWHKE -WLDLTSNQDLLNMGRLQVESVVDLLNANGVTTASVNISCYAWCENVEISGPTVGLALQAKKDEYGDGVIS -APASAIARAAGELASIPIIAPFATATQVGASAVSKIARLFGFTNPPNLGDVNLMTPSPFPHCATTDISTG -IEKLSVDPKNELTIDSRISGCDLKDELNIRSIAGRESYVGYFRWTSADIPETLIFNAAVTPKVLEIENVG -SSRHIYGTPMWLISRMFSFWRGDIIYRFKIIASQYHRGRLRISWDPDGAISSTAESTTEVFTKIVDIAEV -NDFTVRIPYMQDTAYLQTGTATDPRQTYRLATTISASNPTPLTKISGYENGVLTVRVLNEQTSPVASADI -WIMVSCYAADNIEFAQYCEPDPDYRLSPYIIQADVYSYEESDTVDTNIAVKPLPDSKHINLIYQGEHISS -LRQVLRRFTFGATLPLATMTGTDQYAMTINQIPRRPMFPGYDTNGYNIADSLVTPGTSKWYNWVRFHPIA -FVGQCFIGERGSINMRFNLNNPTYCNSFYVERGDTTDEITSYNLSRGNYRTSTNVAAGSGINNLTRNNSV -FRINSPGGFSLTNTRTNTGLSVNVPMYNIYKFLSTASSLRSQGSYVDLSKFDYVRVCSEFQPIQKVVMEG -SNINAGNKVDVYYGIGPDYSLLFFLNVPSLVRYISTPASSEVTPA - ->YP_009336537.1 hypothetical protein 1 [Changjiang picorna-like virus 3] -MQQNGIHIPFLREYVLHKKPVVSAPPGVTVTHHLQDTLGIFVEDAAASVSIFAEEQGETQQNANTYFLLC -KFFHVNSQGNLVQQYYPGDICGRFTLDHYLTRGTLLLSFVPQEQLSTINSRDVRRMISIQAYIYQLAIEV -PFSQSSDTDDSEDDLNDLSDLQLQTVPRSYDDLKSTIRDVLRNYFTNLDDKDYYVSLAEDCLILIYSLYC -SFKGTVDYGRIMAAIAAFMKSRLPGKSLCCRFLEIKFSKFIESVTTEFLSQSASAEDVYFKVKSVRDFLS -NYKTIKNSQFFKRMHKIYLYCLSQSLFESFGHTFESQGYTHFEKEAIIKQNKSNGDMIYDIIDTIMFVCE -RGSQILITGSFQTLFHSSSEYTKVFDNIAKLREQYACLHNPELFGFSESSFRADLDDTIEKLDNMCKFSD -NMNSIEKFEIKKNLNAMKMMRCEVTTLKAARADRDPPFSVLVCGGSGVGKTTIKRMLFAYYGNLHGLDTD -ESFCYTRNPVAKFWDGFKTSQWGTVIDDAAFMNPKAAPQGDPSVMELIAIINGVSLCPDMAHLEDKGRVP -FRCEWVVATTNTENLNAHFYFSSPGAVQRRLPWIIIPIVKREYTNADGMLDSNKTPPVVAGQYPNYWHWT -VRKVVPNGSRVDAPALIEDVHMFDEVTDFLAWYGQQSVIHKKNMKAMRESTQVLKDIAICKTCFKPLNVC -ACASELHLQSYTATSFVGIVIWSYFTWNIGLILSFLVFYFATVFFPEVIALKIYTWFCHTIGPRLTQLLV -RRLFSNLGDKVQRRIGYIYQFGQIVVFLTTFAVTIRASVWAYTYAFPSSTDDEETEVKFVAQARVDKGYK -PSRTDNERGDIYYQDNYHLSNYQISRQSTSSKGLSRNDFINSISNNIIYFCMDNKTRKVKSEFRGIALCG -QQYLFNNHSVVDFDSDDDVFITVIWNLQNDGITSKITCRVVASMLSRFEDTDIAILNIPHLPPRKDLRNY -FPIKSLEHCKNNGFLLSRMEDGTICVNEISNIRSENSKIPLEGFSNDYWSYRTTTLTDVGDCGALLIAET -EMGYILLGIHVAGNIHSFGVSTKIDLEKLTSYIGSQYVVQNGNAMLSAPGYERSVVDLHPKSPFRFLENG -SAEVFGSFDGFRPSFASRVENTPMSDILLDHGYNFTCGAPVMRGWEPWYNNANKMVHPNGLLNLDILDAA -FQSYVTKILKHINVDQLKLQVHVLDMETAINGMPGVAYIDPIKINTSAGNPFKKCKQHLVVELTPDEIYQ -KRFTFDDVVMDRVVQIISSYEELTRVYPNFNAALKDEAVSFAKIEAMKTRLFAGAPIDWSIVVRKYTLSI -SRFITNFKLLFETCVGTIAQSTEWTDIYNHITKHGKDNMFAGDYENYDKGMSPVVILYAFKLLILLAEMS -GNYSDVDLRVIWMIGIDTAFALYEFNGDLVMFLSSMPSGHPLTVIINSIVNSLYMRYAYYIVNPAKECLS -FNDNVSIGTYGDDNAGSVDREKAPFFNHTTIQAALKTIGIGYTMADKAAESVPYISIDQVTFLKRSWVFN -DELNCYLAPLEHESIEKSLMTWTRSKAISKENQAVDVITSAMREYFFYGREVYEEKCNLLKFVVDKLDLH -AFVHESVFPTYDSLIEDFVERSEQLNH - ->YP_009336522.1 hypothetical protein 2 [Hubei leech virus 3] -MVNRSVSYSEGSLSLTPLSPLPLEWTYQSSRGDIDTGESGKADIQDDLDSVAPIQEGTVQYVNETEGATL -TYKSLEDHTFYADEAVGTQLGDFFTRPVKIATFRWLENDSGVDLFTFKPWELFFSDLRVKKKLDNFAYLN -CKLVLKFAINASPFYYGAMKASYTPLAGYGVGNLDGSNVPQRKITRSQRYTVDLNPQEGSMAIMELPFIH -FKNWLKADMLTDFTNMGEIYLSQYAALRSANGVTGAGVDITIFAHAEDVHLSGPTVGLALQARRMRQDSA -PNGWISGPASAVAAAAGALTAIPAIAPLARATDMAASSLAGVAQLFGFTNEPVISDVMPVKNLPFGNLST -GEISEPAQKLTIDPKQELALDTRVGGFDGEDEMVISNIVQRESWLAGTNWSTTQATDTILWTNLVVPCAH -DELTITGGRRIYATPVTHLAQMFKYWRGDLIYRFKFIRSQYHRGRVRITWDPVANTTSQPSSLNTNFTQI -VDLEDSDEVEIRVPYMGEKPFLDIPSDYPITWSNGPAPTLVPNQYNHNGILQVRVVNNLTAPEATSDVDV -LVYVRAADNFEFAVPRDVSPLNTFFAFQSKRGPIMASAQSHSSNNDQALINFGERFVTMRDILHRSSFSM -HSDIAISGVNGTRAITNLRFRRYPRLPGCDPNGFYSAASINSTGNINYNFAAAHPMIWLQQMYIGSRGSV -TWNIDYDNEAGGVGSGPSGMLTIMHAPPNAIPGKTVTSITIASPAGSMARQLCRGDVAGNGAEGMGLVHQ -RTQAGLSANLPHYARSRFYVNNVATSTNGQQADDSDSDIWTVQVITKGVASAQAVAQIEMYACAGPDFNL -VGFLCAPVVYRQSSLATPT - ->YP_009336521.1 hypothetical protein 1 [Hubei leech virus 3] -MQRNFTTLEQRSKTLFPVSIFQTKLTFPVPATAFVPALDAQKILCKASTGLQYQSFRTAVQQFMDKLTFS -PEMEWLVKLIEDVLVLSFWFAKATTKTETLMAITTFAKCRSDISIAQQLLTGKLMKYVMAAFDTFEFQSG -FDTSIDMAEALLFNYTAFKESPLMIKLYKFSTYCVALQLYDKMGITLHHDYYSKVEREMLKRKFGFGSDF -VYCLLDTTVFLLKQGKQCMVSGSIDPMFHSGAAYVLWMKEAKEVLALADHVGNPQVCKFDFHTYLSKLDE -LLTNGKIMLKRSGVTKNTRLEFDLIANLLRSLDAQKLQILTKQSALEMRAQPLGLFVYGDPGVGKTTVMD -ILFKYYAVLHKANPAESMRDHYKFTKNAFSKYWDNFNSNMWCVVFDDVVAASPNKVLGVDESIKEIICVI -NNIPYTPDQADLPKKGTTPFKGELVLISSNVNDLAMRIYMAKTYAPLRRIPYRIEPIVREEFRKDGEMRL -DSRKAGAVVKTPGAYDDFWRFKICKAMSSAKDPMQGYYVGDQISEEQPLILENMAELLEWYKEISTEHIA -NQQMMLYASEHSSDVKICECGGKHPLEWCPAPMEEQAYTRIINGITYAFPDREEIPRDVNPAPYVADDDD -DLEAYLDIIDVNATLDEREGTFVDRMFTKALHFGLYLYMENYTFRRSSSWLSTLPVVRAYIHRHIMPRLV -RPTTQQFIMQTLGDKVNKKLGQCSFSDGLIALLSTSVGVFAIYKSVKAVCGWMKSDTDKEDTPKWEAARD -IGKRSNAAREETENFWYKETIEVTPFDMSRSQASMKSWDVERVMEYVGRNCAHIEGTGETEKLESEYMSC -KAIALKGKVWLTNYHSIAGFKTLSLNFIIQKQEDGFSTNFQQSFTAASFHRIPDTDLVWFNVTATCPRKD -ITGLISKPSFVGIMEGMYVQRSEMGVIVRNPCVNVHKGRTILQGGDEITIWMSTPRFETVVGDCGSILVG -MSPCGPCILGIHMLGRANTSGAQLLTTDHLRYIDEHAGRVIVQGALKVKAGNIGPNIVAPHVKATPRFVK -KGCAQFYGSLDTHNVGGKSRVAKTVLCDTLVATGEYEVLHGAPDLTHWKPWMLAIDQMVDRSVSLDEVIL -NECVEAYTSHILSNLPEGELEALQILDLGTALNGAPGVKYIDSVNKNTSAGFPFNQSKKFYLTDLEDTSY -WQAGVDITPEIENQVDECLEAYARGERFHPIFKGTPKDEALPFRKLDAKKVRIFTGGPFAWGLVVRMYYL -SFVRMMTRNRILFEAAVGTNTHSSEWGDLRAYLTQHGTDRMVAGDYAAFDKGMPASVMLAAFEVMRNIHE -AALDKHGNRIFSDEDLQVLECIAVDTAYPTIDFHGDIIEFNGTNPSGHPLTVIVNCIANSLYMRYSFAIL -SREQQSALSTKDFANNVALLTYGDDNAMSVSPEVPFFNHTAIQRVLASVGIKYTMADKEAESVPFIHIDD -VSFLKRTWRWEGDINDWAAPLEHASINKMLTMGVVSKTNSPLATAADVIASALGEYFFYGKEIFEAKRAL -LLDAAHQVGVTPYLTAAKTPTWDTLVARYERASRGVNLYPRDNLPENK - ->YP_009336504.1 hypothetical protein 2 [Hubei leech virus 4] -MFTYFYTIFQRYTNVFSQNVLESNVEVVTKNTTLDYECKIAHKTSIKNFILQSGDISDSHPVTKQTLTFV -DNSEGDVDVIQYSKNPMASLNATKNTSLAKFLSRPVLIDTRTWSTTDNPGVLGSTIQPWYLFLNNSVITN -KITNYAFLRAKLCIKIVINATPFHFGLVRAAYEPNVNAANNGSRSSVIRTNTVSSLPLVVPYSQLPGMWI -HPSDNSGGHLEIPFFKANNWLNLTSAYSTQTMGVVYYYVTSPLGVASATGSSSVTIDTFAWLEDVELSGS -TEKLALQAKDEYDGIISAPASAVASIATHLHKAPIIGKFARATTIGASAVANIAAMFGYTNTPVIEDIPA -RMPTIGPSLASSEIGAQINKLTLDPKQELSIDPTLHGISSDDEMSVSNIITRKSALMVAGWSTTASVGTV -VFNANVSPQLFNAVNILNAGLVLKSRRVYHTPMSYVGMMFTHWRGDIIFDFEVVCTKFHKGRLKIAWDPL -GNNGTVALPENTVYTTILDIGENNKASLRVPYHQAFAWSRMRGIARDNWSVGNSLPVDDKYDNGLLIASV -LTPLMSPVTPQNITILISVRGADNLEYANPRACLGDTTATPPPSFFAVQAKDEVDIESSEEEFGDTGTMH -PQRYSLNFGECVPSLRTLLHRMSVYDTSTSFASAATRFASFQKSYTRLPLMYGYDPLGTSIASNILGTGN -SRFNFCPTHPITYVAMMYGAFRGGVNYSANIGADLYPYVGDVRVSRITDGTSPGQRRGSVLTTLNSGVTA -DPTYAFLNRYTTGTAGATYTNTQTNGAITWNQPMMSATNFNFCDPTFSSTGNPTDQTDLECSLLEILFKQ -STANTVTDVATMVTYAGAGVDFTCLWWLCCPTLDYYSSFPAAVP - ->YP_009336503.1 hypothetical protein 1 [Hubei leech virus 4] -MFTTNTNKNTPSIYINEKTNKKVQSHHETDYVFNEFNYVSYNVVLEQQMLKFKNEKRMFLQSGDFCEEAT -SQLMDMLKRALHSANGLLESKEQEWFLVQIENFAQLIHWSQKCESISDYIAMTQLAYRLFSGKPLSLYIG -KKIASLFENEVQSMDFNEAIKALRGAFDVTNRISDSPMMRKLVSLYSFLLTQGFLKCFGLELSDEEYSKI -EQRAMLSAFSSKKAFFMCVFDTVLFVCEKIYEWRQTGDISTFIHSSDEYAKWLKEADRLLNLAPFVGNLA -AHGTSYFSFVSNLKDAIERGEAYAKFTSSTAGVDCTYIKRKLSSLQLLLNTEVTRRAAQQERISPMGVLV -YGSSSIAKSAFTKMLFNYYGGLFDLERDDQYRYVRNPMDEYWSNFDSSKWCIQLDDIAFMNPAKCSDVDS -TLQDLLNVVNNVPYVPPQAALEDKGKTPVLAKLVIATSNCASLNAKEYFWCPLAVRRRLPFVVTVKPKRE -YLHSNMVFIDSQKLKTVDGQFPDFWDITVSEIKPLLDGHREDATLNKIATFTDVNKFLQFFGKACKQHEA -NQLKAMSKNDDMLTVKVCKDCLLPLPHEECVVLQSGTVNYFTSFMIWLISIFIQMKWVLCFLRYAAYYKL -TRRYACTLVNYAANAETAVRFFGQLGEVAQDPRLKRVVLCVTLLSATFAGYFYLSQKKKDAIKREDAPVV -QGNVHGTTEDQLLKEERSNVWYNPTIELTTFDVPRASASLAGASDDDIRNIFDRNCVLLHIRVVGGNSTR -VMRATFIRGQQCITNGHAFRKEGKRYEVTIIQKHVAQGVSPNIKMELDRVDIAFDESSDLCLFEVNCLPP -FKDITSWWSVTDFNPSSALEFSRHKDGTLSKNAIFALTYMPQTPVNELQGNFDIFFGHSTEMTEVGLCGS -LCVAVTPRGPILFGIHMLGVNHNVGILKVTVEQINKLASQKCISQRPIVQGGGSPVLSCSEKINILTEPH -HKSLFRYLETGSLNIYGSFSGFRPKPRSSVCATPLQKEFLEHYGKDVEYGKPCMTGWEPWRKNVVEMVKP -YANYNKSILRECTQSFSEDIINGLPEGWEGDLVTLSNKASVNGLPGVMYVDRIATNTSMGFPWCCTKKKY -ILPDICEKYPEGVTFGPEIWDMVAAIEKLYDEGKRAYPIFSGHLKDEATPLKKVEMKKTRLFTGSSLPWS -LVVRKKLLTFVRLLQKNKFVFEAGPGTVAQSAEWGHIRNYLIAFGDDRIVAGDYGKFDKRMLSDFILAAF -EVIVNIFKKAGFSDVECRSLMCIGNDIAFPLSNINGDLVEFFGTNPSGHPLTVIINSLVNSLYMRYCYMS -QNPAREVRSFQKNVHLFTYGDDNIMGVSRTANWFNHTAIRATLATIGVEYTMAEKEAQSIPFIKLEDCSF -LKRKWVWNPDVENWVCPLDEDSIIKSLTMWVPSKTIDSYAQIVAVITSANSEYFFYGREKFEKEHKFFAE -LLKREPYSFYVTETTLPTYDELVERFHRASRALEN - ->YP_009333595.1 hypothetical protein [Beihai picorna-like virus 56] -MPMSTYESPSAVLEMDCVDSDVRGWATKDTVAPRTTGVRASGLMTGLHIHAPDTASIVEAMSEQLLFDTP -TRGRVKLPTIEHRNSVKRAEAHPENGRDPLPRYENCVSAQPAPALDQAELEKAISESDQRCKRILVRHKM -LARRMRNRKERKGRHTKRPDGSQKKSSLLMQEMDNPHRAVKALFSHADPAVHATRLALKAFYRDNRRMRI -PVTCGPLGEIHKGQEGATVPVRELIQAFELQYASPARKKRQFFRQLVATERRRHRLKLQSGSVNDEDFSE -IAEETDTGEEKPVVECTIAEEPEVEDYFSDEYEDAAEKSESEGGPDPPTTEFGKLSCPGCKGALYATSDH -CKWCHVRTKARELRNYYEQYAGSEIFTVLLKVIIFMRQSTMADQGLILLDVAREYGSAEKNAEIIERVAN -ILLPLRDIWHKEAPGEAEDKLQGGEEDETFIGTFHSWISMMRTLGHSRVKTILTALYSLLSIGLAAKCGQ -TMSMEMIAEAVDTCTRFYKASTPFEAILHGLDNFVSIVRAGCKDGWAGLADWFRPARGLTEMEFLAVELH -SACKKYKQMTFGQRAAFKLQLSKAKKWYKENEGWLKSCKIRDFGLSDRGKRIFAAIQDAESIVAHFEHGN -TRIKPMTLVFCGGTEVGKSTLQQRAGSIIMSAAYERNIEAHEVPDLTFYWPFNGKFADGLHAGVGCVVID -DMGAKRPQKQPEGDPAIPLIHALSNIAPFFPNNADVADKGKIPCHPPALVISTNINDLNVATTFQQADII -FRRINLFVTVRPKREYSVNGTLSGAATAAWNAQATEQGIDELEDWWEVQVHKFHVSNTFSPVEAGNLPDV -KIAGTWEKVFPKPVKRGDDTWAPIKEFWYFLGKESRKHFAAQNEYISQMKVRPIDFTQYWKDDEKAEEEP -SEGPAKVVNTGTQPRYTPEKVVKVPQTAKPGFSEQQVLSPKSGARKAPPKGPATDVKFAERRPSQQQQPL -RKQQSPRAAAAAGAAAAATGAEGKPVVKSAATTATAPADAQQQPSPQPEQAAEQQQPPPQKQQTEQQADE -PMTQNSSSSHPEWMEGLVMGGSSDGCLQAGEPETPLSSNYVMQQFANIFKRKAPEDPASIFDQEGSPQAL -TKSNVTWSTRIASAQRQFNDLCNAQNASTNDSSSSQGSAEGAGSESGSRCASWTTILRARGDFLQAAGVT -GIYTFVMAAMFCFFAFATAVAAHRGYSVCALVAYLVGANDIWVNGWAFVANVTLLANCVSRMRQVLTCPM -AQVMPRVMEYNQVAANVIRWCEEHATVLRALMVVAFTLMCAWGYRALSSAYAPKVADEPLEYIPQSAEGP -HKNDGIGLYNDFGGQVTKLPASIQDPGVLLDERLTTHDAAVAYAAKIENEYKRRVALEAKMAKNTFVIGL -YMSDGTPVHGSAGHAFFVNNTHFVVNAHVVRAKDKAHWLRMSRTPNAGIRGERVDFKLENITFFEVSDDD -NVCDRDILVGKISGASFGDRLSNLVPQLPKEPCKSILTVSLLGKTGAACYHGNVTRQISNQALPGGSATI -VHASTLASSNATIANTVAGDCGRVYYSGGPNHLSEPFLVLAVHTGVNSVSDSKQKVLLLTPLHGVAQLVT -NDLSRQVLQQGNVEPTIHNLGRTLPLPPVHHKSVAWWAMEPEEKLENDVDMTVIGAWTGFRGQDRSRLKQ -GLLWKHLNLKAVNHAAGEDITDGKVIPDFRGVTAEGSPKIKQAQWIALQQSAAPPAWDESVYQDLKEAAN -MYLREVEQTKEQWRGQLRKLNIEEAINGIPGLRAFDSLNFSTSAGHPHYVKKNNFFEQRSDGTRQINPEL -NSSVEALEEGLKAGLVYPVFSSQIKDEVVSETKDAIGKYRVFMMCPVDFTIVTRMYALSCVRVMSLFPYT -FGACVGMNATSEQWTQLAHYLGIGQEDCKIFDGDYVNFDKSMRPEVTRVVREFIVDLHRDVFTDEDLTTL -DNILKVSYSPVVNYFGTILQFRSINPSGGSLTTQTNCIASNIFIRYSWLKLYSPVVGNIRAADEFRRCVH -PMTYGDDLLASVDTRKVPEEFVRKAGLFSCKTMQTALKEIKIQFTDAAKNAPENTAEFSPHDQITFLKRR -FVRVECAGYGYDVQYVAPLDKKSICKMLHYTTDRSTVAPLDVLKSTLNSVGVESYFQGRIFFDCIRENVV -RALEEYGEANRVYIVVDQFIFPEYDRYQEWYKDTISEASLFEDPYDAPFSDVHPLKRPRDPALMSMPVPK -TVPRMLGQCMPVFDVLEGEDSDSDADIAVFTAGTAPQGVRRNDQRCGGLSVPRTCKTLGEKQKMTKKEVA -KLQMGEPLTRFFDSGPERSEDLAGALDSSFDAGDMPSHTLTNWLQRPVKIVTKEWAENADLSLTFNPWKL -FYSNPDIYAKLQGFCRLRSRLKVKLILSASPYQYSMGIMSYKPLGDDTTTDAFSGGMIRSEGSAMNIFDE -EALLSVHTTRPHTYFYPQFSRGCEMTLPFLHYKNWLQLDSSLTELDAMGTMSVFSVRKLRSSTGQPPVQP -VTVSIYAWAEDVHLSGPSYVLQSGDPPLYTVRPSLINNLATPGEPGHVEKIALDADNSVECDSVVVGAQG -DQMSFTAIMDRDVIVANIDWLSTDASEQVLLLQHVTPCVTWQASRQGDETELNQVALQMTPSAHLATAFN -WWHGSITYRFKVIASQMHRGKLAVSYEPDGWDDNWDEQVMMAPRLVTKIWDVANDPEFEFTVPYVASKAY -LQTGRMREAVHGTPKILKRPTLPLSSWNAATYKSSHYNGTIALSIINPLTSSDPAADVGIVVTMNASGVS -FSEPTELDMPFSMYQLQSGDPEGEGTLANEPDVDVAVGTIAAKEMRAPHVYMGEVVDHLLPLLKRTTKYR -SLRLTQFQQTPNDFYTRFPPTLAGTGSPSSISARTIGTTFMQPLLPLGSGTLAEKDPKFIEGVAVGPDDS -TSPPGTKIIEGQTERLNTPTAYFSPCYVGWRGSHIYKAKVTKSKSDGPKFSEFSLARSTAPLAVLFNKLW -THMPAITHWSSGDTEPEYTGLGAWAALLAKYRKAGDMANQGSAGMTQTNVEEIPFTEAEFPYYSSYRMMP -ANPTANYKAAWRPETLSWDHYDAAKWDYSTWSNWCLRSVVQYPNKTSSLTDIDVHPEVQLYHAAGHDFTL -MQYLNVPTMYCYVYEGQSGSGVMPAPWWVA - ->YP_009333545.1 hypothetical protein [Beihai picorna-like virus 48] -MEENEIFEMKMKNIEITRTSMSDPKNGKLCAPADHMFTGRTYFKHMKADTIIAKIGYILTPRGRHTKIYI -ENAPPAKVGMKRDEWVRDLTRECVEPNPGPISRQSPLDVIREEEVFLDNGIYEYCYNCENTILLMDFDQR -LIFYRSNCKFCKFEAQSMELFNDYQDRFKQWIWNGEHLGKSFLGRHLNITGKYDKEINLIEDVGLLIFQF -VRSRNNADRCVAVVNFCKLRGMKFTFLHILLEIVDDLFHSTSYPSTNDIMSRMGFEAQEEDAVEYSFERM -RQVLGSYEKLKEMAVYKKLHKLFMYLLCTGLLKGTSITFKSLQFDKFEEEALKRTHKPGFDMVHAMLDAV -VFVCEAGYHFFKTGDATKFIHSGSSYEKWLATSQKLKMQSKFLSNPEPHGFNRFSYVAELKDTIEKGKSI -VKFTGGLDRGEKLFLQKTLMELQMIEAEETTRRSAQQPRKDPFGILIHGSSHIAKSKLTEIMFKHYGKCF -NLPIDDTYRYTRCPTDEYWSGFDSTQWCIVMDDIAFLAPTGEVDPTLKELLQVKNSVPYTPPQAALEDKG -RTPVKAELLIATTNTKHLNLHAYFACPFAIARRLSFVVTPTVKEEYVKNGFMVDSKKIPPTPEGQYMNIW -NFKVSVPMPESLQEKDNQRTRYEEIESFDDINSFLQWYIFAAEEHAQSQAKADAAAKSMAEVQVCMGCKR -PQGDCLCGSSWPHQYAKCGVCEKLMGNCVCTDQVEVSDLGERFKFKLWYYSLVVRNSPLDFEMINNIVSN -WMYLIMTLAVTLLMQYPFVGIITLLSVALLYNLARYAPCILAKFYMWKYGSLWKYRLLFKLCGNELDTWR -LIFRICGEKIEKIQNKENYLYGLGALLALPVTLIALRSLWNTYIRNTEPEKEVEQYPKTREEALSRGFMI -YEKGNVMTSEGTFTVSEIHNNPAAKKDIADMAIGESRTFDCGSKGILYDRVNNFVTQGNEGSIPLPMIEE -KKTFYYHDPYATTECEISGVSKCAQGTILQERLLRQTATFNIRFPCIGRGSFNTAVNFYGNLWLLNKHAI -KADVGEVDVIRESTDKNVSRNIYGVSFSKADLIEIPETDAVILQLRSLPPGKSLLDYFPLDTLLQGIYKG -RYIMRDRSGETQILPVNNIRAGLCPVFGVPGYHGIAIRPTSKGDCGSMCICDVGSSQVILGMHTSGAPNG -GVAMQHLSQKILRSLISHFESQVSEGEIPISAPGYERKLIDLHHKSCIRFLEKGTAAVYGSFAGYRPKHK -SKVEPTYICDYVVKHGYKANFGPPCMDWKPWHLAIKDMTTPVHCYLNENIIKCENAFFNDICSKLGDKIS -MLEVYTLDVALNGAEGVTYVDKLNCSTSAGNPFKKSKKNFIEQIDGRIVKVDQVILDRISQIEQCYDNNT -RFHPQFCGHLKDEPVSLKKIEAGKTRVFTGGEFAWSVVVRRYLLSHIRLIQNNPFIFEAMPGVVAQSIEW -SKLYSYLIEFGEHKIIAGDYGKFDKRMAAPFILSAFRILERLAEKAGWPDSDLRYIRCIAHDTAFPCIDF -NGDLIEIQGNPSGHPLTVIINCIVNSLYMRYAYMLISGKDVTTFQDYVHLATYGDDNIMGVSDDCPNFTH -TRIAMAMKCIGVEYTMAEKEAQSVPYIHINDASFLKRAFRFDKDIGCIVAPLDESSFHKMLTARLPKDDM -APEAHTICVVETAIREYFFHGKEVFDDRVQFFKQLVIDCDLECWVRDSTFPNYYSLVYDFWMKYDDVESA -MKYSLGEHTPQSLTVNAPFVCAETTVNRPKVLSAERTYDMIGQSLLGRGLRVKSTCCTLQQDAVSVPNPV -RSVSSSSSIEEEEFECYKSLGSYLWQSQSAEIILDETKAETQETVEGLVTFMERQDLKTVGLTTNSVLGS -TTVNTHIASFFARPVRINVFTWNESDVGARTNFNPWTLWATTASVQNKLANYAFFRGDLKLKIQISASPF -YYGLMLANYRPLTGFKGDTIYHGIDNTWKIGQSQRPHVKIDPANGDTYEMVLPFLYPYNMFPLQTASLFD -TLGNFRFDIYSNLQSANGVSGTGITVTTYAWIENIELSGATAGYAAQSDEYGTGVVSKPASWVADIAGRL -ESVPVIGTFATATRLGATAIGAIASLFGFTNVPVISDTEPVRVEAFPKFANCETGFPVEKLTLDPKNELS -VDPRIFGMPDGKDEMAISHIVQRESYLTMSTWSTADSPGTIKFSTLVTPNLYDTISITGALVQQLTPMAW -LSNMFQYWRGDIVITLRVIASKYHKGKLKISFDPSGYGTGINNILTNDNTANIVQTSILDIGENREVEFT -IPYQQYAQFLKIGNYGGGNPWSTSASPSLTHDATQDNGFFTVRVQNALTAPVLSSSVDILIFVRAGENFE -FAAPDEIDSSHQASYFSPQSDEYICMPINGKVVLGNVNKSTDNQYLVHFGEQVVSLRTVLHRFNRLSCDR -VAPSGTANTYQVIEKICARLPMTPGYVSTGYSTANKQATGTYGYNFCTFTALAYVANAYIGYRGGVNYTF -NVANNVPVKNVVAFRAPNTQVAVNSTTTVVTSNSQLARAATSIAGSAGSALTNQLTQSGLNVMMPMFTQY -KFLSTDITKGNVPGSEDPEMLSLKFDLAFPTTTISDSVLINTYVAAAPDFTLLYFINAPSIYIYGTYPAA -V - ->YP_009333539.1 hypothetical protein [Beihai picorna-like virus 45] -MKFPSLFRAPKICCSNDAVEEVATGAVSAPKHVHVILSVGHRSYVVKATYGYELYNHVYENAYDILPSWV -LNGHRFYFEHRGRPIRPTLPLTTHDVHDGDTIQVVWALSVGGSKSDRALRREYGDKKWRSAKRAEEVAAG -MTEYLESAPTSDFEREVRHRIIDRVMQPQGGISSLVGVPDADYIINLLEGIALMGYQMSRANGKMDMLAA -VMAFAKGQATGPLLNSERVNMLTSFLEKVFEETASDISVAFGSETEVPMQGGVGDALKSLRGLVDHYETV -RDSKVMQKMHRFLMYALSLSLFEKVGITFDNFKYTTLEAEAIKRKFTAGPDFIHCLVDTLLFIAERGHQC -MITGEVSAIFHSAGAYEDWYNRSSVIVRQSKLLSNPEPHGINIPKWIADLMELIEKGKAIGRHMATMDGL -QKRVFLNMQASLEMAHSDYLTKRAAGADRAAPFGVLVFGGSGIAKSAFTKALFYHFGKLTENPIEDEFRY -VRNSNDEFWSGFQSYQWCVQLDDIAYLKPGTGQPDPTVLEMLQVVNSVPFVPNQAELENKGRTPMRAQLV -VATSNEKTLNAFHYFQCPLAIQRRLPYVITLEVKQEYARDGVFLDADLAPHVAEGEYPDFWVITVDRVVP -EGANTAKWETVGKFSDIYQFFDWFSAAALKFREQQQKVNTSDKAMQKMVLCKKCYRPQGRGVCETCFFGV -EEQDAIPTQGLVSWFIGNWLTSSEFRTGFEYAVTAFSSCYAFLFARYVELFRYCMKGEGGAAYNRFVTLM -YLTLSKAFGLEERAMMCFQFLCIDSVADFFARRVYRMSLGEQTLVLRSAGHAVNNKIGFFKLFATVATAI -TAIYWCIKGFNLFERKTGETINLVASKTGAYIVRNGLVPKERRHIYDECQRQQAAGETAWQSWTNEDIEW -MARTGQLLPNKQGGISSTIGRAPENKNEDQNVWYKDDFQCTSFDLPQSGQSMANFDNDRLLSVFERNLIS -FVAVNNGCRRTMKAVCLGGQFYMTNNHAFNDTGSIKVEVTCAPVTEGITQNMQFLLTQAEIFRIPEKDLA -FFQIRALPPRKDITKFFAKEDMSGVSNGFYMQRNEQGEIVEIEVFNCFKRQQAIATLGMMTCWMGTAERP -TQEGDCGSLLLLRKRSGIFLAGIHVGGVLCDVAALPVTMAEITSAKNALQPYEIQSGAPMISSESAKRNF -EHHLHPRSPFRFLQDGVATVYGSFAGFRTGGRSKVCKTLTHDLALAEGYEVKTAAPVMHGWAPWYNAAAE -TTNPVTDIDLGLLDKVKGEFIDEILERLDPKMLKEELFVYDDLTAINGANGVRFVDKMNRATSMGCPWKK -TKKDFLEKLPPTESCADPVTFTPEVMNRVQIIIQTYLLGIMAMPIFSGSLKDEALKLKKVLAKLTRVFCA -SPADWNIVVRKYYLSFVRLMQLNRFIFEASIGCVAQSQEWEDIREFLTRFGCDRLVAGDYKAFDKRMPPS -VILAAFDIIIAICKKSGNYTEDDIKVMVGIAFDTAFPLVDFNGDLVQFCGSNPSGHPLTVVINSLVNSLY -IRYAYATLNPKERSARDFKKNVALQTYGDDNVFGVKQGCEWFNHTSVAGALADIGITYTMADKDAESVPY -IHIDEVSFLKRVWRFDEDLQHYVCPLDEDSIAKMLTLHIPSKEDPVQKQTVDVLSTVTREYFWYGRETFE -KKRAMCIRFATELGLMDIWVQQSTFPTWEQLAEQYAQSSARRLHFKW - ->YP_009333534.1 hypothetical protein 2 [Beihai picorna-like virus 46] -MTLSVLFSIGEWVVPHSQVSSHHGHGHPGGVEIRSASSFYSFGSWSPSTSGVIGGLESEKALTNFPATQT -TSGASYVSLDIKDSCCFQLQSRAVNMDEPVGTEEHVTVDFVDETEGQSEQMPGSDKLLNSDIFDPSVDLA -TFMARPVRIYTTTLAQGAAFGITRFDPWDLFLSNTAVSKKIENFHYIRGNLHINVLVNSTPFVYGLYALS -YCPTVSDNSLFDTTGDARATDAAIRTSYTQRPTLYLEPHVNKGGTMRLPFIYLDNFIEVQGTERTRLGSL -DLYPIVSLATASTNTNGCTVSVYAWMEDVKLAGPTLQLQSDEYATGPVSSVATAVAAASNKLTKVPVIGK -FARATEIGSGALAKIASLFGFSKVSTISDVQVFRNMTTRGMASGSIADAFEKLALDPKTELSVDTEVAGF -AGGDELNIASLCARECVLTTAAWQQGDAVDTTLFHANVCPTMAVSSLVSELGASRTVLYDTPMGSVSRMF -YNWRGTLVYTVKLVASQYHQGRLIVSFDPAGNTPTAGSENTIVSKIWDIQETDELVFKIPFISSTNWKIA -NTNISPDFSVRGATSITFNDDYHVGRFSIRVGTALLAPLASANATLVIKTHMEDGEFAVPRHIDTLLTPL -PLQSKEIALAQETPVAPELYGVNMGERIQSLRSLMQRSTFYHRDVFQQASGNTKQIVRNKMYPLNFGFQE -NTATNQSWTDRATSVTVPTPGASDRNFWFTDEGPVQWMAPNFVGLRGSFKWTIYAHNFGNGCRIIAGRDP -RSYTLNTGFNRIHTTTTAYTLTRSQVAADMLTNTATQWTQGGISMTSSRVNPSLVVEVPFQSHKNFEPTG -SDVYYGSSLNGSRPLLWYYCLESGEAASTTAYVDMYGAAGTDFTMCGFVNIVPRYYFSTMPTPV - ->YP_009333533.1 hypothetical protein 1 [Beihai picorna-like virus 46] -MANTNSTTFLGMRVPTFIRTNRTTTAQIPVAEVAEPIRVQVCPARRVAPVIVPKDLQSSVAIQCGSTTII -REALYVSELYEFVRERLAVQFSGPRSVTASVPHFGLYYKNKPLVDRKIVIAAYDIPNRGVVTVKFFMGLG -GSTPEHKLERQLKREYGDKKWRAALRAEIRSELELQALDSSDLLAKCYGLIGKADADFVLNLIEGAAILG -YQLWHARTHTDRLVAITAFVKGQISEPILSSKRLELLTSKFDELQAELTPQLQGVEEHIGAARAALDTYE -VAKGSVMYQKLHKFLMYALSLSLFEKAGLTMERMRYSVIEREAMKRKYTAGPDFLHCVLDTTLFLVERGF -QCMKTGELSPIFHSGKSYDAWFQKATEIMRGARFLSNPEVHGLNLPQWLSDLEDTIEKGKAIGRHLKVMD -PLAKRQYYNTQAALELCKADYLSKKAAGADRKAPFGVLVYGGSGIAKSAFTKVLYYHFGKLTGNCIDDEY -RYVRNANDDFWSGFRSYQWCVQLDDIAYLHPQVATTGDPSVLEMLQVVNSVPFVPNQAELEDKGRTPMRA -QLVVATANSKDLNAHFYFNCPLAIQRRLPYVITLEVKPEYARDNVFLDGSKAPKVAAGQYPDFWLISVER -VVPDGTAAPGMTQRAKFEHVAKYSDIHEFLDWYSDAVVNFRDEQKKVDESDKNMRAVRICGICYRVEGRG -KCDECCFLKEQAETETNDFFSFEMNDFGFSWLLALFVQYVSQTAGGIVWTFFANVVANWIIRVCYGLELF -AAACYMLHMHRIGFYIENMLKRMAVAAGAHFFKQAGAAVQRKIGYVKLFGAMVGAMSTIYMLSKLRRFFS -PVGEKQGNTLSKESVSATIGRAPVNKGEAQNVWYRDDFECTTFDMPVAGASWKNFSDAQVEAHLARNCVL -VYASSDLAGTTRINRALALGGQLYVINNHGLHESGIIKMRVVDGPIVDGVGTEVNFVLTQSEIVRREGDL -AFFQIRSAPPKRVLDQLFANTVLGGAPEGFYLKRTEMGTLVREAFTRAARSVERIGTLGRMDVWRGTVAT -PTQKGDCGSALILRYPCGVFIAGIHIAGDRNVAVATPITRDLIEDVRKSMRSYAVQGFSPLLSSETAPRE -VTTLHTKSPLRFLQQGVAQVYGSFTGFRGGSRSKVIDTPMRDLAEAEGYVVKTGAPAMCGWEPWYNAIKE -MISPVTEIRGDILEIAKKDFLNDILTSIPQEQLAEELFVYDDMTAINGANGVRFVDKMNRNTSMGAPWRR -CKKSMLVELPETASCSKPVTFVPEVMDRVDIYINRYTRGELCMPVFSGSLKDEALKLSKVEGKNTRVFCA -APADWNVVVRKYLLSFIRVMQLNRFVFEASIGVVAQSREWEDLRTFLTRFGENNMIAGDYKAFDKKMPAS -VMLAAFEIIEEVCKASGNYTEEDLRVVRGIAMDTCFPVVDMNGDLVRFHGSNPSGHPLTVVINSLVNALY -MRYIYIVLNPIEKSARTFKTNVALQTYGDDNAMGVRSGCEWFNHTSIANALADIGIVYTMADKEAASVPY -IHISEISFLKRVWRWDDDVQAYLCPLDESSIAKTLTKFIPSRTVTVQKQCVDMLSSVCREYFYYGKDKFT -AKRAMCMRFAREIGVEDLVEPSTFPTWDDLKASFDAASEDRLRPTW - ->YP_009333521.1 hypothetical protein 2 [Beihai razor shell virus 1] -MAVCLSRHAVINLLLAATNSNKNTQSSALETEQNTTFVENNTIATIPEITVVDSTFNDGAVDNIALANWF -SRPVVISSHIWNDGAHIDFEFFPWYEFFTNPSIKNKLYGYSRLRCNLHLKFLINGSPFQYSAVIASYKPL -VDPNNALNDFSGGVINTISVTRNGQLVGYSQRMNVELYPQTSEGGVLALPFIHHKNWMDLSAVGDTFENE -LKKMGISNVTSIVPLHNSSGTPGSDVTITVYAWATDVQLSGPAYELQADEYTDKPVSSMASSVAAAAGML -SKVPIIGPYALATSIGATAVASIARIFGFSNVPTIADTMPYKNRTVGNLANVQVGEILEPLTLDPKNELS -IDSRTVGYDGTDELLISNYAGRKSYFTVVDWLHTYTPGTQIFTCYVTPELYQIDTCNFVSSGSYKALSMV -PACHAAQLFHRWRGPIKFTFKVLASRYCRGRLRIAYDPNGNLNHNPTTQINEVWDISAASEFELVVPYMG -STAFKQTGILTNTAYQVPLFGGFGYTMPTYSDGRFNGVITIEVVNELAAPDPTDGVNIACYVSAPDIEFA -EPFNMEQRYFDATGLVTSAPVMSYLDPYEIQSDEGPLPDNTVTTDNTGVVQGEAAYSVYMGEVVKSLRTL -MHRSVRHAYYYFEGPTTNQGTLDLFNIYTPRFPRTRGSTTAGMSRINNSATVGVKPYNICRTAPMAWLTA -AFVGWRGSINWRAVMTESPHAFDSAALCISRVSKAMRYDQDPFIYMSRYDETAMSSAKASSLAMNHSEDD -SNGVTVAITDVEPVVTARMPMYTDHRMFPANAIVLNDTTKISNTGVEYDNMKISSLAMRYHDASHRVVTL -NTYVSAGHDFTPFVFVNVPTIYLYDASSTETPDPTFHYPVYLFT - ->YP_009333520.1 hypothetical protein 1 [Beihai razor shell virus 1] -MFKSIKENRDRLLVQRKKGVRSSVFDATGAHRRTPMPTISLTPATIPVHASDAETPNALKAAGLNTGSVG -TCDCAVFGRTRPPCAAFCSAKVKKHKNSDTECGVVSRKVKPQKKFEFDDEGYLKVSGPKLRHHIEQGTIA -LSNYWYQFDDSVVMFDTIAVSRWVEQFRCAFVRHPDTNKRRLPHELNGYYGGGPMRQDGDYTFYPSRRYP -HPPRLLKRERLVYAHAKKDHSLYELQSDNEPHDSDPVLNDQPSGNRMSGDDSMALVRLATRQFDSISRSG -LVPTEHVKLIEDLAIFSYSAYRSRSFLDFVVALTVLFKLRTSESVIEHLIVIISGVCKVSQQSSDYDIQS -NDKFTWFMDALRSDTYKEIVRILTILCGLFMMFGNNHFPLDIFEKCERSFKFNPVISGTGIFLDIVSTLS -NIYSKMLAFIESGDVNDFVDAGSNIRDFCDRVYNVERVSRNFQTMTSMFNCDGVAWSFHAYALELNQLME -RAPHVQAVVRSSPNSIKDKIRQRVQSLKEVRESFMTFIDSQKFKRAPFSLLVYGETSIGKSSIVRLIQEL -HAKITGLPSGQEYSYTVNPQDKYMSGFSMHHHTLVLDDIATLSSKKASDGDPQLNYLMRIMNNVSYVAPQ -ADVESKGKVPVKVELIVGTTNVKSLDAHAYFNVPSAPLRRFPYVVSPSVKPSFARLDENGMPGAQLAAER -TDGSNDYYLWTVEEVIPVGKNVKYSKVLDNVTLTEFIRWLDTNVHRHRDIQDKVMAASNDFCDIPMCDDC -KKIRSICVCTCPACGEDKPQCVCYAQQAADYDDDVPQGRPLATGELAKFFFNFALIGYIWAVMVFLIMWI -CERIVRSVAFFRTCCRLVQAVDDIHNAVIGRYLHFRLSMMDRRKRIGSFIADRCGWRRWSTRSTCAIPIV -LSMISAGTFCYFVYTRSRKFEQQGNIASEPQMFAPDGEDDRKNWYTSSLPKPGFFVKMTPGSRATSQCNV -NDVKNKIKGNIQHVTVVSDAKTSKANAVFIKGNYLVLNNHTLYADDCTIKFNSKPKGINTLGEVKVNADM -IHRIPELDLCVVRLSKLPMMPDISQFLLAEFPDPAYIFSGEMLRKPQPTDADCESTEIQSYKITSANFGG -TPGVDRHGNPLIKQGFLCKLDKAPEKGYCGSVTLFNMDSSGNGLIIAGLHHAASNYNGITTPLTRSVFNA -AVEALEQKAMKYLKPGEWSLNSMVFSTVDHSVCRLGSDSRDVHLKPMHPKCPLRWIQEGVFTPIGSHDGI -RDSNLRSHVTDFPLRAEFEAFGYTSEKVAPRLDGWEIHRKALLETVSCNTMDEDILNYVTAAYISDVLKR -VPEKEFRYLKPLDAYSSVNGVANFSYIDSIVMSTSAGWPYSQKKAAYFQMCTPREGHQFAYEPIPELQQR -IDDIQASYDEGKRIFPIWKTFTKDEPISKAKYDEHRTRHLFCSPVDFSIIVRKYTLTLARMMQRNQFAFE -TAMGIVAQSSEWTELYRYLTRHPNNIFGDYVSYDKTMNLSVMRSVFLIIKVLCQHSGNYTEQDIKIISCI -EEDTACPLVDFFGDLIMFNGINPSGSPLTTMINCLGNCLYMRYAFVVCANNMGLSRELVFSFRKYVNLIT -YGDDNGMAVSDEIPWFTHKNLKHALKSVGVGYTTPDKDKEGEVDYLDISTVDFLSRAFVYDEEVGGCLAP -LSKKSMGKMVCHYMKSRQIPEINQVLQSIDAFVSESFYHGREVYERNRDFCQLLIQEKYGLRQDYLTWEE -QVNKWHERSKKFARIHDYEA - ->YP_009333505.1 hypothetical protein 2 [Beihai picorna-like virus 49] -MIDSFTWSTSDVAGVKKTIKPWHLFLSNTAVKKKIENFGFLRGNLHIKTIINGSPFQYGALRLCYSPLLG -FVSDKIRTNPSSDMSLKIPYSQQPGFYCYPQSNSGGEMPLRFFYNANWLDITSASDVTNMGTINYVIFYP -LQSAVSGGSTSITVRTYAWMTDVELMGATSKFALQSDEYGNGSVSRPASALAALAGRLTEIPIIGTFARA -TQIGASAVSSIASLFGYTNVPVISDVMPYHPMNAPMLASAQIGVPAQKLTLDPKQELSIDPSPHGLGSED -ELSLMYLKTKESLFGETSWTTSDTGGAVLLNARINPMLFGRVELLNPSSVTVGNRVYHTPLSYISALFKH -WRGDIIIRVKVICTKFHKGRLKITFDPLNDTSVTNPDENTCYTQILDIGERDDIEFVIPYHQALAWSSVD -PSLVDNWNLGNALAPRSKIDNGMFTVRVLNNLVAPASGSVGLLFFVRGGENFELANPMAVLGHTSAPPSF -FALQSDDTTDLVPSQVTFGTPTKIIPERYDLNFGECVGSLRVLLHRSQMIETTPMPAGQTQLYCTFRKLY -KRMPCTPGFDPSWTATSANKLIAASGNAPYCYNTMPVMTYIAGMFLGYRGSVTYCVTPSSDKYGFIDDIR -AVRLCDTDLNGAGNRFIGAYTGSSHSDSVSRKAYHLNVYTNRRDGLAGCAITTTRTNGSLLFNFPDYNNR -NFSFADPTVYVLGTSKDGTDEQGVALQIKTTKVASSDADDLATITLQTEACAGPDFTCLHFLCCPTLDYA -SINPAPV - ->YP_009333504.1 hypothetical protein 1 [Beihai picorna-like virus 49] -MCEFHFDFQNAEPFYYCDCDTCEYGDIPEPGFLTLALAVSVRSNISVIRIFKIDPHLKISVFNSWLNIRG -DITSSNLFFRGELLDPNRTFSSYTICELDYVIVPSSWPHHVTVELNPRLLRDEDPLSWPQFAMEGVTSYT -DRWTLQSNAVMDAYNDFMKIVKAAQPSSIDVKEVWNLDLFENLFQTIYWFRKCETKTDLIALLHMSYKLF -MGESFVSQCKNFFLKKDNINILQADFESVVTKAREVFDMTTITLDSPIVNKLHELYTYLLVQGFLSKLGW -EITDKEFRTLTGKKAQSYKGTRPFLVCVVDTAIFVCEKIIDFRKTGDVTTFIHSDTTYKRWSDEADKLLA -LAPFTSNLGAHGTTYFSFVSDLNDAIEKGVAISKHSRRNLGVESTMLSRKLQNLQLLKNVEVTRRASQKE -REAPFGVLVHGTSSVGKSTFTKMLYYFYASAHGLEKDDHFRYVRNPADEYWSNFDSSKWCIQMDDVAFLN -ATKAFEVDPTLKELLNVVNNVPYVPPQAALEDKGKTPVMAKLVVATTNASDLNAHEYFHCPLAVRRRLPY -IVHITPKDEYLHENKKFLNTQTIPKFDGVYPDFWKITVQKLVPVDSAGRERAKLVDVAIFDDVLEFLKHF -GQASRDHLSIQDRSQECDVGMRTIEVCPLCFCISSKCGCLQADNLTDPQKCGKYFFELMCTMFMWSLSWS -WVLWSAKMAARYYMTRKALAHLSNHLNSQAQLKMYAILNGTHLTVKYKHALMGLAALGLCLKFYLRSRET -KNVVEKMVPQGNIFGTTEDSLSKDETPNVWYNPTVELSTFDVPPASLSTPDVTDAEARNLFAHNCVLLDI -KALDVKYTVRTGGVMAKGQMLLFNAHSLKLGNRFQVFLQTMGKNGITANHTFEMSRSDIHYMESRDLACY -QVMSMPPFKDITKYWAESMIPVSRMISIFRLDSGQAHFLNVYNVEKADNFPIEALNTRTPIYLGRSREVS -KKGDCGSLGVAMTPKGPVLVGIHTIGYDTQRGFPYVSKRDLLELLDKSTIIKQVNGGGKPKLALQSDIQL -LPPHDKSICRYLQEGTVKYFGALPGFIPRPRSRVITTPICKRVLEYFNTTVKHTKPVMQGWAPWRNNIVE -MIKPKVNYDRCVLVKARDMFEEEIVSNLPQGWEKELVILTPKAAVNGLPQVKYVDRINCNSSMGFPYNTT -KKKYLVPDVCEKYPEGVNFKPEIWEEVDKIKDKYNNGQRAMPIFNAHLKDEAITFRKAEAKKTRVFTASG -IAFSLLVRMYLLPFVRLVQMNKTVFEACPGLVVQSAEWGNLYRDLTKFGATRIIAGDYGKFDKRMISDFI -LMAFSIIINLFKRAGFSEDELNQLYGISYDTAFPFVNFNGDILEFFGTNPSGHPLTVIINSLVNCLYMRY -VFIKLSRKAGYDNAYFKQFVSLFTYGDDNIMGVSPEADWFNHTSIQRELADIGVEYTMADKEAESKPFCH -ISECSFLKRKWVWNEEIGDWLAPLEEESIHKSLTTWIPSGTIDSYAQTVAVVQSANSEYFFYGKDIFETH -HEFFKELLNEHPYSMYTNEKTLPGWDELCERFWKASGFSA - ->YP_009333453.1 hypothetical protein 2 [Beihai razor shell virus 2] -MINASPFQSGLVIASYKPLVSCLGSTDYSGGNIDTAKASRNGQLMGYSQRMHVDLFPQTNEGGVLSMPFV -HHQNWLNLSGTRLDFTEELKKMGRINLTSVSTLNNCSGTAGSHANISVYVWATDVQLSGPAYEVQSDEYS -DRPVSFTASAVAAMSGALVRVPVIGPYALATNIASAATASIARIFGYSNVPTLSNTIPNKIRTVGNLANT -QVGEVIEPLTLDPKNELSIDPRTVGYDGADELLISNYAGRESFFTTFDWLGTYPSDTEVFKTYVTPELAN -IDTALYATAGSYKVVSMTPAGHIAQLFRKWRGPVRLKFKVLASRYHRGRLRITYDPNGSLSVDAVKQINK -IWDISTSSEIEIEVPYMGSEAFKFAGHLATYVNQVPTLPLFGGYGSTLPTYSDGYFNGVLRIHVINELTA -PDTTNGVVVACYISAPDVEFAEPHNIEQQYFNDVGLVTHAPIFTYLDPYDIQSDEGPMPDSIVSVPCQET -LQGEAAYTIYMGEVVKSLRTVMQRTVRHAQYMFRANTTIKNYFVSLSIITPRFPRMRGRTAAGQNSIKNS -SVSTPYNLCRTHPMTWIVAAFVGWRGSVNWRARFDDTFKETDNLSISRKPESIRYDSNRFINATYLPLAK -ATASSVAKVGLNATECDFNGFSMVAGDVEPVVSARVPMYSQHRMYPANPVALNDVSEISYTGLEYDNIVI -GCYGQTFSDVNREMFSVETFVSAGHDFTPFVFVNVPTVYSYQSNLSEKFDSTFDYPTYTM - ->YP_009333452.1 hypothetical protein 1 [Beihai razor shell virus 2] -MLKSTKENRSRLLAQRKKGVRFSVIDASKAHGESINPMYASGAETSNASKAAELNTGNVHACDYAVFNRA -EPFVIYMTKMKPYYVLMSTKVSCAPCEEVFPLPRRANPCKICCTMGACNLLLHSTGATHRVSRSADENLF -VSQFHLVCTNYWNTLETYDSTPYDFDCTGLYLCLSRDPNTNRRRQPHELFGYYAGGPIRASGDYAFYPNR -RYKCPYSVDNKPGRRVRSRGDQVQYDVQALEEQFSDPQARGSHIDPVSEVADVMDMVKCVHKQLADVVEN -GQVPESHVKLVEDLLIFSYSVYRSKDFFDFSIAIVVLFKLRTNRSVIDHLISILNRLCGHISGRYDIQSN -TSFTWFMDVLKSDTYKEIVRVLTILCGLFMMFGNNQFTLDIFDKCERTFKFSPVISGTNIFVDIVSTLSN -IYSKFVAFVESGDVNDFFDTSSGVRDFCDRVYNVDRVSKNFPMMTSMCNATGDKWTFHTFAYELHSLLDR -APHIRAVTRGSSNFVKESISKKIQTLNEVNEAFEVFLNSQKFRPAPFSLLVYGGTSIGKSTITRLIQELY -AKMVGLPSGQEYCYTLNPHDKYMSSYGMFHHTLVLDDIAVPHPKKLADGDPQLNFLMRVVNNVTFLAPQA -DVESKGKIPVRVELVIGTTNVKDLASHAHFNVPSAALRRFPFVVSPEVLPQFAVVNSDGSLGTRLDPTRV -DGSNDYYSWTVESVIPDGKNVKYEIVLNRGTLAEFVRWMDANVQRHKSAQKLVMESSENFVNIPTCPICG -KIEMICICHCDGCGKDKPMCTCGPQVQSEETSHGIEDFPNPEVNSALAFRGFVIGFFLSFVCFLTAAITQ -FVLDWLTFHVSQIYRTMNYMVRTYDTCHKVMDNVNNRFLQCWITMSRTSQKFVRRIRASCRRSIWGLATF -WQGWHLADTQYTVPVILSIISAGAIGYHLYSRKRRYEYQGNGGSKPQMFEADGEADRKNFYVPNLAKGFP -IEMSQASRATSSCNVNDVKNMIIGNLHHVTVVANGTYAKANALFVMDNFLVMNNHVLLSDECVIRFDSNP -GRIRPLPDAKVIPDMVYRIPDIDICVVRLASLPKRRDISKFLLKEEPKPDGDLIFSGHMFRMPLPAGADD -DIRSPEIFKITSARFCHINAKASNDKKLVDRGFKCQLDKETKSGYCGSVSVFNMDSDGNGLIIAGLHHAA -SGRNTITAPLTYNKFMEAYQTLNDRSIRNINNIVGWSMEASIVNPPIGHDVCRLGSEHRDVHLKPLHPKC -PLHWFDDGVFMPIGSHDGIRDSNLRSKVKDFPLRREFEQLGYSSQKVAPELAGWEIHRKALLETVSDNSM -SEDILGYVTAAYIKDVIARVPPKEFTYLKPLDDYSSINGVANYSYIDSIVMSTSTGWPFSQKKINYFQRC -EPRDGHQFAYEPIPELQRRIDNIYAAYAEGKRAFIVWKAFTKDEPISQAKFDEHRTRHLFCSPVDFSIVT -RKYTLTLARLMQRNQFAFETAMGIVAQSSEWTTLYRYLTVHPNNIFGDYVSYDKTMTLCVMRSVFLIIKT -LCNHSGNYTPDDIRAISCIEEDTACPLVDFFGDLIMFSGINPSGSPLTTMINCLANCLYMRYAFVVCAEN -ERLPRELVLDFRKYVNLITYGDDNGMCVSDEIPWFTHNNLKRALKSVGVGYTTPDKDKDGCVDYLDISKV -DFLSRGFVYNPDVDGFLAPLSDKSMSKMVCHYLKSNSIPEITQVLSILRAFVFESFFQGRVIYERNSAFC -QSIIESKYDIYECYPTWDEQVVEWKDRSAKFARIEI - ->YP_009333438.1 hypothetical protein 2 [Beihai picorna-like virus 44] -MIMSTNNTGNSQSSTLFNAGEKSINRDTITTFSTSTDVDPNTQYSLPQYSVNVQPESDLKDFLARPVVIS -TINWTSGTTLNTSFKPWLEFLQNTAIKKKIDNYYLIRGVLKLKAVVTASPGLYGAAYASYQPIEYFNPAP -IIETATYDGWMVPFSQRPGIDLNIEENTGGEMTLPYLWTHEWLDVTRLNTISEFGEITVRNFTPLVNVGG -TAADVTIRFFAWMDEVETSVPTSQLALQSEGMKKGKGKAKKDTGEYSETDGVISKPASAVASVAGMLSEV -PVIGPFAKATEMAAEGVGAVAKLFGFTNPPVLEDVKPFKNSSLPPLATTEVCHPYERLTLDSKNELTIDN -TSRGYIGKDELDIETFCNRNSYLDYVTWSASDAVGTALWASRVTPELARRDGSSNYKAQPIFACVAAQCF -QYWRADMVFGIRVVSSKNHTGSIRVCYDPHGDIITSSPDFAVVTNWVLDITTDKVTEICIPMSNEYAFLE -TLQNPFDQRFGLGSISGAPTVNTTNGTIRVEVNTKQSCYNASADITLMFYAYAKNVEFFGPVNVRGDISW -YPLQSEGGEENTGLATTDEVQEMTASESSTENIALIYGGERNPSFRKLLQRMNYSRSTRETANTTELFAR -RVCVFNRYPLYLGYDPNGIDEAVGTLVPASDFPFNFVKNTPFHLITSCFAGSYGSINWNIVQDGIDNISM -MHVSRYTGALTVANYNTVSGLATTASGDEITRFLVESFGSGASGSAIAKQNLSSVSINAPYYSQHKFRFN -TANRRTLGSGADSSNTDNIRLVCLNKPTSNNPRDSCFHYFFGTGPDFNCIFLLNVPTWQQNPLPAAKT - ->YP_009333437.1 hypothetical protein 1 [Beihai picorna-like virus 44] -MSLINRGNSHCSIKQTFECVELRNLIVSFLYSPQRAVFKSDKLRTSILSYAIDEEKLTRKRVQFLTSLIY -EISERQLELFMFYHSVFRLDACVLIYVNQVPFQTRDIDTILSLVRQTQDRRAINLRVNVYGQWITYTQYI -LERGDQLGVEYSSNNAGDGPIFQLNLIQQNANFNIHKQEGIKYIFKEDEYIRVAEKPHHKRIKREYFDRL -KRYFRSNKTFISDQLGVEYSSNNAGDGPSCITNILEFMQSDDKTYIIRDHDERLCGLIEKMPSGHTYIFC -TEVWLHDIVCVYFLERNDITILNITKEKMCEMMFQQSEDNDWTISLVEDLMLLIYQMTKVESKTDILICI -LSFIKMRSNRSLIFSIKENLIFKKFSDLFDISLDEDELEQQSFENFVGKCREFYNNADEIESSPFVTKMK -RFILYLLSLNIFKKFGVDFDAFNYSVMEQQAIRRKFSSKTGLMKSLMDLFLYIMEQGLQVYTTGEPMSFF -HSSKSYTTWKSEFDILVRQSQHLSRASELNFTEEEYDVNLERLIFQGSSILKFSQGEKALHSLVQHNMNI -LYSLRDDRILSRNISKEREIPFSLLICGTSGIGKSSIKEMLIKEFASVNKLPYGDDYKYVRCPDSEYWSD -YKTHKYCIIMDDVAYQDPGKANGIDPSCEEFIKIINSVPYVTNQAELENKGKIPLRCKLVVATTNVEHLN -TFYYFSCPSAVQRRFPFIIIPTVREEFATEGGMLDTNKVDTSAYPDYWYWTVKRVEPQPDMDKRKELAHM -TVILDKASLPEMMRWYYSAMQIHSEKLHSVKESLQIISDAEICKVCFNVNCNEDHQQSECSIPWYYSFFC -SVLYSIMLGAYAMLWNPWFAFLIKMFLTQRCLETLRFRAVMYITQVTRVWHYYGGIMQRKLSPKSKTLIV -FIERYLPGIIVMSSTYLMGMFIKKIIRTTFSEEEQFHEQGEIRPKPDKEERPNVWYQKEMGLSVFETPTH -SLSISNYSMQQFYDLIQGNVIKFQIEKTDLRSNMLCIKGNLYCFNAHILQKCDETFQLTMNYHKIGASDK -LTIPLRKSEITYSEKQDIAFVTINKCPPKRDISKYLMEDFKDIKADGFYVLSTTRRGKFLCPVKHIHAFD -VVNRQISTRGWSCTSTSETACGDCGSPLVMMTSRGPIIVGIHALGLSNHAVSVPLTKKLLICSTLKEQVD -VSAPLISAESAPRTLGELHRKSTFRYLEEAKACEVYGSFLGHKGNTRTMVCETPISEFLQENYDFQVKYT -APVMKGYKPWRIAAQDMANIPVDFDRKILEICAKEFYNDIMKLLPKDELNKLEPYDLFTSVNGAPGVAYV -DKMNRNTSAGNPWRKSKRNFLEFVEPDGECLDPVMPTEEILSRVKDIEEKYKRGICYKPNFCAHLKDEPV -SFKKANMGKTRVFTGAPFDWSIVVRKKLLSFIRVLQRNRFTFEAGPGTIAQSIEWQELYEYITTFGKDRI -VAGDYKAFDKRMQPEFILYAFSIIEKLCAASGNYSEEDLISIKCIAYDTAFPLVDFNGDLVQFYGSNPSG -HPLTVIINSLVNSLYMRYCYYTLNPNKEVESFKKHVSLMTYGDDNIMSVSSKINWFNHTTISEVLKSIDI -TYTMADKEAESIPFIHINDASFLKRKWRYDSDVGAMLAPLEHDSIEKMLTVWTRSRSVTEQEQIISVISS -AIREYFYYGKQIFEQKRLMFMEVIKHHELESWVEDWVLPTHDELKNDFWKYSEILKPSN - ->YP_009333384.1 hypothetical protein 1 [Beihai picorna-like virus 42] -MMICNREVARSDRRLRDSASDGNRGFISHLFLVWTYVCSILFGTANLIREIWTYVQVEASVSARLAFSSD -PDLKAIFWKRVRRCTFFDSWAPLVSLVALGLFLFARSVGKMLFRDMYVRTYLAIANLLIAQLLYYCVPTN -MSWAEHVVFVLLFALVMGGFSLFIAKSYEALVGIDYAPPSDLDRLKPVVNVLSVLESVYKMRGTSDAIRI -LVRVWSTFRREEYDIIVSSFSYIFSVSETHLRSLVSEASRNGLSSVDYNPGNSHVPAGFGDNLREMRNMF -EDGKVVKNSKVIRGLKHLGALLICTSLGRSIGIKVKTDMMDQDWIKKIQKNHKMQSWDDYLFFLGDVALS -FCEVGYALYMGDSLRHALLARDPCFKFFEQWDNLKAKLDSAATGEIVNLREFCDEAERLLQESRSIPKSD -MSPLVRSAQQELSRRVMKLRIELASSGVRKLPFGILLYGDSGIGKSTIVDHLFDMYYVHSTSLGHNAGLT -WDRRVDKYTRGREAFWNGYNFQWALVLDDVAWEKKSKLSNLQQTTIDEVIQVMNNVSYSTDQAAIEDKGT -KPLLARCVVATTNTKDLNAYAVAEHPAAVLRRFPIVLTPHVKPQYRKENSHMLNPALGHQLDAWTFTIEE -VTLDNNSAVKHKEIFKDASLDELSDYLRDKVDAHEKIQSQFLEYQASLAEKCKHDRVASLCGTCKTQITH -VPAGFLEDPAGWFESRTFKDLYWMEAWFWYCILNIFGIDILIKFGFSHFFLLQRYYAFLKRNKYVVAAAA -LATAIVVYQLFSAKHVATGNVSAKKKENIWYKDLSARVDLTGPCSHTVLDSLAKALEKRSLFHVHVTNPD -GSQMSMRALGIKGQWIAAPSHLFNPKFDSFQVSMWHGDPNEGISDRVDFLLDVSQIVRRHPRDLLFFTHP -AINHVRDMSKFFLDGELGPCPGTMLYLEENRREIRHIPLLKVARPVIIPGYMAYGKQYFSEYTYNLAGQD -IWMGSCGSPILIDHPAGSFIAGIQASMDGQRSKAIGGLVRITKQDVDFLEAHISAGSYEYATVDTGMGEV -TEVHEKSPFRHKDLFGKGIVLGSFTGRRKTPKSRTIITPWANEVKRIFGTDVDYGAPVMKGFLDENNVWI -DPMLIAIEKRVNTQSRYSWPIMVECREAVLAFLLKRIDLRNIYPVGAEAALNGIDGEPYINKIDRTTSGG -FRFPGRKIKYLDQVDGTYEPNADVQKYVDFIEECYLRGERANVMFDANLKDEAIPREKIEIGKTRVFTGS -PLDLTIVMRKQFLRMCAEIMENGVETGIAAGVNCYTEWTAIFKRLISMGGRNRASGGDHKSYDTAMDPGR -LSCGMWVFQEICRRSGNFSENDLQIQRGIAADLAFPVVNMNGDIVQLFGTNCSGHALTLMLNCIVNMVDT -YFAYRVSTGKPAATFEDNVILFVMGDDSNFTTSPRVEFGHTDVQNVLASIGLEYTMADKTSESVRYLPIE -KLDFLKRRFLEITPDLIYCPLEEESIFKMLTTVTMSKVISPLEQFGQIIEVANREWAMHGPVVWRNRRTK -LMLLVNKYPEVIPYIGNSWDLDYGELVYQMTDKFHPDFPFDYDERHWVPAGFRDTSSEEPDMVYEEPDNV -SLESEIWDMPYFDQTRSRRGIPKKYPLTDKHIHQHKDGLLRSFPESRPRCRSCGRHTDNECSSYCSCAWA -DPDQFPFWDSDVEEALADDEFEEDEEIEFVNEHERNWFDYVHFDEDNPDFE - ->YP_009333484.1 hypothetical protein 2 [Beihai picorna-like virus 58] -MRCTLKLKVVVNATPFQYGMAWFVYKPLAGVSTIDQADLKFSSEGNDFSGGNMGDGSVYERFIQWSTRQK -IEVYPQTNQGGEMTINFCYPGNWFEYSESTFNSLMPNQTYWGKIDVCSLSALRSAAADASTDCSIAMYAW -CEDIELSGPSNFILQSGPVSGAMFKVSDAMETVGSAISYVRPYTSVVSGIAKVAGTVAQLFGHTPDYMTG -KVTAGLIQSNPHLATCDEGLSTSHLQLSTRNSIPMDPTDTGFPMIDELDIKYVLSKPVFVTYSDWKSTQT -PDDTQPLMVLNVSPEIYARSHRLGASSGSMGYSSVSQSLSSYVANMHEYWRGEITYHFRILCSQYHRGRI -KITFDPEGKSTAEGYQITKVMDLNESSECTLTVPWLAPTYWLKCGHIAYDPSVNKSCVSSTMNTLLGGSS -PWGPAGLANFNNDTMLGRITVQVLNPLRSPDASADAMVVVSADTSKLEFAYPQNNRSYDSLEDKRLTYSL -TNLDNIYELQSGEADHYFGEKNHSLRSLAQRTCEYLCFHLTPNHDYMEMVLPVVPAGSGVMTGTLDLDNG -NFAIPTRPLTKKGCINNLTFASLIYSCYVGQRGSYIWRCQKPPYGSNSFGPVSGFVSRTFHRILKTCRIG -SLPSGVIYDLEGLGNGAAMANGAVESTIVARVQNYMRYKFVSCNPRTPYRLQVMSNLTKSNSDEAALIKL -RLESPMRATGTTAVGLEKLTNRVQVSVGDDWTAFGLLNAPTVWLAHPGNGTIERQVTYEVV - ->YP_009333483.1 hypothetical protein 1 [Beihai picorna-like virus 58] -MGLLWVAVLATLFAVLFPIIFGLKGRAAKALKLFCTTLFLGLWLAGVILIVFSLGMAYVVCLFTFISALV -RCSKPNPDGVMFAQADCSDTMSFNGGWALLVFTPLGLIYVVSHMVLDSFTLVTSGQSTIHMMGSELNQMG -SAEKALVGFVYRSTISLLGLALYCALTMSWFASSYYGRIKSTGFLLPFFIVSDAVAFVAWTILLFARVCS -YYGRVRSTGLLFPFFVISDTVALAAWTILLFGRVGHVPEHELQAGTSFDFYKEVLGLDVKPYTTRLLEDV -FALSLSLWQTSSTFDAIAHVYNFVVKRVREGDADFDKLRSAWVKILPYCDLDTKVMSLHFFSLFGRNPLA -GGGVPEYDHDLKVAAMTEGPPSTGGETPGFELQSDGVIFNRIKNIGNYPRISGLIKIASVFVGLITMKSF -DMVNVQAVMEASKNSSGVFKDTGDVIKLFLDTLTAVYQCIVGFSIEPFFANDNPIAQWSSDVDLFVAKAS -KFETLHDSRIMGDDLSSLLNEGDRLKARYGDIELIAKKAMSPQILKAIQIKNTELLFMYSKLVVIGLRNS -QRAAPFGICLSGPSSIGKSCLVNTLHAINCAMFSPGCDPDSKLYTYTGATKHMDSLNQDVTTFLMDDIAK -ENPEKVKECEDLNILIGVGNSVSFTPPMARAEEKGTKILAPKALIVSTNTEHLHARRYMAHPVAVWRRIN -YVVRMSVVPEYRKANTAQLDERKMPRADDPASDGVWEFKVIQKVFTNDHEVQYAEIPEDTVLTTNSKKEF -MIWYRDSIRAHHDRENAKLESTTRVKTCERCCLPLNACVCGVEPQSGPVPDGVWNSLTFLVQFLLCYFIC -TIGLVTGVYVVFRRRTYEWVMHNPVYRWYVRFDYLRNRLRAWWAIRRFNPARIPHFRRVLFCVGLLLALA -GLWRLTKGLTLQGDKELGVNDDVKAHWANNSRVPEDFSVNGAIKTTRHAGLDLSNSVVKFHMQALNTTHE -RCGDPFSINGFIYRENRVVTVGHEFTRLGAEGACSYRCQYFTSTGETQEFLLPSELIYNAKDRGYDIALF -RLPVGHYRKDYLGNESNTYFHRSGHKSFSDVAAFIGRAPNDWVKSEGRVTSFGEFPVKTGMFGVPSGPRM -PIFYGTWEQAKGHCGSPIVTSGTGYAYSGYSGILGIHFAAHTTNRLTQNKVAVGIQLSYEIMKEFDRELD -QLATSPLGSTAHCEVQSLNLAEGHFTGPIHQRCSSNWVVPNDLNEGTRLKRYCGLWCERGLLSQGKDKTK -VAPTGIARRLGTYLADTYRIVSDKLGPDMRSSAVFQNILRSFKPSCAMYKPPVLWLAQLVILRQLYVGLE -KSEYYKDGKIAGLGSFTDVEMVNGKGAHVPCVNLSTSAGYPYRCPKRDLVEEVEGGVVGSTQKLYVFNKD -VMARYHDLWDRVKSGSRLCTIYTTSLKDEAIRREKVVNKTTRPIFGAPIDRTLLSRKLFGNFLILIRTHR -ELFRSAVGMNAESLEWDKLARKIAQYHYKIDGDYKNWDRNAFSYHSLSMAYDIAIFLCVRFGKFTHFELA -AMEALKEDFLAPFIEFFGTLFMGGGSMPSGDFWTTDMNCLHNWLVTTYAVLQIFYPAGKLAEVYEVCSTA -YKAMVSGSATDGRHPCNTYAIPCTDDIVELDDLAQSEVFQLRRFYALNKLVSEHSDKFSVVMPAVDDVYS -VFYGDDNVLVSDDERLTFDNVSSTFAKSQVIYTPAEKHLSTYESKNLEDLTFLKRRFIYDAEYKVYKAPL -EPEILNKILLVKLYKTQSEMCGDLEAISICWRYACNYTREECARWHGILKDIATRMGYETNIDWSKLPSY -DQRMKECYEADSAKPSECCPAAYTLEPYYDTTMDCFIME - ->YP_009333391.1 hypothetical protein 2 [Beihai picorna-like virus 47] -MNQTNAHSFKKSKSRSISRAPINGSADDISISWSNSKNETNAKRLRKAQDKNRSKPARRHSLNPKLLLQS -EEEDSATDHATPGDVKTQNLRFKDEALSQKIDLGDMNAGAYDEDTDSMADLGQFLNRPVRIHEFTWHENT -FTQSSIRPWQLYFNTTQIKKKLDNFGRISCRLHLKFVLNASPFYFGSLRACYFPLGDQRSDYHASADQVP -FSQVPGVYLEPQSMSSAELVLPFLWPRNWLDATVSTDFDRMGVLQFLQYANLRSANGATGVGITVAVYAW -AEDVRIMGPTTLLALQSEETSGTISAPATMVANVASRLTDVPVIGTFATATSVGAKAVASIAKLFGYSNP -PMIGDVSGVHPKTFHAFANVETRMPIDKLSVDPKNEVTISSKAAGVDEEDPLAFSNLLTRESFLLGTLWS -ENLPVDTLIFSALVNPGYVRGGGGYFTTPPMSYFSQGFRLWRGSLVYKFRFIKTKYHKGRVLISWDPCGD -ISTNPDTETTTFSRIVDISLEDEVEVIVPYRATSPWLRPHFRADNSSNGPAPTYTYNDEYHNGVITMRVQ -SIITAPALAAEIDVLVYVRAGDDFMFSAPRDIPTGYTVKDPTGVIQSGEEDSITMDPTSVDSHVASITTG -EVICSMRPILHRASLGYIQYAGKPVTAAPAGLYHTANYIYRVPFGIGRSDIGYGYATVAGSGVRYNFAPN -HPIDWTINCFVGYRGSTNIHVNTTGLGKNITNVPHLSIARFYDNPIVTSDVMANVDQSSNTIITGNNLSR -AAIRYSSGYRAITQTGQLGMSLTNTQGQPACSVNIPQYSRYRFYPAFASTRGIDPHTNTRYNDEAVVTCQ -FNVNEIVTTESDWPSLAYYYSAGVDFQPIFFLCTPRLFTTDLPPARDVYP - ->YP_009333390.1 hypothetical protein 1 [Beihai picorna-like virus 47] -MDPHRFSATNKVILRLRYRLSLRHSCNCDFVENDFRLYSFVHEAEMQVLHPSVSLVLQSDEFEETKSNGD -GNDLPAQYDQFGWKQPSGVNSYNKEVILNCIGFEAEIPDYAWGHGGAPPPNPPVGEYVASKTPEEALKEQ -LDNSDFFWFHVESSITLYHQLKDAETWKQIYLAIMQYMRAVSGKSMMMTAAKSIETVCGMIQKLMDTPQL -QGDEPENNPFRRFRKWLTLCENVADHPVVIRIKKIFYYIMSYSLLERFGITFNRFWYTKAEEELIKTHHS -SDSGFFYALIEGASFILERLYDCYSTKSWSPIVHSGRTYGKWVDEVYALKEMSMKLHNPEANGISYHEFL -GRLSQCIEQGTAISKYADGMAAAETTLIKKLLSDLRLLQATECTKKAARESRQTPFTILYYGGSSIGKST -LQSLTYQHFAKVHGLPYGSEYHYTRSFSDEYWSGFQTSMWSIVLDDIASRNPNAHQGDPSMEEVLQIINQ -VPYTPPQADLADKGKTPLRPLLVQGTTNVKTLNASSYYCNQLAILRRFPMVVTPTVKPEYCAIVNGRVPD -PMSRVLDSSRTPTLEPGEYPDYWNFTVERVIAETNSVTGMQYAKYVPYGTNQYEFTSIHDFLSFVSVESI -KHRANQDLVDASEQAYRSSKVCDTCFRTEMHCVCSGELQSGEEDDDSYRILMYLGSGMVLYHYITLGINI -QYVSYTLCILVLLNFIWQHTDYFTNWLDDYIAARVSSAMRRPFRGFTRASNNVRQTATNVRDTLAEITDT -VSRSYLSNLERLRCEQQLVREAMCSFGNRVREFSVKHKLFLAFISLAPTAAAVAAIYKAWTRLNVQSSSD -EGERPTAKDEKPNPWYRDDYEPTTFDVGTLTRSWKALSMEEVGAKVYRNCLFGIARYERDGMPKSRKMRI -LGLGGNLYVTNNHNFPEVDIKLEVVMQRRTLGVSQNFTIFIGVDDVFRRHDRDLVFFRMKCTPPLPNLIN -LIPGESYRGVCNGILCGRDDMGNDEFEPMRAITYDPKAYAYELGTSFPSWCATVTKDTVKGMCGSTVLGF -TQSGPMILGLHQTGGNSKRVSAVSITREVIETALQHFKVPLIQAGAPDLTDADGMPIQLQPLHHKSVFRY -MEKGVANVYGSLPGFRGSHRSKVKKSYIADDCVELGYVIATGPPVMRGWAPWRIAAMDIVEQTFDVRQSL -LDECVNAFTKDILSALKADQLKEIILLDNATTLNGYPGTKFIDKMNRKTSMGFPYRKKKIHYLTYRGQHD -VWDDYVEFHDKFYERVDGIIDTYAQSTRYMPIFVGHLKDEPIKLSKIESKATRVFSGGPAEWCFVVRKYL -LSLVRVMQNNKYIFETAPGTNATSAEWDQIYHYLTKFGKDRIVAGDYSKFDKRMSAQWILAAFQVIDNIL -EAAGRSDKDRLVVQGIAYDTAFPLTDFNGDLVEFWGSNPSGHPLTVIINGIVNSLIIRYVWALAGNSLDR -FKALVHLMTYGDDNIMGISPEVSNFDHTVLVEKLKTVGYTYTMADKDRESVPFINISEATFLKRGWRMEP -EIGHHVAQIEHASIAKMLTMHLPSKVVCDEQHAVDAMFTALAEYFFYGREEFDKRKEMFTGIIIKRDLLP -YLQRSFPTFDDILAVYMENSKDVYPEGRCPTC - ->YP_009329977.1 hypothetical protein 2 [Beihai picorna-like virus 43] -MPAQVAVTGGVEVPAPTDFKQVTTSMNDARGGIVRKMNTDNDPTFTNTYDPGMDINTFLSRPVKIQSIDW -TIGGTIRTSFSPWYDFFNHSSIKNKIQNYNYINCKLKVKFMINASPFYYGAALASYGVLEAFAPNPIANV -IDYPFQCVLYSQRPHIWIYPSNSEGGTLELPFVYFKEWLDLTSSNDITNMGSIMLITPTTLGNANGITGK -NITISIYAWAEDVKLCGPTISAAMQADEDEYDGPISLPASAIANAAGALSRIPIIKPFATATTMIASNVA -RFASLFGFTNAPVIESVKPYKSMNFHSFASSEISQPIEKLTLDPKNELSIDNRIIGLDGEDELIITSLAQ -RENFLCSCAWSESDAVDHLLFAANVSPGLAVSDVVSGVDHIHFPILSWIAQNFVYWRGDIIFTFKFICTQ -YHRGRVLICWDPSANLSTTVGGTSTNLSRIVDINEETEVEVRVNYNQLLGYRRCAHDTSVLQWTNSGGAL -TSPSVYDNGCLSVRVLTEQTSPVASASIHMLVSIRGAENIEFANPRLPYENYQVYIPQSEELEYDKVSAQ -SLSNTTDSAQEDYNLVYHGERVKSLRTLLRRTVLSRVDVFHTDSTKRCMILSKHNQRMPLYPGYDLNGVD -LATGLISGVNEAYNWSCQTPLNWIRMAFLGSRGSVIWHYNWDSRTPLKNLVAYRKHLDTGSITTRSTTLS -VANTLSTDNVRKLITGQFKLGSGASVMNQLTQTGMSILAPMYNNHRFVFNRASTATQGSSEDGSQSDVLY -CDATTIDPSANVDVDLFQYVCAGTDYTPVYFNNVPTIYAISSIPNVPS - ->YP_009329976.1 hypothetical protein 1 [Beihai picorna-like virus 43] -METVLTILTPILLAPTAGKIFKKACDKYIRHAPKNVKKQKRNMHSSHRRGIRACSNKIYMCAILVCILKL -QPTYAQSNFRQDFEQLEIHELTRVMESITCLSFGFIAFLLYKFFMNRVQTISSANEVVADLQCKDLEYRH -QHSVNNTILATSGKQISSKDLVKVSKVFRDFKLQSLNMPYIADFIRNQRIFNREKDYVLRLGEHIIHFLC -GIYLHVDLRKKKKIRKAGILHQVTGFLMMRSSSSITTQLFNSEICDMIVARVEQVIEPNPQSFETFLKRA -RQNLDTVTDLPDTEFCTRMKKLILCIVTHCTFADSKITFDKLGYTFMESEAIKRKFSNPWNITMTIADSL -LFLCEKGYQIYKCKSTLPIFHSGHEYVQYASEVNKLKEEVRYYHNPELYYQDFGNDFCESKFINRLECLI -EQGENINKFACKLSKFEKEKMFQLLCSIKQLRMELYSKKACREGRKAPFSILIYGESGIAKSSLQELIYL -HCCKVLKLDDGDNYKYTVNPDAKHMDGLTASTHTIIIDDVGAKNPDLGADASVELILQLRNNVPFVAPMA -AVEDKGRIPFRGKLLIGSTNVLHINAKAYYSHPSAVQRRFPYIIEPVLKPEFVDPATKGIDKLKIPDSLD -DGCYLDLWTYRIYEIPIQTVKVLFKTAEPRIIMDNLNQKEMLKWLTKEIHAHEESQNKFKTHIQHMREVK -LCDLCELPTGLCDCMQASHIQLPASFTFTEWMIFFIIYHSLLMLSYIPGAERTMTFICGYFVRRLLSKYI -EIRFNNFCYQRIGERIQRTFKPPKKFKYILAAVPLLYTLKKVWDMYTSWNSVEAECQGITTSSEISEGVR -PKGDTKNQENVWYKNEYIVTPFEMGAHITSTKGMHINEFIKLIERNCINATFTDHLNQKVLRARGICLKG -NYYLFNKHLVPDVVELDLTLVQSTSVNGVNSNFNCKIFSGDIQRSPDEDFVIIKVLGLPPKKDILKFFPD -EEVKMKNKGISIGRIHDGSIEHCNFNPVRFEQVIHVSCLGQISAWVANSTTRETANGDCGSVLVMETPLG -FALGGIHSAGNGRTAIFTALDKLKLNKLLPNVVITQYNENEFISSKSAHREVGDLHKKSVFRFIEQGSAE -VKGSYTGFRQQPKSRVELTPMSTFLDKYNYKVKFGSPVMKGWEPKRIAALDMIHPVTKINSDVLTEITDS -FLKDILDRLNSKDLSEVQVLDMFTSINGAAGVPFIDKLNRNTSAGAPFNKSKKCYMFPIEPEGLNLDPVD -ITDEIKERIEYAEAIYAKGNRAHFIFKSHFKDEPRSFKKIKEKKTRVFTGAPMDFTILCRKYFLSITALI -QKNRFAFEAGPGTIAQSKQWGEIYDYLTEFGSDQIIAGDYAAYDKRMPPNIILAAFEILIKVCEASNNFT -ETDLTIMRGIAYDTAYPLIDFFGDLVQFYGSNPSGHPLTVIINSIVNSLYMRYCYYELGNKESKSFRKNV -NLFTYGDDNIMGVSKDVPWFNHTAISNILGSIDVKYTMADKEAESVPYIHISEATFLKRSWRWDEDVGAY -VAPLEHESIEKMLMVWTRSKSILPEEQCIAVVSSANMEYFWYGKEIYEEKQLMLKQMIHALGYEAWIIDS -TFPTWRTLYDRFWSYK - ->YP_009329971.1 hypothetical protein [Changjiang picorna-like virus 1] -MHTMNSIITHSFSKPWFTHGPVSLQTEPLLTSQQDEVLVYVESACTMVYDLRRSATTQDVLVSLSTFYRS -ITGRSVVGTFAILLTKLVEELSEFVPRWQSSSDWIDVLDDFHKNMHRVRDSALGSKLIQVFNHVVAHTFY -HKMGIEVNSYLYSKIEQGYIRPTVWNVATFADAIMSLFVFLAKAGRQALLTGSSECFFIDSGSLNEWLME -ANRLRRDAEFLGNPDAVGIEVPSYLAQVDATIESGNKFAKAFKDKERMIIQSAVLELELVAKRYRVALCS -SSFRRAPYGIFLYGASKIAKSFILKGLFNHYCSVRGVDKRDAILYPRNSADPYYSGFRSNMLGIVFDDVA -QHLPQKVMGIDQSLGDIVSVANNIPFITHQAELADKGKIPLLCEFMGVTSNLPTMGVEFYYKNTYAVLRR -MPHRIQPIVKEEFLASGSTTDIDPSKIPEGEQYPDCWRFRIATPKASGDGLSGTYHELGIVFENFRDLLK -FLTPHFRRHIDQQDRLMQTVNAMGPEELCECEVPKSLCCCEHQGEMFGTIREDFIFGDEHIPEAQAFATS -TEPEMVDRGDSISDVKKHLLKRQRETKSYGVLFIENFIRKEFREWMVDYMYDRERLFVTPQDFIDEFEKQ -WDMFDKASIRGKLYFTLQQHADKLPMDDSYLTFVPKMNGKRNFLRSQLQTVYDMIDKCLGVTGWSEPQKL -ALEAYVYEKVPVYLSLGWDDDSILAGAWDYVDQFACEMVPDSPGRELLRADLTAGPSLWDRFCRKCAETY -VYSPTFRSTINWTLETRLGRWVAEKVYVAPHVTAARLSSTAGLYDRLLGGRHPFVLLIVTVCSAGAIVIM -IRAIMGRFTPQSDANEAQVNIYAAGRKPIKRDEEKKNVWVVKERNITSLDFVPGRMNSTEQFLPTAVHNT -LYVEYRCPGLRGVTRALVINNTTLLINYHAYFPGMVMTVFLNANKPGVVGAFEVCVTPGMVRIIPERDLA -IITTNAMPALFKDISKNLPRLGNESVGESTLLIKGEDGTVVDLSVSGVRRSPFFGFRGDRGGVHCEALFG -QPEQPTVPGDCGSPLIINTPYGPIIAGIHAAYDTVGRLSIAVPIFYEDFEHAPMVQVGVVTPAQPVSQGL -TERDKLYTDFHEEGKMIVFGKLEGFRSRPKANGGHTQIAADVIRIGAIHGVTIEDRLHRPVMGGWEPIQN -IVTEYLKPTHSIDELKMLRATDSFISHIQANLVQEDREDIHTVPVSVAINGYPEIPNVDAQKFTTSGGHG -FPGPKKAYVTVDEKRDEWERYREYNPTVMRAVENIVEKALRGERTHPVFTTQLKDEMVSLKKLVAAKTRG -FYMCPLDYLTAIRMFTLGIARVMVRRRDLFRNAVGLNTHSEEWNNLYQDACRIPGDNWVAGDFVGFDKIL -SILIQNFTKRVFLAVAKMGEFTDDEILALDTLISDNITAVVDFFGTLVMLLGGEVSGHQLTTFFNSVANI -VLHAYAWCVIYEEDRMDEFWDNVFIRVLGDDIMAKVHPDYPEYNHSSIQGVFASIGIDYTMADKSSATRP -YIPYQEVTFLKRSFREHQEFPGVMVAPLELNSIWKMLLYTIPSKAVSPEEQLAQSICSAKSEAFYHGKKV -YDLVSAILDQCPKTVELEKRMEQYPAPTYQQCKERYLQASPSYRVKMGYPEISENPQPRRSYCDPLDCVA -QNGLSMDDEDQTTKGRSPEEPYKAGDRLSSKKHTKRVSSEERLLVENDFLSKNMNKHQRTTDEYGHMAPS -SAETAIYKYNQKRYRRARQSQWERYTAQSEVTPDTTGSISSTKELYTFQAEPKHISIDMSARNNSIATDQ -TMKSSLSSYMSRPERIATEVWSEGDLEGFKGTVPVWALAMTAAKREKLSGFGLFRGNLMLKFLVNGSPFY -YGALAAVYTPMTGWRRDSARGGTTDQELVLASQKPHVWLNVQNTSTAEMKIPFLFPYPHINTNQLSNFTN -MGRIDYFIYQRLKSANGITGTAVDVTIYAWFEDVEMTGPTNQPVAQSSIEYEKDHQISGPASAVAAAAGA -LSNVPVIGPYAMATSKAASMVATAANALGFTNVPNVSDVAPMRPKPFSLSSADVSEPMDKLSLSSKQEVA -LDMSEYGGTDADELHLKRFCGRESYVVSTTWNTTLAPATVLFTGGVTPVYAATTATEIACTPMAYASTAF -QYWRGSIKFTFKAIRSKYHRGRVQISWDRSSNNLNTGALLGNANTLSTVLDLDEGDEVSMIIPYQQQSLF -LPVAGIANENGDPSVSDPYSILSTPPSLTGVGWNGVINMRVLTRLTAPEATSDVAFMVFVSACDDFELAG -PVGHTYLSATNILSLSNTTTTVAQSKIEYMEHAPTTSADWASTDEKVYRDVFGEQIPSLRSLLHRQTRAA -TFVDNSSPSASDSMRFLRIPIKHMPPAAGFWNNAWYSPVTPATSTMNPFTWHPLPWFTACFAGYRGSVNV -SVNAIATKGGDGYLDHMSISRTTTTTAALDRRPSITTSATSSSTALKAYTYMALRESGATGMSLTNTRTN -SSLVANLPYYSPAAFYVSDPYRTYNNQDVLSGANADWWAINTVYPQVAADTARPSFDIYYGTGPDFNVVF -FVNVPMLYARSYSLL - ->YP_001429584.1 hypothetical protein JP-B_gp2 [Marine RNA virus JP-B] -MVCSKTHLKDGDLKTQPKQSSPQLSYEALRKEEKPPSILNCFNLSNCVVQSSDIIEMTPESTGNTMQEEN -VGFDGQQDPILTIPNDLSRVQVDQSQNVTLGNFLKRPVQIATQTWTVGSTLDQLVDNFDPWHLYFNHAPI -RRKLDNYYLVRCNLHLKFVVNASPFFYGCTLASYQPLTNFAPGFTPTGTAGQERTAYTQRPHIWIYPQDS -QGGEMVLPFLYYKNWLDATSAVDLTNMGTLSFQSFGSLANANGATGDIEIIVYAWAEDIEVAGPTVALAV -QARDEYQDDGVVSKPASAIARATSMLSSVPVIGPFATATSHAAEAVSKIASLFGYTNTPVIDDIHQFQPA -PFPNLASTDIGMPIDKLTLDAKNELSIDPSIAGSTPQDELIISNFCAREAWIFENTWTSADTLNTGLFYA -KVSPALYTTDAVGPTSILWNTPMSHVSDMFEYWRGDIIFRFKFICSKYHRGRVRINWDPHGDIGTAGDYT -TETYTKIVDITDETDVEFRVPYTQALAYLRILPGKTKHFAAASTSTTNGTYFNGVITVRVLNRQTSPITS -ADIRMLVFVKGADNLEFAAPKEIDTSYSPYSVQSYDSRMDIDNTMHNMGVKPSSADPNINLVYFGESIVS -LRQLMRRQSLYKRLVAAAGSAIDTIYLTTFKLARLPLYPGFDVNGIDSAIGVVSGLPEPYNYTNWLPITW -VGQCFVGVRGSVLYSVNANGQQNSKTVIRAREFGTHNSSNAALSQSYGGNGALKQSIRISQLAGNSGMTM -TNQETQAGITAMLPMYTNVKFIVNSPSTRSVGNDIDGSLHDAMRVQTLYQTETNSFNDTFVDLYCAAGTD -MSFVFFINVPAVNIYNSVPTPLP - ->YP_001429583.1 hypothetical protein JP-B_gp1 [Marine RNA virus JP-B] -MNKRNDIHFRPYFGQSGLNEKNFTLQCGECPKDDNILYLSSKDSIKKIKKKIGGWFRSTMSEITYKSMTD -DDYIIKLIDDVVTFVSMSTQKIEGLGVVETILQAFRIFIKCRFHESTWKTLSSRFYTYIKKILGEFTVQT -ADHFFEGARGYLNSYKNICHSEIAVKLYRCSMYIMSLSLFDKLGISFDAFGYSKLEQVALKKKYYRRPDF -LYVLADTILFLAERGYQIYLTGDIDCIFHSGGTYKKIYETCREMQRKSHLLHNPEEYGFTESGFRSDLDE -IIEKLQNIVKHSIRLDKSDRETIRFTLNDMLMMRDDLNTKSAARRNRKAPFAVQIFGDSGIGKTTLTNII -CTYFAKHENLPLGDEFRYTVNPAAKYWDGFVSSCHTIILDDVANEAPEMNDPKSLNQIIQIINNASYCPD -QASLENKGKTPLRAKLVVGTTNVKNLNAYHYFSCPSAVQRRFPFIITPTVRSKYKDERGMLCSTNVPPGP -YPDLWTFNVDLVKPVAVSGGRRLAEFENIHTDIDLRGLLMWLDKTITSFNTDQTRVQECITEMRKVNLCM -CCNLPDTMCMSTVQNDTTSVYSTIVGLFCLNFIWNSYFVQLMRLYFYYYFLCRFCTRKFNMCLREMKRRS -ITREDWYRIGKSVQGTISSPRVLATIATITAAAYAMYKVYKTIRPQGKETELVGERPVDELNGRENVWYN -NSFDLCPANFTRESSSSKSMDFNSFCKKISENVIVMKINKTDSSGYVEGRALGIGGHIYITNNHNIPQMN -EPYFIDVVQSCSLGVNSNLKFVMSESDVFRIPSKDIAFLTFRTLPPKKQIVKYIQVGKSNGIFDGSYASK -DSKGKFNPIPVLSIKRTEERNLYFQKKILMLKLICGLDIWINHAKDGDCGSPLIINSSYGYSIVGLHCLA -GNFDKTVLATEIDGKFVSEVYNSLKNFNVQSGNLSSISSVNIKREVGDLNKKSVFRYIDNGCMNVYGSFT -DFRGKSKSNVENSPMSTFLKAKGYQVKYCKPEMRSWVPWHIAAKDLVKPISKLDTGILNHCVEGYISDVR -NNLVNIDNVKDMLMVLDDFTTINGARVAYIDKMKRNTSAGNPWKRSKEYFLRSIPPNHGMQDPVEVDEEI -GDRMDDILKCYRQNQQFHPNFCAHLKDEPVSFKKAKVGKTRVFTGATMDWYLIVRKYLLSFARLLQNERF -AFEAAPGTIAQSLEWHELYDYVVKNGEDRVIAGDYKAFDKRMSPKEILAAFDIIIYFCQLSGNYSEEDIQ -IIRCIAEDTAFALVDYNGDLVQLYGSNPSGNPLTVILNSIVNSLRMRYVYFMLNPEHTVTTFKDNVNLMT -YGDDNIMSVSRECDWFNHTSISETFATLNIVYTMADKESASVPFINIKDASFLKRTWRMDDQLGCYVAPL -EEESIEKSLMVWTRSKAVTEEAQGIDVISSALREYFWYGEDIYNEKLYLLKDLVEHLGWKLWVQDSTFPT -YSDLCSDFINRSKKCKSYRQVFNLDDESWTVQSKNIPLEDAHTWVFYASAYDSFQQYVMHIMFVRLLSPK -VRELIVPRGSSAILSSFYMAKLCGRLHNFVFIEILNFVITQLLTKSILLIIAFATEASFFVIPYLALRYF -IFRR - diff --git a/seq/clusters_seq/cluster_310 b/seq/clusters_seq/cluster_310 deleted file mode 100644 index c66dd09..0000000 --- a/seq/clusters_seq/cluster_310 +++ /dev/null @@ -1,137 +0,0 @@ ->YP_009508242.1 p47(gag-crk) [Avian sarcoma virus CT10] -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPRSWDPITAALTQRAMELGKSGE -LKTWGLVLGALEAAREEQEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEVGETTVQRDAK -MAPEETATPKTVGTSCYYCGAAIGCNCATASAPPPPYVGSGLYPSLAGVGEQQGQGGDTPRGAEQPRAGR -GAGHRGLRRPAGRGQRVRPAGGAALMAGQFDSEDRGSWYWGRLSRGDAVSLLQGQRHGTFLVRDSGSIPG -DFVLSVSESSRVSHYIVNSLGPAGGRRAGGEGPGAPGLNPTRFLIGDQVFDSLPSLLEFYKIHYLDTTTL -IEPVSRSRQNSGVILRQEEVEYVRALFDFKGNDDGDLPFKKGDILKIRDKPEEQWWNAEDMDGKRGMIPV -PYVEKCRPSSASVSTLTGGR - ->YP_004222727.1 gag polyprotein [Avian leukemia virus] -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLASPSDLYSPGSWDPITAALSQRTMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRADKGEEAGETTAQRDAKMA -PEETATPKTVGTSCYHCGTAIGCNCATASAPPPPYVGSGLYPSLAGVGEQQGQEGDTPPGAEQPRAEPGH -ASQAPGPALTDWARVREELASTGPPVVAMPVVIKTEGPAWTPLEPKLITRLADTVRTKGLRSPITMAEVE -ALMSSPLLPHDVTNLMRVILGPAPYALWMDAWGVQLQTVIAAATRDPRHPANGQGRGERTNLDRLKGLAD -GMVGNPQGQAALLRPGELVAITASALQAFREVARLAEPAGPWADITQGPSESFVDFANRLIKAVEGSDLP -PSARAPVIIDCFRQKSQPDIQQLIRAAPSTLTTPGEIIKYVLDRQKTAPLTDQGIAAAMSSAIQPLVMAV -VHRERDGQTGSGGRARGLCYTCGSPGHNQAQCPKKRKSGNSRERCQLCDGMGHNAKQCRKRDANQGQRPG -RGLSSGSWPGPEPPAVSLAMTMEHKDRPLVRVILTNTGSHPVKQRSVYITALLDSGADITIISEEDWPTD -WPVVDTANPQIHGIGGGIPMRKSRDMIELGVINRDGSLERPLLLFPAVAMVRGSILGRDCLQGLGLRLTN -L - ->NP_955613.1 p15 PR [Rous sarcoma virus] -AVSLAMTMEHKDRPLVRVILTNTGSHPVKQRSVYITALLDSGADITIISEEDWPTDWPVMEAANPQIHGI -GGGIPMRKSRDMIELGVINRDGSLERPLLLFPAVAMVRGSILGRDCLQGLGLRLTNLIGRATVL - ->NP_955610.1 p12 NC [Rous sarcoma virus] -AVVNRERDGQTGSGGRARGLCYTCGSPGHYQAQCPKKRKSGNSRERCQLCNGMGHNAKQCRKRDGNQGQR -PGKGLSSGPWPGPEPPAVS - ->NP_955608.1 pp10 [Rous sarcoma virus] -SGLYPSLAGVGEQQGQGGDTPPGAEQSRAEPGHAGQAPGPALTDWARVREELASTGPPVVAM - ->NP_955605.1 CA [Fujinami sarcoma virus] -PVVIKTEGPAWTPLEPKLIAGLAGAVGAGGLRSPIAVAGVEALMSSPLLPHDVTNPMRVILGPAPHALWM -DAWAA - ->NP_955604.1 p10 [Fujinami sarcoma virus] -SGLYPSLAGVGEQQGQGGDTPRGAEQPRAEPGRTGLAPGPALTDWARIREELASTGPPMVAMP - ->NP_955600.1 p10 [Avian myelocytomatosis virus] -SGLYPSLAGVGEQQGQGGDTPRGAEQPRAEPGHAGQAPGPALTDWARVGEELASTGPPVVAMP - ->NP_045935.1 p110 [Avian myelocytomatosis virus] -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALTQRAMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEVGETTVQRDAKMA -PEETATPKTVGTSCYHCGTAIGCNCATASAPPPPYVGSGLYPSLAGVGEQQGQGGDTPRGAEQPRAEPGH -AGQAPGPALTDWARVGEELASTGPPVVAMPVVINTEGPAWTPLEPKLITRLADTVRTKGLRSPITMAEVE -ALMSSRLLPHDVTNLMRVILGPAPYALWMDAWGVQLQTVIAAATRDPRHPANGQGRGERTNLDRLKGLAD -GMVGNPQGQAALLRPGELVAITASALQAFREVARLAEPAGPWADITQGPSESFVDFANRLIKAVEGSDLP -PSARAPVIIDCFRQKSQPDIQQLIRAAPSTVHGQAAAAAMPLSASLPSKNYDYDYDSVQPYFYFEEEEEN -FYLAAQQRGSELQPPAPSEDIWKKFELLPMPPLSPSRRSSLAAASCFPSTADQLEMVTELLGGDMVNQSF -ICDPDDESFVKSIIIQDCMWSGFSAAAKLEKVVSEKLATYQASRQEGGPAAASRPGPPPSGPPPPPAGPA -ASAGLYLHDLGAAAADCIDPSVVFPYPLSERAPRAAPPGANPAALLGVDTPPTTSSDSEEEQEEDEEIDV -VTLAEANESESSTESSTEASEEHCKPHHSPLVLKRCHVNIHQHNYAAPPSTKVEYPAAKRLKLDSGRVLK -QISNNRKCSSPRTLDSEENDKRRTHNVLERQRRNELKLRFFALRDQIPEVANNEKAPKVVILKKATEYVL -SLQSDEHKLIAEKEQLRRRREQLKHNLEQLRNSRA - ->NP_056889.1 p140 polyprotein [Fujinami sarcoma virus] -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSQLQKEGLLMSLSDLYSPGSWDPITAALTQRAMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGETTVQRDTKMAPEET -ATPKTVGTSCYHCGTAIGCNCATASAPPPPYVGSGLYPSLAGVGEQQGQGGDTPRGAEQPRAEPGRTGLA -PGPALTDWARIREELASTGPPMVAMPVVIKTEGPAWTPLEPKLIAGLAGAVGAGGLRSPIAVAGVEALMS -SPLLPHDVTNPMRVILGPAPHALWMDAWAASGQLHRPQPQEHTSTSAAAGTWRLTQASESRHRLPHCSAA -PSHQDHSAMGFGPELWCPKGHTELLRLQDSELRLLELMKKWMSQRAKSDREYAGMLHHMFSQLEKQEGLG -HLRATDHSSQIGESWWVLASQTETLSQTLRRHAEELAAGPLAKLSILIRDKQQLRKVFSEQWQQLSQEYA -WTTQQEVEKLKAQYRSLVRDSTQAKRKYQEASKDKEREKAKEKYVRSLSKLYALHNQYVLAVQAAALHHH -HHYQRALPTLHESLYSLQQEMVLVLKEILGEYCSITSLVQEDVLAIHQKVAHAVEMIDPATEYSSFVQCH -RYDSEVPPAVTFDESLLEEAENLEPGELQLNELTIESVQHSLTSIEEELLASRKAVSSKEQRVWELQVEL -RGEELALSPGERVHLLGKRQGLREAQQQLQGLVCAQAKLQAQRDMLANKLAELGSEEPPPALPLQEDRQS -ARSTDQERSGVTALKTIKNHISGIFSPRFSLPPPVPLIPEVQKPLCQQAWYHGAIPRSEVQELLKYSGDF -LVRESQGKQEYVLSVLWDGQPRHFIIQAADNLYRLEDDGLPTIPLLIDHLLQSQRPITRKSGIVLTRAVL -KDKWVLNHEDVLLGERIGRGNFGEVFSGRLRADNTPVAVKSCRETLPPELKAKFLQEARILKQCNHPNIV -RLIGVCTQKQPIYIVMELVQGGDFLSFLRSKGPRLKMKKLIKMMENAAAGMEYLESKHCIHRDLAARNCL -VTEKNTLKISDFGMSRQEEDGVYASTGGMKQIPVKWTAPEALNYGWYSSESDVWSFGILLWEAFSLGAVP -YANLSNQQTREAIEQGVRLEPPEQCPEDVYRLMQRCWEYDPHRRPSFGAVHQDLIAIRKRHR - ->NP_040504.1 v-myc (59/61 kDa) protein [Avian carcinoma virus] -MEAVIKAAAAAMPLSVSLPSKNYDYDYDSVQPYFYFEEEEENFYLAAQQRSSELQPPAPSEDIWKKFELL -PAPPLSPSCRSNLAAASCFPSTADQLEMVTELLGGDMVNQSSICDPDDESFVKSIIIRDCMWSGFSAAAK -LEKVVSEKLATYKASRREGGPAAASRPGPPPSGPPPPPAGPAASAGLYLHDLGAAAAGCIGSSVVFPCPL -GRRGPPGAGPAALLGVDAPPTAGGGSEEEQEEDEEIDVVTLAEANESESSTESSTEASEEHCKPHHSPLV -LERCHVNIHQHNYAAPPSTKVEYPAAKRLKLDSGRVLKQVSNNRKCSSPRTSDSEVNDKRRTHNVLERQR -RNELKLSFFALRDQIPEVANNEKAPKVVILKRATEYVLSIQSDEHRLIAEKEQLRRRREQLKHKLEQLRN -SRA - ->sp|P10395.2|MYC_AVIM2 RecName: Full=Viral myc transforming protein; Short=v-Myc -MPLSASLPSKNYDYDYDSVQPYFYFEEEEENFYLAAQQRGSELQPPAPSEDIWKKFELLPTPPLSPSRRS -SLAAASCFPSTADQLEMVTELLGGDMVNQSFICDPDDESFVKSIIIQDCMWSGFSAAAKLEKVVSEKLAT -YQASRREGGPAAASRPGPPPSGPPPPPAGPAASAGLYLHDLGAAAADCIDPSVVFPYPLSERAPRAAPPG -ANPAALLGVDTPPTTSSDSEEEQEEDEEIDVVTLAEANESESSTESSTEASEEHCKPHHSPLVLKRCHVN -IHQHNYAAPPSTKVEYPAAKRLKLDSGRVLKQISNNRKCSSPRTSDSEENDKRRTHNVLERQRRNELKLS -FFALRDQIPEVANNEKAPKVVILKKATEYVLSIQSDEHRLIAEKEQLRRRREQLKHKLEQLRNSRE - ->sp|P06295.2|MYC_AVIMD RecName: Full=Viral myc transforming protein; Short=v-Myc -MPLSASLPSKNYDYDYDSVQPYFYFEEEEENFYLAAQQRGSELQPPAPSEDIWKKFELLPMPPLSPSRRS -SLAAASCFPSTADQLEMVTELLGGDMVNQSFICDPDDESFVKSIIIQDCMWSGFSAAAKLEKVVSEKLAT -YQASRREGGPAAASRPGPPPSGPPPPPAGPAASAGLYLHDLGAAAADCIDPSVVFPYPLSERAPRAAPPG -ANPAALLGVDTPPTTSSDSEEEQEEDEEIDVVTLAEANESESSTESSTEASEEHCKPHHSPLVLKRCQVN -IHQHNYAAPPSTKVEYPAAKRLKLDSGRVLKQISNNRKCSSPRTLDSEENDKRRTHNVLERQRRNELKLR -FFALRDQIPEVANNEKAPKVGILKKATEYVLSIQSDEHRLIAEKEQLRRRREQLKHNLEQLKNSRA - ->sp|P06444.1|GAG_AVIMD RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=Capsid protein p27, truncated -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQRAMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPESIEKPATERRIDKGEEVGETTVQRDAKMA -PEETATPKTVGTSCYHCGTAIGCNCATASAPPPPYVGSGLYPSLAGVGELQGQGGDTPRGAEQPRAEPGH -AGLAPGPALTDWARVGEELASTGPPVVAMPVVIKTEGPAWTPLEPKLITRLADTVRAKGLRSPITMAEVE -ALMSSPLLPHDVTNLMRVILGPAPYALWMDAWGVQLQTVIAAATRDPRHPANGQGRGERTNLDRLKGLAD -GMVGNPQGQAALLRPGELVAITASALQAFREVARLAEPAGPWADITQGPSESFVDFANRLIKAVEGSDLP -PSARAPVIIDCFRQKSQPDIQQLIRAAPSTVHG - ->sp|P03373.2|GAG_AVIER RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=V-erbA oncogene -REEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEMGETTVQRDAKMAPEKMATPKTVGTSC -YQCGTATGCNCVTASAPPPPYVGSGLYPSLAGAGEQGQGGDTPRGAEQPRAEPGHAGQAPGPALTDWARI -REELASTGPPVVAMPVVIKTEGPAWTPLEPEDTRWLDGKHKRKSSQCLVKSSMSGYIPSCLDKDEQCVVC -GDKATGYHYRCITCEGCKSFFRRTIQKNLHPTYSCTYDGCCVIDKITRNQCQLCRFKKCISVGMAMDLVL -DDSKRVAKRKLIEENRERRRKEEMIKSLQHRPSPSAEEWELIHVVTEAHRSTNAQGSHWKQRRKFLLEDI -GQSPMASMLDGDKVDLEAFSEFTKIITPAITRVVDFAKNLPMFSELPCEDQIILLKGCCMEIMSLRAAVR -YDPESETLTLSGEMAVKREQLKNGGLGVVSDAIFDLGKSLSAFNLDDTEVALLQAVLLMSSDRTGLICVD -KIEKCQESYLLAFEHYINYRKHNIPHFWSKLLMKVADLRMIGAYHASRFLHMKVECPTELSPQEV - ->sp|P03327.2|GAG_AVISY RecName: Full=Gag-yes polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10, truncated; Contains: RecName: Full=V-yes oncogene -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQRAMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEVGETTVQRDAKMA -PEETATPKTVGTSCYHCGTASGCNCATATASAPPPPYVGSGLCPSLAGVGEQRKRGDDTPRGAEQPRAEP -RHTGLTLGPARSARLPPPAPLPSSLPLLPPFPPRVAAVPGGAGGAPLPSLSPSFFHPRRRGRAEATVGCI -KSKE - ->sp|P68272.1|MYC_FLV RecName: Full=Viral myc transforming protein; Short=v-Myc -MPLNVSFANRNYDLDYDSVQPYFYCDEEENFYQQQQQSELQPPAPSEDIWKKFELLPTPPLSPSRRSGLC -SPSYVAFASFSPRGDDDGGGGSFSTADQLEMVTELLGGDMVNQSFICDPDDETFIKNIIIQDCMWSGFSA -AAKLVSEKLASYQAARKDSGSPSPARGPGGCPTSSLYLQDLTAAASECIDPSVVFPYPLNDSSSPKPCAS -PDSAAFSPSSDSLLSSAESSPRASPEPLALHEETPPTTSSDSEEEQEEEEEIDVVSVEKRQPPAKRSESG -SPSAGGHSKPPHSPLVLKRCHVPTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCISPRSSDT -EENDKRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSVQAGEQKLISEKDLL -RKRREQLKHKLEQLRNSCA - diff --git a/seq/clusters_seq/cluster_311 b/seq/clusters_seq/cluster_311 deleted file mode 100644 index 89dd6bc..0000000 --- a/seq/clusters_seq/cluster_311 +++ /dev/null @@ -1,245 +0,0 @@ ->YP_009342067.1 RNA-dependent RNA polymerase [Wenzhou weivirus-like virus 1] -MLSVREGFSDLKYGEVAPYLRERAAKRQGEWTLTVHEAEDSWLGILSRAVSFKVVLTEEEYAKLSRALYH -GIRNGTKKTSLLANLENTAQALWPTGSLDHVAMRHLAVTAVVQYVTKESTPQGFRLPYWMTWGAMVTQAT -VGVHILGRLVKGAARRAWITGPHPGPFGQLIMREYFATPALVMWALMTVISYCGARGITLSWRSVLPVLS -RNAGTTRTGPAAEAAPAAPGGPPPAGATPGAGGAAPGPAGGAPPSGGTPAAGAPAPPSPPVMEQPATPED -PENAANASEDLRTMEMPGKIVGVLGQNFDKDLPVNHLPVVGVLVGPCQRKPNVYSKTVENLKAAINERII -KKARKPKLCRADRVRISRLVRKAMSNSEKHGVFSKSRIQEWAIQHFNLEEMKSQKWSLERFRNALKNLYE -KDPVEFMLKAGIKPECMEEGKAPRMLIADGDEGQLMALAVIKCFEELLFKHFEERSIKHLSKHEAMERVV -RVLKKKGARAVEGDGSAWDTTCGVLVRSLIENPILFHIFRTLAEFGVIPETWMAEHHAACTKEKLKLFFS -NKFQKVTVTIDAIRRSGHRGTSCLNWWINFTMWVSSIFKEPERFLDPDVRKGTDLTEKERWWNGTFEGDD -SLCTMCPPMLKDDKMSDIFCQFWSDAGFNMKIVYCDRRATFTGWHLVCENGELTDVRAPELPRALANSGV -SVSPSAVQAAKDGKMSAIKVIAAASALARASDFSGILPTVSNKYLQYANECSKSDYVDRECSIRCFGFDG -HSAKEVRERIEERNLGVTHQDEQKTLEMLGFKATHDELMTFTEHIWDLEPATLTAYQAFRESLPASWRME - ->YP_009337250.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 9] -MTLPLSVANTTSLRDIEGWMFKIASERPGMYTIRVRLPQRRCGSCIKLTRSPLDDMEEVVVHITGEEFAK -LDKVIMNQSYSESKPKVQAAIIYQHASTIWPSHTPDDVTMRTLGAWAITQYRCNGGWAKRLLKCIPCLQY -MQKEVHLDLKGLRMPNRPVPPTPVESEESSAGGTNGSTVRTNTTATTAELSSQPTVESLPTITEHSDEED -ENARANETPGEAWARWLAAGPPRNEIGYVELGHGNEDLHPLGGASAEDSAIRAERQDRVLENAAGVGVVG -QSTQSENAKQIVGVVSLPMSEPPNVYAKEAENIQAAIDQRITRKQRAFTANKEDKILLGRLVSEAIGNDP -RRSLFSTRRVTEWWETHLFGDLKSGKWTEERLSRTIEGLCQRINPKFKLSCDIKLEPMPEGKPPRMLIAD -GDEGQVLALLTICCIEDLIKKHLPKKTIKGLGKRQAMERVAQELRAPKAAYAKTRSAAKSGQYTGFSKMV -PPGVTVFEGDGSAWDTTCSASLRDCVENPVIVHVASILKVHMVQPEGWVDAHTEISKMEKLLIIFKKNGE -FRKYIIDAIRRSGHRGTSCLNWWTNFVCWHCAIFEKPEIFLDPDVRYGLDHSGVWRWIATAFEGDDSILS -TTPRIDVKSEIYVSIMQRWERLGFNMEIFIREERAKFTGYYMALDNDGTTGVLMPEVDRCFARSGVSCSP -SMIECFKKEDRTGCQSISRAAALSRAYEFAGLSPTISTKYLRFYESMSVSTKVDRDLKMRTCGGDAEFSE -KEIVAEINLKNGGAMSFDSSERDRLAAVGFKCTEEELSRFALRMWDYDLLKDWDGFRESLPESWRMA - ->YP_009337204.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 11] -MTLPLSIANTTSIEDVPEWMFKLAHERPGMYTIRVNMPKTRYCPCECSTQRRRVDVNFTGEEFAKLDKVI -MNQTYAESKSKVMSAIVFQHASVIWPSHTPDDVAMRTLGAWAITQYRANGTWFKPLHEWFPFLPMCCDCK -QKQVILDLNQNVGETRVAACGTVIYDNRRRILCGVEPPGKPRAGVLALPCGKKDPGESDMETAVRETKEE -ARVKIDPDKTRLYKTFRFGRFDCRLFTTYNGDTMPWPSHDDNLLSLKFRTVEEILAFGENGIAESVKQCI -DGDKFDQKIFDTTSPVFFVNNAAPQQVSMESASSGPDAPPRNDLGYVEPGHGNADTHPLGGNDADDSEIR -AMREDRVLINEAGVGVIGQSMNPTNSKQVVGVLSLPVTDNPNVYAKESDNIDAAIDYRITKKQRAFTATV -EDRALIGQMVAAAIGENPRRAVFSTRKVVTWWENHLLNDLRSGKWAESRLTKTVEGLCARIDPQFKLSCD -IKLEPMPEGKAPRMLIADGDEGQVMALLTICCIEDLIKKHMPKKTIKGLGKRPAMERLAAELRAPAAAFS -KTKGKMNGQHTGYSKPVPPGVSIFEGDGSAWDTTCSAKLRDCVENPVIMHVGSIVRALMSEPTVWVDAHY -DACALHKLAMTFKKNNEYKKILIDAIRRSGHRGTSTLNWWVNFVCWHVSIFKTPEIFLDPDVRYGEDHSG -IWRWLASGFEGDDSILSTTPKIKESDEIYVSILQRWERLGFNMKIFLRSTRALFTGYYLALDNSGPTGVM -MPEVDRCFARAGISCSPKMIEYFKAKNRAGCISVSRAAALSRAYEFAGLSPTISSKYLRYYESLGGNTHI -DRDLMMRTTGATTDFSEPEILSEINVKNGEALSFDQSELDRLESTGFACTHDELVAFSTKIWDYDLLKDW -EGFRESLPSSWRSA - ->YP_009337183.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 12] -MAVVSERPSVSSVPLCPTCHRQHLDKLSEEAAWDRASKDESHVVCVKFHVRKCAFCTDVDINCRRSFFSR -FTPRTAHSGDSVLCEVHMTHQELRIARDAVGASLYSEAPSRVGNSNLHLSGMRLDRKYEDTEMVWARDVV -FPAVAIAMHRSRETPVFSLGASIFPRLRKLRLESETGSKRWFWIRASQHMTLECLRFCPRRVEQPTEEVY -APVATITPDPGYTFTRFDGVDRAATRQREGWDLMASKVFGVDLMTDAAGNPTLGDNILAVRHLPFFARKI -FFDTLPRNIAAAIAGRIENVPPMQMTDDEQAELRGVTEALSICLRRDAKMIREIVTVLTLGDWKSKKWTI -TRSKTTLEQLRQRYNPTYQFKGQIKLEPSKPGKPPRLIIADGDYGQVMAWTIIATLERWIFKRYNHRSIK -GVCKSDAMKRLIKNTAQFRPSTGRSTTREPVMVLENDGSAWDACMSVALRALTENVLMQEVHDITKDLFI -MESHWQPERLRANKVKTLSLSVAAKFNCYDEHVPDDVRNALAAGKTYKEVIAAIRRSGCRGTSVLNFLAN -MILWCWVLGGKDGSRLMESNGQKFTDVFGVVRFVRMMFEGDDSLLTLTGARFTPEQVAQLSARWTKLGHR -PKLFVRAPGDQAEFTGYKFLVDEHGLVPDSEVPDLPRLLGNVSYCHNRGAVDAAVKGDEAALHRAVDPGL -LSRAYTIARKAPTVARWLYNQVKSTDLTFSNDDRVRLDDDLGDVLPELWKGTDGTEKMLEQAISWQTFVE -RVESEISAGEAAGFDEAGFAYAHGWCTSTEQWNDFLIALGAITRGTDSVVVGRVLPSCF - ->YP_009337162.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 4] -MLALRLGQTDRQIGEVAPFLLRHAAGRQGHWRITAQGYDHPAAQSTKAYSVVYTEEEFSKLSRVVYHGLR -NSTKPTSLHASLENVAQVLWPTGSPDHVAMRHVGVFVMMQYMTSDETAVRPLARWAMVAGVGIGLMVRSV -ADGWKLATIAGLSPVLLARLVSRGRAIPILERVGASQAAVAPNPGGSGPPPQAAPAPPPPPQGEPPPPGD -AETDEGVRVEELNEEPPVIMDTPALPDDAATAAAASNDRRTMQVGDVVAVIGQAFNKDEPVNHMPVVGCL -VGPCQVKPNVYAKTASNLKAAIEERITKKARKCQLSKKDKARIGGLVRQSMSCHRVRGVFSKRKIEAWAI -ENLDLELIRSGKWSVERFRASLEALYAREYPTYQFKAGIKPECMAEGKAPRMLIADGDDGQLMALAVVRC -FEDLLFEHFERKSIKHMAKREAMDRVVKELSKKGAKAVEGDGSAWDTTCNVEIRALVENPVLRHICEVLC -GLGVVPESWLREHSAACEQKTLRLFFKNKMESMSVTIDAIRRSGHRGTSCLNWWVNFTLWVSSIFAEPER -FLDPDVRTGEDLTGQQRWWNGCFEGDDSLCTMKPPMEEGDRMSEIFLEFWRDAGFNMKIVFCTTRATFVG -WHIGCTDGELNEHRCPELPRALANSGVSVSPQGVEAGRDGKLSVVKVLAAASALARASDFSGILPSVSQK -YLDFAEECTTSDFEDREMSYRAFGEAGYKANDVREQVQARNLEVTPEKEQETLRALGYQATHDEIMTFRE -YIWSLEPAALVAYDSFRESLPPSWRAA - ->YP_009337141.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 15] -MFTRFARDGSIRRVCAFCDRGALDAVADLDGDLCLEYDVWPDCQRCAVAYWSPFACCHPPRGPARRILHL -AVEDAEAILYNYTSASDASLTATLVNRLRGFGCPQVSVALLRLLPPILDAIQADRPYRDALLDMRKEDGA -GVESAQRTSCCEGLCDGAQQRPPRWWFRRPARVGQPADPGPPGPNGGGGVGVGGPEQRANTTAANGTPNS -STTTAGPIRAPGGAREGGRADPGPGRAGGGTPPATGTSHAPAGEGARSTEQQQSGPARPRLCPPPGAGSL -RQQDVDRGVGTDTTAPPPGRIDPPHAVGAILRSEAHPARCETQVTVERLEPPKERVAISRATNPCDPQHF -DESLTNVMAATAQRVERYQPKFKPGPQLRARLEKVRDSIIERVLTKKKIYQWLDEHPTLRSAMSKAWSDE -RAQRAVETLRGLGFDVRITHEASIKDEVLPNRGKAPRLIISCGDQGQLCALIHVACFEGLLYDHFEKRSI -KHRAKADALGEVSGRAKQEEGFVKRYLEGDGKAWDRTITPRLRELLENPLLEHVANTIYARWDAIGSLSY -WASVDIKDRKKPIRRLVYRKGSRAHMFLEAFRASGDRGTSCLNWVVNYSCWLAVLVAYEDIDRAVTQPNW -RWFRPAPHYLRNDKRTLSTRRKMFMDSVYEGDDSFVMISTDRPLEDIEAAWASLGFEMKLFERRPGSVVT -FVGNECLVKEEGLSDLWLPQIARNLTAAAEQRDGGGDPHQHSLACAVRAQGYKRYPPLALHYLAIAKSCR -DSNTLAQPVAKATQYAWFGNYNPDRRLEPEVYELPAVDYHPDAEALVAAATGEAPDRNAVDALMTGSLAA -EWDKETLRRILPRSWIE - ->YP_009337128.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 1] -MLSALAPSPLWAHHLHPDCLAAAYERDGAWEVETHEPRVRTLLGAFRARLFGSSGVTTTRITLTEKEFAK -LMDVAKQHLMAEAKEKVLRAAVNMEANGLWRTGSVEHVGLKALAPDMVIRCAVGAQVTDATSVAPLLSAP -LLFAAAAYCQPAIARFAVEGLRWLAKRCFEVPFWEKETRSLVMLLEGVYAPETRVVQGWPRPRAPVIMAV -CGFGWVGVCLWRSYNEVAQIWTSTYRPSTRRRRAGGGAEAPPQGGEVGVRREPGGAEARDEPAPLPPGPP -PPPAPPPAPAILAPPALAILAPPPALAILDREPEDAPAPEVEMREQEQSTVAARVEESEDLVLQEPHGRY -RTVEVGGERVHVVLGQDYDKDAPRDRAPRVGALTGPSQKPPNVYSNTKKNIIAAIYHRLELKSKPCKFTA -ADKRKIGKFVAAAISNKGIFSRKRVEAWFRKHFDITEWRSKKWSLERIVQMIERLLCQVDPEFRLNTAVK -AEDMPEGKSPRFLIADGDAGQVMALAAIKCIEDLLFEAFEEHSIKHAGKRDAVARLVGHMRVPSKRRRHG -FCFVEGDGSAWDTTCNETVRGLIENPVIAHVGAIIAEMGLVPASWVKAHEKVNQSKKYKLFFKKFHEVLR -KEIPAIRRSGHRGTSVLNYWVNFAMWVCSLFEAPEKFLDPEVRSGVDVAGMDRWFFGGFEGDDSGVQTAP -RLIAVSDEDRAALRAGQKQASDLAQGDPFITESVVKASAEALDFWDRGGFNMKFVFASRRATMVGMHLHL -SNDNGNTEPSGLFCPELPRGIGKNVSCSPAILAAIESGCLREVKKIAAAANLARAADYAGILPTVSNKYK -EYADRLDAGNYQDREMAMHVDGEEGVTAVDVRERIELLNSSVTPADEEDRLAKLLYSADEDEMQRFKDYL -WDFSNLDDHAGYHASLPAAWRAGGSV - ->YP_009337101.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 13] -MAVVSFRPPSRRNDLCYECHSWMLQHLTYEHASGRADVSDTFLYELELQVARCGRHVETCAQRIRDRLGR -IKHALCGQFHGVGVHHVYLTRGEVDIMRRSGGAALYSGAPTTTADSNLHFATYRLDKKERRGDPISCATI -DQLFGYLAATIQQGRVANRHCCCLHTAGLGLRNLRPVKRMPEDEPEPPKSDDGKDGKVEGPPEDDPPQVD -CHTCHSGLAGIQLIHDVNEGPETIPLAVRYLPQIEERLFWLNSQKNVERAIKERIEEPHVPVTLSQEEKD -DLRAVTQVMIDQLKADKGLIDKIIQDKLGIYGWKSKKWAPARAEKALSELRATFAPKFRFDAGIKLEPSK -RGKAPRLLIADGDRGQVMSWVLIGTLEAWLFKRWRHRSIKGLPKTEAMQRVCQSLRQKDPRAGPDTPDIP -VSIVENDGSAWDACMSETLRSLTENPVMEAVAEMVEQYFLVEGPPEFIDARVASNRLTKLQLGFRKGKGG -DDYVGKCDLPKGKAWQTIISAIRRSGCRGTSCLNFLANMICWAWVIGGRDACKLVRPQGARVTCVDGVVR -FVKMVFEGDDSILSFVGLNTAGQAADQQLSREYIHTCTQRWTKLGHRPKLYWRAIGAVAEFTGWHFSVSD -VGIGSDCAAPDLIRNLTNMAYSINAAAINATAAGDRKALMSAVAPGVIARLYPMAEKFPMLCKLLYGQFA -HHLRDTTTTDLTRDEIYALELEPEDFGFKESDYNTDMDLNIERATQRFQPILERFEMAIGRGNEQEEADL -AVRLGLVPDNDKYYDLLDAIEGGYRVGADSAAFARSVEAIREG - ->YP_009337047.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 7] -MLPFSLDRSYRELFLVEPWIREVASNREGDYKLTVFARHWFPWLWCSKKQNAEAFSIVFTGKEWSSLSFC -IEHALRTGLKEANAYGAFVSKAQQLWPGGSQEEVAMKMLAPHMVLQYLTYKRVVVDHCCFSLRYSPFLKP -LDRTKNKTVEATKPDKKDGQGKSEGGGTDDNPKSGGEKSPVQPHVPHVDAEEQPIVDPAPGGTRMADTGS -CYAAIVGQEDGHARTVDGEPDIVGGVLLPISREPNVPSDTINNVKKAIKERIDEKQLPCNLTKDETRRIG -RLVSASLADNGPFARKRIRAWLAKNFDLAEIKSKKWSEERLRAAVDKLYSTSDPQFSYAAAVKAEQMPEG -KPPRMLIADGDPGQVMALMTIACFESLMYEWYENLSIKHASRRAAMKRVLNHLRQDSECSFLEGDGSAWD -TTCSHRVRSIVENPVLKRILEVAREQAVVPEQWLEAHDTSTSKKHLKLKLTEKGKKMVYEVIDSIRRSGH -RGTSCLNWWINNVLWICALVQNPEKSISATATNFMDFWGETITIKRAFEGDDSGLTVAPKMKDVKDPRFE -HALEFWHRAGFNMKIFLRHNVGLFVGTEIALDEHGPTGEYAPELKRTFEKAGISCSSLTKKLIKAGAAGN -EGLHEVRRSLALAKAYDFAGIVPSVSRKYLLCAQGLDTMDHELKMRTGETTLEGIRDMIDAANSTCSPED -EDALLERLGRSISQTERDAFEAYCWAWEKEATSQCEEFAASLPASWRV - ->YP_009337004.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 5] -MLPLRSGNCDLTLRDVPHYLQAQAGTRKGAWEITVYEHDAEWFKSWRPNAYSVRFTEDEYSKLSRTMYHG -LRNGTKLTSLYAGLENASQSLWPTGSLDHVAMRHMGFPTVWRYITNQTPARFEFAHKLGTYLVAPQSLLV -PWWMITKKMRRRYAVAAFLVLAGLALKLRRIGRLALPRLTRVTGQSGGQEAKKDDPSGGKPPTPNEGGPK -DGDTTPDAGNVKPEGGNNDDPPAPPMTTLRRTDTSFVIGGPPVMDEPALPGDFENAAEAQEDGRTVVRGD -VVAVLGQYFDKDRPINHMPIVGCMVGPCQLKPNVYAKTVFNLWAAIVKRIREKALKPKLSGEEKTRIGRL -ISKCMTPDKRCGVFAKHRIEEWAIAHFDLEECKSGKWSIERFRGSLENLYAKEHPTFSFKADVKYECMPE -GKAPRMLIADGDEGQLMALAVVKCFEELLFSHFETKSIKHLAKRDAIDRVLKELRAPGAKAVEGDGSAWD -TTCNVLIRGLVENPVLRHITTVLCNFGVIPSTWMEEHQRACEKKTLRLFFSNKFETMSTSIDAIRRSGHR -GTSCLNWWINFVLWVSSVFKEPERFLDVAVRNGTDLTGRSRWWNGCFEGDDSLCTMRPPMVEGDALCQVF -LAFWKSAGFNMKIVFCKTRATFVGWHVGCTDGELNNFRCPELPRALANSGVSVSPEAIKAAKDMNRSAVN -VLAAASALARASDFAGILPSVSVKYMDFAESVSRTDFSDREMSIRAFGEDGFSANAVRTQIMERNIGITP -DEELVTMAALGYAATQDEVATFMEYGWSLDPHVLLDYEAFRGSLPPSWRA - ->YP_009336992.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 16] -MHSFVPRPECYAPLCSRCDDQSFRSLQTGRVEIHMLVTDGCEACRSLIPSCLREFTRTVRTFRLEDDFFA -RLCIDYSTAADKSLNQSLTSEIRSEKDPLRRVAMMRLVPEALSQVHARQRLLSPRFNRHSLFLYGAGGLT -TVTVLTLGTRRLLANWDMPKPVRYGLTAFTMFPFWEMGVFFLGRKVSQMWSGHAHYKILPLRDITETEDE -DIVEDPAVPAPVPRIAPTLPEPDSDHSDDVSSVTTDPGAHMDEIRNWFQNLPPPPGLGPDDRIPVNTIVG -PLESVILETNIESGEDYLARVETRDIPVPRVATPIGPTTQEVQHYSDALENIIRACKGRVEGKAKPFKPS -DKVYAGILSVQRALKDHVFTKRRVQQWAADNPCLNELVSKKWSQRTVVNALDMLMATSANQHFVKWAVSI -KDEILAAGKEPRMIMSCGGAGQLCALLTVKCFEDLYFDHFERRSIKHRPKMVAMREVAEKMRYGHVYEND -GSAWDVTVSPELRHTLEDPILEHIAMLLFSHGNFELITWDMQGADLEDRRKDQLKASFNKHGARACIIVR -AFRKSGDRGTSALNNLVNLTLWSAMVLDDPAQVVYKPSARKYRINGQNTDFAFFYEGDDSIVSCGQRLDV -DHLTKEWERAGMRPKLFHRKKGDAWTFCGVNGIAGTLAEPVPQLARNIASSAYSVSQGLDTGLARAMTLV -GRVENFRDSCPVFAHYFACIARHHLQGCTLKSVPMDRESQMQIYGDYDDSRTVNIEDLLVVDNRTSTKGT -DVIQAACGAPLTQELVSAIAGLDTLGPEDTWIFRHMPAEWFHVPA - ->YP_009336973.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 3] -MLASVPSGITWAYDAPPELLEIAGNRAGSWKITTWEFVHPDKKKLKSTSVILTEHEFNSLNATARQCIAS -ASKEQVLRGSLEQTAARMWKTGGLEDVALRTLGPELVLRWLRAGQVQTGLTFHFRMGVPLSILSVLGACC -CGSWRTIVAILAKKVVKFRSIDLRLGLLVAAALLWDAWRSAGTYRTILRWVGPSSSKTSKEKKPAKAAEI -KDDPPKADAGPSGDKVADPSVSEEAEARAKPQENADLAVRTEEQEARVTMEGHGRYRIQMIEDKKVCVVL -GQDYDKSVPKDRFPDVGVIVAPCSDLPNVYTNSAGNVHHGVEERLIKKSKPCTWTKDDKLKVGKFIYAAM -GPKGIFSTDRVRSWYESHFALEDMRSSKWSESRFVSTFEGLLAQVNPTFKFKTAVKAEHMPEGKAPRFLI -ADGDEGQVLALAAVKCMEEVLFEVMESHSIKHVCKQEAMKRLLGHMVPPNAAKKAGCTFVEGDGSAWDTT -CSTAVRGMVENPILQHISRILAQTYIQPQSWAEAHDKANAAQKLKLYFKKYHETMHVEITAIRRSGHRGT -SVLNWWINFTMWVCSLFDKPQIFLCPDARWADDVAGVRRWFYGVYEGDDSGASTSPKLCQVSEADVTALK -EKKITLAELGNKYRVPEASVTASISALAFWDRAGFNMKWVFARKRGTMVGCHLGLTETDSESKTGCVVPN -GVFCPELPRALKGAVSCSPAIIEAVRKGDYKTIKTIAAAAALGRAADFAGKVPTLSRKYLAYANELDSSD -FEDREMSMRTVGEEGMSAAAVRAQIEEANGAVSAAEEKTFLEALDYTASVEELEEFCRYPWQFDAVDQHD -EFACSVPAAWRDGASI - ->YP_009336960.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 2] -MLQTLPPSPLWARSLHPDCRRAAYDRPGFWEVCTHEPLEGTFLGRFQARLLGSCGYTTTSITLTEREFAK -LMDVAKQHVMGRAKDQVLQAAVNIEANGLWRTGSIEHVGLKYLAPDMIIRVVKGSSTTVTTDVRVLLPTI -LSCMTLAFHQQGWGPWLMGMLRPQSASRPFWKPPVMLEMLTENVFREVPVKQPWVVVSLGRQAYGWLAGA -WEDRPTMRTTVLLGLAGWVAWCGVRAYMDTTRCLVTTFYPKHTQARGSLSEQTPSSTSDGKKEKPDGGDT -APRTGQALAQPQPPATSGGAAGVSAAEGASQGEEQEPEGAQEVLDGGQNGEMPAPVGAPEELGPPAMLQQ -EQASTATRLEEAEDLVKQEDHGRYVVEEITGEKVLVALGQDFDRSQPRTRAPRVGVITGPSVKPPNIYSN -SKDNVLAAIRERLEKKARACAFRKDDRQRIGRVIRKAIGFGGVFERKRIDEWFRKNFAIEEWRSKKWSDE -RIRNAIETLLCQVDPNFRLKTSVKLEDMPEGKAPRFLIADGDLGQVMALATIKCMEDLLFETFESHSIKH -ASKRDAMKRLLEHMVVPKKHRKDGYSFVEGDGSAWDTTCNAEVRSLIENPVITHIGACLAQTGLVPETWI -KAHEKINEKATYNLYYKKFAEVLKKEIPAIRRSGHRGTSVLNWWINFVMWICSVFEEPERFLDPKVRAGK -DVAGISRWFFAAFEGDDSGVETSPKLIELSEEDRKALREGLKSLADFTNPGMKITEAVVKASAEAIDFWE -RGGFNMKFVFPKKRATMVGCHLELDLAEDGSTMPTGLFMPELPRGVGKNVSCSPAIIEAVNKGDLKGVKR -IAAAANLARAADFAGLAPTLSRKYKEYADSLEDGDFQDREMAMHVDGQEGLTAAAVRDRIDLLNGSVSPA -EEEGMLARMSYHVQEGELTKFRNYPWQFENLMDHDGYFQSLPERWKIGGSL - ->YP_009336935.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 8] -MTLQLSRANTTGIRDVEPWMFKIARERPGMYTIKIFIPSMPDCPFDIAKAESVMRKVLLYGSVHLLLCPV -AHLMLGMPGFFYFLAVVNVIGFVFVYTQWPETIGETLEVNFTGEEFAKLDKVIMNQTYTESKSKVQSAIV -FQHASVIWPSHTPDDVTMRTLGPWAITEYRCNGTWMKNFFKRFPYLPCGYSMKKQVRLCLRQGIGGATVT -SKDGDLPEADPTPKPAPPVDLSSDTGVPVDKRYVRTQDVSQIERHAHKCCECGCTYEHGHPGGHGKHGQC -LGNCPEPTCPRHDPGVDYAGAQKLQPTPPKEEPKTTESKPPRNDLGYVEPGHGNEDLHPLGGASAEDTEI -RAERQDRIVENVAGVGVVGQSTDSSNTKQIVGVVSLPVTDTPNVYAREAANVQSAIDNRITKKQRPFTAN -KEDKALLGRLVYAAIGDHPRRSLFSARRVTAWWETHLFADLKSGKWTEDRLSRAIENLCGRIQPGFKLAC -DVKLEPMPEGKAPRMLIADGDEGQVLALLTVCCIEDSIKKHLPKKTIKGLGKRAAMERVAAELRAPKAAY -SNTKTQSTQRTGNGKMLKPGVSIFEGDGSAWDTTCSIQLRDCVENPVILHVGSILKVFMAQPQSWIQAHD -DISVLDKLTITFKKNGEFRKHIIDAIRRSGHRGTSCLNWWINFTCWHCAIFEEPEKFLDPDVRYGRDHAG -TYRWIASAFEGDDSILSTTPRIEEKDELYVSLMQRWERFGFNMKIFIRAKRALFTGYHMALDNDGPTGVL -MPEVDRCFARAGISCSPSMIEYFKKGDRAGCQSVSRAAALSRAHEFAGCAPTISTKYLRYYESLAVKTNV -DRDLQMRTCGGDAEFSEPDIVAEINLKNGAAMTFDSAERDRLAAVGFECTEEELSRFSLRVWDYDVLKDW -EGFRESLPESWRMA - ->APG78108.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 21] -MAVMENRPWSGTPRETCYVCDAEMIQAFSYTRAKGRLTEPQEHIWMKLEIPACAHCQPHWFCVVKKEVKL -YVPNTIYNKVASAGLAGRTAGAKDAVSNSNMKMAAAPCLSSRDLTKEGAWTAAVQMLLPQMVAASSHEPR -AKSTCCFMDQLWGAKGGIEFVSYGWEMILPPGSPAQPKPPKNGPPPASDTPTAPPPPSTTDEVTHSLPMD -PNTAGSLGAALAEVEKDRSSSITLGRGVHAEGSCQNGASAPVGARILPTLMDKKYYSSIRKNVATGIKER -IVDKEVPMTISQEDESQIKKMVEALRTQAFGAEKIRKVAGSLLFTDLKSKKWSESRMEQGLRFLHERYSP -EMTFTTSVKLEPMPSNKPPRILIADGDAGQIKSWLCIGILERLLFYHFSDSSIKSGSKAEAMGKHEGYMR -IPQTSVCVLENDGSAWDACCRKRLRELMEVPIIEHIIKVLDEIVICENVWSHQRLKADKKKFLNLRFTPK -IDYCHPTEQYTSEEIECAAMNKPIFFKIDAIRRSGDRGTSCLNFLTNMVCWAWVLCGGSCCLMVKGRTTK -IPLYNGGQSTIRIRCEGDDSHVLTTYKFSKDELDDMERRWTRLGHRPKLHHRPSGSVTEFTGYHFLVDDH -GVVPGSGCPDIVRTMVSSSVTIAKEAVRGAMEENDKQIAPVACASLLCTAAAFAERMPSLANFYLRVSRE -WMERGGLKHVELTHDHMMKLNPEMKDSLFPERWKGADATEKILKAGSKIGDVELEVVNRIGQCDMEAEAL -HAERKGVCSSKMWADVIKFHMDVTIDTDDQVYRDALKTLCGYDTVLGLGG ->APG78099.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 10] -MTLPLSLANTTSIEDVPEWMFKLARERPGMYTIRVNMPKTWYCPCESASRQKKVEVNFSGEEFAKLDKVI -MNQTYAESKSKVMSAIVFQHASVIWPSHTPDDVTMRTLGAWAITQYRANGTWFKSVYECLPFFALCCHYT -QKQVDLDLNQNVGETKVAACGVVVFDNRKRVLCGVEPPGKPRAGVLSLPCGKKDPGESDLETAVRETKEE -ARVKCDPEKMRLYKTFRFGRSDCRLFSTINTDTTAWPAHDDNLLSLKFRTAEEVLAYGEHGVAESLKQCL -DGDEFNAKIFDTTRPVFFVNNTAPEQVTMGGSSSHFGSEGPPRNNLGFVEPGHGNADTHPLGGTDADDSE -IRAMRQDRILVNEAGVGVIGQSKNPENSKQIVGVMSLPVTDIPNVYAREGDSLDSAIDNRITQKQRPFTA -TTEDRALIGRMVSASIGDNPRRAPFSSRKVVTWWENHLLQDLRSGKWAESRLTKTVESLCCRIDPKFKLS -CDIKLEPMPEGKAPRMLIADGDEGQVMALLTICCIEDLIKKHMPKKTIKGLGKRPAMERLAAELRAPAAA -YAKTKGKIQGQHTGFSKMVPPGVSVFEGDGSAWDTTCSAKLRDCVENPVIMHVGTILKVLMSEPSAWIDA -HYDMCALTKLALTFKKNSEFKKILIDAIRRSGHRGTSCLNWWVNFVCWHVSVFKMPEIFLDPDVRYGEDH -AGILRWLASGFEGDDSILSTTPKIKESDELYVSILQRWERLGFNMKIFLRTTRALFTGYYLALDNHGPTG -MMMPEVDRCFARAGISCSPKMIEHFKAGNRAGCMSVSRAAALSRAYEFAGWSPTISTKYLRYYESLGGNT -HIDRDLMMRTTGATTDFSEPDILNEINVKNGEALSFDQSELERLEATGFGCTQEELITFTTKVWDYDLLK -EWEGFRESLPASWRSA ->AOG17585.1 putative RNA-dependent RNA polymerase [Symbiodinium +ssRNA virus TR74740 c13_g1_i1] -MDSLSRFCVVISEPCPCCNKYELNHLRQQEKESEEAHWVYNVKVKTSCTRCGNRTWWERMELYFLWFMAA -LALYLYGFIYTLFVFLFACREYRKRYLLVYTFIQVPIPQNTVAVVERAIHASSVAEKGSAFRAHGTFVHH -LSNMEADELTKQSLLAWAPICMLNFMFGRTGVSRSYAKGHPSVSAERRVDPPSETLTFKGNPDIEDGAMK -GTQLNGDEYGEEKRVKGGDSYADERILARQIGPDLIPTETFQSTKGNLKAGLAKRVKPLPFVPKKDMIRR -IERTVTALIVEVFPSQKIKKWREENCDVFELHSKKWSAERFRRAFEEALSDVSSKIEQEFQIKVNEALPA -KGKAPRPIIQTGDKGQVMMLLPVKCFEELLFEYYEDASIKHLPKHEAMQRVAQHLRLPEGNIVEGDGSAW -DACCNAGIRGMTENRILEHIIEVLGEDSQVPQGWMRNCLDDMKKNKIKGKAKVDNKKYVCPLKVQIEAIR -QSGHRGTSAFNYLINLVGWLCVLCVEPAKMIRKKRYDGKLKLPTWYCSIHDNNWYQLKYSFEGDDSGLCT -TEKLNAEEVEIAWKTLGFRMKLKYATDFFTFTGFDFLLKDGIPNGTFCPEIPRNIASSSWTTSSEAKAHP -ESINVIGAAAMLSRAENFKDCGPMSRYFAELGLAHVKISGDFGLDDSASLKLGIYPVDSVKDRLHELSGS -ASVPSSDMRLLCERTFGGFMREQEALLLSCAFDNPEAEEARYLIPAKLWDPDDFEQARR diff --git a/seq/clusters_seq/cluster_312 b/seq/clusters_seq/cluster_312 deleted file mode 100644 index a37651a..0000000 --- a/seq/clusters_seq/cluster_312 +++ /dev/null @@ -1,68 +0,0 @@ ->YP_002302225.1 NSP6 [Rotavirus A] -MNRLQQRQLFLENLLVGVNSTFHQMQKHSINTCCRSLQRILDHLILLQTIHSPVFRLDRMQLRQMQTLAC -LWIHQHNHDLQVMSDAIKWISP - ->sp|P0C713.1|NSP6_ROTH3 RecName: Full=Non-structural protein 6; Short=NSP6 -MNHLQQRQLFLENLLVGVNNTFHQMQKRSVSTCCQSLQKILDHLILLQTIHSPVFRLDRMQLRQMQTLAC -LWIH - ->sp|B3SRR8.1|NSP6_ROTH7 RecName: Full=Non-structural protein 6; Short=NSP6 -MNHLQQRQLFLENLLVGVNNTFHQMQKHSINTCCQSLQKILDHLILLQTIHSPAFRLDRMQLRQMQTLAC -LWIHQHNHDHQAMLGAIKWISPLIKELR - ->sp|P0C6Z2.1|NSP6_ROTBU RecName: Full=Non-structural protein 6; Short=NSP6 -MNHLQQRQLFLENLLVGVNNMFHQMQKRPVNTCCRSLQKILDHLILLQTIHSPAFRLDQMQLRQMQTLAC -LWIHQYNHDHQVTLGAIKWISPLIKELK - ->sp|B3SRT4.1|NSP6_ROTHD RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLQQRQLFLENLLVGVNSTFHQMQKLSINTCCQNLQKILDLLILHRTIHSPAFRLDRMQLRQMQMLAC -LWIHQRNHDHLATLDTIKWISP - ->sp|Q9E8F1.1|NSP6_ROTRF RecName: Full=Non-structural protein 6; Short=NSP6 -MNHLQQRQLFLENLLVGVNSTFHQMQKHSVNTCCQSLQKILDHLILLQTIHSPAFRLDRMQLRQMQTLAC -LWIHQHNHGHQAMLGAIKWISPLIKELV - ->sp|Q993T1.1|NSP6_ROTHW RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLLQRQLFLENLLVGTNSMFHQISKHSINTCCRSLQRILDHLILLQTIHSPVFRLDRMQLRQMQMLAC -LWIHQHNHDLQATLGAIKWISP - ->sp|Q85424.2|NSP6_ROTRA RecName: Full=Non-structural protein 6; Short=NSP6 -MNCMFHQMQKRSTNTCCQNLQKILDHLILLQTIHSPVFRLDRMQLRQMQTLACLWIHQHNHDHQVMLGAI -KWISPLIKQ - ->sp|P0C712.1|NSP6_ROTW3 RecName: Full=Non-structural protein 6; Short=NSP6 -MNHLQQRQLFLENLLVGVNNTFHQMQKHSVNTCCQSLQKILDHLILLQTIHSPAFRLDRMQLRQMQTLAC -LWIHQHNHGHQAMLGAIKWISPLIKELK - ->sp|B3SRX4.1|NSP6_ROTHT RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLLQRRLFLENLLVGVNSTFHQMQKHSINTCCRSLQRILDHLILLQTIHSPAFRLDRMQLRQMRTLAC -LWIHRRNHDLQATLDAINWISP - ->sp|B3SRV0.1|NSP6_ROTHL RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLLQRQLFLENLLVGVNSTFHQMQKHSINTCCRSLQRILDHLILLQTIHSPAFRLDRMQLRQMQTLAC -LWIHRRNHDLQATLDVINWISP - ->sp|P0C711.1|NSP6_ROTHK RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLLQRQLFLENLLVGTNSMFHQISMRSINTCCRSLQRILDHLILLQTIHSPAFRLDRMRLRQMQMLAC -LWIHQHNHDLQATLGAIKWISP - ->sp|B3SRR0.1|NSP6_ROTH6 RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLQQRQLFLENLLVGVNSTFHQMQKLSINTCCRSLQRILDHLILHQTIHSPAFRLDRMQLRQMQMLAC -LWIHQHNHDHQVILDAIKWISP - ->sp|P0C710.1|NSP6_ROT41 RecName: Full=Non-structural protein 6; Short=NSP6 -MNHRQQRQLFLENLLVGMNCMFHQMQKRSINTCCQNLQKILDRLILLQTIHSPAFRLDRMQLRQMQTLAC -LWIHQHNHDHQVMLGAIKWISPLTKQ - ->sp|Q993T3.1|NSP6_ROTRH RecName: Full=Non-structural protein 6; Short=NSP6 -MNHLQRRQLFLENLLVGVNSTFHQMQKHSISTCCRSLQRILDHLILLQTTHSPVFRLDRMQLRQMQTLAC -LWIHRHNHDLQVTLDAIKWISP - ->sp|Q86217.1|NSP6_ROTHB RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLQQRQPFLENLLVGVNSTFHQMQKLSINTCCQNLQKILDLLILHRTIHSPAFRLDRMQLRQMQMLAC -LWIHQRNHDHLATLDTIKWISP - ->sp|Q03056.1|NSP6_ROTPY RecName: Full=Non-structural protein 6; Short=NSP6 -MNRLLQRQLFLENLLVGVNSTFHQMQKHSINTCCRSLQRILDHLILLQTIHSPVFRLDRMQLRQMQTLAC -LWIHRRNHDLQVTLGAIKWISP - diff --git a/seq/clusters_seq/cluster_313 b/seq/clusters_seq/cluster_313 deleted file mode 100644 index 5d9665f..0000000 --- a/seq/clusters_seq/cluster_313 +++ /dev/null @@ -1,64 +0,0 @@ ->YP_009506269.1 DNA-binding protein [Sugarcane bacilliform Guadeloupe A virus] -MSNSATSSSVYQQAITNTTGDWESPGIVISGKGSVSNTQLTRQLNTAIFLCIKVQQEVLALKDTVADIQN -RVKTIEGKSGSTSTGSFQLKSEIDSISDKLTKIQQIQKTQPKKDSGTLATSKVFQDPYNILRNLK - ->YP_009506263.1 hypothetical protein CaYMV_gp2 [Canna yellow mottle virus] -MSLANSRASAVYQEALAATTQDWEAATGFTAKSDTPNISSISRQLNSVLFLLVRLDTKIASLDDKLLRLE -ARVKNIEAAKVPAGTEAPNWKEALDKITSKLSDLHIGEPRPREVGGNLKVIRNPYNILKEVKQ - ->YP_009259697.1 ORF2 [Canna yellow mottle associated virus] -MSLANSRASAAYQEALAATTQDWDTASGFTAKSDLPNIATISRQLNSALFLLVRLDTKLAALEDKLLRLE -ARVRNIEAAKAQIGTGASDWKEELERLTDKLSNLKIGDSQKLREIGGNLKVIKSPYEILQSIQ - ->YP_004442838.1 virion associated protein [Banana streak IM virus] -MSLAGSKATAIYQEALSSTSAGWEDSGVGFTDKGTISTATLSRQLNSLLFLVIKQNQLITTLSEELTQVH -NKVKIIEGKGPSKLTPSSEIETINAKLKAIQEIQKSQPKKETSSGSIKVFEDPYKILRRL - ->YP_004442835.1 virion associated protein [Banana streak CA virus] -MSNTVTNSAIYQQAITGTTGDWESPGVGIAEKGSVSNTQITRQLNTVIYLIVRLQQENLALKDTIADIQN -RVKTIEGKSGTTSSGTPTLKSEIDSINNKLTRIEQIKSSQPKKDSGTSAAKVFQDPYNLLRNLK - ->YP_004442823.1 virion associated protein [Banana streak UA virus] -MTSSNSQYQQALTNTKTTFGSDSVGFVTENPSQTSTAKQLNTTIQLLIQLHEALTQVKIQVKDIQDRVRA -IEGRSGESSAGTPAIKSDIEAINQKLQKIQNIQATRPKSEQGTSKVKVFQDPYNLLRRL - ->YP_003987464.1 hypothetical protein PBCoV_gp2 [Pineapple bacilliform CO virus] -MSLQEKRQNKAYKEALEETRNLWDTAVGFVDKSEIVANIGTITKQLNTVLYLLLDLSERISRLEKVAPPQ -TKDISKDLEKLQKQLSGLRISEEGGSNLKQKKVPLRVFRNPFEILKGEISTSK - ->YP_003284236.1 ORF2 protein [Sugarcane bacilliform Guadeloupe D virus] -MSIANTRASVAYQEALAATKTLWEDNSTGLIEKSDGANNTNSARQGNTIVFLLVRIDAKLAELSEKVTQL -EVRIKNIEKAKQPTQDFKTNLEEITKKISDLKISDKPKEKGGNLKVLRNPYDILKSIKN - ->YP_002117530.1 ORF2 [Cycad leaf necrosis virus] -MSLANSKSSQAYQDALAATATAWEGTSSGFSDKAETPSIASLSRQLNTILFLTLRIDSNLSDLQNRVQVL -DDRVRLVQNAREVYGTRAQIDSLAEQLAGLQINPTTVIKTTGTLKVHRNPFELLRRIR - ->YP_605810.1 ORFII [Banana streak virus Acuminata Yunnan] -MNLAESKATAVYQEALQSTAAGWEDLGIGFTDKGIISTTTLSRQLNSLLFLVVKQNQQISTISEESTQLH -NRVKNLEGKTGTSASPLYKSEIESINDKLKRIQEVQRSLPPKETPSGVIKVFEDPYHILRRL - ->YP_233106.1 ORFII [Banana streak GF virus] -MNSEAYKEALRATSKGWPDNGIGFTEKESTTNLSTISRQLNTILYTVLQLRIEVASLQEELRKTKVEQSP -DITKLTEQLDKVHLSSKGAAYKEDRGKIKVFKNPFDLLKEIQ - ->YP_233109.1 hypothetical protein BSVSAV_gp2 [Banana streak VN virus] -MSLAGSKATAIYQEALQSTAAGWEDTGIGFTDKGVISTTTLSRQLNSLLFLVIKQNQQITTISEELTQLH -NRVKNLEGRTGVSASPLYKSEIESINAKLKSIQDIQGSHPPKETPSGVIKVFEDPYSILRRL - ->YP_224288.1 ORF II protein [Banana streak MY virus] -MSLANTKASPLYKEAISATSNGWEEQGVGFTERGTASLAILTRQLNTLIYSVVKIKDDIEGLKEEVTQIH -QRVKSIEKKSGQSSEGAPDYKADLDEITKRLSSLTIQGDKIREVGGNLKVFKNPYEILRSLQ - ->NP_777316.1 hypothetical protein [Kalanchoe top-spotting virus] -MNLAEARSKNTYKEALAATSENWDEAGVGILDRDTLIGTKTLSRQLNTLIYLQIRTLEELRIIKKDISEV -LEGPSSSKDYSHELEDITKKLSGLSLGKPETTPKASGARAKVYQDPYTVLKNIK - ->NP_569149.1 small protein of unknown function [Banana streak OL virus] -MSNSITSSAVYQQAIAGTTGDWESPGVGISDRGSVNNTQLTRQLNTIIFLCTKTQQEVLALKDTVADIQN -RLRILERTGATSAGTPQLKGEIDAINEKLSRIQQIQGSQPRKDGGTAATSKVFQDPYKLLRNLK - ->NP_039819.1 hypothetical protein ComYMVgp2 [Commelina yellow mottle virus] -MSNITESKGYKEALSVTNNYLAPAIGIGGATDVGLTGVTKQLNTTIYLVAKITQQIEDLQSTIKRLEERV -QSLEKAKTPVVTQDPNPEIISKLSDIQISLARQRAVNPAISGVSNYTAPTIKKVDRILRVFKKFN - diff --git a/seq/clusters_seq/cluster_314 b/seq/clusters_seq/cluster_314 deleted file mode 100644 index 740295c..0000000 --- a/seq/clusters_seq/cluster_314 +++ /dev/null @@ -1,161 +0,0 @@ ->YP_010086802.1 Hsp70 [Pistachio ampelovirus A] -MECGVDFGTTYSTVAFSGEGISGCVPIAGSVFVPTVVFIEQGAKGYYIGNVAISMSKRKTGRLYINLKRW -VGATKSNLNEFRRKLRNEYTVEALGDYDVRIGGLGSGEDVTIGVTTLIYLFIRALIIETERFTGRVVAGL -VCSVPADYNSLKRNYLSIATNSLGRTVRALVNEPTAAAIYNLARSETKHDVIGVFDFGGGTFDISIIVRR -NNIFVVVYSIGDNHLGGRDVDRSIAERIASKLGSVAPESITVAVQGIKEELSKDKNLEDHVVEVDASART -FKFSYSELEEIASPYVDRAAQLFEKALSELGGPSCVVILTGGSSALPGIEKRLRLTREVKDIIFNQQDFR -VSVALGAKVYCDILSGKSELRLIDTLTHALCDELGGYIPKVIFQKGSIVPNSTSVSYTISGSEMQYGLFE -GEHIRTWLSELTYKGTDYRPNTAQSEDVVRYEITVDGRINLSVNGRRITNVMTPVPPMERYMEFDYLDEL -AESLPRFLKLYTAILSELSGLQFTTEEVEAYYGDSAKNLILHKL - ->YP_010085055.1 ORF3 [Air potato virus 1] -MELGIDFGTTYSTVCFDPQSGVDGCLLESRSPYIPTVVGFRADGTFSIGRAALAEEGLILYRDIKRWVGC -NKINEHLYLQKLHPTYQVVVDEWDCSIGSVSHPMAPLKRVIDLVAIFLKGCLALLAETHPGDVRLCTCSV -PADYNSYKRAFVFKACQSLKIGVQAVVNEPTAAAFSVMRDRSESKSEYLLVYDFGGGTFDVSLVLKSPGY -MVVIDSLGDNYLGGRLVDEELQVRVASLLNVRSSDISSFSMEDLKITLSTRPDLELHDIGLLDKTLRSLI -FKPVEFKELCSPIIDRTISLVTTVLTRNRVQSVQVVLIGGSVTLPGIREKLLNIPSVSGFVFAEETYRLA -VAMGAARYAQTFTNTVRYRLVDCVAASLSDDRIPYKAHVILPKGHPTPCSVSYDFTMPTQNTALVLHEGE -SPNVLMNERCFSAALSTNVFPARSSGKMEISIGEDGRVSATFLGKHLENKLVVPDVSDFVSGLKFESLLS -RQIIPNVREYQEIFGKMVGIGGIGGKSLRDREVLYRENGYAID - ->YP_009666005.1 heat shock 70-like protein [Pineapple mealybug wilt-associated virus 3] -MEVGIDFGTTYSTLCFSPGKGIDGCVSESGTIYVPTVVGYRTDGTFAIGLGALLERNLTVYRDIKRYFGL -NKFNKDTYTSKLKSEVEVVVDDWTCKIGPVDGSKGKARSVIALASDFXTGLCAIAVKMTGQPVTLSVCSV -PAAYNSYLRSFIYESCKLSSINVQAVVNEPTAAGLSAFIEIPKSTVNYLLVYDFGGGTFDCSLLVVGASY -VCVLDSAGDNYLGGRDVDSKLREVCASKLEIDATLLDSFSMEALKIDLVNKPNKEVRQVLLKSGDIKTVV -FSQTEFKKLCEPFVLRAKNIVQSLLNNREVTKCAAVLIGGSSILPGVTDSIAALPQVSRVLFDKEKYRAA -VALGSALYAQTFTGSSRYRLIDAVSASLSDEFKNLKAVCIFPKGHPIPSAVESYFTMPNGDTGVVLMQGE -SSMANMNEMTFSASAKIQDFPARSRVTQQTKISEDGRVEVTLNGKTLVNSVKPRIPSRSELGVKFESSED -KQIGPEVESIKSFYASHLREHELSSAIKEMRLGLYAKHGIVSD - ->YP_009664796.1 heat shock protein 70 [Pineapple mealybug wilt-associated virus 2] -MEVGYDFGTTYSTLCYSAEGASGCVSLFGSPYIETQVFIRADGTGYSIVNKPKALYNAKVPGRLYVNPKR -WVGVNAYELDSYVLKLKPVHRVEVFKDGSVMLGGIGEGPDRTVSVTDIISLFSKALIKEAEQSTGLRVTG -AVVTVPADYNSFKRSFITNCMKDLGIPVRAIVNEPTPAALYSLSILQEKDLFLSAFDFGGGTFDVSFVRK -LGDVVCVLLSVGDNFLGARDIDRAVAAEVKARVGESIDTATLSLFAASIKEEVTNEPRAKTHVVKLVDGV -KLITFTSEDLNDIVRPFAARALHIYEQAAQRYHPETSVAVLTGGSSALQCVQEALTASKYDSKVVFDKGD -FRASDSYSAKIYCDILAGASKLRLVDTLTNTLSDEVLNFRPVIVFSKGSVIPSERTITFNTGGRKTMYGV -YEGEEVRSYLNALTFRGEYISNVEGNRTDSATFSVSSDGILSVSVNGTLLKNDLVPSPPTVFSKNLEYLS -NIEKVANEGIPEYARQFMALYGQRISREEILADVGAFKEHKIVENYSKRWL - ->YP_009506338.1 HSP70h [Mint vein banding-associated virus] -MNVGFDFGTTFSTLCVGFDGSDAAYLDADAEIFIPTQLFITEDKRVYIGGSANVMVAQKVKGVFFYDLKR -WIGVTEKNFSTFKEKIKPAYDVMFTNGELVMRGVEKSCSLPLPLTTLIQLFFTACFKLITEKYSQEVLGC -VCSVPADFDTAKRSFLINTCRKLDVKLHAIINEPTAAALGHFLSDSASDASDKLFVYDFGGGTFDVSLMF -KKGYNIFVESSFGDNRLGGRDVDLALSELIVNRYRLDISPSKFSLAISKVKEDVLTDNTKTEHLVNFGDR -FLKINVTEEDVRNVARPFIERTFDMIDKIHHESRDCVGDLLLIGGSSKLPGILEMANERSYIFKTISRDD -YRSAVAKGCYIRLQTLSGESNLRLSDAAAHFLSDERIPWNPLPFLAKGEALPATRSVDLSYPNTGFKTGV -NIYEGESNRVYNSTRIFSCDVSISDFVPKSGKDPLKITYSINASGTLSVTALSQITGNQIPLINMLEEKI -SKADFAKYKFIDMSKGLEQKAIYDYYISLNRFAKNKNVISSDPELFDEETFRSLKGELGEISWENIENFR -RDLNIS - ->YP_009241368.1 heat shock protein 70 homolog [Grapevine leafroll-associated virus 13] -MEVGIDFGTTFSTLCYNVGEDADDCCVRIAGSIYVPTEILVYPDNSYTIGYRARAAALNNEGLLYVNPKR -WIGMNSRNKHIFMDKLKPQHEVLVLNDKDVKIGPLGDVRGKAMFITDLIALFLKGLITEAETQTGVAVVG -VTCSVPAKYNSFKRSFLMTALKGLGKPLRALVNEPTAAGLLGMSVSSNDNTVYGVFDFGGGTFDISMMLK -RGKVLGVIGAEGDNYLGGRDVDAKIMKTISASLRSTPKKSSFPIIVSKMKEIVSDTLATTEQIIPLEDGS -RQIASYTLDQLISDSGPFLDRAVQMFSRLVRDLANPPTEVVLTGGSAALPGLVARCMSVKGVTSVLYNKR -TFRASVAMGAKIYADMLTSGSDLVLIDSLSQTLSDDLALFRSAIVFPKGTAIPRTFETSYTVGKSEVPYG -LYEGEENSTWLNDLTFKGVAPGSTSGSQKAKYSVSLDGRLKIEVDGKEIENTLVPSPVSDAVKDMRYITA -DMKYKDSLCKLYLSGINSLAPEPCTLKELLDDVGWPKTNRLLQSYKLRSNLIKGWSLRSS - ->YP_008411013.1 heat shock protein 70-like protein [Blackberry vein banding-associated virus] -MDVGIDFGTTFSTLCYSASGAGGCTRVAGSIFVETQVFVPEEGTRYYIGKVAGKLYRDGVVGRLYLNPKR -WVGVNAINFSSFMKKLKPAYSVELLSSGAVMIGGIGTGRDIKLSVTDIICLFLRGLIKEAESETGKTVTS -AVVTVPADYNSFKRGFIVTALRGLGIPVKAIINEPTAAALYSLAKSGLDDMLIAVFDFGGGTFDVSFVKK -KGDILVVVYSAGDNFLGGRDIDLALANTLKKKLSGDVDMGKLLFFVSTIKEDMSNDPSVETHIVPTIKGS -EIVRLTEAELTEVVAPFAKKAVKIFSDAMERFLPDRAVAVLTGGSSALVEVRKQIAALPSVASVVYDPVD -FRCSVACGAKVYCDCLGGKGSLRLVDTLTNSLSDEVVEFEPSLVFPKGNPIPCSYTTSYSVSTANVVYGV -YEGEKNRSYLNELTFRCEYRHGSSGKRTDTVKYDLSLDGTLSVTINGKLGVNEFNTTLPSNVVKSKSYST -GREDTQKEGVGEYCALLNAIRSTSVVPDDVLLGRKVFTDLNIDSTSKTT - ->YP_004940644.1 HSP70 gene product [Grapevine leafroll-associated virus 1] -MEVGLDFGTTFSTACFSVPSEDDSGCVTLVNSPFVPTQVFIGSDMTYSIGHRAYSDFVAGKPGGLYINPK -RWVGVDGYNFQAVKRKLNPEYEVKLSNGEVVLGSVGNVNAPLVRVVDLVFLFVKGILLETEEAVGKAVSG -VVCTVPAEYNSFKRSFLGVALEGLGKPLRALINEPTSAALYGAVRGGALKETYAVFDFGGGTLDISFISR -FNNVVSVLFSKGDNFLGGRDIDRAIVQFLRKEKRITGVIDAGILAVMIADLKEKICVNGGTQYTQVKTSN -GLETLSMSVDELNAVSEPFVDRAIKIFAEGANELKRSPIVCVLTGGSVALPLVQQKLKTLPYVSRTEYDS -KTFRLSVAVGAKIYSDILTGHSDLRLIDTVSQTLSDELSGFTEIVIFPKGHPIPSEYETSFQISGSTMEY -GIIEGESNRTWLNEIAFKGTDYRPSNERKSDKVKYEISVDGKLKLSVDGRQLKNTRLPAPVSASAHAYRY -VSSMKKYLIQLENNYVDMFSELHGDKISIDDVYDATGAYFDKNILVNFLRLSK - ->YP_004935377.1 unnamed protein product [Grapevine leafroll-associated virus 6] -MEVGIDFGTTFSTLCFSAGRDVEGCVPESDTIYIPTVVGIRKDGTYTIGLGALLEPDLLVYRDIKRYFGM -NKFNAESYKNKLQPKFEVIVKNWSAYIGPTSGEKGKARSVIALTCMFVSALAKMAVSMTGSAITLSVCSV -PAEYSSYMRNFIFQGCTLAKVMVQAVVNEPTAAGLSAFVTVDKSSMEYMVVYDLGGGTFDASLMAVGSSY -VCVVDSLGDNYLGGRDVDNALLDFVVNKLGVSESSLDPFSMEALKIDMVDNPSSSAMWVLTKSGEVKTLN -LTTEQFRDLCRPFVDRARAIIERLLVKNEVKNCVAVLIGGSSVLPGVRNSVASLGSVSKVLFDRETYRAA -VAIGAAIYAQTFAGTSKYRLIDCVSNSLSDERLPLRAVTVFPKGHPIPSTVATNFKMPTYDTGVVLHEGE -SSFINENARTYSASLKTSQFPGGKTYVSEFKVSEDGRLDVTMNGVLLTNLVVPEKPLDAEYSEVFLSSDD -RRIKPEVNDIKLFYSKILGEPSLSSKDLGSRKEVYSRYGLICD - ->YP_004935371.1 unnamed protein product [Grapevine leafroll-associated virus 4] -MEVGIDFGTTFSTLCFSAGRGVDGCVPESDTIYIPTVVGIRNDGTYTIGLGALLEKDVLVYRDIKRYFGM -NKFNANTYMMKLRPKFEVLVKDWSVSIGPVSGEKGKTRSVIALACMFVSALAKLAVSITGEAVTLSVCSV -PAEYSSYMRNFIFQGCNLAKIQVQAVVNEPTAAGLSAFVAVDKESIEYMVVYDFGGGTFDASLMAVGSSY -VCVVDSLGDNYLGGRDVDNALLEVVMKTLALTDKDLDPFSMEALKIDMVENPSSVVRRVLTNSGEVRIFN -YDSSKFRELCSPFVEKARMIIEKLLSRNNVNSCAAVLIGGSSVLPGVRNSVASLRGVSRVIFDKDTYRAA -VAIGAAIYAQTFSGASRYRLIDCVSNSLSDERKPLRAVTVFPKGHPIPATVRVNFDMPKYNTGVVLHEGE -SSFINENARTYSAPLKTTQFPGGKTYVNEFVISEDGRLEVKLNGEILANTVVPESPAANEYSERFLSSDD -KRIKPEVDDIKSFYSRILGDSNLKNRDLRGRKEQYCKHGIVCD - ->YP_004901689.1 HSP70 gene product [Grapevine leafroll-associated virus 5] -MEVGIDFGTTFSTLCFSAGRGVDGCVPESDTVYIPTVVGIRKDGTYTIGLGALLEKDVLVYRDIKRYFGM -NKFNAEVYKKKLKPKFEVIVKNWSAYIGPSSGEKGKTRSVIALACMFVSALAKMAVSITGSAVKLSVCSV -PAEYSSYMRNFIFQGCNLAKIQVQAVVNEPTAAGLSAFVTVDKNSIEYMVVYDFGGGTFDASLMAVGSSY -VCVVDSLGDNYLGGRDVDNALLDVVVNKLNISESSLDPFSMEALKIDMVDNPLSTTRRVLTKTGEVKTLR -FDNQQFRSLCEPFVERARAIIERLLKRNGVTSCVAVLIGGSSVLPGVRNSVAGLKEISRVIFDKETYRAA -VAIGAAIYAQTFTGVSRYRLIDCVSNSLSDERQPLQAITVFPKGHPIPSTVAVNFKMPTYNTGVVLHEGE -SSFINENARTYSAPLRTSQFPGGRTYVNEFKISEDGRLDVTMNGVPLINEVVPERPLDEEYSEVFLSSDD -KRIKPEVNDIKLFYSKILNQPSLSTKDLAARREEYIKNGIVCD - ->YP_002364305.1 heat shock protein 70 [Grapevine leafroll-associated virus 10] -MEVGIDFGTTFSTLCFSAGRGVDGCVPESDTVYIPTVVGVRRDGTYTIGLGALLERDVLVYRDIKRYFGM -NKFNADSYMKKLKPNFEVIVKNWSAYIGPVSGERGKTRSVIALACMFVSALVRMAVQITGTQVSLSVCSV -PAEYSSYMRNFIFQGCKLAKIHVQAVVNEPTAAGLSAFVTVDKSTIEYMVVYDFGGGTFDASLMAVGSSY -VCVVDSLGDNYLGGRDVDNALLKLVIHSLSLREVDIDPFSMEALKIDIVDNPGSEMRKLLTNSGKVKTLR -ITESQFRELCSPFVTRARSIIEHLLKRNNVTNCVAVLIGGSSVLPGVKNSVASLPGVSRVIFDRNTYRAA -VAIGAAIYAQTFAGSSRYRLIDCVSNSLSDERKPLKAVTVFPKGHPIPSTVSVEFQMPNFDTGVVLHEGE -SSFINMNARTFSASLKKAQFRGGKSYVSNFIISEDGRLEVTMDKVPLVNVVTPEVPDESEYSEKFLSSDD -KRIKPEVNDIKLFYSKILKVVDLSSKTLSEREIIYRENGLKCD - ->YP_001642339.1 heat shock protein 70 [Pineapple mealybug wilt-associated virus 1] -MEVGIDFGTTYSTLCFSPGKGIDGCVVESDTIFIPTVVGYRKDNTHAIGLGALLEKDLEVYRDIKRYFGL -NKFNKDVYLDKLKPTIEVVIDDWGCPIGPVDGARGKAKSVLTLASDFITGLVQLAIKMTNQQVSVSVCSV -PAAYNSYQRGFIFESCKLSSIDVQAVVNEPTAAGLSAFITTPKASVNYLLVYDFGGGTFDSSLLVVGGAY -VGVLDSMGDNYLGGRDVDNRLLEVCAERLKVDKKELDQFSMEALKIDIVDNPGKSVRRVLLKSGNVKSIQ -LTFQDFSAICRPFVERARQVVLSLMAGRRLTKCAAVLIGGTSYLPGVIDSVASLPMITKVIFDRKTYRAA -VALGGALYAQTFSGSSRYRLIDSISGSLSDEFKNLKAVCIFPKGHPIPSTVESRFTMPSTDTGVVLMQGE -SSMANMNEMTFSGSVKTSTYPPRSVVRQKTRIFEDGRVEVYLNGIKVENSVKPRIPNKTELSPKFVSPDD -VRIGPEVAEIKSFYSKIVR - ->YP_001552326.1 heat shock protein 70-like protein [Plum bark necrosis stem pitting-associated virus] -MELGIDFGTTFSSVCFSPANKSNGCTEESDSIFIPTILGLRKDGTFCIGRAVTTEEGLDVYRDIKRWVGC -NHINESEFRRKLKPEYIVVVDKYNVSIGPVSGNLTRVMPVVDLIYLYIKGLVQLTISQTNLQVGSASCSV -PADYNSFKRSFVYTACSALGIGVRAVINEPTAAGFCSLLEKTGGATSYTLVYDFGGGTFDVSLLAVSNNV -IVVVDSRGDNLLGGRDIDAALRSKCAAILGIPANLLDTYSMEDVKIRLVEKPSVTTHTVLLKDGSMRTLN -LSNSDLEEICVPYLERAATLVKDVIMSNSVREVDLVLIGGSSVLPGVRKSLLSIPNIRSIYFDKSIYRAA -VAVGASLYTASFSGSTRFRLIDCVANSLSNDLKPFFAKLVLPKSHPIPTKVTQNFDMPSYNTAFVLHEGE -SPNALLNERCFSAPLNTSEFKAGSGILEVVVGEDGRISASLQGKLLKNVVVVQDVLPSTKVLKFQDTVER -LIKPEAEKYVSGWQVYSGEDLVSKSPSDRLVCYMKHGVE - ->NP_891567.1 p60 [Little cherry virus 2] -MNIGLDFGTTFSTAALFASDNKIETLKLFGDDLQPTYVYVDRSKNFFIGNAASAKYETNLKTKSDDGWLY -KDIKRWVGVNKYNFNEYKTKLSSREYNVRLEDDFTVALSGLGSSEGPYVTVVDLIALFVRGISVAIENQY -GENVVSLVCTVPADYNSYKRSFLLESSKLLDQEIIAVVNEPTAAALYSALKLVSSNKAEHVAVYDFGGGT -FDVSYLCLYGRSATVLDTAGDLFLGGRDIDAAIAEKIFPQIQGATAKDILSQCSNVKLDCSSEKRFVDHS -IWFKDDVHKVKFSYEDFLVVMEDFKIRSTKLLTQLLERNGLVDIGVNVVMVGGSSAIPLLRDAVATCRGV -KRVVFDNNTFRIAVAVGAKVYSDSLVSNKGLVLVDTLSHAILDEIVGLKAKVVVGKGQVVPSTAEINYGF -SGGNMRIDVYEGEHPLAFMNEPTYTSSVLIEKAGTVPVRCELRRDGSLVVSVFGKQIKNKFLPKGRLKPN -EYNYSDPDVEYRNDGLMSYATDVCKVLGSTVTLSDTDPYNVCKKIDDLVDKNTS - ->NP_813799.1 59 kDa protein [Grapevine leafroll-associated virus 3] -MEVGIDFGTTFSTICFSPSGVSGCTPVAGSVYVETQIFIPEGSSTYLIGKAAGKAYRDGVEGRLYVNPKR -WAGVTRDNVERYVEKLKPTYTVKIDSGGALLIGGLGSGPDTLLRVVDVICLFLRALILECERYTSTTVTA -AVVTVPADYNSFKRSFVVEALKGLGIPVRGVVNEPTAAALYSLAKSRVEDLLLAVFDFGGGTFDVSFVKK -KGNILCVIFSVGDNFLGGRDIDRAIVEVIKQKIKGKASDAKLGIFVSSMKEDLSNNNAITQHLIPVEGGV -EVVDLTSDELDAIVAPFSARAVEVFKTGLDNFYPDPVIAVMTGGSSALVKVRSDVANLPQISKVVFDSTD -FRCSVACGAKVYCDTLAGNSGLRLVDTLTNTLTDEVVGLQPVVIFPKGSPIPCSYTHRYTVGGGDVVYGI -FEGENNRAFLNEPTFRGVSKRRGDPVETDVAQFNLSTDGTVSVIVNGEEVKNEYLVPGTTNVLDSLVYKS -GREDLEAKAIPEYLTTLNILHDKAFTRRNLGNKDKGFSDLRIEENFLKSAVDTDTILNG - diff --git a/seq/clusters_seq/cluster_315 b/seq/clusters_seq/cluster_315 deleted file mode 100644 index 0e0c836..0000000 --- a/seq/clusters_seq/cluster_315 +++ /dev/null @@ -1,63 +0,0 @@ ->YP_010085093.1 NSs protein [Tahyna virus] -MMSHPPVQMDLILTQGMWTSVLNMGKQLISIPLGSSSLMPQKPRLLSLVSQRGRLVLNLESGRWRLSIII -FLETGTTQLITTILPSTGCQGIWLDGC - ->YP_010085083.1 non-structural protein [Snowshoe hare virus] -MMSHQQVQMDLILMQGIWHSVLNMQNQSILLQLGSSSSMPQRPRLLSRVSQRGRQILNLESGRWRLSIII -FLETGTIQLTATILPSTDCQDI - ->YP_010085078.1 nonstructural protein NSs [Trivittatus virus] -MMLHQQVQTDLIPMQGMWHLLLHMPDRTIFLLLGSSSSMLPRPRMLSRENQRGRLVLNLASGRWRWSIII -FLATGTIQLVTTILPSTEFQAISQDGF - ->YP_010084298.1 nonstructural protein NSs [California encephalitis virus] -MMSHPQVQMDLILMQGMWTSVLNMGNQLTLLQLGSSSSMPQRPRLLSRVSQRGKLILNLASGRWRLSIII -FQQTGTIQLVTMILPSTASQDTLLDGS - ->YP_009666979.1 nonstructural protein NSs [Serra do Navio virus] -MMSHQPVQMDLIQMQGLWHLWLVMGSRSILQPLGSSSLMPQKPKLLSLASRRGKLLLSLETGRWRLSIII -FLETGTTQLVTTILPSTESQDI - ->YP_009666974.1 nonstructural protein NSs [San Angelo virus] -MMSHQPVQMDLILMQGIWHSVLNMGSRSVCLQLGSSSSMPQKPKLLSRVNQRGKQILNLASGRWRLSIII -FLETGTIQLTTSILPSTDCLDTWLDGF - ->YP_009666972.1 nonstructural protein NSs [Melao virus] -MMSHQQVQMDLIQMQGIWHLQLRMGKLSICQPLGSSSLMPQKPKLLSLVNRRGKLLLNLETGRWKLSTII -FLETGTTQLVTTILPSIGFQDILPDGC - ->YP_009666967.1 nonstructural protein NSs [Lumbo virus] -MMSHPPVQMDLILMQGMWTFVLNMENQSISIPLGSFSLMPLRPRLLSLVSRRGRLVLNLESGRWRSSIII -FLETGTTQLITTILPSTGCQGIWLDGC - ->YP_009666963.1 nonstructural protein NSs [Keystone virus] -MMSHPQVQMDLILMQGMWHLWLTMGSRSVCQPLGSSSLMPQRPKLLSLVSRSGRLHLSLESGRWRSSIII -FLETGTTQLVTTILPCTGFQDI - ->YP_009666883.1 NSs protein [Jamestown Canyon virus] -MMSHPQVQMDLIQMQGLWHLWLTTESLSICQPLGSSSLMQQKPKLLSLVNRSGKLLLSLESGRWRSSIII -FLETGTTQLVTTILPSIGFQDI - ->YP_009362077.1 nonstructural protein NSs [Kaeng Khoi virus] -MMNLYGFKVDLILKDNTWNLSVVSEMGSVCLPLKSSSSMPGKPKINSLLDLTGRLVLNLGHGRWRWSITI -FKATGTVQSATWISPCTDCQGILHGMCSNCTLQQTL - ->YP_009362053.1 nonstructural protein NSs [Nyando virus] -MMSSQLPKMDLILISSMWHLKLQLEQGLTLFPLGSSSSMPGKPKINSLVDQSRRLVLNLEHGRWKWSITI -FKETGTILSATQISQCIDSQDI - ->YP_009362051.1 nonstructural protein NSs [Bwamba orthobunyavirus] -MMSTRLMPMSLTLIQDTWILKITIQGHSIQIPLGHSSSMPQRPRMCSAINLTRRSILNLETGRWRLSIII -FLETGTTQLVKMILPSTEFQDI - ->NP_671971.1 non-structural protein [La Crosse virus] -MMSHQQVQMDLILMQGIWTSVLKMQNYSTLLQLGSSSSMPQRPRLLSRVSQRGRLTLNLESGRWRLSIII -FLETGTTQLVTTILPSTDYLGI - ->sp|P04874.1|NSS_BUNLC RecName: Full=Non-structural protein NS-S -MMSHQQVQMDLILMQGIWTSVLKMQNHSTLLQLGSSSSMPQRPRLLSRVSQRGRLTLNLESGRWRLSIII -FLETGTTQLVTTILPSTDYLGI - ->sp|P09614.1|NSS_BUNL7 RecName: Full=Non-structural protein NS-S -MMSHQQVQINLILMQGIWTSVLKMQNHSTLLQLGSSSTMPQRPRLLS - diff --git a/seq/clusters_seq/cluster_316 b/seq/clusters_seq/cluster_316 deleted file mode 100644 index 8adcad9..0000000 --- a/seq/clusters_seq/cluster_316 +++ /dev/null @@ -1,128 +0,0 @@ ->YP_010085025.1 nucleoprotein, partial [Wuhan sharpbelly bornavirus] -QIANRNQANRSTTTRTKAAMDQDSSSDEESIQIIDSDEAIRVPDTVKGCIEASKLAVADYSKYASGIKEE -GIISVAIIALIAVFPRLHGMFEKYQQGEKSPGRAWHGTKDQNEALHIQGGDMKITKAVLALLYCLVKKPT -AHPTEQINKRFGAAYVMIKGPGAVPAGVDIPPALDILKAVAWLSSQSLDTQVISVVLSMKKLNKTQDAIV -QQVRLVASEAEMTSFKSIEEYLTSPPNASALLPGVGREIAEYVSLRDRLKERHKDQYPMIKLLRLPGHDE -LVASKFPNLVAVANENKRNRDPTFVNFKERNSSRHTTTEIRDAMRRPLKRTHTCGDEDLQAVVKCFKISE -EEAKTSEQPVQDKLTALLERLTKSLPGQGPSSS - ->YP_009512934.1 nucleoprotein [Parrot bornavirus 5] -MPPKKRPMESSEDMDDSDSQPRLEHMPRLPGTFLQYTSGGTDPHPGIGEEMDIRKNALAFLDAQRRESFH -TVTPSLVFLCLLIPGLHAALLFGGVPRESYLSVPVTSADGRTIIKTARFYGKDAQERELTELEVSSIFNH -CCSLLIGVVIGSSSKIKAGADQIKKRFRTLMASLNRPTHGETATLLQMFNPHEAIDWINAQPWVGSLVLA -LLTTDFEPPGKEFMDQIKLVAAYAQMTPYTTIKEYLNECMDATITIPAVVYEIRDFLKVTSELKADHGDL -FKYLGAIRHADAIKLAPRNFPNLASAAFYWSKKENPTMTGYRASTIQPGSVVKEAQLARYRRREILRGDD -GVNLSGEIADILKDIGVTGIQS - ->YP_009512928.1 N protein [Parrot bornavirus 1] -MPPKRQRSPNDQDEDMDSGEPGATRSHFPSLTGAFLQYTQGGTDPHPGIGDEKDIRKNAVALLDQSRREL -YHNVTPSLVFLCLLIPGLXSALLFAGVQRESYLSTPVKQGDRLITKTANFFGERTVDRELTELQISSIFN -HCCSLLIGVVIGSSAKIKAGAEQIXKRFKTLMASINRPGHGETANLLSVFNPHEAIDWINAQPWVGSFVL -ALLTTDFESPGKEFMDQIKLVAGFAQMTTYTTIKEYLNECMDATLTIPAVALEIREFLEVTTKLKAEHGD -MFKYLGAIRHSDAIKLAPRNFPNLASAAFYWSKKENPTMAGYRASTIQPGSIVKEAQLARFRRREITRGD -DGTTMSPEIADVMRLIGVTGFAN - ->YP_009505423.1 nucleoprotein [Estrildid finch bornavirus 1] -MTSKGSKRRAPDTPEEMDEGPSQPSGPPGKPTHMPRLPGTFLQYTAGGTDPHPGIGREEDIRKNALNFLD -PGRREKFHNVTPSLVFLCLLIPGLHAALLYGGVPRESYLSAPIMQEGEMLVKVDRFYGKQFKDRELSELE -ISSIFSHCCSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASLNRPAHGETATLLQTFNPHEAIDWINGQPW -VGSLILSLITTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLSECMDATLTIPAVAYEIRDFLKVSSEL -KAEHGELFKYLGAIRHSDVIKLAPRNFPNLASAAFYWSKKENPTMSGYRASTIQPGSTVKEAQLARFRRR -EVSRGEDGANLSDEIADIMRMIGVTGIQS - ->YP_009269413.1 nucleoprotein [Variegated squirrel bornavirus 1] -MNITMPPKRRLLEDPDVMDDQEPEPTSPPMPKLPGKFLQYTVGGSDPHPGIGEEKDIKHNAVALLDSSRR -DMFHPVTPSLVFLCLLIPGLHAAFLHGGVPKESYLSTPISRGEQTFVKVSRFYGERTASRELTELEISSI -FNHCCSLLIGVVIGSSAKIRAGAEQIKKRFKTLMASLNRPSHGETATLLQMFNPHEAIDWINGQPWVGSL -VLSLLTTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLAECMDATLTIPAVAHEIREFLEISAKLKNEH -AELFPFLGAIRHPDAIKLAPRSFPNLASAAFYWSKKENPTMAGYRASTIQPGATVKETQLARYRRREVSR -GEDGAELSGEISDIMKMIGVTGLV - ->YP_009268911.1 nucleoprotein [Aquatic bird bornavirus 2] -MPPKRRLVDSPEDMEDDGSSSTPAHLPKLPGTFLQYTTGGGDPHPGIGDEKDIKKNALAFLDPTRREKFH -GVTPSLIFLCLLIPGLHAALIFAGVPRESYLSTPVDRGGEQLIKAGKFYGERFVDRELTELEISSIFNHC -CSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASLNRPSHGETATLLQMFNPHEAIDWINGQPWVGSLVLSL -ITTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLGECMDATLVIPAVAYEIKEFLKVSSELKSDHGDLF -KYLGAIRHQDAIKLAPRNFPNLASAAFYWSKKENPTMSGYRASTIQPGSTVKEAQLARYRRREVTRGEDG -AHLSAEIADIMRMIGVTGLQP - ->YP_009268905.1 nucleoprotein [Canary bornavirus 1] -MPPKRRLMDNPEDMDDQSSTDRPDHMPKLPGTFLQYTTGGTDPHPGIGDERDIKKNALAFLDPSRREKFH -SVTPSLVFLCLLIPGLHGALLYGGVPRESYLSTVIDRGGEQLIKVGRFYGEKLVDRELTELEVSSIFNHC -CSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASLNRPSHGETATLLQMFNPHEAIDWINGQPWVGSLVLSL -ITTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLSECMDATLTIPAVAYEIKEFIKTSSDLKNEHGELF -KYLGAIRXPDAIKLAPRNFPNLASAAFYWSKKENPTMSGYRASTIQPGSSVKEAQLARYRRREVSRGEDG -LHLSDEIADIMRMIGVTGLQP - ->YP_009268899.1 nucleoprotein [Parrot bornavirus 7] -MPPKRQRSPNDQDDDMDSGEPGASKDHFPRLTGAFLQYTQGGTDPHPGIGDEKDIRKNAVALLDQSRREL -YHTVTPSLVFLCLLIPGLHSALLFAGVQRESYLSTPVKQGERLITKTANFYGERTVDRELTELQISSIFN -HCCSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASINRPGHGETANLLSMFNPHEAIDWINAQSWVGSFVL -ALLTTDFESPGKEFMDQIKLVAGFAQMTTYTTIKEYLNECMDATLTIPAVALEIREFLDTTAKLKTEHGE -MFKFLGAIRHSDAIKLAPRNFPNLASAAFYWSKKENPTMAGYRASTIQPGSIVKEAQLARFRRREITRGD -DGSTMSPEIADVMRLIGVTGFAKQ - ->YP_009268893.1 nucleoprotein [Parrot bornavirus 4] -MPPKRQRSPNDQDEEMDSGEPAASRGHFPSLTGAFLQYTQGGVDPHPGIGNEKDIHKNAVALLDQSRREL -YHSVTPSLVFLCLLIPGLHSALLFAGVQRESYLTTPVKQGERLITKTANFFGEKTMDQELTELQISSIFN -HCCSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASINRPGHGETANLLSVFNPHEAIDWINAQPWVGSFVL -ALLTTDFESPGKEFMDQIKLVAGFAQMTTYTTIKEYLNECMDATLTIPAVALEIKEFLDTTAKLKAEHGD -MFKYLGAIRHSDAIKLAPRNFPNLASAAFYWSKKENPTMAGYRASTIQPGSIVKEAQLARFRRREITRGD -DGTTMSPEIAEVMKLIGVTGFAN - ->YP_009174176.1 nucleoprotein [Parrot bornavirus 2] -MPPKRQRSPNDQDEEMDSGDPGATRSHFPSLTGAFLQYTQGGTDPHPGIGDEKDIRKNAVALLDQSRREL -YHNVTPSLVFLCLLIPGLHSALLFAGVQRESYLSTPVKQGERLITKTANFFGERTVDRELTELQVSSIFN -HCCSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASINRPGHGETANLLSVFNPHEAIDWINAQPWVGSFVL -ALLTTDFESPGKEFMDQIKLVAGFAQMTTYTTIKEYLNECMDATLTIPAVALEIKEFLDVTTKLKAEHGD -MFKYLGAIRHSDAIKLAPRNFPNLASAAFYWSKKENPTMAGYRASTIQPGSIVKEAQLARFRRREITRGD -DGSTMSPEIADVMRLIGVTGFAN - ->YP_009055058.1 nucleoprotein [Loveridges garter snake virus 1] -MPPKRQKPPSETVSVPMDETEPEQEESHFPDLPGKFLQYPVAGKDPHPGIGRKGDIRKNAIALLSQERRA -QYHQVTGSLVFLCLLISGLHQAFAFGGIPEESYLAAPFQTPDGTLRYETACFYGSGDIDRELTQLEVSSI -MSHCCSLLIGIIIGSSTKIKAGAEQIRKRFKTLMASLGRPDHGETANLLQLYNPHMAIDWFNSQSWVGSF -VLGLLTTDFESPGREFMDQMRLVASYAQMTTYTTIKEYLEQCMDATLTIPAVYKEIDEFLDVEEDLRRKH -REMFKYLGAIRHSDAIKLAPRSFPNLASAAFYWSKKENATMTGYKASTIQPGATVKEAQLARLRRREIKR -DGDMEEFDLKAAGIMARIGVTGYAEAK - ->YP_009041456.1 nucleoprotein [Canary bornavirus 3] -MPPKRRLVDNPEDMDDQSSSERPDHMPKLPGTFLQYTSGGTDPHPGIGDERDIKKNALAFLDPGRREKFH -SVTPSLVFLCLLIPGLHGALLYGGVPRESYLSTVVDRGGEQIIKAGKFYGERLVDRELTELEVSSIFNHC -CSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASLNRPAHGETATLLQMFNPHEAIDWINGQPWVGSLVLSL -ITTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLSECMDATLTIPAVAHEIKDFIKVSSDLKAEHGELF -KFLGAIRHPDAIKLAPRNFPNLASAAFYWSKKENPTMSGYRASTIQPGSTVKEAQLARYRRREVSRGEDG -VHLSAEIAEIMRMIGVTGLQP - ->NP_042020.1 nucleoprotein [Borna disease virus 1] -MPPKRRLVDDADAMEDQDLYEPPASLPKLPGKFLQYTVGGSDPHPGIGHEKDIRQNAVALLDQSRRDMFH -TVTPSLVFLCLLIPGLHAAFVHGGVPRESYLSTPVTRGEQTVVKTAKFYGEKTTQRDLTELEISSIFSHC -CSLLIGVVIGSSSKIKAGAEQIKKRFKTMMAALNRPSHGETATLLQMFNPHEAIDWINGQPWVGSFVLSL -LTTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLAECMDATLTIPVVAYEIRDFLEVSAKLKEDHADLF -PFLGAIRHPDAIKLAPRSFPNLASAAFYWSKKENPTMAGYRASTIQPGASVKETQLARYRRREISRGEDG -AELSGEISAIMKMIGVTGLN - ->YP_009268917.1 nucleoprotein [Borna disease virus 2] -MPPKRRLVDDADMEDQDIYEPPASLPKLSGKFLQYTVGGSDPHPGIGHEKEIRQNAVALLDQSRRDMFHT -VTPSLVFLCLLIPGLHAAFLHGGVPRESYLSTPVTRGEQTVVKTAKFYGEKTTQRELTELEISSIFSHCC -SLLIGVVIGSSSKIKAGAEQIKKRFKTMMAALNRPSHGETATLLQMFNPHEAIDWINGQPWVGSFVLSLL -TTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLAECMDATLTIPVVAYEIRDFLEVSAKLKEEHADLFP -FLGAIRHPDAIKLAPRSFPNLASAAFYWSKKENPTMAGYRASTIQPGSSVKETQLARYRRREISRGEDGA -ELSGEISAIMKMIGVTGLN - ->YP_009237642.1 nucleoprotein [Aquatic bird bornavirus 1] -MPPKRRLVDSPEDMEDEGPSDRPTHLPKLPGTFLQYTTGGGDPHPGIGDEKDIKKNALALLDPARREKFH -GVTPSLIFLCLLIPGLHAALIFAGVPRESYLSVPVDRGGEQLIKVGRFYGERFTDRELTELEISSIFNHC -CSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASLNRPSHGETATLLQMFNPHEAIDWINGQPWAGSLVLAL -ITTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLGECMDATLIIPAVAYEIKEFLKVSSELKSEHGDLF -KYLGAIRHQDAIKLAPRNFPNLASAAFYWSKKENPTMSGYRASTIQPGSSVKEAQLARYRRREVTRGEDG -AHLSDEIAEIMRMIGVTGLQP - ->YP_009165492.1 nucleoprotein [Canary bornavirus 2] -MPPKRRLMDSPEDMDEQSTSDRPDHMPKLPGTFLQYTSGGTDPHPGIGDEEDIKKNALAFLDPSRREKFH -SVTPSLVFLCLLIPGLHRALLYGGVPRESYLSTVVNRGGEQIIKAGRFYGEKLVDRELSELEVSSIFNHC -CSLLIGVVIGSSAKIKAGAEQIKKRFKTLMASLNRPAHGETATLLQMFNPHEAIDWINGQPWVGSLVLSL -ITTDFESPGKEFMDQIKLVASYAQMTTYTTIKEYLSECMDATLTIPAVAYEIKEFIKVSSDLKTEHGELF -KYLGAIRHSDAIKLAPRNFPNLASAAFYWSKKENPTMSGYRASTIQPGSTVKEAQLARYRRREISRGEDG -VHLSEEIAEIMRMIGVTGLQP - diff --git a/seq/clusters_seq/cluster_317 b/seq/clusters_seq/cluster_317 deleted file mode 100644 index c305878..0000000 --- a/seq/clusters_seq/cluster_317 +++ /dev/null @@ -1,508 +0,0 @@ ->YP_009518860.1 polymerase protein [Human orthopneumovirus] -MDPIINGNSANVYLTDSYLKGVISFSECNALGSYIFNGPYLKNDYTNLISRQNPLIEHMNLKKLNITQSL -ISKYHKGEIKLEEPTYFQSLLMTYKSMTSSEQIATTNLLKKIIRRAIEISDVKVYAILNKLGLKEKDKIK -SNNGQDEDNSVITTIIKDDILSAVKDNQSHLKADKNHSTKQKDTIKTTLLKKLMCSMQHPPSWLIHWFNL -YTKLNNILTQYRSNEVKNHGFTLIDNQTLSGFQFILNQYGCIVYHKELKRITVTTYNQFLTWKDISLSRL -NVCLITWISNCLNTLNKSLGLRCGFNNVILTQLFLYGDCILKLFHNEGFYIIKEVEGFIMSLILNITEED -QFRKRFYNSMLNNITDAANKAQKNLLSRVCHTLLDKTVSDNIINGRWIILLSKFLKLIKLAGDNNLNNLS -ELYFLFRIFGHPMVDERQAMDAVKINCNETKFYLLSSLSMLRGAFIYRIIKGFVNNYNRWPTLRNAIVLP -LRWLTYYKLNTYPSLLELTERDLIVLSGLRFYREFRLPKKVDLEMIINDKAISPPKNLIWTSFPRNYMPS -HIQNYIEHEKLKFSESDKSRRVLEYYLRDNKFNECDLYNCVVNQSYLNNPNHVVSLTGKERELSVGRMFA -MQPGMFRQVQILAEKMIAENILQFFPESLTRYGDLELQKILELKAGISNKSNRYNDNYNNYISKCSIITD -LSKFNQAFRYETSCICSDVLDELHGVQSLFSWLHLTIPHVTIICTYRHAPPYIGDHIVDLNNVDEQSGLY -RYHMGGIEGWCQKLWTIEAISLLDLISLKGKFSITALINGDNQSIDISKPIRLMEGQTHAQADYLLALNS -LKLLYKEYAGIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSLESIGS -LTQELEYRGESLLCSLIFRNVWLYNQIALQLKNHALCNNKLYLDILKVLKHLKTFFNLDNIDTALTLYMN -LPMLFGGGDPNLLYRSFYRRTPDFLTEAIVHSVFILSYYTNHDLKDKLQDLSDDRLNKFLTCIITFDKNP -NAEFVTLMRDPQALGSERQAKITSEINRLAVTEVLSTAPNKIFSKSAQHYTTTEIDLNDIMQNIEPTYPH -GLRVVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDLTDIDRATEMMRKNITLLIRILPLDCNRDKRE -ILSMENLSITELSKYVRERSWSLSNIVGVTSPSIMYTMDIKYTTSTISSGIIIEKYNVNSLTRGERGPTK -PWVGSSTQEKKTMPVYNRQVLTKKQRDQIDLLAKLDWVYASIDNKDEFMEELSIGTLGLTYEKAKKLFPQ -YLSVNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINRILTEKYGDEDIDIVFQNCISFGLSLMSVVE -QFTNVCPNRIILIPKLNEIHLMKPPIFTGDVDIHKLKQVIQKQHMFLPDKISLTQYVELFLSNKTLKSGS -HVNSNLILAHKISDYFHNTYILSTNLAGHWILIIQLMKDSKGIFEKDWGEGYITDHMFINLKVFFNAYKT -YLLCFHKGYGKAKLECDMNTSDLLCVLELIDSSYWKSMSKVFLEQKVIKYILSQDASLHRVKGCHSFKLW -FLKRLNVAEFTVCPWVVNIDYHPTHMKAILTYIDLVRMGLINIDRIHIKNKHKFNDEFYTSNLFYINYNF -SDNTHLLTKHIRIANSELENNYNKLYHPTPETLENILANPIKSNDKKTLNDYCIGKNVDSIMLPLLSNKK -LIKSSAMIRTNYSKQDLYNLFPMVVIDRIIDHSGNTAKSNQLYTTTSHQISLVHNSTSLYCMLPWHHINR -FNFVFSSTGCKISIEYILKDLKIKDPNCIAFIGEGAGNLLLRTVVELHPDIRYIYRSLKDCNDHSLPIEF -LRLYNGHINIDYGENLTIPATDATNNIHWSYLHIKFAEPISLFVCDAELSVTVNWSKIIIEWSKHVRKCK -YCSSVNKCMLIVKYHAQDDIDFKLDNITILKTYVCLGSKLKGSEVYLVLTIGPANIFPVFNVVQNAKLIL -SRTKNFIMPKKADKESIDANIKSLIPFLCYPITKKGINTALSKLKSVVSGDILSYSIAGRNEVFSNKLIN -HKHMNILKWFNHVLNFRSTELNYNHLYMVESTYPYLSELLNSLTTNELKKLIKITGSLLYNFHNE - ->YP_009505458.1 Polymerase protein [Bovine respiratory syncytial virus ATCC51908] -MDTLIHENSTNVYLTDSYLKGVISFSECNALGSYLLDGPYLKNDYTNIISRQKPLIEHINLKKLSIIQSF -VTKYNKGELGLEEPTYFQSLLMTYKSLSTSELITTTTLFKKIIRRAIEISDVKVYAILNKLGLKEKGKVD -RCDDTNTTLSNIVRDNILSVISDNTPSTKKPNNSSCKPDQPIKTTILCKLLSSMSHPPTWLIHWFNLYTK -LNDILTQYRTNEARNHGYILIDTRTLGEFQFILNQYGCIVYHKKLKKITITTYNQFLTWKDISLSRLNVC -MITWISNCLNTLNKSLGLRCEFNNVTLSQLFLHGDCILKLFHNEGYYIIKEVEGFIMSLILNLTEEDQFR -KRFFNSMLNNITDAAARAQQDLLSRARHTILDKTISDNILNGKWLILLGKFLKLIKLAGANNLNNLSELY -FLFRIFGHPMVDERQAMDAVRLNCNETKFYLLSSLSMLRGAFIYRIIKGFVNTYNRWPTLRNAIVLPLRW -INYYKLNTYPSLLELTEADLIILSGLRFYREFHLPKKVDLEVIINDKAISPPKNLIWTSFPKNYMPSHIQ -IYIEHERLKFTESDRSRRVLEYYLRNNRFSESDLYNCIVNQEYLNNPNHVISLTGKERELSVGRMFAMQP -GMFRQVQIMAEKLIAENILQFFPESLTRYGDLELQKILELKAGISNKANRCNDNYNNYISKCSIITDLSK -FNQAFRYETSCICSDVLDELHGVQSLFSWLHLTIPFATVICTYRHAPPYIRNHITDLNKVDEQSGLYRYH -MGGIEGWCQKLWTIEAISLLDLISIKGKFSITALINGDNQSIDISKPIKLNEGQTHAQADYLLALKSLKL -LYKEYASIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSMESIGSLTQ -ELEYRGESLLCSLIFRNVWLYNQIALQLKNHALCHNKLYLDILKVLAHLKMFFNLDNIDTALTLYMNLPM -LFGGGDPNLLYRSFYRRTPDFLTEAIAHSVFVLSYYTGHDLQDKLQDLPDDKLNKFLTCIITFDKNPNAE -FVTLMRDPQALGSERQAKVTSEINRLAVTEVLSNAPNKIFAKSAQHYTTTEVDLNDVMQKIEPTYPHGLR -VVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDYTDIERAIDMMRKNITLLIRILPLDYNKAKLGLLS -LNNLSITDISKYVRERSWSLSNIVGITSPSILYTMDIKYTTSTITSGIIIEKYNSNFLTRGERGPTKPWV -GSSTQEKKTMPVYNRQVLTKKQKDQIDLLAKLDWVYASIDNKDEFMEVLCLGTLGLSYEKAKKLFPQYLS -VNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINRILTEKYGDEDIDIVFQNCISFGLSLMSVVEQFT -NVCPNRIILIPKLNEIHLMKPPIFTGDVDICKLNQVIQKQHMFLPDKISLSQYVELFLSNKTLKNSPHIS -SNLVLVHKMSDYFLHKYVLSTNLAGHWIMIIQLMKDSKGIFEKDWGEGYITDHMFLDLNVFFDAYKTYLL -CFHKGYGKAKLECDMNTSDLFCTLELIDISYWKSMSKVFLEQKVVKHIINQDSSLHRVRGCHSFKLWFLK -RLNTSKFIVCPWVVNIDYHPTHMKAILTYMELTTMGLVHVDKLYTDQKHKLNDGFYTSNLFYINYNFSDN -THLLTKQIRVANSELIDNYNTLYHPSPESLESILKRSNQSNNVIELKDYPIDKFQSPKGRGVSDITCISS -NQKIKQGYNNQDLYNLFPAVIIDKIVDHSGNIANINQMYTITPNQLTLISNGTSLYCMLPWHHINRFNFV -FSSTGCKISTKLILKDLKIKDPHCIAFIGEGAGNLLLRTVVELHPDIKYIYRSLKDCNDHSLPIEFLRLY -NGHISIDYGENLTIPATDATNAIHWSYLHIRYAEPINLFVCDAELPDLTNWSRIVSEWYKHVRCCKYCST -IDRSKLIVKYHAQDITDFKLNNISIVKTYVCLGSKLKGSEVYLVLTVGPSNIFPSFNVVQNAKLILSRTQ -NFPMPKKIDKDSVDANIKSLIPFLCYPITKKGIKAALSKLKDVVDGNILSYSIAGRNEVYSNKLINYKLL -NILKWLDHILNFRSLEFSYNHLYMIESTYPFLSELLNSLTTNELKKLIKVTGSVLYSLQHEL - ->YP_009094045.1 polymerase protein [Pneumovirus dog/Bari/100-12/ITA/2012] -MDPIDEQEVNVYLPDSYLKGVISFSETNALGSCIIGRPFLKDDFTATTSIRNPLIEHKRIRDTKLVKNII -SNPQYRLVEPLQMQHELLSVLSPNFILHTANLRKIIQRSVDITDKKLNPVLHILNLNSPNHEGKVSERLT -RLIKKHLSHIPNWVSSWYNIWVNLNNLLQEYRSKEVIDHNCVLTRQLSGSFIHVVISQYGVVIISKKSKR -YTVCTYNQFLTWKDLALSRFNANYVVWLSNVLNTLNEGLGLRCRLKGHLLSKLYISTDIFLSSTSNEFYN -VVKEFEGFIMSLILKRTEEALFSIRFYNNMLNNLIDAIDKARLEYLTRCANSAARINLPSTDVMIASLGD -ILSLINVLGESNLNNLSELYFIFRIFGHPMVDERKAMDAVRDNCCETKFLMAKNLASLRGAYVYRIIKGF -VANYNRWPYIKTRVCLTPTWINYLDTNSCPSLLEMTKDDFIVLAGVHFIREFHIPKLTDLEIILNDKAIS -PPKSLIWSCFPKNYIPQVIQDEYARRYCRAKAPLKTRRVLEFYLQDKDFKLDQLHRVVVNQDYLNDKEHI -ISLTGKERELSVGRMFAMQPGKQRQVQILAEKLLADNILQFFPETLTRYGDLELQKILELKAGLSNKNDR -SKDSYNNYISRCSLITDLSKFNQAFRYESSCVCSDLLDELHGTQSLFSWLHLTVPLTTIMCTYRHAPPDT -GNNYNVDDIAEQSGLYRYHMGGIEGWCQKLWTTEAIALLDTVAVKGRFQLTSLINGDNQSIDISKPTRLG -TRTQSEADYDLAINSLRLISAAYRGIGHKLKEGETYLSRDMQFMSKTIQHEGVYYPASIKKILRVGPWIN -TILDDIKTSTESIGSLTQELEYKGESLMSSLLLRNFWLYRLYSVDLKDHSLCGKQLYRSLIKVLKHLKRC -FNLENLGECLELFLNVPMQFGGADPNVLYRSFYRRTPDFLTETITHLILILKHFRRDLEFNKDNVSKAVL -SLLEFTKNDSAEFVTLMRDPQAIGSERQAKITSDINRTAVTNVLSNAPNEIFRTSALHYSSTENELNSIA -SDISPVYPHGLRVLYESLPFHKAEKIVNMVSGTKSITNILEKTSAISYTDIIRATNMMVENLTLLTRIMK -PGADTSLDPDTIVITILSKIIRDKSWDVGDIIGVTSPSPVSCFKVVYTSTLQNNSVVIERYTTDTYTRGK -RGPTKPWVGSSTQEKKSMPVYNRQVLTRGQRDQIENIAKLEWVFSSVANIDSLLNELSTMTLGLSLRKCK -QLFPTYLSLNFLHRLSVSSRPREYPSSLPAYRTTNFHFDTGPINKVLTERFGDEDINLVFQNAISYGLST -MSLVEQFTGVCPNKVLLVPKLQEIQLMKVPIFQGGFNLQSIIPIIRQQHMFLPNHITPAQYIELFLSSKQ -FHTRINLNHNNRFKLVLQKDYFNGENMIETLSTCLAGHWIIILMLMKESQGIFDKEWYDGFVTDHMFLDL -QLFLSSFKTFLTVFNFAYLRVGSNIEEITGNQANLLELLDLGYWKNMYKVFSETKVRLALLKQDLSFNSV -KNSSSFRHWFINSLQEVQCTSVPWVVNVTRNPTHLKGVLQYMKMIESGMIQGYSTNISSVLSIPYNYPDM -AHMMTKIIRNKGHMSYDYPKIKKSLTFSMTDMSDSYMLNLFPKVVCSYMSGYLDKLDDTLQLLKKPPVGR -KVPSVALPWHHCNRYNFVFSSTGCKVSVIDMLPKHFQRSNLKVMCFIGEGAGNLMLRAVLEVGGNIKLIY -RSLKDPDDHHVPVEFLRLKPCYPYIDTGGSLSLASTDATNKAHWDYLHLHWTDPLNLIVCDAEISGVKHW -LKILHRWYEHMTSCKHCLKSEHDKYLIIKYHAQDDLIDLPHGVRLLKCNICLGSKLSGSESYLLIGLGLS -NKLPVYSEVLHSKLLLAECHQFHHPKYLDVSGINTNIKSLIPMLDYPITYNKITTLLESVRELSSNKNKN -TMWIGRNPVYHNKWLKRKYFNILKWLKYCIELPAFRMDYNSFERIEMLYPNLRDLVDSVSTSELKKVVKV -TGILFRSNTM - ->YP_173335.1 polymerase protein [Pneumonia virus of mice J3666] -MDPIDEQEVNVYLPDSYLKGVISFSETNALGSCIIGRPFLKDDFTATTSIRNPLIEHKRIRDTKLVKNIV -SNPQYRLVEPLQMQHELLSVLSPNFILHTANLRKIIQRSVDITDKKLNPILHILNLNSPNQEGKVSERLT -RLIKKHLSHIPNWVSSWYNIWVNLNNLLQEYRSKEVIDHNCVLTRQLSGSFIHVVMSQYGVVIISKKSKR -YTMCTYNQFLTWKDLALSRFNANYVVWLSNVLNTLNEGLGLRCRLKGHLLSKLYISTDIFLSSTSNEFYN -VVKEFEGFIMSLILKQTEEALFSTRFYNNMLNNLIDAIDRARLEYLARCANSAARINLPSTDVMIASLGD -ILSLINVLGESNLNNLSELYFIFRIFGHPMVDERKAMDAVRDNCCETKFLTAKNLASLRGAYVYRIIKGF -VANYNRWPYIKTRVCLTPTWINYLDTNSCPSLLEMTEDDFIVLAGVHFIREFHIPKLTDLEIILNDKAIS -PPKSLIWSCFPKNYIPQVIQDEYARRYCRAKAPLKTRRVLEFYLQDKDFKLDQLHRVVVNQDYLNDKEHI -ISLTGKERELGVGRMFAMQPGKQRQVQILAEKLLADNILQFFPETLTRYGDLELQKILELKAGLSNKNDR -SKDSYNNYISRCSLITDLSKFNQAFRYESSCVCSDLLDELHGTQSLFSWLHLTVPLTTIMCTYRHAPPDT -GNNYNVDDIAEQSGLYRYHMGGIEGWCQKLWTTEAIALLDTVAVKGRFQLTSLINGDNQSIDISKPTRLG -TRTQSEADYDLAINSLRLISAAYKGIGHKLKEGETYLSRDMQFMSKTIQHEGVYYPASIKKILRVGPWIN -TILDDIKTSTESIGSLTQELEYKGESLMSSLLLRNFWLYRLYSVDLKDHSLCGKQLYRSLIKVLKHLKRC -FNLENLGECLELFLNVPMQFGGADPNVIYRSFYRRTPDFLTESITHLILILKHFRRDLEFNKDNVSKAVL -SLLEFTKNDSAEFVTLMRDPQAIGSERQAKITSDINRTAVTSVLSNAPNEIFRTSALHYSSTENELNGIA -SGVSPVYPHGLRVLYESLPFYKAEKIVNMVSGTKSITNILEKTSAISYTDIIRATNMMVENLTLLTRIMK -PGADTSLDPDTIVITILSKIIRDKSWDVGDIIGVTSPSPVSCFKVVYTSTLQNNSVVIERYTTDTYTRGK -RGPTKPWVGSSTQEKKSMPVYNRQVLTRGQRDQIENIAKLEWVFSSVANIDSLLNELSTMTLGLSLRKCR -QLFPTYLSLNFLHRLSVSSRPREYPSSLPAYRTTNFHFDTGPINKVLTERFGDEDINLVFQNAISYGLST -MSLVEQFTGVCPNKVLLVPKLQEIQLMKVPIFQGGFNLQSIIPIIRQQHMFLPNHITPAQYIELFLSSKQ -FHSRINLKHNNRFKLVLQKDYFNGENMIETLSTCLAGHWIIILMLMKESQGIFDKEWYDGFVTDHMFLDL -QLFLSSFKTFLTVFNFAYLKVGSNIEEITGNQANLLELLDLGYWKNMYKVFSETKVRLALLKQDLSFNSV -KNSSSFRHWFINSLQEVQCTSVPWVVNVTRNPTHLKGVLQYMKMIESGMIQGYSANISSVLSIPYNYPDM -AHMMTKIIRNRGHMSYDYPKMKKSLTFSMTDMSDSYMLNLFPKVECSYMSGYLDKLDDTLLLLKKPPVGR -KVPSVALPWHHCNRYNFVFSSTGCKVSVIDMLPKHFQRSNLKVICFIGEGAGNLMLRAVLEVGGNIKLIY -RSLKDPDDHHVPVEFLRLKPCYPYIDTGGSLSLASTDATNKAHWDYLHLHWTDPLNLIVCDAEISGVKHW -LKILHRWYEHMTSCKHCLKSEHDKYLIIKYHAQDDLIDLPHGVRLLKCNICLGSKLSGSESYLLIGLGLS -NKLPVYSEVLHSKLLLAECHQFHHPKYLDVSGINTNIKSLIPMLDYPITYNKITTLLESVRELSSNKNKN -TMWIGRNPVYHNKWLKRKYFNILKWLKYCIELPAFRMDYNSFERIEMLYPNLRDLVDSVSTSELKKVIKV -TGILFRSNTM - ->NP_056866.1 polymerase protein [Human orthopneumovirus] -MDPIINGNSANVYLTDSYLKGVISFSECNALGSYLFNGPYLKNDYTNLISRQSPLLEHMNLKKLTITQSL -ISRYHKGELKLEEPTYFQSLLMTYKSMSSSEQIATTNLLKKIIRRAIEISDVKVYAILNKLGLKEKDRVK -PNNNSGDENSVLTTIIKDDILSAVESNQSYTNSDKNHSVNQNITIKTTLLKKLMCSMQHPPSWLIHWFNL -YTKLNNILTQYRSNEVKSHGFILIDNQTLSGFQFILNQYGCIVYHKGLKKITTTTYNQFLTWKDISLSRL -NVCLITWISNCLNTLNKSLGLRCGFNNVVLSQLFLYGDCILKLFHNEGFYIIKEVEGFIMSLILNITEED -QFRKRFYNSMLNNITDAAIKAQKNLLSRVCHTLLDKTVSDNIINGKWIILLSKFLKLIKLAGDNNLNNLS -ELYFLFRIFGHPMVDERQAMDAVRINCNETKFYLLSSLSTLRGAFIYRIIKGFVNTYNRWPTLRNAIVLP -LRWLNYYKLNTYPSLLEITENDLIILSGLRFYREFHLPKKVDLEMIINDKAISPPKDLIWTSFPRNYMPS -HIQNYIEHEKLKFSESDRSRRVLEYYLRDNKFNECDLYNCVVNQSYLNNSNHVVSLTGKERELSVGRMFA -MQPGMFRQIQILAEKMIAENILQFFPESLTRYGDLELQKILELKAGISNKSNRYNDNYNNYISKCSIITD -LSKFNQAFRYETSCICSDVLDELHGVQSLFSWLHLTIPLVTIICTYRHAPPFIKDHVVNLNEVDEQSGLY -RYHMGGIEGWCQKLWTIEAISLLDLISLKGKFSITALINGDNQSIDISKPVRLIEGQTHAQADYLLALNS -LKLLYKEYAGIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSLESIGS -LTQELEYRGESLLCSLIFRNIWLYNQIALQLRNHALCNNKLYLDILKVLKHLKTFFNLDSIDMALSLYMN -LPMLFGGGDPNLLYRSFYRRTPDFLTEAIVHSVFVLSYYTGHDLQDKLQDLPDDRLNKFLTCVITFDKNP -NAEFVTLMRDPQALGSERQAKITSEINRLAVTEVLSIAPNKIFSKSAQHYTTTEIDLNDIMQNIEPTYPH -GLRVVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDTTDINRATDMMRKNITLLIRILPLDCNKDKRE -LLSLENLSITELSKYVRERSWSLSNIVGVTSPSIMFTMDIKYTTSTIASGIIIEKYNVNSLTRGERGPTK -PWVGSSTQEKKTMPVYNRQVLTKKQRDQIDLLAKLDWVYASIDNKDEFMEELSTGTLGLSYEKAKKLFPQ -YLSVNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINHVLTEKYGDEDIDIVFQNCISFGLSLMSVVE -QFTNICPNRIILIPKLNEIHLMKPPIFTGDVDIIKLKQVIQKQHMFLPDKISLTQYVELFLSNKALKSGS -NINSNLILVHKMSDYFHNAYILSTNLAGHWILIIQLMKDSKGIFEKDWGEGYITDHMFINLNVFFNAYKT -YLLCFHKGYGKAKLECDMNTSDLLCVLELIDSSYWKSMSKVFLEQKVIKYIVNQDTSLHRIKGCHSFKLW -FLKRLNNAKFTVCPWVVNIDYHPTHMKAILSYIDLVRMGLINVDKLTIKNKNKFNDEFYTSNLFYISYNF -SDNTHLLTKQIRIANSELEDNYNKLYHPTPETLENISLIPVKSNNSNKPKFCISGNTESIMMSTFSNKMH -IKSSTVTTRFNYSKQDLYNLFPNVVIDRIIDHSGNTAKSNQLYITTSHQTSLVRNSASLYCMLPWHHVNR -FNFVFSSTGCKISIEYILKDLKIKDPSCIAFIGEGAGNLLLRTVVELHPDIRYIYRSLKDCNDHSLPIEF -LRLYNGHINIDYGENLTIPATDATNNIHWSYLHIKFAEPISIFVCDAELPVTANWSKIIIEWSKHVRKCK -YCSSVNRCILIAKYHAQDDIDFKLDNITILKTYVCLGSKLKGSEVYLVLTIGPANILPVFDVVQNAKLIF -SRTKNFIMPKKTDKESIDANIKSLIPFLCYPITKKGIKTSLSKLKSVVNGDILSYSIAGRNEVFSNKLIN -HKHMNILKWLDHVLNFRSAELNYNHLYMIESTYPYLSELLNSLTTNELKKLIKITGSVLYNLPNEQ - ->YP_009513273.1 RNA-dependent RNA polymerase [Human metapneumovirus] -MDPLNESTVNVYLPDSYLKGVISFSETNAIGSCLLKRPYLKNDNTAKVAIENPVIEHVRLKNAVNSKMKI -SDYKIVEPVNMQHEIMKNVHSCELTLLKQFLTRSKNISTLKLNMICDWLQLKSTSDDTSILSFIDVEFIP -SWVSNWFSNWYNLNKLILEFRKEEVIRTGSILCRSLGKLVFVVSSYGCIVKSNKSKRVSFFTYNQLLTWK -DVMLSRFNANFCIWVSNSLNENQEGLGLRSNLQGILTNKLYETVDYMLSLCCNEGFSLVKEFEGFIMSEI -LRITEHAQFSTRFRNTLLNGLTDQLTKLKNKNRLRVHGTVLENNDYPMYEVVLKLLGDTLRCIKLLINKN -LENAAELYYIFRIFGHPMVDERDAMDAVKLNNEITKILRWESLTELRGAFILRIIKGFVDNNKRWPKIKN -LKVLSKRWTMYFKAKSYPSQLELSEQDFLELAAIQFEQEFSVPEKTNLEMVLNDKAISPPKRLIWSVYPK -NYLPEKIKNRYLEETFNASDSLKTRRVLEYYLKDNKFDQKELKSYVVKQEYLNDKDHIVSLTGKERELSV -GRMFAMQPGKQRQIQILAEKLLADNIVPFFPETLTKYGDLDLQRIMEIKSELSSIKTRRNDSYNNYIARA -SIVTDLSKFNQAFRYETTAICADVADELHGTQSLFCWLHLIVPMTTMICAYRHAPPETKGEYDIDKIEEQ -SGLYRYHMGGIEGWCQKLWTMEAISLLDVVSVKTRCQMTSLLNGDNQSIDVSKPVKLSEGLDEVKADYSL -AVKMLKEIRDAYRNIGHKLKEGETYISRDLQFISKVIQSEGVMHPTPIKKILRVGPWINTILDDIKTSAE -SIGSLCQELEFRGESIIVSLILRNFWLYNLYMHESKQHPLAGKQLFKQLNKTLTSVQRFFEIKKENEVVD -LWMNIPMQFGGGDPVVFYRSFYRRTPDFLTEAISHVDILLRISANIRNEAKISFFKALLSIEKNERATLT -TLMRDPQAVGSERQAKVTSDINRTAVTSILSLSPNQLFSDSAIHYSRNEEEVGIIADNITPVYPHGLRVL -YESLPFHKAEKVVNMISGTKSITNLLQRTSAINGEDIDRAVSMMLENLGLLSRILSVVVDSIEIPTKSNG -RLICCQISRTLRETSWNNMEIVGVTSPSITTCMDVIYATSSHLKGIIIEKFSTDRTTRGQRGPKSPWVGS -STQEKKLVPVYNRQILSKQQREQLEAIGKMRWVYKGTPGLRRLLNKICLGSLGISYKCVKPLLPRFMSVN -FLHRLSVSSRPMEFPASVPAYRTTNYHFDTSPINQALSERFGNEDINLVFQNAISCGISIMSVVEQLTGR -SPKQLVLIPQLEEIDIMPPPVFQGKFNYKLVDKITSDQHIFSPDKIDMLTLGKMLMPTIKGQKTDQFLNK -RENYFHGNNLIESLSAALACHWCGILTEQCIENNIFKKDWGDGFISDHAFMDFKIFLCVFKTKLLCSWGS -QGKNIKDEDIVDESIDKLLRIDNTFWRMFSKVMFESKVKKRIMLYDVKFLSLVGYIGFKNWFIEQLRSAE -LHEVPWIVNAEGDLVEIKSIKIYLQLIEQSLFLRITVLNYTDMAHALTRLIRKKLMCDNALLTPIPSPMV -NLTQVIDPTEQLAYFPKITFERLKNYDTSSNYAKGKLTRNYMILLPWQHVNRYNFVFSSTGCKVSLKTCI -GKLMKDLNPKVLYFIGEGAGNWMARTACEYPDIKFVYRSLKDDLDHHYPLEYQRVIGELSRIIDSGEGLS -METTDATQKTHWDLIHRVSKDALLITLCDAEFKDRDDFFKMVILWRKHVLSCRICTTYGTDLYLFAKYHA -KDCNVKLPFFVRSVATFIMQGSKLSGSECYILLTLGHHNNLPCHGEIQNSKMKIAVCNDFYAAKKLDNKS -IEANCKSLLSGLRIPINKKELNRQRRLLTLQSNHSSVATVGGSKVIESKWLTNKANTIIDWLEHILNSPK -GELNYDFFEALENTYPNMIKLIDNLGNAEIKKLIKVTGYMLVSKK - ->YP_009513228.1 L [Avian metapneumovirus] -MEISDESVVNVYLPDSYLKGVISFSETNAIGSCVLNRPYIKDDYTAHVAMTNPVIEHQRLRALFKSLTIS -REYRVVEPLMIQKELLKVAAGARLKKLKKWLGRSKDISEVKLKMVTDWLKLSQTPGRGKIIDRIQVENLP -DWLEHWFDSWLILNDVIQSYRCLEVSQTSAILRKSSLNFFFAVSSFGCIIISRKSRRICFCTYNQLLTWK -DLALSRFNANLCVWVSNCLNSAQDGLGLRSKLVGELLNRLYCETDELLSITGNEGYGIVKEFEGFIMSEI -LRMTEHAQFSVRFRNTLLNGIADKIGKMRAAYRRRTQNTSVEHHRYPGEHEMLEATGRVLRIIKLLVNNE -IANAAEMYFIFRIFGHPMVEEREAMDAVRDNSETAKILSLVALTEMRGAFILRVIKGFVGNYKRWPKIKN -PSTLSRRWKMYMNAKTYPSNLELCVEDFLELAGISFCQEFYVPSQTSLEMVLNDKAISPPKSLIWSVYPR -NYLPQNIQDRFRLESLDRLEHERTRRVLEFYLKDANFKQSDLKKYVVGQEYLNDKEHVVSLTGKERELSV -GRMFAMQPGKQRQVQILAEKLLSDNIVPFFPETLTRYGDLELQRIMELKSELSSTKKRKSDSYNNYIARA -SIVTDLSKFNQAFRYETTSVCADVADELHGTQSLFCWLHLTVSSTTMICTYRHAPPDTGGIYDIDQIPEQ -SGLYRFHMGGIEGWCQKMWTMEAISLLDVVSVRNRVQLTSLLNGDNQSIDVSKPVRLTGAQTEIQADYSL -AIKMLTAVRDAYYNIGHKLKEGETYVSRDLQFMSKTIQSEGVMYPAAIKKVLRVGPWINTILDDIKTSME -AIGSLCQELEFRGESFVTSLIVRNFWLYIQCFVEAKDHSLAGAQISNELNRTLTKVKRFFRLADESSTVN -LFMNIPMQLGGGDPVVVYRSFYRRTPDFLTEVLTHMELLLTAIEMDRGVYMNFFLTLLNTCKNDRATLTT -LMRDPQAIGSERQAKVTSEINRTAVTSVLSLAPNPLFSDSAVHFSQNEEEIGTVMEDISPVYPHGLRVVY -EAFPFHKAEKVVNMIAGTKSITNILQRTSAISGVDIDRAVHMMLLNLGLLGRILESGPITDTIDLRSNNR -ILCCQLSKRIREMSWDGLEIVGVSSPSMLSSLDINYVTIAQKPGIIVEKFSAEKTTRGKRGPKAPWVGSS -TQEKKLVAVYNRQALSKEQKDQLETIGKIRWVYKGVTGLRRLLNLICLGTLGLPYKLLKPLLPRFMSVNF -LHRLAVSSRPMEFPSSVPAYRTTNFHFDTSPINKRLSERFGNEDINLVFQNAISCGISVMCVVEQLTGRS -PKQVLLEPIVEDIDIMSAPNFQGHLNFKSVRKIVTDQHIFNPDHIDLVMLGKLLLPTVRSNINNNKPATE -NFFNGNNIVEALTSCLACHWCTVLILLTTENSIFQKEWGDGFITDHAFINFTWFLMSFKTYLLCHWQTEK -NKTLGIVEDPIDRLIRVDNSFWRMMSKVFLEPKVKRRLMLYDTSVLNVLGSISFKNWFVSRLRTVDYMEI -PWIVNAEGDIVEQKPVTEYLKIMAAGVHVKVIMLSYSDMAHAMTRLLRCKNMQDNVPTIKKTVSPTDVTP -SVDPTRALLLFPKVIFSKLTTFNATCSTAKQSGSNLTKNYMTLMPWHHINRYNFVHSSTGCKVSVKGCIG -KLITKLELRIIYFIGEGAGNLMSRTACEYPNLKFVYRSLKDANDHHHPTEYVRVMGSISRIIDLGEGLAM -EATDATKREHWDFIHRVCKDPLLLTICDAELKNMEDVLHLVIQWRKHVLSCNICTSYGTNVYMFVKYHAQ -SEAKKLPHFVRVVSIYIMQGSKLSGSECYMLLTLGHQNMAPCYGEVRSAKSIMALTSTFKHPCRLDKSAI -EANLKSLAPGLTLPLSEPAMQKYLLDLSSLSGIKNTGATIGGSKVVEKKWALCKVSNIVKWLSHVLKMPK -GDLNYDFFEVIENTYPDMVKLLDNLNPSELKKLVKVTGYILGTK - ->NP_044598.1 Polymerase (L) [Respiratory syncytial virus] -MDPIINGNSANVYLTDSYLKGVISFSECNALGSYIFNGPYLKNDYTNLISRQNPLIEHINLKKLNITQSL -MSKYHKGEIKIEEPTYFQSLLMTYKSMTSLEQITTTNLLKKIIRRAIEISDVKVYAILNKLGLKEKDKIK -SNNGQDEDNSVITTIIKDDILLAVKDNQSHLKAVKNHSTKQKDTIKTTLLKKLMCSMQHPPSWLIHWFNL -YTKLNNILTQYRSSEVKNHGFILIDNHTLNGFQFILNQYGCIVYHKELKRITVTTYNQFLTWKNISLSRL -NVCLITWISNCLNTLNKSLGLRCGFNNVILTQLFLYGDCILKLFHNEGFYIIKEVEGFIMSLILNITEED -QFRKRFYNSMLNNITDAANKAQKNLLSRVCHTLLDKTVSDNIINGRWIILLSKFLKLIKLAGDNNLNNLS -ELYFLFRIFGHPMVDERQAMDAVKVNCNETKFYLLSSLSMLRGAFIYRIIKGFVNNYNRWPTLRNAIVLP -LRWLTYYKLNTYPSLLELTERDLIVLSGLRFYREFRLPKKVDLEMIINDKAISPPKNLIWTSFPRNYMPS -HIQNYIEHEKLKFSESDKSRRVLEYYLRDNKFNECDLYNCVVNQSYLNNPNHVVSLTGKERELSVGRMFA -MQPGMFRQVQILAEKMIAENILQFFPESLTRYGDLELQKILELKAGISNKSNRYNDNYNNYISKCSIITD -LSKFNQAFRYETSCICSDVLDELHGVQSLFFWLHLAIPHVTIICTYRHAPPYIRDHIVDLNNVDEQSGLY -RYHMGGIEGWCQKLWTIEAISLLDLISLKGKFSITALINGDNQSIDISKPVRLMEGQTHAQADYLLALNS -LKLLYKEYAGIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSLESIGS -LTQELEYRGESLLCSLIFRNVWLYNQIALQLKNHALCNNKLYLDILKVLKHLKTFFNLDNIDTALTLYMN -LPMLFGGGDPNLLYRSFYRRTPDFLTEAIVHSVFILSYYTNHDLKDKLQDLSDDRLNKFLTCIITFDKNP -NAEFVTLMRDPQALGSERQAKITSEINRLAVTEVLSTAPNKIFSKSAQHYTTTEIDLNDIMQNIEPTYPH -GLRVVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDLTDIDRATEMMRKNITLLIRIFPLDCNRDKRE -ILSMENLSITELSKYVRERSWSLSNIVGVTSPSIMYTMDIKYTTSTIASGIIIEKYNVNSLTRGERGPTK -PWVGSSTQEKKTMPVYNRQVLTKKQRDQIDLLAKLDWVYASIDNKDEFMEELSIGILGLTYEKAKKLFPQ -YLSVNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINRILTEKYGDEDIDIVFQNCISFGLSLMSVVE -QFTNVCPNRIILIPKLNEIHLMKPPIFTGDVDIHKLKQVIQKQHMFLPDKISLTQYVELFLSNKTLKSGS -HVNSNLILAHKISDYFHNTYILSTNLAGHWILIIQLMKDSKGIFEKDWGEGYITDHMFINLKVFFNAYKT -YLLCFHKGYGRAKLECDMNTSDLLCVLELIDSSYWKSMSKVFLEQKVIKYILSQDASLHRVKGCHSFKLW -FLKRLNVAEFTVCPWVVNIDYHPTHMKAILTYIDLVRMGLINIDKIYIKNKHKFNDEFYTSNLFYINYNF -SDNTHLLTKHIRIANSELENNYNKLYHPTPETLENILTNPVKCNDKKTLNDYCIGKNVDSIMLPLLSNKK -LIKSPTMIRTNYSKQDLYNLFPTVVIDKIIDHSGNTAKSNQLYTTTSHQIPLVHNSTSLYCMLPWHHINR -FNFVFSSTGCKISIEYILKDLIIKDPNCIAFIGEGAGNLLLRTVVELHPDIRYIYRSLKDCNDHSLPIEF -LRLYNGHINIDYGENLTIPATDATNNIHWSYLHIKFAEPISLFVCDAELPVTVNWSKIIIEWSKHVRKCK -YCSSVNKCTLIVKYHAQDDIDFKLDNITILKTYVCLGSKLKGSEVYLVLTIGPANVFPVFNVVQNAKLIL -SRTKNFIMPKKADKESIDANIKSLIPFLCYPITKKGINTALSKLKSVVSGDILSYSIAGRNEVFSNKLIN -HKHMNILKWFNHVLNFRSTELNYNHLYMVESTYPYLSELLNSLTTNELKKLIKITGSLLYNFHNE - ->sp|Q2Y2L8.2|L_AMPV1 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDPLNEGVVNVYLTDSYLKGVISFSETNAIGSCLLGKHYLKKDNTSKVAIESPVVEHIRLRNAFQTRIKE -KNLRVVEPVNMQSEVMRNSYTCELNLLKQLITRSKDISSLKLDMICDWLQLKSTSENPSVLKFVDVRCIP -DWVSTWFSSWYNLNKLILEFRREEVACTGSIICKTIGSIMFIISSFGCVIKSNKSKRISFMTYNQVLTWK -DVMLSRFNANLCVWISNSLNKNQEGLGLRSNLQGALVNKLYEIVDSMLSVCSNEGFTLVKEFEGFIMSEI -LKITEHAQFSTRFRNTLLNGLVDQLAKMRGLNRKRVSGTVLEGNQYPMYETTLATLGGALKTIRLLVNKN -LDNAAELYYIFRIFGHPMVEEREAMDAVRLNNEITKILKLESLTELRGAFILRIIKGFVDTNKRWPKIKN -LKVLSRRWIMYFKAKSYPSQLELSSQDFLELAGVQFEQEFAIPERTNLEMVLNDKAISPPKNLIWSVFPK -NYLPTNIRERFTEEMFNSSEKLKTRRVLEYYLKDNKFDQNDLKKYVVRQEYLGDKEHVVSLTGKERELSV -GRMFAMQPGKQRQVQILAEKLLADNIVPFFPETLTKYGDLELQRIMEIKSELSSVKSRRNDSYNNYIARA -SIVTDLSKFNQAFRYETSSVCADVVDELHGTQSLFCWLHLTVPLTTMICTYRHAPPETEGVYDIDKIKEQ -SGLYRFHMGGIEGWCQKLWTMEAVSLLDVVSVKNRVQLTSLLNGDNQSIDVSKPVRLSQGVDEVKADYSL -AVKMLKEIRNAYKDIGHKLKEGETYISRDLQFMSKVIQSEGVMHPSPIKKILRVGPWINTILDDIKTSAE -SIGSLCQELEFRGESLLVSLILRNFWLYELWMHESKSHPLAGKQLYRQLSKTLAITQKFFGITKETDVVN -LWMNVPMQFGGGDPVVLYRSFYRRTPDFLTEAVSHMSVLLKVYGKAKEGSKKDFFKALLSVDKNKRATLT -TLMRDPQAVGSERQARVTSEINRAAVTSVLSLSPNQLFCDSAIHYSRNEEEVGLIAQNITPVYPHGLRVL -YESLPFHKAEKVVNMISGTKSITNLLQRTSAINGEDIDRAVSMMLENLGLLSRILSVCQDDITLPTKANG -DLICCQVSRTLRERSWDNMEIVGVTSPSIVTCMNIVYSSSSQLKGITIEKFSTDKTTRGQRGPKSPWVGS -STQEKKLVPVYNRQILSKQQKEQLEAIGKLRWVYKGTQGLRRLLDKICIGSLGISYKNVKPLLPRFMSVN -FLHRLSVSSRPMEFPASVPAYRTTNYHFDTSPVNQTLSERFGNEDINLVFQNAISCGISVMSVVEQLTGR -SPKQLVMIPQLEEIDIMPPPVFLGKFDYKLVEKISSDQHIFSPDKLDLVTLGKMLMPSTSGAKSDQFWNR -KENFFHGNNLVESLSAALACHWCGILTEQCNENNIFRREWGDGFVTDHAFIDFKTFVGVFKTKLLCGWGS -RGGDIKDRDMIDESIDKLIRVDNSFWRMFSKVILEPKVRKRVMLFDVKILSLVGYAGFKNWFIDHLRSSD -LCEVPWVVNADSEIVEVSAVKIYLQLLRVSSPLRITVLNYSDMAHAITRLIRRKSMHDNVPSISRTLSPA -ELAPVVEPTVQMNLFPKITFERLKNYETVSGSTRGKLTRNYMVMLPWQHINRFNFVFSSTGCKISVKACI -GRLIQDLNPTVFYFVGEGAGNWMARTACEYPNAKFVYRSLKDDLDHHFPLEFQRVLGNMNRVIDGGEGLS -MDTTDATQKTHWDLIHRICKDALLITLCDAEFKDRDDFFKMVTLWRKHVLSCRICTTYGTDLYLFAKYHA -KEQSIKLPYFVRSIATYVMQGSKLSGSECYVLLTLGHHNNLPCHGEVQSSKLKLAVCNDFSIPRKVEVKA -VEANCKSLLSGLRTPINRAELDRQKKMLTLRSYHSSVATVGGSRVIESKWLSKKATTIIEWLEHILNSPK -GELNYDFFEALENTYPNMVKLLDNLGSAELKKLIKVTGYMLMSKK - ->sp|Q6WB93.1|L_HMPVC RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDPLNESTVNVYLPDSYLKGVISFSETNAIGSCLLKRPYLKNDNTAKVAIENPVIEHVRLKNAVNSKMKI -SDYKVVEPVNMQHEIMKNVHSCELTLLKQFLTRSKNISTLKLNMICDWLQLKSTSDDTSILSFIDVEFIP -SWVSNWFSNWYNLNKLILEFRREEVIRTGSILCRSLGKLVFIVSSYGCIVKSNKSKRVSFFTYNQLLTWK -DVMLSRFNANFCIWVSNSLNENQEGLGLRSNLQGMLTNKLYETVDYMLSLCCNEGFSLVKEFEGFIMSEI -LRITEHAQFSTRFRNTLLNGLTDQLTKLKNKNRLRVHSTVLENNDYPMYEVVLKLLGDTLRCIKLLINKN -LENAAELYYIFRIFGHPMVDERDAMDAVKLNNEITKILRLESLTELRGAFILRIIKGFVDNNKRWPKIKN -LKVLSKRWTMYFKAKNYPSQLELSEQDFLELAAIQFEQEFSVPEKTNLEMVLNDKAISPPKRLIWSVYPK -NYLPETIKNRYLEETFNASDSLKTRRVLEYYLKDNKFDQKELKSYVVRQEYLNDKEHIVSLTGKERELSV -GRMFAMQPGKQRQIQILAEKLLADNIVPFFPETLTKYGDLDLQRIMEIKSELSSIKTRRNDSYNNYIARA -SIVTDLSKFNQAFRYETTAICADVADELHGTQSLFCWLHLIVPMTTMICAYRHAPPETKGEYDIDKIEEQ -SGLYRYHMGGIEGWCQKLWTMEAISLLDVVSVKTRCQMTSLLNGDNQSIDVSKPVKLSEGLDEVKADYRL -AVKMLKEIRDAYRNIGHKLKEGETYISRDLQFISKVIQSEGVMHPTPIKKVLRVGPWINTILDDIKTSAE -SIGSLCQELEFRGESIIVSLILRNFWLYNLYMHESKQHPLAGKQLFKQLNKTLTSVQRFFEIKRENEVVD -LWMNIPMQFGGGDPVVFYRSFYRRTPDFLTEAISHVDILLKISANIKNETKVSFFKALLSIEKNERATLT -TLMRDPQAVGSERQAKVTSDINRTAVTSILSLSPNQLFSDSAIHYSRNEEEVGIIAENITPVYPHGLRVL -YESLPFHKAEKVVNMISGTKSITNLLQRTSAINGEDIDRAVSMMLENLGLLSRILSVVVDSIEIPIKSNG -RLICCQISRTLRETSWNNMEIVGVTSPSITTCMDVIYATSSHLKGIIIEKFSTDRTTRGQRGPKSPWVGS -STQEKKLVPVYNRQILSKQQREQLEAIGKMRWVYKGTPGLRRLLNKICLGSLGISYKCVKPLLPRFMSVN -FLHRLSVSSRPMEFPASVPAYRTTNYHFDTSPINQALSERFGNEDINLVFQNAISCGISIMSVVEQLTGR -SPKQLVLIPQLEEIDIMPPPVFQGKFNYKLVDKITSDQHIFSPDKIDMLTLGKMLMPTIKGQKTDQFLNK -RENYFHGNNLIESLSAALACHWCGILTEQCIENNIFKKDWGDGFISDHAFMDFKIFLCVFKTKLLCSWGS -QGKNIKDEDIVDESIDKLLRIDNTFWRMFSKVMFEPKVKKRIMLYDVKFLSLVGYIGFKNWFIEQLRSAE -LHEIPWIVNAEGDLVEIKSIKIYLQLIEQSLFLRITVLNYTDMAHALTRLIRKKLMCDNALLTPISSPMV -NLTQVIDPTTQLDYFPKITFERLKNYDTSSNYAKGKLTRNYMILLPWQHVNRYNFVFSSTGCKVSLKTCI -GKLMKDLNPKVLYFIGEGAGNWMARTACEYPDIKFVYRSLKDDLDHHYPLEYQRVIGELSRIIDSGEGLS -METTDATQKTHWDLIHRVSKDALLITLCDAEFKDRDDFFKMVILWRKHVLSCRICTTYGTDLYLFAKYHA -KDCNVKLPFFVRSVATFIMQGSKLSGSECYILLTLGHHNSLPCHGEIQNSKMKIAVCNDFYAAKKLDNKS -IEANCKSLLSGLRIPINKKELDRQRRLLTLQSNHSSVATVGGSKIIESKWLTNKASTIIDWLEHILNSPK -GELNYDFFEALENTYPNMIKLIDNLGNAEIKKLIKVTGYMLVSKK - ->CDN30042.1 RNA polymerase [Avian metapneumovirus type D] -MDAPNESAVNVYLPDSYLKGVISFSETNALGSCILNVPYIKDDFTAHVAMTNAVLEHSRMRSLYRSLTIN -RDYVIVEPLVLQNELLKIASGGKLKKLKKWLGRSKDISEIKLRMIAEWLKLAQTPGRGKIMDCIQVDNLP -EWLEHWFDSWMILNEVVQQYRCLEVAQTGAILRKNCFNFFFAVSSFGCIIISRKSKRICFCTYNQLLTWK -DLALSRFNANLCVWVSNCLNSLQDGLGLRSKLVGELLNRLYCETDELLSMTGNEGYGVVKEFEGFIMSEI -LRMTEHAQFSLRFRNTLLNGIAEKIGKLKNTFRKRAVNTSIEYHCYPSEEQLLEHTGRILKLIRLLVNND -KSNAAEMYFIFRIFGHPMVEEREAMDAVRENSEVTKILSLRALAEMRGAFILRVIKGFVGNYKRWPRIKN -PSTLSRRWRMYLSARTYPSNLELCPDDFLELAGVSFCQEFQVPNQTSLEMVLNDKAISPPKSLIWSVYPK -NYLPNNIQERFRLESLEKPEHEKTRRVLEFYLKDSTFKQDDLKKYVVLQKYLGDKEHVVSLTGKERELSV -GRMFAMQPGKQRQVQILAEKLLAENIVPFFPETLTRYGDLELQRIMELKSELSSTKTRRSDSYNNYIARA -SIVTDLSKFNQAFRYETTAVCADVADELHGTQSLFCWLHLTVSATTMICTYRHAPPDTGGEYDIDNIPEQ -SGLYRYHMGGIEGWCQKMWTMEAISLLDVVSVKNRVQLTSLLNGDNQSIDVSKPVRLTGTQTEIQADYSL -AIKMLTAVRDAYYDIGHKLKEGETYVSRDLQFMSKTIQSEGVMYPAAIKKVLRVGPWINTILDDIKTSME -AIGSLCQELEFRGESLMTSVIIRNFWLYIQCFVEAQNHSLAGGQVSGELNRTLTKVMRFFKLKNETSTVN -LYMNTPMQLGGGDPVVVYRSFYRRTPDFLTEVITHMELLLTAIKIDRGVYMNFFLTLLNTCKNDKATLTT -LMRDPQAIGSERQAKITSEINRTAVTSVLSLAPNQLFSDSAVHFSQNEEEIGTVMSRVTPVYPHGLRVVY -EAFPFHKAEKVVNMIAGTKSITNILQRTSAISGVDIDRAVHMMILNLGLLGRILESGPVTDNIELRGNNR -VLCCQLSKKIRESSWDNLEIVGVSSPSMLSCLDVNYVTVAQHPGILVEKFTAERTTRGKRGPKAPWVGSS -TQEKKLVAVYNRQALSKDQRDQLETIGKIRWVYKGVTGLRRLLNMICHGTLGLPYKLLKPLLPRFMSVNF -LHRLAVSSRPMEFPSSVPAYRTTNFHFDTSPINKKLSERFGNEDINLVFQNAISCGISVMCVVEQLTGRS -PKQVILEPVVEDIDIMSAPNFQGRLDYKSVKKIVSDQHIFNPDHISLMMLGKLLLPTIKNNLSGDRDRMH -TENFFTGNNIVEVLTCCLACHWCTVLILLTTENSIFQKEWGDGFITDHAFLNFDWFLMSFKTYLLCHWST -DEINAAGMAEDPIDRLIRVDNSYWRMMSKVFLEPKVKRRLMLYDTSIVNILGSLSFKNWFVSKLRFVDYT -EIPWIVNAEGDIVERRSVGEYLRIMTAETPVKVIMLSYSDMAHAMTRLLRCKNMQDNVPTLKKTLSPSDI -TPSTDPTRALLLFPKIHFSKLTTFNAACANVKQGISSMSKNYMTLLPWHHVNRYNFVHSSTGCKVSVKSC -IGKLVAKLDIRVIYFVGEGAGNLMSRTACEYPGMKFVYRSLKDANDHHHPTEYVRVMGSINRVIDIGEGL -AMEATDATRREHWDFIHRISKEPLLLTVCDAELKDTKSVLTLIIQWRKHVLSCQICTSYGTNVYMFVKFH -AQSEVCKLPHFVRIVSIYVMQGSKLSGSECYLLISLGHQNSAPCYGEVRAAKAIMALANTYKNPYRLDKS -AVETNLKSLAPGLSIPLREEALSHYLNELSSLSGLRNTGATIGGSKIVEKKWAACKVSNVVRWLSHVLRM -PKGELNYDFFEVVENTYPNMVQLLDNLNPSELKKLVKVTGYILSIK ->AHX57397.1 RNA-directed RNA polymerase L [Human orthopneumovirus] -MDPIINGNSANVYLTDSYLKGVISFSECNALGSYIFNGPYLKNDYTNLISRQNPLIEHINLKKLNITQSL -ISKYHKGEIKIEEPTYFQSLLMTYKSMTSSEQIATTNLLKKIIRRAIEISDVKVYAILNKLGLKEKEKDK -IKSNNEQDENNSVITTIIKDDILLAVKDNQSHLKAGKNHSTKQKDTIKTTLLKKLMCSMQHPPSWLIHWF -NLYTKLNNILTQYRSNEVKNHGFILIDNHTLNGFQFILNQYGCIVYHKDLKRITVTTYNQFLTWKDISLS -RLNVCLITWISNCLNTLNKSLGLRCGFNNVILTQLFLYGDCILKLFHNEGFYIIKEVEGFIMSLILNITE -EDQFRKRFYNSMLNNITDAANKAQKNLLSRVCHTLLDKTVSDNIINGRWIILLSKFLKLIKLAGDNNLNN -LSELYFLFRIFGHPMVDERQAMDAVKVNCNETKFYLLSSLSMLRGAFIYRIIKGFVNNYNRWPTLRNAIV -LPLRWLTYYKLNTYPSLLELTERDLIVLSGLRFYREFRLPKKVDLEMIINDKAISPPKNLIWTSFPRNYM -PSHIQNYIEHEKLKFSESDKSRRVLEYYLRDNKFNECDLYNCVVNQSYLNNPNHVVSLTGKERELSVGRM -FAMQPGMFRQVQILAEKMIAENILQFFPESLTRYGDLELQKILELKAGISNKSNRYNDNYNNYISKCSII -TDLSKFNQAFRYETSCICSDVLDELHGVQSLFSWLHLTIPHATIICTYRHAPPYIRDHIVDLNNVDEQSG -LYRYHMGGIEGWCQKLWTIEAISLLDLISLKGKFSITALINGDNQSIDISKPVRLMEGQTHAQADYLLAL -NSLKLLYKEYAGIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSLESI -GSLTQELEYRGESLLCSLIFRNVWLYNQIALQLKNHALCNNKLYLDILKVLKHLKTFFNLDNIDTALTLY -MNLPMLFGGGDPNLLYRSFYRRTPDFLTEAIVHSVFILSYYTNHDLKDKLQDLSDDRLNKFLTCIITFNK -NPNAEFVTLMRDPQALGSERQAKITSEINRLAVTEVLSTAPNKIFSKSAQHYTTTEIDLNDIMQNIEPTY -PHGLRVVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDLTDIDRATEMIRKNITLLIRIFPLDCNRDK -REILSMENLSITELSKYVRERSWSLSNIVGVTSPSIMYTMDIKYTTSTIASGIIIEKYNVNSLTRGERGP -TKPWVGSSTQEKKTMPVYNRQVLTKKQRDQIDLLAKLDWVYASIDNKDEFMEELSIGTLGLTYEKAKKLF -PQYLSVNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINRILTEKYGDEDIDIVFQNCISFGLSLMSV -VEQFTNVCPNRIILIPKLNEIHLMKPPIFTGDVDIHKLKQVIQKQHMFLPDKISLTQYVELFLSNKTLKS -GSHVNSNLILAHKISDYFHNTYILSTNLAGHWILIIQLMKDSKGIFEKDWGEGYITDHMFINLKVFFNAY -KTYLLCFHKGYGRARLECDMNTSDLLCVLELIDSSYWKSMSKVFLEQKVIKYILSQDASLHRVKGCHSFK -LWFLKRLNVAEFTVCPWVVNIDYHPTHMKAILTYIDLVRMGLINIDRIYIKNKHKFNDEFYTSNLFYINY -NFSDNTHLLTKHIRIANSELENNYNKLYHPTPETLENILTNPVKCDDEKTLNDYCISKNVDSIMLPLLPN -KKLIKSSTTIRTNYSKQDLYNLFPTVVIDKIIDHSGNTAKSNQLYTTTSHQISLVHNSTSLYCMLPWHHI -NRFNFVFSSTGCKISIEYILKDLKIKDPSCIAFIGEGAGNLLLRTVVELHPDIRYIYRSLKDCNDHSLPI -EFLRLYNGHINIDYGENLTIPATDATNNIHWSYLHIKFAEPISLFVCDAELPVTVNWSKIIIEWSKHVRK -CKYCSSVNKCTLIVKYHAQDDIDFKLDNITILKTYVCLGSKLKGSEVYLVLTIGPANMFPVFNVVQNAKL -ILSRTKNFIMPKKADKESIDANIKSLIPFLCYPITKKGINTALSKLKSVVSGDILSYSIAGRNEVFSNKL -INHKHMNILKWFNHVLNFRSTELNYNHLYMVESTYPYLSELLNSLTTNELKKLIKITGSLLYNFHNE ->AII17600.1 RNA-dependent RNA polymerase [Human metapneumovirus] -MDPFCESTVNVYLPDSYLKGVISFSETNAIGSCLLKRPYLKNDNTAKVAVENPVVEHVRLRNAVMTKMKI -SDYKVVEPVNMQHEIMKNMHSCELTLLKQFLTRSKNISSLKLNMICDWLQLKSTSDNTSILNFIDVEFIP -VWVSNWFSNWYNLNKLILEFRREEVIRTGSILCRSLGKLVFIVSSYGCVVKSNKSKRVSFFTYNQLLTWK -DVMLSRFNANFCIWVSNNLNKNQEGLGLRSNLQGMLTNKLYETVDYMLSLCCNEGFSLVKEFEGFIMSEI -LKITEHAQFSTRFRNTLLNGLTEQLSVLKAKNRSRVLGTILENNNYPMYEVVLKLLGDTLKSIKLLINKN -LENAAELYYIFRIFGHPMVDEREAMDAVKLNNEITKILKLESLTELRGAFILRIIKGFVDNNKRWPKIKN -LKVLSKRWAMYFKAKSYPSQLELSVQDFLELAAVQFEQEFSVPEKTNLEMVLNDKAISPPKKLIWSVYPK -NYLPETIKNQYLEEAFNASDSQRTRRVLEFYLKDCNFDQKELKHYVIKQKYLNDKDHIVSLTGKERELSV -GRMFAMQPGKQRQIQILAEKLLADNIVPFFPETLTKYGDLDLQRIMEIKSELSSIKTRKNDSYNNYIARA -SIVTDLSKFNQAFRYETTAICADVADELHGTQSLFCWLHLIVPMTTMICAYRHAPPETKGEYDIDKIQEQ -SGLYRYHMGGIEGWCQKLWTMEAISLLDVVSVKTRCQMTSLLNGDNQSIDVSKPVKLSEGIDEVKADYSL -AIRMLKEIRDAYKNIGHKLKEGETYISRDLQFISKVIQSEGVMHPTPIKKILRVGPWINTILDDIKTSAE -SIGSLCQELEFRGESILVSLILRNFWLYNLYMYESKQHPLAGKQLFKQLNKTLTSVQRFFELKKENDVVD -LWMNIPMQFGGGDPVVFYRSFYRRTPDFLTEAISHVDLLLKVSNNIKDETKIRFFKALLSIEKNERATLT -TLMRDPQVVGSERQAKVTSDINRTAVTSILSLSPNQLFCDSAIHYSRNEEEVGIIADNITPVYPHGLRVL -YESLPFHKAEKVVNMISGTKSITNLLQRTSAINGEDIDRAVSMMLENLGLLSRILSVIINSIEIPIKSNG -RLICCQISKTLREKSWNNMEIVGVTSPSIVTCMDVVYATSSHLKGIIIEKFSTDKTTRGQRGPKSPWVGS -STQEKKLVPVYNRQILSKQQKEQLEAIGKMRWVYKGTPGLRRLLNKICIGSLGISYKCVKPLLPRFMSVN -FLHRLSVSSRPMEFPASVPAYRTTNYHFDTSPINQALSERFGNEDINLVFQNAISCGISIMSVVEQLTGR -SPKQLVLIPQLEEIDIMPPPVFQGKFNYKLVDKITSDQHIFSPDKIDILTLGKMLMPTIKGQKTDQFLNK -RENYFHGNNLIESLSAALACHWCGILTEQCIENNIFRKDWGDGFISDHAFMDFKVFLCVFKTKLLCSWGS -QGKNVKDEDIIDESIDKLLRIDNTFWRMFSKVMFESKVKKRIMLYDVKFLSLVGYIGFKNWFIEQLRMVE -LHEVPWIVNAEGELVEIKSIKIYLQLIEQSLSLRITVLNYTDMAHALTRLIRKKLMCDNALFNPSSSPMF -NLTQVIDPTTQLEYFPRIIFERLKSYDTSSDYNKGKLTRNYMTLLPWQHVNRYNFVFSSTGCKISLKTCI -GKLMKDLSPKVLYFIGEGAGNWMARTACEYPDIKFVYRSLKDDLDHHYPLEYQRVIGDLNRVIDSGEGLS -METTDATQKTHWDLIHRISKDALLITLCDAEFKNRDDFFKMVILWRKHVLSCRICTAYGTDLYLFAKYHA -VDCNIKLPFFVRSVATFIMQGSKLSGSECYILLTLGHHNNLPCHGEIQNSKMRIAVCNDFYASKKLDNKS -IEANCKSLLSGLRIPINKKELDRQRKLLTLQSNHSSIATVGGSKIIESKWLKNKASTIIDWLEHILNSPK -GELNYDFFEALENTYPNMIKLIDNLGNAEIKKLIKVTGYMLVSKK ->ANN02898.1 RNA-dependent RNA polymerase major subunit [Bovine orthopneumovirus] -MDTLIHESSTNVYLTDSYLKGVISFSECNALGSYLLDGPYLKNDYTNIISRQKPLIEHINLKKLSIIQSF -VTKYNKGELGLEEPTYFQSLLMTYKSLSTSELITTTTLFKKIIRRAIEISDVKVYAILNKLGLKEKGKVD -RCDDTNITLSNIVRDNILSVISDNTPSTKKPNNSSCKPDQPIKTTILCKLLSSMSHPPTWLIHWFNLYTK -LNDILTQYRTSEARNHGYILIDTRTLSEFQFILNQYGCIVYHKKLKKITITTYNQFLTWKDISLSRLNVC -MITWISNCLNTLNKSLGLRCNFNNVTLSQLFLHGDCILKLFHNEGYYIIKEVEGFIMSLILNLTEEDQFR -KRFFNSMLNNITDAAARAQQDLLSRTRHTILDKTISDNILNGKWLFLLGKFLKLIKLAGANNLNNLSELY -FLFRIFGHPMVDERQAMDAVRLNCNETKFYLLSSLSMLRGAFIYRIIKGFVNTYNRWPTLRNAIVLPLRW -INYYKLNTYPSLLELTEADLIILSGLRFYREFHLPKKVDLEVIINDKAISPPKNLIWTSFPKNYMPSHIQ -IYIEHERLKFTESDRSRRVLEYYLRNNRFSESDLYNCIVNQEYLNNPNHVISLTGKERELSVGRMFAMQP -GMFRQVQIMAEKMIAENILQFFPESLTRYGDLELQKILELKAGISNKANRCNDNYNNYISKCSIITDLSK -FNQAFRYETSCICSDVLDELHGVQSLFSWLHLTIPFATVICTYRHAPPYIRNHITDLNKVDEQSGLYRYH -MGGIEGWCQKLWTIEAISLLDLISIKGKFSITALINGDNQSIDISKPIRLNEGQTHAQADYLLALKSLKL -LYKEYASIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSMESIGSLTQ -ELEYRGESLLCSLIFRNVWLYNQIALQLKNHALCHNKLYLDILKVLAHLKMFFNLDNIDTALTLYMNLPM -LFGGGDPNLLYRSFYRRTPDFLTEAIAHSVFVLSYYTGHDLQDKLQDLPDDKLNKFLTCIITFDKNPNAE -FVTLMRDPQALGSERQAKVTSEINRLAVTEVLSNAPNKIFAKSAQHYTTTEVDLNDVMQKIEPTYPHGLR -VVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDYTDIERAINMMRKNITLLIRILPLDYNKAKLGLLS -LNNLSITDISKYVRERSWSLSNIVGITSPSILYTMDIKYTTSTITSGIIIEKYNSNFLTRGERGPTKPWV -GSSTQEKKTMPVYNRQVLTKKQKDQIDLLAKLDWVYASIDNKDEFMEVLCLGTLGLSYEKAKKLFPQYLS -VNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINRILTEKYGDEDIDIVFQNCISFGLSLMSVVEQFT -NVCPNRIILIPKLNEIHLMKPPIFTGDVDICKLNQVIQKQHMFLPDKISLSQYVELFLSNKTLKNSPHIS -SNLVLVHKMSDYFLHKYVLSTNLAGHWIMIIQLMKDSKGIFEKDWGEGYITDHMFLDLNVFFDAYKTYLL -CFHKGYGKAKLECDMNTSDLFCTLELIDISYWKSMSKVFLEQKVVKHIINQDSSLHRVRGCHSFKLWFLK -RLNTSKFIVCPWVVNIDYHPTHMKAILTYMELTTMGLVHVDKLYTDQRHKLNDGFYTSNLFYINYNFSDN -THLLTKQIRIANSELIDNYNILYHPSPESLESILKRSNQSNNVIELEDYPISKFQSPKGQEVSDITCISS -NQKIKQGYNNQDLYNLFPAVIIDKIVDHSGNIANINQMYTITPNQLTLISNGNSLYCMLPWHHINRFNFV -FSSTGCKISTKLILKDLKIKDPHCIAFIGEGAGNLLLRTVVELHPDIKYIYRSLKDCNDHSLPIEFLRLY -NGHISIDYGENLTIPATDATNAIHWSYLHIRYAEPINLFVCDAELPDLTNWSRIVSEWYKHVRCCKYCST -IDQSKLIIKYHAQDVTDFKLDNISIVKTYVCLGSKLKGSEVYLVLTIGPSNIFPSFNVVQNAKLILSRTQ -NFPMPKKIDKDSVDANIKSLIPFLCYPITKKGIKAALSKLKDVVDGNILSYSIAGRNEVYSNKLINYKLL -NILKWLDHVLNFRSLDFSYNHLYMIESTYPFLSELLNSLTTNELKKLIKVTGSVLYSLQHEL ->AMA66363.1 RNA-directed RNA polymerase L [Human orthopneumovirus] -MDPIINGNSANVYLTDSYLKGVISFSECNALGSYIFNGPYLKNDYTNLISRQNPLIEHINLKKLNITQSL -ISKYHKGEIKIEEPTYFQSLLMTYKSMTSSEQITTTNLLKKIIRRAIEISDVKVYAILNKLGLKEKDKIK -SNNGQDEDNSVITTIIKDDILLAVKDNQSHLKADKNHFTKQKDTIKTTLLKKLMCSMQHPPSWLIHWFNL -YTKLNNILTQYRSSEVKNHGFILIDNHTLNGFQFILSQYGCIVYHKELKRITVTTYNQFLTWKDISLSRL -NVCLITWISNCLNTLNKSLGLRCGFNNVILTQLFLYGDCILKLFHNEGFYIIKEVEGFIMSLILNITEED -QFRKRFYNSMLNNITDAANKAQKNLLSRVCHTLLDKTVSDNIINGRWIILLSKFLKLIKLAGDNNLNNLS -ELYFLFRIFGHPMVDERQAMDAVKVNCNETKFYLLSSLSMLRGAFIYRIIKGFVNNYNRWPTLRNAIVLP -LRWLTYYKLNTYPSLLELTERDLIVLSGLRFYREFRLPKKVDLEMIINDKAISPPKNLIWTSFPRNYMPP -HIQNYIEHEKLKFSESDKSRRVLEFYLRDNKFNECDLYNCVVNQSYLNNPNHVVSLTGKERELSVGRMFA -MQPGMFRQVQILAEKMIAENILQFFPESLTRYGDLELQKILELKAGISNKSNRYNDNYNNYISKCSIITD -LSKFNQAFRYETSCICSDVLDELHGVQSLFSWLHLTIPHVTIICTYRHAPPYIRDHIVDLNNVDEQSGLY -RYHMGGIEGWCQKLWTIEAISLLDLISLKGKFSITALINGDNQSIDISKPVRLMEGQTHAQADYLLALSS -LKLLYKEYAGIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSLESIGS -LTQELEYRGESLLCSLIFRNVWLYNQIALQLKNHALCNNKLYLDILKVLKHLKTFFNLDNIDTALTLYMN -LPMLFGGGDPNLLYRSFYRRTPDFLTEAIVHSVFVLSYYTNHDLKDKLQDLSDDRLNKFLTCIITFDKNP -NAEFVTLMRDPQALGSERQAKITSEINRLAVTEILSTAPNKIFSKSAQHYTTTEIDLNDIMQNIEPTYPH -GLRVVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDLTDIDRAIEMMRKNITLLIRIFPLDCNRDKRE -ILSMENLSITELSKYVRERSWSLSNIVGVTSPSIMYTMDIKYTTSTIASGIIIEKYNVNSLTRGERGPTK -PWVGSSTQEKKTMPVYNRQVLTKKQRDQIDLLAKLDWVYASIDNKDEFMEELSIGTLGLTYEKAKKLFPQ -YLSVNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINRILTEKYGDEDIDIVFQNCISFGLSLMSVVE -QFTNVCPNRIILIPKLNEIHLMKPPIFTGDVDIHKLKQVIQKQHMFLPDKISLTQYVELFLSNKTLKSGS -HVNSNLILAHNISDYFHNTYILSTNLAGHWILIIQLMKDSKGIFEKDWGEGYITDHMFINLKVFFNAYKT -YLLCFHKGYGRAKLECDMNTSDLLCVLELIDSSYWKSMSKVFLEQKVIKYILSQDASLHRVKGCHSFKLW -FLKRLNVAEFTVCPWVVNIDYHPTHMKAILTYIDLVRMGLINIDRIYIKNKHKFNDEFYTSNLFYINYNF -SDNTHLLTKHIRIANSELENNYNKLYHPTPETLENILTNPVKSNDKKTLNDYCIGKNVDSIMLPLLSNKK -LIKSSTMIRTNYSRQDLYNLFPTVVIDKIIDHSGNTAKSNQLYTTTSHQISLVHNSTSLYCMLPWHHINR -FNFVFSSTGCKISIEYILKDLKIKDPNCIAFIGEGAGNLLLRTVVELHPDIRYIYRSLKDCNDHSLPIEF -LRLYNGHINIDYGENLTIPATDATNNIHWSYLHIKFAEPISLFVCDAELPVTVNWSKIIIEWSKHVRKCK -YCSSVNKCTLIVKYHAQDDIDFKLDHITILKTYVCLGSKLKGSEVYLVLTIGPANVFPVFNVVQNAKLIL -SRTKNFIMPKKADKESIDANIKSLIPFLCYPITKKGINTALSKLKSVVSGDILSYSIAGRNEVFSNKLIN -HKHMNILKWFNHVLNFRSTELNYNHLYMVESTYPYLSELLNSLTTNELKKLIKITGSLLYNFHNE ->APW78793.1 L [Human respiratory syncytial virus B] -MDPIINGSSANVYLTDSYLKGVISFSECNALGSYLFNGPYLKNDYTNLISRQSPLLEHMNLKKLTITQSL -ISRYHKGELKLEEPTYFQSLLMTYKSMSSSEQIATTNLLKKIIRRAIEISDVKVYAILNKLGLKEKDRVK -PNNNSGDENSVLTTIIKDDILSAVENNQLYTNSDKNYSVNQNINIKTTLLKKLMCSMQHPPSWLIHWFNL -YTKLNNILTQYRSNEVKSHGFILIDNQTLSGFQFILNQYGCIVYHKGLKKITTTTYNQFLTWKDISLSRL -NVCLITWISNCLNTLNKSLGLRCGFNNVVLSQLFLYGDCILKLFHNEGFYIIKEVEGFIMSLILNITEED -QFRKRFYNSMLNNITDAAIKAQKDLLSRVCHTLLDKTVSDNIINGKWIILLSKFLKLIKLAGDNNLNNLS -ELYFLFRIFGHPMVDERQAMDAVRINCNETKFYLLSSLSTLRGAFIYRIIKGFVNTYNRWPTLRNAIVLP -LRWLNYYKLNTYPSLLEITENDLIILSGLRFYREFHLPKKVDLEMIINDKAISPPKDLIWTSFPRNYMPS -HIQNYIEHEKLKFSESDRSRRVLEYYLRDNKFNECDLYNCVVNQSYLNNSNHVVSLTGKERELSVGRMFA -MQPGMFRQIQILAEKMIAENILQFFPESLTRYGDLELQKILELKAGISNKSNRYNDNYNNYISKCSIITD -LSKFNQAFRYETSCICSDVLDELHGVQSLFSWLHLTIPLVTIICTYRHAPPFIKDHVVNLNEVDEQSGLY -RYHMGGIEGWCQKLWTIEAISLLDLISLKGRFSITALINGDNQSIDISKPVRLIEGQTHAQADYLLALNS -LKLLYKEYAGIGHKLKGTETYISRDMQFMSKTIQHNGVYYPASIKKVLRVGPWINTILDDFKVSLESIGS -LTQELEYRGESLLCSLIFRNIWLYNQIALQLRNHALCNNKLYLDILKVLKHLKTFFNLDSIDTALSLYMN -LPMLFGGGDPNLLYRSFYRRTPDFLTEAIVHSVFVLSYYTGHDLQDKLQDLPDDRLNKFLTCVITFDKNP -NAEFVTLMRDPQALGSERQAKITSEINRLAVTEVLSIAPNKIFSKSAQHYTTTEIDLNDIMQNIEPTYPH -GLRVVYESLPFYKAEKIVNLISGTKSITNILEKTSAIDTTDINRATDMMRKNITLLIRILPLDCNKDKRE -LLSLENLSITELSKYVRERSWSLSNIVGVTSPSIMFTMDIKYTTSTIASGIIIEKYNVNGLTRGERGPTK -PWVGSSTQEKKTMPVYNRQVLTKKQRDQIDLLAKLDWVYASIDNKDEFMEELSTGTLGLSYEKAKKLFPQ -YLSVNYLHRLTVSSRPCEFPASIPAYRTTNYHFDTSPINHVLTEKYGDEDIDIVFQNCISFGLSLMSVVE -QFTNICPNRIILIPKLNEIHLMKPPIFTGDVDIIKLKQVIQKQHMFLPDKISLTQYVELFLSNKALKSGS -HINSNLILVHKMSDYFHNAYILSTNLAGHWILIIQLMKDSKGIFEKDWGEGYITDHMFINLNVFFNAYKT -YLLCFHRGYGKAKLECDMNTSDLLCVLELIDSSYWKSMSKVFLEQKVIKYIVNQDTSLHRIKGCHSFKLW -FLKRLNNAKFTVCPWVVNIDYHPTHMKAILSYIDLVRMGLINVDKLTIKNKNKNKFNDEFYTSNLFYISY -NFSDNTHLLTKQIRIANSELEDNYNKLYHPTPETLENISLIPVKSNNRNKPKFCISGNTESMMTSTFSNK -MHIKSSIVTTRFNYSRQDLYNLFPIVVIDRIIDHSGNTEKSNQLYTTTSHQTSLVRNSASLYCMLPWHHV -NRFNFVFSSTGCKVSIEYILKDLKIKDPSCIAFIGEGAGNLLLRTVVELHPDIRYIYRSLKDCNDHSLPI -EFLRLYNGHINIDYGENLTIPATDATNNIHWSYLHIKFAEPISIFVCDAELPVTANWSKIIIEWSKHVRK -CKYCSSVNRCILIAKYHAQDDIDFKLDNITILKTYVCLGSKLKGSEVYLVLTIGPANILPVFDVVQNAKL -ILSRTKNFIMPKKIDKESIDANIKSLIPFLCYPITKNGIKTSLSKLKSVVNGDILSYSIAGRNEVFSNKL -INHKHMNILKWLDHVLNFRSAELNYNHLYMIESTYPYLSELLNSLTTNELKKLIKITGSVLYNLPNEQ diff --git a/seq/clusters_seq/cluster_318 b/seq/clusters_seq/cluster_318 deleted file mode 100644 index e7e604a..0000000 --- a/seq/clusters_seq/cluster_318 +++ /dev/null @@ -1,79 +0,0 @@ ->YP_009113030.1 internal protein [Betacoronavirus HKU24] -MSKLKGCLIRQAKLVADHPLVTVMAAFLSGPTRRNLIMLIAEVEEPNLSKQLIPRLQEEMLYHFTPGSLE -LLSFKKGKNLDLNKDKVCPLPMESLPQKKRDTGIGTTESPIELQMDNNGSCYPDGIFTISEQGRMRTNNT -GRTSTVLFGLLVQMLMYVPQRTFQIGILLATKLSRLGLRLARFCLKAIMLKAQEGLLLARDLALVHPAEH -LVSAEAEAETTQLLEIIPLK - ->NP_068674.1 internal protein [Murine hepatitis virus] -MESSRRPLGLTKPSVDQIIKIEAEGISQSRLQLLNPTPGVWFPITPGFLALPSSKRERSFSLQKDKECLL -PMESPLQSKRDIGIDTTAVLLKHLMGSRSNYCPDGIFTILAQGPMLEPVMETALKVSSGLQTAKRTPIPA -LILSKGTQAVMRLFLLGLRPARYCLRAFMLKALEGLHLLADLVRGHNPVGQIIALEAVPTSASLPLL - ->YP_003029853.1 internal protein [Rat coronavirus Parker] -MESSRRPLGLTKPSVDKIMAIEAEGISPSRLQLLSPIPGVWFPITLGFRALPNSRREKSSSLQVDKECLL -PMESHLLSKRDIGIDTTVVLLKHLTGSRSNYSPDGIFTILGRAPMLEPVSETALRESSGLQIVRRIPIPL -LTLLKGTQVAMRLFLLGLRPVRYCLRVSMLKAREGLHLLVDLVRGHNPVGQIIALEAVPTSASLPLL - ->YP_009924318.1 internal protein [Human coronavirus OC43] -MASSSGPISPTSLEMFKPGVEELNPSKLLPLSNHQEGMLYPTILGSLELLSFKRERSLSL - ->NP_150084.1 internal protein [Bovine coronavirus] -MASLSGPISPTNLEMFKPGVEELNPSKLLLLSNHQEGMLYPTILGSLELLSFKRERSLNLQRDKVCLLHQ -ESQLLKLRGTGTDTTDVPLKQPMATSVNCYHDGIFTILEQDRMPKTSMAPTLTESTGSLVTRLMSIPRLT -FSIGTQVAMRLFRLGFRLARYSLRVTILKAQEGLLLIPDLLHAHPVEPLVQDRAVEPILAIEPLPLV - ->sp|P0C5A5.1|IORF_CVMJH RecName: Full=Protein I; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=N2 protein; AltName: Full=Protein in nucleocapsid ORF -MESSRRPLGLTKPSAGXIIKIEAERISPSRLQLLNPIPGVWFPITLGFRALPNSRREKSFSLHKDKECLL -PMESQLHSKRDIGTDTTDVPLKHLMASRSSYCPDGIFTILEQGPMLAQSMATISKELSGSQANRPRLGPL -PILLKGTQVAMRLFLLGLRPVRYCLKVFMLKAQEGLHLLVDLVRGHNPVGQIIALEAVPTSASLPLL - ->sp|Q4VID0.1|IORF_CVHOC RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MASSSGPISPTSLEMFKPGVEELNPSKLLLLSNHQEGMLYPTILGSLELLSFKRERSLSLQKDKVCLLHQ -ESQLLKLRGTGTDTTDVLLKQPMATSVNCCHDGIFTIWEQDRMLKTSTAPILTESTGSLATRLMSIPRLT -LSIGTQVAMRLFRLGFRLARYSLRVTILKAQEGLLLIPDLLRAHPAEPLVQDRAVEPILAIEPPPLV - ->sp|Q9QAR7.1|IORF_CVBLY RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MASLSGPISPTNLEMFKPGVEELNPSKLLLLSNHQEGMLYPTILGSLELLSFKRERSLNLQRDKVCLLHQ -ESQLLKLRGTGTDTTDVLLKQPMATSVNCCHDGIFTIWEQDRMPKTSMAPTLTESSGSLVTRLMSIPRLT -FSIGTQVAMRLFRLGFRLARYSLRVTILKAQEGLLLIPDLLHAHPVEPLVQDRVVEPILATEPLPLV - ->sp|Q9QAR0.1|IORF_CVBLS RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MASLSGPISPTNLEMFKPGVEELNPSKLLLLSNHQQGMLYPTILGSLELLSFKRERSLNLQRDKVCLLHQ -ESQLLKLRGTGTDTTDVPLKQPMATSVNCCHDGIFTILEQDRMPKTSMAPTLTESSGSLVTRLMSIPRLT -FSIGTQVAMRLFRLGFRLARYSLRVTILKAQEGLHLIPDLLHAHPVEPLVQDRAVEPILAIEPLPLV - ->sp|Q8BB22.1|IORF_CVP67 RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MASLSGPISPTSLEMFKPGVEEFNPSKLLLLSNHQEGLLYPTILGSLELLSFKRERSLNLQRDKVCLLHQ -ESHLLKLRGTGTDTTDVLLKQPTAISVNCCHDGTFTTWEQDRMPKTSTAPTLTESSGSLVTRLILIPRLT -LSIGIQVAMRLFRLGFRLARYSLKVTILKAQEGLLLIPDLLRVHPIEPLVQDRVVEPILAIEPLPLV - ->sp|Q77NC1.1|IORF_CVBQ RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MASLSGPISPTNLEMFKPGVEELNPSKLLLLSYHQEGMLYPTILGSLELLSFKKERSLNLQRDKVCLLHQ -ESQLLKLRGTGTDTTDVLLKQPMATSVNCCHDGIFTILEQDRMPKTSMAPILTESSGSLVTRLMSIPRLT -FSIGTQVAMRLFRLGFRLARYSLRVTILKAQEGLLLIPDLLHAHPVEPLVQDRVVEPILATEPLPLV - ->sp|P22654.1|IORF_CVBF RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MASLSGPISPTNLEMFKPGVEELNPSKLLLLSNHQEGMLYPTILGSLELLSFKRERSLNLLRDKVCLLHQ -ESQLLKLRGTGTDTTDVLLKHAMATSVNCCHDGIFTILEQDRMPKTSMAPTLTESSGSLVTRLMSIPRLT -FSIGTQVAMRLFRLGFRLARYSLRVTILKAQEGLLLIPDLLHAHPVEPLVQDRVVEPILAIEPLPLV - ->sp|Q8JSP3.1|IORF_CVPIA RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MASLSGPISPTSLEMFKPGVEEFNPSKLLLLSNHQEGLLYPTILGSLELLSFKKERSLNLQRDKVCLLHQ -ESQLLKLRGTGTDTTDVLLKQPMAISVNCCHDGTFTTWEQDRMPNTSTAPTLTESSGSLVTRLILIPRLT -LSIGIQVAMRLFRLGFRLARYSLKVTILKAQEGLLLIPDLLRAHPIEPLVQDHVVEPILAIEPPPLV - ->sp|Q9IKC5.1|IORF_CVRSD RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MESSRRPLGLTKPSADKIMEIEAEGISPSRLQLLSPIPGVWFPITLGFRALPNSRREKSSSLQVDKECLL -PMESHLLSKRDIGIDTTVVLLKHLMGSRSNYSPDGIFTILGRAPMLEPVSETALRESSGLQIVRRIPTPL -LTLLKGTQVAMRLFLLGLRPVRYCLRVSMLKAREGLHLLVDLVRGHNPVGQIIALEAVPTSASLPLL - ->sp|P18452.1|IORF_CVM1 RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MESSRRPLGLTKPSVDQIIKIEAEGISQSRLQLLNPIPGVWFPITLGFRALPNFRREKSFSLHKDRECLL -PTESQLQSKRDIGIDTTDGLLKHLMASRSSYCPDGIFTILEQGPMLAQSMATISTELSGSQANRPTLRPL -PILLKGTQVAMRLFLLGLRPVRYCLKVFMLKAQEGLHLLVDLVRGHNPVGQIIALEAAPTSASLPLL - ->sp|P18455.1|IORF_CVMS RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Protein in nucleocapsid ORF -MESSRRPLGLTKPSALEIMEIEAEGISQSRLQLLNPIPGVWFPITLGFRALPNSRREKSFSLYKDRECLL -PMESQLQSKRDIGIDTTDVLLKHLMASRSSYCPDGIFTISEQGPMLAQSMATISKELSGSQANRPTLRPL -PILLKGTQVAMRLFLLGLRPVRFCLRVFMLKAQEGLHLLADLVRGHNPVGQIIALEAAPTSASLPLL - diff --git a/seq/clusters_seq/cluster_319 b/seq/clusters_seq/cluster_319 deleted file mode 100644 index 97023d6..0000000 --- a/seq/clusters_seq/cluster_319 +++ /dev/null @@ -1,496 +0,0 @@ ->YP_009362297.1 coat protein [Picorna-like virus AWando15] -MTENNLELFYQWFLKDPYSFKSCLDNDPETTAQTKQPTLITMRAESNITQEMKTGSIDSMENKENVMDVS -GNDLATTKVGMSDYLNNGQECSRDINKFLERPIKIDQFSVTTGQVSKSYKLWDILSKNDRIRSKFEKFAY -FQGDIEIMISVSGTPFHKGKILVSYQPYPLHNESLVAVQDRIDTDPNFRPIYLVYQSQARGAKVMDVKDN -QPLRMTIPFISHKPMWRLFNTSAAVLGANAFDDFENSGTLYMYTINDISGVSSTPSDVSVIVYANFVNVK -LGTLTGTHFIAESKMSSDDSKDETKTGPVQALASKTASFLETISVIPDIAPLTIPASMVASGIAGICSVF -GWSKPILDEKRITVKPDGYCNGMVVSGTETAKVLGVDPKRSLEVSQGMFGDTKDELVLEYLINRLSYFHT -FSWNVGTVPFTAPIFQCAVNPMITKKVSILQDFYANTPLSFVSNAFTYWRGDIEFTFEFVVSSYHRGKVA -IVYEPNISQSALIGSDFQLNEQYVRIVDLQEAQTVSVCIPWASDRPWREIETDPSQIWYNTTGALASTLH -KSSNGYIFVYPVNTLQSPDTSNIDVNVYIRGKNFHYSVPTEANLNVRRDGFSAQSLFTTEVTCVDLNEST -ADDKNIHVTNFGEKIASFRSLLKRYQYADDVNLLNFSLTYANAIIPIFPENPAPYGSNTSDPVNLFSYLR -LAYLGMRGSMRHRVKFIEGNEQNTHLEHINVVLNGPTNLQLNMSVTSTNTYLPIPLLGGVTYLPNVNSAV -EVDAPFYTVNLWVYSQPQDFVSPNPSDVMSASFSRSLTVSVPHAENPSGQDSLRVIDEIATGEDFNFHYF -TGAPLFSNPI - ->YP_009362296.1 RNA-dependent RNA polymerase [Picorna-like virus AWando15] -MHRLVQLAISAVRINRDRDFGSSDTTKSEDLSDISGYDSSKQNDDTDSIFSGLGDLPQVDPPSEDRIYDG -SFDTDTFGVIEAYLGGLEIKRIHYYNTFRYKFIGHLFFWFLNPLINYLLRIFSHILSKLRWHRRDILTVL -FWFRRGMHNAIMSPVLGLFSLYNLYRFVYCATKISRIFLHRNKTWLALQHVTSFLTVNYPDEFVGSKLHS -FLIVFENGLKLHDKKFSSESSFDHNNFFDYRSFFDDNRDLVLDTFVSCCDWIKSNITVYGAERLFSLAYT -LSDTDVPVEDKAMLISEYIMYWFSMKPMSNACDIWYFLLLQNCVMLYFQSSLQWRRRNSVCNQFNKTRNV -NMSAESFEDVDLDFIVSRLNNLGGSDFCSHVVQLSNFIFKFADEDSYLYKVFSAKGLGDVKQSTVSIFSL -IKILKECIFSPDVSIADLFFGEGDIRKLLARADYFEDTPYFVGVPVDGAVNIRTLCSEMETFFRVIDTKL -SVLKKNSASWSKLRKCSVRVRSKYDDYMMRLNSSRRAAPFTLLLHGLPGQGKSLIRNHIFSTWAACHGHK -YNELMTYTSNYGDKYWEGVQPASQLFLYCPEVGDQAAHILKSQGDERMDDFLSMVDGNPKPLAMAFEQKG -SVFALFRGILMDSNSSDLNIKLYKNMPAAFFRRIVRVELQLKKSRRMKDSLGIDKSKLPKDYLDLNIYDF -KIRTFKLTKDSVSNYNELSHWDIVTPYTLGISKNNHYKSGFITNINLFTQCIAKMYSSHQNSENKVVSLI -DDYYETFDDQFPFVSKEYHCESSLTDAVCGFSFAIFVSLGVYIYYHIDQIISRSITFLLDCFGINDALYE -KVNRAQDFVRGYVPAVIEHKNNFVKIYKFLTNKHHWFELALVCVLMVLVRYSLSERENKYQSQGNVYSTI -PEMEKEYDCWDSYVRCPIKNHDMWNVVENNFSPPCKNTPISLAKTISSNIRHLIIRKEGKKGETKCLGVK -GNIVLLNKHTFRVPGPGSYKVSILHDTGVETNPIIIDNSDIHSIGDDLCIFAYSGMRFRNITSHFASSTY -VPTQTLGCIGTCNTVIFPTDNLTYKVGAVDWHAFKAYSYVWDSHEVGKCGTPLVGKIGNGSAILGIHTMG -SDYDKSAAALPVYREDLIRKINTLEDSFDISIPVYSSTSYSSEGKDLTPDLSKKSLFRYEFTPHVEIKGK -TKGPVLIKNKSKMVRSLPTSFLNPFFIKHLNHIQRVKYSKPIMQPVVISGEYISPYNIAIRKINKPNYPL -RKSILRKTLQSVYNRLSKLKDMVPVTLKPLTVHSAINGAKDDPFIKRMNRSKSAGFGYAGKKGDYLTLID -EINSIPVQRLSDDILNAIETLEEGNSLMPVCVGCLKDEPRTLEKCISGKTRLFYISDVLQIILMRMFLFP -FYSLMVEFSELFCTAVGTNAFKDFDTIYNNLSSFAKNIMEGDYSGFDVNANYDLRMLVNKLIIMLLGDLG -YTEKQLNIVKGLLSDNLHIGIEVLKEIFERPGLQPSGKLATAEDNSLLGLLMLVYFYFAKCDNGVDDFFD -VVLPITYGDDLLAAVKDRISTRFNNRHYQEFCKEHYGIGYTSAAKSLDVTDFLSIDSCTFLKRSFNYNSI -LNRVVGCLDKSSLYKSLEWTIPSEYITPEEQLNGAINSFLMEAFLHSQSEEDFNALRANILEYISNDGYV -YKLRTFEEIMESLRK - ->YP_009345905.1 hypothetical protein [Beihai picorna-like virus 59] -MGIYSIPVEEEKKEIPQVVQTPMKSLGRTQDDLMGVKRAKMEFKKAKKKAIGLNAINKRKERSRMKTSER -VFSDCFQIDTLDEAIAQEIKALKIKESIESCAKLQRKKVDEKIEQTKGSPNYLRRREAAELRKMNINKKA -AKKIRKKKNQEERKKTKIHVESLEETINIDNVDVKHENEVNSLNWKPYEETGGEGPEFSVNQSPMYEESK -TYFPDQTSDILQKARIASDEACAQLDKKLLEIQQDVRQRDPTLKLSELFKKYGFKISLDLLEKFYFILQL -VVDKFKIGYDYLVEFIDKLLVLCTPAIEELLQVNFSKVFDWTGYLLDCVALFIAIYFIRDNAYMGIFIHS -ITSKYIGDAGGILLSLISTVVSIMTKQSEIVVESFDCVTSFLDTILNSEVFSCFRTLFLSLFSFKVFGDR -AKGILTLFFGGKEHGSILHLAKSVFVVIERLIAISALILGGMSISEALLSQNPLDLYVKKLNDLLASDIY -YGLPKEGNISANEFLTQFRDIQNDRNALLKTRIVNNSRWVYIEGLYRDARLRSEGIKINIESHRVTPVSI -VNCGDPGVGKSTMLDTVLRVLCSVHNWKYDPNIVYAKVISSEYWEGYDPLCQKIIHLSELGNVSKKIVEN -SGDPNLNLFCTLVSQEKMNLNMAAVDLKGKISASPYVVYIDTNNESLNADAATGHGAAIRRRSIYIEQKV -NEPYRKEGGSCELDVSKIDGVDYDDIWTFTVYKYQSVDAHRSKKEFNYIYNYSQVVTLLVDLFRAHAIKL -DNATYVSDNIGSKISKIMEAKVDVEAEEMKFPIVAMSCGTEFDTTPISYFEEGKGEESHQVNWCTCGNIE -GHTVYCQLYEGRHYINERMFEVSEQRGVIEVEANDNYITRIVEVIHNKFYSPRAYNMFQHCHRVAAYTSK -VGKYCLAEANVKMRLWALNDYIKDEFQFDLKLLFILLYLFLIIYSLCGIGYAFFIGINLLFFYAHQIKNL -SLSGLARRYVKGKLLLKQDIAERDRRNAWNDLRDYVTDDVFVADSLSFLSREVLCVAGAITTITGIYTLT -KYLRRARPQTEASDKDMNHIEETEIYGVSKSYTRVASPQMLNWTNAQKNVVRATYTGDEASFIAMVSGNT -RHVMITRNEGKDIIVTYAYALMIRGRYGLMNKHSFPEKGLVSIKVNTTGLNQQASYTVETVVNCEKLIAV -HEDVVLIKFQGAEARDITKHLYKQILDIGTGFVVTTPISFVLDTEKLKIRMNGDRGYISYSPTYRYEWIG -HCKGKCGLPLIAKLHNNSFCIIGLHSAGSDANPTSYAIPLATIDWTKIDELRAESIELDISDLPLISPSE -RSMTRFIPLTTIQYYGRLENVTVLKNQKSHLQGTFFKKSSSLRKFLYDRSVFDITEFTRPVMKHKTINGF -YICPYQNNVEQWCGPRPCPDLKILGEAVKVYFDRVSNGLKGITIQPWDVDTAINGAVYDPFCRKLNMSTG -TGYPFIGKKYQYFERIDVKGLIKYEMIPELKLMIKERIAEIESGKAKPFIYEGCLKDEPVSLAKASIAKT -RLFSSGNLVDLIIMRMFLGPILTLMIEFNDLFCTAVGIDAHRDGDKFMKFFEFKEQDWDFVFGEGDYKYY -DKKQGTALARAMNEFIILLAKSLGYNERALRFLSFILEMNVCPFYIVLGDLYCVPGSQPSGMYGTAETNS -LKGALMIIYVYLKLGGDPQMIWDYLRFKTYGDDLLTAMSKYILGFFDMVKFSSIIESDFGLQFTSSDKTT -ELQPFIPSSDITFLKRNIKYSTKLKRFIMVLDISSIVRSLEWVNPSDEVSPEKQMISCAQSALREIFFHE -NINFDEFRTLIIHDIATNMRFNEKEIRSMLPTYDDILLSLQPQYNDVSGGSTSPSKMAVSKTREDDLEVN -KGIIVTESLDRHSNSEWSAEEKDHERDFNQNLKEKFVENQMALIRMKKEFEMLVNPNPNVTYFTAMRSEL -YHKNESYKKAMDKFWGAKFAMDSLAGDIATLRRILNGRGVHVESDSIVIGNTPDEVKHYENVQDVSGEPS -AEMSSKGFPYDSRVSDIGDLGEFLKRPVLIYNSDHYGWTPNAYGGIQLQPMSLLLLNPTIRAKLRNFAYM -RATLCIRVVFSGTPFHSGKVVVCLMPLSGDNLNLNVWDTVDLTGEFSTKYLCTQVKKKIISVHDNKPLEI -RHKHLSYLPLCRLFNSWPDTLGASSVFDDYSGYAELYVRNLNDIRSVSNQSGHVSASIYAWLEDVEIGTS -TGTKMEIVVESDERKVGPIEKITSKMAHAMYELRNIPYLGRYALASSSISKGISNISAILGYSYPTKYND -PTYTKHYVLQNGCHTIGMGTGKKLTLDPGQEVTVDTSFCGIDNDEMVISYICSIPSMITKVEWITSSTPM -IPLATIPVTPTLPSCTGLIGNYRYTQPSNMSFAAGPFNYWSGDVTFILQLVKSQFHRGKIAVVWEPNGYQ -MSLTTGDQYLAWQNTVILDLQETKEAEFTVHWKRKSDWLPLQYIFDTGYADTSADAANGVLKIYPITTLT -SPDDTVPVEINIWSYSKNMRFNVMNRNQYLLNTSEVVVESLEFLVNDDIMSYSLNEETNSGDNISVLHFG -EEPISFRALLKKFDTIAPITCTPATAVRVVVPIGKVHDENNLFSYLYHAYLGRRGGMRFRFIRAQGVSDG -DISTAWLNPPSSMFLDAISVDNTASLKHTMNNYVRFYGGNERTFEIEAPYYSANLMTPNYNEGSLARNWV -RQYVRYVSASVISGSTINSQFGVVDRAVADDFSFVRYIGPPGRRELF - ->YP_009337258.1 hypothetical protein [Wenzhou picorna-like virus 24] -MSVDDITKLFSSVIDLFKDIPSQVRDFDGLDIPSLLTSIISYGVQLYTGSSLAGYVASTVSFIMSICPKV -SHALNLAKEFVVKNFQYLMAWIKGVTLQTESGTTIVQSVLSGLLSNPFCVSFRDMILSLVSARIFSKDVS -AKISKVFGKPKSMSLLEVCSVVVDGISNMVKFASMLLEGHSFSEIWNASDPLSTFIQESEDLLRFKDHLN -RGFRVEGYMLYSDFRLKAQELIDVSPTIISSKSPYDSKIKIAKSLTQDLIAATIEVDRTVNSQKRLPPYA -FILCGPPGIGKSHLLSLIYEIYGEAVGKPFEDSQVYARNKNTTYWEGYIPFAQHIIYYTELGNESKSYVK -TRGDPLLNEIQSLVDSNPYPVDMAFDNKGKFFAVPEVIAIDTNNRELHVGELMYSKSAIKRRFLYIDVSV -LKEFRCSKGTGIDTQKSIDAGGNYLNRYSFRCTRYAPNGNDSVIEKVLFKGEDVVEFTRFLMGDIRTYVS -KQKEMISKDLTNFVKEIGRNEKDQIKELSESDCCSDFNGQEFHDSIDLKQQEFGHENLENYEVEVFAESA -ILEEENQPHDENLPQPNSNLLSKSKKDILRSAIKQGWDDFKTYSKLLFSSIWLYFLCCCARGYRRDDPLL -DRFIGSHIWIIVPFFVTYFVWNTIFKIIFTTVSMFGYFSIRHFGLKQLGHYNVIGVKLVRSQAKAHVSHL -LFGTEYNPFIIPSWATIGFVFTIAVVVFKSYSLYSSYNKKQESESSQFFSDDAISSILQEKEDELGCGRS -KARVRVNGQNATWNLADISYSKPVHNGSPLELYNSVSRNLRFVVVSSSKAQRPTHIFGVCQHFAIINKHA -TCGDKEFKIFHFPKGKHDKGAGFEEYIITKDDIVPFRDDLLLIRVVGMRFRNFLPHFLKSPHPQRFGGAV -GSVESSMLYHKGTINVLDNFSEVTINGYISYEREYVDGMCGVPLVGAVTRSGCSIVGIHCAGNKECKTRI -GVAIMQSDVEKAIAKLKNKKDMFEVLSASVLKSESLCLPGLKSPFKFEHLPHINYLGSTGKPVFMNNKSN -IVKSGLYDSLDAIFDTIGFEPDEHFVVPTLKPRMVGEEYISPWNLSLKKINQTSPPLDMRIIDRIVKEYS -ERIISMIKAKHGNDYSLNPLDIQTAVNGHERDAYLRRINPSTSGGYGYGAKDPHIPIVHEEDSIREATPE -LKTRINEILETYENGDSANFIYGTSLKDEPRDAKKNAIGKIRVFYMTSLDNLIVSRMFLAPFYTMMVEDG -EIFRTSVGTNMHSDADAIYEQMRAFSNDIIEGDYSNFDVTIPFGIGHAAASVVLRVLKAFGYNTMALNMT -EGILSDALFSLVHMNGDIFFKPGLQPSGKYGTAEDNSLRGVLMQMYIYYTIPALWKTSFFRETMLFTYGD -DYLNGLSKKAAEHLNNFVYRDKCLEHFNMKVTPASKAGVMTEFVTPDDMSFLRRTWVWDPVHKVHNAKLN -MNSIYKSLQWTAPSQIESVADQELSTIRSALWELFFHLDRDTHHKFRNLLVEAYCLAHKVPINGVLQDLP -TWTNIESKLFPEFQSESGEVRAKATDMLNALELEEVKEQYTAEELHLYKSLWSDPKVDVRAMKRNVSAQA -DAVATKVFLDRFLKKKSMPDFYTESGELSSGLVSDSKRILTQNFEDMVGEGEKTVEMSSRPLHNGQYTLL -QANDFLSRPVELANFSIPLSSDLGVAFPIWDLFTLEEAVRAKLRNYAYLRGDLCVRITVSGSPFHSGRLL -VSYQPCANRNANLTALNASPNYRTMLLNYLSQAPESGIIDVKANKPLMLRCPYISTKPAFRLWNESSSVI -AATTSYADIEEAGRLYLYTMNQVKAVSDSPSTPYVQIVAWMENVQLGCPTATQIEISTESGNMEDDEFEA -GPVEKFSSAAVQVSDALKVVPYIAPFATASSFIFNGMKTFSSIFGWSKPPIVGDPIFVKNRPFANGCQTI -GASTVKRIGLDPKQEVSIDPSPCGVDQDDMIIANIAARESYFTTFTWAPTDSILSNSIFKCRVHPQLDTV -YRGFGLKDYYQPTALSFASTPFTFWRGDLVFRFDFVCSAYHRGKLVVYYEPNISQHALMDLDIDLNKQWI -QVIDIQETQSVEFCVKWAASRPWMRLMNAPKSILNYQSFTNSSAYQEVNGYIGVAVLTEIQSPDDSSIEV -NVFVRGENMRFNALTEANMPSERMVLDPFDMGLMPPELFNVTTESGMVKDNSDQPVSCISLNDSTATDDW -ISKMYFGEEPVSFRSLLKRYVETRHASVDVGTSSDRCVIATSNIMPNIDPPYGSAISGEKTLLSYLRYAY -LGTKGGMRKRVRLLGNSSFDSPYLRTSISLLEFGGSYLENFEWTSSHAQVKQMGTVSFVPHTNGGLEAEI -PFYSPNLFSYSFADNLIGDNPTGDMSVSWYKRYICQFESMDGSVGGAEFIEETATAEDFMFMRFMGAPCF -SNDKIL - ->YP_009336831.1 hypothetical protein [Wenzhou picorna-like virus 22] -MPSDYLHVQFKMEKLFNTNTTLENQVEPTVNGRNDVYEVSEVSDTIINSLYDLDISVPKATEVDMDYYEV -FELPRVYVSERAKKKIEKNFEGLDVDLSNVIVVRDDIKVKKNKERVPHTVVREKYSHVTYVKKDPGDMKQ -SIVQDGTTGITSEKKKHWNRARINKKVKAVAQMMRGSPLNVSHTTRRQLETSFPEMNWDEETYVSYDDDD -DEPTLWESIDSTFFEFVETAGHSRLVKQYGALFTRLASYILSIIRSKNWVEIVLHSVSYISILRGNYDLV -IFDDFSFLVSWIKREFSLCMGKWKNKGVTQSASAIFRNMASILDSAFITSIKRLVLLIASCGYLPQRAET -QLFRFFGKTECRSGDQLFIEICKNMSEVLNHIDLFRTGMPFTDVLLSKSPIHSIETSTQWLVSYENLTIS -THFNDNRPSNEPMISDVEYEVKLNETFANILTVKKTNGPFLSYINSARLQECEVTLRNIIKGRVARDAKY -RTAPLGLYLVGPPGVGKSTLVQFIVKVWCECRHIPYSDKLVYRYNASDKYYSGYNSRENPVMHFPEIGSL -APNMVRTSGDPTLQALLDLISPTPFPCNSAAIEDKGKVFANPALVIADGNNPDMNANHILYSAAAAYRRF -DFILVNPKDPSKLGQFDISNKEYKFDQYSFTVMRRHPIYYSATDPKPYKEYEERVVELGGKPLKDVDIFE -LLRFLEHRFVQETNKQHMIDEALKSEGVAKYFQKVFAPTTSVPDTEVKEQTGVTQAFSIFKEIKEIETGY -SKLLIRVSNLVTVGVCSLLFSFGSAFYFALPLSFVPGIFLFPGILWNYRRTLARLPQRFLTSGPTWFLIV -RWYAICCINLLFDTISFCFALISYRVCRFGLWMVPDHIFGKYMKRTILSPINESVDESRQTIYKRLYCLF -GYDVFETGEYKTKLGQVFMTMAMLYTAYCVYKRATRPSKNVSQSRTDVREFVGLTREPVVKKDVGGHFWS -LNVPLPEINPRAAAQKNEFRNVLNSVRNNVRQIAVMGRENPIEPAQVEVIKRLFSEAAADASIMDRMRIQ -IQYAWGLRGDVYVTNAHVFDPACRYFVIRAHIPGNPTSCTYNLVDRYYNMLDVGNDVCLVRLTNTHCVDV -TSYLPTEKMLIYNTVWIGRFVSNSTYQYYDQTIQIVRQELRLEMENAWDPPNDKTWVSNPISYRMTLPQD -STTDGMCGTPIVAQINGFNVIVGFHCGAKSTVSRECFATVIWRELIEAKFHDWISKGPVMTISSKSQSLN -PIPPRFNITTRVVSHHCLRYVSTPGLEVLCAVDGYDARQNRSLVERTRDYWMEGKNCVDTLIPYHSYDSG -KERYGPPVFKHVSVDQGDGTVKWLHPNKYFVEAVGEIKIPLPMEDMGIVTHATFNYILKRLDMLNGKRIQ -IKPWSLDQAINGIIGNPYARGMNMSTSAGFYFGKVKRNLVTQINEGGVLKYVVSDVVIDRTNEILECLSR -GESVGTVITCALKDEIRSRSKIESAKTRVFCVGDMSMLILSRMFFGPLFTLMQEFSEAFGCAIGINTHTD -SDMLARRLNEFSSLFMAGDYSEYDTRMSPGITLVASTVIYRLLRAMGYDDKDMIVVQSLLTDSYFPQYIL -EGVVFSSVGSTPSGEYGTAERNSLKGLVILIYAYLKLKPKEVDLESFGVNVLPVTYGDDLVAAVRPHVSN -WFNNVSFAKIVKDLGMTYTDPNKKLNLSPFFTFSEITFLKRRFIFANNKYHMPLEWDSIGKSLSYIIPSK -YVGYYEQRMSSLLSAVYEVRIHARTTVALHGRSVCKEGTNYGNLVFCEYKRRFRYLASLCSLDDGGKLIK -QVIDNPAVTKLFPLYNDSESGVVQSNLTIDSALFDPNMSSNISNLSTESGHSNQTDQSVRPRSGRRVSEV -NFENTLRDLGFYRAHSPLFVNTNIDSTQKVVQAKCQSTGGVITEERNETRTALDVVESSVGKASIDHDEN -DVLTIARFFERPFTMYDFNYAVGGTMRYDFDIFDLWSKTPEIRRKLCNFMYFRGDLNIRVTISSTPFHYG -HVILAYVPYARLNDPLVGIQNGSATQQEMLVAYLSQGPYTHIANMCEGGVYEFKIPFTSPSSYYRIYRDN -TQVITATQEFSDFLEAGKLFIVGFNPVSTASDDYTTPVSVNIQAFCTNVHMHTPTRTLMAISQSRRAHKV -KSRQVSEYQRPPTVSQMASNVAEAAGLLSTIPPLIPFMKPVEYISSKFAEVAAIFGWSKPLQLEATNKMK -NMNYSNQATCIGVDTSEVLAVDPKCGLVTSETPQFSDEDQMTIRYLCSREGYIYTATWTKDTAVGSGSLF -MSYVNPSMAFTPTGTLVTALPTPLMFATRPFRYWRGSITFIFRIAGAKFQAGRLAVIFEPNISQESLINA -SAIQMGTTNAATIDIAEVKEFEFTVDWNSAYEWCTIPSQRANFAFNTGGQTLNTSKMWFSMGYVRLVPLT -ALITSGTAPVYINVFVKSNDMQVAYPTKTYPGSRILKTVTQSLHVPGTKNMPSTTTRWFGESVVSFRTLL -KRYETVARGISVEPNNNGAVIFQVIHPLYPLQTLPSVITPETQTFNGLTSLFSYLRAAYLGMNGGSRYHY -RSWRNAHVEKTSGESVTRSTINNRGDTTVMVTRAIPTSDVPYGGSIPSVFTDATWSVSAFNQSSVQINAD -IGGSIAFDLLTNAGVEFQIPSYFPANFLFANNVTNGARKPLDRLTADLPVGDGMTIPPGYTNFLLREFTP -GQFHGGGKENQWVLDWSAAEDFSFYLFLGAPAYNTLE - ->YP_009336770.1 hypothetical protein [Wenzhou picorna-like virus 23] -MDFLNTHYFLAQLSFEFLNSLDEVQFVLPCAFELFAQMEFFDRPFWTRGDVMYPTLQRYDMLGDKRLSKT -VVNGEFIVNLFLSFYTRYALHRSKFFVPEHAFRYLRVKYYGKIIASQDPHSFRLIWTACSNNNDLHYVLY -QQVLSYVSVTYEYIMVNKYVPWYSDDLVASIDLHPMRLLVSDAQSGNGLYSVDEDLEDARMEIDDDLDFH -EVFEMPPISVNATVFERLKKRSSETGMDISNVTVLREDLSKKRRKSNSSVLGYPVHNDFYRGTVYQKNEP -GDMRRNLIQSSTQGIVSEKKKNWNKKKQIKSNAQSGISTFNSQSRLSISSVISCLDNIPENEKILDLSMQ -VSQVASFVMSVIRSKSIQELVLHIVAYLSYRLSKDDISKMQKYFIDLSVTLFSKFFSQRARIEEEVRAQS -GNVAGDISNALRSMDTILSAPFIVAVRNLLLISSCVGFLPLSVDKHIFRLFGSLPPPKCGTDLVAESMSH -LADIFSHISLFSQHGSFSKLLFGNNPFRDLSESVNYLVNFENLTYTTLATPERICDVRYENLLVSTFNDL -TLMKNQGSLPYYQKKKLDDFDSTLRHIIIRRRSRLRGERPVPPAIFLVGRPGVGKTNLVHFITKIWCELT -SRDFDQRMIHTYNSSDEFWSGYDPLSQPIIHIPEPANISTHLAAKTGDSSLSMLLQLISSAPMNAQMADI -ESKGKIFLDPSLVILDGNNPDMHATASVYSPAAVYRRFDFVEVNVKPEYQLAGGVQLDSKKSLEDYQSAI -SSQLQEDEENISAQVSGLSDSKFATLKQFFLDRYLFTVKRRIAKGNAHYEEQILELNGVKFENVDIFDFS -LFLKWRLVDEVAKQTAIKNVMSEVAAKEYIQHALKRRMFPEHAVSSNTPQAQSGNSSFRCHWDSFMYDCR -VVWFYYVLFPWTCFLTKLSNLTGYDFGYGYVVPDLPFKIETILPSGWLSSFLEILSLFKFFSRNFLEMLG -YGSLAIFWRGIYCFFNIAIHVPFLWPLMIVNSWIYILKPILCPRLVLIDTIASLFILFKMNQDSDIWMPF -IQIFYQKLLKKLLFTSLKRHESEAFHRFRMLFHHRTDPFRTSNVPALVFLTIVGVLSAYKVFKYVSSSHE -EQATSQGNMGSTSVESEDDLLLSGGVSMAPQVKKEGHQWTLGYNPVVSNVLPIQEDLLRNNSVSIVNAIF -KNVRHLRVCGVRKIGSEDLLYGATVLTANKIFKELLETKSDKYTVQHALGLKQDILICNYHAFDPSCDLF -YVRLSIPGIPTSTYSGYVYRNHMEHMMQDRVIFRLQSTQFSDITSYFINGPLVSGNPYVNGFFRCGSQYK -EISVSQESNILSMQTSWPDNLKTMVDQIMYPLKYNVPNSKYGWCGLPVVVIVNNYTVVAGIHCAGNEGSD -ICYGTRIMKNELDKAISSLSNKSPYIGVVSQSLVFKGLPARHSLTLKCPTHHSLRYTAPQSVEILGFVSD -YHVRMTSSGVSKVKEHWDNNHCLVSDMMPFRSHENGVERYGPPVFGTIKEGEGDNVQYFNPYKWFLSAVG -ERKYDVPDYMISPVIKLAFTYITKRLKNFNSELKLSPWSLDVAINGVVGDSYIRGISMSTSAGFYFSKNK -RNLLVCNEDGMPLFPYQINDEVKKRVIEIIHSYRDGHSANCVTQCALKDEVRSISKNKLAKTRVFCVGDF -SMLIVSRMYLGPLFTLMQQSSESFGCAIGINTHEQADKLARFLTGFSDRFMAGDYSEYDTRMPPIITLSA -NTVLFMLARKYGYDDKQLIIVRGILSDSLFPLYLMDGLLMSSAGSTPSGEYGTAERNSLKGLILLMLGYN -ILRPTDIPLETFEDNVLPVTYGDDLVAGIKSHICDWFNNVAYGAYTISLGMNYTDPKKREVMVPYCSIED -VTFLKRSFVYNPLTDKWNMPLEWDSIGKSMSYFVRSANVGPSEQRFQTIVSANIEIRVHARTTHFVRRRS -SFSTKAHVGELYFVEMKKRLAYLLLRGVKSNQDFDSVMFFDILAKLHRWEQGPTKSLNKQDYEMMGSLYT -QLSTELDHSNLVLVHSIAQSGNVNAGDLNSGTNVVAVNETLTTVTSGIDRVGTSSSYEEDQENNVYSISD -YFSRPVIIYDGTVAIGSHLYQELNPWNMWSLFSDVRAKLRNFMYFKATLNIRVTFSATPFNYGHILVAYV -PYARFNDPLQSLIVGKSSLPEMTTAYLSQGPFVGNIDLRYNRTFEMQIPFICPSNYFRIYADSATAYTTT -TEFIDFSAAGALFLRSYNPIRSASDDYLTPVSYNVSVFATDVHLHTPTRTLMALAQSAKIRKAKVTKGKI -SEYVDPPTVSQMASNVAEAAGILSRIPPLMPFMKPVEYMSGKFSEVAAIFGWSKPLQLEATTFVKQLNYS -NQATCIGIDTSQKLSVDPKAGLVVNPGPPGATQDDMAIANIAKREGWIFSAEWNVGAEVSKTNLFMSYVN -PSLAYRPSGTLGPLVPSPLYFVTRPFGYWRGSITFIFRIVASQFQRGRFAIIFEPNMKQNAVLNAEYIST -ATQTSMIVDITDTQEVEFTVEWNSPFEWLRVTGTTANTTVVSGTTITTTGSVAESLGVIRVVPLTQLVSS -GNSNPVYINVFVKCDDLEVAYPTNDFLNLGRTMKAIAQSGVVKSTSKSKSSTDRWFGESIVSFRTLLKRF -ETTARGVLFEPNANSAVIFEYTQGLYPISGNLPWNVNIYKTDGLTSLFCYLRFAFLGMNGGYRYHYRSWR -NTHLDLISSVPRPVPNNRSETTVVFTRTPPSTDQFIENTANVLIFDQIAAQISVNPRGSVVYDLITNSGA -EIELPSYSAVNFFIANSATNGARVSGTAMSGSKFGDGFYMPPGYTGVLIQEFSPGQYHAGGKENQWCIDG -AAAEDFSFYTFMGSPAVISLS - ->YP_009333516.1 hypothetical protein 2 [Beihai picorna-like virus 63] -MELNELLSRSDLLSFKKTRKIVMPIIKRKRKEEQKIKTQALLEARKKKKERLKIRNDNFVVSESNPAKSV -STNDKITFFGNSSSDIPNNSDDMVTTSIAEFLKRPVLIDDFTLALGTTVVRSINPWDLWSLNPSVRSKLS -HYAYFSGTMCIRMTLASSKYYFGSLMLSYQPYADSNRVLEALESRIGDSTDFKCIFNYLSQSPERCNIRV -GVDNSVEMRLPMFIPKEKIRLFNSDGALMTNSTSYEELEPLGRLYYSTINSVLSATSDATSAVKLQTYAW -IEDLKLSGLTATDIDITAESEAKPAFDVKATEIVNKASDVRDKINNHPVVKALGESAGQYADDEYNPKNG -PISTMASAVSNIAEKFTDIPILGEGARATAFVSGKAAQLLKFFGFSKPVLISDPTFAKSVVTDNMAHASG -SSTSYKLTLDPKQELSVQAIGGDSDVDPMALKFVTRKESYFTTFRWSNNKVPRSDTIFAAPVCPNINTVN -TTNSTLVQPTALSFASSFFAHWRGTISFRFEVIASSFHRGKLMFIYEPNGAGFDLIESNPTDMNQQCIFY -LDIEEGKDLTVDCKYLSDRLFSNTALGPDMEFEQIYSIPDFSGSDLTVHKQLFNGNVSIGNLYVRPFTTL -VGPTNAPFIDINVYVYSDDMELARPINIGTRDKFVTSESQPRLEAAGGGIQKDIEGTTRTSDTYSQINNV -ISDNNNIYQYHFGEKVESFRTLLKRGVTTLHGSLDNTSSTNQYPFIVLPLYPVVPRNTLPKYGATSIAAD -AAFYSPLTNEDGTTRSLFSELALSYLFVKGGYRHRVAISLDPDENHKDLTYYVSMWNSINETTSFDNYEW -DQGTTNVDVYHTNNLSSSIFHSGNTGIVDVEVPYLSTNTYELSCRRAVEATNSTATLFKNDPSNFIISLD -QLDCTTESGFVRKFVDYTSAAEDFTFFRFQGAAFVIQS - ->YP_009333515.1 hypothetical protein 1 [Beihai picorna-like virus 63] -MEIVKAEGVITNLKHNIDDIDNIIKKHFRKHKDKFTSDAFKTKMLQVSSKYLRRMQLTFTHLKYDVYNFL -SKFNYKFTIDFDFLFHLSFGIYRLICFDNKFDMFMTLIYVVHTFLGNKLHQYMDIVCNWCDKFILDLKSF -GKVKSESLPEYSPEFSLKKWFDLIIENKLINSIKELLLNLVGLKFFSREMTSRFVKTLGPAKPVSLIELC -RNVVETVEDFISFAINYKSSGSFISALSQNDPTIAFLEKTYAITLKVRNVYLGDDSNFQRLEASRSEHVE -DIGASFDSSKIAAKDFIIEVRNAVIEGDSIARKMKVSTAFKSRLYLLKEIRDELALKMRSKNRRAPFGLI -VHGDPSIGKSSILVHIYKLWSKHKGLIYSRDLVYDRNPKSKYWTNHDPLSQPIIHYPELGSVNSNIVKNK -GDETIDEMLMVSDTQPFSGEVANAEDKGKCMIMPDLLVIDCNDPKMNLEFTNNNPAAIRRRFVYIEAKVK -PEYATLTALDESKIPENLEDKMDLWNFRVYRQIPDGIVKSEKVSLTDGPVDIFGLSSKLMELFAEHDVKQ -DGFGKAVAEDINKYLAKSESESSSIYDTLFYAVFFFFIIYPVHTIFVCLMYLMINIILEYNRQYFHFPLF -LKFKALSFISNNFVDYKLKIFFSNTYDTFSVLTLFSYYSIKSLMYESEEYYEYKLRFNNLSLMRKCSMSL -LLFTISLSFIKIILSIFNIAHDVVSEGNIVESSGDFSEDKINIDEEISIIEKQSQSSFPLPKKKREGDKD -YDVIENTLPRYITEKRNLENPDEINNSVNKNLRFLKISYDGLVQECIGLGICKDYMIVNKHCVPKSGAQI -VSSHIKEYASSMKYHTVDLQNFYDVGDDLYLFRFRGELFKDIRFILTDFKHTNISMEARFKNHKVRAFFE -SKDVTVHHSRGNYILHGCLVYEYPEHKNGDCGYPLLLTVNKRTFLGGIHTGGGTTSSNSFSTLVSKSILD -EAINKLDESLLTSISSEGSLRLSKESSIKAVTSKSPLLYEDCPGISVIGSMSNYNQISPKSTLIESPLLD -DIETLIGKSPYREDGNKKYLPPLMKSKRVNGQYIAPYNNWVKKVGVVKKTLNPKIMESVSLAITCYLLKE -LKKKGVDKLTPYKLSVAQNGYPENFYVRAMRNSTSGGLLFPGKKKDHTYSVELDFKKDSVMPDNEIKEQV -LEIINSYNHEECSHDLVGAQLKDEPRTFEKSQAGKTRIFAMSSYPMTLVNRMYLMPFYALMCEYREIFGT -RVGINMHSNEADKMYNDLVSFSKNIMEGDYGGYDTSMPVDIGLIANSIVFNVLKQLGYNEFALKIVKGIL -CDNLYPTMAMEGNIIQVPGFQPSGKYATAEDNSLRGLILMYYAFAEMCTPLGKGSIHNKTIDYNINDFFK -LIKPETYGDDMLASVKDSISLFYNNITYSSFVSDVYGMEFTTADKHGHTSKFVDCTKISFLKRSFVYNPL -LGRIVAVLDRDSFVKSLSYILPSKEVSLEVQIVETCQSVLRELFFYHDKLDEYNQVRQLFVNTLEKRTSF -LKTDLYKLFPTGEELYEIYKN - ->YP_009333444.1 hypothetical protein [Beihai picorna-like virus 61] -MPKGISLANMKGMTVSQRYEYFMAHSNCSERDARLVANYPERMYTKKGNMRDPAVVAAAILKESERQKEN -QRSIAREREQKKKDRKKRHEISRNDERLGMLEEEYLEMQRAIQRENNPLLKQRSKKGKSKDTYTKEEKAA -YRKARDAAKLRAKNAKKKRREARKNVVEVESGEMPSSDNGAGIFGYPFVRKWVGSLVSEGLMRGADKIQL -LEGREFYISSLIYMIQMFMSKSIIEDIALTTQYNLMARVTMHNISLASQLDSALEMIKKARYTVDDMCHY -FDGASFPVEVVKNRGGYAFVDSRNRGFGCFHNDLKSDISDDESDDELQSDDRSLRRSRGDVKKLPDVSVE -ALSDVMFQVANNMEAVISSHVIVAVRDIVLSAISAQIFDKDFARSMTRYIGKPSHMTLPEAAILVTKSLG -IVLKAYEMYKEGHPFSTIFFSPDPYTKAVVRAKELVLLEPLLFYGLPRPQRVCAKAFVVEAEEVIKVLDS -LSSKMNPFSEKRINATKLSLELRSVFNRVRERICFKKRRTPFGSVIHGQPGVGKSSVVRLNTQFMSYVME -REHLDSLTFSRNPDSEYWDGLRNYEQPYFHYSEIGNWATSIVERVGDPVVKELCSVIDSNPYNLNMAAID -GKGVTACIPELVVIDTNFADLNAGKTVRNPAAVYRRMVFTEVRVRPQCTIEGSHMLDPKRGNDEFAPHDK -WLYTVYKLMPTASGCKDYSKRVLLTDGGFDEYSRTMIDLMRKHFEKEELALEAAERNPCLDPDFLKPRVQ -ANKFFFDSFPIDIPRNLEFEGDHPLTLDHFDVDALSDFIVDDFKGYLYSDTISVLVSSKSYVEKWDELAL -LGIVRSQAIVESGDLFESVSDLAHSSMSNLVLNVSEFGIKVTEHIVGVGIDVLLVLKELLVLGMALFACL -PNGVKMKTYIISFFLSIYFGLFSLLAMCVTLACYDMGVSGIAKLTFGRIFDRAKGNVSARVNSLRSRSYR -TWCYVAGRACPEMFVTAKLIAVTAATATSLYILHKLVERWNRVKPAAEASTFEHDEKVSAELNEFETCYH -LGKSYERSEGNNRNWVTRSVAPSVHTGDPKSLADSVGRNIRFCKIRQDDTKSVTTYLFGLKQDYALIHHH -AFCGDHLNRVQMLVSGDPATGGYREFSVDVDNAVFVTNDLMLVRTTGINFADKTKHFPLEVVDFKYASGF -IGDHTTYVSSSVPLEVVDKKKNVLKYQNVITYKWPKHRSGSCGLPVVAQRDSGCCIVGIHSAGANNSDDA -YGIVVTQDMIAAAIIAYEQRYGENNICCEASLPFGDVPHKKSPVFFENLETLEFLGSEPVTSVSSASRLK -PSIFAKSQDEAFERIFNFVETQYYGRPLMKPRMSKGEWLSPYNNALKKMAKPKKSLNIDRLNKSVDYLIK -VFGERLEKLQLEGKIPEKIQPLDVETAINGVDYDAYLRRINVHTAAGHGKPGKKSKYCHTVPSNVCRKAE -IKEEIKKEVLEILRAYERGECSGVVFKAALKDEPRPAEKIKLGKTRVFYVSPFAFLIVQRMLLAPFYTLM -VQFAEIFCTALGVDMHRQAPELFERIVNFALNILEGDFGGYDVSMPVEIGEGANRIIIALLQKLGYTDDQ -IKLVRGVLSDCLFVIIEMIGDRFLIPGLQPSGKYATAEDNSLRNLIIHVYVWFSIPEVCDLDFFTYVLPT -TYGDDVLDAVKNDVAAFYNSLTFAKVVEEEIGLEFTTSSKGEVLASFISVEDMTFLKRTFKRHFYLGTIV -APLDMESIHKMLKWTLPSTEVNPETQMEQTVASAVREAFFHCESIEQFDEFRSYLIDVLVEEYKHEFDIP -TFYQIADSLCPTEDLSGRGGRRTPERDNPVEVESGLLLECSQAERFCQHLRAFKEDLIPTECCLGRQCNV -FKWPQKINIYSYINALKEEQKEVQYELRELPLPSTRLPWPIVVKSAKIRKHGESYDALRSYNKLKSKDEA -ISLTVSRLERYLINRDIHDIEVESGVIADDNSITTADDFENVVDVGGLSSVHYHTGEEKDLDTGSVNLLR -MDNFFERPITLATITGTVGSQVNYVVDLWDAITLNPTVRAKLRNYAYLKADVEVRIAVSGTPFHYGMLLV -SYQPLADNNSALNTIYGLIGTHRFQALSYLSQAPGACVINVRENQPVNLTCKYVNVQPMIRLFNKSPLII -PDTGSFNDTVGLGKLYINSINNIECASSTPTNVSIYVYARLVNVELGTGTGTVVQVTTESGEMDERKVGP -IEKFATRASAVSTAIASFVPEIEPFAVASSLIFGSIGKVASLFGFSAPTMNNEPVRVRNDPFENGAHTIG -YHLDKRLTLDPKQELTIDPRVCGTDEDDMVIANLCARETLLDTFAWDVADAPLASSIWMAPVNPAIVKRD -VYAVGPVYIATPTALSFAATPFEWWRGTIDFRFQIVASQFHRGKLAVIFEPNISQNVVIDTDLDLNKQFI -KIIDIQETQDVTFSVEWAFPKAWARVLTSDLLGDLGTVGFLGDALFDYANGYIAVVPFTALQSPDGSDVS -VNVYISSQDMRFNYVTDRNLPTTRPVVESGEMTTSGTARMNLNDSTATLDHICELHFGEAPLSFRALLKR -FVAAYNRQVPSSFSSEYLYYKDDALPAIYPDFSGSSGPINLFSYLRYAYLGVRGGVRHRISIDNIDLYAL -EKLRVSNLSCDSVPTVRTYSTTTVDTVINSRLNGTVAYMPDTNGGVQFEIPFYTNNLFGISFSKDIFPSS -NTNVDAFAMRGFRLAVHNRLTSASEVNMIHDVAAGEDFSFMRFQGAPCFTFT - ->YP_009333424.1 hypothetical protein [Beihai picorna-like virus 60] -MDLTKKDKRNVFTSATLKAAVNVVTKLNKKISKTGGKLLNPSILVMLGEEDRDVSKKKKKQGGERDKLVV -TEKQRDVLRSMTGKKQLKDNKQKRFKQISVKDEDVHKLLVERIKPAPQYSEDHEMIWKEDEKRDISAMQE -GENRRRAKLKKAQVRFRAQNRIRITQIKRGALNSKDLVEEQNTLLERGMIFEKNKLISSDDWSAKKKSKK -EIKPVKYTKEEVHAFREAKRQARHAKLKRRNHVRAQKRLNEEVKTEALIEEDVSIVEASVWEELMAYAER -IFEQLGEGESYLHIVSSFATFAAQMYRADKVTDKVLAIIALGNSFRVADKLTEVIGHIRDVITFEPECVV -TESFVMDGIATVDMIMNTPLVTSLMSLLTAVISIGYAKGYGKVTIKEWFGKPKPMTMLALAGILVNVLVN -VAYYKQYLETNDVGSYWFAADPDSELCSRHIRLMKEVEFLDYAGGTEGRVPVDDWMNRANTLITNLDDYS -KRVRVNLREKYATMRSTLDAKRQDVRAHCNSFVRVPPVGLFIVGPPGIGKSYIKMYTHKIFCDATDRKYS -STLVYTHVMDQAYFDGYDPIGTPIMDFPEIGAKSDNAVKRDGDPALTTILNVISPDRFVMNVAACPQKGG -LVCKAEFVTMDGNNEELSLKNILKTETAFKRRVLVIAPTLKGAFKQDDSHMVDSFKVARAYDDGMHPLDI -YTWKVSITKPNGDAVVQKHEIFTNVREFSTWLYDYIRNHRATHSCVMRMDADPVYREGVDFDRVENIEND -DVKSIDEHPIRFEKWIARGSFVDDLKEDSVHVVYKDTTDDSVSTEAFGVWDCQSLLPSGNNHELPRVEID -VGDEVKMMDINYVDDAIVIPPPEPRFGKIKAWCKEMYYEMVQRFCGIVMFILVLFSNNSMAHKALYYIIP -ALVYCGMVPGSIVILLTMLMRWTRFKEYLMNLRTKYIHERMLRPISNKTAIGIVVGLTSIALFIKLLSTH -RKRKRVATESHSKLSEYEKDFECGEGYSRVKMAKATAQWNPVRKISKESPYKGDAYSLETTRAPSNRTIT -VKVDSKSITTMMFGIRGNYWMMNSHAVLNKDEFIIIDNSTGVDYHIKKGHTIFLDNDICLVQIPTLRTKD -NVKHFISEGEIGHSLSGYVGGEKVMFKPCYGVKAKNDVLGDIVIGEAWEYQWASHSVGSCGTILCAELGK -SSAIIGIHSAGAASNAKSFGSVVTREMLEKSLKTCKNYLDTYSECFIRIEETLDPVEKSLFRYEHLPYIQ -YLGRLEGPIMMPKKSGLCTYEKSKEFQKDVLCGVLGLEQTVEYSWPLMRAKITKDGEYISPYNIGMRKMN -TPPITLDNELMERTIKVITTHLISGIGDVTLRPLSAHCAINGVRDDPFISRINASTSGGYGYGKKEPHIP -LSINDMDRFMTDSLADTIVDFIETYMRGDSCGPLFHSHLKDEPREKAKCVAGKTRLFYMTPLDFLILCRM -FLSPVYSLTVEHNDVFCNRIGTNPYQDGNTLHDIMTSPITDEDEKDDEIMEGDFGGFDVSMPYQIGAAAN -QIVYNLCEHYGYNDAALRVVKGIQSDMLFPVVVMLQDAFIKPGLQPSGKYATAEDNSLRNMVMSVYYYIA -TTGEEDYFENVRAFTYGDDFGAKVSIKRKDEINNITYQAFVREVYGMEYTTADKKQEMEKFVKVKDSVFL -KRHLVWSKVAERYVARIDPNTLFKMVRWRLASQSVATVDQHIGMLNSFFYEVFIYCVTLRDDIYESERLY -LDCINITCDYLTSVYGIFDYRGSLPLFRTIYERVFHDLDNADSWTPTDFFDEHKESVRLESGIVNFSAFS -TDKKVELMRTESLCLVSATKLCRHAEMKEYNFDGICYLDGGSMRFEETDALDIAVRRDFYAKQAISLQML -LDRGAFGKSPYSLIQLKRSKYLYSKDFPELRTWQQASTRLRELKLTVEMIDNMLARKDYDIFTESAIGEQ -NMNFHGVDEKDNENFGEYMGDEAIQASSGVAMVDQQGQRSLLNMDAFLSRPIEIATVSIPVNTDVDLEYQ -LWDLFTLNPAVRAKLRNFAYLRGDLVVRIAVSGTPFHQGRLLVSYQPYPTQNDTLINHKAAVAIDAAWRP -LFLNYLSQAPGATTIDVKSNKTMEMHIPFVSPNRMGALFQGSVAISDVTSFNDFENMGSLFIKSINRVQS -VSASPSAVYLQVYCYMENAEFGTSTGTQLVVLTESDMKDERETGPVEKLCSGVSAVSKALQNVPVIAPFA -KASSMVADGVGMLAAHYGWSKPVIIDEAMIMKNEPFQNAAVCIGTDTTKRIVYDPKQEVSVDVKHAGIDD -DELTIAGLCARPSYLTTFTWNETDGVMANSLFRCKVTPQLSTIMTRALQTYAQPTAMAFATAPFYWWRGN -ITFRVQIVASAYHRGKIAIYYEPSVSQDPLVDADIDTNKQYIMIVDIQKTQDFEFCVNWNRKIPWVMVPS -SALAADAYDTISFTSTVRDNGIIGIVPFTKLQSPDNTVPVEINIFVYSEEMHVNQVTEVNMPDDRTIYTE -SYIESDLKTGESIPCFELNKSTADDGGISELHFGEEPTTFRTLLKRYTTTYVSASLGDPGSNFLYFRAVA -RIFPAPSPLYGSALASISEINLFGYLRYAFLCLKGGVRKRLRLNTLAHSSSYMNRSVVTLQPPSNVLSLP -SNTESWVALAPLATLTGSVHYIPHTNGGIEVELPFYTPNLFIFACNDKGFNSFNAGEFYSNMSCQYKFEV -ETNSAGSATNYFVEETAGAEDLTLYRFLGAPCYKV - ->YP_009333389.1 hypothetical protein 2 [Beihai picorna-like virus 64] -MNPETGNSQLDSLTLDELLADDMLRTSKLSNLWRKRVAALSYEKSFQRTAELISSREMRRSYKDLARLRS -HTAGLPIIAESAERDKGEMHKNDLPPEVVDRQNVADIAGETIKDVSAGEMQPSIENGLDIKFSLGEFLRR -PTYLTNITFAELGANNWNFFNPWELWSNDPSIRAKLNNFAYFRGDLHVQFTVSGTPYAYGKLMAGFIPYA -DYNDLWQGYRTVYANGDATDGYNWGPCMMSYVSQQEGSLVLDVNENEPVVMKIPFLSYKQQFKLFNSATT -VIANSDPLEDFSDAGEIAFGPIRPYRTSDDNQETQITCTIFAWVENAQLGNPTGTDFDITAQARPRRRKS -KNKRAGSLKAVMYQAKGLVDAAIPDEYEADGPVSSVASAVSKSAAALKDVPVIGPFASATSAISGTVGKI -ASWFGFSKPVQLDPPIYVKNNPFANAATTASVETTYKISVDPKQELALDVSLGGTEGEDCMAITHIAKRE -SYLTTFKWDYQDEPMTDIIWRSMVTPKLFNIGAKVAGTNDQTDFVVQPTPMAFVSEPFQYWRGTLRYRFE -FVVSRFHRGKILIKFEPNIPQSALIATGTSRLNQQNTILVDLQETQEVEIDVDWATCKAWCKNDYTISDS -VSCFPITGGDQDLTDIVGVSYVSASIGGDAANGQIIIMPFTRLVQPNTAAFAMVNVYVSCPDLVVARPRS -LVQRSRSYEYTAQSSEAEDVRTLNPTGANTDHIYQHHFGEAIPSFRSMMKRYQTYFRTTAAVSSGNNEEI -FFNVIGHTYDRPAVLYGNDVATYTGIQWTDLYNYLFYSFLGMRGGMRFRILLMGGGIAAAHDYVKVYLQN -NNVTDATSLATTAFSSSINLTDLSNNFSYDMEGQQTYCLASNGGVEFEIPFYSNNLFVVANNNSFGGDDT -WLGALRYDATWSNDWQAYFSMRGITMDEAALVVDRATAEDFTFLRFTGASWYGIAATP - ->YP_009333388.1 hypothetical protein 1 [Beihai picorna-like virus 64] -MFTFNNLESSVVRPKNVPESASFSRKLSRKAFQSVLPDISFLERRLNSDVLLTDLCEFESYFTQALSNFS -TTLVDNGIALLVLIFKLFRSTNSVDIFLACYDFVRSILSRDVIISNLKSIYSFISETLQVFLLRTVVAES -KLVDTLESLQGSFSVVLSSDLATAFRNLVVSLASLKLFDFSTAKKIHTMFGKSQSQSGLDLCDEILSSVV -KIFSFADRLIAGESFSDILSAKDPVAAFTSRASELEFYQNLTYNGLPVEGKICRRDFASRLKCLLREGDS -LLSVLPQASLARKTVVSHQFRLKTMQSHVLNSMAQRTRPMPFCIAIVGLPGIGKGLLVDYVSHIWSRVKG -REFSDTHVYHRQATEEYWSGYEPLSQPIIHYSEPGSLNRNIARCRGDPVMTEFLSVCDNQPYMCNMADLE -SKGKVYAMPELCVIDCNDPNMNLDVLLNNPAAVKRRIVYVVPQVKEEFKQSGSCRLDVDKSLQSDTPMLD -RWYFNVFNMEPTDLKNSFKKDILRKGDIYEFSDCVSQLFHKHVEVQETRMEISKSLNVKEYVAESKEIER -VLDDMQPVPYWLYLKQFSLPLLNSFFNYFLSLCFAIFLVFVWFFPNNFFYKSASVYLLQNRCKFYLAKGE -HYLEVVKSSCGFKSNYRGQSVPTFSTFHYIAFFSSLIIIYKLYKSFTKISCQGNIVSSRKERTVDEINSF -LFKKELDTQCTVPSVKKKNYTDVDWDNIPRPIISSITKQMRDERQQCMSRVLKNVRFAVVSGKSKSMETR -ILGITEDIAIINKHSLRGSSPWQVDVSLRENLDLNVTSTIVTDTEFTDITDDLCLVRLRGTKFKNIMSLF -PDDLLNVSHFGVESQVAGYDTISYPHSNFTVNSKDGSYVIHNGVQYDWPHHREGMCGSPLFVYIQNATLV -GAIHVAAAQGTTVGFAQLLSKPQLVTALKSLHESTVVLPVNAEGCLRLPKSITGVGDVPDRSPLRYEDCP -ALFVQGGLAGYTVMRPNNSKIVSTPFVHETDRLLGVSPFDENGPKYGPPPMVGGVCPDGKWKAPYNHFIK -KTGKVKKSLNPSFMKRTKDVIVNHLLKGLRKRGVKKLAPFTLEVAQNGSPDNFYTRSMKTSTSAGWAWPG -NKRDHGEVCETSFGVIGFDPSSDVKEQVMEQLLAYDKEEDAHPILGAQLKDEPRPFSKIKDKKTRVFCMS -PYEATLLNRMYLMPFYTLMVEHGDLFHTSIGINMHSCDVDSFVTSLQGFADEFMEGDYGGYDTSMPLDIG -LVANSIIYDVLKALGYNSDALRAVKGILSDNLYPLIALQGSLFMAPALQPSGKYATAEDNSLRGLVMLVY -YWIHQLSHSTWKLSDSDFFKIMKPWIYGDDFVCAVKRAYREYFNNCTYQTFCQEVYGIDFTNAQKTMEMK -PFLKIKEISFLKRTFVYREDLGHWVAQLDKNSLMKAIVYALPSNSVPIEQQVTEACVSVMREMFFYDDEN -AYTLRRQDFIDTISRLYNRDVMSIEKLFPTFDQIKVSLYLTAESRERQLSDETVLYCDHFQSLHAEFSAD -ELQYEGVTLEPDQYSDIGDWLSAEMFDLLPADTSEMESRGDSSTQRV - ->YP_009330001.1 hypothetical protein [Beihai picorna-like virus 62] -MNRKGKHDAVPGSAKKKPSKYADAEKRLSSMDLFQIPDFDPYPNRSAESILSDLMPVGDSEVKSEPSMSA -VGISAGGLEITKKGYVRSEREVTGIIRKKIKSRQARKKKVQRELESENRVRDSERRQARAKKSEKGFENP -FAFEAKRAPIARSRKKKSTDKQKYSKEERDMFRAMNVRKKAHKKAAKKRRQKARREEATFVAENGTFDMF -SDILGSMPRFEGSVDEGLQEAFASCLEAVNERSLRPIKSPVAIAVFVTQLYRSKCWADDFLAFTAFVNAL -ELDVRSLPALYALALLRRLVGARVDKFVAESLSDDIRQAGATISNVFSSHMVEIVRNIVLVLVSWKMFSV -DVSRKIHMWFGKLSKEKRSYTIPETISLISESIASFVRMGERVIGGETLSSVFLSKNPYQTALTKCKNLL -VQSEFICYGLPKEGYMLGRDFVCEGREVLVALDSFCKDANPLLAEGQTLISQRDALLRAVKSVESVMLAG -SRLAPCALAIVGTPGIGKSSLLYFFAALFSSIKGREHDDCLVYNRVKESPFWDGYDPFGTPYIHYSEVAS -SAAAILKNQGDPVMNEICSVVDSVKCHANMSGLAGTSKSDVFLSPELVLIDSNDHNLGLEHAYGAPAAQK -RRFLFIVPTVKPEYRKEGSMELDPTKGADERMFDKWTFDIYVHAVLTSRTTEKRYLCRGGEIDAATDAVR -SYMRKFLRVQGDVKERIKADLASHAYGEERSDDYYMDLRADFDNRLHETSPFDDVADAGGFDLPEDAASF -VQENKEHESGEFAVESGEHKRFFRLFAQRLCGLTFSTVVALAHLFFVTVITVLHYTVLSGWSTFLVTLLC -GYCIAPCFALWVIGLYMLHRTPTFKNTFSMLVKQAYRESREEARFRARKLYGFLKFGTSRSVRRYGTAVA -LLSVTAVFSWILYMATKRRKRVLVTESGDEERYGCQPSAKRIPVNGTTVWNTREPRDVSLHKGDLRSLNA -LANRNRYPVRVVLPSGAKNVTHILGVKGDLALINMHFFEDAKEVLELHIGDRNGIDEWQLRRTVKVHPER -DIRIVNGDIGLLRVEGMQFKNIVKHFPSKHNAFQYARSLIGDHFTFAETCDNIEATTKSGSIRFPQSIVY -TWPLHRKGMCGTPIVGTRDSGSCILGIHSAGSSSTRCCASVVTQSNILDALDNFGDRLIPESLSLGDIEV -GLDPHPKSFMNYVHAPGATYYGNILTPMLNQKSRLKKTPFAGELDAFFLSSFSHIPSERYVPPLMMPRGK -GESFLSPYNVNFERMGRVRKSLSTDDMNLIADCFATRVIDLLERRGVRNLSPLPVQEAIDGSPNDPFIRR -MNLHTSAGFGWGGIKLDHFERKEEFLGGRPWYVSTPSDELVDSLTNLRLCLECGEVPLEYFTAALKDEPR -PVDKVAKGKTRVFAVGSMPVLILARQFLAPFYTLMIQYGDVFCTAVGVDMHRDAEKIIGRVCSRFSNIME -GDFGGYDQCMPVSIGHAANRIVYKVLAHFGYNENAMKIVSGLLSLMLHPSVVMAGELLNIPGYQPSGKYA -TAEDNSLRNIIIQMYVFCVATGKEPNQFFDYVDSISYGDDLLSAVSDAVCYDYNAATFSRICEQEVGLTF -TTSDKQDVVDAFIKREEMTFLKRSFSPHFTGGFVAPLSLDSIYKSLEWYIPSKDVNEVEQMSSTCESALR -EMYFHCTSRKHFKKCRKFLLSQLSQAYGAHFELPTFGDIEKNLCPQLMPVGGGRQDIVAESGCFDGPDQD -SALPRLNRFCLHFRGSKENQGTIRGGFGRPPRLIQWPHRHLVKMINMLDMLEVERMRLLNEIDAFKEVPR -VRDRALLKLQNSYHADVAYRRNVDRYMQLVAELRSCERAITRLAGRIEFLSNPTMVTESGELTSGDIDSA -VITPTENLVDVAGDTPDVKDVGEEYAVPAGQTGTLPIGSFMSRPVEIAAGTLSYGLRPDLELNPWNTILR -EPSVRAKLKNYPFMRANLRVRIIVTGSPYAYGKILASYQPYPTRNDTLKAIVATGAGEARRCYLSQAYHC -GVIDVRANQPYEMVVPYVSPQPLFRLYNASALPLPSATDLNDVAPMGNLYLYGTDVLRTASVTATDVSYQ -VYAWLENVELGSSTATQIDLVTESGQVDEREVGPVERFASRAKEVADNVSFVPMLDHYAKASSIGFGALA -RIAAIFGFSYPTVIERPLRMKPQPFQNGANMIGCDTGKRLVLDPKQELSMALQSCGVAEDHMSIAAICAR -PALIQVFPWSSSDAVQVPIWSVGINPGSLYARHTGVTEYVYCPSPLCFAAMPFEYWRGDITITVQVVCSK -FHRGKFAIAYEPNVSQFGLITSGFEFNKNYTRVIDIQETQEVSFVVKWAFPKPWAKVHKPAPDNFSLDYA -GGPSFFEEDNGFISIYPFTRLTGLEDTPVTFNIWVHSDKMQFNQLVDSHYPDTRPLVVESGVFGQDTTTM -VLNESTAESAHIAEDYFGEQPMSFRALLKRFNSFIDISTLDVPTVVGGRIIRYLNAPSYPPIFPEYSGVA -TRRPPNLFGYLRGAFLGYRGGARHRLLIHGSNMGLLDPVRISLDEPTDVEVTPTVGNSSIISQFDCTPRG -TVTFVPGTNGGVEFEVPFYTNNLWGVAFSNDPYPTEIASFNPRATRTFSAIFGVQNRDIEATILHDFATA -EDFSFLRFNGSPIYEETI - ->YP_009329821.1 polyprotein [Bivalve RNA virus G3] -MRRNPSYVTNKTPEPTSTSEWKKEVARVKQLEETKACAPEYTKKQKQDFKKMKARMKLAKKERKIERKRN -RIKTESYHEYYIPNDDMRQIEQLAHHLPSDFDDFPKMVGRIFQNNRDLIRAALYIHQMAASTSCSMDLSI -TAAFVMDFAEMDMAIAFSSGAVIYNFFKHRHAKKDITTEALSDIVKSAADMGSIILESSFVGAIRHIILV -AVGWKFFDKDMTASIHQHFGKLEKKLTFSEAIISILNSIYTLLKMCEGIWAGIPLTTIFLSADPIREALK -KAQYILKFENSLYYGLPDKDRMCARAYMSESEPLLAILEDALTKKNPFSSEYPIINDVVSKLQISRNNVR -GILQRGARNPPIGVVIHGPPGIGKSSVVNYVYQLHSKIKGRVFEPTHVFERVTTSQYWDGYDPFSTPYIH -YSEVGCKANNIVKQKGDDVVIELTSVCDSLPYSCDMSDVKDKGKVYCCPELVVIDTNNPEMNIPQTVNNP -AAYRRRFIYIEPIVKDEFKLHGTQCLDPSIPSDNYYDKWTFRVVTQVPSNTIDSIKQFHLKGKCEDDINA -LETVLTNMFTEKISQGEIDRFNKEKNIFEPCTEAGMHDYSFVYDQLLKHSCFRYLRVVSRVSCDVVFSLI -SVFISWWHACLLEGFVFANYFSYNKLCTFAFIILMFFYCMGLIIPMLIIFLYGLVSIDFYRLTVDKAKGK -LKDEYSKVKKKMSDMGSRFYSLIRYALGGDLSFDSPFVVLLSVITTATAIIYFSYKHTKKFSTESSNFME -EGELQSELMDLEDHYNCGNSYERINIKGSKIWNTRQIVPSLHKAGLSELHASVMRNARFCHVITSECTLK -TYCLGVSRNYALINRHALGKMDKQVVLKICVKGNMINDSAVISISLRKEDLVHVCEDVVLIDCNSINFKD -IITHFPIDDADFKNAQGKIGRDDVTVSRYSNSMSAEDKLAGTVCFGPVVKYVWNAHTNGMCGLPVVAKRD -SGSCIVGLHCAGSHSNGNAFAAIVLRAQILSAIESIESITLAPIYSQSDVLYGSSPHFKSPVRYEDLGVM -TYYGCVRTPNMNQSSKLTKSIFCDSLQDFFFQELSHVRRIIYKKPLMKPVGAGSKFRSPYNYAIRKMNIS -KKCLDRNLIYKAVDIVHDQIYKNLQAKGLPKLQPLTFNTAVNGVDYDPYTRRIDMNKAAGFGTPGKKSDY -CTRFEKHDIYDIYDEVNGDIQKEVIKIIRCYQRGDNYGPVFKAQLKDEPRDADKVAMGKTRIFFATPFAF -LIVQRMFLAPFYTLMIEHCEAFYTAIGIDMHREAHLLYDRMSKFSKNILEGDYGGYDQSMPFEIGRGANT -VVIKLLENLGYNEDQLQVVAGILSDLLFPHIEMIGEMLTVPGLQPSGKYATAEDNSIRNLLIMVYIWLSI -PETEGSDFFKEVLPVTYGDDVLAAVSEGFADTFNAITFSRLCEELTDLTFTTSDKGEVSTPFLSPSDMSF -LKRNFRYHNTLKRIVAPLQLDSIYKTLEWFDPSPNINESMQYESICNSSLRELYFHFDQFTFQKCRSYML -EILQQNFPECVFELVNYDSLTESLCSTAIVVQEGRQRDHELVNTESECFDEKDWFDNYDIWNMALDHAMF -VIQWSAKVNYIDTKLSEFKKELSNELEILDKLVDPMPGMSKRQVYQTLLYSNDPLFRKQCDEYYEHLCKV -RALQATIDRLWAWLMRERQQFSIVTESDIVAEMTTGEEKNQDHRENVVDVAGSGTDMIGDSIPSSINVGQ -INDLDMSDFLRRPLAVTSFEVAVGSNVSYNVDIWNYFLSHPSVRAKLRNYAYLRGKMHIRVAISGTPFHY -GKVLVSYQPLAAYNKNLPMVDAQLSTDRILALTYLSQSRYARVMDIKDNQPLEMELPFISPQPVLRLFNK -SPLILGESTPYNDAYGFGKLYIQSINNVQCASSTPSTISVFVYAYMSDVQLGAPTGTVITIGTESEMDER -KRGPVEKVATRASEIAYQLTSVPVIAPFARASAMALEGVGALSSLFGFSVPTMENEPIRTKPQPYQNGSH -VIGYDTGKRITLDPKQELSIDPRVVQIDEDDMSLSAICSRESLLDTFTWSNGDLPLADSIWMAPINPGVV -KRVQKSPGGLYYVAPTALAFAATPFDVWRGDIQIRLEIVCSKYHRGKLAIYFEPNVSQNIVIDTILDMNK -QYVHIIDIQDTQDVTFNIKWAFPRAWAKVLNSYSLGDLGNVGFLGTDLFDYANGYIAITPFTKLQSPDSS -DISVNVYISSDDMQFNQLIPTNMPTSRPVTESGILSPIEMTRIDLNTSSANIDHISEEHYGEVPVSFRSL -LKRFCAVEPATVSPPIFGTGQKILILSGTKIIPDPSPKYDGLSSGYPSLFGYLRYAYIGLRGGVRHRLFT -AGNIQTSSLNPSIARIVPPSGTYVPKSTILNTSVGNRLMMGMEGSVTFIPETNGGIEFEAPFYTNNLFGI -SFSDDPFPNTSIVESKVTRNFEYSVSFSSATVGEVVWVGDNVAAGDDFSFMGFQGSPFYEYSS - ->YP_398835.1 capsid protein precursor [Aurantiochytrium single-stranded RNA virus 01] -MQFGDQARKLVGEIRSEPLLKASPMRAVYYMDYVYFITFYHGGQPTEIFTEAKEKDKSADKSNRKPSARE -RAAAFRKAMEQAEADIKAKVEAPFTTKSGVPFIDAMSLTELQARPDLGQDSATRKAYQVRMHGLAAQHAA -ELTAVLKNRREVFRAAKAQRRLERRGKILTESKEVGEMSMGGIDHTETHQNVQDHTGEASDRVTVGSSIQ -ETGDGSGTQYSLNSFFERPVAIYDATWDSGTEYNVNFEPWDLWSKDTSVRAKLANYSYFKGTMHIKIATT -GTPYHYGTIMASYQPYGVYNQNLIKYDEMMNATTPGPGPTLPAYKCYLSQAPGVAYIDVKENQPVELEIP -FISHKPKFRLFNNSPDVITNATSFVDFKEAGELRLVTLNKLAVANDDFDSDVSLNIYAWVTDVELGNITA -TDMDITAQSKDLTIFTEGRPKKKGFNRDKAEGDAKAIRKKAEQLEDELSSDDDDDSYNQRWSKEEDTRHK -TWAKSKEDKPMYGKGNFGERFMTSLEKGGDEYATPGPVANIATAVSNIGNSLKDIPVIGGFAKATSTIAN -GVGRVASWFGWSKPLVLDDPTFVKTIPFANGAVTIGKDTPHKISIDPKQELTVDPTLGGMDDDQMALLNI -TSRESYLTTFTWSDNDVAMTTILWKTFVTPYLGQYFSSGTTLFQPTALSFAAQPFMYWRGKIKFRFEVVC -SKFHRGKILFKFDPNVAMHALISSGSTKLNQQNTVILDIQDAQDITIEVDWAHVRNWASVPSPYALPYTN -SEAPIRNLSPTEANGFLEVRPLNELVQPTDSAEVAINVFVSSDDMQFNLMNPRYLPFQRNITTESMDVSS -DTINPNDSKVDEKIFLNHFGEKIFSFRQLLKRYVTTDGAQNVSGDQEGLGLITCNGTLYPTTLTRHANDD -NGQVIGLFDYLRYAYMGVRGGYRHRILINTGHDITRSSYTRVTLDEQSLTDAFFNTGSFKLTSPEPYFDI -YYNTINGALMFHHHTNGGIEFELPFYSENLFLLSFAEDYGYNSAEPGIGFSTSLQNASYSVETTCTLKGV -QTTVITDDTAPAEDFTFLRFQGAPFYTESADITDDMVVLPEGEVLSWSYNAKDQPFNPTYLDAQRVVRWV -VGAKAPKVISPYLEQYEV - ->YP_392465.1 polyprotein [Aurantiochytrium single-stranded RNA virus 01] -MDNFQSASHVALGRETAPFSNVKVNYADFLLRLQQSAEVVDLSSLKELFTNVFSDVTEEGTNFALSLMSL -FYRLYKLDSLTDLFFAALDFLKSVCGVNYLVDSAQFAFDFLKTTVTNLYNKLLVAPATTESAAVDVLRNL -RSNMSLVLNSDIVSAIRDFVLTLVTFRVFNKDTAFRITQTLGRARPATAVELAEICLSTAISVLNFSDQL -MSGASLSEIFCDKNPVAAFTSLADELDSLRALTYSGIPEDGKVCRREYLSRVIKCVKDGEALMEDLPRNA -PQRPQVQRSLKTVRLIKSDFLNMMTGESRPMPFCVCLVGMPGIGKGLLVDYVSMIWSHVKGREFDSSHVY -HRQATEEYWSGYEPASKPIIHYSEPGSLNRNIAKARGDPVMSEFLSVADNQPFMCNMADVDSKGTVFACP -ELIVMDTNEPTMNLDVLVNNPAAVRRRILYITPTVKPEFLATGTCRMDQAKSLASDTPKLDRWTFTVHSM -EPKDTKTSHTVMHLQGASIYELSDYLRARATKHVEQQAARCDLLSGENISMYLTPTTPVEAVVESSDLSP -VHTEELPRSVAFALAYQAFFINLEHMFWEWICALGPLVYWTIVLIFEAIFALILWFHPQNFFLKSFSLAM -WRGRLKHTSDKHSRSWNHFKATMGLENTYTPRIQPDYKVARYVAVLGGLLLLVKSYRAFSLFTEGSVLST -QKNWSEEEVDAALERVQRQSKCDMPPPREKRGNGVDWDDTDRPIPVPIDNQEQKNEPDKVVASVMKNLRV -MQIAGTRTIETRILGVCEDYALVNRHSICHDKNGVWEATIRVAPDHEIGVIKCTLDSREFVQVDEDVWLI -RIRGARFKDIKSYFASDYVTPPAYGSDGFIGHNPVRVKSYAPITAQDKNWGPVHVSRPLAYEWPSHAVGL -CGTPLILKYSNAFGIVGLHIAGSNGHLAFSQAIRFPDVAKALNTLSATTCSLGVNSEGRLRLPKKISGLG -PVTDRSPLRWEQVAGLSVYGGLVGYQAMKLGKSKLRSSGFIRHAEELTGVSPFKDGKPLYGAPPFSPGFN -PNTGEYQGPYNHFVKKCGVVKKSLHPEILRQTIDTVREHILSGLKAKGVTKIAPVTLEVAQNGHPEDFYM -RAMKPSTSGGWAWPGSKKKYSEQCSLDFKSDAYMPLYDVKEQVVEQLLAYERGEDALPLLGAQLKDEPRA -YKKIVDRKTRVFCMSPYESTLVNRMYLMPFYTLMVEHGDIFRTAIGINMHSQDVGDLVTRMTDFSDQFME -GDYGGYDTSMPYDIGLAANTVVYQVCQDLGYDSHALQMVRGILSDNLYPTVVMRGDVFAAPALQPSGKYA -TAEDNSLRGLILMVYAWISECTDIGDQCTGRARTTQFQPEDFFTQVLPVIYGDDMLAGVKPVAQQFYNNN -TYQTFCKEVYGLEFTNAQKTAEMANFLEWDDTSFLKRSFVFREDLQVWVAQLELASIMKSICYYLPSKSV -NEDDQLIDSCVSAMRELFFHLPKEEFEVRRTRFAEVCADLFNKDATDVLKVFPSFDCIRQSLYGVPT - diff --git a/seq/clusters_seq/cluster_32 b/seq/clusters_seq/cluster_32 deleted file mode 100644 index 12dc0f7..0000000 --- a/seq/clusters_seq/cluster_32 +++ /dev/null @@ -1,1223 +0,0 @@ ->YP_010087321.1 structural polyprotein [Botrylloides leachii nidovirus] -MSRSNASRTKSGLPNVFNLPPDRRDKDVAGRPDLFTKYKPSSQRKRNARPGSKRFQGKSGSNANGSQQSQ -PRVGEAKKSGNLVNESARSLQQLNGGRVFNQRQAPQRRRPQENANTQRTVNFRGDTYSVFRTFEINGVRV -LVSYTAAATAKAETFSNDKVSASARRTLSTITSPGQTITKTVVTYDKEGREVLHDYTLKKVRVTKASGRT -CDASEMLYSFALRFFERYDIETLRFADDFANAQFARLTRCFRYALHVGRDDQVAIPEANLLNLGQISGLA -NYDDDDALVIEICKIFEVPVPDAVLMKQIRDRFQSFNYSGPYQISPPRRAKAVEVKPSPLLQDSGSINPV -IKKEVTRPSAKAGPSGTTKPKILESSDEPVQEMKGPVEPLEKENTNEITRSQFDALVAFGVDRDDYVGCG -VISQIEIDGVDRVMIMTAEHVLDSAESVYINLAQENELCLSIDDCSVLDVRDSKPHDIIFIVFEDLSIET -VEALGIKPVPFNICDTTERTAAMSLCYTWDAGVCPKLSNIEPTSVTFNFDCDPNVLSRSWFGKSGAPVIV -DGAACGVVATCGYKPLTGRKSFEYSGLVILTGQGWQTIQDFSVEKTCYRTTKNDGLQAKLWVPLLLCLAF -QGSTQALHYYTGTTLDTFISTLEVMTEPQKTMTYLFSDEVKVAIVFTQDGTDPYTKWLFANNHPVAVFGF -NFITPTEDTTEYVAPDWFAEVINEAYVWDESFGVYNRIYTDMHARKWPKTKMQDFATAVGQLMSGAVDLP -LYPVVCVPKTTSGVTFNSVVGLSPEPFIHNYGCVPAVPCKAAIESYMTSSCGPRNIDGTKYINDFPFVSF -TGKPFDRKRFWYNGPKPGIAIDTIETIEGQDRINDLLNGIVPSLRLKLTHNSRPMYYYDSIATDPLAWLK -NRTISSYSTLDRSIWRKPMIPLQKSWLTYPRALVMPDDPTLALKKLYLRNYQMSSDDSASICTFPVDWGK -SHYIVSGALCGSEVKITRVPYFPLYVAKIRPATSHGSYYYDINRQIVRFVSCNPETLERFNASAPNNVSE -YTRCSQIEINSWIVPKVTNPLYVQLVKFVAAESIYPREQVIPKYNIYFEKAPSTPVAECTSISSIFASEL -ISCFLITNDSSLVLKHFGDRIYLVDHGTKAFHSLGLVSDEISVYQGETLKPENRTSKHCFPIQKLMHHGP -APKDASSTITYAKFGNTVYKLPAGHVTNTTATPGDWAPQSDAPSDPANDGSRDLPDLPTLSVSDGFGFKA -VLHCQNPPELHVGFSEDGQSLRLRSPGNMIRVRRSVTKQEGTVPFVQEFGYVNLKLSELCMEPSDDQCKL -FATVGSDSRPRVVKLTWNDCPISNSADQISYHLGDMSRTMCRHPVLAYVIVGLTSAVLFIVLSMVIYTCC -GACLAKCCWKICCRCRRTKSKRDLSEEEVSLLEIERPAQSSSEQETQLSFRSGNAGGRKTAAVRRSALAL -SILSVILNGADAFDISSEKVTLDGNKLLYSSVITSTSAVTNGYTFTYDIHPKLGNLVSDPKRLTVTVHDI -LCTYQNNVRYWTSDVHSMYEEKYKCCGTADGISDNYRLMSTDQHAMLYSHTFDGVFPCAWNWGACFCCGS -DNQAKTFMLFWPNNDWHPRNYAVCYNSHPVHSMAKLEVCLDHVCKQVNVSRLNPSVTALEGIEIRVSSLI -DIKCDYQGDYVLFDGNIYSISYSPYAGAKPGELGDVASRTTTYTLAPSDFYAAELKEELFPDAANGFRIK -ETEPGFVVFRKSVDQNVIRPISLSYDGHTTVTDVDKLQFTVEQHDLTAGSLTVQLTAKDVVFEAIHLYPI -ITNVTFSTRHIGCYNCDSPQELNVSFTSDIFANVYFSCFPAICVEQVFYAHKGHNIGSVRLLPSARNTHV -CFSFAGPNSTFCTHVTAEPPKSRILPDVHVVIDGNGTSSGSSGFWGHFWGIFGSIAAIIGGILLTALIIY -IIYKCCFASTRALTSLAVLAFQTKKTKKFRQDLTPWRKYG - ->YP_010087635.1 structural protein [Caaingua virus] -MAYYQPYPMPMQPTIPYPIQQQVVPPQDGTISQLIGALTKLTMRRPPQPRPRPVFQRQPFRPRRQRPPPK -KQPQPKKPPQKQTAPKKTAPPKNPKKKQTKRPGKAQRNTIKFEADTVLSVLNDGKVVGYATMVGDKLIKP -LHVKGEVDHPELKNLSFKKSTKLDLECADLPRQFIHQSLRHTVKRPSNGMGVYQWHHGPVQLADGRFTVP -TSDKGGKGDSGRPILDNEGKVIAIVLGGAEEGTRRSLSVLTWNPKNAFVKDTPEGTVEWSAITVLCVLAN -TTFPCSQPACYPCCYEKDAPGTLNLLSANLNSTGYYDLMNAVTTCNETTTRRRRSVHVNAYKLTTPYEAY -CADCGGKSCYSPVAIESVVDQAHQGQVRIQLSAQFGLLANDVENSDFVRYHQKTTRASAEMSKFSLKTTG -KCTIISALGYFVVARCPPGKSLTASLAVAGVKPCTVPFAHSHMALGRELSQSLPHQSRTIKCLSYHPDVE -DRNPEIPMHNPPMIPNGELIEETGGKVTIKVPSLYNVYYECRAGNSAEAAFIAQQKEVNLTGATKEHCRA -FQVDIRKWQFASSQLPRTETGPVGKVKVPFELIEADCQVSLAPMPRVKPGLRKVTLEFHPQYPTLLTTRN -LGDRNTTHEWINDTCSREFTVPESGFEYKWGNNDPERLWALPAAEGDPHGSLAEVVVYYYNRHPWTTVAT -AAVVGLAAAVSAFLTCCACRKIRRDCTNPYRLAPQAAVPTLMAVLCCIRGSRAETVTDSLKYLWTNNNEM -FWAQLLVPMAALVMLINACRCLSPLCFFALLGIGNVAVDAYEHTVAIPNALRSPYKSLVTRKGYAPLLLS -IEVVNTNIIPTLEQAYTTCAYKTVVLPPEVKCCGTLECRQKDKPDYQCRTFTGVYPFMWGGAMCFCDTEN -TQVSEAYVTESEACRLDSATAYKTHTAAASAQVRITLGNITGTINVRVNGASPGRLGDLKAVFGPLSESW -TPFNEKIVVYDGKVYNYDFPEFGDPRPGVLGDLQISGSTVVAGTGLTLDRPNAGAVHSPYTQRPSGFTMW -RRSIGPSLNDTAPFGCVINTSPVRATDCALGVIPASLDIPDAAFQRIIDAPTVTLKSCTVSVCTHSVDFG -GAATFEYTSSAQGTCPIASLSNVATVKENDVALASSGSASFRFSTAMVQPNFRISVCSATVTCEAKCSPP -SDKLVSKPVQYHAGEQAGVTSGLLSMAQIAGGSTVTIVLIAVVALAIVICVTNNKYYR - ->YP_009665989.1 structural polyprotein [Una virus] -MNYIPTQTFYGRRWRPRPAARPWQQPVQALPPPPEPQSQQLQQLIAAVNTLSLRAAPQPQRRRRRWQPKK -KKQAPKQNEKKQKNTKKTNPQKPKKKKKKNLGKRERMCMKIENDCIFEVKLDGKVTGFACLIGDKVMKPA -HVKGTIDNADLAKLAFKRSSKYDLECAQIPVHMRSDASKFTHEKPEGHYNWHHGAVQYSGGRFTIPTGTG -KPGDSGRPIFDNKGRVVAIVLGGANEGSRTALSVVTWNKDMVTRITPEGTVEWSAPLISAMCLLANVAFP -CSQPACAPCCYEREPAATLRLLEDNVNAPGYYSLLRATLTCNNATRHRRSVTQHYNVYKMTRPYIAYCSD -CGAGRSCQSPAALETIRADATDGTLKIQFSAQIGLTKTGTHDHTKIRYADGHEVEEASRTTLKVTTAGPC -VITGSMGHFILASCPPGDTLQVEFTDAKQHLHACRTAFSHRPVPVGREKFSSSPHHGRELPCTTYQLTTA -ETDEEISMHTPPDIPDRTFLSQQSGNVKITPGGKTIRYNCTCTGKPTGTTTVEKTINNCKVDQCFTYVTN -HVTLQYNSPFVPRADAVQRKGKVHVPFQLINSTCKVTLAPPPTVIHGKREITLRLHPHNPTLLSYRMLTS -EAQASEEWITAAAERTLAVPEGGMEYQWGNHDPVRLWSQLTSEGNAHGWPHEIIEYYYGLYPTATIAAVT -GMAIVCMMSFAGAVWMFLTARSKCLTPYALTPGAVVPMTVGLLCCAPKARAASVPESMAYLWNENQTLFW -MELAAPVAVLLIISYCLRHALLTCRGLSFLVLLSIGSAHAYEHTAVMPNMVGFPYKAHVDRSGFSPLTLQ -MQVVETSLEPSLNLEYITCDYKTVVPSPFIKCCGNTECKTKAKPDYRCQTYTGVYPFLWGGAYCFCDTEN -TQMSEAYVDRADVCKHDHAAAYKAHTTSLKAKILISYGTVNQTVEAFVSGEQAVNVAGTRFIFGPLSSAW -TPFDTKIVVYKDEVYNLDFPPYGSGQPGRFGDIQSRTTTSADVYANTGLKLLRPASGNVHVPYTQTPSGF -KYWLKERGRALNAVAPFGCIIKTNPVRAMNCAVGNIPVSMDIPDSAFTRVVDAPVVSELQCTVASCTHSS -DFGGVAAVTYKTDKAGKCAVHSHSNVATLQEISMDVTEAGKGILHFSTATASPSFVVSICGARATCTASC -TPPKDHIVPYPAKHNSIVFPDVTGTAMTWVQRLAGGFGTLTAVALIILILVTCVTLRRK - ->YP_009665987.1 structural polyprotein [Trocara virus] -MFPFPRPNPFYMRAPVAPMPQRRRRPRGPGLAAQVQSLTNAISALVMGQARGPAAKPAPPRRKRVVKKKQ -TNQQSNQPQKKKQQPKKKPQADKKKSKVKPGKRQRMAMKFEADKIFPVKDEDGKTLGYAVAVEDKVMKPL -HVKGIIDHPALAKLKFTKSSMYDMEFAKLPTNMRSDSFKYTTERPEGFYNWHHGAVQYTGGRFSIPTGSG -NPGDSGRPILDNSGRVVAIVLGGSNQGSRTELSVITWGKSGKADLTEPEGTVKWSAATTLCIFANMTFMC -MFPPTCYDKEPAKALTILEENVDNPAYDDLLFGILRCGSRRPKRSTELNNYHLSAPYVSFCPECDYSHQC -HSPVAIEHVWSDSDDGTIRIQSSMQFGLDENGTTNVNKVRFALQRKEHGYADSHINSLTISTSSTCKIGG -YKGYFLQAKCPPGDSVTLRVRLNNGFHSCTVRKRVSYGNIGNEKFQHPPHYGKKLPCHTYQHLQNDGDDY -IFMHRQGPHAHKDFVSEEGGKVYIVPPNGQTLEYECNCTSPTSGTTNTRKEVDGCKKSSQCVAFLRDNTK -WVYQSQYLRRADDSNAKGKLHMPFPLIRGECIVPLAREPIVEHMYKSIKLRLFADNPTLLRARTLNAEPE -LTEKWITGTADVTLAVPLEGIEYTWGNHDPVRLYALESSEGDPHGLPHEALIYHFNENPVWTVVIGVGLV -TAAVTAVSLTACLCSKARQTCLTPYMLAPNARVPTLLALLCCIRTAKAATFAETMTYMWENNQYMFWCQL -LIPLAAIITIIRCCGFALPFLLVAGASVRTVGAYEHSVNVPNNIYSPFKAVVDRPGYSPYPLSITVLESQ -IIPALDLQYITCKYRTVVPSPHIKCCGNLECKYVNKPDYRCKIFSGVYPFMWGGAQCFCESENTQMSEAY -VDRSPDCKTLNAKAYRAHTANFKAKLNITFGDKYEVVEAFANGVTKVNAGQLTAVLGPMASPWSPFDNKI -VLYKDKVYNYDFPEYGAGLPGAFGDIQMMSPTSADLYARTNLKLLRPNSGQIHVPYTQAPSGFVHWTNNS -GKSLQDTAPFGCNIKTNPIRAENCAVGSIPVSLDIPDAEFTRVSEAPHITSASCTITSCTSSTEAGGVIH -IEYKAAKPGKCAVQALSSVVTITESNADIRTEGTLELHFSTAAIQADFRVLVCASGVQCTGPCRPPKEHI -VAKPQLTTKEFKNAISSTSWNWVYALLGSTSSLLLVGVIIFFLIYMVSAPRKG - ->YP_009665183.1 E1 protein, partial [Trocara virus] -GAQCFCESENTQMSEAYVDRSPDCKTLNAKAYRAHTANFKAKLNITFGDKYEVVEAFANGVTKVNAGQLT -AVLGPMASPWSPFDNKIVLYKDKVYNYDFPEYGAGLPGAFGDIQMMSPTSADLYARTNLKLLRPNSGQIH -VPYTQAPSGFVHWTNNSGKSLQDTAPFGCNIKTNPIRAENCAVGSIPVSLDIPDAEFTRVSEAPHITSAS -CTVTSCTSSTEAGGVMHIEYKAAKPGKCAVQALSSVVTITESNADIQTEGTLELHFSTAAIQADFRVXVC -ASGVQCTGPCRPPKEHIVAKPQLTTKEFKNAISSTSWNWVYALLGSTSSLLLVGV - ->NP_690589.2 structural polyprotein [Chikungunya virus] -MEFIPTQTFYNRRYQPRPWTPRPTIQVIRPRPRPQRQAGQLAQLISAVNKLTMRAVPQQKPRKNRKNKKQ -KQKQQAPQNNTNQKKQPPKKKPAQKKKKPGRRERMCMKIENDCIFEVKHEGKVTGYACLVGDKVMKPAHV -KGTIDNADLAKLAFKRSSKYDLECAQIPVHMKSDASKFTHEKPEGYYNWHHGAVQYSGGRFTIPTGAGKP -GDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWNKDIVTKITPEGAEEWSLAIPVMCLLANTTFPCSQ -PPCIPCCYEKEPEETLRMLEDNVMRPGYYQLLQASLTCSPHRQRRSTKDNFNVYKATRPYLAHCPDCGEG -HSCHSPVALERIRNEATDGTLKIQVSLQIGIGTDDSHDWTKLRYMDNHIPADAGRAGLFVRTSAPCTITG -TMGHFILARCPKGETLTVGFTDSRKISHSCTHPFHHDPPVIGREKFHSRPQHGKELPCSTYVQSNAATAE -EIEVHMPPDTPDRTLLSQQSGNVKITVNSQTVRYKCNCGGSNEGLITTDKVINNCKVDQCHAAVTNHKKW -QYNSPLVPRNAELGDRKGKIHIPFPLANVTCMVPKARNPTVTYGKNQVIMLLYPDHPTLLSYRSMGEEPN -YQEEWVTHKKEVVLTVPTEGLEVTWGNNEPYKYWPQLSANGTAHGHPHEIILYYYELYPTMTVVVVSVAS -FILLSMVGMAVGMCMCARRRCITPYELTPGATVPFLLSLICCIRTAKAATYQEAAVYLWNEQQPLFWLQA -LIPLAALIVLCNCLRLLPCCCKTLAFLAVMSIGAHTVSAYEHVTVIPNTVGVPYKTLVNRPGYSPMVLEM -ELLSVTLEPTLSLDYITCEYKTVIPSPYVKCCGTAECKDKNLPDYSCKVFTGVYPFMWGGAYCFCDAENT -QLSEAHVEKSESCKTEFASAYRAHTASASAKLRVLYQGNNITVTAYANGDHAVTVKDAKFIVGPMSSAWT -PFDNKIVVYKGDVYNMDYPPFGAGRPGQFGDIQSRTPESKDVYANTQLVLQRPAAGTVHVPYSQAPSGFK -YWLKERGASLQHTAPFGCQIATNPVRAMNCAVGNMPISIDIPDAAFTRVVDAPSLTDMSCEVPACTHSSD -FGGVAIIKYAVSKKGKCAVHSMTNAVTIREAEIEVEGNSQLQISFSTALASAEFRVQVCSTQVHCAAECH -PPKDHIVNYPASHTTLGVQDISATAMSWVQKITGGVGLVVAVAALILIVVLCVSFSRH - ->YP_009333616.1 structural polyprotein [Tai Forest alphavirus] -MFRRSNNNRQPRRETRGPQPRRGPPPYRPPRANMSLTRQVEALTTAVQKLVVVNKRRPDKEQTNTQQRQA -AAQKAVARKPPPSKKPATPKRKPGRRERTALRLQADRVFPILSDQQVTVGYAVALEGRVMKPLHVKGTID -HPLLASLKFTKSTSFDMEYAILPLSMRSDAFSYTSEHPDGFYSWVHGAVQCTNGRFSIPTGAGGPGDSGR -PVLDNTGKVVALVLGGANEGSRTALSVVTWNSSGTASKTTPEDTVEWSAMVTALCVLGNATFPCSTPPIC -FDSSPGDTLGMLEDNVDHPQFYDLRNAVLTCDHLPSPKRIRRSTQPSPDGYRLATPYIGRCAACNNGTTC -FSPIKIEAAWTSPHTAVLKLQLSVLFGIGTSGQPDPAVVSYVSPDDHQTKSTAATDLTITTSGPCIVTAS -RGFFVLAQCPPGDIVTVSLLGHYCSIEFSHVRPFVGNEEFATSPLHGTRRPCLVYDAARFSSDTEITLHR -ARPQAVSSLLSLQNGTVYITVPENRTVTYECLCDAAHSGYVKASSPLPGCTRVAQCVATLNDETRWVPNT -DDFIRHSDHTPRGKVEVPFPLQSGECLVPLARAPSIRYSRNHVELTLVSTRRTLLTTRQLGDDANATAEW -IEASARRGFYLPPEGLEFTWGNNLPSRVWPQASASGNAHGYPHELVAYHYSRAPFLTVVVLAAILAAILS -SVLCCCWSWSSLRSLLRSPYALAPNATVPMCLTLLCCVRQAKADTYFDATSYLWNNYQPLFWAQLAIPTA -TIFLLLRCCSLAVAFLAVAGAGLPVARAHEHAANVPNSPLLSYRALVERPGYTPLPLELKVIDTRIQPTT -LTHYYTCPYKTEVQSPKVKCCGSLQCGSSNLPDYRCQVFTGVYPFMWGGAQCFCESENSQMSEVHVSADP -SCSVDHAVAVSVQNPVVKATLMVKVGNSSTTIGVYANGVSPGYTNGAKLIVGPLSTAWSPFADKLVIYQG -RVYNYAFPEYGAGLPGSLGDLQLPSLTSKDFFANTGLVLNRPDTSSLHVPYTQVPSGFLSWRDQRPPDLQ -QTAPYGCTIHSNPLQAVNCSFGSIPVSIDIPEAAFTRSYDAPSITSLKCTPIECVHSAGYGGLVRLDYVA -DKLGSCGIHSHDDAVLLKDSLIKVNTSGAYTGLFSTASPAVDFEVSLCSARATCTTSCKPPTEHAAPHPH -LTSQTFESAITSSGWAWLFTLFGGSASLLTVGALIAVAILLISHLRR - ->YP_009508089.1 structural polyprotein [Mosso das Pedras virus] -MFPFQRAMYPMQPMSYRNPFAAPRRPWFPRSDPFLAMRVEELARSMANLTFKQRRSNPPDGPPAKRRRRT -NQGNGQTNQNNGQRSSKAKAKQQKKKPPAAKGPKNTQKTAKSKNKPGKRQRTAMKLESDKTFPIRLDGKI -NGYACVVGGKLFRPLHVEGKIDNDILAALKTKKASKYDLEYADVPQSMRSDTFKYTHEKPRGYYSWHHGA -VQYENGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGANEGSRTALSVVTWNERGVTVKYTPENCEPWS -LVTTMCLLANISFPCSQSPLCYDKKPADTLALLSANVDNPGYDLLLESVLSCPGRKKRSTEELFKEYKLT -TPYMARCSRCAVGSCHSPIAIESVRSDGHDGYVRLQTSSQYGLDSSGNLKGRTMRYYMHGKIEEIPLHEV -TLRTSQPCHIVDGHGYFLLARCPPGDSVTMEFKKESVLHSCTVPYEVKFTPAGRELYSQPPEHGVEQPCH -VYAHDAMVRGSYVEMHMPGSEVDSSLLSMSGSSVKVTPPAGMSVFVDCKCSSGQITETVNSEKTYSQCTQ -TGQCRAYRLQSTKWVYNSDKLPRAAGDTLKGKLHVPYLLTEAKCTVPLAPEPVVKYGFRSVSLKLHPKHP -TYLTTRHLTGDPGYTHELISEPTVKNFTITEQGWEYVWGNHPPKRLWAQETAPGDPHGLPHELVTHYYHR -YPLTTSIGVSICVSIVVISCAASTWLFCKSRNTCLTPYRLTPNAQLPLCVAVLCCARTARAETMWESLDH -LWNNNQQMFWIQLLLPLAALIVLTRVLKCMCCMVPFLVLAGAAGAGAFEHATTMPNQVGMPFNTIVNRPG -YAPLAISVTPTKVQLVPTLNLEYITCHYKTGLDSPAINCCGTQECSPATRPDEHCKVFTGVYPFMWGGAY -CFCDTENTQVSKAYVMKSDDCTQNYASAYKAHTASVQAQLNVTVGDHFTTATIYVNGETPINFNGVKLVA -GPLSTAWSPFDRKIVQYAGEVYNYDFPEYGAGHPGAFGDIQARTTSSADLYANTNLVLQRPKSGSIHVPY -TQAPSGFSQWVKDKPPSLKYTAPFGCEVHVNPVRAENCAVGSIPLSFDIPDALFTRVSETPTLSTAECTL -NECVYSSDFGGIATVKYAASKTGKCAVHIPSGTATLRESIVEITPEGTQTFHFSTASIHPDFKIQICTTM -VHCSGDCHPPKDHIVTQPQRHVQTYTAAVSKTAWTWLTSLLGGSALIIIIGLVLATLVAMYVLTNQKHN - ->YP_009507805.1 structural polyprotein [Tonate virus] -MFPYQPTMFPMQPAPFRNPYAAPRRPWFPRTDPFLAMQVQELARSMANLTFKQRRGAMPGGPPAKKKRKE -PQQQVTQAQDKKKNGKMKKKKRNGAAPPKNKNSPKKKTNKKPGKRQRMVMKLESDKTFPIMLDGKINRYA -CVVGGKLFRPMHVEGKIDNDTLAALKMKKASKYDLEYADVPQNMRSDTLKYTHEKPQGYYNWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGANEGSRTALSVVMWTEKGVTVKYTPENCEQWSLVTT -LCLLANVTFPCSTPPICYDRAPAETLTMLSTNIENPGYDELLEAVLKCPGRQKRSTEALFKEYKLTRPYM -AKCVRCAVGSCHSPIAIEAVRSDGHDGYIRLQTSSQYGLDPAGNVKSRVMRYNLYGKIVEIPLHEVSLHT -SRPCHIIDGHGYFLLARCPEGDSITMEFRKDSVTHSCSVPYEVKFTPVGRELYSHPPEHGAEHPCRVYAH -DAQQKDAYVEMHLPGSEVDSSLLSMSGSAVRVTPPSGQNVLVECNCGSAVSETISTAKTYNQCTKTSQCR -AYRLQNDKWVYNSDKLPKASGETLKGKLHVPYLLSDAKCTVPLAPEPIVTFGFRSISLKLHPRNPTYLTT -RQLDGEPNYTHELISEPSIRNFTVTEHGWEYVWGNHPPQRYWAQETAPGNPHGLPHEVIIHYYHRYPMST -TLGLSICAAVVITAIAASTWLFCKSRVSCLTPYRLTPNAQLPVCLAFLCCARTARAETTWESLDHLWNHN -QNMFWSQLLIPLAALIVLTRLLKCLCCVVPFLVLAGAASVGAYEHATTMPNQAGMPFNTVVNRAGYAPLV -ISITPTKVRLIPTLNLEYVTCHYKTGLDSPSIKCCGTQECPKVNRPDEQCKVFTGVYPFMWGGAYCFCDS -ENTQLSRAYVTKSDDCSADHALAYKAHTASVQAFLNITVGEQSTTAVVYVNGETPISFNGIRLVAGPLST -AWTPFDRKVVQYAGEIYNYDFPEYGAGHAGAFGDLQARTTTSNDLYANTNLVLQRPTAGTIHVPYTQAPS -GFEQWKKDKPPSLKYTAPFGCEIYVNPVRAENCAVGSIPLAFDIPDALFTRVSETPTLSNAECSLNECVY -SSDFGGIATVKYTASKSGKCAVHIPSGTATLKESLVDVVEQGSLTLHFSTANIHPEFKLQICTTHLTCKG -DCHPPKDHIVTHPQLHAQTFTAAVSKTAWTWLTSLLGGSAVIIIIGLVLATVVAMYVLTNQRHN - ->YP_009507803.1 structural polyprotein [Rio Negro virus] -MFPFQRTMFPMQPMPFRNPFPAPRRPWFPRPDPFLAMRVEELARTMANLTFKQRRNANPGGPPAKKRKPA -NQGNGSGKVNGKKNNQPQGKKQKKKNPGKTGNQTGKKNAKAKNKPGKRQRMVMKLESDKTFPILLDGRVN -GYACVVGGKLFRPMHVEGKIDNDVLSTIKTKKASKYDLEYADVPQNMRSDTFKYTHEKPQGYYNWHHGAV -QYENGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGANEGSRTALSVVTWNEKGVTVKYTPENCEQWSL -ITTMCILANVTFPCAQPPMCYDKQPSETLALLSANVDNPGYDELLDGVLRCQGRSKRSLDDLFKEYKLTK -PYMATCARCAVGSCQSPVAIESALSEGHDGYVRLQTSSQYGLDPSGTVKGRTMRYYLHGKIEEIPLHEVT -LRTSQPCHIVDGHGYFLLARCPAGHSITLEFKKNAVLHSCTVPHEVKFNPVGRELYSQPPEHGVEHPCHV -YAHDAQVRGSYVEMHLPGSEVDSSLLSMSGNAVKVTPPAGTNVFVECKCSSGQISETTSQAKTYNQCTQT -SQCRVYRLQMDKWVYNSDKLPKAAGETLKGKLHVPYLLTGAKCTVPLAPEPVLRFGYRSVSMKLHPKHPT -YLTTRHLTGEPQVTHELITEPTTKNFSVTDQGWEFVWGNHPPKRFWAQETAPGDPHGMPHEIITHYYHRY -PLTTTVGLSICAAIIALSCGATTWMLCKSRNTCLTPYRLTPNAQLPFCVALACCARTARAETAWESLDHL -WNNNQQMFWVQLLLPLAALIVLARIIKCLCCVVPFLVLAGAVGAGAFEHATTMPNQVGMPFNTIVNRPGY -APLAISVTPIKVKLVPTLNLEYITCHYKTGLDSPAIKCCGIQECTAATRPDEQCKVFTGVYPFMWGGAYC -FCDTENTQVSKAYVTKSDDCTHNHATAYKAHTASVQAQLNITVGDLFTTAIIYVNGETPVNFNGVKMVAG -PLSTAWTPFDRKIVQYAGEVYNYDFPEYGAGHAGAFGDIQARTTSSPDVYANTNLVLQRPKAGFIHVPYT -QAPSGFAQWVKDKPPSLKYTAPFGCEIQVNPVRAENCAVGSIPLSFDIPDALFTRVSDTPTLSTAECTLN -ECVYSSDFGGIATVKYAASKPGKCAVHISSSTATLKESVVSLTEEGSLTFHFSTASIHPEFTMQICTSEV -LCRGDCHPPKDHIVTQPQQHVQTYTAAVSKTAWTWLTSLLGGSAAIIIIGLVLATIVAMYVLTNQRRN - ->YP_009507801.1 structural polyprotein [Pixuna virus] -MFPFTPAMYPMQPMPFRSPFPAPRRPWFPRPDPYLALQVQELARSMANLTFTQRRESQGEGPPAKKKRKN -PRQPVQRNQNGMKKGERGKKKKQRENAPQKNQAKKKKVNNKPGKRQRMVMKLESDKTFPIMLEGRTNGYA -CVVGGKLFRPMHVEGRIDNDALAALKTKKASKYDLEYADVPQSMRADTFKYTHEKPQGYYSWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWNEKGVTVKYTPENCEQWSLVTT -MCLLANVSFPCSQPPMCYDHKPAATLALLSANVDRPGYDDLLEAVLKCPGRARRSTDELFKEYKLTRPYM -AKCIRCAVGSCHSPIAIEAVRSDGHDGYVRIQTSSQYGLDPSGSVKRRTMRYNMHGKIEEVPLHEVSLHT -SRPCHIVDGHGYFLLARCPPGDSITMEFKKEHVTHLCSVPYEVRFTPVGRELYTHPPEHGAEHPCRVYAH -DAQHHGAYVEMHLPGLEVDSTMLSLSGGAVQITPPTGKTAFVECKCGAQISETVSTVKKYTQCTKTTQCR -AYHMQNDKWVYNSDKLPKAPGETLKGKLHVPYLLTDARCTVPLAPEPVVSFGFRSVSLRLHPRHPTYLTT -RGLDGESGHTHELITEPVTRNFTVTEKGWEFVWGNHPPKRYWAQETAPGDPHGLPHEMVVHYYHRYPTPT -IVGLTLCAAIIAISASASAWLLCKSRASCLTPYRLTPNVKLPVYLAILCCARTARAETAWESLDHLWNHN -QQMFWIQLLIPLAALIVLLRVLKCVCCVLPFLVLAGAVGAGAYEHATTMPNQVGIPYNTIVNRAGYAPLA -ISVTPTKVKLIPTLNLEYITCHYKTGLDSPAINCCGSQECTAARRPDEQCQVFTGVYPFMWGGAYCFCDS -ENTQVSRAYVMRSDDCASDHAAAYKAHTASVQAFLNVTVGGRFTTVAVYVNGETPIDFNGVKMTAGPLST -AWTPFDRKIVQYAGEVYNYDFPEYGAGRAGAFGDIQARSISSTDLFANTNLVLQRPKSGTIHVPYTQAPS -GFEQWKRDRPPSLRFTAPFGCDVLVNPVRAENCAVGSIPLTFDIPDALFTRVSDTPTLSNAECTLNECVY -SSDFGGIASVKYSASKAGKCAVHVPSGTATLKESIVDLTEEGTLTVHFSTASIHPDFRLQICTTFVTCRG -DCHPPKDHIVTHPQYHSQSFSSAVSKTAWTWLTSLLGGSAVVLVVGLSLAAIVAIYIFTQKHK - ->YP_009507799.1 structural polyprotein [Mucambo virus] -MFPYQSTMFPMQPAPFRNPYAPPRRPWFPRTDPFLAMQVQELARSMASLTFKQRRDTPPEGPPAKKKRKE -PQQQVAQAQVKKKNGKPKKKKSNGAPPPKNQKSTKKKTNKKPGKRQRMVMKLESDKTFPILLDGKINGYA -CVVGGKLFRPMHVEGKIDNETLASLKTKKASKYDLEYADVPQSMRADTFKYTHDKPQGYYNWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWNEKGVTVKYTPENCEQWSLVTT -MCLLANVTFPCSTPPICYDRAPAETLMMLSKNIDNPGYDELLEAVLKCPGRQKRSTEELFKEYKLTRPYM -AKCVRCAVGSCHSPIAIEAVRSDGHDGYIRIQTSSQYGLDPSGNVKSRVMRYNLYGKIVEVPLHQVSLHT -SRPCHIIDGHGYFLLARCPEGDSITMEFKKDSVTHSCSVPYEVKFTPVGRELYSHPPEHGTEHPCRVYVH -DAQQKDAYVEMHLPGSEVDSSLLSMSGRAVRVTPPSGQSVLVECNCGSAVSETINTAKSYSQCTKTSQCR -AYRLQNDKWVYNSDKLPKASGETLKGKLHVPYLLSEAKCTVPLAPEPIVTFGFRFVSLKLHPRNPTYLTT -RQLDGEPNYTHELISEPTTRNFTVTEHGWEYVWGNHPPQRYWAQETAPGNPHGLPHEVITHYYHRYPMST -IFGLSICAAVVTTSIAASTWLLCKSRVSCLTPYRLTPNAQLPVCLAFLCCARTARAETTWESLDHLWNHN -QQMFWSQLLIPLAALIVVTRLLKCMCCVVPFLVLAGAASVGAYEHATTMPNQVGIPYNTVVNRAGYAPLA -ISIIPTKIRLIPTLNLEYITCHYKTGLDSPFIKCCGTQECPKVNRPDEQCKVFTGVYPFMWGGAYCFCDS -ENTQISRAYVMKSDDCSADHALAYKAHTASVQAFLNITVGEQSTTAVVYVNGETPVNFNGIKLVAGPLST -AWTPFDRKVVQYAGEIYNYDFPEYGAGHAGAFGDLQARTITSNDLYANTNLVLQRPNTGTIHVPYTQAPS -GFEQWKKDKPPSLKYTAPFGCEIHVNPVRAENCAVGFIPLAFDIPDALFTRVSETPTLSSAECSLNECTY -STDFGGIATVKYSASKSGKCAVHVPSGTATLKESLVEVVEQGSMTLHFSTASIHPEFKLQICTKVLTCKG -DCHPPKDHIVTHPQHHAQTFTAAVSKTAWTWLTSLLGGSAVIIIIGLVLATVVAMYVLTNQKHN - ->YP_009507797.1 structural polyprotein [Everglades virus] -MFPFQPMYPMQPMPYRNPFAAPRRPWFPRTDPFLAMQVQELTRSMANLTFKQRRGAPPEGPPAKKSKREA -PQKQRGGQRKKKKNEGKKKAKTGPPNLKTQNGNKKKTNKKPGKRQRMVMKLESDKTFPIMLEGKINGYAC -VVGGKLFRPMHVEGKIDNDVLAALKTKKASKYDLEYADVPQNMRADTFKYTHEKPQGYYSWHHGAVQYEN -GRFTVPRGVGARGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWNEKGVTVKYTPENCEQWSLVTTM -CLLANVTFPCAQPPICYDRKPAETLAMLSANVDNPGYDELLKAAVTCPGRKRRSTEELFKEYKLTRPYMA -RCVRCAVGSCHSPIAIEAVKSDGHDGYVRLQTSSQYGLDPSGNLKSRTMRYNMYGTIEEIPLHQVSLHTS -RPCHIVDGHGYFLLARCPAGDSITMEFKKDSVTHSCSVPYEVKFNPVGRELYTHPPEHGAEQACQVYAHD -AQNRGAYVEMHLPGSEVDSSLVSLSSGLVSVTPPAGTSALVECECSGTTISKTINKTKQFSQCTKKEQCR -AYRLQNDKWVYNSDKLPKAAGATLKGKLHVPFLLADGKCTVPLAPEPMITFGFRSVSLKLHPKYPTYLTT -RELADEPHYTHELISEPSVRNFSVTAKGWEFVWGNHPPKRFWAQETAPGNPHGLPHEVIVHYYHRYPMST -ITGLSICAAIVAVSIAASTWLLCRSRASCLTPYRLTPNAKMPLCLAVLCCARSARAETTWESLDHLWNNN -QQMFWTQLLIPLAALIVVTRLLKCMCCVVPFLVVAGAAGAGAYEHATTMPNQAGISYNTIVNRAGYAPLP -ISITPTKIKLIPTVNLEYVTCHYKTGMDSPTIKCCGSQECTPTYRPDEQCKVFAGVYPFMWGGAYCFCDT -ENTQISKAYVMKSEDCLADHAAAYKAHTASVQALLNITVGEHSTVTTVYVNGETPVNFNGVKLTAGPLST -AWTPFDRKIVQYAGEIYNYDFPEYGAGQPGAFGDIQLRTVSSSDLYANTNLVLQRPKAGAIHVPYTQAPS -GFEQWKKDKAPSLKFTAPFGCEIYTNPIRAENCAVGSIPLAFDIPDALFTRVSETPTLSAAECTLNECVY -SSDFGGIATVKYSASKSGKCAVHVPSGTATLKEASVELAEQGSVTIHFSTANIHPEFRLQICTSFVTCKG -DCHPPKDHIVTHPQYHAQTFTAAVSKTAWTWLTSLLGGSAVIIIIGLVLATLVAMYVLTNQKHN - ->YP_009507795.1 structural polyprotein [Cabassou virus] -MFPYQPSMYPMQPAPYRPYPAPRRPWYPRTDPFLALQVQELARSMANLTFKQRRESPPEGPPAKKKKREP -QQAATPIKNAQKKNGKGKKKKPKGAVQPKNQPASKKKPNKKPGKRQRMVMKLESDKTFPIMLDGKINGYA -CVVGGKLFRPMHVEGKIDNETLAALKTKKATKYDLEYADVPQSMRADTFRYTHEKPQGYYNWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWTEKGVTVKYTPENCEQWSLVTA -VCLLANVTFPCSQPPICYDRKPSETLAMLSENIDNPGYDVLLDSVLKCPGRQKRSTEELFKEYKLTKPYM -AKCIRCAVGSCHSPIAIEEVRSDGHDGYIRIQTSSQYGLDPSGGVKSRTMRYNLQGNIEEIPLHEVSLHT -SRPCHIIDGHGYFLLARCPEGDSLTMEFKKDTVTHSCSVPYKVKFIPVGRELYTHPPEHGTDHPCRVYAH -DAQKRGAYVEMHLPGSEVDSTLLSMSGGAVQVNPPAGTNVLVECNCGTQISETVSTVKKFNQCTQTNRCR -AYRLQSDKWVFNSDKLPKASGDTLKGKLHVPFLLSEAKCTVPLAPEPVVSFGFRSVSLKLHPNNPTYLTT -RHLGGEPQYTHELISEPVVKNFSITEKGWEFVWGNHPPQRFWAQETAPGNPHGMPHEIVTHYYYRYPMST -VVGLSICAAIVIISIAASLCLLCKSRVSCLTPYRLTPNARLPICLALLCCARPTRAETTWETLDHLWNNN -QQMFWLQLLIPLAALIVITRILKCVCCFVPFLVLAGAAGAGAYEHATTMPSQVGIPFNTIVNRAGYAPLA -ISITPTKIQIIPTLNLEYITCHYKTGLDSPAVKCCGTQECSEVTRPDEKCKVFTGVYPFMWGGAYCFCDT -ENSQVSKAYVMKSDDCAADHAQAYKAHTAAAQAFLNITVADQSTTTIVYVNGETPVNFNGIRLTAGPLST -AWTPFDRKIVQYAEEVYNYDFPEYGAGTAGAFGDIQARTTTSTDLYANTNLVLQRPKSGTVHVPYTQAPS -GFEQWKKDKPPSLKYTAPFGCEIKVNPIRAENCAVGSIPLSFDIPDALFTRVSETPTLSNAECTLNECVY -SSDFGGIASVKYSATKAGKCAVHIPSGTATVREATVDLVEQGSLTVHFSTANIHPEFRLQICTTYVTCKG -DCHPPKDHIVTHPQYHAQTFTAAVSKTAWTWITSLLGGSAVLVVIGLILAIIVATYVLTGQRRY - ->YP_009058893.1 structural polyprotein [Middelburg virus] -MNYIPTQTFYGRRWRPRPAARPWVAPPPVYYPPPPPVPVDPQAQQMQQLIAAVNTLAIRQNGTRTPGQQR -RKRQPNKPKRKQTPPKKQNPAKTKNKQKPQPPKPKKRKPGKRERKCMKIENDCIFEVKLEGKVTGYACLV -GDKVMKPAHVKGVIDNPDLAKLAFKKSSKYDLECAQIPVHMKSDASQFTHEKPEGHYNWHHGAVQYLNGR -FTIPTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWNKDMVTRITPEGTEEWTALVTTACI -LSNLTFDCSLPPCAPCCYEKDAEGTLRMLEDNVDNPGYYDLLAASTHCDAPQRRRRRGLTEDYEAYKLTK -PYIAYCSDCGNGQFCYSPIAIERVRAEASDGMLKIQISAQIGLQVDGAHSWTKIRYMKGHDVEDTDRNSL -EVFTTGECTVHGTMGHFIVATCPEGDSLTVAFVDKHKVRHACRIAYKHRVPVLGREHFTVRPHHGVELPC -TTYAMRTSVTTEEIEMHVAHDVPDNTFLSKTGNKVKITPKGKSIRYNCTCGSKESGVTKQDKEFDNCEVS -QCHTMVTAHDKWQFNSPYVPRAGSGKKGKIHVPFPLSNSTCRVPLAPLPNTIPAKNGITLQLHPVAPTLL -TYRTLGEKPEHHTEWISESCERTLPVPEEGLEYTWGNHAPVRLWAQLTTKGSAHGMPHEIFSYYYGLYPA -TTVAVCVGLACVILLALSASCCLCVSARNKCLTPYALTPGAVVPCTLSLLCCAPRAKAATFAETAAYLWD -ENQTVFWMQFAIPVACFMIVTYCLRHLMLCCRTASFLVAVSLGMGATQAYEHSVTLPNAVGFPYRAHVDR -PGFSPLTLHMEVVSTSLEPTLALDYVTCEYKTVVPSPKVTCCGMSECAHQQKADFQCKVYTGVYPFLWGG -AYCFCNSENTQLSEAYVERSEVCKHDHAAAYRAHTAALKAKIRVTYGSTNGTAEAFVNGESTARIGDLKM -ILGPISTAWSPFDPKIVVYKDEVYNHDYPPYGSGQPGRFGDLQSRTTESNDVYANTALKLARPSAGTVHV -PYTQTPSGFKYWLKEKGDALNHKAPFGCIIKTNPVRAENCAVGNIPVSLDIPDAAFTRIVDAPSLTGLKC -EVATCTHSSDFGGTLVVEYKTDKVGTCAVHSESNTAVMQETSLSVTMDGRGTLHFSTASASPSFVLKVCS -SKTTCTAKCVPPKDHVVPFPANHNNVVFPDFSSTAVSWLTHTMGGATVVIAIGITIFLIVTCIAFSRH - ->YP_009020571.1 structural polyprotein [Madariaga virus] -MFPYPTLNYPPMAPVNPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIANLTFKQRAPNPPAGPPAKRKK -PAPKPKPAAPKKKRQPPPAKKQKRKQKPGKRQRMCMKLESDKTFPIMLKGQVNGYACVVGGRVFKPLHVE -GKIDNEQLAAIKLKKASIYDLEYGDVPQCMKSDTLQYTSEKPPGFYNWHHGAVQYENNRFTVPRGVGGKG -DSGRPILDNRGRVVAIVLGGANEGSRTALSVVTWNQKGVTVKDTPEGSEPWSLTTVMCVLANITFPCDQP -PCMPCCYEKNPHETLSMLEQNYDSQAYDLLLDAAVKCNGRRTRRDLETHFTQYKLARPYIADCSNCGHGR -CDSPIAIEDIRGDAHAGYIRIQTSAMFGLKSDGVDLAYMSFMNGKTLKAIKIEHLYARTSAPCSLVSYHG -YYILAQCPPGDTVTVGFQDGANKHMCTIAHKVEFKPVGREKYRHPPEHGVELPCTKYTHKRADQGHYVEM -HQPGLVADHSLLSMSSTKVKITVPSGSQVKYYCKCPDVKEGTTGSDYTTACTNLKQCRAYLIDNKKWVYN -SGKLPRGEGETFKGKLHVPFVPVTSKCTATLAPEPLVEHKHRSLILHLHPEHPTLLTTRALGSNARPTRQ -WIEQPTTVNFTVTGEGFEYTWGNHPPKRVWAQESGEGNPHGWPHEIVIYYYNRYPMTTVIGLCTCVAIIM -VSCVTSVWLLCRTRNLCITPYRLAPNAQVPILLAVLCCVKPTRADDTLQVLNYLWNNNQNFFWMQTLIPL -AALIVCMRMLRCLLCCGPAFLLVCGALGAAAYEHTAVMPNKVGIPYKALVERPGYAPVHLQIQLVTTKII -PSANLEYITCKYKTKVPSPVVKCCGSTQCSAKSHPDYQCQVFTGVYPFMWGGAYCFCDTENTQMSEVYIE -RAEECSVDQAKAYKVHTGTVQAVVNITYGSVSWRSADVYVNGETPAKIGDAKLTIGPLSSAWSPFDSKVV -VYGHEVYNYDFPEYGTGKAGSFGDLQSRTPTSNDLYANTNLKLQRPQPGVVHTPYTQAPSGFERWKKDRG -APLNDIAPFGCTIALDPLRAENCAVGNIPLSIDIPDAAFTRIAETPTVSDLECKVTECTYASDFGGIATI -SYKASKSGNCPIHSPSGIAVIKENDVTLADSGAFTFHFSTASIHPAFKMQVCTSVVTCKGDCKPPKDHIV -DYPAQHTETYTSAVSATAWSWLKVLVGSTSAFIVLGLIATAVVALVLFTHRH - ->YP_006732328.1 structural protein [Eilat virus] -MFRTNNNRQRRQQPRSRRQRSPSRPLQRRQDDALSKQVRALTTAVQKLVVAGNRRPPPSPRAKAPGPAQP -RPAKAPVKTPAKRGPAPKRKPGKRERTALRLQADRVFPVVNDKQVTVGYAVALEGRVMKPLHVKGTIDHP -LLASLKFTKSTSFDMEYAALPTTMRSEAFAYTSEHPDGFYSWVHGAVQCTNGRFSIPTGAGGPGDSGRPI -LDNTGKVVALVLGGANEGTRTSLSVVTWNKSGTAAKTTPDDTVEWSAIVTALCVLGNASFTCTEPPICFD -THPGDTLGMLEDNVDHPMYYDLMYAALLCNHQQKRARRAVAPKPDEYRLASPYVGRCAACSNGITCFSPI -KLESVWTTPHSSVLKMQLSVLFGIDETGKLDNTVLSYMSPTEHTVKSMPITALTASTTGPCIITATRGYF -ALAQCPPGDVLTVAMGSHHCSIESEHLRPSVGREEFASTPLHGVRRPCSTYDAAKYTSTSEMTLHRAKPQ -ASDSLLSIVNDTVQITVSSNLTVSYECLCDGYHSGFVRATTLIPGCTNTNQCIASVNDKTRWYPNTDDFI -RHTDHSPRGKINVPFPLEAGECLVPLARSPAIRYSRNEVELTLVTTRKALLSTRQLGSEPNATSEWITSS -TRRTFYLPAAGLEFTWGNNDPVRVWPQASADGDAHGLPHEIVAYYYSRSPLFTIVAVTLISAIVLASLAF -CCCKWTSFRSALRSPYALAPNATVPMCLTLLCCIRQAKADTYFDAASYLWNNYQPLFWAQLAIPTASIFV -LFKCCSLAVAFLAVVGASLPLASAHEHAANVPNSPLLSYKAVVTRPGYTPLALEIRVLENRIQPTTLTHY -YTCSYRTVVPSPTVKCCGSLQCGSSSLPDYRCKVFTGVYPFMWGGAQCFCDTENSQMSESYVDKDPSCPT -DHAEAVATQNPVVRATLQITIGNATTRTDVYVNGVSPSYTNGAKVIAGPLSSVWSPFADKVVIYQRRVYN -HAFPEYGAGTPGTFGDLQLPSLRAKDFFANTGLVLNRPDTSSLHVPYTQVPSGFVTWRDQHLPDLQQTAP -YGCAISSSPLQAINCSYGSIPVSIDIPDASFTRSFDAPSVSSLKCTPIECVHSAGYGGLLRLDYVADKAG -TCSLHSHSDAVLMKDSLLSINATGSYTGLFSTASPQVKFTITLCSAEVSCETACKPPLEHASSHPHLTSQ -TFDSAISTSAWTWLLSLFGGSISLVTVGIFIAAALYIVNCRRR - ->YP_006491259.1 truncated polyprotein [Whataroa virus] -MNRPFFQNFGRRPFPAPSIAWRPRRRRSAAPAFQAPRFGLANQIQQLTSAVSALVIGQSARTQPPRARQQ -PRRPPPKKKQPPPPKKEKPTKKQPKKPTKPKPGKRQRMVLKLEADRLFDVKNEQGDVVGHALAMEGKVMK -PLHVKGTIDHPVLSKLKFTKSSAYDMEFAPLPVNMKSEAFNYTSEHPEGFYNWHHGAVQYSGGRFTVPRG -VGGKGDSGRPIMDNTGKVVAIVLGGADEGARTALSVVTWNAKGKTIKTTPEGTEEWSAAAAITTLCLIGN -MTFPCDRPPTCYNVNPATTLDILEQNVDHPLYDTLLTSITRCSSRRHKRSITDDFTLTSPYLGTCSYCHH -TEPCFSPIKIEQVWDDADDGTIRIQTSAQFGYNQNGAADNTKFRYMSHEQDHTVKEGSMDDIQIRTSGPC -LRLNFKGYFLLAKCPPGDSVTVSVASSSSAISCTLARKIKQKVVGREKYDMPPAHGRNIPCLVYDRLKDT -SAGYITMHRPGPHAYTSYLELASNKVYAKPPSGKNIAYECKCGEYKTATVSTRTEIPGCTAIKQCIAYKS -DQTKWVFNSPDLIRHADHTAKGKLHLPFKLTPGQCLVPLAHEPSVVYSFKQISLQLDTDHPTLLSTRQLG -SDPHPTSEWITGKATRNFTVTREGFEYTWGNHDPVRVWAQESAPGDPHGWPHEIIQHYYHRHPIHTVLIT -TASLIAVMAGIITVAILFCKARRDCLTPYALAPNAVIPTSVALLCCIRPTNAESFTETMSYLWGNSQPFF -WVQLLIPLAALILAARCCSCCIPFLIGCWRLPLEGRRLRTCDHCSQRASNTV - ->YP_006491257.1 truncated polyprotein [Southern elephant seal virus] -MSYDITATMFAPLAMPTRTFYSRRWNSRPRAPPPTPVDNQFKQLLDAVTKLALSRPVPAMLPVRPPNRRK -RRSRPQKQKPPQTKASTPKQKQNTKAPANKPAKKKPGKRERACMKIESDCIFPVKLDGLITGYACLVGDK -VMKPAHVQGTIDNPILAKLNFKRSSKYDMESAPVPTIMRSDAAKFTCEKPEGYYNWHNGAVQYSDGRFTI -PTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGERTALSVVTWNKNTVVKVTPEETVEWSALTLLCILGNV -TFPCDSPPCAPCCYENNPENTLKMLELNADRPGYDELLQAALRCNGRRGKRSVASSLLTYRTTQPYVARC -DSCGIKPCNSPIAIENIQSMASDGTIRIQVSAHLKLNKVQVYDDKKISYMYASNIEEANLYTLYVRTTHK -CQLLDSGGYFIIAKCPEGNELEVGFTGKYPHSCRTTFSHKHRFLGRERTRKSTIGGKQVACTTYAAVDNR -EQLPVHMPPDIPDDELLTSSAGKPVIKIHPTMKTRYHCQCKEGDKDAVVTTQTTVEGCTEYHCKTWISQH -TKWQYNSRFVPRAEEKEPKAYITVPFAVVNTTCYLPQAAKPVTKFEHRRLIITVSSPHESLLTVRSLGDR -SIRYHHWHSGTTTLNFTLPRSGLEYRWGNNEPVRVWGQHSSQWDPHGLPHSVFLYYLDKHPLLTASVITV -TALLTVIGFALAAWLICSARNKCLTPYALTPNAQVPTIIAFLCCIRRAAAETTFDSLSHLWSHNYTLFWL -QLLVPVAGMIVLCSVCKCMFSCCKQICFLSCAGCECGSGSCLRTHRCHAKQSGVPV - ->YP_006491255.1 truncated polyprotein [Ndumu virus] -MDFFRGPFAMPFPALPPPFAMPPRPRPRFNPVVEQGRQIQQLIQAVGSLALAQRPRAQPSRPPRQNRRRK -PQQPTQSQQKSKKQKPKSNQKNAQVQTKKQDKTKKKPGKRERKCMKIESDCIFEVKLDGEVTGYACLVGD -KVMKPAHVKGVIQNDELAKLSFKKSSKYDLECAQIPVKMRSDASKYTHEKPEGHYNWHHGAVQYTNGRFT -IPTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWNKDMVTRVTPEGSVEWSAAATCVLGAA -IFSCLSPPVCYDEEPEKTLTMLSMNVDNPDYYSLFEAALDCRSRRHRRAAQHFNEYKVTQPYIAYCPDCG -NGESCQSPVAIERIRNEATDGTLKVQFALQVGIKKDHSTAPTYLRYMEGHEVKDALNNLRVYTSAQCEVT -ATMGHFALARCPPGDSLSVTFMDASKKNRMCRVPFVHKLPFLGREKNSARRYHGKDVTCTTYARWTDVTD -ENLEMHVPPHIPDATLVKVQSNNVTITPPSGATVRYNCSCKDGNRQSETSREVVLSGCAEAKCHAAVVDG -KVWQYSSRFVPRHEKTELKGKVHVPFPLTNTTCRVSVATKPIEKHARGLLTLVLHPEYPTLLSYRYLGAE -PRPVNRWISEPTEVQIPVSKEGIEYRWGNNEPERRWAQHTTTGSAHGHPHEIITYYYHSHPTTTVVACVT -AAAVTLVMMCVGCSACRVARTRCLTPYVLAPGSRVPLILGLLCCAKGARAEQYEPTPWWVWAPAALVLLC -GLRKCLCLTFLSDTRPGQPTDPGLRAHGCDVESGGSTLQGPDQQARICAHDSANRSAPV - ->YP_006491247.1 truncated polyprotein [Fort Morgan virus] -MNMFPYPTPSFQAMYPAPPMAYRDPNPPRRRWRPFRVPLAAQIEELRRSIANLTFKQRKPQPPAGPPAKK -RKTPPKPKNQQRKKTKPHAKKQRSKPKPGKRQRLCMKLESDKTFPVVLNGQINGYACVVGGRLMKPLHVE -GKIDNEQLAAVKLKKASMYDLEYGDVPQNMKSDTLQYTSEKPPGFYNWHHGAVQYENGRFSVPRGVGGKG -DSGRPILDNKGRVVAIVLGGVNEGSRTALSVVTWNQKGVTIKITPEGTEPWSLIPVMCALANITFPCNQP -PVCYARNPELALDILEENADSPAYDELLQNIVRCTARRAKRSVTDTYSMTEPYLGRCPVCRHSEPCYSPV -KIEHVWDESDDGTLRIQTSALFGSDSSDKADAQKYRYMTNKPTLKVAEGIMEEIKVSTSGPCRLINYSGY -FLLVHCPPGDSITVSIVKGGVLHSCTVEKRVQRKFVGREQYQFPPLHGKSVPCNVYNSWKESSAGYITMH -RQGPYAYSTFLEEQQGKVYVNPPSGKTVTYECNCSGHRIGTTAQRVEITLCKRTKQCIAYLSNQTKWVFN -SPDLMRSTDHSVKGKLHIPFNLTPTTCLVPLAHAPTVTSWFKGITLHLTSRNPTLLTTRKLGADADSTAE -WITGTTTRNFSVGREGLEYVWGNLDPVRVWAQESAPGDPHGWPHEIIQHYYHRHPVYTIAVLTGIATFAL -IGLSASLHCLCRARRDCITPYALAPNATVPTIIALFCCVRPSHAETVGETLTYLWSHNQPFLWAQLCIPV -AALVILLRCASCCLPFLIGCRRLPGEGRRLRTCDHCAKCTGSAV - ->YP_006491251.1 truncated polyprotein [Getah virus] -MNYIPTQTFYGRRWRPRPAYRPWRVPMQPAPPMVIPELQTPIVQAQQMQQLISAVSALTTKQNGKAPKKP -KKKPQKAKAKKNEQQKKNENKKPPPKQKNPAKKKKPGKRERMCMKIENDCIFEVKLDGKVTGYACLVGDK -VMKPAHVKGVIDNPDLAKLTYKKSSKYDLECAQIPVHMKSDASKYTHEKPEGHYNWHHGAVQYSGGRFTI -PTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWTKDMVTRYTPEGTEEWSAALMMCVLANV -TFPCSEPACAPCCYEKQPEQTLRMLEDNVDRPGYYDLLEATMTCNNSARHRRSVTKHFNVYKATKPYLAY -CADCGDGQFCYSPVAIEKIRDEASDGMIKIQVAAQIGINKGGTHEHNKIRYIAGHDMKEANRDSLQVHTS -GVCAIRGTMGHFIVAYCPPGDELKVQFQDAESHTQACKVQYKHAPAPVGREKFTVRPHFGIEVPCTTYQL -TTAPTEEEIDMHTPPDIPDITLLSQQSGNVKITAGGKTIRYNCTCGSGNVGTTSSDKTINSCKIAQCHAA -VTNHDKWQYTSSFVPRADQLSRKGKVHVPFPLTNSTCRVPVARAPGVTYGKRELTVKLHPDHPTLLTYRS -LGADPRPYEEWIDRYVERTIPVTEDGIEYRWGNNPPVRLWAQLTTEGKPHGWPHEIILYYYGLYPAATIA -AVSAAGLAVVLSLLASCYMFATARRKCLTPYALTPGAVVPVTLGVLCCAPRAHAASFAESMAYLWDENQT -LFWLELATPLAAIIILVCCLKNLLCCCKPLSFLSAGEPGNSRRKILRTHRNDPECGGIPV - ->YP_006491249.1 truncated polyprotein [Mayaro virus] -MDFLPTQVFYGRRWRPRMPPRPWRPRMPTMQRPDQQARQMQQLIAAVSTLALRQNAAAPQRGKKKQPRRK -KPKPQPEKPKKQEQKPKQKKAPKRKPGRRERMCMKIEHDCIFEVKHEGKVTGYACLVGDKVMKPAHVPGV -IDNADLARLSYKKSSKYDLECAQIPVAMKSDASKYTHEKPEGHYNWHYGAVQYTGGRFTVPTGVGKPGDS -GRPIFDNKGPVVAIVLGGANEGTRTALSVVTWNKDMVTKITPEGTVEWAASTVTAMCLLTNISFPCFQPS -CAPCCYEKGPEPTLRMLEENVNSEGYYDLLHAAVYCRNSSRSKRSTANHFNAYKLTRPYVAYCADCGMGH -SCHSPAMIENIQADATDGTLKIQFASQIGLTKTDTHDHTKIRYAEGHDIAEAARSTLKVHSSSECTVTGT -MGHFILAKCPPGERISVSFVDSKNEHRTCRIAYHHEQRLIGRERFTVRPHHGIELPCTTYQLTTAETSEE -IDMHMPPDIPDRTILSQQSGNVKITVNGRTVRYSSSCGSQAVGTTTTDKTINSCTVDKCQAYVTSHTKWQ -FNSPFVPRRMQAERKGKVHIPFPLINTTCRVPLAPEALVRSGKREATLSLHPIHPTLLSYRTFGAERVFD -EQWITAQTEVTIPVPVEGVEYQWGNHKPQRFVVALTTEGKAHGWPHEIIEYYYGLHPTTTIVVVIRVSVV -VLLSFAASVYMCVVARTKCLTPYALTPGAVVPVTIGVLCCAPKAHAASFAEGMAYLWDNNQSMFWMELTG -PLALLILATCCARSLLSCCKGSFLSRNEHRECRCQCLRAHGNYSEPSGIPV - ->YP_006491253.1 truncated polyprotein [Ross River virus] -MNYIPTQTFYGRRWRPRPAFRPWQVPMQPTPTMVTPMLQAPDLQAQQMQQLISAVSALTTKQNVKAPKGQ -RKQKQQKPKEKKEKQKKKPTXKKKQQQKPKPQAKKKKPGRRERMCMKIENDCIFEVKLDGKVTGYACLVG -DKVMKPAHVKGTIDNPDLAKLTYKKSSKYDLECAQIPVHMKSDASKYTHEKPEGHYNWHHGAVQYSXGRF -TIPTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWTKDMVTRVTPEGTEEWSAALMMCILA -NTSFPCSSPPCYPCCYEKQPEQTLRMLEDNVNRPGYYELLEASMTCRNRSRHRRSVIEHFNVYKATRPYL -AXCADCGDGYFCYSPVAIEKIRDEASDGMLKIQVSAQIGLDKAGTHAHTKMRYMAGHDVQESKRDSLRVY -TSAACSIHGTMGHFIVAHCPPGDYLKXSFEDANSHVKACKVQYKHDPLPVGREKFVVRPHFGVELPCTSY -QLTTAPTDEEIDMHTPPDIPDRTLLSQTAGNVKITAGGRTIRYNCTCGRDNVGTTSTDKTINTCKIDQCH -AAVTSHDKWXFTSPFVPRADQTARKGKVHVPFPLTNVTCRVPLARAPDVTYGKKEVTLRLHPDHPTXFSY -RSLGAVPHPYEEWVDKFSERIIPVTEEGIEYQWGNNPPVRLWAQLTTEGKPHGWPHEIIQYYYGLYPAAT -IAAVSGASLMALLTLAATCCMLATARRKCLTPYALTPGAVVPLTLGLLXCAPRANAASFAETMAYLWDEN -KTLFWMEXXXXXXALALLACCIKSLICCCKPFSFLSVTEPGSLRKSL - ->YP_006491241.1 truncated polyprotein [Barmah Forest virus] -MDFIPTQTFYGRRWRPAPVQRYIPQPQPPAPPRRRRGPSQLQQLVAALGALALQPKQKQKRAQKKPKKTP -PPKPKKTQKPKKPTQKKKSKPGKRMRNCMKIENDCIFPVMLDGKVNGYACLVGDKVMKPAHVKGTIDNPE -LAKLTFKKSSKYDLECAQVPVCMKSDASKFTHEKPEGHYNWHHGAVQFSNGRFTIPTGSGKPGDSGRPIF -DNTGKVVAIVLGGANEGARTALSVVTWNKDMVTRITPEESVEWSAAALXITALCVLQNLSFPCDAPPCAP -CCYEKDPAGTLRLLSDHYYHPKYYELLDSTMHCPQGRRPKRSVAHFEAYKATRPYIGWCADCGLAGSCPS -PVSIEHVWSDADDGVLKIQVSMQIGIAKSNTINHAKIRYMGANGVQEAERSTLSVSTTAPCDILATMGHF -ILARCRPGSQVEVSLSTDPKLLCRTPFSHKPRFIGNEKSPAPTGHKTRIPCKTYSHQTDLTREEITMHVP -PDVPIQGLVSNTGKSYSLDPKTKTIKYKCTCGETVKEGTATNKITLFNCDTAPKCITYAVDNTVWQYNSQ -YVPRSEVTEVKGKIHVPFPLTDSTCAVSVAPEPQVTYRLGEVEFHFHPMYPTLFSIRSLGKDPSHSQEWI -DTPMSKTIQVGAEGVEYVWGNNNPVRLWAQKSSSSSAHGNPISIVSHYYDLYPYWTITVLASLGLLIVIS -SGFSCFLCSVARTKCLTPYQLAPGAQLPTFIALLCCAKSARADTLDDFSYLWTNNQAMFWLQLASPVAAF -LCLSYCCRNLACCMKIFLRDKRPVCNCHAGLRALNHDAESGGNTV - ->YP_006491245.1 truncated polyprotein [Onyong-nyong virus] -MEFIPAQTYYNRRYQPRPWTQRPTIQVIRPKPRRRRPAGQLAQLISAVSRLALRTVPQKPRRTRKIKKQK -QVKQEQQSTTNQKKKAPKQKQTQKKKRPGRRERMCMKIENDCIFEVRHEGKVTGYACLVGDKVMKPAHVK -GTIDNADLAKLAFKRSSKYDLECAQIPVHMKSDASKFTHEKPEGYYNWHHGAVQYSGGRFTIPTGAGKPG -DSGRPIFDNKGRVVAIVLGGANEGTRTALSVVTWNKDIVTKITPEGSVEWSLALPVMCLLANTTFPCSQP -PCAPCCYEKKPEETLRMLEDNVMQPGYYQLLDSALACSQRRQKRNARENFNVYKVTRPYLAHCPDCGEGH -SCHSPIALERIRSEATDGTLKIQVSLQIGIKTDDSHDWTKLRYMDSHTPVDADRSGLFVRTSAPCTITGT -MGHFILARCPKGETLTVGFVDSRRISHTCMHPFRHEPPLIGREKFHSRPQHGKELPCSTYVHTTAATAEE -IEVHMPPDTPDYTLMTQQAGNVKITVDGQTVRYKCKCDGSNEGLITADKVINNCKVDQCHTAVTNHKKWQ -YNSPLTPRNSEQGDRKGKIHIPFPLVNTTCRVPKARNPTVTYGKNRVTLLLHPDHPTLLSYRAMGRIPDY -HEEWITNKKEISITVPAEGLEVTWGNNDPYKYWPQLSTNGTAHGHPHEIILYYYELYPTTTIAVLAAASI -VITSLVGLSLGMCICARRRCITPYELTPGATIPFLLGVLCCARTAKAASYYEAATYLWNEQQPLFWLQLL -IPLSAAIVVCNCLKLLPCCCKTLTFLSRHEHRCPHCDRVRARNSDPEHGGSTV - ->YP_006491229.1 truncated polyprotein [Highlands J virus] -MFPYPQLTFPPMYQPNPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIANLTLKQRAPNPPAGPPAKKKK -TQPKPKATPPKKKKQQVKKQKRKPKPGKRQRMCMKLESDKTFPILLNGQVNGYACVVGGRLMKPLHVEGK -IDNEQLAAIKLKKASMYDLEYGDVPQNMKSDTLQYTSEKPPGFYNWHHGAVQYENGRFTVPRGVGGKGDS -GRPILDNKGRVVAIVLGGANEGSRTALSVVTWNQKGVTIKDTPEGTEQWSLITAMCVLANVTFPCDKPPV -CYSLAPERTLDVLEENVDNPGYDTLLENVLKCPSRRQKRSITDDFTLTSPYLGHCPYCLHATPCFSPIKI -ENVWDESDDGTIRIQVSAQLGYNQAGTADPTKFRYMSYEQDHDIKEASMDKIAISTSGPCSRLGHKGYFL -LARCPPGDSVTVSITSGASATSCTVEKKIRRKFVGREEYLLPPVHGKLIKCHVYDHLKETTAGYITMHRP -GPHAYATYVEESSGEVYIRPPSGKNVTYECKCGDYSTGTVNTRTKMPGCTKKKQCIAYKSDQTKWVFNSP -DLIRHSDHAVQGKLHIPFKLTATACPVPLAHTPTVEKWFKGVTLHLTASHPTLLTTRKLGPRAEPTSEWI -VGTVSRNFSVGREGLEYTWGNHDPVRVWSQESAPGDPHGWPHEIIVHYYHRHPLYTIAVLCGLVLITVIG -IASAAACISKARRDCLTPYALAPNAAVPTLLAVLCCIRPTHAETLGESLGHLWLNNQPLLWAQLCLPLAA -LIILFRFFSCCLPFLIGCRRLPGKGRRLRTCDHCAKCSGSPV - ->YP_006491239.1 truncated polyprotein [Salmon pancreas disease virus] -MFPMQFTNSAYRQMEPMFAPGSRGQVQPYRPRTKRRQEPQVGNAAITALANQMSALQLQVAGLAGQARVD -RRGPRRVQKNKQKKKNSSNGEKPKEKKKKQKQQEKKGSGGEKVKKTRNRPGKEVRISVKCARQSTFPVYH -EGAISGYAVLIGSRVFKPAHVKGKIDHPELADIKFQVAEDMDLEAAAYPKSMRDQAAEPATMMDRVYNWE -YGTIRVEDNVIIDASGRGKPGDSGRAITDNSGKVVGIVLGGGPDGRRTRLSVIGFDKKMKAREIAYSDAI -PWTRAPALLLLPMVIVCTYNSNTFDCSKPSCQDCCITAEPEKAMTMLKDNLNDPNYWDLLIAVTTCGSAR -RKRAVSTSPAAFYDTQILAAHAAASPYRAYCPDCDGTACISPIAIDEVVSSGSDHVLRMRVGSQSGVTAK -GGAAGETSLRYLGRDGKVHAADNTRLVVRTTAKCDVLQATGHYILANCPVGQSLTVAATLDGTRHQCTTV -FEHQVTEKFTRERSKGHHLSDMTKKCTRFSTTPKKSALYLVDVYDALPISVEISTVVTCSDSQCTVRVPP -GTTVKFDKKCKSADSATVTFTSDSQTFTCEEPVLTAASITQGKPHLRSAMLPSGGKEVKARIPFPFPPET -ATCRVSVAPLPSITYEESDVLLAGTAKYPVLLTTRNLGFHSNATSEWIQGKYLRRIPVTPQGIELTWGNN -APMHFWSSVRYASGDADAYPWELLVYHTKHHPEYAWAFVGVACGLLAIAACMFACACSRVRYSLVANTFN -SNPPPLTALTAALCCIPGARADQPYLDIIAYLWTNSKVAFGLQFAAPVACVLIITYALRHCRLCCKSFLR -GKRVVSPAGHPCVCTELQELRTHRGGPNGSKSPVVRSSDKPEWV - ->YP_006491227.1 truncated polyprotein [Western equine encephalitis virus] -MFPYPQLNFPPVYPTNPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIVNLTFKQRSPNPPPGPPPKKKK -SAPKPKPTQPKKKKQQAKRTKRKPKPGKRQRMCMKLESDKTFPIMLNGQVNGYACVVGGRLMKPLHVEGK -IDNEQLAAVKLKKASMYDLEYGDVPQNMKSDTLQYTSDKPPGFYNWHHGAVQYENGRFTVPRGVGGKGDS -GRPILDNRGRVVAIVLGGANEGTRTALSVVTWNQKGVTIRDTPEGSEPWSLVTALCVLSNVTFPCDKPPV -CYSLTPERTLDVLEENVDNPNYDTLLENVLKCPSRRPKRSITDDFTLTSPYLGFCPYCRHSTPCFSPIKI -ENVWDESDDGSIRIQVSAQFGYNQAGTADVTKFRYMSFDHDHDIKEDSMEKIAISTSGPCRRLGHKGYFL -LAQCPPGDSVTVSITSGASENSCTVEKKIRRKFVGREEYLFPPVHGKLVKCHVYDHLKETSAGYITMHRP -GPHAYKSYLEEASGEVYIKPPSGKNVTYECKCGDYSTGIVSTRTKMNGCTKAKQCIAYKSDQTKWVFNSP -DLIRHTDHSVQGKLHIPFRLTPTVCPVPLAHTPTVTKWFKGITLHLTAMRPTLLTTRKLGLRADATAEWI -TGSTSRNFSVGREGLEYVWGNHEPVRVWAQESAPGDPHGWPHEIIIHYYHRHPVYTVIVLCGVALAILVG -TASSAACIAKARRDCLTPYALAPNATVPTALAVLCCIRPTNAETFGETLNHLWFNNQPFLWAQLCIPLAA -LVILFRCFSCCMPFLIGCRRLPGEGRRLRTCDHCAKCSGDPV - ->YP_006491233.1 truncated polyprotein [Eastern equine encephalitis virus] -MFPYPTLNYPPMAPINPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIANLTLKQRAPNPPAGPPAKRKK -PAPSLSLRRKKKRPPPPAKKQKRKPKPGKRQRMCMKLESDKTFPIMLNGQVNGYACVVGGRVFKPLHVEG -RIDNEQLAAIKLKKASIYDLEYGDVPQCMKSDTLQYTSDKPPGFYNWHHGAVQYENNRFTVPRGVGGKGD -SGRPILDNKGRVVAIVLGGVNEGSRTALSVVTWNQKGVTVKDTPEGSEPWSLATVMCVLANITFPCDQPP -CMPCCYEKNPHETLTMLEQNYDSRAYDQLLDAAVKCNARRTRRDLDTHFTQYKLARPYIADCPNCGHSRC -DSPIAIEEVRGDAHAGVIRIQTSAMFGLKTDGVDLAYMSFMNGKTQKSIKIDNLHVRTSAPCSLVSHHGY -YILAQCPPGDTVTVGFHDGPNRHTCTVAHKVEFRPVGREKYRHPPEHGVELPCNRYTHKRADQGHYVEMH -QPGLVADHSLLSIHSAKVKITVPSGAQVKYYCKCPDVREGTTSSDYTTTCTDVKQCRAYLIDNKKWVYNS -GRLPRGEGDTFKGKLHVPFVPVKAKCIATLAPEPLVEHKHRTLILHLYPDHPTLLTTRSLGSDANPTRQW -IERPTTVNFTVTGEGLEYTWGNHPPKRVWAQESGEGNPHGWPHEVVVYYYNRYPLTTIIGLCTCVAIIMV -SCVTSVWLLCRTRNLCITPYKLAPNAQVPILLALLCCIKPTRADDTLQVLNYLWNNNQNFFWMQTLIPLA -ALIVCMRMLRCLFCCGPAFLTCLRRLGRRSVRTHSSDAEQGGDPVQSFSRTPRLCTRSPTDTAG - ->YP_006491237.1 truncated polyprotein [Sleeping disease virus] -MFPMQFTNSAYRQMEPMFAPASRGQVQPYRPRTKRRQEPQVGNAAIAALANQMSALQLQVAGLAGQARVD -RRGPRRVQKNKQKKKNSSNGEKPKEKKKKQKQQEKKGSGGEKAKKPRNRPGKEVRISVKRARQSTFPVYH -DGAISGYAVLIGSRVFKPAHVKGKFDHPELADIKFQVAEVMDLEAAAYPKCMRDQAAEPATMMDGVYNGE -YGNIQEWRTILYSMRAAEASRGDSGRPFTDNSGKVVGIVLGGGPDGRRTRLSVIGFDKKLKAREIAYSEA -IPWTRAPALLLLPMVIACTYNSNTFDCSKPSCQDCCITAEPKKAMTMLKDNLNDPNYWDLLIAVTTCSSA -RKKRAVSTSPVAVYDTQILAAHAAASPYRAYCPDCDGTACISPIAIDEVVSSGSDHVLRIRVGSQSGVTA -KGGAAGETSLRYLGRDGKVYAADNTRLVVRTTAKCDVLQATGHYILANCPVGQSLTVAATLDGTRHQCTT -VFEHQVTEKFTRERSKGHHLSDLTKKCTRFSTTPKKSALYLVDVYDALPTSVEISTVVTCNERQCTVRVP -PGTTVKFDKRCKNAAKETVTFTSDSQTFTCEEPVLTAASITQGKPHLRSSMLPSGGKEVKARIPFPFPPE -TATCRVSIAPLPSITYEESDVLLAGTAKYPVLLTTRNLGFHSNATSEWIQGKYLRRIPVTPQGIELMLGN -NAPLHFWSSVRYASGDADAYPWELLVHHIKHHPEYAWAFVGVACGLLAVAACMFACACNRVRYSLLANTF -NPNPPPLTALTAALCCIPGARADQPYLDIIAYLWTNSKVAFGLQCAAPVACMLIVTYALRHCRLCCNSFL -RGKRVVGSAGHPCVCTELQGVRTHRGGPNGSKSPVVRGGDKPEWV - ->YP_006491235.1 truncated polyprotein [Venezuelan equine encephalitis virus] -MFPFQPMYPMQPMPYRNPFAAPRRPWFPRTDPFLAMQVQELTRSMANLTFKQRRDAPPEGPPAKKPKREA -PQKQKGGGQGKKKKNQGKKKAKTGPPNPKAQSGNKKKPNKKPGKRQRMVMKLESDKTFPIMLEGKINGYA -CVVGGKLFRPMHVEGKIDNDVLAALKTKKASKYDLEYADVPQNMRADTFKYTHEKPQGYYSWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWNEKGVTVKYTPENCEQWSLVTT -MCLLANVTFPCAEPPICYDRKPAETLAMLSVNVDNPGYDELLEAAVKCPGRKRRSTEELFKEYKLTRPYM -ARCIRCAVGSCHSPIAIEAVKSDGHDGYVRLQTSSQYGLDSSGNLKGRTMRYDMHGTIEEIPLHQVSLHT -SRPCHIVDGHGYFLLARCPAGDSITMEFKKGSVTHSCSVPYEVKFNPVGRELYTHPPEHGAEQACQVYAH -DAQNRGAYVEMHLPGSEVDSSLISLSGSSVTVTPPVGTSALVKCKCGGTKISETINKAKQFSQCTKKEQC -RAYRLQNDKWVYNSDKLPKAAGATLKGKLHVPFLLADGKCTVPLAPEPMITFGFRSVSLKLHPKNPTYLT -TRQLADEPHYTHELISEPAVRNFTVTEKGWEFVWGNHPPKRFWAQETAPGNPHGLPHEVITHYYHRYPMS -TILGLSICAAIVTVSVAASTWLFCKSRVSCLTPYRLTPNARMPLCLAVLCCARTARAETTWESLDHLWNN -NQQMFWIQLLIPLAALIVVTRLLKCVCCVVPFLSRGRRRRRRRLRARDHDAEPSGNLV - ->YP_006491225.1 truncated polyprotein [Sindbis virus] -MNRGFFNMLGRRPFPAPTAMWRPRRRRQAAPMPARNGLASQIQQLTTAVSALVIGQATRPQPPRPRPPPR -QKKQAPKQPPKPKKPKTQEKKKKQPAKPKPGKRQRMALKLEADRLFDVKNEDGDVIGHALAMEGKVMKPL -HVKGTIDHPVLSKLKFTKSSAYDMEFAQLPVNMRSEAFTYTSEHPEGFYNWHHGAVQYSGGRFTIPRGVG -GRGDSGRPIMDNSGRVVAIVLGGADEGTRTALSVVTWNSKGKTIKTTPEGTEEWSAAPLVTAMCLLGNVS -FPCDRPPTCYTREPSRALDILEENVNHEAYDTLLNAILRCGSSGRSKRSVIDDFTLTSPYLGTCSYCHHT -VPCFSPVKIEQVWDEADDNTIRIQTSAQFGYDQSGAASANKYRYMSLKQDHTVKEGTMDDIKISTSGPCR -RLSYKGYFLLAKCPPGDSVTVSIVSSNSATSCTLARKIKPKFVGREKYDLPPVHGKKIPCTVYDRLKETT -AGYITMHRPRPHAYTSYLEESSGKVYAKPPSGKNITYECKCGDYKTGTVSTRTEITGCTAIKQCVAYKSD -QTKWVFNSPDLIRHDDHTAQGKLHLPFKLIPSTCMVPVAHAPNVIHGFKHISLQLDTDHLTLLTTRRLGA -NPEPTTEWIVGKTVRNFTVDRDGLEYIWGNHEPVRVYAQESAPGDPHGWPHEIVQHYYHRHPVYTILAVA -SATVAMMIGVTVAVLCACKARRECLTPYALAPNAVIPTSLALLCCVRSANAETFTETMSYLWSNSQPFFW -VQLCIPLAAFIVLMRCCSCCLPFLSGCRRLPGEGRRLRTCDHCSKCATDTV - ->YP_006390078.1 truncated structural polyprotein [Semliki Forest virus] -MNYIPTQTFYGRRWRPRPAARPWPLQATPVAPVVPDFQAQQMQQLISAVNALTMRQNAIAPARPPKPKKK -KTTKPKPKTQPKKINGKTQQQKKKDKQADKKKKKPGKRERMCMKIENDCIFEVKHEGKVTGYACLVGDKV -MKPAHVKGVIDNADLAKLAFKKSSKYDLECAQIPVHMRSDASKYTHEKPEGHYNWHHGAVQYSGGRFTIP -TGAGKPGDSGRPIFDNKGRVVAIVLGGANEGSRTALSVVTWNKDMVTRVTPEGSEEWSAPLITAMCVLAN -ATFPCFQPPCVPCCYENNAEATLRMLEDNVDRPGYYDLLQAALTCRNGTRHRRSVSQHFNVYKATRPYIA -YCADCGAGHSCHSPVAIEAVRSEATDGMLKIQFSAQIGIDKSDNHDYTKIRYADGHAIENAVRSSLKVAT -SGDCFVHGTMGHFILAKCPPGEFLQVSIQDTRNAVRACRIQYHHDPQPVGREKFTIRPHYGKEIPCTTYQ -QTTAETVEEIDMHMPPDTPDRTLLSQQSGNVKITVGGKKVKYNCTCGTGNVGTTNSDMTINTCLIEQCHV -SVTDHKKWQFNSPFVPRADEPARKGKVHIPFPLDNITCRVPMAREPTVIHGKREVTLHLHPDHPTLFSYR -TLGEDPQYHEEWVTAAVERTIPVPVDGMEYHWGNNDPVRLWSQLTTEGKPHGWPHQIVQYYYGLYPAATV -SAVVGMSLLALISIFASCYMLVAARSKCLTPYALTPGAAVPWTLGILCCAPRAHAASVAETMAYLWDQNQ -ALFWLEFAAPVACILIITYCLRNVLCCCKSLSFLSATEPRGNRQSLRTFDSNAERGGVPV - ->YP_005351235.1 unnamed protein product [Southern elephant seal virus] -MSYDITATMFAPLAMPTRTFYSRRWNSRPRAPPPTPVDNQFKQLLDAVTKLALSRPVPAMLPVRPPNRRK -RRSRPQKQKPPQTKASTPKQKQNTKAPANKPAKKKPGKRERACMKIESDCIFPVKLDGLITGYACLVGDK -VMKPAHVQGTIDNPILAKLNFKRSSKYDMESAPVPTIMRSDAAKFTCEKPEGYYNWHNGAVQYSDGRFTI -PTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGERTALSVVTWNKNTVVKVTPEETVEWSALTLLCILGNV -TFPCDSPPCAPCCYENNPENTLKMLELNADRPGYDELLQAALRCNGRRGKRSVASSLLTYRTTQPYVARC -DSCGIKPCNSPIAIENIQSMASDGTIRIQVSAHLKLNKVQVYDDKKISYMYASNIEEANLYTLYVRTTHK -CQLLDSGGYFIIAKCPEGNELEVGFTGKYPHSCRTTFSHKHRFLGRERTRKSTIGGKQVACTTYAAVDNR -EQLPVHMPPDIPDDELLTSSAGKPVIKIHPTMKTRYHCQCKEGDKDAVVTTQTTVEGCTEYHCKTWISQH -TKWQYNSRFVPRAEEKEPKAYITVPFAVVNTTCYLPQAAKPVTKFEHRRLIITVSSPHESLLTVRSLGDR -SIRYHHWHSGTTTLNFTLPRSGLEYRWGNNEPVRVWGQHSSQWDPHGLPHSVFLYYLDKHPLLTASVITV -TALLTVIGFALAAWLICSARNKCLTPYALTPNAQVPTIIAFLCCIRRAAAETTFDSLSHLWSHNYTLFWL -QLLVPVAGMIVLCSVCKCMFSCCKQICFLAVLGASVAAARAYEHTAVMPNKVGFPYRALVERPGYAPLPL -EFTVLNSSLLPSLELQYTTCEYNTVVPSPYVKCCGTAECVQKNEADYHCRTFSGVYPLMWGGAYCFCDSE -NTQVSTVYVTKSPACKYEFARAYKAHSASLSADVLVSYGNYTRVVTAYINGESAVDVGGAKLILGPLSSI -YSPFDSKIVEHRGQIYNYDFPPYGAGRPGLFGDIQMRTLDSDDVFASTGIVLHRPVSGEIHVPYTQVPSG -YIHWLRDPGHALNDNAEFGCKIKVAPIRAADCEVGNIPISIDIPDAAFTRIAESPQIITSECTPVSCTHS -SGFDGAVSVTYTATRPGVCALFSDSMLITLQHGSVPIQLSGTTTIRFSTAVAEPRFSLKMCGTSVNCQVK -CMPPKDHVSFQPVMHSDAGLAGISTSAVTWLGSAFGGTTLVISIAAVIFLIVVCFTFTREI - ->YP_005351239.1 unnamed protein product [Bebaru virus] -MNYIPTQTFYGRRWRPRPAARPWPVAMPPAPLPVFDQQAQQMQQLIAAVNNLTMRQARVTAPPKPLKKKK -QVPKKKNTPKKTKSDTKKKQPPKKVVKKKKAGRRERMCMKIENDCIFEVKLDGKVTGYACLVGDKVMKPA -HVKGVIDNADLAKLAFKKSSKYDLECAQIPVHMRSDASKYTHEKPEGHYNWHHGAVQYCNGRFTIPTGAG -KPGDSGRPIFDNKGRVVAIVLGGANEGSRTALSVVTWNKDMVTKVTPEGTVEWSAAVTALCVLANVTFPC -ESPACAPCCYERSAENTLRMLEDNVERAGYYDLLEATMTCRNGTRHRRSAATHFNVYKATRPYFAYCADC -GEGHACHSPVAIESVRAEATDGMLKIQFSAQIGLTKAGAFDVSKIRYAEGHDIAETARKNLALFTSGPCV -IHGTMGHFIIATCPPGRHIQVQITDANSVVRACKIQFHHEPHPVGREKFQVRPHHGKELPCTTYQQTTAE -TTEEADMHTPPDTPDRTLLSQVLGDVKIMVNGKKVRYQCSCGVGNTGVTSTDKTINSCKIEQCHAAVTKH -DKWQYNSPFVPRADQLARKGKVHVPFPLTGVTCRVPVAREPIVEHGKRSLTLHLHPDHPTLFSYRMLQGD -PEFHEEWITAQVSRTIPVPETGVEYHWGNNDPVRLWAQMTTEGRAHGYPHEIIAYYYGMYPAGTVTALAG -MGAIVLIALMGAVFMCCVARRRCLTPYALTPGAVVPLSLGLLCCAPSARAQGLTSALGYLSDNRILPWLE -LMLPVAGLVALCCCCRYALCCSRSLTILALTGAGLACAQAYEHFVTIPNHVGFPYMAHVERPGYAPLTLK -LVVEETSLEPTLNQEYITCEYKTMVPSPYVKCCGSTECQTKEQADYQCKVYTGVYPFMWGGAYCFCDAEN -SQLSEAYVVRSEMCKLDHAVAYRAHTASLKAKVRVSIGSVNQTVETFVNGESKAKVSGISMILGPVSTAW -TPFDNKIVVYKDEVFNQDFPPYGSGQPGRFGDIQSRTTTSKDLYANTALKLARPSAGTIHVPYTQTPSGF -RYWLKEKGSALNSKAPFGCIIKTGPVRAMNCAVGNIPVSLDLPDAVFTRITDSPAITELTCTVASCTHSS -DFGGVASLAFKTDKAGKCAVHSHSNVATVQEADVDVQTTGKVAIHFSTASASPSFTVSLCAARANCRASC -EPPKDHIVPYAAKHNNVVFPDVSGTALGWVQKVAGGTGLILAVALVVLLIVTCVGLRR - ->YP_005351237.1 unnamed protein product [Whataroa virus] -MNRPFFQNFGRRPFPAPSIAWRPRRRRSAAPAFQAPRFGLANQIQQLTSAVSALVIGQSARTQPPRARQQ -PRRPPPKKKQPPPPKKEKPTKKQPKKPTKPKPGKRQRMVLKLEADRLFDVKNEQGDVVGHALAMEGKVMK -PLHVKGTIDHPVLSKLKFTKSSAYDMEFAPLPVNMKSEAFNYTSEHPEGFYNWHHGAVQYSGGRFTVPRG -VGGKGDSGRPIMDNTGKVVAIVLGGADEGARTALSVVTWNAKGKTIKTTPEGTEEWSAAAAITTLCLIGN -MTFPCDRPPTCYNVNPATTLDILEQNVDHPLYDTLLTSITRCSSRRHKRSITDDFTLTSPYLGTCSYCHH -TEPCFSPIKIEQVWDDADDGTIRIQTSAQFGYNQNGAADNTKFRYMSHEQDHTVKEGSMDDIQIRTSGPC -LRLNFKGYFLLAKCPPGDSVTVSVASSSSAISCTLARKIKQKVVGREKYDMPPAHGRNIPCLVYDRLKDT -SAGYITMHRPGPHAYTSYLELASNKVYAKPPSGKNIAYECKCGEYKTATVSTRTEIPGCTAIKQCIAYKS -DQTKWVFNSPDLIRHADHTAKGKLHLPFKLTPGQCLVPLAHEPSVVYSFKQISLQLDTDHPTLLSTRQLG -SDPHPTSEWITGKATRNFTVTREGFEYTWGNHDPVRVWAQESAPGDPHGWPHEIIQHYYHRHPIHTVLIT -TASLIAVMAGIITVAILFCKARRDCLTPYALAPNAVIPTSVALLCCIRPTNAESFTETMSYLWGNSQPFF -WVQLLIPLAALILAARCCSCCIPFLLVAGVYLSKADAYEHATTVPNVPQIPYKALVERAGYAPLNLEITV -VSSVIIPSVQQEYITCKYTTVVPSPQVKCCGALECKPSALADYNCKTFGGVYPFMWGGAQCFCDTENTQM -SEAYVERSSDCAVDHAQALKVHTSSMKVGLKITYGNTTGMLDVFVNGVTPGTSKDLKVIAGPISAAFSPF -DSKVVVYKGMVYNYDFPEFGAMKPGVFGDIQASSPTSNDLVANTDIRLLRPIARNVHVPYTQAASGFEMW -KNNSGRPLQETAPFGCKVQTNPLRASDCAYGNIPISLDIPNAAFMRISDAPLISAATCTVSECIYSADYG -GMASIQYTSDREGQCPVHSHSSTASLQESTVHVVQSGTVTVHFSTASPQANFVISLCGKKTTCHAECKPP -ADHIVKTPHKNDQEFRSAVSTTSWSWLFALFGGASSLIFIGILIFMCSMLMTNTRR - ->YP_005351233.1 unnamed protein product [Ndumu virus] -MDFFRGPFAMPFPALPPPFAMPPRPRPRFNPVVEQGRQIQQLIQAVGSLALAQRPRAQPSRPPRQNRRRK -PQQPTQSQQKSKKQKPKSNQKNAQVQTKKQDKTKKKPGKRERKCMKIESDCIFEVKLDGEVTGYACLVGD -KVMKPAHVKGVIQNDELAKLSFKKSSKYDLECAQIPVKMRSDASKYTHEKPEGHYNWHHGAVQYTNGRFT -IPTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWNKDMVTRVTPEGSVEWSAAATCVLGAA -IFSCLSPPVCYDEEPEKTLTMLSMNVDNPDYYSLFEAALDCRSRRHRRAAQHFNEYKVTQPYIAYCPDCG -NGESCQSPVAIERIRNEATDGTLKVQFALQVGIKKDHSTAPTYLRYMEGHEVKDALNNLRVYTSAQCEVT -ATMGHFALARCPPGDSLSVTFMDASKKNRMCRVPFVHKLPFLGREKNSARRYHGKDVTCTTYARWTDVTD -ENLEMHVPPHIPDATLVKVQSNNVTITPPSGATVRYNCSCKDGNRQSETSREVVLSGCAEAKCHAAVVDG -KVWQYSSRFVPRHEKTELKGKVHVPFPLTNTTCRVSVATKPIEKHARGLLTLVLHPEYPTLLSYRYLGAE -PRPVNRWISEPTEVQIPVSKEGIEYRWGNNEPERRWAQHTTTGSAHGHPHEIITYYYHSHPTTTVVACVT -AAAVTLVMMCVGCSACRVARTRCLTPYVLAPGSRVPLILGLLCCAKGARAEQYEPTPWWVWAPAALVLLC -GLRKCLCLTFLVILGLASPPTQAYEHTAVMSNQVGVPYKALINKPGFAPMILQIEVLQSSLIPSLELDYI -TCEYKTVVPSPFVKCCGSVECTGRSMPDYQCRVDSGVYPYMWGGAYCFCSSENTQMSEAYVERAEVCKHE -HALAYKTQTASLTATLKVTLGNITQGAKAYVNGETPFQIGQAKFVLGPISAAWSPFNPKIVVYKDDVYNY -DFPAYGAGQPGRFGDIQSRTVDSKDLYARTHLRLDRPASGNIHVPYTQIPSGFKYWMQEKGEPLNRQAAF -GCVVKTNPVRADNCAYGNIPISVDIPDAMFTRVVDIPAVSHLQCIDVSCAHSAGFGGVAKLRFMADRTGK -CAIHTASKIVAIQEATVDLPEIAVGAGGGTAEITFHYSTALASPSFDVQLCSAHVTCDTKCEPPKDHIVP -FAAQHMSNDMPNLSATAMNWVTGLGTSIGTFVFLFLGILLVVTIIRCFTR - ->YP_003324588.1 structural polyprotein [Fort Morgan virus] -MNMFPYPTPSFQAMYPAPPMAYRDPNPPRRRWRPFRVPLAAQIEELRRSIANLTFKQRKPQPPAGPPAKK -RKTPPKPKNQQRKKTKPHAKKQRSKPKPGKRQRLCMKLESDKTFPVVLNGQINGYACVVGGRLMKPLHVE -GKIDNEQLAAVKLKKASMYDLEYGDVPQNMKSDTLQYTSEKPPGFYNWHHGAVQYENGRFSVPRGVGGKG -DSGRPILDNKGRVVAIVLGGVNEGSRTALSVVTWNQKGVTIKITPEGTEPWSLIPVMCALANITFPCNQP -PVCYARNPELALDILEENADSPAYDELLQNIVRCTARRAKRSVTDTYSMTEPYLGRCPVCRHSEPCYSPV -KIEHVWDESDDGTLRIQTSALFGSDSSDKADAQKYRYMTNKPTLKVAEGIMEEIKVSTSGPCRLINYSGY -FLLVHCPPGDSITVSIVKGGVLHSCTVEKRVQRKFVGREQYQFPPLHGKSVPCNVYNSWKESSAGYITMH -RQGPYAYSTFLEEQQGKVYVNPPSGKTVTYECNCSGHRIGTTAQRVEITLCKRTKQCIAYLSNQTKWVFN -SPDLMRSTDHSVKGKLHIPFNLTPTTCLVPLAHAPTVTSWFKGITLHLTSRNPTLLTTRKLGADADSTAE -WITGTTTRNFSVGREGLEYVWGNLDPVRVWAQESAPGDPHGWPHEIIQHYYHRHPVYTIAVLTGIATFAL -IGLSASLHCLCRARRDCITPYALAPNATVPTIIALFCCVRPSHAETVGETLTYLWSHNQPFLWAQLCIPV -AALVILLRCASCCLPFLLVAGVCLGKVDAYEHATTVPNVPGVPYKALVERSGYAPLNLEVMVVSSELVPT -VNKEYITCKFHTVIPSPKIKCCGILECSAQQRADYACRVFGGVYPFMWGGAQCFCDTENTQMSEAYVVLS -PDCKADHAVALKVHTASLKVNLRITYGNTTTNVITFVNGVTPGISGALKVIAGPISAAFTPFDNKVVINK -GLVYNYDFPEYGAMKPGAFGDIQASTIDGRDLVARTDIRLLKPSAKNIHVPFTQAASGYEMWKNNSGRPL -QDTAPFGCRIAVDPLRAENCTYGSIPISLDIPDATFLRVSDSPVVTVASCSVTDCVYSADFGGVAGIDYV -SDREGQCPVHSHSSTAVLKESLVHVMQKGSITLHFSTSSPQANFIVSLCGKKTTCHAQCKPPADHIIGEP -HKVDQEFQAAVSKTSWSWMLAAIGGVSSLVIIGLIALTCSFMFISTRK - ->YP_002802300.1 structural polyprotein [Highlands J virus] -MFPYPQLTFPPMYQPNPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIANLTLKQRAPNPPAGPPAKKKK -TQPKPKATPPKKKKQQVKKQKRKPKPGKRQRMCMKLESDKTFPILLNGQVNGYACVVGGRLMKPLHVEGK -IDNEQLAAIKLKKASMYDLEYGDVPQNMKSDTLQYTSEKPPGFYNWHHGAVQYENGRFTVPRGVGGKGDS -GRPILDNKGRVVAIVLGGANEGSRTALSVVTWNQKGVTIKDTPEGTEQWSLITAMCVLANVTFPCDKPPV -CYSLAPERTLDVLEENVDNPGYDTLLENVLKCPSRRQKRSITDDFTLTSPYLGHCPYCLHATPCFSPIKI -ENVWDESDDGTIRIQVSAQLGYNQAGTADPTKFRYMSYEQDHDIKEASMDKIAISTSGPCSRLGHKGYFL -LARCPPGDSVTVSITSGASATSCTVEKKIRRKFVGREEYLLPPVHGKLIKCHVYDHLKETTAGYITMHRP -GPHAYATYVEESSGEVYIRPPSGKNVTYECKCGDYSTGTVNTRTKMPGCTKKKQCIAYKSDQTKWVFNSP -DLIRHSDHAVQGKLHIPFKLTATACPVPLAHTPTVEKWFKGVTLHLTASHPTLLTTRKLGPRAEPTSEWI -VGTVSRNFSVGREGLEYTWGNHDPVRVWSQESAPGDPHGWPHEIIVHYYHRHPLYTIAVLCGLVLITVIG -IASAAACISKARRDCLTPYALAPNAAVPTLLAVLCCIRPTHAETLGESLGHLWLNNQPLLWAQLCLPLAA -LIILFRFFSCCLPFLLVAGVCLGKADAYEHATTVPNVPGVPYKALVERAGYAPLNLEVTVVSSELIPSTN -KEYVTCKFHTIIPSPQVKCCGSLECQASRKADYTCRVFGGVYPFMWGGAQCFCDSENTQLSEAYVEFAPD -CTADHAVALKVHTAALKVGLQIVYGNTSTRLDTFVNGVTPGSSRDLKVIAGPISAAFTPFDHKVVIRKGK -VYNYDFPEYGAMKPGVFGDIQASSLDSTDIVARTDIRLLKPSVKSIHVPYTQAASGYEMWKNNSGRPLQD -TAPFGCKIEVDPLRAVDCAYGHIPLSIDIPDAAFVRTSEAPTVLEMSCTVTACIYSADFGGSLTLQYKAD -KEGNCPAHSHSSTAVLKEATTHVVHSGSVTLHFSTSSPQANFIVSLCGKKTTCDAECKPPSDHIIGEPHK -VNQEFQAAVSKTSWNWLFAMLGGASSLIVVGLLVLACSSMIINTRR - ->YP_164439.1 C-P62-6K-E1 polyprotein [Getah virus] -MNYIPTQTFYGRRWRPRPAYRPWRVPMQPAPPMVIPELQTPIVQAQQMQQLISAVSALTTKQNGKAPKKP -KKKPQKAKAKKNEQQKKNENKKPPPKQKNPAKKKKPGKRERMCMKIENDCIFEVKLDGKVTGYACLVGDK -VMKPAHVKGVIDNPDLAKLTYKKSSKYDLECAQIPVHMKSDASKYTHEKPEGHYNWHHGAVQYSGGRFTI -PTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWTKDMVTRYTPEGTEEWSAALMMCVLANV -TFPCSEPACAPCCYEKQPEQTLRMLEDNVDRPGYYDLLEATMTCNNSARHRRSVTKHFNVYKATKPYLAY -CADCGDGQFCYSPVAIEKIRDEASDGMIKIQVAAQIGINKGGTHEHNKIRYIAGHDMKEANRDSLQVHTS -GVCAIRGTMGHFIVAYCPPGDELKVQFQDAESHTQACKVQYKHAPAPVGREKFTVRPHFGIEVPCTTYQL -TTAPTEEEIDMHTPPDIPDITLLSQQSGNVKITAGGKTIRYNCTCGSGNVGTTSSDKTINSCKIAQCHAA -VTNHDKWQYTSSFVPRADQLSRKGKVHVPFPLTNSTCRVPVARAPGVTYGKRELTVKLHPDHPTLLTYRS -LGADPRPYEEWIDRYVERTIPVTEDGIEYRWGNNPPVRLWAQLTTEGKPHGWPHEIILYYYGLYPAATIA -AVSAAGLAVVLSLLASCYMFATARRKCLTPYALTPGAVVPVTLGVLCCAPRAHAASFAESMAYLWDENQT -LFWLELATPLAAIIILVCCLKNLLCCCKPLSFLVLVSLGTPVVKSYEHTATIPNVVGFPYKAHIERNGFS -PMTLQLEVLGTSLEPTLNLEYITCEYKTVVPSPYIKCCGTSECRSMERPDYQCQVYTGVYPFMWGGAYCF -CDTENTQLSEAYVDRSDVCKHDHAAAYKAHTAAMKATIRISYGNLNQTTTAFVNGEHTVTVGGSRFTFGP -ISTAWTPFDNKIVVYKNDVYNQDFPPYGSGQPGRFGDIQSRTVESKDLYANTALKLSRPSSGTVHVPYTQ -TPSGFKYWIKERGTSLNDKAPFGCVIKTNPVRAENCAVGNIPVSMDIPDTAFTRVIDAPAVTNLECQVAV -CTHSSDFGGIATLTFKTDKPGKCAVHSHSNVATIQEAAVDIKTDGKITLHFSTASASPAFKVSVCSAKTT -CMAACEPPKDHIVPYGASHNNQVFPDMSGTAMTWVQRVAGGLGGLTLAAVAVLILVTCVTMRR - ->NP_647497.1 structural polyprotein [Salmon pancreas disease virus] -MFPMQFTNSAYRQMEPMFAPGSRGQVQPYRPRTKRRQEPQVGNAAITALANQMSALQLQVAGLAGQARVD -RRGPRRVQKNKQKKKNSSNGEKPKEKKKKQKQQEKKGSGGEKVKKTRNRPGKEVRISVKCARQSTFPVYH -EGAISGYAVLIGSRVFKPAHVKGKIDHPELADIKFQVAEDMDLEAAAYPKSMRDQAAEPATMMDRVYNWE -YGTIRVEDNVIIDASGRGKPGDSGRAITDNSGKVVGIVLGGGPDGRRTRLSVIGFDKKMKAREIAYSDAI -PWTRAPALLLLPMVIVCTYNSNTFDCSKPSCQDCCITAEPEKAMTMLKDNLNDPNYWDLLIAVTTCGSAR -RKRAVSTSPAAFYDTQILAAHAAASPYRAYCPDCDGTACISPIAIDEVVSSGSDHVLRMRVGSQSGVTAK -GGAAGETSLRYLGRDGKVHAADNTRLVVRTTAKCDVLQATGHYILANCPVGQSLTVAATLDGTRHQCTTV -FEHQVTEKFTRERSKGHHLSDMTKKCTRFSTTPKKSALYLVDVYDALPISVEISTVVTCSDSQCTVRVPP -GTTVKFDKKCKSADSATVTFTSDSQTFTCEEPVLTAASITQGKPHLRSAMLPSGGKEVKARIPFPFPPET -ATCRVSVAPLPSITYEESDVLLAGTAKYPVLLTTRNLGFHSNATSEWIQGKYLRRIPVTPQGIELTWGNN -APMHFWSSVRYASGDADAYPWELLVYHTKHHPEYAWAFVGVACGLLAIAACMFACACSRVRYSLVANTFN -SNPPPLTALTAALCCIPGARADQPYLDIIAYLWTNSKVAFGLQFAAPVACVLIITYALRHCRLCCKSFLG -VRGWSALLVILAYVQSCKSYEHTVVVPMDPRAPSYEAVINRNGYDPLKLTISVNFTVISPTTALEYWTCA -GVPIVEPPHVGCCTSVSCPSDLSTLHAFTGKAVSDVHCDVHTNVYPLLWGAAHCFCSTENTQVSAVAATV -SEFCAQDSERAEAFSVHSSSVTAEVLVTLGEVVTAVHVYVDGVTSARGTDLKIVAGPITTDYSPFDRKVV -RIGEEVYNYDWPPYGAGRPGTFGDIQARSTNYVKPNDLYGDIGIEVLQPTNDHVHVAYTYTTSGLLRWLQ -DAPKPLSVTAPHGCKISANPLLALDCGVGAVPMSINIPDAKFTRKLKDPKPSALKCVVDSCEYGVDYGGA -ATITYEGHEAGKCGIHSLTPGVPLRTSVVEVVAGANTVKTTFSSPTPEVALEVEICSAIVKCAGECTPPK -EHVVATRPRHGSDPGGYISGPAMRWAGGIVGTLVVLFLILAVIYCVVKKCRSKRIRIVKS - ->NP_640331.1 structural polyprotein [Western equine encephalitis virus] -MFPYPQLNFPPVYPTNPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIVNLTFKQRSPNPPPGPPPKKKK -SAPKPKPTQPKKKKQQAKRTKRKPKPGKRQRMCMKLESDKTFPIMLNGQVNGYACVVGGRLMKPLHVEGK -IDNEQLAAVKLKKASMYDLEYGDVPQNMKSDTLQYTSDKPPGFYNWHHGAVQYENGRFTVPRGVGGKGDS -GRPILDNRGRVVAIVLGGANEGTRTALSVVTWNQKGVTIRDTPEGSEPWSLVTALCVLSNVTFPCDKPPV -CYSLTPERTLDVLEENVDNPNYDTLLENVLKCPSRRPKRSITDDFTLTSPYLGFCPYCRHSTPCFSPIKI -ENVWDESDDGSIRIQVSAQFGYNQAGTADVTKFRYMSFDHDHDIKEDSMEKIAISTSGPCRRLGHKGYFL -LAQCPPGDSVTVSITSGASENSCTVEKKIRRKFVGREEYLFPPVHGKLVKCHVYDHLKETSAGYITMHRP -GPHAYKSYLEEASGEVYIKPPSGKNVTYECKCGDYSTGIVSTRTKMNGCTKAKQCIAYKSDQTKWVFNSP -DLIRHTDHSVQGKLHIPFRLTPTVCPVPLAHTPTVTKWFKGITLHLTAMRPTLLTTRKLGLRADATAEWI -TGSTSRNFSVGREGLEYVWGNHEPVRVWAQESAPGDPHGWPHEIIIHYYHRHPVYTVIVLCGVALAILVG -TASSAACIAKARRDCLTPYALAPNATVPTALAVLCCIRPTNAETFGETLNHLWFNNQPFLWAQLCIPLAA -LVILFRCFSCCMPFLLVAGVCLGKVDAFEHATTVPNVPGIPYKALVERAGYAPLNLEITVVSSELTPSTN -KEYVTCKFHTVIPSPQVKCCGSLECKASSKADYTCRVFGGVYPFMWGGAQCFCDSENTQLSEAYVEFAPD -CTIDHAVALKVHTAALKVGLRIVYGNTTAHLDTFVNGVTPGSSRDLKVIAGPISAAFSPFDHKVVIRKGL -VYNYDFPEYGAMKPGAFGDIQASSLDATDIVARTDIRLLKPSVKNIHVPYTQAVSGYEMWKNNSGRPLQE -TAPFGCKIEVEPLRASNCAYGHIPISIDIPDAAFVRSSESPTILEVSCTVADCIYSADFGGSLTLQYKAD -REGHCPVHSHSTTAVLKEATTHVTAVGSITLHFSTSSPQANFIVSLCGKKSTCNAECKPPADHIIGEPHK -VDQEFQAAVSKTSWNWLLALFGGASSLIVVGLIVLVCSSMLINTRR - ->NP_632024.1 Polyprotein 2 [Aura virus] -MNSVFYNPFGRGAYAQPPIAWRPRRRAAPAPRPSGLTTQIQQLTRAVRALVLDNATRRQRPAPRTRPRKP -KTQKPKPKKQNQKPPQQQKKGKNQPQQPKKPKPGKRQRTALKFEADRTFVGKNEDGKIMGYAVAMEGKVI -KPLHVKGTIDHPALAKLKFTKSSSYDMEFAKLPTEMKSDAFGYTTEHPEVFYNWHHGAVQFSGGRFTIPT -GVGGPGDSGRPILDNSGKVVAIVLGGANEVPGTALSVVTWNKKGAAIKTTHEDTVEWSRAITAMCILQNV -TFPCDRPPTCYNRNPDLTLTMLETNVNHPSYDVLLDAALRCPTRRHVRSTPTDDFTLTAPYLGLCHRCKT -MEPCYSPIKIEKVWDDADDGVLRIQVSAQLGYNRAGTAASARLRFMGGGVPPEIQEGAIADFKVFTSKPC -LHLSHKGYFVIVKCPPGDSITTSLKVHGSDQTCTIPMRVGYKFVGREKYTLPPMHGTQIPCLTYERTREK -SAGYVTMHRPGQQSITMLMEESGGEVYVQPTSGRNVTYECKCGDFKTGTVTARTKIDGCTERKQCIAISA -DHVKWVFNSPDLIRHTDHTAQGKLHIPFPLQQAQCTVPLAHLPGVKHAYRSMSLTLHAEHPTLLTTRHLG -ENPQPTAEWIVGSVTRNFSITIQGFEYTWGNQKPVRVYAQESAPGNPHGWPHEIVRHYYHLYPFYTVTVL -SGMGLAICAGLVISILCCCKARRDCLTPYQLAPNATVPFLVTLCCCFQRTSADEFTDTMGYLWQHSQTMF -WIQLVIPLAAVITLVRCCSCCLPFLLVASPPNKADAYEHTITVPNAPLNSYKALVERPGYAPLNLEVMVM -NTQIIPSVKREYITCRYHTVVPSPQIKCCGTVECPKGEKADYTCKVFTGVYPFLWGGAQCFCDSENSQLS -DKYVELSTDCATDHAEAVRVHTASVKSQLRITYGNSTAQVDVFVNGVTPARSKDMKLIAGPLSTTFSPFD -NKVIIYHGKVYNYDFPEFGAGTPGAFGDVQASSTTGSDLLANTAIHLQRPEARNIHVPYTQAPSGFEFWK -NNSGQPLSDTAPFGCKVNVNPLRADKCAVGSLPISVDIPDAAFTRVSEPLPSLLKCTVTSCTYSTDYGGV -LVLTYESDRAGQCAVHSHSSTAVLRDPSVYVEQKGETTLKFSTRSLQADFEVSMCGTRTTCHAQCQPPTE -HVMNRPQKSTPDFSSAISKTSWNWITALMGGISSIAAIAAIVLVIALVFTAQHR - ->NP_598185.1 Structural polyprotein [Sleeping disease virus] -MFPMQFTNSAYRQMEPMFAPASRGQVQPYRPRTKRRQEPQVGNAAIAALANQMSALQLQVAGLAGQARVD -RRGPRRVQKNKQKKKNSSNGEKPKEKKKKQKQQEKKGSGGEKAKKPRNRPGKEVRISVKRARQSTFPVYH -DGAISGYAVLIGSRVFKPAHVKGKFDHPELADIKFQVAEVMDLEAAAYPKCMRDQAAEPATMMDGVYNGE -YGNIQEWRTILYSMRAAEASRGDSGRPFTDNSGKVVGIVLGGGPDGRRTRLSVIGFDKKLKAREIAYSEA -IPWTRAPALLLLPMVIACTYNSNTFDCSKPSCQDCCITAEPKKAMTMLKDNLNDPNYWDLLIAVTTCSSA -RKKRAVSTSPVAVYDTQILAAHAAASPYRAYCPDCDGTACISPIAIDEVVSSGSDHVLRIRVGSQSGVTA -KGGAAGETSLRYLGRDGKVYAADNTRLVVRTTAKCDVLQATGHYILANCPVGQSLTVAATLDGTRHQCTT -VFEHQVTEKFTRERSKGHHLSDLTKKCTRFSTTPKKSALYLVDVYDALPTSVEISTVVTCNERQCTVRVP -PGTTVKFDKRCKNAAKETVTFTSDSQTFTCEEPVLTAASITQGKPHLRSSMLPSGGKEVKARIPFPFPPE -TATCRVSIAPLPSITYEESDVLLAGTAKYPVLLTTRNLGFHSNATSEWIQGKYLRRIPVTPQGIELMLGN -NAPLHFWSSVRYASGDADAYPWELLVHHIKHHPEYAWAFVGVACGLLAVAACMFACACNRVRYSLLANTF -NPNPPPLTALTAALCCIPGARADQPYLDIIAYLWTNSKVAFGLQCAAPVACMLIVTYALRHCRLCCNSFL -GVRGWSALLVILAYVQSCKAYEHTVVVPMDPRAPSYEAVINRNGYDPLKLTIAVNFTVISPTTALEYWTC -AGVPVVEPPHVGCCTSVSCPSDLSTLHAFTGKAVSDVHCDVHTNVYPLLWGAAHCFCSTENTQVSAVAAT -VSEFCAQDSERAEAFSVHSSSVTAEILVTLGEVVTAVHVYVDGVTSARGTDLKIVAGPITTDYSPFDRKV -VRIGEEVYNYDWPPYGAGRPGTFGDIQARSTNYVKPNDLYGDIGIEVLQPTNDHVHVAYTYTTSGLLRWL -QDAPKPLSVTAPHGCKISANPLLALDCGVGAVPMSINIPDAKFTRKLKDPKPSALKCVVDSCEYGVDYGG -AATITYEGHEAGKCGIHSLTPGVPLRTSVVEVVAGANTVKTTFSSPTPEVTLEVEICSAIVKCASECTPP -KEHVVAARPRHGSDTGGYISGPAMRWAGRIVGNPSGPVSSSLAVTYCVVKKCRSKRIRIVKS - ->NP_579970.1 structural polyprotein [Mayaro virus] -MDFLPTQVFYGRRWRPRMPPRPWRPRMPTMQRPDQQARQMQQLIAAVSTLALRQNAAAPQRGKKKQPRRK -KPKPQPEKPKKQEQKPKQKKAPKRKPGRRERMCMKIEHDCIFEVKHEGKVTGYACLVGDKVMKPAHVPGV -IDNADLARLSYKKSSKYDLECAQIPVAMKSDASKYTHEKPEGHYNWHYGAVQYTGGRFTVPTGVGKPGDS -GRPIFDNKGPVVAIVLGGANEGTRTALSVVTWNKDMVTKITPEGTVEWAASTVTAMCLLTNISFPCFQPS -CAPCCYEKGPEPTLRMLEENVNSEGYYDLLHAAVYCRNSSRSKRSTANHFNAYKLTRPYVAYCADCGMGH -SCHSPAMIENIQADATDGTLKIQFASQIGLTKTDTHDHTKIRYAEGHDIAEAARSTLKVHSSSECTVTGT -MGHFILAKCPPGERISVSFVDSKNEHRTCRIAYHHEQRLIGRERFTVRPHHGIELPCTTYQLTTAETSEE -IDMHMPPDIPDRTILSQQSGNVKITVNGRTVRYSSSCGSQAVGTTTTDKTINSCTVDKCQAYVTSHTKWQ -FNSPFVPRRMQAERKGKVHIPFPLINTTCRVPLAPEALVRSGKREATLSLHPIHPTLLSYRTFGAERVFD -EQWITAQTEVTIPVPVEGVEYQWGNHKPQRFVVALTTEGKAHGWPHEIIEYYYGLHPTTTIVVVIRVSVV -VLLSFAASVYMCVVARTKCLTPYALTPGAVVPVTIGVLCCAPKAHAASFAEGMAYLWDNNQSMFWMELTG -PLALLILATCCARSLLSCCKGSFLVAMSIGSAVASAYEHTAIIPNQVGFPYKAHVAREGYSPLTLQMQVI -ETSLEPTLNLEYITCDYKTKVPSPYVKCCGTAECRTQDKPEYKCAVFTGVYPFMWGGAYCFCDSENTQMS -EAYVERADVCKHDHAAAYRAHTASLRAKIKVTYGTVNQTVEAYVNGDHAVTIAGTKFIFGPVSTPWTPFD -TKILVYKGELYNQDFPRYGAGQPGRFGDIQSRTLDSRDLYANTGLKLARPAAGNIHVPYTQTPSGFKTWQ -KDRDSPLNAKAPFGCIIQTNPVRAMNCAVGNIPVSMDIADSAFTRLTDAPVISELTCTVSTCTHSSDFGG -IAVLSYKVEKSGRCDIHSHSNVAVLQEVSIETEGRSVIHFSTASASPSFVVSVCSSRATCTAKCEPPKDH -VVTYPANHNGVTLPDLSSTAMTWAQHLAGGVGLLIALAVLILVIVTCVTLRR - ->NP_463458.1 Structural polyprotein [Semliki Forest virus] -MNYIPTQTFYGRRWRPRPAARPWPLQATPVAPVVPDFQAQQMQQLISAVNALTMRQNAIAPARPPKPKKK -KTTKPKPKTQPKKINGKTQQQKKKDKQADKKKKKPGKRERMCMKIENDCIFEVKHEGKVTGYACLVGDKV -MKPAHVKGVIDNADLAKLAFKKSSKYDLECAQIPVHMRSDASKYTHEKPEGHYNWHHGAVQYSGGRFTIP -TGAGKPGDSGRPIFDNKGRVVAIVLGGANEGSRTALSVVTWNKDMVTRVTPEGSEEWSAPLITAMCVLAN -ATFPCFQPPCVPCCYENNAEATLRMLEDNVDRPGYYDLLQAALTCRNGTRHRRSVSQHFNVYKATRPYIA -YCADCGAGHSCHSPVAIEAVRSEATDGMLKIQFSAQIGIDKSDNHDYTKIRYADGHAIENAVRSSLKVAT -SGDCFVHGTMGHFILAKCPPGEFLQVSIQDTRNAVRACRIQYHHDPQPVGREKFTIRPHYGKEIPCTTYQ -QTTAETVEEIDMHMPPDTPDRTLLSQQSGNVKITVGGKKVKYNCTCGTGNVGTTNSDMTINTCLIEQCHV -SVTDHKKWQFNSPFVPRADEPARKGKVHIPFPLDNITCRVPMAREPTVIHGKREVTLHLHPDHPTLFSYR -TLGEDPQYHEEWVTAAVERTIPVPVDGMEYHWGNNDPVRLWSQLTTEGKPHGWPHQIVQYYYGLYPAATV -SAVVGMSLLALISIFASCYMLVAARSKCLTPYALTPGAAVPWTLGILCCAPRAHAASVAETMAYLWDQNQ -ALFWLEFAAPVACILIITYCLRNVLCCCKSLSFLVLLSLGATARAYEHSTVMPNVVGFPYKAHIERPGYS -PLTLQMQVVETSLEPTLNLEYITCEYKTVVPSPYVKCCGASECSTKEKPDYQCKVYTGVYPFMWGGAYCF -CDSENTQLSEAYVDRSDVCRHDHASAYKAHTASLKAKVRVMYGNVNQTVDVYVNGDHAVTIGGTQFIFGP -LSSAWTPFDNKIVVYKDEVFNQDFPPYGSGQPGRFGDIQSRTVESNDLYANTALKLARPSPGMVHVPYTQ -TPSGFKYWLKEKGTALNTKAPFGCQIKTNPVRAMNCAVGNIPVSMNLPDSAFTRIVEAPTIIDLTCTVAT -CTHSSDFGGVLTLTYKTNKNGDCSVHSHSNVATLQEATAKVKTAGKVTLHFSTASASPSFVVSLCSARAT -CSASCEPPKDHIVPYAASHSNVVFPDMSGTALSWVQKISGGLGAFAIGAILVLVVVTCIGLRR - ->NP_062890.1 hypothetical protein [Sindbis virus] -MNRGFFNMLGRRPFPAPTAMWRPRRRRQAAPMPARNGLASQIQQLTTAVSALVIGQATRPQPPRPRPPPR -QKKQAPKQPPKPKKPKTQEKKKKQPAKPKPGKRQRMALKLEADRLFDVKNEDGDVIGHALAMEGKVMKPL -HVKGTIDHPVLSKLKFTKSSAYDMEFAQLPVNMRSEAFTYTSEHPEGFYNWHHGAVQYSGGRFTIPRGVG -GRGDSGRPIMDNSGRVVAIVLGGADEGTRTALSVVTWNSKGKTIKTTPEGTEEWSAAPLVTAMCLLGNVS -FPCDRPPTCYTREPSRALDILEENVNHEAYDTLLNAILRCGSSGRSKRSVIDDFTLTSPYLGTCSYCHHT -VPCFSPVKIEQVWDEADDNTIRIQTSAQFGYDQSGAASANKYRYMSLKQDHTVKEGTMDDIKISTSGPCR -RLSYKGYFLLAKCPPGDSVTVSIVSSNSATSCTLARKIKPKFVGREKYDLPPVHGKKIPCTVYDRLKETT -AGYITMHRPRPHAYTSYLEESSGKVYAKPPSGKNITYECKCGDYKTGTVSTRTEITGCTAIKQCVAYKSD -QTKWVFNSPDLIRHDDHTAQGKLHLPFKLIPSTCMVPVAHAPNVIHGFKHISLQLDTDHLTLLTTRRLGA -NPEPTTEWIVGKTVRNFTVDRDGLEYIWGNHEPVRVYAQESAPGDPHGWPHEIVQHYYHRHPVYTILAVA -SATVAMMIGVTVAVLCACKARRECLTPYALAPNAVIPTSLALLCCVRSANAETFTETMSYLWSNSQPFFW -VQLCIPLAAFIVLMRCCSCCLPFLVVAGAYLAKVDAYEHATTVPNVPQIPYKALVERAGYAPLNLEITVM -SSEVLPSTNQEYITCKFTTVVPSPKIKCCGSLECQPAAHADYTCKVFGGVYPFMWGGAQCFCDSENSQMS -EAYVELSADCASDHAQAIKVHTAAMKVGLRIVYGNTTSFLDVYVNGVTPGTSKDLKVIAGPISASFTPFD -HKVVIHRGLVYNYDFPEYGAMKPGAFGDIQATSLTSKDLIASTDIRLLKPSAKNVHVPYTQASSGFEMWK -NNSGRPLQETAPFGCKIAVNPLRAVDCSYGNIPISIDIPNAAFIRTSDAPLVSTVKCEVSECTYSADFGG -MATLQYVSDREGQCPVHSHSSTATLQESTVHVLEKGAVTVHFSTASPQANFIVSLCGKKTTCNAECKPPA -DHIVSTPHKNDQEFQAAISKTSWSWLFALFGGASSLLIIGLMIFACSMMLTSTRR - ->NP_062880.1 structural polyprotein [Ross River virus] -MNYIPTQTFYGRRWRPRPAFRPWQVPMQPTPTMVTPMLQAPDLQAQQMQQLISAVSALTTKQNVKAPKGQ -RKQKQQKPKEKKEKQKKKPTXKKKQQQKPKPQAKKKKPGRRERMCMKIENDCIFEVKLDGKVTGYACLVG -DKVMKPAHVKGTIDNPDLAKLTYKKSSKYDLECAQIPVHMKSDASKYTHEKPEGHYNWHHGAVQYSXGRF -TIPTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWTKDMVTRVTPEGTEEWSAALMMCILA -NTSFPCSSPPCYPCCYEKQPEQTLRMLEDNVNRPGYYELLEASMTCRNRSRHRRSVIEHFNVYKATRPYL -AXCADCGDGYFCYSPVAIEKIRDEASDGMLKIQVSAQIGLDKAGTHAHTKMRYMAGHDVQESKRDSLRVY -TSAACSIHGTMGHFIVAHCPPGDYLKXSFEDANSHVKACKVQYKHDPLPVGREKFVVRPHFGVELPCTSY -QLTTAPTDEEIDMHTPPDIPDRTLLSQTAGNVKITAGGRTIRYNCTCGRDNVGTTSTDKTINTCKIDQCH -AAVTSHDKWXFTSPFVPRADQTARKGKVHVPFPLTNVTCRVPLARAPDVTYGKKEVTLRLHPDHPTXFSY -RSLGAVPHPYEEWVDKFSERIIPVTEEGIEYQWGNNPPVRLWAQLTTEGKPHGWPHEIIQYYYGLYPAAT -IAAVSGASLMALLTLAATCCMLATARRKCLTPYALTPGAVVPLTLGLLXCAPRANAASFAETMAYLWDEN -KTLFWMEXXXXXXALALLACCIKSLICCCKPFSFLVLLSLGASAKAYEHTATIPNVVGFPYKAHIERNXF -SPMTLQLEVVXXSLEPTLNLEYITCEYKTVVPSPFIKCCGTSECSSKEQPDYQCKVYTGVYPFMWGGAYC -FCDSENTQLSEAYVDRSDVCKHDHALAYKAHTASLKATIRISYGTINQTTEAFVNGEHAVNVGGSKFIFG -PISTAWSPFDNKIVVYKDDVYNQDFPPYGSGQPGRFGDIQSRTVESKDLYANTALKLSRPSPGVVHVPYT -QTPSGFKYWLKEKGSSLNTKAPFGCKIKTNPVRAMDCAVGSIPVSMDIPDSAFTRVVDAPAVTDLSCQVA -VCTHSSDFGXVATLSYKTDKPGKCAVHSHSNVATLQEATVDVKEDGKVTVHFSXXSASPAFKVSVCDAKT -TCTAACEPPKDHIVPYGASHNNQVFPDMSGTAMTWVQRMASGLGGLALIAVVVLVLVTCITMRR - ->NP_054024.1 structural polyprotein [Barmah Forest virus] -MDFIPTQTFYGRRWRPAPVQRYIPQPQPPAPPRRRRGPSQLQQLVAALGALALQPKQKQKRAQKKPKKTP -PPKPKKTQKPKKPTQKKKSKPGKRMRNCMKIENDCIFPVMLDGKVNGYACLVGDKVMKPAHVKGTIDNPE -LAKLTFKKSSKYDLECAQVPVCMKSDASKFTHEKPEGHYNWHHGAVQFSNGRFTIPTGSGKPGDSGRPIF -DNTGKVVAIVLGGANEGARTALSVVTWNKDMVTRITPEESVEWSAAALXITALCVLQNLSFPCDAPPCAP -CCYEKDPAGTLRLLSDHYYHPKYYELLDSTMHCPQGRRPKRSVAHFEAYKATRPYIGWCADCGLAGSCPS -PVSIEHVWSDADDGVLKIQVSMQIGIAKSNTINHAKIRYMGANGVQEAERSTLSVSTTAPCDILATMGHF -ILARCRPGSQVEVSLSTDPKLLCRTPFSHKPRFIGNEKSPAPTGHKTRIPCKTYSHQTDLTREEITMHVP -PDVPIQGLVSNTGKSYSLDPKTKTIKYKCTCGETVKEGTATNKITLFNCDTAPKCITYAVDNTVWQYNSQ -YVPRSEVTEVKGKIHVPFPLTDSTCAVSVAPEPQVTYRLGEVEFHFHPMYPTLFSIRSLGKDPSHSQEWI -DTPMSKTIQVGAEGVEYVWGNNNPVRLWAQKSSSSSAHGNPISIVSHYYDLYPYWTITVLASLGLLIVIS -SGFSCFLCSVARTKCLTPYQLAPGAQLPTFIALLCCAKSARADTLDDFSYLWTNNQAMFWLQLASPVAAF -LCLSYCCRNLACCMKIFLGISGLCVIATQAYEHSTTMPNQVGIPFKALIERPGYAGLPLSLVVIKSELVP -SLVQDYITCNYKTVVPSPYIKCCGGAECSHKNEADYKCSVFTGVYPFMWGGAYCFCDTENSQMSEVYVTR -GESCEADHAIAYQVHTASLKAQVMISIGELNQTVDVFVNGDSPARIQQSKFILGPISSAWSPFDHKVIVY -RDEVYNEDYAPYGSGQAGRFGDIQSRTVNSTDVYANTNLKLKRPASGNVHVPYTQTPSGFSYWKKEKGVP -LNRNAPFGCIIKVNPVRAENCVYGNIPISMDIADAHFTRIDESPSVSLKACEVQSCTYSSDFGGVASISY -TSNKVGKCAIHSHSNSATMKDSVQDVQESGALSLFFATSSVEPNFVVQVCNARITCHGKCEPPKDHIVPY -AAKHNDAEFPSISTTAWQWLAHTTSGPLTILVVAIIVVVVVSIVVCARH - ->NP_041255.1 hypothetical protein [Onyong-nyong virus] -MEFIPAQTYYNRRYQPRPWTQRPTIQVIRPKPRRRRPAGQLAQLISAVSRLALRTVPQKPRRTRKIKKQK -QVKQEQQSTTNQKKKAPKQKQTQKKKRPGRRERMCMKIENDCIFEVRHEGKVTGYACLVGDKVMKPAHVK -GTIDNADLAKLAFKRSSKYDLECAQIPVHMKSDASKFTHEKPEGYYNWHHGAVQYSGGRFTIPTGAGKPG -DSGRPIFDNKGRVVAIVLGGANEGTRTALSVVTWNKDIVTKITPEGSVEWSLALPVMCLLANTTFPCSQP -PCAPCCYEKKPEETLRMLEDNVMQPGYYQLLDSALACSQRRQKRNARENFNVYKVTRPYLAHCPDCGEGH -SCHSPIALERIRSEATDGTLKIQVSLQIGIKTDDSHDWTKLRYMDSHTPVDADRSGLFVRTSAPCTITGT -MGHFILARCPKGETLTVGFVDSRRISHTCMHPFRHEPPLIGREKFHSRPQHGKELPCSTYVHTTAATAEE -IEVHMPPDTPDYTLMTQQAGNVKITVDGQTVRYKCKCDGSNEGLITADKVINNCKVDQCHTAVTNHKKWQ -YNSPLTPRNSEQGDRKGKIHIPFPLVNTTCRVPKARNPTVTYGKNRVTLLLHPDHPTLLSYRAMGRIPDY -HEEWITNKKEISITVPAEGLEVTWGNNDPYKYWPQLSTNGTAHGHPHEIILYYYELYPTTTIAVLAAASI -VITSLVGLSLGMCICARRRCITPYELTPGATIPFLLGVLCCARTAKAASYYEAATYLWNEQQPLFWLQLL -IPLSAAIVVCNCLKLLPCCCKTLTFLAVMSIGARTVTAYEHATVIPNTVGVPCKTLVSRPGYSPMVLEME -LQSVTLEPALSLDYITCEYKTITPSPYVKCCGTAECKAKNLPDYNCKVFTGVYPFMWGGAYCFCDAENTQ -LSEAHVEKSESCKTEFASAYRAHTASVSAKLRVFYQGNNITVSAYANGDHAVTVEDAKFVIGPLSSAWSP -FDNKIVVYKGEVYNMDYPPFGAGRPGQFGDIQSRTPDSKDVYANTQLILQRPAAGAIHVPYSQAPSGFKY -WLKEKGASLQHTAPFGCQIATNPVRAVNCAVGNIPVSIDIPDAAFTRVTDAPSITDMSCEVASCTHSSDF -GGAAVIKYTASKKGKCAVHSVTNAVTIREPNVDVKGTAQLQIAFSTALASAEFKVQICSTLVHCSATCHP -PKDHIVNYPSPHTTLGVQDISTTAMSWVQKITGGVGLVVAIAALILIIVLCVSFSRH - ->NP_040824.1 structural polyprotein precursor [Venezuelan equine encephalitis virus] -MFPFQPMYPMQPMPYRNPFAAPRRPWFPRTDPFLAMQVQELTRSMANLTFKQRRDAPPEGPPAKKPKREA -PQKQKGGGQGKKKKNQGKKKAKTGPPNPKAQSGNKKKPNKKPGKRQRMVMKLESDKTFPIMLEGKINGYA -CVVGGKLFRPMHVEGKIDNDVLAALKTKKASKYDLEYADVPQNMRADTFKYTHEKPQGYYSWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWNEKGVTVKYTPENCEQWSLVTT -MCLLANVTFPCAEPPICYDRKPAETLAMLSVNVDNPGYDELLEAAVKCPGRKRRSTEELFKEYKLTRPYM -ARCIRCAVGSCHSPIAIEAVKSDGHDGYVRLQTSSQYGLDSSGNLKGRTMRYDMHGTIEEIPLHQVSLHT -SRPCHIVDGHGYFLLARCPAGDSITMEFKKGSVTHSCSVPYEVKFNPVGRELYTHPPEHGAEQACQVYAH -DAQNRGAYVEMHLPGSEVDSSLISLSGSSVTVTPPVGTSALVKCKCGGTKISETINKAKQFSQCTKKEQC -RAYRLQNDKWVYNSDKLPKAAGATLKGKLHVPFLLADGKCTVPLAPEPMITFGFRSVSLKLHPKNPTYLT -TRQLADEPHYTHELISEPAVRNFTVTEKGWEFVWGNHPPKRFWAQETAPGNPHGLPHEVITHYYHRYPMS -TILGLSICAAIVTVSVAASTWLFCKSRVSCLTPYRLTPNARMPLCLAVLCCARTARAETTWESLDHLWNN -NQQMFWIQLLIPLAALIVVTRLLKCVCCVVPFLVVAGAAGAGAYEHATTMPSQAGISYNTIVNRAGYAPL -PISITPTKIKLIPTVNLEYVTCHYKTGMDSPAIKCCGSQECTPTNRPDEQCKVFTGVYPFMWGGAYCFCD -TENTQVSKAYVMKSDDCLADHAEAYKAHTASVQAFLNITVGEHSIVTTVYVNGETPVNFNGVKLTAGPLS -TAWTPFDRKIVQYAGEIYNYDFPEYGAGQPGAFGDIQSRTVSSSDLYANTNLVLQRPKAGAIHVPYTQAP -SGFEQWKKDKAPSLKFTAPFGCEIYTNPIRAENCAVGSIPLAFDIPDALFTRVSETPTLSAAECTLNECV -YSSDFGGIATVKYSASKSGKCAVHVPSGTATLKEAAVELTEQGSATIHFSTANIHPEFRLQICTSYVTCK -GDCHPPKDHIVTHPQYHAQTFTAAVSKTAWTWLTSLLGGSAVIIIIGLVLATIVAMYVLTNQKHN - ->sp|Q5XXP3.1|POLS_CHIK3 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MEFIPTQTFYNRRYQPRPWAPRPTIQVIRPRPRPQRQAGQLAQLISAVNKLTMRAVPQQKPRRNRKNKKQ -RQKKQAPQNDPKQKKQPPQKKPAQKKKKPGRRERMCMKIENDCIFEVKHEGKVMGYACLVGDKVMKPAHV -KGTIDNADLAKLAFKRSSKYDLECAQIPVHMKSDASKFTHEKPEGYYNWHHGAVQYSGGRFTIPTGAGKP -GDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWNKDIVTKITPEGAEEWSLALPVLCLLANTTFPCSQ -PPCTPCCYEKEPESTLRMLEDNVMRPGYYQLLKASLTCSPHRQRRSTKDNFNVYKATRPYLAHCPDCGEG -HSCHSPIALERIRNEATDGTLKIQVSLQIGIKTDDSHDWTKLRYMDSHTPADAERAGLLVRTSAPCTITG -TMGHFILARCPKGETLTVGFTDSRKISHTCTHPFHHEPPVIGRERFHSRPQHGKELPCSTYVQSTAATAE -EIEVHMPPDTPDRTLMTQQSGNVKITVNGQTVRYKCNCGGSNEGLTTTDKVINNCKIDQCHAAVTNHKNW -QYNSPLVPRNAELGDRKGKIHIPFPLANVTCRVPKARNPTVTYGKNQVTMLLYPDHPTLLSYRNMGQEPN -YHEEWVTHKKEVTLTVPTEGLEVTWGNNEPYKYWPQMSTNGTAHGHPHEIILYYYELYPTMTVVIVSVAS -FVLLSMVGTAVGMCVCARRRCITPYELTPGATVPFLLSLLCCVRTTKAATYYEAAAYLWNEQQPLFWLQA -LIPLAALIVLCNCLKLLPCCCKTLAFLAVMSIGAHTVSAYEHVTVIPNTVGVPYKTLVNRPGYSPMVLEM -ELQSVTLEPTLSLDYITCEYKTVIPSPYVKCCGTAECKDKSLPDYSCKVFTGVYPFMWGGAYCFCDAENT -QLSEAHVEKSESCKTEFASAYRAHTASASAKLRVLYQGNNITVAAYANGDHAVTVKDAKFVVGPMSSAWT -PFDNKIVVYKGDVYNMDYPPFGAGRPGQFGDIQSRTPESKDVYANTQLVLQRPAAGTVHVPYSQAPSGFK -YWLKERGASLQHTAPFGCQIATNPVRAVNCAVGNIPISIDIPDAAFTRVVDAPSVTDMSCEVPACTHSSD -FGGVAIIKYTASKKGKCAVHSMTNAVTIREADVEVEGNSQLQISFSTALASAEFRVQVCSTQVHCAAACH -PPKDHIVNYPASHTTLGVQDISTTAMSWVQKITGGVGLIVAVAALILIVVLCVSFSRH - ->sp|Q5WQY5.1|POLS_CHIKN RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MEFIPTQTFYNRRYQPRPWTPRPTIQVIRPRPRPQRKAGQLAQLISAVNKLTMRAVPQQKPRKNRKNKKQ -KQKQQAPRNNMNQKKQPPKKKPAQKKKKPGRRERMCMKIENDCIFEVKHEGKVTGYACLVGDKVMKPAHV -KGTIDNADLAKLAFKRSSKYDLECAQIPVHMKSDASKFTHEKPEGYYNWHHGAVQYSGGRFTIPTGAGKP -GDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWNKDIVTKITPEGAEEWSLAIPVMCLLANTTFPCSQ -PPCAPCCYEKEPEKTLRMLEDNVMSPGYYQLLQASLTCSPRRQRRSIKDNFNVYKATRPYLAHCPDCGEG -HSCHSPVALERIRNEATDGTLKIQVSLQIGIKTDDSHDWTKLRYMDNHMPADAERAGLLVRTSAPCTITG -TMGHFILARCPKGETLTVGFTDGRKISHSCTHPFHHDPPVIGREKFHSRPQHGKELPCSTYVQSNAATAE -EVEVHMPPDTPDRTLMSQQSGNVKITVNSQTVRYKCNCGDSNEGLTTTDKVINNCKVDQCHAAVTNHKKW -QYNSPLVPRNVELGDRKGKIHIPFPLANVTCRGPKARNPTVTYGKNQVIMLLYPDHPTLLSYRNMGEEPN -YQEEWVTHKKEVRLTVPTEGLEVTWGNNEPYKYWPQLSTNGTAHGHPHEIILYYYELYPTMTVVVVSVAS -FVLLSMVGVAVGMCMCARRRCITPYELTPGATVPFLLSLICCIRTAKAATYQEAAVYLWNEQQPLFWLQA -IIPLAALIVLCNCLRLLPCCCKTLTFLAVMSVGAHTVSAYEHVTVIPNTVGVPYKTLVNRPGYSPMVLEM -ELLSVTLEPTLSLDYITCEYKTVIPSPYVKCLRYSECKDKSLPDYSCKVFTGVYPFMWGGAYCFCDTENT -QLSEAHVEKSESCKTEFASAYRAHTASASGKLRVLYQGNNVTVSAYANGDHAVTVKDAKFIVGPMSSAWT -PFDNKIVVYKGDVYNMDYPPFGAGRPGQFGDIQSRTPESEDVYANTQLVLQRPSAGTVHVPYSQAPSGFK -YWLKERGASLQHTAPFGCQIATNPVRAMNCAVGNMPISIDIPDAAFTRVVDAPSLTDMSCEVPACTHSSD -FGGAAIIKYAASKKGKCAVHSMTNAVTIREAEIEVEGNSQLQISFSTALASAEFRVQVCSTQVHCAAECH -PPKDHIVNYPASHTTLGVQDISATAMSWVQKITGGVGLVVAVAALILIVVLCVSFSRH - ->sp|P0DOK1.1|POLSF_CHIKS RecName: Full=Frameshifted structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Protein TF -MEFIPTQTFYNRRYQPRPWTPRPTIQVIRPRPRPQRQAGQLAQLISAVNKLTMRAVPQQKPRKNRKNKKQ -KQKQQAPQNNTNQKKQPPKKKPAQKKKKPGRRERMCMKIENDCIFEVKHEGKVTGYACLVGDKVMKPAHV -KGTIDNADLAKLAFKRSSKYDLECAQIPVHMKSDASKFTHEKPEGYYNWHHGAVQYSGGRFTIPTGAGKP -GDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWNKDIVTKITPEGAEEWSLAIPVMCLLANTTFPCSQ -PPCIPCCYEKEPEETLRMLEDNVMRPGYYQLLQASLTCSPHRQRRSTKDNFNVYKATRPYLAHCPDCGEG -HSCHSPVALERIRNEATDGTLKIQVSLQIGIGTDDSHDWTKLRYMDNHIPADAGRAGLFVRTSAPCTITG -TMGHFILARCPKGETLTVGFTDSRKISHSCTHPFHHDPPVIGREKFHSRPQHGKELPCSTYVQSNAATAE -EIEVHMPPDTPDRTLLSQQSGNVKITVNSQTVRYKCNCGGSNEGLITTDKVINNCKVDQCHAAVTNHKKW -QYNSPLVPRNAELGDRKGKIHIPFPLANVTCMVPKARNPTVTYGKNQVIMLLYPDHPTLLSYRSMGEEPN -YQEEWVTHKKEVVLTVPTEGLEVTWGNNEPYKYWPQLSANGTAHGHPHEIILYYYELYPTMTVVVVSVAS -FILLSMVGMAVGMCMCARRRCITPYELTPGATVPFLLSLICCIRTAKAATYQEAAVYLWNEQQPLFWLQA -LIPLAALIVLCNCLRLLPCCCKTLAFLSRNEHRCPHCERVRTRNSDPEHGGSTV - ->sp|P09592.2|POLS_EEVVT RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MFPFQPMYPMQPMPYRNPFAAPRRPWFPRTDPFLAMQVQELTRSMANLTFKQRRDAPPEGPSAKKPKKEA -SQKQKGGGQGKKKKNQGKKKAKTGPPNPKAQNGNKKKTNKKPGKRQRMVMKLESDKTFPIMLEGKINGYA -CVVGGKLFRPMHVEGKIDNDVLAALKTKKASKYDLEYADVPQNMRADTFKYTHEKPQGYYSWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWNEKGVTVKYTPENCEQWSLVTT -MCLLANVTFPCAQPPICYDRKPAETLAMLSVNVDNPGYDELLEAAVKCPGRKRRSTEELFKEYKLTRPYM -ARCIRCAVGSCHSPIAIEAVKSDGHDGYVRLQTSSQYGLDSSGNLKGRTMRYDMHGTIKEIPLHQVSLHT -SRPCHIVDGHGYFLLARCPAGDSITMEFKKDSVTHSCSVPYEVKFNPVGRELYTHPPEHGVEQACQVYAH -DAQNRGAYVEMHLPGSEVDSSLVSLSGSSVTVTPPVGTSALVECECGGTKISETINKTKQFSQCTKKEQC -RAYRLQNDKWVYNSDKLPKAAGATLKGKLHVPFLLADGKCTVPLAPEPMITFGFRSVSLKLHPKNPTYLT -TRQLADEPHYTHELISEPAVRNFTVTEKGWEFVWGNHPPKRFWAQETAPGNPHGLPHEVITHYYHRYPMS -TILGLSICAAIATVSVAASTWLFCRSRVACLTPYRLTPNARIPFCLAVLCCARTARAETTWESLDHLWNN -NQQMFWIQLLIPLAALIVVTRLLRCVCCVVPFLVMAGAAAGAYEHATTMPSQAGISYNTIVNRAGYAPLP -ISITPTKIKLIPTVNLEYVTCHYKTGMDSPAIKCCGSQECTPTYRPDEQCKVFTGVYPFMWGGAYCFCDT -ENTQVSKAYVMKSDDCLADHAEAYKAHTASVQAFLNITVGEHSIVTTVYVNGETPVNFNGVKLTAGPLST -AWTPFDRKIVQYAGEIYNYDFPEYGAGQPGAFGDIQSRTVSSSDLYANTNLVLQRPKAGAIHVPYTQAPS -GFEQWKKDKAPSLKFTAPFGCEIYTNPIRAENCAVGSIPLAFDIPDALFTRVSETPTLSAAECTLNECVY -SSDFGGIATVKYSASKSGKCAVHVPSGTATLKEAAVELTEQGSATIHFSTANIHPEFRLQICTSYVTCKG -DCHPPKDHIVTHPQYHAQTFTAAVSKTAWTWLTSLLGGSAVIIIIGLVLATIVAMYVLTNQKHN - ->sp|Q306W5.1|POLS_EEEV1 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MFPYPTLNYSPMAPVNPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIANLTFKQRAPNPPAGPPAKRKK -PAPKPKPAAPKKKRQPPPAKKQKRKQKPGKRQRMCMKLESDKTFPILLNGQVNGYACVVGGRVFKPLHVE -GKIDNEQLAAIKLKKASIYDLEYGDVPQCMKSDTLQYTSEKPPGFYNWHHGAVQYENNRFTVPRGVGGKG -DSGRPILDNRGRVVAIVLGGANEGSRTALSVVTWNQKGVTVKDTPEGSEPWSLTTVMCVLANITFPCDQP -PCMPCCYEKNPHETLTMLEQNYDSQAYDQLLEAAVKCNSRRTRRDLETHFTQYKLARPYIADCSNCGHGR -CDSPIAIEDVRGNAHAGYIRIQTSAMFGLKSDGVDLAYMSFMNGKTLKAIKIEHLYARTSAPCSLVSYHG -YYLLAQCPPGDTVTVGFQDGANKHMCTIAHKVEFRPVGREKYRHPPAHGVELPCNKYTHKRADQGHYVEM -HQPGLVADHSLLSLSSTKVKITVPSGSQVKYYCKCPDVQEGTTSGDHTTTCTDLKQCRAYLIDNKKWVFN -SGKLPRGEGETFKGKLHVPFVPVTSKCTATLAPEPLVEHKHRSLILHLHPEHPTLLTTRALGNDARPTRQ -WVDQPTTVNFTVTGEGFEYTWGNHPPKRVWAQESGEGNPHGWPHEVVIYYYNRYPMTTIVGLCTCAAIIM -VSCITSVWLLCRTRNLCITPYRLAPNAQVPILLAVLCCVKPTRADDTLQVLNYLWNNNQNFFWMQTLIPL -AALIVCMRMLRCLLCCGPAFLLVCGALGAAAYEHTAVMPNKVGIPYKALVERPGYAPVHLQIQLVTTKII -PSANLEYITCKYKTKVPSPVVKCCGATQCTSKQHPDYQCQVFAGVYPFMWGGAYCFCDTENTQMSEAYIE -RAEECSVDQAKAYKVHTGTVQAVVNITYGSVSWRSADVYVNGETPAKIGDAKLTIGPLSSAWTPFDSKVV -VYGHEVYNYDFPEYGTGKAGSFGDLQSRTLTSNDLYANTNLKLQRPQPGVVHTPYTQAPSGFERWKKDRG -APLNDIAPFGCTIALDPLRAENCAVGNIPLSIDIPDAAFTRISETPTVSDLECKITECTYASDFGGIATV -AYKASKAGNCPIHSPSGIAVIKENDVTLADSGSFTFHFSTASIHPAFKMQICTSVVTCKGDCKPPKDHIV -DYPAQHTETFTSAVSATAWSWLKVLVGSTSAFIVLGLIATAVVALVLFTHKH - ->sp|Q4QXJ7.1|POLS_EEEVF RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MFPYPTLNYPPMAPINPMAYRDPNPPRRRWRPFRPPLAAQIEDLRRSIANLTLKQRAPNPPAGPPAKRKK -PAPKPKPAQAKKKRPPPPAKKQKRKPKPGKRQRMCMKLESDKTFPIMLNGQVNGYACVVGGRVFKPLHVE -GRIDNEQLAAIKLKKASIYDLEYGDVPQCMKSDTLQYTSDKPPGFYNWHHGAVQYENNRFTVPRGVGGKG -DSGRPILDNKGRVVAIVLGGVNEGSRTALSVVTWNQKGVTVKDTPEGSEPWSLATVMCVLANITFPCDQP -PCMPCCYEKNPHETLTMLEQNYDSRAYDQLLDAAVKCNARRTRRDLDTHFTQYKLARPYIADCPNCGHSR -CDSPIAIEEVRGDAHAGVIRIQTSAMFGLKTDGVDLAYMSFMNGKTQKSIKIDNLHVRTSAPCSLVSHHG -YYILAQCPPGDTVTVGFHDGPNRHTCTVAHKVEFRPVGREKYRHPPEHGVELPCNRYTHKRADQGHYVEM -HQPGLVADHSLLSIHSAKVKITVPSGAQVKYYCKCPDVREGITSSDHTTTCTDVKQCRAYLIDNKKWVYN -SGRLPRGEGDTFKGKLHVPFVPVKAKCIATLAPEPLVEHKHRTLILHLHPDHPTLLTTRSLGSDANPTRQ -WIERPTTVNFTVTGEGLEYTWGNHPPKRVWAQESGEGNPHGWPHEVVVYYYNRYPLTTIIGLCTCVAIIM -VSCVTSVWLLCRTRNLCITPYKLAPNAQVPILLALLCCIKPTRADDTLQVLNYLWNNNQNFFWMQTLIPL -AALIVCMRMLRCLFCCGPAFLLVCGALGAAAYEHTAVMPNKVGIPYKALVERPGYAPVHLQIQLVNTRII -PSTNLEYITCKYKTKVPSPVVKCCGATQCTSKPHPDYQCQVFTGVYPFMWGGAYCFCDTENTQMSEAYVE -RSEECSIDHAKAYKVHTGTVQAMVNITYGSVSWRSADVYVNGETPAKIGDAKLIIGPLSSAWSPFDNKVV -VYGHEVYNYDFPEYGTGKAGSFGDLQSRTSTSNDLYANTNLKLQRPQAGIVHTPFTQAPSGFERWKRDKG -APLNDVAPFGCSIALEPLRAENCAVGSIPISIDIPDAAFTRISETPTVSDLECKITECTYASDFGGIATV -AYKSSKAGNCPIHSPSGVAVIKENDVTLAESGSFTFHFSTANIHPAFKLQVCTSAVTCKGDCKPPKDHIV -DYPAQHTESFTSAISATAWSWLKVLVGGTSAFIVLGLIATAVVALVLFFHRH - ->sp|Q9JGK8.1|POLS_SAGV RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MNYIPTQTFYGRRWRPRPAFRPWRVPMQPAPPMVIPELQTPIVQAQQMQQLISAVSALTTKQNGKAPKKP -KKKPQKAKAKKNEQQKKNENKKPPPKQKNLAKKKKPGKRERMCMKIENDCIFEVKLDGKVTGYACLVGDK -VMKPAHVKGVIDNPDLAKLTYKKSSKYDLECAQIPVHMKSDASKYTHEKPEGHYNWHHGAVQYSGGRFTI -PTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWTKDMVTRYTPEGTEEWSAALMMCVLANV -TFPCSEPACAPCCYEKQPEQTLRMLEDNVDRPGYYDLLEATMTCNNSARHRRSVTEHFNVYKATKPYLAY -CADCGDGQFCYSPVAIEKIRDEASDGMIKIQVAAQIGINKRGTHEHNKIRYIVGHYMKEANRDSLQVHTS -GVCAIRGTMGHFIVAYCPPGDELKVQFQDAESHTQACKVQYKHDPAPVGREKFTVRPHFGIEVPCTTYQL -TTAPTEEEIDMHTPPDIPDITLLSQQSGDVKITAGGKTIRYNCTCGSGNVGTTSSDKTINSCKIAQCHAA -VTNHDKWQYTSSFVPRADQLPRKGKVHVPFPLTNSTCRVPLARAPGVTYGKRELTVKLHPDHPTLLTYRS -LGADPRPYEEWIDRYVERTIPVTEDGIEYRWGNNPPVRLWAQLTTEGKPHGWPHEIILYYYGLYPAATIA -AVSAAGLAVVLSLLASCYMFATARRKCLTPYALTPGAVVPVTLGVLCCAPRAHAASFAESMAYLWDENQT -LFWLELATPLAAIIILVCCLKNLLCCCQPLSFLVLVSLGTPVVKSYEHTATIPNVVGFPYKAHIERNGFS -PMTLQLEVLGTSLEPTLNLEYITCEYKTVVPPPYIKCCGASECRSMERPDYQCQVYTGVYPFMWGGAYCF -CDTENTQLSEAYVDRSDVCKHDHAAAYKAHTAAMKATIRISYGNLNQTTTAFVNGEHTVTVGGSRFTFGP -ISTAWTPFDNKIVVYKNDVYNQDFPPYGSGQPGRFGDVQSRTVESKDLYANTALKLSRPSSGTVHVPYTQ -TPSGFKYWIKERGTSLNDKAPFGCVIKTNPVRAENCAVGNIPVSMDIPDSAFTRVIDAPAVTNLECQVAV -CTHSSDFGGIATLTFKTDKPGKCAVHSHSNVATIQEAAVDIKTDGKITLHFSTASASPAFMVSVCSAKTT -CMAACEPPKDHIVPYGASHNNQVFPDMSGTAMTWVQRVAGGLGGLTLAAVAALILVTCVTMRR - ->sp|O90371.1|POLS_ONNVI RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MEFIPAQTYYNRRYQPRPWTQRPTIQVIRPKPRRRRPAGQLAQLISAVSRLALRTVPQKPRRTRKIKKQK -QVKQEQQSTRNQKKKAPKQKQTQKKKRPGRRERMCMKIENDCIFEVKHEGKVTGYACLVGDKVMKPAHVK -GTIDNADLAKLAFKRSSKYDLECAQIPVHMKSDASKFTHEKPEGYYNWHHGAVQYSGGRFTIPTGAGKPG -DSGRPIFDNKGRVVAIVLGGANEGTRTALSVVTWNKDIVTKITPEGSVEWSLALPVMCLLANTTFPCSQP -PCAPCCYEKKPEETLRMLEDNVMQPGYYQLLDSALACSQHRQRRNARENFNVYKVTRPYLAHCPDCGEGH -SCHSPIALERIRSEATDGTLKIQVSLQIGIKTADSHDWTKLRYMDSHTPVDADRSGLFVRTSAPCTITGT -MGHFILARCPKGETLTVGFVDSRRISHTCMHPFHHEPPLIGREKFHSRPQHGKELPCSTYVHTTAATTEE -IEVHMPPDTPDYTLMTQQAGNVKITVDGQTVRYKCKCDGSNEGLITTDKVINNCKVDQCHTAVTNHKKWQ -YNSPLTPRNSEQGDRKGKIHIPFPLVNTTCRVPKARNPTVTYGKNRVTLLLYPDHPTLLSYRAMGRIPDY -HEEWITSKKEISITVPAEGLEVTWGNNDPYKYWPQLSTNGTAHGHPHEIILYYYELYPTTTIAVLAAASI -VVASLVGLSLGMCICARRRCITPYELTPGATIPFLLGILCCVKTAKAASYYEAATYLWNEQQPLFWLQLL -IPLSAAIVVCNCLKLLPCCCKTLTFLAVMSIGARTVSAYEHATVIPNTVGVPYKTLVSRPGYSPMVLEME -LQSVTLEPTLFLDYITCEYKTITPSPYVKCCGTAECKAKNLPDYNCKVFTGVYPFMWGGAYCFCDAENTQ -LSEAHVEKSESCKTEFASAYRAHTASVSAKLRVFYQGNNITVSAYANGDHAVTVKDAKFVIGPLSSAWSP -FDNKIVVYKGEVYNMDYPPFGAGRPGQFGDIQSRTPDSKDVYANTQLILQRPAAGAIHVPYSQAPSGFKY -WLKEKGASLQHTAPFGCQIATNPVRAVNCAVGNIPVSIDIPDAAFTRVTDAPSVTDMSCEVASCTHSSDF -GGAAVIKYTASKKGKCAVHSLTNAVTIREPNVDVEGTAQLQIAFSTALASAEFKVQICSTQVHCSATCHP -PKDHIVNYPSPHTTLGVQDISTTAMSWVQKITGGVGLVVAIAALILIIVLCVSFSRH - ->sp|P08491.3|POLS_RRVT RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MNYIPTQTFYGRRWRPRPAFRPWQVSMQPTPTMVTPMLQAPDLQAQQMQQLISAVSALTTKQNVKAPKGQ -RQKKQQKPKEKKENQKKKPTQKKKQQQKPKPQAKKKKPGRRERMCMKIENDCIFEVKLDGKVTGYACLVG -DKVMKPAHVKGTIDNPDLAKLTYKKSSKYDLECAQIPVHMKSDASKYTHEKPEGHYNWHHGAVQYSGGRF -TIPTGAGKPGDSGRPIFDNKGRVVAIVLGGANEGARTALSVVTWTKDMVTRVTPEGTEEWSAALMMCILA -NTSFPCSSPPCYPCCYEKQPEQTLRMLEDNVNRPGYYELLEASMTCRNRSRHRRSVTEHFNVYKATRPYL -AYCADCGDGYFCYSPVAIEKIRDEAPDGMLKIQVSAQIGLDKAGTHAHTKIRYMAGHDVQESKRDSLRVY -TSAACSIHGTMGHFIVAHCPPGDYLKVSFEDADSHVKACKVQYKHDPLPVGREKFVVRPHFGVELPCTSY -QLTTAPTDEEIDMHTPPDIPDRTLLSQTAGNVKITAGGRTIRYNCTCGRDNVGTTSTDKTINTCKIDQCH -AAVTSHDKWQFTSPFVPRADQTARRGKVHVPFPLTNVTCRVPLARAPDVTYGKKEVTLRLHPDHPTLFSY -RSLGAEPHPYEEWVDKFSERIIPVTEEGIEYQWGNNPPVRLWAQLTTEGKPHGWPHEIIQYYYGLYPAAT -IAAVSGASLMALLTLAATCCMLATARRKCLTPYALTPGAVVPLTLGLLCCAPRANAASFAETMAYLWDEN -KTLFWMEFAAPAAALALLACCIKSLICCCKPFSFLVLLSLGASAKAYEHTATIPNVVGFPYKAHIERNGF -SPMTLQLEVVETSWEPTLNLEYITCEYKTVVPSPFIKCCGTSECSSKEQPDYQCKVYTGVYPFMWGGAYC -FCDSENTQLSEAYVDRSDVCKHDHASAYKAHTASLKATIRISYGTINQTTEAFVNGEHAVNVGGSKFIFG -PISTAWSPFDNKIVVYKDDVYNQDFPPYGSGQPGRFGDIQSRTVESKDLYANTALKLSRPSPGVVHVPYT -PTPSGFKYWLKEKGSSLNTKAPFGCKIKTNPVRAMDCAVGSIPVSMDIPDSAFTRVVDAPAVTDLSCQVV -VCTHSSDFGGVATLSYKTDKPGKCAVHSHSNVATLQEATVDVKEDGKVTVHFSTASASPAFKVSVCDAKT -TCTAACEPPKDHIVPYGASHNNQVFPDMSGTAMTWVQRLASGLGGLALIAVVVLVLVTCITMRR - ->sp|P36331.1|POLS_EEVVM RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MFPYQPMYPMQPMPFRNPFAAPRRPWFPRTDPFLAMQVQELARSMANLTFKQRRDVPPEGPPAKKKKKDT -SQQGGRNQNGKKKNKLVKKKKKTGPPPQKTNGGKKKVNKKPGKRQRMVMKLESDKTFPIMLDGRINGYAC -VVGGKLFRPLHVEGKIDNDVLSSLKTKKASKYDLEYADVPQSMRADTFKYTHEKPQGYYSWHHGAVQYEN -GRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVTWNEKGVTVKYTPENSEQWSLVTTM -CLLANVTFPCSQPPICYDRKPAETLSMLSHNIDNPGYDELLEAVLKCPGRGKRSTEELFKEYKLTRPYMA -RCIRCAVGSCHSPIAIEAVRSEGHDGYVRLQTSSQYGLDPSGNLKGRTMRYDMHGTIEEIPLHQVSLHTS -RPCHIIDGHGYFLLARCPAGDSITMEFKKESVTHSCSVPYEVKFNPVGRELYTHPPEHGAEQPCHVYAHD -AQNRGAYVEMHLPGSEVDSTLLSTSGSSVHVTPPAGQSVLVECECGGTKISETINSAKQYSQCSKTAQCR -AYRTQNDKWVYNSDKLPKAAGETLKGKLHVPFVLTEAKCTVPLAPEPIITFGFRSVSLKLHPKNPTFLTT -RQLDGEPAYTHELITNPVVRNFSVTEKGWEFVWGNHPPQRYWSQETAPGNPHGLPHEVITHYYHRYPMST -ILGLSICAAIVTTSIAASVWLFCKSRISCLTPYRLTPNARMPLCLAVLCCARTARAETTWESLDHLWNHN -QQMFWSQLLIPLAALIVATRLLKCVCCVVPFLVVAGAVGAGAYEHATTMPNQVGIPYNTIVNRAGYAPLP -ISIVPTKVKLIPTVNLEYITCHYKTGMDSPAIKCCGTQECSPTYRPDEQCKVFSGVYPFMWGGAYCFCDT -ENTQISKAYVTKSEDCVTDHAQAYKAHTASVQAFLNITVGGHSTTAVVYVNGETPVNFNGVKLTAGPLST -AWSPFDKKIVQYAGEIYNYDFPEYGAGHAGAFGDIQARTISSSDVYANTNLVLQRPKAGAIHVPYTQAPS -GYEQWKKDKPPSLKFTAPFGCEIYTNPIRAENCAVGSIPLAFDIPDALFTRVSETPTLSTAECTLNECVY -SSDFGGIATVKYSASKSGKCAVHVPSGTATLKEAAVELAEQGSATIHFSTASIHPEFRLQICTSYVTCKG -DCHPPKDHIVTHPQYHAQSFTAAVSKTAWTWLTSLLGGSAIIIIIGLVLATIVAMYVLTNQKHN - ->sp|P36329.1|POLS_EEVV3 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MFPFQPMYPMQPMPYRNPFAAPRRPWFPRTDPFLAMQVQELTRSMANLTFKQRREAPPEGPPAKKPKREA -PQKQKGGGQGKKKKNQGKKKAKTGPPNPKAQNGNKKKTNKKPGKRQRMVMKLESDKTFPIMLEGKINGYA -CVVGGKLFRPMHVEGKIDNDVLAALKTKKASKYDLEYADVPQNMRADTFKYTHEKPQGYYSWHHGAVQYE -NGRFTVPKGVGAKGDSGRPILDNQGRVVAIVLGGVNEGSRTALSVVMWNEKGVTVKYTPENCEQWSLVTT -MCLLANVTFPCAQPPICYDRKPAETLAMLSVNVDNPGYDELLEAAVKCPGRKRRSTEELFKEYKLTRPYM -ARCIRCAVGSCHSPIAIEAVKSDGHDGYVRLQTSSQYGLDSSGNLKGRTMRYDMHGTIEEIPLHQVSLHT -SRPCHIVDGHGYFLLARCPAGDSITMEFKKDAVTHSCSVPYEVKFNPVGRELYTHPPEHGAEQACQVYAH -DAQNRGAYVEMHLPGSEVDSSLVSLSGSSVTVTPPAGTSALVECECGGTKISETINTAKQFSQCTKKEQC -RAYRLQNDKWVYNSDKLPKAAGATLKGKLHVPFLLADGKCTVPLAPEPMITFGFRSVSLKLHPKNPTYLT -TRQLADEPHYTHELISEPVVRNFSVTEKGWEFVWGNHPPKRFWAQETAPGNPHGLPHEVITHYYHRYPMS -TILGLSICAAIVTVSIAASTWLLCKSRVSCLTPYRLTPNARMPLCLAVLCCARTARAETTWESLDHLWNN -NQQMFWIQLLIPLAALIVVTRLLRCVCCVVPFLVVAGAAGAGAYEHATTMPSQAGIPYNTIVNRAGYAPL -PISITPTKIKLIPTVNLEYVTCHYKTGMDSPAIKCCGSQECTPTYRPDEQCKVFTGVYPFMWGGAYCFCD -TENTQVSKAYVMKSDDCLADHAEAYKAHTASVQAFLNITVGEHSIVTTVYVNGETPVNFNGVKLTAGPLS -TAWTPFDRKIVQYAGEIYNYDFPEYGAGQPGAFGDIQSRTVSSSDLYANTNLVLQRPKAGAIHVPYTQAP -SGFEQWKKDKAPSLKFTAPFGCEIYTNPIRAENCAVGSIPLAFDIPDALFTRVSETPTLSAAECTLNECV -YSSDFGGIATVKYSASKSGKCAVHVPSGTATLKEAAIELAEQGSATIHFSTANIHPEFRLQICTSYVTCK -GDCHPPKDHIVTHPQYHAQTFTAAVSKTAWTWLTSLLGGSAVIIIIGLVLATIVAMYVLTNQKHN - ->sp|P27285.1|POLS_SINDO RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MNRGFFNMLGRRPFPAPTAMWRPRRRRQAAPMPARNGLASQIQQLTTAVSALVIGQATRPQNPRPRPPPR -QKKQAPKQPPKPKKPKPQEKKKKQPAKTKPGKRQRMALKLEADRLFDVKNEDGDVIGHALAMEGKVMKPL -HVKGTIDHPVLSKLKFTKSSAYDMEFAQLPVNMRSEAFTYTSEHPEGFYNWHHGAVQYSGGRFTIPRGVG -GRGDSGRPIMDNSGRVVAIVLGGADEGTRTALSVVTWNSKGKTIKTTPEGTEEWSAAPLVTAMCLLGNVS -FPCNRPPTCYTREPSRALDILEENVNHEAYDTLLNAILRCGSSGRSKRSVTDDFTLTSPYLGTCSYCHHT -EPCFSPIKIEQVWDEADDNTIRIQTSAQFGYDKSGAASTNKYRYMSFEQDHTVKEGTMDDIKISTSGPCR -RLSYKGYFLLAKCPPGDSVTVSIASSNSATSCTMARKIKPKFVGREKYDLPPVHGKKIPCTVYDRLKETT -AGYITMHRPGPHAYTSYLEESSGKVYAKPPSGKNITYECKCGDYKTGTVTTRTEITGCTAIKQCVAYKSD -QTKWVFNSPDLIRHADHTAQGKLHLPFKLIPSTCMVPVAHAPNVIHGFKHISLQLDTDHLTLLTTRRLGA -NPEPTTEWIIGKTVRNFTVDRDGLEYIWGNHEPVRVYAQESAPGDPHGWPHEIVQHYYHRHPVYTILAVA -SAAVAMMIGVTVAALCACKARRECLTPYALAPNAVIPTSLALLCCVRSANAETFTETMSYFWSNSQPFFW -VQLCIPLAAVIVLMRCCSCCLPFLVVAGAYLAKVDAYEHATTVPNVPQIPYKALVERAGYAPLNLEITVM -SSEVLPSTNQEYITCKFTTVVPSPKVKCCGSLECQPAAHADYTCKVFGGVYPFMWGGAQCFCDSENSQMS -EAYVELSADCATDHAQAIKVHTAAMKVGLRIVYGNTTSFLDVYVNGVTPGTSKDLKVIAGPISASFTPFD -HKVVIHRGLVYNYDFPEYGAMKPGVFGDIQATSLTSKDLIASTDIRLLKPSAKNVHVPYTQAASGFEMWK -NNSGRPLQETAPFGCKIAVNPLRAVDCSYGNIPISIDIPNAAFIRTSDAPLVSTVKCDVSECTYSADFGG -MATLQYVSDREGQCPVHSHSSTATLQESTVHVLEKGAVTVHFSTASPQANFIVSLCGKKTTCNAECKPPA -DHIVSTPHKNDQEFQAAISKTSWSWLFALFGGASSLLIIGLTIFACSMMLTSTRR - ->sp|P17517.1|POLS_RRV2 RecName: Full=Structural polyprotein; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein -SVTEHFNVYKATRPYXXXCADCGDGYFCYSPVAIEKIRDEASDGMLKIQVSAQIGLDKAGTHAHTKLRYM -AGHDVQESKRDSLRVYTSAACSIHGTMGHFIVAHCPPGDYLKVSFEDADSHVKACKVQYKHNPLPVGREK -FVVRPHFGVELPCTSYQLTTAPTDEEIDMHTPPDIPDRTLLSQTAGNVKITAGGRTIRYNCTWGRDNVGT -TSTDKTINACKIDQCHAAVTSHDKWQFTSPFVPRADQTARKGKVHVPFPLTNVTCRVPLARAPDVTYGKK -EVTLRLHPDHPTLFSYRSLGAEPHPYEEWVDKFSERIIPVTEEGXEYQWGNNPPVRLWAXLTTEGKPHGW -PHEIIQYYYGLYPAATIAAVSGXSLMALLTLAATCCMLATARRKCLTPYALTPGAVVPLTLGLXXCAPRA -NA - ->sp|P27284.1|POLS_EEEV3 RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MFPYPTLNYPPMAPINPMAYRDPNPPRQVAPFRPPLAAQIEDLRRSIANLTLKQRAPNPPAGPPAKRKKP -APKPKPAQAKKKRPPPPAKKQKRKPKPGKRQRMCMKLESDKTFPIMLNGQVNGYACVVGGRVFKPLHVEG -RIDNEQLAAIKLKKASIYDLEYGDVPQCMKSDTLQYTSDKPPGFYNWHHGAVQYENNRFTVPRGVGGKGD -SGRPILDNKGRVVAIVLGGVNEGSRTALSVVTWNQKGVTVKDTPEGSEPWSLATVMCVLANITFPCDQPP -CMPCCYEKNPHETLTMLEQNYDSRAYDQLLDAAVKCNARRTRRDLDTHFTQYKLARPYIADCPNCGHSRC -DSPIAIEEVRGDAHAGVIRIQTSAMFGLKTDGVDLAYMSFMNGKTQKSIKIDNLHVRTSAPCSLVSHHGY -YILAQCPPGDTVTVGFHDGPNRHTCTVAHKVEFRPVGREKYRHPPEHGVELPCNRYTHKRADQGHYVEMH -QPGLVADHSLLSIHSAKVKITVPSGAQVKYYCKCPDVREGITSSDHTTTCTDVKQCRAYLIGNKKWVYNS -GRLPRGEGDTFKGKLHVPFVPVKAKCIATLAPEPLVEHKHRTLILHLHPDHPTLLTTRSLGSDANPTRQW -IERPTTVNFTVTGEGLEYTWGNHPPKRVWAQESGEGNPHGWPHEVVVYYYNRYPLTTIIGLCTCVAIIMV -SCVHPCGSFAGLRNLCITPYKLAPNAQVPILLALLCCIKPTRADDTLQVLNYLWNNNQNFFWMQTLIPLA -ALIVCMRIVRCLFCCGPAFLLVCGAWAAAYEHTAVMPNKVGIPYKALVERPGYAPVHLQIQLVNTSIIPS -TNLEYITCKYKTKVPSPVVKCCGATQCTSKPHPDYQCQVFTGVYPFMWGGAYCFCDTENTQMSEAYVERS -EECSIDHAKAYKVHTGTVQAMVNITYGSVSWRSADVYVNGETPAKIGDAKLIIGPLSSAWSPFDNKVVVY -GHEVYNYDFPEYGTGKAGSFGDLQSRTSTSNDLYANTNLKLQRPQAGIVHTPFTQAPSGFERWKRDKGAP -LNDVAPFGCSIALEPLRAENCAVGSIPISIDIPDAAFTRISETPTVSDLECKITECTYASDFGGIATLPT -NPVKQETVQFILHQVLQLLKRMTSPLLRAGSFTFHFSTANIHPAFKLQVCTSGVTCKGDCKPPKDHIVDY -PAQHTESFTSAISATAWSWLKVLVGGTSAFIVLGLIATAVVALVLFFHRH - ->sp|P08768.1|POLS_EEEV RecName: Full=Structural polyprotein; AltName: Full=p130; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Precursor of protein E3/E2; AltName: Full=p62; AltName: Full=pE2; Contains: RecName: Full=Assembly protein E3; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=6K protein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MFPYPTLNYPPMAPINPMAYRDPNPPRQVAPFRPPLAAQIEDLRRSIANLTLKQRAPNPPAGPPAKRKKP -APSLSLETKKKRPPPPAKKQKRKPKPGKRQRMCMKLESDKTFPIMLNGQVNGYACVVGGRVFKPLHVEGR -IDNEQLAAIKLKKASIYDLEYGDVPQCMKSDTLQYTSDKPPGFYNWHHGAVQYENNRFTVPRGVGGKGDS -GRPILDNKGRVVAIVQGGVNEGSRTALSVVTWNQKGVTVKDTPEGSEPWSLATVMCVLANITFPCDQPPC -MPCCYEKNPHETLTMLEQNYDSRAYDQLLDAAVKCNARRTRRDLDTHFTQYKLARPYIADCPNCGHSRCD -SPIAIEEVRGDAHAGVIRIQTSAMFGLKRHGVDLAYMSFMNGKTQKSIKIDNLHVRTSAPCSLVSHHGYY -ILAQCPPGDTVTVGFHDGPNRHTCRLAHKVEFRPVGREKYRHPPEHGVELPCNRYTHKRADQGHYVEMHQ -PGLVGDHSLLSIHSAKVKITVPSGAQVKYYCKCPDVREGITSSDHTTTCTDVKQCRAYLIDNKKWVYNSG -RLPRGEGDTFKGKLHVPFVPVKAKCIATLAPEPLVEHKHRTLILHLHPDHPTLLTTRSLGSDANPTRQWI -ERPTTVNFTVTGEGLEYTWGNHPPKRVWAQESGEGNPHGWPHVVVVYYYNRYPLTTIIGLCTCVAIIMVS -CDHPCGSFSGLRNLCITPYKLAPNAQVPILLALLCCIKPTRADDTLQVLNYLWNNNQNFFWMQTLIPLAA -LIVCMRMLAALFCCGPAFLLVCGAWAAAYEHTAVMPNKVGIPYKALVERPGYAPVHLQIQLVNTRIIPST -NLEYITCKYKTKVPSPVVKCCGATQCTSKPHPDYQCQVFTGVYPFMWGGAYCFCDTENTQMSEAYVERSE -ECSIDHAKAYKVHTGTVQAMVNITYGSVTWRSADVYVNGETPAKIGDAKLIIGPLSSAWSPFDNKVVVYG -HEVYNYDFPEYGTGKAGSFGDLQSRTSTSNDLYANTNLKLQRPQAGIVHTPFTQAPSGFERWKRDKGAPL -NDVAPFGCSIALEPLRPENCAVGSIPISIDIPDAAFTRISETPTVSDLECKITECTYASDFGGIATLPTN -PVKQETVQFIVHQVLQLLKRMTSPLLRAGSFTFHFSTANIHPAFKLQVCTSGITCKGDCKPPKDHIVDYP -AQHTESFTSAISATAWSWLKVLVGGTSAFIVLGLIATAVVALVLFFHRH - diff --git a/seq/clusters_seq/cluster_320 b/seq/clusters_seq/cluster_320 deleted file mode 100644 index ab5865b..0000000 --- a/seq/clusters_seq/cluster_320 +++ /dev/null @@ -1,252 +0,0 @@ ->YP_009553183.1 coat protein [Sogatella furcifera totivirus 2] -MSNNQNQKDNGSDSLQISSAQQGGRFSNPIFNEQTFFDYTSVIKSDTAQVTKQWYLHPYTGVGPHSASFS -QSLTNRDIINMYTQAGNYFYHSNCYSASYWTAALSYFQITADQVSVDDESDEDIEEATPSKIQASKVKKT -EIDLVSSLSHVDPQVRIYYSQINHIPLPIWNGTPSSIREVLLNSPKHRMTIGGRLVLPKEWKTMTPFDIA -RWGRGSAEKVNAGGDVDSDVDLNTVDIWSIAPVDGQKTDGIEAPDFNQQEQFAYYTEKDFPSTTFPEALN -IKVKDEKTGGFYTGNVDPSNTVPVRSVIRSYSMLPCDIDANRAIPTTNVYSQFMTKITKDQPDDAFRSMI -SRPAITDADARIWLAHNLSQLKFGSCMDVVPQVCAMLVLHSLTKDRHFVDPVVLRTIRNKSISSWWPGLN -ISKRKTTDYPTLTMATSHLTYFIKYMARVVPVDTNFDPNLIDVDWVAVPVTSELLAVPTKLGAYVMCHLS -SEYWNGTITWHRTSAYQQTEKQVPSGSKDKSLYRVGVEYFMPSSNSVYIEGVKKVWLVVVPSVSDAKATI -NLFGIGIPNSPDRNSKLVTKDMTQAWAEYWQGSDSSTVPNIITDFFWALTIMMKTTTTPDSTRRAVGLAT -ELSNLAYPGVRVDPSDEGKPKVKGGAWTMGGTSVFKNKYESKDWCDGNDMPKNSDGNRKNRIAGFSFSSV -SPNIQHAASYTELTQNLFLRVHTKQTGDVVQEVWVVDRISQRWTSTKPKFNVPQYVANQATSISRLCAAV -GFLETGGGAEYNFMNSYAVQQFLTHNGAAMFGNVSAMLVQNDIQPWIWLGYGYNDYPQFFDAYSNAFKQI -FQSTVYPVNIHNLQISQPGFNWNKIVDYYSTDPHDQEAWMQFVPVPVCNYLQWINKLEITQSPNTGMVQP -IRAMGEEVYGLRITQETNDLKAKLFLMVNDRKTAWPQVRVFDSFETSPYNDTMWMDDYYYLSTAMIDPGQ -KVVSKWDKNAYLSSNTYARALSPGVLGYAAETVMVITSGLGLSRNDQQSIPHTTPVCLPDPPTAKSFLAQ -KIMADPEPPQMPQDPVPTEEEVKAVTDSVTPVTSTITQA - ->YP_009553182.1 RNA-dependent RNA polymerase fusion protein [Sogatella furcifera totivirus 2] -MSNNQNQKDNGSDSLQISSAQQGGRFSNPIFNEQTFFDYTSVIKSDTAQVTKQWYLHPYTGVGPHSASFS -QSLTNRDIINMYTQAGNYFYHSNCYSASYWTAALSYFQITADQVSVDDESDEDIEEATPSKIQASKVKKT -EIDLVSSLSHVDPQVRIYYSQINHIPLPIWNGTPSSIREVLLNSPKHRMTIGGRLVLPKEWKTMTPFDIA -RWGRGSAEKVNAGGDVDSDVDLNTVDIWSIAPVDGQKTDGIEAPDFNQQEQFAYYTEKDFPSTTFPEALN -IKVKDEKTGGFYTGNVDPSNTVPVRSVIRSYSMLPCDIDANRAIPTTNVYSQFMTKITKDQPDDAFRSMI -SRPAITDADARIWLAHNLSQLKFGSCMDVVPQVCAMLVLHSLTKDRHFVDPVVLRTIRNKSISSWWPGLN -ISKRKTTDYPTLTMATSHLTYFIKYMARVVPVDTNFDPNLIDVDWVAVPVTSELLAVPTKLGAYVMCHLS -SEYWNGTITWHRTSAYQQTEKQVPSGSKDKSLYRVGVEYFMPSSNSVYIEGVKKVWLVVVPSVSDAKATI -NLFGIGIPNSPDRNSKLVTKDMTQAWAEYWQGSDSSTVPNIITDFFWALTIMMKTTTTPDSTRRAVGLAT -ELSNLAYPGVRVDPSDEGKPKVKGGAWTMGGTSVFKNKYESKDWCDGNDMPKNSDGNRKNRIAGFSFSSV -SPNIQHAASYTELTQNLFLRVHTKQTGDVVQEVWVVDRISQRWTSTKPKFNVPQYVANQATSISRLCAAV -GFLETGGGAEYNFMNSYAVQQFLTHNGAAMFGNVSAMLVQNDIQPWIWLGYGYNDYPQFFDAYSNAFKQI -FQSTVYPVNIHNLQISQPGFNWNKIVDYYSTDPHDQEAWMQFVPVPVCNYLQWINKLEITQSPNTGMVQP -IRAMGEEVYGLRITQETNDLKAKLFLMVNDRKTAWPQVRVFDSFETSPYNDTMWMDDYYYLSTAMIDPGQ -KVVSKWDKNAYLSSNTYARALSPGVLGYAAETVMVITSGLGLSRNDQQSIPHTTPVCLPDPPTAKSFFSP -ENYGGSRTASDATGPRAYGGRGQGGNGFRHSRDFYHNPSLTHRIQYLVNGGFPRHAPVYRVNFDGVFPEV -KDLRPNVEVDALRRTVEVKGKYDLGAFTGPMTLYCKTLFAMGQINVARCLDGILTKIQKQIVKYRIAYQY -MDDYIRKQLKYIHNYFFVRVSENIANMLLCHLHTLGGPSPYTEEQINEDIDSWVKGEIDNMPREWIGTEL -DRLFAATKRVHQSLSLSFRDYCTDVMRWATSGGAPQSEVLGNKVRSKWAWGFSRLSDWSSDSPGVNIIKE -AYSTGGVYTVALKREPAKTREIISGPMGSHIRQSYLLYRNQEWDLPSPAFKQDAYQSFMDKQYMSYCSID -GDRFDHNVPKWLVMDIVGRMAFDRDTTEVVSMELQHLQNAELVYHKRKWKWEHGLLSGWRMTSLLGTIVS -HLAARWIKEKTRYVFSHVVLGDDIILCSDIDHLQVSKCVSAYQEFGIPANPSKSISGRVGEFLRKVSTPR -GILGYPALALKSIFYASPWLDQFQRSVQSEIAHGWWTFISRVLPHRRNNAVVLSLIERMRGDIWFSDDEF -YKWVQTPMCMGGGGTLETSEQAYWLAYLVNTERTLDREAYFYSIFGIGDSTLHRTHKFKRIRPSYYSFQH -FDRDIPTVPVIPDNINKTLTIMRWYFLNKPNSYLTRAGIKFSKSVRGLSNTKLLELLLGQSDRLTAVISL -LHVGEQMSNKLNSVVKMISVLRPRGPTSSLIGDAYLYLERFLENKAYPLITW - ->YP_009417301.1 RdRp [Australian Anopheles totivirus] -MADVSLLHLKFSSIVAKWDGPKNFSNVRLVVKTSSPSDDRVEVSPLGGNTKYVHSNVEYSAIRDRLKSKH -CVEADGFSFFKNYNPFALFKLQRWSKNDAGLGIHSTFMKPLLFYYKTLGCVKNTEMTRDLLGGIISYVND -LTSRAVGNGMFDYIDDVIRQFLAEVDKLTFVLCQQNAGNSLVYSSLHGLSTLGGPRGWTEDSVLASLKDW -VTGERKFPYENDRFLNAKLDSWIDEWSRNIKNDDLSFSEFVSDPMRWATGGGAKKKQMNIRGRQVDGRNK -WFWALSGLSKGEDLYQVGLDEGNNAQVALKEEAKTRCVITTPQASYLRQCYMLYRFGNPSFLRSTLSSPH -LVSELSRSRKDHFICIDSSSFDHSVSKKWVLSVLQRMADRCRGELRDVIISEMDSLRDMSISYNNHVLKY -ENGLLSGWRMTSLLGSMLSALVCEFINHSMMWKLPYIVQGDDIIMMCPRKVSAERVLECCSRFGIITNKK -KTTIGRFGEFLKYRYGYGRVQGYAARSVRSIFYANPWLDSTAVGAASEVSGKWWTLLSRLMNSHNGGFKD -EESLEWFMNNIASDVGGWLGGRVSRSALLDAIKTPVSLGGLGVFETADINLANNNGFITKITSIVQEDSF -GDSKFVSLFAPDVLSKVGKVSTRFVDVKKIAMNFGRDLTEFKSKYHSIVNTAGRVVFDSGSNIFRTVLSE -IASCRNYPPIVDRLLSSVKGKCSSIVRPRFLRNSNRWYDVAKWLTEITLKADCPPSLFVDTRYDNELVQS -LAGVAVTMFMNLSNVTARSEYLISVFALFRFGHTKCILHAL - ->YP_009345028.1 hypothetical protein 3 [Xingshan nematode virus 6] -MEWKDDPALHRCRYPVRTTAWCGVYARVMQFMIDGLQHVDGGAIGGPAWVLKWVMQRAKSTGKGQYALFQ -WLKGLSNFIEEHLDGQDLESAQVLLGLERCTPPAAWKKVELERELLQWISPDKELFKDQLDWCLDQLDEI -FSDWSCRLKTTSRVKEHSFTEFCNDPLLWATSGGGPGVQWGGSRLRTKWAWAMKCLEDGVDMYKVAKNMP -NVAHVALKEEPAKTRMVITTPMASYLRQAYALHLAGTPNIRSPIHTDRELMSLHHVTRDYYLSVDAKRFD -QQVPVWLIEAILERMYKMVGMDWAWEEEKYHLRHLKVEMFGKLYPYKGGLLSGWRVTSLVGSLVSELICR -WLRQRTGHVGMEYIVLGDDILIYGFGRCPDGAEWLGYIKEVGLDCTLEGNRTRMRGTFLRRVFGGSRTIM -SAGRAVRQLFYANPWVQQYQYTDPAALSQSWLQVISRFPWVDVKAWLLREAASDMARWAAWKGWSASTWL -ELLTTDAGLGGLGSSDLHIPRSYVPTLVDHRDRALRGLKGSSAYRLYKVLCPQERTVSARELGRVFRYVR -VPCVGMRMPRASCLTRIAFEDGDNRTSILLRVASTGFAGLPDYLKKLCPRWVRRAPWFRIVKWILAPDDI -AVPSSLTVVPQVAKMYLDSVHRLAIASLNRTRLNVSIMKRQLYGWLVCEARKLVYPLGTW - ->YP_009336908.1 hypothetical protein 2 [Hubei toti-like virus 24] -MMISTIRTLGRWAGPRPTAKLGHFGGGRVDGKLTLDWAWVSGFEFLPAGTALRTLLKGCDVARNFARLEG -LRRSRYTSVKHTQQLVTWAGSFAGPLAFLLDMSEAAAAADPVVPFFYHLFVTTAKARVEMAVEVLSPDST -VSWPGVPKLPDHPDEYRDDIVRMVIKDMEEEVSSHGVDARLAISGLYTSGGPRGWDREHMVDYVKSWIQG -EAPLFSAREEWVRAKLRFWFSSWVKLAPPDGAGGFDFEQYKRDVIRWGTSGGASPPPEVRERLESLFPDL -PKSAFRTKTTAGISSLLFGELEDFFKPNNVCHAALKEXAKTRIIVSTPMHSYVAMCFVFDLLGPPHFLKS -TIASSRGLEEFARYRAKYYAAIDASKFDHNVPRWLLEMIWDELALALESRCDERFTTASHLCRSLSSELR -NLVVEILGTHLRYEKGLLSGWRATSFIGSLVSALCCESYVEHHASASRSEYRHWIDYLVQGDDIILFSSA -YDLSDSVDYMRGLGVLTHPSKCLIGADGDFLRAIYTKDGRKTYPMRALRSIFYANPWVEQRAFKGVVEIS -QNWLVVESRFSLLCTTSDVERAVRSAACADIARWSGGSLRASQVRALYNTPLSVGGLGPVESVFGTTVTT -LTVDYDVYAEKTRSVLPSLWQFVGVTVPLKPSKRFTLQSVDIFSLRNLAKKAFFTSVPHDLDKKGVNLTS -LFLSVIYDTEARRHTVDAGSFEVVALRRALSIVGYTDYRPFVGGIYRCTTRASEVLSLLFSGKDPSVPPS -VYQMTSPVSRAVKWLASLLGRWLRYKARTVKGVRAWSAGYTLLCSAITVSTVKGTM - ->YP_009336859.1 hypothetical protein 2 [Hubei toti-like virus 15] -MGSPVCSYKYRLPLVRQALPGPINSEPYHIHLPDSFYSALKEPKELRSRPRNVESLRRYWGTTPKWWPVP -DETDCAWPLYLYYQALKECGQMNVAQEIYYFLSKVMSLWNRRCRRTGMSEPSLYVEDYIRHMLKQLSAYS -FPRIFSYGPAFMLLCHLHALNAKAPYERETIISEITDWVSASLNGRKKEIHMPTFKRVLKETVSKWQKYT -HDSLSYEEFANDPFRWGTSGGAPAVNMHGTKIRSKWAWAISQKIDEKKGYMDGVDLNKRACSAQTMARIA -LKEESQKTREIITTPIASYLRQTYLMYLLGKAPIPSPISSPAWLPSFEEGNYSWYGCIDGERFDHTVPKE -AIICLLDELGTISPEARKVADEEIKHIQNLKIEWSGRVWKYEGGLLSGWRFTSVLGSIISLSAAEYVVTK -TNTKGAVQYGVMGDDVVFYSNVASIPPEDMVKYYNEFGLRANIHKTSSGEQGEFLRKVRSKGGSWAFPAL -DLKTITHAAPWITSFQFVQEEECATAWHTLTSRLLPHATDPNRIANWSQKHNISDLNRSFGRSYDWQAWL -KTPMTAGGGGYVENSSPSVWTTISKQKSAPHLTPYEKLGQLVGTLPSKRTIKKIVLEEHQLAKIRLLEER -TNVTEHLEFIPRFKRTANITKTMWRILNNDMSVSELNTQLVTHLPHRMRMYSGARLASLLSTASKTISSI -PSIVHTKEAMPVASRTLTMLTKQLMARRSGIPSTMAKPLATLFAINHYKDTVISYGTW - ->YP_009336780.1 hypothetical protein 2 [Hubei toti-like virus 13] -MCHLHTLGGPSPYTKKQIHDDIDSWVKGEIDTFPRNWTLEQVDKIFMSTKRLHQSNALTFSDYSKDVLRW -ATSGGAPASHIGGQKVRSKWAWGFSRLGDINSPKVGEAIARESLTTEGKYTVALKREPAKTREIISGPMA -SHIRQSYLIYRTTNWDLPSPAFNKTAYQHYMEKQFTSYCSIDGDRFDHNVPKWFVLEFVRRLAFDEETQR -VVDLEIIHLNSAYLEFEGRRWEWQHGLLSGWRITSLLGTVISHIAALWIKQSTGLFFQHLVLGDDIILYS -DVDELNVSQCVDAYKSFGIPANKSKSISGRTGEFLRKIATPDGILGYPALALKSVYYASPWLNEYKRTVQ -SEISHSWWTFCSRMLPHRTNNNIIMSIIERMNQDIWFTDDQFYKWVQTPMCMGGGGILETSSEQYWLSYL -VNTDSKLDRNAYFYSLFGIGDKSVYRKPQFKKIVPSRFSISHMNHDFPTKPSIPDNINKTIIIMRWYFNR -YPNSFLQQGGISFSRSVRGLSNTKLLEILLGQSDRLTSVVSLLHVGEQLSDKISSTLGMLSTLRPNGPVS -QLVADMYVFLERFLENKELSLVTW - ->YP_009336779.1 hypothetical protein 1 [Hubei toti-like virus 13] -MSSSQQSDSKKDEGKTPSTPNQHSNNAPSSSTLLKQQQFFDPAHVLQSTTAQVLKTWFLHPYTGVGPHSV -SFSQSLTNRDIINMYSQSGNYFYHSNCYSASYWTASSSYHQYAYKKKSSLTPSGGSSTPPAAVQSSVHSE -DSYSFISDSGAGLLDIGPNVGIYYQNFDQIPSKVVESLSPSVIKILKESDKHKLTLGRKLVLPSTWRKYQ -PFEATRWGKNSKDKINQAGSSETEIDLNTVTCWPRIDIKYPNKDSVKFADKKWTPLADKSAYYTQAPIPS -DKFPEALGIEGIDDATFQGNLDCGDTVPVRSITRTFSMLPSDIDANRSIPTTNVYSQFLVKLTKDLPDDA -FRSMISRPAITDADSRIWLAYNLSQLKYGSYMDVIPPTVAMLLLHSLTADRHWVDPIIFRTIRNKSIRAY -DKDIAISARPATEYPNLIVVSSHLTHFVKYMSRTVPVHDSFDPNMIDDDWIAVPVTTELLAVPTKLGAFV -MCHLSSEFWNGTITWSKKHAYSIPDSMIPDGQAEGYKQKFRMGKEYFMPSSNSVYIDGVKKVWLVIMPST -SNATATIKLYNTTVPRSPDKDGKLSPKDLTPFWATYWQGTDRDNIPLLITDFFWALCAMTNSTVTPDSTR -RAMGLATELCNVSYPGNRFQNSTEVQPALIGGAWTYGGQKVFKNKYDSSDWVDAHIPVKNASYPRRTRMA -GFSFSATSPFLQHPSSLSTLTQNLYLYNLGMTTGEDQVVKQIWVIDKIRQRWATTQPTYNVPQYIANQAT -SFCRLSVAAGFLETGGGREYRFSVSPAVQQFLTHNGAAMFGNTTNFLIANDIQPWFWMGYGYGDHPHFLD -AYATLFKQLTQSMMLPVNIYNLAISCQNLDWNNIVEYYNTDPFDQERWMQSAPIPVSNYLQWNQKMDLPQ -TPNTGEVQPVQLFGKQLYGLKLDRTNSDLKARIFLLVNDRKTEWPKATVFDANDETPYNEWMWLDDYYFM -STALVDAGQMVTTKWDGNAYLSSNTYARSTSPGVLGYEAETLIVVTSGLGLGRNAVQSLPHVTPVSLPDP -PDAKTFLAAKVADSNPPPPPEMKSDPIPTKEQVSAVTDAVTPVTDTITQA - ->YP_009336693.1 hypothetical protein 2 [Hubei toti-like virus 12] -MARSMVGLAVGWSACCNTLFGFKHPNGCTCRCCEWTALFFSGEAKPKPCKRGANDRTGTSHESAGVECGS -CARCVIDRTRSSGTYIKSNQRFYERSTRRVTVSDAQLPLYWDPGYWVQAINLLQPASSSIEFHDDLSLHR -SRYKIHSAPGVGVYSRPMSFYLDTLAQLEVGCGIGSPYWVLAVLLQRARAAQDPQLSCYQWLKGLTEHIE -TMDGDRFVCSQILVGLERVTPPKQWSKDDLIADAKNWVGPNKTWASSRGVREWVEGWVEKKFAKWQRTMD -MIGRENLSFEEFVQDPTRWATSGGAPRVEWEGDEYRSKWAWAMKNISEGKYLYAQAKTMPNIAHAAIKEE -PSKTRLVITTPMSSYLRQSYVMYLFGIPELNSPIYGNKQLGELNNVKWASYCSIDAKAFDHQIPIWFIKL -VMEHLFKSVGREDLWYEERAHINRLKIEVFGEIIPYHGGVLSGWRLTSLLGTLASQALCEWLILQGVNTN -FVVQGDDILLYSAEPIPPNVPDMVQEFGLKIDPVVSIPRTCGYFLRRAYGGSFNLMSSGRALRSLFYASP -WVERSQFQTPNSLARSWLQFMSRVPGKSARPWLLRQAAADMARWARWPGWTKNRWFELLTTDAGLGGLGT -VDTHIYRQYLPQIKEDIDRSRHARTAWKRLYEYFVPVSGLMAAKDQQVFYRMSYRPYVRPTPPTSAPKLA -TDWADGVNKTDMCFNVMQGGFKALPEDVKRSAPHWLRTQPWFRVLDWLLRPEEMTAPQHLCIQPWVLNEM -LQSDISAADRVLHNMKKGVISKKFSMYQWILARAGKLMVALGSW - ->YP_009336523.1 hypothetical protein [Beihai uca arcuata virus 1] -MASATDYNSLVETINDLVNTAPKEVMPRTSVGRAPGQTEHVRQAGLEKGDNRYSAGQHYSGWRTVGYRDL -YPDAAQASQGGGVWFDRMPGQTVLVRNSNSGERPPMTNLGLAVVGRLTSQFEKDGRQTIAQAPTAWDWSS -LGWVTDILADVHADWEDEAVLVNLIGMMHSDARECNPLSEDRLSAVLAPELGMRDRYNTTIDYDAADNAN -NTMTGRVCCLPLDTFISLATGKVRAAAAQQADVLTSASLGRSVAVVPIKASDAGSWYWPYLYAHLDSRYW -NMGQETGHLKVNGPDGSGFICQPIASSTRIAAPRKAVLVLIDTTSKNIGDAWRPGTGPTMTPWKGTGNIT -WVDTRRYFASWLGLGQAGAGSVSMDSGTALDKIITRSGMGGNMEYVYAMCAELYWKDFFSRGAKWTPAAQ -NPTVANWIEGISSQLGSSISIISEDEPGAKGGLAAKKGLGADEWIYPDNDWTKPMFYTTTSTAPWDGTWV -CLKENSGGNAVPWSSTLIEDGTVYELSEHLVIGNTGTVVRFAVRWKEHADAKALLFFSGIGPAEGYANGS -LTQDAALKYNGVSDYSKKRMAIRKVALYDKHPMSEVMKNPPVEQKPGTSEWWTATASGSTALNAYTIVNG -LSWEKWDNQSGRDVGWKLATWILRYGGLLRLSPFKRGWNALWDVAPEIVRPDDQTIPGLMAGRVICHTDE -SPGAFLWQVKTAEWQNRLAAHMELIGTGAPKRRFTGGRDVVQHCKGLATLLGSLNESALNAANLSIGMVA -GLDKPLEELTIVMDNDVRSKLPRVGMTEAAWNAWQYVGLQEGDARVVWSMASWRGWSSNSIAWWKKMGLL -RKFGKQVTWTKLDQITIRTGPNGTAYSVARATYTPEDWMKDEWVGSNMDRSAQAPLVITWLMGQRGTLRT -GTTLDYMWDKICWNQNVDTYSGWRPLGNAVGWGFSRVEQMVLYTIEAAPNWWTRTHCAGGEEGVGRVEVT -GWSWPDPGILATIAAIAAPVVTAALQRLAGWGAGKLLGGAAAKAATKVAAPLIEKGVSKLVEKVAPTVDE -AKEKVEPAMDXGAGKPPGYGDEGIIRKDITIKVSASDKNRIVDWLKNVDMMLHDPIDPREEFVTSERLVR -WRGASKYKLGTFSRAATLITKLWPKWSLGIIRGIFRKIEQRVAGMEEGKTNAIVKRAFKAISDMSTDPAL -KRTMQEWRAVLLFQAWHTLGGPAPWQKDALIKDLKDWVSYGNNTYEKSGNSIVLYAPPGFGKTRYQEVRY -GVKFLDTDNYKYWVMNDAIQWLKHHQSEHVMVTNRIEWLEDIIKLGYRVVRITAGRKHTMSRLVRRFGYE -KAEEYWQDIRKPTRGAEVINLAYGRSLEDLGGELDTKLGIVATGQGICTLWDGQEMRDEAEQMMTQVHEL -WKAGRRPVMAKRIGKAAFDAFLDDPSKWATGGSAPSVKIGEDKIRSKWVWSLQTIREYGSIRNWYYNTQE -DARSAVALKEEPSKTRLVIAAGMKSYLRQSYLMWVMGWPPIPATFKVPPVQSGLWKFGNRWYGAVDASKF -DHNVPDWVVLNFFDNAKEHGTFESNLEENVNHWNTILDEEKAAISNTFCELFGHQVKYEKGLLSGWRITS -VLGSMISAVSAKITARRLSTRVSMLVQGDDIIMWSRRRVNSDLVLQGFKAQGMIVNASKCLFGGVGDFLR -KYYNNDWIMGVPARGIRSLVYANPWIDSATLTRGSEVCAGWWNLGSRLIPWTGWDVLPDISRIGADDVAG -WARSDPRLGERTLPPNIVRRWRNLMNTPAVMGGTAPCEAMWLGITLKSSEWVTEIDDDASWDDTVVKWAK -DTLPMVDLWGVTNKQPGFLRNTRVVRLGTSGREVQSMLPNDLFKGIPEGNIFKSSAIWLGIKSFDKLAGD -VFDFDRIVWPRPMYNMGKLQKLRWLLKGTEAAVPYMLTCDPEEFKLASGLTVTNILRRSVKRKAGRGILL -GIDVGWSLLAAAGRIIHRLSTF - ->YP_009230208.1 RdRp [Camponotus nipponicus virus] -MGKNPRIADTMARSAPIGYIMAGGKKLYSQTSGVCARWFSDRGSCWCGRCRGDAHCAPAGNRLINGKDGY -QSPGSRNPGGTRGEERAGTKPNTAGPQDPTDSETECQEGGGNGRGGENRGDEDPYSGGPAPGTDEGTRDS -GREPGKRLAGLYHKTQGDKDRVRACPESLVASRQQVYSSVYHEFEHVIRIHEPEKLRPTPGRVFKLTRYT -GRVPPDWILPTVTCSYPLWLYSRAVEATGQFASSKDIQLIGLKIQSELNKIRDVRLHSDAARQMLKNISN -YCFPLVFSRGPVYMLFVHLNWLTAKCPYTDGQILDDIGNWVSDRKENKEEKKLDETVCNRVLDRVFHQWY -TGESTGHLDFKSYCNDFTRWGTSGGSPKVKLDDIDYRSKWAWSLYHATDEKTGDLLPEFDLYERAKEERT -TSTIALKEEPAKTREIITTSMASYLRQSYLMYRWGKPKIPSPISVGTWLGRFERASPKWFGSIDGERFDH -CIPKEFIMGIVARLGELDEQTMFAAKEELEHMRGLRLQWGEHMWDWRGGLLSGWRLTSVIGSLVSCCVAE -YILEKSGKLGAVQYGVMGDDLILYSYHEEIPSDEMVALYTGFGLKANLAKTSSGRIGEFLKRIISKGGTW -AYPALGLRSICYANPWLDHYTYNEETEVSTCWLTLFSRLLPHCCVRKEKQLSSFIRAGCVSNLTMLFGKN -KWDDWLCTPISAGGGGCMEWSKLESWCNLDKLVDQVAGGTRVFFKSLFGTIPYRRVMKYTHTMRKLDLHT -ILYWKQQLGGAGKSPPDTYFRHEVNITQTMYDYIFGRISLSNLKGSLNFSLPRGIRISSPQRIVTFLLQG -VREYSGITTIQHTRDAMQPYADIGAHVVRAVSASKRFTNIRYIAAAVTLYMSEILKDVYIPFGTW - ->YP_009143313.1 RNA-dependent RNA polymerase [Camponotus yamaokai virus] -MDIVKMVLKIQTIIAGYNIDELYASDAWRQILKAISGRFFPDVFSKGPCYMLFVHLHWLTAKCPYTTEQI -VSDITEWVDSKMEGRTKEVDQTICDKVLDKVVLEWYKGEAGGFLSFKDYCNDYVRWGTSGGAPKAEVLGQ -EYRSKWAWAISHATNADGSLKEDYDLYEEALKERTNSVIALKEEPAKTREIITTTMASYLRQSYLMYRWG -KPNIPSPISTATWMGKFERASPSWYGSIDGERFDHSVPKQFIIGLMNRLGKLDSETRQVAQDEIEHMNEL -KVIWGEKEWKWEGGLLSGWRITSIIGSLLSCCVAEYIISKTHMEGALHYGVMGDDLIMYSYREKLESAKM -VELYREFGLKANLAKTTSGSVGEFLRRVLSKGGTWAYPALGFRSIVYANPWLDHYTYNEEAEVSNCWLTF -LSRLLPHCYKKTGDVVSLIMRRLKGNLSMLFGKLDWDSWLRTPISAGGGGALEFSDVSRWSYLDKLRDTD -VLSNKAFMSSVFGLTPYKRILKPITTMKKLDLTTVIYWKNQLRGVDTSPHSSWFKHETNITRLVYDYVFR -KIRLSELRGGLLHALPRGLRVTSPQRVVDFLMRGTKEYTGITTIQHTKDSMQAYSDIGAHVVRAICSSKR -FTNVRHISAAVTLYMMEILKDVYIPYGTW - ->AOR51364.1 RdRp [Anopheles totivirus] -MYSDGKHVEDRNMVRARKVARVGDVNRYDEVFRAAGCCSAWVKPAEKGDHVDAHVFPRKAHVRVHRVGAA -QVLEGFTSWRAVMRASEVRIESVPSGQGLDPDKKRQKTSSISCKWCLLREDTKVPNPLELCKGFATLRSL -GRILSEQKIEKLESLGSKKQNTGKTENVESVNGKKQKTNADSLDLRDFFSVYSTSVKKHNYSRMSSLNNI -ALCKLGRVFFKDDHDTRPIDIYNARAVIHVDSDMCGICLPAEPQIGGYIITVCQRCLSVCDPSVSVEFDK -WLEQFGLVRNFGYSILNSLRRCRDYNGLLPIGSTFSYPLSAYLRLLKEQGVCGNLVDAVTQSYKHILGCA -PACAVDDAVRNYFNNLDKVSFVEGSGGSQVQHVISCFHGLSQMGAARGWNEWTVINNTKDWVSGKEEEPE -SVLFQDTLVGRWVSEWCIGLRSKMEQKQTFEVFTTDLMKWATSGGAPASDVVTSSGVERVRSKWAWGYSN -MQDGRNLYETARGYPLVAKVALKEESKTRTIITTPMSSYLRQCYILYVLGNPRFLDSTIGSQEHVQRLGR -RRYKDYVCVDASKFDHSVSKRFIVQFWNCLLRTIESSVGPCTITELIRDELLELEQLVVDVFGEMVPYQG -GLLSGWKMTSFFGSMKSALLCEWLNVKMGKAMQYVVQGDDIIMLSDSYVDKDTVCRLCGQFGITTNPNKT -TVSDVGEFLKYRYGPDRISAYPARSVRSLYFANPWLDPHVDVSLSTTLTKWYTLASRLSVSLNSSGVARE -VIRMGVRDAMRWSGGRITGQQMRELLRTPTNAGGLGLLETVPRLTARSIRILQPPGYRDTYESQLLQTLG -VLPRKHRMETRTMTRDITLDLVRATNMCHHRTEISRIDIEPTCNTFKTLLEMYLSKVDNVNVRLVYNSCV -GSADAKVHDDRLYPRYLRRTRDFMQRIRHVMFPESVTVPDSLYADARYDSRYLKRVVAATSAIILSARTM -TKAKMCGLAIVVAVKYTKTNFIYHSK ->APG76023.1 hypothetical protein 2 [Hubei toti-like virus 14] -MQIASELVRVLYIVRKIWKKQNLDESFEDDFFRQYLKALSGYSYERIFTLGPAYMLFTHLHALNAKSPYT -KDQIRNDLDCWTGPSLDGVQKHIHMPTFNKVLDEITQKWQTFPLHECLTYSEYANDPFRWGTSGGAPKVE -LFGKEHRTKWAWAMKHKICPQRGFLDNVDLRKEFRKGDVAKVALKEEAQKTREIITTPTASYVRQTYLLY -RRGPTPVPSPIANNRWLPEFEARDFSWYGCIDGDRFDHCVPKSAIIAILDALGNLDEETRAVADEEIQHL -ENLEVEWDNDRWKYRGGVLSGWRITSLAGTLVSLAAARYITDSLGVTGAVTVGVMGDDIVMASNSVRISA -EKMVDLYNQFGLRANLSKTVSGSQGEFLRKVRSKGGSWAFPALDLKSITHAPPWVANYQFAMEEECSTAW -NTLFSRLLPHSVDPEKTADWIEKQCITDLNSRFGRHLPWKSWLRTPISAGGGGYVQRSSLTSWTILKKEK -ENVALTPYETLGKMLGVLPTSRTMKTVSATTQHVDELVSMLPRVQTSTSPEYEPRFKRNINITKAVWDLV -NSRISVSALNDLLVSPIPYKLRTSRGPQLAALLLQGTKDMTSIPSITHTHEALPQASSVLKNATRQLYAR -RTGVPRSMVKPLATLFALMTYSGSTVTYGTW ->APG76012.1 hypothetical protein, partial [Jingmen toti-like virus 1] -CGCPSGSSLYGCQFASRCFSWCSLWVGVFFNGTFQARSGRRTTAGASRPGNGHWRCGCPGRWGGGPCSAP -WCQQRPGAYFRIYFRSVPTVTPSDAALPFHWDMDFWRRWEEWVTPSDKTPAWRDDPSLHRSRYPIRSITG -VGIFSRPLSFYYDCLAHLPTGVSEGSPAWVLKQVVRRSLNTDDPQVECFRLLKGITELVAQLEGDLFTSS -QLLMGIERCTPPQQWYKDELIDDLKSWISPHKDWARPPSVRAWVEQWLEASFDKWSKTMDMIGRDNLSFE -EFCADPVRWATSGGAPKVTWHEEELRSKWAWALYHLEEGHNLYEVALRLPNVAHAALKEEPTKTRLVITT -PMSSYLRQAYAMYLFGTPPVHSPMYSNTELRNLNNYRYACYCSVDARQFDHQIPFWFIRRVMQLLFHAVG -RDDLFRQEMAHLNRLKVELFGKLVEYHGGVLSGWRLTSLIGTLASSALCEWLIDQGYKFEYVVQGDDVLL -YSAQEIPNDIISTIKSFGLNIHEEESIPRASGYFLQRAYGGSFNTMSPGRALRSLFYASPWVERTQFATP -SSLSNSWLQFMSRVPGKRVRAWLLKQAAADMARWARWPGWTASRWLELLTTDSGLGGLGTADTHIWRQFI -PHIRETSTNASVGKSDWHQLFRYLVPQPDLLSARSQQVYYRYYKGDLVKPIIPRSTGIVPINWGEGLNKT -KCYFDIKKRGFKAVPEVLKASAPHWLRTQPWFRILDWILRPEEVSSPRFLGVQQWLINEHTYADVCAANR -SLRYIRGGVHLRKYSLYYWILARVATLRFAIGSW ->APG75984.1 hypothetical protein 2 [Beihai toti-like virus 2] -MLESQDERVPGRRTTVRPWSGDLARPLRSQLLVALDGADVRRVVGQYGDAAALLPNVEKLDGLRRARIRV -PPAFPRLGEAFTPALYMHYRSLTILGHVQLSKTLLGVLSALEKRLRKDESVRGSEDDCVRQALKRLSTLA -FERVYSKGPGWMFLVHLHNLGGKRAYTHEQVNERVKQWVSEGRVFEHEEWWQKRLDSVFAGWGGHAASTP -HLSFADFCNDPVRWGTSGGAPRVEFQGDKYRSKWAWAFRQLFGPDGRADPQSADLYQAARAAGGDVARVA -LKEQSDKTREIITTPMASYLRQTYLLYRWGKPNLPSPISNDAWLPEYQRMLYAWYGAIDAENFDHCAPGA -FVLSIIERLGALDSETAAVAAEELKHLRSLRVLCPDGTTFRWKKGVLSGWRLTSLLGTLATHCAAQWVTE -AVGAGGMIWGALGDDLTLASNSFEVSSTTLVKAYEEFGLRTNPAKTTAGASGEFLRKVYTPHGVFAYPGL -GLKSIFWKTPWAGDTDPTAPDELSSMWLTWLSRLLPFRRNDDIVTWVRKECVADLRRWNPALSAAQYEAL -LATPIPAGGAGCIEMAARPDKWVSAKPVTESRAQGFFSHFGLVRKGEMRLHKAKVLDSLSAANQERLVDQ -LQRLRESPHRARLPRDINLTTALWRWFVDDAQPATEIAKTLKIQLPRGLRVAGKNAILGHILGSGDHTVV -SSSIQTTPETDVSLSYAVNSVIATYIQQRRNANTRDVRAAGVQLGQHRLYKTSAVRGTW diff --git a/seq/clusters_seq/cluster_321 b/seq/clusters_seq/cluster_321 deleted file mode 100644 index cdecf1e..0000000 --- a/seq/clusters_seq/cluster_321 +++ /dev/null @@ -1,583 +0,0 @@ ->YP_009551899.1 replicase [Nephila clavipes virus 2] -MSLYNKKRFPGSKRTQVFAAPSEHQTSMNRPPHASNVYIELAKTLKKYVCKSHRITKLYRKLRKFNKLSR -LCRYRMRNRIKQYHENRFVVKFKTNCVTIVDKYCLENQAKESICSDDSYLTAAEDLPPPIEAVDRRHIPS -FNFDKVIENQPDKPSFISELSNSFKSDFFDCGITPTLEVVLSFLQQLKPINLISRMADLAAAKDFAEESA -VMTAIFELYDVFWTPELCSIKAALIGLATYTISAIIKACWTNSESTAMELANQAFNIPEDSKIKQFCNDI -GFNIPPEFSDIGLKIIGVIVVIFLVITGGKVTDFSDTTYKKLVKNINAFSTQVKSLNNLIDGIPKLWTFC -MSQVATLFGIEYEDESSLPVKEFKDQLLGFKILVTDLVETLNSNPTELMLDPQKLDQCFSTMMKLDILYD -KLLKQKENMSATKVIFDEVRENVKVIQNYVQNLKNTNCQKIEPFVLVLGGNAGIGKSKLVEWITYRLTAA -IAARHPEFSELKPTTYCRNSKDEFWPQYAGQAYIVYDDFGQIKTDTDHADLMLVKNPNKTMLTMSESKEK -GRQFSSFGIIACTNQESVTSSAVVKCTHALTRRFEVNVMVSDPNYADFVSKHGCSPGNYEKIHKAEGLKA -MAKDIHGNDIPYFDPNFDHLDFWFVNSDPAERSKFPPEKCSPTEIVERTYNYWLYYYTEYRSSLERSSAV -YVSKEIFDSADSDVSGSRRHDNYACAKTKEEISQLKNAHAEADNKLRITRTIAIEAAKKSAPFEIFVNPS -AGCLQDLKVLANPGKGDCLYYALEQLGVFKMSPPEIRTALFGNPQLARMPRKEYEQLKAHFMAACGTNEY -LGTTDVLSFITCIMRYRFCVHFANSIVLYGNRGPILHLQFTGPITSGHWEALVKREAPSIFQTKQEVLHK -IPIALENKEAIDVINNIYVNVDSPFEAESVKNLENQSLEEVNNSATAFVAHSHPKGRIVALYGPPGTGKT -TLMRKVSSVANNTLMVIDAVDIANLVISRPVLYIEDISKTKNIFKQCRSLIMSIYDGNHPVQLCFVTFNP -EMARNYCDTEEEWLAFARRLDMYEFGYKMRERKFFSKNVFYNYDDVEKGIRPYSEMVYIKDIESTILENK -DVYLDSDNMVIDFADLCSSVNVPVFKKVVTTKVPYIGKNQYTPTFILSCRGPIYETFKDLYTSPIKIVTG -LVTGSLKMLKGSFEEGKKIAQQFSGAPVSQRPAFPETIERFLIMINNADFRSNAQFITEIRCSDASVVIM -PKESDPQVIRCFKVCDRLDVSIGEFTDGVHTTVPTAASFAVYDEYIDNAKKTIAKIGENIEEVSERVTNF -AGFTMINAKFSWFEQIWGVCMFLLKIVSAGMSIHTLVGMAKAKFLFQNNRLTLIDEKKSRKSGKGKNKTG -RGKRISNKNLLKQKYHNVDYASLVSSIRNFGDFTAKQACKYELLEDFSNRYEIDPQGNVERDSRWTNYLT -NCGQITNGNLQIRTAFCDDYYESDSDYDYESGKVGETPQPPQVGILPELVIEKDKIKLVKVESGKVGETP -QVPQVGIVPELIIEKNVKKKDLESETEDQKEALDVVATFFKSNGMKVHVPLSNAMHGSMKSEFDTMKLSP -KRLANDRNLEEESLLDPSILNITKLASRNTVELGYLVDGKFDRMVYGIMGVGKIGASVAHFINPEQQVYV -KSYLVAPPEIAPVKILLNNQNLDVCMFEIQSKTIPNYKNILPHFPTYESTYTKKLHRVRAIIATTEREGN -FVIDRLLRNVKLRALEKVRITGVGEKTAFTYMGYMSGVDYEPAPINTTKGDCGSIVLLNDVSQKEKIIGV -HNAGSEMVGACSLIFREYFHKFMLQNESAVIRKSVCPKHHENIEYREVTNESLLDMPIVGSSTINSNQPL -KTKFWTSPLKLPGVNEYQPAILSKNDRRLQQDIIPYYESIKKWAQPTYKMDEELLNECVDEISEWYADVI -EQEDLIVKVMTKTEAINAGKNVGMNPLNRKSSPGFPWRSMKTTNAQFLVNKSNSDNPVYSINLKTHEGQK -LNNSIDDLINICRDPGRYPKIAFSGALKDEPIKLSKIENYNTRSFAGAPFDYTIASRMYFGSMQGAIHEV -RHRMPIQVGITPTSLQWHKMFERLFSVSEIGCDLDFKGWDSKLPWTLVEKCYKTYNKIAQQCDPNWTPED -DIIRENLHRCVVRPYFVVGMPKGSYIVQAPGGMPSGSPRTVDDNSLAHLYGLYYCFRKIMAKKKKFHYCN -IEAFKKLIAACIYGDDGAYAIHPSIIEDINFITLQAEFAKFGMECTPATKDDKIQEFIPVILLEFLKRET -VKIGAFYYGRLKKTSFQKMLGYCLGPAHHWYNEPDCVYSEKLDDLAMTANSALLEALTWGSEFYNEIRNH -LKKCFKKLEIKEEIPSFKSMISISELPLPYSF - ->YP_009345009.1 hypothetical protein 1 [Wuhan spider virus 6] -MSGFRLPRNLLNIVNSYTRDSNPGKYVKCQGVPQKKLLQRQKEFYFRQRRLGNRVTQFTQELEALYWVPA -SDFEDADHQMLLAYGEQKLATMQEDFARVFYTWLNIKAELARRVNLFSEYCNEVQEALLDRIKPVETPLA -QNIFDKLPEYDEGIEMPIPKCVKAKWPKKKKINYAAIKQQKRILRALNVLKKFKARKSRVIIPIYATKAY -IDIMGTPASRCTLFSNADNSAYFNQEIPKGAYDPIINQSLFSSIKDMFVNKPSENETESKWFPKFLMDGL -SNITIEQFEKLLQTIKPINLCNYLAQYIACKNQAERVAVLTAVAELYDWFWTDDLASMSRLLIMYIAHFI -NRLLYYLTDKLIDSCKELEDDPIYNQAFVKNGDNPTIFDRIGLDLTCIPEGCMWLIGGIISIFTIITGGK -SGKSWKNFSFKNILKDVQTFSHEIKSYKGLIDGIPAVFNFLMSCIAELFGYHWCSTEDKQVQLFQQKLLE -FKAEVYQLADDIQANVQSVMNSPERVQRAQNIMNEIDKIYADLMKQKCNMSSTKVVFDDMRAKLKMIHDW -AVLHINSGATKQEPVFIQIAGAAGIGKSSMVNAIAKLIGQRLGKEVTIYSRNSSEQYWSGYNGQDIILYD -DWGQTKADEDHADLMKVKNPNKTILNMSDNEEKGRPFVSTFIIGCSNNLDCWSSSAVKSMTAINRRRDVL -IVAIDPNIEDFVARYGCPPSREVHTEETEDGEITIEGNPFWIPEEGDVPERYFKEDYSNLRMWAIPALEE -HRIGEFQKEVNIFQLVDLAMLAHDKFKRAYLKSLQKDLIKVSGEVIANSVRHPNCDLVHIKGEEGPFPCG -ETYASISAINLARDIVDSSSSNSKKSSKYVGASFSAALASVSPSVKNKSKLEQKKTKFDQTYKKYLDNGG -IPQDFVDPAFYNPRNYDNVSIASEDDIYNQAISEEDQSKVISSSRAFSEMTFSNAKVIGLFGSPGTGKSV -AMHAIKNLLTLKHCENVVTHVHANNLSKLVINTPILMVEDISTSFEAFQFFRTYMAEVYDGNTPIQLLIC -NGNIEMMQEYMTTSDEREMFWRRIQVYEYGFRTKNGWFDGLRVNGKYTPLDIQTGKVSFTSAVYIKDFYA -SHKEEKPIYLAHDQLISRLGDIKIDPVLFKKIMCQSVPVIGIDQVNPMIHIIIDEEAYVLFNILYTDTSK -LFTYLTSGKCTLKKGKITECLKIARALHTHTVERPAFPGTIDDFIILLNSDCQKCPYVFQAMIECIDRSF -VFSVNKPNMIIRCFSVVDNISVTENGLQCDSYSAPFNNATKNEYKTYFGLCGELQSITAETLPQLEHNIN -QSMSMWQILDLPGLFPNLLKIISFLIKLCMSGFSIWHLIKYHFPDDYKMEPLEAFFLEAKGKNKKAKAVM -KFSKKRYDQMIDIMDKYGSDTAKQAHIGKVIQLAVSLYGEDISELQMKDVVQHYTPEGEWWNESGPDDHE -PRPKEKEARLIPVHDVIWAETQKEKPELPKIIPGEKIINLIPEQFDGSIQSCEDAINNESLLDPSIAQVL -PLVMKNMVELGIIDGKKFTFLNMALMIKGYLGVSVYHSIRPDVQYGIRYTINNVSTIVKIVKSNHNASLD -LFKFTIDHKGSQSFKSIVHWLADSSYDVNKFIENQFGLLVTPTHVGNEKAFGLHPVRTHCVKSIKTADGY -EKENQIQYTGYTPGIEFESLLGSQAGDCGSPLLLCNPNSVTVKILGIHGAANTRNGFASYLYKEFFPETV -PQNESFLEFVEAEQRLIVVPKSNPATFQPTAIGSILGMNAFGVLPKNISNPVRSRIHTSPLALPGTEKFF -QPAILSPFDSRIPCNENNVITVNPYEQSISKWNHKQPDNVDMELLQKVTEDTCKYFCSVLRETKTQVRLL -TKTESLNTCAELNVSAYPRDTSPGYPWIHLEPKKDKYLVCTPGYYKINLETKIGRILNSSIDELIDICRQ -PNSKPLVCFSASLKDEVVKVKKIFDVNTRSFAGAPFDYSIAHRIYFGAAIGAIMRNRNKLPPQVGIDCVA -SLDWHQFINSLLRVSDLGFDADFKNWDASLVKVFLQQVVHVYNSIYEEFDPNFDPIHNLIRINLHSCIWQ -PFLLCNAEDKNTYIIQAKGGMVSGQPGTAMDNSIVHFMKVMYAFYKIMRIKMPHLANLAAFLQYVVVKVY -GDDGVYSVHPEIIKIFNFFTFKEIMDELNMVCTPASKDGVPQAFLKVLDFEFLKRKTIKRGAYYMGGLNK -ESFIKMLGYCFGPSHHWVKEKQPLKKVDLTIMESTMNSCLQEAALISIKEFNFFMNHFNKIIIENSWPLI -LPSIEDVHSTMGFQFSPSS - ->YP_009342254.1 hypothetical protein 1 [Wuhan arthropod virus 3] -MTNVQSGTLSRDDFLNWHLDMIFDEPWTVRSRRPRFMPKLSPKTVSVVFPYSSGVICGQCDTDKCPCGDF -PKDDRIFMLFNFLKNDNFTFLPCCVYELVVRGVPIEEIDSPFVDSQYFYRCKCTCKYLPEYPVDFFVSEN -VLFEQNAKDVINDLKDLPITSETVEEEDGWGELPDIIENPFEEDLIQEDEPMLIIVIAPAPEVEVPDLAQ -QMAELQIQPSAEQDLDLVCEGNTHQCEDMNVANYIHHWNEHFTSRERQFGYGYYDCYDEENPKIKGGMDD -YHCCQPTHSNASSDSTDGDSYWIRSSGSCDMCYAPRYHVHKRLIDDFGDTNMPIFCLCPIGHEQCLPECV -ECSNTAHLVEPLVEQKGKNKKKSEEASTSSFVPVQDPFVPLEPPTPPQKPVLVDAVKLEAQKEQEVNNTQ -EWLTCLIKNFTDTRNPNRSVWMSDILEILNVIKPINLIYHLSHLIVDVKYHEWKRVLARFTILSELYGFF -WEMSDSLLFSCLQSIYLLINLAAHWFTSTPESSVEIDNEALIEQALNPPLLTVPKLSTFINCPPLGNVSS -SSSQPPVIPLPDPPFVSFPKVNTPPKVVITPPGQNPPPPQQFGPEGAPWYHGISSYFGFDDKPTPALVKV -ISGAALFIAILCGGKILQNNTDLTKWLTALGTACRNFVFMKQSLGTLFEWIEAGVGSMMGVEMKSADELC -RDALIDKVIAHQEQIRSLRAQISIRATSILMMPNAIRKLRKKQDDLLREYGQFVGKKIHLAAVSLMLKNT -GVVLTLLEDLELSIQSSSAGKVQPATFWYYGKSGHGKSRGAGLIIEQLQKLHGVKLTTYSRNSTDEFCSE -YVGQVNWLYDDFGARLDGLDHGELQAIYSPNTYRVPMADVESKGRCFSSHYCHVCSNKVKIDKSPVLADP -KILDRRRDIVFEVTYDPKHLDDLKTQPDGSTKHDPEKVYYQMMDRDTLAYIGKKMKGSAAYLAIAKMMKK -IADVRVAEFEQKIKAMDILGLDRLFDAPLENQAGFDFLHEGTYVETPMFLLVGPAGCRKTAVCKAAGYKL -DDNLWDDITTSHDHFNQIYRRMTDTFGVESSGPVVLTANINSLDACLDQLPSTDNADAFLRRVKLIEFTY -RPAGWFKGNYTASCLAADEQNFCKYVKITMDNVEITWEGLRKMLADARTEKVDTLDFSGTPTFPAIEADF -VLKLDKNVSDFINENFNLQLGMGSAEILKGSRLSAMIKLVPLFNFLRDKMPNVESDLFSDYILAFNNAKI -DTGVDMILELHDPTNIYVFILRRGEPGIAGYSCKEMKYQMRDGVLYQVVEGKEFKTSNDHYLKFFENYVR -DLPEERSTGLAFIDKLPEKYKNYFSLFQLAVASFTALNALSTLWPTGKEVLKPEATGDISAGDNVNPLRS -SRPPPKSNERNANEKPMKDNSLKEPRTSRPHKNLDPGYTGDVTNVLRGMVGENAYSGANRAPPKPMTEEA -QVDPQSFAVRTKCMENVIELYYPSGAHCCYGLMVRDKVGFTVGHVGSDLCVKTNGELKPIVQIKRFTGRD -GQIFKLEHGTSYPNIVKHLRPSPVYTDLTGCRGWVMMKNRASGQIYNYHVVLKGRKMEVRASTTGFTDET -YVTATAGYTVGSMFSDAGDCGSPCFVSDTSINHKLIGLHAAANSSRAQLTSVFQSDFDDLVYEESSPDAY -NLMTKDCFKTTDIKEYKLFEVIGKIQKDGQDIAMFKNNRTQLRKSPLSDPLYPNYHEPSLMHHGDSRLKN -KKISLYEDAIDKWSNPQPEMDLELLDEVVDELADYYTTLARQRNVPPLKVLTTTESLNRYTKYAHSNPIQ -RQTSAGFPWKLHGYTTKMDLLEIDEAGLAHIAKHEGGTKLNTAVAQLIAAARNKIRPFVVFDVSLKDELL -ELKKIYDAPKTRSFAAAPIDYTIAHRKYFHAAVAMFAELKSFSPIKVGIDPVSWEWNDLFETLAANSGVG -FDADFSGFDSTVPKAVMERLPKIYNALYQRLDPDWKPEDDVIRFWLHSALHGPLLTYHNYIVKAPGGQVS -GQPATAVDNSLVNVIYWVYCFKRIMRRNNQPSSLYYFFLYVVLGVYGDDCLATIKQTILKFFNFNTMSAE -MAALGIKMTGASKGGGLEPDFIPLTEMTFLKHSFKPVPEFNMFMAALDEKSIAKNIHWTRVRKSHSWDRV -QYRVEFEPDTILSTVESLARNSLGLGPEGYASLRSVIIDKLSDLGIYYYYPTWDALFKQYYFGCLEPVGS -SAT - ->YP_009337788.1 hypothetical protein [Hubei picorna-like virus 68] -MAEATTTTSSTNDNAIAAFYNDQLRVKEQQIQDYLDSDDISSLALDSPNVPILPTEPVATMITNSIAQTS -DIDTYWDLPKPNYDIDEITKKSFSPTFLKYFTPERVHKIRAEMSAQQWNYDETVLERTFLGLRPDLTDRT -TMMLHYEAITNIIRLTNRHRGHLINMVHQLHTNRIAKKTEYVDAFLINAVSSKRIDTSCLVQYLYSKPIR -NCVLLAHLFAVGKHERTPIEVANYCDTFLSINMANAYRDVFVDSCPATVLLFSVRDVNPTYFTSFKLTNL -LTSVDLKNLTTHEMADILKLKHPMNYILGDIPNNEKTQNKNLAPGLHQEQVSTPTRSRQFTLCELQRIYA -EYRLGPCLFLILSALQETETHKLVAKLYFALQSVFPNCASSIRDTIFDVANYLKFFRHFITIRHGDSEEL -VLDPQDLDTVTQAWGSFSTEKYLPDVDLTQKGVLESLDSRLDYIKPLLSVLIVVVTACGGAKVLTLLQAK -NFMKDLHTFTTSIRDMGTLRDAASAAVDDLLGGFYGLFGGKYYNPEQRVIRSAASDWMAFNDELSVLIQK -NQDNFWHTYHDVTFDALKEKYVNLRKKYLDMASATPQHSNLLRDLNHTSCARMEDLLDKINKLKSSTAGR -QEPVLIRLVGPTAVGKTYNARTISDGAASALGKTVYEKNFNDPYDSGDVGQAIWKLEEMFQAKDHSDHPY -LFTLKGVAGYNPNYADLERKGKPAEPLILVATSNIIWCIESKTVSDLQALNRRTDYLVYVWNPWVTAMKN -AGKLVTPDFYKQHPSRFFLLNNMWGYNNNMCGLGARLTDIFGAVECELSMEFIIKMVLEMEKMNREQQRV -TLLRSSIAGDPSLAGLDSMGPIKYAVEIIKPKSLTEKELSALYAEIVRVETSCSVSPSDELEPYFRPNAK -AVVLVSKDDFTKPSAFQLSRSTLFEDLKKTTFYDGSSEEECDVDQETQSNIQKRPLLILTGEPGIGKTRL -IETCLDAISIPVVQILLTDLDLKTVLNKIIPDCVLFFDEAASSPERLQLLYALSTEFEKGALKASKLIAT -MNAETPIVRSSGEIWAAISRRAVIFRFSASLPWDKKILYFNKVITWDQKKPYLNVTLTNTPWSWYAFANI -LKTQSATVCYSDFSAVLNNYLQSMPVVEPITPIDGIGRVSRFVDYTYRITINAARKNFKISEVRNNLLSN -SSPIRAFERAADGSEKNVPLLSLIDIAYRLSPIFENASFADLETTLLSMNNHKYDINVPPFLVCFLDEYF -GGLYDADEKKLVFFIVELPVDVDIPELPMKEVCQIPAVTLPPENITALIQKAQCEQVKKSMHLDKLELMV -DIFVSITCFGLDAAMMYLGLHVKKVDITSLQAVAQAPALPQPPPVFDPTLNISDSSNVTPIQIKDKPVEF -VNTQRRGRDSRQAQREKHERKQQQQQEEQVVEDNEYLRDASEATPYQPDNRVVTMGTPTIGLFSTPYSIT -TATAPVIFHCIGRDAKMSAGAAKDLVEIYHLDRESIAARAAGDRKYVIDRCDKKVIVHLITKELTYTDKS -TVGSISAALVDFFSNERLDGIQSVASTLLGCGHDKLSPQPILELIEVLAHQYGLHWKVYTQRNLQPLKPT -PAVSSLLNTIPPKLTHPEGTIIHDPNNNTRGIYLNNIVYQLYLNDDSSAQWKMIPLSTNHLVETTTLVGP -PNWKPHLMNFFALRRVAFGSFAAEELQAVIWAIRYGPATDCNGNAQPGAMRYFADLPIPTYMRESNVGLD -NVVSTTPVASVQSTGNETFVVQEGLTRSNVVFLYDQQKNFINSGLLVVENFIITVNHSVHRVKFAKFYQT -DKFYPVEFKFGNSSLDCAIVSIPDKTFPSRRSMLPYFMLKDDMLKFIKRSGSVNVQIRVVDEKDGAIEKH -CGSFSATTINRLSASCFTTMMAMAFTRAGDCGSLYFVLDKSAQATVVGMHHAGSGVVSTGITLYRERVEE -LLQSARTGLCPDTQFNANSELWDEEVGDLDPIDLYRHQITAPYVGLAEKPLHTPFATKLHRSGIQFGDEI -GAEPSVLYAGDPRWEKELTPMQEGVLRYLPAQPIQLNQDEINDAAYHIGEEISRTMIANRMTTRTLTVDE -ALTGARDEWPCTKAIDGTSSAGYHWGFGRFTKKGQYMVWNDEKQMFQLATCAEGLELAKRLNTTMKSLRA -GEPGVFPFIPSLKDELLPVAKTRGPNAKTRIFWIAPLDFVILHRRLFLTAISNIMELHNELPIKVGIAPN -CHQWTKLFSGLAKLSDTGFDADFKNFDGGVPFSFQRAIPIIYSIIYNRTSTERPEKILRDNIARFALHQA -LEGPVVQVGKLLRKMDHGQMSGQPATAIDNSLIVWMLYYIVFCRAMRAAGRPELATYFTFRRHVALIIYG -DDSACTISHDYLKLFNMEVFIGIALTMGFVATPANKTENVSESQPLETFTFLKRAFRRVGDQVYAPLSLA -SIIKSLEWVRMPTGYQYRGEWNVATNLEVIWNHIKIIFCEFAMHGPSTYEWALEKFFEQAATYGTSFVLP -TYVEAVGWSGYTNLEALVPCNIRSPFVGEIVDGTKTVEIRKNVGKWSDVKPGDRLVINPGTDNIISEIYD -VARYDNLRECLIVHGMDALPGKTLEEAENIYLNIYGNGDCEFVALTLTGNYIRSEQNGFCIIRKLQSLMA -ANDTTTPALVDPPKADDVNPTSAATPGGTHSVPDNVGAEDISELTRSGARTNLLENVWDKYIYSHTITVS -VDTEAGRLLDLQPIHPSVCNDDVKLMNNYHKDWKGTMHIKYSASLSFTVGMRLRVVYIPPTFSVADINLW -DLAMISKQPGIEWDVGKGFSHAYTPKPAEPTFYFTNQDYYDNNQNPKSFGGYLALFTYAKVVTGTETPTM -SYEIQKFTCGAFVWNTYSPPGGVAPIDNGPLPDWVCKNYQTSGHCESDSSGGNGIYFRTGGAGASYTRGY -WFARAFDPDNARTITYATAIDQVTSAYVAQAETSGVPITGIGHGRAYEAYDGASHVGVTNNTSGTLLCAT -QGPSTGHYTGVESTLDCTGLHPQMLQLDDNTWTPVSITNAADAISTDYESSFCPIVDPGMTAPVDMFTAG -YKKPHTGTSAQLIDTMPAPALDECIVEMHDFLERTGGLQSKVLAQFLNENVTVRLPPTQTYVYQLYNLVN -GNEYWYLRFWTNGLCTTDGDNANKIVFSSLPIGIKYIATIPYNQPLPALSKSAKRWLRVINSHDAVSVRK -HEITNCSPIGSVARISSGLTRGELERLINEMHKIHKCATVDKILKRQQRLLPIATRNILNGDNYRGGNDG -R - ->YP_009337757.1 hypothetical protein 1 [Hubei picorna-like virus 72] -MQPVHMAGDVPTIDEAAIKTASEKLQLELANTNDDFAKVIESTKTSVDSMDVPSSWKDYIIAGFAIVTVI -LATLGVGIVAFMVPCVKWLCARWNEVGVLARSWHYLTAPLKSMFNAIYLPICHFFGVKELFQDEEEVKLM -ADINNLSKEIEKLLMAIMFDPTSLHSKGSILATIYKQRQEIERIGNRLLKAKNSPGLTLMLRQLELKGKT -LAKAQQDLCTNVGIRVEPTIIWLYGQPGQGKSFFVNKLVEELSKLEGHSLTRWSFNANDDYMTGYQGEEV -VVCDEMGNSKEGLDASFILQAVSQNPLPTVQAAIEDKGRPFTSKYIICCSNFETFLENDKLHSLDPLNRR -LHLKFEVVNEALNAKILRLRNENPDGVPESVTAADELWDPVNFSHLKFIRRDPLRPGDAWRNYTSNSRNK -TKQIQASQQKALVRLTKNNKLTLKEIKDDYRFESFAYFDYFNSNLSEYVDMITAEQPTEQWNLKTIADEM -HRTMLTKKKAFETQINAHYAMVKEMIADLKLEPIPDQLVMKPAEVIKKQEPDPVRLETITSTLRTSNSDL -TDNLRVLQDVTPTKCACGLDIFIACSTCGIGICEYMANNTLIQHQGKHESCPLSVQAQYRFDNPPVLNAP -DGTPLEMCNCGYTALFKCKWCPKMVCEFTSKNASEHIEECCMWKRALKIMDEESKPEQHAPVAVNAIVKR -EYLERKPILFIGEPGTGKTTVMRDIASKVNYQVFEGTDITQEFIENPPSEFCINEFGMVSLKSELCSKLS -GWYDGTCWDHVQVVILNSNIYNLFHGLITNEEIDKFRSRCSIIFCDFARKGLFGLYQKYTADEVRSVDNG -DVISKLVYQFGSKTYAQSELTNLVTSLLQPFRRKTISYNALITVPKVDCKYHVQLKATSQEFITMLRDNK -TNPARLWKLLNKCICVKKGDVITAGAQFMSVLKNYLITDGPDPDADYNSAFLTLNNRRVCHPISDFRIET -PDTTYVLTNDCDNFIIAYIEDQKSEFEVKPWPSDVDIQETKEQAIQRIRNQTLSTVMELKEINEMSQELP -EILVDQIIDLIPMSKMIKFLHTGLAAMKFICPTALSLYAVWNQFEKVCNPLVIKFINMKYKAELKDFADQ -WQNVAFDEFSKQSKVTIPIGYKDRHQYGGFWYFVYDASQDVWIQTWVTSAFTEGRERISKVYAYDMAITP -AKLAESLRWYASIRPQFEGTTGSKHERFKHIALEAIAATSVVQQLESPTKKENTSGASKTGMRRGVQRYK -FEAETPEQHAPIAISEDDVVYFDVDVKEEIPMDVTKDARIFGNRKSDYFGPVSYSYTGGTFDPKPMPDWL -ENLTQKVVNATGIKYNSAVINWYDLKKSAIPPHADDELDLADEPLAIMEFGNKQPLNFSDGKEDEFCIDL -SHTQVAVIPKKYNHKPYKHWVVPFDGSKKMRITISWRVMKTPVHEVSIDPGASTLVTNIKDNGHIVSLYD -CVGNRLCAGLMLKENIGVTISHSFPNGIKGVKARIQSRTGTENDYSVEFIDTPISKRDIKFFKVLGIQNF -RNITNHLPRSQDFQFSEGMIATFVRKGHRCGFSYTDTYLTLKSRYAAITDSTFGTYSKFIGLGSGMAAYI -PTGSIVGDCGNPVICFNTQVAQKIMGIHVGGTSTTTYVVPVFQSDLDIIGERKEAYRPHTIQCAIPEVEE -AIERCEMNDEYGIVKAIVKKNPYQPYKTKLRPSPLSNEKLIPKYHEPAVLSQQDSRNPFPEKSLIMSTVS -KWLQQKKDISPDVLSICKRVLREMADVHGHLMKGRNLTLRVITAEEALNGAQQWTTAGAMEMESSCGWPW -NANGYKNKKDLLIETVDPNTGQKHYSMDKKLADRVAFLLNKAKNGSHVDICFAGLLKDELLKTKKIYNVN -GSQTRSIAMSPIEYTIACRRYFLAAQATLMDIKDLIGIKIGIDPSSNEWNDFYWQLARISTEVIEWDHKH -WDGNLHHDLKNLVSEYYYSPLYKKCDPHWKEQDDVIRNTLYSWMYHPLFVANGKIYQGSGQPSGGFDTST -SNSILHEMMIVVIWILENAKRGNHKNNSYYEFHRTNVCPTYGDDGLLTCGDGVKGVLNFRVLQYQFKNLF -GMEITPADKGTVIKNSIPLLQCTFLQRKFVWDSKFKVYRARLELPAISKMLHWFTASRRTFCDLACNDTN -ADFQLQNLENQCRCIIQEFASYPEEDYEKVRVWLQSKLLEYNSELHLQSQDTLSAAMLGLSLVLNPNRRA - ->YP_009337019.1 hypothetical protein 1 [Hubei picorna-like virus 74] -MSCSKRALIDCDVVKDCIVPNVESRETDLSSFYQLCFIEPNKQSTDVEDNSNLEEDLKSVSQCYNYSDSE -EGDVLSSVLSLNSAVFSVLSRAKLLNLIDQLSNVFVADSFVSALAPLARICELYGYLWSDVNGQMGVLEI -LWNVLVWMKDLIVPLFKGSKLVPDDVKSRLPDTEPVKQAFVKLSEDRKGKIKSFFENIGCHELAGRVPYL -VPVLATVVTGALAFVAFRFGPAMLQQKEMNFVKSVTAMGMLARSSHFLRAEFASIWKVATDCFAEIFGLA -WVEDADIEVKKYISDLHSLYKEFRQYQNRILSDPYVVFGDQEFLPRLELQLKRLDDFYLRLASAKKNMVN -AKSALDSLREMAKESRLLVDNIKRTCTEKIEPVVIWLSGAAGIGKSRAVSKIVEELGKLHGEVLHTYVRG -TADQYWSGYSGQPVVIYDDFGNSKEKTEFTELVSIKTCQAFLLNCAAVEEKGKLFTSKYVIICANMLDVV -NTAQIPNVEVLNRRRDFLFVVENPELTNYVQVNGREPTADCDIWKDDFSHLTIRRRAALPRDRDAVRDNS -EWDVFRIAQESYVRFRTAEQKFYHYLTDLQTRRQRLQDAVEDKDGLPSAPVCAVRGGGESSVSDSEVLER -FGFLFDTDEPERQFFHQFERQIHMPILFVGEAGTGKTFTMHAIRRRFEQEKLKVRMFSGTQFQTFFDGGG -KVTKYDVILIEDFTVTAEAMNAFRTFVNEAEDGFFGKKIVVATGNLSLVHDHAAGRGVDWLAAFERRVRI -YQFSFKRRWLFKYTPADVVANPENYDVMVRISASTLTTQERSDLFQRDLIDFVVQATPRVALYQTFESLP -KIGEVEATFEVVLKVTPSDFLKWMKSKKGVYTPAGVAAAIAEVHSMISVPFSKMVFFGRFLHSMAAKGIG -LSLMSGWRTERNMLTLRDILVNVANLNIEFPTSEVVRFRYADEAYLLCASDEGRLCILEECPDGFVRQTG -SFVGGVDYTKDEKEFMQRVSHVIQRQRVAMKEKQDKCGKAFERMIVSGGLKTLPAWARALFEAVNVLLLY -GTAVVTLQDSYVRYRQLQDLKEKKNLLDEFCTPELEGQKPSKVEITETFVLKDPKVKGQPEKVSTVVHVN -GKSYREAGMASWGSDSSLSSNVSLPPMMRAPKPTARRSAGGGGGTSVTLQDTPTYATKSYSYMRNLEVAK -PGLRLRENSVAPVTTVASSAQPIMAARYADVVQPSQYDLDRIANMQRVYEKNGLQRTVLMPSPTLQKKLE -TIVESEEISNEVLDQLNCEEFEVQRESQSDPQAHDLAELCIVNSVSIVYADSKEHCVYGLMIAEDVGVTV -LHCASACLNVEILSTPGVYYDMVLLSKDRTRDLMIFRVQSCPAKFRNITNHLRSELYTTEIDGHDAMLVT -PSSRNRVGWKRWAVVRACVSRKYAGSDVVEHERTYVGMLAGYKIVTGVGTASGDCGSSLILNSARLPFKL -IGIHKAATDKVGYAAEIFREDFQEFVPIKEPNRQRALKRDEIELFQEPMPVGRTTQIVGRAVFRNHQSVK -TQFYRCPLACPFLDDEFEPVILSHQDFRCRDTDFYPEDAFLKWDGPTGFEIDCAPFSADVRAQIFEMVSD -QICDKWIFECERLHRPLFKLTKTEAINRPSQCIGLSNSLNRASSPGYPFRHFGARRGKADFLEFDEGQQI -WMLAKNEHGRLLNHSIDLFVDEARRGNVPPIVYVANLKDETRKKDRIYEQPKTRGFAAAPVHYVIAGRMY -NYAAMALCAEARAQLGHVLGMDPNSLEWHSMTLRLVSKSNCIINGDYKDFDSGHFREALALPTRIRCELY -RRLDPGWRPEDTIIRENIDRASQYGLLLRGHLLFQHSKGIFSGQFDTTFNNCVLNQLYIVYAWFVTMFEK -KRFDLMSLGGFDKMCHLEVCGDDFNLSISDLDLFGLQDIVRVLSQHFGIVVTSASAKDSTQSVLPYEDIY -EVDFLKRQYVCIGGRWCGRVKMSVFDKMLNWCVGPKRCLTPENLYDEFFDMTNMCALMDVALIEAVFWGR -ELYEKLVAHFRSFLCQPGIDIQKDLPSYDMMCILFELVPSDMRVFGNVCRNQIAVTDKQMATVKNAKPSA -AGVEPQNINVPDVPVSPPDQMSPYAPTATNATQQASQGIGCVPLSVPHIVGKYVWNETISITTNDEPGKV -LWWRALHPSTNPYADWLTKPFNAWAGGMLFRATICANFLCAGKVVLVHWMPNQNPTLERTLEEVTCQPHM -MCDLKNMETTELLVEDENPTKWHYYQPMPQMNTDPDGNITPSEWFSSLGVGGYIAMYVYGRFNMGEGSLN -SLDIIVESAVAPSFTVNYLKSVRSSDTPKPGVAQEAWQTLKDASIPFCPTSPHPNKIIVTPQPVFGFDTV -AQMTCGGTFWDTNWWKGDFELAADRATNVGQVNLIPTGTTQSGPTFTATYDTFVNEFVPNKISRVLYCIR -GGKFYDWTVGSYFNWNIETRKYEGAKMTYTMEDAGLPASDNRPHLIGTYSMDDEFEYPAYPEDTKKFVPG -VPESLIMFGRYDQNYPFPSASFQTQEMVKAFYSKTSKIASQITPTTAGLFMIYDTKNRLPVRQCKLYYEG -FLTVMASDKYEIMDSDYELQFMSIVDRNYVIKDKQNLIENSEFAKNQDRIRKATAFLERTDLTKLERLAG -IVTQHWGSLTRR - ->YP_009336987.1 hypothetical protein 1 [Hubei picorna-like virus 75] -MAYSKLMSEDEMTAAFRDAQQKLSLPLNNPPTPEGIGEEFRMMVQEVEASKSNKITQPKTHSPISSIIGT -PSSRASSLSLSTSSTKSVDGSPPTSCCEIIEIMNTWNEKIMNTVKPLNLVDQICRIFFAPTFQDALPPIM -RLSELYGLYWTSMSTTIVDIAKKLIYYGIKMFCKDLVETCTGESVPPSEDGAQPEGFTVVNSDQLSTIQD -FFKTLGMPEIAGKAAVLGPIVATLVCIAACVVIFIFGTGAARKIDENFISRITQFGLTARSLLATEQLFS -KAWEFLNSTILSFLGIAWVPAPDSAAKKFISQARALLTRVKSSMDEVYRDPGCVFRNQDKIKSIKNSIQE -LEDLHHTLALQTKNMGNAKELMTDIRETYHKMIALIEKILKAATKKQIPALIYICGKSGVGKTYALTRLT -ELLAECHGKKLLTTCVRNPGDEFVSNYMGQTVFILPDFGQDTKDTEHLDMQKIHSDEAYPMNMAALDDKG -MNFCSQYCLVASNQAYINSSATLNGPVILDRRRDIFVEARSPLLDQWRLDHPGLLTPPDDDPFWTKNCEH -IEFWTKPFDRDCKEGFVSERFTLPQLAKQLYELQEKRRIKFEAYMKMFAEVFNEEYLGENHGPFLDTSSA -TKPPIQGLIGAAGTGKTWTLEHEYIPWVKEQGYNVVTCRTAQDILDVYTLTQPTIVVVTDVTAQSDKQKA -FVELVLEAGDGVFANVMSIFFTANSQTLFADMKDDKILAFKRRCSLYEFKYRRRNVFRKYSPQDVVDNPD -MRDTYVSIEGRTFHGHTGSILPTNMIDHLKHRMTPKLTTGATMIDLPSIGEIEYNYEATINVTEEEFMDY -LLEVPKNANIMKLALDLNRMVKMSMKARAVVGRLLASVASKLIGLSLDDGVTTLRHYMILVNKRKIRSPS -RDVVVKLNMRGQTYYIGTSDEGYIVILDEYSDGYVRETGSLVGGETYSLAEKEYMRGLSGLIQRQTRGTR -ILDEPVDNTLQEIVDCVVTMYVPEWLISVGHCLSFVMQYSGLVVASMASYRNARSIKEHASQPIVESSPT -TADSKDHTPKAQKPSKSANMRSTARWVTKQQLGKGHKETIPSNKPLQLESYEQQIGKPFDPDLVFQSLAP -ASEKIAENMSIPEIAAKYPFLCTLTIDITEMPQAEAMTDPQAWDLAQMVANNTVLFFDSKKQFVNYGVMV -FKNVGMTVLHSFVRIKYAICPSLNFFKEFKPELIGEDTYRDLAVFELDPYCEFRSLIKHIPSRSGCASII -KKKALFVTRSRGKIDNTVYIRNAMISEFMCVQDENVLNNGYQYSGVVEGFTTEMELGSTFGDCGSPIVIN -NVQVPQKLVAIHKGGYKNRGIGIDIYQEDFAEFLPKPESTRLEPLRYQRVHLEEPVPCGVNSMVVGMIDH -LNYTPPKTSLYRSPFASNMCGDNFEPSVLSVEDPRMETPTDVATDGFIKWDRFPPNYDEGLWEEVVHECR -EYICSEVASLGVELRVGSLTEALNYMSDYEHSKSLNRKASPGHPWDQITGHKSTFLHFDEKHKIWKFKKT -KEAMEIQDAVARLIDACRRGQQTVIVHKAALKDELKTKEKIYQKPKTRGFVCTPFEYLLACRMYFHAAMA -AETACHNTCVFKEGLNVHSQEYDHMVNDALGYSRDWSGGDGKEFDARMWTQNNAATIEDFNELYRRFDPR -WKPEDDVIRTNLGHCVLEPIVVLPQNHRLKKEEGIDTGLVLLQFFTGNISGNNFTLKFNGHGQLRCTVYG -WKDLCMKNGHSELRCITEFFKYVWLAIMGDDMLMSVRHEVREWFNLVSLADVYDKCFQITITNEDKHSPL -YKWKPFEEVEFLKRLPVKCGSQWRGALQDPTFDKMLNWTRSPHKHYYTTTPNQVYAEVENMENQALVILD -EATYRGVEFYNKIRRHLDDVLKDHGSRLFLPFYSGKMFSKGL - ->YP_009336655.1 hypothetical protein 1 [Hubei picorna-like virus 76] -MSHSRISHTGSMLAQDKKVCTNFTPDDFCAACLRFLNLRLEYDDDLHEFYELQQENKIFFCSLRTAQRCF -GIIKRYKKIHVNFSIASPGTPDQVKEAVSRFQGLSTMQKMSVLNSNHIFFVPSSVLSQNFEPYIPELITS -MLPDTYAIINENPFYNRNGMWELCQPQIEFYEYITGVFDCKYRHKNCDFPTPNFDRSSKPVGKEWILIDQ -PDSLHFASIADIRAYMHDVAKKEVGYHPKLDKKFTNHVYATATRQYPVMREKDVKTWKHALLWYEHSRLC -HEMLRGQALRLGLDCTPPCDWPPDPSEHLLYHIPDSEEIAEEFSQHEQKEEKKIIINCLRQKNHVDKHVY -QIAQSTPVEKFEYSLTFEPYPIPPKRTHIEKREPIVMAEEVTCTRNSFPVIHAKKNKPAIVKFESNPIFE -YAKLNNMCTPRGSDFWEDRVAGAPHPLFYNYCIERFGEKYGKDYSYFMWFKYGDQVFDHKVVHYKNSFHD -FVNKVCKTVQMPKKKHLRRIAFKQPMSTIMSEDENRFSALEEELLDDGTNYQPERRKRFKSKKAIKKKLE -KLTQKRKARKEQERIQALYDAPAYAQHVEPFYLVCQSKEVFYDALEPDQKKNLLEKAADFTFQQKKKISE -KIEDFYDKTKFICANMTLDDYEHLLEKYKPMNTLNLIAQWWSCESITEDAACVAAFLELHEMYWTTELTK -LKVMLTPVIVFCLNVFKGWWKKKDQDLYEFQNFEQSPAHSFIRDTLGFDVPAEILPTAAIVVCVGILLCG -GIIPHMFRNFKWILKSLSSITAILKLSSCFESGFSALFDGLSSVIGKLFGYTYIKEEEADVISFKQSLCS -FRERLGEMSTALNHNPTSVMDDEEKFNELEALYQELDGVYLRLISIKANLSNCRPLFEDIRQEFKAIQTV -VRSARQKTCRKIEPVWIFISGVPGVGKSQLISAIQRGISEKMGRKVTSYARNSGEKHWSGYTGQTFVTYD -DFSQSKVDTDHADIMLFKNPNKTTLIMSDNSEKGRQFSSPFVITASNEIDCKNSASVKNGVALNRRRDVL -ILVSDPELAMFQNQHGCYPYEMLSDDPNLNGNPIITDRFGVTRHFYDPNFANLVINEISTDSTRADYRGK -VINVQAVIDKAWRAHLKYKQSYIGSVEVSSQLYEACEASSSDCDVTPVMSEITRSKQQRVRLLPGEVDHR -AKKKFEKAQELINYWTPTGDYADLEQNFENFCADMETYQLQSGESFGSYVYGRGKVIAIGGPPGTGKTTI -LDMVKDHFGELCTRISGVDLLLQKPETPVVIIEDGSTTKEIFNALKQLVVTTYDSLSPIQVLFFSYNKEL -AKSYCHDEEWTLFYRRLEEIETNFRIKGKGIWSCIRSHLGVGKKNSYENVQLNGTNINEYVGYRFKGVNY -TQAAIVTLLTSVEVKEEEFKVAVCHCCPVIPRRLVSATFEVEYRGPFSEFSGGLPSAHFDLSKVSLKKGS -LTKALDLIQNFLMPYDSENNKFVTPDTIPEFCVFMNNMNKVCTSELSAHLKFSDGEFYVRTRAMAPYVLR -FFKVDDVDFIDGKIVYPDKTESWTKTTASEYAQVFSKEQLQILNCKFKDIKAITDTTASAIGMDTFKDHN -PKFYGALRIAAFVAKIAVSAFSIYKLLPMHGRKLFGAMESDTRDRTKIKKSAKQEYTQYFDLNTGEYEWV -SDYDSESRPEPKPTPQRIVIDPVLESRPEVKPTPQRVVVDPILLPEASIDQNSRQIAKIVTKNMVQLGTI -ENGEFEHKVWAMMVIGRCGATVGHVVKDQELYALDSRFDKTQFYPIKILKRSVSHDVTIFQVNDVHCPNF -RDIAQYLPSKNELSQTSLYSGSQGILWIKSKINESPTTLQPINVKIDAVVQKRCVSGDDVLTLNGIGYHG -FMTAVKVHGADLGTSPGDCGSPLMLLNPLCRFKIMGLHCAANANSGLSNYLVRELFIGMHVEMAGKCQAN -LPKVYVLSHQEFTPVPPKESLCGMPIVGDLKMQHVPEKSRLWKSPLALPGKNIYEPTILSPIDDRNKTDR -HPYYSNLAKWSHDQPNLDEELIDACVEDLAERYAQEIYQTGFPVKKLTKTQAVNSGKEYGVNPIAMSSSP -GYPWTCGQASKRKFFSLHVSNDQSHMFYSIADTSEGKRLHNAIDALVTSCAQGKVSAVVFAGHLKDEPVK -ATKIQECTTRSFAGAPLDYVVAHRQYFAAALGAINSVRHTLPSQIGINPTSMEWHLLVSRLLEHSPIGFD -LDFKNFDSTVPSLIMSKIYKIYNRIFEVNDPNVTEVEQIIRKSLHHCLTHPLLACVHPTGTVALQAPGGQ -VSGQPATSTDNCFVHMIYLMYAWMTIFKDDPLGNFSAFWKNVKPIVYGDDGCYAVDPSVIDRFNLISVSQ -IMQNIGITCTGASKQGLMQPFKEVKNFEFIKRTFVQAGPYWIGPLHPTSFFKMLNYSKGRTHHWFREPEA -IDYNQEDMTNTVTSALEEACFHGERAFNLMKQHLFHKCKMYGIPLHPTLSYTFRDTLARKDLNFLKFIPD -SDAPEIWGFVPRQ - ->YP_009336623.1 hypothetical protein 1 [Hubei picorna-like virus 73] -MADVFNTINGVPWQRSFNHMFSKNYAQCTTFSPCDEYTSGASKYLTYVKEIEEAQVTLAKSREVVSQECT -GEIHQLDTPIDDYDLSQCLKNKTVEECVFVRTQTGIHDEQSESESSSRGRANELSQIEKDASVLTSNLNS -MLKMSTPDINTLLAAFRALCRHVKPVNLITSIAHIVYAPNLKIVTSEVYKIAELYDVTYNTLSDHVAWAK -FFWMQANFAWKLLSKLLKDTDTTPPEGIPDSESIKSFIDKISEQGDPPLKQSLGTIDEERCLVVKEMLTR -IGLPNVSKLIEPMGVIVSLLVSGAIGLYVLITGKKAGFDEQTVVQLLSQAGFHSRNLNWLKKGLVDTFVA -VRQFFYSLFGMEYVSPARGRGQDLIDKATLLLKQTGNFLVRFKHDPTILVFERSAWREMCASIKDCEALF -ADIALTNTPMGNCKALIDELKEHLHELKSFERDILSISSVKFDPITIWLYGEPGVGKSQFQKYLVKRISE -RMGRNLTTYYRSVADDFASGYEGQDVYAYDEFGTRTDGLDVAEWASITTEAATRMNMASLEDKGKAFSST -LCMICSNNKHITRCAPLQLIGNLPRRVFRLLEVKNPAFNDYVVKNKTVPGAGSKIWKEDYSHIRLVSHSP -FPEFDTEANRKPETIDSVIDDLLVEYTARLVKFKKAISEEWAVIQAKRGVLPPPLPVAEPSTSAQGLVDP -VIPQAQKQVAVLGILGVSGIGKTNLMTQIYQELGKYQVVYIHVNEIHQLTDVLKGHKKPKDCRNATLKLM -RTDRSTVIFVDDITTSRNFFEEFREFMMYVTTGTDSRIKGLVFTANDDLFDSYHSNEAQRTEFQRRMVAI -ELRFKKKNPFSRWTCKDMYNAEPAEYEKFVDIMTRSKDGIVQILRSSIVRTFLRHIEIKDLGVDTPSKKV -FSEIEDFKANLEISITADYKTFQSFLKNVTSFSNLLALRDIAYVPPLQLLEHGPLLATLFKEFRKYKFDV -ADDYVTFFEDLQNARLQAPFDTTISIETVEGIFYLSSVSSSEVKYKVLDIGFRPSGKSVVVQLDPVEAGE -KHRMKFSWTVTEAAMKADKLSALLVKEIIKQEAMKSIPAWCESLMDLVLLIAQFSVAGFSIHTLIKRAAF -TKFSWSFAENLPGAKDFVEDKFESAAPVVFKCDESVVPDVDVFEGFYKSHKGDFQRVGNRDVAYYGMYPY -NYGTVEHAPKDLPKLIEGIRTYLQIRCARDWNGVVINRFTGNWTMPMHKDDEVGITPDIVDLSVGAEAFL -QVKGIGLFPLKDRMAVMFTDGTLNRHTHGAKGRGLRYSLSFRVHEDVKTENADPNVIDMLANVAPRPVNV -PAMSAIENADVGVLDANVIAAPRPVNVPAMSSIEGVKIIPQPGKEELTKLVHLLKQGQTPLDIDVNVSEE -PEVKQFDFNALSTPVVKGVIKEALLDQGAKDVMGAVIRNYVQIVTPQQAFLNFGLMIADRYGCTIQHSEH -QAIIPGTKKDITVMLFSGQVVTARLVARSDIRDLLIFKLDMAAPQFTSILKHLPKKDGRIPLEGKTALFP -VLTMQKFGPSKYTCFVSRMRLQKYLPLEEGVPGCGQSYIGNYPSISERVCVGTSPGDCGAALILDGNSIK -YRLIGIHKAASSVDGYCADIFQDDFTPFLVQHQTAIPEMDVNVAAPARCDLVLFEKKFRIGNSLFVGRAY -PENRQPGTTKIWKSPLGPPWIKIYHEPTVLLNNDTRLPPSFVDDIRMQAIRKWNVDPATEFDVDHSLNAM -QSIIDYYVYKVKLVGKPLKVLTKTEAVNYCSDYLVSRAINRASSAGYPWSHWVKNAGKHDFFRMKSTELG -SIHEIDMSSELGCSLNAAVDRLINDCRHERVPIVVFQTALKDEVRPIERVRETPKTRGFTPAPLDYVIAH -RMYFHAAIAALREARYNAPVQVGIDPLSREWDSMMLSMLSFNTQAFDGDYGDFDAKHPSFVVMFPSVLYR -TLYAVLDPNCTSLHDTTRDYIERASRHPYIQLTSELVQFPGGIFSGKPSTTDDNSYINLFYLYYSWRVLC -VEHHQEKLITFEHFQRKVGCIIFGDDNEVHPMKDVISWYNLQTVSEVLKRDFNVVLKSSEKEDVLIPSRH -YKSCKFLKRYHRRVGDRIMGVFGEETFSKMLHWTIADKRHVYCQDENVVRYDVNTMKMTCLAALREAAPC -GGPFFRRLRGWLQNVLDRIGAEFYLPPLEYFCTELEISLHAVENLVHEELPLSVDGKLFCYE - ->YP_009337325.1 hypothetical protein 1 [Hubei picorna-like virus 77] -MALRRNNYNTFRKSLQTGWDSCMIPQNYPNGIYIPNNSDIPIKISNNPKILDPVQIVLNDQCGFCLAKDC -PCYLIQKGNAGFAHLIHLVERGFIFKPCCLYEIWRKQPKFLVALYEYKIAYSQPFLVVNNNELCILPSTC -SCPLTNHEANFLVHPVDVYESDSESDLELDDDIPLNHAVEYFTLPYSSFTDTDSLPDDFPDETYEFIISD -NAISLFNGNCPLREHSHTPVYTANEVGLFFLVQFPDISKIYLLTDTPETQHIRQCLLFGYHQIAGPYPPI -IPDAPEVRMGVPPSYFKPSDVRDDLVSIIETHYPIVMRNDENDVQYMEDHILSVFKSLKLGNTLYHLFQL -ARNDATAGTVLARLYLLFELHGFHVTDEGLITRSIAAITNAVRTILSLPCLKSLHKFFQHEDPLPLLHND -SVDDVKNFLNFTTDSDLSAPDIVKAVAAVVTAFISIAGVTLCPTNISQWMTKAGFMAKSVSFMKNGVSDL -FTLISSAFGSFTDTDLSNQNIRDLVNKTEKLHDDLVILRNHIAVNLNEVVSSPEIINRLRTKYEEIYKHY -VTMTNSGVKLNGTKNLLQTISTTLTQIEDLKHAIQNSTIGKMEPVVWWLYGGTGVGKSRAAGLITEELEK -ILGRKLTTFTRKPTEKYWSGYCGQDVVIYDDGGQNKLNLDHDEWNNIYTPQAYPLPMADLPNKGLNFTSP -FVFICSNYSLFTQSQSLVDPTILNRRRDGVWEVKYEPSEYQFMLDNPGTHDPNKVDFMEMYRMPRPSNAT -QLTIKPAQEGLHGRAIYRQLAENLMPLYRSRVKVYQDKIKSLNLSPLTTVLKNNAFDFIRSRDHSSYPCY -LLLGAPGTRKTSLAKDAGFTDTRLSDFEATSPLYDDVAETDEKFTQFISNVTHRYNTAISTTVYTANETP -FWKRFNQLDEEQRVATMRKLTLIEFQYRTKFCGLVKYNHDDLAKSGKSYEKFVTIESASKKYMYETLVKE -LVDSRETKVEYSTLTKIPTLPTFDAEFEVRLDAPLETFLDDPSRMLTHSTFVRGSYIKMITHFTPVLNAI -TNQVPKIDRGDIITALTSFNMLKVKCPNVVTVIVHDPNTSYGFNFNKKASFCFFVQADVSWRLDNGKLYQ -VIGDQSFETEDRSYVDFYTQFVLPVMEPSPADTLSPFSDLVPSNVKGIITCLKLVFEVLCVGASVYVLNH -DSKVEMANEAGSDVSGGTSFNPLRVGNHPHFNYDLPALDEITMASDYTEANRIKKPLNNDIAVDPQASDL -LENIIPKQMGHIVNAQGIHLVHCIMIHGNVGVTIGHMSEETRSTSKLFYNNKTWKIKYGDRLVARDGIKF -TIDDKTFPSVKSILPHLRPTQASRDYNSKSAVLCVPSNNRNFIHHVVRVKSAGVVTRTDMKKFTDLLYVA -GPAGYSLTPCLSTKSGDCGAPVVLLDSSDQHKFLGFHAASNDAIGQITSLFATDFALCNNVADIVVLPHQ -CVVQERAADVQGLTYFGRAKTLQGANYRAHRNVNTQFRHSPLAKDEYSVEAEPSILSHKDSRNVQNISVY -ERGVFKWGHKQPQIDEKTMDLCGDEIADFLVHTLKMHHSPDLGVLTTTEAINRCTRFQTSNPIQKQTSVG -FPWKTLPLVATKMDLLELGSDGLSRIAPTEHGQKLRTAVKDLIDNARNGKRSAVVFDVSLKDEVLPFRKI -YDVANTRTFAGAPLDYTIAHRKYFHAVFCAIQDCRHALPYKVGINTTSMEWGGLYNYLAEMSDVGFDLDY -KSFDSTIPLSVTKQPPRIYNKIYQALDPNWKPEDDIIRTNLHSVLHGPLLTFYDHIVQAPGGQVSGQPGT -TIDNTTYHLLYLLYSYKMIVRRAKQLDPQGASWLEETLEFLLRFVRMALYGDDGAYTVKKELLPYFNFET -VKDELSKLYVQCTPALKTTAGGVMKLSSMTFLKQSFVYFKTYNLIISRLDIDSVKKQIQWCRVFKAKLYD -DIKDIVEYDPLVLAQSIDSLMRNSIGHGPAFYDILRNHVTEICVTRGIPISPIPDWHTNLAICYLGSLV - ->YP_009333576.1 hypothetical protein 1 [Beihai picorna-like virus 121] -MNLDAFESFMNAIKPVSVASVLKPFFCETSLQSAAGSLIALAELWGLTWNSKEDFSLFTLATRAAMSQST -QVKEAVTKIYGTVPEKLVCQSGYTIKPDSYADTIMKELEKRGAPRSTLEKLAPMALNIVAAIVAIGAVVT -FSNCSLVKFINRFGSTCKNISFAKAGITELVSIFGTGLQSYFGIEPEDPDTAKRAEFQAKLDSLFKHATE -IQRLLAVDASKILARRDILRKFDAELASVDRFMASLATTKINTAAFKPQVDRIASFRKKISDLAERTQSA -TAGKVHPTVLWLHGASGVGKSRSAARFIDQLSRIENRPLTVYSRNPIDEFWSGYIGQDVVLYDDFNSSIN -AHDHSDLCAIYSSNAWRVKMADVADKGTPFCSKYVIICSNFHSIEKSTTLSDPSILLRRRDLVAEVHNPI -APQWVDDMSHLEFTPMALVPVNGNPVPVTTPQGHPCRPIKANLYYRTAAEIMHELATDRQAQYDASLAAA -NISTDIKLETESATEDKPFSTTMKTAHPSLVLLLGPPGSGKSHMAKTIAGQETPMDLTQRDPLDHSKIYL -ADDVTFTAQRWEDFKNWLVWIYDSNQPARVIITANTEPWDRYMKQETQDISGAILRRLQVVTFSFKYLLK -KLRLANRKDIEAKPNKYTQFVSMSHDGELASQLTIETLLDTRPPTIHQRIMEVPIVPMFEAEFIAQPPDD -VDISSFFELQSMSDALAFAGRHKMLKGSMISFINQLRRFLPSLYNQIGGAAVDDFEQIAKLINEAAVTMP -IPTMAYMLKPKPYAFISDENDRVWCVQLSTKGYHYEYVEEHDLIKCKLGDKLIYETTDSNVIALYKAYAS -IISPVVTAELQPTHSEAVEALQSSLTPTSGRMVKNILVLGKLLVTALGLYCVIAPQKEEDTMQAEGWASD -CEDDSELDEDYTPPLARPNDFFKTTPVARVMRAKTAASASSDGGHSRDAYTSTAKRRTRKKATFLEGADW -SSTGGVNRQQPRPRQPRGHTYDIDLSEVPALLESGPVPDLKPIPTESSRDPAALDVAVGIFTNQVQIYHG -DVRCVGALMLGANIGVTVNHISTFDNLTIGINGDRKRIQFIKRIPKRDIAFFEVLHCSQLFKKITHLVAA -DKTELKEASRCPGMFIEREIHGRSAHQRMFSINLRGFSTHKLSGPDQQQFEVKDISYTGQLDTLGYSPIQ -TKFGSCGAVILQCNRRSMGKIIGIHCAGSTEIGVIASFTQEDIPSINCEALDVVVRDHDQVSLTAQCGFN -DYNIKEMGILTAPSSFGPCTPYTPNKPTTTQLRPSPLSTPDLPNNVQPAIMSIHDKRNTAKVDVYRAGVM -KWAVPIRDVDVPVLKECVQEVANYYANEMRTNFITIRRLTKQQAINRDTTLPSSQPITRNTSAGWPFKTW -AGVKSKNDLLSMSYGGDSPIPIFSIKKDKQGDLLHGMIDHLITTAAGEQRPFVLFDISLKDELLPMRKIK -DPKTRTFASCPLHYTIAHRMYFHSAQAAISQLHNTAPVKVGIDPLGIDWHQLYYWMAEISTFGFDIDFKA -FDATIPYQFMQALPQFYNHLYAECGNDEQMAPTIREHLFAPLVQPYVTYGSQVIQLPGGQISGQPGTAID -NSIVNMLYLTYAWKKIVRKLSTQIRRKLNIYPTFSSMWRVVRFGVYGDDGIITVNPEILPYFNLTSFAEV -MSSIGVTVTNAAKNAEQAVIRLHDMEFLKRRFIRMNNLVISQLNKDSLAKTLHWTRVSQCKNWGSFSETI -PDFDPEVIEQSINALTLEAFSHGKAFYTKLVKNVECKLAMYNITLDNPIPSWDERKIMHFEGLAKSLPSR -ETKEVLQTQSYVVSNQVHLLHQTKMEQKSAAPPTASGGTGDGTTVASTDQAPLPISTIEAPSTTSAEAPA -HVGNVNILDPYFYEQFIPTATLTWTTAQLPGTLLWHAPIHPSRAHNILAYLSRMYNVWIGGLDYQVKIAG -TGFHAGAIAIVRLPPNIHPTSLKTPAQFGAYRYNILDPKVLQAVSTSIMDQRPLAYHYMDYDESNPNTIG -GWVAMFVLQSLNTSATGTQQIDVQIFTKAAQDFGMLQIIPPAIDFSLPTIDTFQAYNALFYNLELQTCPL -FAKGISQLGIAPADIVSSRQGLAGLAEGWDLIETTWRRLTNGKSPKMKIETADDGWDKAIITYDSETTND -MGCVWANVSQIRFATSYKSLFVIGDDNGGAGTYGTGHPGELTADGTRGVGGTITPTFAVGDYLLDFNPDL -IHFYTVDSPSQVEIKILNPGESFIYFATESTAEDDDGALQTSYVATATTEEISSLILSQTLPDIPNDSAL -LFTLVDTELNVPISYLKLYKRGYFTTNSVADSTLLDPAKYRLEYVSVVQENSIIPAPANIHLLAKKKAPA -MTGFASEKLKSDGIRFRSTRSRRTKHLGF - ->YP_009333485.1 hypothetical protein 1 [Beihai picorna-like virus 120] -MGNQTSLERCDDINTALTKVLELCQTLKPVALTQNLSLFVRADCASQRCVALAALCELYGVAWKPISEDW -MQVLQVLAGRIVMRDIELPFGLQVVVDKLFPQSDEELLVSHANPLNILDAKTVGIVAVVMAIVVMIGGST -ILSVCNSKKLSDFFRDAGNLGRTVTGLEATKNTCTHLAEKVLVDICGYELDDPVSRARKEFLDTVGEKVE -ILNCMSSSFKTDMSEFLHDPTVFKKTLQETHACTKRYQELSLKTPFPAGQAEINRLQKLQQTIVEQMESA -TTAAGIKQEPVVVWLYGESGIGKSFFADTLCEALRKYESRKVTKYTRSTTKHWDDYVGQLVVQFDDFGSS -LDDEESLQLNQIYTDASYSVPMAAVEHKGRQFSSKYVVICSNFPHIGKSSVLADPTILLRRRDFLIECTD -PQYKKDLNYSHRKDGWEPKLTRKNPIPQQNGKQGKDNSLLTGEYVDHTDPLPDAARLARAMFELQEKKEA -VFFKKIGKTELDTRTSVKQMLERAEERDRFRRKNVNIDDFRDAEETGTKDVHYTEKDCGAQGHCMLKCIA -YALAKPYWEVLSVLSSADESYQVIQDTIKIEPEFMTSWKRYLKDKQWNSVAADHIPRIAASILGCHIVVD -QDYRHDVFGEKGDYIVIRKKGNHYVCLEPNIASHAPPIVTTSSKEFKTIVLKGAAGSGKTSFLTQIGFEV -QNADYDIKEKYKVCLNDVTVSQERFNKAMELVFLQYDEPQCEVLAITANEPQFTNMLRSYHNQAVWRRLE -EFEFIFRRKIPWMTSYTYKDMENLKDSGRAHSEIVWIKHEDKPVTFEFLQRKIQKAGEKVVVKFFHQALI -SGETTTDFRINLKFVNIGNTLKDKPGVDAIKDTIMRAKARDLVKASRLYPLLQKIDFKEMQMAETVEELV -LSFNNLKVKCDVPVTILDYEDISLVLTTVDEVAMIYKLGESSFVFNDDTWYHKTEDGQMEQVSREMHVYL -CAMKGYIASDSAAPPPGPHSYGLDIVSSVTACLINLGQILAVFGLTYCAAQTGKRTDLVTLTVPPKPTEP -PEGGIIAESWADEALLDEEDYYDDDNVPEWAKPDQKQGGKMNNLRRGMWVYDDIRKRWVWIWLEAMHRRP -IAYETNPKHETRLVDLEGKVDLLEQIARRIPNPPPLPSENQTMIRLWERGVTSGPIRIEHKPRKFVPDKS -SPPQEKIFQKDMFEGPELTSEACADANLLNIVQSVTGNIVDLGLCKGLLLSGDIGVTVAHPFEMGEEFNV -EWKGQNMPAKVIKRMTKKDVCFFQKKGGNKARNIITHLPKRGAAPLMSQIEALLQIYRPQKDKTPYYFFH -HMRVRTTKTMAVSGLDHEVEGVTYEPKSSGFQCQDMLTQVGDCGSIVYAVRANEYGRIMGIHCAGNTGIG -FFARVMQEDIPEPQPEKDLLSHMLVFDEPDFKCTMFQGKQDEFSNMFPVQVHYDIDGEYRTDRTFSSSEH -AYQYTKCIKHDKFRQAQQIMQNPDPFFAKRTARFEGSEEWESEKRHILKDIARKKFEQNEFLKQMLTESV -GPIYECVAGNYFWSTGMNKNQLHAALRQGHTYPGENQMGRILEELRDEFQDVRSTKVKKDYHIAVRDHLL -MHSVREVGNFDVVGIPLKDVTSHDPFCNVQPGKTQFARSPFWMKGVHTHMYQPSVLHHKDARCDNQNPLM -LSMEKFLVDTTWNPDLELMSAICMSIADEIEYYLKTYNVPLRPLTVTEVINRLSCLRTSNPLVRQTSSGW -PFCKLPGIKGKDLLFKEVFQNSGSHFCPTADGQRAVAHMNGWENSMALGHDVPCVFMASLKDEIVKLKNI -EICKTRTFLGAPVQYSMLYRRWLHAIDAGIALVREEIPVKIGINPSSTEWNSLFEYLTEYGDVGFMADYS -RFDRRVDPSMFQYMAEIYLQIMMEFPKIDTPEHDREYRRNVVNGLMRCLQSPLVMVGNKIVRLPRGNPSG -QPGTAVHNSIFNWAYTVYAWTRICQISKAPLNWHGLSGFKNYVKLAVYGDDMVCCVHPDAQQIFSYKTFR -KIIEEELGQTLTTSEKIARDGFDVLENMDFLKRHFVKKDAYVQGPLKREVFEKMLAWDRTPKRRKHDPEG -PIDFDPTTIAGTARVAMLEASLVEDQTYAKECGLSTCESDFFERLKQHLNTRCARHGIPYVCNERKKDVY -QNTYFGGN - ->YP_009333461.1 hypothetical protein 1 [Beihai sipunculid worm virus 5] -MGNQLIKPLNCVETPSDYIQDEYKRKIACGTDAVNEWCKTVFSGLDTIKIINLFSCLTNVFSTVSTKKER -ACAIIQYMESVGILWGENSFLIQAIEWMVSAMMYFKGCEPAAFEQILASTGAPSPNPSQSESSSVTEFDV -RNSSSKRSTSTVDTSSFEEVKELIKHASPEAVKAASDQLQKVTDKMQQSTEDLTEEEKFQFLQTEFNKVI -KQLPLHTQGPDPIAEPEEARGVLKMLGFKEVTLCPLAHIIISVAVAILAIVGGGAMMNWSTKQGKFTQWL -TSLALFAKSIDWLKSGFKTFRGLITSFLVDVIGFTVADPEDDSRKAFLKDLHQCTIECEEYATKAENNCS -IFFENAQKYAQILAWAEDMDKSFSTRNQDGHLKDHVGTLRRLVTAKERLKTFMRKMQDTCGQKVQPATIY -IYGPAGHGKSELATAIAEALGEHHGRAMSTYTRQPGEEYWDNYNGQDVCIYDDYGQAQEDKDTFELFKIY -TPAAYIVNKAALPEKGVMFSSKYLILCSNESHVQVSETTKNLDARMRRRDILLNVIDPRYEAGGENAHRV -MFKFDHLQYTEYETTHDHEGNYRKIAEGKVSVENLAQRLYRIYCKNKAKFEMTLRKFNKRGNAVNFELKP -GEIVNKMEPQPSETTSEVGYVKPDGPPTQDKIKVEEKIEELTEEQLADVEELVSKYSAKQFDDETVAAEL -QREQDEMDEMHGQNMGVLAEWPGDSEARQCVKDNVEWCHKKDIHYWTPLLIAELKDYFDDAEMMTAQCTH -CSKHAPISAIFCHGCGTPITGFVPHKNETGGSSFITYDCYNTSTQLNQEQIQGLAQVHKVKFLTKVSKEA -PVRCSCRAYGWKSQKTCWMCEDPYGDQQMRTGYYKPIALLGESHTGKTYTARECEFEILTPLDAIKEWEN -HKLGDTPRKICVNDFTIGSSTISAVTKLVQEVYDHPKEDFQLLLTGNWSNLVAYWRSKADDSQALFLNRV -DMYIFKFRRRWNGLKHTYQSFLREPQHKVVSITKYQGGEAIALWDVKRAIAENAMFYREHDVRGEYEYFF -HEPECIVRLDVTFDGMKKMGTNMLTYLMRAKSRGKVPYRELHRFLMSLGSVDTDKNSLTIEDQIARFNSM -KLDFELPYTVLELKDGNYLFGKHKGYLAISILGDEYDTMSVEGSTAARDLRKKKVDERVALENNKQPSED -PLEKFVRNVTKTFEPLGDNSILAWKLTDAVLKIFHIAAGIYGMVAVVQIESERRVERNFLARFGNPERSP -IFAYETAVQEQIEEDGETEDSDSDEPGGPLAPEGWGDDMDVVSMDEEDLEIDECYVLDSKSTKSRTHTTE -RSSSGYPDKHSPPTLRLEGKTQTKKNKKFFDAYEGAMSDMADYLCLESKPNRGGGKTQSKRSGKVKLQAR -AATLPEKERALRKVKGMHDRLSNLYPEEVTFEGEKYPSGEHLYQSMKCKELKKTEWLSEIEDLDSPMEVK -KLSSKWSLTKEQRAQWRKVRKARMRQVMECRLQQQPSFSSELLATNTAQLIHNVADTYWGTGRDGKGMNV -YGKMLMTLRQEFQTVTTQGSMDPNVCNIVPKIMKNIVVVGGTLGVMLNNTQGITVQHSFPYPRDELLLSF -QNGVQVLAKVAKRHPTKDFLMFEIPEGSGAFRKITHLVWEEGRIEDPTNKEAVLCIRRNHINYAVLMQLR -ADKELQVVHRGTSTRKTGISYQTRMKSLSSPSMLTQTGDCGAPLILVDPSNTKKFCGIHTAANSYVGMST -PLTQADLHILGVSGLTSDVTVGEADQGAKGVKPAMEGRSQVETSSVVVTSQGPVEPDLTLLHHQKVQLHE -SPRTHRGFQQVGETVNEKGQVEKQHMSPRTELYLSPLTTTEIGEEFEPAILSRDDFRNPDNVDIYWSSVE -KWNNKQPNCDIELVDRCFDTVAEWLADVVNNNQIPAVRKLTMKEAVNRVTAIPTSNPVYSKSSAGFPWKN -EPHVKGKLPYFVKIKEKDAYIYKVDDKGPHGQKLMAAVQTLKRACSKKQKTCVCFQASLKDEARPKKKVR -ALKTRSFAGAPLDFTLLHRQYLHAAVAALSACRHDLPIKVGINGSNIEWDQLWKYLAKTGTMGFDGDMKN -YDAHIPLCFFERLHRVYNRIYQENDPNWDKDDDIIREGILLHLCRPLLIVGEKVLMCPGGNVSGQPATAI -DNCIFNIVYYFYTWMRLAQEHDPGKASFHDFRHYVSFAVYGDDNVCTVHPDVRDWFNFATYKAILETELN -QEITAADKTETVIPLRPLHQLTFLKRGFKRVGPYVVGPLEDNCFAKMLQFTTMPRRHRWTENESIRFDPT -TIRESMRSIILESSLKGKKFFEWIKQHLRKQCRKYNIEFDFPGTWVDTFKSTYFDDRS - ->YP_009333392.1 hypothetical protein 1 [Beihai picorna-like virus 119] -MALPPEYKPCTINRTDHTRFRTMLDHPEDFFQDCLPEPLQPLPLSFVRGATQRVAPHSSKFTKMLPKQFK -QILKTTCNERTGRTIHLVGCIYQVPHHVLTGQTLQPEDIPNLCPDHDSIQYLYTRNGRLMRCYHTNSKRN -IARYYWDRFDTFTDQAQQIFIHREDEGYHSAEYNRWLELEALQDESLSVAKLARPERINTDALLLKPQQF -GAHPFEVDSLMIRCVSNWKADTPSDGLQDHFDCHFHVMRELNWKAQQIADEDTLRRHHVINITDRAEWFD -HFDEDHPPRALVKQYPQHVTTHDKDNELIALFPSICLSFDLDWDYGENNYGMMDRSRTGAMCGYFLPPSE -DCDDASYWEQRRNIRTNCSGFANVERGILRVAGEIMDYFRDDPEFYAELGDTRDFILNKILVGWSRPDVK -HYSTSDFRRDFQRQIDGRSPQDQPPIQQTYDKVSRTFVNEIKSCELQNWNVWKRIKQLRVQVRDQNCAPA -LYLRWTNTIFLEMQRRMYSFVTEDSCQVNRENQDADVGQRVPADMPIQQAGNWTQQVGAQGRFWIDDEGN -TTTEDPDQNQITLDLGKLNISDIFGAIAQHANPLTFALEGASQGLDKAFELLQFLKPVNTTEHVMKIIRA -PTWSEKIAGAIRLAETHGILWETKLLQKVFQHAYRALNSAVSSCGLEIPEEGLPKQQAGVNDDDKTWTSS -MLGFECPTLSPVAFAAVTIGCSIAAMLGLTIVTRGGDSQGFLSKWGKDFGLLARGITSIGALFMQIYTGV -KWFLTSVCGFKIDDPQERNRERLISALTELRLKVSHIVSDLNANMQDFLLNPGVYTEITRSADKLYAEIL -EEHQRKNFNSHMKLATEMWEEAKKLKKLICTAQTQCGFKQTPACIWIYGKTGCGKTNFCKYLAKRLQQRS -GRLLLQYQRKATNKHWDGYQGQPIVIFDDIGSKIGQDDLGDFASIMDAGAWITPQADLQDKGKPFCSTIV -VCTSNFPTIDAKELLRDPEITMRRRHIVVEMVDKTWTKETAGDVRRDIEDFQEVEFHLKNPMAVTKGRPR -NFYDQSGDDSCVVEAEWLVEEVLKIEARERAEFQKTTQDQAGFAELRSTTPHATKTPKFYPRKPTPQPKE -ALEDSDDDDFDYDPALLTWNPSSTKFSEQKQEIERHIRVLSTCIRQMEKALSDPDLELERDDTPIDDLLE -ARDRWRKELLVDPKKQAKKEKMKKMFKKAKSPKVRTQENPEPESFYKPWWNKRPQDLGDEKEKALVIPTV -ATIVKQVAKLNETLKEPKSESTLPPSWVAPTRGDGRCLLHAVQPFTGMSVQELCDKIIAFTANEDTLTGL -FDMDPEEIAGIMGSQAWRQEIADWILTGNFHHEAATRAGTLLGKLFGIPIAYIKWSDLHQRYVVQGAAGD -HSIPVFYACQHFSTWLGGELPKQQGGNVEMTSRKIPVFLGPAGTGKTHLATKFSPDKIAPSELRPEFING -KTLHISDFTSTAENFEAFRAFVMEYYDKSTTEGVVATGNGTTFEKRLSDLVEEDQDLAEAFLRRLEIYDF -KFKNKFLGWSSYTRDDVDALGGDSEKITTLVSIHKRSTLEAMTQEECLSEVFEAKARNTQVYINTSLLRL -NPASYTHIVQVDMTLRTLLERPLSSWAAEIPQVIAGTSRLGIRLIKGCFTDKGVMHLFTSLTGKHYNRMG -TVEKYLQTINNSRIKSVAPCSLKIICRDTTLVAVTDDDLFIHIGMLGEMIYYKRDGVGYRTDGDGFEEEV -DPDFFDFLCTLKGVVCDNDQVPEPAEVPSGGPDEVPPSDEAQFMNPWLRSLFTLGNIFVKLLSVFGAFWA -ISDSVNNTGKEKKRQERATLEQIQIPDEEQPGPREARSTKNNRRAMNESPTGGVTPEERDSFLLEANAYD -IPNNKKDEEVVLEKKKGRNKKAKTPEESPGKGQHDWRMPNRFRLEEFEELSEELEDLSDDIQAEATKDPQ -CDALIDLLPKKMVRVLGGQNNTFAYGIHYRGRCVVTVKHLGKGPFWIQPIQGGDKWKARSVFQSQKKDFQ -ILEMEEKAPQGKDITGHFRARGLTGSVEHQHGVLMIPNRINHGSVNCWNVTLEKEQLVSCLDANDSVQTT -LGAHYKVAAGGIASPNCTRPGDCGAPVLLKDPKSPKKLLGIHTAGNQSVSQMCFITQEDLIQNVMPKEKI -ESLPYQQCVFDPDCEAPNEEKAILIDSTGEQIKMHRPKKTSFWRSPLEWKERLKYYFEPSVLDAKDPRME -KPVDPMKQGLEKWIVPPPRVHLASVEAIAEEVADYLAYNVQKRGIKTKVFSKKEAINRNTAYVDSNPLYR -RSSAGFPWSTEPGVRQKDPYIVFNESEGIHEINMEHALGRKLNASIDQLVAAAVSGKRTATVFQGSLKDE -PLKKEKIKIGKTRSFAASPLDYTIAVRQYFGAATAALKTTRDLHPIKVGINPHSREWDLLAKSLKKKGPY -CFDYDVKRWDSSLPRVFIEQVPTIWNRIYQKTDPFWKPSDDVIRTNLHKAIHGPLIANGSSVLQMPGGMA -SGYPCTVEENSITHLMMVVYLWKMLCMLYNKPKDWGTLRGFLKRVSPVIYGDDYLNNVSPNVRHMWNFST -VSKAFKHLLRYEVCSPDKESDERRITKSLEQCTFLHRRFVQHEDLYLGPLTDDSIEKMLSLTQRRVRHVW -TDEDQTISYDMETIQATMYSFMREAVLQGKPFFQSAQHHLERVSREYEIPIPPMESFEQQFLELIDRSPI -R - ->YP_009330034.1 hypothetical protein 1 [Hubei myriapoda virus 2] -MASESAFGAGVAPLSDKLAIVNPQDIAELLNRVKPLNLLDRIFRIAHASSMAEASAQVVLLIEQYGMCWN -TVEMLGIKMSSLIAVCTIAYKYLLSFFQTPAQDQSDFGNQPQSFVMSESTFWSEIKNFFSELFGEEGVGV -IGVMAPLAACASAIGVLLSVFNFGTGFVNAKKDCFLKRIKETGDFLRSFSYVTTGASGLFQGFMNSILSI -FGITWVPEAHKEAKELIERAKTLLTRVKNVQHKISLEPHYIMRNRSGMNALNAAVSDVENVFKDLASLKV -PLGNARELLLQLREHHKVLQQKQTCVYKTAAIKCDPVCLWLWGIPGIGKSKFKDHVIRVLSHLHGRPLTT -YSRTSTDEYWSGYCGQDVVVYDEFGNHSEDIDHDELLRMRTSAAYLLNVADVESKGTPFSSIYVIICCNA -RSPNSSSRMKDVSPLMRRRDFLIQVQNPGLDQWMLDNRGFRPNENSDLWKDDYSHLRLVRQDPEYDINKS -RSRSAFDDFPITPTQLVGAMFQLYEIREMAYEKELGAIVGHLLAEKHPHHIGPIEDTNILKATNDELASV -WNDSFCVSRTSLDQLQKAVKTGLTVSCPSAIELGQSIVDIGFKELESAVGSNASGILDASLAAVDAAISD -LQNNLEGVFSDFSETDEGRVGQVIDTWFDAPEVQLRTTVQQVRIVLLDGAAGTGKTTVSRTICNLMKQRL -YQDCDWVTGDSRLDQVEIQHKTLVMNDLTSGPDDIVRDNLRYLIRASDNAVPYDFLIITANEFQNAVLRL -IPEKEQQSAILRRITSWYSFKFVPKYKLKVLGMEVFNTSRFWNYSLADVQNVPLGTPVPDFHDIVQVCNA -RDGALIDLRNLPEMIFHMASFPPAVTTYVSDCTLPEVDRVLVPNYTIHCRVRSQEFMRVLNGFKLGDSYR -DAMNLLLNLFSLEKITIADAYQIFWPIAKQMMSYDLNASCCFDIEYFLHAINRRQLRTTSTKLGLFTIDG -RMYYITSTSESHLKFVRGPLVSSNGSGQAFLSHVRPRLRAALNGVAQSCRTLPLTEKSDIELAVMMGWIN -LPGAARDLINWGLLATSLIAGSLCLRQTYTDAKLLHATKELVSDLKTNEHSMDGEFIDDSIEDHLMNLIG -IEDQKVFENPDYSKLMRMQRPRIGKFTRGTVRIPGIRKEVQPEVETVNSELIESGPPLDFAERLEKAIDL -KSKEQNPPNNGRRRKIRALARSDPDDQRVVVECRRDLESGDYVAPEKIVEKLKNFFDQYYQLLPGVSMSI -PVDLYSVKESLDVRPVSHEAEAVPFPGSIEIAKVVSRNIVQVLRGHERRVACWAIMIEGTVGCTVLHAWI -EKGPMWIRFHVNGQSTDQEILQIDSDPARDLVIFQVKGVAHRQFRSMLRYIPSSETSIFRNNLTGFMVTP -MSLAYPTMDFIWKALRFQELRPAGNFKPLDQGWLYKTGSVSLSLDTPILTTAGHCGSPLFTLSTDTYPFV -GFHKSANQLTACGADIYREDFEPFLENKPQSLGPQLEIMDHLSIKLYPRDKILKVQNVSIVGTSAFTNSF -TNKTSFWNSPLAISLGEDDVKMEPAILNTSDPRLYDDDGNMKRDIVLSELLKWNQPVLRNFDGRVLLNVM -RGIISHVTELAYDSDYTTRIMTKIEALNGTCDFDVSNPIERSTSPGCPWKYVVNGTGKMPFLTFVKDKNG -AEFWKIDERKHAGRLLSHSIDKIIDICKRGNVPAVVFETCMKDEPRPLKRIYEEPKTRIFTPAPFDYCIA -HRMYFHQGVALLRQIRDCHPVKVGIVPQSTEWNDMVQELLAMNPDKCFCPDYKNFDATHPRILVMFASIL -YRSVMKATAYRRPGEGYNRFKERIEREDDIRQKLMFADSRPFVHYREYLLQFEGGIFSGKPTTTDDNSWI -NLAYLAYAFLVLAEEHAPQLATYGGFRKYVACVVFGDDAQVHPHPTVSDWYNLQTVHQVLKRDFGVVILG -NNKDPKNIPALIPFSESEFLKRKHKLVDDQYIGALGMTSFLKMLNWTQGTRHVYCKLQHLGEHDVIDYDV -REYAANLEALENTCRSALDEAILHGYKFYDKILKHVKEKMKDLGVRCIFPSAEEKRLERELPLGLSLL - ->YP_009329972.1 hypothetical protein 1 [Hubei myriapoda virus 3] -MSIIAIFVAKYVYHFFISLLGGNFYRLLFRRIPFIQSWENIKLLVAFYGLIFFLFFPLTFFCGTFYSIII -TGIFIYNSSFAIRCLIWVAVNIFGCKLESLPSIREINRYNQVKVPTYSSPGILTDNAKKQIANPFEIEQK -EEKDLLSIMSKLKIMNSFDLVLDFIYSTCIQDSISALFRFSELHGFFWTTIETKINQIQTLKLSIYNIYL -FLTDQSLQELYLSEIGTQNQQSIKKTQIQNLPNFHEYAGMYKKKGNQVIVALESEDSLVATIRDTFGITD -PKFTVIGASMLAFVTVLITFVFGKEFFSSSKKDKTLTTIVNEIGTFSKNCTSSAALFSTFGLTIFNAGLA -LLGAQWIPKNDSEMDSFIKEINTATDKIDKYLMDAKVIPTIFFSNPNLMEDCEKAFNDCSKLHRKMLKHK -QLKNAKPLFDRMQEQFKELKSHRDLVMNSSNGKQEPTVIWIAGPHMQGKSQVVNTIVDKLSELHQRKLTT -YTRSFDKYWTGYCFQDVVIYDEFMKTHGVDGRPDAMELQEFFTCNSTALNLAAVEEKGRKFASKYIIICS -NLLDPIQQHNLVSITALFRRRDILLICGNSEFDKFVNDFGYTPTYSNLAYLQDTTGIDYGARIYPPDCST -INLTSIAPEVESRNQYNHLHNLQVTVDEVAKEAFRHQCKKAKIYHDTLCKNFGQEFEYKQEGTKIDMNKL -YFKSRHPSPLLQSQSMRTWLEEMHDLVNKVKAGAENDKAKQREILQAKPIVQQPFVIYNNSIMVCSDNSD -SSSISSDESYQNLILDRQLENVKTNQAIIKGGHNILNVWIKDQDQEDFLNDLEYHLKKGFNYTVTYDLAT -PGNFLIIDDNSSKVFIPRLKNGEFKDQFKCILNCKNYNAPLATVPENDFYYHDKKFFIGPLATEGYSMTK -HIVYRFKLQGIDFDAMKQTLYTLPPKNIKSKIFINLPNKDILKLLHQGDFSFLRTHSSTEGIGYLALDGI -FSIFNPYITNLRFQRNSIEQQLALFNELAITYTGESLLFSTQNGNFLLTSYHRLAFFAKVDVDCCEENEL -INDLDLTGMDPTAFMESVQELKKEMYILRGWNMLTPEWKERLEMFQSLLLLLGSFLVLSHSFSCARAKYH -DWKCKEHQKEKSKENADRQKKQKEKPKSTSRDLTLELFEENDAFRQKTRKDKNVKKNLDLEFSEENDGFR -QKTRKDKGQKKTLDLENDGRAVNYRKKTTPKKDLSLETVELSAMKEDFHRPDDMRFEEALHGSLLEKIPE -SFSNVNSQIASEVVRDNIVDIRDEDDGFVCSAVMLEDVLGVTVLHAKKMEDLERDLWVCYNISGRIFTSP -LVEVARDTKRDLLFFQIEKSKRQFRNITHLIPSNVPEQPKIEQDALLIRKQRYTHEQCETKIFDILAMKV -TEFVPTSDDPDCGFQYRALLNGQLQALLPIATKPGDCGSPLIAVNSDSIKPLIGIHKRASAIAASCAVFC -MEDILTCRRKLNKTNPQALKPQKGCNVQFLDYDPKDIQNFGAAVVAKFDQKTHLPIKTQYHRSILHPPWH -EHTFAPTILSDLDPRLTDDDGIPKCEIIRPEIFKWKRVFDYGNMDKDLMKKTIEDVTERIVDVAKASGKK -TRVLTKSEALNGCSLYEVSNPIDKHSSVGIPLKNLFTQSRGKYHYLKFNEQKQFWSIDMEKECGKVLNNL -CDQLIDTYRRGDNPVLIYEVCPKDEVRKLKKIYDTPQTRIFTPGPFQHSITYRMYFHAAVALVRECRLKL -PMKVGMNPFGDEVDYMVRKAISKGTNCFDGDFSHYDASHPLFLVRSAMNVFHRVAVETTERDPRLTPELF -AKQLEDERIIREGIMRADLQPFISIDGHLVQFSGGVFSGQPATTDLNGILNFMYIYYAWLNIMKDQPELQ -NMAAFLHHCEFLCFGDDNILFTSDKILKKFNLQTVHDVLEKHLDVILLGSSKDKNNIEKFHHFTEAEFLK -RKFVLKGDKFTMPLVDESIVKMLEWTTGPKHIYATRKLIRPPKQNKIIQIPNIQLQSDYLLEQDLQRLRD -WVDDQQGGFKREGSRKVMYFGSEPYEYNGGQHQPKRIPKIFRDLFHFDFNGVLINYYPDTSSGIPWHRDN -EELEEGIKIFTLTQSHLCTLQYKYENRMYEIPTQENQLIHDFSETLNTCFHRTLSKGLRISISFRNHIPY -TWEEHLDDTPHIDYPVLVQTAQTCLVELVLHGREKYNKYREWFKQVLPQNTTELLTYEDQLKQINVNWRP -HF - diff --git a/seq/clusters_seq/cluster_322 b/seq/clusters_seq/cluster_322 deleted file mode 100644 index 7990f0f..0000000 --- a/seq/clusters_seq/cluster_322 +++ /dev/null @@ -1,114 +0,0 @@ ->YP_009507721.1 VP7 [Orungo virus] -MDAIAARALSVIQDCISVGDGRINLDPGVMERLGIAINRYNGLTNNVVTIRPGTQDERNAMFFMCLDFAL -AALNIQIGVISREYTQALATIGVLATGEIPYSVAAMDTIVRISGTMATWGPTEYELPPYARSQMIQMSGR -YYVPAGANSHAAHVDPTTVEISLAAGVVVNVTNALQPRGVAPTMMYMVWHPLAIFANPQGATAASPQNMT -LVVGGLNIAAGTIIAWDTVAPIQLSNQGGQEGMIAIRILWMTTLDKSMTSLPEMREIISRCYSFMHPSWH -ALRGTVLHSLNLPTNNPPMFAPATRQEALAYLILANLADAYTSLRPDFTIQGMLAVPQVIDRQFVQGAYR - ->YP_009507712.1 VP7 [Lebombo virus] -MDAIAARAVSIIMDCTSLGDGRINLDPGVMERLGIAINRYNGLTQNQVTIRPGTIEDRNAMFFMCVDVAL -AALNIQIGNLSPEYTQALTTIGVLATGEIPFSVQAMDSIVRITGTMATWGPTRITIPPFARAPEMQQSGR -YFVPAGNNHAAYVNPWTVEVSLNGGTAAVITPALQPRGIIATVMYLVWHPIAVYAVAQGATARTQQGVTL -TVGGVNIAAGTIFAWDTVAPINVANPGARNGMISVRVLWYTSLDKTLQSLPDVEATLARCYSFQTPAWHA -LRGTILHHINLPPNNPPMFAPNTRNDMLAYLFMSLLADAYTALRPQFTVPGMLAAPAVLDRAFAQGAYR - ->YP_009507702.1 VP7 [Eubenangee virus] -MDAIVARALTVLKACITLQEPRVTAEGTVMEVLGIAVNRYNGMTQNAVTMRPVSQTDRNAMFFMCLDMVL -SALNINVGNISNDYQQNQGTIAVLATPEIPYSVEAANEVTRLSTEAMTWGPDRQTEGPYTEVGLVVQPGR -YHQAANANVTCSYVDSKILQVSLAAGAQRDIQRALLPQNVEAVMVYFVWRRYEIFSMPNGASQESPANML -LRVGGIEMRMGRVVAWNGRAAVTVVNNGQREGMIQIEVLWHSSLTKTLNQAPGFGAQLFSVYAYRNAIWT -ALRTSILNRTTLPNIVPPIYPPSDKAEIMTIILLARLGDLFSVLNPDFTIHGAAAPGGPVDRAQALGAYR - ->YP_009507691.1 VP7 [Equine encephalosis virus] -MDAIAARALSVVKACVTAQDPRATMAPQVLEILGLGINRYNAITGSRVTMRPGTVPERNDMMFMCIDMVC -AALNIQLGNVSPDYTQKLETIGVLATSEIPYTDEAINAIVRVTGETQTWGPVDSPMPPYLGAVAVEAPGH -YFMPLGRQTHSAYTDSNTIQVSLTPNSAAQVNGAMTPQGVELVQLFFVWRPFAMYTSQAGAAVAQPPGVT -LSLGGVNMPEGRILIWDGVAPVVVQNPGNAPAMAQIEVVRFTQLLHSYETVPETRENMARCYSFLSVTWH -TLRSAILRSLGMLPVHQSAYPPTNRYEYLAYLLIAALADAYDALRPNFDMFNQPNVPLQPTRAQIAALYR - ->YP_009507737.1 VP7 [Warrego virus] -MDAIVSRALSVIRACTTLQEVRIAMEAGVLETLGIAINRYNAINQTSVTIRPITLADRNAMFFMCIDMAL -ASLNINVGRISPDYTQRLATIGVLATQEIPYTVWAANEVTRAVGVSQTWGPERQPHGLYTNMARCYAPGR -FFLRQNQNVTAVVVSSNIMQVSMNAQAQGDIQDDLVPNNIEPVRVYFVWRRIEVFAGVNGASTNSPNGMG -VQVNGLGIRAGMLTAWDGRQPVRVLNPGQGQGMIQIEIVYYTSLEKTIFQVPRMAADIFNIYCFRNPLWN -GLRRAILGRTTLPPDQPPMFAPTERVDVLAISLFSALADAFEALQPDFQVFGVIPAAGPLTRAVAQAAYQ - ->YP_009345885.1 VP7 [Orbivirus SX-2017a] -MDAIAARALTVMRACVTMQEPRATLQAEVMETLGIVTTRYNGITLRGVVMRPASQEQRNDMFFMCLDMAL -AAANINLGIVSPHYVQHLGTLSVLAEAQIPFSVDSASKVARITGETATWGPDRQLNGFFMETADVNQPGR -YFQRGGGGITTAVVNSTTIQVSLAGNARGDIQGAFQGRGDPVMIYFVWRRLATFASVQGNSQNSPQGMTL -SVGGVEMRPGRVVAWNGMNPITVHNPAGAQGMISIEVVWYISLDKTLDQTSTLHSDMYNVYSYRDPTWHG -LRAAILNRTTLPNVIPPIYPPSDRDDVMIITLLSALADVYTVLQPQFELFGVAPIQGPINRAIARAAYQ - ->YP_008719923.1 inner capsid protein [Changuinola virus] -MDAIASRALSVLKACSTLIEARTSMESGVLEILGIAINRYNGLTGRAVSMRPVSQDDRNQMFFMCLDMVL -AALNLNIGNISPDYIQNHATIGALATLEIPYTVEASNLIVRISGESQTWGPWRQPVGYFANVGAVHPHGK -FHLPVGRRVDVKFVSSTIAQVSMDAGAAGDIHVPLVQAAQNATMVYFVWRRFDVFSDVVGNSVNSPAAMT -LTVQNAQMVNGHIVAWNTRDHIRVNNPGNQNGMIEIEVLWYTSLDKTLDQCPNMQSEMFNMYSYKNSLWH -GLRAAICAPTTLPNILPPIYPPTARAEVLAVILISKLGDLFDVLRPQFEMFGVVPQAGPITRAIAQAAYQ - ->YP_008658420.1 major core [Wallal virus] -MDATVTRALAILDAIITSHEPRVNSEAGVLEVLGIAINRYNGMTGRAVSLRPVTQEDRNNSFFMCLDVVL -SALNINIGQISNDYNQNLQTIAVLASIEIPYTTKAATTVAQITGEAATWGPDRQPNGMFALTENVIQEGR -YFQNQNAQITVAYVDSRKAQVSLAANSAGNIHAVLVPPGTEIVMAYFIWRRYGVFSDVNGAAVASPPGLA -LTIDQVPMRPGFIVAWNGQAPIGVANNANQIGMIQIESLWHTSLDKTLNQVPTLGAQIFNAYAYRSPTWH -ALRTSIFNQTTLPNLLPPIHPPSDRNELMTIVLVSKLADVYTALRPQFHVMGVQAAAGPVTRANIRAAYR - ->YP_003240114.1 VP7 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MDTIAARALTVIKACNTLKEVRIVVESNVLEILGIAVNRYNGLTLRSVTMRPTSQEQRNEMFYMCLDMIL -AAANLNVGNISPDYIQNLATIGVLATPEIPYTMESANEIARMSGETGTWGPDRQPFGYFLAAPEVTQHGR -FRQRVGQNVTTSIVSSTLAQVSMNAGARGDIQALFQNQNDPVMIYFVWRRIGTFSNAAGNAQDTPQGVTL -NVGGVNMRAGVIIAYDGQAPVNVNNPGLGQGMIEIEVIYYLSLDKTMTQYPSLQAHIFNVYSYKNPLWHG -LRAAILNRTTLPNNIPPIYPPHDRENVLLIILLSALADAFSVLAPDFNLFGVVPIQGPINRAVAQNAYV - ->YP_052949.1 VP7 [St Croix River virus] -MSDSRAYAIEILDLFADQVPPLPGGDSRALSRARAFLRNPIVVRNYPNLDPLGNDATVRRNLFFVCLDVA -MLALDINEFKVRIESHRVARELAALLGPTGADPQPTAVQIAESFTDKGRTTYAVGQDGPVYIEGAYSPSP -FTYGPRYVQDGNFVNVVGPVRDGAAPPEPCFLVANSPGRVTVILNGGPNSLALEHIFRTILRRGSLFTAR -WYANSCFIGENGAPIITNGVPSLTSGGGGTNIPAYFPFTFSKRSTFTARVRGGGAWPVAAITIEFLSFYS -IGHFGDPYPGFSTDLQDFYTYTNPVWHALRKQLSSAMDLSMYVATKYDDFTRHQMLALALFGRLSRIFRR -LDPDLRVLPLDNGDGEAEAYAAEAAVEEA - ->YP_052933.1 inner capsid (core) protein VP7 [Palyam virus] -MDAIAARALSVIEACTTLVDSRVSMDPGVMELLGIALNRYNAMSLRGVTMRPTTQQERNDMFFMCVDMTI -AALGIQIGNISQTYRPSMATIGALATSEIPYTTSAMTRVVRITGMLNTYTPSRMYLPPYIAARDMQAPGR -YYVPAGRSRSAVTSSNTIETSIQQGTIVQMGGTLAPRRGDAMMMYFIWQPIRVFSGANGVTQESGAGITV -TVDGVEIAAGNIAVWDTVAPIVVTNPSNRDSMVRFEVLWYTTFDRTPTLVPETYEMMNRCYSYISPQWHA -LRATLCMRVGLPAMHPPIFAPGDRETLMALLLYSALADACDALKPDFDMIGVAGVAPQNRAGVAQAYR - ->YP_052967.1 VP7 [Bluetongue virus] -MDTIAARALTVMRACATLQEARIVLEANVMEILGIAINRYNGLTLRGVTMRPTSLAQRNEMFFMCLDMML -SAAGINVGPISPDYTQHMATIGVLATPEIPFTTEAANEIARVTGETSTWGPARQPYGFFLETEETFQPGR -WFMRAAQAVTAVVCGPDMIQVSLNAGARGDVQQIFQGRNDPMMIYLVWRRIENFAMAQGNSQQTQAGVTV -SVGGVDMRAGRIIAWDGQAALHVHNPTQQNAMVQIQVVFYISMDKTLNQYPALTAEIFNVYSFRDHTWHG -LRTAILNRTTLPNMLPPIFPPNDRDSILTLLLLSTLADVYTVLRPEFAIHGVNPMPGPLTRAIARAAYV - ->YP_052956.1 VP7 protein [African horse sickness virus] -MDAIAARALSVVRACVTVTDARVSLDPGVMETLGIAINRYNGLTNHSVSMRPQTQAERNEMFFMCTDMVL -AALNVQIGNISPDYDQALATVGALATTEIPYNVQAMNDIVRITGQMQTFGPSKVQTGPYAGAVEVQQSGR -YYVPQGRTRGGYINSNIAEVCMDAGAAGQVNALLAPRRGDAVMIYFVWRPLRIFCDPQGASLESAPGAPG -TFVTVDGVNVAAGDVVAWNTIAPVNVGNPGARRSILQFEVLWYTSLDRSLDTVPELAPTLTRCYAYVSPT -WHALRAVIFQQMNMQPINPPIFPPTERNEIVAYLLLVASLADVYAALRPDFRMNGVVAPVGQINRALVLA -AYH - ->sp|P18259.1|VP7_BTV1S RecName: Full=Core protein VP7 -MDTIAARALTVMRACATLQEARIVLEANVMEILGIAINRYNGLTLRGVTMRPTSLAQRNEMFFMCLDMML -SAAGINVGPISPDYTQHMATIGVLATPEIPFTTEAANEIARVTGETSTWGPARQPYGFFLETEETFQPGR -WFMRAAQAATAVVCGPDMIQVSLNAGARGDVQQIFQGRNDPMMIYLVWRRIENFAMAQGNSQQTQAGVTV -SVGGVDMRAGRIIAWDGQAALHVRNPTQQNAMVQIQVVFYISMDKTLNQYPALTAEIFNVYSFRDHTWHG -LRTAIRNRTTLPNMLPPIFPPNDRDSILTLLLLSTLADVYTVLRPEFAMHGVNPMPWPLTAAIARAAYV - ->sp|P26560.1|VP7_BTV1A RecName: Full=Core protein VP7 -MDTIAARALTVMRACATLQEARIVLEANVMEILGIAINRYNGLTLRGVTMRPTSLAQRNEMFFMCLDMML -SAAGINVGPISPDYTQHMATIGVLATPEIPFTTEAANEIARVTGETSTWGPARQPYGFFLETEETYQPGR -WFMRAAQAVTAVVCGPDMIQVSLNAGARGDVQQIFQGRNDPMMIYLVWRRIENFAMAQGNSQQTLAGVTV -SVGGVDMRAGRIIAWDGQAALQIHNPTQQNAMVQIQVVFYISMDKTLNQYPALTAEIFNVYSFRDHTWHG -LRTAILNRTTLPNMLPPIFPPNDRDSILTLLLLSTLADVYTVLRPEFAIHGVNPMSGPLTRATARAAYV - ->sp|P17375.1|VP7_BTV13 RecName: Full=Core protein VP7 -MDTIAARALTVMRVCATLQEARIVLEPNVMEILGIAINRYNGLTLRGVTMRPTSLAQRNEMFFMCLDMMV -SAAGINVGPISPDYTQHMATIGVLATPEIPFTTEAANEIARVTGETSTWGPARQPYGFFLETEEVYQPGR -WFMRAAQVVTPVVCGPNMVQVSLNAGAIGDVQQIFQGRNDPMMIYLVWRRIENFSMPQGNSQRTLAGVTV -SVGGVDMRAGRIIAWDGQAVLQIHNPTQQNAMVQIQVVFYVSMDKTLNQYPALTAEIFNVYSFRDHTWHG -LRTAILNRTTLPNMLPPIFPPNDRDSVLTILLLSTLADVYSVLRPEFAIHGVNPMPGPLTRAIARAAYA - diff --git a/seq/clusters_seq/cluster_323 b/seq/clusters_seq/cluster_323 deleted file mode 100644 index 4a0c432..0000000 --- a/seq/clusters_seq/cluster_323 +++ /dev/null @@ -1,225 +0,0 @@ ->YP_009362294.1 RdRp, partial [Goose astrovirus] -KKLEEGPLTPRPLTPELKLGIFDWTFYLLPPQKKISLPDNVNLLGYIPVDKLIVRDKKVKDPLLGIVEKW -EQNTYASTTWTRDAYGKIFEKFFYKEPQDFVNNFPELTTLADSVTLIEHSYMENSDVIPIMSTEKNVKST -PAFPKFLEWDSEEDYINECGWGEYIEVFNNPETLQKRPLWWCFLKNEVLKKKKIEQNDIRMILCTDPVFT -RIGASFEQDQNSRMKLKTEDHAAQVGWTPFFSGLDRRIRRLYVFGEKTRFVEMDWTRYDGTIPGQLFWRI -KKMRFFFLKEKYQRKYKDLYNWYIANLLEKIILLPTGEVCQVKKGNPSGQFSTTVDNNFCNVWLTTFEFG -YLHYTQKGVFPSAEQLIANVKYICYGDDRLLSYNADFVDYDRDTIIRMYADVFGMWVKEENVKVQVVPEG -LSFCGLTIIKQDCGKYVGVPNVDKILSTLSDPTKRLPNIEALWGKLVSLRLLCHNCESTVVDFLEKQIDA -VECYAYQENIKLPEVGPNFYNRLW - ->YP_009362293.1 non-structural polyprotein [Goose astrovirus] -MASCGDGAFGSLDKREARLQTPAGLDKIFSLQGVVECFDRMRVMYGDTPAWRKLMATDAIYIKDLKTAIG -IHGTAFGLFMDAGDGNATWSDDAGAAIVTRNEAAALRAQQAKVFRLRSAQALNSSLTHTIMEKTRLVKEK -ARELEDKNKEIVKLVESQKKLIGHIEEKHRDQIRQKNEEIGNLKLKLVRAEIERDKIWNEKQKIEEMLTK -SKAKKFCVGNILWIVLAFFFFSFLATTQAADDNPNLGFYEYDPDKMEKTCKRPEFGCLLVNGIVPVPTYD -FETVMAKCYNMRGNFLMASAFNPRKIIESCAKSVGFFMDRKDYITNWRWCERRLQTLVPAVCEEESSLNK -IWVQIYEALEQSRDLISYVKAYNLDVWIIAIFSLMATGNKEKFLKMVPFVAIAWWFQLPTFLLTVAVNIF -PTIVLPFVAFQVIFPDMLVITTFLMWMTLVLRAFFWNEGVNILVETSYSILYVFTFIGWSFLMTLFTALA -LTVPLQILLFCVVISMSCGTRYAMSTITITHPDGTTEKTTRIAKVKRTAVDQVKKGFKQLRIRGVIPSSP -IKSGSIVIIEGKNGSGTGWRFMNYICTAGHVVRGSDFVTVKSENICVRCKIWKEIEIFESVDTLVLIKLP -KELQMVKPLKLAKEIVNDYLTLTTWDANFQNQISFQGWCTIDGNWISNTMNTAFGNSGAPYTNSDGRLVG -MHLGTQGVISQGVVVKHILESNIMVQQSQHIDVDELMEKVIAGTKISHAEILKQLDELREKVSIMEGKMK -NYDDFWLMQTILGQKKKGKTKKTVRGAKHLYTKKLLSKGHFMKMRMLTDEEYNRMIEEGFSADEIRDAVN -HLREQAWLNYCIENDIDEDGVEDWYDEMIADDIANEEIDRKIEEAMEEENAFLAGQSRKTYIQQALLHII -RIKKDRVKTVKLETQAESAEKLTSMFEKAVSDQEIQEGTSVAILSNGEDVRVIENKEIDFKKIKMIPLDD -EKTSELIKRDGCTKISTGEDNRKNILKEKTTVFEEPKNQPLEQRKKCNWCMNPKPHNYAACKRRNQKCFC -VFCGIMHSENEGHTRPVECPSCKKGFKGVEGLEAHAIEGCPKN - ->YP_009333212.1 RNA-dependent RNA polymerase [Beihai astro-like virus] -MDGTKRIPLLLTEKNHDSAPGFPGMLRYKTEIELIESEGMASHVHTHDLYPKEYLWYMFPKREQLKITKL -EANDVRMIQCAPCQITRFAATFETEQNTLMKKHTHTKEAKVGWTPLQGGLGRYLKVFRDCGSILELDWTR -YDGTIPNELFNIVSVFRANCLDVTEHERKQYMAYRKSLLNRLTVVSDGNVYRITRGNPSGQFSTSVDNCM -VQTLLIAFETRDWLRSQGEDPTVEEVRAAYRTMSYGDDRLTGYVEGQKYSHMFPPTTEWIVQYYKNKFGM -WVKPENVKHTPTVVGASFCGMTIHKLGEQYYPEYNVEKIYANCAHPANPTYDCDALRNKLDSAVILCSAS -ESEMADALRNAARRWGIIDPDYSPVNERLAYELLTGVGRTK - ->NP_987087.2 non-structural polyprotein 1AB [Turkey astrovirus 2] -MAQAGRSGDAFASLDQRRERQEEQAQSGLDKVFYFQGVVELFNRMKIAYGRTPAWTALMKCNAIYLKDFK -TAVGVEGTRYGLFFAEEVTKPTWSPDIGANLITLGEKACLDAQNAKYERLQASLKTTSGLVHQVMEKTRE -AKENLEKANKIQEQLDKVIESNKALHRKIQERNREKMQEYMVRLHNTQKDRDDWVQRCSRLEQENVTLQK -RLKEKENALVSVGWDLLGWIVISVLVFGLISLADAQNLTPPAKIVITPGQAEFMDLAKLEKIQVRKYRLD -SCELPPEKGCVLYKDYLTTRPVSFLELMAKCSKPDWVSESSYNETTLMEECIQIFGAEWCEGKLVDLVPR -KCGEQHVLVNIIEQIEKTREVVTLIYGKVMSYRLDMWITSIFSLVLAGNKEKLFKMAPFIFVAWFLNIPV -FLTCVAVNIFPVVSLPFILFQIFMPQFVLVNAFLLWLTLTLTAFYWSEGPKILMEISYALVYTIGFVLWS -LGLAVGVTLKLTMVHQILMFCVVAAAICGTKFACTTITVQHPDGTTAKYTRVGKLKNNVVNQCKKVVTTL -QTRGVIPATPAKTASIVIVEGKNGTGVGFRFMNYILTAEHVVQGSDIATLKNGSVSVKSKVIKTIPIFES -VDNVAVLKLPPELNSVKPIKLAKKVQSDYLTLTAYDPNFQHAATFTGWCIIDGNWLNNSFDTKFGNSGAP -YCDHDGRLVGIHLGTQGVLSQGIVIVDALKNTFQLADQCRPQNFDMDEFLEKVIAGTKVSHAAILKELEE -LREEVQFLKKKCVTYDDYWLCQTIFGQAKGKTKKTVRGRKHLVTKRALGKGHFMKMRMLTDEEYQNMIEK -GFSAEEIREAVNALREQAWLNYCIDNDVDDEGEEDWYDDMVETDRVNQEIDEAIERAMEDRGEFYQKKSR -LTFVEQAMMHLIQVSKERSQTAKLEVQKENEAQLVKMFERCVTDENTPEGTTSIAALSTEDDVRLVEGKV -IDFTKAKNIPVDGEIRREIIPGTKCTEISTGPENKKNILKKKDTHIAEGKVETKSSQQPVDVKDDKPVAL -EQRKPRACKWCGSSQKHDYRECRFQREKRFCVYCAAMHSMFEGHIRPIECTSCKKSFSGIEKLEDHVVSG -ECQKNLIEGPVTTKAPTPVPDWLKIFAWEDDILPPEGKTALPENVTLIGHIPVDKLVSRTKKVQDPLLGL -VTPWKQDMYDSTTWTVKAYTKMFEKFHYHDPVDFVEQYAEFVLLCDNMVLREHDYMANSNITPIMSTEKN -VNSTPAYPKFQAYDSEAEYLEDCGWQEYLDVVSDPETINRRPLWWCFLKNEVLKREKIEDSDIRMILCTD -PIFTRIGAMFEQDQNNRMKQQTEIRSAQVGWTPFFGGLDRRVRRLYGDGDRYFVEMDWTRYDGTIPKSLF -WRIRQIRFFFLHDSHKTPKMRRLYNWYVKNLLEKIILLPTGEVCQVKKGNPSGQFSTTVDNNMINVWLTT -FEVSYLFFKQRGRLPTEKELQENCSMICYGDDRLLSIRKGFVEYEPDTVIDMYKNIFGMWVKRNNIKIQD -TPEGLSFCGLTIVKSSTGAYVGVPNVNKILSTLENPVRRLPDVESLWGKLVSLRILCENAPSNVKHFLDE -QISNVEEFAARENIQLPEVGPDFYSRIW - ->YP_002728002.1 ORF1b, partial [Duck astrovirus C-NGB] -KKLDEGPETSWPQFETPPEGSHIFDWEKDILPPIGKTALPESVKLLGHIPVDKLVSRTKKVNDPLLGIIR -GWEQDQYTSTTWTVEAYTKMFEKFHYRDPINFVEEYAEFVILADNCTLKEHEYMANSCVLPIMSTEKNVE -STPAYPKFQFFDSEREYLETCGWKEYIEVYNNKETLKHRPLWWCFLKNETLKVSKVKNNDIRMILCTDPV -YTRIGATFEQDQNARMKQQTETRAAQVGWTPFFGGINRRVQRLMKIKNPQFVEMDWTRFDGTIPKALFYR -IRQMRFFFLRDEDKTPERKELYNWYVKNLLEKIILLPTGEVCQARKGNPSGQFSTTVDNNMVNCWLTNFE -LAYLHNKQKGRLPTVKELRENSAFICYGDDRLLSVSEDFVKYESDTIIKMYEEIFGMWVKPENVKVQKDP -EGLSFCGMTLVRNQHGIFVGVPNVDKILSTLRNPNRKLPNIESLWGKLVSLRILCQNAPENVRDYLDAQI -NSVEQYAEKENINLPEVGPDFYEKIW - ->YP_002728001.1 ORF1a [Duck astrovirus C-NGB] -MAQSGENKAFQSLKRREERRDGKRSPQIPAGLEKVFNFQGMAELYDRMRGLYGDTPAWKALMSCSAIYLK -DVKTAFGVRDGRIGLFMASSPSTASWSQDAGASILTINESACLRAQEAKWERLQVSLRANSSLVNQIMEK -NRIAKEKMEELEQLQKKMDNMVENNKLIFQRIEEANQSKLDAMGAKISKLRHENHQWFLKVEKQDNLIES -LRKQIDTQRVTYKKMAWDFMAWVLLAFLLFGFLTTTQAVELNKTEYNVIYADVYGELYTDYPTSQPWDES -QIPKTCERPDFGCMIVDTWLPLPLLKFEGIMQKCYNTHGNVIARSTFNATYLLMDCVKTATYFMDQHDYV -ENYHWCRRRLATLIAANCEGERTIDKFWSQIMEAIDASRSFFQSVKKYQIDVWIIAIFSIVIAGNKEKLL -TLLPFVALGWWFKLPIFLLTTAANFFPTTALPFVAFQVIFPEMVMMTTFCMWLTLVLVAFFWSEGLNILV -EVSFSIFYTISFFMWAMSLNVCLHLQLTLAYQILLFCISLSIYCGTKFACSQVTIVHPDGTTEKITRVAK -VKKAVVNQCKRGVAYLQSRGIIPSSPVKVQSIVMVEGKNGTGTGWRFMNWICTAGHVTRGSEFATIKYEN -IAVKVKKEKEIQIFECVDTLAFFKLPKELQSVKPLRLAKEVKSDYMSLHCWCPNFQNHVTYSGWCIVDGI -FLNNAFNTQFGNSGAPYCDRDGKLVGMHLGSQGVTSQGVVLVDVLQRLNQLTVQQCKDCDDDEFERQNTP -LPVGFDLDLFLSKVIEGTKISHQALLKNVEELNEKVLLMEKNQENQISSVLEKFSGMFENTSEIQRAMVA -AMEKMSLRVQKLEEERSQEPNFSTVPSFVEALTKRIEYLEGENKTILEKLAEVTTAVGERVKEVTLAVNT -LVEEKKKGKTKRTARGQKHAANKKFLTKGHFMKMKVLAEEEYQRMLDEGWSADEIRDVVNSLREQAWNSY -VMDNDIDEEGEEEWYDEMLQNDAINEEIDRRIEQAMEDMGEPIYQKKRLTFVDQALLHIIRIKKNKVKTV -KMEVQKECEEQLKKQFEHAVSPNDIKEGTSVAILSAGEDVRCVENKEINFNAIRSIDMPNQKDKELVMGI -KKTVISTGDDNKKNILREKTTDLVGSILPKNADGKLEKQNVPQQVPLEQRKRGCQWCNNPKPHNFQACKR -RSEKCFCVFCGIMHSENEGHSRKIECIKCKQTFKGVEGLEEHVINGCSKN - ->NP_620617.2 non-structural polyprotein [Chicken astrovirus] -MASAGPTGAGARPPKAFTAQAGLAKLVNPAGLNSILARGKEKFGGTQAWKELMGCDVIFARSISHWYGIK -GTTYYELTVALGQPLYKPVTDPELTEEEKAVMTAVQSRFAQSNSSVVLTRTLLNKTCELKDRIRELTDEL -GQTEVHLAREKVKAAALKLENRKLFVENQELKDQLEKERTKHGWKGLKTLCLWIFLATLIGGYITGSNAA -CTLVDVPSPMKVGYDTFKQMCIHKDSYLPDGAFDKESLALECSKQMDYMDCKEVITDSISGKTSFAGMLR -DVFRVDEIVTAIRTVVRFAMDFSLAYPICVMFVLILTRNKKHAIISACCALVAKCCGLRLLPFTLVLTYA -PSETAIAGCIYGLGYISIPLVTFLHWVGLVLKAILVPDDCYIGTRVSHALAWSIMLPMWIITQELMAFTE -FPLELQIVTTVVVCTAGFGFRYLTGTVTITEPDGTVKKYKRIFNAKSAIGTISTVFFEKAKAIRGVIPSF -PSKADNIVKIEVDVDGGSAGVGFRLGNYIYTAGHVVGEAKIAKITWKGLTSQAKVLGHIELPLFTDTLAR -LEIPKPFQQLPVFRLAKSSENDYVQMVCFDNQLQNVVTFSGWANIDGDYLNAPFETYAGTSGSPIINRDG -RMLGVHFGSNAVVSQGFVITRLFATEPAVKQCKSDEDLADEIVRKVMGGIRISFASLTSELEKQRDELNA -LKQMVNDLIDTDLVALEKKKGKTKRTVRGQKHKTKAISKAAFMKTKVLTEEEYRRLEEEGFTKDEIKDIV -DNLREQAWLDYQNQLDEEGDDDWYEQMEEDQRINDQIDQNIERDLEDRGEWYGQRKITFKQRAMLRFIQL -GRQQQVATVSFPDGYEDRAEELYNKVVTTEDLPEGETSEAALSLPNKIVHQAGKRLNFKHVKIHPDKTFM -KSGVTQIEEKPEGDIILKAKTTTLAPKEEPVIQQVEQQPQVEQQQQPQQPVVEEKKRTPPPKPQRKPKTG -AKAKCLDCGETFVERQDFHVCKSKNLNEPPSGGYTPVPDHLRWNNWQIYMEPLDLRITVPENYPILGHIA -IDKLVERKKKVNDPLLKMLEQPKCEGFTSTTWTRKAYTKSFEKFDYGDAVDFVQDYPELTAFADAAVLAE -VGYMEGTHVIPIQETSKNMDSTPAFPKMLDFDSERDYLEAHGMKEYIDTQLGVQSGKPLWWCFLKNEILK -EKKVSEDDIRIITCSDPVITRLGASFDSEQNERMKERTETHHAQVGWTPFFGGLDKRVRRITSCGRTQVL -ELDWTRFDGTIPVQLFQRMRELRKFFLTRRSRRRYGKLLDWYNAQLTDRITLLPTGEVTHVKKGNPSGQF -STTVDNNLVNEWLTAFEFGYQHLENHGIIPTVRDYRANVDFLCYGDDRLLAFNPSFVNYDPQVTIDMYKN -IFGMWVKPENIKLFDSPTGSSFCGFTLVKPHGQWVGVVNVNKLLQSLKTPTRRLPDLESLWGKLVSLKIM -CYHSDPEAVSYLSNQIRRVEEYARAEGIELPEVGPDFYRKIW - ->sp|Q9JH69.3|NS1AB_TASV1 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -MAAAAASALGASAPKALAPADGPIVAGLDKLVNLEGVHDLFEAMRGAYGEDPAWKGLMSCDVVYLKDITT -AIGVKDTSVGIFRKFSDGCSWCPTGAECFLSMKDLAYMKAQSAKAQRLTASLATTSNLIARAMRAESELK -RARDEERKVDARYKDILEHSLAARKALQKELDETRERELHLLKELGKRSSIRTKAFSFFDWLFMAVVFFL -FLHYTSAECVKPDFGCLVVNSNLPVPSLTFHDVMARCYNTFGNIVLSSQIDAARLREECEQSANKFLGTH -IGDPAHKVWCENRLETLIPVECDSSEFLEIFTSNLNAFMVSVSQFYKTISYYKLDALVTFAFSAALATNK -LKMVMVLPLLLVALYLNVPPITVTIASVIFQPLILPFVGFQLVFPNFLPYNLFVAWVWMVCQAFFSSDGV -KLLVSVSTALVQVVFLAVWSISVIVLQQLSIPMVAQILLFVATLTVSVGVKFANSTITVVHPDGNTEKVS -RVTLVRQSMAKRISQIKQSLTIRGVIPSGPNRFDSIVVVEGQGGSGVGWRFMNSIFTAGHVVQGSKFVTI -KSESTQVKVKVKRVIDLFECVDTLVEIPLTKEFQHIKPLRLAKKVEDSYLQLCAFKPDMVEKASYQGWCT -IDSGFIFNSFNTQFGNSGAPYVDSDGRLVGMHLGSQGVISQGVVLVDTLKTQFLAQQSQIDDQLMERIIE -GTKVSHAAILTELDRMRTKVEEVALVSARVNQLESQLKDLYEFSSNSIKCLSDDIEKMVCAQLFDEINLQ -SVMEKISALPPTEKLAKLVEVFVEQKKKGKTKRTARGGKHALGKKYLSKAHFSRMRMLTEEEYNKMVEDG -FSPDEIKEVVDQLREQAWQNYLIDNDIGEDDDLDWYDDMLEDERLNEEIDRRVEAALEDRGELAYQKIRR -TFVDQALIHLITLKKGNWQTTKVECQPEREEAYKEQFQKAVKQEDLTEGTSYAIYSAGDATILIENKEID -HTEIKPVTTGAKTVQEYPKDARTTVATFDDNKKDIVKTKRTTEIVLEQRKKTCRTCGETRPHNHKMCRDR -HTRRFCFWCGVVHSDVEGHSRDLKCPKCSAGFANLREMEQHAVTTCSKKLDSHPEPSRVFQPLDFGLGIF -DWRFDLQPIRHHVAVPMNVEVLGYIPVDRLVERRNVITDPLLKLVEPWRQETYGPAVWTIKAYNKMFEKF -FYSEPLEFAQLDSSILNLADSYCLQEHDYMSGSQIVPITSTEKNLDSTPGYPKFKVFSTEREYLSTCGWD -EYKTVWQVGPREKPLWWCFLKTEVLKLAKIEQDDIRMILCTDPVFTRIGAAFEQHQNSLMKLETENHHAQ -VGWSPFFGGIHRRATRLYGEHRYYVELDWTRFDGTIPPELFRRIKLMRFFLLDPKYKTPENRDRYNWYVE -NLIDKVVLLPTGEVCKIYGGNPSGQFSTTVDNNFVNVWLTVFELAYLFYKEHNRLPTICEIKKHTDWICY -GDDRLLAVDKRFINSYDTAAVIAMYKDVFGMWVKPDNIKVFPSLEGVSFCGMVWTKRKGQYVGKPNVDKI -LSTLSDPVSRLPDIQSLWGKLVSLRLLCENESDEVVDYLDKQIESVSRHAKEAGIALPKIGPDFYAEIWI -D - ->ADG45752.1 non-structural polyprotein [Avian nephritis virus 1] -MASAGPTGAGACPPKAFTAQAGLAKLVNPAGLNSILLRGKDEFGATQAWKELMGCDVIFARSINQWYGLK -GTTYYELTVVLGQPLYKPVADPELTEEEKAVMTVVQSRFAQSNSSIVLARTLLNKNCELKCRVRELTDQL -GNTEVQLAREKVKAAAFKLENRKLLVENENLKKQLEEKETKFNWKGLRSALIWLFVALLFAGYITGSDAA -CTLVDVPSPVKIGFETFKQMCLHKDSYLPEGAFDKEALALECSQHADGSDGDVYYMDCKESITNSISGKT -SLTGMIRDVFKVDEIAATTKAVWHFAMDFSLAYPICVMFCPILTRSKKHAILAACCALVAKCCGLRLLPF -TLVLTYAPLETAIAGCIYGLGYIDIPVVTLLHWVGLVLKAIFIPDDCFIGTRISHALAWSIMLPIWIITQ -ELMAFTEFPLELQIITTVVVCTAGFGLRYLTGTVTITEPDGTVKKYKRISNAKSAIGTISAVLLEKAKAV -RGVIPPFPSKADNIVKIEVDVDGGSMGVGFRLGNYLYTAGHVVGEAKIAKVTWKGLTSQAKVLGQTELPL -FTDTLARLEVPKPFTQLPVFRLAKSAENDYVQMVCFDHNMQSVVSFSGWANIDGEYLNAPFETYAGTSGS -PIINRDGRILGVHFGSNAVVSQGYVISRLFASEPAVRQCKSDEDLAEEIVRKVMGGIRVSFASLTSELEK -QREELNALKQLVNDLLDTDLLSPEKKKGKTKRTVRGQKHKTKSISKAAFMKTKVLTEEEYRRLEDEGFSK -DEIKEIVDNLREQAWIDYQNQLDEEGDDDWYDQMMEDERINNQIDQEIERNLEDRGEWYGQRKITFKERA -MLRFIQLGRQQQTATISFPDGHEDKAEEIFSKVVTTEDLPEGETSEAALSLPNKIVHQMGKRLNFKHVKI -HPDKTFMKSGVTQFEETPEGNIILKAKTTTVAPKEELVLQQGEQQPQQVEQQQQPQQPAVEEKKRTPPPK -PQRKPKTGAKAKCLDCGETFVERQDFHVCKSKKLKEPPSGGFTPVPDYLRWNNWQIYMEPLDLRITVPDN -YPILGHIAIDKLVERKKKVNDPLLKMLEQPKCEGFTSTTWTRKAYTKSFEKFDYGNAVDFEQDYPELTAF -ADAAVLAEVGYMEGTHVIPIQETSKNMDSTPAFPKMLDFDSEKDYLAAHGMTEYVETQIGKQTGKPLWWC -FLKNEILKEKKVSEDDIRIITCSDPVITRLGASFDTEQNERMKERTETHHAQVGWTPFFGGLDKRVRRIT -SCGRTQVLELDRTRFDGTIPVQLFQRMRTMRKFFLTRRSRKRYGKLLDWYNAQLTDRITLLPTGEVTHVK -KGNPSGQFSTTVDNNLFNEWLTAFEFAFQHLENHGTIPTVKDYRANVDFLCYGDDRLLAYNPSFVNYDPQ -KTIDMYKNIFGMWVKPENIKLFGSPTGSSFCGFTLVKPHGRWVGVVNVNKLLQSLKTPTHRLPDIESLWG -KLVSLKIMCYHSDPEAVSYLSNQIKRVEEYARGEGIELPEVGPDFYRKIW ->CBY02491.1 RNA-dependent RNA polymerase, partial [Wood pigeon astrovirus] -VNDDDIRIITCSDPVITRLGASFDTTQNERMKERTETHHAQVGWTPFFGGLDRRIKRITSCGRTKILELD -WTRFDGTIPVQLFLRIREIRKFLLSKRSRKRYGQLLDWYNRELVDRITLLPTGEVTHIKKGNPSGQFSTT -VDNNLINEWLTAFEFGYQYLNFHGVVPTVKEYRENVDFLCYGDDRLLAYNPSFVNYDPQQTIDMYKSIFG -MWVKPENIKLFDTPTGSSFCGFTLVRPHGKWVGVVNVNKLLQSLKTPTRRLPDIESLWGKLVSLKIMCYH -SDPEAVSYLSRQIKRVEDYARSEGIQLPEVGPDFYRKIW ->AGO67214.1 RdRp, partial [Duck astrovirus] -KKLNGGLEPSWSPQPLPEGSGIFDWEYDLIESDKRICVPHEVDFYGYIPVDKLVSRKKKVTDPLLGLLEK -WEQDTYDSTTWDQRAYAKIFEKFFYATASNFVKDEPDLTSLSDAAVISEHDYMFNTKVTPITLTEKNVDS -TPGYPKFQFFETEEEFLAECGWDEYIKVFTSEETLNHRPLWWCFLKNEVLKKTKIESSDIRMILCADPVY -TRIGAAFDSHQNNKMKQMTRWKKAQVGWTPFYGGLHQRISRLLTINDPVFVEMDWTRFDGTIPELLFRRV -REMRYFFLEDTVKTNKIRKLYNWYVNNLIHKVILLPTGEVCEVHQGNPSGQFSTTVDNNICNVWLTTFEI -AYLYRRKHGRLPTLKELQANVDYICYGDDRLLVFSSGFVDYEKEIVVSMYRDIFGMWVKPENIKISNSPI -GLSFCGMTITSVNGKFVGVPNVDKILSTLENPTKKLPNIEALWGKLTSLRILCQNAHGNVKDYLDKQIDI -VRDYAESEGILLPEVGADFYEKIW ->AID55206.1 RdRp, partial [Duck astrovirus CPH] -KKLDGGPEPTGPAFSPVNDSIRIFAWEKDLIPPIGKQTLPDNVDLIGHIPVDKLVSRNKKINDPLLGIIR -GWEQSEYDSTTWTAEAYTKMFEKFHYKDPIDFVEEEPELTILCDRAVLEEHDYMANSVITPIMSTVKNMD -STPAYPKFQLYATEEEYLMDCGWSEYLEVVTSLDTLNHRPLWWCFLKNEVLKKSKIENNDIRMILCTDPV -FTRIGAMFDQDQNTKMKKMTEEKSAQVGWTPFFGGLDQRVRRLMKIPNPQFIEMDWTRFDGTIPKALFWR -IRQIRFFLLADEFKTKHNKKFFDWYTKNLLEKIILLPTGEVCQVKKGNPSGQFSTTVDNNMCNVWLTNFE -IAWLHRKQKGRLPTVKELRENICFICYGDDRLLSVNRDFVKYDPAEVIHMYENIFGMWVKPENVKVSNVP -EGLSFCGMTLVKNDTGKFVGIPNVNKILSTLRTPTRKLPNLEALWGKLVSLRILCQNTDPSVREYLERQI -LAVEEYAAKEDIKLPEVGPDFYSKIW ->AFI98758.1 non-structural polyprotein ORF1b, partial [Chicken astrovirus] -KKLVEGPDDSGPDYEPVPDYLKIFSWESDLLPPIGKEALPDNVILLGHIPVDKLVSRTKKVTDPLLGVIT -SWKQDEYDSTTWTVKAYTKMFEKFFYKEPSDFVNNEPELTILCDRVVLDEHDYMANSSMTPITANCQKCR -LNTSLSKISGVCTPRKNISPVVDGVNTLMLLRTKKLSTIGHYGGASLKTKFSRKRKFNENDIRMILCTDP -VFTRIGAMFDQDQNSKMKNMTETRAAQVGWTPFFGGLDHRMRRLEKIENAQFVEMDWTRFDGTIPKALFW -RIRQIRFFFLAPRYKTAANKELFDWYTKNLLEKIILLPTGEVCQIKRGNPSGQFSTTVDNNMCNVWLTTF -EIAWLHRKQKGRLPTPTELRKNLKYICYGDDRLLSVSKDFVAYEPDTVVKMYADIFGMWVKPENVKVRDS -LVGLSFCGMTIIKNSSNRYVGVPNVNKILSTLSTPTKRLPNIEALWGKLISLRILCENADPDVRDYLDKQ -IHCVEEYAAAEDIQLPEVGPDFFQKIW ->AEE88304.2 RNA-dependent RNA polymerase [Chicken astrovirus] -MPFKKLVEGPDDSGPDYEPVPDYLKIFSWEDDLLPPIGKEALPENVILLGHIPVDKLVSRTKKVTDPLLG -VITSWKQDEYDSTTWTVKAYTKMFEKFFYKEPSDFVNNEPELTILCDRVVLDEHDYMANSSMTPITATIK -NVDSTPAYPKFQEFDTEEEYLTRCGWSEYLDVIKNKETLNHRPLWWCFLKNEVLKKKKIQENDIRMILCT -DPVFTRIGAMFDQDQNSKMKNMTETRAAQVGWTPFFGGLDQRMRRLEKIENAQFVEMDWTRFDGTIPKAL -FWRIRQIRFFFLAPRYKTAANKELFDWYTKNLLEKIILLPTGEVCQIKRGNPSGQFSTTVDNNMCNVWLT -TFEIAWLHRKQKGRLPTPTELRKNLKYICYGDDRLLAVSKDFVVYEPDTVVKMYADVFGMWVKPENVKVR -DSLVGLSFCGMTIIKNSNNRYVGVPNVNKILSTLSTPTKRLPNIEALWGKLISLRILCENADPDVRDYLD -KQIHCVEEYAAAEDIQLPEVGPDFFQKIW ->AHX26591.1 RdRp, partial [Duck astrovirus] -KKLTEGPVTTKAPTPVPDWLKIFAWEEDILPPENKIALPDNVDLIGHIPVDKLVSRTKKVHDPLLGLVTP -WKQETYDSTTWTVRAYTKMFEKFHYHDPCNFVEQYAEFVLLCDNIVLREHDYMANSNITPIMATEKNVES -TPAYPKFQAFDSEEEYLSECGWGEYLEVVSNPDTVKHRPLWWCFLKNEVLKKTKIADNDIRMILCTDPVF -TRIGAMFEQDQNNRMKQNTERRAAQVGWTPFFGGLDKRIRRLEGDGDRYFVEMDWTRYDGTIPKPLFWRI -RQMRFFFLHDSYKTDKMKSLYDWYVKNLLEKVILLPTGEVCQVKKGNPSGQFSTTVDNNMINVWLTAFEI -SFLFFHQKGRLPTESELEENCSMICYGDDRLLSIRKNFVDYNIDTVVNMYREVFGMWVKKENVKVQDSPV -GLSFCGMTIIKSKTGSYVGVPNVNKILSTLENPVRRLPDIESLWGKLVSLRILCENAPDNVRDFLDEQIN -NVEEYAACEKITLPEVGPDFYSRIW ->ADB79812.1 ORF1b, partial [Duck astrovirus 1] -KKLDEGPETSWPQFESPPEGSHIFDWEKDILPPIGKTALPESVRLLGHIPVDKLVSRTKKVNDPLLGIIR -GWEQDQYTSTTWTVEAYTKMFEKFHYRDPINFVEEYAEFVILADNCTLKEHEYMANSCVLPMMSTEKNVE -STPAYPKFQFFDSEREYLETCGWREYIEVYNSKETLKHRPLWWCFLKNETLKVSKVKNNDIRMILCTDPV -YTRIGATFEQDQNARMKQQTENRAAQVGWTPFFGGINRRVQRLMKIKKPQFVEMDWTRFDGTIPKALFYR -IRQMRFFFLRDEDKTPERKELYDWYVKNLLEKIILLPTGEVCQVRKGNPSGQFSTTVDNNMVNCWLTNFE -LAYLHNKQKGRLPTVRELRENSAFICYGDDRLLSVSEDFVKYEPDTVIKMYEEIFGMWVKPENVKVQKDP -EGLSFCGMTLIRNQHGVFVGVPNVDKILSTLRNPNRKLPNIESLWGKLVSLRILCQNAPENVRDYLDTQI -NSVEQYAEKENINLPEVGPDFYEKIW diff --git a/seq/clusters_seq/cluster_324 b/seq/clusters_seq/cluster_324 deleted file mode 100644 index a382d23..0000000 --- a/seq/clusters_seq/cluster_324 +++ /dev/null @@ -1,80 +0,0 @@ ->YP_009512936.1 phosphoprotein [Parrot bornavirus 5] -MASRPSSLVDSLEDEDDLQTVRRVRSRSPRRKKIPRDALTQPVEQLLKTIKKNPSMVSDPDRRTGREQLS -NDELIKQLVTELAENSMIENEGLRGSLDDISTKIEHGFESLSSLQVEAIQLTQKTDYADSIKMLGENIKI -LDRSMKMMMETMKLMMEKIDLLYASSAIGKTNVPMLPSHPGPSRIYPSLPTAPTAEELDILP - ->YP_009512930.1 P protein [Parrot bornavirus 1] -MARPSSLVESLEEEDDPQTARRMRSRSPRRRRIPREALTVPVEKLLEQVKKNPSMISDQEPRTGREQLSN -DDLIRQLIAELADTNMIEAEGLKGSLEDIGAKLETGLESLSSLQIETLSAVQQSDYANSIKVLGENMRVL -DRSIKTMNETMKMMMEKIDLLYSTMAISNPTVPMLPSHPGPSKLYPTLPTAPTAEDLDIIP - ->YP_009505425.1 phosphoprotein [Estrildid finch bornavirus 1] -MASRPSSLVDSLEEEEDPQTARRVRSRSPRRRRIPKDALTQPVEQLLKTLKKNPSMISDPDQRTGREQLS -NDELIKQLVTELAENTMIDAEDLKGTLNETNQRLETGLESLSSLQVETIEAIHKIDYADSIKTLGKNIEV -LDRTMKTMMETMKMMMEKIDLLYASAAVGNSSAQMLPSCPAPPRLYPALPTAPTAEDWDILP - ->YP_009269415.1 phosphoprotein [Variegated squirrel bornavirus 1] -MASRPSSLVESLEDEESLQTPRRVRSRSPRPKRIPQDALTQPVDRLLKNIKKNPSMISDPEQRTGREQLS -NDELIKQLVTELAENSMIEAEGLRGALDDISSKVDSGLESISSLQVETLQTVQKTDYADSIKTLGENIKV -LDRSMKTMMETMRLMMEKIDLLYASTAIGQSNTPMLPSHPAQPRLYPTLPSAPTADEWDILP - ->YP_009268913.1 phosphoprotein [Aquatic bird bornavirus 2] -MASRPSSLVDSLDAEEDPQTARRTRSRSPRRRKIQPDALTQPVEHLLRTLKKNPSMISDPDQRTGREQLS -NDELIKQLVTELAENSMLEAEGLRGALDDTAQRMESGFESLSTLQIETIQAVQKTDYADSIRTLGENIKI -LDRSMKAMTETMKMMMEKIDLLYASSAVGNPSAPMLPSCPAPPRLYPALPSAPTAEEWDIIP - ->YP_009268907.1 phosphoprotein [Canary bornavirus 1] -MALRXSSLVDSLEEEEDPQTVRRNRSRSPRRKKIPRDALTQPVEHLLKTLKKNPSMISDPDQRTGREQLS -NDELIKQLVSELAENSMIEAESLKGTLDEATQKIELGFESLSSLQVETIQAIQKTDYADSIKTLGENIKI -LDRSMKSMMETMRLMMEKVDLLYASTAIGNPSAPMLPSVPGTSKIYPTLPSAPTADEWDILP - ->YP_009268901.1 phosphoprotein [Parrot bornavirus 7] -MARPSSLVESLEEEDDPQTARRMRSRSPRRRRIPREALTVPVEKLLEQVKKNPSMISDQEPKTGREQLSN -DDLIRQLIAELADTNMIEAEGLRGSLEDIGAKLETGLESLSSLQIETLSAVQQTDYANSIRVLGENMRVL -DRSIKTMNETMKMMMEKIDLLYSMMAVGNPSVPMLPSHPGPSKLYPTLPXAPTAEDLDIIP - ->YP_009268895.1 phosphoprotein [Parrot bornavirus 4] -MARPSSLVESLEEEDDPQTARRVRSRSPRRKRIPREALTVPVEKLLEQVKKNPSMISDQEPKTGREQLSN -DDLIRQLIAELADTNMIEAEGLKGSLEDIGAKLETGLESLSSLQIETLSAVQQSDYASSIKVLGENMRVL -DRSIKTMNETMKMMMEKIDLLYSAMAIGNPTAPMPPSHPGPSRLYPTLPSAPTAEDLDIIP - ->YP_009174178.1 phosphoprotein [Parrot bornavirus 2] -MARPSSLVESLEEEDDPQTARRMRSRSPRRKRIPREALTVPVEKLLEQVKKNPSMISDQEPKTGREQLSN -DDLIRQLITELADTNMIEAEGLKSSLEDIGSKLETGLESLSSLQIETLSAVQQSDYANSIKVLGENMRVL -DRSIKTMNETMKMMMEKIDLLYSTMAIGNPTATMLPSHPGPSRIYPTLPTAPTAEDLDIIP - ->YP_009055060.1 phosphoprotein [Loveridges garter snake virus 1] -MATRRDNLVSPLEEDPPSTAHRTRSRSPIRRKKSLDKRLLGYSVEELVDKMSVNPGLIYDKEQLATGREA -LSSEELIKQLMGEIKTATEERKIDQEVIQMKFSHLERTLESYFESVVTTQVEILEKLGQLDYSGSIRQLG -ENMKILDKSLKAVTASVTLMTEKVDLLYGKMAVGTSNAPMIPSCPQPQSIYPKLPESTATAPALDIVF - ->YP_009041458.1 phosphoprotein [Canary bornavirus 3] -MASRPSSLVDSLEGEEDPQTARRVRSRSPRRRRIPQDALTQPVEHLLKALKKNPSMISDPDQRTGREQLS -NDDLIKQLVTELAENSMIETEGLKGVLDETAQKIESGFESLSSLQIETIQAVQKTDYADSIKTLGENIKI -LDRSMKSMMETMRLMMEKIDLLYASAAIGQPSAQMLPSHPGPSKIYPVLPTAPTADEWDILP - ->NP_042021.1 phosphoprotein [Borna disease virus 1] -MATRPSSLVDSLEDEEDPQTLRRERPGSPRPRKVPRNALTQPVDQLLKDLRKNPSMISDPDQRTGREQLS -NDELIKKLVTELAENSMIEAEEVRGTLGDISARIEAGFESLSALQVETIQTAQRCDHSDSIRILGENIKI -LDRSMKTMMETMKLMMEKVDLLYASTAVGTSAPMLPSHPAPPRIYPQLPSAPTTDEWDIIP - ->YP_009268919.1 phosphoprotein [Borna disease virus 2] -MATRPSSLVDSLEDEEDPQTLRRARSGSPRPRKIPKNALTQPVDQLLRDLRKNPSMISDPDQRTGREQLS -NDELIKKLVTELAENSMIEAEEVRGTLGDISARLEAGFESLSALQVETIQTAQRCDHSDSIRVLGENIKI -LDRSMKTMMETMKLMMEKVDLLYASTAVGTSAPMLPSHPAPPRIYPQLPSAPTADEWDIIP - ->YP_009237644.1 phosphoprotein [Aquatic bird bornavirus 1] -MASRPSSLVDSLEEEEDPQTARRIRSRSPRRRRIQPDALTQPVEQLLKTLKKNPSMISDPDQRTGREQLS -NDELIKQLVTELAENSMVEAEGLRGALDDIAQRMESGFESLSSLQVETIQAIQKTDYADSIKTLGENIKI -LDRSMKTMMETMKMMMEKIDLLYASSAISNPATPMLPSCPPPQRLYPSLPTAPTADDWDILP - ->YP_009165494.1 phosphoprotein [Canary bornavirus 2] -MASRPSSLVDSLEEEEDPQTVRRVRSRSPRRRRIPKDALTQPVEHLLKALKKNPSMISDPDQRTGREQLS -NDELIKQLVTELAENSMIEAEGLKGALDDTAQKIESGFESLSNLQIEAIQAIQKTDYADSIKTLGENIKI -LDRSMKSVMDTMRLMMEKIDLLYASAAIGNPAAPMLPSHPEPSRIYPVLPSAPTADEWDILP - ->sp|P0C798.1|PHOSP_BDV1 RecName: Full=Phosphoprotein; Short=P protein; AltName: Full=p23; AltName: Full=p24 -MATRPSSLVDSLEDEEDPQTLRRERSGSPRPRKIPRNALTQPVDQLLKDLRKNPSMISDPDQRTGREQLS -NDELIKKLVTELAENSMIEAEEVRGTLGDISARIEAGFESLSALQVETIQTAQRCDHSDSIRILGENIKI -LDRSMKTMMETMKLMMEKVDLLYASTAVGTSAPMLPSHPAPPRIYPQLPSAPTADEWDIIP - diff --git a/seq/clusters_seq/cluster_325 b/seq/clusters_seq/cluster_325 deleted file mode 100644 index 4579d3f..0000000 --- a/seq/clusters_seq/cluster_325 +++ /dev/null @@ -1,69 +0,0 @@ ->NP_056843.1 rev protein [Human immunodeficiency virus 2] -MSERADEEGLQGKLRLLRLLHQTNPYPQGPGTASQRRNRRRRRRRQWLRLVALANKLCAVPDPPTDSPLD -RAIQHLQRLTIQELPDPPTDLPESNSNQGLAET - ->sp|Q74232.2|REV_HV2EH RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MNARERDLQKGLRLLHLLHQTNPYPQGPGTASQRRNRRRRWKQRGLQILALADRIHPLPDSPTEGPLDLA -IQRLQNLIIKDLPNPPTSTPTAQASTCIPPIWDQLVPRSNPSSSQGCGRDSCERGEDLVGSPQESGRRDH -CNTQEDQTRG - ->sp|Q76631.1|REV_HV2UC RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MTTREKDLQKGLRLLHLLHQTNPYPQTPGTASQRRNRRRRWKRRGLQILALADRIRSLSDSPTEEPLDLA -VQRLQELTVEDLPNPPTSTPTAQAFTCIPPVWDQLVPRSNPSSNEGCERDSCEHRKSPMESSQKDSGSNH -RDPQEDQTRT - ->sp|Q74125.1|REV_HV2KR RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MNGRADEEGLQRKQRLIRLLHQTNPYPQGLGTARQRRNRRRRRKQHWRQLVALANSIYTFPDPPADSPLD -RAIQRLQGLTIQELPDPPTNLPESSESTNNNQGLAETYNSLPAIWVRVDPRSAPGPCKDYERDSCERVER -LVGGNGTDRQGNTCSSKKDQAGGRTCPPVRGSGINRETL - ->sp|P18039.1|REV_HV2G1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MHEKADGEELQERLRLIRLLHQTNPYPHGPGTASQRRNRRRRQRRRWLRLVALADKLYTFPDPPTDSPLD -RAIQDLQRLTIHELPDPPTDLPESNSNQGLAET - ->sp|P24104.1|REV_HV2CA RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MTERADEEGLQRKLRLIRLLHQTNPYPQGPGTASQRRNRRRRWRQRWRQILALADRIYTFPDPPADPPLD -RTIQHLQELTIQDLPDPPTHPPESQRLAEA - ->sp|P15830.2|REV_HV2D2 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MTAREGDLQKELRLLHLLHQTNPYPQGPGTASQRRNRRRRWKRRGLQILALADRIHSFSTAPAEEPLNLA -IQRLQNLTVEDLPNPPPNLNQSPTTQAPGCVPPVWDQLVPRSAPSGSKGYGRNSCECRRDLMGGSQESGE -SNHRDPQENQTRT - ->sp|P69734.1|REV_SIVML RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MSSHEREEELRKRLRLIHLLHQTIDSYPTGPGTANQRRQRRRRWRRRWQQLLALADRIYSFPDPPTDTPL -DLAIQQLQNLAIESIPDPPTNTPEALCDPTKDSRSPQD - ->sp|P19502.1|REV_SIVSP RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MSSNEEELRRRLRLIHLLHQTNPYPDGPGTANQRRRRRRRWRQRWQQILALADRIYSFPDPPVDTPLDLA -IQQLQRLAIEELPNPPASAPEPLKDAAESP - ->sp|P12486.1|REV_SIVS4 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MSSTEEELRRRLRLIHFLHQTTDPYPQGPGTANQRRRRRRRWRQRWQQILALADRIYSFPDPPVDTPLDL -AIQQLQGLAIEELPDPPTSAPEPLNDVAKSP - ->sp|P05875.1|REV_SIVM1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MRSHTGEEELRRRLRLIHLLHQTNPYPTGSGSANQRRQKRRRWRQRWQQLLALADRIYSFPDPPTDTPLD -LAIQQLQNLAIESIPDPPTNIPEALCDPTENSRSPQA - ->sp|P20870.1|REV_HV2ST RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MNERAEEEELRRKLRLIRLLHQTNPYPQGPGTASQRRNRRRRWKQRWRQLVALADKIYTFPDPPADSPLE -QTIQHLQGLTIQELPDPPTNLPESSESIDSSQRLAEI - ->sp|P04615.1|REV_HV2RO RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MNERADEEGLQRKLRLIRLLHQTNPYPQGPGTASQRRNRRRRWKQRWRQILALADSIYTFPDPPADSPLD -QTIQHLQGLTIQELPDPPTHLPESQRLAET - ->sp|P05873.1|REV_HV2NZ RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MTERADEEGLQRKLRLIRLLHQTNPYPQGPGTASQRRNRRRRWKQRWRQILALADSIYTFPDPPADSPLD -RAIQHLQGLTIQDLPDPPTNLPESPESTNSNQRLAEA - ->sp|P12448.1|REV_HV2SB RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MTERADEEGVRRKLRLIRLLHQTNPYPQGLGTARQRRNRRRRWERRWKQILALADRIYTFPDPPADPPLD -QTIQQLQGLTIQTLPDPPTTQRLAETQGSLPAVWVRVDPRSVPGPREGYKRDSYERGEELVGGSGTNRKG -DTRSSTKDQAGSRNCPPVRDRDISKETL - ->sp|P17754.1|REV_HV2D1 RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MRDRADEEGLQEKLRLIRLLHQINPYPHGQGTASQRRNRRRRRRRQWFRLVALATKLHTIPDPPTDSPLD -RAIQQLQGLTIQELPDPPTDLPESNSNQGLAET - diff --git a/seq/clusters_seq/cluster_326 b/seq/clusters_seq/cluster_326 deleted file mode 100644 index e18ee14..0000000 --- a/seq/clusters_seq/cluster_326 +++ /dev/null @@ -1,59 +0,0 @@ ->YP_009755892.1 envelope protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -MLLKLVDDSGLLINAILWVFLLIFVLILCITFIKLVQLCFTCHQLLSGAVYQPVHRAYVMYKDFMRIDPA -PVFDV - ->YP_006908644.1 envelope protein [Rousettus bat coronavirus HKU10] -MMFTLVNDNGMIVSAILWLVVLLFVLLIAVTVIKLIQLCFTCHKLMSNTIYVPVYSAYVMYKNFMQIDPC -PVIDV - ->YP_001718614.1 envelope protein [Miniopterus bat coronavirus HKU8] -MLTLIDDHGLVVNILLWLIVCICVIIICSSIIQFVQLLFSCHRLCSNTVYRPVYVAYRAYQDYMRIDPLP -VIDV - ->YP_001552238.1 small membrane protein [Rhinolophus bat coronavirus HKU2] -MFLKIVEDDGLFINTVLWLLVLILVLLVAITVIKLIQLCFSCHRLMSNTIYIPVYNAYLVYKSYMEVEPC -PIINV - ->YP_001351686.1 envelope protein [Scotophilus bat coronavirus 512] -MLQLVNDNGVVVNAILWLFVLFFVLVISITFVQLINLCFTCHRLCNNVVYKPVGKVYGVYKSYMRIQPLT -SDIIQV - ->YP_003769.1 envelope protein [Human coronavirus NL63] -MFLRLIDDNGIVLNSILWLLVMIFFFVLAMTFIKLIQLCFTCHYFFSRTLYQPVYKIFLAYQDYMQIAPV -PAEVLNV - ->YP_009824969.1 E protein [NL63-related bat coronavirus] -MFPQLIDDHGLLANAIVWLLLLCFFLLISITLIKLIQLCFTCHLFFSRTLYQPVYKVYMMYQDFMRIQPI -PAEVIDV - ->YP_009328937.1 E protein [NL63-related bat coronavirus] -MFPRLIDDNGLILNAILWLFVMIFFLVLSITFIKLVQLCFTCHYFFSRTLYQPVYKVYLAYQDYMRIAPV -PADIINV - ->YP_009201732.1 small envelope protein [BtNv-AlphaCoV/SC2013] -MLFTLINDNGFVLNAILWVFLLIFIILLCIAFIKLVQVCFACHQLMTSAVYKPVHNAYAIYRDFMRIDPH -PVLDV - ->YP_009200737.1 small envelope protein [BtRf-AlphaCoV/YN2012] -MFLKIVDDNGLFINTVLWLLVLILVLLVAITVIKLIQLCFSCHRLMSNTVYIPVYNAYLVYKSYMEVEPC -PIINV - ->YP_009199792.1 small envelope protein [BtRf-AlphaCoV/HuB2013] -MVLSTLLWLIVILFLLLISITLIKLIQLCFTCHQLMSRTIYVPAYNAYRVYKDFMQIQPLPVIEV - ->YP_009199611.1 small envelope protein [BtMr-AlphaCoV/SAX2011] -MLLKLIEDNGVIVNGIIWLLILIFVLLICITILKLIQLCIACHQFANRTVYSPIYSAYQWYKDYMQIAPL -PPIYHV - ->YP_008439204.1 envelope small membrane protein [Bat coronavirus CDPHE15/USA/2006] -MLQLVDDHGLLVNGILWLILLFFVLIVSITFIQLINLCFTCHRFCSRAVYTPVGRMYGVYKSYMQIEPLP -IIDV - ->YP_001718607.1 envelope protein [Bat coronavirus 1A] -MLTLVDDHGLVINAILWLLFCVSVIIICCALIQLVQLCFICHRLCSNTVYKPVYKAYKIYQDYMQIEPLP -VLNV - ->NP_598312.1 envelope protein [Porcine epidemic diarrhea virus] -MLQLVNDNGLVVNVILWLFVLFFLLIISITFVQLVNLCFTCHRLCNSAVYTPIGRLYRVYKSYMRIDPLP -STVIDV - diff --git a/seq/clusters_seq/cluster_327 b/seq/clusters_seq/cluster_327 deleted file mode 100644 index d66e185..0000000 --- a/seq/clusters_seq/cluster_327 +++ /dev/null @@ -1,129 +0,0 @@ ->YP_003858591.1 nucleocapsid protein [Bat coronavirus BM48-31/BGR/2008] -MTDNGQSNSRNAPRITFGVSDTSDNNQNAERAGARPKQRRPQGPPNNTASWFTALTQHGKEGLSFPRGQG -VPVNTNSTRDDQIGYYRRATRRVRGGDGKMKELSPRWYFYYLGTGPEAALPYGANKDGIVWVATEGALNT -PKDHIGTRNPNNNAAIVIQLPQGTTLPKGFYAEGSRGGSQASSRSNSRSRGNSRNSTPSSSRGSSPARMA -AGGDTALALLLLDRLNQLESKVSGKTPQQSQVVTKKTAAEASKKPRQKRTATKAYNVTQAFGRRGPEPTQ -GNFGDQELIRLGTDYKNWPQIAQFAPSASAFFGMSRIGMEVTPTGTWLTYNGAIKLDDKDPNFKDQVILL -NKHIDAYKTFPPTEPKKDKKKKADEVQSLPQRQKKQATVTLLPAADLDDFSKQLQNSMNASPDSTQA - ->YP_009824993.1 nucleocapsid protein [Bat coronavirus] -MSGRRTPRNQPQVSFKNESDSDSESGARSQSRGRNSNNNNNGGNGGARRKDKPEKPRAAPAQNVSWFLPI -VQTGKQDLRFARGEGVPVSQGVDITYQHGYWLRRQRTFNKGGKQVQANPRWFFYYTGTGPYEGLRYGSRN -NDIIWVGNEGANVNRLGDMGTRNPANDAGIPVQLAEGIPKGFYAEGRNSRGNSRNSSRSSSRGSSNANSR -NQSRSNSPGRGSAPPSGGEPWMAYLIQKLENLEQRVDGKKSDKQPVKVTKNVASENAKKLRHKRTAHKGS -NATQNYGRRGPGNLEGNFGDQEFLKLGTDDPRFPVVAQMAPNTSSFVFMSHFTPRYEADALWLDYTGSIK -LPRDDPNFPQWEKLLAENIDAYKSFPPPKPKSDKKKKSDKSDSAAGPSEDLQMQVVDPSGVQRIYMKDAA -DQTDDEWLQDDTIYEDENDKPKAQRRQSIKKRNATHQRHVSIDGAAQSSA - ->YP_001039975.1 nucleocapsid phosphoprotein [Rousettus bat coronavirus HKU9] -MSGRNRSRSGTPSPKVTFKQESDGSDSESERRNGNRNGARPKNNNSRGSAPKPEKPKAAPPQNVSWFAPL -VQTGKAELRFPRGEGVPVSQGVDSTYEHGYWLRTQRSFQKGGKQVLANPRWYFYYTGTGRFGDLRFGTKN -PDIVWVGQEGANINRLGDMGTRNPSNDGAIPVQLAGGIPKGFYAEGRGSRGNSRSSSRNSSRASSRGNSR -ASSRGASPGRPAANPSTEPWMAYLVQKLERLESQVSGTKPATKNPVQVTKNEAAANAKKLRHKRTAHKGS -GVTVNYGRRGPGDLEGNFGDREMIKLGTDDPRFAAAAQMAPNVSSFLFMSHLSTRDEDDALWLHYKGAIK -LPKDDPNYEQWTKILAENLNAYKDFPPTEPKKDKKKKEETAQDTVIFEDASTGTDQTVVKVWVKDQDAQT -DDEWLGGDETVYEDEDDRPKTQRRHKKRGSTASRVTIADPTNAGAERS - ->YP_009825061.1 nucleocapsid protein [SARS coronavirus Tor2] -MSDNGPQSNQRSAPRITFGGPTDSTDNNQNGGRNGARPKQRRPQGLPNNTASWFTALTQHGKEELRFPRG -QGVPINTNSGPDDQIGYYRRATRRVRGGDGKMKELSPRWYFYYLGTGPEASLPYGANKEGIVWVATEGAL -NTPKDHIGTRNPNNNAATVLQLPQGTTLPKGFYAEGSRGGSQASSRSSSRSRGNSRNSTPGSSRGNSPAR -MASGGGETALALLLLDRLNQLESKVSGKGQQQQGQTVTKKSAAEASKKPRQKRTATKQYNVTQAFGRRGP -EQTQGNFGDQDLIRQGTDYKHWPQIAQFAPSASAFFGMSRIGMEVTPSGTWLTYHGAIKLDDKDPQFKDN -VILLNKHIDAYKTFPPTEPKKDKKKKTDEAQPLPQRQKKQPTVTLLPAADMDDFSRQLQNSMSGASADST -QA - ->YP_009361864.1 nucleocapsid phosphoprotein [Bat coronavirus] -MATPAAPRAVSFADNNDNSNNNQSRGRGRNPKPRPAPNNTVSWYTGLTQHGKVSLSFPPGQGVPLNANST -PAQNAGYWRRQDRKINTGNGTKSLAPRWYFYYTGTGPEANLPFRAVKDGIIWVHEDGATDAPSTFGTRNP -NNDAAIVTQFAPGTKLPKNFHIEGTGGNSQSSSRASSASRNSSRSNSRGSRSGNSSRGTSPGPSGVGAVG -GEMLYLDLLNRLQALESGKTKQAQPKVITKKDAVAAKNKMRHKRVATKGFNMVQAFGLRGPGDLQGNFGD -LQLNKLGTEDPRWPQIAELAPSASAFIGMSQFKLTHQSNDTDGAPVYFLRYSGAIKLDPKNPNYNKWLEL -IEQNVDAYKTFPKKEKKQKAPKEEPSDQMNVQPPKEQRVQGSITQRSRTPRPSVQPGPMTDVNTD - ->YP_001039969.1 nucleocapsid phosphoprotein [Pipistrellus bat coronavirus HKU5] -MATPAPPRAVVFANDNETPTNSQRSGRPRTKPRPAPNTTVSWFTGLTQHGKQPLAFPPGQGVPLNANSTP -AQNAGYWRRQDRKINTGNGTKPLAPRWYFYYTGTGPEANLPFRSVKDGIIWVHENGATDAPSVFGTRNPA -NDPAIVTQFAPGTTLPKNFHIEGTGGNSQSSSRASSRSSSRSSSRNGRSNNSSRNASPAPHGVGDVVGAG -TLSVLLDLQKRLADLEAGKGNKQPKVITKKDAQAAKQKMRHKRVATKGYNVVQAFGMRGPGPLQSNFGDM -QYNKLGTEDPRWPQIAELAPSASAFMSTSQFKVTHQSNDENGEPVYFLSYSGAIKLDPKNPNYNKWMEIL -DANIDAYKSFPKKERKQKPSGDDAATAPATSQMEDVPELPPKQQRKKRVVQGSIPQRSAGVPSFEDVVDA -IFPDSEA - ->YP_009273009.1 nucleocapsid protein [Rousettus bat coronavirus] -MSGRNTPKGRQTPKVSFKQESDSDSEADRHTTRNGARPKTNNGPATIKPEKPRAAPMQNVSWFAPLVQTG -KSDLKFAHGEGVPVSQGVDGTYQHGYWLRTQRNFTKGGKSLTANPRWYFYYTGTGRYADLRFGTRQPDIL -WVGRNGANVNKVGNMGTRNPNNDSAIPVQLPEGIPKGFYAEGTRSRNSSRSSSRGSSRNTSASNSRANSR -ASSPGRNLPPQAGSEPWMAYLVQKLQALESKVDGKKPEKTPQKVTKQGAANMADKLRHKRTPHKGSGVTQ -NFGRRGPGDLEGNFGDLEMLKLGTDDPRFPAAAQMAPTAAAFLFMSHFDTREENDATWLNYRGAIKLPKD -NPNYATWMKLLKENVDAYKDFPQTPERETKKKKKDVVVEDVTVVEDVRDENGLTDDDWLGADDTTIYADE -NDKPKSQRRRKKREAAVVETPDV - ->YP_009072446.1 nucleocapsid protein [Bat Hp-betacoronavirus/Zhejiang2013] -MAAEGQRVTFLTQGSNQNNNNQENQDGRGARPKTRKPRPAPQNNVSWFTPLTQHGKQALTFNPGQGVPLN -ANDDPDSRIGYWRRNIRTVTKNGKPQKLDPRWYFYYLGTGPEQNLKYLQQKDGIVWVAAHGALNVPVKTV -GTRNPANDQAIVAAFAPGTELPKGFYVEGSRSTSSASSAASSRSNSRSRNSSLSRSSSPGRGLPPATDPN -GVLAALLLTKLEALDAKVNGPKQPPVVTKKTAAEIAAKPRQKRVAHKGYNVNAAYGRRGPGPYQGNFGTQ -EFNKLGTDYPKWPQIAQLAPTPSAFFGMSRFAVQKNDDGTWLTYHGHIKMDESDPNFQVWMTELQQNIDA -YKNFPQKEEKKSRKPKTKNVDMAPQDVMGAAAVDLEWDSSIDQTGPNTIVVKPKKQRKPAADNNISEI - ->YP_009047211.1 nucleoprotein [Middle East respiratory syndrome-related coronavirus] -MASPAAPRAVSFADNNDITNTNLSRGRGRNPKPRAAPNNTVSWYTGLTQHGKVPLTFPPGQGVPLNANST -PAQNAGYWRRQDRKINTGNGIKQLAPRWYFYYTGTGPEAALPFRAVKDGIVWVHEDGATDAPSTFGTRNP -NNDSAIVTQFAPGTKLPKNFHIEGTGGNSQSSSRASSLSRNSSRSSSQGSRSGNSTRGTSPGPSGIGAVG -GDLLYLDLLNRLQALESGKVKQSQPKVITKKDAAAAKNKMRHKRTSTKSFNMVQAFGLRGPGDLQGNFGD -LQLNKLGTEDPRWPQIAELAPTASAFMGMSQFKLTHQNNDDHGNPVYFLRYSGAIKLDPKNPNYNKWLEL -LEQNIDAYKTFPKKEKKQKAPKEESTDQMSEPPKEQRVQGSITQRTRTRPSVQPGPMIDVNTD - ->YP_009513018.1 nucleocapsid protein [Betacoronavirus Erinaceus/VMC/DEU/2012] -MATPQQPRAVTFADNNGNQQNGNNRGRPRQPKPRPAPNVSVSWYTGITQHGKQPLAFPAGQGVPLNANST -PKQNAGYWRRQDRKLNTGNGVKQLAPRWYFYYTGTGPEANLPFRTVKDGIYWVWEEGASEAPSDFGTRNP -ANDAAIVTQFAPGTQLPKNCHIEGTGGNSQSSSRASSASRNSSRSNSRGSQPGSRSHSPGSVGPSDASAL -LYLELAKRLEALEAGKSKSAPKVITKKDAADAKKKMRHKRVATKAYNPTQAFGLRGPGDLQGNFGDLKYC -KEGVDDPRWPQMAELAPSASAFLSMSQLKLVHHSNDTDNKPVYMLRYSGAIKLDPKNPNYSKWLEILEGN -IDAYKNFPKKEKKQKQSQIKQEAEDPEWDSTDLFSQPLQDPDNQPKAQRVPKGSITQRSRPPKPTVGPMV -DVEP - ->YP_009724397.2 nucleocapsid phosphoprotein [Severe acute respiratory syndrome coronavirus 2] -MSDNGPQNQRNAPRITFGGPSDSTGSNQNGERSGARSKQRRPQGLPNNTASWFTALTQHGKEDLKFPRGQ -GVPINTNSSPDDQIGYYRRATRRIRGGDGKMKDLSPRWYFYYLGTGPEAGLPYGANKDGIIWVATEGALN -TPKDHIGTRNPANNAAIVLQLPQGTTLPKGFYAEGSRGGSQASSRSSSRSRNSSRNSTPGSSRGTSPARM -AGNGGDAALALLLLDRLNQLESKMSGKGQQQQGQTVTKKSAAEASKKPRQKRTATKAYNVTQAFGRRGPE -QTQGNFGDQELIRQGTDYKHWPQIAQFAPSASAFFGMSRIGMEVTPSGTWLTYTGAIKLDDKDPNFKDQV -ILLNKHIDAYKTFPPTEPKKDKKKKADETQALPQRQKKQQTVTLLPAADLDDFSKQLQQSMSSADSTQA - ->sp|Q0Q468.1|NCAP_BC279 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSDNGPQNQRSAPRITFGGPSDSTDNNQDGGRSGARPKQRRPQGLPNNTASWFTALTQHGKEELRFPRGQ -GVPINTNSGKDDQIGYYRRATRRVRGGDGKMKKLSPRWYFYYLGTGPEASLPYGANKEGIVWVATEGALN -TPKDHIGTRNPNNNAAIVLQLPQGTTLPKGFYAEGSRGGSQASSRSSSRSRGNSRNSTPGSSRGNSPARM -ASGSGETALALLLLDRLNQLESKVSGKGQQQQGQTVTKKSAAEASKKPRQKRTATKSYNVTQAFGRRGPE -QTQGNFGDQDLIRQGTDYKYWPQIAQFAPSASAFFGMSRIGMEVTPLGTWLTYHGAIKLDDKDPQFKDNV -ILLNKHIDAYKAFPPTEPKKDKKKKTDEAQPLPQRKKQPTVTLLPAADMDDFSRQLQNSMSGASADSTQA - ->sp|Q0Q4E6.1|NCAP_BC133 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MATPAAPRTISFADNNDNQPNQQQRGRGRNPKPRPAPNNTVSWYTGLTQHGKNPLAFPPGQGVPLNANST -TAQNAGYWRRQDRKINTGNGVKQLAPRWFFYYTGTGPEANLPFRSVKDGIVWVYEEGATDAPSVFGTRNP -ANDAAIVCQFAPGTLIPKNFHIEGTGGNSQSSSRASSNSRNSSRSNSRGGRSTSNSRGTSPVSHGVGSAE -SLAALPLLLDLQKRLADLESGKSKQPKVVTKKDAAAAKNKMRHKRVATKGFNVTQAFGLRGPGPLQGNFG -DMNYNKFGTEDPRWPQMAELAPSASAFMSMSQFKLTHQSNDDKGDPIYFLSYSGAIKLDPKNPNYKKWLE -LLEANIDAYKTFPKKERKPKTTEDGAVVASSSASQMEDVDAKPQRKPKSRVAGSITMRSGSSPALQDVTF -DSEA - ->sp|Q3I5I7.1|NCAP_BCRP3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSDNGPQNQRSAPRITFGGPTDSTDNNQDGGRSGARPKQRRPQGLPNNTASWFTALTQHGKEELRFPRGQ -GVPINTNSGKDDQIGYYRRATRRVRGGDGKMKELSPRWYFYYLGTGPEASLPYGANKEGIVWVATEGALN -TPKDHIGTRNPNNNAAIVLQLPQGTTLPKGFYAEGSRGGSQASSRSSSRSRGNSRNSTPGSSRGNSPARM -ASGGGETALALLLLDRLNQLESKVSGRSQQQQGQTVTKKSAAEASKKPRQKRTATKQYNVTQAFGRRGPE -QTQGNFGDQELIRQGTDYKHWPQIAQFAPSASAFFGMSRIGMEVTPSGTWLTYHGAIKLDDKDPQFKDNV -ILLNKHIDAYKIFPPTEPKKDKKKKTDEAQPLPQRQKKQPTVTLLPAADMDDFSRQLQNSMSGASADSTQ -A - ->sp|Q3LZX4.1|NCAP_BCHK3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MSDNGPQSQRSAPRITFGGPADSNDNNQDGGRSGARPKQRRPQGLPNNTASWFTALTQHGKEELRFPRGQ -GVPINTNSGKDDQIGYYRRATRRVRGGDGKMKELSPRWYFYYLGTGPEASLPYGANKEGIVWVATEGALN -TPKDHIGTRNPNNNAAIVLQLPQGTTLPKGFYAEGSRGGSQSSSRSSSRSRGNSRNSTPGSSRGSSPARL -ASGGGETALALLLLDRLNQLESKVSGKGQQQPGQTVTKKSAAEASKKPRQKRTATKQYNVTQAFGRRGPE -QTQGNFGDQELIRQGIDYKHWPQIAQFAPSASAFFGMSRIGMEVTPSGTWLTYHGAIKLDDKDPQFKDNV -ILLNKHIDAYKTFPPTEPKKDKKKKTDEAQPLPQRQKKQPTVTLLPAADMDDFSRQLQHSMSGASADSTQ -A - diff --git a/seq/clusters_seq/cluster_328 b/seq/clusters_seq/cluster_328 deleted file mode 100644 index b6a5dc2..0000000 --- a/seq/clusters_seq/cluster_328 +++ /dev/null @@ -1,147 +0,0 @@ ->YP_009273017.1 putative RNA-dependent RNA polymerase [Sclerotium hydrophilum virus 1] -MASSSPHKRKLDERGSEASINFTPLGSRVSSNTSGNKASMRRSTQRRLNKQRVGVNEVEELARTFRHIRV -NKIDYSARKVNMQAKFAEMDPLFEEYLMTEPAGLPEGYDPRKHCFCLPCDRAQMDHLRYFDRPPRVLNEA -FRAHYERAKGIVRTILCDGRKYDFPDLTDLIDVPFKPNKFGGFEYAREGLKTRKEANTMAQADAEAAWVK -LMHGERVVPHMVRLGGRGKVVKSPIEQAKLENLAKGRLILMLSQRDLKILGVTEKILTDHCKRADNPIFV -GKSWFFRGAQKFISECAPFSKFYCFDAEKFDSNIDPYMVDDAIVMLRELFHNGQETEYDAYWAFVRESLL -SPTIVRDDGVTFEKEVGTTSGHSHNSLVQSLITLMIGYTCLIALNPNLDDAGIFAYSLVKSLGDDNLIGI -CTPMHHVSCEQMADVAREAFGINWFGSKSFATSAAYDVDDGSALPKEGGPFEGLQFLGKYFCLREVEGDE -EAIQAVIPYRPITETLDRLAYPERPGVPKACPDLTEGNMSYMRAVGNYMDGAGNKLTRVFLEGYLTWLEG -KGHHEGIRWREDDLQKMTGIYDGVAETFLPARRYTYEEWLDLVLVEKKVVQRVFGSMEQLYTGV - ->YP_009553330.1 RNA-dependent RNA polymerase [Trichoderma harzianum bipartite mycovirus 1] -MNAPEIPGRNPDRIRRSSLFNFDGGLLTDSHGDVLLNTRKRGRNQFDDETEVTSAPSSHTSGNASTRRRK -RLRRVRMASHHTDEMSALTKLFQHISILGRIEQYNKLNPDLVVADPDPLIVEYTLHNPPIIPNFKPEDYT -FVKACTPVEMAHLKHFDREESVPQAEYLPSLQTASDIVGKLLSLPEYLFFPEVDDLNNVRYIGSKFPGIE -YAMQGFKTRREAHEMAMTDALSAFDKLLNGERVKPHDVRLGGRGKVAEMDRTAAEAKPPAVGRLILMMSQ -RDLLLCGVTENLLTRAYTPAQFPIAVGQSWFHGGATEFVDRFYPYTEYFCFDAKKFDSSIDRWMVRIAIN -ILRHQFFEGEESKYDAYWTFVEESLLDAPIYRDDGVRFQKHCGTTSGHSHNTLIQSIITLLLAYTTMLFL -HPELSVEEILENMWAESLGDDNILGVKGPLAGHTVEEIAQVVYDIFRVDWFGKKSFKTTCLLDAITGAFQ -GLQFLGKYFRIGEYPTADKLVDMPIPYRPFKETYLRLLYPEYGAHTPTETWLRTLGNYLDAAGNPQAETW -LSGLLTWLEDRVETPPEEWPANYKRMVSRDYSHVGIEVPKPVRINYEQWRDLVVMSRADYVNTYKPALVK -Q - ->YP_007985675.1 putative RNA-dependent RNA polymerase [Cryphonectria parasitica bipartite mycovirus 1] -MSLKRKASTISSDNGSLSIPSGSTSTRRRKNMRRVARTQEQLNSMNSLRQRFQHIQVLERVPAYAKPDDT -LVTAPPDPLVVEFSKENPPPTGDIDFSSYTFVKACTPVEMAHLQNFDRPDHCPNDKYLPAIRQAADHVAD -LLQLKYKLFFPEKSALDPVRYYPGKFAGIEYAQIGLKTRKEADPLAQADAERAWDRLMRGERVQPHDVRL -GGRGKVTKMVEKEGGLSAPAVGRLILMLSHRDLKLCGATEAPLTQSWSAPCFPIAVGQSWYHGGVKEFVD -RFVPHERFYCFDARKFDSFINPWMVDLAVRICRLQFHDGLNQRYNAYWNFVRESLLAAPIYRDDGVRMQK -RVGTTSGHSHNTLLQSIITLILGYAAIIILHPGLSSKDLEAYAWLESLGDDNVMGLSAPIADKTVEQIAR -VMWDAFQIDWSGKKSFATTRLLDATQGEFQGLQFLGKYFYLGDYPVGDGAMQQPIPYRPASETYLRLLYP -EYGDLPTPQTYLRALGNYLDAAGNRAMEAWLTAFIEWLQPQLSYEPNEWPDNFKRMVSRDYSNVGVEVPR -PRQIDFQQWRDLVVLGREAYRRLWRTAESQHM - ->YP_003288790.1 putative RNA-dependent RNA polymerase [Fusarium graminearum dsRNA mycovirus 4] -MSAAPVHFEPLQTATVRWPQSDVGRTTLPTIFISVTKGASPAPVSQGPATTHAASAHGGLTTRHPSAAAN -RHRTPSISLFGAEARIRRLTDHERSSSPLRATPDRDRALAAKRRWEDDTADDLYIGDQGSIASSSEPRAS -YNTRRQRSWRANHKASLRAAARLRHKPEFNHIRVDTVDDFHRHYRPGFSFAPPDPLIQQFVEIHGTPNPS -CDPEKLCFMTPCDDVQMNHMKHFDRPVRQLNPLFDKQYQLALVGVAQMIRLDKKLTFPHAEDLETVRFKA -RKFPGAYYRRLGYASRGEAQEQALVDAKLAFAQLLDGEDVEPHTVRLGGRGKAVHQSQAAAKAAGIPKGR -LILMLSQRDLLLCGVTEQLLTSAYCADDYPVSLGMGWFKGNVRKFSERYAGFKKFFCFDAAKFDSSLDDY -MIRDVVNLLRQQFENGMDDKYDAYWEFVIQSLIYAPIQRDDGWIMFKSVGTTSGHNHNTLIQSICSLVIA -YTNYLAVLPDTPPQDIFNDAAVETLGDDNLTATEGLLEGISVEQVALTSARIFGQDYTGDKSFATYSLLD -MWDEEEEFTEEGKFQGLQYLGKFLRGHKLDLDGRKITVALPYRPCEETFVHMYYPERKSEGVERTYQRAL -GNLLDNYGNPMMADWLNKLLDWLEPQMELLPTDWLEDTVQDAARDYTSDLVRVPRPLRWTFEEWVHLCLS -SDDSDPEWYMYA - ->YP_001976144.1 putative replicase [Curvularia thermal tolerance virus] -MAAMSAMTRTGTLFANLSWRLLSAGNDGIRLQKRVGTTSGHSHNTLLQSICTLIVGYGVLMATHPDLTDE -EIKAAAQIESLGDDNITGTKAPLQPLPVEDVADKAWDMFGIDWSGKKSFATTAVLDATALQFQGVQYLGK -YFRREEYPLDEGTVVVAIPYRPFKETYLRLLYPEYGSLEADQTWLRLLGNYLDAAGNPVTEKWLQGFMDW -LEPQVVAPPQVWPSNFQRMVSRDYSGIGIEMPRPERMCYEQWRDLVVLPRDDYRQLWKASDPNDDALYEA -EY - ->YP_001976143.1 putative replicase [Curvularia thermal tolerance virus] -MSTPFERDMLYTTEFKIPVRGDSSDRLKRTFTQFAASDPSTSPISGGSSQSPETRRRKFKRRRAAMRGNM -DEQLRLKDLYQQIQVVGTTRPYAQLRNELLTAEPDPLVIEYSKLNPPMDEDLSPDNWTFVKANTLVEMNH -LKNFDRPPRDMPVEHSETIMRAANLVADLLELPELLRFPTKEALAEVPYKADKFAGLVYAEMGLKTRGEA -DAVAQMDAEWAWDQLLSGHRVQPHDVRLGGRGKVTQHTKTELEETPPAVGRLILMLSHRDLKILGTTEKL -LTSAWLAEQYPISVGLSWYHEGTQAFVKRFLNFTEFYCLDARKYDAFLDPWLIQIAINICREQFVDGRDE -RYDAYWDFVRESLVEAPICRE - ->AIU98624.1 RNA-dependent RNA polymerase [Gremmeniella abietina RNA virus 6] -MEFGDPSFGGEDLQALDFEFGDLWQPGQRVSVMRAEKRKREWEDETVDDVLTLNGSLRGPPSSGGSYSTR -RRKTNRRNQEANARSAARLRHRPEFKHIAVVDGGVFKRHFKPGLQFAQADPIITEYMESKQQFELLKQMG -NKCMMTPCDEAQMRHLQFFDRPPLRASRPQSAELDRALQFVRKILTVPGGLEFPHAENLEGVRYKARKYP -GNEYHQMGFTTRGEAQEAALVDAKLAWSQLMAGERVEPHQVRLGGRGKLVNKSQKDAEEDGTPKGRLILM -LSQRDLLLLGNVEQILTEAYKDEQYPMSIGFGWFGGNVTRTVERLGRLSKYFCMDAEKFDASLDPWLVQE -ALRILRAQFAPGWTDGGTAYWAFVEETLLEAPICRDDGWVMLRQVGTTSGHSFNTLVQSVCSLILGYTGL -LCNTAREKWGLVLEESEIETLGDDNETGVGPTLEAMTGLQYGRPVRDLTGVNWLGDKSFATDTLLDLEPF -PEDGTEDGRFQGVQYLGKYLRLIDIPAELGGGEAVVPYRPMEETVARVLYPEREARDVLHLYERVLGNLL -DGYGNPLTARWLNELLDWLEPKLAFMPTTWTSDSVQDAARDYTAVEVEVPKPKRWSWEEWLVLTLSEKRD -AQDMYIVC ->YP_009182335.1 putative RdRp [Penicillium aurantiogriseum bipartite virus 1] -MSYETRPLHAGEDLKRSFQQFAQSDPSTSQPTQRSDQSPETRRRKFKRRKGQIQRRLEEQLRIAEIYTHI -SVIGTRAPYAQLRNELTTADADPVIVEYCKENPPADETLTSDNWTFVKANTLVEMNHLKNFDRPVRVMPD -QHTDIIMRAANIVADLLELPQLLRFPLKGDIQDVPFKGDKFAGMEYADMGMKTRKEADPVAQQDAEWAYD -RLLAGHHVSPHDVRLGGRGKVTQHKRTEVEQSPPAVGRLILMLSQRDLKLCGITEKLLTSAYLDAKWPIS -VGDSWFHEGTHGFYKRFRNYTNYYCLDAKKYDAFLDPWLIKIAINIIREQFVDGREPAYDAYWRFVEESL -ISAPICRDDGIRLQKNVGTTSGHSHNTLLQSICTLIVGYGVFISLNPELSDAEIKSAVHMESLGDDNISA -TKDPLPEFSVEQIAGKAWDIFGIDWFGKKSFATTAVVDVTPLQFQGVQYLGKYFRREEYPTEDGPVGVVI -PYRPFGETFLRLLYPEYGTLDVEQTWLRVLGNYIDAAGNPVTERWLQGLLDWLEPQVEATFAQWPSNFLR -MVSRDYTGVGVEVPRPERMSYEQWRDLVVLPRSEYKSAWKAEEEEDTALYDPEI ->AFZ85210.1 RNA-dependent RNA polymerase [Rhizoctonia solani dsRNA virus 1] -MSYRSFTNEEPWDPQVNPKKRSLVTGDDSPETEWRMKSNTELVQGVLRIRNFRVDYLDGPVADADIVIAD -MPCVRAQRPGSTREYTSLKRLKDFDHIETRSSFGSEHSQNAGEKTGKSGQRSRRNAIRKSRATEEMLDAL -NEEFEHIQVYKAPVALGKFDPEIHFAPPDPAVLNYLYTDEGRVENFQPHEHCFMLANGGAQMKHLKMYDQ -PTLAGPDFDNLEDPEAGRVALEHAVDFVQDLLRLDTLLPRLDERAAENVRYDPTKSAGVHYRLKGLKTRG -EAYEVALAEAKVALVALFSGEYIEPRPTRMGGRGKPVSMSEQRAREENVIKGRAIHMTDTRDHIILGFSE -QPLNDAWKDDRFPVSVGRGWMHGDATRFAIKHQGGGHIYCFDAEKFDASLMPWLIHVSITIMRMQFERGL -DHDFDMYWQFVEESLLHSFVFRDDGIIFEKRHGTSSGHNHNSLAQSIATCIMAAFHTFYLNRALPVAVIR -RNLTFEGLGDDNITVETAVLEDETVEKRAQRNWEVFGVSWGGEKSFEVDTLVGLPIADHDWDESAMYGTA -QYLGKYFRFAKLLLKDGHKVPVVLPYRPLKETIVRLLYPEHIGNWTSMEEFLAAMEKSRGGRLRGHFIDG -SGNPLTRKWLNGWWDWASSEGFKFPEGEDHALQARWERLGVDVDNGLALECDDFESWPRIAS ->ALO61393.1 putative RNA-dependent RNA polymerase, partial [Curvularia virus 2] -HDVRLGGRGKITKLTEDQARTDPPPVGRLILMMSHRDLKLCGITENQLTKAYSSDKYPIAVGQSWFHGGS -SAFLSRFVRFKKWACFDAKKFDSGINPWMVRIAINILREQYYEGFDERYDAYWEFVFQSLVRAPIYRDDG -VRFGKEVGTTSGHSHNTLIQSIITLLLGYSVFSILNPGQEEEWLRDNMHLESLGDDNIVGATDALNEWTV -ESVARIMWEAFRIDWGGKKSFATTRLLDPSPGDFEGVQFLGKFWYLADYPEEDRAVKVPLPYRPVHETYL -RLLYPEYGSLEPEQTYLRVLGNYLDAAGNRAMEDWLQRLLDWLDDKVEDVPTEWPANFKRMVSRDYSNVG -VEVPRPKRMVFEQ ->ANR02696.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mycovirus 2] -VHYRLKGLKTRGEAYELALAEAKIALVALISGEYVEPRPTRMGGRGKPVKMSEEEARAQGVIKGRAIHMT -DTRDHIILGYTEQQLNDAWKDPEYPVSVGRGWMHGDATQFAMKHQGGSHIYCFDAEKFDSSLMPWLIHIA -ITIMRMQFERGLAHDFDMYWQFVEESLLYSFVYRDDGIVFEKRHGTSSGHNHNSLAQSIITCILAAFHSF -YLNRSLPVATIRRNLTFEGLGDDNITIETSLLIDETVEARALRNWSVFGVSWGGDKSFEVDALINNPAAD -ANWVEEEMYGTAQYLGKYFRFATLQLANAQTARVVLPYRPLKETLI ->YP_009134757.1 putative RNA-dependent RNA polymerase [Rhizoctonia fumigata mycovirus] -MDHARDFDVISSNATNPLKRSREEADLGTAGEAPWRTPVNGATINGVFRIRKFDVDYLNGPVADAEVVIA -GLPRVKEVVPESCDALRGMKVLNDADHITTAVSSESLSGTGNGGTRRRNSRRSRKNKVKTTAKSGGSLDD -LKEMFKHITVHDAQVRLGKFHDEITFTPADPAVLGYLQSPEGEIPGFDPSEYCFMEASGGVQMRHLKMYD -EDTSLGPDFSLLEDPEAGRRALEHAIDHVQDLLRLPNKLPRVDRIPLEGIRYDKSKSCGAHYRLQGFKTR -GDVWEVAMFEAKNALVKLWDGEYVEPRPTRMGGRGKLVKMSQERAVAEGVAKGRAIHMTDTRDHIILGLS -EQPLNDAWKPDNFPISVGRGWFHGDATRFVRKHAGASRIYCFDAEKFDSSIMPWLIHIAVTIMREQFMEG -LRHDADMYWQFVEESLLHSMVFRDDGVLFEKYHGTSSGHNHNSLAQSIVTCILASFNVFYKNRELPVAVI -KANFTIEGLGDDNITCETDVLADETCEERGMRTWNVFGVSWLGEKSFQTNTLCQPVVDEAEWDEEGMFGS -AQYLGKFFREDVLEVPSLGQVKVVTPYRPQVETVLRLLYPEGIMRGDAHGDFEEVFERARGERFAGHILD -GSGNPRTRAWLDGYWQFCHDNLLVIELTGHHSLEKRLARLGVEIDVDDAVYDDGTAGWLRLVNRRRDGNW -EFLQIV ->AMK47912.2 RNA dependent RNA polymerase [Lactarius rufus RNA virus 1] -MPFKRPLPTDLHDDLDDFDARSIGSAESFPSTIVNSAGSAVGFESPRKARFVKQFRRKKFRKYEGRVAEA -ETIAASFQHIQVSYANCTARKVSGELKFSDPCPFFKEFLDTEGQAYRNIVDLDNFCFMRACDAAQLKHLH -YFDRLPREFNTEFYGIRDDAMAIVEGLILTGEEYQFPTTTDLYDVPFKPNKYPGFEYARQGYKTRKEADP -VATADAILAFNRLLDGGTVDPHKVRLGGRGKVVAMSREKAKETSTIKGRLILMLSQRDLKILGATEKILT -NHCKRWSIPIYVGNSFFFKGSEDLCSILSGYSRFYCFDAEKFDAAIDPWMIDVAVGLVRNLFVDGPTDTY -DNYWDFVKNTLLYAPIVRDDGVIFYKKVGTTSGHSHNSLLQSIITIMTGYMVLIANNPDLSREEIYEHSY -VVGLGDDNLIATRDPIKPMTCEEGAALAMKLTGINWSGSKSFETGSVWDLFTKIQDFANTEHFQGVQFLG -KYFRGFMMNNDEDEVYKDEEAVVTTIIPYRPFDETMVRLYYPEREPSMIARRYFTMGNLAYLRACGHYID -AAGYPVTREMLDLYLDWLEKKEHGTSMVMEGDVLRKVFGSDANEAVKPKMLKRMHFGDWVRLVVLDRPTC -ERALLAPPQMSSSFNVFSSDESADAMMQWEEEASTASETFIGVGGIEMEL ->AEZ35183.2 putative RNA-dependent RNA polymerase [Heterobasidion RNA virus 6] -MSTPSSFQNISLPPTPRFKRLRDEEDDASSSSYTGTSRSVLTGDNSDGTSHAERRKKRRKTKKELFAEGE -VGELIRRFDKLRYVARAVPYRALDRQPILADPDPVMVEYMKTHPEVRIPCDPAEWVFVKANSVVELNHLD -RFNRTYTDLTMEHMPAVTLAAGHVEDLLRLPAAIVFPTIDDLDKVRFQGDKFAGVEYAQIGMKSRREAHE -RGLLDAREAWEKLMRGEAVTPQLNRIGGRGKLTTKKKFEASGEKPTAGRLIFMTGHRDLLLNGVTEQLLT -NAYRPDEYPVSVGNSWWHDGCRRFFERFKKWELFHCFDAAKFDSSLPPWLIKISLNILRSAFFDAWNPKY -DAYWEFVFQGLVYAPVYLDNGLMFIRNGGSTSGHSYNTLMQSICTLVMIYACMIELLGADRAEEVFANMW -AEGLGDDQHTGMSGPLVKYDIDDIAPVALAIAGIDWTGDKSFNTGRLVDTKLGDFQGTQYLGKYFRILNE -DVGGIRAQGVVPYRPFDETFLRLYYPERGDQGWTDAWMRALGHYADGAGNPETREFLEGYLDWLEDRVES -DKFEWDEKWKRKFNNHDLNKAVPVPARRIAYEDWLGLVLIDPSSED ->ALO61398.1 putative RNA-dependent RNA polymerase, partial [Curvularia thermal tolerance virus] -HDVRLGGRGKVTQHTKTELEETPPAVGRLILMLSHRDLKILGTTEKLLTSAWLSEQYPISVGLSWYHEGT -QAFVKRFLNFTEFYCLDARKYDAFLDPWLIQIAINICREQFVDGRDERYDAYWDFVRESLVEAPICRDDG -IRLQKRVGTTSGHSHNTLLQSICTLIVGYGVLMATHPDLTDEEIKAAAQIESLGDDNITGTKAPLQPLPV -EDVADKAWDMFGIDWSGKKSFATTAVLDATALQFQGVQYLGKYFRREEYPLDEGTVVVAIPYRPFKETYL -RLLYPEYGSLEADQTWLRLLGNYLDAAGNPVTEKWLQGFMDWLEPQVVAPPQVWPSNFQRMVSRDYSGIG -IEMPRPERMCYEQ diff --git a/seq/clusters_seq/cluster_329 b/seq/clusters_seq/cluster_329 deleted file mode 100644 index 7c6be50..0000000 --- a/seq/clusters_seq/cluster_329 +++ /dev/null @@ -1,135 +0,0 @@ ->YP_010086212.1 non-structural protein [Tomato yellow ring virus] -MSTVKTTAVEFFSNYGISCDSRSSNDCYRIFSGEGKTLMDVFMHSTIGIKPAFSVGNLGRNEDIKANEAE -IIDEHHYYDVFDKLGLDISFCNHFMEITVKKPSVKNYETKFQMHNQIFEPSTQLLRHGIGKMTESDFYAC -SNLSKDEIYPSEWFINEARRKNFFIADVSGFSLDYGFSVMGKTTSYWKENMDKTSLISVKQKSMNNPLVP -TNRLLSASTIKAIEIASNIACNKSIILAVKQDLTSDLKTQFRISLPGEYNETAIARTFLINQGRKGQYIC -IYAKTVMDKSNERTTLILKIVTQSRPGEYISTLLPKDHSDCRRVVGASFGIVEQKPTDPNYNKIIAHELL -SVHTNFALKISKVLKKPVIVYKIYEKELLPRKVEIEGRTFNYQEDIDGNVYFLSTTLAILPLSVSVLSYL -DSASPSCWKESRGLGHFTVEELQ - ->YP_009553290.1 NSs [Alstroemeria yellow spot virus] -MSTVKTTAVEFFSNYGTSCDSRSSNDCYRVFSGQGTVILDVFMHSTIGIKPAFSITNLGRNEDIKANEAE -IIDEHHNYDVFNKFGLDISFCNHFLEITVKKPSVKNYETKFQMHNQIFEPSTQLLRQGIGKMTEEDFYAN -SNLSKNDLYPNEWFINEAKRKNFFLADVSGFTLDYGFSVMGKTTSYWKESMEKTSLISVKQKSMNNPLVP -TNRLLSASTIKAVEIASQIAFDKTTILAVKQSLNSDLKTQFRISLPGEYNEAAIARTFLINQGRKGQYIC -IYAKTVMDKSNERTTLIIKIVTQNRPGEYVSMLLPKDHSDCRKVIGASFGIVEQKLTDPNYNKIIANELL -SVHTKFALKISKILKKPVVVYKIYEKELFSKKMEIEGRTFNYQEDVDGNVYFLSATLAILPLSVSVLSYL -DSASPSCWKESRGLGHFTVEEVQ - ->YP_009665191.1 nonstructural protein [Groundnut yellow spot virus] -MSEIDLNKDEIIIPKEYDPEYCKQALLSHGSQVEKASSLDVYTIYEKNTLAFESIHITNSKFKASSGYGI -SGYVLNKDKVEASAGDLKLHNLETSTNSQDFYDSFNGPSGVDSTISLTGPEIVITISHPKQSAYAYKHTF -HGRIAANGETKAMISDFEEFVDVYSSNPYNFLYNPKILSIEETSSTILFPVEQIGTLPSTISVFGRSFDK -NHFSVDDANKYMSVKAVSDDAKYSNQGSHRQLHNKNLKAIEIASVSGIMPNTISKTTMQTKKNCTVYIQV -QILDPSCKPEKRECLIQAKDDPYQRLVYCKSECLGQTKPGISTFLFKIICLDCTQSEVSHPTTYFAGALS -TCLDSEEMPSLVRSAPKNLTAMVDTDREKINEIICKNLVAVHLELAQDISKKLNKPINVFTIKDTSNMTG -DTVDVNGKKFRILKDSSGDCYFTSATFEKTFIGVYKSCQTFIDYCDNKKLSINGDNVFIF - ->YP_009513001.1 nonstructural S protein [Polygonum ringspot orthotospovirus] -MSTVKTTAVDFFLNYGISSDSRSSNDCYRIFSGIGDPIMDIFMHSTIGIKPAFSISGLGKNEDIKAHEAE -VIEEHHNYDVFNRFGLDINFCNHFLEITVKKPSVKNYETKFQMHNQIFQPSRRLINHGMGKISENDFFAL -TDIAKENIYPRDWFINEAERKTFFMADIAGFSLDYGFSVMGKTTSYWRENLEKTSLISIKQKSAGNPVVP -TNRLLSAGTIKAVEIASAIASDKTTILAVKQDLDADLRTQFRISLPGEYNEAGLARTFLINQGSKGQYIC -IYARTSLDRPNERTTLIIKVVTQKRPGDYDMMMLPRDHANCRKLVGASFGTVERKLTDPNYNKIIAHEML -AVHTSFALKISKILSKPVIVYKVYEKELSPKAVEVDGRTFNYNEDVDGNVYFLSKTLEMLPISLSVLVYL -DSAAPPCWKEHRGLGHFTVEEVQ - ->YP_009505542.1 nonstructural NSs protein [Watermelon bud necrosis virus] -MSNEKSVASEFIKSYGTRDNRAVNDCYSVFSGEGVNFLNLFMHNNAGIKSAFSINDLGRNEDIKIHEAEV -IDSCHDYHYFEKFGLDITFCEHEMGLVVRKPGVKNTGCKFTMHNQIFNPNSDVLDLAPGTTSEDIFYEKS -KIRPIGMTPSGWCLDECRRNNFYIAANGELALDYGFSVMGKTTSYWRENMSREKILSVKQKSLPDNTVPT -NRLLSTSTVKGIQLGSELASDNTVILSLKQNLNIDLKSQYRISFHGIQEEGAFARTFCVPFENKSRMICM -YAKTVVDNSNERTTLIIKVVTKTVDSRLVIPIRNHANCTRKIGARIGLVDFCDTDPNYNQMIVKELLSVH -TQFAIKLSEVVGKPIIVFKMYDKELSNNHVDVFGRILNYQTDTEGNIYFLSKTLEVLPKSLSTLSYLGSI -APIQWIECLEHQHFVVIAN - ->YP_009449457.1 NSs [Calla lily chlorotic spot virus] -MSTAKMSAVEFSKTYGTKDSRSVNDCYSVYSGTGVNFLNSFMHTNAGIKSAFSINDLGRNEDIKIYEAEI -INSLHSYNYFEKFGLDIILCSHIMDVIVTKPNVKNTGCKFQMHNQIFNPNEGTLAKIPGVVTEEDFYEIS -KINLKGLEPFGWCVDECKKHDFYISNSGDISLDYGFPVMGKTTSYWRENLPKEKIISVKQKCIPNVSALT -NRILSLPIVKAIQIGSELASEKTVVLASRQRLDIDIKSQYRISFPGIQDEGAFTRTFCIPMENTSRIICF -YAKTSVDTSNERTTLIIKIVNKTVESNCSGPVPKDHIYCDKSIGARVGLVDVVRGDPNYNLMIAREMISV -HTNFALRLSESLKKPVIVFKMYEKELSFETHDLSGRSLSYQKDSSGNIYFLSRTLEILPKSLSALTYLKS -ISPACWKESISMQHFYVGDLEEEISPGSYLSEFENNSENV - ->YP_009345141.1 nonstructural protein [Pepper chlorotic spot virus] -MSNVFITAAEFLKTYGTKDTRSVNDCYSMFSATGQNFLNLFMHSSPSIKTSFSINELGRNEDIKLHESEF -LEDHQCYKHFEKFGLDFTFCGHTMNIVVSKPDVKNTGCRFVQHNQIFLPNQSTSENVGEDLQKEKFHEIT -NIENYCMTPNAWVIELCLRSNFFISASGDYKIEYGYPVMGKTVSYWRENLPKEKMLSVNQKVLQGTSRLT -NRVLSPSAAKAIQVAAELVKDENTILSVRQLLTEDIKSQYRICFTGALEEGSFTRTYKIRAGQQDRIICI -YAKTVIDSSYESTTLIVKVVNKSIQSNYHDMLQNHSDCKAVSSSLGITDSFNGDPNYNQIIARSLIKTHT -LFALELSKYLEKKVIIFMLYEKQLTKKTMPSPVRDLAYLEDSDGNVYFTSETLKMLPKSLSTITYLKGIA -PSCWKESIEDQHFYVEYKQPTTSTQTASGTSS - ->YP_009259255.1 NSs [Tospovirus kiwifruit/YXW/2014] -MSTAKMSAAEFSKAYGTKDGRSVNDCYSIYSGSGINFLNLFMHTNAGIKSAFSIDDLGRNEDVKLHEAEM -INSLHPYNYFDKFGLDIILCNHIMDIIVSKPGVKNSGCKFQMHNQIFNPNDETLSKTPGILPEEDFYGIS -KIKSKGLTPLDWYMEECKKNEFFISENGDIVLDYGFPVMGKTTSYWRENIPKEKILSVKQKVIPNSSALT -NRVLSPSTVKAIQIASELASDKTVVLSSRQRLDFDLKSQYRVSFPGIQDEGAFARTFCIPTDKYARIVSL -YAKTTVDFNNERTTLTIKLVNKTVDSICSGPPQRDHMYCDKSIGARIGLVEVVRGDPNYNQMIAREMIIV -HTNFALKLSEALKKPVITFKMYEKELEYESYDLSGRMISYQKDSTGNIYFLSRTLEILPKSLSTLTYLKN -ISPACWKESISMQHFYVGELEDVEADPLKPSCSETKGEI - ->YP_009241382.1 non-structural protein [Iris yellow spot virus] -MSTVRTTAVDFLSNYGISCDSRSSNDCYRVFSGNGKILMDVSMHSTIGIKPAFSVGNLGRNEDIKANEAE -MIDEYHNYDVFNKFGLDISFCNHFMEISVKKPSLKNYETKFQMHNQIFEPSTQLLRQGMGKMTESDFYTY -SNLSKDEIYPNEWFVSEAKRKNFFIADVSGFSLDYGFSVMGKTTSYWKENMDKTSLISVKQKSMNNPSVP -TNRLLSASTIKAIEIASNIACNKSTVLAVKQDLSFDLKTQFRISFPGEYNETAIARTFLINQGMKGQYIC -IYAKTVMDKSNERTTLILKIVTQNRPGSYNSTLLPKNHSDCRKVVGASFGIVEQKSTDPNYNKIIANELL -SVHTNFALKISKILKKPVIVYKTYDKELLPKKVEIDGRTFNYQEDIDGNIYFLSTTLAILPVSVSVLSYL -DSASPSCWKESKGLGHFTVEELQ - ->YP_009126739.1 NSs [Mulberry vein banding virus] -MSSAKIAASEFIKIYGTRDNRAVNDCYAIFSGDGINFLNLFMHNNAGIKSAFSINDLGRNEDVKAHEAEI -VDKCHDYNYFDKFGLDVKFCGHVMTIVVKKPGVKNVGCKFSMHSQIYNPNADVLAVTPGTVTEESFYEMS -KIKPNGLGPAEWYLEECKRNNFYVASNGDVTIDYGFSVMGKTTSYWRENISREKILSVKQKSLSDSTVPS -NRLLSTSTIRAIQLGSDLAPENSTILSCRQNLGLDLKSQYRVSFPGIQEEGAFAKTFCVPCGSKLRMIYF -HAKTVADNSNERTTLIIKVVTKTVDSGLSIPARNHITCDMTIGARIGLVGFVNDDLNYNQMIAKELIAVH -TSFALKLSEILKKPVIVFKMYDKELEYDSANLMERALSYQKDAEGNIYFLSKTLDILPKSPSTLIYLNSI -VPNYWKESAEGQHYTVEFK - ->YP_001740043.1 NSs [Tomato zonate spot virus] -MSTAKMSAGEFSKTYGTKDSRSVNDCYAIYSGNGINFINLFMHTNTGIKSAFSINDLGRNEDIKLHEAEI -INSLHPYSFFDKFGLDIILCNHVMDIIVTKPGLKNTGCKFQMHNQIFNPNEDILAKTPGIISEENFYDLS -KIKPKGMTPFDWYINECKKYDFYISDNGDISLDYGFPVMGKTTSYWRENIPREKIISVKQKCLPNTSALT -NRILSLSTVRAIQIASELASEKTVVLASRQRLDLDIKSQYRISFPGVQDEGAFTRTFCIPMDKTARIVCF -YAKTSVDVSNERTTLTIKIVNKTVESNYMGPVPKDHMYCDKSIGARIGLVDIVRGDPNYNQMIAREMISV -HTNFALRLSEALKKPVIVFKMYEKELNFETYDLSGRSLSYQKDSSGNIYFLSRTLEILPKSLSTLTYLKN -ISPACWKESISMQHFYIGEQEEGPSGSNLAESEEKVQTA - ->YP_717922.1 non-structural protein [Capsicum chlorosis virus] -MSTSKGAASEFVKSYGTRDNRAVNDCYSVFSGEGVNFLNLFMHNNAGIKSAFSINELGRNEDIKIHEAEV -IDTCHDYSYFEEFGLDITFCEHEMNLVVKKPGVKNTGCKFSMHNQIFNPNTDTLSITPGTISEETFYEKS -KIKLDGLLPSDWCLDECCESNFYIATNGDFSLDYGFSVMGKTTSYWRENISREKILSFKHRSLPDNTVPT -NRLLSTSIVKGIQLGSELASDTTVILSCKQNLDVDLKSQYRISFHGIQDEGAFARTFCIPFENKSRMICF -YAKTVADNSNERTTLIIKMVTKTVDSHLMIPAKNHINCNRLMGARIGLVDFCNVDPNYNQLIVKELLGVH -TQFAMNLSGTLKKPIIVFKMYDKELINKHVEASGRILNYQVDAEGNIYFLSKTLEILPKSLSTLSYLNSV -TPTSWKESLEHQHFTVELK - ->YP_717920.1 nonstructural protein [Melon yellow spot virus] -MANAYLTAVGYVKAYGSKDKKAVNDCYAVFNGEGTNILNLFMHSNSGVKSAFSINELGRNEDMKIQEAET -LTTLHDQKFFDHFGLDIIFCGHVLNVIVRKHDVSNIGCKFSQHNLIFCPNEETLDFTPTDKGLSLSEEEF -FKATKITSEDFHPQGWCIEECKKNRFTVAETSCLELNYGSPVMGKTVAYWRENISGEKLVTVKPKCINVP -TALPNRLLPSSTVKAIQIGSELAKQATVVLSARQSLSQDLKSQYRVTFPGVLEDLAYSRSYLVPFGAKNR -IIRFDSRTISDRENEQTTLILKTSTKTIESNFINRAQEHKNCIKSIGERLGLVDFIVSEPNYSQIIASDF -LRLQTVLSLRVSKHFKKPVIVYKLYDKETELKKAKIDGKFVSYNEDSEGNVYVLNKTLDLFPKSNSSFVY -LSRTMSPFWKEFPFEQHLVVDYNEFLERYLNSSSDSEEEPSTSSTSSTS - ->NP_620770.1 NSs [Watermelon silver mottle orthotospovirus] -MSTAKNAASEFIKSYGTRDNRAVNDCYSVFTGEGVNFLNLFMHNNAGIKSAFSINDLGRNEDVKIHEAEV -VDSCHDYHYFEKFGLDITFCEHEMNLIVRKPGVKNTGCKFTMHNQIFNPNSNILNMTPGTISEDAFYDRS -KIASSGLLPSGWCLDECYKNNFYIATNGDLTLDYGFSVMGKTTSYWRESMSREKILSVKQKCFFDNTVPT -NRLLSTSIVKGIQIGSELASDTTVILSCKQNLNIDLKSQYRISFHGIQEEGAFARTFCVPFENKSRMISF -YAKTVADNSNERTTLIIKVVTKTVDSHLVIPIRNHVNCEMKIGARVGLVDFCDSDPNYNQLIVKNLLSVH -TQFTINLSEVIQKPVIVFKMYDKELHNDYTEVSGRILNYQVDSEGNIYFLSKTLEVLPKSLSTLSYLGSI -APIQWKECLEHQHFVVKAE - ->NP_619700.1 non-structural protein [Groundnut bud necrosis virus] -MSTARSAASEFVKSYGTRDNRAINDCYSVFSGEGVNFLNLFMHNNAGIKSAFSINDLGRNEDIKIHEAEV -IDSCHDYHYFEKFGLDIIFCEHEMSLVVGKPGVKNTGCKFTMHNQIFNPNSDTLALTPGTVSENEFYEKI -KIRSNGLLPSGWYQDECCKNNFYIATNGDLTLDYGFSVMGKTTSYWRESISREKILSVKQKCLPDNTVPT -NRLLSTSTVKGIQLGSELAPDTTVILSLKQNLNVDLKSQYRVSFHGIQEEGAFARTFCVPFENKSRMICL -YAKTVVDNSNERTTLIIKVVTKTIESHLVIPIRNHINCVKKIGARIGLVDSIESDPNYNQMIVKELLGVH -TQFAINLSLVIKKPIIVFKMYDIELNNNHIDVYGRILNYQTDAEGNAYFLSKTLEVLPKSLSTLSYLGSI -APIQWEESLEHQHFIVKPE - diff --git a/seq/clusters_seq/cluster_33 b/seq/clusters_seq/cluster_33 deleted file mode 100644 index 4acbf5d..0000000 --- a/seq/clusters_seq/cluster_33 +++ /dev/null @@ -1,983 +0,0 @@ ->YP_009173866.1 polymerase [Hepatitis B virus] -MPLSYQHFRRLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPHWKTPSFPNIHLHQDIIKKCEQFVGPLTVNEKRRLQLIMPARFYPKVTKYLPLDKGIKPYYPEHLVNH -YFQTRHYLHTLWKAGILYKRETTHSASFCGSPYSWEQDLQHGAESFHQQSSGILSRPPVGSSLQSKHRKS -RLGLQSQQGHLARRQQGRSWSIRAGFHPTARRPFGVEPSGSGHTTNFASKSASCLHQSPVRKAAYPAVST -FEKHSSSGHAVEFHNLPPNSARSQSERPVFPCWWLQFRNSKPCSDYCLSLIVNLLEDWGPCAEHGEHHIR -IPRTPSRVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRILNNQHGTMPDLHDYCSRNLYVSLLLLYQTFGRKL -HLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLFTAVT -NFLLSLGIHLNPNKTKRWGYSLNFMGYVIGCYGSLPQEHIIQKIKECFRKLPINRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADATPTGWGLVMG -HQRMRGTFSAPLPIHTAELLAACFARSRSGANIIGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLSRPLLRLPFRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAWRPP - ->YP_009553236.1 polymerase [Domestic cat hepadnavirus] -MHPFSPLFRSIRLSEHGEQRVDLLGPPEDVLPLLADADLNLRVADVLNLQLSQTAEPWVHKWGSLTGLYS -LKEHAFNKEWKIPSFPNIHVPADFIFKVSQLWGPLTKHELRRLKLLFPCRFFPRHTKYFPLQKGIKPRYP -DYSLHHFLLTALYLHDLWTAGITYRRESYHSISFNGQLYPWEQKLHLSHGSQSEHSKSIGVFPSSQSRPD -GPRGYFGGFRSQSPQRSLAPIQPPVPWCLGARLCSSTWRSLGLSQFRNPGGGVRSTNHQSSSSQKGEGSN -AFNSSCSRYASSNVAKQLETEVRLSPSAQDNCCTWTYFATTDYCGPYCLKHLVSAVDDWGPCRQDGQHYL -RSVRIPPRVTGGIFLVDKNTNNRAECRLVVDFSQFSRGHTRVHWPRFAVPNLQALTNFLSSDLYWLSLDV -FAAFYHLPLAPGAAAHLLVGSPGLEGFASGMSFSSWGLSNREHSLQGMHRLCTRDSLATLMLLYRSIGRK -LHLLAHPVIMGFRKLPMGVGLSPFLLAQFTSSLASMVRRNFPHCMVFAYMDDVVLGAKSVEHLESVYATL -VPTLLSLGIHLNPTKTKRWGKTLHFMGLEISSMGSMPQQKHVQKVRMILRSLPTHKPLDWKILQRLTGLL -GYLAPFTAFGYPALMPLYTAIAHKQAFTFVPAYRLFLVNNYTHLYFVRRQHAMSCQVFADATPTGWGLVN -YYSGRMEGGTFQSPLPIHVAELIAACVARCRSGARVLGVDNTIVCSHRFTHFPWLLACAANWILGDVSFV -YVPSTLNPADAPSRGLLGFRTFPPPLPFRPHYGRVSLYAESPPVSPRLKARVSFASPVRTRADAWRPP - ->YP_009666523.1 polymerase [Capuchin monkey hepatitis B virus] -MPLSCQLFRKLLLLEGDQGPLEEELPRLADEDLNRRVAEDLNLQLPPVSIPWTHTVGEFTGLYSVTPLRY -NPEWKIPEFPNIHLKQDIIKRCTDFVGPLNANETRRLKLVLPARFYPKVTKYFPLEKGIKPHYPDQVVNH -YFKVQEYLHTLWKSGILYKRETTHSASFFGSPYTWEHQLQHGTKPVYHQSSGVLPQSSARPLVQSEFTSS -RLGSKSSQRPLAFSSRSACRGLWTRPSSSSRRFARLESSVTGSSHPVAKRSACSTAQSQLSQSTHSHNTT -TKGHSSPSHDLEYLKLSEGSSRSSSQGAVFPCWWLQFRDSNPCSDYCLHHIVSLRDNWGPCGEHGEHHIR -IPRTPARITGGVFLVDKNPHNSSESRLVVDFSQFSRGDTRVSWPKFAVPNLQSLTNLLSSDLSWLSLDVS -AAFYHLPLHPAVMPHLLVGTAGLSRYVARLSSPARNNNHHHHRTLQDLHAHCTRELYVSLMLLYQTYGWK -LHLYSHPIVLGFRKVPMGLGLSPFLLAQFTSAICSVVRRAFPHCMAFSYMDDLVLGAKSVHHLESLFSAI -TSFLLSLGIHLNPEKTKRWGKSLQFMGYVIGAWGSLPQDHIRAKIQRCFRTLPCNRPIDWKVCQRIVGLL -GFAAPFTQCGYPALMPIYHAIKLKQAFSFSLTYKAFLRDQYLTLHPVARQRPGPCQVFADATPTGWGLVC -GQQRMRGDFVSPLPIHTAELLAACFARCWTGAKHIATDNSVVLSRKYTSFPWLLGCAANWILRGTCFVYV -PSQQNPADDPSRGRLGLLRPLPRLHFLPSTGRTSLYADSPPVPFHRPARVHFASPLHDAWRPP - ->YP_009259541.1 polymerase [Bluegill hepatitis B virus] -MLLGFKLLRRIVHRMPQSSLLWLVDQIISQDAPGDDLPLRLADAANPHVDPALGVLEVNRLSLHSLGHLR -GLYDNPSLTFNPEWSIPPFPHIHLHEDIIQRIPNRKWSLILPARLYAPGATYWKVHKAIKPKYPHYILEH -VVLTTAYLLELYNKGILYKRHSDHVLSFCGEPYSWERKIILNNYTTNGGSTIGPCSGRSEHSTSNGKEQD -SSLRSKNRHSKHPTGKATGRASQTTSTKFATAHSSTSSTKTTSHRHSSFGNRCSSGTGADTPNQRQEENS -SLPRHHGAYGSSDGSDPNVTATDDRVFLRVGARVPARITGGIFLVDKNPRNNREARLVVDFSQFSRVPHR -VRWPRFASPNLTTLANKLPTGLSWVSLDVSAAFYHIPMHPGSVRHTLIGIPGLDRHALLLLLQRVRLHQL -YVFWEQREVLLCMQGLCQLRPVFLGFRKAPMGIGLSPFLLAQFTGIIVQHLRSLFQGVCCFAYMDDVVVG -HHSDFHLASVVHAIHHLLLSLGIHINPEKTKWSGTQLFFLGYKITHSGIFPSDDKVEKLGGLLAKLQSAV -PYDNKILQRLTGHLAFFAPFTMTGYALLQPLYQAIQKGQGWQMSAAYIKLLQHFFSKLVPVRAHVVGFPQ -VFSDATPSTVAFVDYWTHEVHAMPLKTLPIHVTELIAAIWAVQRTGCHILGVDNTIVVSKKMTKYPWLLA -CIANMVLREVSMLYVPSKLNPADLPSRGLQMLSAYPHIHPYRVQDKSHISLHVPRVYQPAKSNRVVSFR - ->YP_009506646.1 polymerase [Pomona bat hepatitis B virus] -MHPFSQLFQSIQSLEEGEVEQLLGPPEDALPLLADANLNHRVAEELLLQLPLHAEIWVHKAGGLTGLYSE -KPKIFNPEWKTPSFPNIHLRHDLCEHIDSRIGLTKGEKRRFKLCLPARFFPNKTKYFPLHNAIKNYYPDH -TLTHFFHTAHYLWTLWESGVTYLRTSQSSASFNGQSYPWEQKHHTHGKQQISSQSLRLPPRSPATNSPKF -RGLGKILGPKSAKGSLAPSIGTLSGSLGTKSSPSSWGSTWNRREFSGSPYQYQKYRRNNQNYYRQKTTNN -YYKNKQDQSIYWNNANKTNITSHTSIQSPKGASTNAPDITSKTDSSTSQYEQDLETEVRQPDNSCPWLFF -NHSPACGRYCLRQCLLLLSDWGPCHRHGDHLIRTPRTPRRISGGVFLVDKNPNNRTESRLVVDFSQFSRG -NTRVQWPKFAVPNLQALTNLLSSNLSWLSLDVSAAFYHIPLSPAAMPHLLVGSPGLEGLASCMSPKSTNN -NHQEMQHLHSLCGRRNLLGLLLLFKTCRRKQTLLGHSFFKGFRKIPTGVGLSPILLAPFPSALASVVRRT -FPHCLAFTYMDDVVLGATTPPHLESVYASVCSLFSDLGIVLNPDKTKWWGKHLQFMGLLITPSGTLPQSK -QQKWAIQLLKALPCYTIFDWKILQRLTGLLGFLAPFTACGYPALMPLYHAITQKNGFEFSPAYKGFLLRL -YSRPLHVVRQRSSTCQVFADATPTGWGLVNHQLALYKSGRFPRPLPIHHAELIAAILARCWSGAKLLGTD -NTIVCSHKFTHFPWLLGCTANWILRETSFCYVPSELNPADAPSRGFLGIRTAPPPLRFRPSTGRTSLFVV -SPPAPTRMPDRVSFVSPVQKRLSTWRPP - ->YP_009175034.1 polymerase [Woolly monkey hepatitis B virus] -MPLSYQHFRKLLLLDEGDPLEDALPRLADEDLNRRVAEGLNLQHLPVSIPWTHKVGPFLGLYSVSTLTFN -PQWKTPQFPLIHLKENLIPFINSYFGPLTNNEKRKLKLVLPARFYPKATKYFPLEKGIKPHYPNDVVNHY -YQVQHYLHTLWEAGVLYKRETTHSASFFGTPYTWEHKLQHGTEPVHVQPAGILSQSSAGPPVQGQCRLSR -LGQKSKQGPLATSPRHGSGGLWSRTSATPWRPSGVEFTSSRVVCHSARHPSSSINQSRQRKETNTSYSSS -ERHSPTSHDLEHVLLPELSSESKGQRPLLSCWWLNFKHCQPCSDHCLHHIVKLLDDWGPCQHHGHHFIRI -PRTPSRITGGVFLVDKNPHNATESRLVVDFSQFSRGNTSVSWPKFAVPNLQSLTNLLSTDLSWVSLDVSA -AFYHLPLHPASMPHLLVGSPGLPRYVARVSSSTNSYRNNNNNGTLQDLHANCSRHLFVSLMLLYQTYGRK -LHLYSHPLIMGFRKVPMGLGLSPFLLAQFTSAICSVVRRAFPHCMAFSYMDDVVLGAKSVQHLESLLASV -TTFLLALGIHLNPEKTKRWGRALNFMGYVIGGYGSLPQQHIRDKIALCFQKLPCNRPIDWKVCQRIVGLL -GFVAPFTQCGYAALMPIYTCIQKHQAFTFSLVYKTFLKDQYMHLYPVARQRAGHCQVFADATPTGWGLVC -GNQRMRGTFLSPLPIHTAELLAACFARCWSGAKLIGTDNAVVLSRKYTHFPWLLGCAATWILRGTCFVYV -PSKLNPADDPSRGCLGLLKPLPRLLFQPSTGRTSLYAVSPPVPFHRPGRVLFASPLQPGDAWRPP - ->YP_009045999.1 polymerase [Tent-making bat hepatitis B virus] -MHPFSPLFRKLRLSEEDLPPEDLLPLLADADLNHRAAAALHLQDQQAIADIPWTHKVNDLLGLYHQKSYL -YNPDWKVPSFDDIHVREDLIDYLDKFFGPLLPGEKRKLKLTFPARFFPRKTKYLPLSKAIKKHYPEHLLG -HFFKCVHHLWVLWEAGILYKREGTHLLSFQGLPYPWEQRHHGPQSVCPKSSGISPGASVTMASNLPRRLG -HVRGQERPLASSSPSRGRGFWPRFGAPSWRPTGLVPNGDLRLYNQSTISQKQTVSTFKKGQSAASPSQCQ -TRYYQVKGQNEYHSSERKPRGSEAVCWWLSFFSTTACGDHCLRHFITNWDRAYWGPCTQHGSSSCWSPRT -PRRVTGGIFLVDKNTRNRKDCRLVVDFSQFSRGPDRVRWPKFAVPNLQSLTNLLSSDLQWLSLDVSAAFY -HIPLVPAASPHLLVGSPGLVGTLASMSIHACSRGENHQLQDLHHFCRRSTLETLMLLHTEYGRKLHLYSH -PIVMGFRKIPMGVGLSPFLLAQFTSAICSVVRRTFKHCVAFAYMDDVVLGARTLSHLESIYTSCVNLLVS -LGIKLNPEKTKWWGPSLHFMGLVINASGSMPQPQHVSKGLTMLKKLPINRPLDYKIMQRVTGLLGFLAPF -TMFGYPLIKPMYTDSAFVLSALYKQCLIWAYSNLLPVARQRPGICVTYADATPSGWGWVSAYSFQVQAGS -FATPLPIFVAETIAALLARLATGARILGVDNQAVASGKYTKLPWLLGCFATMILRGTSFIYVPSEANPAD -LPSRGLLPHRLRRLHLRFRPTTGRTSLYAPCLPVPPRTPDRVSFASPLQTYEGWQPP - ->YP_009045995.1 polymerase [Horseshoe bat hepatitis B virus] -MHPFYQLFQSTQSLGEEEIRVLLGPPEGALPLLADADLNLRVAGDLNLQLPPTASVWVHKAGGLTGLYSD -KPKRFNADWKTPEFPRIHLRPDLISYLTTRLGPLTSGEKRRFRLCLPARFFPKRTKYFPLTKAIKPYYPN -NILTHFFLTSHYLRTLWKTGVTYLRETHTTASFNGLPYPWEQKQQSHGSESISHQPSGLPPGPRYDNMGH -DHLQGHPPGVVLGRPSGGIRPREKETSAVGNQGVPRSLGPVPSPSTWRHLRDRGKRPGNSYNISWGRHRH -KNCDRPKAHQNYSKSDLQSRRVSHPSVFGARHQTRNYCATTSSHRGGQSSSFTASTPRDTQSQPGEATNT -HISTHTGNSPTSEHEQPDVERAIRASHLCPWLFLRNNPACGPHCLRQCTLLLDDWGPCHQHGEHLIRTPR -TPRRISGGVFLVDKNPNNRAESRLVVDFSQFSRGDTRVLWPKFAVPNLQALTNLLSTNLFWLSLDVSAAF -YHIPLSPAAMPHLLVGSPGLEGLAASMSPQSSQPNHDEMRILHNLCGRDNLLSLLLLFKTYGRQLHLLAH -SYIMGFRKIPMGVGLSPFLLAQFTSALASVVRRTFTHCVAFSYMDDVVLGARTPEHLESVYASVCALFSD -LGIHLNPDKTKWWGKHLNFMGLEISPAGALPQHKHQARALQCLKTLPTYRVLDWKLLQRLTGLLGFLAPF -TACGYPALMPLYGAIHARRGFEFSPAYKGFLLQLYSHLLPVARQRRALCQVFADATPTGWGLVNHQLAAH -RAGRFPRPLPIHCAELIAACLARRWSGARVLGVDNTIVCSGKFTHFPWLLGCTANWMLRGTSFCYVPSEL -NPADAPSRGLLGILLAPPPLLFRPSTGRTSLFAVSPPAPSHRPGRVSFASPVRIFKDTWRPP - ->YP_009045991.1 polymerase [Roundleaf bat hepatitis B virus] -MHPFSRLFRSIQSLGEEEVHALLGPPEGALPLLADADLNRRVAADLSLQLPPTASIWVHKAGGLTGLYSD -KPKSFNPHWKTPEFPQLHLRPDLIEYLTHRLGPLTSAEKRRFRLCIPARFFPNKTKYFPLYKGIKPYYPD -NLLTHFFLTSHYLRTLWEAGVTYLRETQSSASFNGSPYPWEQKQHNHGTERINSKPSRVLPGTRSTTRLR -KAGNGPCTRPLHLLGQSPLQRSRQREASSLGKGRSSPRLGSRTHTSSRRPFGVNRDLPGGTNHFPPRWLD -HKNSDRKTSHHHTTSTYQTKQAKGGAKGRILPNSYTTATSSRTYQSEAFSDTSHNTTQSEQGEDTHSPYS -SGAGHSSSPEHDQPDVEGAIRPSPLCAWSYLRSHPACGPHCLKQCTLLLDDWGPCSRHGEHLIRTPRTPR -RISGGVFLVDKNPNNSAESRLVVDFSQFSRGDSRVLWPKFAVPNLQALTNLLSTNLCWLSLDVSAAFYHI -PLSPAAMPHLLVGSPGLEGLAASVSPQPKQPDNHEMHILHNLCGRANLLSLLLLFKTFGRELHLLAHSFI -MGFRKIPMGVGLSPFLLAQFTSALASVVRRTFAHCVAFSYMDDVVLGARTPQHLESVYASVCSLFSSLGI -HLNPSKTKWWGKHLHFMGLVLSPAGALPQTKHQSRALSCLKRLPTYVVLDWKLLQRLTGLLGFLAPFTAC -GYPALMPLYAAVQARRGFEFSPAYKGFLLQLYSHLLPVARQRRAVCQVFADATPTGWGLVNHQLAAYKAG -RFPRPLPIHCAELIAACLARRWSGARVLGVDNTIVCSGKFTHFPWLLGCTANWMLRGTSFCYVPSELNPA -DAPSRGLLGILLAPPPLLFRPSTGRTSLFAVSPPAPFHRPDRVSFASPVRTFKDTWRPP - ->YP_007677999.1 polymerase [Long-fingered bat hepatitis B virus] -MHPFSPLCRSIPSLGGEQGDALLADPEEALPLLADEDLNHRVAADLGLHLPTTVEPWVHKVGGLTGLYSE -KPKSFNPEWKTPSFDNIHLRPDLIQYLQNRVGLNPNEKRRLRLCLPARFYPNKTKYFPLSKGIKNYYPEH -TLTHFFHTSNYLWTLWEAGITYLRESEKSASFKGQLYPWEQKQLISHGTKLVHTQPVGISSRTSTSKPLC -PRLSGLGLKSGQRSMATSKGSVPGSLRARFCSPSWGPHGQPALCPRRQLNLKQPRNHCLSNPKSQGRKPD -PSYSITSSTPHHNQSKEGASTNSSYAPGQSHTPPFEHALLEGEVRSSHNCWWKQLQVADPCGDSCLSNIL -GLIDDWGPCYRHGDHYIRIPRTPRRITGGVFLVDKNPGNRQESRLVVDFSQFSRGDTRVHWPKFAVPNLQ -SLTNLLSTNMHWLSLDVAAAFYHIPLSSAAMCHLLVGSPGLEGLPTSVPLAHQLFNNNQMFHVHRHCNRS -NYLALLLLFKTYGRKLHMLAHPYIMGFRKIPMGVGLSPFLLAQFTSALASLVRRTFPHCMAFTYMDDVVL -GAKSPHHLRALHTSVCSLFRDLGIVVNPTKTKWWGKHLHFMGLIISPGGTLPQDKHHQKALKLISSLPVN -KPLDWKILQRITGLLGFLAPFTTCGYPALMPLYHAITRRQALKISWPFKNFLYSLYKQPLPVIRQKPAIC -QVFADATPTGWGLVNHSSAWLRQGRFPRPLPIHCAELIAACLARRWTGARVIGTDNSIVASGKRTSFPWL -LGCVANWMLRGTSFCFVPSALNPADAPSRGLLGIPVAPPPLPFRPSTGRTSLFAVSPSVPPHLPDRVHFA -SPVPTSRDAWRPP - ->NP_671813.1 DNA polymerase [Woodchuck hepatitis virus] -MHPFSRLFRNIQSLGEEEVQELLGPPEDALPLLAGEDLNHRVADALNLHLPTADLQWVHKTNAITGLYSN -QAAQFNPHWIQPEFPELHLHNDLIQKLQQYFGPLTINEKRKLQLNFPARFFPKATKYFPLIKGIKNNYPN -FALEHFFATANYLWTLWEAGILYLRKNQTTLTFKGKPYSWEHRQLVQHNGQQHKSHLQSRQNSSMVACSG -HLLHNHLSSESVSVSTRNLSNNISDKSQKSTRTGLCSYKQIQTDRLEHLARISCGSKITIGQQGSSPKTL -YKSISSNFRNQTWAYNSSRNSGHTTWFSSASNSNKSRSREKAYSSNSTSKRYSPPLNYEKSDFSSPGVRR -RITRLDNNGTPTQCLWRSFYNTKPCGSYCIHHIVSSLDDWGPCTVTGDVTIKSPRTPRRITGGVFLVDKN -PNNSSESRLVVDFSQFSRGHTRVHWPKFAVPNLQTLANLLSTNLQWLSLDVSAAFYHIPISPAAVPHLLV -GSPGLERFNTCLSSSTHNRNNSQLQTMHNLCTRHVYSSLLLLFKTYGRKLHLLAHPFIMGFRKLPMGVGL -SPFLLAQFTSALASMVRRNFPHCVVFAYMDDLVLGARTSEHLTAIYSHICSVFLDLGIHLNVNKTKWWGN -HLHFMGYVITSSGVLPQDKHVKKISRYLRSVPVNQPLDYKICERLTGILNYVAPFTLCGYAALMPLYHAI -TSRTAFIFSSLYKSWLLSLYEELWPVVRQRGVVCTVFADATPTGWGIATTYQLLSGTFAFPLPIATAELI -AACLARCWTGARLLGTDNSVVLSGKLTSFPWLLACVANWILRGTSFCYVPSALNPADLPSRGLLPVLRPL -PRLRLRPQTSRISLWAASPPVSPRRPVRVAWSSPVQNCEPWIPP - ->NP_040994.1 hypothetical protein [Ground squirrel hepatitis virus] -MHPFYQLFRNIQSLGEEEVQELLGPPEDALPLLAGEGLNHRVADALNLQLPTADLEWIHKTNVITGLYST -QTEKFNCNWKQPVFPKIHLDNNLFQKLENYFGPLTTNEKRRLKLVFPARFFPNATKYFPLLKGIKDKYPN -YTIEHFFAAANYLWTLWESGILYLRKNQTTLTFRGKPYSWEHRQLEQHNGQQHKSNIRSQQISCMVANSG -NLLYTHYHRDKSSNIQTRNLSDNVFKKSKESTRVRCYTYDKIQRNRLGQLARIPCESKAPSEQQQSSLRS -KGRDFRNQIQAYNSSRNKGYTTWHSTTSDSIQSGSKKKTHTSNSSFERHTPSFDNEKSDRSPAGICRGTE -SSNHLRSSQLCLWRSFYYTKPCGTYCLHHIVSSIDDWGPCTFDGDVTIRSPRTPRRITGGIFLVDKNPYN -SSESRLVVDFSQFSRGHSRVHWPKFAVPNLQTLANLLSTNLQWLSLDVSAAFYHIPVSPAAVPHFLVGSP -GLERFASCMSHDASNRNNSKLQTMHHICSRHLYNTLLLLFKTYGRKLHLLAHPFIMGFRKLPMGVGLSPF -LLAQFTSALTSMVRRNFPHCLAFAYMDDLVLGARSYEHLTAVYSHICSVFLDLGIHLNVEKTKWWGHTLH -FMGYTINGAGVLPQDKHVHKVTTYLKSIPINQPLDYKICERLTGILNYVAPFTKCGYAALLPLYQAIASH -TAFVFSSLYKNWLLSLYGELWPVARQRGVVCSVFADATPTGWGICTTCQLISGTFGFSLPIATAELIAAC -LARCWTGARLLGTDNSVVLSGKLTSFPWLLACVANWILRGTSFCYVPSADNPADLPSRGLLPALRPLPLL -RFRPVTKRISLWAASPPVSTRRPVRVAWASPVQTCEPWIPP - ->sp|P0C688.1|DPOL_HBVC1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPNIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPNLTKYLPLDKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSTRHGDESFCSQSSGILSRSPV -GPCIRSQLKQSRLGLQPQQGSLARGKSGRSGSIWARVHSTTRRSFGVEPSGSGHIDNSASSASSCLYQSA -VRKTAYSHLSTSKRQSSSGHAVELHNIPPSCARSQSEGPISSCWWLQFRNSEPCSDYCLTHIVNLLEDWG -PCTEHGEHNIRIPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTHVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSTSRNINYQHGTMQDLHDSCSRNLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLFTSITNFLLSLGIHLNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIVLKIKQCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLHLYPVARQRSGLCQVFAD -ATPTGWGLAIGQSGMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLHLPFRPTTGRASLYAVSPSVPSHLPVRVHFASPLHVAW -RPP - ->sp|P17396.1|DPOL_WHV5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MHPFSRLFRNIQSLGEEEVQELLGPPEDALPLLAGEDLNHRVADALNLHLPTADLQWVHKTNAITGLYSN -QAAQFNPNWIQPEFPELHLHNDLIQKLQQYFGPLTINEKRKLQLNFPARFFPKATKYFPLIKGIKNNYPN -FALEHFFATANYLWTLWEAGILYLRKNQTTLTFKGKPYSWEHRQLVQHNGQQHKSHLQSRQNSSMVACSG -HLLHNHLSSESVSVSTRNLSNNISDKSQKSTRTGLCSYKQIQTDRLEHLARISCGSKIFIGQQGSSPKTL -YKSISSNFRNQTWAYNSSRNSGHTTWFSSASNSNKSRSREKAYSSNSTSKRYSPPLNYEKSDFSSPGVRR -RITRLDNNGTPTQCLWRSFYNTKPCGSYCIHHIVSSLDDWGPCTVTGDVTIKSPRTPRRITGGVFLVDKN -PNNSSESRLVVDFSQFSRGHTRVHWPKFAVPNLQTLANLLSTNLQWLSLDVSAAFYHIPISPAAVPHLLV -GSPGLERFYTCLSSSTHNRNNSQLQTMHNLCTRHVYSSLLLLFKTYGRKLHLLAHPFIMGFRKLPMGVGL -SPFLLAQFTSALASMVRRNFPHCVVFAYMDDLVLGARTSEHLTAIYSHICSVFLDLGIHLNVNKTKWWGN -HLHFMGYVITSSGVLPQDKHVKKISRYLHSVPVNQPLDYKICERLTGILNYVAPFTLCGYAALMPLYHAI -ASRMAFIFSSLYKSWLLSLYEELWPVVRQRGVVCTVFADATPTGWGIATTCQLLSGTFAFPLPIATAELI -AACLARCWTGARLLGTDNSVVLSGKLTSFPWLLACVAHWILRGTSFCYVPSALNPADLPSRGLLPALRPL -PRLRLRPQTSRISLWAASPPVSPRRPVRVAWSSPVQTCEPWIPP - ->sp|P06275.1|DPOL_WHV2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MHPFSRLFRNIQSLEEEVQELLGPPEDALPLLAGEDLNHRVADALNLHLPTADLQWVHKTNAITGLYSNQ -AAQFNPNWIQPEFPELHLHNDLIQKLQQYFGPLTINEKRKLQLNFPARFFPKATKYFPLIKGIKNHYPNF -ALEHFFATANYLWTLWEAGILYLRKNQTTLTFKGKPYSWEHRQLVQHNGQQHKSHLQSRQNSSMVACSGH -LLHNHLSSESVSVSTRNLSNNISDKSQKSTRTGLCSYKQIQTDRLEHLARISCGSKIFIGQQGSSPKTLY -KSISSNFRNQTWAYNSSRNSGHTTWFSSASNSNKSRSREKAYSSNSTSKRYSPPLNYEKSDFSSPGVRRR -ITRLDNNGTPTQCLSRSFYNTKPCGSYCIHHIVSSLDDWGPCTVTGDVTIKSPRTPRRITGGVFLVDKNP -NNSSESRLVVHFSQFSRGHTRVHWPKFAVPNLQTLANLLSTNLQWLSLDVSAAFYHIPISPAAVPHLLVG -SPGLERFNTCLSSSTHNRNNSQLQTMHNLCTRHVYSSLLLLFKTYGRKLHLLAHPFIMGFRKLPMGVGLS -SSLLAQFTSALASMVRRNFPHCVVFAYMDDLVLGARTSEHLTAIYSHICSVFLDLGIHLNVNKTKWWGNH -LHFMGYVITSSGVLPQDKHVKKISRYLLSVPVNQPLDYKISERLTGILNYVAPFTLCGYAALMPLYHAIA -SRMAFIFSSLYKSWLLSLYEELWPVVRQRGVVCTVFADATPTGWGIATTCQLLSGTYAFPLPIATAELIA -ACLARCWTGARLLGTDNSVVLSGKLTSFPWLLACVATWILRGTSFCYVPSALNPADLPSRGLLPALRPLP -RLRLRPQTTRISLWAASPPVSPRAPVRVAWSSPVQTCEPWIPP - ->sp|P12899.1|DPOL_WHV3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MHPFSRLFRNIQSLGEEEVQELLGPPEDALPLLAGEDLNHRVADALNLHLPTADLQWVHKTNAITGLYSN -QAAQFNPHWIQPEFPELHLHNDLIQKLQQYFGPLTINEKRKLQLNFPARFFPKATKYFPLIKGIKNNYPN -FALEHFFATANYLWTLWEAGILYLRKNQTTLTFKGKPYSWEHRQLVQHNGQQHKSHLQSRQNSSMVACSG -HLLHNHLPSEPVSVSTRNLSNNISDKSQKSTRTGLCSYKQVQTDRLEHLARISCGSKITIGQQGSSPKTS -YKSISSNFRNQTWAYNSSRNSGHTTWFSSASNSNKSRSREKAYSSNSTSQRYSPPLNYEKSDFSSPGVRG -RITRLDNNGTLPQCLWRSFYNTKPCGSYCIHHIVSSLDDWGPCTVTGDVTIKSPRTPRRITGGVFLVDKN -PNNSSESRLVVDFSQFSRGHTRVHWPKFAVPNLQTLANLLSTNLQWLSLDVSAAFYHIPISPAAVPHLLV -GSPGLERFNTCMSSSTHNGNDSQLQTMHALCTRHVYSSLLLLFKTYGRKLHLLAHPFIMGFRKLPMGVGL -SPFLLAQFTSAIASMVRRNFPHCVVFAYMDDLVLGARTSEHLTAIYSHICSVFLDLGIHLNVNKTKWWGN -HLHFMGYVITSSGVLPQDKHVKKLSRYLRSVPVNQPLDYKICERLTGILNYVAPFTLCGYAALMPLYHAI -ASRTAFIFSSLYKSWLLSLYEELWPVVRQRGVVCTVFADATPTGWGIATTCQLLSGTFAFPLPIATAELI -AACLARCWTGARLLGTDNSVVLSGKLTSFPWLLACVANWILRGTSFCYVPSALNPADLPSRGLLPVLRPL -PRLRLRPQTSRISLWAASPPVSPRRPVRVAWSSPVQTCEPWIPP - ->sp|P03160.1|DPOL_WHV1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MHPFSRLFRNIQSLGEEEVQELLGPPEDALPLLAGEDLNHRVADALNLHLPTADLQWVHKTNAITGLYSN -QAAQFNPHWIQPEFPELHLHNELIKKLQQYFGPLTINEKRKLQLNFPARFFPKATKYFPLIKGIKNNYPN -FALEHFFATANYLWTLWEAGILYLRKNQTTLTFKGKPYSWEHRQLVQHNGQQHKSHLQSRQNSSVVACSG -HLLHNHLPSEPVSVSTRNLSNNIFGKSQNSTRTGLCSHKQIQTDRLEHLARISCRSKTTIGQQGSSPKIS -SNFRNQTWAYNSSWNSGHTTWFSSASNSNKSRSREKAYSSNSTSKRYSPPLNYEKSDFSSPGVRGRIKRL -DNNGTPTQCLWRSFYDTKPCGSYCIHHIVSSIDDWGPCTVTGDVTIKSPRTPRRITGGVFLVDKNPNNSS -ESRLVVDFSQFSRGHTRVHWPKFAVPNLQTLANLLSTDLQWLSLDVSAAFYHIPISPAAVPHLLVGSPGL -ERFNTCLSYSTHNRNDSQLQTMHNLCTRHVYSSLLLLFKTYGRKLHLLAHPFIMGFRKLPMGVGLSPFLL -AQFTSALASMVRRNFPHCVVFAYMDDLVLGARTSEHLTAIYTHICSVFLDLGIHLNVNKTKWWGNHLHFM -GYVITSSGVLPQDKHVKKLSRYLRSVPVNQPLDYKICERLTDILNYVAPFTLCGYAALMPLYHAIASRTA -FVFSSLYKSWLLSLYEELWPVVRQRGVVCSVFADATPTGWGIATTCQLLSGTFAFPLPIATAELIAACLA -RCWTGARLLGTDNSVVLSGKLTSFPWLLACVANWILRGTSFCYVPSALNPADLPSRGLLPVLRPLPRLRF -RPPTSRISLWAASPPVSPRRPVRVAWSSPVQNCEPWIPP - ->sp|P03158.2|DPOL_HBVA2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDGTEAGPLEEELPRLADADLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVP -IFNPEWQTPSFPKIHLQEDIINRCQQFVGPLTVNEKRRLKLIMPARFYPTHTKYLPLDKGIKPYYPDQVV -NHYFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHSQRHGDESFCSQPSGIPSRSSVGPCIR -SQLNKSRLGLQPHQGPLASSQPGRSGSIRARAHPSTRRYFGVEPSGSGHIDHSVNNSSSCLHQSAVRKAA -YSHLSTSKRQSSSGHAVEFHCLAPSSAGSQSQGSVSSCWWLQFRNSKPCSEYCLSHLVNLREDWGPCDDH -GEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGITRVSWPKFAVPNLQSLTNLLSSNLSW -LSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRINNNQYGTMQNLHDSCSRQLFVSLMLLYK -TYGWKLHLYSHPIVLGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHRES -LYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYIIGSWGTLPQDHIVQKIKHCFRKLPVNRPIDWKVCQR -IVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYMNLYPVARQRPGLCQVFADATPTG -WGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCTANWILRGT -SFVYVPSALNPADDPSRGRLGLSRPLLRLPFQPTTGRTSLYAVSPSVPSHLPVRVHFASPLHVAWRPP - ->sp|P12933.2|DPOL_HBVC3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPHIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPNLTKYLPLDKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSTRHGDESFCSQSSGILSRSPV -GPCVRSQLTQSRLGLQPQQGSLARGKSGRSGSIRARVHPTTRRSFGVEPAGSGRIDNRASSTSSCLHQSA -VRKTAYSHLSTSKRQSSSGHAVELHNIPPSSARPQSEGPILSCWWLQFRNSKPCSDYCLTHIVNLLEDWG -PCTEHGEHNIRIPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTHVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSTSRNINYQHGTMQDLHDSCSRNLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGGGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLFTSITNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGCWGTLPQEHIVLKIKQCFRKLPVNRPIDW -KVCQRLVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRSGLCQVFAD -ATPTGWGLAIGHSRMRGTFVAPLPIHTAELLAACFARRRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLHLPFRPTTGRTSLYAVFLSVPSHLPVRVHFASPLHVAW -RPP - ->sp|Q9QMI1.1|DPOL_HBVD4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSSVPVF -NPHWKTPTFPNIHLHQDIINKCEQFVGPLTVNEKRRLQLIMPARFYPNFTKYLPLDKGIKPYYPEHLVNH -YFQTRHYLHTLWKAGILYKRETTHSASFCGSPYSWEQKLQHGAESFHQQSPGILSRPPVGSSLQSKHQKS -RLGLQSQQGHLARRQQGRSWSIRARVHPTARRPFGVEPAGSGHTTNFASKSASCSYQSPVRKAAYPTVST -SKRRSSSGHAVDFHNLPPSSARSQSERPVFPCWWLQFRNSKPCSDYCLSHIVNLLEDWGPCTEHGEHHIR -IPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIFDHQHGTMQNLHDYCSRNLYVSLLLLYQTFGRKL -HLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLFTAVT -NFLLSLGIHLNPNKTKRWGYSLHFMGYVIGSWGSLPQDHIVHKLKECFRKLPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLYKQYMNLYPVARQRSGLCQVFADATPTGWGLAMG -HQRMRGTFQAPLPIHTAELLAACFARSRSGANILGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLCRPLLRLPFRPTTGRTSLYAVSPSVPSHLPDHVHFASPLHVAWRPP - ->sp|Q9QBF1.1|DPOL_HBVB7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNPNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPDIHLQEDIVDRCKQFVGPLTVNENRRLKLIMPARFYPNVTKYLPLDKGIKPYYPEHVVNH -YFQARHYLHTLWKAGILYKRESTHSASFCGSPYSWEQDLQHGRLVFQTSKRHGDKSFCPQSPGILPRSSV -GPCIQSQLRKSRLGPQPPQGQLAGRPQGGSGSIRARVHPSPWGTVGVEPSGSGHTHICASSSSSCLHQSA -VRKAAYSLISTSKGHSSSGRAVELHHFPPNSSRSQSQGSVPSCWWLQFRNSKPCSEYCLCHIVNLIDDWG -PCAEHGEHRIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQHGTMQDLHNSCSRNLYVSL -MLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLYAAVTNFLVSLGIHVNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIRQKIKLCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACISAKQAFTFSPTYKAFLSQQYLNLYPVARQRSGLCQVFAD -ATPTGWGLAIGHQRMRGTFVSPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPYRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|Q9QAB8.1|DPOL_HBVB3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDEEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPCF -NPNWQTPSFPDIHLQEDIVDRCQQFVGPLTVNENRRLKLIMPARFYPNVTKYLPLDKGIKPYYPEHVVNH -YFQTRHYLHTLWKAGILYKRESTRSASFCGSPYSWEQDLQHGRLVFQTSKRHGDKSCCPQSPGILSRSSV -GPCIQSQLRQSRLGPQPAQGQLAGRQQGGSGSIRARVHPSPWGTVGVEPSGSGPTHNCASSSSSCLHQSA -VRKAAYSLVSTSKGYSSSGHAVELHHFPPNSSRSQSQGPVLSCWWLQFRNSEPCSEYCLCHIVNLIEDWG -PCTEHGEHLIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRANTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINNQHRTMQNLHNSCSRNLYVSL -MLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLYAAVTHFLLSLGIHLNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIVQKIKMCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLRNQYLNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVSPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLLYRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|Q91C36.1|DPOL_HBVA6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDETEAGPLEEELPRLADEDLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVP -IFNPEWQTPSFPKIHLHEDIINRCQQFVGPLTVNEKRRLKLIMPARFYPNSTKYLPLDKGIKPYYPDQVV -NHYFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHSQRHGDESFCSQPSGILSRSSVGPCIR -SQFNKSRLGLQPHQGPLATSQPGRSGSIRPRALPSTRRCFGVEPSGSGHIDYSANSSSHCLHQSAVRKAA -YSHLSTSKRQSSSGHAVEFHSFAPSSARSQSQGPVFSCWWLQFRNTQPCSQYCLSHLVNLLEDWGPCVEH -GEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGLTRVSWPKFAVPNLQSLTNLLSSNLSW -LSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRIHNNQYGTLQNLHDSCSRQLYVSLMLLYK -TYGWKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHRES -LYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQDHIIQKIKHCFRKLPVNRPIDWKVCQR -IVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLNQQYLNLYPVARQRSGLCQVFADATPTG -WGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCTANWILRGT -SFVYVPSALNPADDPSRGRLGLYRPLLRLPYRPTTGRTSLYAVSPSVPSHLPVRVHFASPLHVAWRPP - ->sp|O91533.1|DPOL_HBVA7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDGTEAGPLEEELPRLADADLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVP -IFNPEWQTPSFPNIHLQEDIINRCQQFVGPLTVNEKRRLKLIMPARFYPTHTKYLPLDKGIKPYYPDQVV -NHYFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVIKTSQRHGDESFCSQPSGILSRS -SVGPCIRSQLKQSRLGLQPHQGPLASSQPGRSGSIRARVHPSTRRYFGVEPSGSGHIDHSVNNSSSCLHQ -SAVRKAAYSHLSTSKRQSSSGHAVEFHCLPPNSAGSQSQGSVSSCWWLQFRNSKPCSEYCLSHLVNLRED -WGPCDEHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGITRVSWPKFAVPNLQSLTNL -LSSNLSWLSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRINNNQYGTMQNLHDSCSRQLYV -SLMLLYKTYGWKLHLYSHPIVLGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAK -SVQHREALYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYIIGSWGTLPQDHIVQKIKHCFRKLPVNRPI -DWKVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYMNLYPVARQRPGLCQVF -ADATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAA -NWILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFQPTTGRTSLYAVSPSVPSHLPVRVHFASPLHV -AWRPP - ->sp|P31870.1|DPOL_HBVC4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEDLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPHIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPKLTKYLPLDKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSTRHGDESFCSQSSGILSRSPV -GPCVRSQLKQSRLGLQPQQGSLARGKSGRSGSIRARVPPTTRRSFGVEPSGSGHIDNRASSTSSCLHQSA -VRKTAYSHLSTSKRQSSSGHAVELHHISPSPARSQSEGPIFSSWWLQFRNSKPCSDYCLTHIVNLLEDWG -PCTEHGEHNIRIPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTHVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSTSRNINHQHGTMQDLHDSCSRNLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGGGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLFTSITNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQEHIVLKIKQCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLHLYPVARRTALCQVFADA -TPTGWGLAIGHRRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWI -LRGTYFVYVPSALNPADDPSRGRLGLIRPLLHLRFRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAWR -PP - ->sp|P03157.1|DPOL_HBVC5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPHIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPNLTKYLPLDKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSTRHGDESFCSQSSGILSRSPV -GPCVRSQLKQSRLGLQPQQGSMARGKSGRSGSIRARVHPTTRRSFGVEPSGSGHIDNSASSTSSCLHQSA -VRKTAYSHLSTSKRQSSSGHAVEFHNIPPSSARSQSEGPIFSCWWLQFRNSKPCSDYCLTHIVNLLEDWG -PCTEHGEHNIRIPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTHVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSTSRNINHQHGAMQDLHDSCSRNLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLFTSITNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQEHIVLKLKQCFRKLPVNSPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRSGLCQVFAD -ATPTGWGLAIGHRRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLLLPFRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|P17393.1|DPOL_HBVB2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPSF -NPQWQTPSFPDIHLQEDIINKCKQFVGPLTVNEKRRLKLIMPARFYPNVTKYLPLDKGIKPYYPEHVVNH -YFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVLQTSTRHGDKSFRPQSSGILSRSPV -GPCIQSQLRQSRLGPQPTQGQLAGLQQGGSGSIRAGIHSTPWGTVGVEPSSSGHTHNCANSSSSCLHQSA -VRKEAYSPVSTSKRHSSSGNAVELHHVPPNSSRSQSQGSVLSCWWLQFRNSKPCSEHCLFHIVNLIDDWG -PCAEHGEHRIRTPRTPARVTGGVFLVDKNPHNTSESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SDLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQHRTMQNLHDSCSRNLYVSL -MLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLYAAVTNFLLSLGIHLNPQKTKRWGYSLNFMGYVIGSWGTLPQEHIVLKIKQCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLNKQYLNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVSPLPIHTVELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPYRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|P17100.1|DPOL_HBVA4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDGTEAGPLEEELPRLADADLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTAP -IFNPEWQTPSFPKIHLQEDIINRCQQFVGPLTVNEKRRLKLIMPARFYPTHTKYLPLDKGIKPYYPDQVV -NHYFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVIKTSQRHGDESFCSQPSGILSRS -SVGPCIRSQLKQSRLGLQPHQGPLASSQPGRSGSIRARVHPSTRRCFGVEPSGSGHVDPSVNNSSSCLRQ -SAVRKAAYSHLSTSKRQSSSGHAVEFHCLPPSSARPQSQGSVFSCWWLQFRNSKPCSEYCLSHLVNLRED -RGPCDEHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGITRVSWPKFAIPNLQSLTNL -LSSNLSWLSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRINNNQYGTMQNLHDSCSRQLYV -SLMLLYKTYGWKLHLYSHPIVLGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAK -SVQHREFLYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQDHIVQKIKHCFRKLPVNRPI -DWKVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYMNLYPVARQRPGLCQVF -ADATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAA -NWILRGTSFVYVPSALNPADDPSRGRLGLSRPLLRLPFQPTTGRTSLYAVSPSVPSHLPVRVHFASPLHV -AWRPP - ->sp|Q9YPV8.1|DPOL_HBVGO RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNHRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTLPIF -NPNWQTPSFPDIHLHQDIINKCEQFVGPLTVNERRRLKLVMPARFYPTSTKYLPLEKGIKPYYPQDVVNH -YFQTRHYLHTLWEAGILYKRETTRSASFCGSPYSWEQELQHGAESFNQQSTRIFSRAPVGPCIQSKHQQS -RLGLQPQQGQLAKGQRGRSGSVRSRAHSATRRSVGVEPSGSGHNNNSASESASCLHQSAVRQEAYSHFST -SERHSSSGHALELHDISPSSARSQSKGSVFSCWWLQFRNSIPCSGHCLSHLVNLLEDWGPCTEHGKHHIR -IPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSTRVPWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIHDHQHGTMQNLHNYCTRNLFVSLMLLYKTFGRKL -HLYSHPIVLGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLYTAVT -NFLLSLGIHLNPTKTKRWGYSLNFMGYVIGSWGTLPQEHIVQKIKHCFRKIPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQAKQAFTFSPIYKAFLSKQYATLYPVARQRAGLCQVFADATPTGWGLVIG -QQRMRGTFVAPLPIHTAELLAACFARSRSGANIIGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLSRPLCRLPFQPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAWRPP - ->sp|P87744.1|DPOL_HBVGB RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSCPHFRKLLLLDEEAGPLEEELPRLADEGLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTVPVF -NPKWQTPSFPDIHLHQDIINKCEQFVGPLTVNEKRRLKLIIAARFYPNAPKYLPLDKGIKPYYPEHVVNH -YFQTRHYLHILWKAGILYKRETTRSASFCGSPYSWEQELQHGAEPVCQQSLGILPRASVGSPVQSQLKQS -RLGLQSQQRQLARSHQGRSGSIRARVHSTTRRSFRVELSGSGSNHNIASTSSSCRHQSAVRETAYSHLST -VERHSSSGHEVELYSIPPNSARSQSTGPILSCWWLQFRNSEPCSDYCLSHLVNLLEDWGPCTEHGEHHIR -IPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSTSRIIDHQHGTMQNLHDHCSRNLFVSLMLLYKTFGRKL -HLYSHPIVLGFRKIPMGVGLSPFLLAQFTSSICSVVRRAFPHCLAFSYMDDLVLGAKSVQHLESIYTAVT -NFLLSLGIHLNPNKTKRWGYSLNFMGYIIGSWGSLPQDHIVQKIKQCFRKLPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLRTQYLTLYPVARQRPGLCQVFADATPTGWGLAIG -HQRMRGTFVAPLPIHTAELLAACFARSRSGANIIGTDNSVVLSPKYTSFPWLLGCAANWILRRTSFVYVP -SALNPADDPSRGRLGLYRPLLRPWFRPTTGRTSLYAVSPSVPSHLPVRVHFASPLHVAWRPP - ->sp|Q9J5S2.1|DPOL_HBVOR RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSCQHFRKLLLLDEEAGPLEEELPRLADEGLNHRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTAPVF -NPNWQTPSFPDIHLHQDIINKCEQLVGPLTVNEKRRLKLIMPARFYPNSTKYFPPDKGIKPYYPEHGVNH -YFQARHYLHTLWKAGVLYKRETTRSASFCGSPYSWEQELQHGAEPFCHQPFGILPRASIGPAVPSQHKQS -RLGLQSQQGHLARSHQGRSGSIWARVHSTSRRSFGVEPAGSGRNHNTASSSSSCLHQSAVRKAAYSHLST -FERHSSSGHAVELHGFPPSSAGSQSKGSVFPCWWLQFRDSEPCSDNCLSHIVNLLEDWGPCTEHGEHLIR -IPRTPARVTGGVFLVDKNPHNSSESRLVVDFSQFSRGSTRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLPRYVARLSSTSRNHHHQRGTMQNLHDFCSRNLFVSLMLLYKTFGRKL -HLYSHPTIMGFRKIPMGVGLSPFLLAQFTSALCSVVRRAFPHCLAFSYMDDMVLGAKSVQHLESLYTAVT -NFLLSLGIHLNPGKTKRWGYSLHFMGYVIGSWGTLPQDHIVQKIKQCFRKLPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYNCIHNRQAFTFSPTYKAFLRTQYLTLYPVARQRPGLCQVFADATPTGWGLALG -PQRMRGTFVAPLPIHTAELLAACFARSRSGANIIGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLYRPLLRLPFRPTTGRTSLYAVSPSVPSHLPVRVHFASPLHVAWRPP - ->sp|Q64898.1|DPOL_ASHV RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MHPFSQLFRNIQSLGEEEVQELLGPPEDALPLLAGEDLNHRVAGLNLQLPTADLDWVHQTNAITGLYSTQ -TAKFNPEWKQPDFPKIHLSEDLFLNYNNFCGPLTVNEKRKLKLNFPARFFPKATKYFPLSKGIKNNYPDF -SIEHFFAAATYLWTLWESGILYLRKNQTTLTFKGKPYSWGHRQLEQHNGQQHESHLQSRESSSMVASSGH -ILHKQHASGPSSFPTRDLPNNFFGESQKSARTGGSVREKIQTNRLGFPGKSKITIGQQGSSQVSSPRSKS -SNFRNQTQANHSSWNQRHPTWYSTTSNTTQSRQREETYSSDSAFKRHSPSFEHEKSEPSSSGLCGGTESL -NNTGTSTFCLWRSFYNTEPCGAYCLHHIVSSLEDWGPCTISGDVTIRSPRTPRRITGGVFLVDKHPHNSS -ESRLVVDFSQFSRGHTRVHWPKFAVLNLQALANLLSTNLQWLSLDVSAAFYHIPVSPAAVPHLLVGSPGL -ERFTPSMSHTTIHGNNSKLQTMHNLCSRHLFNSLLLLFKTYGRKLHLLAHPFIMGFRKLPMGVGLSPFLL -AQFTSALASMVRRNFPHCVAFAYMDDLVLGARTHEHLTAIYSHICSVFLDLGIHLNVAKTKWWGHHLHFM -GYVITGAGILPQDKHVQKVSTYLKSIPLNKPLDYKICERLTGILNYVAPFTKCGYAALLPLYQATSRTAF -VFSSLYHSWLLSLYAELWPVARQRGVVCSVSDATPTGWGICTTYQLISPTGAFALPIATADVIAACLARC -WTGARLLGTDNSVVLSGKLTSYPWLLACVANWILRGTSFCYVPSAANPADLPSRGLLPALHPVPTLRFRP -QLSRISLWAASPPVSPRRPVRVAWASPVQNSEPWFPP - ->sp|O71304.1|DPOL_WMHBV RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDEGDPLEDALPRLADEDLNRRVAEGLNLQHLPVSIPWTHKVGPFSGLYSVSTLTFN -PQWKTPQFPLIHLKEDLIPFIESYFGPLTSNEKRRLKLVLPARFYPKATKYFPLEKGIKPHYPNDVVNHY -YQVQHYLHTLWEAGVLYKRETTHSASFFGTPYTWEHKLQHGTQPVNVQPAGILSQSSAGPPVQGQCRLSR -LGQKSKQGPLATSPRHGSGGLWSRTSATPWRPSGVEFTSSGFVCHSARHPSSSINQSRQRKETNTSYSSS -ERHSPTSHDLEHVLLPELSSESKGQRPLLSCWWLNFKHCQPCSDHCLHHIVKLLDDWGPCQHHGHHFIRI -PRTPSRITGGVFLVDKNPHNATESRLVVDFSQFSRGNTSVSWPKFAVPNLQSLTNLLSTDLSWVSLDVFA -AFYHLPLHPASMPHLLVGSSGLPRYVARVSSSTNSYRNNNNNGTLQDLHANCSRHLFVSLMLLYQTYGRK -LHLYSHPLIMGFRKVPMGLGLSPFLLAQFTSAICSVVRRAFPHCMAFSYMDDVVLGAKSVQHLESLLASV -TTFLLALGIHLNPEKTKRWGKALNFMGYVIGGYGSLPQQHIRDKIALCFQKLPCNRPIDWKVCQRIVGLL -GFVAPFTQCGYAALMPIYTCIQKHQAFTFSLVYKTFLKDQYMHLYPVARQRAGHCQVFADATPTGWGLVM -GNQRMRGTFLSPLPIHTAELLAACFARCWSGAKLIGTDNAVVLSRKYTHFPWLLGCAATWILRGTCFVYV -PSKLNPADDPSRGCLGLLKPLPRLLFQPSTGRTSLYAVSPPVPFHRPGRVLFASPLQPGDAWRPP - ->sp|P12900.1|DPOL_HBVCP RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTLPVF -NPNWQTPSFPDIHLHQDIINKCEQFVGPLTVNEKRRLKLSMPARFYPNSTKYLPLEKGIKPYYPDNVVNH -YFQTRHYLHTLWQAGILYKRETTRSASFCGSPYSWEQELQHGAESFHQQSAGIFSRAPVGSSIQSKHQQS -RLGLQPQKGLLARGNEGRSWSVRSRVHPTTWRSFGVEPSSSGHTNNFASKSASCLHQSAVRKAAYPTFST -TKRHSSSGHAVELHNISSSSAGSQSKGPVFSCWWLQFRNIEPCSEYCLSHLVSLLDDWGPCTEHGEHHIR -IPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSTRVPWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRILDHQHGTMQNLHDSCSRNLFDSLMLLYKTFGRKL -HLYSHPIIMGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLYTAVT -NFLLSLGIHLNPNKTKRWGYSLHFMGYVIGSWGTLPQEHIVQKIKNCFRKLPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSQQYSTLYPVARQRSGLCQVFADATPTGWGLVMG -HQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLYRPLIRLLFQPTTGRTSLYAVSPSVPSHLPVRVHFASPLHVAWRPP - ->sp|Q69028.2|DPOL_HBVCJ RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPDWKTPSFPHIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPNLTKYLPLDKGIKPYYPEYAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSTRHGDESFCSQSSGILSRSPV -GPCVRSQLKQSRLGLQPQQGSLARGKSGRSGSIWSRVHPTTRRPFGVEPSGSGHIDNTASSTSSCLHQSA -VRKTAYSHLSTSKRQSSSGHAVELHNIPPSSARSQSEGPIFSCWWLQFRNSKPCSDYCLTHIVNLLEDWG -PCTEHGEHNIRIPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTHVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSTSRNINYQHGTMQNLHDSCSRNLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLFTSITNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQEHIVQKLKQCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRSGLCQVFAD -ATPTGWGLAIGHRRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLHLPFRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|P0C690.1|DPOL_HBVC9 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNPNVSIPWTHKVGNFTGLYSSTVPVF -NPDWQTPKFPDIHLKEDIINRCQNYVGPLTVNEKRRLKLIMPARFYPTLTKYLPLEKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSERHGDESFCSQSSGILSRSPV -GPCVRSQLKQSRLGLQPQQGSLARGKSGRSGSIRARVHPTTRRFFGVEPAGPGHIDNSASSSSSCIHQSA -VRKTTHSHLTTAQRHSPSGHAVEFHSIPPSSAGSQSKGSVFSCWWLQFRNSKPCSEYCLSHLINLHEDWG -PCIEHGEHNIRIPRTPARVTGGVFLVDKNPHNTSESRLVVDFSQFSRGSSRVYWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSTSRINDHQHGTLQNLHDHCSRNLYVSL -MLLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLFTAVTNFLMSLGIHLNPHKTKRWGYSLNFMGYVIGSWGSLPQEHIVHKLKHCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLCKQYLNLYPVARQRAGLCQVFAD -ATPTGWGLAIGHQRVRGTFVAPLPIHTAELLAACFARSRSGANILGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGIYRPLLRLPFRPSTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|P0C679.1|DPOL_HBVD5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDNEAGPLEEELPRLADEDLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSSVPVF -NPHWKTPSFPNIHLHQDIIKKCEQFVGPLTVNEKRRLKLIMPARFYPNFTKYLPLDKGIKPYYPEHLVNH -YFHTRHYLHTLWKAGILYKRVSTHSASFCGSPYSWEQELQHGAESFHQQSSGILSRPSVGSSLQSKHQQS -RLGLQSQQGHLARRQQGRSWSIRTRVHPTARRPSGVEPSGSGHNANLASKSASCLYQSTVRTAAYPAVST -SENHSSSGHAVELHNLPPNSARSQSERPVSPCWWLQFRNSKPCSDYCLSHIVNLLEDWGPCAEHGEHHIR -IPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLSSNLCWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQHGTLQNLHDSCSRNLYVSLLLLYKTFGWKL -HLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLFTAVT -NFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGSLPQDHIRHKIKECFRKLPVHRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADATPTGWGLVMG -HQRMRGTFSAPLPIHTAELLAACFARSRSGANILGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGPCRPLLHLPFRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAWRPP - ->sp|P0C676.1|DPOL_HBVB8 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNHRVAEDLNLGNPNVDIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPDIHLQEDIVDRCEQFVGPLTVNERRRLKLVMPARFYPKVTKYLPLDKGIKPYYPEHVVNH -YFQTRHYLHTLWKAGILYKRESTHSASFCGSPYSWEQDLQHGRLVIQTSKRHGDKSFCPQSPGILPRSSV -GPCIQSQLRKSRLGPQPTQGQLAGRPQGGSGSIRARVHPSPWGTVGVEPSGSGHTHICASSSSSCLHQSA -VRTAAYSLISTSKGHSSSGHAVELHHFPPNSSRSQSQGPVPSCWWLQFRNSKPCSEYCLCHIVNLIDDWG -PCAEHGEHRIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQHGTMQDLHNSCSRNLYVSL -MLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSALCSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLYAAVTNFLLSLGXHLNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIVQKIKLCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALKPLYACIQAKQAFTFSPTYKAFLRQQYLNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVSPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPYRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|Q02314.2|DPOL_HBVA5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDETEAGPLEEELPRLADADLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVP -IFNPEWQTPSFPKIHLHEDIANRCQQFVGPLTVNEKRRLKLIMPARFYPNSTKYLPLDKGIKTYYPDHVV -NHYFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELHHGRLVIKTSQRHGDEPFCSQPSGILSRS -SVGPCIRSQFKQSRLGLQPHQGPLATSQPGRSGSIWARVHSPTRRCFGVEPSGSGHIGHRASDASSCLHQ -SAVRKAAYSHLSTSKRQSSSGHAVEFHSFPPSSARSQSQGPVFSCWWLQFRNTQPCSNYCLSHLVNLLED -WGPCTEHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSTRVSWPKFAVPNLQSLTNL -LSSNLSWLSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRINNNQHGTLQNLHDSCSRQLYV -SLMLLYKTYGWKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAK -SVQHLESLYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQDHIVQKIKHCFRKLPVNRPI -DWKVCQRLVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYMNLYPVARQRPGLCQVF -ADATPTGWGLAIGHQRMRETFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSQKYTSFPWLLGCTA -NWILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPYRPTTGRTSLYAVSPSVPSHLPVRVHFASPLHV -AWRPP - ->sp|Q4R1S7.1|DPOL_HBVA8 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFLKLLLLDDGTEAGPLEEELPRLADADLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSHTVP -IFNPEWQTPSFPKIHLQEDIIDRCQQFVGPLTVNEKRRLKLIMPARFYPNSTKYLPLDKGIKPYYPEHVV -NHYFQARHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELHHGRLVTKTSQRHGDKSVCSQPSGILSRS -SVGPCIRSQFKQSRLGLQPHQGPLATSQSGRSGSIWARVHPSTRRCSGVEPSGSRHIDYSASSTSSCLRQ -SAVRKAAYSHLSTSKRQSSSGHKVEFPSFPPSSARSQSQGPVFSCWWLQFRNSKPCSEYCLSHLVNLLED -WGPCTDHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGITRVSWPKFAVPNLQSLTNL -LSSNLSWLSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRIHNHQYGTLQNLHDSCSRQLYV -SLMLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAK -TVQHLESLYTAVTNFLLSLGIHLNPTKTKRWGYSLNFMGYIIGCWGALPQDHIVQKIKDCFRKLPVNRPI -DWKVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYMNLYPVARQRPGLCQVF -ADATPTGWGLAMGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCTA -NWILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHV -AWRPP - ->sp|Q4R1R9.1|DPOL_HBVA9 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDGTEVGPLEEELPRLADEDLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVP -IFNQEWQTPSFPKIHLHEDIINRCQQFVGPLNVNEKRRLKLIMPARFYPNSTKYLPLDKGIKPYYPGHVV -NHYFQARHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELHHGRSVTKTSQRHGDESFCSQPSGILSRS -SVGPCIRSQLKQPRLGLQPHQGPLATSQSGRSGSIWARVHPSTRRSSGVEPSGSGHIDYSASSSSSCLHQ -SAVRKTAYSHLSTSKRQSSSGHAVEFHKVPPNSARSQSQGPVFSCWWLQFRNSQPCSEYCLSHLVNLLED -WGPCADHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSTRVSWPKFAVPNLQSLTNL -LSSNLSWLSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRIHNHQYGTLQNLHDSCSRQLYV -SLMLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAK -SVQHLESLYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYIIGSWGSLPQDHIVQKLKHCFRKLPVNRPI -DWKVCQRIVGLLGFAAPFTQCGYPALMPLYACIQARQAFTFSPTYKAFLSKQYMNLYPVARQRPGLCQVF -ADATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGATLIGTDNSVVLSRKYTSFPWLLGCTA -NWILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPYRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHV -AWRPP - ->sp|Q9QAW8.1|DPOL_HBVE3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRILLLDEEAGPLEEELPRLADEDLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTIPVF -NPNWKTPSFPDIHLHQDIINKCEQFVGPLTVNEKRRLNLVMPARFFPISTKYLPLEKGIKPYYPDNVVNH -YFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELHHGAFLDGPSRMGEESFHHQSSGIFSRPPVG -SSIQSKHQKSRLGPQSQQRPLDGSQQGRSGSIRAGVHSPTRRPFGVEPSGSRHAKNIASRSASCLHQSAV -RKAAYPNHSTFERHSSSGHAVEFHNISPSSAGSQSKRPVFSCWWLQFRNSEPCSDYCLTHLVNLLEDWGP -CTEHGKHHIRIPRTPARITGGVFLVDKNPHNTAESRLVVDFSQFSRGSSRVSWPKFAVPNLQSLTNLLSS -NLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQYGTLPNLHDSCSRNLYVSLM -LLFKTFGRKLHLYSHPIIMGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQ -HLESLYTSVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGSLPQEHIIMKIKDCFRKLPVNRPIDWK -VCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADA -TPTGWGLAIGHQRMRGTFMAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWI -LRGTSFVYVPSALNPADDPSRGRLGIYRPLLRLPFQPSTGRTSLYAVSPSVPSHLPDRVHFASPLHVAWR -PP - ->sp|Q9PX62.1|DPOL_HBVB5 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDEEAGPLEEELPRLADEGLNRRVADDLNLGNLNVSIPWTHKVGNFTGLYSSTVPCF -NPKWQTPSFPDIHLQEDIVDRCKQFVGPLTVNENRRLKLIMPARFYPNVTKYLPLDKGIKPYYPEYVVNH -YFQTRHYLHTLWEAGILYKRESTRSASFCGSPYSWEQDLQHGRLVFQTSKRHGDKSFCPQSPGILPRSSV -GPCIQSQLRKSRLGPQPAQGQLAGRQQGGSGSIRARVHPSPWGTVGVEPSGSGPTHNCASSSSSCLHQSA -VRKAAYSLISTSKGHSSSGHAVELHHFPPNSSRSQSQGPVLSCWWLQFRNSEPCSEYCLYHIVNLIEDWG -PCTEHGEHRIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINNQHRTMQNLHNSCSRNLYVSL -MLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLYAAVTNFLLSLGIHLNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIVQKIKMCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYLNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVSPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTAFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLLYRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -XPP - ->sp|Q69602.1|DPOL_HBVE1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRILLLDEEAGPLEEELPRLADEDLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTIPVF -NPNWKTPSFPDIHLHQDIINKCEQFVGPLTVNEKRRLNLVMPARFFPISTKYLPLEKGIKPYYPDNVVNH -YFQTRHYLHTLWKAGHLYKRETTRSASFCGSPYSWEQELHHGAFLDGPSRMGEEYFHHQSSGIFSRPPVG -SSIQSKHQKSRLGPQSQQRPLDGSQQGRSGSLRAGVHSPTRRPFGVEPSGSRHAKNIASRPASCLHQSAV -RKAAYPNHSTFERHSSSGHAVELHNISSSSAGSQSKRPVFSCWWLQFRNSEPCSDYCLTHLVNLLEDWGP -CTEHGKHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSSRVSWPKFAVPNLQSLTNLLSS -NLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQHGTLPNLHDSCSRNLYVSLM -LLFKTFGRKLHLYSHPIIMGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVR -HLESLYTSVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGSLPQEHIIQKIKHCFGKLPVNRPIDWK -VCQGIVGLLGFAAPFTQCGYPALMPLYTCIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADA -TPTGWGLAIGIQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWI -LRGTSFVYVPSALNPADDPSRGRLGIFRPLLRLRFRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAWR -PP - ->sp|Q67925.1|DPOL_HBVB6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKMLLLDEEAGPLEEELPRLADEGLNRRVAEDLNLGDLNVSIPWTHKVGNFTGLYSSTVPCF -NPQWQTPSFPSIHLQEDIVDRCKQFVGPLTVNENRRLKLIMPARFYPNVTKYLPLDKGIKPYYPEYIVDH -YFQTRHYLHTLWKAGILYKRESTRSASFCGSPYSWEQDLQHGRLVFQTSKRHGDKSFCPQSPGILPRSSV -GPCIQSQLRKSRLGPQPAQGQLAGRQQGGSGSIRARVHPSPWGTVGVEPSGSGPTHNCASSSSSCLHQSA -VRKAAYSLLSTSKGHSSSGHAVELHNFPPNSSRFQSQGPVPSCWWLQFRNSEPCSEYCLSHIVNLIEDWG -PCTEHGEHRIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSHSRINNNQHGTMQNLHNSCSRNLYVSL -MLLYKTYGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLEALYAAVTNFLLSLGIHLNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIVQKIKMCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYLNLYPVARQRPGLCQVFRD -ATPTGWGLAIGHQRMRGTFVSPLPIHTAELLAACFARSRSGAKLIGTHNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPYQPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|Q80IU7.1|DPOL_HBVE2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRILLLDEEAGPLEEELPRLADEDLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTIPVF -NPNWKTPSFPDIHLHQDIINKCEQFVGPLTVNEKRRLNLVMPARFFPIATKYLPLEKGIKPYYPDNVVNH -YFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELHHGAFLDGPSRMGEESFHHQSSGIFSRPPVG -SSIQSKHQKSRLGPQSQQRPLDGSQQGRSGSIRAGVHSPTRRPFGVEPSGSRHAKNIASRSASCLHQSAV -RKAAYPNHSTFERHSSSGHAVEFHNIPPSSAGSQSKRPVFSCWWLQFRNSEPCSDYCLTHLVNLLEDWGP -CTEHGRHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSSRVSWPKFAVPNLQSLTNLLSS -NLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQYGTLPNLHDSCSRNLYVSLM -LLFKTFGRKLHLYSHPIIMGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQ -HLESLYTSVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGSLPQEHIRMKIKDCFRKLPVNRPIDWK -VCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRSGLCQVFADA -TPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWI -LRGTSFVYVPSALNPADDPSRGRLGIYRPLLRLPFQPTTGRTSLYAVSPSVPSRLPDRVHFASPLHVAWR -PP - ->sp|Q80IU4.1|DPOL_HBVE4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRILLLDEEAGPLEEELPRLADEDLNRRVAEDLNLQLPNVSIPWTHKVGNFSGLYSSTIPVF -NPHWKTPSFPDIHLHQDIINKCEQFVGPLTVNEKRRLNLVMPARFFPISTKYLPLEKGIKPYYPDNVVNH -YFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELHHGAFLDGPSRMGEESFHHQSSGIFSRPPVG -SSIQSKHQKSRLGPQSQQRPLDRSQQGRSGSIRAGVHSPTRRPFGVEPSGSRHAKNIASRSASCLHQSAV -RKAAYPNHSTFERHSSSGHAVEFHNIPPSSAGSQSKRPVFSCWWLQFRNSEPCSDYCLSHLVNLLEDWGP -CTEHGRHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSSRVSWPKFAVPNLQSLTNLLSS -NLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHHYGTLPNLHDSCSRNLYVSLM -LLFKTFGRKLHLYSHPIIMGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQ -HLESLYTSVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGSLPQEHIRIKIKDCFRKLPVNRPIDWK -VCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADA -TPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWI -LRGTSFVYVPSALNPADDPSRGRLGVCRPLLRLPFQPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAWR -PP - ->sp|O56655.1|DPOL_HBVD7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDDEAGPLEEELPRLADEDLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPYWKTPSFPNIHLHQDIIKKCEQFVGPLTVNEKRRLQLIMPARFYPKVTKYLPLDKGIKPYYPEHLVNH -YFQTRHYLHTLWKAGILYKRETTHSASFCGSPYSWEQELQHGAESFHQQSSGILSRPPVGSSLQSKHSKS -RLGLQSQQGHLARRQQGRSWSIRARIHPTARRPFGVEPSGSGHNTNLASKSASCLYQSPDRKAAYPAVST -FEKHSSSGHAVELHNLPPNSARSQGERPVFPCWWLQFRNSKPCSDYCLSHIVNLLEDWGPCAEHGEHHIR -TPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIFNHQRGTMQNLHDYCSRNLYVSLLLLYKTFGRKL -HLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVSHLESLFTAVT -NFLLSLGIHLNPNKTKRWGYSLNFMGYVIGCYGSLPQDHIIQKIKECFRKLPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQSRQAFTFSPTYKAFLCQQYLNLYPVARQRPGLCQVFADATPTGWGLVMG -HQRMRGTFLAPLPIHTAELLAACFARSRSGANILGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLSRPLLRLPFRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAWRPP - ->sp|Q9YZR5.1|DPOL_HBVC2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPNIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPNLTKYLPLDKGIKPYYPEHAVNH -YFKTRHYLHTLWQAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSTRHGDESFCSQSSGILSRSPV -GPCVRSQLKQSRLGLQPQQGSLARGKSGRSGSIRARVHPTTRRSFGVEPSGSGHIDNSASSASSCLHQSA -VRKTAYSHLSTSKRQSSSGHAVELHNIPPSSARSQSEGPIFSCWWLQFRNSKPCSDYCLSHIVNLLEDWG -PCTEHGEHNIRIPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTHVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSTSRNINYQHGTMQDLHDSCSRNLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLFTSVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQEHIVLKIKQCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCQQYLHLYPVARQRSGLCQVFAD -ATPTGWGLAIGHRRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFQPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAW -KPP - ->sp|Q9IBI4.1|DPOL_HBVG3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDEEAGPLEEELPRLADEDLNRRVAEDLHLQLPNVSIPWTHKVGNFTGLYSSTIPVF -NPDWQTPSFPNIHLHQDIITKCEQFVGPLTVNEKRRLKLVMPARFFPNSTKYLPLDKGIKPYYPENVVNH -YFQTRHYLHTLWKAGILYKRETSRSASFCGSPYTWEQDLQHGAFLDGPSRVGKEPFRQQSSRIPSRSPVG -PSIQSKYQQSRLGLQSQKGPLARGQQGRSWSLWTRVHPSTRRPFGVEPSVSGHTNNFASRSASCLHQSSV -REAAYSHLSTTKRQSSSGHAVELYSIPPSSTKSQSQGPVFSCWWLQFRDSEPCSDYCLSHLVNLLQDWGP -CTEHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSARVSWPKFAVPNLQSLTNLLSS -NLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLSRYVARLSSDSRILDHQYGTLQNLHDSCSRQLYVSLM -LLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQ -HLESLYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQEHITQKIKQCFRKLPVNRPIDWK -VCQRITGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLCKQYMNLYPVARQRPGLCQVFADA -TPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWI -LRGTSFVYVPSALNPADDPSRGRLGLCRPLLRLPFLPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVTWK -PP - ->sp|Q9E6S5.1|DPOL_HBVC0 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NSEWQTPSFPDIHLQEDIINRCQQFVGPLNVNEKRRLKLVMPARFFPNLTKYLPLDKGIKPYYPEHIVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLFFKTSKRHGDESFCSQSSGILARPSV -GPCFRSQFKQSRLGLQPQQGPLARGLAGRSGSIRARVHPTTRQSFGVEPSGSGHIDNSASNSSSCLHQSA -VRKAAYSHLSTSKRQSSSGHAVEFHNISSSSARSQSKGPILSCWWLQFRNSKPCSDYCLSHIVNLLEDWG -PCTENGEHNIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGSTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSNSRNINNKHGTMQDLHDSCSRHLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESFFTSVTNFLLSLGIHLNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIVLKIKKCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALLPLYACIQAKQAFTFSPTYKAFLCKQYLNLYPVARQRSGLCQVFAD -ATPTGWGLAMGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFRPTTGRTSLYAVSPSVPSHLPVRVHFASPLHVAW -RPP - ->sp|Q99HS4.1|DPOL_HBVF3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYPHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTVPTF -NPDWLTPSFPDIHLHQDLIHKCEQFVGPLTKNELRRLKLVMPSRFFPKVTKYFPMEKGIKPYYPDNVVNH -YFKTRHYLHTLWKAGILYKRESTRSASFCGSPYSWEQELQHGSTSINDSKGHGTESLCTQSSGILSRPSA -GSSIQGKFQQSRLGLQQKQGQLANGKQGRSGRIRSWVHTPTRWPVGVESTGTGCAYNIASRSASCFHQSA -VREKTNPSLSTSKRHSSTGHAVELHSVPPGSVRSEGKGSVFSCWWLQFRDTEPCSDYCLSHIINLLEDWG -PCYEHGQHHIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSCGLSRYVARLSSTSRIHDHQHGTMQNLHNSCSRNLYVSL -LLLFQTLGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTSKTKRWGYTLNFMGYVIGSWGSLPQDHIVQKLKDCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACITAKQAFVFSPTYKAFLCQQYMNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFQPTTGRTSLYAASPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|Q99HR5.1|DPOL_HBVF4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYPHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTVPAF -NPHWLTPSFPDIHLHQDLISKCEQFVGPLTKNELRRLKLIMPARFFPKLTKYFPLEKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRESTRSASFCGSPYSWEQELQHGSTSLNDKKGHGTESLCAQSTGILSRTSA -GSSFQSKFQQSRLGLQQKQGHLANGKQGRSGRLRSRVHTPTRWPVGVEPSGTRCSNNLASRSASCFHQSA -VREEANPSLSTSKRHTSTGNAVELNPVPPGLVGSEGKGSVFSCWWLQFRDAEPCSDYCLSHIINLLEDWG -PCYEHGQHHIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGTTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIYDHQHGTMQNLHNSCSRNLYVSL -LLLFQTLGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTSKTKRWGYNLHFMGYVIGSWGALPQDHIVHKIKECFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYTCITAKQAFVFSPTYKAFLCKQYMNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGANIIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFQPTTGRTSLYADSPSVPSHLPVRVHFASPLHVAW -RPP - ->sp|Q913A7.1|DPOL_HBVC7 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEDELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPHIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPNLTKYLPLDKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVFQTSTRHGDESFCSQSSGILSRSPF -GPCVRSQLKQSRLGLQPQQGSLAKGKSGQSGSIRARVHPTTLQSFGVEPSGSGHIDNGASSTSSCLLQSA -VRKTAYSHLSTFKRQSSSGHAVELHNLPPNSARSQSERPIFPCWWLQFRNSKPCSDYCLSHIVNLLEDWG -PCTEHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHIPLHPAAMPHLLVGSSGLSRYVARLSSNSRIFNHQHGTLQNLHDSCSRNLYVSL -LLLYKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLFTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGCYGSLPQSHIIQKIKECFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALLPLYACIQSKQAFIFSPTYKAFLCQQYMNLYPVARQRPGLCQVFAD -ATPTGWGLVMGHQRMRGTFVAPLPIHTAELLAACFARSRSGANILGTDNSVVLARKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLHLPFRPTTGRTSLYAVSPSVPSHLPDRVHFASPLHVAW -KPP - ->sp|Q8JN08.1|DPOL_HBVH2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDNEAGPLEEELPRLADEDLNHRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTVPVF -NPDWLTPSFPDIHLHQDLIQKCEQFVRPLTKNEVRRLKLIMPARFYPKATKYFPLDKGIKPYYPENVVNH -YFKTTHYLHTLWKARILYKRESTHSASFCGSPYSWEQELQHGSTSLNGEKGHGTESLCAQSSGILSRPPV -GSTIQSKFQQSRLGLQHKQGQLANGKQGRSGRLWSRVHTPTRWPSGVEPSGTGHSDNLATRSTSRFHQSE -VRKETNPSLSTSKGHTSTGHAVELNTVPPSTVGSESQGSVFSCWWLQFRNTEPCSDYCLSHIINLLEDWG -PCYEHGEHHIRTPRTPSRVTGGVFLVDKNPHNTTESRLVVDFSQFSRGTTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARVSSTSRIYNHQHGTLQNLHHSCSRNLYVSL -LLLYQTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTAKTKWWGYSLHFMGYIIGSWGTLPQEHIVQKIKNCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACITAKQAFVFSPTYKAFLCKQYMNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGADIIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLCRPLLRLPFRPTTGRTSLYADSPPVPFHQPARVHFGSPLHVAW -RPP - ->sp|Q8JMZ7.1|DPOL_HBVH3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDNEAGPLEEELPRLADEDLNHRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTVPVF -NPDWLTPSFPDIHLHQDLIQKCEQFVGPLTKNEVRRLKLIMPARFYPKVTKYFPLDKGIKPYYPEHVVNH -YFKTRHYLHTLWKAGILYKRESTHSASFCGSPYSWEQELQHGSTSLNGEKGHGTESFCAQSSGILSRPPV -GSTIQSKFQQSRLGLQHKQGQLANGKQGRSGRLWSRVHTPTRWPSGVEPSGTGHSDNLATRSTSRFHQSE -VRKETNPSLSTSKGHTSTGHAVELNTVPPSTVGSESKGSVSSCWWLQFRNTEPCSDYCLSHIINLLEDWG -PCYEHGEHHIRTPRTPSRVTGGVFLVDKNPHNTTESRLVVDFSQFSRGTTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARVSSTSRIYNHQHGSLQNLHHSCSRNLYVSL -LLLYQTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTAKTKWWGYSLHFMGYIIGSWGTLPQEHIVQKIKDCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACITAKQAFVFSPTYKAFLCKQYMNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGADIIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLCRPLLRLPFRPTTGRTSLYADSPPVPSHLPARVHFASPLHVAW -RPP - ->sp|Q8JMY7.1|DPOL_HBVH1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDNEAGPLEEELPRLADEDLNHRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTIPVF -NPDWLTPSFPDIHLHQDLIQKCEQFVGPLTTNERRRLKLIMPARFYPKVTKYFPLDKGIKPYYPENVVNH -YFKTRHYLHTLWKAGILYKRESTHSASFCGSPYSWEQELQHGSTSLNGEKGHGTEPFCAQSSGILSRPPV -GSTIQSKFQQSRLGLQHKQGQLANGKQGRSGRLRSRVHTPTRWPSGVEPSGTGHSDNLATRSTSCFHQSE -VRKKANPSLSTSKGHTSTGHAVELNTVPPSTVGSESKGSVFSCWWLQFRNTEPCSDYCLSHIINLLEDWG -PCYEHGEHHIRTPKTPSRVTGGVFLVDKNPHNTTESRLVVDFSQFSRGTTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARVSSTSRIYNHQHGTLQNLHHSCSRNLYVSL -LLLYQTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTAKTKWWGYSLHFMGYIIGSWGTLPQEHIVHKIKDCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACITAKQAFVFSPTYKAFLCKQYMNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGADIIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLCRPLLRLPFRPTTGRTSLYADSPPVPSHLPARVHFASPLHVAW -RPP - ->sp|Q8JMY4.1|DPOL_HBVF2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYPHFRKLLLLDDEAGLLEEELPRLADEGLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTVPTF -NPDWLTPSFPDIHLHQDLIHKCEQFVGPLTKNELRRLKLVMPSRFFPKVTKYFPMEKGIKPYYPDNVVNH -YFKTRHYLHTLWKAGILYKRESTRSASFCGSPYSWEQELQHGSTSINDTKGHGTESLCTQSSGILSRPSA -GSSIQGKFQQSRLGLQQKQGQLANGKQGRSGRIRSWVHTPTRWPAGVEPTGTGCAYNIASRSASCFHQSA -VREKTNPSLSTSKRHSSTGHAVELNPVPPGSVRSEGKGSVLSCWWLQFRDTEPCSDYCLSHIINLLEDWG -PCYEHGQHHIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSTSRIHDHQHGTMQNLHNSCSRNLYVSL -LLLFKTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTSKTKRWGYTLNFMGYVIGSWGSLPQDHIVQKIKACFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACITAKQAFVFSPTYKAFLCQQYMHLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFQPTTGRTSLYAASPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|Q69605.1|DPOL_HBVF6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYPHFRKLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLQLPNVSIPWTHKVGNFTGLYSSTVPAF -NPNWLTPSFPDIHLHQDMISKCEQFVGPLTKNELRRLKLVMPARFYPKHTKYFLLEKGIKPYYPDQAVNH -YFQTRHYLHTLWKAGILYKRETTRSASFCGSQYSWEQELQHGSTSLNDKKGHGTESFCAQSTGLLARPSA -GSAIQSKFQQSRLGLQHKQGQLANGKQGRSGRLRSRVHTPTRWPAGVEPSGTGCFNNLASRSASCFHQSA -VREEANPSLSTSKRHTSSGHAVELNSLPPSSVGSQGKGTVFSCWWLQFRNTEPCSDYCLSHIINLLEDWG -PCYEHGEHHIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGTTQVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLPRYVARLSSTSRIHDHQHGTMQNLHSSCSRNLYVSL -LLLFQTLGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTAKTKRWGYNLHFMGYVIGSWGTLPQDHIVHKIKDCFRKVPVNRPIDW -KVCQSIVGLLGFAAPFTQCGYPALMPLYACITAKQAFVFSPTYKAFLCKQYMNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAILIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADEPSRGRLGLYRPLLRLPFQPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|Q67878.1|DPOL_HBVD6 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGFYSSTVPVF -NPHWETPSFPNIHLHQDIIKKCEQFVGPLTVNEKRRLQLIMPARFYPKVTKYLPLDKGIKPYYPEHLVNH -YFQTRHYLHTLWKAGILYKRETTHSASFCGSPYSWEQDLQHGAESIHQQSSGILSRPPVGSSLQSKHRKS -RLGLQSQQGHLARRQQGWSWSIRAGTHPTARRPFGVEPSGSGHTTHRASKSASCLYQSPDRKATYPSVST -FERHSSSGRAVELHNFPPNSARSQSERPIFPCWWLQFRNSKPCSDYCLSLIVNLLEDWGPCDEYGEHHIR -IPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AGFYHLPLHPAAMPHLLVGSSGVSRYVARLSSNSRNNNNQYGTMQNLHDSCSRQLYVSLMLLYQNFGWKL -HLYSHPIVLGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLFTAVT -NFLLSLGIHLNPNKTKRWGYSLHFMGYVIGCYGSLPQEHIIQKIKECFRKVPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQFKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADATPTGWGLGMG -HQRMRGTFSAPLPIHTAELLAACFARSRSGANILGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLSRPLLCLPFRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAWRPP - ->sp|Q81165.1|DPOL_HBVC8 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNHRVAEDLNLGNPNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQPPSFPHIHLQEDIINRCQQYVGPLTVNEKRRLKLIMPARFYPNLTKYLPLDKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHQTSTRHGDESFCSQSSGILSRSPVGPCVR -SQLKQSRLGLQPQQGSMARGKSGRSGSIRARVHPTTRRSFGVEPSGSGHIDNSASSASSCLHQSAVRKTA -YSHLSTSKRQSSSGHAVEFHNIPPSSARSQSEGPIFSCWWLQFRNSKPCSDYCLTHIVNLLEDWGPCTEH -GEHNIRIPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGSTHVSWPKFAVPNLQSLTNLLSSNLSW -LSLDVSAAFYHIPLHPAAMPHLLVGSSGLPRYVARLSSTSRNINYQHGTMQDLHDSCSRNLYVSLLLLYK -TFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLES -LFTSITNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTLPQEHIVLKLKQCFRKLPVNRPIDWKVCQR -IVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCQQYLHLYPVARQRSGLCQVFADATPTG -WGLAIGHRRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANWILRGT -SFVYVPSALNPADDPSRGRLGLYRPLLHLPFRPTTGRTSLYAVSPSVPSHLPSRVHFASPLHVAWRPP - ->sp|Q05486.1|DPOL_HBVF1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYPHFRKLLLLDDEAGPLEEELPRLADEDLNRRVAADLNLQLPNVSIPWTHKVGNFTGLYSSTVPAF -NPNWSTPSFPDIHLHQDLISKCEQFVGPLTKNELRRLKLVMPARFYPKVTKYFPMDKGIKPYYPEHAVNH -YFKTRHYLHTLWKAGILYKRESTRSASFCGSPYSWEQELQHGSTSLNDTKRHGTESLCAQSSGILSRPSA -GSAIQSKFQQSRLGLQHKQGQLANGKQGRSGRLRSRVHTPTRWPAGVEPSSTRCVNNLASRSASCFHQSA -VREKANPSLSTSKRHTSTGNAVELNPVPPSSVGSQGKGSVLPCWWLQFRDTEPCSDYCLSHIINLLEDWG -PCYEHGQHYIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGTTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSTSRIHDHQHGTLQNLHNSCTRNLYVSL -LLLFQTLGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDLVLGAKSV -QHLESLYTAVTNFLLSVGIHLNTSKTKRWGYSLHFMGYVIGSWGSLPQDHIVHKIKECFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACITAKQAFVFSPTYKAFLCKQYMNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGATLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPFQPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|P03155.1|DPOL_HBVD1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQRFRRLLLLDDEAGPLEEELPRLADEDLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPHWKPPSFPNIHLHQDIIKKCEQFVGPLTVNEKRRLKLIMPARFYPNFTKYLPLDKGIKPYYPEHLVNH -YFQTRHYLHTLWKAGVLYKRVSTHSASFCGSPYSWEQELQHGAESFHQQSSGILSRPPVGSSLQSKHQQS -RLGLQSQQGHLARRQQGRSWSIRARVHPTARRPFGVEPSGSGHNANLASKSASCLYQSPVRTAAYPAVST -SENHSSSGHALELHNLPPNSARSQSERPVFPCWWLQFRDSKPCSDYYLSHIVNLLEDWGPCAEHGEHHIR -IPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQHGILQNLHDSCSRNLYVSLLLLYKTFGWKL -HLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLFTAVT -NFLLSLGIHLNPNKTKRWGYSLNFMGYVIGCWGSLPQDHIIHKIKECFRKLPVHRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVAEQRPGLCQVFADATPTGWGLVMG -HQRMRGTFLAPLPIHTAELLAACFARSRSGANILGTDNSVVLSRKYTSFP - ->sp|P17395.1|DPOL_HBVB4 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDEEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPCF -NPKWQTPSFPDIHLQEDIVDRCKQFVGPLTVNENRRLKLIMPARFYPNVTKYLPLDKGIKPYYPEYVVNH -YFQTRHYLHTLWKAGILYKRESTRSASFCGSPYSWEQDLQHGRLVFQTSKRHGDKSFCPQSSGILPRSSV -GPCIQSQLRKSRLGPQPEQGQLAGRQQGGSGSIRARVHPSPWGTVGVEPSGSGPTHNCASSSSSCLHQSA -VRKAAYSLIPTSKGHSSSGHAVELHHFPPNSSRSRSQGPVLSCWWLQFRNSEPCSEYCLCHIVNLIEDWG -PCTEHGEHRIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINNQHRTMQNLHNSCSRNLYVSL -MLLYKTYGWKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDMVLGAKSV -QHLESLYAAVTNFLLSLGIHLNPHKTKRWGYSLNFMGYVIGSWGTLPQEHIVQKIKMWFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLTKQYLNLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVSPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLLYRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|P17394.1|DPOL_HBVB1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDEAGPLEEELPRLADEGLNHRVAEDLNLGNPNVSIPWTHKVGNFTGLYSSTVPVF -NPEWQTPSFPDIHLQEDIVDRCKQFVGPLTVNENRRLKLIMPARFYPNVTKYLPLDKGIKPYYPEHVVNH -YFQTRHYLHTLWKAGILYKRESTHSASFCGSPYSWEQDLQHGRLVFQTSKRHGDKSFCPQSPGILPRSSV -GPCIQSQLRKSRLGPQPTQGQLAGRPQGGSGSIRARIHPSPWGTVGVEPSGSGHTHICASSSSSCLHQSA -VRTAAYSPISTSKGHSSSGHAVELHHFPPNSSRSQSQGSVLSCWWLQFRNSKPCSEYCLSHIVNLIEDWG -PCAEHGEHRIRTPRTPARVTGGVFLVDKNPHNTTESRLVVDFSQFSRGNTRVSWPKFAVPNLQSLTNLLS -SNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRIINHQHGTMQDLHNSCSRNLYVSL -MLLYKTYGWKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSV -QHLESLYAAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGSWGTWPQDHIVQNFKLCFRKLPVNRPIDW -KVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYMTLYPVARQRPGLCQVFAD -ATPTGWGLAIGHQRMRGTFVSPLPIHTAELLAACFARSRSGANLIGTDNSVVLSRKYTSFPWLLGCAANW -ILRGTSFVYVPSALNPADDPSRGRLGLYRPLLRLPYRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAW -RPP - ->sp|P24024.1|DPOL_HBVD2 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRRLLLLDDEAGPLEEELPRLADEGLNRHVAEELNLGNLNVSIPWTHKVGNFTGLYSSTVPVF -NPHWKTPSFPNIHLHQDIIKKCEQFVGPLTVNEKRRLQLIMPARFYPKVTKYLPLDKGIKPYYPEHLVNH -YFQTRHYLHTLWKAGVLYKRETTHSASFCGSPYSWEQELQHGAESFHQQSSGILSRPPVGSSLQSKHCKS -RLGLQSQQGLLARRQQGRSWSIRAGIHPTARRPFGVEPSGSGHTTNLASKSASCLHQSPVRKATYPSVST -FEKHSSSGHAVELHNLPPNSARSQSERPVSPCWWLQFRNSKPCSDYCLSHIVNLLEDWGPCAEHGEHHIR -IPRTPARVTGGVFLVDKNPHNTEESRLVVDFSQFSRGNHRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVS -AAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSDSRIFNHQHGTMQNLHDSCSRNLYVSLLLLYQTFGRKL -HLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKTVHHLESLFTAVT -NFLLSLGIHLNPNKTKRWGYSLHFMGYVIGCYGSLPQDHIIQKIKECFRKLPVNRPIDWKVCQRIVGLLG -FAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADATPTGWGLVMG -HQRMRGTFQAPLPIHTAELLAACFARSRSGANILGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVP -SALNPADDPSRGRLGLSRPLLRLPFRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAWRPP - ->sp|P03159.1|DPOL_HBVA3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPLSYQHFRKLLLLDDGTEAGPLEEELPRLADADLHRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVP -IFNPEWQTPSFPKIHLQEDIINRCQQFVGPLTVNEKRRLKLIMPARFYPTHTKYLPLDKGIKPYYPDQVV -NHYFQTRHYLHTLWKAGILYKRETTRSASFCGSPYSWEQELQHGRLVIKTSQRHGDESFCSQSSGILSRS -SVGPCIRSQLKQSRLGLQPRQGRLASSQPSRSGSIRAKAHPSTRRYFGVEPSGSGHIDHSVNNSSSCLHQ -SAVRKAAYSHLSTSKRQSSSGHAVEFHCLPPNSAGSQSQGSVSSCWWLQFRNSKPCSEYCLSHLVNLRED -WGPCDEHGEHHIRIPRTPARVTGGVFLVDKNPHNTAESRLVVDFSQFSRGISRVSWPKFAVPNLQSLTNL -LSSNLSWLSLDVSAAFYHIPLHPAAMPHLLIGSSGLSRYVARLSSNSRINNNQYGTMQNLHDSCSRQLYV -SLMLLYKTYGWKLHLYSHPIVLGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAK -SVQHRESLYTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYIIGSWGTLPQDHIVQKIKHCFRKLPVNRPI -DWKVCQRIVGLLGFAAPFTQCGYPALMPLYACIQAKQAFTFSPTYKAFLSKQYMNLYPVARQRPGLCQVF -ADATPTGWGLAIGHQRMRGTFVAPLPIHTAELLAACFARSRSGAKLIGTDNSVVLSRKYTSFPWLLGCTA -NWILRGTSFVYVPSALNPADDPSRGRLGLSRPLLRLPFQPTTGRTSLYAVSPSVPSHLPVRVHFASPLHV -AWRPP - diff --git a/seq/clusters_seq/cluster_330 b/seq/clusters_seq/cluster_330 deleted file mode 100644 index c07648c..0000000 --- a/seq/clusters_seq/cluster_330 +++ /dev/null @@ -1,273 +0,0 @@ ->YP_010086029.1 VP1 [Rotavirus J] -MDAADYLEWLSRSTVRNLAYTSLVYSNPKVAIVKINDENENFESVEQRQNSPSQIIEEISQIMKSNKTTD -EKLETLLRMRYFSVYVDDKKDKRELVSQMLKKTINEIKTSNECDEMIKDATERIASQARKWKIKNGKSLR -PYHHDQPISNFLKTNEFEVLKNEKDEYRWRSDTLEGTIPHYNHRTHTLISSLVFALQSRIHEYSGCKASA -IKYLLVKIQERYEEGYLELLPNRKWSLSYSEIFNSKIRLYSAKVIHAASAMITLAHADPIDHVFLCQIIS -AFEIIPANAAKLLSSPMTLYIGIAQLKSNEVVSTSSAAEVSPTLNPNVQKLSDDQINEWNQEMDEYPLHE -SIMVKHMKKNIYNVNIESFYLIFNCFSATFHVGHRIDNQQDAIEDQVSVNYTSDVDREMYDQYYHMMKRM -FRDEIAFYVDEMAKKFNSDVTAESLSALANSSNGFSKTVTFIDRQIKTTKKLLHLDDDLINNSEGLQNIG -AILQNGIPMGTRNVPARQTRGIFILPWQVAAVQHTLAETMYKRAKKGAQNASFAEAYTAKAATLTYGLLA -QATSRADQLILYTDVSQWDASQHNTEPYRSAWINAIDEAREIYHVNKSEEPTVLGMNVLDKMKEIQAALL -NSKLSIESPGSQRPPKVITYHGVASGEKTTKIGNSYANVALINTILRKVELDLPSIRVTHIRVDGDDNVV -TMYVDDNIAKVQSIIKEAYKRMNARVKALASYTGLEMAKRFIISGKIFERGAISIFTAERPYGTDLSAQA -TTGSLLYSASVNAYRGFGDKYLSFLEDVLVPPSASIRVTGRLRMLLSPVTLFATGPVSFEVTPFGLGGRM -RLYSDNEKIMQLFKILTTSLSISVQPDEVKLYSQTKQFDVRVNKIQDSIRRAMNADAKIIIDVARDKEKQ -KTLGVPNVATTKNRSQIEKARKTLSFKEEKLSKVKDFYPEELFIEFIKNSKVEEFKSVEFTPIYMHNSER -IRLLQQQLGVRISDRHLFSKPKNTLLDLVSKHSPIKISPDDLVKYSRKYNLTTLEGKKKFLIDLGLTGSE -LRYYLSSKLLMHDLLISKYDKLYETPGFGATQLTSIPLDLSAAETIFKCNVPMPHNYYEILMLILLYEYV -HYVLFTGKRFTAVITVNSPKNAAKLTSRLMKMIDNLQLDVVSFSDVVY - ->YP_009130674.1 VP1 [Rotavirus I] -MSEKEYLDWLSSSIFRNLLYTSLVYTNAKIGIVELNRDQKDFRSQETTVLSPIDAIQNIKDRITDDIALS -LKNLLRIRYLTVYVEDKSDKRVLVGRQIDTILSQLKQRGASTDTINIHVDQISLEAKKLKVKESQKMRPY -HTDQTVSNFFTMNQFEFVEGADPYKWRSDTLEGMIPHFNHRTHTLISSVIFAVMSRLEKYHREQLDVIYW -LMLKVKQRFDDGYLELSRNRKWNISYGDLLTSKIKIYSAKIIHAALAMISIANSTDVIHYFLPQIIAVFE -IVPSIAAKQLSSPMTMFIGICQLKSNIVVATTRPHECVATPRKNISRLSDDQRKQWEDERKDYPFASSLM -FLEMVKNVDNVQISTFRRIFNSFSATFHVGHRIDNAQDAIEDQVSVKYTSEVDREMYDQYYHQLKNMFKI -EISRYLEYMKKYNNDATAQSMSALANSSNGFSKEVTFIDRKINTTKKMLHMDDDLLKQDFSNVREIIERG -IPMGTRNVPARQTRGIFILPWQVAVIQHTIAESMYSHAKKGDYGATFAEAYTAKASTLTYGVLAQATSNA -EQFILYTDVSQWDASQHNTEPYRSAWINAIDEVRQEKNVDKKTEPVVLGMNVLDKMMDIQKALLNSNLIL -TSRGSQREDKRIRYHGVASGEKTTKIGNSFANVSLITTVLKKTIQKYPQIRVTHTRVDGDDNVVTMYYND -SMQLLQEEIKKNYELMNARVKALASYTGLEMAKRFIICGKIFERGAISIFTAERPYGTDRSDQAITGSLL -YSASVNAYRGFGDEYLHFMQDVLIPPSASIRISGRLRLLLSPVTLFSTGPLSFEVTPAGLGGRMRLFTNS -EEIMTLYKSLSEATMVSVEPEEINSYSKTDMFNNRMTIMTEAVKNNMKSEPQSVLKIIREKERQKTLGIP -NVQTAKNRMQNEKTKKNLAIIESKLPIVKKFFPEELFMLIKSMCDINQPHCVEHIPIYMYSSSLIKKLHC -QLGVRMHESPPLHKPVNILVKMVNAHSPIAISPSDIFNESKSFDLSTFSGKKKFLISLGIVGNELRYYLN -SKLLMHDLALAKYDKLYETPGFGATQLTTVPFDLQSAERIFRLTLPMPAQYYEILMLLLTHEYIHYIANG -GQRSTFIMRAYQQEELASKISLIMRMIDNLQLDKVNFKDDVY - ->YP_008136242.1 VP1 [Rotavirus G chicken/03V0567/DEU/2003] -MDQFLEWLSRSMVRDLSYTSLVYTNPRVSRIILEKDGKENIWQTRETLTETPKQAIQYIQTIIGSNDTVE -KKVEKLLRIRYHAVYVEDKSDKRDLVNKLLSITIKQLGNEDLDETNINLIVNDAKKWRVKNASKLRPYHF -NIPIKEFIRDNEFEIVDTGDRRWKSDTLQGLIPHYYHRTHTLVSSVLYAIQSRIDTYDDDRKKALKWMLK -KIQSKMIEGYLELERNRKWSMTIKELKEANFRMYNAKIIHAACAMISILHSKRIIPEFLCQILAAFEEIP -ANAAKVLSSPMTLYIGICQLNTKKVVSTGNANESAQTDQPNIQRVDDSQLEEWKKSIEEDPLPNSLMLRL -MSRNLKTDVDTFKTIFNCFSATFHVGHRIDNSQDAIQDQVTVEYTTKVNREMYDMYYYTLKNMLKDEIKN -YMLEMKKQMNSDVTVPSLASLANTSNGKTIEVEFVGRKIKTTKKMLHLDNDLFTQSNYTEIEKIIRQGIP -MGTRNVPARQTRGIFILPWQVAAVQHTLAETMYKRAKKGAYRGAFAEAYTAKAASLTYGVLAQDTSTAEK -IILYTDVSQWDASQHNTEPYRSAWINAIDEAREELAMPRSLEPKVLEMNVLDKMKEIQKALLNSDLIIES -PGSQREPLKIRYHGVASGEKTTKIGNSFANVALINTVLNKVAERIPDIRVTHMRVDGDDNVVTMYTSCKI -EELQKEIKDAYTQMNARVKALASWTGLEMAKRFIICGKIFERGAISIFTAERPYGTDVSIQQMTGASLYS -AAVNAYRGFGETYRKFMEDVLIPPSASTKITARLRVLLSPITLHSIGPLGYEITPGGLGGRMRMHFADKI -NMQLFKQLTNSVSISITPDEIKEYKGTPQFKKRTEIMIDALRNNVMKQAKILEDILVDKESQKTLGVPNV -QTSKNRQQLEEAVRILSKPENKLENVDQFYPEEVFNLVTASSNRAQPSYVSIDRLYEHESEPVRKLQMQL -GVRIADTKPLTKPVNQLYEIVSKIAPMNISPTDILTNARKYDLKTLNGKRAFLSDLGLQGAQLKQYLGSK -LLFRDLLLAKYDKLYEAPGFGATQLTTIPLNLESAERIFNVAFRLPSNYYEILMLMLLYEYVNYIFDGGQ -PCVWKLKDMEANENVKLSAQIMKMIDNIKLDEVLFSDYIY - ->YP_008126843.1 RNA dependent RNA polymerase [Human rotavirus B] -MDSFQFFSWLLKDIERNLLYTSLVYTSPRIAIVRYEESEKSRLWKSKETNVLSPEEILSKIKDKLDSLSC -IHDKIEELLRIRYFTVYVEDKSDKRNIILTWLSRTITDLGKHVEYDSIKLIELQARQWRIDNANFLRPYH -YNIPINEYLRDNEIELLDTGDNKWKSDTLQGLLPNFYHRTHTLVGSILYAINSRLDKYSTDQKRALSYLL -HVIQKCFSEGYLEMSRDRKWNHTLDELRNSRFHLYNAKIIHAACAMISLAHSDHIDLEFLCQIITVYSII -PANAAKLLSSPMTMYVGVVTFSSHQVASTGNASECSPTTIQNNVYVDKAQYDEWSNMFNSDPLNASKLLR -LMNSNLKTSIEQFSLIFNCFSATFHVGHRIDNAQDAITDQVTATYTSDIDREMYDNYYYRLKNMLKEEII -QYVEDHIAKQYVDVTAESLSALANSSNGFSKEVTFIDRKIKTTKKILHLDNDLLAEDYNDLGKALSHGIP -MGTRNVPARQTRGIFILPWQVAAIQHTIAESLYKRAKKGSYQGSFAEAYTAKTASLTYGVLAEDTSKATK -IILYTDVSQWDASQHNTEPYRSAWINAIREARSEMKWLYSDEPIVLNMNVLDSMIKIQEYLLNSNLIVAS -PGSQRPLKIIRYHGVASGEKTTKIGNSFANVALIETVLDSVKQEIPDIEVTHLRVDGDDNVVSLTTSCQI -SKLQETVKRAYSKLNARVKALASYTGLEMAKRFIVCGKIFERGAIPIFTAERPYGTDVSIQSMCGSSIYS -SAVNAYRGFGDSYFAFMQDVLVPPSSSVRITGRLRVLLSPVTLYATGPLSFEITPQGLGGRCRMYTQSEK -LFTLFKLLTQTVSVSVTPEEIKKYSNTPQFKKRTSVMIKSMQMKLHTEAAALSRIMIDKEEQKTLGVPNV -QSQKNRSQILKAINILGVPEQSGISPKGYYPEELYSLVVKHSTIKFIDYQQPIDIYRVNNKAVELLRAQL -GVRISDSKPIAKPSNHLYDIVSSISPIKLSPSDLLKQSRKYDLSTYKGKRTYLLDLGLTGNTLKTYLASK -LLFRDLLLSKYDELYSTPGFGATQLTTIPLNVSSAEKVFSIRLNLPSHLYEIVMLLLLYEYVHYVFMSHK -TFTATMHASSQEESARLTKLVLHMLDDIQLDQVSFSDDAW - ->YP_392490.1 VP1 [Adult diarrheal rotavirus strain J19] -MEPENYLAWLARDIVRNLSYTSLVYNNPKVAIVELLDNKEAFFAYEKEQKTPEALINYIDSIVKSSISVE -DKIEALLKIRYISVYVDDKSDKRDIVLQLLNRTIKKIELKTKISDELNDAINAITIESKNWKIQNSKSFK -PYHYNQLVSDFIKYNEFEVLEGTDPLKWKSDTLQGLSPNYNHRTHTLISSIIYATSVRFDNYNDEQLQVL -LYLFSVIRTNYVNGYLEILPNRKWSHSLADLRENKSIMMYSAKIIHASCAMISILHAVPIDYFFLAQIIA -SFSEIPAHAAKHLSSPMTLYIGIAQLRSNIVVSTKIAAESVATESPNISRLEESQIREWEQEMSEYPFQS -SRMVRMMKKNIFDVSVDMFYAIFNCFSATFHVGHRIDNPQDAIEAQVKVEYTSDVDKEMYDQYYFLLKRM -LTDQLAEYAEEMYFKYNSDVTAESLAAMANSSNGYSRSVTFLDREIKTTKKMLHLDDDLSKNLNFTNIGD -QIKKGIPMGTRNVPARQTRGIFILSWQVAAIQHTIAEFLYKKAKKGGFGATFAEAYVAKAATLTYGILAE -ATSKADQLILYTDVSQWDASQHNTEPYRSAWINAIKEARTKYKINYNQEPVVLGMNVLDKMIEIQEALLN -SNLIVESQGSKRQPLRIKYHGVASGEKTTKIGNSFANVALITTVFNNLTNTMPSIRVNHMRVDGDDNVVT -MYTANRIDEVQENIKEKYKRMNAKVKALASYTGLEMAKRFIICGKIFERGAISIFTAERPYGTDLSVQST -TGSLIYSAAVNAYRGFGDNYLNFMTDVLVPPSASVKITGRLRSLLSPVTLYSTGPLSFEITPYGLGGRMR -LFSLSKENMELYKILTSSLAISVQPDEIKKYSSTPQFKARVDRMISSVQIAMKSEAKIITSILRDKEEQK -TLGVPNVATTKNRQQIEKARKTLSLPKETLPKVTKYYPEEIFHLILRNSTFTIPKLNTMTKVYMNNSANI -TKLQQQLGVRVSSGIQVHRPVNTLLKLVEKHSPIKISPSDLVLYSKKYDLTNLNGKKQFLIDLGISGNEL -RFYLNSKLLFHDLLLSKYDKLYESPGFGATQLNALPLDLTAAEKVFSIKLNLPNTYYELLMLILLYEYVN -FVMFTGDTFRAVCIPESQTINAKLVKTVMTMIDNIQLDTVMFSDNIY - ->sp|A9Q1K7.1|RDRP_ROTB2 RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MEPENYLAWLARDIVRNLSYTSLVYNNPKVAIVELLDNKEAFFTYEKEQKTPEALINYIDSIVKSSISVE -DKIEALLKIRYISVYVDDKSDKRDIVLQLLNRTIKKIESKTKISNELNDAINAITIESRNWKIQNSESFK -PYHYNQLVSDFLKYNEFEILEGTDPLKWKSDTLQGLSPNYNHRTHTLISSIIYATSVRFDNYNDEQLQVL -LYLFSIIKTNYVNGYLEILPNRKWSHSLADLRENKSIMMYSAKIIHASCAMISILHAVPIDYFFLAQIIA -SFSEIPAHAAKQLSSPMTLYIGIAQLRSNIVVSTKIAAESVATESPNISRLEESQLREWEQEMNEYPFQS -SRMVRMMKKNIFDVSVDVFYAIFNCFSATFHVGHRIDNPQDAIEAQVKVEYTSDVDKEMYDQYYFLLKRM -LTDQLAEYAEEMYFKYNSDVTAESLAAMANSSNGYSRSVTFIDREIKTTKKMLHLDDDLSKNLNFTNIGE -QIKKGIPMGTRNVPARQTRGIFILSWQVAAIQHTIAEFLYKKAKKGGFGATFAEAYVSKAATLTYGILAE -ATSKADQLILYTDVSQWDASQHNTEPYRSAWINAIKEARTKYKINYNQEPVVLGMNVLDKMIEIQEALLN -SNLIVESQGSKRQPLRIKYHGVASGEKTTKIGNSFANVALITTVFNNLTNTMPSIRVNHMRVDGDDNVVT -MYTANRIDEVQENIKEKYKRMNAKVKALASYTGLEMAKRFIICGKIFERGAISIFTAERPYGTDLSVQST -TGSLIYSAAVNAYRGFGDDYLNFMTDVLVPPSASVKITGRLRSLLSPVTLYSTGPLSFEITPYGLGGRMR -LFSLSKENMELYKILTSSLAISIQPDEIKKYSSTPQFKARVDRMISSVQIAMKSEAKIITSILRDKEEQK -TLGVPNVATAKNRQQIDKARKTLSLPKEILPKVTKYYPEEIFHLILRNSTLTIPKLNTMTKVYMNNSVNI -TKLQQQIGVRVSSGIQVHKPINTLLKLVEKHSPIKISPSDLILYSKKYDLTNLNGKKQFLMDLGISGNEL -RFYLNSKLLFHDLLLSKYDKLYEAPGFGATQLNALPLDLTAAEKVFSIKLNLPNTYYELLMLVLLYEYVN -FVMFTGNTFRAVCIPESQTINAKLVKTIMTMIDNIQLDTVMFSDNIF - ->sp|P35942.1|RDRP_ROTGI RecName: Full=RNA-directed RNA polymerase; AltName: Full=Protein VP1 -MDSFQFFEWLLRDIERNLLYTSLVYTNPKIAIVRYEPSENAKLWRSKELNTDSPNELLLTLKKTLDECST -LDEKIETLLRIRYFTVYVGDKSDKRNIIRSWLSTTINRLNVEEYASIQEIELQAKQWRAENAKSLRPYHY -NIPINEYLRDNEIEVLDTGDNRWKSDTLQGLLPNFYHRTHTLIGAILFAVTSRLEIYSYEQKKALRYLLR -TIEKCYNEGYLEMSRDRKWSHTLPELRTLTFRLYNSKVIHAACAMISLVHANPIDYEFLCQVVAVYQIIP -ANAAKLLSTPMTLYVGVATFSSNQVASTGNASECAPLQIENNIYVSEAQKKEWAESFKSDPLNKSRMLKL -MNENLNTTLDKFSLIFNCFSSTFHVGHRIDNAQDAITDQVTATYTSNVNREMYDSYYYKLKQMLKEEIVQ -YVEDHVAKQYKDVTAESLSALANSSNGFSKEVKFIDRNIKTTKKILHLDNDLITNDYSDLSKALSHGIPM -GTRNVPARQTRGIFILPWQVAAVQHTIAESLYKRAKKGAYQGSFAEAYTAKTASLTYGVLAEDTSKATKI -ILYTDVSQWDASQHNTEPYRSAWINAIREARAELKWRYDDEPKVLEMNVLDSMIKIQEYLLNSNLVVASP -GSQRPTKIIRYHGVASGEKTTKIGNSFANVALIETVLDFAKTDIPDLEISHLRVDGDDNVVSINTSCTID -RLQRIIKEKYSSLNARVKALASYTGLEMAKRFIICGKIFERGAIPIFTAERPYGTDVSIQSMCGSSIYSS -AVNAYRGFGDAYFSFMQDVLVPPSASTRITGRLRVLMSPVTLYATGPLSFEITPQGLGGRCRFFTQSAKL -FTLFKMLTQTAAVSITPDEIKKYAETVQFKKRTEVMIASMQRKLLVPAKALARIIVDKEQQKTLGVPNVQ -SQKNRSQVSKAIEILGVPERNDIVAKGYYPEELYSLIISHSVVVYTSHSTPISIYRVNCEPVELLRSQLG -IRIADSKPIAKPTNHLYDIVSGLSPIKISPSDLLTQAKKYDLSTYKGKRDYLSDLGLVGNTLKTYLASKM -LFRDLLMSKYDDLYSTPGFGATQLTTIPLDVTSAEKVFSIRLGLPPHLYEVVMLLLLYEYIHYVFSCKRT -FTAQMHAISQEQSAVITKNIILMLDNIQLDQVSFSDDAW - ->AGW95854.1 VP1 [Rotavirus G pigeon/HK18] -MDRFLEWLSRSMIRDLSYTSLVYTNPRISRIVLEKDGKENIWQTRETVTDTPKEAINYIKKIVESEEHVE -KKVEKLLRIRYHAVYVEDKSDKRELVQKLLSITIKQLGNEELDETNINLIINDAKKWRVKNASKLRPYHF -NIPIKEFIRDNEFEIIDTNDRRWKSDTLQGLIPHYYHRTHTLVSSVLYAVQSRIDTYDSERKKALKWLLK -KIQSKMAEGYLELERNRKWSMTIKELRETNFRMYNTKIIHASCAMISILHSKMIIPEFLCQIVAAFEEIP -AKAAKVLSSPMTLYIGICQLQTKKVVSTGNANESAQTDQPNTQRVDNSQLEEWKKSLEEDPLPSSLMLKL -MSQNIKTDINTFKTIFNCFSATFHVGHRIDNSQDAIQDQVTVEYTSRVNREMYDMYYYTLKNMLKDEIKN -YMLEMKKQMNSDVTVPSLASLANTSNGRTIEVEFLGRKIKTTKKMLHLDNDLFTESNYAEIGKIIGQGIP -MGTRNVPARQTRGIFILPWQVAAIQHTLAETMYKRAKRGAYKGAFAEAYTAKAASLTYGILAQDTSTAEK -IILYTDVSQWDASQHNTEPYRSAWINAIDEAREELAMPKSLEPRVLELNVLDKMKEIQRALLNSDLIIES -PGSQREPLKIRYLGVASGEKTTKIGNSFANVALIMTVLNKVAEKIPDIRVTHMRVDGDDNVVTMYTSCKI -EELQKEIKDAYTQMNARVKALASWTGLEMAKRFIICGKIFERGAISIFTAERPYGTDVSTQQMTGASLYS -AAVNAYRGFGESYRQFMEDVLIPPSASTKITARLRVLLSPITLHSTGPLGYEITPGGLGGRVRMHFADDV -NMQLFKQLTNSVAVNVIPDEIKEYRKTPQFKKRTDLMIDALRNNVMKQAKILEDILVDKESQKTLGVPNV -QTLKNRQQLDEAVKILSKPESKLENVEQFYPEEVFILVTSASYKSQPIYITIDRLYEHESEPVRKLQMQL -GVRIADTKALNKPVNQLYEIVSKIAPMNISPLDILTNARKYDLKTLNGKKTFLSDLGLQGAQLKQYLGSK -LLFRDLLLAKYDKLYEAPGFGATQLTTIPLNLESAERIFNVAFRLPSNYYEILMLMLLYEYVNYIFDGGQ -PCVWKLKDMDANENVRLSAKLMKMIDNIKLDEVLFSDYIY ->AQX34659.1 VP1 [Rotavirus I] -MSEAEYLDWLSRSLFRNLLYTSLVYTDAKIGIVELNRDAKNYKSKETTILTVNEAIENIKLRITDDVNES -LWNLLRIRYFTVYVEDKSDKRDLVKHQLEVVIKELKRKGANTSDVQRHVDSITIDAKKYRAKEAQKMRPY -HLDQTVANFFTMNQYEFLEGTDPHKWRSDTLEGMIPHYNHRTHTLISASVFAVLSRTDGYDQCQLDAILW -LFRKVQEKFLDGYLELSRNRKWSIAFNELSTSNIRIYSTKIIHAALAMISIANSPDVIHYFLPQIVAVFE -VVPSVAAKQLSTPMTMYIGVCQIRSHVVVATTRPHECVATNRENVKRLSEDQRAQWEKEKEEYPFPSSLM -FIDMTKNIEDVPISTFKMIFNSFSATFHVGHRVDNAQDAIEDQVKVAYTTDVNREMYDSYYYTLKNMLKT -EIYRYMEYMKKYNNDATAQSISALANSSNGFAKEVTFIDRKINTTKKMLHMDDDLLSKDFTDIRGIMDKG -IPMGTRNVPARQTRGIFILPWQVAVVQHTIAESMYSHAKKGDYGATFAEAYTSKAATLTYGVLAQATSTA -EQLILYTDVSQWDASQHNTEPYRSAWINAIAEFRQERNISKEDEPHVLGMNVLDKMVDIQKALLNSNLLL -TSRGSQREDKVIRYHGVASGEKTTKIGNSTANVSLITTILKKTILKYPQIRVTHTRVDGDDNVVTMYYND -SMQALQDEIKNNYAQLNARVKALASYTGLEMAKRFIVGGKIFERGAISIFTAERPYGTDRSDQAITGSLL -YSASVNAYRGFGDQYLSYMQDVLIPPSASVRISGRLRILLSPITLFSTGPLSFEVTPSGLGGRMRLFTED -ENLMTLFKSLSEATMVSVEPDEIEQYSKTQMFNNRMDIMTEAIKNNMKSEPKSILKIVRAKEQQKTLGIP -NVQTSKNRSQIDKTKKNLAIIEDRLPVVKKFFPEELFMLIYSITTRRESVCVDHIPIYMYSSSLVRKMHC -QLGVRMHESPPLHKPTNILVKMVNSHSPISISPDDIYRESKNFDLQTFTGKKAFLTSLGIVGNELRYYLN -SKLLMHDLALAKYDKLYDAPGFGATQLTTIPFDLQAAERIFRLTLPMPAQYYEIMMLILTHEYIHYVATG -AKQATFVMNSFSQTEVTKIVTKIMLMIDNLQLDRVNFKDTVY ->ANN82202.1 RNA-dependent RNA polymerase, partial [Rotavirus B] -FFKWLLNDIERNLLYTSLVYTDPKVAIVQFEKTDSDKLWQSKELNVLSPSELLNQLKARIEKSKTLNEKI -EILLRLRYFTVYVEDKSDKRSIISTWLARTIKELGDQTEFESIQLIEHQARQWKIDNAGSLRAYHHNIPI -NEFIRDNEIELLDTGDYKWRSDTLQGLLPNYYHRTHTLIGSILFAINSRIXXXXXXXXXXXXXXXXXXXX -XXXXGYLEMSRSRKWSHTVEELRRSNFRLYNAKTIHAACAMISLLHANPIDPEFLCQIIAVYQIMPSHAA -KILSSPMTLYVGIATFPSNQVVSTGNASECAPTSTPNNVFVAESQKNGWVEAYKKDPLNHSKMLKLMDAN -LVTDVNTFSLIFNCFSATFHVGHRVDNAQDAIVDQVSVQYTSDIDREMYDTYYYKLKKMLKDEIIQYVEE -HIAKGYKDVTAESLSALANSSNGFVKEVEFIDRKIKTTKKILHLDSDLAANTYSDLNKSLSNGIPMGTRN -VPARQTRGIFILPWQVAAVQHTLAESLYRRAKKGAYGGSFAEAYTARTASLTYGVLAEDTSKAVKIILYT -DVSQWDASQHNTEPYRSAWINGIREARSELRWNYSDEPHVLGMNVLXXXXXXXXXXLNSNLVVSSPGSLR -PTKIIRYHGVASGEKTTKIGNSFANVALIETVLDYTKQQIPDLEVTHLRVDGDDNVVIINTSCPIGKLQD -IVKKNYSMLNARVKALASYTGLEMAKRFVICGKIFERGAIPIFTAERPYGTDLSVQSMCGSSIYSTAVNA -FRGFGDDYFSFMLDVLVPPSSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXVSVSVTPEDVKKYSTTDQFKARTDVMIKSMRERVGGDAKALNRIMIDKEEQKTLGVPNVLSQQN -REQVSKAIKILGVPERNDLLITGYYPEELYSLIVQHSVVKYIDYDVTHSIYNVNCKPVKLLHSQLGIRIS -DSKPIAKPVNHLYDIVSSISPIKISPSDLVKQSRKYNLSSYKGKREFLLDLGLSGNTLKTYLASKLLFRD -LMLSKYDELYSTPGFGATQLTTVPLDITSAEKVFSLTLKLPAHLYEVTMLLLLYEYVHFVFACKRTFTAN -MQIKSQDEAAKLTKQILQMLDNIKLDEVSFKDEA ->AKE33179.1 RNA-dependent RNA polymerase VP1 [Rotavirus B] -MDSSQFFEWLIKDIERNLLYTSLVYTNPKIAIVQFEKTDNDKLWQSKELNVLSPLELLDQLKEKIAKSKT -LNEKIEILLRLRYFTVYVEDKSDKRSIIAEWLKKTISELGEEQEFESIRLIELQAKQWKIDNAGSLKAYH -HNIPINEFIRDNEIEILDTGDYKWRSDTLQGLLPNFYHRTHTLIGSILFAVNSRLTSYQNDRKKALLYLL -KVISQCYSQGYLEMSRSRKWSHTVEELRKLNFRLYNAKTIHAACAMISLLHADPIDPEFLCQIIAVYQII -PANAAKLLSSPMTLYVGVATFPSMQVTSTGNASECAPTSTPNNTFVADSQKSGWVEAFESDPLNHSKMLR -LMNSNLTTDIETFSLIFNCFSSTFHVGHRIDNAQDAIMDQVTVQYSSDIDREMYDTYYYKLKQMLKDEII -QYVEEHIAKNYKDVTAESISALANSSNGFAKEVEFINRKIKTTKKILHLDSDLISNTYSDLSESLANGIP -MGTRNVPARQTRGIFILPWQVAAVQHTLAESLYKRAKKGAYGGSFAEAYTAKTASLTYGVLAEDTSKAMK -IILYTDVSQWDASQHNTEPYRSAWINGIREARSELRWNYSDEPHVLGMNVLDNMIKIQEYLLNSNLVISS -PGSQRPTKIIRYHGVASGEKTTKIGNSFANVALIETVLDYTKQQISDLEVTHLRVDGDDNVVIINTSCSI -EKLQNIVKNNYSKLHARVKALASYTGLEMAKRFVICGKIFERGAIPIFTAERPYGTDLSTQSMCGSSIYS -TAVNAYRGFGDDYFSFMLDVLVPPSSSVRVTGRLRVLLSPVTLYATGPLSFEITPQGLGGRGRMFTDSKE -LFTLFKLLTQTVSVSVTPEDVKRYSTTNQFKARTDVMIKSMRERVGGDAKALSRIMIDKEEQKTLGIPNV -LSQKNREQVSKAIRILGIPEREDLSTTGYYPEELYSLIVQHSVVKYTSYNTPHSIYNVNCEPVKLLHSQL -GIRISDSKPIAKPTNHLYDIVSSISPIRLSPSDLVKQARKYNLTTYKGKREFLLDLGLNGNTLKTYLASK -LLFRDLILSKYDELYSAPGFGATQLTTIPLDISSAEKVFSLTLKLPAHLYEVVMLLLLYEYVHFVFACKR -TFTASMQIKSQDEAAKLTKQILQMLDNIKLDEVSFRDEAW ->ANN82201.1 RNA-dependent RNA polymerase, partial [Rotavirus B] -HSSDIIPEFLCQIIAVYKIIPASAAKLLSSPMTLYIGVATFPSRMVVSTGNASECAPTSNSNNVYVAQEQ -LSEWKAAFEDDPLNESMLLQEMNKNLQTDINTFVKIFNCFSSTFHVGHRIDNAQDAIIDQVTAQYTSDVD -RELYDTYYYKLKNMLKIEIKKYVEDHIQRDYLDVTAESLSALANSSNGYQKEVQFIDRRIKTTKKILHLD -ADLMEDDFRDMRKVMSRGIPMGTRNVPARQTRGIFILPWQVAAVQHTLAESLYHTAKKGAYQGSFAEAYT -SRTASLTYGVLAEDTSKAIKLILYTDVSQWDASQHNTQPYRSAWINAIEEVRAEGGWLLTQEPRMLDINV -LDAMSTIQEALLNSTLIVTSTKSNRKPLTIRYHGVASGEKTTKIGNSFANVALIETVLDAAKQQIPDLEV -THLRVDGDDNVVSLRTACNIGKLQEIIKSNYKKLNARVKALASYTGLEMAKRFIICGNIFERGAIPIFTA -ERPYGTDVSVQSMTGSSLYSSAVNAYRAFGDGYLQFMSDVLIPPSATVRLTGRLRVLLSPITLFATGPLS -FEVTPTGLGGRCRLFTDNGKLFQLFKMLTDTVSISVTPDEVKSYSKTPQFKGRVSVMVDSLNAKLKTNAP -ALASVLRDKEEQKTLGVPNVQTQKNRKQVSEALKILSIPERTDLAPKGYYPEELYSLIISHSQITYQEFP -ETLTIYHTNNVAVTLLHRQLGVTISESKPVAKPVNHLYDIVSSLSPIAISPNDLLKQSKKYDLASYVGKK -KFLSDLGLTGNTLKNYLASKMLFRDLLLSRYDELYSTPGFGATQLTTIPLNIHSAEQVFTINVKLPPHLY -EVTMLMLLFEYVHYVFTTKKTFTATLSPTTQEQSTKLSNIILKMLDNIKLDVVSFSDDAW ->ANN82327.1 RNA-dependent RNA polymerase [Rotavirus H] -MDGANYLAWLARDIVRNLSYTALVYNNPKVAIVTLQDSKEEFMSFEKEQKTPNELIAEIQNIVRSNLSIE -DKINSLLTIRYISVYVDDKSDKREIVSKLLDKIVSVLEGKISISEKLQKSIDDIRNEARSWRIKNSSSFK -NYHYNQLISDFIKYNEFEILEDKDEYRWKSDTLQGLNPNYNHRTHTLISSVIFAVQSRISRYNNEQLEVL -LFMFSKIRECYNDGYLELLPNRKWSHTIKDLRTNKKIKMYSAKIIHAACAMISILHADPIEPYFLAQIIT -TFEEIPAHAAKQLSSPMTLYIGIAQIKSNIVISTQKAAESVASIKPNVSRLDESQITEWNEEMDEYHFKS -SILVNMMKTNIYDVSVHTFYKIFNCFSATFHTGHRVDNPQDAIEAQVKVEYTSDVDREMYDQYYFMLKRM -LTDQFAEYAEEMFHRYNSDVTAESLASMSNSSNGYSRSVKFIDREIKTTKKMLHLDDDLSKNLDFTNIGE -QISKGIPMGTRNVPARQTRGIFILPWQVAAVQHTIAEFLYKKAKKGGFGATFAEAYVAKAATLTYGILAE -ATSKADQLILYTDVSQWDASQHNTEPYRSAIINAIKEARTKYKISYEQEPKVLGMNVLDKMIEIQEALLN -SNLLVESQGSKRPPLKIRYHGVASGEKTTKIGNSFANVALITTVFNSLTNSLPSIRINHMRVDGDDNVVT -MYAADPINVIQEAIKKKYKAVNARVKALASYTGLEMAKRFIIGGKIFERGAISIFTAERPYGTDQSVQST -TGSLIYSAAVNAYRGFGDEYLEFMLDVLTPPSASIRVTGRLRSLLSPVTLFSTGPISFEITPYGLGGRMR -FFQVNRKNMELFKILTSSLSISVEPEEIKQYSTTPQFKARTDRMIESVRITMKSEAKIITQILVDKERQK -TLGVPNVATTKNRQQVEKARKTLSAPKEVLKKVSKYYPEEIFHYILSNSKLHKSQNDETIQVYMNNSLMI -KQLQRQLGVRVAEGLHLTKPTNTLFKLVEKHSPIKISPSDLVKYSEKYDLSTLQGKKKFLYDLGISGSEL -RFYLNSKLLMHDLLLAKYDKLYEAPGFGATQLNSLPLDLTAAEKVFSIKVNMPSAYYELMMLILLYEYVN -FVMFTGQTFTCICLPETTIQSANIAKNVMRMIDNIQLDSVSFQDIIY ->AKA63285.1 VP1 [Rotavirus I] -MSEKEYLDWLSSSIFRNLLYTSLVYTNARIGIVELNRDQKDFRSRETTVLSPIDAIQNIKDRITDDITLS -LKNLLRIRYLTVYVEDKSDKRVLVAKQIDTVLSQLKQRGASTDTINIHVDQISLEAKKLRVKESQKMRPY -HTDQTVSNFFTMNQFEFVEGADPYKWRSDTLEGMIPHFNHRTHTLISSVIFAVMSRLEKYHREQLDVIYW -LMLKVKQKFDDGYLELSRNRKWNISYGDLSTSKIKIYSAKIMHAALAMISIANSTDVIHYFLPQIIAVFE -IIPSIAAKQLSSPMTMFIGICQLKSNIVVATTRPHECVATPRENVSRLSNDQRKQWKDEREDYPFTSSLM -FSEMIKNVDNVQIDTFRRIFNSFSATFHVGHRIDNAQDAIEDQVSVKYTSEVDREMYDQYYHQLKNMFKI -EISRYLEYMKKYNNDATAQSMSALANSSNGFSKEVTFIDRKINTTKKMLHMDDDLLKQDFSNVREIIERG -IPMGTRNVPARQTRGIFILPWQVAVIQHTIAESMYSHAKKGDYGATFAEAYTAKASTLTYGVLAQATSNA -EQFILYTDVSQWDASQHNTEPYRSAWINAIDEVRQEKNIDRKAEPIVLGMNVLDKMMDIQKALLNSNLIL -TSRGSQREDKKIRYHGVASGEKTTKIGNSFANVSLITTVLKKTIQKYPQIRVTHTRVDGDDNVVTMYYND -SMQLLQEEIKKNYGLMNARVKALASYTGLEMAKRFIICGKIFERGAISIFTAERPYGTDRSDQAITGSLL -YSASVNAYRGFGDEYLHFMQDVLIPPSASIRISGRLRLLLSPVTLFSTGPLSFEVTPAGLGGRMRLFTNS -EEIMTLYKSLSEATMVSVEPEEINLYSKTDMFNNRMTIMTEAVKNNMKSEPQSVLKIIREKERQKTLGIP -NVQTTKNRMQNEKTKKNLAIIESKLPIVRKFFPEELFMLIKSMCDINQPHCVEHIPIYMYSSSLIKKLHC -QLGVRMHESPPLHKPVNILVKMVNAHSPIAISPSDIFAESKNFDLSTFSGKKKFLISLGIVGNELRYYLN -SKLLMHDLALAKYDKLYETPGFGATQLTTVPFDLQSAERIFRLTLPMPAQYYEILMLLLTHEYIHYIANG -GQRSTFIMRTYQQEELASKISLIMRMIDNLQLDKVNFKDDVY ->ADC53109.1 VP1 [Bovine group B rotavirus] -MDTSEFFDWLSKEFERNLIYIKSIYTNPKIAKIVFRETDKLWESKTLNSQSPDDIIKEIKGLRETVLSID -EKLEKLLRLRYLTVYVDDKSDKREIVSMLIKKALSVTDTKETFNSIEPIEFQAKQWKIKNSSSLKPYHHN -IPICEYVRDNEIEYIDTGDNKWQSDTLQGLMPNYYHRTHTLVGAITLSVLKRIQTYNIEQKTALHYLFNT -INQCYSEGYLELSLDRKWSHTIEQLRKSTFRLYNTKVIHAACAMVSLIHASSIIPEFLCQIIAVYKIIPA -NAAKLLSSPMTLYIGIATFQSKMVASTGNASECAPTNISNNIYVADEQIEEWNTAFKDDPLNESVLLKEM -NKNLKTNINTFVKIFNCFSATFHVGHRIDNAQDAIIDQVTAPYTTDVDREMYDIYYYKLKSMLKTEVKKY -VEDHIHRDYQDVTAESLSALANSSNGFQKEVLFIDRKIKTTKKILHLDADLLSGNFRDIRKIMSRGIPMG -TRNVPARQTRGIFILPWQVAAIQHTIAESLYKTAKKGAYQGSFAEAYTSKTASLTYGVLAEDTSKAMKII -LYTDVSQWDASQHNTQPYRSAWINAIKEVREEGGWLKENEPRMLGINVLDAMSSIQESLLNSTLIVTSTR -SNRNVLTIRYHGVASGEKTTKVGNSFANVALIETVLDVTKQQIPDIEVTHLRVDGDDNVVSINTSCNISK -LQSVIKSNYQKLNARVKALASYTGLEMAKRFIICGNIFERGAIPIFTAERPYGTDVSIQSMTGSSIYSSA -VNAYRAFGDEYLDFMMDVLVPPSSTVRLTGRLRVLLSPITLFATGPLSFEVTQNGLGGRCRLYTKNIKLM -QLFKMLTDTVSVAVTPEEVRLYSKTDQFNERVSVMIDSLNAKLKTSAPALAAVLREKEEQKTLGVPNVQT -QKNRKQVNEALKILSIPEREDLAPKGYYPEELYALIVTHSDITYKEFPTTHSIYHTNNAAVTLLHNQLGV -TISESKPIAKPINHLYDIVSALSPISISPSDILKQSRKYELTSYAGKKKFLSDLGLTGNTLKTYLASKML -FRDLLLAKYDELYSTPGFGATQLTTIPLNIHSAEQVFSINVKLPPHLYEITMLMLLFEYVHYVFTTKKTY -TATLSPMSQEQSVKLSSTILKMLDNIKLDVVSFTDDAW diff --git a/seq/clusters_seq/cluster_331 b/seq/clusters_seq/cluster_331 deleted file mode 100644 index 6cee25e..0000000 --- a/seq/clusters_seq/cluster_331 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_009224943.1 triple gene block protein 3 [Elderberry carlavirus C] -MQPYLHDWRVLLAVGLTAFVITLILQNLNQTAVCTIRVTGESVSVTGCAITPEIINAIANLHALGH - ->YP_009116871.1 triple gene block protein 3 [Yam latent virus] -MLQYILVCVLSFMVLLFCLHFSSRECLVLITGESVRLQGCVITEEFAQAMSKVKALGY - ->YP_004901684.1 triple gene block 3 protein [Mirabilis jalapa mottle virus] -MRTPTLALLVGVLCFCVTLWVMRILDAPTCQLIITGESVRISGCVLNPEHITEMSKLKVLQSCL - ->YP_003075961.1 triple gene block 3 protein [Kalanchoe latent virus] -MWSSALSILILLLSYWLTSWMMGSMSSAPCTIIITGESVRITGCHLSAEHIKALSHLKALQVRL - ->YP_002985639.1 triple gene block 3 [Hydrangea chlorotic mottle virus] -MWGDPLSLVVSLLCFALTLYFFYPSEQKQCIITLTGESIRIAGCELRPEHIEAIAKLKVLSAPLGEQA - ->YP_001798595.1 triple gene block protein 3 [Hop mosaic virus] -MSLNLVCACVGLVCFACILVYLSGGGNSCIVVLTGESVRFQGCEVTEEFARALSNVKSLGGCGTLGLE - ->YP_001497156.1 triple gene block protein 3 [Peach chlorotic mottle virus] -MQPLEVIIAVFAALLALIILNIVFGANSCDPCQIIITGESVKVFNCNLSPEFLEVLKGLKPYHHPTL - ->YP_717536.1 TgBP3 [Passiflora latent virus] -MWSSSLMISVGLVSFCLTWLIMGSWQRESCLMVLTGKSIRIQGCNLSPEHIRALSQLKALQVSL - ->YP_699986.1 Triple gene block protein 3 [Narcissus common latent virus] -MLLLLAVTAVVFVITSYILTTAQPSCLVVVTGESVRFQGCLTTPEFFEGLSKLKPLSSRCL - ->NP_932791.1 triple gene block protein 3 [Lily symptomless virus] -MRSVALTLCAIIVGYLLVSNLQNVFSPEVCTLVITGESIRINGCNLSPAHFRAISHLKVLQVHL - ->NP_612811.1 7 kDa protein [Blueberry scorch virus] -MWNNPLVIAIVVCCFLITLFAFRSFDSQSCVIVLTGESIRVVGCSLSPEHIVALSHLKVLQVDL - ->NP_116490.1 7K protein [Aconitum latent virus] -MNLVLICGLLSFAIALYFLSINDRGDCFVIITGESIRIQGCHINREFNEGLKGLRALNNECL - ->NP_066261.1 7 kDa protein [Hop latent virus] -MLTYLLVCLVSCGLFLWLLNVSNPNQCLVILTGESVRVQGCVINEEFGRVIANFKVLQIV - ->NP_056770.1 7K protein [Potato virus M] -MIVYVLVGLSAFCIVLYLISQGQSDCVVLITGESVRVQGCRIDGEFGSVLSKLKPFGCGSFRS - ->sp|P27333.1|TGB3_LSV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MRSVALTLCAIIAGYLLVSNLQNVFSPEVCTLVITGESIRINGCNLSPAHFRAISHLKVLQIHL - diff --git a/seq/clusters_seq/cluster_332 b/seq/clusters_seq/cluster_332 deleted file mode 100644 index 48f8df5..0000000 --- a/seq/clusters_seq/cluster_332 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_009351890.1 6K2 [Sunflower ring blotch virus] -SKESLSKDLGLRGVWQKSLMVKDAIIAVAVSFGGACLLYSWFTQSMSAVAHQ - ->YP_009252415.1 6K2 [Tobacco mosqueado virus] -SKGALARDLKLKGVWNAPLIARDAIIACGIATGGAWLLYSWFTSQFTSVTHQ - ->YP_007354893.1 6K2 protein [Brugmansia mosaic virus] -SKRDLIKALALRGIWNKSLLAKDLIVACGLAGGGIWMLYAWFMNRMSAVSHQ - ->YP_007001293.1 6K2 [Yam mild mosaic virus] -SQDDILRTLDIRGKWKGSVLARDILITAGVAAGATWMLYEYFTTKLESVTHQ - ->YP_006423952.1 6K2 protein [Bidens mottle virus] -SKESLASAMNLKGIWAKSLVARDLLVAGAVAIGGIAILWKWFRSEISLVRHQ - ->YP_006401485.1 6K2 protein [Pepper severe mosaic virus] -KKNSLAKDLQLKGIWCKSLIVKDLVIAGAVAIGGACLLYSWFTQSMQDVSHQ - ->YP_003902965.1 6K2 protein [Brugmansia suaveolens mottle virus] -NKNSLASALQLKGLWKKSLAARDIIIACGVLGGGIWMLYEIFRGKMEKVTHQ - ->YP_003582550.1 6K2 protein [Sunflower chlorotic mottle virus] -SKDSLAKSLNLKGIWCKSLMVKDALIAGAVSIGGVCMIYHWFTQSFQSVSHQ - ->YP_001936183.1 6K2 [Verbena virus Y] -STGALAKDMRLKGIWCKSLLARDVIIAGAVLTGGGMLLWTWFKDQMNSVSHQ - ->NP_954624.1 6K2 protein [Beet mosaic virus] -SATDVQKRLKLKGRWNGSLAATDLLIAGAVFAGGCWMLWEYTKSGNEIVQYQ - ->NP_787943.1 6K2 protein [Peru tomato mosaic virus] -PKHKLSKALGLEGLWNKSLAARDAIIAIGVTCGGAWMLYSWFTTEMNNVEHQ - ->NP_741974.1 6K2 protein [Wild potato mosaic virus] -SKHTLSKALSLEGIWNKSLAVRDTIIAVGVACGGAWMLYTWFTSEINGVTHQ - ->NP_734374.1 6K2 protein [Potato virus V] -SKHAMSGALGLEGIWNKSLAVRDVIIATGVAIGGAWMLYTWFTGEMNSVVHQ - ->NP_734247.1 6K2 protein [Potato virus Y] -AATSLAKDLKLKGIWNKSLVAKDLIIAGAVAIGGIGLIYSWFTQSVETVSHQ - ->NP_734433.1 6K2 protein [Pepper mottle virus] -SKSSLAKALGLRGVWNKSLIVRDAIIAAGVACGGAWLLYTWFTAKMSEVSHQ - diff --git a/seq/clusters_seq/cluster_333 b/seq/clusters_seq/cluster_333 deleted file mode 100644 index 1330f13..0000000 --- a/seq/clusters_seq/cluster_333 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009455738.1 PIPO, partial [Saffron latent virus] -KNLCETIATGVARFKLVGKIFCNMAIEKVLNTYGRIFDKASCHRKDRIFKKICKCVLYDGSVTPKKCSKY -NFSAM - ->YP_006424009.1 PIPO, partial [Wisteria vein mosaic virus] -NLCRSFKARMVRTKLVGKIFCNMAIEKIYSTYGRIFDKESCRRKQRTFKRLCEYVLHQCPITPKKCKEYM -FEEV - ->YP_006424006.1 PIPO, partial [Yambean mosaic virus] -NVYKSFATGVARLKLVGKIFLNMAIEAVSDQYRKRFDSEGFARKKRVIKKLCERLLHKCEVTPKKQKRYY -LQVNGEIFPQCD - ->YP_006423983.1 PIPO, partial [Hardenbergia mosaic virus] -NLSWALKTGVARFKLAGKILCNLAIEKVLTFYGGMFDKESCRRKNRIARHLCECVFFKSDHIPQKCARVC -ITTK - ->YP_006405424.1 PIPO, partial [Zantedeschia mild mosaic virus] -NFCSRFATGLARIKIVGKILINIAIEKVFACYGRHFNPESERRKRRIFRLLGERIFHNRHLKLEKPKAIW -FQEGGAAMV - ->YP_006405423.1 PIPO, partial [Fritillary virus Y] -KLCGEIKTAVARIKLVCKIFYNMAVEKVYTTYGRYFDQESNRRKKRVFKKICERVFHNNSIIPEERKEYC -FAEM - ->YP_006405414.1 PIPO, partial [Telosma mosaic virus] -NLCQSIKEGMVRFKLVGKILFNMALKEVFTKFGNAFARDSCRRHRKIFKYLCECVLFESTITPKRKKRFT -YHARE - ->YP_006395351.1 PIPO, partial [East Asian Passiflora virus] -NLCKKVKAGVARAKLVGKIFCNMAIEEILSCYGKIFDRESCRRKRRIFKILCERVLHECSVTPQKRSHFS -FKKV - ->YP_006395337.1 PIPO, partial [Watermelon mosaic virus] -NLCGSFKTGMARLKLVGKIFYNMAIEKVYSTYGAMFDKESCRRKQRIFEKLCERVLYECSVTPQKRKEYI -FPKV - ->YP_006395325.1 PIPO, partial [Bean common mosaic necrosis virus] -NLCKSLREGMARAKLVGKIFCNMAIEKVLKGYGRAFDKESCRRQKRIFKKICECVLHECPNTPRKCTYYN -FK - ->YP_006395321.1 PIPO, partial [Cowpea aphid-borne mosaic virus] -NLCRTIKRGMARLKLVGKIFSNMAIEEIFRCYGKYFDKESNRRQRKILKKICECVLYECPNTPERKPYLS -CE - ->YP_006395314.1 PIPO, partial [Dasheen mosaic virus] -NFCTKIKTGMARFKLVGKVLTNLAAEKVLHTYGELFDSPSIQRFQRCIQKLHEVVFHEHQPNAYERQNHC -ASRKSQELGSCSAQSCEYIIRRV - ->YP_006393472.1 PIPO, partial [Bean common mosaic virus] -NLYKSFKAGMARIKLVGKILFNVALETILNSYGKRFNKEGYRRKKRVFRILCERVLHNSEVIPQKQKGYD -FAHM - ->YP_006393470.1 PIPO, partial [Zucchini yellow mosaic virus] -NVCKAIAQCMARAKLAGKILCNRAIEAILDFYGKKFNPASKRRKAHIFATICSRVLYHDPSTCEEHSRCR -RAQAK - ->YP_003587919.1 PIPO, partial [Soybean mosaic virus] -KNLLRSLEAGMARIKLVGKIFYNMAIEKICSTYGEMFDKESCRRKQRIFRKLCECVLHECPVTPKKCKKY -TFPKM - diff --git a/seq/clusters_seq/cluster_334 b/seq/clusters_seq/cluster_334 deleted file mode 100644 index fcdfcf8..0000000 --- a/seq/clusters_seq/cluster_334 +++ /dev/null @@ -1,91 +0,0 @@ ->YP_010086213.1 nucleocapsid protein [Tomato yellow ring virus] -MATARVSKENIEKLLAGGEADVVIEAEETAGFNFKEFVLANKAMKMTFNNGYTILRNRAGIYKMVKAGQF -KFQGNPIIVPSATVSAGQDDWTFRRLEGFIRAKMFMELIAVENASEQQKMYEKLCELPMVNAYGLKPSPK -FDATTARVMLTLGGPLPLMASLDKFAATAFPLAYFQNVKKEALGISKFSTYDQLGKIARVMATKEFTFTG -VSKDIFEETIKILNDCTPGTAGAASLNKFNEQIKALESAFGKIVDDNGAGSSKPKPSSKKNDAF - ->YP_009553291.1 nucleocapsid protein [Alstroemeria yellow spot virus] -MSNARLSDENITKLLAGGEVDVEIEAAEPTGFSFLKFFSDNSDVKMTFNNGFTILRNRAAIYTAVKTGKY -KFQGKPIIAPSSTVTAGHTDWTFRRLEGYIRGKMFILLTKAKSEEKQAMYNKLTELPMVSAYGLKPAEKY -DIDTARIMLTLGGPLPLMASLEKFAAVAFPIAYFQNVKKEALGINKFSTYEQLCKVARVMSSRGFTFDKV -HKEIFDKTLEILKNCTPGTAGAASLNKFKEELQALEGMFGKIVIDNDAGSSKPSPPKKNLFG - ->YP_009513002.1 nucleocapsid [Polygonum ringspot orthotospovirus] -MSTTGLSKENVEKLLAGGDADVEIEAEETAGFNFKQFVTDNSKMKMTFNNGFTILRNRAAIYKMVKAGHF -KFQGKSIVVPSSTSSPGQDDWTFRRLEGFIRAKMFMELINMENAVEQQKMYEKLCELPMVNAYGLKPSSK -FDPTTARVVLTLGGPLPLMASLDKFAAAAFPLAYFQNVKKEALGINKFSTYEQLCKIARVMATKEFTFTG -ASKAIFEETIKILNDCTPGTAGAASLNKFNEQIKALEAVFGKIVDDNNAGSSKPRPASKKNQGF - ->YP_009505543.1 nucleocapsid protein [Watermelon bud necrosis virus] -MSTVKQLTDKKIKDLLAGGAADVEIETEDATPGFSFKSFYDNNKDVEITFTTCLNILKCRKQIFTACKNG -KYNFCGKNIVATTAQVGPDDWTFKRTEAFIRTKMVSMVEKSTNENAKQEMYTKIMELPLVAAYGLNVPAA -YDSCALRMMLCIGGPLPLLSSLTGLAPVIFPLAYYQNVKKEKLGIKNFSTYEQVCKVAKVLSASQIEFKG -EMDKMFKSAVELLSKSNPGTASSISLKKYDDQVKYMDRIFNSSLSMDDYGEHSKKSSKASSSLEV - ->YP_009449458.1 N [Calla lily chlorotic spot virus] -MSNVRGLTHQKIKELLAGGKADVEIESDEQTQGFNFSTFYESNKSGPEFTFTTGINILKCRKQVFAACKS -GKYEFCGKKVVATTDEVSATDWTFKRTEAFIRARLISMVEHTSDEATKKQMYIKAMELPLVVAYGLNVPA -EFNSAALRLMLCIGGPLPLLSSIPGLAPVCFPLAYFQNVKKEQLGIKNFSTYEQICKVAKVLSAASVEFI -DKTKDLFDSTVKLLGDSNPGTAGAISLHKYNNQLKQMETAFNSKLTVDDFGQNSKQVVKKKNTDLAL - ->YP_009345142.1 N protein [Pepper chlorotic spot virus] -MSNVRKTLSNEKIKELLAGGAADIEIELDEVTPGFSFSKFYDDNKADIFGKFTFNNGITILKSRKQIFAA -CKNNQFVFCGKQIAQNSDNADEKTWTFKRTEAVLRVLMAKMVEECTTQKLQQDMYTKLMSLPMVIAYGLN -VPPNFDLAATRLMLCVGGPLPLLSSLSPMAPVTFPLAYFQNVKKQSLGIKNFSTYEQLCKVARVCDAAQI -EFKGDVEKLFNSATDILKTSSPGTASSISLKKYSDQIAYLEKAFSAKAVVDDFGSNNASTSKPTKRGSIS -L - ->YP_009259256.1 N [Tospovirus kiwifruit/YXW/2014] -MSTVRGLTNQKIKDLLSGGKADVEIDSDDLTLGFNFNSFYEENKPAAEFTFVTGLNILKCRKQVFAACKS -GKYEFCGKKIVATTDDVSATDWTFKRTEAFIRAKLISMIEKTGDETSKKQMYTKVMELPLVSAYGLNVPN -EFSSAALRLMLCIGGPLPLLSSIPGLAPVCFPLAYFQNVKKEQLGIKNFSTYEQVCKIAKVLSASGVEFS -DQVQELFSSVVKLLGDSNPGTAGAISLNKYNDQLKHMESAFNSKLNIDDYGQNSKPGSSKKPSKLAL - ->YP_009241383.1 nucleoprotein [Iris yellow spot virus] -MSTVRVKPSEIEKLLSGGDVDVVIESDETEGFNFKNFVLANEGVQMTFNNGYTILRNRAGIYKTIKTGKF -TFQGKTIVIPSANVSPNQDDWTFRRLEGFIRARMLVELIETKDEKEKQKMYEKICGLPLVSAYGLKPSSK -FHATTARIMLTLGGPLTLMASLDIFAAAALPLAYFQNVKKEALGISRFSTYEQLCKVARVMAAKEFKFTE -KYKKIFDETIKILTDCTPGTSGAASLIKFNEQIKILEGAFGKIVEDIGESSKPKTPSKKDRYN - ->YP_009126740.1 nucleocapsid [Mulberry vein banding virus] -MSTVRQLSESKITALLAGGKADVEIETEESTPGFNFKAFFDKNHDVEITFATCLNILKSRKQIFAACKNG -KYNFCGHNIIATTANAGIDDWTFKRTEALIRVKMIRMVEKAASNESKLAMYQKVMELPLVSAYGLNAPPE -FNACALRLMLCIGGPLSLLSSFKALAPIVFPLAYYQNVKKEKLGIKNFSTYEQVCKVAKVLSAAQFDFQG -DFKTLFEEAVKMLSESNPGTASSISLKKYDEQVKYMDKVFSSSLVVDDYGENSKKKSQPSTSNSIEV - ->YP_006468900.1 nucleocapsid protein [Bean necrotic mosaic virus] -MGSNALKLNAENLKKLLLFNDEVEFEQQSTGFKFVDFCKAHEKDKFNPTSALTFLKNRKAIYSLCKASTF -NYGVYEIKKGDKATDTDFTFKRLDAFLRVKLLTHCTKIWDGTDPNAQTALCSELAKIPIVKAYGLEVSDK -QKCYIAIVLGGNLSLLASLPGCEVACFALAIFQDLKKNELGIKSDFDTKDQAGRVAAVLDAKNFVFGEPE -NEKLKKIAGILKEMTPTRRGHAALTKYAEQLSIISGVIGVTFEMPGTSKAKESKNHGFMS - ->YP_001740044.1 N protein [Tomato zonate spot virus] -MSNVRSLTQQKVQELLAGGKADVEIDTDDQTQGFSFASFYEENKAKADFTYNTGINILKCRKQVFAACKN -GKYEFCGHKIVASSADVSATDWTFKRTEAFIRTRLISMAEHATDETTKKQMYIKAMELPLVAAYGLNVPV -DFNSSAIRLMLCIGGPLPLLSSVPGLAPVCFPLAYFQNVKKEQLGIKNFSTYEQICKVAKVLSAASVEFT -EKTQELFTSTVKLLGESNPGTAGAISLHKYNDQLKQMETAFKSKLNVDDFGQNSKQAPKKKSSNDLSF - ->YP_717923.1 nucleocapsid protein [Capsicum chlorosis virus] -MSTVRQLTEKKIRELLAGGTADVEIETDDSTPGFSFKAFYDSNKSVEMTFTNCLNILKCRKQIFAACKSG -KYKFCDKTIVSTAADVGPDDWTFKRTEALIRVKMINMIEKNKNEAAKQEMYSKVMELPLVAAYGLNIPAS -FDSCALRMMLCIGGPLPLLSSIKGLAPIIFPLAYYQNVKKEKLGIKNFSTYEQVCKVAKVLSASQVEFKE -DLDKLFKQAVKLLSESNPGTASSISLKKYDEQVKYMDKVFSANLSVDDYGEHSKKSSKPSTSIEV - ->YP_717921.1 nucleoprotein [Melon yellow spot virus] -MSTVAKLTKEKIQELLSGGKSEVEIETEESTEGFNFHSFFTDVRDEVKLNYNNGITILKSRKQVYAACKS -GNYKFCGKKIVASGDNVGPNDWTFKRTEAVIRTLMISIAEKTENEEEKQKMYEKAMQLPLVAAYGLTVPA -KFDMTALRLMLCIGGPLSLLASLHSLCPVVLPLAYFQNVKKEQLGIKNFSTYEQICKIARVMSASNMTFK -KEFDELFKSCVKILADCKPGTTSGISLKIYNEQVQFMEQAFKSSLVVDGMGESSSKSKASSSRSKSIEV - ->NP_620771.1 N [Watermelon silver mottle orthotospovirus] -MSNVKQLTEKKIKELLAGGTADVEIETEDSTPGFSFKAFFYNNKNIEITFTNCLNILKCRKQIFAACKSG -KYNFCGKNIVATSVDVGPEDWTFKRTEAFIRTKIVSMVEKSKNEAARQEMYGKIMELPLVAAYGLNVPAS -YDSCALRMMLCIGGPLPLLSSIRGLAPIIFPLAYYQNIKKEKLGIKNFSTYEQVCKVAKVLSASQVEFKD -DLDVMFKQAVKILSESNPGTASSISLKKYEDQVKYMDRVFSANLSVDDYGDHSKKSSKPSTSLEV - ->NP_619701.1 nucleocapsid protein [Groundnut bud necrosis virus] -MSNVKQLTEKKIKELLAGGSADVEIETEDSTPGFSFKAFYDTNKNLEITFTNCLNILKCRKQIFAACKSG -KYVFCGKTIVATNTDVGPDDWTFKRTEAFIRTKMASMVEKSKNDAAKQEMYNKIMELPLVAAYGLNVPAS -FDTCALRMMLCIGGPLPLLSSMTGLAPIIFPLAYYQNVKKEKLGIKNFSTYEQVCKVAKVLSASQIEFKN -ELEEMFKSAVKLLSESNPGTASSISLKKYDEQVKYMDKAFSASLSMDDYGEHSKKKSSKAGPSLEL - diff --git a/seq/clusters_seq/cluster_335 b/seq/clusters_seq/cluster_335 deleted file mode 100644 index f14398d..0000000 --- a/seq/clusters_seq/cluster_335 +++ /dev/null @@ -1,127 +0,0 @@ ->YP_009254003.1 ORF4 [Lonestar tick chuvirus 1] -MYPSDAANVVTAMQTVGTDKYYGFKRDLGVVRSTQYKSFAFVAKMLLQKYGGAAYSNIGQYEGWPKDIAG -RSTIEEAINEFNPLSTEADDAAVTRVNILRGVAQAATGLDS - ->YP_009254002.1 ORF3 [Lonestar tick chuvirus 1] -MAEQNQPAVQNPPAVDAVQAAVAGVHITPPLTDAERQRLAPLVNHVNMSRAQLVRAYGGLNIIGNLWGTN -TDLLPAAATRAGAESLMPYIMILSKGEAYLLQVDAGRMRVHTRCIAVANSMVKSVGDQMPRVEATNRGVQ -QVVAFLKNDIARGVEDMVSISPEVLQADFEGRPEWLPRTRDELAALAVTEAMTVDSVVRVMNWLYDHIKP -SAKSSGAIIYTLGFLALAKRGTISDRKLSTVMSQMTEQGLRVVMDISAEEVKMCYTQVMSKVPHEHVAYV -FDHWLTLLGDNCLRMQLILSQAKNSGITILACIKQALMMFPTFPLGQNLCDVPK - ->YP_009666264.1 putative nucleoprotein [Wuhan louse fly virus 6] -MAEPRQPAANRGAQAPARGQPATPPNGEIVGFTPPGPNNPQHPWYNQSDSVERKRLAYAGKDPFGKTCLV -VIDEFRNLPDARKNAVTAVVGFAQALLADRTEDPTLVMMGRLIAETTLPHPTYASPEEVAVMIRRWARAL -WTKQDRQIEGMRLRMQDYVADRDAGNIITTATSPAAGGQFSHEHNSWAFILWVAERGMTDEMRHLLAISY -SYAYIALSRKGTITAEKARSIGQALTREVGIPISFDRTIIPILATAMAARVGDDEYADVFQTWEREITGI -SLRMQITLAQAAGTGMTALNTIKNALQSFKDFDWAGLAAILPQDADRVTAAFKLVGTDKYFGFRKSYGIA -ASTGYRSFAWVAKELLIRYGGPEYAGLRDFRGWTNSPLHKDRLRDMVESYKPASDPATLPPYELAEMYAA -CAAATGV - ->YP_009666258.1 putative nucleoprotein [Wuchang Cockroach Virus 3] -MAAPLIHPLGVADQAGFYTEWLRRDKLYDQLILRNLTYFPFDGQWNHQAIPACAYFLGVGYALHLDENIV -INSDRFYTRSMAELLGPSLPHDLFLDQDPARERFVTCVTNLLEWDNLSNMTDWTPASTTRAQGDTDATLA -TLITKLQGIVEGEENIADLTFPEAVRVLLDIVENGQNRFKLSGLVLLTHVYVSVLKRGTVTARFLEKISQ -GMTADFGRGIDNWKEGSIQQFYNHFGTHITDVTIRDTTAHWSGLLPPQAIRLRNTVQQAANSGLTSLIII -GRAVRLFPTFSWARIQRLFDVEWENVIAAMNEVGNNQWYGFKKDLGNAKSTKFKSIAYVSKELLVKLNGE -GSLNQYRGWANTVANQGVVDTLIAQYETRRTQAMLDPNNHDMPEDDQNDRVDAAREIIMNNAILYA - ->YP_009666254.1 putative nucleoprotein [Wuhan Louse Fly Virus 7] -MAQREQAQARQAPAAQPAAGPARMFHVQRGPAQDGLPNWYNDLDLPTNREYLFGGIDLFGKTYLCNVAEY -YDLRPATRCALVAVIAIAEAHLAPRSADAMMVMVSRMIAETIVVHRTAATPDETQQQLCTWARAIWRNQE -RQVEGMRLRLQDYVGDRANGGRLAGIPLPDPGAEFLDTHNCWAYLVWVAERGVSDEMRRFPILAYVYTYV -ALAKRGTITIEKMRKIAQAVTLECGIDVALDRSLIGHIWGALASRLTDEEYQAVFERWEQDMMNVSLRMR -ITLMQAACSGLTSLVTCRNALRTFPDFPWSRVAALLPGDTARVVAAAAEVDGNRYYGFKKDYGAAASSGY -RSFTWVAKELLLHYGGPDYVGLREYRGWTTNPLHKTQLQELIDNYTPQLEAEWTDEEMRPLLNAMTRVDN -LA - ->YP_009337906.1 hypothetical protein [Hubei chuvirus-like virus 1] -MTDNGNNGQGPSNPDGNQAGGVQPRHRPPITVYPPYGICNNRVFLGHFLGESPLIATLKFAPLNRWPVLN -PYTHKHILSMAYVYGEVRYNSSDAPNPSLRRAIPIGETLAPLIDQTKFASTDESRASFLLWMKKAFLDDI -FDAMGDYNQQTYTELRESHDAISHWDPDEMLRTYPPTEVQIETMTFPQAWFYAYYISKHLRSPNRQLALE -YITHAYCALGKRGQVTDEFCTKITNAVRDELGVNVVLHSGTLNSLYKSYMQGVNENNAAGMFNYLQMLVP -AVALRLQLTLMQASGSGLTLYSIIGRAIRLYNNFPWGLVNTLTSGELVNWNGARVLIGDNMYYGFKRDLG -AARSTLYKSLGYVARELLVRINGENTLRRFMGLTGNVKNKRQLDQLIEAYVAGVHVERQVDDAAERALVP -LPEILQGNVLFV - ->YP_009337430.1 hypothetical protein [Sanxia atyid shrimp virus 4] -MNFFRSKPSGTGVRNRGHAFTGAVAPLVVTHRVHQRGICENAETFGVLGGGLSSLSQLVFRNIDVPPFTT -ARRDAEEFGLKEFYAIVLFCTSRAPSRPSRLLLSIAEGLMPNMPQDRFLITAESRRVFVQIMRDTWPEHK -ANARAWLADAKANRFAANDHIINGTYDLGARVTPDFLMEVLMVEASLIDEGERRKCSSIIVLLIVSMAWR -GNCTPARLNKFMGEMDVVAPGLGALIDRDNIRLVFSNFGPYLTDGNVEEVVNRWMTFIPGSAVRCRVILQ -QSAGSGMTSLDVIAKAIHEHPSFPWTNLGRLYPGEWINALTALEAVGANHWYGFRSDLGPVRSTQYRNIS -WVCKELLIRSGSDPHLQNKAGWITTPKNHIQVEGMIVDHLKKGDTLVDLVTPPTDEEDREITTLLQRVSI -YPVNAGWTHVPVAGRGRGRQMGGAGGGGGQGGGGGGGGNQGGGGGGGGNQGGGGGGQGGQGGPGGQGRGN -GGGGSGQGGSSGPGAGSQSGAGGSGSGSSGAGGRGRGSGRGRGRGRGAPYGGGEGDDDQVQVGAYGGRGD -DDDQGGDGDDNGEDDSDSSDGNGGGVSDADTIQEGGD - ->YP_009337091.1 hypothetical protein [Hubei chuvirus-like virus 3] -MARRIYGPADCRTYYDKWLQPNPLFSDMFVNTCGLDPFQAPWDDTNLISMATILWYGRAITLNPGQAPDM -VKVHVAVWGDLFAPGLDEDRILTEEESRAMVVTSTESLLAYNNLASISDIVDARSFAEVEDDNATEQLWN -NAAKTAEECLIIIRAMANSARGGFRIGGVTPLASVYVSIIKRGQVTPEFVGKIARGIAEDLGINEPIINP -DCCQLFQKVFGDGITDTTMPALVNRWLEMLPQHALRLRLTVQQASGSGLTALMIAGKAMRLYHDFPWAAV -QRMYPEEFSNFRDAVAAVGDNMWYGYRSDLGVVRSTRYPNITYIGKQLLIKINGEVGLRNYKGGLSRAKF -QARVDTLISEYENLVTERRGGGEEVAADPIVGEVLQNVRRHADVYQ - ->YP_009177724.1 putative nucleoprotein [Wuhan tick virus 2] -MANPRITPAWRARMGHANISAGQVNLAFGGVSFTKYLWGENSGLLPMKEQRDASTSLMPSLLILSRGEAH -LLKTDNVVQASWCIAVANSVSRSLGEQMAEAEATDRGRRQLWAFLKYEIGNGNEDLVHVRDADITNPGFD -PAIPVWPGSRMDMKNMPVDPPADLTIAQCSRIMEFLFNKAQPLAIMGGTYIYSLAFISLAKRGTIEPSKL -AKITEGIKSQTTRTITLDVNLVKFCYATVLQRLPEAEIGLCLEYWASLVGDSCLQLRLVLEQAKNSGMVV -LQCVRKAMLMFPGFNWATIARNYPNDIVNLRLAIQAVGDNKYYGFRANLGPVRSTQYKSLAYVAKSLLIK -HGGPEYATLGSYKGWPATISSQQEVDAMILEFNPTANDNPQAEAALTELLAALPAATGLDP - ->YP_009177718.1 putative nucleoprotein [Tacheng Tick Virus 5] -MAQEQQIGAFHVPAEEIPAAEAANLDLVGHACIRPVIANAFETHTLTDGLFAREAGDYLPEVTRKSYLAH -LTILCAGDVMTFPHITTTEHLIATDSLVIANALAGFLTHLEPVPAVDRARKALLLFLKHWIGPGKTTFVK -LTDTEYADLKGANGSWRNIAFLPENRGDLRNLDVGGIIHNPAYTLAVYRQILTDLVRLEAPRTTQAAPRV -FATFFISMAKRGTITPDKLNKITEELAETLGISMELEASSIRLAFKTVGGKVPDNKIQPVFEHLSALVAD -ISLRMKITLDQAVGTGLTALSTIKRAREKFPNFPWGRAARLLNTDFQRAAAAAREVQGDAYYGFRKTLGP -VRSTLYRSLAWLCKELLVKYGGPEHGPLARYQGWNNNPEHKHVLIQMIDEFRPDVVGEGEEDAAGAADLA -EALRGATGLTA - ->YP_009177715.1 putative nucleoprotein [Tacheng Tick Virus 4] -MTEQAAIDLRDHPSVYRRTIIVGAEERAVRRFATQNPAPGSAPSPQQQYKDLHSGDLVNADTVTRLYVTD -TDNPERNKTIRVGPMMFERNIEHAFDFYSEGMKFAMPRASYPPYDRISSQHLEIVWAAAVAANMGQEVPD -DQFNWMVVGQAFAVGLPDHVFYETIRANEIVLAGARTMLQKMQEPARTWLLRRSGNADWAVLNSQVEMPP -EGIPMVLEANALHLSLGGRARMMEAYMGIFLAYTQQGNITVQKLDKIIKQIAEVQINVALTPANINTLWA -KFGPFFNEEAAAYFFPRWADLFADRHIRFRLIFVQAANAGLTGLTLVRDMLALYPKFPWHKLAAQYPAEW -QAFLKADATVGTNVYYGYTRDLGIVSVRNYVPIVYCAKQLREKVHGDQNMQRLMTISTFTVQHAPVIDNL -VDDFRRHITTRIGPGDRELACQYYTQKGEAIPEGFAMDPPAPQPGAGEADMVQRLVEAMRGVPQQ - ->YP_009177708.1 putative nucleoprotein [Changping Tick Virus 3] -MAQQQQRGAFQAPGELVPEGQAADLSLVGHACYRRVLQNAFDARTLLDGLFARNAGQYLPVTSHRSYLSY -LAVLCAGDVHNFRHIQSSDHLHAVDALVVANALAGFLEHLLPQEAELRAKKALLLFLKHWVGTGKTTFVR -LTDTEYDELRGPNGTWRNLAFLPATRAELRNLDVGSVVATAAYNLEAYQVIMAQLMTLEAPRTIQTPPRV -FATFFISMAKRGTITVDKLNRVTEELNDTLGITLDLDTASIRLTYKTIGSRVPDEKIKELFPHLSRMVSD -ISLRMKITLDQAVGTGLTALTTIKRARSKFPTFPWGRAARLLATDFQNAAAAAREVGDDSYYGFRRELGV -VKGTLYRSLSWLCKELLVRYGGPEHAPLARYQGWNNNPEHKAVLQQMVEEFQPGAIAPNEVNQAAVDSLV -AALESASGLAAS - ->YP_009177706.1 putative nucleoprotein [Changping Tick Virus 2] -MHLLNRLFQDRLRLTMALNQAGGGGRGLQPQGARRRQARPVEGIAAADLAFARIRNRWDREDIVARGFTP -VTILDKLYTESDYLSEDEEGVQAHLLVARGDAWLRKAEDPQTMTICLAVANAVTPGLNTLPIDEANDRVR -ACLREFFRKEITRRELVNVTEEVWNSWMRPQEGVREAGVLSPLAWLPRTREGLAEFEWPENVQPTQVRLL -IEQLLTVATERVKNLAITSLFTIAYISFAKKGDITTRKLEAILNQVQQEIGWQVEVTPHLIQELWRQVGV -HIPYASIPDMFRHWEGLMAGRCLRLRVTLEQAAWVGLTQYTTIVKMFSEHPGFPWDRVAAILPADWQNFQ -AAIEAVGNQPYYGFQANLGAAAGTKFPSLGYVAKEMMIKVGGREGDAIRRYKGWIETPRAKAALDALINA -WDPVGLVEGQDRDPGSVAATRAALRRALEPAQPAAGQGAEG - ->YP_009177703.1 putative nucleoprotein [Bole Tick Virus 3] -MAAAPQRVAYQRNAHDSREVLSQYFRTTRLVERLFTNSTILARCERDKMKAIWIVARGDVDRRGGMENPS -EAAIALAIANGIAPNMTLLPAEDADRHVCSCLAAFMRRQCTRREYVHLSEDDYTALMRPAADQQPAGIWA -GIGLPDRMAELPGMVELGLNDAQAIALLKGLIDHESPVLRRGGQYLYTVAFVSFSKRGEITNRKLQSICQ -QLQEQFNTQIELEQSLIRYVYQQVGMLIPEEVMPHMFETWANDMKDLSMRLRVTLEQAAESGLTQYHTIR -KAMLEFTNFDWATVAGLLPQDFQNFRAALVAVGSDRYYGFKKDLGVAAATKFPSLAWLARGLFVKKGGPE -AVAVQQFRGWIGAPLHERKLQALIDGYDQSAGVEVDRNVEAAAALLERVRVNALELAEAAATPIVQQEAP -PAQAPVPAPAPDAQVPGAAPEAPRLPPRPGPRDDGHPPGGAPGQGE - ->YP_009177220.1 ORF3 [Suffolk virus] -MGDPVVQPVVVEAGARAQLQAAPPGFWNPRDTEYQRRKAFGGIVATDQLTGANCDFLAGTSDDAIFPRIT -IMARGEAYLKAITDIEKATLTIAIANAIAPNMTALALPPAEASARGRTQVVEFLRQEVGKNVKDLCVITP -QLWASARAAEGPLHRIPWLCDFNGLPTLVRPADGTDTVGHYNSILAFLLTYPTPYISNIGTRLYAILYVS -LAKQGTISRGKLEKINQDLAVNMGFEVNMTEEVITYTYQQIGTKIPHNALEIMFNTMRDHMQNLSLRMQV -TLQQAAGTGLTGLQIIKRAILEHPAFPWGKLAQMLPAEGPKVIAAFEAVGNDPFYGFLPDLGPAKSTNYA -RYVWVCQRLLRKFNAEDERTLRNYKGGVRGIPNQPLFEELIDSYSPPAPEAAVSADFVALGVNITALARS -CRALQS - diff --git a/seq/clusters_seq/cluster_336 b/seq/clusters_seq/cluster_336 deleted file mode 100644 index 517274a..0000000 --- a/seq/clusters_seq/cluster_336 +++ /dev/null @@ -1,79 +0,0 @@ ->YP_009113023.1 non-structural protein NS2a [Betacoronavirus HKU24] -MAVAYADKPTHFINFPLSHFNEFVVKFNKLQATILERGVECKLQNCPHISVTMLDIKPSDINSVDIAIQE -VIDDFCFDDAALTFCNPHILGRCIVLDVKGCAELHEDVVDYIREKGCVADQSRKWIAHCTIAQFTNITPK -HLPDGIKDLQFNCTIPINQSAPAYLELVELGADKKDGFYKSLVSHWMGIRSVGKPPTDHLSAIMGYCCLD -MIREELPEGSFPEQDDDAWNKLAYHYDNNLWFYRFVFKNSKYFRKTIRHKCCNCAGEFSYTSSDED - ->YP_009915698.1 nonstructural protein 2a [Murine hepatitis virus] -MAFADKPNHFINFPLAQFSGFMGKYLKLQSQLVEMGLDCKLQKAPHVSITLLDIKADQYKQVEFAIQEII -DDLAAYEGDIVFDNPHMLGRCLVLDVRGFEELHEDIVEILRRRGCTADQSRHWIPHCTVAQFDEERETKG -MQFYHKEPFYLKHNNLLTDAGLELVKIGSSKIDGFYCSELSVWCGERLCYKPPTPKFSDIFGYCCIDKIR -GDLEIGDLPQDDEEAWAELSYHYQRNTYFFRHVHDNSIYFRTVCRMKGCMC - ->YP_005454243.1 NS2a4 protein [Rabbit coronavirus HKU14] -MIMGYCCLEVVRNELEEGHLPENACFKLSYHYENNSWFFRHVYRKSFYFRKACQNLDCNCLRFYESSFEE -D - ->YP_005454242.1 NS2a3 protein [Rabbit coronavirus HKU14] -MHFNYKITINPSSPARLEIVKLGAEKKDGFYETIVSHWVGIT - ->YP_005454241.1 NS2a2 protein [Rabbit coronavirus HKU14] -MLDIQPEDYISVDVAIQEVIDDMHWCDGFQIKFENPHILGRCIVLDIKGVEELHDDLVNYTRD - ->YP_005454240.1 NS2a1 protein [Rabbit coronavirus HKU14] -MAVAYADMPNHFINFSLTHFEGFVLNYKGLQFQILDVGVDCKI - ->YP_003029846.1 32 kD non-structural protein [Rat coronavirus Parker] -MAAKMAFADKPNHFINFPLAQFSGFMGKYLKLQSQLVEMGLDCKLQKAPHVSITMLDIKADQYKQVEFAI -QEILDDLAAYEGYIVFDNPHMLGRCLVLDVKGFEELHVDIVEILRKMGCTADQSRVWIPHCTVAQFEEEK -EINAMQFYYKLPFYLKHNNILTDSRLELVKIGSSKIDGFYCSELSVWCGERLCYKPPTPKFSDIFGYCCI -EKIRGDLEIGDLPQDDEEAWAELSYHYQRNTYFFRYVHDNSIYFRIVCRMKGCMC - ->YP_209231.1 p30 accessory protein [Murine hepatitis virus strain JHM] -MAARMAFADKPNHFINFPLAQFSGFMGKYLKLQSQLVEMGLDCKLQKVPHVSITLLDIKADQYKQVEFAI -QEIIDDLAAYEGDIVFDNPHMLGRCLVLDVKGFEELHEDIVEILRRRGCTADQSRQWIPHCTVAQFDEEK -EIKEMQFYFKLPFYLKHNNLLTDARLELVKIGSSKVGGFYCSELSIWCGERLCYKPPTPKFSDIFGYCCI -DKIRGDLEIGDLPPDDEEAWAELSYHYQRNTYFFRHVHDNSIYFRTVCRMKGCMC - ->YP_009555239.1 ns2 [Human coronavirus OC43] -MAVAYADKPNHFINFPLTHFQGFVLNYKGLQFQILDEGVDCKIQTAPHISLTMLDIQPEDYKSVDVAIQE -VIDDMHWGDGFQIKFENPHILGRCIVLDVKGVEELHDDLVNYIRDKGCVADQSRKWIGHCTIAQLTDAAL -SIKENVDFINSMQFNYKITINPSSPARLEIVKLGAEKKDGFYETIVSHWMGIRFEYTSPTDKLAMIMGYC -CLDVVRKELEEGDLPENDDDAWFKLSYHYENNSWFFRHVYRKSFHFRKACQNLDCNCLGFYESSVEEY - ->NP_150075.1 32 kDa non-structural protein [Bovine coronavirus] -MAVAYADKPNHFINFPLTQFQGFVLNYKGLQFQLLDEGVDCKIQTAPHISLAMLDIQPEDYRSVDVAIQE -VIDDMHWGEGFQIKFENPHILGRCIVLDVKGVEELHDDLVNYIRDKGCVADQSRKWIGHCTIAQLTDAAL -SIKENVDFINNMQFNYKITINPSSPARLEIVKLGAERKDGFYETIASHWMGIRFEYNPPTDKLAMIMGYC -CLEVVRKELEEGDLPENDDDAWFKLSYHYENNSWFFRHVYRKSSYFRKSCQNLDCNCLGFYESSVEED - ->sp|Q9QAS3.1|NS2A_CVBLY RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -MAVAYADKPNHFINFPLTQFEGFVLNYKGLQFQLLDEGVDCKIQTAPHISLAMLDIQPEDYRSVDVAIQE -VIDDMHWGEGFQIKFDNPHILGRCIVLDVKGVEELHDDLVNYIRDKGCVADQSRKWIGHCTIAQLTNAAL -SIKENVDFINSMQFNYKITINPSSPARLEIVKLGAEKKDGFYETIVSHWMGIRFEYNPPTDKLAMIMGYC -CLEVVRKELEEGDLPENDDDAWFKLSYHYENNSWFFRHVYRKSFYFRKSCQNLDCNCLGFYESSVEED - ->sp|Q8V438.1|NS2A_CVBLU RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -MAVAYADKPNHFINFPLTQFQGFVLNYKGLQFQLLDEGVDCKIQTAPHISLAMLDIQPEDYRSVDVAIQE -VIDDMHWGEGFQIKFENPHILGRCIVLDVKGVEELHDDLVNYIRDKGCVDDQSRKWIGHCTIAQLTDAAL -SIKGNVDFINSMQFNYKITINPSSPARLEIVKLGAEKKDGFYETIASHWMGIRFEYNPPTDKLAMIMGYC -CLEVVRKELEEGDLPENDDDAWFKLSYHYENNSWFFRHVYRKSSYFRKSCQNLDCNCLGFYESSVEED - ->sp|P0C2R4.1|NS2A_CVBOK RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -MAVAYADKPNHFINFPLTQFQGFVLNYKGLQFQLLDEGVDCKIQTAPHISLAMLDIQPEDYRSVDVAIQE -VIDDMHWGEGFQIKFENPHILGRCIVLDVKGVEELHDDLVNYIRDKGCVADQSRKWIGHCTIAQLTDAAL -SIKENVDFINSMQFNYKITINPSSPARLEIVKLGAEKKDGFYETIASHWMGIRFEYNPPTDKLAMIMGYC -CSEVVRKELEEGDLPENDDDAWFKLSYHYENNSWFFRHVYRKSSYFRKSCQNLDCNCLGFYESSVEED - ->sp|Q80B88.1|NS2A_CVBM RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -MAVAYADKPNHFINFPLTQFEGFVLNYKGLQFQLLDEGVDCKIQTAPHISLAMLDIQPEDYRSVDVAIQE -VIDDMHWGEGFQIKFDNPLILGRCIVLDVKGVEELHDDLVNYIRDKGCVADQSRKWIGHCTIAQLTDAAL -SIKENVDFINSMQFNYKITINPSSPARLEIVKLGAEKKDGFYETIVSHWMGIRFEYNPPTDKLAMIMGYC -CLEVVRKELEEGDLPENDDDAWFKLSYHYENNSWFFRHVYRKSSYFRKSCQNLDCNCLGFYESPVEED - ->sp|P18517.1|NS2A_CVBQ RecName: Full=Non-structural protein 2a; Short=ns2a; AltName: Full=32 kDa accessory protein; AltName: Full=32 kDa non-structural protein; AltName: Full=ns2 -MAVAYADKPNHFINFPLTQFEGFVLNYKGLQFQLLDEGVDCKIQTAPHISLAMLDIQPEDYRSVDVAIQE -VIDDMHWGEGFQIKFDNPHILGRCIVLDVKGVEELHDDLVNYIRDKGCVADQSRKWIGHCTIAQLTDAAL -SIKENVDFINSMQFNYKITINPSSPARLEIVKLGAEKKDGFYETIVSHWMGSRFEYNPPTDKLAMIMGYC -CSEVVRKELEEGDLPENDDDAWFKLSYHYENNSWFFRHVYRKVLISVSLVNLDCNCLGFYESPVEED - diff --git a/seq/clusters_seq/cluster_337 b/seq/clusters_seq/cluster_337 deleted file mode 100644 index bf7906c..0000000 --- a/seq/clusters_seq/cluster_337 +++ /dev/null @@ -1,299 +0,0 @@ ->YP_009551647.1 RNA-directed RNA polymerase [Chiqui virus] -MRISEALKGKTLTIELIQAIETENFEYLTNNCEKLFTYLKAAPELIDEDFECGENSLLAKSVISKLGKLD -YNQLLGKVQAFDKNWLITGKYNFDKITYQYLPTFDLKSYHAVKDSLQIEEKRVYEILLTEKTHQPRFPST -YAIWRSLFSSLLVVNTIYGESNFIYRLVSSVLRKYDKFPFHTRQNMTIWDTEDAFSSLPYVIYAINNWCD -RLVYGELGIDDVKLSAAVLNWWITTSLFDSQLKWKSIFTDFVDHATQQLSIQTVREWNAEGIPVRLIRRK -IKTNAYAQEIKSYKEKLSDVPISWIKDLISGLLKHTTSLTTCIVYGKVLRNLGNPALYLTSIVDLTLGPA -IDPRLESTVVPKGSVEVPLSNGTIYRTIPDASTKLGRMQLFGKPIVNRAKNSFNGYSVQDKAVNFLTPQS -SGRKDLGDKPEEIPDKLVQIAGTRLGDAIIHHEQLANPAYMIEGAARETWAGIREQIGRRLRAISVIPNE -KLLFSFAAYTCSLEFLKYVSDASSGKQTGHYADIRQLLIGTGNQNSIVDSIDISGMDASVQPTVYSTFYD -VIAPISQGERNRGANYFGFQSRTDVGMNDDGHRSEIEISGLSDAILTSRDKITPFGTSVKGKIYSLRGKD -YTFPSGVAFTGTHHTIILFLGIREAEDHWKLLGNTSYLSFVYVQGDDILMIYIGDEDKIHAQRSFMASEL -SELGFKTTSQASPTLGVFLQQCVVGGGYMGYAERLGLCTAEKSEWSDDSIDKAQQLSALCADLSSRVHHP -AGLRLLYISYCWWMFSRITLPITTRAFSEVRNFMTEMKHIRLFEVPRSQDATTYNRHTDHYIRLYAPFLM -CFTEKGFQAPSLPTQRLDGTSTVQSSYLTLRGEILRRYRFDIVMDTLYHLNDERFSNEHFTYLEELGFKE -ADVCAEIFKRSTRNKEYKADTYFSESELFQYSERLNQYRDPHLMASSMFGAKRLRDNGIPLDDALIQMYQ -VPTRIRQLANAQTPTKNEDTFISSAILLSIKKLYARQKVIDEADTKFLYTLDLSENLIKTNILVNEAVVF -SAHPIGPGIYYNSPMGRVLRGLGFRVGPTSDLNISASVINNKYANGLSDTRLIDAFEQVANRSKNNPSLI -EYFIQATGIDRKTVQQFIRYYEYKSRTTAIRINYSANPRLYFFNSLSTRNAYATMESPKMSLSESETGYL -SIIALYEFLRLSEANVNNNLLSLGLTKSLRDALAVQ - ->YP_009507764.1 RdRP [Choristoneura occidentalis cypovirus 16] -MKPISAAELNSLEKQVNERLIDRKVLLNFIKNGASPVIAKKYTLTELRDQIFRGDTRFGQYETSFYIPNE -VITPPKFDWNLYALNANKTDFQQPIQYSYMPTFNLKTFTDQESSAPLPQLYTRLRKFFFQDQIQTRGGDI -WRNLAGVLHACDLHSGTEDYPLRILIRALLHDFDSFPFYRSGEQFKFDNEDTLSIFPLIIYMKSSCLGDV -CIGNASHIESIITISTYLSFCSDHYWTLNLKIKRNFYHHFNTTFYVLVRLSAPYSAINGLFTGVRLRSSN -EPQWIIDLLEPNIYKDTPCPTTVAFIDFARTRSKTIEDLLYLQSLCRQFGNTRLYLVSIPELSRVKGSQP -RIEQLQVQPPIQSQKTSDNQPYKFEVPITSTLAPTSKYLSLFESTISNDLRDLHFNSEPIFHYTTRSGGR -KVGSDIAIPSSNPLFRQASNKRIVLAALEADYFKDWGKFVESLTYPTMLGGRNQIRRRQRQIFIIGNEMF -LLHFPLYRIEKSFTRMSPHASVGKQTGSYLDVLQSLVSTGNGWLSSAMDVSGMDASIQESLRLMTSSFCL -NVSKNIDCDEYGPFIHKETDIIDMDTRFTSGTNKAVINAITQCIALSISNPYKSLYLKSDIFGEMFGQNQ -VYGSGLPSTSDHHVVALVGALKGSELDERNKRNTLSTRADISIMGDDLLLTYVGESRYTERVCKDDAEVL -KRHGFEVDESASVNVSEFLQQQAVCGRYAGYGDRIALFTSETPNYKSTPNERCSEDFAVFADMLSRCYNT -EGLTNLLWIKSMYTNRRITVHMTGKQINSIMKAKLSGWSKMSIQILNDNPTVKNEFGNRMTPLPPSDATN -HFIVQIYLPLIWLFLAKGGELPWPSLIGKNGVIVPAKSMYGPRGQICNRLLFQLSDDLSIPYSAIPDDMK -LCRQTLSDYRADVAYNMCQYNLTKLRENVREERISPHDVHSQALRLRMYLNQSSVSRSEVAYNSLLAMGI -RMDTSIVYAFNIETRIKNALMSAEVDRYEYVMSNDAFETMVKNANKGIAVDYSTRSGMRLNAYRITFDTS -VPRLDNLFKDLYDVSISASLEPGTEGWQLLQILGFMNYHSLANSAAISKLTGKFAYFKEEDKTFEFAKYV -KRNHPLLMEDFYDAVNMPQQTRNEYERLLLDLQDDQRIYYPYTLTNRQLFFISSDVMRGIGFYSSGEQWT -KISQHYGVGYSAAIVYLYVLSHCFDWLGEKLAVEW - ->YP_009330258.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 3] -MTHDDPRYTFNFKMTVNKIQDMQEQIYGLIKDRGKMIDYIIVKSEKSVTLAEKLRIPTEIKKPIEFDEKM -YPLAENGKSFKINHQYDYLATFRVGSFYEKMDEKDPRYGLIRDMIESNQCQSRLSNIWRQVAGVILTFDK -IFSEVNIFRGILSKMLQEMDEEYNNAEYPFYENRILGRIKFEHLKVVSIMPLLIYALCHIGSNFIMGNVD -EDEMMMCMNNYLLMAKESYQDEKLRIKGIARNWINEALDVMGRTMTPIWTPDMCIQGYRMKETREYEIVR -RGFTKAREERKRELSEELNNYINMKINRSRNQAEITRAYVVTRLATNDGTYFKTATELSLKKAVEPTIRQ -KIVPAPKPTEKTSDGVLRQIYESETIYDEIVKYLLAFKNKVIKTLNDIKLDEEYLDILKMTSAGVKLDEQ -EASDKVMSVLLKKRIPRAAMDSAEYRNIIQFVSRLQAPIFAVERQQIDRRQRMIAGINNEGLLGSMASYV -ILTSLFKYMSAAAQGKQSGSAVDISDMLELSSLENVMLSSMDVKGMDAAIQPTTRDIIHTFELEIARLTR -HNVAGPFKEKWTKVIDDKGETIEENEYVNALMQLLMIERHNTQTAVTYVSTAFGEMVNAEGTFSSGRADT -SAHHTALLPGIIRGIETMNSEITPSCRAMVRAMGDDANIVYSGSEELMEKNVEDDKRAMNELGFDIDEEL -SRSSMVFLQQQCVNGCFVGYPDRIAIFTKEHSNEVTSIYQSVQELRALCDDMCWRIRNTRGLKLLMTCLG -AMCSLRVTIEVESARAKEIITEMGKIVPCHGYEDTRDTAEKGRKQRKELMSFYLPLMWLYIEKGGEFPCF -SVERSDGSFTEEESIHTPRGKMKRKLMYDISYNKESKKMTLDRKILKDIGITAALKIIKLNIVGNEYMIK -RETFDDRKLEKMGRSLEGITNNDRYNRSRQHANILRENGFVIPKQAVLGERLTERITQTIEKIPLSKNQM -RMFGEGLLREIKRYKNYVVEEYKDDVVYKCRLIEKDERIGIANIKFLVHGIEIAPNVQPGTESTTALQYI -GMMDKISGGVRNAVNAVRGTYGSFRYDDPTFEAGYKIWRSRGDMIEHFFKAIDASPDREEAYKNAFAYYA -RNEMHQYLLSLSPRNQFFIRDDATKLMLYVDDLPAVARVDLLYGILVAEVLRGSFWLTGGRITLMLDDEL -KRHLKEVALIDTGAVQNPI - ->YP_009163945.1 p3a [Grapevine Cabernet Sauvignon reovirus] -MFKTLLEILISLTYQTNQHFSYLKDLQLLDDKDQLYGFLRDGDVLKEGEIITGTTIAGNSFSFEYNTPKN -IRDPPPFDPDTYFAEATSVGFADTTQPNYLVTCDVRSVFQQIERYSSDELPTGLKVVKHMLTRSLYQSRV -GNIWRQLLAIKLACLAQADSKQVKDPISRCIATLLVKHQHPPFWESRREVQWLKTKTLSILPLLLYAVSN -LGTQLLAGNITELEVILCFQIYLIMAENKYQDTKLSLQKKIRSWLREGLHKLNKVVVPRWSPTGVVTITS -EFTTREFKSTMDTLDKVRKQREESIPPLATKYINQCMSQVTDVQCMLRSWIVTRIMANDGTYFASQPALT -LDKAVKPKLCTTVVPALRPVITLGDSIVLRAEPIPNSQFARAVYYTDRYVERTVQKLLGLNWEESFVLFL -TSSSSGRDFQQEVTMTYSNAVQKVIKSRVFAAGLESESYYSLEALEQLARLPSKLVGRDQIGRRQRAVAG -VNNAGTLLGFPALRILETMLKLTKHASSGKQTGTFIDLIQPLSCFSSKYYCFNSSDVEGMDASVQANVQQ -IMWNFVLRVASRLPRTKYFAYNSGETTVMRWSPNESVPLSDRVKLEKQSVTGIQLACARAATALQPQNCQ -IKDDILGFLSTREPTFPSGLPFTGAHHSYTLISGAQGEKWSREKKGSYSSTQIFHEVQGDDELFIYHGLE -SDIERDMMFDMNATRLWGFNVDPETSRNTCEFLQQRVALGRFVGYPDRVSLFTAERPREGKSLSEKMSEI -LGLGTDLGPRSANPQGLVRLYYAIAMCCCARITLKASSESAAKLIKSEFGKKCGARLTDRALELKKTDHA -MRFEYIRMYYPICALWHEQGGMLPPLRCYRSDGSLTDFPSYYFMRGDRNRRWLWDISNTMEDWKEHECKI -KNDRHHGMSPGRFLNNDLIDEYFYAEAAHLVTTCKSKIVEDYRINDAFTMDSVDRLSRRVDGMRDQAKIN -VSLLAQGRLAQQGILIPDGMVVGHQIRTRMLDAVRKAELTEQEDIEVGDMYINSLLKFTPKIDLKKTDSC -LLYYVLEGEKCEAIPHRPLHNVIDRVSLSLEVFPYSDAAMLLKYCGLRSLKRTRMGALANGIQGRYGPGK -MNQEIFKFGLKIAAQNSKMLPDFYAAVGVPLSQRPAFEEAFNNYELYHCMQYRVVKTPRQFFFCDDSGEK -LLESCSLTHPQSSHPAVQALAKTILLSELASKSVHLTGNRMRLVLLGTGLISGRIA - ->YP_009158917.1 RNA-dependent RNA polymerase [Lutzomyia reovirus 1] -MQNFYQPGLGLSPDLFIQLEQEIDDRIEDTQKLFNFITLRDINKNISIQQIQNITFSGLTSIGTQYSIEF -VIPPAILNPPQFSWSLYPLTINHKEFRSDRPYDYLANFNLSSFYEAKLPQYHDPAIQQIYEKLHTLLTEL -IEVKQCQTRSSTIWRNVGAVLHACDIKFQTRDYPLRYIISALLSPYVTFPFYEQRQRFQFNNTNISSQLP -ILIYCIAALACDLLVGNITHIESVIAFNVYLIYATTSIFDSQLKYKSIARNWKDEAVHLLNTCTVPRYNQ -FGLFETTFTRSTTEPQWISAEYTSQRELRQQLPQVYQNYINHVMRYSKTPEMIIKSHIVVRILSNTRAYL -KTLPELSFKRAVEPQVVENVLPAFQVTKTANGMPLEMRPTEQSPSTSAYRYLSYFTTSVANKVSKKNFDT -EWFNFVTTRSAGRKAVFEGQHLSEKIKRAANSRIVLEAIESRDYRSADRLNRARQQPTNMGIRDQIDRRQ -RGIFVIPNEILIPNLPIYLFMKTFLDITPYTMMGKDSGTFLDMREMLITTALGYYNNYSDVSAMDASVQS -SLRHNMNAWALDVAALCTTPAYASYIARTLSVTHNTRSTSPGSLDISGEYINAVHQAIADASGFEYSSLS -YNSRLFGTIFNYSQSFGSGLPQTSAHHTEVLIGLDKSVDLQSNHSPNCDINIRGDDKTKYLIGSDDIVRE -HLRMDNQTYRKAGFNVKDATSLNSNEFLQQGVLLGRYVPYPDRVSFITAEKPSIILDPQEKCNELVAIAY -DMCARCYDQSGLCLLLFMLCAYTCRRLTLECAVRDLPDLARNKLWQDLRFRIRTPGITINDRQIHINDKT -IKSVLIQIYYPLSWLLTYKGGELPPPALQREDGTMTSPHNIYYPRGKYAIRFLFDISDQTRIPYTNIPHN -ELLNKSQLRKYKLIISHNLGKLNLKELYSRARSQTTEWAEINDQARRLGSLSDPDAINAAANAAQQLELA -GVRVPATINYAYTFHMRIIQAIEAIDVSNVEVQQVTKKFKDIITSDMFDLTLQRDDYLHAYRITYTTDLL -PYKYPNLNITLGPSIQPYSDGWQLIQALGFMEYCTTTYGRITNQIKGEYGAFKKDDPTFQFGLHLWRHRR -HLLDNFYTLINASPGVRAAYQAAFELSGISTEIPYPYTISPRQLFFITDNPSSAARYIDPNSLYYNAPRT -STAYLITIAYLHLLGHSPTFYGHRMMIEISRTVFARYEENKHQPG - ->YP_009111328.1 RNA-dependent RNA polymerase [Dendrolimus punctatus cypovirus 22] -MHDAFTPQHLSLYQDTVNVRINDFQALFEFISGITDSTINHIVQPVVIHKKEYHLRSLNFITHPRPFRPS -MYPLNNEERPTDFSLERPYNYLCTFGYNSFLQSESLVHPPVFKAIHDLITQYQLQTRGSDIWRNLASFLD -AADIYFQTKDYPVRQLLKQLLSRYSEFPFNQSSGKIAFTEEAISSNIICTFPSIIYMLSSLPIDVLARNL -SHEETLIAINNYLFYAEDSIFDTYLLVKSKLISWVADHQAFLGEQKAPILSLYGDFITVGTINCGKDLLL -RRSDHKSNRKIEYPEPSVQSWVTKERSLIRTLPELIRTEMKCRLITNTRMYLATLLELGKEAGSGARSEG -ITVIAPFPTQRGDDGDIYELQYQSATKQPQLAAYWQRFREKVINKMNGLNMNQEYIDALTTNSSGHTHEA -EGFSRIVKRASKIRIINAALSADEYALESRYNYIAAVETKTGVRFQIARRARQIFIISNDEYHLNIPTYV -ILKKFLELTPYAALGKQTGGLPDIAKVMATTTMGILHSSSDVAGMDASLQRGLQLHVMKEVLDIASQVSV -GSYGPFNDKPIEIQFLNEANRKENVQKTALSQCVARTAKRRANPITFKSLFGRIVNENMPFGSGLASTSA -NHTALLIGILRGNDYAHKELSRALLEVLGDDVRMVYVAPDWKYLTLLDMDQSVLNDYGFKLETSASFYRT -EFLQQSVCGGHSNPYPERLSIPCAERVNIKSTPRERCEEDFQLLSDLANRSMAVDGLAWLAFVKSVYTNR -RVTFWVLKKDLPKFRDVRKGTVLSHCYIKILPVRGIDLGRQIVQITLPIFWLFIKGGGEMPYPSLQRADG -TFTPPKSLYGARGEYCNRILYELSRGDNKLNHEFLEKYSAHAAFMLAQINFSRMRHETRSALVSENEINK -LSAQLQTHGDTVKQRLSREAYDKLLSIKFRLPRQLVYAFQVPEKIRETLLATEVDKREVLMVSPRIVEIM -VSKVRYITENEDMKKHRYDITYEVGDKDRVQLTYPTLFDVALIPNALYPSPTWMLIQACGIMTNESISAV -SALGLLHGKYGAFRTYDRQFEYAQRLWRTAPEFLDDFFIALNLNDATRQMVRRALQDVTSSTFSPYFYSL -NNRQLMVVSPNFNNTQMLYKDIRNLQDVTTIHGPYYSQAVPYLYILANCLEWCGELLTVRLWQNESESVV - ->YP_009104379.1 RNA-dependent RNA polymerase [Fako virus] -MATEPNEINLRMTQTLMKQIEDTTFKIRRKHDDMYTYLIDDTAAIEMYPDTETPFRMKSSLHELYIQVKC -QIPKSIIRPPLYNNDMYPLNNNNTNFLEDRPFNYLCNFDWYEFLSRTKDELGMHYNMIRDLIAMSSQTRY -SNIWSNISGIIMYLRQYQRGFALRAILARLLKQWNNFPFFDTWDGFRDVLPNTSTAWPLLFYAFMSVTFD -YICENISEGEAIVCFQNHLENAQVSYQDTKLEKKNNYTLWLKYECHNLRVALTPRYDYTGTVSGNFLKDT -TEYIIENEFENNEIAMRNNLLPSFYAILQQMRQKLKTVEDIIRLLTICYSARDDRTYYGTLMELAISKAI -KPQVAGSIVPAPIPTSWLQKDPKMVLSARYPSTSFLSQMQEFYRRYYPALQKEIDVHALSASFINFLSTA -SAGVGIELPEEIVNMVQDKRLLYLIKKGSGKRVLQEALLVEKYNDLDPIINDFVRLIKIVVRKQIERRQR -GIAGIPNNVLKINQVTYEANKPFSKIARAPSHGKQSGNASDIHDLLFYTTQEDVSHIETNGKRQMRGIVI -SSADVKGMDTHIQINAAMNQHLGAIEILDGIQYDVGPFRQTNAIIQDIQGNVYEKSLNGGQQAIAFGLAN -FSQTTGINSKYFGQIPNQEGTFPSGLITTSNHHTQMLTLLIETALTTFTKEFGKSMAISHLMILGDDVSL -MLHGNDKDINFFMKYLVEKFSQLGLILERDESRNFGVFLQQHVINGRFNGFSNRIAIFTSEDYKTRKSVR -ESCTEYNALIDDVIFRTYNVRKLLQFQRIHQFVVLSKYVFRIQNYKYESLRAKLAKRMNVFEYELKIKDN -DKTDVHNRNALRFIGIQIPYTYFQYSGGGEIPPESFQKKDGSFTYEYSIYSPRGKWLRKFLYDISHDARD -VKFQIDHEMMKLYNLDICDFLLQYNVLDIQEEIRSTIIDRELVSKLAMNLESLEHSNARMISRRASESLR -SMGIRLPANGVYGYQINERLVKVLQNIQQSDYEVKMVGDTLFTAIMEKFEHHKVRMEKGDKLHNFTLDFS -NKDDKITINRKMLALHNISISKNMMPYSDAWMLYTCLDNTYNTSSDLATALAHSQGHFKSFQYDRDMFAE -SVKIASKHGIGSLPMELFFEASNIRDTAQLKWIEAIKYYVQFKDYLYPYSLNPRRLFFIPEQVSSVSNIL -NQENIPADINQKALLFRRAYAYVLSHPFCISGAKAIFVEDRIS - ->YP_009002592.1 VP1 [Inachis io cypovirus 2] -MAFNAIELKTLQKIIDNRVSNRAELFRFLKFGTNQNKKIYSLSELRNLKFTGDVGFGSYTASFIIPNEII -DPPEFNRDWYKTNANNSDFESPPFNYVPTFRFKTFLEQEGDFTSKELFSQLSQFFLEDQVQTRGGDIWRN -LAGVVDSCDAIYGTENYPLRHLLSSFLQPYNEFPFYFSKGRFVFNDEQAVSIFPHIIYMITSCIGDVCIG -NSSHIESIIIISNYLCYAQTSLLDNQLKFQKHCVASLLEDINNLKAVEVPLFSLNGILNSVIIRSVEEPK -WIRDTFKSDLYLELTCKQTVEFITAFRSNLHTIDEVIYLHTLCRLLSNTRLYLVSIPELSRVKGSQPRIE -RSLLKPPMLKEQSQSRLPLEFEVPTESTLEPLARYLSCFEHAIGSKLADLNFNSELIFHYTARSGGRKIS -DLSLIPMEYDKIFRLASNKRIVLAALESEHFTNIDKFYESLKHPVMLGGRNQIRRRQRQIFIIGNEMFML -HFPLYRIEKAFTRKSPHASVGKQTGSYLDVLPCLLSTSHGWLSSAMDVSGMDASIQEALRLTTSSFCLNV -SKYINNNSYGPFFSEEMYLDSTDGINPVTSLTTVLVGAVTQAIAVSISYPYKSMKLESKIFGEMYGQNQT -YGSGLPSTSDHHIVALVSALRGSELAGPHYYNTHSTRAKISIMGDDLLLIYVGNHNKTSIVCQNDAKTLH -KYGFEVDESASINSGEFLQQLAICGRYVGYRDRISLFTAERPNYKSTPSERCSEDFAIFSDMISRCYNTR -GLATLLWRKSAYTNRRITLKMTGKDVNELITAKTSIWHGMTIRFSGEEMAAPLNDWGNTLKHVKPDETFT -VQIYLPIIWLFLTGGGELPWPELIGNNGVRVPAKTMYGPRGPACNRLLFQLCDDPSVIKYSDLEDKLNLE -TLQSFGADYAYNLAQYNLTNLRENVRDLRINPAHIAEVSNRLTNYLDQTSIQRSRNAFNMLNIAQVKIDR -SLVYAYNVETRIKNALYSTDIDRYEYVENNEKFQKIMLLATKRINVDYSSKSGLALHAYRCKLNKDLPKT -ANRFPELHTISLSASTKPGSEGWQLLQQFGFLNYRTLAVSSVIAKLTGKYAYFKEDDKAFETAKYIFNTH -HEFIDIYFDAINLPTQTRDEYIALLRTSDDDKRIYYPYTLTNRQLFFINNNVMEGKKHYADKAAWVEASR -RYSNGYAAAAVYLFLLSHAFDWYGEKVDIELVRYV - ->YP_005255241.1 S2 gene product [Spissistilus festinus reovirus] -MSEAVETFLKTLTIPHDDVTILQEVSSICSTPNSIFEYIKRDYNPLTPGKYHGESASGQSIQVAFTIPKE -FHSPPDVNPNSFEYSRTSFGLKYSLSGVEYEYNYLPTFNVSTFPTVPALNLADSGNVVLNLIKELLSSSQ -NQSRVAGIWKQTAAMVALLRAYRDKNHVLLRYFHLLVGSYVAPPFYEKEGKIVWEKTRQPVLSVLPLLLH -GAGHLAIMHFTNVVTEVDCIMCIQHWMDCLPGGFQDRKLKTKSHLSNWLPYSLHRLPPQKIAMWSQTGCL -MGTTTRDTTEPDIVMQEEAGRNHAAKLNLSSEVERYVRHLQSASSLDSCIAGYLVGRVMSNDGTYFASLP -EITIDKAVLPRVEHHVIRTMRPRSHLTNSQVLYYDQTSVPEFLPGYNYLRRAFPQWRANSAVLLSNLNYE -IIPFLTTSSAGQLPEGGQRVQHADKRLRAASKKRVIAFIDEREDYLNLERFQARLVDVVVAVERTQILRR -ARAVAGVNNPRILASLPVLRALEELQHLLPQASSGKQKGDMSDMLPLLYYTSSANVLCSSMDVSGFDASV -QLVSQMQTLSMTSEALADVPCHKYLCYRAHQHTDPITQETSIVSAFSYLISDIMTRFQPQSTRVKGKVIP -YVTTADPTFPSGLGYTTAHHTIRLISAIFGDVFRDLRFGILSSIRHTAVQGDDISMAFRGSPQKRARDLK -RVQDSIEKVGFKTENESSVSTMEFLQQRAVLGRPVLYPDRVSLLTAEKPREAKGPVEKMSELLALAYDLG -NRVPNPHMLLPILYALYLFCAAKVTLKISTGAARKLYEDKEFIERIFGRGIIEQDPKECTHDTFFFSFIA -PLSYAWVEGGPMLPPPSYRRKDGTYSPVPNFYSLRGAYAKTFLFHLSLTSNMWKELMRRDEEGAIIDASL -LLDYELLDDRNVLMGVHLAETLSKFKTVSDVDIEREYRTALPQMAAALHAYQNLRANQRSLDAAAKLHAA -GVTLPSAIIKGLEAETKIKGTLRSVASSPFEEIVRADKLALMLLESFRSYKKNRRLRVHPEDYSCLYYCE -WEEGQLITPSTAYEVIYENGVTLSPDANKGSIASVLMAYLGTRSGFQPHGDPFMQKVQRAFKDFVRGDEV -LEAGLKVLRHAPELIREFSTAVGLSKAQENRLIALAPTYAGSKYTYHRIIYSPRQYFMVNSDPYALRSHI -SCQHQGGPLLIALSCVQAQEWLSYNVPTSKGERIKVYLPWRTLERLGRLKQAC - ->YP_003934919.1 RNA-dependent RNA polymerase P3a [Raspberry latent virus] -MFPTIVDILTNLTYKPELHHDYLSELKIIDDPQKIYDYLRLGEPLRTGELITGIATGGFEFSFRFTLPTE -ITNPPPFDVDTYKAAVTSDGFSDPTQPNYLVTCDIRSIFDQLDKFTKKEEIPVGLQVVRHMITRSVYQSR -ISSIWRQLLSVKLACEARAGARESKDPISRCIATLLERHLHPPFWESKKEVHWLKIKTLSILPLLLHAVA -NLGTQLLVDNLTEMEVLLCFQIYLQMARRQYQDTKLSMQKKIRSWLREGLQSLGVVKVPRWSPGGMLAET -RMYMTREYPSTQEAMDKARKTREEILLPLINQYIRECLLRVRDVQLMLNSWIVTRIMSNDGTYFASQPAL -TLDKAVKPKLCSTITPALRPVIMLGDSITLEADPIPNSPFARAISFTDRYVETTVQRLQGTNWEESFIQF -LTSSSSGRDFQPEILISYSQVVQKVIRSRVFAAGIESETYYSLEDLEHLARQPSKLVGRDQISRRQRAVA -GVNNGGTLLGFPALRILEAMLKQTKHASSGKQTGTFLDLLKPLSCFSSDYFCFNSSDVEGMDASVQANVQ -QMMWNFVLRVASKLPRTKYFAYNYGETQVTKYEYAPNGVVPINVFHMLKQVTGLELACARASTSLQPQNC -QIKDDILGIISTREPTFPSGLPFTGAHHSFTLISAAQADKWMEERAGGYSSTQIFHEVQGDDELFIYHGL -EKQVEGDIVKDMNATRRWGFSVDPETSKNTCEFLQQRVALGRFIGYPDRVSLFTAERPREGKSIKEKMSE -IVGLGTDLGPRSRNPQGLVRLYYAIGICCTSRITFKVSTENAKKMVASSFGKDCGANLYERTVVGDKPSP -FEYVRMYYPICALWHEDGGGLAPLRCFRKDGSCTVFPSYYFMRGDLNRRWLWDISNNLAQWKEHAEICKV -DNIHGVSPGRYLDCALIERFLWPQANHLVITSKAQIVEDFRTSDLFTMDSINRLARKVDSMRDSKKIDSS -ILAQAKLAEKGFDIPDGIVTGHQIRSRMLDSVQKAELTESEDVQIGDMYVDKLLRFKPRIQIKKTDVCML -YYLTENKDDPIPTSEFHRVIDQVSLSLEVTPYSDAATLLKYCGIRASHYTKLSVFGEGIQGRYGKGRMNQ -KIFDIGYNIARKNASMLPTFYNAVGIPQRQRPEFEEALRMYQEYQCLQFKVIRTPRQFFFCDDSGERLLN -SCNIIHHQSSNPAVLALGKTILIAELAARASSLCGKRVTLTMVGSKLS - ->YP_001883321.1 p3 [Cypovirus 5] -MENDLRYTFDFKLSRKRTQELEKEIHDMIKDRGKMIEFIVEKSEPSLKLASKIVIPTELLNPRPFTYDMY -PLAENGKVFKIEHPYDYLTTFRIDTFYKEENELDIRYQIIKNMLEFKQCQSRLSNIWRQVAGVVLTFDTI -YGEENIFRGILAKILKDLDPEYDSKSYPFYEDRIMGRIIFQRFKIPSIMPLLIYALCHLGANFIMGNLDE -DEFIMCMNNYLYMAKESYQDEKLRVKSAARNWLNEALDNLGQTWSPIWTPDMCIMGYRKKNTREYSVVRK -LFEKSRKERKDKLSKELNAYIDDKIRNAKSQKEITRAYVVTRIATNDGTYYKTATALSLKKAVEPTIRQR -VVPAPTVTEKTSDGVLRQVYEEGSLFEEILDYLLAYKEKTLSTLNNVRLDDEYLDILKMTSAGVKLDEEE -HNDKVMAILSKKRIPRAAIDSANYRNLEQFIERLQAPIFAVERQQIDRRQRMIAGINNEALLGSMASYLI -LTSMFKYMSAAAQGKQSGSALDIADMLEYTSLLDCILSSMDVKGMDAAIQPTTRDVIHTFELEIARLSRH -VSAGPFKEKWSKVIDSKGNTLSESTYMSALLQLLMIERHNTQTAVTYVDPVFGEIVNAEGTFSSGRADTS -AHHTALLPGIIRGMESMCSGERPSCRAMVRAMGDDANIIYSGNEEIMVANIEDDKKAMNELGFDIDEELS -RSSMVFLQQQCVNGCFIGYPDRIGIFAKEHSNEVTSIFQSVQELRALSDDLCWRIRNTRGLKLLMTFLGA -VCSLRVTIEVESTLVTEMIKELSRIVPAHGYESAKKNVDANNKRGKELMSFYLPLMWLYIEKGGEMPCFP -VERSDGTFTEEESIHTPRGQMKRKLLYDISYNAERKSYDLDRRALKELGISAAYKIIQLNIVGNEYMIKR -ETFDDRKLEQMGRSLEGLSDSDRYIKSRRHANILRENNIRMPKQAVLGERLTERITQTIERIPLTKNQMK -LFGEGLLQDIKRYKYYMIPETREDVVYKCRLIEHEERIGIKNIEFLAYDIELSLNLQPGSESVTALQYLG -LTDRASGGLRSSINAVRGTYGAFRYDDPTFMIGYQIWRSRGDMIEHFFKAIDASVEREEAYKSAFAYYAR -NEMHQYLLSLSPRNQFFIRDVATNLMMYVNDLPAVARVDLLYGILIAEVLRSSYALTGGRISIEMDVTLQ -RHLRSVITGQSDASQNPI - ->YP_443936.1 VP2 [Aedes pseudoscutellaris reovirus] -MATEPNEINLRMTQTRMKQIEDTTFKIRRKHDDMYTYLINDIEATEMYPDTETPFRMKSSLNNTYIQVKC -QIPKLIMQPPLYNNDMYPLNHNSTNFLEDRPFNYLCNFDWFEFLSRSKDELGMHYNMIRDLISMSSQTRY -SNIWSNISGIIMYLRQYKRGFALRSILIRLLTYWNNFPFFDTWDGFKDVLPKTSTAWPLLFYAFMSVAFD -YICENISEGDAIVCFQNHLENAQISYQDTKLEKKNGYTLWLKYECHNLRVALTPRYDYTGTVYGNFLKDT -TEYIITDEFEENEIKMKNILNPSFYKILQQLRREIKTVEDIIRLLTICYSARDDRTYYGTLMELAISKAI -KPQVAGSIVPKPIPTSWLQKDPKIVLSAKYPSTSFLSQMQEFYRRYYPALQREIDVHALSASFINFLSTA -SAGVGIELPEEIINMVKDKRLLYLLKKGSGKRVLQEALLVERYNDLDPIINDFVRLIKIVVRKQIERRQR -GIAGIPNNVLKINQVTYEANKPFSKIARAPSHGKQSGNASDIHDLLFYTTQEDVSHIEVNGKRQMRGIVI -SSADVKGMDTHIQINAAMNQHLGAIEILDGIQYDVGPFRQTRAVIQDIQGNVYERNLNGGQQAIAFGLAN -FSQTTGINSKYFGQIPNQEGTFPSGLITTSNHHTQMLTLLIETAATTFTNEFGKSIAIAHLMILGDDVSL -MLHGNDKDVNFFMKYLVEKFSQLGLILERDESRNFGVFLQQHVINGRFNGFSNRIAIFTSEDYKTRKSVQ -ESCTEYNALIDDVIFRTYNVRKLLQFQRIHQFVVLSKYIFRVQNYKYEKLKAKLATRLNVFEYDLKPHND -SRENVQNQNMLRFIGIQIPYTYFQCSGGGEIPPESFQRKDGSFTYEYSIYSPKGKWLRKFLYDISCTIDE -PKFRIDDEIMRMYNLDICDFLIQYNMLNIQEEIRATIIDRELISKLAMNLESLENSNARMISRRASESLR -IMGIKLPANGVYGYQINERLVKVLQNIQQSDYEVKMVGDALFTAIMEKFDSHKVRMEKGDRLHNFTLDFS -DKSDRLVINKKMIALHNISISKNMAPYSDAWMLYTCLNHTYNASSELSTALAHSQGHFKSFQYDRDMFAE -SVKIASKHGIGSLPMELFFEASNIRDSAQLKWIEAIKYYIQFKDYLYPYSINPRRLFFIPEQVSSVSSLL -NQDNIPADANKKALMFRRAYAYVLSHPFRISGAKAIFVDDRIS - ->NP_620541.1 RNA-dependent RNA polymerase [Rice ragged stunt virus] -MTLLVITEQTIHSLCLDHGETNQIIAEIKQLEKPELLFSYITDAEPLATGEVFVGPDICGNCITHTFRVP -DYVAKPPPYDSKRVYYPYSYTCLGFDSHPYDYLLTLDTKSIFQAIRKITLTRKLSIATQSDLDLIILKKL -TTQSNCQSRVSSIWRQCVAACLAFEPQIQNNNSTQSPNLNNLVKRMFATLLKPIGRLPFYERRRNFVWEE -EVSCPTILPLLLYSIQNLVTQFCAGVINRMEMILAFQYYLDCGVTAYQDEKLRLQKLIRNWLREGLAKFS -KIAMPNWTVSGVISCTTVKPIMITTHEAREIKSAREAREASVPTYLLQYINHAIHKAISPYQTHQYWQAT -RVFANDGTYFASVAALTLNKAVRPRIEETTVKYPNSRYLTMNKEHIAVSLPNETDTNFGLACQFVKQFIP -RLVTRLKNTNFQEEFIAFLTSSSSGKDFEDAAIATMTRTIQRVAKKRIVAAGLESRSYLNQQFIADECLA -AAKLVGRTQIGRRQRAIAGVNNTRSLLGFPPMLMLKALLDMTGTTSSGKQMGNYLDLLIPLGLSPYANVI -FNSADVDAMDASVQASVQQIMWHFVVYIALQLERTDYFAFTSGDEVIYELVEGKDSVATSIHMSGLARSC -LRAMHLLQPQNCVLNDDIVGELVTREPTFPSGQPFTTVHHTFTLSNAIMGGTLRVTNLTNQPSTLLNLTV -QGDDTRTINYGPKGCIEKCIDDQSSFVSDWGFKVSNETSSHTSEYLQQRVSCGTFVGYPDRVSLFAAERP -KEGKTMKEKMSEIWSLVTDLGCRSRDPQRLVRLMYAIGVACCCRLTIRTERLVAEEFIASEVGRACCAEI -LVPKVAARADRGVMLRYHYPISALWLEEGGQLPPLATKRRDGTWTCFPSYYFQRGDSNRYWYWDVSLTEE -KWITTVEMRSDWDSNNAIELIDDEILEAYATRYALAALGLNKTRQMERLRLSEESTIFDVEQLASGLNAY -RNLSKIAISHRASERLKNAGVELPDSVLYSRHTQSRIQDAILKAQMTEKEEIEMSLYFFKDKGYLRSLRE -LVKSKSGDLAMIHRCEWGERVTILESSIFNVVSTTPLSMEVYPGSIMSFILCYTGLKGAHSGQLAGLVGL -LQGKYGGGKISELQFSIAKKIYLTKPYLLNEFIIACGLGGEAELALKNALHAFEMLRGVEFSTVHTPRQF -FFGVDSGVTLGNHLDYPNGFPGTRIELAAHLLLAMNFLSSNAQSCTGRSIRVRVPRGMWSRCTNF - ->NP_149147.1 RNA-dependent RNA polymerase [Cypovirus 1] -MLPNTELHTTEFSKTRKFTRQSFKQIEQLTASLANDRVARHKFLFNNSLPLISDYSGEDSNGHQLQATIK -IPDEITNPKEYDPSDYPLAEDESFFKQGHKYDYLVTFRAGSLTNTYEPKTKMYKLHAALDRLMHVKQRKS -RFADLWRELCAVIASLDVWYQTTNYPLRTYVKFLFHKGDEFPFYESPSQDKIVFNDKSVASILPTFVYTC -CQVGTAIMSGILTHVESIAAMNHFLHCAKDSYIDEKLKVKGIGRSWYQEALHNVGQVTVPVWSQFNEIIG -HRTKNTSEPHFVSATFTALRAKRAELLYSEFNDYINRALRLSKTQNDVANYYAACRAMTNDGTFLATLTE -LSLDAAVFPRIEQRLVTRPAVLMSNARHESLRQKYTDGVGSIAQSYLSSFTDEVARRVNGIHHDEAWLNF -LTTSSPGRKLTEIEKLEVGGDVAAWSNSRIVMQAVFAREYRTPERIFKSLKAPIKLVERQQSDRRQRAIS -GLDNDRLFLSFMPYTIGKQIYDLNDNAAQGKQAGNAFDIGEMLYWTSQRNVLLSSIDVAGMDASVTTNTK -DIYNTFVLDVASKCTVPRFGPYYAKNMEVFEVGKRQSQVKYVNAAWQACALEAANSQTSTSYESEIFGQV -KNAEGTYPSGRADTSTHHTVLLQGLVRGNELKRASDGKNSCLATIKILGDDIMEIFQGSQDDTHHHAVSN -ANVLNESGFATTAELSQNSIVLLQQLVVNGTFWGFADRISLWTREDTKDIGRLNLAMMELNALLDDLLFR -VRRPEGLKMLGFFCGAICLRRFTLSVDNNLYDSTYNSLSKYMTLIKYDKNPDFDSTLMSLILPLTWLFMP -RGGEYPAYPFERRDGTFTEDESMFTARGAYKRRLLYDISNIREMIQQNSLALDDELLHEYGFTGASLLID -LNILDLIDEVKKEDISPVKVSELATSLEQLGKLGEREKSRRAASDLKVRGHALSNDIVYGYGLQEKIQKS -AMATKETTVQSKRISLRLHEVIATKTRDYRIPTTPADALHLYEFEGEEVVMDLLPHAKHTSYSNLAYNMS -FGSDGWFAFALLGGLDRSAILLRLDVASIRGNYHKFSYDDPVFKQGYKIYKSDATSLDDFFIAISAGPKE -QGILLRAFAYYSLYGNVEYHYVLSPRQLFFLSDNPVSAERLVRIPPSYYVSTQCRALYNIFSYLHILRSI -TSHEGKRLKMVLHAGLIAYVRGTSSSAILPEADTV - ->NP_149135.1 RNA dependent RNA polymerase [Cypovirus 14] -MTTDIKYTPTPEIIQCGYVFDPTKVQSLKDERFRLLSSKSDEGDLNKSIFNYIKNESPASKHWIGKDVNG -HVLRVECLIPIDITQPPDFDLSMYPLDDSETMFADDHDIDYLRNCKVSSLMLALGETEREQKLIAELKFL -MTAKHCQTRVAKVWKQLLIVVKTLDDAFKTKEYPLRYFIAQLFCRGINFPFYQKRGERQHTFVFESTDVS -SVLPLLTYTCMSIGTAIMTGVLSHVESVVAMNNMLEMARTSFVDEKLKYKSIARNWLTEALHNLGDTLIP -VWNASGDIRSTVMKNTNESAFVRSQIEADREKRKQLNSVMTAYLGHVLSKCNSYDSIRRYYTAVRVMTND -GAYLSTLTELSLDKAVQPIASMTAVQRPAVLEHDASGRAYRQTYTSGELSAAWNYLRPFASEIATKLTKT -NLDELWLGFITTSSPGRKLEETILRNLHVEVQKWSGKSKIVMEAVLAPKYRDMSRYTDALTTISQLVERI -QIDRRQRAISGLSNERLFLSFLSYVIGKEIYNLNSNAAQGKQAGNALDIQDMLYLTTLRNTIQSSIDISG -MDASIQPEIKELYNTLTLETTGHMNTSKFGPFMTKTMEIKDTKTGSSRRDKVNAAKQAIMYEIANTQTST -TYNSKIFGEIKSGEGTYPSGRADTSTHHTVLLQAILRGNELRRCSEGKASSLIDMRILGDDVRLTYHGSE -DLCIKHANEDAEALNKCGFKVTQEYSKNSVTLLQQLVVNGSFWGFPDRISLWTRERQKGMYRYDESAKEA -TALCDDMMSRIPRPEGLKMLLFNILFLGYGRLNMAVSRPFVNEFVKLIGKHVQYELHSDNLAYKTRLISV -YLPFYWSFMAGGGELQPYPFMRSDGSYTPDHSMYSARGPYLRRNLYDISGAETSIINEEMVKLDHELLTK -YDVLATQLFMDLNFLNLKVEAKRELLPPNEIERLASDLEALGNADARVRSKIAYGSLKREMADIPKGIVY -GYDLYTKIEQTALDQESTEEETKLVSMRMLMNLRKYANNRYIRAHDDDVLHEYCFNRRAERANFLPMMKH -LKNFSIAANMEPFSDGWALLGYLGLMDPLGSELRAELASIRGGFHKMSYADPRFKTGFRIYNENARLLET -FFVMIGADGNEQEAMRRAFAYFSMYGRIRYEYIQSPRQLFFINNSPIGAARFLVGTEYNQSSSAVQALFL -TYTYLHILSNISDYSGELLELRLSDKLRKHLRIQQVHG - diff --git a/seq/clusters_seq/cluster_338 b/seq/clusters_seq/cluster_338 deleted file mode 100644 index 9747532..0000000 --- a/seq/clusters_seq/cluster_338 +++ /dev/null @@ -1,90 +0,0 @@ ->YP_009507706.1 NS3 [Eubenangee virus] -MLSELAARFEAEKQHSYHVDEEKIEMESGEDRSLVKYVTPPSYVPIYPTAPAVQPAGELSLNILNNAMTN -STGATNALKEEKTAYGAYAEALRDNPAVQNIKMRVYSNTIPRLENELRGKKRKRMLVHTAMLASAGVAAV -TSVSSLIKDINVVLPASGKNETTAVTIPSWFASFSTVFNLVNLLATGAMMGCARAERGLDSQIAMLRKEI -TKKKSYNDAVRMSVRDDSDVAELIKRCEGAAR - ->YP_009507693.1 NS3 [Equine encephalosis virus] -MYPVLSRTVVNNPEERALMVYPPTAPMPPVMTWNNLKIDSVDGMKDLALNILDKNITSTTGADECDKREK -AMFASVAESAADSPMVRTIKVQIYNRVLDDMEREKQKCEKRRAVLKFISYTFITLMLTSTFLMAMIQTPP -ITQYVERACNGTEEAEKTDPCGLMRWSGAVQFLTLIMSGFLYMCKRWIATLSTNVDRISKNILKRRAYID -AARSNPDATVLTVTGGNTGDLPYQFGDTAH - ->YP_009507732.1 NS3 [Warrego virus] -MLSTLVSRCAEEKEESRRLSKQFIEVDLTDTMEADDMNKTPSAPPVYTPPNAPPNPATVSLNILTNAMSS -TTGATNAQKEEKAAYASYAEAMKDDINIKIIKSRVNEQIIPRLEHELQSMQRRKKILYAVMLISAILALF -TSGVTIIKDLKITLPNSGNATHIEMPAWVRDLSVFIGMLNFASMGVALGCAKMMDGMVRSIDQVRKEISK -KKAYIDATRIAFRGSVDFSKLDTRDTERYAVTPEARGLLW - ->YP_009345888.1 VP10 [Orbivirus SX-2017a] -MVVMLSGLVRRFEEERIDMPQEVKSDELSLVNYYPSESRPPSYAPMAPTMTDGMASVSLGILNQAMTNTT -GATTASKLEKAAYGSYAEAFRDDLRLRQVKKHVNDQIIPKLSIELSHLRRKKIIVGTIMLVAAIIALVTS -AGTLTNDLSISMKTGDVNITIPAWFKGFSAVFGFANLGSTMIMMLCARTERMLTGQIDMIQKELMKKKSY -NEAVRMSMAELSGMSLEGIIPNTGQLT - ->YP_009163944.1 nonstructural protein [Fengkai orbivirus] -MLSGLVRRFEEERIEMPQEVKPDELSLVNYYPSESRPPSYAPMAPTMTDGMANVSLGILNQAMTNTTGAT -TASKLEKAAYGSYAEAFRDDLRLRQVKKHVNDQIIPRLSTELSHLKRKKIIVGTIMLVAAIVALVTSAGT -LTNDLSISMKAGDVNITIPAWFKGFSAVFGFANLGSTMIMMLCARTERMLASQIEMIQKELMKKRSYNEA -VRMSTAELSGMSLEGIIPNTGQLT - ->YP_008719925.1 non-structural protein NS3 [Changuinola virus] -MLSELAARFEAGKQRELEEVNMLNETAVVPYTRPPSYAPTAPTTFAPAHLSLNILNNAMSNGTAKTNALK -EEKVAFGSYAEAFRDEPAVQQIKSHVNEQIIPKLKRELAGYKKKRWLVHLTMLIAAGVALFTSLGTLVKD -VQIRIPGNNSTAGANEYIHLPTWYTSLGAIFGIVNLGATGLMISCARIEKSYDESIAFLKKELMKKRSYN -DAIRMSIKDMGSLTNLFSEEQHQ - ->YP_008658422.1 NS3 [Wallal virus] -MLSELAARFESEKVRYSATEQQLITKEEEEPIYERMDKAVVPYSRPPSYVPTAPSGVSGKDVSLDILNNA -MSNTTGATNAMKMEKTAYSSYAEAMRDSVPVQNVKTSVNALIIPRLRNELSGLKRKRTLVHIALIVTAAV -TMITSFSSLVKDFQVSIPSGTESGNSTVTVQVPKWFASFSAVFNTVNLVATGLMISFARMEKMLDGQIGM -IKKEIMKKESYNEAVRKSVTAIDMTSLFQEAEGANG - ->YP_003240117.1 NS3 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MLSRLVPGVETRIEMKASDEVSLVPYQEQVRPPSYVPSAPIPTAMPRVALDILDKAMSNQTGATMAQKVE -KVAYASYAEAFRDDLRLRQIKKHVNEQILPKMRVELTAMKRRRAMAHMVLIIAAVVALITSASTLTSDLG -VILKHNTTTEAIQTYIKPFCAAFGIINLAATMVMMFMAKNEKIINQQIDHTRKEIMKKDAYNEAVRMSVT -EFSGIPLDGFDIPPELTR - ->YP_052940.1 non structural polyprotein NS3 [Palyam virus] -MLARSLNEYKAMRPESEMSVVPYQPPAYPTAPTGTNGEKDFSSISLGVLNNAMNDTTAATQAEREEKVAY -ASFAEALRDSACVREIKKRVSSRTIIALEKEYNHQKRIYDFIRLILFIMSVIAIITSSLSAAIVVIPETK -TLKEEWISILIHVGNLFATGVYVGMSKYAERLDSFLKRTRKEIVKKRSYIEAANVTWDSDVDTLNFLKAA -A - ->YP_052960.1 hypothetical protein BTVs10gp1 [Bluetongue virus] -MLSGLIQRFEEEKMKHNQDRVEELSLVRVDDTISQPPRYAPSAPMPSSMPTVALEILDKAMSNTTGATQT -QKAEKAAFASYAEAFRDDVRLRQIKRHVNEQILPKLKSDLSELKKKRAIIHTTLLVAAVVALLTSVCTLS -SDMSVAFKINGTKTEVPSWFKSLNPMLGVVNLGATFLMMVCAKSERALNQQIDMIKKEVMKKQSYNDAVR -MSFTEFSSIPLDGFEMPLT - ->sp|Q04686.1|VP8_BTV17 RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MLSGLIQRFEEEKMKHNQERVEELSLVRVDDTISQPPRYAPSAPMPSSMPTVALEILDKAMSNTTGATQT -QKAEKAAFASYAEAFRDDVRLRQIKRHVNEQISPKLKSDLGGLKKKRAIIHMTLLIAAVVALLTSVCTLS -SDMSVAFKLNGTSAEIPQWFKSLNPMLGVVNLGATFLMMVCAKSERSLNQQIDMIKKEVMKKQSYNDAVR -MSFTEFSSVPLDGFELPLT - ->sp|P13841.1|VP8_BTV1A RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MLSGLIQRFEEEKMKHNQERVEELSLVRVDDTISQPPRYAPSAPMPSSMPTVALEILDKAMSNTTGATQT -QKAEKAAFASYAEAFRDDVRLRQINRHVNEQIFPKLKSDLGGLKKKRAIIHMTLLVAAVVALLTSVCTLS -SDMSVAFKLNGTSAEIPQWFKSLNPMLGVVNLGATFIMMVCAKSERGLNQQIDMIKKEVMKKQSYNDAVR -MSFTEFSSVPLDGFELPLT - ->sp|Q04684.1|VP8_BTV11 RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MLSGLIQRFEEEKMKHNQDRVEEPSQVRVDDTISQPPRYAPSAPMPSSMPTVALEILDKAMSNTTGATQT -QKAEKAAFASYAGVARDDVRLRQIKRHVNEQILPKLKSDLSGLKKKRAIIHTTLLVAAVVALLTSVCTLS -SDMSVAFKINGTKTEVPSWFKSLNPMLGVVNLGATFLMMVCAKSERALNQQIDMIKKEVMKKQSYNDAVR -MSFTEFSSIPLDGLEMPLT - ->sp|Q04687.1|VP8_BTV2A RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MLSGLIQRFEEEKMKHNQERVEELSLVRVDDAISQPPRYAPSAPMPSSMPTVALEILDKAMSNTTGATQT -QKAEKAAFASYAEAFRDDVRLRQIKRHVNEQILPKLKSDLGGLKKKRAIIHMTLLIAAVVALLTSVCTLS -SDMSVAFKLNGTSAEIPQWFKSLNPMLGVVNVGATFLMMVCAKSERSLNQQIDMIKKEVMKKQSYNDAVR -MSFTEFSSVPLDGFELPLT - ->sp|P23705.1|VP8_BTV1S RecName: Full=Non-structural protein P8; AltName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MLSGLIQRFEEEKMKHNQERVEELSLVRVDDTISQPPRYAPSAPMPSSMPTVALEILDKAMSNTTGATQT -QKAEKAAFASYAEAFRDDVRLRQIKRHVNEQILPKLKSDLGGLKKKRAIIHMTLLIAAVVALLTSVCTLS -SDMNVAFKLNGTSAEIPQWFKSLNPMLSVVNLGATFLMMVCAKSERSLNQQIDMIKKEVMKKQSYNDAVR -MSFTEFSSVPLDGFELPLT - diff --git a/seq/clusters_seq/cluster_339 b/seq/clusters_seq/cluster_339 deleted file mode 100644 index c4afa0d..0000000 --- a/seq/clusters_seq/cluster_339 +++ /dev/null @@ -1,226 +0,0 @@ ->YP_009551539.1 VP2 protein [Bat rotavirus] -MAYRKRGARRETNLKQDERMQEKEDSKNINNDSPKSQLSEKVLSKKEEIVTDTQEEIKITEEIKKSNREE -SKQLLEILRTKEEHQKEIQYEILQKTIPTFEPKESILKKLEEIKPEQAKKQTKLFRIFEPKQLPIYRPNG -EKELRNRWYWKLKRDTLPDGDYDVREYFLNLYDQVLMEMPDYLLLKDMAVDNKNSRDAGKVVDEETARIC -DAIFQDEETEGFIRRFISEMRQRVQADRNVVNYPSILHPIDYAFNEYFLQHQLVEPLNNDIIFNYIPERI -RNDVNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVIPDLKELVSTEAQIQK -MSQDLQLEALTIQSETQFLTGINSQAANDCFKTLLAAMLSQRTMSLDFVTTNYMSLISGMWLLTFVPNDM -FIRESLVACQLAIINTIVYPAFGMQRMHYRNGDPLTPFQIAEQQIQNFQVANWLHFVNNNQFRQVVIDGV -LNQVLNDNVRSGQVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLSYNYETLM -ACITMNMQHVQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNINVNFHSNYNERINDAVAVITAA -NRLNLYQKKMKAIVEDFLKRLQIFDVARVPDDQMYRLRDRLRTLPVEIRRLDIFNLILMNMEQIERASDK -IAQGVVIAYREMQLERDEMYGFVNIARNLDGFQQINLEELMRSGDYAQITNMLLNNQPVALVGALPFNTE -SSVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWVPTSTTKVYKQVPQQFDFRAS -MHMLTSNLTFTVYSDLLAFVSADTVEPINAVAFDHMRIMNEL - ->YP_008145314.1 VP2 [Rotavirus F chicken/03V0568/DEU/2003] -MSSNKAKQRLEELKNKREASLKKAINEGAEAVKKLVDQQNDENSVQSEQTLSQSDTKTKEDKKEKENSQI -QQSDEVKSLEDVEKAAKEKRDGTRHLIEVLKTKEDSEKEIQKEILQKAIPTYTPNDEAVKKLSEIKIEEN -AEIKQLFRLFEPEVVPIYANDGRKTLITAWYWKLKKDDIPTGDYTVREYFLSLYTHVIDTMPPYIMLRSM -AVDNKHSREAGKVVDAETASILEKLFADEQTDAMTRRYIAEMRHRVNAEANIVRYQAVLHPVDYEFNQYF -LEHQILRPLTTREIFQMIPTRMRNDVNYIFTIDNSFLETARYVPYNLLQDRLNLHEGLQSIWETLTLTNY -VHARTAVPDLVDLVDTETQIKEMSQMLQLEAMTIQSESQFITGINSDAANEFFKTVIAACLSQRTLRMEF -TQANYMSLLSGMFVLALVPNELILRESLNSLQVAIINTILCPAFDIPAMQYNYLEIQTPFELILPRIVSR -QVRDYLHHVNNNHFLYNVVDGVRNASLQNIIRSGAIVQNVAAALLNIAGQPFRAYNQEYKRSIQRAITIL -TRRAPQIEDSFRMLIYNYEVIQRFIVMNQQYAQTINTELVNMTAATSYFMLMSNRAVYPDASVLLQYYKV -NIRFLHNYNEAIDDTVATLLVSHRLNLYQKKILSLVTEFMRKLKIFDAPKIPPDQMYLLRNRMRQLPVEQ -RRADVYNIMETHRTQIERASKRIAQGVVLMQQEAPLQHDPIVGYTNVTRNLDGYRTISLEDLQMRGDFQP -LTDVLLANQPIALQGAITYKTETDPFAVLAKSDVAVFAPIIKERNLNALNPISYEINSDSKGFYIVHNNS -WVPTSNTKLFKQPPRKFVLSDSTFFLESGLFYTIFTDPLSFISHTSVEPVNAVAFDGHRIVRTL - ->YP_003896047.1 VP2 [Rotavirus D chicken/05V0049/DEU/2005] -MRKNKLIQDKEREKSKSKDETRTERGKTDRNTTNSLKNGVETDCNDGNCVDNVNVDSKNDKKEERTKKDY -IKSEKKSDGASDTKEKENGSKDKVVSNEENKKNEKELAEILKTKEEVTKDLDKEIFYKYIPTFDVNIDIV -KKMLDIPSVSPKDEKTLFRLFDLKNLPLYDTSAVRTLETRWVWKLKKDDLPDDSYSVREYFQGLYEHILS -IIPDYIMLRNMAVDNTRSRYNGKIVDKESLDIVNKLFVDDQIDNQIRLYISDMNHTTIARTNTIIYPAIM -NPIDHEFNEYFLNAQLIEELNTGVIMNMIPRQLRADSNYNFALENSFNHTARYLPQLLMQDRIAIHEISS -LWDSMTTANYVLARSVIPDLKDLLPADVQITEMAANLNLEALTTQVETAYLSGITTESANECFKIIIASL -LSTRTISMSYSGNNYVSLFSGMYLLSIIPFNSMLRESVISLQLAIVNSILYPAFGLPQLTYTYLDQDTPF -MIAQQTISNRRVREWLQHVNNFDFPRVNRDGVFVYTVPDRIRYGNIVNLFSETVTELANQQFRTYTLEYQ -RAIKRAIQLFVRRVPQILDLTRLMFYNYEVLLRMIVMSQQRMITLTTEKLDLTRVTSLLFLISNTVVFPD -PQSLMRYYSANRNFLNNYNERIDDTVARLYASNRLNLYRKKVLSIVTDFVRNLYIFEATKVPADQMYNLR -DRLRRLPLENRRQRVFDIMMNNQDQIIHASDKIAHGVVLFRNERELINDEYEGLTNVVRNIDGNALSIEE -IRNRGDYQPLIDSLLQTNSVALKGVIPFNTTHNPFELIAKVDVSIFAPVLKDRDINKLKPVKYAINSDSQ -SFYIVANNNWKPTSSTAVYKLQPRQFDFTQSLFQLTSRLFFQVFKDPLTFLTIRTVDPIIAVASDNRRII -LSV - ->YP_002302226.1 VP2 [Rotavirus A] -MAYRKRGARRETNLKQDERMQEKEDSKNINNDSPKSQLSEKVLSKKEEIITDNQEEVKISDEVKKSNKEE -SKQLLEVLKTKEEHQKEVQYEILQKTIPTFEPKESILKKLEDIKPEQAKKQTKLFRIFEPKQLPIYRANG -ERELRNRWYWKLKRDTLPDGDYDVREYFLNLYDQVLMEMPDYLLLKDMAVENKNSRDAGKVVDSETAAIC -DAIFQDEETEGAVRRFIAEMRQRVQADRNVVNYPSILHPIDHAFNEYFLQHQLVEPLNNDIIFNYIPERI -RNDVNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKELVSTEAQIQK -MSQDLQLEALTIQSETQFLTGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWLLTVIPNDM -FIRESLVACQLAIINTIVYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFVNYNQFRQVVIDGV -LNQVLNDNIRNGHVVNQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLSYNYETLM -ACITMNMQHVQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNVNVNFHSNYNERINDAVAIITAA -NRLNLYQKKMKSIVEDFLKRLQIFDVARVPDDQMYRLRDRLRLLPVEIRRLDIFNLIAMNMEQIERASDK -IAQGVIIAYRDMQLERDEMYGYVNIARNLDGFQQINLEELMRSGDYAQITNMLLNNQPVALVGALPFITD -SSVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWIPTSTTKVYKQVPQQFDFRAS -MHMLTSNLTFTVYSDLLAFVSADTVEPINAVAFDNMRIMNEL - ->YP_392489.1 structural protein 2 [Rotavirus C] -MISRNRRRNNQQKNIEKEKQLETIINKEVKENKDSMKEDKLVVTEESNGDVTTAKEQSNNINLQKNDLVK -EVMNIQNQTLNTVVTENKVEIEEIVKKYIPSYNTDSLIVKKLTEIQESSAKTYNTLFRLFTPVKSYLYDI -NGEKKLSTRWYWKLLKDDLPAGDYSVRQFFLSLYLNVLEEMPDYIMLRDMAVDNPYSAEAGKIVDGKSKE -ILIELYQDQMTEGYIRRYMSELRHKISGETNTAKYPAILHPVDNELNQYFLEHQLIQPLTTRNIAELIPT -QLYHDPNYVFNIDAAFLTNSRFVPPYLTQDRIGLHDGFESIWDSKTHADYVSARRFIPDLTELVDAEKQI -KEMAAHLQLEAITVQVESQFLAGISAAAANEAFKFIIGSVLSTRTIAVEFITSNYMSLASCMYLMTIMPS -EIFLRESLVAMQLAIINTLIYPALGLAQMHYQAGEVRTPFELAEMQVANRSIRQWLHHCNTLQFGRQITE -GIIHLRFTNDIMTGRIVNLFSTMLVALSSQPFATYPLDYKRSVQRALQLLSNRTAQIADLTRLIVYNYTT -LSACIVMNMHLVGTLTVERIQATSLTSLMMLISNKTVIPEPSSLFSYFSSNINFLTNYNEQIDNVVAEIM -AAYRLNLYQQKMLMLVTRFVSKLYIFDAPKIPPDQMYRLRNRLRNIPVERRRADVFRIIMNNRDLIEKTS -ERICQGVLLSYTPMPLTYVEDVGLTNVINDTNSFQIINIEEIEKTGDYSAITNALLRDTPIILKGAIPYV -TNSSVIDVLSKVDTTVFASIVKDRDISKLKPIKFIINSDSSEYYLVHNNKWTPTTTTAVYKARSQQFDIQ -HSVSMLESNLFFVVYNDLFKYIKTTTVLPINAVSYDGARIMQET - ->sp|P26191.1|VP2_ROTPC RecName: Full=Inner capsid protein VP2 -MISRNRRRNTQQKDAEKEKQTENVEEKEIKEAKEQVKDEKQVITEENVDSPKDVKEQSNTVNLQKNDLVK -EVINIQNQTLNTIVAENKVEIEEVVKKYIPSYSTDKLIVKNYRNSRIKCQTYNKLFRLLHVKSYLYDVNG -EKKLSTRWYWKLLKDDLPAGDYSVRQFFLSLYLNVLDEMPDYVMLRDMAVDNPYSAEAGKIVDEKSKEIL -VEIYQDQMTEGYIRRYMSDLRHRISGETNTAKYPAILHPVDEELNKYFLEHQLIQPLTTRNIAELIPTQL -YHDPNYVFNIDAAFLTNSRFVPPYLTQDRIGLHDGFESIWDAKTHADYVSARRFVPDLTELVDAEKQMKE -MLQCKLNHNSWQELVHGRNEAFKFIIGTVLSTRTIAVEFITSNYMSLASCMYLMTIMPSEIFLRESLVAM -QLAVINTLIYPALGLAQMHYQAGEIRRLELAEMQVANRPIRQWLHHCNTLQFGRQVTEGVTHLRFTNDIM -TGRIVNLFSTMLVALSSQPFATYPLDYKRSVQRALQLLSNRTAQIADLTRLIVYNYTTLSACIVMNMHLV -GTLTVERIQATALTSLIMLISNKTVIPEPSSLFSYFSSNINFLTNYNEQIDNVVAEIMAAYRLDLYQQKM -LMLVTRFVSRLYIFDAPKIPPDQMYRLRNRLRNIPVERRRADVFRIIMNNRDLIEKTSERICQGVLLSYS -PMPLTYVEDVGLTNVVNDTNGFQIINIEEIEKTGDYSAITNALLRDTPIILKGAIPYVTNSSVIDVLSKI -DTTVFASIVKDRDISKLKPIKFTINSDSSEYYLVHNNKWTPTTTTAVYKARSQQFNIQHSVSMLESNLFF -VVYNDLFKYIKTTTVLPINAVSYDGARIMQET - ->sp|B1NKR0.1|VP2_ROTH7 RecName: Full=Inner capsid protein VP2 -MAYRKRGARREANVNNNDRMQEKDDEKQDQNSKMQLSDKVLSKKEEVITDNQEEVKIADEVKKSTKEESK -QLLEVLKTKEEHQKEIQYEILQKTIPTFEPKESILKKLEDIKPEQAKKQTKLFRIFEPRQLPIYRANGEK -ELRNRWYWKLKKDTLPDGDYDVREYFLNLYDQVLTEMPDYLLLKDMAVENKNSRDAGKVVDSETASICDA -IFQDEETEGAVRRFIAEMRQRVQADRNVVNYPSILHPIDYAFNEYFLQHQLVEPLNNDIIFNYIPERIRN -DVNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKELVSTEAQIQKMS -QDLQLEALTIQSETQFLTGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWLLTVVPNDMFI -RESLVACQLAIVNTIVYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFVNNNQFRQVVIDGVLN -QVLNDNIRNGHVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLAYNYETLMAC -ITMNMQHVQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNVNVNFHSNYNERINDAVAIITAANR -LNLYQKKMKSIVEDFLKRLHIFDVARVPDDQMYRLRDRLRLLPVEVRRLDIFNLILMNMDQIERASDKIA -QGVIIAYRDMQLERDEMYGYVNIARNLDGFQQINLEELMRTGDYAQITNMLLNNQPVALVGALPFITDSS -VISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWVPTSTTKVYKQVPQQFDFRNSMH -MLTSNLTFTVYSDLLAFVSADTVEPINAVAFDNMRIMNEL - ->sp|P17462.1|VP2_ROTBU RecName: Full=Inner capsid protein VP2 -MAYRKRGATVEADINNNDRMQEKDDEKQDQNNRMQLSDKVLSKKEEVVTDSQEEIKIRDEVKKSTKEESK -QLLEVLKTKEEHQKEIQYEILQKTIPTFEPKESILKKLEDIKPEQAKKQTKLFRIFEPRQLPIYRANGEK -ELRNRWYWKLKKDTLPDGDYDVREYFLNLYDQVLTEMPDYLLLKDMAVENKNSRDAGKVVDSETASICDA -IFQDEETEGAVRRFIAEMRQRVQADRNVVNYPSILHPIDYAFNEYFLQHQLVEPLNNDIIFNYIPERIRN -DVNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKELVSTEAQIQKMS -QDLQLEALTIQSETQFLTGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWLLTVVPNDMFI -RESLVACQLAIVNTIIYPAFGMQRMHYRNGDPQTPFQIAEQQIRKFSGSGIGWHFVNNNQFRQVVIDGVL -NQVLNDNIRNVHVIKQLMQALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLAYNYETLMA -CVTMNMQHVQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNVNVNFHSNYNERINDAVAIITAAN -RLNLYQKKMKAIVEDFLKRLHIFDVARVPDDQMYRLRDRLRLLPVEVRRLDIFNLILMNMDQIERASDKI -AQGVIIAYRDMQLERDEMYGYVNIARNLDGFQQINLEELMRTGDYAQITNMLLNNQPVALVGALPFVTDS -SVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWVPTSTTKVYKQVPQQFDFRNSM -HMLTSNLTFTVYSDLLAFVSADTVEPINAVAFDNMRIMNEL - ->sp|B2BMF8.1|VP2_ROTW3 RecName: Full=Inner capsid protein VP2 -MAYRKRGARREANINNNDRMQEKDDEKQDQNNRMQLSDKVLSKKEEVVTDNQEEIKIADEVKKSTKEESK -QLLEVLKTKEEHQKEIQYEILQKTIPTFEPKESILKKLEDIKPEQAKKQTKLFRIFEPRQLPIYRANGEK -ELRNRWYWKLKKDTLPDGDYDVREYFLNLYDQVLTEMPDYLLLKDMAVENKNSRDAGKVVDSETASICDA -IFQDEETEGAVRRFIAEMRQRVQADRNVVNYPSILHPIDYAFNEYFLQHQLVEPLNNDIIFNYIPERIRN -DVNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKELVSTEAQIQKMS -QDLQLEALTIQSETQFLTGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWLLTVVPNDMFI -RESLVACQLAIVNTIIYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFVNNNQFRQVVIDGVLN -QVLNDNIRDGHVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLAYNYETLMAC -VTMNMQHVQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNVNVNFHSNYNERINDAVAIITAANR -LNLYQKKMKAIVEDFLKRLHIFDVARVPDDQMYRLRDRLRLLPVEVRRLDIFNLILMNMDQIERASDKIA -QGVIIAYRDMQLERDEMYGYVNIARNLDGFQQINLEELMRTGDYAQITNMLLNNQPVALVGALPFVTDSS -VISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWVPTSTTKVYKQVPQQFDFRNSMH -MLTSNLTFTVYSDLLAFVSADTVEPINAVAFDNMRIMNEL - ->sp|B3F2X6.1|VP2_ROTTU RecName: Full=Inner capsid protein VP2 -MAYRKRGARRETNLKQDDRMQEKEENKNITNSIENKSTAKTQLSEKVLSQKEEIITDNQEEVKIADEVRK -SNKEESKQLLEVLKTKEEHQKEVQYEILQKTIPTFEPKESILKKLEDIKPEQAKKQTKLFRIFEPKQLPI -YRANGERELRNRWYWKLKRDTLPDGDYDVREYFLNLYDQVLTEMPDYLLLKDMAVENKNSRDAGKVVDSE -TAAICDAIFQDEETEGVVRRFIAEMRQRVQADRNVVNYPSILHPIDHAFNEYFLQHQLVEPLNNDIIFNY -IPERIRNDVNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKELVSTE -AQIQKMSQDLQLEALTIQSETQFLTGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWLLTV -VPNDMFIRESLVACQLAIINTIIYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFVNNNQFRQV -VIDGVLNQVLNDNIRNGHVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLAYN -YETLMACITMNMQHMQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNVNVNFHSNYNERINDAVA -IITAANRLNLYQKKMKSIVEDFLKRLQIFDVSRVPDDQMYRLRDRLRLLPVEIRRLDIFNLILMNMEQIE -RASDKIAQGVIIAYRDMQLERDEMYGYVNIARNLDGFQQINLEELMRTGDYAQITNMLLNNQPVALVGAL -PFITDSSVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWIPTSTTKVYKQVPQQF -DFRASMHMLTSNLTFTVYSDLLAFVSADTVEPINAVAFDNMRIMNEL - ->sp|A7J391.1|VP2_ROTHS RecName: Full=Inner capsid protein VP2 -MAYRKRGARREANLNNNDRMQEKNDEKQDSNKIQLSDKVLSKKEEVVTDSHEEVKITDEVKKSTKEESKQ -LLEVLKTKEEHQKEIQYEILQKTIPTFEPKETILRKLEDIKPELAKKQTKLFRIFEPKQLPIYRANGERE -LRNRWYWKLKKDTLPDGDYDVREYFLNLYDQVLTEMPDYLLLKDMAVENKNSRDAGKVVDSETASICDAI -FQDEETEGAVRRFIAEMRQRVQADRNVVNYPSILHPIDYAFNEYFLQHQLVEPLNNDIIFNYIPERIRND -VNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKELVSTEAQIQKMSQ -DLQLEALTIQSETQFLTGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWLLTVVPNDMFIR -ESLVACQLAIVNTIIYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFVNNNQFRQAVIDGVLNQ -VLNDNIRNGHVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLAYNYETLMACI -TMNMQHVQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNVNVNFHSNYNERINDAVAIITAANRL -NLYQKKMKAIVEDFLKRLYIFDVSRVPDDQMYRLRDRLRLLPVEIRRLDIFNLILMNMDQIERASDKIAQ -GVIIAYRDMHLERDEMYGYVNIARNLEGFQQINLEELMRSGDYAQITNMLLNNQPVALVGALPFITDSSV -ISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWVPTSTTKVYKQVPQQFDFRNSMHM -LTSNLTFTVYSDLLAFVSADTVEPINAVAFDNMRIMNEL - ->sp|B1NKT0.1|VP2_ROTHP RecName: Full=Inner capsid protein VP2 -MAYRKRGVKREDLPQQNERLQEKEIENNTDVTMENKDKNKNKNNNRKQQLSDKVLSQKEEIITDVQDDIK -IADEVKKSSKEESKQLLEILKTKEDHQKEVQYEILQKTIPTFEPKESILKKLEDIRPEQAKKQMKLFRIF -EPRQLPIYRANGEKELRNRWYWKLKKDTLPDGDYDVREYFLNLYDQILIEMPDYLLLKDMAVENKNSRDA -GKVVDSETASICDAIFQDEETEGVIRRFIADMRQQVQADRNIVNYPSILHPIDHAFNEYFLNHQLVEPLN -NEIIFNYIPERIRNDVNYILNMDMNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDL -KEKELVSTEAQIQKMSQDLQLEALTIQSETQFLAGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSL -ISGMWLLTVIPNDMFLRESLVACELAIINTIVYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHF -INNNRFRQVVIDGVLNQTLNDNIRNGQVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLV -DLTRLLSYNYETLMACITMNMQHVQTLTTEKLQLTSVTSLCMLIGNTTVIPSPQTLFHYYNVNVNFHSNY -NERINDAVAIITAANRLNLYQKKMKSIVEDFLKRLQIFDVPRVPDDQMYRLRDRLRLLPVERRRLDIFNL -ILMNMEQIERASDKIAQGVIIAYRDMQLERDEMYGFVNIARNLDGYQQINLEELMRTGDYGQITNMLLNN -QPVALVGALPFVTDSSVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWIPTSTTK -VYKQVPQPFDFRASMHMLTSNLTFTVYSDLLSFVSADTVEPINAIAFDNMRIMNEL - ->sp|A4ZCW5.1|VP2_ROTH3 RecName: Full=Inner capsid protein VP2 -MAYRKRGARRETNLKQDDRMQEKEENKSTNSVIENKNGTKTQLSEKVLSQKEEVITDNQEETRIADEVKK -SNKEESKQLLEVLKTKEEHQKEVQYEILQKTIPTFEPKESILKKLEDIKPEQAKKQTKLFRIFEPKQLPI -YRANGEKELRNRWYWKLKRDTLPDGDYDVREYFLNLYDQVLTEMPDYLLLKDMAVENKNSRDAGKVVDSE -TAAICDAIFQDEETEGVVRRFIAEMRQRVQADQNVVNYPSILHPIDHAFNEYFLQHQLVEPLNNDIIFNY -IPERIRNDVNYILNMDRNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKELVSTE -AQIQKMSQDLQLEALTIQSETQFLTGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWLLTV -IPNDMFIRESLVACQLAIINTIIYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFVNNNQFRQV -IIDGVLNQVLNDNIRNGHVVNQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLLAYN -YETLMACITMNMQHVQTLTTEKLQLTSVTSLCMLIGNATVIPSPQTLFHYYNVNVNFHSNYNERINDAVA -IITAANRLNLYQKKMKSIVEDFLKRLQIFDVSRVPDDQMYRLRDRLRLLPVEIRRLDIFNLILMNMEQIE -RASDKIAQGVIIAYRDMQLERDEMYGYVNIARNLDGFQQINLEELMRTGDYAQITNMLLNNQPVALVGAL -PFITDSSVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWVPTSTTKVYKQVPQQF -DFRASMHMLTSNLTFTVYSDLLAFVSADTVEPINAVAFDNMRIMNEL - ->sp|B1NKR4.1|VP2_ROTAD RecName: Full=Inner capsid protein VP2 -MAYRKRGAKRENLPQQNERLQEKEIEKDVDVTMENKNNNRKQQLSDKVLSQKEEIITDAQDDIKIAGEIK -KSSKEESKQLLEILKTKEDHQKEIQYEILQKTIPTFESKESILKKLEDIRPEQAKKQMKLFRIFEPKQLP -IYRANGEKELRNRWYWKLKKDTLPDGDYDVREYFLNLYDQILIEMPDYLLLKDMAVENKNSRDAGKVVDS -ETANICDAIFQDEETEGVVRRFIADMRQQVQADRNIVNYPSILHPIDHAFNEYFLNHQLVEPLNNEIIFN -YIPERIRNDVNYILNMDMNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYILARSVVPDLKEKELV -STEAQIQKMSQDLQLEALTIQSETQFLAGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGMWL -LTVIPNDMFLRESLVACELAIINTIVYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFTNNNRF -RQVVIDGVLNQTLNDNIRNGQVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTRLV -SYNYETLMACVTMNMQHVQTLTTEKLQLTSVTSLCMLIGNTTVIPSPQTLFHYYNVNVNFHSNYNERIND -AVAIITAANRLNLYQKKMKSIVEDFLKRLQIFDVPRVPDDQMYRLRDRLRLLPVERRRLDIFNLILMNME -QIERASDKIAQGVIIAYRDMQLERDEMYGYVNIARNLDGYQQINLEELMRTGDYGQITNMLLNNQPVALV -GALPFVTDSSVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWIPTSTTKVYKQVP -QPFDFRASMHMLTSNLTFTVYSDLLSFVSADTVEPINAVAFDNMRIMNEL - ->sp|Q9QNB2.1|VP2_ROTHK RecName: Full=Inner capsid protein VP2 -MAYRKRGAKREDLSQQHERLQEKEIENNTDVTMENKNNNNNRKQRLSDKVLSQKEEIITDVQDDIKIADE -VKKSSKEESKQLLEILKTKEEHQKEVQYEILQKTIPTFEPKESILKKLEDIRPEQAKKQMKLFRIFEPRQ -LPIYRTNGEKELRNRWYWKLKKDTLPDGDYDVREYFLNLYDQILIEMPDYLLLKDMAVENKNSRDAGKVV -DSETANICDAIFQDEETEGVIRRFIADMRQQVQSDRNIVNYPSILHPIDHAFNEYFLNHQLVEPLNNEII -FNYIPERIRNDVNYILNMDMNLPSTARYIRPNLLQDRLNLHDNFESLWDTITTSNYVLARSVVPDLKEKE -LVSTEAQIQKMSQDLQLEALTIQSETQFLAGINSQAANDCFKTLIAAMLSQRTMSLDFVTTNYMSLISGM -WLLTVIPNDMFLRESLVACELAIINTIVYPAFGMQRMHYRNGDPQTPFQIAEQQIQNFQVANWLHFINNN -RFRQVVIDGVLNQTLNDNIRNGQVINQLMEALMQLSRQQFPTMPVDYKRSIQRGILLLSNRLGQLVDLTR -LVSYNYETLMACITMNMQHVQTLTTEKLQLTSVTSLCMLIGNTTVIPSPQTLFHYYNVSVNFHSNYNERI -NDAVAIITAANRLNLYQKKMKSIVEDFLKRLQIFDVPRVPDDQMYRLRDRLRLLPVERRRLDIFNLILMN -MEQIERASDKIAQGVLIAYRDMQLERDEMYGFVNIARNLDGYQQINLEELMRTGDYGQITNMLLNNQPVA -LVGALPFVTDSSVISLIAKLDATVFAQIVKLRKVDTLKPILYKINSDSNDFYLVANYDWIPTSTTKVYKQ -VPQPFDFRASMHMLTSNLTFTVYSDLLSFVSADTVEPINAIAFDNMRIMNEL - diff --git a/seq/clusters_seq/cluster_34 b/seq/clusters_seq/cluster_34 deleted file mode 100644 index 8dcc435..0000000 --- a/seq/clusters_seq/cluster_34 +++ /dev/null @@ -1,469 +0,0 @@ ->YP_009551538.1 VP7 protein [Bat rotavirus] -MYGIEYTTVLTLLISILLVNQILRRIAITMDFIIYRFLLLIVICTPLVRGQNYGINLPITGSMDTAYANS -TQEEIHLTSTLCLYYPTEAKTEINDNSWTDTISQLLLTKGWPTGSVYFKEYTDIATFSIDPQLYCDYNLV -LMKYDANLQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGTSCTIKVCPLNTQTLGIGCVTT -NTATFEEVATAEKLVITDVVDGVNHKLDVTTSTCTIRNCKKLGPRENVAVIQVGGADVLDITADPTTKPQ -NERMMRVNWKKWWQVFYTVVDYVNQIIQAMSKRSRSLNTAAFYYRV - ->YP_008145315.1 VP7 [Rotavirus F chicken/03V0568/DEU/2003] -MFAMLNDLVILIRNIVILIAILRFFGYAEITENVDVELSDTAIADRRGTVCLYVPNKAATELAKNNAKPT -WQEILNQLFITQGIPIGDVKYILYTEVNELFQSKSRCNYNLYVVHGPDDLSYTAQLLLNKWECTDYNFNV -YYYEQQERHYLKFKSSGTNCVAVACPIDSTTLGIGCVSTDVKTYESVTQHDAFIDLIDQQKYRMNITGTQ -AINCYYQDCKLVGPDYSSYLIVASTESYFRNVDGDLDPLTQAGARMSRINFKQWWQVFYQIVQMINNIIN -QMTKTGTYYAYNLYR - ->YP_003896054.1 VP7 [Rotavirus D chicken/05V0049/DEU/2005] -MTRCSLHMHNYLVNSLICLILVLLIKRVVNWLFYMLKSLTMDLVIIALALSLVAPTTYANDCVDDSLADY -KYNTICMFHPDITESDWQNILNQLLLSKGIPLGSMIYVKYTSIEEIITGDKFYCDYNIVLVDASSYTNDQ -YSNLDQVVDMLMYNYDCINMDVNLYYYSQQKDELWLASGSCEVKVCPLNQQTLGIGCNIADSSTYATLTT -ANDKFEIIDVINDINYKINITTTSCSIKRCYKQSERTNVAVIQIGGYNVYDISESPVTYRSNNRLMRVNW -KKWWAIFYQIVDFVNDILTTMVRQSHNNGIYRVVRQ - ->YP_002302222.1 VP7 [Rotavirus A] -MYGIEYTTVLTFLISIILLNYILKSLTRIMDFIIYRFLFIIVILSPFLRAQNYGINLPITGSMDTAYANS -TQEETFLTSTLCLYYPTEAATEINDNSWKDTLSQLFLTKGWPTGSVYFKEYTNIASFSVDPQLYCDYNVV -LMKYDATLQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DATTFEEVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGSDILDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVDQIIQVMSKRSRSLNSAAFYYRV - ->YP_392513.1 outer capsid protein [Rotavirus C] -MVCTTLYTVCAILFILFIYILLFRKMFHLITDTLIVILILSNCVEWSQGQMFTDDIYYNGNVETIINSTD -PFNVESLCIYFPNAVVGSQGPGKSDGHLNDGNYAQTIATLFETKGFPKGSIILKTYTQTSDFINSVEMTC -SYNIVIIPDSPNDSESIEQIAEWILNVWRCDDMNLEIYTYEQIGINNLWAAFGSDCDISVCPLDTTSNGI -GCSPASTETYEVVSNDTQLALINVVDNVRHRIQMNTAQCKLKNCIKGEARLNTALIRISTSSSFDNSLSP -LNNGQTTRSFKINAKKWWTIFYTIIDYINTIVQAMTPRHRAIYPEGWMLRYA - ->sp|P12476.2|VP7_ROTRH RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISLILLNYILKSLTRMMDFIIYRFLFIVVILSPLLKAQNYGINLPITGSMDTAYANS -TQEETFLTSTLCLYYPTEAATEINDNSWKDTLSQLFLTKGWPTGSVYFKEYTDIASFSVDPQLYCDYNVV -LMKYDATLQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTATFEEVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGSDVLDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQAMSKRSRSLNSAAFYYRI - ->sp|B3SRX9.1|VP7_ROTWI RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISIILLNYILKSLTSAMDFIIYRFLLLIVIVSPFVKTQNYGINLPITGSMDTAYANS -SQLDTFLTSTLCLYYPAEASTQIGDTEWKNTLSQLFLTKGWPTGSVYFKEYTDIASFSIDPQLYCDYNVV -LMKYDSTLKLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWIAMGQSCTIKVCPLNTQTLGIGCTTT -NTATFEEVAASEKLVITDVVDGVNHKLDVTTTTCTIRNCRKLGPRENVAIIQVGGSEVLDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYINQIVQVMSKRSRSLNSAAFYYRV - ->sp|B3SRQ7.1|VP7_ROTH6 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTTLTFLILLVLLNYILKSITRIMDYILYHFLLFIVIVTPFVNSQNYGINLPITGSMDTNYQNV -SNPEPFLTSTLCLYYPVEAETEIADSSWKDTLSQLFLTKGWPTGSVYLKSYTDIATFSINPQLYCDYNIV -LMKYNANSELDMSELADLILNEWLCNPMDIALYYYQQTDEANKWISMGDSCTIKVCPLNTQTLGIGCLTT -DTTTFEEVATAEKLVITDVVDGVNYKINVTTTTCTIRNCKKLGPRENVAVIQVGGSNILDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQTMSKRSRSLDSASFYYRI - ->sp|A8D8S8.1|VP7_ROTBC RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -LWNYILKSITRIMDYIIYRFLLIVVILATIINAQNYGVNLPITGSMDTAYANSTQSEPFLTSTLCLYYPV -EASNEIADTEWKDTLSQLFLTKGWPTGSVYLKEYADIAAFSVEPQLYCDYNLVLMKYDSTQELDMSELAD -LILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTVKVCPLNTQTLGIGCLITNPDTFETVATTEKLVIT -DVVDGVSHKLNVTTATCTIRNCKKLGPKENVAVIQVGGANILDITADPTTTPQTERMMAIIWKKWWQVVY -PVVDYVNQIIQTMSKRSRSLNSSAFYYRV - ->sp|A2T3M3.1|VP7_ROTAP RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTTLTFLILLILLNYILKSITRIMDYILYRFLLFVVIVTPFVNSQNYGINLPITGSMDTNYQNV -SNPEPFLTSTLCLYYPVEAETEIADSSWKDTLSQLFLTKGWPTGSVYLKSYTDIATFSINPQLYCDYNIV -LMKYNANSELDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGDSCTIKVCPLNTQTLGIGCLTT -DTTTFEEVATAEKLAITDVVDGVNYKINVTTTTCTIRNCKKLGPRENVAVIQVGGSNILDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQAMSKRSRSLDSAAFYYRI - ->sp|P10501.2|VP7_ROTHT RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLFYLISFVLVSYILKTIIKIMDYIIYRITFVIVVLSVLSNAQNYGINLPITGSMDTAYANS -TQDNNFLFSTLCLYYPSEAPTQISDTEWKDTLSQLFLTKGWPTGSVYFNEYSNVLEFSIDPKLYCDYNVV -LIRFVSGEELDISELADLILNEWLCNPMDITLYYYQQTGEANKWISMGSSCTVKVCPLNTQTLGIGCQTT -NTATFETVADSEKLAIIDVVDSVNHKLNITSTTCTIRNCNKLGPRENVAIIQVGGSNILDITADPTTSPQ -TERMMRVNWKKWWQVFYTVVDYINQIVQVMSKRSRSLDSSSFYYRV - ->sp|Q3ZK60.1|VP7_ROT41 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISFILLNYILKSLTRMMDFVIYRFLFVIVVLSPLLKAQNYGINLPITGSMDTAYANS -TQEETFLTSTLCLYYPTEAATEINDNSWKDTLSQLFLTKGWPTGSIYFREYTDIVSFSVDPQLYCDYNVV -LMKYDAALQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTATFEEVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGSDVLDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQLMSKRSRSLNSAAFYYRV - ->sp|Q9E779.1|VP7_ROT18 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILNSLILVVLLNYILKSVTRVMDYIIYRFLFVIVIVSLCAKAQNYGINLPITGSMDGAYTNT -TDDKPFLTSTLCIYYPTIASNDLADPDWKNTVSQLFLTKGWPMGSVYFNEYVNIAEFSINPQLFCDYNIV -LMKYESDLEMDMSELADLLLNEWLCNPMDVTLYYYQQTDEANKWISMGTSCTIKVCPLNTQTLGIGCLTT -DTSSFETVAVNEKLVITDVVDGVSHKLDVTNVTCTIRNCKKLGPRENVAVVQIGGANILDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYINQIVKVMSKRSRSLNSAAFYYRV - ->sp|Q98031.1|VP7_ROTKU RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIGNTTILTILISIILLNYILKTITNTMDYIIFRFLLLIALISPFVRTPNYGMYLPITGSLDAVYTNS -TSGESFLTSTLCLYYPTEAKNEISDDEWENTLSQLFLTKGWPTGSVYFKDYNDITTFSMNPQLYCDYNVV -LMRYDNTSELDASELADLILNEWLCNPMDISLHYYQQSSESNKWISMGTDCTVKVCPLNTQTLGIGCKTT -DVNTFEIVASSEKLVITDVVNGVNHKINISMSTCTIRNCNKLGPRENVAIIQVGGPNALDITADPTTVPQ -VQRIMRINWKKWWQVFYTVVDYINQIIQVMSKRSRSLDTAAFYYRI - ->sp|Q6L5Y4.1|VP7_ROTAM RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISVILLNYVLKSLTRIMDFIIYRFLLIIVILSPLLDAQNYGINLPITGSMDTPYTNS -TREEVFLTSTLCLYYPTEAATEINDNSWKDTLSQLFLTKGWPTGSIYFKDYTDIASFSVDPQLYCDYNLV -LMKYDATLQLDMSELADLLLNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTNTFEEVATAEKLVITDVVDGVNHKLKVTTDTCTIRNCKKLGPRENVAVIQVGGSDVLDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|Q96643.1|VP7_ROTBV RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILISLTSITLLNYILKSITRMMDYIIYRFLLIAVILATMINAQNYGVNLPITGSMDTAYANS -TQNEPFWTSTLCLYYPVEASNEMADTEWKDTLSQLFLTKGWPTGSVYFKEYTDIAAFSVEPQLYCDYNLV -LMKYDSTQELDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTVQVCPLNTQTLGIGCLIT -NPDTFETVATPEKLVITDVVDGVNHKLNVTTATCTIRNCEKLGPRENVAVIQVGGANILDITADPTTTPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQTMSKRSRSLNSSAFYYRV - ->sp|Q8JNB3.1|VP7_ROTW3 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLTSITLLNYILKSITRIMDYIIYRFLLMVVILATIINAQNYGVNLPITGSMDTAYANS -TQSEPFLTSTLCLYYPVEASNEIADTEWKDTLSQLFLTKGWPTGSVYFKEYADIAAFSVEPQLYCDYNLV -LMKYDSTQKLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCNVKVCPLNTQTLGIGCLIT -NPDTFETVATTEKLVITDVVDGVNHKLNVTTATCTIRNCKKLGPRENVAVIQVGGANILDITADPTTTPQ -TERMMRINWKKWWQCFYTVVDYVNQIIQTVSKRSRSLNSSAFYYRV - ->sp|Q86515.1|VP7_ROTRF RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLTSITLLNYMLKSITRIMDYIIYRFLLIVVILATIIKAQNYGVNLPITGSMDTAYADS -TQSEPFLTSTLCLYYPVEASNEIADTEWKDTLSQLFLTKGWPTGSVYLKEYADIAAFSVEPQLYCDYNLV -LMKYDSTQELDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISTGSSCTVKVCPLNTQTLGIGCLIT -NPDTFETVATTEKLVITDVVDGVNHKLNVTTATCTIRNCKKLGPRENVAVIQVGGANILDITADPTTTPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQTMSKRSRSLNSSAFYYRV - ->sp|Q86207.1|VP7_ROTHJ RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLISIILLNYILKSVTRIMDYIIYRFLLITIALFALTRAQNYGLNLPITGSMDTVYTNS -TQEEVFLTSTLCLYYPNEASTQINDGDWKDSLSQMFLTKGWPTGSVYFKEYSSIVDFSVDPQLYCDYNLV -LMKYDQSLELDMSELADLILNEWLCNPMDITLYYYQQSGESNKWISMGSSCTVKVCPLNTQTLGIGCQTT -NVDSFEMVAENEKLAIVDVVDGINHKINLTTTTCTIRNCKKLGPRENVAVIQVGGSNVLDITADPTTNPQ -TERMMRVNWKKWWQVFYTIVDYINQIVQVMSKRSRSLNSAAFYYRV - ->sp|Q85031.1|VP7_ROT46 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISLVFVNYILKSVTRAMDFIIYRFLLVIILLAPFIKTQNYGINLPITGSMDTPYMNS -TTSETFLTSTLCLYYPNEAATEIADTKWTETLSQLFLTKGWPTGSVYFKGYADIASFSVEPQLYCDYNIV -LMKYDGNLQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGTSCTIKVCPLNTQTLGIGCSTT -DINSFEIVANAEKLAITDVVDGVNHKLDVTTNTCTIRNCKKLGPRENVAVIQVGGPNILDITADPTTAPQ -TERMMRINWKRWWQVFYTIVDYVNQIVQVMSKRSRSLNSAAFYYRV - ->sp|Q83446.1|VP7_ROTME RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTALTFLISFLLLRYMLKSVVKMMDFIVYKFLLVILILSPYIKAQNYGINLPITGSMDTAYANS -TQSETFLTSTLCLYYPTEAATEISDNSWKDTLSQLFLMKGWPTGSVYFKEYANIAAFSIDPQLYCDYNVV -LMKYDASLQMDMSELADLILNEWLCNPMDITLYYYQQTDETNKWISMGSSCTIKVCPLNTQTLGIGCLTT -NANTFEEIATAEKLAITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAIIQVGGSDVIDITADPTTTPQ -TERMMRVNWKKWWQVFYTVVDYVNQIISAMSKRSRSLNSAAFYYRV - ->sp|Q83441.1|VP7_ROTMB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTALTFLISFLLLRYILKLVVKIMDFIVYRFLFVILILSPCIKAQNYGINLPITGSMDMAYANS -TQSETFLTSTLCLYYPKEAATEINDNSWKDTLSQLFMTKGWPTGSVYFKEYNDIAVFSIDPQLYCDYNVV -LMKYDASLQMDMSELADLILNEWLCNPMDITLYYYQQTDETNKWISMGSSCTIKVCPLNTQTLGIGCLTT -DATTFEEIATAEKLAITDVVDGVNHKLNVTTTTCTIRNCKKLGPRENVAVIQIGGSDVIDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIISAMSKRSRSLNSAAFYYRV - ->sp|Q80IG9.1|VP7_ROTB9 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYSTECTILLIEIIFYFFAAVVVYDAIHKMANSPIFCIAVLAVVFAVSPKCFAQNYGINVPITGSLDVAV -PNKTDDQIGLTSSLCIYYPNEAEIEINDNEWKNTVAQLLLTKGWPTTSVYLNGYADLQSFSNNPQLNCDY -NIVLVKYDQNAGLDMSELAELLLYEWLCNEMDVNLYYYQQTSEANKWIAMGSDCTIKVCPLNTQTLGIGC -QTTDVATFEQLTATEKLAIIDVVDGVNHKVNYTIATCTLKNCIRLNQRENVAIIQVGGPEIIDVSEDPMI -VPKMIRATRINWKKWWQVFYTVVDYINTIIQAMSKRSRSLNTSTYFLRI - ->sp|Q07272.1|VP7_ROTH7 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTFLIYLISIILFNYILKSITRMMDYIIYKFLLIITITSIFDSAQNYGINLPITGSMDVSYVNA -TKDEPFLTSTLCLYYPTEARTEINDNEWTSTLSQLFLTKGWPTGSVYFKEYDDIPTFSVDPQLYCDYNIV -LMRYNSSLKLDMSELANLILNEWLCNPMDITLYYYQQTDEANKWIAMGQSCTIKVCPLNTQTLGIGCQTT -NTGTFEEVATAEKLVITDVVDGVNHKLDVTTASRTIRNCKKLGPRENVAVIQIGGADILDITSDPTTTPQ -TERMMRINWKKWWQVFYTIVDYVNQIVQTMSKRSRSLDSAAFYYRV - ->sp|O42044.1|VP7_ROTH3 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISVILLNYVLKSLTRIMDFIIYRFLLIIVILSPFLNAQNYGINLPITGSMDTPYTNS -TREEVFLTSTLCLYYPTEAATEINDNSWKDTLSQLFCTKGWPTGSIYFKDYTNIASFSVDPQLYCDYNLV -LMKYDATLQLDMSELADLLLNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTNTFEEVATAEKLVITDVVDGVNHKLNVTTNTCTIRNCKKLGPRENVAVIQVGGSDILDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRI - ->sp|O39733.1|VP7_ROTYO RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISVILLNYVLKSLTRIMDFIIYRFLLIIVILSPFLNAQNYGINLPITGSMDTPYTNP -TREEVFLTSTLCLYYPTEAATEINDNSWEDTLSQLFLTKGWPTGSIYFKAYTNIASFSVDPQLYCDYNLV -LMKYDATLQLDMSELADLLLNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTNTFEEVATADKLVITDVVDGVNHKLNVTTNTCTIRNCKKLGPRENVAVIQVGGSDILDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|O39731.1|VP7_ROTHO RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISVILLNYVLKSLTRIMDFIIYRFLLIIVILSPFLNAPNYGINLPITGSMDTPCTNS -TREEVFLTSTLCWYYPTEAATEINDNSWHGTLSQLFLTKGWPTGSIYFKAYTNIASFSVDPQLYCDYNLV -LMKYDATLQLDMSELADLLLNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTNTFEEVATAEKLVITDVVDGANHKLNVTTNTCTIRNCKKLGPRENVAVIQVGGSDILDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFTNRI - ->sp|O10695.1|VP7_ROTD9 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTFLISFIFLNYMLKSLTRMMDFIIYRFLFIIVILSPLIKAQNYGINLPITGSMDADYANS -TQEETFLTSTLCLYYPTEAASEINDNSWKDTLSQLFLTKGWPTGSVYFKEYTDIASFSVDPQLYCDYNIV -LMKYDAALQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DVSTFEEVATTEKLVITDVVDGVNHKLDVTTTTCTIRNCKKLGPRENVAVIQVGGSDILDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQAMSKRSRSLNSAAFYYRV - ->sp|Q9IPD4.1|VP7_ROTEO RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISFILLNYILKSLTIMMDFIIYRFLFIIVVLPPLLNAQNYGINLPITGSMDTAYANS -TQEETFLTSTLCLYYPTEAVAEINDNSWKDTISQLFLTKGWPTGSVYFKEYTDIASFSVDPQLYCDYNVV -LMKYNETLQLDMSELADLILNEWLCNPMDINLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTTTFEEVATAEKLVITDVVDGVNHKLDVTTSTCTIRNCKKLGPRENVAVIQIGGSDILDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQAMSKRSRSLNSAAFYYRV - ->sp|Q04840.1|VP7_ROTHX RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLISIILLNYILKSVTRIMDYIIYRSLLIYVALFALTRAQNYGLNLPITGSMDTVYANS -TQEGIFLTSTLCLYYPTEASTQINDGEWKDSLSQMFLTKGWPTGSVYFKEYSNIVDFSVDPQLYCDYNLV -LMKYDQNLELDMSELADLILNEWLCNPMDITLYYYQQSGESNKWISMGSSCTVKVCPLNTQTLGIGCQTT -NVDSFEMVAENEKLAIVDVVDGINHKINFTTTTCTIRNCKKLGPRENVAVIQVGGSNVLDITADPTTNPQ -TERMMRVNWKKWWQVFYTIVDYINQIIQVMSKRSRSLNSAAFYYRV - ->sp|Q07156.1|VP7_ROTHU RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISIILLNYILKSVTSAMDFIIYRFLLIIVVVSPFVKTQNYGINVPITGSMDTAYTNS -SQQETFLTSTLCLYYPIEASTQIGDTEWKGTLSQLFLTKGWPTGSVYFKEYTDIASFSIDPQFYCDYNVV -LVKYNSTLELDMSELADLILNEWLCNPMDIALYYYQQTNEANKWISMGQSCTIKVCPLNTQTLGIGCTTT -NTATFEEVATNEKLVITDVVDGVNHKLDVTTNTCTIRNCRKLGPRENVAKLQVGGSEVLDITADPTTTPQ -TERMMQINWKKWWQVFYTVVDYINQIVQVMSKRSRSFNSAAFYYRI - ->sp|Q08779.1|VP7_ROTHQ RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTFLIYLISIILFNYILKSITRMMDYIIYKFLLIVTIASIFISAQNYGINLPITGSMDVAYVNT -TKDKPFLTSTLCLYYPTEARTEINDNEWTSTLSQLFLTKGWPTGSIYFKEYDDIATFSVDPQLYCDYNIV -LMRYNSSLELDMSELANLILNEWLCNPMDVTLYYYQQTDEANKWIAMGQSCTIKVCPLNTQTLGIGCQTT -NTRTFEEVATAEKLVITDVVDGVNHKLDVTTTTCTIRNCKKLGPRENVAVIQVGGADILDITSDPTTTPQ -TERMMRINWKKWWQVFYTIVDYVNQIVQAMSKRSRSLDSAAFYYRV - ->sp|Q03874.1|VP7_ROTE2 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIECTTILTFLISLILLNYILQLLTRIMDFIIYRFLFIIVFLSPFLKAQNYGINLPISGSMDTAYVNS -TQENIFLTSTLCLYYPTEAATQIDDSSWKDTISQLFLTKGWPAGSVYLKEYTDITSFSIDPQLYCDYNVV -LMKYDEALQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -NVATFEEVATSEKLVIKDVVDGVDHKVECTTTTCTIRNCKKLGPRENVAIIQVGGSDILDITADPTTAPQ -IARMMRINWKKWWQVFYTVVDYINQIVQVMSKRSRSLDSAAFYYRI - ->sp|P36357.1|VP7_ROTA1 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYSTECTILLIEIIFYFLAAIILYDMLHKMANSPLLCIAVLTVTLAVTSKCYAQNYGINVPITGSMDVAV -PNKTDDQIGLSSTLCIYYPKEAATQMNDAEWKSTVTQLLLAKGWPTTSVYLNEYADLQSFSNDPQLNCDY -NIILAKYDQNETLDMSELAELLLYEWLCNPMDVTLYYYQQTSESNKWIAMGSDCTIKVCPLNTQTLGIGC -KTTDVSTFEELTTTEKLAIIDVVDGVNHKANYTISTCTIKNCIRLDPRENVAIIQVGGPEIIDISEDPMV -VPHVQRATRINWKKWWQIFYTVVDYINTIIQAMSKRSRSLNTSAYYFRV - ->sp|P33492.1|VP7_ROTH9 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTFLISLIFINYIFKTITSTMDFIIYRFLFVMVVLPPFVKTQNYGVNLPITGSMDTPYINS -TVSESFLTSTLCIYYPNDVTNQITDNKWKDTLSQLFLTKGWSTGSVYFKEYADLASFSVNPRLYCDYNIV -LMKYDGNSQLDMSELADLILNEWLCNPMDVKLYYYQQTDEANKWISMGDSCTIKVCPLNSQTLGIGCSTT -DPTTFEEVASIEKLVITDVVDGINHKLDVTTATCTIRNCKKLGPRENVAIIQVGGSNILDITADPTTAPQ -TERMMRINWKKWWQVFYTIVDYVNQIVQVMSKRSRSLNSAAFYYRV - ->sp|P32549.1|VP7_ROTPM RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLFYLISFVFVSYILKTVTKIMDFIIYRITFIIIVLSTLSNAQNYGINLPITGSMDTAYANS -TQNDNFLSSTLCLYYPTEARTQINDNEWKDTLSQLFLTKGWPTGSVYFNEYSNILEFSIDPKLYCDYNVV -LIKFTSGQELDISELADLILNEWLCNPMDITLYYYQQTGEANKWISMGSSCTVKVCPLNTQTLGIGCQTT -NVATFETVADSEKLAIVDVVDSVNHKLDVTSTTCTIRNCNKLGPRENVAIIQVGGSNILDITADPTTSPQ -IERMMRVNWKKWWQVFYTVVDYINQIVQVMSKRSRSLDSSSFYYRV - ->sp|P32548.1|VP7_ROTPB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLFYLISFVFVSYILKTITKIMDYIIYRITFIIVVLSILSNAQNYGINLPITGSMDTTYANS -TQNDNFLSSTLCLYYPTEARTQINDNEWKDTLSQLFLTKGWPTGSVYFNEYSNVLEFSIDPKLYCDYNVV -LIKFVSGEELDISELADLILNEWLCNPMDITLYYYQQTGEANKWISMGSSCTVKVCPLNTQTLGIGCQTT -NVNTFETVADSEKLAIVDVVDSVNHKLDVTSTTCMIRNCNKLGPRENVAIIQVGGSNILDITADPTTSPQ -TERMMRVNWKKWWQVFYTVVDYINQIVQVMSKRSRSLDSSSFYYRV - ->sp|P32547.1|VP7_ROTP6 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYSTILTFLISLVFINYLLKSVTRTMDFIIYRFLMIVVLLAPIIKAQNYGINLPITGSMDTPYTNS -TMSETFLTSTLCLYYPNEAATEIADTKWKETLSQLLLTKGWPTGSVYFKGYADIASFSVEPQLYCDYNIV -LMKYDANLQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCSTT -DTNSFETVANAEKLVITDVVDGVNHKLDVTTNTCTIRNCKKLGPRENVAVIQVGGSNVLDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|P32546.1|VP7_ROTP3 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISVILLNYILKSLTRIMDFIIYRFLFVIVILLPLLSAQNYGINLPITGSMDTPYINS -TQGEVFLTSTLCLYYPTEAATEINDNSWKDTLSQLFLTKGWPTGSVYFKDYTDIASFSVDPQLYCDYNLV -MMKYDATLQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTNTFEEVATAEKLAITDVVDGVNHKLSVTTNTCTIRNCKKLGPRENVAVIQVGGSDILDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|P32545.1|VP7_ROTP2 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISVILLNYILKSLTRIMDFIIYRFLFVIVILSPFLNAQNYGINLPITGSMDTPYINS -TQEEMFLTSTLCLYYPTEADTEISDNSWKDTLSQLFLTKGWPTGSVYFKDYTDIASFSVDPQLYCDYNLV -MMKYDAALQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTNTFEEVATAEKLAITDVVDGVNHKLSVTTNTCTIRNCKKLGPRENVAVIQVGGSDILDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQVMSKRSRSLNSAAFYYRV - ->sp|P31632.1|VP7_ROTBJ RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLVSIILINYILKSITRIMDYIIYRFLFVVVLMAIVTSAQNYGVNLPITGSMDTAYANS -TQNEPFLTSTLCLYYPIEASNEIADTEWRNTLSQLFLTKGWPTGSVYFKEYADIAAFSVEPQLYCDYNIV -LMKYDSTLELDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCSTT -NPDTFETVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGANILDITADPTTAPQ -TERMMRVNWKKWWQVFYTVVDYVNQIIQAMSRRSRSLNSAAFYYRV - ->sp|P29821.1|VP7_ROTCC RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYSTKCTNFFLEIIFYVIFCTLFLLVLEKMSKLLSWIVIVCLFVFAISSKCSAQNYGINVPITGSMDVVL -ANSTQDQIGLTSTLCIYYPKAADTEIADPEWKATVTQLLLTKGWPTTSVYLNEYQDLVTFSNDPKLYCDY -NIVLAHYTNDVALDISELAEFLLYEWLCNPMDVTLYYYQQTSEPNKWIAMGTNCTIKVCPLNTQTLGIGC -QTTNTDTFEILTMSEKLAIIDVVDGVNHKVDYTVATCKINNCIRLNPRENVAIIQVGGPEVLDISENPMV -IPKVSRMTRMNWKKWWQVFYTIVDYINTIITTMSKRSRSLDVSSYYYRV - ->sp|P30210.1|VP7_ROTBT RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTFLISIILLNYILKSTTQMMDYIIYRFLLITVTLFALTKAQNYGINLPITGSMDTAYANS -TKEETFMTSTLCLYYPVEASNQINDGEWKDTLSQMFLTKGWPTGSVYFKEYTNIVDFSVDPQLYCDYNLV -LMKYDQNLELDMSELADLILNEWLCNPMDITLYYYQQTGESNKWISMGSSCTVKVCPLNTQTLGIGCQTT -QCGSFEIVAENEKLAIVDVVDGINHKINLTTTTCTIRNCKKLGPRENVAVIQVGGSNILDITADPTTNPQ -IERIMRVNWKEWWKVFYTIVDYINQIVQVMSKRSRSLNSAGFYYRV - ->sp|P30217.1|VP7_ROTPC RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MVCTTLYTVCVILCILFIYMLLFRKMFHLIADALVITLIISNCIGWSQGQMFIDDIHYNGNVETIVNATD -PFDVRSLCIYFPNAVVGSQGPGKTDGYLNDGNYAQTIAALFETKGFPRGSIVLKTYTKVSDFVDSVEMTC -SYNIVIIPDSPTNSESIERIAEWILNVWRCDDMNLDIYTYEQTGIDNLWAAFGSDCDVSVCPLDTTMNGI -GCSPASTETYEVLSNDTQLALLNVVDNVKHRIQMNTASCKLKNCIKGEARLNTALIRISTSSSFDNSLSP -LNDGQTTRSFKINAKKWWTIFYTIIDYINTIIQTMTPRHRAIYPEGWMLRYA - ->sp|P17466.1|VP7_ROTPY RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTXLISLIFITYILKSITRTMDFIIYRFLFVIVVLAPFVKTQNYGINLPITGSMDTPYMNS -TMSETFLTSTLCLYYPHEAATQIADDKWKDTLSQLFLTKGWSTGSVYFKEYTDIASFSVDPQLYCDYNIV -LMKYDGNSQLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGNSCTIKVCPLNTQTLGIGCLTT -DPTTFEEVASAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGSNILDITADPTTAPQ -TERMMRINWKKWWQVFYTIVDYVNQIVQVMSKRSRSLNSAAFYYRI - ->sp|P27423.1|VP7_ROTPK RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLFYLISFILVSYILKTITKIMDYLIYRITFIIVVLSMLSNAQNYGINLPITGSMDIAYANS -TQNDNFLSSTLCLYYPTEASTQISDNEWKDTLSQLFLTKGWPTGSVYFNEYSNVLEFSIDPKLYCDYNIV -LIRFTSGEELDISELADLILNEWLCNPMDITLYYYQQTGEANKWISMGSSCTVKVCPLNTQTLGIGCQTT -NATTFETVADREKLAIVDVVDGVNHKLDVTSTTCTIRNCNKLGPRENVAIIQVGGSNILDITADPTTSPQ -TERMMRVNWKKWWQVFYTVVDYINQIVQVMSKRSRSLDSSSFYYRV - ->sp|P08406.1|VP7_ROTP5 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISLVFVNYILKSVTRTMDFIIYRFLLVIVVLAPLIKAQNYGINLPITGSMDTPYMNS -TTSETFLTSTLCLYYPNEAATEIADTKWTETLSQLFLTKGWPTGSVYFKGYADIASFSVEPQLYCDYNIV -LMKYDGNLQLDMSELAGLILNEWLCNPMDIMLYYYQQTDEANKWISMGTSCTIKVCPLNTQTLGIGCSTT -DINSFETVANAEKLAITDVVDGVNHKLDVTTSTCTIRNCKKLGPRENVAVIQVGGPNILDITADPTTAPQ -TERMMRINWKRWWQVFYTIVDYVNQIVQVMSKRSRSLDSAAFYYRV - ->sp|P09365.1|VP7_ROTPG RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLLYLISFVLMSYILKTITKMMDYIIYRITFIIVVLSVLSNAQNYGINLPITGSMDTAYANS -TQDNNFLSSTLCLYYPSEAPTQINDNEWKDTLSQLFLTKGWPTGSVYFNEYSNVLEFSIDPKLHCDYNIV -LIRFASGEELDISELADLILNEWLCNPMDITLYYYQQTGEANKWISMGSSCTVKVCPLNTQTLGIGCQTT -NTATFETVADSEKLAIVDVVDSVNHKLDVTSTTCTIRNCNKLGPRENVAIIQVGGSNILDITANPTTSPQ -TERMMRVNWKKWWQVFYTVVDYINQIVQVMSKRSRSLDSSSFYYRV - ->sp|P03532.1|VP7_ROTHW RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLISIILLNYILKSVTRIMDYIIYRFLLITVALFALTRAQNYGLNLPITGSMDAVYTNS -TQEEVFLTSTLCLYYPTEASTQINDGDWKDSLSQMFLTKGWPTGSVYFKEYSNIVDFSVDPQLYCDYNLV -LMKYDQSLELDMSELADLILNEWLCNPMDVTLYYYQQSGESNKWISMGSSCTVKVCPLNTQTLGIGCQTT -NVDSFEMIAENEKLAIVDVVDGINHKINLTTTTCTIRNCKKLGPRENVAVIQVGGSNVLDITADPTTNPQ -TERMMRVNWKKWWQVFYTIVDYINQIVQVMSKRSRSLNSAAFYYRV - ->sp|P11856.1|VP7_ROTHV RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLFYLISFVLVSYILKTITKMMDYIIYRETFIIVVLSVLSNAQNYGINLPITGSMDTAYANS -TQNGNFLSSTLCLYYPSEAPTQISDNEWKDTLSQLFLTKGWPTGSVYFNEYSNVLDFSIDPKLYCDYNIV -LIKFASGEELDISELADLILNEWLCNPMDIALYYYQQTGEANKWISMGSSCTVKVCPLNTQTLGIGCQTT -NVATFEMVADSEKLAIVDVVDNVNHKLDITSTTCTIRNCKKLGPRENVAIIQVGGSNILDITADPTTSPQ -TERMMRVNWKKWWQVFYTVVDYINQIVQMMSKRSRSLDSSSFYYRV - ->sp|P04510.2|VP7_ROTHS RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTILISIILLNYILKTITNTMDYIIFRFLLLIALISPFVRTQNYGMYLPITGSLDAVYTNS -TSGESFLTSTLCLYYPAEAKNEISDDEWENTLSQLFLTKGWPTGSVYFKDYNDITTFSMNPQLYCDYNVV -LMRYDNTSELDVSELADLILNEWLCNPMDISLYYYQQNSESNKWISMGTDCTVKVCPLNTQTLGIGCKIT -DVDTFEIVASSEKLVITDVVNGVNHKINISISTCTIRNCNKLGPRENVAIIQVGGPNALDITADPTTVPQ -VQRIMRVNWKKWWQVFYTVVDYINQIIQVMSKRSRSLDTAAFYYRI - ->sp|P11854.1|VP7_ROTHP RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTVLTFLISVILLNYVLKSLTRIMDFIIYRFLLIIVILSPLLNAQNYGINLPITGSMDTPYTNS -TREEVFLTSTLCLYYPTEAATEINDNSWKDTLSQLFLTKGWPTGSVYFKDYTDIASFSVDPQMYCDYNLV -LMKYDATLQLDMSELADLLLNEWLCNPMDITLYYYQQTDEANKWISMGSSCTIKVCPLNTQTLGIGCLTT -DTNTFEEVATAEKLVITDVVDGVNHKLNVTTNTCTIRNCKKLGPRENVAVIQVGGSDVLDITADPTTMPQ -TERMMRVNWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|P11852.1|VP7_ROTHM RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLISVILLNYILKSVTRIMDYIIYRSLLITVALFALTRAQNYGLNLPITGSMDTIYANS -TREGIFLTSTLCLYYPTEASTQISDGEWKDSLSQMFLTKGWPTGSVYFKEYSSIVDFSVDPQLYCDYNLV -LMKYDQNLELDMSELADLILNEWLCNPMDITLYYYQQSGESNKWISMGSSCTVKVCPLNTQTLGIGCRTT -NVDSFEMVAENEKLAIVDVVDGINHKINLTTTTCTIRNCKKLGPRENVAVIQVGGSNVLDITADPTTNPQ -TERMMRVNWKRWWQVFYTIVDYINQIVQVMSKRSRSLNSAAFYYRV - ->sp|P21285.1|VP7_ROTHL RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTILISIVLLNYILKSITSMMDFIIYRFLLVFVIVLPFIKAQNYGINLPITGSMDTAYVNS -TQQESFMTSTLCLYYPNSVTTEITDPDWTHTLSQLFLTKGWPTNSVYFKSYADIASFSVNPQLYCDYNIV -LVQYQNSLALDVSELADLILNEWLCNPMDVTLYYYQQTDEANKWISMGDSCTVKVCPLNMQTLGIGCTTT -DVATFEEVANAEKLVITDVVDGVNHKINITLNTCTIQNCKKLGPRENVAIIQVGGSDIIDITADPTTIPQ -TERIMRINWKKWWQVFYTVVDYINQIVQVMSKRSRSLNSAAFYYRI - ->sp|P11851.1|VP7_ROTHH RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTILISIILLNYILKTITNTMDYIIFRFLLLIALISPFVRTQNYGMYLPITGSLDAVYTNS -TSGEPFLTSTLCLYYPTEAKNEISDDEWENTLSQLFLTKGWPIGSVYFKDYNDINTFSVNPQLYCDYNVV -LMRYDNTSELDASELADLILNEWLCNPMDISLYYYQQSSESNKWISMGTDCTVKVCPLNTQTLGIGCKTT -DVNTFEIVASSEKLVITDVVNGVNHKINISINTCTIRNCNKLGPRENVAIIQVGGPNALDITADPTTVPQ -VQRIMRINWKKWWQVFYTVVDYINQVIQVMSKRSRSLDAAAFYYRI - ->sp|P17071.1|VP7_ROTHB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTTLTFLILLVLLNYILKSITRIMDYILYTFLLFIVIVTPFVNSQNYGINLPITGSMDTNYQNV -SNPEPFLTSTLCLYYPVEAETEIADSSWKDTLSQLFLTKGWPTGSVYLKSYTDIATFSINPQLYCDYNIV -LMKYNANSELDMSELADLILNEWLCNPMDIALYYYQQSSESKQWISMGDSCTDKVCPLNTQTLRIGCLTT -DTTTFEEVATAEKLVITDVVDGVNYKINVTTTTCTIRNCKKLGPRENVAVIQVGGSFILDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQTMSKRSRSLDSASFYYRI - ->sp|P25187.1|VP7_ROTH4 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLISIILLNYIVKSVTRIMDYIIYRFLLISVALFALTRAQNYGINLPITGSMDIVYANS -TQEEIFLTSTLCLYYPTEASTQINDGEWKDSLSQMFLTKGWPTGSVYFKEYSSIVDFSVDPQLYCDYNLV -LMKYDQNLELDMSELADLILNEWLCNPMDITLYYYQQSGESNKWISMGSSCTVKVCPLNTQTLGIGCQTT -NVDSFEMVAENEKLAIVDVVDGINHKINLTTTTCTNRNCKKLGPRENVAVIQVGGSNVLDITADPTTNPQ -TERMMRVNWKKWWQVFYTIVDYINQIVQVMSKRSRSLNSAAFYYRV - ->sp|P25176.1|VP7_ROTEL RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILTFFVSFIILDYTIKTLTRAMDFIIYRFLLVVVILSPLLTAQNYGINLPITGSMDTAYANS -TQEENFLVSTLCLYYPNEVVSELNDDSWKNTLSQLFLTKGWPTGSVYFNEYSDIASFSINPQLYCDYNIV -VVKYSTELQLDISELANLILNEWLCNPMDITLYYYQQTDETNKWISTGTSCTVKVCPLNTQTLGIGCLTT -DTETFEEVATLEKLVITDVVDGVNHKINLTTATCTIRNCKKLGPRENVAIIQVGRSSTIDITADPTTMPQ -TERMMRINWKKWWQVFYTIVDYVNQIIQVMSKRSRSLDAAEFYYRV - ->sp|P03534.1|VP7_ROTBU RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLTSITLLNYILKSITRIMDYIIYRFLLIVVVLATMINAQNYGVNLPITGSMDTAYANS -TQSEPFLTSTLCLYYPVEASNEIADTEWKDTLSQLFLTKGWPTGSVYFKEYTDIAAFSVEPQLYCDYNLV -LMKYDSTQELDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTVKVCPLNTQTLGIGCLIT -NPDTFETVATTEKLVITDVVDGVNHKLNVTTATCTIRNCKKLGPRENVAIIQVGGANVLDITADPTTAPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQTMSKRSRSLNSSAFYYRV - ->sp|P04511.1|VP7_ROTBN RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLTSITLLNYILKSITRMMDYIIYRFLLIVVILATIINAQNYGVNLPITGSMDTAYADS -TQSEPFLTSTLCLYYPVEASNEIADTEWKDTLSQLFLTKGWPTGSVYLKEYADIAAFSVEPQLYCDYNLV -LMKYDSTQELDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISTGSSCTVKVCPLNTQTLGIGCLIT -NPDTFETVATMEKLVITDVVDGVNHKLNVTTATCTIRNCKKLGPRENVAVIQVGGANVLDITADPTTTPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQTMSKRSRSLNSSAFYYRV - ->sp|Q00254.1|VP7_ROTBK RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTFLIYLISIILFNYILKSITRMMDYIIYKFLLIVTITSIVVNAQNYGVNLPITGSMDTSYVNA -TKGKPFLTSTLCLYYPTEARTEINDNEWTNTLSQLFLTKGWPTGSVYFKEYDDIATFSVDPQLYCDYNIV -LMRYNSNLELDMSELANLILNEWLCNPMDITLYYYQQTDEANKWIAMGQSCTIKVCPLNTQTLGIGCQTT -NTRTFEEVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGADILDITSDPTTNPQ -TERIMRINWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|P17700.1|VP7_ROTBB RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTFLIYLISIILLNYILKSITRMMDYIIYKFLLIVTITSIVVNAQNYGINLPITGSMDTSYVNA -TKDEPFLTSTLCLYYPTEARTEINDNEWTSTLSQLFLTKGWPTGSVYFKEYDDIATFSVDPQLYCDYNIV -LMRYNSSLKLDMSELANLILNEWLSNPMDITLYYYQQTDEANKWIAMGQSCTIKVCPLNTQTLGIGCQTT -NTGTFEEVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGADILDITSDPTTNPQ -TERMMRINWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|Q00253.1|VP7_ROTBA RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTFLIYLISIILFNYILKSITRMMDYIIYKFLLIVTIASIVVNAQNYGINLPITGSMDASYVNA -TKDKPFLTSTLCLYYPTEARTEINDNEWTSTLSQLFLTKGWPTGSVYFKEYDDIATFSVDPQLYCDYNIV -LMRYNSSLELDMSELANLILNEWLCNPMDITLYYYQQTDEANKWIAMGQSCTIKVCPLNTQTLGIGCQTT -NARTFEEVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGADILNITSDPTTAPQ -TERMMRINWKKWWQVFYTIVDYVNQIVQAMSKMSGSLNSAAFYYRV - ->sp|P17968.1|VP7_ROTB6 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTFLIYLISIILFNYILKSITRMMDYIIYKFLLIVTIASIVVNAQNYGINLPITGSMDASYVNA -TKDKPFLTSTLCLYYPTEARTEINDNEWTSTLSQLFLTKGWPTGSVYFKEYDDIATFSVDPQLYCDYNIV -LMRYNSSLELDMSELANLILNEWLCNPMDITLYYYQQNGQANKWIAMGQSCTIKVCPLNTQTLGIGCQTT -NTRTFEEVATAEKLVITDVVDGVNHKLDVTTATCTIRNCKKLGPRENVAVIQVGGADILDITSDPTTAPQ -TERMMRINWKKWWQVFYTIVDYVNQIVQAMSKRSRSLNSAAFYYRV - ->sp|Q00252.1|VP7_ROTB5 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTTLIFLILFVLLNYILKSITRVMDYILYRFLLFIVIVTPFVNSQNYGINLPITGSMDTNYQNV -STSKPFLTSTLCLYYPTEAETEIADSSWKDTLSQLFLTKGWPTGSVYLKSYTDIATFSINPQLYCDYNIV -LMKYNANAELDMSELAALILNEWLCNPMDITLYYYQQTDEANKWISMGDSCTIKVCPLNTQTLGIGCLTT -DTTTFEEVATAEKLAITDVVDGVNYKINVTTATCTIRNCKKLGPRENVAVIQVGGSNILDITADPTTAPQ -TERMMRVNWKKWWQVFYTIVDYVNQIIQAMSKRSRSLDSAAFYYRI - ->sp|P25175.1|VP7_ROTB4 RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MYGIEYTTILIFLTSITLLNYTLKSITRIMDYIIYRFLLIVAILATIMNAQNYGVNLPITGSMDTAYANS -TQSEPFLTSTLCLYYPVEASNEMADTEWKDTLSQLFLTKGWPTGSVYFKEYTDIAAFSVEPQLYCDYNLV -LMKYDSTQKLDMSELADLILNEWLCNPMDITLYYYQQTDEANKWISMGSSCTVKVCPLNTQTLGIGCLIT -NPDTFETVATTEKLVITDVVDGVNHKLNVTTATCTIRNCKKLGPRENVAVIQVGGANILDITADPTTTPQ -TERMMRINWKKWWQVFYTVVDYVNQIIQTMSKRSRSLNSSAFYYRV - ->sp|Q65527.1|VP7_ROTBS RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MVCTTLYTVCVILCILLMYIILFRKMIHFLIDLSLIAFVISSCIRLSNAQFFANDMLYNGNVEGVINTTN -IFNVESLCIYFPNSAVGRPGPGKSDGLINDNNYAQTLAVLFETKGFPKGSVNFNTYTKISDFINSIEMTC -SYNIVIIPETLANSETIEQVAEWVLNVWKCDNMNVDIYTYEQIGKDNFWAAFGEDCDVAVCPLDTTMNGI -GCTPASTETYEVLSNDTQLALIDVVDNVKHRIQLNQVTCKLRNCVKGEARLNTAIVRISNLSSFDNSLSP -LNNGQKTRSFKINAKKWWKIFYTIIDYINTFIQSMTPRHRAIYPEGWMLRYA - diff --git a/seq/clusters_seq/cluster_340 b/seq/clusters_seq/cluster_340 deleted file mode 100644 index 752082b..0000000 --- a/seq/clusters_seq/cluster_340 +++ /dev/null @@ -1,172 +0,0 @@ ->YP_009551566.1 RNA-dependent RNA polymerases [Sclerotinia sclerotiorum mitovirus 2] -MTQPLVLKLMKWVRKYYYKGTSPNLAAFTRHAIHLFFLWGKTKGWKWTATAFKLTRLAITRTLAGQELPR -PFGISLCKKTNLPMMLPLQVRLAILRKDFLLISYVLTVLQLSRLILGTGVIESYDSITRPCTGSNPFTGA -SVSIVMKRMGIRRLDEPTSFTFPWVSTSGPNGISIATSFSDLMNIPQPILECLYTLGGPEFKEKVSSLKG -FVETTNGLLWKLTKLDPDKTGNLLRRISIKKDKEYKSRPFAIVDYITQSALTPLHDRLYRVLGSIPQDCT -FDQNKGFKDLLYGGGPYYSFDLTSATDRFPIFVQEMVLAWLTSEQYASAWVQAMVGIPFSTPNGPEVEFK -CGQPLGAKSSWAMFTLSHHFVVQYCAMVLNIDNPRYKILGDDIVICDHALAAKYLEVMSQLGVEISSVKT -HVSENLFEFAKRFGLQSVEISSFPITALVSDINNYVSIVATLATTAVERGNLPLFVSGNTPRFWESLLKK -EDKPRLVNNLVHSARLLSYLLMSNKPYLVAQSDLLRFAEAAGFGGLAISQVFAAYKRAVLYMKDREIDKL -ADMSMRFIRPVQSMLSQIMFLPWRGRVTVDYRKFIPIIDSIDSNKELYLSFREEFEKADDLVTLNKVLDD -HPIRPMPNLNGLQPNRPKLLVGQTRAALIRALVKELTMLAKGEEPK - ->YP_009465716.1 RNA-dependent RNA polymerase [Erysiphe necator mitovirus 2] -MLWTGRSYFPSIPEELLQESVRKLETDFENNLSRGRVAVSDKFKAYRLSLTRYVSGSPIRLEGIVQDRNL -LPTVLPVDLRRLIVNRDLNAIRWALTLFTISRTVMGGKPVNYDDIVRPGPHPDQIHTEISDYDVVQFWQR -LGRPKIDWKWKNFHWSTKSGPNGPALQGALADLKCLTPDLIQAIDSFMPVKNPLTTLLELKDSPLVAHWY -QVFKVKSRGKLRKLSIKDDREAKSRVFAILDYWSQTALQELHKGAFKLLRRLPRDCTYDQGKLLSELANK -KTDHQYYSFDLSSATDRFPLDIQERFVRLLTNKDVAKSWRKILVGEEYVAPNGLSYKYGCGQPMGAHSSW -PIFTLCHHMVVFVAARNCGIKRFYDYAILGDDIVISHDQVAAEYKRIISALGVELSEAKSHVSKDTFEFA -KRWFHKSVEISPFPLHGLISTLKSWPQLMELLINEVPKRGYRSILDFSPGLNSFVDLFPSIRMGKTILKR -IELNLHLPYMWTSEEGDVNERCQPLFTYAPSMSHWPNDQLFSTLTKCASMIARRDLGKAISDVSRTLDRL -WSDLTSSLESSAVDQSASLAPSFVDNIPMIKVLKDLSDPDTQFLGSVPLGKLTQGWDVWKAWRNIDLLNI -PKLNGILPMRALERKVNSRAHLSLRLMQYVTKLPYQQIIEELTAYDAPRVRKRRPRS - ->YP_009336767.1 RNA-dependent RNA polymerase [Hubei narna-like virus 23] -MARKNVQRGSLCKLASPCLGSSTPRYLHQLDHWIKHHGEEWTCSRLKTIANAAMQLRSGHPDTAREILEE -GRIAVRKDKPVPRGIMGIVALQYVNAQKPYAVRTAACLLRAYSGIYLDEVSVEQVRKARHSICDKAGKGQ -LLPTHPGLQWRLIHEGTKMSGLVRETPRSPVDLSYLNPSRKYFCGQFRLAPELKDKPYSSAALSLMTMGS -VPKDLITLCGDFALRRQAERFQEDRMNYPGFLGKISFLQEGGCKSRTVCQPTAWLQAYSMPLAAILCRAI -YLLETGKGNRRSLGLSVVHDQETGSLFMKSAMEERKEIFSYDLKSATDRFPRGPQLLLLKEMGLGDWCGA -VERTAEGPYWAPEARQPWSYTVGQPMGLAYSFSLFHLTHRAILEGLAKEYSPNSPAYAVLGDDVLITCKS -LALAYEDMISGLGVEISTAKSFEGPDLQSFAGFTGISSKKGITVFRPFKHGPDFQIKGKELNVLHTLGPK -VREWSSWWSKQFDAYRCTITFRGNDLSPLLPEDQPKVGPNRPSLGWIGSMVNQLTSPGALRIKGMGGRGC -VDYPVDYFRQEHNRLFLGRLSNWFNQKAWNHEFSILVSDKEPNSPAIFAPELLKREDGPFVRPYSALSTD -PLIQGHRHDQYLRKLREESRESIRKQVEQEALIRAKEEKLAREELERQGCLERERRARIKAPVTKPTSRS -TGLGR - ->YP_009336622.1 RNA-dependent RNA polymerase [Shahe narna-like virus 7] -MPSWLFTSHESVRIGMTVLTISSGFNLPVSVNLDPIMTPSKSIPSSMESWEIDLVCRFLGIKEEYVEWFM -FHHSTKKGPNGQALMSSTHDFTLMPSWLKNKLMSLAGVGLKQCFDLIDSVSMNGISVNSWWNRIYPVRSS -TISKLTGMSDKEGKTSVMGVVDYWSQTALKPLHDTLMRILSGIGPDCTHDQQSFLTKVPSSGVTFYSYDL -TNATDSMPLWLQGEIISRMIGKDRAEDWKDILVKEEFSLKGHPAKIKFSAGQPMGAYSSWPSMALTHHAI -VQLAWISLGNKSPTRDYVLLGDDMVIWNDKLAMSYRTLLSSLDMPISDQKTHISGSTFEFCKSWFVNGVE -VTGFSVPGLWEVSSSYSKLANFLENQESHGWKPSDASAIPLIMSLYNCLGLHSQGKSVYKLYTVFQTLVK -TKVTGEYSTMAKAMTEAFRWPFYETSNEIFASILGKMKEEQVWKDMESLQTNVYLFHDEFNKRMSTVNPL -WASESYKTAYTERVPMLIMAEYLTMELEEKLMILTGDNSSDEYQELIFNESLGSYKLSKHFFGLKDEASS -VRDLSRLVKPLINKVSEIINKSDPTTSVILTTTEQMFVKDTATFGP - ->YP_009259482.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 3] -MNMNQNKAYSALVQAIFTFLIYYSPGFESDKIVIILSDFKRHCDQRGIKAGISWIKDLRLCFTKYQAGDS -KPKVNIKCHPNGCPRLIWDNYKDVLNDPKGIGIIITLLSISRPFEGIFEPSLSDITDTPKTDLGIIKDLE -EFLPAFFEEVVHVRKESGQLKRPKWSSWLNNIKIAPNGVSINSSYADLDLHNEEIIRDLFELGGDCFEKE -YSSIKDYWKDPEGQKEITKNYPIVNTYKSKYLGRLAVIPSPEGKSRVIAIGDYWSQNVLFPLHNKIFGLL -KRIPQDLTFAQEQGLSKIKKDRDHSYWSLDLKSATDRFPIELQRRIISYIYGESYSISWRNLMSRPFYQT -ISGKSEVTYGAGQPIGIYSSWSVFTLCHHFVLYCAQRRSIALKKGRYVILGDDIVISDDNLAIEYKKLIN -LLGVEISEHKTHKSKHSYEIAKRWITEKGEQSPFPIIPLIQSKSWVPAIVNTIIDAEEKGWVPSCSTFKI -AAIIAMLKRKSSNQGLWNHIYKLSMIHSSCYLAFKDKISNMDCINMLTDAFQIERLSQNEVSASILIAQA -SKNILATLGKEIFKSTLFQLPEEIQKVKELVDFEETTWSKYSPQEHEMTERLPHCDVLRKICGKIFEPDF -PGIQDEPDPKSWKRTIRGLISFDVKKVFIKDKKRVEILQVSKVSKSLCGIIKSLNNMEEKELEWTNLKPG -SSSLTSLNYLFFP - ->YP_009259481.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 2] -MNIQAMMRWLAVHHSCSPELMNVVETVLIKWDLLVRTKGIKETVKYFKASRLHCTRYICGDPLLVSEGIS -ISKDGLPNLIKGHGKSFFRNKKINEIRIILTILSMGRIIPGTGECDLTPISKPWLGQIPTSITRWINSRT -DISKVEIEWKEPHWSTKAGPKGQAIASSIEDLASLPETLRTDIRTIGGEELSEYIDTLEPYYKNILPNPK -RRSKLASSIRRLHIVLDKEYKTRPIAIIDYWSQTSLVPYHDYIMKWIQKQYGDYTLQSKVGKQIVKGQGR -IYSFDLQNATDRFPLEFQKIVFAKFFGSKKADAWARIITQYPFELKDGSTVSYNCGQPIGAYSSWAIFTA -SHHLILQYIRFIHPETIYGVLGDDVVIRGDRGAFLYKEVMSCLDVKISESKTHVSNDTFEFAKRWFHKGA -EITPFPLNEAIEAGTDVAKASQLFLNLPLRGWYLGGNDLSQSILEFMKSKIVPSNFASYLARRSARFIYF -QKWAITGCYSYLSKLHPLVLVSGSSVCNHTERLRTAIMLGISKATAKEISQILEDINTLLKRKDIQGLVD -QTTDSPSYTDLRPGEYALGKISLKLQKELRQFDVDIHMLSKMAAVPDWATHLGEASISKLKGIPVGRRPD -PDTYISERRAVTATRSLLRVYNNFNTETQQFFAIRPAIHRPDANKSIGSHVRTAAQAIS - ->AHX84129.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 2] -MTQPLVLKLMKWVRKYYYKGTSPNLAAFTRQVVHLFFLWGKTKGWKWTATAFKLSRLAITRTLAGQELPR -PFGISLCKKTNLPMMLPLQVRLGILRKDFVLISYVLTVLQLSRMILGTGVIESYDSITKPCTGSNPFTGA -SVAIVMKRMGISRIDEPTSFTFPWCSTSGPNGVSIATSFSDLMHIPQDLLECLFTLGGPEFKEKVSSLKG -FVETTNGILWKLTKLNPDKTGNLLRRISIKKDKEYKSRPFAIVDYITQSALTPLHDRLYRVLKSIPQDCT -FDQNKGFKDLLYGGGPYYSFDLTSATDRFPIFVQEMVLSWLVNEQYASAWVQAMVKLPFRTPEGTEVKFE -CGQPLGAKSSWAMFTISHHFVVQYCAMVLNIDNPRYKILGDDIVICDHALAAKYLEIMSQLGVEISPVKT -HVSENLFEFAKRFGLQSVEISSFPITALISDINNYVSLVATLSTTVCERGNLPLFISGNTPRFWESLLKI -GKEDKPRLVNSLVHSAKLLSYLLMSNKPYLVAQSDLLRFAEAAGFGSLTIPQVFAAYKRAVLYMKDREID -KLADMSMRFIRPVQSMLSQIMFLPWRGRVTVDYRKFIPIIDSIDSNKELYLDFRVEFEEANDLVTLNKVL -DDHPIRPMPNLNGLQPNRPKLLVGQTRAALLKALVKELTMLAKGEVPE ->AHF48631.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 15] -MTKHFNTIYKHLTLLRDIGDIKLSLLWFSQWSMLPSTEFAVIASAVIGRFEKIAITRGRMAAIDEMKASR -LAFTRWLCGRPLSGHVGAPISRNGLPKVLPLEVRKQLIRNKNDALVKDILTVLSISRFFKGGKPLDTEAI -TQTSNPTLPKDGEIILALKKLGLSVSQEVPTEWRFRWITTAGPNGPSISSSIQDLPKFNELFRDQVGVIL -PDLLGTIDKILSWEKTFKLSSLMKLDNFKSDSLRKISIKEDREGKCRPFAIFDYWSQLTLTPLHDWIYNQ -LRNIPNDCTFDQHKGVDKMNQIRSRKWFYSYDLKSATDRFPVLLQERVLSLLFNADYAKAWRELLTREPF -RLGKNGELIKWGAGQPLGAKSSWAVFTLCHHLVVHIAAMRTNSPLDYVLLGDDIVIRGRALATEYKRIMF -QLGVTISDAKTHVSKDTFEFAKIWSHQGRNVSGFPIVGLAETIRKPLEMAALFVFEAPLKGYLYSIDPRS -VSHFFSPIAACSKLPPRRAIWFADKVAWYYSFLSALSTRQSDWTKYLVQMAGLVVTRDAAKELFSQTLTE -KWAKQLDKTLFDFQEFGISLFERVKGLPPFKPAWDPKAWPGRMSASGIEFTNAAKHIPIFGAIETDGRIV -YSDYLQRKLENFEIELTLEEIESLKLAPRPQLRGFEPLRAKESIRTLDLVSRDLNRALTIKGLEINVSAY -NLK ->AHX84135.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 7] -MDKNKIDFNKLKSELCLIHKWYFPSINDPDIDFCIDRFERIFLTRGRVAAAEEMKASRLILTRWKSGHPL -TGKVGAPLSKIDRLPKAYLSLRLRRALKNDPDLVYFRWAMTLLSCSRIILGGKPLNLKSITDPSRMTCHP -EGSEIALALRKLGLQPFTERPTWTKFKWISSAGPNGLSIAQSLRDLQNLPLPLLQSLREIGGEAFGSAAD -AAKTFISDFPTMNKTLFGVREPETKYLRRLSVKADAEAKSRPFAILDYWTQSALTPLHDRLYSILRSIPQ -DCTFDQQKGVEMMSQYDKSQMFSLDLTAATDRFPMLFQHKVLEWFAGQEYADHWSRIMVGYPFIYEGTEL -KFNCGQPLGAKSSWAMFTLSHHVVMVIAAMRTNSPLSNYVILGDDVVIRTKRLASEYMRIMDGLGVDISP -SKSHQSKGYFEFAKTWTYRGRPASGFPIKGVYSTIGWISELIPVLVDIAPMRGYPLPFSVGNLWNFSQDW -AKLMTQYKRHQINLAAKIYRSLLFILSVKEIDSHWPGFYIQHVTSSLSRPIDAALMFKRALMAVVKEGKQ -AELERLELFAEGLMTTLDSNWASMEQEAYEDSSSDNSDSEKIFHSVEQELDMVASLPILASLEVERTERE -EWLNYFTVDDPEQFWERFKSWDLPPFPQLKGLQPQRIKTRSQAELSLASKITKLWRMVG ->AAR01970.1 RNA-dependent RNA polymerase [Cryphonectria cubensis mitovirus 1a] -MTKADHYSSASSDPPRWTDCCHNTRQHQPTFAIKWIITKTMKRNLNKLYIHLNLLRDIGAIKLSLVWFLH -WSHLELGIASRLVSSAVARFQLLATTRGRNAAISEFKASRLAFTRWLCGRPLSGKVGAPITKAGLPKVIP -REARLLLLRERPLYLVKAVITVLSIGRYFKGGNPVKWENITKPSTPTLPKDGEILFGLEKLNIDVGQFEP -TDWKFRWVVTAGPNGPSISSCLQDLPKFNGLFRSQVEVILPELLPIIDTLLTWEKSFKLSTLMGLAGFKD -DSLRKIAIKDDREGKSRPFAIFDYWSQTVLSPLHDWAYATLRSIPQDCTFNQAEGLSKVTARPSQKYFYS -YDLEAATDRFPIQFQKKVLSLIFNTTYAQAWAEIMTQEPFRVKGLSDPLRWGAGQPLGAKSSWAIFTLCH -HLVVHIAAVRTNSDPYYVILGDDIVLRGSRLATVYKRIMSELGVSISETKSHVSKDTFEFAKMWMHQGRN -ASGFPVVGLAETLRKPLEMAALFVFELPAKGYPVTITPRTVSQYFLLVARYNTIPPRLAVWTADKVVWYY -SFLSWLATRDDGWAKYIAQSASLLVSPNTAHDLLMKAVRDKWAKQLDKSLMDFQDFGFDMFDKVKTLPPF -KPTWDPEAWPGRLSASGIEFNPAPRKVPIFAALQEEGEIKYSDYLQQKLEMTDDQLTFEEIESLKLPPRP -QLKGFLPKRTREYVRTLNLISHGLNRDLRAQCIGTRPDVYNMKD ->AGW51760.1 RNA-dependent RNA polymerase-like protein [Mitovirus AEF-2013] -MKNLNNYLMSVMTWITTSFNSGSIDSTKATEHFLMITNEMCQCYGLGGAVKRVKSLRLAVTRWLSGVPLK -KNEIQFRLTSDGLPVILGPILDLVRGSPEHKKLALTILYSTRTIVGQDEADLKPIEDVSTADITETIRYI -PGFWRSFIGRKKPKSFPKWTSFHLSTKTGPNGHALVTSLTDLLALSDKQKEAIKLIGGEDLKRRIEFLDS -NLVPTSVMYHIFGCTPGGNTRKLSVIQDKEMKTRVIGIFDYWSQSALYPLHKWIFTILRALPGDCTFDQN -SFRSKLPSKGPYFSMDLSSATDRFPLSLQTKVLEYALGEKYAEAWSFLMSGTAFLLGKDSSRPVWYNAGQ -PMGAYSSWAMFTLCHHFVMYVCLRKEGLPLDSKLYCILGDDIVIAHKGLAHRYRELMETLGVQISEMKTH -VSEDTYEFAKRWVHKGKEISPFPVHGVLEVRRRYNLLLAIIHSTVGKGWIPIISVTESLHRFHSIVIKSS -RLRRILDSKIELCWIAMKLVWDSIDASGAMRILQRLYNLPIISSSNHTIAKAMVDNACVDLFSQSMESEG -TGSSKVIFVLCVLTDPESGISPDLHDAWKESLPWFGIQGHFEEMYLKITKESYQIDLTGADWPWLARAVT -IPNTDDIFSVRNYHAQAMASASLAKALKERAFTLAQNPYF ->AMS38556.1 RdRp [Hymenoscyphus fraxineus mitovirus 1] -MTKQINKIKVRLTLITGIAHLKLSLILFCHWSNNDPHITALVKHAITRFENLATTRGLNYAILEFKASRL -AFTRWLCGRPLQGKANTPITKAGLPKVIPREVRFSLHDGKPDNLVKAVITVLSIGRYFLGGNPIDLDAIT -RSATPINPKDNEIILALGKLGVDRQQRVPDQWSYDWITTAGPNGPSISSCLWDLPQFLSKFKAPATVLLP -DLVVKLEKLAIWEKSHNLSGLLKLNNWRDGSLRKLAIKDDKEGKSRVFAIFDYWSQTTLTPLHDWAFSTL -RKIPQDCTFNQQEGVEKILKLKTRKHYYSYDLKSATDRFPARFQRKVLSLIFTTDYANAWLEIMTKEPFT -LKGSESAIKWRVGQPLGAKSSWGIFTLCHHVIVNIAAIRSNSDAHYIILGDDIVLRGRALATEYKRIMSN -LGVEISESKSHVSKDTFEFAKLWTHKGVNVSGFPIVGLAESLRKPLELALLFIYEVPQKGYFYDLTPRSL -SHFFSHLASCSLDPPRFAIFMANRACWFYAFISWLVTKEDGWAKYLVQSVSIIAPPSLAAEAVFQTTSKK -WAKEVMKGIHDLSIFAINILYKVREEIPMTRSSWDREAWPGRFNASGIEFIGYASQIPILAALSKESNVA -HSDYLQSVTEGVQTIQLEELEKLKLPPSPQLKGFEPVRTRGHIRALNKLCREYNRGLSVEINDIRTDRCS -DIPGPAGPTSGKSGRAR ->AHF48625.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 9] -MRINKINFYKLKSSLDWIASNYFAHLDPILLAAAQDSVLSRFEKIYTTRGRVAAADEMKANRLCLTRWKS -GFPIKEKTGTPISKIDFLPKVLPLEVRKVLRKSDPDHDLFRWCLTLMSISRIVMGGKPISTSSITSPWTG -SKLPSSAEIAIALRALGVTKEERPIWKRFQWVSSSGPNGLSIARALSDLMILPDDLRTNLYILGGPILES -AMTKIHGWMSKAVMLVNYFAAKPPKTSHLRRLSIKPDSEGKSRPFAIVEYWTQSALTPLHDLLYKKLKEI -PADCTFDQQKGVVGMMDNTSQWKYSLDLSSATDRFPIEIQRTVLTWMIDAEYANSWVDAMVKHPFAFQGE -DLFFRTGQPLGAKSSWAMFTLSHHVIVQIAKHRCGSNARYYILGDDIVISTKKLATAYLEIMAEIGVQIS -EAKSHKSKDLFEFAKTWGFGSRHVSGFPIKGVYTTLHRYQQLVPVLIDVAPMRGYPLPFSVGNLDTFVSS -LTSIMTRYDRLKINLHRKIAIALPFALATKDLSSEWTKKFLDTSCGKPLMLGDNEATELFLRTLQSVVVE -GKKRELSRLHNFINGLADIGWTPYQSLAAREGRSETLEEIKERYAPGASNSWATLPVLGALEKSSLEGLR -QLSQYTWASPIQFWEDFKSWDLEPFPQLKGLAPERIKTRSQAVISLASKASKLVSKVAEIRTCDS ->AHF48632.1 RNA-dependent RNA polymerase, partial [Sclerotinia sclerotiorum mitovirus 16] -MKASRLLLTKWKAGQPMSGKVGAPMSKIDFLPKGYLTLELRRRLRHDPTNCYFRFSLTLLSISKIIMGGS -PIDLSAITDPSTQKSTLTDVEIINGLHRLGIQLNQPRPEWKRFHWVSTAGPNGLSIAQSIRDLGLLPQDL -RSSLSVIGGLPFQTAMGKCLKYIESYSTLWTLFTKVEPKTSYLRRISIKADTEGKSRPFAIMDYWSQSAL -TPIHDLLYKLLGEIPEDCTFDQQKGVELMSRFPKSKLFSLDLKSATDRFPVELQRRILGLLIDPEYAAAW -SHVVNGYDFEYQGSPIRWSVGQPLGAKSSWALFTLSHHVVVQVAIARAKARPDCYVLLGDDIVLRGSKVA -TEYLKIMDGLGVEISPAKSHISKGMFEFAKTWIINKNHISGFPIKGLYSTFNQISEMIPVIVDIGPMRGY -SLPFSTGN ->ALD89136.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 19] -MLNKIDFKKLKSELCLIHKWYFPSINVGDIDFCIDRFERIYNTRGRVAAADEMKASRLILTRWKSGHPLT -GKVGAPLSKVDFLPKAYLSLSLRRQLRNDPDLVYFRWAMTLLSCSRIILGGKPLNLKTITEPSRMTSHPE -GSEIALALRKLGVSPFTERPTWTKFTWMSSAGPNGLSIAQSLRDLHNLPLSLLESLKEIGGDAFASAIDA -AKTFIEDHPTMNRTLFNVREPTTKYLRRLSIKADAEAKSRPFAILDYWTQSALTPLHDRLYQILKKLPQD -CTFDQQKGVELMSQYPKSQMFSLDLTAATDRFPMQFQHEVLSWLAGKEYANHWSHIMVDYPFSYEGSELK -FSCGQPLGAKSSWAMFTLSHHVVMVIAAMRTNSPLSNYVILGDDVVIRTKKLASEYMKIMDGLGVDISDS -KSHQSKGYFEFAKTWIYRSRPASGFPIKGVYSTIGRISELIPVLVDIAPMRGYALPFSVGNLWSFSQDWG -NLMTGYKRHRINLSAKLYRSILFILTVKQVNSYWSGFFIQAITNSLSRPYDAALVFKRALMAVIKEGKQS -ELERLELFAEGLMTSLDSNWAEMEQETYENRSSENPDDEMIFHSVEQELDMVASLPILASLEVERTEREE -WLNYFTVDDPEEFWDRFKSWDLPPFPQLKGLQPQRIKTRSQAELSLASKIEKLLRMVG diff --git a/seq/clusters_seq/cluster_341 b/seq/clusters_seq/cluster_341 deleted file mode 100644 index 6ba2003..0000000 --- a/seq/clusters_seq/cluster_341 +++ /dev/null @@ -1,289 +0,0 @@ ->YP_009507836.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 4] -MSAIAATISSANLNDLSRLAGAQPKEGVPAPVLQQNIATPKTGPPDPGEGTRKQTTDSPHSANPSTKEHT -PAPTIQPDTPTPITDHSDSEEGPRTHSTDFQTLYEYFYSYPVPASQTRTGGAIARAGPVNDNNEVVSFTT -ETALVTSLTPKHIDANIQPLQISIADDCVNYSCQYSGQTCPIFDGSQHVQSATALASSMKARLMCEVTQS -LSARPVQQPQLIAYLYGALLAFGDRLNIHYGNKVNLWNALLGHNLQRGTPINGDNFNHHLLIDGPLAPPI -LPAAGLGPFPSTTLGPNTTVTFKARASIFVRPQTYDYALVDAAFWLIYAMYSRMPVAFRQAHSLNIDFFT -VQPMAACVFPGHDGFTTPVIDQALGVLESMLVEMFNGDREIMYYYAFKGGQIFMRPCSCYQEGGLIRKAS -RNVSLASFTGIYSLIGYCAPEARPLHAANHPGIIAALFQYVDTMVLQAVLSYSGPKLVHFGAAPEFCSKG -STPYDFIDPDNYWGIRAGVNAHPIGYYYLDILMRPKEHQLLDETLSDIYGHVGSLAMANIMASIASSGTE -VLNQKMQKSFVRRGNQVRALRHSHAIINRFHEPEYAYRLGILADGIIPLAGTHKCDIIDEATRLLQGEDI -RNLPGLRCLRGRGLDAIIGIRPINKKRRAGFYTLDGNFHVVTNQCTSDVLQVWNDHGYIARPYACHIVES -INVEIYDRSNGAYNGWIQALVSGFGVPERCYMGPSSAGSRRRPLCPLKGSNCAVALHVDGQLTRASRVPY -RKLTPSHLNCSKRCARQLAVIYRYQTLSPQLTEVSDSDYLAFLRWVLLPYTGATNRPHPKRWPKPFYPAE -VSLKFLDKKTELQLFPLKKAPQADLKVNCFARNLLYSSPLSDRILKQCIPVGTNNDTVCGLVILLELLFE -AGVPLDLLPTISVAIAKNDPFVKALSDFNKMTGATTSHIANLLTECTTLLGRGVTASAPNADLYHRVAPE -GNRHEAKISDDVLRSAIRTIYKQEIKDCPKPGDFGLHLLTSPFWCKSGSHHHPQFPRYRNRLEFVMNTDP -SAIMAVKPSVYITQAQKLEHGKTRYIYNCDTVSYLYFDYILNYVESIWANSHVLLNPDALNAEKFATLEY -SEYCMIDYTDFNSQHTLTSMKAVFEVLKEFLPSEMFPVLDWCISSFDNMTIKDMKWRSTLPSGHRATTFI -NSVLNRAYLLPYIGTIVSYHCGDDVLLCGEHDYQHLITRLPYELNPSKQSFGPHAEFLRLHRHGEKVIGY -PTRAVSSLVSGNWLSTTSWNWQPSLLSITNQINAIICRSQLSISRIRSLAQELRFRYCPLLDNYIDPATT -SFVAAGCPSYQPTATMITPDVPHLDAEEVEFTQLHQLAEYAINTYPWLNSVESVNQLVRSRMRKPAARDI -HYSVLGPAIPLVSYHHHCDPMVVPLTRRYYPRDHLAPPITPQVLPPQPVFCDRDLSPIMALKIAPAGVAV -KVTADRPIASA - ->YP_009507837.1 capsid protein [Trichomonas vaginalis virus 4] -MSAIAATISSANLNDLSRLAGAQPKEGVPAPVLQQNIATPKTGPPDPGEGTRKQTTDSPHSANPSTKEHT -PAPTIQPDTPTPITDHSDSEEGPRTHSTDFQTLYEYFYSYPVPASQTRTGGAIARAGPVNDNNEVVSFTT -ETALVTSLTPKHIDANIQPLQISIADDCVNYSCQYSGQTCPIFDGSQHVQSATALASSMKARLMCEVTQS -LSARPVQQPQLIAYLYGALLAFGDRLNIHYGNKVNLWNALLGHNLQRGTPINGDNFNHHLLIDGPLAPPI -LPAAGLGPFPSTTLGPNTTVTFKARASIFVRPQTYDYALVDAAFWLIYAMYSRMPVAFRQAHSLNIDFFT -VQPMAACVFPGHDGFTTPVIDQALGVLESMLVEMFNGDREIMYYYAFKGGQIFMRPCSCYQEGGLIRKAS -RNVSLASFTGIYSLIGYCAPEARPLHAANHPGIIAALFQYVDTMVLQAVLSYSGPKLVHFGAAPEFCSKG -STPYDFIDPDNYWGIRAGVNAHPIGYYYLDILMRPKEHQLLDETLSDIYGHVGSLAMANIMASIASSGTE -VLNQKMQKSFVRRGNQVRALRHSHAIINRFHEPEYAYRLGILADGIIPLAGTHKCDIIDEATRLLQGEDI -RNLPGLRCLRGRGLDAIIGIRPINKKRRAGFYTLDGNFHVVTNQCTSDVLQVWNDHGYIARPYACHIVES -INVEIYDRSNGAYNGWIQALVSGFGVPERCYMGPRLQVAGGAPSAL - ->YP_009162330.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 1] -MEASANGLSHDDNANKSQNVGPSTLPGSDKQGGENHENSFNSFSNDFFFNFLRTSTSTHISDSPGVSFVS -KDGTPYTSATIQSAVGRLTHNVVASAVQLNITANNTLEVDYGFGQDVSRATGTITIPIFDGEKYKEVARA -LSLVFSKKGMALDVTSQTVQDTLMNSDLTIATVAAGYYTALAARHELTKEASVAAHRIPFVTALSDTFTA -ADNAQRSSHVISSCLRCPASNNAQRQVTVGTNMWTNVSVENLAVQGAAIPNPNDVSFFIPNKALPSSWWC -AIWLLNAFLHSFVAQTRFHIFITPGETYNLAPFTDADIYEAIPVLLAMSKSSRPVPESVESMLYAYGTQM -VIQPHSLYTEGGIIRKMIFTVPHLPAHGYFVTNAEYSRYMNIAVPNDPRTAKDYIIGVGTGLLQVILAYQ -AAFSCGGPIALHWHANDAISHGMDTVAAAYLEGRYFTIPMAINVATNIAQYTTGVRADPQYKHSLDRILP -RIFGPSTDTVFNFIESAITSSWVSINATKRNGRARKFRTAFINRFHDPEFAYMFGITGNGIERMEGKVTS -NIAQEVEYLTNGGDLRNCPILRTLKAAEAEETVTFMCTGKIGSIFAIDGTMRTFKRYQTIDLAELGWTSH -GKVMKPYAFRAPVIQGITVCKTAYTSTAIDIVTTVFGPLRLRVGTLFLSKAVRCGPIIPSVKHHFNIRRI -ITVKRNGNEYVFIPGYGWVLQDDYLVNSVKMTGEDQLPPNQLPYGDDLLLIYSEILLYNYISLFPKFRYK -NPDLLNQETELQLFPLKTDSAARNKANFYARSLWNEAKTDKTAFKPGTYNDTVAGLLMWQQCALMWSLPR -SVINRTISGVCDALTERTSLALLKRISDWLKQLGLACSPIHRLFIELPTLLGRGAIPGDSVKDMKHRLKF -DPSITVDVPRDQLHDLIYRLLSRNLHITNVESFDHHLEERLLWSKSGSHYYPDEEVNRLLPNQPTRKEFL -DVVTVDYIKECKPQVFIRQSRKLEHGKERFIYNCDTVSYVYFDFILKLFEAGWQDSEAILSPGDYTGERL -HARISSYKYKAMLDYTDFNSQHTIRSMRLIFETMKELLPPETTFALDWCIASFDNMYTSDGHKWVSTLPS -GHRATTFINTVLNWCYTQMVGLKFNSFMCAGDDVILLSQEPISLVPILTSHFKFNPSKQSTGTRGEFLRK -HYTSEGVFAYPARAIASLVSGNWLSQSLRENTPILVPIQNGIDRLRSRAGLLGVPWILGLSELTEREAVP -RDVSMALLNSHAAGPGLITRNYSSFTVTPKPPTLTSTLEYTATRYGVQDLSKHVPWEQLTLEERNKLGKQ -IKKMSHRHCSQAKITYTCVHEVYKPSGLPKVLSGASQPSLSMVWWQAMLKEAMQDNSTKKIDAQMFASSA -CTDRVSGDAFLQASAKAAGVLITSLIQSSS - ->NP_659390.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 3] -MDHVSDIAYLNFLRWVLLPYNGQTLRPHPSVWRQTPYPEHVNLKFLNKEMELELFPLKKAPQADLKVNCY -ARNVLASTELTDDLLKQSLPIGLNNDSVCGIVIVLELLRIAGVPSKLLPIIGQAIANKDPFIKELSDFNK -MIGATTSRIANILTECNTLIGRGVKSSDPSADLYHRVAPEGNRHEAKIPRHILIEAINKIYKNEMTDMPP -PGDFKLHLITSPLWCKAGSHHHPHFAKYSSRLDFVMDVPADKIAAVPPSVFITQAEKLEHGKTRYIYNCD -TXSYLFFDYILHYVECVWSNESVLLNPAAMSVERFSVLDYPEYCMIDYTDFNSQHSLESQKLVFECLRPY -LPSEMHPILDWCIASMDHMEIGGQHWLSTLPSGHRATTFINSVLNKAYLIPYIGDTTSFHCGDDVLLCGK -YDYQTLIDTLPYELNKSKQSFGPNAEFLRLHRRAGDVIGYPSRAVSSLVSGNWLSKTSWEWQPSLISVTN -QCNVIISRSQLNIRFIPAMQQELRNRYIDKMSEPFDVGSDYYVMPGCPCYSDAATTIVPNVPQLECSDVP -FSQAQKVFDTMRDICPEFTTVNDVIDRVLARRTSNAVKNITYNVCAPVAPQVCIAVNPAHYQFLLRKKYY -PREHIAPPGFDDSTNSKLVFSTYDLAPSIAMKSCAVLTPAKIICGHGLRSG - ->NP_659389.1 capsid protein [Trichomonas vaginalis virus 3] -MSAPEPLNTEVRSPNGVSEAIETQNMAVTQSSVSNEIKNDTQSDLQTLKKQLQPLYRSTDFNTLYNFFYG -LDVPASTDRVGHAIQRNTSVNDTNEVVSFPLTATVSHTFSNTPVPANIQPLQISVADDSVNYELDESGTL -CPTLDSSVHVQRATSLASALKVKLTGEIMHSDSVRPVQTPQLIAYLFGVLLGVKDRVNIHRNQPTNLWRS -LCSPGRAAQAKPFFDEFPNNKFRTGALLAPPLPDAGFGPFPAEGLNQNSKLDFRSKGYVFYKQRTYNPDE -MNRAFWFLWAIYNRMPEDFQLSYPLNITFCTSELPVQNPMPGADAISNEQCEKALLLLEKVVLEFFNNDR -KLAYYYVFKGCQFVMRPCSCYQEGGLIRKASRNVALRGFTGIYYLAGFTDQYANMISCAVHPGVVGALFQ -YVDTMVLQAVFSLSGPKLVRFAAPPEYQGRHACPFSFVADENYWGIAPGIEAEPVGMYYMDIIQRKAEHD -LFIETFMDIYGSTASIICANIETSLFTSGTNVLNHRMQKDFARDTPKPGTLRHQHAIINRFHEPEYAYRL -GILANGVIPLSGSFEVDILKEAELLVTGEDIRNLPGLRCLCTRGLDAILGLRPVQQKRKKMCYFRTLDGN -FHEVTIRSETRDLQVWRDHGYLARPYACHIIDSEGIEFYDKSNGLYKGRVNVLVSGFAIPGRAYQGPRLQ -VATMAAQI - ->NP_624323.2 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] -MASTLISSDNSATLGKDSEVINNTNTSPPDNPSSDHSNPRLTKVLDEMSKKPCVNINEIRKMIRNFQPQF -IQPRNGNRPNAQPRTVDSFEWVVRIQSTVETQLLGATNTVPQQTLNLDISFTDDSTTITPASIPGSISML -DNSRHIPAIQSMIQNFKARYLGSLQDTAQLQSPQYPQLLAYLFGQLIAIKDRLDLFRPSNPLSLADALFG -FTLAQNARPRYDDHRHAKACQGPLVIPAATNSDCGPCGFVQINANQGLTLPLGACLFVNPETVNDQSFQD -FLWLIFATHHRMPNQMQNNWPFSLNIVSTCAAPGRQAPHAGELTDERVRLALDTGHRILLSMFNDDEETL -RYYQRKGIETMFRPCCFYTEGGLLRKATRYVSMVPLNGLYYYNGATSYVVSPIHTDAHPGITAAIESFVD -IMVLQAVFSFSGPKVVAAKVNASQIDAAMVFGPAVAEGDGFVYDPLRPAPPLSAFYTEFIHRPAEQRIFQ -MAMSQIYGSHAPLIIANVINSIHNCKTKIVNNKLRATFVRRPPGAPHLKADTAIINRFHDPELAYALGIL -ADGIAPLDGSHEYNVLDELDYLFNGGDIRNCFGLNALNTRGLGQIVHIRPKREPGKRPRRGFYTTLDGQV -HPVTQDAPLDEIYHWRDHGNLTRPYSCHILDSQGLEFADRFQRTVTRKDPCGRQLTPQDMRCLPGPQLRA -KAGQRHVERINQCGGSVIYPRLSTARACSLSAIDKMTLALAHQLCYLYKSSDLHRQLDTMIPQSYLTFLE -WLLRIDPHNEKSSIRHFPSQDNHEVITHSLRNLTKEQEITLFPIKDIVQANRRVNAYARNLLDASPLPDF -ALQQMLLPNTANDVVCAILLLGEVLWMLRCPISIIVNISRAICRNDSFLKDLSDFNKMLGLTKIPIANCL -TELNTLQGRGVTSSDAKRDLTHRIADVNPHEAKISRENLREAINQIYKEEITRKEVPDTFKQHVFTSPLW -VKKGAHHHPLFGSYDNRLEFVENVDLDRVLKSHPAVYITQAPKLEHGKTRFIYNCDTVSYIYFDYILNYI -ESVWSNKHVLLNPDYMNPVIFSTLNYDEYCMLDYTDFNSQHSIESMKQVFLCLFPFLPRSMHSILQWCVT -SFDNMYINKTHWNSTLPSGHRATTFINSVLNRAYLLPFLQVANAFHTGDDVLLCGKADYATLINTVPYEL -NKTKQSFGPSAEFLRLHKHNDQVSGYPARAISSLVSGNWLSFANPLWQPSLLSIMQQLYTISARSGLLPY -IPVTMKLEVQRRYDLRSRITNGLFSGDIVPSGCPCYKSNAALLSAVVPDTVVKAPPTFYDLRTLDILKQT -SPWINSASRYMDLLERRHMESDNKNVIYSIQYLPSKMLPMIDVDPADATPLRKRYHPRSHIAHPLPRDAH -LKELRFATCRVGPATAIRLGSLWPANRINLIKPVYV - ->NP_624322.1 capsid protein [Trichomonas vaginalis virus 2] -MASTLISSDNSATLGKDSEVINNTNTSPPDNPSSDHSNPRLTKVLDEMSKKPCVNINEIRKMIRNFQPQF -IQPRNGNRPNAQPRTVDSFEWVVRIQSTVETQLLGATNTVPQQTLNLDISFTDDSTTITPASIPGSISML -DNSRHIPAIQSMIQNFKARYLGSLQDTAQLQSPQYPQLLAYLFGQLIAIKDRLDLFRPSNPLSLADALFG -FTLAQNARPRYDDHRHAKACQGPLVIPAATNSDCGPCGFVQINANQGLTLPLGACLFVNPETVNDQSFQD -FLWLIFATHHRMPNQMQNNWPFSLNIVSTCAAPGRQAPHAGELTDERVRLALDTGHRILLSMFNDDEETL -RYYQRKGIETMFRPCCFYTEGGLLRKATRYVSMVPLNGLYYYNGATSYVVSPIHTDAHPGITAAIESFVD -IMVLQAVFSFSGPKVVAAKVNASQIDAAMVFGPAVAEGDGFVYDPLRPAPPLSAFYTEFIHRPAEQRIFQ -MAMSQIYGSHAPLIIANVINSIHNCKTKIVNNKLRATFVRRPPGAPHLKADTAIINRFHDPELAYALGIL -ADGIAPLDGSHEYNVLDELDYLFNGGDIRNCFGLNALNTRGLGQIVHIRPKREPGKRPRRGFYTTLDGQV -HPVTQDAPLDEIYHWRDHGNLTRPYSCHILDSQGLEFADVSNGRSRGKILVVVNSPLKTCAAYQGPSFAP -KPGSAMWNE - ->NP_620730.2 RNA-dependent RNA polymerase [Trichomonas vaginalis virus] -MEASANGLSHDDNANKSQNVGPSTLPRSDKQGGEKHENSFNSFSNDFFFNFLRMSTNTHISDSPGVSFVA -KDGTPYSSLTIPSGVGRLTHNVVASAVQLNITASNTLEVDYGFGQDVSRTTGTIPIPIFDGEKYKETARA -LAAIFSKKGMSVDVTSQTVQETLKNSDLTIATVAAGYYTALAARHELTKDVSEAAHTIPFVTALSDTFSA -ALNAQRTSHVISSCLRCPNSRNAQRDIVIGTVLWNNVFVESLSEHNMAVPNPNDISFFIPNKALSSSWWC -AIWLLNAFLHSFIAPTRIHIFITQGETYHLAPFTDSDVYEAVRFLLAMSKSSRPMPESVESMLYAYGTQM -IIQPHSLYTEGGLIRRMIFTVPHLPAHGYFVTNSEFSRYMNIAVPDDPRSAKDFVIGAGTGLLQIVLAYQ -AAFSCAGPIALHWHANDAISQGMDRVASIYLEGRYFTIPMAVNVATNVAQYTTMVRADPEYRHTLDRILP -RIFGPSTDTVFDFIESAITSSWVSIDARKRNGRARKFRTAFINRFHDPEFAYMFGITGNGIERMEGKVTS -NIAQEVDYLMNGGDLRNCPILRTLKAAEREETVTFMCKEKVGSLYAIDGTVRVFKRFETIDLAQLGWTSH -GKVMKPYAFRAPVIQGMTICSTAYTSTAIDIITTVFGPLRLRVGDPFLSKAVRCGPVIPSVKHHFNINYL -SILKHNGNEYTFVPGYGWVLQDDYLLNAVKMVGEGDLPPNQLPYDDDLLFTYAKILLYDYISHFPEFRHK -NPRLLTSETELQLFPLKENSAARTKANFYARTLWNETTSDKSAFKPGTYNDTVAGLLMWQQCALMWSLPK -LIINKIISGVCDALTEKVSLTLLKRISDWLKQLGLAYSPIFRLFIELPTLLGRGAIPGDAALDMKHRLTY -NPLMTVDVPKTQLHDLIYRLLSRNYNNTKISSFEHHLEERLLWSRSGSHYYPDEQIDQLLPPQPTRKEFL -DIVTIDYIKQCKPQVFIRQSRKLEHGKERFIYNCDTISYVYFDFVLKLFESGWQDSEAILSPGDYSSECL -HAKISGYKYKAMLDYTDFNSQHTIQSMRLIFETMKELLPPEASFALDWCIASFDNMQTSDGRKWTATLPS -GHRATTFINTVLNWCYTQMVGLKFDSFMCAGDDVILMSQEPISLAPILKSQFKFNPSKQSTGTRGEFLRK -HYTEAGVFAYPCRAIASLVSGNWLSESLRDNTPILVPIQNGIDRLRSRAGLLGVPWKLGLSELIEREAIP -KDVSMALLNSHAAGPGLITRDYSSFTVTPTPPKLHSSLEYTATRHGLQDLCKHVPWKQLTANECNKLGQQ -IKKMSHRHCSQTKITYKCVYEVFKPSGLPTVLSEVSQSALSLVWWQAMLKEAMQDYSTKKKDAHMYACNA -CTSSVSGDAFLRATSKMAGVLITSLISSSS - ->AET81014.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] -MASTLISSDISATLRIYSEVINNTNTSPPDNPMSDHSNPRLTKVLDELSKLPCRNINEFRKMIRNFQPHF -IQRRYGYRRNPLPRREDNFLWVVRNRIQSSVETQFLAATNSVPQQSLNLDISLTDESTSITPGSILGSIK -MLENSHHFPAFKSMIQIFNARYGGSFQDSAHLQSPQYPQLLAYLFGQLIAIKDRVDLFRPSNPLSLADAL -FGFTLAQNARPRFDDHSYAKACQEPLVIPAASNSDCGACGFGQINANQPLTLPLGAWFFVNPETVNYQSF -RDFLWLSFATHQRMPNQMQNNWPCSLNIVSTCAAPGRQAPHAGELTDERVRLALDTGHRILLSMFNDDEE -TLRYYQRKGFETMFRPCWFYTEGGLLRKATRYVSGVPLNGLYYGDGATSYVVSPIHTDAHPGITAAIESF -VDIMVLQAVFSFSGPKVVGAKVNAGQIDAAMVGGPAVAEGDGFVYDPLRPAPPLSAFFTEFIHRPAGQRI -FQMAMSQIYPSHAPLGIANVINSIHFCKTKIVNNKLRATFVRRPPRAPHLKADTAIINRFHDPELAYALG -ILADGIAPLDGSHEYNVLDELDYLFNGGDIRNSFGLNALNTRGLGQIVHIRPKREPGKRPRRGFYTTLDG -QVHPVTQDAPLDEIYHWRDHGNLTRPYSCHILDSQGLEFADRFQRTVTRKDPCGRQLTPQDMRCLPGPQL -RAKAGQRHVERINQCGGSVIYPRLSTARACTKSAIDLMTKALAHQLWYLYKSSDLLRQLPTMIPHSYKTF -LVWLLRIDPHNEKSSIRHFPSQDNHEVITHSLRNLTKEQDFTLFPIIDIVQAKGRVNGYGRNLLDGSPLP -DFALQQMLLPNTANDVVCAILLLGVVLSMLRSPISIIVNISRAICRNDSLLKDLSDFNKMLGLPRIPIAN -CLTELNTLQGRGVTSSDAKRDLTHRRADVNPHVAKISRENLTEAINQIYKEEISRKELPDTFKQDVFTFP -LWVKKGAHHHPLFGSYDNRLELVENLELDRVLKSQAAVYITQAPKLEHGKTRFIYNCDTVSYIFFDYILN -YIESVWSKKHVLLNPDYMNPVIFSTLNYDEFCMLDYTDFNSQHSIESMKQVFLFLFPFLLWSMHSILRGC -VTSFDNMYINKTHWNSTLPSGHRATTFINSVLNRAYNLPFLQVANAFHTGDDVLLCGKADYATLINTVPY -ELNKTKQSFGPSAEFLRLHKHNDQVSGYAARAISSLVSGNWLSFANPLWQGSLLSIMQQNYTRSAWSGLL -PYIPVSMKKEVQRRNDLRSRITNGLFSGDIVPSGCPCYKSNAAILSAVVPDTVVKAPPTFFDLRTLDILK -QTSPWINTASRYMDLLEGRHKESDNKNVIYSIQYLPSKMLPMIDVDPADATGLRKRYHGRSHIAHPLPRD -GHLKEFRFATCRVGPATALRLGGLWPANRINLIKPVYV ->AED99808.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] -MASTLISSDNSATSGTVGEVINNTDTSPPDTPPSDHSNPRLTKILDEMSKKPCVNINEIRKVIRNFQPQI -IQPRNGNRPGAQPRTVNSFEWVVRIQSTVNTQLLGATNTIPEQTLNLDISFTDDSTTITPASIPGSISML -DNSRHIPAIQSMIQNFKARYLGNLQDTAQLNSPQYPQLLAYLFGQLIAIKDRLDLFRPSNPLSFADALFG -FTLAQNARPRYDDHIHAKACTGPIVIPAATNADCGPCGFVQINANQGLTLPLGACLFVNPDTVNDQSFQD -FLWLIFATHHRMPNQMQNNWPFALNIVSTCAAPGRQVPQAGQLTDARFHAALDTGHRILLSMFNDDEETL -RYYQRKGIETMFRPCCFYTEGGLLRKATRYVSMVPLSGLYYYNGASSYIVTPIHTDAHPGITAAIESFVD -IMVLQAVFSFTGPKVVAARADANQVDASSVFGPAVAEGDGFVYDPRRPAPPLSAFYSEFIHRPAEQRIFQ -MAMSQIYGSHAPLIIANVINSIHNCKTKIVNNKLRATFVRRPPGAPHLKADTAIINRFHDPELAYALGIL -ADGIAPLDGTHEYNILDELDYLFNGGDIRNCFGLNALNTRGLGQIVHVRPKRDPGKKPRRGFYTTLDGQV -HPITHDAPLDEIYQWRDHGNLTRPYSCHILDSEGLEFADVSNGRSRGKLLVVVTTPLKTSAAYQGPQLRA -KAGQRYVERINQCGGNVIYPRLSTARACSSSAIDSKTLTLANQLCYLYKSSDLHQQLDFTIPQSYLTFLE -WLLRMNPDNQKSSIRHFPNYDNQEVITCNLRNLTKEQEIELFPIKDIIQANRRVNAYARNLLDASPLPDF -ALQQMLLPKTANDVVCGILLLGEVLWLLRCPISIIVGISRAICRNDSFIKDLSDFNKMLGLTKIPIANCL -TELNSLQGRGVTSSDAKRDLTHRIDDVNPHEAKISREILKEAINHIYKEEINKTEVPDTFKQHVFSSPLW -VKKGAHHHPHFKSYDNRLEFVENVDLDRVLQSHPAVYITQASKLEHGKTRYIYNCDTVSYIYFDYILNYV -ESVWSNKHVLLNPDYMNPVIFSSLNYDEYCMLDYTDFNSQHSIASMKLVFSCLMPFLPYSMHSVLQWCLT -SFDNMYINNVHWKSTLPSGHRATTFINSILNRAYLLPFLQVSNAFHTGDDVLLCGKADYATLINTVPYEL -NKTKQSFGSSAEFLRLHKHNNQVSGYPARAISSLVSGNWLSYDNPLWQPSLLSIMQQLYTISARSGLLPT -LPVTMKLEVRRRYDLPTRLTNGLFSGDIVPSGCPCYKSNAALLSAVIPDTVLKAQPKHYDLRTLDILKHT -SPWINSESKYLDLLDRRHMESNKKNVLYNIQYLPSKMLPMIDVDPSEALPPQKRYHPRSHIAHPLPRDAH -LKELRFATCRVGPATAIRLGSLWPANRINLIRPVYV ->AED99798.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 4] -MSAIATTIASANLNDLSRSANAPQNEGVPALAPQQNNAKPNTGPPDPGEGTKQQTTNSSLSANDFTKEHT -PATTIQKDTTIPNTDLPSSEEGPRTHSTDFQTLYEYFYSYPVPASQTRTGGAITRNGPVNDNNEVVSFTT -ETALVTSLTPRHIDTNIQPLQISIADDCVNYSCQYSGQTCPIFDGSQHVQSATALASSMKARLMCEVTQS -LSARPVQQPQIIAYLYGALLALGDRLNIHYGNKVNLWNALLGHNLQRGAPINGENFNHHLLIDGPLAPPI -LPAAGLGPFPSTTLGPNTTVTFKARASIFVRPQTYDYALVDAAFWLIYAMYSRMPVAFRQSYSLNIDFFT -VQPMAACVFPGHDGFTTPVIDQALGVLESMLVEMFNGDREIMYYYAFKGGQVFMRPCSCYQEGGLIRKAS -RNVSLASFTGIYSLIGYCAPEARPLHAANHPGIIAALFQYVDTMVLQAVLSYSGPKLIHFGAAPEFATKG -STPYNFIDPDNYWGIRAGVNAHPVGYYYLDILMRQKEHQLLDETLSDIYGHVGSLAMSNIMASIASSGTE -VLNQKMQKSFVRRGNQVRALRHSHAIINRFHEPEYAYRLGILADGIMPLSGTHKCNIIDEATRLLEGEDI -RNLPGLRCLRGRGLDAIVGIRPINKKRRAGFYTLDGNFHVVTNQSTSDILQVWNDHGYIARPYACHIVES -INVEIYDKSNGAYEGWIQALVGGFGVPERCYMGPSSAGSRRRPLCPLKGSNCAAALHVDGQLYRASRLPY -RKLTTSHLNCSKHCARQLAVIYRYQTLSPQLTEVSDADYLAFLRWVLLPYTGATNRPHPKRWPKPFYPRE -VNLKFLDKETELQLFPLKKVPQADLKVNCFARNLLYSSPLSDRILKQCIPVGTNNDTVCGLIILLELLFE -AGVPLDLLPIISVAIAKNDPFVKALSDFNKMTGATTSHIANLLTECATLLGRGVAGSEPNVDLYHRVAPE -GNPHEAKISDDVLRSAIRTIYKQEIKDCPKPGDFRLHLLTSPFWCKSGSHHHPEFPSYRNRLEFVMNTDP -DNIIAVKPSVYITQAQKLEHGKTRYIYNCDTVSYLYFDYILNYIESIWANSHVLLNPDALNAEKFATLEY -PKYCMIDYTDFNSQHTLTSMKVVFEVLKEFLPSEMFPVLDWCITSFDNMTIRDKKWRSTLPSGHRATTFI -NSVLNRAYLLPYIGTIVSYHCGDDVLLCGDYDYQNLITRLPYELNPSKQSFGPHAEFLRLHRHGEKVIGY -PTRAISSLVSGNWLSTTSWNWQPSLLSVTNQINAIICRSQLSINRIRSLAQELRFRYCPLFDGYIDPATT -SFVAAGCPSYQPTATMIIPDVPHLDAGEVEFAQLHQLAKYAINTYPWLNSVESVDQLVRNRMRRPAAQDI -RYSILGPAIPLVSYHHHCDPMVVPPARRYYPRDHLAPPITPQVLPPQPVFCDRDLSPIIALKIAPAGVAV -KVTADRPIASA ->AED99802.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 3] -MSAPEPLNTEVRSPNGVSEATETQNLAVTQSSVSNEKTIDTQSDLQTLKKQLQPVTRSTDFETLYNYFYA -LNVSPSTDRIGNAITRNTPVNDTNEVVSFPLTASVSHTFSNTPVPAHIQPLQISIADDCVNYELDESGTL -CPALDSSVHVQRATSLASALKVKLTGEVMHSASVRPIQTPQLIAYLYGVLLAVQDRLNIHRNQHTNLWRS -LCAAGRAAQAKPFFDEIPNNKFRTGALLAPPLPDAGFGPFPAEGLNQNSKLDFKSKGYIFYKQRTYNPDD -MNRAFWFIWAIYNRMPEDFQNSYPLNITFCTSELPVQSPMPAADGISAEQCDRALVLLDKVILEFFNNDR -KLAYYYVFKGCQFVMRPCSCYQEGGLIRKASRNVALRAFTGIYYLAGFAEQYANMISCATHPGIIGALFQ -YVDTMVLQAVFSLSGPKLVRFAAPPEYQGRHACPFSFVADENYWGIAPGSDAEPVGMYYMDIIQRKAEHD -LFTETFMDIYGSTASIICANIETSLFTSGTNVINKRMQNDFARDTPKPGTLRHQHAIINRFHEPEYAYRL -GILADGIIPLGGSFEVDILKEAERLITGEDIRNLPGLRCLCSRGLDAILGIRPVQQKRKKMSYFRTLDGT -FHEVTIRSDTHDLQVWNDHGYLARPYACHIVDSDGIEFYDKSNGLYKGRVNVLISGFAIPGRAYRGPSLA -GSNRGRPSLSDIPATGSLSNLIDLSKASRLPYRKLKEGLRASDYTVARELASAFRNSRLTRQMDHVTDIA -YLNFLRWVLLPYNGQTLRPHPSKWSPTPYPEHVNLKFLTKEIELELFPLKKAPQADLKVNCYARNILAST -ELTDDLLKQCLPVGLNNDSVCGIVIVLELLLIAGVPSKLLPIIGQAIANKDPFIKELSDFNKMIGATTSR -IANILTECNTLIGRGVKSSDPSADLYHRVAPEGNRHEAKISRHILIEAIDKIYKNEMTSMPPPGDFMLHL -ITSPLWCKAGSHHHPHFAKYDSRLEFVMDVPADKIAAEPPSAYITQAEKLEHGKTRYIYNCDTVSYLFFD -YILHYVECVWSNESVLLNPAAMSVERFSVLDYPEYCMIDYTDFNSQHSLESQKLVFECLRPYLPREMHSV -LDWCIASMDHMEINGQHWLSTLPSGHRATTFINSVLNKAYLIPYIGDTVSFHCGDDVLLCGEYDYQTLID -TLPYELNKSKQSFGPNAEFLRLHRRGGDVIGYPSRAVSSLVSGNWLSKTSWEWQPSLISVTNQCNVIISR -SQLNIRFIPAMQQELRNRYADKMSEPFDVSSNYYVMPGCPCYSDAATTIVPNVPQLEHSDVPFSQAQKLF -DTMRDYCPEFTTVNDVIDKVKARRSSSAVSNIMYNVCSPVAPQVCVVVNPNNYQFLLRKRYYPREHIAPS -GFDESSDSKLVFTTYDLAPSIAMKSCAVLTPAKIICGHGLRSG ->AED99816.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 1] -MEASANGLSHDDNATRSQNVGPSTLPGSDKQGGEKHENSFNSFSYDFFFNFLRMSAHTHISDSPGVSFVG -KDGTPYSTATIQSAVGRLTHNVIASAVQLNVTADNVLEVDYGFGQDVSRSAGTITIPIFDGEKYKETARA -LAAIFSKKGMAVDVTSQTVQETLKNSDLTIATVAAGYYTALAARHELTKEVSMAAHTIPFVTALSDTFSA -APDAQRSSHVISSCLRCPHSNNIQHDIGIGTDIWNNVSVESLSPQNMAVPNPNDVSFFIPNKALPSSWWC -AIWLLNAFIHSFVAPTRFHIFIAPGETYHLAPFTDADIYEAIPIMLAMSKAARPVPESVESMLYAYGTQM -IIQPHSLYTEGGLIRKMIFTVPHLPAHGYFVTNSEYSRYMNIAVPNDPRSAKDFIIGAGTGLLQITLAYQ -AAFSCAGPIALHWHANDAISQGMDTIAETYLQGRYFTVPMAVAVATNVAQYTTLVRADPQYRHTLDRILP -RIFGPSTDTVFNFIESAISSSWVSIDARRRNGRTRKFRTAFINRFHDPEFAYMFGITGNGIERMEGKVTS -TISQEVDYLLNGGDLRNCPVLRTLKAAERDETITFMCKEKAGTLIAMDGTVRFFKRFETIDLTQLGWTSH -GKVMKPYAFRAPLINGITICNTAYTTTAIDIVTTVFGPLRQRVGSLLSKAVRCGPVIPAVKHHFNFKNVI -VATRNNSEYTFIPGYGWVLQDDYLLNAVKMTGEGDIPPDQLPYDDDLLLSYAKILLYDYITHFPKYRYNN -PKILTQTTELQLFPLKDDSAARNKVNFYARLLWNEATSDKKAFKPGTYNDTVAGLLMWQQCALMWSVPQS -IINRVISGVCDALTDRTSLALLKRISDWLKQLGLAYSPIHRLFIELPTLLGRGAIPGNAILDIKHRLTFD -PSITVDVPTDRLHRLIYRLLSRNLHITTASSFEDHLEERLLWSKTGSHYYPDDEVNKLLPHRPTRKEFLD -IVTVDYIKRCKPQVFIRQSRKLEHGKERFIYNCDTISYVYFDYILKLFETGWQDGEAILSPGDYTNDRLH -AKISSYKYKAMLDYTDFNSQHTIQSMRLIFETMKELLPPEMSFALDWCIASFDNMKTSDGHKWVATLPSG -HRATTFINTVLNWCYTQMVGLKFDSFMCAGDDVILMSQEPISLAPILTSNFKFNPSKQSTGTRGEFLRKH -YTEEGVFAYPCRAIASLVSGNWLSDTLRDNTPMVVPIQNGVDRLRSRAGLLGVPWILGLSELIEREDLPK -EVGMALLNSHAAGPGLITRDYSSFTVTPKPPTITSSLEYTATRHGVQDLSKHVPWKQLTTQECNRLGQQI -KKMSHRHCSQAKITYKCVYEVFKPNRLPTVLSDVSQPSLSMAWWQAMLKEAMQDYTVKKIDAQMFASNAC -TNSVSGDAFLRATPKMAGVLITSLISSSS ->ABC86751.1 dsRNA-dependent RNA polymerase, partial [Trichomonas vaginalis virus 1] -VPFLSKAVRCGPVVPSIKHHFNFKHVIDIKHGGNNYTFIPGYGWVLQDDYLLNAVKMTGEGDLPPDQLPY -DDDLLLTYAKILLYDYITHFPKHRYKNPKILTPETELQLFPLKTDSAARNKVNFYARSLWNETTTDKSAF -KPGTYNDTVAGLLMWQQCALMWSLPHSVINKTISGVCDALTDRTSLALLKRISDWLKQLGLAFSPIHRLF -IELPTLLGRGAIPGNAIKDIKHRFKFDPSITVDVPKDLLHSLIYRLLSRNLDISKKNSFEHHLEERLLWS -KSGSHFYPDEMIDQLLPKQPTRKEFLDVVTADYIKQCAPRTYIRQSRKLEHGKERFIYNCDTISYVYFDF -ILKLFETGWQDREAILSPGDYTSERLHTRISSYKYKAMLDYTDFNSQHTIESMRLVFETMKELLPSETAF -ALDWCIASFDNMQTTDGKKWVATLPSGHRATTFINTVLNWCYTQMVGLEFDSFMCAGDDVILMSHAPISL -APILTSPFKFNPSKQSTGTRGEFLRKHYTNEGVFVYPTRAIASLVSGSWLSDSLRENTPILVPIQNGIDR -LRSRAGLLGVPWISGLSELIEREAIPKDVGMALLNSHAAGPGLITRDYSSFTVTPNPPQVSSTLEYTATR -YGLQDLCKHVPWNQLSTTECNRLGQQIKKMSHRHCSQAKITYHYTHEVFKPSGLPTVLSDASQPSLSMVW -WQAMLKEAMQDNSTKKIDAHMFACNACTGCVSGDAFLRANPKLAGVLITSLITSSS ->AET81016.1 RNA-dependent RNA polymerase [Trichomonas vaginalis virus 2] -MASTLLSSDKSATLGKDTEVINMPNSSPPDNPSWDHSFPGLTMVLDDMSKNPFVNINEIRSVIRNFQRQM -ILPRTGIRPDAQPRTVDSFEGVVNRIQCTVETQLVGATYTVLQETQNHDKSFTEDSTTITPASIPPSISL -LDKSRHNRSILRIIQNFKANYLGYLLDTPQLQTPQYPQLLAYLFGQLIAIWDRLDLLRPSCPLSLADALN -GFTLAQYARPRYDDHRHAWACQGPLVIPAASNSDCGPCGFVQIKANQGQTLPLGACHFVNPERVNDQSFQ -EFLWLIFATHHRMPNQMQNNWPFSLNIVSTCAAPDRQAPHAGELTEERVRLARDTGHRILLSKKNDDEET -LRYYQLKGIETMFRPCCLYTEGGLLRKATRYVSMVPLNGLYYYNGATSYVVSSIVTDAHPGITSAIESFV -DIMVLQAVFSFSGPKVVAAKVNARKIDAAMVFGPAVAEVDGFVYDPLLPAPPLSAFYTEFIHRPAELRIF -QRAMSQIYGSHAPLIIANVINSIHNCKTKIVNNKLRATFVRRPPGAPHLISDTAIINRFHDPELAYALGI -LADGIAPLDGSHEYNVLDELDYLYNGGDIRNCFGLNALNTRGLGQIVHIRPKREPGKRPRRGFYTTLDGQ -VHPVTQDAPLDEIYHWRDHGNLTRPYSCHILDSQGLEFADRFQRTVTRKDPCGRLLTGQDMRCLPGPQLR -AKAGQRHVERINQCGGSVIYPRLSTARACSLSAIDMMTLALAYQLCYLYKSTDLHRQLDTMIPQSYLTFL -EWLLSFDPHNVKSSIRHFPSQDFHEVITHSLSFLTKEQEITLFPIKDIVQANRRVNAYARNLLDASPLPD -GALQLMLLPNTANDVVCAILLLGDVLWMLRCPISIIVNISRAICGNDSFLKVLSDFNKMLGLTKIPLANC -LTELNTLQGRGVTSCDGKSDFTYLIADVNPHDSKISRENLREAMYQICKEEITRKEVFDSFKQHVFTSGY -WSKKGAHHHPLFGSYDNVLECVENVDLDRVLKSHRAVYITQAPKLEHGKTRFIYNCDTVSYIYFDYNLNY -IENVWSNIHVLLKTFYMFGVVFSRLNYDEYCMLDYTDFNSQHSIESMKQVFLCLFPFLPRSMHSILQWCV -TSFDNMYMNKTHWGSTLPSGHRATTFINSVLNRAYLLPFLQVCNAFHTPDDVLLCGKADYRTLIKTVPYE -LFKTKQSFGPSPEFLRLHKHNDLVSGYPARAKSRCVSPNWLSFAFPLWQPSLLSCMQQLYTISARSGLKP -YIPVTLKLGVQRRYDLRSRITNGLFSGDIVPSGCPCYKSNAALLSAVDPDTVVKAPPTFYDLRTLHILKH -SSPWINSASTYMDLLERRHMQSDNKNVIYSIQYVPSKMLPLIDVDPADATPLRKRYHPRSHIAQGLPRDA -HLKELTFATCRVRPATARRLGSLWPAKTIFVMMPVYV diff --git a/seq/clusters_seq/cluster_342 b/seq/clusters_seq/cluster_342 deleted file mode 100644 index b95e514..0000000 --- a/seq/clusters_seq/cluster_342 +++ /dev/null @@ -1,188 +0,0 @@ ->YP_009361875.1 capsid protein precursor [Hom-1 vesivirus] -MATTHTLLSFDDLEFLLHKKDLTDLYGERCGTLNLVINPYDLFLPDELDDDWCNDPFNCCFTDVYASIGT -EYSYIDPPELIYKEHCATNGSWPDGTPCTPILPPFNITGTHHYYATKPGEVVSGILSKLGASWDPSLRST -ADVSNNFTFRAESDGPGSSEIVTEEQGVIVQQQPAPAPTALATLATASTGKSVEQEWMTFFSYHTSINWS -TVESQGKVLYSQALNPAINPYLDHISKLYSTWSGGIDVRFTVSGSGVFGGKLAALLVPPGVEPIESVSML -QYPHVLFDARQTEPVIFTIPDIRKTLFHTMDDTDTTKLVIMVYNELINPYEGNNEAKTTCSITVETRPSA -DFSFALLKPPGSLIRHGSVPSDLIPRNSAHWLGNRWWSTISGFSVQPRVFQSNRHFDFNSTTTGWSTPYY -VPIEIKLHAETKANNRWFHVIDTDKALVPGLPDGWPDTTIPESVTATNGDFAYATDFYNPATKTVDPTKN -TTPFKGTYICGTLSTVTIPEVDNQNYAKKEAQKKSQTMYITTANIGDGNASPQHKISPQKLIVFFDGPES -TMDINVTLCPLGFTLVDGQPIGSSSSKVVRIATLPEAITQGGNYPIFYVNKVKIGYFDRQTTECYNSQVL -MTSQKLAEGNYNLPPDSLAVYRITDSSSQWFDIGINHDGFSFVGLSDLPSDLEFPLTSTFMGVQLARVKL -ASKVKSTARTI - ->YP_009140469.1 capsid protein [Vesivirus ferret badger/JX12/China/2012] -MAAYSQSLKNTSPTWSDTDSDSEFDFELRDCGPDSHFGRTLASLYGWERVPSGTLDFFVDEMDYCDDPFH -CCHPELLEDVCDEVYVSRDMPLLLHLKHVTDCFIPWESGFSKPVNPPFYAGWNTYLLPRPGEIFHRCLTQ -VAKSWDPSLPCEFRAESDNPGNPEITTEEQGVVVPAGPQPAAPSMNTLAVAATGTPLESEWKLFFAYHTT -INWNTRDASGKVLFSQRLSPKLNPYLKFISKIYSAWSGSVDIRFTVSGSGVFGGKLAAVIVPPGVDSSGG -ISLLQFPHVLFDARQTEPVIFNVPDIRSILWHSMSEEETSTLVIVVYNELINPYSNSDNGTDCSITVETR -PGADFQFSLLKPPTRILSGGKEPSDLIPKSSLLWEGNRLAGQVVTFAINPTIGQANRHFDTNKSTYGWSS -PKHGCIDVYIPTQGTHKAITRAEVRDTITPQLVPGVPDGWPDYSIPSITKSGEHTAMSDYNGLNYGYFCG -TMKYTSTNQGTGSKIEPAVIMVGELGENGSSATATNRIWNQTILVSQISRTAGTYRLIPMMNIRSGHNDG -PIGDDLNRVVYYDKLPTATTRNGNYPLYFVSNYMSNYGSSGIQVYNSQILHMSSQLASAPYNIGPDSFAV -YRIKDSTGKWFDVGVAADGFCFVGSFTLHFSAMSAPYTASYMGIQSAQNQLAHNVTAGQSRAV - ->YP_009109565.1 capsid protein precursor [San Miguel sea lion virus 8] -MASSTSSPKWSSSSDEFDDCNYTYECECIDCTQKKEFDAQLASKFGWEKCPSGTLEFFYDPEDTYCDDPF -HCCHPEVLDELGQEFYCGRTNPELEALYHTVQNPNVWETGWTKPTAPPFNLGDGFYMAFKPGAIMHQCIQ -AVAKSWDPSLPSEDKEPLVFRAESEISGDPAITTEEQGVAVATGPQPSAASMNTLAVAATGATPGEEWRT -FFAYSTNIRWSTDDGNGKVLYQQLLSPRLNPYLKFLSQIYSGWSGSIDVRFSISGSGIYGRKLAAVVIPP -GIDPSGGTNILQFPHVMFDARATEPVILNIPDIRRNLWHGMNDVDTPYLVIVVYNELINPYQGTNGVASG -CTVTVETKPGVDFQFNLLKPPGRLLRSGKEPSDLIPRSSLLWTGNRLPGDVVTFAINPTIGQANRHFDSN -RFTSGWSTPQHGDILLRATGAPNKRVLLASHRDGNALVPGVPDGWPDYIPPSNWGVTPTDLSTYDTLKYG -LVSACLEYSGNNQGRGSIAEHAVIGVGTVSYASGSTPNGTVTPSRQIWAQTLAFVYPKEISGTKKFKVRP -MMCIRENAQAGPIGDKLDRVAYYDKLPTATTRNGNYPLYFVSLFMSNYGNDGVQCYNSQLLSTSAAFAAD -NYDIGPEAFAVYRIKDSAGKWFDVGIAADGFAYVGSFVLDFAALQAPYTSSYMGIQSAGNPLAHNITAGT -QRQL - ->YP_007111845.1 ORF2 protein [Mink calicivirus] -MPRPQRSYKSQRKAYSKALGRRFGWKSCPLGTLDFFYDEETACCDDPFHCCHEEVMDDICYELFYLDTDP -DEACFLHSVSNFIPWESGWTFPAAPPFNLMGGFFLATKPGVVIQRCLEKVALPGVLHFSTRFTPFYTHED -VVFRAESAAAGNPEITTEEQGSVVATGPQPSASGMTTLASAATGTQEAEWKLFFAYHTSMNWSTRDGSGK -VLFSQALSPKLNPYLKFLSQIYSAWAGSIDVRFTVSGSGVFGGKLAAVVVPPGVDASGGTSLLQFPHVLF -DARQTEPVIFNIPDIRKILWHGMEDQDTSTLVIIVYNELINPYHSGADATDCTITVETRPGADFSFNLMK -PPTRILKTGKEPSDLIPKSSLLWEGNRLAGTIVTFAINPTIGQANRHFDSNKSTYGWSSPKHGDIICQVT -GQNNKRVFAVTTGDSAMLVPGVPDGWPDYTAQAEWSVPTTDLASYDNFAYGQVSACMQYTHQNQGSGRIA -DHSVIGVGTLDNERTRVTPSNKIWANTLVLVYPKGITQNTKFKLRPMMTIRADANGRPIGDSLNRVCYYD -KLPTATTLNGNYPLYYVSYFMSNYGNNGIQVYNSQILTTSANFAQSNYNIGPDSFAVYRIKDSTGRWFDV -GISADGFSYVGSFVLNFSALSAPYTASYMGIQSNSNPLAHNVDAGQSRTI - ->YP_002004565.1 capsid [Steller sea lion vesivirus] -MATTHTLLSFDDLEFLLHKKDLTDLYGERCGTLNLVINPYDLFLPDDLDDCWCDDPFNCCFTDVYASIGT -EYSYIDPPELIHEEHRATNGTWPDGTPCEPILPPFTILGTHHYYATKPGEVVSGILSKLGSSWDPSLRST -ANVEANFTFRAESDGPGNSDIVTEEQGTVVQQQPAPAPAALATLATASTGKSVEQEWMTFFSYHTSINWS -TVESQGKVLYSQALNPSINPYLDHIAKLYSSWSGGIDVRFTVSGSGVFGGKLAALLVPPGVEPIESVSML -QYPHVLFDARQTEPVIFTIPDIRKTLFHNMDETDTTKLVIMVYNELINPYENTVEGKTTCSITVETRPSA -DFCFALLKPPGSLIKHGSIPSDLIPRNSAHWLGNRWWSTISGFSVQPRVFQSNRHFDFDSTTTGWSTPYY -VPIEIEIEAKTGGDNYWFHVRDTEKALVPGLPDGWPDTTVPESTSASNGNFDYREGFHDTKTKVVDPTKN -ETHFKGAYICGTLSTVTLTNDTKVKADAQKKSQTMYITIADYSSNRVSPQHKISSRKLLVYFDGPQHDET -VHATLSPLGFVMVDGQPIGSDSSKVVRIATLPEAFTQGGNYPIFYINKVKVGYFDKTTTDCYNSQILMTT -QKLAEGNYNLPPDSLAVYRITDSSSQWFDVGINRDGFSYVGLSDLPVLEFPLTSTFMGVQLARVKLASKV -RVNRTSIK - ->YP_873923.1 major structural protein precursor [Rabbit vesivirus] -MATTHTLLSFDDLEFLLHKKDLTDLYGERCGTLNLVIDPYDLFLPDGLEDEFCDDPFNCCYCDVYNSIGT -EYSYIDPPEFIHEEHCATNGSWPDGTPCEPILPPFVIEGTHHYYATKPGKVVSGILSKLGSAWDPDLQSK -VDTSVNFVFRAESDGPSNPDVVTGEQGTVVQQQPAPAQTALTTLAAASTGKTVDCEWTTFFSYHTAVNWS -TTEAQGKILFSQALSPELNPYLKHISSLYSTWSGGVDVRFTVSGSGVFGGKLAALIVPPGIEPVESPSML -QYPRVLFDARQTEPVIFTIPDIRKTLYHSMDDTDTTKLVIMVYNELINPYEQADIKSSCSITVETRPSTD -FTFSLLKPPGSLLKHGSVPSDLIPVNSRHWMGNRWWTTIDGFVVQPRVFQSNRHFDFDSTTTGWSTPYFT -PIEVTVKPISGSQYLQVTDAEKQLVPGIPDGWPDTTIPENTIMSNGKYAYTMDQDKENPKIKQNDTHFKG -FYIMGNLMTRADNKSTNQEEMQRTSVTLFATNAKMNSNNKLEPSHKISSNSLLLYNADNREVMTKTEVAH -STMSQLGYVLVDEKPIGSESSKVVRIATLPEAFANGGNFPVFFRNKIQIGYFDRQHTECWNSQILMTSRR -LAENHYSLPPDSLAIYRITDSSSSWFDLGIDHDGFSYVGASTLPEFNFPLTATFMGVQLAKIKLASKVKI -DRTTV - ->NP_783197.1 capsid protein precursor [Feline calicivirus] -MCSTCANVLKYYNWDPHFKLVINPNKFLSIGFCDNPLMCCYPELLPEFGTVWDCDQSPLQIYLESILGDD -EWSSTYEAIDPVVPPMHWNEAGKIFQPHPGVLMHHIIGEVAKAWDPNLPLFRLEADDGSITAPEQGTVVG -GVIAEPSSQMSTAADMASGKSVDSEWEAFFSFHTSVNWSTSETQGKILFKQSLGPLLNPYLEHLSKLYVA -WSGSVEVRFSISGSGVFGGKLAAIVVPPGVDPIQSTSMLQYPHVLFDARQVEPVIFTIPDLRSTLYHLMS -DTDTTSLVIMVYNDLINPYANDSNSSGCIVTVETKPGSDFKFHLLKPPGSMLTHGSVPSDLIPKTSSLWI -GNRFWSDITDFVIRPFVFQANRHFDFNQETAGWSTPRFRPITVTISEKNGAKLGVGVATDFIVPGIPDGW -PDTTIGEKLVPAGDYAITNGSGNDITTANQYDAADIIRNNTNFKGMYICGSLQRAWGDKKISNTAFITTA -TVEGNDLIPSNVIDQTKIAIFQDNHVQDEVQTSDDTLALLGYTGIGEEAIGANRERVVRISTLPETGARG -GNHPIFYKNSIKLGYVIRSIDVFNSQILHTSRQLSLNHYLLPPDSFAVYRIIDSNGSWFDVGIDFDGFSF -VGVSDVGKLEFPLTASYMGIQLAKIRLASNIRSTMTKL - ->NP_777371.1 capsid [Walrus calicivirus] -MATTHTLLSFDDLEFLLHKKDLTDFYGERCGTLNLVINPYDLFLPDELDDDWCDDPFNCCFADVYASIGT -EYSYIDPPDLIHDEHCATNGTWPDGTPCEPILPPFVIEGTHHYYATKPGEVVSGILAKLGSTWDPDLQSK -VDTGANFVFRAESDGPSNPDVITEEQGTVVQQQPAPAQSALTTLAAASTGKTVDCEWTTFFSYHTAVNWS -TTEAQGKILFSQALSPELNPYLRHISSLYSTWSGGIDVRFTVSGSGVFGGKLAALVVPPGVEPVESPSML -QYPHVLFDARQTEPVIFTIPDIRRTLYHTMDDTDTTRLVIMVYNELINPYEQTDIKSSCSITVETRPSVD -FTFSLLKPPGSLLKHGSMPSDLIPTSSRHWMGNRWWTTIDGFVVQPRVFQSNRHFDFDSTTTGWSTPYFI -PIEVTVDASENKQYLRVIDAEKQLVPGIPDGWPDTTIPERATMTNGKYSYTVQPGPEGKGHILKSNETHF -KGFYIMGNLTTKTKTTDNGGNDAELQQTSVTLFATNAKANKDSLDSNNKISSNSLFLYNADNRATMSKTK -TAHSTMSQLGYVLVDDNPVGSESSKVVRIATLPEAFANGGNFPIFYRNKIQIGYFDRQHTDCWNSQILMT -SQKLAENHYSLPPDSFAVYRITDSSSDWFDLGIDRDGFSYVGVSTLPEFNFPITATFMGVQLAKVKLAFK -VKNSKTKL - ->NP_777374.1 capsid protein precursor [Canine vesivirus] -MARYLELNPQNYSDEEYDYDSYNPFPNFEKNLASHYGTDFVPRINLDDFFLDDEDFEFCDDPLNCCFPDY -LASLGEEEFIYEGDEPYIVLKHQLVSSTMWDDGTFTYPILPPFKTSSISYFLPKPGEVLHRCLMAVAKGM -DPDLQVAVGTEFQFRAESDSSHPPDITTEDQGTVVATGPQPSAPAMATLATAATGTMPEEWKNFFSYYTT -INWATTDETGKVLFVQNLAPRMNPFLDHIAKMYTGWSGSMEVRFTISGSGVFGGKVAAVLVPPGISTEGG -TNLLQFPHVLVDARQTEPVIFTIPDIRTQLWHDMHDTSTSHLVILVYNDLVNPFQGGENGTSCTITVETR -GGTDFEFHLLKPPTRKMIFGADPSRLIPRRSQFWEGNRLPGVITSFVCLPRMFQANRHFDCKRQTFGWSR -PVHKGIEVRVDATNKDAANTTDIGIHVVTARNAIKSDIPDGWPDYYRTGEQVYNNTTQTFQEVKESVMGS -AVPDSTATAMTWHHLPTVVFGHGTAVGSKTTNSKVLSGNFYAIGNFDQSGNIKLYPSYWIAKEQSAGGAP -IGAYEDMVKRIDVLPTAQTTGGNFPVAFVSKFASSHNGNGVSVYNSQILTTSALLAQDVYDIGPNALAVF -KIKGSGGYWFDLGISADGFSYVGGGNLNFSSLQFPLEATYVGMASLHNKLQYNLGGSATTL - ->NP_066256.1 capsid protein precursor [Vesicular exanthema of swine virus] -MATTHTLLSFDNLEFLLHRKDLTDLYGKRCGTLNLVINPYELFLPDELDDDCCDDPFNCCFSDVYASIGT -EYSYIDPPDLIYEEHCATNGTWPDGTPCEPILPPFTITGTHHYYATKPGEVVSGILSKLRVFLGSLLRST -ADVNSNFTFRAESDGPGSTEIVTEEQGTIVQQQPAPAPTALATLATASTGKSVEQEWMTFFSYHTSINWS -TVESQGKVLYSQALNPSINPYLDHISKLYSTWSGGIDVRFTVSGSGVFGGKLAALLVPPGVEPIESVSML -QYPHVLFDARQTEPVIFTIPDIRKTLFHSMDETDTTKLVIMVYKNGADTKTTCSITVETRPSADFTFALL -KPPGSLIKHGSIPSDLIPRNSAHWLGNRWWSMISGFSVQPRVFQSNRHFDFDSTTTGWSTPYYIPIEITI -TAKVKGNNHWYHVIAHDKALVPGIPDGWPDTTIPSEVHASNGNFDYAKGFHDDKEIVNPANNNTHFKGTY -ICGTLSTIKDPEKAENQSESQKKSSTMYVATADLGDNKVKPQHKISSQKLVVYFDGPEKDLTMNATLSPL -GYTLVDDQPIGSNSSTVVRIATLPEAFTQGGNYPIFYVNKTNKGYFDKATTDCYNSQILMTSQRLAEGNY -SLPPDSLAVYRITDSSSQWFDIGINHDGFSYVGLPDLPADLTFPLTSTFMGVQLARVKLASKVKVTRNSI -K - ->sp|P36285.1|CAPSD_SMSV4 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Flags: Precursor -MATTHTLLSFDDLEFLLHRKDLTDLYGERCGTLNLVINPYELFLPDELDDDCCDDPFNCCFPDVYASIGT -EYSYIDPPELIHEEHCATNGTWPNGDPCEPILPPFTITGTHHYYATKPGEVVSGILSKLGSSWDPSLRST -ADVSNSFTFRAESDGPGSAEIVTEEQGTVVQQQPAPAPTALATLATASTGKSVEQEWMTFFSYHTSINWS -TVESQGKILYSQALNPSINPYLDHIAKLYSTWSGGIDVRFTVSGSGVFGGKLAALLVPPGVEPIESVSML -QYPHVLFDARQTEPVIFTIPDIRKTLFHSMDETDTTKLVINPYENGVENKTTCSITVETRPSADFTFALL -KPPGSLIKHGSIPSDLIPRNSAHWMGNRWWSTISGFSVQPRVFQSNRHFDFDSTTTGWSTPYYVPIEIKI -QGKVGSNNKWFHVIDTDKALVPGIPDGWPDTTIPDETKATNGNFSYGESYRAGSTTIKPNENSTHFKGTY -ICGTLSTVEIPENDEQQIKTEAEKKSQTMYVVTADFKDTIVKPQHKISPQKLVVYFDGPEKDLTMSATLS -PLGYTLVDEQPVGSVSSRVVRIATLPEAFTQGGNYPIFYVNKIKVGYFDRATTNCYNSQILMTSQRLAEG -NYNLPPDSLAVYRITDSSSQWFDIGINHDGFSYVGLSDLPNDLSFPLTSTFMGVQLARVKLASKVKAHTI -TAK - ->sp|P27406.1|CAPSD_FCVF9 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Protein 40k; Flags: Precursor -MCSTCANVLKYYDWDPHFKLVINPNNFLSVGFCSNPLMCCYPELLPEFGTVWDCDRSPLEIYLESILGDD -EWASTFDAVDPVVPPMHWGAAGKIFQPHPGVLMHHLIGKVAAGWDPDLPLIRLEADDGSITAPEQGTMVG -GVIAEPSAQMSTAADMATGKSVDSEWEAFFSFHTSVNWSTSETQGKILFKQSLGPLLNPYLEHLAKLYVA -WSGSIEVRFSISGSGVFGGKLAAIVVPPGVDPVQSTSMLQYPHVLFDARQVEPVIFCLPDLRSTLYHLMS -DTDTTSLVIMVYNDLINPYANDANSSGCIVTVETKPGPDFKFHLLKPPGSMLTHGSIPSDLIPKTSSLWI -GNRYWSDITDFVIRPFVFQANRHFDFNQETAGWSTPRFRPISVTITEQNGAKLGIGVATDYIVPGIPDGW -PDTTIPGELIPAGDYAITNGTGNDITTATGYDTADIIKNNTNFRGMYICGSLQRAWGDKKISNTAFITTA -TLDGDNNNKINPCNTIDQSKIVVFQDNHVGKKAQTSDDTLALLGYTGIGEQAIGSDRDRVVRISTLPETG -ARGGNHPIFYKNSIKLGYVIRSIDVFNSQILHTSRQLSLNHYLLPPDSFAVYRIIDSNGSWFDIGIDSDG -FSFVGVSGFGKLEFPLSASYMGIQLAKIRLASNIRSPMTKL - ->sp|P27405.1|CAPSD_FCVF4 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Protein 40k; Flags: Precursor -MCSTCANVLKYYDWDPHFRLIINPNKFLPIGFCDNPLMCCYPDLLPEFGTVWDCDQSPLQIYLESILGDD -EWASTHEAIDPSVPPMHWDSAGKIFQPHPGVLMHHLIGEVAKAWDPNLPLFRLEADDGSITTPEQGTAVG -GVIAEPSAQMSTAADMASGKSVDSEWEAFFSFHTSVNWSTSETQGKILFKQSLGPLLNPYLEHLSKLYVA -WSGSIEVRFSISGSGVFGGKLAAIVVPPGVDPVQSTSMLQYPHVLFDARQVEPVIFTIPDLRSTLYHVMS -DTDTTSLVIMVYNDLINPYANDSNSSGCIVTVETKPGPDFKFHLLKPPGSVLTHGSIPSDLIPKSSSLWI -GNRYWTDITDFVIRPFVFQANRHFDFNQETAGWSTPRFRPITITISEKNGSKLGIGVATDYIIPGIPDGW -PDTTIADKLIPAGDYSITTGEGNDIKTAQAYDTAAVVKNTTNFRGMYICGSLQRAWGDKKISNTAFITTA -IRDGNEIKPSNTIDMTKLAVYQDTHVEQEVQTSDDTLALLGYTGIGEEAIGSNRDRVVRISVLPEAGARG -GNHPIFYKNSIKLGYVIRSIDVFNSQILHTSRQLSLNHYLLPPDSFAVYRIIDSNGSWFDIGIDSEGFSF -VGVSDIGKLEFPLSASYMGIQLAKIRLASNIRSRMTKL - ->sp|P27404.1|CAPSD_FCVC6 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Contains: RecName: Full=Protein 40k; Flags: Precursor -MCSTCANVLKYYDWDPHIKLVINPNKFLHVGFCDNPLMCCYPELLPEFGTMWDCDQSPLQVYLESILGDD -EWSSTHEAIDPVVPPMHWDEAGKIFQPHPGVLMHHLICKVAEGWDPNLPLFRLEADDGSITTPEQGTMVG -GVIAEPNAQMSTAADMATGKSVDSEWEAFFSFHTSVNWSTSETQGKILFKQSLGPLLNPYLTHLAKLYVA -WSGSVDVRFSISGSGVFGGKLAAIVVPPGIDPVQSTSMLQYPHVLFDARQVEPVIFSIPDLRSTLYHLMS -DTDTTSLVIMVYNDLINPYANDSNSSGCIVTVETKPGPDFKFHLLKPPGSMLTHGSIPSDLIPKSSSLWI -GNRFWSDITDFVIRPFVFQANRHFDFNQETAGWSTPRFRPITITISVKESAKLGIGVATDYIVPGIPDGW -PDTTIPGELVPVGDYAITNGTNNDITTAAQYDAATEIRNNTNFRGMYICGSLQRAWGDKKISNTAFITTG -TVDGAKLIPSNTIDQTKIAVFQDTHANKHVQTSDDTLALLGYTGIGEEAIGADRDRVVRISVLPERGARG -GNHPIFHKNSIKLGYVIRSIDVFNSQILHTSRQLSLNHYLLSPDSFAVYRIIDSNGSWFDIGIDNDGFSF -VGVSSIGKLEFPLTASYMGIQLAKIRLASNIRSVMTKL - ->sp|P36284.1|CAPSD_SMSV1 RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP; AltName: Full=VP1; Flags: Precursor -MATTHTLLSFDDLEFLLHKKDLTDLYGERCGTLNLVINPYDLFLPDDLDDDWCDDPFNCCFSDVYTSIGT -EYSYIDPPDLIYEEHCATNGHWPDGTPCEPILPPFVIEGTHHYYATKPGEAVSGILSKLGSAWDPDLQST -VDTKPDFVFRAESDGPGGADIVTEEQGTVVQQQPVPAQSALTTLAAASTGKTVDCEWTTFFSYHTAVNWS -TTEAQGKILFSRALSPELNPYLRHISSLYSTWSGGIDVRFTVSGSGVFGGKLAALIVPPGIEPVESPTML -QYPHVLFDARQTEPVIFTIPDIRKTLYHSMDDTDTTRLVIMVYNELINPYEQSEPKSSCSITVETRPSSD -FTFSLLKPPGSLLKHGSIPSDLIPRNSRHWMGNRWWSTIDGFVVQPRVFQSNRHFDFDSTTTGWSTPYYI -PIEVTLEKLDRGGQYFKVTDTEKSLVPGLPDGWPDTTIPTAMTASNGNYDYTVAEYRITNNGTHFKGFYI -MGNLTTKVKGSDNLGETQQTSRTLFASVGNYKDQNTINPTHKITSNSLVVYDANNVSAATAKTTTWHSTM -SHLGYVLVDESPVGSDSTKVVRIATLPEAFTNGGNFPVFFTNKIQIGHFDRAHTKCFNSQVLMTSQKLAE -NHYTLPPDSLLVYRITDAASSWFDLGINHDGFSYVGISTIPELDFPLTFNLHGVQLAKVKLASKVKTSKT -TI - diff --git a/seq/clusters_seq/cluster_343 b/seq/clusters_seq/cluster_343 deleted file mode 100644 index 0b783d6..0000000 --- a/seq/clusters_seq/cluster_343 +++ /dev/null @@ -1,109 +0,0 @@ ->YP_009094069.2 P [Avian paramyxovirus penguin/Falkland Islands/324/2007] -MDFSNDDEIAELLNLSSSVIKEIQRAEVKGPQTLGQPQVAPGNTSHLKELWEKESGTQTKPEAKSGSDEH -NALPEDVQGPPKTTDEQDKGPTARAEASVNTSLAHPDNDAPSDLDKALSKLEKKREAELNADKSLKKGTG -GELRYQEQPEKGKTAPRAKVTKRKANQPAAPMKNDASESRPQQLPDTEGSIPSSMSMDQLWWKAGATQTA -PQSELIPEEKNASAGGAQLPVSSVEMIMTMLKDIVTRVSRVEDRLSDISRNAALFPLVRNDINQLKATTA -LMSTQLASIQVLDPGNAGYKSLSEMKQASKQAVVIQAGYGDLESVPYEQGIMAKDELARPIPLHQRRDAN -NPIKAEIDAADIDSLKALIESLIENDKKKQKLYNQLDKIRTKSDYMRLKQQIYNS - ->YP_009553491.1 W protein [Avian metaavulavirus 20] -MDFSNDDDIAELLNVSSQVIREIQHAEGKPPQTVGSVKVSPGNTKTLTELWESEASQSQAAGPSDKSSGE -SSNQPNPPQTNQTHNEEDSAELDTITAATTTCNDNEDTTQQSSQGIKSNMGKDLDSALAKLEKKAASIKS -DKQVLKGGEKRSTANLGIPLFREDQ - ->YP_009553490.1 V protein [Avian metaavulavirus 20] -MDFSNDDDIAELLNVSSQVIREIQHAEGKPPQTVGSVKVSPGNTKTLTELWESEASQSQAAGPSDKSSGE -SSNQPNPPQTNQTHNEEDSAELDTITAATTTCNDNEDTTQQSSQGIKSNMGKDLDSALAKLEKKAASIKS -DKQVLKGGRKDQQPISESHCSERINSRTNSSIQSLPSHTTESTGTVPRLCWQHRQPKCSECPSGSFWIPG -HRREYAFSTNNGLTYLTSWCNPVCSPVRTNPRVEQCRCGYCPSVCILCRDDSS - ->YP_009553489.1 phosphoprotein [Avian metaavulavirus 20] -MDFSNDDDIAELLNVSSQVIREIQHAEGKPPQTVGSVKVSPGNTKTLTELWESEASQSQAAGPSDKSSGE -SSNQPNPPQTNQTHNEEDSAELDTITAATTTCNDNEDTTQQSSQGIKSNMGKDLDSALAKLEKKAASIKS -DKQVLKGGEKINSQSRNPIVPRGSIAGQTQVSNHSHPIPPKAPVQSPDYVGSTGNQSAVNVHLDHSGFLG -TEESMRFPQIMASHTSPAGVTQSALQSEQIQESSNADAAIVHQSASYAETILHSDSMVLGRLAKIDEKLS -EIMKIIGIIPSIKNDINQLKATTALLSNQLAAIQILDPGNAQCKSLNEMRAASRSATVVVSGPGELSPQL -VSDKIICKNELGQPIPREEYRASQPTKSSPNMVTDAELESLQALIETLVEPGKKRDRLVSQLTKVKTKDD -WNRLKRAIYNS - ->YP_009513215.1 V protein [Avian metaavulavirus 2] -MEFTDDAEIAELLDLGTSVIQELQRAEVKGPQTTGKPKVPPGNTKSLATLWEHETSTQGSALGTPENNTQ -APDDNNAGADTPATTDVHRTLDTIDTDTPPEGSKPSSTNSQPGDDLDKALSKLEARAKLGPDRARQVKKG -EGDRVEHRDEGGSQSPHGREPTVGARSGQPSTATRPWRPGHRREYSFISRDGRLEVTSWCNPVCSPIRSE -PRREKCTCGTCPESCILCRQPN - ->YP_009513214.1 phosphoprotein [Avian metaavulavirus 2] -MEFTDDAEIAELLDLGTSVIQELQRAEVKGPQTTGKPKVPPGNTKSLATLWEHETSTQGSALGTPENNTQ -APDDNNAGADTPATTDVHRTLDTIDTDTPPEGSKPSSTNSQPGDDLDKALSKLEARAKLGPDRARQVKKG -KEIGSSTGTREAASHHMEGSRQSEPGAGSRAQPQGHGDRDTGGSTHSSLEMGDWKSQAGATQSALPLEAS -PGEKSAHVELAQNPAFYAGNPTDAIMGLTKKVNDLETKLAEVLRLLGILPGIKNEISQLKATVALMSNQI -ASIQILDPGNAGVKSLNEMKALSKAASIVVAGPGVLPPEVTEGGLIAKDELARPIPIQPQRDSKPKDDPH -TSPNDVLAVRAMIDTLVDDEKKRKRLNQALDKAKTKDDVLRVKRQIYNA - ->YP_009512952.1 phosphoprotein [Avian metaavulavirus 8] -MDFANDEEIAELLNLSTNVIKEIQKSELKPPQTTGRPPVSQGNTRNLTDLWEKETASQTKTPAQSPQTTQ -VQSDENEEGEIKSESIDGHIRGTVNQSEQVPEQNQSRSSPGDDLDRALNKLEGRINSISSMDKEIKKGPR -IQNLPGSQAATQQATHPLAGDTPNMQAQTKALAKPHQEAINPGNQDTGESIHLPPSMAPPESLVGAIRNA -PQFVPDQSMTNVDAGSVQLHASCAEMISRMFVEVISKLDKLESRLNDIAKVVNTTPLIRNDINQLKATTA -LMSNQIASIQILDPGNAGVRSLSEMKSVTKKAAVVIAGFGDDPTQIIEEGIMAKDALGKPVPPTSVISAK -AQTSSGVSKGEIEGLIALVETLVDNDKKAAKLIKMIDQVKSHADYARVKQAIYNA - ->YP_009512945.1 phosphoprotein [Avian metaavulavirus 6] -MDFSNDQEIAELLELSSDVIKSIQHAETQPAHTVGKSAIRKGNTSELRAAWEAETQPTQTENKSEEHPEQ -TARDPDSKGNTGNSQPRSNAEETPQSESHDRQVAAPPPDTTIGVNGTNGLEAALKKLEKQGKGPGKGQVD -RNTPQRDPTTASGSKKGKGGEPRSNALHQGYPQGTNLILPTQRPSHARLAQQASQEITRHALQPQDSGGI -EGNSPFLGDTASASWPSGATQSVHLSHLNPEHSNAFAGDALEYASTVATIVETLKFVVSRLEALENRVAE -LTKFVSPIQQIKADMQIVKTSCAVIEGQLATVQILEPGHSSIRSLEEMKQYTKPGIVVQTGMTQDISAVM -RDGTIVKDALARPVNPDKWSATINAQSTTMKVTQEDIKTVYTLLDNFGITGPKRAKIEAELANVSDRDAL -VRIKKRVMNA - ->YP_009508494.1 W protein [Avian paramyxovirus 14] -MDFSDDNEITELLNISLDTINKIQHAEDIKPKTVGKSAIKPGNTKALTKAWEDDSNTTSDNTQNDVRGNS -SAASNAQQSKEESGPETRPETAGVEVPSDPTKSSPDQAETGWSRGSNLDKTLENLEKATSNRVSDQTQKV -LKGGPVARDPLLRRPR - ->YP_009508493.1 V protein [Avian paramyxovirus 14] -MDFSDDNEITELLNISLDTINKIQHAEDIKPKTVGKSAIKPGNTKALTKAWEDDSNTTSDNTQNDVRGNS -SAASNAQQSKEESGPETRPETAGVEVPSDPTKSSPDQAETGWSRGSNLDKTLENLEKATSNRVSDQTQKV -LKGGRWPGTHSSGDQDEQWEEGTKNDLWPGCQHQNPAQRRTGLWAPGHRREYSIDWSQSGIWVREWCNPE -CSPITPIPRVFTCVCGKCPRVCQLCINDYRNFKIPCGSRGDS - ->YP_009508492.1 phosphoprotein [Avian paramyxovirus 14] -MDFSDDNEITELLNISLDTINKIQHAEDIKPKTVGKSAIKPGNTKALTKAWEDDSNTTSDNTQNDVRGNS -SAASNAQQSKEESGPETRPETAGVEVPSDPTKSSPDQAETGWSRGSNLDKTLENLEKATSNRVSDQTQKV -LKGAGGQGPTPQETKMNNGRKAQKTTSGPAVNIRTQPNAGLDSGRQDIGENTQLIGANQASGFESGAIPN -VLQSPQYPGSSHVSAVNAQEFANFASTITETLRFLVARVETVENRLTELTKLIMPIMSIKNDINSIKTSC -SLLEGQMAMVQILEPGSAHYSSLNELRQATQKRVLVSTEQLHPKQEIFSEGVIVKDEVGRPVSANTRLGG -KNTAADMTPVTTGEIEALNSMLDSFGITGKKRARLESQINSIKTSEDAKRVKRMIMAS - ->YP_009380505.1 phosphoprotein [Avian paramyxovirus 15] -MEFKSDEEINDLLNLSSSVISELQRAELKPPQTLGKPQVPSGNTTSLISLWEAEGAPENKLGRGNTGTAT -DTEGEQDQQIVQTEIEQTHTEDTCPKEIPVDNPGSFTQESDLDKTLKKLEQRNSNLLKKTDSPDAGTTFK -KGGQIAPKHQPLPSQVIEGNLPPQDCRQSRPSRTDASQRPSTTPKGAHPQLHPFQDIEENTQSVPLDHPL -KLLVGATPDVHQFEQSQGENGAHVGNVLESASFAEMTLSVLNEVLIRVARMEEKINDILKTNSTIPLIRN -DISQLKATTALLSTQMASVQVLDPGNAGFKSLSEMKAASKPAIIAISGPGDMDAVPIQDKLLVKDVLGRP -ISAERNIQAKETPDSVITQSDKDAIQSLIDTLVEDTSKQARLKAQLERVQDKPGLLKLKRLIYNA - ->YP_009094478.1 P protein [Avian metaavulavirus 11] -MRAKGIGSRDPPRHWHFIMDFTNDEEISDLLNQSERVITEIQRASQQPKSTVGKSAIPRGNTSNLTQAWE -SESSSTAEMGPISSLSTAKNANSEPTLKSGTAQPEGHQSQNPSSLPHIDDTITKEQPIDESVIKSQIKEG -AIGTQLDRFLDKTASRMKAESGVLRRGSISTQRNQEKSRSNLTPMPAMTPTTPGHQEVQPVSNTVHQSGL -SINTTQSLNHSPELEEWGIGENISSAVKGTNILLNPGATQNVHPSGQTQLENNAGVVTAPEHVPCVTPDH -DLLLTILQKLDKIEERLSDVMKLTAQIPGIKNDVMQLKATTGLLSTQMASIMVLDPGHASISSLSEMKRQ -IQPRVVVQTGIGDPSPYVSDQGVARLDELARPMRDPQSNTTSKEPEARIVDHDKEAVRALIDTVGLPDNK -RDRFIKLLEKTTTKEGLKRLKTQIMNS - ->YP_009094479.1 V protein [Avian metaavulavirus 11] -MRAKGIGSRDPPRHWHFIMDFTNDEEISDLLNQSERVITEIQRASQQPKSTVGKSAIPRGNTSNLTQAWE -SESSSTAEMGPISSLSTAKNANSEPTLKSGTAQPEGHQSQNPSSLPHIDDTITKEQPIDESVIKSQIKEG -AIGTQLDRFLDKTASRMKAESGFKKGVDQYSTESGEEQIEPDTDAGYDSDHSRASRGSASVQYRTPVWTI -NQHDSIIKSLPGIGRVGHRREYILRSEGNQYIVESWCNPKCAPIRANPIREQCRCGYCPRACTMCYP - ->YP_009094056.1 phosphoprotein [Avian metaavulavirus 7] -MEFSNDAEVAALLDLGDSIIQGIQHATMADPGTLGKSAIPAGNTKRLEKLWEKESVPNHDNMIHSSMSAE -PISGELPEENAKTEPTGTQEMPEQIQKNDNLQPASIDNILSSINALESKQVKKGLVLSPQSLKGVSPLIK -NQDLKNTMQDLETKPKAVTTVNPLANRQVSPGSLVIDESIPLLGVQEQTNLLSPRGVTQLAPQSDPILQS -NDAGAGIAQNSALDVNQLWDVINQQHKMLINLQNQVTKITELVALIPILRSDIQAVKGSCALLEAQLASI -RILDPGNIGVSSLDDLKTAGKQSVVINQGSYTDAKDLMVGGGLILDELARPTKLVNPKPQQSSKILDQAE -IESVKALIHTYTHDDKKRNKFLTALDKVTTQDQLTRIKQQVLNQ - diff --git a/seq/clusters_seq/cluster_344 b/seq/clusters_seq/cluster_344 deleted file mode 100644 index 32bf170..0000000 --- a/seq/clusters_seq/cluster_344 +++ /dev/null @@ -1,68 +0,0 @@ ->YP_009259655.1 protein pr [Chaoyang virus] -ATRFTRNGFVYMNVTGSDVGTWLSIKTAVGNGSCIVMATDVGTWCEDTVTYLCPKLDGAAEPDDIDCWCK -VVSVYVTYGRCRRDGVSRRSRR - ->YP_009163749.1 membrane protein [Spanish goat encephalitis virus] -SVLIPSHAQGELTGRGRKWLEGDSLRTHLTRVEGWVWKNKLLTLAMFAVVWLALESVVTRVAVLVVLLCL -APVYA - ->YP_009163748.1 premembrane protein [Spanish goat encephalitis virus] -MTLAATVRKERDGTTVIRAEGKDAATQVRVENGTCVILATDMGSWCDDSLSYECVTIEQGEEPVDVDCFC -RNVDGVYLEYGRCGKQEGSRTRR - ->NP_932083.1 PreM protein, partial [Omsk hemorrhagic fever virus] -IALAATVRKEGDGTTVIRAEGKDAATQVRVENGTCVILATDMGAWCEDSLSYECVTIDQGEEPVDVDCFC -RNVDRVYLEYGRCGKQEGTRSRRSVLIPSHAQKDLTGRGQRWLEGDTIRSHLTRVEGWVWKNKSLTLAVV -VIVWMTVESAVTRIVIVSALLCLAPAYA - ->NP_776070.1 PreM protein [Rio Bravo virus] -LMAMQVSQNGDGWLLSPDQMDIGKTYKVGTGLCIFSSLDIGVPCNLTITYACVTLANTEEPVDLDCFCRD -VQNVWVKYPLCKPGGHRLKRSLSITEHPSSVSLDKPTLWHHWNSVNDRIGKSEEWIVTNAWKSLVIAIVV -IMVFGISYQSMIVICIAALIAPSYS - ->NP_775678.1 PreM protein [Apoi virus] -AVVMNDGGWIFLKPTVADIGKTITVRNGTCVFSSLDIGNECEETMTYPCITLAATEEPVDLDCFCRDVKN -VMVTYPTCKRNTRTRRDVTIQDHPPSVTLTKPSLLKHVGGAEEHLQKVENWILMNSWKVVLVTVALLYGF -GNKLQTWILIIVTLIVAPAYA - ->NP_775644.1 PreM protein, partial [Montana myotis leukoencephalitis virus] -LMVAMEIEQQGTSLVIKPQRSDVGRPVRVSTGYCMFSAMDIGITCEKTIQYECVTLTTSEEPFDVDCYCR -NVTNVLVEYSTCNPTVERAKRSLVIQDHPHSETVAKPTLWLKWNTVNDRVGMTEEWVMRNTWKATILTLA -IIAVTGLNWKGVLLLVVALMFAPNLA - ->NP_775501.1 PreM protein [Tick-borne encephalitis virus] -MTLAATVRKERDGSTVIRAEGKDAATQVRVENGTCVILATDMGSWCDDSLSYECVTIDQGEEPVDVDCFC -RNVDGVYLEYGRCGKQEGSRTRRSVLIPSHAQGELTGRGHKWLEGDSLRTHLTRVEGWVWKNKLLALAMV -TVVWLTLESVVTRVAVLVVLLCLAPVYA - ->NP_775514.1 PreM protein [Powassan virus] -MAMATTIHRDREGYMVMRASGRDAASQVRVQNGTCVILATDMGEWCEDSITYSCVTIDQEEEPVDVDCFC -RGVDRVKLEYGRCGRQAGSRGKRSVVIPTHAQKDMVGRGHAWLKGDNIRDHVTRVEGWMWKNKLLTAAIV -ALAWLMVDSWMARVTVILLALSLGPVYA - ->NP_740274.1 premembrane protein [Louping ill virus] -MTLAATVRKEGDGTTVIRAEGRDAATQVRVENGTCVILATDMGSWCDDSLSYECVTIEQGEEPVDVDCFC -RNVDGVYLEYGRCGKQEGSRTRRSVLIPTHAQGELTGRGRKWLEGDSLRTHLTRVEGWVWKNKLLALAMV -AVVWLALESVVTRVAVLVVLLCLAPVYAS - ->NP_740259.1 matrix protein [Modoc virus] -AVNIASHPEIVPLKPVTYMPTLGSVNDRVGKTEEWVTNNMVKTLLFVFIMFAIFGFDWKTVVVLCLAALA -LPSFA - ->NP_740258.1 PreM protein [Modoc virus] -IEVVKNNGGWLMKPRLQDTGSTVPVGKGSCTFTSLDVGYECDYKIGYDCVTLTSTEDPNGIDCYCRGVDM -VRVEYPLCKKGSNRVRR - ->NP_740294.1 membrane-associated glycoprotein precursor [Langat virus] -ATVRRERDGSMVIRAEGRDAATQVRVENGTCVILATDMGSWCDDSLAYECVTIDQGEEPVDVDCFCRGVE -KVTLEYGRCGRREGSRSRRSVLIPSHAQRDLTGRGHQWLEGEAVKAHLTRVEGWVWKNKLFTLSLVMVAW -LMVDGLLPRILIVVVALALAPAYA - ->YP_009268586.1 protein pr [Lammi virus] -ASMFTRDGKAHLNVSSSDVGKWLQIKTAVGNGTCIVTATDVGSWCADNVRYLCPRLDNAADPDDVDCWCN -IVSVYVTYGRCKRESSGPRRGKR - ->YP_009268570.1 protein pr [Ilomantsi virus] -TTVTTRDGTVYVTMAPQDVGKWLAIRSRLGNSSCILNAMDVGSMCDDSITYECPVINDGTDPEDIDCYCK -GLPIVVTYGRCKNATGATTKPTNRRSRR - diff --git a/seq/clusters_seq/cluster_345 b/seq/clusters_seq/cluster_345 deleted file mode 100644 index de5fd9d..0000000 --- a/seq/clusters_seq/cluster_345 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009227182.1 anchored capsid protein C [Spondweni virus] -MKNPKRAGSSRLVNMLRRGAARVIPPGGGLKRLPVGLLLGRGPIKMILAILAFLRFTAIKPSTGLINRWG -KVGKKEAIKILTKFKADVGTMLRIINNRKTKKRGVETGIVFLALLVSIVAV - ->YP_009227206.1 anchored capsid protein C [Zika virus] -MKNPKEEIRRIRIVNMLKRGVARVNPLGGLKRLPAGLLLGHGPIRMVLAILAFLRFTAIKPSLGLINRWG -SVGKKEAMEIIKKFKKDLAAMLRIINARKERKRRGADTSIGIIGLLLTTAMA - ->YP_001527878.1 capsid protein [West Nile virus] -MSKKPGGPGKSRAVNMLKRGMPRVLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSSKQKKR - ->NP_776010.1 core protein C [West Nile virus] -MSKKPGGPGKNRAVNMLKRGMPRGLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSTKQKKR - ->YP_001531165.2 Anchored capsid protein [Dengue virus 3] -MNNQRKKTGKPSINMLKRVRNRVSTGSQLAKRFSKGLLNGQGPMKLVMAFIAFLRFLAIPPTAGVLARWG -TFKKSGAIKVLKGFKKEISNMLSIINQRKKTSLCLMMILPAALA - ->NP_739581.2 Anchored capsid protein [Dengue virus 2] -MNNQRKKAKNTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRSAGMIIMLIPTVMA - ->NP_740314.1 anchored capsid (anchC) protein [Dengue virus 4] -MNQRKKVVRPPFNMLKRERNRVSTPQGLVKRFSTGLFSGKGPLRMVLAFITFLRVLSIPPTAGILKRWGQ -LKKNKAIKILIGFRKEIGRMLNILNGRKRSTITLLCLIPTVMA - ->YP_009430295.1 anchored capsid protein C [Zika virus] -MKNPKKKSGGFRIVNMLKRGVARVSPFGGLKRLPAGLLLGHGPIRMVLAILAFLRFTAIKPSLGLINRWG -SVGKKEAMEIIKKFKKDLAAMLRIINARKEKKRRGADTSVGIVGLLLTTAMA - ->YP_009333103.1 core protein C [Cacipacore virus] -MSKKPGGPGKNRVVNMLKRGASRAGPLQALKRMLGELLDGRGPIRFVLALLTFFKFTAISPTKALITRWK -TVDKTTALKHLKSFKKDLGNMMNVVNGRGKQKKK - ->YP_009333118.1 anchored capsid protein anchC [Kokobera virus] -MTKKPGRPGRNRAVNMLKRGASRALGPMIKLKRMLFGLLDGRGPLRMVLAILAFFRFTALKPTAGLLKRW -GMMDKVHALSLLKGFKKDLASMTDFVHLPKKKSGVSIIGRMLVFSFTAA - ->YP_009329944.1 anchored capsid protein anchC [Saint Louis encephalitis virus] -MSKKPGKPGRNRVVNMLKRGVSRVNPLTGLKRILGSLLDGRGPVRFILAILTFFRFTALQPTEALKRRWR -AVDKRTALKHLNGFKRDLGSMLDTINRRPSKKRGGTRSLLGLAALIGLASS - ->YP_164808.1 core protein C [Usutu virus] -MSKKPGGPGRNRAINMLKRGIPRVFPLVGVKRVVMGLLDGRGPVRFVLALMTFFKFTALAPTKALLGRWK -RINKTTAMKHLTSFKKELGTMINVVNNRGTKKKR - ->NP_775662.1 core protein C [Japanese encephalitis virus] -MTKKPGGPGKNRAINMLKRGLPRVFPLVGVKRVVMSLLDGRGPVRFVLALITFFKFTALAPTKALLGRWK -AVEKSVAMKHLTSFKRELGTLIDAVNKRGRKQNKR - ->NP_722514.1 core protein C [Murray Valley encephalitis virus] -MSKKPGGPGKPRVVNMLKRGIPRVFPLVGVKRVVMNLLDGRGPIRFVLALLAFFRFTALAPTKALMRRWK -SVNKTTAMKHLTSFKKELGTLIDVVNKRGKKQKKR - ->NP_722457.2 anchored capsid protein [Dengue virus 1] -MNNQRKKTGRPSFNMLKRARNRVSTVSQLAKRFSKGLLSGQGPMKLVMAFIAFLRFLAIPPTAGILARWG -SFKKNGAIKVLRGFKKEISNMLNIMNRRKRSVTMLLMLLPTALA - diff --git a/seq/clusters_seq/cluster_346 b/seq/clusters_seq/cluster_346 deleted file mode 100644 index e5f8f5f..0000000 --- a/seq/clusters_seq/cluster_346 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_009512937.1 matrix protein [Parrot bornavirus 5] -MNSRHTYVELKDKVIVPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLQLPREKRLADYFTIDVEPSGH -SLVNVYFQIDDFLTLTLNSIAVYKDPIRKFMFIRLNEEQSKHAINAAFNVFSYRLRNIGVGPLGPDIRSS -ST - ->YP_009512931.1 matrix protein [Parrot bornavirus 1] -MNSKHTYVELKDKVIVPGWPTLMLEIDFVGGTSHNQFINIPFLSVREPLQLPREKKLVDYLTIDVEPSGH -STVNVYFQIHDFLVLTLNSISVYKDPLKPFMFVKLSEQQSKHAINAAFNVFSYRLRNIGVGPLGPDIRHS -SP - ->YP_009505426.1 matrix protein [Estrildid finch bornavirus 1] -MNSRHTYVELKDKVIVPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLQLPREKRLVDYLTIDVEPAGH -SLVNVYFQVDDFLALTLNSLAVYKDPIKRFMYIRLNEEQSKHAINAAFNVFSYRLRNIGVGPLGPDIRVN -GP - ->YP_009269416.1 matrix protein [Variegated squirrel bornavirus 1] -MNSKHTYVELKDKVIVPGWPTLMLEIDFVGGSSRNQFLNIPFLSVKEPLQLPREKRLTDYLTIDVEPAGH -SLVNIYLQIDDFLALTLNSISVYKEPVKKFMYIRLNEEQSKHAINAAFNVFSYRLRNIGIGPLGPDIRAS -GP - ->YP_009268914.1 matrix protein [Aquatic bird bornavirus 2] -MNSKHTYVELKDKVIVPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLILPREKRLVDYLTIDVEPCGH -SLANVYFQIDDFLALALNALAVYTNPIKKYMFIRLNEEQSKHAINAAFNVFSYRLRNIGVGPLGPDIRVS -GS - ->YP_009268908.1 matrix protein [Canary bornavirus 1] -MNSKHSYVELKDKVIIPGWPTLMLEIDFVGGTSRNQFLNIPFLSVREPLQLPRERKLIDYLTLDVEPVGH -SLVNVYFQADDFLVLTLNSLAVYSNPIKKYMYIRLNEEQSKHAINAAFNVFSYRLRNIGIGPLAPDIRHS -GS - ->YP_009268902.1 matrix protein [Parrot bornavirus 7] -MNSKHTYVELKDKVIVPGWPTIMLEIDFVGGTSRNQFINIPFLSVKEPLQLPREKKLVDYLTIDVEPSGH -SLVNVYFQIHDFLVLTLNSISVYKDPLKPYMFIKLSEQQSKHAINAAFNVFSYRLRNIGVGPLGPDIRHS -SS - ->YP_009268896.1 matrix protein [Parrot bornavirus 4] -MNSKHTYVELKDKVIVPGWPTLMLEIDFVGGTSHNQFINIPFLSVKEPLQLPREKKLVDYLTIDVEPSGH -STVNVYFQIHDFLVLTLNSISVYKDPLKPFMFIRLSEQQSKHAINAAFNVFSFRLRNIGVGPLGPDIRHS -GP - ->YP_009174179.1 matrix protein [Parrot bornavirus 2] -MNSKHTYVELKDKVIVPGWPTLMLEVDFVGGTSHNQFINIPFLSVKEPLQLPREKKLVDYLTIDVEPSGH -STVNVYFQIHDFLVLTLNSISVYKDPLKPFMFIKLSEQQSKHAINAAFNVFSYRLRNIGVGPLGPDIRHS -SP - ->YP_009055061.1 matrix protein [Loveridges garter snake virus 1] -MAPKHEYIELKDKVIVPGWKTLMLEIDFVGGASRNQFVNFPLLSVKDDFRLPREKRLINYLTVDAEPSGH -GSVNIYLLFSDFLVQTLNSISVYKDPIKQHMYVRLTENQSKHAINAAFDVHSYRLRNVGVGPLGPDIRAT -SP - ->YP_009041459.1 matrix protein [Canary bornavirus 3] -MNSKHSYVELKDKVIVPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLQLPREKRLVDYLTLDVEPVGH -SLVNVYFQVDDFLALTLNSLAVYSNPIRKYMYIRLNEEQSKHAINAAFNVFSYRLRNIGVGPLAPDIRTS -GS - ->NP_042022.1 matrix protein [Borna disease virus 1] -MNSKHSYVELKDKVIVPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLQLPREKKLTDYFTIDVEPAGH -SLVNIYFQIDDFLLLTLNSLSVYKDPIRKYMFLRLNKDQSKHAINAAFNVFSYRLRNIGVGPLGPDIRSS -GP - ->YP_009268920.1 matrix protein [Borna disease virus 2] -MNSKHSYVELKDKVIVPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLQLPREKRLTDYFTIDVEPAGH -SLVNIYFQIDDFLLLTLNSLSVYKDPVRKYMFLRLNKEQSKHAINAAFNVFSYRLRNIGVGPLGPDIRSS -GP - ->YP_009237645.1 matrix protein [Aquatic bird bornavirus 1] -MNSKHTYVELKDKVIIPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLQLPREKRLVDYLTIDVEPAGH -SLVNVYFQIDDFLALTLNSLAVYTNPIKKYMFIKLNEEQSKHAINAAFNVFSYRLRNIGVGPLGPDIRVS -SS - ->YP_009165495.1 matrix protein [Canary bornavirus 2] -MNSKHSYVELKDKVIIPGWPTLMLEIDFVGGTSRNQFLNIPFLSVKEPLQLPKEKRLVDYFTLDVEPVGH -SLVNVYFLIDDYLVLTLNSLAVYSNPIKKYMYIRLNEEQSKHAINAAFNVFSYRLRNIGVGPLAPDIRAS -GS - diff --git a/seq/clusters_seq/cluster_347 b/seq/clusters_seq/cluster_347 deleted file mode 100644 index c2022f6..0000000 --- a/seq/clusters_seq/cluster_347 +++ /dev/null @@ -1,145 +0,0 @@ ->YP_009505434.1 nucleocapsid protein [Simian Agent 10] -MLSLFDTFNARRQENITKSAGGAIIPGQKNTVSIFALGPTITDDNEKMTLALLFLSHSLDNEKQHAQRAG -FLVSLLSMAYANPELYLTTNGSNADVKYVIYMIEKDLKRQKYGGFVVKTREMIYEKTTDWIFGSDLDYDQ -ETMLQNGRNNSTIEDLVHTFGYPSCLGALIIQIWIVLVKAITSISGLRKGFFTRLEAFRQDGTVQAGLVL -SGDTVDQIGSIMRSQQSLVTLMVETLITMNTSRNDLTTIEKNIQIVGNYIRDAGLASFFNTIRYGIETRM -AALTLSTLRPDINRLKALMELYLSKGPRAPFICILRDPIHGEFAPGNYPAIWSYAMGVAVVQNRAMQQYV -TGRSYLDIDMFQLGQAVARDAEAQMSSTLEDELGVTHEAKESLKRHIRNINSSETSFHKPTGGSAIEMAI -DEEPEQFEHRADQEQDGEPQSSIIQYAWAEGNRSDDRTEQATESDNIKTEQQNIRDRLNKRLNDKKKQGS -QPPTNSTNRTDQDEIDDLFNAFGSN - ->YP_009179207.1 nucleoprotein [Caprine parainfluenza virus 3] -MLSSFDTFSARRQENITKSAGGAIIPGQKSTVSIFVLGPSITDDNDKMAIALLFLSHSLDNEKQHAQRAG -FLVSLLSMAYANPELYLTANGSNADVRYVIYMIEKDPGRQKYGGLIVKTREMVYEKTTDWIFGSDLEYDQ -DNMLQNSRGSASIEDLVHTFGYQACLGALIVQVWIVLVKAITSISGLRKGFFTRLEAFRQDGTVKSSLVL -SGEAVEQIGSIMRSQQNLVTLMVETLITMNTGRNDLTTIEKNIQIVGNYIRDAGLASFFNTIRYGIETRM -AALTLSSLRPDINRLKALMELYLSKGPRAPFICILRDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQYV -TGRSYLDIEMFQLGQAVARDAESQMSSILEDELGVTQEAKQNLKMHIQRISNSDIAFQKPTGGSAIEMAI -DEEVDQVNSNNNQDQSNEVPSSLVSYAWPSGPDSRNREESTAQPDNIKNEQENIRERLNRRLREKREKSE -SKPTEEPQEENRTEIDDLFSAFGDN - ->YP_009094442.1 nucleocapsid protein [Porcine respirovirus 1] -MAGLLSVFDTFSSKRSESINRGGGGAVIPGQKNTVSVFVLGSSIVDDSDKLAIALMFLTHALDTDKQHSQ -RSGFLVSLMAMAYSSPELYLTTNGVNADVKYVIYTIEHDPQRTTHNGFIVRTRDMDYEKTTEWLFSRITN -KYPLLQGQKDTHDPESLLQTYGYPSCLGALIVQVWIVLVKAITSSAGLKKGFFNRLEAFRQDGTVRSSLV -FSGETVEGIGSVMRSQQSLVSLMVETLVTMNTARSDLTTLEKNIQIVGNYIRDAGLASFMNTIRYGVETK -MAALTLSNLRPDINKLKSLIDIYLSKGARAPFICILRDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDAESKISNALESELGITENAKDRLKHHLANLSGGDGAYHKPTGGGAIEVI -IDNADIDLRTEEITEEYSTRLSNIGDNKGRIADEQRRWETTRSISDDLNPDNNTDDEVSAAEKRIAERLA -KKEGKNTRSDILTTGGMTEDTDNDDDIMRMNALGGI - ->NP_604433.1 nucleoprotein [Human respirovirus 1] -MAGLLSTFDTFSSRRSESINKSGGGAIIPGQRSTVSVFTLGPSVTDDADKLLIATTFLAHSLDTDKQHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIERDPKRTKTDGFIVKTRDMEYERTTEWLFGPMIN -KNPLFQGQRENADLEALLQTYGYPACLGAIIVQVWIVLVKAITSSAGLRKGFFNRLEAFRQDGTVKSALV -FTGDTVEGIGAVMRSQQSLVSLMVETLVTMNTSRSDLTTLEKNIQIVGNYIRDAGLASFMNTIKYGVETK -MAALTLSNLRPDINKLRSLVDIYLSKGARAPFICILRDPVHGDFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDADSKISSALEEELGVTDTAKERLRHHLTNLSGGDGAYHKPTGGGAIEVA -IDHTDITFGVEDTADRDNKNWTNDSNERWMNHSISNHTITIRGAEELEEETNDEDITDIENKIARRLADR -KQRLSQANNKRDTSSDADYENDDDATAAAGIGGI - ->NP_067148.1 nucleocapsid protein [Human respirovirus 3] -MLSLFDTFNARRQENITKSAGGAIIPGQKNTVSIFALGPTITDDNEKMTLALLFLSHSLDNEKQHAQRAG -FLVSLLSMAYANPELYLTTNGSNADVKYVIYMIEKDLKRQKYGGFVVKTREMVYDKTTDWIFGSDLDCDQ -ETMLQNGRNNSTIEDLVHTFGYPSCLGALIIQIWIVLVKAITSISGLRKGFFTRLEAFRQDGTVQAGLVL -SGDTVDQIGSIMRSQQSLVTLMVETLITMNTSRNDLTTIEKNIQIVGNYIRDAGLASFFNTIRYGIETRM -AALTLSTLRPDINRLKALMELYLSKGPRAPFICILRDPIHGEFAPGNYPAIWSYAMGVAVVQNRAMQQYV -TGRSYLDIDMFQLGQAVARDAEAQMSSTLEDELGVTHEAKESLKRHIRNINSSETSFHKPTGGSAIEMAI -DEEPEQFEHRSDQERDGEPQSSIIQYAWAEGNRSDDRTEQDTESDNIKTEQQNIRDRLNKRLNEKKKQGS -QPPTNPTNRTNQDEIDDLFNAFGSN - ->NP_037641.1 nucleoprotein N [Bovine respirovirus 3] -MLSLFDTFSARRQENITKSAGGAVIPGQKNTVSIFALGPSITDDNDKMTLALLFLSHSLDNEKQHAQRAG -FLVSLLSMAYANPELYLTSNGSNADVKYVIYMIEKDPGRQKYGGFVVKTREMVYEKTTDWMFGSDLEYDQ -DNMLQNGRSTSTIEDLVHTFGYPSCLGALIIQVWIILVKAITSISGLRKGFFTRLEAFRQDGTVKSSLVL -SGDAVEQIGSIMRSQQSLVTLMVETLITMNTGRNDLTTIEKNIQIVGNYIRDAGLASFFNTIRYGIETRM -AALTLSTLRPDINRLKALIELYLSKGPRAPFICILRDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQYV -TGRSYLDIEMFQLGQAVARDAESQMSSILEDELGVTQEAKQSLKKHMKNISSSDTTFHKPTGGSAIEMAI -DEEAGQPESRGDQDQGDEPRSSIVPYAWADETGNDNQTESTTEIDSIKTEQRNIRDRLNKRLNEKRKQSD -PRSTDITNNTNQTEIDDLFSAFGSN - ->NP_056871.1 nucleocapsid protein [Murine respirovirus] -MAGLLSTFDTFSSRRSESINKSGGGAVIPGQRSTVSVFVLGPSVTDDADKLSIATTFLAHSLDTDKQHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIEKDPKRTKTDGFIVKTRDMEYERTTEWLFGPMVN -KSPLFQGQRDAADPDTLLQIYGYPACLGAIIVQVWIVLVKAITSSAGLRKGFFNRLEAFRQDGTVKGALV -FTGETVEGIGSVMRSQQSLVSLMVETLVTMNTARSDLTTLEKNIQIVGNYIRDAGLASFMNTIKYGVETK -MAALTLSNLRPDINKLRSLIDTYLSKGPRAPFICILKDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDAESKISSALEDELGVTDTAKERLRHHLANLSGGDGAYHKPTGGGAIEVA -LDNADIDLEPEAHTDQDARGWGGDSGDRWARSMGSGHFITLHGAERLEEETNDEDVSDIERRIARRLAER -RQEDATTHEDEGRNNGVDHDEEDDAAAAAGMGGI - ->sp|P36354.1|NCAP_PI1HA RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MAGLLSTFDTFSSRRSESINKSGGGAIIPGQRSTVSVFTLGPSVTDDADKLLIATTFLAHSLDTDKQHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIERDPKRTKTDGFIVKTRDMEYERTTEWLFGPMIN -KNPLFQGQRENADLEALLQTYGYPACLGAIIVQVWIVLVKAITSSAGLRKGFFNRLEAFRQDGTVKSALV -FTGDTVEGIGAVMRSQQSLVSLMVETLVTMNTSRSDLTTLEKNIQIVGNYIRDAGLASFMNTIKYGVETK -MAALTLSNLRPDLNKLRSLVDIYLSKGARAPFICILRDPVHGDFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDADSKISSALEEELGVTDTAKERLRHHLTNLSGGDGAYHKPTGGGAIEVA -IDHTDITFGVEDTADRDNKNWTNDSNERWMNHSTNNHTITIHGAEELEEETNDEDIIDIENKIARRLADR -KQRLNQANNKRDISSDADYENDDDATAAAEIGGI - ->sp|P06161.1|NCAP_PI3B RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MLSLFDTFSARRQENITKSAGGAVIPGQKNTVSIFALGPSITDDNDKMTLALLFLSHSLDNEKQHAQRAG -FLVSLLSMAYANPELYLTSNGSNADVKYVIYMIEKDPGRQKYGGLVVKTREMVYEKTTDWMFGSDLEYDQ -DNMLQNGRSTSTIEDLVHTFGYPSCLGALIIQVWIILVKAITSISGLRKGFFTRLEAFRQDGTVKSSLVL -SGDAVEQIGSIMRSQQSLVTLMVETLITMNTGRNDLTTIEKNIQIVGNYIRDAGLASFFNTIRYGIETRM -AALTLSTLRPDINRLKALIELYLSKGPRAPFICILRDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQYV -TGRSYLDIEMFQLGQAVARDAESQMSSILEDELGVTQEAKQSLKKHMKNISSSDTTFHKPTGGSAIEMAI -DEEAEQPESRGDQDQGNEPQSSIVPYAWADETRSDTQTESVTEIESIKTEQRNIRDRLNKRLNEKRKQSD -PKSTNIANDTNQTEIDDLFSAFGNN - ->sp|P24304.1|NCAP_PI1HC RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MAGLLSTFDTFSSRRSESINKSGGGAIIPGQRSTVSVFILGPSVTDDADKLLIATTFLAHSLDTDKQHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIERDPKRTKTDGFIVKTRDMEYERTTEWLFGPMIN -KNPLFQGQRENADLEALLQTYGYPACLGAIIVQVWIVLVKAITSSSGLRKGFFNRLEAFRQDGTVKSALV -FTGDTVEGIGAVMRSQQSLVSLMVETLVTMNTSRSDLTTLEKNIQIVGNYIRESGLASFMNTIKYGVETK -MAALTLSNLRPDINKLRSLVDIYLSKGARAPFTCILRDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDADSKISSALEEELGVTDTAKERLRHHLTNLSGGDGAYHKPTGGGAIEVA -IDHTDITFGAEDTADRDNKNWTNNSNERWMNHSINNHTITISGAEELEEETNDEDITDIENKIARRLADR -KQRLSQANNRQDASSDADHENDDDATAAAGIGGI - ->sp|Q07097.1|NCAP_SENDF RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MAGLLSTFDTFSSRRSESINKSGGGAVIPGQRSTVSVFVLGPSVTDDADKLFIATTFLAHSLDTDKQHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIEKDPKRTKTDGFIVKTRDMEYERTTEWLFGPMVN -KSPLFQGQRVAADPDTLLQTYGYPACLGAIIVQVWIVLVKAITSSAGLRKGFFNRLEAFRQDGTVKGALV -FTGETVEGIGSVMRSQQSLVSLMVETLVTMNTARSDLTTLEKNIQIVGNYIRDAGLASFMNTIKYGVETK -MAALTLSNLRPDINKLRSLIDTYLSKGPRAPFICILKDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGGTYLDMEMFLLGQAVAKDAESKISSALEDELGVTDTAKERLRHHLANLSGGDGAYHEPTGGGAIEVA -LDNADIDLETEAHADQDARGWGGESGERWARQVSGGHFVTLHGAERLEEETNDEDVSDIERRIAMRLAER -RQEDSATHGDEGRNNGVDHDEDDDAAAVAGIGGI - ->sp|P14155.1|NCAP_SENDH RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MAGLLSTFDTFSSRRSESINKSGGGAVIPGQKSTVSVFVLGPSVTDDADKLFIATTFLAHSLNTDKQHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIEKDPKRTKTDRFIVKTRDMEYERTTEWLFGPMVN -KSPLFQGQRDAADPDTLLQTYGYPACLGA - ->sp|P26590.1|NCAP_PI1HW RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MAGLLSTFDTFSSRRSESINKSGGGAIIPGQRSTVSVFILGPSVTDDADKLLIATTFLAHSLDTDKQHSQ -RGGFLVSLLAMAIRSPELYLTTNGVNADVKYVIYNIERDPKRTKTDGFIVKTRDMEYERTTEWLFGPMIN -KNPLFQGQRENADLEALLQTYGYPACLGAIIVQVWIVLVKAITSSAGLRKGFFNRLEAFRQDGTVKSALV -FTGDTVEGIGAVMRSQQSLVSLMVETLVTMNTSRSDLTTLEKNIQIVGNYIRDAGLASFMNTIKYGVETK -MAALTLSNLRPDINKLRSLVDIYLSKGARAPFICILRDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDADSKISSALEEELNVTDTAKERLRHHLTNLSGGDGAYHKPTGGGAIEVA -IDHTDITFGAEDTADRDNKNWTNNSNERWSNHSINNHTITISGAEQLEEETNDEDITDIENKIARRLADK -KQRLSQANNKQDANSDADYENDDDATAAAGIGGI - ->sp|P04857.1|NCAP_SENDE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MAGLLSTFDTFSSRRSESINKSGGGAVIPGQRSTVSVFVLGPSVTDDADKLFIATTFLAHSLDTDKQHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIEKDPKRTKTDGFIVKTRDMEYERTTEWLFGPMVN -KSPLFQGQRDAADPDTLLQTYGYPACLGAIIVQVWIVLVKAITSSAGLRKGFFNRLEAFRQDGTVKGALV -FTGETVEGIGSVMRSQQSLVSLMVETLVTMNTARSDLTTLEKNIQIVGNYIRDAGLASFMNTIKYGVETK -MAALTLSNLRPDINKLRSLIDTYLSKGPRAPFICILKDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDAESKISSALEDELGVTDTAKERLRHHLANLSGGDGAYHKPTGGGAIEVA -LDNADIDLETEAHADQDARGWGGESGERWARQVSGGHFVTLHGAERLEEETNDEDVSDIERRIAMRLAER -RQGILQPMEMKAAITVWITTKMTMPQQ - ->sp|P04858.1|NCAP_SENDZ RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NP; Short=Protein N -MAGLLSTFDTFSSRRSESINKSGRGAVIPGQRSTVSVFVLGLSVTDDADKLFIATTFLAHSLDTDKRHSQ -RGGFLVSLLAMAYSSPELYLTTNGVNADVKYVIYNIEKDPKRTKTDGFIVKTRDMEYERTTEWLFGPMVN -KSPLFQGQRDAADPDTLLQIYGYPACLGAIIVQVWIVLVKAITSSAGLRKGFFNRLEAFRQDGTVKGALV -FTGETVEGIGSVMRSQQSLVSLMVETLVTMNTARSDLTTLEKNIQIVGNYIRDAGLASFMNTIKYGVETK -MAALTLSNLRPDINKLRSLIDTYLSKGPRAPFICILKDPVHGEFAPGNYPALWSYAMGVAVVQNKAMQQY -VTGRTYLDMEMFLLGQAVAKDAESKISSALEDELGVTEAAKGRLRHHLASLSGGNGAYRKPTGGGAIEVA -LDNADIDLETKAHADQDARGWGGDSGERWARQVSGGHFVTLHGAERLEEETNDEDVSDIERRIAMRLAER -RQEILQPMEMKAAITVSIMTKMTIPQQ - diff --git a/seq/clusters_seq/cluster_348 b/seq/clusters_seq/cluster_348 deleted file mode 100644 index b36dc4e..0000000 --- a/seq/clusters_seq/cluster_348 +++ /dev/null @@ -1,125 +0,0 @@ ->APG78304.1 RdRp [Hubei picobirna-like virus 4] -MHISKVSQTELERILDKDKIQVVSDSLETLRLGRPPTPRTPLFKDKSENEVFSDWLTVLNRQDPKFKELV -DYDISRLEKTGPQGGYPPLSERMEELEAYYKNPRELKISDEEYDRSVIRLRTFLFGSEKDKRPMTYESIV -NRDVDEEKLNTNSGCPLYGKRSDPFIQKNAIALAKSGMWKTLPAILGSRSQRGKWRFIYMFPYAVNLVEK -SFLLPLMDIIRKRNILSFSAWEGFEDVEMAMHKQNFFKAQTIVSMDYKKMDTHCGEAFMNFVYDVIAPVF -QASYRPLLKESLFYACNIEVLIGIDKKVTGVHGLASGSGWTNFTESVFSQGIRFAIQDKLGLPLIGDQGL -GDDGALSFGPVINDVADVIVDTAAERGQDAEPSKQRVDNNTCVYLQRFFKSDIMIEGTNVVAGSYPSILA -LNTAMNPERFHDPRKWNESMEILRWIMILENCNHSPYFKQLIEYFIEGDKFKLGIEIPGFFNRGIVSAYK -EAKLIKGFVPSYNQSSIDRGILDFEVVKYLKARGR ->AMD33502.1 RNA-dependent RNA polymerase [Porcine picobirnavirus] -MKSEPVSSTTLQLIKCNNSLSSYLQNLSRGRLATPRSWLYESEKAESVLQRWIPIMKSANNKSKFGDEFD -QFDLKQIEKFGPQGAVPPIDSDACKEVIEPLFSPTKYDDEQALQHLWHDAKRFAEEAFGVRLLTKRPKSF -KRVIDDMRARDTLTTNSGFPRFTRRDSVKGDEIQDASSGLAYEYPAIILFRQYNGKLRPVWMFPMSANLI -EFSFAQVIQEELQSSKTCKWIRDYLSPWRGFEYVKETLTATWPHPAEIVGGDTTKMDAHMRPAQIRLVFE -IVKWLFQREYWPKLYQSLMHICEIPLLYSTEKQYCGVHGLASGSGWTQLTETVLQLLMAWRRGVTGQGIG -DDFYWIADMDAKEVVDYLGQYGLPANPTKQSVGTETLTFLQRYFRQGFVSRESGGVLGAYYPTIRALNSL -LQPEKFHKPKDWSSDMFCIRNYMILENCVDDPCFEEFCKFVAHGHKDMITFAKKSDSELSRIQRKSRLVP -GLNPSFNQEKREKPLSSFASIRLVKEL ->ALL29322.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MRARDTLESNSGWPMFTKRNKPEVIAQSAQSVKEAENGLWKTYPAMTSGLFLLVNIGQSVKEAENGLWKT -YPAIALFRNYNQKTRLVWMFPMSANLVGGSFFQPLQSILMKSVYAKQFLAPWSGFEAVREVMTSEYKEGN -FVAASDFSATDAHFQLATSMEVFDVISQCFQPRFRSALEESIRYMHEIPLVVSSTTRLVGEHGVSSGSNW -TNSIETMFDWILAEYVFLEHGYYSGLYAIGDDMSWCSATYDEQFSDLLAEIGLTVGQEIKAEKTTNDRDK -VKSLQRLFQRGYARPDGTLRAVYSTIPALKSIVYPDRFHSPKVWTKDVEAIRDFMILENCVDHPLFEEFC -RFVSAGDPHLRVFANYSANKQAELLRRSKLVPGLNSTYNQETRDSILPVIATVQFLRNL ->BAJ53294.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -IYLERRFTMKSEPINSTNLHLISSNANLSSYLDNLNRGRQATPRSWLYENEDADAVLQRWLPIMESANNK -SPFGNEFNQFDRKQIEKFGPQGLVPPVMSKECQEVIEPLYSPTDYDDENALSAYWDDAYLFAQEAFGSRL -KTKRPLSFKSVVDDMRARDTLTTNSGFPRFTRRDRVKTDEVQDATSGKAYDYPAIILFRQYNGKLRPVWM -FPMSCNLIEFTFSQVIQKALLDSPAEWIRQYLSPWLGFEDVKLTLTKQWFNGGPIDGGDTTKMDAHMRPA -QIRLVFEIVKWLFQESYWDSLHQSLIHICEIPLLYSRRDQYVGVHGLASGSGWTQLTETVLQLFMAWKRG -VIGQGIGDDFYWIADMSAEQLVDYLGKFGLPANPTKQTVSNDTLTFLQRMNRVGFFSREDSNTLGAYYPT -IRGLNSMLQPEKFHKPKDWSSDMFCIRNYMILENCVDDPCFDEFLKFVVRGHRDMIPFAKQSAEQLNRIQ -QRARLVPGLNPSYNQEKRDKPLSSFVSIQLASKM ->AKN50624.1 RNA dependent RNA polymerase [Picobirnavirus Equ3] -MKIESLPSEVYDVVLNNDGLKMYLNNLERGRSATPRSWLYEGKSDTEVLQAWLTILETVKSSEFGELIYQ -FDTSQLKKWGAQGEVKPIKELMEIVTEGFTNAGTPKPAIWNTPMWQQAKTNAIKYLIIDTGLYKRLRPRA -LSHVVDDMRDRDTLESNSGFPDFGRRKKPEILTAAFEAIKSGEFWEFPAIVLFRNYNQKTRIVWMYPMAT -NIYEGSFTQPLKEALMKSDLDFIAPWRGYDHVLARLSKLYGTGEFLSASDFSHTDAHFTKWAILEVYDVI -KYAFQEQYWDELKRSMLHVVEIPLIIGENSWIIGDHGVSSGSNWTNDVETYMDFIIAQYLTLLKLVKEPC -MAIGDDISHRRDSYLPDLDEQIAQVCQSMGFDVNAEKVTNQQDWVKFLQRLTIRGFNSERTYSVEGVEYP -LLRGIYSTIRALNSSLNPEKFHSPKLWSKDMFAVRQFMILENCIDHPLFVQFVKFVCAGNPYLVKFAKLK -NEQIDKKWAQSRILPGLNPTYNQEKRDKPLSTYAAIAIARSL ->AIG71990.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus VS6600008] -LERLADGKKATPRSWVYEDLTPEQILDSWHAIIIAKLSADEELKSILQWDLSKSDKFMAQGEVAPFKERI -HTLNEYWAHLDTPSLFASKEWKLAIQMAVKELGFNRTGRPSSPEAVIARGVSEDKYNTSSGDPLFMKRKT -DEAQTQAVEAVRNGTWNQFYPVLGSRASMGKVGTEARWIFMFPMSVNVKEQTFQQPLQDYIRSKHIQFFI -PWDGYDAVQAQLSSISLQDKITFIGADYSKMDQHFNYSHAQQCFEVIKEFFEARYHDDLAASIHYTFNCD -VVGPGLLLKGPHAMPSGSGWTNFLETVFNFILKQYMKIKFGVNYHVAMGIGDDQLMVMLEKVNVDKFAKF -IAQVFSSVGLEANPSKQEVSYTMASFLQRHSYSWWAPQEISLAGVYPTDRALTSEVFPEFYHNEKDWNKK -TFALRCFMIMENCQYHPCFEEFCIFIAEGNANIREFAAMDDAEILLVRDQSRKIANFIPTYNQAAAEV ->ALL29323.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus] -QVEDHLAGMREFKQVAEWDLSKKDKFQPQGETAPFDMRLESFEEYFSHLDPPPVISNKIFKRAMKIAVTH -LKLNGNLEPISCDEVVKRGLADQKYGTSSGYSLFLKRKNPKAIEEAISSVETRRCLSDKYPFTVGSRAQM -GKVRELARFIFMASMGVNLFGMRFSQPLQDHLRIIGEPLFLPWDGWNAVQQEISQRWHEAPVRFGADYTK -MDQHFNIHHGKVVFEVIKHAFNKKYWDDLWESTSYVFRAPVLTSKGYVDQEHALLSGSEWTNLIETVWNY -VFILYLNLKYGIKFLSSMGIGDDQLWSVTGITTSAARKWLLKTVIREFETAGLPGNAEKQDGIEDPETSA -FLQRKVWTNYNGPDDKVVAAGVYSLVKVPFRINLASHISNERVHLCCNNLIIWAIIISP ->AIY31294.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MKIERLPNDMLAVVDANNGLKVYLNNLLKGRDRTPRSWLYEHESDTEVLRKWLTQLEQVKSTPHGDLVFQ -FDTSQLKKWGPQGQIAPIKELMDVVTEGFARAASPQPTPFRSDLWQQAKRNVSARMCNATGYRALTPRSY -PKVLDDMSARDTLESNSGWPLFTRRKNPDVIRHALDAITSGAWREYPAIALFRNYNQKTRIVWMYPLATN -IVEGSFTQPLKEALMHRGSHFYNPWRGYELVKQEISFLYEAGRSLTASDFSHTDAYFLKWQMLEVYDVIK -NCFQEQYHPLLKESMLRVCSIPLIIGPDTYITGDHGVSSGSNWTNDCETYMDEIAEEYLKLLGVVDDIGM -AIGDDVLHQRRSFDPKLADTLAGVYKSLGFDVNAEKVTNQQDYVKFLQRLTVRGLYSQNSNGSGIPCLRG -IYPTVRALNSLLNPERFHSPKLWSKDMFAVRCFMILENCVDHPLFEDFVRFVCAGHPYLSVFARKTRFEV -DSAQGS ->APG78302.1 RdRp [Hubei picobirna-like virus 3] -MSSKLTAQQREVVTNNNGMARYLQSLQSGSDVTPRSWLYEDVSTDEVLAKWMQHLKSMEGKPTLDEVYQF -ELGALSKWGPQGAVEPFEELRSLIEETFKASSPLAAVKTEEWKQAKKLAMLELTKATGFKRLRPASYASV -VDDMRSSDTLESNSAWPDFGRRNKPEMLKAALAAAKSGKWQEYPAIVLFRNYNKKTSPVWMFPMATNIVE -GSFVQPVQQRLMKAQTPFFAPWIGFENVRKTVSQAYNDNWFIQASDFTKTDEHFTRHTTLEVYDVLKYCF -QEGSWPALLQSMTHMNTISLVTGEDEIYHGEHGVSSGSTWTNFIETVFDLIFAYYVMLKLAHKHEGLYAI -GDDMSWVSREFSPQFSEELETLGRDVNQMINADKTTNEKNQVKSLQRLFIRGFTVPETNLVSGIYPTVSA -LKSAVFPEKFHNPKLWNKDMFAASIFMILENCVDHPLFNEFVQFVVRGNSYLAEFARLKALTLTNVTSAT -KLLPGFNPTYNQEKSDKSLADFESMSIASEL ->AHX00958.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus] -FQRRFKLMKIESLPKQVSEIVLNNSGLKMYLNNLERGRSATPRSWLYEGKSDTEVLQLWLKQLETVKALE -YGELVYQFETSQLKKFGSQGEVKPVKELMDLVTEGYGQAGTPIPALFRTELWQKAKQNAIKYLIKEIGIY -KRLRPRSYDAVVDNMRDRDTLDSNSGFPMFSRRKKPDVLKNAYDSISDGSYLEFPAILLFRNYNQKTRVV -WMYPMSTNMVEGSFTQPLKEAITNSDLQFFAPWRGYEHVLSRITKHYNDGEFISASDFSHADAHFTKWAM -LEVYDVIKYAFQEQYWPSLKQSMLHVNSIPLIIGSDTWIIGDHGVASGSNWTNDVETYMDFIAEQYLTLL -GLVKEPDTAIGDDISHRRDTYLETLAELLADEYKKMNFDVNAQKVTNERDWVKYLQRLTVRGYYSRRTVV -VKGKEYPLLRGIYSTIRALNSSLNPEKFHSPKIWSKDMFAVRQFTILENCIDHPLFEELVKFVCDGHPYL -IPFAKQTNEQINRAQQESRHIPGLNPTYNQEKRDKPLATFASINLARKL ->AIY31295.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MRARDTLVTNSGWDLFTRREKAKGKAIQDAKDGKAWLYPAIILFRKYNGKLRVVWMYPMSMNLLEYQATQ -PLQEVIQSHTSYVMPWRGFEHVKRRFTELWAAHPYAFGGDTTAMDAHMQAPQNEAVAAAFSPLFKDPKQA -TDSLLHVNDIDIVVGRNSVIADQQHGVASGSGWTQLCETIFQIIKFDEWIQRNNLSITIEDGMGIGDDYV -WFFDEKPDSQEIVDFWAEDGLPGKEEKQSNEPDSCTFLQRLFIKNYMSREDDKILGGIYPTVRALNSLLW -PEKFHNPKNWNSDMFCTRCYMILENTVDHPFAHVPQI ->AKN50618.1 RNA dependent RNA polymerase [Picobirnavirus Equ1] -MRQALPKELRDVISSNNNLSRYLLSLSKEQAFTDRSWLYEDREPDDVLQAWKEHLAVLENGNTFEREIFQ -FDTSQEKKWGPQGGHEAFEEIYQSIVAPQFEDSPVPKAFTSELWNQAKAETVAMFKRAGIRALRPAAYTS -VIDNMRARDTLESNSGWPSFARRNVEDVKQQAIQDAENGKWKTYPAIALFRRYNNKTRLVWMYPMSVNLV -EASFFQPLQTAILKTHLAKEFFAPWVGFEQVRVRVTEEYQSGRFVSASDFSATDQHFRLSTSLEVYDVLA -ELFMPQYREPLKESIQCMHNIPLVISPTEYIVGEHGVASGSNWTNFIETVFDFILSNYVELLSSNSQEVH -YWSGLYGIGDDMSWFCNKYDPKFSEWLSDVGQSVGQEINAEKVTNDPDKVKTLQRLFQRGYRRPDGLLRG -VYSTIRALKSSVYPEKFHNPKLWSSDMFCARQFMILENCVDHPLFEDFVKFVCAGQKDLIPFAHKTRAQL -DEINRKTKLLPGLNTTYNQERRESSLADFASIRIARNL ->AQS16638.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -MPDQVRAILDYSGDDLIKRQAQTGLSSALKRLETGSQPTPRSWFYETKKDPEDVLEPVIRKLSSMQDLKV -ISEWDLSKESKYGPQGGAAPLSERLESFNEYFEHLSRPLILQDPSWQRAKKRAIRELKLNGSGVPLSVKA -VLERGIGDNKYNTNSGYPLFLKRKNPVALKEAMSDARIAIQDQFPCTLGSRATMGKTGKDARNIFMAAMA -VNVSGQCYQMKLQDYLRSLHLSFFLPWEGWDHVQKEISAQWDGTLKFGADYTKMDQHFNVYHGLEVYDVI -KYYFKKAYWENLKQTIIYVFHVPILTNLGYVDQEHAMPSGSEWTNFLETVWNYIFTLYLEEKYHLSFQSK -MGIGDDQLWFIRGEWTQSRIDKLISLVISEFEAAGLPGNPEKQEVSMTKTGFLQRLCSSEWDGVDGKTRA -AGVYSLVRNVTSQVFPERYHNERDWNADMFALRCIMIAENANQHPLFKWYILEYLAKANSNILEFVRKRD -KEILNTQKHAKNIAGFLPTYNQEKADQSILDFEAFKLLRTLVQ ->AGZ93689.1 RNA-dependent RNA polymerase, partial [Feline picobirnavirus] -TTLLRSWLYEHETTDHVLTEWMNQLTRLKQGSAFEQEVFQFDTSQLEKWGPQGGHEPISQLLEEVVLPTF -VKSTETTFDAFHSANWKLAKQTVTRRLMTVGQANRLRPASYAHVVDDMRVRDTLNSNSGYPDFRRRNIPE -VEERAIEDARSGRWENYPAIALFRRYNGKTRLVWMFPMSANLVEGSFFQPLQSALMKSELAKEFLAPWRG -FEQVRDLVTDCYSQGMFLAASDFSATDAHFTYQASRQVFDVIAPCFQEQFRDSLWNSIAHMHQIPLLIGP -DRLLVGDHGVSSGSNWTNFIETIFDMILAQYVEIELGMSVHGLYAIGDDMAWWSRQYNPAFALRLEALAK -ACGMVVKADKTTNQRDKVKSLQRLFQRGYNREDGQLRAVYPTIRALKSSLYPERFHNPKVW ->ALL29321.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MYLSGLSVGKEATPRSSLYDLEGKTDMLTPDEILDRWKTKLGVLDNKDSLSKNVFQFDSHQLEKWGPQGE -VAPIADLMDEIVLPTFSFSSKHPSAFKTSNWALAKRSVVRMLHANGARGLSPVSYQRVIDDMRARDTLES -NSGWPLFTRRNQPDVIAQSIEEAENGRWKTYPAIALFRNYNRKTRLVWMFPMSANLVEGSFFQSLQSTLM -KSGLAKTFLSPWSGFEQVRKVMTSVYTQGLFVAASDFSATDAHFQLDTSMQVFEVISQCFQPRYRSALEE -SIRYMHEIPLVVSPTTMIVGEHGVSSGSNWTNFIETIFDLILAEYVKLEGGYQGLYAIGDDMSWYSKTYD -ASFADMLADIGNSVGQEIKVDKTTNDPDKVKSLQRLFQKGYARPDGELRAVYSTIRALKSLVYPERFHKP -KVWSKDMEAIRDFMILENCVDHPLFEEFCRFVSAGDPHLKEFANYSANKQARLYRQSKLVPGLNSTYNQE -KRDSSLLNFSSVKFIAKL diff --git a/seq/clusters_seq/cluster_349 b/seq/clusters_seq/cluster_349 deleted file mode 100644 index 5a4170c..0000000 --- a/seq/clusters_seq/cluster_349 +++ /dev/null @@ -1,156 +0,0 @@ ->YP_628286.1 protein-tyrosine kinase [Y73 sarcoma virus] -MGSSKSKPKDPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKLFGGFNTSDTV -TSPQRAGALAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWLAHSLTTGQTGYIPSNYVAPS -DSIQAEEWYFGKTTRRESERLLLNPENPRGTFLVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSG -GFYITSRTQFSSLQQLVAYYSKHADGLCHRLTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGE -VWMGTWNGTTRVAIKTLKPGTMSSEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMSKGSLLDFL -KGEMGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYRMPCPPECPES -LHDLMCQCWRKDPEERPTFEYLQAFLEDYFTSTEPPVPAWREPIGLELLLAPEASLWGTGAWLRAEGPRF -GEQPQSRMWHGEVSGAPSLIKTVLGHP - ->NP_057866.1 p120 Gag-Abl polyprotein [Abelson murine leukemia virus] -MGQTVTTPLSLTLGHWKDVERIAHNQSVDVKKRRWVTFCSAEWPTFNVGWPRDGTFNRDLITQVKIKVFS -PGPHGHPDQVPYIVTWEALAFDPPPWVKPFVHPKPPPPLPPSAPSLPLEPPLSTPPRSSLYPALTPSLGA -KPKPQVLSDSGGPLIDLLTEDPPPYRDPRPPPSDRDGNGGEATPAGEAPDPSPMASRLRGRREPPVADST -TSQAFPLRTGGNGQLQYWPFSSSDLYITPVNSLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPG -QRSISLRYEGRVYHYRINTASDGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRNKPTIYGVS -PNYDKWEMERTDITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLV -QLLGVCTREPPFYIITEFMTYGNLLDYLRECNRQEVSAVVLLYMATQISSAMEYLEKKNFIHRDLAARNC -LVGENHLVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNKFSIKSDVWAFGVLLWEIATYGMSP -YPGIDLSQVYELLEKDYRMERPEGCPEKVYELMRACWQWNPSDRPSFAEIHQAFETMFQESSISDEVEKE -LGKRGTRGGAGSMLQAPELPTKTRTCRRAAEQKASPPSLTPKLLRRQVTASPSSGLSHKKEATKGSASGM -GTPATAEPAPPSNKVGLSKASSEEMRVRRHKHSSESPGRDKGRLAKLKPAPPPPPACTGKAGKPAQSPSQ -EAGEAGGPTKTKCTSLAMDAVNTDPTKAGPPGEGLRKPVPPSVPKPQSTAKPPGTPTSPVSTPSTAPAPS -PLAGDQQPSSAAFIPLISTRVSLRKTRQPPERIASGTITKGVVLDSTEALCLAISRNSEQMASHSAVLEA -GKNLYTFCVSYVDSIQQMRNKFAFREAINKLESNLRELQICPATASSGPAATQDFSKLLSSVKEISDIVR -R - ->NP_056888.1 p60 src [Rous sarcoma virus] -MGSSKSKPKDPSQRRHSLEPPDSTHHGGFPASQTPDETAAPDAHRNPSRSFGTVATEPKLFWGFNTSDTV -TSPQRAGALAGGVTTFVALYDYESWTETDLSFKKGERLQIVNNTEGDWWLAHSLTTGQTGYIPSNYVAPS -DSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRKSETAKGAYCLSVSDFDNAKGPNVKHYKIYKLYSG -GFYITSRTQFGSLQQLVAYYSKHADGLCHRLANVCPTSKPQTQGLAKDAWEIPRESLRLEAKLGQGCFGE -VWMGTWNDTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVIEYMSKGSLLDFL -KGEMGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYRMPCPPECPES -LHDLMCQCWRKDPEERPTFKYLQAQLLPACVLEVAE - ->sp|P00524.5|SRC_RSVSA RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKDPSQRRCSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKLFGGFNTSDTV -TSPQRAGALAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWLAHSLTTGQTGYIPSNYVAPS -DSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSG -GFYITSRTQFSSLQQLVAYYSKHADGLCHRLTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGE -VWMGTWNGTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMSKGSLLDFL -KGEMGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMGNGEVLDRVERGYRMPCPPECPES -LHDLMCQCWRRDPEERPTFEYLQAQLLPACVLEVAE - ->sp|P63185.3|SRC_RSVSE RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKGPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKLFGDFNTSDTV -TSPQRAGALAGGVTTFVALYDYESWIETDLSFKKGERLQIVNNTEGNWWLAHSVTTGQTGYIPSNYVAPS -DSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSG -GFYITSRTQFSSLQQLVAYYSKHADGLCHRLTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGE -VWMGTWNGTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHKKLVQLYAVVSEEPIYIVIEYMSKGSLLDFL -KGEMGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMGNGEVLDRVERGYRMPCPPECPES -LHDLMSQCWRRDPEERPTFEYLQAQLLPACVLEVAE - ->sp|P00527.2|YES_AVISY RecName: Full=Tyrosine-protein kinase transforming protein Yes -DKGPAMKYRTDNTPEPISSHVSHYGSDSSQATQSPAIKGSAVNFNSHSMTPFGGPSGMTPFGGASSSFSA -VPSPYPSTLTGGGTVFVALYDYEARTTDDLSFKGGERFQIINNTEGDWWEARSIATGKTGYIPSNYVAPA -DSIEAEEWYFGKMGRKDAERLLLNPGNQRGIFLVRESETTKGAYSLSIRDWDEVRGDNVKHYKIRKLDNG -GYYITTRAQFESLQKLVKHSREHADGLCHKLTTVCPTVKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGE -VWMGTWNGTTKVAIKTLKLGTMMPEAFLQEAQIMKKLRHDKLVPLYAVVSEEPIYIVTEFMTKGSLLDFL -KEGEGKFLKLPQLVDMAAQIADGMAYIERMNYIHRDLRAANILVGDNLVCKIADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMVNREVLEQVERGYRMPCPQGCPES -LHELMKLCWKKDPDERPTFEYIQSFLEDYFTAAEPSGY - ->sp|P23049.2|SEA_AVIET RecName: Full=Tyrosine-protein kinase transforming protein SEA -ADSPGLARPHAHFASAGADAAGGGSPVLLLRTTSCCLEDLRPELLEEVKDILIPEERLITHRSRVIGRGH -FGSVYHGTYMDPLLGNLHCAVKSLHRITYLEEVEEFLREGILMKGFHHPQVLSLLGVCLPRHGLPLVVLP -YMRHGDLRHFVRAQERSPTVKELIGFGLQVALGMEYLAQKKFVHRDLAARNCMLDETLTVKVADFGLARD -VFGKEYYSIRQHRHAKLPVRWMALESLQTQKFTTKSDVWSFGVLMWELLTRGASPYPEVDPYDMARYLLR -GRRLPQPQPCPDTLYGVMLSCWAPTPEERPSFSGLVCELERVLASLEGEHYINMAVTYVNLESGPPFPPA -PRGQLPDSEDEEDEEEEVAE - ->sp|P31693.3|SRC_RSVPA RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKDPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATELKLFGDFNTSDTV -TSPQRAGALAGSVTTFGTRESRIETDLSFKKRERLQIVNNTEGTWWLAHSLTTGQTGYIPSNYVAPSDSI -QAEEWYFGKITRRESGRLLLNPENPRGTFLVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFY -ITSRTQFSSLQQLVAYYSKHADGLCHRLTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGYFGEVWM -GTWNGTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYAMVSEEPIYIVIEYMSKGSLLDFLKGE -MGKYLRLPQLVEMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARPGAR -FPVKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNGEVLDRVERGYRMPCPPECPESLHD -LMCQCWRKDPEERPTFEYLQAQLLPACVLKIAE - ->sp|P14085.3|SRC_AVIST RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKDPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKLFGGFNTSDTV -TSPQRAGALAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWLAHSLTTGQTGYIPSNYVAPS -DSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSG -GFYITSRTQFSSLQQLVAYYSKHADGLCHRLTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGE -VWMGTWNGTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMSKGSLLDFL -KGEMGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYRMPCPPECPES -LHDLMCQCWRKDPEERPTFEYLQAFLEDYLGILAWTPWEDKQEGPRGETASNKQERPGEDTLAADES - ->sp|P14084.3|SRC_AVISS RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKDPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKLFGGFNTSDTV -TSPQRAGALAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWLAHSLTTGQTGYIPSNYVAPS -DSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRESETTKGAYCLSVSDFDNARGLNVKHYKIRKLDSG -GFYITSRTQFSSLQQLVAYYSKHADGLCHRLTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGE -VWMGTWNGTTRVAIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVRLYAVVSEEPIYIVTEYMSKGSLLDFL -KGEMGKYLRLPQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYRMPCPPECPES -LHDLMCQCWRKDPEERPTFEYLQAFLEDYFTSTEPTAVHMATDPGKYTTAHKSRARVSSNTAVARPAAPV -RVLLKPSV - ->sp|P00541.1|FPS_AVISP RecName: Full=Tyrosine-protein kinase transforming protein Fps -ASGQLHRPQPQEHTSTSAAAGTWRHTQASESRHRLPHCSAAPSHQDHSAMGFGPELWCPKGHSELLRLQD -SELRLLELMKKWMSERAKSDREYAGMLHHMFSQLGSEEPPPALPLQEDRQSVCSTDQERSGVTALETIKN -HISGIFSPRFSLPPPVPLIPEVQKPLCQQAWYHGAIPRSEVQELLKCSGDFLVRESQGKQEYVLSVLWDG -QPRHFIIQAADNLYRLEGDGFPTIPLLIDHLLQSQQPITRKSGIVLTRAVLKDKWVLNHEDVLLGERIGR -GNFGEVFSGRLRADNTPVAVKSCRETLPPELKAKFLQEARILKQYNHPNIVRLIGVCTQKQPIYIVMELV -QGGDFLSFLRSKGPHLKMKELIKMMENAAAGMEYLESKHCIHRDLAARNCLVTEKNTLKISDFGMSRQEE -DGVYASTGGMKQIPVKWTAPEALNYGRYSSESDVWSFGILLWEAFSLGAVPYANLSNQQTREAIEQGVRL -EPPEQCPEDVYRLMQRCWEYDPRRRPSFGAVHQDLIAIRKRHR - ->sp|P00544.1|FGR_FSVGR RecName: Full=Tyrosine-protein kinase transforming protein Fgr -ARALCRPAVCRPRPLPPLPPTAMEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMG -QKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREK -MTQIMFETFNIPSNYVAPVDSIQAEEWYFGKIGRKDAERQLLSPGNARGAFLVRESETTKGAYSLSIRDW -DEARGDHVKHYKIRKLDTGGYYITTRAQFNSVQELVQHYVEVNDGLCHLLTAACTTMKPQTMGLAKDAWE -ISRSSITLQRRLGTGCFGDVWLGMWNGSTKVAVKTLKPGTMSPKASLEEAQIMKLLRHDKLVQLYAVVPE -EPIYIVTEFMCHGSLLEFLKDQEGQDLTLPQLVDMAAQVAEGMAYMERMDYIHRDLRAANILVGERLVCK -IADFGLARLIEDNEYNPRQGAKFPIKWTAPEAALFGRFTIKSDVWSFGILLTELISKGRVPYPGMNNREV -LEQVEHGYHMPCPPGCPASLYEAMEQTWRLDPEERPTFEYLQSFLEDYFNGPQQN - ->sp|P00543.1|FES_FSVST RecName: Full=Tyrosine-protein kinase transforming protein Fes -HPREQVQLLAKKQVLQEALQALQVALCSQAKLQAQRELLQAKLEQLGPGEPPPVLLLQDDRHSTSSSEQE -REGGRTPTLEILKSHISGIFRPKFSLPPPLQLVPEVQKPLHEQLWYHGALPRAEVAELLTHSGDFLVRES -QGKQEYVLSVLWDGQPRHFIIQSADNLYRPEGDGFASIPLLVDHLLRSQQPLTKKSGIVLNRAVPKDKWV -LNHEDLVLGEQIGRGNFGEVFSGRLRADNTLVAVKSCRETLPPDIKAKFLQEAKILKQYSHPNIVRLIGV -CTQKQPIYIVMELVQGGDFLTFLRTEGARLRMKTLLQMVGDAAAGMEYLESKCCIHRDLAARNCLVTEKN -VLKISDFGMSREEADGVYAASGGLRLVPVKWTAPEALNYGRYSSESDVWSFGILLWETFSLGASPYPNLS -NQQTREFVEKGGRLPCPELCPDAVFRLMEQCWAYEPGQRPSFSAFYQELQSIRKRHR - ->sp|P00542.1|FES_FSVGA RecName: Full=Tyrosine-protein kinase transforming protein Fes -AARADGTMGFSSELCSPQGHGAEQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDGGGRGTGP -YSPISQSWAEITSQTEGLSRLLRQHAEDLNSGPLSKLGLLIRERQQLRKTYSEQWQQLQQELTKTHNQDI -EKLKSQYRALARDSAQARRKYQEASKDKDRDKAKLEQLGPGEPPPVLLLQDDRHSTSSSEQEREGGRTPT -LEILKSHISGIFRPKFSLPPPLQLVPEVQKPLHEQLWYHGALPRAEVAELLTHSGDFLVRESQGKQEYVL -SVLWDGQPRHFIIQSADNLYRPEGDGFASIPLLVDHLLRSQQPLTKKSGIVLNRAVPKDKWVLNHEDLVL -GEQIGRGNFGEVFSGRLRADNTLVAVKSCRETLPPDIKAKFLQEAKILKQYSHPNIVRLIGVCTQKQPIY -IVMELVQGGDFLTFLRTEGARLRMKTLLQMVGDAAAGMEYLESKCCIHRDLAARNCLVTEKNVLKISDFG -MSREAADGIYAASGGLRQVPVKWTAPEALNYGRYSSESDVWSFGILLWETFSLGASPYPNLSNQQTREFV -EKGGRLPCPELCPDAVFRLMEQCWAYEPGQRPSFSAIYQELQSIRKRHR - ->sp|P10447.1|ABL_FSVHY RecName: Full=Tyrosine-protein kinase transforming protein Abl; AltName: Full=V-abl -ENLLAGPSENDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWVPSNYITPVNS -LEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRVYHYRINTASDGKLYVSPESR -FNTLAELVHHHSTVADGLITTLHYPAPKRNKPTVYGVSPNYDKWEMERTDITMKHKLGGGQYGEVYEGVW -KKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQLLGVCTREPPFYIITEFMTYGNLLDYLRECN -RQEVNAVVLLYMATQISSAMEYLEKKNFIHRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGTKF -PIKWTAPESLAYNKFSIKSDVWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYEL -MRACWQWNPSDRPAFAEIH - diff --git a/seq/clusters_seq/cluster_35 b/seq/clusters_seq/cluster_35 deleted file mode 100644 index 763126e..0000000 --- a/seq/clusters_seq/cluster_35 +++ /dev/null @@ -1,698 +0,0 @@ ->YP_009118475.1 hemagglutinin [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MNTQILVFALIAIIPTNADKICLGHHAVSNGTKVNTLTERGVEVVNATETVERTNIPRICSKGKRTVDLG -QCGLLGTITGPPQCDQFLEFSADLIIERREGSDVCYPGKFVNEEALRQILRESGGIDKEAMGFTYSGIRT -NGATSACRRSGSSFYAEMKWLLSNTDNAAFPQMTKSYKNTRKSPALIVWGIHHSVSTAEQTKLYGSGNKL -VTVGSSNYQQSFVPSPGARPQVNGLSGRIDFHWLMLNPNDTVTFSFNGAFIAPDRASFLRGKSMGIQSGV -QVDANCEGDCYHSGGTIISNLPFQNIDSRAVGKCPRYVKQRSLLLATGMKNVPEIPKGRGLFGAIAGFIE -NGWEGLIDGWYGFRHQNAQGEGTAADYKSTQSAIDQITGKLNRLIEKTNQQFELIDNEFNEVEKQIGNVI -NWTRDSITEVWSYNAELLVAMENQHTIDLADSEMDKLYERVKRQLRENAEEDGTGCFEIFHKCDDDCMAS -IRNNTYDHSKYREEAMQNRIQIDPVKLSSGYKDVILWFSFGASCFILLAIVMGLVFICVKNGNMRCTICI - ->YP_308839.1 hemagglutinin [Influenza A virus (A/New York/392/2004(H3N2))] -MKTIIALSYILCLVFAQKLPGNDNSTATLCLGHHAVPNGTIVKTITNDQIEVTNATELVQSSSTGGICDS -PHQILDGENCTLIDALLGDPQCDGFQNKKWDLFVERSKAYSNCYPYDVPDYASLRSLVASSGTLEFNNES -FNWTGVTQNGTSSACKRRSNNSFFSRLNWLTHLKFKYPALNVTMPNNEKFDKLYIWGVHHPGTDNDQISL -YAQASGRITVSTKRSQQTVIPSIGSRPRIRDVPSRISIYWTIVKPGDILLINSTGNLIAPRGYFKIRSGK -SSIMRSDAPIGKCNSECITPNGSIPNDKPFQNVNRITYGACPRYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMVDGWYGFRHQNSEGTGQAADLKSTQAAINQINGKLNRLIGKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFERTKKQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVALLGFIMWACQKGNI -RCNICI - ->sp|P19702.2|HEMA_I80A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSITILFLLIAEGSSQNYTGNPVICLGHHAVPNGTMVKTLTDDQVEVVTAQELVESQHLPELCPSPLRL -VDGQTCDIVNGALGSPGCDHLNGAEWDVFIERPTAVDTCYPFDVPDYQSLRSILANNGKFEFIAEEFQWN -TVKQNGKSGACKRANVNDFFNRLNWLTKSDGNAYPLQNLTKVNNGDYARLYIWGVHHPSTDTEQTNLYEN -NPGRVTVSTQTSQTSVVPNIGSRPWVRGQSGRISFYWTIVEPGDLIVFNTIGNLIAPRGHYKLNSQKKST -ILNTAVPIGSCVSKCHTDRGSISTTKPFQNISRISIGDCPKYVKQGSLKLATGMRNIPEKATRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNEKYHQIEKEFEQVEGRI -QDLEKYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDKGNGCFEIFHQCDNS -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - ->sp|P03459.1|HEMA_I34A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILVFALVAVIPTNADKICLGHHAVSNGTKVNTLTERGVEVVNATETVERTNIPKICSKGKRTTDLG -QCGLLGTITGPPQCDQFLEFSADLIIERREGNDVCYPGKFVNEEALRQILRGSGGIDKETMGFTYSGIRT -NGTTSACRRSGSSFYAEMEWLLSNTDNASFPQMTKSYKNTRRESALIVWGIHHSGSTTEQTKLYGSGNKL -ITVGSSKYHQSFVPSPGTRPQINGQSGRIDFHWLILDPNDTVTFSFNGAFIAPNRASFLRGKSMGIQSDV -QVDANCEGECYHSGGTITSRLPFQNINSRAVGKCPRYVKQESLLLATGMKNVPEPSKKREKRGLFGAIAG -FIENGWEGLVDGWYGFRHQNAQGEGTAADYKSTQSAIDQITGKLNRLIEKTNQQFELIDNEFTEVEKQIG -NLINWTKDFITEVWSYNAELLVAMENQHTIDLADSEMNKLYERVRKQLRENAEEDGTGCFEIFHKCDDDC -MASIRNNTYDHSKYREEAMQNRIQIDPVKLSSGYKDVILWFSFGASCFLLLAIAVGLVFICVKNGNMRCT -ICI - ->sp|Q91MA7.1|HEMA_I68A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLALGQDLPGNDNSTATLCLGHHAVPNGTLVKTITDDQIEVTNATELVQSSSTGKICNN -PHRILDGIDCTLIDALLGDPHCDVFQNETWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFITEG -FTWTGVTQNGGSNACKRGPGSGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTSL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGLSSRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIDTCISECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIESIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNI -RCNICI - ->sp|P04663.1|HEMA_I70A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -QDLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGKICNNPHRILDGIDCTLIDAL -LGDPHCDGFQNETWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTEVTQNGGSNACK -RGPGSGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTSLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGQSSRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIDTCISE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQT - ->sp|P17000.2|HEMA_I71A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTTILILLTHWVHSQIPINDNNTATLCLGHHAVANGTLVKTLTDDQIEVTNATELVQSTSTGKICNNS -YRVLDGKNCTLIDAMLGDPHCDVFQYENWDLFVERSSAFSNCYPYDVPNYALLRSIVASSGTLEFMAEGF -TWTGVTQNGGSSSCRRGSADSFFSRLNWLTKSGSSYSTLNVTMPNNDNFDKLYVWGIHHPSTNNEQTKLY -VQASGRVTVSTKRSQQTILPNIGLRPWVRGQSGRVSIYWTIVKPGDVLMINSNGNLIAPRGYFKIRAGKS -SIMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKITYGKCPKYVKQSTLKLATGMRNIPGKRLRGIFGAI -AGFIENGWEGMIDGWYGFRHQNSEGTGQAGDLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVTLENQHTIDLTDAEMNKLFERTRRQLRENAEDIGNGCFKIYHKCDN -ACIESIRNGTYDHDIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P03443.2|HEMA_I76A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSITILFLLIAEGSSQNYTGNPVICLGHHAVSNGTMVKTLTDDQVEVVTAQELVESQHLPELCPSPLRL -VDGQTCDIVNGALGSPGCDHLNGAEWDVFIERPTAVDTCYPFDVPDYQSLRSILANNGKFEFIAEEFQWN -TVKQNGKSGACKRANVNDFFNRLNWLTKSDGDAYPLQNLTKVNNGDYARLYIWGVHHPSTDTEQTNLYKN -NPGRVTVSTKTSQTSVVPNIGSRPWVRGQSGRISFYWTIVEPGDLIVFNTIGNLIAPRGHYKLNSQKKST -ILNTAVPIGSCVSKCHTDRGSISTTKPFQNISRISIRDCPKYVKQGSLKLATGMRNIPEKATRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNEKYHQIEKEFEQVEGRI -QDLEKYVEDTKIDLWSYNAEFLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDKGNGCFEIFHQCDNN -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - ->sp|P12583.3|HEMA_I80A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLAFSQDLPGNDNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNN -PHRILDGRDCTLIDALLGDPHCDVFQDETWDLFVERSNAFSNCYPYDVPDYASLRSLVASSGTLEFITEG -FTWTGVTQNGGSNACKRGPASGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTNL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGQSGGISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQARGLFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIESIRNGTYDHDIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNI -RCNICI - ->sp|P03438.2|HEMA_I000X RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLALGQDLPGNDNSTATLCLGHHAVPNGTLVKTITDDQIEVTNATELVQSSSTGKICNN -PHRILDGIDCTLIDALLGDPHCDVFQKETWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFITEG -FTWTGVTQNGGSIACKRGPDSGFFSRLNWLTKSESTYPVLNVTMPNNDNFDKLYIWGIHHPSTNQEQTSL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGLSSRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIDTCISECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIESIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWTCQRGNI -RCNICI - ->sp|Q1PUD9.1|HEMA_I73A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLVLGQDFPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGKICNN -PHRILDGINCTLIDALLGDPHCDGFQNETWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINEG -FTWTGVTQNGGSNACKRGPDSGFFSRLNWLYKSGSAYPVLNVTMPNNDNFDKLYIWGVHHPSTDQEQTNL -YVQASGRVTVSTKRSQQTIIPNIGSRPWVRGLSSRISIYWTIVKPGDILVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIGTCISECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|Q08011.1|HEMA_I89A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTIILILLTHWVYSQNPTSGNNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSISIGKICNNS -YRVLDGRNCTLIDAMLGDPHCDVFQYENWDLFIERSSAFSNCYPYDIPDYASLRSIVASSGTLEFTAEGF -TWTGVTQNGRSGACKRGSADSFFSRLNWLTKSGNSYPILNVTMPNNKNFDKLYIWGIHHPSSNKEQTKLY -IQESGRVTVSTERSQQTVIPNIGSRPWVRGQSGRISIYWTIVKPGDILTINSNGNLVAPRGYFKLRTGKS -SVMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKVTYGKCPKYIRQNTLKLATGMRNVPEKQIRGIFGAI -AGFIENGWEGMVDGWYGFRYQNSEGTGQAADLKSTQAAIDQINGKLNRVIERTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGGGCFKIYHKCDN -ACIGSIRNGTYDHYIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P19696.2|HEMA_I56A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSIVILFLLIAENSSQNYTGNPVICMGHHAVANGTMVKTLADDQVEVVTAQELVESQNLPELCPSPLRL -VDGQTCDIINGALGSPGCDHLNGAEWDVFIERPNAVDTCYPFDVPEYQSLRSILANNGKFEFIAEEFQWN -TVKQNGKSGACKRANVDDFFNRLNWLVKSDGNAYPLQNLTKINNGDYARLYIWGVHHPSTSTEQTNLYKN -NPGRVTVSTKTSQTSVVPDIGSRPLVRGQSGRVSFYWTIVEPGDLIVFNTIGNLIAPRGHYKLNNQKKST -ILNTAIPIGSCVSKCHTDKGSLSTTKPFQNISRIAVGDCPRYVKQGSLKLATGMRNIPEKASRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNDKYHQIEKEFEQVEGRI -QDLENYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDKGNGCFEIFHKCDNN -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - ->sp|P11134.1|HEMA_I82A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDLPGNDNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNNPHKILDGRDCTLIDAL -LGDPHCDVFQDETWDLFVERSNAFSSCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSSACK -RGPASGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTNLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIDTCVSE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNSLKLATGMRNVPEKQTRGLFGAIAGFIENGWEGMIDGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNADVLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDNACIESIRNGTYDHDI -YRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNIRCNICI - ->sp|P03435.1|HEMA_I75A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLVFAQDLPGNDNNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGKICN -NPHRILDGINCTLIDALLGDPHCDGFQNEKWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINE -GFNWTGVTQNGGSSACKRGPDSGFFSRLNWLYKSGSTYPVQNVTMPNNDNSDKLYIWGVHHPSTDKEQTN -LYVQASGKVTVSTKRSQQTIIPNVGSRPWVRGLSSRISIYWTIVKPGDILVINSNGNLIAPRGYFKMRTG -KSSIMRSDAPIGTCSSECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGIFG -AIAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVE -GRIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKC -DNACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGN -IRCNICI - ->sp|P03442.1|HEMA_I63A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTVIALSYILCLTFGQDLPGNDNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNN -PHRILDGRACTLIDALLGDPHCDVFQNETWDLFVERSNAFSNCYPYDIPDYASLRSLVASSGTLEFITEG -FTWTGVTQNGGSSACKRGPANGFFSRLNWLTKSESAYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTNL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGQPGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIDTCISECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINRKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLADSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIESIRNGTYDHDIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCLLLCVVLLGFIMWACQRGNI -RCNICI - ->sp|Q0A448.1|HEMA_I49A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MYKVVVIIALLGAVKGLDRICLGHHAVANGTIVKTLTNEQEEVTNATETVESTNLNKLCMKGRSYKDLGN -CHPVGMLIGTPVCDPHLTGTWDTLIERENAIAHCYPGATINEEALRQKIMESGGISKMSTGFTYGSSINS -AGTTKACMRNGGDSFYAELKWLVSKTKGQNFPQTTNTYRNTDTAEHLIIWGIHHPSSTQEKNDLYGTQSL -SISVESSTYQNNFVPVVGARPQVNGQSGRIDFHWTLVQPGDNITFSHNGGLIAPSRVSKLTGRGLGIQSE -ALIDNSCESKCFWRGGSINTKLPFQNLSPRTVGQCPKYVNQRSLLLATGMRNVPEVVQGRGLFGAIAGFI -ENGWEGMVDGWYGFRHQNAQGTGQAADYKSTQAAIDQITGKLNRLIEKTNTEFESIESEFSETEHQIGNV -INWTKDSITDIWTYQAELLVAMENQHTIDMADSEMLNLYERVRKQLRQNAEEDGKGCFEIYHTCDDSCME -SIRNNTYDHSQYREEALLNRLNINSVKLSSGYKDIILWFSFGASCFVLLAVVMGLVFFCLKNGNMRCTIC -I - ->sp|P12581.1|HEMA_I49A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MYKVVVIIALLGAVRGLDKICLGHHAVANGTIVKTLTNVQEEVTNATETVESTSLNRLCMKGRSYKDLGN -CHPIGMLIGTPACDLHLTGTWDTLIERKNAIAYCYPGTTINEGALRQKIMESGGISKTSTGFAYGSSINS -AGTTKACMRNGGDSFYAEVKWLVSKDKGQNFPQTTNTYRNTDTAEHLIIWGIHHPSSTQEKNDLYGTQSL -SISVGSSTYQNNFVPVVRARPQVNGQSGRIDFHWTLVQPGDNITFSHNGGRIAPSRVSKLVGRGLGIQSE -ASIDNGCESKCFWRGGSINTKLPFQNLSPRTVGQCPKYVNKKSLMLATGMRNVPEIMQGRGLFGAIAGFI -ENGWEGMVDGWYGFRHQNAQGTGQAADYKSTQAAIDQITGKLNRLIEKTNTEFESIESEFSEIEHQIGNV -INWTKDSITDIWTYQAELLVAMENQHTIDMADSEMLNLYERVRKQLRQNAEEDGKGCFEIYHTCDDSCME -SIRNNTYDHSQYREEALLNRLNINSVKLSSGYKDIILWFSFGASCFVLLAAVMGLVFFCLKNGNMQCTIC -I - ->sp|P26139.2|HEMA_I77A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLVFAQDLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGKICDN -PHRILDGINCTLIDALLGDPHCDGFQNEKWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINEG -FNWTGVTQNGGSSACKRGPDNGFFSRLNWLYKSGSTYPVQNVTMPNNDNSDKLYIWGVHHPSTDKEQTDL -YVQASGKVTVSTKRSQQTVIPNVGSRPWVRGLSSRVSIYWTIVKPGDILVINSNGNLIAPRGYYKMRTGK -SSIMRSDAPIGTCSSECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|P03441.3|HEMA_I79A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QNLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGRICDSPHRILDGKNCTLIDAL -LGDPHCDGFQNEKWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINEGFNWTGVTQSGGSYACK -RGSDNSFFSRLNWLYESESKYPVLNVTMPNNGNFDKLYIWGVHHPSTDKEQTNLYVRASGRVTVSTKRSQ -QTIIPNIGSRPWVRGLSSRISIYWTIVKPGDILLINSNGNLIAPRGYFKIRTGKSSIMRSDAPIGTCSSE -CITPNGSIPNDKPXQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGIFGAIAGFIENGWEGMXXGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDNACIGSIRNGTYDHDV -YRDEALNNRFQIKGVELKXGYKDWILWISFAISCFLLCVVLLGFIMVXCQKGNIRCNICI - ->sp|P19698.2|HEMA_I79A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSIVVLLLLIAESSSQNYTGNPVICMGHHAVANGTMVKTLTDDQVEVVTAQELVESQILPELCPSPLRL -VDGQTCDIVNGALGSPGCDHLNGAEWDVFIERPSAVDTCYPFDVPDYQSLRSILANNGKFEFIAEEFQWN -TVKQNGKSGACKRANVNDFFNRLNWLVKSDGNAYPLQNLTKINNGDYARLYIWGVHHPSTDTEQTNLYKN -NPGRVTVSTKTSQTSVVPNIGSRPLVRGQSGRISFYWTIVEPGDLIVFNTIGNLIAPRGHYKLDSQKKST -ILNTAVPIGSCVSKCHTDKGSLSTTKPFQNISRIAIGDCPKYVKQGSLKLATGMRNIPEKASRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNEKYHQIEKEFEQVEGRI -QDLEKYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDKGNGCFEIFHKCDNN -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - ->sp|Q2RCH5.1|HEMA_I80A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLVFAQNLPGNDKSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGRICDS -PHRILDGKNCTLVDALLGDPHCDGFQNEKWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINES -FNWTGVTQSGGSYACKRGSDNSFFSRLNWLYESESKYPVLNVTMPNNGNFDKLYIWGVHHPSTDKEQTNL -YVRASGRVTVSTKRSQQTIIPNIGSRPWVRGLSSRISIYWTIVKPGDILLINSNGNLIAPRGYFKIRTGK -SSIMRSDAPIGTCSSECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMVDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|Q2VNF2.1|HEMA_I78A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCQVFAQNLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGRICDN -PHRILDGKNCTLIDALLGDPHCDGFQNEKWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFFNEG -FNWTGVTQNGGSYACKRGPDNSFFSRLNWLYKSESTYPVLNVTMPNNDNFDKLYIWGVHHPSTDKEQTNL -YVQASGRVTVSTKRSQQTIIPNVGPRPWVRGLSSRISIYWTIVKPGDVLLINSNGNLIAPRGYFKIRTGK -SSIMRSDAPIGTCSSECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|P19697.2|HEMA_I76AK RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSIVVLLLLMAEGSSQNYTGNPVICMGHHAVANGTMVKTLTDDQVEVVTAQELVESQNLPELCPSPLRL -VDGQTCDIVNGALGSPGCDHLNGAEWDVFIERPSAVDTCYPFDVPDYQSLRSILANNGKFEFIAEEFQWS -TVKQNGKSGACKRANINDFFNRLNWLVKSDGNAYPLQNLTKINNGDYARLYIWGVHHPSTDTEQTNLYKN -NPGRVTVSTKTSQTSVVPNIGSRPLVRGQSGRISFYWTIVEPGDLIVFNTIGNLIAPRGHYKLNNQKKST -ILNTAVPIGSCVSRCHTDKGSLSRTKPFQNISRIAIGDCPKYVKQGSLKLATGMRNIPEKASRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNEKYHQIEKEFEQVEGRI -QDLEKYVEDTKIDLWSYNAEFLVALENQHTIDVADSEMNKLFERVRRQLRENAEDKGNGCFEIFHKCDNN -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - ->sp|Q82559.1|HEMA_I81A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTIILILLTHWVYSQNPTSGNNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSTSIGKICNNP -YRVLDGRNCTLIDAMLGDPHCDVFQYENWDLFIERSSAFSNCYPYDIPDYASLRSIVASSGTLEFTAEGF -TWTGVTQNGGSGACRRGSADSFFSRLNWLTKSGNSYPTLNVTMPNNNNFDKLYIWGIHHPSTNNEQTKLY -IQESGRVTVSTKRSQQTIIPNIGSRPWVRGQSGRISIYWTIVKPGDILMINSNGNLVAPRGYFKMRTGKS -SVMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKVTYGKCPKYIKQNTLKLATGMRNVPEKQIRGIFGAI -AGFIENGWEGMVDGWYGFRYQNSEGTGQAADLKSTQAAIDQINGKLNRVIERTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGGGCFKIYHKCDN -ACIGSIRNGTYDHYIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|Q6LEJ4.1|HEMA_I80A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILVFIACVLIEAKGDKICLGHHAVANGTKVNTLTERGIEVVNATETVETANIGKICTQGKRPTDLG -QCGLLGTLIGPPQCDQFLEFESNLIIERREGNDVCYPGKFTNEESLRQILRGSGGVDKESMGFTYSGIRT -NGTTSACRRSGSSFYAEMKWLLSNSDNAAFPQMTKSYRNPRNKPALIVWGIHHSGSTTEQTRLYGSGNKL -ITVGSSKYQQSFTPSPGARPQVNGQSGRIDFHWLLLDPNDTVTFTFNGAFIAPNRASFFRGESLGVQSDV -PLDSNCGGDCFHSGGTIVSSLPFQNINSRTVGKCPRYVKQPSLLLATGMRNVPENPKTRGLFGAIAGFIE -NGWEGLIDGWYGFRHQNAQGEGTAADYKSTQSAIDQITGKLNRLIDKTNQQFELIDNEFNEIEQQIGNVI -NWTRDSMTEVWSYNAELLVAMENQHTIDLADSEMNKLYERVRKQLRENAEEDGTGCFEIFHKCDDQCMES -IRNNTYDHTQYRAKSLQNRIQIDPVKLSSGYKDIILWFSFGASCFLLLAIAMGLVFICIKNGNMRCTICI - ->sp|Q03909.1|HEMA_I89A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSLIMRTVIALSYIFCLAFGQGLPWNDNNTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTG -KICNNPHRILDGGNCTLIDALLGDPHCNVFQYETWDLFVERTNAFSNCYPYDVPDYASLRSIVASSGTLE -FFAESFTWTGVTQNGGSSACKRGTASSFFSRLNWLTKSGNAYPLLNVTMPNNDNFDKLYIWGVHHPSTNQ -EQTELYVQASGRVTVSTRKSQQTVIPNIGSRPWVRGQSGRVSIYWTIVKPGDVLVINSNGNLIAPRGYFK -VRTGKSSIMRSDAPIDTCISECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQIR -GIFGAIAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAALDQINGKLNRVIEKTNEKFHQIEKEF -SEVEGRIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKI -YHNCDNACIESIRNGTYDHNIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWAC -QKGNIRCNICF - ->sp|P36346.2|HEMA_I000F RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILVFALVAVIHTNADKICLGHHVSSNGTKVNTLTERGVEVVNATETVERTNIPKICSKGKRTVDLA -KCGLLGTITGPPQCDQFLEFSADLIIERRDGNDVCYPGKFVNGEALRQILRKSGGINKETMGFTYSGIRT -NGTTSACRRSGSSFYAEMKWLLSDTDNAAFPQMTKSYKNTRREPALIVWGIHHSGSTTEQTKLYGSGNKL -VTVGSSKYHQSFVPSPGTRPQVNGQSGRIDFHWLILDSNDTVTFSFNGAFIAPDRASFLKGKSMGIQSDV -QVDANCEGECYHSGGTITSSLPFQNINSRAVGKCPRYVKQESLLLATGMKNVPELPKKRRKRGLFGAIAG -FIENGWEGLVDGWYCFRHQNAQGEGTAADYKSTQSAIDQITGKLNRLIEKTNQQFELIDNEFTEVEKQIG -NVINWTRDSITEVWSYNAELLVAMENQHTIDLADSEMNKLYERVRKQLRENAEEDGTGCFEIFHKCDDDC -MASIRNNTYDHSKYREEAIQNRIQIDPVKLSGGYKDVILWFSFGASCFLLLAIAMGLVFICVKYGNMRCT -ICI - ->sp|P11133.2|HEMA_I78A9 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDLPGTDNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNNPHKILDGIDCTLIDAL -LGDPHCDVFQDETWDLFVERSNAFSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSNACK -RGPANGFFSRLNWLTKSGSTYPVLNVTMPNNDNSDKLYIWGVHHPSTNQEQTNLYVQASGRVTVSTKRSQ -QTMIPNAGSRPWVRGLSSRISIYWTIVKPGDILVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIGTCSSE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGAIAGFIENGWEGMIDGW -YGFRHQNSEGTGQAADLKSTQAAIDLINGKVNRVIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNADVLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDNACIESIRNGTYDHDI -YRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNIRCNICI - ->sp|P26141.1|HEMA_I84A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTLIALSYIFCLVLGQDLPGNGNSTATLCLGHHAVPNGTLVKTITDDQIEVTNATELVQNFSMDKICNN -PHRILDGANCTLIDALLGDPHCDDFQNEKWDLFVERSKAFSNCYPYDVPDYTSLRSLIASSGTLEFINED -FNWTGVTQNGGSNACKRGPDSSFFSRLNWLYKSGNTYPMLNVTMPNSDNFDKLYIWGVHHPSTAREQTNL -YVQASGKVTVSTKRSQQTIIPNIGSRPWVRGLSSRISIYWTIVKPGDILVINSNGNLIAPRGYFKMHTGR -SSIMRSDAPIDTCSSECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNIPEKQTRGIFGA -IAGFIENGWEGMVDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSGVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIESIRNGTYDHNEYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|P19701.1|HEMA_I82A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSITILFLLIAEGSSQNYTGNPVICLGHHAVSNGTMVKTLTDDQVEVVTAQELVESQHLSELCPSPLKL -VDGQTCDIINAALGSPGCDRLDGAEWDVFIERPTAVDTCYPFDVPDYQSLRSILANNGKFEFIAEEFQWS -TVKQNGDSGTCKRGNVNGFFRQLNWLTKSNGDAYPLQNLTKANDGDYARLYIWGVHHPSTDTEQTNLYKN -NPGRVTVSTKTSQTSVVPNIGSRPWVRGQSGRISFYWTIVEPGDLIVFNTIGNLIAPRGHYKLNSQKKST -ILNTAVPIGSCTSKCHTDRGSISTTKPFQNISRISIGDCPKYVKQGSLKLATGMRNIPEKATRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTSEKYHQIEKEFEQVEGRI -QDLEKYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDKGNGCFEIFHQCDNK -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQKGNIRC -QICI - ->sp|P19700.1|HEMA_I85A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSITILFLLIAEVSSQNYTGNPVICLGHHAVSNGTMVKTLTDDQVEVVTAQELVESQHLPELCPSPLRL -VDGQTCDIVNGALGSPGCDHLNGAEWDVFIERPTAVDTCYPFDVPDYQSIRSILANNGKFEFIAEEFQWN -TVKQNGKSGACKRANVNDFFRRLNWLTKSDGNAYPLQNLTKVNNGDYARLYIWGVHHPSTDTEQTNLYKN -NPGRVTVSTKTSQTSVVPNIGSRPLVRGQSGRISFYWTIVEPGDLIVFNTIGNLIAPRGHYKLNSQKKST -ILNTAVPIGSCVSKCHTNRGSITTTKPFQNISRISIGDCPKYVKQGSLKLATGMRNIPEKATRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNEKYHQIEKEFEQVEGRI -QDLEKYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDKGNGCFEIFHQCDNN -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - ->sp|P12439.1|HEMA_I84A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MYKVVVIIALLGAVKGLDRICLGHHAVANGTIVKTLTNEQEEVTNATETVESTNLNKLCMKGRSYKDLGN -CHPVGMLIGTPVCDPHLTGTWDTLIERENAIAHCYPGATINEEALRQKIMESGGISKMSTGFTYGSSITS -AGTTKACMRNGGDSFYAELKWLVSKTKGQNFPQTTNTYRNTDTAEHLIIWGIHHPSSTQEKNDLYGTQSL -SISVESSTYQNNFVPVVGARPQVNGQSGRIDFHWTLVQPGDNITFSDNGGLIAPSRVSKLTGRDLGIQSE -ALIDNSCESKCFWRGGSINTKLPFQNLSPRTVGQCPKYVNQRSLLLATGMRNVPEVVQGRGLFGAIAGFI -ENGWEGMVDGWYGFRHQNAQGTGQAADYKSTQAAIDQITGKLNRLIEKTNTEFESIESEFSETEHQIGNV -INWTKDSITDIWTYNAELLVAMENQHTIDMADSEMLNLYERVRKQLRQNAEEDGKGCFEIYHTCDDSCME -SIRNNTYDHSQYREEALLNRLNINPVKLSSGYKDIILWFSFGESCFVLLAVVMGLVFFCLKNGNMRCTIC -I - ->sp|P12589.1|HEMA_I86A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QKLPGNDNSTATPCLGHHAVPNGTLVETITNDQIEVTNATELVQSSSTGRICDSPHRILDGKNCTLIDAL -LGDPHCDGFQNEKWDLFIERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINEGFNWTGVTQSGGSYACK -RGSVNSFFSRLNWLYESEYKYPALNVTMPNNGKFDKLYIWGVHHPSTEKEQTNLYVRASGRVTVSTKRSQ -QTVIPNIGSRPWVRGLSSRISIYWTIVKPGDILLINSTGNLIAPRGYFKIRTGKSSIMRSDAPIGTCSSE -CITPNGSIPNDKPFQNVNKITYGACPRYVKQNTLKLATGMRNVPEKQTRGIFGAIAGFIENGWEGMVDGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRLIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNAELLVALENQHTIDLTDSEMNKLFEKTRKQLRENAEDMGNGCFKIYHKCDNACIGSIRNGTYDHDV -YRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNIRCNICI - ->sp|P26138.1|HEMA_I78A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIVLSCFFCLAFSQDLSENNNNTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNN -PHRILDGRDCTLIDALLGDPHCDVFQDETWDLYVERSKSFSNCYPYDVPDYASLRSLVASSGTLEFITEA -FTWTGVTQNGGSGACKRGPGNGFFSRLNWLTKSGSAYPVLNVTMPNNDNFDKLYVWGVHHPSTNQEQTNL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGQSRRISIYWTIVKPGDILVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIDTCISECITPNGSIPNDKPFQNVNKITYGACPKYIKQSTLKLATGMRNVPEKQTRGLFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNADVLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIESIRNGTYDHDIYRDEALNNRFQIKGCGVKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNI -RCNICI - ->sp|P26136.1|HEMA_I82A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MIALILVALALSHTAYSQITNGTTGNPIICLGHHAVENGTSVKTLTDNHVEVVSAKELVETNHTDELCPS -PLKLVDGQDCHLINGALGSPGCDRLQDTTWDVFIERPTAVDTCYPFDVPDYQSLRSILASSGSLEFIAEQ -FTWNGVKVDGSSSACLRGGRNSFFSRLNWLTKATNGNYGPINVTKENTGSYVRLYLWGVHHPSSDNEQTD -LYKVATGRVTVSTRSDQISIVPNIGSRPRVRNQSGRISIYWTLVNPGDSIIFNSIGNLIAPRGHYKISKS -TKSTVLKSDKRIGSCTSPCLTDKGSIQSDKPFQNVSRIAIGNCPKYVKQGSLMLATGMRNIPGKQAKGLF -GAIAGFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNEKYHQIEKEFEQV -EGRIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDQGNGCFEIFHQ -CDNNCIESIRNGTYDHNIYRDEAINNRIKINPVTLTMGYKDIILWISFSMSCFVFVALILGFVLWACQNG -NIRCQICI - ->sp|P17001.2|HEMA_I86A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTIILILLTHWVYSQNPTSGNNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSISIGKICNNS -YRVLDGRNCTLIDAMLGDPHCDVFQYENWDLFIERSSAFSNCYPYDIPDYASLRSIVASSGTLEFTAEGF -TWTGVTQNGRSGACKRGSADSFFSRLNWLTKSGNSYPTLNVTMPNNNNFDKLYIWGIHHPSSNNEQTKLY -IQESGRVTVSTKRSQQTIIPNIGSRPWVRGQSGRISIYWTIVKPGDILMINSNGNLVAPRGYFKLRTGKS -SVMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKVTYGKCPKYIRQNTLKLATGMRNVPEKQIRGIFGAI -AGFIENGWEGMVDGWYGFRYQNSEGTGQAGDLKSTQAAIDQINGKLNRVIERTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGGGCFKIYHKCDN -ACIGSIRNGTYDHYIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P26103.1|HEMA_I72A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILILAISAFLCVRADKICLGHHAVSNGTKVDTLTEKGIEVVNATETVEQKNIPKICSKGKQTIDLG -QCGLLGTTIGPPQCDQFLEFSANLIIERREGDDICYPGKFDNEETLRQILRKSGGIKKENMGFTYTGVRT -NGETSACRRSRSSFYAEMKWLLSNTDNGVFPQMTKSYKNTKREPALIIWGIHHSGSTAEQTKLYGSGDKL -ITVWSSKYQQSFAPNPGPRPQINGQSGRIDFYWLMLDPNDTVTFSFNGAFIAPDRASFLRGKSLGIKSDA -QLDNNCEGECYHIGGTIISNLPFQNINSRAIGKCPRYVKQKSLMLATGMKNVPENSTHKQLTHHMRKKRG -LFGAIAGFIENGWEGLIDGWYGYRHQNAQGEGTAADYKSTQSAINQITGKLNRLIEKTNQQFELIDNEFN -EIEKQIGNVINWTRDSIIEVWSYNAEFLVAVENQHTIDLTDSEMNKLYEKVRRQLRENAEEDGNGCFEIF -HQCDNDCMASIRNNTYDHKKYRKEAIQNRIQIDAVKLSSGYKDIILWFSFGASCFLFLAIAMVLAFICIK -NGNMRCTICI - ->sp|P16999.2|HEMA_I85A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTIILILLTHWVYSQNPTSGNNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSISIGKICNNP -YRVLDGRNCTLIDAMLGYPHCDVFQYENWDLFIERSSTFSNCYPYDIPDYASLRSIVASSGTLEFTAEGF -TWTGVTQNGRSGACKRGSADSFFSRLNWLTKSGNSYPTLNVTMPNNNNFDKLYIWGIHHPSSNNEQTKLY -IQESGRVTVSTKRSQQTIIPNIGSRPGIRGQSGRISIYWTIVKPGDILMVNSNGNLVAPRGYFKMRTGKS -SVMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKVTYGKCPKYIKQNTLKLATGMRNVPEKQIRGIFGAI -AGFIENGWEGMVDGWYGFRYQNSEGTGQAGDLKSTQAAIDQINGKLNRVIERTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGGGCFKIYHKCDN -ACIGSIRNGTYDHYIYRDEALNNRFQIRGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P26101.1|HEMA_I56A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILILATSAFFYVRADKICLGHHAVSNGTKVDTLTEKGIEVVNATETVEQTNIPKICSKGKQTVDLG -QCGLLGTVIGPPQCDQFLEFSANLIVERREGNDICYPGKFDNEETLRKILRKSGGIKKENMGFTYTGVRT -NGETSACRRSRSSFYAEMKWLLSSTDNGTFPQMTKSYKNTKKVPALIIWGIHHSGSTTEQTRLYGSGNKL -ITVWSSKYQQSFVPNPGPRPQMNGQSGRIDFHWLMLDPNDTVTFSFNGAFIAPDRASFLRGKSLGIQSDA -QLDNNCEGECYHIGGTIISNLPFQNINSRAIGKCPRYVKQKSLMLATGMKNVPEAPAHKQLTHHMRKKRG -LFGAIAGFIENGWEGLIDGWYGYKHQNAQGEGTAADYKSTQSAINQITGKLNRLIEKTNQQFELIDNEFN -EIEKQIGNVINWTRDSIIEVWSYNAEFLVAVENQHTIDLTDSEMNKLYEKVRRQLRENAEEDGNGCFEIF -HQCDNDCMASIRNNTYDHKKYRKEAIQNRIQIDAVKLSSGYKDIILWFSFGASCFLFLAIAMGLVFICIK -NGNMRCTICI - ->sp|P26100.1|HEMA_I77A9 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILILAISAFLCVRADKICLGHHAVSNGTKVDTLTEKGIEVVNATETVEQKNIPKICSKGKQTIDLG -QCGLLGTTIGPPQCDQFLEFSANLIIERREGDDICYPGKFDNEETLRQILRKSGGIKKENMGFTYTGVRT -NGETSACRRSRSSFYAEMKWLLSNTDNGVFPQMTKSYKNTKKEPALIIWGIHHSGSTAEQTRLYGSGNKL -ITVWSSKYQQSFAPNPGPRPQMNGQSGRIDFYWLMLDPNDTVNFSFNGAFIAPDRASFLRGKSLGIQSDA -QLDNNCEGECYHIGGTIISNLPFQNINSRAIGKCPRYVKQKSLMLATGMKNVPENSTHKQLTHHMRKKRG -LFGAIAGFIENGWEGLIDGWYGYRHQNAQGEGTAADYKSTQSAVNQITGKLNRLIEKTNQQFELIDNEFN -EIEKQIGNVINWTRDSIIEIWSYNAEFLVAVENQHTIDLTDSEMNKLYEKVRRQLRENAEEDGNGCFEIF -HQCDNDCMASIRNNTYDHKKYRKEAIQNRIQIDAVKLSSGYKEIILWFSFGASCFLFLAIAMVLAFICIK -NGNMRCTICI - ->sp|P16997.2|HEMA_I76AJ RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTIILILLTHWVYSQNPISGNNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSTSIGKICNNP -YRVLDGRNCTLIDAMLGDPHCDAFQYEKWDLFIERSSAFSNCYPYDIPDYASLRSIVASSGTLEFTAEGF -TWTGVTQNGESGSCRRGSADSFFSRLNWLTKSGDSYPTLNVTMPNNNNFDKLYIWGIHHPSTNDEQTKLY -VQESGRVTVSTKRSQQTIIPNIGSRPWVRGQAGRISIYWTIVKPGDVLMINSNGNLVAPRGYFKMRTEKS -SIMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKVTYGKCPKYIKQNTLKLATGMRNVPEKQIRGIFGAI -AGFIENGWEGMVDGWYGFRYQNSEGTGQAGDLKSTQAAIDQINGKLNRVIERTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGGGCFKIYHKCDN -ACIGSIRNGTYDHYIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P15658.2|HEMA_I63A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTTILILLTHWVHSQNPTGGNNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSTSTGKICNNP -YRVLDGRNCTLIDAMLGDPHYDVFQYENWDLFIERSSAFSNCYPYDVPDYASLRSLVASSGTLEFMAEGF -TWTGVTQNGGSSACRRGSADSFFSRLNWLTQSESSYPTLNVTMPNNDNFDKLYIWGIHHPSTNNEQTKLY -VQASGRVTVSTKRSQQTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLMINSNGNLIAPRGYFKMRTGKS -SIMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKVTYGKCPKYVKQSTLKLATGMRNVPEKQIRGIFGAI -AGFIENGWEGMVDGWYGFRYQNSEGTLQAGDLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDN -ACIESIRNGTYDHDIYRDEALNNRFQIRGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P26098.1|HEMA_I66A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILILATSAFLCVRADKICLGRHAVSNGTKVDTLTEKGIEVVNATETVEQKNIPKICSKGKQTIDLG -QCGLLGTTIGPPQCDQFLEFSANLIIERREGDDICYPGKFDNEETLRQILRKSGGIKKENMGFTYTGVRT -NGETSACRRSRSSFYAEMKWLLSNTDNGVFPQMTKSYKNTKREPALIIWGIHHSGSTAEQTRLYGSGNKL -ITVWSSKYQQSFAPNPGPRPQINGQSGRIDFYWLMLDPNDTVTFSFNGAFIAPDRASFLRGKSLGIQSDA -QLDNNCEGECYHIGGTIISNLPFQNINSRAIGKCPRYVKQKSLMLATGMKNVPENSTHKQLTHHMRKKRG -LFGAIAGFIENGWEGLIDGWYGYRHQNAQGEGTAADYKSTQSAINQITGKLNRLIEKTNQQFELIDNEFN -EIEKQIGNVINWTRDSIIEVWSYNAEFLVAVENQHTIDLTDSEMNKLYEKVRRQLRENAEEDGNGCFEIF -HQCDNDCMASIRNNTYDHKKYRKEAIQNRIQIDAVKLSSGYKDIILWFSFGASCFLFLAIAMGLAFICIK -NGNMRCTICI - ->sp|P16995.2|HEMA_I76A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTIILILLTHWVYSQNPTSGNNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSTSIGKICNNP -YRVLDGRNCTLIDAMLGDPHCDVFQYENWDLFIERSSAFSNCYPYDIPDYASLRSIVASSGTLEFTAEGF -TWTGVTQNGRSGACRRGSADSFFSRLNWLTKSGNSYPTLNVTMPNNNNFDKLYIWGIHHPSTNNEQTKLY -VQELGRVTVSTKRSQQTIIPNIGSRPGVRGQSGRISIYWTIVKPGDILMINSNGNLVAPRGYFKMRTGKS -SIMRSDAPIDTCVSECITPNGSIPNDKPFQNVNKVTYGKCPKYIKQNTLKLATGMRNVPEKQIRGIFGAI -AGFIENGWEGMVDGWYGFRYQNSEGTGQAADLKSTQAAIDQINGKLNRVIERTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDN -ACIGSIRNGTYDHYIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P26097.1|HEMA_I64A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILILATSAFLCVRADKICLGHHAASNGTKVDTLTEKGIEVVNATETVEQKNIPKICSKGKQTIDLG -QCGLLGTIIGPPQCDQFLEFSANLIIERREGNDICYPGKFDDEETLRQILRKSGGIKKENMGFTYTGVRT -NGETSACRRSRSSFYAEMKWLLSNTDNGVFPQMTKSYKNTKREPALIIWGIHHSGSTAEQTRLYGSGNKL -ITVWSSKYQQSFAPNPGPRPQINGQSGRIDFYWLMLDPNDTVNFSFNGAFIAPDRASFLRGKSLGIQSDA -QLDNNCEGECYHIGGTIISNLPFQNINSRAIGKCPRYVKQKSLMLATGMKNVPENSTHKQLTHHMRKKRG -LFGAIAGFIENGWEGLIDGWYGYRHQNAQGEGTAADYKSTQSAINQITGKLNRLIEKTNQQFELIDNEFN -EIEKQIGNVINWTRDSIIEIWSYNAEFLVAVENQHTIDLTDSEMNKLYEKVRRQLRENAEEDGNGCFEIF -HQCDNDCMASIRNNTYDHKKYRKEAIQNRIQIDAVKLSSGYKDVILWFSFGASCFLFLAITMGLAFICIK -NGNMRCTICI - ->sp|P03440.1|HEMA_I77A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCQVLAQNLPGNDNSTATLCLAHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGRICDS -PHRILDGKNCTLIDALLGDPHCDGFQNEKWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINEG -FNWTGVTQNGGSYACKRGPDNSFFSRLNWLYKSESTYPVLNVTMPNNDNFDKLYIWGVHHPSTDKEQTKL -YVQASGRVTVSTKRSQQTIIPNVGSRPWVRGLSSRISIYWTIVKPGDILLINSNGNLIAPRGYFKIRTGK -SSIMRSDAPIGTCSSECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|P04664.1|HEMA_I69A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain -QDLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGKICNNPHRILDGINCTLIDAL -LGDPHCDVFQDETWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSNACK -RGPDSGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTSLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGLSSRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIDTCISE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQT - ->sp|P26135.1|HEMA_I74A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIVLSYFFCLALSQDYSESNNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNN -PHRILDGRDCTLIDALLGDPHCDVFQDETWDLYVERSSAFSNCYPYDVPDYASLRSLVASSGTLEFITEG -FTWTGVTQNGGSNACKRGPASGFFSRLNWLTKSGSTYPVLNVTMPNDDNFDKLYVWGVHHPSTNQEQTDL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKIRTGK -SSIMRSDAPIDTCISECITPNGSIPNDKPFQNVNKITYGACPKYVKQSTLKLATGMRNVPEKKTRGLFVA -IAGFIENGWEGMIDCWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLENYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFERTRRQLRENAEDMGSGCFKIYHKCD -NACIESIRNGTYDHDIYRDEALNNRFQIKGVELKSGYKDWILWISFATSCFLLCVVLGGFIMWACQRGNI -RCNICI - ->sp|P12586.2|HEMA_I82A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDYSENNNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNNPHRILDGRDCTLIDAL -LGDPHCDVFQDETWDLYVERSSAFSNCYPYDVSDYASLRSLVASSGTLEFLTEGFTWTGVTQNGGSNACK -RGPASGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYVWGVHHPSTNQEQTDLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIDTCISE -CVTPNGSIPNDKPFQNVNKITYGACLKYVKQSTLKLATGMRNVPEKQTRGLFGAIAGFIENGWEGMIDGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKKNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDNACIESIRNGTYDHDI -YRDEALNNRFQIKGVELKSGYKNWILWISFAISCFLLCVVLLGFIMWAYQRGNIRCNICI - ->sp|P26134.1|HEMA_I76A3 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIVLSYFFCLALSQDYSESNNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNN -PHRILDGRDCTLIDALLGDPHCDVFQDETWDLYVERSSAFSNCYPYDVPDYDSLRSLVASSGTLEFITEG -FTWTGVTQNGGSNACKRGPASGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYVWGVHHPSTNQEQTNL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIDTCISECITPNGSIPNDMPFQNVNKITYGACPKYVKQGTLKLATGMRNVPEKQTRGLFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSDNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEVMGNGCFKIYHKCD -NACIESIRNGTYDHDIYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNI -RCNICI - ->sp|P19695.1|HEMA_I75A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSITILFLLIAEGSSQNYTGNPVICLGHHAVSNGTMVKTLTDDQVEVVTAQELVESQHLPELCPSPLRL -VDGQTCDIVNGALGSPGCNHLNGAEWDVFIERPTAVDTCYPFDVPDYQSLRSILANNGKFEFIVEKFQWN -TVKQNGKSGACKRANENDFFTNLNWLTKSDGNAYPLQNLTKVNNGDYARLYIWGVHHPSTDTEQTNLYEN -NPGRVTVSTKTSQTSVVPNIGSRPWVRGQSGRISFYWTIVEPGDIIVFNTIGNLIAPRGHYKLNSQKKST -ILNTAVPIGSCVSKCHTDRGSITTTKPFQNISRISIGDCPKYVKQGSLKLATGMRNIPEKATRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDQINGKLNRLIEKTNEKYHQIEKEFEQVEGRI -QDLEKYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMDKLFERVRRQLRENAEDKGNGCFEIFHQCDNN -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLTQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - ->sp|P03449.2|HEMA_I71A1 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSHIFCLVLGQYLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGKICNN -PHRILDGIDCTLIDALLGDPHCDGFQNETWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFITEG -FTWTGVTQNGGSNACKRGPGSGFFSRLNWLTKSESTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTSL -YVQASGRVTVSTRRSQQTIIPNIGSRPWVRGLSSRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGK -SSIMRSDAPIDTCISECITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGA -IAGFIENGWEGMIDGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCD -NACIESIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNI -RCNICI - ->sp|O11283.2|HEMA_I89A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYILCLVFAQKLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGRICDS -PHRILDGKNCTLIDALLGDPHCDGFQNKEWDLFVERSKAYSNCYPYDVPDYASLRSLVASSGTLEFINED -FNWTGVAQSGESYACKRGSVKSFFSRLNWLHESEYKYPALNVTMPNNGKFDKLYIWGVHHPSTDREQTNL -YVRASGRVTVSTKRSQQTVIPNIGSRPWVRGLSSRISIYWTIVKPGDILLINSTGNLIAPRGYFKIRTGK -SSIMRSDAPIGTCSSECITPNGSIPNDKPFQNVNRITYGACPRYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMVNGWYGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRLIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRKQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|Q38SQ8.1|HEMA_I83A8 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTIIALSYIFCLVFAQKLPGNDNSTATLCLGHHAVPNGTLVKTITNDQIEVTNATELVQSSSTGRICDS -PHRILDGKNCTLIDALLGDPHCDGFQNEKWDLFVERSKAFSNCYPYDVPDYASLRSLVASSGTLEFINEG -FNWTGVTQSGGSYTCKRGSVNSFFSRLNWLYESESKYPVLNVTMPNNGKFDKLYIWGVHHPSTDKEQTNL -YVRASGRVTVSTKRSQQTVIPNIGSRPWVRGLSSRISIYWTIVKPGDILLINSIGNLIAPRGYFKIRTGK -SSIMRSDAPIGICSSECITPNGSIPNDKPFQNVNKITYGACPRYVKQNTLKLATGMRNVPEKQTRGIFGA -IAGFIENGWEGMVDGWYGFRHQNSEGTGQAADLKSTQAAVDQINGKLNRLIEKTNEKFHQIEKEFSEVEG -RIQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDSEMNKLFEKTRKQLRENAEDMGNGCFKIYHKCD -NACIGSIRNGTYDHDVYRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQKGNI -RCNICI - ->sp|P43260.1|HEMA_I76AC RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDLPGTDNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQTSSTGKICNNPHRILDGRDCTLIDAL -LGDPHCDVFQDETWDLFVERSNAFSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSNACK -RGPANGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTNLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDALIDTCVSE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLAIGMRNVPEKQTRGLFGAIAGFIENGWEGMIDGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNGKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNADVLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDNTCIESIRNGTYDHDV -YRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNIRCNICI - ->sp|P43259.1|HEMA_I77A7 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDLPGNDDSTATLCLGHHAVTNGTIVKTITDDQIEVTNATELVQSSSTGKICNNPHRILDGRDCTLIDAL -LGDPHCDVFQDETWDLFVERSNAYSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSNACK -RGPANGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGIHHPSTSQEQTTLYVQASGRVIVSTRRSQ -QTIISNIGSRPWVRGQSGRISIYWTIVKSGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIDTCISE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGAIAGFIENGWEGMIDVW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNADVLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDNTCIESIRNGTYDHDV -YRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNIRCNICI - ->sp|P03458.2|HEMA_I71A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILVFIACVLIKAKGDKICLGHHAVANGTKVNTLTERGIEVVNATETVETANIGKISTQGKRPTDLG -QCGLLGTLIGPPQCDQFLEFESDLIIERREGNDICYPGKFTNEESLRQILRGSGGIDKESMGFTYSGIRT -NGATSACRRSGASFYAEMKWLLSNSDNAAFPQMTKSYRNPRSKPALIVWGIHHSGSTTEQTKLYGSGNKL -ITVESSKYQQSFTPSPGARPQVNGQSGRIDFHWLLLDPNDTVTFTFNGAFIAPDRASFLRGESLGIQSDV -PLDSNCGGNCFHSGGTIVSSLPFQNINSRTVGKVPRYVRQPSLLLATGMRNVPENPKTRGLFGAIAGFIE -NGWEGLIDGWYGFRHQNAQGEGTAADYKSTQSAIDQITGKLNRLIDKTNQQFELIDNAFSEIEQQIGNVI -NWTRDSMTEVWSYNAELLVAMENQHTIDLADSEMNKLYERVRKQLRENAEEDGTGCFEIFHKCDDQCMAS -IRNNTYDHTQYRAESLQNRIQIDPVKLSSGYKDIILWFSFGASCFLLLAIAMGLVFICIKNGNMRCTICI - ->sp|P09344.1|HEMA_I85A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MNTQILILTLVAAIHTNADKICLGHHAVSNGTKVNTLTERGVEVVNATETVERRTIPRICTKGKKAIDLG -QCGLLGIITGPPQCDQFLEFTADLIIERREGNDVCYPGKFVNEEALRQILRESGGINKETTGFTYSGIRT -NGVTSACRRSGSSFYAEMKWLLSNTDNAAFPQMTKSYKNTRNEPALIVWGIHHSGSATEQTKLYGSGNKL -ITVGSSNYQQSFVPSPGARPQVNGQSGRIDFHWLILNPNDTVTFSFNGAFVAPDRVSFFKGKSMGIQSEV -PVDTNCEGECYHNGGTITSNLPFQNVNSRAVGKCPRYVKQKSLLLATGMKNVPEIPKKREKRGLFGAIAG -FIENGWEGLVDGWYGFRHQNAQGEGTAADYKSTQSAIDQITGKLNRLIEKTNQQFELIDNEFTEVEKQIG -NVINWTRDSITEVWSYNADLLVAMENQHTIDLTDSEMNKLYERVRRQLRENAEEDCTGCFEIFHKCDDDC -MASIRNNTYDHSTYREEAMQNRVKIDPVKLSSGYKDVILWFSLGASCFLLLAIAMGLVFMCVKNGNMRCT -ICI - ->sp|P17002.2|HEMA_I63A4 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTTILILLTHWVHSQNPIGGKNTATLCLGHHAVANGTLVKTITDDQIEVTNATELVQSTSTGKICNNP -YRVLDGRNCTLIDAMLGDPHCDVFQYGNWDLFIERSSAFSNCYPYDIPDYASLRSLVASSGTLEFMAEGF -TWTGVTQNGRSSACRRGSADSFFSRLNWLTKSGNSYPTLNVTMPNNDNFDKLYIWGIHHPSTNNEQTKLY -VQASGRVTVSTKRSQQTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLMINSNGNLIAPRGYFKMRTGKS -SIMRSDAPIDTCVSECITPNGSIPNNKPFQNVNKVTYGKCPKYVKQSTLKLATGMRNVPERQIRGIFGAI -AGFIENGWEGMIDGWYGFRYQNSEGTGQAGDLKSTQAAIDQINGKLNRVIGKTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDN -ACIESIRNGTYDHDIYRDEALNNRFQIRGVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P16994.2|HEMA_I72A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MKTTIILILLIHWVHSQIPISDNNTATLCLGHHAVANGTLVKTLTDDQTEVTNATELVQSTSTGKICNNP -YRVLDGKNCTLIDAMLGDPHCDVFQYENWDLFVERSSAFSNCYPYDVPDYASLRSIVASSGTLEFMAEGF -TWTGVTQNGRSSSCRRGSADSFFSRLNWLTKSESSYSTLNVTMPNNDNFDKLYIWGIHHPSTNNEQTKLY -VQASGRVTVSTKRSQQTILPNIGSRPWVRGQSGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRAGKS -SIMRSDAPIDTCVFECITPNGSIPNDKPFQNVNKITYGKCPKYVKQSTLKLATGMRNVPEKRLRGIFGAI -AGFIENGWEGMVDGWYGFRHQNSEGTGQAGDLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGR -IQDLEKYVEDTKIDLWSYNAELLVALENQHTIDLTDAEMNKLFERTRRQLRENAEDMGNGCFKIYHKCDN -ACIESIRNGTYDHDIYRDEALNNRFQIKSVELKSGYKDWILWISFAISCFLICVVLLGFIMWACQKGNIR -CNICI - ->sp|P03444.1|HEMA_I53A0 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Flags: Precursor -MYKIVLVLTLFGAVNGLDKICLGHHAVPNGIIVKTLTNEKEEVTNATETVESKTLDRLCMKGRKYKDLGN -CHPIGIIIGAPACDLHLTGRWETLIERENSIAYCYP - ->sp|P12588.1|HEMA_I85A2 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDLPGNDNSTATLCLGHHAVPNGTLVKTITDDQIEVTNATELVQSSSTGKICNNPHRILDGRDCTLIDAL -LGDPHCDVFQDETWDLFVERSNAFSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSNACK -RGPNSGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTNLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGQSGRISIYWTVVKPGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIDTCISE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGAIAGFIENGWEGMIDGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNADVLVALENQHTIDLTDSEMNKLFERTRRQLRENAEDMGNGCFKIYHKCDNVCIESIRNGTYDHDV -YRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNIRCNICI - ->sp|P12585.1|HEMA_I82A5 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDLPGNDNSTATLCLGHHAVPNGTIVKTITDDQIEVTNATELVQSSSTGKICNNPHRILDGRDCTLIDAL -LGDPHCDVFQDETWDLFVERSNAFSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSNACK -RGPASGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTNQEQTNLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGQSSRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGKSSVMRSDAPIDTCVSE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGAIAGFIENGWEGMIDGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNADVLVALENQHTIDLTDSEMNKLFEKTRRQLRENAEDMGNGCFKIYHKCDNACIESIRNGTYDHDI -YRDEALNNRFQIKGVELKSGYKDWILWISFAISCFLLCAVLLGFIMWACQRGNIRCNICI - ->sp|P12582.1|HEMA_I77A6 RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -QDLPGNDNSTATLCLGHYAMPNGTLVKTITDDQIEVPNATELVQSSSTGKICNNPHRILDGRDCTLIDAL -LGDPHCDVFQDETWDLFVERSNAFSNCYPYDVPDYASLRSLVASSGTLEFITEGFTWTGVTQNGGSNACK -RGPASGFFSRLNWLTKSGSTYPVLNVTMPNNDNFDKLYIWGVHHPSTDQEQTNLYVQASGRVTVSTRRSQ -QTIIPNIGSRPWVRGQSGRISIYWTIVKPGDVLVINSNGNLIAPRGYFKMRTGKSSIMRSDAPIDTCISE -CITPNGSIPNDKPFQNVNKITYGACPKYVKQNTLKLATGMRNVPEKQTRGLFGAIAGFIENGWEGMIDGW -YGFRHQNSEGTGQAADLKSTQAAIDQINGKLNRVIEKTNEKFHQIEKEFSEVEGRIQDLEKYVEDTKIDL -WSYNADVLVALENQHTIDLTDSEMNKLFEKTKRQLRENAEDMGNGCFKIYHKCDNACVESIRNGTYDHDV -YRDESLNNRFQIKGVELKSGYKDWILWISFAISCFLLCVVLLGFIMWACQRGNIRCNICI - ->sp|P19694.1|HEMA_I77AG RecName: Full=Hemagglutinin; Contains: RecName: Full=Hemagglutinin HA1 chain; Contains: RecName: Full=Hemagglutinin HA2 chain; Flags: Precursor -MLSVVILFLLVAENSSQSYTGNPVICMGHHSVANGTMVKTLTDDQVEVVTARELVESQTLPELCPSPLRL -VDGQTCDIINGALGSPGCDHLNGAEWDVFIERPNAVDTCYPFDVPDYQSLRSILANNGKFEFIAEEFQWN -TVIQNGKSSACKRANVNDFFNRLNWLVKSTGNAYPLQNLTKVNNGDYARLYIWGVHHPSTDTEQTNLYKN -NPGRVTVSTKTSQTSVVPNIGSRPLVRGQSGRISFYWTIVEPGDLIVFNTIGNLIAPRGHYKLNNQKKST -ILNTPIPIGSCVSKCHTDKGSVSTTNPFQNISRIAIGECPKYVKQGSLKLATGMRNVPEKASRGLFGAIA -GFIENGWQGLIDGWYGFRHQNAEGTGTAADLKSTQAAIDKINGKLNRLIEKTNEKYHQIEKEFNKIEGRV -QDLEKYVEDTKIDLWSYNAELLVALENQHTIDVTDSEMNKLFERVRRQLRENAEDQGNGCFEIFHKCDNN -CIESIRNGTYDHDIYRDEAINNRFQIQGVKLIQGYKDIILWISFSISCFLLVALLLAFILWACQNGNIRC -QICI - diff --git a/seq/clusters_seq/cluster_350 b/seq/clusters_seq/cluster_350 deleted file mode 100644 index 4b5c5df..0000000 --- a/seq/clusters_seq/cluster_350 +++ /dev/null @@ -1,165 +0,0 @@ ->YP_009177603.1 hemagglutinin protein [Phocine morbillivirus] -MFSHQDKVGAFYKNNARANSSKLSLVTDEVEERRSPWFLSILLILLVGILILLAITGIRFHQVVKSNLEF -NKLLIEDMEKTKAVHHQVKDVLTPLFKIIGDEVGLRLPQKLNEIKQFIVQKTNFFNPNREFDFRELHWCI -NPPSKVKVNFTQYCEITEFKEATRSVANSILLLTLYRGRDDIFPPYKCRGATTSMGNVFPLAVSLSMSLI -SKPSEVINMLTAISEGIYGKTYLLVTDDTEENFETPEIRVFEIGFINRWLGDMPLFQTTNYRIISNNSNT -KICTIAVGELALASLCTKESTILLNLGDEESQNSVLVVILGLFGATHMDQLEEVIPVAHPSIEKIHITNH -RGFIKDSVATWMVPALALSEQGEQINCLRSACKRRTYPMCNQTSWEPFGDKRLPSYGRLTLSLDVSTDLS -INVSVAQGPIIFNGDGMDYYEGTLLNSGWLTIPPKNGTILGLINQASKGDQFIVTPHILTFAPRESSTDC -HLPIQTYQIQDDDVLLESNLVVLPTQSFEYVVATYDVSRSDHAIVYYVYDPARTVSYTYPFRLRTKGRPD -ILRIECFVWDGHLWCHQFYRFQLDATNSTSVVENLIRIRFSCDRLDP - ->YP_133827.2 haemagglutinin [Peste des petits ruminants virus] -MSAQRERINAFYKDNPHNKNHRVILDRERLVIERPYILLGVLLVMFLSLIGLLAIAGIRLHRATVGTSEI -QSRLNTNIELTESIDHQTKDVLTPLFKIIGDEVGIRIPQKFSDLVKFISDKIKFLNPDREYDFRDLRWCM -NPPERVKINFDQFCEYKAAVKSIEHIFESPLNKSKKLQSLTLGPGTGCLGRTVTRAHFSELTLTLMDLDL -EMKHNVSSVFTVVEEGLFGRTYTVWRSDARDPSTDLGIGHFLRVFEIGLVRDLGLGPPVFHMTNYLTVNM -SDDYRRCLLAVGELKLTALCSSSETVTLGERGVPKREPLVVVILNLAGPTLGGELYSVLPTSDLMVEKLY -LSSHRGIIKDDEANWVVPSTDVRDLQNKGECLVEACKTRPPSFCNGTGSGPWSEGRIPAYGVIRVSLDLA -SDPGVVITSVFGPLIPHLSGMDLYNNPFSRAVWLAVPPYEQSFLGMINTIGFPNRAEVMPHILTTEIRGP -RGRCHVPIELSRRVDDDIKIGSNMVILPTIDLRYITATYDVSRSEHAIVYYIYDTGRSSSYFYPVRLNFK -GNPLSLRIECFPWRHKVWCYHDCLIYNTITDEEVHTRGLTGIEVTCNPV - ->YP_087125.2 H protein [Rinderpest virus (strain Kabete O)] -MSPPRDRVDAYYKDNFQFKNTRVVLNKEQLLIERPCMLLTVLFVMFLSLVGLLAIAGIRLHRAAVNTAKI -NNDLTTSIDITKSIEYQVKDVLTPLFKIIGDEVGLRTPQRFTDLTKFISDKIKFLNPDKEYDFRDINWCI -NPPERIKIDYDQYCAHTAAEDLITMLVNSSLTGTTVLRTSLVNLGRNCTGPTTTKGQFSNISLTLSGIYS -GRGYNISSMITITGKGMYGSTYLVGKYNQRARRPSIVWQQDYRVFEVGIIRELGVGTPVFHMTNYLELPR -QPELETCMLALGESKLAALCLADSPVALHYGRVGDDNKIRFVKLGVWASPADRDTLATLSAIDPTLDGLY -ITTHRGIIAAGTAIWAVPVTRTDDQVKMGKCRLEACRDRPPPFCNSTDWEPLEAGRIPAYGVLTIKLGLA -DEPKVDIISEFGPLITHDSGMDLYTSFDGTKYWLTTPPLQNSALGTVNTLVLEPSLKISPNILTLPIRSG -GGDCYTPTYLSDRADDDVKLSSNLVILPSRDLQYVSATYDISRVEHAIVYHIYSTGRLSSYYYPFKLPIK -GDPVSLQIECFPWDRKLWCHHFCSVIDSGTGEQVTHIGVVGIEITCNGK - ->NP_945029.1 haemagglutinin protein [Dolphin morbillivirus] -MSSPRDKVDAFYKDIPRPRNNRVLLDNERVIIERPLILVGVLAVMFLSLVGLLAIAGVRLQKATTNSIEV -NRKLSTNLETTVSIEHHVKDVLTPLFKIIGDEVGLRMPQKLTEIMQFISNKIKFLNPDREYDFNDLHWCV -NPPDQVKIDYAQYCNHIAAEELIVTKFKELMNHSLDMSKGRIFPPKNCSGSVITRGQTIKPGLTLVNIYT -TRNFEVSFMVTVISGGMYGKTYFLKPPEPDDPFEFQAFRIFEVGLVRDVGSREPVLQMTNFMVIDEDEGL -NFCLLSVGELRLAAVCVRGRPVVTKDIGGYKDEPFKVVTLGIIGGGLSNQKTEIYPTIDSSIEKLYITSH -RGIIRNSKARWSVPAIRSDDKDKMEKCTQALCKSRPPPSCNSSDWEPLTSNRIPAYAYIALEIKEDSGLE -LDITSNYGPLIIHGAGMDIYEGPSSNQDWLAIPPLSQSVLGVINKVDFTAGFDIKPHTLTTAVDYESGKC -YVPVELSGAKDQDLKLESNLVVLPTKDFGYVTATYDTSRSEHAIVYYVYDTARSSSYFFPFRIKARGEPI -YLRIECFPWSRQLWCHHYCMINSTVSNEIVVVDNLVSINMSCSR - ->NP_047206.1 haemagglutinin protein H [Canine morbillivirus] -MLPYQDKVGAFYKDNARANSTKLSLVTEGHGGRRPPYLLFVLLILLVGILALLAITGVRFHQVSTSNMEF -SRLLKEDMEKSEAVHHQVIDVLTPLFKIIGDEIGLRLPQKLNEIKQFILQKTNFFNPNREFDFRDLHWCI -NPPSTVKVNFTNYCESIGIRKAIASAANPILLSALSGGRGDIFPPHRCSGATTSVGKVFPLSVSLSMSLI -SRTSEVINMLTAISDGVYGKTYLLVPDDIEREFDTREIRVFEIGFIKRWLNDMPLLQTTNYMVLPKNSKA -KVCTIAVGELTLASLCVEESTVLLYHDSSGSQDGILVVTLGIFWATPMDHIEEVIPVAHPSMKKIHITNH -RGFIKDSIATWMVPALASEKQEEQKGCLESACQRKTYPMCNQASWEPFGGRQLPSYGRLTLPLDASVDLQ -LNISFTYGPVILNGDGMDYYESPLLNSGWLTIPPKDGTISGLINKAGRGDQFTVLPHVLTFAPRESSGNC -YLPIQTSQIRDRDVLIESNIVVLPTQSIRYVIATYDISRSDHAIVYYVYDPIRTISYTHPFRLTTKGRPD -FLRIECFVWDDNLWCHQFYRFEADIANSTTSVENLVRIRFSCNR - ->NP_056923.1 hemagglutinin protein [Measles morbillivirus] -MSPQRDRINAFYKDNPHPKGSRIVINREHLMIDRPYVLLAVLFVMFLSLIGLLAIAGIRLHRAAIYTAEI -HKSLSTNLDVTNSIEHQVKDVLTPLFKIIGDEVGLRTPQRFTDLVKFISDKIKFLNPDREYDFRDLTWCI -NPPERIKLDYDQYCADVAAEELMNALVNSTLLEARATNQFLAVSKGNCSGPTTIRGQFSNMSLSLLDLYL -SRGYNVSSIVTMTSQGMYGGTYLVGKPNLSSKGSELSQLSMHRVFEVGVIRNPGLGAPVFHMTNYFEQPV -SNDFSNCMVALGELKFAALCHREDSITIPYQGSGKGVSFQLVKLGVWKSPTDMRSWVPLSTDDPVIDRLY -LSSHRGVIADNQAKWAVPTTRTDDKLRMETCFQQACKGKNQALCENPEWAPLKDNRIPSYGVLSVNLSLT -VELKIKIASGFGPLITHGSGMDLYKTNHNNVYWLTIPPMKNLALGVINTLEWIPRFKVSPNLFTVPIKEA -GEDCHAPTYLPAEVDGDVKLSSNLVILPGQDLQYVLATYDTSRVEHAVVYYVYSPSRSFSYFYPFRLPIK -GVPIELQVECFTWDKKLWCRHFCVLADSESGGHITHSGMVGMGVSCTVTREDGTNRR - ->sp|P08362.1|HEMA_MEASE RecName: Full=Hemagglutinin glycoprotein -MSPQRDRINAFYKDNPHPKGSRIVINREHLMIDRPYVLLAVLFVMFLSLIGLLAIAGIRLHRAAIYTAEI -HKSLSTNLDVTNSIEHQVKDVLTPLFKIIGDEVGLRTPQRFTDLVKFISDKIKFLNPDREYDFRDLTWCI -NPPERIKLDYDQYCADVAAEELMNALVNSTLLETRTTNQFLAVSKGNCSGPTTIRGQFSNMSLSLLDLYL -GRGYNVSSIVTMTSQGMYGGTYLVEKPNLSSKRSELSQLSMYRVFEVGVIRNPGLGAPVFHMTNYLEQPV -SNDLSNCMVALGELKLAALCHGEDSITIPYQGSGKGVSFQLVKLGVWKSPTDMQSWVPLSTDDPVIDRLY -LSSHRGVIADNQAKWAVPTTRTDDKLRMETCFQQACKGKIQALCENPEWAPLKDNRIPSYGVLSVDLSLT -VELKIKIASGFGPLITHGSGMDLYKSNHNNVYWLTIPPMKNLALGVINTLEWIPRFKVSPYLFNVPIKEA -GEDCHAPTYLPAEVDGDVKLSSNLVILPGQDLQYVLATYDTSRVEHAVVYYVYSPSRSFSYFYPFRLPIK -GVPIELQVECFTWDQKLWCRHFCVLADSESGGHITHSGMEGMGVSCTVTREDGTNRR - ->sp|Q65999.1|HEMA_CDVC RecName: Full=Hemagglutinin glycoprotein -MLSYQDKAGAFYKDNARANSTKLSLVTEEHGGRRPPYLLFVLLVLLVGILALLAITGVRFHQVSTSNMEF -SRLLKEDMEKSEAVHHQVIDVLTPLFKIIGDEIGSRLPQKLNEIKQFILQKTNFFNPNREFDFRDLHWCI -NPPSKVKVNFTNYCESIGIRKAIASAANPILLSALPGGRSDIFPPHRCSGATTSVGKVFPLSVSLSMSLI -SRTSEIINMLTAISDGVYGKTYLLAPDDIEREFDTQEIRVFEIGFIKRWLNDMPSLQTTNYMVLPENSKA -KVCTIAVGELTLASLCVEESTVLLYHDSSGSQDGILVVTLGIFGTTPMDHIEEVIPVAHPSMEKIHITNH -RGFIKDSIATWMVPALASEKQEEQKGCLESACQRKPYPMCNQTSWEPFGGRQLPSYGRLTLPLDASVDLQ -LNISFTYGPVILNGDGMDYYESPLLNSGWLTIPPKNGTILGLINKAGRGDQFTVIPHVLTFAPMESSGNC -YLPIQTSQIIDRDVLIESNLVVLPTQSFRYVIATYDISRSDHAIVYYVYDPIRTISYTHPFRLTTKGRPD -FLRIECFVWDDNLWCHQFYRFEANIANSTTSVENLVRIRFSCNRSNP - ->sp|Q66001.1|HEMA_CDVA4 RecName: Full=Hemagglutinin glycoprotein -MLSYQDKVGAFYKDNARANSSRLSLVTEDQGGRRPPYLLFVLLILLVGIMALLAITGVRFHQVSTSNMEF -SRLLKEDMEKSEAVHHQVIDVLTPLFKIIGDEIGLRLPQKLNEIKQFILQKTNFFNPNREFDFRDLHWCI -NPPSKIKVNFTNYCDTIGIRKSIASAANPILLSALSRSRGDIFPPYRCSGATTSVGSVFPLSVSLSMSLI -SRTSEIINMLTAISDGVYGKTYLLVPDYLEGEFDTQKIRVFEIGFIKRWLNNMPLLQTTNYMVLPENSKA -KVCTIAVGELTLASLCVDESTVLLYHDSNGSQGGILVVTLGIFGATPMDQVEEVIPVPHPSVEKIHITNH -RGFIKDSIATWMVPALVSEKQEEQKNCLESACQRKSYPMCNQTSWEPFGGGQLPSYGRLTLPLDPSIDLQ -LNISFTYGPVILNGDGMDYYESPLLDSGWLTIPPKNGTVLGLINKASRGDQFTVIPHVLTFAPRESSGNC -YLPIQTSQIMDKDVLTESNLVVLPTQNFIYVIATYDISRGDHAIVYYVYDPIRTISYTHAFRLTTKGRPD -FLRIECFVWDDDLWCHQFYRFEADSTNSTTSVENLVRIRFSCNRSKP - ->sp|P41355.1|HEMA_RINDR RecName: Full=Hemagglutinin glycoprotein -MSPPRDRVDAYYKDNFQFKNTRVVLNKEQLLIERPCMLLTVLFVMFLSLVGLLAIAGIRLHRAAVNTAKI -NNDLTTSIDITKSIEYQVKDVLTPLFKIIGDEVGLRTPQRFTDLTKFISDKIKFLNPDKEYDFRDINWCI -NPPERIKIDYDQYCAHTAAEDLITMLVNSSLTGTTVPRTSLVNLGRNCTGPTTTKGQFSNISLTLSGIYS -GRGYNISSMITITGKGMYGSTYLVGKYNQRARRPSKVWHQDYRVFEVGIIRELGVGTPGFHMTNYLELPR -QPELETCMLALGESKLAALCLADSPVALHYGRVGDDNKIRFVKLGVWASPADRDTLATLSAIDPTLDGLY -ITTHRGIIAAGTAIWAVPVTRTDDQVKMGKCRLEACRDRPPPFCNSTDWEPLEAGRIPAYGVLTIKLGLA -DEPKVDIISEFGPLITHDSGMDLYTSFDGTKYWLTTPPLQNSALGTVNTLVLEPSLKISPNILTLPIRSG -GGDCYIPTYLSDRADDDVKLSSNLVILPSRDLQYVSATYDISRVEHAIVYHIYSTGRLSSYYYPFKLPIK -GDPVSLQIECFPWDRKLWCHHFCSVVDSGTGEQVTHIGVVGIKITCNGK - ->sp|P09460.1|HEMA_RINDL RecName: Full=Hemagglutinin glycoprotein -MSSPRDRVNAFYKDNLQFKNTRVVLNKEQLLIERPYMLLAVLFVMFLSLVGLLAIAGIRLHRAAVNTAEI -NSGLTTSIDITKSIEYQVKDVLTPLFKIIGDEVGLRTPQRFTDLTKFISDKIKFLNPDKEYDFRDINWCI -SPPERIKINYDQYCAHTAAEELITMLVNSSLAGTSVLPTSLVNLGRSCTGSTTTKGQFSNMSLALSGIYS -GRGYNISSMITITEKGMYGSTYLVGKHNQGARRPSTAWQRDYRVFEVGIIRELGLGTPVFHMTNYLELPR -QPELEICMLALGEFKLAALCLADNSVALHYGGLRDDHKIRFVKLGVWPSPADSDTLATLSAVDPTLDGLY -ITTHRGIIAAGKAVWVVPVTRTDDQRKMGQCRREACREKPPPFCNSTDWEPLEAGRIPAYGILTIRLGLA -DKLKLTIISEFGPLITHDSGMDLYTPLDGNEYWLTIPPLQNSALGTVNTLVLEPSLKISPNILTLPIRSG -GGDCYTPTYLSDLADDDVKLSSNLVILPSRNLQYVSATYDTSRVEHAIVYYIYSAGRLSSYYYPVKLPIK -GDPVSLQIGCFPWGLKLWCHHFCSVIDSGTRKQVTHTGAVGIEITCNSR - ->sp|P26028.1|HEMA_MEASI RecName: Full=Hemagglutinin glycoprotein -MSPQRDRINAFDKDNPHPXXXXXXXXXXXXXXXRPYVLLAVLFVMFLSLIGLLAIAGIRFHRAAIYTAEI -HKSLSTNLDVTNSIEHQVKDVLTPLFKIIGDEVGLRTPQRFTDLVKFISDKIKFLNPDREYDFRDLTWCI -NPPERIKLDYDQYCADVAAEELMNALVNSTLLETRTTNQFLAVSKGNCSGPTTIRGQFSNMSLSLLDLYL -SRSYNVSSIVTMTSQGMHGGTYLVGKPNLSNKGSELSQLSMYRVFEVGVIRNPGLGAPVFHMTNYFEQPV -SNDLSNCMVALGELKLAALCHGEDSITIPYQGSGKGVSIQLVKLGVWKSPTDMQSWVPLSTDDPVIDRLY -LSSHRGVIADNQAKWAVPTTRTDDKLRMETCFQQACRGEVQALCEDPEWAPLKDGRIPSYGVLSVDLSLT -VELKIKIASGFGPLITRGSGMDLYRSNHNNVCWLAVPPMKSLALGVVNTLEWMPGFKVGPYLFTVPIKEA -GEDCHAPAYLPAEVDGDVKLSSNLVILPGQDLQYVLATYDTSRVEHAVVYYVYSPGRSFSYFYPFRLPIK -GVPIELQVECFTWDQKLWCRHFCVLADSESGGHITHSGMVGMGVSCTVTREDGTNCR - ->sp|Q66000.1|HEMA_CDVA6 RecName: Full=Hemagglutinin glycoprotein -MLSYQDKVGAFYKDNARANSSKLSLVTEEQGGRRPPYLLFVLLILLVGIMALLAITGVRFHQVSTSNMEF -SRLLKEDMEKSEAVHHQVIDVLTPLFKIIGDEVGLRLPQKLNEIKQFILQKTNFFNPNREFDFRDLHWCI -NPPSKIKVNFTNYCDTIGIRKSIASAANPILLSAHTGGRGDIFPPYRCSGATTSVGRVFPLSVSLSMSLI -SRTSEIINMLTAISDGVYGKTYLLVPDYIEGEFDTQKIRVFEIGFIKRWLNDMPLLQTTNYMVLPENSKA -KVCTIAVGELTLASLCVDESTILLYHDSNGSQDGILVVTLGIFGATPMDQVEEVIPVAHPSVEKIHITNH -RGFIKDSIATWMVPALVSEKQEEQKSCLESACQRKSYPMCNQTSWEPFGGGQLPSYGRLTLPLDPSIDLQ -LNISFTYGPVILNGNGMDYYESPLLGSGWLTIPPKNGTVLGLINKAGRGDQFTVIPHVLTFAPRESSGNC -YLPIQTSQIMDKDVLTESNLVVLPTQNFRYVIATYDISRGDHAIVYYVYDPIRTISYTHPFRLTTKGRPD -FLRIECFVWDDDLWCHQFYRFETDSTNSTTSVENLVRIRFSCSRSKP - ->sp|P06830.1|HEMA_MEASH RecName: Full=Hemagglutinin glycoprotein -MSPQRDRINAFYKDNPHPKGSRIVINREHLMIDRPYVLLAVLFVMFLSLIGLLAIAGIRLHRAAIYTAEI -HKSLSTNLDVTNSIEHQVKDVLTPLFKIIGDEVGLRTPQRFTDLVKFISDKIKFLNPDREYDFRDLTWCI -NPPERIKLDYDQYCADVAAEELMNALVNSTLLETRTTNQFLAVSKGNCSGPTTIRGQFSNMSLSLLDLYL -GRGYNVSSIVTMTSQGMYGGTYPVEKPNLSSKRSELSQLSMYRVFEVSVIRNPGLGAPVFHMTNYLEQPV -SNDLSNCMVALGELKLAALCHGEDSITIPYQGSGKGVSFQLVKLGVWKSPTGMQSWVPLSTDDPVIDRLY -LSSHRGVIADNQAKWAVPTTRTDDKLRMETCFQQACKGKIQALCENPECVPLKDNRIPSYGVLSVDLSLT -VELKIKIASGFGPLITHGSGMDLYKSNHNNVYWLTIPPMKNLALGVINTLEWIPRFKVSPYLFTVPIKEA -GEDCHAPTYLPAEVDGDVKLSSNLVILPGQDLQYVLATYDTSRVEHAVVYYVYSPGRSFSYFYPFRLPIK -GVPIELQVECFTWDQKLWCRHFCVLADSESGGHITHSGMVGMGVSCTVTREDGTNRR - ->sp|P28081.2|HEMA_MEASY RecName: Full=Hemagglutinin glycoprotein -MSPQRDRTNAFYKDNPHPKGSRIVINREHLMIDRPYVLLAILFVMFLSLIGLLAIAGIRLHQAAIHTAEI -HKSLSTNLDVTNSIEHQVKDVLTPLFKIIGDEVGLRTPQRFTDLVKFISDKIKFLNPDREYDFRDLNWCI -NPPERIKLDYDQYCADVAAEELMNALVNSTLLETRTTNQFLAVSKGNCSGPTTIRGQFSNMSTSLLDLYL -SRGYNVSSIVTMTSQGMYGGTYLVEKPNLSSKRSELSQLSMYRVFEVGVIRNPGLGAPVFHMTNYFEQPV -SNDLSNCMVALGEFKLAALCHREDSITIPYQGSGKGVSFQLVNLGVWKSPTDMQSWIPLSTDDPVIDRLY -LSSHRGVIADNQAKWAVPTTRTDDKLRMETCFQQACKGKIQALCENPEWAPLKDNRIPSYGVLSVDLSPT -VELKIKIASGFGPLITHGSGMDLYKSNHNNVYWLTIPPMKNLALGVINTLEWIPRFKVSPNLFTVPIKEA -GKDCHAPTYLPAEVDGDVKLSSNLVILPGQDLQYVLATYDTSRVEHAVVYYVYSPGRSFSYFYPFRLPIR -GVPIELQVECFTWDQKLWCRHFCVLANSESGGHITHSGMVGMGVSCTVTREDGTNRRQSC - diff --git a/seq/clusters_seq/cluster_351 b/seq/clusters_seq/cluster_351 deleted file mode 100644 index e60806e..0000000 --- a/seq/clusters_seq/cluster_351 +++ /dev/null @@ -1,154 +0,0 @@ ->YP_138517.1 hemagglutinin-neuraminidase protein [Parainfluenza virus 5] -MVAEDAPVRATCRVLFRTTTLIFLCTLLALSISILYESLITQKQIMSQAGSTGSNSGLGSITDLLNNILS -VANQIIYNSAVALPLQLDTLESTLLTAIKSLQTSDKLEQNCSWSAALINDNRYINGINQFYFSIAEGRNL -TLGPLLNMPSFIPTATTPEGCTRIPSFSLTKTHWCYTHNVILNGCQDHVSSNQFVSMGIIEPTSAGFPFF -RTLKTLYLSDGVNRKSCSISTVPGGCMMYCFVSTQPERDDYFSAAPPEQRIIIMYYNDTIVERIINPPGV -LDVWATLNPGTGSGVYYLGWVLFPIYGGVIKGTSLWNNQANKYFIPQMVAALCSQNQATQVQNAKSSYYS -SWFGNRMIQSGILACPLRQDLTNECLVLPFSNDQVLMGAEGRLYMYGDSVYYYQRSNSWWPMTMLYKVTI -TFTNGQPSAISAQNVPTQQVPRPGTGDCSATNRCPGFCLTGVYADAWLLTNPSSTSTFGSEATFTGSYLN -TATQRINPTMYIANNTQIISSQQFGSSGQEAAYGHTTCFRDTGSVMVYCIYIIELSSSLLGQFQIVPFIR -QVTLS - ->YP_010087174.1 attachment protein [Alston virus] -MGADEAPLKGTCRVLFRTTTLLLLCTLLALSVAVLYEVLITQQYLKNNSGSSNLPSGLSGITDSLANILS -VVNQIVYNSAVAIPLQLDTMESTLLTAIKSLQTSDKLEPNCSWGAALINDNRYIHGINQFYFSPTEGQNL -TLGPLLNIPSFIPTATTPEGCTRIPSFSLTKTHWCYTHNVILNGCLDHVSSNQFVSMGIIEPTSAGFPSF -RTLKTLYLSDGVNRKSCSISTIPGGCMMYCFVSTQSEKDDYLSAAPPEQRIIMMHYNDSIVERIINPPGV -LDVWATLTPGTGSGVYYLGWVLFPVYGGVIRGTNLWNSQNNKYFISKMASTLCKQDQATQIANAKNSYYS -SWFGDRLIQAGILACPLQRDLTNECLVLPYSNDQVMMGAEGRLYIYGDSLYYYQRGTSWWPMTMLYKLTL -TFSNNQPSAITAQNIPTQQVPRPGTGGCSADNRCPGVCLTGVYADAWLLTDPAAVNSFGSQIIFTGSYLN -SATQRINPTMYIANNTKILNSQQFGANGQGASYGHTTCFRDTGSVNVYCIYIIEVSSSLLGQFQIVPFVR -QINLN - ->NP_054713.1 hemagglutinin-neuraminidase [Mumps orthorubulavirus] -MEPSKLFTMSDNATFAPGPVINAADKKTFRTCFRILVLSVQAVTLILVIVTLGELVRMINDQGLSNQLSS -IADKIRESATMIASAVGVMNQVIHGVTVSLPLQIEGNQNQLLSTLATICTGKKQVSNCSTNIPLVNDLRF -INGINKFIIEDYATHDFSIGHPLNMPSFIPTATSPNGCTRIPSFSLGKTHWCYTHNVINANCKDHTSSNQ -YISMGILVQTASGYPMFKTLKIQYLSDGLNRKSCSIATVPDGCAMYCYVSTQLETDDYAGSSPPTQKLTL -LFYNDTVTERTISPTGLEGNWATLVPGVGSGIYFENKLIFPAYGGVLPNSSLGVKSAREFFRPVNPYNPC -SGPQQDLDQRALRSYFPSYFSNRRVQSAFLVCAWNQILVTNCELVVPSNNQTLMGAEGRVLLINNRLLYY -QRSTSWWPYELLYEISFTFTNSGQSSVNMSWIPIYSFTRPGSGNCSGENVCPTACVSGVYLDPWPLTPYS -HQSGINRNFYFTGALLNSSTTRVNPTLYVSALNNLKVLAPYGNQGLFASYTTTTCFQDTGDASVYCVYIM -ELASNIVGEFQILPVLTRLTIT - ->YP_009505446.1 hemagglutinin-neuraminidase protein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -MEPSKLFTVSDGTTFTPGSAQNSVGKKTFRVCFRILVLSMQLATLILIIVTLGELIRMINDQGLSNQLNS -AIDKIKDAAALIASAMGVMNQVIYGITVSLPLQIEGNQNQLLSTISTICSNNKQFSNCSAAIPLLNDVRF -INGINKFIIEDQNTHGFSIGSPLNMPSFIPTATSPTGCTRIPSFSLGNTHWCYTHNVINANCKDHTSSNQ -YVSMGILVQTASGYPMFKTLKIQYLSDGLNRKSCSIATVPDGCAMYCYVSTQLETDDYAGXSPPTQKIII -LFYNDTMIERTITPTNLEGNWATIVPGVGSGVYFENKLIFPAYGGVLPNSSLGIKLTNEFFRPVNPYNPC -SGSDQDLNQRALQSYFPNYFSSRRIQSAFLLCTWSQILVTNCELVVPSDNQVMMGAEGRVLLINNRLIYY -QRSTSWWPYELLYEMSFTLAESGQTLVNLSWIPIYSFTRPGSGDCSGLNVCPTVCVSGVYLDAWPLSQYT -LQSGVNRNFYFTGALLNTSTMRINPTLYVSALSNLKTSSSYGTQGLIAAYTTTTCFQDTGDSSVYCVYIM -ELASNIVGEFQILPVLTRLTIT - ->YP_008378664.1 hemagglutinin-neuraminidase [Human parainfluenza virus 4a] -MQDSHGNTQILNQANSMVKRTWRLLFGIATLILLVSIFVLSLIIVLQSTPGNLQNDINIIRKELNELMEN -FETTSKSLLSVSNQITYDVSVLTPIRQEAIETNIISKIKDHCKDRVIKEGSTCTLNGSPLHDVSFLNGFN -KFYFTYKDNMQIKFKSLLDYPNFIPTATTPHGCIRIPSFSLGQTHWCYTHNINLLGCADPASSNQYVSLG -TLQVLKMGDPYFKVEHSHYLNDGRNRKGCSVVAVPDGCLRNCVTMTKNETENFKDLNWQHNYLHTYHIMV -PLKTRIINPPGSSRDWVHIAPGVGSGLLYAKLLIFPLYGGLTEKSVIHNNQSGKYFFPNSTKLQCRNSTM -EKIKGAKDSYTITYFSGRLIQSAFLVCDLRQFLSEDCEILIPSNDYMMVGAEGRLYNIENNIFYYQRGSS -WWPYPSLYRIRLNLSKKYPRITEIKFTKIEIAPRPGNKDCPGNKACPKECITGVYQDILPLSYPNTAFPH -LKQAYYTGFYLNNSLERRNPTFYTADNLDYHQQERLGKFNLTAGYSTTTCFKQTTTARLYCLYIIEVGDS -VIGDFQITLFLAAQ - ->YP_001331034.1 attachment protein [Porcine orthorubulavirus] -MSQLGTDQIMHLAQPAIARRTWRLCFRIFALFILIAIVITQIFMLTFDHTLLTTTQFLTSIGNLQSTITS -WTPDVQAMLSISNQLIYTTSITLPLKISTTEMSILTAIRDHCHCPDCSSACPTRQMLLNDPRYMSGVNQF -IGAPTESINITFGPLFGIPSFIPTSTTTQGCTRIPSFALGPSHWCYTHNFITAGCADGGHSNQYLAMGTI -QSASDGSPLLITARSYYLSDGVNRKSCSIAVVPGGCAMYCYVATRSETDYYAGNSPPQQLLTLVFSNDTI -IERTIHPTGLANGWVMLVPGVGSGTLYNEYLLFPAYGGMQQILANQSGEINQFFTPYNATVRCAMAQPQF -SQRAAASYYPRYFSNRWIRSAIVACPYRAIYQTQCTLIPLPNRMVMMGSEGRIFTLGDRLFYYQRSSSWW -PYPLLYQVGLNFLTTPPSVSSMTQVPLEHLARPGKGGCPGNSHCPATCVTGVYADVWPLTDPRSGVGGTS -LVAAGGLDSTSERMAPVNYLAIGESLLSKTYLLSKTQPAAYTTTTCFRDTDTGKIYCITIAELGKVLLGE -FQIVPFLREIKIQSRY - ->YP_001249277.1 attachment protein [Mapuera orthorubulavirus] -MASLQSEPGSQKPHYQSDDQLVKRTWRSFFRFSVLVVTITSLALSIITLIGVNRISTAKQISNAFAAIQA -NILSSIPDIRPINSLLNQLVYTSSVTLPLRISSLESNVLAAIQEACTYRDSQSSCSATMSVMNDQRYIEG -IQVYSGSFLDLQKHTLSPPIAFPSFIPTSTTTVGCTRIPSFSLTKTHWCYTHNYIKTGCRDATQSNQYIA -LGTIYTDPDGTPGFSTSRSQYLNDGVNRKSCSISAVPMGCALYCFISVKEEVDYYKGTVPPAQTLILFFF -NGTVHEHRIVPSSMNSEWVMLSPGVGSGVFYNNYIIFPLYGGMTKDKAEKRGELTRFFTPKNSRSLCKMN -DSVFSNAAQSAYYPPYFSSRWIRSGLLACNWNQIITTNCEILTFSNQVMMMGAEGRLILINDDLFYYQRS -TSWWPRPLVYKLDIELNYPDSHIQRVDQVEVTFPTRPGWGGCVGNNFCPMICVSGVYQDVWPVTNPVNTT -DSRTLWVGGTLLSNTTRENPASVVTSGGSISQTVSWFNQTVPGAYSTTTCFNDQVQGRIFCLIIFEVGGG -LLGEYQIVPFLKELKYQGAVHA - ->YP_138509.1 heamagglutinin-neuraminidase [Simian orthorubulavirus] -MSGAEGNTNKRTFRAVFRTLIILITLTILALSAAILYEVTHTSNGSESNNQVFDPTDTLNAITGNIKSMI -ALLNQILYNAAIALPLKIDSTESVLLAAIKDLQFSNPASQNCSSGGNLLNDALYINGINQYLLSNSFAGT -VGLGPLLNIPSFIPSATAPGGCTRIPSFSLTKTHWCYSHNVILAGCADSKASNQYLAMGIVEQSSADFPF -FRTMRTLYLSDGINRKSCSIVAIPGGCALYCYVATKTEQEDYAATTPSELRLTFYYYNETLVERTLTIPN -VTGNWATLNPAVGSGVYHLGYLAFPVYGGLIQNSAAWNSQFGSYFLPQNPAVQCSGSAEQQINTAKGSYV -VNWFSGRLIQSAVLVCPLSDQLTDQCRVVLFNNSETMMGAEGRLYTIGGDLYYYQRSSSWWTASLLYKIN -TDFSQGLPPLIEAQWVPTYLVPRPGAQPCSAGNFCPANCITGVYADVWPMNNPFPAGSSGVNPNYLFGGA -FLWADVARVNPTFYMASATQYKNTTGFPNSNQKAAYTSTTCFQNTGSKKIYCLFIIEMGSSLMGEFQIVP -FLREVIIT - ->NP_598405.1 hemagglutinin-neuraminidase [Human orthorubulavirus 2] -MEDYSNLSLKSIPKRTCRIIFRTATILGICTLIVLCSSILHEIIHLDVSSGLMDSDDSQQGIIQPIIESL -KSLIALANQILYNVAIIIPLKIDSIETVIFSALKDMHTGSMSNTNCTPGNLLLHDAAYINGINKFLVLKS -YNGTPKYGPLLNIPSFIPSATSPNGCTRIPSFSLIKTHWCYTHNVMLGDCLDFTTSNQYLAMGIIQQSAA -AFPIFRTMKTIYLSDGINRKSCSVTAIPGGCVLYCYVATRSEKEDYATTDLAELRLAFYYYNDTFIERVI -SLPNTTGQWATINPAVGSGIYHLGFILFPVYGGLISGTPSYNKQSSRYFIPKHPNITCAGNSSEQAAAAR -SSYVIRYHSNRLIQSAVLICPLSDMHTARCNLVMFNNSQVMMGAEGRLYVIDNNLYYYQRSSSWWSASLF -YRINTDFSKGIPPIIEAQWVPSYQVPRPGVMPCNATSFCPANCITGVYADVWPLNDPEPTSQNALNPNYR -FAGAFLRNESNRTNPTFYTASASALLNTTGFNNTNHKAAYTSSTCFKNTGTQKIYCLIIIEMGSSLLGEF -QIIPFLRELIP - ->sp|P25465.1|HN_PI2H RecName: Full=Hemagglutinin-neuraminidase -MEDYSNLSLKSIPKRTCRIIFRTATILGICTLIVLCSSILHEIIHLDVSSGLMDSDDSQQGIIQPIIESL -KSLIALANQILYNVAIIIPLKIDSIETVIYSALKDMHTGSMSNTNCTPGNLLLHDAAYINGLNKFLVLKS -YNGTPKYGPLLNIPSFIPSATSPNGCTRIPSFSLIKTHWCYTHNVILGDCLDFTTSNQYLAMGIIQQSAA -AFPIFRTMKTIYLSDGINRKSCSVTAIPGGCVLYCYVATRSEKEDYATTDLAELRLAFYYYNDTFIERVI -SLPNTTGQWATINPAVGSGIYHLGFILFPVYGGLIKGTPSYNKQSSRYFIPKHPNITCAGKSSEQAAAAR -SSYVIRYHSNRLLQSAVLICPLSDMHTARCNLVMFNNSQVMMGAEGRLYVIDNNLYYYQRSSSWWSASLF -YRINTDFSKGIPPIIEAQWVPSYQVPRPGVMPCNATSFCPANCITGVYADVWPLNDPEPTSQNALNPNYR -FAGAFLRNESNRTNPTFYTASASALLNTTGFNNTNHKAAYTSSTCFKNTGTQKIYCLIIIEMGSSLLGEF -QIIPFLRELIP - ->sp|P19762.1|HN_MUMP1 RecName: Full=Hemagglutinin-neuraminidase -MEPSKLFIMSDNATVAPGPVVNAAGKKTFRTCFRILVLSVQAVTLILVIVTLGELIRMINDQGLSNQLSS -ITDKIRESAAVIASAVGVMNQVIHGVTVSLPLQIEGNQNQLLSTLATICTNRNQVSNCSTNIPLVNDLRF -INGINKFIIEDYATHDFSIGNPLNMPSFIPTATSPNGCTRIPSFSLGKTHWCYTHNVINANCKDHTSSNQ -YVSMGILVQTASGYPMFKTLKIQYLSDGLNRKSCSIATVPDGCAMYCYVSTQLEANDYAGSSPPTQKLTL -LFYNDTITERTISPSGLEGNWATLVPGVGSGIYFENKLIFPAYGGVLPNSTLGVKSAREFFRPVNPYNPC -SGPPQELDQRALRSYFPRYFSSRRVQSAFLVCAWNQILVTNCELVVPSNNQTLMGAEGRVLLINNRLLYY -QRSTSWWPYELLYEISFTFTNSGQSSVNMSWIPIYSFTPPGSGNCSGKNVCPTVCVSGVYLDPWPLTPYS -HQSGINRNFYFTGALLNSSTTRVNPTLYVSALNNLKVLAPYGTQGLFASYTTTTCFQDTGDASVYCVYIM -ELASNIVGEFQILPVLARLTIT - ->sp|P10866.1|HN_MUMPR RecName: Full=Hemagglutinin-neuraminidase -MEPSKLFTISDNATFAPGPVNNAADKKTFRTCFRILVLSVQAVTLILVIVTLGELVRMINDQGLSNQLSS -ITDKIRESATMIASAVGVMNQVIHGVTVSLPLQIEGNQNQLLSTLATICTSKKQISNCSTNIPLVNDLRF -INGINKFIIEDYANHDFSIGHPLNMPSFIPTATSPNGCTRIPSFSLGKTHWCYTHNVINANCKDHTSSNQ -YVSMGILVQTASGYPMFKTLKIQYLSDGLNRKSCSIATVPDGCAMYCYVSTQLETDDYAGSSPPTQKLTL -LFYNDTVTERTISPSGLEGNWATLVPGVGSGIYFENKLIFPAYGGVLPNSTLGVKLAREFFRPVNPYNPC -SGPQQDLDQRALRSYFPSYLSNRRVQSAFLVCAWNQILVTNCELVVPSNNQTLMGAEGRVLLINNRLLYY -QRSTSWWPYELLYEISFTFTNSGQSSVNMSWIPIYSFTRPGSGKCSGENVCPIACVSGVYLDPWPLTPYS -HQSGINRNFYFTGALLNSSTTRVNPTLYVSALNNLKVLAPYGTQGLSASYTTTTCFQDTGDASVYCVYIM -ELASNIVGEFQILPVLTRLTIT - ->sp|P28885.1|HN_PIV5L RecName: Full=Hemagglutinin-neuraminidase -MVAEDAPVRGTCRVLFRTTTLLFLCTLLSLSISILYESLITQNQIMSQAGSTGSNSGLGSITDLLNNILS -VANQIIYNSAVALPLQLDTLESTLLTAFKSLQTSDKLEQNCSWGAALINDNRYINGINQFYFSIAEGRNL -TLGPLLNILSFIPTATTPEGCTRIPSFSLTKTHWCYTHNVILNGCQDHVSSNQFVSMGIIEPTSAGFPSF -RTLKTLYLSDGVNRKSCSISTVPGGCMMYCFVSTQPERDDYFSAAPPEQRIIIMYYNDTIVERIINPPGV -LDVWATLNPGTGSGVYYLGWVLFPIYGGVIKDTSLWNSQANKYFIPQMVAALCSQNQATQVQNAKSSYYS -SWFGNRMIQSGILACPLQQDLTNECLVLPFSNDQVLMGAEGRLYMYGDSVYYYQRSNSWWPMTMLYKVTI -TFTNGQPSAISAQNVPTQQVPRPGTGDCSATNRCPGFCLTGVYADAWLLTNPSSTSTFGSEATFTGSYLN -TATQRINPTMYIANNTQIISSQQFGSSGQEAAYGHTTCFRDTGSVMVYCIYIIELSSSLLGQFQIVPFIR -QVTLS - ->sp|P28884.1|HN_PIV5D RecName: Full=Hemagglutinin-neuraminidase -MVAEDAPVRGTCRVLFRTTTLIFLCTLLALSISILYESLIIRKQIMSQAGSTGSNFRLGSITDLLNNILS -VANQIIYNSAVALPLQLDTLESTLLTAIKSLQTSDKLEQNCSWGAALINDNRYINGINQFYFSIAEGRNL -TLGPLLNIPSFIPTATTPEGCTRIPSFSLTKTHWCYTHNVILNGCQDHVSSNQFVSMGIIEPTSAGFPSF -RTLKTLYLSDGVNRKSCSISTVPGGCMMYCFVSTQPERDDYLSTAPPEQRIIIMYYNDTIVERIINPPGV -LDVWATLNPGTGSGVYYLGWVLFPTYGGVIKDTSLWNNQANKYFIPQMVAALCSQNQATQVQNAKSSYYS -SWFGNRMIQSGILACPLQQDLTNECLILPFSNDQVLMGAEGRLYMYGDSVYYYQRSNSWWPMTMLYKVTI -TFTNGQPSAISAQNVPTQQVPRPGTGDCSATNRCPGFCLKGVYADAWLLTNPSSTSTFGSEATFTGSYLN -AATQRINPTMYIANNTQIISSQQFGSSGQEAAYGHTTCFRDTGSVMVYCIYIIELSSSLLGQFQIVPFIR -QVTLS - diff --git a/seq/clusters_seq/cluster_352 b/seq/clusters_seq/cluster_352 deleted file mode 100644 index 9ffc9a7..0000000 --- a/seq/clusters_seq/cluster_352 +++ /dev/null @@ -1,223 +0,0 @@ ->YP_009336788.1 hypothetical protein [Hubei toti-like virus 9] -MRDSYGINSPITINSCDICNRHKRMRLYYMSSRNKYTSNEHVLRMNKSKKIYPPLPMIYTHPHTCTLGRL -KEVEMMYKQKYIDKELYDEWMSSNGGEYQLGASGKLDYLWQDRLEDINNVNSRILYNKVDIYKNMIDNDK -YKLFSSYVCKHHPGSFHIKVSRSSRLSRNAYKLLNKLFPFSSPGDKNKTTVDLTSLIYQCVHAGKIKEVN -DAFRHIAIGTNNCTAVLIIMQRIGKFKDTSKLFSLIMKDRACCMNTKTFRSYMKACTTAIRRTSRWPDKS -KASLSEITSNAYWYMSIGRSGMTSDWKQEEENRIRTKLPLKPANYRNRDDESNRAYVAELRPILRRIMNQ -LVTSKNSWSSFERYIEMRQMKVSSGSSGGEKTTCRGRTVRIQKRSAFSSIKKEDILKWLDSEPKVVANAS -EKFEAGNARAIYGTKNVDYFIMAYVIEDLERSFYNVDGILSGLTGEDEIRMVLKRKRIVSEDGIECTAID -FKDFNLQHTLEAQSAVFDTLGKRLRDIDAHEDAIKACDWCTQALLNQYVRFPRQNDYKKVSQGMFSGCRG -TNFLNTILNLAYFLHAKEFSDNRLNLRPSNLYHIHQGDDVWITNGSRLWAMSIMAIMITEGFLFQSSKQI -QDIQFCEFLRVIYTNEGCMGYAARTLSTFIVKPLQGDEYIDSIERSVAICKQIAILYRRGFNITSVRILW -KASVPHALMSKIDDTDFVRVPEHIVKYGFSNNSYDIGLPYTFPNFSGSLPFKPNLVVQSPELRAAIPKHS -AKDWANYMSRIIKDRFDYNAVVEALHDMNISDSVEPRDKVRSISAYHKDLKMWINKMKNCIEGMSGNRLD -VKVEKYFQDVPTNSYYEEKIFDMKHNINTKRNIVDRDGLQTIVSAIRNSPFKELSLARKAFKMEGLGLLV -ATIATAPNQELRRKANLAIFDTLKFISIDVLMHLLDSVKGFGSSMEYILHPNLLSIANEWAIKVTLAFAE -RDHIQDPNILSQTILYEQKRAIKAMILDDEIRSLSKY - ->YP_009336493.1 hypothetical protein 2 [Hubei toti-like virus 10] -MDESGLICRVCERVNVTCDKKAAGPVRLRPGDVSSIVVSPIYLKPHTCLLSTLREIEWYKHGKLMSEELY -DEWFNVNSDNYQLGASKKCMQLWGDFTKCVIQRSPVESVGISDLCVNCVVDLCLCKKHFGYYVSINWGRV -NKRGDIANLNEIWQWSSAADKGKTNVSAADLIWHMRRYPELRARFDKWSFLFSIRLSNVTITSLLIHLIG -NPSHPRVFELLDRYDYCCLDSASYSTLLKNLSVALRRTAHWPDGSPATLEEVTQCSGWELAVGRSENRSD -WAEEESKRTNQVVHLGLPTHERKNDVTNSVYCALLEEELTTIMSELVTAPRRSPSWKDYVETRQVWVSSG -STGGKRMKMNDGTVSRVNKHAYFESLTTEEMVAWMAEPPRIQATASEKYEMGKARAIYGTEPVDYAISSY -VLDDIEDHLYNVDGIESGLTDMDFLVAMIRRLRKVESSEVECTMIDYSDFNYQHTLEAQSLVFKCLSARL -RTMGHHDDKVAACDWVAAAMLNQWCKFPGVKRVAQRVVQGMFSGVRGTNFINTTLNVGYYRVARRWVSEN -LNLRPTDEFNLHQGDDVWISNKSRLWAMAMYESMQSTGLIFQPSKQMFDTSRGEFLRVVYTSKGCQGYLA -RAVGTLIVKPIQSTEVVAPAERAHALSSQIAILHRRGYTEEGSKVLWEAVVPYAARAKLVSGALTIPVGA -LLKSSLDNGLDIGPPMTAAGPSDKIKAIPSMVLSSKALEESVPSHMSTDWAKVMSRRLQSSFNYDSIVEA -LHSTNVTDSLRQEDRIKCLRVHERDLRSWLERLKPGTVRRDRRRYDALMDGESADAGFEDLLDTIARGVL -GKRTQDSRGVMGCIMAAVSSSPYRSLPNAKLATGLPTLSAATVAIAASPYPDLSMQAASHIASLRRYIND -SQLCDILDGLSAGANQFECEYHPVILSWIEKKALDRMLTGIMTGGIVVKDDLRQTLVDWMRTYVRSLNKF -PVFKQISKY - ->YP_009025166.1 RNA-dependent RNA polymerase, partial [Persimmon latent virus] -RVELTTVGRDVGSVWSCPPKLNPYPDYTLGRGDNVIRIKDRLSSFIISDILNCAMRAHGVGSSRVVVEVK -HHPYNMDHPYDVIMTATPDVRGQDCLVKCQNAVLTAIRKATNSAHLQTCVEDEVLDYMFPDYNRCVTRPS -IARAYAGSVAKVLTDIEDNPADKKPTISLALAAILAPEFHGGIGVYINHMTTLCGNWTGLVKAVDLLHAR -AIMQHHFQDAGKGGAEDSAGIGLAGLVRELFLVYSGCGQTGFFWPDVGQDATSLEEIRTMLSIRIKLSDR -WADLLAPVIWAIMLSSRRGGGDAVKAEFPHLASLLNKASEVMKRLGPFELMVKLGSDLHERDMVADPASF -DYACRVRRHVSWVCVNIKAAPTRAQAKSIHKLFPPTSATDARHATTRPDALLWHYISGPTCYQDLDPNMR -SVASIQARVSAAKTLFRHIPVGTNGTLVSMMLLSALCTSNGDVVMNNYIVNNALVRSGNSQKKLLKACST -FIRKSSCDLTQTKVRAEDVAVLTYFDLGFGRSMNRSDWQEEIDNRGFRKHHIQHPRAPNVSKALVSELKT -VAFGDNTLPDPKFEQAIRAKLAGYCRKLVLGKKTKETMARFYRRRNDWMASGSSGGYKSKTMGELINGEG -AGPISVDKRVWAEEHDFKHILRYMRSAPKELARASEKYENGKSRAIYGVAPEHYVINTYVTQGMEERLHN -IPGLEKGATGVTELGYIKKRCNITANARKECTMLDYADFNVQHSLSCQYILFDEMLKAGVEVGACPDWVK -ACSWLRDAKLNQESYFPGKSRPVKITQGMFSGTRSTDLINTLLNLAYYEVAADAVSSYGYSSQDVYHVHQ -GDDVWLSSDNAAWAATLYYVMNAQGFIFQDSKQMFGPSRGEYLRVLYHSEGAVGYLARSLANYLQRPIQN -KTDIGGQAWMESISDTCRVMQRRGLSIYGASVVWQDVLGAKGTVHLHERDRAKIKLPLSYIVAPREAGGM -GCPPPGHLVQASLLPPAPTDQLSWKADWSALPHRMADDWIEHVSSIAHSLHKVSINAPALRENIKHTTHE -PILASHGLARHNKKWKEQWSSYRKTLASPNNVVTYSPTPNLQSMRSSLSTVIPKKGNLIAGGSLDYITKP -IDCNTNSPGSAGLLLNFSETINKYVTQSTFKSTSRTSIALGLSKTAAMTFILREAVEYSRANADLVSLAT -QLIKYGKADWLDLLLAGGSSILTPLAQFMDGKFVNVFGSLLHQILISASVGKEIGSVYEVMATHNNYINK -YVQALSDCRYAPQTMLY - ->YP_003800001.1 RNA-directed RNA polymerase, partial [Spissistilus festinus virus 1] -PSISGPSASPLVHSGTRTTCEPQSGLYVVYDVPWLEGGGRVSLPAGCASSISVAREVVLLLNYLSLGCRG -RAVQDSFVSPYFTSVVIAPPVGDVYKPGYQSATVDDLTIGVPNFSASELKESEYPGFSAQCLQLLDKALS -QLMARRLVTTTQRAAIMARVFPAYQDGSRPDVRQQMRESVARAWALPWTEAPGDPDWFTTGGWSYAREAN -SSVVLPTALAALALQSKELAKVMPNLEAQQKRTFQGSRLAGKLALLDDISALLLAAPRDTDVAQDDTGAD -APAGLRAITSQWLASAVLYLAGACTGDAAPPLPSLTRAWLVQRNPALLAQVDHLDGSSSPRPYVGIGRDS -LIRFPGLTLSRQTHHLHWAERQRVCPALVVRIRSVTARRHLKALNRLFPSTSLTDSKHQTTHLAEVVWSY -VVGRDLPGSGHPHGDGQNASKSCSPGGGGKWFKDATASQRLRAVNAILNHIPVGTGQLLTTGAIIAGLGL -QHCDLFRDTYLKSGCFWRLPHQIGGVVKAVGTYIRKCAAGLQGNPLSLDQVTQLAYYDLLTGRSKNVTNW -QEEIANRCTHTYHIRRPCLAWQVNDKGDLRATWVEDSDNLNPRLMKRDGHFYSRLRSILMRVCEPLVTAR -NTREPLDKFYARRHEWIASGSSAGARAKISIRKGRLGRGSHLMAEEVKVSKRAWAEATPLSSVLEALALS -QPREVAHASEKYENGKARAIYGVEPMHYVINTYATKGFEERLHLLPGLEKGASGSQACALEVRRALITRD -PDLECTMLDYADFNRHHTPEAQSLLFDVFARLGKTKGAHPDWVAANLWVARAKKQLWALFPGETEPRKVR -QGMFSGTRSTDLINTLLNLAYFEMAKEWLEVQCGLAPTQLYHVHQGDDVWVSNGNPLWARALYYCLNNMG -FIFQGSKQMFGPGRGEYLRVLYSGGRARGYFARSLANYLLRPIQNASSADPLSWARNISDGTSLLARRGL -TPRMALALYMDAARFWVRARAHPKDNAPVSIPLPVCWLPGIQGGLGSPPPTCLWLPELDQQLGLALPVMR -SSINVENFTAPTLMTDDWIAHVSKQAYRLTRHPEMPSPNLKMAGLRDDIIMANYVNDLAEYLPERGWAQY -KRDWAELRTSVGKRLASMSSGAIIASRTEELGQQLTSIQAWIGYGHPPSHIPAYPYLRSHVDGLDLGEVG -FDTPLENLRDKLSSIITRSVFKNENTLARVTGLTRLDAISLILAEADVSGFGDADVAGVLGPLLTSKNAQ -MVDLLLGSLGDLVPGLRAYVNNAYWQHVQSVWIAQLTPMAITHPGITPLQCVSADKRGTAQWLYQTIAAS -QVATSVVY - ->YP_003800003.1 RNA-directed RNA polymerase, partial [Circulifer tenellus virus 1] -PLIDAYCLSRPVALPLPRRAAILSGRHTPQYAGCIYHGAVERYTIHIEDGFTKPLPRWALPGGGSIKIEW -CTRKAATQLHQLWLDLVAIESDHLLPHGLHFGYIQAIAVCGCDGRLTSQDGSSLAHPDAAVVSDVPICSN -APKGGLISTIRVGGCSNIRASDPKVAGGLLRAIECVRLALVRHKCASRALADQLLAEYTPEYVSNTDPHL -AYTMRQEVIRSAGPVQPRAAGWPRGGLACHALAYTLQSGHGHKNLASLIDIASTNLAQMVSTALDMMAIA -ALALPALNHDGENASTGEVSSLRHSVANWLDACVGSLIHAPTVPSACDMPKPDPSCAAWLTRRFTAWVTE -DGLFDWRRVCYHEDHFQKFTSRLFPGNVIKTRGHNGSGYRGQTVFLRSSKTRKHLKCLNLLFPSPSSTDS -RHQTLHISDYIWSLIRSRIHGWTPSSILRKVNAVLRHIPVGTFQTTAMAMVMASLGSKSGDLISKCYLSS -GLLWRPMAFQRAALKAYSNLARRCATTLAGESLTDAEVAQLAYYDLSFGRSLNTTNWDEEYRNRCIGTLH -IQAPSLSIVFSPLGDNRVMLEWKSDLDNTSYDRLKRSSRFYTMLRRELREMCDVLVTKRNTSEPLDKFFK -RRHEWMASGSSAGFTMPVSLKRGSKAKGEQWHGDVKVSKRAWAETIDTKDVLHELYLGDPQEVATASEKY -ENGKARAIYGVAPMHYVLNTYATKGFEERFHLVEGLEKGASGAHAVTLEQARANITADPDIECSMFDYAD -FNRHHTPEAQAIVFDVFAELGQAKGANPDWVRANQWVAQAKRSMYTQLPDREGRHRVYQGMFSGTRSTDL -LNTLLNLAYFRVARRYVDEQLGVHAIDMYHVHQGDDVWVSNRNPTWARALFYVLNNMGFIFQKSKQMFGV -GRGEYLRVLYSSGHGYGYLGRAIANYILRPIQNANPISPISWATSINDSMALLVRRGLSIAMADVLYDNN -VSFWVRARAHAKDRAPVSLPREYVRLPRILGGLGAMPPSQILPTPNPDSPVLPPLPTMSTTFKSQALNVP -TKMTDDWLRYISSRRQGLGGVLDDPTIIRTDLLRQEMIQSNFSGDLANVLPERGWAKYKRDIAKLSASVP -KWASELLARSRPLPPDPDALVDTVINDIYPTPYPLRSSVPQYPVLQQHCSYLQGGKVFKMERVGGLSDTL -QAIITRSTFKSEKTMAKALGITRVEAIAQILVESNDLGADGADVAALLYPVLNAGNEQLLELLLGQGGEL -IPHLSLYASPTYWQHMQRVWLDILCNAIRHGPTLNATNVVYGDARGWAVWSQSLRGDHNLLTSVVY - ->AJT39585.1 PArp-RdRp, partial [dsRNA virus environmental sample] -LGYQKRHVQHDVVFRGTLRSRRRAFPAYAWDWPAGGKLRWGLVRRLLADPQPARGPVLINDLQAGVACVT -IGSDKYTATIAPQHSKHFALDENRHLVCMTCARLNKMIAGASPLYRLIKGDSLSILVSKSLAVPHECLLS -TLKLIDRWYRYKWLPRSDFDLWTSLNSKDYQLGDSEACMRLWSSVRDAGANRGNAAKLSSLSLPEHNSVV -WLIKCPTHKGGYLNIKWKLIKKKEDLDVIDLFWKWSSAADKSKTNVSASDMIWHIRNKPWAVAMFDKWKH -LFSVGLYNVTVCALLMHLVGNPNAHKVFELLSSYKFCCMSIVEYKSVLKDLSVALRRTSHWPDGTPASLE -EVTGCASWDLAIGRSLNLSDWEEERRNRVLVKLPLGSPILQKRDDASNAKYCDELRLAVDRLMYQLVPPC -KREVSWPQYVEDRQSWCSSGSTGGKRAKLNDGSAVRLNKHAYFETLQKEEMIAWLDSEPIMEATASEKFE -MGKARAIYGTQPKDYSITSFSLDGIETVMNRIDGIESGLLGLDQIATMIRRCKIVNDPETEGSMIDYADF -NRQHTLQAQYVVFESLAAALELGGYHRDKVRAAKWVAQSLLNQWCKFPLIGKGHERVVQGMFSGGRATNF -LNTILNLAYFILAKQWVAQQLDISPIQLHNIHQGDDVWITNKSRIWAIAVWEVMCNTGFEFQASKQMFSV -SRGEFLRVVYTEQGCRGYLGRAIATLVMKPIQNTDVLAPHERAVAINDQIMILRRRGMTDEGSELIWNAI -TPYSARSRLASGYLTLPVPYLLKRKRDNGLDLGPPGTAAEPSEAVAEIPTMRLSSKALEASLANNMATDW -AKTLSNSLKTEILFDKLVETLHKTNVVDSLRQEDRILALKSWEIAARTWLAKLKCGKVTRNRAVYAKLLD -GPTADVAFERFLTTLCDNKLPKNSMRRLNPIESIHRGVGASPFKGLDNTMTASGLPIIPAAELALKTNTN -PIARDQGLSNLSAIRQACGDDILRALLREMRSSTSPYTCDLHPNVISWLHSEALNTALSIAINTHIKDIA -DFKDLLDEQVRAHVRSAIAQPILPMISRY ->APG76017.1 hypothetical protein, partial [Hubei toti-like virus 8] -MAANGGGYQLGLGGKLQYLWDERLSDMPQIQLKDDFVSTILDSCDNSILSVYKCSLHGCLHVRIKSCKVV -NNIVGLSRKHFKVFNKLFPFYDNTDKSHTNLDLASLLYECIRAKKLDVVNSALRRMPIGVCNPIITSLVI -HTLGNKKCNKLLDLMDADKTCCLSEIGYKAYFKAVSIAIRRTSLWPDGSCADLDEICQCCSWELSIGRHS -MQSDWEEESINRLEDFLPLKMPTDQSKDADSNDRFLEQLKPYISEIIKEILPKGHGWMSWKDFCMNRFSW -LPSGSAGAVYAEVKGEKVRLDKRAYMETVPIEEMMSWLEILPIMKAVASEKYEMGKARAIYGTSIEDQAL -MSYLLSVLEPRLMYAEGIEVGILGREEVRSIVRKSKIAAKKNVECTMLDYSDFNRQHTLKAQSLVFEVIE -EELIKDGANPDAIKIADWCKRCLLNQWVKFPGDSEYRRSVQGLFSGNRGTNFINTILNRAYFDICNNWIK -VNLSLMPQDLFRRHHGDDVWISNDSRFWAIVLYAVMQSVGFVFSDKKQIQDRNKAEFLRVLYTAEGAKGL -LGRSIATMIEKPLQNEVDISPTAKAIGINSQIMTCSRRGLSHEACDIIWQAMVPYALKSFIKDKSVVQIP -VDVAKRPVACGGLDLGPPMTIPTS ->APG76025.1 hypothetical protein, partial [Hubei toti-like virus 7] -RNDFKTLNKYFPFYSDTDKSHTNVDFATLVFHCYMKGLVKEIDFILGICAIGLTNSICVNLLIQKIGNTS -CERIWELIDIDKACCMSAKTYKSYFKAISISIRRNSLWYDGAPANLDEVTGCAWWELSLGRHSMISDWKQ -EKENRTSKPLYLKLPNVEKISKESNDEYLQFAEPILDEIIKQIMPEGSVWQSWEKFCYDRNSWLSSGSAG -SKYLETKGKKVRLNKRSFMETIPIKEMINWINKESEIVAIASEKFEMGKARAIYGTEIEDQCIMSYLLNI -LEPRLHLVDNVECGLVGKREVLSIIRRVNIVNRDNEECTMLDYSDFNLQHTLEIQALVFKCIKKELISRN -AHPDSIKVAEWCEKAMLEQRVKFPGDKEASKVVQGLFSGMRGTNFVNTLLNKLYFNVALRWTHINLKIQP -IQLFALHQGDDVWVSNKSRLWAITIYRVLQKCGLIFSDKKQIQDMNMAEFLRVVYSKDGAKGYLARSVAT -LIERPLQSELDISPTMKAIGVNSQINTCFRRGLTKECCDLLWDAITPFMLKSEIKNVSSITIPVNLVSRS -FSENGLDIGKPITLPNKCGSIPKIPVMEYKSQFLEDELQKHTTEAFVKVLSDEVKNSFNVEAIRRAIHAS -NVSDSIPQSDKVSSLLKFHRDVRKWKEKCNKSKSVKQCKRNDVDIDEWSENAKNYSFELELIDLENEWKA -DNKTIKDENRIEIIMSAIAQSPYKDISTARRAMGITTIEAAYLCLHSCKKKELATMALDLLSQLLKSMSK -DNVCRLLDTINGFGPSMESWFNPVCLSYISCRAIDHAITIAEMKRIKNLEEWETILINSLSDYFKIAAKH -GLLTYISKY ->CAA63099.2 RNA-dependent RNA polymerase, partial [Cucurbit yellows-associated virus] -ARRNEWLSSGSAAGYSYGELAGSEKLAQFGDRAKEIGAVRVSKRVWADYTEFSVIEQALKGRPTEMASAS -EKYENGKPRALYGVEPVHYVLSTYATKGLEDGNAEVEGFEKGGSNFATCYYEKLRRIAVADKRIECTMMD -YADFNVQIRPKAQALVHKVLALIHERIGGHKDMVETNRYLEQAKFNMVARIPTATKLVQIKQGMFSGTKA -TDLLNTLLNKAYFEVAADEVEKRFPGLRPRELYNVHQGDDVWITNKNRVWARALFRAMNMLGLVFQPEKQ -LFGVGTGEYLRILYMPEGARGYLQRSVANYLLRQVQDDVVTDQVANAALIKATTDLSVRRGGSVKTASLL -WLAHYSRNAVVRTSSQDTKPLHIKIEAWMLPASKGGCVCTPPQATPMLVKGPEKEQINMRWPTGDMWSGG -SMVDDWITTVAPKVHRTGVTQWKSESLKQQLTEVNYFELNRAVNLREAMAQYKKKWLEWEWQGDISCSEP -EYVTSLEQMYSYIDRRQAELKTIVAPGMTSRQVALSLSKKNYSGRRRAPRFGLPSLGKNIARFEASSVFK -SAAATATALGTSTIDTLKFMAATTTSITAALEEAKTVTMERVRCGTQSDIKTLLDRNVGVLSNFGSLMPA -ALMG ->APG76060.1 hypothetical protein 2, partial [Wenling toti-like virus 1] -MIQHAMVAGLVLGMNDSVYIHPEFMYVCVCSTLSMEDSCPDMSSPSLQPQTSLKMEMDPQPGGLLYSLVE -RAHANIHAANLPEFITNACMQDDVLKEWFKAIEEAEFTLNTPASHAAYFPALVLNGTPKLKGLLDATRWS -FEDGPTHFRSLPYGSWAGFLNRYAPLQEKRVSQGTIDASRLWKGWCDGQSFAYTSKHEEKFSLLRLSAIP -NYLNRAVKDGLTLTHINWRLLQQIYEEFNPKLGAHKLCSLTIMILGLEHLSEYYEELSLNRFWCAADNAT -YIKQAKKFTVYIRKYSINARGEMLSMKAIAEASYLEFSSGRSENRFNLIEELEHRTQYTVPMLFPDEQGN -WTVEEFEKQLAEVLDEILEPVVHKCKDSSSKRLFAERAAWSSQGSSDLKLPYEDRDGSFKEIKVSRRTAS -QNMTWEDVLKHLSKPPSIYFTISDKFENAKGRAILSSDNMHAFITHLIMSELEDSVHREPWAGHSLKEGD -GARMLYEFQKLIREGGLFLGVDWDDYNIHESDRHQYIMAKAIMKKYLQGQNWDDPEFEKKMAQWWCDSYL -NQYLTVGERVYRLIQGLGSGVRTTDFMNTLFNRAVWLIAERLCLRLKPTYKNAIYSKHIGDDVGSVFSDV -NDAALIFYCMLAIGCRMNVFKQIASYNWGEMLRRMIGKKNILAFQNRAIGNLQSPPVQHSDAQKASSILT -SRLQAVDEAKRRGYGYCATKTLNWHVMELANNASKIARIHPKIVNQPAELNGLGVYLGVYTAAPYVALKQ -AVPVVPNYREHPYHHFVSEHCKSYATTDLVCKFMNAVTSSGQRIHEDESVHIDRLADDKTKNDYHASQPG -AERRRQLLNLDRQHAKEWDDAYRAWVACPVTRIPATAIFRFTAARASE ->AJT39581.1 PArp-RdRp, partial [dsRNA virus environmental sample] -GKLDWALICRYIRSRSLEDVPFCVDGRGKYSFGVRELYEVLPEFGQKFELTEDRIVCKICKRLRNKGKVG -DDFVLSKIEGYSSGLIEKFWLHPHVCLLETLVLVECKYRGKVIGKLLFDKWMALNSGNYQLGVSDRCMLL -WKDMASMKQDRSCLKTAGTNTLPSERFKWLTCNMHGGRYVDLKYARGKRMRKAEFCVLDEFWKFSSDADK -AKTNISAGQILFWVVDNPKSWELVKPYFHLLSETLSNVTVVSLVMHMIGNKSAPRMLQLMLKHKICCVTS -KQFADWAKTVSIALRRTSRWPDGSMATLEEVTGCAGWELAIGRSVNITDWKEEKQKRTTVSIPLALPHHQ -KRTKATNAQYINILTPILENIMRSLIPSSGVRESWADFVLRRQSWMSSGSTGGEYVYMEDGHKHRVNKHA -YFETVTCADMLKWLDSTPRIEAVASEKFEMGKARAIYGTKPIEYSITSYVLDNLEDVMYKIPGIENGLLG -RDCLASVLRRKIIAQTPGSESTMLDYADFNYQHTLEAQSAVFAALARVLSETNSHPDKVRAAKWVAEGLL -NQWCTFPGPKEVSVRITQGMFSGCRGTNVINTSLNLAYFLLSKQEVSVRLGLQPSNLYNIHQGDDVWITN -GSRIWAIALFRHMESCGFEFQASKQMFDTNRGEFLRVVYTHEGCRGYLARSIATTIIKPIQSTEINSPAE -RAVALNSQLAVLVRRGLTKEASHLLWHAIVPYAATVEHHGKPFSIPIAVLKKHYLDNGLDLGPPGTLAAR -SSATPAIPILRLESAELVRVVKKEMSTDYIRYISPKINMVINAESWIDSLHLSNVSDSLRPKDKMECLSA -LMIKLEKWKKSLILGPVVRNETEYEQALSGNESILLFELDLHSLERALGPKISRKAKRQVANMLRCIASS -PFKNLSDAMRATGLDGPEAAILAMTTCKVEAIRRPSMLMFNKLRDVCGDGVALAILDGLRSGSTTFEGDF -HPLILAWVTEYVVEDIANEALQKNMRSVELLKEAMNVKFLQYMKIVIKSDTMRAISHY ->AJT39583.1 PArp-RdRp, partial [dsRNA virus environmental sample] -KCGKLKWALVRRVIQGQRVTYPHDTLVSHQPPIMTIDGCNYELSVAKKYRRNFRISKSGRLVCLNCERLM -KTKDGKSLEVRAVTGDPSTITVPLMYTKPHVCLYSTLKAIDDLKTRKLFHPSLCDEWFSLNGSAYQLGNS -SECMKLWEEFNKMKCSRVQSQHYVNIDWSKEQQAVEFGKCRHHKGLYVKILWAKLKNKDDLRILNEVWRW -SSDADHAKTNVSAADMIWHMRTRDWCRALYDRWNIMFSTNLYNITACALLIHLVGNPTAIKVFKLLEERK -FCCIDLDDYKNVFKALSVAIRRTGYWPDGTDASLDEVTGCASWELAVGRSMNRSDWEEERQKRTEVRIPL -GSPLLERKSDDSNNVYCHQLRFILVDIMSELVGRPPNRDSWAEYVENRQSWCSSGSTGGKRFKLSTKENV -RLNKHAYFETLQVTEMVEWLESEPMMLATASEKFEMGKARAIYGTQPVDYSIASYVLDNIESRLYNINGV -ESGLVGQDFIATMIRRCAAVEKEGTECTMIDYADFNYQHTLLAQSIVFDVLADALVRRGYHMDKVRACRW -VRDAMMNQKCIFPGPDRTRWNITQGMFSGCRGTNFINTILNTAYFRMAKSWVESELRVEPIDLHNIHQGD -DVWISNKSRLWALTTFEVMKATGFIFQPSKQMFDVCRGEFLRVVYTDSGCKGYIGRAIGTLIMKPIQGTD -VTSPAERAVALNSQIMILKRRGMTDRACELVWDAIVPYSARSKLPNGALTIPVSYLNKSYLDNGLDLGYP -GTAASHSREVKSIPIMELGSAILEQEIPDLMARDWANVLSRSLRSTIKYDDLVKSLHKANVTDSLRNEDR -MMSLRALEKRLREWLADLDCGTVQRNRKLYDELKLGDRALGKFPSIVRDLCDNLFGKLTPKEHGLMDTIM -RGVGSSPFKSVNNAMIATGKGTVEAAEIALLACTNSMTRTHALEAFNRIRTRCGEEITVHLLHGIRAGAT -KYECEFHPTVLSWVQEIAMNVTVSRATNEGERKLANLLLKVAADFDEHVRVLRDMAKIVRISQY ->APG76021.1 hypothetical protein, partial [Hubei toti-like virus 11] -RLVPIFTDLFNKVNAKWDNYEKFVKLRSIWAASGSSGGERIMYNEKNVRLRKRSYLETVTDEEMFEYMDK -PPRVNAVFSEKNENSKARAIYGSKIIDYTIASYVLNNLEKALYELDGVDFGLRSGNEIRSILRRVQRVSE -DKAVGFMIDYADFNIQHTLLVQKIVYDAMNESLRDKNYPDDLRRAIKWCADSMLEQFIHYPDVNKNIKVK -QGLFSGHRHTNFMNTILNRVYLDVALDHAIKLFGVNDKSLYRVHQGDDVWITSQSRLHGIVVFAIMESMG -FIFQNSKQMFDVCRGEFLRVMYTSEGLMGYAGRALGSLLIRPLQSSSISSPTQRCSEINSQIMVLYRRGF -TQKGCEVLWNCLVPYHASGVVNENFRITIPRLMMNRCKIDNGLDLGPPETMAERAARLPDPPNFIVVSND -FAAGVPKHSSHALIQVISSELKKDINSQKLEDYVHATNISDSIPDKEKYSCLVRHYRQMQIYITKINKMP -GVNRNRDIWINFLEEEADMSDQFQPFIDRLFEYVDVKYHVDIGNMLMSIFAYISHTPYRDLNTIKICEGL -DAHDAVEFILDSDVYDSSPDGCKEWFANLKKKMSRNTINHLFEHKFSIGHSFEALYHPTVLSWICGVAYD -LALSYVIENNIDNLAEVREIMYKYQLEFIKVAYKDGRLLKISKY ->AJT39589.1 PArp-RdRp, partial [dsRNA virus environmental sample] -RRARQRGKLVWALVTNVLEGRLGERQTRELNNVSIHPSHQNHFNLERGQLQCKTCVAISKSRDKSPKTYV -RIDPGDSTSPIVHKILTKPHLCLLTTLKEVQHLHDVKTMPKEMFDEWMSINGGNYQLGVSAQCMLLWETV -TQYPCKRTPPGHVDRVMTKYNQHVVRVKQCKRHRGQFVMINWSILRNKRDLAILNELWPWSSEADHTKTN -VSAADLVWHLSRHPTFREKYDRWKHLFSTGLYNITICALLVHMIGNEQADKMFNLLEKYRFCCLDMDDYA -ALFKHISTAIRRTGRWEDGSPASLEERTGCAGWELAIGRSGNKSDWAEEKRKRTQMVVPLGAPTARDKSA -TTNAEYIVALRRELDLITKQLVRPPGRKESWVDFVENRQRWVASGSTGGERLVMPDGERIRMNKQAYFDS -VSKEEMVSWLEDEPKIIGTASEKFEMGKSRAIYGTGVRDYAIVAYVMDGVEEHLYNIDGVESGLTGFDAI -ATMVRRLQVVSEPRVECTMVDYADFNYQHTLEAQGAVFDSLADALAQQQHHPDKVRASRWVAAALLEQYC -KFPQDKGKMTKVTQGMFSGIRATNFINTLLNLGYFKVAEAWLQKELRLQAVSLHNIHQGDDVWISNQSRL -WAIGLYRVLESSGLVFQPAKQLFDVNLGEFLRVVYVSGACRGYLARAIGTLIMKPIQNTDVVSPAERAIA -LNSQINHLVRRGFTSAGADLIWHAIVPYAARAKLVHGAITIPVAYLMLPFLDHGLDLGPPMTAAKRATPV -TPIPTMALCSRALEECVPSRMAHDYVGVISRGLRRSLRSEELEATLHGTNVIGSMRQIDRTQCLRAHERD -LRKWLDRLKLPPVTRTHAEYETMKEGETANPLFEVWLTRLSSGDMEKVTPVVKSRMGVVMAAIGLSPFRS -LSNTMTATGLDALSAAEVAITSCP diff --git a/seq/clusters_seq/cluster_353 b/seq/clusters_seq/cluster_353 deleted file mode 100644 index 4c8ca0b..0000000 --- a/seq/clusters_seq/cluster_353 +++ /dev/null @@ -1,84 +0,0 @@ ->YP_010086066.1 nucleocapsid protein [Alstroemeria necrotic streak virus] -MSKAKLTRENIINLLTQSAEVEFEEEQNQAAFNFQNFCQDNLDLVKKMSITSCLTFLKNRQSIMKVIKQS -DFTFGRITIKKTSERIGATDMTFRRLDSMIRVKLIQETANAVNLDAIKTKIASHPLVQAYGLPLNDAKSV -RLAIMLGGSIPLIASVDSFEMISVVLAIYQDAKCKDLGINPKKYDTKEALGKVCTVLKSKGFSMDDTQVT -KGREYAAILSSCNPNAKGSVAMEHYSEHLNKFYEMFGVKKESKISGVA - ->YP_010085060.1 nucleocapsid protein [Soybean vein necrosis virus] -MPQTAGPSNAKPAKITESNLAKLLKFEEDIEFEKNSTGFKFSEFYKTHMGRKFRYASALTFLKNRKAIVN -MCKKGTFNFDGQTVKLSVESGDDNSFTFKRLDSFLRVKMLEHNFAVFDGTNEEAKQSLCNDLATIPLVQA -YGLTVKDKMSAKLAIMIGGSLPLLASITGCEAYCFGLAIFQDLKKEQLGIVNFDTKAQAAKVASVLDAKG -FKFTEEKNQTLRLIAEILKDMAPQMRGVASLEKYNEQIGIISDIIGVHFEMPGKKDGKGKKSKEFSV - ->YP_009666323.1 N protein [Groundnut ringspot virus] -MSKVKLTKENIVSLLTQSADVEFEEDQNQVAFNFKTFCQENLDLIKKMSITSCLTFLKNRQSIMKVVNQS -DFTFGKVTIKKNSERVGAKDMTFRRLDSMIRVKLIEETANNENLAIIKAKIASHPLVQAYGLPLADAKSV -RLAIMLGGSIPLIASVDSFEMISVVLAIYQDAKYKELGIEPTKYNTKEALGKVCTVLKSKGFTMDDAQIN -KGKEYAKILSSCNPNAKGSIAMDYYSDNLDKFYEMFGVKKEAKIAGVA - ->YP_009665192.1 nucleocapsid protein [Groundnut yellow spot virus] -MSTKGVIKVKNDRELFESLSKNAKVELEQEQISFTFKAFFDNKSSKVELTEANMVLYINSANRLKLIGKE -NNAKTFLNINIVKSSPGVDEFTWSRLDSVIRMKYIDRIKDYNEEKLKAESAKLNNWLLEIFNLKQMSPKD -EVIFKVITGGDLNHLMCFKTTFAHAFAIANYQHKRAEQLGITNFDTKAQLDRMIVIGERNGVLPSNVPLA -LINQYFKNAIPRVKTAERDQSSKYEELQAAIGSGEL - ->YP_009408639.1 nucleocapsid [Tomato chlorotic spot virus] -MSKVKLTKENIISLLTQAGEIEFEEDQNQAAFNFKTFCGENLDSIKKMSITSCLTFLKNRQSIMKVVNQS -DFTFGKITIKKNSGRVGANDMTFRRLDSMIRVKLIEETGKAENLAIIKSKIASHPLVQAYGLPLTDAKSV -RLAIMLGGSIPLIASVDSFEMISIILAIYQDAKYKDLGIEPSKYNTKEALGKVCTVLKSKGFTMDEAQIQ -KGKEYATILSSCNPNAKGSIAMEHYSEHLDKFYAMFGVRKEAKISGVA - ->YP_009346014.1 N [Melon severe mosaic tospovirus] -MSKVKLTKDNIIKLLSSNAEIEFEEEQNQSTFQFDTFFDANVEKLKNMTVMSCLTFLKNRQSIMKVVKQA -DFTFNGITIKKSKPKIEPKDMTFRRLDAMIRAKMIEFTAKDEALEIIKVKISSHPLVMAYSLDVNDAKSA -RIACLLGGSLPLLASIPHYEAICLVLAVYQDAKSQELGIDQKKYDTKEAIGKVCTVLKSKGYVMDNDQLE -KAKMYAQILSKCDPKLKGDMAMNHYEAGLKQIYEIFGVSGSKKASTSKVFEI - ->YP_009315933.1 nucleocapsid protein [Zucchini lethal chlorosis virus] -MSKVKLTKENIVALLTQATEVEFEEEQNQTAFNFKTFYEGNLKLIKNMSITSCLTFLKNRQSIMKVVKQS -DFTFGKVTIKKVSDKIGPNDMTFRRLDSMIRVKLIEATANDENLSAIRSKIASHPLVQAYGLSLTNAKSV -RLAIMLGGSIPLIASVDSFEMISVVLAIYQDSKHKELGIDLKKYDTTEALGKVCSVLRSKGFDIDDAQME -KGKEYANILKACDPRLKGSVALEHYSETLNKFYNMFGVKKEEKHVPKGVA - ->YP_009162644.1 nucleocapsid protein [Chrysanthemum stem necrosis virus] -MSKVKLTKENIVALLTQAGEVEFEEEQNQIAFNFQSFCNDNLDQIKNMNLISCLTFLKNRQSIMKVVKQS -DFTFGKITIKKNSDRIGPNDMTFRRLDSLIRVKLIGRTKSDEDLNTIKSKIASHPLVQAYGLSLNDAKSV -RLAIMLGGSLPLIASVESFEMISVVLAIYQDSKHKELGIDEKKYDTKEALGKVCTVLKSKGFAIDEQQME -KGKEYANILKACDPRMKGAIALDHYSDSLNKFYEMFGVQKGSKLVPKDLV - ->NP_619709.1 nucleocapsid protein [Impatiens necrotic spot virus] -MNKAKITKENIVKLLTQSDSLEFEETQNEGSFNFTDFFTNNREKIQNMTTASCLSFLKNRQSIMRVIKSA -DFTFGSVTIKKTRNNSERVGVNDMTFRRLDAMVRVHLVGMIKDNGSALTEAINSLPSHPLIASYGLATTD -LKSCVLGVLLGGSLPLIASVLNFEIAALVPAIYQDAKHVELGIDMSKFSTKEAVGKVCTVLKSKGYSMNS -VEIGKAKQYADILKACSPKAKGLAAMDHYKEGLTSIYSMFNATIDFGKNDSI - ->NP_049361.1 nucleocapsid protein [Tomato spotted wilt orthotospovirus] -MSKVKLTKESIVALLTQGKDLEFEEDQNLVAFNFKTFCLENIDQIKKMSVISCLTFLKNRQSIMKVIKQS -DFTFGKITIKKTSDRIGGTDMTFRRLDSLIRVRLVEETGNSENLNTIKSKIASHPLIQAYGLPLDDAKSV -RLAIMLGGSLPLIASVDSFEMISVVLAIYQDAKYKDLGIDPKKYDTKEALGKVCTVLKSKAFEMNEDQVK -KGKEYAAILSSSNPNAKGSVAMEHYSETLNKFYEMFGVKKQAKLAELA - ->sp|P28975.1|NCAP_INSV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MNKAKITKENIVKLLTQSDSLEFEETQNEGSFNFTDFFTNNREKIQNMTTASCLSFLKNRQSIMRVIKSA -DFTFGSVTIKKTRNNSERVGVNDMTFRRLDAMVRVHLVGMIKDNGSALTEAINSLPSHPLIASYGLATTD -LKSCVLGVLLGGSLPLISSVLNFEIAALVLAIYQDAKHVELGIDMSKFSTKEAVGKVCTVLKSKGYNMNS -VEIGKAKQYADILKACSPKAKGLAAMDHYKEGLTSIYSMFNATIDFGKNDSI - ->sp|P36293.1|NCAP_TSWV3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSKVKLTRENIISLLTQAGEIEFEEDQIKATFNFEDFCGENLDSIKKMSITSCLTFLKNRQSIMKVVNLC -DFTFGKITIKKNSGRVGANDMTFRRLDSMIRVKLIEETGKAENLAIIKSKIASHPLVQAYGLPLTDAKSV -RLAIMLGGSIPLIASVDSFEMISIILAIYQDAKYKDLGIEPSKYNTKEALGKVCTVLKSKGFTMDEEQVQ -KGKEYATILSSCNPNAKGSIAMEHYSEHLDKFYAMFGVRKEAKISGVA - ->sp|P26001.1|NCAP_TSWVL RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSKVKLTKESIVALLTQGKDLEFEEDQNLVAFNFKTFCLENLDQIKKMSVISCLTFLKNRQSIMKVIKQS -DFTFGKITIKKTSDRIGATDMTFRRLDSLIRVRLVEETGNSENLNTIKSKIASHPLIQAYGLPLDDAKSV -RLAIMLGGSLPLIASVDSFEMISVVLAIYQDAKYKDLGIDPKKYDTKEALGKVCTVLKSKAFEMNEDQVK -KGKEYAAILSSSNPNAKGSIAMEHYSETLNKFYEMFGVKKQAKLTELA - ->sp|P36294.1|NCAP_TSWVS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSKVKLTKENIVSLLTQSEDVEFEEDQNQVAFNFKTFCQENLDLIKKMSITSCLTFLKNRQSIMKVVKQS -DFTFGKVTIKKNSERVEAKDMTFRRLDSMIRVKLIEETANNENLAIIKAKIASHPLVQAYGLPLDDAKSV -RLAIMLGGSIPLIASVDSLEMISVVLAIYQDSQVQELGIEPTKYNTKEALGKVCTVLKSKGFTMDDAQDN -KGKEYAKILSSCNPNAKGSIAMDYYSDNLEKFYEMFGVKKEAKIAGVA - diff --git a/seq/clusters_seq/cluster_354 b/seq/clusters_seq/cluster_354 deleted file mode 100644 index 1e37804..0000000 --- a/seq/clusters_seq/cluster_354 +++ /dev/null @@ -1,150 +0,0 @@ ->YP_009507971.1 minor coat protein [Tetterwort vein chlorosis virus] -MDLEDLFSEDKSELLVRANNDIWSQSVGMNLKNIRRLELRYKYGSGEMETNYILHLKFIIPDGNLIHEFS -FNHPGSHKFKQTGGRFWSSAFDELEKCRPNKIGHLGHFSVVEEGDYYYLAVNGWRHVRVKKSHQPTDLII -SLVNTDTSGILDPAVQYQSNFVKWNSLFSEITLNNVKSEIKAVTISMTRSNTGKRTDLKPAQGLDFENAR -RFSDLNVKEVGRDDDNNDNIKPPKPNIPDDNIKPKPKNDSINPPGPKPEGKGDEEGKDVDNSNVKPEPNL -VVDPSTIIDVSNSISTRSKLRGVGRYALRYDVLESIMKKMIAYYVTMGFDDIQAKLIIYQFGVSFCTSKN -STADKGSHLLWDTKDGRVLQLKKCDHVRMLNRLSPEPCNVERLLLRSRSKEIFQLLKNGVLKTSLVHCKK -RSLKPEWAYLACDFYDLSVLDLSESELLALNSPALYVLLRNKHKRSIVNVNQLH - ->YP_009507958.1 p77 [Diodia vein chlorosis virus] -MSINSQQTSIEYTPEFDGDDEDQNLSGRASKLTFNQTFSFVGVDFKELTFAVPYNDNVYRSATSFYVRIN -LKRGYVLYSCNFKDNANQSIKEINTGFWQSAYTIIEKPRQLKWNGDNSFSILKTSNGWEIKIKGWKCYLI -KESFLPISLDLLLSIPLDGFMISKEKSYVSKFIDPSSIIKDCKVDGNYFDKWKIDVEIINSIQKFSSKIL -TNIALSLGSFNNIEDLDIREKEVDKSISLDDVKPTDIVEDVRDVVRIYHTTSMSSVSCKRFSLLGRVSSV -SHSNIRTIFQIWYGDSKNMLELLIDNSEKHKSNDVFRIFYTKDGKGKYQSNLDRVLTDVPLSDGYKVYEI -VLDFKNNDVIDVTAQRKFLYSLRLPIQTKFIRCGFEIQILDLNTKLFNTSNLKRIVGFGNIEKIIIDGSV -ANFTIDNIPLSNLNVGAKDIKSKVEVALSNVKEVKDLFLPLPLPAESTPTEVVPVEPSRNNNEVKTDGDD -IGGFKLNKNFISIRSSVLGKDTEDRLFNQMVEYYVRLGFPEDQAEFIIYQFAVSFCTSKNSTTDRKNFIH -WRNKDGAIRILSKGKHSRLFQSLTNFPCNVERTVLAGRSDKILKLLRDRQLLWPYKNAEKRGIKPEFAYM -ACDFLKVDKAVLSEEEQLAITSQRMYVNLSNKHKRSIVSVNQIA - ->YP_006522432.1 minor coat protein [Cucurbit chlorotic yellows virus] -MESKDFYEEGDGQVITRADKYMWCQSHTMSILQVSDMSFSFLNTQDVTNSKFLFQIKIGVPEGNLIYEYK -FNNTHSHSFRQSGGRTWSNAFDNLGSPRILKLGVMNDITFKRESRDWIMSVNGFRYVKIKGIYNPNDMII -SLTYPANELQLASNKVYQSDFVRFKSVFSEIKLNGEDFQPKTIRCYVLNLNSNKVTNLKISKPLEFLNVK -SLADLNIEELNKDPPLPEPVKPDPPEEKVKHPDPSPEPLKPVPPVPKPSDNNDKPGESDKIKPNPTPSPV -DIPIKPGNVSDINFSVKSNIKGIERHRLFNLEIEDIFKKCVDYYVKLGFGEDQAELIIFQMGVSFCTSKN -SIGDLTSHLIWEDSKGKLIRLRKSDHVKYMNSLTKFPCNVERLVLRYYSEKILNLLKNGSLVPASHHAKR -RGVKQEFAYLVTDFFDYGKLKLSDQELQVLNSDMQYVLLKNKHRRSIVNVNQLY - ->YP_003204961.1 minor coat protein [Tomato infectious chlorosis virus] -MMEEEISLENRAVKDGLSFNVNIGDKFDREVNLSINLDRVKHFGYSYVFVCIGDSSNRYQLGWENITKSS -LSFNHLKNGKYENDFSLMRSFTVDFDNNMVVNIRLVNNKLAVSQGGRMTNVLCDLPSSLKVELWISFSLD -IGNKYVKGKSAIKSVDCSNLISKRLVDGVVPQLTSTHSFLKIGSDTSSIKPVKNDVLIEKIKTFEDILVA -DVEDHKDIEEDRSKYELPDVTSEFQQEDKIKQVRLYDVLDCGGKSFSTLTINAKFKPFKFVDMVNLLQLW -YGDSKSNNLELLIRYDESQRDRLTLQLMYTKNGRWRMFEEKKVTLDEQQLNKDDGSISFGLRKTKNTYVV -VHNGKTLYSFDHAIRNNSLKVGFEFQIPVIVFQKYSSETLKRPVGYGKIVEILIDGIPIKYNSNLMLEDN -SNINIMNMKTLDTISSDNAKNVREMFSRKRDPDQENNNVEIVDIDKPNEKNRPSLICQNFIGEISDNYLR -SLRNKCEKKLEGLGVPKNLTFQVLCQLSMTFSTSRECASKQDQFLKVITSDNKSSIVVNKKDVYQSIEKD -IMTHRKYNLERMMLRESSKEVLDLLREGKLTVNSRTALKLGFLSEKSYLCCDFLDYNRVLLTEVEKSAVS -LSLNKLSNLNKSKRTLVNVSQIT - ->YP_003002363.1 unnamed protein product [Lettuce chlorosis virus] -MDREDFYEDVDGQIMIRADNNMWCQSHGMSILDIEDLTFNYLHSAEVNNSKFIFQIKITIPDGHLVYEFK -FNDVKSHTFRQAGGKTWSNAYDVIGTPRAFRVGVNQSINLKKERGDWILTVNGFRYIKIKGVYRPTDVAL -SLAYPIGDTTFDRKKLYITDFVRFKSVFQSISLNGNEFQPKSIRTYTLNLNTNDAMNMKIGRPLELVNIK -NVSDFNIREEDTTPKPIPKPNDDLPPEVEKKPIPQPEPEKQKPDVPKPNDNSKPGGSVDPPNPIPPFPEN -KTPIKPSDVTDLSENVKSNIQAVERFKLYHTEIEDIFSKCVKHYVDKGFSAGQAELIIYQMGISFCTSKN -SMGDLNSHLVWKRDDGKLIRLRKCDHVKLLNSLSRRTCNVERIVLRYYSDRILELLKKGALVPGFHHAKR -RGVKQEYAYLVTDFFDYDKLRVSDQELQVMTSDLNYVLLKNKHKRSIVNVNQLY - ->YP_001816780.1 CPm [Bean yellow disorder virus] -MEAGDLYEEIDGVVYSRNEAKLWCQSHTLNIQNVQVMRLEYLHSLALSQTKFVLQIKFIVNTGNLIYEFK -FNDPSSHKFKETGGRFWSSAFESLGNARAFRVGALCSIDLVKEGSNWNLSLNGFKYVKIKGTFNPSDLII -SLAHPGDGIDVDRFNVYKTNFVRFKSLFNTFLVNNENHQPSKIHTYILMMNTDQLISMKLRDAVDITSIK -TEKDFNVSLEKDENKEKPDKKPSEEVKPQPKPSPQPQPKPVPVDENSNKKNDPGNNIEKTGEEAKIPVVE -TEPNIPVNITEKRSNVKSKLKRIEKYRLFSTEIERIFEECKSYYIKLGFDSIQAELIIFQFGVTFCTSKN -AIGDLTSHLIWENDKGENIRLKKSDHVRLLNSLTKTVCNVERLMLRYYSPKILTLLKEGALVPGWYHANK -RGFKPEYAYLACDFYDPSKLRLSEQELQAINSDQNYVLLKNKHRRSIVNVNQLY - ->YP_293704.1 minor coat protein [Tomato chlorosis virus] -MDENEIYEDQEDLSARGGGGFYYQTVTLGSGDVFPVDLALTRSAEFDSTIFSLYIRFVIKEGNVRLKIDF -GNNWDVTMQQVRLSGWFAAFGKIEKPRTARSGWSYPIKLFKEAGEVIVSISGWRCYKIYNGYPVDRVDLV -LAVPVREVTADLKRPLVGDYVNFHDVFTLIKSKNSDITLPNPSLIFNDSTSKVNLDVSPGARKQIAQVKA -EKDLNIKNPEDSKPDVPNDSLSEVEYHNHSDVSSVFRLYYTWRVERDFERSVESRIFFPNIFPTDFTILQ -QMWYGTTAGNVETFVEIGKNERKFNVGVAAWKDNAFGHFKLDGPTLAKISTIPGRFVDHKIEKDSKGHLI -VSIDNTVLVRTNKLIVKPSIQIGWEFHLPWDAIGKYGVGNLTRFTDIIKPNYIKYDGSEVPLVQTNTIES -DRSKSGHKLSLVNLKSFRRISSTADFFFEPPPPSESDDKTWEDKIQTEVDIKKEETIPTNEGISSSDLPS -EKSQFVAANHYLLSIAEDRNIFKAAVDRYTGLGFSKDQAVLIIYQLGVTFGTSRNCCSDNSSFLVWKTDT -GAQVIIRKGAHSRFLNSLVKYPCNVERLILRRRSAEILALLRNKKLAYPDRLAKKKGVSQGFTYMACDFL -DYTAVTLTQEEQLTMNSVVQYVRLHNKHRRSIVSTSQLF - ->YP_227365.1 p77 [Blackberry yellow vein-associated virus] -MSHKSQETYELSTDDNDSSIESRDLELRDVNAYYYQTLDFKVETLTSLDFNFQYHKVLPPLKSSFYVKFN -TQNGSILYTLSLRDSTLHSIQNVGNVDPSVKYQIHVAPRRNYPGASIKYKIAKSQSEYSVSVNDWKCYSI -TGVSSIISIEAVIVFPINDLDIDKTKSLTCNRLEVSDYLKDLRVNTLNHTDHSKRCMLLLDNGRTSIIMK -EIGVVRLQDGFDVNKLNISVIKEVIPDELPDIVNGTVEDSVDPYVILSEDYDVCKTSFKSCDVSCKFRFF -KSDFLKQIVRLSYGDNLARISLSVERDLNSADNRVRIHYNKHNKGAYLEDSFKYVDESPGGNEENSITFG -LSLKENDVIDLRINNRIFRSVRLKISTFQLSFNIELQLRSSMIKSYKHLPVKSITGLGSLDKVIVDDKHQ -NHQLKIFKETGTKIYLKHDTRKSKIDVYQIKSVKDIFDDNSKVVEVTEIIEEDGKTTSSSSNSNSILPVE -PSLSKNFVRSSTSILSQKRDEEIFNRAKKFYVDVGVPPEDVELLIFQMAVSFCTSKNSMGDSSSALFWKT -RDGNISKFLKSSHSRLLNSMAKTPCNVERILMRNRSEKILSLLRSKRLEWPFNQAIRRGLKPEYAYMACD -FLDLKNLQLTEGEQLAITSVSMYSNLRNKHKRTIVNVNQIS - ->YP_054413.1 coat protein minor [Potato yellow vein virus] -MDKSVLDELIFEDGDSQENSEISLRNLKHLIVQSYLFDLTKCKTISFTYPYSKLLFDSRAILPLKFKLNQ -GYILYQFNPSNATGHSFKQLDTSWFSNAFLTLAKPRALRYQQDNEFSVEMDGGNCTLGILGWKCYKIFSS -FQPTSVEVRLILPCSEFDQSSQSSLQALKIPPSVFMNNVLVDRISNPLSLYQYVILDQNSKIVSKLAVYG -DADLSKGLSCTSLQIRPIQQKDAELPDISSSENVEFDESNDFRLYKTYELKSAFSKSLVFLCKVFCLNVD -HINSHVQIWYGDEKRKLEPLVRMTGSNKELTFQLFYMKDGRGRYIDDFQKSIPISVDKEGYAEVRLGILT -NGKDSFHVVVNDLKIGPISFNLRGGNISFGSEWLIKKKDLGTLDPSSRRRITDIFKYVRCFVDGDEKKLD -ISKTAENHYQGNASAISNIGDLSVFRIKHVTDIFKPKEKEQPEKPVIIPEKQPTIQPEGEKEVVKVQTDQ -NVDRRNKNLVVINSGDFSKQEVEKIKSELEKFYMKQKVLREDVDDLVLPLGVSFCTSKNSMSDPHSYVVW -RCEDGKNRRFYKNRHSKFLNMTFGESPRNVERIYLRSRSAEILEKLRNRVLIWPKTHANKRGLLPEYAYL -ACDFFKFEDVALSDSERLALNSPNTVISLKNKYRRNIVNVNQNF - ->YP_025090.1 minor coat protein [Strawberry pallidosis-associated virus] -MSVNWRETFNEEPSPFPVHDENLNLSSRNISLVEGDEHILSVRDASGLINQIITFDYSNFLNLKFFLFLR -SSFRALGFQFYIRVNLYRGYALYSCDCRNDNRQDLKEYAVGAWSSSITNISKPKVLRYDSDNQIILTKLN -DGLQFNILGWNVYLVKSSFTIKSIDLIFQIPCDRITFNKDLKYNANYIDSLSILKDLSKDNIIVDKPISN -LYYYHSADKLTVPLILKKFIPAANMNSVKDFEIEPVIEQRSEDLEDVTTTDDVNDQEKVIRIYDTVFVNF -SKGKEVRVIGLVRPLMVKDFRNIFQLWFGNDGDILELIFDNNLDHGRSDFYRLYYKKGGRGKYRDDLAKT -MENVESRGGFKYYDFGFKLMDNDIIEVKAFNKTLYSVKHKIPSRELEVGFEFQLTGPEMQSVDMKHLKRP -SNYGKIVSIFIDGVQAAFETRKPFLKGYSANVQNLPANSLVDFSTAKKVTDFIIPKPEKPDVVIPEENKI -VVPEEENVVSGLVGDGSRFQLSKNFVETRTSPLGLELEAEIFKKMKDYYTRAKLTPEDAELIIYQMGVSF -CTSKSSVNDTSNFILWKDSEGRIRKFGKGIHSRLIQELSKSPCNVERTLLSSRSKEILELLRQKKLDWPF -NHANKRGIMPEYAYLACDFLNLKKVSLSEGEKLAMTSQQMYARLVNKHKRAIVNVNQLL - ->NP_940793.1 CPm [Beet pseudoyellows virus] -METERQFDEGVPDEDQELSVRAASPYLFHNFTLPLIESNTLEFDVNFHDHILANSIILYIRFHIKDAQIL -YKIDGGNFGRQSIQQVGGSFFSYGYTEIAKPKLLNNGVTNSFAIVPTNDSYLVKIKGWNCYGIKKSFDPT -NIEFAVSFPIKGFSLTGGRTYEANVISLVDIFQNFKINGVSSSLGLKAYVLYDAGNKTSFNVVPKRTLNL -NEVANQSSLELMEKKERTVPELPDISTSQNSAYDSQCFRLYDVYTPAQNPKSKVRVTGSLKPISCREVRS -ILQLWFGDLKNMLELLVDCDPIRNKEIQMKIFYRKNGNSTYLTSYIQKAIPVQEKGNLKLYEFGFNYSED -GVFEIITAGRTSATFKLKISVPKLQVGYEFQFTKELSDPKNVGKLKKLINFGRIALIEIDGKKIELSSVK -VAEGTNQTSVSLCAANPPIDAEEVKEIKDMLVVKNDKPEIVENEKEVVDVTQNDEVSNLSANYIASVTSP -ISDISLRRIFEKVRKHYVDSGIKESDAELLIYQMGVSFCTSKNAMSDNSNFIFWKTVSGTNKRFSKSAHS -RMLNNQSSQCCNVERLMLKSRSVLIFNLLKRKQLEWPHLHAQRRGLRPEFAYMACDFYDLKALPLSEAEI -LALNESHKYSLFRNKHKRTIVNVNQIA - ->NP_851577.1 CPm [Cucurbit yellow stunting disorder virus] -MDREDFIDVGSDDLNTRRNGVLWCQSFNANVNKLKKFELKYIYTDRLNLSLFTLQLKFKLSRGNVLYEIK -FNDPKSHNFKQVGLTWWSNAFEKLDSAKPNRIGYISTILIFPEGNDLIFSVNGFRFVKLFKSFDPTDLVI -SLTHPIQDIQIENAKDYCSNHVPWESVFMSCDLDNGSIIPKSVNCYALDLNTNNVKSLEKLAAIDISQVK -SSKDLNVREKSDEVKPKPKPKEDDSTEKKDEKSKDGSDQTENKQKKEADEKKNPSEEPKTDPTVEEKPRE -VNSKLKGVERYPINRQELKKVFEGAVEHYVKGGFSRSQAELIIFQMGVSFCTSKNSIGDIHSHLLWEKED -GKIVRVRKGEHVKMLYSFVRTPCNIERAMLRMYSSQIFKLLKDGVLVPGWSHVKRRNFKEEYAYMACDFY -DFSSIKLSEQEKLAANSAQNYVLLKNKHKRSIVNVNQLW - ->NP_689405.1 minor coat protein [Sweet potato chlorotic stunt virus] -MDILELEVDNIISTNYPDDESEIVTRAVGCKFNQDYSLPYKDREQVDFSIPYTSALRSKPVTLELYFHIG -SGYVVYTCKPADNFNQKIYESNLGSFFSSFRNLVTPRRPRGMNAFRFGYLREGNDCFIIVEGWRVVQISN -ANTIFGVSLRLSLDIGDVIFSKSDKLSANQIALDSCLECTRPDGLLKGAKPKSVNIFSETTQESVKITPI -GDIPLSVFNSVTNLNLKILRSGDDSTSIKDETQIDKDRTTMSNEEDKKKIFRIYYSNFLDSGFKNSLNYT -ILWPQISCNGVDVNHQFWIGDREDMFEGGVHYIHTTSINTLFFVHRKGDVSEYFKINEDQSARILKTIPT -ELTFSIVKNPGSKYVVYVNGEKFLSTFLPNVGTKVQIGWEIKLYKDKVKNFEKSNLKTFEIVPRETQVKS -DNELVTLRKYEFGTTDFNASGWVLEKKLGLRLKTIKHVNELFTDDKPPEKEEQVDKTDKQDKKTPEEKID -DTVVVDKTEDAKENLEEFALKAVVYQPLSRLEDEKIFNNMIKYYESKGLTQRQAELLIYQMGVSFCTSVN -SCANTNLHLIVSKPDGSLLKVSKSDHVMRMQMLCKKYCNVERTLLRNRSDKIFRLLKAKVLVLPLKHARV -RGIKPEMAHMACDFMDYTTIPLSDEEVLALNSMQRFVLMRNKHRRSIVNVNQLF - ->NP_619698.1 duplicated coat protein [Lettuce infectious yellows virus] -MLEAECDEVELTSRDVGDYLMFKTRITDNFTGDLTLNINTSNLIKFKTCSFFICYGDDKDRYELGWTSTS -TSRSIFQHYKDGKYIRDFRIQDPFPILSGSTFPVVISKIIANRVAFRMSRRLNNVIVDKLKNNIIEFLFV -VYLDVDTGKIKPNTILKNLDLSSLFIVFSNNGNNKINLPYEIELQTKDRGIVYTKMGNPISYNLFNKFED -LLDIETKGVDKPEDKPKPVFDDKGKQPTDTVPPVDNGKPDISKPGEKQGDIDIASKFNNIVMAKLKAQSS -SDPLTKKQCDQLMLSLIKWFEKFGITKDNARLLIFQFGISFSTSKENLNNITNNIVVENDKGGFVKILKI -DYLNKLYGSIPESHTHNLERVLLRHYAQEILILLRSKVLEWPRKLARNKGIFEQYAYMACDFFDTAELEL -TEAETTALTTVKSWTMNHYKKKRQIVNSSQLE - diff --git a/seq/clusters_seq/cluster_355 b/seq/clusters_seq/cluster_355 deleted file mode 100644 index 5a859ed..0000000 --- a/seq/clusters_seq/cluster_355 +++ /dev/null @@ -1,140 +0,0 @@ ->YP_009507968.1 59.7-kDa protein [Tetterwort vein chlorosis virus] -MVSIMNNPQLLSAFQLLFKISNVEEKLRGLNDYMLQNVSKENNNVFTAISGRNPMKFDSTFTKRSGDVYF -DKDDGKSIVKLILIYFYNVEPSLLTKTGYKPESFFISDDWRASLLQWKKYIDKSMNDFLSDNKDIGCTYT -EDNISKHYPNQSKSRLITLYRVCNSQNRLIPLQEFLEGKVKGFEINPKADVEAIGEGFSNNNLFRECVEC -FKDYMLLSSSQSGRAKVLVVKKFFDTYIDSLVGNQLMETVRDNPLVLAKFVKDFDEFTAEGHGFSNNFKA -IEKLDKNFQKFCKDVFKLSTSLDRDTLFVKLPKDSVVDILGQPIILSNFIRRSSIPKPISNSSSLPHDID -ICVSEGIVDFLKQFGIEDKVLILDTMLFVFAAMTTNKKIWNTPNKVSFSVDGKKISFLTTDFTGFIINLV -RRFDPNYDTNNIIRQWANLRGNRALALFRMTGFKPQLFSTVPGILPWMRFDFFKLLSQQDLTDEEVQSLH -TLRLMTEWKSNNSTFNEKNLLRWISRN - ->YP_009507955.1 p60 [Diodia vein chlorosis virus] -MVDIFSNQSVKRFLSFFFKKTDINEELKAINKYLVANYVTENNNLYRTVGAKGAVQFRSSYYKRGDVIIV -PIDDNEAIIKLAIIYLYKVRPEYLKKTAYNPENFFADFNWERSEVEMKPFYDKSMNEYLQANKSLGCTYT -EEDIKAQYPNANEIRLLSLYRVCNSQGKFIDEKELDAGSIKGFEITTKAEDGGIGEGISQNALFIKCVDI -FKRFLEFNNTKAGKAKIDVNKRILNIFLDSVTSKTDLSNLRDNPLIVAFFMNAYDKLTTTSKGFQDNFLA -IQKLTPDFKKFVREVFLIDCKVDEERIYFKLPKESVVEVLADPSILSNYIMKEMSVACESNCNTLPREVD -GFATDAILSFLRPVSRGSDMEILDGLLFILGKHTTNIKRLTLHKEVGAEFGNMMTRFYMDDLNTFVTNRV -VGKYREFVGKNVLRLWANERAARAMNLYKTANFNPGLFSYVPGILPYMRFDFFKQIPLRYMSEEEVTSFT -TLRRLTESHSERSDEANSDCIRWIVRSL - ->YP_006522429.1 hypothetical 59-kDa protein [Cucurbit chlorotic yellows virus] -MVSLKESEKLKHCFQLLFKRTDVSPQLDALVEYMRNNYRTENSNVYKSISRKSPMSFDSQFRQVAGDIHF -VEHDNKSIVKLIYIYFNKVEPNLLKKLAYQPGSLFVTDEWLDYLSEWEKYLDKSMNDYLNDNKSLGCLYT -EDDIARHYPGSSRSRLITLYRVCNSQGRLIPIDEFLSGEIKGFDIPTASDAVLIGEGISNNPLFSECVQA -FREYVRLMNSKAGQAKINVNKRFFDVYFESMSQNPEMIRVKENPLVLAKFMKEFDSLTVNSRGFADNINA -IKTLDKDFQRFIKDVFMLNNSLDEDSLFVKMPKDSVIDILGQPISLSNYLRTSDIPPPLSNSSSLSDVVD -TVVSEGFYSFFKKFGVTDKQLLLDALLFVFGKLTTNKNFWEKPNNVKFKVDSKVISFVSSDLTSHIKNCV -KRFDPTYDCNNIIRQWANLRGNRAVRLFRLTGFRPGLFSTIPGIVPWMRFDFFKLLTVQNLSHDEETSFR -TLRLMTEHKSNKSSSDDCEFYKWISRS - ->YP_003204958.1 p60 [Tomato infectious chlorosis virus] -MVIMTEDRKAILFFQTLLKKSNVFEEMTEVNNYIKGNLRLVNSNRYPSMSRGAPFYFDSEYYLSGGNVVV -YPDSHNTYLKLLVVYLDKCYKNYTKKTGYPGKSLLTIRDYGSYISRWSDYHDKSITDYLTHNPGVGCVFT -NEDISNAYPNQSEITKRILYRISNSIGHMPSLNEFEKSELSSFAIETERDAPVVQNDSNTSQFFKECVNT -LRTYLAYSGSSIGKAKLDSTKVSYDIFIESLSGDFDRESLKTNPLAISKFMQLYTKIGGNSETFKDKFNT -LKLMSNPFREFCERAFKINVNSSKDVLYEKIPREKTSDILADVDMFSRILVRTSDYKIESNNNTLNSVID -TIVTEALKLFFPRMKGLYPLEIELAFLHYFALSTTNSKRRGDKRKNVISINGEEVQISMNDICNRVDSIL -REAGAFQSGINYVRKWANKRGHIALNHFKNNRTKLYLFSDYPKLLPYMRFDYFKALDIELLTEEERLSLN -TLRLITEDKSSHSNDTRADLLSWTLRY - ->YP_003002360.1 unnamed protein product [Lettuce chlorosis virus] -MVSLKNSSKLEMCFQLLFKRLNVDDKLAALIKYMEKNYQVENSTNYKSISRTGPMVFDSQFRSVAGEIHF -VNEDDKSVVKLLYIYFNKVEPDLLKKLAYQPGSLFTTDNWREYLAMWVTYLDKSMNDYLSDNKSLGCLFT -EDDINAAYPNSSKSRLVTLYRVCNSQGRLIPIDEFLSGEIKGFDIPTATDASVVGEGVSNNDLFRECVEA -FKDYVRLINSKSGQAKINVNKRFFDVYFESMVQSPEIKVVKDNPLVLAKFMKEFDSLTVNSRGFADNITA -IKKLDKDFQRFIKDVFLISNNLDEDALFIKMPKDSVVDILGQPISLSNYLRMSDIPPPLSNSASLPEDID -EVVCEGFHSYFKKFGIDNRQLILDMLLFLFGKLTTNKNYWEKRNTVKFKVDGKTISFISSDLNSHIKNCV -RRVDPQFNCNNIIRQWANLRGNRAVRLFRLTGFRPGLFSTIPGIVPWMRFDFFKLLTIQNLSRDEETSYR -TLRLMTEHKSNNTERDECAFHTWILRN - ->YP_001816777.1 p60 [Bean yellow disorder virus] -MVKLTDSAKLVKCFQLLFKRSDVRDKLSDLSQYMNRNYSTENAEKYRAISSGRPTVFDAQFRKIGNDVHF -VTEDDNSIVKLIYIYFHKVEPSLIQKMAYKPGSLFTTEDWLEFLGSWQPYIDKSMNDYLNDNKSLGCTFT -EEDIEKAYPNSSRSRLITLYRVCNSQNRLIPIEEFTSGAIKGFDIPVDTKANQIGEGISSNPLFFECLEA -FKEYVRLNNSKSGKAKIDVNRKFYDVYFESMVQDKELHNVKDNPLVLAKFIKEFDNLTVNSRGFSDNMNA -VKQLDKDFQRFIKAVFMMKTSLDEDTLFMKMPKESVIDILGQPISVSNYLRTSELPAPKSNSSSLPEHID -ELVSETLFLYFKKFGITDKGLILDALLFVFGKLTTNKAYWERENVVKFSVDGKKIKFLSSDLNSFIKNSV -KRFDPGFNCNNIIRQWANLRGDRAIRLFRLTQFKPGLFSTIPGIVPWMRFDFFKLLSIQGLSRDEETSFR -TLRLMTEYRSNKSKTDEGEFLKWISTRC - ->YP_293701.1 P59 [Tomato chlorosis virus] -MEPVDTSERVKRLFSVVFKKSNNDEKIHKLADYLLKYYSTENRNLYRTTINNKAFSFTSTYSVSGGKVXL -DTKEPWQVVKLIIIYLYKVEPGYLKKTNYSPENLFARLRFDDYYDEWNKYFDKDVNDYLADHPEEGCLYT -MNDIMKEYPGEEPTAQLTLYRVCNSLGKKISVRELKEGKISAFKIESKTDNAEIGEGVGGNALFKECVET -LQSYLLLNSSKAGREKIRANAKIFECYLSSLVPKGLDKKLAANPLVVAKFVNAFTVRTVNSKGFGDNFKA -VKELSPELLSFIKRVFLVDARLNEDVLFIALPKNSVVEILGDKFAVGEYLKVQNVLPASSNSSSLPPDID -KCVSDALVTFMRTFGNFQPAFILDIWLFVFGKMTTNSKLWREDNEILVTVGDVVVKSTTSRLLSHVKNCV -RRDFPQFSTDNIIRQRANLRGDRAKQMFQLMNFRPGLFSSIPGIKPYMRFDFFKMLDLSKCTREEIESYQ -TLRRVTESRSNKTACDDRRLESWILRK - ->YP_227362.1 p59 [Blackberry yellow vein-associated virus] -MVDFLTSDKVKDFLSFAFKRGDIEDKRKKLYDYLIKNFKTENASLYRTRAGGRDFVYTSNYKANGDKLLI -NDSNDLELLKLPVIYMYKIEPDLMSKSSFRPENIFSNLDWKGSALRYKPFTTGDINDFLNVNRDMGCTYS -EEDIAKHYVGESAVRLSTLYRICNSLGTLIDVKSLEDGSVRGFDIKSSERDVAITEGLTMNKLFLECVSV -FKKYLELNNSQAGMAKILVNRKIFKAFLDSLISRGDLTLIADNPLVIAKFMSDFSGLTEHSRGFQDNYNA -VMTLSKPFQKFLKDVFQLSVVLNESKLFVNLPKSDVSEVVSDMKLASDYVIMSGDYLCLSNSNTLPDEAD -KYACECISKFFESKVNVSFDVIQDSLLMIFGKYTTNLKRLKLPTVVSFTIKDQTVSFRMCDLWSSVTNKV -CSNFKDLSGCNIIRMWANNRAARAMKLFRVKNFSPGLFSYVPGILPYMRFDFFKAIPLQDMSQEEVESFR -TLRLMTESRSNRSEQDEIECEQWILRS - ->YP_054419.1 hypothetical protein PYVVs2_gp3 [Potato yellow vein virus] -MVSIFRSPVVRDFLSMVFKTNDPSEKIGEINKYLVKNFHIENQNKYRTNIKGRLTELTSNYFVRNNEIFV -NDGNFLEILKLPVIFMYRIRPELTKNSAYLPVNIFASYNYEFYKNSFSPHYDKDLNSFLSSNKEIGCLYT -ERDIQEHYPSVHGVRLLTLYRVCNSLGRYVDLNELDNGNIKGFSIDPDSKAKIIGEGLSSNPLFNSCVEI -FRSYLELSATKAGNAKVEANKKIFTTYLNCMSLGESYERIRKNPLVIAKFIKLYEEFTKESRGFKDDFEA -IKLLTPRFKVFLKKVFDVNSSLNDDILFIEMPKSSVVDLLSEPVSVGEYLRSKDAISVVSTSNTLSEKAD -LLATHIILRFLQDKLPAPEDILIDAFLMILGRTTTNSKRFGKPVLVTLKYENMSKEIDISVVVNALNNKV -SGAHNEYLGMNVLRLWANSRSSRAMRLFRSLNFNPGLFSYCPGILDYMRFDFYKAIPLKDMTDEEVQSFR -TLRLHTEKRTDPQGSYSAECDNWILNLKNRS - ->YP_025087.1 p60 [Strawberry pallidosis-associated virus] -MVDLVENRSVDRFLTFFFKRKDVLKEKILLHDYLLRNYSTENNNNYRVQTPKGVVTYSSNYTVRNGRVLV -SVDDTGEIIKLAIIYMYKINPALLRKTAFRPENFFTDFNWSDSEREVKDFYDLSMNEYLNAKKSLGCTFN -DEDIRKRYPNADEIRQLTLYRVCNSQGKYVDESEIGSGTIKGFEISTKADGGGVGEGISSNPLFLRCVEV -FRKYLSLNNSKVGKAKIDANKKIFEVYLNSLRTSQDTKKLESNPLVISYFISEFDRLTEKSKGFKDNFEA -LKELTPGFVTFIKDVFKLSGTINENKLFFDLPKSSIVDILSEPAIISEQLLENIKVDTESCSNTLPYEID -KFVCGKILEFFSGKSGMNEKDIFDCLLFIFGRYTTNPKRLCLPEDVSVTFKQQTLKFRVSDFNSSVCNAV -YNKFPEFKGMNIIRLWSNARASRAMLLFKSSGFNPGLFSYVPKIPNYMRFDFFKSIPLKDLSEEEVKAFR -TLRVLTESHSEKTEDLKQDCTRWILNQL - ->NP_940790.1 p59 [Beet pseudoyellows virus] -MVNLLLSPIVDEFLKFFFKRSDVSNQKRQLCEYLLKNSATENRNTYRANAGNKMISFDSNYKVSNGAVFI -DDTSDLELLKSAIIYMYRVDRSLLKKTNYRPENFFASLDWRRSSREFSPFYSGDMNDYLAANNEIGCTYT -EKDIKDHYPNISELRALTLYRVCNSLGKYIDLKTLESGSVKGFSISTSAEDGGIGEGLTANKLFSECLKI -FRVYLSLSTTKAGIAKISVNKRYFETFFTSLDSHSDLSKIKTNPLVIAFFINSFDKLTVDSTGFKDNFRA -IKQLEKPFRKFLKDVFLIDSSFSEETLFINLPKTSVSEILQEPTMVSEFVRKDNSISLESVANTLPDSTD -NSVTEWIHTFLARKGDIPRHRLTDALLFILGRYTTNIKRLVQPNDVGITIEGKRVSFKMSELNSFVVNRS -VRNYPELKGINLLRQWANKRSYRALTLFRSANFDPGLFSNVPGILPYMRFDFYKAIPLSQMSQQEVESFR -TLRLVTEAKSDASASSISDCKQWILKV - ->NP_851574.1 p59 [Cucurbit yellow stunting disorder virus] -MVNLIDSPNLHDAFSLLFKARDVKGKIESLVKYIKSNLSTVNASAFRPIGGVRGLVYDSKFSIFQGNVRF -NIDDDKSILKCILVYFYHVDPSLLKKTAYKPESFFITDNWREHLEKWRKYIDMTMNEYLVDNKDVGCTYT -EKEIKNHYPGQSDIRLITLYRVCNSQGRLVPLQEFLSSEIKGFDIKTDDAGPTIVEGLGGNDLFKECLVA -FKDYVKLNSSKAGLEKIKVNQRYFSVYLDSLNSNNDLKNISDNPLVLAKFMLDFDNLTSSTVGFAANIDA -IKKLDVNFKAFCEKVFNLKTRLNEDKLFINMPKNSVVEVLGQPISIGNYIRSSKIPPPVSNSSSLPEHID -ELVSKAMCDFMSQFGEFESKFVLDGLLFVFGKLTTNEKFWRSDKLVSFSIDKKPISFRACDFRSYLIGSV -KSRDVLFNCDNIIRQWANHRGNRAMRLFKVSGFKPGLFSTVPNILPWMRFDFFKLLSSDYLDDDEIKSLR -TLRLMTEHKSNKTKADEHRFTTWISQRL - ->NP_689402.1 putative movement protein [Sweet potato chlorotic stunt virus] -MSQSIIDSDFVTETFRYAFKKTDVRDKLERLNEVMKDNLDQINRNKYSFNFQGQTYNLSSNYQVRNGVVH -VNTEAPLEVLKLLSVYMYYVEPKYIDMSPYSPESLFGNPNYMKAVKMWKPYYDKSMNEYLNDRSEVGCLY -TMDDIDKNYPTLSQTRKITLYRVCNSLGKLVDLKELSAGVLKAFEVKTSDVGQAVMTTTTDNALFGECVK -VFKDFIVLNSTKAGKEKIEVNRKFLNSFLRCLSPKDELGNLGENPLLIAWFMREFTNRTKNSKGYKDNFK -AVVDLSQPMLKFLKDVFLKDLSLDEDSLFITFPKNSVVEIVDQVIPLAKFHRNQALPKPMSNSCDLPRDV -DELVSKTIAEYLGKFVKTDEDLLLDAFLFVLGRCTTNQKRWTSGFEIDFKILGERIKFDSKDLWYHLVNV -VRTNHPRFRTNNLIRQWANNRGDRARAMFKICEYHPGLFGSIPRIDNHMRFDFFKLLNLRLMSESEKVSY -YTLRLMTESKSNNSDKDFCKLVSWISAN - ->NP_619696.1 hypothetical protein LIYVs2gp2 [Lettuce infectious yellows virus] -MLNDRIAVTCFQTLLKKSNVKHEMEQTNNYIVNNLADINRNTFPALAGSVRIDFNSDYYISGGQIVVSPK -DSNAYVKLLIVYLKYCYINYSAKTKYPPQSLLAVLDYDSFKAKWVKYLDKSLTDYLDDNKTEGCSFTEQQ -VVEKYPQVDSLVAKILYRVCNSLGKLLDLKDFENKNISGFEINTAQDSPTVADDNESNDFFRECVNDQRY -YSSLSGSKLGKAKLEANAYIFKILLKSASGEFDIDRLSRNPLAISKFMNLYTNHVTDSETFKSKFEALKS -IKTPFASFIKKAFGIRLNFEDSKIFYALPKERQSDVLSDDMMVESIVRDAASFTVVSDNNYLPERVDRFV -TQLLLELFPKTKASFPNKIMFGFLHYFALSTTNSKRFNDTQESTIEIEGETLKISLKFITSYLRNAIQSQ -HPDYADSNIVRLWCNKRSNLALGYFKSRNIQLYLYSKYPRLLNYMRFDYFKGLDMGKLTDEERLSIQTLR -CITEDRSEGTLATHNDLNSWILRP - diff --git a/seq/clusters_seq/cluster_356 b/seq/clusters_seq/cluster_356 deleted file mode 100644 index a9a43b3..0000000 --- a/seq/clusters_seq/cluster_356 +++ /dev/null @@ -1,98 +0,0 @@ ->YP_010087187.1 minor nucleoprotein VP30 [Mengla dianlovirus] -MSERQSRGRSRTRRQPDEVITRQEVFNPDQGPHGFHRRSRSTSNIRSNYPGGNQYTNQIGGGRSNQFQPF -LRPPPPPKDLCKSFKVGLPCNDKGCTKDHDLSNLTNRELLILIAKRTFPEYQKIPDNTGSKGSADLSKGI -PDTSNAQNRDILTLENLGDILKYLNSADLTTLDEVSMRAALSLTCAGIRKTSRSMINTLTEQHVSAENLS -PDQTQIIKQTYTGIHLDKGGNFEAALWKNWDRRSISLFLQAAISVLNTTPCESSKSVISAYNHFLQKPGD -IKRTTLGSINSSV - ->YP_010085042.1 VP30 [Wenling frogfish filovirus] -MSQQHRQDHKSHNKRPTTECRPLINVEYPSQRGRGQTTRAPSERQERRAPSRPRAQSRPRSEPEGRNSHF -SAPSPKNKALCSELRSGRYCRLTSCPQVHDLDMLSDRELMILLATAASPDDANHATPTPPGPPEGCADDH -SSPAQLRIMSGAVELTLMGLGLIISQVGKTKVEDWTQADLPNLLKILGVGLRKLTKSQVNALIARHETTE -HGNLAFGSEGLTKLYWGLFDDKGGNNENEILKAYQKLNFLPTCLQMIEHLSSVSVESSSAVLRAYLCVKD -KIRARKDQFFLSERDDILGFSWADRVPDYNQNDTAIKELVGPETEVLLPVHDA - ->YP_003815438.1 minor nucleoprotein [Bundibugyo ebolavirus] -MDSFHERGRSRTIRQSARDGPSHQVRTRSSSRDSHRSEYHTPRSSSQVRVPTVFHRKRTDSLTVPPAPKD -ICPTLRKGFLCDSNFCKKDHQLESLTDRELLLLIARKTCGSLEQQLNITAPKDTRLANPIADDFQQKDGP -KITLLTLLETAEYWSKQDIKGIDDSRLRALLTLCAVMTRKFSKSQLSLLCESHLRREGLGQDQSESVLEV -YQRLHSDKGGNFEAALWQQWDRQSLIMFITAFLNIALQLPCESSSVVISGLRLLVPQSEDTETSTYTETR -AWSEEGGPH - ->YP_009513280.1 minor nucleoprotein [Bombali ebolavirus] -MHQQRTQNPHSTEAIRERGRTRTVHPPSRDSGEFRMRARSVSRDYSRGENYHQRGTSQTRAPVMFHKKKT -EALLVPPAPKDICPTLKKGFLCDSNFCRKDHHLEGLTDRELLLLIARKTSGLVDPSVCASAPKDARLAHP -TAEDFDQQSGPKMTLSLVLRIIEYWAEKDLRNIDDSKLRALLTLCAVTTRKFSKSQLSLLCDAHLRRESL -GQDQSESVLEVYQKLHSDKGGHFEAALWQQWDRQSLVMFITAFLNIAPQLPCESSAVVISSLKLLIPAAE -HNTTGSSPTTPSWSDAADS - ->YP_009055226.1 minor nucleoprotein [Marburg marburgvirus] -MQQPRGRSRNRSHQVALSTYHENQLPSKPQYINHHPRARSMSSTRSSTEGSPTNHASRARPLSTFNLSKP -PPPPKDMCRNMKIGLPCTDPACNRDHDLDNLTNRELLLLMARKMLPNTDKAFKSQQDCGSPSLSKGLSKD -KQEQAKDVLTLENLGHILNYLHRSEIGKLDETSLRAALSLTCAGIRKTNRSLINTMTELHINHENLPQDQ -NGVIKQTYTGIHLDKGGQFEAALWQGWDKKSISLFVQAALYVMNNIPCESSISVQASYDHFILPRNQGER -Q - ->YP_004928140.1 minor nucleoprotein [Lloviu cuevavirus] -MAAGPLRAKEWARRQLDNTISHPVGPRPSNYHIGSNLAPQHYPSGRGFNERGRSVSRQCPGQGTQVRPRS -QSMSRLTNSRITPGDWQCQPCDYPKARFKRVEQSLVPPAPKDICPTLKKGYLCDSQFCKKDHNLEQLTDH -ELLLLVARQSCISSPAAREIPRAKDCRLATPSLCDYQQHNQESLTLNRLCEIAQAWASMTWEDIDDKQLR -ALLTLSAVLVRKHSKSQLSALCENHVRREALAQDQASIVLEVYQKLHSDKGGKFEAALWQHWDRGSLTLF -IHAALRAGTTIPCESSAIVVASIMSLLSNSQNDSSEPVAEGPPGQDQQ - ->YP_003815429.1 minor nucleoprotein [Tai Forest ebolavirus] -MEVVHERGRSRISRQNTRDGPSHLVRARSSSRASYRSEYHTPRSASQIRVPTVFHRKKTDLLTVPPAPKD -VCPTLKKGFLCDSNFCKKDHQLESLTDRELLLLIARKTCGSTEQQLSIVAPKDSRLANPIAEDFQQKDGP -KVTLSMLIETAEYWSKQDIKNIDDSRLRALLTLCAVMTRKFSKSQLSLLCESHLRREGLGQDQSESVLEV -YQRLHSDKGGNFEAALWQQWDRQSLIMFITAFLNIALQLPCESSSVVISGLRMLIPQSEATEVVTPSETC -TWSEGGSSH - ->YP_001531157.1 minor nucleoprotein [Marburg marburgvirus] -MQQPRGRSRTRNHQVTPTIYHETQLPSKPHYTNYHPRARSMSSTRSSAESSPTNHIPRARPPSTFNLSKP -PPPPKDMCRNMKIGLPCADPTCNRDHDLDNLTNRELLLLMARKMLPNTDKTFRSPQDCGSPSLSKGLSKD -KQEQTKDVLTLENLGHILSYLHRSEIGKLDETSLRAALSLTCAGIRKTNRSLINTMTELHMNHENLPQDQ -NGVIKQTYTGIHLDKGGQFEAALWQGWDKRSISLFVQAALYVMNNIPCESSISVQASYDHFILPQSQGKG -Q - ->YP_138525.1 minor nucleoprotein [Sudan ebolavirus] -MERGRERGRSRNSRADQQNSTGPQFRTRSISRDKTTTDYRSSRSTSQVRVPTVFHKKGTGTLTVPPAPKD -VCPTLRKGFLCDSNFCKKDHQLESLTDRELLLLIARKTCGSTDSSLNIAAPKDLRLANPTADDFKQDGSP -KLTLKLLVETAEFWANQNINEVDDAKLRALLTLSAVLVRKFSKSQLSQLCESHLRRENLGQDQAESVLEV -YQRLHSDKGGAFEAALWQQWDRQSLTMFISAFLHVALQLSCESSTVVISGLRLLAPPSVNEGLPPAPGEY -TWSEDSTT - ->NP_690585.1 minor nucleoprotein [Reston ebolavirus] -MEHSRERGRSSNMRHNSREPYENPSRSRSLSRDPNQVDRRQPRSASQIRVPNLFHRKKTDALIVPPAPKD -ICPTLKKGFLCDSKFCKKDHQLDSLNDHELLLLIARRTCGIIESNSQITSPKDMRLANPTAEDFSQGNSP -KLTLAVLLQIAEHWATRDLRQIEDSKLRALLTLCAVLTRKFSKSQLGLLCETHLRHEGLGQDQADSVLEV -YQRLHSDKGGNFEAALWQQWDRQSLIMFISAFLNIALQIPCESSSVVVSGLATLYPAQDNSTPSEATNDT -TWSSTVE - ->NP_066249.1 minor nucleoprotein [Zaire ebolavirus] -MEASYERGRPRAARQHSRDGHDHHVRARSSSRENYRGEYRQSRSASQVRVPTVFHKKRVEPLTVPPAPKD -ICPTLKKGFLCDSSFCKKDHQLESLTDRELLLLIARKTCGSVEQQLNITAPKDSRLANPTADDFQQEEGP -KITLLTLIKTAEHWARQDIRTIEDSKLRALLTLCAVMTRKFSKSQLSLLCETHLRREGLGQDQAEPVLEV -YQRLHSDKGGSFEAALWQQWDRQSLIMFITAFLNIALQLPCESSAVVVSGLRTLVPQSDNEEASTNPGTC -SWSDEGTP - ->sp|P41326.1|VP30_MABVP RecName: Full=Transcriptional activator VP30; AltName: Full=Minor nucleoprotein VP30 -MQQPRGRSRTRNHQTASSIYHETQLPSKPHYTNHHPRARSMSSTRSSAESSPTNHIPRARPPPTFNLSKP -PPPPKDMCRNMKIGLPCTDPTCNRDHDLDNLTNRELLLLMARKMLPNTDKTFRSLQDCGSPSLSKGLSKD -KQEQTKDVLTLENLGHILNYLHRSDIGKLDETSLRAALSLTCAGIRKTNRSLINTMTELHINHENLPQDQ -NGVIKQTYTGIHLDKGGQFEAALWQGWDKRSISLFVQAALYVMNNIPCESSTSVQASYDHFILPQSQSKG -Q - ->sp|Q1PD56.1|VP30_MABVA RecName: Full=Transcriptional activator VP30; AltName: Full=Minor nucleoprotein VP30 -MQQPRGRSRTRNHQATPSIYHETQLPSKPHYTNHHPRARSMSSTRSSAESSPTNHIPRARPPSTFNLSKP -PPPPKDMCRNMKIGLPCTDPTCNRDHDLDNLTNRELLLLMARKMLPNTDKTFRSPQDCGSPSLSKGLSKD -KQEQTKDVLTLENLGHILSYLHRSEIGKLDETSLRAALSLTCAGIRKTNRSLINTMTELHINHENLPQDQ -NGVIKQTYTGIHLDKGGQFEAALWQGWDKRSISLFVQAALYVMNNIPCESSISVQASYDHFILPQSQGKG -Q - ->sp|Q6UY65.1|VP30_MABVO RecName: Full=Transcriptional activator VP30; AltName: Full=Minor nucleoprotein VP30 -MQQPRGRSRTRNHQAIPSIYHETQLPSKPNYTNHHPRARSMSSTRSSTESSPTNHIPRARPPSTFNLSKP -PPPPKDMCRNMKIGLPCTDLTCNRDHDLDNLTNRELLLLMARKMLPNTDKVFKSPQDCGSPSLSKGLSKD -KQEQTKDVLTLENLGHILNYLHRSEIGKLDETSLRAALSLTCAGIRKTNRSLINTMTELHINHENLPQDQ -NGVIKQTYTGIHLDKGGQFEAALWQGWDKRSISLFVQAALYVMNNIPCESSISVQASYDHFILPQSQGKG -Q - diff --git a/seq/clusters_seq/cluster_357 b/seq/clusters_seq/cluster_357 deleted file mode 100644 index 1b1c705..0000000 --- a/seq/clusters_seq/cluster_357 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_010085102.1 NSs [Maguari virus] -MMSLLTPAVLLTQRLHTLTLSVSTPLGLVMTTFESSTLKDARLKLVSQKEVSGRLRLTLGAGRLLYLIQI -FLATGTVQFQTMVLPSTDSVDSLPGTYLRKF - ->YP_010085085.1 NSs protein [Anadyr virus] -MMSLLTPAVLLTQRLHTLTLSVSTPLGLVMTTFESSTLKDARLKLVSQREVSGRLRLTLGAGRLLYLIQI -FLETGTVQFQTMVLPSTDSVDSLPGTYLRRF - ->YP_009667000.1 Non-structural S [Bozo virus] -MMSLLTPAVLLTQRQHTLTLSVSTPLGLVTTTYESSTLKGERLKLVSQKEVNGKLHLTLGAGRLLYIIRI -FLATGTVQFLTMVLPSTDSVDSLPGIYLKKC - ->YP_009666995.1 Non-structural S [Birao virus] -MMSLLTPAVLLTQRSHMLTLSVSTPMGLVMTTYESSTLKDARLKLVSQREVNGRLHLTLGAGRLLYTIRI -FLATGTTQFLTTVLPSTASVDSLPGIYLKKC - ->YP_009666987.1 NSs [Potosi virus] -MMSLLTPAVLLTQRLHTLTLSVSTPLGLVMTTFESSTLKDARLKLVSQKEVNGRLRLTLGAGRLLYLIQI -FLATGTVQFQTMVLPSTDSVDTLPGTYLRKY - ->YP_009666948.1 nonstructural protein NSs [Fort Sherman virus] -MMSLLTPAVLLTQRLHTLTLSVSTPLGLVMTTFESSTLKDARLKLVSQKEVNGRLRLTLGAGRLLYLIQI -FLATGTVQFQTMVLPSTDSVDSLPGTYLRRF - ->YP_009666914.1 nonstructural protein [Ilesha virus] -MMSLLTPAVLLTQRSHTLTLSVSTPMGLTTTTYESSTLKDARLKLVSQKEVNGRLHLTLGAGRLLYIIRI -FLATGTTQFLTMVLPSTASVDTLPGTYLRRC - ->YP_009666908.1 non-structural protein NSs [Batai virus] -MSLLTPAVLLTQRSHTLTLSVSTPLGLVMTTYESSTLKEERLKLVSQKEVNGKLHLTLGAGRLLYIIRIF -LATGTTQFLTMVLPSTASVDTLPGTYLRRL - ->YP_009666867.1 non-structural protein [Tensaw virus] -MMSLLTPAVLLTLRSDTLILSVSTPLGLVMTTYESSTLKDVRLKLVSQKEVNGRLRLTLGGGRLLYLIQI -FLATGTVQFQTMVLPSTGSVDSLPGIYLRRS - ->YP_009362059.1 nonstructural protein NSs [Guaroa virus] -MLLKMQQVHLTRSSNMLLLNVQTQQGLITTILESSISMGRDPKILSLREVNNRLFLTLEAGEFLWLIHIF -LETGTVQSSMIHSHFIE - ->YP_009508269.1 NSs protein [Main Drain virus] -MMSLLTPAVLLTQRSHTLVLSVSTPLGLVTTTFESSTLKDERLKLVSQREVNGRLRLTLGAGRLLYLIQI -FLATGTVQFQTMVLPSTDSVDSLPGTYLRKY - ->YP_009507884.1 Non-structural protein NSs [Kairi virus] -MMSLLTPAVLLIQKPGMLRLSVDTPQGLIMTTYESSFLTERRLKILSQREVRQQLRLTLGAGRYLWLIRI -FLRTGTCQFQMMVLPSTESVDILPGTYLTEYTLLENQRN - ->NP_047214.1 NSs protein [Bunyamwera virus] -MMSLLTPAVLLTQRSHTLTLSVSTPLGLVMTTYESSTLKDARLKLVSQKEVNGKLHLTLGAGRLLYIIRI -FLATGTTQFLTMVLPSTASVDSLPGTYLRRC - ->sp|P16992.1|NSS_BUNGE RecName: Full=Non-structural protein NS-S -MSLITSGVLLTQSQDTLTFSVTTCQGLRLTKFASSTLKDARLKIVSQKEVNGKLRLTLGAGRYLYSIRIS -LETGTMQCLTTVLPSTVSVDTLPGTYLESTLQRQNQKSS - diff --git a/seq/clusters_seq/cluster_358 b/seq/clusters_seq/cluster_358 deleted file mode 100644 index 5cf552a..0000000 --- a/seq/clusters_seq/cluster_358 +++ /dev/null @@ -1,148 +0,0 @@ ->YP_009518857.1 fusion glycoprotein [Human orthopneumovirus] -MELLILKANAITTILTAVTFCFASGQNITEEFYQSTCSAVSKGYLSALRTGWYTSVITIELSNIKENKCN -GTDAKVKLIKQELDKYKNAVTELQLLMQSTPPTNNRARRELPRFMNYTLNNAKKTNVTLSKKRKRRFLVF -LLGVGSAIASGVAVSKVLHLEGEVNKIKSALLSTNKALVSLSNGVSVLTSKVLDLKNYIDKQLLPIVNKQ -SCSISNIETVIEFQQKNNRLLEITREFSVNAGVTTPVSTYMLTNSELLSLINDMPITNDQKKLMSNNVQI -VRQQSYSIMSIIKEEVLAYVVQLPLYGVIDTPCWKLHTSPLCTTNTKEGSNICLTRTDRGWYCDNAGSVS -FFPQAETCKVQSNRVFCDTMNSLTLPSEINLCNVDIFNPKYDCKIMTSKTDVSSSVITSLGAIVSCYGKT -KCTASNKNRGIIKTFSNGCDYVSNKGMDTVSVGNTLYYVNKQEGKSLYVKGEPIINFYDPLVFPSDEFDA -SISQVNEKINQSLAFIRKSDELLHNVNAGKSTTNIMITTIIIVIIVILLSLIAVGLLLYCKARSTPVTLS -KDQLSGINNIAFSN - ->YP_009505455.1 fusion glycoprotein [Bovine respiratory syncytial virus ATCC51908] -MATTAMRMIISIIFISTYVTHITLCQNITEEFYQSTCSAVSRGYLSALRTGWYTSVVTIELSKIQKNVCN -STDSKVKLIKQELERYNNAVVELQSLMQNEPASFSRAKRGIPELIHYTRNSTKKFYGLMGKKRKRRFLGF -LLGIGSAIASGVAVSKVLHLEGEVNKIKNALLSTNKAVVSLSNGVSVLTSKVLDLKNYIDKELLPKVNNH -DCRISKIETVIEFQQKNNRLLEIAREFSVNAGITTPLSTYMLTNSELLSLINDMPITNDQKKLMSSNVQI -VRQQSYSIMSVVKEEVIAYVVQLPIYGVIDTPCWKLHTSPLCTTDNKEGSNICLTRTDRGWYCDNAGSVS -FFPQTETCKVQSNRVFCDTMNSLTLPTDVNLCNTDIFNTKYDCKIMTSKTDISSSVITSIGAIVSCYGKT -KCTASNKNRGIIKTFSNGCDYVSNKGVDTVSVGNTLYYVNKLEGKALYIKGEPIINYYDPLVFPSDEFDA -SIAQVNAKINQSLAFIRRSDELLHSVDVGKSTTNVVITTIIIVIVVVILMLIAVGLLFYCKTKSTPIMLG -KDQLSGINNLSFSK - ->YP_009094042.1 fusion protein [Pneumovirus dog/Bari/100-12/ITA/2012] -MIPGRIFLVLLLIFNTKPIHPNTLTEKFYESTCSVETAGYKSALRTGWHMTVMSIKLSQINIESCKSSNS -LLAHELAIYSSAVDELRTLSSNALKSKRKKRFLGLILGLGAAVTAGVALAKTVQLESEIALIREAVRNTN -EAVVSLTNGMSVLAKVVDDLKNFISEELLPKINRVSCDVHDITAVIRFQQLNKRLLEVSREFSSNAGLTH -TVSSFMLTDRELTSIVGGMAVSAGQKEIMLSSRAIMRRNGLAILSSVNADTLVYIIQLPLFGVMDTDCWV -IRSSIDCHNIADKYACLARADNGWYCHNAGSLSYFPSPTDCEIHNGYVFCDTLKSLTVPVTSRECNSNMY -TTNYDCKISTSKTYVSTAVLTTMGCLVSCYGHNSCTVINNDKGIIRTLPDGCHYISNKGVDKVQVGNTVY -YLSKEVGKSIVVRGEPLVLKYDPLSFPDDKFDVAIRDVEHSINQTRTFLKASNQLLDLSENRENKSLNKS -YILTTLLIVVMLIIIMAVIGFILYKVLKIIRDNKLKSKSTPGLTVLS - ->YP_173332.1 fusion glycoprotein [Pneumonia virus of mice J3666] -MIPGRIFLVLLVIFNTKPIHPNTLTEKFYESTCSVETAGYKSALRTGWHMTVMSIKLSQINIESCKSSNS -LLAHELAIYSSAVDELRTLSSNALKSKRKKRFLGLILGLGAAVTAGVALAKTVQLESEIALIRDAVRNTN -EAVVSLTNGMSVLAKVVDDLKNFISKELLPKINRVSCDVHDITAVIRFQQLNKRLLEVSREFSSNAGLTH -TVSSFMLTDRELTSIVGGMAVSAGQKEIMLSSRAIMRRNGLAILSSVNADTLVYVIQLPLFGVMDTDCWV -IRSSIDCHNIADKYACLARADNGWYCHNAGSLSYFPSPTDCEIHNGYVFCDTLKSLTVPVTSRECNSNMY -TTNYDCKISTSKTYVSTAVLTTMGCLVSCYGHNSCTVINNDKGIIRTLPDGCHYISNKGVDRVQVGNTVY -YLSKEVGKSIVVRGEPLVLKYDPLSFPDDKFDVAIRDVEHSINQTRTFLKASDQLLDLSENRENKNLNKS -YILTTLLFVVMLIIIMAVIGFILYKVLKMIRDNKLKSKSTPGLTVLS - ->NP_056863.1 fusion glycoprotein [Human orthopneumovirus] -MELLIHRLSAIFLTLAINALYLTSSQNITEEFYQSTCSAVSRGYFSALRTGWYTSVITIELSNIKETKCN -GTDTKVKLIKQELDKYKNAVTELQLLMQNTPAANNRARREAPQYMNYTINTTKNLNVSISKKRKRRFLGF -LLGVGSAIASGIAVSKVLHLEGEVNKIKNALLSTNKAVVSLSNGVSVLTSKVLDLKNYINNQLLPIVNQQ -SCRISNIETVIEFQQKNSRLLEINREFSVNAGVTTPLSTYMLTNSELLSLINDMPITNDQKKLMSSNVQI -VRQQSYSIMSIIKEEVLAYVVQLPIYGVIDTPCWKLHTSPLCTTNIKEGSNICLTRTDRGWYCDNAGSVS -FFPQADTCKVQSNRVFCDTMNSLTLPSEVSLCNTDIFNSKYDCKIMTSKTDISSSVITSLGAIVSCYGKT -KCTASNKNRGIIKTFSNGCDYVSNKGVDTVSVGNTLYYVNKLEGKNLYVKGEPIINYYDPLVFPSDEFDA -SISQVNEKINQSLAFIRRSDELLHNVNTGKSTTNIMITTIIIVIIVVLLSLIAIGLLLYCKAKNTPVTLS -KDQLSGINNIAFSK - ->YP_009513268.1 fusion protein [Human metapneumovirus] -MSWKVVIIFSLLITPQHGLKESYLEESCSTITEGYLSVLRTGWYTNVFTLEVGDVENLTCADGPSLIKTE -LDLTKSALRELRTVSADQLAREEQIENPRQSRFVLGAIALGVATAAAVTAGVAIAKTIRLESEVTAIKNA -LKKTNEAVSTLGNGVRVLATAVRELKDFVSKNLTRAINKNKCDIADLKMAVSFSQFNRRFLNVVRQFSDN -AGITPAISLDLMTDAELARAVSNMPTSAGQIKLMLENRAMVRRKGFGFLIGVYGSSVIYMVQLPIFGVID -TPCWIVKAAPSCSGKKGNYACLLREDQGWYCQNAGSTVYYPNEKDCETRGDHVFCDTAAGINVAEQSKEC -NINISTTNYPCKVSTGRHPISMVALSPLGALVACYKGVSCSIGSNRVGIIKQLNKGCSYITNQDADTVTI -DNTVYQLSKVEGEQHVIKGRPVSSSFDPVKFPEDQFNVALDQVFESIENSQALVDQSNRILSSAEKGNTG -FIIVIILIAVLGSTMILVSVFIIIKKTKKPTGAPPELSGVTNNGFIPHN - ->YP_009513223.1 F [Avian metapneumovirus] -MDVRICLLLFLISNPSSCIQETYNEESCSTVTRGYKSVLRTGWYTNVFNLEIGNVENITCNDGPSLIDTE -LVLTKNALRELKTVSADQVAKESRLSSPRRRRFVLGAIALGVATAAAVTAGVALAKTIRLEGEVKAIKNA -LRNTNEAVSTLGNGVRVLATAVNDLKEFISKKLTPAINQNKCNIADIKMAISFGQNNRRFLNVVRQFSDS -AGITSAVSLDLMTDDELVRAINRMPTSSGQISLMLNNRAMVRRKGFGILIGVYDGTVVYMVQLPIFGVIE -TPCWRVVAAPLCRKRRGNYACILREDQGWYCTNAGSTAYYPNKDDCEVRDDYVFCDTAAGINVALEVDQC -NYNISTSKYPCKVSTGRHPVSMVALTPLGGLVSCYESVSCSIGSNKVGIIKQLGKGCTHIPNNEADTITI -DNTVYQLSKVVGEQRTIKGAPVVNNFNPILFPVDQFNVALDQVFESIDRSQDLIDKSNDLLGADAKSKAG -IAIAIVVLVILGIFFLLAVIYYCSRVRKTKPKHDYPATTGHSSMAYVS - ->NP_044596.1 Fusion protein (F) [Respiratory syncytial virus] -MELPILKTNAITAILAAVTLCFASSQNITEEFYQTTCSAVSKGYLSALRTGWYTSVITIELSNIKENKCN -GTDAKVKLIKQELDKYKSAVTELQLLMQSTPATNNRARRELPRFMNYTLNNTKNTNVTLSKKRKRRFLGF -LLGVGSAIASGIAVSKVLHLEGEVNKIKSALLSTNKAVVSLSNGVSVLTSKVLDLKNYIDKQLLPIVNKQ -SCSISNIETVIEFQQKNNRLLEITREFSVNAGVTTPVSTYMLTNSELLSLINDMPITNDQKKLMSNNVQI -VRQQSYSIMSIIKEEVLAYVVQLPLYGVIDTPCWKLHTSPLCTTNTKEGSNICLTRTDRGWYCDNAGSVS -FFPLAETCKVQSNRVFCDTMNSLTLPSEVNLCNIDIFNPKYDCKIMTSKTDVSSSVITSLGAIVSCYGKT -KCTASNKNRGIIKTFSNGCDYVSNKGVDTVSVGNTLYYVNKQEGKSLYVKGEPIINFYDPLVFPSDEFDA -SISQVNEKINQSLAFIRKSDELLHNVNAGKSTINIMITTIIIVIIVILLSLIAVGLLLYCKARSTPVTLS -KDQLSGINNIAFSN - ->sp|Q6WB98.1|FUS_HMPVC RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MSWKVVIIFSLLITPQHGLKESYLEESCSTITEGYLSVLRTGWYTNVFTLEVGDVENLTCSDGPSLIKTE -LDLTKSALRELKTVSADQLAREEQIENPRQSRFVLGAIALGVATAAAVTAGVAIAKTIRLESEVTAIKNA -LKTTNEAVSTLGNGVRVLATAVRELKDFVSKNLTRAINKNKCDIDDLKMAVSFSQFNRRFLNVVRQFSDN -AGITPAISLDLMTDAELARAVSNMPTSAGQIKLMLENRAMVRRKGFGILIGVYGSSVIYMVQLPIFGVID -TPCWIVKAAPSCSGKKGNYACLLREDQGWYCQNAGSTVYYPNEKDCETRGDHVFCDTAAGINVAEQSKEC -NINISTTNYPCKVSTGRHPISMVALSPLGALVACYKGVSCSIGSNRVGIIKQLNKGCSYITNQDADTVTI -DNTVYQLSKVEGEQHVIKGRPVSSSFDPIKFPEDQFNVALDQVFENIENSQALVDQSNRILSSAEKGNTG -FIIVIILIAVLGSSMILVSIFIIIKKTKKPTGAPPELSGVTNNGFIPHS - ->sp|P22167.1|FUS_BRSVC RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Flags: Precursor -MAATAMRMIISIIFISTYMTHITLCQNITEEFYQSTCSAVSRGYLSALRTGWYTSVVTIELSKIQKNVCK -STDSKVKLIKQELERYNNAVIELQSLMQNEPASFSRAKRGIPELIHYTRNSTKRFYGLMGKKRKRRFLGF -LLGIGSAIASGVAVSKVLHLEGEVNKIKNALLSTNKAVVSLSNGVSVLTSKVLDLKNYIDKELLPKVNNH -DCRISNIETVIEFQQKNNRLLEIAREFSVNAGITTPLSTYMLTNSELLSLINDMPITNDQKKLMSSNVQI -VRQQSYSIMSVVKEEVIAYVVQLPIYGVIDTPCWKLHTSPLCTTDNKEGSNICLTRTDRGWYCDNAGSVS -FFPQAETCKVQSNRVFCDTMNSLTLPTDVNLCNTDIFNTKYDCKIMTSKTDISSSVITSIGAIVSCYGKT -KCTASNKNRGIIKTFSNGCDYVSNKGVDTVSVGNTLYYVNKLEGKALYIKGEPIINYYDPLVFPSDEFDA -SIAQVNAKINQSLAFIRRSDELLHSVDVGKSTTNVVITTIIIVIVVVILMLIAVGLLFYCKTRSTPIMLG -KDQLSGINNLSFSK - ->sp|Q2Y2M3.1|FUS_AMPV1 RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MSWKVVLLLVLLATPTGGLEESYLEESCSTVTRGYLSVLRTGWYTNVFTLEVGDVENLTCTDGPSLIRTE -LELTKNALEELKTVSADQLAKEARIMSPRKARFVLGAIALGVATAAAVTAGVAIAKTIRLEGEVAAIKGA -LRKTNEAVSTLGNGVRVLATAVNDLKDFISKKLTPAINKNKCDISDLKMAVSFGQYNRRFLNVVRQFSDN -AGITPAISLDLMTDAELVRAVSNMPTSSGQINLMLENRAMVRRKGFGILIGVYGSSVVYMVQLPIFGVID -TPCWKVKAAPLCSGKDGSYACLLREDQGWYCQNAGSTVYYPNEEDCEVRSDHVFCDTAAGINVAKESEEC -NRNISTTKYPCKVSTGRHPISMVALSPLGALVACYDGVSCSIGSNKVGIIRPLGKGCSYISNQDADTVTI -DNPVYQLSKVEGEQHTIKGKPVSSNFDPIEFPEDQFNIALDQVFESVEKSKNLIDQSNKILDSTEKGNAG -FVMVIVLIVLLMLAAVGVGIFFVVKKRKAAPKFPMEMNGVNNKGFIP - ->sp|P29791.1|FUS_BRSVA RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Flags: Precursor -MATTTMRMIISIILISTYVPHITLCQNITEEFYQSTCSAVSRGYLSALRTGWYTSVVTIELSKIQKNVCN -GTDSKVKLIKQELERYNNAVAELQSLMQNEPTSSSRAKRGIPESIHYTRNSTKKFYGLMGKKRKRRFLGF -LLGIGSAIASGVAVSKVLHLEGEVNKIKNALLSTNKAVVSLSNGVSVLTSKVLDLKNYIDKELLPKVNNH -DCRISNIATVIEFQQKNNRLLEIAREFSVNAGITTPLSTYMLTNSELLSIINDMPITNDQKKLMSVCQIV -RQQSYSIMSVLREVIAYVVQLPLYGVIDTPCWKLHTSPLCTTDNKEGSNICLTRTDRGWYCDNAGSVSFF -PQAETCKVQSNRVFCDTMNSLTLPTDVNLCNTDIFNSKYDCKIMTSKTDISSSVITSIGAIVSCYGKTKC -TASNKNRGIIKTFSNGCDYVSNKGVDTVSVGNTLYYVNKLEGKALYIKGEPIINYYNPLVFPSDEFDASI -AQVNAKINQSLAFIRRSDELLHSVDVGKSTTNVVITTIIIVIVVVILMLITVGLLFYCKTRSTPIMLGKD -QLSSINNLSFSK - ->sp|P12568.1|FUS_HRSVL RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MELPILKANAITTILAAVTFCFASSQNITEEFYQSTCSAVSKGYLSALRTGWYTSVITIELSNIKENKCN -GTDAKVKLIKQELDKYKNAVTELQLLMQSTPAANNRARRELPRFMNYTLNNTKKTNVTLSKKRKRRFLGF -LLGVGSAIASGTAVSKVLHLEGEVNKIKSALLSTNKAVVSLSNGVSVLTSKVLDLKNYIDKQLLPIVNKQ -SCRISNIETVIEFQQKNNRLLEITREFSVNAGVTTPVSTYMLTNSELLSLINDMPITNDQKKLMSNNVQI -VRQQSYSIMSIIKEEVLAYVVQLPLYGVIDTPCWKLHTSPLCTTNTKEGSNICLTRTDRGWYCDNAGSVS -FFPQAETCKVQSNRVFCDTMNSLTLPSEVNLCNVDIFNPKYDCKIMTSKTDVSSSVITSLGAIVSCYGKT -KCTASNKNRGIIKTFSNGCDYASNKGVDTVSVGNTLYYVNKQEGKSLYVKGEPIINFYDPLVFPSDEFDA -SISQVNEKINQSLAFIRKSDELLHHVNAGKSTTNIMITTIIIVIIVILLSLIAVGLLLYCKARSTPVTLS -KDQLSGINNIAFSN - ->sp|P23728.1|FUS_BRSVR RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Short=F2; Contains: RecName: Full=p27; AltName: Full=Intervening segment; AltName: Full=Pep27; AltName: Full=Peptide 27; Contains: RecName: Full=Fusion glycoprotein F1; Short=F1; Flags: Precursor -MATTAMRMIISIIFISTYVTHITLCQNITEEFYQSTCSAVSRGYLSALRTGWYTSVVTIELSKIQKNVCN -STDSNVKLIKQELERYNNAVVELQSLMQNEPASSSRAKRGIPELIHYKRNSTKKFYGLMGKKRKRRFLGF -LLGIGSAIASGVAVSKVLHLEGEVNKIKNALLSTNKAVVSLSNGVSVLTSKVLDLKNYIDKELLPKVNNH -DCKISNIATVIEFQQKNNRLLEIAREFSVNAGITTPLSTYMLTNSELLSLINDMPITNDQKKLMSSNVQI -VRQQSYSIMSVVKEEVMAYVVQLPIYGVIDTPCWKLHTSPLCTTDNKEGSNICLTRTDRGWYCDNAGSVS -FFPQAETCKVQSNRVFCDTMNSLTLPTDVNLCNTDIFNAKYDCKIMTSKTDISSSVITSIGAIVSCYGKT -KCTASNKNRGIIKTFSNGCDYVSNRGVDTVSVGNTLYYVNKLEGKALYIKGEPIINYYDPLVFPSDEFDA -SIAQVNAKINQSLAFIRRSDELLHSVDVGKSTTNVVITTIIIVIVVVILMLIAVGLLFYSKTRSTPIMLG -KDQLSGINNLSFSK - diff --git a/seq/clusters_seq/cluster_359 b/seq/clusters_seq/cluster_359 deleted file mode 100644 index 8803258..0000000 --- a/seq/clusters_seq/cluster_359 +++ /dev/null @@ -1,83 +0,0 @@ ->YP_009725317.1 VP2 [Norovirus GIV] -MAGALVAGIAGDLLGSAVNGLVGAGANAINQSVEFGYNQALQSNSFRHDKEMLAMQVAATRQLQSDLIGV -REQALRKGGFTDTDAARGAIGAPMTTLVDWNGTRLSAPGAMHTSAYSGRFVPQVAPRREVRAPLAHAIPS -SPTPARLELESASIASSSWGQPVASNTTSSTSLSRSSGSAASADRVNGWVSEQNRLAPFHADALRLTWGS -SGSSRSSSLASTVDGAVLDSWTPAFNLRRQPLFARFHPRGASNA - ->YP_009700101.1 VP2 [Norovirus GIV] -MAANIFAGIAGDILGSTVSGLVNAGANAINQGVEFGFNQALQENSFRHDRDMLERQVAATRQLQSDLIAV -REQALRRGGFSDADAARGAVGGPMTKLVDWNGTRLAAPGAMHTTAYSGRFISAPQRTPHTYGTSKTQQTS -TGTPLQSDTSSVVSQPTTSTSLSRTRTQSWVSEQQRLQPFHPNALQLTWGSVPSSSGSSVRSASTVSGTV -LDSWTPAFNLKHQPLFARFHPRGASNV - ->YP_009701447.1 VP2 [Norovirus GII] -MAGAFLAGLAGDIVTNSVGSLVNAGASAINQKIDFENNKYLQGESFKHDKEMLNAQIEATKKLQMDMINI -KQGVLSAGGFSPTDAARGAINAPMTKVLDWNGTRYWAPNAPVTTSLSGGFTGQAVHRPTPNFGSNQIPKT -MSSRGTSVRSNSTQLTSLGSQSSGSSRSSVTTVSSSLPSTNRTREWVNQQNLNLEPHLPGSLRTAFVTPP -SSTASSSGTVSTVPKGVLDSWTSAFNTRRQPLFAHLRRRGESNV - ->YP_009555234.1 VP2 [Norovirus GII] -MASAFLAGLVGDVITNGVGSLINAGANAVNQKVEYDFNKQLQMASFKHDKEMLQSQVLATKQLQQEMMNI -RQGVLTAGGFSPADAARGAVNAPMTKILDWNGTRYWAPNSMKTTSYSGQFSSSPVHKSPAPSHTALPKSR -LQNDSASVYSFPSSASSQSTHSTVLSAGTGSSRSTSTSTATPTLSRTSDWVRGQNERLSPFMDGALQTAF -VTPPSSKASSNGTVSTVPKAVLDSWTPMFNTHRQPLFAHLRRRGESQI - ->YP_009518843.1 VP2 [Norovirus GII] -MAGAFFAGLASDVLGSGLGSLINAGAGAINQKVEFENNRKLQQASFQFSSNLQQASFQHDKEMLQAQIEA -TKKLQQEMMKVKQAMLLEGGFSETDAARGAINAPMTKALDWSGTRYWAPDARTTTYNAGRFSTPQPSGAL -PGRANLRDAVPARGPSNKSSNSSTATSVYSNQTISTRLGSTAGSGTSVSSLPSTARTRSWVEDQSRNLSP -FMRGAHNISFVTPPSSRSSSQGTVSTVPKEILDSWTGAFNTRRQPLFAHIRKRGESRA - ->YP_009679042.1 VP2 minor structural protein [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -MASAILGAIGGAGLDVVTNSLSSLAQAGAQSILQHNEFQYNQALQQNSFLHDKEMLAAQVQATKDLQGAM -LGIKHQALLAGGFSNTDAARGSIGAPMTKLVDWNGTRYWAPGAMSTTTFSGTPTPILRGPPVPLPSRQSA -PSLYSSSWGAPQASRTTVTSRLTPSSRGSLSSTGDGGGTMTSASSLGTWSSIRTPEPPRVVEPFLPGSLR -IAPSPSVSSVGSVSTVNQSLVDSWKPPPRARSSVSSGSVSTVDLAVLDSWKPSSRRMPLFFNLRRGHAE - ->YP_009518837.1 VP2 [Norovirus GII.17] -MAGAFIAGLAGDMLTSSVGSLVNAGANAINQKIDFENNKQLQSASFQHDKEMLQAQVRATKQLQSEMIAL -KQGVLAAGGFSPTDAARGSIGAPMTKVLDWSGTRYWAPNSTKTTGYSGQFTSSPVHMSSPNAPQSKPAKP -RSLAPSSSSSSVYSMYTQSTHLTSGSSSNASSASTKLTNLSSGSSQNRTAEWVNQQRSLSPFMSGALNIS -HVTPPSSRASSSGTVSTVPKEVLDSWTSAFNTHRQPLFAHLRVRGESRV - ->YP_009237905.1 VP2 [Norovirus GIV] -MASSIMAGIAGDVLGSVVGGLVGAGANAINQSVEFGYNQALQSNAFQHDKDMLALQVAATRQLQSDLINL -REQVLRKGGFSDTDAARGAIGAPMSRLVDWNGTRLSAPGSMHTTSYSGRFVGTTRQQPHFTPPPQTNHVR -IDDEVSSVSSLPTAVTSVPSSRTADWVHSQRQLSSWGSSASQHSQLEPFHPNALRVAWGSTPSSSSRAST -VDGSVIDSWTPAFNLKHQPFFARFHPRGASNV - ->YP_009679039.1 VP2 [Norovirus GII/Hu/JP/2011/GII/Yuzawa/Gira2HS] -MAGAFFAGLGADLLTTGVSSLVSAGATAINQRAEFEYNKALQQSSFQHDKEMLQAQIAATANLQKQMIDI -KREILTQGGFSPTDAARGSVGANMTQILDWSGTRYYAPGAMRTTPYSGNFLRQSVPHTTPKANYRQAGQD -PSTESLYSGSWSGSYKSGSTNTTAVSASTASSRTSDWVSQHSNLAPFHENALRTAYVSPPSTVSSHSVST -VRGSLLDSWTPAFNTHRQPAFAHLRKRGISEA - ->YP_009679036.1 VP2 [Norovirus GII/Hu/JP/2007/GII.P15_GII.15/Sapporo/HK299] -MAGAFFAGLASDVLGSGLSSLIGAGANAINQKVEYDYNRHLQSASFQHDKDMLSSQVEATKHLQREMMAI -KEGMLLKGGFSPTDAARGAVNAPMTQILDWNGTRYWAPGAMKTTAYSGRFVSQSTHRPIPNVVHKSEGST -HQTGSSTGSISSLSTSSTRVPSSTSSSSSTSRTRDWVSEQQRLSPFMRGSLQTAYVTPPSSSASSVSSVS -TVPHYVLDSWTPSFNTKHLPLFAHVKKRGQSQV - ->YP_009237899.1 VP2 [Norwalk-like virus] -MAGAFIAGLAGDIVTNGIGSLVNAGANAINQKVDFENNKQLQQASFNHDKEMLQAQVQATKQLQADMIAI -RQGVLTAGGFSPTDAARGAVNAPMTQVLDWNGTRYWAPGATKTTTFSGGFTNVSHARTVDLTKKTSATPA -PAPVSRPSSVASTVSTRSTLISGSSNPSSLARSSSSVSSQPTSSSSRTSEWVRSQNRALEPYMRGALRTA -YVTPPSSRASSNGTVSTVPKEVLDSWTSAFNTHRQPLFAHLRRRGESQV - ->YP_009518840.1 VP2 [Norovirus GII.2] -MAGAFVAGLAGDVLSNGLSSLINAGANAINQRAEFDFNQKLQQNSFNHDKEMLQAQIQATKQLQADMMAI -KQGVLTAGGFSPADAARGAVNAPMTQALDWNGTRYWAPNSMRTTSYSGKFTSTAPVRQADFQHTQSRPSS -GSSVSSFATQSSRPTLTTTTGSSHGTASSNSTRTTSLPQSTVSTATSRTGEWIKDQNKNLEPYMDGALKT -AFVTPPSRRASDGTVSTVPKGVLDSWTPAFNTRKQPLFAHLRKREESQA - ->YP_720003.1 VP2 [Norovirus GV] -MAGALFGAIGGGLMGIIGNSISNVQNLQANKQLAAQQFGYNSSLLATQIQAQKDLTLMGQQFNQQLQTNS -FKHDLEMLGAQVQAQAQAQENAINIKTAQLQAAGFSKTDAARLALGQQPTRAVDWSGTRYYTANQPVTGF -SGGFTPTYTPGRQVTSRPVDTSPLPISGGRLPSLRGGSWSPRDHTPATQGTYTNGRFVSLPKIGSSRA - ->sp|P54636.1|VP2_LORDV RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MAGAFFAGLASDVLGSGLGSLINAGAGAINQKVEFENNRKLQQASFQFSSTLQQASFQHDKEMLQAQIEA -TQKLQQDLMKVKQAVLLEGGFSTADAARGAINAPMTKALDWSGTRYWAPDARVTTYNAGHFSTPQSLGAL -TGRTNSRVSAPARSSPSALSNAPTATSLHSNQTVSTRLGSSAGSGTGVSSLSSAARTRSWVEDQNRNLSP -FMRGALNTSFVTPPSSRSSSQSTVSTVPKEILDSWTGAFNTRRQPLFAHIRKRGESRV - diff --git a/seq/clusters_seq/cluster_36 b/seq/clusters_seq/cluster_36 deleted file mode 100644 index 21baafd..0000000 --- a/seq/clusters_seq/cluster_36 +++ /dev/null @@ -1,1205 +0,0 @@ ->YP_009667032.1 structural polyprotein [Marine RNA virus BC-4] -MVGIANKTTNVSVCTCEKTQTLKPQSGMETYADGTTNDNSVMKISGAGKYENVCFSDQVDPYAYNVESIM -DPTRKLQDTGDATLQNFFSRPIKIEQVEWATSSQLIFDVNPWEAYFGNTRVANRLSNFNLLRAKLHVKIV -INGNGFQYGRAIASYLPFSIYDTLSTNAGLIRQDLVQASQQPHLFLDPTTSQGGEMTLPFYNYWNYSSIP -DTQWNELGILQFRSINDLKHSNGASDVVTVSVFAWAEDVEMSVLTSVDQDDLVPQSGKEIDEANAKGVVS -GPATAVAKVASALTSVPVIGPFAQATDMMATTTASVAKLFGYCRPPVTKNPEPFKPYSASALALTNTGDG -PAKMTVDDKQELTIDPRIAGLNGLDSMNIKEIAKRESYLTTFSWNIGTAPETLLWNARIDPCTWAQTPGG -PPTSYHFPACAMAAMPFKYWTGSMRFRFQIVCSAFHKGRVKIVYDPNHFASNEYNTNYLNVIDIADQTDF -TVEIANGQARTLLDRALPGTTPITSMYSTTPYTSNEQFGNGVIGMYVVNELTTPNSTIDNNIEVNVFVSM -GDDFEVFVPDDYFQYFTFKSLDAQSGMEMQGGTIVSESQNTEEPSAPQQSNATTLGPTISDNSDINKVFT -GECVVSFRTLLKRYNLWSSLPLTGVSSQSVHGRFSMFPYLRGAVSGAVDSTSGGVSYNYCNTVLLHWVTL -AHSGWRGSIRYKWLPRGPISRDWRPSMHIQRHPVGETEYAFTVSQPEEYATRKSAGQGVMPTLNALGSPS -VNKPFTGTRGQVYQCGYLNPVIEFECPYYSPLRFTPGKEEDHTGLNFFNEGYDYTLQSVGGKQTTWDIHV -SAGEDYSVYFFTGLPRMYFEPTIPS - ->YP_009666857.1 structural polyprotein, partial [Marine RNA virus BC-1] -LVNKRITQLFTYTKNNCSADTTVPQALESAAGGWGLFASVTVYIVYAILKDLHIHIENSDCTTSIDNPQN -VEPQADEQQLVSTGQGTHQEQNVKFVDTHPGYCLEEKSTFDNIRDHALESDASLDEFFHRPIKIKTYDWD -VGGVDLHDTFNPWSLYFENPRVINRLSNYKLMRSKLHIKATISGNGFHYGRVLLTYNPFPKHDNLTVDRT -FVEPDFVAASQRPHIYLDPTNSQGGELVLPFFYHKNVLDITQNDWQEMGELTLADLQGLKHANGAADTVT -INIFAWAEDAKFAIPTHAEPSTLVPQADEYDGPVSRVAGVVATAAGKLTSIPKIAPFARATEIGAGGLAA -VAHHFGYSRPIELEHSQFRMNTKSGLAVTNMRDDTVKLTVDHKQELSIDPRTAGLDDIDELGINYLASKE -SYFTQFPWAVGTPDETLLYNVVVDPGIYRTFGSEFHMPACSFASLPFKFWRGSMKFRFQIVCSKYHKGRL -KFVYDPSGTAATSEYNTAYTTIVDIADTTDFEMTCGWGQPTSYNQKLPLFGTLESSMTNTVKLPYTPHTF -GFGNGTLAVYVVNELTVPMTTIDNDISINVFISMGDDFEVAVPEAERLQRVRFTNINNLVQPQAEEVDRM -DSKPHHVGSLNTMGATTTLSDQTNHIHFGESIRSFRQLLKRYTLHEVSPLTGTNGSAMHYTFRRHILPFE -PGYTAGNSNLTTILNAGTSNDNYAFGFMTLPRYLTSAYGGWKGGMRYMWDFSNVGSTTHNPNGRVIVTVD -NDDGGTVTPENLTTVVDDTTSIAGKSTLITLHSSVQGFDGMGMQALNVNPTICFETPYYSDHR - ->YP_009505621.1 structural polyprotein [Chaetoceros tenuissimus RNA virus 01] -MLYHSGDLSTTKHVHDNLSLHNNSSGSDTHLMLSESAPVTEPVASSELEGAQKLTEFVSDVTSEVVETPS -SWDITRADALNPEADLGSFLSRPVQLARINWTNSSYLQTSLNVWSDFLTHPTIVKKLQNYSWLSGSLHVK -LQINGGPFYFGKALASYTPYGFSAPTNISNNYVRNNSLARMSQRDHVILDPTECKGGTIVCPFVYPKPYL -SLDTADLASLDGVGRVDIMSFEALQNTSADTSTPINISVYAWMEDVRLSGPTYLRSESKMVSGKKDEYGQ -GVISKPATALAKAAGHFKKIPGIGPYATATQIAASGIADVAKLFGYSRPVSLEPIHKYRVAPMGNMANSS -IDEAVDKLTFDPKQELTINSDVIGISRDDELQIKDIAMKESFYNMFSWADYSGAGDKIFSCNVSPIIYST -QVTDDPDFSQVAIQTTPLMHAAVPFKYWRGGITYRFEVMCSAFHRGRLKLQYVPNQETSLTEGDMSAVYT -RIMDISESKVFEVTINWNQNISYKEISPITEHNPPQICGPRMRSSPAAVGVTTPYLKNYCNGQFAIYVQN -ELTSPDASDTKEIWVNCYVKGSDDIEFAEPVEGFGKLSNFPNILISESQMISESGGGNSSWAVQQDSPNG -TFACQLEPIGKSARLDELNLVHFGETFCTFRDMLKRYNYNRTYGDITTGKTSGTYQLELTLPNFPVYRGA -DESGGLDSVTTSAGAKSYTYSRTTLLNWLTPAFVARRGGLRWKYLVAHKDNSKLKELTVERMTSNTFAII -GPIRRNLNSGAVALDYLRASPKASQGMYATHTDVLPTVEVELPYYSNYRFSTTNDISAFSMAEHHILRLN -ADVSGTEDIATVSAFVATGEDFNLSWYVCSPEVFYQPDAAS - ->YP_009345908.1 structural polyprotein [Bat dicibavirus] -MKLRFLINGNGFHYGRILVSYLPLHVDDGFTKLRSGISQDLIAASQRPHIFLDPTTSQGGDMTLPFVWYF -NTLSIPNADWTNMGRIDMVSLQNLKHANGATDSATISIFANLEDVHLSIPTSVDPTNIVAQAGEMDGSNF -RANSSPASTGNNSVFSRNYGGNVLGNIKMIPANKMPSMGDAGVRPYEKALPNLRQNPVGNMVNTDVGDTC -TKLALSASSQTTVDPRVVGLGAADEMDITSIATRESYMTQFGWPVSAAAEALLWTTRVTPYNYDKRTVAT -GNELHMTASCFAGLPFNSWRGSVTYRFQIVASAYHKGRIKIGYDPEIYGTAGEYNVNYMHIIDIADERDF -EITIGWGARHPYLNRPNIRSTSTVPFSTTSLGTTSEPRTYNGVIAIYVVNELTIPNSTPNNDISINVYTK -AGPDIEYAFPTEVLSNYQYFQPQAGEMSDISYSSPYVPVVEYKPFNLEVTYDGLLDRGYLDSHLPGLINS -MAAAYASDCIEMLLHWILTSPLPLRPSILDAANHFKDSPVSVAAFSSHATTRDRFRPIERMEPQAGEMTV -ARERPDDTLTYDEDKPGVADTLVDLAAIIPKMKIYNDIYYGDPVHSIKQLLSRYCLHTTYPQVYSTTQVL -VSYTLPDFPYHAGYAPGGVHKTTVPAAGTAYNYSNMTFLNYFTPAFLARRGSTRVKYIYLGDNPGNGNFL -SVSRSCDDYTTITTNMVYKIQTQAFVTPTASNSYTRVRSNLFGAGAGAQFPAGNSGQMYTTFTQQPCIEV -DLPYYTQARFLPAKWTNYTNSNVTWSTPINNVLQSFHFVNTTYRLTADSNSYVNLLAHVAGGDDFHLYWY -LGPPVIWYASTYPAADTSP - ->YP_009337801.1 hypothetical protein 2 [Sanxia picorna-like virus 5] -MFDVGKFFSRPVRIGSFPWTVNTAFVGQSIFPFELFWKNKHNANRLNNYRNMKCDMCVKIVVNGTPFHYG -MILASVTPDQSLEGFPNSDLTELGCVRGSQNPHIFIDASTSSAGCLRLPYTHPSNAFDTLGTNLQSAGTL -ALRELVPLAHVSGVVETITVSVFAWAENVVLGAPTINNISGLTAQSSDEYGQGVISRPAFALAAVAKSMV -KFPYIRPYAMATDMTATSIGNLASLFGFVKPNVVSDITLMNPRALPNLASATQHDPIFKATLDDKQGVTV -DPRVVGFDGRDEMGILDIAMRESYLFQFPYTQTNAVDSRLCVLTISPNQYRTSGTGINTQFIQTPMSWVS -APFQYWRGSIRYKLTAVCSAFHRGRLRIAYEPAGSTAGLVPSFNVVMSEIWDISEKKEIVIDVGWHQPNP -YMQVSNIGDGINPFVIGVTGNIVANPFRNGTISVYVLNELTSPDTSLTSPISILVSVSACEDFELFSPID -TISNFTYHAQSGEVLENSIIKPYKSEADVKFGSRIRSNDPSPKIFHGDPVISLRTLLKRYTYSCAYSPTF -TAVGVQRFSWRLVLPQMPLYPGRAPLAVHTATTAINFSSMTLLNYFTPAFLARRGGIRHRFIAGIGCPIE -HAAIYRAPVAGYTNTTTLFPAITTPSVAARAHRVEHRGVTNGVSGMSATPDIERIPLDIEVPFYSPLRYF -RGRDGGTNRGSSSGAGVTILVKFVTSSGSDVILEQYVSAADDFSLHGFIDVPPMYNHTTVPAAT - ->YP_009337767.1 hypothetical protein 2 [Sanxia picorna-like virus 3] -MIFEPQSEQELGEVTETGVSHMTNASASMLHTTSLTQPELLSLRQDDSRSLSQYLSRPVRLWTTKITAVR -YDTILPVSTILQTPRVIDKIRGYAYMNATLHIKVLFNAPARSSGAMIVALQPWWTRDNGLGANNGEVNPT -LTLCQLSQLPHIMVDFSEESGGEITMPIVAPTNGLNITSLEQIQSVYKLHLYTFVAPQIDAGDAGAKPYL -NVLGWFTDVSLTGTTLTEIPEPQSEEYEIPVEKQPSTIKMALKSAARAVLDGAKGFATDIATSAIMAAAG -LSKPIHLDPFTQHVLRTMGPLANFNGKDSIPRLSGDIKQEIVMHSDHLGFSNGDEMDLLSIMQRPAIVCF -LGFPTDVDVDQTATVFPVSPTIAWTDTVTTTGDTAYTPSPMAFATLPFSRWRGVIKFKFKAVCSAFARGK -FKFNHDVAAANNISAVYDTLEFQALNNVVWDISEHKEIIVTVPWTSNLPFKPVPLLHKPNYLGDSLSLAQ -DGYNGLLLLAPITSLIDPGMSTISIIVSVYAGDDFVVGDPRPVLANYTFAGINPLAPIPRSLDFSTKAEK -ANVKKEMIEYATSGVHLTDDGNINVANSYLETIHENRESTFMEPQSDITDIKTDGNIMTGDVHHTTLDVN -IAGLDVSPQDSNEMLSVCIGEKYTNIRQIIKRYTHHSTRRVLASAWDGYYTWVVPDRPFMKGWQGTPASI -NIDPLGQPCTYARDTFLSYFTTAFLGYRGGFNQKYTVFAPNTNVTHSISATRANPGYLESDIRFANVNTA -NGSGSDILTFPDTRSGGMFAQTREATTLEFNTPHYARSKFLWAQDRTPQRVRSTLDGGYDYGWHNLAIYH -QGSDVFRISRYVAAADDFSLHMFLYTPRMINASPTKYAPV - ->YP_009337721.1 hypothetical protein 2 [Hubei picorna-like virus 1] -MGTTPSRTDSNTVTFRDGPAQWAVSMNESHDPSRNITVHNDVSLDAFFARPIPIYNATWTPGASFTAVSI -NPWSLYLANTRVSNRISNYRLFSGDMHVKVMVNGNSFYYGRMMVSYAPYWYHDIASSTSLASVSSLVQNS -QRLKLFVDPCESQAGDFKLPFLWHADMIDLTTGEFQYLGILSLEELNGLKHANGATSPITVSIFAWMENV -KLSAPTEQNISSIAPQAGDEYGSGILSSVSSAVAANTGRMSSMPIIGRYMRATSVAAGAVGTIAKLFGFS -RPVHLGVSVPMKQRPLGELATTDVEDGSVKLSVDSKQELTIDPGVVGLGSADELVLSSIAGRETYVNNFG -WTTAATMDTLLFNVRVSPIYRRISTGTLGNINTIPACTYAALPFKYWRGTMRYRFQIVASNFHKGRMKVV -WDPLFCNVASESNVQYTKIVDISNERDFVMDVAWGQPRSWLECAALTSITAGNTMSTTRFTNSNVYTNGI -LSIFVLNELSTPNSAVNNDITVNVFMSMCDDCDFAAPRSVNTFSPVDASVQPQSGIEILPQSDVETEQLT -ENNAPQMVEDTEEFVSCFDKDSPVHLVYMGERIVSFRQLMKRYNVDYNYSSITPGIFSVTAPDFPMKYGY -TPYGIRGTSPNKYNVANTTMLRYLAMGFLFYRGGVRRKYVLSTNATSPTFATLQITRTDGVGVPVAPATT -APTLTTTLTLSDTYNSLTPSGMEGMSVSTTRQNQVAEAEIPYYRNVRFSLCRRPNYIPSAVTANDVPFVE -DLVHTFSANTWTPATANVFTSLVAGAEDSSFFCFQGCQPFYISPTLV - ->YP_009337719.1 hypothetical protein 2 [Wenzhou picorna-like virus 14] -MKFGESADPAWAVEPHSYPDPTYSMGLNQDSANLANFLSRPVLLHTETIAVSENLYRHAFRPWQSFFSDP -VIQRKIHNFAYIKCKLKVKFVINASPFHYSLIMASYRPLADTNTAGHFIDINTTRTAVRESQMPRVFLEA -SSSSGGELTLPFVWPQNALRIASINDFRNMGEIVIQTLTPLRVATASNTSVTISVFAWAEDVELSMSTFA -APFTPQSSKPRKEKTKRKTKPVVQSKDEYDQNNGPVSGPANVLANIARGLEQAPIIGPFARATTIGASAI -AGIASIFGFSRPVTTNEIMPYRNFVSGGTANTSGVDPIEKISMDPKQELTVDPRTVGLDGTDQMTINTIA -CRESFLTTFNWSESQAPGTRIFSLSVEPGLVRTNSGNSYPTALAFAAAPFEFWSGSIVLRFKIVCTKYHK -GRIVISYDPKSSGIGVDPAATYSTSFSEVVDITESEDVIIDIPWSQETPYLESAVDFFTERYSDTNALFP -STVNNGTIAVSVLNELSSSNDTSPIQIAVFVKGGDDISFRCPHENKINTIVPYNHHTAQSSPIRREVPLL -ESFSYPETIGVYEIKEDEQFVAQSSEVRCIVHGCPEAYHDDIDHVMFGDPVHSFRSLVKRYNYLGSATRS -IDASASEGVEWGAQLTQFPMFRGPDPVGLTVIPTGRYNYVNTTLLTYLSPAYVGRRGGIKWKYIMRDSYA -GLAQVLLKYEQSNLKFSYVNKYSGVDDSDYTRSVSLLNPSALAGYSLTHQDTQQGLMYEVPFYSNVRFAP -AAAPYGDDTDARVERVMHRSAFNISPQVISTTSKNYFVADLHVAAGEDFSFFWFLAAPILRNEVFPPAVA -PPP - ->YP_009337699.1 hypothetical protein 2 [Sanxia picorna-like virus 1] -MTNDISLSQFMARPIKVLDESLTVGSGYITNFNVLEPFLGNARIANRISNYAYLRGKIHFRLMVTGTPFH -YGKLIMAYEPWPDRNTGARTLRPDVRQRLMLPHVCVDVSRGEMADLSFDIIHPFNGVNITNWNTPTQNVG -AIYLQTLVAIGATGSTTEPLHLQVFGWMTDVELMLPTVTNIGLLQEQSGEYTEGNVSKAMTTIAAASSHA -AQIPILRPYALATQMVAQGMGALAALFGYCKINMIPEQRHITNRPISDISTINATDTCMKLALDVKNEVT -VDPRVVGLAPRDEMTIADIAKREWFNNFITWTYDSGVGSILHRQAVTPSLAFDGATYLLPSPSAYASAPF -KFWRGTMYVRIEIVGNAFHRGKLRVAWDPISQMISQDASRFNLQYSHIIDLTESRNYEFCIGWGTNRPFL -QCARLDLTYWTASENPVPLTDISANGYFTVEVLSPLTSNDSTGSSVDGVTLLVFTRFGDDFEVAEPFANN -MQTLTPLFPTEEQSGNLAETPPGVGLASQPDTSVCINQVDEKYDSGWLASTHFGERITSIRQILKRYCYH -SLMVNKAETEFDNYGLAEVVQPDFPYYGGFTDVRPVDIIDEAVDFNLAVQTYLNWYTPAFLARRGGIRWK -VVRAGTDQQSSDTWQITSVTRTNVPGVGYSKTASAVSLAENESVIKLQLHTLYPRSITGSAISVTELNPG -LEFEVPYYASARFMFAGDLDVTAPQTDATFARNFHRITFPLKNGSTSVYSRYVAASDDFQLYFFLYTPKL -RNLTPN - ->YP_009337680.1 hypothetical protein 2 [Sanxia picorna-like virus 2] -MTFDLIHTEDSSLEKFLSRPNVLFRYEWFVSSTLDESFPGLVTLLSDPRIANRVNNYAYISGTMHIKVTT -TGTSFHYGKGVVSYEPWPDQSTNRQISTPISVRQKFMLPHACFDPSTSEGCELSYPLIHPFNAVSLPEVN -SITDSTDQIYVFSPFELRQLGDIDMPLEVVVYGWMTDVKLYMPTVAPMGVLSEQSGEMQESNVQKVLSTL -ISGATTASTVPLFKPYAIASEMVLRGVKGLATLFGFSRPSVISETRRAVLQVLGDLNTYNIADNSSKLTV -DSKQEVTVDPRVVGMPNDDELTVVSLGKREFFLHGFDWTFEAAGAKTLFRSGITPMYTNRQENSDRWEIS -PSALAAAPFRYWRGTVYMRIEIVASAFHRGKLRIAYDPIRSNFSSDLRKYNVQYSNIIDLSKTRNYEFCI -GWGTNRPFLETTKLDQEVWTNSENQLPLSANSSNGFMAIEVIAPLVSVNSLPTSTEGVKILIFTRMGDDF -EVAGPTIRNIDAWTPIVPTSSSSIEVQNGLPIQVTDEQSGQLSVAPSGIAMSEEAPVDLCINQVPEQYDS -GWLASTHFGERIVSIRQILKRYCYHSSFSSNNSVTGLRLWKYTLADFPFYAGKFFDAAGPDGENICRVTF -LNWFTPCFLARRGSLRHKITFWNSNPAPNTISVHLNEPGVGISVTNQPLVGTPSLDARKARLLTAANTIY -GAVVSNARVYPSLEYETPYYHNGRFMFGTRLNVNQSTGIAIQKTGFHTISHDSVNSGNATLIDRYVAAGD -DFQLHFFKYTPLLVRNVV - ->YP_009337421.1 hypothetical protein 2 [Wenzhou picorna-like virus 53] -MDISNLHPQVGLHKTTLANTKQASTVTFFDESPAYETSYQANEDPSRLGTYDEELDFHNFFSRPVIIQEY -DWTIGASLDAIFNPWTDWMRNPRIANRLSNYRNFVGNLKLKVVINGNGFYWGSGLLSYYPYPDPPSWWLH -YTQPTFTGDLMRATQRPHILLDPTLSQGGEMELPFFWPQDCFNLVQGNPDDLGQCWLTSLTTLHHATTSN -PVNIKIFAWCDNVKLSSPTQFNISGLLPQAGEVDDEYAKGPISKRAAIVEKVAGKLQNLPIIGPYAMASQ -IGANALGRMASMFGYCKPRQIENPVLMRLAQAGDLACIDSFDTNHTLGFNSKREVTVDPGTAGVSNVDEL -SLKVLAAKPSWTIAVPWAPADAVNKILFSIPVTPMVNSRQARVLPASNQSNSLTPCGLVALPFGYWRATM -KYRFQLVASAYHRGRILIVWDPVTANGIPQIQTVRSEIIDISTERDFSLEVGWGVDEPALSTGGMPSSTT -WTTAGPAVALNGQHNGALTVYVLNELTDSSGSTDPVYLNVFISSDDIEVWNPVSDNFNRYTYVRQSPAAL -QAQAGVVSTDQVVATGDVNTPDGEANIDELGGEMVSTASSFLHGDPICNFRTLLKRFNFLEVVTNVVSTP -GEYCWQEIRRNAHPLYRGSSISGTEWSGILTLRALLSGCFAGWRGGMRYKFYPHGKLEGALFAVGREPES -IHGTFDRTYVRSDSNLTAAFALHDNSFAGKTYSTIPQGHVAEIELPYYAKKRWVRTYVTPLTSSEALGYR -MDFMGADELDFSCVTHEAVADDFNLFMFRGVPDLYFLSILPS - ->YP_009337410.1 hypothetical protein 2 [Wenzhou gastropodes virus 1] -MLFRPQMGVVAEASIQTKGTFTAQENVDFSDQMQPYVYNAGGEMDPTRSLQDTNDATLDNFFSRPLKIHE -VEWGTGTSLFSSIDPWTLYFENPRVINRLVNYKLLKAKLHVKLVMNGNGFQYGRAIASYLPYDTLDSLST -SRALIAQDLVQESQRPHVYLDPTTSSGGEMILPMFWYTNYLDIPDTDWNKLGSLTIRSINDLKHANGASD -RVTVSVFAWAEDVSFSVLTAHEPVSLAPQMGEIDEANRSGVISKPASVIAKCAGALKDAPMIAPFALATE -MAASTVGNIAKMFGYSRPPVTKAPDPFVPRPFGQLALTNVPDNCFKLTVDEKQELSIDARIAGLSGGSDP -LNIRDIASRESYLTTFSWNIGTAPETILWNARIDPAIWAEVASNPKELHLPACAMACLPFKYWTGSMKFR -FQIVCSSFHKGRLKVVYDPDYIATNEYNTNYLHIVDIADTKDFTIEIGNGQNRTLLGHHIPGVDSVTQMY -STTQYTHSEVGNGVIGLYVVNELTTPNSTVTNDIEINVFVSMGDDFEVFVPDDQFQRYVYKPQMGSFRED -DVRESTPLSSRSNRIVHATGSRSSRPMFEPQSGKIVPESENTTEPSAPLHDDTVKLGPTLQGGELINKVF -TGECITSFRQMLKRYNLHSCVGLLNSAPVMLQLQMAAFPYLRGAVTGAIHQTTAFADYNFCNTVMLHWVT -HCFSAWRGSIRWKAVNRAFQVERSGPRMEVTRFHDSAIYSNVITTPFTDYATESQAADSVVVETPGSGIL -AAQGKPSSATLGSAITVGEVNPTLEWEIPFYSSFRHAPGKEQDWTSYIPFGGSLFTTWCNPDASSTVDFY -CAAGEDYVPYFWTGCPVIYYEANPPDPIII - ->YP_009337401.1 hypothetical protein 2 [Wenzhou picorna-like virus 4] -MVRPQASDDAPSERTNLSLWGLFSSITAYVVYAIYKDLQLDWPTIAEVRNLRRKRLSAADVDPHSEELPV -SVPSDQMGSQNVKFVDTHPGFMQETPGDIDHIRDAALASDATLDEFFSRPLRIQSYDWAVGATLYQRFNP -WQDYFENVRVINRIANYKLMRAKLHLKFTINGNAFHYGRAICSYSPLPNDDDMTIDRAFLDADLVAASQR -PHVYLDPTNSQGGEMKLPFFTYKNVLDIVGMDWRDMGEVVIHSMQGLKHANGATDSVTVNVFAWAEEVKF -AIPTNFEPGAIAPQADEYEKKPVSRIAGAVANAAAYFTEIPMIGPFARATEIGARAVGSIATLFGYSSPV -MLESCMYRPVTTYNISTTNQPNESAKLTVDCKQELTLDPRTVGLEGKDEMSIKYISQRESWMANFPWAIG -TTQETLLWNHVVDPCLHYIQGAELHMPAVCFASVPFKYWRGTLKYRFQFVCSKYHKGRVKLVYDPTGTPS -GGTAEYNTAYTTIIDISDNSDFEFAVGWGQADPYREHFQLGTAGQTQMWNTTPLTLTTPGSKIGNGTLSM -YVVNELTVPNSTINNDIEVNVFVSAGDNFELAVPDSTVMERLRYRNIDNTTAPASREAIEPHAGESEEVN -QESRPANVNTLGAAALSVPKMDETNLVYFGESIHSFRQLIKRYCRHGILSGSVHMPGELVRLVSQRYSLP -YQPGYCFPGAFSGDGVVYSVNGGSSKYAYGHTTLLNYLVPAYGGWRGGVRWMVDATRFDNYSGELSNITV -TRNTDADGCFDYWNTMTNASHTTAGQAAFMNDRLLYSKTFDGQLYQSSAVNPLVMFEVPYYKNLRFTPAK -RHDVPSAPDSMQPGWYYEATMSSSARAGSEFAPTFCAAAEDFNTFFFLGAPIMYYEPIAPSS - ->YP_009337363.1 hypothetical protein 2 [Wenzhou picorna-like virus 5] -MYKTGTEYPTIFEVLSDLRKYKINPNRFDKLWHKHRWELGKTVSSFDGVDIPPKQLNATTMKIIDEVLEI -LECQSGDATTADNTIFKVGDEATYENVQFSDQHDPYMYSVDAVMDPTRSLQDANDASLANFFSRPIKIAE -EEWSTSANLNFDIDPWSLYFDNPRVSNRLTNFSLMKANLKVKVVINGNGFQYGRMLVSYLPFDVYDNLST -NAALVRSDLVQASQQPHIFLNPTTSTGGEMKLPMFNYQNYFEIIESQWSEMGRLYFRTLNPLNHANGATD -VVTITVFAWAEDVSMSVLTSVDQDTLSPQSGEIEEANKEGMISGPATSVAKFAAYLKGVPYIAPFATATE -IGAGAVATMAKIFGYCRPPITKAPEPYRPTPVSSLALTNVPDNAQKLTVDEKQELSIDPRIAGVGPADPL -NIREIAKRESYLTTFNWNIGTAPDTLLWNARLDPCTWAEDSGPPVSYHFPACCMAALPFSHWKGSMKFRF -QIVCSSFHKGRLKIVYDPNFIANNTYLGFSEYNTNYLKVVDIAEEQDFTIEIGNGQERNFLNHALPGQDG -VTTMYSTTRYTSKGTGNGVIGVLVVNELTTPNSTVTNDIEINVFVSMGDDFEVAAPDDYFKHFVLKPQSG -EILEPQSGVLVPESQNTDEPDAPQQQSSTIIGLPPAESPELNKVFFGEAITSYRTMLKRYELWNTIPKLA -TVPTVVSSRFAPFPYLRGAVAGAVDTADSGGTPFSYNYVNTLLIHWVRSAFSGHRGSIRYKLVPRGCAHR -ADRVEVQRAPWFPTAPEYRSQRATMPVYGDQSIARHDIVSRWVAATGNSVPLDTNPLPSYRGLALASNHV -NGVLEFEMPYYSSYRFTPGKIEDASDSSFWEAAWDCRIFFQDSGANTNTSTYDVYTAVGEDFQTYFFSGL -PRMYYEADPPAP - ->YP_009337310.1 hypothetical protein 2 [Wenzhou picorna-like virus 6] -MIMKVVANETHQNVGFSDNDDPYLYSVKGATDPTRTLQDTTGDDLSSFFSRPIKISETEWATNTALNVVL -DPWSTFIGNPRVVNRMTNFNLFRARMRVKILINGNSFHYGRAMAIYHPMHTRDDFTTLGSTVSLVQGSQM -PHVFLDPTTSTGGELCLPFFFEKNNANLTSLEYQRLGRIHIISLNDLRHANGATDKATVSVFAWLEDVEL -NMLTSLDMASIVPQSGMEVDEANSKGIVSGPATAISKIAATLSEAPYIGPFAMATSKFAGLTAATAKLFG -YSRPPVTKDPDPYKPVTVSSLATTTVPDGAAKLTVDDKQELTIDPTISGIGPGDPMNIKQIAKRESYLTT -FNWDVGEAPESLLWNTRVMPTLWNVEGAAIYLPACAMAAVPFKFWTGTMKFRFQVVASAFHKGRLKVVYD -PNFMSSNEYNTNYMEIIDIAEKQDFTIEVGNGQHQSLLTSATPQGSTITTYGSIPVGLSALGNGVLSLYI -VNELTTPDTTAPRDIQVNVFVSMGDDFEVFVPDSRFQSYEFKPQSGFEPQSGDEGSKMNDALVAHAPSPP -QQEGETDIVGIGATNHEHLNKVFTGEVIKSFRPLLKRYTLHSMLNATFNADRRVLYGRRTAFPFLRGNVA -SAVHVTSLAAPYNYCNTMLLHWVTLAFSGYRGSIRWKICPMSFMANDSLPITQVQRDLSTRHYQNGRTAV -FTPVTESQMAFQGTIDASETLPATNQPLGGAKGMTLTNGYVNPNTEFEVPFYSDDRFVPGKREDYTASFG -DYELNVFDYKIFMRGNNETYINAFCAAGEDFQVYFWTGLPPVYYNPDPPSPSAV - ->YP_009337247.1 hypothetical protein 2 [Wenzhou picorna-like virus 32] -MGVESTPPHTPKVNTNPNVPRKRKREISDVKEISTLQDLEAYEAQDGQNGIRGVTFADDTFFDVPFHSTD -DGFDFYPDRMEYLVRQAIHCFEQSDFTPQSGSTPDNVIRLNSGQWQQETTTFGNEAANFDYSVNSSVDPT -RRLQDVNDATFAEFFSRPIAIRSYSWGTGTVLSEDFNPWADFFTDPRVINRIANFNLLRAKLNVKIVLNG -NGFHYGRILAGYVPLDTFDEMSSFAGLIAQDNIQLSQCPHVFLNPTLSTGGSMQLPFFWHKNYLSVPQSE -WNDMGRIYLRTLNALEHANDASDQVTITVFAWASDVELAMPTNRNPSDMVPQSGKEIDEANLKGIVSGPA -STVARVAGALSGVPTIGPFAMATSTVAGAVASAARSLGYSRPPVTKNPDPFRPHPTSLLSATMVPDTAQK -LTVDDKQELTVDPCIAGIGSDDPLSIVNIAKRESYLHTFDWNIGTGANTLLYNYGVSPCHWDVSGSGLEE -AVHLPACATACLPFSYWTGTINFRFQVVCSAHHKGKLQIAYDPAIGFTNGETSFNTNYIKVVDIADENDF -TVSIGMAQERNILPRNRTPGGDAVSTMRGTTPLQGQEYFNGVLSVKVLNELTTPNSSTPKDIQVNVFISA -GDDFEVFAPGSIPASYVFKPSTITEFENQSGVEIAEHPDAVVASELDKPQHENTDQFAGMMQDNSLLTKV -FMGESITSMRQILKRYNFHRRDRLAGPGQVVVNITRPMYPIYRGKIADAVDTASVGPYNYVNTTMLHWMT -APFQGWRGSIRWKYLFKNQINTIPPSETPGANDSVDKVAGYVSRTTGTNNFIKWTTELNERPVYSSDSEA -AWDAVFNLNENFVGAGLPTMMAGATYFDGAINPNVEFEVPFYNEYRFKPGKTVNYTKTRTNIEHFRIDAE -LTTSARSAVESHCAAGEDFQVYFWTGMPRIYLEGQPPLP - ->YP_009337228.1 hypothetical protein [Wenzhou picorna-like virus 13] -MSITYMTLHRPDNSNGYRIGNPFMVCVMMMLLTYCATFQVQMELYRPDTPRVNMGMTLNYVRSYLNAHHT -PFNTNTMKTTFSEILKAQTLEQVHSSTYDEGVMWQLTMPYESKLDVVRCFNTVMPKAAKRMAEIPDEVGC -TCVTACVAAQECPRYIRGTREYDTWRCVTHLLRADAKRFGIADLYLMCAQRVAQYKIDEGSAAYHKMVAI -RDWLQGKPQHVKFGTVSEQSNSTGTLANLLLTRWKPKKNFSRYLCNIFETSGVSPASASHSVVRAMKRWK -NEAQKLLVDMCCDPTHTCEHEGLCVWERDFARVSEQSLSADALSPDESDKTPESWHCTKCGSLLCFCFCR -TSPVRISNCAYKDATPEMRRFTLLQRARRRRSYKKTDYHESLGFYWKRFPIAPVVVTEQSFSSDEETDRE -TDIGSDDESSSISDSTDLDLAHEFIDGLGPPAVELEGQVATNFGTFDLQAVTLRAKFDLEHFKSVMKNVY -ERQRKWFNKGVARANKDIVETSLKQIDLDHEIKVLFGSVLTLAGSLVNATDGTTMVFCVCQFLISVYNQF -RFIRVKVQRSPVAAAVSRIAGLLGLAWDKVSAIFGDSGTVSEQSDSIDGLLLDGFSIDMCLKAVRTLRGA -FKNESGVGSDLITRFREGYLRIVALTMGIEKFGSFFEFAKSPSGMPLVGIMMSDSLTMLERGLIAYKLKD -PGLIFVDAGKYEEIFIECLTAITYWPYYQKGTTPDLAGVTDGDSRVNMDYDEFVTYLKELKTRTELIRVK -DTRLLRSAVNGSLLVVFRQIDTIVNGIVAAHLAETPRVLPWNVGLYGTPGVGKSFLTAEIQNMYLAKFMP -ELKTREQKASVIYTMVAGTKFMDNYQANKKIIVLDDFAAQHPDKEDGERNSCEMARQIMGINWFLTPQAD -LADKGAHPCRSECCILTTNSVDMHAHIMLAEPGAFYRRINLMVEVLTKDGNQFDASNLAIGDPSQWRYRF -WIWRRNEHNDWERLWIQFDETQPPSIEHDDRCITWVAKPGKTYPHSVFQRVILWSLRGIEGQRSLTRMDR -LAIDVCEHGCTFECIFCHSTEDVDSLYTAVGEQSDDPFQFGPFLDHNGSVDLTRTRSLVPASLHERMKRS -IANVRLNFVSEVRSMQLKMNKIITSAVCTRFAKVIGSLSFGLGTAALVYSLAQKRTLKDEAEKAVATVTK -VKKEINDLTVIVEQGNAPSSDTDEKPARTDNVWEGSQLLFPPQSFYGGIRDDDLGQLAHSHTAFLCTESG -FSTGIACITGNIWVAPNHFFRKVYADAPRTTRFSIKMNGFAARTIEVPHGRVWSRPDVDIAYVFLTVPSR -TSLERHALPETQLKEAFASPHVARIIGSDRGEAGFKFDTITRTPMQMLIRDDGVRLDASRAFPYIRNGEG -PYMNICGSLLWVKSLSDANRFKVVGMHIWGDKSEVGFAMSITQEMIASARDHFSRFGSGSFEDVPVTEQV -YNGVDLPKLSLEPHRRSNLRWQQDVDRATYRGTFDLPVFTSKSAVSDTPIAEGLIEHYGEEYRRFAPDLR -SWRPIDLMYRQSLIDAPCIDSEILSQAAGDYFSGLDRTRYVEVLDRTQAVFGIPDRRFINSINFSTSCGF -PLSGKKRLYLEEDYRDGRWVSSEIMCAVDQLEKQYRAGKRGSVFFKACLKDEPVSLKKLQKHKTRVFTGC -PFAYQILFRKFYLPLIEVVQENNLEWECAIGANPRSRDWTEFRSHIIGVANDTNCCIDGDFSAYDKRMSP -QLLHYAFDILIDYAMLCGYKDEDKIVMQGLAHDIIYANVIVQNDVMIFPAMHVSGEPATAVINSIVNSLL -MRSVYFDQGLPGPFRDHVGLLTYGDDNVMGVNEMVRDKFNFQTLHRVLGSYGIEYTPADKSDALTANFRS -IDQISFLKRTWVRYEGGIIPSCYLAPLDKKSIIGPMITWLRSASITKDAQLCATFYSCLTEMVAYGEEDY -YEFYHTVLDLLPSDTKRMFFGTYIYTATYESMFERVYTFPERSGIFENGGCPASCEYVTEQSSAPRPNYS -KMASNSIKTNDDDLAGHKVSMSNTLEQDMSVGESQQENVTFFDASPSVNVKLASELDATYSMAKQSDAQL -GEFLGRPLKIWDYEWASGTVPTFGSIAFNPWTLFFQNAAVKEKINYFRLLRCVLHVKFVINGNRFLYGSA -QMAYLPRDSNDRLATAAATAAVQWSQLPHVWLDPSTNQAGELELPFIQKNNWLDVTKLSDFEEMGKMYLN -IYAGLKTVSSAGTVGTVDIACYAWAEQVEMCVPTPIVSLQMYGGPETSKKKLKDGKGDEYGVVSGPAAVL -SRVATSLITVPILAPYAMATKIAADGIGGIARLFGFSRPIALENETRVVRQRVSGAMAYSTSKDLARKLT -FDPKQELTVDSRVVGMNGADELSFASFCSRETYLRTTTWNQSDAPDAVVTSIPVTPNTYVRALDAGSNYE -HVYMPAGYIGRNFRYWRGTMHYRFRIVASAFHRGRLKITFVPHVVTTLAADKSNIAYSTILDLEDSRDVT -FCVPWATETPFKEKRPYMLGDPVSPNDDSCNGTVIITVINRLSAPATLAPVEIQTFAFMTEPVFREPFSF -VNDGLALNPTELAAGMMVTEQSDEQIVDTNQTIASDHRMLMKPTEEIDASEAVWFGDPIDSIRSMMKRYS -YYRTTAIPVSYAANEYQSTYAIRWTFPHAPQYGNAVAAGGGDYNDPTYAGTGGNVRTGRDTIIALFGACF -LQWRGGIRHKFVLEPQAQNTGHYWYVTRFAGAGPSYGSDLVSAAPGNVREISIDPFGPAMWAGGDLMTTG -SVLNILEAEMPFYSNKRYGNPRNPDNVDDSAQHYRITCLVRGDGGTNRFMVFRDFVAIGEDFNLSCFLYI -PVLRLAP - ->YP_009337196.1 hypothetical protein 2 [Hubei picorna-like virus 6] -MSYDKVDYSTFEDVSLKEFLSRPLKVATLTWAPGSSLNTTISLPTYLGLTPIKHKLNQFARIRFSQVITV -SVAVNPFYAGSLLLSALPLAGYDQLEPGRIPFTPVNQDFVRLTQRPNVFMSVNQSQSMTLKLPYFNVSKW -YTLNQTETALNTNFYGIYLNSINNLSHCNGSSDSVTVQIFMSLEDVELEVPTTYYAASGEQKAVSKQLEK -LAHATKQLAKIPIAAPYATPMSMALKVGADLAKTLGYSRPFLAEDRIVKTHDSMSNTDQPIPIPYMGLSS -ANSVALGAELNLPPEFREMDIVELCKRYSYLSTITWNVASAVDTSLLQFGVTPCVYRLNGTEQHYPATGY -CSLPFTYWSGTLKYKIQAIASQMHRGRLRITWDPYPTADINTPGFYSTPVTVILDLEKESEVELEVPFHS -QYSSLYCPAMSFPVALGANLLTCNGYVTISVMNLLNTPNGTIDTPVEVNIWIAGGDSLRFYRPGDGISTC -SFYAASGKVGSDSKIETSLVNHGEAVTNIRALVKRESPTYVYTGYGVATTIPQMMSIYEFDRPVFRGTKT -AGRARSTTTGPGAISFDYGMNNLITHFEGCFAARKGSYVIRYTRLVAGSNRPNLTLLADHDFVSSLGIDT -PGVRFSSYNSGAVSLPAYFANNLGGANAMEMDTVFENVAGRKPYYNINEFLPNRYGMTNWGTGTYTSLNG -PSHVAHVMHDQGGTTYPIPVLRTVSAGEDYSLFCYIGPPIVYVFGSPGAGA - ->YP_009337186.1 hypothetical protein 2 [Wenzhou picorna-like virus 17] -MSKLNLNFMSLLISKTTEDLIIFPATDITTPLIIQEQPVATFIDGGQKTEENDNSVDLTKIDRLSNLSDS -NIGKYLCRPTLIANLTWTYGGAVNTFIEPWFDFLNNSFVASKVANYHLIRGKMKIRVLLNGNKFHMGRLS -VGWYPNETLGLLSEFTLDSRRNTMVPGTRDKPLAPNKSETFEMTIPFFYPYPYISISDYNNTDRSVGDIR -IMSLTPLTTSNAVVVNANIAVYAWLEEDYELLVPRPVSQKSKPIAISSQENEQEPNGLISNVTSAFASAA -GELTQLPVIGPMAETGQNILNKITRVARIFGFSRPNIITDPMYVKNQPFSSISNTSGNETVSKLSFDPKQ -SISNELSLVGLSPIDQMAIKAISEEWSLWYTVPWLTTDVFNTTITEMLVTPGMISRVALTKLSLQTRVAY -ASYPFEYWSGGLEYKFVITSTVFHSGRLALSYDPYGAVHAVANSNSQYMQYFDLTETDEFTVVVPWMQSE -PYKLTGGNLTNEFHDPVAVIYNSAISNGSLRLSVVNPLVAPDPAGNVEILVFVRAHPSFEVFVPSTSISN -SFTPFPASEVVVFGSNKPESEISAKPLVYFGEKEVSFRSLLKRYMIHHANNTALVNGDFSAIYKYDQGAF -PYPNTDNLLAGNFSWAAGSNPVNNTLLSYLRFSYAGWRGSIRWKFTSTPAPSPAVIGTIKATRDPNQLGV -GGTFSPLLNSTLTFAASSVCNNVSAFGNDWLGSALTHFDNMPSLEYEVPFYNNARYCQTGINSMMPSLTN -DFCNEDTLASSVRMHVTMKDGSDADLLVTTSYVAAGDDFQFLYFIAPPIWRTYA - ->YP_009337081.1 hypothetical protein 2 [Wenzhou picorna-like virus 21] -MNDPASISKFLNRDIYIGRYTWTVGSNIAQQIDIWDLWQADSRVKQKLSHFTYFSGNLVVTARINGSPFQ -YGRLMFSYCPYFADQAGGSWFSTSNRNQIAACAYGAIVAAGSVHGKREVGFRHFSTYATAWMDPCTSDTI -EINVPFVWHNNALSVCGESGTTRESLGQLLVFDAVPLRVCNDNAPTSAEYVVYARMEDIKLAVPTEYAPA -SSEYQTDVKEVAPTSDFAGITNVPVIGKMVKASGLAAGAVRDIGKVFGFSKPERIDESKEVDLALTGNFA -NTSGCDTAESLAMDPKQECVIDPTVTGVSNVDEMAFKNIVTKEQFLTLANWSATNGQNSTNLIYFALVSP -NIPTKTYNATINHNSVQWQPSCDTPGGMVANMFSYWKGSITFRIQVVCSKLHSGRLLIQFDPFQTSGSIV -AADLTTTDVNARYSQVLDLSESNECEFTINYVNKRPWLQTLQEIENYHWPESPSSTGTQLTNCLGRFDPN -VHMGIFTVNVLNELVGPMDTTAAAQSVDVMIFMKCGDDMTFAQPEESPGNDSSNHPHWGNYIYVPRGGVV -TCCGKREEKVEEHVFMFRPSASITTSTEIETPQMTILNKLSSENDGVFFGESVMSLRTMGKRYVGYSYYK -KNDAAAGTANQGYIRNIPHFNPTAHLGSVSPTPKARQHTCESYFSPWFICRRGAMRKRLYFCSAVSNTVP -IGELCMIERRASRSATDMIVGSTTPAAFDGMTSAQMMTNFVHGYNGMTMRDPNYRRFIEIQMPWYSNTRF -DLAAHPYQIDDTGNEQMNISPSMYQTLYAQFVNIGREADGDYAYFEFSSIGDDYMVSCFTGIPLVWNEKG -AVP - ->YP_009336928.1 hypothetical protein 2 [Shahe picorna-like virus 3] -MFADEISDWETTVNSINDSTRDVAYNEDASLADFFARPIIINTGDWTPFNPAPFFYSFNPWSTFFTNKRV -ANRMTNFKLASAKLKVKFMINGNSFYYGRLMADYLPLPVSDTVSLTSGVDIANVVTASQRMNLLLDPTTS -QGGTMELPFVWHYNVLDITTNTYSQLGTMFIRQINQLRHASGSTIPLSISIAIWAEDVKLSAPTTISMAG -LTPQSDEYSVSPISATASALALASGKLSTLPVIGPYARATSMLASAVSGVAKIFGFSRPIILDPPVHMRP -TFVGNTVNCDAPDSSIKLSVDSKQELTVDNRVCGADLGDELVLSKLASIPTYVTTFPWSSISARSSLLFN -SRVTPNISVANGSAVLRTASAFVALPFTSWRGTVRYRFQIVASAFHRGRLRIVYDPAYVGSLESSIAFTK -IIDLAEERDFTIDVAWAQDSSWLPVSDFASGLINISTSPYTTISAAANGVIGIYVLNPLTSSDNSVANDI -SINVFMSMTDDCKFAIPRAVPRYCPIYNMTPQSLEYQSDSYDSVAPSDNSPLGTSPISCINNCVKDDNTD -LVYMGESIVSFRQLLKRYEYYKTYSSGGTGNRRIKLIESNFPAYLGYSSTAIDRSSTGGKYDFANITLLH -YLTPAFIGVRGAMRSKYVVSGPTVPQMQSYSVYRIPGGNVRGYDVGALPATTSSAISYERSANNAIDFAT -GGVFSPPSQQPVIEVEFPSQVRYRFAHAKNVENLAIVPYPTLPAHAVEVIAGTDGITYLERYISVGEDFS -LFWFCGAPPVVPIGNPQPL - ->YP_009336912.1 hypothetical protein 2 [Shahe picorna-like virus 1] -MHNPDADLSDALKRPVIVDTFTWNMNSNVVGRIDLVKNWISASEVIQNRIKFYRYLSGTFCVKVTVNGSP -FMYGKAIMSAQHWPEVSGASLPATAFGLELVQQTSLPYVSITPTDSTAGCLELPLLHPNGALDLTQITTP -IQLELRSMTPLSAVKETTDNCVITVWAWMKDYTLSVPTNFPYDPLWEQSGDEYSKPLVSTAATTLASYLG -RLRDWPYIGPYARASEIAVSAGGQIAQLFGFSKPSVIKSIEYMQNKPLGNLSNFNGEDTCVKLALDCKQE -VTVDPKVTGYGGPDDMVVLDIAKREAYLTKFVWRSSYPRGKTLWRSVVNPGICQRSATTVAGITDPVPVL -DPTPLAHVSFPFQYWRGTLKFRFEIIASPFHKGKIRFVYEPISALETSGSWTFDETKLTSRVVDLATERE -FTMHVGWGNNANYLGVSSPMDQNGLTPDPMFTSADLDTLAFQPKKHNGCIGVCVVNPLTCSEANDVEVLV -YVSAGDDFELQVPSIGLIGRLNTFSQLPAPEPPIDPDNISRSVATFSDLSLSVSSPPVSSEQSGEMLVPG -VGDVPNVDVAPDYILKDSSTTPVLVDKLAHIHFGERIVSIRQLLKRYCNHTVLIPPTLSGEFCNVRWVMN -DFPCYPGPDSNGMYPTFGGGYNYASPANFLNYFTPAFLMRRGSVRSKYVLYDTRYKGAVENKPTVLNFMV -SRATDTGFTRALSPYSTSALESNFAQPLLAVSHLHGLAGAEIAVLPLKNTVEVETPFYSAQRWYFAQDRD -INSAFKDPFGTATDLLRQQTFHTVSLDMDARFGSEETENTLFIRRYTSAGDDYSLHYYAFPPKLYVIDPV -DYPNGGA - ->YP_009336772.1 hypothetical protein 2 [Changjiang crawfish virus 1] -MTESYRKRMSQPEEEIHFTLDPQTEEEMLPQSQEKQETNLIPVTYVFPHGNSYCCPYSQYFTYDPVTQMK -PQSSEAATGHSMTTQNVTFHDYSPGATVVVPSEYDDVHSETIVNDLDLNNFFSRPVLARFYEWQVGTGAG -YLIGFNPWSFFWKNQRVVNRISNFKLLRAKMHVRFLINGSPMHYGRAIAYYTPLQNLDDVNRQGGSSAQT -LQNLVNNSQKPHVWLNPTTSQGGDLELPFLWHNNALDLPLGEFDEMGTLDLVSVTPLRHANGGTTDVQIS -VLAWATDVVLSGPTTCNVDGIAPQSDEYSNRVFSARATNVASVMNKLSSAPVIGPYARATALAASAASAI -ASLFGFSKPLELERTIIVPKTTHDMATSAGKDDCHKLSLDPKQELTIDPRAFGLSNKDEMEIANIASTES -YTTTFTWTSGNSSPAGTILWNTIVDPGDFTVYPATSPEINRITMTASCFAVAPFQYWRGSIKYRFQVVCS -ALHKGRLRIVYDPEIEVTTNDPTRITPEYNLGYQTVVDISETKDFEITVGWGQGSSYRQSAFYEGISPLD -AITPINYNSSTNTFGNGVLGVYVMNELTNPSSSVDDCYVVVSMAAGPDFEVACPTNKPLSRLRFRTFGDV -DAPVALLADVPEELEEIAPQSDELPVSAVPTQDATTFEATQHADTLADMSSLTSPTNMVFMGESIRSFRT -LLKRYCMTEIAHIPSTSGVTSGIAVQRSAFPIEPGYTPYYSASASTVPRVVGGKPYVYGFMTPLRFISAG -FVGWRGAIRWKVTTASVNENCCGFFRSPQTVTRYSGCTPTNISEPVANKSTNAGLQQWYVGFDEYANAQE -GAQIIDHRVEPIASFEVPFYTSRRFLPSRSLAHFDSDPETVFKPCWKLSYETTGPEAVSNSHQLYCAAGE -DFTLGMFIGAPIVYLESIPPV - ->YP_009336768.1 hypothetical protein [Shahe picorna-like virus 7] -MNPLNIEDEDVWVFPTSQIGDRLYYEDENYDWVLDDPRLWNPEMGPARVIDLMHPYCWNLSLSTDIVDNH -IWTWNYDGSPPMGRLPCGCAMYRVNPISPARFYAVYTIHYPYCEYMDWISDDTYITQYDRVDRQLEEDQE -YYDHFFRNQSEEIENDEIPEKVGTSDIKYGKTVYEHLSKARVMDAVKATSTLTARIKKRAKRLTFQGFKN -QSNKSYDMRDTHLTPAEISGIETIMAPIWKKYESFTMSDIGWTIYEYAMFFTNIWCTTNEYQLAVNLFGF -AKIFKTSKFNFPPSITAAIGMLDKEKKVPLSGPTNQALSLSSILDKCRALRLTLQGIETIPFIKMLTRVM -TVASLIRWMPKSIKCQSEWLEMAYSSWQDTIQKTYENPTSLLDLVLDTTSAAMDFVLCTMSDGMFASLQP -HSFASNVSTALARGRAYRTGTLETSHGITRAQFMRDTEAVLRVAKEYASTKANLGMHTLALRNLTDLKVL -YAELLNDKSNSDLRVAPLAIAFTGESGCGKSIIVHETINLAGHIGGFSTEDSDIHYVNTADAYDSGADSG -KTVLVIDDMASEHPDISKYKGAVMIRYSNTIPQVTVQAEADKKGKIVFRHHFCAITSNVPDLNLQASMSF -PQAGFNRCVLVEVSFNPKLERKKYYKNGKIDWEALKRDGIEKEAQVFRFYHYETTNSTARVLSSFKQIFH -NEGKYLNKEQFFEEFTRLLLEKEKSGEEYLAAIAEVRKRKFCKKCVKYFDYCPCVETTVPKPVITELKSD -VAQKILDDQAVGLNSIPIVSTECTSVENELWNIYDIDEWIRQTLYFWLAIDNWQERFWIAIMPGIWAGRD -ASIRIWLTSLWRFIQTQLIRLYASVSSRLAFALWFYPWVVSLTLFVVSYPLSHYQCTTPVFLGLYFDDDL -CGVGGYLMQIITFLLFLSTVWMAVCALAIGTAIKSRAVDIFFNEISMERSTFAISMLLGTVGVSWLAFKS -YYALFNTAISTVANQGNLSPTTMEEIESRNAEKNMWIDKAHFVHPEAEPTNHNATCDQIKKKISRNVVNV -EIYDLEDKIKRVRTCGFYVCSDVLMINQHSLQNFITIKNVFVSIRRASEDVGGFIKKVAVEEFYNIPNTD -ITFITTSKGPTYTNMRSYFLAEHMKVDSFTPAMMLTRDKLGNMDERTFIWTSTDKAYNENRNQYHMGSFH -RYDHPTHGGDCGSIAFSTSRPYGLLGIHTAADTRTNVGVTFAVTQDMISDAINAMSKSFRLRNESAFNID -RPELPTMLYDNPFPAYDTNLHFRDCVNFIPVSVSQEPMIDIYGSISNMRVSRKSEVRDTMLKEHLLIQGV -PDEHGPPKFDTNRNHADGFQQSLEGIHALPADDIRWAIQDYTDELIAQMMLLNYSCQPLTPYEAINGIRG -HRFVKALNMNTSSGMGLPSPKRKHFIETLYPDHVVYVPEDYLQKELDNIENLLCSNQVPASVFVSALKDE -PTDIGKDKVRVFQVATVAMNIKIREYLLPILAFLYANPLLSESAVGINCTNDEWESLNNFLFDFHPDNVL -EGDFSKYDLRQSGQTIMAGGTVFMKLAAALGYSPKDVEKVGLLMNSLSYKFMVWNGTLFATSNIMPSGSP -ITIALNTTTNCLLHRTGWVQICRELSIPLVPFREVAHLMCMGDDSIGTSKHPAFNMKNLQAFFVQYNMPY -TDAHKSIVAKPFTTRLEARFCKRGFRSDERVGGVLAPLEIKSILKSLFHYMESKTPEEEIVIMNVDGAMR -EFSRHDRETFERYAHIVRTAAEAAKISHMTKLLYYSYEDWGLIHLKNYRIIDIGDNGLKPTGTGSDEDSE -SQAMATLNSSDDLWLRLCGSGRTERLIITNQSQNIQRQGSVLIFEINKINASNYNNNNNANDVNIIYKTS -PKDSVMNLEFNSNSSGWGAGVNTFRDSTWNIASTSGGPQDFFQRPVKIFEYSWPINTTVFETVNPWEKYF -GDPKVLERISHYKNLSADLKIKILLNGSSFHYGQLLVSYQPLHNNDEIFTYNALHGNMLINLSQMPSVYV -NACQGRGGELTLPFFCPKNAVNIPSKEWENLGRLHIASVAPLAHVNGSLEPITVTIFAMAENVDVSTPTG -CYVNYFENQCEFVNQSDEYVKPSALANNVAKAAGFLSQVPAISPFMLATQAAASATGQLLALFGMSKPRL -VDKPVYVRYNIGMELAPTNVADPISVLALDAKKEINVDPRTVGLGPEDEMAITPIAMRESLLTTFNWNSS -MTPETHLFSMRITPMAGNKGSTSEYHMTPSAFVTLPFCYWKGTMYVRFDFIGTPFHKGRVLFKWDPVTNL -PENAGVYNTNYSAIMDINELTDKVFEIGWGQEIPFLPNGGLLTLPNFSSTEYTERSLNCNGVLSCYVVNE -LTCPSDELTEVTIRVSTWMGDDFEVAAPDSSAFEGTMIKLDPPPPITNSEPIVPKIPANPPPAPPPGINT -NIDYTTSTPTTFIPLGANPAWPAGGTGRMEVPINGPFVPQVTTGTKLAFAIATGEPSGGNEEISLTISGP -PAYTSSSMSVPINVLWLNGTADILEPPTCYRQASGDYLVSFRIGSPLTGPTYGVVNLTLPISGTWKLTSL -IMGPAYGKFKYMGSTPAATTTATYAPITTGSFSGNTVDMTSGFVDFEIPPADRFFSTSSTAAVHALVTGE -NGKVYKANLIYSDGTLSTTSVRFSTIPPSAGMLAATSWAVLHNKPTLGIKGVRFTSDDASPGSLSFYSVG -VNLGLNMTNQSSFSNQSGEVEEEGNNNAPLSDKIDVSMGNMLPGVEINSTHFGEKVTSIRQCVKRYVTTK -RLTNASTIVETMSDVNYPGVGDISLVQLHPMDYFRAAYLAYRGSWRVKVLNRRSATATSVAVQQGSILFS -RPSRQFMKTGNVNYLDWISWCGSSYGRISDQSNGVEVPYYSPLRFMPARRATGVDPANWQNDLLLGRDRS -YINIVTRNPLAAQDYEILKAAGEDYSFFFFLTTPVVTLY - ->YP_009336715.1 hypothetical protein 2 [Wenzhou picorna-like virus 7] -MDNYCYCYSDNFRPHSGVDDDDIGSVGRVINNVFDTYDSAKSFLGKTETFTWFDDAILSSSPNHCSRDCY -YTTDFVVQSGEERVISMTNNELKTSNLKFSDEQMLNAYSVQPSEDVTRKSEDTSDVQLSDFFKRPVKIRE -YEWGTGTTLSQIFDPWELFFNNKRVSNRISNFNLMRSRLYVRAVLNGNGFQYGRAILAYNAMDAYDSFRP -TSIVTQDITQLSQLPHVYLNPTTSQGGEMVLPFFYHFNSVKQPDTDYNELGQCYLESINALKHANGATDK -VTISIFAWAEDITLAVPTSKDSSALIPQSGEEVDEANLKGVVSGPASAIGKAASSLKDVPVIGKYAIATS -MIAGTTAKVAKALGYCRPPVNKNPEPFRNFPTSSLALTSVPDNAQKLTVDDKQELTIDPGISGISSGDVL -SIASIASRESYLTQFTWAVGAAPETLLWNARVSPVTWAETGSAYHFPACCMAALPFEYWRGTMNFRFQVV -ASNYHKGRLRIAYDPNFFDVSPEYNVNYMHIIDIAENNDFTISVSNGQRVSLIDHHKPGLDSSTQLYSST -RYTNEEEGNGVLQVSVLNELTVPNSVINNDIEINVFVSMGDDFEVFVPDDHFQHFVFKPQSGEEIEFQSG -EEAVDSSKLDSKPIEDDIVPVNGTMDTPAELNLVYTGESIKSFRTMLKRYNLHYGISPLDTFHNVLKLKQ -NAFPFLRGNVAGAVHTTAALAPYNYCNTLLLHWITNAFSGWRGSLRWKFLPRGGWEHITSTLERYHSVNN -DQYEFVIATPSAPVTQSQAAAGTVAVQTSDPTSNNVPLQGKTGMVYANSKVNPCLEVEVPFYSQARFVPG -RVENWTSTLGVWDRVNESFVYKAWGNSLEGESSYIDLYCAAGEDYQVYFFKGLPRMYYEASPPVA - ->YP_009336708.1 hypothetical protein 2 [Shahe picorna-like virus 2] -MLGFACVDIALLRYSYLGGISSVQMNTNRPIMNMHSDRLNKLFGNCLKEKENKTKHESAINSTIPSTLDE -VARPGLFPDYEFDSSVFTPQSGISPDKPPIDIDTPVDLVVSLRRTRDLHIATLRAEADYYSRNGGTCCQA -FNYIFTPQSGFVTTYSESSGPQHHKESNMKFRDKESHNVLTVGHGSDSIHTTRDTNDADLNKFFSRPLKV -WQDTWSVGDAPLFATFNPWEQYFLDTKVAERVANFKNMRCRMHVKFVINGNSFLYGRIMATYNPLFLQSQ -DFEEGPLFGVSSIIRSQRPRVFLDPCTSTGGELVLPFFWYKSYFDLFGSEHEEAGMITVEALNVLRHVSG -GDGRVTITAFAWCEDMQLSGLTMQPQSGTEIDQANMNGAISRPASNVAAIAGKLTSVPHIGKYAKATQVG -ASAIASVASHFGYSKPPLTAISSPMTLRAGSSLATTTTPDTCQKLTVDDKQELTIDPTTTGLSSVDEMAI -AEIAKKESYLTSFDWLPTDTTEKLLWNTYVQPVAYKVSGSKAFMTACGYAAMPFRYWSGTLHYRFQIVAS -AFHRGRIRVVYEPTKSESSAAYNTTYQEVVDIADAMDFTIAVTNNQAQDLLTSVKPCDVSNMQGTTALAF -RTTGNGVLAVYVVNELTTPLTAEGNEISINVFVSAGDDFQVYVPDETIGGYAFLPQSGQEVDPAAQTSEG -FNEAFLKSEDDLAMQSTSDNALSTIYVGENITSFRQLAKRYVLSRREGASGTTFNERWQTIIKGPAYPCL -RGDTTSDGRFNTTFVHWVRMPYAAWRGSIRYKVYGHTIDTAAKATIGLIDGGNSRAITSTVLPSNAINDK -ALQVLYTLANPYPIGASGACVTQLGSLNAAEFEVPFQCPYRFNPVKESNTSAGDPFQRGYRAEFITNGSG -QSGLDFWVAGGEDFSTFFWVGPPPFRCVLAGGS - ->YP_009336647.1 hypothetical protein 2 [Wenzhou picorna-like virus 2] -MINGNAFYYGRLLANYIPRHNSDNIVVNRALISVDNVEASQRPHIFIDPCTNQAGEITCPFLCPTDALNI -TKGEWEDMGLMNLRELNPLQHANGATDPITITVMAWMSEVTLTAPTATNSHGLTAQSGFEPQSGKEKSKP -RVKKVTNKPNQNSSSYSKQDEYGSGPVSGPASTVARIAGMLKEAPVIGPYAKATQIAASGISNIAKLFGY -SRPPTLAPEVRMQQRTLAGMALTNIPDEVEKLALDAKQELTVDGSVVGLDNTDEMTIKSIATRESFLDTC -TWRTSTAVGNPLFSALVTPCHAKEYGTTPKEHHCTAAQFAATPFKYWRGTIKYRFQIVSSAYHKGRLLIQ -WDPQTWSSTETNVQYSQIVDISDEKDFTIEVGWGAEYGWLECTPPTFTTHGVDYFITTNQSFRYNGVLSV -SVLNGLTSPSLSAGNAISMNVFVSAGDDIEFAVPIERRLSEVEYFPQSGFEFQSGVEEPAVQMDKDDTEQ -PSIPVQSTVLTTFAESPSCTDHSLDVYYGESITSFRQCLKRYQFVGGVPATSFVPGVRRQVYSFRHYPPL -PNQTTNVSWDDLLGVPVGRNLNKMNLMTYLYPAFAAGRGGTRWRIIKFAPTNGGKSIISLSNYPRTGNAG -PVVDEPVDLTDAQKCYLQSHAITGQGWQGMTATTSENNPVLYAEIPYQTNRRFFHTRDTSRTLRPEDKPI -SFQHCGNSLSTGNEVLLCYISASEDFSLHMYMGPPIMYT - ->YP_009336636.1 hypothetical protein 2 [Wenling picorna-like virus 1] -MLFKPQMGVVAEASVQKKGTFTAQENVDFSDQMQPYVYDAGGEMDPTRSLQDTNDATLDNFFSRPLKIHE -AEWGTGTSVYFNIDPWGLYFGNSRVINRLTNYKLLKAKLHVKFVINGNGFQYGRAIASYLPYSLFDSLST -SRAFITQDLVQESQRPHVYLDPTTSSGGEMVLPMFWYKNYLDIPASDWTDLGRVTVRTINDLKHANGATD -LVTISVFAWAEDVSFSVLTAREPATLVPQMGEIDEANSSGVISKPASVIEKCAGALKSVPTIAPFALATE -MAASTVGRIAKTFGYSRPLITKAPEPFIPRPIGQLALTNVPDNCMKLTVDDKQELSIDPRIAGLSGGTDP -LNIKDIAKRESYLTTFSWNIGTAPESLLWNARIDPATWAEVSGPPDELHLPACAMACLPFKYWTGSMKFR -FQVVCSSFHKGRLKVVYDPDFIASNEYNTNYLHVVDIADTKDFTIEIGNGQARTLLDHHVPGEDAVTQLY -STTPYASKEAGNGVIGLYVVNELTTPNSTVTNDIEINVFVSMGDDFEVFVPSNQFQKYVYKPQMGMKPQA -GEIVPESENTLEPSAPLHDQTVKLGPTLQDDELINKVYTGECITTFRQLLKRYNLHTCTGFLTNSRSCLQ -VQMASFPFLRGSVLGAIHVAAGPIDYNFCNTVMLHWVTNCFSGWRGSIRWKAVARGISESSNMKPRLEVS -RFTDQSFYLKTVVNLPTYANDAEAASTSVIQTPGIGILYGAGRPNSAALGTAMTVGQVNPVLEWEVPFYS -RERHVPGKSQNWTTSFRYGGSLFSLWTDPNTDTVVDFYCAAGEDYTPYFWTGCPILFYEPNPPVPP - ->YP_009337288.1 hypothetical protein 2 [Wenzhou picorna-like virus 51] -MHMKVIVNGSQMHYGRGLISYRPLMSEPGEVFQRTAGVVEPFGNMGYDDSTDLTLSNAEEICIMTQSQWP -KIFIDPGQSMGGEMEFPFFFGANWFRIPNRDWVANPSALNTGMTVPGGDGSVNTVNGPAPNISLGPYGCR -KMHMGVVHSSNLATLKHANGADDPVTIQVFLWASDVKFSVPTAVPHPGVGDIPVTNEASFEPHMRSVYVP -NFLGDLAKPAAPDIAGRLEMGDSSLNTDESTVGLGKSDEMSISAIAQRECWLDRFTWAVGDPAETPIWSC -RVTPQYFKRQRAFSTNVTGIPGMQPTPSAYASLPFGYWRGSMKYRIQIVASNLHRGRLRIVYDPVADIHA -RSNVNLYPESLMNQQYSRTIDIAGDAGRDFVFEVGYMQEKPYLPLLQLEARNTEGLNGQNFDWNNYGPSV -PTTANGNRLAPTQTTNGQITIYVLNRLAVPATGPAINNDVTINVFTSAGEDMDFQMPTARNLDMMSFVDP -TGFPVNWRNEESAVPESLGNEALRREKQFPKEVKEKAPREAKFEPHMNTEDDSAAMGTTEMENVPTDPPT -KAWMGDCSQPAASMASVTFGEKMDSWMSLMNRWQLYNREVYCREDFPTVNSPDRGDDEYTVLTVIPDFPP -FPGAAPMSSKWASKTSYPGLPSVSTAGPFIDGTSVPGGDDVLADNFAFNLAPAGKFWLNAPIDEVPAPGA -VFADSAKLLKVNPGQLTMMHFVTRMFIARKGAIRNKYILDGNTAPDNTVGTQIMSVKRLPDSGVISGNTF -SGGDIGSSLSNAQTELETTLVRYGMPAYGGFWSQASCRVENASFNSSTNPSTFGAINVAKAQALNGSMQT -GTSNSEAPNWFNPLTYPAEGDYAPPLGQSTTVAQTVGKSDLMMSNTYDGTHVTTSLQQPVIEVEIPFYVN -SRFLKNDLVLNNTRGVQAHVLKYETHLNKEGTNEDAFQEASTLTYFERHVRPGKDFSLYYLANVPYIVML -GNFLYQSVAGISGTAEITRVAYGSLRYRSACRQSQSAYREPGVTPVLQSGSINTYPQDTYFYDLPILAQS -YPLNIPDAAF - ->YP_009333383.1 hypothetical protein 2 [Beihai picorna-like virus 26] -MLITGTCINKDSSIIEVSDEGVTSIVHKIRQIEIRLRLLELNSTNDINNVRDLGESAHQLESISELESNS -GALTGFEPRNGSWSSNMHSSICKRIYRELGSHTSSGMLHGLELLNVYNESRKDSGLAPVKLGDLLPIVNK -EWKKKQDDTSECFTDLSANSGEIHTGSSAVTASVPATTEEVLAFDTDVIAATAENALSIDSTQLSAVDTS -HTELGAFLSRPVQIRQYELKVGELLTENFRPWSDFLSTLTVNKKIENYSLLRGKLHLRFLVNGGPTYYGK -LIAAYNPYDSSLRPNNIKPTLVFDSARGVRLTKMSQRPHVIIDPTESSGGDIECPFVYPFNYMDITQGSP -DPNAMGEVDMQSISALGSTSVEGPAQSSDVAVTITIFAWMSEVTLAAPTSAPPLLANSGLDPNSAQVEDE -YGKGIISRPASALARMMGRMSSIPTIGPYATATGMVASGVSDLASLFGYCRPMSVKAPEQYRPTYMGNLA -NTDIEEAGCKLTFDAKQELTIDHNIIGRQTEDELNVLSIAKRESFVDTFAWNGTSSPGTVLAKINVTPTV -MPKHNYNYLNLDNTELGLTALAYASLPFTYWRGGLRYRFMVQCSAFHRGRLRITYDPNSDSGEDAASNAV -YTRIVDIGQNRDFTLDVNWMQAQPYRFTDQPTATEPGMLTDYTPAECSLPVRNATVLNRNIATCNGQITI -EVLNNLVSPLQTDTLSNNIEVAWFISACDDFEVAGPGEAFGNLQSAYTREVTNLTPNAGEVLDANSGLAG -ASTVAQKADTGDILALEPIGTMSDFSAMSMVHFGETFSSFRKMMKRYTFYEDVPLPARAPTAEDDQNFLF -RFTTPMYPLAYGVNPYGPYNNPEEGFEDSFDFTVARNSLMTYLAKGFVARRGGVRWKYMITSPTNTDLVR -ELRVARTPYHFGSVLAGTRIARLNPTPASSQLQAQDLMANATNEFGGSYITPSQQNPTAEVELPFYSNYR -FHTGPDSSVDQTQVHKVEAQLHNVGAINNGILRSYVAAAEDFNLSWFINAPSMYYQGFAAGTS - ->YP_009333601.1 hypothetical protein 2 [Beihai picorna-like virus 51] -MNTPHKIFGSGMAEDATISTQNMSILDNSPGQKDTRGTHMDETRNDGFMSDTTLDNFFSRPILIFQNEWV -VGGTMFHRFNPWKLFWENPRNAEKIRNYYLLKCTMHVKLLINGNAFYYGRAIMAYEPLAALDNITPTSYR -SFIPADLVRMSQRMHFYINPTESQGGSLSLPFFYPKNAFRVPANDWDEMGDIVITSMNTLQHANGGTDPL -TISVLAWAENVSFSIPTAGILNEAEMADEHEQNVISRPASNVARYARSLANIPWIGPFAKATEIGASAVA -AIAKIFGYSSPTNLDYEMMVPNPRPSLAVVDAKYATNKLTVDSKQELTIDPVTTGINATDELPIASIAGR -ESYLTFFNWSMNAAPQTRLIALRVDPFLTIESDGEYHFTAPAAAVLPFKYWRGTMRFRFQVVSSAYHKGR -LRVVYDPLTLVPNAEFNTHYTTVHDISTDKDFTIDVGWAQNEPYREKLDLTDVRFTRLAGSTLNTIPRGN -GMIGVYVLNELTVPGTVVANVQVNVFISMLDDFEVAEPTDDISLFRFRPPVPVGTPEMADMAVMEDADCC -ETPISDPPTIDTMADSMIESANITKLFFGEVIGSVRQLLKRTCLAEIVNVDDSGSPNRVLITRNAFPLFG -GIMSPLVPLTTDSMVYWYDNGNGDKIVPVATTYLNYFGMMFLGWRGSIRWTFDTSTLNVMSNVVGGSMFN -SITMCISRDDRASNINSYDPVHPAGPESVGAVTLLNVEDGLSQNGAYLGNTNVNPIMSAEVPFYSNERFL -VTHQTPRFDEATVAPSFRLNFVLPGLASEDDYSFLRMYCSAGEDFNLFFFNGLPPMFYLASLPLDL - ->YP_009333594.1 hypothetical protein 2 [Beihai picorna-like virus 23] -MYAVDSEIDPTRTLQDTNDAALENFFSRPIKIHDEKWSTSTTLGFDIDPWRLYFENARVLNRISNFNLLR -AKLHVKIVINGNGFQYGRSMVSYLPFNAYDSLSSNSALIREDLVQASQQPRIFLDPTTSQGGELLLPFFN -YQNYISIPELQWREMGRLYFRSLNTLKHANGSNDVATISVFAWAEDVKLNVLTSRDPTGIPPQSGMEVDE -VNEKGKVSGPATAIAKFAGKMTNIPYIGRFAQATEMAANTTAAVAKMFGYCRPPVTKNPEPYKPYSASSL -ALTNTGDGPAKLTVDDKQELTIDPRTTGLGGVDPMNIKEIAKRESYLTTFNWNIGTSTESLLWNMRLDPC -TWAEDSGGFATSYHFPACAMAALPFTYWTGSMKVRFQIVCSSFHKGRLKFVYDPHYFQSNEYNTNYLNVI -DIADQTDFTIEIANGQDRTLLNHARPGINSVTEMYSTTPYIGPSSFGNGVLGVYVVNELTSPNSTVNNDI -EINVFVSMGDDFEVFVPDDHFQYFTCKPPLPQSGFEPQSGNEGALVSESQNTNEPSAPQQEEAIALGPTK -SDNCSVNRVFTGEAISSFRTMLKRYNLWSALGYSAQNFDILIFGRYSMFPYLRGRVLGAVDVTSTGVPYN -YCNTIMLHWVTLAFSGWRGSIRYKLLPRGNFAPEARPTFYIQRHPLDSQPAYDFGTTGSPTYSTSTQVRR -GVMPSEGLRPLNGNPFTGVKGQVYQSGYLNPAVEFELPYYSPFRFTPGKNEDYTDNNRFNESWDYRITGR -GDNTSVWDIHVAAGEDFQTFFFTGLPRMYYEPVSPP - ->YP_009333587.1 hypothetical protein 2 [Beihai picorna-like virus 20] -MYSIQSQEDMTRCNEDTNDVDLAAFFRRPVRIREFEWGTGVALSQAFDPWLRFFSDKRVVNRISNFNLLR -AKLHLKVVINGNGFQYGRAILAYNALDSFDKFSPSLLIDDDLVQLSQLPHIYLNPTTSQGGDLTLPFFYH -LNSYAQSSGVFTDIGKCYLRSINTLKHANGASDKVTISIFAWAEDITLAVPTSQNSTILTAQMGEIDEAN -AKGTISGPATAVADAAATLSSVPIIGPYAKATEMPARMVAKIAKALGYCRPPETKNPEPYRNTAISSLAV -TNVPDNTQKLTVDDKQELTIDPGISGVAEGDVLTIKDIARRESFLTKFSWNVGTAPDTLLWNARVDPVIW -AQSASTFHFPACAMASIPFKYWTGTMKFRFQVVTSNYHKGRLRIAYDPNFFASDTEFNVNYMHIVDIAEK -TDFTVSVTNGQQVTLLDHHLPGIDSVTQMYSTTRYTAKEEGNGVIQVSVLNELTVPNSITNNDIEINVFV -SMGDDFEVFVPDDHFQQFTVANPVLEAQSGEIGVDKIELDSDPVKENADPLNGSEGVSDKTNLVFTGESI -KSFRTMLKRYNMHFGLSPLDQFHNEITLRMNSYPYYRGRVTGAVNNTSPNGKYNYCHTVMLHWITLAFSG -WRGGIRWKALPRGGWEHLTSTVERAGIIQSSQHDLDVTAPSAPATQSQAAESAVNTGVIGTTLGAPLPGT -KGMAYTVRAVNPCLEFEIPFYSPARFVPGRVEDWTSNTETRYNEVFDFKAWGSSLEGEETFIDFYVSAAE -DFQVYFFKGLPRLYHEPLLPPPL - ->YP_009333584.1 hypothetical protein 2 [Beihai picorna-like virus 19] -MQKVQTVTFAHSDKPVAKELGTAPEPTYWTGGATDTPLGEYFRRPVRLASYTWALNANLFETIRPWQEWR -NDTNVRPRLEGFKHFRGKLRVRAVINGNPMFYGKLIMAWEPRAQRSIFPIASSTSNACTVQLTCMPHVIL -DACTGEGGELQLPFFCPENWLDTTNSISAFDMGTLHLHSIAPLRTAGATGTQTIEIQLYAWLEDAEVCTP -TATNYDQWSYQGSYPEPDAVTVTFWQALARILRRKKRKRLRRLGDEIPQNEFATGMVSKPATAVAKAAKM -LAKVPVIEPYALATEIVASGLAGVAHVFGFSRPQVMDNISRYRQFYAGELATTNTHDAFAKLALDAKNQL -TIDPRTVGLSPVDEMSIPYIVQKEAYTGKFTWDVADTTDTVLQRINVTPVMFNRDTTTVTPRAALTPLAF -ASFPFRYWRGSIIFRFQIIASAFHRGRIRIAYEPAGSSSQPGFNQVYSTIVDIATNRDVELPVEWHAHQP -WLDVHKPNITSTTDTPFGTTIPMSPVTDNGQLTISVVNKLQAPDPTNTQGVTIAMYVRGGDDLEFAVPWD -LDGEYTFRAPDPGEQPQSLSLGEVPQNAFEQDQQEEEDNMPEGGKPLGGVGDYHQSPKNELSKVYFGEHI -SSFRTLLKRYQQGPSVTNLSFNYYRSNVSQLNSPMREFIMVAYAGWRGSIRYKLLPGTTSSRNTVNHSFG -GEGFNSNTMQGVHINYGPVEFESPWYSTKRFEHCRTSPHFTADTDELARQEANPNRQGFFYTGDSGLSFS -AIGEDFTTFFFIGPPLIYSTAD - ->YP_009333574.1 hypothetical protein 2 [Beihai picorna-like virus 21] -MSIINLSASKQFVRKAQQNVSFLPLVNEEGAGTRENRDDLQRSFHGERRTNSPDLRRLYPELTSFYERAE -IERNLCPGADFMPQSGTTSDNTIMRVASESKHQNVEFGDQKDPYMYAVDDAVDPTRKLMDSDDARLDNFL -SRPLKISETQWGTGTLFFQQIDPWSLYLQNPRVINRITNFNLLRAKLNLKIVINGNGFLYGRAIASYLPF -AGFDNLSQNRGLVLQDIVQASQQPHVFLDPTLSLGGDMQLPFYYHKNYLDITQSDWGSMGQLTIRSINEL -KHANGATDSVTVTIFAWIDDASMNVLTSVEPTTLVPQSGAEVDEANMKGFISGPATAVQKAANALSSIPM -IAPFATATATGAGVVAEVAKTLGYCRPPVTADPNPYKPVTISELAVTTVPDGTHKLTVDDKQELSIDPRI -AGLGAADPMNIREIAKRESYLTTFNWTIGTAPETLLWNSRISPLLWAEDGLTPKGYHFPASAMAAFPFKY -WTGSMKFRFQIVCSAFHKGRVKVVYDPNFLSSNEYNTNYLEVIDIADKNDFTIEIGNGQPTTLLEHAEPG -LDAVTTLYGTSQFTSKTFGNGVIGMYVVNELTTPNSSVNNDIQINVFVSMGDDFEVFVPHDTFQNFVFKP -QSGFEEQSGMENSPECQNTEEPSAPQQSESSNVGPGITNHGLINKVYTGEAIVSFRALLKRYNLHSNLVY -FDTTNKIISYGRRPMFPFLRGNVAGAVNTTGAAAPYNYCNTVLLHWVTYAFSGWRGSIRWKAILRGYQSE -ARAFAVNIERVPIGEPGYRTGRIAMPSYTNFDQAAQSVVVDNNIFPRTDGPLSGVRGKLYVTGQINPNIE -FESPFYSLYRFSPGKAEDLTTTLDYQEGYDFSVMGSGTNDTAYDAHCAIGEDFQVYFFTGLPPMYYEASA -PAA - ->YP_009333569.1 hypothetical protein 2 [Beihai picorna-like virus 28] -MSSTQQPVDVEIVEDTVAIEQYLKRPIRIDQTTWDTGDFLRKTIDPWSLYMADPAIRRKIDNYAFFRGTM -KVKITVNGNAFYYGRGLVSYNPYGFDNREVERAPGNAPTVDNVRFSQRPYIMINPTESSGGELTLPFVFP -LSMCQRTNTSNRDWSQSSLGRLIYSSFNPLRHSNGITSSITITTFAWFEDITLAGPTQIPLPSTDPLYSD -AGDEYGQGIISRPASALARAAGSLTNIPMFKPYAMATQIGADTVGKVASLFGYSRPVNLNPINKFAPAYL -GNIANTTVEDATDKLTYDPKQELSLDPSLLGVNTMQDELTVNNIASHESYFTRFEWKTDDAVDQLLFSTR -VTPVMFSRSSLTQDTATEYHLTPLALATLPFEFWRGSLIYRFQLAVSNFHKGRLRLVYDPVGSSSLGGAA -PDFSTVYTRILDVTESKEFELRVGYNQPVAFREVDTDIATTADLPFSPLADITDFTATPVTSKIHNDNGV -LSIYVLNELTTPNTADVNSPQVNVFVRAGPDFEVAGPSGSVLDNFTTFEPPEVLPQMFSDASELIPIKKT -IDPIGKLMTDEHVNLNYFGEVFMSFRDMLKRYELSTIHLNPPVPENAEVLQWTVTSPNFPMYRGPDPNGP -DQKGSAPWTVSKNTLMNWLTPAFVCRRGGIRWKFNLESHSSDRSMAEMYVFRRSGNPSLQNTLTDLQVLG -IDNKIRAMDFHSTMFPGGALTNTAVKPTLEVEFPYYANKKFNAASETTEIGLYGQKHNIVVFGRNPSVTP -SEDVGAYIKAYCAAGEDFNLSWFMNAPVLYLNPDYP - ->YP_009333561.1 hypothetical protein 2 [Beihai picorna-like virus 24] -MTYVKYIKSFLKTAKDEPDGVVRGQWNDSLRKYTSGSKYFKEWKAKQLEEFVSDPAGELLPSYIEDAQSQ -SGEMRQEGVETTTESEVEQTMEFQTDIDQVKVDIGTVVDSTRLQASVKNTELGEFLSRPLRIGSHNLTNG -FYLDVAFNPWMEFLSNGAVSAKLEHYSLIRGTMHVKFLINGGPFYFGNIICGYKPRGAGFDFVQGNNNIV -EDYFQRAILLSQRQHLIINPTNSQGGELELPFFHDKNYLDLIASEDILDMGEISMISLAPLDRAIGANNQ -RQINITVMAWMTNVELAGPTTRGILSQSGKIDRDEYGKGIISRPAKAIARWAGRLKAVPEIGPYATATQM -AATGVGAMAELWGFSRPINVEPIRRYKHQMHGILANSAIDEAVEKLSYDPKQELTVDHNITGASLDDEMS -IKAITSKSSLLTYFNWSATANENSLLGTINVTPCHCSTRNNGTSEYGTEWVQTPLAHATFPFKYWRGGIN -YRFQINCSDLHRGRLLLVYDPRGFSGTSMPDTNTVFARVIDLEETKDFTLPIYWFQERSWAEVPYGPTQS -GIGKGSTTPADQTGFSNGQLRIYVLNELTGPDEDLTNSVRILTFISGADDYEVAVPNDHTIKKTAFGGEF -LHTSTEANSAWSQSGIVNHVTSQSGILNNTKAAMASKPGHAGSELLEPIGEPSKPDALSLVYNGETFDSF -RDMFKRYNLSGVFARNHSTSTANRSTRYRLVLPNFPMYNGRAETNGMYQQSREGGLNAVNYNIAGRTLLN -WITPAYAARRGGIRYKYMIGYYSNSTPSGIVVSRGRTGSAPTFGTQEAVLDTSATNKHAAHSVLQETGHN -GCAFTSRHNPVVEVELPYYSNKKFEDASDITTASQYPDQTHYVDIYDGNRQQHGDMEIFQYVATGEDFNL -TWYVNAPSFFVQNYSLVQ - ->YP_009333557.1 hypothetical protein 2 [Beihai picorna-like virus 17] -MYNTLHINILIPVTNFGRGSQCRSQSSERGNVSAIKAHSLCASPGTETYGIWGLLASGVIYIWYAIIRDY -RARIVCDRDTHTHIEPQAEELQVGLGSDNTKSQNVSFNDTHPGYKTDILGAFDEVRSAPLASDATLDEFF -SRPLRIASYDWGVGTTLSEVFNPWNLYFSNPRVINRIANYKLLQAKLHVKVLINGNSFHYGRLLVSYYPL -PTLDEMSVTRSFYDVDNVGDTQRPHIMLDPTNSQGGEMVLPFFWNKNLLDIPGEDWSLMGALKISTLQGL -KHALGATDTVTINVFAWAESVRFAIPTQTEPSAIAPQADEYGRGAISRPATVVANIASKLANVPIIGPFA -KATQIGATATGAIAALFGYSKPAEIDSHSVRIVNRSSLATTVGTEQVDKLTVDPKQELTIDPCTVGLEST -DEMAVLNIAQHETYLASFDWDVGTSQETLLWNCVVDPCLHRILNSELHFTAPCFATLPFDYWRGTMIFRF -QVVCSKYHKGRLKIVYDPNQTPTGGAAEYNTAYTTIVDISDTTDFTIKAGWGQPFSYREHITIPTTESAM -FSTTPLPYASNSVKYGNGTLAVYVVNELTVPNTAIDNDIEVNVFVSVDDDFEIAQPTSATVSKLRMNAHP -NVAPQAFEPQASEAPADELNKMDSKPIDPNLLSQAALTIPTSDKTNMIHFGESIRSFRTLLKRFNLHEVQ -PALFDSGTVGQNIMRGTSRQALPFEPGYSTTSGSLTYNLTAGNYAYAQMTLMRYLTTGFAGWRGGVRWLY -DFSNLTDVPFSAFYQVTRLGHNSLNANGQVVVSQTDTPAGHASCTEVYQNHNAQEGSSMMTNAVNNVLGF -EVPYYSNYRFAPAKQKVKFDSTEMQAMPYYHTICQTQFSTASGVVRTYCAAAEDFNVFFYLGPPIFYYEN -TVPSA - ->YP_009333555.1 hypothetical protein 2 [Beihai picorna-like virus 14] -MPDSTFKIADTDDASLENFFSRPIKIQSYNWGTGTNLFETFNPWQDFFENTRVINRITNYNLLRCKLKVR -IMLNGNGFHYGRAIASYIPLHNLDDFTKDRAFFIEDVVEASQRPHVYLDPTTSQGGTLTLPFVWYENALR -IPDQEWRNMGDIIIHGMQNLKHANGATDSVTVSVFAWAEEVSLSIPTANEPGSLTPQMGEIFTPQVNDEY -GSGPISRPAGIVAKAAGALSKVPVIGQYARATEMAASAVSSVATMFGYSRPVELANITPYKPTYLGNMAN -TNVPDTSNKLTLDAKQELTIDPRVMGLGMTDEMTIKSIAQRESFLTQFGWAVADTTETLLWNSEVCPVLW -NTLSSTPQELHFPACCFATLPFKRWRGTMKFRFQIVASTFHKGRLKITYDPSYPLTNEYNTNYTYIIDLA -KERDFTIEVGWGHEKSLINHRTPGVDNPPYGTTPLGGDPGGFANGIISAYVVNELTVPNSTADNDIEVNV -FVSMGDDFEVFDPDPSDIEDLVWFQPQVGEVFAPQMAEQNGSNPDSDLTKNEDEPMKMEASETLAVPLSD -QDHTICVYYGDPVTSFRQCLKRYNWHSAVSPSVNSKLIFSLINNNFPYYRGYAPGAVHLTDASGVPVPYN -FCKMTLLNYITPAFTCVRGGLRWKYLRTGDSTNDTSLMQLKRVADRDAVYAQSEILSFFNGNSSAPERIR -QAAVRLPHTWDGAVATTTRQNPVLEAEMPYYSNLRFYPGKAANKTSITAFNQFHQLSTIWESAVEDSPLI -HCYCSVGEDFNLGFFTGAPVAWRVPQLSDPPAV - ->YP_009333546.1 hypothetical protein [Beihai picorna-like virus 16] -MTESTQELVPTYPLHHRWSGSIIAQAMKKPMEVTLGDHEVEKDEMVKDFEALFNDKVMRRKKVRNRRLKK -ATAKGGNPKRKVKPHNGVEENEDINNLACMVESLIFFGTTKYATTMFRQAFLACLFAVYNSTKTSWILSL -VNLIRTQSVFDAWDTYSMQDILNWLKGALGDWQVFSKHQIFDSFSALLTYMVTLGWIKDGINLSWGKLDL -LRVEALKTQVKATDFITALWETIVFILEGIKHLLSGTFYQFLQSTSDMVRFESLYLKLKDQFDLVVYGKV -DESIYLDVAQYEFDLSETLLLGRKLMGVCPSKDKLRVTTYLKDLIAMKSKFLEAVCGGDLRMAPFALLIH -GNSSVGKSTLSSVLLKYLLKVNGYSCSAAYIAYLNLMDKYFPNVKPYTTGISIDDICNAIADMIEGSPLQ -TLLEFINNVPMYLNQAAVEDKGKISANPKVLTATTNIKHLNAEAYTLEPASIMRRFAYHLHVEVKPKFRV -DGGVALDRKKLMKYEAEKIQAAIDEDKAYVPDIWDIRVYHVHIKEDKNKSGPNDKRHKPSRYELVLEKKF -SNMGELEIFLKEASREHFEAQKLVVEGDTRLSKMEFCNTCGAVECHCICPHGGTPEEIAKKELLVQLPNV -EKLVLREDEFKMAMTEFFVDTKDSVRNLFNMLEEHCAGLCVAHLYIVQKVVRKLFNKYVTPDWTMWLPNW -IEGTPMGTTLTRLYRPKMVATTRVIFGLWNCIRLQIGLFALSKYLQWCRERIYAKEEAFVENLLVLCLLL -LYINVTRVSLPAVYHWIISTLRWLSSTNFSRILTIGFWTLVTVSEGFRPGLGMFLGACALAWTTINDEIK -VFKDVPYTISKSYAWIKREDNEYRDKVIPNFCGGYVVYKLLPRIVSSLKTAMEKAEPHTRLDPTPEEAAI -LDKEASDKWYQPPLKERILDYIPMEVGRSPSELSNKVMKNLVYVRDCNTRLFTNGLFIMSGIVLVPSHFT -DDLDGKVEIIRKTVGVGCGNAIISRVVDKLDVYSFPKLDLALISIPNSGDFTTIVDCFPSRIPKAERTGR -MLYRNRDGNIKEFPVVNIKSTMTTNNHKRSGKLMVFPGFHYTAENAMGMCCSPIISNDKQSFILGLHIGG -DGHTQGRGIFIDPKTLQSGVDELRSRRHIPQIAEAGEITKHNGEEFFRPELHKRSPLQLVEGQNNFRFMG -TVRLRSTPKSRVVDTPIAAELARELDLMVGWGKPKFRGPDKSSPWVPWLRSLRIAVNPPPGFEPKLLDRA -IVSYESRLKSTMKSLTPLSDFEIVNGRDNCRFIDAMDRSTSMGYFWPGTKSDWMVPIEGKVEKYTFKEEI -WRNTKEIEETYSTGKRAYPVFKACLKDEPTKTTKDKVRVFQAAPITLQILTRKYFLPIVRLLSVYSARSE -CAVGINPMSCDWGELHHHLSYFPNTLAIDYSAFDINMCSQLTFAAFSILIRFAEMAGYTYDQLRVMWGVA -TDICWALVAYNGDLIQFCGTNPSGQNLTVYINCIVNSLIFRMAYYGCGYNHFNSSVRLMTYGDDAIATTC -TKFGMKAMRDFLAERGMTITMADKSAEFVDHVALGDVDFLRRGFSYHPDLDTIVAPLEKESIYKRLLSIN -ASKVLSVKEVTAVNVDSALDEFVFWGGEEFVKHYNILVPIAQAHGLVDICPRIMKGYADRVSRWKKNFCA -LPALPGGKSETGVKVTMLPNGQWVSIGEHQIAQNSEGVGLATQANTSNNPTEVGILKWINKFREQIKEKS -SGLEIPLKSLGVDAPNVFPHAGSEIVTFHDDKDRQNTVPSDVDSTRDRLQGTDSSLGDFLSRPVQIGQYF -WSTGTPDFLVFLNPWHQFMINPRVANRVSNYYCGKMKLHVKFVINGNSFFYGRLMASYIPMYGYDTLTQY -TTDRLENVQFSQMPKIFLDPTTSEGGTMELPFFWHFDYLNLTNTDITNMGTIVIRTLNDLKFANAAANQN -VTITVFAWATEVDLRAPTHKNVYDISPQSGTEDEYGKVSGPATAVANAAGRLSNVPVVGKYARATQVIAS -TVSGIAKAFGYSAPSIAGAPSLVNPKPTTNMSTVDNPDSGQKLTYDSKQELTIDSRIAGLDGTDEMNIAY -LASRESYIFTFPWLKADGTYQCLFSAVVDPGLYRSTPEGTGLKLHIPASFGAVTPFGYWSGSMEFRFQIV -ASAFHRGRLAICFDPAPSCPTVFEPNVMYTEIIDIATCRDFTIKCPYMQDRAYSQPAGPQVSETALIGTS -PVTGANPAFHVGNGTISVWIINELTTPNVDPSVPGDIEVNVYMKACEDFKVMGPSSFMACYEIKPQSGRE -VDPVSDNNAPVSHADETLEQCVDHDVHHLVYGGEAVKSFRSVIKRYYRHLAFGCTNDDTGLFHSLAIQCF -PFYKGRVSGAVHTTSTTNYNFCGFTMLNWLAPAFCGMRGSIRWKIAPRGSSDKHISRASITANLIQQQNF -EDSSGFVSKSSRSVLAKEGMFGTVGFDPEIDNYGAIVLANSAVNGVLEVEIPYYSHARFIPGRIENWTTV -TPPTALYGVRFLVDNSDSGAEFYETFVAAGEDFSFFFFAGWPPLYYYTTVPTPT - ->YP_009333517.1 hypothetical protein [Beihai picorna-like virus 50] -MRDQFESMFGVYTSTHSDELVHIGHLAPTLCSGVLTSEDGEDDVLHLEPEVHDEFTEYLSRNHPEVVFHD -NEVYIETTEDSETSIFETLADNFDFLINKFQWFADLYFSFLFHVRMSLSVTIPQLTLFNVLQNIAYGPLV -VLTDYLFRGKTRLDHIMILGGLLFYTPLASLFYFGTSVITLANGLSFASGTYLLNKAYNRLNKGETIVTA -SPTVVALNFGLDLTPVQQRIISLFESLFICVHTLMNSASLVVRSGAVINFVKILDFSTSAIKQFVVGKTM -GFLKSRLCDADGIFGKLVGLLVAVPLLAKDPSSALKVLSNWSRQSRNYNNIIDAITDVLGFIKEKGAYLL -LGKQGDSIQQWYNECQQLQLEFMPFAMRVSSTNVPTDFSIRALVKVEELLEFGKNISVLDQKSGSQTSIS -RMISQLVEFKAEVDRYHLSSQMRRFPFGVLLWGLPSTGKSWLANEIMFHYGNLFGLPTDPDYMYTFPSLD -KYMSGFRTAQWAIKLDDLGALNPKVKLDEKLPYVLDLFQNQPMMSVQAEVGAKAAVPVLSELIIATANNP -CIGQGVFNTLEAIQRRFLAVEVCVKKSYQLSNGELDSNRVQGNEEDAFYFHLWVANITGGDMDNVVPETE -RYGSYQRIHIDGKDTFNIIEILDVLTERFTKHHTQQTRVLSNSHSKKYCLECKLPQQFCKDPLEHNLDAD -KPFRPVEAEVITEEGKESESDLFSIEILKEKAPLAAAVACSGTLAMLLYKQFSAQKSDDMDTELQTWQRV -NVPYTQVQKTTSYSALKQKILNNTYVLIGCDGSGFNWQSTVTAVCLQGNTFITVKHFWRKAKGGYAIIPW -RTVANLGEKTKMTVHAYPNMIHLDNDRDWSIFRNDNIPTNTGVIDHWVEGDPQNVTHIKGTLRRWMGDNV -EETDVPLMPVSQESLVELGSDTAMSCGFRVPVRKFHSRGAKGGTCGSLLIAPNMNGSGQAIAGLLNAGDE -YGEYYAMYTVVGRSDIDEALAKYSWSCRPCPATGKFKNRLVKRPKLQHFDFEHATSSYVAADYFPIGKLP -KYNPSLSSKCRPSAAYDFLREEGIDVDKWGPPTFTTPFHMQGDKKVYENDRSPIRNSVLKILGPRPQYAP -QAYHETRLQFYEDFLSIIPEGDYSPLTIIEAINGWGELPPLDMSTSFGFPHYGAKSRWFKFTNGQWEPTQ -ELEDAVREILENYQDGRTHAPVFTGTFKDEVRPKSKIYKGKVRMFTAATAPFIIVQRILYGKLLSTYKKL -RGVEAHHAYGANHATEWDKLGKSLVMHSPNLLAGDYSDFDGTQSAQRSLDNNDVKYRVMLAKTTKPLFDK -QVYDCHAHDLAYPTISIKGDIIKTSGFNTSGNFCTTWDNNLNNVFNIMYGYNVLRKDSWPSFNEALKILV -YGDDSLVSSKVPDFNQNTFSKVMESVGIKYTDANKSVVFPDFVNIDDATFLGRYFVSIDGIYTGLLKEES -IIRSLAWRSPATSLTDNEHIIECMRSQRLELARYQCAEPGHRLLYLWPKLVQYVIDIGACTPEVGKTLEL -MSSQEAHDYAKYGIMPSGNVYNIDHLDDEQLSCLLYNGYAPVIRPVLCSGSPDIKTDIPLDVTDHKEATT -TFKDEKGHLETEALEEEEEVLSMNLHPYPQPPQTGLADFLSRPLRVFELTLNVGAVLAHRVDLWPLFLND -AAVAAKVRNFALVRGSMMVRIMTNGSPFHYGIGKVAWMPRSGGFGRGNPNDINRASTLPGRLIGFDFSSN -FINEFEIPFVSPVNYIDIEETQAGTDTVTPRNRLILQSYTPLRMSNGGTDSVQIQVYIWLKPDTVELIYP -VPASGKIKKKKNRESISNGKTAFNQVYDSVMSAELQSNGPVSSMTSAAASAMGYLTDIPIIGDYAQLAEK -GLNFTTNVAAFFGYSRPKISDAPSTYVRRPFGNMASVYQQDETLSLALDPKQGITSDGAAVSPITADQMS -FNSIGRRWYYVMNATVQPGDVGGTKLLDIGVTPSVSTKETILAGDPFELSNIGLVAWPFDKWTGSIEYLF -VAVHARNQTLRVLATYDPTGVNHTAFPSNQQFNVLMDCQPNENGYTACIINVPYAQKEQFKDVLHSPGQT -FMTTGGLVYNFGGMNGSLRLTVANPIRGPDPQNVDICVFVRAGPDFQVACPSKTSLVNLMPTSQSGAIKP -KLCSGGIMVDLYCDKVCPYDLNTGFENIVAVTYIGSPPDMGATMDIPSIYYGEKIVSFRALIKRYNHYST -FRHDPGFAGIQKVNLNYPPHPLSQFYQYVGGIALGVDFGAMTYGGADTSLFEGWMVNTSNMNYIYHAFYG -QRGGVRWKFNISSNDGNTETAWDSVRFRRGQTSVYGDGTAEYPVMSVVSMVNSVGGLLLPDAADNSALVE -GFQGTDLDIAPVLPVEVPFYSPFKFRSTTTGNLLPQVPSFDDGSKHMGGQIEILTNAVANVLVESYVAAA -DDYDLVLFLCSPKFYTAANWT - ->YP_009333482.1 hypothetical protein 2 [Beihai picorna-like virus 39] -MLSPQSGMFCSTESPGTQELHQTVEFIDQNPSFECKINSEIDPSRSSTRDGNAEFSNFFSRPVMIHSTRW -NVNSELDQLIDPWQLWFSNPRISNRLNNFHLFKGKMKIKVVINGNQFYWGRSLLSYLPFSTQGNFTTENT -WLDKLPASQRPHLWIDPSTSQGGTMELPFYFPRDAVDLTLQSEMQDIGKLWLLSTSPLHHPTSSNAVAIS -IFAWVEDIELSAPTHISTGLLQPQSGKMESIPEEQSSGKISGPLTTVAKASDVLSEVPVMAPYAKMASAT -ARGLGAMASLFGYSRPRLNEAPRPYKAHNVSNVANTDTSDNSTVLALTSNQDLSISPRTAGLGDSDELTI -KSIAGKESLFITPEWRKTDNQDDVLFSMPVTPQLYRRDRKIIPVTNDGWAHTPMSYCALPFGYWRGTINF -RFQIVASGYHKGRLLIVHDPVSSADYPETNIVRSRIVDIAEERDFTVKVGWTKNFSYQEVRTPDENEPFA -IGGAFNARRYQDNGVLTVYVLNELVSSSADSDPVYINVIVSAEDLELAGPDNSHIATKTFLPTPLEFAAK -KEEKELEPQAGVISSDMNTTPSQNIPEDPPSISMIGTPSPEDNLASIYFGERVASFRSLIKRYCYQDTFG -RKFSTNDNSVVRLRHARAPRPIFRGYLDDGIHVDPDTVDTQVNGVPTTILAYAMAPFHGWRGNLRYKFVP -CQSVAERPGRVTITRQRVTTGYSLAESGEYDISSDNLNFIGVEDSSSWGGAMVTTPENSNVLEIEWPWYS -NERFASVVMRNVRGSARNGLVLSALTFLEGNTTRDYYTGFDAWIAAGDNFNVFFFCGIPPMWEFSLEPVV -VPPTNQ - ->YP_009333476.1 hypothetical protein 2 [Beihai picorna-like virus 18] -MQVPEGTKFFFHTMILGVVLGFFRNVRERLQDESACVVEPQMATREKRRCPHVGSFRHKGECIHIVHMTE -KEWFAYKRSLDKKRIDKASIVPQMDMMSNTVVEKSQTVKFLDQSPGFSAMETPAVDSVRDDIFSSDLPIE -KFFERPIKIRTITWQRSATRLNEIFYPWELYFGNKHVVNRIANYNIASANLHLKFVINGTPFHYGRVMMS -YRPLPAYDDLSRTRSFVEADMIGMSQRPHVYLDPATNTGGELVLPFFYFRDAVQVPAEDSEFSGTSPWRD -LGLCNVVDLNPLYAVNDASPSPVNIEVYAWASDVRMAHPTHVLPWDIQPQMAMEDEYTGKGIVSGPATAV -ANVAKKLKNTPIIGTYARATEIAARATAGIASIFGYSRPAMLETARYRPDTKGSMAITNMEDDVAKLTVD -GKQETTISQEAFGLQGADPLDIAMIAQRESWIVTFQWPTSLTSDDLLFNTVVDPMTCRVAVQSGVSGTTE -YHLTPLAFCALPFKYWRGNLKYRFQVVCSKFHKGRLKVVWDPVANAGDTSPSDNVHTVAVVDISECTDFE -VTIGMGQSTMFRKMGELDTLTNSVMYSNLPLFYYSDVQGYGNGVLSVYVVNDLVTPDPTITSNVAVNVFV -RADENIEFAAPNGKYINKMKYRSVLEVQSEAEVFEQKEQKSLRESRLAKRHIHPQMDTIPTDTQASKPQE -VPNVADMGDQVSITDPANLVYFGESVRSFRALLKRYCFHENIPIVGVPKNGIPWNVDNVSQSVFKRTAFP -IDGGYTTKNGTIDRNVVYDLSGNSYVYAGTTLLQYIAHAFAGARGGVRYLIDAGNLQCDCSVIGSLQVTR -APGCIPENSYDDFDFVNQNNAVVQAKSLDIAQSFSGLDGIYVQNLHVNPTVCVEIPWYSNYRFFPSRRLT -NYGGSNPVDDIQSSLPSFDIGLQTKFTDNLTTKRLTTYVAAAEDFNVSFFRGCARDVL - ->YP_009333459.1 hypothetical protein 2 [Beihai picorna-like virus 54] -MNEQQDPTFGNADTSDIPSDFFERPVKIAEYEWSIGANLFETINPWTAYFEEPRVANKMAHFRNLRCSLR -VKFVLNGNPFYFGRVIASAQPLPFLDNMTKFEDGVRQDFIQASQRPHVYLNPTTCEGGELVLPFFFYKNT -LDITRAEWRDMGVITLASLNDLKHANNAAEPITISVFAMAENVNVSTPTSIVFPAILPQSGEVPVESEST -MRMCARCLWIVLTALVGDNACRSSPDDDSEEMKDDDDSFITWVKQAQEAEQDNTLVIRDATSDEVVKVVE -PQSIEIYHESCFTCMSSDSDTIFPDVVISMEPQSGEQPTNDEYGMVSAPAHQVANLAGDLSNAPIIGPYA -RATQMIASGIANMAQLFGFSRPRVVEEPCSYLPRHAGHLAVTNVPDNIGSLAVDAKKEVTVDGRVVGLDG -MDEMAIVPIACRESYLTTFDWSITDNPNDALYSQRITPLIFDVNGGDYHLTPSAWTQMPFQYWKGSMEIR -FKIVCSDYHRGRIRIVWDPIYFPSTYNNIYNVAYTEVIDISETRDFSVRIGWGQQTSYLPCGRIRDLTDL -IAPRAFYDAFNPYCNGALTVMVVNQLTTPASTEPIEINVYTKMCEDYEVAVPECFNLSDINLSITPATFA -GDGPLGGDPYAPPITEPPPPPPPLTEFPFTSLTHPIWFSGVDTALVRVGGTSSISEPFAGSDTFRSYLEF -SPTYPGNPYTAEVTLPSDEPAGTYPVTVTLDAVFFTPPFNTGTPVNLEFLTGDGITSLATMNTSIGAIRD -NTNASVTINLDFDGSFGTRFLIRDIDAGTNVNHEQARILIERLEYPFPNDWLVRTFTADNYNTPGGDGSG -AWQALNLPGNVLQNPVFNDAGGRPYLLMTTPFLVRCNTAGPPIADPRAVIIMDVDNPADVGNQLRFNNQD -IFLRNPDSALQRDIMMYTINDPSRVGGFPAWNVSTTGPDFNIRLYGMMTISDAILPESGEEMIQDGAEDE -ANAPIKANTEMYMAPVSDSGQINSVHFGERITSWRQMLKRYNDVLRLQTSANTSLVLNDVEIDDPIFSTF -YPGFIENPEMPLMRWVRSGYLARRGSIRYKVLVASKIGEVKTASMGRVCLDDRAIGLLDGIPNADLSRIS -HAGSTFANISDTGVIDAEVPFYTNLRFQPARVFQDYDEQLDRGKEYTWFKLDMFSEDNANVIDIAQAASE -DLTFHFFLSAPIVNLKP - ->YP_009333431.1 hypothetical protein 2 [Beihai picorna-like virus 30] -MKLKVVVNGNPFYYGRAILSYNPLADFDEMTANRTFFSQDLIAASQRPHIYINPTTSTGGEMELPFFNPY -NTMDIPYEDWMFMGDCWLTSMTDLRHANGRNAPITVSIFAWAENVSFAIPTQTLPGGIIPQGYSELTNQS -RDEYGQGKLSGPATTAAKVASWFKDVPMIGPYARATEMGATVFAKTAATFGYSKPLELDKGYYEPTTKAS -LATVNERETATKLSVDSKQELTIDPRISGVDTGDEMSILSIATRESYLTSFNWNLTHAEEARLFTCLVDP -GIHARNDTELHFPACAFAVMPFEHWKGSMRFRFQVVCSGYHRGRLKVVYDPSGTPGTLAEYNTTYTTIVD -ITDESDFSIDIGWGQPYAWMNHADLDTAVSAMYTTSGSVGSHTMGNGAISVYVVNALSAPHETYQANISV -NVFVSMLDDFEVANPTGKMVSRLRLFDDLSPAVLRNQGATEELPHPTADEAVADPPQIDMDGAKTLVEPE -MNLIHYGEVIGSFRQMLKRFSYHETMRFAGTGALSLYTNLREQFPHYTGYVGNSVTANGLIVDVNSGTDK -YIYGGFPLIHYVSCAYAGRRGGIRYFLEIMNGGSASKLGSNVITISRQAKSELDNTVVPLGVTNLGQLGT -LGAAFGFNDADGHAGLTKWAPSVNRTTTVEIPYYSRYRFTPAKLLNKYTARDKDATGWVLMMTDDASSGS -TTFINSYVAAAEDYTCFFYLGPPRFYDNKLNFPVE - ->YP_009333427.1 hypothetical protein [Beihai picorna-like virus 55] -MSSQRTSMTKPKTFLQRSVSSTFDVRRHAFLIFAERSVLKSSPKRGNFDRSFVVSPLYESRQFYFPETNF -EDLGEFLINFEMYFDKDRVVSLTDILFYYYPDVKAVRHVVNDMANVVNQWKYIRRDENLNVTPRQEVIWG -LRVLFTHYFSASKIQALCRGYLYRNRSNVRTLRKALRTGVNLLGDVVDYGLDKALSVVKLFANRVALIRY -ALRCDAAAIASLLFCISDMYNTALTCNSFSTLSIALVRFVQNINDMRLTEVFRGVTTVCSHIISPGVVSD -WLALFKEILHKAVRPQGPGESLSYFFDKLKEGKDSFTSLIASPVIYKFLCLIRYSVTKVLAWAIGLDRND -AVMSFANAAIPQDSFSFWSFVTSTVIDFCDGGCQYFIEGNTNAFVHSATTFKTWANEVSELVGLFDRRVQ -DEAIVGPHEITCTDFNVRLDKAYRFGQEILKASASLKKISPSEYNALLKVMGSLEDLQGKMYAETLSGKT -RSQPYAVVFYGPSSCGKTHLCHYTIQASHNLVSNLPFEDGMVYCATPGSEYEENLTRNKIYEIIDELGAE -NPMTTPSTPPNQISLLQKINTQPMTVNMAELSRKGKVNYGVKFVVGASNNPKWAHPYYVAPAALYRRFQL -HIFVELLHEFSVLDPEGRPTGVIDKWKAQAFEDEHGFKPFVWRLRINKLFLNPDNLRDIRYSLVSIVESW -DAFKDFLRGDYRDYKTGLTISARNMDFGDCGFCVRCDQLPAKCACFARAVNEELPFCAQSYPYFVVYLTS -FVGSAQILVWLFSHVFKPIYSKFVKNSAKNLLRDGVQDAGAKMNEFIVGTNVALLIVGLGSIIKATSLLA -APSIMPQCDEERQKTLPLYETRIVGKERNKYEPLPALSLNLTCTPGVKGDHIEQAVSQNMRAIDFIDADN -RYIRVLALGVHDHYYLIPWHIVEAMNKCRFFRIVDFNNSPINTSRDMAYDPSLVRRCNDTAYGKVDLAVL -TIPGVPAIRNILKFFPENFPSLGVHTLGSLITFERDSGEMEVKPIKCKTAMAREYDSTIPPTIVYEYSYS -KKGACMSPLLVRVNNTYTIGGLHISGTETDSVSFGNSLCVTRSMIADITGGAIVQNIVRIPATLEHESKV -VPVYHDKNMFNFIPGGCATLHGEEVGVPNSNARSDARPSLLKDYVEERTGPSPYRPAEVKIGHVKGLWNN -PFQKSVLPTMTAMTKFPPKVLEMATESYKERLRKFLPPVEKEYRRPLTDYETINGIPGVAFYGGINRTAG -AGYGCSKKSKYLVEDIRPNYPNGVNFTADVYVELDHLRGEIYNGNMVRPTFKANLKNEMRSLRERKQEDI -DQIRASFPGRSDEEISAAVNADYNFVTHEIKDARVFMGAPMLWSHEMRRLFLPVIRVLQLHNLVSECAVG -INHASGDWARLFKHLTKFGRKNVGDGDGKHYDKVESPEVLLRDGEICIFVARYWLEYSERDLMAMQIMVE -DLAFPLIHMLFSLVTLHGSLASGSVVTVNFNSLTNSLYMRCAWIMLGLDIAEFDDKVALTTYGDDNAFGT -CEPTYNFNNISRVFKEVGYEYTPPDKGVDGYTFKDISELQYLKRSFAERDGKVFAPLDMQSINRMLSWIV -DSKLSPHEHLFAIIPAVELEFYQHGREVYNDYTSWLQEADVIKEHGIVFRTFDVIDAQYAEKYTINALGV -EPQANLSWRDYSLSYGFGSMSDCKPKLPVRCDLPEKTYPADDLDCYRYVRPVATPRNTYSGRSWSDQHSR -IHVPGIELTLEHLNRLANNKSSSLAESYKTVSASANLPQSSCGIDQNVSWLDMNAGRQSMVNTYSDPTTN -DASSVAPLGDVLSRPVKIYETDWDVGNTTFGGTFNPWALWVADSMVQSKLQHFHLLRGNLKLTIKINGSP -TFAGQTMFAYSPLDNLRTSYAIASATAAQRQRAMMQYSQMLHMYVDASTSEGGCLCLPFIWPDNALNVQT -MADFTNMGKLYFIAMAPLRAVTTPGASPVGTKVDITVFAHMEPGYSLQVPVVSSLVAQADSTTEEFACVC -PSRCMPRFTFKRKVQPQADDEYKEQTDGIVSRPAKVISNLAARLVDAPVIGTFAQATSIAAGAVGDIAKL -YGFSSPADIRPAEQMFSTQMGQTSYTSGASLVNKLTMDPKQGLSVDPRVVGVDLGDEMTIKSIACRDTYI -GQFDWDASDTAGTNLFVAAIQPMLAMYEAQTNDVIFQMTPMAMASSNFTSWSGTINIRLQVIATSFNKGR -LKITYDPSSESSASTFNTFNTAYTNVLDLSSSRDVTIPIRWAQKELYKDVLAPSDALAYDLYGSTCTVPS -NYCNGYLGVQVLNELPRINKTTIEDQVITVLVFASAGEDFQLQNPSSYISDFKWTTYPEADVQFYPQASD -AFSEQASPTDPDGAEDVGVMGQGLGGTVRYKDLVCYGDPVTSFRSLLKRFQFVGIRTWDPNETSTNGLYL -ASLALPNFPDPPGFEAGNYWTVKVGLTDHPYNPDKMTLLSLLSGCYVARRGGIRHKYLTKWTSSGSNPTC -KVWAVRSNAAPSHPAIDLLVDAAYTYPTAPINFNEKITGNEGEGTAIINSGFQSGVLIELPATTPKRFYL -GQGSKWGGNTVDYSAVNTHVLHFEAYTQTTSWPTHVFSVDDYVAAADDFSLVYFNFVPTLFLYTSAASVD -GHV - ->YP_009333426.1 hypothetical protein 2 [Beihai picorna-like virus 27] -MDDNLKSCHSRSCVDDYSVKGISDNGSWIIEEEDDGPDQYFDKPLDFQLCSESSAVTEPVASLEREGTQN -ITQFMSDVNSEIVMTPSAYDLTRNDALNPEADLGAFLNRPIQITRLEWTKGSTLEANIRPWFEYLTHPTI -VKKLQNYSWISGKLHIKVQINGGPFYFGKAIAGYRPYTDKQSLSIVSSVDRLTGLMKLSQRDHIIIDPTE -SKGGEIVAPFVYPKPYLSLDDLDESELRKMGIFQIVSFDALQNTTIDVTSKVINLTVFAWMEDVMLSGPT -YLRSESGKADEYGEGVISKPASAIARAAGYFKSIPGLAPYATATQIAATGVSNVAQIFGFSRPVSLEPIH -KYRMAPMGNMANSSIDEATDKLSFDPKQELTVNSDVVGISRDDELHIKDIAMKESLFNIFPWGDYSGDGQ -KLFSCNVTPIVYGTQDTQDPDFNQIAIQTTPLMHAAVPFKYWRGGITYRFEVMCSAFHRGRLKLQYVPNK -ETSIQEGDMASVYTRIIDISESKVFEVTINWNQNISYKEVSQITSALPPQTCAPVTRGTPLITGTSTPYL -PDNCNGQLAIYVQNELTSPDATNDKLIYVNCYVRGAEDIEFAEPVEGFGQLSMFPTVLISESASSWPVMQ -DTADGSNTCVLEPIGVSKRLDELNLVHFGETFCTFRDMLKRYNYNRTYGDIKFNKVAGKFQLDFTLPNFP -VYRGADLNGGLDTVSTPVGTKNYTYARTTLLNWLTPAFVARRGGLRWKYIVTEGDSSKLSDITVERNQSN -TNAFITTVRRNLADSVVADSYLRAGPKASQGMYATHYSSIPTAEVELPFYTNLRFVDAGKITSDGVTESH -TLRINGSVSDTQSMATVSAYVATGEDFNLSWYLNSPEVYFQPDAAG - ->YP_009333385.1 hypothetical protein 2 [Beihai picorna-like virus 42] -MDVSSSTLAQNHPPYMVNNLFLPMADFHTSVENVVSDESHVRLASTFDETYNQGEAEDDNITQFLERPVE -IDSFFWSVTGSVDSTIDPWDLFLSNPAVAAKLENYCMLRANMKLTFMINGTPQHAGILMVSYSYFGQDRH -GSNALSQLIHRSQKPRVFINASTSKGACLEVPFFWPENFLNIPNNGSRTFTPGLLHMNSLSNLTQLAGGT -ERVTVTVFAALSDVTLAAPTAHLPTGKMKVTKIPREKSEYSMNGPVSSIASAVADAAGKLADTPVIGKFA -LATSIGARAAGSIASLFGFSKPADVNDIMRVRNTPMDGMAVSDGAEAVQKLTVTRKQEITIDPTTTGLSD -ASDTMSLDMMKKIESYFTTFQWGVVTAPKTYIFSVPVTPTLNALGPAPSGNERFPTLLHYYSRPFKFWCG -TIKYRFKIIASQFTRGRLAIVYEPQNTLRIEDGGSPLDPFNTNFCVIVDIAETRDFTVEIPWYSEQPYLP -LYGDDVTNIWKSDATADGADYSNGVLGVRVLNELVQPDGVTNIDIAVFASAGEDFEVVNPTFTSTLSTFL -PAGESIEMVGEEEDAPTQPESNVHVIGDPQQEICCKSLTFFGERVGSLRQLTKRYTVYRGLYTTTNKPGI -MAWKFSLFPYFRKTTGGGPDTIGAGTGYEYATHPIHWVAAAHAGWRGGMRYKLVWHNNIVATAGVIRNQG -DDTAPSFNGAAYSLVGTDAGVTQVMLGYYSNCGSGLAMTNSNMKVLEFEVPFANRYRFAPVDFSDPNNGK -VYRPMGNAFRLFMESAIGIDLATAEKITAAVYLAAAEDFNVFGFIGAPVYYVP - ->YP_009333445.1 hypothetical protein [Beihai picorna-like virus 52] -MPRSNSRKSGPAVTGTAESKEKNEILDAAAGSSRAASTEPWIKVQPKRKKSSVDEFTTPPSSPQRIKTRS -PSGRRRAHNNWVNKNELEYTPTTVDDLVDALYTCELNGDIPTSPVSKHAVRQRQYAHKLAVQEAIIRDLR -AEKKKLQERLSAAGRKNKKKKTSQKDDVHPEGNEMGDNFYFPWYSLFFCSIMFLAFMRILYLLYVYLCYI -LCFIFGIETDSDSFDESSCLCSSDLDLIECEKITVDEAPKCFAEFERQWEEEREERERKQKVQETVPKEQ -LKSQSWFSRAKLAVYEQAVNCTKVNTEEMERLFSSAMSKFDKQQFNVYDMCIKVLSYCAGLQSARSLMDV -VYITIAVAGQHLSSEAIQMLFTGHTEEPTPEGVWDTFSEKIGGFRRMMLSIEEMPFSDIIKKGFVLSAFC -GFKPKSLDASPTVLKSIMDKFPTSIPKDFGVMKLVDSILAIGDFVIQSIACISSGGDLKKFLMPITLHEE -YAAVMATYERVKDGTFNFSEDGSLDSLLKDLKELELRVRTTLAGKGLSPHQKTVYTQYNLNLKICAQRVE -NLRRNADYRVKAYALFMYGKSHIGKSFITALIINLYQAVKDRKLSIEEIYYWAEVANYQTGFDNNKKVII -MDDLDTVINPVPREKMIAAIVHMVNNVPSMINHADLSQKGNNFERNEILAITSNKELGGIQEVFEYAPAA -INRLRFIEVVLMEEYADDNGRICTRKLEKKDGITQPHHYIQRYDVVVNWADARKRDKVLSIARQNVGEPI -PLVQWLKETALEMKEHFERQEQFVADLKQIQSMDTCPKCFMIKNEGYCTCCTDEENVEPQAFELCVSWFN -SDSRFAKWLSWLGLNAEGMVELQLYGVEMAIFKLSVAGLLFLMRGLAASAIQAWPYYVIALVSITFTIQH -WSSITPTFMLWMTVLWAFWVLLLLKAILRVYKSHIRKEIFNSVYKRGVYNVSILGVTSLFVVGAALRMIV -NLLTVANPEGNLMPSSYEEVEERNQEKNEWLRPSHVPIPRTVELSGMTLDQTKARNWNNLARFEAYDGSG -TLRADGTVLIAEPNVLVFPNHVAEGFDEWSDGKVHIKRSNDTRATAITYITNSKQVIGRDGRPKDFRVYT -STKAFPVQSILKYLPSVPLPADVCDMLVLSADMEKKEQMVKYNPVEDMSNGESLRGTRIVQRGSKHSLSV -GTVNGDCMAPLIRKTHPHYITSFHMGGTGGFVGVKKGVSFDLLKDELENAIASTRCNSFSNQPEGNELIP -ICTARLEEVDLTDCNGNEIIHLGDYHERSNARFVRKESDDDYPSVDFVGEDANMRHKSFSTVSRTPLSPF -LERQGVVCKWGPPRFRANRNHSTYLQIGIRPMKDINPLLINQAQRDYLSVLPMLQHRLPWTNKRMLSISQ -ALNGIPGNRFLHSIDIDTSGGFGYKGKKKNHLLVEYDNEGRRHLTPAEYLVNDIDKILNKLRRGEMACPI -VKSALKDEPTLTPEYSGGKEKVRVFSVYPFPYFLVGKMLFGRVMEYISMNPLDFELYQGINVTTDEWEQI -AKHVLDFNPSQVLEGDFSKMDVRLSGQIIRAVGAVLIEIARTIGHTEEELRAMETYICDLAMTTWSFNGL -MMLLDGWMTSGNILTIIINGIALALLHRVAFYEILHMQKMSIAHPFCFRNYVRFGFVGDDSLGSSLVPWF -NMQYLKQWFDGVGMKYTGGDKSENVPMFIHASNASLCKRRFRFEPAVGRRVAPLALDSIYKSLHCQLASE -TDVLTRLSGNGDQALRELARHSREVFEENRRIICEAFREMGILNLLSHADTSYGEWWSLHLLGEEKLDDI -LEGDREESSPEGNEPSDSTLIKIQSIVTPQKCVVDLVECVSDSSREIVHPTVRLKRILIWALVLMTLGTR -SYKNTRNYSANDFMEQHVQQQERQGVIDFGSESPHWVAGMQPMEENTARVGQTYETNDGFLSRPVKINTF -VWEVGTEINFTIDPWSLFYEDARVAARTAHFKNLRSKLVVRFLLNGNPFYYGRLMCAYQPLPETDGVTVF -RQSERADYIEASQRLHVLLDPTTSQGGDLELPFIYPKNYLSIPTREWQKMGRITVASLNPLRHANGSTDS -ITVTVLAYALDVDLSTPTVRTMTNITPQGGEYGVVSGPAHTIANISGKLAYAPVIGRFARATEMISSAVA -GVASLFGYSRPRQVDESNVAIVRFAGNTACTNVMDTSMSLALDCKKEVTIDPRVTNAGDQDEMAIVPIAM -RSSFLTSFEWEKNSAIDSPLFMLRVGPIAGDKESNGDIHMIPAAYCAAPFSYWTGSMEYRLQVVSSALHR -GRLRVVWDPEFFKAGAGGTYNTNYSKILDITESTDLTFKVGWGQDTNYLKCPNLGKLPNFIKNASIPVEE -GYNGHLAVFVVTQLTSPSDQPDPVSVNVYCRACEDFELAGPTEIQIRNFTPVQRSQTPVVPTPGPKFYTG -SPARVLFRINNSTADPAIVRLDNDNVVYDLFAGSCDMLAGIYAPGGGSGDILVNITNTNAGSNSLVVRDV -NDVDLIETVVFPVTGETKDVLITVPGLQPGMNYKQIDFISGTSGLQINSVTTRIPANWTPAVVSSAISAK -DSIIEEFANFEWNRGNNTYGTGTFSLDTADGWFDDAAEFSYFTILLRDGGTINGREWINDTGGEFGPPNN -MRQCWGEVFPAKTIDMEAPTTSFNTPWRPIWGPIYYFEEDTTIPQGGEIVPQGGEVEKVISPEQGANEAE -TPNEPQTMMAPTTMGPSVQAPANQVYFGEQVASIRQVLKRYVSDCCVRFTAGGTKSFDLAQYPTLNADVS -TGGSEITTFAGNPFDWYVPAFICVRGSMRIKLIMFTVDTASYKTLTLNRLSAAESVNAPEFFTVSVQDQQ -IKWSGGTFSAPTLTGVSEVELPWYSQYRFTPGRSFTARDPANFVERDWLRATFRGSIGGAFQVAYATGED -FSVSNFLSTPVVTPTA - ->YP_009333398.1 hypothetical protein 2 [Beihai sesarmid crab virus 1] -MDPRSLRSNIGICGFNLPVASEEVNMLFQHAKMFCVSFLLTYCTYSISQIEPQASEAPKSLTQQNVKFSD -ANPGYDNDTFTPMDPTRSLSMAQDVDMGNFLARPLNIASINWQAGGPSLNENFNPWELFFTHPTIAGRIN -NYRLMQAKLCVKFLINGNSFLYGRAICSYTPLVLYDSLTRVRPGVQEDLVGASQRPHVYLDPCTSQGGVL -TLPYLNPFQSNDVVVGDFFGMGAINVADLTPLLHANGASDDVTITVLAWAESVKLSIPTAHDTLSADAFV -PQASSKPKNKPDEYGKGPISRPASAVAAIASKLTFAPYIGPFAKATELAASATSAIASLFGYSRPVMVDT -QMSRLISKGTIANSNMQDDVQKLSLDVKQELTIDSKVDGSCGEDEMDILRIAQHESFVVPFTWNGTAPPN -ALLFNTVVTPAMYRYRGSGDSTELHLTAPAYAALPFKYWRGTIRYRFQIVASKFHRGRLRFVYDPYGTTS -TTPDYNKVYSTIVDLSDTSDFTIDCGWGQTTLWREVGDYTNPEVLTFDGAPLFWDAYNETNGNGTLSVYV -VNELTAATNVSPSINVNVFVSVGDDFEVAVPESRHLTRLRVSNPAKTIGPYAPDSILVEPQALEEEKAPI -VDDNPDSPEAENAIRLAPSTDPNDFSHLIHFGEVFKSFRSLLKRYMMHESIPAQFSTSGASVYVQAWRFA -LPFAPGVIDNAPSDSPALDTTDGKYVYGYMTHLKYLTLAHVGWKGGVRWLIDNTSLQCCNSVHNSPVVSR -ANGCRPTNSVLVTGTVGSYGTPGSNSIFYNRFKELTGFEGAAIHSMADQPYQNFEVPFYSTMRFAPARAK -DDFEEFDGAPYMPCWKYGYVIRTKATEPTGTDSLRMNLYAAAAEDFNVSFYLGPPPFYIELTPPGLSP - ->YP_009333291.1 hypothetical protein [Wenzhou picorna-like virus 3] -MTESKHELIPTYPLHHRWSSPTIAAAMGNKIKVTLGDHEVEKDEMVKDFEALFNDKVMRRQKVRSRRLRK -AANKGGNPKRKVKPHSGLEENEDINNLACMVESLLLFGSTKYATTVFRKAFLACVFAVYNSTKTSWILSL -ANLIRTQTVFDAWDEYSMQDVLNWLKGALGDWQVFSKHHIFDSFSALLTYMVTLGWIKDGIDLSWGKLEL -LRVEALKTQVKATDFITALWETVVFILEGIKHLLSGTFYQFLQSTSDMVKFESLYLKLKDQFDLVVYGKV -DESIYLDVAQYEFDLSETLLLGRKLMGVCPSKDKLRVTTYLKDLIAMKGKFLETVCGGDLRMAPFALLIH -GNSSVGKSTLSSVLLKYLLKVNGYSCSAAYIAYLNLMDKYFPNVKPYTTGISIDDICNAIADMIEGSPLQ -TLLEFINNVPMYLNQAAVEDKGKISANPKVLTATTNIKHLNAEAYTLEPASIMRRFAYHLHVEVKPKFRV -DGGVALDRKKLMKYEAEKIQAAIDEDKAYVPDIWDIRVYHVHIKEDKNKSGPNDKRHKPSRYELVLEKKF -SNMGELEIFLKEASREHFEAQKLVVDGDTRLSKMEFCKSCGAVECHCICPHGGSPEDIARLEMLNQLPNV -EKLVLKEDEFKMAMTEFFVDTKDSVRNLFNILEEHFAGLCVAHLYIVQKVVRKLFTKYVTPDWTMWLPNW -VEGTPVGSTLTRLYRPKLVSSTRLVFGIWNCVRLQVGIWALNEYLKWCSVRLYTREEKFVENVVALGIFL -VYVNVTRVSLPAVYHWIISIIAWLGSSSFSKVLTTAFWAMVMVSEGLRPGLGLFLGSSALLWNLIREEIR -VFKEVPDTITKSYAWLKREDNEYRDKVIPNFCGGYLVYKMLPRIIESFRTAVEKSEPHTRLDPTPEEAAQ -LDKEVSDKWYQPPLKERILDFIPMTVGRSPSELANRVKRNLVYVRDCKTRLFTNGVFITSGILLVPSHFA -EDLNGKVEIIRKTIGVGCGNAIISRVVDKLDVLSFVNLDLALISIPNSGDFANIIDCFPDRIPKAERTGT -MFYRNRDGNVEEFPVVSISPKITTNNYKRAGKTMVFPGFHYVSTNATGMCCSPIVSNDKQSFIIGLHIGG -DGHSQGRGIFVDPKTLESGISELRSRKHVPVIAEEGEITQHNGEEFFKPELHVRSPLQLVEGQNNFRFMG -SVRLRSTPKSRVVDTPIASELAHQLDLAVGWGKPKFRGPDKASPWVPWLRSLRIAVNPPPGFESVLLDRA -LRSYESRLKSVMKSLVPLTDFEIVNGRDRCRFIDAMDRSTSMGYFWPGTKADWLVPIDGMIEKYTFKEEI -WTKTREIEQTYLTGKRAYPLFKACLKDEPTKTTKDKVRVFQAAPVTLQILTRKYFLPIARLLSVYSARSE -CAVGINPMSCDWGELYHHLSYFPKALAIDYSAFDINMCSQLTFAAFSVLIRFAESAGYSDDQLRIMWGIA -TDICWALVAYNGDLIQFCGTNPSGQNLTVYINCIVNSLIFRMVYYGTGYNHFNSSVRLMTYGDDAIATTC -TNFGMKEMRDFLAERGMTITMADKTAEFVDHVKLDEVDFLRRGFSHHFELDAVVGPLEKESIYKRLLAIN -ASKALSIKEVTAVNIDSALDEFVFWGREEFLKHYNMLIPIAEAHGLIVLCPRIKKCYDDRVYKWRNNFRA -LPALPGGKSETGVKVTMQPNGHWVCIGEHQIAESSEGAGVAPLTNTSSNPTEVGIMKWINKFKEQVIKSS -GLEIPLKSLGVDAPNVYPHAGSEIVTFHDDKDRQNTVPSDVDSTRDRLQGTDSSLGDFLSRPVQIGQYFW -STGTPDFLVFLNPWHQFMINPRVANRVSNYYCGKMKLHVKFVINGNSFFYGRLMASYIPMYGYDTLTQYT -TDRLENVQFSQMPKIFLDPTTSEGGTMELPFFWHFDYLNLTNTDITNMGTIVIRTLNDLKFANAAANQNV -TITVFAWATEVDLRAPTHKNVYDISPQSGTEDEYGKVSGPATAVANAAGRLSNVPIVGRYARATQVIAST -VSGIAKAFGYSAPSIAGAPNLVNPKPTTNMSTVDNPDSGQKLTYDSKQELTIDSRIAGLDGTDEMNIAYL -ASRESYIFTFPWLKADGTYQCLFSAVVDPGLYRSTPEGTGLKLHIPASFGAVTPFGYWSGSMEFRFQIVA -SAFHRGRLAICFDPAPSCPTVFEPNVMYTEIIDIATCRDFTIKCPYMQDRAYSQPAGPQVSETALIGTSP -VTGANPAFHVGNGTISVWIINELTTPNVDPSVPGDIEVNVYMKACEDFKVMGPSSFMACYEIKPQSGREV -DPVSDNNAPVSQADETLEQCVDHDVHHLVYGGEAVKSFRSVIKRYYRHLAFGCTNDDTGLFHSLAIQCFP -FYKGRVSGAVHTTSTTNYNFCGFTMLNWLAPAFCGMRGSIRWKIAPRGSSDKHISRASITANLIQQQNFE -DSSGFVSKSSRSVLAKEGMFGTVGFDPEIDNYGAIVLANSAVNGVLEVEIPYYSHARFIPGRIENWTTVT -PPTALYGVRFLVDNSDSGAEFYETFVAAGEDFSFFFFAGWPPLYYYTTVPTPT - ->YP_009333343.1 hypothetical protein 2 [Beihai paphia shell virus 2] -MYTVDSMPDSTFGQADLSDARLEDFFKRPIKIASFEWATNNLIFEKFNPWTLYFENTRILNRISNFSLLR -AKLHLKILINGNGFHYGRAIVSYLPLKTFDDFTVDRGFFQQDIVQASQRPHIYLDPTTSQGGDMVLPFFY -FKNALSIPEVEWREMGEIILQSINNLKHANGATDRVTISVFAWAEDVTLSMPTASNPLSLSPQCGEDLLD -PQADEYGTGPISKPASLISRWAGSLRSAPVLAPYARATEIAASAVAATAKIFGYSRPAVLSDIMPYKPTF -VGNLANTNMPDSVQRLTLDSKQEVTIDPRTVGLGAADELAITPLACRESYVSSFPWTIAANSEQLLWNSE -VTPMMWSENPITTPSEIHMTPSCWVSLPFKHWRGSMEFRFQIVASQYHKGRLKIVWDPYYPATNEYVTNY -TWILDLAEEKDCTVKIGWGNQLGYCFSDTPGVASPPHSTTAIVSPPQGSANGILSVYVVNELTVPNSITD -NDIEINVFTKMCDDFEVANPTSEKLERYSYFVPPQTESARNALVEAAGYPFGVAALCKRVGEAKEKEQKE -QRLLRKTDKLLAPEVRQMFIDKYLDKEARAQQLEPLDVQAGEETMPKGDQEDTSEPSKPMTTQVDNMLAN -VQLDPTDHALDVYFGEQVVSLRQVMKRYNLHTTFGTFTSGLRFYKRTANNLPYHRGYAPGGVHSVDLVPY -NRAKMTLMNWIMPAYTAWRGATRWKYVRSRETTSEDLAGAPGFIQNCSMTVRRLAGNAAGYSESTPVWVS -IDEPNYIAYDNLRHIGHTWDGNTATMTTVNPVIEAELPYYSNFRFGFAKAANLTTAVNNATFFHELETMT -AVNEVNGCQFDSYCSIGEDFSLYFFTGAPIVYNVGVDDPIP - ->YP_009333304.1 hypothetical protein 2 [Beihai paphia shell virus 1] -MYNKNFDLNTEPVGVVNKTFPTIFEILSDLKKYKINPNRFDKLWHKHRWELGKRVSLFDGVEIPPKCPNA -VAMKILDEAIEVLNCQSGTTADNSIFKVGKQATYENVQFSDQHDPYMYGVDSVMDPTRSMQDANDASLAN -FFGRPIKIAEEEWSTSANMNFDLDPWSLYFNNPRVSNRLTNFNLLKANLKVKIVINGNGFQYGRMLVSYL -PFDVFDTLSTNAALIRADLVQASQQPHIFLNPTTSTGGELKLPMFHYANYFEICESQWSEMGRLYFRTLN -PLKHANGATDVVTITVFAWAEDVSMSVLTSVDQNTLSPQSGEIEEANKKGTISGPATSISKFAAYLKGVP -YIGPFATATEIGSNAVAGMAKLFGYCRPPITKTPEPYHPVQIGSLALTNVPDHAMKLTVDEKQELTIDPR -IAGIGPSDSLNIREIAKRESYLTTFSWNIGTAPDTLLWNSRLDPCIWAETTGPPTSFHFPACAMAALPFT -HWKGSMRFRFQIVCSSFHKGRLKFVYDPNWIADNTYLGFSEYNTNYLKIVDIAEEQDFTIEIGNGQARNF -LDHARPGEDSVGTMYSTSRYTYKGPGNGVIGVIVVNELTTPNSTTNNDIEINVFVSMGDDFEVAAPDDYF -QHFVLKPQSGEFQGPFLEPQSGEIVPESQNTTELDAPQQSNTTIIGLPPVDNSQLNKVFFGEAITSFRTM -LKRYSLWNVIARSEDNSRIRSVRHSAFPYLRGNVADAVDLTAANQSYNYVNTLLLHWVRYAFSGHRGSLR -YKFIPRGYASNADLIEVQRAPWNPSTPQYRNVVQQTPSYTSSQAARKDIMTSWEVGISGDLPFPGHPLPG -TRGMALTTNQINGALEFEMPFYSPYRFVPGKPENYTGPSSWEGCWDARWFNGSQSSIASMGVLDIYTAIG -EDFQCYFFTGLPRMYFELSPPA - ->YP_009333282.1 hypothetical protein 2 [Beihai octopus virus 1] -MKMSSEQSENVKFSDQENSYLYDMHGAVDPTRKLMDSDDATLDHFFSRPLKIHEEEWGTGTTLYFAIDPW -KLYMENPRVINRITTYNLMRATLRLKLVINGNGFQYGRAIASYLPLRVYDDLSTNAVLVPADIVQASQLP -HIFLNPTTSTGGELKLPFFYHKNYLDIPNTNWDELGQLVVRSINGLKHANGAADKVTISVFAWIEDVSMN -VLTSVEPSTLVPQSGNAEEVDAANREGMISGPATAIKKAANAVRVIPPLAPFAAATEVIAGATADVAKHF -GYCRPPVTKAPEPYRPSPISSLATTCVPDVIHKLTVDDKQELSIDPRIAGLGNTDSMNINEIARRESYLT -TFTWTMGTAPETLLWNARVSPVIWAENGLTPNGFHFPACCMAALPFEYWTGTMRFRFQIVSSSFHKGRIK -LVYDPNFLASNEYNTNYLEVVDITEKNDFTISIGNGQETSLLRHHYPGLDSVTQLYSTTPYASKEEGNGV -LGVYVVNELTTPNSTVNNDIEINVFVSAGDDFEVFVPDDHFQKFVFKPQSGDASQVPESFSTEQPDAPQQ -DNDENIGPGLTNHEMLGRVFTGEAIQSFRTMLKRYNLHTTSGAISATSNKIFRGRAPMFPYLRGNVTGAV -DLDALEAPYNFCNTVMLHWVTLAFSGYRGSIRWKIVPKNCVVDYNATIQVQRVPAGEAGYAFSTLTAPTF -ENISRHRHNSIVSKLAGGVLDNYTLAGTRGTCLTNSFVNPVLEFEVPYYSNYRFKPGKSEDHTTLLEYEE -GFDYSVQTNAEANQYDFWCAAGEDFQCYFWTGLPRMYYESIPPPPSVL - ->YP_009333265.1 hypothetical protein 2 [Beihai hermit crab virus 1] -MTMRLKVMMTGNPFLFGRAMVDYLPLPESSEKVVRNQALVEYDIIEASQRPNLVLDPCKSEGGELLLPMF -TPSNFVSLTDGSLSDLGEITVRNINPLVHANASTDFVILKFYGTIEDLELYAPTSAQILNSEYSKGPVSQ -AATTVANLAGKAKSLPIIGDYAKATEQVSKGVADVATTMGFSKPRELRLVPMRNIAAYNTATGNGSSTAN -SLAVDQKNELTISPHVCGVAENTDELAISYIAGRESYLGQMQWFPNTAIGAKLGSIVVDPGLSRVNGSEY -HFLACGFAAMPFSAWRGTMKYRFDVVCSKYHRGRIIISYDPAAQTLDTSVDQPTQQQFVVDISENTDFSI -TVGYSQSSGYRRVRTPTDLTAFSVGAGGTPWQVRDYDWGNGVLTLHVFTELTVPNSVTTDSVRINVYASA -CEDISFANPCAEYARYMPYLRATVTPEPTLLNEQVLNAATDNPVVDAHPPVAHHFGLPKGRPPGVGLIHY -GEEIESFRTLLKRYHLHEVSRLCPEFTDDCVDPVSSTTTVYWRTRRAFPTWGGRVLASDADVPGNYSGAI -SSPFYHDAANNCEFVANTTLANWVVSGFAGWRGSNRWLVDSTYKGGSTTVTRLTSASMANGHAFIPVSPA -NGGASEAIALTYLLGTPFDKLSTLKLQDEDVGSGFTGTAAGLDWNGVSEFEVPYYSNYRFSPAKSIEVGS -PSGVPMKTLYPNRNTGSWMWTRVNYNMNDTIASTVVSSYHSIGEDFQVYWYTGPPVMARYNFICLNSA - ->YP_009330067.1 hypothetical protein 2 [Beihai picorna-like virus 15] -MNNNSNKFNVTVNEESLESQHQNVHFSDQTPQWDYTVDSMPDPTFNIADTNDADLGNFFSRPVKIQSYSW -ATGTNLFETFNPWQDFFENTRVLNRITNFNLLRCKLKVRIVLNGNGFHYGRAIASYIPLHNLDAFTKDRP -FFIQDVVAASQRPHVYLDPTTSQGGTLTLPFVWYENALRIPNQEWREMGDIIIHGMQNLKHANGATDQVI -VSVFAWAEEVSLSIPTANEPGALSPQMGEVFTPQAKDEYGTGPISRPAGIVAKAAGALSNIPGIGMYARA -TAMAANAVSGIASMFGYSRPVELADIIPYKPTLLGNMANTNVPDTSQKLTLDVKQELTIDPRVMGLGSTD -EMTIKSIAQRESFLTQFGWAVADSAETLLWNSEVSPVLWNELSGTNDELHMPACCFAALPFRRWRGTMKF -RFQVVASSFHKGRLKITYDPSYPLTNEYNTNYTYIIDLAKERDFTVAIGWGHEKSLINHRNPIQSAIPYS -TSALGADPGNYANGIISVYVVNDLTVPNSTANNDIEVNVFVSAGDDFEVFDPDSRDIEDLVWFEPQVGEV -FSPQMAEVDGQPMNQPDADLTKREDEPMKEEPSQTMAPTLSDQDHTICVYYGDPVTSFRQCLKRYNYHSA -VSSAGAITTSTLINLRNSNFPYYRGYAPGAVHETIIPAAATPYNYCKMTLLNYITPAFTCRRGGLRWKYF -RTGGNTEETSLMMVTRDASSVGPYDQQETAMITQGGGNQFDRVRQNVVLIPHTWDGAVVTSTLHNPVVEA -EIPFYANVRFFPAKKANLTGSGVGFTSYHWMSTIWEAATADAAAIHCFVSVGEDFNLGFFTGAPVAWRVP -QESDPASS - ->YP_009329998.1 hypothetical protein 2 [Beihai picorna-like virus 53] -MQIAHQQSLWSAGMQSATEGTTSHAETSDTNDGFLRRPVKIATFAWQVNTPLWHTFNPWSTFCQDPRVAN -RLAHFKNLRMNLHVQFLINGNPFYYGRAIASYIPLPDGDNFSTTEPSDFLQLVPSSQRPHVYLDPCKSEG -GELVLPFLFPKTYLDIPSEEWDKMGIIALQDINPLLHANEQGDSVDITVFAFASEVSLNTPTCRAPTNLV -PQGNDEYGKISYPAHLISNWAGRLSNAPVIGAYARATQMVASTVAGVASMFGYSRANTPAEEIVTVRPYP -GHATTNERDDAVTLAVDSKREVTIDPRVVGLAPNDEMALVPLAMRESYFGVIPWNTDNTPDEHLCSIRVS -PMLGNSTIIGTERYAMTPMAWVAAPFQYWKGSIIVRMQVVCSAYHRGRLRVVWDPDHCNDNCADMFNTNY -SMILDITESQDITFKIGWGANTDYLPVPDYSLISNCLQYANYDTNEPGCNGTLSVYVVNGLTCPGSISTP -VEINLFACAAEDFEVAVPCGVKMTALVADATVGPPGAPTDGPGSVPPPPPDNTLIVQKREFSLGMLYENN -GISGYPRGTNYNNQVQRTWTNGVRTFKVPAYGGGSTNVTQGDITVEFLNHEATAKDVELIIGGVSATGTI -APNIGATLSLTIPNVTLAAGYQELDATIEVSTGNLQISHVTSYYPQSFERVFVRGNDLKSLIAEGSATVV -AIGSYDAVQNGTSAMNIQAPSNHAVGTKAFVTSRYGFALNGIDSPGTGQAFSMTYQNHVAAPLAVGDLWT -LTQGASDGAWVSEAIKMVHWIDTTLPAPQGVVERVQTPGLKEDFSQFLEAIAEAELEDIEDFCENIEPSE -PAPQGNICKRLGFKRVESLSSFQEEMEKIDYYVSPPSSEDEKEEEIPTAVECELVPQGDDTPEERNDDKD -DANAPEHEVVDLAMGPSSDVPGLQTVYFGEQCTSWRQLLKRYHCRSYIAPSGQTWFFRWPSHHFFAGGVN -GGHGVTYFEEHLINYVKPAYVCQRGGVKYRFFLPGEYTDFIVNRECYSPGKGFEGSNSFSYGGALSFSGN -HIFSKESAGTPAFEDPWYSRYRFTPARSAQLEDTANYVERDFVRISMRGNIIGSVGVSMAAAEDYSLSGF -LAVPFMKPSA - ->YP_009329962.1 hypothetical protein 2 [Hubei leech virus 2] -MVTTAYALYDMLAPLRARMSNTPEEEVVFSTGDPLVPQSHECCQDFHQHLPPPPPPSPIQRVPRVREQWF -SHFVVPQSHELLTMKSLTTQNVTFHDYSPGATVQVESSYDDVHTETIENDLDLNNFFSRPVLCSSLKWQV -GNGPGILLSNFNPWATYFRNTRVSNRISNFKLLRAKLHVRVLLNGSPLHYGRAIIFYTPLPEYDDVGRAQ -GINPAPIQNLVNNSQKPHLWLNPTTSQGGDMELPFLWHNNALDLPLGEFDAMGNMDLVAVTPLRHANGGT -TDVNITILVWASDVVLSGPTTCNVDGIAPQSDEYSNKVFSVKASNFASMMNRLSTAPAIGPYARATSLAA -SATSAIAALFGFSKPLELDRTTIVPKCVHDMATSGGKDDSHKLTLDPKQELTIDPRAFGLGSKDEMDIAH -IAGTESYLTSFVWTSGSSSPAGTILWNSIVDPGQYVIYNASGLNDPKINMTASCFAVTPFQFWRGSIIYR -FQVVCSALHKGRLRIVYDPEIEVSANDPSRITPEYNLGYQTVVDISEVQDFEVTVGWGQSSSYRENCFYS -GIGPMFAPTPLLYNSSTSTAGNGVLGVYVMNELANPSVSTDDCYVVVSMRAGPDFEVACPTSRPMARLRF -MTNSDVNVPQSAEIPVSAVPSGDANMAEGASSAGVLADLGSLTSHTNDVFMGETVRSFRTLLKRYTMVEL -VTLSGAGGVFPASNSAIIQRSSFPIDPGYARYSNLASTVTRLVNTKAYAYGYMCPLRFISAGYVAWRGSV -RWKTTNGASCCNSTYLPISISRYSGCTPANIVEVAADFATNQGMKDFLVGFDEGGTLQEGGQLIVSGVEP -VNSFEVPFYTTRRFLHARSLTRFEADQETQFKPCWKQAFTFFGQSTERRHAGLYCAAGEDFNLGMFVGAP -IVYLEGIPPA - ->YP_009255233.1 structural protein [Antarctic picorna-like virus 4] -MSETDVSTVCYCPQSGLEGLNLGSSTPNSWLQTTQIEDGEGMMYQVESNTDPARVGGNESELLLEDFFER -PVLISTVLWSQSTVLGITLNPWLEFFTDSRVINRISNFSRLRCDLHVKFVITGNGFFHGRVICSYLPLHN -ADQMTRRRALITTDNIARTQRPYVLLDPSLSAGAEMVLPFFWHYDALSIPLGDYIQMGEIDIAQLNPLRH -ANGGTGNVSISTFVWAENVKLSIPTTLNASGIGPQSGKSRKSGNVSSLSNGPDEYGRGIISRPASLLANI -AGRLVTAPAIAPYARATQMAAGATSTLAQMFGYCRPVDLSPITRVRQEATSNLANVDASDQSVRLTLDSK -QETTIDTRVMGLGGADEMGIKDITTRSTYLCTVPWSYTDVPGTRLLECPVTPSLYDILTAEPSVEYHLTS -MCYVASLFSMWRGTIKFRFQVVASAYHRGKLRIMYDPIRFQGTPGYNTCYNYIVDIAEERDFTIEVGWGS -TYGMLDCANYVGRSRPFELRGPDPLTSRPQEDNGTLRVEVFAETTLPSDLPTELEINVYVSTGDDIEFAV -PTSLVINALTPFAPQSGSEPITPDMGDVAVDTIQDDKPVVMFATPPADTELALATFSGEVIVSLRTLLKR -VVYHEFNSDIYVTANTRTITVTNRPVYPGFPGPDPLGNLVNVGSPVAVCSFHPMSWVMMAYTGWRGSVRV -KCVDTGNIQRGHVMIGSKMTLGNYISSVRTFVAGSLFANARDNLAAYRNSFNGMHIAHPITNPNLEIEIP -YQDKMRFKFCKRLDQRNNVTNDDFKFYTVARCGIPDPTTGFHALYAIGEDFQLGLYQGPPILYEAEY - ->YP_009255230.1 structural protein [Antarctic picorna-like virus 2] -MSIPSEPDTTFMQTEDSDVSLSTFLSRPVRVATYSWPVGGFLNESFSPWGLFYNRDSVKAKLRNFHLLRS -KLHVKFMINGNGFYYGRAMASYLPLPLADQFAPIIGDPDPTNLVIESQFPRVFLDPTESQGATMELPFFY -RNSACKILTSEIGDLGRIYLRTLNELNHANGLTDGDVTVTVMVWASDVSLSVMTATPQSTEFGDKTISNT -AAAVARSAGALSSVPMIGPYAKATSIAAGAIATTAKLYGYSKPLLLSDDPSVVPNHFGNMVSTMSSDSSV -KLALDPKSEICVDSRTMGLSGADEMTIQAIASKETYIDTFIWQTNQPVSTFLWNGLSTPGYYQTRSGIPD -PKFLSSMMFAALPFRYWRGSIKFRFQIVASSFHKGRLLIQFDPNVQTSDEPDLNTVYSHIADLANERDFT -VCCGWTSNKPFLVTPVLSLPPDDYYGNTELTETYDMSTGILKVSVLNELTSPSTTEGQFVFVNVFVSAGD -DFEVAVPTSEALRTLSYRVPQTLLPREPHMNAPESDSTTAESIPQDPACLGDLATILSLSDKTQDVFIGD -PVVSFRQLLKRYQLHSSWMQPGVTARINNITMNVFPFYRGYCTSTLEALAFDIDNHDYNFAKMTLLNYLT -PAFAAWRGSIRYKIAVASPVEKGSLIGAMRDISYPINLIGNSNEIDYVTSSAFAHSISDRMPDTLDGAHM -VACTENPVLQVDFPYQTQYRFASARAVDIHGTPEETTGTLASTGINIFSVMKASSLIPPAIIWQVATGED -FTLAFYLAPPPIYEYLDPAPRIT - ->YP_009230125.1 polyprotein [Marine RNA virus PAL473] -MFNVNINTDTKMMSSQNVTFKDQTPSYSYSVAANNDATFEVADRSDASLGEFFSRPVKIREFQWATTTTL -FESFNPWTDYFTNPRVLNRITNFGLLRSKLCLKFVINGNGFHYGRIICSYIPLRDDDDFTVDRAFIPEDI -VGASQRPHIYLDPTTSSGGTMCLPFFWYNNCLEVPNEEWSKMGTMIMHTMQSLQHANGANDSATISVFAW -AEDVTLAVPTSSEPGGLSPQIGVESEFLPPYSKATSTTPIPRPWLSRKRNHDEIDIDTGIRIYSESTFLG -PNKCFLWLANLSQDELETLLPKVRGLVLEMHNTPQMGMEDEYGKGPISRPASAVARVAGTLKNVPYIGAY -ARATEMAASATSAIATTFGYSRPNNLAAVSYMRPTVMGNLANANVEDSCIKLSVDAKQELTIDPATVGLA -STDEMTINSIACRESWLTSFPWAVAATSEDMLWQTNVTPMTWAAVNIAAPTEIHMTACAFAALPFRHWYG -SMKYRFQVVSSNYHKGRIKIVYDPHGFQSNEYNTNYTYIVDVAEDKDFTVQIGWGSDKPYCVVAAPGLDF -GGTVLTADSVPYSSVATPVVPDSLANGVLRVYVVNELTIPNSTVPNDIEVNVFVSAGEDMQFRNPTDLLN -EYQYFPQMGMEGDNDGTDEPNKPMAQEIDHQILNTVSTTDAYDHVFYGETLVSFRQLLKRYNFHTTRVPD -FSGGVGSLLVTTTEGMYPYYKGLAPGAITEYGVTYNYAHTTLMNYLTPAYGAWRGGIKRKLHLHDVQNVR -AGGFLSVSRTHEVLYSQDDLLYPGLDFTKAFYTKNKPPTNSGAITTALANCPTLEFEIPYQANIRFTPAK -KANYTSDRQFSVGGFKSQYNLNTNGSTKALLDSYVAAGEDFSLFFYLGPPIMYHGVVAV - ->YP_009230119.1 polyprotein [Marine RNA virus PAL128] -MSIFYTFLYTQPPTFNLRDWVSRTIKPIVAHRTSLEPVAVDTAHTFSNDNSLVEPQISTSKSLFVLFSLF -VATTAHKMRNSTCFSSSKSSSSKSQKMSYDDLIPHSSMEQNVEFIDEQAGPTNVVDQGYDQLAETAFGGD -ISLDNFFSRPIKIGSAEWVTGQGINQTFDPWSLYFENKRVINRITNYKLLRCDLHVKFMINGTPFSYGRA -VAAYNPLHLWDNYNLKGSTGTLGYVQQSQKPKIFINPTMSQGGELVLPFFWYKDALDVINQDWRMMGEIE -LFALNELKSANGNTESLEISIFAWATNVKLAMPTQFNPASIVPQMAVDEYQTGSGPISRPAAVISNLMMK -IPWPPVISPYARATAIGIQAGGKLAALFGYSKPALLASDVFRPTTKASIATVNNPDDLIKLSVDCKQETT -IDPRTAGLGGDDELTILAISTRESYIDTFTFPLTKPAESLLWSIVVDPGVYRSSAGKLMHTACSFAMQPF -RYWRGTMKYRFQVVASQYHRGRLKIVYDPIGNDGNVGEAEYNTAYTTIIDITESSDFEIDVGWGQATKYR -ESIPAGSVETEFLGTIIPQFYDSAFYDYGNGTLSVYIVNELAVPDDTINSDIEVNVFVKTCDDFEVSMPT -GEKISRLRTSNSANPNPSDSVIPYTGDPTLTTVRSVTRSKASSYLDVEPQADVAAPEARDNPVTDLPDSA -PVITTIGNKQSLSDATNTIFFGEVIRSFRQMLKRYNRHENVLVDTFSVQPQTNYWLKIQRYRKTIYARLF -DSSRWKCTRRTHRTLTKWHILLCDDYTS - ->YP_002647033.1 structural polyprotein [Chaetoceros socialis forma radians RNA virus 1] -MRDVAAIMIALKRQTQTPDESEFSSESGSGALPAVKDTIEGNSETLSGTHQNETLALYSNVDQTAVKIMS -SIDPTRADCVSNDHELGNFLSRPVRIMRESISLDERTSTTIAPWDVYLRHPMINKKIANYEYLRANLVLE -VVVNGGPFFYGKMLLGYTPFGYEDSLKNFNRIPIGHQNTMLSQQPHVKIDFCESTGGVLHLPFVYNRNYM -RISEGSGEPASMGELRLNTLNALKNISFTGPASSVATITVFAYLDNVELVAPSANDPITAQQPELSSESE -VDEYSGVISAPASSIARAAGKLKTIPQIAPFALATELGAGAIAEIAKIFGRCRPVVIDPPHKYRPTYVGN -MANADIAEAVDKLSLTSKQELTINHDVIGKKSDGDDMHLSTFFGREAYMDRFEWKTTDSYDTLLFYTHVH -PILFKRFEATSGDYDVGMLLPPVGYATIPFSFWRGGMTFRFSIVASAFHRGRLRIVYQPQGGLGTVPGFS -AAFNRVIDLGDARDFEVTVEWNQNIAFREVHTTGSNVPSAQYTPGLDVGRTSQLPLGDQTSVSNGVLAVY -VVNDLVSPDGGTDESVEVNWFVKGAPSFEVASRDTKFARWSTHWSQEEFSSESKVEEFSSESMASPLAIA -GNRAGGSGAITLEPFGSDNTSPELSKLHFGETYDHMRVLVKGYNFYKAVLDNTTITDPDNPSGTVVIRST -VPDFPAPRGHIPNGPDKPFLPHPENAALCTHLTWFSPCYVARRGGIRWKYLHFGARFGATDAPKGLGFVN -RVPQVPYGRRPLGNERLPLTDVNGFNPTELSQAFSNENGGVYATDLDVQPAIEVELPFYSSLRFVNPRWD -DYEKIGIHRHSIDLLSYRTNGAKCEDAWMAYVAAGDDFNLSWMLSCPPFRLVNLDF - ->NP_944776.1 polyprotein [Heterosigma akashiwo RNA virus] -MDSTRKVGELKTPSGGGLHPQGCVTTPARGEDFIACVKQAEKHAKEFFELKLEELVPNSKQVRRKHQWPA -ARLKVGKLGKRDRRKGETLKSTSEIDDSGGPSKDDETKTDGNTDDDLPGLVDVSKPSGPDEGSFLSGIKK -GFLNSATAPAVVSAPENPSPAEKLASAVKDSSVSARRERRDRWDAELVQKMERAREKISGSRFHPIDGDL -DSREMEHAREKVSGSRFHPVDGDLESREMEHAREKTRGTRFHPVSGDLDPREARDPSGEDGTDNFASLLS -FMRGCFTDAAWSLKKVDMKSVVSMLCKVLIFLRASKKFSEEHRTLASYCELLTGMFSDELFRLFGNLVPD -ILSDGGEEIVSSSFVTDLLETGSHLLQNPHVKRLLRVLGIVVGAAVARAAKLKVDSTRLSDLWDSFIGGS -KGMDAVTMLLDFTQWLFALFFGEGSRWQTLFCGYDTRMMRNMSRAAELLSSSGHPRLNADGVRSLNKVRS -EIDSLATYFIGELNAGRNKAFAGMYHKNLVEYSEKLRVLITSTKPKEQPYCLFFSGPAGQGKSALCNLLI -RAIEGHSNFLGTRGLSPEEIEDILAQETFVHNCSATKWLSGYQSQKVMMFEDMCNSKNTGQGDSSPLNFL -IGAVNNNTAFTDQADVDSKGCVPYAAEMVLVSSNTRDLMVNQLTNSPFSVARRLHLRIVPTLIGEPNGAV -DPANWRIEVFKPVRNPLGEGVTRPTDGFTWELVGVFDFAGFMRFILKDYDAHVEQQRRFMESVSQNSKYC -PRGQLASVCPNVCRCEDSAALASTSLTLGQIASKVRDPCSAVLNRTHMVWTKVRDPCSAALSNLLMGCMA -FHPWCVYMFQYLFFFFAVIGISGTAWFYYGCTESLVSRVKKRWLLARHYLEFVTYCTHRRLYHRIVPNPG -KVVRKGCLAVGLIGMVLVAWRTLRGAKKSTAYWSKTNPGSVHHGASVHGADTTNTLSKIDQNRRKAEIRS -EDRTERFEGLMIQSFAMLVPKHAVSVGQCLNVIREQQGVDVEAQVILDDVSLRPLDGDLVLIISRNLGTA -KSLVNYFPENFVVGDRLAFLFAGGVRREIRLVKSQRVVTDKANFIGTMYRTLDGSSVDVGTSGSVVLDYE -RNAPMGISLASNQGTVGFFQVVTKNLMSQCTQMLRDTLRSDYDVCTELPTSVENVVISTKGIHPKSHPRY -MEKCCHVPIANCERRTKAKSNIRLSEVAHMFVDNPTLTTFGPAALAGNWTDYTKTRNKMEEGVSTFPLGL -LLEASKIRSAEIREQCKVIYPPLTLNQIVCGIDGEGHIDHMEQNTSAGCPHFGPKSQFMRFETDSNGEQV -FIGLTDVQQQSFDEMIACLERGLPVPCLFVATKKDEALRIGKVPRTFYAASMNVIMAVRKYFCPVLQALK -ANPIHAEIAIGTNAFGKDWADIYSHLASHSTETVIAGDYSSFDMSHNADAVRCAMQVLLDLIDESSLYSD -VDKLAARTLVESLGQSFLAFDGTWMQVIGWVMSGVPLTAELSSTLNQIYMRVVWKVVTQRPISDFRSHVA -LIVYGDDNNAAVRDEPRYNFQSVAVTMGKFRMTYTNTDKNDEMHIYQRLEDAEFLKRLWVPGPLKVYAPL -SWDSINKRIVWTRSRAPDRVRSLVYSVAADCLEHQFEGLEYWEKFCHTLCQEEEVDGQVPYKHLVEGDPI -DQLFSKIGPSQLSADPFWETSLDDDAWTVFARGKLSTCISTSKVARPEVARGRCESPRKFQDGGVVQLRL -SGIYQRTRSGDRLSTCTPHLDEKLLTETLCNTLKFYDTSGLRENSHQTMSNGTERRPGEVDGDLASILSR -PTRVFTDTWKPGTNFYQASNIWKSFLNDDTIVDKISHFARLRGKMVVRLLINGNSMYYGKLVMHYSPFAQ -VDDVYTVSSVPDPAEWIQIMQKPHVSFDATTTTGATMELPMLLPNDWIDLTDEDMIARLGTLYIHDLNTL -EHANAGTDELTVTLVAWMEDVELYLPTSTSEIVEYCKGEHKGGDEYETAKTSPLSISGTLTTVANVAAAA -SSLPHIGAFSKATEIVARAGASAAKLFGYSRPPLLGAPEPFVPRYLSSLANCDVPETVQKFSMTGRQEVC -VDSSPLGIDTGDELQLAKLVGKEGYLTTFTWDPSILVDGKLMDFGVNPMYHCPSTTNTGAYALTPLAYFS -QPFRYWRGSIKYRFEVVASAFHRGRLRVVWDPVLYSLDAPFNQNFSVVLDIAEQRDFTVVVPYGAAQPYL -ENTRVPEDGVIYGSLYSSVDEAQDNGNLAIMVLNELSTIKNSAENVVYVNVYVSAGDDFRVAMPCAEKIM -ENRFVSTSEIISESGADPTLVLSDAPMTEGNVDSRVDEVVFGETVVSFRTLLKRYNLSYGFSPTIDAGAN -SLNRYTISSFPPYRGAVDGARDTYNYACHTLLNHLAPCFLGYRGGIRHKFVHMSPIRSGLLAASLGNSGP -SGITYNHDVYDMGNGVTFVYNASEGVQDNPWRGLSGTALTPSTNQPVLEVEVPHYTSRKYVHTRSFGTET -LVAETAKNVSSRAPSINISMFAPSVTGHFPVLDFVSIGEDFNLLYFICTPLIYRGASPAPT - ->APG77477.1 hypothetical protein, partial [Sanxia picorna-like virus 7] -MTEQTIAVTIKRQWPVESFAELLNPLIESRRDTHEDQPVETHFLRRKKYLERVKAADTKRMSLRNKDNRR -LTILGEDTDVWRILERKVMLEPFQVIPVNEPARMTLVWRIDYYSGINIYNDSCYSAEFAYERKYRNKNKN -NLGYICQMDNSIRTVEVETVCTPSWADKMRDSDDVLDDNVDSLFPEPKGTFVEGYIHNRSIPNFVKFEEP -SPPEWVDALEGYHDIGDLYLDDDSKMSKHSEPVRYAQGPYWDDGKKTSSHEFVFQQYKERASVALSNIPQ -YVNVSTILLYSEKLALLLSALTAQTTYRGFITTIVYALKDFGVISTKKSLLVAVIELCKEYLDSDINEQF -QDFSLTTEEEYGCVRGDDGFDCQSAERVKIALDAIVDCLRNPTRIAKNPIIKGCVRFLRAITALGLMTYC -QGDYSISGIKMFAIEPIQGGAFEVFAVLADSLQSFLDYGYAMVMEKTLFPVGYSFDKLHDLELRVAEMEA -WMPFFENGRLQERGMQRSDYLIKLKTIKEHILQVRRSAPDKFAEKTLSVLYAKMEKLSTRATMVTLASGL -KYCPFGYSIAGPAGIGKSSVNDHFINKFFKWKKTEQDWKPEGKDEEYRVTVNMSDKFQSEVFSHHIVAVL -DDFMNKRAEKVAPGETPHDLLIKIINNVPCTALKPDVESKGAVPMNFELVGLTTNVAHLHATLFVNDEYS -ILRRVAFTVFPFVKEEYRKEQQECLDPNKAMGNTELWEFDVMKPLAVKEGNKMVIKWAYYELPPSIVSDT -KKTSTKISLFQLLVLFEHELNKHHEAQIRILEQAKCQDKTCRHGFPHDICGICMAESQEFTPPETYEDGE -MEPFVEEKNEYRNYTVNSEPLLTSQQMLKKLNRHDVPARRLKRRRMPENAVIFSEPTTGICHPMEIIHEE -VPLPDKVEVQCPQPEVINNNWVFPSHIIKYIEDTAEKICPWRLEDNPIRVTYPWLIDFVPRVILNAYPDI -LEVSHLTKTTIRNRRVIAMSLVGMCGINTIATIVRIAMGRHIVWNFLLFSVCIAALIHMHNQHAALVSHY -YVSRNLRWSNLLALQWMPSLMKRRLSVLWTLVFVAGAVTLVRNIVSKLVAPVRKCENKTPTEAKDTVDGD -CQGGIISIPNARPVWGGVQCVPIVKSEEHNMTFSQMIGVVKKSMATIEYVTDDDRVCQCTCLILKSGLIL -VPTHFIPKILTKVTIYVGSREHSGGIIKCILCRLDGFPLKDRDLSIYHVPNLGDRKNLVPLLSKNMSTDT -VICKSIYKDRVGDLKINDFFIKAEYREGLRASYKDKSEYFESNGFCYELREDTFIGLCGMILICNAKVPY -IHSYHTSGRDKFGVSHAITARDVEHAEKFLYDDQCARMRPTDTGDFNIQRVKNFTMQAQPTAKSPLMFLD -KDTSFEYYGTINTPVVKFKHSVHKTLIHDTINEVFNVEPKVGPPPNVPTWQHHHACIMNTTAANMGFPQA -LMERATKDYLDGTLETMRARVDLKKLTLDQILNGEDGVRGLEPMNKKTSAGFPYFQSKSKLFGATEGEPL -QITPELLLDYNVSERAWASNKRSYEIFHQSLKDEPVKKSKTVTRTFQCSNLNLTIALRKYFLPIVTELIT -KPDVYELAVGCNAEGPEWHALMLIISKYGDDRIVAGDYKNYDQRMSSQVICAAFNILIEFAIAVGYSTED -IHMMRAIATEVIYPVIHMNGDIFKLFSSVTSGNSLTTIINCICNSLLHRMCYYGLAQRLHMIAPPFKSVC -SLLTYGDDCADSVRPGYDWFGHTNRQIFFGDFNIIYTMAEKDQISRPFITLQELSFLKRRPQYNSDTDLM -MAPLDESSIFKSLQYLTRSILTPEESVGVNADNALAAWFQHGRAVYEARSHLLRQTLIKHDLYHFSKWAD -RTYDDFLNEWKSKYQAGMPAICLEHPGRKTQECDDGIDYDALLAVKLGNMLGAYRCEAVSPVETPLFRGG -EADHNTSSIFQAGTCLGSCECNNLYPKQHKEKHRMMSPVPQVDGKERAQLVGDVNLKTSRPKMVKQVSQK -SLFKPLSKEDIDMILLDDVEQCGPDFSEITVPQEIRKPTLDEINAMYAQWVRDNHNATPIRTNTTEILDR -SAYYEPPRFASDARNGDYVFQAGTTTTNISTQGKTSTGTVVFSDTDANVINEVAGEMDSTRYLTANAADS -MAAFLSRPLLIQTITVAVGANTYVEFNPWKRFFDNKRIINRITNYNNVRGNMHVKFLINGNGFYYGKLIA -SYLPLKASDNLEHDHTTPSPANICLATQRPHIFLDPCMSTGGQLNLPFFFWKDALNIPVQDWNQMGQIFV -ESINPLRNANGSTADLTITVFAWMSEVTLDSPTILSPTSLIPQAGEYEEKDIISRPASVISNASKMIAPL -LGSLSPYAMAVSQSAGMVATAAKAFGYSRPTTVQQPMKMMPRHIANLANYDVIDNSTKLALDTKNEVTVD -TRVMGLAGKEETSFTYLASISNYLRSTQWNATQLTGTKLTSIRAWPLHRIPHGTLVASAYPSYALPTFDF -AYWTGTFVLKIEVVCSSFHKGRLQIVYDPNNVDGAPETNIQHTYIMDISDTKELVIEVPWSQSRTFLNSP -PSWPSQSLTDTGLDSTGSSVFANGQVGIYVLNELTVPSASTLPIEINLYGSFKDDFKVMCPERTYTDAIF -RTLTTQSGEMDCAEDCQMPNDTMVEYSAGGDNRHEKQLVVYAGESITSFRSLWKRPHLLYIFPKNTVQNS -ATTYIFPLRPKPR diff --git a/seq/clusters_seq/cluster_360 b/seq/clusters_seq/cluster_360 deleted file mode 100644 index 4c20081..0000000 --- a/seq/clusters_seq/cluster_360 +++ /dev/null @@ -1,213 +0,0 @@ ->YP_009552822.1 RNA-dependent RNA polymerase [Culex tritaeniorhynchus totivirus] -MVISFIVRKLDLDSPITTPGDLSDLPLRTKGDYAITRLRLKDILPRIDRDIVTFFSSTLCHLDQILVCNL -MIWSQIWGLDRIKALHATGLLNSFEVFATKASKLSSYVKRFPFDDHDCKQRYAELNTLTGYIQNNFGTFN -YEEEFEALATGGNEHPPGWLNIFTRKVREIMTTQPLPEFITLETYVKEGKWITGGSSSIGKVEWSYDGDA -GKFKARKNMLMDLYTKEELYDIALGWDGSLQNRVFVKDELSKRRLAVASNIEAYLNQGYILYLFGHGFKN -YKYITLDEKPGEQHVRNVETINHLRDGSFALPFDFKGFDRQPTLEEIKIIMARIGDLILPGVPGPYKHEV -STLIARNISSFDNCYLYSPETKQTKRQTGGLSSGIRPTSLIGNVWNSIVTDIARDITEHLLGKTIQSIQL -RGDDTYILSKSWFALVVFRYAYQSINAIGENSKFGIMQACCEFLRTEISVTGVRGWTNRAIPSVTQRKPW -NPQPWTANSETITVANNIYLLERRSKLKLDWLHQCNKIKWSKYARQSHLWLELPKHLGGIGIYKWRGWIP -NCKLPLAQSPTFKVPGLKPDNVAVNWFPMDVEDARKYQQVEFSAKIAADDIPGPQRHMAVQYIKELRSIR -PEWTKTSVGLARIYKIEGPTSSNKLWPKNPIRADISANNPQFPVYNEFVRQHQLLKRAKVEGLKSLMEYT -LLYYPSIYKKITSYEQRGWHRTDAINLAVGQVPLEPTKILNPILSVFVQKMVYKSGLPFWKGRKTIAQLL -EATTRQAVAYVQEQGGASMYAF - ->YP_009342432.1 hypothetical protein 2 [Wuhan insect virus 31] -MWNDQKWQEKTPPLCNADISILRWRIPDVMPYVSDKTVEILSNLDYVSFINCAIYSIVFPEQFEMLADIG -VFDARDNIIQFGKRITSSIKRVNCEELHRQPFAEFHSLAGYQQAEPEGWDLRTEVEALANAGDEHGMCGS -DFDREFDMALLAISEGRETEGPDYMDFQAYVRSGKWVTSGSSSIGHVQWEMDGKTGHFKARKNMLTCLYT -ADELIDLVDRWDKKLFSRAFIKPELAKRRVAVASNIESYLNDSYVLYLMGHGYKNWKGVTLDETRNQEQT -RVRETMIRMGNGEYALPFDYAAFDHQPTTDEIVKIIKHMTRRIDPRARKYVTNMIVSYSNSYIWYQDKDG -NHTLKVRGGLPSGVRPTSLIGNIWNACMCEIAVKRTAILMNANLVQYYKVRGDDSYFISPSVTALIMLRL -VMAGINAKGSNAKFGIASGHVEFLRTNMTGVTTRGWACRTIPTLSQRKPWNPEPWSPVSETATLVKGVET -ICRRCNVDSNILMSIIERRFSKLTGLSKRWLSVPRRLGGFGLTKDVGWRARGKIPIPTRQQFDVKPAVNL -ELPEWLEGLISPEKYTEAFLSTTIATDDIPGTVGVLRGPYIKQLRNFKTEWDKIEVVPRTVMSSNWLTSR -TLVPEQQHIVYNSVDGKITLLEFMSQYGIASLAAKLDSMMEFMKRMFPAAYQRVKGISKRGWHISDAIDI -ATGDKPIARMPKVSPLLNTYAKEIVWTDGEPMRWSGRKQIGTELYRSTYAAAAALWQSQLNLCYLY - ->YP_009337086.1 hypothetical protein 2 [Wenling toti-like virus 2] -MIGRGPVDDSDTHDLTKGLYTYSEFSPDDVFKLLSAYDSEEPIPLDTGRNPIIPMSPKEALRLWPPRTPA -DTKNPRLTLVDMWDDLGPELRQLLLQITTLDIITMTNLAVWALLWGVDALRKLYSTSALNSPHRFVTITS -KISSMIKRVPGSGLAKQMFGELNTLAGFVHEPWPDFIFKDEVPSLAQGGREHGPEWIAQFRASLERAGAN -ARAPVKYITFEEFVKSGKWVTSGAASFGSVEWSHGDSAGTFKARKNMTTDIYTADEIYEIALNWDARFTS -RPFTKNELGKIRLAVSSGFAAYLNEAYVFHLFGQTYTKYTGVTLDETPLDGHNRMVTCVSQFAQGEFGLP -WDYRRFDHQVSTEEMECMFDHITSKIQHLIPDSASVEHGVLRNVRRAYSHSVLLSAESGTAGKYFECLSS -DTPNVLYAPPGHGKTTFHDLRPGVKIIDTDHYADLTFDDFIRLAESCDVLITNRIEYVRECPPHYKLAIF -RGEYEWCINLIREKVNYKLAKEWVTGIMKYVAPQTALDVTLHAGEYVGTYLSNIDNLFKLKRQATSGRQK -TPEYEITGGLPSGIRGTSVYGNLWNAAMTQTVRDYAKRVLGYDPVTHIALKGDDALLVAKHPAELYVLRV -CYAACNLDGNDAKFGICKGQGEFLRGEYCPEAVHGWSTRVIPSITQYKPWGGGSWNPADVCNEIVTAIRA -TERRAGKSLSPLLTSAGRKWSRITRQSSNWLALPVHMGGYGLLPWRGLVPSRTLPRTRRPLMKFGTKNAP -IPSWISMTKDEHAIYSDTLMTMKTHEIDIPGTSDVFVRPWLAALRKCQVTWRFDDSFILASTGSVATTIH -DRHRNEESWPRFPERDFKPTDVHTFPTLLKFLREYTQVKRSSEYSSLKVPPLAYYLKAQFPIFWDKVRYF -ERRGWHRTDAIELAKDQVPHEPALRVNSILTRFIQHTIKPTVLSLSGRRSIAASLYHLTANAQTSLIASP -IHRLYLW - ->YP_009336825.1 hypothetical protein 2 [Hubei diptera virus 22] -MAYRGFTPTDAERLEQLTNGVYRARLDCGTVQDVISRFQLPRIEEKPFSWRKDADLVAIFQPSPGQYVSG -RRLKMSSILKYYKTPLLPYLTGLTYQTALNVLVWSQALTPDELAVFLGHGMFADQLTFERTSSSISQYVK -HFASETRGKRMLGEFHALGGFKHEDPDWDLDAEIASLAGANPSRVSCWPAAWAEAADAVMVAPPEMPTWI -SFEQYVKSLVWTTSGSSSVGLVHYFDGKRQRHFKPRKNMVTTLFTPDELWALVSAWDGTIRNIPVVKNEL -GKIRLAIASNFESYVWESYCLDMFGHGFKNWGGITLDETVAAESTRNEKDMARLGLGWYALPWDFASFDH -QVRTEEIQDILTRMAAMADPRVRHQWARVIGSYSHAILTNPKTNKTYQIKHGLQSGQRTTSLIGNVWNAV -ATRAAIRHCCSILGRDPGFTVGIRGDDTYVMARNPADLYILRLSYAFFGLIGHDKKFSIRPHSLEFLRNT -TTSKRVIGWPCRAVPAITERKPWSDDLLDPASEVVTIADNIRNFERRAACELPLVHQSNKFQWSKFTSQT -YLWLSLPRRLGGYGIYPFKGFIPDGKLSLTPEIQPTIEQEFSRYSPAYLGLTPQQSQIYNRTRFLSMLRP -SDMRNLLGSIMDDFKMRRSLASKAKVTWRKQQLPDVGVLTTKIPLPSGKHLVTPRVDLRSSTPDWPPLPQ -FLSDYPGYRRAHQDNAISLATIMREHYPVAWSWMRRYERWGWHRSDALDMVMGTPPSEPIWPLNSKVRST -CLNHLVGNWVRPPPGFMNRGKIGFYAYHVTRAAVEVFSSSAKSFQYLY - ->YP_009333270.1 hypothetical protein 2 [Wenzhou toti-like virus 1] -MSLIVDMIYDQSWDPRDLSNKTNNLYTYEELTPPDCYAFLTGFTKTPPRPLNQRRPIVPLDAAEACRLWR -PRTRADTRNARLTLVDMWGHLPLQTQHLLLGLDGLDLITITNLAVWSELWGRAELEALWATGTLNDPQTM -ANSLSKLSAFVKRVPGSLKAKQMFCEASTLLGYVHEPWADFKFEDEVPRLAEGGRDHGPAWLDRFRESLD -EVSSQAYIPSKFVSFEDFVKGGEWMTAGAASVGSVTWTWGEEKGRFKARKNMVTDIYSADEIWEMALNWD -GTVPSRPFTKNELGKIRLAVSTGFPAYLNEAYLFKLFGGAYQGYTGITLDEKPLAGHNRMTRCVEAFASG -YYGLPWDYKRFDHQVSTDEMEAMFDHVRQKVAHLLPASADLEREVLEKVRRSYRLNYMTPSESGVSTENW -DYEPSKAGRVLFAPPGHGKSEFMKKRFQLKIGDTDDWPDCDANKLADLARSHPIVVTNRIELLADVAEMG -VDVLFFYARDPSWSETLMAKKVNRKLAAQWIGSIARYSPDPRMTKVELATGEYVGDYLNKIDDFCGFRRG -EKTGRVSTPQYHVKGGLPSGIRGTSVYGNLWNAAMTNTVRKLARRILGYDPVLEVALKGDDALLVAKHPA -ELYVLRVCYAACNLDGNDEKFGISKGQGEFLRDEYSASGVRGWSARLIPSITQFKPWSGGTWDPNEDFKT -ILTGIYAVERRAGQPLPRIIDAAVRKWTLVTRQSSAWLSLPTRLGGLGLLPWRGLVPSRLLPKTRRPLMR -FEASNTPAPSWIAMTPDQHKTLCDTAMTLKTHDIDVPGTAQVFSRPWVAAVRRTRVTWRFDDLFLKVSIP -VMAPTIHDRARAEGSWPEARERNDSPKDDTFPDLLRFLREYTLVKRSAEYSDLKLRSLGDYLSEQFPIFW -QKVQHFEGKGWHRTDAIELAKGCIPSEPVVGLNPMLTVFVQQLIRPTILKLRRRREIAATLSLLTLFAVE -AVQSSPINRLYLW - ->YP_009256209.1 RNA-dependent RNA polymerase [Golden shiner totivirus] -MSNITIYLIIHGAKWFKYLKELGIFANYKTFSVLSRTLGSSTKFMNMKLDLKQKFVELNCLLGYQQLPFE -GDGVISKGFDYFAEIKSLATGGNPHGLIDYNWDLQFLTSLKEISKDNLHEKRDYITFENYVKDGKWITAG -SSSIGHVDWEFDSESGKFKARKNMLTNIYTRNEIWEIIKDWDGKIVSRAIIKGEMSKIRLAVASNIQMYI -YESYLMYLSGHVYKDWFGITLDQSTNENLNENIKIRNLCKENKYILPFDYAAFDHQATTKEIQLITDYYF -KLGLKNVPEAEKKFVSNLITKTVNAYGNSEISGEYKKEKINLKITGGLPSGIRTTSLIGNIWNSVLTNLV -KNLSIAVLGYNPILNVSLRGDDSLIICNKPQECYLIRVLYMSLNAIGHSNKFAILKSSGEFLRNYITKDS -LVGWVNRSIPSITQRKPWNPQPWEENHQVITIKNNIDIIERRFGFNLDRLHLANKVSWSKITKLSTKYLE -LPKRLGGLGLYKFKGFITQTKLPKINKLGINFNEINVEAKPLSWIDLTKDELIKLNKINLESKVVADDIP -GFQGHYHRALMDKYKLLKPIWTKTNLDNAILNVDHKLIPTCINMTKIRKLRHREFFYNETSIWQFLTEYS -NVRKVKEMRSLRYYLENYFPQFYKEIKFWEKKGWHRTDAINIVLGDFVIEPTDKINSQLTDIVKLIVWNP -KMKNTFGRVKIAKRLSNFTKHASNYLSQQTISTYFRY - ->YP_003934934.1 RNA-dependent RNA polymerase [Armigeres subalbatus virus SaX06-AK20] -MKDILCVVSQELKEEMKYYQHLDNILFTNIMIYGHIHGPSTIRELRELGMFNDFETFVTMAGKISGHFKR -YPICKDETKQRLCEIQCLTGYLQNDPPGWDFEKEFVSLAEGGYEHGLVGEDWPARFKELNDKVMTRQPMP -DFISFEDFIRDGLWITAGSSSIGKVEWTKTDDKGKFKARKNMLTELYTDEDLIEIVNNWDGILRSRVFTK -DELSKRRLAVASNIEAYLSEAWILHLFGHGFKNYEYITLDESPKRQHERTSKLINLLRNGSFCLPFDFKG -FDHQPQIKAEVQVILQKIVDHVRTKVPKDKLATFNSIAVRMVESYAKGEIINPMTMEVLIQIGGIPSGVR -PTSLIGNVWNGDMTTYARELTKLMMRRDEIEEIGIKGDDTYIASKNPVALIIFRLAYAAVNAIGLDSKFG -ISQNICEFLRNEISINGCQGWSNRAIPSLSQRKPWNAQPWSPSSEVATVANNIYLLERRLKRSTPQLHQA -NKIKWSKYTNQSYHWLHLPVRLGGFGLYPFEGWEPNGKLPLVAKPFITVNNLKISREYVPWAKLTPEQNI -LYAQEDFNSKIATDDIPGPQKYYSRDFVNILRTKTFSWTKEPTIIRIFPPKVQRPPVAEHVWWPRDRFVN -QKSLDPTMPIFAEFIRQHQTLKRASGRMNIKVEPLPALAKKWYPHLWSSVEYFEANGWHRTDAINLAIGN -IPTEPTKILHPSLTAFVKESVKRNNFRYWKGRRNIALNLYTITTLAVHEIQATGGKHLYAY - ->YP_003289293.1 RNA-dependent RNA polymerase [Drosophila melanogaster totivirus SW-2009a] -MTHQEHKKYISFEKYVKEGYWITSGSSSIGKVNWSYDGDLGKFKARKNMLLDLYTPDEIYKMAVEWDGKL -ENRVFIKDELAKRRLAVASNIEAYLNQGYIFYLFGHGFKNWKYITLDETPGETHLRNCETIKALKDGCFA -LPFDFKGFDRQPTIWEVKQITKRVIDQIKYLVPPQDRHLFTKIAAKNISCYDNNYLYSPLTKETKKQTNG -IPSGIRPTSFIGNVWNMITTDIARDQTSLILGKDNIRLIALKGDDTKILAKDFMTCLVFRYSYQAINAVG -ENSKFGIMQNCCEFLRTEISTQGVRGWTNRAIPSVTQRKPWNPQPWTANQQVETTANNIYLLERRSKKQL -DWLHQANKIKWSKYTGQSYHWLHLPKRLGGFGIYEWKGWKTTSKLPLADIPTFDVPGLFSENINLTWKQM -TDEEKTAYQQVEFSMKIAADDIPGPQKHISSNYIKNLRKLKPVWEKTVVPTYRFYKTEGPTCENNIWPRR -MIQSHEAGPNGMPIFSEFIRQHQIAKKAKIEIPSLKECLAKWYPEAYKTMTEYERKGWHRTDAINIATGK -VPLEPTGILNPILTPWISKIVVKSGFSFWRGRENIALHLTSVCKQAVQYIQNEGGNYMYAF - ->APG76064.1 hypothetical protein 2 [Wenling toti-like virus 3] -MSSIIDMIQDQHWDPRALSEKTNNLFTYEELAPADCYAILAGFVSDDPRPLSRRRPIVPLDSSEACRLWK -PRTRADTRNTRLTLVDLWPVLPSATKDLLLGLDGLDLITITNLAVWSELWGVQHLESLWSTGALNDPHTM -AQNLSKLSAFVKRVPGSQQAKQMFCEASTLLGYVHEPWPDFKFEEEVPELAVGGRDHSPEWLDQFRQSLD -QVSESAYVPSEFTSFEDFVRSGEWMTAGAASVGSVEWTWGEEKGKFKARKNMVTDIYSEDEIWQMATEWD -GRVLSRPFTKNELGKIRLAVATGFAAYLNEAYLFKLFGGSYQGYTGITLDERPLAGHNRMVRCVNAFASG -CYGLPWDYKRFDHQVSTDEMEAMFDHVLKRVEHLLPAGADTERAVLEKVRRSYRLNYMTPSESGVSSENW -DYEPSPSGRVLFAPPGHGKSELMKKRFHLGIADTDDWPDCDADKLVNLARDNPLVVTNRIELLHSAAERG -VDILYFYARDPSWSETLMAKKVDRKHAAMWAPSIACFEPSPTMQLVELATGEYVGDYLNRVDLFCGFKRG -EKTGRVSTPEYHVKGGLPSGIRGTSVYGNLWNAAMTNTVRSLARRVLGYDPVLEVALKGDDALLIARHPA -ELYVLRVCYAACNLDGNDAKFGISQGQGEFLRDEYSPEYVRGWCARLIPSITQYKPWSGGTWDPNEVVKT -VLISIHAVERRAGQPLGRVIDAAVQKWTRVTRQSAAWLSLPTRLGGFGLLPWRGLVPSRQLPRARRPIMK -FEASNTPVPTWIAMTPSQHKVLCDTTMTLKTHDIDVPGTAQVFARPWVAAVRRTRVTWRFDEIFLKAPAP -VMAPTIHDGARDTDTWPDHRERNDTARDPDFPDLLKFLREYTLVKRSAEFSDLKVRSLGEYLADQFPLFW -QKVQYYESRGWHRTDAIELAKGCVPTEPILTINPMLTGFVQNLVRPFLDKLRRRRDIAAALSSITSLAVE -AVRLSPINRLYLW ->AIC34744.2 ORF1/ORF2 polyprotein [Penaeid shrimp infectious myonecrosis virus] -MSQTKNKEPVVELQNQQTFNQKDHNALVIEHKTSATTSAQGIPVRPSRKKDDYVDMTQGNFNLILKLLPT -ISELQKRHILHLLREEVEGKKVCFVEREKQNPLMAINELAVKVGKKPKYTSTKTGADHIPSWTVLVEFAG -FSEAATCDTVKNAKMIAAYKLVKRFCKWDPTYIEISDCMLPPPDLTSCGDVESNPGPIIHSVAFARTGSV -WTPANFTFNTTSSPGRLQVQMSSSDNRYGLNSVLLAAGGTTWGTAYFSQRWNSDFGLQPDLTITVTPQLT -GEELGSIYFDAVETTNAEEAIEATIINTTPIDVMVDTTAGPVPIEGDFKPTNETPTWVSNTKPIDVATHK -TRDYANRSVLTPQLITKMKDHVYALQKDEVKDVTLASLDFGLNPSSVLGRWLKWLTGIDMRLHLGKKVTN -HKVRMFVQKTRFPLKTAIEELNNGTIPHRLRRDVRYIEKPFDKEEHTDILLSGDIEENPGPEGMEQVSIT -PEQLQMILAMQKSPPKPKIKELSEREIQLRLQGNEATRRHQRFKGEPIDPVLTREDIIRKHNQQNGILPD -EKEQPVVVNNVHPLEKEYSIEKEGMVWDEEQFLTYIHDKSSSNDHYACIYNVITHNRFGVLEMPEDPLEM -IDHYEPEEEPKTKPKTKSGNKRELNDETFYRKKKTKTTKEPKTQEQKKIDHDNMFSRLLRRLDKPQIVAV -WLNNRPSRKLVEKLAESKFGIGWQAKEEYTTSMVIVSGYINCEPLPLIVDKLLSVDNNYDMWQQTDKYFN -NLITLCNRVSDVTYTSAQLCRDASILNNKKMHVENGNIVSMENQSEIDSQTKFFSLLEDDNKLPIVDELR -VLADMTAQRSNVNTAGNHLRDNDSIRADAVLANNTVRNNCQIPIPVTTLIPRQIRGLNGVLVNQQLRLQG -IETHITDSYISKAEPSDYSKQLSEMVNAQKTSTWRANNIASQGWDMFDTVQLNTNISQKDLSMDTALTKL -MLLYQLTTQNLPATQLPSSIYSAFDSRTQPTLQDGIWGINNGANIFGEQCGGLAAPVFPFSGGTGEITFH -LTLQSVPQEFQESAIFVPATALQAAKEGARTLAMYVLMFAEWPFGMYTKTKQTTDNAGNNQSDQIFIHSE -STVHIPGQKQMHIVLPRKVNMVNPTTIAEANARVVIQPTYGTVAAGAGVANGNINVAAIGVALPTVNLTD -YLVSWATDFTLGDIKQLIERMKTTLPISRDLMAARQNAMLLSTLFPPLIQSNVASDTKEVPGTAGAYTAC -LANLGIPETLTVNWGEDINVQPLYQLLETDITAHNRYVLNLFKREEVVAGAYEFGWLGHMASYMMGLLLT -MNISSVFNVWYSTRRISTKAWDTAYDSNIQAYQDMHYQMFSWSSMQGSIAPAMVDEILHNLCGQMFGFSL -PLRQVLFNALPITFSSFGSWMSPRVSDGFQTVRYYDIGPPVINAKRDGEVPVSMIDAWTYKFTEKLPKSF -LPWPMPEGKDSTMGYDPEKEPALIDNSNETGNVFRPFMARNGNNSNYLPTNYTIDVSQNGHDESCINVDL -FNNVAGVTLTNYDGTATNADVVPTGSYIKQRAMPIDANAVRPTETLDAANHTKPFAIEGGRLVYLGGTIA -NTTNVVNAMQRKQRLSKPAFKWAHAQRQRVYDSSRPGMDPITKLCARKSGFYECPFHSNDGTQDWTQRCY -RSSTKYISRLDRTTESARGYGYASDSNSINQIYQIALHRKAKHITAKKWQELTKGIYNASTLTPKIVDQI -IKDEGSGTDKTKYVNVPKIITDKELQTFYVPRSNADLVIRRIRLIDLWRNLKPDQMDEIRNYTHLDYIFV -QNICIYMLVFGIDTVKHFRQIGLFNERNEFIEIAKQLSTKGKRFVDDVDNMKQKVCEIATIVGYMDPNVD -KIDVMEEVNSLAAEGNEHGIDRDNWNDLFTKTCKEVMTWYKGHEFISFDDYIKEGMWLTSGSSSIGKVDW -TKDGENGKFKARKNMLLQIYTPQELANIVYAWDGKLHSRVFIKNEMSKLRLAVASNIEAYIHESYMLFLY -GHGFKEYFGVTLDEKPDQQHQREIEMIEKLQAGYFGLPFDYASFDHQPTTFEVKTMVRRVGEIVVSQVPK -NYYYQTQMLVNKIVNAYDKSYLSGNIKNTKFENIKVKGGVPSGVRITSLLGNMWNAIITKIAINNVIGII -GYDPISQISLRGDDVAILSKDPAALYLLRLSYAAINAIGKDSKLGISPKVCEFLRNEISVTGVRGWTCRG -IGGISQRKPWNPQPWSPNDEVETNASNISLLERRAGIELQQLHHINKVKWSRHVRQSYKYLELPKRLGGF -GIYRFQGWLPNGKLPLAKKPLVNVEDIHPSQELFLPLSEQQKKILAQVEMTNKMQTDDIPGTQKLFSKEW -IQKVRAKKIIWSRNQTIPIHTDHTVRIPRWDEKIKFPRYKSQYILNNKINLTMEQVLRQYNLLKEVERYD -KDLKVPKLLDILDKWFPVQSSKIKTYESQGFHRTDAINLAVGEIPTEPAVKINPILINFVKLHLERQGIR -HQRGRNKIAKFIYQKTKQAENMILQSSLQQMYRY ->BAJ21511.1 RNA-dependent RNA polymerase [Omono River virus] -MSTPGDILTTDYRVKSDLAVTRLRIKDFIARIDPNIVKFMNQHLCHLDQTILANLCIWTQLWGLRHLVTL -HSLGMLNDIDTYATKMAKVSSFAKRFPFETDNAKQRWCEVNTLTGYMQNDIGTFDYDKEFESLATGGNEH -PEWWKRKFEEKVRDLMTFQEAPEFVSFETYVKDGYWLTSGSSSVGKVEWSYDGDTGKFKARKNMLVDLYT -KEEIYQIAIDWDGELMNRVFIKDELAKRRLAVASNIEAYLNQAYLLYLFGHGFKNYKYITLDEKPNETHK -RNCRLIKLLKEGSYALPFDFKGFDRQPTTDEIKTIIKRVIDLVSPRVPASHRRLFNTIAFKNIACYDKNY -LYSPLTKRTVKQTGGLPSGIRPTSLIGNLWNMIATDIARDVTKDILGQDYIQEIALKGDDTYIVAPNFFV -CLVFRYAYQSINAVGENSKFGIMQNACEFLRTEISSSGVRGWTNRAIPSVTQRKPWNPEPWTENQQVQTI -ANNIYLLERRCKQDCTLLHMANKIKWSKMMHQSYLWLHLPKHHGGFGIYEWSGWLPDCKLPLTQPPVFDV -ANLNPSSVDLSWYSLSDSEKRAYQKVEFSSKIAANDIPGPAKHVMRSYIVALRACKPEWSKTLVNFKPIP -LISGPTYTNPFWPHPRIKTDTTSNTNGFPQLSEFVRQHQIAKRAKIPVPPLRDMIAKHYPSAYKVMNRCE -LQGWHRTDSINIACGKIPTEPLKILNPILAAWVQQIVLNAGLLKWSGRKNIANRLYAATTLAVHHIQCEG -GASMYAF ->BAJ21513.1 RNA-dependent RNA polymerase [Omono River virus] -METEYRVKSDLAVTRLRLKDIIHKLDPNIVSFMNSHLCHLDQTILTNLAIWTQLWGLRHLVSIHSLGMLN -DIDVYATKMSKVSSFAKRFPFETDNAKQRWCEVNTLTGYMQNDIGTFDYDKEFESLATGGNEHPEWWLQT -FKRKVVDLMTVQEAPEFVSFEHYVKEGLWLTSGSSNIGKVEWSYDGDTGKFKARKNMLFDLYTKDEIYEI -ALNWDASLQNKVFIKDELAKRRLAVASNIEAYLNQAYLLYLFGHGFKNYKYITLDEKPNETHKRNCKLIK -LLKEGSYALPFDFKGFDRQPTTEEIKIIISRVIDLVSPRIPAAHRKLFNSIAMKNIICYDRNYLFSPLTG -KTQQQSGGLPSGIRPTSLIGNLWNMISTDIARDITRDLLGGDYIQEIALKGDDTYIIAPNFFVCLVFRYA -YQSINAVGENSKFGIMQNACEFLRTEISTTGVRGWTNRAIPSVTQRKPWNPEPWTENSQVQTIANNIYLL -ERRCKLDCSWLHHSNKMKWSKMVHQSYLWLHLPKHHGGFGIYEWNGWLPDCKLPLTQPPVFDIPNLNPSS -VELSWFSLSHEDKRAYQKVEFSSKIAASDIPGPQKHVMRSYINDLRRIKPSWSKSVIQFRTLAMPAGPTY -SNPFWPDPKIATSTVSSTIGFPLLPEFVRQHQTAKRAKIAVPSLIDLIRQHYPSAYKVMARCELNGWHRT -DAINIACGKIPTEPLKILNPILAAWVQQIVLNAGLLHWRGRRNIANRLYAATTLAIHHVQHEGGASMYAF ->APS85760.1 RNA-dependent RNA polymerase, partial [Biomphalaria virus 5] -GWNPYSRAWSKRTWQSRLAVASPIEPYIIESYCFSLTGHIYNQWEGITLDGTPTEELKRTLEIVKLFKEG -CHALPFDYAGFDHQVTTWEVEQIVTKYFELGLVNIPPQKQTSYKALVQKIGKCYSKQTLGSTIDGKNIKF -NVTGGLPSGVRITSLIGNIWNCLMTKIALDSAKELIGYNPVLNTSLRGDDSLLICKTSLECYIIRLGYMS -INAIGNSSKFGITQKQGEFLRTVITSNSRYGWVNRAIPSITQRKPWNPEVWEPNAKVITIRNNIMLLERR -LNSKLDILHLANKLQWSKWTHQSYKWLELPKRLGGEGIYRFSGWEPDKKIGRIVKPS ->APG75991.1 hypothetical protein 2 [Beihai toti-like virus 1] -MSLIVDMIYDQTWDPRDLSSKTNNLFTYEELTPQDCFAFLAGFNKTPPRPLNQRRPIVPLDAAEACRLWR -PRTRADTRNARLTLVDMWAHLSRETQHLLLGLDGLDLITITNLAVWSELWGRSQLEALWATGSLNDPQTM -ANSLSKLSAFVKRVPGSLRAKQMFCEASTLLGYVHEPWADFKFEDEVPRLAEGGRDHGPAWLDRFKESLD -EVSSQAYIPSRFVSFEDFVKGGEWMTAGAASVGSVTWTWGEEKGRFKARKNMVTDIYSADEIWEMALNWD -GTVPSRPFTKNELGKIRLAVSTGFPAYLNEAYLFKLFGGAYQGYTGITLDEKPLAGHNRMTRCVEAFANG -YYGLPWDYKRFDHQVSTDEMEAMFDHIRQKVAHLLPASACLERDVLEKVRRSYRLNYMTPSESGVSSENW -DYKPSKNGRILFAPPGHGKSEFMKKRFQLRIGDTDDWPDCDANKLADLARSHPIVVTNRIELLASVVELG -VDVLFFYARDPSWSETLMAKKVNRKLAAQWIGSIASYSPDPRMTTVELATGEYVGDYLNKIDEFCGFKRG -EKTGRVSTPQYHVKGGLPSGIRGTSVYGNLWNAAMTNTVRKLARRILGYDPVIEVALKGDDALLIAKHPA -ELYVLRVCYAACNLDGNDEKFGISKGQGEFLRDEYSASGVRGWSARLIPSITQFKPWSGGTWDPNEDFKT -ILTGIHAVERRAGQPLPGIVDAAVRKWTLVTRQSSAWLSLPTRLGGLGLLPWRGLVPSRLLPKTRRPLMR -FGVSNTPAPTWVKMTPDQHKVLCDTAMTLKTHDIDVPGTAQVFSRPWVAAVRRTRVTWRFDDIFLKVSIP -VMAPTIHDRARAEGSWPEARERNDSPKDDNFPDLLRFLREYTLVKRSAEYSDLKLRSLGDYLSEQFPLFW -QKVQHFESRGWHRTDAIELAKGCVPSEPVMGLNPMLTVFVQQLIRPSVLKLRKRREIAATLSLLTLFAVE -AVRSSPINRLYLW diff --git a/seq/clusters_seq/cluster_361 b/seq/clusters_seq/cluster_361 deleted file mode 100644 index 20513bc..0000000 --- a/seq/clusters_seq/cluster_361 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009666501.1 DNA-binding protein [Angelica bushy stunt virus] -MASVNTISKDLENIVIPILKEIKEMLNSQKQDSIKELIEAASAKIIMEMKKCPCNEEILAALNKQPESKD -KQIVPFEEQSEKPRRMLYSFPNDSVGFEQLGTGKNKNSIVWPPQGKQ - ->YP_009165748.1 ORF3 [Atractylodes mild mottle virus] -MSLKEVSEVLEKIKKLVEQTEALLEKSKEIVEASAAKVINDITAKLEKCQCNKEILDALKEIKGEPSKEL -AVTGKDDPKGKSKSFPLEKYSFPNYRVGNAELGSSGNPNAVVYPPEPWSK - ->YP_006907832.1 minor capsid protein [Horseradish latent virus] -MANLNQIQKEVSQALEEIRSLKKDTEAILAKLGSTEPNNLEAIAAKIINDVTKVIRDCPCNKEILEAFAK -QPEKKGEIIPSNKPGSSSSKLKKYSYPNTGVGNSNLGSSENPKALTWPFGS - ->YP_006732332.1 minor capsid protein [Dahlia mosaic virus] -MTTIKDLAALIEGLREEISKLKAEITSKDTGPTDNTALESLGAKIIKELSDKMDKCECTERLKKELQEQP -DKGKQIVSPDKQESSTPSSNFTRYSYPNWNVGNASLGSSGNPDALKWPPV - ->YP_006607890.1 DNA-binding protein [Soybean Putnam virus] -MSLAEIQKAIDQVKRLAEQIEKLLESSKTIVEASSAKVIQDITSKLEECHCNKEILDALKSHDSKEKPET -QIVNSDKSPSTSSLQKYSFPNEYVGNAELGSSGNPNAIVFPRR - ->YP_001931965.1 virion-associated protein [Eupatorium vein clearing virus] -MTTIVELGAKLDALSQKVNELLKEKQVDKTESAAAKIIKDLSDRIEKDKQELISLIKSCPCGRHDDPKTP -PEPTKKGPEEPGTSKDPNPPKPVIPYSYPNWNVGDEAYGSSKGPNPPSWPPRYTFN - ->YP_001931959.1 virion-associated protein [Lamium leaf distortion virus] -MTTTNLKEIHDLIIQVLEEIKSLKKDINDYSKDSNNEAIAAKIITDIAEQIKKCPCNKEILDVLKNSKDK -QVIPHQGKPDTSSDKPSSLQKYSYPNFRVGNEELGESKNPDSLRWPEGFQKK - ->NP_659395.1 hypothetical protein [Mirabilis mosaic virus] -MSTISELAVLIEELKKEVADLVTTVKDLAKDKSPETDQNALKSLGAKIIKDISDKIDQCECTDKLFDRLK -GKGSVIPTQQETPEVSEGAKYQKYSWPNHNVGNPELGSSGVKNPVYWPPTSFPS - ->NP_619546.1 unnamed protein product [Figwort mosaic virus] -MAATLSEIRELIQSLTKTANEIKAMLERNSAGKPTGIEEAAAKIIKDIGDKIDQCECTKKIEEMLDQKQN -ADTQIVPTKQESSGLVKYSYPNWNVGNEELGSSGNPNAVKWPPRK - ->NP_612575.1 DNA-binding protein [Carnation etched ring virus] -MNLATIASEIEVVKTNQKTIESKIDQILAKIGSTPDESSNLESVAAKIISDLTKEMKECHCNKEIVEILN -KDKAIIPSPEQDSIQKRLSEPQYTFPNFDVGNEGMGSSTNPNALKWPPTEKPQPWPPR - ->NP_056726.1 DNA-binding protein [Cauliflower mosaic virus] -MANLNQIQKEVSEILSDQKSMKADIKAILELLGSQNPIKESLETVAAKIVNDLTKLINDCPCNKEILEAL -GTQPKEQLIEQPKEKGKGLNLGKYSYPNYGVGNEELGSSGNPKALTWPFKAPAGWPNQF - ->sp|P03553.1|VAP_CAMVD RecName: Full=Virion-associated protein; Short=Vap; AltName: Full=Protein 3; Short=P3 -MANLNQIQKEVSEILSDQKSMKADIKAILELLGSQNPIKESLETVAAKIVNDLTKLINDCPCNKEILEAL -GNQPKEQLIGQPKEKGKGLNLGKYSYPNYGVGNEELGSSGNPKALTWPFKAPAGWPNQY - ->sp|P03552.1|VAP_CAMVC RecName: Full=Virion-associated protein; Short=Vap; AltName: Full=Protein 3; Short=P3 -MANLNQIQKEVSEILSDQKSMKSDIKAILELLGSQNPTKESLEAVAAKIVNDLTKLINDCPCNKEILEAL -GNQPKEQLIEQPKEKGKGLNLGKYTYPNYGVGNEELGSSGNPKALTWPFKAPAGWPNQF - ->sp|Q00967.1|VAP_CAMVN RecName: Full=Virion-associated protein; Short=Vap; AltName: Full=Protein 3; Short=P3 -MANLNQIQKEVSEILSDQKSMKSDIKAILEMLGSQNPIKESLEAVAAKIVNDLTKLINDCPCNKEILEAL -GNQPKEQLIEQPKEKGKGLNLGKYSYPNYGVGNEELGSSGNPKALTWPFKAPAGWPNQF - diff --git a/seq/clusters_seq/cluster_362 b/seq/clusters_seq/cluster_362 deleted file mode 100644 index 17da8f3..0000000 --- a/seq/clusters_seq/cluster_362 +++ /dev/null @@ -1,84 +0,0 @@ ->YP_009362083.1 phosphoprotein [Morreton vesiculovirus] -MDNLAKVREYLKTYSRLDQAVQEMDDLEAQREEKTNYELFQEEGIDIQNHPSYYQAAADESSDSEEEEML -EAYDVTEDQHKTISTDEVEGYIAEPSDDYADDEVNVVFTSDWKQPELESDGNGKTLRLTMPEGLSNEQQS -QWLSTIKAVVQSAKYWNIAECTLESTKSGVVMKERQMTPDVYKVTPVLNNPSSIEETPTDVWSLCQVSVS -FTPRKSGIQPFVISLEELFNSRAEFISVGGNGKMSHKEAILLGLRYKKLYNQARVKYNLG - ->YP_009505528.1 phosphoprotein [Carajas virus] -MDNLSKLKEYMGTYTHLDSALQDANESEESRDEKSNFDLFDEESKEVARPSYYSAIDEESDQEETESDDP -DEELNDSNAHGAVDGWDETLNENSQPDDNVSVEFARTWSTPVMESSSEGKTLHLAMPDGLNPDQVAQWLQ -TVKALFESAKYWNLSECRMEVLLEGVLIKERQMTPDLQKVTPKPNNPPPESMPCDPLPPAMDVWEAASQV -YTLEPKRANLAPMDVKLKDLFSSRAEFLSVGGSPQMSWKEAIILGLRYKKLYNQARLKYSL - ->YP_009505323.1 phosphoprotein [Vesicular stomatitis Indiana virus] -MDNLTKVREYLKSYSRLDQAVGEIDEIEAQRDEKSNYELFQEDGVEEHTKPSYFQAADDSDTESEPEIED -NQGLYVPDPEAEQVEGFIQGPLDDYADEEVDVVFTSDWKQPELKSDEHGKTLRLTLPEGLSGEQKSQWLS -TIKAVVQSAKYWNLAECTFEASREGVIMEERQMTPDVYKVTPVMNTHPSQSEAVSDVWSLSKTSMTFQPK -KASLQPLTISLDELFSSRGEFISVGGNGRMSHKEAILLGLRYKKLYNQARVKYSL - ->YP_009177648.1 phosphoprotein [Cocal virus] -MESLNKVKEHMKSYSKLDDAVQEIDDMESNREEKTNFDLFQEEGIDVHAIPSYYQNQEDDDEDGFTDEES -AEVSSEDRKSAVEGYEDEEADDYVDDEVPVVFTSNWKQPELESSGDGKTLLLTVPEGLTTEQRTQWNATI -KALVQSAKYWNIAECTVEDIDQGIMMKERQMTPDVYKGTPVKAAPPSSPEAPSDVWALCGKTKTFPARKA -GVTPLVVTLEELFSSRGEFISVGGHGKMSLKEAIILGLRHKRLYNQARVKYNLV - ->YP_009094098.1 phosphoprotein [Vesicular stomatitis Alagoas virus] -MNSLDQLKEYMKTYTQLDDAVHEIDELESKREEKTNFELFQDEGLELKAAPSYYGTIDDEELSDSEEELA -ETPLGNPNVEGYNEDARDSSEEDDVEVAFTSEWKLPELESDSTGKSLKLFIPDNLTQEQKSQWIATIEAL -VQSARYWNIAECSVEKTSQGITLKERQMTPDVYKVTPSPNAPEPADHLNQDVWTLSRKTQTFLAKKSGVS -PLTISLEELFSSRGEFISVGGHGLMTHKDAILLGLRHKRLYNQARVKYHL - ->YP_009091826.1 phosphoprotein [Maraba virus] -MDQLSKVKEFLKTYAQLDQAVQEMDDIESQREEKTNFDLFQEEGLEIKEKPSYYRADEEEIDSDEDSVDD -AQDLGIRTSTSPIEGYVDEEQDDYEDEEVNVVFTSDWKQPELESDGDGKTLRLTIPDGLTGEQKSQWLAT -IKAVVQSAKYWNISECSFESYEQGVLIRERQMTPDVYKVTPVLNAPPVQMTANQDVWSLSSTPFTFLPKK -QGVTPLTMSLEELFNTRGEFISLGGNGKMSHREAIILGLRHKKLYNQARLKYNLA - ->YP_009047082.1 phosphoprotein [Vesicular stomatitis New Jersey virus] -MDSVDRLKTYLATYDNLDSALQDANESEERREDKYLQDLFIEDQGDKPTPSYYQEEESSDSDTDYNAEHL -TMLSPDERIDKWEEDLPELENIDDDIPVTFPNWTQPVMKENGGEKSLSLFPPVGLTKIQTEQWRKTIEAV -CESSKYWNLSECQILNLEDCLTLKGRVMTPDCSSSVKSQNSIQSSESLYSSHSPGPTLKGSESINLWDLK -STEVQLISKRAGVKDMTVKLTDFFESEEEYYSVCPEGAPDLIGAIIMGLKYKKLFNQARMKYRL - ->NP_041713.1 NS protein [Vesicular stomatitis Indiana virus] -MDNLTKVREYLKSYSRLDQAVGEIDEIEAQRAEKSNYELFQEDGVEEHTKPSYFQAADDSDTESEPEIED -NQGLYAQDPEAEQVEGFIQGPLDDYADEEVDVVFTSDWKPPELESDEHGKTLRLTSPEGLSGEQKSQWLS -TIKAVVQSAKYWNLAECTFEASGEGVIMKERQITPDVYKVTPVMNTHPSQSEAVSDVWSLSKTSMTFQPK -KASLQPLTISLDELFSSRGEFISVGGDGRMSHKEAILLGLRYKKLYNQARVKYSL - ->sp|P04877.1|PHOSP_VSNJO RecName: Full=Phosphoprotein; Short=P protein; AltName: Full=Protein M1 -MDSVDRLKTYLATYDNLDSALQDANESEERREDKYLQDLFIEDQGDKPTPSYYQEEESSDSDTDYNAEHL -TMLSPDERIDKWEEDLPELEKIDDDIPVTFSDWTQPVMKENGGEKSLSLFPPVGLTKIQTEQWKKTIEAV -CESSKYWNLSECQILNLEDSLTLKGRLMTPDCSSSVKSQNSVRRSEPLYSSHSPGPPLKVSESINLWDLK -STEVQLISKRAGVKDMTVKLTDFFGSEEEYYSVCPEGAPDLMGAIIMGLKYKKLFNQARMKYRL - ->sp|P04879.1|PHOSP_VSIVG RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MDNLTKVREYLKSYSRLDQAVGEIDEIEAQRAEKSNYELFQEDGVEEHTRPSYFQAADDSDTESEPEIED -NQGLYVPDPEAEQVEGFIQGPLDDYADDDVDVVFTSDWKQPELESDEHGKTLRLTLPEGLSGEQKSQWLS -TIKAVVQSAKHWNLAECTFEASGEGVIIKKRQITPDVYKVTPVMNTHPSQSEAVSDVWSLSKTSMTFQPK -KASLQPLTVSLDELFSSRGEFISVGGNGRMSHKEAILLGLRYKKLYNQARVKYSL - ->sp|Q8B0H8.1|PHOSP_VSIVS RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=NS; AltName: Full=Protein M1 -MDNLTKVREYLKSYSRLDQAVGEIDEIEAQRDEKSNYELFQEDGVEEHAKPSYFQAADDSDTESEPEVED -NQSLYVPDQEAEQVEGFIQGPLDDYADEEVDVVFTSDWKQPELESDEHGKTLRLTLPEGLSGEQKSQWLS -TIKAVVQSAKYWNLAECTFEASGEGVIMKERQMTPDVYKVTPVMNTHPSQSEAVSDVWALSKTSMTFQPK -KAGLQPLTISLDELFSSRGEFISVGGNGRMSHKEAILLGLRYKKLYNQARVKYSL - ->sp|Q8B0H3.1|PHOSP_VSIVC RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=NS; AltName: Full=Protein M1 -MDNLTKVREHLKSYSRLDQAVGEIDEIEAQREEKSNYELFQEDGSEEHTKPSYFQAADDSDTESEPEIED -NQGLFVPDLEAEPVEGFIQEPLDDYADEEVDIVFTSDWKQPELESNEHGKILRLALPEGLSGEQKSQWLL -TIKAVVQSAKYWNLAECTFEASGEGVIMKERQMTPDVYKVTPVMNTHPSQSEAVLDIWSLSKTSMTFQPK -KASLQPLTISLEELFSSRGEFISVGGNGRMSHKDAILLGLRYKKLYNQARVKYFL - ->sp|P04878.1|PHOSP_VSNJM RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MDSIDRLKTYLATYDNLDSALQDANESEERREDKYLQDLFIEDQGDKPTPSYYQEEESSDSDTDYNAEHL -TMLSPDERIDKWEEDLPELEKIDDDIPVTFSDWTQPVMKENGGEKSLSLFPPVGLTKVQTDQWRKTIEAV -CESSKYWNLSECQIMNSEDRLILKGRIMTPDCSSSIKSQNSIQSSESLSSSHSPGPAPKSRNQLGLWDSK -STEVQLISKRAGVKDMMVKLTDFFGSEEEYYSVCPEGAPDLMGAIIMGLKHKKLFNQARMKYRI - ->sp|P04880.1|PHOSP_VSIVM RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MDNLTKVREYLKSYSRLDQAVGEIDEIEAQRAEKSNYELFQEDGVEEHTRPSYFQAADDSDTESEPEIED -NQGLYVPDPEAEQVEGFIQGPLDDYADEDVDVVFTSDWKQPELESDEHGKTLRLTLPEGLSGEQKSQWLL -TIKAVVQSAKHWNLAECTFEASGEGVIIKKRQITPDVYKVTPVMNTHPYQSEAVSDVWSLSKTSMTFQPK -KASLQPLTISLDELFSSRGEFISVGGNGRMSHKEAILLGLRYKKLYNQARVKYSL - diff --git a/seq/clusters_seq/cluster_363 b/seq/clusters_seq/cluster_363 deleted file mode 100644 index a7cbe5e..0000000 --- a/seq/clusters_seq/cluster_363 +++ /dev/null @@ -1,196 +0,0 @@ ->YP_009259545.1 polymerase [Tibetan frog hepatitis B virus] -MKRLWSRNVRGRPGPILEELEGALTQAGTEDYIIRGQSGDLIVQGKEDLDGGVLPLQAEPERLLGPLKGL -YQNDVPPFNPSWEIPTIQGVHLQEDIIREIPDRRWAYIYPIRFWPNEVKYRPREQGITDKYPEHTRAHSE -KVSLYLQTLYNNGILYKRESRHVLLFNDNPYSWESNNLLPCHCHKSQDYEGLGRQPPSRRSNEVLRPSVE -LDRGKPLTTRLSPRAQRPDGRPSRFPAILSNPAGHQPSGIVKFKQTLPAGQSPRISTDTRQCHVRGNSRT -LLQIPPSICQPQHNMDSSPRGGILGGTRPLSREGGSGRTYHTGSRAQKSSSSKATSSTPKQDKAQEAIRR -HTCAARNSYQQLNRSRPASISNLPPRVTTYPEAGRKEYNCKHERRCFSRSVVPSGCTGRVFLVNKSSGNS -SQARLVVDFSQFSRGKRRVHFPRYFSPNTEALSRILPPHMWRISLDLAEAFYHLPYHTSSSLRLSVSDGK -RVYLFRRAAMGVGLSPWNLTLFTSIIAERIRKYFSIHCFAYMDDFILSHPNPRYLIAAANGVVGYLQQYG -VRINFDKSTLTPTRQIVFMGLQITPTHIHVTKEKFQKLHDLLTSINTDVFYDWKILQRFAGHFNQIVAFS -LFGSHILGPIYLAITNKADFCFTKSYVTDLRYVLNHVYDLRLRASPKHIVPSVAADATLTHGGIATRQGE -EIQLTFSEQRPVHVQELLIALVALLCFVPKGLLSDSTFVVHKQLHTLPYALAAVGHYLLSRAKVTYVHTS -LNPADPVSRLLANHSAPRWPLLVHTSLVKPLYIPWSLLRSP - ->YP_009389524.1 DNA polymerase [Tinamou hepatitis B virus] -MPRPLKQSLDQSKWHREAETKLRELEKLVDLNLEGEKLKPQLSMGEDVLSPEIGGNLFPAVRAPLSHVVE -EAGKDLPRLGNKDPARHKLGRLTGLYQMKGCEFNPNWKVPDISPSNFKLEIVEECPSRNWKYLTPAKFWP -KSISYFPIHAGVKPKYPDHVGRHEEIVGEYLTKLYDAGILYKRVSKTVVSFRGEPFEWEQQYLVNQPARI -YGATSSKINGSGANRRWRTFVPENRKTCGSGRLQYTSYSGRQVPFDRACYGPYREHGGIGDIAEGGTLSG -SNGAETWNNQSKPATSTGSDVDTGRGSKSARLLPSISRRTAQVQREPITSNNCSVSSSQLGTSARGSSTN -RSKRNIITNQQDTHQSIKKTNSQDVFIRANTSWPNRITGRVFLVDKNSRNTTESRLVVDFSQFSKGKNAL -RFPRYWSPNLTTLRRILPVGMPRISLDLSQAFYHLPLSPASSSRLAISDGKSVYYFRKAPMGVGISPFLL -HLFTIALGSEISRRFNVWTFTYMDDFLLCHPNHRHLNAISHSVCCFLQELGVKINFDKMTPSPTRDVRFL -GYRIDDNFLRIEDDRWSDLRGIIKKIKVGHWYDWKCIQRFVGHLNFILPFTKGNIEMLKPMYAAITNQVN -FAFSSSYRNLLYKLTMGVCKLRIKPVESLPIPRVATDATPTHGAISHITGGSAVFTFSKVRDIHIQELLM -VCLARLMIKPRSILSDSTFVCHKRYQTLPWQFAVLAKQLLTKCQLYFVPSKYNPADGPSRHKPPDWTALT -YTPLSKPIYIPHRLCGG - ->YP_009165599.1 polymerase [White sucker hepatitis B virus] -MHQQLRRLQGPSKRPTSPQDLADQLLGLLELGQGPLANQSPNLQEGKHKAGFLNGLYMPNPPSVFNPNWL -IPSHKTVTLHKELPLLLPSRTWKYLLPARFWPKVTHWYSPLKAVKDKYPGFESEHKIIVQNYLTKLFETG -VLYKRASKHSWLVHSEVPLYQWEKERIISKHHGQGIQQTDDLRRSAASKADGTIYGSTSKNSTAKTGVSI -SSKRCQQDKKSTVAQASRSCYYPQAKLSRQRSSKTATYRVGSTFRPSTECSSASTANSASTFGASSTCNA -NRTTIAISTVGFKGQSAIPDKSGSIFGSITDSSCSSNSKNILQHDHVQHLRNHGRSSHSIHHDGLCIPGT -LLRSVGAIPRRVTGGVFLVDKNPHNSESCRLVVDFSQFSRHPNMPFPKYRVPNLDALQNGLPANMYRISL -DLSEAFYHIPLHPGSSCHLLVSDGLGTFYGFRKAAMGVGLSPWLLHLFTSILATWIRSYYPIHCIAYMDD -FLLSHSSVATLSAVVRNILALFAEWGILINVDKSTPEPLPKVIFLGYAVSKNTLLPAPKAQKKALLALNC -IQPDTAYDFKIIQRLTGLLAWVAPFTDLSYLILQPLYEACVSHSDFSFTSQYLSILTSNFTRYTAKTLTP -KVAQPCIFVDASDTLAAATFSNQRVFWVISLPSALPIHIKETIAAVVAVSFHFPVSRCRALASDSMFVCY -KQFKTLPLLFALRAYTALRRLAVAYVHTSANPADGPTRHMGLPASAPSMPLPVPLALSPVFWPRTRVPPF -RTLFPPSPTLHFHPCAHS - ->YP_004956864.1 unnamed protein product [Parrot hepatitis B virus] -MPQPLKQSLDQSKWLQVAEQKLRELENLVDLNLEEEKLKPQLSMGEDVQSPEKGVPLHPNVRAPLSRVLQ -GVQEDPPRLGDKSPASHKLGKLSGLYQMKGCEFNPEWKVPDITQTQFDLDIINECPSRNWKYLTPAKFWP -KSISYYPREIGVKPKISDNQKQHEAIVGIYLNKLYEAGILYKRNSKHIVTFKGKPYPWETKYLVIQRQQY -GPKSSKINGHSSSGRRGIIDPSNISATDKANSSSNSQWSVSINRTCYGTCAGSGRVKYFTQTRTLSGRNR -ACLGSTQSQATISTSRDLDTGRRQTGLGNLRQIPGRTRGRIKGVREETRSHQASTTTTKTTTCPCVESDR -ERDSFRRVEYLVAAGSTSNQGAKGSQEEDVYYLRGNTTWPNRITGRIFLVDKNSRNTEEARLVVDFSQFS -KGQNALRFPKYWSPNLSTLRRIRILPVGMHRISLDLSQAFYHIPLNPASGSRLAISDGESVYYFRKTPMG -VGISPFFLHLFSAAIGSEISRRFNIWTFTYMDDFLLCHPNARHLNAVSHAVCTFLQEFGIRINFDKMTPS -PVTTIRFLGYEINEQYIQIEDSRWTELRTVIKKISVGKWYDWKCIQRLIGHIQFVLPFTKGNSEMLKPMY -LAVKEQVNFSFSSRYRNLLYKLTMGVCKLTLNPKVSLPLPRVAADATLTHGAISHITGGTAVFTFSKVRD -IRVQELLMSCLAMLMIKPRCLLSDSTFVCHKRYMTLPWNFAVLSKQLLGKVPIYYVPSKYNPADGPTRKK -PPDWTALTYTPLSKTPYIPHRLCGG - ->YP_031695.1 DNA-directed DNA polymerase [Snow goose hepatitis B virus] -MPRPLKQSLDQSKWLREAEKHLRLLENLVDSNLEEEKLKPQLSMGEDVQSPGKGEPLHPNVRAPLSHVVR -AATTDLPRLGNKLPARHHLGKLSGLYQMKGCTFNPNWKVPDISDTHFDIDVTNECPSRNWKYLTPAKFWP -KSISYFPIQAGVKNKYPDNVMQHESIVGKYLSKLYEAGILYKRVSKHLVTFKGQPYNWERQYLVNQHLVP -DGATSSKINGCSENRRRRNTFKSTGRTHDTKRDSNLVREIPINRSRDGSCANNGRNKHPAKTGCLARRGR -KEGRSHEPYPSRDSSTPLDTRRRSESSGGFSQISGRKTSRNHHHSTNTNSVETATRGRSSPGNQVVTRNA -TANPEFRASRACNKVPPRQEEENVWYLRGNTSWPNRITGKLFLVDKNSRNTTEARLVVDFSQFSKGKNAM -RFPRYWSPNLTTLRRILPVGMPRISLDLSQAFYHLPLNPASSSRLAVSDGQRVYYFRKAPMGVGLSPFLL -HLFTTALGSEISRRFNVWTFTYMDDFLLCHPNARHLNSISHAVCTFLQELGIRINFDKTTPSPVNEIRFL -GYQIDHHYMRIEESRWKELRTVIKKIKPGEWYDWKCIQRFVGHLNFVLPFTKGNIEMLKPMYAAITNKVN -FSFSSAYRTLLYKLTMGVCKLQINPKSSVPLPRVATDATPTHGAISHITGGSAVFAFSKVRDIHIQELLM -VCLAGIMIKPRCILSDSTFVCHKRYQTLPWHFAMYAKQLLSPIQLYFVPSKYNPADGPSRHKPSDWTALT -YTPLSKAIYIPHRLCGT - ->YP_024968.1 polymerase [Ross's goose hepatitis B virus] -MPQPLKQSLDQSKWRREAEIRLRELENLVDSNLGEEELKPQLSMGEDVQSPGKGEPLHPSVRAPLSRVLL -GTTTDLPRLGNKDPARHKLGKLSGLYQMKGCEFNPQWKVPDISDTHFNLDIINECPSRNWKYLTPAKFWP -KSISYFPAHSGVKPKYPDDVAGHEQIVGQYLTKLFEAGILYKRESKHLVTFKGTPYQWERQYLVNQPADL -HGAATSKINGRKKSRRSGTPPSTIGRKDDPKRDGHMVRKISYHGTRYGPCANNGRDKHHATTRGLAGRSR -EETGTNQPSSPCRSGDKLDTGRGRQGPRIFQKISRRETKGNHHHSSHKSTENSVGAETRRSSPQHATTVP -TSRTSGTGYSGHQNTESPEENVFYLRGNTSWPNRITGRIFLVDKNSRNTAEARLVVDFSQFSKGKHAMRF -PKYWSPNLSTLRRILPVGMPRISLDLSQAFYHLPLNPACSSRLAISDGQHVYYFRKAPMGVGLSPFLLHL -FTTALGSEIARRFNVWTFTYMDDFLLCHPNARHLNSRSHAVCSFLQELGVRINFDKTTPSPVTEIKFLGY -LIDDKFMKIEDQRWNELRQVIKKIQIGKWYDWKCIQRFIGHLNFILPFTKGNVEMLKPMYHAVTHKVNFS -FSSSYRTLLYKLTMGVCKLRLNPKVSLPLPRVATDATLTHGAISHITGGCAVFTFSKVRDIHIQELLMAC -LAKLMIKPRCLLTDSTFVIHKRYQTLPWHFAVLAKQLMQNIQLYFVPSKYNPADGPTRHKPPDWTALTYT -PLSKAIYIPHRLCGT - ->YP_024974.1 polymerase protein [Sheldgoose hepatitis B virus] -MPQPLKQSLDQSKWLREAEIKLRVLENLVDCNLEEGKLKPQLSMGEDVQSPGIGEPLHPNVRAPLSHVLQ -LVTTDLPRLGNKEPARHHLGKLSGLYQMKGCEFNPAWKVPELSDTHFNIDIKNECPSRNWKYLTPAKFWP -KSISYFPVHAGVKPKYPDNVMQHEQIVGKYLTRLYEAGILYKRISKHLVTFKGRPYPWEQQYLVNQHLDK -NGPNTSKINGCEKNRRRRDFIESTSRKNDPKRDCHMVGQISNDRSPIRPCANNGRNKYSSATRCVASRGG -KEIGIGKSQSSRDSSARLDSRGRSTCTRGFSKISKGKTSRRDSESFEKATRRNKNSTLNSSVETATRRFS -PGKSILTGDSSVIPESGTSSPSDKNSQTEKEDVWFLRGNTSWPNRITGKLFLVDKNSRNTEEARLVVDFS -QFSKGKNAMRFPRYWSPNLSTLRRILPVGMPRISLDLSQAFYHLPFNPASSSRLAVSDGQRVYYFRKAPM -GVGLSPFLLHLFTTALGSEIARRFNVWTFTYMDDFLLCHPNARHLHAISNSVCNFLQELGIRINFDKTTP -SPVTEIRFLGYQIDSKFMRIEDMRWTEIRNVIKKIKVGEWYDWKCIQRFVGHLNFVLPFTKGNTEMLKPM -YTAISNQVNFSFSSAYRTLLYKLTMGVCKLSIKPKVSVPLPRVATDATPTHGAISHITGGSAVFTFSKVR -DIHVQELLMACLAKLMIKPRCLLSDSTFVCHKRYHSLPWSFAMLAKQLLNPIQLYFVPSKYNPADGPSRH -KPPDWTALTYTPLSKRIYIPHRLCGT - ->NP_040998.1 polymerase [Heron hepatitis B virus] -MPQPLKQSLDQSRWLKEAEIKLRELENLVDSNLEDERLKPQLSMGEDVLSPEAGDPLHPNVRAPLSHVIG -ETRHDPPHLGNRDPARHKLGKLTGLYQMKGCEFNPHWKIPDISATNFSQEIINECPSRNWKYLTPAKFWP -KSISYLPVHSGVKPKYPEFQQNHESLVNDYLNKLFEAGILYKRVSKHLVTFKGPYFTWEQKHLVPQQHGA -YSSKINDRQESRRRRIITATSSRKNDSSRIFGAHNNGRKISYHSTRDGSHRLSGRTSDPTSRGALAGGDS -TPIGPGSTAAHPSTHHVDRRRRQKGQGVLQAISREPSETRRNGTTSHHRVARCRTSSVEDFTRRPFTQSK -GAYPRQGTRGTDPQGPKAHQQEENGSYLRGNTSWPNRVTGRIFLVDKNSRNTEEARLVVDFSQFSKGKNA -MRFPKYWCPNLTTLRRILPVGMPRISLDLSQAFYHLPLAPASSSRLAVSDGKQVYYFRKAPMGVGLSPFL -LHLFTTAIGAEIASRFNVWTFSYMDDFLLCHPSARHLNTISHAVCTFLQEFGIRINFDKMTPSPVTTIRF -LGYEISKQHMKIEESRWNELRTVIKKIKVGQWYDWKCIQRFIGHLNFVLPFTKGNIEMLKPMYDACTHRV -NFAFSSRYKILLYKLTMGVCKLTLDPKVSLPLPRVATDATLTHGAISHITGGSAVFTFSKVRDIHIQELL -MVCLAKLMIKPRCILTDSTYVCHRKFSKLPWHFAMYAKQLLTRLTLYYVPSKYNPADGPTRHKPPDWTAV -TYTPLSKHIYIPHRLCGL - ->NP_039821.1 hypothetical protein DHBVgp3 [Duck hepatitis B virus] -MQKLMRNLWIGLGDCFGGITTVYCGEKLTLLITFLGSVLGCQLRKSTEAVMPQPLKQSLDQSKRLREAEK -HLRELENLVDSNLEEEKLKPQLSMGEDVQSPGKGEPLHPNVRAPLSHVVRAATIDLPRLGNKLPAKHHLG -KLSGLYQMKGCTFNPEWKVPDISDTHFDLQVVNECPSRNWKYLTPAKFWPKSISYFPVQAGVKAKYPDNV -MQHEAIVGKYLNRLYEAGILYKRISKHLVTFKGKPYNWELQYLVKQHQVPDGTTTSKINGRAENRRRRAP -AKSISRPHDSERDCNMVGQISNNRSSIRPCANNGGGKHSSTTGRLACWGGKTIGTDQSYSSRDASASVDS -RGRSKSSRGFSSISRRKATGNHHHCSYVTNSVEATTRGRSTPGKQVFTRDSSSLPESRASRACDKDSSPQ -EEENAWYLRGNTSWPNRITGKLFLVDKNSRNTTEARLVVDFSQFSKGKNAMRFPRYWSPNLSTLRRILPV -GMPRISLDLSQAFYHLPLNPASSSRLAVSDGQHVYYFRKAPMGVGLSPFLLHLFTTALGSEIARRFNVWT -FTYMDDFLLCHPNARHLNSISHAVCSFLQELGIRINFDKTTPSPVNDIRFLGYQIDQKFMKIEESRWIEL -RTVIKKIKIGAWYDWKCIQRFVGHLNFVLPFTKGNIEMLKPMYAAITNKVNFSFSSAYRTLLYKLTMGVC -KLAIRPKSSVPLPRVATDATPTHGAISHITGGSAVFAFSKVRDIHIQELLMVCLAKIMIKPRCILSDSTF -VCHKRYQTLPWHFAMLAKQLLSPIQLYFVPSKYNPADGPSRHRPPDWTALTYTPLSKAIYIPHRLCGT - ->sp|P0C691.1|DPOL_DHBV3 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPQPLKQSLDQSKWLREAEKQLRVLENLVDSNLEEEKLKPQLSMGEDVQSPGKGEPLHPNVRAPLSHVVR -AVTTDLPRLGNKLPARHHLGKLSGLYQMKGCTFNPEWKVPDISDTHFDLEVINECPSRNWKYLTPAKFWP -KSISYFPVQAGVKPKYPDNVMQHESIVGKYLTRLYEAGILYKRISKHLVTFKGQPYNWEQQHLVNQHQIP -DGATSSKINGRQENRRRRTPIKSTCRQNDTKRDSDMVGQVSNNRSRIRPCANNGGDKHPPATGSLACWGR -KASRVIKSGSSRDSSASVDSRRRSKGPRGFSTLPRRETTGNDHHSSDISNSVEATTRRRSTPGESITLGD -SSIIPDGTSCASDKDSSPKEENVWYLRGNTSWPNRITGKLFLVDKNSRNTTEARLVVDFSQFSKGKNAMR -FPRYWSPNLSTLRRILPVGMPRISLDLSQAFYHLPLNPASSSRLAVSDGQWVYYFRKAPMGVGLSPFLLH -LFTTALGSEISRRFNVWTFTYMDDFLLCHPNARHLNSISHAVCSFLQELGIRINFDKTTPSPVTEIRFLG -YQIDEHFMKIEESRWKELRTVIKKIKVGEWYDWKCIQRFVGHLNFVLPFTKGNIEMLKPMYAAITNQVNF -SFSSAYRTLLYKLTMGVCKLRINPKSSVPLPRVATDATPTHGAISHITGGSAVFAFSKVRDIHIQELLMT -CLARIMIKPRCLLSDSTFVCHKRYQTLPWHFAVLAKQLLKPIQLYFVPSKYNPADGPSRHRPPDWTAFPY -TPLSKAIYIPHRLCGT - ->sp|P03162.2|DPOL_DHBV1 RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MQKLTRNHWIGLGDCFGGITTVYCGEKLKLLTIFLVCVLGCQLLRNIEVEMPRPLKQSLDQSRWLREAEK -QLRVLENLVDSNLEEEKLKPQLSMGEDVQSPGKGEPLHPNVRAPLSHVVRAATIDLPRLGNKLPARHHLG -KLSGLYQMKGCTFNPEWKVPDISDTHFNLDVVNECPSRNWKYLTPAKFWPKSISYFPVQVGVKPKYPDNV -MQHESIVGKYLTRLYEAGILYKRISKHLVTFKGQPYNWEQQHLVNQHHIYDGATSSKINGRQTDRRRRNT -VKPTCRKDDPKRDFDMVRQVSNTRSRVRPCANNGGDKHPPESGSLACWGGKESRIIKSDSSRDSSAPVDS -RGRPKSTRSFSPLSRRKTTGNHHHSSVFPSSVEATTRGRSTPGKSVSPRDSSAIPVRTSGASDKNSPLEE -ENVWYLRGNTSWPNRITGKLFLVDKNSRNTEEARLVVDFSQFSKGKNAMRFPRYWSPNLSTLRRILPVGM -PRISLDLSQAFYHLPLNPASSSRLAVSDGQRVYYFRKAPMGVGLSPFLLHLFTTALGSEISRRFNVWTFT -YMDDFLLCHPNARHLNAISHAVCSFLQELGIRINFDKTTPSPVNEIRFLGYQIDENFMKIEESRWKELRT -VIKKIKVGEWYDWKCIQRFVGHLNFVLPFTKGNIEMLKPMYAAITNQVNFSFSSSYRTLLYKLTMGVCKL -RIKPKSSVPLPRVATDATPTHGAISHITGGSAVFAFSKVRDIHVQELLMSCLAKIMIKPRCLLSDSTFVC -HKRYQTLPWHFAMLAKQLLKPIQLYFVPSKYNPADGPSRHKPPDWTAFPYTPLSKAIYIPHRLCGT - ->sp|P30028.1|DPOL_HPBDC RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPQPLKQSLDQSKWLREAEKHLRELENLVDSNLEEEKLKPQLSMGEDVQSPGKGEPLHPNVRAPLSHVVR -AATIDLPRLGNKLPAKHHLGKLSGLYQMKGCTFNPEWKVPDISDTHFDLQVLNECPSRNWKYLTPAKFWP -KSISYFPVQAGVKAKYPDNVMQHESIVGKYLTRLYEAGILYKRISKHLVTFKGQPYKWEHQYLVKQHQIP -DGATTRKINGRAENRRRGDPAKSISRPHDPKRGCNMVRQISNNRSSIRPCANNGGDKHSPTTRRLACWGG -KASRINQSYSSRDSSAPVDARGRSESAGSLSSLSGRKAAGNHHHSTLINPSVETTARGRSTPGEQISARD -TSALPESRASRACNKDSSIKEENVWYLRGNTSWPNRITGKLFLVDKNSRNTTEARLVVDFSQFSKGKNAM -RFPRYWSPNLSTLRRILPVGMPRISLDLSQAFYHLPLNPASSSRLAVSDGQRVYYFRKAPMGVGLSPFLL -HLFTTALGSEIARRFNVWTFTYMDDFLLCHPNARHLNSISHAVCTFLQELGIRINFDKTTPSPVTEIRFL -GYQIDQKFMKIEEDRWKELRTVIKKIKVGAWYDWKCIQRFVGHLNFVLPFTKGNLEMLKPMYAAITNKVN -FSFSSAYRTLLYKLTMGVCKLAIKPKSSVPLPRVATDATPTHGAISHITGGSAVFAFSKVRDIHIQELLM -VCLAKLMIKPRCILTDSTFVCHKRYQTLPWHFAMLAKQLLSPMQLYFVPSKYNPADGPSRHKPPDWTALT -YTPLSKAIYIPHRLCGT - ->sp|P17193.1|DPOL_HPBDW RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPQPLKQSLDQSKWLREAEKHLRELENLVDSNLEEEKLKPHLSMGEDVQSPGKGEPLHPNVRAPLSHVVR -AATIDLPRLGNKLPAKHHLGKLSGLYQMKGCSFNPEWKVPDISDTHFDLQVINECPSRNWKYLTPAKFWP -KSISYFPVQAGVKAKYPDNVMQHEAIVGKYLNRLYEAGILYKRISKHLVTFKGKPYHWELQYLVKQHQVP -DGTTTCKINGREENRRRRAPAKSISRPHDTERNCHMVGQVSNNRSPIRSCANNGGGKYSSTTRRMACWGG -QTIGVNQSCSPRTSAASVDAGRRSKSSRGLSSLSRRETTGNHHHSTNVTNSVEAATRGRSPAGKQVSARD -TSSLPESGASRACDKNSSPQKEENGWYLRGNTSWPNRITGRLFLVDKNSRNTTEARLVVDFSQFSKGKNA -MRFPRYWSPNLSTLRRILPVGMPRISLDLSQAFYHLPLNPASSSRLAVSDGQHVYYFRKAPMGVGLSPFL -LHLFTTALGSEIARRFNVWTFTYMDDFLLCHPNARHLNSISHAVCTFLQELGIRINFDKTTPSPVNDIRF -LGYQIDQKFMRIEESRWKELRTVIKKIKIGAWYDWKCIQRFVGHLNFVLPFTKGNIEMLKPMYAAITNKV -NFSFSSAYRTLLYKLTMGVCKLTIRPKSSVPLPRVATDATPTHGAISHITGGSAVLAFSKVRDIHIQELL -MVCLAKIMIKPRCILSDSTFVCYKRYHTLPWHFAMLAKQLLSPIQLYFVPSKYNPADGPSRHKPPDWTAL -TYTPLSKAIYIPHRLCGT - ->sp|P17192.1|DPOL_HPBDB RecName: Full=Protein P; Includes: RecName: Full=DNA-directed DNA polymerase; Includes: RecName: Full=RNA-directed DNA polymerase; Includes: RecName: Full=Ribonuclease H -MPQPLKQSLDQSRWLREAEKHLRELENLVDSNLEEEKLKPQLSMGEDVQSPGIGEPLHPNVRAPLSHVVR -AATIDLPRLGNKLPAKHHLGKLSGLYQMKGCTFNPEWKVPDISDTHFDLQVINECPSRNWKYLTPAKFWP -KSISYFPVQAGVKAKYPDNVMQHEAIVGKYLNRLYEAGILYKRISKHLVTFKGKPYNWELQYLVKQHQVP -DGTTTSKINGRAENRRRRAPAKSISRPHDSERDCNMVGQISNNRSSIRPCANNGGGKHYATTRRLACWGG -KTIGTDQSYSSRDTSATVDSRGRSESSRGFSTISGRKATGNHHHCSNVTNSVETTTRGRSTPGKQVVTRD -SSALPESRASRACHKDSSPQKEENAWYLRGNTSWPNRITGKLFLVDKNSRNTTEARLVVDFSQFSKGKNA -MRFPRYWSPNLSTLRRILPVGMPRISLDLSQAFYHLPLNPASSSRLAVSDGQHVYYFRKAPMGVGLSPFL -LHLFTTALGSEIARRFNIWTFTYMDDFLLCHPNARHLNSISHAVCSFLQELGIRINFDKTTPSPVNDIRF -LGYQIDQKFMKIEESRWKELRTVIKKIKIGAWYDWKCIQRFVGHLNFVLPFTKGNIEMLKPMYAAITNKV -NFSFSSAYRTLLYKLTMGVCKLAIRPKSSVPLPRVATDATPTHGAISHITGGSAVFAFSKVRDIHIQELL -MVCLAKIMIKPRCILSDSTFVCHKRYQTLPWHFAMLAKQLLSPIQLYFVPSKYNPADGPSRHKPPDWTAL -TYTPLSKAIYIPHRLCGT - diff --git a/seq/clusters_seq/cluster_364 b/seq/clusters_seq/cluster_364 deleted file mode 100644 index d3d1e2c..0000000 --- a/seq/clusters_seq/cluster_364 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009512935.1 X protein [Parrot bornavirus 5] -MSSDLQLTLLELIRRLNGISTLESSGFPRGRGRPADSTSRSVSIPEEEEDPKGCTDSTCGTATENHQEEP -VHGLRPRPKDRKGAIVE - ->YP_009512929.1 X protein [Parrot bornavirus 1] -MSTNLELTLLELIRRLNGTALESGRVARGGRRPADSTSNEIEVPKKEANSQGGPNCPRGEASRAGQEESF -HDLRPRAKDRKGAVIE - ->YP_009505424.1 X protein [Estrildid finch bornavirus 1] -MSTDLHLTLLELIRRLNGISTIESGRFSRGGRRPADSTSGSVEISEKEENSKGCIDSASRATVENTQEEP -IHDLRPRPKDRKGAAIE - ->YP_009269414.1 X protein [Variegated squirrel bornavirus 1] -MNSDLQLTLLELIRRLNGIAPLESSRVIGGRRESPDTTTSQVSITPAKEDSTRCTNTTCGPPSQEHKEEP -LHDLRPRTKDRKGTAVE - ->YP_009268912.1 X protein [Aquatic bird bornavirus 2] -MSSDLQLTLLELIRRLNGISTLESGGLPGRRGRPADSTSHSVEVSEEEKNSARCPDTTSRTPSSNVEEEP -VHDLRPRPKDRKGAIVE - ->YP_009268906.1 X protein [Canary bornavirus 1] -MNSDLQLTLLELIRRLNGITXIESGGLPRRRGRSADSTSQSVEITKEEEDSPRCIDPTGGTSTQDTEKEP -IHDLRPRPKDRKGAVVE - ->YP_009268900.1 X protein [Parrot bornavirus 7] -MSTNLELTLLELIRRLNGTALEPSGITRGRGRPADSTSNEIEISKKETNTQGGPHRSRGEAPRAGQEESL -HDLRPRTKDRKGTVVE - ->YP_009268894.1 X protein [Parrot bornavirus 4] -MSNNLELTLLELIRRLNGTALESGGVARGGRRPADSTSSEIEVPAKEANTQGGPNCARRETPRASQEEPF -HDLRPRAKDRKGTVIE - ->YP_009174177.1 X protein [Parrot bornavirus 2] -MSTSFELTLLELIRRLNGTALEPSRVTRGGRRPADSTSNEVEVSKKEANTQGGPNCPRGETSRASQEESF -HDLRSRTKDRKGAVIE - ->YP_009041457.1 X protein [Canary bornavirus 3] -MSSDLQLTLLELIRRLNGITTIESGGFLGRRGRPADSTSRSLEVSEEETNSSRCIDPTSGASSQGTEKES -IHDLRPRPKDRKGAVIE - ->YP_009272535.1 X protein [Borna disease virus 1] -MSSDLRLTLLELVRRLNGNATIESGRLPGGRRRSPDTTTGTTGVTKTTEGPKECIDPTSRPAPEGPQEEP -LHDLRPRPANRKGAAVE - ->YP_009268918.1 X protein [Borna disease virus 2] -MSSDLRLTLLELVRRLNGNTTVESGRLSGGRRRSPDTTTGAVGITKTKEDSKECTDPASGPAPEGSPEEP -LHDLRSRSANRKGAAIE - ->YP_009237643.1 X protein [Aquatic bird bornavirus 1] -MNSDLQLTLLELIRRLNGISTFESGGLSGRRRGPADSTSHPVEISKTEKNTARCSDTTSRTAPEDSKEEP -LHDLRPRPKDRKGAIVE - ->YP_009165493.1 X protein [Canary bornavirus 2] -MNSDLQLTLLELIRRLNGITTIESGGFLGGRGRPADSTSRTIEISEEEADSQRCIDPAGRASSQGTEKEP -IHDLRPRPKDRKGAVVE - diff --git a/seq/clusters_seq/cluster_365 b/seq/clusters_seq/cluster_365 deleted file mode 100644 index 9c057f1..0000000 --- a/seq/clusters_seq/cluster_365 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_009509072.1 6K polyprotein cleavage product [Mosso das Pedras virus] -ETMWESLDHLWNNNQQMFWIQLLLPLAALIVLTRVLKCMCCMVPFLVLAGAAGAGA - ->YP_009509057.1 6K polyprotein cleavage product [Tonate virus] -ETTWESLDHLWNHNQNMFWSQLLIPLAALIVLTRLLKCLCCVVPFLVLAGAASVGA - ->YP_009509048.1 6K polyprotein cleavage product [Rio Negro virus] -ETAWESLDHLWNNNQQMFWVQLLLPLAALIVLARIIKCLCCVVPFLVLAGAVGAGA - ->YP_009509039.1 6K polyprotein cleavage product [Pixuna virus] -ETAWESLDHLWNHNQQMFWIQLLIPLAALIVLLRVLKCVCCVLPFLVLAGAVGAGA - ->YP_009509030.1 6K polyprotein cleavage product [Mucambo virus] -ETTWESLDHLWNHNQQMFWSQLLIPLAALIVVTRLLKCMCCVVPFLVLAGAASVGA - ->YP_009509021.1 6K polyprotein cleavage product [Everglades virus] -ETTWESLDHLWNNNQQMFWTQLLIPLAALIVVTRLLKCMCCVVPFLVVAGAAGAGA - ->YP_009509012.1 6K polyprotein cleavage product [Cabassou virus] -ETTWETLDHLWNNNQQMFWLQLLIPLAALIVITRILKCVCCFVPFLVLAGAAGAGA - ->YP_009020590.1 6K membrane protein [Madariaga virus] -DDTLQVLNYLWNNNQNFFWMQTLIPLAALIVCMRMLRCLLCCGPAFLLVCGALGAAA - ->YP_006491258.1 transframe fusion protein [Southern elephant seal virus] -ETTFDSLSHLWSHNYTLFWLQLLVPVAGMIVLCSVCKCMFSCCKQICFLSCAGCECGSGSCLRTHRCHAK -QSGVPV - ->YP_006491246.1 transframe fusion protein [Onyong-nyong virus] -ASYYEAATYLWNEQQPLFWLQLLIPLSAAIVVCNCLKLLPCCCKTLTFLSRHEHRCPHCDRVRARNSDPE -HGGSTV - ->YP_006491236.1 transframe fusion protein [Venezuelan equine encephalitis virus] -ETTWESLDHLWNNNQQMFWIQLLIPLAALIVVTRLLKCVCCVVPFLSRGRRRRRRRLRARDHDAEPSGNL -V - ->NP_818994.1 6K protein [Venezuelan equine encephalitis virus] -ETTWESLDHLWNNNQQMFWIQLLIPLAALIVVTRLLKCVCCVVPFLVVAGAAGAGA - ->NP_740710.1 6K protein [Onyong-nyong virus] -ASYYEAATYLWNEQQPLFWLQLLIPLSAAIVVCNCLKLLPCCCKTLTFLAVMSIGARTVTA - ->NP_740647.1 6K protein [Eastern equine encephalitis virus] -DDTLQVLNYLWNNNQNFFWMQTLIPLAALIVCMRMLRCLFCCGPAFLLVCGALGAAA - diff --git a/seq/clusters_seq/cluster_366 b/seq/clusters_seq/cluster_366 deleted file mode 100644 index 2f98a6d..0000000 --- a/seq/clusters_seq/cluster_366 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_002302329.1 nonstructural protein 1 [Influenza C virus (C/Ann Arbor/1/50)] -MSDKTVKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLKTESSFAPRTWEDAIKDGELLFNGT -ILQAESPTMTPASVEMKGKKFPIDFAPRNIAPIGQNPIYLSPCIPNFDGNVWEATMYHHRGATLTKTMNC -NCFQRTIWCHPNPSRMRLSYAFVLYCRNTKKICGYLIARQVAGIETGIRKCFRCIKSGFVMATDEISLTI -LQSIKSGAQLDPYWGNETPDIDKTEAYMLSLREAGP - ->YP_002302328.1 nonstructural protein 2 [Influenza C virus (C/Ann Arbor/1/50)] -MSDKTVKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLKTESSFAPRTWEDAIKDEILRRSVD -TSSLDKWPELKQELENVSDALKADSLWLPMKSLSLYSKVSNQEPSSIPIGEMKHQILTRLKLICSRLEKL -DLNLSKAVLGIQNSEDLILIIYNRDVCKNTILMIKSLCNSLI - ->sp|Q784P6.1|NS1_INCY6 RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MSDKTVKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDGELLFNGT -ILQAESPTMTPASVEMKGKKFPIDFAPSNIAPIGQNPIYLSPCIPNFDGNVWEATMYHHRGATLTKTMNC -NCFQRTIWCHPNPSRMRLSYAFVLYCRNTKKICGYLIAKQVAGIETGIRKCFRCIKSGFVMATDEISLTI -LQSIKSGAQLDPYWGNETPDIDKTEAYMLSLREAGP - ->sp|P06944.2|NS1_INCCA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MSDKTVKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDGELLFNGT -ILQAESTTMTPASVEMKGKKFPIDFVPSNIAPIGQNPIYLSPCIPNFDGNVWEATMYHHRGATLTKTMNC -NCFQRTIWCHPNPSRMRLSYAFVLYCRNTKKICGYLIAKQVAGIETGIRKCFRCIKSGFVMATDEISLII -LQSIKSGAQLDPYWGNETPDIDKTEAYMLSLREAGP - ->sp|P33493.2|NEP_INCCA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MSDKTVKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDEILRRSVD -TSSLNKWPELKQELENVSDALKADSLWLPMKSLSLYSRVSNQEPSSIPIGEMKHQILTRLKLICSRLEKL -DLNLSKAVLGIQNSEDLILIIYNRDICKNTILMIKSLCNSLI - ->sp|P0C142.1|NEP_INCYA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -VKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKASTKARLRTESSFAPRTWEDAIKDEILRRSVDTSSLD -RWPELKQELENVSDALKADSLWLPMKSLSLYSEVSNQEPSSIPIGEMKHQILTRLKLICSRLEKLDHNLS -KAVLGIQNSEDLILIIYNRDICKNTILMIKSLCNSLI - ->sp|Q67402.2|NEP_INCMI RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -VKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLKTESSFAPRTWEDAIKDEILRRSVDTSSLD -KWPELKQELENVSDALKADSLWLPMKSLSLYSKVSNQEPSSIPIGEMKHQILTRLKLICSRLEKLDLNLS -KAVLGIQNSEDLILIIYNRDICKTTILMIKSLCNSLI - ->sp|P0C135.1|NEP_INCGL RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -VKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDEILRRSVDTSSLD -KWPELKQELENVSDALKADSLWLPMKSLSLYSKVSNQELSSIPIGEMKHQILTRLKLICSRLEKLDLNLS -KAVLGIQNSEDLILIIYNRDVCKNTILMIKSLCNSLI - ->sp|Q9ENZ3.1|NEP_INCY6 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MSDKTVKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDEILRRSVD -TSSLNKWPELKQELENVSDALKADSLWLPMKSLSLYSKVSNQEPSSIPIGEMKHQILTRLKLICSRLEKL -DLNLSKAVLGIQNSEDLILIIYNRDVCKNTILMIKSLCNSLI - ->sp|Q9ENX6.1|NS1_INCHY RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MSDKTVKSTNLMAFIATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDGELLFNGT -ILQAESPTMTPASVEMKGKKLPIDFAPSNIAPIGQNPIYLSPCIPNFDGNVWEATMYHHRGATLTKTMNC -NCFQRTIWCHPNPSRMRLSYAFVLYCRNTKKICGYLIARQVAGIETGIRKCFRCIKSGFVMATDEISLTI -LQSIKSGAQLDPYWGNETPDIDKTEAYMLSLREAGP - ->sp|P12603.2|NEP_INCJJ RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MSDKTVKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLKTESSFAPRTWEDAIKDKILRRSVD -TSSLDKWQELKQELENVSDALKADSLWLPMKSLSLYSKVSNQEPSSIPIGEM - ->sp|Q9ENX7.1|NEP_INCHY RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MSDKTVKSTNLMAFIATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDEILRRSVD -TSSLDKWPELKQELENVSDALKADSLWLPMKSLSLYSKVSNQEPNSIPIGEMKHQILTRLKLICSRLEKL -DLNLSKAVLGIQNSEDLILIIYNRDICKTTILMIKSLCNSLI - ->sp|P0C141.1|NS1_INCYA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1C -VKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKASTKARLRTESSFAPRTWEDAIKDGELLFNGTILQAE -SPTMTLASVEMKGKKSPIDFAPSNIAPIGQNPIYLSPCIPNFDGNVWEATMYHHRGATLTKTMNCNCFQR -TIWCHPNPSRMRLSYAFVLYCRNTKKICGYLIARQVAGIETGIRKCFRCIKSGFVMATDEISLTILRSIK -SGAQLDPYWGNETPDIDKTEAYMLSLREAGP - ->sp|P0C134.1|NS1_INCGL RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1C -VKSTNLMAFVATKMLERQEDLDTCTEMQVEKMKTSTKARLRTESSFAPRTWEDAIKDGELLFNGTILQAE -SPTMTPASVEMKGKKFPIDFAPSNIAPIGQNPIYLSPCIPNFDGNVWEATMYHHRGATLTKTMNCNCFQR -TIWCHPNSSRMRLSYAFVLYCRNTKKICGYLIARQVAGIETGIRKCFRCIKSGFVMATDEISLTILQSIK -SGAQLDPYWGNETPDIDKTEAYMLSLRETGP - diff --git a/seq/clusters_seq/cluster_367 b/seq/clusters_seq/cluster_367 deleted file mode 100644 index bb8069e..0000000 --- a/seq/clusters_seq/cluster_367 +++ /dev/null @@ -1,454 +0,0 @@ ->YP_010088071.1 RNA-dependent RNA polymerase [Actinidia emaravirus 2] -MEAKKGQIYESAINEIRGGNALPPDLMNKFLKIADKTLHGYTITSKKRNVEKIYKQCTCDIDFHKNIVSL -AESILHTPPNPSQIDIVVTIIGLLELSRHDKLMQHVNELLQVNGYTLLGYDQPIREIFPSVDSILTPDIY -FSVGDETYVLELKVRNKKTDLSAYYNRYKQALAGTNILVGVFNVSDSGFVEMGDFKLSEMINIDIETIGD -VMYCIELCHQLRNKYSRYPEFSFYMQDSLMGDNHEPFMDAGFKQMVITHKDYDEISYLFGDRWQQVLHDT -DNISLLTNSDMTTELLIDAKKDLYQDCSMRYNDFMDHFVGKYLKTDSYNKTELKNPNLTSILDKKNTDAY -NITKKYKPSVYIPIAKTVFLDKFNFKRLDFYKSAFVGISQHGDSYTKSVINLIDAVFNTVAVDLLIKKND -EIDPAIYREVLTPEFSKYVNDRSQKFKKIANISNITSDTSILNNNTFSIHHRVDKHMKDNICGFTNKHYD -SDTPKKDCLSISACEDDVIDLIKTMEEIFNSKHYEGVYANDLVTLEADNMNSHFCDLPSVCKTKYLDHLY -SQHNIFKALISLNTVNSHKFRLVQTADPCTLLIMLPNADTLKSAPLRYLALTIIEKKDNVSYHANRLLGI -AHDLLIGRNYNIILSKVISLDVTRLKLLNHSFAKYCLVMTYYNNLKKELRHQTHFITWILCQFVTISSLS -ITDTYKNFIMAIYSDYSNIDNLIEDKLESRPTTLGHVFILQKCFHGISQAAHQLKVINKNKNIENIDDRG -DLIETGFNNNLSLKLPISGISVNNPKEIIHEAFMLFYLGNKGLHGSPQELLKLYYTPYKFETEYTQTLKD -YRTIIQEYGNTSNMSFSYDVMRKTSLAAYSNIYNKKDMVRQSICNELELDKPMLSIKQFSSTKSMVSNLK -QNLEEPPRKLPDSIDIYSLERILTESIIEDEHDFMRFINSEVYRINTKRLQEESANNKIKEERKEVTLLP -EIYIEELKGVRFMKIKRHAYTRMLNGDYINQRNAKVFDEFFRLTEEYNLQTLKDAYKNLIHDDELLIRIF -YKDQRTADDREIYTGNAQTRLCLYPVEKTYKAICKHIPGEAITISGDQKQKKLLEQRLSLIKEKKQKIRD -KKTAEIYSVSSDASKWSARDIFLKFIVTISTNPYLHPNEKWFLIFLCFRYYKKNIVLTDAIFNDMINLAK -KDKQGPYEEMTSSFFSNHFTVRSNWLQGNFNMISSFVHHCSTIYTETMLKIFSDRNSIECSMTSMVHSDD -STYDFLICDGGNRNKCKYIEDRNIGKLIIALITYSNRFHCITLNEKKTYISTFYKEFLSTIIVGNELFFF -YLADLLPLSSDTSYTSPLQDLASYSGYINNSFSHACPRSMIKVAVTLINHLTLSTYNMQYTSDKNPRLNI -DSTDLPIQIYPRYKVPIDMAGMIPYYSADAFNILNDIIYKLGKHDKLQSELVEDVLTENLISDYINIIKT -NSPSVMTYIKSCILCMDYSQYERDDADPYNIIDYDLSQKSIINVISLNKGMRLKKTYTYQKYLESESKVR -LQAAIHPEWCVKKPTDPELIKSNILQNYTNPNFRDGLIFSTPAIDYGRRVISSNKSMYTISSHIMEKDSA -KNIKTVYSDLSKRINEIDVNARDLQRYLSLYLLSDKKISMAIQVYYSKVETVTMARPSYNKVIQPRSVYS -EDFGKYSNTSLIESLLTSRYCKITNMDPKAEKFVDICDYVLERIGQLKVYETSEDIDQDYINYFNFKYPN -SEHVTIDVETISDLGDASLKVYNNKLKFMSLLVRYFNDIKKTIENPKYNIPNYPSPSSLIMTIDSLLKKD -EISTKVYLSNIKTTKYDDYLLTRFGMYAHNDFHIKFKLGYKVKIASSMKISHTLQTYKDTYEPMAFVTKL -ISRNLELFNDLKDEDGFTTGHYKFKEMVNVLENSKDINSTAMLLMLGKIQTPRFMISLLNDNRVYNHWLI -PTNSIIEDPDASLVYYLCQGNIMKVRTFTQNGNVIFTMTYYKYLNRDLGAMASIKKKIASDFVELLRKSK -ITDRVEPTSRYSVYNINEYGRYTEYLGPRVYNVCLIQYARLKEIEPFYTEIDDNVRLILKVTSDYDSYEF -EIRIRTYIDDEYYLNCLLDNLDVKGDSILGYLCDGLLFHKYPDYLKNVLPLLGPNHMISIMGNYTDSDCI -SDNIDVNKYGFLIEISNYFRDNNNLYMANVCETLQLVALENHIDITGKRNPEKFINSCGKIKLNPFCHDY -VMSKYKADEEPPYFKLFKLVMRYRHHNKPVEKMIIYIVLIFRFYIHDYIVSDADFEY - ->YP_010088065.1 RNA-dependent RNA polymerase [Ti ringspot-associated emaravirus] -MDNQGKMNDQDRFKILQTSEGVKFCSELVEIIKNNDRNTDNDNDIIDDFLRIIGKSRRNFDLLNRRKKVQ -NIYSDVIVDDIGKYSSLMDIAERIINSPTNKYLLDIVSALLSFLEMSRHDLMLTATKNFFIKSNLYKFVD -QDFKLKDYFVNVLIERTPDILFKSDNEFLIVEIKVTVDSDLIMFYNKYKDCVGDKAKVVVINFNHDGLKV -HGDNISIDLSSLIEEPEMEQILTTIDICKSLRIKYSQLPEFYMFSNFYNNVENDNNFISGFKDRMENLEY -YDEVVELFGSKFNELTRAIESYDLITNEKETIMSLNSAQDDARMYCQNNFHHFDDLLKANSSNGLYSTTV -LHMTDIEALQESKSLESYEHTTKLKPTLYIPMIKGSQINQDRSKYYSNHFDQDFKLSRMDDYTLGVSKLV -NCLSQNNVMETILNKPKDETEEFLNSKYADLRVYKNNSFSLSNDHASSIDKSICGFEPKHNRQSKVKTCL -SYKSSLDELSVMEALLKDMFVNRHNNSSYKRDLLDLSGEYEKIPVNTLKDHHQNNYLDYLFIQHNVFKSM -IGLSKVSNKKYRLIQTADPNTLIVLLPNADSLKGAPLRYFTLSIISNSESQSTIRLNKLLGIYNSHLIGN -KSTIMISKVISLTLNRLKLLSNSFAKYCQLVSYYSNLSNKSLTTDTHTLCLLASNLITISSLSLTDTFKN -IMMVCYSTFSNPDELINDKLECRPTNMGHIFLMKRMFSAIMKSNIQRSAIITGLSQSRISEDSKELKDTG -FDSSLSLEMPISKLVINNPKELIHECYMLFYLGNKGLHGSPQELLNLYCIPLEFETEYTEFISKFKTMIQ -EDTKLSDKGFSYDAMAISAKLVYSQMLSTTKAVRDSIKDDLDLDSTILKKKQFTSTKSMVSDKRYYSREP -KTLDQVRTLSDLEDFIKHSIIEEPEQFIIDVNEQISKLNKQLLGRNLEKVDFFGDEQIVRKQFNKIPKTY -IKYVNGYGFIMLESKKVFMHPVHSDIKSQDSVKVFDNVIQVVDEHDFKTLRSIYESDYIDKNDIIIRIFY -KDQRSFMDREIYTGNLTTRLCLYPIESLFKSINKRLPEEAITLSGEKKQKKMMDQRIDVIKKRKQYNRQG -DHNARILSMSCDASKWSARDMMLKFLIPIAYNPYITKEEKYFYFFLTIKYYKKYIVLTDSAFYDAVRFHN -PSSDIDNYERLTSNYTKNYQLVRSNWLQGNLNATSSFVHYCSAQLSKIMLEVINSKYNMSNHMNFMVHSD -DSVYDFLLMWKNNIEIKDQILGTLLYTLIQWSTLKHCITINRKKTYISNFYKEFLSTTIIGDELFFFYLS -DLLPISSDVTYDSPLEDLASYSGYINNAFIHACPIELIKISILLVNHLTMATYNLNISSPKSPYNALIKA -DDSQFSDLPIQLMPRYKLPIELAGLLPYYLGDAYTILKRIINRIQDYITFDETAVFEDLFDIKVISKYLE -VEKDDRFRNYIKCCLLTCSDDILCSNPEDPYSITDISNNDKNLIAVMPNINETRLKRSHTNDLYKRNEEN -YRKINAVNPFWSICNPSDHDDIKSKIICNYTNKKFIDSLSFSRPQIDYARRIISSNSKMYKYTLDNEEEL -VTITDIYKKISDDALKNDLTSENLKKYIDLYLFTDKKVSSVLHIFYSKKLIKIQTRDRVNYKIVIPKSIY -PSEYGSHSITTMMRDLLISRLPLDVRVIDPKCEKFLDISESILNNINFSSYDNPFGVVKVYEFPEDIDHD -FIDYCNLKYKTTDYSSCLIPNQNIDNAFDIKIYNIKIKFMSLCVKYLKDITIRIGNKSHKINYTTPKSVL -LTIDSFMKRDLVSSKLHISTNRSDKIEDYILNKFGMYDDENTIRTFSLSHKLKLSHEKLVYKQRIEHKQH -EEINFLTFLKTKSPDTFKEMLESQFRLNGRTFTDIMLAQAPNLTDPNVACFLKCCNIINSRQLVNIIQDS -NYIMNYWPQPSPQNKISEAIYNKRGVFLRVVCTNISKANKELYSFMMTIYRPQFKNFSGRPAYSVIRDLI -NKFRTDFKSKIAHAQPHWYPNNANVIYRNGLTLSTEPSKDAVHFCKYTNMTYNEVDIELNEDESGLLNYI -MKLKNNNSNHMLKFLFRDRHIYNYDSIYSMMTKLRDIDEDLYSIMISETGILQKDKSLFGHEYVNLGPNI -IINHLDYHEDLSGLEAFPKNILDKSTDLYKVHNYLIEKQDVAQLTDVEKALLPLTACFKNILIDEQNSQL -TSWADIMQIEESGISSSILTLEGEITTGDLFKKIASISIDRSMLMEYKKSTFYMEKYPYPNLINFCCYEG -LGGVKSKLLSMIYLLMTEHYISESSDFEGF - ->YP_009508083.1 RNA-dependent RNA-polymerase [Redbud yellow ringspot-associated emaravirus] -MDKLKKVEYESTVHDLKEGKIFDDDIFSKFMKLVGKTISSYTITSKKKEVEAVYHQCVSSGEFMPELIDI -ATRMIHSPPSKNQIDFALTIVQLLESARHDELGYVVALKLQNCGYNVIATDFKIKDFFPGINSILTPDIL -YQDEHGNKFILELKVRNKLTDLKYYYDRYASVIKSEASVAVFNFIDDKFYEYGDYKLSTQINIIGDEFKA -VTECIQLAKELRAKYSNYPQYILYSTEAQDIIQEDLISGFDEIYKELEGYEEIKGLFGEHWDDIIKNIDE -YNLVDNEEAVHEDLMQTEANLQQYCVKHMADYKQHRYRNYEKVGSYNQTKLLNKNVDELIDRRNEKKYHI -VENYKPSVYIPISKTIKLTSYDGSRLKFYRDAFIDIKISGDTYSRSAYNLIDSIFNTISVELLVTKDSKI -DHKLYKDVLDPEFVKTIFDETPKYRKVAHISNITSDVTILANNSFSINSHTDPMMKQNICGYKNKHYDAD -RKGKDCLLYSKASNDINKFLMCASKVFNSEHHAGVYLNDLVTLESMSIRHKDSDIPIQARTKYLEHLYNC -HVIFKNIISLNTVNSHKFRLLQTPDPNTILVLLPNADALKGNPLRYFCINILDKDCDDDIELNKLLGIYH -SHTTTKKYTIMLSKVISLNVTRMKLLSNSFCKYTLLISYYSQFKKKIPINIQTMCWLLTQFVTISSLTIT -DVYKNIIMAIYSDYSNIDNLIEDKLECRPRTISQIYLMKLMFTGIIKASDQLDKIILSKNEAQVDDSGEL -TMTGFNKSLKLRLPISDISTNNPKEVIHEAFILFYLGNKGLHGSPQELLNLYHTPFQFEKEYQAMIDNYG -CYTYEMGNYSNMSFSYEAMQLTAKYAYAKLLNKTREIRETLKSYLCFDDPILTIKQFSSTKSMVSNSIPK -EVESDIKINHKTDLLGLERFVDGQKIDSPEEFVKEMNVRINKINTEKKAQVDQNVKNNLSKLSKGVTLLP -LLELHVKGDVKFIGFTKTKYAKAVGGDFVKQTSTKVFDEFYRISDEEGISTLRSFYMNYLNKHDLLVRIF -YKDQRTADDREIYTGNAQVRLCLYPIEMVFKSVCKFIPEEAITISGDQKQKRLLDQRLALLKTKKHMDRS -GKKTEIYSVSSDASKWSARDLFPKFILALSVNPFLTKNEKYFVLYLMIKYYDKKIVLTDSAFLNILRFAK -PGNSGPYEAMTKDYTSNNFKVRSNWLQGNLNLTSSFVHHCSTLMTEMMLSVLSEQEGFQAVVTSMVHSDD -STYDFLIATDGNSSTEYISKQDIGKTIISLLSFSNMKHCITLNEKKTYISTFYKEFLSTTIVGNELFFFY -MADILPIASDTSYTSPVGDFSSYNGYINNSFSHACPIDVLKPAICLINHLTLATYNMQYTSEKNPKSLIG -DSIDLPMQIYPRYKLDLSLAGSIPYYSADAFNILHDMLEMLDKANEIKSSLIESIVDEELIQRYLDVIKA -DFPTKYRYLQYCMLTMDYSQYERDDQDPYNIIDYDLSQKSIINVVSINKGARIKKTHTYQKYLEDEKNIK -LTCATYPMWCISKPKDHSLIKLNILSNYSNPNFKDSLIYSKPAIDYGRRIINSNRSLYTLSSHLFEKEKP -KNLKTIYNQLSKKSAEIILTPESVMKYLSIYLFSEKKISAALQIYYSKRTVTYMDRPEFTKVIMPKSVYS -EEYGRNSINSMFEHLLVQKYCDIDDIDPKAERFIKICEYTLERLPSHIKLYYNPEDVDEQFIDYMNFKYS -NNNVEECLISTNDIDSYQMTVYHNKVKFQGLMIRYYTDIKQTLENPSYNIPNYVSPNSIIMTIDSLMKRD -SISTKIYLAHTKANRFDDYWLGRFGMYADNKFFVKYKLGYRIKIATDNMLAPTMTKVKSTYEAVGMLTKL -LCTDQELLSELMSDEEFTIGGFTINELLSEMKMTNDINNNLLLYAFDQIQYPTFLRVLELNNRIWNYWVL -PTDTNINDPDASIALYNYKSAFMRVETVGINNGVSFTITLAKSGYLPEDCVPIMLKQVCKDYASQLRRAI -IMKNVKDSYNQKGFYINSYGRLARPYDKDKHCIGTIKVCNIKSIRPQYTIADGIIRQMVVVDTPLFSNEF -VFKFKHHVDDDYYINNLVDNMELQPSLICTHLIEREYITNNFEYYKEISPYMGSGHYMQLFAINRGFRNY -ADKIDISKFARLLHISNHIKDNHPNDVIVKQSQSLKLTCLQMGLDLNKKTKPDAFINSLMKYKFDQSYYT -DFLDLYSKNESTPYENLIRFIHCTNNQGPLMNKIILAVLTILKCYPSRYIDQDDDVTFD - ->YP_009507925.1 RNA-dependent RNA polymerase [Actinidia chlorotic ringspot-associated virus] -MSESIERIKKAECDKVAQDVKDGKVFDNDVLSRFLSLVGKPRNRYTISSKPKEVEAIYKQCISSEGFMSE -LLSLAERILYSPPSSNQVDFALTIVQLLECSRHDELLFTVVNRLQDFGYNVTATDCQIKDVYPDINSIMT -PDIFYNDGNGKDYVLELKVRNKATDLSKYFDKYRSVLPAFVDISVMNYTTDGFLEFGEFKLSHQLNFIGD -EFRLVDECINLAKSIREKYSQFPQYALFTQFNSESSRDDFMSGFNDLVVNHPSYDEISKLFGSSWSDIID -GLDNYSLIENEELVTDDLISSESDLHGYCNREILNYENHLSYYTFQNSYGRTKLNNKNLDLLIESKNLTK -YRITKSYKPSIYIPITKTIKLDSYGGSRLKFYREAFQNINIIGDRYSKSAYGLINEIFNTISVELLVSKD -GCIDPLLYKDVLDPDFTKFLNDQTTKYRKVAHISNITSDTTILANNSFSINSHVDPFLRKNICDYDKKHY -NPSEKKKECLSYKSSSADLPMLLKLCSEMFHSDHHCGVYLNDLVTLEPMSMHVKGSDIPLESQTKYMEHL -YNIHVIYKNLISLNTINSHKFRLLQTPDPNVILILLPNADALRGNPLRYFCINIMNNDCETEVEANKLLG -IYHSHTTTKKYTIMLSKVISLDVTRLKLLSNSFCKYSLLVSYYSQFKSRLPVNIHTMCWLMTQFITISSL -TITDTYKNLIMAIYSDFSNIDKLIEDKLECRPRTIGQIYILKLMIDGLIKASDQLDQISLNKCKTEVDDS -GELFGTGFDKSLKLRLPLSNLSTHTPKEIIHESFILFYIGNKGLHGSPQELLNLYYTPYQFEDEYKQMIE -NYQTFLIEDGNNSQMSFSYEAMKLTTRYAYAKLMSKSAEIRSNIISNLSLDSPILSIKQFSSTKSMVSNS -TKTEVDRVVNLPSNADLLILERYIDETKIDDIYEFVKEMNAQIDSINSRRVVEVEAQTIDNLSRLNKGKV -MLPHLEIHVKNGVSFIGIARHKYAKAVDGNFIKQSNTKVFDEFYRICDEENIDTLRQFYTKYIDDNELII -RIFYKDQRTADDREIYTGNAQTRLCLFPIEMTFKSICKHIPEEAITISGDQKQKRLLDQRVSLLKTKKYL -DREKKQTEIYSVSSDASKWSARDLFPKYIITLAYNPYLTKNEKYFLLYLMLKYYKKKIVLTDSAFLNILR -FASPDIVGNYEKMTNGYTTNAFEVRSNWLQGNLNMTSSFVHHCSTLLTEMMLTVLSTHHHFNSIMTSMVH -SDDSTYDFLIATDHNTTGDWSNKAQIGRLIVSLITFSNKKHCITLNEKKTYISTFYKEFLSTTIVNNELF -FFYMADLLPIASDTSYTSPLGDFSSYNGYINNSFSHACPLRVIKTAICLINHLTLTTYNMQYTSEKNPKT -MLPDPIDLPIQIYPRYKLNPSLAGSIPYYSSDAFNIVNDIIETLDKSDDLYKSLVEDTINDQVVKSYLKL -IKKDHPRKYKYIQYCMLTMDLNQYERDDQDPYNIIDYDLSQKSLINVVSLNKGSRIRKSHTYQQYLENEK -LVKLTCAVNPMWCVSKPKDNDLIKLSILSNYSNPVFKDSLIFSKPALDYGRRIINSNKNLYTLSSHLFEK -EKPRNLKTIYSHLAEKAQSIEVDEDMLIKYLSIYLFSDKKISASLQIYYSKKSVTYMDKPEFTKVIMPRS -VYGEEYGTHSVNSMFENLLVEPYYNILTIDSKSERFIKTCEYSLQRIPSDIKLYRDPEDIDEDFINYMTF -KYHLAKPEDGLIKICFEIDDDFNMIIYESKLAYQGLLIRYYTDIKKTIEDPSYNIPSYVSPNSLIMTIDS -LMKRSEISTKIYMAHTRANRFDDYWLSRFGMYADDNFYIKYKLGYRIKVATDNLLMPTMKRVRSTSEPVS -FLTKLLCSDPELYDELTQNEEFIVGGYLYRDLLKEIESTTDMNNNLLLYVLGQISMQRMTRVMEENNRVW -NHWILPTGGNIEDPDASIALYNYKSTFMKVETVGINGSVSFTVSVAKSNFLHDDGINIMLKSMCKDYATQ -LRRAIILAPFPGSYRRRPLYINAYGRLATSGDKVKNCIANINIGKIIALKALYCEANQSVSQLMSIESDL -FHHEFLYKFRHYVDDDYYINNLIENIELDSISICQHLISKGFIQNHFEYYKEISPYMGSGHFLELFNTSK -NISCYTSRIDPLRLRKLVHIANFLKNDHKDDIIIKLCDCLKPLCSSSGISITEVLDPEPFIKGLMNYKFD -QAYYKDFYDLYNKIESPPYEAIIQFVSSSTSVNGTLSKIILAIITILKSYPSRYIRQTDDLEI - ->YP_009268863.1 RNA dependent RNA polymerase [Pigeonpea sterility mosaic emaravirus 2] -MEAKKGEIYGEAVEAIKSGNVLSPDIMNKFLSIVGKTLKNYTISSNKKNIERVFKQCTADADFYKDTIAL -AESILHSAPNPGQIDIVATVIGLLELIRHDVLLLSINELLQMAGYQIMGYDQQIKNIFPEIDSILTPDIF -FRSGEFYYILELKVRNRKTDLSIYYNRYKSAVQCHTKNISVGVFNVAKDGFIQMGDFNLADIININLEII -EDVIYCINLCDSLREKYSRYPQYSFFMQSGLISDNQESFIESGFKQMSLDHPNYEEISSLFGSKWETILH -DMDNVSLFTNNDETTDMLLNAHRDLYQDCSDNFFNFYGHYHNQYLTTNSYNQTCLENTNLTSILDAKNAE -KYNITNKYKPSVYIPIAKTVFLDKFNYSRLDFYKSAFVDIQQKGDPYTKSVINLVDAIFNSVAIDLLIKK -NDEIDVNVYRDVLTPEFCKHVNDNTNKFKRIASVSRITSDTSILNNNTFSINHHVDKFMKENICGFKSKH -YDTTIPKKDCLSIKNCEDDLLDLIKLMEEIFKSKHYEGVYANDLVSVDSDNMNNYICDLPPVCRTKYLDH -LYSQHNIFKALISLNTVNSHKFRLVQTADPCTILIMLPNADSLKKAPLRYFTLTIIEKKDEISYNANKLL -GIAHSLLLGRNYNIILSKVVSLDVTRLKLLNHSFGKYCLIMTYYGNLKKDPRHQIHFTIWLLCQFVTISS -LSITDTYKNFVMAIYSDYSNIDNLIDDKLESRPTTLAHAFILQRCFHGLSQASHQLKVINKNKNQEDIDE -KGELIQTGFNNNLSLKLPISGLSVNNPREIIHEAFMLFYLGNKGLHGSPQELLKLYHTPYKFEKEYIEMI -NKYGVLLQEDGNDSNMSFSYDSLRCTTLTAYANLYNKKDVIRQSITNELQMDKPILSLKQFSSTKAMVSN -TKQDEHDYSGRLPNDIDIHSLEKIVTESKITDESEFMRSVNAEIYRINNKRLQDSSNNEKRSINLLPEIY -IETIKGVKFIKIKRHEYTRMISGDYLGQKNAKVFDEFFKLTEEYNLLTLKDAYSRLIHDHELLIRIFYKD -QRTAEDREIYTGNAQTRLCLYPIEKTYKAICKHIPGEAITISGDQKQKKLLEQRLALIKEKRQKSKDNKQ -AEIYSVSSDASKWSARDIFLKFIVTISLNPYLHPDEKWFLLFLCFKYYKKNIVLADSIFNRMIGLASEDN -TGPYEDMTENFSKNYFQVRSNWLQGNLNMISSFVHHCATLYTETMLKIVSDKYRLECSMTSMVHSDDSTY -DFLICDGTNRQPNIFTKKENIGKFIIALITYSNRKHCITLNEKKTYISTFYKEFLSTIIVGNELFFFYLA -DLLPLTSDTSYASPLQDLASYSGYINNSFSHACPRNMIQTAIILVNHLTLSTYNMQYTSDKNPRLNIKST -DLPIQIYPRYKLPIDLAGMIPYYSADAFNILNDIILKLQKHDKLKSELIEDVLTLDLIEEYISIVKKTTP -DILKYLKSCVLCMDYTQYERDDSDPYNIIDYDLSQKSIINVVSLNKGTRLKKTYTYKKYLESEESVRLTS -AIHPEWCVCKPSDPDLIKSNILQNYTNPNFRDGLIFSTPAIDYGRRVISSNKNVYTISSHVMEKDSAKNI -KNVYKDLDNKINSVDITAKDIQRYLSLYLLSDKKVSMAIQVYFSKVETVTMARPSYNKVIQPRSVYAEDF -GKYSNTSLVESFLTSRHCKISTLDPKTEKFVDICEYVMERIGDIKIYQFPEDIDEDYTRYFKFKYPDCAE -VSIDVEPIDDLGDIGLKVYKNKLKFMSLLVKYFNDIKKTIDNPRFNLANYPSPSSIIMTIDSLLRKDEIS -TKVYLSNIKTTKYDDYLLTRFGMYAHNDFHIKYKLGYKVKIASSSRIAQNLQTYRDTYEPLAFVTKLISR -NLELFNTLVEHEEFQTGHYRFNEMIEILKNSKDINSSALLLMLGKVQTNKFMISMLNDNRVYNHWLIPTN -SDPRDPNMSLVYYLCQGNIMKVRTFTQNDAVVFSMTYSRYVNRDIGALASIKKKIASDYVELLRFCKVTN -QVEASPNFGVFNINEYGRYTEYIGPRTLNVCQIYYSRIMDITPSYTEVDDNVKLILSVRTDHETLDFEIR -IRTYIDEEYYLECLLDNLDIECNSILGYICETMLLHNHPSHLKNLLTKMGPNHMLSLMRNHTDAECITDN -INIEKYSFLPQIGLYFHSQGNTFIGDVCESLQVVALNNNVDISTPRNPSRFINSCNKIRLSTTCHNDVIQ -KYKPDEGIPYHKLFVSIMKYRYNQNPVEKLILFLILIFRFYIHDYLAGSEEFEY - ->YP_009237282.1 RNA dependent RNA polymerase [Pigeonpea sterility mosaic emaravirus 1] -MEGKKAKVFSANVSAVKDRSAFTEDILKKFLDIVGKNYMNYTISSKKREVELTYEQCKTSDGFVSELIKL -AELILYQPPREEQLDIVVTVINLLELVRHDVLRLSISEHLPKCWMYNSCTGSQDERCLSKLWSNLTPDVY -FSDFQGNRYICEVKVRNKHTDLNLFYDKYSNVLRTYHVQDVQMSVINLHLGGVFEHGDYKITDMIDLNPE -SVENILYMIQLCKDLREKYERFPSITFFYAKRTSMCYQGSFMECRLQLMCQNHETMAEFKKLFGPAWSSV -ERDMDNYSLLENFEQVSDDLMAANTILHEDCQSRTEDFLYHLKNNYHKTGKYRPTKLNNHSLVKLLDEKN -QKYNIVTKYRPSIYIPIAKTVFLTEYNGSRKDFYRDAFVDMIPSGDPYARSVVNLLDSIFNTVSIEIILQ -RDSEIDIEVYKDFLEPAFVKHVSDKTTNYKKIATVTGITSDVTILTNNSFSINHCVDKHMNQNINGYSSI -KYNKTIEAKDCLNMNSCLDDANELGDLLGELFNTKHHHGIYANDLVTLDNSNIHTHQCDIPESCRTKYLD -HLYIQHTTFKSLIALNTVNSHKYRLIQTTDPGTILIMLPNADSIKDNPLRYFVITIITKDDEVSERANQL -LGIHHHTIESSKYKIMISKVVSLNMQRLKLLNHSFVKYCLLMTYYSNFKSKIGHSVHTLSWLLCQFITIS -SLSVTDTYKNFIMAIYSDYSNIDDLINDKLESRPKTLGQIYVLRKMFDGISSAVSQLQLIMKNKNEIEID -ESGDLQNTGFNKNMRLKLPLSNIKVQNPREILHESFILFYLGNKGLHGSPQELLKLYSVPYKFEEEYKEM -LSKYGTVIQEYGNESPMSFNYEVMVKTSKSVYSDLYNKRDVIRQNLIKELKFDKPLLSVKQFSSTKSMVS -NSIVNNLTNEFKIPLDCDVLTLERKLDELEISNPDKFLVYANAEIERVNTKRREENSNVSLLPSLYIYVK -GDTKFIKMHKYSYTKLLGDKFIKQNNAKVFDEFYRISEENNILNVKQAYKSLIHKDDLLVRIFYKDQRTA -EDREIYTGNAQTRLCLYPIEMTFKTMCKYCPNEAITISGDQKQKKMLEQRLSLLKLKKQFKRDGFDSEIY -SVSSDASKWSARDVFLKFIVTISTNPYLSSSEKWFFLYLMMRYYNKKIVLTDNIFSDMINLMSDDKVGIY -EEMTSNCNQNWFPVRSNWLQGNLNHLSSFVHLTSTKYTETMLRIVSENNGLTSNMTCMVHSDDSTYDILI -NFGKPKDYKRLSHEFKKPSIGQLIIALITYSNKFHCITLNEKKTYISTFYKEFLSTTIVGDDLFFFYMSD -LLPIASDTSYSSPLNDFASYSGYINNAFSHACPYDLIRVALILINHLTMSTYNMHYTSEKNPKNHIDSSD -VPIQIYPRYKLPMDLAGVVPYYASDAFNILNDIIYRMHQSQSLKTAMIEEVITLEMLDEYLKTVKLNPKL -LMYIKLCILCMDYTQYERDDEDPYNIIDYDLSQTSIINVISLNKGSRIKKTYTYKEYLANESNVRLTTAI -NPIWCISKPTDPELIKINILANYSNPNFRDSLIFSTPAIDYGRRIISSNRNMYTLSSHLMEKDKAKNISR -IYADLSSKANSTVVTARDLQRYLSVYLFSDKKISVAVQVYYSKVEILHINRPEYNRVIQPKSVYMEEYGQ -NSNTALFCNLLTDKKNEILNFDSKAETFIKTCEYVLNRFPDIKIYKDDNDIDDEYIEYYKFKYPLSVEPP -KIDVDVITVEDELSIVVYNNKIKFLSLMVRYFNDIKRTTVDRNFNMPNYPSPSSIVMTIDSLLKKSQIST -KVYLSNIRTTKYEEYLLSRFGMYVFHDLHVKFKMGYKIRVATNNKLTPHVQAYRTTAEPLALCNKLISKD -PDLFKDLVESNEFHCGNYSFDELMNTIMLSNDINSTSFLYRIGLASRQKLQSSFYNDPLTYNYWVMPTNS -DQNDPLASLVYYMHKGNIMKVRTIGIDGHVQFMMSYYKSFNTDWNILNTIKRKIQADYSEFLRNALVMRT -PSRVDHSRLFMVNQYNRVSTNNMSNDRLICHINVGRIDDIKVDYIERDFRTYLCLTFKTQVEEMDMLIRI -RENIDDEYMINCLIENIDNCPRQIANFLSDGSYLLEHPEYISHIYHYLGPGNLKSLMNGIRGQYICERIQ -LDRMGNIYQIGNYIKEKNGEHDILYKCSRLFLPICIEHDIDLEKKLNPEKFIKSSLSYKLGQVYNNTVTN -FYKRSETHPYLNLFTLIMKTANLGNPLEKIAVFIISVFKFYPEDYNVSTDELEF - ->YP_009237274.1 RNA dependent RNA polymerase [Raspberry leaf blotch emaravirus] -MTSLRQKAVKFQSEIVSNVQSGEIDEPTKSLIERFLGLLGSTRTRYDINSKDKKIQATYKKRFEDDVSNT -EELINLSNAFAYHPPQKNLLDVAFSIISLLEMIRHDIMIDIVKESITLGTDYIYIAKDFSLSDYFPDIPS -LSTPDILFANGDGKKLIVEIKVTMQTDLEVYYRKYKQLVKERSDVVVINYNLDGFTSYGDYREVDNYLSY -STRTELIDTIINNCSKIRLNYKSFPEYSYFSKFYNSVEGEENFVTGYRERCKKLESYGEVVSLFGEKWEE -IVDDMDNYSLIENYDSTFDRLKSAHDDAVAYCTKDFDKFDTLYQRNMSKGLYGQTSLSIDDLPTFQDEKV -LEKYQMTNKYKPSLYIPVTKSFKIGIKRSKHYSESFSNLKNIINTPYLNCVRDLLEFISNEEIMENLINP -EKSEIKDFPEKEKKNSSKFPIYSDHNVDLFVNNGFKINHPKKTYINDSICGYSAKEKTEKKTALSYKKSL -PDCDRMEKLLSHIINSSYNNECYKRDLVVIAETTKNKNYNPLSVNQRSKHLDLVFNNHLIFKSLISLNTL -SSKRFRFVQTTDPNTALVMLPNSDALTGAPLRYFCLSIVKKEDEMDSIELNKLLGLYAGHMRNSKYTIIV -SKVISLDMTRLKMLSMSISKYVQLSSYYENLGNIDNRIKTTCMLLSNLITLSSLSITDTFKNLMMVCYST -FSNPDDLIKDKLECRPTNLAHIYILKKIFRAIKLSTQQRAKIIMGIKSTKISNDGTDLIDTGFDLSCDLE -LPISGIKTNNPKEIFHEAFILFYLGNKGLHGSPQEMLNLYCTPLEFEDEFTKNLEIYDTVISELNDDKFG -FDFEALTISSKLVYSQLTSESQDLRANIKENLALDKPILSRRQFTSTKSMVDDIPLTKVVENLEDVRNLS -ELEIYIKSCIVDNPTQFMIDVNNHIAKLNKINMERNKTKAIFFGELMDVRGKYNRIPEISIKYISNQPFI -IFKDFKKDFYHHCGVDHMSHYNGKVFDVVIKENHINKFETLRDYYNSNYLDERDLKVRIFYKDQRSYNDR -EIYTGNLATRLCLFPLERLFESINKKLPEEAITIKGEKKQKKMMEQRVDMIKKRKQYNRNNEYKSEIISI -SSDASKWSARDIFLKFIVPIATCPYITSEEKYFYLYLCIKYHKKNILLTENAYFNAIKFHNDNSERHVYE -DLTKNYTTNRQVIRSNWLQGNLNATSSFVHYCSAKLTTVMLEVINKKYNMNNLMNFMVHSDDSVYDMLIL -KKNEDYVMGKYTGTFIYSLLQWSTKKHRITINTKKTYMSNFYKEFLSSLIIGNELFYFYLADVLPISSDV -TYDSPLDDLSGFSGYINNAFLHACPYKIIESSILLINHLTLSTYNLNISSKNTPYNDIFNDESDFYDVPL -QVLPRYKLPISLGGLIPFYCGDAYKIIVRLMQVAYKGYTLNQDKMFNEIFDLEAVTRYLNTEKDESFLNY -IKMCLLCVNSDIILRDPKDPYDLSDRDMARISIIDVLPHVNPKSNKITFTSKKFKNEESQYRLKSAINPM -WSICNPSKHQEIEDKIISNYGDKKFVDSLIFQKPQVQFARRIIHSNAKIYKYSLEDSNNLYAIQDIYEKI -KQQAKSIDLTAEAILNYINIYLFTDQNVASTLHLYFHKKEWQRISRNEINYRIATQKSIYPQEFGLFSIT -TLIRDLITENKSIELDKIDRKAETLIDIAEKTLLPLKNIIKIYEFPEDIDEDFRDYVNFRYNNYESYNDI -LIKNQNINKDYDLRILEIKKIYLSLIIRYYIDMKRRIINNESVKIEYNTPRTLLLTINNYMKRDVISSKL -NITTKQINKIDDYVLDKFGLYTDPNCYVKYKLDHRVTILHDRLKYSINKESAINDEMNFLTVVKSKLPEF -FEHNRSMIKTNGKTWNSIMANYKNQNDINKSLFLHSNNFLSHNNLISMIISSNYIQNYWPRPHSNEYKGA -EAVYHLSGCFINVKVIPIGERCKMILKFYKPDQRFRQRINYNNIKAKLLERIRVDFRSDISNAYTLPLNN -ANNNPVYINGPRLTTNFDPSYKLLVNMDEFSYSNLRVNVESDDYDRIMYKLSLNTYDHLVFYIRERSTMN -INKVYEFLSEHRNEEYYTLLYNSLGISTKLPETIGYEYMNLEPDYIYEFLEQKSNFEQNCINDYLLRKSC -KLYGLYNNLSEKSKHSELSELEKVLSRLTSVYLTFILHNEDMVDNLDSNISIDEVATILSKISVDSDYHK -EMISNIPLNESIPFPILINKCFNIGGSSVNRLFMSIYYIVKYYYNTEVEDLNLFN - ->YP_009237269.1 RNA-dependent RNA polymerase [Fig mosaic emaravirus] -MEAKKGEIFESAINNIRSGDALPPDLMSKFLHIAGKTLKGYTITSKKRNIEKIYKQCTSDVHFHKDLVSL -SEGILHTPPNQSQIDIAATLIGLLELSRHDLLILCINELLQNQGYTIMGYDVPIKDVFPEIDSILTPDIF -FADDYDYYILEVKVRGKKTDLSVYYNRYKSVVDGSQKRIKVSVFNVSRSGYIEMGDYKISNLINIDPSTI -EDITYCIDLCQNLREKYGRYPEFGFYMQDGMLADSSEPLIESGFKQMVFEHKNYAEISNLFGSKWNEIVT -DTDNISLISNPEDTVEKLLDAQKVLYQDCSDRYIEFQSHLEKNYMNTNSYNKTYLNDCNLSKILDKKNES -LYNIVDTYKPSIYIPIAKTVFLDKFGFSRFDFYKSAFVDIQERGDSYTKSVINLVNTIFNSVSIDLLIKK -NNEIDPETYKDVLTPDFCKYINDTSNKFKKIATVSNITSDTSILNNNAFSINHHVDKHMKENICGFQRKH -YDANVPKKNCISMKNSEDDALELLKLMGEIFNSEHYEGVYANDLVDIEVDNMNSHVCNLPKNCKTKYLDH -LYSQHNIFKALISLNTVNSHKFRLIQTADPCTILIMLPNADTLRSAPLRYFTLTIIDKKDNTSYHANKLL -GIAHELLIGRNYNVILSKVISLDVTRLKLLNHSFGKYCLIMSYYSTIKKDLRHQIHFMVWILCQFITISS -LSITDTYKNFVMAIYSDYSNIDNLIDDKLESRPTTLGHVIILQRCFHGLLQASTQLKVINKNKNSEEIDE -RGDLIETGFNNNLSLKLPISGISVNNPREIIHEAFMLFYLGNKGLHGSPQELLNLYYTPYKFEQEYTDVI -QRYRTILQEDGNVSNMSVSYDVMKKTSLAAYSNIYNKKENIRQSICNELEINKPLLALRQFSSTKSMVSN -TKQVEIELPKKLPDNLDLYGLENIISNCKIDEDMEFMRFINSEIYRINSKRLKNEMSSSTREEKREVTLL -PEIYIETKKGVKFLRIKRHEYTRLINGDYIRQNNAKVFDEFYKLSEEYELVSLKDAYSKLIHDDELLIRI -FYKDQRTADDREIYTGNAQTRLLFVSNKKTYKAICKHIPGEAITISGDQKQKKLLEQRLQLIKEKKQKIK -DKKWAEIYSVSSDASKWSARDVFLKFLITISVNPYLHPDEKWFLLYLCMTYYTKKIVFTDTIFNKMVDLA -DGDKHGKYEYMTEDFTQNYFTVRSNWLQGNLNMISSFVHHCSTLYTETMLKILSDKNSLECSMTSMVHSD -DSTYDFLICRDKKSKNRYVKEENIGKLIISLITYSNRFHCITLNEKKTYISTFYKEFLSTIIVGNELFFF -YLADLLPLTSDTSYASPLQDLASYSGYINNSFSHACPREMIQTAIMLINHLTLSTYNMQYTSDKNPRLNI -QATDLPIQIYPRYKVPIEMAGMIPYYSADAFDILNDIVLKLGYNDKLQSELVEDILTEELIGDYLNIIKK -HTPMIVKYIKSCILCMDYSQYERDDADPYNIIDYDLSQKSIINVISLNKGQRLKKTYTYKKYLESETNVR -LISAIHPEWCVIKPADPELIKSNILQNYANPNFRDGLIFSTPAIEYGRRVISSNKSMYTISSHIMEKDKA -KNIKTVYNDLNEKIDEVEITAKDLQRYLSLYLLSDKKISMAIQVFFSKIETVTMARPSYNKVVQPRSIYS -EDFGRYSNNSLIESLLTSRYCKITTVDPKVEKFVDVSDYVLERIGDIKLYESPEDIDDDYINYYHLKYPH -SDEIMLDVEPISDLGDLELKIYKNKLKFMSLIVKYYTDIKKTIENPNYNIPNYPSPSSIIMTIDSLLKKD -EISTKVYLSNVRSIKYDDYLLTRYGMYAHNDFHIKYKLGYKVKIASSTKISNTLQTYKDTYEPIAFVTKL -ISRNLELFTSLKDNEEFVTGHYKFNDMINTLQNSRDINSSALLLMLDKIPSSRFMITLLNDNRVYNHWLI -PTNSLQEDPGASLAYYMCQGNIMRVRTFTRGGSIVFTMTYYRYVNRDLGALTNIKKKISMDYVELLRRSL -VTNRVEPTSLYDTYNINEYGRYTEYKGSRVFNVAQINYAKILNIKPAYTEINDQVKLILNIGTNEDHFTF -DIRLRTYIDDEYYLNCLLDNLHIERENILGYLCEGTFFHRYPDYLKRILATLGPNQLVSLMSNYTDSDCI -TDNIDINRYGFLMEISNYFREKDNIHMAELCETLEIVAMSTNVNIMNVRNPEKFINNCNKIKLHPLCHEY -VIHKYRADEEAPYYRLFRLVMKYRYNKSPVEKIILFIILIFRFYIHEYIDVEAEFEY - ->YP_009237277.1 RdRp [High Plains wheat mosaic emaravirus] -MSDINFQPSSNPAKKKFLDRYFYELHNSEDRSFNSIFNEFLGFINKSKSNIGLMSKKKNVHSMYKNNFES -DYTSMVKLYNFAMNLANVKPNKYYLDLVFTIISLFEMFRHDLLIEIVKSQLKDYIFIDQDFKLSDYFPDT -VIHLTPDILMQHKETEKLLVVEIKVTVSSDLESFYKKYKPHIGETDLLVINYNTSGFTQFGSMNIDTEEL -KQHTLFDTLTDHIELCSLLRRRYKEIPEYEYFSNQNDDRLDDESFIYGFRNKALNHPNYNKFKAVFGSKW -ESILDDMESVSLIDNPEFTRDLLDNAENECADYCLKNIDEFESLLEENISKGLYSTTKMNITDLPELQDS -NALNTHVFMETYKPSIYFPYIPSFEIGKERKLFYTEAFSDLKSHNPDSYTISARKLVTDLFTDELLDYMI -VHNKEESKRVFPLKFCDIDVYKNNSFGLNNDHSSSIKKNICGFEKKKKDGKLKCLSYKEKCKDIKFVDMV -FQKINTYSYNNKSYARDLLEPDVDYCDDIKLEPFLQTKTFDVLFNQHTIVKNLIGLNKISSKKFRLVQTN -DPCTVVIMLPNADVVTGAPIRFFSLSVIKNDQNVSEIVNMNKKLGICHDTLSNKKYTIILSKVVSLDSSR -LKMLSNSFAKYSQLITYYENLSPKSLDKVNLLCFLLSNMVTLSSLSVTENFKNIMMVCLATFSNPDELIK -DKLECKPKTFTHIYLMERIFSAIDESELQRTKIIQCLKQTKVSDDGKDLKDTGFSNMEELIMPISKIRIR -NPKEILQESYILFYVGNKGLHGSPQELLNLYHTPIQFQKEYDNYISKYGSLIQEEHKQRDVGFSFEAMRL -STRLTYSKIKSQYQEIRNLIKKDLSLDQSILLKPQFSSTKSMVSTTTDVKPVKKLSDVKDLRTLENYIKN -QDIDDPEKFCMTVNKKIEEINHNINNSSKVKTVIYGEEVDIPTKKNKLPEIQIKYVKKHAFIVFKNMKKF -IKPCDMDVINQYSAKVFDAVIKESDLSGNKTLREFYESNYLESNDIVIRIFYKDQRSYNDREIYTGNLAC -RLSLFSIESTFKSINTFIPQEAISIAGEKKHKKMYDQRFDMLKKKKNYNIGNTYKSDIFSVSCDASKWSA -RDIMHKFAIAIANNVFLTAEEKWFLLYLLINYSIKYITLTEHALYDCVRFHKEGSDRRIYEELTNDFQQN -FQIVISNWLQGNFNSLSSFVHCNSAHLTSVMLDVINKRYDMNNYMDFLVHSDDSCYDFLIMRRNRRLDPK -NYGTFLYTLIQWSTLKHCIIINRKKTYISNFYKEFLSTLIIGNELFYFYMSDLLPISSDVTYDSPMDDLS -SFSGYINDAFAHATPLPVLTNTILLINHLVLSTYNLNASNPKSPYKALIGNDSEYADVPIQILPRYKIPT -KFAGLIPYNAGDPLKILIRIISVLDMRMNRNCETPLCDLFTEEIISKYLEEEPREEYKNYIKMCLLSSSE -DYLCKNQEDPYTLTDVDSSKLNFLSVVPTTKSTKPKPMYTYKKYESDKDYYKLQEILNPMWVISNPENHE -DAKDKLLSNYANRKFVDSLIFSRPQIAFARRLITSNAKIYRYNLSDDNNLMTINDVYEKLKCDTRGYELN -STKLLNYLTLNLFTDQRMSSSLHVFYAKEKLLATSRSSCNYKIVIPRNIYTPEYGKHSNTMLIKELIINP -KIETIEAIDQKCDSLISIAESILLKDNIKTYECPEDIDDFFKKYFDSKLKKVSDYNDCLIKPVNIDNNFD -MRIYNLKVKFQGLMIKYFNDTYKKDKYEIDYITPRSVVSTINSYMLKDRITSKLFIGTRVVHELNDYLLD -RYGMYDNKNYIIHFKMNHRLAVTNNNLHYKMNLDKKYNDDMLCLSYIHRCVDSETWDEITENGQLYGQKI -RVYLDNTKFRQNDIHKSIFLKSIGKCDDNTIITSMVNTNYIMNYWAIPTGSNSRYNIVRYMKSGYLLDVA -LLKTEGYTVNMKFYQPSIPGITSKIRKQNILESLIQKFRTDFKDVLHEIKTIRSETDTSVYINGLNVSLR -YDDTSKIICNIRNFYYNRVEVQVLQDEDHTHKLILHTDNRNSVDFNVVNKNYIDNIKLYEMVTRFRELNN -YNAIVDQTGILRKDRKLLSNEFIYLEPELIKATLESKMSYRRVTNQDKKGIANLYMLGESIPSDGSLVIQ -AIKKLTECLLVDAVEHHPEKFQENVDVTRSIDKIVKRVVVDQEENKFIIENFQYEEEPYNGLFANCLEIE -GIGYDEVILLYLYYVFKMYIQLEESNSLDLFD - ->YP_004327589.1 RNA-dependent RNA-polymerase p1 [Rose rosette emaravirus] -MPPDVLSKFLRIAGTTLKNYTLTSKKKNIEKVYKQCTIDINFPKELVHLAEQILYMSPDPSQIDIVVTVI -GLLELSRHDTLMMHVNDLLQSAGYNILGYDKPIKEVYPEINSILTPDVYFQIDDERYILELKVRNAKTDL -DVFYHRYKNAIGNCGISIGVFNVSPSGFIETGDYRLTNLINIDPDRISDVTYCIELCSSLREKYGKYPEF -FFFSHDRMLADNSEPFIETGYKNSVSEHADYKEISCLFGKHWPTIMDDIENFSLLTNPDVTTEKLLDANK -NLYEDCNDRYDKFMEHLQYNYLNTESYGPTCLKDANLVSKLDKKNSEVYNITKKYKPAIYIPFFFSFFLD -KYDYKRSLFYRSAFVDMKPAHDNYTNSVINLIDSIFNTVAIELIIKKNDEIDPAIYREVLSPEFCRHIND -KTTKFKKIATISNVTSDTTILNNNAFSINHHVDKHMKDNIIGFKNKHYNEKVLKKDCISVADSEEDIITL -KRYMGEIFNSEYHVGTYANELVSIDSDNMNTHICDLPEVCRTKYLDHLYSQHNIFKALISLNTVNSHKYR -LVQTADPCTILITLPNSDTLKSAPLRYFTLTIISKKDLVSFEANRLLGVAHELIHGRNYNIILSKVISLD -VTRLKLLNHSFGKYCLIMTYYSNMKKKIKHDIHFMIWILCQFITIASLTVTDTYKNFIKAVYSDYSNIDN -LIDDKLESRPTTLGHAYIIKRCLDGIVKATQQLKVINQKKRNEEIDDMGELQNTGFNNNLSLKLPISNLS -VDNPKEIIHEAFMLFYLGNKGLHGSPQELLNLYHTPYKFEKEYSEMLDKYGTILQETGNNSSMSISYNVM -KKTSMVAYANLFNKKDAVRQSICSELEFDKPVLSLKQFSSTKSMVSNTKTDYVPAPSRLKDSTDIQILEK -IINESIIEDDHQFMQLINSEVYRINAKRLKEEQKNPDMNVTLLPEIYIETIKGVRFIKIKRHQYTRMVNG -GYIKQSNAKVFDEFYKLTEEYGLLSLKDAYKKMIHDDDLLIRIFYKDQRTADDREIYTGNAQTRLCLYPI -EKTYKAICKHIPGEAITISGDQKQKKLLEQRLTLIKEKRQKIRDNKDAEIYSVSSDASKWSARDLFLKFI -IVISTNPFLHPDEKWFLTFLCFRYYKRNIVLTDNIFNDMLNLVNVNSANTSYEEMTNDFKQNYFTVRSNW -LQGNLNMISSFVHHCSTLYTEAMLKIFSDHNRLECNMTSMVHSDDSTYDFLICTGTKNQQKAINKGIINK -TNIGKLIIALITFSNKFHCITLNEKKTYISTFYKEFLSTIIVGNELFFFYLADLLPLTSDTSYASPMQDL -ASYSGYINNAFSHACPLNMIKTSVILINHLTVSTYNMQYTSDKNPRFHIDSSDLPIQIYPRYKLPLNLAG -MIPYYAADAFNILSDIIEKLNKFKILQTELIEDMLDVNTIEKYLEITRVNSPKVYKYIKSCILCMDYTQY -ERDDADPYNIIDYDLSQKSIINVVSLNKGLRLKKTYTYKKYLEHESEIRLKAAIHPEWCVKKPTDPDLIK -ANILQDYTNPNFRDGLIFSTPAIDYGRRIIGSNKNMYTISSHVMEKDKAKTIGTVYKDLSSKIDEVTLTA -NDLQRYLSLYLLSDKKILMAMQVFYSKVETVTMARPSYTKVIQPRSIYAEDFGKYSNTSLIQSLLTSRYC -IINSIDPKSDKFIDICDYVLKRIGDTKIYENQEDIDDDYIAYYKFKYPNSPDIAIDVEPITDLGEVGFMA -YKNKLKFMSLLVRYYNDIKRTLNNPSFNIPNYPSPSSLIMTIDSLLKKDEISTKVYLSNSKSTKYDEYLL -TRYGMYAYNDFHIKYKLGYKIKIASSGKIASNLQTYRDTYEPLAFITKLIARNLNLYNRLKEHPEFMSGH -YNSHELLNVLQNGKDINSSALLFMMGKIEFPRFITSLITDHRVYNHWLIPTNSEANDKNASLAYYMCQGN -VMKVRTFTQNNVVVFSMMYYKYLRTDYGALESIKKKIASDYVELLRISKITSNITPTERYHVFNINEYGR -YTNHFGDRVFNVCPIHYAKIIEVQPSYIEVDGNVKLILTITTNHEELAFDVRLRTYIDDEYYLNCLIDNL -HIERNYILGYLCETNLFHKYPDYLKPVLIDLGPNQLISLMTGYTEFDIVTERINPQKFGNLIFFSNYFHE -HGNSYMGNLCECVQLIALGQNIDVMASKDPDRFINSCNKIKLGETCADKVIKNYRANEIAPYHRLFITIM -KYRHQSDPIEKIILFIILIFKFYIHDFIDMNDELEF - ->YP_003104764.1 RNA-dependent RNA polymerase [European mountain ash ringspot-associated virus] -MENIRKLEAQRRKEYLRAETEIRNNNVFEKDILQKFLHIVGKKQRHYTISSKKKEVQDIFNKCSTNPGFT -KDVIDLAERILYAPPNLNQIDFAMTIVSLIEMQRHDDLIHKLNELLVRSGMKVISFEFKLCDHFPFTNSI -LTPDILFEDPYGSRYILEVKVRNKHTDLEHYYMRYKKVVGVHAKVGVFNLSQSGYMQHGDYKLSEKINLE -SDDFDDILLCVELAGKIREKYIQYPQYFLYTLQSEVTNPDSFLDGFKTRLSDLSMFEEIKSGFGDYWNDI -TYHMDNYSLIDNHDEVTEDLLNSTDDLTQYCNDLYDEFLDHSQSYSRQGKYGKTILRNSGLDNIIDKKNR -SKYTITSKLKPSVYIPITKTIKLDTYGGSRLKFYKDAFINIKCTGDSYSRSAYNLVDNVFNTSSIDLLMT -KDDKIDPSLYYEVLDPGFIAHLHDDQVKYKKIAKVTNITSDMTILANNSFSIHCHTDQRLKDNICGYDKK -HYDSTQKAKECLDFSNSSLLLPSLGVHLSAIFKSEHHAGVYWNDLVTLGTDNLNHMTSDIPEEAQTKFLE -HLYNSHIIFKAIISLNTINSHKFRLLQSPDPGTIIILLPNSDGLKGAPLRYFVVSILQKQDNDSIEANKL -LGIYHSHTESKKYKIMLSKVISLDITRLKLLSNSFVKYSLLISYYSQFKKSLKFDTHMLSWMLSQVTTIA -SLSITDVYKNFIMAIYSDYSNIDDLINDKLECRPRTLGHVFVMKHLFQGITSAVEQLGKINKNKLIADVN -DEGELISTGFDPNLRLKLPISQLSTNNPKEIIHESFILFYLGNKGLHGSPQELLNLYYTPMQFESEYTKM -MTDCGLYCQELGNNGNLSFSFQAMFLTSKVAYAKLLNNTDEIRRSLVKEMKMDEPIMSIKQFSSTKSMVS -NSVPDMSIKDCNLNKNIDVIQLERYIDTSLISDPMKYITLMNNSIESINQERLLIYKDKLNKGIVLLPKL -ILTTFKGKSFIGLENHYYTKLVSGDYIKQTNTKVFDEFYRLTDEIQEEKLRGFYKGYITEGDLLVRIFNK -DQRTTDDREIYTGNAQVRLCLYPLEMTFKSICKKIPEEAITISGDQKQRKLLEQRLALIKTKRQFNKSGY -KTEIYSVSSDASKWSARDLLPKFIISIATNPYLTSDEKYFLVYLLVRYYDKKIVLTDSAFSNALRFSRED -INGKYEEMTNNFTQNWFNVRSNWLQGNLNMTSSFVHHCSTIMTDTLLSISAKHNGFEAVMTSMVHSDDST -YDFLIAKNSKTSSYINNEANMGRFIISLITYSNKKHCITLNEKKTYISTFYKEFLSTTIVSNELFFFYMA -DLMPISSDTSYKSPLEDLASYTGYINNSFSHACPIQILKCAITLLNHLTLSTYNMQYTSEKNPRCNIPNS -TDLPIQIYPRYKLPLSLAGCIPYYSSDAYNILDDIIKTLEKNKVIKNSLLEDVIDDETLDEYITLVNKQK -PEYAKYIQACLLTMDYTQYERDDEDPYNIVDYDLSQKSIINVASINKGSRIKKTYTYKKYLENETDIRLT -SCVNPMWCISKPKDEVLIKNPILANYMNPNFKDSLIFSKSALDYGRRIIGSNKSMDTLSSHAFEKEKKQG -IKTIYKKLDDKISTVEISKQSLQRFLECIYSVIKKSLVALQVYYSKVQVLVKTRPEFTKVIMPRSVYAEE -YGKNSNTSMVENLLVEQYCEIEQVDSKVEKFISFCKHVLQRCGDIKIYRDPEDIDDDFRKYIEFKYTLKD -ATMGLIQPHQHLAEYAFDVYNNKLIFQGLMVRYYIDICETISNPSYNIPSYTSPNSIIMTLDSLMKRDEI -SSKIYISHIRTNRFDEYWLSRFGMYVYENYFVKYKLGYRIKIAANEKLMPTMKKVRNLREPFKFICSLVA -NDPSLFIQMTESPDFQISGWKYSDIIAEMKSTTDFSYNLFLYMMNEINFQTLMRVMNLNRRVWNHWLMKT -DSEPSDPNASIALYMYQSTVVKVQTKTIGGGVTFSMLLLRHGMQHRQAFDEISKKIASDYAPQLRIANIT -PQTSFGRLQFCVNEYGRTVKPGSYRSSCICNVNIAALTDLKPDIAYKENTINQIVTIISPTFEGEFVFKL -NTYCDSEYYTCVMLENLDLNRVMILDHLCRGKYLIENPEYFTEISDQITPGACLALFSNNVNNKLWSNTI -DTSKFAKLVHIGNYLKTEHEASIVTKLCDSLVAICALNGIDHTLSLKPDNFIKSLRQYKLSYGFHEEFYN -NYKKNEREPYTELIMAIASTAGDPFQKVILAIITIFKAYTDLFISYKTDEVEF - ->BAM13785.1 RNA-dependent RNA polymerase [Fig mosaic emaravirus] -MEAKKGEIFESAISKIRSGDALPPDLMSKFLHIAGKTLKGYTITSKKRNIEKIYKQCTSDVHFHKDLVSL -SEGILHTPPNQSQIDIVATLIGLLELSRHDLLILCINELLQNQGYTIMGYDVPIKDVFPEIDSILTPDIF -FTDGYDYYILEVKVRGKKTDLSIYYNRYKSVVDGSQKHIKVSVFNVSRSGYIEMGDYKISNLINIDPSTI -EDITYCIDLCQNLREKYGRYPEFGFYMQDGMLADSSEPFIESGFKQMVFEHNNYEEISSLFGSKWNEIIN -DTDNISLISNPEDTIEKLLDAQKVLYQDCSDRYIEFQSHLEKNYMNTNSYNKTYLNDCNLSKILDKKNES -LYNIVDTYKPSIYIPIAKTVFLDQFGFSRLDFYKSAFIDIQERGDSYTKSVINLVNTIFNSVSIDLLVKK -NDEIDPETYKDVLTPDFCKYINDTSNKFKKIATVSNITSDTSILNNNAFSINHHVDKYMKENICGFQRKH -YDVDVQKKSCLSIKNSEDDALELLKLMGEIFNSKHYEGVYANDLVDIEVDNMNSHVCNLPKNCKTKYLDH -LYSQHNIFKALISLNTVNSHKFRLIQTADPCTILIMLPNADTLKSAPLRYFTLTIIDKKDNTSYHANKLL -GIAHELLIGRNYNIILSKVISLDVTRLKLLNHSFGKYCLIMSYYSTIKKDLRHQIHFMVWILCQFITISS -LSITDTYKNFVMAIYSDYSNIDNLIDDKLESRPTTLGHIVILQRCFHGLLQASTQLKVINKNKNNEEIDE -RGDLIETGFNSNLSLKLPISGISVNNPREIIHEAFMLFYLGNKGLHGSPQELLNLYYTPYKFEQEYTDVI -QRYKTILQEDGNISNMSISYDVMKKTSLAAYSNIYNKKENIRQSICSELSINKPLLALKQFSSTKSMVSN -TKQVEIELPKKLPDNLDLYGLENIISNCKIDEDMEFMRFINSEIYRINSKRLKNEMSSSTREEKKEVTLL -PEIYIETKKGVKFLRIKRHEYTRLINGDYIRQNNAKVFDEFYKLSEEYELVSLKDAYSKLIHDDELLIRI -FYKDQRTADDREIYTGNAQTRLCLYPIEKTYKAICKHIPGEAITISGDQKQKKLLEQRLQLIKEKKQKIK -DKKWAEIYSVSSDASKWSARDVFLKFIITISVNPYLHPDEKWFLLYLCMTYYTKKIVFTDTIFNKMVDLA -DKDKHGKYEFMTEDFTQNYFTVRSNWLQGNLNMISSFVHHCSTLYTETMLKILSDKNSLECSMTSMVHSD -DSTYDFLICRDKKSKNRYVKEENIGKLIISLITYSNRFHCITLNEKKTYISTFYKEFLSTIIVGNELFFF -YLADLLPLTSDTSYASPLQDLASYSGYINNSFSHACPREMIQTAIILINHLTLSTYNMQYTSDKNPRLNI -QSTDLPIQIYPRYKVPIEMAGMIPYYSADAFNILNDIVLKLGYNDKLQSELVEDILTEELISDYLNIIKK -HTPMIVKYIKSCILCMDYSQYERDDADPYNIIDYDLSQKSIINVISLNKGQRLKKTYTYKKYLESETNVR -LISAIHPEWCVIKPTDPDLIKSNILQNYTNPNFRDGLIFSTPAIDYGRRVISSNKNMYTISSHIMEKDKA -KNIKTVYNDLNEKIDGVEITAKDLQRYLSLYLLSDKKISMAIQVFFSKIETVTMARPSYNKVVQPRSIYS -EDFGRYSNNSLIESLLTSRYCKIATVDPKVEKFVDVSDYVLERIGDIKLYESPEDIDNDYINYYQFKYPH -SDEIMLDVEPVSDLGDLELKVYKNKLKFMSLLVKYYNDIKKTIETPNYNIPNYPSPSSIIMTIDSLLKKD -EISTKVYLSNVKSIKYDDYLLTRYGMYAHNDFHIKYKLGYKVKIASSTKISHTLQTYKDTYEPVAFVTKL -ISRNLELFTSLKDNEDFVTGHYKFNDMINTLQNSRDINSSALLLMLDKIPNSRFMITLLNDNRVYNHWLI -PTNSLQEDPGASLAYYMCQGNIMKVRTFTRGGSIVFTMTYYKYVNRDLGALVNIKKKISMDYVELLRKSL -VTNRVEPTSLYDTYNINEYGRYTEYKGPRVFNVAQINYAKILDIKPAYTEIDDQVKLVLNIGTNEDHFTF -DIRLRTYIDDEYYLNCLLDNLHIERENILGYLCEGTFFHRYPDYLKRILATLGPNQLVSLMSNYTDSDCI -TDNIDVNRYGFLMEISNYFRDKNNIYMAELCETLEIVAMSTNVNILNVRNPEKFINNCNKIKLHPLCHEY -VIHKYRADEEAPYYRLFRLVMKYRYNKSPVEKIILFIILIFRFYIHEYIDVEAEFEY ->AML03165.1 RdRP [Wheat mosaic virus] -MSDITLKPSSSSAKKKFLDKYFDELHNSTDESFNSIFNEFLGFINKSKKSIGLMSKKNTVHNMYKNNFES -DYISMGRLYNFAMNLANVKPNKYYLDLVFTIISLFEMFRHDLFIEIVKSQMKDYTFIDQDFKLSDYFPDT -VVHLTPDILMQHKETEKFLIIEIKVTVESDLVKFYDKYKPHIGETDLLVLNYNTSGFTQHGPVKINTDEL -LQHTLFETLNDHIELCALLRRRYKEIPEYEYFSNQKDDRLDDESFIYGFKNKTLNHPNYDKFKSVFGSKW -ESVLDDVEKVSLIDNPEYTRDLLDNAENDCADYCLKNIDEFESLLDENIKKGLYSTTKMNITDLPELQDE -NALKTHVFMDTYKPSIYFPYIPSFEIGKERKLFYVDAFSELKSHNPDIYTTSVKKLVTDLFTDELLDYMI -VHNKEESKRVFPLKFCDIDVYKNNSFGLNNDHSSSIKKSICGFEKKKRDGSLKCLSYKDKCKDIKFVDMV -FQKINACSYNNKSYARDLLEPDIDYCEDIKLEPFLQTKTFDVLFNQHTIVKNLIGLNKISSKKFRLVQTN -DPCTVVIMLPNADVVTGAPIRFFSLSVLKNSQDINEVVNMNKKLGICHDIMFNKKYTIMLSKVVSLDSSR -LKMLSNSFAKYSQLVTYYENLSPKSFDKVNLLCFLMSNMVTLSSLSVTENFKNIMMVCLATFSNPDELIQ -DKLECKPKTFTHIYLMERIFSAIDESELQRTKIIQCLKQTKVSDDGKDLKDTGFSNMEELVMPVSKIRIR -NPKEILQESYILFYVGNKGLHGSPQELLNLYHTPIQFQKEYENYISKYGSLIQEEHNQRDVGFSFEAMRL -STRLTYSKIKSQHQEIRSLIKKDLSLDQSILLKPQFSSTKSMVTTTTDITPIKKLSDVKDLTTLENYIKN -QEIDNPEKFCIIVNKRVEEINHLNNNLSKVKTVIYGEEVDIPTKKNKLPEIQIKYVRKHAFIVFRNMKKF -VKPCDMDVINQYSAKVFDAVIKESELSGNKTLREFYESNYLESNDIVIRIFYKDQRSYNDREIYTGNLAC -RLSLFSIESTFKSINTFIPQEAISIAGEKKHKKMYDQRFDMLKKKKNYNIGNTYKSDIFSVSCDASKWSA -RDIMHKFAIAIANNVFLTAEEKWFLLYLLINYSVKYITLTEHALYDCVRFHKEDSNRRIYEDLTNDFQQN -FQIVISNWLQGNFNSLSSFVHCNSAHLTSVMLDVINKRYDMNNYMDFLVHSDDSCYDFLIMRRNKWLDPK -NYGTFLYTLIQWSTLKHCIVINRKKTYISNFYKEFLSTLIIGNELFYFYMSDLLPISSDVTYDSPMDDLS -SFSGYINDAFAHATPLPILTNTILLINHLVLSTYNLNASNPKSPYKALIGNDSEYADVPIQILPRYKVPT -KFAGLIPYNAGDPLKILIRIISVLDMKMNRNCETPLCDLFTEEVISKYLEEEPREEYKNYIKMCLLSSSE -DYLCKNQEDPYTLTDVDSSKINFLSVVPSTKSTKPKPMYTYKKFAADKDYYKLQEILNPMWVISNPENHD -DAKDKLLSNYANRKFVDSLIFSRPQIAFARRLITSNAKIYRYNLSDDDNLMTINDVYDRLKKDTKEYKLN -STRLLNYLTLNLFTDQRMSSSLHVFYAKEKLLATSRSSCNYKIVIPRNIYTPEYGKHSNTMLIKELIVNP -KIETIEAIDQKCDSLISIAESILLKDNIKTYEYPEDIDSSFKKYFDSKLKLVNDYNDCLIKPVNIDNNFN -MRIYNLKVKFQGLMIKYYNDTYKKDKYDIDYITPRSIVSTINSYMLKDRITSKLFIGTRVVHELNDYLLD -RYGMYDNKNYIIHFKMNHRLAVTNNNLHYKMNLDKKYNDDMLCLSYIHRCVDNETWEEVVENGQLYGQKI -RVYLDNTKFRQNDIHKSIFLKSIERCDDNAXITSMVNTNYIMNYWAIPTGSNSRYNIARYMKSGYLLEVS -LLRTNGYTVTMKFYQPSTPGLTSKIRRQNILESLIQKFRTDFKDVLHEIKTIRSETETSVYINGLNVSLR -YDNTSKVICNIRNFYYNRVEVQVLKDEDHTHKLILQTDNRNSVDFNVVNKSYVDNIKLYEMITKFRELNN -YNAIVDQTGILRKDRKLLSNEFIYLEPQLIKSILESKMSYKRVTNQDKKSIANLYMLGESIPSDGSLVIT -AIKKLSECLFVDAIEYHPEKFQEKVDVTRSIDRIIKRVVVNQEENKYIIENFQYEEEPYNGLFSNCLEIE -GIGYDEVILLYLYYVFKMYIQLEESDSLDLFD diff --git a/seq/clusters_seq/cluster_368 b/seq/clusters_seq/cluster_368 deleted file mode 100644 index 0647a64..0000000 --- a/seq/clusters_seq/cluster_368 +++ /dev/null @@ -1,199 +0,0 @@ ->YP_010086266.1 RNA-dependent RNA polymerase [Lates calcarifer birnavirus] -MSDIFNTAQGRSKILASLKLQNVTENTQDWLLPPRWDPPADTIRNSKEAAEALKAGGYRMLKPRSIPEHQ -PIPTAAALPSLAVLVEMDAIKDEIELPGGDTEYLPRYYPMHKPEHGKQTEFGMYDLPLLKQMTFQLINGK -ENPAEEGATFKQFRDTILECQYGSGTNAGQIARLLAMRGVAVGRNPNKTLAQQGLTLEQMAVLLEQTLPI -GQPGDDETGWPALTTTLSGLLNPDTNEDYLPDVTKKSSAGLPYIGKTKGDTMLEALAIGDTFLRELSAVL -SSTTPDQKDRFNSLLQDFWFLSCGLLFPKGERYDRDAWLTKTRNIWSAPFPTHFLISAISWPIMKQSKNN -TLNMDTPSLYGFNPFNGGLDSIMRRVEKGEDLHLIYADNIYILQDRIWFSIDLEKGEANATKSHAQAIAY -YLLTRGWVQDDGSPAFNATWATLAMQIAPALVVDSSCLFMNLQLKTYGQGSGNPWTFLINHALSTIVVNA -WIQAGKPRPDTPQFMALEKTTGVNFKIERTIPEVPTVALKAKESSPLVGYLGDGTNRPPEKEAPTVDLDL -LGWSATYSRLLESWVPVLDKERMLKSAAYPKGLENKELKNQPGAELAYTIVRNEALRMVGGWAYPLLDRS -LKAMVSAKRNALTVKGIPIESMMGGWQKMTEFSEAFEGIDASLEVTPEFLANMNKPRGRKQPHVNKLALD -IKNMQQASTALTSGAFRNPNKMAGLKLNAMAKSKLMTTLQAFKEAEAAADQSGTDDWGEASETLDTMLRA -SRIYQAEAEASLKDVSEALDSLSAAAANIKTQQEKDTDTISNPVVGYHVPAQRSLGVLSSVTGVGPAPVD -GRSKNARKMAKRRSRK - ->YP_009508102.1 RNA-dependant RNA polymerase [Tellina virus 1] -MSNVFNSSQYRDTVLKILGKKNAPSTSDPKSHFPRQFTYDHDKINAKYIAELVVRHNLKPRIPEVINELV -KEDPFDFPFFNTDFEEETEFNADPPDTVEIPQGTSHFIPKYYPRFKVSPYDQTFLNQCMYHLLVSVDSDE -NTATMQHLLRAAKTCAYGNGSIKGMTTRLEKMREIADGIGKNKPRPIYSMGYGTLREVSEMFDKYLPLPP -IEGGEEALGLNVNLSHMVDPISGESQLPTVNRKAMAGAPFSQVEKKESHIIDAIFSGDMFLQGVSTALTD -GIDDESTDKLLKEVLADFGWLRIGYLFPKSERYDIDKWETKTRCIWAAPFTTHLLLGAASTTPLEGSPNA -LFYDTPSLAKFNPFHGGLNVIVQKSISPGSHFFVYADNIYLSLEEVDGSHTWYSIDLEKGESNATPDKAS -AIAYHLLTRGWSTVEGEPNFNVTWATTLLRLAPHMVVNPLCVLGNSQFPFPGQGSGNAWTFLINHLLSAL -VVEKMSMVRIPGEVPRKRTGAELRDLRPDDNPTSKFQAQMLAPLGLNAKVEATIKDLELKLNECRASAPD -VGYFASEPTEGPPPVPMPTVDLDLLGWAATWSHFHERFIPTLDPQRLYKTAALARGPEDRQEDEAGGTVV -AQQIYYTARYEALRMVGGCNYPIIDIAASEAAERHRSRAAKAMAALGESASSAAWENGLSRTEFGEELNN -SSVQERVTGAAMRDLHGGDPKPKESVRQGIDRLKDRQVFNERQYQSAKTSLSAVGRKIQTGAVSRIGLSP -KESLLRTEVKATLAYRAKLSQLRNDLRTSPAEQESIALKLLLRESTKAVKQAEDIAKVFDVTQTHHQQAE -QRIAPTARPREKSAAKLANPVAMYHVSYPLGASRIEPKSRNARKRAAKKARERD - ->YP_009255399.1 VP1 protein [Victorian trout aquabirnavirus] -MSDIFNSPQNKASILSALMKSTTGDVEDVLIPKRFRPAKDPLDSPQAAAQFLKDNKYRILRPRAIPTMVE -LETDAALPRLRQMVDDGKLKDTVNVPEGTTAFYPKYYPFHKPDHDEVGTFGAPDITLLKQLTFFLLENDF -PTGPETLRQVREAIATLQYGSGSYSGQLNRLLAMKGVATGRNPNKTPKAVGYTNEQLAKLLEQTLPINPP -KHENPDLRWAPSWLIQYTGDPSTDKSYLPRVTVKSSAGLPYIGKTKGDTTAEALVLADSFIRDLGKAATS -ADPEAEVKKTLTDFWYLSCGLLFPKGERYTQVDWDKKTRNIWSAPYPTHLLLSMVSSPVMDESKLNITNT -QTPSLYGFSPFHGGMDRVMTIIRDSLDTGEDLVMIYADNIYILQDNTWYSIDLEKGEANCTPQHMQAMMY -YLLTRGWTNDDGSPRYNPTWATFAMNVAPSMVVDSSCILMNLQLKTYGQGSGNAFTFLNNHLMSTIVVSE -WVKAGKPNPMTKEFMNLEEKTGINFKIERELKNLRETIIEAVETAPHDGYLADGSDLPPRQPGKAVELDL -LGWSAVYSRQMEMFVPVLENERLIASAAYPKGLENKALARKPGAEIAYQIVRYEAIRMVGGWNNPLLETA -AKHMSLDKRKRLEVKGIDVTGFLDDWNNMSEFGGDLDGITLAEPLTNQTLIDINTPVEDFNPKDRPETPR -SPKKTLEEVTAAITSGTYKDPKSAVWRLLDQRTKLRVSTLRDQASALKPAAATSDNWAEATEELASQQQM -LMKANNLLKSSLAETREALETVQSDKIIAGKSNPEKNPGNAANPVVGYGEFSEKIPLTPTQKKNAKRREK -QRRN - ->YP_009177609.1 VP1 protein [Tasmanian aquabirnavirus] -MSDIFNSPQNKASILNALMKSTQGDVEDVLIPKRFRPAKDPLDSPQAAAAFLKEHKYRILRPRAIPTMVE -IETDAALPRLATMVEDGKLKETVSVPEGTTAFYPKYYPFHKPDHDDVGTFGAPDITLLKQLTFFLLENDF -PTGPETLRQVREAIATLQYGSGSYSGQLNRLLAMKAVATGRNPNKTPQAVGYTNEQMARLMEQTLPINPP -KNEDPDLRWAPSWLIQYTGEPSTDRSYLPHVTVKSSAGLPYIGKTKGDTTAEALVLADSFIRDLGKAATS -ADPETDVKKVLSDFWYLSCGLLFPKGERYTQKDWDKKTRNIWSAPYPTHLLLSMVSSPVMDESKLNITNT -QTPSLYGFSPFHGGMDRIMTIIREHLDQEQDLVMIYADNIYILQDNTWYSIDLEKGEANCTPQHMQAMMY -YLLTRGWTNEDGSPRYNPTWATFAMNVGPSMVVDSTCLLMNLQLKTYGQGSGNAFTFLNNHLMSTLVVAE -WHKAGRPNPMSKEFMDLEAKTGINFKIERELKDLRSVIMEAVETAPLDGYLADGSDMPPRVPGKAVELDL -LGWSAVFSRQLQMFVPVLENDRLIASVAYPKGLENKTLARKPGAEIAYQIVRYEAIRLIGGWNNPLIETA -AKHMSLDKRKRLEVKGIDVTGFLDDWNNMSEFGGDLEGISLTEPLTNQTLLDINTPEAEFDIKDRPPTPR -SPGKTLAEVTAAITSGTYKDPKSAVWRLLDQRTKLRVSTLRDQAKALKPAASTADNWGDATEELAEQQQL -LMKANNLLKSSLTEAREALETVQSDKIISSKTSPEKNPGTAANPVVGYGEFSEKIPLTPTQKKNAKRREK -QRRN - ->YP_004956721.1 unnamed protein product [Espirito Santo virus] -MSDIFNQQGLKSKFTSIIKSENQESNDILVVFNSFSDISLDTAEDIEYTDLGSALLEHSVKVFRPKPYDE -LINVPFTEAASPRMLDLYEEQLGSKDVSLPIGAGQILPTYKPSHEITPPLTTLANSLAFEYMKYIEGSSN -PEFDSAIYRTIKELLEIQGATRFSTGSLLGQVKRIAAGQDVAYGRNGHHKNKSFRELGLTPYKVMSWLDE -YMPIGEGEPDFKLTNTLDWLVYTPQEAELMGVPDSLPAITHSSAAGLPWLGKKKGEVAVSALITANMLIK -DTSRILKETLFSGSNDPLEAKREGVAAETAKSKTRSAGQFSSEILKMITTEYSYTMMGLLFPKGERYHRA -DHLEKTRNIWSASYVTHLLGSIVSDQPAKRMLNVLTSSEPTPSLSKFSPTQGGMDALVNRILEATDITEL -VYADNAYMYFPREDVWYSIDLTKGEANCTRDIAMTTAMYLLTRGWTSNEGVPLYNYTWAYLALYMIPYTT -VDSISILKNFQIKNPGQGSGNPWTFLNNHVLTTILMNKWRAMGRPKPTAQVIEKLSSETGIDFKVELVVP -EFKKKLLEAREHSLPVEDRIRPRTIVSMDMLGWDVTHTQYGFTPVLAKERLFKSIACPQPPSSTFSSHVA -KQVHKYIQDVALLYVGGWAYPCIAQTIEGYALNHWNTIDSMLRNNPNYDLEKALTKAVDTSPFREVMELI -NIRKPMHEQNYASVLYTPKDRPRVQPKRMMSSPFLIRKDENVAQYTRRMAKIRQENDMVSEEWAPIKGLI -SRLFAREALGENLKLRENFSKGKIRELMTSIEQQLKERGMGMEVWHKAYQTSSPPEGLKGNLAQLLVSLT -PPWTKSLPREVFRRLLGYDPIGSGSTPELTSDEKYYYNVQSLEHNRIEYLRKLNEDDISIYANKYMVYSS -TLLSTMLPDKVEWPEQRSMKVQDAMNPFMVKGFKNKDMKPRFGEEILEEEQLQAKKSSTEKRRMQRKGQK -LKLQRQAADGKFVVQRLN - ->YP_052864.1 RNA-dependent RNA polymerase [Blotched snakehead virus] -MSDVFNTPHARRTITQALGLTNVSDRTQDWLLPEPWNPPMDPIKNSQEAAEYLQRNQYRMLKPRAIPENT -PLDTSELFPHLAEFVGSGAFGTSTLVPAGSTEYIPRYYPTHKPEHNKPTPFGHYDVALLKQMTYQLTNGK -DNPEEEGTTFRQFRDTIVEQQYGSGTSQGQLARLVAMKEVATGRNPNKSPKELGLSMEEIATMLEQTLPI -GQPGGDEGWPSLTTTLSELLNPAEGMDYLPHITMKSSSGLPFIGKTKGETVTSALAICDTFLREVSECVK -EGAMASDNQKLQKLLQDYWYLSCGLLFPKAERYEKKAWLTKTRNIWSAPFPTHLLLSTISWPIMNSSKNN -ILNVPECVSLYGFNPFSGGMDAVVTNILAQPDETLFLIYADNIYIYMDRTWFSIDLEKGEANATPEHAQA -VSYYLLTRGWTQDDGSPAFNATWATIAMMIAPSLVVDSSCLFMNLQLKTYGQGSGNAWTFLINHTLSTIL -VGKWIEAGQPNPRSKEFMDLEAATGINFKIEREIEGLPTRLQEAMDKAVHTGFLGDGTTNPPEKEGPTVD -LDLLGWSATYSRHMDMWVPVLDKERLLSSAAYPKGLENKDLKGKPGAEIAYKIVRNEALLMVGGWNYPLI -ARSLMANTSAARNNLRQKGVPLDTLTRDWEKMTEFSDIFEDLPIDTKLEVTSEFLQRLNLRGERKQPNVN -KHHLRTKGLKKCVSALKQGACRNPTTVAGLKLTAYSKSRINKAKAVFDEINNLPKTESDDWSDRMDDADR -LMKANNLYMREARSALEDVHNSLLALSGETVKAKTPQEKSTEKVSNPVVGYRLPAERATGVQHALLGVGV -SRPSEGALTKNARKMKKRREKARGINH - ->NP_690835.1 putative RNA-dependent RNA polymerase [Yellowtail ascites virus] -MSDIFNSPQNKASILSALMKSTAGDVEDVLIPKRFRPAKDPLDSPQAAAQFLKDNKYRILRPRAIPTMVE -LETDAALPRLRQMVDDGKLKDTVSVPEGTTAFYPKYYPFHKPDHDEVGTFGAPDITLLKQLTFFLLENDF -PTGPETLRQVREAIATLQYGSGSYSGQLNRLLAMKGVATGRNPNKTPKTVGYSNEQLAKLLEQTLPINPP -KNEDPDLRWAPSWLINYTGDQSTDKSYLPHVTVKSSAGLPYIGKTKGDTTAEALVLADSFIRDLGKAATS -ADPETEVKKTITDFWYLSCGLLFPKGERYTQVDWDKKTRNIWSAPYPTHLLLSMVSSPVMEESKLNITNT -QTPSLYGFSPFHGGMDRIMTIIRDSLDTGEDLVMIYADNIYILQDNTWYSIDLEKGEANCTPQHMQAMMY -YLLTRGWTNEDGSPRYNPTWATFAMNVAPSMVVDSSCLLMNLQLKTYGQGSGNAFTFLNNHLMSTIVVAE -WVKAGKPNPMTKEFMDLEEKTGINFKIERELKNLREVIMEAVETAPQDGYLADGSDLPPHKPGRAVELDL -LGWSAVYSRQMEMFVPVLENERLIASAAYPKGLENKTLARKPGAEIAYQIVRYEAIRLVGGWNNPLLETA -TKHMSLDKRKRLEVKGIDVTGFLDDWNNMSEFGGDLEGITLAEPLTNQTLIDINTPLESFDPKERPETPR -SPKKTLDEVTAAITSGTYKDPKSAVWRLLDQRTKLRVSTLRDQAAALKPASSTVENWAEATEELAAQQQL -LMKANTLLKSSLTETREALETVQSDKIIAGKSNPEKNPGNAANPVVGYGEFSEKIPLTPTQKKNAKRREK -QRRNQ - ->NP_690806.1 putative RNA-dependent RNA polymerase VP1 [Drosophila X virus] -MSDIFNQQGLKSKFSNIVKNEGQGDSDIREVFNELARPQAEVGRDIEYSELSQALNDNSVKVFRPQPYDE -LVNIPFIEAASPRMMALYGELLDSKDVSLPVGSGLHIPTYKPGHEVTPPLLTLPNSLSYEYMHYIANSAN -DTWDKQIYETLKELLVAQATNRFSTGSLLGQVKRVAAGQDVAYGRKGHHKNKSFKEMGITPYRVMEWLDE -YMPISDDEPEITLSNTLDWLVYTPEEAELMGVPDSLPDITQSSAAGLPWLGKKKGEVAVSALITANMLIK -DVSQLLKENLFTGSNNPLDPKKEGVAEVTTKNPRRAADQFSRQVLDRIIKEYSYTMMGLLFPKGERYAIA -DHLTKTRNIWSASYVTHLIGSTISDQPAKRMLNVLTSTSRTPSLAKFSPTQGGMEALINIILNATDIVEL -VYADNAYIYYPNEDIWYSIDLTKGEANCTRDVAMTTAMYLLTRGWTSKQGTPIYNYTWAYLALYGIPYMT -VDSISVLKNFQIKNPGQGSGNPWTFLNNHVLTTILMNKWSEIGKPQPSPDVIEKLASMTGIDFKVELVVT -NFREKLIAASRHSIPTSNRVEPRTIVEMDMLGWDVTHTEFGFTPVLSKERLFKSIACPQPPSSTFQTSVA -KQVHKYIQNVALLYVGAWAYPCIAQTIEGYALNHWNTINIMIRNKEYDLDKAIGKAVEASPFSEVISLLS -LDRPMHEQNYAQVLYQQKTIEKKEAKPKVSNPLYKRDKETYHEYTTRMARMRINDDMVGPQWEPIINLVT -KLYPREATGENQKSRESMTRVKIRGLLENMERQLESNGRSMDVWYNAYLTGKKPSGVDKKLATLLVLLAP -KRTKKLPSGVYQKLLGYPPIDKSPVPSLTSDEAYLYDTNSLEYNRIAYVSKLNEDDITVYANKYMVYSST -LLSNLLPDKVDWPELRSMNVEGASDPYQVKGYKKKELKPRFGEEILDDEPTGKKSSSEKRRLQRKGQKAK -LQRQAATGTTFVRKPLN - ->NP_690839.1 VP1 RNA-dependent RNA polymerase [Infectious bursal disease virus] -MSDVFNSPQARSKISAAFGIKPTAGQDVEELLIPKVWVPPEDPLASPSRLAKFLRENGYKILQPRSLPEN -EEYETDQILPDLAWMRQIEGPVLKPTLSLPIGDQEYFPKYYPTHRPSKEKPNAYPPDIALLKQMIYLFLQ -VPEATDNLKDEVTLLTQNIRDKAYGSGTYMGQATRLVAMKEVATGRNPNKDPLKLGYTFESIAQLLDITL -PVGPPGEDDKPWVPLTRVPSRMLVLTGDVDGEFEVEDYLPKINLKSSSGLPYVGRTKGETIGEMIAISNQ -FLRELSALLKQGAGTKGSNKKKLLSMLSDYWYLSCGLLFPKAERYDKSTCCTKTRNKWSAQSSTHLMISM -ITWPVMSNSPNNVLNIEGCPSLYKFNPFRGGLNRIVEWIMAPDEPKALVYADNIYIVHSNTWYSIDLEKG -EANCTRQHMQAAMYYILTRGWSDNGDPMFNQTWATFAMNIAPALVVDSSCLIMNLQIKTYGQGSGNAATF -INNHLLSTLVLDQWNLMKQPSPDSEEFKSIEDKLGINFKIERSIDDIRGKLRQLVPLAQPGYLSGGVEPE -QPSPTVELDLLGWSATYSKDLGIYVPVLDKERLFCSAAYPKGVENKSLKSKVGIEQAYKVVRYEALRLVG -GWNYPLLNKACKNNASAARRHLEAKGFPLDEFLAEWSELSEFGEAFEGFNIKLTVTPESLAELNRPVPPK -PPNVNRPVNTGGLKAVSNALKTGRYRNEAGLSGLVLLATARSRLQDAVKAMAEAEKLHKSKPDDPDADWF -ERSETLSDLLEKADIASKVAHSALVETSDALEAVQSTSVYTPKYPEVKNPQTASHPVVGLHLPAKRATGV -QAALLGAGTSRPMGMEAPTRSKNAVKMAKRRQRQKESRQ - ->NP_047197.1 viral protein 1 [Infectious pancreatic necrosis virus] -MSDIFNSPQNKASILTALMKSTTGDVEDVLIPKRFRPAKDPLDSPQAAAQFLKDNKYRILRPRAIPTMVE -LETDAALPRLRQMVEDGKLKDTVSVPEGTTAFYPKYYPFHKPDHDEVGTFGAPDITLLKQLTFFLLENDF -PTGPETLRQVREAIATLQYGSGSYSGQLNRLLAMKGVATGRNPNKTPKTVGYTNEQLAKLLEQTLPINTP -KHEDPDLRWAPSWLINYTGDLSTDKSYLPHVTIKSSAGLPYIGKTKGDTTAEALVLADSFIRDLGRAATS -ADPEAGVKKTITDFWYLSCGLLFPKGERYTQVDWDKKTRNIWSAPYPTHLLLSMVSTPVMNESKLNITNT -QTPSLYGFSPFHGGMDRIMTIIRDSLDNDEDLVMIYADNIYILQDNTWYSIDLEKGEANCTPQHMQAMMY -YLLTRGWTNEDGSPRYNPTWATFAMNVAPSMVVDSSCLLMNLQLKTYGQGSGNAFTFLNNHLMSTIVVAE -WVKAGKPNPMTKEFMDLEEKTGINFKIERELKNLRETIVEAVETAPQDGYLADGSDLPPIRPGKAVELDL -LGWSAIYSRQMEMFVPVLENERLIASAAYPKGLENKALARKPGAEIAYQIVRYEAIRLVGGWNNPLLETA -AKHMSLDKRKRLEVKGIDVTGFLDDWNNMSEFGGDLEGITLSEPLTNQTLVDINTPLDSFDPKARPQTPR -SPKKTLDEVTTAITSGTYKDPKSAVWRLLDQRTKLRVSTLRDQALALKPASSSVDNWAEATEELAQQQQL -LMKANNLLKSSLTETREALETIQSDKIIAGKSNPEKNPGTAANPVVGYGEFSEKIPLTPTQKKNAKRREK -QRRNQ - ->sp|Q9Q6Q5.1|RDRP_IBDV RecName: Full=RNA-directed RNA polymerase; Short=RDRP; AltName: Full=Protein VP1 -MSDIFNSPQARSTISAAFGIKPTAGQDVEELLIPKVWVPPEDPLASPSRLAKFLRENGYKVLQPRSLPEN -EEYETDQILPDLAWMRQIEGAVLKPTLSLPIGDQEYFPKYYPTHRPSKEKPNAYPPDIALLKQMIYLFLQ -VPEANEGLKDEVTLLTQNIRDKAYGSGTYMGQATRLVAMKEVATGRNPNKDPLKLGYTFESIAQLLDITL -PVGPPGEDDKPWVPLTRVPSRMLVLTGDVDGDFEVEDYLPKINLKSSSGLPYVGRTKGETIGEMIAISNQ -FLRELSTLLKQGAGTKGSNKKKLLSMLSDYWYLSCGLLFPKAERYDKSTWLTKTRNIWSAPSPTHLMISM -ITWPVMSNSPNNVLNIEGCPSLYKFNPFRGGLNRIVEWILAPEEPKALVYADNIYIVHSNTWYSIDLEKG -EANCTRQHMQAAMYYILTRGWSDNGDPMFNQTWATFAMNIAPALVVDSSCLIMNLQIKTYGQGSGNAATF -INNHLLSTLVLDQWNLMRQPRPDSEEFKSIEDKLGINFKIERSIDDIRGKLRQLVLLAQPGYLSGGVEPE -QSSPTVELDLLGWSATYSKDLGIYVPVLDKERLFCSAAYPKGVENKSLKSKVGIEQAYKVVRYEALRLVG -GWNYPLLNKACKNNAGAARRHLEAKGFPLDEFLAEWSELSEFGEAFEGFNIKLTVTSESLAELNKPVPPK -PPNVNRPVNTGGLKAVSNALKTGRYRNEAGLSGLVLLATARSRLQDAVKAKAEAEKLHKSKPDDPDADWF -ERSETLSDLLEKADIASKVAHSALVETSDALEAVQSTSVYTPKYPEVKNPQTASNPVVGLHLPAKRATGV -QAALLGAGTSRPMGMEAPTRSKNAVKMAKRRQRQKESRQQP - ->sp|P22174.1|RDRP_IPNVS RecName: Full=RNA-directed RNA polymerase; Short=RDRP; AltName: Full=Protein VP1 -MSDIFNSPQNKASILNALMKSTQGDVEDVLIPKRFRPAKDPLDSPQAAAAFLKEHKYRILRPRAIPTMVE -IETDAALPRLAAMVDDGKLKEMVNVPEGTTAFYPKYYPFHRPDHDDVGTFGAPDITLLKQLTFFLLENDF -PTGPETLRQVREAIATLQYGSGSYSGQLNRLLAMKGVATGRNPNKTPLAVGYTNEQMARLMEQTLPINPP -KNEDPDLRWAPSWLIQYTGDASTDKSYLPHVTAKSSAGLPYIGKTKGDTTAEALVLADSFIRDLGKAATS -ADPGAAAKKVLSDFWYLSCGLLFPKGERYTQKDWDLKTRNIWSAPYPTHLLLSMVSSPVMDESKLNITNT -QTPSLYGFSPFHGGINRIMTIIREHLDQEQDLVMIYADNIYILQDNTWYSIDLEKGEANCTPQHMQAMMY -YRLTREWTNEDGSPRYNPTWATFAMYVGPSMVVDSTCLLMNLQLKTTGQGSGNAFTFLNNHLMSTIVVAE -WHKAGRPNPMSKEFMDLEAKTGINFKIERELKDLRSIIMEAVDTAPLDGYLADGSDLPPRVPGKAVELDL -LGWSAVYSRQLEMFVPVLENERLIASVAYPKGLENKSLARKPGAEIAYQIVRYEAIRLIGGWNNPLIETA -AKHMSLDKRKRLEVKGIDVTGFLDDWNTMSEFGGDLEGISLTAPLTNQTLLDINTPETEFDVKDRPPTPR -SPGKTLAEVTAAITSGTYKDPKSAVWRLLDQRTKLRVSTLRDHAHALKPAASTSDFWGDATEELAEQQQL -LMKANNLLKSSLTEAREALETVQSDKIISGKTSPEKNPGTAANPVVAYGEFSEKIPLTPTQKKNAKRREK -QRRN - ->sp|P12918.1|RDRP_IBDVA RecName: Full=RNA-directed RNA polymerase; Short=RDRP; AltName: Full=Protein VP1 -MSDVFNSPQARTKISAAFGIKPTAGQDVEELLIPKVWVPPEDPLASPSRLAKFLRENGYKILQPRSLPEN -EEYETDQILPDLAWMRQIEGAVLKPTLSLHWGPRVLPKVLLNSPPEQGKAQCVPTRHCTTQADIYLFLQV -PEATESLKDEVTLLTQNIRDKAYGSGTYMGQATRLVAMKEVATGRNPNKDPLKLGYTFESIAQLLDITLP -VGPPGEDDKPWVPLTRVPSRMLVLTGDVDGDFEVEDYLPKINLKSSSGLPYVGRTKGETIGEMIAISNQF -LRELSALLKQGAGTKGSNKKKLLSMLSDYWYLSCGLLFPKAERYDKSTWLTKTRNIWSAPSPTHLMISMI -TWPVMSNSPNNVLNIEGCPSLYKFNPFRGGLNRIVEWILAPEEPKALVYADNIYIVHSNTWYSIDLEKGE -ANCTRQHMQAAMYYILTRGWSDNGDPMFNQTWASFAMNIAPALVVDSSCLIMNLQIKSYGQGSGNAATFI -NNHLLSTLVLDQWNLMKQPNPDSEEFKSIEDKLGINFKIERSIDDIRGKLRQLVPLAQPGYLSGGVEPEQ -SSPTVELDLLGWSATYSKDLGIYVPVLDKERLFCSAAYPKGVENKSLKSKVGIEQAYKVVRYEALRLVGG -WNYPLLNKACKNNASAARRHLEAKGFPLDEFLAEWSELSEFGETFEGFNIKLTVTRENLAELNKPVPPKP -PNVNRPVNTGGLKAVSNALKTGRYRNEAGLSGLVLLATARSRLQDAVKAKAEAEKLHKSKPDDPDADWFE -RSETLSDLLEKADVASKVAHSALVETSDALEAVQSSSVYTPKYPEVKNPQTASNPVVGLHLPAKRATGVQ -AALLGAGTSRPMGMEAPTRSKNAVKMAKRAQRQKESRQ - diff --git a/seq/clusters_seq/cluster_369 b/seq/clusters_seq/cluster_369 deleted file mode 100644 index 7ab8f75..0000000 --- a/seq/clusters_seq/cluster_369 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_010087188.1 membrane-associated protein VP24 [Mengla dianlovirus] -MAKYSSRYNLTPINQQVTEIDQLQTLVIWKRTPTVGGWEVQWGSFKFDVPNSGMALLHHLKSTYVVPEWI -QTRALFSHLFQNANAAIIEPHLALRVLIGVALKDQELQQALLPGLKSVLHMISEWLLLENSSTIFISSSV -VGEYITSQMIRSVHRGICFFFSKLFKLHVVNDQGKASSLEIIMTGQQVIITRVNMGFLIEVRAINASGRE -NESVVQNPIQFGLIVESVLREHCPPDNTGPLNLTQYVNSRLAI - ->YP_003815439.1 membrane-associated protein [Bundibugyo ebolavirus] -MAKATGRYNLVSPKKDLERGLVLSDLCTFLVDQTIQGWRVTWVGIEFDIAQKGMALLHRLKTADFAPAWS -MTRNLFPHLFQNSNSTIESPLWALRVILAAGIQDQLIDQSLVEPLAGALSLVSDWLLTTNTNHFQMRTQH -AKEQLSLKMLSLVRSNILKFISQLDALHVVNYNGLLSSIEIGTRNHTIIITRTNMGFLVELQEPDKSAMN -QKKPGPVKFSLLHESTFKALIKKPATKMQALILEFNSSLAI - ->YP_009513281.1 membrane-associated protein [Bombali ebolavirus] -MAKATGRYNLISPKQELEKGVVFSDLCNFVVSQTVQGWRVYWAGIEFEVTQKGMALLSRLKTNDFAPAWA -MTRNLFPHLFQNPNSVIEHPLWALRVILAAGIYDQLIEQSLIEPLAGALGLIADWLLVTSTAHLNLRARS -VKEQLSLKMLSLIRSNILKFIGKLDALHVVNYNGLLSSIEVNTNMHAVIITRTNMGYLVELQEPDKSALD -PRHPGPVKFSLLHESTLKAFTEKSTSGIQNLILEFNSSLAI - ->YP_009055227.1 membrane-associated protein [Marburg marburgvirus] -MAELSTRYNLPTNITEKSINLDLNSTARWVKEPSVGGWTVKWGNFIFHIPNTGMTLLHHLKSNFVVPEWQ -QTRSLFSHLFKNPKSTIMEPFLALRILLGVALKDQELQQSLIPGFRSIVHMLSEWLLLEVTSAIHISPNL -LGIYLTSDMFKILMAGVKNFFNKLFTLHVVNDHGKPSSIEIKLTGQQIIITRVNMGFLVEVRRIDIEPCC -GETVLSESVVFGLVAEAVLREHSQIERGQPLNLTQYMNSKIAI - ->YP_004928142.1 membrane-associated protein [Lloviu cuevavirus] -MARPSGRYNILQTDTFHENTVVKHDLCNFLVTTTITGWDVYWAGHLFHVPNKGIALLTRLKTSDFAPAWT -LTKNLFPHLFHNPTSTLKDPVWGLRVILAAALYDQVMEQSLIKPLSETLTLLGDWLLTTETQKFNLRTPR -AQEQISLRMLSLVKKHVDNFILKLLELHVINNRGFTSSIEIGNKCNTIIISRTNMGYLVEQQEPDKSALR -KTKPGPVKFTLVHEDAFRDFKPERSSINLLIMEFNSSLAI - ->YP_003815430.1 membrane-associated protein [Tai Forest ebolavirus] -MAKATGRYNLISPKKDLEKGLVLNDLCTLSVAQTVQGWKVTWAGIEFDVTQKGMALLHRLKTSDFAPAWS -MTRNLFPHLFQNPNSTIESPLWALRVILAAGIQDQLIDQSLIEPLAGALGLIADWLLTTGTNHFQMRTQQ -AKEQLSLKMLSLVRSNILKFINQLDALHVVNYNGLLSSIEIGTKSHTIIITRTNMGFLVELQEPDKSAMN -TRKPGPVKFSLLHESTLKTLAKKPATQMQALILEFNSSLAI - ->YP_001531158.1 matrix protein [Marburg marburgvirus] -MAELSTRYNLPANVTENSINLDLNSTARWIKEPSVGGWTVKWGNFVFHIPNTGMTLLHHLKSNFVVPEWQ -QTRNLFSHLFKNPKSTIIEPFLALRILLGVALKDQELQQSLIPGFRSIVHMLSEWLLLEVTSAIHISPNL -LGIYLTSDMFKILMAGVKNFFNKMFTLHVVNDHGKPSSIEIKLTGQQIIITRVNMGFLVEVRRIDIEPCC -GETVLSESVVFGLVAEAVLREHSQMEKGQPLNLTQYMNSKIAI - ->YP_138526.1 membrane-associated protein [Sudan ebolavirus] -MAKATGRYNLVTPKRELEQGVVFSDLCNFLVTPTVQGWKVYWAGLEFDVNQKGITLLNRLKVNDFAPAWA -MTRNLFPHLFKNQQSEVQTPIWALRVILAAGILDQLMDHSLIEPLSGALNLIADWLLTTSTNHFNMRTQR -VKDQLSMRMLSLIRSNIINFINKLETLHVVNYKGLLSSVEIGTPSYAIIITRTNMGYLVEVQEPDKSAMD -IRHPGPVKFSLLHESTLKPVATPKPSSITSLIMEFNSSLAI - ->NP_690586.1 membrane-associated protein [Reston ebolavirus] -MAKATGRYNLVPPKKDMEKGVIFSDLCNFLITQTLQGWKVYWAGIEFDVSQKGMALLTRLKTNDFAPAWA -MTRNLFPHLFQNPNSVIQSPIWALRVILAAGLQDQLLDHSLVEPLTGALGLISDWLLTTTSTHFNLRTRS -VKDQLSLRMLSLIRSNILQFINKLDALHVVNYNGLLSSIEIGTSTHTIIITRTNMGFLVEVQEPDKSAMN -SKRPGPVKFSLLHESAFKPFTRVPQSGMQSLIMEFNSLLAI - ->NP_066250.1 membrane-associated protein [Zaire ebolavirus] -MAKATGRYNLISPKKDLEKGVVLSDLCNFLVSQTIQGWKVYWAGIEFDVTHKGMALLHRLKTNDFAPAWS -MTRNLFPHLFQNPNSTIESPLWALRVILAAGIQDQLIDQSLIEPLAGALGLISDWLLTTNTNHFNMRTQR -VKEQLSLKMLSLIRSNILKFINKLDALHVVNYNGLLSSIEIGTQNHTIIITRTNMGFLVELQEPDKSAMN -RMKPGPAKFSLLHESTLKAFTQGSSTRMQSLILEFNSSLAI - ->sp|P41325.1|VP24_MABVP RecName: Full=Membrane-associated protein VP24; AltName: Full=Marburg VP24; Short=mVP24 -MAELSTRYNLPANVTEKSINLDLNSTARWIKEPSVGGWTVKWGNFVFHIPNTGMALLHHLKSNFVVPEWQ -QTRNLFSHLFKNPKSTIIEPFLALRILLGVALKDQELQQSLIPGFRSIVHMLSEWLLLEVTSAIHISPNL -LGIYLTSDMFKILMAGVKNFFNKMFTLHVVNDHGKPSSIEIKLTGQQIIITRVNMGFLVEVRRIDIEPCC -GETVLSESVVFGLVAEAVLREHSQMEKGQPLDLTQYMNSKIAI - ->sp|Q1PD62.1|VP24_MABVA RecName: Full=Membrane-associated protein VP24; AltName: Full=Marburg VP24; Short=mVP24 -MAELSTRYNLPVNVTEKSINLDLNSTARWIKEPSVGGWTVKWGNFVFHIPNTGMTLLHHLKSNFVVPEWQ -QTRNLFSHLFKNPKSTIIEPFLALRILLGVALKDQELQQSLIPGFRSIVHMLSEWLLLEVTSAIHISPNL -LGIYLTSDMFKILMAGVKNFFNKMFTLHVVNDHGKPSSIEIKLTGQQIIITRVNMGFLVEVRRIDIEPCC -GETVLLESVVFGLVAEAVLREHSQMEKGQPLNLTQYMNSKIAI - ->sp|Q6V1Q3.1|VP24_EBOZ5 RecName: Full=Membrane-associated protein VP24; AltName: Full=Ebola VP24; Short=eVP24 -MAKATGRYNLISPKKDLEKGVVLSDLCNFLVSQTIQGWKVYWAGIEFDVTHKGMALLQRLKTNDFAPAWS -MTRNLFPHLFQNPNSTIESPLWALRVILAAGIQDQLIDQSLIEPLAGALGLISDWLLTTNTNHFNMRTQR -VKEQLSLKMLSLIRSNILKFINRLDALHVVNYNGLLSSIEIGTQNHTIIITRTNMGFLVELQEPDKSAMN -RKKPGPAKFSLLHESTLKAFTQGSSTRMQSLILEFNSSLAI - diff --git a/seq/clusters_seq/cluster_37 b/seq/clusters_seq/cluster_37 deleted file mode 100644 index 147776a..0000000 --- a/seq/clusters_seq/cluster_37 +++ /dev/null @@ -1,1796 +0,0 @@ ->NP_115454.2 polyprotein [Maize rayado fino virus] -MSSFLRGGHLLSGVESLTPTTHRDTITAPIVESLATPLRRSLERYPWSIPKEFHSFLHTCGVDISGFGHA -AHPHPVHKTIETHLLLDVWPNYARGPSDVMFIKPEKFAKLQSRQPNFAHLINYRLVPKDTTRYPSTSTNL -PDCETVFMHDALMYYTPGQIADLFFLCPQLQKIYASVVVPAESSFTHLSLHPELYRFRFQGSDLVYEPEG -NPAANYTQPRSALDWLQTTGFTVGHEFFSVTLLDSFGPVHSLLIQRGRPPVFQAEDIASFRVPDAVALPA -PASLHQDLRHRLVPRKVYDALFNYVRAVRTLRVTDPAGFVRTQVGKPEYSWVTSSAWDNLQHFALQTAAV -RPNTSHPLFQSPFARLSHWLRTHTWALWCLASPSASVSAWATASALGRLLPLHTDRLRLFGFDIIGRRFW -PRLPFHGPEPRFLWETHPACRPPVLFADSAFECQILAGLANRCSPSPFWSRLFPTASPPSWVAYSALALA -AVPLAALALRWFYGPDSPQALHDQYHATFHPDPWTLDLPRRLRRFERESFMRTGSAPLPLSLPPPEGSLL -PVEPPLAPSDPEPALEPSPPAASVPAPAPAPASEPPPSPESVAPPVAVVAPAVQPARAPSPSPALLGAEL -RFGDLPPVSAWDSDPEISKLGESTQGTVFAVTPGPRAPEPDTARLDADPSASGPVMEFRELQKGAYIEPT -GAFLTRARNSVSSSIPYPTRAACLLVAVSQATGLPTRTLWAALCANLPDSVLDDGSLATLGLTTDHFAVL -ARIFSLRCRFVSEHGDVELGLHDATSRFTIRHTPGHFELVADNFSLPALVGASSVPGADLAEACKRFVAP -DRTVLPFRDVHIHRTDVRRAKNLISNMKNGFDGVMAQANPLDPKSARERFLMLDSCLDIAAPRRVRLIHI -AGFAGCGKSWPISHLLRTPAFRVFKLAVPTTELRDEWKALMDPRDQDKWRFGTWESSLLKTARVLVIDEV -YKMPRGYLDLAIHADAAIQFVILLGDPIQGEYHSTHPSSSNARLSPEHRYLRPYVDFYCFWSRRIPQNVA -RVLDVPTTSTEMGFARYSQQFPFFGKILISARDSAKSLADCGYHAVTIASSQGSTIAGPAYVHLDNHSRR -LSHQHSLVAITRSKSGIVFTGDKAAADGTSSANLLFSAVLLDRRLSVRSLFSALLPCCPFVTEPPTSRAV -LLRGAGYGVARPLRARDAPPLGPDYVGDVILDSSAPILGDGSANAPQVSTHFLPETRRPLHFDIPSARHQ -VADHPLAPDHSACAIEPVYPGESFESLASLFLPPTDAESKETYFRGEMSNQFPHLDKPFELGAQTSSLLA -PLHNSKHDPTLLPASIGKRLRFRHSEAPYVIAPRDEILGSLLYAAACRAYHRSPRDVEPFDPDLYAECIN -LNEFAQLSSKTQATIMANANRSDPDWRWSAVRIFAKTQHKVNEGSLFGSWKACQTLALMHDAVVLLLGPV -KKYQRFFDQRDRPSTLYVHAGHTPFEMADWCRAHLTPAVKLANDYTAFDQSQHGEAVVFERYKMNRLSIP -AELVDLHVYLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNIAVLHLEYAVGSTPLMVSGDDSLLDSEPPV -RDQWSAIAPMLALTFKKERGRYATFCGYYVGFTGAVRSPPALFAKLMIAVDDGSISDKLIAYLTEFTVGH -SSGDAFWTILPVEAVPYQSACFDFFCRRAPAQAKVMLRLGEAPESLLSLAFEGLKWASHSVYALMNSSHR -RQLLHSSRRPRSLPEDPEVSQLQGELLHQFQSLHLPLRGGHMPNPLAALFRLLQQSSSLGPTYAVAPIAR -APQVLPPSMADNATQVGPVPPRDDRVDRQPPLPDPPRVLETAPSHFLDLPFQWKVTDFTGYAAYHGTDDL -SASAVLTTLCAPYRHAELLYVEISVAPCPPSFSKPIMFTVVWTPATLSPADGKETDYYGGRQITVGGPVM -LSSTTAVPADLARMNPFIKSSVSYNDTPRWTMSVPAVTGGDTKIPLATAFVRGIVRVSAPSGAATPSA - ->YP_009553654.1 replicase [Grapevine associated tymo-like virus] -MFNFEVKSIAIVAGNNVHAFGVFLKPRLNSLIRGGGRGSDGINDGVNSMGTTMHRDAVTQDIANRLINDI -AEAKEICPYSMSPAAVDMLLTIGIPASRSFTASHRHPMHKSLENWILRKVVPNLVTFDCTVAWMKPVKFD -RLSNNEPKFKQLVNPVITPRDFARYRDTKRVASLTQSLLIHDAMHYMSKEEVYGLFVENPDLQEVIATVV -YPCEVLKRQQSLFPLLYDLTYTKEGFVYSMEGDDNDHYVQPYNDWVITTKYLVGKMFRITIERIEGFFGH -HVFVLRRGNYRAPDHYLSPMPNCVLLPDTNLLMKGERERLVPREIYDKAVHHARNLKALRAQDAIAKVRS -YQHDSAYGWVDPGAWEYLTRYVISAVAVLGDSPDIVSEIDTHPFILKLKALWKNRGFKLTLLVVSGGLAC -VLTPTILCWQLIPLATWIAKIIVGSELGLAGFVAYKIWPHWLSPAERERKRLAWLYPKRHTDLILLEERK -ISQHQVHELLCDMLSEGAEFPTFTPIPKAKPDSLISNGTDQSDPGPSVSEVACAKNEVDLDSVATVGSSA -NFTVTLRKNSGVGERDCALMNEENKHVEESEDSLFAEKSKLNCSSTFTAETVEHFSLKSDETITVRLPKI -VLTRVKDLKSEDKVVMDKNFLTVAGAELKDEKVADISLGLNEEVITKKKKKNRVKRNKKKDGSDVNSHLE -QVTIIPAEGIDGEGWLHKRFGVADDVALGQYSNCADDEILFDGDFEEVPLCLLDALENSTGVSRNIMIEA -LRILPKKSVVNEETKREGLSDWHAAALAYYFCWELNIYNAWVKRVMGVRNGAKVDIWHVMVGSMGHFTHV -RPRTATLAKGAEPGSLHGASLGTKRFQEALLAWRGGDDSAIPFLGFRGHVVDVERARFLARDMANGRTGI -LFGPAEKSTMDSDTQVRWKFLVDGQEQRLYKHRARVHVAAIYGYPGCGKTWPLAQVLNKVKGLDYRVAVP -TVKLRDEWLNMLQLVQHEKWRVNTYESTMRKNTEILIVDEISMMPSGYVDFLIAISPRLRCVLILGDVTQ -TDRHESHPDASCSRLLAESLYWRKYSPFYLGFTRRLSRHTAKLFGVNTFSTVEGADFRRETRGPGVILTA -LSGDVRMLAELGQSACTFPSSQGSTFDDYVQILMDRSALEKCSLGAVHTAVTRSRKGNILVGRLTGLWWK -AAISNPFWRAILLNEPCDWRIVFSDVLQDFHILNGPYKPNKLSGGLLVEHGFPLDMLPPMRRVGHTCLVE -ELPIEVEAFDEEVVDEPARVTWGQMDAKVLFDSVYKLRPVDAVSRLRRYKGEPSKQFCDGEPEGRNEDGI -YPEMVAARHKRADDTLLPLSVEKRLRFRPLAANKREVLSKSLVGQELFQSYCRLMELDPLRPFSFNEILY -HDCINFNEWHSLTNKTQAMILANENKSDPDWVWTFIRVFMKQQRKINDSTLNGDWKAGQTISNMNDQWLL -YLGPTIRYMSKLEKKYCPKRIYLHGGRSNIDLDNFCRDNLTVGKKYANDYTSFDQSQTGEVLASELLYMW -HAGIPEVIINCYESNKVDMFCAFGHLQTMRFSGEPATYAFNCRCNLAVLNLQFPLDRNLNVPIFVSGDDG -GLGTVLPERSTWNMISNHLTLQAKPLISDRMVFVGYICTHLGAIRDPVPMLARFYLADDAGKLHQIVQSY -ATELSTGYLMAEGVFEMLNDFELDCFFCLVRAFHLKHASSRLKFRKSALLGFVNLSEKALGRVGAGARLG -PDLRRDLVSYYWRLTENERAGVEKQVQNLVNANLYEFGRR - ->YP_009553723.1 RNA-dependent RNA polymerase [Fusarium graminearum mycotymovirus 1] -TKLTNKTQATLLNNADRADPDWRHTFVRIFMKSQLKVKLETILSPFKAGQTLASFQDSVILVTGPMTRYL -VHQSERLFRPQYYHHPGHSPLALSTWCQKHWKSRPLNSTNDYTAFDQSQTGEALSFELMMLRAFGIPDGV -ISYYTELKLELTCQFGELAVMRFTGEGPTLWFNGCFNTALVGVQYDFPSDTAIAVAGDD - ->YP_009553357.1 replication-associated polyprotein [Fusarium graminearum mycotymovirus 1] -MSQWPGLITLSPPPASCSWSRPSPTKSDNEKPASAVSCTHSSSCPSGPLSSSSESAGSGEPSLDRRALSS -IEHSQFVNAFNPVAPLTRANPPTTSSPSQSRPSPQTSRPKLAGPRGRKKPLSREDKAALLAEAYARTTAI -SPISNGLSFGARKDRTRNDSLDRALDKIASLNAATTKAALAKVARKTLRTNRVRTLDPSIPGLCYLNALP -KTLWAGVARVFEYEMTRSHLLLLLQTMDAARPRPNYTVNLRIDGDHLERAPPGKRQTDLLVRWLSTPGKE -STLVGAPRAHFSNALDVLGGTIHKDAVLDNYTQPTVNAINDSMRWCPNAIPEPNFSLLAGKGLPVDVNAT -GSHPHPAAKAVEEDLLRTLSFSLRDDTTVYFMKQEKFNRLQANQPRFSHLVNPVLSARDTVRFTPGYQRL -STPPSTPTVFLHDVGHYLSCGEVARLFDEFPAMQRLMFSAILPEEVMLGEPSWHPSLYRLEHLDADSYSY -ILSEDGESYEQPYSTLEWLTTKSITAPAGRLSVEVIHRKFSHKLFVVTRAEALVPRDWWVCDSPDDVVLP -HVDGRILRHSSQRVPRTIFQSVLLHSMSLSTQRLSSTIAKVRTFSSNPQYSHIDADTWVALAQTCYAMSL -EPASLEREAPFNSYAAFMLRRLRRFMHSHDWLLPFLVGGGFAISWGSLLLPVLGIRIPDWHVRSGLKIPG -WSYGAVAAAMSQVALCFFEGKYSSAVYALRAAAVAIPHLAYLLPDFTLRSGFTVPSFVGGLGLSFLTAVT -ISFFDGVSPHYEVHDFVARLPHRDQRRWRLRDVRIHREADVLWWEPLRSVPLEPENDDIPPAYSSDPLPE -DAEYRPFVNVPFFNLWRQHVHRETVPLTFPRDTWSINSTTGTGERLPYRSVHVSEASSSSGSTSSGDPPT -DADDVSMPGSATSSNSLDFATLPSIDTCSLLRCFRDGDSAGATTESSSFPQPQPPHDAPSFTFTDNSCVA -PSPVVSESAVPLGPSGADFPPSRPHVNLGATPTAPPPREGVPLRLQFDLGAAAVLEPDDLFSCDPPEDST -VLPYPLNDCLLRAIENTTGVDRQEVWRVCCRVLPRAELEGPEVDEGGLTTLCALAFAYEYRCQFHILGDL -QGHHPEFIGVSHPDFSRLNRAVYNVYFTPGHWSSGPEAPLRGSAPPPHTANVQPAHRRPTRFEQALVDLR -DHRGSPLFQNWYSYTTSPARAKPYVRDLKAGTTGTMRSNEGKDRVPKGFTASLDSMVDNHRPRQVLCAAI -LGAPGCAKSTSVRALLRQAWTQRGNTWKVSVPRVKLRKDWVDRLALGKLSFKVGTFETSMHTSAKCLIVD -EVSQFPPGYIDACLIKDSTITSVLIIGDVTQGGFHESDCDSTLNSSGCEALYFRNFCSLYRNYSFSIPRA -VSNAIGLPTASSTRGSIQLVTRADPRWPIVCASNSEVAMYSSQGFDAYSFGTVQGQRFEQVPIQIVISNA -TALLVSRGHFVSALCRSNVGVRFLFAGTHQAVMALQSEPFYASLFSARPRMSYTDLFREELRGLTLSQTP -GFWDPLNASDNPPPIFTARQYPNFTLFRGASSRSSRIDHRPALPEVLSADAPGCCWLQLLDEEELPDLKP -PHTCMTAQEVLDFVAREGVLLNEVDLFVPSFSHNHPYSNAPGGAMLHLRVNTRGIQAQELLRRLVRSGNG -HIPMGADATELPIDRASLPLQALLSAPPDFLPTSTEHDDNMERGPPTGNFVEDEEFLLLSFGELPDRSPR -ESYTQFDQSQQFRDDPWYQSNPSASNLEQVFPRHRGDDLVTFARTVEKRLRFAPRHTNLSRFHDRLFVGP -ILYNGWLRATGITHESIPPFDADLYAQCILENEFTKLTNKTQATLLNNADRADPDWRHTFVRIFMKSQLK -VKLETILSPFKAGQTLASFQDSVILVTGPMTRYLVHQSERLFRPQYYHHPGHSPLALSTWCQKHWKSRPL -NSTNDYTAFDQSQTGEALSFELMMLRAFGIPDGVISYYTELKLELTCQFGELAVMRFTGEGPTLWFNGCF -NTALVGVQYDFPSDTAIAVAGDDLAMNGVFPERPGWQFLRRYLTIEAKPETVKTASFCSWLLTPHGAIKE -PRVVFAKLMIARDRGEEAKSLPNLLNEVAVGYHLGDHVYEHLDDLSLATHFWLIRYFVRKAPHRFALLLT -TRSIEEVLAHIWHLVEQRTRTALRELDARFGQLWMLHSRPARLAASVLSRVRGSQLSGLRVFDHTFTRLY -TH - ->YP_009551972.1 polyprotein [Alfalfa virus F] -MSTNFQIIPLSFDDSSPSPSSPPNTPAPSPKIKYITPHLSVRFHLPVKRFPHSEPIFLSGGTLFQNAIDA -LSSTVHKDTITSPLLESITKPFRSSLQTFPFHIPPQHRPFLTDSGINISDFGSQAHPHPVHKTIELNLLH -NVWNSYATAPSGVMFMKESKFEKMKASNPNFLSLCNFHLTSKDITRYPDRPPSLPNLPKVFMHDALMYFS -PGQIVDLFLQAPNIQDLFCSLVVPPESSFTDLSLHPDLYKYSFEGSNLNYLLESNPAHSYSQPISAIEWL -KITKISHPDITLSVTRLDSVGPVHSMLISKSNFPFDAETDTISFKVPSAILLPEPNSLSQDPRHRLVPTK -IYHAIFAYVRAVRTLRVTDPAGFIRTQASKPEFSWVTSAAWDNLQHFALHTSPHRPISHYMLFRSPLQRF -QHWARTNSYYFYLASCGIALPFVSALSLTLSHLSRCSVHHFSLFRHWFVPPPNPHFLFPNFLHSFLLPKA -PFFSLQLHNPHPFPTLSKVLSFLLPTSFINQLKFLQPRSLPKHTLHCTLALASLPILAALYRWFSGPDTP -QALHDQYETYFHPKEWTLSFPRKAITVTRIPFLPTDPIPISDAHPEPSESEIPLFNSTPNEHSPSSSPEP -SPLPAVPPPSAIPPVQLPTVASNNPGLDPKRPSSSLLTAIIDPSTNAPATSSSLPPATTNPTPVQSEPFD -PFSFSAPQTAPRIVPACPPGFENILSDKFGALNSSTAPAEPHSEPETSPLLADQSAQGPVVMFGELYPAE -YHSNCDEFQTRARVNHSSNLPRPVINDCLLEAVSSATNISKDALWNALCTHLPDHFLDHNDIVRRGLNTK -HLTTLCFVYKLSCTIHTEGRSHLFGLKNSTNHFNLDHNPEGIGHFSHSTRPSHIQLNGARAQDLSHTILG -FRCSDSTLLPFKNIHSYSTHVSRAKNLISNMKNGFDGVMANVDPLHPSKARDSFLMLDQLLDVAASKSVS -LVHLAGFAGCGKSYPVQQLLKTPAFQNFKVSVPSTELRSEWKEAIKTKNSDNWRISTWESSLLKTARVLV -IDEIYKMPRGYLDLAIHADPTIEFVIILGDPLQGEYHSSNPSSSNHKLSPETSHLRKYIDFYCFWSRRIP -QSVAAFFQVPTLNSTPGFSKFVPSVPTNTPLLVNSQSASQILQSAGFRALTIASSQGATFSAPTAIHLDK -NTKSLSPQHSLVALTRSKSGILFTGDQSLLTPSSTGNNMFIYFSRSIPINIFHLFHKLISGLHIIRAPLT -SRNTVLHGGAIPSSRLSSNPINSSFIGDAFSTASIFSGDGLELNPRVSPHFLPETRLPLHFDLPSAQISS -AQPSDEVACSATPFTPVYPGEDFFSLAAHFNPNHDPELKEILYRDACSNQFPWVNLPFEISCQPSSLLAA -IHSSKNDPTLLPASIQKRLRFRPNSSPYQISTKDEVLGLILFNSLCRAYHRNPSDVVPFDELLFIECINA -NEFSQLSSKTQAVIMANSDRSDPDWRWSAVKIFSKAQHKVNEGSLFGDWKACQTLALMHDAVILALGPVK -KYQRLFDKQDQPSNIYIHASHTPFDLSLWCQQHLTFSPHIANDYTAFDQSQHGEAVVLERKKMERLSIPQ -FLINLHIHLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNLAVLFSEYNISSQAVLVSGDDSLIDSVPPTN -PSWSHIAPLLSLRFKKEIDRYSLFCGYYVSPAGAVRSPKALFAKLMIAVDDQSISDKIASYITEFSVGHS -LGQNMWLSLPLDQVPFQSANFDFFCRFAPRSLKVALNIGEVPSSIMELILPFLKHVTNPIWALLSSAQRI -SFLKLSKLYRQNRLPIHSQHEGELLPFSNSSSSSLDFAPLADSNNFYSNSDQVYHPHSRSLPSNPLFLSG -GSMALSAIEALAQLLPLIQGGRDLLASTSDVSSPSSAQAEKSPAGSSPDARVLRAPPLPSPARQLSTPVS -TSSLSIDLPFQWNFFDLTGTETKSASISIAGSSHITELLPQYRYARLINLELVVFPMAISMKYPQTVDVV -WCTADQTITDGKIMATYGSQRISVGGPLNMSSHSILPANLSSLNPVVKDSVTYNDTPKLCVRFYENSDCK -ALGITAPICASLFIRGNIQLSSPTATPSK - ->YP_009551952.1 polyprotein [Bee Macula-Like virus 2] -MAYQNVLDALSSTVHRDAITNPIIETVAKPLRDSLVAFPWKLPPASLGFANSLGINVSAFAAQPHPHPLH -KTLETHLLFNHWHHLCRHPSSVYFMKPQKFEKLRAANSNFADLTNFRFTAEDICRYPTSLPRYTPHQTAF -FHDALMYMTPGKIADFFLHSPALNNLYCSLVVPPESEYRLPSLFPFAYNISYLGSDLLYQLEQNASGSYR -QPLKALDWLKIRHIRCGDMILTVTVLESWGPLHSLLITRARFEQPISRYFLTPKIFLLPPPEVGELPLSS -RLVPREVYDALFNYVRSVRTLRITDPSGFIRTQRSKPEYSWVSSSAWDLLSEFALSTCTNRPFLRFFLPT -SRFQVFFNNLKLRYASVARFFSLIPPAVLAVPFVAPRALCLSLKLYGKQICGRLPLSCVELRYFPPFLFK -YPKWAWLLRLIPTRFWKLFCREPYHHCSLYMPPRALTAFSHRSPYTWFFSSVLVYSLWRFFFRPLSIQNL -SDSYLSYFHSDNWQLKLDTYDLTTTSEPFFPVTAVPLPLAEPEISLTPPPAPIPFEPLPDLPSASGTTLP -EPIAPVKDVQSPLNDDSTGNGPVLPYRELTSFPLAPHEGHFLSRRRNKPSELPIPTENICLFDALTSQTQ -FAKDEIWRALSEELPDSLIAGPLELSRGFSNDHLTVICWKLNFKAVVYSAHGIFSTGPESDLVVYLKHQG -GAVGHWTPAKPEIRGAVSRVIDSFSRKALDFKDPSGHLLPFRQIHHYSLVPHRAKNLASNMKNEFDGLLS -QLFRLNPNMDTGFFRKLDSRTDFASPKTVPLIHLSGFPGCGKSFPVARLLQTTPFSGSFKVAVPTTDLRD -EWKKMLNLRSNEAWRVGTWESSLLKQAQVLVIDEVYKLPNGYLDLCLVADPTIAFVILLGDPCQTSYCSL -SSDSSNHLITSEIKHLAPYRDYYCFWSRRIPKYLASLLGVPSYSTVPGFVSRKNVANRSIPMVVPSIATA -KTFLGSGIRCTTIAASQGTTISPPLQIHIDSNVKHLSHSASLVALTRSKSGVIFTGNHNLLLNAPGCNPL -FENIYQNKAIDFTVFFQSELSGSTYLLAPLTERPSPHLRGGAFSSISNRYHRITNFKYDPAHPRKPLPRE -AKPLSPEFSEDVLIDEQFLHSDHPSVIPQVATTFLPETRRPMHQDLPSALPTQPTLTDSLFTDTAIEPVY -PGSDYTLFFQNFVPPHDPADKEIRFKSTYSNQFPFLDLPFEFGTQSPSLLAPQHNSKRDPTLLPASIEKR -LRFRPTASPYSITSKDQALGELLFDSLCKAYKRSPSASEPFDPVLFAECINLNEYAQLSNKTQAVIMANA -NRSDPDWRHTVVRIFSKSQHKLNEGSIFGPWKACQTLALMHDALVLFLGPVKKYQRVFDTKDRPPNLFIY -GGQTPFDLSAAAQRLLRKHTVSVCNDYTAFDQSQLGEAVVLEIKKMQRLNIPQALIDMHVYIKTNLECQF -GPLTCMRFTGEPGTYDDNTDYNIAVIYSEYLITNEPIFASGDDSVIGWLPPPNPNWPTIKPLLSLTFKKE -YTTYPLFCGYYLGSAGAIRAPRTLLAKLAVATADASLPDKIASYLTEFVVGHSLGDVFWDLLPLEQVEYQ -AALFDFFCRNCSKEQKLSLKIGEVPQHLCVKMLESGFRWLSRPLYALLDRCSRFRLLKRAPMEFKGFQLE -PELEGVLQPFF - ->YP_009664770.1 replicase protein, partial [Wild cucumber mosaic virus] -AHGEPRTLCTTPTTTSPSCTLKYDLRDSPVLVSGDDSVVAGSPPEQPSWPSVRRLLHLRFKIERTPYPLF -CGYYVSPFGACRNPLALFAKLMICVDDGSLPDKMLSYLTEFSVGHLLGDSVSSALPPSLLSYHSACHDFF -CRHATPSQKLLLSNDPIPESKLLRLLLRVKWVSKAFFSLLPQKARDLLVSKSSLPSFMSDPKVSQLESSL -HLSFN - ->YP_009351862.1 polyprotein [Grapevine rupestris vein feathering virus] -MASPSNLATMLPAHLYQRASYSLWFNPSQQRPFEPLPDMVPANFPSLFRRIPTPLERSMPVRSLLGDFRP -SRFRPTTLTGGALPSGPIIHPAYSPPEPLVSIPITFTPAPPATPRAPVGITRRFYRPPLSGGGLKELVEI -LNPTVHRDTVCSPLVEAAAGPLRDSLQLYPYDIPQEHAKFLQNCGIQASGYGYKTHPHPVHKTIEIHLLF -EHWMSLCRQPSSVLFMKPSKFSKLQARNNNFSELCNYSLVARDITRYPEVSTTPPECSTWFMHDSIMYLS -AEEVAGCFQACPHLERLYASLVVPPESAFTDLSFAPSLYRYRFHGDQLIYRLEENPSHSYQQPKSALKWL -QTNSIQGNDIFLAITRLESWGPVHSLLITRGRPPVLTDDSLFFSSPDAVEIPAASSIQQSLRHRLVPSAV -YHSLFIYVRAVRTLRQTDPQGYVRTQSNKPEYAWVTSAAWDNLQHFVTETAHHRQRTTYYLFNSTLARLS -HWCRCHKLAIATSLTPAASAAIITILGGVDLLWKHSIKHLIIARRYLVGSAPLXARHLPRFLVQEHFPRF -SLALEPRVSAPVIQLPFRRSIRLPFILTIAEHFPALKRWLPRLSTPALLKAAFCLGLLTPPLLLLIRSFV -GPDSPQSMSDAYNRYFHPSRWELSLERSPASVLPSPPAFLPLLAKVDPSEPRLSDSPLETPLVPSPRLHP -DSPPASEKPAEPAPCAVAAQAPVLDASPPKAEETPAGLDLSATTLVEAKPPVPVLAADEPARPSNLIDPA -PTSEQLLVSHTGTLTHTTPAPVVVEPETAPLMLDASGAGAVAPFSSLHPGSYLPFSGVFSTRLRVSSLSP -APYPALDCLLVSVAGATGFPKESLWQSLCGILPDSQLDNDQIRNQGLSTDHFCALAFLHSLRCTFLTDAG -QQEMGMEDATSVFTIRHTSGNPGHFELVRSSARPLLNGARPAADVVEHMKRFKVDGKILPFCEAHTYTSH -VSRAKNLISNMKNGFDGVLANIDPQHTNEARDRLLSLDGSLDVAKPRTVSLIHIAGFAGCGKSYPICQLL -KTKPCRNYKIAVPTVELRNEWKDSLKSSPADRWRLGTWESSLLKSARIVVIDEVYKLPRGYLDLAIHADS -TAQLFIVLGDPLQGEYHSTNPNSSNARLSSEIKHLQPFMDMYCMWSHRIPRNVARFFRVHTSSQVEGTVT -TSLVLAPGWKQLTNSMNAARTLNDCGFAATTIASSQGSTYNHPACINLDKNSSQLSHAHSLVALTRSKVG -IMFTGDLSRLNPGSSSNLMFSKFKQGESVDLRSLFPFEFPCCPTITEPLSVRPKFCLGGAPWLASEHLKS -AALGPSDLPDLLLPEARIVLGSGDSNAPQVSTHFLPESRRPLHFDVASAIPEPAKPSGVDPLDLASITPV -YPGESFENLSRHFLPAHDPESKEIWYNNQLSNQFPHMDKEFHLSAQSSSLLAPVHSTARDPTLLKASIGK -RLRFRPSSAPYRITPKDEILGSLLFEAHCSAMLRSPSASVPFDENLFAECIALNEYAQLTSKTQAIIMAN -RDRSDPDWRWSAVRIFAKTQHKVNDHSIFGGWKACQTLALMHDAVVLLLGPVKKYQRIFDAADRPSNIFV -YAGHTPSEMADWCRSHLKPGERVANDYTSFDQSQHGEAVVFERKKMERLNIPAHLIDLHVHLKTNVSTQF -GPLTCMRLTGEPGTYDDNTDYNLAVLHLEYLVGSTPCMVSGDDSLLGREPPRNPIWPAVKPLLSLRFKKE -RTRYGEFCGYYVGCEGCIRSPVALFAKLMVCVDDGTIDEKLLSYATEFSIGHSLGDAFWNLLPIDSVPYQ -SAVFDFFCRHASEDIKVMFKLGRVEVSLFSHLLHKLSWLSYSTYSMLNSLQRRALKGKAVRQHFPGDSKE -VTELQGELLHTFSMSTTPRVLTGGLLLPVSAPMSNEAQLPVSGRPSRDDHRSDPQPTIPLAPKVQESPAL -SLSIPFQWVALIIKSDSAAFTVDLAASTTLKKLTDPFRSCEITNLEVVLMPTLNAFNNPVTLHCVWTVNS -IQPASGDELLYYGGQAITAGGPVSMNALASVPADLTRINPRIKSSVGYLDTPRLTGTTMKCATAQTLPLA -YVMIRGMVSVSGPMGIKL - ->YP_009505642.1 RNA-dependent RNA polymerase [Bombyx mori latent virus] -MAFTNLVDTLANTIHRDAITAPLVETAISNFRHKLQLYPYQVNSKLIPLLNQLGIGVTSYGTSPHPHAAH -KAIETHLLFEHWNHLARVPSTVMYMKPEKFQKLQQLNPNFASLINFRHTPKDITRYPVSNPHPVETEVAF -MHDALMFITPSQILGLFKDSPSMTSLYCSLIVPAEAAYGVPSLFPDLYSYTIKDDQLVYTLEGNATGNYT -QPLRSLDWLRRSGISSGDLHLSVTLLESFVSVHSLLITRVPQPPPSGEHVFLTPPASLLPNPEGLELPIK -SRLVPTEVYNSLFTYVRAVRTLRVTDPSGFVRTQRQKPEHSWVQSSAWDNLANFALLTCSARPSLEYGFC -YSSYKLLSLWIVRTLRSISAYHTGSLLTIPILHHLSPYQLCYRTHTFRWLPTHLDFHKTLPSLIHRAFAS -ITGRYITNSPEFPTSTFSINHLLQPFGKSLQKFPCHSLVIRPNPASFIVRCSTLLQRRWLLLGLSALTGV -WAYYHLRRGNSPQEKSDAYLSYFHPDPWRLTIRTSNVMAIPHSFFPDSGYSTSSPSRPPSPHPIVIEQPS -SNVSKHHQVQNQAYLTPAHPETPPSPSKQEIKSGETLATGFPNVATLSGPSEASKPDAPPTPLSSDPTAH -GPILPHKELFGISSPDHECTFLNRKRLNVSMLPFPAQPCLLVAFAQASNSTPQRVWAHLCTLFPDSLLDG -PLERSQGFSSEHLEALAWSLNYRVSYRHGEHLNTIGPDDAPLLSLIYTGDGSIGHWAADESPLSPPPSPI -RGSAKTLNSFASTAIRFRDSHGNLLPFRQVHEYKLCKPRAKIWPQHENETDGVIQSSLRAASSDPTFFHR -LDQRADFAPQVSVQLIHITGFPGCGKTFPVTQLLKTKAFKGQYRVAVPTTELRSEWKDHMKLPSSDAWRV -STWETSLMKSAPVLVIDEVYKMPRGFLDLALVADPALQFVILLGDPCQTVYSSVNPDSSNYRLISEVEHL -KPYRDFYCHWTHRLPLRLARFFGVSTTNPQEGFIGRRDNPHKSYPILTSSQQTARVCAGTGHRALTFCSS -QGSTFQAPAQIFVDSNVASVHVSATLVATTRSRSGVIFTGNHRLFQSRPGTAPLFEAMLNDQPFNFLNTF -ANELGGMELITSPIKERKTILRGGSYDFSAYRSYQKRHHRMTNFKFDPAHTRAPRSTSAKPLPANDTSDI -IIFAPELFDLQSSAIPRLDTHHLPETRRPLHFDIPSSLPTKVDISSAEPTDTAIEPVYPGCDYKTVAALM -MEPRDPDSLEIRHKGEFSNQFPWVDLPYENGAQTLSVIAPKHDSKYDPTLLSASIAKRLRFRPSFLPYRL -SPSDEVLGTFLFSSLCRAYKRHPNHKVPFQPDLFVECINLNEYSQLSNKTQAVIQANANRSDPDWRYTAV -RIFSKTQHKINEGSIFGPWKACQTLALMHDAIVLIFGPIKKYQRVFDSKDRPPNLFVYGGQTPYDLSRFA -SSHLKPLQIHVCNDYSAFDQSQHGEAVVLERKKMERLSIPSHLIDLHCYIKTNITSQFGPLTCMRLTGEP -GTYDDNTDYNIAVIYSEYDITTQTVFVSGDDSLVSPPPPAHPDWDAVSPLLSLTFKKEFVRNGLFCGYYL -GPAGAIRAPRALLAKLVLALDDRTLPDKIASYLSEFVVGHSLGDAFWTLLPADQVIYQSALFDFFCRECS -KEQKLALKIGEVPEHLCQTMLSLGFSWLSRPLYALLDRTARLRLLARTRFAPLFSDPSVEGVLQLDF - ->YP_009505639.1 polyprotein [Blackberry virus S] -MERSNSNLFASTSACFSLAIPISPLDFSPNAEAESLLPLQGAGFKDVIEALAPTSHRDTISSALLETVVD -PFRSSLQRYPWSVPTHHQTFLQNCGIDASGFGFKSHPHPVHKILETNLIHNTWSCLASTDSAVMFMKPSK -FAKLQAAQPHFTELHNYRLVAKDSTRYPVTSSHVPNIETIFMHDTIMYYTPAQVLDLFLQSPKLQKLYAS -LVVPPESDFTSITLYPELYRFRFDGHSLVYELEQNPAHNYTQPKSALVWLKTTTIIGPDFSLTVSILDSQ -GPLHSLLIQRGEPPLHSRHDTAEFRTPPAILLPAPSSLNQDVRHRLVPKKVYDAVFLYVRTVRTLRVTDP -AGFIRTQSSKAEHSWVTSFAWDNLAHFALQTASHRPRTTYYLFSSALARLSHWCRNHQLALSWASVVAIS -PAAALSSLSVSKFLRSELNSLAIFRRWIKAPPHFLFAPKAPFLSMSFRALRTGPLLFANTGFQTRLFPTA -AQNFCSANPLLASFFPVKPLHRGAFLASLLAASVPVALLLVRQFVGPDSPQSMHDAYADLFHPADWRLIF -NRKPLFASPEPFLPITTVPAPVDLPQIESIAIPAPSPAPPSPTSLAPTPIPPVTSTLDPAPASASAPAAT -PPAIAAPAPAPEPQAEALSLLIPSEHQPAAPPPIPELLPAAGQLQLESSGVVSNLTPAAPEPAAPEPSPL -QADSSARGPVQLFSELFPGSYIGTTGAFNSRYRASGRAPTPYPAGTDCLLVTIEQATSISRRDLWETLVT -NLPDCLLAPCEISKHGLSTDHFAVLAFFYSLRVTFLTSHGPVDLGMSDATTNFRIDHQPESKDLMGHFSL -HQDSKPVPTLNGGTGSELATAATRFNLDGCLLPFNTVHTFVTAPSRAKNLISNMKNGFDGVLANIDPHHT -STARDRLLCLDGIIDVAKPRRVRLFHIAGFAGCGKSYPIARLLKTPLFREFKVAVPTVELRAEWKDLLKI -RPGAQWRLSTWESSLLKSARILVIDEVYKMPRGYVDLAVHADPTIELVILLGDPLQGVYHSTHPSSTNSR -LSPETHYLSKYIDFYCLWSHRIPQDVAKFFGVHSTNLAPGFSKWVPNLSPSSKILTNSQNSMKTLVDCGF -ASVTIASSQGSTYPGATNILLDRNSALLSHSNSLVALTRSKKGVVFTGDRKMLEEGPTSNLLFSRYFTGK -VVSLAGLFPSELPKCPHLTVPLTSRSVKLSGAGLYDHAIPFRSASAPMIKSSVTSDVILDPVRPFLGDGD -LNAPQISTHFLPETRRPLHFDIPSGKPSSDSPASAEPTVPVHEPVYPGETFENLAAHFLPCHDPEDREIH -FRGQLSNQFPHINRPFELACQSSSLLAAVHSERDDPTLLPASIPKRLRFRPSSAPYRLTAKDEVLGSLLY -EGLCRAYHRNSFTVLPFNETLYLECIALNEFAQLSSKTQSVIMANSKRSDPDWRYSAVRIFSKAQHKVNE -NSIFGNWKACQTLALMHDAVVLLLGPVKKYQRMFDAQDRPAHLYVHAGNTPAQMSSWCQNHLTDTVHLAN -DYTAFVQSQHGEAVVLERKKMERLSIPQALIDLHVFLKTNVETQFGPLTCMRLTGEPGTYDDNSDYNLAV -INLEYSASHVPTMISGDDSLLDFEPPRRPEWSALEPLLALRFKKERDRYATFCGYYVGKAGAVRSPIALF -AKLMIAVDDGSLGDKLISYLAEFAVGHSLGDPFWTLLPLEAVLFQSACFDFFCRRAPPALKISLKLGEVS -ESIMARLGRGLNWASSAVYSMLSSAQRRALLTSSRQGRSLPDNPEVSKLQGELLQSFQFVPQPSMNNSLL -LPLFGGLSPSLAAHPMPGPNVTSQVGPAPPNDDRVDRQPSLPLAPRVAEVSPLHAHIDYPFQWEVGTYSG -DKAAFVSDDLSASKTLKTLTAGYRHAEILSAEVDFVPLAPSFSKPISVGAVWTIASISPASAHEQSYYGG -RLLTLGGPVLMSSTTRIPLDVSRINPVIKSSVSYSDTPRISYTVYSAAGTANTALISVIIRGIVRLSGPS -GNTVA - ->YP_009345914.1 replicase polyprotein [Peach virus D] -MFKNIIDSLSSTAHRDTVSSPLVEAVSQPLRDSLTSYPYAVPSTALQFLASCGISVSGFGHKAHPHPVHK -TIETHLIHDVWPHYATTQASMMFMKPSKFLKIQRRCPNLAQLYNYRVTAKDATRYPETSPDLPNTPTAFM -HDALMYYTPAQVLDLFTKSQNLQRLYASVVIPPESSFTDLSLSPSLYQIQFEDDTLVYQLEGNPAHAYRQ -PRSALQWLSTTTIRGPDFDLTISILDSWGPVHSLLIQRGRPHMHEDEDTVYFKVPKSVALPEPASLRQDL -RHRLVPAEVHDALFVYTRAVRTLRVTDPAGFVRTQCSKPEYSWVTSAAWDNLQHFALQTAPHRPHTTFYI -FPSMYSKLKHWLRTHTKALQLSGIAAASACASLSAYLAARLASCSVASLSIFGRWIRPPPTAAFALSKTV -GFPQKAPSFSLSLCRKPAPPFGMKLLLKPLANHQWLRPLYGDAPVPRFWIKASLAAVTVAAIAAALRHFL -GPDTPQHMHDKYMALFHPQPWQLKIRRGVIRTNPSPFLPFQPAPVLAPSDSSDEEDDSRFRPTLAPVPSP -TTAPSSAQASSQAEPATAAPAEPALPIQISSAPAETPQAVEELKRSLRANQADGRQPEPAPEGPSSPKPL -PDSALLPPSGSEPILEPSQPDSAGPTTTPPVVSSSSPPPGFTTSVSPSNAAVPHTDPPPHSSLLYGESQI -SVQRDGHVVGLHTTPEPIPDEISALLKDPTAAGPVLQFHQLHPGAYLEATAAFPARARNGLPATFPYPRN -DCLLRTIHAATHIPLAALWECLCVQLPDSVIDYPLIERRGLSTLHFSVLARFFSLRATFLTPGWKQPLGM -EDATQTFTVLHTPPTATTIGHFELVADGSEAPPAQLAGAGAKDLALIALSFRTGGHLLPIAHVHNYTTAP -SRAKNLISNMKNGFDGVLANIDPHRTHEARDRLLSLDGIMDLAPPKQVALIHIAGFAGCGKSYPIQKLLT -HPAFAQHKIALPTVELRNEWKAAMKPRPAQVWRISTWESSLLKSARVLVIDEVYKLPRGYVDLAIHADPS -VEFVILLGDPLQGEYHSTHPDSSNHRLSSELKHLRPYLDYYCLWSRRIPKLIADFFQISTLSEAPGYVRY -MRSFSASAKLLANSIPTAKTLQQVGFNAITISSSQGSTLDGPAFIHLDRHSNLLSHHHSLVALTRSRAGV -VFTGDRSLLSGLSSSNLIFSALAANKPVSLLALFPSEFYGLPTITAPLTNRRLVLSGGDPYAHRLPIRGP -TDPGPSPSTRDDVLLTQEAIVSGDGELALQRVDTTFLPETRRPLHQDVPSAMPEPAQPSPIKFSDTAFEP -VYPGEDFYALAAHFQPANDPETREILFRDQMSCQFPFLDEPFEISCQPMSLLAARHREKKDPTLLPASIP -KRLRFRPSNAPYQITPKDEILGGLLFNSLCRAYRRNPNDTVPFDEALFAECIALNEFAQLTSKTQATIMA -NANRSDPDWRYSAVRIFAKTQHKVNDASIFGSWKACQTLALMHDAVVLLLGPVKKYQRVFDQEDRPSHIY -VHASHTPFEMSEWCQANLTDAVHLANDYTAFDQSQHGEAVVLERKKMERLSIPEHLIELHVHLKTNVSTQ -FGPLTCMRLTGEPGTYDDNTDYNIAVIYSEYACDSVPLMVSGDDSLLDFEPPTLPNWAAIRPLLALTFKK -ERSLYPTFCGFNVGRAGALRAPRTLFAKIAIAIDDGSIDEKLASYLTEFSVGHSLGDQLWQLLPIDAVPY -QSACFDFFCRKATPAQKLVLKIGEIPMELLEAAFEDAPWASHAVWALLRGANKSKIIAARKSRPMPESSD -VSMHQGRLPSTFQGFQTHPLMTLSGAQSAANIALLVSSAVPDLEPMSNAQVPQVGPRPDSDVRVDRQLPL -PAPHPGPPPPLPSKNPDVIVPFQWVISDFDGTDLVHSTIDLSASTTLKKVTAYFRYASVQSVEALIIADA -AAISKPIPVSLVWTINSLTPDSGKELDYFGGQRIVAGGPVSLATRNVIPADLTRLTPIIKDRVTYSDTPR -LTWTAQKVAGAAKGTTLCSLVIVGTVLLSAPTGTSI - ->YP_009337794.1 hypothetical protein [Hubei macula-like virus 2] -MSSLSNNMQILSNTLHKDASTHPLLTHTLKNLHTSLHAFPWSLTSEQVELLVNLGINVSPHGTLPHPHPV -HKCIETHVLFNHWNHLAVQPSAVCFMKPSKFAKLSNSNPNFIELHNYIIDARDPTRYPSISSSLPTTPYL -FIHDALMFISPNEIIDLFSNCPNLTHVFGTLVLPAESIHNIPSFYPDIYTYHHENGNLHYFLEGNPSPHY -TQPKDAAIWLTTHTINGPNFSLSVVILESWLSVHSILITKYQPRVPTYKHYFRIPKTSLLPNPTNLNLPL -HSRLVPTEVHASLFNYVRAVRTLRTSDPSAFIRSLRSKEEYRWVHASAWDQLVQFALATHNVTSPSLFAF -IETFLRQILNHAKLTFLQFIPNPIHHAFFWLLAKALSYITPKPYSTIFHVASIISPLLHTYWLFSHPSPP -QVILANYNQYFHPDPWLLFADRYSIVAMPKPFLSLTLQTPPPSPTSTPPPQPTQQTQPALPAPPPVQTSN -PLNSTPFAPPSSLPPLQTPQSAQPTILSTSTPVLPPIVSPPITTCNNPDPLLTDPTAIGVILPFNQLYPG -LSMPSHVCNFPSRLRDPNAPLPSYPITQVCLFDALSTLLQQPQHNLFQILAQYLPDSLVVGPEEKTSGYS -TDHLDILLYHFDQPLIIHSSLGDEPHGPKNSRNLLHLYHFTGHWSAQPPSQPPLRGSGLSPFANAVLSFR -CEDNSLLPIANVYVHKVNYHRAKNLSSNLKNENDGILLTQLKQSVNDNKLLSKLDSMMDNPVSRHVELIH -IAGFPGCGKSHPVASLLKCKTFRNSFRVVVPTVELRSEWKDKLELPDFESWRISTWETSLLKLAKVLVID -EVYKLPNGFLDLAVALDPTLQFVILLGDPCQGTYHSLSPHSTNHHIYPETEYLSKYRDFYCLWTHRTPQV -IANLLNVRSSSKENGQISRSNTLNASFPVLATSMAIATHLNQNGFRSITYAGSQGLTLNRLTYLCVDRYI -SLMSPGVTLVALTRSTKGIIFNGNYDLLGNLGYTNTLLESIFRGEKIDFQHLFHQQLRGSRIITQPIMSP -TDRKMLRGGAILITKTAKIDINSSIDFLRQDSQLRSNPELIPLHDNFYLPPSRLVLSQSFSSSLHPPAKP -NNTPFAPTPFEPSYPGYPFETYFANLPDPSEPESKEKWYKDKRSQQFPILNKGSPYSPFPANIVAPIHDS -KKDSTLLPLSINKRLRFRPDNKPYQITELDHYVANHLFQSYCETLNTTIDIKVPFDAHLFAECINLNDYN -QLTKKTRATLVANAYRSDPDWRFTFVRIFSKTQHKINTNSLYTSWKACQTLALMNDLIVLTLGPVKKYQR -LINDPLRKSNIFTYGGKTPFDLSSFCQNHFTPKSTVANDYTAFDQSQLGESLCLEVLKMKRLSIPNNFIE -LHLTLKRNLYCQFGPLTCMRFTGEPGTWDDNTDYNLSVLFTLFQITNQAVLVSGDDSCINPIPPFNPRWN -SIKKLLLLKFKLEYTKFPIFCGYYVGKAGALRAPTALAHKIHNALADDSISDKHLSYLSEFAIGHSLGEQ -LWTLLPHHEVLAQSALFDYFCRKTSKKNKLLLYVGPPKQEHLNLLTFDIESYQALRQYQRANLLSKHQPP -PLAPTLEPVLQQIFNN - ->YP_009337784.1 hypothetical protein [Hubei macula-like virus 1] -MMNFSNNQENLKNSLFGDAVNQPIARHSAAYIDRSLDLYPWALSPSLTQLANQLGIPVSSAAVRQHSHPF -HKTVETYLIHEHWSALATRPSSVMFMKEHKFEALQARNPNFVRLHNYNMEPKDATRYATLSSELPSTQNL -FMHDALMHITPAQILDLFERCPHLDNLYASLIVPPEASIGLKSFYPEVYDFTVLGDRLLYFLEGNPSAGY -DQPLSADFWLKHHELRSDKVTLQIVLLESWLSVHSLLIVRSNRPCKRNRNVFRTPELSLLPQARNVSLPL -HARIVPYGVYDNLLSYVKAVRTLRDSDPHARIKNVREKAEHRWVHSAAWEHLIRFALASHKLNPPAEFAM -HSSIIDFLSHTIKNKFLESMPSWKAIGCFCLSAKWLAKFSGRRVVYPVAFLLPALKIYQEYLKFTAPQFT -SDEYIDYYHESRYTLHFDLVSTYLPSEPFLHLPLISPPKPSTAPVFDSTDPLNATKAIDPTLTQLSEQSI -EVDDVPCSNIAPPNDLVNFTNLYPQFSCDEEMLLFTRYPEIEKPTIPSQNTCLFQALADLTCHTPAQLFD -RLAEYVHAEQLVGPEQLQFGWATEHLQALAWIMKWRVTVVTDRGHRQTHGPTDGLLLTIYHLSNPAHWQA -HPPSQIVRLSGKGVSPFANMAINFRCDGNLLPFLKVHRYVTSPHRAQNLATNLKNGHDGIYTHQQRLREF -TADVLRRIDGVSILSPPRSIEMIHLSGFAGVGKSYPTRQFILSHAKVRQNFRVVTPTVDLRNEWLRELAL -PPSEKWRVSTWETALTKFAKILVVDEIYKLPNGYLDLILLLDPNISFVILLGDPCQGDYHSLNPASTNSQ -LRSEIYHLQPYRDIYCMYSYRIPKNVARFFGVRTYSNKEGVSYTSRRIASELPVVTPTRNLRDALAADNH -QAHTYSSSQGLTFDAPCQINVDRYVSAVSPSSTLVALTRSRSGIILTGAYDELAATSCGNTMLHSFYSNV -PIDFRSVFQKQLGNSIIVDAPITSRSNLKLSGGAVYSNLTNKQLKVASLDHCSSTVELKNDGRHPYSIHS -FDHVPPSKMTHLSLFEPSSCSVIDSASSDPLETPCEPAYYGFPYETAMAQLLPSEDYDSKEIYFRGVRSN -QFPTRELPGEYFGPVDPHLVAPIHNAKHDPTLLPASIPKRLRFRASQSAYQPTPEDHFIANFFFQSYSKM -FARDPLQRIPFEPELFAQCISANDFAQLSKKSQAAIMANASRSDADWRLNFVEIFTKTQHKINSNNLVSG -WKACQTLALMHDAIVLVFGPIKKYQRIINARTLKPNFFIFGGKTPVDLSNYVKGRFTTAPSVANDYTAFD -QSQGGEALLFELLKMRHLSIPEEFIDLHSHIKRSLSCQFGPLTCMRFTGEPGTYDDNTDYNAAVILSQYD -IRNETVLLSGDDSVVHPVPAPNARWHEISDLLKLKFKIELTRYPLFCSYYLGPAGAIRAPLPMLHKIHCA -LHADDLPLKLPSYIAEFAIGHSLGDAFWTLLPDFEHDAQAALFDFFCRRASKQLKMLLKIGPLSPQHKAL -LEDLSVSTYKELTQHQRIQILHGRARNPIREQQNQILF - ->YP_009315883.1 ORF1 polyprotein [Grapevine asteroid mosaic associated virus] -MAAVVPSPAFSSSSLHPGSPAVEIVPPPSPECPLTSGSLSPLRPLSPPLVSPPESLAPITPEPSSLPQHP -LSPAHFRRLLNHVRLSGGGLMDVIQSLAPTLHRDTIASPLVEAIAEPYRRSLATYPWAVPPDLHGFLQQC -GINFSGQGFQAHPHPAHKTIETHLLHDSWPHLASTRAAVMFMKPSKFAKLQAAQPNFAALYNYRITAKDT -TRYPTTSSSLPTEETAFMHDALMYYAPSQILDLFVSCPHLQKLYASLVVPPESDFTDVSLYPDLYRYRFQ -GSDLVYELERNPAHNYTQPRSALQWLKTTTIRGEGITLTVSRLDSWGSVHSLLIQRGTPPVHAECDTISF -RAPKAVVLPNPSSLTQPLRDRLVPKDVYTAIFVYVRAVRTLRVTDPAGFVRTQCSKPEYSWVTASAWDNL -AHFALSTAPHRPRTTYFLFHSLHARLSHWVHHHTLALTTAGACVASVLAFAGCRIAASLSNQQLRSLACL -RRWIVAPPHLPLFRPKAPMLELTLRPRVTGPLVNLPVLRPFRLFPATCARLGARHPALALFLPTRPLARS -WIPYALGAAAIPLALLAVRWFLGPDSPQAMHDQYHAMFHPPDWHLTLDRKPLFTHRQPFLSFEPAPTPEE -PLPATAAVRLETPPAPSPAAQAEPSAPAEPTPTRPAPLKPILVAPPAPQPTAPASQPEPPSTSAAPTIVI -PASPRALQAEADRIHDVKMADRTPTEIATVAAAEALAPFVDATPAAAPPPEPASQAQTPPEEVDPAGQAA -LASLGLAPSLPANSSPPQSAHLEPSGAVHATTWDQASAPSSDWDASGLGRDGSANGPIALYSELHPANYL -PSTGDFLFRDRANGRANLPYPTMDCLLVAVEQATRIPKEALWDTLCATCPDSMLRPEDIRREGLSTDHFA -VLAHHYSLAADFHSASGIIPIGMADASAKFAITHTAGSGSAPGHFALRLPDSPKLNGGLAQDLAVAALRF -NLSGALLPFRTAHPYTTWPRRAKNLSSNMKNGFDGVMATINPAKPSDAREKIIALDSSLDIAAPRSVRLI -HIAGFPGCGKSYPIARLLATPAFRNFKVAVPTVELRAEWKDLLKLPGNAAWRIGTWESSLLKMARVLVID -EIYKMPRGYLDLAIHADPTIEMVIALGDPLQGEYHSTHASSTNARLLPEPRHLAPYLDYYCLWSHRIPRN -VAAFFQVPTSSTEEGFVRHVRELPGDSRILTNSQNAGHTLQQCGYAAVTIASSQGSTYPGAACIHLDRNS -SLLSHAHSLVALTRSRKGVLFTGDLGILTGHTTSNRMFAEFYSGKSRPLHDWFHAEFPTATIIHEPITKR -HSNLKGATNAYARAIPIRQPAQPALKPSSMADVVSSAEIVVGDGSVNQPQVSTHFLPETRRPLHFDMPSA -RAEPADLPQSTEPSTTAFEAVYPGETFENLAAHFLPAHDPSDREIHWAGQLSNQFPHINKEYHLAAQPLS -LLAAIHSEKDDPTLLPASIQKRLRFRPTPAPYFISPRDEILGQLLFEGLCRGYHRSPLSSEPFDPVLYAE -CICLNEFAQLTSKTQAVIMGNARRSDPDWRWSAVRIFSKAQHKVNEGSIFGPWKACQTLALMHDAVVLLL -GPVKKYQRVFDTRDRPSTLYLHAGHTPSQMSAWCQRNLTSKVKLANDYTAFDQSQHGEAVVLERKKMERL -NIPQQLIDLHVYLKTHVSTQFGPLTCMRLTGEPGTYDDNSDYNLAVINCEYFASSTPVMVSGDDSLLDCE -PPRRPEWVVIQPMLALRFKKERSRYATFCGYYASSVGCVRSPIALFAKIAIAVDDGSISDKLASYLAEFA -LGHSLGDSLWQALPLSAVPFQSACFDFFCRHAPPELKLSLKLGEVPEDIIARVGANLRWATHAIYTTLSS -AARWAILHSRRSTRSQPEDPDVSRYQGELLHHLQSVPSSRMNPDTLLPLKGGSSAPQLTPEAFSLALAQS -MASPNVQAGPPPPSDDRTDRQPPLPRAPRLVEDASAIPFIDYPFQWVVASYDGSAAKNLTDVLSGSKTLS -TITANYRHAELLSVELEFAPLAGSFSKPITLSAVWTVGSITPATTTETSYYGGRVITIGGPVLMNSTTAV -PADLRRLNPIIKDQISYTDCPRFSYSVYANGGTAGTNLVTVLIRGVVRLRSPSGNLLA - ->YP_009272813.1 polyprotein [Bat tymo-like virus] -MYSEILKSTGSLHLILISKTKPLVSQTTACFQTPPSVELPAPDCLSTPLRDRLVPKKVYENAFQYVRSVR -TLRTTDPAGYVRTQMGKEEYSWVTASAWTNLTQFILRTSALEPNSRHSLLFRPWQMLSRFLWTHSRPIHY -MASLIPICISPWLSKIAVFLSNNSFNSLLFGRSTIFGRPCSFDIRLYPLVSLFRPFTFFTIYRPSFVVNN -PINESLPLFLRSRASLNFVPLVRIAFRSSTLRFFPRPHPFAVSRGTFLLAFSISILSLLHVYFRTKFHPQ -AVNAMFHAYCHDRLFILKTARSAVNCFPTAQPFGVTITDRSMLPSPIRLDDKAIAPPMPNHSSDIVNPIP -ELASPAIEAPLEPMVKAAPALDLPCSQTLCPIPDLIDLSSDVENDPNPLTLNCLDLDPSPSGPRIINHSG -CILRSSHPIVHCVSSDLSLSAGLAAQIRPFCPPDFFKMTPRVGSAVFMPSVTFGHIIHLITKPRRFDKPT -LETLRLSLINSLPQLDSHSIREFSIPHLGCGLDHLSWNDVLPMIISLFDSTYIIHIYDPSSIESSPEPKS -TDSSPELQQPPLISDDSASGPVLPWNTLNVMPDDPLISFSSRQRSNPILPPFPKSNTCLFQSISELTEIP -QSQLWTSLSSSMPNSLLVNATTTQFGFELFHAAALCYLLRFRLHVHSTQSASFIVGPVDAPSLHNLYHAP -GHWSSVPQLSPAASTPRTRPYDAFEQALLDFKHQEAYLPFKKFHNHPINVARAKTLSSNLKNQFDGILRQ -VLVTSGDQKLFHKFDSIVDFSKSRSVRVCHLSGFAGCGKSAPLAAFFKNSPYIRELRVAVPNNDLRNHWK -KLLHLPNSAAWRVGTWETSLTRRSRILIIDEIYKMPPGYLDLICLLDPNISHVVFLGDPLQGTYHSLSSE -STLKTLTPETIVLRPYLDYYCAWTYRSPSSIARLFDVEPLSKEPGNVFYSRSHSSRLTHLTAALNSSSTL -VQSGIPSQTVASSQGLSFDHLAIHVDRSWFSLSRNLVLVALTRHRKTITITGDITSMRNKYAFNPVFSSI -LDGKVLPLSSWSELDHADVLRAPLTTRSRTFIGGSSSFDSISKRYNRMTNFIGDPAHPKKIPLLTPGQPR -PYLHTTDHLTDASHLVFPSPGVIPHVDTSHLPETRRPLHQDIPSALPEPPKLSTSDPSSAYIEPVYPGID -SLQLMALFQEQPLDRFDSEILWREEFSNQFPNLDVFSEGSSAPNHIAPIHQPSKDPTLLPSSIKKRLRFR -PSTSPYQLTDHDILLGHQLFSSWCSVYNFSTNDTFSFDPLLFAECINDNEYHQLTSKTKATIAANHERSD -PSWRHTVVRIFAKSQHKINDSSLFTSWKACQTLALAHDAVILLLGPVKKYQRLVFSKKQPPHLFIYASHT -PTDLSDWARIYFSESTPRVCNDYTAFDQSQGGEAVIFELLKMDLAGIPNFFRDLHFSLKTRIECQFGPLT -SMRLTGEPGTYDDNTDYNLAVLASRFILHGVPLCISGDDSALSKVPADNPLWPSISSRLLLQFKLEITNY -ALFCGYYIGPAGAIRSPRALFAKLYIAHNDLSISDKMASYVTEFSVGHSLGDDLWSLLPASSCIYQAACF -DYFCRFAPPHLKDAFKIGEPPSSILALVTSSLKYLSRPLYYLLPSFLRLRMRQLNPNLLPSLSPDSTPSL -SYIL - ->YP_009268923.1 replicase polyprotein [Grapevine Red Globe virus] -MASSNKLAISFPASSVPYSKEFPDHSFWATPGSNDFTPYPSMTPTNFPHLFLRIPTQLERSIPIRDLLGD -FRPSRLIRPDLSTPPNSRLTLTGAAPTPSPFKGLLEALAPTIHKDTIAAPIAEAAARPFRSAMTMFPWAM -SPEHRDFLRQCGITVPDLSTKSHPHPVHKTIETNLLHNVWHHYATSPSAVLFMKPSKFDKLAKANPNFTE -LHNYHVVPKDITRYPTTSHEFPTTPTVFMHDALMYFSPSQILGLFLECPTLTNLYASLVVPPESDFTDLS -LHPTLYKMIFQGDQLVYHLEDNPAHSYTQPLSALNWLKYTQITDGQLTLFVSILDSWGPVHSLLITRTPT -PEAPKKDSVSFKVPSAILLPAPESLRQDIRHRLVPKTVYQNLFAYTRAVRTLRVTDPAGYIRTQSQKPEY -DWVTSAAWDNLQNFSLQTAPHRPIAHYFLFRSPLARLRHWIRTNDYYLQLTASAVTAPLASTLTFFALRL -HTRKIEALSVFHHWFKTPTHLLLKPKAPLFCLTTVEDRPELFTPLLRGLRDALPWNRFFFPARRMPSLTT -PAVLLAASIPLAYMAYRWFVGPDPPQQLHDAYHQYFHSKEWSLTFQRQPHHCAPSPPLHLIRSSTQPESD -SSFRPIIPEDLPTDPEPVPILPPPLPPVGPMLIPAPRETEDELDRPAPPAVSPPPVPSAPTPKAARPTPP -NYPPTPMGRIDPPTLKTQADSTPAAPPPNPTKKAMSLRPNAPSFALPIPSETRTFIEALSSSSGGIAPDT -PATNQLVPEAPVAPELDSPLLSDPTGHGPAKPWSAIFPRDYASDCGSFLTRERNGPHSAQPYPAARDCLL -VAVSKALDIPTQTLWNSLCQHLPDIHLNPETTKLGLTTDHLTVLATIYNFLARVEHANGVLDIGIIGSPT -IFTIKHTEGNPGHFEYSPAPPPLALAGSRHSDLEAYLLSFRLDGHLLPIQKIHKYRSHLSRAKNLISNMK -NGFDGIMANVNPHHPSQAREHFLALDSQMDIATARTVSLVHIAGFAGCGKSYPVQQMLQRTIFSHYKVAL -PTTELRAEWKRNLKIKNSDNWRISTWESSLLKRARVLVIDEIYKMPRGYLDLAIQSDPTAELVIILGDPI -QGEYHSTHPSSTNANLTSEVTHLRPYIDMYCLWSRRIPKDMAAFFNVPSLSDEPGHNGFRLKIPQFHPVL -ANATSQAKTLAQLGYHAITIASSQGTTFRSPVVVHLDRNSSQLSMSHSLVALTRSTAGTIFTGDNSMLQG -SSGNTMFSLYHAGKNVDLLTLFPKQLTGLPLIRAPISKRKTVLAGALPSHASLPNLPAPPSVLTRFQGLK -PHHCGDVFFNAPVIIGDGLDHSARISTHFLPETRRPLHFDLPTALPSAIAPSAVAITSSASEPVYPGEHF -ETLASSFLEVIDPDSKEKIIKGTRSNQFPWVNNDFVLGSQTSTIIAPVHNSKNDPTLLPGSIAKRLRFRS -STSPYQISPADELLGNLLYSAWCDAMQYNPDAIVPFDEALFTECINVNEFSQLTSKTQSVIMANAYRSDP -DWRWSVVRIFTKTQHKVNEASIFSDWKACQTLALAHDAVILLLGPVKKYQRCFDNKARPSKIYYHASHTP -FELSQWCQANMKHQHHLTNDYTAYDQSQGGEAVVLERLKMLRVSIPQPLIDLHVHLKTNVDTQLGPLTSM -RLTGEPGTYDDNSDYNLAVTASKFILDPEIHSVLISGDDLDISPPPPIRPTWPILEEMLSLRFKTEISRY -GLFCGYYLGPAGAVRSPLALFAKLIIAVDDGSIEEKKASYLSEFAVGHSLGQDMWTLLPENQVPYQSANF -DYFCRHCPPSWKLALRIGSPDLSFLDSLNLATTHLTSATFAMLSFAARRLYKAFRPEKHFVSEVSANLPH -NTELLPDFNSSIAHLSDTVPEPLEHPLAHIDPASIPLDTLSIFRAADGISPLSRPSPLRASLQDQCFPFR -GSCAPAFGLGCSAWRPL - ->YP_009222597.1 polyprotein [Nectarine marafivirus M] -MTPSNFPHLLARSSPKSSRTPAPTPAPRLVGGGLREVLAALAPTTHRDTVAAPLLEAVATPFRDSLRQYP -WNIPERHHPFLESCGINVAGHGFKAHPHPVHKTIETHLIHDVWPNVATVPSAVMFMKPSKFDKLKAIHPN -FEALFNYRLTAKDTTRYPTTSHDLPNFETLFMHDALMYYTPGQIVDLFLERPKLQKVYASLVVPPESQFT -DISLFPELYRFRFEGDQLVYELEQNPSHNYIQPKRALDWLTTTTIRSADLTLTVSRLESWGPVHSLLIQR -GVPPVHQEVDTVSFKAPRAVALPEPSSLHQDLRHRLVPKDVYDALFIYVRAVRTLRVTDPAGFVRTQCSK -PEYAWVTSSAWDNLAHFALSTSPHRPKTTYILFHSSFDKVVHWLKTHKLLMTSAGASLLSVASSSLFLAI -GRVLNSHVHSFAVFRRWLKPPPHLLWPPKAPLLELSLRARSTGPTVLSGTPFAFKLLPKTFCRLGASYPS -LAKFLPKCPIPRKYLWLSIAAASLPLIALGVRFFLGPDSPQSLHDRYHALFHPEPWELVLKRGPVHVARA -PFLPFTPVDHVPLDSDSPAIPAPPVPQPQAPLSPVTPAPVSRDSPTSDPPGRDAEEKAVNAVLSLPSPPS -QPPVRPPSPSVQAPASSDDLADRRDAENLAQALSSLGLFTPDPHSEPQQPVVGSSGELHAREWGQEDAPA -SDPESSPLLRDPSACGPVAMYSELHPGNYVPGTGLFQFRDRASGRAPVPYPSMDCLLVAVEQATRLPKEA -LWDTLTSACPDSFLNPTEIAAAGLSTDHFAILARHYSLRATFHSGPSTFTIGMEDATSTFAINHTPGQGK -LPGHFSLRLDHNSPKLNGGLAQDLAVAALRFNVDGQLLPIRSVHSYRTWPARAKNLISNMKNGFDGVMAN -IHPTKTTEARERILALDGQLDIAQPRTVRLIHIAGFPGCGKSYPISRLLKTAAFKDHKVAVPTTELRAEW -KDMLKPSPAHVWRLGTWESSLLKAARVLVIDEIYKLPRGYLDLAIHADPTIEFVIALGDPLQGEYHSTHP -NSSNSRLVGETRHLAPYLDYYCLWSRRVPKLIADFFHVPTSNENPGFARYSRQFPTDARVLTNSQNAMHT -MNQCGYQSVTIASSQGSTYSGAACIHLDKNSALLSHGHSLVALTRSRTGVIFTGDPSLLKGASTSNTMFS -LLMSGKTRPIQDWFHREFPTCPVLKEPLKQRHNRLTGAHGETLTDPYCLALPIRLSSSPCIKPTEVSDII -SADTVVLGDGALNRPQVSTHFLPEARRPLHSDLPSAVPSSELSPSSADFTTPVHEPVYPGETFENLAAHF -LPAHDPETREIVFRNTMSNQFPHLNKDFHLSAQPSSLIAAIHSEKDDPTLLPASIGKRLRFRPSDAPYQI -TSKDEILGQLLYEGWCRAYSRNPNAEEPFDEALFAECINLNEYAQLTSKTQAVIMGNARRSDPDWRWSAV -RIFSKAQHKVNEGSIFGSWKACQTLALMHDAVVLILGPVKKYQRMFDQRDRPSHLYIHAGHTPTEMSTWC -KKNLTTAVKLANDYTSFDQSQHGEAVVFERKKMERLNIPQHLIDLHCFLKTNVSTQFGPLTCMRLTGEPG -TYDDNSDYNLAVINLEYAASAVPTMVSGDDSLLDYEPKVRPEWNAIKPLLALRFKKERGLYATFCGYYCA -RVGCVRSPIALFAKLMIAEDDGSISDKLASYLMEFSIGHSLGDELWQALPLATVSYQSACFDFFCRRAPP -ELKLALKLGEVPEEVVARIGHFKWATHATYSLLNSAARQILLHSSRNPRSLPEDPDTTKYQGELLQTLQL -SAPLHSMNHSTLLPLTGGSSASAPSLTPLQFSEAVTQSMASNGQVGPPPDRDDRVDRQPRLPVAPRVAEV -DLQAPTVDYPFQWVISSYDGSAAKNLTEDLAGSATLSKLTANYRHAELRSVELEVAPLAAAFSKPISISV -VWTIASITPATTTETSYYGGRLITVGGPVLLSSTTRIPADLSRLNPILKSSVGYKDTPRLSYTVYANSGT -AGTNLCSVIIRGILRLSAPAGNILA - ->YP_009160324.1 polyprotein [Bee Macula-like virus] -MSNSSSSAVQALSASIHRDAYLNPVLQHAAPELCSALDRYPYQLESSLVDKLRAFGIGASHLATKAHPHP -YHKTLELFYHHSVLPNLLTQPTTVLFMKPSKFEALARLHPELTELRCQVLTGRDLSRYTSTQAXKINTPM -AYLDYALMFYTPAQVASLFLRNPQLHTLYATLIVPAESSFTDYSFEPSIYHFTRSEDGTLLHYYLERSAN -GHYEQPSSALDWLSINHITSNDGLDLTVTLHSTHASHHLLIISRQSAKFTDVERAFDTPDAVMLPQPDDV -HLPDAHRLVPRAVYDSLFSYVRSVRTLRESDPSGLIRQHRNKPEFAWVSPAAWDALIQYAHATYAYRPGL -RFTLKETWFSQLRCRXARWLDPRWNLYSGVXYIALAGGAFYYLKYCAKKGSFILPTXQLFXKXPSXCKRY -CMPFADILPERPTGXELLPRIWWPVRNCHWLLLDRLHSRLHPAPTXXLWPHFQLXFIPATFQWFSLRTHL -LAVSLPPIXHALFRRFGPXHSYQAYTQLAHPKPYQLLIPCHGVHVXPSAFDFVPGLPYHRALSPPTVPEX -TXTPLXXTPAPAPKAEVSLXPFINSIMEDSDVXEAACXAXQPRAATEIXXQXZAPXDSXXPTELGASXSP -RPAQXXPPSSPLPQSPPSQPPVPMAMGTEPNRFSLPEFXASAPTGVQAVSAAPFCSPVGPPTSAPXLDAP -ISPLDSDPSGAPGIAQPFHLIHNYPPSSDIMWPSKRRVLPASTLPIPANRCLLDAMADVIPHRSASSLWA -LLCGMLPDCQLDNDEIRTIGLSTEHLSALAWRLQAHFTVVSDHTATYGNPRAQADRHFTIYHGSNHFSST -PVKRGARTPGXRAVRHSDYAALHKFVTESGHRLPFQKFHKYTTHRDRAKNLISNMKNLHDGVLLSTDATN -LHERMLQLDRCIDVSRDRSVSLIHLSGAPGCGKTAPLAZAIXXLGWXHRXRVAVPTTNLRPEWRHHLRLE -ESQGYRVSTWETALTKTAEILIIDEVYRLPNGYVDLAILSDPNVRLVILLGDPVQAHYHSTHPASTNSLI -QPEYKHLAPYRDFYCAYSYRLPRQLSRLLGLGAFGKNEXMLVTXTNHPDVRHRILVLSQHVARALSDMGY -HAITWASSQGLDLEGPAVLHIDRNIRLTSENIILVALTRSKTGVIFTGDISHLRQSSGLLHALLTNQPYS -YVTAFSEFIGSSNXLYEPLPARFVGATSXPPPLLPRGAKVDHLSTFDVLFQREPLRSERRRQSGPPPHSS -SLLPPSRAPLHHAIAPVIPSETSXTASGIESPPITTVIPGECFETLASSFMPAHDPSSRERLFRGELTRQ -FPYLNREFTLGXQPLSLLAPIHSPSQDPTLLPSSIDKRLRFRHSHVPYEITANDEFLGQQLFQAWSXLQR -LPDSVPFDPHLFAECINLNEYAQLTNKTKNVIANNADRSDPDWRYTWVRIFAKAQHKVNAASIFTDYKAC -QTLALMHDYVILTLGPVKKYQRVIHARYRPGNIYIHAAHSPQGLSEYCQRHLKSQLALTNDYTAFDQSQH -GEAVVFEREKMAQLGIPQQLIDLHVFLKTNVETQFGPLTCMRLTGEPGTYDDNTDYNLAILSLRYLLTHQ -HVVFVSGDDSAIFPPPSDNPRWKVIEPLIALRFKIQIDRYPLFCGYYLGPAGACRDPLALFAKFAIAYDA -NELELKMPSYIAEFSIGHLLGDAVSSLLPPSHILFXSAVFDLICRRATVDQKTILNIHTAPEHRLLRFLQ -RARYFTYTAARILQAALGXTPIVNASGDLPLEPTVEGELLLPGHDGTPARGARSXAH - ->YP_009159826.1 polyprotein [Varroa Tymo-like virus] -MSTTRALDLLGASPMQRDTLLTPVLNAAVQDHVASSDTFDLALPERQSELLVNHGVPLTGTGLKAHPHPF -HKTLETFTYRHTLRHLLHDGDTAVLFMKPEKFRRLQRLGLPFRRLLTQRLTSRDVTRYRDSEPLLFDEPQ -ALLDYAGMFYEPADIASLFHHNPQLQTLIMPIVVPAESASFTASWYPEEYTFVIHGEDLVYYLEGSANGA -YTQPRAAVNWLSVNEIRTPDFTISVALHSTLRSHHVLVLSRGSLPYPEKTRAFDCPDAVLLPQALLVDVP -PSDRLVPRPVFDSFTAYVRAVRTLRSTDPAGYLRTQRNKPEYSWVTSAAWDNLQNFVTRTAPLTNALDHK -LLANRWQALKAFVVKHKKAFIRFLMVNSIASSISVAVAIATSAITGVTVGFAVAIPVMVAALWFYFWMTA -PYAPSVTHDHYKRLICLEPYRLTVKCEPVYVLPSARSDMFDHAVKVDRPEMAGWPRDSEFTLYPPKTLRD -DSPSTLPVPPAANPRPSRIPVLVRPRPAEATRASIPAPDVSDAERDQASRHIWAALADFDPRELIAPEAP -APSDIVSDTLDPPPPADLQTFESAPADNETLGNFITRDVDLPSTASDRRDRNVGPLLEPYYLIHGLPPNP -DISFVTHRRDLSERAPPMPSNNCALQALAGARPGLSTTALWAQLCQLLPNDFLQTEEVRRIGLSTEHISA -LAYHNNLRVTVAGDADGVYGPDHGHPVLIHYDHAARHFQKLPLLKGGRSKKVGKRIAAGKYHALLEYRTP -EGEYLPFKTFHTFRADINRAKNLASNIKNGTDGILADIDRGASRADNQTRANALDRLMDVPTNRAPVQLI -HISGMPGAGKTYPVARALRDLRYTKRLRVVTPTTALRSEWKEKHLRVDSSDSWRVSTWESALSKSAEILV -IDEIYKLPRGYLDLAVLVDPLVQLVILLGDPAQAEYHSQDEASTNSRLSSEVTRLRPYRDYYCLYTYRLP -RQQADLLGVTSFAKQNHFLTGHSRNPNPHRLTLAPSHQAAKHLSDVGRPCITYAASQGLDYDGIVNVWVD -KAVASITPGVELVAVTRSKLGITFFGSLSSLQYRADGLLKCMMFHEKWNFAQHFHRELAGSELLRAPLKQ -RVLAGGHILRKASALRGLLPAPTSHADVVRHASVVLSSREENPLHQDTVFLPETRRPLHFDLAAVIPSDP -RPENPEPPTTPFTPAIFGEDFDTMASAFLPQEDPDSKEIRFRGDWSNQFPHVNKPFELGPQNSSLLAPVH -SGKQDPTLLVASIDKRLRFRRTESPYEITTHDEIQGHLLYSSWLRVNGYSDDPVAFDERLYADCINQNEY -AQLTSKTKATIAASGERSNPDQPLNFVRIFAKSQHKVNANSLFTGWKACQTLALMQDALVLVLGPVKKYQ -RAYDQAHRPDNIYVHASHSPQELSDYCRRRLRTSRSVTNDYTSFDQGQRGEAVVFERLKMERLSIPRALI -DFHVWMKLNVTTQFGPLTCMRLTGEPGTYDDNTDYNLAVLGLRYELTPRHTIFVSGDDSAIVPPAPESPD -WASVEPHVTLTFKVEASEKPLFCGYYLGPAGAARDPLALFAKLAIAYDDGSWRDKLPSYIAEFSIGHALG -DEVFSLFPPEYERFYAAVFDFFCRRATRIQKMALNLPLHDSSTLERILAKSKHLSRAAAQFLARRGFPVR -VPGAPDEDLVTGVLLSVSHDPPVPQPRWKNSQCSSPPLKPSKGCSAADPISPSLPRTLPSPPPRRSPPRL -SAGSKTSSTRWSRHPCPSPARLRRSAPAWNKTRSSTFRSNSTCGPFRTTRRPAARRSLVQFRRSSTSSRP -TAVPAFSSLRPWSLPPPTSPTAALRSSLRGSRPTLRLSVRPRSTCRALSLSRTQAPPSAARRPLFPRPST -LSTRWLRTAWRTSTPRSSTSASSRPAARATSGDSSFAAPSKSHRPRSSRCSPLRNGLLPNHFTTPWIPSP -PSLNQSPKSTGSSPSYWRGSGRTAADLRMPTRSARPSPPSAALNTR - ->YP_008318042.1 putative polyprotein [Tomato blistering mosaic virus] -MSFQAALDALSSTSHRDAATNPILNSVVTPLQESLTTYPWLLPKEVVPFLLASGIPNSGLGTTPHPHPTH -KVIETFLLYNHWSCLATQTSTVMFMKPSKFSKLQALNPNFSSLCNYRLTSADTPRYPETSLAYPSTQTVF -MHDALMYFSPSQILDLFLKSPQITSLYASLIVPPESDFTDLSLFPQIYQYSVTGSTLHYVPEGHHAGSYN -QPIHALDWLKIHSILSSQLNLSVTKLDSWGPVHSLLIQRDLPPNHPSRNLNLGQAISQEIPLLRNRSPRL -KKRGSPTTHIQSALSALFPAQANADVLVSFKIPDCLELPQATFLQQPLRHRLVPLQVYNALFTYTRAVRT -LRTSDPAGFVRTQSNKPEYSWVTPNAWDNLQTFALMNAPIRPRVFYEFFLNPFQRLKLHFRQHWQKYLIL -SSPALSTLVLSPLLLNLKSPIPIPTLCSAFHKQFKAPSHLDLHLPFNKKAVHVPLPGWAQNLVFFLQKNA -PFLAPTPPFRPILHWQRPPLLSLLPSPKTTLPLLSLIPTSLYVVHRIFGQLPLQQIHDVYHTNLHPDQFD -LSWSLVPYQANCSTPFLPYLLPSETSSQQPAPPRPFSTPLTPPTTIPPPPVVPEPATGANSPSSPKPSTI -SPPPCSSIPSTTPVIPQMSNSSDVPLLDIDPSTSEPTVTFTGAINHGLSDNPVKPEFPSLESPEPPLMLD -PTAAGDVVPFHVLYPASYYANTAMFQTRARVVPSSGLPIPPLNCLLVTVSQQSHYPVESLWDALQSLLPD -SLLSNPEIQNIGMSTDLLTALCYHFHLQAVLHTPNGDHPFGIITSSTTLHISYSPGPPRHFSTFVRLTGS -LPGSNPTSEPLVRQALRFKHNGHYLPFHQAHSHEVSMTHAKNLISNMKNGFDGILSTITRPSSSGPSPQQ -SILILDSIRDTSTSRSVPVIHIAGFAGCGKTHPIQQLLKTKPFHDFRISTPTNELRSEWKKDMDPSPANL -WRFSTWESSLLKHSAILVIDEIYKLPRGYLDLSIVADPNLKLVIILGDPLQGEYHSISPHSSNSRLPSEI -SRFRSYIDCYCWWTYRLPKAIANLFHIDTFSEKRGFVSTTHTHPPGSKNLVNSMSTAAALNSLGHHAITI -SSSQGVTFPEANTILLDRHTNLLSPNNCLVALTRSRTGVLFIGNLHLASASFGTNYMFSQALSGQPIDLM -SCFPHLFPHLPIMHSPITSRTVRYVAGQSPLPSVNFSLRGKLNKRTVLPPHIPLDHDLDVLLTNPVVHGS -SLDERLPTNHLPPTRLPLHTELISTNPSSATLSEVPSHFNTPFSHAIAGETFENLSAFFLPAHDPELREI -NFKDSSSSQFPWLDRPFSLSCQPSSLIAAIHSPASDPTLLPSSIKKRLRFRESSTPYSITSHDQILGHHL -YNSLCSAYNRSPSTIEPFNPELFAECISINEYAQLSSKTRATIVANHSRSDPDWRYTTVKIFAKAQHKVN -DGSIFGSWKACQTLALMHDYIILILGPVKKYQRIIDARDRPPQIYIHCGHTPQQLSSWSQSHLKGSVFLA -NDYTSFDQSQHGEAVVLELLKMQRLSFPPFFLSLHLHLKTSIETQFGPLTCMRLTGEPGTYDDNSDYNLA -VIYSKYSISNHPIMISGDDSVICGSPPLNPQWPNLQKLLHLKFKTEQSDRPLFCGYYVSPLGACRNPLAL -FAKLMICVDDLTLPDKVLSYLSEFSVGHALGDSVTEVIPSHLIPYYSACHDFFCRNCSPSQKLMLSLDPI -PESKLIKLILKVRWASKSFFSMLPQKARDILVSTHSIQSMPFDPKVSQLESELLPNYN - ->YP_007517183.1 viral replication protein [Andean potato mild mosaic virus] -MSFQTALEALNSTTHRDASTNPILNAVVEPLRDSLSQYPWLLPKESLPLLLSWGIPNSGLGTTPHPHPIH -KTVETFMLFNHWLYLARIPSTVMFMKPSKFSKLRLLNDNFTTLINFRLTAADTTRYPETSLSYPTTECCF -MHDALMYFSPAQILTLFSESPNLQTLYCSLVVPPESHFTDLSLLPEVYSYQISGNTLHYIPESHHSGAYN -QPLQALSWLKVASISHSSLQLSVTKLESWGPVHSILIQRGLPPKPSRNLHPRVAPTLLRSNPSNNLLQST -ALLFNNSEPQDNLVSFRIPDCLELPSATFLNQPLRHRLIPTAVYNALFTYTRAVRTLRTSDPAGFVRTQS -NKAEYSWVTPNAWDNLQTFALLNSPHRPSVSYQFFLSPFKQLQLHFSQHWRTYLLALSPFLSSFPLVPLV -MNLNFPIPTPRLLSAFHHHHQSPSTLIVRPFSTGPFQPQLSLPYPEKLARLVAFLHQRNLLPKPPFVPKI -EWKRRSLVSFIPKPNIVLPTISTALLSTPLLLKFFHSLTPQQLHDRYHLNLHPPKFQLSWYLQEHHVSNL -EPFLPYPLLPIPPVDHSHPTPRPLAFPRINPELHQLNPPQESQPQNSDLASSSSISSIPLSTRTVDHSPI -TIAPTEPRSQRAIDDASPSPEPDPSNSLEPPIPASISTVLPSGALTFESSPIPSTCLNSTPEPSTAHSSL -SEDPTAVGLAKPFSMLFPADYFPMSAEFITRVRHVPSSQLPMPKLNCLLTSFSALTFTPVAALWDSLQSK -VPDSLLSNPEIESLGMSTDLLTVLCYVYHVHCILHAQTGVYHYGIASSSQVINLHYEPGPPSHFSTTPRV -VASRPHSNPGNTPLVRSALRFKYNGHYLPFHQAHSHETSLMHAKNLISNMKNGFDGILSTITTSKSGPSP -RERITTLDSLIDVSSPRQVSVIHIAGFAGCGKTHPIQQLLKTKPFHDFRISTPTNELRSEWKRDMAPSPE -NLWRFSTWESSLLKSSQILVIDEIYKLPRGYLDLSILSDPSLQLVIILGDPLQGEYHSTSPHSSNHFLPS -EVQRLRTYIDCYCWWTYRLPTVLADLFGVNTFNQEKGFVRALSSHPPNSKNLTNAINTATSLQQMGHHAI -TISSSQGITFNEPHTILLDRHTNLLSPNNCLVALTRSKIGVNFVGALQLASNSFGTNYMFSQALCGGFVD -LAQIFPYLMPSLPKLHEPIKSRNQRFVAGHSFFPVVNRRLLLRSHLPVTLPPHIPLSHTKDHLISNPVVL -GSSLDSRLETNHLPPTRLPLHFDLEPSIPSQPTSQSISILFPTPCSPGIYGETFENLAAFFLPAHDPDIK -EVLHGDLKSNQFPYLDQPFHLSCQPSSLLAPVHSPARDPTLLTASIKKRLRFRPSLHPYQFTPNDQLLGS -LLFNSLCRAYNRNPASSVPFDSNLFAECICINEYAQLSSKTRATIVANHQRSDPDWRHCAVRIFAKAQHK -VNDGSIFSNWKACQTLALMHDYVILVLGPVKKYQRVFDSLDRPSHIYYHCGHTPTQLSQWCQQHLKHSSY -TTNDYTAFDQSQHGEAVILECLKMQRLNIPPFLISLHSTLKRNVSTQFGPLTCMRLTGEPGTYDDNSDYN -LAVIYSQYSLSANPILISGDDSVIAGSPLVSPSWPQIEPLLHLRFKTEHTKYPLFCGYYLSPLGAARNPF -ALFAKLMICVDDGSLEDKKLSYLSEFSVGHLSGDCIQAILPPSLIKYQSACHDFFCRNCTPSQKILLSLD -PIPENKILQLLLKVRWASQAFFSYLPQKARELLISRSSLPSLHSDPKISLLESELLPFFN - ->YP_007517180.1 viral replication protein [Andean potato latent virus] -MSFQTALEALNSTVHRDAATNPILNSVVEPLQQSLVQYPWLLPKEVLPFLLSSGIPNSGLGTTPHPHPTH -KVIETFLLYNHWSCLANQPSTIMFMKPQKFQKLRNLNNNFTHLCNYRLTPADTTRYPTTSLHLPNTPVVF -MHDALMYFNPAQILDLFLNSPIINSLYCSLIVPPESDFTDLSLQPILYQFSISGQTLHYVPEGHNAGSYN -QPLHALDWLKIHSILSRELNLSVTKLDSWGPVHSILIQRNLPPLHPARQSPINHSLSSLFPQHNRAPRAL -RSQRDSSQHLQSALQALFPPPQAAHALASFKIPECLELPSATFLNQPLRHRLVPLQVYNALFTYTRAVRT -LRTSDPAGFVRTQSNKAEYSWVTPNAWDNLQTFALMNAPIRPRVFYEFFLNPFQKLKLHFRQHWKKYLTL -FSPVISILVISPQLLPIKSPIPKLQLVSIFRSQKLPFSHIKLENPLYLKKFLPPKLTQRRNFSIPIPIAL -GNILLHLHKINPSLAPVPPILPRFQTIPVLLRDLPPRASTTIPLLALVPTCIISWLHLFSALPLQQIHDL -YHTNMHPSQFRLQWDLQPMHVDSPQPFLPYLLPAPTNPSTFPQTPLPVTPPISPPQAQRATPPPAPSPSP -SSPAESEPPIGLVSSSTLPPSSTTHQTPPPLPNLSDDLLQVIPPSSDTPPSIPPDSTVTYTGALNHSISP -TPFVPQHLPAEPIIPPLMADPTCAGDVIPFHNAFPGLYFSNTASFPTRIRILPSSNLPVPALNCLLETFS -QLTHYPVLSLWQSLCSMLPDCLLDNEEIRTVGLSTDLLTALCFTYHIQCILHTPSGNHPYGMPASSTSIE -IDYLPGPPRHFSPHNRFIASAPGSNPSSEPLVREALRFKHNGHFLPFHQAHLHSVSLQHAKNLISNMKNG -FDGIMHSISTSSSSSPSPKQQILTLDSICDVAQPRTVPVIHIAGFAGCGKTHPIQKLLASKPFKDFRIST -PTNELRSEWKRDMDPSPSNLWRFSTWESSLFKHSSVLIIDEVYKLPRGYLDLSILADPNLKLVFILGDPL -QGEYHSTNPHSSNIRLPSEIDRFRRYIDCYCWWTYRLPKLVAELFQIPTFSSEQGSIIAVSSHPPGSKNL -VNSTSTATSLQQMGHHAITISSSQGITFPEINTILLDRHTNLLSPNNCLVAMTRSRKGFAFVGNLHLASN -SFGTSYMFSQALARQPINMANCFHSFHQLLLLHHPITTRNLRFVAGHQSTLPNVHKAHQKLSTSGKLSLP -PHIPIDHAEDFIITNPVVFGDAIDPRLPTNHLPPTRLPLHTELLGTNPSSTDSSSPDLLFNTPFSLGLSG -ETFENLAAHFLPAHDPSLKEILYHDQSSLQFPWLDRPFSLSCQPSSLIAATHSPSQDSTLLPASIKKRLR -FRESDQPYQITANDQLLGQHLFSSLCKAYGRSPLSSVPFNPVLFAECISLNEYAQLSSKTRATIVANAQR -SDPDWRHTTVKIFAKAQHKVNDGSIFGSWKACQTLALMHDYIILILGPVKKYQRVIDSKDRPPQLYIHCG -HTPHQLSSWCQTHLSGQTYLANDYTSFDQSQHGEAVVLELLKMQRLNFPSFFLDLHLHLKTNVQTQFGPL -TCMRLTGEPGTYDDNSDYNLAVIFSKYLIHSHPIMISGDDSVICGNPSIHPSWSSIEPILHLKFKTETNS -HPLFCGYYVSPLGAVRNPFALFAKLMICIDDCTLDDKILSYLSEFSVGHTLGDSLIHVIPSAILPYQSAC -HDFFCRNCTPSQKLLLSLDPLPESTILRLILKIKWASKAFFSLLPSKARDLLVSKGSLQSMPFDPKVTQL -ESELLPFFNST - ->YP_006843893.1 replicase-associated protein [Culex originated Tymoviridae-like virus] -MSISKIIESLSGTIHRDAIATSPAQHATKAADFAQRNFPYVVTPQIHSFLSSHGITLPSHGHQSHPHPFH -KIVEHYFLYNVLSNFVKLPTTVYFMKAEKFSKLSKHQPLFTRLQNAFYTVRDSARYEKQDLTPCRTPCVF -FHDSLHYWSPSKLMHFFENNPNVTQVYASLVVPPELSISTELSGSFYPHLYRYYSAKGSLHYQLENSSDH -YVQPSSSLEWLTLSAAQGPVPFYVEVLTSIASCHLLLITRNPPPVPQDSISFQIPDCVELPQPADFSIPI -RDRLIPRSVYNNVFLYVRAVRTLRKTDPAGFVRTQMTKEEHSWVTNAAWDNLTTFILSTADQSFDPLYAY -TLTWWQRLKYRFHHARGLKAASLWLSLQTALTYFSGGYHFLKSLITVPLHPPLILHLTLKQRLARASAVL -SSFNLLAAIRIFKIKTIYPAPDALTPYPEILRTSRLTRILIGSSILVAPLAVTVLYYLRHHIPPAIYYAK -YKSFFHDRTYHLRLNRAPAFFLPSHQPFGSLTLSLDQADNPSPSITSTPCHKLSPFDIDDEDSIILESPL -HPSLTRKSPHPEPTSLLAFDSLDSSTPEPAFSTPFSGAHVHSPPTAEEPNPNPPPISPLQPEPQNPPAPE -NSQGSEPDNESSSSPPPVPEPKTPEPPSSQDRPKDFATPLETDPSASGPVLPWHTVNVTASDPATSFPSR -QRSDPVLPPLPTSNICLFRALSILLNVTEEQIWFRLATSMPNSLLINSETQTNGFTTDHLAAVSYLFNTR -FIVHSQNYPSFPIGPASSPVQCAIYHSPGHWSASPPLVGALPHPATERHTELEEALLSHKLPDGSLLPFL -RFHDYQIDLSRAKKLASNMKNHFDGVLRELLSASPNILHAFDSIVDFAPSHSVRLCHLAGFAGCGKSRPI -QSFFRGSEYSRELRVAVPNTDLRSHWKAQLRFPNSQSWRVSTWETSLLKHARILIIDEIYKMPPGFLDLA -LLLDPCVATVIILGDPLQGSYHSMHPDSSLRTLTPEIYHLRPYIDLYCAWTHRSPRNVAQVFDVTSLSPE -NGHVYHSRIFPNNLHILTASESASKTLSQGGCRAQTIASSQGLTLQHSGLHFDRSLFQVSPNITLVALTR -HSRSITFLGDSDSLRRKYAFNPVLSAFHSGSVLPLSSWPELSGVELLRQPLFIRSPKLSGGVSLPLSRKS -IFNDKPLPTSAEHDVIRSAPVLTIAGPDLIPNLPTTHLPETRRPLHFEIDSAIAPDSRPSPALPSLSPCE -AVYPGIDSLLLFAHFIHEPIDPFPNERWHKDRLSRQFPDLLDFKEGTQPATLIAPWHQPAKDPTLLPLSI -SKRLRFRPSHHPYQHSDDDILLGTLLYQAWCQAFSLDPLETLPFDPILFAECINDNEFHQLSSKTKAVIQ -ANASRSDPTWRHTVVKIFAKSQHKVNENSIFTSWKACQTLALAHDAVVLLLGPVKKYQRIIRDRKPHPHL -FIYAGHTPQQMAEWAHSVFPPNRQTLCTCNDYTAFDQSQGGEAVIFEILKMKRVGIPQFFQDYHLWLKTN -VQSQFGPLTCMRLTGEPGTYDDNTDYNIAVLWSRFQLNGYAVCVSGDDSAIVGCPPTSPTWPAVEKLLSL -TFKIEVTPYPLFCGYLLGPAGALRSPRALFAKLFIAYNDESLPNKLASYLAEFAVGHSLGDSLWSLLPPD -QVIYQAACFDFFCRFAPPHMKDVLKLGEPEPSLLSLVYSSIKHLSRPLWALLPTRVRLTLRHSIPAPTFF -SEDTALASDSLYSPSTQLP - ->YP_004464924.1 replicase [Asclepias asymptomatic virus] -MAFQLALDALSQTSHRDSSLHPVLDSVMEPMRNSLTTFPWMVPNDLQPFLLQAGIQTSGLGTTPHPHPVH -KVLETNLLFNHWHFLAKTPSSVLFMKPEKFNKLQKRNPNFSELLNYRVTPNDSVRYPTTSPHLPKTDVVF -MHDALMYYTPEQVLDVFLRIPNLQKLFCSLVVPPESEFTNLSLSPNLYTFQLHNQTLHYIPENHYAGSYN -QPLSAIQWLKISSIHHPQIQLSVTILESWGPLHSILIQRGLPSQHLAGPNLFSSLLHPLPSPAADLISFK -IPECIELPQSTFLSQPLRHRLIPKKAYDALFTYTRAVRTLRTSDPAGFVRTQSNKPEYSWVTSNAWDNLQ -TFALLNCAIRPNVVYNFLRNPFQKLKIYLSQHWRRIVAVSAPTLSFLTLLPHFLNWSLSLPKVKCISAFR -HRFDQPPPQPLALQIFHKLVNHLPPQVLQTLSKVCQTLHLPSPLPPPRPGILPSFRISHSAYPIRFPTWL -SLLACLVPELLVLGSKLTGDLSVQQQHDLYHHHLHPAQYHLQWERLPYSTTSRQNFLPFEPVATPSPPQA -PLAQPPPKSRSTSPQHFTSPSWSSTQLSPHLSPLPTQPSSPPPDFINIPVSPSTTFRQPTTSFLTNSSPR -TRSLYEYLLQYYHPGPPPLPQPASNGCHCEPCTQSEASSPTQNFPLQDPSSPYIVPPDPSTPIPEPPLLK -DLSCTGPILSFQDLYPRNYYPNTALFLTRLRITPPKPLPLPPNDCLLQAISPSLSVSPSRLWLALQEIIP -DCLLDNEEVHRLGLSTDLLTALCHYFNFKAEVISDGTSLHYGISDSPVQIQIIHTSGSPGHYSPPPKLTG -ATPPREPPHPLTEQLLRFKFKGAHLPFSKVHSYSSSVAHAKNLVSNMKNGFDGILSLVESNVKHAPGCSP -RDKIIMLDRLLDNAAPRSVHLIHIAGFAGCGKTHPLQHLLRTKPFHNFRVSVPTTDLRNEWKKDMALPSS -QSHRFNTWESSLFKHSNILVIDEIYKLPRGYLDLSIHSDPSISLIILLGDPLQGEYHSTSPHSSNHLLQS -ETERLSQFIDHYCWWTYRVPSHISDLFNVPSYNQSHGPFFGTVRLASSYSPGQHNLVNSMATSAAVNQLG -YPSCTISSSQGMTFRKHVTILLDKHSRLLSPSNTLVALTRSTAGVEFLGDPMSLSGTNNSSDMFSRAMHQ -MPINLATCFPRIFHKLNLLREPIKHRSKRLLGSRPPSPIYLNPKKMHLPPHIPIDYALDYVLHNPHVFGS -KDDPRLECNFLPPTRLALHSDLISANPSVPSLQPVDSDFRTPITPVYPGETFENLAAFFLPAHDPELKEI -LYKDQNSQQFPWFDRPFELSCQPSSLIAARHSPSQDPTLLPSSIKKRLRFRPSDNPHQFSADEIILGNHL -FHSLCRAYNRNPNQTLPFNPSLFAECIALNDYAQLSSKTKSTIVANASRSDPDWRHTAVKIFAKSQHKVN -DGSIFGPWKACQTLALMHDFVILSLGPVKKYQRLFDQADRPSHLYTHCGKTPQDLSLWCSAHLSHKTKVT -NDYTAFDQSQHGESVILEALKMKRLSIPEHLILLHIHLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNIA -VLYSQYNLQNTPVMVSGDDSLIDSKCPELLSWPTIQSKLHLRFKTETTDYPLFCGYYVGSSGAIRNPLAL -FAKLMIAIDDQSISEKRLSYITEFSVGHSLGDSFWDLLPSEIHPYQSACFDYFCRNSPPHEKSILHNLPF -SPTALSTITSSIKWLSKSAFSALPMRIRRAAIEKSQLPSSHENPEVSRLESELLHNFQ - ->YP_004464920.1 polyprotein [Switchgrass mosaic virus] -MSVFLRGGHLLSGVESLTPTTHRDTITAPIVESLATPLRRSLERYPWSIPKEFHPFLHTCGIDISGFGHA -AHPHPVHKTIETHLLMDVWPNYARGPSDVMFIKPEKFAKLQTRQANFSHLINYRLVPKDTTRYPTTSTDL -PDCETVFMHDALMYYSPGQIADLFHQCPQLQKLYASLVVPAESSFTHLSLHPELYRFRFQGDDLVYEPEG -NPAANYTQPRRALEWLQTTGFTSGHEFFTVTLLDSFGPVHSLLIQRGRPPVFSAEDSVSFRVPDAIALPA -PASLHQDLRHRLVPRRVYDALFNYVRAVRTLRVTDPADFVRTQVSKPEYSWVTSSAWDNLQHFALQTAAV -RPNTSHPLFQSPLARVAHWLRTHTWALWCLASPAASLTAWLAASRFGRLTVLHTDRLRLFGCDLIGRRFW -PILPFQSPEARFLWETHSACKPYTLFAGSAFECRVLGALAHRCSPSPTLSRLFPEAQPARWVVFGSLALA -AVPLTALLVRWFLGPDSPQALHDQYHATFHPDSWSLDLPRRLRSCVREPFLLTGAAPASTPTSAPENFSP -DTPRPLTSLRAQAEPSQPSDVQPPKPSAVQPQVAPEPAPAPISPEPAASLPISAEPESVFEPPTSHSPPA -QPVADSEPTSQPSSRAPTPVRQAALLGADLRFGDLPPRSSWAQDPELSPLGESTQGTVFAQIPTPREPES -ALARLEVDPTASGPIMEFRDLQPGLYLGTTGSFLTRARNSVSSTIQYPARAACLLVAVRNATSLPTATLW -AALAANLPDSILDDGSLVRLGLTTDHFAVLARIFSLRCRFVSDHIDVELGVADATSRFTIRHTPGHFELV -ADDYSLPALVGASTIPGADLAESCKRFVASDRTVLPFRDVHIHRTSVKRAKNLISNMKNGFDGVMAQANP -LDPKSARERFLMLDSCLDIAAPRVVRLIHIAGFAGCGKSWPVAQLLKTPAFRTFKLAVPTTELRDEWKGL -MEPRDQDKWRFGTWESSLLKSARTLVIDEVYKMPRGYLDLAIHADASIQFVILLGDPIQGEYHSTHPSSS -NARLSPEHVYLRPYIDFYCFWSRRIPQYVATILGVPSTSKVSGYARHSSQFPLNGKILISAMTSAKSLVD -CGYQAITIASSQGSTVNGPVHIHLDNHARRLSHQHSLVAITRSRAGLVFTGDPSVADGTSSSNLLFSAVL -MNHPISVRSLFSALLPRCPFVESPPTSRSVLLRGAGYGSAPPLRPRESPPMRPDFQGDVIADLSAPFLGD -GSSNAPQVSTHFLPESRRPLHFDVPSARHQVADHPLKPDHSACAIEPVYPGESFEQLAALFLPPTDAESK -EIRFRGELSNQFPHLDKPFEISAQPSSLLAPVHNSKHDPTLLPASIAKRLRFRHNDSAYTISARDEILGG -LLYEAWCRAYRRSPLDIEPFDPVLYAECINLNEFAQLSSKTQATIMANANRSDPDWRWSAVRIFAKTQHK -VNEGSLFGSWKACQTLALMHDAVVLLLGPVKKYQRVFDQRDRPSHLYVHAGHTPFEMAEWCQQHLTPAIK -LANDYTAFDQSQHGEAVVFERFKMSRLSIPQELIDLHVYLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYN -IAVLHLEYAVGSTPLMVSGDDSLLDSEPPVRDQWAAVEPMLALTFKKERGRYATFCGYYVGFTGAVRSPP -ALFAKLMIAVDDESIDDKLIAYLTEFTVGHSLGDAFWTILPVETVPYQSACFDFFCRRAPAQAKVMLRLG -EAPESLLSMAFQGLKWASHSVYALMNSSHRRQLLHSSRRPRSLPEDPEVSQLQGELLHQFQSLHLPLRGA -SMPNPLAAPFRLLPLGSASLGPSYSVAPLQPPPASESPDSMAENATQVGPAPARDDRLDRQPAIPAPPRV -VETAPTHYIDLPFQWKITDFTGAAAYHDTGDLSASPVLTTLCAPYRHAELISVELSLAPCPPSFTKPIMF -TVVWTPATLSPTDGKETDFYGGRQISIGGPVMLSSTTAIPADLTRMNPVIKSSVAYRDTPRWTMSVPAVS -GGDTKVNLATGFIRGVIRVSAPSGAAIKAAS - ->YP_004464930.1 unnamed protein product [Bombyx mori Macula-like virus] -MAFTNLVDTLANIIHRDAITAPLVETAINNFRHKLKLYPYQVNSKLIPLLNQLGIGVTSYGTSPHPHAAH -KAIETHLLFEHWNHLARVPSTVMYMKPEKFQKLQQLNPNFASLINFRHTPKDITRYPVSNPHPVETEVAF -VHDALMFITPSQILGLFKDSPSMTSLYCSLIVPAEAAYGVPSLFPDLYSYTIKDDQLVYTLEGNATGNYT -QPLRSLDWLRRSGISSGDLHLSVTLLESFVSVHSLLITRVPQPPPSGEHVFLTPPASLLPNPEGLELPIK -SRLVPTEVYNSLFTYVRAVRTLRVTDPSGFVRTQRQKPEHSWVQSSAWDNLANFALLTCSARPSLEYGFC -YSSYKLLSLWIVRTLRSISAYHTGSLLTIPILHHLSPYQLCYRTHTFRWLPTHLDFHKTLPSLIHRAFAS -ITGRYITNSPEFPTSTFSINHFLQPFGKSLQKFPCHSLVIRPNPASFIVRCSTLLERRWLLLGLSALTGV -WAYYHLRRGNSPQEKSDAYLSYFHPDPWRLTIRTSNVMAIPHSFFPDSGYSAPSPSSPPSPDPIVIAQPS -SNVSKHHQVQNQAYLTPAHPETPPSPSKQEIKSGETLATGFPNVATLSGPSEASKPDAPPTPLSSDPTAH -GPILPHKELFGISSPDHECTFLNRKRLNVSTLPFPAQPCLLVAFAQASNSTPQRVWAHLCTLFPDSLLDG -PLERSQGFSSEHLEALAWSLNYRVSYRHGEHLNTIGPDDAPLLSLIYTGDGSIGHWATDESPLSAPLSPI -RGSAKTLNSFASTAVRFRDSHGNLLPFRQVHEYTLCKPRAKNLASNMKNETDGVIQSTLRAASSDPTFFH -RLDQRADFAPQVSVQLIHITGFPGCGKTFPVTQLLKTKAFKGQYRVAVPTTELRSEWKDHMKLPSSEVWR -VSTWETSLMKSAPVLVIDEVYKMPRGFLDLALVADPALQFVILLGDPCQTVYSSVNPDSSNYRLLSEVEH -LKPYRDFYCHWTHRLPRRLARFFGVSTTNPQEGFIGRRDNPHKSYPILTSSQQTARVCAGTGHRALTFCS -SQGSTFQAPAQIFVDSNVASVHVSATLVATTRSRSGVIFTGNHRLFQLRPGTAPLFEAMLNDQPFNFLNT -FANELGGMELITSPIKERKTILRGGSYDFSAYRSYQKRHHRMTNFKFDPAHIRAPRSTSAKPLPANDTSD -VIIFAPELFDLQSSAIPRLDTHHLPETRRPLHFDIPSSLPTKVDISSAEPTDTSIEPVYPGCDYKTVAAL -MMEPRDPDSLEIRHNGEFSNQFPWVDLPYENGAQTLSVIAPKHDSKYDPTLLSASIAKRLRFRPSFLPYR -LSPSDEVLGTFLFSSLCRAYKRHPNHKVPFQPDLFVECINLNEYSQLSNKTQAVIQANANRSDPDWRYTA -VRIFAKTQHKINEGSIFGPWKACQTLALMHDAIVLIFGPIKKYQRVFDSKDRPPNLFVYGGQTPYDLSRF -ASSHLKPLQIHVCNDYSAFDQSQHGEAVVLERKKMERLSIPSHLIDLHCYIKTNITSQFGPLTCMRLTGE -PGTYDDNTDYNIAVIYSEYDITTQTVFVSGDDSLVSPPPPAHPDWDAVSPLLPLTFKKEFVRNGLFCGYY -LGPAGAIRAPRALLAKLVLALDDRTLPDKIASYLSEFVVGHSLGDAFWTLLPADQVIYQSALFDFFCREC -SKEQKLALKIGEVPELLCQTMLSLGFSWLSRPLYALLDRTARLRLLARTRFAPPLFRSFCRGCFATGFLM -TYSWKKLCL - ->YP_004300278.1 replication-associated polyprotein [Fig fleck-associated virus] -MAFSSILEQLSFTSHKDASTSPLMESISLPLRKSLDLYKFALSKEHTSMLQAYGINVSGLGSTPHPHPAH -KTIETHLLFDHWSFLATSPSTVMFMKESKFNKLSKLQPNFQTLLNFHLHPKDFARYGPDPLPLAFPETPL -VFMHDALMYYSRAQILDLFFRSPKVSHLYASLIIPPEADFTDLSLNPSLYQFQFLGQDLLYSLESNPSAQ -YTQPQSSLEWLKTTVIHSSTHPGLSLSVTVLESWGPIHSLLISRLTKESPIPASEDRLLFKTPDCVLLPP -PLSLNVPSRDLLVPAQVYHNLFLYVRAVRTLRVTDPSGFIRTQNSKPEYAWVTSNAWDHLAQFMLLTCPI -RPNSHFAYLVTPLLRLRHQLKTLSRSSLNSLILAVPSSFLCLGLLARRLFSSTITQIFLFRNPLLASTTP -PLNNARQPVLTRLADWFLNQLPSFVQRKLSPPSPRLPIFKVVLTPKPVQYTYPPDVPPLFLKFAPPRILH -LFRQEVNHVPRWLFPITLSASALSALYLLFVRLSSPSSPQELSDSYRRYFHPKPWKLFLERKEHFTPEAP -FFPQKIENAPLLLPDQEKSVPSSDSTKFSTEPRQTCPLSSQTEPLTPLVYPRSSCATAPITIAPNHQAQA -TTPYPSSQLCTGARRPSPTTKPEITSPHPPPGQTNTSDASTSSVLPPVPPPLSPHLSNPRSSSSPPAENS -RLILDPTAIGPVTTFSSTLSRSWLPGCADFPFRRRNPGSPHLPYPPPLASSSPHPCCLLVAFSNATGVFK -ETLWTLLCETFPDSLLDTTLGLSTEHLTALCGYYFAAATVHSEHASCVYGVTDPVIKLTIRHTTGFPGHF -ELILLGGTPNAFSMVSPLSQSILNFTYSKKPLPIKQVHNYVALPHRAKNLSSNLKNSFDGIMASANPLSP -STAAAAFVSLDNRLDFQPPRCVQLVHLAGFAGCGKSLPIQNLLRHNQDFRNFRVSVPTTELRNEWKKDLQ -LKSTESWRINTWESSLLKTSPILVIDEIYKMPRGYLDLTILADPTIQFVIILGDPLQGEYHSLNPSSSNH -HLSSEITHLLPYIDYYCMWSYRVPRKLANFFNISSSNKNPGFCSFSLHLPTDPSSPILTCSQSQAKILND -TGHRALTISSSQGLTLDKPAHIYLDRNIPLLSPSNVIVALTRSRVGLCFTGDSDMFNCIRRSTPILEALF -SGRQIDLAHHFPSILSRVNIITSPLPARRTLFGGAPSHSSLSSGPRPRLVPALVPGLNRFTSLPSAPRPG -PAVANPPSLPLSQMQSVDPDSQHDVVLVYPQPIECEPQRAADATILPETRRPLHHELPFVELSSPNPSPA -LFSETAFSHSYPGVDWQLIAGHFLSPFTPEEKEIMYRGTLSSQFPHLNIESRLGPQPLTLSAANHNSKRD -STLLPASIKKRLRFRPSARPYSISPSDQLLGHLLFLSLCKAYHRSPDPIEFNFPLFVDCIELNDYSQLTS -KTQKTIQANAYRSDPDWRWSAARIFAKTQHKVNEGSIFGPWKACQTLALLHDAVILIFGPIKKYQRHFDD -KDRPPHLYVHAGKTPHQLSSWCQQFLTPGPRLANDYTAFDQSQGGEAAVLEVLKMRRVSIPEHLIQLHKT -IKTSITTQFGPLTCMRLTGEPGTYDDNTDYNTAILYSQFQLGDTPVLVSGDDSLIDRVPLPHPHWPTISN -LLLLRPKPEISNFSLFCGYFVGPEGAVRAPRALFAKLAIAFDLGELDKCLASFLAEFTVGHSLGDLVWNL -IPPDLLIYQFALFDAFCRECSREQKLALRLGEIPDYSRLLRFDQFHQFTFASFSLLKSSARRLYLRLFRG -KKLRNVNTFPAFHPEGAASFDSESFQPLHPDVAFDPTPHLIHQISRPPSIPTPSLSTPTNALLTPQKSSI -HRAACTGFPITCQPRHHTSHRHHHSSPRTTAHSLPRPQSAPSPLWRTSRMTTVNSLFQQLLPLNASSSES -RDSSVLPGSVPESRLVQPPQLPPPPSSSPKGNATRLATDLPFQFNFYDVTGTETGSTTLDISSKDVVLKL -LGPYRHGVLLSLEAITMPSANAAKYPQTLDAVWSTADHVISASEILATYGGQRLTWGEASMNAALNLPAD -LSHLNPVIKDSTTYKDTPRLNLRFYQNPDSVSLGINAPISGSVIIRGVLRLSSPTNTPTLA - ->YP_003620401.1 RNA-dependent RNA polymerase [Chiltepin yellow mosaic virus] -MAFQLALDALSSTTHRDASLNPVLNSAVQPLQDSLQHYPWIIPKEHLPFLISSGIPVSGFGTTPHPHAVH -KTIETFLLFNHWSHLASTPSSVMFMKPSKFRKLPAINPNFQELINYRLTPADTVRYPTTSTTLPTNDCVF -MHDALMYFQPSQIVDLFLNSPNLETLYCSLICPAESHFTDLSLYPEIYTYKISGQTLHYVPESHHAGSYN -QPLQALSWLKVNSISHPEILLSVTKLESWGPVHSLLIQRGLPPLASIPRRALPPPGRAPQTPQDHLLQNT -AALFNQSQTTESLVSFQIPDCLELPQATFLRQPLRHRLVPSAVYNALFTYTRAVRTLRTSDPAGFVRTQS -NKPEYSWVTPSAWDNLQTFALLNSPHRPQVCYHFFSSPIDRLKLHFGQHWRAYLLALTPFLSTSPLLLPL -FNFKFPLPIPKLLSVFRKRFASPLLLTSYLPNEIQLPFPLMPKIQLFPKHQTCHLLQRFHRTLHRANLLP -LPPILPQFEFRTATIMELIPRPRIVLPLLSLILASPPLITHILQAQTPQTLHDNYHLHLHPSKFLLQWNL -QSFQLNSHQAEFQHTGGRYKALKIPSKFLLQWNLQSFQVTSTQPFLPYQLQAPPPAASSLPPARPVFHAT -PPVTTQRSNAASPATHVPCQPSSSSTTPQDREPSNASKHSIWTVPMDGPNVILPTPAPEPTPQNTTSSPP -LDNNHPIHTQQPLQSTVLPSGALTFDVASTPSTSLNSTPTPAVAKSSLADDPTAVGLAAPFSKLFPAEYF -PLSAEFLTRSRHVPSSNLPLAKNLTAYFLPSLTWTSIPISTLWETLQSKNPRILFFKILKVENLGLSTDI -LTTLCYFFHCQCALHTPQGVFHYGIMTSSRKYRHLLRSRAPTPFLDHSTHYCLSSSLQPHPGATGASSAT -FQVQIDAFLPFTQAHSHTSSLHHAKNLISNMKNGFDGILSTIAHSNSGPSPRQRMITLDSLVDVAAPRTI -PVIHIAGFAGCGKTLPVQHLLKTKHFHDFRVSCPTNELRSEWKRDMQPTAENLWRFSTWESSLLKTSSVL -VIDEIYKLPRGYLDLSMIADPSLRLVIILGDPLQGEYHSTSPHSSNHFLPSEIHRFKSYIDCYCFWSYRI -PTSFARMFGVSSFSKEPGFVRTLTTHPPNGKNLTNAINTALTLQEMGHHAITISSSQGTTFQEAHTILLD -RHTNRLSPNNCLVALTRSKVGVYFVGNLHLASNDFGTNYLFSQFLAGKDVDLHQLFPYVMPYLPKLHEPI -KSRSIRFVAGLINPSINFSILPRLSRPIHLPPHIPVDYKKDFLISNPIVAGNKIDERLDTHYLPPTRLPL -HFDLPPGFPQPATFSESAPQPTNPLSLGLFGFPFETLAAFFLPAHDPEIKEIIYSDQKSNPSFQLTIRKS -RKLFTPIKRAINFLFLTVLLSFPANPPLCWLQSILLLVIQHFFLLPYKKRLRFRASSKPYSIGPTDVILG -QHLYSSWCSAYSRPTSQVLPFNPELFAECINLNEYAQLSSKTRSTLVANAQRSDPDWRYTSVRIFAKAQH -KVNDSSIFSNWKACQTLALMHDYIILVLGPVKKYQRIYDQRERPPQIYYHCGHTPTQLSQWCSQHLRSPV -ATTNDYTAFDQSQHGEAVVFECLKMNRLSIPPHLISLHSHLKCSIETQFGPLTCMRLTGEPGTYDDNSDY -NLAVIYSQYAIKSEAIMISGDDSVIDGVPPINPNWSKIGPLLHLRFKTEKVRHPLFCGYYVTPVGACRDP -KALFAKLMICIDDGTFQDKVLSYLSEFSIGHSLGDVLLQHLHPDLLIYQTAVHDCFCRRCSPSQKMILSL -DPIPESKLLLLLRKVKWASSQFFSQLPQKARDFLAAKSQLSSFHQDPKVSQLESELLLSLN - ->YP_003475889.1 replicase-associated polyprotein [Olive latent virus 3] -MAASWTSFSSLLSNPLSLAQRILTPPHNPSIPSLPKSGFRSVTSLSDPSDALTRAQMFSPTKAILSPAAS -PTPASQPDPPAEPCSDPPTETTVPSSKRALLDSHSPSPVIPVDETAPISPPIRLTGSGLKDLVDFLNPTV -HRDTIAAPLLETISQPLRDSLRTYPWSVPPSLQTHLQSLGINAHNFGYKPHPHPAHKVIETHLLHEHWRH -LATQPSAILFMKPSKFQKLHNQNDNFTELHNYRLTSKDSTRYPETSRSIPNLPSIFLHDALMYFTPSQIL -DLFERSPALEKCFASLVCPPESNFTDISFYPELYRFRAVGDSITYELEGNPASSYTQPKSAIEWLKITTI -KGPNFSLSITILESWGPCHSLLIQRGLLPLHQEHSTQAFKTPEAILLPAPSHLQQDLRHRLVPTRVYESI -FVYVRAVRTLRVTDPSGYVRTQSSKPEYSWVTTAAWDNLANFALLTCAHRPRARYYLYWSTLDRLMGWIR -AHKLALLTASTPLASLGLASSALLACRPFWMRLDSLQLLNRWVIKPSFPRNLPFLLPKAFQPKASLFKAE -FLPRHAPSRILPEFFDALLKKAPFLRPLFSDAPIPSWAWALCATGVAIPIIALSIRRFLGPNSPQALLDE -YQSYFHPKPWQLILEQGPIFANPEPFSPHCQPIPSSGPDSAPSSTLGTPPMYPSSPPAPVEEKTILVPTV -RALPPAPPPSSVPPPSKPSPAAPAPVTPPSLPTPVIPPLSTPTPETRVPEVGKSGIVASDLPPTEISPNP -TLARCRPNFLGLCAPHPNSNPSHPEPSAPSPLITDPSGSLTAINPTLEPFEFNHALLGADPSGQGPVAQF -NELFPSTLWLQGTGEFLTRSRVQAPSSAPYPAMDCLLHAIHTATRIPKPTLWAGLCSNLPDSFLDPQLIA -KHGLSTDHFAVLARLFSLRCTFQSRSGTQTMGVADATHTFRIRHTPPTEDAPGHFEYMEEIPESLTGAFA -QDLAHFALSFRFNGYLLPFKHVHTYTSNVSRAKNLISNMKNGFDGVMASADPLHPGQTRERFLSMDNQLD -IAVPRETRLIHISGFAGCGKTYPITQLLKKPVFRQFRVAVPTTELRSEWKDLLTLEPADRWRIGTWESSL -LKSSRVLVIDEVYKMPRGYLDLRPTPLIRPIQFVIIPWRSPSQGEYNSTHPHSSNLRITSEIIHLQPYID -FYCFWSYRIPKNVAACLKVPTTSNKTGFIRRLNSIPNANKVLTCSQSSMKTLNQCGFSSVTIASSQGSTL -QEAACIHLDRNSRNLSNSHSLVAITRSKSGIIFTGDFHLLDGTTSSNYLFSCLAQGKSVDLEMLFPKTFP -PCPRLLQPIRSRRTILVGSSEDWAQFYRPTPLEDFSRFQATPVPETAIPCPNGYQGSPEMIEGPSHANLP -FEADLDSIDPLEGETSPYSLGFGVHARTARTTNPEATGDIITQSEIVLGDGELNMPQVSTHFLPETRRPL -HFDNPSALPSSTVPSPVDLSRTAFEPVYPGETFENIAGHFMGPRDPEVLEIIHNDQMSNQFPLLDQPFSL -AAQPSSLMAAIHNSQNDPTLLPASIGKRLRFRPSNAPYPITAEDQILGSLLFEALCRAYRRHPEAVVPFN -PIAFAECINLNEYAQLTSKTQAVIISNARRSDPDWRYSAVRIFSKTQHKVNDGSFFGSWKACQTLALMHD -AVILLLGPVKKYQRLFDSEDRPSHIYIHAGHTPQDMSNWCQQNLTDSIHLTNDYTSFDQSQHGEAVVLEQ -MKMARLSIPQHLIDLHTHLKCNVSTQFGPLTCMRLTGEPGTYDDNSDYNLAVIHLQYAVGSTPCMVSGDD -SLLDSKPPIRDEWTGIAPLLALRFKTELDRYSLFCGYFVGSSGAVRCPRALFAKIMIAVDDGSIPLKIAS -YLTEFSIGHSLGDEFWQLLPVEQVVFQSACFDFFCRNCPPAAKLLLRLGEAPQSILEATFGKLKWASNAV -YSMLSATARHLLIKSRLTRPPPESVEVSQLQGQLLASFQH - ->YP_002756536.1 putative 230 kDa polyprotein [Grapevine Syrah virus 1] -MAAPATAYASPSAAFFALFQEQDLRCFRPLTLAHSLRYDAPVRPRQLPRLRSITVPITSLDEGFTPILIA -RPSLPLLGGGLKELVEMLAPTTHRDTVASPILEAVAGPLRTSIQRYPYEVPAHAVPVLQRFGIEASGFGF -KAHPHPVHKTIEIHLLFEHWLNLCRSPSAVLFMKQSKFEKLQHENANFEALANYNLTARDTTRYEQVAVA -PPTQAVWFMHDALQYFSLSQVAAFFADCPHLEKLFASLVVPPESDFTNLSLFPEIYRYSFAGSRLNYQLE -GNPGHSYSQPREALEWLKTTTIRCGNLYLTVTKLESWGPVHSLLIQRGKPSVHLEHDEVSFVGPDAVALP -EAAALRQDLRHRLVPRTVYDALFVYVRAVRTLRTTDPVGFVRTQSNKAEYSWVTSAAWDNLQHFVTETAA -HRVPNRHFFFNSTFAKCRYWCSQHKLGLLTVTTPPACGLTLFTGAKLASAMSSRLTALAVFHHWVVPPPT -LFFTPKAPLLAIQLTRLPQPLFSSVPFLHKPLGKLSLRLLNRFPFLRRFFPDAPIPTWARLLTVAIALSP -AVWLAIRHFIGPDAPQALNDHYVRFFHPDRWQLTFERQPRFVALDRTFPWPLPQAPEPTEPRDSDVPLET -VPSPLPVVAPLPAPATSVPPVDTSATTVSAVEPSLSTESLKTVEAPSGTTILQPRELKDTIFPLPAAALA -VTPPEPAPAPAEPVSASTVLGTAPLSRDLHTGHVSTPATEPGLVEPEHSPLAADSSATGEVSEFFNLHPA -DWIAPTATFLARRRGETISGAKYPAMDCLLAAVSAGANIPKDALWKTICSYFPDSMLREEDIAKHGLSTH -HFAALAREHRLQATFHSAGNQFVLGVEHPSVSFHIDHTPESATAPGHFSLRADERQHSPRLLGGRAADLV -HAALKFKVGSAVLPFQQAHDYTTNVARAKNLISNMKNGFDGVLANIDPAHTNESRDRLLSLDGAMDIAAP -RDVKLIHIAGFPGCGKSYPIAQLLKSRAFKHFKIAVPTVELRNEWKGVLKVKPQDNWRISTWESSLLKSA -RILVIDEIYKMPRGYLDLAIHADPTIDLVIALGDPLQGVYHSTHSDSSNHRLSSEVKHLQPYMDYYCLWS -HRVPQDIGTFFGIKSTSTVPGFKSYQANIPSNLRQLANSQSAAKVLNQCGFSSVTIASSQGSTYSAPACI -HLDRHSMSLSHAHSLVALTRSKSGVIFTGDKRVLEAPGGNLLFSSYFQEKKVDLRALFPTEFPCCPILLE -PLKRRPTDLTGGAPFPFRDEARVFNPERRDDVFVEAAVVCGDGSSNAPQVSTHFLPETRRPLHFDLPSAK -PEFAAHEAPAPLTDTFIEPVYPGETFENIAAHFLPAHDPEVKEILFKDQRSNQFPFIDQPFHVGAQPASL -CAAVHHSKKDPTLLAASIEKRLRFRASDAPYQITAKDEILGSMLFEAHCRAMRRDPNVRVPFDEALFAEC -IALNEFAQLTSKTQAVIMANHERSDPDWRYTAVRIFAKNQHKVNSGSLFGPWKACQTLALMHDAVILLFG -PVKKYQLIHDERDRPEHIFIYAGRTPQEMSEWCQKFLTPRSASSPVPVMVSGDDSLIGCHPHFVANDYTA -FDQSQHGEAAVLERLKMERVNIPEWLIALHIMIKTHITTQFGPLTCMRLTGEPGTYFDNSDYNLAVIFLE -YSMSGQWLSENPLWPAIKPLLALRFKKEKTRYGNFCGYYVGAAGAVRMPRALFAKIFIAVEDASIADKMA -SYATEFAIGHSLGDALWSLLPVEEVVYQSAVFDFLCRNAPRELKLLFKLGPVERSVVEAVQEFATWASYA -FYRFLNSAQRKVLLTRSPQLHFPGDAPEVSQLQGELLQSFSMMQPTLPLTGGLLLPPAVDAPMSDDSLAG -RARSQRDPDHRVDPQPSLPLAPSVQETPGGPAITVPFQWVALVVKSESTIFTVDPPRAKSLTQLIGPYRH -ARLLSLEAILMPTLNAFQNPVTVHMVWTVNTVQPASGEELFYPGGQALTVGGPVSMSALATVPADVSRLN -PVIKGAVAFLDTPRLTGTTMKCAKSETSPMAYVVIRGTLALSGPVGTRLSE - ->YP_002308578.1 polyprotein [Anagyris vein yellowing virus] -MSFQLALDALSSTVHRDASLNPVLNSLVQPLQSSLTQFPWIIQKEHIPFLIASGIPSSGFGSTPHPHAVH -KVIETFLLFNHWSHLASLPSTVMFMKPSKFRKLSSVNPNFTELINYRLTDADSVRYPTTSLTLPTKELVF -MHDALMYFTPAQVLDLFIKAPHLQRLHCSLVVPPESSFTDLSLYPSVYTYTISGNSLHYVPEGHHAGSYN -QPLTALNWLKICQIKSPYLNLSVKVLESWGPCHSILIQRGLPLLSGSNLETISFKIPDCLELPEATFLHQ -PLRHRLVPREVYDSLFTYTRAVRTLRTSDPAGFVRTHSNKPQYAWVIAQAWDNLQTYALLNSPIRPKVIF -DFFLNPLGKFKLFLSQHLHPFLVVNLRYLGLIPPIAKLLLKAPSVPKVEEFHLLFQKIKGPKGLLNLEKF -PPGIAPLLTKLNSKLKKGNVHPLLYLALGKLNLLNQKPELFYLRTCLKQLSWPSLTTTALISGLFPLLSL -LLQASSPVSLQTLHDAYHSHLHPPQFHLSWRLQPLEVAAPSEFLPLSLQSGSAPTELPLIVPPFPPASVQ -TVTAEPAPQAPQASIPAPPQDPSNVASSSGTAQGTQAPVTLEPSSSGSQDLANNSLAAESSEPPQHLRSI -NECGALNQVPLDVPSEILNESSPKGDFPPSTLLDDPSCSGPVVDFDFLHPADYHNSNGSFQTRQRCQGKS -QAQFPEKSCLLTSLSSQLDYTPSELRSFLCEMLPDSLLSNQEVKNYGLSTDHLTALSFRIRFECLIHTTH -AVIPYGIKNSTKVVNITYFDGPQKHFEPHIKLIGSAPGSNPSKSNLVRSALRFQYNGSFLPFYDAHPHKI -SVMHAKNLVSNMKNGFDGISSKLVGISDKSPRAKLFELDSMIDVSFPRTVDVIHIAGFPGCGKSHPMQKL -LKTKPFKHFRLSVPTNELRSEWKRDLDLPESESWRLCTWETALFKTSSILVIDEVYKLPRGYLDLILLSD -PSIQLAIILGDPLQGEYHSTHPSSSNARLPSETLRLSKYIDCYCWWTYRCPKVVANLFGVKTFSDEEGFI -HSAITHPPNLPNLVNSIATANTMQSLGHHALTISSSQGMTYSSPVTILLDRHSTLLSPHNGLVALTRSRK -GVIFIGNMYQASGHFGTSYMFTRALTQTPIDMISAFPIFHTLPLMHEPITSRRHRLVAGNTVSSPTVNSW -ALQHLGRLPPHFPVTYDKDVLLSNPILQSSAPEQRLSTLHLPPTRLPLHRDIESCNPSTSSCQALDLTPS -PISHGFYGESFEELAAHFMPAHDPDLKEVLVSDQRSNQFPYLDVPFSLSCQPSSLLAASHKPAKDPTLLI -NSIKKRLRFRKSGSPYTFTPNDLLLGVMLFESWCKAYCRNPKEVVPFNPALFAECILNEYAQLSSKTQSA -IVANASRSDPDWRYTCVRIFAKSQHKVNDGSIFGGWKACQTLALMHDFVVLSLGPVKKYQRIIDHYDRPG -FIYSHCGKTPSDLSKWSQEYLRGTEYICNDYTSFDQSQHGEAVIFETLKMRRVSIPDCLIELHMYLKTNV -STQFGPLTCMRLTGEPGTYDDNTDYNLAVLFSQYSISSQPIMVSGDDSVICGLPPTNPSWAKVSELLHLS -FKTERTPKPLFCGYYVGPNGCCRNPFALFAKLMISYDLDTLPETLPSYIYEFSIGHRLGDSVQNLFSPSL -LPYYSACFDLFCRRCPPSQKVILSFEPIPESFFSKALANCKWVSKTLFSELPGKIRESLVATSRLPNYHS -DPKVQYLESELLLSFNNHG - ->YP_002308445.1 polyprotein [Plantago mottle virus] -MAFQSALDALSSSIHKDAVLNPVLNHSVRPLQDSLVQFPWILQKEHLPFLIATGIPASGYGTTPHPHAVH -KVIETHLLFHHWSHLASTLSSVMFMKPSKFRKLARLNPSFVELINFRLTAADSVRYPETSTSLPSQPTVF -MHDALMYFHPFQILDLFIRCPNLQRLHCSLVVPPESSFTDLSFYPTVYTYSISGNSLHYVPENHHAGSYN -QPLSAIQWLKISSINSPLLNLSVTTLDSWGPCHSILIQRGLPIMHSSALPMASFTIPRCLALPEATFLHQ -PLRHRLVPTEVYDALFTYTRAVRTLRVSDPAGFVRTHSNKPQYAWVTSQAWDNLHTYALLNSSIRPRVVF -DFFLSPAQKLNLFLAQHLHPFVIRALPFLAPLPFLASHLLRKLPIPTPKSVTLFHRRFPKRPHAFNLDHC -PPLLRKPAESLCTVLSPHLHPQLLSLLQSWGLCHAPEAIFSLVLQPRRLPLLPKPLLLLTSLLFPAASAM -LKFLSPQSLQSLHDGYNAHLHPSDFNLSWKLEAFQSPCPASFLPFEFQTPPPEESVPMVQAPFPEVPAPQ -VAPPISASTPQLAPSPTPPPNLSVTPEPPQVPNPTPALADPAPELQVPSSFLFPELTPDSTSIKHDLPPL -PELPLEMPVHQRSVNEFGSLNSNLLPVPSTMLNASAGKQPLPAGDLISDSSCSGPVVEFEVLLPANYHNS -NGCFPTRLRAQPKSSIPLPVKNCLLQSVAAQVPYDIHSLWGFLCEMLPDSLLDNEEITTFGLSTDHLTAL -AYRLHFECVIHAGSSTIMYGIKGASTVLHITFSDGPPKHFAPYVKLSASAPGSNPSKSPLVRAALRFQFN -GNFLPFQEAHSHSISVQHAKNLISNMKNGFDGITSQLSGLGGKSPRTKLLELDAQIDVAFSRNVDLIHIA -GFAGCGKSHPIQKLLKTRAFHHFRLAVPTNELRAEWKRDLDLPDHESWRLCTWETALFKTSNVLVIDEIY -KLPRGYLDLILLSDPSIQLVIILGDPLQGEYHSSHPSSSNSMLPSETLRLSAYIDCYCWWTYRCPKVIAD -LFGVRTYSQTEGKIVSSVTHPQNLPNLVNSIATATTMQNLGHHALTISSSQGMTFDSMNTILLDRHSTLL -SAQNCLVALTRSRSGVIFIGNMYQASGHFGTSYIFTQALSGIPVDMVSAFPMYHKLRLLHEPIKSRRHRL -VAGDQSLSSSRLASLLARSAKLPPHIPVTYDRDVVSFSKVVHSTSPEVRLSTMHLPPTRLPLHSDLESCA -PSSSVSASISIAPTPISHSFAGESFEELAAFFLPAHDPSLKEIMVSDQASNQFPFMDQPFVLSCQPSSLI -AASHKPSSDPTLLIASIQKRLRFRPSSSPYAFTANDHILGRILFDSWCRAFNRSPSIQIPFNPALFAECV -CLNEYAQLSSKTQATIVANASRSDPDWRYTAVRIFAKSQHKVNDGSIFGSWKACQTLALMHDFVILALGP -VKKYQRIIDHADRPGFIYSHCGKTPSQLASWSQSFLSGSEFICNDYTSFDQSQHGEAVIFEVLKMHRVSI -PMNLIALHVYLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSQYDILSHPIMVSGDDSVICGLPP -IRSSWPQVRELLHLRFKTEFTSRPLFCGYYVGSAGACRNPFALFAKLMISYDKGNLLETLPSYLFEFSIG -HRLGDIVSSLFPPHLLSYYSACFDLFCRRCPPAQKVILSFDPVPESFFASLARNSRWVSKALFSDLPEKI -RALILSKSSMPSYHFDPKVQYLESELLLSFNHGGNQTNQSQTTFHTSPWHAPSSQ - ->YP_002308442.1 polyprotein [Nemesia ring necrosis virus] -MAFQLALDALSTTSHRDSSLNPVLNHSVTPLQRSLDLFPWIITKDSLPFLNSCGIPVSGFGTTPHPHAVH -KAIETFLLFSHWSSLASTLSSVMFMKPSKFRKLAAINPNFDELTNFRLTPADTVRYPTTSSFLPQHEIVF -MHDALMYFTPHQIVDLFERCPLLNRLHCSLVVPPESSFTDLSLHPTIYTYTLNSNNLHYTPEGHHAGSYD -QPRSAIRWLKLRRISSPFFNLSVTILESWGPVHSILIQRGSPVSDSLAPPHASFNVPQARVLPEATFLNQ -PVRHRLVPSEVYDALFTYTRAVRTLRTSDPAGFVRTHSNKPKHAWVTPQAWDNLQTYALLNAPVRPRVVY -NFFLSPLQKAQLYLSQHLNPFLVRALPFLGLVLPTLKVLTRGLPAPSVSSLQIAHRVLVGPQPEPSPLAH -PLVAQLILHAQRNPLFLELCQKIPVFNKFFLAACRPPSALRLELSRSMIHLPSWPLTILISLIPVVASPT -LAFVLPQSIQSLHDGYHTHLHPPEFSLSWPLESFHVPARRNFLPPGMAANPPPAPLPFVSPPFPSTSASA -APLITPVNPAQSVAPPAPPALASTLEPQLPPSQPPPEPNADPSTSHSRPPAPSPCSPSASPDSPSPATHV -ENPTHGSCQCSDCRPEPQHLRSINEFGALNQNPTPSPSPILNQSDPKSDLPGSDLLSDPSCAGPVVEFEC -LFPGTYHHSNGTFLTRQRVQPSSQAPLPQKNCLLTSIAPQLGVSEAVLWSYLCEMLPDSLLDNSEIRNFG -LSTDHLTALSSRLNFECVIHTGQTTLPYGCVGATNRIQITFHPGPPKHFSPNIRLSASAPGSNPSKSPLV -RAALRFQLNGDFLPFLGSHKHKVSVPHAKNLISNMKNGFDGITSQLTESVGRSPKQKLLELDATIDVVFP -RDVDVIHIAGFPGCGKSHPIQKLLQTKAFKHFRLSVPTNELRTEWKTDMALPESEVWRLCTWETSLFKSS -NVLVIDEIYKLPRGYLDLALLADPSTSLVILLGDPLQGEYHSSHPSSSNSRLESETSRLSKYIDCYCWWT -YRCPKAVADLFGVKTFNPERGFIRGELSHPQGLPNLVNSIATATTMQNLGHHALTISSSQGMTYSTPTTI -LLDRHSTLLSPQNCFVALTRSRKGVIFIGNMYQASGHFGTSYMFTQALTGSPVDLMCAFPLYHTLPLIFD -PIRSRRHRLVAGDHPTPSVDSTALRRYGHLPPHIPVDYSKDCFVSHKVVYRDGEDRSLTTLHLPPARLPL -HLQVEPSIPSEVETSSTPPCRTPITMALHGESFEELSAHFLPAHDPEVKEIAFADQRSSQFPFMDQPFEL -SCQPSSLLAASHRPASDPTLLVSSIKKRLRFRASESPYFITPNDMILGHHLFDSLCRAYGRDPSVVIPFD -PALFAECIALNEYAQLSSKTKATIVASASRSDPDWRFTAVRIFAKAQHKVNDGSVFGSWKACQTLALMHD -YVIMTLGPVKKYQRILDHNDRPRHIYTHCGKTPSQLASWCQEFACEGLSLCNDYTSFDQSQHGEAVVLEC -LKMRRCSIPDSLIQLHLHLKTNISTQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSQYQMGSTACMVSGDD -SVIFSSPPTHPSWAAVKDLLHLRFKTESTFQPLFCGYYVGPHGCCRNPYALFAKLMISTDRGNISDTLPS -YLYEYSIGHRLGDACLDLVPDHLHSYLSACFDFFCRKASPLQKTLLSFDEPSPSVLKKLASSSAWASSPL -LAELDDQSLQALLARSNLPSSHLDARVQRLESELLHSFQ - ->YP_002308439.1 polyprotein [Scrophularia mottle virus] -MAFQLALDSHSSTVHKDASLNPVLNHSVQPLQDSLQQFPWIIQKEHLPFLIACGIPASGFGTSPHPHAVH -KVIETFLLFSHWSFMASTPSSVMFMKPSKFARLRSVNPNFSELVNYRLTAADSVRYPTTSTSLPSHEVVF -MHDALMYFVPSQILQLFLSYPNLQRLHCSLVVPPESSFTDLSLHPSVYTYTLHGNTLHYVPEGHHAGSYD -QPLSAIRWLKINQIASPHLNLSVKVLESWGPCHSILIQRGLPPLHSKERQTISFRIPKCLALPEATFLHQ -PLRHRLVPSEVYDALFTYTRAVRTLRTSDPAGFVRTHSNKPQYAWVSSQAWDNLQTYALLNSPVRPNVVF -DFFLSPLNKLRLYLSQHLHPIVVKTLPFLAPILPLFKALTPGIPIPVLSDFHLLFCVVIGQRGPLSLAAF -PQPLQPLATLMNTRLVHRSFPPPILKALEHFNLLCPKPSAFRLRFLRSLLQWPSWRITLLLSSFAPCLAA -FLHFTSPLSLQSLHDGYNAHLHPSDFSLEWSLETFSVPQPTPFLPLHLNLPDPVPEIPMIAPPFPAVPSL -RLDPSPPATQPSQPSAPGTGSPAPPAVLDQSHQAPPQSKASTKEPPMDLAFLSLDEDKKVRPASPALDYI -PCEALEALPQHLRSINEFGSLNQVPLSMVSPLQNHSSEKENFPESDLLSDPSCCGPIVLFEDLFPGNYHH -SNGSFPTRQRSQASSSIPMPEKNCLLASISSQVPYSPQELWNFLCELLPDSLLSNQEISSFGLSTDHLTA -LCFRLRLECIIHAPHILLPYGLKHSTSVVHISYSEGPPKHFSPYIKLTASAPGSNQSKSALVRSALRFQF -NGAFLPFLQAHSHLISVPHAKNLISNMKNGFDGITSQLSNSPGVSPKSKLLELDSLIDVAQPRNVDIIHI -AGFAGCGKSHPVQHLLKTKPFRHFRLSVPTNELRSEWKRDLDLPESEAWRLCTWETSLFKSSSVIVIDEI -YKLPRGYLDLILLSDPSIQLAILLGDPLQGEYHSTHPSSSNARLPSETIRLAPYIDCYCWWTYRCPKKIA -DLFGVKTFSDKEGFIRSALSHPQGLPNLVNSIATANTMQNLGHHALTISSSQGMTYSSPVTILLDRHSSL -LSPQNGLVALTRSKSGVVFIGNMFQASGHFGTSYIFTQALSNQPVDLMSAFPIYHKLPLIHEPIKSRRHR -LVAGDRLPTPSINVKALLPKSLPPHISTSYDRDVLISNPVCFSRAPESRLATLHLPTTRLPLHFDLESCA -ISDSSASAIPKLPTPFSHCFHGESFEELAAFFLPAHDPSLKEIVFLDHSSSQFPFLDVPFSLSCQPSSLL -AASHKPSSDPTLLISSIKKRLRFRPSSAPYSFTPNDILLGGILFESWCRAFGRSTSQTLPFKPALFAECI -CLNEYAQLSSKAQATIVANASRSDPDWRYTAVRIFAKSQHKVNDGSIFGSWKACQTLALMHDFVILTLGP -VKKYQRIIDHFDRPSHIYTHCGKTPSQLNDWSQSFLQGSNFICNDYTSFDQSQHGEAVIFEALKMQRVSI -PQHLIDLHIFLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNLSVIFSQYAISSHPIMVSGDDSVICGSPL -ERSNWPQIKSLLHLRFKTEFTSLPLFCGYYVGEAGCCRNPFALFAKLMISYDKGNLQETLPSYLYEFSIG -HKLGDLVLSLFPSQLIQYYSACFDLFCRKCPPSQKIILSFEPIPESFFLRLLNGSKWVSKTLFSDLPSSL -LELMIGFSRLQSSHSDPKVQYLESELLHTFNHGGSQTNQSSTAIHPSARN - ->YP_002048673.1 replicase-associated protein RP [Diascia yellow mottle virus] -MAFQLALEALSTTSHRDSSLNPVLYHSVSPLQRSLDLFPWIITRDALPFLNSCGIPVSGFGTTPHPHAVH -KAIETFLLFSHWSSLASTLSSVMFMKPSKFRKLAAINPNFDELTNFRLTPADTVRYPTTSSSLPQHEIVF -MHDALMYFTPHQIVDLFERCPLLNRLHCSLVVPPESSFTDLSLFPTIYTYQLNSNNLHYTPEGHHAGSYD -QPRSAIRWLKLRRITSPFFNLSVTILESWGPVHSILIQRGLPISDSLAPPHASFKVPQARVLPEATFLNQ -PLRHRMVPSEVYDALFTYTRAVRTLRTSDPAGFVRTHSNKPKHAWVTPQAWDNLQTYALLNAPVRPRVVY -NFFLSPIQKAHLYLAQHLNPFLVRALPFLGLVLPALKVLTTGLPVPRVSSLQVAHRQLVGPHPEPSPTAH -PILRQIVAFSQQNPFFLELCQRTPFLNRFFRSACRPPSAFRLELQRSTLPLPSWLAVLLISMIPVMASPT -LTLVLPQSVQSLHDGYHTHLHPSEFNLSWPLESFHVPTRRNFLPPGMASNPSPPPTPFVAPPFATNSPHP -PPPEPPTASLGPSQPLAPHHPTPPTNPPAPASSSAPPELSLSQNPRSETSSPPSQPSPSPSQSSPLPAGP -PPHPSDGSCRCADCQPEHQHLRSINEFGALNQNPAPTPSPLLNRSEPKADLPGSDLLSDPSCVGPVVEFE -CLFPGVYHNSNGTFLTRQRAQASSSAPLPAKRCLLTSISPQLGVPEATLWNYLCEMLPDSLLDNPEIRNY -GLSTDHLTALASRLNFECVIHTGHTTLPYSCVGASTRVQITFHPGPPKHFSPNIRLSASAPGSNPSKSPL -VRAALRFQLNGDFLPFMNSHKHKVSVPHAKNLVSNMKNGFDGITSQLSESSGRSPKQKLLELDATIDVAF -PREVDVIHIAGFPGCGKSHPVQKLLQTKAFRHFRLSVPTNELRTEWKSDMSLPESEIWRLCTWETSLFKS -SSILVIDEIYKLPRGYLDLALLADPSTSLVILLGDPLQGEYHSSHPSSSNNRLESETSRLSKYIDCYCWW -TYRCPRAVADLFGVKTFNTEQGFIRGELSHPQGLPNLVNSIATATTMQNLGHHALTISSSQGMTYSSPTT -ILLDRHSTLLSPQNCFVALTRSRKGIIFIGNMYQASGYFGTSYMFTQALTGSPVDLMCAFPLYHTLPLIF -DPIKSRRQRLVAGDPLPISTSESAIRNYGRLPPHIPTDYAKDCFVSSQVVFSEGEDRTLPTLHLPPSRLP -LHLLTEPAAPSEVLLSETEPSKSPITLALLGESFEELAAHFLPAHDPELKEIIFADQSSSQFPFLDVPFE -LSCQPSSLLAASHRPASDPTLLVSSIKKRLRFRPSDCPYFISSNDILLGQHLFNSLCRAFNRSPLEVIPF -DPVLFAECIALNEYAQLSSKTKATIVANASRSDPDWRFTAVRIFAKAQHKVNDGSIFGSWKACQTLALMH -DYVIMTLGPVKKYQRILDHQDRPSHIYTHCGKTPAQLSSWCQKFSLDGPSLCNDYTSFDQSQHGEAVVLE -CLKMRRCSIPDNLIQLHLHLKTNISTQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSQYQMGATPCMVSGD -DSVIFANPPIHPTWPAVENLLHLRFKTESTTQPLFCGYYVGPSGCCRNPLALFAKLMITTDKGNLDDTLS -SYLYEYSIGHRLGDACLSLLPSHLHSYQSACFDFFCRKASPLQKTLLSFEEPSPSLLKKLASSSAWASGP -LLAQLDNDSLQSLLERSNLPSSHLDARVQRLESELLHSFQ - ->YP_001285472.1 putative RNA-dependend RNA polymerase [Okra mosaic virus] -MAFQLALDALSNTSHRDPSLHPVLESVTDSIRHSLTNYPWAVPKANLSFLLSSGIPVSNLGSSQHPHPTH -KILETHLLFNHWTHLAVQPASVLFMKPQKFHKLQRLNPNFQHLHNYHITPKDFTRFPSSSTTLPNTPVVF -MHDALMYYHPSQIVHLFLQSPQLVHLYASLVVPPESSFTDLSLYPDIYNYQIHSQTLHYVPEGHSAGAYN -QPLQSLSWLKTSSISHPEVHLTISILDSWGPLHSLLITRFTPPKNPSHPPPPTHPNHFNSVTSDQKDVAS -FRIPRMLQLPNPTYLNQPLRDRLVPESVYNALFTYTRAVRTLRVSDPAGFVRTHSNKPEHSWVTPSAWDN -LHTFALLNCHHRPNTVFHFFQTPLSNLKLYLQQHWRRITAVAAPTLSFLILLPRFLQWSLPLAKVKSISA -FRRQIYLSPPPAVPPPPPPSPFPLPPLLSFLGPLLQPFLADNHPLVPAFRQLLFRSFPALFSSSPAKKSP -PLPVLPRVTFTPCRLPLNTPVPLALLASLVPELFILASKILQPPSPQLRDELYHSHLHPPLFHLQWGRSP -LVLQVPTPFLPFEPLPNPPPPPQSMLPAPPPPPPSFPALPPAPPQNSPPSPLPSNQAIADSSPATSPSNT -STPNSPPTFGPNNSPAPKSESSNGSFPSTSTPSPPHPDPETPDQSDLPRSNLYHPSGELNYQASPPVTSP -DSPLVPVVPLPQELLPPLIADVTCCGPVLAFSTLFPEEYHASSASFQTRLRLLPPTPLPMPSNNCLLTAL -SPSLNLSEERLWSALQEILPDSLLSNAEIDQPGMSTDLLVALCYTFKFQCTISSDLGLLHYGLKRSSQTV -FIKHTNGPPAHYSELPRLSGASRPTTSPLAEAALRFQFRGHHLPFSTVHSYTTDLARAKNLISNMKNGFD -GILSTIDPNVKHSPGQSPRDKILALDRLIDPAVPKTVELIHIAGFAGCGKTLPVQHLLRTRPFSSFRVCC -PTTELRNEWKRDLSLPSHLSWKFCTWESSLLKQSPVLVIDEVYKLPRGYVDLAIQADPLLQFVILLGDPL -QGEYHSTSPHSSNHTLSPESSHLLRFIDFYCFWSHRVPSPICDLFGLPSFNKSSLTYRHHVRFTEHYNLG -QQNLVNSIATANAVSQLGFPTCTISASQGLTFRKHVTVLLDKHSRRLSPSNAIVALTRSTAGVDFLGASD -ALSGTNNSCDIFSRAIYQQPINLHTAFPNIFPHLNLITSPLQRRSNRLTGSHNPITTPLLSRHSHLPPHI -PCDYRRDFLVQNPILPGFGPEERLDVHFLPPTRLPLQSDLLSTLPSSSDPTPPPSDFSTPITPVYPGETF -ENLAAHFLPAHDPDLKEILWQDQSSNQFPWFDRPFSLSCQPSSLLAAKHSPAKDPTLLPASIKKRLRFRP -SESPHQITSNDVILGHILYQSLCRAYNRPPHLTIPFNESLFADCININDYAQLSSKTKATLVANAGRSDP -DWRHTAVRIFAKAQHKVNDGSIFGNWKACQTLALMHDFVILTLGPVKKYQRFFDSQDRPPHIYSHLGKTP -SQLSSWSHSFLPPGPKLANDYTSFDQSQHGESVVLEVLKMKRLSIPSHLIQLHLHLKTHVSTQFGPLTCM -RLTGEPGTYDDNTDYNLAVLFSQYDLSSTHVMVSGDDSLIAGLPTERPEWPSIHRLLHLRFKTETTSQPL -FCGYYVGPAGAIRNPLALFAKLMIAFDDDALPERRLSYLTEFSIGHLLGDALWSLLPDHLHPFQSACFDF -FSRFCPPHEKMLLSSDPLPIHLLERVTSSARWLSKTATSALPTRLTRKILDFSQSQSSTKTPKSPT - ->YP_406375.1 replicase [Dulcamara mottle virus] -MAFQSALEALNSTTHRDAVSHPILTSVVRPLQDSLETYPWLLPKEALPFLNNQGIPASSFGTMPHPHPIH -KTLETFLLHQHWSFLCVTPSTVLFMKPSKFQKLQRKNPNFQELKNYRLTSSDTVRYPTTSTTLPTTESVF -MQDALMYFHPSQICDLFLKSPNIQKLFCSLVIPPESSFTDLSLHPEIYTYQISGQTLHYVPENHSSGSYN -QPLSALSWLKIHSIASNHITLSVTILDSWGPLHSILIQRGLPSRHPAHVSPNIPSSNSDLFHRFQLVPTA -LASFRIPEALELPSASFLNQPLRHRLVPVQVYNSLFTYTRAVRTLRTSDPAGFVRTQSNKPQYSWVTPSA -WDNLQTFALLNANVRQKTRYLFLDNPLQKILHYLKQHSMLIFSRSVPLMSALLVLPKLLGYRIPVPTISH -LSIAGHSFIRKPPHLTVPFLHLKAFPRILQRKSFTLPKPLIQALEFLGAPLHPSPPLLPRLGFKRGSLPL -PNWKFMLSLGLIPEVLLAFLHLTRPTQDQSYHDLYHHNLHPQRFHLQWELTSFSVEEIHPFLPLHLESSN -SHSSTPPPPVPPQFDQTAVAHSPIAESPTLSPPVSLSFGSLPLVSTVNPDGSLTMHESVVNPRSPSPEPP -QSALVNDPTCSGPVLPFEDLFPASYIHETPSFQTRLRVHPSSHLPIPARHCLLAALSDATNYSIDQLWDF -LQEILPDSLLHNPEVDQFGLSSDILIALSYHLHFQVHVLSASGWLYYGLSNASTCYYLKHEFGPPAHYSV -YRRIVGGAPKAANSNRCTDYGRLMLRFKYNDSYLPFRQVHKFSPSLSHAKNLISNMKNGFDGILSTIDTP -SSSSGPSPRERIYALDSICDVARTRCVDLVHIAGFPGCGKTHPLQQLLKTRAFSNFRISCPTTELRNEWK -ADMKPNPEEFWKFSTWESSLLKKGPILVIDEIYKLPRGYLDLSIIADPSIEFVVILGDPLQGEYHSSSPH -SSNNRLQSEVSRLSRFIDCYCWWSYRIPKSIARLFNVHTFSSEEGFISTISSHRPNGKNLVNSISTADTM -HQLGHHALTISSSQGMTFDTPVSILLDRHTVLLSPSNTFVALTRSKKGVEFLGNLTVASNNFGNNYMFSA -ALTSQEVCMSQCFPRLFDSLPKIYEPIKSRRVRLTGGDISQGEISKLESRAKPPHVSVDYNGDVVHFSSS -VFGSNEERRLDVLHLPPTRLILQSDLVSGLPSDPSTSLCDAIFGTPFTPAYPEETFENLAAFFLPAHDPD -LKEMLYRDDASSQFPYLDSPFLLSCQPSSLIAAIHKPATDSTLLPLSVNKRLRFRPSTSPYCFTPNDFIL -GEHLFQSLCSVYNRHPSESIPFDPVLFAECICINDYAQLSSKTKATIVANAERSDPDWRYTSVKIFAKSQ -HKVNDGSIFGNWKACQTLALMHDYVILTLGPVKKYQRFFDQKDCPAHIYKHCGKTPADLSIWCKTNLSHQ -VYIENDYTSFDQSQHGEAVVLEVLKMQRLQIPKELIELHVHLKTNVDTQFGPLTCMRLTGEPGTYDDNTD -YNLAVIYSQYSMGSTPCLVSGDDSVVAGQPPVNPAWNAIKNLLHLKFKTHCSAYPLFCGYYVSPVGAVRN -PHALFAKLMIAHDDGSIPEKKLSYLSEFSVGHSIGHEFWSAIPDSIHCYQAAVYNFFCRHCSRSEKLLLT -LDSIDESHLEKLSYKVKWVSKTLFSMLPQKARDHFISASKISSFPDDPSVSSLESELLHGFQ - ->YP_224218.1 replicase-associated polyprotein [Citrus sudden death-associated virus] -MDRISARIPVAPASAGPTEYTPYPHTHPLLPRGVFTSGPIQPCLHFLPHHAQDAPIRCYRPLTFANHLRY -DRSASSLKTPPVKLPLTGGTLADAILSLAPTTHRDTIATPLMEALAEPYRQSLSTYPWHIPTNLQPFLTS -CGITTAGQGFKAHPHPVHKTIETNLLTNVWPHYATTPSGVMFMKPSKFEKLKIKQPNFSKLYNYRITAKD -TTRYPSTSPDLPTEDTCFMHDALMYYSPGQICDLFLSRPSLQKLYASLVVPPESDFTTISLFPDLYRYRI -EKDQLIYELEQNPAHNYIQPRSAIDWLKTTTIRCQDLTLTISRLDSWGPVHSLLIQRGKPPIHLEEDSIS -FRAPKAVLLPEPASLSQSVRDRLVPADVYQALFIYVRAVRTLRVTDPAGFVRTQISKPEYSWVTSSAWDN -LAHFALATAPHRPHTTYFLFNSTAARVAHWFRTHTLAPLSGATAAAASLLMTASWGFRAMISSHLVSLSI -CKRWLKAPPHLLWPEKAPWFQLTLRPKVTGPLIDLPILRPFRLFPSTCAKLGAKHPALATLLPAAPRPTW -PLKVGLALAAVPVCLFLWRKFIGPDSPQDMHDSYHAMFHPQPWGLTLTRKAICCDRAPFLPIPVVPSSDF -KAPPTPATPLLTSIPIKGVEPQVSGEGVPPQSASSTGPASDSRRAPQPASSTGPDPPTQNTSAAPQPPIE -SKVTFAQPIESVAPVVPGAGEPPQSASSTGPASVSRRDPQVASSTTPDAPTLDVSVTPPKTIYPIDHLQN -DFGPCRCSVCEPLQPAPVPSTPLTVSDHKEAQDAEALSSALQALGLAPTPPAPQSQNLTVESSGAMHASS -WDQLSSPSSDWDPSPLARDSSASGPPGMYSDLFPAPYLPGTGQFIFRSRANGRANIPYPDMDCLLLSIEQ -ATRLPKEALWDTLCATCPDSLLDPDTIRRVGLSTDHFAILAHHYSLRCRFHTAHGVIELGMADATSSFDI -DHTAGNPGHFSLRQSATPRLNGGIAQDLAVAALRFNIDGTLLPIRSVHVYSTWPKRAKNLSSNMKNGFDG -IMANIHPTKTNESREKILALDSQLDIAVRRSVRLIHIAGFPGCGKSFPISRLLRTPTFRNFKVAVPTVEL -RAEWKTITGLPASEAWRIGTWESSLLKSARVLVIDEIYKMPRGYIDLAIHSDPTIEMVIALGDPLQGEYH -STHPSSTNSRLLSEPQHLSMYLDFYCLWSHRVPQNVAAFFHVKTTSKQPGFCRYQRELPNSRILANSQNA -GHTLQQCGYAAVTIASSQGSTYENAACIHLDRNSSLLSPAHSMVALTRSKVGVIFTGDPAQLSNAPSSNR -MFSEFFSGRTRPLHDWFHNEFPKATVLTEPLKTRGPRLTGAASPYSKAVPIRQASTPALKPDFQGDVIIS -APIVLGSGELNAPQVSSHFLPETRRPLHWDIPSAIPESATRPDSTEPTTSHPEPVYPGETFENLAAHFLP -AHDPTDREIYWQGQLSNQFPHMDKEFHLAAQPMSLLAAVHQEKQDPTLLPASIQKRLRFRPSDKPYQITP -KDEILGQLLFEGLCRAYHRSPFHTEAFDPVLFAECINLNEFAQLSSKTQATIMGNARRSDPDWRWSAVRI -FSKTQHKVNEGSIFRSWKACQTLALMHDAVVLILGPVKKYQRVFDQRDRPRHLYIHAGNTPSQMSNWCQQ -HLTTAVKLANDYTAFDQSQHGEAVVLERKKMERLSIPQALIDLHIHLKTHVSTQFGPLTCMRLTGEPGTY -DDNSDYNLAVVNCEYMAANTPTMVSGDDSLLDREPPTRPEWVILQPLLSLRFKKERGRYATFCGYYASHV -GCVRSPVALFAKLAIAVDDGSISDKMASYLSEFALGHSLGDHLWEALPLEAVPFQSACFDFFCRRAPRHL -KLSLMLGEVPESIIARIGSSLKWASHAIYTTLSSAARVAILRSSRNSRSMPDDPDTTLLQGELLQHFQVP -FMQSDTLLPLTGGSSAPILTPEAFSTSLAFSMASDAQAGPAPSRDDRVDRQPRLPAAPRVAEVGLNAPSV -DYPFQWVVASYDGSEAKNLSDDLSGSATLTKVMANYRHAELTSVELEVCPLAAAFSKPISVSAVWTIASI -SPASASETSYYGGRLFTVGGPVLMSSTTHLPADLTRLNPVLKGPVKYTDCPRFSYSVYSNGGTKGTNLCT -IILRGVVRLSGPSGNLLA - ->NP_663297.1 replicase/papain-like protease [Turnip yellow mosaic virus] -MAFQLALDALAPTTHRDPSLHPILESTVDSIRSSIQTYPWSIPKELLPLLNSYGIPTSGLGTSHHPHAAH -KTIETFLLCTHWSFQATTPSSVMFMKPSKFNKLAQVNSNFRELKNYRLHPNDSTRYPFTSPDLPVFPTIF -MHDALMYYHPSQIMDLFLRKPNLERLYASLVVPPEAHLSDQSFYPKLYTYTTTRHTLHYVPEGHEAGSYN -QPSDAHSWLRINSIRLGNHHLSVTILESWGPVHSLLIQRGTPPPDPSLQAPPTLMXSDLFRSYQEPRLDV -VSFRIPDAIELPQATFLQQPLRDRLVPRAVYNALFTYTRAVRTLRTSDPAAFVRMHSSKPDHDWVTSNAW -DNLQTFALLNVPLRPNVVYHVLQSPIASLSLYLRQHWRRLTATAVPILSFLTLLQRFLPLPIPLAEVKSI -TAFRRELYRKKEPHHPLDVFHLQHRVRNYHSAISAVRPASPPHQKLPHALQKAALLLLRPISPLLTATPF -FRSEQKSMLPNAELSWTLKRFALPWQASLVLLALSESSILLHKLFSPPTLQAQHDTYHRHLHPGSYSLQW -ERTPLSIPRTTAFLPFTPTTSTAPPDRSEASLPPAFASTFVPRPPPAASSPGAQPPTTTAAPPTPIEPTQ -RTHQNSDLALESSTSTEPPPPPIRSPDXTPSAPVLFPEINSPRRFPPQLPATPDLEPAHTPPPLSIPHQD -PTDSADPLMGSHLLHHSLPAPPTHPLPSSQLLPAPLTNDPTAIGPVLPFEELHPRRYPENTATFLTRLRS -LPSNHLPQPTLNCLLSAVSDQTKVSEEHLWESLQTILPDSQLSNEETNTLGLSTEHLTALAHLYNFQATV -YSDRGPILFGPSDTIKRIDITHTTGPPSHFSPGKRLLGSQPSAKGHPSDPLIRAMKSFKVSGNYLPFSEA -HNHPTSISHAKNLISNMKNGFDGVLSLLDVSTGQRTGPXPKERIIQIDHYLDTNPGKTTPVVHFAGFAGC -GKTYPIQQLLKTKLFKDFRVSCPTTELRTEWKTAMELHGSQSWRFNTWESSILKSSRILVIDEIYKMPRG -YLDLSILADPALELVIILGDPLQGEYHSQSKDSSNHRLPSETLRLLPYIDMYCWWSYRIPQCIARLFQIH -SFNAWQGVIGSVSTPHDQSPVLTNSHASSLTFNSLGYRSCTISSSQGLTFCDPAIIVLDNYTKWLSSANG -LVALTRSRSGVQFMXPSSYVGGTNGSSAMFSDAFNNSLIIMDRYFPSLFPQLKLITSPLTTRGPKLNGAT -PSASPTHRSPNFHLPPHIPLSYDRDFVTVNPTLPDQGPETRLDTHFLPPSRLPLHFDLPPAITPPPVSTS -VDPPQAKASPVYPGEFFDSLAAFFLPAHDPSTREILHKDQSSNQFPWFDRPFSLSCQPSSLISAKHAPNH -DPTLLPASINKRLRFRPSDSPHQITADDVVLGLQLFHSLCRAYSRQPNSTVPFNPELFAECISLNEYAQL -SSKTQSTIVANASRSDPDWRHTTVKIFAKAQHKVNDGSIFGSWKACQTLALMHDYVILVLGPVKKYQRIF -DNADRPPNIYSHCGKTPNQLRDWCQEHLTHSTPKIANDYTAFDQSQHGESVVLEALKMKRLNIPSHLIQL -HVHLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSQYDVGSCPIMVSGDDSLIDHPLPTRHDWPS -VLKRLHLRFKLELTSHPLFCGYYVGPAGCIRNPLALFCKLMIAVDDDALDDRRLSYLTEFTTGHLLGESL -WHLLPETHVQYQSACFDFFCRRCPRHEKMLLDDSTPALSLLERITSSPRWLTKNAMYLLPAKLRLAITSL -SQTQSFPESIEVSHAESELLHYVQ - ->NP_619756.1 replicase [Physalis mottle virus] -MSYQAALDALNSTSHRDASTNPILNSVVEPLRDSLSSYPYLIPKEDIPLILSFGIPVSGLGTDPHPHPIH -KTIEIHLLFTHWRHLARLPSTVMFMKPSKFRKLQAINPNFSHLLNYRLTSADTCRYPETSTHLPTTTSCF -MHDALMYYHPSQILDLFMSCEQLQTLYCSLIVPPESHFTNLSLYPSVYTYQIHGRTLHYIPESHHAGSYD -QPLDALSWLKIHSIPHPSLTLSVTRLESWGPCHSLLIQRGLPPRPSLSTRPPPLPNNPIRPLTPSHLNRV -SAKLACLQVTLRSPPLSYLSFMIPDCLELPQATFLRQPLRHRLIPLSVYNSLFTYTRAVRTLRTSDPAGF -VRTQSNKPEHKWVTPKAWDNLQTFALLNAPIRPQVVYEFLLNPLQRLRLHLQQHWRRYLLFSSPLLSTLI -TLHTRALPLPIPFPIPLVKDQFYHRFIEDRFPSTIPPFHPYNKPLLITLPCQKQLHQLLNNTKLLLPALF -KRNHPLSSLVPFLFSRKKPLSITLPALTSNPPVQFPHRFTSSYPNCWALRNRILPGFAPQPAFLSFSKTF -LTTTQLLPLPLPLKTLLILTPQLLSLYYHVTSPLPPQQLLDNYHNSLHPDQFHLHWTLTTISVSSPTPFL -PFDLPHQPPPSLSTQPPPTPRFQSPPPIPTTLSAEIPPPPNPPPTHPDSNFLPSTSTPDLPPPRTSPPIV -VDPSHVAHHPYHHLTSRSLYSILDDPAYETCVRSGFVSINQSPYLPTSLFARASTSTPTPPQFNVPPSLS -HLPVYSPPDPPSTQIPPTQSTLPTGALTFTPASVPLSHPPISPPTPLSLSTQPFFTDPTCTGPVTTFELL -YPAAYHPDTATFQTRLRCLPPTPLPIPKNKCLLTAFSSQTHYSEESIWHTLQTLLPDSLLSNPEISTLGL -STDILTTLCYYYHLQAVVLCPNRELHFGITTSSQTITLSYEPGPPRHFSSRPRLLGSAPHSNPDSSPLVR -HALRFKHNQHYLPFTSSHTHTSSLPHAKNLISNMKNGFDGVTSTLTQPHPNGPSLRDKLFTLDSLIDHSS -AKSIPVIHISGFAGCGKTHPIQHLLKTRPFLHNLRLSTPTNELRSEWKRDMRPTPENIWRFSTWESLLFK -HSEILVIDECYKCPRYHHLHSILADPTLQTVILLGDPLQGEYHSNNPHSTNHPLPSEVLRFASYIDCYCW -WTYRLPIKTANLFHIPTFSKQPGVITTSHTHPNQSKNLVNSIPTATAMNQMGHHAITISSSQGGTYDEIN -TILLDRNTNLLSPNNCLVALTRSKKGFMFVGNLHLASSDFGTNYMFSQALAQRPIDLTSTFPIDLPYLPL -LHDPISSRNTRLVAGLTNFNHQPKAFKPGRNTLPPHIPLSLIIDHLYTTPSRWVTLSIHAWKPTHLPPTR -LPLHTDLLPTLPSDPTPSPPPKEFATPISHAYHGEYFDSLAAFFLPAHDPTVKEIALKDQTSNQFPFLDR -EFSLSCQPSSLIAAIHSPSQDPTLLPASIHKRLRFRLSDAPYRITAQDNILGHHLFNSLCRAYHRSPLTV -DPFDPHLFAQCISINEYSQLSSKTKATIVANASRSDPDWRFTSVRIFAKAQHKVNDGSIFGSWKACQTLA -LMHDYIILTLGPVKKYQRIFDMKDRPPHLYLHCGHTPCQLSAWCTEHFRPTICTTNDYTAFDQSQHGEAV -VWKCLKMQRLSIPQHLINLHLHLKTNVHTQFGPLTCMRLTGEPGTYDDNSDYNIAVIYSQYTMQNLPLLV -SGDDSVIVGTPPISPNWPAIKDLLHLKFKTEITSSPLFCGYYLSPAGCIRNPLAHFAKLMTCVDDMSLPE -KVLSYLSEVSIGHNLGDQIIQHLPPHLIQYQSACFDFFCRNSTPSQKLLLSNDPIPESKLLALVHKIKWA -SKAFFSEPPQAREFLVSKSSLPSFPNNPKVSELESELLHFSQ - ->NP_542612.1 replicase [Grapevine fleck virus] -MVLTLCFHSDRDHHFLSTLPLAEIRRLAPGGFSVFVPEDLLPHLSPSPSCPTPPLPRELTWSAACNPTNF -PHLFRHHRPPPPCTRLTPRPPPPTPAPPSASTLPRVFHGGAPPAAFQPAIDFLHNTIQKDTIASSIIAAL -NPSLTSSLTLYPYALPPRWPSALNQAGIPATSYGHQSHPHPIHKTIETHLLHEHWANRATLPSTVMFMKR -SKFDKLRVSNAALVKSASNFLHLLNPILTARDADRYTHLPLPDTLPSTPLYFMHHSLMYFSPSQIAGLFL -AAPFLERLYASLVLPAESTIGSHPFFPSLYRYRTTGEHLHYVLEGNPSSSYTQPLTATQWLTTSSITAGD -LHLTVTVLESWFSVHSILITRGVRPLELPRDIISLPSPDAVLLPNPSAFDIPLRSRLVPRDVCESLFVYV -RAVRTLRTTDPAGFIRTQSNKAEFDWVTAEAWDHLAQFALLTAPVRPNTYFLPLLSPLAVVRHWLFRKQR -PIFATLTLLSASTAAAIPIAIARLRTHSVTQLTILGHHFTPPKILARLPVALKRLIPKRLLPHLPSHLRP -PPSWSPVFTLTFSELPKARFLTFPISGQTHTLLRQLHVPAILFAPQRPSRPLIFAGLLIGTVPVLYGAYR -WFVSRFDPQTVYNRYSDLLHRPTWHLTFEREPLSCFPTPFLPHPSSHPRRARRLPPLPPAPPLPPQPPPP -PPPQPSPHPPLFPASIPSPPPRPSSPPPPATSPASTPALTPIPAPKTAPPLTFPSPTLVAEPDAPVTARP -SPLPLAPSRPFSELYPGHYADHSGSFFLQQPLVASSVPYPALDCLLVSCSAASGIPKEDLWATLCHIFPP -SDLVSDLGLSTNHLTALAFTYQWLVTLRSGELVQRHGLLSAPFAFEITHTPPVPPATVGHFALSAPLTPT -SACLTGGAPSPVISGPKASASLPRARFGPRPEAPRPPLTPPGFTPITEPTPATSPFALAALRFRLNRQPL -PIRQVHAYSIALPRAKNLVSNLKNGFDGLVSSLPASDRTNLLPLIQALDHTADFPPARPPVGLIHIAGFA -GCGKSYPIQQLLATQTFRHFRVVTPTTELRHEWKRALKLEGPSSWRVSTWETALAKRASVLVIDEVYKLP -RGYLDLALLADPTVEFVIILGDPLQGSYNPTNPDSSNHRLIPEEDHLRPFIDFYCLWTRRLPRLVADFFG -VPTTNPTRGHLAFASLNTTQSPLLVPSDSMARALTAGGHRAITYAASQGSTYPAPVHIFLDRNSNLVTNH -VALVALTRSRSGVHFRPRAQDLPRHPQHLFTAFYKYAIDLLAHEADPSKPRPTPVDVTLLFQQQLRGLTI -LRDPSFSRITGGATHAFLAHAPLFTNLHGLRPTTFPDNLPTAPTYLARSLPYHQTESYPTSALPHRVFPA -STTDWSAADDHPRVNPTFVAETRLPLQSELAPTLPSQPEPSPTYHSPATFETVYPGVDGEALARTFLAAT -DPLELEIFFRNNWSNQFPFINRPDTVACNPLTLVAPTHNQKQDPTLLHASLAKRLRFRDSTAPYTITAKD -QALGYILYHSLQRAYCRSPEPVPFDPVLFASCIAENDFAQLTSKTQATIQANAFRSDPDWRHTFVRIFSK -TQHKVNENSLFTSWKACQTLALMHDYLILVLGPVKKYQRILDSRDRPAHLYIHAGQTPHQLSEWCQNHLT -PSVHLANDYTAFDQSQHGEAVVLEAWKMRRASIPEPFITLHVHVKTNIECQFGPLTCMRITGEPGTYDDN -TDYNLAILYTQYLLHRTPVLVSGDDSLVDRVPPMNPSWPALAPLFALKPKPETSPFGLFCGYFVGPAGAV -RAPRALFAKLAIALEDGSLPEKIASYVAEFSVGQSLGDSLWSLIPPELVIYQSACFDLICRHASPQLKLA -LRLGEVPDWGSLLSQLKLRFLTRPLFALLDAHTRVMVRTHKAHLLPSGHALHPSTEPFY - ->NP_067737.1 replication protein [Chayote mosaic virus] -MSFQLALDALSHTTHRDSSVFPVLDSVSQPLRSSIQDYPWIVPKEHLPFLIQSGIQISGFGSTPHPHPVH -KVLETNLLFNHWNHLCRVPSTVLFMKPSKFRRLQEANPHFSQLLNYRLSSADTARYPTTSSILPTLTNAF -MHDALMYFHPSQILDLFLQCPQLETLYCSLVIPPESDFTDFSLYPHLYQFQVTGSSLHYTPESHHAGSYN -QPSLALSWLKVHSITSPHLTLSITKLESWGPLHSILVQRGLPLQHPLSIHAPPPSPPQTLSLSTPSFSDF -ASTDSLQSFQTPDCLELPQATFLHQPLRHRLVPTKVYEALFTYTRAVRTLRVSDPAGFVRMHSNKPEHSW -VTSQAWDNLQTFALLNAPIRPPAMYSFFLNPVRKLLLVARQHWQSILLKVSPALSASVLFLLSQSHLITL -PLPSVNIRGLLPTFSRSPAYPPNPLLDQSPSEEAFSRHLHLLLSSLPQSLPQMAKDFFLSLSPVDPASPP -RPPALTIRTVKAIPIFQTSLPVRFLLSLTPQFLLCLNRLLSPLPLQALHDTYHSVLHPPQFRLQWKLRSF -HVSKAHPFLPLSLTPPPLLSSSSTAPDVPPLFPPIHIIPEPESASPPSEAALSLPADLPQPNLTAPSLTP -TPAPTSEASSQATSATPLPPAVTSIDSPSLTQASIPPQSAQTGCPSPSEILFPESSGSPTVLPTGALTFS -NSPSSPQTSLQSKKSPPPTPLESDPSCTGPVVPFSEAFPAYYYSDTASFHTRVRCLPPSQIAVPALCCLL -EAFSSETKLPVDDLWLTLRSHLPDSLLSNSEISTYGLSTDLLTALCFFYHLRCSLHTNSGVLLFGIQNSE -QHICITHTSGPPAHYSPGARLNGSAPRSNPLNSPLVRAALRFKYQNHFLPFERAHAFTTSLPHAKNLISN -MKNGFDGILSSLDSPSSSGPSPREKLFAIDALIDSTQARTVPIIHIAGFAGCGKTHPIQQLLRTPLFRDF -RVSCPTTDLRSEWKDDMKPSPPNVWRFSTWESSLLKTSSVLVIDEVYKLPSGYLDLSILSDPAIQLVILL -GDPLQGEYHSTSLSSSNSRLESEITRLSPFIDCYCWWSYRIPQSVAEVLDVTSFNPTRGFIRASLTHPQN -SKNLVNSIATANALQHMGHHAMTISSSQGVTFSEANTILLDRNTNQLSPNTCLVGLTRSRTGVIFVGNLH -LASNSFGTCYIFSRALSGQPIDYASLFPRVFPTLRRIFSPITSRKTRLLGGFSLVSHDTNLPLFARLLAF -RSLSLPPHIPVSHSSDVLITSAQVFSTLEESRLSTLHLPPTRLPLHYDLPPAAPSPPPAPAVDFSGLTPI -SHAFAGEFFDSLAAFFLPPHDPQTREHPDPSIQSNQFPWVDLPFELSCQPSSLIAAKHSPSSDPLLLSAS -LSKRLRFRPSENPYSITPMDQLLGEHLFASLQRAYKRPVEQILPFHPELFAECICANEYAQLSSKTQATI -VANHTRSDPDWRFTAVKIFAKSQHKVNDGSIFGSWKACQTLALMHDYVILVLGPVKKYQRLFDDRDRPPN -LYIHRGQTPLDLSHFCSSHSLPSKFVANDYTSFDQSQRGEAVVLELLKMQRLSIPSHLQALHLFLKTNVR -TQFGPLTCMRLTGEPGTYDDNTDYNLATIFSQYAITDQPVFVSGDDSVIASEPPQSPSWRDVLPMLNLRF -KTEHTRYPLFCGYYLTPQGAIRNPLALFAKLMICVDDGSVKDKILSYISEFSVGHSAGDTILHHLPSHLL -PYHSACFDFFCRFATPSQKLTLSLDPIPESIWMSLIHKIRWASRSLFSQLPQKARDYLISRSHLSSSSLL -HSDSQPESELLPFLN - ->NP_037647.1 replicase-associated protein [Poinsettia mosaic virus] -MAFQDAFNNLSSTVHRDTVAAPLLESIAQPLRDSLELYPWAINAEKLDLLKKFGISVSGLGHQAHPHPFH -KIIETHLLFQHWSHNCREDSTVLFMKPEKFQKLASFNPHFKHLLNYRLHAKDTTRFPETACSLPTTSTVF -MHDALTYYKPSQIMDLFLRIPNLNSLYASVVVPAESSFTDHSLYPEVYQYKTIRSHLHYVPESNHSSAYN -QPADALLWLELSTIQGPSFSLTVTRLDSWGPCHSLLIQRGIPPAHVVNDFVSFDVPAAVLLPEATSIRQP -TRHRLVPQSVYNALFTYTRAVRTLRISDPVGFVRTQSNKPEHAWVTSSAWDNLQHFSLLTASNRPSNSYS -WNGSLWQRFISRLQTVAAELKSSAIFTSSITTFLFSLLFQYFRRKSAASRSLPSNLGFRSLDEHVKLHEG -LLRAGFSYTHTSQTRQGPRDFYHRAAERFKFMSALVRSISLSLPLLAFAIYSKCTQPMPPQSLHDSYHNY -HHPSKWVLGWSRRLTVVTPEAFLPFEPVPIPADQSPPQWTPAPLQSLSISPQMRNSSGAGSTASFTATDI -TITIMASVFSPATPTPLKGCLSSAPITCLISVEQRTLANTKPLILMTKRRRRSRILAPSRAPLRTQGCAC -SARGALKVLQPATAKKADPAPPKTRRINTYSLMGADQVYPSMSMVTSSQSPTRSCSRKSASSWSIHRLWA -VRLRTLYPANYIGTSADFLARLRNGPPSRVPIPVNQSCLLVAISKATSISLEELWKTLAALLPDSLLLPE -DITTRGLSTDHFVVLASAHSLKCIFISGALELELGLHNAHHSFTIRHTSSANQLPHFELVADGTRALRGA -ASDTKELNRAALGFKFKNAFLPFRKVHVYTTEPDRAKNLISNMKNGFDGVLANIDPNHPHEARDRLLALD -GSIEVHAPRKVSLIHLAGFPGCGKTKPIQALLKTSLFADYKVAVPTVELRAEWKADLALKTGQAWRLSTW -ESSLLKTASILVIDEVYKMPRGYVDLAVAADPTVRFVILLGDPLQGEYHSSHPESQNKRLSSEIHRLLKY -IDCYCLWSHRIPQCVARCFGVESSNEHEGYVGFSSFIAPQDITLACSQSSAKTLRDAGYPATTVSSSQGS -TYRTPINLLLDRNSRRLSSAVSLVAATRSTMAINMTGDRDVLQQGPHNNPVFSALYNANLISLHSLFPTL -FAKLPIIRTAITSRRGMLTGGNTSGEDDVIIDSRRPGPLNAPEVDDTFLPTWRRPLHRNLASAVHSNCPA -QSTHVTPAAITAVYPGESFENLAAHFLPAHDPEIKEILHRGEFSAQFPILLNQDFSLSAQSSTLIAAKHD -SKRDPTLLVASITKRLCFRPSDSSYQLSSKDQILGLTLYHSWCRAYNRHPQAVVPFDELLFAECININEF -AQSSNKTKSTIIANASRSDPDWRITAVKIFSKTQHKINEASIFGSWKACQTLALMHDYVVLFLGPVKIYQ -RIFDSQERPANVYYHAGHSPLEMSEWAQKHLQSGISHTNDYTAFDQSQHGEAVVFEMAKMRRLSIPESLI -NLHSYLKRNVDTQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSKYLVLDHPIMVSGDDSVIGGNPTVSRNW -PAIEKLLALRFKTESTKHPLFCGYYVGPAGAIRSPITLFAKIMSAVDDLSIDDKLASYLSEFAIGHSLGH -DFWDLLPIDQVPYQSAVYDFFCRHASREQKQILNIGEVPDTVLSSIASHLRFASRALYCLLPSSVRRALT -SKKTVTDAFEDPDVSHMQGELLQNFQESNLTKLRGADMSDQVVKQLESNHVDSTPSLIPRPPFQGGSRTV -PFQTVAMDVVAAGGNATFNLAGHVSLSEITAPYRKARLAELKAIVCPTAASFQSPITLDLVWSTNNVIFT -DLQILQVYGGTRFAIGGPLLSHTYELRADLSYLNPVIKDSVSYVDTPKLTLNASDPTGSGSTATTVATVL -VSGKLMSPTHWPHPHPSSRTLIQQCFG - ->NP_047920.1 replicase protein [Erysimum latent virus] -MAFQLALDALSSTTHRDSISAPLLDSSVSQLQSSLELFPYTVPKELVPQLNRMGIQVSGLTSTPHPHAAH -KTLELNLLFNHWAKSCNVDSAVVFMKPSKFFKLQEKNSHFKSLHNYRLHPHDSNRYPHPSTSLPTEKRFY -IHDSLMYFTPHQISGLFESCPNLLSLYASLVVPPESSMTDLSLNPDLYRYSIHKSTLHYTPEGHSAGSYN -QPVNALDWLKISAIQTPSLSLSVSVLESWGPLHSLLIERSSQTQNPDSQKIKDLISFQTPQALILPNPDS -LAVPLRHRLVPQKTYDALFTYTRATRTLRTSDPAGFVRTQSNKPEFNWVTSQAWDNLQTYALLTASYRPP -VSYTLHRSPLTKLKELLTRNALKLAAMASPALTLAIFTTMTALNTNSSKALSFSALKIHLLNPLTGPELL -HFQTSVLQQKNSAPLSQAEAKQELDKSAVPAPSEHDSSASQSTSLSLSASSQLLSTEKHPGSELSSKAIP -VSTSCPSASKQLAPPLTAESHSSVNALLRKFLGPNSPQSNLDNYNLHLHPESFTLGWKRRPLLLDSHSSF -LPSSCLQPPASPSIAAAPHPLPPAQKPPRPPTTVPTPKPLASPSQTQAAQPATQSPPSIPQTAPVTSLLP -APLETDDSCAGPISTFQDLFPASYYPHTANFPCRSKIPGYLEAPYPPLDCMLVALSAQMPQSPQELWSAL -NTLMPLSALTSPSLRVLGLGTEELTALSYYYHFQAEIHSDNEIYRFGIQTASTKLCLIRDSGPPAHFTAP -DPLRAGSPPSRSQTNENSLRRSLLGFRLNGNLLPIDQVHSFTSEPSRAKNLASNMKNGFDGILTTLAALS -SLSSGPSPRDRIFTLDGICDFALPKTVDLIHLSGFAGCGKTHPIQQLLKTPHFHNFRVVTPTTNLRSEWK -SDMALPAHHNWRFSTWESALLKHAEILVIDEIYKLPRGYLDLSLIADPTVKLVILLGDPLQGEYHSTSAH -SSNLRLSSEIPRLLPFIDYYCYWSYRVPKCVAKLFSLPCFNPSEGFIKTTLDFFPSANNLVNSHSVVHIS -EACGWNAVTISSSQGCTFSDPAFIHLDRNTALLSPSNCLVALTRSRSGVYFKGDFTFLSSLSGSSRMFSL -AYSGQPIHLPDFFPEIVFQLNMITAPLTKRSSSFRSGFQPNISSAPKIPAPPNLPCPPHIPTNYSKDVIV -NNQALYGESLERRLSVLHLPPTRMTLHSDINITAPSSSSFQPSDEPVPSDHTAVYPGFDFFTLAAHFLPA -HDPEVKEIELKDQTSQQFPWLNLDFHISCQTSSLISARHQPGSDSTLLPASLHKRLRFRPTAAPYQITPS -DSFLGNCLYRSWCQVYRRDPNVRLPFNEALFLECIAVNDYAQLSSKTQATIVANASRSDPDWRHTFVKIF -AKSQHKVNDGSIFGPWKACQTLALMHDYVILTLGPVKKYQRLFDQLERPSHIYYHAGNTPHDLRRWCSKH -LETSHCTTNDYTAFDQSQHGEAVVFEVLKMRRLSIPENLISLHVHLKTNVETQFGPLTCMRLTGEPGTYD -DNTDYNLAVLNLQYDLRKTPTLVSGDDSYLSGTLSPRSNWPFVKELLHLRLKPSSLIDGLFCGYYLGPQG -CIRNPLALFAKLMIAEDDGSAFDKLPSYLTEFSIGHGLGDSLWQLLPSDLVLYQSACFDYFCRKATRSQK -ILLQPGLVDQETLDKIALSAKFISRPFYSMLSSHARSLISTKFKLDSSLTTLQDPMVEFELLPFSNVQ - ->NP_044447.1 replicase-associated polyprotein [Oat blue dwarf virus] -MTTYAFHPLLPTPTSFATITGGGLKDVIETLSSTIHRDTIAAPLMETLASPYRDSLRDFPWAVPASALPF -LQECGITVAGHGFKAHPHPVHKTIETHLLHKVWPHYAQVPSSVLFMKPSKFAKLQRGNANFSALHNYRLT -AKDTPRYPNTSTSLPDTETAFMHDALMYYTPAQIVDLFLSCPKLEKLYASLVVPPESSFTSISLHPDLYR -FRFDGDRLIYELEGNPAHNYTQPRSALDWLRTTTIRGPGVSLTVSRLDSWGPCHSLLIQRGIPPMHAEHD -SISFRGPRAVAIPEPSSLHQDLRHRLVPEDVYNALFLYVRAVRTLRVTDPAGFVRTQCSKPEYAWVTSSA -WDNLAHFALLTAPHRPRTSFYLFSSTFQRLEHWVRHHTFLLAGLTTAFALPPSAWLANLVARASASHIQG -LALARRWLITPPHLFRPPPPPSFALLLQRNSTGPVLLRGSRLEFEAFPSLAPQLARRFPFLARLLPQKPI -DPWVVASLAVAVAIPAASLAVRWFFGPDTPQAMHDRYHTMFHPREWRLTLPRGPISCGRSSFSPLPHPPS -PTPAPDSRAEPLQPPSAPPSTHEPAPADLEPQAPPAHAPQTEPPSPVIEQEARPNPLPAPAPLSAPTPSA -SAPSLAPTPSAPEPPSPTASEQAASLIPAPSSALVVEPSGVVSASSWGATNQPADQVDDSPLARDPSASG -PVRFYRDLFPANYAGDSGTFDFRARASGRSPTPYPAMDCLLVATEQATRISREALWDCLTATCPDSFLDP -KSIAQHGLSTDHFVILAHRFSLCANFHSAEHVIQLGMADATSIFMINHTAGSAGLPGHFSLRLGDQPRAL -NGGLAQDLAVAALRFNISGDLLPTRSVHTYRSWPKRAKNLVSNMKNGFDGVMASINPIRPSDAREKIVAL -DGLLDIARPRSVRLIHIAGFPGCGKTHPITKLLHTAAFRDFKLAVPTTELRSEWKELMKLSPSQAWRFGT -WESSLLKSARILVIDEIYKLPRGYLDLAIHSDSSIEFVIALGDPLQGEYHSTHPSSSNSRLIPEVSHLAP -YLDYYCLWSYRVPQDVAAFFQVQSHNPALGFARLSKQFPTTGRVLTNSQNSMLTMTQCGYSAVTIASSQG -STYSGATHIHLDRNSSLLSPSNSLVALTRSRTGVFFSGDPALLNGGPNSNLMFSAFFQGKSRHIRAWFPT -LFPTATLLFSPLRQRHNRLTGALAPAQPSHLLLPDLPSLPPLPASGPYSRSFPVRSRFAAAVKPSDRSDV -LSWAPIAVGDGETNAPRIDTSFLPETRRPLHFDLPSFRPQAPPPPSDPAPSGTAFEPVYPGETFENLVAH -FLPAHDPTDREIHWRRQLSNQFPHVDKEYHLAAQPMTLLAPIHDSKHDPTLLAASIQKRLRFRPSASPYR -ISPRDELLGQLLYESLCRAYHRSPTTTHPFDEALFVECIDLNEFAQLTSKTQAVIMGNARRSDPDWRWSA -VRIFSKTQHKVNEGSIFGAWKACQTLALMHDAVVLLLGPVKKYQRVFDARDRPAHLYIHAGQTPSSMSLW -CQTHLTPAVKLANDYTAFDQSQHGEAVVLERKKMERLSIPDHLISLHVHLKTHVETQFGPLTCMRLTGEP -GTYDDNTDYNLAVINLEYAAAHVPTMVSGDDSLLDFEPPRRPEWVAIEPLLALRFKKERGLYATFCGYYA -SRVGCVRSPIALFAKLAIAVDDSSISDKLAAYLMEFAVGHSLGDSLWSALPLSAVPFQSACFDFFCRRAP -RDLKLALHLGEVPETIIQRLSHLSWLSHAVYSLLPSRLRLAILHSSRQHRSLPEDPAVSSLQGELLQTFH -APMPSLPSLPLFGGLSPDNILTPHEFRTALYESSAYPTPPNSPTSMSGIHASQVGPPPASDDRTDRQPSL -PLAPRIVESSLAVPHVDVPFQWAVASYAGDSAKFLTDDLSGSSHLSRLTIGYRHAELISAELEFAPLAAA -FAKPISVTAVWTIASIAPATTTELQYYGGRLLTLGGPVLMGSVTRIPADLTRLNPVIKTAVGFTDCPRFT -YSVYANGGSANTPLITVMVRGVIRLSGPSGNTVTAT - ->NP_044328.1 replicase [Kennedya yellow mosaic virus] -MAFQLALDALASTSHKDPSLHPVLESVHDSLTDSLQTYPWMVPQDLQPFLLKSGIPINSFGSSPHPHPAH -KTLETHLLFTHWMHLCTQPSSVLFMKPQKFMKLQRKNKFFQHLHNYRLTPTDSVRFPSTSPHLPNTPFVF -MHDALMYYQPEQILHLFHQVPQLTNLFCSLVTPPESHFTHLSLMPDLYTFTLKGQTLHYTPEGHSAGSYN -QPITALSWLKINSILSPNLNLSITILESWGPLHSILIQRGLPLPDPKLLVRSLPPFSRSPDPETDLVSFQ -VPKSVELPQATFLSQPLRHRLVPESVYNALFTYTRAVRTLRVSDPAGFVRTQSNKPEHKWVTPSAWDNLQ -TFALLNCPLRPNVVYHVLLNPLQKMKLYFSQHWRRLGVIAAPGLFCLSLLLRSQKWSLPLPKAKSISVFR -RNLLLPPKPHRPPLLPHPEQMLQEFKLPWHRPPPKGKRNPFLTLLINLLHIPREICAGIRRYPSYYQSIQ -PKPLNPIQQFRNQLLAQLHTLPLPKKFLSILPRARKDIPLLPRMSFTTVNLPLQPPMWLAIGASLVPELA -FLLSWLSGDVDLQTQHDIYHHHLHPENFTLSWTRTPYLALAPSPFLPYAHSPLPPLPVNSSPLFPPPPPL -PPSQPPLSQGPATQAPSAQPTPGEPLLAPPTTELKPESSNPNNPNPSSSAGSNPPPKSSSSDNPPAPNKP -TPTSSSTTPPSPNLPLQFGSIHSPFLSDGQLNYSALPPPQDPTNTTLSLLPEPKPPTEVQSPLMADPTCV -GPAVSFSSLYPRDFFPNTASFLTRLRLSPPTPLPMPKNNCLLTAVAPSLHINPHRLWTSLQEVLPDSLLS -NSEIDSVGMSTDLLTALSHLFNFQAVVHSERGDILFGLQSAKTVIHIYHTNGPPAHYSPPPKIIGSNSPP -SSQQHPLEQAALRFKYQGSHLPFSSFHSFTTSVQHAKNLISNMKNGFDGVMSTIEPSIRHQPGHSPREKF -IALDAMIDLARPKTVSMFHLAGFAGCGKTKPLQSLLSTRPFHSFRVSTPTTELRNEWKKDMNLPASQAFR -FCTWESSLLKQTKILVIDEIYKLPRGYLDLCILADPCLELVIILGDPLQGEYHSTSPHSSNHQLQSETTR -LLPFIDHYCWWTYRVPSHIADLFSVPSFNRSEGHYQMAVRTADSYTPGHFNLVNSVATANAVIQLGFPAT -TISASQGVTHHNRVTILLDKHSRLLSPSNTLVALTRSTVGVEFLGDIGSLSGTNNSSDMFSRAIYRQPIN -LSSSFPRIFHLLPLLNKPISRRSTRLIGSHSPIFHNPRLTNIHLPPHIPTSYSQDFVVSNPIFQGQADPR -LDTHFLPPTRLPLQSELLPAQLSQTTKPTDSFTNNTPFTPVYPGENFENLAAFFLPAHDPELKEVTRRDQ -TSAQFPWFDRPFSLSCQPSSLIAAKHSPSQDPTLLPFSIPKRLRFRKSDNPHVLSAIDVLLGNQLFFNLC -KAYRRNPTHVGPFNPALFAECIALNDYAQLSSKTQATLVANHSRSDPDWRHTAVKIFAKSQHKVNDASIF -GNWKACQTLALMHDFVILSLGPVKKYQRIFDALDRPPHLYTHCGKSPADLSAWCQTHLTGQIKLTNDYTA -FDQSQHGESVILEALKMKRLSIPSHLIQLHVHLKTNVATQFGPLTCMRLTGEPGTYDDNSDYNLAVIHSQ -FDMKDIPVMVSGDDSLIDRQPPLAQSWEATKRLLHLRFKTEKTTHPLFCGYYTGSAGAIRNPLALFSKLM -IAIDDEAIHDRRLSYLTEFSTGHQLGDALWTLLPESTQIYQSACFDYFCRHSPPHEKALLSSFELPDSVI -SKISSSTKWLSKNAFYALPSKIRKAVIASRHSSSFPENPDVSQLEFELLQSFQF - ->NP_041257.1 replicase [Ononis yellow mosaic virus] -MAFELALNALASSTHKDSSLNPVLNSAVQPLQTSLQNFPWIIGKEHLPFLLAAGIPTSGFGCNPHPHAVH -KVIETFLLFNHWSFMATVQASVMFMKPSKFKKLASVNPNFSELVNYRLTAADSVRYPSTSTSLPKYEIVF -MHDALMYFNPSQILDLFIQCPSLQRLHCSLVVPPESSFTDLSLHPNLYTYTISGNTLHYVPEGHHAGSYD -QPLDAISWLKLNSIHSPHLNLSVSKLESWGPVHSLLITRGLPHLPSSEKQQVSFHIPNCLPLPEATFLHQ -PLRHRLVPTEVYDALFTYTRAVRTLRTSDPAGFVRTHSNKPQYSWVTSRAWDNLQTYALLNAPVRPVVLF -DFFLSPLKKFQLFMSQHINSLVIKALPFLGLIPPLVKLTTLGFPIPSVSHFQILFFTMIGPSGQFILEAF -PSMLHPAISYLESCGLVPRLPPPVAQQLQTMGILRKRSAFSLKTSLSTVKWPSWKITALISALPAALSVF -LKTISPLSLQSLHDGYNAHLHPSPFNLSWSLETFHVQSPSPFLPLSLTPPSPSEEIALPPPIFRVPPPLP -AQETPSPPAPALVPPTQPPQPQPWEEIISTFLSSLNASSHKPSPSSAPLESPSPIPESFEVLAEAPQHQR -SINECGALNQLPLPVPSPLQNSTNPKPPFPSSDLLSDMSCTGPVVEFETIFPAEYHMSNGSFPTRLRGHP -RSSAPFPQKHCLLTAVASQLSYTEHQLWEFLCDMLPDSLLTNSEVENFGLSTDHLTCLSYRLHFECIIHT -SHSTIPYGIKKASTVIQISYIDGPPKHFKAFIKLAAAAPGSNPSKSNLVRAALRFKYNDAFLPFWDAHQH -TISVPHAKNLISNMKNGFDGITSQLSGPSNKSPKMKLLELDATIDVSFPRKCDVIHIAGFPGCGKSHPIQ -KLLQTPAFRHFRLSVPTNELRSEWKRDLNLPESEVWRLCTWETALFKSSNILVVDEIYKLPRGYLDLILL -ADPSIQLVIMLGDPLQGEYHSSHPSSSNSRLESETTRLSKYIDCYCWWTYRCPKAVADLFGVKTFNSNEG -FIRAVLSHPPNLPNLVNSIATANTMQSLGHHALTISSSQGMTYSDPVTVLLDRHSLLITPQTALVALTRS -RSGIYFIGSMYTASGSAGTSYMFSCALTGLPVDMMSAFPLFHTLPLIHEPIRSRRHRLVAGHTPSLHVPP -SNKWPHRLHLPPHIPTSHSKDVILAHGIVASNAPERRLTTLHLPPTRLPLHFDLESCNPSTVSTSSTSNS -EVPFTHAFLGESFEELAAHFLPAHDPDLKEVTVGDQTSQQFPYLDQPYTLSCQPSSLLAASHKPASDPTL -LIFSISKRLRFRASSSPYAFTPNDLILGHLLYTNWCKAFGRCPNSTIPFNPALFAECICLNEYAQLSSKT -QATIVSNASRSDPDWRYTVVRIFAKSQHKVNDGSIFGSWKACQTLALMHDFVILTLGPVKKYQRIIDHYD -RPNFIYTHCGKTPSELSAWSHSFLKGDAYICNDYTSFDQSQHGEAVIFESLKMHRVGIPRHLIDLHIYLK -TNVSTQFGPLTCMRLTGEPGTYDDNTDYNLAVIFSQYVISDHPIMVSGDDSVICGHPPINPNWPAVEKLL -HLRFKTEETSLPLFCGYYVGPTGCCRNPFALFAKLMISYDKGNLFETLPSYLYEFSIGHRLGDVVRLLFP -DHLLKYYSACWDLFCRKCTASQKLILSFEPIPPSFFSKLASTSRWVSKVLFSDLPTKIRDMLISSSKLPS -YHQDPRVQYLESELLTSFNHGRLSTN - ->NP_040968.1 replicase [Eggplant mosaic virus] -MAFQSALEALNSTTHRDASTNPILNSVVEPLRDSLSLYPWLLPKEAVPHLLSWGIPNSGLGVTPHPHPIH -KTVETFLLFNHWHALARLPSTVMFMKPSKFQKLAALNPKFQELINFRLTAADTTRYPSTSLTFPSNSICF -MHDALMYFSPAQIVDLFTQSPALETLYCSLIVPPESHFTDLSLFPEIYTYKISGQTLHYIPENHHSGSYN -QPLQAPSWLKISSILSPSLALSVTKLESWGPVHSILIQRGLPPKPSLSARPPVLPNQPPRATTPNSQNQL -LHQTSQLFFQLQQPQLSLVSFRIPDCVELPQATFLRQPLRHRLVPTSVYNALFTYTRAVRTLRTSDPAGF -VRTQSNKPEHAWVTPNAWDNLQTLSVNAPHRPQVCYHFFSSPVARLKLHFAQHWRAYLLALTPFLTTSPL -LLPLFNFNTPFPLPRLLSLFRRSVSSPRLLHSILPSQLRGAAIPNRPLPLWVTKLHHFLDSHSLLPTPPI -RPRIELQRLPLMSLIPKPKIVLPLLSLLLSSPTIYIHFFQAQTPQQLHDNYHLHLHPSRFELSWTLQSYH -VTQAQSFLPLLLPAPTQAQASNPAPRPPAFHAIPLPPQPSTSSSPPLQEPTLSPHLIHPPLTREPSPLNG -CACDSALLPSTAAMTSAEHPTPLNPPTPSPTPDVPPPDSPGNPSLLKQVPPEANLHPIHNPDLPSSTTLP -SGALTLVPAKTPSIYANPTPPSSHPFTPLADDPTAVGPCLPFHVLHPADYFPLSAEFLTRTRHVPPSSLS -HPKLNCLLTCFSELSGHSESDLWLSLQSILPDSQLQNPEVSTLGLSTDILTALCFIYHSSVTLHAPSGVY -HYGIASSSTVYVIHYQPGPPPHFSLSPRLAASAPRCNPTNSRLVRQALRFKLNGEFLPFTQAYAHESSIT -HAKNLISNMKNGFDGIMSSLTDSSKGPSPREKLTTLDSLIDVAAPREVSLIHIAGFAGCGKTHPIQKLLQ -TSPFHDFRISCPTNELRSEWKRDMQPTAENVWRFSTWESSLLKHSEILVIDEIYKLPRGYLDLSILADPT -LSLVIILGDPLQGEYHSTSPHSSNHFLPSEVHRFKSYIDCYCFWSHRIPKQIASLFGVVCHNTNEGFVRA -LTSHPPNSKNLTNATNTALSLQQMGHHAITISARRVTFTEAHTILLDRHTNLLSPNNCLVALTRSRTGVY -FVGNLHLASNSFGTNYMFSQALCQGTIDLNNVFPHIMPHLPKMYEPIRSRSNRFVSGSLNFRPTTNSRLL -SSLTKPTHLPPHIPTNHSLDVLVSNPVLLGETLDPRLEVLHLPPTRLPLHLDLLPTVPSSSSFSSVDHLF -PTPISPAICGYTFENLAAFFLPAHDPDLKEVLINDQKSNQFPYLDAPFELSCQPSSLLAPIHKPASDPTL -LPGSIKKRLRFRASSSPYSITPSDQLLGQHLFSSLCLAYGRNPNSVLPFQPELFSECICINDYAQLSSKT -QATIVANHQRSDPDWRLTAVRIFAKAQHKVNDASIFSGWKACQTLALMHGYIILVLGPVKKYQRIFDSKD -RPPHIYYHCGKTPSQLSQWCQTHLSGSSYIANDYTAFDQSQHGEAVVLECLKMRRLSIPDSLIQLHSHLK -CSVDTQFGPLTCMRLTGEPGTYDDNSDYNLAVIYSQYSLNGHPILISGDDSVLCGTPPPSPLWPTLKKML -HLRFKIERTSHPLFCGYYVSPHGAARNPYALFAKLMICVDDKSLHDKKLSYLSEFSTGHLAGDLVTSILP -SHLLPYQSAVHDFFCRNCTPAEKILLSLDPIPESKILQLILKVRWASQAFFSYLPQKARELLVARSSLPS -LYSNPKVSQLESELLPFSQ - ->sp|Q91TW9.1|POLG_MRFVC RecName: Full=Genome polyprotein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase; Includes: RecName: Full=Methyltransferase; Contains: RecName: Full=RNA replication protein; Contains: RecName: Full=Capsid protein CP1; Short=CP1; AltName: Full=Coat protein -MSSFLRGGHLLSGVESLTPTTHRDTITAPIVESLATPLRRSLERYPWSIPKEFHSFLHTCGVDISGFGHA -AHPHPVHKTIETHLLLDVWPNYARGPSDVMFIKPEKFAKLQSRQPNFAHLINYRLVPKDTTRYPSTSTNL -PDCETVFMHDALMYYTPGQIADLFFLCPQLQKIYASVVVPAESSFTHLSLHPEIYRFRFQGSDLVYEPEG -NPAANYTQPRSALDWLQTTGFTVGHEFFSVTLLDSFGPVHSLLIQRGRPPVFQAEDIASFRVPDAVALPA -PASLHQDLRHRLVPRKVYDALFNYVRAVRLRVTDPAGFVRTQVGKPEYSWVTSSAWDNLQHFALQTAAVR -PNTSHPLFQSPFARLSHWLRTHTWALWCLASPSASVSAWATASALGRLLPLHTDRLRLFGFDIIGRRFWP -RLPFHGPEPRFLWETHPACRPPVLFADSAFECQILAGLANRCSPSPFWSRLFPTASPPSWVAYSALALAA -VPLAALALRWFYGPDSPQALHDQYHATFHPDPWTLDLPRRLRRFERESFMRTGSAPLPQSLPPPEGSLLP -VEPPPVPSDPEPALEPSPPAASVPAPAPALASEPPPSPESVAPSRRRRRARRAAARAPSPSPALLGADLR -FGDLPPVSAWDSDPEISKLGESTQGTVFAVTPGPRAPEPDTARLDADPSASGPVMEFRELQKGAYIEPTG -AFLTRARNSVSSSIPYPTRAACLLVAVSQATGLPTRTLWAALCANLPDSVLDDGSLATLGLTTDHFAVLA -RIFSLRCRFVSEHGDVELGLHDATSRFTIRHTPGHFELVADNFSLPALVGASSVPGADLAEACKRFVAPD -RTVLPFRDVHIHRTDVRRAKNLISNMKNGFDGVMAQANPLDPKSARERFLMLDSCLDIAAPRRVRLIHIA -GFAGCGKSWPISHLLRTPAFRVFKLAVPTTELRDEWKALMDPRDQDKWRFGTWESSLLKTARVLVIDEVY -KMPRGYLDLAIHADAAIQFVILLGDPIQGEYHSTHPSSSNARLSPEHRYLRPYVDFYCFWSRRIPQNVAR -VLDVPTTSTEMGFARYSQQFPFSGKILISARDSAKSLADCGYHAVTIASSQGSTIAGPAYVHLDNHSRRL -SHQHSLVAITRSKSGIVFTGDKAAADGTSSANLLFSAVLLDRRLSVRSLFSALLPCCPFVTEPPTSRAVL -LRGAGYGIARPLRARDAPPLGPDYVGDVILDSSAPILGDGSANAPQVSTHFLPETRRPLHFDIPSARHQV -ADHPLAPDHSACAIEPVYPGESFESLASLFLPPTDAESKETYFRGEMSNQFPHLDKPFELGAQTSSLLAP -LHNSKHDPTLLPASIGKRLRFRHSEAPYVIAPRDEILGSLLYEAWCRAYHRSPRDVEPFDPDLYAECINL -NEFAQLSSKTQATIMANANRSDPDWRWSAVRIFAKTQHKVNEGSLFGSWKACQTLALMHDAVVLLLGPVK -KYQRFFDQRDRPSTLYVHAGHTPFEMADWCRAHLTPAVKLANDYTAFDQSQHGEAVVFERYKMNRLSIPA -ELVDLHVYLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNIAVLHLEYAVGSTPLMVSGDDSLLDSEPPVR -DQWSAIAPMLALTFKKERGRYATFCGYYVGFTGAVRSPPALFAKLMIAVDDGSISDKLIAYLTEFTVGHS -SGDAFWTILPVEAVPYQSACFDFFCRRAPAQAKVMLRLGEAPESLLSLAFEGLKWASHSVYALMNSSHRR -QLLHSSRRPRSLPEDPEVSQLQGELLHQFQSLHLPLRGGHMPNPLAAPFRLLQQSSSLGPTYAVAPIARA -PQVPPPSMADNATQVGPVPPRDDRVDRQPPLPDPPRVLETAPSHFLDLPFQWKVTDFTGYAAYHGTDDLV -ASAVLTTLCAPYRHAELLYVEISVAPCPPSFSKPIMFTVVWTPATLSPRDGKETDYYGGRQITVGGPVML -SSTTAVPADLARMNPFIKSSVSYNDTPRWTMSVPAVTGGDTKIPLATAFVRGIVRVRAPSGAATPSA - ->sp|P20128.1|POLR_TYMVA RecName: Full=RNA replicase polyprotein -MAFQLALDALAPTTHRDPSLHPILESTVDSIRSSIQTYPWSIPKELLPLLNSYGIPTSGLGTSHHPHAAH -KTIETFLLCTHWSFQATTPSSVMFMKPSKFNKLAQVNSNFRELKNYRLHPNDSTRYPFTSPDLPVFPTIF -MHDALMYYHPSQIMDLFLQKPNLERLYASLVVPPEAHLSDQSFFPKLYTYTTTRHTLHYVPEGHEAGSYN -QPSDAHSWLRINSIRLGNHHLSVTILESWGPVHSLLIQRGTPPPDPSLQAPSTPMASDLFRSYQEPRLDV -VSFRIPDAIELPQATFLQQPLRDRLVPRAVYNALFTYTRAVRTLRTSDPAAFVRMHSSKPDHDWVTSNAW -DNLQTFALLNVPLRPNVVYHVLQSPIASLALYLRQHWRRLTATAVPILSFLTLLQRFLPLPIPLAEVKSI -TAFRRELYRKKAPHHPLDVFHLQQHLRNHHSAISAVRPASPPHQRLPHALQKAALLLLRPISPLLTATPF -FRSEQKSMLPNAELSWTLKRFALPWQASLVLLSLSESSVLLHKLFSPPTLQAQHDTYHRHLHPGSYSLQW -ERTPLSIPRTTAFLPFTPTTSTAPPDHSEASLPPAFASTSVPRPPPVASSLGAQPPTTTAAPPTPIEPTQ -RAHQNSDLTLESSTPIEPPPPPIQSSDIPPSAPVLFPEINSPHRFSPKLPTTPDFEPTRTSPPPSTSHQD -STDPADPLMGSHLLHHSLPAPPTHPLQSSQLLPAPLTNDPTAIGPVLPFEELHPRRYPENTATFLTRLRS -LPSNHLPQPTLNCLLSAVSDQTKVSEDHLWESLQTILPDSQLRNEEINSLGLSTEHLTALAHLYNFQATI -YSDRGPILFGPSDTIKRIDITHTTGPPSHFSPGKRLLGSQPSAKGHPSDSLIRAMKSFKVSGNYLPFSEA -HNHPTSISHAKNLVSNMKNGFDGILSLLDVSTGQRTGPTPKDAIIQIDHYLDTNPGKTTPVVHFAGFAGC -GKTYPIQQLLKTKLFKDFRVSCPTTELRTEWKTAMELHGSQSWRFNTWESSILKSSRILVIDEIYKMPRG -YLDLSILADPALELVIILGDPLQGEYHSQSKDSSNHRLPSETLRLLPYIDMYCWWSYRIPQCIARLFQIH -SFNAWQGIIGSVSTPQDQSPVLTNSHASSLTFNSLGYRSCTISSSQGLTFCDPAIIVLDNYTKWLSSANG -LVALTRSRSGVQFMGPSSYVGGTNGSSAMFSDAFNNSLIIMDRYFPSLFPQLKLITSPLTTRSPKLNGAT -PSASPTHRSPNFHLPPHIPLSYDRDFVTVNPTLPDQGPETRLDTHFLPPSRLPLHFDLPPAITPPPISTS -VDPPQAKASPVYPGEFFDSLAAFFLPAHDPSTREVLHKDQSSNQFPWFDRPFSLSCQPSSLISAKHAPNH -DPTLLPASINKRLRFRPSEAPHQITADDVVLGLQLFHSLCRAYSRQPNITVPFNPELFAECISLNEYAQL -SSKTQSTIVANASRSDPDWRHTTVKIFAKAQHKVNDGSIFGSWKACQTLALMHDYVILVLGPVKKYQRIF -DNVDRPSHIYSHCGKTPNQLRDWCQEHLTHSTPKIANDYTAFDQSQHGESVVLEALKMKRLNIPSHLIQL -HVHLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSQYDVGSCPIMVSGDDSLIDHPLPTRHDWPS -VLKRLHLRFKLELTSHPLFCGYYVGPAGCIRNPLALFCKLMIAVDDDALDDRRLSYLTEFTTGHLLGESL -WHLLPETHVQYQSACFDFFCRRCPKHEKMLLDDSTPTLSLLERITSSPRWLTKNAMYLLPAKLRLAITSL -SQTQSFPESIEVSHAESELLHYVQ - ->AMC38501.1 polyprotein [Tomato blistering mosaic virus] -MSFQAALDALSSTSHRDAATNPILNSVVTPLQESLTTYPWLLPKEAVPFLLASGIPNSGLGTTPHPHPTH -KVIETFLLYNHWSCLASQPSTVMFMKPSKFSKLQAQNPNFSHLCNYRLTSADTPRYPETSLSYPHTQNVF -MHDALMYFSPSQILDLFLKSPEITSLYASLVVPPESDFTDLSLFPHIYQYSITGSTLHYIPEGHHAGAYN -QPIHALDWLKIHSILSSQLNLSVTKLDSWGPVHSLLIQRDLPPNHPSRNLNFGQAVAQEIPFLQNRSPRL -RRNKPSPTTHIQSALSALFPAQTNADVLVSFKVPDCLELPQATFLQQPLRHRLVPLQVYNALFTYTRAVR -TLRTSDPAGFVRTQSNKPEYNWVTPNAWDNLQTFALMNAPIRPKVFYEFFLNPFQRLKLHFLQHWQKYLI -LSSPALSTLVLSPLLLNLKSPIPVPSLESVFRRQLKPPSSLHLKLPFLPGKPVTEIPLPNWLSNFLFHLH -HRLPSLAPTVPIRPVIKWDHPPLLQVLPSPKTTLPLLALIPSSLYVAHRIFGNLPLQQIHDTYHTNLHPE -QFSLSWSLVPYQVNSPAPFLPYLLPQDTSSPQPNQPRPFHTPLTPPPMTPAPPTQNLPKESEEDKSSSPQ -PSTDSPKPCSTTPATTPVVPPPPEHVQTTTPSSSNPIFGDSTVTFTGAINHGLSEKPVVPAHPHTESPTP -PLLSDPTAAGDVVPFHTLYPAAYYANTANFQIRARVVPSSNLPMPKLNCLLVAFAKQSFYPLESLWDALQ -SLLPDSLLSNPEIDNIGMSTDLLTALCYHFHLQALLHTPHGDHPFGIISASTCLHISYTPGPPRHFSSFV -RFTGSLPGSNPSSEPLVRHALRFKHNEHYLPFTQAHLHEVSMTHAKNLISNMKNGFDGILSTITKSSSSG -PSPQQSILILDSICDTTTPRKVPVIHIAGFAGCGKTHPIQQLLKTKPFHDYRISTPTNELRSEWKRDMDP -SPANLWRFSTWESSLLKHSSILIIDEIYKLPRGYLDLSIVADPNLKLVIILGDPLQGEYHSISPHSSNSR -LPSEINRLRPYIDCYCWWTYRLPKAIANLFHIETFSNKRGFVSTVQTHPPNSKNLVNSHSTASALNSLGH -HAITISSSQGITFQDANTILLDRHTNLLSPNNCLVALTRSRTGVLFIGNLHLASSSFGTNYMFSQALSGS -PIDLMSCFPHLFPHLPVMHSPITSRTVRYVAGHSHNPLVNHKLQSRINKKTVLPPHIPVDHDLDVLLHNP -VFLGNTLDERLPCNHLPPTRLPLHTELMPTNPSSTSFSQPEPLFQTPFSHAILGETFENLSAFFLPAHDP -QLREIVYKDSSSNQFPWLDRPFHLSCQPSSLISAIHSPASDPTLLPSSIKKRLRFRPSSAPYSITSNDQI -LGHHLFSSLCKSYNRSPSSVEPFNQELFAECISINEYSQLSSKTRATIVANHSRSDPDWRYTTVKIFAKA -QHKVNDGSIFGSWKACQTLALMHDYIILILGPVKKYQRIIDSRDRPPHIYIHCGHTPQQLSDWSQTHLKG -SKYLANDYTSFDQSQHGEAVVLELLKMQRLSLPPFFLSLHLHLKTSIETQFGPLTCMRLTGEPGTYDDNS -DYNLAVIFSKYSISSEPIMISGDDSVICGDPPINPHWSEIQHLLHLKFKTESSSNPLFCGYYVSPFGACR -NPLALFAKLMICVDDSTLPDKVLSYLSEFSIGHVLGDVVTQILPSHLISYQSACHDFFCRNCTPSQKLML -SLDPIPESKLLKLILKVRWASKSFFSMLPQKARDILVSTHAIQSMPFDPKVSQLESELLPNYN ->AFC95826.1 RNA polymerase [Watercress white vein virus] -MAFQLALDALAPTTHRDPSLHPILESTVDSIRSSITTYPWAVPKLLLPLLNSYGIPTSGLGTSHHPHAAH -KTIETFLLHIHWSFQATTPSSVMFMKPSKFHKLASVNPNFKELKNYRLHPNDSTRYPTTSPDLPSHPTVF -MHDALMYYHPSQILHLFQAKPNLEKLYASLVVPPEALLSDHSFYPQLYRYSTTRNTLHYVPEGHEAGSYN -QPADALSWLRINELSLDDLHLSVTILESWGPVHSLLIQRGVPHPDPALLSPLPLTGHDLFSSYHQPRIDL -VSFRTPDAVALPEATFLDQPLRHRLVPRTVYNALFTYTRAVRTLRTSDPAAFVRMHSSKPEHDWVTPTAW -DNLQTFALLNVPLRPNVVYHVLQSPLAALKLYLKQHWRRLAATAAPILSSLTLLQHFLPLSLPLPEVKSI -CLFHKEVYRKRELLPSFHPFQVCPPLRTFFQETLRVKPNCRPGSPQHFLLQRVLNSLRPLAPLLAMTPLY -SRKPKPLLPHAELSWTLKSFALPWQASLALLAISELSILVHKMTSPPALQAQHDIYHRHMHPGSYVLQWE -RTPLRLSRSTAFLPFTPTISTAPLASSQAITAPLFSNMNARPLPPSTHPSRPPAPTTTEVLQTQTESPPN -HSLPDQPFTTPPSLSPTAHPPTSPHPPPPHPSSDDAPLRPDPLAMFPELIPASNPSSSRPAVPPGTHPAP -PTAPTTAARSIPPALLPPPLPSDHTAVGPVLPFHQLHPRNYPPNTADYHTRLRVLPPSPLPHPILNCLLT -AVSLQTNVSEEHLWHSLQTILPDSQLDNDEVRTFGLSTDHLTALAHLYNFQARVHSDHGQLLFGPHDSAQ -RINITHTVGPHPTSPPALDSSAVPRPNPPEPSHPLVRAMKSFKVSDHYLPFLEAHRHPTSISHAKNLVSN -MKNGFDGVLSLIDVSSNPRPGHTPRERIIELDRHLDTNPEKTVPLVHIAGFAGCGKTHPIQKLLQSKLFK -DFRVSCPTTELRNEWKSSMSLPGNQSWRFCTWESSLLKSSKILVIDEIYKMPRGYLDLSILSDPAVELVI -ILGDPLQGEYHSLSKDSSNHRLPSETIRLVDYIDAYCWWSYRIPQVIARLFSITSFNYDTGIIGSIPTPV -DCHPILTNSHAAALTFNNLGYRACTISSSQGITLSDPAVIVLDNYTRYLSASNGLVALTRSRTGVQFMGP -TTYIGGTNGSSAMFSDAVNRTPINLDQYFPSLFHRLPLIHSPIQSRLLRLTGATPSSSPTFRSTNFHLPP -HVPLSYSSDFVALNPSLDPKVLDSRLETHFLPPSRLPLHFDLESPSLPPPAPSKPEPTLPKATACYPGEN -FESLAAFFLPAHDPAQREILYRDQSSNQFPWFDRPFSLSCQPSSLISAKHSPASDPTLLPASINKRLRFR -PSEAPHAITSDDVILGLQLFHSLCRAYNRQPSQSIPFNPELFADCISLNEYAQLSSKTQATIVANASRSD -PDWRHTTVKIFAKAQHKVNDGSIFGPWKACQTLALMHDFVILVLGPVKKYQRIFDNSDRPEHIYSHCGKT -PIQLRDWCQQHLTSFTPKVANDYTAFDQSQHGESVVLEALKMKRLNIPAHLIELHVHLKTNVSTQFGPLT -CMRLTGEPGTYDDNTDYNLAVIFSQYEVGSCPIMVSGDDSLIDRVLPTRSDWPDVLKRLHLKFKLEHTTN -PLFCGYYVGPAGCLRNPLALFCKLMIAVDDDALPDRRLSYLTEFTTGHRLGEPLWSLLPSELVKYQSACF -DFFCRHCPKHEKMLLSDEPPTASLLDRVTSSPRWLTKNAMYLLPAKLRLAISSLSQVQSFPESPEVSQVE -SELLHYLQ ->ACX94288.1 replication-associated polyprotein, partial [Mertensia leaf curl virus] -FTHHTPVYPGENFETLASHFLPAHDPDIKEILWKDQASSQFAWFDRPFELSCQPSSLLAPKHSPSSDPTL -LPASISKRLRFRPSSHPHSLTSQDVILGNILYSSLCRAYSRSPTSSVPFNPTLFADCISLNEYAQLSSKT -KATIVANHARSDPDWRHTVVKIFAKAQHKVNDGSIFGDWKACQTLALMHDYVILTLGPVKKYQRLFDASD -RPSHIYTHCGKSPRDLSLWCQNHLSDSVKYANDYTAFDQSQHGESVILEALKMKRLNIPSHLILLHIHLK -THVETQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSQFEMKSTPVAVSGDDSLLDSLPPERAEWPIISQFL -HLRFKPELTLHPLFCGYFAGKNGAVRNPLAVFAKLMIAWDDSALEERRLSYLTEFATGHLLGDSFWNVIP -PSHRIYQSACFDFFCRFAKKHEKELLSSEPFSPSSLQKITSSIRWLSKQALSSLPLSILRKLSNTSSFPN -HPDNPEVSQLESELLPSLQ ->AEP40395.1 replicase [Tomato yellow blotch virus] -MSYQAALEALNSTSHRDASTNPILNSVVEPLRDSLSSYPYLIPKEDIPLILSFGIPVSGLGTDPHPHPIH -KTIETHLLFTHWRHLARVPSTVMFMKPSKFRKLQAINPNFSQLLNYRLTPADTCRYPETSTQLPTTPSCF -MHDALMYYHPSHILDLFMSCDQLQTLYCSLIVPPESHFTNLSLFPSIYTYQIHGRTLHYTPESHHAGSYD -QPLDALSWLKIHSISHPSLSLSVTRLESWGPCHSILIQRGLPPRHPLHHPATLSPTSNLPPPPPSHPSRA -PSSQLQSLQLAASVFDNELAPQTLVSSFMIPDCLELPQATFLRQPLRDRLIPLSVYNALFTYTRAVRTLR -TSDPAGFVRTQSNKPEHKWVTPKAWDNLQTFALLNAPIRPQVVYEFLLNPLQRFRLHLHQHWRRYLLFSS -PLLSTLITLPLVLPLPIPFPIPLVKDLFYHRFIEDQLPSPSLPSIHTTNHSPSHFLAENNSAGFSMPPNA -FSPPLQKRPPPYPHWFHPSSYGKEPHASHSQPSLQTPPSPFPRFSTLSCPTCWSCATGCFPDSRLNPPCS -ASQRASLPPTSCSPSPSLSKPSSSSPHNSYPFTIMKPLPCPRNNSTTTTTTLSIPTSSTSTGLSPPYPFH -PQPPSSPSTSLTHLPLLYQLNPPQLPLFHRLSQLLLLLLLTLERPPNPPSSSDHPHPSPPITISPPPPHD -TSPPIVVDPSHVSHHPYHHLTSLSIPSILNDPTYETRIRSGFISINNSPYLPISLFAPSSTSDSTSLLFK -VPPTLAHLPVYSPPEPSPTPITPTQSTLPTGALTFTPASQPSVTPLPSYPDPPPSLSTQPLLTDPTCTGP -VTTFELLYPAAYHPDTATFQTRLRCLPPTPLPLPQNKCLLTAFSSQTHYSEESIWHTLQTLLPDSLLSNP -EISTLGLSTDILTTLCYYYHLQAVVLCPNRELRFGITTSSQTITLSYEPGPPRHFSSRPRLLGSAPHSNP -DSSPLVRHALRFKHNHTTFPSPLPTPTLLPSLTPKTMKNGFDGVTSKLTQPHPNGPSLRDKLFTLDSLID -HSTEKSLPVIHISGFAGCGKTHPIQHLLKTRPFHNFRLSTPTNELRSEWKRDMRPTPENIWRFSTWETSL -FKHSEILVIDECYKMPRGYLDLSILADPHLKLVILLGDPLQGEYHSNNPHSTNHHLPSEVLRFRSYIDCY -CWWTYRLPIKTARLFHIPTFSKQPGIITTSHTHPNQSKNLVNSIPTASAMNQMGHHAITISSSQGVTYDE -INTILLDRNTNLLSPNNCLVALTRSKKGFMFVGNLHLASSDFGTNYMFSQALARRPIDLTSTFPSIFPYL -PLLHDPISRRNTRLVAGFSPNPTTNNSSLKHGRCTLPPHIPLSHTLDHFIHNPITLGERIDPRLDTDHLP -PTRLPLHTDLLPTFPSDPTPAAPPKVFATPISNAYHGEYFDSLAAFFLPAHDPSIKEIVLKDQTSNQFPF -LDREFSLSCQPSSLIAAIHSPAHDSTLLPLSINKRLRFRLSDAPYRITAQDNILGHHLFNSLCRAYRRSP -SQTIPFNPYLFAQCISINEYSQLSSKTRATIVANASRSDPDWRFTSVRIFAKAQHKVNDGSIFGSWKACQ -TLALMHDYIILTLGPVKKYQRIFDMKDRPPHLYLHCGHTPSQLSAWCNEHFQPTVCTTNDYTAFDQSQHG -EAVVLECLKMQRLSIPQNLINLHLHLKTNVHTQFGPLTCMRLTGEPGTYDDNSDYNIAVIYSQYSMQNLP -LLVSGDDSVIVGTPPTSPNWPAIKDLLHLKFKTEITSYPLFCGYYLSPAGCIRNPLALFAKLMICVDDQS -LSEKVLSYLSEFSIGHNIGDQIIQFLPPHLIQYQSACFDFFCRNCTPSQKLLLSNDPIPESKLLSLVHKI -KWASKAFFSELPQKARDFLVSKSSLPSFPNNPKVSELESELLPFSQ ->AMM45289.1 putative RNA-dependent RNA polymerase, partial [Rhizoctonia solani positive-strand RNA virus 1] -NMGAPGARVILLGDSLQGRYYPQVRPGVTYSLGDQRPELDYFRFASSAYQYWTYRVPQRVAACFHLPSYS -REEGWVEISSRFVRRGRRSNRLALLCPSDADVNNYNYHNYTNVYTYTSPQGLEWDHIELLITNATLDIVS -PNAIWTAITRAKKSVRLVYVCDRSRLQQIFDHPILGRLLGHLPPAPLFDLPDFAHYFRETPIATQPPAEI -WGAKLRTLQEALTTWTTDRVDLLPPGLRALVNPILEPTLQELDINPGSAREDPVLTHLIPACNPMCWMLE -EPVGPREQREMFHRETMGAQYNDVRRGQPLHPFTQLFPHQSASQDPTLLPLAVKKRLRFRSARANRRKFK -AMNWLGPVVWDNFAEFLRLPDEPRPLDEHLFTLAVIDDLARKLEKPIGTLWNNLDRTDPEWSFNFMRCFV -KSQRKCKAETMSRSPDYTGEGTSDLQAFFAKAGQTIVTSSTESLLKFGPINRYIRSIINPLIAENIYLHG -GQTISHLDKWCRKWARVEPIVTIDYTAYDQSCTETTLAFETRLMEYCNIPGDLIDLYVLQKTTMNTQFGP -SAVMRFTGEAFTYDFNTYWNIAYSATRYDLHPETICAFSGDDSLFFRNLQDKSNWTYFEKFFDLVGKVTR -SPIPEFCGWWLTPEGVIRNPVLLAAKIVYRQALGDLELVLDSYFLEALFAYEISDKLWNVLPPAAIECQR -FIIDFCFKHSRIVPHLSLTQVLQREQLMHLSILTLPARWLKELEALESSSLSMY ->ALX72770.1 polyprotein [Nectarine marafivirus M] -MAAYAPSAFEPSCRPASAPADLCQPSTSVSCHQITPSSPSSCCSVASRLVSAGPAQHPLCVSSTSSTVWT -TSQPQEFSSHPHMTPTNFPHLFASAGLKLRQSSSPVPAPRLVGGGLREVLSALAPTVHRDTVAAPLLEAV -ATPFRDSLRQYPWNIPERHHPFLESCGINVAGHGFKAHPHPVHKTIETHLIHDVWPNVATVPSAVMFMKP -SKFDKLKAIHPNFEALFNYRLTAKDTTRYPTTSHDLPNFETLFMHDALMYYTPGQIVDLFLERPKLQKVY -ASLVVPPESQFTDISLFPELYRFRFEGDQLVYELEQNPSHNYTQPKRALEWLTTTTIRSADLVLTVSRLE -SWGPVHSLLIQRGVPPVHQEADTVSFKAPRAVALPEPSSLHQDLRHRLVPKDVYDALFIYVRAVRTLRVT -DPAGFVRTQCSKPEYAWVTSSAWDNLAHFALSTSPHRPKTTYILFHSSFDKVVHWLKTHKLLMTSAGASL -LSVASSSLFLAVGRVLNSHIHSFAIFRRWLRPPPHLLWPPKAPLFNLSLRARSTGPTVLSGTPFAFKLLP -KTFNRIGASCPRLAQFLPRCPISQKYLWLSAAAASVPLIALGIRFFLGPDSPQSLHDRYHALFHPEPWEL -VLKRGPVHVARAPFLPFTPADHVPLNSDSPAIPAPPAPLPQAPVSPVTPAPVSKDSPTSGPPGQNEEEKA -INAVLSLPTPPSQPPVRPPSPSAQAPASRDDIADRRDAENLNQALSSLGLFTPDPHSEPQQPVIGDAGEL -HARDWGLEDAPASDSETSPLLRDPSACGPVAMYAELHPGNYVPGTGLFQFRDRASGRAPVPYPSMDCLLV -AVEQATRLPKEALWDTLTSACPDSFLNPTEISATGLSTDHFAILARHYSLRATFHSGPSTFTIGMEDATS -TFAINHTPGQGKLPGHFSLRLDHNSPKLNGGLAQDLAVAALRFNVDGQLLPIRSVHNYRSWPARAKNLIS -NMKNGFDGVMANIHPTKTTEARERILALDGQLDIAQPRTVRLIHIAGFPGCGKSYPISRLLKTAAFKDHK -VAVPTTELRSEWKDMLKPSPANVWRLGTWESSLLKAARVLVIDEIYKLPRGYLDLAIHADPTVEFVIALG -DPLQGEYHSTHPNSSNSRLVGETRHLAPYLDYYCLWSRRVPKLIADFFHVPTSNENPGFARYSRQFPTDT -RVLTNSQNAMHTMNQCGYQSVTIASSQGSTYSGAACIHLDKNSALLSHGHSLVALTRSRTGIIFTGDPSL -LKGASTSNTMFSLLMSGKTRPIQDWFHREFPTCPVLKEPLKQRHNRLSGARGETLPDPYLRAIPIRLSSS -PCIKPTEVRDVISVDSVVLGDGSLNRPQVSTHFLPEARRPLHSDLPSAVPSSELAPSSADFTTPVHEPVY -PGETFENLAAHFLPAHDPETREIVFRNTMSNQFPHLNKDFHLSAQPSSLIAAIHSEKDDPTLLPASIGKR -LRFRPSDAPYQITSKDEILGQLLYEGWCRAYSRNPNAEEPFDEALFAECINLNEYAQLTSKTQAVIMGNA -RRSDPDWRWSAVRIFSKAQHKVNEGSIFGSWKACQTLALMHDAVVLILGPVKKYQRMFDQRDRPSHLYIH -AGHTPTEMSSWCKRNLTTAVKLANDYTSFDQSQHGEAVVFERKKMERLNIPQHLIDLHCFLKTNVSTQFG -PLTCMRLTGEPGTYDDNSDYNLAVINLEYAASAVPTMVSGDDSLLDYEPKVRPEWNAIKPLLALRFKKER -GLYATFCGYYCARVGCVRSPIALFAKLMIAEDDGSISDKLASYLMEFSIGHSLGDELWQALPLSTVSYQS -ACFDFFCRRAPPELKLALKLGEVPEEVVARIGHFKWATHATYSLLNSAARQILLHSSRNPRSLPEDPDTT -KYQGELLQTLQLSAPSHSMNHSTLLPLTGGSSSSAPALTSLQFSEAVTQSMANDGQVGPPPDRDDRVDRQ -PRLPLAPRVAEVDLQAPTVDYPFQWVISSYDGSAAKNLTEDLAGSATLSKLTANYRHAELRSVELEVAPL -AAAFSKPISISVVWTIASITPATTTETSYYGGRLITVGGPVLLSSTTRIPADLSRLNPILKSSVGYKDTP -RLSYTVYANSGTAGTNLCSVIIRGILRLSAPAGNILA ->AKZ17743.1 putative 230 kDa polyprotein [Grapevine Syrah virus 1] -MAAPATAYASPSAAFFALFQEQDLRCFRPLTLAHSLRYDAPVRPRQLPGPRSIAIPITSLPEGFTPIPID -RPAPLLLGGGLKELVEMLAPTTHRDTVASPILEAVAGPLRTSIQRYPYEVPAHAVPILQRFGIEASGFGF -KAHPHPVHKTIEIHLLFEHWLNLCRSPSAVLFMKQSKFEKLQHENANFEALANYNLTARDTTRYEQVAVA -PPTQAVWFMHDALQYFSLSQVAAFFADCPHLEKLFASLVVPPESDFTNLSLFPEIYRYSFAGSRLNYQXE -GNPGHSYSQPREALEWLKTTTIRCGSLYLTVTKLESWGPVHSLLIQRGKPSVHLEHDEVSFVGPDAVALP -EAAALRQDLRHRLVPRTVYDALFVYVRAVRTLRTTDPVGFVRTQSNKAEYSWVTSAAWDNLQHFVTETAA -HRVPNRHFFFNSTFAKCRYWCSQHKLGLLTATTPPACGLTLFTGAKLASAMSSRVTALAVFHHWVVPPPT -LFFTPKAPLLAMQLTRLPQPLFSSVPFLHKPLGKLSLRLLNRFPFLRRFFPDAPIPTWARLLTVAIALSP -AVWLAIRHFIGPDAPQALNDHYVRFFHPDRWQLTFERQPRFVALDRTFPWPLPQAPAPTEPRDSDAPLEA -VPSPLPVVEPLPALATSAPSVDTSATTVSVVEPSLSTESLKAVEAPSGTTILQPRELKDTIYPLPAAALV -VAPPEPTPAPAEPVSASTVLGTAPLSRDLHTGHVSTPATEPGLVEPEHSPLAADSSATGEVSEFFNLHPA -DWVAPTATFLARRRGETISGAKYPAMDCLLAAVSAGANIPKDALWKTICSYFPDSMLREEDIAKHGLSTH -HFAALAREHRLQATFHSAGNQFVLGVEHPSVSFHIDHTPESATAPGHFSLRADERQHSPRLLGGRAADLV -HAALKFKVGSAVLPFQQAHDYTTNVARAKNLISNMKNGFDGVLANIDPAHTNESRDRLLSLDGAMDIAAP -RDVKLIHIAGFPGCGKSYPIAQLLKSRAFKHFKIAVPTVELRNEWKGVLKVKPQDNWRISTWESSLLKSA -RILVIDEIYKMPRGYLDLAIHADPTIDLVIALGDPLQGVYHSTHSDSSNHRLSSEVKHLQPYMDYYCLWS -HRVPQDIGTFFGIKSTSTVPGFKSYQANIPGNLRQLANSQSAAKVLNQCGFSSVTIASSQGSTYSAPACI -HLDRHSMSLSHAHSLVALTRSKSGVIFTGDKRVLEAPGGNLLFSSYFQDKKVDLRALFPTEFPCCPILLE -PLKRRPTDLTGGAPFPFRDETRVFNPERRDDVFVEAAVVCGDGSSNAPQVSTHFLPETRRPLHFDLPSAK -PEFAAHEAPAPLTDTFIEPVYPGETFENVAAHFLPAHDPEVKEILFKDQRSNQFPFIDQPFHVGAQPASL -CAAVHHSKKDPTLLAASIEKRLRFRASDAPYQITAKDEILGSMLFEAHCRAMRRDPNVRVPFDEALFAEC -IALNEFAQLTSKTQAVIMANHERSDPDWRYTAVRIFAKNQHKVNSGSLFGPWKACQTLALMHDAVILLFG -PVKKYQLIHDERDRPEHIFIYAGRTPQEMSEWCQKFLTPRSASSPVPVMVSGDDSLIGCHPHFVANDYTA -FDQSQHGEAAVLERLKMERVNIPEWLIALHIMIKTHITTQFGPLTCMRLTGEPGTYFDNSDYNLAVIFLE -YSMSGQWLSENPLWPAIKPLLALRFKKEKTRYGNFCGYYVGAAGALRMPRALFAKILIAVEDASIADKMA -SYATEFAIGHSLGDALWSLLPVEEVVYQSAVFDFLCRHAPRELKLLFKLGPVERSVVEAVQEFATWASYA -FYRFLNSAQRKVLLTRSPQLHFPGDAPEVSQLQGELLQSFSMMQPTLPLTGGLLLPPAVDAPMSDDSLAG -RARTQRDPDHRVDPQPSLPLAPSVQETSGGPAITVPFQWVALVVKSESTIFTVDPPRAKSLTQLIGPYRH -ARLLSLEAILMPTLNAFQNPVTVHMVWTVNTVQPASGEELFYPGGQALTVGGPVSMSALATVPADVSRLN -PVIKGAVAFLDTPRLTGTTMKCAKSETSPMAYVVIRGTLALSGPVGTRLSE ->ANV22069.1 replicase polyprotein [Grapevine Red Globe virus] -MASFTKLAITFPASSVPYSKDFPNHSFWAIPGSNDFTPYPTMTPTNFPHLFSRIPTQLERSIPIRDLLGD -FRPSRLIRPDLSTPPPSRLTLTGAAPTPSPFKGLLEALAPTIHKDTIAAPIAEAAARPFRSAMTMFPWAM -SPEHRDFLRQCGITVPDLSTKSHPHPVHKTIETNLLHNVWHHYATSPSAVLFMKPSKFDKLAKANPNFTE -LHNYHVVPKDITRYPTTSHEFPTTPTVFMHDALMYFSPSQILGLFLECPTLTNLYASLVVPPESDFTDLS -LHPTLYKMIFQGDQLVYHLEDNPAHSYTQPLSALNWLKYTQVTDGQLTLFVSILDSWGPVHSLLITRTPT -PEAPKKDSVSFKVPSAILLPAPESLRQDVRHRLVPKTVYQNLFAYTRAVRTLRVTDPAGYIRTQSQKPEY -DWVTSAAWDNLQNFSLQTAPHRPIAHYFLFRSPLARLRHWIRTNDYYLQLTASAISAPIASTLTFFALRL -HTRKIEALSIFHHWFKTPTHFILKPKAPLFCLTTIEDRPELFTPLLRGLRDALPWNRFFFPARPLPSLTT -PAVLLAASIPLFYMAYRWFVGPDPPQQLHDAYHQYFHSKEWNLTFQRQPHHCAPSPPLHLIRSSSQPESD -SSFRPIIPEDLPTDPEPVPILPPPLPPVGPMLIPVPRETEDELDRPAPPAVSPPPVPSAPSPKTPLATPP -VYPRTPMGRIDPPTLKTQAAPAPAAPTSNPTKKAMSLRPNAPSFALPIPSETRTFIEALSSSSGGIAPDT -PATNQLVPEAPVAPELDSPLLSDPTGHGPAKPWSAIFPRDYASDCGSFLTRERNGPHSAQPYPAARDCLL -VAVSKALDIPTQTLWNSLCQHLPDIHLNPETTKLGLTTDHLTVLATIYNFLARVEHANGVLDIGIIGSPT -IFTIKHTEGNPGHFEYSPAPPPLALAGSRHSDLEAYLLSFRLDGHLLPIQKIHKYRSHLARAKNLISNMK -NGFDGIMANVNPHHPSQAREHFLALDSQMDIATARTVSLVHIAGFAGCGKSYPVQQMLQRTIFSHYKVAL -PTTELRAEWKRNLKIKNSDNWRISTWESSLLKRARVLVIDEIYKMPRGYLDLAIQSDPTAELVIILGDPI -QGEYHSTHPSSTNANLTSEVTHLRPYIDMYCLWSRRIPKDMAAFFNVPSLSDEPGHNGFRLKIPQFHPVL -ANATSQAKTLAQLGYHAITIASSQGTTFRSPVVVHLDRNSSQLSMSHSLVALTRSTAGTIFTGDNSMLQG -SSGNTMFSLYHAGKSIDLLTLFPKQLTGLPLIRAPISKRKTVLAGALPSHASLPNLPAPPSVLTRFQGIK -PHHCGDVFFNAPVVIGDGLDHSARISTHFLPETRRPLHFDLPTALPSAIAPSAVAITSSASEPVYPGEHF -ETLASSFLEVIDPDSKEKIIKGTRSNQFPWVNNDFILGSQTSTIIAPVHNSKNDPTLLPGSIAKRLRFRS -STSPYQISPADELLGNLLYSAWCDAMQYNPDAIVPFDEALFIECINVNEFSQLTSKTQSVIMANAYRSDP -DWRWSVVRIFTKTQHKVNEASIFSDWKACQTLALAHDAVILLLGPVKKYQRCFDNKARPPKIYYHASHTP -FELSQWCQANMKHQHHLTNDYTAYDQSQGGEAVVLERLKMLRVSIPQPLIDLHVHLKTNVDTQLGPLTSM -RLTGEPGTYDDNSDYNLAVTASKFILDPELHSVLISGDDLDISPPPPIRPTWPILEEMLSLRFKTEVSRY -GLFCGYYLGPAGAVRSPLALFAKLIIAVDDGSIEEKKASYLSEFAVGHSLGQDMWTLLPENQVPYQSANF -DFFCRHCPPSWKLALRIGSPDLSFLDSLNLATTHLTSATFAMLSFAARRLYKAFRPEKHFVSEVSANLPH -NTELLPDFNSSIAHLSDTIPAPLEHPLAHIDPASIPLDTLSIFRAADGISPLTRPSPLRASLQDQCFPFR -GSCAPAFGLGCSAWRPL ->ADD13602.1 replicase-associated polyprotein [Oat blue dwarf virus] -MTTYAFHPLLPTPTSFATVTGGGLKDVIETLSSTIHRDTIAAPLMETLASPYRDSLRDFPWAVPASALPF -LQECGITVAGHGFKAHPHPVHKTIETHLLHKVWPHYAQVPSSVLFMKPSKFAKLQRGNANFSALHNYRLT -AKDTPRYPNTSTSLPDTETAFMHDALMYYTPAQIVDLFLSCPKLEKLYASLVVPPESSFTSISLHPDLYR -FRFDGDRLIYELEGNPAHNYTQPRSALDWLRTTTIRGPGVSLTVSRLDSWGPCHSLLIQRGIPPMHAEHD -SISFRGPRAVAIPEPSSLHQDLRHRLVPEDVYNALFLYVRAVRTLRVTDPAGFVRTQCSKSEYAWVTSSA -WDNLAHFALLTAPHRPRTSFYLFSSTFQRLEHWVRHHTFLLAGLTTAFALPPSAWLANLVARTSASHIQG -LALARRWLITPPHLFRPPSPPSFALLLQRNSTGPILLRGSRLEFEAFPSLAPQLARRFPFLARLLPQKPI -NPWIVASLAVAVAIPAASLAVRWFFGPDTPQAMHDRYHTMFHPREWRLTLPRGPISCGRSSFSPLPHPPS -PTPAPDSRAGPLQPPSALPSTHEPAPADLESPAPQAHAPQTEPPSPVIEQEARPDPFPAPAPRPAPTPSA -SAPSPAPTPSAPEPPSPTASEQAASLIPAPSSALVVEPSGVVSASSWGATNQPADQVDDSPLARDPSASG -PVRFYRDLFPANYAGDSGTFDFRARASGRSPTPYPAMDCLLVATEQATRISREALWDCLTATCPDSFLDP -KSIAQHGLSTDHFVILAHRFSLCANFHSAAHVIQLGMADATSTFMINHTAGSAGLPGHFSLRLGDQPRAL -NGGLAQDLAVAALRFNISGDLLPTRSVHTYRSWPKRAKNLVSNMKNGFDGVMASINPIRPSDAREKIVAL -DGLLDIAQPRSVRLIHIAGFPGCGKTHPITKLLHTAAFRDFKLAVPTTELRSEWKELMKLSPSQAWRFGT -WESSLLKSARILVIDEIYKLPRGYLDLAIHSDSSIEFVIALGDPLQGEYHSTHPSSSNSRLIPEVSHLAP -YLDYYCLWSYRVPQDVATFFQVQSHNPALGFARLSKQFPTTGRVLTNSQNSMLTMTQCGYSAVTIASSQG -STYSGATHIHLDRNSSLLSPSNSLVALTRSRTGVFFSGDPALLNGGPNSNLMFSAFFQGKSRHIRDWFPT -LFPTATLLLSPLRQRHNRLTGALAPVEPSHLLLPDLPSLLPLPASGPYSRAFPVRSRFAAAVKPFDRSDV -LSWAPIAVGDGETNAPRIDTSFLPETRRPLHFDLPSFRPQAPPPPSDPAPSGTAFEPVYPGETFENLVAH -FLPAHDPTDREIHWRGQLSNQFPHIDKEYHLAAQPMTLLAPIHDSKHDPTLLAASIQKRLRFRPSASPYR -ITPRDELLGQLLYESLCRAYHRSPTSTHPFDEALFVECIDLNEFAQLTSKTQAVIMGNARRSDPDWRWSA -VRIFSKTQHKVNEGSIFGAWKACQTLALMHDAVVLLLGPVKKYQRVFDARDRPAHLYIHAGQTPSSMSLW -CQTHLTPAVKLANDYTAFDQSQHGEAVVLERKKMERLSIPDHLISLHVYLKTHVETQFGPLTCMRLTGEP -GTYDDNTDYNLAVINLEYAAAHVPTMVSGDDSLLDFEPPRRPEWVAIEPLLALRFKKERGLYATFCGYYA -SRVGCVRSPIALFAKLAIAVDDSSISDKLAAYLMEFAVGHSLGDSLWSALPLSAVPFQSACFDFFCRRAP -RDLKLALHLGEVPETIIQRLSHLSWLSHAVYSLLPSRLRLAILHSSRQHRSLPEDPAVSSLQGELLHTFH -APMPSPPSLPLFGGLSPDNILTPHEFRTALYESSAYPTPPNSPTSMSGIHASQVGPPPASDDRTDRQPSL -PLAPRLVESSLAVPYVDVPFQWAVASYAGDSAKFLTDDLSGSSHLSRLTIGYRHAELISAELEFAPLAAA -FSKPISVTAVWTIASIAPATTTELQYYGGRLLTLGGPVLMGSVTRIPADLTRLNPVIKTAVGFTDCPRFT -YSVYANSGSANTPLITVMVRGVIRLSGPSGNTVTATT ->APG77727.1 hypothetical protein, partial [Hubei macula-like virus 3] -SILATAGTATASWLLSRYGFQASLKIGPIRASFTLLSTAYLGYRTYDFFTQHRRSQYRYEQRVAALYNNQ -WHLEITRDLKVESYKPRVFDFPPALPAKAEAPAVEEKTPVKVEIPTPVSPDPKAAELAEEIELTTLGPVS -ETPKPATQAESDDLSQFSFAQIHPELRIPHGQCLFPAQKRRENEAALPYPGINCCLFEAVAAVLGETETH -YYQQLQLILPNAQLNGPQEALNGYSSDHLALIGVINSVRFRVFNANTGDFIMEVGRSSDPDRIIYYSPPS -ADRTGHWSCNPTLPSNSLRGGGVSKTAQQLLDFKCEDGSLLPFSTVHHHRVNLKRAAVLNDALIAGTDGV -RGSPEFSLGYDAHVGFDTALKHLEPRVVELIHIAGFPGCGKSHPIKTWITRRIKKGPFDFKVLVPTVELH -SEWKRDLNLDSHPMKARVATWETGLPRPASVVIIDEIYKLPPGYLDLVLAYTPMASLVVVLGDPCQGHYH -SLNASSPTEKMLPEVNYLARYLDMYCLWSHRIPQVVARLLNVHSTSKEEGTIECGRVRDTSTTLVASHNV -AAQRDSFDRKYLTMASSQGLTFRHKISIEIDRNVGALSRGVSLVAATRSTKGIYFTGHYELLDTQHGNTL -LYALSTGRVCDFRGLFAQELANAEIITEPIFSRQSKRLRGGLRLLSRRQKNPIEISEDVTHRAQLLIDGE -PVLAHPDTSYLPPSRKVLHYEGENTLMEKPKMTQPQPIGPVAEPSYVGVDYQVFASTLFNSDKAYLEGEK -EWQGTLSNQFPQDDPKVLYGTSDPALIAPVHDAKHDSTLLPLSIEKRLRFRSGEHYEITQRDEVIGRALF -QAWLSMHPGLEDHKFSEEIFKDCVSLNDHSILQKKTRATLVANAYRSAADWACNEANIFTKRQHKINSNS -INTDWKACQTIALMHDSVVLSLGPVKKYQRHFFEQARRPNVFYYGGHTPDELCRYVQGNFKSAPSVANDY -TAFDQSQTGEAVVFERLKMELLGIPQDLIDLHVYIKTHINTPLGPLTCMRLTGEPGTYDDNTDYNLAVLA -LLFNITTETVLVSGDDSCINPVPPIRASWEDFKDNLELRFKIEVVDRPLFCGYFLGPLGAVRAPDALFVK -LILSETQKTLHKTLDSYIMEFALGHSLGNDLWEILPEEQWPYQDALFDFFCRKANPAQKAVLRLMPYEID -GVFYQAHLTKLRKIKRHLLNADQLEVQRLADLKRAQLLL ->AKQ48574.1 polyprotein [Bee Macula-like virus] -MSNSSSPAVQALSASIHRDAFLTPVLQHATPELTDSLFNYPYQLDPRLVEKIRAFGVAASPLAAKAHPHP -YHKTLELFQYNAVLPSLLNEPTTVLFMKPSKFERLAQRIPTLVCLRNQVLTGRDLTRYTHSSPLKIDTPL -AYMDYALMFYSPEQIAALFISNPRMHTLYCTLIVPPECRTTSQSFEPDIYKFVFSPDGRTLHYYLEHSAN -GHYEQPASSHDWLSINQITSDCDLRLTVTLHSTHLSHHVFIISRQAANHLDDTRAFDAPDAVLIPQPDGL -ALPDGDRLVPRAVYDSLFSYVRSVRTLRDSDPSGLIRMHRSKPEHNWVTPAAWDALIQFAHSTYAYRPEL -QFTLQQTWFSQLRCQLSRWLDPRWNFYSGLLYAAASGTAFFYLRYCSSRSGFTLPTLTLFRLTEEPCSRF -CTPFADLLPAKPTGDHLWPRIIWPIANCHWLLLNRIHTLLHPKSTLRFSPRLQLHFIKAAFQWMPWTAHA -ALSFIPPFVHSLFRRFGPSSAYELYTKLAHPAPFTLLLPCHGVHVSAELVDFMSHLRCHQALSPEPDLPH -VSPEVTVPTVAPVPVSPSGEPFLPPESAFQPIQTLSPPHDKIAPVDSALTPLPPLKAPTSPQPETLPDLP -PDTRAPVPHQVSSAPIIPAAAPLPNIQQEPFQAIVPDRAGLATSTPASVNPGLNDYSPKLSSASALPTIN -QIAPATGTVPTIYELPEFVAQAPVAAQASSAAPFCSPVGPPTSGPQRPTPASPLDTDPSAAPGVSEPFYL -IHNYPPANDIMWPSRRRLGGASCIPIPLNRCLLNAVSDVVPRQSAASLWARLCEMLPDSQLDNDEIRSIG -LSTEHLSALAWSLQAYFTVVSEHTGHYGNPKAQANRHYTIYHANNHFYSTPPKRGATATNKTRKPRHSDY -SALHKFVTKDGHRLPFAKIHQHTGNRSRAKNLISNMKNLYDGVLLSTDSTNLHQRMLDLDRCIDVTPMRS -VSLIHLAGAPGCGKTAPLAEAILSLGWTNRVRVAVPTTNLRPEWRRHLKLDDSQGYRVSTWETALTKTAE -IIIVDEVYRMPNGYLDLLISADPNVRLVILLGDPVQAHYHSTHPSSTNHTIQPEYKYLAPYRDYYCAYSY -RIPRDVCAQFGLGAFSKTEKMLITSSSIPDPRLPILVISQHVARAFSDFGYHAITWASSQGLDVKGPVVL -HIDRNVRLTSQNVILVALTRSKTGVIFTGDVSHLRQSSGILHALLTKTPYSYVSAFADYIGNSTIIYEPL -APRHIGGSSRPPPTIARNAKVNHQSEHDVISNASVLSSETTPGNSDPYYLPTQFVPPSRQPLHHSIAPVM -PQSGACEPDGVADPLPITPVIPGECFETLASSFMPANDPATRERYFKGELTRQFPYINREFQLGPQPLSL -LAPLHSPSSDPTLLASSIDKRLRFRPSADPYQITANDEFFGGRLFAAWSSLQKLPSSTLFEPELFAECIN -VNEYAQLTNKTKNVIANNADRSDPDWRYTWVRIFAKAQHKVNGNSLFTDYKACQTLALMHDYVILMLGPV -KKYQRVIHARHRPDNIYIHAAHSPQEMSDYCQRHLHSQLALTNDYTAFDQSQHGEAVVFERLKMQQLNIP -QELIDLHVHLKTNVQTQFGPLTCMRLTGEPGTYDDNTDYNLAVLALRYNLSRHHTIFVSGDDSAIFPPPT -SNPEWTAVEKLISLRFKIQIDPHPLFCGYYLGPSGACRDPLALFAKFAIAYDAGELELKMASYVAEFSIG -HLLGDAVFQLLPPTHIIFYSAVFDLICRRATVDQKTILNLHVAPEHRLFRFLQRARYLTYTAARVLQAFT -GRLFTVTAPGDLPLDLSVEGELLRVGHHGTAARDPSLSVR ->ABA54133.1 polyprotein [Citrus sudden death-associated virus] -MDRISARIPVAPASAGPTEYVPYPHTNPLLPRGVFTSGPIQPRLHFLPHHAQDAPIRCYRPLTYANHLRY -DRSASSLKTSPVKLPLTGGTLADAILSLAPTTHRDTIATPLMEALAEPYRQSLSTYPWHIPTNLQPFLTS -CGITTAGQGFKAHPHPVHKTIETNLLSNVWSHYATTPSGVMFMKPSKFEKLRIKQPNFSKLYNYRITAKD -STRYPSTSPDLPTEDTCFMHDALMYYSPGQICDLFISRPSLQKLYASLVVPPESDFTTISLFPDLYRYRI -EKDQLVYELEQNPAHNYIQPRSAIDWLKTTTIRCQDLTLTISRLDSWGPVHSLLIQRGRPPIHLEEDSIS -FRTPKAVLLPEPASLSQGVRDRLVPADVYQALFIYVRAVRTLRVTDPAGFVRTQISKPEYSWVTSFRWDN -FPPLALATAPHRPHTTYFLFNSTAARVSHWFRTHTLALLSGATAAAASLLMTASWGFRALISSHLVSLSI -CKRWLRAPPHLLWPEKAPWFQLTLRPKVTGPMIDLPILRPFRLFPSTCAKLGAKYPALATLLPAAPRPLW -PLKVGLALATVPVCLFLWRKFIGPDSPQDMHDSYHAMFHPQPWGLSLARKAICCDRAPFLPIPLVPSSDF -KAPPTPATPLLTSIPIKGVEPQVPGEGVPPQSASSTGPASDSRCAPQPASSTGPDQPAQDMSAAPQAPTK -SKVTFAQPLESVTPVVPGAGEPPQSASSTGPASVSRRDPQTASSTVPDAPTLDSSVTPPPIIYPVDHLQN -DFGPCRCSLCEPPQPAPVPSAPPTVSDHKESQDGEALASALQALGLAPAPPAPLSQNLTIESSGAMHASC -WDQLSSPSSDWDPSPVARDSSATGPPGMYSDLFPAPYLPGTGQFIFRSRANGRANIPYPDMDCLLLSIEQ -ATRLPKEALWDTLCATCPDSLLDPDTIRRVGLSTDHFVIFAHHYSLRCRFHTAHGVIELGMADATSSFDI -DHTAGNPGHFSLRQSATPRLNGGIAQDLAVAALRFNIDGTLLPIRSVHVYSTWPKRAKNLSSNMKNGFDG -IMANIHPTKTNESREKILALDSQLDIAVRRSVRLIHIAGFPGCGKSFPISRLLRTPTFRNFKVAVPTVEL -RAEWKAIIGLPTSEAWRIGTWESSLLKSARVLVIDEIYKMPRGYIDLAIHSDPTIEMVIALGDPLQGEYH -STHPSSTNSRLLAEPHHLSMYLDYYCMWSHRVPQNVAAFFHIKTTSKQPGFCRYQRELPNSRILANSQNA -GHTLQQCGYAAVTIASSQGSTYDNAACIHLDRNSSLLSPAHSMVALTRSKVGVIFTGDPAQLSNAPSSNR -MFSEFFSGRTRPLHDWFHNEFPKATVLTEPLKTRGPRLTGAASPYSKVFPSGKDSTPALKPDFQGDVRSS -RAPIVLGSGELNAPQVSTHFLPETRRPLHWDIPSAIPESATRPDSTEPTTCHPEPVYPGETFENLAAHFL -PAHDPTDREIYWQGQLSNQFPHMDKEFHLAAQPMSLLAAIHQEKQDPTLLPASIQKRLRFRPSDRPYQIT -PKDEILGQLLFEGLCRAYHRSPFHTEAFDPVLFAECINLNEFAQLSSKTQATIMGNARRSDPDWRWSAVR -IFSKTQHKVNEGSIFGSWKACQTLALMHDAVVLILGPVKKYQRVFDQRDRPRHLYIHAGNTPSQMSNWCQ -QHLTTAVKLANDYTAFDQSQHGEAVVLERKKMERLSIPQALIDLHIHLKTHVSTQFGPLTCMRLTGEPGT -YDDNSDYNLAVINCEYMAANTPTMVSGDDSLLDREPPTRPEWVILQPLLSLRFKKERGRYATFCGYYASH -VGCVRSPVALFAKLAIAVDDGSISDKMASYLSEFALGHSLGDHLWEALPLEAVPFQSACFDFFCRRAPRH -LKLSLMLGEVPESIIARIGSSLKWASHAIYTTLSSAARVAILRSSRNSRSMPDDPDTTLLQGELLQHFQV -PFMQSDTLLPLTGGSSAPILTPEAFSTSLAFSMASDAQAGPAPSRDDRVDRQPRLPAAPRVAEVGLNAPS -VDYPFQWVVASYDGSEAKNLSDDLSGSATLTKVMANYRHAELTSVELEVCPLAAAFSKPISVSAVWTIAS -ISPASASETSYYGGRLFTVGGPVLMSSTTHLPADLTRLNPVLKGPVKYTDCPRFSYSVYSNGGTKGTNLC -TIILRGVVRLSGPSGNLLA ->AMH40125.1 polyprotein [Turnip yellow mosaic virus] -MAFQLALDALAPTTHRDPSLHPILESTVDSIRSSIQTYPWSIPKELLPLLNSYGIPTSGLGTSHHPHAAH -KTIETFLLCTHWSFQATTPSSVMFMKPSKFNKLAQVNSNFRELKNYRLHPNDSTRYPFTSPDLPVFPTIF -MHDALMYYHPSQIMDLFLQRPNLERLYASLVVPPEAHLSDQSFFPKLYTYTTTRHTLHYVPEGHEAGSYN -QPSDAHSWLRINSIRLGNHHLSVTILESWGPVHSLLIQRGTPLPDPSLQAPSTLMASDLFRSYQEPRLDV -VSFRIPDAIELPQATFLQQPLRDRLVPRAVYNALFTYTRAVRTLRTSDPAAFVRMHSSKPDHDWVTSNAW -DNLQTFALLNVPLRPNVVYHVLQSPIASLGLYLRQHWRRLTATAVPILSFLTLLQRFLPLPIPLAEVKSI -TAFRRELYRKKDPHHPLDIFHLQHHVRNYHSAISSVRPASSPRQRLPHTLQKAVLLFLRPISPLLTATPY -FRSEQKSMLPNAELSWTLKRFALPWQASLVLLVLSESSILLHKLLSPPTLQAQHDTYHRHLHPGSYSLQW -ERTPLSIPRTTAFLPFTPTTSTAPQDHSGANLPPAFASTSVPPPPPVASSPGAQPPTTTAAPPTPTEPAQ -RVHQNPDFALESSTPTEPLPPPIRSPDVPPSAPVLFPEINSPHGFLPPLPATSNFGPTRTSPPLPTQHQD -PPHSADPLMGSHLLHHSLPTPPTHPLPSSHLLPAPLTNDPTAIGPVLPFEELHPRRYPENTATFLTRLRS -LPSNHLPQPALNCLLSAVSDQTKVSEEHLWESLQTILPDSQLRNEEINTLGLSTEHLTALAHLYNFQATV -YSDRGPLLFGPSDTIKRIDITHTTGPPSHFSPGKRLLGSQPSSKGHPSDPLVRAMKSFKVSGNYLPFSEA -HSHSTSISHAKNLVSNMKNGFDGVLSLLDVSTGQRTGPTPRERIIQIDHYLDTNPGKTTPVVHFAGFAGC -GKTYPIQQLLKTKLFKDFRVSCPTTELRTEWKTAMELHGSQSWRFNTWESSILKSSRILVIDEIYKMPRG -YLDLSILADPALELVIILGDPLQGEYHSQSKDSSNHRLPSETLRLLPYIDMYCWWSYRIPQCIARLFQIH -SFNAWQGVIGSVSTPNDQSPVLTNSHASSLTFNSLGYRSCTISSSQGLTFCDPAIIVLDNYTKWLSSANG -LVALTRSRSGVQFMGPSSYVGGTNGSSAMFSDAFNNSLIIMDRYFPSLFPQLKLITSPLTTRSPKLNGAT -PSASPTHRSPNFHLPPHIPLSYDRDFVTVNPTLPGQGPETRLDTHFLPPSRLPLHFDLPPAITPPPVSTS -VDPQQAKASPVYPGEFFDSLAAFFLPAHDPSTREILHKDQSSNQFPWFDRPFSLSCQPSSLISAKHAPNH -DPTLLPASINKRLRFRPSDAPHQITADDVILGLQLFHSLCRAYSRQPNSTVPFNPELFAECISLNEYAQL -SSKTQSTIVANASRSDPDWRHTTVKIFAKAQHKVNDGSIFGSWKACQTLALMHDYVILVLGPVKKYQRIF -DNADRPPHIYSHCGKTPNQLRDWCQEHLTHSTPKIANDYTAFDQSQHGESVVLEALKMKRLNIPSHLIQL -HVHLKTNVSTQFGPLTCMRLTGEPGTYDDNTDYNLAVIYSQYDVGSCPIMVSGDDSLIDHPLPTRHDWPS -VLKRLHLRFKLELTSHPLFCGYYVGPAGCIRNPLALFCKLMIAVDDDALDDRRLSYLTEFTTGHLLGESL -WHLLPETHVQYQSACFDFFCRRCPKHEKMLLDDSTPVLSLLERITSSPRWLTKNAMYLLPAKLRLAITSL -SQTQSFPESIEVSHAESELLHYVQ ->AIE44664.1 replication protein [Eggplant mosaic virus] -MAFQSALEALNSTTHRDASTNPILNSVVEPLRDSLSLYPWLLPKEAVPHLLSWGIPNSGLGVTPHPHPIH -KTVETFLLFNHWHALARLPSTVMFMKPSKFQKLAALNPKFQELINFRLTAADTTRYPSTSLTFPSNSICF -MHDALMYFSPAQIVDLFTQSPALETLYCSLIVPPESHFTDLSLFPEIYTYKISGQTLHYIPENHHSGSYN -QPLQALSWLKISSILSPSLALSVTKLESWGPVHSILIQRGLPPKPSLSARPPVLPNQPPRATTPNSQNQL -LHQTSQLFFELQQPQLSLVSFRIPDCVELPQATFLRQPLRHRLVPTSVYNALFTYTRAVRTLRTSDPAGF -VRTQSNKPEYAWVTPNAWDNLQTFALLNAPHRPQVCYHFFSSPVARLKLHFAQHWRAYLLALTPFLTTSP -LLLPLFNFNTPFPLPRLLSLFRRSVSSPRLLHSILPSQLRAAVPNRPLPLWVTKLHHFLDSHSLLPTPPI -RPRIELQRLPLMSLIPKPKIVLPLLSLLLSSPTIYIHFFQAQTPQQLHDNYHLHLHPSRFELSWTLQSYQ -VTQAQSFLPLPLPAPTQAQASSPAPHPPAFHAIPLPPQPSTSSSPPPQEPTLSPHLIHPPLTREPSPLNG -CACDSCAIPSTAAMTSAEHPTPLNPPTPSPAPDVPPPDPPGNPSLSKQVPPEANLHPIHNPDLPSSTTLP -SGALTLVPAKTPSIHANSTPPSSHPFTPLADDPTAVGPCLPFHVLHPADYFPLSAEFLTRTRHVPPSSLS -HPKLNCLLTCFSELSGHSESDLWLSLQSILPDSQLQNPEVSTLGLSTDILTALCFIYHSAVTLHTPSGVY -HYGIASSSTVYVIHYQPGPPPHFSLSPRLAASAPRCNPTNSKLVRQALRFKLNGEFLPFTQAYAHESSIT -HAKNLISNMKNGFDGIMSSLTDSSKGPSPREKLTTLDSLIDVAAPREVPLIHIAGFAGCGKTHPIQKLLQ -TSPFHDFRISCPTNELRSEWKRDMQPTAENVWRFSTWESSLLKHSEILVIDEIYKLPRGYLDLSILADPT -LSLVIILGDPLQGEYHSTSPHSSNHFLPSEVHRFKSYIDCYCFWSHRIPKQIASLFGVVCHNTNEGFVRA -LTSHPPNSKNLTNATNTALSLQQMGHHAITISASQGVTFNEAHTILLDRHTNLLSPNNCLVALTRSRTGV -YFVGNLHLASNSFGTNYMFSQALCQGTIDLNNMFPHIMPHLPKMYEPIRSRSNRFVAGSLNFRPTTNSRL -LSSLTKPTHLPPHIPTNHSLDVLVSNPVLLGETLDPRLEVLHLPPTRLPLHLDLLPTVPSSSSFSPVDHL -FPTPISPAICGYTFENLAAFFLPAHDPDLKEVLINDQKSNQFPYLDAPFELSCQPSSLLAPIHKPASDPT -LLPGSIKKRLRFRASSSPYSITPSDQLLGQHLFSSLCLAYGRNPNSVLPFQPELFSECICINDYAQLSSK -TQATIVANHQRSDPDWRLTAVRIFAKAQHKVNDASIFSGWKACQTLALMHDYIILVLGPVKKYQRIFDSK -DRPPHIYYHCGKTPSQLSQWCQTHLSGSSYIANDYTAFDQSQHGEAVVLECLKMRRLSIPDSLIQLHSHL -KCSVDTQFGPLTCMRLTGEPGTYDDNSDYNLAVIYSQYSLNGHPILISGDDSVLCGTPPPSPLWPTLKKM -LHLRFKIERTSHPLFCGYYVSPHGAARNPYALFAKLMICVDDKSLHDKKLSYLSEFSTGHLTGDLVTSIL -PPHLLPYQSAVHDFFCRNCTPAEKILLSLDPIPESKILQLILKVRWASQAFFSYLPQKARELLVARSSLP -SLYSNPKVSQLESELLPFSQ diff --git a/seq/clusters_seq/cluster_370 b/seq/clusters_seq/cluster_370 deleted file mode 100644 index a60dc1c..0000000 --- a/seq/clusters_seq/cluster_370 +++ /dev/null @@ -1,91 +0,0 @@ ->YP_010087184.1 polymerase cofactor VP35 [Mengla dianlovirus] -MWDPNYMKGVTTDLMTGKIPVDAVFNQNPLGSLYKRRKPRTNQAIQVGPEMKEKSTNTDQLVGQEYRLTE -EIRDLFTNMEQKMNSLIIQSMENSERINALEQQLKDLVPVIKMGKNIEYLTKQVSELLAKYEHLVISTGR -TTAPAAAFDAYLKENGRPPSNPAIFKDLGVACSMTKPSTNESTEISDAGKKVSRVLELNEETFAKPQMNA -KDLALLIFSHLPGNNTPFHILAQVIAKIAAKDGETGALLDTFHQYLSEGDNAQAALTRIVRQVGIFTGRQ -PPTLKIKSLTLVPRPCQKSLRAVPPKPQLDKGWVCIYESEDGDRKALKI - ->YP_003815433.1 polymerase complex protein [Bundibugyo ebolavirus] -MTSNRARVTYNPPPTTTGTRSCGPELSGWISEQLMTGKIPITDIFNEIETLPSISPSIHSKIKTPSVQTR -SVQTQTDPNCNHDFAEVVKMLTSLTLVVQKQTLATESLEQRITDLEGSLKPVSEITKIVSALNRSCAEMV -AKYDLLVMTTGRATATAAATEAYWAEHGRPPPGPSLYEEDAIRTKIGKQGDMVPKEVQEAFRNLDSTALL -TEENFGKPDISAKDLRNIMYDHLPGFGTAFHQLVQVICKLGKDNSSLDVIHAEFQASLAEGDSPQCALIQ -ITKRIPIFQDAAPPVIHIRSRGDIPKACQKSLRPVPPSPKIDRGWVCIFQLQDGKTLGLKI - ->YP_009513275.1 polymerase complex protein [Bombali ebolavirus] -MNSQRIKVINASSTQPKIQPKHGPDLSGWISEQLMTGKIPVNDIFYDTDSISSYTGIPPLAVKTQKPKRV -DNDTQTDPVCQHSFDEVVQTLTSLTTVVQQQALATESLEQRISSLESNFKPVLDMAKTIASLQRACSEMV -AKYDLLVMTTGRATATAAATEAYWKEHGQPPPGPSLYEEDAIRHKIETMQDVVPQAVQEAFKNLESTTSL -TEENFGKPYISAKDLRDIMYDNLPGFGTAFHQLVQVICKIGKDNGLLDTIHAEFQASLADGDSPQCALIQ -ITKRVPAFQEIPPPTIHIRSRGDIPRACQKSLRPVPPSPKIDRGWVCIFQLQDGKTLGLKI - ->YP_009055223.1 polymerase complex protein [Marburg marburgvirus] -MWDSSYMQQVSEGLMTGKVPIDQVFGTNPLEKLYKRRKPKGTVGLQCSPCLISKSTSTDDIVWDQLIVKK -TLADLLIPINRQMSDIQSTLSEMTTKVHEIERQLHDITPVVKMGKTLEAISKGMSEMLAKYDHLVISTGR -TTAPAAAFDAYLNEHGVPPPQPAIFKDLGVAQQAYSQKTMVKNQTTDAADKMSKVLELSEETFSKPNLSA -KDLALLLFTHLPGNNTPFHILAQVLSKIAYKSGKSGAFLDAFHQILSEGENAQAALTRLSRTFDAFLGAV -PPVIKVKNFQTVPRPCQKSLRAVPPNPTIDKGWVCVYSSEQGETRALKI - ->YP_004928136.1 polymerase complex protein [Lloviu cuevavirus] -MDKVTDAIMTGRLSLDTIPGFQCSAPTSRSRPTGRKCPPWEGPLIEPKTSANKSTQTENIYQSDQVLREI -KQLSSILAHQITTMERLEGSLQSIHQQLQVVGGMAHNLTSLTTLCTEMVAKYDFLVMTTGRATATAAATE -AYWKEHGKAPPGPALFEADALKARIQENPKSVPTDVREAFDRLEKTEEVTERTFGKPTISAKLLKELLYD -HLPGYGTAFHQLAQVVCKVGKDNDLLDIIHAEFQASLAEGDSPQCALIQITKRIPIFGETPPPVIYIKTK -QEIPKACQKSLRPLPPNPKIERGWVCHYVTADGKEMGLKI - ->YP_003815424.1 polymerase complex protein [Tai Forest ebolavirus] -MISTRAAAINDPSLPIRNQCTRGPELSGWISEQLMTGKIPVHEIFNDTEPHISSGSDCLPRPKNTAPRTR -NTQTQTDPVCNHNFEDVTQALTSLTNVIQKQALNLESLEQRIIDLENGLKPMYDMAKVISALNRSCAEMV -AKYDLLVMTTGRATATAAATEAYWEEHGQPPPGPSLYEESAIRGKINKQEDKVPKEVQEAFRNLDSTSSL -TEENFGKPDISAKDLRDIMYDHLPGFGTAFHQLVQVICKLGKDNSALDIIHAEFQASLAEGDSPQCALIQ -ITKRIPIFQDATPPTIHIRSRGDIPRACQKSLRPVPPSPKIDRGWVCIFQLQDGKTLGLKI - ->YP_001531154.1 polymerase complex protein [Marburg marburgvirus] -MWDSSYMQQVSEGLMTGKVPIDQVFGANPLEKLYKRRKPKGTVGLQCSPCLMSKATSTDDIIWDQLIVKR -TLADLLIPINRQISDIQSTLSEVTTRVHEIERQLHEITPVLKMGRTLEAISKGMSEMLAKYDHLVISTGR -TTAPAAAFDAYLNEHGVPPPQPAIFKDLGVAQQACSKGTMVKNATTDAADKMSKVLELSEETFSKPNLSA -KDLALLLFTHLPGNNTPFHILAQVLSKIAYKSGKSGAFLDAFHQILSEGENAQAALTRLSRTFDAFLGVV -PPVIRVKNFQTVPRPCQKSLRAVPPNPTIDKGWVCVYSSEQGETRALKI - ->YP_138521.1 polymerase complex protein [Sudan ebolavirus] -MQQDRTYRHHGPEVSGWFSEQLMTGKIPLTEVFVDVENKPSPAPITIISKNPKTTRKSDKQVQTDDASSL -LTEEVKAAINSVISAVRRQTNAIESLEGRVTTLEASLKPVQDMAKTISSLNRSCAEMVAKYDLLVMTTGR -ATATAAATEAYWNEHGQAPPGPSLYEDDAIKAKLKDPNGKVPESVKQAYINLDSTSALNEENFGRPYISA -KDLKEIIYDHLPGFGTAFHQLVQVICKIGKDNNILDIIHAEFQASLAEGDSPQCALIQITKRIPAFQDAS -PPIVHIKSRGDIPKACQKSLRPVPPSPKIDRGWVCIFQFQDGKALGLKI - ->NP_690581.1 polymerase complex protein [Reston ebolavirus] -MYNNKLKVCSGPETTGWISEQLMTGKIPVTDIFIDIDNKPDQMEVRLKPSSRSSTRTCTSSSQTEVNYVP -LLKKVEDTLTMLVNATSRQNAAIEALENRLSTLESSLKPIQDMGKVISSLNRSCAEMVAKYDLLVMTTGR -ATSTAAAVDAYWKEHKQPPPGPALYEENALKGKIDDPNSYVPDAVQEAYKNLDSTSTLTEENFGKPYISA -KDLKEIMYDHLPGFGTAFHQLVQVICKIGKDNNLLDTIHAEFQASLADGDSPQCALIQITKRVPIFQDVP -PPIIHIRSRGDIPRACQKSLRPAPPSPKIDRGWVCLFKMQDGKTLGLKI - ->NP_066244.1 polymerase complex protein [Zaire ebolavirus] -MTTRTKGRGHTAATTQNDRMPGPELSGWISEQLMTGRIPVSDIFCDIENNPGLCYASQMQQTKPNPKTRN -SQTQTDPICNHSFEEVVQTLASLATVVQQQTIASESLEQRITSLENGLKPVYDMAKTISSLNRVCAEMVA -KYDLLVMTTGRATATAAATEAYWAEHGQPPPGPSLYEESAIRGKIESRDETVPQSVREAFNNLNSTTSLT -EENFGKPDISAKDLRNIMYDHLPGFGTAFHQLVQVICKLGKDSNSLDIIHAEFQASLAEGDSPQCALIQI -TKRVPIFQDAAPPVIHIRSRGDIPRACQKSLRPVPPSPKIDRGWVCVFQLQDGKTLGLKI - ->sp|Q91DE0.1|VP35_EBORE RecName: Full=Polymerase cofactor VP35 -MYNDKLKICSGPETTGWISEQLMTGKIPVTDIFIDIDNKPDQMEVRLKPSSRSSTRTCTSSSQTEVNYVP -LLKKVEDTLTMLVSATSRQNAAIEALENRLSTLESSLKPIQDMGKVISSLNRSCAEMVAKYDLLVMTTGR -ATSTAAAVDAYWKEHKQPPPGPALYEENALKGKIDDPNSYVPDAVQEAYKNLDSTSTLTEENFGKPYISA -KDLKEIMYDHLPGFGTAFHQLVQVICKIGKDNNLLDTIHAEFQASLADGDSPQCALIQITKRVPIFQDVP -PPTIHIRSRGDIPRACQKSLRPAPPSPKIDRGWVCLFKMQDGKTLGLKI - ->sp|Q03039.1|VP35_MABVP RecName: Full=Polymerase cofactor VP35; AltName: Full=Marburg VP35; Short=mVP35 -MWDSSYMQQVSEGLMTGKVPIDQVFGANPSEKLHKRRKPKGTVGLQCSPCLMSKATSTDDIVWDQLIVKK -TLADLLIPINRQISDIQSTLNEVTTRVHEIERQLHEITPVLKMGRTLEAISKGMSEMLAKYDHLVISTGR -TTAPAAAFDAYLNEHGVPPPQPAIFKDLGVAQQACSKGTMVKNETTDAADKMSKVLELSEETFSKPNLSA -KDLALLLFTHLPGNNTPFHILAQVLSKIAYKSGKSGAFLDAFHQILSEGENAQAALTRLSRTFDAFLGVV -PPVIRVKNFQTVPRPCQKSLRAVPPNPTIDKGWVCVYSSEQGETRALKI - ->sp|Q1PD52.1|VP35_MABVA RecName: Full=Polymerase cofactor VP35; AltName: Full=Marburg VP35; Short=mVP35 -MWDSSYMQQVSEGLMTGKVPIDQVFGTNPLEKLYKRRKPKGTVGLQCSPCLMSKATSTDDIIWDQLVVRK -TLADLLIPINRQISDIQSTLSEVTTRVHEIERQLHEITPVLKMGRTLEAISKGMSEMLAKYDHLVISTGR -TTAPAAAFDAYLNEHGVPPPQPAIFKDLGVAQQACSKGTTVKNATTDAADKMSKVLELSEETFSKPNLSA -KDLALLLFTHLPGNNTPFHILAQVLSKIAYKSGKSGAFLDAFHQILSEGENAQAALTRLSRTFDAFLGVV -PPVIRVKNFQTVPRPCQKSLRAVPPNPTIDKGWVCVYSSEQGETRALKI - diff --git a/seq/clusters_seq/cluster_371 b/seq/clusters_seq/cluster_371 deleted file mode 100644 index dc7c166..0000000 --- a/seq/clusters_seq/cluster_371 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_009667052.1 nonstructural protein [Shuni orthobunyavirus] -MFLNGISLRLTRRSGMWHLLLNMGPNSISIPLESSSSIRRRPRWYSVRRHNRVLILHLVASNLHWLITIF -PNTQQILCQTLPSLSTVSQDI - ->YP_009666910.1 nonstructural protein [Schmallenberg virus] -MYHNGMQLHLTRRSGMWHLLVSMGNNSTSVLLESSSSTRRRPRWSYIRRHNQVSILLLVGSNLQWLITIF -PNMSQILCQTMPLHFTGCQDI - ->YP_009666902.1 nonstructural protein [Jatobal virus] -MMYHKGLHLHLIRRQHMWHLKLNTDKCSMLVLLESSSSTKRRPRMSYVRRRGPWLNLLLEGLNLQWLITI -SHNSRQIRCQTTVLPYTVCQDI - ->YP_009666881.1 non-structural protein [Sango virus] -MFLNGISLRLTRRLGMWHLLLNMGPNSILIPLESSSSIRRRPRWCSVRRHNRVLILHLEASNLHWLITIF -PNTQQILCQTLPSLSTASQAI - ->YP_009666877.1 non-structural protein [Sabo virus] -MMFHNGMQLHLTRTRGMWRLSVSMGNISTSLLLESSSSTKKRPKWSYIRRHNQVSTLLLVGSNSQWLITI -FPNTLQILCQTLPLHSIGCQGI - ->YP_009666871.1 non-structural protein [Peaton virus] -MFLNGISLRLTRRSGMWHLLLNMGPSSISIPLESSSSIRRRPRWCSVRRHNQVLILHLVASNLHWLITIF -PNTQQILCQTLPSLSTASRDI - ->YP_006590084.1 non-structural protein [Simbu orthobunyavirus] -MYLNGTYLHLVRRQGMWHLLVGMGNNLTSVLLESSSSTRRRPKWSYIRRHNQMLILLLEGSNLHWLITIF -PNTQLIRCQTMPSPSTGSLDI - ->YP_006590078.1 non-structural protein [Shamonda orthobunyavirus] -MYHNGMQLHLTRRSGMWHLLVSMGNNSISVLLESSSSTRRRPKWSYIRRHNQVSILLLVGSNSQWLITIF -PNMSQILCQTMPLHFTGCQDI - ->YP_006590075.1 non-structural protein [Sathuperi orthobunyavirus] -MYHNGMQLHLTRRLGMWHLLVSMGSNSTSVLLESSSSTRRRPRWSYIRRHNQVSILLLVGSNSQWLITIF -PNMSQILCQTMPSHFTGCRDI - ->YP_006590072.1 non-structural protein [Aino virus] -MFLNGISLRLTRRSGMWHLLLNMGPNSISIPLESSSSIRRRPRWYSVRRHNQVLILHLVASSLHWLITIF -PNTQQILCQTLPSLSIVSQDI - ->YP_001497162.1 nonstructual protein [Akabane virus] -MFHNGMQLHLIRMQGMWHLSVSMGSSSTLLLLESSSSTRRRPRWSYIRRHNQVSILLLQGSNLQWLITIF -PSTLQIQCQTLPLRFTASRAT - ->NP_982306.1 non-structural protein [Oropouche virus] -MYHNGLHLHLIRRQHMWHLKLDTDKCSMLVLLESSSSTKRRPKMSYVRHRGPWLTLLLVGSNLQWLITIS -HSSSRIQCRTTVLPCTVCQDT - ->sp|P12413.1|NSS_AINOV RecName: Full=Non-structural protein NS-S -MFLNGISLRLTRRSGMWHLLLNMGPNSISIPLDSSSSIRRRPRWYSVRRHNQVLILHLVASSLHWLITIF -PNTQQILCQTLPSLSIVSQDI - diff --git a/seq/clusters_seq/cluster_372 b/seq/clusters_seq/cluster_372 deleted file mode 100644 index 89db951..0000000 --- a/seq/clusters_seq/cluster_372 +++ /dev/null @@ -1,144 +0,0 @@ ->YP_009666515.1 glycoprotein [Caligus rogercresseyi rhabdovirus] -MKSVFFFFVILFNTMDADLSIPLGNTNNLPGLTTPLEPIVEGRSRTSRKEKDFGEMTVLPLRRLEPWREC -AIADLQCPPRYDFGEKIGSLITTEKLWPVRGLSVLQEGYFCTKTSRDRTCSTSFFGSEDLSGSEEYLYPN -DSDCLKEVKSLESGRYSPPVWPEHTCAWMATRTVTLVQYQLNLHNVLWEDIGGTYHDAKLKGGKCSTRIC -STNNPGVLWIRGKKANHLLRPEDRLPCKIYENKTSSILQVHCDYHHPLHFKVGACKFSYRGESGIRSEEG -VGLAWDLKKGSKIARYVGPECDKKKTPIYQWSANSKFRYDAATKADDDLHARCLDALVRIREHKDISQWD -LGYFYPSSPGPFPAYRLNKSSKVLECSKYLFTLKEVKSGRSLVDYLPEESIIPDPRTGEKLGVSGLIVKN -DSSVYVPHQRSNQMISWEHHLGPKDKVEISRMSLTPNVDDNTLLFSEDPQNHATENGTSQATFLSHLGFG -IEHLFYTLLGLSIAGLVGVCVVKVCLENAFKCGCKYCSRSDLDDYSH - ->YP_009362207.1 glycoprotein [Gray Lodge virus] -MWSDFIIFLNLLVEIIGLGLRTSHRAYVRTLYNDSDHDNALTIPTKFLDPKWTPVTALDIICPTEKQLMP -EFRISTELGTVRHPHTQVEDNVDGFFCHKQEWTSTCTETWYFSSTEETKISNLEISEGECEEAITLYVAG -EKIEPFFPPFICSWASTQSNSKIFVVVTPHSARVDIYENVYRDPSFPGGECRTKVCKTVNKDIMWIMRGD -EKRADTCDVKKWEEGPLYFSIETRDSDWSSISTFSIDESWVRSPLYGIRKLKGSCRTPICGSPGIRFSSG -EWWGLKFSEDMLWIQKLPRCAGSKVSFHHDNHPGRELEEEAVVQKLKCKEVIGRILAKDNVTPVELASFV -PKNPGVGLAYRLFMTRKDERIGLREYRLEKRRAMYHLVHNITRKLEIGDSKLKVGHWYDGVPVYWNTTEI -LGENTTKKDEIFLTFNGLVKFHGDLYLPESSAIGGSKLVEMNRPGVLLLEEQTFDKISVKNQFELLDHVY -KFEFKKNNTNLIQKISSALESAGQFIGTYFSKISDIVWWIGGGIIGLLITGICIKCNCYKFLYKRKEKNT -EPKGQELKPMTAPSKVSDNVYATIDPPSVERRKGSSVFSHY - ->YP_009362185.1 glycoprotein [Joinjakaka virus] -MILIRWFLWISLSLLLLGINEVDGILWDFRINRLVRNKRKTQKTKLDQIGNGVVIQPIDPKEVHYNIVKP -SIQIKNQSHPVIEIDDQKEPELKLEDFDWVSGNEFEGVVNMPVNCLTNWKVINPYAIRCPTFYEHDRYGG -GRTVIGTAVHPEEIEHNIIPGFMCQKQTWVTECTEAWYWSTTVKNYVESSPVVEMECLMALAKEKVGTYV -DPFFPPAECAWNANSRSSKEFVTLHPHDVRFDFYQYSKVDPLFVGGKCNEKSCPTIHQHVIWIGKNPVPL -EGTCNLDRWRQSDIFALETHTSEKKVSDKVTIYLEFIESATYGMRSTKNACWTRFCDVPGIRFNDGEWWG -IKSGHNVALDFLPECGKKSLITLHHAVNQDSEFKNRLSLKHYKCTEVLTKLISGSVITPMDISYLISDRP -GLQSYYRFSAKQKGNGPVMGGGNNYMIEQKECMYQFVLLESDRFNITKQSDQINVGMTLQGDHIYINLTD -FQHTKGNKSNDINRFTMTVNGYLKTGNVLVLPVDEITSESVDNTLYTPIGYHLIEEEEIGNYTTIGDAIE -KILILDPRLNRTDIVEETVHFVNNIGKTVSSFFQGTSSLLWWGVTSVFFLVIVLLMRKCGVIDWLLKKKK -PKSVERMNKYNSESNRMVDNKTSHGNVNGGFFGNV - ->YP_009362140.1 glycoprotein [Landjia virus] -MDKIIIFLVMLVKMTHGNIQPIIISSLPVVSGILRFSTRSDIVRQSVDDGFMDNTIIFPTQCGQWGPVRP -EDLRCPTPQQSSPEDRLVFEMGAVYHPNPSNNYIVNGHLCLKQRWSSICQETWYFSTTESNKIDDLPITD -AECQAAIVAFKSGENLSPFFPPFYCSWASTQTNSKDFIIIEQHNVQESIYNMTFLDPLFLNGVCNQPICK -TIHPELIWVMENEKNRWDLCNIRNWEAGTLHSAIETKDDSMSGPYSIDKHWIRSSIYGIRRLQGSCLMGV -CNKYGIRFASGEWWGLDNKEILKWAYRNFKVCGKGTRVSFHHDNHDERVLEDQYVARSVMCSEIIGRILV -NEHVSPMDLSLLIPSNPGKGRAYKIYKRVLNKGHHGGIEIEYRMEKADCTYHILHNTTRSLTIEGQEITI -GEWFNGELMKLNVSTNFSVPQYIKNDTTNQSRDGWFFYSLNGYTKFSDKVYSPDYMLSGPVGVFNYSQKG -QLFLDTDPQILRAKDQMDLYKKVYLSEYHTNSTSIGEQITHIISRAKNAITSYFSQFTSVMWWIVTGVLS -LIAIILIKRFGVVSKIKKIAFKNNNKSNNKKSSQTKTVHIYETITPKVAHGKEGNYADFM - ->YP_009362126.1 glycoprotein [Manitoba virus] -MVTKKIMMITMLFKSNVFCLILPLLPVANSLLRTQNWQFVPLKTDPKYIDNTIVFPTKCGDWKQSNKLDL -TCPTSEQAAPENRLITEIGNVYHPSPSNGFVVTGHLCLKQKWISKCEETWYFSSTETNHIEDLAITESEC -KEAVTAHKAGENLSPFFPPFYCSWASTQINSKIFILVDEHKVLENIYNRSLVDPLFVGGNCQGDVCKTIH -PETMWIVENDQVRSDICNEKNWELGTLHSAIDTDDDYNKGQYSIDLHWIRSSLYGIRKLDGSCRRRVCGV -PGIRFSNGEWWGIKDPKALILSHNLLSECPVGTKVSFHHDNHDENVLEEQYVTRRMMCAEIVGKIMVGET -VSPMDLSLLIPTNPGRGKAYKLYKRAIYKNTHGILDVEYALFQSTCYYHLLHNSSKIYNITENEELTIGY -WFDRKEYKMNISQNFSVPDVLKKNHNVSRDGWYFYSFNGYTKYSGKLFSPSSVLEGFVGMLDYTQRGKLA -VADIPPQNVIKNTVDLFKNVYTSDYRVNSTSIGEQISIFVKNAKNSVVHYFSQLTNVMWWIGTGLISFLI -IMLFRRFGLFGKVTNLMRKKGRKNAEHAPRELKKINTQSHKRSNSASTSQNVYETIKDRQTAHGKESGFF -DFV - ->YP_009512995.1 glycoprotein [Flanders hapavirus] -MSYLIVKVAILFLVGIDKQVLSWTHDSGRSFVRQYHDPNWFDQTMVYPIECNSTWQEVNTLNLRCPKSLK -IDPKNKLNFDLGTVYHPLPSSRYVVNGYICHRQTWISKCEETWYFSTTETNKIENVPITPEDCREAVTIY -EMGEYVNPFFPPFYCSWCSTQIDKKTFVIVEPHIVKEDIYNKTFIDPLFLNGYCDQLPCKTIHPDVLWVP -QELQKRKDLCNKGTWETGKVFGVLEEKLYQNGYLKDNRFGIDEQWIRSSIYGLRSLVGSCYRGVCQQFGI -RFKTGEWWGLEGKDVTGWIKQIIPRCQENQYVSFHHDNSDENIAEAQLVARELVCEEFLGRAKGGDLISP -FDLNYLLPLNPGLGPSYRAFKRILRKDSHGGSSPQFRLEKRDCIYSVVHNVTEKVNITNNKLAIGQLFDG -SYVYINESEVSRPDYLNNSDNASRDGWFLLSLNGMIKYGNSVYLPHGVSTGLSGIQDIVERGTLMLLDHP -KSIAISNQMDLAKNIYTSYFQMNTTSVGSKIENMIIRAKNAVSSYFSQLTNIAWWIGTGILGLLGFIVIK -RFHLIQLICGKKHRNGKIKKKNNKLNNDDQEAHVYDTISNTPKTPPHGKGTGVKYFDY - ->YP_009505468.1 glycoprotein [Mossuril virus] -MSYLLVIILIQVPRLFAIFRDTDQWYVRLPHDKTWFDNILTFPIHCKEPWQPITSQNLNCPSFNNISVEA -KASFKIGTVHHPLTSSRLTVDGYLCHKQSWVSQCVETWYFSTTETNTISNLPITRDECEEAITTYEMGEY -TNPFFPPFYCSWCSTQTDQKTFVIVEPHSVREDVYNGTFVDPLFIGGSCRLDYCNTIHPNVLWVPRGISM -RKDVCNQKLWESGTVFGVLEEKEGDMHYGIEEQMIRSSIYGVRRLEGACYRGVCGRFGIRFPSGEWWGLS -GNEVVRWVLRILKRCEKGERVSLSHDNHDERMAETQELMRTMMCENVRSRILSNDPISPNDLNYLLPVNP -GIGQTYRVFKRILTRGDHGGPQSELYMEQRPCMYRIIHNVSKIINQTSGSWIIGQLFDGTPVQLNNSIFD -RPNYLNQSDGRSRDGWYVLSYNGLIKYRTTLYTPSAVENGAEGLGFFKDRTNLLLLDSPRTVAISNQMEL -VNNIYTSIFHSNTTSVFSKVESAIRAAKNAVASYFSQLTNIAWWVGTGCIGIIALLIWKRCHCYNLLCKK -TSQPAGENSSFHVYDTIEMRPRKTVSTKNHPPKPPPKKAHGKSQGHSYFQY - ->YP_009361987.1 glycoprotein [Kamese virus] -MSYLLVIILITINRLYAFSRDADHWYVRVPHDQSWFDNVITFPIDCKEPWQQITSQNLNCPSFNNISAEA -KASFNLGTVFHPLASSRLTVDGYLCHKQSWISQCVETWYFSTTETNTISNLPITKSECEEAITMYEMGEY -TNPFFPPFYCSWCSTQTDQKTFVIVEPHSVREDVYNGTFVDPLFVDGYCSADYCRTIHPDVLWVPRGQSM -RKDVCNKGLWESGTVFGVLEERDEDLYYSIEEQLIRSSIYGVRRLEGACYRGVCNQFGIRFQSGEWWGLA -GRDVVIWIKRILKQCARGQWISLSHDNHDERMAETQELMRTMLCENVKSRILSNDPVSPNDLNYLLPTNP -GVGMAYRIFKRILLKGNHGGPTSELYMEQRHCMYRILHNVSRVINQTSGTWTIGQMFNGAPISINESVFE -RPSYLNNSARESGDGWFLLSYNGLIKYGNVLYTPSAVESSVEGLGFFHDRTSLLLLDSPKSVAVSSQMEL -VNNIYTSIFHSNTTSVFSKVEGAIRAAKNAVASYFSQLTNVAWWVGTGCIGIVALLIWRKCHCYDLLCKK -TSRSADEISSKHIYDTIEMKPRTRVQNKASTPKLPPKRAHGKDLAHNYFQY - ->YP_009361968.1 glycoprotein [Mosqueiro virus] -MFPLSTVLLPMLFSHVQSWTHDVGRDYIHRPHNPDWFDNVISFPTECYTDWTLVRAHEIKCPSLSSINLD -DKLSFKLGTVMHPLPNNKYTVDGYICHKQQWISKCEETWYFSTTETNSIENLPIGATECMEAITVYESGE -YNNPFFPPFYCSWCSTQIDQKTFIVIEEHTAQENIYNASYIDPMFVGGKCSSNLCKTIHPDVLWVAKREE -IRRDACNRKTWETGDVYGLVEEKKFDNDQRDFGIGEQWIRSSIYGVRRLDGSCYSKICGQFGIRFNTGEW -WGLDGQGVKIWLRKILKPCQGGLRISFHHDNHDETMAIAHSVAREVTCEEVTGRILNQGFISAFDLAYLN -PLNPGRGNVYRVFKRVIKGRHADEQYEYKMEKKYCMYRTLHNVSDVINQTRGKFLLGYFFDGSPFYLNQS -DFEGAGKYGNERNTSRDGWFLLTYAGLTKFQQTLYTNEGVSNSQAALRNLHDPGRLALAEETEIPNVRDQ -MDLANKVYNSWFKMNTTSVGERITTFINNAKSAVSNYFSQLTNVIWWVGTGAIGLIVVILGRRFYRYRKS -SKPPALPKRLDSVETQSTHIYEPVRSPQPVARGNQGHPFFSF - ->YP_009361957.1 glycoprotein [Hart Park virus] -MSYLIVRILIVFLSYKRLQVFCWTHDSGRVFTRRYHDPNWFDQTMVYPIGCNSSWQEVNKLNLKCPRSLK -IDPKNKLNFDLGTVYHPLPSSRYVVNGYICHKQNWISKCEETWYFSTTETNKIENVPITEEDCREAVTIY -EMGEYVNPFFPPFYCSWYSTQVDQKTFVIVEPHIVKEDIYNRTFLDPLFLNGYCNQVPCKTIHPDVLWVP -QEAQKRKGLCNKGSWESGQAFGVLEENTYTENGGTGRTFGLDEQWIRSSIYGIRSLVGSCYRGVCHQFGI -RFKTGEWWGLEGKDLVQWIQKIIPRCDYKQYVSFHHDNSDENVAEAQLVARELMCEEFIGRAKGGDPISP -IDLNYLLPLNPGIGPAYRAFKRILKRDSHGGTSPEFRLEKRDCIYSTLHNVSDNVNITNGKLQIGQLFDG -TYVYINQSEFERPDYLNKSDNVSRDGWFLLSVNGMIKYGQSVYLPHGVSTGLSGIQDIVERGTLMLLDHP -KSIAIANQMELAKNIYTSYFQMNTTSIGTQIENMIVKAKNAVSSYFSQLTNIAWWVGTGILGLIGFILIR -RFNVLQLLRGKKNKSKKEVTQRNRRLSSVGSGIHIYDTISNTPKTPPHGKGNGVKYFEY - ->YP_009345050.1 putative glycoprotein 1 [Wuhan pillworm virus 2] -MILPWTKILMLTITTFGSTWTKYVLFPRTMVHWKPILRSDLRCPGPRESPIIGPTSKSTQLRGQGISQEG -DWVGKGFSCEAFRYQVKCDFRWYGPQYLEKKRIKVKPNTLECVSMKSSKRTPDLTYPIPDCTWNSVTTTE -IIVMTKTEHNVLVDPYNLSYIDPTFLEGGRCYQSICETTTGLWVGDNLPQNHCSKMTEMNASIFTDGEDR -LMLELEYDDPVELIDTCKITYCGKQGLRTSSGRFFAGNWGENVDVKEEYDRLPRCGPKETISFLSQHDLI -EIEEIDQIRRILCINMLERLVTTNSTPTPFDFAQLGPSKPGWGWTHRIDKNLKFQQGLAFMDWMEPSSVV -EGNVVGKWVKDKIPVVWSDWVDFRGTKLGPDGLLNYNATLLWPLQTLTALEDKLIHMGSTIIKNSVNYTY -SEGISDDDLTTTKIEHNGWFPGLWTFIDKNVHWLIASVIAIVIFITILKCTAAYIRYSRIQKYEKNHSRK -VHDIPEGFF - ->YP_009315874.1 glycoprotein [Orgi virus] -MVSVRQMLFIFNLMDLTTAQQQAIPSILMPTAERLILTPVDPHYINCEPGLTHYELPGDDLIHFMAASPN -PRLKTKEGHLCTKQRWTTICYEGFFGEVSETGSIEPMEISDNECLDSIILYKENRLKTPHYPLPACGWLK -TNYNHQDFVIVVSHEVKIDLYNLEEIDPLFHDGRCNKTVCKTVYPNIKWISSKSDIPICDINRVSLFTLV -VRKGGDPDQSMIRGQYIPQTTLTGACKSLKYCGVTGIRTRYGHFFKIASSDFVGQLAKTLEKLPICDPRV -QVILNNNQIKQDDLELTEDLLIKAEKCEDVKDRLMDGESIKPRDLIYLSQSSPGPGYGFVLINNTYWITP -VFYELMYNVSSICKSLDSCTVKYMKGPNVQGQWSPPHCGITPNTTDPDIIKCLWVNGLVITNRGIVQPRN -NLFKAIWEQMYSEEYQIQWAEHIITRHLEDKYSTMKETDRPNYQSKTVGKWMSSIGHSIEAWIVRTVIIV -TVISILYCVIRCWCLRPTIKQMLPPVSYNSSTDRVQIHNSRQMQLRGTHWN - ->YP_003518289.1 virion transmembrane glycoprotein [Ngaingan hapavirus] -MKSTILMTCLLLLHCTIHGDIIPNFYNSYKIGQKQRRKLIYSKKEISSPPPPTTPPSNFILDDSEERKDG -GMFTTDSVIPVGPVGGVSFHNTKSQDTKVALVTAGTYNISDFNSHHLMNFPVKCVGDWKEVDLSSIRCPR -FSNLDMMNEGRREIGLAIHPVVSDGVIAQGLLCQKQKWISECSETWYWTTEETSYVENEPVSGDECLLAY -SQFKVGKHIEPAFPPFACYWNSVNKVHQSYITLHDHDVKLDPYTDKFIDPILYGGQCEGSLCPTIHDNVY -WIEKDDGEDLTICNLHHWEHSKIYALTGESSEVLKDDESYDYRYKELIYLSFLESGSYGMRSTKNACKTD -ICGVKGIRFSTGEWWGIVDREKTYLEVAFPDCTPNIDITLHHLHSGSSHIYEHNVFKDYHCKDVISRLMS -GAKVSPTDISLLVPDQPGIGHAYKIDIVGARNTQNQNSPVPYSLQFKQRVCLYQLIDTTGQSFNVEGNPE -GGRVKVGVSHSGGDVYINISQFEATMTGQREVADSGDEQVLIWTAEGTKTYSINGMYLRTKNNTPTQLIF -PSSAMLEGLYDESLLYPVSLDILQRPRVLIVGPQDDYVKKILENDNTLNRTDIIEGTKKAINSFVDKITG -VFKGFTQIIWWGITGVCTIILWKLYRKYKAWKRNKTTKRTTPNNAKKSTTIYKNPTFREDVESQSEHLYD -SVDMRRPQTYFST - diff --git a/seq/clusters_seq/cluster_373 b/seq/clusters_seq/cluster_373 deleted file mode 100644 index 78dde5b..0000000 --- a/seq/clusters_seq/cluster_373 +++ /dev/null @@ -1,39 +0,0 @@ ->NP_054712.1 small hydrophobic protein [Mumps orthorubulavirus] -MPAIQPPLYPTFLLLILLSLIITLYVWIISTITYKTAVRHAALHQRSFSRWSLDHSL - ->sp|P69465.1|SH_MUMPS RecName: Full=Small hydrophobic protein -MPANQPPLYLTFLLLILLYLIITLYVWTILTINHKTAVRYAALYQRSCSRWGFDQSL - ->sp|P69188.1|SH_MUMP6 RecName: Full=Small hydrophobic protein -MPLIQPPLYLTFLLLMLLYRIITLYVWSLSTITYKTSVRHASLYQRSFFRWSVDHSL - ->sp|P20716.1|SH_MUMPU RecName: Full=Small hydrophobic protein -MPAIQPPLYPTFLLLILLSLIVTLYVWIISTITYKTVVRHAALYQRSFFRWSFDHSL - ->sp|P22113.1|SH_MUMPT RecName: Full=Small hydrophobic protein -MPAIQPPLYPTFLLLILLSLIITLYAWIISTITYKTAMRHAALYQRSFFRWSFDHSL - ->sp|P28087.1|SH_MUMPR RecName: Full=Small hydrophobic protein -MPAIQPPLYLTFLLLILLYRIITLYVWIILTITYKTSVRHAALHQRSFFRWSFDHSL - ->sp|P28083.1|SH_MUMPL RecName: Full=Small hydrophobic protein -MPAIQPLLYLTFLLLILLYLIITLYVWVVSTITYKTAVRHAALYQRSLFRWSLDHSL - ->sp|P28086.1|SH_MUMPK RecName: Full=Small hydrophobic protein -MPAIQPPLYLTFLLLILLYLIITLYVWTILTITYKTTVRYAALYQRSFSRWGFDQSL - ->sp|P22110.1|SH_MUMPJ RecName: Full=Small hydrophobic protein -MPAIQPPLYLTFLLLTLLYLIITLYVWTILTINHNTAVRYAALYQRSFSRWGFDQSL - ->sp|P22109.1|SH_MUMPE RecName: Full=Small hydrophobic protein -MPAIQPPLYLTFLLLILLYLIITLYVWTILTINHKTAVRYAALYQRSCSRWGFDQSL - ->sp|P28082.1|SH_MUMPB RecName: Full=Small hydrophobic protein -MPAIQPPLYLTFLLLILLYRIITLYVWVVSTITYKTAVRHAALYQRSLFRWSFDHSL - ->sp|P22111.1|SH_MUMPA RecName: Full=Small hydrophobic protein -MPAIQPPLYPTFLLLILLSLIITLYVWIISTITYKTAVRHASLYQRSFSRWSFDHSL - ->sp|P28085.1|SH_MUMP4 RecName: Full=Small hydrophobic protein -MPAIQPPLYLTFLLLMLLYRIITLYVWSLSTITYKTSVRHASLYQRSFFRWSVDHSL - diff --git a/seq/clusters_seq/cluster_374 b/seq/clusters_seq/cluster_374 deleted file mode 100644 index 4579b67..0000000 --- a/seq/clusters_seq/cluster_374 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_173240.1 envelope protein [Human coronavirus HKU1] -MVDLFFNDTAWYIGQILVLVLFCLISLIFVVAFLATIKLCMQLCGFCNFFIISPSAYVYKRGMQLYKSYS -EQVIPPTSDYLI - ->YP_009113028.1 envelope protein [Betacoronavirus HKU24] -MVELSLTDTVWYVGQIILIVAICLLVILIVVAALATFKLCIQLCGMCNTLVVSPSIYVYNRGRQFYKFYS -DAKPPVLDLDDV - ->YP_009944252.1 envelope protein [Murine hepatitis virus] -MFNLFLTDTVWYVGQIIFIFAVCLMVTIIVVAFLASIKLCIQLCGLCNTLVLSPSIYLYDRSKQLYKYYN -EEMRLPLLEVDDI - ->YP_005454247.1 envelope protein [Rabbit coronavirus HKU14] -MFMADAYLADTVWYVGQIIFIVAICLLVIIVVVALLATFKLCIQLCGMCNTLVLSPSIYVFNRGRQLYEF -YNDVKPPVLDVDDVLIQTL - ->YP_009755835.1 envelope protein [Rodent coronavirus] -MAEIYLSDTVWYVGQIIFIFAICLFVIVVVVAFLATFKLCVQLCGICNTLVLSPSIYVYNRGRQFYEFYQ -DVKPPVLEMDDV - ->YP_003029850.1 envelope protein [Rat coronavirus Parker] -MFNLFLIDTVWYVGQIIFIVAVCLMVTIIVVAFLASIKLCIQLCGLCNTLLLSPSIYVYNRSKQLYKYYN -EEVRPPPLEVDDIIIQTL - ->YP_209236.1 envelope protein [Murine hepatitis virus strain JHM] -MFNLFLTDTVWYVGQIIFIVAVCLMVTIIVVAFLASIKRCIQLCGLCNTLLLSPSIYLYNRSKQLYKYYN -EEVRPPPLEVDDNIIQTL - ->YP_009555243.1 envelope protein [Human coronavirus OC43] -MFMADAYLADTVWYVGQIIFIVAICLLVTIVVVAFLATFKLCIQLCGMCNTLVLSPSIYVFNRGRQFYEF -YNDVKPPVLDVDDV - ->NP_150081.1 small membrane protein [Bovine coronavirus] -MFMADAYFADTVWYVGQIIFIVAICLLVIIVVVAFLATFKLCIQLCGMCNTLVLSPSIYVFNRGRQFYEF -YNDVKPPVLDVDDV - ->sp|Q0ZME5.1|VEMP_CVHN5 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MVDVFFTDTAWYVGQIFFLVLSCVIFLIFVVALLATIKLCIQICGFCNIFIISPSAYVYNRGRQLYKSYS -EHVIPSTLDDLI - ->sp|P0C2Q9.1|VEMP_CVPIA RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MFMADAYLADTVWYVGQIIFIVAICLLVIIVVVAFLATFKLCIQLCGMCNTLVLSPSIYVFNRGRQFYEF -YNDVKPPVLDVDDV - ->sp|P29076.1|VEMP_CVMS RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MFNLFLTDTVWYVGQIIFIVAVCLMVTITVVAFLASIKLCIQLCGLCNTLVLSPSIYLYDRSKQLYKYYN -EEVRPPPLEVDDIIIQTL - ->sp|P15779.1|VEMP_CVBM RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MFMADAYFADTVWYVGQIIFIVAICLLVIIVVVAFLATFKLCIQLCGMCNTLGLSPSIYVFNRGRQFYEF -YNDVKPPVLDVDDV - diff --git a/seq/clusters_seq/cluster_375 b/seq/clusters_seq/cluster_375 deleted file mode 100644 index 3103109..0000000 --- a/seq/clusters_seq/cluster_375 +++ /dev/null @@ -1,65 +0,0 @@ ->YP_009824955.1 M protein [Zambian malbrouck virus 1] -MVSKICTDPGYTTLAFTLAPIIITLLRLFRPSLRGLFTFIAIACLAYASTAFNEHSLATIFTIAASILTL -LWKLVTWLVIRCRLCRLGPRYTVAPSSFVESTSGTHAIPAGNTAVVSRRQGFTLAQGSLVPDVKKMVLNG -KVAARKGLVTLRRYGWKTK - ->YP_009505579.1 ORF8 protein [Simian hemorrhagic encephalitis virus] -MVSKICTDPGYTTLAFTMAPILITLLRLFRPSLRGFFTLIAIACLAYAATAFNEHSFATVFTIAASILTL -LWKLITWCIIRCRLCRLGPRYITAPSSFIESTSGTHAINAGSTAVVSRRQGFTLAQGSLVPDVKKMVLNG -KVAARKGLVTLRRYGWKTR - ->YP_009505566.1 ORF8 [Kibale red-tailed guenon virus 1] -MVSSICSDPGYTTLAFTLGPVLIACLRLFRPALRGLICLCVIACLAYAATAFSVHSLATIVTIVFCLIYL -TFKFCSWLVIRCRMCWLGRQYVLAPSSMVETSYGRSAIEASHTALVRRTSGLTSANGLLVPDVKRIILNG -RVAAKKGMVNLKKYGWQTKNK - ->YP_009388598.1 M protein [Olivier's shrew virus 1] -MTSSPTQTLCDADSWLSIIYGLVFTYYPCVTMTLKFASGWFHQLMQVLCWIMVTYMLCFIGYAHLQSMVK -YFWVPLALLWSLYFLYSIVTTCIVRCRLLRHGVRYVTAPAHCVEAEEGTLHKVPPTSVGAVVVRTKSATT -ANGTLVPSIKRIFSRGRELQRKGIGSIVAYG - ->YP_009362016.1 non-glycosylated envelope protein [Kibale red colobus virus 2] -MVNTICMDPGYTTLAFTAGPIIIACLRLFRPALRGIACLIAASVLAYAATAFSEHSLATIVTIAFSIAYL -GFKFCEWVVIRCRMCRHGRRYITAPASFIESSFGRHAIPHSSVAVVSRRPGSTMVNGRLVPDVKKIMLAG -RVAAKKGLVNLRKYGWQTKQ - ->YP_009344817.1 non-glycosylated envelope protein [Kibale red colobus virus 1] -MVSKICSDPGYTTLAFTMGPVCIALLRLFRPSIRGIVCLLCIFCLAYAATAFSTHSLATIVTIAFALIYL -AYSFTRWLVVRCRLCRVGPRYMFSPSSFIESSLGRIAIPDSTTGLVSRRPGTTLANGKLVPDVKMMVLAG -KVAAKKGLVHLRKYGWQTKTK - ->YP_009249820.1 M protein [Free State vervet virus] -MVSKICTDPGYTTLAFTLAPIIITMLRLFRPALRGLMTFIAISCLAYAATAFNEHSLATVFTIAVSILTL -LWKLITWLIIRCRLCRLGPRYTTAPSSFVESTSGTHAIPATTTAVVSRRQGFTLAQGSLVPDVKRMVLNG -RVAARKGLVTLRRYGWKTK - ->YP_009222005.1 M protein [Kafue kinda chacma baboon virus] -MVSSICSDPGYTTIAFTAAPIVIACLRLFRPCLRGFFCALCIATLAYAATAFQEHSLATIVTISFACIYC -GFKLLQWIIIRFRMCRLGPGYILSSPNHVDSSLGRYPITGTGSSAIVTRRSGMTIANNQLIPDVKRMVLA -GKIATKKGLVNLRKYGWQKTK - ->YP_009140488.1 M protein [Pebjah virus] -MVAKICSDPGYTTLAFTCAPVIIACLRLFRPALRGFICAICIAILAYAATAFSTHSFATIVTLGFSLLYL -AYKLLQWAIIRCRMCRLGRGYITAPSSMIESSAGHHAIAASSSAVVKRRPGSTTANGYLVPDVKRIILQG -RVAARKGLVNLRRYGWQTKTK - ->YP_009121783.1 M protein [DeBrazza's monkey arterivirus] -MPSQTGARRRVDMVTTICSDPGYTTLAFTIAPVLLACLRLFRPSVRGVVCLLCIATLAYAATAFNEHSLA -TILTISFILVYLSYKTVAWVVIRCRMCRLGRQYITAPSSMVETSLGRSAITAGQSAVVRRTSGLTSANGL -LVPDVKRIILHGRVAAKKGLVNLRKYGWQTKNK - ->YP_009067075.1 M protein [Southwest baboon virus 1] -MVSAICSDPGYTTLAFTAAPVFIACLRLFTPNIRGLACLIIVATLAYAATKFAEHSLATVVTIAFSLLYC -SFKFIQWSIIRIRMCRLGRQYIISPASHVETSFGRYPVPSTGSSAVVTRRSGMTLVNNQLIPDVKKLVLA -GKIATKKGLINLRKYGWQKTK - ->YP_009067061.1 M protein [Mikumi yellow baboon virus 1] -MVSAICADPGYTTLAFTAAPVFIACLRLFTPNIRGLACLLIVSTLAYAATKFAEHSLATMVTIAFSLLYC -SFKFIQWLVIRIRMCRLGRQYIISPASHVETSFGRYPLPSTGSSAVVTRRSGMTLVNNHLIPDVKKLVLA -GKIATKKGLINLRKYGWQKTK - ->NP_203551.1 non-glycosylated major envelope protein [Simian hemorrhagic fever virus] -MVVSLCSDPGYTTLAFTIAPALIAFLRYFRPSVRGFICLVCIATLAYAATAFNEHSLATLLTIGFSLVYL -TYKFITWTILRVRMCWLGRQYITAPSSMVESSLGRLAINATGSTAVVTRRSGMTAVNGSLMPDVKRIILN -GRVAAKRGLVNLRKYGWQTKNK - diff --git a/seq/clusters_seq/cluster_376 b/seq/clusters_seq/cluster_376 deleted file mode 100644 index 10b60bb..0000000 --- a/seq/clusters_seq/cluster_376 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_009824954.1 GP5 protein [Zambian malbrouck virus 1] -MLHASLLSCVLLTLLIQFSNGANSNTSLFSSPAGFISALQQTLISDSYIVNISICGALDIQNQTHWFQDF -SHCKPLTATSNTTTSYPVSCEIQNYTGQYINHTRLALETYLAVPLATYYLSFFAATTAAFLDFLFWLGLS -LTAAHFASPAFIVYSPLAIVFLVVFLKRAITNCLALRYAWTRHTNFIIDQSGRLFVNHDDCLIERNGKTV -IGNQELKVGKVILGGRLAHGIKSTHVEEWGW - ->YP_009505578.1 ORF7 protein [Simian hemorrhagic encephalitis virus] -MLSASLLCSVCATLLIVSVQATNSTNSLFSSPSEFILALQKQLISDSYVVNISICGALDIQNNTHWFQSL -NDCNCTTNTNDTSKANHSYRCASIACQVHNYTGTWVNHTRVALETYLAVPLATYYLSFFAATTAAFLDFL -FWLGLSLTAAHFTSPAFILYAPLALLFLILFLKRFIINCLALRYAWTRHTNFIIDQSGRLFVNHDDCLVE -RNGKAVLGREEVKVGKVILNGRLAHGIKSAHVEEWGW - ->YP_009505565.1 ORF7 [Kibale red-tailed guenon virus 1] -MKCLRSSAISLIGSVQPLSSFSLALLLLTCVGNCATESETELGGYSYNSTLWSNFRSYFISESYIVNISV -CGALSIQNGTHWLPPVFNASNKNSTGEPGNNSHVLVVVRNYLKNYTGVNINHTTVVLETYLAYPIFTHLL -SYYFATTAAFLDFTFFAGLGLTAIYYESPAFVVFLPLAAIFLGLFALRLTRNVMALRHAWTRHTNFIISE -DGKLFVNHDDCLIEDRGGVRLGKQIVKVKKVILGGREAHLEKQAHVEDWSW - ->YP_009118966.1 GP5 glycosylated envelope protein [African pouched rat arterivirus] -MAVPYCHAVGDHNLMRDRNTPCSMICLRGTRYLSSMLSSLQWVVFCVICVCCASSGVAASGNLTSVTHTW -THNLTLCALNNTENATKRFQHVVEAFAVVPLVTHVVSLFFFTTASFLDAAAFGLASWYTFQGDAIVLCGL -YGLCGAIALFIASWRAVLNCLAFRYACTRRTNFLLTDKGAVCPLQERYVVMQGSQAVLPGGQKVTPKAVI -LGGREAKSLNSITAEHWSP - ->YP_009362015.1 large glycoprotein [Kibale red colobus virus 2] -MSTFLLLVSILLGLSCVDSNTNGTPFLTPKEFTSQLLGMIVSPSYIVNISICGALDIQNSTLYYNNSKTV -NVSNTTARLSNFHMYYNGSLRNYSKIILETYLAYPLLTHLLSYFMATTAAFLDFAFFGGLALSAYHFVSP -AFLLYLPLALIFLVVFLKRLIVNIMSCRYAWTRHTNYILDNHGRIFVNHDDVLIEDKGKIKLGNQGVEAA -KVILGGREATTLRKACVEEWSW - ->YP_009344816.1 large glycoprotein [Kibale red colobus virus 1] -MYSSVLLLSTLLGLSWISIVDGNDSSGIAFRNLSTFWEQLQQQIISPSYVVNISVCGALSIMNDTHWFRP -CTLTELTNAAKNKNVTAQALNNTHCYLHSVTGFNVNHTAIILETYLAYPLLTHLLSYSMATTAAFLDFLF -FGGLAVTAYYYVSPSFLFFTPLALIFLVIFIRRIIENCLALRYAWTRHTNFILDDKGRVFVNHDDVLIGD -KQGLRVGDQKIKAAAVVFKGRRAELLREAHAEEWSW - ->YP_009249819.1 GP5 protein [Free State vervet virus] -MLSASLLFCVLFMLQVRCSTSAASNVSLFSNPSGFIQALQKTLISDSYVVNISICGALSIQNNTHWFQDL -STCNHTGANNETRNKTDSFPVTCLIHNYTGVNINHTRLALETYLAVPLATYFLSFYAATTAAFLDFLFWL -GLGLTAAHYASPAVIIYAPLALIFLVVFLKRVIINCLALRYAWTRHTNFIIDQSGRLFVNHDDCLIERNG -KTVLNNQEVKVGKVILGGRLAHGIKATHVEEWGW - ->YP_009222003.1 GP5 protein [Kafue kinda chacma baboon virus] -MRVCYKNWAASLMPSLITTSVLSFLLVSICVSPAASSGSGNFGLSRDNFGSIFKNLVTPSYVVNISICGA -LSMQNATHWFMPCDVAKLRVNCTNSSESESSDKEGCTSAIDKLSAHCSIHHYTGININHTKLALETYVAA -PLLTHMLSYYFGTTAAFLDFLFFGGLSIAAYGYSSPAFLLYTPLAVIFMVVFCKKIILNFLALRFAWTRH -TNFIIDQKGRLFVNHDDVLVEGPNGVRFGDQEVRIATVVLGGRKANLLRTAHAEEWSW - ->YP_009140487.1 GP5 protein [Pebjah virus] -MYISVLLSLTLLLSSTVTRCVSSDGDVKSYAPSSWMSKVKEMILEPSYVVNISICGAFDIQNNTHWFRPC -DSFKQNITGNCNNETARCSAFLNNLPCMLHNYTGSHINHTQVLLETYLAVPLLTHLLSYYAATTASFLDF -TFFGMLAGSAYYYFSPSFFFFAPLALIFLCIFLRRIITNCMALRYAWTRHTNFIIDQKGRLFVNHDDVLV -SDQGQVKLGDHRVVVAKVILGGREAQLLRESHVEEWAW - ->YP_009121781.1 GP5 protein [DeBrazza's monkey arterivirus] -MKCYVRSERSSTGTHITSALSTFLLLCACCVSTFKTASATDVGGFSSNSTLWSSFKNHIISDSYVVNISI -CGALDISNNTHWLTPCNYSQFKQDCLDGNGTFKKNENKCNHSSCFLQHYTGQNINYTRVILETYLATPLF -THLLSYYAATTAAGLDFLYFAGLALTAVYYQSPAFLTFSPLALIFLVVFVRRLVLNCMALRYAWTRHTNF -IIDQNGRLFVNHDDVLIADKDGVKVGSQKVKVAKVILGGREACLLRQAHVEEWTW - ->NP_203550.2 major glycoprotein [Simian hemorrhagic fever virus] -MYLCLGRSETXLIGLFRTSSTSISWFYVLFFVSITFSSTGASENNTGTTWISISKFPSLXLSQIISPSYI -VNISVCGAFDIQNNTHWFTPCNLSVLNHSDCHTCKSEQSNQSLLSNCSTCFTHLSSCFLHTYTGHHINNT -RLLLETYLAVPLLTHLLSYKFATTASFLDFAFFAGLSITAYRYVSPAILFFLPLALIFSAIFIKKLVVNC -MALRFAWTRHTNFIIDDRGRLFVNHDDVLISDPQGLRVGPHKVRAAKVILGGREANLLRQAHVEEWSW - ->YP_009067073.1 GP5 protein [Southwest baboon virus 1] -MSKCYRNWAPSLMPSLSISFLYTFLLLCTCVSSSDQSSTNYTPTAVMSAIFDNLISPSYVVNISVCGAFD -IQNNTHWFRPCKIAEISNATASKNNSSHDDLIAKLPCLLHNYTGTHINHTKVLLETYLAVPLLTHLLSYH -FATTAAFLDFTFFGGLASAAYIYKSPALLLYTPLALIFLVVFSRRLTRNIMALRYAWTRHTNFIIDQKGR -LFVNHDDVLIEGPNGVKIANTEVRVATVVLGGRKANLLRSAHAEEWSW - ->YP_009067059.1 GP5 protein [Mikumi yellow baboon virus 1] -MNKCYRNWEPFSMPSPSTLSLCTFLLLLTSSLSDMADNATSHDPVTVWSDIFSNLMSPSYVVNISICGAV -SIQNDTHWYKPCTAAEIGNATKNDANYTKVSSLPCILHSYTGVHVNHTKVFLETYLAVPLLTHMLSYFCA -TTAAFLDFTFFGGLAGAAYYYHSPALLLYTPLALIFLVVFIRRLTLNILALRFAWTRHTNFIIDQKGRLF -VNHDDVLIEGPNGVKLADKEVRVATVVLGGRKAHLLRSAHAEEWSW - diff --git a/seq/clusters_seq/cluster_377 b/seq/clusters_seq/cluster_377 deleted file mode 100644 index 6f61f80..0000000 --- a/seq/clusters_seq/cluster_377 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_009701463.1 VPg [Norovirus GI] -GKNKGKNKKGRGRKNNYNAFSRRGLNDEEYEEYKKIREEKGGNYSIQEYLEDRQRYEEELAEVQAGGDGG -IGETEMEIRHRVFYKSKSKKHHQEERRQLGLVTGSDIRKRKPIDWTPPKSAWADDDREVDYNERINFE - ->YP_009701457.1 VPg [Norovirus GIV] -GKKKGKNKQGRGRKHTAFSSKGLSDEEYEEFKQIREERGGKYSIQEYLEDRDRFEEEVAYAQACGGDCDD -IEIGRIRNSIFRPSRKQRKEERVKLGLVTGTEIRKRKPDDFQPKGKLWADDDRTVDYHEKLDFE - ->YP_009701442.1 VPg [Norovirus GI] -GKNKGKTKKGRGRKSNFNAFSRRGLSDEEYEEYKKIREEKSGNYSIQEYLEDRQRYEEELAEVQAGGDGG -IGETEAEIRHRVFYKSKSGMRKQRQEERRQLGLVSGSEIRKRKPIDWTPPKNDWSEDTRTVNYDEHISFE - ->YP_009701451.1 VPg [Norovirus GII] -NKSGRGKKHTAFSSKGLSDEEYDEYKRIREERQGRYSIEEYLQDRDRYYEELAIAKATEENFCEEEEVKI -RQRIFRPTKKQRREERATLGLVTGSEIRKRNPDDFKPKGKLWADDDRSVNYNERIDFE - ->YP_009555237.1 VPg [Norovirus GII] -GKKGKNKKGRGKKHTAFSSKGLSDEEYDEFKRIREERNGKYSIEEYLQDRDRYYEEVAVARATEEDFCEE -EEAKIRQRIFRPTKKQRKEERGVLGLVTGSDIRKRRPDDFQPKGNLWADDTRSVDYNERLDFE - ->YP_009518847.1 VPg [Norovirus GII] -GKKGKNKTGRGKKHTAFSSKGLSDEEYDEYKRIREERNGKYSIEEYLQDRDRYYEELAIAKATEEDFCEE -EEIKIRQRIFRPTRKQRKEERATLGLVTGSEIRKRNPDDFKPKGKLWADDNRSVDYNEKLDFE - ->YP_009684409.1 VPg [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -GKKGKNKKGRGRKHTAFSSKGLSDEEYDEYKKIREERGGKYSIQEYLEDRNRYEEEVTLAQANEENFTEN -DAAKIRQRIFRPTRRQRKEEQRNLGLVTGSEIRKRKADDFKPSGKLWADDQREVDYGEKIDFE - ->YP_009528583.1 VPg [Norovirus GII.17] -GKKGKNKSGRGKKHTAFSSKGLSDEEYDEYKRIREERNGKYSIEEYLQDRDRYYEELAIAKATEEDFCEE -EEIKIRQRIFRPTRKQRKEERATLGLVTGSEIRKRNPDDFKPKGKLWADDNRSVDYNERIDFE - ->YP_009238496.1 VPg [Norovirus GIV] -GKKKGKNKQGRGRKHTAFSSKGLSDEEYEEFKQLREEKGGKYSIQEYLEDRDRFEEEVAYAQACGGDCDD -IEISRIRNSIFRPSRKQRKEERVKLGLVTGSEIRKRKPDDFQPKGKLWADDERTVDYNEKLDFE - ->YP_009237935.1 VPg [Norovirus GIII] -GKEKKAQAPTQLESKKGRRKVNAFSRRGLSDEEYDEYKKIREERGGNYSIQEYLEDRERYERELAERQAD -DDSYDDSSIRQKYFGRGKAAKAQRRKIDWNPTGPSWADDEREVDYNEVIEFQ - ->YP_009238489.1 VPg [Norwalk-like virus] -GKKGKNKSGRGKKHTAFSSKGLSDEEYDEYKRIREERNGKYSIEEYLQDRDKYYEEVAIARATEEDFCEE -EEAKIRQRIFRPTRKQRKEERASLGLVTGSEIRKRNPDDFKPKGKLWADDDRSVDYNERLNFE - ->YP_724459.1 NS5 [Norovirus GV] -GKKGKNKKGRGRPGVFRTRGLTDEEYDEFKKRRESRGGKYSIDDYLADREREEELLERDEEEAIFGDGFG -LKATRRSRKAERAKLGLVSGGDIRARKPIDWNVVGPSWADDDRQVDYGEKINFE - ->NP_786948.1 VPg [Norovirus GI] -GKNKGKTKKGRGRKNNYNAFSRRGLSDEEYEEYKKIREEKNGNYSIQEYLEDRQRYEEELAEVQAGGDGG -IGETEMEIRHRVFYKSKSKKHQQEQRRQLGLVTGSDIRKRKPIDWTPPKNEWADDDREVDYNEKINFE - diff --git a/seq/clusters_seq/cluster_378 b/seq/clusters_seq/cluster_378 deleted file mode 100644 index 26ec726..0000000 --- a/seq/clusters_seq/cluster_378 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_009507728.1 NS3 [Orungo virus] -MYRDLLNIHTEMRGPPSYEPSGLAQATAPTMRFGDREDEEGLLRARELSLNVLTNAMTNTTGANEAIKNE -KAMFGAYADALRDTPEIRVVKRRINERTLEKLHKMRRSLHGRRMFVRMLLAINVGLALLTSAVNVVRQSL -DDFGKERWIVGGVWWLGEVVVTINFGTTVATLVLMRIHGALNAQLQTIKRDIYKKSSYNEAAAMHWKGEI -SLIEELAASHVPAGSNV - ->YP_009507715.1 NS3 [Lebombo virus] -MLSTVFQHYDRNDEERALVPYNPRPPSYAPTAPRESVAMETISLGVLNQAMSNTTGANNPLKEEKAAFGA -YAEAFRDPVPIREIKRRVGVRTVHKLEGVRRNVRRRALFVRTLLFVSGVIALSTSIVNTLSTFVPGLKLE -EIGSDWLQTLIQVINLMGTAAIMSLSKYLNSLDQEISLLNRDIVKKKSYIDASNMKWDGNLETLSKISET -VPT - ->YP_009158899.1 NS3 protein [Chenuda virus] -MLSVADAKRREQMAGTEMRPLVPSAPRPLPGAMPEMALGVLQNALTSSTGANETAKNEKAAYGAASEVMR -DDPATRQLKVHVSVTAIAELEERYKRVRRKKCACKWLQAISSALVLTTSIAMSIVTASTWVENTLVKNGV -PVYMIISGLTTVAMVAGRMHAHARTATRTIKRDLVKKKSYVQLAVAMGTPLPGTVAAPAKAGTSVGAASI -VDDLVARGWQPLP - ->YP_009158892.1 NS3 protein [Wad Medani virus] -MLHQAMEKRAQSVGNEMTVFRPQATAPLAPDPLNALAVLQQSMTSSSGSNDTSKNERAAYGAAAEALRDE -EGVRLLKLHVGQAAIRDLYTNAKGLERSIFWWGVAEKVCAALLLLWSVAMSISAVSKHVDSAKEYVPLYT -IVGALASLGFFASRRRSALSEALRQTRRDIIKKETYAKMAKTLGTSAATSSPLVSSAQLQDLLRRVLEGE -TPNSERLWV - ->YP_052954.1 NS3 protein [African horse sickness virus] -MSLATIAENYMMHNGNQRAIVPYVPPPYAYANAPTLGGQAGEMESMSLGILNQAMSSTTGASRALKDEKA -AFGAMAEALRDPEPIRQIKKHVGLRTLKHLKIELASMRRRYAILRVVIFMSGCVTMATSMAGGLTIIDNE -IYEDLSGDGWLSKTIHGLNLLCTTMLLAAGKISDKIQEEISRTKRDIAKRESYVSAASMSWSGDTSVLLK -EVKYGDS - ->sp|Q64919.1|VNS3_AHSV7 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MSLATIAENYMMHNETQRAIVPYVPPPYAYANAPTLGGQAGEMESMSLGILNQAMSSTTGASGALKDEKA -AFGAMAEALRDPEPIRQIKKHVGLRTLKHLKIELASMRRRYAILRVVILMSGCVTMATSMAGGLTIIDKD -IYQDLNGDGWLSKTIHGLNLLCTTMLLAAGKISDKIQEEISRTKRDIAKIESYVSAASMSWSGDTSVPLK -EVKYGDS - ->sp|Q64904.1|VNS3_AHSV4 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MNLAAIAKNYSMHNGESGAIVPYVPPPYNFASAPTFSQRTSQMESVSLGILNQAMSSTTGASGALKDEKA -AFGAMAERLRDPEPIRQIKKQVGIRTLKNLKMELATMRRKKSALKITILISGCVTLATSMVGGLSIVDNE -IFEDYKKNDWLMKAIHGLNLLCTTVLLAAGKISDKIQEEISRTKRDIAKRESYVSAASMSWNGDTEVLLQ -GIKYGDS - ->sp|P33885.1|VNS3_AHSV9 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MNLAAIAENYSMHNGESGAIVPYVPPPYNFASAPTFSQRTSQMESVSLGILNQAMSSTTGASGALKDEKA -AFGAMAEALRDPEPIRQIKKQVGIRTLKNLKMELATMRRKKSALKIMIFISGCVTLATSMVGGLSIVDDQ -ILDDYKKNDWLMKTIHGLNLLCTTVLLAAGKISDKIQEEISRTKRDIAKRESYVSAASMSWNGDTEMLLQ -GTKYGES - ->sp|Q64917.1|VNS3_AHSV5 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MNLAAIAKNYSMHNGESGTIVPYVPPPYNFASAPTFSQRTSQMESVSLGILNQAMSSTTGASGALKDEKA -AFGAMAEALRDPEPIRQIKKQVGIRTLKNLKMELATMRRKKSALKIMIFISGCVTLATSMVGGLSIVDDE -ILGDYKNNDWLMKTIHGLNLLCTTVLLAAGKISDKIQEEISRTKRDIAKRESYVSAASMSWNGDTEMSLQ -GIKYGES - ->sp|Q64914.1|VNS3_AHSV2 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MNLASISQSYMSHNENERSIVPYIPPPYHPTAPALAVSASQMETMSLGILNQAMSSSAGASGALKDEKAA -FGAMAEALRDPEPIRKIKRQVGIQTLKALKVELSGMRRKRLILKIIMFICANVTMATSLVGGISIVDEDI -AKHLAFDGKGDWVSKTVHGLNLLCTTMLLAANKISEKVREEIARTKRDIAKRQSYVSAATMSWDGDSVTP -LRDVKYGD - ->sp|Q64912.1|VNS3_AHSV6 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MNLAAIAKNYSMHNGESEAIVPYVPPPYNFASAPTFSQRTSQMESVSLGILNQAMSSTTGASGALKDEKA -AFGAMAEALRDPEPIRQIKKQVGIRTLKNLKMELATMRRKKSALKIMIFISGCVTLATSMVGGLSIVDDE -ILRDYKNNDWLMKTIHGLNLLCTTVLLAAGKISDKIQEEISRTKRDIAKRESYVSAASMSWNGDTEMLLQ -GIKYGES - ->sp|Q64905.1|VNS3_AHSV8 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MNLASISQSYMSHNENERSIVPYIPPPYHPTAPALAVSASQMETMSLGILNQAMSSSAGASGALKDEKAA -FGAVAEALRDPEPIRKIKRQVGIQTLKTLKVELSGMRRKKLILKIIMFICANVTMATSLVGGMSIVDEDI -AKHLAFDGKGDWVSKTVHGLNLLCTTMLLAANKISEKVREEIARTKRDIAKRQSYVSAATMSWDGDSVTL -LRDVKCGD - ->sp|Q64903.1|VNS3_AHSV1 RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MNLASISQSYMSHNENERSIVPYIPPPYHPTAPALAVSASQMETMSLGILNQAMSSSAGASGALKDEKAA -YGAVAEALRDPEPIRKIKRQVGIQTLKTLKVELSGMRRKKLILKIIMFICANVTMATSLVGGMSIVDEDI -AKHLAFDGKGDWVSKTVHGLNLLCTTMLLAANKISEKVREEIARTKRDIAKRQSYVSAATMSWDGDSVTQ -LRDVKYGD - diff --git a/seq/clusters_seq/cluster_379 b/seq/clusters_seq/cluster_379 deleted file mode 100644 index eb7aa8a..0000000 --- a/seq/clusters_seq/cluster_379 +++ /dev/null @@ -1,129 +0,0 @@ ->YP_009552007.1 60 kDa protein [Rehmannia virus 1] -MANYSRSYFGELFCRFYGQEDWKDYLNKATTLPLVPQTNNFKFKNGFTLKAGDINNSAPGSFEREFALLL -ASENFYGWLSKSGLDPRSGFSTVNTGEDLDKCEDPFIDVDAKSVGCRFPISEITSRLGANTDQDLIEHCW -SLSNSCGEFINPNDVNRFKEITFDRHSELDKLESVHSKVVDYLSHCLMLVDMSRLPSFRGETNMMDKMLS -KCLAYYEPSNLTIPTGNCNDLLRGVVYDFIKEKSAYVSSYSNNIKNFITFLASYAPVIEEIWFFQWVGDP -PDYRLLFDFTLTDLTDDRLPLLNLNDMQVVIGSQTKYLENVLIDADIKLMREYVSSLLVKANPTLNLGLL -WCAFHCYYGTYRTAVKRKVARPLIYKPPFQLTTEPVDFSLVESFFDKVQTGRMNVNVRRQFCGKLGAEAI -MCFKRLRVGFPKISALNVPGEYSYLNIDYYKHIPDKGISDEEKLILCNLRDSVNEMCVNRALNVNRSSAL -RKPGSISLVKQTDKRTSLANTRKIDGLDRLKRQLHI - ->YP_009551997.1 heat shock 90-like protein [Arracacha virus 1] -MTFVGYSWGNLFLSFFGEEDYKNYLSEVSGYTGSQLPVNAMEFRDGSTLSAAMMMNSSPGTPQREMYLLL -TCERTNEWCSLMSLNKYKATAGVNIFSRPLVYITDAPTVGSKFSKSDVVTFLRRLGKDPAAANVEHCWFL -SNSCGELIDANDTSKYSKIVNSGITLALDEEVKLTSKSGEYLAYAISLYDSLQTESQSGRKKLYDRYLSY -VIKYMAPSNLSYHTPEVNPLLTGLLYDMCDHDNVYVSEYVTNLNSFRSSFLEKYLPSVNDFWEYDFLQPA -PDERLIFPLDDFDLVSKIPSLNINDSTVLLGGRLKYVESIFTHQFGDDLDGVIDAVLQQSNPSIKRETLW -VALFTYYGVYRTAKTRIVPRPEVFTFPPGYPSELTCEVNFSTVEKYFSDLQTKAGNSNVRRNFMGAHASE -AFRTYKALGIGFPPVSALNIPEKYGYLNVDYYKQAKVDHLTDEEATILKEVSRDVDAKCTRRLVNSNPVN -KSINKDAIRTAKRLPTGIISRRVLPSPTSKLLSDLRKNTEWATTLRRNTDRATSQYPHHPTRLR - ->YP_009664808.1 p61 [Beet yellow stunt virus] -MSRRPTFAGYSWGSLFKRHYGEPEWKSYLTETSMKYKPLKSESITFYDGSSLTSAELRPARSGTAEYEIA -LLIFSDSITKWSEKLERSIYRGLNQINNHSIYAETELEVTDVKTIGCKFTISAVESFMGGRASAAQVEHC -WSLSNSCGELINPNDTARFIQLVFKDKAVTEQAQVNTSGSVSDYLVYCLQLYDNSKKKSNAGRTQLMESY -VSFIRDFFQHSDLYYRSPLDNPLLTGVLYDLCIEHNVLRGSYLKNLDNFRLFKQTYLPMIDDIFDYSWEL -YAPDERLLFPIDPYEIIKEVPTMSVIDANVVLSNKLVYLDSYLENNSILALEKKIISILCRDNEGIDEGA -LWAAFFCYYGTYRTARQRVVKRPDTYELDGIFSKPIVMSGVELFFDELQKRVPDVSLRRRFNGAKAGEAI -TVFKKLGISFPPITRLNAPSKYSYLNIDYFKQANSLGLTEPEKIILCNIAKDVDMMCAQRISSVKAKPIA -QRNGEAINSAKIRTLPTNTLVRALEKCLLNQAPSWWNTTLTNLR - ->YP_009407924.1 putative heat shock protein 90 [Actinidia virus 1] -MSRLLVRSDWKYGDLFRYFLGKKDIQSILAEVQNYPSSCFKSSHNIYRQGDRIERIVLTHHPSYPRSIKY -DTTVIAEYLMLCAYVEKHGIDKYLDTEVFDMLSDIMYDFDLSDLDLTIVQLPRKRLEANLQFKLSDFDMP -EYSRYTRDQKLIFKTISNAMSMKYNPLVLVSGDLIYADVKSDNSEILINNYELLCRMYKYGEAIASGALN -SRFSVNGTHWLNRFMNNLMIASKGVGAFYIGDWVAPTLCYLGLISKMCDHYDPFGKICDNDSQLAIINEP -PVLTCMSLIFAPLNSFVNDVKMLLPFYLTADGTLSRTLNYSDLSFLPVQRSRLNLLSKDFVRRITANILY -LPDYDDSLHELGTYWLFSAVCIYYGIEGTNRFRKTTRGKGVCFSDHSGKTYLVDMTRLEMYFDELQKDIT -SYSVRRAYFGTIIEFVNKIYDTFRCQFLCRWYYNGYGPMSSKDYTDFFKYNSSGADVKYLKSMRAYSGVV -SLRPNYRGAIRQKVRRR - ->YP_009162625.1 CPh [Tobacco virus 1] -MYAYALHNAEFIYSMANTSGRSSFVRSYFGELFCRFYGSENWKEYLAKTSSLPIARQTKDFTFKNGYVLK -ASDINNSASGSCEREFALLLTSENIYNWAKKCGLEPSSAFSTVNTGVDLDKCEEPNISVDVKSTGCRFPI -SEISSKFVNNADQDLIEHSWSLSNSCGEFIDPNNVDRFKSITFEDHDISTDSVSIQSKVVDYLSYCLMLV -DMSLLPSLKGEKNMMDKMLEKCLQYYATSTLITSVGEHNALLKGVIYDFIKEKSAYISSYSNNIKSFINF -QASYAPVIEEVWKFRWLNSPPDFRLLFEFTLADLTDERLPLLNINDMQVVIGSQTKYLENVLMDSDVVAM -REYISSLLVKANPSSDLGMLWMALHCYYGTYRTAVTRKVPRPLLYKPPYQLTTETVNFTLVESFFDKLQK -GRPGTNVRRQFFGKLGTEAIAVFKKLRVGFPKISAMNVPDEYSYLNLDYYKHIPEKGLSEEEKLVLCNLR -SSVDEMCTNRALSISVRRDAQRESRGNSLAKRSAERTSGSKFTKSHGLNRLKQRLFI - ->YP_008858534.1 p64 [Carnation yellow fleck virus] -MTTRFSNPAGYSWGELFRRFFGGQEWKNLLSEAASTNRSRYASSFVFSNGEVITRGAFESAPNNSFAYEL -SLLLTFNKTEEACKLCGVAIATALADLNRLSEYKTSELNNVDVKTVGCKFTIQVVNEFVKKINGNVAEPS -LVEHCWSLSNSCGELINPKDTKRFISLIFKGKDIADDNTEAVVSSSYLDYLSHCLNLYETCCLPSNSGKK -QLYDDFLVHVIKYLENADLEYRAPRDNPLIAGILYDMCFEYNTLKSTYLKNIDSFNDFSAYVLTILSEVF -ILGWDNPAPDVRLLFELQPSELLLKIPTVNIHNSTFLFRNKLGLLESYFEDDSNESLRAKIDSLLQQDNP -ELGLAQRWIGFHCYYGEYRTAKTRKEKRADVYKLPKIVGDYTINMTNVEEFFSELQKNMPSISVRRRFCG -SLATEAFSIFKKFGIGFPPITRLNVPVKYSYLNVDYYRYVKRSGLTSDELTILSNIEFDVAEMCCEKEVA -LQAHRAQRSAKPFQNQTNVIDDQFSLIRASNTLKPRNDLLNLLWKDAGARRQRRDRPLLR - ->YP_003075969.1 p54 [Carrot yellow leaf virus] -MSTPTFAGHSWGCLFKRFYGVPVWKDYLSNTASSNATYVLNTYRFNSGHSISASDVAKAGRSSAVYEFYL -LLKSETIYKWCETCGIGIQTAFSDYDTNVNSFRSNMLIDVDVKTVGCRFSLSDLEAALRPSHPLEIRDKT -FLEHCWVLSNSCGEIIDPLDIKRFKSITFGDANALGKADETLMIGSSVGDYLSHCLTVLARSKLPSSAGE -TKMKDDWLAHCITYFSATDLNYSSKEKIPLLTGIIYELIDQHKMFLSSYANNVKNFSVLLGKIVPTIESI -WTFKWLKKPTDHRLLFEFSLSDLNKSASQVLSLNDMTVVLESKLNLLERVIHHSNIDSLKEVVDAILKDE -NPDIDGETLWICFHCYYGQHRTAAERVQARPEYYEPPFSLKKADSKLKISFVGVEKLFTTLQGQNPGINV -RRQFCGRISHEAIFVFKKMGLRFPTIATVIIPPELAYLNLDYYKWVDTSQLNPKKRGPC - ->YP_874189.1 CPh [Raspberry leaf mottle virus] -MAESRSLVHAWGKLFRNFYGERTYSSYLSRTTNDFRSAKVRPKLPDHTRADGSKVTSEQMWAAPQGTMLN -ELAYLYCSDEIFGWSKRTGVDIKTLLRGIESRTAAPPEMIPADAAKEGCRFSLQDVESFLLSGNFHVDTL -TVEHSWALSNACGRLVNPNDVKEFKGMVYDPTLNTAKLTALSSEMGDYLGHCMELYKRYVITKSDEVTVR -KEVFIWIETILAPYNLSYYGVDSNPLLTGIIFDFMFEHSVYPSNYGVNLKNLRIFKDSFLPVILDIWEYE -PSEKLADERLIIPASAMDFALHIPKLNIYDMSVVIGERLKFLEVVFEGEKLESITQRVIALLKVSNPDLD -EDVLLDTLFVYYGIFCTARSRVVPRPSSINTIRGDLKPVDVSQIESFFSELQLSTPDVNVRRSFMGHHYK -RVLKLYKEIGLKLPPKCDYIVPAEYGYLNVDFYKQIGSDVLTEEEMGHLTAIRDRVDYKCRNIVSLNSTP -AHGSKFSNSRRINRRSFTRKV - ->YP_762626.1 CPh [Strawberry chlorotic fleck-associated virus] -MSQVPIGSRNLGHEWGRLFKKFYGEPLWRDYLSRSAGSYRPELLKEGYMSADGKFITSEELAKAETGSVR -HELAILIYSDSIYKWSDICGSTPRSIFEGVAGMSLPNGEFLEVDTMRTGCKFDIDVVKGFLNYDEMEKDS -EKELHAEHTWALSNATGTLMDASHVEKFKTILFKDNTNLDITDNIHNVYGDYLSYLIELYKRHVIISHDE -LNLRRDFISYVIDYLNAYDFGYADYSDNPLITGFLLDFMIHNRVYTSTYSVNLSNLRLFISKVLPVMLDT -WVIATPKIIKDERLCIPISAVELVSSLPSLNLYDRTVLVGDKLMSLEYRFEYEAIESMVDKVKATLLESN -KLSGVSELHLFDTFYVYYAVFCTAKERTIRRPAQYMLYKGESVSMEEVEDLFDSLQKASYDVNVRRCVLG -AMGDRGFECYKRLGLRFPPKSDYVVPDHMAYLNVDFYKQLDESVLTPEESHHLSNIRRRVDVKCNNLVSL -KKTVFKDSSSSFSNVVKYPNSARTKKVAYSRIPRSVRNNGSI - ->YP_337915.1 63 kDa protein [Grapevine leafroll-associated virus 2] -MSNHSWESLFKKFYGEADWKRYLSRSIAAHSSEIKNLPEIRLYGGRVVKKSEFESAAPNSFEQELGLFIL -SEREVGWSKLCGITVEEAAYDLTNPKAYKFTAEICSPDVKSEGQKYTMEDVMNFMRLSNLDVNDKTLAEQ -CWSLSNSCGELINPDDKGRFVALTFKDRDIIDDAGAANVECRVGDYLVYAMSLFEQRTQKSQSGNISLYE -KYCEYIRTYLGSTDLFFTAPDRNPLLTGILYDFCKEYNVFYSSYKNNVDNFRFFLANYMPLISDIFVFQW -VKPAPDVRLLFELNAAELTLEVPTLSLIDSQVVVGHILRYVESYTSDPAIDALEDKLEAILKTSNPHLST -AQLWVGFFCYYGEFRTAQSRVVQRPGVYKTPDSVGGFEINMKDVEKFFDKLQRELPNVSLRRQFNGARAH -EAFKIFKNGNISFKPISRLNVPREFWYLNVDYFRHANRSGLTEEETLILNNISVDVRKLCAERACSTLPS -AKRFSKNHKSNIQSSRQERRIKDPLVALKDTLYEFQHKRAGWGSRSTRDLGSRADHAKRGGG - ->YP_224094.1 CPh [Mint virus 1] -MVNFGRRYYGELFCRFYGSQHWEEYLNKASSLPLQRQSEDYRFDNGEVLSVNELNSTQPGSCEREFVILL -TSEIIYKWCSVCGLDVKMGMSTVNTGRDLNFSKDPFISVDVKSTGCKFPMNDIKTFLGTKGDDIELAEHC -WSLSNSCGEMIDPSDISRFKAITFRSDSESKSEAVTSSRVIDYLSHCLSIVDMVSLPSQKGEKRMLDKVF -EKYLTYLGSSTLVTNGCCDNPLMKGVVFDFVRENAAYLSTYANNIKNFSIFSATYMPVIEDTWHFKWNET -PPDFRLLFDFTLTDLTDKSLPLLNVNDMQVVLGSQVKYVENVLMDVDILSMRDYIYALMKKSNSWADEST -LWAAFHCYYGTYRTAISRVVSRPLLYVPPPQLTTRVIDFSTVENLFDTLQKRKPDTNIRRQFCGKLGVEA -IACFKALKLSFPKICTLNVPLEYGYLNIDYYKHIPGRNLSDEERLILCNIKDSVNEMCLNRALSTEKRNA -QRHPKLLPNKNSFRDRDSEHKRTLSVSKNGLDALRRRLYCSTGGTERRL - ->NP_835248.1 HSP90-like protein [Grapevine rootstock stem lesion associated virus] -MSNHSWGSLFKKYYGEADWRKYLSKSIVAHTANIKTLPDVQLYGGRTVKKSEFESATPRSFEQELGLLML -SERDVGWCKMCGITVEEAMYQITNPEAYPITAEKCDPDVKTEGQKYTMEDVMNFMRRSNLDINDKILAEQ -CWSLSNSCGVLINPDDKERFVALTFKNNELVNDSSNANIDCRVGDYLVYAMSLFNCRSQKSQAGNISLYE -KYCAYIRTYLENTDLYFTSPDRIPLLTGILYDFCKEYNIFYSTYKRNVDNFRFFLTNYMPLISDIFVFQW -VKPATDVRLLFDISAAELTLEVPTLSLVDSQVVIGHVLRFVESYTADPAIDALEEKLDAIMKKSNPHLST -AQLWVGFFCYYGEFRTAQRRVVQRPGVYKTPNSVGGFEINMKNVEEFFDKIQREVPNVSLRRQFNGARAH -EAFKVFKKGNISFKPISRLNIPREFWYLNVDYFRHANRSGLSEEEVLILNNISVDVRKLCAERACSTLPS -AKRFSKGHKSSVPSLRQEKNYRDPLIALRNSLYEFRHGNRGRRV - ->NP_041873.1 putative heat shock 90-related protein [Beet yellows virus] -MTTRFSTPANYYWGELFRRFFGGQEWKNLMSEAASVSRPRYSSDFRFSDGVILSRKTFGESTGESFVREF -SLLLTFPKTYEVCKLCGVAMELALNGMNRLSDYNVSEFNIVDVKTVGCKFNIQSVTEFVKKINGNVAEPS -LVEHCWSLSNSCGELINPKDTKRFVSLIFKGKDLAESTDEAIVSSSYLDYLSHCLNLYETCNLSSNSGKK -SLYDEFLKHVIDYLENSDLEYRSPSDNPLVAGILYDMCFEYNTLKSTYLKNIESFDCFLSLYLPLLSEVF -SMNWERPAPDVRLLFELDAAELLLKVPTINMHDSTFLYKNKLRYLESYFEDDSNELIKVKVDSLLTRDNP -ELKLAQRWVGFHCYYGVFRTAQTRKVKRDAEYKLPPALGEFVINMSGVEEFFEELQKKMPSISVRRRFCG -SLSHEAFSVFKRFGVGFPPITRLNVPVKYSYLNVDYYRHVKRVGLTQDELTILSNIEFDVAEMCCEREVA -LQARRAQRGEKPFQGWKGTKNEISPHARSSIRVKKNNDSLLNILWKDVGARSQRRLNPLHRKH - diff --git a/seq/clusters_seq/cluster_38 b/seq/clusters_seq/cluster_38 deleted file mode 100644 index 25964df..0000000 --- a/seq/clusters_seq/cluster_38 +++ /dev/null @@ -1,801 +0,0 @@ ->YP_009449557.1 polymerase PB2 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MSLLLTLAKEYANLTKDKKSCKLLSQGTVSSYTTFKKWTTSRKEKNPSLRMRWAMGSKFPIMANREILEE -AGIPEQWEGIDLWSKKDDVSKLGMVLASPAAITYWNFCGPGVDNSSVIKDVYKAKFMKKERWRETLWGPM -NFELVGKQRRVVETQPVEIKLNQKEIKELTMWVLFEDEANLASKFIQENFSLVLSLRELYKGKAVNKDVA -AFMIAHQFSPEKRFLPTFGPIRPERMELLHCLGGDFWKIEAVTAGSLNEEQKKRDVRAVARKICLRASVD -LFTPAEKIRDYIASVTMRFGTVERTFEDVIRNSDDISAEVTLCKAALGCELGKSMSFGNLNLRKVSGEAE -TMEKTVYWGLKPIKYKCWRGEETFYCELRKVTCMFRRSEGLDWANIGPGSPEERRELLAMVMIFCRDGRF -FESAPVNIDESFFRTRLNKEIPYQYVLLKWVRQSRDNLDALLSTRGLIPAHIGQFGKGMGIDGSSSSSMV -YKGVMLSKTPIDIVESKEKHRLFLNDNIEAVTERGAMVASIMDLSEDNRETFNDVTFNHVDLAVLKDEKT -AIIKIYRSLVERINTDDDGLPALIMGKRYLELYQLDEVKDAVGLIPKRMLGAYSYQARQLIQSQIKNDSY -SLPEIIKLLPFCYSPPKKMLFDGTFHFKNQMYVRPGINTNLFSFSKTDKSKIYVNGSAVKIKLVLGDDEM -DTSLAFVEGFQVCEYDPRAPLIPRRDLRLIGFGKKVRVFVGQGQEKTLVRTSSKRAASHDVSKNIRRMRL -EV - ->YP_009118631.1 polymerase PB2 [Influenza A virus (A/California/07/2009(H1N1))] -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMRYPITADKRIMDMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDTNPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLAITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTGSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGVRMVDILRQNPTEEQAVDICKAAIGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIESIDNVMGMIGILPDMTPSTEMSLRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWEIV -KIQWSQDPTMLYNKMEFEPFQSLVPKATRSRYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGLRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTSGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->YP_009118470.1 polymerase PB2 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKKELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGKDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWENV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRVLFQQMRDVLGTFDTVQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRIVVRGNSPVFNYNKATKRLTVLGKDAGALMEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->YP_308849.1 polymerase PB2 [Influenza A virus (A/New York/392/2004(H3N2))] -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMVPER -NEQGQTLWSKMSDAGSDRVMVSPLAVTWWNRNGPVASTVHYPKVYKTYFDKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELRDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSIYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDSVMGMVGVLPDMTPSTEMSMRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTERLTITYSSSMMWEINGPESVLVNTYQWIIRNWEAV -KIQWSQNPAMLYNKMEFEPFQSLVPKAIRSQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLIEDPDESTSGVESAVLRGFLIIGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->YP_308855.1 polymerase PB2 [Influenza A virus (A/Korea/426/1968(H2N2))] -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMVPER -NEQGQTLWSKMSDAGSDRVMVSPLAVTWWNRNGPMTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSIKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDNVMGMIGVLPDMTPSTEMSMRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLTEDPDEGTSGVESAVLRGFLILGKE -DRRYGPALSINELSTLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->YP_308664.1 polymerase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTNGSSVKKEEEVLTGNLQ -TLKIKVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSAEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQQGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFESFQSLVPKAARSQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEPSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGRE -DKRYGPALSINELSNLAKGEKANVLIMQGDVVLVMKRKRDFSILTDSQTATKRIRMAIN - ->YP_089652.1 polymerase 2 [Influenza C virus (C/Ann Arbor/1/50)] -MSFLLTIAKEYKRLCQDAKAAQMMTVGTVSNYTTFKKWTTSRKEKNPSLRMRWAMSSKFPIIANKRMLEE -AQIPKEHNNVALWEDTEDVSKRDHVLASASCINYWNFCGPCVNNSEVIKEVYKSRFGRLERRKEIMWKEL -RFTLVDRQRRRVDTQPVEQRLRTGEIKDLQMWTLFEDEAPLASKFILDNYGLVKEMRSKFANKPLNKEVV -AHMLEKQFNPESRFLPVFGAIRPERMELIHALGGETWIQEANTAGISNVDQRKNDMRAVCRKVCLAANAS -IMNAKSKLVEYIKSTSMRIGETERKLEELILETDDVSPEVTLCKSALGGPLGKTLSFGPMLLKKISGSGV -KVKDTVYIQGVRAVQFEYWSEQEEFYGEYKSATALFSRKERSLEWITIGGGINEDRKRLLAMCMIFCRDG -DYFKDAPATITMADLSTKLGREIPYQYVMMNWIQKSEDNLEALLYSRGIVETNPGKMGSSMGIDGSKRAI -KSLRAVTIQSGKIDMPESKEKIHLELSDNLEAFDSSGRIVATILDLPSDKKVTFQDVSFQHPDLAVLRDE -KTAITKGYEALIKRLGTGDNDIPSLIAKKDYLSLYNLPEVKLMAPLIRPNRKGVYSRVARKLVSTQVTTG -HYSLHELIKVLPFTYFAPKQGMFEGRLFFSNDSFVEPGVNNNVFSWSKADSSKIYCHGIAIRVPLVVGDE -HMDTSLALLEGFSVCENDPRAPMVTRQDLIDVGFGQKVRLFVGQGSVRTFKRTASQRAASSDVNKNVKKI -KMSN - ->NP_859039.1 polymerase Pb2 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDMNPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKREELKNCNIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGVRMVDILKQNPTEEQAVDICKAAMGLKISSSFSFGGFTFKRTKGSSVKREEEVLTGNLQ -TLKIKVHEGYEEFTMVGRRATAILRKATRRMIQLIVSGRDEQSIAEAIIVAMVFSQEDCMVKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGMEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQEPTMLYNKMEFEPFQSLVPKAARSQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPAFNYNKTTKRLTILGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLTKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->NP_056658.1 polymerase PB2 [Influenza B virus (B/Lee/1940)] -MTLAKIELLKQLLRDNEAKTVLRQTTVDQYNIIRKFNTSRIEKNPSLRMKWAMCSNFPLALTKGDMANRI -PLEYKGIQLKTNAEDIGTKGQMCSIAAVTWWNTYGPIGDTEGFEKVYESFFLRKMRLDNATWGRITFGPV -ERVRKRVLLNPLTKEMPPDEASNVIMEILFPKEAGIPRESTWIHRELIKEKREKLKGTMITPIVLAYMLE -RELVARRRFLPVAGATSAEFIEMLHCLQGENWRQIYHPGGNKLTESRSQSMIVACRKIIRRSIVASNPLE -LAVEIANKTVIDTEPLKSCLAALDGGDVACDIIRAALGLKIRQRQRFGRLELKRISGRGFKNDEEILIGN -GTIQKIGIWDGEEEFHVRCGECRGILKKSQMRMEKLLINSAKKEDMKDLIILCMVFSQDTRMFQGVRGEI -NFLNRAGQLLSPMYQLQRYFLNRSNDLFDQWGYEESPKASELHGINELMNASDYTLKGVVVTKNVIDDFS -STETEKVSITKNLSLIKRTGEVIMGANDVSELESQAQLMITYDTPKMWEMGTTKELVQNTYQWVLKNLVT -LKAQFLLGKEDMFQWDAFEAFESIIPQKMAGQYSGFARAVLKQMRDQEVMKTDQFIKLLPFCFSPPKLRS -NGEPYQFLRLMLKGGGENFIEVRKGSPLFSYNPQTEILTICGRMMSLKGKIEDEERNRSMGNAVLAGFLV -SGKYDPDLGDFKTIEELERLKPGEKANILLYQGKPVKVVKRKRYSALSNDISQGIKRQRMTVESMGWALS - ->NP_040987.1 polymerase PB2 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPMTNTVHYPKIYKTYFERVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVKNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGIRMVDILKQNPTEEQAVGICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGVEPIDNVMGMIGILPDMTPSIEMSMRGVRISKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTAQIIKLLPFAAAPPKQSR -MQFSSFTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q20PL4.1|PB2_I79A7 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVKNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQSWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALREDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q20NZ9.1|PB2_I68A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKXAQDVIMEVVFPNEVGARILTSESQLTITKEKREELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGVRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGKDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEVSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQQGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q20NV1.1|PB2_I80AD RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTAHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQNCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSIKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPNTEMSLRGIRISKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWEMI -KIQWSQEPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGSLTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q0A449.1|PB2_I66A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAMVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVGGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIETIDNVMGMIGILPDMTPSTEMSLRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDLRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWEAV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVIGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYSKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q0A2H7.1|PB2_I83A5 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMGMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTIHYPKVYKTYFEKVERLKRGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKREELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYVEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKLRVHEGYEEFTIVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTVMSMRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSEAQGTEKLTITYSSSMMWEINGPESVLINTYQWVIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q0A2F5.1|PB2_I83A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADRRIMEMIPER -NEQGQILWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTIHYPKVYKTYFEKVGRLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGLRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEMLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKILFQNWGVEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKTGVDEYSS -TERIVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAARGQYSGFVRALFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRIIVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q0A2D7.1|PB2_I66A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAITWWNRNGQTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTGMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSEAQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALIEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P13876.1|PB2_INBAD RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MTLAKIELLKQLLRDNEAKTVLKQTTVDQYNIIRKFNTSRIERNPSLRMKWAMCSNFPLALTKGDMANRI -PLEYKGIRLKTNAEDIGTKGQMCSIAAVTWWNTYGPIGDTEGFEKVYESFFLRKMRLDNATWGRITFGPV -ERVRKRVLLNPLTKEMPPDEASNVIMEILFPKEAGIPRESTWMHRELIKEKREKLKGTMITPIVLAYMLE -RELVARRRFLPVAGATSAEFIEMLHCLQGENWRQIYHPGGNKLTESRSQSMIVACRKIVRRSIVASNPLE -LAVEIANKTVIDTEPLKSCLAAIDGGDVACDIIRAALGLKIRQRQRFGRLELKRISGRGFKNDEEILIGN -GTIQKIGIWDGEEEFHVRCGECRGILKKSKMRMEKLLINSAKKEDMKDLIILCMVFSQDTRMFQGVRGEI -NFLNRAGQLLSPMYQLQRYFLNRSNDLFDQWGYEEPPKASELHGINELMNASDYTLKGVVVTKNVIDDFS -STETEKVSITKNLSLIKRTGEVIMGANDVSELESQAQLMITYDTPKMWEMGTTKELVQNTYQWVLKNLVT -LKAQFLLGKEDMFQWDAFEAFESIIPQKMAGQYSGFARAVLKQMRDQEVMKTDQFIKLLPFCFSPPKLRS -NGEPYQFLRLMLKGGGENFIEVRKGSPLFSYNPQTEVLTICGRMMSLKGKIEDEERNRSMGNAVLAGFLV -SGKYDPDLGDFKTIEELEKLKPGEKANILLYQGKPVKVVKRKRYSALSNDISQGIKRQRMTVESMGWALS - ->sp|P26116.1|PB2_I84A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTRGILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVHKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSIKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPNAEMSLRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWEMI -KIQWSQDPTMLYNKMEFEPFQSLVPKAARAQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRVLVRGNSPVFNYNKATKRLTVLGKDAGSLTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P12445.1|PB2_I34A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPEK -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYTTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHANLSAKEAQDVIMEVVFPNEVGARILTSESQLTITREKKEELQDCKIAPLMEAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIKMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVNRKEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAVIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKTLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVILSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQVPATLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPGEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P0DOG3.1|PB2S1_I33A0 RecName: Full=PB2-S1 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPVTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTTTKEKKEELQGCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEARNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVNILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKALFQNWGIESIDNVMGMIGILPDMTPSTEMSMRGVRISKMGVDEYSS -AEKIVPLHQSKVECSSPH - ->sp|A3DRQ0.1|PB2_I96A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKKITEMIPER -NEQGQTLWSKVNDAGSDRVMISPLAVTWWNRNGPVASTIHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQNCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKLNVHEGYEEFTMVGKRATAILRKATRRLIQLIVSGRDEQSIVEAIVVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSN -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLINTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTIQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTVLGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|A4GBY7.1|PB2_I77AA RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPVTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQNCKISPLLVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIKVHEGYEEFTMVGKRATAILRKATRRLIQLIVSGRDEQSIVEAIVVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSN -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTVLGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|A8C8X3.1|PB2_I67A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTRTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIETIPER -NEQGQTLWSRTSDAGSDRVMVSPLAVTWWNRNGPTASTVHYPKVYRTYFEKVERLKHGTFGPVHFRNHVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLMITKEKKEELQECKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGACWEQLYTPGGEVRNDDVGQSLIIAARSIVRRATVSADPLASL -LEMCHSTQIGGVRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSTKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKILFQNWGIEPIDNVMGMIGVLPDLTPSTEMSMRGVRISKMGVDEYSS -TERVVVSIDRFLRVRDQQGNVLLSPEEVSETQGTEKLTITYSSSMMWEVNGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILIRGNSPVFNYNKGTKRLTVLGKDAGALNEDPDEGTTGVESAVLRGFLILGRE -DRRYGPALSINELSSLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|B3EUR6.1|PB2_I61A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMLQSRTREILTRTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIETIPER -NEQGQTLWSRTSDAGSDRVMVSPLAVTWWNRNGPTASTVHYPKVYRTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLMITKEKKEELQECKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGACWEQLYTPGGEVRNDDVDQSLIIAARSIVRRATVSADPLASL -LEMCHSTQIGGVRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSTKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMVGILPDLTPSTEMSMRGVRISKMGVDEYSS -TERVVVSIDRFLRVRDQQGNVLLSPEEVSETQGTEKLTITYSSSMMWEVNGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILIRGNSPVFNYNKGTKRLTVLGKDAGALTENPDEGTTGVESAVLRGFLILGRE -DRRYGPALSINELSSLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|A4K153.1|PB2_I54A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKINDAGSDRVMVSPLAVTWWNRNGPMTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLMVTKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKETRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDNVMGMIGILPDMTPSTEMSMRGIRVSKMGVDEYSS -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKLEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTVLGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|A4U6W2.1|PB2_I45A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMIPER -NDQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPMTSTIHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELKDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDIDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSS -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKVTKRLTVLGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|A4GCL8.1|PB2_I43A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPMTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGKDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEVSMRGVRVSKMGVDEYSN -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGTLTEDPDEGTSGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|A4GCM9.1|PB2_I35A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPMTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHGTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIIVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSIEMSMRGVRVSKMGVDEYSS -TERAVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTAQIIKLLPFAAAPPKLSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|A8C8K4.1|PB2_I07A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTRTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKKITEMIPER -NEQGQTLWSKVNDAGSDRVMISPLAVTWWNRNGPVANTIHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQNCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVGICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -ALKLTVHEGYEEFTMVGKRATAILKKATRRLIQLIVSGRDEQSIVEAIVVAMVFSQEDCMVKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFLNWGIEHIDNVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSN -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSLMWEINGPESVLINTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q6DNM0.2|PB2_I02A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPATGTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARTLTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLVIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIELIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLIPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFTAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMP - ->sp|Q6J859.2|PB2_I02A5 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPATGTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARTLTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLVIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEECMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TEKVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAVRGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILIRGNSPVFNYNKTTKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSISELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q6DNK1.2|PB2_I03A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIEMVPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSAVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVSGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVNICKAAMGLRISSSFSFGGFTFKRTSGSSFTKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGVLPDMTPSTEVSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTILGKDAGALTEDPNEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q67296.2|PB2_I72A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMVPER -NEQGQTLWSKMSDAGSDRVMVSPLAVTWWNRNGPVTSTVHYPKVYKTYFDKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTLIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSIKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDNVMGMVGVLPDMTPSTEMSMRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTERLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLIEDPDESTSGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q0HD50.1|PB2_I40A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPMTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTKMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHDGYEEFTMVGKRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDSVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSS -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q3HM41.1|PB2_I18A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSAVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEVNGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q2VC93.1|PB2_I80A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERMKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEKLQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDIDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRISGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLINTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILIRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -NKRYGPALSINELRNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q2LG86.1|PB2_I05A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKANDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGRVTNDDVDHSLIIAARNIVRRAQVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEMLTGNLQ -TLKIKVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWEAV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNIAKGEKANVLIGQGDVVLVMKRKRNSSILTDSQTATKRIRMAIN - ->sp|Q0A2Q4.1|PB2_I85A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITREKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGVRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSIKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKSTKRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNILLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWEAV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q0A2K8.1|PB2_I02A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKIERLKHGTFGPVRFRNQVK -IRRRVDINPGHADLSSKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMVGILPDMTPNTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPNEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q0A2G6.1|PB2_I59A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQALWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYILERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWEAV -KIQWSQDPTMLYNKMEFEPFQSLVPKATRGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q6DNM2.1|PB2_I02A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -NLMSQPRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPERNEQGQTLW -SKTNDAGSDRVMVSSLAVTWWNRNGPTTSTIHYPKVYKTYFEKVERLKHGTFGPVHFRNQVKIRRRVDVN -PGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLDNNKREEEELQDCKIAPLMVAYMLERELVRKTRFL -PVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASLLEMCHSTQ -IGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQTLKIRVHE -GYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNFVNRANQRL -NPMHQLLRHFQKDAKVLFQNWGIELIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSSTERVVVSI -DRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETVKIQWSQDP -TMLYNKMEFEPFQSLIPKAVRGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFTAAPPEQSRMQFSSLTV -NVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKEDKRYGPAL -SINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSIL - ->sp|Q9EA38.1|PB2_I77A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMVPER -NEQGQTLWSKMSDAGSDRVMVSPLAVTWWNRNGPVTSTVHYPKVYKTYFDKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLPITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDIDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSIKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATATLRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDNVMGMVGVLPDMTPSTEMSMRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETHGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQSPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLIEDPDESTSGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIQMAIN - ->sp|Q6J868.1|PB2_I03A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPATSAVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDDVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTILGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q8QPG7.1|PB2_I00A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSAVYYPKVYKTYFEKVERLKHGTFGPVHFRNQIK -IRRRVDINPGHADLNAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVIQSMIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGTSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLAITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|Q809Q3.1|PB2_I01A1 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -SVKKEEEVLTGNLQTLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQ -EDCMIKAVRGDLNFVNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMVGILPDMTPSTEMSLR -GVRVSKMGVDEYSSTERVIVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVL -VNTYQWIIRNWETVKIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQII -KLLPFAAAPPEQSRMQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGV -ESAVLRGFLILGKEDRRYGPALSINELINLAKGEKANVLIGQGDVVLVMKRKRDSS - ->sp|Q82573.1|PB2_I47A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADRRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPMTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVNICKAAMGLRISSSFSFAGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKTTRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDNVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSS -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKIEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTVLGKDAGTLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|O91740.1|PB2_I93A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMVPER -NEQGQTLWSKMSDAGSDRVMVSPLAVTWWNRNGPVTNTVHYPKVYKTYFDKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELRDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSIYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDSVMGMVGVLPDMTPSTEMSMRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTERLTITYSSSMMWEINGPESVLVNTYQWVIRNWETV -KIQWSQNPAILYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLIEDPDESTSGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P31344.1|PB2_I78A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQPRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVEILRQNPREEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTILYNKMEFEPFQSLVPKAARAQYSGFVRTLFQQMRDVLGTFDTVQIINLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGIPILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P26114.1|PB2_I77AC RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTRGILTRTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRITETIPER -NEQGQTLWSRTSDAGSDRVMVSPLAVTWWNRNGPTASTIHYPKVYRTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSSKEAQDVIMEVVFPNEVGARILTSESQLMITKEKKEELQECKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGACWEQLYTPGGEVRNDDVDQSLIIAARSIVRRATVSADPLASL -LEMCHSTQIGGVRMVDILKQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSTKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKKATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCVIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKILFQNWGIESIDNVMGMIGILPDLTPSTEKSMRGIRISKMGVDEYSS -TERVVVSIDRFLRVRDQQGNVLLSPEEVSETQGTEKLTITYSSSMMWEVNGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILIRGNSPVFNYNKSTKRLTVLGKDAGALNEDPDEGTAGVESAVLRGFLILGRE -DRRYGPALSINQLSSLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P26113.1|PB2_I30A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTRTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIIETIPER -NEQGQTLWSKTSDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKIYKTYFEKAERLKHGTFGPVHFGNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLMITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQLYTPGGEVRNDDVDQSLIIAARSIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKKEEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -INRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDLTPSTEMSMRGVRISKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEVNGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPKQSR -MQFSSLAVNVRGSGMRILVRGNSPVFNYNRTTKRLTVLGKDAGALTEDPDEGTTGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P03427.1|PB2_I33A0 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPVTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTTTKEKKEELQGCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEARNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVNILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKALFQNWGIESIDNVMGMIGILPDMTPSTEMSMRGVRISKMGVDEYSS -AEKIVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAVRGQYSGFVRTLFQQMRDVLGTFDTAQIIKLLPFAAAPPKQSG -MQFSSLTINVRGSGMRILVRGNSPIFNYNKTTKRLTVLGKDAGPLTEDPDEGTAGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRNSSILTDSQTATKRIRMAIN - ->sp|P26111.1|PB2_I57A5 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPMTSTVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLKISSSFSFGGFTFKRTSGSSVKREEEMLTGNLQ -TLKIRVHEGYEEFTMVGKRATAILRKATRKLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEHIDNVMGMIGVLPDMTPSTEMSMRGVRVSKMGVDEYSS -AERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLTEDPDEGTSGVESAVLRGFLILGKE -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P26110.1|PB2_I82A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPKR -NEQGQTLWSNTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVEREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGIRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLIPKAARGQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P18884.1|PB2_I79A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPSLRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMSDAGSDRVMVSPLAVTWWNRNGPVTSTVHYPKVYKTYFDKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSMYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGTRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSIKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGKRATAIVRKATRRLVQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFHKDAKVLFQNWGIEHIDSVMGMVGVLPDMTPSTEMSMRGIRVSKMGVDEYSS -TEKVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTERLTITYSSSMMWEINGPESVLVNTYQWIIRNWETV -KIQWSQNPTMLYNKMEFEPFQSLVPKAIRGQYSGFVRTLFQQMRDVLGTFDTTQIIKLLPFAAAPPKQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKTTKRLTILGKDAGTLIEDPDESTSGVESAVLRGFLILGKG -DRRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P26107.1|PB2_I56A3 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTTTTVDHMAIIKRYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRIMVSPLAVTWWNRNGPTAVTTHYPKVYKTYFEKVERLKNGTFGPVHFRNQIK -IRRRVDTNPGHADLSAKEAQDVIMEVVFPNEVGAQLLTSESQLKITQEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYAPGGEVRNDDIDQSLIIAARNIVRRATVSTDPLASL -LEMCHSTQIGGIRMVDILKQNPTEEQAVDICKAAMGLKISSSFSFGGFTFKRTSGTSVRREEEILTGNLQ -TLKIQIHEGYEEFTIVGKRATAILRKATQRLVQLIISGKDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -MNRANQRLNPMHQLLRHFQKDAKILFQNWGIEPIDNIMGMTGILPDMTPSTEMSLRGIRISKTGVDEYSS -TERIVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGPESILVNTYQWIIKNWETV -KIQWSQDPTILYNKIEFEPFQSLIPKAARAQYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -IQFSSLTVNVRGSGMRILIRGNSPVFNYNKTTKRLTVLGKDAGALMNDPDEGTTGIESAVLRGFLILGKE -NKRYGPALSISELGNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMATN - ->sp|P26106.1|PB2_I73A4 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTIHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGTRMVDILKQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKGAEVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTIIYSSSMMWEINGPESVLVNTYQWIIRNWEIV -KIQWSQDPTMLYNKMEFEPFQSLVPRATRGQYSGFVRTLFQQMRDVLGTFDTAQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -NKRYGPALSINELSNLTKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P26105.1|PB2_I86A2 RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTIHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDVNPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDIDQSLIIAARNIVKRATVSADPLASL -LEMCHSTQIGGIRMVDILKQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEMLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKTTRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNILLSPEEVSETQGTEKLTIIYSSSMMWEINGPESVLVNTYQWIIRNWEIV -KIQWSQDPTMLYNKIEFEPFQSLVPRATRSQYSGFVRTLFQQMRDVLGTFDTAQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -NKRYGPALSINELSKLTKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRIRMAIN - ->sp|P26104.1|PB2_I77AG RecName: Full=Polymerase basic protein 2; AltName: Full=RNA-directed RNA polymerase subunit P3 -MERIKGLRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTIHYPKVYKTYFEKVERLRHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGAKILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSTDPLSSL -LEMCHSTQIGGVRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEILTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQDDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVVVSIDRFLRVRDQRGNVLLSPEEVSETQGTEKLTITYSSSMMWEINGSESVLVNTYQWIIRNWETV -KIQWSQDPTMLYNKMEFEPFQSLVPKAARGKYSGFVRTLFQQMRDVLGTFDTVQIIKLLPFAAAPPEQSR -MQFSSLTVNVRGSGMRILVRGNSPVFNYNKATKRLTVLGKDAGALTEDPDEGTAGVESAVLRGFLILGKE -DKRYGPALSINELSNLAKGEKANVLIGQGDVVLVMKRKRDSSILTDSQTATKRLRMAIN - ->sp|P0DOG5.1|PB2S1_I96A0 RecName: Full=PB2-S1 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTNGSSVKKEEEVLTGNLQ -TLKIKVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSAEMSLRGVRVSKMGVDEYSS -TERVVQPHRSRAECSFLL - ->sp|P0DOG4.1|PB2S1_I49A1 RecName: Full=PB2-S1 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSTVHYPKVYKPYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQNCKIAPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSLRGVRVSKMGVDEYSS -TERVQPHRSRVGCSSLL - ->sp|P0DOG6.1|PB2S1_I34A1 RecName: Full=PB2-S1 -MERIKELRNLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRITEMIPER -NEQGQTLWSKMNDAGSDRVMVSPLAVTWWNRNGPITNTVHYPKIYKTYFERVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRAAVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGVEPIDNVMGMIGILPDMTPSIEMSMRGVRISKMGVDEYSS -TERVVPLHQSKVECSSPHLL - ->sp|P0DOG7.1|PB2S1_I18A0 RecName: Full=PB2-S1 -MERIKELRDLMSQSRTREILTKTTVDHMAIIKKYTSGRQEKNPALRMKWMMAMKYPITADKRIMEMIPER -NEQGQTLWSKTNDAGSDRVMVSPLAVTWWNRNGPTTSAVHYPKIYKTYFEKVERLKHGTFGPVHFRNQVK -IRRRVDINPGHADLSAKEAQDVIMEVVFPNEVGARILTSESQLTITKEKKEELQDCKISPLMVAYMLERE -LVRKTRFLPVAGGTSSVYIEVLHLTQGTCWEQMYTPGGEVRNDDVDQSLIIAARNIVRRATVSADPLASL -LEMCHSTQIGGIRMVDILRQNPTEEQAVDICKAAMGLRISSSFSFGGFTFKRTSGSSVKREEEVLTGNLQ -TLKIRVHEGYEEFTMVGRRATAILRKATRRLIQLIVSGRDEQSIAEAIIVAMVFSQEDCMIKAVRGDLNF -VNRANQRLNPMHQLLRHFQKDAKVLFQNWGIEPIDNVMGMIGILPDMTPSTEMSMRGVRVSKMGVDEYSS -TERVPLHQSKVECSSPL - diff --git a/seq/clusters_seq/cluster_380 b/seq/clusters_seq/cluster_380 deleted file mode 100644 index f81aeb5..0000000 --- a/seq/clusters_seq/cluster_380 +++ /dev/null @@ -1,79 +0,0 @@ ->YP_009508094.1 coat protein [Garlic mite-borne filamentous virus] -MNDPVDPSTSQQMSGSTSQVSQPRPNVNPFGQTQLRSLGNRDPQNNSSNASNESDELMPALAEFETLTKD -VESNSVASRTIIREILDLLQAKRQGATPKDLLSLAWTCYHNGSSRFVTLTTDAPCGMAHSELKDVVEDYC -TLRQFCGFYAKACYVTGKQQNKPPANWSRKGYQEDSKFAAFDFFNAVLSDSSPNPPGGMRFKPTDAEILA -HSMNAKMSIVESRRATNMVSTRADLLAQQQIHEQPKPPMITF - ->YP_009389478.1 coat protein [Vanilla latent virus] -MDTSTTNIGTGSSSQKNPLTKDQPPPPTAQDAGETDSLMPTLQELEAIMPTIAANKVATRPMITAILTQV -RGQHASATATDLVELAINCMHNGSSRLTMVDGATSKSIPFTTIVDAIKQQCTLRQFCMYYAKVCYNIARE -RKIPPANWLAKGYSEDTKYAAFDFFAGVMNPASPSPPGGMKYTPSPAEMAASAVNGQMAILEARQQEDQY -STRGNMLAMRQVRATPRPPMITFE - ->YP_009362673.1 CP [Alfalfa virus S] -MSQHRTDSQSCLEMPFSSYTKSAQTLLTSLRTAMCVKFEVMFEIIKMPESNPRVIPPNPNETNTSVPPQG -NQTRPPAPDNNTAGDDLLMPSLEEIQLITREIDSNTVATKQTVTEILDLLKADHREADRSDLFQLAWFCY -HNGSSRFLVARGETSHRIPLAKIKNVVELKCTLRQFCMYYAKTCYNTGKAQMIPPASWSTRGYPENAKFA -AFDFFSGVTNNAVPTPAGGMAYYPTAEEIASQSLNADLAIAGSRTNPHQYSTRGNMMGMQQVRARDPPPM -ITFGE - ->YP_009328896.1 CP [Arachis pintoi virus] -MASNAPRPEDSARPISGAVPNTPPNNQSRPETSAPTRDPLLPSREEMQLVTRDVQANKIASRQQVEAILQ -QLRGGNVNDLMSLVWACFHNGSSRLTTLRGQSPSGLELSYIKDVVEEHCTLRQFCTFYAKVCYNTAKELK -TPPANWASKGFKEDTQYAAFDFFSGTMSPAAITPEGGMKYKPTPDEIKAHSANALMAIIDSRDQADQYST -RGNMLAMRQERAPPPPLITFQ - ->YP_009110672.1 coat protein [Garlic virus B] -MGDRSQGTNPAQGLNAQVQGDGTSGQSQRNPNRNPPPRPQADLNAQDNIMPSESDLAAIAGDVTSNSVAT -KETVKEILSNLQARRINATPKDLFSLAWACYHNGSSKFTTLTTDAPCGMPHAELKDLVEDYCTLRQFCGY -YAKTCYVTGKQQNKPPSNWSRKGFQEESKFSAFDFFNAVLSDSSPAPPGGMRFKPTQDEILGHSMNAKMS -IIESRKASNMVSTRADLLAQQQIHEAPKPLMLTF - ->YP_008855210.1 CP [Garlic virus D] -MNEQGNTSTQSPRGTVNPPGPPQPNVSAFGQGQTRPSGSRDPPNANPITNEQDELMPAVTEFENLANDVE -SNSLASRATIRDILDMLQATRQGATPKDLFSLAWTCYHNGSSRFVTLSTKAPCGMPLSELKDLVENFCTL -RQFCGFYAKACYVTGKQQKKPPASWSRKGYQDDAKFAGFDFFNAVLSDFSPAPPGGMRFKPTDAEILAHS -MNAKMSIVESRRSSNMVSTRADLLAQQQIHEQPKPPMITF - ->YP_008828154.1 coat protein [Donkey orchid symptomless virus] -MSIPKPSDALFPDAPDSFLILDETISSNSIATLAEVQKIHTALGENAPKISLALAFACADQGASAYVIPV -GKHGTMELSRAADIVRKVCTLRQFCMYYSKVVYDSMKSRDRAPANWVRKGFSYETRFAAFDFFSGTYSAA -APDPPYTLRYRPTPEEIAMHNKNAYALIEMSRRAGNSSTLGVITAAQQTQQPSRHLSLGNLN - ->YP_004659204.1 coat protein [Blackberry virus E] -MPDTPANPPANQAPNPVNAPNPPPPPPRNPASGGSSANPLIPADDELATLGTTVQANKVATQDAVSAVLA -ELQQGFPSATAIDLVSLAWACYHNGSSRYVTLEGSSAHGIPLASIKDVVENHCTLRQFCMYYAKLCYNKG -RSDKIPPANWAAKGFKAESMYAAFDFFNGVLNEAVPKPRTGMKFVPTEAEKAAHAVNAIMAITESRQQAN -QFSNRGNMLAMQQIRTPAPPPLITFDN - ->NP_659014.1 coat protein [Garlic virus E] -MTATYCCRRTLKPLISYNIAQTIVSPFYIRDAKTSSTRLGLMVCVKLAQRLNLMNGDEFSDQDLMNDPTN -AGTRPPPQSSGSQSEQARPNVTAFGQNQLRPTGSREPTNGSNMGTNEQNDLMPAVNDFEALTADVESNSV -ASRTTVREILDMLQAKRQGATPKDLFSLAWTCYHNGSSRFVTLATNAPCGMPHSELKDLVENHCTLRQFC -GFYAKACYVTGRQQNKPPANWSRKGYQDDSKFAAFDFFNAVLSDSSPVPPGGMRFKPTDAEILGHSMNAK -MSIVESRRASNMVSTRADILAQQQIHEQPKPPMITF - ->NP_620652.1 coat protein [Shallot virus X] -MNEEDLNRLNASGDLNGANNQRIPAGPSGVNQPIPSVTAGGQNQFRPSGGLGNQGSRPTESSNQDELLPT -EAEIEAITSDVESNSVAPKATIREILDTLQAKRQNATPKDLFSLAWACYHNGSSRFVNLNTDAPCGITHA -DLKTLWKASATLRQFCSYYAKSCYVSGKQQKKPPANWSRKGYPEEAKFAGFDFFNAVLSESSPAPPGGMR -FKPTQAEILGHSMNAKMSIVESRQSSHMVSTRADLLGRQQINEQPKPPMITF - ->NP_569130.1 viral coat protein [Garlic virus A] -MNNPVDPSTSQQMSGTTGQVSQPRPNVNPFGQSQTRPLGNRDPQNNSNASDDSDALMPAVTDFETLTKDV -ESNSVASRLIIRDILDMLQAKRQGATPKDLFSLAWTCYHNGSSRFVTLTTDAPCGMAHSELKDIVENSCT -LRQFCGFYAKACYVAGKQQNKPPANWSRKGYQEDSKFAAFDFFNAVLSDSSPSPPGGMRFKPTDAEILAH -SMNAKMSIVESRRATNMVSTRADLLAQQQIHEQPKPPMITF - ->NP_569136.1 viral coat protein [Garlic virus C] -MSGDSLSDDLVNAAMTDPSTQGLNQATSAQGSRPGLVSGPGSQTPSKNPRPSSTQGGPTVTNELLPSESE -LEAVANDVTSNSVATQSTIREILDLLRARKPSATPKDLFSLAWACYHNGSSRYTNLATDAPCGMSHAELK -DLVEEFCTLRQFCGFYAKTCYVTGRQQNKLPANWARKGFQDESKFAAFDFFNAVSSDSAPNPPGGMRFKP -TQAEILGHSLNAKMSIIESRKATNMVSTRADVIAQQQIHEPPKPLMLTF - ->NP_044575.1 coat protein [Garlic virus X] -MGDRNQGINPNQGLNAQVQGDGASNQNQRPNRNPPPRPLADSNAQNSIMPSENDLAAIASDVTSNSVATQ -ETIREILSTLQASGPAATPKDLFSLAWACYHNGSSRFTTMTTDAPCGMPPAELKDLVEDYCTLRQFCGYY -AKTCYVTGKQQNKPPANWSRKGFQEESKFAAFDFFNAVMSDSSPTPPGGMRFKPTHEEIVGHSMNAKMSI -IESPKASNMVSTRADSLAQQQIHEAPKPLMLTF - diff --git a/seq/clusters_seq/cluster_381 b/seq/clusters_seq/cluster_381 deleted file mode 100644 index 8ca0e80..0000000 --- a/seq/clusters_seq/cluster_381 +++ /dev/null @@ -1,118 +0,0 @@ ->YP_009665976.1 TGBp1 [Drakaea virus A] -MTRTKKAFDAPFVANVSSSTVASDKFRGSLDVVQIIVDNCRRSEFRHTGAVLKNLPVDYFEVSGLWEHFV -TNLTNKCSTCCDAFRTVCEQKLWCLENCEGSRFLLGSITGVPGAGKSYLLKQIHKDYSLDSVCVLGNSVA -RASFQGLDKVFTVDEVLTADLPLKFKVLLIDEFTLVESAEILLLQRKVGASYVILFGDISQTTNNDLSCS -LWLLSPIIFSKGSSRRFGAETVKFLNLQGFDCEGESSIKDTVILGDYYNCEAPEVDVLVAFTESTASDLR -ECGLVAQLVEDIQGKEFGRVTVFLRDEDKAIVEDNHLRLVAFSRHITLLVVRAEPLVLSLLESGELRNCK -QSHPYRYGQEY - ->YP_009665981.1 beta-B-protein [Poa semilatent virus] -MSDGLRTNHQNETPGVQSEKMELRQTTSDDTQNQADSGAKSHDTNTSRVDETAKTTDVKESPSGESLSGV -SAVSGGTDKGEKSAVRKEAGESKESPKSVPSDGGEVKHVDTETKAKSKRKKKNKKTPKEGTSKTTSESSS -AKNVESKESKKQTKPKAVSPSSDTSKIASEVGSAKKATKKESKKQTKDKGSAEDLNANTKLKAKASEQKG -PTIPGTSAEASRIDLLQSSTAEKFTKNDVRRTALVNEFVAQIHKFCIEQGFEPTGRQYMRARANLFELVG -LRNLYMEHLKKTAAKACNFTKDRIRRKLFLTSNHKPSVDFLVGIVSGVPGCGKSTLVRKLLDSPISCYVA -LANPATERDYRGTSNVMTLDDLLLAKVPMSSDLLIVDEYTLAESAEILLLQRRVRSSLVLLVGDVAQGRS -NNASNIEYLTLPVIYRKITSHRIGEETAKACSKQGNRIRSAGRKDKLILVDYEGETEETEKNLAFTEETR -DDVKDCGYDCSLVSEVQGLEYESVTLFLRNTDRAAASDHHKRTVSMTRHKSLLIIRAEQEIGQPFLMGEL -SVSSKRPSNAHVYSSE - ->YP_009508255.1 beta-B protein [Lychnis ringspot virus] -MNIFLVYNTMAIDKIVKSDNRSQKQQSSKKKKNRKHGNKVVENSTNVGVPPNEGVTLRRVRSVESIDEIF -TSNQRTPPAAEQLPQKQKQSKPPSVAKKHAANDKRRYEKADAFMNKIVEASRASGFQPTGQNFKRCPANL -FEKCKLRALYDKHLRVLHKDACDSEKERIAAKSFLHRSLRPNVDFKVGIVSGVAGSGKSTLIRKLCSEAD -AMCVLANPRLKETDYKGQSKTFTLQQVLLSIVPMTSDIVIVDEYTLAESAELLLLQRKLQATFLVLFGDV -AQGNAKTASSLEYLQFPVVFISKTSHRLGKHTAELCKKHGQAFEPGSPEEDEIIVADYLGAADTTEKNIA -FTKETVEDLRDAGVEASLVLETQGKEYESVTLFIRESDEAAMADSHLRAVALTRHRKKLIIRAEPGVQSS -FLNGELKSKTSADSHKYESSKVSYADSSSAAAQ - ->YP_009220371.1 triple gene block protein 1 [Colombian potato soil-borne virus] -MESRLNGSRPHGVKKDRPIRVNPVNTQKVTGVGLNTRKPKGNISQNNNWKPKQKSVHRREGDLKNSNNFE -PDLRESEHIDIVEEQPESPVAETHEHYPESSESFAADTQEQSSEPHHVLEDTKQSKENTGPGVRIPEESG -GHLGSANYLGRRQLDFVTKLCVESGFKSTGKPLKRYPAEFFKSSGLLEKFDKYLSSRLDKGCNLSQRESE -VVLRNLRQKRAEQSFLAGAITGVPGCGKTTLLRKIQCEGGFNSFVILGNPRSKTEFSNLPSCYTAKEILL -LGIAIKCEVLLIDEYTLLTSGEILLLQKITNCRILLLFGDRAQGSSNTLSSPEWLQIPVIFQSTTSRRFG -KATANLCKRQGFDFEGGDHEDKVVESPYEGSSAPTDINIVFSEDTRRDLGECGIESTLVSDVQGKEYNTV -TLFIQDEDRSYLANAHLRSVAFSRHRFLLEIRCNPELFMQLINGELASEQQPQTDRYGPE - ->YP_009047254.1 putative triple gene block 1 [Gentian ovary ringspot virus] -MNTAFEEKTVKSRNQRRRENARMKRKSVDNAKLNGNFLSKGELGRFKGKAKDDGYDTEQLISKVGFAAKD -LAEAQSRFSKRVSRNVPTVSAKAGSQTQRKQIPGKPEKGVTGKWVKKATKFNKVETKKPSDATLLKSAEV -PTVTTPKGGEIEDSRPVKEEKAEIVSAKSDTAVTEGIKDEETGGGKIGSDLFKGKRDIASVVSSCCVEAG -FEPTGSPLRKFPKDYFEKTGLKDNYIGYLIEKCLPAACDSQKELIERKINMVNCLMAEKDFSAGTIAGVP -GCGKSTVLKKIQGQVTDAILLYANPSLESCYKSVDNSFYTQTALVADINHTFATILIDEYTLCESAEILL -LQRKFKSSRVFLFGDRCQGDIDSLISPEWLKVPRIFSGKRSYRFGRETAALLKEFGLDIEGADVPDKVVK -SNYEGKVDEDSQCLCFSTRTRDDLLDCSVSCKLVEGTQGQEFERVTLFVAEWDQEYFRNSQLLLVGLTRH -KTYLELKTAPEVDLRNLSVAEVKSHNYA - ->YP_004928123.1 p39 [Hibiscus green spot virus 2] -MESFNYVTSADLVCSLSRFRDFYKERSASLSDDDVYADTTREYSAALDSTEFVDYNFQIFLLNGVPGGGK -TKFVYENVEVRNSCVVVPFKALKDEYRKRGYRSFTQFRALPSVRSADILVIDEYTCVCYSVLVSLVYKLR -PATVALIGDFNQCWIRDGEGFSMEPFVSTLVVNKYLSVCYRCPCPDVEFVRNYLDLDITPGKCGSTCSCT -GFNVETLVEGMTFDYRGEVALVFSGASKSYLESIGVSAVTVRSFMGKQADTVALFILKDHDIRLLGVSSL -KLVAFTRHVSKLVVYSDMSHDDVVALIEGATESGEVVDHDNFQPAYEDSLEQYFDRLLRGDFYVL - ->NP_835265.1 P49 protein [Indian peanut clump virus] -MCLLSVLSIAMSWQRRGTLEKAVNSAVSGGNGSKPVEIKRKGRSARGATKNEAKTWKEKYPPEDDYSPEF -VDNFRQSVANEKFQRSERRDGYDQVRLGSDNFVGDDPLKVIAEEAVAAGFQATGKVMKRFPADVFERSKF -IGMYDRHLSALRDKACCKGERDQVQSKLIQTRTLAPTCAFLAGTVTGVPGSGKSTLLKKVQKRLKNSVCL -LANKELKGDFAGVPSVFSVEEMLLTAVPSKFSVMLVDEYTLVQSAEILLLQRKLEAKIVVLFGDREQGNT -NKLTSPEWLHVPIVFSSDLSYRFGSETAKFCGDQGFSLQGKGSEDKVVTGDYEGEGEDTEVNLCFTEETK -KDLAEVQVEAFLVSSVQGKTFPSVSLFVREGDKQAFSDPHLRLVAITRHRKLLSIRAEPEVWISFMFPTR -EGEKVDTHCYGEEHCPDETE - ->NP_740764.1 unnamed protein product, partial [Broad bean necrosis virus] -AKRIFQRKVCRVFIQLNFRTSNPVKEEKFEQTPAVECDDTHRDNTSACSGIGSNRRKDDIDRLQSDQKVH -GTSSADCNTSKRQCKHFKILQTECGSLKLPPRYQGNREAPVVIAKICVECGFKPTGKPLKPFRGDHFRTS -TLLKKFDKYLSEKSGKGCNLTKKENEVVLSKLRARRPEVPFFAGVISGVPGSGKTTLLRKLQVEGCLNSV -VILGNPNLKSSFSNVQNSYTVKELLLLDLKLVLRLFSLTNNTLASNGEILLLQSLLGAKYLALFGDRAQG -SSNTCDSPEWLNFPVVFHLSSSRRFGKAIAALCRGQGLDFEGCDKEDECEAEAATKVLVTLLLSTWSLLW -VLETILLSGKLDTTLVYEVQGQEFESVTLFIHERDREAYADNHLKGVALSRAEKLLVIRCEPQLWQTLNN -VTETANSRALTYQYGKK - ->NP_620438.1 triple-gene-block protein 1 [Potato mop-top virus] -MESGFNGSRPHRVKKDLPDRVNPVNTQGSSGTTGNAFRKNNNNKTQNWKPRSGPGNRNEGDQTKNNKSDL -QQPSEVHPENQVRPESSTGESVKQQSEPHRVLEDKKQSGKTAGSSVRIPEEGGGGLGSANYLGKRQLDFV -AKLCVESGFKSTGKPLKRYPAEFFKSSGLLEKFVKYLSSRLDKGCNLSQRESEVVLKNLRSKRAEQSFLA -GAVTGVPGSGKTTLLRKVQCEGGFNSIVILGNPRSKTEFSNLPSCYTAKEILLLGIAIKCEVLLIDEYTL -LTSGEILLLQKITNSRIVILFGDRAQGSSNTLCSPEWLQVPVIFQSLTSRRFGKATANLCRRQGFDFEGG -EHEDKVVESPYEGSSPATDINIVFSESTREDLLECGIESTLVSDVQGKEYNTVTLFIPDEDREYLTNAHL -RSVAFSRHKFALEIRCNPELFMQLINGELASKQQPQTDRYGPE - ->NP_620030.1 first triple gene block protein [Peanut clump virus] -MEWRRAFSKKKLEEKVKNMDAKQATDYLLEKVDEQRNLENKLDKRLQNTRKKNKNKEKTRTWAEKYPPVD -YYSPEFVENFMKDMRREEFEKSEERRGHKQVRLGSDNFVGDDPLKVLSEEALKAGFQHTGKVMKRFPADV -FEKSKFIGMYDRHLTTLREKACCKKERNQIQSKLIQLRQLKPSCDFLAGTVSGVPGSGKSTLLKNVQKKL -KNSVCLLANKELKGDFAGVPSVFSVEEMLLSAVPSSFNVMLVDEYTLTQSAEILLLQRKLGAKIVVLFGD -REQGNTNKLTSPEWLHVPIVFSSDSSHRFGPETAKFCEDQGFSLEGRGGEDKIVKGDYEGEGEDTEVNLC -FTEETKADLAEVQVEAFLVSSVQGRTFSSVSLFVRENDKPVFSDPHLRLVAITRHRKLLSIRADPEVWVS -FMFATREGEEVDTHCYGEEHRPDEAE - ->NP_612611.1 first triple gene block gene [Beet virus Q] -MERRPRSRHNRKGNSTDSHSNSVWKQRVNSKVAEGSDKVASAKRVSAATRGIRRSDSVAPKDHESDLKVP -QEELNKDHSCAVDSKGADSNCTGHKSNHSARDSDTGSEGDGEHEKPVPVSKVRKGTETESESKTEDSNGR -TPVNLGSERYTGKRQLEIVSAICADSGFKATGKPLKRHPADFFERSGLLKEFDKYLSGRLDKGCNLSKEE -TEIVLVHLRRKRETVPFLAGTISGVPGSGKTTLLRRIQTEAGLNSAVILGNPRHKVSFSNLPSCYTAKEI -LLLRTEAQFEVLLIDEYTLLTSGEILLLQRIVRAMVILFGDRAQGSSAYLGSPEWVQFPVIYQSDVSHRF -GKSTASLCGKQGFDFKGGDHEDEVEECDYEGSSSRETDINLVVTEKTANDLLSCGVSSSLVEDVQGKEYN -SVTLFVLECDREKLADTHLRSVAFTRHKTLLVIRIEKSLFLQLINGELVSDYQPKTYRYGKE - ->NP_612625.1 48K protein [Beet soil-borne virus] -MEKEKLQKKERNLNRRTNKKGVRRLDKKNSEEKTKEDNRKSVEEDVVDGLGAHESVAKDRAATCERGKSQ -RQRVDQETSVKEGAGVDSKLGSDRYAGKRQLEVVSRICQESGFVATGKPLKRYPEDYFLKSGLLADFDKY -LSDRLDKGCNLTKSETETVLKHLRQKRRPQSFLAGSVTGVPGSGKTTLLRKIQTEAGLNSVVILANERHK -IRFTQLPACYTAKEILLLRTAIKYDVLLIDEYTLLQNGEILLLQRILEAKVVVLFGDRAQGNSRTADSPE -WLQIPVIYSSVKSRRFGKATADFCGKQGFDFEGCDQEDEVQKLDFEGSSPETDINLALTEATIEDLKEVG -IECSLVKDVQGNEYDSVSLFIREEDRAALSDPELRSVAFTRHRKLLIVRIPVCLMLSLFNGELNSDYRPQ -TNHYGKN - ->NP_604487.1 beta B protein [Barley stripe mosaic virus] -MDMTKTVEEKKTNGTDSVKGVFENSTIPKVPTGQEMGGDGSSTSKLKETLKVADQTPLSVDNGAKSKLDS -SDRQVPGVADQTPLSVDNGAKSKLDSSDRQVPGPELKPNVKKSKKKRIQKPAQPSGPNDLKGGTKGSSQV -GENVSENYTGISKEAAKQKQKTPKSVKMQSNLADKFKANDTRRSELINKFQQFVHETCLKSDFEYTGRQY -FRARSNFFEMIKLASLYDKHLKECMARACTLERERLKRKLLLVRALKPAVDFLTGIISGVPGSGKSTIVR -TLLKGEFPAVCALANPALMNDYSGIEGVYGLDDLLLSAVPITSDLLIIDEYTLAESAEILLLQRRLRASM -VLLVGDVAQGKATTASSIEYLTLPVIYRSETTYRLGQETASLCSKQGNRMVSKGGRDTVIITDYDGETDE -TEKNIAFTVDTVRDVKDCGYDCALAIDVQGKEFDSVTLFLRNEDRKALADKHLRLVALSRHKSKLIIRAD -AEIRQAFLTGDIDLSSKASNSHRYSAKPDEDHSWFKAK - diff --git a/seq/clusters_seq/cluster_382 b/seq/clusters_seq/cluster_382 deleted file mode 100644 index 354e1fb..0000000 --- a/seq/clusters_seq/cluster_382 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_009665203.1 coat protein [Abutilon yellows virus] -MSRDEQNIESGLLRKDIDENDNEHQETSQLSGDNKVENVSDIFQNLMKKRDLAENEQFDPELFKNIKVTA -DRGDALNDEQNRKFEEKLKSFCDSISKGASSDGHFLAFYCSFIVACKNQSTSVKNNKQPQLINTFTTNGS -TISWKTMDLINYMKANLPNVTNPIRQYCRRNEDKIQAISSAANLESDGHLAAKHGTTSQFWNTTADFING -SKSNISDDDLAANYLQRQAATKANKRTRTFYNVSQLAGSIE - ->YP_009507970.1 capsid protein [Tetterwort vein chlorosis virus] -MDNKKEERNQFSDEETTPQTDSERGGGMKKRDNSMSVRDIISEEHMNPAKLSSISVYSNRGDTMTQDDEA -KFEQCMNEFCKNLVAGEPTTEQFTAFYVSLVQCWLNQSTSMKNMRQRNLQNTFKINDQKFTWRTMDFMNY -VKGNLPHISNPFRQYARSHEKEIEILKSTGKVVCDHHLQAKHGVVSQYWNLPADYVNGSLINISDDDLAA -NLLMRCQALKRNKVEKKIYNVSQLAPGGCSE - ->YP_009507957.1 CP [Diodia vein chlorosis virus] -MSGGDDNQNSVPQLPNDVNERQVNADDGSANVSRRDDTINSMLKNITRRDDITSQETYDPEMFKNIKVSA -ERGDTLNDEQNTKFNLKMKDFCGTVVKMGVDETSLLAFFCSLILAMKNQSTSTKNSKQIHLINTFTHNGT -TFTWRTADFITFMKSNFPEVPNPIRRYLKRNEDVVARISAAAKLDSDGHLAAKHGTTAQYWNSTADFLNG -CKTNISDDDLAANYLQRQAATRMNKKNRQIYNVNQLAADFN - ->YP_006522431.1 coat protein [Cucurbit chlorotic yellows virus] -MEKTDNKQNDDLNKITEDGAEVIERYEKRESEGSKSSSNYEVRDLITPEHMNPEKLGDIVVYSNRADVMT -EEDELKFEQCMRDFAKKFVFKKSDSEPSPDEFMAFYVSLVQSWLTQSTSMKNARQRNLSNTLSVKNQKYT -WRTAEFIDFVKGNLPHVPNPFRQYARKHESDIEILKATGKVMSDHHLQAKHGVLSQYWALPADYVNGSLI -NISDDDLAANLLMKCQALKGTSQERKFYNVSQLAPGGCSK - ->YP_003002362.1 unnamed protein product [Lettuce chlorosis virus] -MGDSKETKNAENKKEEDQDEQILEEYVKRESEGGEKMTNLSVKDLVTSEHMNPEKLGDIVVYSNRGDVMT -EEDEMKFETCMRDFARKFVFKKVDGEPTADEFLAFYVSLVQSWLTQSTSLKNSRQRNLSNTLQIKGQKYS -WKTSEFMDYIKGNLPHVANPFRQYARKHEADIEILKATGKVKSDYHLQAKHGVLSQYWALPADYVNGSLI -NISDDDLAANLLMKCQALKGSSQERKYYNVSQLAPGGCSK - ->YP_001816779.1 CP [Bean yellow disorder virus] -MTSKKEEKSEITETFYEDEDVTKNNLNKRDSGGEKQINEINPRDLIDPEHMNPEKLGDIVVYSNRADVMT -EEDEKKFEQCMRDFAKKFVYKKSDAELSEDEFLAFYVSLVQCWLTQSTSMKNARQRNLSNSLMIKGQKYV -WRTSEFIDFIKGNLPHVPNPFRQYARNHEHEIEILKATGKVRSDHHLQAKHGVLSQYWNIPADYVNGAMI -NISDDDLAANLLMKCQALKGSSQDKKYYNVSQLAPGGCSK - ->YP_293703.1 coat protein [Tomato chlorosis virus] -MENSAVANTGDNGGGRNPLVRPLDDGVDDEVQNLGRRDDSTSLIPANPNRSSSWALLNPDTINYNELRKL -KVHSTRGDTLTLTQEEEFEKILESFCRRIIGETPMTDKIFAGFYMSMCQAIVNQGTSVKAAGNNSLENYF -EVDGARFKWKTPDLINEVRPKMSDVPNAIRRYARSHEKIIQDFINSGLIKPDYHLQFKHGVLPSHVFGTG -DYINGSLMNISDDQLISNLLMKRNALCKGNEGKELYNVNQLASITGC - ->YP_227364.1 p28 [Blackberry yellow vein-associated virus] -MPETLPPSVDQSQQSNQRENVIEQIVPPKVDTGTRASSSNTFSVDGLVGGLKPRDDIVGQDHMDPTKLAE -ISVVADRGDVLNQENKKKFESCMKKYCAIITGAEPTEATFMGFFISMVQASLNQSTSTKNSRLKHLTNTF -KVGETVYTWKTAGFLDYLKGNFTDVANPLRQYLRGIEGQVEVVKATGKIKSDGHLAAKHGTTSQFWDATS -DFTNGALTNISDDDLAANYLQRGVATKGKQQRKQIYNVSQIAGNV - ->YP_054421.1 coat protein [Potato yellow vein virus] -MDPHGNPIVEPESSNPPESAGVSFTDSQKPPVDRKVKDDSYNLDLLISNVGRRDDIISPDDMSLDKLSKI -QVRADRGDVLNDEDKLIFEGCLKNFCKRLTGVDPDPETFLGFFMSVAQMSLNQSTSVKNRKNLQLKNYLK -IGDKEYIWKTADLLNYLSGQFPNKSNPLRQYMRLCENQIEMLKSSGKIVSDGHLAAKHGTTSQYSSSVGD -YVNGSKTNISDDDLTANYLFKNAATKSTKKTKSIYNVAQLAGSIE - ->YP_025089.1 coat protein [Strawberry pallidosis-associated virus] -MAETTGDAPVINAETAPPRDQEVRNRSNEEFDEGFFSRAFNSVSKRDDVANDSHSDPNTFSDIKVTADRG -DTLNEEQNKKYEVKLKEYCQTITKVDVDEKTFLAFYCSLIKMAKNQSTSIRNNNNPHLTNSFSVADKTFS -YKTKDFLTFMAPHFTGVNNPLRRYMRKNEGRIKTISAAAGIDSDGHLAAKHGTTSQFWGATSDFTNGCET -NISDDDLAANYMQREAATKNKARSRTIFNVSQLAGNVQ - ->NP_940792.1 CP [Beet pseudoyellows virus] -MGDNGDGKKSDDNVQLQNDVPAPVENKILDQKKLDEFSKIDSMISSLGRRDDIVNQDILEADVLKSIDVT -ADRGDVLNTQDSETFVRLCKSFCKSVVKAEVNEKQFTGFYLSFIQAALNQSTSTKNLRNENLINTFKVDD -QTYSWKTAHFIRFIKGHFPTIDNPIRQYLRGNENQVAILRATGKLKSDGHLAAKHGTTTQFWDSTSDFTN -GCKVNISDDDLTANWLQRETATKGKNKKNTIYNVSQLASYGN - ->NP_851576.1 CP [Cucurbit yellow stunting disorder virus] -MASSSENKTSKDDTKIISEHVEDESDNETKGVTKKDIDGDNKSTYNPRDLITADHMDPTKLKDIKVFSNR -ADVMSDQDEATFAKCMKDFATIVFGKEPDEKEFLTFYISLVQCWLNQSTSMKNAKQMNLTNTLMHGDQKK -TWRTADFINYVKGNLPHVPNPFRQYARAHEHEIEILKATGKVTVDHHLQAKHGVLPQFWNVPADYVNGSL -MNISEDDLAANLLMKCQALKRNEKEKKYYNVSQLAPGGCGN - ->NP_689404.1 major coat protein [Sweet potato chlorotic stunt virus] -MDTGKVNDDKNFDSDSDVGFGDRNENDNLRRRSDTIDNENVNNVGRRDMELTSGILTSEQLALARLGKIQ -VYSNSPDIMSKSQEDEFKRHMESFVRQISGETTLSPEIFAGFYASLFQAWANQSTSEKNASNANLENVFM -VNGKEYSWRTHSFINHMQSNMPDVKNAARKWARAHANDYKVLVGLGIVKPDYHLQAKQGVLPEYWHLATD -FMRGNDMATTADGLAATLMMMKRNALCNKDNKNSIYNVTQLTGTGLHC - diff --git a/seq/clusters_seq/cluster_383 b/seq/clusters_seq/cluster_383 deleted file mode 100644 index cfdb8c6..0000000 --- a/seq/clusters_seq/cluster_383 +++ /dev/null @@ -1,77 +0,0 @@ ->YP_009227189.1 nonstructural protein NS2A [Spondweni virus] -GSTDHMDHFSLGLVVVMLMVQEGMKKRMTSKAIITSAAFLLAVMIVGGFTYQDFGRLVVLVGAAFAEMNT -GGDVAHLALVAAFKVRPAMLVSFMFRALWTPRESLLLALAACLLQVSVTPLDHSIMIVVDGIALSWLCLK -AILVPRTPNIALPLLAMLSPMLQGTTIVAWRAMMAALAVITLASMKHGRGVKKTFPYTIGCILGSMGLVE -NLGLVGLLLLTASKKR - ->YP_009227200.1 nonstructural protein NS2A [Zika virus] -GSTDHMDHFSLGVLVILLMVQEGLKKRMTTKIIMSTSMAVLVVMILGGFSMSDLAKLVILMGATFAEMNT -GGDVAHLALVAAFKVRPALLVSFIFRANWTPRESMLLALASCLLQTAISALEGDLMVLINGFALAWLAIR -AMAVPRTDNIALPILAALTPLARGTLLVAWRAGLATCGGIMLLSLKGKGSVKKNLPFVMALGLTAVRVVD -PINVVGLLLLTRSGKR - ->YP_001527882.1 nonstructural protein 2A [West Nile virus] -YNADMIDPFQLGLLVVFLATQEVLRKRWTAKISMPAILIALLVLVFGGITYTDVLRYVILVGAAFAESNS -GGDVVHLALMATFKIQPVFMVASFLKARWTNQENILLMLAAVFFQMAYHDARQILLWEIPDVLNSLAVAW -MILRAITFTTTSNVVVPLLALLTPGLRCLNLDVYRILLLMVGIGSLIREKRSAAAKKKGASLLCLALAST -GLFNPMILAAGLIACDPNRKR - ->NP_776016.1 non-structural protein NS2a [West Nile virus] -YNADMIDPFQLGLMVVFLATQEVLRKRWTAKISIPAIMLALLVLVFGGITYTDVLRYVILVGAAFAEANS -GGDVVHLALMATFKIQPVFLVASFLKARWTNQESILLMLAAAFFQMAYYDAKNVLSWEVPDVLNSLSVAW -MILRAISFTNTSNVVVPLLALLTPGLKCLNLDVYRILLLMVGVGSLIKEKRSSAAKKKGACLICLALAST -GVFNPMILAAGLMACDPNRKR - ->YP_009553730.1 NS2A [Kampung Karu virus] -GVLVLTLALTRGFKRRWTVSSILGGAALVLGLMVGGNISYQDLGRVLVLLGATFAEMNSGGDILHLALVA -TFRIQPLNLLGFMLRRRWSPIEGILVGSIAALTQILTMGMEGNILAIGNEIALAWLVLRALIDPHTSAIA -LPLIALFSPLGGATVLGTYKFFMFSLVVGQL - ->YP_009430302.1 nonstructural protein NS2A [Zika virus] -GSTDHMDHFSLGVLVILLMVQEGLKKRMTTKIIISTSMAVLVAMILGGFSMSDLAKLAILMGATFAEMNT -GGDVAHLALIAAFKVRPALLVSFIFRANWTPRESMLLALASCLLQTAISALEGDLMVLINGFALAWLAIR -AMVVPRTDNITLAILAALTPLARGTLLVAWRAGLATCGGFMLLSLKGKGSVKKNLPFVMALGLTAVRLVD -PINVVGLLLLTRSGKR - ->YP_009333109.1 nonstructural protein NS2A [Cacipacore virus] -YNGAMIDPFQLGLLVVFLATQEVMRKRWTARLTIPAILMALAILLFGGLTYTDVVRYLILVAAAFAEANS -GGDVVHLAMIAAFKIQPVFLAVSFLRETWSNQENLMMMISAAFFQMAATDLQIEVPTVLNALAMAWMTLR -ALSNTKVSTIVPPLLALLSPAMRTAYLDTYRIILIMIGAMILLKERKASSAKKKGAPLLCLALASTGLFN -PLTLMAGLLALDPSRKR - ->YP_009333125.1 nonstructural protein NS2A [Kokobera virus] -GRGDGVDNLSLGLLVLTIALQEVMRKRILGRHITWMVIAVFMAMILGGLSYRDLGRYLVLVGAAFAERNS -GGDLLHLVLVATFKVKPMALLGFVLGGRWCRRQSLLLSIGAVLVNFALEFQGGYFELVDSLALALLFVKA -VVQTDTTSVSLPLLAALAPAGCYTVLGTHRFIMLTLVLVTFLGCKKTASVKKAGTAAVGVVLGMVGMKTI -PMLGMLMVTSRARR - ->YP_009329951.1 nonstructural protein NS2A [Saint Louis encephalitis virus] -GVAGGMEPFQLGLLVAFIATQEVLKRRWTGKLTLTSLAVCLALLIFGNLTYMDLVRYLVLVGTAFAEMNT -GGDVIHLALVAVFKVQPAFLAGLFLRMQWSNQENILMVIGAAFLQMAANDLKLEVLPILNAMSIAWMLIR -AMKEGKVAMYALPILCALTPGMRMAGLDVIRCLLLIIGIVTLLNERRESVAKKKGGYLLAAALCQAGVCS -PLIMMGGLILAHPNGKR - ->YP_009259530.1 nonstructural protein NS2A [Donggang virus] -GAVHGLDNFSLGLLVMMLVTQEGLKRRFTSKHIMLAGIGLLICVIMGDLTYTDLARYAVLLGTTFAEMNS -GGDVMHLALVATFKVKPAYLLGFLFRMRWSPRESFMLVCGAILMQTGMGVLACHHMMDYVHACALGWLLV -RSLVVPGMVSKALPLLCCLAPLPLAVMTNATRASVATLAVGTMLAGAKGKSVKKSLPWFSALIASWMGCN -PLGMMVFASFMRKHGKR - ->YP_164812.1 NS2a [Usutu virus] -HRSDMIDPFQLGLLVMFLATQEVLRKRWTARLTVPAIVGALLVLILGGITYTDLLRYVLLVGAAFAEANS -GGDVVHLALIAAFKIQPGFLAMTFLRGKWTNQENILLALGAAFFQMAATDLNFSLPGILNATATAWMLLR -AATQPSTSAIVMPLLCLLAPGMRLLYLDTYRITLIIIGICSLIGERRRAAAKKKGAVLLGLALTSTGQFS -ASVMAAGLMACNPNKKR - ->NP_776003.1 non-structural protein NS2a [Yellow fever virus] -GEIHAVPFGLVSMMIAMEVVLRKRQGPKQMLVGGVVLLGAMLVGQVTLLDLLKLTVAVGLHFHEMNNGGD -AMYMALIAAFSIRPGLLIGFGLRTLWSPRERLVLTLGAAMVEIALGGVMGGLWKYLNAVSLCILTINAVA -SRKASNTILPLMALLTPVTMAEVRLAAMFFCAVVIIGVLHQNFKDTSMQKTIPLVALTLTSYLGLTQPFL -GLCAFLATRIFGRR - ->NP_722533.1 NS2A [Murray Valley encephalitis virus] -FNGDMIDPFQLGLLVMFLATQEVLRKRWTARLTLPAAVGALLVLLLGGITYTDLVRYLILVGSAFAESNN -GGDVIHLALIAVFKVQPAFLVASLTRSRWTNQENLVLVLGAAFFQMAASDLELTIPGLLNSAATAWMVLR -AMAFPSTSAIAMPMLAMLAPGMRMLHLDTYRIVLLLIGICSLLNERRRSVEKKKGAVLIGLALTSTGYFS -PTIMAAGLMICNPNKKR - diff --git a/seq/clusters_seq/cluster_384 b/seq/clusters_seq/cluster_384 deleted file mode 100644 index 42c4d55..0000000 --- a/seq/clusters_seq/cluster_384 +++ /dev/null @@ -1,64 +0,0 @@ ->YP_009666500.1 aphid transmission factor [Angelica bushy stunt virus] -MSSNPLLQHPHVYKKGKILNLKKLDEGTNKPKRVYNFASSSGNITTIQNHCNNLNQIVGRNFLGIAKLLS -YFGLSKDPSEKFSKEPTVYNKFRNLFSDRGRDKDLQPLLEKTYDKLSNLDNKIEKQDIDLKKLINREPVS -RKEIEDFSREIKEIKEKLVAILGA - ->YP_009165747.1 ORF2 [Atractylodes mild mottle virus] -MVPPNTNEATQKPHIYKKGKILTFKKLNEGIDRTDRTYLFSSKSSGIAAVNNHCNNINQILGRTYLGTAK -LLSYFGLSKDPSEDFSKEPSVFKKFFKDIPSSSNEGENVEKTLDNLKDLIEKQNSKLKNLEEKIDQLAKQ -TPEKAVNDLRDNLKKNLEEINDNLKKILG - ->YP_006907831.1 insect transmission protein factor [Horseradish latent virus] -MSDLTKLPHIYKKGETIVRLKPLAINKNDRVYVFSSAKSNIQSITNSLNNLNEIVGRILLGTWKINSFFG -LSKDPSESTSKNPSVFDNAKTIFKSGGVDNTALLKEIKTLLESQNTRIKNLENQSKSLTDKIEPEPLTKD -EVKDLKESIRFIRDKLKDIIG - ->YP_006607889.1 aphid transmission factor [Soybean Putnam virus] -MVPPNTNEATQMPHIYKKGRILKFKKLNEGIDRTNRKYLFSSHGSGIQTVVNHCNNLNQVVARNFLQLAK -LLSYFGLSKDPSEDYSKDPSVFKRFFKDLPSTSFKKGGDKKDKNEILEKLTSLIEKQGTKLRDLESKIDN -FVKNDASKDDIKDLRIDVRRRLDSIDESLKKIIG - ->YP_001931958.1 aphid transmission factor [Lamium leaf distortion virus] -MSITSKSHIYKKGTTIIPLKNLAINTDGKKYVFNSLKPNIQSVVNHCNNLNEVCGRILLGIWKLCSYFGL -SKDPSEPHSKNPSVYDHAKTIFKSGGVDHSVILREIKSLIETQQTKNKNLENKIDNLEKSIKDLSHKIEP -EPLTHEKIKDFSNALKAIDDKLKNVIGE - ->NP_612574.1 Aphid transmission protein [Carnation etched ring virus] -MSLTTYPHIYKKEQILKLKRLNKLSNDRKFFFSSVKGTLPGIISHCNNINEILGRCYLGICKLNSFFGLS -KDPSDKLSVSKSPSVYTLPSKIFKEGGGNGDNTTTQTDILKNAQDQVILSKKIDELQTQVKELSSKIEPE -PLTKEDIKKTYETLSRIESGLKGIIGIE - ->NP_056725.1 Aphid transmission protein [Cauliflower mosaic virus] -MSITGQPHVYKKDTIIRLKPLSLNSNNRSYVFSSSKGNIQNIINHLNNLNEIVGRSLLGIWKINSYFGLS -KDPSESKSKNPSVFNTAKTIFKSGGVDYSSQLKEIKSLLEAQNTRIKSLEKAIQSLENKIEPEPLTKEEV -KELKESINSIKEGLKNIIG - ->sp|Q00965.1|VAT_CAMVN RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -MSITGQPHVYKKDTIIRLKPLSLNSNNRSYVFSSSKGNIQNIINHLNNLNEIVGRSLLGIWKINSYFGLS -KDPSESKSKNPSVFNTAKTIFKSGGVDYSSQLKEIKSLLEAQNTRIKSLENAIQSLDNKIEPEPLTKEEV -KELKESINSIKEGLKNIIG - ->sp|P19818.1|VAT_CAMVP RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -MSITGQPHVYKKDTIIRLKPLSLNSNNRSYVFSSSKGNIQNIINHLNNLNEIVGRSLLGIWRINSYFGLS -KDPSESKSKNPSVFNTAKTIFKSGGVDYSSQLKEIKSLLEAQNTRIKNLENAIQSLDNKIQPEPLTKEEV -KELKESINSIKEALKNIIG - ->sp|P03550.1|VAT_CAMVD RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -MSITGQPHVYKKDTIIRLKPLSLNSNNRSYVFSSSKGNIQNIINHLNNLNKIVGRSLLGIWKINSYFGLS -KDPSESKSKNPSVFNTAKTIFKSGGVDYSSQPKEIKSLLEAQNTRIKSLEKAIQSLDEKIEPEPLTKEEV -KELKESINSIKEGLKNIIG - ->sp|P03549.1|VAT_CAMVC RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -MSITGQPHVYKKDTIIRLKPLSLNSNNRSYVFSSSKGNIQNIINHLNNLNEIVGRSLLGIWKINSYFGLS -KDPSESKSKNPSVFNTAKNIFKSRGVDYSSQLKEVKSLLEAQNTRIKNLENAIQSLDNKIEPEPLTKEEV -KELKESINSIKEGLKNIIG - ->sp|Q02966.1|VAT_CAMVE RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -MRITGQPHVYKKDTIIRLKPLSLNSNNRSYVFSSSKGNIQNIINHLNNLNEIVGRSLLGIWKINSYFGLS -KDPSESKSKNPSVFNTAKTIFKSGGVDYSSQLKEIKSLLEAQNTRIKNLEKAIQSLDNKIEPEPLTKKEV -KELKESINSIKEGLKNIIG - ->sp|Q01087.1|VAT_CAMVW RecName: Full=Aphid transmission protein; AltName: Full=Atf; AltName: Full=Protein 2 -MSITGQPHVYKKDTIIRLKPLSLNSNNRSYVLVPQKGNIQNIINHLNNLNEIVGRSLLGIWKINSYFGLS -KDPSESKSKNPSVFNTAKTIFKSGGVDYS - diff --git a/seq/clusters_seq/cluster_385 b/seq/clusters_seq/cluster_385 deleted file mode 100644 index c2e2673..0000000 --- a/seq/clusters_seq/cluster_385 +++ /dev/null @@ -1,112 +0,0 @@ ->YP_009666128.1 bet protein [Guenon simian foamy virus] -MATWEEKKELTHLHQEGDDPLTELSILLDLDNMDPNEGPDQNPGGEKIHLQLSNTPGNTSEKTYQFGFMD -KEEQDPDLKMRDWVPEPDKMILENLSSPELGLILSDDIEKCKSSLRLGPNAPDGVWPLANGPILPVITPW -PLCQDHAAPSVRTILEAYWKGYKEQDLEPPKWLWLCQEDLSGNKCTMTQFLVPPLGQVTIRLFKNATVVN -ICQSVDPWENENPMGGRAGPVYRYECRIPCDPAYCFKVIWEGNIWDKPDQACWLIHLKEGHKFGANELSQ -SDIKLLEQSRPYPYGRIGECPKLQYAVQVKMRTAKAPLSSKVRAIKALNFHRWNICQPENPGIGEGFSPS -GYTQALKAYGPQRGSVEERVWLTATKMIKPQEDAYWKDYWKYGYFPLVPNKQDPGWTRHLTKFKISRFST -PADIQKITDELLPKGACIMTTDGTKYISTRKVHLVNEGTLEEYKARCKEIEEKYGGHLSSDSDDYSEDTP -ATETTEVEED - ->YP_009513245.1 bet [Rhesus macaque simian foamy virus] -MNDAYLPFLASKELGSQSVALILAEDMKHSESILQLGNGAPRKGFPLTSSPLLPVVTPWPLSQDHVAPTL -YSLLVAYYKSFQNQKLEPPKWLWQCLEDPSGRKCMVTQFLVPPLGQVMISCYRNLTSIIICQAVDPWENN -NETDWKRDPMARPRIRCDHALCFKVVYEGTPWRTHDQKSWLIRLTEGHKHGMEELSPGDWKILQESRPYP -YGPVGEDPNLQYAVSVKMKVTGGPLTSTVLALKALCFHRVNICNMDNPGLGEGHPPLGYSHALKAYGPQY -GSCEERVWQAATKCIGPGEGDYWCEYDHRGYFPIIPNKLSPTWVRHAAPYGIQRLATPYDLQMFANELLP -PGYSINTPSGTCYVSNRRLHYGNEGTLQEYQENCDRIKRGYEDISSSDSSDED - ->YP_009508891.1 Bel-2 protein [Simian foamy virus Pongo pygmaeus pygmaeus] -MMLSPQPWQFQCLVDKYGKKCIMTYFWMPPLGQVTIRLYNNLTVITICQGLDPFVNLNDCGSNLDESDCS -EPDVFCCGPLCYKLCYEGHALSFDKLRCWLLHLKGGHPDGASNFTKNDMRVLQLGKVNPYGEKGDPMTQY -AYQVKRGVQTIGLSPRALCVKGLRFQQELLCDLRNPRIGEGYMPKGYHAALEKYGPRNGCREERVLWIDN -KLITGLEDYYNETGEDYLWRAQQDRTPPWKQPWHEEHTGMKLSSFSTPSDVQEFVDKMLPPGWKVITPQG -IEKTSRRKIRTKEMTLEEKQGKWLRKYGEGNIGSGSDSDSD - ->YP_009508573.1 bet protein [Western lowland gorilla simian foamy virus] -MDTYEEEESVASTSGVQDLQTLSELVGPEAAGEGEDTLSDTEEVSRRSRKNTKRGAKMITFHAYKEIEDK -NPQNLKLHNWIPTPEEMINKKVQNQDLGTILSFGVKHLKGITSLGRNDPGRDPSAMSPVLPVVTPWPFSQ -DHYAPTLYGILLQYYKYSQNNEKIPRVWQFTCLEDPSGKRYMGTRIWVPPLGQVTIQFYKNFVVLTVCQA -VDPWANWFHGSEEEMYDIESEPDVWCSAALCFKVIYEGNINQKQEMKSWLCRLGHGHKDGVSEFKRVDLF -AMRKGKVNPYKDKGDPWLQYAYQVKRETKAANLSDQALGWKALNFHRALMCDLTNARIGEGHVANGYHMA -IEAYGPQRGSSEERVWWKTTSTLGKDEEYYRSEGEEECFPNSPAPHRRTWTERHKVLALSPFATPSDIQK -WVTRALPYKWKVITSDGDDYVSMRTVKTLKELTQDEIKCRWEKGNSNPFYDSGSDSDGPF - ->YP_009508558.1 bet protein [Japanese macaque simian foamy virus] -MASWEDQEELRELLHHLPEDDPPADLSHLLNLDEMEPKVLGGQNPGDEKLRQQVIKPPSIHPSTVTWHFG -YKDKEDQQPEIKMRDWVPDPSKMSKSTCMRLILSSKELGSQSVALILAEDMKHSESILQLGNGAPRKGFP -LTSSPLLPVVTPWPLSQDHVAPTLYSLLVAYYKSFQNQKLEPPKWLWQCLEDPSGRKCMVTQFLVPPLGQ -VMISCYRNLTSIIICQAVDPWENNNEIDWKKDPMARPRIRCDHALCFKVVYEGTPWRPHDQKCWFIRLTE -GHKHGMEELSSGDWKTLQESRPYPYGPVGEDPNLQYAVSVKMKVTGGPLTSTVLALKALCFHRVNICNMD -NPGLGEGHPPLGYSHALKAYGPQYGSCEERVWQAATKCIGPGEGDYWCEYDHRGYFPIIPNKLSPTWVRH -AAPYGIQRLATPYDLQMFANELLPPGFSINTPSGACYISSRRLHYGNEGTLQEYQENCDRIKRGYEDISS -SDSSDED - ->YP_009508554.1 bel2 protein [Eastern chimpanzee simian foamy virus] -MSHVLPVVTPWPMSQDHYAPTLFGILDRYYQGYLKSPATYQTWKFTCQVDPSGKRFMGTQFWVPPLGQVN -IQFYKNYQILTCCQAVDPFANIFHGTDEEMFDIDSGPDVWCSPSLCFKVIYEGAMGQKQEQKTWLCRLGH -GHRMGACDYRKVDLYAMRQGKENPYGDRGDAALQYAYQVKRGCKAGCLASPVLNYKALQFHRTIMADFTN -PRIGKGHLAHGYQAAMEAYGPQRGRNEERVWWNATRNQGKQGGEYYREGGEEPHYPNTPAPHRRTWDERH -KVLKLSSFATPSDIQRWATKALPYGWKVVTESGNDYTSRRKIRTLTEMTQDEIRKRWESGYCDPFIDSGS -DSDGPF - ->YP_009508548.1 bet protein [Central cimpanzee simian foamy virus] -MDSYQEEESVASTSGIQNLQTLSELVGPENAGEGELVIAEEPEENPRRPKRYTKREVKCVSYHAYRELEE -KHPQHIKLQDWIPTPEEMITQKVQNQDLGTILSFDVTCLKSITSLGRNDPGNDPSIMSHVLPVVTPWPMS -QDHYAPTLFGILDHYYKEYLKNPATFQTWKFTCQVDPSGKRFMGTQFWVPPLGQVNIQFYKNYQILTCCQ -AVDPFANIFHGTDDEMYDIDSGPDVWCTPSLCFKVIYEGAMSQKQEQKSWLCRLGHGHRMGAYEYRKIDL -YAMKKGKENPYGERGDVALQYAYQVKRGCKAGCLASQVLNFKALQFHRTLMADLTNPRIGEGHLPHGYQA -AMEAYGPQRGSSEERVWWNATRNQGRDGEYYREGGEEPHYPNTPAPHKKTWDERHKVLKLSSFATPSDIQ -RWATRALPYGWKVVTEAGDDYTSRRKIRTLTDMTQDEIRQRWERGYCDPFIDSGSDSDGPL - ->YP_001956725.2 Bet [African green monkey simian foamy virus] -MASWEKEKELAHLHQPEDDPLPDLSLLLDMDQFEPTEGPDSNPGAEKIYLQLQVAPGDPSEKTYKFGYED -KEAQNPDLKMRNWVPDPEKMTRENLSNPESGLILLEDTERSHSSLRIGQNAPDGVWPLGNSPILPVVTPW -PLCQDHAAPSIWTLLDAYWRGYQDQNLEPPKWLWLCLEDPSGNKYTGTQFLVPPLGLVKIRLYQNLTVVY -ICQSIDPWENENPTGGRRDPTRRYGCRIACDPVYCVKIVWEGNLWDKKDQPCWLIRLKEGHNHGAKELSQ -RDIKILGESRPYPYGLIGQCPKLQYAIQVKMRVDKAPLTAKVLAVKALHFHRWNICQRENPGIGEGYFPS -GYTQALKAYGPQHGSAEQRVWLISTKIVGPQEKDYWRDAYRWGYFPLVPNKHHPGWTRHLTKFKISRFAT -PADIQKIVDELLPRGASIVTADGNRYESTRKVHLVNEGTLEEYQAKIRK - ->NP_056806.1 bet protein, partial [Simian foamy virus] -WKNIKRTVLLLNLLLVAQKVQNQDVGTILSFDVTCLKSITSLGRNDPGNDPSIMSHVLPVVTPWPMSQDH -YAPTLFGLLDRYYKGYLENPATFQTWKFTCQEDPSGKRYMGTQFWVPPLGQVNIQFYKNYQIVTCCQALD -PFANIFHGTEEEMYDIDSGPDVWCTPSLCFKVIYEGAIGQKQEQKSWLCRLGYGHRMGANDYRRVDLYAM -KQGKKNPYGDKGDAALQYAYQVKRGCKAGCLASPVLNFKALQFHRTLMADLTNPKIGQGYLAHGYHAAME -AYGPQRGSSEERVWWNATRNQGKKDEYYREGGEEPHYPNTPAPHKKTWEERHKVLKLSPFATPSDIQRWT -TRALPYGWKVIDGNGDDYTSRRNIRTLTEMTQEEIRKRWERGYCDPFIDSGSDSDGPLSKPQTVKVW - ->sp|P89873.1|BET_FOAMV RecName: Full=Protein Bet -MDSYEKEESVASTSGIQDLQTLSELVGPENAGEGELTIAEEPEENPRRPRRYTKREVKCVSYHAYKEIED -KHPQHIKLQDWIPTPEEMIAQKVQNQDLGTILSFDVTCLKSITSLGRNDPGDDPSIMSHVLPVVTPWPMS -QDHYAPTLFGILDRYYQGYLKSPATYQTWKFTCQVDPSGKRFMETQFWVPPLGQVNIQFYKNYQILTCCQ -AVDPFANIFHGTDEEMFDIDSGPDVWCSPSLCFKVIYEGAMGQKQEQKTWLCRLGHGHRMGACDYRKVDL -YAMRQGKENPYGDRGDAALQYAYQVKRGCKAGCLASPVLNYKALQFHRTIMADFTNPRIGEGHLAHGYQA -AMEAYGPQRGSNEERVWWNVTRNQGKQGGEYYREGGEEPHYPNTPAPHRRTWDERHKVLKLSSFATPSDI -QRWTTKALPYGWKVVTESGNDYTSRRKIRTLTEMTQDEIRKRWESGYCDPFIDSGSDSDGPF - ->sp|P29170.2|BEL2_SFV1 RecName: Full=Protein Bel-2 -MNDACLLFPASKELDSQNVAVILADDMKHSESILQLGSGAPRKGFPLTSSPLLPMVTPWPFSQDHAAPTL -YSLLVAYYKSFQSQKLDPPKWLWQCLGDPSGRKCMVTQFLLPPLGQVRISCYRNLTSIVICQAVDPWENN -NEADWRKNPMARPRIKCDHALCFKVVYEGTLWRPHDQKCWLIRLTEGHKYGMEELSPGDWKILQESRPYP -YGPIGEDPNLQYAVGVKMKVIGGPLTSTVLALKALSFHRVNICNMDNPSLGEGHAPLRYSHALKAYGPQY -GSCEERVWQTATKCIGPEEENYWCEYDHRGFFPMVPNKLSPTWVRHAAPYCIQRFATPYDLQYFANELLP -PGFSITTPKGVSYTSDRRLHYGNEGTLQEYNENCDKVKRGYDEISSSDYSDEN - ->sp|Q87043.2|BET_SFVCP RecName: Full=Protein Bet -MDSYQEEEPVASTSGLQDLQTLSELVGPENAGEGDLVIAEEPEENPRRPRRYTKRDVKCVSYHAYKELED -KHPHHIKLQDWIPKPEEMVAQKVQNQDVGTILSFDVTCLKSITSLGRNDPGNDPSIMSHVLPVVTPWPMS -QDHYAPTLFGLLDRYYKGYLENPATFQTWKFTCQEDPSGKRYMGTQFWVPPLGQVNIQFYKNYQIVTCCQ -ALDPFANIFHGTEEEMYDIDSGPDVWCTPSLCFKVIYEGAIGQKQEQKSWLCRLGYGHRMGANDYRRVDL -YAMKQGKKNPYGDKGDAALQYAYQVKRGCKAGCLASPVLNFKALQFHRTLMADLTNPKIGQGYLAHGYHA -AMEAYGPQRGSSEERVWWNATRNQGKKDEYYREGGEEPHYPNTPAPHKKTWEERHKVLKLSPFATPSDIQ -RWTTRALPYGWKVIDGNGDDYTSRRNIRTLTEMTQEEIRKRWERGYCDPFIDSGSDSDGPLSKPQTVKVW - ->sp|P27403.2|BEL2_SFV3L RecName: Full=Protein Bel-2 -MRDVCLPFLARENLSNPESGLILLEDTERSHSSLRIGQNAPDGVWPLGNSPILPVVTPWPLCQDHAAPSI -WTLLDAYWRGYQDQNLEPPKWLWLCLEDPSGNKYTGTQFLVPPLGLVKIRLYQNLTVVYICQSIDPWENE -NPTGGRRDPTRRYGCRIACDPVYCVKIVWEGNLWDKKDQPCWLIRLKEGHNHGAKELSQRDIKILGESRP -YPYGLIGQCPKLQYAIQVKMRVDKAPLTAKVLAVKALHFHRWNICQRENPGIGEGYFPSGYTQALKAYGP -QHGSAEQRVWLISTKIVGPQEKDYWRDAYRWGYFPLVPNKHHPGWTRHLTKFKISRFATPADIQKIVDEL -LPRGASIVTADGNRYESTRKVHLVNEGTLEEYQAKIRK - diff --git a/seq/clusters_seq/cluster_386 b/seq/clusters_seq/cluster_386 deleted file mode 100644 index 4ec7f68..0000000 --- a/seq/clusters_seq/cluster_386 +++ /dev/null @@ -1,136 +0,0 @@ ->YP_009665178.1 gp70, partial [Endogenous langur type D retrovirus PO-1-Lu] -GQPVCWNSRPPLHISDGGGPQDKAREIMVHKKLEELQKSLFPELHYHPLALPKARGKEKIDAQTFDLLTV -THSLLNNSNSDLANDCWL - ->YP_009305202.1 envelope protein [Simian retrovirus 8] -MKLIHIAIWSLVIFFQVSLSRAGFGDPREALAHIQNKHGKPCDCGGGYTQTQPTTYVTSISCGSHTAYSQ -VGSLKWLCIKAPSTPSGQHVGHCPQDCNTQSYESMHSSCYTSYQQCTLGNKTYFTAAIIKNRSPSVGQSV -LGSSHNLITAGCHDTGKTACWNTHPPVHVSDGGGPQDKVREIIVEKKLEEIQKSLFPELSYHPLALPETR -GKEMIDPQTFEILTTVHSLLNATKPDLAQDCWLCLRSGTPVPLALPYNNISLLNFTCSSNYSYLVTSPFL -VQPFNFSESDCLYAPYQNNSFDIDVGTAQFTNCTNTHNRSSSTPLCAYNGSVFVCGNNKAYTYLPTNWTG -LCAVATLIPDIDIIPGDEPVPVPAIDYFISRPKRAVQFIPLLVGLGITTAVSTGAAGLGHSITQYTKLSH -QLISDVQAISSTIQDLQDQVDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYTNKSGVVRDKIKRLQ -DDLEKRRQQLIESPFWTGLNGLLPYLLPLLGPLLCLLLVLTFGPLILNKLMAFIRQQIESIQAKPIQVHY -HRLEQEDRCGSYLRIT - ->YP_009109691.1 polyprotein [Baboon endogenous virus strain M7] -MGFTTKIIFLYNLVLVYAGFDDPRKAIELVQKRYGRPCDCSGGQVSEPPSDRVSQVTCSGKTAYLMPDQR -WKCKSIPKDTSPSGPLQECPCNSYQSSVHSSCYTSYQQCRSGNKTYYTATLLKTQTGGTSDVQVLGSTNK -LIQSPCNGIKGQSICWSTTAPIHVSDGGGPLDTTRIKSVQRKLEEIHKALYPELQYHPLAIPKVRDNLMV -DAQTLNILNATYNLLLMSNTSLVDDCWLCLKLGPPTPLAIPNFLLSYVTRSSDNISCLIIPPLLVQPMQF -SNSSCLFSPSYNSTEEIDLGHVAFSNCTSITNVTGPICAVNGSVFLCGNNMAYTYLPTNWTGLCVLATLL -PDIDIIPGDEPVPIPAIDHFIYRPKRAIQFIPLLAGLGITAAFTTGATGLGVSVTQYTKLSNQLISDVQI -LSSTIQDLQDQVDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYVNKSGIVRDKIKTLQEELERRRK -DLASNPLWTGLQGLLPYLLPFLGPLLTLLLLLTIGPCIFNRLTAFINDKLNIIHAMVLTQQYQVLRTDEE -AQD - ->YP_003864103.1 envelope protein [Simian retrovirus 4] -MNFEHKLIWSLMVISQILPIYAGFGDPREALTHIQNKHGKPCECKGGYVNRPPSSHVASISCGSHTAYQP -TNNLKWQCVSTPKTTSGGHMGQCPSACSDKSYDSVHSTCYSSYQQCTIGNKTYFTATITGERTATIGVSN -VPTVIGSGQNLISAGCPKDELGKTACWSATPPVHVSDGGGPQDKVREILVQKKFEELHKNLFPELSYHPL -ALPKARGKEKIDAQTVDILTAVHNLLNLTRPDLAQDCWLCLQIGNPVPLALPSNYTYENLTSFNCSKNCS -CPIVSPFLVQPFNFTESVCLYAPYQNNSYDIDVGLAAFINCSSTHNVSTPLCAPNGSVFACGNNQAYTYL -PTNWTGRCVLVTLLPDIDIIPGSEPVPVPAIDHFIGRTKRAVQFIPLLVGLGITTAVSTGAAGLGHSITQ -YTKLSRQLISDVLAISSTIQDLQDQVDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYTNKSGVVRD -KIKRLQDDLEKRRQQLIDNPFWTSFHGLLPYILPLLGPLLCLLLIISFGPLILNKLMAFIKHQIEAIQAK -PIQVHYHRLEQEERGGSYLQIT - ->YP_001497149.1 envelope glycoprotein [RD114 retrovirus] -MKLPTGMVILCSLIIVRAGFDDPRKAIALVQKQHGKPCECSGGQVSEAPPNSIQQVTCPGKTAYLMTNQK -WKCRVTPKNLTPSGGELQNCPCNTFQDSMHSSCYTEYRQCRANNKTYYTATLLKIRSGSLNEVQILQNPN -QLLQSPCRGSINQPVCWSATAPIHISDGGGPLDTKRVWTVQKRLEQIHKAMHPELQYHPLALPKVRDDLS -LDARTFDILNTTFRLLQMSNFSLAQDCWLCLKLGTPTPLAIPTPSLTYSLADSLANASCQIIPPLLVQPM -QFSNSSCLSSPFINDTEQIDLGAVTFTNCTSVANVSSPLCALNGSVFLCGNNMAYTYLPQNWTGLCVQAS -LLPDIDIIPGDEPVPIPAIDHYIHRPKRAVQFIPLLAGLGITAAFTTGATGLGVSVTQYTKLSHQLISDV -QVLSGTIQDLQDQVDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYANKSGIVRNKIRTLQEELQKR -RESLASNPLWTGLQGFLPYLLPLLGPLLTLLLILTIGPCVFSRLMAFINDRLNVVHAMVLAQQYQALKAE -EEAQD - ->YP_223872.1 envelope glycoprotein [Reticuloendotheliosis virus] -MDCLTNLRSTEGKVDQAGKTLILLVVWWGFGTTAESHPSQQLWGLPCDCSGGYVSPTPTHYTNSLDCGSF -TAYLTYGSGAGSWGWGGGFRQQWECVFKPKIIPSVQEQPRPCECLTIATQMHSTCYEKAQECTLMGKTYF -TAILQKTKLGSYEDGPNKLLQASCTGIIGKPVCWDPAAPVYVSDGGGPTDMIREESVRERLEEIIRHSYP -SVQYHPLALPRPRGVDLDPQTSDILEATHQVLNATNPRLAENCWLCMTLGTPIPAAIPANGEVTLDGNCS -LSLPFRVQPTGSVDVNCYAGEADNRTGIPVGYVHFTNCTSIQEVSNETSHIRNLTRLCPPPGHVFVCGNN -MAYTALPNKWIGLCILASIVPDMSIISGEEPIPLPSIEYTAGRHKRAVQFIPLPVGLGITGATLTGGTGL -GVSVHTYHKLSNQLIEDVQALSGTINDLQDQIDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYANK -SGIVRDKIRKLQEDLLARKRALYDNPLWNGLNGFLPYLLPLLGPLFGLILFLTLGPCIMKTLTRIIHDKI -QAVKILALVPQYKPLPTEMDTLGQ - ->NP_954562.2 gp70 SU [Mason-Pfizer monkey virus] -MNFNYHFIWSLVILSQISQVQAGFGDPREALAEIQQKHGKPCDCAGGYVSSPPINSLTTVSCSTHTAYSV -TNSLKWQCVSTPTTPSNTHIGSCPGECNTISYDSVHASCYNHYQQCNIGNKTYLTATITGDRTPAIGDGN -VPTVLGTSHNLITAGCPNGKKGQVVCWNSRPSVHISDGGGPQDKARDIIVNKKFEELHRSLFPELSYHPL -ALPEARGKEKIDAHTLDLLATVHSLLNASQPSLAEDCWLCLQSGDPVPLALPYNDTLCSNFACLSNHSCP -LTPPFLVQPFNFTDSNCLYAHYQNNSFDIDVGLASFTNCSSYYNVSTASKPSNSLCAPNSSVFVCGNNKA -YTYLPTNWTGSCVLATLLPDIDIIPGSEPVPIPAIDHFLGKAKRAIQLIPLFVGLGITTAVSTGAAGLGV -SITQYTKLSHQLISDVQAISSTIQDLQDQVDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYANKSG -IVRDKIKNLQDDLERRRRQLIDNPFWTSFHGFLPYVMPLLGPLLCLLLVLSFGPIIFNKLMTFIKHQIES -IQAKPIQVHYHRLEQEDSGGSYLTLT - ->NP_041262.1 envelope glycoprotein [Squirrel monkey retrovirus] -MLCILILLLHPRLCPVTKGGLGKPSGDIYTALFGAPCDCKGGTQTNNYATPTYTQVTDCGDKNAYLTYDT -NWNGVSSPKWLCVRKPPSIPVINGRPGPCPSECTNNIKSQMHSSCYSSFSQCTQGNNTYFTAILQRTKST -SETNPVTSGLQPHGVLQAGCDGTVGKSVCWNQQAPIHVSDGGGPQDAVRELYVQKQIELVIQSQFPKLSY -HPLARSKPRGPDIDAQMLDILSATHQALNISNPSLAQNCWLCLNQGTSMPLAFPVNISSFNASQNNCTPS -LPFRVQPMPSQVYPCFFKGAQNNSFDIPVGVANFVNCSSSSNHSEALCPGPGQAFVCGNNLAFTALPANW -TGSCVLAALLPDIDIISGDDPVPIPTFDYIAGRQKRAVTLIPLLVGLGVSTAVATGTAGLGVAVQSYTKL -SHQLINDVQALSSTINDLQDQLDSLAEVVLQNRRGLDLLTAEQGGICLALQERCCFYANKSGIVRDKIKN -LQEDLEKRRKALADNLFLTGLNGLLPYLLPFLGPLFAIILFFSFAPWILRRVTALIRDQLNSLLGKPIQI -HYHQLATRDLEYGRL - ->sp|P51515.1|ENV_SRV2 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 20; Short=gp20; Contains: RecName: Full=R-peptide; Flags: Precursor -MTLKDIPFWRVLLIFQTARVYAGFGDPREAITMIHQQHGKPCDCAGGYVNAAPTVYLAAVSCSSHTAYQP -SDSLKWRCVSNPTLANGENIGNCPCKTFKESVHSSCYTAYQECFFGNKTYYTAILASNRAPTIGTSNVPT -VLGNTHNLLSAGCTGNVGQPICWNPKAPVHISDGGGPQDKAREIAVQKRLEEIHKSLFPELRYHPLALPK -ARGKEKIDAQTFNLLTATYSLLNKSNPNLANECWLCLPSGNPIPLAIPSNDSFLGSNLSCPIIPPLLVQP -LEFMNLINASCFYSPFQNNSFDVDVGLVEFANCSTTLNISHSLCAPNSSVFVCGNNKAYTYLPSNWTGTC -VLATLLPDIDIVPGDAPVPVPAIDHYLHRARRAVQFIPLLVGLGITTAVSTGTAGLGYSITQYTKLSRQL -ISDVQAISSTIQDLQDQVDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYANKSGIVRDKIKRLQED -LEKRRKEIIDNPFWTGLHGLLPYLLPLLGPLFCLLLLITFGPLIFNKIITFVKQQIDAIQAKPIQVHYHR -LEQEDNGGVYLRVS - ->sp|P04027.1|ENV_SRV1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 20; Short=gp20; Contains: RecName: Full=R-peptide; Flags: Precursor -MNFNHHFTWSLVIISQIFQVQAGFGDPREALLEIQQKHGKPCDCAGGYVSSPPTNSLTTVSCSTYTAYSV -TNSLKWQCVSTPTTASPTHIGSCPSQCNSQSYDSVHATCYNHYQQCTIGNKTYLTATMIRDKSPSSGDGN -VPTILGNNQNLIIAGCPENKKGQVVCWNSQPSVHMSDGGGPQDKVREIIVNKKFEELHKSLFPELSYHPL -ALPEARGKEKIDAHTFDLLATVHSLLNVSSQRQLAEDCWLCLRSGDPVPLALPYDNTSCSNSTFFFNCSN -CSCLITPPFLVQPFNFTHSVCLYADYQNNSFDIDVGLAGFTNCSSYINISKPSSPLCAPNSSVFVCGNNK -AYTYLPTNWTGSCVLATLLPDIDIIPGSEPVPIPAIDHFLGRPKRAIQFIPLVIGLGITTAVSTGTAGLG -VSLTQYTKLSHQLISDVQAISSTIQDLQDQVDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYANKS -GIVRDKIKNLQDDLEKRRKQLIDNPFWTGFHGLLPYVMPLLGPLLCLLLVLSFGPIIFNKLMTFIKHQIE -SIQAKPIQVHYHRLEQEDHGGSYLNLT - ->sp|P03399.1|ENV_AVIRE RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 73; Short=gp73; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 22; Short=gp22; Flags: Precursor -MDCLTDLRSTEGKVDQAGKTLILLVVWWGFGTTAEGHPLQQLWELPCDCSGGYVSPDLPITPTPSIAVAS -PLPDLRVWLQGSWGWGGGFRQQWECVFKPKIIPSVQEQPGPCECLTIATQMHSTCYEKAQECTLLGKTYF -TAILQKTKLGSYEDGPNKLLQASCTGIWETSMLGPRCPCVCLDGGGPTDRFGRICAEGLEEIIRHSYPSV -QYHPLALPRPRGVDLDPQTSDILEATHQVLNATNPQLAENCWLCMTLGTQSPQPSRRMAMSLSMEIAVLA -SLSGATHRVNRCQLLCREADNRTGIPVGYVHFTNCTSIQESLTRRVIYEILRDYVLHRVMYLCVEQHAYT -ALPNKWIGLCILASIVPDMSIIPGEEPIPLPSIEYTAGRHKRAVQFIPLLVGLGITGATLAGGTGLGVSV -HTYHKLSNQLIEDVQALSGTINDLQDQIDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYANKSGIV -RDKIRKLQEDLLARKRALYDNPLWNGLNGFLPYLLPSLGPLFGLILFLTLGPCIRKTLTRIIHDKIQGSK -NPRISPAVQATPNRDGYPRSMV - ->sp|P31796.1|ENV_AVISN RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 73; Short=gp73; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 22; Short=gp22; Flags: Precursor -MDCLTNLRSAEGKVDQASKILILLVAWWGFGTTAEGYPLQQLWELPCDCSGGYVSSIPTYYTYSLDCGGS -TAYLTYGSGTGSWSWGGGFKQQWECVFKPKIIPSVQGQPGPCPSECLQIATQMHSTCYEKTQECTLLGKT -YFTAILQKTKLGSYEDGPNKLIQASCTGTVGKPVCWDPVAPVYVSDGGGPTDMIREESVRERLEEIIRHS -YPSVQYHPLALPRSRGVDLDPQTSDILEATHQVLNATNPKLAENCWLCMTLGTPIPAAIPTNGNVTLDGN -CSLSLPFGCNPPGSIDVSCYAGEADNRTGIPVGYVHFTNCTSIQEVTNETSQMGNLTRLCPPPGHVFVCG -NNMAYTALPNKWIGLCILASIVPDISIISGEEPIPLPSIEYTARRHKRAVQFIPLLVGLGISGATLAGGT -GLGVSVHTYHKLSNQLIEDVQALSGTINDLQDQIDSLAEVVLQNRRGLDLLTAEQGGICLALQEKCCFYA -NKSGIVRDKIRKLQEDLIERKRALYDNPLWSGLNGFLPYLLPLLGPLFGLILFLTLGPCIMKTLTRIIHD -KIQAVKS - ->sp|P51520.1|ENV_SRV2R RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 20; Short=gp20; Contains: RecName: Full=R-peptide; Flags: Precursor -MTVKDIPFWRVLLIFQTARVYAGFGDPREAITIIHQQHGKPCDCAGGYVITAPTVYLATVSCSSHTAYQP -SDSLKWRCVSNPTLANGENIGNCPCQTFKESVHSSCYTTYQECFFGNKTYYTAILASNRAPTIGTSNVPT -VLGNTHNLLSAGCTGTVGQHICWNPKAPVHISDGGGPQDKAREIAVQKRLEEIHRSLFPELRYHPLALPK -ARGKEKIDAQTFNLLTATYSLLNKSNPNLANECWLCLPSGNPVPLAIPSNDSFLGSNLSCPIIPPLLVQP -LEFINLINASCLYSPSQNNSFDVDVGLVEFTNCSTTLNISHSLCAPNSSVFVCGNNKAYTYLPTNWTGTC -VLATLLPDIDIVPGDAPVPVPAIDHYLHRARRAVQFIPLLVGLGITTAVSTGTTGLGYSITQYTKLSRQL -ISDVQAISSTIQDLQDQVDSLAEVVLQNRRGLDLFTAEQGGICLALQEKCCFYANKSGIVRDKIKALQED -LEKRRKEIIDNPFWTGLHGLLPYLLPLLRPLLCLLLLITFGPLIFNKIIAFVKQQMDAIQAKPIQVHYHR -LEQEDNGGVYLRVS - diff --git a/seq/clusters_seq/cluster_387 b/seq/clusters_seq/cluster_387 deleted file mode 100644 index 177f537..0000000 --- a/seq/clusters_seq/cluster_387 +++ /dev/null @@ -1,93 +0,0 @@ ->YP_009259542.1 surface [Bluegill hepatitis B virus] -MGGAQSDPVADAVNILLATEKNKTLPSAARIDIANTPQAKRLAELARQHQQNLQPPIQAPPPPKPPPIAI -PPLATDAAPGPVLTRRTNDRKKTPVYHVTTAPTAAATAATPTSPPQMTGFFSGWELGFPLVLQVVFFLWT -KIHEIIGKLDSWWIFLSSPECLTGSGGLGLHPQISPHLPTNCQPGCPGYPWTCLRRFIIFLCILALFVIL -SLVYLDWIGMLFCCCCNGSDCTNCTCSGSNGKCCCVCKDCANCDQCSWAFVKHLWALASAHFSWLSSLES -LSSISAHSFKVSVVLLIWMMWWWGITLTSIWQVSFMLFTTYYFLWGST - ->YP_009389525.1 large S protein [Tinamou hepatitis B virus] -MGQHPAKSMAVERIEGGERLFQKIGKLVVPEDSNTPVTLAGKYPSIEHVMDHIENMEELETLQKVGLFPE -ATGRRLGITNPNPPRPPVVTWTPEEDQRARDFFRQYQEGRRKFNENQSPPIIAPSPPPNWVLPPEEAAQI -EASGTSSLINKTRTSPLKRLTRKMSSFGQILAGLIGLLVAFFLLTKILEILRNLDLWWISLSSPKGRTHC -AFQDTGAQISPHYAGSCPLGCPGFLWTCLRLFIIFLLVLLAAAGLLFLTENLSTILGKLQWGSVSALFSS -ISSQLPSDQKSLVALMFGLLLIWMTSSSVTQTIVTLTQLATLCAVFYKN - ->YP_004956865.1 unnamed protein product [Parrot hepatitis B virus] -MKQASCISVILNTLLHLKESPILGRRNTLSSNDNNMGQSPAKSMATHRVEGGELLIHQISQPLIKPTPPQ -TLSGRFPSIEHVMEHVQAAEELNTLLKQGLYPEGTGRVLGVPNPKQPSAPRVTWTPEEDKQALETYAKYQ -AEREAALKEFEKKLAPIKPQQQQQKPPPVPAWKVTEKEIPFVESSTWSPQEVPVIKVPKVPKKKMSTTFG -GILLGLIGLLVGFFLLIKILETLRKLDLWWTSLSFPKDKMLCGFQSIGAQTSPHYVGSGSCPWGCTGFLW -TCLRLFIIFLLILLVVAGLLYLTENLSTILGKLQWASVSALFSSISSLLPSDQKSLVVLIFGLLLIWMTS -SSVTQTLVTLTQLATLSALFYKNSG - ->YP_031696.1 preS antigen [Snow goose hepatitis B virus] -MGQHQAKSMDARRIEGGEILLNQLAGRMIPKGTVTWSGKFPSIDHVMDHVQTMEEINTLQKQGAWPEGAG -RRVGLTNPTPQEIPQPHWTPEEDQKAREAFRRYQEERPPETTTIPPTPTPWKLQPGDDPLLGTKSLLETR -LQTQNSEPAVPVIKYPLVKKKKMSGTFEGILAGLIGLLVSFFLLIKILEILRKLDWWWISLSSPKGKMQC -AFPDTGAQISPHYVGSCPWGCPGFLWTYLRLFIIFLLILLVAAGLLYLTDNGSTILGKLQWESVSALFSS -ISSLLPSDQKSLVALMFGLLLIWMTSSSATQTLVTLTQLATLSALFYKS - ->YP_024969.1 surface protein [Ross's goose hepatitis B virus] -MGQQPAKSMAERRVEGAELLLQQLAGRMIPKGTVTWSGKYPTMEHVMDHVQTMEEINTMQQQGAWPEGAG -RRLGLTNPAPPAAPVINWTPEEDAKAREYFRRYQEERPKETTTIPPTSPPKTQWELKPGDPLLSTQPLYR -PAEPAEPDIPVIKTPKVPKKMSSTFGGILAGLIGLLVGFFLLIKILEILRRLDWWWISLSSPKGNMQCAF -QNTGAQISPHYVGSCPWGCPGFLWTYLRLFIIFLLILHVAAGLLYLTDNMSTIFAKLQWESVSALFSSIS -SLLPSDPKSLVALMFGLLLIWTTSSSVTQTLVTLTQGATLSALFFKS - ->YP_024975.1 preS protein [Sheldgoose hepatitis B virus] -MGQTPAKSMDVRRIEGGEILLNQLAGRMIPKGTVTWSGKYPTIDHLLDHVQTMEEINTLQQQGAWPQGAG -RRLGLENPNPQEIPQPVWTPEEDQRAREAFQKYQKERPPEEIPSPSKRPPEETKIPPSTPQWKLQPGDSL -LGNQSLLETHPLYRNPEPAVPVIKTPRLKKKMSGSFEGILAGLIGLLVSFFLLIKILEILRRLDWWWISL -SSPKGKMQCAFQDTGAQISPHYVGSCPWGCPGFLWTYLRLFIIFLLILLVAAGLLYLTDNGSTIFGKLQW -ESALALFSSISSLLPSDPKSLVALTFGLSLIWMTSSSVTQTLVTFTQLATLFAIFFKS - ->NP_040999.1 large surface antigen [Heron hepatitis B virus] -MGHTQAKSTTDRRVEGGELLLQHLAGRMIPPEFSGPITTAGKFPTIQHVMDHIDSVEELRTLQAGGHWPE -GTARRLGLDQPRPTPPPITWTEEEDKKAKEFFKQYQENRPKPAETAPPPITELHAAEPPQWKISPEDPLL -KAKALIPVKEPEVPILKVPKLTNKKKMGATFGGILAGLIGLLVGFFLLTKILEILRKLDWWWISLSSPKE -KMLCAFQNTGAQTSPHYVGSCPWGCPGFLWTYLRLFIIFLLLLLVAAGLLFLTENKSTIFEKLQWESVSA -LSSSIYSLLPSEPKSLVALTFGLFLIWTTSSSVTQVLVTLTQLATLSALFFKNSG - ->NP_039823.1 hypothetical protein DHBVgp5 [Duck hepatitis B virus] -MKQESFISGYLNIWLHSKASLIIGNFNTLSSNIKFLMGQQPAKSMDVRRIEGGELLLNQLAGRMIPKGTV -TWSGKFPTIDHLLDHVQTMEEVNTLQQQGAWPAGAGRRLGLTNPTPHETPQPQWTPEEDQKAREAFRRYQ -EERPPETTTIAPTSPTPWKLQPGDDPLLENKSLLETHPLYQNPEPAVPVIKTPPLKKKKMPGTFGGILAG -LIGLLVSFFLLIKILEILRRLDWWWISLSSPKGKMQCAFQDTGAQISQHYVGSCPWGCPGFLWTYLRLFI -IFLLILLVAAGLLYLTDNMSIILEKLQWESVSVLFSSISSLLPSDQKSLVALMFGLLLIWMTSSSATQTL -VTLTQLATLSVLFYKN - ->sp|P17195.3|HBSAG_HPBDW RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -MGQQPAKSMDVRRIEGGELLLNQLAGRMIPKGTVTWSGKFPTIDHLLDHVQTMEEVNTLQQQGAWPAGAG -RRLGLTNPAPQEPPQPQWTPEEDQKAREAFRRYQEERPPETTTIPPTSPTPWKLQPGDDPLLENKSLLET -HPLYQNPEPAVPVIKTPPLRKKKMAGTFGGILAGLIGLLVGFFLLIKILEILRRLDWWWISLSSPKGKMQ -CAFQDTGAQISPHYAGFCPWGCPGFLWTYLRLFIIFLLILLVAAGLLYLTDNMSIILGKLQWESVSALFS -SISSLLPSDQKSLVALMFGLLLIWMTSSSATQTLVTLTQLATLSALFYKN - ->sp|P17194.3|HBSAG_HPBDB RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -MGQQPAKSMDVRRIEGGELLLNQLAGRMIPKGTVTWSGKFPTIDHLLDHVQTMEEVNTMQQQGAWPAGAG -RRLGLTNPTPHETPQPQWTPEEDQKAREAFRRYQEERPPETTTIAPTSPTPWKLQPGDDPLLENKSLLET -HPLYQNPEPAVPVIKTPPLKKKKMPGTFGGILAGLIGLLVSFFLLIKILEILRRLDWWWISLSSPKGKMQ -CAFQDTGAQISPHYVGSCPWGCPGFLWTYLRLFIIFLLILLVAAGLLYLTDNMSIILGKLQWESVSALFS -SISSLLPSDQKSLVALIFGLLLIWMTSSSATQTLVTLTQLATLSALFYKN - ->sp|P03145.4|HBSAG_DHBV1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -MGQHPAKSMDVRRIEGGEILLNQLAGRMIPKGTLTWSGKFPTLDHVLDHVQTMEEINTLQNQGAWPAGAG -RRVGLSNPTPQEIPQPQWTPEEDQKAREAFRRYQEERPPETTTIPPSSPPQWKLQPGDDPLLGNQSLLET -HPLYQSEPAVPVIKTPPLKKKMSGTFGGILAGLIGLLVSFFLLIKILEILRRLDWWWISLSSPKGKMQCA -FQDTGAQISPHYVGSCPWGCPGFLWTYLRLFIIFLLILLVAAGLLYLTDNGSTILGKLQWASVSALFSSI -SSLLPSDPKSLVALTFGLSLIWMTSSSATQTLVTLTQLATLSALFYKS - ->sp|P30029.2|HBSAG_HPBDC RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen; Contains: RecName: Full=Truncated S protein; Short=St -MGQQPAKSMDVRRIEGGEILLNQLAGRMIPKGAVTWSGKYPTIDHLLDHVQTMEEINTLQQQGAWPAGAG -RRVGLTNPTPQEIPQPQWTPEEDQKAREAFRRYQEERPPETTTIPPSSTPPWKLQPGDDPLLESKSLLET -HPLYQNPEPAVPVIKTPPLKKKMSGTFGGILAGLIGLLVSFFLLIKILEILRKLDWWWISLSSPKGKMQC -AFQDTGAQISPHYAGSCPWGCPGFLWTYLRLFIIFLLILLVAAGLLYLTDNGSTILGKLQWASVSALFSS -ISSLLPSDQKSLVALMFGLLLIWMTSSSATQTLVTLTQLATLSALFFKN - ->sp|P0C684.1|HBSAG_DHBV3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQHPAKSMDVRRIEGGELLLNQLAGRMIPKGTLTWSGKFPTIDHVLDHVQTMEEINTLQQQGAWPAGAG -RRVGLSNPAPQEIPQPQWTPEEDQKAREAFRRYQEERPPETTTIPPTSPTQWKLQPGDDPLLGNQSLLET -HPLYQTEPAVPVIKTPPLKKKMSGTFGGILAGLIGLLVSFFLLIKILEILRRLDWWWISLSSPKGKMQCA -FQDTGAQISPHYAGSCPWGCPGFLWTYLRLFIIFLLILLVAAGLLYLTDNGSTILGKLQWASVSALFSSI -SSLLPSDPKSLVALTFGLSLIWMTSSSATQTLVTLTQLATLSALFYKS - diff --git a/seq/clusters_seq/cluster_388 b/seq/clusters_seq/cluster_388 deleted file mode 100644 index 4224173..0000000 --- a/seq/clusters_seq/cluster_388 +++ /dev/null @@ -1,169 +0,0 @@ ->YP_009507779.1 minor inner capsid [Pulau reovirus] -MAYLALPVPEGPDSQSALISAVNGYRPDGVAFDDVHVLDTKRVLRQFDLMTTGIRADDVAKGLLLRDWRR -QSVFVLLPQKTSLLEYLFTAPKSSLPDGLTPQLLRKFKTKPQDFKYSDWFSPLVTEHSSVVQSVRYLNSH -PVVFTTTVKVIGAPVRLFAPFKYFDISQGILSDLMILKMNHQLPPLPAFRVMVSMFPSSASGSCVLPPID -EWRNSNTHPVCLLLASMYDSSYKATARYLDCSLITAMLCGQRRVKQAKYSPLMARAARSVGLSVNVTNPT -RQINTSLIDVHTAVIDVRSSLDNRLTSTRLRFCGVPVALTAHMGVTPSEDWLAVRDETGMFVDWFLVLTL -FSDRIKGPTGQHVCLNPLSTSVDSVNFVRVHGYVSQHVQSLKPWQYGRLSSFGVAMAKGSFKSTMTRFLT -SLTIAGTRLIFPNVIVDSDDPGDSLDPTFENQVLDELSSVDADWERKVFTASGTVDMSYLSTVIFPIFLR -LFRSELSPHARTFYDERASAARTLTFAHADAEFLDAGWVDRIERCYIHYDEERNVLLRSSRVGGSIFQLV -LSRCYKMIASPAPSEPVSMLLKALVGGWLSAGPVLSSLSHSASARVLAWYIDDHHWIDHGWCLCDKRKHV -TFSFMRGHPDDLAVIDLQDWSKYRATISVLTDPLDFGSSLRVVAARVYWTSQKPSVDVFDNRALLTPFQT -YHVSLNCACPLGVRLQVKNVGLKLATVSGA - ->YP_009445954.1 core NTPase [Piscine orthoreovirus] -MPIINLPIEPTDQSITEFKTQAQTVFSGCMENTDVTFVDYLKRDVKIFIVDNRFLLPQIAKMIDSSDLDE -IASQVLNLPLLSEACFILLPPLSVMAKRLLSSSDSYPDIFLTKVPTRVLKAQSDNSRSTALLKFMPKVVT -SSTTASDMLTMSVQNADVYTLTPDVIGMPLRRYAEKSHYPSAFDFGSAHPSNWRRSVIKASNSLLIPMVP -VMSTAKTLYLDADFSTSDDRTGIFWRLSASARIRARQRGVIVLPSMIKTFYEKERGLKSAPVQLRREHKM -AARLLRIPFGRVPSETSFRRDMVQCCDLLVSTSVLNKLLSPTEAGKSPPFDKYVFHGVPVEFINRVCPDI -GTQALGRDTNGYLQEWLIMLFLMSDYITSTTSRRRLTLVTNFDPMRKWYDITLLKITNTYYQCQEMMTPP -AISSFGVCSQKGTFKSTLSSWLSQVIVRGVNLFPEGSIVDSDDLGSKLDPTFESEWETNVIEKIGMPVII -RGLTEEGAFKITTDTMFDTYTLFRQLYDRMIVPVARHFFDYSVASGRKMIFAHCDSEFLDNSFPSPFYRT -HITIDNYGNILNRPNRVGGVLSQYVLAECYRLMATSCKSRPIAKLLKAKLVPWWEFDSHVKRMGGTPVHY -SLGVKIQPELMRDAGYCGHLIDHARVEVLQAMWVPEAVDESFFHNPPSMPLTIHLADSKYNRYEPIGEHN -LNIPVLIDTSTSYLSETYLPAGVVFTPTKRFTVEGCDFNCWRGNPITFKGTLSWWSTAGE - ->YP_009246467.1 mu A [Mahlapitsi orthoreovirus] -MAYLAIPVPATSHLVDTTWNVIEQLTAENRNTLDNDVYVIDQTHVIVQLQNLVSSLPTNEVAQALLLRRW -QHASVIRILPSKRKLVDYWRNNKSLAPESLPKALFGDTRSKEFYNALRNFKYTDYFTPVYSEQQDLRKLM -LWLNQYSIVYSDTVNVLGAKTQLHVPLKYYDISTGILKEHQIQKHSYVYKQLPNARVYVGVIPSAGGGNS -VCLNLEQWIPDSTAAILLQLMHEYHNIYFNTSREINVKMAVALLRGERKLNEKVSLKEARAAKSIGMVVR -ALRQPRHVNTALINIMDVIITCWPKIPSDPYNPTMKYQLVGVPTSLCHYMKISVSEAVQNVRESDGMFQK -WFLTLILYSDTVKDLRKRRTILLSPTAGGNEIHFCRIDAFINYRCQDLPMNRQGRIAPYGICMAKGSFKS -TLVDVISEFNVDNTQVIFSNTIVDSDEIGDRFDPTLEEMILDKLAVHFQVPHAKVPDVLCGGKDYLDLDI -VSTVMFPIFRDVLHMYLTPLARKTYTDLNEVSRSLVFAHSDSELLNANWTGRLSRCDINFDDEMNVLMRK -NRVGGQWFQLALSRCYKLYASPAWNEPVSLVLKSITVPWLDNATLLSEHPRALSSRVLAWYIPSSVMKEF -GWCTCSEHFHVTYTFIRGHPDDLRILDLKNWKRYRARITVFPEVHQVVGDRRLVKVGVHWLTETVPLEML -EQRALFTPFQMYHLTMDCQCPVGRKEVVYKTHLTLAMIGVEKSGASEPDLGAPPLDDVADE - ->YP_009110699.1 minor inner capsid [Cangyuan orthoreovirus] -MAYLALPVPEGPDSQSALISAVNGYRPDGVAFDDVHVLDTKRVLRQFDLMTTGIRADDVAKGLLLRDWRR -QSVFVLLPQKTSLLEYLLTAPKTSLPDGLTPQLLRKFKAKPHDFKYSDWFSPLVTEHSSVVQSVRYLNSH -PVVFTTTVKVIGAPVRLFAPFKYFDISQGILSDLMILKMNHQLPPLPAFRVMVSMFPSSASGSCVLPPID -EWRNSNTHPVCLLLASMYDSSYKATARYLDRSLITAMLCGQRRVKQVKYSPLMARAARSVGLSVNVTNPT -RQINTSLIDVHTVVIDVRSSLDNRLTPTRLRFCGVPVALTAHMGVAPSEDWLAVRDETGMFVDWFLVLTL -FSDRIKGPTGQHVCLNPLSTSVDSVNFVRVHGYVSQHVQSLKPWQYGRLSSFGVAMAKGSFKSTMTRFLT -SLTIAGTRLIFPNVIADSDDPGDSLEPTFENQVLAELSSVDADWERKVFTASGTVDTSYLSTVIFPIFLR -LFRSELSPHSRTFYDERASSARTLTFAHADAEFLDAGWVDRIERCYIHYDEERNVLLRSSRVGGSTFQLV -LSRCYKMIASPAPSEPVSMLLKALVGGWLSAGPVLSSLSHSASARVLAWYIDDHHWVDHGWCLCDKRKHV -TFSFMRGHPDDLAVLDLQDWSKYRATISVLTDPLDFGSSLRVVAARVYWTSQKPSVDVFDNRALLTPFQT -YHVSLNCACPLGVRFQVKNVGLKLATVSGA - ->YP_009020574.1 muA [Reptilian orthoreovirus] -MAYLAMPVPVLEHTVDTLRRVIVTMLDISDDGIYNDCFIIDQEYAMKQLSSTIEGIDTQTMIRALLKRLW -QHQSVIKLLPSKRRIVDFWRANRAHAPDGVPQSIFAPLKSDRFLKAYRNLRLETFYHPLFRDGVPFDVLI -KWENNERVVYSMTTEVIGAPIQLCFPAKNYDIAEDVLRELNVRRHNFRFLDQPKARLIIGIIPSAAQGNC -VVLDASNWNITTAVPLLLELMSEYHAVYANTSREVSIPLARATITGRRRLAERLPAQEARAAKALGIASR -VKTTARHINTMLINVCDVIVTCWESYTDTYIDTPIYLTFRAVPTSIITLMDVQVSTEVLPIRDTGGMFFD -WFMALALFADKVVITKTKKNYLINPSTGNTVLNFIRVDNFKGYACQRLDMQREGRLTSIGLCMPKGSFKT -TMLKILGKISVSNTQVIFENTVIDSDDVGDSLEPSFEQRLLTKLAEVFGCLESEVANKLCGTTTVLDTRV -VASVMYPVFLDLVTSDLRPAAQKHYIDVTEKWRSLTFAHADSEFLDAGWNGRIVRGHIVFDDELNVLMRD -SRVGGRWFQLGLSKCYKMYASPASSEPVSLLLKNLVVPWLDASGQLESESRDVNSRVLAWYIPSQILVNN -GWCGCSEHSHVTYTFIRGHSEDLRLLDLKDWRRFRAKIVISPKVIGVSKQGRMISASVHWMTEQVPMEMF -EHRALMTPFQRYHMTMHCSCTLVGTEFTYRVGLTMAQAGGNAKREIPAVEIPDTESSG - ->YP_007507319.1 minor inner capsid [Melaka orthoreovirus] -MAYLALPVPEGPDSQSALISAVNGYRPDGVAFDDVHVLDTKRVLRQFDLMTTGIRADDVAKGLLLRDWRR -QSVFVLLPQKTSLLEYLLTAPKNSLPDGLTPQLLRKFKAKPHDFKYSDWFSPLVTEHSSVVQSVRYLNSH -PVVFTTTVKVIGAPVRLLAPFKYFDISQGILSDLMILKMNHQLPPLPAFRVMVSMFPSSASGSCVLPPID -EWRNPNTHPVCLLLASMYDSSYKATARYLDRSLITAMLCGQRRVKQAKYSPLMARAARSVGLSVNVTNPT -RQINTSLIDVHTVVIDVRSSLDNRLTPTRLRFCGVPVALTAHMGVAPSEDWLAVRDETGMFVDWFLVLTL -FSDRIKSPTGQHVCLNPLSTSVDSVNFVRVHGYVSQHVQSLKPWQYGRLSSFGVAMAKGSFKSTMTRFLT -SLTIAGTRLIFPNVIVDSDDPGDSLEPTFENQVLTELSSVDADWERKVFTASGTVDMSYLSTVIFPIFLR -LFRSELSPHSRTFYDERASAARTLTFAHADAEFLDAGWVDRIERCYIHYDEERNVLLRSSRVGGSTFQLV -LSRCYKMIASPAPSEPVSMLLKALVGGWLSAGPVLSSLSHSASARVLAWYIDDHHWVDHGWCLCDKRKHV -TFSFMRGHPDDLAVLDLQDWSKYRATISVLTDPLDFGSSLRVVAARVYWTSQKPSVDVFDNRALLTPFQT -YHVSLNCACPLGVRFQVKNVGLKLATVSGA - ->YP_004769550.1 minor core protein [Baboon orthoreovirus] -MTYIGLPISVLDDSAFQLSTALRQYCGDVNLSDVFFFDQKIIISQMDSMSTMFSMEVVYSAILKRRWLKH -SYYVLLPSKRRLVDYWRNNPDRKPAFADKHLFGDTRKAEFKNAIRNLKLEDHFSPLINENTDPNRMVNFL -NQYEIVYTTSINVLGAKIKMYAPAKYYDYDESIIRMKKIFLHSQNIHDVKGRIVVGSIISAGDVRSITCM -QEFWQLDNCYPQLYSLAKKYFERYSNTAKILSPYVMCSLINGVNSVKGRYDPSEVRTAKSLGLNLRARYV -PSRLNQALEVCDIMMVAWDVYNGLPTDTSYLLKLVGVPRYFITLLNIEFNDHVIGTRLHNGMFHDWFMTL -VMFTDMIIDVRTKLKFMLGTGNCNYVMFNGALNYHNQKIDFPSNYMDPIGVCMEKGSFKSTLVTLLTNLK -IGDTQIFFPNTFIDSDDAGDQLTPTFEERLHQEITQLYGDHIFDNWYSDQYGIDVDLVGSQMYPIFLKLY -EQLIIPNARDLYTKMNTVSRNITFAHTDMELLNGNWSGSIMRCHTNFVAEENIIKRQDRVGGVKFQLCLA -FCYKIMGTSALTQPISLLLKGMTTMWLANADELVKYPKSTGSRVLAWYVPSAVLMRHGWCSCYKYSHVTC -AFIRGIPEDLDQLDLVDFSKYRATLTIKPEVIGIFNGYRAVRVLVQWHTPKLPIRSLISRCAFTPSQYYH -MSIHCNCELPSNDVVHKCGLTLARLNGEVPIDTTSSAE - ->YP_004226524.1 mu-A protein [Avian orthoreovirus] -MAYLATPVLGVGSRITALDRTIDAITLKPRIDLQDVYTLDPTLTLRQIELISSGTSMDDIARGLLHRDWR -RQSTIVLLPSRRSLLEYLLSNPSSCPDGLDRSRLKGFQKRPNDFRVQDFFSPLITDTTSIATYSRWLNAH -PTVYSTTHKVAGARVRLFGPAKLYILSPDVLRELSILKSTDRILVVPTARVYVGCFPSASTSNCVLTARE -RWNAPDVHPVVKAIQLAYDHQYRVTARYLSDPLVSALLLGTRSVKTLKVQPIEARAARSVGIRVQAMTPP -RGINTSIIQVVDLRLQCRHSLIPTERPFPLTFVGLPSCLLQHLDLTLSDDWVPIRDHTGMFEMWFMILTL -TCDKILDGRGSAVFLIPSSTNALSINYVQLTSTASPRPQSLAANASGRIDSIGLCMPKGSFKSTMIKFLT -GLEICGTRVMYPDVVMDSDDVGDALDPTFETALYDALIALDPPFDVDKLASPTDLVNQEYVASHMYPTFL -RLVNELLTPKASELYSERSVEFRSLTYAHADSEFLNACWTARLMRCFINYHEEQNILLRPGRVGGVLFQV -ALSRCYKMFATSTPASPLSLFLKSLFVPWIESAPLLASLTPNESSRVLAWYIPSSYWSDNGWCTCDTHRH -VTFSFIRGLPTDLSVLDLFDWSRFRATINVDTSLVELGADIRAVKVSVHWTSQKPTVDVFDNRALFTPFQ -HYHLSLHCNCAPGRPFFAKNMKLYLSTVGGEH - ->YP_003717774.1 core protein [Broome virus] -MAYVALPVPVASDALHGLKSTISNLLPDLHLDTCEHVYLLDQSIYIRQYERLSIGYDADMLTRAFLKRRW -QSQSVIMYLPDKRGIIEYWRNNPRDRPDGLPKQLFGDIHTRSFEKALRDLKLTDFFSPCYVNNGGLKNLI -AMLNPNDVVYSTTLDVIGAPIVMMFPQRYYDVMDNSLVKYYDIFKFGKRPKMAPPSRVYVGIVPSAGSGN -SVSLNMDYWLPDATDTTLLQLIQAYHAIYVNTSREVHPDVLGVMLRGEKHLKRAVDLSEARATRSLGITA -KVARTMKTVNVSTCTVVDVHVRISCSVHEHLDVAKKLILIGVPEYILNHLEINHLGKLLYLRDEHGYFQK -WFLLLVLFADRVYDTVKRKKIFISSPGYMDFFSMRITKLSCRRNQDIGVLRHGRLTSIAVAMNKGSFKST -ILEFLNEFTVCDTRVFYGNTIQDSDEIGDSLHPTFEEQLLTEMADHLDCFKSDVSALMRHANGTLDDDAI -CTIMYPIFMMLYKKVLVPEMQARMRAINVSGRYLVLVHNDMEALGAGWGGELVRAYVNFDDEMNVLRRRG -RIGDEWFQLALSRCYKMMATSTGTEPISLTLKRLFNPWFAIAPLIQDHPRNQTARVLAFYIPSGIMQKYG -WCGCSQHTHVTITFIRGQMQDLQYLDGKDWRKYRARITVHPEVLDVVKDLRLIKTDVQWLSEPVPLDMFE -GRVAMTPLQKYHMSLSCACKLPLAGFSYKAELTLAMVGEERH - ->YP_003199420.1 mu-2 protein [Mammalian orthoreovirus 3] -MAYIAVPAVVDSRSSEAIGLLESFGVDAGSDANDVSYQDHDYVVDQLQYMLDGYEAGDVIDALVYRNWLH -HSVYCLLPPKSQLLEYWKSNPSVIPDNVDRRLRKRLMLKKDLRKDDEYNQLARAFKISDVYAPLISSTTS -PMTMIQNLNQGEIVYTTTDRVIGARVLLYAPRKYYASTLSFTMTRCVLPFGKEVSRVPHSRFNVGTFPSI -ATPKCSVMSGVDIESIPNEFIKLFYQRVKSIHANILNDISPQIVSDMINRKRLRVHTPSNRRAAQLMHLP -YHVKRGASHVDVYRVDVVNVLFEVVDVADGLRSVSRKLIMHTVPVCILELLGIEIADYCIRQEDGMFTDW -FLLLTMLSDGLTDRRTHCQYLINPSSMPPDVILNISITGFINRHTIDVMPDVYDFIKPIGAVLPKGSFKS -TIMRVLDSISVLGVKIMPRAHVVDSDEVGEQMEPTFEHAVMEIYKGIAGVDSLDDLTKWVLNSDLVPHDD -RLGQLFQAFLPLAKDLLAPMARQFYDNSMSEGRLLTFAHADSELLNANYFGHLLRLKIPYITEVNLMIRK -NREGGELFQLVLSYLYKMYATSAQPKWFGSLLRLLICPWLHMEKLIGEADPASTSAEIGWHVPREQLMQD -GWCGCEDGFIPYVSIRAPRLVIEELMEKNWGQYHAQVIVTDQLVVGEPRRVSAKAVIKGNHLPVKLISRF -ACFTLTSKYEMRLPCGHSTGRGAAYNARLAFRSDLA - ->sp|Q91PK4.1|MU2_REOVJ RecName: Full=Microtubule-associated protein mu-2; Short=Mu2 -MAYVAVPAVVDSRSSEAIGLLESFGVTATKEESDVQYQDHDYVLDQLQYMLDGYEAGDVIDALVYRNWLH -ESVYCLLPPKSQLLEYWKSNPAVIPESVDRRLRKRLMMKKDLRKDDEYNQLVRAFKLSDVYTPLVSSSTS -PMTMIQSINQNQIVYSTTDRVIGARISLYAPRKYYSATLSFTLNRCIIPYGKNVAPIGHARFNIGTFPSL -ASPKCFVLSSVDIESIPNEFIKLFYQRVRSVHANILNDISPQLLSDMLQRKRLRVSSPNERKIAQIMHLP -YHVKRGATHVDVYRVDVVDVLFEVVDIKDGLRSVSRKLTLQTVPVSVIELIGLETADYCIRKENGMFTDW -FLLLTMLSDGLIDRRTHSQYLINPSSIPPDVIINIYVSGFTNRRVIDVMPEMYDFVKPIGAVLPKGSFKS -TIMRVLDEMEVLGVRIMPRCHVVDSDEVGERMQPTFEHAVMEIYKGIAGVDSLEELINWVLGPDLIPHDE -RLGKLYQSFLPLAKDLLAPVARHFYEESLSEGRLLTFAHADSELLNANYFGHLLRLKIPFITEVNLMIRK -NREGGELFQLVLSYLYKMYATSAQPMWFGSLLRLMICPWLHMEKLIGDADAAITSAEVGWHIPKEHLMQD -GWCGCEDGFITYVVIRAPKLVLEELREKNWGQYHAQVIVTDRLEVGEPRRVHARVVIKGNHMPSKLISRY -ACFSLTMRYMMHLTCGHSIGRSSAYGARLVFRSSLA - ->sp|Q00335.1|MU2_REOVL RecName: Full=Microtubule-associated protein mu-2; Short=Mu2 -MAYIAVPAVVDSRSSEAIGLLESFGVDAGADANDVSYQDHDYVLDQLQYMLDGYEAGDVIDALVHKNWLH -HSVYCLLPPKSQLLEYWKSNPSVIPDNVDRRLRKRLMLKKDLRKDDEYNQLARAFKISDVYAPLISSTTS -PMTMIQNLNQGEIVYTTTDRVIGARILLYAPRKYYASTLSFTMTKCIIPFGKEVGRVPHSRFNVGTFPSI -ATPKCFVMSGVDIESIPNEFIKLFYQRVKSVHANILNDISPQIVSDMINRKRLRVHTPSDRRAAQLMHLP -YHVKRGASHVDVYKVDVVDVLLEVVDVADGLRNVSRKLTMHTVPVCILEMLGIEIADYCIRQEDGMFTDW -FLLLTMLSDGLTDRRTHCQYLINPSSVPPDVILNISITGFINRHTIDVMPDIYDFVKPIGAVLPKGSFKS -TIMRVLDSISILGVQIMPRAHVVDSDEVGEQMEPTFEHAVMEIYKGIAGVDSLDDLIKWVLNSDLIPHDD -RLGQLFQAFLPLAKDLLAPMARKFYDNSMSEGRLLTFAHADSELLNANYFGHLLRLKIPYITEVNLMIRK -NREGGELFQLVLSYLYKMYATSAQPKWFGSLLRLLICPWLHMEKLIGEADPASTSAEIGWHIPREQLMQD -GWCGCEDGFIPYVSIRAPRLVMEELMEKNWGQYHAQVIVTDQLVVGEPRRVSAKAVIKGNHLPVKLVSRF -ACFTLTAKYEMRLSCGHSTGRGAAYNARLAFRSDLA - ->sp|P12418.1|MU2_REOVD RecName: Full=Microtubule-associated protein mu-2; Short=Mu2 -MAYIAVPAVVDSRSSEAIGLLESFGVDAGADANDVSYQDHDYVLDQLQYMLDGYEAGDVIDALVHKNWLH -HSVYCLLPPKSQLLEYWKSNPSAIPDNVDRRLRKRLMLKKDLRKDDEYNQLARAFKISDVYAPLISSTTS -PMTMIQNLNRGEIVYTTTDRVIGARILLYAPRKYYASTLSFTMTKCIIPFGKEVGRVPHSRFNVGTFPSI -ATPKCFVMSGVDIESIPNEFIKLFYQRVKSVHANILNDISPQIVSDMINRKRLRVHTPSDRRAAQLMHLP -YHVKRGASHVDVYKVDVVDMLFEVVDVADGLRNVSRKLTMHTVPVCILEMLGIEIADYCIRQEDGMLTDW -FLLLTMLSDGLTDRRTHCQYLMNPSSVPPDVILNISITGFINRHTIDVMPDIYDFVKPIGAVLPKGSFKS -TIMRVLDSISILGIQIMPRAHVVDSDEVGEQMEPTFEQAVMEIYKGIAGVDSLDDLIKWVLNSDLIPHDD -RLGQLFQAFLPLAKDLLAPMARKFYDNSMSEGRLLTFAHADSELLNANYFGHLLRLKIPYITEVNLMIRK -NREGGELFQLVLSYLYKMYATSAQPKWFGSLLRLLICPWLHMEKLIGEADPASTSAEIGWHIPREQLMQD -GWCGCEDGFIPYVSIRAPRLVIEELMEKNWGQYHAQVIVTDQLVVGEPRRVSAKAVIKGNHLPVKLVSRF -ACFTLTAKYEMRLSCGHSTGRGAAYSARLAFRSDLA - diff --git a/seq/clusters_seq/cluster_389 b/seq/clusters_seq/cluster_389 deleted file mode 100644 index 9090fc5..0000000 --- a/seq/clusters_seq/cluster_389 +++ /dev/null @@ -1,70 +0,0 @@ ->YP_009505437.1 C protein [Simian Agent 10] -MLKTIKSWILGKRNQEINQLISPRPSTSLNSYSAPTPKKTYRKTTQSTQEPSNSVPPSVNQKSNQQKQVK -KIVDQLTKIDSLGHHTNVQQKQKIEILIRKLYREDLGEEAAQIVELRLWSLEESPEASQILKMEPRTRRI -LISMKLERWIRTLLRGKCDNLQMFQARYQEVMSYLQQNKVETVIMEEAWNLSVHLIQDQ - ->YP_009094446.1 C protein [Porcine respirovirus 1] -MPSFLKNLWRNRRRDAQQPAHSPVQSDSSTSSLPVNPQTSEKIENTFVSPSQLGENQKQARFLRMQSVKK -TQEQKGKIMDKVKRVEFLGSQTSLKQKFLLEKLIAQIHHGGLGEEVVQTLYLRIWAMDPTPMAMKLLEME -EEIRDKVLKLKLERWIRVLIRGEKTKLRDFQKRYEEVHPYLMTEKVEEIIMEEAWSLSAHIIQE - ->NP_604434.1 C' protein [Human respirovirus 1] -MDTSASKTLLPEWIRMPSFLRGILKPKERHHENKNHSQMSSDSLTSSYPTSPQKLEKTEAGSMVSSTTQK -KTSHHAKPTITTKTEQSQRRPKIIDQVRGVESLGEQVSQKQRHMLESLINKVYTGPLGEELVQTLYLRIW -AMKETPESTKILQMREDIRDQYLRMKTERWLRTLIRGKKTKLRDFQKRYEEVHPYLMMERVEQIIMEEAW -KLAAHIVQE - ->NP_599251.1 C protein [Human respirovirus 3] -MLKTIKSWILGKRNQEINQLISPRPSISLNSYLAPTPKKTYRKTTQSTQEPSNSVPPSINQKSNQQKQVR -KIVDQLTKIDSLGHHTNVQQKQKIELLIRKLYREDLGEEAAQIVELRLWSLEESPEASQILKMEPRTRRI -LISMKLERWIRTLLRGKCDNLQMFQARYQEVMSYLQQNKVETVIMEEAWNLSVHLIQDQ - ->NP_056872.1 C' protein, partial [Murine respirovirus] -TASATLPAWIKMPSFLKKILKLRGRRQEDESRSRMLSDSSTQSYQVNQLTSEGTEAGSTIPSTPSKGQAL -PTESKVRAREKSRHRRPKIIDQVRRVESLGEQASQRQKHMLETLINKIYTGPLGEELVQTLYLRIWAMEE -TPESLKILQMREDIRDQVLKMKTERWLRTLIRGEKTKLKDFQKRYEEVHPYLMKEKVEQIIMEEAWSLAA -HIVQE - ->sp|P32535.1|C_PI1HE RecName: Full=Protein C -MPSFLRGILRPKERHHENKNHSQMSSDSLTSSYPTSPPKLEKTEAGSIISSTTQKKTSHHANLTITTKTE -QSQRRPKIIDQVRRVESLGEQVSQKQRHMLESLINKVYTGPLGEELVQTLYLRIWAMKETPESTKILQMR -EDIRDQYLRMKTERWLRTLIRGKKTKLRDFQKRYEEVHPYLMMERVEQIIMEEAWKLAAHIVQE - ->sp|P32534.1|C_PI1HD RecName: Full=Protein C -MPSFLRGILKPKERHHENKNHSQMSSDSLTSSYPTSPPKLEKTEAGSIVSSTTQKKTSHHAKPTITTKTE -QSQRRPKIIDQVRRVESLGEQVSQKQRHMLESLINKVYTGPLGEELVQTLYLRIWAMKETPESTKILQMR -EDIRDQYLRMKTERWLRTLIRGKKTKLRDFQKRYEEVHPYLMIERVEQIIMEEAWKLAAHIVQE - ->sp|P06165.1|C_PI3H4 RecName: Full=Protein C; AltName: Full=VP18 protein -MLKTIKSWILGKRNQEINQLISPRPSTSLNSYSAPTPKKTYRKTTQSTQEPSNSAPPSVNQKSNQQKQVK -KLVDQLTKIDSLGHHTNVQQKQKIEILIRKLYREDLGEEAAQIVELRLWSLEESLEASQILKMEPKTRRI -LISMKLERWIRTLLRGKCDNLQMFQARYQEVMSYLQQNKVETVIMEEAWNLSVHLIQDQ - ->sp|P06164.1|C_PI3B RecName: Full=Protein C -MLKTIKSWILGKRDQETSHLTSHRPSTSLNSYSAPTPKRTRQTAMKSTQGTRDSARQSTNLNPKQQKQAK -KIVDQLTKIDSLGHHTNVPQRQRIEMLIRRLYREEIGEEAAQIVELRLWSLEESPEAAQILTMEPKSRKV -LITMKLERWIRTLLRGKCDNLKMFQSRYQEVMPFLQQNKMETVMMEEAWNLSVHLIQDIPA - ->sp|P28055.1|C_PI1HC RecName: Full=Protein C -MPSFLRGILKPKERHHENKNHSQVSSDSLTSSYPTSPPKLEKTEAGSMVSSTTQKKTSHHAKPTITTKTE -QSQRRPKIIDQVRRVESLGEQVSQKQRHMLDSLINKVYTGPLGEELVQTLYLRIWAMKETPESMKILQMR -EDIRDQYLRMKTERWLRTLIRGKKTKLRDFQKRYEEVHPYLMMERVEQIIMEEAWKLAAHIVQE - ->sp|P14254.3|C_SENDF RecName: Full=Protein C' -MASATLTAWIKMPSFLKKILKLRGRRQEEESRSRMLSDSSMLSCRVNQLTSEGTEAGSTTPSTLPKDQAL -PIEPKVRAKEKSQHRRPKIIDQVRRVESLGEQASQRQKHMLETLINKIYTGPLGEELVQTLYLRIWAMEE -TPESLKIPQMREDIRDQVLKMKTERWLRTLIRGEKTKLKDFQKRYEEVHPYLMKEKVEQVIMEEAWSLAA -HIVQE - ->sp|P14253.3|C_SEND6 RecName: Full=Protein C' -MASATLTAWIKMPSFLKKILKLRGRRQEDESRSRTLSDSSMLSCRVNQLTSEGTEAGSTTPSTLPKDQAL -LIEPKVRAKEKSQHRRPKIIDQVRRVESLGEQASQRQKHMLETLINKIYTGPLGEELVQTLYLRIWAMEE -TPESLKILQMREDIRDQVLKMKTERWLRTLIRGEKTKLKDFQKRYEEVHPYLMKEKVEQVIMEEAWSLAA -HIVQE - ->sp|Q9DUE0.3|C_SENDA RecName: Full=Protein C' -MASATLPAWIKMPSFLKKILKLRGRRQEDESRSRMLSDSSTQSYQVNQLTSEETEAGSTIPSTPSKGQAL -PTEPKVRAREKSRHRRPKIIDQVRRVESLGEQASQRQKHMLETLINKIYTGPLGEELVQTLYLRIWAMEE -TPESLKILQMREDIRDQVLKMKTERWLRTLIRGEKTKLKDFQKRYEEVHPYLMKEKVEQIIMEEAWSLAA -HIVQE - diff --git a/seq/clusters_seq/cluster_39 b/seq/clusters_seq/cluster_39 deleted file mode 100644 index ad71f84..0000000 --- a/seq/clusters_seq/cluster_39 +++ /dev/null @@ -1,766 +0,0 @@ ->YP_009449556.1 polymerase PB1 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MEINPYLLMLNNDITSMISLTYPYTGAPPMSHGTSTKYSMETVSRTYSYSRTKKEVPSGIFPIERRKFCN -TIEDKENLEKPNGNVDINFMLSLAEMLEEKMGKGFFKFCANEAEAEILKMHFSKLTEGRQTYDWTSERNM -PAATALQLTVDAIQETQGTFKGTTMVEYCNKILEMMDWPEVKFKKVRMIVQRHWDPKTKKEIKMKSPTLM -ITKIGREEFIKRICTINTMAKDGERGKYKRRAIATPGMGIRPFSKIVETLAQKICERLAESGLPVGGNEK -KAKLKTTVSSTNSKLQEGQFMVNITGDNSKWNECQQPEAYLAMLAYITKDSSNLMKDLCSVAPTLFCNKY -VKMGQGFRAKNKRKTKEIVIPAKKMKERKELMNAEWRDLFETIEPYMDGECCFLGGGMLMGMFNMLSTVF -GVMTLNYREEALARRNCYWTGLQSSDDFVLFCISRTWPEMEMTILKFIAVCKLMGINMSLEKSYGCLPEL -FEFTSMFFSGDFVSNIALELPAFTTAGMNEGTDFTAAMSVIRTNMINNGLSPGTALMALRICLQEFRATY -RVHPYDSGVKNHRMKIIRKFIETIENKDGLLISDGGKLMNNISSLHIPEEILKEDLMDPSYRNRVFNPRN -PFTQFEKTVDIFKASGPIRVEENEAVVSTHSFRTRSNRTLLNTDMRAMALEEKRYQVVCNMYRSVFESAD -VNTPIGSMSMGEAIEAKILDRARTQFENGIIGGEEYSEIKRLIEDAKRQRLSV - ->YP_009118471.1 polymerase PB1 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MDVNPTLLFLKVPVQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHKYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMDSMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVEALARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQVPAEMLANIDLKYFNKSTREKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSVGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRAFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPMNP -FVSHKEIDSVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->YP_308847.1 polymerase PB1 [Influenza A virus (A/New York/392/2004(H3N2))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RVNKRGYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITKNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKRMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSVLNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINKTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWDQTQSRAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDENYRGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWNPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPIGISSMVEAMVSRARIDARIDFESGRIKKEEFSEIMKICSTIEELRRQK - ->YP_308851.1 PB1 polymerase subunit [Influenza A virus (A/Korea/426/1968(H2N2))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVIQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVIESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVHFVETLARNICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRVFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTVSLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVNRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGSNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWTPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->YP_308665.1 polymerase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLKRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKGEMEIITHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIEAGVDRFYRTCKLVGINMTKKKSYINRTGTC -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMMDNDLGPATAQMALQLFIKDYRYPYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNPYNIRNLHIPEAGLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELGRQK - ->YP_089653.1 polymerase 1 [Influenza C virus (C/Ann Arbor/1/50)] -MEINPYLMFLNNDVTSLISTTYPYTGPPPMSHGSSTKYTLETIKRTYDYSRTSVEKTSKVFNIPRRKFCN -CLEDKDELVKPTGNVDISSLLGLAEMMEKRMGEGFFKHCVMEAETEILKMHFSRLTEGRQTYDWTSERNM -PAATALQLTVDAIKETEGPFKGTTMLEYCNKMIEMLDWKEVKFRKVKTMVRREKDKRSGKEIKTKVPVMG -IDSIKHDEFLIRALTINTMAKDGERGKLQRRAIATPGMIVRPFSKIVETVAQKICEKLKESGLPVGGNEK -KAKLKTTVTSLNARMNSDQFAVNITGDNSKWNECQQPEAYLALLAYITKDSSDLMKDLCSVAPVLFCNKF -VKLGQGIRLSNKRKTKEVIIKAEKMGKYKNLMREEYKNLFEPLEKYIQKDVCFLPGGMLMGMFNMLSTVL -GVSTLCYMDEELKAKGCFWTGLQSSDDFVLFAVASNWSNIHWTIRRFNAVCKLIGINMSLEKSYGSLPEL -FEFTSMFFDGEFVSNLAMELPAFTTAGVNEGVDFTAAMSIIKTNMINNSLSPSTALMALRICLQEFRATY -RVHPWDSRVKGGRMKIINEFIKTIENKDGLLIADGGKLMNNISTLHIPEEVLKFEKMDEQYRNRVFNPKN -PFTNFDKTIDIFRAHGPIRVEENEAVVSTHSFRTRANRTLLNTDMRAMMAEEKRYQMVCDMFKSVFESAD -INPPIGAMSIGEAIEEKLLERAKMKRDIGAIEDSEYEEIKDIIRDAKKARIESR - ->NP_859040.1 polymerase Pb1 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGLFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -KLTKKSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVHFVEALARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTVTGDNTKWNENQNPRIFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNESTRKKIEKIRPLLIEGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEVESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCTLFEKFF -PSSSYRRPVGISSMMEAMVSRARIDARIDFESGRIKKEEFAEILKICSTIEELGRQGK - ->NP_056657.1 polymerase PB1 [Influenza B virus (B/Lee/1940)] -MNINPYFLFIDVPIQAAISTTFPYTGVPPYSHGTGTGYTIDTVIRTHEYSNKGKQYISDVTGCVMVDPTN -GPLPEDNEPSAYAQLDCVLEALDRMDEEHPGLFQAGSQNAMEALMVTTVDKLTQGRQTFDWTVCRNQPAA -TALNTTITSFRLNDLNGADKGGLVPFCQDIIDSLDKPEMIFFTVKNIKKKLPAKNRKGFLIKRIPMKVKD -RITRVEYIKRALSLNTMTKDAERGKLKRRAIATAGIQIRGFVLVVENLAKNICENLEQSGLPVGGNEKKA -KLSNAVAKMLSNCPPGGISMTVTGDNTKWNECLNPRIFLAMTERITRDSPIWFRDFCSIAPVLFSNKIAR -LGKGFMITSKTKRLKAQIPCPDLFNIPLERYNEETRAKLKKLKPFFNEEGTASLSPGMMMGMFNMLSTVL -GVAALGIKNIGNKEYLWDGLQSSDDFALFVNAKDEETCMEGINDFYRTCKLLGINMSKKKSYCNETGMFE -FTSMFYRDGFVSNFAMELPSFGVAGVNESADMAIGMTIIKNNMINNGMGPATAQTAIQLFIADYRYTYKC -HRGDSKVEGKRMKIIKELWENTKGRDGLLVADGGPNLYNLRNLHIPEIILKYNIMDPEYKGRLLHPQNPF -VGHLSIEGIKEADITPAHGPIKKMDYDAVSGTHSWRTKRNRSILNTDQRNMILEEQCYAKCCNLFEACFN -SASYRKPVGQHSMLEAMAHRLRMDARLDYESGRMSKEDFEKAMAHLGEIGYM - ->NP_040985.1 polymerase PB1 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKARWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMKKEEMGITTHFQRKRRVRDNMTKKMITQRTIGKRKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSLTITGDNTKWNENQNPRMFLAMITYMTRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNDSTRKKIEKIRPLLIEGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLHGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGSNESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESMNNAVMMPAHGPAKNMEYDAVATTHSWIPKRNRSILNTSQRGVLEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFTEIMKICSTIEELRRQK - ->sp|Q0A461.1|RDRP_I68A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLIFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEAVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLRRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPLMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELKRQK - ->sp|Q0A440.1|RDRP_I49A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNDLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMITQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKRLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEEYQGRLCNPLNP -FISHKEIESVNNAVVMPAHGPAKSMEYDAFATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|Q0A2H9.1|RDRP_I83A5 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKRMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVEALARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLATIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|O36430.1|RDRP_INBP9 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MNINPYFLFIDVPIQAAISTTFPYTGVPPYSHGTGTGHTIDTVIRTHEYSNKGKQYVSDVTGCTMVDPTN -GPLPEDNEPSAYAQLDCVLEALDRMDEEHPGLFQAASQNAMEALMVTTVDKLTQGRQTFDWTVCRNQPAA -TALNTTITSFRLNDLNGADKGGLVPFCQDIIDSLDKPEMTFFSVKNIKKKLPAKNRKGFLIKRIPMKVKD -RITRVEYIKRALSLNTMTKDAERGKLKRRAIATAGIQIRGFVLVVENLAKNICENLEQSGLPVGGNEKKA -KLSNAVAKMLSNCPPGGISMTVTGDNTKWNECLNPRIFLAMTERITRDSPIWFRDFCSIAPVLFSNKIAR -LGKGFMITSKTKRLKAQIPCPDLFSIPLERYNEETRAKLKKLKPFFNEEGTASLSPGMMMGMFNMLSTVL -GVAALGIKNIGNKEYLWDGLQSSDDFALFVNAKDEETCMEGINDFYRTCKLLGINMSKKKSYCNETGMFE -FTSMFYRDGFVSNFAMEIPSFGVAGVNESADMAIGMTIIKNNMINNGMGPATAQTAIQLFIADYRYTYKC -HRGDSKVEGKRMKIIKELWENTKGRDGLLVADGGPNIYNLRNLHIPEIVLKYNLMDPEYKGRLLHPQNPF -VGHLSIEGIKEADITPAHGPVKKMDYDAVSGTHSWRTKRNRSILNTDQRNMILEEQCYAKCCNLFEACFN -SASYRKPVGQHSMLEAMAHRLRMDARLDYESGRMSKDDFEKAMAHLGEIGYI - ->sp|P13872.1|RDRP_INBAD RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MNINPYFLFIDVPIQAAISTTFPYTGVPPYSHGTGTGYTIDTVIRTHEYSNKGKQYISDVTGCAMVDPTN -GPLPEDNEPSAYAQLDCVLEALDRMDEEHPGLFQAASQNAMEALMVTTVDKLTQGRQTFDWTVCRNQPAA -TALNTTITSFRLNDLNGADKGGLVPFCQDIIDSLDKPEMTFFSVKNIKKKLPAKNRKGFLIKRIPMKVKD -RITRVEYIKRALSLNTMTKDAERGKLKRRAIATAGIQIRGFVLVVENLAKNICENLEQSGLPVGGNEKKA -KLSNAVAKMLSNCPPGGISMTVTGDNTKWNECLNPRIFLAMTERITRDSPIWFRDFCSIAPVLFSNKIAR -LGKGFMITSKTKRLKAQIPCPDLFNIPLERYNEETRAKLKKLKPFFNEEGTASLSPGMMMGMFNMLSTVL -GVAALGIKNIGNREYLWDGLQSSDDFALFVNAKDEETCMEGINDFYRTCKLLGINMSKKKSYCNETGMFE -FTSMFYRDGFVSNFAMELPSFGVAGVNESADMAIGMTIIKNNMINNGMGPATAQTAIQLFIADYRYTYKC -HRGDSKVEGKRMKIIKELWENTKGRDGLLVADGGPNIYNLRNLHIPEIVLKYNLMDPEYKGRLLHPQNPF -VGHLSIEGIKEADITPAHGPIKKMDYDAVSGTHSWRTKRNRSILNTDQRNMILEEQCYAKCCNLFEACFN -SASYRKPVGQHSMLEAMAHRLRMDARLDYESGRMSKDDFEKAMAHLGEIGHI - ->sp|A3DRP8.1|RDRP_I96A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTKNTETGAPQLNPID -GPLPKDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNDLIANESGRLIDFLKDVMESMDREEVEITTHFQRKRRVRDNVTKKMVTQRTIGKKKH -KLDKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNDSTKKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNYAGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGVNESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWDQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKNMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFSEIMKTCSTIEDLRRQK - ->sp|A4GCI3.1|RDRP_I83A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTKNTETGAPQLNPID -GPLPKDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLMANESGRLIDFLKDVMDSMDREEMEITTHFQRKRRVRDNVTKKMVTQRTIGKKKH -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLRYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNYAGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWDQTRSKTGLLVSDGGPNLYNIRNLHIPEVCLKWDLMDEDYQGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKIMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMISRARIDARIDFESGRIKKEEFTEIMKTCSTIEELRRQK - ->sp|A4GCK5.1|RDRP_I80AA RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTKNTETGAPQLNPID -GPLPKDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLKANESGRLIDFLKDVMESMDREEVEITTHFQRKRRVRDNVTKKMVTQRTIGKKKH -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNYAGIQAGVDRFYRTCKLLGINMSKKKSYMNRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWDQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKNMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFTEIMKTCSTIEELRRQK - ->sp|A8C8X1.1|RDRP_I67A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGKWTTNTETGAPQLNPID -GPLPEDNEPTGYAQTDCVLEAMAFLEKSHPGIFENSCLETMEVVQQTRVDKLTQGRQTFDWTLNRNQPAA -TALANTIEVFRLNGLTANESGRLIDFLKDVMESMDKEEMEIVTHFQRKRRVRDNMTKKMVTQRTIGRKKQ -KLHKRSYIIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKNMKLRTQIPAEMLSGIDLRYFNDSTRKKIEKIRPLLIDGAASLSPGMMMGMFNMLSTVLG -VSILNLGQKEYTKTAYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWDQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDKDYQGRLCNPLNP -FVSHKEVESVNNAVVMPSHGPAKTMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEDFAEIMKICSTIEDLRRQK - ->sp|A4K151.1|RDRP_I54A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTKNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLMANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNVTKKMVTQRTIGKKKQ -RLNKSSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMIANIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHAGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEINKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKNMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEDFTEIMKICSTIEELRRQK - ->sp|A4U6W0.1|RDRP_I45A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPILLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEIEITTHFQRKRRVRDNVTKKMVTQRTIGKRKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYITRTQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKNMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFTEIMKICSTIEELRRQK - ->sp|A4GCJ4.1|RDRP_I36A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTTNTETGALQLNPID -GPLPEDHEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMNKEEMGITTHFQRKRRVRDNMTKKMVTQRTIGKRKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYMTRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNDSTRKKIEKIRPLLIEGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESMNNAVMMPAHGPAKNMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFTEIMKICSTIEELRRQK - ->sp|A8C8K2.1|RDRP_I07A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTKNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLIANESGRLIDFLKDVMESMDRDEVEVTTHFQRKRRVRDNVTKKMVTQRTIGKKKH -KLDKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYITKNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNDSTKKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNYAGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGVNESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWDQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVIMPAHGPAKNMEYDAVATTHSWVPKRNRSILNTSQRGVLEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEDLRRQK - ->sp|B4URE4.1|RDRP_I06A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMNKEEMGITTHFQRKRRVRDNMTKKMITQRTMGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYMTRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNDSTRKKIEKIRPLLIEGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESMNNAVMMPAHGPAKNMEYDAVATTHSWIPKRNRSILNTSQRGVLEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDTRIDFESGRIKKEEFTEIMKICSTIEELRRQK - ->sp|Q809L9.2|RDRP_I01A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEKSHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKGEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRKQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTGRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|Q6DNQ5.2|RDRP_I03A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPVQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEIFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKKSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARGICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNELTKKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDSQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNTVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|Q6DNS3.2|RDRP_I02A4 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPENHEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNDLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRIRDNMTKKMVTQRTIGKKKQ -RLNKKSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPDWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNELTKKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|Q3HM40.1|RDRP_I18A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKNMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|Q2VNE5.1|RDRP_I78A7 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKIPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDRLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEIEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RVNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITKNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKRMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFSEIMKICSTIEELRRQKQ - ->sp|Q2VC92.1|RDRP_I80A2 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKIPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWKTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAVAFLEESHPGIFENSCLETMEVIQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDLLRDVMDSMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTNQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|Q20P14.1|RDRP_I56A1 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGKWTTNTETGAPQLNPID -GPLPKDNEPSGYAQTDCVLEAMAFLEGSHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEIITHFQRKRRVRDNMTKKMITQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FISHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICFTIEELRRQK - ->sp|Q0A2G8.1|RDRP_I59A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANDSGRLIDFLKDVMESMDKEEVEITTHFQRKRRVRDNITKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTHSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQKQ - ->sp|Q6XT06.1|RDRP_I69A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPVQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDRLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RVNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITKNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYEAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|Q82571.1|RDRP_I47A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMKSMDKEEMEITTHFQRKRRVRDNVTKKMVTQRTIGKRKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYMTRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWRDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFEANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGSNLYNIRNLHIPEVCLKWELMDEDYRGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKNMEYDAVATTHSWIPKRNRTILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFTEIMKICSTIEELRRQK - ->sp|P16510.1|RDRP_I81A4 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGKWTINTETGAPQLNPID -GPLPEDNEPTGYAQTDCVLEAMAFLEKSHPGIFENSCLETMEVIQQTRVDKLTQGRQTFDWTLNRNQPAA -TALANTIEVFRLNGLTTSESGRLIDFLKDVMESMDKEEMEIVTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -KLNKRGYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSVAPIMFSNKMAR -LGKGYMFESKNMKLRTQIPAEMLSGIDLRYFNDSTRKKIEKIRPLLIEGAASLSPGMMMGMFNMLSTVLG -VSILNLGQKEYTKTAYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWDQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDKDYQGRLCNPLNP -FVSHKEIESVNNAVVMPSHGPAKTMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEDFAEIMKICSTIEDLRRQK - ->sp|P03430.1|RDRP_I33A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFETSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMNKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKRKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKIRTQIPAEMLASIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRHTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVNRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTHSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVNHKDIESVNNAVIMPAHGPAKNMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFTEIMKICSTIEELRRQK - ->sp|P26121.1|RDRP_I57A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVIQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVIESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRIYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICENLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRIFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFKSKSMKLRTQIPAEMLTSIDLKYFNESTRKKIEKIRPLLIDGTVSLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYTNRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESDDMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLISDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDQQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|P16505.1|RDRP_I86A3 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTEIGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNDLTSNESGRLMDFLKDVMESMNKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNRKSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARRICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAGMLASIDLKYFNDSTKKKIEKIRPLLVDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQRKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKTGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYKGRLCNPLNP -FVSHKEIESVNSAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->sp|P26118.1|RDRP_I73A6 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGEPQLNPID -GPLPEDNEQSGYAQQDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDRLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RVNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMMTYITKNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKRMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLRDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGHTQIQTRRSFELKKLWEQTRSKAGLFVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDGQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFEAGRIKKEEFSEIMKICSTIEELRRQK - ->sp|P16502.1|RDRP_I56A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTKNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLMANESGRLIDFLKDVMESMDKEEIEITTHFQRKRRVRDNVTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAVITYITRNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQISAEMLANIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHAGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGPNLYNIRNLHTPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKNMEYDAVATTHSWVPKRNRSILNTSQRGILEDEQMYQRCCNLFERFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEDFTEIMKICSTIEELRRQK - ->sp|P21426.1|RDRP_I60A0 RecName: Full=RNA-directed RNA polymerase catalytic subunit; AltName: Full=Polymerase basic protein 1; Short=PB1; AltName: Full=RNA-directed RNA polymerase subunit P1 -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAHQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVIQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVIESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFKSKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTVSLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQLALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK - ->AEC14316.1 polymerase PB1, partial [Influenza A virus (A/swine/Ohio/FAH1-1/2007(H1N1))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEIEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RVNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSMAPIMFSNKMAR -LGKGYMFESKRMKLRTQIPAEMLASIDLKYFNESTRKKIEKIEKIRPLLIDGTASLSPGMMMGMFNMLST -VLGVSILNLGQKKYTRTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINKT -GTFEFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRY -TYRCHRGDTQIQTRRSFELKKLWDQTQSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYRGRLCNP -LNPFVSHKEIDSVNSAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFE -KFFPSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFSEIMKICSTIEELRRQK ->AKJ82635.1 polymerase PB1 [Influenza A virus (A/swine/Italy/55925/2011(H3N2))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANIDLKYFNESTRKKIEKIRPLLTDGTASLSPGMMMGMFNMLSTVLGVSILNLGQKKYTKTTYWWDG -LQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTFEFTSFFYRYGFVANFSMELP -SFGVSGVNESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYRCHRGDTQIQTKRSFELKKLW -EQTHSKAGLDGGPNLYNIRNLHIPEVCLKWELMDVDYRGRLCNPLNPFVNHKGIESVNNAVVMPAHGPAK -SMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFFPSSSYRRPVGISSMVEAMVSRAR -IDARVDFESGRIKKEEFAEIMKICSTIEELRRQN ->AAR12300.1 PB1 polymerase subunit, partial [Influenza A virus (A/swine/Hong Kong/1311/01(H3N2))] -SICEKLEQSGLPVGGNEKKAKLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITKNQP -EWFRNILSIAPIMFSNKMARLGKGYMFESKRMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGT -ASLSPGMMMGMFNMLSTVLGVSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTC -KLVGINMSKKKSYINKTGTFEFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLG -PATAQMALQLFIKDYRYTYRCHRGDTQIHTRRSFELKKLWDQTQSRAGLLVSDGGPNLYNIRNLHIPEVC -LKWELMDENYRGRLCNPLNPFVSHKEIESVNNAVVMPAHGPAKSMEYDAVASTHSWIPKRNRSILNTSQR -GILEDEQMYQKCCNLFEKFFPSSSYRRPVGISSMVEAMVSRARIDARIDFES ->ADE75156.1 polymerase PB1 [Influenza A virus (A/mallard/Sweden/41/2002(H10N6))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFKSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKKSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQKQ ->AGQ47773.1 polymerase PB1 [Influenza A virus (A/Fort Monmouth/1-JY2/1947(H1N1))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCIETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMKSMDKEEMEITTHFQRKRRVRDNVTKKMVTQRTIGKRKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEISFTITGDNTKWNENQNPRMFLAMITYMTRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNDSTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLLGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWEQTRSKAGLLVSDGGSNLYNIRNLHIPEVCLKWELMDEDYRGRLCNPLNP -FVSHKEIESVNNAVMMPAHGPAKNMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQRCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEGFAEIMEICSTIEELGRQRW ->AEI29961.1 polymerase PB1, partial [Influenza A virus (A/environment/Korea/CSM3/2002(H3N6))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTREIIRAEEAVGADPFKGRTVGFRWRTKPVQYPESPHPRGLLEVGTRWMKIIRVGCVILLN -PFVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKF -FPSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKREEFAEIMKICSTIEELRRQ ->ADI79236.1 polymerase PB1, partial [Influenza A virus (A/chicken/Uchal/8286/2006(H9N2))] -MDVINPTLLFLKVPAQNAISITFPYTGDKPYSHGTGTGYTMDTVNRTHQYSEKGRWTTNTETGAPQLNPI -DGPLPKDNEPSGYAQTDCVLEAMAFLEESHPGIFERSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPA -ATALANTIEVFRSNGLTASESGRLIDFLKDVIESMDKEEMEITTHFQRKRRIRDNMTKKMVTQRTIGKKK -QRLNKKSYLIRALTLNTMTKNAERGKLKRRAIATPGMQIRGFVYFVETLARGICEKLEQSGLPVGGNEKK -AKLANVRKMMTNSRDTELSFTITGDNTKWNENQNQNPRMFLAMITYITRNPEWFRNVLSIAPIMFSNKMA -RLGKGYMFESKSMKLRTQIPAEMLANIDLNFNETRKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLGIS -ILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKRKSYINRTGTFEF -TSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYRCH -RGDTQIQTRRSFELKKLWEQTRSKAGLLVSGGPNLYNIRNLHIPEVCSKWELVDEDYQGRLCNPLNPFVS -HKEKSVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFFPSSS -YRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQKWWVWLWLVLREKMP ->AJI76055.1 polymerase PB1 [Influenza A virus (A/swine/Guangdong/2/2013(H1N1))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGRWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRLNSLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRIRDNMTKKMVTQRTIGKKKQ -KLNKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRVFLTMITYITRNQPEWFRNVLSIAPIMFSNKVAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVNRFYRACKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGVNESADMSIGVTVIKNNMINNDLGPATAQMALQLFVKDYRYTYR -CHRGDTQIQTKRSFELKRLWEQTRSKTGLLISDGGPNLYNIRNLHIPEVCLKWELMDIDYRGRLCNPLNP -FVNHKGIESVNSAVIMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTNQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQKL ->ACY46471.1 polymerase PB1, partial [Influenza A virus (A/Singapore/ON368/2009(H1N1))] -LFLKIPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPIDGPLPEDN -EPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAATALANTI -EVFRSNGLTANESGRLIDFLKDVMESMNKEEIEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQRLNKRGY -LIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKAKLANVVR -KMMTNSQDXEIXFTITGDNTXWNENQXPXMFLAMITYITXNQPEWXRNILSMAXIMFSXKMARLGKXYMF -EXKRMKIRTXIPAEMLASXDLKYFNEXXKXKIXKIRPLLIDGTAXLXPGRMMGMFXXLSTVLGVSILNXG -QXXYTKTIYCWDGLQSSDDYXLIXNAPNHEGIQXGVXRFYXTCKLVXINXSKXKSYINKXGTFEFTSFFX -RYGFVAXFSMELPXFGVSVVNESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYRCHRGDTQ -IQTRRSFELKKLWDQTQSKVGLLVSDGGPNLYNIRNLHIPEVCLKWELMDDDYRGRLCNPLNPFVSHKEI -DSVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFFPSSSYRR -PVGISSMVEAMVSRARIDARVDFESGRIKKEEFSEIMKICSTIEELRRQK ->AHY84573.1 polymerase PB1 [Influenza A virus (A/Uganda/MUWRP-111/2009(H1N1))] -MDVNPTLLFLKIPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMNKEEIEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRGYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTEIFFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSMAPIMFSNKMAR -LGKGYMFESKRMKIRTQIPAEMLASIDLKYFNESTKKKIEKIRPLLEDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTIYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINKTGTF -EFTSFFYRYGFVANFSMELPSFGVSGVNESADMSIGVTVIKNNMINNDLDLGPQRPQMALQLFIKDYRYT -YRCHRGDTQIQTRRSFELKKLWDQTQSKVGLLVSDGGPNLYNIRNLHIPEVCLKWELMDDDYRGRLCNPL -NPFVSHKEIDSVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEK -FFPSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFSEIMKICSTIEELRRQK ->AOG30371.1 polymerase PB1 [Influenza A virus (A/swine/Nebraska/A01476438/2014(H1N2))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESVDKEEIEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RMSKRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNILSMAPIMFSNKMAR -LGKGYMFESRRMKLRTQIPAEVLASIDLKYFNESTRKKIEKIRPLLIEGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTRTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINKTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTKRSFELKKLWDQTQSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDKDYRGRLCNPLNP -FVSHKEIDSVNSAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCSLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFSEIMKICTTIEELRRQKQ ->AHA38355.1 polymerase PB1 [Influenza A virus (A/chicken/Shandong/241/2001(H9N2))] -MDVNPTLLFLKVPVQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETKAPQLNPID -GPLPDNNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETIEFVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTTNESGRLIDFLKDVTDSMNKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNRRSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPIGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRVFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLATIDLKYFNKSTRQKIEKIRPLLIEGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESSDMSIGVTVLKNNMINNDLGPTTAQMGLHLFIKDYRYTYR -CHRGDTHIQTRRSFELKKLWEHTRSKAGLLVSYGRPNLYDIRNLDIPYVCVNWELVDEDYKSRVCNPQNP -FVNPNEIESVSNAVVMPAHGPAKSIEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEITKICSTIEELRRHK ->AEA03904.1 polymerase PB1 [Influenza A virus (A/Uganda/MUWRP-021/2008(H3N2))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSERGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEAVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RVNKRGYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITKNQPEWFRNILSIAPIMFSNKMAR -LGKGYMFESKRMKLRTXXPAEMLASIDLKXXXXXXXXXXXXXXXXXXXXTASLSPGMMMGMFNMLSTVLG -VSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINKTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFEIKKLWDQTQSRAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDENYRGRLCNPLNP -FVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPIGISSMVEAMVSRARIDARIDFESGRIKKEEFSEIMKICSTIEELRRQK ->AHB73432.1 polymerase PB1 [Influenza A virus (A/chicken/Egypt/D7100/2013(H9N2))] -MDVNRTFLFLKVPAQNAISTPFPYTGAPPYSHGTGTGYIMDTVNRTHQYSEKGKWTTNTETGALQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVIQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRLNGLTANESGRLIDFLKDVMESMDKEKMEVITHFQRKRRVRDNMTKKMITQRTIGKKKQ -GLNKRSYLIRALTLNKMTKDAERRKRKRRAIATPPMQIRGFVYVVETLARSICEKLQQSGLPGGGKEKKA -KLANVGRKMMTNSKETELSFTTTGDKTKWNENHNPRIFLARTTYTTRNQPEWFRNVLTIAPIMFSKKKAR -LGKGYMFENKSMKLRTQIPAEMLANIDLKYFNESTKKKIMKIRPILIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPPNP -FATHKEIESVNNAEVMPAHGPPKSMEYDAVATTHPGIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFS -PRSPYRRPVGISSRGEAMVSRAPIDARIDFHSRRIKKEEFAEIMKICSTIEELRRQKQ ->AAL30476.1 PB1 polymerase subunit, partial [Influenza A virus (A/Swine/Hong Kong/2429/98(H3N2))] -SICEKLEQSGLPVGGNEKKAKLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITKNQP -EWFRNILSIAPIMFSNKMARLGKGYMFESKRMKLRTQIPAEMLANIDLKYFNESTRKKIEKIRPLLIDGT -ASLSPGMMMGMFNMLSTVLGVSILNLGQKKYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTC -KLVGINMSKKKSYINKTGTFEFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLG -PATAQMALQLFIKDYRYTYRCHRGDTQIQTRRSFELKKLWDQTQSKAGLLVSDGGPNLYNIRNLHIPEVC -LKWELMDEDYRGRLCNPLNPFVSHKEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQR -GILEDEQMYQKCCNLFEKFFPSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEE ->AFK09819.1 polymerase PB1, partial [Influenza B virus (B/Malaysia/1814277/2007)] -FRLNDLNGADKGGLVPFCQDIIDSLDRPEMTFFSVKNIKKKLPAKNRKGFLIKRIPMKVKDKITKVEYIK -RALSLNTMTKDAERGKLKRRAIATAGIQIRGFVLVVENLAKNICENLEQSGLPVGGNEKKAKLSNAVAKM -LSNCPPGGISMTVTGDNTKWNECLNPRIFLAMTERITRDSPIWXXXXXXXXXXXXXXKIARLGKGFMITS -KTKRLKAQIPCPDLFSISLERYNEETRAKLKKLKPFFNEEGTASLSPGMMMGMFNMLSTVLGVAALGIKN -IGNKEYLWDGLQSSDDFALFVNAKDEETCMEGINDFYRTCKLLGINMSKKKSYCNETGMFEFTSMFYRDG -FVSNFAMELPSFGVAGVNESADMAIGMTIIKNN ->AEK49762.1 polymerase PB1 [Influenza A virus (A/gadwall/California/8504/2008(H6N1))] -MDVNPTLLFLKVPVQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNSETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEVVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEVFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKRSYLIRALALNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRIFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAR -LGKGYMFESKSMKLRTQIPAEMLASIDLKYFNESTRKKIEKIRPLLIDGTASLXXXXXXXLSTVLGVSVL -NLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTFEFTS -FFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYRCHRG -DTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNPFVSH -KEIESVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCSLFEKFFPSSS -YRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK ->ABK00130.1 polymerase basic protein 1 [Influenza A virus (A/swine/Anhui/ca/2004(H5N1))] -MDVNPTLLFLKVPAQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHQYSEKGKWTTNTETGAPQLNPID -GPLPEDNEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAA -TALANTIEIFRSNGLTANESGRLIDFLKDVMESMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQ -RLNKKSYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVETLARSICEKLEQSGLPVGGNEKKA -KLANVVRKMMTNSQDTELSFTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMAK -LGKGYMFESKSMKLRTQIPAEMLANIDLKYFNESTKKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLG -VSILNLGQKRYTKTTYWWDGLQSSDDFALIVNAPNHEGIQAGVDRFYRTCKLVGIYMSKKKSYINRTGTF -EFTSFFYRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYR -CHRGDTQIQTRRSFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPLNP -FVSHKEIESVNNAVIMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCNLFEKFF -PSSSYRRPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQKW ->AJJ94969.1 polymerase PB1, partial [Influenza A virus (A/silkie chicken/Dongguan/968/2014(mixed))] -LLFLKVPVQNAISTTFPYTGDPPYSHGTGTGYTMDTVNRTHKYSEKGKWTTNTETGAPQLNPIDGPLPED -NEPSGYAQTDCVLEAMAFLEESHPGIFENSCLETMEIVQQTRVDKLTQGRQTYDWTLNRNQPAATALANT -IEVFRSNGLTANESGRLIDFLKDVXDSMDKEEMEITTHFQRKRRVRDNMTKKMVTQRTIGKKKQRLNKRS -YLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVEALARSICEKLEXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMARLGKGYM -FESKSMKLRTQVPAEMLANIDLKYFNKSTREKIEKIRPLLXDGTASLSPGMMMGMFNMLSTVLGVSILNL -GQKKYTKTTYWWDGLQSSDDFALIVNAXNHEGIQAGVDRFYRTCKLVGINMSKKKSYINRTGTFEFTSFF -YRYGFVANFSMELPSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYRCHRGDT -QIQTRRAFELKKLWEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYQGRLCNPMNPFVSHKE -IDSVNNAVVMPAHGPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDEQMYQKCCXLFEKFFPSSSYR -RPVGISSMVEAMVSRARIDARIDFESGRIKKEEFAEIMKICSTIEELRRQK ->ADL64055.1 polymerase PB1, partial [Influenza A virus (A/chicken/Orissa/India/2317/2004(H9N2))] -FTITGDNTKWNENQNPRMFLAMITYITRNQPEWFRNVLSIAPIMFSNKMARLGKGYMFESKSMRLRTQIP -AEMLANIDLKYFNEPTRKKIEKIRPLLIDGTASLSPGMMMGMFNMLSTVLGVSILNLGQKRYTKTTYWWD -GLQSSDDFALIVNAPNHEGIQAGVDXFYRTCKLVGINMSKKKSYINRXXXXXXXXXFYRYGFVANFSMEL -PSFGVSGINESADMSIGVTVIKNNMINNDLGPATAQMALQLFIKDYRYTYRCHRGDTQIQTRRSFELKKL -WEQTRSKAGLLVSDGGPNLYNIRNLHIPEVCLKWELMDEDYRGRLCNPLNPFVSHKEIESVNNAVVMPAH -GPAKSMEYDAVATTHSWIPKRNRSILNTSQRGILEDERMYQKCCNLFEKFFPSSSYRRPVGISSMVEAMV -SRARIDARIDFESGRIKKEEFAEIMK ->pdb|4WSB|B Chain B, RNA-directed RNA polymerase catalytic subunit -GSGSGSGSGMDVNPMLIFLKVPVQNAISTTFPYTGDPPYSHGTGTGYTMDTVIRTHDYSSRGIWKTNSET -GAQQLNPIDGPLPEDNEPSGYAQTDCVLELIEGLDRSHPGLFETACQETIDAIQQTRVDKLTQGRQTYDW -TLNRNQPAATALANTIEVFRKNGYKLNESGRLIDFLKDVLLSFENDSMEVTTHFQKKKRIRDNHSKKMIT -QRTIGKKRVKLTKKNYLIRALTLNTMTKDAERGKLKRRAIATPGMQIRGFVYFVELLARNICERLEQSGL -PVGGNEKKAKLANVIKKMMAKSTDEELSYTITGDNTKWNENQNPRIFLAMVLRITAGQPEWFRDLLAVAP -IMFSNKVARLGRGYMFESKSMHLRTQISAENLSDINLRYFNEDTKKKIEKIRHLMVEGTASLSPGMMMGM -FNMLSTVLGVSVLNLGQREILKRTYWWDGLQSSDDFALIINGHFKEDIQQGVNHFYRTCKLVGINMSQKK -SYINKTGTFEFTSFFYRYGFVANFSMELPSFGVAGNNESADMSIGTTVIKTNMINNDLGPATAQMAIQLF -IKDYRYTYRCHRGDTNLETRRTKSIKRLWTETISKAGLLVADGGPNPYNLRNLHIPEVCLKWSLMDPDYR -GRLCNPNNPFVHHMEVESTNLAVVMPAHGPAKSLEYDAVATTHSWTPKRNRSILNTNQRGILEDERIYQK -CCQVFEKFFPSSTYRRPIGMASMLDAMLSRARIDARIDLESGRISSQDFSEITNTCKAIEALKRQGSGSG -ENLYFQ ->pdb|4WRT|B Chain B, RNA-directed RNA polymerase catalytic subunit -GSGSGSGSGMNINPYFLFIDVPIQAAISTTFPYTGVPPYSHGTGTGYTIDTVIRTHEYSNKGKQYISDVT -GCTMVDPTNGPLPEDNEPSAYAQLDCVLEALDRMDEEHPGLFQAASQNAMETLMVTTVDKLTQGRQTFDW -TVCRNQPAATALNTTITSFRLNDLNGADKGGLIPFCQDIIDSLDRPEMTFFSVKNIKKKLPAKNRKGFLI -KRIPMKVKDKITKVEYIKRALSLNTMTKDAERGKLKRRAIATAGIQIRGFVLVVENLAKNICENLEQSGL -PVGGNEKKAKLSNAVAKMLSNCPPGGISMTVTGDNTKWNECLNPRIFLAMTERITRDSPIWFRDFCSIAP -VLFSNKIARLGKGFMITSKTKRLKAQIPCPDLFSIPLERYNEETRAKLKKLKPFFNEEGTASLSPGMMMG -MFNMLSTVLGVAALGIKNIGNKEYLWDGLQSSDDFALFVNAKDEETCMEGINDFYRTCKLLGINMSKKKS -YCNETGMFEFTSMFYRDGFVSNFAMELPSFGVAGVNESADMAIGMTIIKNNMINNGMGPATAQTAIQLFI -ADYRYTYKCHRGDSKVEGKRMKIIKELWENTKGRDGLLVADGGPNIYNLRNLHIPEIVLKYNLMDPEYKG -RLLHPQNPFVGHLSIEGIKEADITPAHGPVKKMDYDAVSGTHSWRTKRNRSILNTDQRNMILEEQCYAKC -CNLFEACFNSASYRKPVGQHSMLEAMAHRLRMDARLDYESGRMSKDDFEKAMAHLGEIGYIGSGSGENLY -FQ diff --git a/seq/clusters_seq/cluster_390 b/seq/clusters_seq/cluster_390 deleted file mode 100644 index d3b3b01..0000000 --- a/seq/clusters_seq/cluster_390 +++ /dev/null @@ -1,150 +0,0 @@ ->YP_009408181.1 RNA-dependant RNA polymerase [Lake Sinai virus 2] -MISSLVTRVLRPVPLPHPYLVTPLRRDQSYYSTTLPAEFMRMTRPRDRRRIEVLEYCLTKAKQGASPIDL -RTITPILTTATVFVIPREPLTLCAPTRLPRADLISSCQCVSQMSQYLLSSMHTRWEVKISPMLLIRLWER -LYRNGLALRSYASLAGLSTEFSILYLAPPPRHSSSRRCCAYHDLCVKYRQLSPLQKLAAVFYSVALERDL -RDTTPLTLDSWVSRYPQARQKELRLAFARLQGSTLVQTSQTKVRNFIKVEPMAKCSDPRNISPRSDATLS -TLGPYFSAIEHNAAGLPFLIKGCDMAARAAKMRDLLGWPHYYEIDYSRFDLSISAEVISQFEHAWISLVY -APDMHPLFWQTLVATLVTSGFSEYGITYTLPGSRCSGDPHTSVGNGILNAFLTWLVTFDKSCSYYCEGDD -GIIGCAQPICDEIEIIPDLGFMLKIDHYEHIDDCSFCGMYLLDDRGSLRMYSDPVRTLSKIHVCCADGLP -NNLIVAKALSLLNLNPCTPIVTAFCRHILRVVRSVLLNPRNRNRLAAAVKRVAPWAVYFPFSYEPFYSEP -TPAMRAAFSARTGISPALQISYEQYLLNLRYVPSSYRYLKRDLDIDGVHTALLGEFRSVLYA - ->YP_009408178.1 RNA-dependant RNA polymerase [Lake Sinai virus 1] -MISSLVTRVLRPVPSPHSRLVTPLKRQTTYYSTTDPDQLLSTLAPRTRKRAEVLEYCLTKAKQGASPIDL -RTITPILTTATVFVIPREPLTHCAPTRLPRADLISSCRCVSMMSQHLRCSMHTRWEVKISPTTLIRVWEQ -LYQNALVLRSYASLAGLSTEYSTLYLAPPPHRLSNRRCCPCYDLCNKYLQLSPLQKLAGVFYLVALEKDL -RDTTPLTLDSWVSRYPLTRQRELRLAYERLHGSMLVQTAHTKVRNFIKVEPMAKCSDPRNISPRNDATLA -TLGPYFSAIEHRASSLPFLVKGCDIPARSSKMSSLLGWPDYYEIDYSRFDLSISAEVISQYEHAWVSLVY -PPLNHPGFWQTLVSTLITSGFSEYGITYSLPGSRCSGDPHTSVGNGLLNGFLTWLVTFDKDCSYFCEGDD -GIIGCSPPIGDEIEIIPDLGFMLKIDHYHHINDCSFCGMYLLDDCGTLSMYSDPLRTLSKIHVCCADGLP -NNLIVAKALSVLNLNPATPIITAFCRHILRVVHSKLLNPRNRNRLTAAVKRVSPIMAYMPFQTAPVYREP -SPEMRAAFAARTGISPALQCQYEQYLLSLLFVPSRYALLKRDIELDGLQTTLLGDFRSVLYA - ->YP_009388502.1 RNA-dependent RNA polymerase [Lake Sinai Virus TO] -MGAILQSLTSSDFVLRPRLLVPPIVASLPRVPAKTITNMISSLVTRVLRPVPLPHPYLVTPLRRDQSYYS -TTLPTEFMRMTRPRDRRRVEVLDYVLTRATQGSSPVDLRTITPVVTTKSTFLIPREPLTLCAPTRLPRAD -LISSCQCVSQMSQYLLSSMHTRWEVKMSPMSLIQLWERLYRNGLALRSYASLAGLSTEFSTLYLAPPPRH -LSSRRCCAYHDLCVKYRQLSPLQKLAAVFYSVALERDLRDTTPLTLDSWVSRYPQARQRELRLAFARLQG -STLVQTSQTKVRNFIKVEPMAKCSDPRNISPRSDATLSTLGPYFSAIEHNATGLPFLIKGCDMAARAAKM -RDLLGWPHYYEIDYSRFDLSISAEVISQFEHAWISLVYAPDMHPLFWQTLVATLVTSGFSEYGITYTLPG -SRCSGDPHTSVGNGVLNAFLTWLVTFDKSCSYYCEGDDGIIGCAQPICDEIEIIPDLGFMLKIDHYEHID -DCSFCGMYLLDDCGSLRMYSDPVRTLSKIHVCCADGLPNNLIVAKALSLLNLNPCTPIVTAFCRHILRVV -RSVLLNPRNRNRLAAAVKRVAPWVVYFPFSYEPFYSEPTPAMRAAFSARTGISPALQISYEQYLLNLKYV -PSSYRYLKRDLDIDGVHTALLGEFRSVLYA - ->YP_009388495.1 RNA-dependent RNA polymerase [Lake Sinai Virus NE] -MGAIPLRLTWSDFVLRPRLLVPPVLASLPRVPAKTVTNMISSLVTRVLRPVPSPHPRLVTPLRRRVTYYS -TTSPTSFIPTLAPSSRKRAEVLEYCLTKARQGASPIDLSEITPALTLSTVFIIPREPLTHYAPTRLSRAD -LISSCRCVSRMSQYLLSSMHTRWEVRISPTLLSQTWERLYQNALMLRNYASLAGLSTEYLTLYLAPPPPR -SSNRRCCPYYDLCNKYQQLSPLQKLAGVFYSVVLDSHLKDTTPLTLDSWVSRYPQTRQKELRLAYEKLHG -SMLVQTAHTKVRNFIKVEPMAKCSDPRNISPRNDATLATLGPYFSAIEHQASSLPFLIKGCDIPARCLKM -SSLLGWANYYEIDYSRFDLSISAEVISQYEHSWVSLVYPPLSHPTFWQTLVSTLVTSGFSEYGITYSLPG -SRCSGDPHTSVGNGLLNAFLTWLVTYDKDCSYFCEGDDGIIGCSVPIGDELEIIPDLGFMLKIDRYDHVN -DCSFCGMYLLDGCGSLNMYSDPMRTLSKIHVCCADGLPNNLIVAKALSVMNLNPSTPIITAFCRHILNVV -ESKLLNPRNRNRLAVAVRRVAPWMVHIPFKYVPEYHEPSPEMRAAFAARTGISPALQCQYERYLLSLPFV -PSRYVLLKRDIELDGLSTSLLGDFRSVLYA - ->YP_009388491.1 RNA-dependent RNA polymerase [Lake Sinai Virus SA2] -MSNMVSSLVTRVLRPVPQPHPHLVHPFPRPVNYYSTANPTQTLGTLSRRVRRRTEVLDFKLTRAKQGASP -VDLAAITPTLTTSTVFLIPREPLMTPALTRPPLAALISSCRCVTMMSQYLHSFMRTPTMVKISPSLLTTA -WERLYQSATQLRNYACLAGLSTAYSTLYLAPPVGVSSRSHCCRYYDSCWKYQRLSHVQRLAAVFYLAVLD -SDLAGTTPLTLDSWVSRYPTARQRELRLAFERLRGSTHVQTAQTKVRNFIKVEPMAKCSDPRNISPRNDS -TLVTLGPYFSAIEHRAVSLPFLIKGCDIPSRAKRMRDLLGWPHYYEIDYSRFDLSISVELLSQFEHSWIA -LVYPPDVHPLFWQTLVATLTTSGFSEYGITYSLAGSRCSGDPHTSIGNGLLNAFLTWLITHDKDSVFYCE -GDDGIIASRTPILDEIEIIPDLGFMLKIDHYDHVNDCSFCGMFLYEDRADLGMYSDPIRTLSKIHACCAD -GKSSNLIVAKALSLLNLNPATPIITAFCQHILRVVPSVLLNPRNRNRLLATVKRVAPWTHHLRVQCDVTC -SEPSPTIRAAFALRTGISPALQVQYEAYLASLPYVPSKYAYLKRDLELDGIGASLMGRPLSVLYA - ->YP_009388488.1 RNA-dependent RNA polymerase [Lake Sinai Virus SA1] -MGAIPLSLTWSDFVLRPRLLVPPILASLPRVPAKTITNMISSLVTRVLRPVPLPHPYLVTPLRRDRAYYS -TTSPTSFLSMLSPRAQRRTEVLEYVLTKAKQGASPVDLLTVTPLLTTKTTFLIQREPLTTSAPTQLPRAD -LISSCLCVSRMSRYLLSSMHTRWEVRISPTLLIQLWERLYLNGLVLRNYASSAGLSTEYLALYLAPPPHR -LSSQRCCPYHDLCAKYLQLSPLQKLAAVFYSVALEKELKDTTPLTLDSWVSRYPLARQKELRLAYERLRG -STLVQTAHTKVRNFIKVEPMSKCSDPRNISPRNDATLSTLGPYFSAIEHSAASLPFLIKGCDIPNRSRKM -SNLLGWSNYYEIDYSRFDLSISAEVLSQFEHAWVSLVYPPSDHPMFWQTLVATLVTSGFSEYGITYTLPG -SRCSGDPHTSVGNGMLNAFLTWLVTYDKDCAFYCEGDDGIIGCADAIGGEIEIIPDLGFMLKIDRYDHID -DCSFCGMYLLDDGGRLHMYSDPIRTLSKIHVCCADGKPNNLIVAKALSLLNLNPSTPIVTAFCRHILRVV -SSRLMNPRNRNRLTATIRRVAPWVVYFPFSYEPVHSQPTPAMRAAFAVRTGVSPALQQRYERYLLSLKYV -PKEYVYLKRDVDLDGINTGLLGEFKSVLYA - ->YP_009337677.1 hypothetical protein 1 [Beihai tombus-like virus 15] -MALNLKTNSFVLDTEQERALARWGFNLKSGTIPHTHPVSALIRNGFEDAVINQHKDKRIKDVGGNYARHA -ARHQGFDIHSCCPLLSPDDLIREVTRDMAVDPDCCRKRFQDCSRPADVYMFNHSMYYVEPEDLACIPVGA -CIYSLHHLYQGPGTYCAGEMEVTQDEQGVYTVDTRGNNRPYKHKQCWLTGSCVIPGKDGAVIAFTVLRNY -ESAHVFKGYVYKVEDSYRLLSQPVFKPYDIYPSELEDILINEGTSQTIDNRTLHNMASRARTYALTTHRK -MPANIADIYASAANKAAEINIKTQSQLNKEKIETSNRLIAGLEIDTSQPHIPIYRRVGGILWTLASGVVR -TTAYTSLDYMKAGALLPAKAIIYTTSRIRQCLTYENNDYVVPTCTFVPLNSWENELTSILLRAVPDTVTT -TIDNKIMESADKLAKLIGYVDAPMHFDEWVERYPPARQEQLRKAHYKPLNHNVDMFIKIEQLDDQKHPRA -IQARHDSYKSKLGPWVARLEKRCIEALPNFVKKLNDEQRAEKVAELKCKADNIIEIDFTRFDRNCTKELL -SATEHYIYDKVFPKEIASLLHLQLRNKVRSARGYTYSVEGTRMSGDVNTSIGNCLIVLCLSLAAGLELEN -ILVEGDDMIAAASDITLQKFSDEVIKATGMIPKTVVTHRGGSFCSRYDVIDSEGKPRRVRHPLRDITRYG -YTLHGEDRLERAQRHYKEWVGVPMLGPVYTNILKQLQPDVQIPEIEITAEARVSFARTFDISIKTQQLFE -EDKSQRARIYNDLTQEKIYKTALTEAVETPIIGPGDSVSQISGTPGSSETGHDKLQVPTWNIRTGSLGST -SKNVRDVQVKGPSESGLQNSLRDDNKRGNTHRNRLRRHRRQARLHGHGSIVSKDNVTGLAGLPGGSSKRS -GNETEMAMHCDPSKPNSSIGQYSIRNPST - ->YP_009333194.1 hypothetical protein 2 [Lake Sinai virus] -MISSLVTRVLRPVPSPHPRLVTALRRQTTYYSTTNPGLFLTTLSVRARRRTEVLEYCLTRAKQGASPIDL -RTVTPIVTKSTTFVIPREPLTQIAPTQLPRADLISSCQCVSAMSRCLLSSMHMRWEVKISPTSLSLTWES -LYQNALVLRSYASSAGLSTEYLTLYLAPPLPHLSSRHCCPYYDLCNKYLQLSPLQKLAGVFYLVALDRDL -KDTTPLTLDSWVSRYPLARQRELRLAYEKLHGSMLVQTAHTKVRNFIKVEPMAKCSDPRNISPRNDATLA -TLGPYFSAIEHRASALPFLIKGCDIPSRAVKMSSLLGWPHYYEIDYSRFDLSISAEVISQYEHAWVSLVY -PPLIHPRFWQTLVATLVTSGFSEYGITYSLPGSRCSGDPHTSVGNGLLNAFLTWLVTYDKDAIFFCEGDD -GIIGCSTPMGGEIEIIPDLGFMLKIDHYHHVDDCSFCGMYLLDCRGALGMYSDPLRTLSKIHVCCADGLS -NNLIVAKALSILNLNPSTPIITAFCRHILNVVRSRLLNPRNRNKLVLAVRRVAPWMVHIPFKVVPNYCEP -CPEMRAAFAARTGISPALQLQYEEYLLALPFVPRRYALLKRDVEIDGLRTALLGDVKSVLYA - ->AEH26193.1 RNA-dependant RNA polymerase [Lake Sinai virus 1] -MIWSRRLSVCVCSVVTPPHSIWSDFVLRPRLLVPPVLASLPRVPAKTVTNMISSLVTRVLRPVPSPHSRL -VTPLKRQTTYYSTTDPGRLLSTLAPRTRKRAEVLEYRLTRAKQGASPIDLRTITPMLTTATVFVIPREPL -THCAPTRLPRADLISSCQCVSMMSQYLRCSMHTRWEVKISPTTLIQVWEQLYQNALVLRSYASLAGLSTE -YSTLYLAPPPHRLSNRRCCPCYDLCNKYLQLSPLQKLAGVFYLVALEKDLRDTTPLTLDSWVSRYPLTRQ -RELRLAYERLHGSMLVQTSHTKVRNFIKVEPMAKCSDPRNISPRNDATLATLGPYFSAIEHRAASLPFLV -KGCDIPARSSKMSSLLGWPDYYEIDYSRFDLSISAEVISQYEHAWVSLVYPPLNYPGFWQTLVSTLITSG -FSEYGITYSLPGSRCSGDPHTSVGNGLLNGFLTWLVTFDKDCSYFCEGDDGIIGCSPPIGDEIEIIPDLG -FMLKIDHYHHINDCSFCGMYLLDDSGTLGMYSDPLRTLSKIHVCCADGLPNNLIVAKALSVLNLNPATPI -ITAFCRHILRVVHSKLLNPRNRNRLTAAVKRVSPIMAYMPFQTAPVYREPSPEMRAAFAARTGISPALQC -QYEQYLLSLLFVPSRYALLKRDIELDGLQTTLLGDFRSVLYA ->AEH26189.1 RNA-dependant RNA polymerase [Lake Sinai virus 2] -MISSLVTRVLRPVPLPHPYLVTPLRRDQSYYSTTLPTEFVWMTRPRDRHRAEVLEYCLTKAKQGASPIDL -RTITPILTTATVFVIPREPLTHCAPTRLPRADLISSCQCVSMMSQYLRCSMHTRWEVKISPMLLIRLWER -LYRNGLALRSYASLAGLSTEFSILYLAPPPRHSSSRRCCAYHDLCVKYRQLSPLQKLAAVFYSVALERDL -GDTTPLTLDSWVSRYPQARQRELRLAFARLQGSTLVQTSQTKVRNFIKVEPMAKCSDPRNISPRSDATLS -TLGPYFSAIEHNAAGLPFLIKGCDMAARAAKMRDLLGWPHYYEIDYSRFDLSISAEVISQFEHAWISLVY -APDMHPLFWQTLVATLVTSGFSEYGITYTLPGSRCGGDPHTSVGNGILNAFLTWLVTFDKSCSYYCEGDD -GIIGCAQPICDEIEIIPDLGFMLKIDHYEHVDDCSFCGMYLLDDRGSLRMYSDPVRTLSMIHVCCADGLP -NNLIVAKALSLLNLNPCTPIVTAFCRHILRVVRSVLLNPRNRNRLAAAVKRVAPWXVYFPFSYEPFHSEP -TPAMRAAFSARTGISPALQISYEQYLLNLKYVPSTYRYLKRDLDIDGVHTALLGEFRSVLYA ->AJR19138.1 RNA-dependent RNA polymerase [Lake Sinai virus] -MAGTLPCSILNDFVLRPRLLVPPIVASLPRVPAKTMTNMISSLVTRVLRPVPLPHPYLVTPLLRDQAYYS -TTLPDQFMSMISARDQRRVEVLDYVLTKAVQGASPVDLRTITPMPTTKSTFLIPREPLTPCAPTRLPRAD -LISSCQCVSQMSQYLLSSMHTRWEVKISPTVLIRLWDRLYQNGLALRNYASLAGLSTEFSTLYLAPPLPH -LSSRHCCPYYDLCNKYLQLSPLQKLAGVFYLVALDKDLKDTTPLTLDSWVSRYPLARQRELRLAYEKLHG -SMLVQTAHTKVRNFIKVEPMAKCSDPRNISPRNDATLATLGPYFSAIEHRAAALPFLIKGCDIPSRAAKM -SSLLGWPHYYEIDYSRFDLSISAEVISQYEHAWVSLVYPPLIHPRFWQTLVATLVTSGFSEYGITYSLPG -SRCSGDPHTSVGNGLLNAFLTWLVTYDKDATFFCEGDDGIIGCSTPVGGEIEIIPDLGFMLKIDHYHHVD -DCSFCGMYLLDCRGALGMYSDPLRTLSKIHVCCADGLSNNLIVAKALSILNLNPSTPIITAFCRHILNVV -RSRLLNPRNRNKLVLAVRRVAPWMVHIPFKVVPDYCEPGPEMRAAFAARTGISPALQLQYEEYLLAPPFV -PRRYVLLKRDVEIDGLSTALLGDVRSVLYA ->AJR19144.1 RNA-dependent RNA polymerase [Lake Sinai virus] -MGATLLFSIWSDFVLRPRLLVPPILASLPRVPAKTVTNMISSLVTRVLRPVPSPHPRLVTPLRRQVTYYS -TTNPTLFLPTLTPSSRKRAEVLEYCLTKAKQGASPIDLSAITPVLTMNTVFIIPREPLTRCAPTRLSRAD -LISSCQCVSMMSQYLLSSMHTRWEVRISPMLLIQLWERLYLNGLVLRNYASLAGLSTEYLTLYLAPPPHR -LSSQRCCPYHDLCAKYRQLSPLQKLAAVFYSVVLERELKDTTPLTLDSWVSRYPLARQKELKLAYERLRG -STLVQTAHTKVRNFIKVEPMSKCSDPRNISPRNDATLSTLGPYFSAIEHSAASLPFLIKGCDIPNRSRKM -SNLLGWSNYYEIDYSRFDLSISAEVLSQFEHAWVSLVYPPSDHPVFWQTLVATLVTSGFSEYGITYTLPG -SRCGGDPHTSVGNGMLNAFLTWLVTYDKDCAYYCEGDDGIIGCADPIGGEIEIIPDLGFMLKINRYDHID -DCSFCGMYLLDDGGRLHMYSDPIRTLSKIHVCCADGKPNNLIVAKALSLLNLNPSTPIVTAFCRHILRVV -SSRLMNPRNRNRLAATIRRVAPWAVYFPFSYEPVYGRPTSAMRAAFAVRTGVSPALQQRYERYLLSLKYV -PKEYVYLKRDVDLDGLNTSLLGEFRSVLYA ->AJR19147.1 RNA-dependent RNA polymerase [Lake Sinai virus] -MAGTLPCSILNDFVLRPRLLVPPILASLPRVPAKTVTNMISSLVTRVLRPVPSPHPRLVTPLRRQVTYYS -TTNPTLFLPTLTPSSRKRAEVLEYCLTKAKQGASPIDLSAITPVLTMNTVFIIPREPLTRCAPTRLSRAD -LISSCRCVSMMSQYLLSSMHTRWEVRISPMLLSQTWERLYQNALMLRNYASLAGLSMEYLTLYLAPPPPH -SSSRHCCPYYDLCSKHQQLSPLQKLAGVFYSAVLDSHLRDTTPLTLDSWVSRYPQTRQKELRLAYERLHG -SMLVQTAHTKVRNFIKVEPMSKCSDPRNISPRNDATLATLGPYFSAIEHRASSLPFLIKGCDIPARCLKM -SSLLGWANYYEIDYSRFDLSISAEVISQYEHSWVSLVYPPHSYPAFWQTLVSTLVTSGFSEYGITYSLPG -SRCSGDPHTSVGNGLLNAFLTWLVTYDKDCAYFCEGDDGIIGCSVPIGDELEIIPDLGFMLKIDRYDHVN -DCSFCGMYLLDCRGSLSMYSDPMRTLSKIHVCCADGLPNNLIVAKALSVLNLNPSTPIITAFCRHILNVV -ESKLLNPRNRNRLAVAVRRVAPWMVHIPFRYVPEYREPCPDMRAAFAARTGISPALQRQYEEYLLSLPFV -PSRYVLLKRDVELDGLSTSLLGDFRSVLYA diff --git a/seq/clusters_seq/cluster_391 b/seq/clusters_seq/cluster_391 deleted file mode 100644 index f690490..0000000 --- a/seq/clusters_seq/cluster_391 +++ /dev/null @@ -1,489 +0,0 @@ ->YP_009345893.1 hypothetical protein [Wuhan insect virus 12] -MFSFASSAPKAAFPLTGMFLSKTPRVPDNSDVEEYYPEWDDSDVVDMSSGQKSSTPSPKEFPHSSKSDAR -PISTVGVVKFPTYEHVDVSQSTIESPVLENPFQTVDEMTTMATPLVKSFFSEFDFDNFGMTSVISQAISI -LTLFFLYLRKKLSFVDLIPSLFSQLIAFATLILYASKRTFNPRIIDDFKDILSSSLIPKKNEDDNSIRKH -VSIMENFPDRISVRKYIEKHNLHSKLRLPSCTWEEVMESKNSMFHWIFKLYSPKFLSMWFGVPLYKINVY -VANNRVNNIDLEYQSFTSKEFHFIRNLLTRLFTALFTYLSCVISGTKFTRKDFLDSYNVGKDISSFSGDI -VQDLFNNTIDQERNVLNQVNDYTFEFNKFLEIPTHQFARSQKPLHDIRELTNECSDFIRKCPRDYQNLLF -PLQGLITAVTKRKNEILTSALPQFKRQEPFVVLFQGKGAIGKTRFVQHLARKCVSELIGDGDYFRDYVEI -RHDDKYWPPLSGQRIAFFDEIGTVNDIKDDLLLSNIKGICSPTYFNCPSADIEHKISPCPFQLIFAATNR -NLYDLQGKIASAYSSESVYPTWRRCMVIQCEWNDRFGKFNFTNPSGHQDDFSHLKFKFMEWDEQTQKLIP -SSDITSDGIYVKIRDRFRKMESEHVREINLVNMQRQSSVNNLHYVIHLHGPPGAGKTPSATKYAKFLSSS -FCLPIVRIHSMDDLNKHIPLSRSIYVFDDIISNSLTMEQQQLFLEFYNSKAINNSIFLICSNMKINFSFP -VKKFTSVVVSRKHPFNLSGLTKRLGFTGNFEGFEMPSYNSEFFFHLDKAYNIKEIEISLKHLFGIFCILS -LNLFSLYTFPLSLVYLYYLLKPEYVLINHPLQIIYNKYLSFLKMRDNIPVISDDIPQVIFDYKLQANTLQ -DINFKANSYELWNHFYRNMTQFENSTYDWKCFITQDLLNLLGPKYSEFVVDVRKFTVDDIESELTRLYRI -LKSMNVSANIHIRINSVGEFALVKDKIIRKLYVESPILSSNINICHNKFGVMVNSKFITYDKLFSKVNFA -EEYQLTFDEMSGLSAYTCSTEFLTSPDYINNMNLRIKENSKSLLASSYESFKDKCSKFFSMGDGFFLKLI -LRLLLLLLSSAYLWKTIANYITTPKIPNEEYIDMKGKSGKRKPRPNYDTDGEPEPQKGKSGKRKPRRNYD -TDGEPELQAGKSGKRKPRPNYDTDGEPTVQSFRKPIQEFIRESNLKMERQEVLTLTDMNGYDAYKPYMED -AFNKCRKNMVFLYITKDVGPVLEKEPVGCQANYGLILFGKTLVSVGHTAKELTRMPQYACYIGCDQFKGK -FYRASLKRCYTLRDLSVWSVECPNSFSDISNLFISRKELGDLEFLNVAFQRFGKDKREYWIQGLMEISHG -YCAVDADGIKEFGYVDFSTFELMLTTGGDCGLPYYIIDLHSFHQKVIGIHCMGNIEGYSSVGIPALIYSD -DILEWKSKCQSGLSEGDCDICKVKEFPYIVPALKPKSKHHEVCFSGNHEATPASFYEELRHYFTLRPGFK -GVILKNVGDKLGGSHPHSHTQFLLGEMDESLVCTGSWGYAIAEEIGFNPKHVNPDTRLLYRVYDVSLPTL -YKCMDNHYFSRNFRIKANVYVNKQGKPRATIGMYILYNPSDPNNPNDKPRVELNSLAVQRQGLSALPLVE -GEEVYVCEDIFGIFKNYRKRYERGILPNIPYEQMENNSTVSIIGTAHTNMSPIPSGTYYRTPYSLTLESK -TSPHKYPVRFDTWNAPKEIQDSMAKDRLGQPDPLVTASLKWAHRVVSPNATLRRFCRNEFRGKILSTYSN -MSLLTDDQVLHGYASTHKLREGLQGLELDSSIGWSLKQLFNVTKKNDVIQLNEHGKYSWLDNECAQYAQW -MFTQSKEHAAMGHRYLSVFNELLKLEKLKPEKIFTPRSFTAEDLNGVLMERYIMGEFCARAMLRDRNCGV -GTNAYKDFHKHFLYLNRHPIKNLFTGDYKNFDRTIPVCTFDDIRDLLIEANQPIANEIYACFRTLSRRIQ -IAGTSVAFVEGGMPSGSFLTAPLNSLINDYMIYTAYVALTWKHDRGDLSSYLFYDRLVSRIFYGDDVILT -CSDQVASFFNLVSLAAELKQLFGMEMTASSKTGELKYFETIHEASWISRYFRKLDNHNFVIGALKKISIN -THFHYLTSLDAAHIGDTLRVIQDEAALWEEDYYKNIQDCIRVVLSKRPEVSKHFCLRSRRDIQEEFFQNA -ITSGLKTHSQNEQLELTKVSAIRSEYDDWFEEVENNPEPPLPLHSPPAIAVDKQNRRNKISYVERANQRT -TRRFRSYLDKLPEVQSLNCDFSKDSFQAQFEKMSIYMKINELFQAGKITKPFITFDRFMDDWKCEISCKI -LVNNKELFGTGKGPSKASARERASDVILDTYSDLALITESLTRQSSFRSDNRVQDTPDSISLFNHVLTRK -DFSSLESYNVYLRSLRTSPFVRQSNAPNRSTPINRYSTSYGGAHFVAINTRDDSKLLGKGHGISRDQASK -NAEKSAKVNANGGWATTNTRFGNGYKFERQSGLNVSKQVEHITAGAPMLATDPSIAPDTGIATNTATVSP -IRVVNPAARALDNPAGTGAAFDKRDYVYGIYTRWTEKSGTINGSLAQGSEIFRISLNPQTLPLRIREYVA -FHQAFIPQIDVQILIGGAAGSIGWLKLGWVPDDSKKYTLDDLQLVAAETMNLNSTLTTQFILNDNRKSGL -FRYTSGDPEPWPAMILMVDHPALNVQRNDDVNYPIFVNVRLGPDCLLMRPYNMITSNGGGEDPGPDPGPD -PGPDPGPDPGPGNGGEIIDPSLTFKLGEVIQIPRFDLLIGTSSAPTEMRHFVEFPQCGWGGSFKPICDYK -VNLYNYDMEPRKGYIVTPLLSTKPEQMFNKTIPVNNNTGTALTEMWIPTNLRFAFGKVSDVAYQRANFEG -DKSWIDGCIYDVPTYTITINQAFYDCNVIYVYEFGCIMEFKLRCTKIQCEKFANGSIKTQGVASDSTPGP -IIVFLNDFIPRGTFIYPISYVDGLAGVSIDNPYTTMVFGGQNLSTSVAVGNRWPDSKTSLPPWKDIEFDQ -PYKVQVMTNSWVPTYVQTGNQYAFDVLPQGLKSCYIITPETTTSVAGDVPVLPIYAPGLDKLQKSIDDWM -VKKGYDACQFDMYVGPINMGRLGYQNGVFLGRTNSFKLIRPSLNQVELKNFRKIDNIGLLPQINTEGFTT -WISQSKALQAPRFVSRLSQYKFQRQSFLAQTVASGLGGLFSGIGSTLQFQNYMEWQQKRQDSYNDALRQI -ASINGMNQQVLQQQAFRNRMDLLGASSASAQSGSRSVNSRYAQTSTPYSGSSTNATSSSSEASTTPLPSY -KTSLYSGSSRQSDEHIYEEIGYPSDADKGYVSLTPETLRDNQSPIYSGPKTDPNFLEKDSRSFANILDSP -PRGVRDNSTNPFILETDSRSAGDVLEQKGVGVQVGGEHYGNRPGAQLSGAQSALNAAMAAHPPGWGNVVG -ELQNAQMANATPLKRSGVAPIDNSMVGVTRL - ->YP_009342325.1 hypothetical protein [Wuhan house centipede virus 3] -MRIFKPIFSEPEIPQCGDSGNRSQLTTTSMEKAIQKPTYAEKAAYKSAKILDSLTWDFEEIFAKNAAIRA -ANGVGKSQPKTILRSGEPKKLPTPADGGAKQRRIAVRKEQKRLAALQKSKASAKVGGLNASSSSHKSASA -KAGGSNASSLPQTKLIYYPGFDRNAIPTGDLLKPILASDRVHILFVASWRGTQFKGFAEEIRTRCNEDNT -YLKAFKKNVLAFSTREGKNHFINIRTNADRCSIDLKRTLNIIKNKNLLKDNHHRIITNAIGRGRFRCSIK -QHYDMLHNIANILDREVFVIPHKREGGFTIFPENAYHGLYVPTLSNITKELTLLDEWQEAGLEPPVPGEV -LIIQDIIEDTAPENVEFVFRPKPHEKPFVTHITEEYIQATTKVANSFIGPTATWRQLRRTETLERLRWVI -SQMRPQRRDALTASIWYLANKRSESKTLQSENGVPSLARMILDVVAPGSTRNANYSRMLLDLIVGINSAV -LIYHGKSKMSRGLAATNLCIAIFNIIVEVVQTNIKKVVEPSALEIVRNFIQNNDPEIQQYKTPTESEYTI -FERSEIYNETYEELVSLVDRVDQHPELDLRGDVQHWLTNNSDACTATPYNQMIKYLQNYLTRTEQNNKNI -PNVVRSNSSENSESNLSIHERHSIQLNHWETPIVTEITTEHSVIEQEEIETPLCSYCVQEIRNSPGLLIR -HLIDDDECDADESGITWWCPKGQKEYHYLSYEDEDEQYASFASNLANLLEDLEDIPEEPKTQQSLSSYMD -EHAPEIVTIVTQAIILAIGVFMPDFTVIMERMTKGARFLDQISKLPATSSRIEGYVAKILFGRASAEDRL -KVQVLDMVKELDTCMKVPLDSLLDDASFPYHFDDCIARANELLLCLPRGESISSTLTHTLHKAKERQREV -KDCLSTTSARPVPHVNLFFGDEGVGKTRASMYLSELMADEKYIGQGVYTLTAENKYAIPYSGQAIWSVQE -FANAKDYTNDIIIRNFNSIVSNMHCNLEGAAVEHKMMAVKPTVLNIDTNRTPEEIYHKIPFGPGGSRGFM -SRLTMWESQATWSEEFSRLPRNARPWKEDWSHIKFRRCIFEDGTTKPVHTNIGNMSKEWLTLSEVKLYSY -REMEVHYNNFLPLQEKAKKVLQERQLAYEAKHPQAGNRGANIMSVCLYGIGGQGKTYIADEITQSLKMRF -PVDSYRITNMLNPGFDITKHTLPAIYIFDDVMTHGNKALVTGAFMRCYNEMPSNSLVIWCTNEIPQMASF -NTSLDTTNKIPNIKFSKIYKPLYAEMGMYRRAGYHGEFMILPKLELEEYALGTPNVNTITSFINPGRTQG -NYNDHCYDVHNRQYKRVSDGKYYSRSAILSSIIKDWTRYVTTKGEIPIELVDYVLQPRKDVDAFLQFKTK -EELTSTTSSITAILAAVARGKNMKLSSTALLKFRNLRATDFMYGAPVTNDEELQEYARFFIAKVEAVYPG -MTAYIEVEDFGQMYYDGKVIAISLNQRKELGQLFISTKQAGSPTPCNTIKIYADQTSTTLRSFNEHFKFP -GPSSVIVAEYALTDVYSGIWADKKVLASAMKIRPEHVSLLKHLIECETYGEYAAERFKAETTNTMQTWAN -CALDMLKKHYKILGLGVGIVGIVFLIANYFKGNAIKPTIEGTRAYLAAAKVGKVPEELEHYSKYTTEELK -PMVEAIRKAETLIVYQDGSEEYIPDGSSRKIKAKINFDTSSAREHDRAVREREILLDTLTWDIVPQMVKK -PITETVKTPGFVNELEYATKNLVYAYGERGSCYGLGVFGRFLVIPAHIGEQVMIKDDRFPNSSFNATKVL -QSEGRDLAYYYINDATFGQFKDISHKFISAQQAPQIQARVSFMKILEQGERMYISGGLARLVGSQKVKYN -IGNYKELKHFGELELTGMINAPTQDGDCGLPYVADEMANYGRPSIVGIHIGAYDNRARSIYAAVYQEDLE -FLKSNISDKVYTLPPTVEPAKEVVKELLVKTRKCILTNYEEMPKYFVKYAKQKPKNMTVLAFKQYPVKVS -DIPNLPCPDSLLMRGFDCGVCNTKHTIFSGDIKKYSDARIVLEGELLLSEDCDYLYAGKIIKIAKHKASQ -GIKRTYWERARDIQQETIENVGQIPPLIEFQSEVCEVIGVVPLHEIREMKCESYRPTIYHDYLRAQIPME -KIPIKSVADFTLEEREMCTRTTLGVPDQIAHQANLLDEDLPKLNSNIVNRVAKELTPYLITAFSGALTFS -SNEEVLNGVSVNAPPGARWRNSYNPLNLNKSAGYTCVKLYNASLKSAFIEMEEDGHRKFRDNAKGIELRE -AFEELKEKIKNGEATHAQYPMYFTTTKKEELLPVEKAWKGRVFEAEDLMGTLVTRWVLGKFNAQSMDNDY -KTGSCRVGMDPIRDFNDLAHYHLEQEVHFAGDINRHDKRVSWEMFEIILKAMTMAHKRTCPGCNSQKYCG -WINACEFILFNIGKSKRKILNVIVQCHRGMPSGTAVTAPMNSLIVELFYYIAFCNLVEWVSFSTFKKYVH -ISTLGDDHIVSTTLEIAKKFNLVTVAKFLKETMGVILDSDRKDGKMVPYTEKIEDLTFISRSFVLLENSN -FYTGALKKESITAKLFWVKKTAEGPQEYIKSLSSAIIESALHGEEYHEEISTAILHAMNQVDTYFIFEDV -YIGYEELMHRYQQYCLGERGLYEIDNICEQVYKTSQNMNNIMKLNHLQQIGALSIVNEEYSTSNAMWYCK -LTSYLRDTREEVIIEAKGINKKMAKHEAALQLINLIYVEPKIKNQGATVDGSRTQQMMPAAARTGSTGSG -GKILQELPQQPETNEFNESAAQTVMNDQLLDDKNASTSKMTGGYAPDQTLPLYHQHNAITAYFSGTHQIT -NVSVTSKTTRGTVLFQFTMEQLLNQVPKLRKIIELHKYYIGHFIIKLRFIGPIGLTGEALVAMLPEEVMT -ALATDPSPMATIKKMYEYNIFGLEGNSCRTFELASVSNTGFALTTNGTNFMNMNTGIICMLHTPISSTFA -ESDTATITTIVEMALGENFNMSVPLRALDSIIEEDTPAPTRNIRPSVRDFIGMQLSSRFVVGSKTYAALD -SKDYSSTLSTEPNWIVQSGNNPAKAIIQGIDFCITYADDTQGFYAWPLFVGGDVPTNTQKITLSRECANR -DEVVAHIGQLLSGDNQEVYYLGYEGGVDSQSFVQYYALLTSLIAPIRYDPTHFRALKFVVVGANGTTYYT -LPYVWGSGDDTDIHVTNFDGNYCLSIKSSIMTKDYYYADSINANEAKQTIFPLPLYKTTDTTEFVKSGYV -VKSYPNVGNTYPYFGSYVAADQFNENVLATTCELTFANTVSTQRYFACGFSDTNMSYAASSNTRGFVPTR -EHWELCAQLQRAMLNRGWTTITGILTDGTNPIGEILYTDELGLQINATNSYRWTQPLTYVTIENLQQNPN -PQEVDNSTMATYYNGPEVSTRGRPVFGKRSQQAAAAAGIIGGNIISGIGQGISQWQQQKWEEKQREKDRQ -FQKELQQMVTDGRLSEVEASKKWDSYMQERNLAWEQEKQKGQLDWEKEKNDSQLAWDKEKQQNSIQGQKE -IYEANRKADIRSRGIMIAGDTGNPVVQTSPGEAQRRKEDFNELPNVEKSLTKEKRRAEKKNMLLSKELPN -AVGGNPKNAATKSTIKPANKVSASKLVSSSRNGMIGPAKPIRTQVRRAEIKQNPLITALN - ->YP_009342048.1 hypothetical protein 2 [Wuhan coneheads virus 2] -MLKMETLKLSKVFTGRIFCAEDLIGVLMERKFLGELYARAMKFDPYVGVGCNPMTGFDAYFRRASPFPHR -FVIDFKRWDKTLAKSLVKILRTSLGEVNPNLKQCFNSVFNSVYETYHISGTTMYYRSNGQPSGSAGTAVT -NSLYNLILTYTVFSVLYKEQFYCYPTWEEFKRAVQCFFYGDDQFICTVYPWFNRVTFAAKVKELFNMNAD -AMDKAGKELSPFDEWEDCNWISRYWRKLDEFDFRVGALKKMSIGAQFHWTSNSEISQIAHNIQWALEEAS -IWDEEYYEKVKQAARIILQHFPQYRGEFYIPSRESLQLRIYNEALTPKNLMAQSNEAERRLNSMNIEKLL -VFQPSGKTNDMASMILNRFVQVNDVKLKTDYTREGPQHLSMWTCIMTLDNYRVCAEAHSKKIAREMASQK -MCDKLGLSLGSKFRQECDAKIATLWKELARLSLVRDQCSSKKFGRPSYEDSSDSSSESEDF - ->YP_009342047.1 hypothetical protein 1 [Wuhan coneheads virus 2] -MVSRCKGYHTREVVAEKRITPFIVDLLVSFLLDLFVLAFIAFSDFCVSYVVIVSTLMQKWTFSPDFSYQL -KQIQRHLPKVSTRGKLAFREPKTKPEKPTYVSHKEFSSQAGTPTHFLTTWLMNLGMPVIHVTTIVSNLLA -LVAYKKEGKNKRVWFSLFTNLATSIVYFLAYILEKTNAITDDEKTKLVEEITTSVSTIFESGETSGVNAG -IEEVHPVIEQQTSENVLPQIKLACVNPTGNESAEPFPTYESMAKLTILPEKLVFDGPQNIVHCIAADNCQ -GAGFALALREKYPENKEALKTVDRNLGDCICVSLENHEDPAQIYNLITKPVSRIPPDETGYQYLEKALKA -LPPGVYSMPFIGTGLDKMNPIRIIDLLLNSTHPEACFVIYGNQLNVSQFWSMQNFTCTIYGTKECVYTQK -NMTQQIDIGNVATWFSEQKLYQIGKMCQRLATKLYIFMIIKLIGHVFTGFQNFNLDTIHRDLTTAKGLRE -NVNVILEEVLPSLGVSTPFSDRAAAIQQRMDELGSYMEMNANEFMESPILFNRYKEELARCSKVVNTWPV -PFRQEITVLTGLLAKANQRYYQLKQSIDSFGERPMPVTYIFTGTKGIGKSELTKQLARTAAKIINPDRPV -KENIVQIGNEKYWPQLAGEQVVIFDDIMSTNLEPERFCGSIKHICNTTRFVADAADVEHKCQNFAPRVIL -ASTNTTVAEMVEFFLLSGNLQSSMEAIFSRFKVIQCTRNVTEYGPVTGDRMELVIDETGNFRHLCMKEWV -YSAATNKLVPGRNVLFNGLVSELRQDMARNYMKFQESLRAYETQAGSVDHHVIHLSGDIRQGKSFLIENN -LLPHLEETVGLPVLRMTEEMLKSDKIYPRSIVIIDDLILEEDGRLPLEKEYIKFYNNRLGTHSIIIFATN -VRPKICGLSYETVDIRIPFIPVPVPVPYPVWKYKYPIDNVAILRRAGFKVGIDRAWIDPHNTFIEVKGWK -YCHEHLWYGNQQITEIIWKNYGKWAQAHNEYVIRPGNVFLTEQPPIVVRVKTGMFWPGISKIGSYIHHNF -ASFLASETPWAIYMHEDIVASLVPQYRQFIPLNMDPTHENALEQVKRYGKLLEQLNVDPWILIDIPDVGR -YELRSKEIIYEARGDETVCQFDRNGQTITVTLGDFSVSKDIREVFAFIEGRNPIDEFSQINLSSSNLLAK -ILKLRTMQDFNAEYRAYVEQRIKDERNAQILGYVVKIKQFFCSKRGLMFVAVFSGLMTAFVMGLLVRNKR -NEPAPVPVKETVVEEQGHSRHIPTRNKLLFVGQNLGNYGFEVTSQENVNKWLSSRPFVKGYFVPPKADTL -TPLLYSHPDWYHSDFQNFWLPSSGKTLVTTNIQLLRFCEKRIMLRGSPEMYYKPIEDWEVSACVDEVQEW -SDDFLEVVAQRATYGDSIMKAKEIVVETTQKIDLSKENLRPERPIRPVYIANESGPEQRPKGKKQPIYIN -NSGPEKPTKKHNTKWYVESGPEKPNKKHVLKWSDRIIQNNLKEVSAVKEPSEEVSAVKEPSKEVEKQNVM -TLPPINDFSSTPTFVQRSQQKVFKNLCQVVPSHSSRTSFESDITEFPSWNYGIGIKDDYLITVAHAETAA -KLQGANLYVVRDENRRNGKLCFMKLTLVKTFRRRDLALYKVSDMAKCGAVFADITNFFGSIAEMPTSSCT -AIWFRYSPEKTLETLHSTAIIKREKTSVKLDSVRQEVEETMWCTYASTIGYVSSSGDCGLPYFCSGGASS -GKILGIHCMGNSSQYVTAETVAALIYKEDLQEVLSFETESYIEGKPYGYGADTCQICEMAYNGVQLNNYR -KIPDSTCFVSWSSKCTDYFKDLNEASEHLVKFINEEKIERGVIEVNHGASSGGSQPHPHIQLILGAHHLK -LYPKNRSKMETYDRGLVMKKLELPSMPEQGMKCVDFVLTNDQFSQLGSWALDVSPKHEFCIRGTYMDGKL -YGTLYQTAIADAKIQQVKVNVNQSFIDVSGTEMILEPEIFPCIQQAVLNYKEGMPTDVPFKEEYNVKVVG -AFPHSLSKIPQKGFVKTPFSDLVEHLIPNEKAPFNPNSRQAPQEVLDTMIRNNQGYYCARSTQSVQFDHP -SPPLEQNLEKHVLTEFKNHVENYYSGLRILNDTQVLQGYSPDHELHGALGPMELDTSAGPTLKMVFGKGK -KSDFITRDINGKTYFSSNAEGDF - ->YP_009337388.1 hypothetical protein 2 [Hubei picorna-like virus 64] -MQSVTVPTSAHSPAPPHEETNVMRPTSQPEKVSEVINQDNPGRFPIPISSKGKSKPIMSSKSSKEIEIAR -QAKHAARLVWAATCIAALDILMASKTGENYYIQSEKTKRGLHKVTIYTKYATTGIAYNREVAIGIASSKA -LKKYEKDHPTPKTKLDLTQFEFQSNDVIPQAPFLQTDASVVTDSGLFTNTSVPTPIRNMNSTAMALDNPM -GTGAPFSKHAAVYNIFQRWDEKNVSINPSLREGTEILRISLDPQTLPQAIKSYVNLHDAIIPSIEVSLAI -AGAAGSIGWLVLGWVPNADPAIKYTLADLQQISCETTNMNGTQIFNLILEDIRKNGLYRNTVNDPEPYPG -FILMVDQPVTNVQRNDAVNYPVRVQVRLTQNCHLMRPNNSSGSVNPISLEFNLGSYFYNDTADLIVTGST -ATNQLSDLIDNLADDGFTTGDFKPYMGAQNVIAMEYANGDHIPCFIKGDTPSAAEIANINNFNELTPTAT -TAPYGLPTKFITAHGDMMSLPKEYTDSPYLATKDIILPSGTVYDLSLNYKTAKGVTVDIISMIVQDRCAF -LVHSVRSTNQNFKFNGKDYKVVFYPNSNAILKESPMTFIEIAATTNQDKSFDVFYWDKNHNDEIVGDPLP -RTPGFANFPLQFAVWPSDLPVPKVYVQTGNTHPTTALPSGLKQIGFSRVGTTTKANSDTGVVLLNEPVIK -NAFPALDRLLASNNIDMAKMDLVVAGENLGQLGYSDGTFVARTDTYNKLKASIPTDIILKNISAISSLQG -LQTFDTSSFSSWAPSELRSRPKRIPLSHFKFESAPLMYGIGSGLAGLGSMMYQQQQQERELRNQRALVDA -NNMALAERQRLMFERNMQMTGVTSTSARAGIYSNVASTSRSFQPEAKPTSTPDPVPENYYSPATGMSVDT -YKPPLAFSGPLNQGLGIDPKFQRMLNKQSVSRDPIAFQKSVKPTDSLITTEGVPQMPNTNSKVPDLHTIT -EHDTATKPSEPSRVAATSSLPPIPEDSDANDESNIPSEETPSFIPSQTFVRSDLRK - ->YP_009337387.1 hypothetical protein 1 [Hubei picorna-like virus 64] -MTIIVAKLIAILIYLGDCAMGLTKFTMTGCISSLRNVSLLEGHGKDVHEMIKILKGVPTDDELYINKVDF -FTLEMNKYLQMPTFRFARYPAELKGARKVLNDFELFHRYLNQDQKNRSFSIHTLYQALNAKISQFMTTEA -KALPRQEPFVVLFRGEPGCGKTRLAKTAMTHLCELWGLSPTESIIEITSTDKYWPAISGQEIAFFDEAGT -RSDLREDLLYANYKALCSSAQFNAAGADIMHKNNPINFKTIFATTNTAFKNLGTRVTQLNDAESWSAMCR -RTITIWVTRNEEEFGKVDPNHPEKARYDPKQRHMHLDLMEYTDGQLTFKRRITYDQLMAMIVMREKNKSE -EFKHIMNQFGMETQSNDTVNHLCVSMHGKGGQGKSTIFNSIKGTVANALHMPLVQVSSYEEMEKLKLKRR -SIVVLDDVVKPTITPTEENMLMDLYNNKMPNNSILVNISNVTPKYNVPMVTKSGLITNHKLPFKNPGLAR -RLGYTCNINSQLANNNIEVQVSNFNMHMREAATCFMPLFNVAQRYILLAILLDILVPYCKIFTIGFVLYH -LRQKWSDCTNSILIRTIYDRYKLHIASSKTIQINYVSEIPARDDYNLIVDCEDSTRFMVETGAELHRRIC -MSRNAYDQRPTIGWKLYIERSVGAIMFTNIERFILNIGVDTDEDVVNTIQRYVSQFIELDIDPKFLIKFK -NLGTYEYRNGVLSVLKPEIPIETQAFLCHRGRELFVEVNGKEMDLERLFENPPEINSIFNLTIEEALAVQ -RLMTSSEFLTNEEVVATLHAVIKKRNESELAMAHIALADYISAFLASPLGRIAKIICSLASIYLVYKLVT -LLLNGIFGDPSKERQAKKTKKRGTKRDEYDTDAEHKLQAKKPAKKGNRKDKYDTDAEHDLQAKKTKTRGS -KKTSYDTDNEKDLQAKKVKKRGQKHTQYDTDCEDYKHQSIVNQPAVNDHNAIEPYYDSAKKAALRNLCVV -YQSTEDKEILDYHPSNILCYGLFVGGKIMVTVAHIATQPGVNIYIGMDEEPGCRRAYKLKTYTRRDISVY -HVPSMSGCKDLEKYFIREKDLDIDDEVSAALVRFKADKTEQSFISTFNFIEGPERVSGIQISKYGQATYG -SLMAQITTGGDCGLPYYGADKSGTYSNKILGIHTMGNTAGYVSAGISATIFRDDIAEWKSQYSRQSKCQF -CKEKMFIAKDDNPKAPGHENIWHADHHSTITALCEELNHYISRNPKFEGVILKHSGSLVGSVEHSHTQFI -PTNEDPLEDVHGYVDDVEEIPDRPGKNRSAVYDMQLVHFGNWILKQKQYGNDFRFKGFLYRNKGQQYLKF -KLTFPRISFGLQSRPLEECQAMPSVYGAPVYATEDVSSVIQSSIRQLHRGKQEDIPFRKIENNQTVAVIG -AFSQNKSHTPTNKYTKSPYSDLVADIIPIEKVPVVLDPSQMDEAAQALHVRDLHGNISPLATQSIKWAKP -YVVPPALLGPITKEYTHKMKRYYADLRIYSDDEVLNGLKGKNSHYFKGMELDSSIGFTMKQLYKVAKKSD -VVGVTGEGQYYYHDNPASQFLQEQYEFYKTCQDQGEKYCVVFNELLKMEKLKIAKQYLGRTFTAQDMVGV -MCERRVLGEMTVRAYRDDPSCGVGTDPMKDFHRIKTRLDRHPNIWAGDYKNFDRSIPPEVMYAVRDSIIA -VNPHMAKQLRATFNVLIERFQVAGYTLMEVHGGLPSGCFTTAVFNSLINEYLIFACFNILATHDNKVADW -YAYVDNVERIFYGDDVVVSVSDEYKDTFTRERVARIMKKYFGMTLDSSAKDGSNATFDTYETLSWISRTW -KQLERKPLFVGALKHISIGGNFHYVTSTSAEHIGTLLARSQQEAACWGREYYDTVQSAIRRILNKNPRLE -RYVQLRLYESVIDEIWNTNNIHAFHLTRQGNPNKVTSKRFIKDLENLKNSSSPEYLNKVIVAYKNKQPID -FKLTYQYAVRNSSNQCSLSGTPTRGDKCDETDITARKGKRGHQSRQPRQVPNSNFIEREIQAYNELQELK -RD - ->YP_009336507.1 hypothetical protein 2 [Hubei orthoptera virus 3] -MDLTAQTVHSTPGAPLTQTDVSVVQDGGLLTNVQAPSLTRILNPSAIALDNPAGTGAPFDKKDSVYHIYQ -RWPEKNTVINGALGTGAEIIRISLNPMTLPQRLLDWVSFHQSCIPAIDVAIVIGGAAGTISWLALGWMSD -DTKEATLDSIQQVSAEHINMNNTAIMRFTLNDNRRAGLFRRLPDDDEPWPCMVVLINHPATNVQRNDDVN -YPIDVYVRFAPNAIFMEPFNAIGGGGELASSIDLTYYLKISSVDLLIGGSNVNNQQTDQISLPDSGWNTG -DFHPGFTFENFLCARNPQTGNHTETFYVFVNENPDVSELNDAWDKLKGEVEGSYGYPLPDRLAFGYGTVP -ASLKGAKWFAGEGDPVGGFNGTVYTIQPFGLYCGAILYVCTTVRIYEFGIVMIFEVQSSVRSNTFIQYNA -FMEGSVSRDFFPFFSEEKVDKPQFVLYKQAMADKVYYAKMEGNLALDIDKTYKSIAFTSIPTPADRFYVF -TFVQTGNNTTSSSLPSGLKTLSIVRPGTTTTVDGNAAFWPVMAPDLRGVILILENMRKKLNSTWLQFVAV -ANGDVLGDVVYSEGIMAVRTPTPRLIATGMSKNLIARDIKATPSPASITAFSTAKFSSWAQNAQSLGLEV -NKDLPKTIPRFRDIPMQREAAGMAIGGLAGMTNGIYDFFQQWQRNKWMEKYQQNELRTRLALADMQGQYQ -KALSKQNYDQRLSLLGYSAPSANNAQVINRGTSTSASPTTQEMGIDTEPSQPEPSPQTMEAGVTTDIRGS -EINSPSANADYDFGKRPAWMRGAVPTPFRQAENLARDLPQNHVSDGEPPATTQETEEPLPGYAAFDPTSI -GLPPEELEEFVRGEPRRTAFRLPNEDKSGGNIPPPPPMPERLLQPPAPSPSNFSRSDPTRQPIREKAAKP -TPTLQPPLERSLDHSAQMPYDFLRFDPLRTAKRDFTGSQYAPQQFNRNDPLRKNFYGYSDSNYGPQNFDR -EAKARQPTRGPSLGSFPPQQFNRNDPIRQPLRDFRGISLGMNAQVETQPVASTSTTERLL - ->YP_009336506.1 hypothetical protein 1 [Hubei orthoptera virus 3] -MAFSGLAHIVEPLRTIFKTINYPILNNIKIVNLIASTIVALLAARKTNLVLGHVFVIVALNIINFIILLF -EILDVGTDEERVELTDTLLEVCKYRSPKDKLFDATSKEEAIKIYKEEGLDSSFLLPNCTYQELDKQLQKR -HFHRWIMDVYPDNFLAMWWSVPLHDVEYMRRLLSMGSFKGAILDSDSEKQTINESYLKLFYDVVGRVLGV -LSMYITAKLNGDRFTATSLSHGVNLGKDFVVLAKEFTDQITHQLTGNDPKQKLLEMIEKHSQKLNKFLET -PAHYYASHLDQLYKIRDFLLEVEQFIRTIPRDFQILAGSLTALHGAACVRKNEIFSSELPNFSRQEPFVV -LFRGPGNIGKTHFARHLARKFVTEEFPDGDMAKHYIEITPQDKYWPPLSGQRVALFDEAGTVQDYHNDLL -MANLKSICSPAYFNCAAADVVHKISPCTFQLVFATSNTSINDIAGRIAAVSSAESVYSYFRRIMVVETEY -DGHFDFNGKNRYENDYTHLKLRMYDWDQSQKKPRCQSMAIRPDQLYEKIKSRFSKMASEFQVQLQLATQV -KQGNAPKAHFSVNLNGPGGVGKTPNANEIIDKLQNSLGYPVDKLKKVIDVENYPVQTQRKIILCDDLVTN -SNDTRLELALMDLYNNKLANNSIIIFCTNREYKFMKLPSFTPFGVEFLKVHTFENIGLTRRLGYTGNYQD -GPLPGYNREFHFRRGAAYSEVNKIVEYKSLRFIFAILFMLLPIFSFKLAFTPLISLIMLWITSYKRSIPY -YQLAETVFEGYQDFLNYRKEINISTADPPNFEPNFQFWAKSAEAVRVTDSVMALEKHLFVDRNSFDNSNV -DWKFLIDRKIAISLQNNFQKFFTNVEGVTRQNVIEVLKKYVRTFIELGIDIKISVDICGMGSFKYYNNVI -YLNYANETQENLIAILTDDKIKIGPKEIPLSMDLFSENLASIYKLNLLEALALRRLLSSDHFLGSSKVRS -MIKSAHMLNLQSQFVTNAKLLKDKIATFYATPLGKLVACISFLAACYPLISFIASKFQEKEGKGRKVGTR -KIKPNPKYVSDEDQKGRVGSKKIRVNPKYRSDDERELRDDVQKEDVLDLVDMNSFKAYQPHLEQANTKAR -HNLCQLYVVNSKEPMIQKEPKGKQICYGLFIGGKTLVTVGHACDDVDGKYNLYAGSDEFEGFHICKLVSR -IKMREISIWKVDGIPKQFPNLTKQFMKKKTLIANDAINVAIERLAPGKVSQWFQGEMIYWDAPYTFFGNE -LLTEFGRADFATMGVKVTSFGDCGLPYYAIDPAIVTNVILGIHFAGNVEGYATSGSCAIIWREEAELWLK -AADAMDKQQQDSIPCVFCDFEQPTVQPASKPTCEGHEIAWSDVHESSPAQFYAEARAYFKFRPNFEGVII -KNSGPIGFGSVEHSHTQFLPFQKLDLHTSNGWQPATAEELGISGIRIPAQTSCVHRIIDVKFQALFTCLN -SSKLTQNFRVYAEVYVDAKNNRRALIQVILISPQATVMELNQESEKQALSPLALPSAVEVYVNEDIKGVF -DNANTLYKRNILPDVPFEKVPDNQTVRIIGTLPQNASRVPNPAYKKTPFSDAVKGIIPVEKAPVEYNPDR -IPEEERKNMPTDRFGNPDARIGQSIQWAHNIVMPDDKFREYCRNQFVSKVYQYYSNLRLMSDREIFQGYE -YGHRYYGGCSPLELDKSIGFTMKQLYHVQHKSDVIGRDASGYTYWHDNEAAIFAKHFFVDSKNIIENGGN -HYSAYLELLKMEKLKLAKIYTGRTFCAQDLNGVLIERWIMGNFSAKAIKDDPTCGVGTNAYNDFHNIYLD -LNKFKNMFTGDYKRFDRTCPWAVFDDIRQMLITVNPHLKNHINSVFNSSFLKRIQVSGTAVVEVTGGMPS -GCTITAPLNCLNNDYMIYSAFVRLANAAGFNTSYLTYDRNVVRKFYGDDVIVSCSDEVAKFFNMTTVSDA -LMALFGMTLDSSAKDGTRKEFETYDEASWISRFFRKLDRYPFYVGALKKISIGAHFHYTTSLDPAHLGAL -FTTAQFEAALWEQDYFNRVQDAIRIAIKKMPSISKHFQFRSRWDIQMELFQSAQLNYVRKQKDERGDASP -NILSVEKRDPKTFFESYRFDRQYRKHIVALCDKVDFNPLSKTVVVENHKFHSSMSFASKLNEAFQAGEIS -KPFVQFQILNFVWTCEISFIKDTEHHRFTHFGKSKAEAREGASLLALQAIGHPIPAARTVTQIQ - ->YP_009268643.1 polyprotein [Nylanderia fulva virus 1] -MTSEKVMRIRGERAGTKPIGDVMELNSFETIFVMVPLVVAHVRSFVTRMFSTQFTVGGVRQKSSRTLAFF -QKFRTGSVRVRKYMRTNVEMVEKVVEPQNSEPVELDGVNQANEPMSVVDTLISAAAVLIGIPLSTACTIS -SMLVNISMLLWEFLTDKTSIKIKIALGSAIISFVSMLFQLFDYEPTENEISDVKTQFSELLTDEKVDELD -GIVAFQEMCLKARYSPDKIQKLYSSFKVRKNVPYCLPSVNEAQLVVDSKFWTKEYIIFYLGLTENLYNIL -KANPQPAPENTFTWEGAEEYSGEDPPIDLQTQMDQFQIVTVPSDGNCMFWSMLASDAKELTMTNLKTLKD -SFNALSLTKEEMQIVNTYGAWGTSDMLFKWCTHYRRSLYLAQMNKEGIGHTVYFDGGMRKTGPPLKIIYY -PIQEHFNAFIEKKGNVPNKGEQWYNGTKIDPTTTDWAEAANKQDEQKPVEGVNQSDSMKDKFTDLAVEIF -DKIFGLLFGIIYIKTTKTNAPLRDLATSFSLGKLLKEGAVDTKAAVEKIFLEKDPEKQFIAQCEAISKKL -HLYMEIPPYKMANSATLYNEWKNYQREVETFRLNAPKEYANTVRSLSSLIDASSNLITQIACMQHKSLPR -QEPVAVLFTGTGGIGKTQALMNLAYKVKEKLYPGMTYHEAIGTYPPSQKYWPTLHGEPIGIYDEVASCTT -FADEPLLRDIKSIVSAVHFNCEGAALSQKQNPMQQHLICMSSNQTLEGLQKMASDQFDKSSVPSFWRRIQ -TYECARPDNMPPFDPNNPQPGDFRKDYSHIYFNKHVFDVKTGTTRFLQRLTMDEVIADTVSRIQTKKNRH -QVEMDKLLSETAISGVNQSDSADHFVVNINGRAAAGKSRLLEAAVSDFVKVLNYQVVRYCDLEKMAGGKR -LKKRVILIVDDDIFLNSPEIEQKYMHCYNNILANGSVIFVATNISPGYSRIPVIGTNGYKIIRTSPFINE -GMVRRMGYIGSFVDTGSSKHGIEFIAHEELYHIPKDQVIQFPDFSPKHEITFGNLMNLNTTNQTFFDMCK -GAAKFATFTAKQAIMGPGTQLAAGYSPALAFNMASYTRADAKDMIYKQYMKWLDSRKDYLVVYEPVPTVD -WNFKIFANRACDVRLSHNYLEMINNIFYDPVKFDKCDAPWKLFITRDVFDACMKDKSKFSINVSNFTEDV -ILNVVRKYVDGLTALGIEPKLLADIGDQGVYAFVNGKLHVQKERVVNRYCTFSIKDDEVWFEMPNRVIMC -KWNSILGYHNGEHSIPIEHNLNLQETEIFVNQFQQLKATQEFVNRLTQNVSGNLFEKGVQRAVAVKEKVS -LFLETPQGKIVRFLLYIFLVSGIIAVVYKLVKSFGNDKMEEQVAKGARKVRASKKANTDEDSDDHFDPRA -WNARLAQLQARYPNHSNEELQAAMAQADEKGMRVETCLLWQKKLNPELQDQSVNNQEPMSRFDNTRLSNN -VIYPVIHRNLCHVYMSMSDLGDTTQEDLKAVNYGIFVKSRTLITVAHSFKDHKDWYWYVGCDELGEKKYK -VKLTNKFVGTNRDLAMCEIISSKAPQFSDITKHFPSRAEMGRWTTRSFHVQFFRYFGVPEALNKVTVQVQ -AHVGKMTAHFNTTSVKTSDKVKADYAMAVMEGNHAYTSFGDCGLPYFAISSQFQNKCVGIHCMGSVQGTP -SKGMASMVFLEDFEGLKNQSWGDIGVTEDSDTCNICDTNTSVTQDCGNIIIWDSLHTFPKMSWQNHVRSY -LRMFNSTHAVVFTYNWGTLWGSVKHQHTKFYADHTRWMDDTKEGVYPAHEVGLDHRTKDEITVVKFENVS -MATLQEFLRNDTIIGFRFDGFVRLRNDNILITTDIYVHYETNFTNQSCDIFSKVNLPSGDSGYILTELMP -IYRGAQINFLNGKLNDTPFDQIKDNETVKVFGTFNRTFSHVPKSPYFQTPWFDLLKDSDGKPLLPTKLPM -ENDITLLTDEQIASLSTDRDGNPSARVTQALQWAHLLHEPDLNFFDEVSQEFMKYVNLIYGKMSLLTDEQ -VLQGFPKKHPYVDCLGSLQLNASIGWSMKALFNVNKKNDIFTKDEDGLITLNNNDAAKAFWEMFQKAKEL -VNNGEPVLVTVEECGKMEKLKVSKYHIGRTFCSMDFLNILLERYVMGYFSAKAMRDDDYCAVGIDPYANF -HDMFVELRKFDHVFAIDYKRFDKTIPQFLIDLVFDCLIGVNKKMEKPLKSMKKSFRHRIQISGNSLFETI -GGMPSGSFITAPLNSVFNLLITFAAFVYILKLHGIDATWEDFQRLVVCRFYGDDGVISVHESIAKFFNRV -TLAKAVAHLFGMNMSSANKDDELKPFDTWEEVNFISRYFRFLNGRSVVLGALKKETILSYFHFTKSLEPQ -HLSSLLEKAAEEASIWGEEFYNYVEDLIRTCIDCCPPLRKHLALRTFNLTILDLEQNVKSLENQEHLELP -GGQLYFDLLREYYLPSKQFKALRLALNDQSFFDSTMEFSSVSLLNELFQKGTVSRPKYTVRLAPSGDISW -ETTLHLTFHEDNSTRSITTVGVGRTKSESKEGASFNALKIIGKVPAVFLNGRKKDSAEITGVNQSDLNVC -RFCGNYYDESRYPQGCPCNKENRPNNADYWEDLTIPIRDGERPMTFREIKDFPRLAMEIDYVPTKLTREE -MEYIEDLLDSVAGENQNDIPLSTEGNVPTEARQTEPTVTSGNMLWTNVGGKFGYMMTNSESSALTNPRGT -GAPFNYLRSLFEIYRPEIRTTINGSIARGSLLAVLSLNPANYEPYQALWAKLHRYFSPQRCFLVNLAGAA -GSIGWVTFSWHPDEEDLRFEDIDEVTSWTTNLNNHQCMEFILDDARIIQQMRPTANPTMNGTEKFPCIAM -WVQEPATNVQRNNDVSYPIQIRTKLGPNAVFVTPSRAGIEGGSGGGGVFNSISLSNYIPTNFDTVVGDAG -VPQAYSVVSLPDRGYLTGNFDFNSDEGNVVGYRRFNAEGAYRFVKAVHYYGESPDTFWTKPVVDVKIVPE -TARFDREEHGLSVSQSPISHIYIAYGEVPTYDYGGVEDPFSLMPGTIYTIPPTDVTFSKGGRSIFGRIMR -VMTFEKGLIAETKIGNLAGINDITWVPVASQKTGSVIYYLWDGVVNGANPVSDLFGELSGGPSSLAGNGN -VVPTGWPTGGVTGFQVGDFARWSVTRQSRILNLTFLQTGNNAATEVLPEGLRAFYFMRPGTSTNLTSGSG -FFGPLVPNAWGLLDRLQDIADQLQTNILHFSWVGADGVEIGKLGYSSGFIVCRCSTSRLIRAGWVPNSKF -VDIEAATSFSALPSLPIASYVSWKTNTQLGVTRNFFVRPQKPISGINQSAALAIGGGLLSGLGSGLGAVY -ASKRQSKLNAENWHHLSDLQHSLLGIKNSQELAKMDKQFQQQLELIGKSSYSAMSGNTYGPRGELESGRN -FSSSGRLLSGTASSSRGGPLVDLTNNHTTQRVTNDLNDFVGTTNNNNGMVPGEIIANKHFGNLGSVHSRH -GSISGFSDTINDDPRFVKALEQQNISKIANPWNYDTRGPFSDFEREIATGTREVKASHLDWAREANRQGR -QTLDPKLQAHHNQIKNNAGLLKATTPPTTVEETAHPDLVPESEA - ->YP_002790880.2 putative structural polyprotein [Solenopsis invicta virus 3] -MSEKTQTFVQNETHVLDMTSDFKSDLSLEKVTSSVEQTDDLVSKIINNNDLDIKDLSFLRNLLLSTLQYL -GIAKFVAINITLSILSILMLLINSCAKFTRIVNLSSHILNIITTLGLYFQVSSMEIEEITQTFENEFGTY -DDDKILSHYIKICNLPNRKDVYEYISLNDLKYKIKLPDISFYELKNDILSKNKNLHLWIFQKFTDEFLAM -WFGVQPYRISNLREMLVISRQGFIPKDLFNEIRKLCNMGVSVIISFIQSKLFDEPFKKRDCTQALKDASV -ISSPFDTLWNLISKQVCDNSAEERFTQTILDFTSEFDNFLGIPNYKFAKNQKLVNTISKSLDACAKFIRD -CPKDKQTEIFPLQGLHTATVKRRNEILTNVMPKFARQEPFVVLFQGPGGIGKTHLVQQLATKCVNSFYQD -HEDDYIEISPDDKYWPPLSGQRVAFFDEAGNLNDLTEDLLFRNIKSICSPAYFNCAAADIEHKISPCPFE -LVFATVNTDLDTLQSKISSTFGQASVFPIWRRCIVVECSWNEKELGPFNYKNPSGHRSDYSHITMNYMSY -DDKTQKLALEKEINFDTLFDMIRLRFRKKQQEHDTKISILNNEIQRQSNSKQHFSVCLYGEPGQGKTYNL -NKLITTFANATNLKIGSEEKPSIHIFDDYIKDENDENCSKFMDIYNNKLPNNSVIFSATNVYPKTHFFPT -FFLTNLIYAFIQPFKQVGLYRRLGFDGYTDIPNSSVNAPIFVQNFKFYERKQHICYFLSLEFLKNIICYI -FFFLYFPLKFIKKIDLIEIKDVNKYVYDRYINFLSLSKQIEIVEYPPNLENVEFDFRFNMNKFHRVSFNN -PFELDKYIHFNKNSYENLLHFDWKMYLSPRVKHRLALSYEKFFITISEVNKEIIIEELKRYVLLFKQFNI -DPNMEINLGEYGSFYYINGKIHLMTINIESNVSEIPVFTDGDYVYISEHKIPVIDLFDNININSKYNLSF -DQSIALNSFKTGDSFYSNAKVRKSLSKFVLLNYQTKFKLYLKEAKDKVKNFIETPIGHLLSILLTIFVIC -YASFKIYSKFSNFFSKDQAIEDQRKGEKKIKKITNYDSDGVQPQRKGEKKIKKVTNYDSDGVQPQSNVKV -EEEIKLVFDPTGQKLLFGNDFTSELETLVELEKDDEEFTKSKIDNKSMAGLRREVRRRRYARSKKAQIEK -QEVLTLPDVNGFEGGKPYFQIAEEKARKNLCQIYMIANNENCIASKFSDHIVCYGLFVFKKRLASVGHIV -EALKCAPGYNLYAGCDQFNGKLYKMNLVRNYRKRELSVWDVDCPNDFVDLTSFFIPKEELYDAENCNTVL -GRFGMNKREVYLYGNCEFIQEFFKVDNKGAQEFGYIDWATVDITLTTGGDCGLPYYICERKKFHNKIMGL -HFAGNNVNHKTIGMSALIYKEDLVVWKGAERQSKCKFCDVKDIIIAQPDIPKEKYKGYNHEIVWNSLHES -SPTTLNEELEHYLNIFPKFTGTIIKHSGDKFYGSVKHSHTQFISKFKTELTVTNGWKLSTAGDCQFESNH -ISPNTEVMYRVVDVQFNSIFKAFKSQPYIKNFRLIANVYEKDGKQRVTILTIIPVSDFNVKQQTVRQALV -PLHLNEDEEVYVTEDVSDIFKTAIKRKQRGILPDVPYETVENETVEILGITHRNMTPEPAQMYKPTPFYK -LALKFNLDHKLPVNFNMKDCPQEQKDMMVLDRLGQPNPRITQSLKWAHKDYSPDYELRKYVKEQYMCNIM -EYYAGCNLLTEEQILKGYGPNHRLYGALGGMEIDSSIGWTMKELYRVTKKSDVINLDSNGNYSFLNNEAA -QYTQELLKISMEQAHNGQRYYTAFNELMKMEKLKPSKNFIPRTFTAQDLNGVLMERWILGEFTARALAWD -ENCAVGCNPYATFHKFATKFFKFKNFFSCDYKNFDRTIPKCVFEDFRDMLIQANPHMKNEIYACFQTIID -RIQVSGNSILLVHGGMPSGCVPTAPLNSKVNDIMIYTAYVNILRRADRGDITSYRYYRDLVCRLFYGDDV -IIAVDDSIADIFNCQTLSEEMKILFGMNMTDGSKSDIIPKFETIETLSFISRFFRPLKHQENFIVGALKK -ISIQTHFYYATDDTPEHFGQVFKTIQEEAALWEEEYFNKIQSYIQEIIRKFPEISKFFNFESYKSIQKRY -IMNGWNEFVKLEKLDLNLNKKKSSKVTGIHSKQYSKFLKFLSRIENEKAALEGNFNKESVNTWYFKMSKA -MHLNEIFQKGLISKPLAEFYFNEGQKMWDCNITFRRSKDDLPFTFSGSGTTKACAREQAAEEALVLFSQE -DEIVRQINDIQSDCKFCKKMIRYKKLLSGVSIQRQMNVSKITENHVPSAGMMATDPSVAPDSGIATNTQT -PSISRVLNPIARALDNPAGTGAPFDKHTYVYNVFTRWPEMSTVVNKSLAAGAEVFKISLDPNKLPKRILQ -YIQFHKTIIPQIEVQILIGGAAGTVGWLKVGWVPDASTAKKYSLDDLQLVASETINLNSTITMSMIINDS -RRNGMFRLTKSDPEPWPGIVCLVEHPITNVQRNDDVNYPVIVSVRLGPDCQLMQPYNDLNLSGGTDPDPD -PEPDPDPEPGPDPEPGVDELDLSKYIPNQLIDLLICNSYVPNNVSVDFLSTYPNLNFSIHNITDVVVSSK -PYTLALFETESQINSASVWRGDLTQLSVFIQYKFYTRVEAYNKVTTVHTDKWTPNFDGTVYKPVDVKIEH -AYGTYELTTMWLTSYGLVMEWSLDESRVFYGTYKTDSNGRRWLIDGNTPIARSDHCFIVSSPDLLSDDKA -YYNNPIGAKQGGKLVDGAQIYRIFKTESGGYRSDPFVPETYWPSETPYNADWSGVKMPYQIRKVIQTGNN -LAGKHLDGDLKMCAMIRQGSSSTQSTDNYFYPIYVHNFSALLKQMNLILKERKTKYIKFDLQVGGKPFAQ -MGFGDGAFIGRTTMFRQIRAAITNVILLKNIVGVDDLSGLQALPTSGFADWVVKAQSTNSKFLNDFYNDK -ISIERQASLGIAAAIGAGQGLFGGLSAQWQWQQQADWSRQMQRERLDMMEKLANINNQARLNQLTQSGAQ -QRITQQAAYQQQMNALGAGSVSAQNGMYTPSNYTPLPSYKSNTTNYYNNSVYHTDNNITNNPSNTSLTNN -INNFNPELFQQQRERMPTPSEAYDNSKGFVPQPGTSKSIATENINPNYKDEEHIYEPIEQQNHEYADIDY -NAMNISRENKNSSNFGNVGILDHQYADIDYDAMKIARDQQNSSKFGNVGVLNHQYAELDFSKNNTRKNSQ -ILDNSLYSKTQPSSKMIDNSLYGINPNKMVENQNYEPASMERKNSIYSSNLNSSNNLKFNNIPNFKGPTN -LNISGAKPAGFGSGIIQPAINKYTDFSKPN - ->YP_415507.1 polyprotein [Kelp fly virus] -MDMGFIRFLCQLGLSGLIEINTTHRMLRYCHKQTKLIWKNNHITGKFMKMSANVKSYENDLVWLNEWAQK -RRMLLKWSHCRLSNETVVDLAICEGAWEKDFGEVLYTFQSRGQNLNIAKYNCAAVARKILEPKLEEAKMQ -QQTKIVTPSWCTPLHPHFSSYEARLASFKNWPLEYCQDPAALAEAGFYYTGLLDRVLCFHCDGGLSDWKR -TDDPRIEHAKHFDRCYFVKINNFRSLYEKELKDKNWDEEDSVEEPMDMDPDEVPMDIDSEETMLETEVDE -VDSSIEVSIKVKDFMSQIFMSTKHKLAKQSDVPAINVTSGNSVPVAQVTSLEPSMAAGNTFYSNTRIGTA -VENLNPTALALNNPAGTGSSFDIKESVYSLYKPWISTSVNGSQAVGTEVFRLSLDPQKLPTSILNYVNMH -ENIIPALDIGIFVNGTAGGLGSLVLGWVPDAADGETYALEDLWRVSCKAISLASTTATTEVLSDIRRMGL -YRKVTGDSEPFPGIIGMIDMPATNVNRNDLVNFYIRIMVKLNPQCLLMSPIVTRPSSSLSTQLYSDIPLK -AFIKDPIIDLTVTGPARLEQALETTTYADNGWNSGTFAPLPISDDGRKNLIWGVGSTQDANPEAYPVFVD -PTLTDSEIKQQLQTSVSYPGGSNTAPITKGMPIYDKIYIAYGTVPTPSGSISREVPGTKTKIDTVYGYTL -FRDWLSFTCNYIYVQEGGVGVIAEFVLEGRFDSMTDGSGNKWTVFRTSKRFAQASGSRRDLVFYGNFKNV -KSFPTYGCIAPGTQNFAIMQSSLPVGSSVSQDSNAKGALSAWPCDPLMINDNYGFVFYKGGQLALSNGDS -NDGTATPQTSILQLSKPVKLNYFVAETSTGKQVALPGAMNALTLSKQGFTMLPTNRSAGVQPPSAAGYGS -LLQFLESMLVHPGKPAISFGLKYGKTQVELQACYANGMIMTRGISNVQRVALPVSDCYVSYIMPIADPID -IIPLATNVSSWRPIALQVSQQMWGTAALGIGQGLSSIGSAMYANSARDFNAQMLEKLQELKTKGLLDLEK -LKHRVRIYRRFVIAFFAVVCPLGLLPLLFSQSLYCRDREENCFVVYNASAYKPEIVVKKGKYRVRIYRRF -STLVKLVTLTTYLVDKFCFTLNCLFYKIYYKLYYKFIFRNPSLVQQSEVSGLQQMVNNIFSEVGLDSTKL -ILFSNTFVTIISLSIELFKKGYANTSKYILIPLATSVISLASIVISSENIQVSEVSISAIINFITGKSET -TIPTNDIDAANKAIAKDNMCKQIKKKTFAVFKTGPEDWPVEYIKQLAFDHTWELVAKVYKLNDEQVKMLQ -ERVAESVPPAEPQPSTSGTSPTFGPVFSTPDEMAQHYRKQLKTLDLATPKKIQPENRLLKWRISSLKHLK -ENFTPEQMQLRFNLSATEIDFVKEYDVSQIQLNDKFFAEDVKSLDGNVKLEKQALYGNYIKIIIGVIGFA -LSPIIAFIMEKYSKLSGVTEIAKVVQSTKYLNEQVQSSADFILNLFNISPETFSIEYHIAEKCKELNLFI -QTPIHNLLEEPILLADFQSKIYETEAFLRKIPYDNTSMGLRGLHTAASSHLAAIKRTGQLTGFRQEPRLI -LFMGSAGTGKTRLAKKLAQTLGEKLYPNIDPLRRVLEITASNNYWPGISGQPIGLLDECDAKKCEDSLLF -KNLKGLCSTAVFNCEGAAIEHKHQPAPFKILIGTTNMGIADLRSMLHEHYGDHSMNALWSRMLIYQVERN -VQEFGELNILNREASRFDTTGKFRHSIIKECSWSDQYQCPTPSREILLEELVEIVKNRIQFLEEQYKKTQ -LTAQSNGSKMSICVTGPSGVGKTEYFVKSHFMDYFSARFNLGDKKQPKIIVHDDSHQRGKFNESEYLETY -ENAPPGSVFLNIENFGSLPLTYSTVLSYLSFKIYPLYKTSMEAIPRRYGVYPAKFSGLLSYFVWFGRTTS -WINPHLEISYIFVCSLIIQFICLFASLNLQLVILCINILANIVTYFSLKFYPISICADVIDTDNLTSYFS -DIYISNVVSSNKLNILNSIPPQITPNVEVILDCVSNISDFAPSNVMNFLYQNRTNFLVASGNRIYIEPSI -ALKMVKYIGELKFMKLAESQASHFKILNQFYRICQASGICVNLVVTLGNKKYSIFGNDLYVADDVVDNSC -ITTEGLIFDGVPLTWKDIASVQVGSTTLQEVTNGSDLDYVKLKRLVLSISNEQVNEIKTAYYEAQVTHMK -QVSTAMWNEFMDFFKNTITGKIVAGLIVVLAAYGIGKCLFGESKQSVNKQGKRKIGSRKKKTNYETDQER -QNRELSATSSETDSDEEDAPRQQGNKRGAKKKAKPRGFESDDYTRRSPQSLSKNENLSSQVKVSRQGVEN -IPDLNGMTGIGPAQQVAEKCMKNLVQIYAIPTHMSDQIVQSLTPDEINTFTRNYAMVIKDDILATVGHMG -EIAKHGFNHYIMCDELTGDVKYGKCEILKLYKNRDLALMQCPAIKGKFKSIYSKLSRTFYTAPSDGNVLF -ARYGPMNQKGTKNKEFHSAYMTLFTDETKIEDATGETLIISKFIYNYFGLTDTVFTFSGDCGLPYIYKHG -SEYKMIGIHAMATTSPGSYVSVASCIFQEDIDEVSPSPQSTPKECPGCLSDKMRWVEPTKKKDTAEHDLL -WNTSCGSSKNNFISFLQDIEKYCTENKYEYNTYIGKSCKGTVPDHLHVHRYTLDKMKERRTRALEDDWFS -LSFDNYFHLVHYITHQMTWAEEFRIVFSFLPNGKIYTEFYLYEYSVDMAISPKKNLQQSKFGWQSFEINI -PKVSKGIWNFTEGGTFMSNLWNVESPRVTPLCKVPSQSYKSFKTKYVKTPYSRFVSLENKKKPFNPNCQE -PHPLKLVSRQGKFNPFLIHLKDITPSPNMAEIDLKVVAYKNLFREKFQMYRNLRKVSDEEVLYGIDKQSD -LTNVMSRLEVNTSAGYSLQRNYKVIQKKDILSVDENGIYKWEDNEASRDLQESYEEAKLLIESGTPVFTI -FMDTLKDEKLKIQKTYKGRQFSAADFLLILLERKYLGQFLAKAVKYDKEVAVGMDPILDFHELFCRMAKF -PNHFTVDFVSWDKKIPAAAYRIFFDLLCDINPQYKKVLSAFSQMYQHTFHVMDDILYAVNGTMASGCVAT -APLNSVLNNFLMMIAYIYLCEENSVEPSVKHFNDHIEQISYGDDKWISTDLDWFNMVTVSRIFKEKFGME -MGSSEKGEELTPFVPLDQISLISRYPRKLPSGVYSGALKKITIETFFHWTTSTTKEHLGLLLSLASFESC -LWESEYHSAITKEIENLLSKFKYLRSFVYIYDREVVADSVMDMGFIRFLCQLGLSGLIEINTTHRMLRYC -HKQTKLIWKNNHITGKFMKMSANVKSYENDLVWLNEWAQKRRMLLKWSHCRLSNETVVDLAICEGAWEKD -FGEVEV - ->AOC55061.1 polyprotein, partial [Moyer virus] -INEIGSRVASKYDAASWDAYCRRMILVDCKWNHVLKPNADDPGMFDNNKFSHLKFSLLHYIDGKVTVDRV -VDYDGLFAALRARYTRLEREFEVLQLRNQGLEKQSISSHFSIAMHGRPGQGKTYRTELLMKEVSTILRMP -YFYINNWLDLSKLQTNKRSIVVLDDLITESTTCEQEIEFMTLYNSKLPKNSIIINVTNKSPIPCLLPTFS -LGRMLWERSLAFVNEGVIRRIGYIGWFNKSELPNIGMEIYTENMRFYNSICNGNIIFSASMYTKMVVYGI -IEIVINYCLFSIPLPIFQLCYLLMPRNIVTEEIPGNKLTEKLVTNYRNYINSLEDINIEYVQDLPVINDP -TLEIYCKNMEEFLNIENQIEMNNHVFTAKERYVASDLPWKIYIHPNAFRIMLTNIDKFLIPNNAFNEEVI -IDTIKRYIGAFSQTQITPKFICHFENHGTYFYEDGTLLIKRIDEFEYVAEPFLYTLNDELFVNMNEKHYP -VTQLLNDYMVITKTMPYNIMLQISKYLSSDTFNLDQRIIKLRRTMAKDYVKNMSCLGLAMIKERYEIFKT -SPFYIPVLICMGIAASITAITYIKGLFSSKEKDEGWLDYAELDDYLCRVLDQKTRKINKGNPKIRRYDSD -DDKRQRITKRRGTPKIKKYDSDDDYLVNEMEQQDVLTLRDMNEHRSGSTYFKNAYEAARKNMCMMYITNT -PKFLSSDVLKTEPNAQVCYGLFIKENMLVTVGHILDEPGTVYVGKDGLDGFHKCKLIFKYAQRDLSVFRV -TTIKQSYPDISRYFLSSKTLTSDRLLNAAFQRFAPQKIEEFFFSDFSTNSGPSYMVDSTIRHWGQAMYGT -VNLKFSAGGDCGLPYYLADPDPTFQNKIVGIHIMGNVGASSTCGITSLIYSEDIQDWSKIKEIATNCCEL -SNDTMSIQPSITAKCKNHKIVWHKVHDSTLTTFREEYNYYMKYNPNFTGGIIKNSGTLFGSAKHSHTQFL -PLIDVISDDINFHPKVLSANTIRNDLEGNIVKITFELPETSLKTKLATIMSVNPNFRIWGYRYSHNNVYM -LRLTLTAMEEEINITEYTKEINNQSGTSIPFMSKFGNPIHVSPELQLLLQGAVNNKERGKLEDVPFKNVK -DNQTVVVRGAFSKSKSHKPINHYKLSPFSMHLKDIIPVEKHPCVYEIDQIPPEHLENIIVNNYGEKCQLA -TLSIKWAHKNYNDRTLLNKISEMFYTKIATYYSGLRILEDYEVLEGLSDIKWKDYFGSMELNSSIGFSLR -ELYYVSKKSDVITKDDFGKYRWINNPASVYLKEQYEIAKQLSSEGKKYGCVFTELLKMEKLKISKVYVGR -SFNAQDILGTLMERRNLGMFNAHAYKCDKECGVGTDPNQDFNSIFTHLTQHPNIWAGDYTNYDRNTPGAI -MEQIRDLLCKVNPHMSKEIFSTMTTNIERIQIVGTTMAEVYGGLPSGCVSTAALNSLNNEYLMFSAFYLL -CDERNLPCSWILYNNNIARKFYGDDVIVSVSNEFKELFTRKNVSKILLEYFGMELGSSAKDGSDAVFDNF -ETASWISRYFRKLGKFPFMVGSLKKISIMANFHYVSSTTLEHLGNLMERAQYEAATWDEEFFLKIQRAIS -TCLLLNPKLNKYVSLCQQSTIQNDIYESILPSRCTREHGFCWENEVFPEIVQTEQLSEIKLNTKVTELPN -ENYYSKYRFESKYFKYIIKNEEYNNKMSYCMQVNELFQQGHVTRPQYLYKEYKEYTNAWKVTANFTILDP -YDKVTILGEGKTKQEAREEVCYEFLLKIGQTPRLTPKHPSQQLNQFALERQSLVPTKQVASSPMTATDVS -VVQDAGLFTNVGAPTPNRIFNNRAFALDNPSGSGAPWSKHDAMYQIYSPWDEKDTTISPALAKGTEILRI -SLDPKDLPKKMLDYILFHDAIIPAIDIVISIAGAAGTIGWIKMGYVIDASPNKKYTLGDLNDITSETSNM -NGTVILNVTLTDIRRSGLFRKVIDDPEPYPGIV ->APF29089.1 polyprotein [Diabrotica virgifera virgifera virus 2] -MFNPKCLTPKVNITSVMQTPKVATPKVATPKVTPKVNEIDPTINKITAILMIMQIGTILLFKTTRNSIFS -CLQRTYSKFSLYSELAIFGTFITLCVLPSVGFLSLIITAPIIVKKIKKTKIQKHPLHNFTCEGDNLITDL -KNFLVPMLETYALPVAYIATLASSLVTVFALTKHYISTPKKKRTTTLVVAMVSAFVNLVSTAILGIAGTV -TAEFPWQQTLDTLQDEIVEEIGKVDEKKFVYINEKHKQYVLMCKEYYKSDAFKLPQAKKIFQSIYADFFS -RMDMFILPRVDPPFLIVGGKFRAPVSHNSCLVFKAMLKEWPIWFIAAYYGVEEHDEIELWNGAKPTTFMD -YPEESEQSDGNMGQEKESIERINQLADGGDSTGHDTVDEGEKTPAEQKPLDNFTKELEITEEDGKTTAYD -EPTRISKIIDIMFSKVIALFASITSCLLEKKKITPRTIITALAEAEKVEGVVGDFKEILKVVKGDPTTDE -NLRKTVTDISTELTKFNEMPTHQFALHPRNTQRFREVIDKAQIVLNSMEPKIRAKFPLLQTAITTSTVRK -NELLTTELPSLQRQEPFLVLLRGEPFVGKTELSLQLSRWFAKEILQKDYHGSFVQITPSDKYWPPLGGQE -IALFDDAATNKDLRTDLLFSNLKGICSPAYFNASAADIAHKINPIHFQVIFATINSTFDNLAARVTEFSD -NVTWAAMCRRAIVIDMTWKDQKMVGNLSKDSTLGKDKPHKKNFSHANLSLQRMDGGKLQHYKHISVSQLK -TLIQDAHRHECGEFERRIRLDQCIQESEPASRTHFSVVIHGRTGQGKTYTLEQQLANLTTAFAYPLIRIN -NVYDIKNLPVQRSRAIVVMDDVVKENPSSELQEAIMEMFNTKLVHASIIVTVTNVSPKFQSFTLTGRDFT -ISRKLPFQNEGVARRLGYKGVINGIVMPTANIELHVENFRFHVVQGSLGFRIRYLITLIPILIAVLMANF -YSLLFAAIYTVGLFIVPVCFPEKYEQELTPDQLPNYVYTKYGAFIEEIKTVSVQHGLVTKPDDCNFEIYA -KSSDVVEFKADPYEMEKHVLYDRARYEACDLDWKLYLDKAVFEALMDNYKKFMIEMKGLDYDKILAIVQR -YVMGFRQIGIAPRFYVQIDGTGKFSFLGNCVMAEVFNPAKNECLVHDTPEGTRVAIMEEMQWKFVDPRDV -IYNPQHAFDNSKMQLTSVIAIREFVKTPRFLNHPSIKILLKNWQQEEIQKDLAMVGKSFAERYRSFKEHP -LGRVVMILFTIWVGLKIIRKLTSGLGILFGNKSKRRRQARKQVVESETSSESETESEVENQKSLKGSKKP -SKKVGQASDLDKKVPQKKKLTGAKKPAKKTGDISDLDKKQKQTVNKMTDMNSYDAFKAHIDACRKQALKA -MVQIYVLPDDGEILHYEPRGNQSCYGLIVGDDMLVTVGHVVDSVEERPGSSVYACCDEFEEEAGSFKSVR -LSLIHRYPSRDISVWYLNPKLANYKPQSLRKRFVYRKKIYDETVISAVLERFGTNKQREYHCGDLNFFTG -PDACGKGTLSDYGQIEYGTFGIKLTTYGDCGLPYYACEPQACEQKILGIHCMGNNEGYTSCGVVATIFEE -DYAVWLKQKETFLEKAQQSQCCKMATKKWQLLPDTTPKGDGHVTLWNPTHESTRGSFANEFAYLITTLQN -KQGRYIKNSGKLHGSIEHSHLQFIPNTYHDLEVPHDWLLTTAGELEIANGMESSQKLSMKTAITGLENFA -DHILRNKYWGDDFRCNFNVYTDIKSGLPMVKYCIITTHINKFDKSPQEQIYMSALPELPFGNQVYASEEV -KDIITTSLMEKQRGVSEDMPYKLVSSNETVRVIGVFRGDKSHKPTNTFKMTPFAKHIDKAFKLEHKKPFS -TDMKNIPEADLEKIVMDLNGEKSQLATQSIQWAHRNHNPGNLFLKEITNEFQSKVLQYYSNMDEMTDQEV -LEGCSLTARPKDAPYFCGMALDKSVGFTMKELFYVQKKSDILTVDELGHYKWLENEAAHWLREQFQLAKS -VYARGEQYFIAFLELLKMEKLKESKRFVGRTFLAQDILGVLLERKYMGEFAIRAMRDDPNCGVGVDAHKD -FNRVYNYLNHFQFTWAADYKRFDRTIPGCVFQQVRDMLVKHNPKIGKQIYSVFNSLIYRFQITGRTLSQV -YGGMPSGCTLTAPLNSLVNDYIIFSCFASICSDNGMEWNWQLYDKNVRRIFYGDDVYLSVSAKYSSVFTR -TFVASKLLELYGMVIDSSVKDGGVSDFDTWETGTWISRYFRKLDRYPHFVVGALKKISINSHFYYVTSLD -ATHIASLLDTAMIEAALWDEEYYNTILECVRLALKHMPSLRAHLTLRDRLVVQHSIMKAALPKLCDRQET -TENLNNEDKVRRVPSVPRTQDEKPYFQRYHQKEYYEQVIKPFKSKQSAMGSNPKSYISQLNEKFQSGIIT -ILTWVMQENASASAKWKAKLSFKYTNDERAPFEFYGYGNSKTEAKEGAAFEAYCALFVKNPQRRADLRAD -KLQAFRRETYENTLDKHGDKKVAMLDKCVATSPIAPTTCSCKCNRIDERTPTRNSKFRVKSKMALNNFRQ -EMNVTPQSVGAIPQAPMTQTDVSVVTDSGLFTNTNAPSPAKIINSVGIALDNPAGSGAPFNKHVSVYNIY -QRWEAKNTVLSPALPSGTEIVRISLDPKELPQMIRSYIDFHESFIPALDIVIAIAGAAGTIGWIATGWVK -DASSTKKYTLQDLQQVAMEESNMNGTQIIKIKLTDVRRMGLYRRVTDDPEPFPGIIMMVDHAVTNVQRND -AVNYPVRVQVRLDQTCILMEPFNLPSSSPATTSFDLGSYFYDTHVDALIGSSAVADDPESVVVHPDSGFN -VKDFSPVFGHNCVAAIYKQLDGATKAVPTISNDTTPSADYLKEIEDMDKFEGGPNAQLMPNKLIFSFGET -APLEDFHYVNAEPTLLGSTYALSGAVRVGIFKYNITSLVAQANGCYIVMEIDSSVVDSTKHGKLVVYNSC -NNTTNFDQTDIDHAIVYDTRKEVEVNWIFQEFSHNKIASGNPFRMPVRIDQTSEIYVTDTQSDKKPTVYV -QTGNSMPSTTLPTGLKQLSFVRSGTTTQVTEDLPFVPLYKPIIKNAFRAFDEYLVNNGFSNLVRGDLYIA -GENKGQIGYQNSTFFVRTDDFKKIFANIGQDIKIKNIADLPQANAINAFNTTGMSTWISSGKRTRRRLDL -NNFHQQSATVGGAVMTGLGNGFSAWGDQLFQERMQNAVLANNRAIAGANNAALAERQKAAFERNLELKGY -NSSSSQYGLFGTPKPRGGTAVAPPPRLITSSGVQTESQQTPRSNLEPLQENPGTETSEASLTPASEYTTS -PETKAELTQRMKSGPITKLSQSTPNWTPRALELGEASVNNAFNTQPSQDNAMQRTFGPAVAQLAGAFKNI -NDTKEGV diff --git a/seq/clusters_seq/cluster_392 b/seq/clusters_seq/cluster_392 deleted file mode 100644 index 58dbb7f..0000000 --- a/seq/clusters_seq/cluster_392 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009055045.1 3C [megrivirus C2] -APYRMPQIYPKVEKNCTSITFHQDSHSFDLTALFICGRTFVCNNHAFSHSHTIEIGGHKYKPEELSPELL -VRPSGATDVVICTLPRGDERKNLVPYLLSQKDRPTNDDVLMVSRSKTIAANFECTNLRGRKSVCVKEFDN -ADEQNFHRCYTYDLKSTPGMCGAALISRNPARETLLGIHFAGGPGVGIGVPLYKEDFAHLFQ - ->YP_009055056.1 3C [gallivirus A1] -GIVGYNPTIVNNVVGGCSSDGDKLSTFSAIGVGQRFFVTADHVVLKDKAQLTIGTKTFPARKIFTFKELC -MLEAPDAPQMKCLDRFIKDCNAKAGYLVASFPRGPGFIQVSEASYVVSDCPEITAAECYHYKCVSFPGLC -GAPLVLSTPAGPRLVGVHVAGVAGVTGYSDPLVDFMDAFRQANPQ - ->YP_009179376.1 3C [sicinivirus A1] -CLSGGAMTVAKNVVDITGLDVESGAPCKVNGTGIFDRWVLTVSHVVSKVEDVVVTLEGKDYRPSKVIYDG -EICALYVPGIPQFKDLRRFTRNIRQHTTGVLPSHTPSGPAFILVSNIRLRNSPWPSLTGKRDVYYYTGAT -FPGLCGAPLILQNPGGPSLVALHQSGVAGTSGYAIPIADLLAQLTVPETQ - ->YP_009167367.1 3C [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -GGLTPCMPNLHKNVVSLIGHAPTERRYASGLFLFDRFCVVPTHIVTNCHHIQLDDDVYDVKELPEPLILG -PELLLYHVPKARQYKDIRRYIGIYPYSTGFLLSKHRGNATYVRFSKNHTAPLWISGVQIEERVYGYDCCT -FAGLCGAPMVTDDPSGSKILGVHVAGIPGSTGFSIPLHPFTEQIAAYATKSQ - ->YP_009167356.1 3C [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -GGVTPAMPNIHRNVLPIAARLPGAVNHSSAFVLFSRFVIIPTHIVADADQIQLGNDVFDVKTLPDPLDLG -PELQLWYFPTLRQYKDMRRFIGSHPHKTGCLLSAHGNNYTYVRFSNCRRGPLVIGGEHVQDGAYVYNAAT -FGGLCGAPLVTDDPAGTSILGIHVAGIPGCTGFSVPLHLYTEQIADYATQHQ - ->YP_009055002.1 3C [Chicken picornavirus 1] -CLSGGAMTVAKNVVDITGLDVESGAPCKVNGTGIFDRWVLTVSHVVSRVEDVVITLEGRDYQPSKVIYDG -EICALYVPGIPQFKDLRRFTRNIRQHTTGVLPSHTPSGPAFILVSNIRLRNSPWPSLTGKRDVYYYTGAT -FPGLCGAPLILQNPGGPSLVALHQSGVAGTSGYAIPVADLLAQLTVPETQ - ->YP_009021776.1 3C [Sicinivirus A] -ITGLDVESGAPCKVNGTGIYDRWILTVSHVVPNVSGVVITHEGKDYTPSKVIYDGEICALYVPGVPQFKD -LRRFTRNIRQHTTGVLPSHTPSGPAFILTANVRLRNSPWPSLTGKREVYYYTGATFPGLCGAPLILQNPG -GPSLVALHQSGVAGTSGYAIPIADLLAMLDVPQSQ - ->YP_009001379.1 3C [Caprine kobuvirus] -SRSIVRQSLSPALPKIADNVYPITTHSPSIGKSSSCGFFLFSRFFLAPTHIVPDDTTRIMLGPDGYDWNT -LPHLRLGNEMTLIYFPTARQHRDLRRFIGSFPYPTGHLISTLSGPPVYLRFSKNRLVHLDIPDVVSEPEA -YGYKAPTFRGLCGAPLITDDPAGVKLLGLHVAGVVGSSGFSVPIHKYLPQIEEFALGYQS - ->YP_008802671.1 3C [Feline sakobuvirus A] -GVSPAVVKAMRNCAVIATEGVNGSDPHVVGGFFFFSRYFVTVSHILPASTVSIGGIEHRLEDLEPFVWNE -LLVLKVPGREHPDLRRYVDRPTSATTGHLIGCLAGGPVLMRVDRLRPTPFKSPGFEARDLVYMYGGPTFP -GLCGAPLFTDDSSGPALLSVHFAGVTGYSGFGFPLAGLAEAILGHYATSQ - ->YP_003853297.1 3C [Passerivirus A1] -GLQECDIALSRNVVTVTSYDETSGKMLQLNGLALFDRWVATVTHIKLDRPMTVDINGCSYPVTRHVVHGE -IAAVYAPKMPQVRDIRRFIRAPRMHHVGTVIANSTSGPVFMLANNVKHGDTPYPDLTGLTQVYTYDTPSY -DGLCGAPLILHHPASPTVVGIHEAGVAGVSGVAIPLLPLLEKIPLPTQQ - ->NP_859027.1 3C [Aichivirus B] -AGPSPALPKIADNVLPIQARTPAGVNKCSALYLYSRICIVPTHIVPDNTIQIQLGNDVYDWATLKHVRLG -KEMTVIWTPTSRQYRDLRRFLGSHPYPTGHLISAFQGVPMFIRFSKNHMVTLDVESVVHEPVAYGYKTPS -FEGMCGAPLITDDPAGIKLLGFHVAGIVGSTGFSVPFYPYLPEIEQFATECQ - ->NP_740443.1 3C [Aichi virus 1] -GISPAVPGISNNVVHVESGNGLNKNVMSGFYIFSRFLLVPTHLREPHHTTLTVGADTYDWATLQTQEFGE -ITIVHTPTSRQYKDMRRFIGAHPHPTGLLVSQFKAAPLYVRISDNRILDLDFPGVVVCKQAYGYRAATFE -GLCGSPLVTDDPSGVKILGLHVAGVAGTSGFSAPIHPILGQITQFATTQQ - diff --git a/seq/clusters_seq/cluster_393 b/seq/clusters_seq/cluster_393 deleted file mode 100644 index ebf48bb..0000000 --- a/seq/clusters_seq/cluster_393 +++ /dev/null @@ -1,96 +0,0 @@ ->YP_009513196.1 matrix protein [Avian orthoavulavirus 1] -MDSSRTIGLYFDFALPSSSLLAFPIVLQDTGDGKKQITPQYRIQRLDSWTDSKEDSVFIITYGFIFQIGN -EEATVGVINDNPRHELLSSAMLCLGSVPNDGDLVELARACLTMVVTCKKSATNTERIVFSVVQAPRVLQS -CMVVANRYSSVNAVKHVKAPEKIPGSGTLEYKVNFVSLTVVPRRDVYRIPTAVLKVSGSSLYNLALNVTI -DVDVDPKSPLVKSLSKSDSGYYANLFLHIGLMSTVDKKGKKVTFDKIEEKIRRLNLSVGLSDVLGPSVLV -KARGARTKLLAPFFSSSGTACYPIANASPQVAKILWSQTAHLRSVKVIIQAGTQRAVAVTADHEVTSTKI -ERRHAIAKYNPFRK - ->YP_009508519.1 M [Antarctic penguin virus C] -MLRAEACTSTQPTEPLPHPIMESHTINLYVDADSPEASLLTFPLVFTSDDKGKKTLTPQFRIQFVDSDRE -SERDTVFITTYGFIQGLELKADESQAVEINHDRTVLTSCMLPIGSVAKQHNLQQIAQACLDLKITCKKTA -NNQERIVFTVVHAPQLLSSCSVVKNGVTSCAAAINVKSPEKISSNQDLDYKIVFVSLTIIPKNTVYKVPS -LALKATSNHAYSVNLSAMIKIDIPETHPLAKTLTRKADGFYANVWIHFGLISAVDKSGKRMPIEKVAEKV -RRLEIRLSLVDLFGPTIIFACKGIMTKTFKTFFSRKGTAAYPLGRAAPGLGKLLWSQSASIQSASIVLQG -GTLEQISGFSDYAVDNTKITKEAKNSKYNPFKK - ->YP_009508513.1 M [Antarctic penguin virus B] -MSLESRTVRLYVDPDQAASSLLAFPLIFTSNESGKKTLSPQYRIQIIEDDRESDNDLVFISTYGFITGLE -TAVDRSISVDMSQERVVLTSCMLPLGSVPKTSDLHELARACLELKVACKKAATNSERIIFNILDAPPVLA -PCATIKQAVTSCAAAVNLKAPEKIMGNYDLLYKVTFVSLTIIPAGSVYKVSSPVLKAGSSLTYGLNLSIT -LKIDIGDQHPSAKMLMKRDDGFYANLWIHCGLLSAVKKGGKKHSIEEIANKVRRLEMKLMLVDMFGPSIV -IKCTGVKTKLLAGFFSKKGTAVYPISRAAPGIGKLLWSQSGTITEAAIIIQGGTPHQLVSTSDYAVTSTK -VTVGGGNSKYNPFKK - ->YP_009508507.1 M [Antarctic penguin virus A] -MLRAEDLASRPIIGQQPAISMESRTVKLYVDPDEPASSLLAFPLIFTSTSDGKKTLSPQYRIQIIDGERE -SDSDLIFISTYGFISGMETAVDKSLAVDMGQERTILTSCMLPLGSIPKVPDFHGLAKSCLELKVSCKKAA -TNSERIIFNVTDFPPILAQCAAIKKGVTSCNASVNLKAPEKIMGNYDLVYKVTFVSLTVIPASLVYKVSS -PVLKAGSSLTYSLNMSVIIKVDITDKHPSAKLLLKKDDQYLANLWVHWGLISAVKKGGKRHTIEEVAEKI -RRLDIKIELVDLFGPSLIIQCKGVKTKLLAGFFSKQGTAVYPISRAAPQIGKLLWSQTGTIMEASVVIQG -GNQTQLASTSDYVIESTKVTLGKGNSKYNPFRK - ->YP_009508501.1 matrix protein [Avian paramyxovirus UPO216] -MDSTRTIGIHFDPTLPASSLLAFPIVLQETGDGKKQITPQYRIQKLDSWTESKDDSLFITTYGFIFRVGG -GGSNMGTIEGTPTRELLSAAMLCIGSVSNTTDPVEIARACLSLMITCKKSATNTERMIFSIVQAPQILQS -CKVVANKYASVSAVKHVKAPEKVPGDGTLEYKVNFVSLTVVPKRDVYRIPSTALRVSGPSLYNLALNVII -AVEVDDKSPLTRSLTRTEEGFCANLFLHIGLMSTVDKKGKKISFDKLERKIRRLDLSVGLSDVLGPSILI -KARGARTRLMSPFFSSSGTACYPIANASPQVAKILWSQSASLRSVKIVIQAGTQKAIAVTADHEVMSTKL -EKNHTISKFNPFKK - ->YP_009255223.1 matrix protein [Avian paramyxovirus goose/Shimane/67/2000] -MDSSKIIGLEVDPSSPSNTLLAFPVVLQEIDGGRKEITPQFRTQKIDMWSESKSDSVSITTYGFIYGVKG -GNDNSGPIMAEQQKEPLSAAMLCFGSVGYNSGLPEIARAALNTIITCKKSATDSERILFTVHQAPQMIQE -AKVISTRYSSVAANKCVRAPERVPSGLSLEYKVTFVSLTVVPKSDVYKVPRPVLRLHSKHVLNIAINAVI -QIDIQATHPLAKTLIKRNDQFFADLFIHIGMISCLDSKGNKISVDKLELKIRRMSISVGLLDIFGPSIAL -KARGKRTKVMSPFFSPRGTACYPISQTAPGIAKILWSQTGSLHECKIIIQGGTNRAIATTDDFVVGSTKI -EKSGRNGKFNPFKKTG - ->YP_009094361.1 matrix protein [Avian orthoavulavirus 9] -MDASRMISLYVDPTSSSSSILAFPIVMEATGDGRKQISPQYRIQRLDHWSDSSRDAVFITTYGFIFGYPK -SRADRGQLNEEIRPVLLSAATLCLGSVANTGDQVAIARACLSLQISCKKSATSEEKMIFAITQAPQILQS -CRAVSQKFVSVGSNKCVKAPERIEGGQQYDYKVNFVSLTIVPKDDVYRVPKPVLSVSSPTLFRLALSVNI -AIDINADNPLSKTLIKTESGFEANLFLHVGILSNIDKRGKKVTFEKLEKKIRRMELTAGLSDMFGPSIIL -KAKGPRTKLMSAFFSNTGTACYPIAQASPPVSKILWSQSGHLQEVKILVQSGTSKMIALTADQEITTTKL -DQHAKIQSFNPFKK - ->YP_009094170.1 matrix protein [Avian orthoavulavirus 12] -MESSKAIGLDVDPSLPSSALLAFPVVLQDIGDGKKEITPQFRTQKIDIWSESKGDSVTITTYGFIYGPKG -GERISGPISAGGNTEVLSAAMLCLGSVSYSHGLPEIARAALGVVVSCKKSATDTERIIFTVHQASQLLQE -AKVISNRYSSVAAIKCLKAPERVTSGISLEYRVTFVSLTVVPRGDVYKVPRPVLRLHSKHVFNISLSVKI -CIEVQPGHPIAKTLIKQSDEYFADLFVHVGMISAIDKHGNKLAMERLENKIRRMDMSIGLLDIFGPSIAL -KVRGKRTKVMTPFFSPRGTACYPISQTAPSIAKILWSNTGTLREARIIIQGGTNKAIATTDDHFVTTTKL -AHASKNGKFNPFKK - ->YP_007008149.1 matrix protein [Avian paramyxovirus 4] -MADMDTIYINLMADDPTHQKELLSFPLIPVTGPDGKKVLQHQIRTQSLLTSDKQTERFIFLNTYGFIYDT -TPDKTTFSTPEHINQPKRTMVSAAMMTIGLVPATIPLNELTATVFNLKVRVRKSARYREVVWYQCNPVPA -LLAATRFGRQGGLESSTGVSVKAPEKIDCEKDYTYYPYFLSVCYIATSNLFKVPKMVANATNSQLYHLTM -QVTFAFPKNIPPANQKLLTQVDEGFEGTVDCHFGNMLKKDRKGNMRTLSQAADKVRRMNILVGIFDLHGP -TLFLEYTGKLTKALLGFMSTSRTAIIPISQLNPMLSQLMWSSDAQIVKLRVVITTSKRGPCGGEQEYVLD -PKFTVKKEKARLNPFKKAA - ->sp|Q9DLD5.1|MATRX_NDVB1 RecName: Full=Matrix protein -MDSSRTIGLYFDSAHSSSNLLAFPIVLQDTGDGKKQIAPQYRIQRLDLWTDSKEDSVFITTYGFIFQVGN -EEATVGIIDDKPKRELLSAAMLCLGSVPNTGDLIELARACLTMMVTCKKSATNTERMVFSVVQAPQVLQS -CRVVANKYSSVNAVKHVKAPEKIPGSGTLEYKVNFVSLTVVPKKDVYKIPAAVLKISGSSLYNLALNVTI -NVEVDPRSPLVKSLSKSDSGYYANLFLHIGLMTTVDRKGKKVTFDKLEKKIRSLDLSVGLSDVLGPSVLV -KARGARTKLLAPFFSSSGTACYPIANASPQVAKILWSQTACLRSVKIIIQAGTQRAVAVTADHEVTSTKL -EKGHTLAKYNPFKK - ->sp|P06157.1|MATRX_NDVB RecName: Full=Matrix protein -MDSSRTIGLYFDSAHSSSNLLAFPIVLQDTGDGKKQIAPQYRIQRLDSWTDSKEDSVFITTYGFIFQVGN -EEATVGMINDNPKRELLSAAMLCLGSVPNTGDLVELARACLTMVVTCKKSATNTERMVFSVVQAPQVLQS -CRVVANKYSSVNAVKHVKAPEKIPGSGTLEYKVNFVSLTVVPKKDVYKIPTAVLKVSGSSLYNLALNVTI -NVEVDSRSPLVKSLSKSDSGYYANLFLHIGLMTTVDRRGKKVTFDKLEKKIRSLDLSVGLSDVLGPSVLV -KARGARTKLLAPFFSSSGTACYPIANASPQVAKILWSQTACLRSVKIIIQAGTQRTVAVTADHEVTSTKL -EKGHTLAKYNPFKK - ->sp|P11206.1|MATRX_NDVA RecName: Full=Matrix protein -MDSSRTIGLYFDSALPSSNLLAFPIVLQDIGDGKKQIAPQYRIQRLDSWTDSKEDSVFITTYGFIFQVGN -EEVTVGMISDNPKHELLSAAMLCLGSVPNVGDLVELARACLTMVVTCKKSATDTERMVFSVVQAPQVLQS -CRVVANKYSSVNAVKHVKAPEKIPGSGTLEYKVNFVSLTVVPRKDVYKIPTAALKVSGSSLYNLALNVTI -DVEVDPKSPLVKSLSKSDSGYYANLFLHIGLMSTVDKKGKKVTFDKLERKIRRLDLSVGLSDVLGPSVLV -KARGARTRLLAPFFSSSGTACYPISNASPQVAKILWSQTARLRSVKVIIQAGTQRAVAVTADHEVTSTKI -EKRHTIAKYNPFKK - diff --git a/seq/clusters_seq/cluster_394 b/seq/clusters_seq/cluster_394 deleted file mode 100644 index 224d950..0000000 --- a/seq/clusters_seq/cluster_394 +++ /dev/null @@ -1,84 +0,0 @@ ->YP_009924388.1 nsp6 [Rousettus bat coronavirus HKU9] -GKFQSMFRWTLQWFAIIFVLTILILLQLAQWTFVGALPFTLLLPLIGFVAVCVGFVSLLIKHKHTYLTVY -LLPVAMVTAYYNFQYTPEGVQGYLLSLYNYVNPGRIDVIGTDLLTMLIISVACTLLSVRMVRTDAYSRIW -YVCTAVGWLYNCWTGSADTVAISYLTFMVSVFTNYTGVACASLYAAQFMVWVLKFLDPTILLLYGRFRCV -LVCYLLVGYLCTCYFGVFNLINRLFRCTLGNYEYVVSSQELRYMNSHGLLPPTNSWQALMLNIKLAGIGG -IPIYRVSTIQ - ->YP_009944297.1 nsp6 [Betacoronavirus England 1] -SGVRKVTYGTAHWLFATLVSTYVIILQATKFTLWNYLFETIPTQLFPLLFVTMAFVMLLVKHKHTFLTLF -LLPVAICLTYANIVYEPTTPISSALIAVANWLAPTNAYMRTTHTDIGVYISMSLVLVIVVKRLYNPSLSN -FALALCSGVMWLYTYSIGEASSPIAYLVFVTTLTSDYTITVFVTVNLAKVCTYAIFAYSPQLTLVFPEVK -MILLLYTCLGFMCTCYFGVFSLLNLKLRAPMGVYDFKVSTQEFRFMTANNLTAPRNSWEAMALNFKLIGI -GGTPCIKVAAMQ - ->YP_009944371.1 nsp6 [SARS coronavirus Tor2] -GKFKKIVKGTHHWMLLTFLTSLLILVQSTQWSLFFFVYENAFLPFTLGIMAIAACAMLLVKHKHAFLCLF -LLPSLATVAYFNMVYMPASWVMRIMTWLELADTSLSGYRLKDCVMYASALVLLILMTARTVYDDAARRVW -TLMNVITLVYKVYYGNALDQAISMWALVISVTSNYSGVVTTIMFLARAIVFVCVEYYPLLFITGNTLQCI -MLVYCFLGYCCCCYFGLFCLLNRYFRLTLGVYDYLVSTQEFRYMNSQGLLPPKSSIDAFKLNIKLLGIGG -KPCIKVATVQ - ->YP_009944359.1 nsp6 [Pipistrellus bat coronavirus HKU5] -SGVKRISYGLVHWLFTTLLLAYVATLQLTKFTIWNYLFEVIPLQLTPLVLCVMACVMLTVKHKHTFLTLF -LLPTAICLTYANIVYEPQTPVSSALIAVANWLNPASVYMRTTHTDLGVYLSLCFALAVVVRRLYRPNASN -LALALGSAMVWFYTYTTGDCSSPLTYLMFLTTLTSDYTVTVFLAVNVAKFFARVVFLYAPHAGFIFPEVK -LVLLMYLAVGYFCTVYFGVFSLLNLKLRVPLGVYDYTVSTQEFRYLTGNGLHAPRNSWEALRLNMKLIGI -GGTPCIKIASVQ - ->YP_009944330.1 nsp6 [Tylonycteris bat coronavirus HKU4] -SGVKRISYGFIHWLISTFVLAYVSVMQLTKFTMWTYLFETIPTQMTPLLLGFMACVMFTVKHKHTFMSLF -LLPVALCLTYANIVYEPQTLISSTLIAVANWLTPTSVYMRTTHFDFGLYISLSFVLAIIVRRLYRPSMSN -LALALCSGVMWFYTYVIGDHSSPITYLMFITTLTSDYTITVFATVNLAKFISGLVFFYAPHLGFILPEVK -LVLLIYLGLGYMCTMYFGVFSLLNLKLRVPLGVYDYSVSTQEFRFLTGNGLHAPRNSWEALILNFKLLGI -GGTPCIKVATVQ - ->YP_009944274.1 nsp6 (hydrophobic domain) [Human coronavirus HKU1] -SKTKRFIKETIYWILISTFLFSCIISAFVKWTIFMYINTHMIGVTLCVLCFVSFMMLLVKHKHFYLTMYI -IPVLCTLFYVNYLVVYKEGFRGFTYVWLSYFVPAVNFTYVYEVFYGCILCVFAIFITMHSINHDIFSLMF -LVGRIVTLISMWYFGSNLEEDVLLFITAFLGTYTWTTILSLAIAKIVANWLSVNIFYFTDVPYIKLILLS -YLFIGYILSCYWGFFSLLNSVFRMPMGVYNYKISVQELRYMNANGLRPPRNSFEAILLNLKLLGIGGVPV -IEVSQIQ - ->YP_009944259.1 nsp6 [Rabbit coronavirus HKU14] -SKRSRVIKGTICWVIASTFLFSCIITAFVKWTMFMYVTTHMLSVTVLALCCVSFTMLLVKHKHLYLTMYI -IPVLLTLLYNNYLVVYKHSFRGYVYAWLSHFMPSVDYTYTDEVIYSIVLLFGMIFITMRSINHDVFSVIM -FAGRVISTVSMWYIGSNLEEEVLLLLVSAFGTYTWTTVLSLAVSKIIAKWISVNLLYFTDIPLIKLVLLS -YLFVGYVVSCYWGLFSLMNKLFRMPLGVYNYKISVQELRYMNANGLRPPRNSFEALMLNFKLLGIGGVPI -IEVSQIQ - ->YP_009915693.1 nsp6 [Murine hepatitis virus] -SKRTRVIKGTCCWILASTFLFCSIISAFVKWTMFMYVTTHMLGVTLCALCFVSFAMLLIKHKHLYLTMYI -MPVLCTLFYTNYLVVYKQSFRGLAYAWLSHFVPAVDYTYMDEVLYGVVLLVAMVFVTMRSINHDVFSIMF -LVGRLVSLVSMWYFGANLEEEVLLFLTSLFGTYTWTTMLSLATAKVIAKWLAVNVLYFTDVPQIKLVLLS -YLCIGYVCCCYWGILSLLNSIFRMPLGVYNYKISVQELRYMNANGLRPPRNSFEALMLNFKLLGIGGVPV -IEVSQIQ - ->YP_009924373.1 nsp6 [Rat coronavirus Parker] -SKRTRVIKGTCCWILASTFLFCSIIAAFVKWTMFMYVTTHMLGVTLCALCFVSFAMLLIKHKHLYLTMYI -MPVLCTLFYTNYLVVYKQSFRGLAYAWLSHFVPAVDYTYMDEVLYGVVLLIAMVFVTMRSINHDVFSIMF -LVGRLVSLVSMWYFGANLEEEVLLFLTSLFGTYTWTTMLSLATAKVIAKWLAVNVLYFTDVPQIKLVLLS -YLCIGYVCCCYWGVLSLLNSIFRMPLGVYNYKISVQELRYMNANGLRPPKNSFEALVLNFKLLGIGGVPV -IEVSQIQ - ->YP_209249.1 nsp6 [Murine hepatitis virus strain JHM] -SKRTRVIKGTCCWILASTFLFCSIISAFVKWTMFMYVTTHMLGVTLCALCFVIFAMLLIKHKHLYLTMYI -MPVLCTLFYTNYLVVGYKQSFRGLAYAWLSYFVPAVDYTYMDEVLYGVVLLVAMVFVTMRSINHDVFSTM -FLVGRLVSLVSMWYFGANLEEEVLLFLTSLFGTYTWTTMLSLATAKVIAKWLAVNVLYFTDIPQIKLVLL -SYLCIGYVCCCYWGVLSLLNSIFRMPLGVYNYKISVQELRYMNANGLRPPRNSFEALMLNFKLLGIGGVP -VIEVSQIQ - ->YP_009924324.1 nsp6 [Human coronavirus OC43] -SKRTRLFKGTVCWIMASTFLFSCIITAFVKWTMFMYVTTNMFSITFCALCVISLAMLLVKHKHLYLTMYI -TPVLFTLLYNNYLVVYKHTFRGYVYAWLSYYVPSVEYTYTDEVIYGMLLLVGMVFVTLRSINHDLFSFIM -FVGRLISVFSLWYKGSNLEEEILLMLASLFGTYTWTTVLSMAVAKVIAKWVAVNVLYFTDIPQIKIVLLC -YLFIGYIISCYWGLFSLMNSLFRMPLGVYNYKISVQELRYMNANGLRPPKNSFEALMLNFKLLGIGGVPI -IEVSQFQ - ->YP_009742613.1 nsp6 [Severe acute respiratory syndrome coronavirus 2] -SAVKRTIKGTHHWLLLTILTSLLVLVQSTQWSLFFFLYENAFLPFAMGIIAMSAFAMMFVKHKHAFLCLF -LLPSLATVAYFNMVYMPASWVMRIMTWLDMVDTSLSGFKLKDCVMYASAVVLLILMTARTVYDDGARRVW -TLMNVLTLVYKVYYGNALDQAISMWALIISVTSNYSGVVTTVMFLARGIVFMCVEYCPIFFITGNTLQCI -MLVYCFLGYFCTCYFGLFCLLNRYFRLTLGVYDYLVSTQEFRYMNSQGLLPPKNSIDAFKLNIKLLGVGG -KPCIKVATVQ - diff --git a/seq/clusters_seq/cluster_395 b/seq/clusters_seq/cluster_395 deleted file mode 100644 index b7ffc32..0000000 --- a/seq/clusters_seq/cluster_395 +++ /dev/null @@ -1,150 +0,0 @@ ->YP_010085106.1 glycoprotein [Culex phasma-like virus] -MNLDTGYIVANAGNIIYGGYKSCVIWHDGGLWNGSDNGFEGKHYGLIDYKCDNVAGSLFSKLSCEPCGLY -CARNELVDGCQAFGVPMMAGMIIGFLLSVLIMMAWSKWARDPIFDSISNKFDGIREGKREAKVAKMRKIR -TRLNELEAIDRLDSQMMVIAILFMLFSLANACDNTLYMTSTGSICDNYGCKRSNMYDLSLMSGSTLCFRD -KVGETMSIRMSRSSYIYRSEKVYYTSSYEMKIDQHWECKGAGICWSGGCHKLSKHKALDRPTKPNSIVGY -GCSTGTLGCDTMCWHQTSCTYYRWEILPKGQMASVYKIMSRIWEVEVMITYQNKTKRNVMNVNNPRVNLD -GIGNGQVPLLLTGFSSQHDMIEAYYIHVNGNNYNIDASPINMPSRDNVGDIQFDIYNGSVSFNSDDVQCD -AESCRAMCKVHEPRFDRVRNNLDRYVKHDGFFINNGNTLRTSVEVEGMSRIMIGDVDLESLKVSKPKCLL -NVIGSLACVGCTMKSYIVLQASEIKEPGLLKFTSNCTFSTDSVSCNQEPYRLEIISQDERCRLYFPSLNQ -TLDVSLDFRFLGKLDPSSPLYSMATSVDDYISLAQNPNMWTTAAYTWLTFSLVGLIVSIISRNMQSIITF -WGAKKVIDKAESV - ->YP_010086190.1 glycoprotein precursor [Anopheles triannulatus orthophasmavirus] -MGILSLMLIIPLLTTVVYSNNGYVSVHDGEVRLSYKGDCEIMNNGHNMTFSGPSKLPGLYYGLINYRCGD -NFGAIVSKIECKSCGLFCKHNDMITGCEQNVGKLVAGVITGLIVCLLVFMALRKPFRKFIEWVLSYIMFK -YVQRQDKIEMERVDRLKVRTDFVVSPAFMTLPHMMPEHERRTIESRANMHRENVNARPKLYTMAVAMMAL -LVVCDACDNTLFVQSNGKICDSNGCIDSSMYDIPLLTGSVICFKDYKSDIMKIKIEDAKIRTRYNLVYHT -SEYIISADSKSNCKGSGDCWNKGCDRNSVNPELVKLRNGTIVGYGCESNSLGCDDWCFHKTSCTWYKWSI -LTIGDLANVYEKSAELWEVTIAIHYEGKMIKHKVNINNPRVNLNGLMDGVPLYITSFDAETVQIPNGLLL -YKNEGYQLKTSTINMPETDIIGDYQISLDKKTYAINEHNIDCKVESCRVLCRAPESKMTRFIRSREKALS -TKVRSIGDMYKVETQRKVSAVVRVLIGNVNLNNLQVERAQCKIEMIGTYSCIGCSQDSYAIFQAHNIKSE -GILPFNSNCSFSKDYLSCSESPYELTLTTMKKSCYIYIPSTNDTLYINFDFSFKGALDPSKPIYNVESEV -EIMKSVMTSQSFITGLLSTFTMFGMASIIMSVILRVLQVVEFKKLNKEVNDLS - ->YP_009666982.1 glycoprotein precursor [Ganda bee virus] -MEEKMKIGIIIPIFISLSYCYDINANYGKITIPDNDNCTLLLNDTKIEAVNGKVPGLHYGLIQYECGHHK -GFILSKPECYECGIYCPQNDRLLNCRQFGLPYLLGFITSLLLSVILVIFMHKWGFNWISILISSLKDHRR -VKRENRYVKYISKFNARNMNQQIYNPPPQTTSAPKMKKYPSLTEIAVGTLMLTADRSYACDRTLFMHSNG -QICDKVKCQDINSYTFNIGIGKTICFNTPTRDMMKFQITNTKEVSTYQELYETSDYEIELESTSSCKQVG -LCYKEYCHKNAKHPDFKNGTGVHGYDCESEGLGCDFMCWHKYACTWYYWWFRNVGNRAAIFKRTTSIWSF -DLLVTYKELVERYTFNSDLTQNDLDIGKLFSINNMPINVNNVIKANFYISDYIVRDGFSFYQATANDINN -LTPGRLGDYQISLDNRQSIYKNNEIQCRTTGCKVICSGPEPSLRVFRSLKHKNIVEEYNILDDYSIRVLK -SVPMSVNLNIGNVEFKNLFVEQANCDLNVIQTFGCIGCDKMPYAIIQSSKIVNHGVLPFISNCTFNRKTL -SCSEDLTKLVPISKYNSCHIYIPVSNKTLNIEFKYEFFGELSVPEDIYSHDNGFKGILKSISGNPNFLDT -LTYGAFAFTGIGIAASMITKIVLRSMAIKKSKETEKEIEMQDC - ->YP_009666958.1 glycoprotein precursor, partial [Seattle Prectang virus] -MGYTIHAFVLIAISSWAFGGSITVDYGRMVIKGYKNCNVSINNNERMEIYPGENGYAHNGLVFGLVSWWC -DNEYGSLIKNIECKDCGLYCTYNQQFEMCRTNAPIVIGVTVGIIIFFIVTSLTLTCFKQRLIKLTNSVIV -HMITSDDRGRAKKVSTLNKITGMMNPIIFRTLETKSKNINDMIMARRLKLRKQLTGDEPLYGKICVENES -DDVEYLQILEEKVTKSPHNILGRPKMPIPTENDLRTVKYGLKKPGHSIYTTTVMVLCMVSLASCCDKTLY -LTTKGKICNEASCVEISTYQLSIKNGQVVCFNTPEGKTLKIHLRNTNVIVRYQASYYTCDYMLKTTSTYS -CKAAWGDCYYGGTCYQGYVHSSLKKHTDNPHGYGCTDGVIGCDAHCTAQVSCTWYRWEVIPDHNKCYKIY -NKVSEIWETDVVIDYDGMRKVSTLNTNNPTCNMKHMNLTGLLDLPIAIMSLLHETVHVKDSILLNGNIGY -DVDAAQINMPVKNMIGEQQLSLNKKTTTFYTSRPEIVSLTCEAKAMYDEPSIRRLLRTKQPSISELNLIK -NGDYVIKRKIPTNGFANIMISNIKFDHLFMSPSYCRIKVEQSYGCIGCDTKPYVILRPYDIKNEGILEYE -TNCTWAQSVLSCNPEPYIMTLDDNNDICLIYVPSTNQTMIINFNFVFVGEVTMLKTYYSETSTEAL - ->YP_009664562.1 glycoprotein precursor [Shuangao Insect Virus 2] -MAVLPNMMIVMISLIIIDYASSCKSSNNDKNMFIGHIVRTSNAKTCPHITYAHGLLMNSKGIECKYDING -RTFITNSMATKIPLHGLLRFKCDGCSGHMIVKGPCSECDECVNIANEHLCLNPSPAFYWGLGTGLIVTIV -IGLGLYIILRFVENKTSHAGTFRNMFRKKDKESDTETESDNDQVNMNACPPSFMYALCLFCVISPANAIY -TTSINKLCSDRGCIDFTSLSVEIGIGSPVYVTLDDGRLLELGISKLEYNDYYREMYRTSDYKILVQNEYN -CKGAGYCWHNEDCRPYSRPGRFNWNNTLISDGGCYEVANSCETFCFYNRACVYYKWVLEQFGDLHQVFSH -DFRSWKADLYMKRGNVTTIIRGGSIQDSFDWNEVDYDYPIEMSLKKRVEDNPNKSVINFKGKYADVVASS -LGKPMTGLIGDYQINNGHSFNAHSVTCSTTSCETSCRSPTPAIRRLNIIKELDCDMNDGRLICKSEAKGK -IQLTLGVDGVHNEVKQQSECQIQFETTWSCNDCPYPSNVVVRPYNVIQKGHVKIETNCTLDPPYLICSDH -PYTIDIIGESKYCYISSSRLNISKVIHIRTIDNQEISPDYGITEYGKQSWNNWSFLTESPRFISGFQNMF -NIGLVTILISITIRYALAFYMISKTSKVPQM - ->YP_009507891.1 glycoprotein precursor [Nome phantom orthophasmavirus] -MSQKLKLLFMVIWLTVANGHIIAENGMLRNVGNEYCLITTTNGFYNITKGYAEGLHFGLVNYECGETIGV -LFSKFECKSCGFYCEYNDLISGCNYYWLPFIVXXXXIFNLISKFYELLVYKVQRVSDKKKVSFANKVSKV -SGKDCKPNFKPSMNVDPILRTKIENKRSRLLTRSILDCEEGLEETSYIDVVDQKTNEPCPIDEQRTDDKQ -HIVTDRAYNRFNDAINSHKIETKNYFGKFIDLDYTYNKSMIMIIMKWIWDNIEIVKSDSELLINSTKSRN -VGVFLLLLLSILGKADSCSNTFVLNSQNQICEIDKCYYYTGVLDGSMTQFGKCENTLNGYCNKKVCESKV -EIKNLFDELSDYMIWDDRIDEDNIIGHDHGCETESLTCNDFCLTGTRCVFYKSTYFYDSSNELHMTPVYT -HSYKYWETKIIVSHNGMDRTFNMNTFKNTINYKDQTIKNMPLSIVSIDSEFYNKPSGLVKVKDNYHETHI -SELNMPVIGTIGEIQTDLMKKKTIYPTSMTSCSVTACNVTCKITDQSLTRLNDKVTSIAKLINENYSYSK -MRSQKVSSDMNTVEIRTLTKSSVILMLRDVTINSIYINDPKCSFRLLGTYGCVGCDTDNYAVIESYDVIS -HGTLDVISNCTMLSSKISCNGYESLIKFAEKNSYCTIIINKLNITIDLSFNQTFLGDITSYANKYADNTL -TDTLTSFVKNEGFIGCLRLICL - ->YP_009362036.1 glycoprotein precursor [Kigluaik phantom orthophasmavirus] -MKQKIGTNMLMFLFFYNIIIAEGSHGPNIYSKNGEVEFIGYDECSISVNKMVINSTNGIFPGIHFGLIEY -TCDKITGKIISRMSCMECRFYCTHNQNIVECSNYYIPLISGFSVGAIFMIILIYVLSKNDVLKRISNSLE -NNVISKLSSRKSKNARRLLKKIRDAEAINNSNIKMIGSTGQEDIDLHNEDDNKGIMKRGLNVEASNDIDI -GSNSNGHLFYYEHEPEAIEYKRRGSVPRNVTILSMIIMLSIPPLILGCDNTFFLNSEGKVCDYKGCQNKN -FVSMPLMKGNRICVRDSDQNILAISITESNIIYRHHLMYYTTDYEIDVKHHTNCLGAGKCDEEKCNLISD -EYKGMIPNNSVSGNGCSEGFDRCSNMCFYSVSCTFYSWYLKEGKIKYPVYTMSSQNWEVSIAFEYLGARY -IKILDVNNPDGSIMINGKEVKIGISSFTSSSIRLERDSIIINNTLYLVDSSFANMPETDKIGDYQLAIDG -KSRVYNTHNIRCQTDFCKTTCASPEPKINRFISSIERYRSLKFSKIGNSNNINVHEPVNGLITMNIGDIR -IESLQVIDASCDIEVMMTYSCVGCDVDSYAVVQAYNIKQSGIIPITSTCNLVKDYISCEDTINKIEFVDN -SKVCYISMKGRNDTIKIMFNTTYLGSMDPSKSIYATSSRMDDITGLVTSSGFINGIISTIGSISLFTIIG -TFATRLIGVILTMRSVDKESYRSNAII - ->YP_009329872.1 putative glycoprotein [Hubei odonate virus 9] -MRSLMLLFILLLAQDVDAASSISVANGIIHKAGFEACLVEANGISANFTGDVSPGLWFGLVKYTCDDQIG -YLISKLECVKCGLYCIQNEMIDGCSNRAFNIVAGVIIAMIVCLVLAVVCRRKVHWLTGYMYSYCVLCKQR -RDDKSIELAFSSMQSNNRRLRMIEYPVPRKMKRTHLDILNRKRGQFKSREEPYLELNEVYPTVEEVNNRI -ILTPRRPAPAPPAASERPPSRSPSIPRGMVAAMVNKHSTLVVVFLFVLLPMVLACDSTLYIGHDGKVCDL -TKCKDMSMYVFPMKLGQSVCFKDANNMELSVTLRMTSIIRRYELMYYTSDFNISTEQYYRCRHAGECVAG -KCGRYEKHPIFNSRDDSGGIAGYGCQSDTVGCVDTWCSYGSSCTWYRWYVNPVGPRYPVYKLSTEGWAVK -IEFRYKGMQQILDFNVNNPSRLLDGMNLVNLDAIPFFVTNFDSVKVHFENYAIVVHETSTITKAAELNFP -QHEIVGDFQINGQDMTMNLNTITCLSHGCRVTCSYPRPKIRTLIRDLKLYKKFYSYDYKYLNSKYTLQHD -LPITGVINLMIGNVKFRELHIEPASCEFQVLGTFACLSCTQRPYAIVQASKIKTRGIMSIKSNCSFEHQY -LTCSEDPSAILLMDKNKICSIYVESTNQTLIIDFDYVFLGKLDLDRPIYVTGTFLEAITAMASSPDFISG -VSWAFGGFAIFSVVMALAVRIVKIIALTRVKKTVDDVGRM - ->YP_009329889.1 putative glycoprotein [Hubei odonate virus 8] -MYKMHLTNTFILIHFIILISYTNTSMIYVNNGQIHKDGYTTCNVSSNGMHLSFTEKLAPGLWYGYIEYNC -DDTIGWLVSNMECINCGVYCIQNELIRGCGQRLIIISMGVTLGILISIIVALLLRRKIHAATGELYSWCK -YRIQRKVDKNIEMTFNAIKANNKNIKMADFPKIMPMKIKYQNKLIKKREDFKSKEPDYIEVVDSKQYPTI -EVVNNTQTFTIRKHNKRQAPPPPHNDKEQCENISPDHQTNKDKVVIGPIVNVPAGYVPKMAARFSKANPI -LLLMLFMLFNSVYSCDNTLYIGQNGKICDKSTCKDMSMYSFPLKLGNTICFQDVKDKTLSIELTSTKVVR -RYDFMYYTSDFETGSNAHYRCRHAGRCSYGHCSPNEKHPIFDNYNDKGLLVKYGCDSDVLGCDTWCAYTS -SCTWYRLYIRPIGMKYPVYKLTSSGWSVDVRYSYDGTTKVINFNVNNPSKNLDGIDLVHLRDVPFYITSF -ESETINVDKFAILLNDTMINSLASELNFPQHELVGDIQLNGDDITYNMNTVNCKSHGCKVQCSTPTPKIR -KLIQSEARKGSYHKMPFKFVGSRSSFQEERTVQGSILMMAGNLDIKNLYVEPAKCEFNVLSSYACSSCNV -RPYTVVQASKIRTSGIILFTTNCSFETEYLSCGKSPTILRLKSEAKVCSIFIPTTNQSLLVKYDYVYLGH -LSIDTPMYVSGTLSEAVSSIITSTDFINSLSWTVGGFAIFGTFSALILRAVKIYAMERTRRETNIGSAT - ->YP_009305132.1 glycoprotein precursor [Wuhan Mosquito Virus 2] -MSFEMGYITASGGRIMYNGYESCKIWHDGGEWNGTGSDFPGKHYGLIHYKCDNVYGAIFSKLSCESCGVY -CARNEMVEGCQAVGVPIALGMFLGFLMTLAIVAIWTKWIAGPLATSVSDNIHRLKAKRRSNKEEKVRKIR -SKIIELEATDRLNAQIISIAVLYVCLNVVSACDNTLYMASSGSICDNYGCKSTSMYDLTLLTGSTLCFRD -KVGDMMSIKMSKSSYVYRSSKVYYTSSYNVKVDQYWECKGVGTCWNGGCNQGTKHQSVARESKPNELVGY -GCSTGTLGCDTMCWYKTSCTYYRWEVIPKGQLYPVYKIMSKMLEVEVSVTYQNKTKKSVLNVNNPRLNLD -GIVDGQMPLLLTGFSDQTIFLESNYLHVNGENYNIDAAAINMPSRDRVGDLQIDIMNGTISFNTDDIRCS -AESCTTICSVHEPRIDRVMSNLERYPKHQGTFINNGNTLRTEVEVMGMARIMIGDVNLESLRVAKPKCRI -NVIGSLACTGCAIRSYVVLQASEIKEPGLIKFTSNCTFETDTISCNQEPYKLEVVSQDDRCRIFLPVLNQ -TLDISLDFKFLGKLDPSSPLYSSGTDIDDYISLAQNPSMWIAAAYSWLTLSVVCVIISTLSRNMPTIIAF -CVAKKAVAKAEDMG - ->YP_009305131.1 glycoprotein precursor [Wuhan mosquito virus 1] -MYAFKEIFIIAAIAVMIVSSRQDIEINEGVMTINYKGNCSLAFNGYNVTMTGPKEVEGLFYGLVNYRCGN -NIGWLVSKVACKKCGLFCMQNEMIEGCESKVYLFIIGTVVGCLIGLIGLLTLRNKLRKWSDHLISCCLYR -LVTYEDKREEERVKMLRERVATDITPKYRELPPMKAKHMDKIEGKRSVRAGGSSVNNITVLSLLFMAAVA -FGCDNTLFIRSDGKVCDDKQCISTSMYQLHLQSGSVVCFKDYKGDMMRFKIDAAYIRTRYHLVYYTSEYE -IKTSYTSNCKGSGSCWSGGCRDIGVHPRLRAIANSSIMGYGCETDNLGCDDWCFMKTSCTWYKWDIKSKG -VYGKVYEKSSEIWEVILRIDYQNVTTRHKVNVNNPRVNLDGLMDGIPIYITSISSETDNVPNGILEYLGT -GYAVKASQMNMPETDIIGDYQISLDKETYAFNMHNVKCETESCRAFCNAPEPKMTRFIRMIKRMNEVEIM -NIGSGHVIETNRQISAIVGVLVGNVNLKNLQVEKAQCSLEQIGTYSCTGCAEEPYVILQAHDIKSEGILP -IESNCTFAKDYISCSLDPYPLYLRDTKRSCYIHIQGLNHTMYLNFDFTFKGSLDPSKPIYNQESEMEMIK -GMVSSSMFITGLISTVSMFGMVSISLSMILRIIRMYEIKRMSRDVMEMTSK - ->YP_009304996.1 glycoprotein precursor [Wuchang Cockroach Virus 1] -MATNLLIFTLTLALTFPYVTNENITFPVITINYGEINIQPGEKCTIESNGITYNWNGVVQIPGLWFGKVD -IHCGIVTQTIVSIYKCKPCGFYCNYNELSTECSNGMVPMGIGLAIAIVLFILAVCIFGNFMGKLVTSIIK -AKHYLIQRYSDYKSYKIAKTITSRTNANVTVKFTNVKGLKDSQQVKILKLREKKVSKLRGLPHHYTEIHE -LDNKYESSKAMYLATKANKVKYEQAKIEYEQAKIAKARLGELSKKAPNPPARRSLPVEDTTIPSPSVSQP -AITYHQVHPPKKIYPSLYTVGLVLMLFSYVSSCDQTLFLKADGKLCDDIGCHSMNMYSLPLSLGQTICFK -DINGEMFEIWLDETHLINSYSAIYYTGSFILESESHWNCERRGYCYSDYCTDGFHYPNFGNKFLINGYTC -LQTTLDCDTYCDSTTMCVYIHWWIREKNFLYKVYKLESSIWEAVLKTKYKGHTTTTKLNVNRPSTSLTDF -TPNNNKGIPMFLTNFESERKHVETHLISIDGVYWETTASELNMPETDKVGDFQVSLDKKTQTYNSHMVKC -DTKICMAKCTAPEPKFDRFRMTLKNRPNKRTLFRVTNHGDSVMLKTPIVGTGTLMVGNVDLINLHVQLAN -CKFNLLTTYSCTGCVQRPYAIFQSYDNKNNGIVPFVSNCTFNIDYLSCNPQPFTIELISDERACLIYAKS -INYTKVINFNYTFIGSLDLTKTIDSIESTSEQIKSIATDMRFWSSLLTSFTTFTIIGIAATVIVRIVRGV -LAWKTIKENPI - diff --git a/seq/clusters_seq/cluster_396 b/seq/clusters_seq/cluster_396 deleted file mode 100644 index 0c6f4fc..0000000 --- a/seq/clusters_seq/cluster_396 +++ /dev/null @@ -1,211 +0,0 @@ ->YP_010086136.1 glycoprotein [Zaliv Terpeniya virus] -MVRTYLLILLLCGPVMPFLNHLMDVTRRMLESSNATWLRDQPDTHRLSRWNDHLMSILGIGSHIDEVSLN -HSQHLFNYRAYDCEEGRRTLTMLDPKSGLFKRLVCNVNQTLTKDCSSCINKKSTLLKSEHLVYDDGICQS -DYSSIASMPHHDTHLCEVGPLHIKHCSHYTKRVQHVAWFWIDGRVRIYDDYSISWTEGRFLSLFDCLNET -SKVYNCNKAACLEGKCSGDIQFCTEFTCTYAKSECTCKRNQVPGVAVVHTKHGSFMPQCFGQSLWTVRKP -LAKRSVSVRQPCMDCESDCKADHILVIVRHFTPDHYQACLGSTCLTGRASDKEFRVPFKMADRLSDSHFE -IKIWDKERSNEFFLTSKCESINACDAITCWFCRANWANIQCFSKEQVLILVFVCSVCILVLASVFKAIRV -IATTVWKVLKPFWWILSLLLRTCTRRLNKRAEQIKESIHYLEEGLAEGGSKAEKETIQMQTVARPNVRQK -MFSLTRLSPAVVGIMCLLNPASGCSDSISITASSQRCSTSSDGLNTCFVSTSSLLQVSPKGQESCLIIKT -PTGSAVDYIKIKTTDIRLECVRRDLYWVPRVTHRCIGTRRCHLMGACKQDACSEFKITDYSPEWGHEEEL -MAQLGWSYCVEQCGGPLCQCFNVRPSCFYLRKTFSPLSQDAFNIYECSEWTYRINVVVSTNASQSNLTLK -LGVPDSIPHGLISLSSVSQPPAVAYAECFGEDLHGVKFHTVCNRRTDYSLGKIGEIQCPTKADALSISKR -CISSDSIIFSKVHRDSVDCRSAITDPMTIKSRNKLPSTVGSVTFWPTESSVEAAIPDLASATMLIRLDGY -ILQYRSDSNKCSSRFLSLSGCYNCEAGARLEIEHVTDFGTALAVLECPSLGYTTYYEAKNTLEKSVRTIH -LNGSHVEAKCFFRCPNSESQIVIKGELVYLFNDDVRHHNQTLSPGLSAKSGYGWDPFGWFRASWLRAIWA -VLGGTVSLIIGVIVVYMIFNLCMKAKRS - ->YP_010086156.1 glycoprotein precursor [Silverwater virus] -MLKLEILVVMLCATLASALIADLFQHLQRAVHSEKKKFLQSQPKTHELIKGVVEVPSGLDASLIGFVETQ -NYTDRLTDGVDREMDCSGGRKTFLALDPGQRKLSNLSCGTGKVLSRDCSYCATGSPPVLNPPHKVIMYDD -MICQFESDATSRLKQPQGTYCSVGGVKVKDCSGLIENTVEKITWVLLKEKIIFLEGHSLSWREGPWFSLF -DCKNTTETTDPCDINVCKAGKCTGDAIYCSQFSCEKSSPECKCTRNLVPGILHVTIGDNTVVPKCFGHSK -WVVQRQRKLLSVQVAKSCLDCSVECRVGALHVVVRHFDPGYYQVCLGPVCYTGEASSKEFDIPIHPMSRI -STEEVSLQLWSSTKTDRYDLQTSCHHLSACDLINCFFCKANWVNVHCFRREKWIIIAIVLSITCVCVGMV -LKAVQRIVSFLAWMLGPVLWFVRVICRCSGKKLFMKAQKARLVLQELDEESQSLIQLPETHIAVPSSPNK -GSRTARKNKVLLMVSLISIMTPVQSCSDSVKLISLAKDCTQVEANRYSCSFSSTALIPVAPIGQTSCILL -TSQSGETLGVMKLKTIEAKLSCLKSDLYWIPRATHQCYGARRCRLAASCTGENCMKMTENDFSAEWGSRE -TIMNRLGWSSCNPQCGGIGCGCFNVNPSCFYLRKTFTNQESLVFKAFECSSWTHSVQIKVSFNDTDSTLF -LQPDSPQKMKWGKVQLASISTAPNVGFSECFFEAQNGDIFHSPCNRRGEVSLGKLGEIQCPTSSDAMQIS -PSCFSDQSIINHLINKDVVHCTSQLVDPKEIMKKNKLPATIGGTIFYPGKSSVYASSSSRVSATMLVKLN -DVHMFSLSDRNKCSSRFLNLTGCYNCEAGAILRMESVTDFGTADAILECPTIGLLSYFISTASLSITETI -IHLNKSKINVECTVTCPNSVEKLTIYGELAYLKDLDFRHHNETTTPIVQRNDGGIDWFGWLHFGWMQWVW -AIVGVGLTIVGVVIGFFLLRFLCSKMKIL - ->YP_010086154.1 glycoprotein precursor [Rukutama virus] -MESTMRGIILICLVGQASMVFDSIMDAAKRLIYTNSTVWLMDQPESHQLTKGIPGTFNLLDIRHPSIVFL -HNNNMSHLGPFRDYDCGHDRTSLTVLNPETAKIVKYSCNSSQYLSRDCQRCEAGGRNHLIHADLVYDDAI -CQADYTKDWINIPHDTRICQINKLKIRDCKIKKTRMETVSWIRKDGEIRIIEDYQVVWREGEFLTLFDCR -NLTGTFCSKDVCTLGKCSGDASFCSNFNCEKNSPECICKRNEVPGVAVFKFRNVEVIPLCFGRSRWLVQR -EEVKRDVVSEHDCVDCSMDCKKDRIEITVRHFQPDFYRACLGSTCLTGKASDSDFTVPFKMADRMTNREF -HVRIWDKLRDHVYKLEGSCEAIGACEVIHCFFCWANWANVHCFSKEQSLIIILAGSVCVILLASVLRAIK -TVATMTWKLLLPFISCIRLLWRFTRFIIKRKKKIVQEKMRDIEQGIETEDQSTMPLISNSRNQSRSAAYQ -KQKKIMTLLGLSIIMSFGNISECCTDLVTVTAESRTCINPGNGKGTCYFSTNSLLEISPRGQESCMILKN -SGGEVVDVLKIKTEEIKLECEKSDLYWTPRVTHKCLGTRRCHLMGECKGDYCANFKLDDYSPEWGDKQEL -MNTLGWSFCAEQCGGALCQCFNLNPSCYFYRKTFQPLGPEVFNMFECSEWSYRLHLTVANNVSAEKVVLK -LGVPYQIKDGLISLHSISQPPSVGFDRCFGESETGVKFHAICNKRQEYTLGKLGEIQCPTKIDAQRISSH -CLSSESLVNAKVHIDDLNCFSSIIDPGDIQKRNKLPANVGGVTFYPTQSSVEAAISDLASASLMIKLVNF -RVDFTVDLAKCSTRFVSLKGCYNCEQGAVLTVNTVSNFGTALALLDCPSLDYTTYLEVNSHLTEVDRIIH -LNKSHIYDKCTVICPNSKDSIIISGELVYLFNEDLRHSNQTVMPGMKPKMSSGWDPFGWMKASWMRIVWA -LIGSSLSVIIAIVVIYIILNMACKVKRH - ->YP_010086134.1 polyprotein [Murre virus] -MVKPIVLVVLFACAARAIFNNAWDVSRRLHDSTADTWKRDQPSSHTLVKSLDNMMTSLTIPMNNKTISTN -HSTHLRNYRVYNCGTGRNSITMLDLKSGNFTKLKCRDNETLSPDCSTCTSKAPNFMMSKDLAYDDVVCQS -DYSPTEALPDHSTKICKVGPLHISECKNEQKSVQHVSWFWINGKVRIFDDYTISWQEGKFISLFDCTNST -SNQSPCNKSVCLSGSCSGDVHFCTEFSCKSDSPVCSCSRNEVPGIAIIHTRLGSFMPACFGKSLWAVSKS -RSKRSATVQQECVDCETTCNPDAIYVVVRHFSPGHYRACLGSTCLTGIAHSKEFQIPFKIADRLSDSDLE -IRIWDSHRHNEYFITSKCKSVDACAAINCWFCRANWANIHCFSKEQTLILIIILSICVVLIASVLKAIKV -IISIIWKVLKPIIWLVRVLSKAASRVASRRAASLRDSVNSLEEGLLNPPQPAIEQRAAVPARANDRLRMF -RLSRMTILSICCILLISYSEQCSDSISVTAPSHRCTTDSNGSSQCQVSTSSILQVSPKGQESCLILKNPN -GLAVDTIKIRTKEIKLECIKRDLYWAPRVTHRCIGVRRCHLMGECKGDKCSEFKLDSYSPEWGHKDELMS -QLGWSYCVEQCGGALCQCFNLNPSCFYLRKTFSLLSQDAYNMFECSEWAYKIDVVVVTNTSETNVTLKLG -VPDSIPHGVISLSTVSQPPTVAYGECFGEDVHGVKFHAECNRRTDYSLGKIGEIQCPTKADALSVSKSCI -SSESIIHSRVHKDVVDCSSSIIDPSIIKKKNQLPSTVGTVTFWPTENSVEASIPELASALMLVRLDGFTF -TYKSDNNKCNARFLSLSGCYNCEPGAKLEIEHVTDFGTALGLLSCPEIGYTTYFDVKTTLEKTVRTIHVN -NSHIDITCHFKCPNSDMTLRVKGELVYLFNDDVRHSNQTLTPGLSPKLGMGWDPFGWFRASWLRLVWGLL -GGTISIVIGIIVVYMVWTACIKVKKQ - ->YP_010086116.1 glycoprotein [Precarious point virus] -MRCLLQIVCALALVVPGELYFSQLRDAARRILDGSTETWRRDQPESHSLTKNSKFLMSALSLVQNVDTIK -MDHRKHLANYRVYDCGTGRTSLTMLNVLTGNFTQLQCLKNQSLSPDCTMCLDKSPGFLSSHHLVYDDAIC -QSENSPPESMPDHDTSLCSIGPLELQKCHHEVKRAEHVAWFWVDGKIRIYDDYSISWQEGKFLSLFDCRN -KSSGAEACDKSTCLEGHCTGDIQFCTEFSCSSESPVCACTRNKVPGVAVAHVKGGTFIPACFGKSMWLIG -KKRSKRSVARQQLCIDCETVCGDSEIHVVVRHFDPDHYQACLGSTCLTGVSNNREFSIPFKMADRMADSA -LQIHVWDKAKHNEYVITSECASIDACAAITCWFCRANWANVHCFSKEQTFILLIIISLCIIIVASVVRAI -KVIAAFVWKILKPMFWLVSVLSRAATRVARLRVNRIKDSVHSLEEGLVEIPLVEIPREPARANPAVANRM -RMFQLSRLTILSLVLIVVPQGVELCSDSLSVTASSSRCVTDRFGHTKCSLSTSSLLQVSPKGQESCIILK -NPNNQAIETIRIQTEDIKLECVRRDLYWVPRATHRCVGTRRCHLMGDCQGEKCSEFKIDSYSPEWGHEEE -LMSKLGWSYCIEQCGGALCQCFNMNPSCFYLRKTFNLITQDAYNMFECSEWSYKINVLVHTNSTTTKVAL -KLGVPDSIPNGVISLSTVSQPPAVAYTECFGEDLHGSKFHAVCNRRTDFTLGRLGEIQCPTKADALSLSK -RCISTDSIVFSKVHKDAVDCRSSIIDPSLILSKNKLPSTVGSVTFWPSESSVVASVPELASATMMIRLDG -YTIEYRSDNNKCNARFLKLNGCYNCEPGAKLEIEHVTDFGTAMGILECPEAGYTTYYQVTPSLVKDVRTI -HLNNSHINLACVFKCPNSQQDIQIKGELVYLFNDDVRHSNQTLTPGLAPKTGTSWDPFGWLRFSWMRLIW -SLFGSTIAIIIGIIAAYLIWTRCIKSKKQ - ->YP_010086112.1 polyprotein [Grand Arbaud virus] -MGKYLFILLLLIPSTGAIFHQIIDASRRILEHPETTWRRDQPEHHSLTKSDHTTIPATQIVEKNATKDNF -TRYLQNYRVYNCETGRNGLIMMDLHDRKFIRVHCNDNESFSQDCRMCSSPAPTIMKSKDLVYDDVICQSD -YDNPSTLPQHDTKFCEVGPIRTSHCGTHSKSIQHVNWFWIDGQIRFIDEFSVSWIEGKFISLFDCKNSSE -GSHSCNKTICLEGSCTGDVQFCTEFTCKLDSPACTCNRNKVTGVAMIHSKVGSFLPACFGRSMWAVKKHI -SKRSLNTGQLCSDCETSCKPNDIQVVVRHFDPDYYQACLGSTCLTGRAFSREFNIPFKMADRLSDAKLQI -SIWDKSKRNEYKINSYCEMIDACSAITCWFCRANWANIHCFSKEQILILVFAFALCIVAIASVLKALKVI -VAVLWKILCPIVWVMSVIFRTILKIIRRKSSSIKESVYLMENGLKNEERFPMTSDLQVRTQKNGLSTREK -MFQITRLNLTILGVICIATPAYGCSDSLSITASTQRCTTNHEGFTTCFVSTSSVLHVSPKGQESCLMIKN -PSNQVVDVLRIRTESIKLECVKRDLYWVPRVTHQCLGVRRCHLMGDCVGEKCAAFRLTDYSPEWGHEEEL -MSRQGWSYCVEQCGGALCQCFNLRPSCFYLRKTFLPMSQDAYNMFECSEWNYKIEATFISNSTRSNITLQ -LGVPDAIPYGMVSLSTVSQPPSIAYSECFGEDQHGIKFHAVCNRRTDYTLGRLGEIQCPTKADALSVSRH -CLTSESIILSKVHKDSVDCRSAIIDPQLILSKNKLPATIGSVTFWPTPGGIEAAVPNLASATLLLRLDGY -YVQYKSDNNKCSARFLNVSGCYNCEAGAKLLIEHVTDFGSALGLLNCPELDYSTYFEVHQNIEKVYRTIH -VNKSHLATTCSFKCPNSETTLTIQGELIYLFNDDVRHHNQSLSPGIAPKTGLGWDPFGWLKTSWFRILWA -LLGSTLSIIIGIVVIYLILNFCLKSKKS - ->YP_009551638.1 glycoprotein precursor [Kaisodi virus] -MSMMRIVIIVILLPASLAFLADLIQHIQKASQPEKKQFLQSLPSSHELTKGLVQVPTGLEASKIGFLPVH -NYTDKLEDGVSRETDCSGGKTSFFALDPVTKKISNLTCEDGKILSKDCSSCESGRPTVLKPPFPQVFYDD -MICQFESEASRRIKQPQNTFCSVGGVSLRDCKGVVENTVERITWMMLKETIIFLEDHSVSWREGPWYSLF -DCKKTEDNSQCDLTECKAGKCSGDTSYCSQFTCQKTSPVCRCTRNLIPGILHVTIGDNTVIPSCFGHSKW -VVKRTRRLQSVLAPKACLDCSVECKQDNVLVIVRHFTPGYYQMCLGPVCYTGQATGKEFKIPIHPMSRIS -TEEVTLQMWSDTKSERYDLQAICHHMSACDLINCFFCSANWVNIHCFGKEKWILISLILSLVCLLVGMVL -KAIQRIVGFLAWALGPMVWIFKILIKFSGKKMRRHFTRARLALTELEREDSEPLITVTTERTRPPHELSS -TRQERMSRKSKALLLVSILSIIQNAGSCSDSIKLTSLAKDCKQVAPSKYVCTFSSTTLLPAAPIGQTSCL -LLTSQAGETLGIMKIKTLEARLTCLKSDLYWIPKATHQCLGSRRCRLVGNCVNDECMKMTENDYSSEWGA -RETVMSRLGWSSCNPQCGGLVCGCFNVNPSCFYLRKTFVNPESLVFKGFECPSWTYSLKVKISFNDTTQD -VSLVPDVPFKTNWGKIQISSISSAPNLGYAECFFIAPNGQIFHSPCNRRGEVSTGKLGEIQCPTASDAMQ -ISPNCFSDQSLIHHTINKDVVHCSSQLLDPTELQKKNRLPSTIGNTIFYPGGDTVYASSSTRISASLLLK -LNDVAVESVTDRNKCSSRFLNLTGCYNCEAGAILRMETVTDFGTADAILECPRIQLLTYFVSSSVLTISE -VIIHLNQSKIRTDCKITCPNSQESIRIEGELVYIQDVDFRHHNETTTPIVQRGKGGIDWFGWLHFSWMQW -VWYILGIGGIIICAVISFFLLKHFCCKIKIF - ->YP_009666271.1 glycoprotein [Pidgey virus] -MNLTYFIILVICMPFVKMETTLKEFLTQRMDPNVSSSDLIQTMIQRNSDVLSDPNHCWQLLCTDKSSMIM -NKLTILNDYLSPLKKTDQWYLTLAQTHREGNDDLCSYHAESGLCSGKPCLRIKYLSLTQTQNLGTMNETT -CHNQLPIDDFPFVAMSRIFDFSNYRSNLNKFYCLNAEKIESTFFNLIDFSNCSDSLTARHTVRNELLHLD -YSNEAIENIDVKSHGVELEDRCKNVPNCKFEKETRKIYSFHVGKLPEVPTQMSIGMFNNPKNPETYCCYL -NKAIHDSINFEDKDVPYECLKVNSYEVMDNNNLVKPYVKQRAVSKYASNSLKENCFDSGKCTGDEVFCQQ -FGCLGSSEIFCTIDREVTQLRAIIEGSKYPINEISQNNKIVHVRKANGLISTDICVNCIFDCVDGIIHIN -TRSMPVTNVKVCSENFCMFTDVDGKDFYISTPNDFRISNETIKVILKFKETNTMALKELRCGVMDECKFH -YNMLQMESWINVRCIKVWQWIILFILLLTTLIILYMIVYILKYLYVNVIKRFVWPTLVYIVKIIWYFFKW -VAYKLTKTTSILPVSMKNEHVFEDIQEKQFLISSKPKLSYAERAKQANVSTSYSIVNENYISPESPYSPN -LTSPIRAKKYLQLSYMQIILLIGILCIGLGGACDVTTTLSVTQEQCITTDSGKDICSLTSSMRIAVAPVG -QSSCLLLKQNGKIVGNMIVKTKSINLTCLKNSLYYIPNVATECHNAYNCHGAGFCSMDYRECNNPSSYTR -DIFSDQSGYLYWHECVQKPTSSECYYSSSSCLHVLKKLMIKNKSYAEVYDCSSWVFSATVEVIRVNNVVE -SSKELILLAGQPLTQDAAKLSLISVSTPPSTGFNKCFIKRDGLWTFSECNKRGEYVVGKIGEIQCPDVQS -ATTSNQMGKCFAKSELIKVQEQNQYISCHANFVNLDSYFRDNVLPVKYGEYLLKTQSDELMMVINGGSLL -EIQIDFKNYEILSKSDLNKCFVTFKSLTGCYSCVKGATLEVETNTNFGSATGTIKCPSINFQTIIQSSSE -PKIQNITLHSNIKSINAKCSIECPEGETDFHIKGELFYVPNDDKRDNSTTAGISDNVSGAIDWANMFKNP -FSFSFGIVLILIIIIIVLYLIKSFSHRPFYLDKTL - ->YP_009449451.1 envelope glycoproteins [Kabuto mountain virus] -MIKIILLFCCFATSGAFIQMMLRGIRGDAKAWAHDQPPEHTLTKQRELVSSLESARSKILQVKEKTPGQW -DGVKRSLVCSGKRQTLLVFSPIDKAIFNYTCQKSQFLTDNCGKCIEGKSGPSSHEVFSYDDFICQAEYSE -WDPKIKQPHTSICAVGPLQFRDCHHVKTSVEFVTWAMIDNHLIILEKYNLGWIEGPFFSLFSCTNKSEEC -EIEACKSGMCDGDANFCANFVCTKEEPVCYCSRNKQMGVLQLSFNSMDVIPKCFGRSLWGVKRPTRAKRD -IENIPCSDCSSDCLPEGIKIIVRHFDPGHYRLCVGPHCFVGNADAKEFTIPVNPSSRITSEEFFLSVWSK -DKGTHYTYEGRCPEISACQAVDCTLCLANWVNINCFSREKWVVVALALCLALIIVAAAIKAIKFILTTVK -WIMWPFYVCLKFCFKHSRLACERKAGSVHAAYRRMESDTLEDDSSVTLLSSPVYRPTTEPVPPRHLAQKM -KKIIFGATILLMVQPGLSCSESVVLTASGESCVDFGNGTWSCEFSSSALVAASPLNQETCLILQSPAGKV -SGVLKLKTKSLKLVCDKSDLYWVPRVTHRCIGVRRCHLMGDCKGDSCSQVKENFYSVEWGVPMDIMKQLG -WSYCIEQCGGALCKCYNMNPSCFYLRKTFSAIDDSVYSVYECPSWKYKLEVESTLRDVTEREHVLPGIIS -HFGWGSIQLDAFTAPPSTALNRCFVQSGQGQVFHTHCNRRGEFILGRLGEIQCPTKSDAQRISSNCISTS -SIISHKIHMDDMTCKSAIVDPEVDKQKNMLPSTVGDTKLFPTEVGVEAIVPSTSLIMMSVQVIKLKLASL -SDKNKCSSRFVNLTGCYNCESGANLRFEVITDFGTAQAIIKCPELGVITWVMASTTVQVQRKVIHLNSSH -VDTTCEVICPGSSEKVSVKGDLIYIATYDSRHYNDTRTPSLEPRTGWGIDWWSWLRFSWFRWVWIVLICL -VGGIILLAVLVNLPSFLTKRKRG - ->YP_009304997.1 glycoprotein precursor [Wuhan Fly Virus 1] -MKMNLFLSIFLFILHPLLNAVSDDGLVINFILGGTVDKITHVDRSTNYNVELTKFATALVNETCDGVSLL -DFVDTILKKKGIINFDLRKLAFNSSTLEHLNKGLTKEEQEIFKEELGKTLLDHHELEDLEMVERKAKKLA -SENRSSNLRKAESPFVGSEFSNYINFDSAQPLVQGHVEILSSKGTHQESAKTGFSNIGEFNSDSPKNYSE -SNKLSDQILYHTMERLSKSYARLCLKGNTPISPISNPIDIVNAYVRARKMMFDSEKKSTMGLLIDLRDSI -ENYVDLIKIKDHGKIPSNYTMVRSMIADEPSFNISAYLNNIDEEIAEHEVKLRINHNYLLMLKHKKALLT -EPKIRKRRNYDFVHGSNVDVFTGVKPGDFDVRHYVEKELQYNKMTIANTAFGANFWPECEEKMPSATAIL -SEKPSRSILMAFVKSDGICFISRFCGPSEHVSPSTLLCEPSTELTVEHQTNILKLGVSDYIHKLSVNLDN -SLARQFKGYCSVGDLVIETCHDSISSVEEISVGRISGEWQILKNDLQYGYLPDLSKITSYSCTASNISQL -QDPEHPGYINGCMKGDETYLRHFKGDKDCSCEVSKQAGSISARYYEKLVPIDFLVHFKVNVKKPMTLMVR -QKCKDCIMTCKEGNIQLTNIPKYDLLSVCKKSLCEYFQIKSQKEGFKLSYPKPLLGNVTYRLYPNDTSLE -PYVSSTFCTIEEFCNLITCVLCKEFLMNPHCNPVAVIAVWSMLLIVPILLLNIITSMLSVIFKIIGFVFK -VFKIVFKCIVLLFKSCTNISKKKANKAYTALSDAAKMDTEMIEVKEIRPRYTNVPQRAPLKPIVVKPIYP -IVILTIIVVFLDSALSCSVESVITTDSMHCTSQGMGAECLIKQDIMLSLAPIGQVSCINIRGQNSMEVLN -QIQIKTIGLRLACNPVTLYYTSNVKPSISSTYRCSGAGSCNEDHCKSVKPDDQLVDIPNKHLFSITGESG -CKLVNGFWGNGCFLAESACKYYRVFYQPIGDTYEIFNCPTWEWYAEVNVTTIGSSAKTTTMHISSSQPYA -SETFTIRLTSVSLKPNVFYSKCFMKKSSVRFEGHPIATAPCNVKSESTIGKVGEIQCPMPKDADDMTTRC -SFIKGLHEVSTNGNDISFKASVLNIDEIYSANLLPKQFTGLTIEQTSRNIPYINSHEAALFTLHISMNGY -KVEILESRIECGTNFVNLTGCYRCDSGARLCLDTSATSYPAVFRMSCPNVIPQLKTIENKGIWCTNVPFN -KERVNTECETSCGVNKQSVKVSGTLIYIPSKLPSYQNETIIDNEVQESFVQSFIKWFSSLSWITYLYMAV -SLIIGLVVCYILIRIIVPIVFSCLKRVFRRKSASQNGMMKQHDV - ->YP_009293591.1 glycoprotein precursor [Huangpi Tick Virus 2] -MFFQRITLFLALSGMAMGFLEDLIQHVRTASQPEKKQFLQGLPRSHELSKGLVEVPTGLEVSKIGFLPME -NFTDKLSDXVSREMDCSGGRKTFLALDPKNKRISNLTCQASHILSKDCQTCISGTPPVLNPPFSIILYDD -MICQFETEATARMKQPTTSFCSVAGNSLRECKGIVENTVEKISWILLKEKVVFLEGHSLSWREGPWLSLF -DCKNTTDGKSQCDLSECKSGRCTGDAPFCSQFACEQSNPVCRCSRNLIPGILHVTIGDNTVIPQCFGHSK -WVVQRSRRHVQASSPRSCIDCSVECGVDHVIVVVRHFNPGYYQMCLGPICYTGMAKGKEFRVDIHPMSRI -STEEIDMKIWSDTKADRFDLRTSCHHMSACDLINCFFCSANWVNIHCFGKEKWVLISLVFSLVCIIVGMA -LKAVQRIVMFCIWVLKPIIWICTVCCKYTSKKTFQKMLRMRDVMREIDEESGIDLPLLVPIAETPAPSSA -TPRENRLARKAKVMMLVSILSLFSRSEACSDSIKLISPAKDCQQIAPNKYSCTFTTTALIPVAPIGQVSC -VLLTSQTGESLGIMKIKTLEARLSCLKSDLYWIPKATHQCLGARRCRLVGECINDACMKMSENDYSSEWG -ARDQIMSRLGWSSCNPQCGGIGCGCFNVNPSCFYLRKTFINSESLIYKAFECPSWTHSIKVEIFFNRSSQ -EVLLLPDAPQKTPWGRVQLSSVSAAPNLGFSDCFFESQAGEMFHAPCNRRGEVSTGKLGEIQCPTASDAM -QISTNCFSDQSLIHHLINKDVVHCSSQLLDPKEVLKKNKLPSTIGNVIFYPSSGSVYASSSTKISATILL -RLNEVQMESVTDRNKCSVRFVNLTGCYNCEAGAILKIETVTDFGTADAVISCPEIGLLTYIISTSVLSSV -DTIIHLNRSKISTTCSATCPNSNENFKIDGELTYLKDVDFRHHNETTTPIIQKGKGGIDWFGWLHFSWFQ -WIWTILFIGFIVISTVVGFLILKFFLVKIKRT - ->NP_941979.1 membrane glycoprotein polyprotein [Uukuniemi virus] -MVRTYLLLLLLCGPATPFFNHLMDVTRRLLDSSNATWQRDQPDTHRLSRLDAHVMSMLGVGSHIDEVSVN -HSQHLHNFRSYNCEEGRRTLTMMDPKSGKFKRLKCNENQTLSKDCASCIEKKSSIMKSEHLVYDDAICQS -DYSSPEAMPDHETHLCRIGPLHIQHCTHEAKRVQHVSWFWIDGKLRVYDDFSVSWTEGKFLSLFDCLNET -SKDHNCNKAVCLEGRCSGDLQFCTEFTCSYAKADCNCKRNQVSGVAVVHTKHGSFMPECMGQSLWSVRKP -LSKRSVTVQQPCMDCESDCKVDHILVIVRHFYPDHYQACLGSTCLTGRAKDKEFKIPFKMADRLSDSHFE -IRIWDKERSNEYFLESRCESVDACAAITCWFCRANWANIHCFSKEQVLILVAVSSLCILLLASVLRALKV -IATFTWKIIKPFWWILSLLCRTCSKRLNKRAERLKESIHSLEEGLNNVDEGPREQNNPARAVARPNVRQK -MFNLTRLSPVVVGMLCLACPVESCSDSISVTASSQRCSTSSDGVNSCFVSTSSLLQVSPKGQESCLILKG -PTGTAVDSIRIKTTDIKLECVRRDLYWVPRVTHRCIGTRRCHLMGACKGEACSEFKINDYSPEWGHEEEL -MAQLGWSYCVEQCGGALCQCFNMRPSCFYLRKTFSHLSQDAFNIYECSEWSYRINVLVSTNSTHSNLTLK -LGVPDSIPHGLISLSSVSQPPAIAYSECFGEDLHGTKFHTVCNRRTDYTLGRIGEIQCPTKADALAVSKR -CISSDSIIFSKVHKDSVDCQSSIIDPMTIRNRNKLPSTVGSVTFWPTETSVEAAIPDLASATMLIRLDGY -TIQFRSDSNKCSPRFLSLSGCYNCEAGAKLELEHVTDFGTALGILECPSLGYTTYYEVKNTLEKSIRTMH -LNGSHVEAKCYFRCPNSESQLTIRGELIYLFNDDIRHHNQTLSPGLSPKSGSGWDPFGWFKASWLRAIWA -ILGGTVSLIIGVVIIYMVFTLCLKVKKS - diff --git a/seq/clusters_seq/cluster_397 b/seq/clusters_seq/cluster_397 deleted file mode 100644 index a89bcd8..0000000 --- a/seq/clusters_seq/cluster_397 +++ /dev/null @@ -1,222 +0,0 @@ ->YP_009666938.1 glycoprotein [Guertu virus] -MDFLWLTLMFLFWSARAEGPIICEGLTHSNKSAAIPHLLGYSEKMCQIDRLIHVSSWLRNHTQFEGFVGH -RGGRSQVRYFPAENSYSKWAGLLSPCDADWLGLLVVKKASQSDMIVPGPSYKGLVFFERPTFDGYVGWGC -GGGKSRTESGEMCSQDSGTTSGLLQSEKVMWIGDVACQPMTPIPEDVFQELKGFSQSEFPDICKIDGILF -NQCEGESLPQPIDVAWMDIGHSHKIIMREHQTKWIQESSPKDFVCHKDGIGPCPASEELSCRTNGDCRGD -LQFCKITGCGHGEESSSSKCRCSLVHKPGEVVVSYGGVRVRPKCYGFSRMMATIEVKQAEHKSGKCTGCH -LECINGGVRLITLTSELKSATVCASHFCSSAESGKKNTEIMFHSGALVGSTDVHVKGTLMDGTEFTFRGL -CQFPDGCDAVDCTFCREFLKNPQCYPTKKWLFIIVVIIVSYAVLMLITNILRAISIWGSWVLAPVKLLIA -LMRKLVRLTLKALNQAADRGRRVIYEEMDGAQRVHEEGARVEIARPRRVRHWMYSPVILGIILAGSANGC -DELVHADSKLISCKQGGNNNKVCATTGRALLPAVNPGQTACLHFSAPGSPDSKCLKIKVKKINLKCKKAS -SYYVPDARSRCTSVRRCRWAGDCQSGCPSHFTSNSFSDDWAGKMDRAGLGFSGCSDGCGGAACGCFNAAP -SCIFWRKWVENPHGVIWKVSPCAAWVPSAEVEVTLPSGKSKVFHPMSGVPTQAFKGVSITYLGSELEVSG -LTELCEIEELKSGRLALAPCNQAGMGVVGKIGEIQCSSEESARTIKKDGCIWNSDLVGIELRVDDAVCFS -KITSVEAVANYSAIPTIIGGLRFERSHDSQGKISGSPLDITAIRGEFSVSYRGLRLSLSEITATCTGEVT -NISGCYSCMMGAKVSIRLHSNKNSTAHLKCSSDETAFSVSEGVHSYTVSLSYDHAVVDETCILNCGGHES -QVNVKGNLVFLDIPRFVDGSYVQTYHSTVPTGASIPSPTDWLNALFGNGITRWLLGAAGVLLTGFAVIHL -DCVSPEIWNKEVD - ->YP_009666134.1 membrane glycoprotein polyprotein [Severe fever with thrombocytopenia syndrome virus] -MMKVIWFSSLICLVIQCGGDTGPIICAGPIHSNKSADIPHLLGYSEKICQIDRLIHVSSWLRNHSQFQGY -VGQRGGRSQVSYYPAENSYSRWSGLLSPCDADWLGMLVVKKAKGSDMIVPGPSYKGKVFFERPTFDGYVG -WGCGSGKSRTESGELCSSDSGTSSGLLPSDRVLWIGDVACQPMTPIPEETFLELKSFSQSEFPDICKIDG -IVFNQCEGESLPQPFDVAWMDVGHSHKIIMREHKTKWVQESSSKDFVCYKEGTGPCSESEEKTCKTSGSC -RGDMQFCKVAGCEHGEEASEAKCRCSLVHKPGEVVVSYGGMRVRPKCYGFSRMMATLEVSQPEQRIGQCT -GCHLECINGGVRLITLTSELKSATVCASHFCSSATSGKKSTEIQFHSGSLVGKTAIHVKGALVDGTEFTF -EGSCMFPDGCDAVDCTFCREFLKNPQCYPAKKWLFIIIVILLGYAGLMLLTNVLKAIGVWGSWVIAPVKL -VFALIKKLMRAVSCLMGKLMDRGRQVIHEEIGENREGNQDDVRIEMARPRRVRHWMYSPVILTILAIGLA -EGCDEMVHADSKLVSCRQGSGNMKECVTTGRALLPAVNPGQEACLHFTAPGSPDSKCLKIKVKRINLKCK -KSSSYFVPDARSRCTSVRRCRWAGDCQSGCPPHFTSNSFSDDWAGKMDRAGLGFSGCSDGCGGAACGCFN -AAPSCIFWRKWVENPHGIIWKVSPCAAWVPSAVIELTMPSGEVRTFHPMSGIPTQVFKGVSVTYLGSDME -VSGLTDLCEIEELKSKKLALAPCNQAGMGVVGKVGEIQCSSEESARTIKKDGCIWNADLVGIELRVDDAV -CYSKITSVEAVANYSAIPTTIGGLRFERSHDSQGKISGSPLDITAIRGSFSVNYRGLRLSLSEITATCTG -EVTNVSGCYSCMTGAKVSIKLHSSKNSTAHVRCKGDETAFSVLEGVHSYSVSLSFDHAVVDEQCQLNCGG -HESQVTLRGNLIFLDVPKFVDGSYMQTYHSTVPTGANIPSPTDWLNALFGNGLSRWILGVTGVLLGGLAL -FFLIMSLFKLGTKQIFRSRTKLA - ->YP_009162638.1 polyprotein [Hunter Island virus] -MEVVLLLLMLPGVSLGRNIICRADSRSEKNATVENHHGFSERYCQLDRLEHVTSWMRNNSAFTGKVGMYQ -GRDKVEYFPAEENFQWKWPGLLSPCDADWMGIIRVVPAPKGVRMVEGVSYKGKVFVERPSFKSYVAWSCD -DSTSMSKSGSFCRSDNAISSGLITAHRVLWIGDVACQVGTPITDDVFNELATFSQSEYPDICKIDGEVFN -ECEQETGEMSFDLNWMDVGKAHKILMREHSTKWLQESTRKDFVCITSEGDPCPDSDEDECFINEKCSGDT -QFCINHGCVGHQGKNSTPCHCELVDKPGALTVRYGGISVRPYCYGFSRMMVTFSRQTKQFKKSGCTGCEI -ECYSGGVRLITLTSKVKDGTACIGQMCASIQGGAKRTEIKFHERVLVGKLNVMIDGRLEEGASFSLKGYC -HFPSGCEAVSCTFCHEFLRNPQCYPVKKWLFIFIVFMVLWIALMLVTSVLRAVILWWSVIYKPVKLVLII -LKRIIRTIFSGFNRVRVRGQIIMQEEEMRRGEANYEAAVFNPRARPRVFLPTLLMMLFLITLCSGCDELV -MAESKMITCKRGTGNYKECQVTGRALLPAVNPGQEACLHFQTNESPETKCFKIKVKSINLKCKQGSTYFV -PETRSRCTSVRRCRWAGDCQSGCPDGFRQHSFSGDWTARMDRSSLGWSGCADGCGGAACGCFNAAPSCIF -WRKWLENPSGRAWKVSPCIAWVLAADIEVTLPSGEKRGMHPLSGVATQMFKGVSLTYLGSSSNYVGLSNL -CEMSEEVSDEMAIAPCNLPGHATMGSVGEVQCSSLEGAKKINKDSCVWDSNLIGVELRTDDITCYTKLTS -VEAVANYSRVPCIMGGIRFERSPHERGRVIGSPTDITSVKGSFSVTFRGLRLKLSETMATCTGEFVNLTG -CYSCMHGATAEFKISSNKNTTAHVVCEHDKTAFEVHEGMRVYKAPLSFNSAVVKETCEFVCGGQKSQFQV -EGNLVFLDIPKVHEGSYMKTFHSSVGGGASVPNPLDWLSALFGNSLSHWILGIIGLAILSMIALVLIRNL -ILSLSGSCKRKRS - ->YP_009330279.1 putative glycoprotein [Hubei diptera virus 4] -MVLIGRILILILCSLVVIGSANYTVARNHFQEEQASKNTIVFAYNSFTVHTMTMNVHTGGSNVDSFFESS -FRKAQESGLISLPNGFRPDVKELEVHDGSSSGSLGSRGASLMARNVESLKPMVSNSTEKPNSSDPHQQQD -LIVNEHTGQTKRKLPVVTHIDQEICRACIRQMEADKAKAAQSATSPRGLVSNVEPLPDMRTEFVPSKPRV -GGSIEGPNLLSGGIRTSRSLNCKSLLNDVTRLYNGHVKIVIPCFNCTMRIILVPDNSTESLSRKRRSVSE -KVSVPLAEFSTRTIGHCPSDLEEVHPKVRSRQCQQWLNKLWDQDKKTWCVQMKSCNKGEYLSAGSCHLAK -SGLTQSSLCSDHTFRISTYPVLSSPTVPSFLDEEVCEIEGVKLSKCSAGRQEARRVSIILFNGKETLVTK -QHDFIFLENLSSKHNYVCSGCPPTGCKDCTGDAYYRDNFGTSEDGACHCTLQPSPKFGSISLVMGGKTYP -IEYYLSQVISVGMIKKVKEAGSPREVDNCPICTASCIGSELSLEGFPDIATTVKICAGSLCSKMQFSDKL -SVPPQYLLLQNLIQVQVFSADASAIDSKEVACKVEASCDLIRCHLCMSRLLNPSCYELLDTIVVLLVLSF -ALGFITLILRLLSIVLTVMKILQLLFRFISLIVRGFYRLGYKLCGYSLKKTRIVYRNLEKLGEDEQIEFI -EEQVAEVLVEERKVASRPPSIGELRLMREMRPMIPGRNFNKMGLVIMIIAIFLSVVSCCSQVSHMGLSDA -ECEVRSGTYECTPTSKVTLHLNGAGTDVCLLIKKENVALGTIQMRISSIKSRCVERRLYWTYDFSTLVQY -ECFCNSLNKCSSDCEAQKNNVSVKMLEEMEMKRNLGPGRSGCLEVPGHYLNRCVLPMNSCCYFLAQFPPT -LPENNYSVRVCSSYVWEVDIILKTFLSDIPTTTNLTLVAGEEKTEGDLGLLMTQVSSPVIPDQDKCIIQN -SKGSSYLAECNKETELVLGKVGMIQCELEETIKKSPWKCKHAPGLVSISPGSDSLTYSSIKVNLEEVLKK -RKIPLSTPDFTMEKDVKGYYLIKQFSEVFDIQVTIPKVKAVAYSERTSCTLTFKSLRGCFSCLEGAKVVF -HSTCLAYPAIGTLMCPDLPASLPMVVNRAGNFSLKLSLPKPIIDSDCNFTLGQTVGSVRLKGTLIEISSV -VDGFSVSEGGGGSTGLNFTSLLSSVWSNLVEVLKALWLYFERVLWIAICLASVACVVYITWRVIIWKSAQ -KPERKEV - ->YP_009330278.1 putative glycoprotein [Hubei diptera virus 5] -MAKPLYFRILIILVCRVLLLFAVANNTSFGDGSKILNIIQGGNFQTLNNHGGFHVKTESDKINCPVCNCN -NTELVNVIKTFFESNNSSFKTLNITNRATELTSNYTLIKMIPPSTSGNNDDNPKAEVELLSNTLNNQNQG -SFIPPIMSFLVNRIVHEEPIEMKVLRYQDKIGQISEQIKDIIFEDTSIVCDTKSLKKMLLCVSTYIGEDQ -DKNIKVLSMLLNLISDFLSEFKLRNKNNNYDGNVKLGKFSHLMDQNDKSNAISSFGRKLLSVNKDVLFTS -EVEPGTSIMRSKPDEYDISVRLSRIMEGPKTSNIDAVKAFEIFRVHCDRKHNDVNRLDEIELSAIVKPHF -STTTQVCFSTFLCPTDYHFDFTTRECKQSLDGALLNDEFDFKSIKLSSIVGRVTSHPFETIIPRLKKNYC -KIDNHSIRKCVGKIKKFEKDFMAIHVANDWVLVDGDYLLTMVQDSKDFRSYVCNSSNPLIIPDTDCRGDD -SFIRAFGRGNSDCFCRINNNWHDLVFKNLDGVSYIDAWIAFTWTVEIDSKVIENLNCDDCEFKCKNGIID -YSVKKYISLMKICFMDHCILRDIKQMSGSIRIPELRFYGSKFKITLLSETKTGEFITEVDCSNIDLCQSI -SCVFCLERLANYHCLSSYLLILYVLILFATFLILSIIYKIMKLLKAIFMSLFVTLKFSYKLVRYICIKFY -RYCRGHMSRSYNRVVELDDQDLWETPRQDEESMEDVRIDDHSPMNRTPMRRPRVLPANRTSRDIKMSLFI -VATIITLAFSCSDIGSISTQDVSCTEYKNTISCVTDFNIMLTVAPMGQYACIQAKSIEGRVIMSIRIKTK -SIKLICNKRSLYYTYRTTPTISKVYRCDSAGECSKNVCNSNDPKIFEKELNPNELKVLGNTGCKSVNGFW -GNGCFYASSACIFYRVLFPNVENGDFYEMFDCPHWSWQIELEITQSDLIQEKVSSVVLSNELPTQSSIGS -IRLHSVSVPPSPVLSDCFMSSSIAGMNFISLTNCNKKDQLIKGELGEIQCPSGVDAGNATNKCSYSSSIY -SVTASGNDIQFASEVINPKDTSKAKRLPLNFDGFMLDIDNSIPIARMFGSSLFQFSIATSKYKFEILKER -SSCSTTFLNLTGCYNCLGGATLCLKVDVDKESSILKVDCPKSKISTVFMVNKNSREYCSKLSSNVQTIHE -ECEIVCSGNTEKVKLEASLSYDVNVIHKDNTNYIYNGVGILRGVDISTISLWSLGFIILGFLIFLIIILL -IKKCLFDHKTLKAFDPSSKSI - ->YP_009330257.1 putative glycoprotein [Hubei lepidoptera virus 1] -MKLIVAITVLVIPFIHLNKSQIVYNINNTVNYNHNSVVFSNNTINYNAVEPSTNFTKWVGKILREAKNAT -YKLVNDEISKKNFHQNTTLNDSPSIEMNESLIDDPIKSNLAKNIQMTEHTKEDNETPGKFLPQLSSNRSQ -EKSYNAIIHKNNIYPKKRELEKHSLINKTKDIDAIDLKSYPQKETQVRFIQSEMQRGINIEELNDIRNSI -AVKIENCIRLPFSEEIKSKIINKCLIIYKSLSERGSAFTGNLIYTDTIILMILKGVLDSSFISHNFNDSC -MIDSLSSLNAKIIRGIEIEVNLDKFNLNIWDYQNGKNSSIRRKTRGILEADDDYIQSISRKSASKAINAL -KDTGLLLSKPLRIDLDLINTSSTQITTVNKLNFSESNSIRILRFPRLDWSEPLYEINLDNLNEGLEGDFI -HLIRSEEFLSKMPKCKDFPIWKYRGYSLKCINKVSIINMIDAGLCRIAVDCKGRINNNFTCIEEKLSAKC -PHTTLLTNHDSYGFYHSELGREKSISSDSFNYENINFPKSSGDFKLCSIDNFWYSHNTCNGSLSYFGEVQ -FYLTNSGPIRVSVMAVNKFQLNFNSSDINYYTCTDSECLFENTMNHCTGDRLFCSRVNKMCNVASSKKCH -LINNGMGFKTLDSSQERVSSYITLWTIIRYEAILIDDEETFLMPINEHESLYFQKLCKYSLSCSNAKIHV -ESNCVVNKIVINMGDNFIWYNYEEEDSMFSADLTLPFNILKGNMQPIITVYGLKGIITREIVSCEPFDLC -LRVDCFLCTKKLINPSCMNQDERIILILIIIILFILITTIFILGFRFTKEKIPIVKSKLHNLRKFNRKFP -SFSLKTKKEKEENEEDDSENEKDKGDSLNSVLVRDNRINSSLEHVPRQFIRKSVYSYRFYVPSHYYDSNS -RKSHLIIVYLLSIMLGLGTSRACSDSTILVSDQKECFSDGFNNAVCKVSSTLRISGPPVGQTTCVNIMDG -DNNINGYISIKVLSNNYYCSKSSLYKTVQAKINPKSYSYCPGASSCPSWRSCTSFLNSSEKQHEMFPNTI -NKLSLFDCFTPGGCAGNGCMRCDNGCSFHSIELVNSDDIIYEVFECPTWIPHLSVEVKFHNLPGMNDFET -TLIGAEPYSEDGLKLAKIADSTPINNNDFCLIRDDKAIYYSSCQKSTELFSGSIGEIRCPTNEDALGLTD -KCLIAKNTVKVKSINWDAIITNNQINIKKAIQNQRLPKLHGTAMIDRFSDGTVIMKNTIAETYEIQFSIK -NLEISIRKEKSTCSGEDLSIEGCYSCMGGATMKIKLSANRDDTLAVVSCTGLNPIPISINKTMTLYTQGV -YLQTSIAGLDCTVKCSGGTLKIPALINLKEQVMLDVSNEDKAFVVTKPINLWSVLDTNKMWLMIPTLSLG -SVIIIVTIVIFAYKNTIGKVVPNTIIPMYTLSKRD - ->YP_009304994.1 glycoprotein precursor [Wenzhou Shrimp Virus 1] -MMNQVSILMIVAPLVLPQNNQSPGDMLTAGVGQCSQSPPRFPFADPTIKGAKTIQWVDLFNRPKPCYHQV -TSSKDCYDELGNVGGSCNSNDSTVMVDNINCQVAAEVGSVRDSPDLCSINGHVLRACSETRSSLEWVWWV -FMYREGRQVRFLDTLHKKVTHNVMDSGNFHCTNNKTEGCNGDLAYCANHACEPASSCFCTTTMIGITSLV -VEGQEIVPTCYGRSLARVNREVTLEVELMQLCTDCKFKCTDEGIKISTFIPEAVSGMICRSPFCMHIVVQ -NEANIRLPLDMRVTTEEMTITLWFHGNYDPFVNKMTCVYEQSCALITCHFCLERVLNPHCFSWLHWLMIL -ILILLIVTIIRKVIHILFCVKWALSCLWKLIPRIRRRKRSGADQAERGLLPSGGEATRKMRAALGLTLLA -TGHCCSTSITSQADIQDCSQGSDGTETCVFNHGVNLHVSPIGQESCYFLQDSKGEHLDHLRIKARSIQLK -CEKQSLYYVPRAVGKCTSVSHCSTVSGCSAKACLEFKANGSLPEWTSEMDHYGWSRCQGIPGCAANGCFY -CDDGCLWWREYFTNPKSEVYEIIRCPTWVFVVDLEVVLSNITTPVVLSPGATKAVGSVRLSLEALSAPPE -PILGDCFYQLGPVTRLGPCNERGSLSPGKVGELQCPSKESARRADASCFANEAMVRTTVSTAGVSCHFSI -VDPGNVGMVLPYKGNGFTILSGKSGIVAHSTSTALLSLNIQLGKLIVKKEKARYKCHAQFVKLTGCYSCM -SGATLTVLVGSTADASEAVLNCPDVNYTTIVVANKVEESVTSTLHLTQSVIDMKCEVVCPSSRTYLDVTG -NLLYIPDVDPETRTMSVDVHQNGASFTLNPLGSYKSAILYAGIVILSLLALSILLPLIRTSVKTKTS - ->YP_009141014.1 glycoprotein precursor [Bhanja virus] -MMFSRVMQLALICAVTCEDNPCLWERFTNSRDIEFMIPVVNLSTSRRLSMSQRICMVSMGKHWSRIFSEG -EEDRGMKDLDPLLMSSLNWRGTAKTRSSNSFNFDILDGIFLGFLDLVKWGEEADRHTPIHPECIKSKVCG -FMTASGPRIKTCTGKFRGADRHGHCTNRATPHEATNVISVGVQHAQEANQVDEHEARYISEARKSINPEI -CSIDGVEINQCDLASPGRWLMLHYASFRLQEGSLVYLSPGLNIKWSQINVPASDFYCINVSDHLNTHYRP -CEVNCTDNCQGDELYCSVHQCARSAECKCSFIGSRGMAEVQIGDRWFKPAVVGSQQFFVKEDVPVLQQPS -ADCTTCSMTCTAEGIAISSIKDELKDVTVCVEGFCSTRVSKGSKVWKIEFHNQYPSSGSVALARGTTVSG -ETFELTAECGRRTGCEQINCLFCREMLSNPQCYPYGKWFLLFLILATLYIIVALLKTIMRIFMACLSVLY -GPFIIIIKISRCLGRLGKRKGERTYVRLMEALDDERKPEVVRAPVSLGRTKQPRIVLFIVLALLVHMALC -CDESRLVEETSVTCNPGPDNIFSCSTKEMITVKELRAGKTICVSLKGPGGSLSSPIKIKMLDIVGRSDLL -DIYFTFNGHANCKSVRRCRWAGSCGNSGCLGVGKEDYDRELGDQESSLHPNWRDCYDGCGGAACGCFNAA -PSCIFLKRYVTNADSRVFKVFKPSAWFLSTKIVVETTSHKEDVTLKSGEAKVIDKVSFHYRTDKNLFAGM -SIPPIVTEVKREGKPLSFFLENQGQHPKCKDENSARTSSASNCIVDQNTISANVRVDDVSCRSNLVSISG -MSTLKPLPQRVGDFLIQLHNDEPVLLATGDSGVVEGELQIDLSHKKISIKVDTTVCRGTVKELKGCVGCT -KGAFASLEIHSTSAGSASLQCSLSSCYMEVQKGVNNVNCSLRFSKAVVEETCVLACSGSKEQLSIKGNLI -IGGDFKKLTEDSATSFSHTDSKDTRIHLQTGLMNWLDTLFGASLLGKILGIGLAILSPFILILILRWILR -VVLRRSRIRREPKYEMAKYS - ->YP_009047241.1 glycoprotein polyprotein [Heartland virus] -MIVPIVLFLTLCPSELSAWGSPGDPIVCGVRTETNKSIQIEWKEGRSEKLCQIDRLGHVTSWLRNHSSFQ -GLIGQVKGRPSVSYFPEGASYPRWSGLLSPCDAEWLGLIAVSKAGDTDMIVPGPTYKGKIFVERPTYNGY -KGWGCADGKSLSHSGTYCETDSSVSSGLIQGDRVLWVGEVVCQRGTPVPEDVFSELVSLSQSEFPDVCKI -DGVALNQCEQESIPQPLDVAWIDVGRSHKVLMREHKTKWVQESSAKDFVCFKVGQGPCSKQEEDDCMSKG -NCHGDEVFCRMAGCSARMQDNQEGCRCELLQKPGEIIVNYGGVSVRPTCYGFSRMMATLEVHKPDRELTG -CTGCHLECIEGGVKIVTLTSELRSATVCASHFCASAKGGSKTTDILFHTGALVGPNSIRITGQLLDGSKF -SFDGHCIFPDGCMALDCTFCKEFLRNPQCYPVKKWLFLVVVIMCCYCALMLLTNILRAIGVWGTWVFAPI -KLALALGLRLAKLSKKGLVAVVTRGQMIVNDELHQVRVERGEQNEGRQGYGPRGPIRHWLYSPALILILT -TSICSGCDELVHAESKSITCKSASGNEKECSVTGRALLPAVNPGQEACLHFSVPGSPDSKCLKIKVKSIN -LRCKQASSYYVPEAKARCTSVRRCRWAGDCQSGCPTYFSSNSFSDDWANRMDRAGLGMSGCSDGCGGAAC -GCFNAAPSCIFWRKWVENPSNRVWKVSPCASWVLAATIELTLPSGEVKTLEPVTGQATQMFKGVAITYLG -SSIEIVGMTRLCEMKEMGTGIMALAPCNDPGHAIMGNVGEIQCSSIESAKHIRSDGCIWNADLVGIELRV -DDAVCFSKLTSVEAVANFSKIPATISGVRFDQGNHGESRIYGSPLDITRVSGEFSVSFRGMRLKLSEISA -SCTGEITNVSGCYSCMTGASVSIKLHSSKNTTGHLKCDSDETAFSVMEGTHTYRPHMSFDKAVIDEECVL -NCGGHSSKLLLKGSLVFMDVPRFVDGSYVQTYHSKVPAGGRVPNPVDWLNALFGDGITRWILGIIGVLLA -CVMLFVVVVAITRRLIKGLTQRAKVA - ->YP_008719917.1 envelope glycoprotein precursor [Razdan virus] -MMFSHVLMLALICAVACDDNPCLWERFANSRDMEFMTPVVNLSTSKGLSISQRICMVSMGMHWSRILSEA -EEKEMKNLDPLVMSSLNWRGTAKTRSSTSFNFDILDAIFLGLINMTKWDESSSRHRPIHPECIKSKVCGF -MTASGPRVKTCSGKLRGADRHGHCTNRAIPYEATNVISVGVQHAQEADQLDEHEAKFVSEARKSNNPDFC -SVDGVEINQCDMASPGRWLLLHYASFRLQEGSLVYFSPGLNIKWSQIDAPISDFFCINVSKHLDSHYRPC -DINCTNNCQGDELYCSIHQCERSAECKCSFIGSRGVAQVQIGDRWFTPAVVGSQQFYVKEEVPILQQPST -DCTTCAMTCSTEGISISSIKDELKDVTVCVEGFCSTRVSKGSKVWKIEFHNQYPSSGSIALARGTTVGGE -SFELTAECGRRSGCEQINCLFCREMLSNPQCYPYGKWLLLFLILAVFYIFIALLRTIMRIVMTCLSILYG -PFMIVIKISKCLGRLGKKKGERTYVRLMEAIDEEKKPDAQRPVTPVGRARQPRIVLFIVLAIMMHMALCC -DESRLIEETSVTCTPGSDNIFSCSTKEVITVKELRAGKTICVSLKGPGGSLSSPIKIKMLDIVGRSDLLD -VYFTFNGHANCKSVRRCRWAGSCGNSGCVGVGKEDYDRELGEQDSSAHPNWRDCFDGCGGAACGCFNAAP -SCIFLKRYVTNADSRVFKVFRPSAWFLSTKIVVDTASHKEDITLKSGEAKVIDKVSFHYRTDKNLFAGIS -IPPIVTEVKRDGKPLSFFLENQGQHPKCKDENSARISSVSNCIIDQNSITANARVDDVSCRSNLVSISGM -SALKPLPQRVGDFLIELQNDEPVLLATGDSGVVEGELQVDLSHKKISIKVDTTVCRGSMKELKGCVGCTK -GAFVSLEVHSTSAGSASLQCSLSSCYMEVQKGINNVNCSLRFAKAVVEEACVLACSGSKEQLIIKGNLII -GGDFKKLTEDSATSFSHSDSKDSRIHLQTGFLNWLDTLFGTSLLGKILGIGFAILSPFILILILKWVIKA -IIRRSRVRQGSRHDVNKDN - ->YP_008003508.1 glycoprotein precursor [Lone Star virus] -MTIEGPMRIDCAAKQKGVGKTGHCNAKAFSLDPHNVRALGVQRSQPGTVLSETQKDYVISERSAANPGVC -TIDGSVINHCERKYPGSWKQMHYAFFQGPEGGLTFVAPTLNVVWMQDPRLSWAYYCKNISLHHLDHINPC -RQNCTKETCQGDDLFCHEQGCTHDKACHCQHLPTFGMAKVLVGESWLIPFVVGVQEFFVVEELLGNPLLP -RKCSTCSLTCTSEGISFHSIKDELKEITVCIEGSCSSRQGVGGKVWSIPFGNQMPRGGAQAVAQGLTMAG -EAFSLSADCGVRSGCDQINCIFCTDMLSNPQCYPYGKWLLLCIMAGALYFVLSLSKFALQMLLMLAQLLT -SPLMLVWKVSKCLGRLGRRRTRRTYTRLLQAIEEGDAEPDITADVKRVDKPKKMPRIVVFALMLLTVVGA -TLACDETHLISETSVVCDATRASHYMCTTKEIVVLKNLRAGKTICISIKGPGGVISKPLKIVMEDIVGRA -DLLDAYYTFTGQANCKSVRRCRWAGTCSSGCSGVDKSSFVQELGASGLHSHPNWLDCYDGCGGAACGCFN -AAPSCIFLQRYVTNKDARVYKVFKTSAWFLNTRARVSVDDRTSGVTLKDGETKTIGKVSFSYRTDRNLFA -GLSLPPIVSEIKASGKPNDFLFENQGSHPKCKDQNSAILSSSSGCIVDQNSITVNPRVDDVSCRSNLVSF -NGMETLKPLPQRVGDFLVSFKGSEPVLLAVGSTNVVEGELRVDMSLKNFKIHVDGNICRGTLVSLKGCVG -CSHGATANLKIHADKAGESSLECPSSSCRLKLEKGVNDLECSLRFSTPNVDETCVLVCSGSKEHLQVTGA -LLIGGDFKKLTDDSASNFEHSDSKTAGIHIGTGIGNWLSSLFGFSVTRWIIGIVGFILTFVVLVVCLKWL -LFRVCRRSQPESDKDKIP - ->YP_006504094.1 membrane glycoprotein polyprotein [SFTS virus HB29] -MMKVIWFSSLICLVIQCSGDSGPIICAGPIHSNKSAGIPHLLGYSEKICQIDRLIHVSSWLRNHSQFQGY -VGQRGGRSQVSYYPAENSYSRWSGLLSPCDADWLGMLVVKKAKESDMIVPGPSYKGKVFFERPTFDGYVG -WGCGSGKSRTESGELCSSDSGTSSGLLPSDRVLWIGDVACQPMTPIPEETFLELKSFSQSEFPDICKIDG -IVFNQCEGESLPQPFDVAWMDVGHSHKIIMREHKTKWVQESSSKDFVCYKEGTGPCSESEEKACKTSGSC -RGDMQFCKVAGCEHGEEASEAKCRCSLVHKPGEVVVSYGGTRVRPKCYGFSRMMATLEVNPPEQRIGQCT -GCHLECINGGVRLITLTSELRSATVCASHFCSSASSGKKSTEIHFHSGSLVGKTAIHVKGALVDGTEFTF -EGSCMFPDGCDAVDCTFCREFLKNPQCYPAKKWLFIIIVILLGYAGLMLLTNVLKAIGVWGSWVIAPVKL -MFAIIKKLMRTVSCLVGKLMDRGRQVIHEEIGENGEGNQDDVRIEMARPRRVRHWMYSPVILTILAIGLA -EGCDEMVHADSKLVSCRQGSGNMKECITTGRALLPAVNPGQEACLHFTAPGSPDSKCLKIKVKRINLKCK -KSSSYFVPDARSRCTSVRRCRWAGDCQSGCPPHFTSNSFSDDWAGKMDRAGLGFSGCSDGCGGAACGCFN -AAPSCIFWRKWVENPHGIIWKVSPCAAWVPSAVIELTMPSGEVRTFHPMSGIPTQVFKGVSVTYLGSDME -VSGLTDLCEIEELKSKKLALAPCNQAGMGVVGKVGEIQCSSEESARTIKKDGCIWNADLVGIELRVDDAV -CYSKITSVEAVANYSAIPTTIGGLRFERSHDSQGKISGSPLDITAIRGSFSVNYRGLRLSLSEITATCTG -EVTNVSGCYSCMTGAKVSIKLHSSKNSTAHVRCKGDETAFSVLEGVHSYIVRLSFDHAVVDEQCQLNCGG -HESQVTLKGNLIFLDVPKFVDGSYMQTYHSTVPTGANIPSPTDWLNALFGNGLSRWILGVIGVLLGGLAL -FFLIMFLLKLGTKQVFRSRTKLA - diff --git a/seq/clusters_seq/cluster_398 b/seq/clusters_seq/cluster_398 deleted file mode 100644 index 66ec96c..0000000 --- a/seq/clusters_seq/cluster_398 +++ /dev/null @@ -1,101 +0,0 @@ ->YP_010085017.1 P protein [Pohorje myodes paramyxovirus 1] -MANFSTSELNQLVQDGIKTVEFIQQATKNPKETYGRSAIQKPRTKDRIKAWEGVTADHDYTGDKQDGVPG -KEKGIKKRKGKGSPKDNRGTGNEEDPIKREPSTPSEAGDIQDRDVENTADERAEDGGDTGSGLHQPREGS -DPGSDGDAESARSLGDDSVSNADYRVILSADHESSAAETTDLPSNVASVRPATTEDFAQIFEEGSSKAHR -RLTGVAAYTDSDAAHKSAGNPVKKGIDGSTASTPSVDIQSSGSGAIPSVHELLLRQPSSHAHAESAHKGV -PSVSTTGSTYKSCETASHHQILEGKIDILIGNVDRIASKLDLLPEIKEEIKNINKKITTLSLGLSTVENY -IKSMMVIIPGSGKDEEKRNPDVNPDLRPVIGRDNTRGLREMKMERGTLEDLNDESNSQPKIDPKYLINPL -DFSKSNAANFKPTNDLASLKTIVAMIKNEVKDITTQISLIEWVEKKIDQLPAEEVYNMVRESLDGMDSDE -S - ->YP_009666849.1 P protein [Mount Mabu Lophuromys virus 2] -MTSFSPNELNKLVEDGISTVNFIQQNKEDIQKTYGRSAIDKPSTKDRTKAWETFTEGTSGGPVSQSGGVG -GSKGDKKENSRGGPGTDRSPRIKGDGSDSSKDSKGTGDDNEAGHAKDPIKPGQGNRSDTGSEQAKHTAVR -SPDQSGDGKSGRDDTGIKVNTEELSQILHFDEETRKVEEDEGHKSTMQIRDATPGDLGSILEEDSSKVHK -RLRGITNMTMQDMGNDGPKKPVKKGTDENIASTPSMGKSLSGSGAIQHAPRSAPPRSKKNASAENAQSFV -PTVSKTGCIEEGTQTSSDSGQIEKKIDLILAHLSNIDKKLTMIPEIKEDIKNLTKRMNTLALGLSTVEGY -IKDMMIIIPKSGKTQEETQQEKNPTLRPVVGRDKTRGFTEVTTQKSNLERMDEGQEPKFFFEDRYMMYDL -DFLKSNAANFVPENNKYTKRIMSDIINIHIKNKKLADRIRRWAWTQLEGEDPGTVYQALIESLIDLKDAP -INKSADD - ->YP_009666841.1 P protein [Mount Mabu Lophuromys virus 1] -MAGVDPNLDLINNGIQTIEFIQSNRDEIQKTYGRSSIGQPRTKERAAAWEAYLESKDGHPKGQRRGGESQ -TSDSESSSREGNSDLGSSLSQSSLSEINPRESTGSGNYKGGYDEDPAELHSGTRDDCRRESNRNGGGGTI -IGEGTDSTQGGNSSHEIDDGDYDRICLLDQATEDHLAGKETPGKLEVREARPSDIAEILSEDSSRTHRRL -RGIRSVSRESESEESDVSPVKKGIAGSIVSMSSKGKHTSGSGATQHALLSPSTPTNRSVSADDARESART -AREISIDDDLGVFSSFGGGSDISDKIDRMLENQNEILSKLQVLAEVSEEIKGIKKILTNHSLSLSTLEGY -INDLMIVIPKSGISNSDGDDEKNPDLRMVVGRDKSRGVTEVSKRKRPKSTFGEEIGTGRTIDEEYLLDPL -DFKKNNAANFVPDNTPVSMSIIASMVKKRVHDPDTRDELLMLVESNLGSIPMKDMYEQIRKHIDQMDS - ->YP_009094113.1 V protein [Tailam virus] -MSDYTPEALQQLVKNGIKTIELLQQSPEDFQKTYGRSAIQEPTTRARVQAWESLNTNHDNTSNQDQRNQG -VKEGTNTSESKGAPSADGRYGNQSKSDRDDSATGIQRADQPLWDAPYNDGDSSRNRGDTAGGLPTIGEGS -NTFQSGDQEFEGYHPDGPVNAGEYSQISAMDHEMSAAEMYGSTTQLTSMRNATTDDFAKVFEEGTPKVHR -RLRGITAVVPAPKQIGAVGGPVKKGHRREYCIDTFGGRTIIREWCNPQCAPLTATPTQKECSCGECPRQC -ARCINDRGYWPK - ->YP_009094112.1 W protein [Tailam virus] -MSDYTPEALQQLVKNGIKTIELLQQSPEDFQKTYGRSAIQEPTTRARVQAWESLNTNHDNTSNQDQRNQG -VKEGTNTSESKGAPSADGRYGNQSKSDRDDSATGIQRADQPLWDAPYNDGDSSRNRGDTAGGLPTIGEGS -NTFQSGDQEFEGYHPDGPVNAGEYSQISAMDHEMSAAEMYGSTTQLTSMRNATTDDFAKVFEEGTPKVHR -RLRGITAVVPAPKQIGAVGGPVKKGAQTRILHRHFWGTYNYPGVVQSTMCTPHCYSNPKRMLMRRMPKAV -CKMYQRPGLLAKVTRLHSVIRRLKESLTWC - ->YP_009094111.1 phosphoprotein [Tailam virus] -MSDYTPEALQQLVKNGIKTIELLQQSPEDFQKTYGRSAIQEPTTRARVQAWESLNTNHDNTSNQDQRNQG -VKEGTNTSESKGAPSADGRYGNQSKSDRDDSATGIQRADQPLWDAPYNDGDSSRNRGDTAGGLPTIGEGS -NTFQSGDQEFEGYHPDGPVNAGEYSQISAMDHEMSAAEMYGSTTQLTSMRNATTDDFAKVFEEGTPKVHR -RLRGITAVVPAPKQIGAVGGPVKKGTDENTASTLLGDVQLSGSGAIHNVHPSLLLQPKKNAHAENAQGSV -QDVSTTGAIGQSNEAAFSNQEVEGKLNLVLKELDSISKKLDYLPEIKEEIKNINKKITNLSLGLSTVESY -IKSMMIVIPGSGKTDGQEPPEVNPDLRAVIGRDKTRGLKEVTMQRSDLESLELPSPPRDEIDPKYLTRDL -DFEKSNAANFVPTDDPSSFYTIVAMIKDEVTEMRRQQDLIKWVSESMAKYPLSQIYKIVREMLDADEEES -DSSDSE - ->YP_512246.1 W protein [Beilong virus] -MSDYNPDVLQQLVKDGIKTIELLQQSPEDFQKTYGRSAIQEPSTRARIQSWESRNPDHDYTGNKNQRSEG -AKERANKSESAGTASADGGHGDKPSNNGGDSQNEYQGSDQQVWDAAYNDGNSGGAWGGPTGGLPTAGERG -YPITTGNQEFEGYHPDGPVDAREYNQISSMDHEMSAAETLGSSTQLTTMRNATTDDFAKIFEEGTPKVHR -RLRGITAVVPAQKQPGAVGGPVKKGAPTGVLHRHFWGTYHYPGVVQSPMCTPHCYTNPKRMLMRRMPKAV -CKMYRRPGLLAKVTRLHTLIRRLKENSILCLRN - ->YP_512247.1 V protein [Beilong virus] -MSDYNPDVLQQLVKDGIKTIELLQQSPEDFQKTYGRSAIQEPSTRARIQSWESRNPDHDYTGNKNQRSEG -AKERANKSESAGTASADGGHGDKPSNNGGDSQNEYQGSDQQVWDAAYNDGNSGGAWGGPTGGLPTAGERG -YPITTGNQEFEGYHPDGPVDAREYNQISSMDHEMSAAETLGSSTQLTTMRNATTDDFAKIFEEGTPKVHR -RLRGITAVVPAQKQPGAVGGPVKKGHRREYCIDTFGGRTIIREWCNPQCAPLTVTPTQKECSCGECPRQC -ARCIDDRGYWPK - ->YP_512245.1 phosphoprotein [Beilong virus] -MSDYNPDVLQQLVKDGIKTIELLQQSPEDFQKTYGRSAIQEPSTRARIQSWESRNPDHDYTGNKNQRSEG -AKERANKSESAGTASADGGHGDKPSNNGGDSQNEYQGSDQQVWDAAYNDGNSGGAWGGPTGGLPTAGERG -YPITTGNQEFEGYHPDGPVDAREYNQISSMDHEMSAAETLGSSTQLTTMRNATTDDFAKIFEEGTPKVHR -RLRGITAVVPAQKQPGAVGGPVKKGTDGSTASTLLGDVPLSGSGAIPNVHPSLLHQPKKNAHAENAQGSV -QDVSTTGAIGQSDEAAHFDQEIEGKLNLVLKELDLISKKLDYLPEIKEEIRNINKKITNLSLGLSTVENY -IKSMMIIIPSSGKPDVNDTAEVNPDLKAVIGRDKTRGLKEVTTHRSDLESLDLLSPATSEIDPKYLTQDL -DFTKSNAANFVPGNDASSFYTIVAMIKGEVTDVKRQQELIKWVSDSMNKHPMGQIYRVVREALDAESDSS -SSSDSD - ->YP_338077.1 W protein [J-virus] -MNSYSVQALEQLVNDGIKTAQFFQKNQENIQKTYGRSAIGLPTTKERISAWEAVAETPYGEQIQLGGGNG -EDQRGEQAEGQDNPGGHGHGGEEIPTGANPSALQLQGSYNQVWDGPNVATYSGGEGGDTGNQHGRTDSGS -AADTGGSNQSDGSSTYSTVDAGDLRQMMIFDHETSAIEVGASKNNTMKIRNATEEDLGNVMSEGTSKIHK -RLRGVAAMTDPLPVPRTTGGPVKKGASKRVLYRQFWGEDLHPGVVQSPMCTHHCYSYPVKMYMRRVPQSV -CKMYQRPDLRAKVARLNRIVLELRARLTYY - ->YP_338078.1 V protein [J-virus] -MNSYSVQALEQLVNDGIKTAQFFQKNQENIQKTYGRSAIGLPTTKERISAWEAVAETPYGEQIQLGGGNG -EDQRGEQAEGQDNPGGHGHGGEEIPTGANPSALQLQGSYNQVWDGPNVATYSGGEGGDTGNQHGRTDSGS -AADTGGSNQSDGSSTYSTVDAGDLRQMMIFDHETSAIEVGASKNNTMKIRNATEEDLGNVMSEGTSKIHK -RLRGVAAMTDPLPVPRTTGGPVKKGHRREFCIDNFGGKTYIREWCNPQCAPITVTPTQSRCTCGECPKVC -ARCIKDRIYVPK - ->YP_338076.1 phosphoprotein [J-virus] -MNSYSVQALEQLVNDGIKTAQFFQKNQENIQKTYGRSAIGLPTTKERISAWEAVAETPYGEQIQLGGGNG -EDQRGEQAEGQDNPGGHGHGGEEIPTGANPSALQLQGSYNQVWDGPNVATYSGGEGGDTGNQHGRTDSGS -AADTGGSNQSDGSSTYSTVDAGDLRQMMIFDHETSAIEVGASKNNTMKIRNATEEDLGNVMSEGTSKIHK -RLRGVAAMTDPLPVPRTTGGPVKKGIEESSVSTILGGRPTSGSGAIPNVHPSLLLLPSQDVHAESAPKCV -QDVSKTGSTCQSSKAEQDCSGIEGKIDLLLGNLEKVTRALDVLPEIREEIRNINKKITNLSLGLSTVEGY -IKSMMIIIPGSGKPNPGDTPEVNPDLKAVIGRDNTRGLSEVRSQKINLESLTDDPPSLGTIDESHIVRDL -DFTKSNAANFAPTNRFSSVMTMFNMIKEEVKDYNMRAGLTRWLEDAIERLDADDVYRALRRTLDRLAEDD -EDDEEEDEN - diff --git a/seq/clusters_seq/cluster_399 b/seq/clusters_seq/cluster_399 deleted file mode 100644 index f153a77..0000000 --- a/seq/clusters_seq/cluster_399 +++ /dev/null @@ -1,73 +0,0 @@ ->YP_010087763.1 ORF3 [Physalis rugose mosaic virus] -MSYKLSCIPALLGPGLLTIFVWWGVSQTNLLKKAMAPKRMTMGQLAQALKNTMVSNTPPSAAQKRRRRRR -RARNITGSSQMIQAPTASGAIFKIARPLVGGRRASLPIEHVEQVLIVAQGQSTFVADIINLVPVSFSWLT -PMAVQFSEYRWLSLTVIYMPEVGTNTAGAISMGFKFDITDTAPNDPASLANLEGFVTAPVWAGYDGAGLL -NTEVPLPTKFPPGAVATCLDVKRLTKPWYQFKSBPPITDEGNIYSPAQLCVGVSGNGATSTTGRLYVRYR -IELVHPMSASDNEVRILGQTKRDNEDVPPPQRDPSSKVTPSKDVKCI - ->YP_009140474.1 CP [Cymbidium chlorotic mosaic virus] -MAKRKSRRRPAKVTEILVEAPTNQSRARRRSSMNPSTGLRLSRPVTMPAAAGIVFGKSVPRLRSEGVSTI -LTNCEVNLGVVVTTGATQVVRECAPFEVGQWLAGIAANFSKWRWLRLRFLYVPYCPTTLQGSLHMGFVYD -DLDSNPTTVEAMSTLSGYTTSPLWNGAQCAPALSSVKSVVPTGSVCAVLDVTRLSKPWYPFLTAAGYTDV -TETTTALGNMYSPGKLVILTIDGSSSTAVGCGRLYAQYEIELIEPIASSLNR - ->YP_008869288.1 coat protein [Turnip rosette virus] -MEKGNKKLTKNQRRKTRKKNSQSGRTNSVVTPLWAPVSTGTVMQGGALSISSLNNKGDIRVCGREVVTEI -SVSNTPTPSVLQVIPETFPSRLKGLATSWSKFKWQAIKFVYMPICPTTERGSVHFGFLYDTVDNLPGTVG -EISTLQGYTTGSVWAGTSGNELLEDGVYAKTPKDAVVARMDARRADKKYYPIVSTTQLTKSLNVDASLGN -TYVPARLAILTADGTTAADKPQIVGRLYAVFCVDLIDTIASSLNV - ->YP_007438859.1 capsid protein [Southern bean mosaic virus] -MAKRLTKQQLAKAIANTLEAPATQSRRPRNRRRRRSAARQPQSIQAGASMAPIAQGAMVRLREPSLRTAG -GVTVLTHSELSTELAVTNAIVVSSELVMPFTMGTWLRGVAANWSKYSLFSVRYTYLPSCPSTTSGSIHMG -FQYDMADTLPVSVNQLSNLRGYVSGQVWSGSSGLCYINGTRCSDTANAITTTLDVAKLGKKWYPFKTSTD -FTAAVGVIVNIATPLVPARLVIAMLDGSSSTAVSTGRLYVSYTVQLIEPTALALNN - ->YP_007438851.1 coat protein [Lucerne transient streak virus] -MVAKTRRANRKKKRNNNTQRRTTEPNRQLATARRVTIPAATSVVVRKSSPVIRSDRKGIQVCNTEFIRSF -DLNAGFTVGSLPCTPGTMPWLSRMAASWGRWKWNSLRFTYIPAAPSSTQGTVAMGFLYDALDSLPSNLAS -MSSLDGFTTGAVWSGCEGSAYLAKPSMRDIPGAISTCLDIDPDWRQKRWRYITALELAALSKPDFNIYAP -ARLAIAAANSSINIASVGTLYATYEVELFDPISAELNLPTPAVVTQAKEDTTKHTSNTEEEDGKAKPH - ->YP_006589927.1 capsid protein [Papaya lethal yellowing virus] -MISAGRVRRQTIKNAARAAAKVAVQQAQRVQQVAPKGMNAKQRRALRRKMLQSTASVQTRQVTAPTAKSV -QVIRAMPTLRSRNSVTGITHMEYNQPVSVKATPTVTVDNCMPYTVGTWLRGVASNWSKWRWRKLRFYYLP -SCATTTPGSVHMGLTYDQYDTVPTNATEMCNLQGYTTGPVWSGAEASTALQNANVMCPPGAICCEVDVTR -FTQAWYNYITSVSFTSATTVNVAIGNMYSPVRLVCLTDEGPATAVNAGKILVQYEIELIEPVTSALNL - ->YP_004869652.1 capsid protein [Soybean yellow common mosaic virus] -MAKRLTKQQLAKAIANTLEPPSGAQPRKRRNRSRRRSAAMQPRPIQAGVSMAPIAQGTMVRLREPSMRTT -GGITTLTHSELSTELSVTSTIVVASELVMPYSVGTWLRGVASNWSKYAWISVRYTYLPACPSDTAGTIHM -GFQYDMADTVPVSVNQLSNLRGYVSGQVWSGSSGLCFINGTKCLDTSSAISTTLDVSKLGKKWYPYKTST -DYTTAVGVDANIATPLVPARLVIAMLDGTSTTAVNAGRLYVTYTIQLVEPIASALNN - ->YP_002158815.1 capsid protein [Sowbane mosaic virus] -MNQTKKKKSRPRNKQSKAQPNKSTAQVVSQPAAKGIVMGRAMPVFNGKAGVLRLRHHEILQTIVSTTDKY -TVRSVNLVPANFSWLSGVAVNFSKWKWHALNLVYVPACSTSVQGNIDMALQYDGNDIPATTPEELSVMYG -HSGGPVWSGTAGCRLLDNATMSGRGKPPEAIATIVDVSKFSKSNYLYRSGALSGDSQTIYCPCDLQYGVT -AGITPTVGAIGYIWATYDVEMFEPLPARLNK - ->NP_715629.1 capsid protein [Subterranean clover mottle virus] -MTGRRVRRSKKKSGQETTTQQNRQRSGGKQSQNQMIQVKRERTPMASTVVIGRSFPAIHSEGTRTRVCHT -ELIRAVDINTIFTLNFTWCIPSAFPWLSGVAVNWSKWRWVSLRFTYMPAAATTTQGTVALGYLYDALDAV -PAALQQMSSLAGFSTGAVWSGSEGSVLLRAANKNGNVPGAVSSQLNIADPSKYYRYENLTNFAAIAEGTK -NLYAPARLAVAAANGAADVGGVGTVYATYVVELIDPISSALNS - ->NP_066394.1 coat protein [Sesbania mosaic virus] -MAKRLSKQQLAKAIANTLETPPQPKAGRRRNRRRQRSAVQQLQPTQAGISMAPSAQGAMVRIRNPAVSSS -RGGITVLTHSELSAEIGVTDSIVVSSELVMPYTVGTWLRGVAANWSKYSWLSVRYTYIPSCPSSTAGSIH -MGFQYDMADTVPVSVNQLSNLRGYVSGQVWSGSAGLCFINGTRCSDTSTAISTTLDVSKLGKKWYPYKTS -ADYATAVGVDVNIATPLVPARLVIALLDGSSSTAVAAGRIYCTYTIQMIEPTASALNN - ->NP_042303.1 capsid protein [Southern cowpea mosaic virus] -MSGLFHHRTKPREIRAFVMATRLTKKQLAQAIQNTLPNPPRRKRRAKRRAAQVPKPTQAGVSMAPIAQGT -MVKLRPPMLRSSMDVTILSHCELSTELAVTDTIVVTSELVMPFTVGTWLRGVAQNWSKYAWVAIRYTYLP -SCPTTTSGAIHMGFQYDMADTLPVSVNQLSNLKGYVTGPVWEGQSGLCFVNNTKCPDTSRAITIALDTNE -VSEKRYPFKTATDYATAVGVNANIGNILVPARLVIAMEGGSSKTAVNTGRLYASYTIRLIEPIAAALNL - ->sp|O72158.1|CAPSD_SBMVA RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MAKRLTKQQLTKAIANTLEAPATQSRRPRNRRRRRSAARQPQSTQAGVSMAPIAQGTMVRLREPSLRTAG -GVTVLTHSELSTELSVTNAIVITSELVMPYTMGTWLRGVAANWSKYSLLSVRYTYLPSCPSTTSGSIHMG -FQYDMADTLPVSVNQLSNLRGYVSGQVWSGSSGLCYINGTRCLDTANAITTTLDVGQLGKKWYPFKTSTD -FTTAVGVNVNIATPLVPARLIIAMLDGSSSTAVSTGRLYVSYTIQLIEPTALALNN - diff --git a/seq/clusters_seq/cluster_4 b/seq/clusters_seq/cluster_4 deleted file mode 100644 index 27cae33..0000000 --- a/seq/clusters_seq/cluster_4 +++ /dev/null @@ -1,5328 +0,0 @@ ->YP_009222007.1 polyprotein [Banzi virus] -MVNPKGVNVMAARVKRAAQKTKKKAVQVSRGLRGFVLFVLTQLFMGRKLTPNVRRLWKSSDKNSLIHVLT -KIKKIVGNLLMGVSRRKKRRSATTSGTVFMAMLGLTLAASVARHAHHTLINITKDDAHKLLTLRNGNCTV -VATDIGNWCPDNVEYDCVTLQDNEDPDDVDCWCYRVNNVRVTYGRCKDGNTPRRSKRAVVITAHLDQGLT -TKKETWLGSSHFETQVQKVEKWIIRNPTYAIAAILMSWYIGNSLKQRVVLLLLTLALGPAYATHCVGIPK -RDFVQGVQGTTWVNLVLEQGGCVTIMAEGKPSVDVWMDNIKFTSPTLVRRISHTATISDTKIATACPSNG -EAKLDEEHIKEYACKRLYSDRGWGNGCGLFGKGSLVACAKYESTGHMDVYEMDMTKVEYTVKTQVHSGAK -SGDLSGVKTVSFAPTSGSQPVEFSGYGNMGLQCMIQSNVDFSTHYLVVMGNDAWLVHKAWVEDITLPWKH -GEGGTWKDKQYMVEFGEPHATTVKVLALGPQEGALRNALAGAMIVTYESSGKTFKLHGGHVTCKATVSGL -ALKGTTYTNCRGGLSFVKTPTDTGHGTVVMQVKVAKSAPCRLTAIAADDASGHVNRGTLVTSNPIAASNN -DEVMIEINPPYGTSYLIVGVGDDKLVYQWKKSGSTIGSLFSETVKGAQRMAIVGSSSWDFSSTSGFFSSV -GKAIHTVFGTAFHGIFGGLSWMTRILIGVLLVWLGLNSRNGTATTLMMLTGFIILFLSLGVGAEVGCSVN -WGQKELKCGDGIFVYNDVDDWMHKYKYHPEDPKVMAGLIAKAWEKGACGLTSVSELEHVMWVKIASEINA -ILEENEIDLTVVVHENKSVYRRGSRRFPRVETELTYGWESWGKNFITDGKVSNNTFHVDGKEDQCASKNR -VWNSLEIEEFGFGVFHTNVFLRQKADKTNSCDTTLMGAAVKGNVAAHADPGFWMESQENNGTWEIQSIEF -TAYRECEWPVSHTVHGTQVMESDMFMPKGIGGPVSHLNRMQGYKVQTNGAWAYGKTVVQRELCPDTSVVV -DSSCSDRGKSIRSTTTEGKVIKEWCCRSCTLPPVSYWTSEGCWYAMEVRPMKTPEKHLVRSWVTAGDSYP -AWSIGLVAMFLFVDIMARSRPTRKMMIGGTMLLLAIMIMGELSYLDLLRYIIVVGEHFIERENGGDVAYM -AIMAASHLRPGLMAMVFAKSMWSPKQRVLLALGCAILQPFLTAQASALVWEWADSIGLVLLIVQGMVRNK -EKNWALVLLALCSPVSMPVIRKASMIIGTGGLLLSLWKGGGSSMRKGLPLFAASAARVLGLTKAHLSVLF -ILLITKNGKRTWPISECLAAVGIFGAAFGTMFSEDETLLGPLALVGVVLIVYTMFTQSDGLELVKAADIS -WSDEAVVSGEARRFDVALNDSGEFKLLDEPPVSWLNVSFLVVAIVASSLHPIALVVTLVAWTYWRTEKRS -GVLWDVPLAPKVEACEHLEDGVFRIIQKGLFGSSQVGIGVAKDGVFHTMWHVTRGAFLMHSGKQLTPTWG -SVRKDLVCYGGTWKLDGAWNGVDEVQLIAVPPGKPATNVQTKPGTFVLPTGDEAGAVLLDFPSGTSGSPI -IDRHGNILGLYGNGIVLENGAYASAISQAQPGSVAEVETPGLDKMLRKGEFTMLDYHPGAGKTRKHLPNI -LKECERKRLRTLVLAPTRVVLSEMKEALTSVQAKFHTQAFNSTTTGREIIDVMCHATFVHRMLEGLRSGN -WEVIIMDEAHFLDPTSIAARGWAHHKSKTKESAVIFMTATPPGTSNEFPESNAEIEDVKKEIPSEPWSKG -HEWILEDRRPTVWFLPSIKAANVMAACLRKAERSVVVLNRSTFENVYPTIKTKKPDFILATDIAEMGANL -PVERVIDCRTAYKPVLVDERVALKGPLRIAAAAAAQRRGRVGRNPDRDGDTYVYSEDTCEQNDHLVCWTE -GSMLLDNMQVKGGFVAPLYEEEASKTTMTPGECRLRDDQRKVFRTLIRKHDMPVWLSWQVAKSGLAADDR -KWCFDGEDDNAILGDNGEVIKARSPGGQRKELKPRWSDARIASDNTSLMNFIAFAEGRRSLPLSILWSVP -NQLSEKLVQSIDTLTILLRSEEGSRAHKLALQQAPEAVSTLLLLGMMAICTLGLVILLMKPKATDKMSMA -MVTMAITGYLLKLGGMTHAQVGGILLVFFIMMVVIIPESGTQRSINDNKLAYVIILVGLVIGGVACNELG -WLEKTKADLFGNNMTHAQTVVLPTINWNWLDFRPGAAWSLYVGMATFLTPVFVHWIKNEYGNASLTGITP -TAGILGALNQGVPFVKLNTSVGVLLLSVWNNFTTSSMLAAMVMLACHCLFVLPGVRAQCLREAQIRVFHG -VAKNPMVDGNPTVDLEKENDMPDLYEKKLALVALGMAAVLNAAMVRTALTTAEMVVLGSAAVGPLLEGNT -SAFWNGPLAVAVAGVMRGNHYALIGIVYNLWLLKTARRGGSSALTYGEVWKRQLNLLGKQEFMNYKVSDI -LEVDRSHAREVLNSGNDAVGVAVSRGSSKLNWLIERGYLRPTGRVVDLGCGRGGWSYTCAAERQVTSVKA -YTLGKEGHEKPRLIQSLGWNIIKFKDKSDITRMTPHASDTLLCDIGESSSNPEVEKERTLRVIEAVEKWM -SPTTVSFCFKVLAPYKPDVIEALERFQLKHGGGIIRNPYSRNSTHEMYYVSGVRNNILHMVNSTSRMLMR -RMSRPSGRSTVVPDLIYPTGTRSVASEAGPLDLEKVKARINRLKEEQESTWFVDSDHPYRTWHYHGSYVA -KQSGTAASMINGVVKLLSGPWDRIEEVTNMAMTDTTPFGQQRVFKEKVDTRAPEPPQGTREIMKVVNQWL -FDYLGRTKQPRICTKEEFINKVRSHAALGGILTEQEGWSSAAEAVADPRFWSLVDKERQAHLEGRCETCI -YNMMGKREKKPSEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWLGRENSKAGVEGIGLQYLGYVVEE -VARKGNGLVYADDTAGWDTRITEADLEDEQYIMKRMSAEHRQLAWAVMELTYRNKVVKVPRPGPGGKILM -DVISRRDQRGSGQVVTYPLNTATNMKVQLIRMAEAENVITRNDVEKVSLITLKELQLWLEVNGVNRLERM -AVSGDDCIVAPVDESFAGALHHLNAMSKTRKDISEWENSRGWTDWESVPFCSHHFHTLYLKDGRTIIAPC -RCQDELIGRARISPGNGWMIKETAGLSKAYTQMWTLMYFHRRDLRLMANAICSAVPIDWVPTGRTTWSIH -ATGEWMSSDDMLEVWNKVWIQDNPHVKDKTPIFAWRDVPYIQKGQDRACGSLVGTSLRASWAESIMTSVH -RVRMLIGNERYVNYMESMDRYATQRCSAYGELL - ->YP_009246337.1 truncated flavivirus polyprotein WARF4 [West Nile virus] -MSKKPGGPGKSRAVNMLKRGMPRVLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSSKQKKRGGKTGIAVMIGLIASVGAVTLSNFQGKVMMTVNAT -DVTDVITIPTAAGKNLCIVRAMDVGYMCDDTITYECPVLSAGNDPEDIDCWCTKSAVYVRYGRCTKTRHS -RRSRRSLTVQTHGESTLANKKGAWMDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFVV -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDLVLEGDSCVTIMSKDKPTIDVKMMNMEAANLAEVRSYC -YLATVSDLSTKAACPTMGEAHNDKRADPAFVCRQGVVDRGWGNGCGLFGKGSIDTCAKFACSTKAIGRTI -LKENIKYEVAIFVHGPTTVESHGNYSTQVGATQAGRLSITPAAPSYTLKLGEYGEVTVDCEPRSGIDTNA -YYVMTVGTKTFLVHREWFMDLNLPWSSAGSTVWRNRETLMEFEEPHATKQSVIALGSQEGALHQALAGAI -PVEFSSNTVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFKFLGTPADTGHGTVVLELQYTGTDGPCKVPI -SSVASLNDLTPVGRLVTVNPFVSVATANAKVLIELEPPFGDSYIVVGRGEQQINHHWHKSGSSIGKAFTT -TLKGAQRLAALGDTAWDFGSVGGVFTSVGKAVHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDRS -IALTFLAVGGVLLFLSVNVHADTGCAIDISRQELRCGSGVFIHNDVEAWMDRYKYYPETPQGLAKIIQKA -HKEGVCGLRSVSRLEHQMWEAVKDELNTLLKENGVDLSVVVEKQEGMYKSAPKRLTATTEKLEIGWKAWG -KSILFAPELANNTFVVDGPETKECPTQNRAWNSLEVEDFGFGLTSTRMFLKVRESNTTECDSKIIGTAVK -NNLAIHSDLSYWIESRLNDTWKLERAVLGEVKSCTWPETHTLWGDGILESDLIIPVTLAGPRSNHNRRPG -YKTQNQGPWDEGRVEIDFDYCPGTTVTLSESCGHRGPATRTTTESGKLITDWCCRSCTLPPLRYQTDSGC -WYGMEIRPQRHDEKTLVQSQVNAYNADMIDPFQLGLLVVFLATQEVLRKRWTAKISMPAILIALLVLVFG -GITYTDVLRYVILVGAAFAESNSGGDVVHLALMATFKIQPVFMVASFLKARWTNQENILLMLAAVFFQMA -YHDARQILLWEIPDVLNSLAVAWMILRAITFTTTSNVVVPLLALLTPGLRCLNLDVYRILLLMVGIGSLI -REKRSAAAKKKGASLLCLALASTGLFNPMILAAGLIACDPNRKRGWPATEVMTAVGLMFAIVGGLAELDI -DSMAIPMTIAGLMFAAFVISGKSTDMWIERTADISWESDAEITGSSERVDVRLDDDGNFQLMNDPGAPWK -IWMLRMVCLAISAYTPWAILPSVVGFWITLQYTKRGGVLWDTPSPKEYKKGDTTTGVYRIMTRGLLGSYQ -AGAGVMVEGVFHTLWHTTKGAALMSGEGRLDPYWGSVKEDRLCYGGPWKLQHKWNGQDEVQMIVVEPGKN -VKNVQTKPGVFKTPEGEIGAVTLDFPTGTSGSPIVDKNGDVIGLYGNGVIMPNGSYISAIVQGERMDEPI -PAGFEPEMLRKKQITVLDLHPGAGKTRRILPQIIKEAINRRLRTAVLAPTRVVAAEMAEALRGLPIRYQT -SAVPREHNGNEIVDVMCHATLTHRLMSPHRVPNYNLFVMDEAHFTDPASIAARGYISTKVELGEAAAIFM -TATPPGTSDPFPESNSPISDLQTEIPDRAWNSGYEWITEYTGKTVWFVPSVKMGNEIALCLQRAGKKVVQ -LNRKSYETEYPKCKNDDWDFVITTDISEMGANFKASRVIDSRKSVKPTIITEGEGRVILGEPSAVTAASA -AQRRGRIGRNPSQVGDEYCYGGHTNEDDSNFAHWTEARIMLDNINMPNGLIAQFYQPEREKVYTMDGEYR -LRGEERKNFLELLRTADLPVWLAYKVAAAGVSYHDRRWCFDGPRTNTILEDNNEVEVITKLGERKILRPR -WIDARVYSDHQALKAFKDFASGKRSQIGLIEVLGKMPEHFMGKTWEALDTMYVVATAEKGGRAHRMALEE -LPDALQTIALIALLSVMTMGVFFLLMQRKGIGKIGLGGAVLGVATFFCWMAEVPGTKIAGMLLLSLLLMI -VLIPEPEKQRSQTDNQLAVFLICVMTLVSAVAANEMGWLDKTKSDISSLFGQRIEVKENFSMGEFLLDLR -PATAWSLYAVTTAVLTPLLKHLITSDYINTSLTSINVQASALFTLARGFPFVDVGVSALLLAAGCWGQVT -LTVTVTAATLLFCHYAYMVPGWQAEGNALSPAADSGRNHEERCSGWHRGHGRPRIRAHHTHHAEESWTDH -ADLGVSSCSSSEPVCEDSTRSRNFDHGRSGDALGEWSKLCLERNNCHRTLPHHAWGLVVMSIHNMDTHKE -HGKTRTKKRWGKRTHLGRGLERKTQPDDKRRVH - ->YP_006485883.2 truncated flavivirus polyprotein NS1 prime [West Nile virus] -MSKKPGGPGKSRAVNMLKRGMPRVLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSSKQKKRGGKTGIAVMIGLIASVGAVTLSNFQGKVMMTVNAT -DVTDVITIPTAAGKNLCIVRAMDVGYMCDDTITYECPVLSAGNDPEDIDCWCTKSAVYVRYGRCTKTRHS -RRSRRSLTVQTHGESTLANKKGAWMDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFVV -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDLVLEGDSCVTIMSKDKPTIDVKMMNMEAANLAEVRSYC -YLATVSDLSTKAACPTMGEAHNDKRADPAFVCRQGVVDRGWGNGCGLFGKGSIDTCAKFACSTKAIGRTI -LKENIKYEVAIFVHGPTTVESHGNYSTQVGATQAGRLSITPAAPSYTLKLGEYGEVTVDCEPRSGIDTNA -YYVMTVGTKTFLVHREWFMDLNLPWSSAGSTVWRNRETLMEFEEPHATKQSVIALGSQEGALHQALAGAI -PVEFSSNTVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFKFLGTPADTGHGTVVLELQYTGTDGPCKVPI -SSVASLNDLTPVGRLVTVNPFVSVATANAKVLIELEPPFGDSYIVVGRGEQQINHHWHKSGSSIGKAFTT -TLKGAQRLAALGDTAWDFGSVGGVFTSVGKAVHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDRS -IALTFLAVGGVLLFLSVNVHADTGCAIDISRQELRCGSGVFIHNDVEAWMDRYKYYPETPQGLAKIIQKA -HKEGVCGLRSVSRLEHQMWEAVKDELNTLLKENGVDLSVVVEKQEGMYKSAPKRLTATTEKLEIGWKAWG -KSILFAPELANNTFVVDGPETKECPTQNRAWNSLEVEDFGFGLTSTRMFLKVRESNTTECDSKIIGTAVK -NNLAIHSDLSYWIESRLNDTWKLERAVLGEVKSCTWPETHTLWGDGILESDLIIPVTLAGPRSNHNRRPG -YKTQNQGPWDEGRVEIDFDYCPGTTVTLSESCGHRGPATRTTTESGKLITDWCCRSCTLPPLRYQTDSGC -WYGMEIRPQRHDEKTLVQSQVNAYNADMIDPFSVGPSGRVLGHPGGPSQEVDSQDQHASYTDCSASPGVW -GHYLH - ->YP_009227186.1 membrane glycoprotein precursor M [Spondweni virus] -EVTKKGDTYYMFADKKDAGKVVTFETESGPNRCSIQAMDIGHMCPATMSYECPVLEPQYEPEDVDCWCNS -TAAWIVYGTCTHKTTGETRRSRRSITLPSHASQKLETRSSTWLESREYSKYLIKVENWILRNPGYALVAA -VIGWTLGSSRSQKIIFVTLLMLVAPAYS - ->YP_009222008.1 polyprotein [Spondweni virus] -MKNPKRAGSSRLVNMLRRGAARVIPPGGGLKRLPVGLLLGRGPIKMILAILAFLRFTAIKPSTGLINRWG -KVGKKEAIKILTKFKADVGTMLRIINNRKTKKRGVETGIVFLALLVSIVAVEVTKKGDTYYMFADKKDAG -KVVTFETESGPNRCSIQAMDIGHMCPATMSYECPVLEPQYEPEDVDCWCNSTAAWIVYGTCTHKTTGETR -RSRRSITLPSHASQKLETRSSTWLESREYSKYLIKVENWILRNPGYALVAAVIGWTLGSSRSQKIIFVTL -LMLVAPAYSIRCIGIGNRDFIEGMSGGTWVDIVLEHGGCVTVMSNDKPTLDFELVTTTASNMAEVRSYCY -EANISEMASDSRCPTQGEAYLDKMADSQFVCKRGYVDRGWGNGCGLFGKGSIVTCAKFTCVKKLTGKSIQ -PENLEYRVLVSVHASQHGGMINNDTNHQHDKENRARIDITASAPRVEVELGSFGSFSMECEPRSGLNFGD -LYYLTMNNKHWLVNRDWFHDLSLPWHTGATSNNHHWNNKEALVEFREAHAKKQTAVVLGSQEGAVHAALA -GALEAESDGHKATIYSGHLKCRLKLDKLRLKGMSYALCTGAFTFARTPSETIHGTATVELQYAGEDGPCK -VPIVITSDTNSMASTGRLITANPVVTESGANSKMMVEIDPPFGDSYIIVGTGTTKITHHWHRAGSSIGRA -FEATMRGAKRMAVLGDTAWDFGSVGGMFNSVGKFVHQVFGSAFKALFGGMSWFTQLLIGFLLIWMGLNAR -GGTVAMSFMGIGAMLIFLATSVSGDTGCSVDISRREMRCGSGIFVYNDVDAWRSRYKYHPETPRALAAAV -KTAWEEGTCGITSVSRMENLMWSSVAGELNAILEDNSVPLTVVVGEPKYPLYNAPKRLKPPASELPQGWK -SWGKSYFVSAAKNNNSFVVDGDTMKECPRQKRAWNSLRIEDHGFGVFHTSIWLKFHEDNSTECDTAIIGT -AVRGKEAVHSDLGYWIESERNDTWRLSRAHLIEAKTCEWPRSHTLWTDGVEESELIIPRGLAGPFSHHNT -RAGYKTQNKGPWHLGDVEIQFATCPGTTVVQDQECRDRGASLRTTTASGRVINEWCCRSCTMPPLSFKTK -DGCWYAMEIRPVKEQESNLVRSHVTAGSTDHMDHFSLGLVVVMLMVQEGMKKRMTSKAIITSAAFLLAVM -IVGGFTYQDFGRLVVLVGAAFAEMNTGGDVAHLALVAAFKVRPAMLVSFMFRALWTPRESLLLALAACLL -QVSVTPLDHSIMIVVDGIALSWLCLKAILVPRTPNIALPLLAMLSPMLQGTTIVAWRAMMAALAVITLAS -MKHGRGVKKTFPYTIGCILGSMGLVENLGLVGLLLLTASKKRSWPPSEVMTAVGLICAIVGGLTKTDIDM -AGPMAAIGLLVVSYVVSGKSVDMYIEKVCDISWDKDAEITGTSPRLDVALDDSGDFSLIQDDGPPTREIV -LKVFLMCVCGVSPIAIPFAAAAWFVYIKSGKRSGAMWDIPSPREVKKGETTAGVYRIMTRKLLGSTQVGA -GVMHEGVFHTMWHVTKGSALRSGEGRLDPYWGNVKQDLISYCGPWKLDGKWDGVSEVQLIAVAPGERARN -VQTKPGVFKTTDGEIGALALDFPGGSSGSPIIDKNGHVIGLYGNGVVVKSGSYVSAIMQTEKMEEPAVDC -FEEDMLRKKKLTVLDLHPGAGKTRRVLPQIVKAAIKKRLRTVILAPTRVVAAEMAEALKDLPIRYMTPAV -SATHDGNEIVDLMCHATFTSRLMQPIRVPNYNLYIMDEAHFTDPASIAARGYIATRVDMGDAAAIFMTAT -PPGSTEAFPDSNAPITDVETEVPDKAWNSGFEWITDYPGKTVWFVPSVRMGNEISACLTKAGKSVIQLSR -KTFETEYQKTKNGEWDFVVTTDISEMGANFKADRVIDSRKCLKPVILDDMEERVVLAGPMAVTPSSAAQR -RGRIGRNPNKTGDEFYYGGGCAATDDDHAHWVEARMLLDNIYLQDNLVASLYKPEQGKVSAIEGEFKLRG -EQRKTFVELMKRGDLPVWLSYQVAASGLSYTDRRWCFDGKNNNTILEDCVPVEVWTKFGEKKILKPRWMD -ARICSDHASLKSFKEFAAGKRTIATGLIEAFGMLPGHMTERFQEAVDNLAVLMRAEAGSRAHRMAAAQLP -ETMETILLLSLLAFVSLGVFFVLMRAKGLGKMGSGMIVLAGSGWLMWMSEVEPARIACVVIIVFLLMVVL -IPEPEKQRSPQDNQLALIILIATGLITLIAANELGWLERTKSDLTRLFWREHAEPTGGRGFSFSLDIDLR -PASAWAIYAAMTTLITPTVQHAVTTSYNNYSLMAMATQAGVLFGMGRGVPFYKWDFGVPLLMLGCYSQLT -PLTLIVALVMLAAHYLYLIPGLQATAARAAQRRTAAGIMKNPVVDGIVVTDIDPIQIDPNVEKKMGQVML -IFVALASAVLMRTAWGWGEAGALASAAAATLWEGAPNKYWNSSTATSLCNIFRGSYLAGPSLIYTVTRNA -GIMKKRGGGNGETVGEKWKERLNRMTALEFYAYKRSGITEVCREPARRALKDGVVTGGHAVSRGSAKLRW -MVERGHVNLVGRVVDLGCGRGGWSYYAASQKQVLEVRGYTKGGAGHEEPMNVQSYGWNIVRLKSGVDVFY -LPSEPCDTLLCDIGESSSSPAVEEARTLRVLGMVETWLERGVKNFCIKVLCPYTSAMIERLEALQRRYGG -GLVRVPLSRNSTHEMYWVSGAKSNIIRSVNATSQLLMHRMDIPTRKTKFEEDVNLGTGTRAVESRADPPD -MKKLGSRIERLRKEYGSTWHYDENHPYRTWHYHGSYEADTQGSASSMVNGVVRLLSKPWDALSSVTNIAM -TDTTPFGQQRVFKEKVDTRTPDPKQGTQRVMAITSQWLWDRLARNKTPRMCTRQEFINKVNSHAALGPVF -REQQGWGSAAEAVVDPRFWELVDNEREAHLRGECLTCVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARF -LEFEALGFLNEDHWLSRENSGGGVEGLGLQKLGYILEEISRRPGGKMYADDTAGWDTRITKCDLENEARI -LEKMDGIHKKLARAVIELTYKHKVVRVLRPAPQGKVVMDIISRPDQRGSGQVVTYALNTYTNLVVQLIRN -MEAEAVINERDMEELQNPWKVINWLEGNGWDRLRSMAVSGDDCVVKPMDDRFAYALNFLNDMGKVRKDVQ -EWKPSPGWTNWEEVPFCSHHFNKLPMKDGRTIIVPCRHQDELIGRARVSPGKGWSLSETACLGKSYAQMW -LLLYFHRRDLRLMANAICSAVPVSWVPTGRTTWSIHGRGEWMTTEDMLEVWNRVWIIENEYMEDKTPVTE -WTDVPYLGKREDLWCGSLIGHRPRSTWAENIWAAIYQVRRAIGETEEYRDYMSTQVRYGSEEGPSAGVL - ->YP_009227197.1 membrane glycoprotein precursor M [Zika virus] -AEITRRGSAYYMYLDRSDAGKAISFATTLGVNKCHVQIMDLGHMCDATMSYECPMLDEGVEPDDVDCWCN -TTSTWVVYGTCHHKKGEARRSRRAVTLPSHSTRKLQTRSQTWLESREYTKHLIKVENWIFRNPGFALVAV -AIAWLLGSSTSQKVIYLVMILLIAPAYS - ->YP_009164953.1 protein pr [West Nile virus] -VTLSNFQGKVMMTVNATDVTDVITIPTAAGKNLCIVRAMDVGYMCDDTITYECPVLSAGNDPEDIDCWCT -KSAVYVRYGRCTKTRHSRRSRR - ->YP_009164951.1 protein pr [West Nile virus] -VTLSNFQGKVMMTVNATDVTDVITIPTAAGKNLCIVRAMDVGYLCEDTITYECPVLAAGNDPEDIDCWCT -KSSVYVRYGRCTKTRHSRRSRR - ->YP_009164950.1 truncated flavivirus polyprotein NS1 prime [West Nile virus] -MSKKPGGPGKNRAVNMLKRGMPRGLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSTKQKKRGGTAGFTILLGLIACAGAVTLSNFQGKVMMTVNAT -DVTDVITIPTAAGKNLCIVRAMDVGYLCEDTITYECPVLAAGNDPEDIDCWCTKSSVYVRYGRCTKTRHS -RRSRRSLTVQTHGESTLANKKGAWLDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFAI -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDLVLEGDSCVTIMSKDKPTIDVKMMNMEAANLADVRSYC -YLASVSDLSTRAACPTMGEAHNEKRADPAFVCKQGVVDRGWGNGCGLFGKGSIDTCAKFACTTKATGWII -QKENIKYEVAIFVHGPTTVESHGKIGATQAGRFSITPSAPSYTLKLGEYGEVTVDCEPRSGIDTSAYYVM -SVGEKSFLVHREWFMDLNLPWSSAGSTTWRNRETLMEFEEPHATKQSVVALGSQEGALHQALAGAIPVEF -SSNTVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFKFARTPADTGHGTVVLELQYTGTDGPCKVPISSVA -SLNDLTPVGRLVTVNPFVSVATANSKVLIELEPPFGDSYIVVGRGEQQINHHWHKSGSSIGKAFTTTLRG -AQRLAALGDTAWDFGSVGGVFTSVGKAIHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDRSIAMT -FLAVGGVLLFLSVNVHADTGCAIDIGRQELRCGSGVFIHNDVEAWMDRYKFYPETPQGLAKIIQKAHAEG -VCGLRSVSRLEHQMWEAIKDELNTLLKENGVDLSVVVEKQNGMYKAAPKRLAATTEKLEMGWKAWGKSII -FAPELANNTFVIDGPETEECPTANRAWNSMEVEDFGFGLTSTRMFLRIRETNTTECDSKIIGTAVKNNMA -VHSDLSYWIESGLNDTWKLERAVLGEVKSCTWPETHTLWGDGVLESDLIIPITLAGPRSNHNRRPGYKTQ -NQGPWDEGRVEIDFDYCPGTTVTISDSCEHRGPAARTTTESGKLITDWCCRSCTLPPLRFQTENGCWYGM -EIRPTRHDEKTLVQSRVNAYNADMIDPFSVGPYGRVLGHPGGPSQEVDGQDQHSSYHACTPSPSVWGYYV -H - ->YP_002790881.1 polyprotein [Zika virus] -MKNPKEEIRRIRIVNMLKRGVARVNPLGGLKRLPAGLLLGHGPIRMVLAILAFLRFTAIKPSLGLINRWG -SVGKKEAMEIIKKFKKDLAAMLRIINARKERKRRGADTSIGIIGLLLTTAMAAEITRRGSAYYMYLDRSD -AGKAISFATTLGVNKCHVQIMDLGHMCDATMSYECPMLDEGVEPDDVDCWCNTTSTWVVYGTCHHKKGEA -RRSRRAVTLPSHSTRKLQTRSQTWLESREYTKHLIKVENWIFRNPGFALVAVAIAWLLGSSTSQKVIYLV -MILLIAPAYSIRCIGVSNRDFVEGMSGGTWVDVVLEHGGCVTVMAQDKPTVDIELVTTTVSNMAEVRSYC -YEASISDMASDSRCPTQGEAYLDKQSDTQYVCKRTLVDRGWGNGCGLFGKGSLVTCAKFTCSKKMTGKSI -QPENLEYRIMLSVHGSQHSGMIGYETDEDRAKVEVTPNSPRAEATLGGFGSLGLDCEPRTGLDFSDLYYL -TMNNKHWLVHKEWFHDIPLPWHAGADTGTPHWNNKEALVEFKDAHAKRQTVVVLGSQEGAVHTALAGALE -AEMDGAKGRLFSGHLKCRLKMDKLRLKGVSYSLCTAAFTFTKVPAETLHGTVTVEVQYAGTDGPCKIPVQ -MAVDMQTLTPVGRLITANPVITESTENSKMMLELDPPFGDSYIVIGVGDKKITHHWHRSGSTIGKAFEAT -VRGAKRMAVLGDTAWDFGSVGGVFNSLGKGIHQIFGAAFKSLFGGMSWFSQILIGTLLVWLGLNTKNGSI -SLTCLALGGVMIFLSTAVSADVGCSVDFSKKETRCGTGVFIYNDVEAWRDRYKYHPDSPRRLAAAVKQAW -EEGICGISSVSRMENIMWKSVEGELNAILEENGVQLTVVVGSVKNPMWRGPQRLPVPVNELPHGWKAWGK -SYFVRAAKTNNSFVVDGDTLKECPLEHRAWNSFLVEDHGFGVFHTSVWLKVREDYSLECDPAVIGTAVKG -REAAHSDLGYWIESEKNDTWRLKRAHLIEMKTCEWPKSHTLWTDGVEESDLIIPKSLAGPLSHHNTREGY -RTQVKGPWHSEELEIRFEECPGTKVYVEETCGTRGPSLRSTTASGRVIEEWCCRECTMPPLSFRAKDGCW -YGMEIRPRKEPESNLVRSMVTAGSTDHMDHFSLGVLVILLMVQEGLKKRMTTKIIMSTSMAVLVVMILGG -FSMSDLAKLVILMGATFAEMNTGGDVAHLALVAAFKVRPALLVSFIFRANWTPRESMLLALASCLLQTAI -SALEGDLMVLINGFALAWLAIRAMAVPRTDNIALPILAALTPLARGTLLVAWRAGLATCGGIMLLSLKGK -GSVKKNLPFVMALGLTAVRVVDPINVVGLLLLTRSGKRSWPPSEVLTAVGLICALAGGFAKADIEMAGPM -AAVGLLIVSYVVSGKSVDMYIERAGDITWEKDAEVTGNSPRLDVALDESGDFSLVEEDGPPMREIILKVV -LMAICGMNPIAIPFAAGAWYVYVKTGKRSGALWDVPAPKEVKKGETTDGVYRVMTRRLLGSTQVGVGVMQ -EGVFHTMWHVTKGAALRSGEGRLDPYWGDVKQDLVSYCGPWKLDAAWDGLSEVQLLAVPPGERARNIQTL -PGIFKTKDGDIGAVALDYPAGTSGSPILDKCGRVIGLYGNGVVIKNGSYVSAITQGKREEETPVECFEPS -MLKKKQLTVLDLHPGAGKTRRVLPEIVREAIKKRLRTVILAPTRVVAAEMEEALRGLPVRYMTTAVNVTH -SGTEIVDLMCHATFTSRLLQPIRVPNYNLNIMDEAHFTDPSSIAARGYISTRVEMGEAAAIFMTATPPGT -RDAFPDSNSPIMDTEVEVPERAWSSGFDWVTDHSGKTVWFVPSVRNGNEIAACLTKAGKRVIQLSRKTFE -TEFQKTKNQEWDFVITTDISEMGANFKADRVIDSRRCLKPVILDGERVILAGPMPVTHASAAQRRGRIGR -NPNKPGDEYMYGGGCAETDEGHAHWLEARMLLDNIYLQDGLIASLYRPEADKVAAIEGEFKLRTEQRKTF -VELMKRGDLPVWLAYQVASAGITYTDRRWCFDGTTNNTIMEDSVPAEVWTKYGEKRVLKPRWMDARVCSD -HAALKSFKEFAAGKRGAALGVMEALGTLPGHMTERFQEAIDNLAVLMRAETGSRPYKAAAAQLPETLETI -MLLGLLGTVSLGIFFVLMRNKGIGKMGFGMVTLGASAWLMWLSEIEPARIACVLIVVFLLLVVLIPEPEK -QRSPQDNQMAIIIMVAVGLLGLITANELGWLERTKNDIAHLMGRREEGATMGFSMDIDLRPASAWAIYAA -LTTLITPAVQHAVTTSYNNYSLMAMATQAGVLFGMGKGMPFMHGDLGVPLLMMGCYSQLTPLTLIVAIIL -LVAHYMYLIPGLQAAAARAAQKRTAAGIMKNPVVDGIVVTDIDTMTIDPQVEKKMGQVLLIAVAISSAVL -LRTAWGWGEAGALITAATSTLWEGSPNKYWNSSTATSLCNIFRGSYLAGASLIYTVTRNAGLVKRRGGGT -GETLGEKWKARLNQMSALEFYSYKKSGITEVCREEARRALKDGVATGGHAVSRGSAKIRWLEERGYLQPY -GKVVDLGCGRGGWSYYAATIRKVQEVRGYTKGGPGHEEPMLVQSYGWNIVRLKSGVDVFHMAAEPCDTLL -CDIGESSSSPEVEETRTLRVLSMVGDWLEKRPGAFCIKVLCPYTSTMMETMERLQRRHGGGLVRVPLCRN -STHEMYWVSGAKSNIIKSVSTTSQLLLGRMDGPRRPVKYEEDVNLGSGTRAVASCAEAPNMKIIGRRIER -IRNEHAETWFLDENHPYRTWAYHGSYEAPTQGSASSLVNGVVRLLSKPWDVVTGVTGIAMTDTTPYGQQR -VFKEKVDTRVPDPQEGTRQVMNIVSSWLWKELGKRKRPRVCTKEEFINKVRSNAALGAIFEEEKEWKTAV -EAVNDPRFWALVDREREHHLRGECHSCVYNMMGKREKKQGEFGKAKGSRAIWYMWLGARFLEFEALGFLN -EDHWMGRENSGGGVEGLGLQRLGYILEEMNRAPGGKMYADDTAGWDTRISKFDLENEALITNQMEEGHRT -LALAVIKYTYQNKVVKVLRPAEGGKTVMDIISRQDQRGSGQVVTYALNTFTNLVVQLIRNMEAEEVLEMQ -DLWLLRKPEKVTRWLQSNGWDRLKRMAVSGDDCVVKPIDDRFAHALRFLNDMGKVRKDTQEWKPSTGWSN -WEEVPFCSHHFNKLYLKDGRSIVVPCRHQDELIGRARVSPGAGWSIRETACLAKSYAQMWQLLYFHRRDL -RLMANAICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLMVWNRVWIEENDHMEDKTPVTKWTDIPYLGKR -EDLWCGSLIGHRPRTTWAENIKDTVNMVRRIIGDEEKYMDYLSTQVRYLGEEGSTPGVL - ->YP_001527877.1 polyprotein [West Nile virus] -MSKKPGGPGKSRAVNMLKRGMPRVLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSSKQKKRGGKTGIAVMIGLIASVGAVTLSNFQGKVMMTVNAT -DVTDVITIPTAAGKNLCIVRAMDVGYMCDDTITYECPVLSAGNDPEDIDCWCTKSAVYVRYGRCTKTRHS -RRSRRSLTVQTHGESTLANKKGAWMDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFVV -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDLVLEGDSCVTIMSKDKPTIDVKMMNMEAANLAEVRSYC -YLATVSDLSTKAACPTMGEAHNDKRADPAFVCRQGVVDRGWGNGCGLFGKGSIDTCAKFACSTKAIGRTI -LKENIKYEVAIFVHGPTTVESHGNYSTQVGATQAGRLSITPAAPSYTLKLGEYGEVTVDCEPRSGIDTNA -YYVMTVGTKTFLVHREWFMDLNLPWSSAGSTVWRNRETLMEFEEPHATKQSVIALGSQEGALHQALAGAI -PVEFSSNTVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFKFLGTPADTGHGTVVLELQYTGTDGPCKVPI -SSVASLNDLTPVGRLVTVNPFVSVATANAKVLIELEPPFGDSYIVVGRGEQQINHHWHKSGSSIGKAFTT -TLKGAQRLAALGDTAWDFGSVGGVFTSVGKAVHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDRS -IALTFLAVGGVLLFLSVNVHADTGCAIDISRQELRCGSGVFIHNDVEAWMDRYKYYPETPQGLAKIIQKA -HKEGVCGLRSVSRLEHQMWEAVKDELNTLLKENGVDLSVVVEKQEGMYKSAPKRLTATTEKLEIGWKAWG -KSILFAPELANNTFVVDGPETKECPTQNRAWNSLEVEDFGFGLTSTRMFLKVRESNTTECDSKIIGTAVK -NNLAIHSDLSYWIESRLNDTWKLERAVLGEVKSCTWPETHTLWGDGILESDLIIPVTLAGPRSNHNRRPG -YKTQNQGPWDEGRVEIDFDYCPGTTVTLSESCGHRGPATRTTTESGKLITDWCCRSCTLPPLRYQTDSGC -WYGMEIRPQRHDEKTLVQSQVNAYNADMIDPFQLGLLVVFLATQEVLRKRWTAKISMPAILIALLVLVFG -GITYTDVLRYVILVGAAFAESNSGGDVVHLALMATFKIQPVFMVASFLKARWTNQENILLMLAAVFFQMA -YHDARQILLWEIPDVLNSLAVAWMILRAITFTTTSNVVVPLLALLTPGLRCLNLDVYRILLLMVGIGSLI -REKRSAAAKKKGASLLCLALASTGLFNPMILAAGLIACDPNRKRGWPATEVMTAVGLMFAIVGGLAELDI -DSMAIPMTIAGLMFAAFVISGKSTDMWIERTADISWESDAEITGSSERVDVRLDDDGNFQLMNDPGAPWK -IWMLRMVCLAISAYTPWAILPSVVGFWITLQYTKRGGVLWDTPSPKEYKKGDTTTGVYRIMTRGLLGSYQ -AGAGVMVEGVFHTLWHTTKGAALMSGEGRLDPYWGSVKEDRLCYGGPWKLQHKWNGQDEVQMIVVEPGKN -VKNVQTKPGVFKTPEGEIGAVTLDFPTGTSGSPIVDKNGDVIGLYGNGVIMPNGSYISAIVQGERMDEPI -PAGFEPEMLRKKQITVLDLHPGAGKTRRILPQIIKEAINRRLRTAVLAPTRVVAAEMAEALRGLPIRYQT -SAVPREHNGNEIVDVMCHATLTHRLMSPHRVPNYNLFVMDEAHFTDPASIAARGYISTKVELGEAAAIFM -TATPPGTSDPFPESNSPISDLQTEIPDRAWNSGYEWITEYTGKTVWFVPSVKMGNEIALCLQRAGKKVVQ -LNRKSYETEYPKCKNDDWDFVITTDISEMGANFKASRVIDSRKSVKPTIITEGEGRVILGEPSAVTAASA -AQRRGRIGRNPSQVGDEYCYGGHTNEDDSNFAHWTEARIMLDNINMPNGLIAQFYQPEREKVYTMDGEYR -LRGEERKNFLELLRTADLPVWLAYKVAAAGVSYHDRRWCFDGPRTNTILEDNNEVEVITKLGERKILRPR -WIDARVYSDHQALKAFKDFASGKRSQIGLIEVLGKMPEHFMGKTWEALDTMYVVATAEKGGRAHRMALEE -LPDALQTIALIALLSVMTMGVFFLLMQRKGIGKIGLGGAVLGVATFFCWMAEVPGTKIAGMLLLSLLLMI -VLIPEPEKQRSQTDNQLAVFLICVMTLVSAVAANEMGWLDKTKSDISSLFGQRIEVKENFSMGEFLLDLR -PATAWSLYAVTTAVLTPLLKHLITSDYINTSLTSINVQASALFTLARGFPFVDVGVSALLLAAGCWGQVT -LTVTVTAATLLFCHYAYMVPGWQAEAMRSAQRRTAAGIMKNAVVDGIVATDVPELERTTPIMQKKVGQIM -LILVSLAAVVVNPSVKTVREAGILITAAAVTLWENGASSVWNATTAIGLCHIMRGGWLSCLSITWTLIKN -MEKPGLKRGGAKGRTLGEVWKERLNQMTKEEFTRYRKEAIIEVDRSAAKHARKEGNVTGGHPVSRGTAKL -RWLVERRFLEPVGKVIDLGCGRGGWCYYMATQKRVQEVRGYTKGGPGHEEPQLVQSYGWNIVTMKSGVDV -FYRPSECCDTLLCDIGESSSSAEVEEHRTIRVLEMVEDWLHRGPREFCVKVLCPYMPKVIEKMELLQRRY -GGGLVRNPLSRNSTHEMYWVSRASGNVVHSVNMTSQVLLGRMEKRTWKGPQYEEDVNLGSGTRAVGKPLL -NSDTSKIKNRIERLRREYSSTWHHDENHPYRTWNYHGSYDVKPTGSASSLVNGVVRLLSKPWDTITNVTT -MAMTDTTPFGQQRVFKEKVDTKAPEPPEGVKYVLNETTNWLWAFLAREKRPRMCSREEFIRKVNSNAALG -AMFEEQNQWRSAREAVEDPKFWEMVDEEREAHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLG -ARFLEFEALGFLNEDHWLGRKNSGGGVEGLGLQKLGYILREVGTRPGGKIYADDTAGWDTRITRADLENE -AKVLELLDGEHRRLARAIIELTYRHKVVKVMRPAADGRTVMDVISREDQRGSGQVVTYALNTFTNLAVQL -VRMMEGEGVIGPDDVEKLTKGKGPKVRTWLFENGEERLSRMAVSGDDCVVKPLDDRFATSLHFLNAMSKV -RKDIQEWKPSTGWYDWQQVPFCSNHFTELIMKDGRTLVVPCRGQDELVGRARISPGAGWNVRDTACLAKS -YAQMWLLLYFHRRDLRLMANAICSAVPVNWVPTGRTTWSIHAGGEWMTTEDMLEVWNRVWIEENEWMEDK -TPVEKWSDVPYSGKREDIWCGSLIGTRARATWAENIQVAINQVRAIIGDEKYVDYMSSLKRYEDTTLVED -TVL - ->NP_776013.1 matrix protein M [West Nile virus] -SLTVQTHGESTLANKKGAWLDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFAILLLLV -APAYS - ->YP_001621843.1 polyprotein [Dengue virus 3] -MNNQRKKTGKPSINMLKRVRNRVSTGSQLAKRFSKGLLNGQGPMKLVMAFIAFLRFLAIPPTAGVLARWG -TFKKSGAIKVLKGFKKEISNMLSIINQRKKTSLCLMMILPAALAFHLTSRDGEPRMIVGKNERGKSLLFK -TASGINMCTLIAMDLGEMCDDTVTYKCPHITEVEPEDIDCWCNLTSTWVTYGTCNQAGEHRRDKRSVALA -PHVGMGLDTRTQTWMSAEGAWRQVEKVETWALRHPGFTILALFLAHYIGTSLTQKVVIFILLMLVTPSMT -MRCVGVGNRDFVEGLSGATWVDVVLEHGGCVTTMAKNKPTLDIELQKTEATQLATLRKLCIEGKITNITT -DSRCPTQGEAVLPEEQDQNYVCKHTYVDRGWGNGCGLFGKGSLVTCAKFQCLEPIEGKVVQYENLKYTVI -ITVHTGDQHQVGNETQGVTAEITPQASTTEAILPEYGTLGLECSPRTGLDFNEMILLTMKNKAWMVHRQW -FFDLPLPWASGATTETPTWNRKELLVTFKNAHAKKQEVVVLGSQEGAMHTALTGATEIQNSGGTSIFAGH -LKCRLKMDKLELKGMSYAMCTNTFVLKKEVSETQHGTILIKVEYKGEDAPCKIPFSTEDGQGKAHNGRLI -TANPVVTKKEEPVNIEAEPPFGESNIVIGIGDNALKINWYKKGSSIGKMFEATERGARRMAILGDTAWDF -GSVGGVLNSLGKMVHQIFGSAYTALFSGVSWVMKIGIGVLLTWIGLNSKNTSMSFSCIAIGIITLYLGAV -VQADMGCVINWKGKELKCGSGIFVTNEVHTWTEQYKFQADSPKRLATAIAGAWENGVCGIRSTTRMENLL -WKQIANELNYILWENNIKLTVVVGDTLGVLEQGKRTLTPQPMELKYSWKTWGKAKIVTAETQNSSFIIDG -PNTPECPSASRAWNVWEVEDYGFGVFTTNIWLKLREVYTQLCDHRLMSAAVKDERAVHADMGYWIESQKN -GSWKLEKASLIEVKTCTWPKSHTLWTNGVLESDMIIPKSLAGPISQHNYRPGYHTQTAGPWHLGKLELDF -NYCEGTTVVITESCGTRGPSLRTTTVSGKLIHEWCCRSCTLPPLRYMGEDGCWYGMEIRPISEKEENMVK -SLVSAGSGKVDNFTMGVLCLAILFEEVLRGKFGKKHMIAGVFFTFVLLLSGQITWRDMAHTLIMIGSNAS -DRMGMGVTYLALIATFKIQPFLALGFFLRKLTSRENLLLGVGLAMATTLQLPEDIEQMANGVALGLMALK -LITQFETYQLWTALVSLTCSNTIFTLTVAWRTATLILAGVSLLPVCQSSSMRKTDWLPMTVAAMGVPPLP -LFIFSLKDTLKRRSWPLNEGVMAVGLVSILASSLLRNDVPMAGPLVAGGLLIACYVITGTSADLTVEKAP -DVTWEEEAEQTGVSHNLMITVDDDGTMRIKDDETENILTVLLKTALLIVSGIFPYSIPATLLVWHTWQKQ -TQRSGVLWDVPSPPETQKAELEEGVYRIKQQGIFGKTQVGVGVQKEGVFHTMWHVTRGAVLTHNGKRLEP -NWASVKKDLISYGGGWRLSAQWQKGEEVQVIAVEPGKNPKNFQTTPGTFQTTTGEIGAIALDFKPGTSGS -PIINREGKVVGLYGNGVVTKNGGYVSGIAQTNAEPDGPTPELEEEMFKKRNLTIMDLHPGSGKTRKYLPA -IVREAIKRRLRTLILAPTRVVAAEMEEALKGLPIRYQTTATKSEHTGREIVDLMCHATFTMRLLSPVRVP -NYNLIIMDEAHFTDPASIAARGYISTRVGMGEAAAIFMTATPPGTADAFPQSNAPIQDEERDIPERSWNS -GNEWITDFAGKTVWFVPSIKAGNDIANCLRKNGKKVIQLSRKTFDTEYQKTKLNDWDFVVTTDISEMGAN -FKADRVIDPRRCLKPVILTDGPERVILAGPMPVTAASAAQRRGRVGRNPQKENDQYIFTGQPLNNDEDHA -HWTEAKMLLDNINTPEGIIPALFEPEREKSAAIDGEYRLKGESRKTFVELMRRGDLPVWLAHKVASEGIK -YTDRKWCFDGQRNNQILEENMDVEIWTKEGEKKKLRPRWLDARTYSDPLALKEFKDFAAGRKSIALDLVT -EIGRVPSHLAHRTRNALDNLVMLHTSEDGGRAYRHAVEELPETMETLLLLGLMILLTGGAMLFLISGKGI -GKTSIGLICVIASSGMLWMAEVPLQWIASAIVLEFFMMVLLIPEPEKQRTPQDNQLAYVVIGILTLAATI -AANEMGLLETTKRDLGMSKEPGVVSPTSYLDVDLHPASAWTLYAVATTVITPMLRHTIENSTANVSLAAI -ANQAVVLMGLDKGWPISKMDLGVPLLALGCYSQVNPLTLTAAVLLLITHYAIIGPGLQAKATREAQKRTA -AGIMKNPTVDGIMTIDLDSVIFDSKFEKQLGQVMLLVLCAVQLLLMRTSWALCEALTLATGPITTLWEGS -PGKFWNTTIAVSMANIFRGSYLAGAGLAFSIMKSVGTGKRGTGSQGETLGEKWKKKLNQLSRKEFDLYKK -SGITEVDRTEAKEGLKRGETTHHAVSRGSAKLQWFVERNMVVPEGRVIDLGCGRGGWSYYCAGLKKVTEV -RGYTKGGPGHEEPVPMSTYGWNIVKLMSGKDVFYLPPEKCDTLLCDIGESSPSPTVEESRTIRVLKMVEP -WLKNNQFCIKVLNPYMPTVIEHLERLQRKHGGMLVRNPLSRNSTHEMYWISNGTGNIVSSVNMVSRLLLN -RFTMTHRRPTIEKDVDLGAGTRHVNAEPETPNMDVIGERIKRIKEEHNSTWHYDDENPYKTWAYHGSYEV -KATGSASSMINGVVKLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTPRPMPGTRKAMEITAEWL -WRTLGRNKRPRLCTREEFTKKVRTNAAMGAVFTEENQWDSAKAAVEDEEFWKLVDRERELHKLGKCGSCV -YNMMGKREKKLGEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWFSRENSYSGVEGEGLHKLGYILRD -ISKIPGGAMYADDTAGWDTRITEDDLHNEEKIIQQMDPEHRQLANAIFKLTYQNKVVKVQRPTPTGTVMD -IISRKDQRGSGQLGTYGLNTFTNMEAQLVRQMEGEGVLTKADLENPHLLEKKITQWLETKGVERLKRMAI -SGDDCVVKPIDDRFANALLALNDMGKVRKDIPQWQPSKGWHDWQQVPFCSHHFHELIMKDGRKLVVPCRP -QDELIGRARISQGAGWSLRETACLGKAYAQMWSLMYFHRRDLRLASNAICSAVPVHWVPTSRTTWSIHAH -HQWMTTEDMLTVWNRVWIEENPWMEDKTPVTTWENVPYLGKREDQWCGSLIGLTSRATWAQNIPTAIQQV -RSLIGNEEFLDYMPSMKRFRKEEESEGAIW - ->NP_739582.2 Membrane glycoprotein precursor [Dengue virus 2] -FHLTTRNGEPHMIVSRQEKGKSLLFKTEDGVNMCTLMAMDLGELCEDTITYKCPLLRQNEPEDIDCWCNS -TSTWVTYGTCTTMGEHRREKRSVALVPHVGMGLETRTETWMSSEGAWKHVQRIETWILRHPGFTMMAAIL -AYTIGTTHFQRALIFILLTAVTPSMT - ->NP_056776.2 polyprotein [Dengue virus 2] -MNNQRKKAKNTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRSAGMIIMLIPTVMAFHLTTRNGEPHMIVSRQEKGKSLLFK -TEDGVNMCTLMAMDLGELCEDTITYKCPLLRQNEPEDIDCWCNSTSTWVTYGTCTTMGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKHVQRIETWILRHPGFTMMAAILAYTIGTTHFQRALIFILLTAVTPSMT -MRCIGMSNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -ESRCPTQGEPSLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFRCKKNMEGKVVQPENLEYTIV -ITPHSGEEHAVGNDTGKHGKEIKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMENKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPGQLKLNWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVTLVLVGIVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFITDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITPELNHILSENEVKLTIMTGDIKGIMQAGKRSLRPQPTELKYSWKTWGKAKMLSTESHNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLKLKEKQDVFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKNCHWPKSHTLWSNGVLESEMIIPKNLAGPVSQHNYRPGYHTQITGPWHLGKLEM -DFDFCDGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VNSLVTAGHGQVDNFSLGVLGMALFLEEMLRTRVGTKHAILLVAVSFVTLITGNMSFRDLGRVMVMVGAT -MTDDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMTTIGIVLLSQSTIPETILELTDALALGMMV -LKMVRNMEKYQLAVTIMAILCVPNAVILQNAWKVSCTILAVVSVSPLLLTSSQQKTDWIPLALTIKGLNP -TAIFLTTLSRTSKKRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELER -AADVKWEDQAEISGSSPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPVSIPITAAAWYLWE -VKKQRAGVLWDVPSPPPMGKAELEDGAYRIKQKGILGYSQIGAGVYKEGTFHTMWHVTRGAVLMHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFKTNAGTIGAVSLDFSPGTS -GSPIIDKKGKVVGLYGNGVVTRSGAYVSAIAQTEKSIEDNPEIEDDIFRKRRLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPVRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIIDEEREIPERSWN -SGHEWVTDFKGKTVWFVPSIKAGNDIAACLRKNGKKVIQLSRKTFDSEYVKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTFVDLMRRGDLPVWLAYRVAAEGI -NYADRRWCFDGVKNNQILEENVEVEIWTKEGERKKLKPRWLDARIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKARDALDNLAVLHTAEAGGRAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGRG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVVIAILTVVAA -TMANEMGFLEKTKKDLGLGSIATQQPESNILDIDLRPASAWTLYAVATTFVTPMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALFLLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPISTLWEG -NPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTNTRRGTGNIGETLGEKWKSRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNMVTPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFIPPEKCDTLLCDIGESSPNPTVEAGRTLRVLNLVE -NWLNNNTQFCIKVLNPYMPSVIEKMEALQRKYGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMRYKKATYEPDVDLGSGTRNIGIESEIPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSY -ETKQTGSASSMVNGVVRLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTQEPKEGTKKLMKITAE -WLWKELGKKKTPRMCTREEFTRKVRSNAALGAIFTDENKWKSAREAVEDSRFWELVDKERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYIL -RDVSKKEGGAMYADDTAGWDTRITLEDLKNEEMVTNHMEGEHKKLAEAIFKLTYQNKVVRVQRPTPRGTV -MDIISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGVFKSIQHLTITEEIAVQNWLARVGRERLSRMA -ISGDDCVVKPLDDRFASALTALNDMGKIRKDIQQWEPSRGWNDWTQVPFCSHHFHELIMKDGRVLVVPCR -NQDELIGRARISQGAGWSLRETACLGKSYAQMWSLMYFHRRDLRLAANAICSAVPSHWVPTSRTTWSIHA -KHEWMTTEDMLTVWNRVWIQENPWMEDKTPVESWEEIPYLGKREDQWCGSLIGLTSRATWAKNIQAAINQ -VRSLIGNEEYTDYMPSMKRFRREEEEAGVLW - ->YP_001531166.1 Membrane glicoprotein precursor [Dengue virus 3] -FHLTSRDGEPRMIVGKNERGKSLLFKTASGINMCTLIAMDLGEMCDDTVTYKCPHITEVEPEDIDCWCNL -TSTWVTYGTCNQAGEHRRDKRSVALAPHVGMGLDTRTQTWMSAEGAWRQVEKVETWALRHPGFTILALFL -AHYIGTSLTQKVVIFILLMLVTPSMT - ->NP_740315.1 membrane precursor (prM) protein [Dengue virus 4] -FSLSTRDGEPLMIVAKHERGRPLLFKTTEGINKCTLIAMDLGEMCEDTVTYKCPLLVNTEPEDIDCWCNL -TSTWVMYGTCTQSGERRREKRSVALTPHSGMGLETRAETWMSSEGAWKHAQRVESWILRNPGFALLAGFM -AYMIGQTGIQRTVFFVLMMLVAPSYG - ->NP_073286.1 polyprotein [Dengue virus 4] -MNQRKKVVRPPFNMLKRERNRVSTPQGLVKRFSTGLFSGKGPLRMVLAFITFLRVLSIPPTAGILKRWGQ -LKKNKAIKILIGFRKEIGRMLNILNGRKRSTITLLCLIPTVMAFSLSTRDGEPLMIVAKHERGRPLLFKT -TEGINKCTLIAMDLGEMCEDTVTYKCPLLVNTEPEDIDCWCNLTSTWVMYGTCTQSGERRREKRSVALTP -HSGMGLETRAETWMSSEGAWKHAQRVESWILRNPGFALLAGFMAYMIGQTGIQRTVFFVLMMLVAPSYGM -RCVGVGNRDFVEGVSGGAWVDLVLEHGGCVTTMAQGKPTLDFELTKTTAKEVALLRTYCIEASISNITTA -TRCPTQGEPYLKEEQDQQYICRRDVVDRGWGNGCGLFGKGGVVTCAKFSCSGKITGNLVQIENLEYTVVV -TVHNGDTHAVGNDTSNHGVTAMITPRSPSVEVKLPDYGELTLDCEPRSGIDFNEMILMKMKKKTWLVHKQ -WFLDLPLPWTAGADTSEVHWNYKERMVTFKVPHAKRQDVTVLGSQEGAMHSALAGATEVDSGDGNHMFAG -HLKCKVRMEKLRIKGMSYTMCSGKFSIDKEMAETQHGTTVVKVKYEGAGAPCKVPIEIRDVNKEKVVGRI -ISSTPLAENTNSVTNIELEPPFGDSYIVIGVGNSALTLHWFRKGSSIGKMFESTYRGAKRMAILGETAWD -FGSVGGLFTSLGKAVHQVFGSVYTTMFGGVSWMIRILIGFLVLWIGTNSRNTSMAMTCIAVGGITLFLGF -TVQADMGCVASWSGKELKCGSGIFVVDNVHTWTEQYKFQPESPARLASAILNAHKDGVCGIRSTTRLENV -MWKQITNELNYVLWEGGHDLTVVAGDVKGVLTKGKRALTPPVSDLKYSWKTWGKAKIFTPEARNSTFLID -GPDTSECPNERRAWNSLEVEDYGFGMFTTNIWMKFREGSSEVCDHRLMSAAIKDQKAVHADMGYWIESSK -NQTWQIEKASLIEVKTCLWPKTHTLWSNGVLESQMLIPKSYAGPFSQHNYRQGYATQTVGPWHLGKLEID -FGECPGTTVTIQEDCDHRGPSLRTTTASGKLVTQWCCRSCTMPPLRFLGEDGCWYGMEIRPLSEKEENMV -KSQVTAGQGTSETFSMGLLCLTLFVEECLRRRVTRKHMILVVVITLCAIILGGLTWMDLLRALIMLGDTM -SGRIGGQIHLAIMAVFKMSPGYVLGVFLRKLTSRETALMVIGMAMTTVLSIPHDLMELIDGISLGLILLK -IVTQFDNTQVGTLALSLTFIRSTMPLVMAWRTIMAVLFVVTLIPLCRTSCLQKQSHWVEITALILGAQAL -PVYLMTLMKGASRRSWPLNEGIMAVGLVSLLGSALLKNDVPLAGPMVAGGLLLAAYVMSGSSADLSLEKA -ANVQWDEMADITGSSPIVEVKQDEDGSFSIRDVEETNMITLLVKLALITVSGLYPLAIPVTMTLWYMWQV -KTQRSGALWDVPSPAATKKAALSEGVYRIMQRGLFGKTQVGVGIHMEGVFHTMWHVTRGSVICHETGRLE -PSWADVRNDMISYGGGWRLGDKWDKEEDVQVLAIEPGKNPKHVQTKPGLFKTLTGEIGAVTLDFKPGTSG -SPIINRKGKVIGLYGNGVVTKSGDYVSAITQAERIGEPDYEVDEDIFRKKRLTIMDLHPGAGKTKRILPS -IVREALKRRLRTLILAPTRVVAAEMEEALRGLPIRYQTPAVKSEHTGREIVDLMCHATFTTRLLSSTRVP -NYNLIVMDEAHFTDPSSVAARGYISTRVEMGEAAAIFMTATPPGATDPFPQSNSPIEDIEREIPERSWNT -GFDWITDYQGKTVWFVPSIKAGNDIANCLRKSGKKVIQLSRKTFDTEYPKTKLTDWDFVVTTDISEMGAN -FRAGRVIDPRRCLKPVILPDGPERVILAGPIPVTPASAAQRRGRIGRNPAQEDDQYVFSGDPLKNDEDHA -HWTEAKMLLDNIYTPEGIIPTLFGPEREKTQAIDGEFRLRGEQRKTFVELMRRGDLPVWLSYKVASAGIS -YEDREWCFTGERNNQILEENMEVEIWTREGEKKKLRPRWLDARVYADPMALKDFKEFASGRKSITLDILT -EIASLPTYLSSRAKLALDNIVMLHTTERGGRAYQHALNELPESLETLMLVALLGAMTAGIFLFFMQGKGI -GKLSMGLITIAVASGLLWVAEIQPQWIAASIILEFFLMVLLIPEPEKQRTPQDNQLIYVILTILTIIGLI -AANEMGLIEKTKTDFGFYQVKTETTILDVDLRPASAWTLYAVATTILTPMLRHTIENTSANLSLAAIANQ -AAVLMGLGKGWPLHRMDLGVPLLAMGCYSQVNPTTLTASLVMLLVHYAIIGPGLQAKATREAQKRTAAGI -MKNPTVDGITVIDLEPISYDPKFEKQLGQVMLLVLCAGQLLLMRTTWAFCEVLTLATGPILTLWEGNPGR -FWNTTIAVSTANIFRGSYLAGAGLAFSLIKNAQTPRRGTGTTGETLGEKWKRQLNSLDRKEFEEYKRSGI -LEVDRTEAKSALKDGSKIKHAVSRGSSKIRWIVERGMVKPKGKVVDLGCGRGGWSYYMATLKNVTEVKGY -TKGGPGHEEPIPMATYGWNLVKLHSGVDVFYKPTEQVDTLLCDIGESSSNPTIEEGRTLRVLKMVEPWLS -SKPEFCIKVLNPYMPTVIEELEKLQRKHGGNLVRCPLSRNSTHEMYWVSGASGNIVSSVNTTSKMLLNRF -TTRHRKPTYEKDVDLGAGTRSVSTETEKPDMTIIGRRLQRLQEEHKETWHYDQENPYRTWAYHGSYEAPS -TGSASSMVNGVVKLLTKPWDVIPMVTQLAMTDTTPFGQQRVFKEKVDTRTPQPKPGTRMVMTTTANWLWA -LLGKKKNPRLCTREEFISKVRSNAAIGAVFQEEQGWTSASEAVNDSRFWELVDKERALHQEGKCESCVYN -MMGKREKKLGEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWFGRENSWSGVEGEGLHRLGYILEEID -KKDGDLMYADDTAGWDTRITEDDLQNEELITEQMAPHHKILAKAIFKLTYQNKVVKVLRPTPRGAVMDII -SRKDQRGSGQVGTYGLNTFTNMEVQLIRQMEAEGVITQDDMQNPKGLKERVEKWLKECGVDRLKRMAISG -DDCVVKPLDERFGTSLLFLNDMGKVRKDIPQWEPSKGWKNWQEVPFCSHHFHKIFMKDGRSLVVPCRNQD -ELIGRARISQGAGWSLRETACLGKAYAQMWSLMYFHRRDLRLASMAICSAVPTEWFPTSRTTWSIHAHHQ -WMTTEDMLKVWNRVWIEDNPNMTDKTPVHSWEDIPYLGKREDLWCGSLIGLSSRATWAKNIHTAITQVRN -LIGKEEYVDYMPVMKRYSAPSESEGVL - ->YP_009664840.1 NS5 protein, partial [San Perlita virus] -KPGEYGVAKGSRTIWYMWLGSRYLEFESFGFLNEEHWASRDLSGGGVEGVPLAYLGYLMRKMAEKPGMLY -ADDTAGWDTRITMADLNDERVLLEYMTPQHRKLAKPLFDLTYLNKVALCPRPFKNGGTVLDVISRRDQRG -SGQVVTYALNTLTNIKVQLIRMAESEGVLGADFSDKGITEWLAAEGEDRLERLLISGDDCVVNAIDERFA -TSLNWLNAMAKVRKDIDLWEPSKGNNSWRSVEFCSNHFHEITMKDGRQLVVPCRGQTELIGRACVNQGGS -VEVQSTGCLAKCYAQMWQLLYFHRRDLRMLSLAIMSVVPIEWIPTGRTTWSVHAGSE - ->YP_009664839.1 NS5 protein, partial [Sal Vieja virus] -KPGEFGVAKGSRTIWYMWLGSRFLEFEVFGFLNEEHWASRELCGGGVGGIPLNYLGYHLKEMAERPGCLY -ADDTAGWDTRITMADLEDEMTLTDLMTGEHKRLARVLFEKTYKVKVALCPRPGPKGGTVMDVISRMDQRG -SGQVVTYALNTLSNIKVQLIRMAESEGVLGSDLTDRGIKQWLGTNGEDRLHRLLVSGDDCVVNAVDERFG -ASLVWLNAMEKVRKDIELWRPSVELRRWSEVEFCSNHFHELSMKDGRTLIVPCRDQTELIARGCVNQGGS -VGVEATGCLAKAYAQMWLLLYFHRRDLRTLALGIMSAVPSNWLPTGRTTWSVMVNRE - ->YP_009664836.1 NS5 protein, partial [Dakar bat virus] -KPGEFGVAKGSRTIWYMWLGARFLEFESFGFLNEEHWASRELSGGGVEGIPLFYLGYHLEKMATKPGVLY -ADDTAGWDTRITMSDLEDEKMLCEYMDGDHKQLAMSLFELAYKNKVALCPRPGKNGGTVMDVISRSDQRG -SGQVVTYALNTLTNIKVQLIRMAESEGVLDSLLNDHGMEIWLKQCGEERLTRMLVSGDDCVVNAIDERFG -KALTWLNTMEKIRKDIGLNEESEGHSNWERVEFCSNHFHRLRMKDGRSIVVPCRSQNELIGRASVNQGGS -GGVESSACLAKAYAQMWLLLYFHRRDLRLLAFGIMSAVPSNWIPTGRTAWSVHATKD - ->YP_009664835.1 NS5 protein, partial [Cowbone Ridge virus] -KPGEFGVAKGSRTIWYMWLGSRFLEFESFGFLNEEHWASRELSGGGVEGIPLNYLGYHLKRMAGKTGVLY -ADDTAGWDTRVTMADLEDEALILRMMSGEHLKLARALFQRTYKTKVALCPRPGRNGGTVMDVISRTDQRG -SGQVVTYALNTLTNIKVQLIRMAESEGVLRPNLTDGGISKWLDAHGEDRLERMLVSGDDCVVNALDERFG -SSLVWLNAMEKVRKDTDLWKPSRAFNCWSEVEFCSNHFHELVMKDGRSLIVPCRDQTELVARGRVNQGGS -VGVEATGCLAKAYAQMWLLLYFHRRDLRTLALGIMSAVPSNWVPTGRTTWSIMCRGE - ->YP_009664834.1 NS5 protein, partial [Carey Island virus] -KPGEFGVAKGSRTIWYMWLGARFLEFEAFGFLNEEHWASRKLCGGGVEGIPLFYLGYELEKIQEKGGFLY -ADDTAGWDTRITEADLNDEMELCKHMTPEHKEVAVPLFELAYKNKVALCPRPGKHGGTVLDVISRRDQRG -SGQVVTYALNTLTNLKVQLIRMAESEGVLTESLEDQGMEKWLNKYGEDRLSRMLVSGDDCVVCAIDERFS -QALTWLNTMERPRKDTDLWAPSIPQTNWEKVEFCSNHFHKLYMKDGRSLIAPCRSQNELIGRSLVNQGGS -AGIQGTACLAKAYAQMWNLLYFHRRDLRILGFGIMSAVPSNWIPTGRTTWSVHATKD - ->YP_009664833.1 NS5 protein, partial [Bukalasa bat virus] -KPGEFGVSKGSRTIWYMWLGSRFLEFESFGFLNEEHWASRELCGGGVEGIPLFYLGYHLEKMAQKSGVLY -ADDTAGWDTRITMSDLEDERLLVESMEGEHKKLAEAIFDQAYKVKVALCPRPGPHGGTVMDVISRTDQRG -SGQVVTYALNTLTNIKVQLIRMAEAEGVLGKDLSDNGMSDWLEKVGEDRLERLLVSGDDCVVNAIDERFS -TSLVWLNSMEKVRKDVGLYDPSTPHRNWEKVEFCSNHFHRLFMKDGRSIVVPCRNQNELIGRASVNQGGS -GGVQSSACLAKAYSQMWLLMYFHRRDLRLLGLAIMSAVPARWIPVGRTTWSIHATKD - ->YP_002922020.1 polyprotein [Wesselsbron virus] -MATKGMNKSRARSRGVNMVAARVKNLAVKVKNKTKQSARGLRGFLLFLVAQIFWARKLTPQVKRLWRMVD -KVQGLRILKNIRNIVTNLMKGLAGRKKKRSLTVPLVLLLIPLIAYSATVTRQRGLGLLLNVTFADVGKTY -EVEGGNCSVNTLDAGKWCEDYVEYECVTLSEGEEPDDLDCWCYGVDNVRVTYGRCKSGGSRRSRRSAVIT -PHVDKGLTTRQEKWLPTKIGEQQLQKVEKWIMRNPLYALGAVALAYFVGTSNVQRVVIAILLLGIGPAYS -THCLGIPKRDFIRGLDGNTWVSVVLEQGSCVTLIADNKPSVDIWLSSIVVDTPTLVRKVCYASSVTGSKA -TGACPTMGDAHMSEEGNEEWECKRSYSDRGWGNGCGLFGKGSIVACAKFSCTHEMEVYQIDATKIEYTIS -AQVHSGAKKDDWENHTKLVTFVPTTGTSTVAFTGYGNFGLECHVQMMVDLSNSYLVKVGTDAWLVNKQWV -HDITLPWQSGTGGHWRDKHFMVDFEEPHAVTMKALVLGSQEGALRTALSGAMVVELNSNRYSLKGGHVTC -KAYMNNLILKGSTYSMCKRGMSFAKQPVETDHGTAVMQIKVTTGAPCRIPVIAADSMAGTENRGSVITTN -PIAASNNDEVLVEISPPFGESYIIVGNGDDKLTYHWQRSGSTIGNLFTETMKGAQRMIITGEHSWDFGST -GGFFSSIAKAVHTVFGAAFHAIFGGLSWITKILIGGLLIWLGLNSRSSSMSMGFICIGALLLVLATGVGA -EVGCSLSWKQREMKCGDGVFVFKDSDDWFSKYQYIPEDPKTMATLIHQAHQDGLCGLSSVSDLEHRMWYS -RVDEINAILDENEVDLTVVVQESDAVYLRGSHAFPRPKSELKYGWKTWGKNIIFNPSRKNGTFIIDGKSK -AECPFNKRVWNSIRVEEFGTGVYQTRVFMRPEFDYTKLCDTGTLGAAVKGSVSAHGDPMFWMESEEINGT -WMITTLEALNYRECEWPSSHTLDGAKVVESDMFMPRSLAGPISKHNHIPGYKVQTSGPWHNVPLEIKREE -CPGTTVVVDEKCDDRAKSVRSTTDSGKIIPEWCCRSCTMPPVSFWGPDGCWYSMEVRPKHTNEAHLVKSW -VVASKGDVDPFSLGLLMLFLCSDMFLMKRFSMRAILVGSLVMLGAMTLGSLSYLDLLRYAITVGMYMAEI -NSGGDVTHLALLAVFRVRAGFVSMLALKRLWSPREGFVATCGIVMVQLALGDILSTDIMEWLNAAGMAVL -IIKSIVEPKRCNAVLPLLCLLTPLTVAEIQRAVMFVCSIVIFVTVWQTDSVSTRKTIPLVALTVCSFFKW -TSPFLGIVCYLAFTRLPQRSWPLGETMAAVGLVGVLAGMGLKDMNGMLGPVAVGGVLLIVMSLSGKVDGL -VIKKVADVTWDEDAEISGASHRYDVEQTDTGEFKLRNEEPAPWIQVAVLTIAILSAATHPACLAVVTIGW -FAWQKTTTRSGVLWDIPTVVPPEEVSYLEDGVYTINQNSFLGLAQKGVGVVKDGVFHTMWHVTRGAFLLH -AGKRMTPSWANVKEDLISYGGGWKLDAKWDGSEEVQLIAVSPGKVPVNVQTTPSVFQLKNGKEIGAVNLD -YPSGTSGSPILNKNGDVIGLYGNGILIGNNTYVSAIAQSDSVEEGGTEQLQDIPTMLKKGMLTVLDFHPG -AGKTRIYLPQILKECEKLKLKTLVLAPTRVVLSEMREAMPKMSIKYHTQAFSNTSTGKEIIDAMCHATLT -HRMLEPTRVTNWEVVIMDEAHFMDPASIAARGWAAHRSRARECATIFMSATPPGTSNEFPESNGMIEDVK -KDVPSEPWTKGHEWILEDRRPTAWFLPSIRIANSIANCLRKADRTVVVLNRKTFEKEYPTIKSKKPDFIL -ATDIAEMGANLKVERVIDCRTAYKPILVDDATKVMVKGPLRISASSAAQRRGRIGRDPNRDTDTYIYGDS -TTEDNGHYVCWTEGSMLLDNMEIRNGMIAPLYGVEGTKTTTSPGETRLREDQRKVFRELVKRLDMPVWFS -WQVAKAGLKVQDRSWCFDGEDDNTLLNDNGEPILARSPGGAKKPLKPRWVDTRVCSDNASLIDFIKFAEG -RRSASGILLGLQGFPEFLSGKMREAIDTVTVLYTSDTGSRAYKHALAMMPEATTIFLLVMLAIICTSGVI -MFFLAPKGLSRMSMAMMTMLVSAYLMSLGGMNPVQISCVMLVFFIFMVVLIPEPGTQRSTYDNQIIYLLV -GVLSLILLVAANEMELLEKTKRDIFGAVVVEEAKRWTFPEFDLRPGAAWTVYVGLVTPGNPMLHHWIKID -YGNISLSGITQNAQVLGLMDRGIPFIKMNMSVVILLLSAWNGITLLPLFAGMGAAALHWGFILPGLRAQA -AKAAQKRVYHGVAKNPVVDGNPTVDIDDAPGMPAMYEKKLALVILLALSILNLVLTRTPFATAEMVVLGS -AAVGPLIEGDTNAYWNGPIAVAFSGLMRGNYYATIGLAYNGWLAKQTRRGKAAGVTLGEVWKRQLNMLGK -QEFERYKVPDITEVDRTAARRYLKEGRTDVGISVSRGAAKIRWLHERGYLRITGRVLDLGCGRGGWSYYA -AAQKEVMSVKGYTLGIEGHEKPIHMQTLGWNIVKFKDKSNVFTMPTEPSDTLLCDIGESSSNPLVERDRT -MKVLENFERWKHVNTENFCVKVLAPYHPDVIEKLERLQLRFGGGIVRVPFSRNSTHEMYYISGARNNITH -MVNTTSRSLLRRMTRPSGKAIIEGDVFLPTGTRSVASEAGTIDHEALKLRVDQIKAEYSKTWTHDSNHPY -RTWHYLGSYLCKATGSSSSMINGIVKMLSMPWDKFESVTLLAMTDTTPFGQQRVFKEKVDTKAPPPPPGT -RAIMRVVNAWLFQHLARKKKPRICTREEFVAKVRSHAALGAYLEEQDKWKSASEAVQDPQFWKLVDDERK -LHLQGQCRTCVYNMMGKREKKPSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWVSRENSGGGVEGT -GLQYLGYILKELGGKTGGNMYADDTAGWDTRITEEDLEDEQEILKYMDEKHKKLAWAVTELAYKNKVVKV -MRPGPGGLTFMDIISRRDQRGSGQVVTYALNTVTNLKVQLIRMAEAEHVITNFDVDTVSQKTLQDLRCWL -DRFGADRLSRMAVSGDDCVVKPIDDQFADALTHLNSMSKIRKDIDDWKPSQGWASWEDVPFCSHHFHELI -LKDGRSIIAPCRDQDELIGRARVSPGNGWMIRETACLSKAYAQMWLLMYFHRRDLRVMANAINSTVPVDW -VPTGRTTWSIHGKGEWMTTEDMLQVWNRVWIEDNPHQTDKTPITEWRDIPYLPKSIDKTCNSLVGTTQRA -SWARDIKHTVHRIRGLVGNEKYTDYLATMDRFRELDESGPGEVLW - ->YP_002790883.1 polyprotein [Bagaza virus] -MFNKKPGKPGATRVVNMLKRGASRGNPISRIKRMLDGLLRGVGPVRIVLAILTFFKFTALKPTAGLLRRW -KLVGVVEATRHLKSFRKDIGQMLDGLNRRGKKKRGGTTVGIAFLVALGVAQAIKIGSLNGRPLVTVNQAD -VLEAVMIPTAGGNNRCVVKALDVGLMCPDDITYLCPKLEDGYDPEDIDCWCNTTEIYIHYGRCTPTRHAR -RSRRSITVHHHGESLLEAKNTPWMDSTKATKYLTKVENWAIRNPGYALAAAIIGWNLGTTKSQKIIFTIM -LMLIAPAYSFNCLGMQNRDFVEGVNGVEWIDVVMEGGSCVTITAKDKPTIDVKMMNMEATDLALVRSYCY -EPKITDVSTEPRCPTMGEAHNTKANNQEYVCKKGYTDRGWGNGCGLFGKGSIHTCAKFECTNKAEGRIVQ -KENVHFELAVFVHGSTEMGTYNDYFIQQSLKQAARFIVTPKAPVYTAELNEYGTVTLECEPRSGMDMSQF -YVLTMNSKSWLVNRDWFHDLNLPWTGSSAGSWQNRESLVEFEEAHATKQSVVALASQEGALHAALAGAIP -VKYASSKLEMTSGHLKCRVKLQGLKLKGMTYPMCSNKFTLAKNPTDTGHGTVVVELSYSGSDGPCRIPIS -MTANLQDLTPIGRLITVNPYVSTSSSGTKVVVELEPPFGDSFILVGTGENQIKYQWHKSGSSIGKAFMST -VRGAQRMVALGDTAWDFGSVGGFFTSLGKGIHQVFGTAFRSLFGGMSWITQGLLGALLLWMGLNARDRSI -SLTFLAVGAILIFLATNVHADTGCAVDMDRKELKCGSGIFIFNDVETWRDNYKYYPSTPRNLAKVIMESR -EKGICGVRSVGRLEHNMWNSIKHELNAILEDNGPDLTVVVEQPTGRFRKTHRRLVWTDEELEYGWKKWGK -TLFVTPPMSNNTFVVDGPKTKECPDENRAWNSLEVEDFGFGLTSTKVWLGLRKENTTECDTAVMGTAIKG -DKAVHSDLSYWIESRNNGSWKLERAVLGEVKSCTWPDTHTLWGESVQESELIIPVTLAGPRSKHNMRPGY -KVQVSGPWDERDITIDFDYCPGTKVTVTPTCRDRVASARTTTASGKLITDWCCRSCTLPPLRYMTKSGCW -YGMEIRPTVHGDDMLIKSRVTAYDGAGMEPFQLGVLMMFVATQEVLRKRWTGRMAGPALVGLLCAMIFGG -ITYRDMVKYVLLVAAAFAESNSGGDVIHLALIATFNVQPGLLVAYSLRRKWSNQDATLLGVALAMITMAL -HDWNMTIPSLLNSGATAWLLLRAVSEGTVSAACIPILGLLAPGMKIIGIDVFRIGLLIIGVMSLLKERNN -AIAKKKGGVLIGLALAQDGWVNPLVYAGLTLALKPSNRRGWPVSEALTAVGLTFALAGGIAHFDEGDMAI -PLAVGGIMLVVAVVTGFTTDLWLERAGDISWIEDAQITGSSQRYDVEIDCDGNMKLMNDQGVPFSIWALR -TGLILASAYNPYILPVTLGAYWMTTHSPKRSGAIWDVPAPREKKRAELSTGVFRIMARGILGKYQAGVGV -MFDGVFHTMWHVTNGATINVGDGCLVPYWASVREDLISYGGPWKMGTKWNGVDEVQVIVVQPGKSVMNVQ -TKPGVFKTSTGEIGAVTLDYPTGTSGSPIVDKEGNIIGLYGNGILVGAGSFVSMISQGQRMEEEIPQVFS -EDMLRKRQLTVLDLHPGSGKTRKVLPQIVKTAIDRRLRTAILAPTRVVAAEIAEALKEYPIRYLTPAVKR -EHTGTEIIDVMCHATLTSRLLTPQRVPNYNLFVMDEAHFTDPASIAARGYISTKVELGEAAAIFMTATPP -GTRDPFPDSNSPIVDVEEQIPDRAWNSGYEWITDYTGKTVWFVPSVKMGNEIAVCLTKAGKKVIQLNRKS -FDSEYPKCKTGEWDFVITTDISEMGANFGASRVIDSRKCIKPVVVEDGEGSVQLNGPVPITAASAAQRRG -RIGRSYVQVGDEYHFSGPTSEDDHDFAHWKEAKILLDNINLPNGLVAQLYEPEREKVFSIDGEYRLRTEQ -RKNFVEFLRTGDLPVWLSHKLAEAGVAYHDRKWCFDGPSINTVLEDNNPVELWTKSGEKKILRPRLRDGR -LWADHQALKSFKDFACGKRSAIGVSEVVKMLPEHFAHRMGESMDNLYMLTTSEKGSRAHREALEELPETL -ETILLITMMTLASCGVFLFFVQRRGLGKTGLGALVLMTVTGLLWVAEVQPQKIAGILLISLLLMIVLIPE -PERQRSQTDSHLAVFMILVLLIVGTVASNEMGWLEQTKKDVASLFGRAHHQEPSRWEMPWPDLRPATAWA -AYAGATTFLTPLLKHLIVTEYVNFSLMAVTAQAGALFGLGKGMPFVAIDLSTPLLLLGCWGQFTMTTTLT -TIMLLIIHYAFLIPGWQAEAMRSAQRRTAAGVMKNPVVDGIVATDVPDLEASTPITEKKFGQVILIVVAL -CSVFLKPGTTSLTEFGMLTSAASVNLDKGQRTDMECTTAVAMCHLMRKNWLTGASLAWTISRNLQNGSMR -RGGGKGRMLGEIWKAQLNQLTRQEFMEYRKDGIIEVDRAPARKARREGNVTGGHPVSRGTAKLRWLVERG -FLKPRGKVVDLGCGRGGWSYYCATLKMVQEVKGYTKGGPGHEEPMMMQSYGWNLVSLKSGIDIFYRPAEH -SDTLLCDIGEASPVPEIEEARTLKVLQTVDEWLARGTEEFCIKVLCPYMPRVLKELERMQSRWGGGLVRV -PLSRNSNHEMYWVSGASGNITNAVNTVSQMLINRMNRTNRNGPKYEEDVHLGSGTRAVLTKKVKTNWKMV -EDRVMRLAEEFSTTWHHDTENPYKTWNYHGSYEVKATGSASSMVNGVVKVLSKPWDALQNVVNMAMTDTT -PFGQQRVFKEKVDTKAPEPPRGTSEVMKITARWMWDFVGRNKRPRICTKEEFIEKVNSHAALGAIFKEQN -KWASAREAVEDPAFWELVDQEREAHLQGKCETCIYNMMGKREKKMGEFGKAKGSRAIWYMWLGSRFLEFE -ALGFLNEDHWMSRENTLGGVEGMGLQKLGYVLRDMAGKEGGLMYADDTAGWDTRITKADLENEALILERM -DPEHRRLAESLIKFAYMNKVVKVMRPGREGITVMDVISREDQRGSGQVVTYALNTFTNLCVQLIRCMEGE -GLLKPEEVEGLERGKHKKIQDWLGKNGRERLAAMAVSGDDCVVKPKDDRFATALHFLNSMSKIRKDIPEW -KPSTGWRNWQDVPFCSHHFHELNMKDGRTIVVPCRHQDELIGRARLSPGSGWSLTETACLSKAYGQMWLL -MYFHRRDLRLMANAICSSVPVSWVPTGRTTWSIHGKGEWMTTEDMLRVWNRVWIEENEHMEDKTPVASWN -DVPYLGKREDSWCGSLIGHRTRSTWAENIYTPIMQIRALIGPERYVDYMPTLNRFRAVETWSDGVL - ->YP_002790882.1 polyprotein [Kedougou virus] -MKTQKRAASRPINMLKRFGSSAGLFGLKKILMKLLGGFGPVRLVLAFLAFFKFTSLRPSPGLLKRWSSVG -KKEASRTLKGFKKDIGQMLNTINKRKRSPVNWFWTLSMFGVLTAVKIGDYQGAKIMIAEKSDVGVPIDIP -GEDGINRCILQAMDVGELCAHTMTYECPILDKLVEPEDIDCWCNASATWVMYGTCLHKSQEPRRSRRSVS -LPPHTEKKLETRHESWLETRSYLAHLEKTERWVLRNPGFALVAGALGWMLGTSKTQKVLIAGLLLLVAPA -YSIRCIGVSNRDFVEGVSGASWVDLVLEHGTCVTVMGENRPTIDIELLKTAASNMAHARAYCYEATISDT -VMETRCPTQGEAVSDKKGDGNYLCKKGYNDRGWGNGCGLFGKGSYMSCTKFECKKHTKAQIILPENLAYD -VAIAVHSSQGAVHIDNEIAQATEEHKAKITFTPQAPTAEVDLKSYGTMTMTCEAQSGLDFSQLYLMTLDK -KHWLVNREWYHDLDLPWMAGEDARYKYWNNKEALVEFRDAHAKKQEVVVLGSQEGALHSALAGATVAEMD -SEKAAIFSGHLKCRLKMDKLRLRGVSYAMCGGKFSFHRNPAPTQHGTVTVDIGYSGDAPCKVPISVSSEA -NSHKNVGRLVTANPIVMKNGDSVLVEVEPPFGDSYIVVGTGPTKINYHWYKPGSSIGRAFESIMKGARRM -AILGDTAWDFGSVGGVFQSIGKAVHQVLGGAFRTLFGGMNWFTKILIGGLLCWLGVNSRSTSLAAGFITL -GAILIFLATSVNGDQGCAMDLTRKEMKCGNGLFVYNDVESWTSTYRYHVSEPKKLGAIIVEAMESGICGV -LSVSKTEHIMWKSIENELNAILEENDKDISVVVGEVTYPLRRGPKRLKPAERELPFGWKAWGKSKIFSVD -RKNKTFHVDGQGWKECPADSRAWNSLLVEDYGVGMFHTGVWMKINEENTTDCETSTMGAAVKDKRAVHGD -LSYWIESANNGSWKLERAQLAGVKSCSWPSTHTIWSEGVEESHLIIPHTLAGPRSWHNTRKGYQTQVKGP -WAEGELELVFDHCPGTTVTVTEECGRRGPSLRTTTASGRVVPDWCCRDCTLPPLSFRKGKECWYAMEIRP -KNEKEDSLVKARVSAGSGSGVDSLSLGAVVVFLALQEGLKKRYTARGIMVAGVALLAAWLVGGVTLMDLG -RYMFLVGTAFAEVNSGRDVAHLALIATFKVRPALLTTYLWRLHWGTREEIGLAVAACLMHLSVAGLEWNI -PELLDAMAMAVLVLRASADCRAKNWSLVGLALLTQEGLRNVMPAWKGAMLGIAAGIILSKKSSSLKKSAP -LGLAWIMWGAGRLSPLAAVPLLLTGEKRRSWPPTEALSAVGMLGLVMGATMKPGAELSGPLVASGLLLVC -YIISGKGTDLFLERAAELSWCPDAAVSGTSPRLDVKITEGGDMELIDDKGPSTESIVLKATLVAVCGMWP -LAIPFAMGVWMLYDKKAKRSGALWDMPAPRPAPPAVLGDGVYRIMSKKLLGPSQLGVGVMTQGVFHTMWH -VTRGCTIEYNGGRLTPYWGSVKEDAISYGGPWKLTEKWDGTSEVQLIAVAPGKGAENVQTKPGIFNTATG -TIGAVALDYPAGTSGSPILNSEGKVVGLYGNGVLVGGSSYVSAISQVKHPETQPQVVSDDMFRKKNLTIL -DLHPGAGKTRRILPEIVKQAIDRRMRTVILAPTRVVAAEMGEALKGLPVRYMTTAVQREHTGTEIVDVMC -HATFTMRLIQPMRVPNYNLFVMDEAHFTDPASIAARGYIATKVNMGEAAAIFMTATPPGHADPFPDSNSP -VDDMEIMVPDKPWNKGFEWVTDYPGKTVWFVPSVRNGNEIACCLEKAGKKTIQLNRKSFNTEYQRTKNEQ -WDFVITTDISEMGANFDAERVIDSRKCIKPVILEEGRVNLVGPIPVTASSAAQRRGRIGRNPSRTGDEYR -YSGGTVEDDSDHAHWTEAKILLDNVQMPDRIIPQLYGPEQGKVNDVDGIYRLREPEKKVFIELLKRAELP -VWLTYQVASAGIQYNDKKWCFDGERNNTILEDSVPAEVWTREGERKVLKPRWMDGRVCSDHAALRAFKEF -AAGRRGATAGVIDGLAQVPSHLLERFKAAVDTLTVLSTADPAGRAYRHAVGELPETLETIMLFSMLLLVT -GGGCLLMMKSKPIGKMTAGLVVTLVAAGLCWQANVSKVVIASGMTVTLLLLIVLVPEPERQRSVQDNYIA -MLVLGVTVLLGLVAANEAGLLERTKADIRGLLKKEEVNEPGWSLPRLELDLKPATTWTLYAVITIILSPF -VQHSIITTYNNFSLTAIGNQAGILFGMGTGVPFYKWDWGVPLLLLGCATQITPTVMVASGVLLAAHYAFL -IPGLQAQAVRAAQKRTAAGIMKNPVVDGVVVTDIQDLHVDPQTEKTMGQVLLMAVALASACLTPSTWTCG -EAVALMSAAAGTLWEGNPGRMWNSSTACSLANVFRGSMLAGAGLMYTVTRNVSSTKRSNRGLGETLGEKW -KRELNQLSAASFHAYKRAGITEVDRTAARKALQENATNSGHAVSRGTAKLAWMAERGFVDLKGRVVDLGC -GRGGWSYYAASQKKVHEVAGFTKGGPGHEEPIMVQSYGWNIVRMKSGIDVHYLPVQPADTLLCDIGESSS -NPGVEEARTLKVLELVENWIKPGTSFCVKVFCPYMPKVLEKLESLQRNFGGGLVRCPLSRNSTYEMYWVS -GGKSNMVSAVNTTSQLLVSRFRMAGKKPRMEPDLMLGTGTRAVSSQAETPDLSKIKERVELIKRTHSHTW -KEDPEHPYRTWAYLGSYTTEPKGTSASMVNGVVKLLTKPWDVVSGVVNIAMTDTTPFGQQRVFKEKVDTR -VPPVPAGVKRTMRLVATWLWKELSRNKKPRMCTKEEFIAKVRSHAALGALLPEQQGWSSAAEAVEDPKFW -RLVDEERELHKQGRCRTCVYNMMGKREKKTSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSREN -SHAGVEGMGLQKLGYVLEEISKRHGGLMYADDTAGWDTRVTEADLDDEALIIESMDREHAQLASVLTEMA -YKNKVVCVLRPGKGETLMDVISRRDQRGSGQVVTYALNTFTNEKVQLIRCMEAEGVLEESDAEHLMDESK -VNKWLESHGVERLRSMAISGDDCVVKPLDERFSNSLRFLNSMGKIRKDIQEWEASKGWADWEKVPFCSHH -FHKLQLKDGRHIVAPCRHQDELIGRARVAPGRGWVLKEAACLAKSYAQMWSLMYFHRRDLRLAGNAICSA -VPKAWVPTGRTTWSVHGKGEWMTTEDMLQVWNRVWIEDNEWMENKTPVTAWRDVPYLQKRDDLWCGSLIG -HSSRASWAENIRVAVEQVRKAIGREEYADYLGVQDRYSTHSLEMTGAL - ->YP_009553736.1 FtsJ [Kampung Karu virus] -VSRGTAKLNWLLERSLFSPRGRVTDLGCGRGGWSYLVAGVRQVTKVLAFTKGGFGHENPRLVKSYGWNLI -TFKIKDVMYMATHPCDTLMCDIGESHPNPEVEATRTLKVMALAEKWLMERKPEAFCIKILCPYMPNVISK -MENLQRRFGGSLVRVPLSRNSTHEMYWVSGG - ->YP_009553727.1 glycoprot [Kampung Karu virus] -RCVAIPNRDFIEGTNLASWVDVVLQGKTCVTIMAKDKPTLDLSLESVEVEEMATVKQYCTSVAAGSVSTT -AACPTTGEAHDPKANDESYFCKRGYSDRGWGNGCGLFGKGSIETCVQFNCEKKLVGKKMLAENTKHVIRV -SVHGNMDYAKATQADDHDHSKKIEMTPKAPESTVALGEFGEVTVSCQARLGSEVEGMYVAMTDPAELSWL -VHEEWFNDLSLPWTGASAQKWHEREKLYHFWDPKGTAQPVTRLGDQTGAVYQALAGARSVDCDGNKCTLK -GITTTCRLKMEKLKLVG - ->YP_009553376.1 polyprotein [Kampung Karu virus] -MVMNLRRRLPFGAANNVLRRFRSGVSVLKRGVKKTKKVGKAAAVRSMRALPNIDLKVGNPYDIPLVGNII -KAVGQALRGLGSIRLVFAMSAFLHFVGIRAPRELVRRFLVVPKGEAMHVLKGFKKALGSMMNGVNSRKRR -GGATSLILLQMIALTVAASLGSYGGKALIRIGPKDVGTTLRVLQGRENFTCVVLSSEVGRPCDITMTHLC -PDVKEAEPEGVDCWCKGGEAYVQYGICLGERRSRRSIAYPVHGVKHDTESSVWLSKDASLIHLQKMERWM -LKNPAYVLAALCLAWMSARTKIQGVLVFVLLMIVAPAYSIRCVAIPNRDFIEGTNLASWVDVVLQGKTCV -TIMAKDKPTLDLSLESVEVEEMATVKQYCTSVAAGSVSTTAACPTTGEAHDPKANDESYFCKRGYSDRGW -GNGCGLFGKGSIETCVQFNCEKKLVGKKMLAENTKHVIRVSVHGNMDYAKATQADDHDHSKKIEMTPKAP -ESTVALGEFGEVTVSCQARLGSEVEGMYVAMTDPAELSWLVHEEWFNDLSLPWTGASAQKWHEREKLYHF -WDPKGTAQPVTRLGDQTGAVYQALAGARSVDCDGNKCTLKGITTTCRLKMEKLKLVGRTYKACASAFTFS -RAPADTGHGTVVFEVTSSSEAPCRVVTNFLDASDKKLEGRIITVNPILLEADGKVAIEVEAPFGNSILEV -EGGTPPLHYAWHRSGSSIGNAFVLAAKGAQRLVSMGDDAWDFGSIGGFFNSVGKAIHQVFSGTFKTLFGG -LSWMTRIILGVLLMWLGINARERTVSLSLLGVGGILLFMAVTVHADVGCSLDPSRKELRCGEGVFLMNEV -DKWKDNYRMHPMEPKRLASAIWSSHKKGICGVASTNRLEHIMWHSVSSELNALLDANGKNITVVVGSSNY -SFPVGGKKLQNGAPLEIGWKKWGKSILMDPEEKESLFYVDGDAVDCPYENRAWNIFKVEDFGVGLFHTSI -WLEERGDSGTTCDEKTSGAAVKGDRGVHADLGSWIESKKNESWFLERAHLTEIKWCSRSKGQTIHGDSVE -LSKLVIPVEIAGPRSWMNTRSGYSTQTHGPWHVAPLEVRFEECPGTTVKVDGNCTGRKSSARTTDKFGKV -IPEWCCKACTLPPLSFWAPDGCWYGMEVQPVSHKEKSLIRSWVHAADGNQMDNLSLGVLVLTLALTRGFK -RRWTVSSILGGAALVLGLMVGGNISYQDLGRVLVLLGATFAEMNSGGDILHLALVATFRIQPLNLLGFML -RRRWSPIEGILVGSIAALTQILTMGMEGNILAIGNEIALAWLVLRALIDPHTSAIALPLIALFSPLGGAT -VLGTYKFFMFSLVVGQLLMAERSAGERRSVRLYAALIALLSGSGVLLTAASFMMKQKRAKRSVPAGEMLA -VVGILIAALGTVYNTTTVMVPALLGGLLLFAFLLSGKAVDLRLEFAGRVSWSATAPIVGKSPRLEVKINE -NGDMDLIAEKRVSMEQHVVMIVLLALTSLHPVVLPFTCGAWFLYKKTGRRSGAMWDIPAPRKSVACKKDA -GVYRVMKETILGSKQIGVGIMENGVFHTMWHVTQGASLKLEEGRIDPFWASVQEDLISYGGAWQLKGQWD -GIEEVQLLAVPPKKNPENVQTKPGIFKTKEGELGAVALDYPEGTSGSPILNAKGAVVGLYGNGVVIGNSD -YVSAISQKMARDDEAPEVITEDMFRKRNITIVDLHPGSGKTRVVLPALVQKCIEKRLRTVILAPTRVVAA -EMAEALKGLAVRYQTTAVERAGAGNELVDLMCHATFTNRHMIGTQIPSYNMFIMDEAHFLDPLSIAARGI -IATKVDLGEAAAIFMTATPPGTSDPFPSSNSPIHDEERVIPERAWNTGNEWITEYTGKTVWFVPSIRTGQ -EIANCLRRGGKNVIQLNRKTFDEEYKRVKTTDWDFVVTTDISEMGANFGASRVIDGRSTFKPVLAQDRVE -LLGPMAVTAASAAQRRGRVGRNKDTQEDEYVYQGKTSEDNSGHVQWLEARILMDNVHVRGGLVAEFYGPE -QGKSNLMAGECRLRDEQKKEFRELLKRGDLTVWLAYQVAKSGIQYQDRSWCFDGPMENTIMRDNEEVVVR -KKNGENVVFRPRWHDARMSSDSVSTKKYMEFAEGKRSASDLLTVIGGLPGYMNEKWMNAMDTLYILWSGD -SSSRAYREAMNSLPEALEVAITVALATIVTLGIFFVLMRSKGMSKMTVGLITMMFASALLLWAEVPAAQV -AGLVVVMFILMVVLVPDSEKQRSITDNEIAKIVIAVLVIAGAVAANENGMLERTKRDFGIGQEKDSVTPW -EMPSINPTAAWSLYVAATVFISPWVRHMIKSQYGSLSVAAMTQQASLLLNMNLGWPSLKVDWGVPLLLLG -VSSQVNAGAVTVAVLMLLAHYCVLISALQAEFSAAATRRTAAGVMKNPTIDGIPAVDLDVEAVDPLLEKK -LGLWMLLLGVAGAAFIGKGIPELIDCGVVGTAVMATFLEGGAPVFWNTTTAVATVQLLKGNWLAGAPLAY -TVMRNTKNINIRRGGPGGRTLGQMWKEELNGMGRQRFEDYKKSMILEVDRSEAVEAHTKGDTSGVHAVSR -GTAKLNWLLERSLFSPRGRVTDLGCGRGGWSYLVAGVRQVTKVLAFTKGGFGHENPRLVKSYGWNLITFK -IKDVMYMATHPCDTLMCDIGESHPNPEVEATRTLKVMALAEKWLMERKPEAFCIKILCPYMPNVISKMEN -LQRRFGGSLVRVPLSRNSTHEMYWVSGGRGNITNMVSSCSRVLLNRMRINSPPVTIPDVSLGTGTRRPAQ -LAAEPNMEVIGTRIEKLKDQFKETWHYDEEHPYSTWTYHGSYETKTTGSASTMVNGVVRELSHPWDNIDE -VVKTAMTDTTPFGQQRVFKEKVDTRATSPRMGTRKIMKVVSQWFWRRFRSKGMKPRLCTKEEFIAKVHSN -AALGAVFEEENQWGSASDAVEDPRFWELVDKEREAHKNGRCETCVYNMMGKREKKQTEFGEAKGSRAIWY -MWLGARFLEFEALGFLNEDHWMAREHSGAGVEGLGLQRLGYILKEMDTMEGTYYADDTAGWDTKITEEDL -EDEEDVMAYMEPEQATLARAIMTFTYKNKVVRVMRPGKGRTLMDVISRRCQRGSGQVVTYALNTGTNIKV -QLIRFMEAEGVIMPEEVENITVSALREIEEWLNENGEEVLKRMAISGDDVVVKAKDKRFAHALHHLNEMS -KVRKDVPEWEPSDGWEDWDRVPFCSHHFHELMLKDGRTIVVPCRSQHELVGRARVSPGRGWTLRETAALS -KSYAQMWLLMYFHRRDLRTMGFAISSAVPSNWVPTGRTTWSLHGKGEWMTNEDMLEVWNRVWITDNPHMK -DKTPVYNWRDIPYLRKGNDMACGSLIGLTVRATWATNLRAAVLQVRSLIGEGERYVDYLAAMDRFHTVDA -PTPGAW - ->YP_009553341.1 polyprotein [Rocio virus] -MSKKPGGPAGRRVVNMLKRPASVSPIKGIKRLIGNLTDGRGPLRVVLAFIAFFRFAAIMPTQGLLRRWRV -MNKSEALKHLTSFKKEISNMLNIINRRKAKRGNGSVLLWIALVTGSMALRLGTYQGKVLMSINKTDVAEI -IPIPTTKGDNLCTVRAMDVGYMCQNDITYECPRLEPGMDPEDIDCWCDREAIYVHYGLCTKNHRERRGRR -SVNIPSHGESQLENRGTPWLDTAKTTKYLTKVENWMIRNPGYAIVAVAAAWMLGSNTSQKVIFTIMLLLI -APAYSINCLGVTNRDFVEGMSGGTWVDIVLEGDGCVTIMAKDKPTLDIRLLKMEAKDLATVRSYCYHATV -TSVSSEARCPTMGEAHNPKALDSNYLCKSTYVDRGWGNGCGLFGKGSLQTCVKFGCTQKAMGMTIQRENL -DYELAIYVHGPTSVAAHGNYTTQLGAKHAAKFSITPSSPSFTANLGEYGEATVDCEPRAALDIDNYYVMS -MNNKHWLVNRDWFHDLDLPWTGPATDVWKYRESLVEFEEAHVTRQTVVALAAQEGELHIVLAGAIPVTVA -GTTLTLTSGHLKCRMKLDKLKIKGSTYLMCKDKFAFAKNPVDTGHGTIVTEVQYAGSDGPCRIPITMTEN -LHDLTPIGRLVTVNPFVPSSETAQKILIELEPPFGTSFILVGTGPNQVKYQWHKSGSVIGSAFKTTIKGA -QRMAVLGETAWDFGSVGGVFNSIGKGIHGLFGGAFRTLFGGMSWVTQALMGALLLWLGVSSRERTVSITL -LATGGILLFLAMNVHADTGCAIDITRRELKCGSGIFIHNDVETWRDNYKYHPSTPKNFAKIIHKAYKEGI -CGVRSASRLEHEMWKHIAPELNAILEDNEVDLSVVVEEHKGIYKKAPLRLENTSDEMHFGWKNWGKSFLF -KTQMANSTFVVDGPETKECPTERRAWNSLEIEDFGVGIMSTKVFLKVNGDKTEVCDSMVMGTAIKGNRAV -HSDLGYWIESGKNTSWRLERAVLGEVRSCTWPESHTLWNEGVEDSDLIIPPTLGGPRTHHNKREGYKTQL -KGPWNEEGPIIIEFGECPGTKVTQEESCRNRAASARTTTASGKVIRDWCCKNCTMPPLRFTTKNGCWYGM -EIRPKHESEETLIKSKVTAGTGNDICRFQLGLLMAFVFTQEVLRKRWTARLALPTAALLLACFVLGAFTY -SDMIRYFVLVGCAFAESNSGGDVIHLALIAVFNIQPAALVSTFFRNRWTNRENLLLVIAAAMAQMAWSDV -GIEIMPIMNAMALAWMILKAVSIGTVSTIAMPILSGLAPPMEWFGLDVLRCLLLIVGVAALIKERKENLA -KKKGALLISAGLALTGAFSPLVLQGALMLSECATKRGWPASEVLTAIGMTIALAGSVARLDSGTMAIPLA -TTSILFVSYVLSGKSTDMWIERCADVTWEEEAEITGTSPRLDVELDDNGDFKMINDPGVPMWMWASRMGL -MCMAAYNPVLIPVSVAGYWMTRKIHKRGGVLWDLPAPKQMGRSDMKPGVYRVMTSGVLGSYQSGVGVMYD -GVFHTMWHVTQGAALRNGEGRLNPTWGSVRDDLITYGGKWKLSATWDGTEEVQLIAAEPGKPVKNFQTRP -GVFKTPAGEVGAITLDFPKGTSGSPIVNKAGAVIGLYGNGLVLSHGAYVSAISQGERQEEEAPEAFTPEM -LRKRQLTILDLHPGAGKTRRVIPQIVREAVKQRLRTVILAPSRVVAAEIAEALRGLPVRFQTSAVKAEHS -GTEIVDVMCHATLTQRLMTPMRVPNYNVFVMDEAHFTDPASIAARGYISTKVESGEAAAIFMTATPPGTI -DPFPDSNSPIIDQEAEIPDRAWNSGFEWITDYTGKTVWFVPSVRSGNEIAMCLTKAGKKVIQLNRKSYET -EYQKCKGNDWDYVVTTDISEMGANFGAHRVIDSRKCVKPVIINDGEGRVQLNGPLPITASSAAQRRGRVG -RDPTQSGDEYYYGGPITNDDTGHAHWIEAKMLLDNIQLQNGLVAQLYKPERDKVFATDGEYRLRGEQKKH -FVELMRTGELPVWLSYKVAEAGINYTDRRWCFDGPHNNTILEDNTEVEIWTRQGERKVLRPRWSDARVYS -DNQALRAFKEFAAGKRSAGSMMDVMARMPDYFWTKTMNAADNLYVLATTEKGGRAHRAALEELPDTLETV -LLIAMMSLASCGMLALMMQRKGIGKTGMGTAVLTAVTILLWMADVPAPKIAGVLLISFLLMIVLIPEPEK -QRSQTDNHLAVFLICALLLVSAVSANEMGWLDTTKRDLGKLFSGPSAVTTSRWEPLKLALALKPATAWAG -YAGMTMLLTPLFRHLITTQYISFSLTAITSQASALFGLNSGYPFVGVDLSVVFLLVGCYGQYNLPTTMAT -IGLLVGHYAFMIPGWQAEAMRAAQRRTAAGVMKNAVVDGIVATDIPEMDTATPIVEKKMGQVMLLIISAL -AILLNPDTMTVVEGGVLITAALATLLEGNANTVWNSTVAVGVCHLMRGGWAAGPSIGWTIIRNLEAPKVK -RGGIAAPTLGEIWKSRLNQLTREQFMEYRKDGIIEVDRTAARRARREGNRTGGHPVSRGTAKLRWLVERG -FAKPLGKVVDLGCGRGGWSYYCATLRHVQEVRGYTKGGPGHEEPMLMQSYGWNIVSMKSGIDVFYRPTEA -CDTVLCDIGESSPSPGVEEARTLRVLEMIEPWLRTANQYCVKVLCPYTPKVIERLEKLQRKYGGGLVRVP -LSRNSNHEMYWVSEASSNLINAVNATSQVLLQRLEKDHRKGPRYEEDVDLGSGTRSVARRSPFMDTRKIH -HRIERLKSEFSTTWHYDCEHPYRTWNYHGSYEVKPTGSASSMVNGVVKLMSKPWDSIQSVLTMAMTDTTP -FGQQRVFKEKVDTKAPEPAPGVKAVLDLTTDWLWAVLCRRKKPRMCTKEEFIAKVNSHAALGAIFEEQNQ -WASAREAVEDPGFWNFVDKERQAHLEGRCETCIYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEA -LGFLNEDHWMSRENSYGGVEGKGLQKLGYILQEISRKEGGHMFADDTAGWDTRVTLTDLENEAKITRWME -PEHRKLAEAMIELTYKNKVVKVTRPGKEGKTVMDIISRNDQRGSGQVVTYALNTYTNLAVQLIRCMEGEG -LLEEEETMRISDAKRRAVQAWLDTNGTERLTPMAVSGDDCVVKPIDNRFATALHFLNGMSKVRKDIQEWK -PSTGWTNWQEVPFCSHHFNELVMRDGRKIVVPCRAQDELIGRARVSPGSGWSLRETACLGKAYAQMWLLM -YFHRRDLRLMANAICSAVPIDWVPTGRTTWSIHGKGEWMTTEDMLAVWNRVWIFENEHMEDKTPVYSWTD -VPYIGKREDQWCGSLIGHRSRATWAENIYTPIMQVRNLIGAERYVDYMPAQTRFAHEAELQGGVL - ->YP_009552278.1 polyprotein [Nanay virus] -MVRQLGKTVARRSVNMRKRITTRLTDPIGAIINVVKMVFLGRGPMKAFMSVMTFLRFMSINPGKNMVRKW -KNVDKKQGIDILRRFKRMIGTMMKGLTTRKNKRRSATTCLLPLLWTGALALVVSTFDGKPVMEIDSTEVG -MPLHIPLRHKNITCVMQSLDVGHMCEDSVTYLCPQVEGDREDTDCWCTNGEIYVKYGRCDAKGKPKGHRR -SKRAVNIAPHGEGGLKVRRSTWLATDQFQAHFERVERWTLRNPMFVIFGVIIGCVIGNTVAMRVVLVILI -LLIGPAYSNRCLVSQNRDILSGIRGTTWIDVVLEGGSCVTVIAEEKPTLDIEYEVTSVSGAATVRSVCIE -AALTDISNSAHCPSNGEATNPHRGDENYICHRASPGRGWGNGCGLFGTGSLDTCARFTCRTKADILDIRP -ENMVHKVTVEIHASHPYNHTSVEKKRVKTLSELEFTRRAADVVADLGDYGTLGVTCRPTDMLDIENSYIL -SLKGKMWLINKQWARDLPLPWATANSVWNAKEKLAHFGEAHAVTQALDSLGSQKGVLLHALAGTQQIAQG -RGGDAHIPSGHLTCRLKLEGLKVVGMTYATCEGTFTFEKIPTDTGHGTVVMEVASHDSVMPCRVVASFVD -PDGKSLGGRMITTNPILQSTTKTAVIEMEPPFGASHVALGPKMVTRYAWYRKGSSIGNALSLTLKGAQRM -AIIGDAAWDFGSVGGFFQSLGKATHHVLGSLFHTLFGGVGIMVRIASGLLLFYVGLHADNKSIALTLMGL -GGAVIFLSTVSADEIGCSLDPLRKEVRCGSGVFVHNNVEVWLDKYSYKPLSPGELAGMIIDANSRGLCGL -RSTTRMEHEMWRQIADELNYLFNANGHNLSVIVHANPNNTYPMGLAGRFRQGPILTKTWKKWGKDLVYAP -EISPNLFHIDGECTEEDTLWNVFEVEDFGVGVYKTSVYLTENTKASTQCDTAMMGVAAKGDVAVHGDLGL -WMESAKNVTWQLVSATLAEIRSCLWPNSHTLWGSKMEESLMIIPRNISGPVSQHNRITGYATQSKGPWHA -APITLQRAECPGTNVTVDPECTGRRASARSTTTLGKIIPEWCCRSCTLPPLSFIAPDGCWYAMEVQPLHS -HEENIVRSWVSAGEYKGVDSLSLGAIAVTIALEQVLRRRWGKKTAPWGIGIFFMAMILGGITVNDILRFM -VLVGAAFSEMHTGGDLMHLALVATFKVKPIFLIPMVLRQMWSPQENVLLVVGSVLAQMAFGETLMSIGSF -GDALNGIGLAWLGAKAMWMPTTSTIAVPLIALISSFSYLTVLSSFQAFVGTMVAFSLVAAGGRAAHRKGA -FVGAVGVMTHFFVGAPAWPIALMAWCMKKANYKLRSLPASELLTIAGLTFAALGTAFGSRDMPLIAPAAA -GALLAFSYVLSGRAVDLVLEYAGEVSWSKEAQHSGSSPRVDVVRNENGEFDIIGEETEADLKTNVMLASL -LVLSAVSPITVPFAGAAWLFWQSRNKRSGVMWDIPTAPAEAKVDKVEGVYRIKRSGLLGAKQIGVGVMNS -GVFHTMWHVTRGSTLMLGGERLEVHWASVEDDLICYGGAWKLPTLWDGNSQVQLLAVAPGEQPVSVQTTP -GKFITNNGDVGCIALDYPTGTSGSPIVNTEGQIVGFYGNGVKIAEGYASSIAQAPTHVMKEAQIEITDEL -FRKRELFVVNLHPGAGKTRVFLPGVLTRAQEKGIRTLVLAPTRVVASEMASALKKLNVRYRTSAVQNARR -GNEIIDVMCHATFTHSMLTPGREVNYNLYIMDEAHFTDPASIAARGIIATKVRLGQASAIFMSATPPGTA -DPFPDSNSPITDVQEQIPVKPWNEGHEWVTTHPGKTVWFVPSIRSGNEIASCLRKAGKSVLVLNRKTFQE -CYAQAKLGEHDFIVTTDISEMGANFKADRVIDTRQCYKPILRQEGECERVVLDGPIPITASSAAQRRGRI -GRSPGVQGDTYVYSGKTSEENGNHVHWLEARILMDNVKLPGGIISTFYEPEQEKSSETAGIHRLRDDQRN -VFRDLVQKADLPVWLAYQVAKANIDYSNRNWCFDGPTGNMLNEMTGETIILPSPGGALRPLTPRWSDARV -HSDSASLAAFKKFATSRRSDDIWTAIKNTPWHMEERIKSGIDTAVILYTADPSSKAYKEALSDIPDALET -GFVIAAASMLTFGMFLVLMRGKGISKMTCGLVTMAGVVWMLHKAAFAPSQIAGVMIIMFILMIVLIPDAE -KQRSLADNEIAKIVLGILVIGCLIAANEAGFLHQTKSDIAALWPMKEEVPSDTPWTWAWELPDLRPGTAW -SLYAVMTVILSGGLEHIINSHYNIVSAAAVAPSASMLFTMSKGWPMHGLGAEVLLMAAGACTALNGWCLL -LAGVMLVVHYAIMIPGYCAVASRAAERRTMVGTMKNTMVDGMSEINIDPLPQPPREYEKRIGMIMLIGVS -LLPAIVMRDMSSIMECGVLMSVAIATLKAGAPHPVFNSTSAVSLMNMWRGSYVAIVPFLHNLYVNGMKTG -KLRGSSGGQTAGQIWKRKLNDLRKQDFERYKRAGIWEVDRDAARKGLKDDPTTGWAVSRGTSKLNWMAER -GYVKLSGKVVDLGCGRGGWSYLAAASKDVKAVHAFTKGGIGHEEPMLKRSYGWNLIKFKGNTDICWLPTQ -SVDTLLCDIGESASDPFVEEARTLKVLNILERWLTERRPENFCIKVLCPYMPNTMAKMDNLQKRFGGGLL -RNPFSRNSTHEMYWVSGAKGNTHAATNETSRALIARFNLDKGPTMKDDVVLGTGTRRAEGPIDAPNMGII -KHRLERLRKQFSETWHQDPEHPYKTWTYHGSYEVKTTGSASSLVNGVVKLLSTPWNSVVEVINTAMTDTT -PFGQQRVFKEKVDTKAPEPRAGTRRIQKVVSNWVWKQLARKKKPRKCTKEEFISKVNSHASMGAVFDEEN -QWQTAKDAVEDPEFWKLVDEERAHHLRGECHSCIYNMMGKREKKPTEFGQAKGSRAIWYMWLGARFLEFE -AFGFINEDHWLSRENSGAGVEGLGLQKLGPVLRDIARGSGGEIYADDTAAWDTHITNADIEDEGDIIAYM -DPDHAKLATAVLEFTYKQKVVRVMRPGPKGTTLMDVLSRRDQRGSGQNVTYGFNTKTNMVAQLVRCLEAE -GVISQEDIKWLSAETEKAIVEWLDRHGWERLRKMAISGDDCVVRAVDSRFASALHHLNEMSKVRKDIGEW -EPSRGWTDWEKVPFCSHHFHELALKDGRKIIMPCRSQDELIGRARVSPGAGWTLKETATLSKAYAQMWLL -MYPHRRDLRLMANAICSAVPVNWVPTGRTTWSLHGKGEWMTNEDMLDVWNRVWIEDNEDLEDKTPVYSWM -DVPYLRKGDDISCGSHIGFTERATWAANIRVAVRQVQTAIGNEKYRDYLGTMGRYVPLEPRTGGNPTSMN -RT - ->YP_009430301.1 nonstructural protein NS1 [Zika virus] -DVGCSVDFSKKETRCGTGVFVYNDVEAWRDRYKYHPDSPRRLAAAVKQAWEDGICGISSVSRMENIMWRS -VEGELNAILEENGVQLTVVVGSVKNPMWRGPQRLPVPVNELPHGWKAWGKSYFVRAAKTNNSFVVDGDTL -KECPLEHRAWNSFLVEDHGFGVFHTSVWLKVREDYSLECDPAVIGTAVKGKEAVHSDLGYWIESEKNDTW -RLKRAHLIEMKTCEWPKSHTLWADGIEESDLIIPKSLAGPLSHHNTREGYRTQMKGPWHSEELEIRFEEC -PGTKVHVEETCGTRGPSLRSTTASGRVIEEWCCRECTMPPLSFRAKDGCWYGMEIRPRKEPESNLVRSVV -TA - ->YP_009430297.1 membrane glycoprotein precursor M [Zika virus] -AEVTRRGSAYYMYLDRNDAGEAISFPTTLGMNKCYIQIMDLGHMCDATMSYECPMLDEGVEPDDVDCWCN -TTSTWVVYGTCHHKKGEARRSRRAVTLPSHSTRKLQTRSQTWLESREYTKHLIRVENWIFRNPGFALAAA -AIAWLLGSSTSQKVIYLVMILLIAPAYS - ->YP_009351820.1 polyprotein [T'Ho virus] -MSKKPGGPGKNRVVNMLKRTSRASPLQGLKRMIGNLLDGRGPLKMVLAILTFFRFTAIRPTTGLLRRWGL -MEKKEAIKHLSKFKKDLGQMLMIVNRRKNNKRGMTTTAISLVMLATTMAARLSSFDGKVLLSVNKTDIAE -PIVIPTAKGDNKCVVRALDVGYMCQDDITYECPKLEPGYDPEDIDCWCDKESIYVHYGTCTQTRGRRRGR -RSINIAPHGESTLEHRSTPWMDGAKTRRYLSKVENWILRNPGYAIVSVALAWMLGSNRTQKVVFALLLLM -VVPAYSLNCLGVQNRDFVEGLSGGTWVDIVLEGDGCVTIMAKDKPTLDVKMMKMEAKNMADVKTYCYLAT -VTDVVTVAKCPTNGDAHNPKSMDNTYICKKSLIDRGWGNGCGLFGKGSVETCAKFTCTGKTTGALIQKEN -LEYELAVYVHGPTAVEHHKNYTVQLAGKHAARFVITPATPTFTADLGDYGTVTMDCEPRSAIDTERFYVM -TLGSRSWLVNKEWFHDLDLPWSSPSSEAWKNRETLVEFEEAHATKQSVVALATQEGALHTALSGAIPVGV -SGKTLTLTSGHLKCRMKLENLKIKGTTYGMCKNKFTLARTPTDTGHGTVVVEVTYTGNDGPCRIPISMSM -NLHDLTPVGRLVTVNPFVPTSAQNQKILVELEPPFGDSFILLGTGSNQIQHHWHREGSSIGSAFKATLKG -AQRMAVLGDAAWDFGSVGGFFDSLGKGVHSMFGGAFRALFGGMSWVSQMMIGALLLWLGVNARDRSISLT -LLSVGGVLLFLAMNVHADTGCVVNMARRELKCGSGLFVHNDVETWRDNYKYHPSTPRNFAKIIHESYSKG -VCGVRSASRMEHEMWRRIAPELNAILEDNAIDLSVVVEEQKGRFQRGHKRLEYTDEELEFGWKKWGKSFL -IKPKMANNTFIVDGEESKECPTEKRAWNSLRIEDYGVGVVSTKVFLEVSKSRTNVCDAAIIGTAIKDDKA -VHSDLGYWIESKNNGTWEFSRAVLGEIKSCLWPETHTLWSDGVIESEMLIPPEMAGPKSHHNKKRGYKTQ -TKGPWDEPTPIVVEFDYCPGTTVTVTSSCDKRGASLRTTTASGKIVRDWCCRDCTLPPLRFMTGGSCWYA -MEIRPTKESENTMIKSMVVAGHGSSMEPFQLGLLLACILTQEVLRKRMTRQLVLPTAVLLLACFMVGGFS -YADFVKYLFLVGCAFNESNSGGDVIHLALVAVFKIQPAALIGMFFRKQWTNRENLLLVVSAAMLQLMVDE -VNISLMSLLNSMSLSWMILRACMVGNTSTVAIPILSALSPPMNWLGIDVFRMLLLMIGVAVLINERNTGK -AKKKGAYLLSAGLSTYGLSPLIMAGGLMLSRGMTRRGWPASEVLTALGMMFALAGTVARIDDGSMAVPLA -VISILFTAYVVSGRSTDMWLERCSDISWDDEAKITGTSPRLDAELDDNGDFKLINDPGLPAWLWMARMAL -LAMAASNPLLIPVALMGYWLTTRLQKRGGVLWDVPSPKTFQKPELKPGVYRVMSRGVFGSFQAGVGVMYE -GVFHTMWHVTQGAALRNGEGRMVPEWGSVKDDLISYGGGWKLSGKWDGTEEVQMIVVEPGKPVVNLQTKP -GIFKTPQGSVGAVTLDYPRGTSGSPIVNKDGQVVGLYGNGLVLSDGTYVSAISQTDRQEDVMPEAFHPDM -LRKKELTVMDLHPGSGKTRKVIPQIVREAVRQRLRTVVLAPTRVVAAEIADALRGLPVRYQTTAVKQEHT -GTEIIDVMCHATLTQRLLTPTRVPNYNLYVMDEAHFTDPASIAARGYIETKVELGEAAAIFMTATPPGTR -DPFPESNAPIIDTEIQVPDRAWSSGNEWITEYDGKTVWFVPSVKTGNEIAMCLIKAGKKVIQLNRKSYDT -EYQKCKTTEWDFVITTDISEMGANFKASRVIDSRKCVRPVVLEEGDGRVLLNGPMPITAASAAQRRGRIG -RDPNQVGDEYFFGGGTIEDDSNHAHWMEARILLDNMWLQNGLVPQLYKPERAKVFTTDGEFRLRTEQKKT -FVELMRTGDLPVWLAYKVAEAGIGYHDRRWCFDGPVENSILEDSNEVEIWTKAGEKKKLRPRWSDARVYA -DHQALKWFKDFAAGKRSASAIIEVMGRMPDFLAEKTLNAVDNLYVLTTSEKGGRAHKEALEELPETIETV -ILISMVMVASAGMLTFFLYRKGVGKTGLGVLVLGTVTLLLWMAEVPATKIAGVLLISYLLMIVLIPEPEK -QRSQTDNHLAVFLICVLLLVSAVSANEMGWLEVTKRDIATVLGREAVETERTEWKKEYLTMEIRPATAWA -GYAGATVFLTPLFKHLVTTQYVSFSLMAITAQASSLFGLSSGYPFVGIDLSVVLLLLGCWNQHNVPTTVT -TIILLVLHYAFLIPGWQAEAMRQAQKRTAAGVMKNAVVDGIVATDIPEIEAASPLMERKLGQIMLVGTCA -LAAFSNPTTMTIVECGILLTSALATLIEGAANVVWNSTVAVGVCHLMRGSWLAGASIAWTIMRNMETPKV -KRGGGTGPTLGEVWKAQLNQLTRESFMAYRKDGILEVDRTEARRARRDNNKTGGHPVSRGTAKLRWMVER -GFVRPQGRVVDLGCGRGGWSYYAATLRHVQEVKGYTKGGPGHEEPVLTQTYGWNLVTMKSGVDVFYKPVE -SFDTVLCDIGESSPSVSVEEARTIKVLDMAENWIRSSGREFCVKVLCPYTPKVIERLERLQRIYGGGLVR -VPLSRNSNHEMYWVSGGTSNIVNAVNSTSQVLLQRMQKDRHRGPKYEEDVDLGTGTRSVTKPTPFKDTRK -VADRLKRLQEEHSATWHYDTQHPYRTWTYHGSYEVQATGSASSMVNGVVKLMSKPWDNVQNVVTMAMTDT -TPFGQQRVFKEKVDTKAPEPQAGVRKVMNETTDWLWDFLSRDKKPRLCTREEFASKVRSNAALGAVFQDE -NQWKTAREAVEDERFWELVEEERQAHLSGQCKTCVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEF -EALGFLNEDHWMDRENSLGGVEGKGLQKLGYVLRDISRKEGGSMFADDTAGWDTRITVADLENEAKILDR -MDGDHKRLARAIVELTYRHKVVKVMRPSSSGGTVMDVISREDQRGSGQVVTYALNTFTNLAVQLIRCMEG -EGLIGPEDVEDLRKGKLPTIKNWLLKNGTERLSRMAVSGDDCVVKPIDNRFATALHFLNGMSKVRKDIQE -WKPSIGWNNWQEVPFCSHHFNELILKDGRSIVVPCRNQDELIGRARVSPGSGWNVKETACLGKAYAQMWL -LMYFHRRDLRLMANAICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLQVWNRVWIYENEEMEDKTPITQW -KDIPYLGKREDQWCGSLIGHRSRSTWAENIYTPIMQVRNLIGNEKFVDYMTSQVRFGAGEEPRGGVL - ->YP_009350103.1 polyprotein [Yaounde virus] -MSKKPGGPGGIRAINMLKRGLPRVYPLVGVKRVVMSLLDGRGPVRFVLALLTFFKFTALAPTRALLKRWK -AVDKKTAMKHLASFKKELGVMVNAVNRRGTKKKKRGGVTTIWMMLPMLMAVTAVKLSNFQGKIMMAINAT -DVADVIVIPTPQGKNQCVVRALDVGYMCEDTITYECPVLERGNDPEDIDCWCDKQAVYVHYGKCSRGRHS -RRSRRSLTVQTHGESTLANKKGAWMDSTKATRYLVKTETWILRNPGYALVAVVLGWMLGSNKGQKIIFVI -LLLLVAPAYSFNCLGMSNRDFIEGVSGATWVDIVLEGDSCVTMMAKDKPTLDVRMMNMEATNLAEVRKYC -YQATASDVSVVARCPTMGEAHNDKRVDDAYVCKSGVVDRGWGNGCGLFGKGSIDTCVKFTCSHKAHGRTV -LKENIKYEVAVFVHGSTSVESHGNYSAQVGAQQASRFTVSPSAPSLTVKLGDYGEVTVDCEPRSGIDTDA -YYVFTLNSKSFLVHREWFNDLNLPWTSSATESWRNRETLLEFEEPHATKQSVVALGSQEGALHQALAGAI -PVEYTSNTLKLTSGHLKCRVKLDKLTLKGTTYGMCANAFQFSKNPADTGHGTVVVELQYTGNDGPCKVPI -SSTASLNDLTPVGRLVTVNPFVSATTANSKVIIELEPPFGDSYIVVGRGEKQINYHWHKEGSSLGKAFTA -TVKGAQRLAALGDTAWDFGSIGGVFTSLGKAVHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDKS -IALTFLAVGGVLIFLATNVHADTGCAIDISRQELRCGSGIFIHNDVEAWMDKYKYQPETPQGLAKIIQKA -HQDGVCGIRSVTRLEHEMWGSIRDELNTLFNENGVDLSLVVENQKGMYRSAPRRLKMTTEKLEIGWKAWG -KSIIFAAPMANATFVVDGPETKECPNEHRAWNSLMIEDFGFGLTSTRMWLKVRESNTTECDSAIIGTAIK -GERAVHSDLSYWIESGLNETWKLERAVLGEVKSCTWPESHTLWSDGVIESELIIPVTLAGPRSHHNKRPG -YKVQNQGPWDEGKVVIDFDYCQGTTVTVTDNCGHRGASTRTTTDSGKLITDWCCRSCTLPPLRFTTESGC -WYGMEIRPKNHDEKTLVQSKVMAYNSDMIDPFQLGLLVVFLATQEVMRKRWTMKVTLPAIVAALMVLMFG -GITYTDLVRYVILVGAAFAEANNGGDVVHLALMATFKIQPCFLIASFMRAKWTNQENLLLMLSAAFFQMA -ATDLRVDIPDILNSLAVAWMVLRAITETNTSSVTIPLLALLTPGLRILHLDVYRILLLMLGVASLLGERR -AAAAKKKGAPLLCIALASTGFFNPLVLMAGLLVCNPNGKRGWPATEVLTAVGLMFAIAGSLVEMDLDSLA -IPLTIAGLMGVTYVLSGRSTDMWIERVADITWENDAEITGTSERLDVRLDDDGNFQLMNDPGAPWKIWMT -RMVCLAAAALSPYAIIPAGIGYWITMKYTKRGGVLWDTPAPREYKKGDTTTGVYRIMTHGILGKYQAGVG -VMYEGVFHTLWHTTRGAALMSGDGRLDPYWGSVKEDRLTYGGPWKLERKWNGEEDVQMIVVEPGKPVKNV -QTRPGVFKTPHGEIGAVTLDYQTGTSGSPIVDKNGDIIGLYGNGVIMANGSYVSAIVQGERMEEPIPEAY -DSDMLRKRQLTVLDLHPGAGKTRKILPKIIKDAIDRRLRTAILAPTRVVAAEMAEALRGLPIRYLTSAVN -REHTGNEIVDVMCHATLTHRLMSPHRVPNYNLFVMDEAHFTDPASIAARGYIATKVELGEAAAIFMTATP -PGTADPFPESNTPIIDVQNEVPDRAWNSGFDWITEHVGKTVWFVPSVKIGNEIAQCLQRAGKRVIQLNRK -SYDTEYPKCKNGEWDFVVTTDISEMGANFGANRVIDSRKSVKPTILEEGEGRVILSGPAAITAASAAQRR -GRIGRNPTQVGDEYHYGGHTNEDDSNLAHWTEARIMLDNINLPNGLVAQLYQPEREKIHAMDGEHRLRGE -ERKHFLELLRTGDLPVWLAYKVASNGITYTDRKWCFDGPKSNTILEDNNEVEVVTKFGERKILRPRWLDA -RVYSDHQALKSFKDFAAGKRSSIGFLEVLGRMPEHFVGKTWDALDTMYLVATAEKGGRAHRAALEELPDA -LQTITLITMLTVMSLGFFLMLMQRKGLGKMGLGAMVLAAATGFLWMADVSGTKIAGVLLLALLMMVVLIP -EPEKQRSQTDNQLAVFLVCVLLVVGVVSANEFGMLEKTKADLAGLFGHKKVIDLHSTIPESWYLDLKPAT -AWALYAGSTTILTPLFKHLITTDYINTSLASINSQAGSLFSLQKGIPFTSIDLSVLFLILGCWGQVTLTA -ALAAVVLATAHYGYMLPGWQAEAMRAAQRRTAAGIMKNAVVDGMVATDVPELDRVTPMMQKKLGQILLVL -VSAAAVIVNPNPRSVSEAGVLVTSAAITLWENGASMVWNASTTTGLCHVMRGSWLAGISIVWTLIKNIDK -PALKRGNPGGRTLGEQWKERLNQLNKEEFHLYRKEAITEVDRTAARKARREGNKTSGHPVSRGTAKLRWL -VERKFVNPIGKVVDLGCGRGGWTYYAATLKLVQEVKGYTKGGPGHEEPMLMQSYGWNIVTMKSGIDVFYR -ASEPCDTLFCDIGESSSSPEVEETRTIRVLEMVEDWLHRGPREFCIKVLCPYMPKVIERMEVLQRRFGGG -LVRVPLSRNSNHEMYWVSGASGNIVHAVNMTSQVLMGRMGKTTWKGPKYEEDVNLGSGTRAIGKPAPHSN -FEKIRQRVERLRKEYSQTWHEDKDHPYRTWNYHGSYEVKPTGSASSLVNGVVRLLSKPWDMITGVTTMAM -TDTTPFGQQRVFKEKVDTKAPEPPQGVKLVLNETTNWLWAYLAREKKPRMCSREEFIRKVNSHAALGAMF -EEQNQWSSAREAVEDPKFWELVDEERKAHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWYMWLGARF -LEFEALGFLNEDHWMSRENSGGGVEGLGLQKLGYVLREVGKKAGGKIYADDTAGWDTRITMADLENEAKV -LELLDGEHRRLARSIIELTYRHKVVKVMRPAAEGKTVMDVISREDQRGSGQVVTYALNTFTNIAVQLVRM -MEAEGIVTPDDIEVLGKRKIPAVRTWLFEHAEERVQRMAVSGDDCVVKPLDDRFATSLHFLNAMSKVRKD -IQEWKPSNGWYDWQQVPFCSNHFQELVMKDGRTIVVPCRGQDELIGRARISPGAGWNVRETACLAKAYAQ -MWLLMYFHRRDLRLMANAICSAVPVDWVPTGRTTWSIHAKGEWMTTEDMLEVWNRVWIEENEWMEDKTPV -TSWSDVPYSGKREDIWCGSLIGTRARATWAENIYSAINQVRAIIGKEKFVDYMQTLKRYEDATVVEDSVL - ->YP_009333106.1 membrane glycoprotein M [Cacipacore virus] -SLTVQSHGESTILNKKGAWLDSTKVTRYLTKTETWMIRNPGYVLVAAVIGWMLGSNRSQKIIFVVLLLLV -APAYS - ->YP_009333105.1 protein pr [Cacipacore virus] -LKLSMLNGLVVMSVNVTDAMGPIVLPTSKGNNTCQLRAMDVGTMCEDDITYLCPALEAGNDPEDIDCWCD -RAPVYVHYGRCMKTRHQRRSRR - ->YP_009333101.1 truncated polyprotein [Cacipacore virus] -MSKKPGGPGKNRVVNMLKRGASRAGPLQALKRMLGELLDGRGPIRFVLALLTFFKFTAISPTKALITRWK -TVDKTTALKHLKSFKKDLGNMMNVVNGRGKQKKKGAAHGLMLFAIISAAAALKLSMLNGLVVMSVNVTDA -MGPIVLPTSKGNNTCQLRAMDVGTMCEDDITYLCPALEAGNDPEDIDCWCDRAPVYVHYGRCMKTRHQRR -SRRSLTVQSHGESTILNKKGAWLDSTKVTRYLTKTETWMIRNPGYVLVAAVIGWMLGSNRSQKIIFVVLL -LLVAPAYSFNCLGMGNRDFVEGVSGATWIDVVLEGDSCVTIMSKEKPTLDVRMMKMEASNMAIVREYCYE -ASVSDISTESRCPTMGEAHNQKRGSDKYVCKSGVVDRGWGNGCGLFGKGSYDTCAKFECKKKAQGRLLQQ -ENIKFEVGIFVHGPTNVENHGNYFAHVASGTASRYTVSPQAPSFTAKMGEYGEVTVDCEPRSGVDLASYY -VMSVGNKHWLVHREWFNDLSLPWTGASANTWRNSELLVEFEEAHATKQSVTVLGSQEGSLHQALAGAIPV -DVSSETVTLTSGYLKCRLKMEKLALKGTTYGMCAQSFSFAKNPVDTGHGTVVLELTYSGSDGPCKIPITM -VTSLNDLEPVGRIVTANPYVAGSTSNTKVLIELEPPFGDSYIVVGRGNKQINYHWHKDGSSLGKAFTTTL -KGAQRLAALGDTAWDFGSVGGVFSSVGKAIHQVFGGAFRTLFGGMSWITQGLIGALLLWLGVNTRDRSIA -LTFLAVGGVLLFLAVNVHADTGCSVDLQRKELKCGNGIFVHNDVEAWRDEYKYYPETPKRLAAIVVHARS -IGICGIRSVNRLEHEMWLAVRDEINHILHDNGMDISVVVEKHEGMYKSAPKRLKPSDVELRLGWETWGKS -LIFAPKMANNTFVVDGKETLECPTRKRAWNSFEVEDFGFGLVKTKVWLKLRGRNSTDCDTAVMGTAIKGD -RAVHSDLSYWIESKKNGTWHLEKAVFGEIRSCTWPTTHTLWSEGVEESEMIIPHTIGGPRSHHNRREGYK -TQNQGPWNEEDVTLDFGYCLGTTVTISEHCAKRGPSVRTTTDSGKLVTDWCCRSCTLPPLRYQTKSGCWY -GMEIRPTKENENVLVKSTVTAYNGAMIDPFSVGSPRCVSGDTGGDEEAMDRQTYNPSNPDGSSDLAVWRP -DLH - ->YP_009333120.1 membrane glycoprotein precursor prM [Kokobera virus] -VRVTLENGMSLMKIQKADVGKVITIRTDRGENRCIVQAMDVGEDCEDTMKYLCPAIENPSEPDDIDCWCD -KADAMVTYGRCSKTRHSRRSRRSTNIAGHADSRLDSRGSVWMDTKKATSYLTKAESWALRNPGYALVAAV -LGWSLGTSNAQKVIFTVMILLIAPAYS - ->YP_009333132.1 protein pr [Japanese encephalitis virus] -MKLSNFQGKLLMTINNTDIADVIVIPTSKGENRCWVRAIDVGYMCEDTITYECPKLTMGNDPEDVDCWCD -NQEVYVQYGRCTRTRHSKRSRR - ->YP_009329946.1 membrane glycoprotein precursor prM [Saint Louis encephalitis virus] -LQLSTYQGKVLMSINKTDAQSAINIPSANGANTCIVRALDVGVMCKDDITYLCPVLSAGNDPEDIDCWCD -VEEVWVHYGRCTRMGHSRRSRRSISVQHHGDSTLATKNTPWLDTVKTTKYLTKVENWVLRNPGYALVALA -IGWMLGSNNTQRVVFVIMLMLIAPAYS - ->YP_009328360.1 flavivirus polyprotein [New Mapoon virus] -MTKKPGKSGNRRTVNMLKRGASRALGPLAKFKRMFSSLLDGRGPLRMILALLAFFKFTALRPTAGLLRRW -MTVDKLHAIALLRSFKRDIATMTNTINRRKKRGGELTLLLPLLVCSGMALKVTTYNGSPLLNITKYDVGK -VLTITTTSGENRCVVQAMDVGEDCDDRITYSCPAILNTEEPDDVDCWCDKTPAYVTYGRCTKTRHHKRSR -RSTNIAGHQDNHLESRSNVWMDTQKATNYLTKTENWILRNPGYALVAVVMGWSLGTSRPQKVIFTIMLLL -IAPAYSLRCLGVENRDFIEGVSGGTWVDVVLEHNGCVTIMAPDKPTIDVHLVSTKVKSPATIRTYCTKAT -VTDLFVENRCPSMGEAHNPKAADAAFVCKKSFSDRGWGNGCGLFGKGSLETCARFTCDTTATGYIIQKEN -LEYTILTSVHASQQTEHHLNDTVGEANKHSSRTVVTATAPSRTTDLGDYGTVTMDCEPRGGLDFDNYYLM -QVEDNSWLVNREWFHDINLPWQGGSVGSWRNRESLIEFGETHATKQEILALGSQVGSLQVALAGAMTTKY -QNSEATITSGHLKCRFKLDKLKIKGTTYAMCKGSFAFTKTPADTGHGTVMVELSYSGTDGPCRIPLFISQ -SLSNIEPVGRLVTVNPIVSTSETQKKVMIEVEPPFGDSFIIAGTGEPRVHYHWRKSGSSIGAAFSTTMKG -AKRLAVLGDAAWDFGSVGGIITSVGKAVHQVFGGMFRTLFGGMSWVTQVLLGALCLWLGINAREKTIAMM -FLAVGGILVFLATSVSAEVGCSFDMDRKEMKCGQGVFVFNDAESWYTKYKFHPESPKRLAGSILKASTEG -QCGLRSVSRLEHIMWKSISHELNAILFENAANLTVVVRDTDYYRRAPLTLPIGDELPFGWKKWGKHILYD -VPVQNSTFTIDGPVNAECPEEKKSWNGFRVDDFGFGLFSTSVWLDIRGQYHLDCDTKMVGAAAKGDKAVH -GDLGYWIESSKSTNWTLERAYFNEIKSCTWPITHTLWNGGVEESDLIIPRSKAGPVSHHNTRRGYKTQIK -GPWNLTPLEIKFENCPGTSVDITPECGNRGPSLRTTTASGKVITDWCCRSCTMPPLTFRAPDGCWYGMEI -RPKHEKEETLMKSSVAAGEWQGIDNFSLGILVLAVSIQEGLRKRILGKHTLWMIAATFFAMLLGELTYVD -IARYAILVGAAFAEQNSGGDLLHLVLVATFKVRPVALLGYLCRGSWCRRQSLLLSISAVLIHLALEGLSW -EYMSVVESASVALLLVRAVVATEASNICLPLIALLSPAGTYTVLGVFRFSMGLLICCTVLKCRRTAAVKK -AAVPIAALLFRDLGVSPVVALAFAYLGSTSKRSWPINESLAALGILCALFGAASEAELNLAGPLAAGGLL -LLAYVVSGRGNDLYIEKACNISWSTEAAVTGESLNLDVKLDEQGDFALIEDEGPPLNKVVIKILLMAISG -LYPVAVPFAIGAWYLLEKSSKRSGALWDIPAPVERSRATGEDGVYRIYARRLFGSGQIGVGVMKDGTFHT -MWHVTRGAALVLGEGILEPHWADVRHDMIAYNGNWKLTNKWNGEEFVQLIAVEPGSRVQHVQTKPGKFKT -QDGEIGALDLDFAAGTSGSPIVNSEGEVIGLYGNGVLIHGEHYVSAITQIEEGIGESKTEMEDRWFKKRS -LTVLDLHPGAGKTRRILPSIVEECVTRRLRTLVLAPTRVVASEMHDALRKLPVRYHTPAVSAERTGTEIV -DLMCHSTFTMRLLQGIRVPNYNMYIMDEAHFLDAASVAARGYIETRVNLGEAGAIFMTATPPGTSEAFPQ -SNAPIHDEEVRIPDKAWSAGYEWITNYGGKTVWFVPSIKQGMELALSLQKAGKRVVQLNRKTFETDYPKC -KKDNWDFVITTDISEMGANFNADRVIDSRKTIKPVLVDGRVVLQGPIAITASSAAQRRGRVGRRSDRLGD -VYAYSGHTNEDNSDHATWVEARMLLDNIHVQGAVVAQLYTPEREKVDAFEGEYKLPLRDRKCFSELIRTG -ELPVWLAYQAAAAGMEYADRSWCFDGPIEHTLLENNMEVEIWTKTGQQKVLRPRWLDGRVNADPMALRSF -KDFAAGKRSAAFILDSFATLPSHLGGRFQEALDTAFILARAEPGSRSHKEALGNAPEMLETFLLIALSTL -ITLGIVMVLVRGKGPGKLAFGMAIIAGMVWLLWTASVHPGKIAAATVIVFLLLIVLIPEPEKQRSVQDNH -LAMVMLGIATIMSVVAANEMGWLERTKKDLGIGIEVPDTQMPAWRLDIRPATAWSLYAAMTALLTPLFQH -LILTRYANISLMAIAAQAGALFSMGSGIPFSNLDMSVPIIGLGCWLQITPLSLMATGVLLGLHYMFLLPG -LQAIAARDAQRRTAAGVMKNPVVDGMVVTDIPPLDGVTPLTEKKLGQILLIGVGVAGVVVARDIRAWSEL -GVLASAGVATLIEGGAGKYWNATTASALCNLFRGNYLAGIPLTYTVIRNSSLTTKRGGGSGETLGEKWKF -LLNRLNTYDFMHYRRSHITEVNREPARAAMRSGDLHRGAAVSRGSAKLRWMHERGYVKLSDKVVDLGCGR -GGWCYYAATIKDVKEVKGYTKGGRGHEEPVMTQSYGWNLVTMKSGVDVHYKEPESCDTLLCDIGESSSSV -TIESNRTLKVLELAEGWLKRNPAASFCVKVLCPYTPSVVEKLTTLQHVYGGSVVRNPLSRNSSHEMYWVS -GYRGNLVHTINSTSSLLLRRMEGKFVEPRYEEDVNLGSGTRSVCIVPPAPCCEKVAMRVNRLKAEHRATW -HEDPEHPYRTWHYHGSYEVQPTGSASSTVNGVMRLLTKPWDVISEVTKMSMTDTTPFGQQRVFKEKVDTK -APEPADGVAMATIITSEWLWSYLARNKRPRICTKEEFINKVRSNAALGPVFHEENKWKDALEAVSDPHFW -DLVDAERKNHLQGKCMSCVYNMMGKREKKQGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWLGREN -SGGGVEGLGLQRLGYVLEELGSKNGILYADDTAGWDTRITINDLENESHITEYMEGEHKKLAKAVIDLAY -RHKVVRVMRPSKNGTVMDIISREDQRGSGQVVTYALNTFTNLVVQLVRMAEAEGILSPNDIGELDATTKV -QLRRWLERNGEDRLKRMAVSGDDCVVAAFDERFAEALHFLNGMSKVRKDIREWEPSKGWKNWEDVPFCSH -HFHKVLMKDGRHLVVPCRNQDELIGRARVMPGVCDIRSSAGLAKAYAQMWSLMYFHRRDLRLISNAVCSA -VPIDWVPTGRTTWSIHGKGEWMTTEDMLEVWNRVWIRDNPHMEDKTEVTKWQDVPYLGKREDLWCGSLIG -HRPRTTWAENIRRTVEQVRRIIGGERYSDYMGTQKRYELENVPAFEGVI - ->YP_009259651.1 truncated polyprotein [Chaoyang virus] -MANKPKKPGRRAIDIVRRALPRVSGPKKVLKKATQTVMESLAGIRATVAYLLYMTFLGNKVSKATRAKFR -SAKKSDLIKILSSFKRTVTNLLASVQKRKRKAKRSVTTPSMALILLTMSCMAYGATRFTRNGFVYMNVTG -SDVGTWLSIKTAVGNGSCIVMATDVGTWCEDTVTYLCPKLDGAAEPDDIDCWCKVVSVYVTYGRCRRDGV -SRRSRRSVALAPHGTGNLHTGEAPMWKSHTDASKYLQRVERWALRNPGYLGILVAIGWLLGRTTAQRVIY -ITLLVLIGPAYSLQCIDVAKRDFIQGVSGGTWVDVVLDVHGCVTIAAEGKPTVDFKLTKLEMTKLAKVRA -YCLTASVSDITVESGCPGTGEIHNTKAKDTSYMCKVSYPDRGWGNGCGLFGKGSMETCAKFACTKQLHGH -VISRENIEAEVDISIHGQSAPDSDDASKRKNRKELATATITPQASSIEADMGDFGKVGMDCSLDIGIDFE -QVLIVDTASRWWMVKRDWFQDLALPWTSPSADFWHDRDRLVEFGVPHATRQSVYSIGDQEGAFFTAVAKA -PSVEWNSDKVKLATGFLKCRIKLGNMKLKGSTYVTCAQAFTFAKRPVDTGHGTVVFQVSYAGTDAPCKIP -VAVTDKPNGEHVGRLVTAHPFIAKQNEKAVVEVEPPFGDSYIEIGAGTTKISEAWHKPGSSIGNALALSY -KGMKRITAMGEHAWDFGSIGGFFSSMGKAVHHVFGSLFRTLFGGIGWMAKILIGALLIWLGISTRDRMLA -TSFILTGSILLYLATTTVGVSEIGCSLDITHREIKCGDGIFIFRDAGGWRDKYVFHPGSPKTLAAAIWKG -WNDGICGVRSATRMEHEMWKQIENELNGILEENDIKLSVVVKNANGTYPRGTKSLTRNTTGLQYGWKSWG -KTMFVSVPIAENTFIIDGNDEGECASDKRAWNTFKIEEFGTGIMKTKVFLDLADAQTEYCDTELLGAAVK -GNKSVHGDPGLWMTASKESGDWKLESLSMTESRRCLWPDSHTIWGRGVLESKLILPSMFGGPVSHMNTRP -GYATQLSGPWNNVPLDVVFEECPGTKVVVENNCTNRGESIRSTTDSGKIIPEWCCRKCTMPPLTYRTPDG -CWYAMEIRPKKASEESLLRSKVSAGTFQGIDDFSLGLLVLIIFVQEGLKRRMTSRYIMLAALGLLLAAVL -GDLTYNDIARYVIMVGVAFAEMNNGGDLIHLALIATFKVQPGYLLFFLLRKQWSPRESTILASAAVVLQI -CAAAWQSTKSMQVLNALAMGWLYIRAIVVPGALSKAMPLICMCVPGVLSLTPHAIRVSMVTIAAGTLIKG -TKGTSVRKHMPYFLGLVGAVAGLDPLGMLGYSLLTYSSGKRSWPAGEIMTAVGLTCAMIGALSGNAMNDI -AGPAAAASLIFVAYAISGRSADVFLEKAGEISWIDDAAVSGSSPRVDVQVTDGGDFSASPRSRGFVAKEW -SDGFLSRSGWSTPVSDSGSGVDLVRFRKKWEAWHGSLGYTTSDCIICPKCGGRMLQSDVKKAHWFYASRS -GSDERLSVSHNVARHPWRLSNEW - ->YP_009259525.1 membrane glycoprotein precursor M [Donggang virus] -ATSMTKDDTIYMTVEPKDVGTWLSIRTRLGNGSCIVNAMDVGTMCEDKVTYECPKIDGSSDPEDVDCWCK -GISVVLTYGRCKTNSTTPAPQRRRSRRSIMIPTHSTGGLHQGTEGWHRTNNVKNFLMRVEKWSLRNPGYT -ALIAILGWTLGTTTAQKVIFIALLLMIAPVYG - ->YP_009126874.1 flavivirus polyprotein [Cacipacore virus] -MSKKPGGPGKNRVVNMLKRGASRAGPLQALKRMLGELLDGRGPIRFVLALLTFFKFTAISPTKALITRWK -TVDKTTALKHLKSFKKDLGNMMNVVNGRGKQKKKGAAHGLMLFAIISAAAALKLSMLNGLVVMSVNVTDA -MGPIVLPTSKGNNTCQLRAMDVGTMCEDDITYLCPALEAGNDPEDIDCWCDRAPVYVHYGRCMKTRHQRR -SRRSLTVQSHGESTILNKKGAWLDSTKVTRYLTKTETWMIRNPGYVLVAAVIGWMLGSNRSQKIIFVVLL -LLVAPAYSFNCLGMGNRDFVEGVSGATWIDVVLEGDSCVTIMSKEKPTLDVRMMKMEASNMAIVREYCYE -ASVSDISTESRCPTMGEAHNQKRGSDKYVCKSGVVDRGWGNGCGLFGKGSYDTCAKFECKKKAQGRLLQQ -ENIKFEVGIFVHGPTNVENHGNYFAHVASGTASRYTVSPQAPSFTAKMGEYGEVTVDCEPRSGVDLASYY -VMSVGNKHWLVHREWFNDLSLPWTGASANTWRNSELLVEFEEAHATKQSVTVLGSQEGSLHQALAGAIPV -DVSSETVTLTSGYLKCRLKMEKLALKGTTYGMCAQSFSFAKNPVDTGHGTVVLELTYSGSDGPCKIPITM -VTSLNDLEPVGRIVTANPYVAGSTSNTKVLIELEPPFGDSYIVVGRGNKQINYHWHKDGSSLGKAFTTTL -KGAQRLAALGDTAWDFGSVGGVFSSVGKAIHQVFGGAFRTLFGGMSWITQGLIGALLLWLGVNTRDRSIA -LTFLAVGGVLLFLAVNVHADTGCSVDLQRKELKCGNGIFVHNDVEAWRDEYKYYPETPKRLAAIVVHARS -IGICGIRSVNRLEHEMWLAVRDEINHILHDNGMDISVVVEKHEGMYKSAPKRLKPSDVELRLGWETWGKS -LIFAPKMANNTFVVDGKETLECPTRKRAWNSFEVEDFGFGLVKTKVWLKLRGRNSTDCDTAVMGTAIKGD -RAVHSDLSYWIESKKNGTWHLEKAVFGEIRSCTWPTTHTLWSEGVEESEMIIPHTIGGPRSHHNRREGYK -TQNQGPWNEEDVTLDFGYCLGTTVTISEHCAKRGPSVRTTTDSGKLVTDWCCRSCTLPPLRYQTKSGCWY -GMEIRPTKENENVLVKSTVTAYNGAMIDPFQLGLLVVFLATQEVMRKRWTARLTIPAILMALAILLFGGL -TYTDVVRYLILVAAAFAEANSGGDVVHLAMIAAFKIQPVFLAVSFLRETWSNQENLMMMISAAFFQMAAT -DLQIEVPTVLNALAMAWMTLRALSNTKVSTIVPPLLALLSPAMRTAYLDTYRIILIMIGAMILLKERKAS -SAKKKGAPLLCLALASTGLFNPLTLMAGLLALDPSRKRSWPASEVFTAIGITFALVGGILECEPHTMAVP -MVIAGIMGTAYVISGRHTDMWLEKAADISWELDAEVTGSSPRLDVTLDDDGNFNLIDDPGTPWKLWMMRM -ACLTVGAFSPWAILPSLFAYWMTIKFTKRSGGILWDTPSPQEFRKGDTTTGVYRIMARGVLGSFQAGAGV -MVEGVFHTLWHTTKGSALISGEGKLIPYWGSVKEDRICYGGPWKLDKKWDGETEVQLIAVQPGQPTRNVQ -TMPGVFKTPHGEIGAVTLDFPTGTSGSPIVNTFGEVIGLYGNGVILGNGTYVSAIVQGEREEEPVPEAYE -PSMLRKKQLTVLDLHPGAGKTRRVLPQIIRDAVEKRLRTAVLAPTRVVAAEMAEALRGMPVRYLTPAVER -NHTGTEIVDLMCHATLTHRLLSPLKVPNYNLFIMDEAHFTDPASIAARGYIATRVALGEAAAIFMTATPP -GVADPFPNSNAPINDIHTEIPERAWSTGFEWITEFGGKTVWFVPSVKSGNEIAQCLIRSGKRVVQLNRKS -FDTEYPKCKSGEWDFVITTDISEMGANFKAHRVIDGRRSVKPVIIVDGEEKVVMHGPAPITAASAAQRRG -RVGRNPTQTGDEYHYSGGTNEDDSQLAQWTEAKMLLDNIHLPNGLVAQMYGPEQEKCMHMDGEFRLRGEE -KRHFLEMLKADIPVWLAHKVAAAGIAYNDRKWCFDGPRNNTILEDSNEIEITTKTGERKILRPRWSDARV -YSDHQALRSFKEFAAGKRSALGFVDIVGKMPQHFATKTTEAMDTMYMLFTAEKGGRAHRAALEELPEALQ -TIALITMLVLMSGGVMFLLIQRRGIGKIGLSAMTMLTVTIMMWWAGVSGVKISGTLLVSLLMVVVLVPEP -EKQRTQTDSHLAMFLVGVMSVLGLVAANEMGMLEKTKSDIVSLLGQRRVESIVSATEGWRLDIRPATSWA -LYAGTASLLTPLIKHLITTDYISTSLSAVNAQAGTLFTLARGVPFAHFDLSVPLLLVGCWGQVTLPSVVV -ALVLLVCHYSYLLPGWQAEAMRAAQRRTAAGIMKNAVVDGIVATDIPDLEIPSPMMQKKVGQILLIVVSL -MALMISPGVKSMREAGMLISATMVTLWGNSASSVWNTTVAVDLCHVMREGWLATISMAWTLMKNLEKPKL -KRGEARGLTMGEKWKERLNQLNREDFFRYRKEAITEVDRAPARKARRANDITSGKPVSRGTAKLRWMVER -GFVKPHGKVVDLGCGRGGWSYYAATLKHVQEVRGYTKGGPGHEEPMLMQSYGWNLVTLKSGVDAYYRPPE -SSDTLFCDIGESSSSVAVEEARTIRVLECVQGWLELGPTEFCIKVLCPYTPKVIEKLEGLQRKYGGGLVR -VPLSRNSTHEMYWVSGATGNLVNSVNMTSQVLTGRFDKRVWVGPKYEEDVDLGSGTRSVSRKAQKPNMEK -IKHRIKKLQEEYANTWQEDKNHPYRTWNYHGSYEVKATGSASSLVNGVVRLLSKPWDALTSVTTMAMTDT -TPFGQQRVFKEKVDTKAPEPPRGAATVMNEVSNWLWDYLSREKKPRLCTKEEFIKKVNSNAAIGAMFEEQ -NQWKSANDAVQDPEFWRLVDEERENHLKGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLGARFLEF -EALGFLNEDHWMSRENSGGGVEGLGVQKLGYILRELGEMPGGKLYADDTAGWDTRITRADLENEAKIMEK -MDEHHKKLAKAIIELTYRHKVVKVMRPGKDGKTLMDVISREDQRGSGQVVTYALNTFTNIVVQLIRMMEA -EGILTSTDVENLGKGKLQIVRNWLISFARQRVRKMAVSGDDVVVKSEDERFATALHFLNAMSKIRKDIPE -WKASNGWNDWQQVPFCSNHFQELVMKDGRKLVVPCRGQDELVGRARISPGSGFGVKDTACLAKAYAQMWL -LLYFHRRDLRLMANAICSAVPINWVPTGRTTWSIHGKGEWMTSEDMLDVWNRVWIEENEHMEDKTPVRSW -NEVPYIGKREDIWCGSLIGTRSRATWAENIYAAINQVRAIIGNEEYEDYMPSQRRFEETRVVIDPVF - ->YP_006846328.2 flavivirus polyprotein [Ntaya virus] -MSNKKPGRPGATRVVNMLKRGASRGNPISRIKRMLDGLFKGIGPIRIVLAFLTFFKFTALKPTAGLLRRW -KIVGVAEATRHLKSFRKDIGQMLDGLNKRGKKKRGGSTGGLLLIISFSCACALKVGSYNGKPLITVNQAD -VQNPIELPTAQGTNRCMVKALDVGLMCPDDITYLCPKLENGYDPEDIDCWCNGTEVYIHYGRCTPTRHAR -RSRRAITVHHHGESLLEAKNTPWMDSTKATKYLTKVENWAIRNPGYALAAAIVGWNLGTTKAQKIIFTIM -LMLIAPAYSFNCLGMQNRDFVEGVNGVEWIDVVMEGGSCVTITAKDKPTIDVKMMNMEATDLALVRSYCY -EPKITDVSTEPRCPTMGEAHNTKASSQDYVCKKGLTDRGWGNGCGLFGKGSIHTCAKFECTHKAEGRVVQ -KENIQFEVAVFVHGSTEVGTYNNYSIQQSLKHAARFVITPKAPVHTAELSEYGTVTLECEPRSGLDMSQF -YVMTMNMKSWLVNRDWFHDLNLPWTGSAAGNWQNRESLVEFEEAHATKQSVVALASQEGALHAALAGAIP -VKYTSSKLEMTSGHLKCRVKLQGLKLKGMTYPMCSNKFSLARNPTDTGHGTVVVKLSYAGSDGPCRIPIS -MTANLQDLTPIGRMITVNPYVSTSSTGTKVIVELEPPFGDSFILVGSGENQIKYQWHKSGSSIGKAFVST -LKGAQRMVALGDTAWDFGSVGGFFTSLGKGIHQVFGTAFRSLFGGMSWITQGLLGILMLWMGLNARDRSI -SITFLAVGGVLVFLATNVHADTGCALDMSRKELKCGSGIFVFNDVETWRDNYKYYPATPRNLAKVIVESY -RKGICGVRSVSRLEHNMWDSIKHELNAILEDNGEDLTVVVEPIVGRLRSTQRRLTWTEEELEYGWKKWGK -TLFTSPGMSNRTFVVDGPKTKECPDDKRAWNSLYVEDFGFGITSTRVWMGLRKVNTTDCDTAVMGTAVKG -DVAVHSDLSYWIESRNNKTWKLERAVLGEVKSCTWPETHTLWSESVMESDLIIPVTIAGPRSRHNMRPGY -KVQNSGPWDEKEITIDFDYCPGTTVKVTSTCHDRAASARTTTASGKLIQDWCCRSCTLPPLRYMTKSGCW -YAMEIRPTTHGEDTLIKSKVSAFRGDGMEPFQLGVLLMFVATQEVLRKRWTAGMALPAMLMFLCALIFGG -ITYMDLVRYVILVAAAFAESNSGGDIVHLALIAAFKIQPGLLVAYSLKRKWSNQESVLVGIALSMLTLAM -QDWDMTIPSLLNSGAAAWLLLRAVSEGTVSAVCIPVLGMLAPGLRIAGIDVVRIGLLIIGIMSLMRERNN -AAAKKKGGLLIGLALAESGLISPLVYAGLTLALKPNMRRGWPVSEALTAVGLTFALAGGIAHFDEGNMAI -PLAVGGIMLVVAVVTGFTTDLWLEKAGEMTWSEEAQITGSSQRYDVEIDSDGNMRLLNDPGIPFSVWALR -TGLILLSAYNPYLLPVTLGAYWLTVKAPKRSGAIWDVPAPKERRKAEISTGVFRIMARGIFGKYQAGVGV -MYEGVFHTMWHVTNGATINVGDGCLVPYWASVREDLISYGGPWKLGKKWNGVDEVQVVVVQPGKPATNVQ -TKPGIFRTHAGEVGAVTLDYPTGTSGSPIIDKEGNIIGLYGNGILVGAGNFVSMISQGNRMEEEIPQVFN -DDMLRKRQLTVLDLHPGSGKTRKVLPQIVKAAIEKRLRTAILAPTRVVAAEIAEALKEYPIRYLTPAVKR -EHTGTEIIDVMCHATLTARLLTPQRVPNYNLFVMDEAHFTDPASIAARGYISTKVDLGEAAAIFMTATPP -GTRDAFPDSNSPIMDVEEQIPDRAWNSGYDWITDYTGKTVWFVPSVKMGNEIAMCLTKAGKKVIQLNRKS -FDNEYPKCKTGDWDFVVTTDISEMGANFGASRVIDSRKCIKPVIIEDGEGSVQLNGPVPITAASAAQRRG -RVGRNPVQVGDEYHFAGPTSEDDHDFAHWKEAKILLDNINLPNGLVAQLYEPEREKVFSIDGEYRLRTEQ -RKNFVEFLRTGDLPVWISHKLADAGIAYNDRRWCFDGPTVNTVLEDNNPVELWTKTGEKKILRPRWRDGR -LWADHQALKSFKEFACGKRSAIGVFEVVKMLPEHFAHRMGESMDNIYMLSTAERGSRAHREALEELPETL -ETILLITMMALASCGVFLFFVQRRGLGKTGLGAMILMTATVLLWIAEVQPQKIAGILLVSLLLMIVLIPE -PERQRSQTDSHLAVFMIVVLLIVGAVASNEMGWLEQTKRDISGLFGRTQEQRTEKWDFPWPDLRPATAWA -AYAGATTLLTPLLKHLIVTEYVNFSLMAVTAQAGALFGLGKGMPFVSMDLSVPLLLLGCWGQFTMTTTLT -TIVLLIVHYAFLVPGWQAEAMRAAQRRTAAGVMKNPVVDGIVATDIPDLDASTPITEKKFGQVILIVVGV -CAVFLKPGTMSLTEFGMLTSAAAVTLIEGAAGRIWNATTAVAMCHLLRKNWLAGASLAWTISRNLQSGAL -RRGGGRGRMLGEMWKAQLNQLTRQEFMEYRRDGIIEVDRSAARKARREGNVTGGHPVSRGTAKLRWMVER -GFVKPHGKVIDLGCGRGGWSYYCATLKLVQEVKGYTKGGPGHEEPVMMQSYGWNLVSLKSGVDVFYRPSE -QSDTLLCDIGEASPVPEIEEARTVKVLQMVEEWLSRGVEDFCVKILCPYMPKVLKELEKMQLRWGGGLIR -VPLSRNSNHEMYWVSGASGNITNSVNTVSQMLINRMNRTNRNGPKYEEDVHLGSGTRAVVTKKIKTNWKM -VEDRVKKLADEFSTTWHYDSEHPYKTWNYHGSYEVKATGSASSMVNGVVRVLSKPWDTLQSVVNMAMTDT -TPFGQQRVFKEKVDTKAPEPPKGTAEVMRVTAKWMWKFVGRSKTPRVCTKEEFIAKVNSHAALGAIFKEQ -NRWASAREAVEDPAFWEMVDREREAHLQGRCEMCTYNMMGKREKKMGEFGKAKGSRAIWYMWLGSRYLEF -EALGFLNEDHWMSRENTLGGVEGLGLQKLGYVLRDIAKNPGGLMYADDTAGWDTRITKADLENESIVLEM -MTPEHRALAEPLIKFAYMNKVVKVMRPGADGITVMDVISREDQRGSGQVVTYALNTFTNLCVQLIRCMEG -EGLLKPEEVEKLERGKQRKIQDWLDKNGTERLASMAVSGDDCVVKPKDDRFATALHFLNSMSKIRKDIPE -WKQSTGWRNWQDVPFCSHHFHELTMKDGRQIVVPCRHQDELIGRARLSPGSGWSLTETACLSKAYGQMWL -LMYFHRRDLRLMANAICSAVPVSWVPTGRTTWSIHGKGEWMTTEDMLRVWNRVWIEENEHMEDKTPVSSW -NDVPYLGKREDSWCGSLIGHRARSTWAENIYTPIMQIRGLIGPERYVDYMPTLNRFKAVESWSEGVL - ->YP_005454257.1 flavivirus polyprotein [Chaoyang virus] -MANKPKKPGRRAIDIVRRALPRVSGPKKVLKKATQTVMESLAGIRATVAYLLYMTFLGNKVSKATRAKFR -SAKKSDLIKILSSFKRTVTNLLASVQKRKRKAKRSVTTPSMALILLTMSCMAYGATRFTRNGFVYMNVTG -SDVGTWLSIKTAVGNGSCIVMATDVGTWCEDTVTYLCPKLDGAAEPDDIDCWCKVVSVYVTYGRCRRDGV -SRRSRRSVALAPHGTGNLHTGEAPMWKSHTDASKYLQRVERWALRNPGYLGILVAIGWLLGRTTAQRVIY -ITLLVLIGPAYSLQCIDVAKRDFIQGVSGGTWVDVVLDVHGCVTIAAEGKPTVDFKLTKLEMTKLAKVRA -YCLTASVSDITVESGCPGTGEIHNTKAKDTSYMCKVSYPDRGWGNGCGLFGKGSMETCAKFACTKQLHGH -VISRENIEAEVDISIHGQSAPDSDDASKRKNRKELATATITPQASSIEADMGDFGKVGMDCSLDIGIDFE -QVLIVDTASRWWMVKRDWFQDLALPWTSPSADFWHDRDRLVEFGVPHATRQSVYSIGDQEGAFFTAVAKA -PSVEWNSDKVKLATGFLKCRIKLGNMKLKGSTYVTCAQAFTFAKRPVDTGHGTVVFQVSYAGTDAPCKIP -VAVTDKPNGEHVGRLVTAHPFIAKQNEKAVVEVEPPFGDSYIEIGAGTTKISEAWHKPGSSIGNALALSY -KGMKRITAMGEHAWDFGSIGGFFSSMGKAVHHVFGSLFRTLFGGIGWMAKILIGALLIWLGISTRDRMLA -TSFILTGSILLYLATTTVGVSEIGCSLDITHREIKCGDGIFIFRDAGGWRDKYVFHPGSPKTLAAAIWKG -WNDGICGVRSATRMEHEMWKQIENELNGILEENDIKLSVVVKNANGTYPRGTKSLTRNTTGLQYGWKSWG -KTMFVSVPIAENTFIIDGNDEGECASDKRAWNTFKIEEFGTGIMKTKVFLDLADAQTEYCDTELLGAAVK -GNKSVHGDPGLWMTASKESGDWKLESLSMTESRRCLWPDSHTIWGRGVLESKLILPSMFGGPVSHMNTRP -GYATQLSGPWNNVPLDVVFEECPGTKVVVENNCTNRGESIRSTTDSGKIIPEWCCRKCTMPPLTYRTPDG -CWYAMEIRPKKASEESLLRSKVSAGTFQGIDDFSLGLLVLIIFVQEGLKRRMTSRYIMLAALGLLLAAVL -GDLTYNDIARYVIMVGVAFAEMNNGGDLIHLALIATFKVQPGYLLFFLLRKQWSPRESTILASAAVVLQI -CAAAWQSTKSMQVLNALAMGWLYIRAIVVPGALSKAMPLICMCVPGVLSLTPHAIRVSMVTIAAGTLIKG -TKGTSVRKHMPYFLGLVGAVAGLDPLGMLGYSLLTYSSGKRSWPAGEIMTAVGLTCAMIGALSGNAMNDI -AGPAAAASLIFVAYAISGRSADVFLEKAGEISWIDDAAVSGSSPRVDVQVTDGGDFRLRHEAEASWLKNG -VMAFCLVLAGVHPLAIPVAGLIWFGFVKSGRRGTVLWDIPHPIASSAPSVEDGCYRVMSRRLIGSTQVGV -GVMKDSVFHTMWHVTRGASLTSGNGRMDPYWADVREDLISYGGPWKLNSTWDGSSEVQLIAVQPQKIPEN -VQTTPGRFVMSDGTEVGAVVLDYPSGTSGSPIVDKDGNVVGLYGNGVMLNDSTYASAIAQSAGCENITPV -VFHPDMLKKGKLSVMDLHPGAGKTRKVLPQVLKEAVAKKLKTLVLAPTRVVAKEMHGALAGLPVRYQTSA -VETKGSGNELIDVMCHATFTYRQLTPGRMVNYQLYVMDEAHFTDPASIAARGIIATRVKLGEAAAIFMTA -TPPGTLDAFPESNSHIEDEEREIPDKAWSTGFEWITDYTGKTVWFVPSIRTGNIIASCLARTGKKCVVLN -SKTFNDEFPKTKSGTWDFVITTDISEMGANFKASRVIDCRTSIKPTLAYVPSERVVLGSPKPISPASAAQ -RRGRVGRDPTQLGDQYIYGGEVGDDFSNMVHWTEAKILMDNIMVPGGLYPQFYEPEADMLSETDGHFRLD -MTKRDVFKDLVRKADLPIWLAYQVAKHGHEYKDRSWCHSGPSGHLIYDDYGQTVEYKLVNGERKILQPRW -IDQRTYQEKTALKAFIEFAEGRRSYVPIIEVLGKLPQHFADKTIDAADTFKTVLTATPGSRAYRLAVDNL -PDAAETAIFVTMVGFMTMGILIFLMAPKGMTRMSLGFMTIMAATYFLWASGMAGYQIAAMQLVAFILFVV -LVPEPGSQRSVQDNTIAMVLIGILSIAALIAANETGLLEKTKNDFVSLLPVKAPNNNPWKFDFSVDLRPA -TSWALYVVIATMLGPILEHAIVTHFTSISIAAITNQAGILLSMDKGTPFFNLDWGVILLGVGCWSSITGT -TLLCAIGLSCVHFSMTLPGVRAKAAREAQNRTAAGVSKNPIVDGVNTINVTAPPGMDPMYERKLGLWMLL -IMAVISTAVNRDLTHLMELGILGSAALGPLIEGNSSTIWNTSVASSLCNLMRGQYLAGIPLTYTLVRNLS -LKGVPRRGVTISNTRGMEWKRKLNAMNKETFQRYRRDGIVEVDRTAAREALKSGNVTGGHAVSRGSAKLR -WLVDKGYLRLMGDVTDLGCGRGGWCYYAAAQRNVVSVRGFTKGGDGHEEPIPVQTYGWNIVTLRSKVDVF -YMPTHMTDTLLCDIGESSSNMLVEEERTLRVLNLVEEWIRKSKPTHFCCKVLAPYMPNVLEKIDKLMKVH -GGALVRVPLSRNSTHEMYWVSDARGNAMNAVASLSRTLLDRMIHLTGKVHWEDDVNLGTGTRAVNSVADP -PNWDKIGLRVRKLEQEYKASWMYDAEHPYKTWTYHGSYETSTSGSASSMINGVVKELTHPWDTNSGVTNV -CMTDTTPFGQQRVFKEKVDTKAMEPPTGTREVMRIVNRWLTNYLSRTKKPRLCTPDEFIAKVNSDAALGT -MFNDQGNWPSAKDAVRDHGFWRQVDIERQHHLEGRCVSCVYNMMGKREKKLTEFGRAKGSRAIWYMWLGA -RYLEFEALGFLNEDHWLSRENSKGGVEGIGLQYLGYILEDMGGMTGGQMYADDTAGWDTKITNADLEDEM -EITKLMAHTHKKLATAIMDLTYMNKVVRVMRPGKGGKTLMDIISRKDQRGSGQVVTYPLNTWTNLKVQLI -RMAEGEGVILPEDTLSLTGCSRRNLEMWLVRNGEERLTRIAASGDDVVVKPIDDRFAEALHFLNSMAKIR -KDINEWKPSTGWNSWEGVPFCSHHFHKLSLKDGRTLTVPCRDQDELIGRARVSPGAGWTLRETAGLSKAY -AQMWMLIHFHRRDLRTIAFAICSAVPKDWVPTGRTSWSIHARGEWMTNEDMLAVWNRVWITENPYMFNKE -TIHDWRDVPYLRKQLDKNCGSMIGVRSRATWAENIRVSVNQVRGYIGKHENYLDYLQAQNRFSIPAEFTM -GNILI - ->YP_006485884.1 truncated polyprotein [Usutu virus] -MSKKPGGPGRNRAINMLKRGIPRVFPLVGVKRVVMGLLDGRGPVRFVLALMTFFKFTALAPTKALLGRWK -RINKTTAMKHLTSFKKELGTMINVVNNRGTKKKRGNNGPGLVMIITLMTVVSMVSSLKLSNFQGKVMMTI -NATDMADVIVVPTQHGKNQCWIRAMDVGYMCDDTITYECPKLDAGNDPEDIDCWCDKQPMYVHYGRCTRT -RHSKRSRRSIAVQTHGESMLANKKDAWLDSTKASRYLMKTENWIIRNPGYAFVAVLLGWMLGSNNGQRVV -FVVLLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDVVLEGDSCITIMAKDKPTIDIKMMETEATNLAEVR -SYCYLATVSDVSTVSNCPTTGEAHNPKRAEDTYVCKSGVTDRGWGNGCGLFGKGSIDTCANFTCSLKAMG -RMIQPENVKYEVGIFIHGSTSSDTHGNYSSQLGASQAGRFTITPNSPAITVKMGDYGEISVECEPRNGLN -TEAYYIMSVGTKHFLVHREWFNDLALPWTSPASSNWRNREILLEFEEPHATKQSVVALGSQEGALHQALA -GAVPVSFSGSVKLTSGHLKCRVKMEKLTLKGTTYGMCTEKFSFAKNPADTGHGTVVLELQYTGSDGPCKI -PISIVASLSDLTPIGRMVTANPYVASSEANAKVLVEMEPPFGDSYIVVGRGDKQINHHWHKAGSSIGKAF -ITTIKGAQRLAALGDTAWDFGSVGGIFNSVGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNARD -RSIALVMLATGGVLLFLATNVHADSGCAIDVGRRELRCGQGIFIHNDVEAWVDRYKFMPETPKQLAKVIE -QAHAKGICGLRSVSRLEHVMWENIRDELNTLLRENAVDLSVVVEKPKGMYKSAPQRLALTSEEFEIGWKA -WGKSLVFAPELANHTFVVDGPETKECPDAKRAWNSLEIEDFGFGIMSTRVWLKVREHNTTDCDSSIIGTA -VKGDIAVHSDLSYWIESHKNTTWRLERAVFGEIKSCTWPETHTLWSDGVVESDLVVPVTLAGPKSNHNRR -EGYKVQSQGPWDEEDIVLDFDYCPGTTVTITEACGKRGPSIRTTTSSGRLVTDWCCRSCTLPPLRYRTKN -GCWYGMEIRPMKHDETTLVKSSVSAHRSDMIDPFSVGPSGDVSGHPGGPEEEVDGQIDCSGYCGSSTRAD -SWGNHLH - ->YP_006355435.1 truncated JEV polyprotein [Japanese encephalitis virus] -MTKKPGGPGKNRAINMLKRGLPRVFPLVGVKRVVMSLLDGRGPVRFVLALITFFKFTALAPTKALLGRWK -AVEKSVAMKHLTSFKRELGTLIDAVNKRGRKQNKRGGNEGSIMWLASLAVVIAYAGAMKLSNFQGKLLMT -INNTDIADVIVIPTSKGENRCWVRAIDVGYMCEDTITYECPKLTMGNDPEDVDCWCDNQEVYVQYGRCTR -TRHSKRSRRSVSVQTHGESSLVNKKEAWLDSTKATRYLMKTENWIIRNPGYAFLAATLGWMLGSNNGQRV -VFTILLLLVAPAYSFNCLGMGNRDFIEGASGATWVDLVLEGDSCLTIMANDKPTLDVRMINIEASQLAEV -RSYCYHASVTDISTVARCPTTGEAHNEKRADSSYVCKQGFTDRGWGNGCGLFGKGSIDTCAKFSCTSKAI -GRTIQPENIKYEVGIFVHGTTTSENHGNYSAQVGASQAAKFTITPNAPSITLKLGDYGEVTLDCEPRSGL -NTEAFYVMTVGSKSFLVHREWFHDLALPWTSPSSTAWRNRELLMEFEEAHATKQSVVALGSQEGGLHQAL -AGAIVVEYSSSVKLTSGHLKCRLKMDKLALKGTTYGMCTEKFSFAKNPADTGHGTVVIELSYSGSDGPCK -IPIVSVASLNDMTPVGRLVTVNPFVATSSANSKVLVEMEPPFGDSYIVVGRGDKQINHHWHKAGSTLGKA -FSTTLKGAQRLAALGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNAR -DRSIALAFLATGGVLVFLATNVHADTGCAIDITRKEMRCGSGIFVHNDVEAWVDRYKYLPETPRSLAKIV -HKAHKEGVCGVRSVTRLEHQMWEAVRDELNVLLKENAVDLSVVVNKPVGRYRSAPKRLSMTQEKFEMGWK -AWGKSILFAPELANSTFVVDGPETKECPDEHRAWNSMQIEDFGFGITSTRVWLKIREESTDECDGAIIGT -AVKGHVAVHSDLSYWIESRYNDTWKLERAVFGEVKSCTWPETHTLWGDGVEESELIIPHTIAGPKSKHNR -REGYKTQNQGPWDENGIVLDFDYCPGTKVTITEDCGKRGPSVRTTTDSGKLITDWCCRSCSLPPLRFRTE -NGCWYGMEIRPVRHDETTLVRSQVDAFNGEMVDPFSAGPSGDVSGHPGGPSQEVDGQIDHSCGFGGPTCA -DAWGHHLH - ->YP_005352889.1 flavivirus polyprotein [Donggang virus] -MRSMVFRARRPVKRAVDIIKRKLPYVPPPMRVAKMAAKKVMMGIGSLKAFLALFLFMTFTGRKISNEQHK -RFRSIDKTKAMKVLATFKKILGNLMKTLQTRKKRPNRRSAGSNGMMMLALIGTAMAATSMTKDDTIYMTV -EPKDVGTWLSIRTRLGNGSCIVNAMDVGTMCEDKVTYECPKIDGSSDPEDVDCWCKGISVVLTYGRCKTN -STTPAPQRRRSRRSIMIPTHSTGGLHQGTEGWHRTNNVKNFLMRVEKWSLRNPGYTALIAILGWTLGTTT -AQKVIFIALLLMIAPVYGSQCSGIDKRDFIQGVSGGTWVDVVLDRKGCVTISATGKPTIDVRMVKMEASN -LASVRTYCLEASTSEISSVNGCPSTTEAHNDKRKDSTYLCERSYPDRGWGNGCGLFGRGSLDTCVKFACS -KKMAGHAISRENIVITAAVSVHGHSGAESDDDSQRKSRKELAELTITPKSSIVEADLGDYGKVQFECLMD -FGIDLDDVYTADMSGKWWLVKRDWYHDIALPWTAPSADFWHDMDRLVEFSTPHATKQSVYTLGDQEGAMS -TALGDAAVIEYMSSGSKVVFRTGFLKCRVKMENLRLKGSTYMQCSKEFSILKRPTATPYGTVIMQVKYAQ -TDVPCRVPVGVHERPGGEQVGRIITAHPIILKQNDALVIEVEPPFGDSVIEIGLGTTKIVEQWHRDGSSI -GAAFTSTMKGVERMALLGEHAWDFGSVGGFFNSMGKAIHSVFGGLFRAVFGGMSWISKVLIGAILMWLGV -SAREKTLAMSLITVGAILLYLSTMTNAVSEVGCSLDLSRHEIKCGDGVFLFRDVSNWRDKYVFHPGSPRT -LAASVWSAWNNGICGVRSTTRMEHEMWKQVENEINGIFEENAIALSVVVKNANGTFPRGHKRLPVNTTGL -QHGWKTWGKTKLMQVPLAKDVFIIDGNDEGECVSTNRSWNTFRVEEFGTGIMKTKVFLDINPTLTRYCDT -ELLGAAVKGNHSAHGDPGLWMVSEKEGSEWKLTSLSLSESRRCLWPDSHTVWGRGVEETKLILPANLGGP -VSHMNTRYGYSTQISGPWNNVPLDVVFETCPGTTVNVTRTCVGRSASARSTTDSGKIIPEWCCRACTMPP -LTYRTPDGCWYAMEIQPMNTKEESILRARVSAGAVHGLDNFSLGLLVMMLVTQEGLKRRFTSKHIMLAGI -GLLICVIMGDLTYTDLARYAVLLGTTFAEMNSGGDVMHLALVATFKVKPAYLLGFLFRMRWSPRESFMLV -CGAILMQTGMGVLACHHMMDYVHACALGWLLVRSLVVPGMVSKALPLLCCLAPLPLAVMTNATRASVATL -AVGTMLAGAKGKSVKKSLPWFSALIASWMGCNPLGMMVFASFMRKHGKRSWPAGEVMAAVGIVCALAGAI -TENQGEVAGPAAAAALIFTAYAISGKANDIFLEKAGEMTWSHDAQLSGSSPRVDVKVGESGDFRLRHESE -DSWLKTGVTAGCLIMAGFHPLAIPVAGLIWYGYVKHDRRGGVLWDIPHPSTSKAGDTETGVYRIMSKRLF -GATQIGVGVMYESVFHTMWHVTRGASLMSGEGRIDPYWADVREDLISYGGPWRLNGTWDGTTEVQLIAVS -PGKVPINVQTKPGKFVLTDGREIGAVVLDYPPGTSGSPVIDQEGKIVGLYGNGVMLNEQTFASSIAQTLP -RTCEVPHAFHDGMLSKGTLSVLDLHPGAGKTRRVLPEILREAVKKNLKTLVLAPTRVVAREMAEALAGLP -VRYQTPSVSQDSTGRELIDLMCHATFTYRQLTPGRMVNYQLYVMDEAHFTDPASIAARGIISTRVSLGEA -AAMFMTATPPGTTDAFPESNGHIEDEEREVPDAAWSAGFDWITEFNGKTIWFAPSIKTSNILASCLCRAG -KKVIILNSRTFNEEYGKTKSGDWDFVITTDISEMGANIKADRVIDSRLSIKPVLVTTPTERIVLSGPKPV -SAASAAQRRGRVGRDPTKHGDQYIFGGPISTDDGDMAHWIEAKILMDNITVPGGLYPQFYEPERMKCPAT -DGFHRLDDKKRAVLRDLIRRADLPVWLSYQVAKNGYTYEDRTWCHSGPSSHLVFDDFGQTVEYRSSNGEK -RILQPKWIDQRVYQERASLKSFTEFAEGRRSYMPILDVVGKLPQHFSDRAIDAADTIRTVLTANPDSRSY -RLAIDNLPEAAETAMLIGMLVSVTMGSIMFLMMPKGITRMSLGLIVMIMATWFMWTSGMAGYQIAAVQLL -AFVFFLVLVPEPGNQRSIQDNQIAMVLFGLLAVIGGIAANEMGMLERTKADIGTLFSRSSPTHTSWSGWN -IDLSVDLKPSVSWSVYIVLTTLVGPMLEHAIVTDYASLSLAAIASQAGVLLSMDKGLPFMSLEWGVILLG -VGSWTGITALALIAGMVMTLMHFALILPGLKAKAAREAQSRTAAGISKNPLIDGVNTTAIPLPSAIDPMF -EKKLGLWMLLIVSSLAVLLNRSTLHMTELGILGSAAISPLIEGQASAIWNTSVAASVCNLMRGSYLGGIP -LTYTVIRNLQQKQPSRRGKKVEYTLGIKWKNRLNAMDKREFSVYKRDGILEVNRTPAQEALKSGNVTGGH -AVSRGSAKLRWLHEKGYVDLSGHMVDLGCGRGGWSYYAAAQKKIVRVKGYTKGGPGHEEPVPVQSYGWNL -VTMRSNVDVFYLGTEKCDTLVCDIGESSSSYMVEEERTLRVLNMFETWLKEQHPENFVCKVLAPYMPAVI -EKIDVLMKNFGGALVRVPLSRNSTHEMYWVSGAKGNAMNAVSSLSRQLIARMEKPKYVMCLEEDVNLGTG -TRAVTCEAEPPNMDKIGHRVERLRKEYKQTWFYDNEHPYRTWVYHGSYETKTTGSASSMLNGVVKEMSKP -WDTIYGVTSVCMTDTTPFGQQRVFKEKVDTKASEPKAGIREVMRITNRWLLNELSREKTPRLCTPDEFIA -KVNSDAALGVMFSDQGDWTTAKEAVRDPRFWKLVDAEREEHLQGRCTTCIYNMMGKREKKSTEFGRAKGS -RAIWFMWLGARYLEFEALGFLNEDHWLERSNSHGGVEGIGLQYLGYVIEEMSHIPGGNFYADDTAGWDTK -ITNADLEDELMIVERMGKTHRRLAQSIMELTYMNKVVRVMRPGTRGKTMMDVISRKDQRGSGQVVTYPLN -TWTNLKVQLIRMAESEGVIHGDDVETLSSSGRINLEMWLVMNGADRLKRMACSGDDVVVKPIDDRFAGAL -VFLNGMAKTRKDINEWKPSVGWHNWEGVPFCSHHFHQLWLRDGRSIIVPCRDQDELIGRARVSPGVGWTL -KETAGLSKAYAQMWLLMHFHRRDLRMMAFAICSSVPVNWVPTGRTSWSLHARGEWMTTEDMLQVWNRVWI -DDNPHMMKKTHVHDWRDIPYSCKGQDISCGSLIGTRSRATWADNIKTAVNQVRGIIGRDEKYMDYLAIQN -RFMPPLQFRLGSVL - ->YP_001040006.1 flavivirus polyprotein [Ilheus virus] -MSKKPGKSAAKRTVNMLKRLASVSPSRGRRTIRRMLDVRGAPRLILALMAFFRFAAIKPTLGLKKRWRSV -NKTVAVKHLTNFKKELTTMLDSVNKRKEKKKSFSTALLWITMITAVAGLKISSHRDRPLLMVNKTDVSDA -IPVPSVKGTNMCTIRALDVGYTCAYDTTYECPHLEVTMDPEDIDCWCTLESVYVNYGLCKQNHHVRRGRR -AINIPHHGESHLENRATPWMDTTKTTKYLTKVENWVIRNPGYALVALATAWMLGSNTPQRVVFMIMMMLI -APAYSLNCLGISNRDFVEGLSGGTWVDIVLEGGSCVTVMAKDKPTLDIKLIRMEAKDLATVRSYCYQATV -TDSSTEARCPTMGEAHNSKSLDASYVCKSSYVDRGWGNGCGLFGKGSIQTCVKFSCPGKATGKSIQRENL -NYDVAVYVHGPISAAAHGNYTAQLTGKYAAKFSITPSAPTYTANLGEYGEATMECEPRAALDIDNYYVMS -LNNKHWLVNRDWFHDLDLPWTGPATESWKNRESLIEFEEPHATRQTVVALGNQEGALHTALAGAIPVEVS -STTLTLNSGHLKCRLKLDKLKIKGTTYAMCKGTFAFAQTPVDTGHGTIVAELTYTGTDGPCKIPISMTAD -LRDMTPIGRLVTVNPIIPSSAKSQKILVELEPPFGSSFILVGQENNQIKYQWHKTGSTIGNALKTTWKGA -QRFAVLGDTAWDFGSVGGIFNSIGKTIHGVFGTAFRSLFGGMSWVTQALMGALLLWLGISARERTVSLIM -LSVGGILLFLAVNVHADTGCAIDMARRELKCGSGIFIHNDVETWRNNYKYHPLTPRGFAKVIQMSKDKGV -CGIRSVGRLEHEMWEAIAPELNAIFEDNGVDLSVVVKGQTGIYKRAPKRLTETKDEMSFGWKNWGKSFIF -STETANSTFIVDGPESKECPTSDRAWNSLELEDFGFGIISTKIFLKVNEQRGNSCDSAVIGTAVKGNEAV -HSDLGFWIQSTKNESWQLERAVLGEVKSCTWPESHTLWGDGVEESDLIIPITLAGPKSHHNMRPGYKTQT -KGPWHEETPLVIEFAECPGTTVTQEESCGGRGPSIRTTTASGRTIRNWCCKNCTLPPLRFMAGENCWYGV -EVRPKRENEETLIKSKVSAGNGQTIEPFQLGILMAFVFTQEVLRRRWTANLALPTSALLMACFIFGGFTY -LDLFRYFILVGAAFAEANSGGDVVHLAMIAAFNIQPVALVTTFFRKNWTNRENMILIIAAACTQMACMEL -KIELFHVMNSLSLAWMILKALTTGTTSTLAMPFLAALSPPMNWLGLDVVRCLLIMAGVAALISERRESLA -KKKGALLISAALALTGAFSPLVLQGALMFTQSLGKRGWPASEVLTAVGMTFALAGSVARLDGGTMAIPLA -TMAILAVAYVLSGKSTDMWLERCADISWINEAEITGTSPRLDVELDSNGDFKMINDPGVPMWMWTCRMGL -MAMAAYNPVLIPVSMAGYWMTVKIHKRGGVMWDVPAPKQFGKTELKPGVYRVMTMGILGRYQSGVGVMWD -GVFHTMWHVTQGAALRNGEGRLNPTWGSVRDDLISYGGKWKLSATWNGSEEVQMIAVEPGKAAKNYQTKP -GVFKTPAGEIGAITLDFPKGTSGSPIINKAGEITGLYGNGIVLERGAYVSAITQGERQEEETPEAFTPDM -LKKRRLTILDLHPGAGKTRRVIPQIVRECVKARLRTVILVPTRVVAAEMAEALRGLPIRYQTSAVKAEHS -GNEIVDAMRHATLTQRLLTPAKVPNYNVFVMDEAHFTDPASIAARGYISTKVELGEAAAIFMTATPPGTT -DPFPDSNAPIIDQEAEIPDRAWNSGFEWITEYTGKTVWFVPSVRMGNEIAMCLTKAGKKVIQLNRKSYDS -EYQKCKGNDWDFVITTDISEMGANFGAHRVIDSRKCVKPVILDGDDRVLMNGPAPITPASAAQRRGRIGR -DPTQSGDEYFYGGPTTTDDTGHAHWIEAKILLDNIQLQNGLVAQLYGPERDKVFTTDGEYRLRSEQKKNF -VEFLRTGDLPVWLSYKVAEAGYAYTDRRWCFDGPANNTILEVRGDPEVWTRQGEKRILRPRWSDARVYCD -NQALRSFKEFAAGKRSAGSVMEVMGRMPDYFWTKTLNAADNLYVLATANKGGRAHQAALEELPDTVETIL -LMTMMCVASLGMFTLMVHRRGLGKTGLGTLVLATVTVLLWISDVPAPKIAGVLLIAFLLMIVLIPEPEKQ -RSQTDNHLAIFLVCVLLLIGAVSANEMGWLETTKKDIGKLFRSSGDTQEQSTWQSWAPEVRAATAWAGYA -GLTVFLTPLFRHLITTQYVSFSLTAITAQASALFGLSAGYPFVGIDLAVGFLLLGCYGQYNLPTAVATGL -LLLAHYGYMIPGWQAEAMRAAQKRTAAGVMKNAVVDGIVATDIPEVDTATPITEKKLGQILLILLCGASL -LVKFDTMVLVEAGVLTTSAMATLIEGNANTVWNSTVAVGVCHLMRGAWLAGPSIGWTIVRNLENPKLKRG -GGSAPTLGEIWKAQLNQLTREEFMAYRRDGILEVDRTQARRARQSGITTGGHPVSRGTAKLRWMVERGFV -RPIGKVVDLGCGRGGWSYYCATLRHVQEVRGYTKGGPGHEEPVMMQSYGWNIVTMKSGVDVFYKPTESCD -TLLCDIGESSSSVGVEEARTLRVLDMVEPWLRAANSFCIKVLCPYTPKVIERLERLQRAYGGGLVRVPLS -RNSTHEMYWVSGASSNIINAVTVTSQILVQRMNKGCRHGPRYEEDVCLGSGTRAVATQASPSDHTKIKHR -LERLRKEFSATWHIDLEHPYRTWHYHGSYEVQPTGSANSMVNGVVRLLSKPWDAITSVVTMAMTDTTPFG -QQRVFKEKVDTRAPDPAVGVAQALDITTGWLWTFLARSKKPRMCTREEFIAKVNSNAALGAVFDEQNQWS -TAREAVEDPAFWNLVDEERKAHLAGRCETCIYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALG -FLNEDHWMSRENSLGGVEGQGLQKLGYILRDISHLEGGNMFADDTAGWDTRITRADLENEAKVMNMMDGE -HKQLAKAIIELTYRHKVVKVMRPARGGKTVMDIISREDQRGSGQVVTYALNTFTNLAAQLVRCMEGEELL -TESDVHGLSPKKKQAVRNWLIQNGRERLSRMAVSGDDCVVKPIDDRFASALHFLNGMAKIRKDTQEWKPS -VGWSNWQEVPFGSHHFNELLMKDGRTIVVPCRSQDELVGRARVSPGSGWSLRETACLSKAYAQMWLLMYF -HRRDLRLMANAICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLQVWNRVWIEDNEHMEDKTPITSWTDIP -YIGKREDQWCGSLIGTRQRATWAENIYTPIMQIRNLIGDEKYVDCMVSQHRFETPSPVLFTGAI - ->YP_001040007.1 flavivirus polyprotein [Kokobera virus] -MTKKPGRPGRNRAVNMLKRGASRALGPMIKLKRMLFGLLDGRGPLRMVLAILAFFRFTALKPTAGLLKRW -GMMDKVHALSLLKGFKKDLASMTDFVHLPKKKSGVSIIGRMLVFSFTAAVRVTLENGMSLMKIQKADVGK -VITIRTDRGENRCIVQAMDVGEDCEDTMKYLCPAIENPSEPDDIDCWCDKADAMVTYGRCSKTRHSRRSR -RSTNIAGHADSRLDSRGSVWMDTKKATSYLTKAESWALRNPGYALVAAVLGWSLGTSNAQKVIFTVMILL -IAPAYSIRCVGVENRDFIEGVSGGTWVDVVLEHGGCVTIMAPDKPTIDLELTSTIAKSMAVTRTYCVQAQ -VSELSVETRCPTMGEAHNSKSSDAAYVCKKGFSDRGWGNGCGLFGKGSMETCAKFSCQTKAEGRIIQREN -LEYTIHMNVHASQETGHFMNDTIASENKHGAKISITATGPSRTADLGDYGMVTLDCEPRAGLDFDNLYLL -TLGRNSWLVNRDWFHDVNLPWIGGAEGHWKNRESLVEFGKTHATKREVLALGSQEGTLQVALAGAMIAKF -GSNVATINSGHLKCRLKLDKLKIKGTTYHMCKGSFAFTKTPSDTGHGTVLLELTYSGSDGPCRVPISMSV -SLSNIEPVGRMVTVNPIVLSSSPQKTIMIEVEPPFGDSFIIAGTGEPRAHYHWRKSGSSIGAAFATTIKG -ARRLAVIGDDAWDFGSVGGILNSVGKALHQIFGGMFRTLFGGMSWFTQIMIGALCCWLGINARDRTIAVT -FLAVGGVLVFLATSVNADSGCALDLKRKEFKCGNGIFVFNDAEAWSHSYRYHPSTPKKLAGSIVRAIEEG -QCGVRSVGRLEHEMWRANAREINAILLENEKNLSVVVLESEYYRKAKNLMPIGDEMPFGWKSWGKKFFEE -PQLQNQTFVVDGRVGKECPEEKRSWNNFRIEDFGFGVFTTSVWMEQRTEYTEDCDQKVIGAAVKGELAAH -SDLGYWIESRSKNGSWELERAYLLESKSCSWPATHTLWNGGVEESELIIPKSRAGPVSHHNTRKGYHNQI -KGPWHLTPLEIRFESCPGTTVVTTEECGNRGPSLRTTTTSGKVISEWCCRSCTMPPLSFRTADGCWYGME -IRPLKEREETMVKSHVSAGRGDGVDNLSLGLLVLTIALQEVMRKRILGRHITWMVIAVFMAMILGGLSYR -DLGRYLVLVGAAFAERNSGGDLLHLVLVATFKVKPMALLGFVLGGRWCRRQSLLLSIGAVLVNFALEFQG -GYFELVDSLALALLFVKAVVQTDTTSVSLPLLAALAPAGCYTVLGTHRFIMLTLVLVTFLGCKKTASVKK -AGTAAVGVVLGMVGMKTIPMLGMLMVTSRARRSWPLHEAMAAVGILCALFGALAETEVDLAGPLAAAGLI -VMAYVISGRSNDLSIKKVEDVKWSDEAEVTGESVSYHVSLDVRGDPTLTEDSGPGLEKVLLKVGLMAISG -IYPVAIPFALGAWFFLEKRCKRAGALWDIPSPREAKPAKVEDGVYRIFSRKLFGESQIGAGVMVKGTFHT -MWHVTRGAVLKAGEGLLEPAWADVRKDLICYGGNWKLEEHWDGNEEVQLIALEPGKKVRHIQTKPGIFKT -SEGEIGALDLDCMAGTSGSPIVNKNGEVVGLYGNGVLIKGDRYVSAISQKENVGQEDGAEIEDNWFRKRE -LTVLDLHPGAGKTRRVLPQLVREAVKKRLRTVILAPTRVVASEMYEALRGEPIRYMTPAVQSERTGNEIV -DFMCHSTFTMKLFQGVRVPNYNLYIMDEAHFLDPASVAARGYIETRVSMGDAGAIFMTATPPGTTEAFPP -SNSPIIDEETRIPDKAWNSGYEWIIEFDGRTVWFVHSIKQGAEIGTCLQKAGKKVLYLNRKTFESEYPKC -KSEKWDFVITTDISEMGANFKADRVIDPRKTIKPILLDGRVSMQGPIAITPASAAQRRGRIGRNPEKLGD -IYAYSGNVSSDNEGHVSWTEARMLLDNVHVQGGVVAQLYTPEREKTEAYEGEFKLKTNQRKVFSELIRTG -DLPVWLAFQVASANVEYHDRKWCFDGPNEHLLLENNQEIEVWTRQGQRRVLKPRWLDGRITSDHLNLKSF -KEFASGKRSALSILDLIAVLPSHLNLRLQEALDTAAILSRSEPGSRSYKAALENSPEMIETFLLCALVCL -MTIGLVVVLVRGKGPGKLAFGMVSIGVMTWLLWSAGVDPGKIAAAVILVFLLLVVLIPEPEKQRSVQDNQ -LAMLMLLIATILGGVAANEMGWLEKTKADLSWVVRGRSSTTTPVVELDMKPATAWTLYALATTLLTPLFQ -HLIVTKYANISLMAIASQAGTLFSMDSGIPFSSIELSVPLLALGCWTQITPCSLILACVLLSTHYAILLP -GMQAQAARDAQRRTAAGIMKNAVVDGIVATDIPPLDGAGPLTEKKLGQLLLFAAAVTGVVITRSPRSWSE -LGVLGSAVGSTLIEGSAGKFWNATTVTAMCNLFRGSYLAGVPLTYTIIRNSNPSNKRGGGIGETLGEKWK -ARLNQMNTLEFHRYRRSHIMEVDREPARAALKSGDFTRGAAVSRGSAKLRWMHERGYIRLHDKVVDLGCG -RGGWCYYSATVKEVKEVKGYTKGGRGHEEPVLTQSYGWNIVQMKSGVDVFYKEAEPCDVVLCDIGECSSS -PAVEADRSTKVLELAERWLERNDGADFCIKVLCPYMPEVVEKLSKLQLRYGGCLVRNPLSRNSTHEMYWV -SGYKGNLIGVINSTSALLLRRMEIKFAEPRYEEDVNLSCGTRAVSIAPPKFDYKKIGQRVERLKAEHMST -WHYDCEHPYRTWAYHGSYVVKPSGSASSQVNGVVKLLSKPWDVSSEVTGMSMTDTTPFGQQRVFKEKVDT -KAPEPPAGAEMASVIVSEWLWKRLNREKKPRLCTKEEFVRKVRGNAALGPVFEEENQWKDAAEAVQDPGF -WNLVDMERKNHLEGKCETCVYNMMGKREKKRGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWMSRG -NSGGGVEGLGIQKLGYVMREIGEKGGILYADDTAGWDTRITECDLRNEAHIMEYMENEHRKLARAIFELT -YKHKVVKVMRPGKGVPLMDIISREDQRGSGQVVTYALNTFTNLVVQLIRMAEAECVLTPEDLHEMSQSAK -LRLLKWLKEEGWERLTRMAVSGDDCVVAAPDARFGAALTFLNAMSKIRKDIKEWTPSKGWKNWEEVPFCS -HHFHRLQMKDGRELVVPCRSQDELIGRARVTQGPGDLMSSACLAKAYAQMWQLLYFHRRDLRLMGNAICS -AVPVDWVPTGRTTWSIHGKGEWMTSENMLEVWNRVWIEENEHMEDKTPVREWTDIPYLGKREDPWCGSYI -GYRPRSTWAENIKVPVNVIRVKIGGNKYQDYLGTQKRYESEKRVEFRGVL - ->YP_001008348.1 polyprotein [Saint Louis encephalitis virus] -MSKKPGKPGRNRVVNMLKRGVSRVNPLTGLKRILGSLLDGRGPVRFILAILTFFRFTALQPTEALKRRWR -AVDKRTALKHLNGFKRDLGSMLDTINRRPSKKRGGTRSLLGLAALIGLASSLQLSTYQGKVLMSINKTDA -QSAINIPSANGANTCIVRALDVGVMCKDDITYLCPVLSAGNDPEDIDCWCDVEEVWVHYGRCTRMGHSRR -SRRSISVQHHGDSTLATKNTPWLDTVKTTKYLTKVENWVLRNPGYALVALAIGWMLGSNNTQRVVFVIML -MLIAPAYSFNCLGTSNRDFVEGASGATWIDLVLEGGSCVTVMAPEKPTLDFKVMKMEATELATVREYCYE -ATLDTLSTVARCPTTGEAHNTKRSDPTFVCKRDVVDRGWGNGCGLFGKGSIDTCAKFTCKNKATGKTILR -ENIKYEVAIFVHGSTDSTSHGNYSEQIGKNQAARFTISPQAPSFTANMGEYGTVTIDCEARSGINTEDYY -VFTVKEKSWLVNRDWFHDLNLPWTSPATTDWRNRETLVEFEEPHATKQTVVALGSQEGALHTALAGAIPA -TVSSSTLTLQSGHLKCRAKLDKVKIKGTTYGMCDSAFTFSKNPTDTGHGTVIVELQYTGSNGPCRVPISV -TANLMDLTPVGRLVTVNPFISTGGANNKVMIEVEPPFGDSYIVVGRGTTQINYHWHKEGSSIGKALATTW -KGAQRLAVLGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLLGALLLWMGLQARDRSIS -LTLLAVGGILIFLATSVQADSGCAIDLQRRELKCGGGIFVYNDVEKWKSDYKYFPLTPTGLARVIQEAHA -NGICGIRSTSRLEHLMWENIQRELNAIFEDNEIDLSVVVQEDPKYYKRAPRRLKKLEDELDYGWKKWGKT -LFVEPRLGNNTFVVDGPETKECPTANRAWNSFKVEDFGFGMVFTRLWLTIREENTTECDSAIIGTAIKGD -RAVHSDLSYWIESKKNETWQLERAVMGEVKSCTWPETHTLWGDGVVESEMIIPVTLGGPKSHHNKRNGYY -TQTKGPWSEGEIILDFDYCPGTTVTVTEHCGNRGASLRTTTASGKLVTDWCCRSCSLPPLRYTTKDGCWY -GMEIRPVKEEEAKLVKSRVTAGVAGGMEPFQLGLLVAFIATQEVLKRRWTGKLTLTSLAVCLALLIFGNL -TYMDLVRYLVLVGTAFAEMNTGGDVIHLALVAVFKVQPAFLAGLFLRMQWSNQENILMVIGAAFLQMAAN -DLKLEVLPILNAMSIAWMLIRAMKEGKVAMYALPILCALTPGMRMAGLDVIRCLLLIIGIVTLLNERRES -VAKKKGGYLLAAALCQAGVCSPLIMMGGLILAHPNGKRSWPASEVLTGVGLMCALAGGLLEFEETSMVVP -FAIAGLMYITYTVSGKAAEMWIEKAADITWEQNAEITGTSPRLDVDLDSHGNFKLLNDPGAPVHLFALRF -ILLGLSARFHWFIPFGVLGFWLLGKHSKRGGALWDVPSPKVYPKCETKPGIYRIMTRGILGTFQAGVGVM -HEGVFHTMWHATEGAVLRNGEGRLDPYAGDVRNDLISYGGPWKLSATWDGTEEVQMIAVAPGKPAINVQT -TPGVFKTPLGTIGAVTLDFPKGTSGSPIINKKGEIIGLYGNGVLIGQGEYVSGIIQGERTEEPIPDAYNE -EMLRKRKLTVLELHPGAGKTRKVLPQIIKDCIQKRLRTAVLAPTRVVACEIAEALKGLPIRYLTPAVRNE -HQGNEIVDVMCHATLTQKLLTPTRVPNYQVYIMDEAHFIDPASIAARGYISTKVELGEAAAIFMTATPPG -TNDPFPDSNSPILDVEAQVPDKAWSTGYEWITNFTGRTVWFVPSVKSGNEIAICLQKAGKRVIQLNRKSF -DTEYPKTKNNEWDFVVTTDISEMGANFGAHRVIDSRKCVKPVILEDDDRVILNGPMAITSASAAQRRGRI -GRNPSQIGDEYHYGGATNEDDHDLANWTEAKILLDNIYLPNGLVAQMYQPERDKVFTMDGEFRLRGEERK -NFVELMRNGDLPVWLAYKVASNGHSYQDRSWCFTGQTNNTILEDNNEVEVFTKTGDRKILRPKWMDARVC -CDYQALKSFKEFAAGKRSALGMMEVMGRMPNHFWEKTVAAADTLYLLGTSEANSRAHKEALAELPDSLET -LLLIGMLCVMSMGTFIFLMNRKGVGKMGLGAFVMTLATALLWAAEVPGTQIAGVLLIVFLLMIVLIPEPE -KQRSQTDNQLAVFLICIMTLMGVVAANEMGLLEKTKSDIAKLFGSQPGSVGFATRTTPWDISLDIKPATA -WALYAAATMVMTPLIKHLITTQYVNFSLTAIASQAGVLLGLTNGMPFTAMDLSVPLLVLGCWNQMTLPSL -AVAVMLLAIHYAFMIPGWQAEAMRAAQRRTAAGIMKNAVVDGIVATDIPDLSPATPMTEKKMGQILLIAA -AVLAVLVRPGICSIKEFGVLGSAALVTLIEGTAGVVWNCTTAVGLCNLMRGGWLAGMSITWTVYKNVDKP -KGKRGGGKGATLGEIWKSRLNQLTRAEFMAYRKDGIVEVDRAPARKARREGRLTGGHPVSRGSAKLRWIT -ERGFVKPMGKVVDLGCGRGGWSYYCATLKHVQEVKGFTKGGPGHEEPQLMQSYGWNLVHMKSGVDVFHKP -AEPADTVLCDIGESNPSCEVEEARTARVLDMVEEWLKKGATEFCIKVLCPYTPKIIEKLEKLQRKYGGGL -VRVPLSRNSTHEMYWVSGAAGNIIHAVSMTSQVLMGRMDKQNRSGPRYEEDVNLGSGTRSVGKLTEKPDP -RKVGERIRRLREEYQQTWTYDHNNPYRTWNYHGSYEVKPTGSASSMVNGVVRLLSKPWDMITNVTTMAMT -DTTPFGQQRVFKEKVDTKAPEPPLGVAQIMDVTTDWLWDFVAREKKPRVCTPEEFKAKVNSHAALGAMFE -EQNQWSSAREAVEDPKFWEMVDEEREAHLKGECHTCIYNMMGKREKKTGEFGKAKGSRAIWYMWLGARFL -EFEALGFLNEDHWMSRENSYGGVEGKGLQKLGYILQEISQIPGGKMYADDTAGWDTRITKEDLKNEAKIT -KRMEERHRKLAEAIIDLTYRHKVVKVMRPGPDGKTYMDVISREDQRGSGQVVTYALNTFTNLAVQLIRCM -EAEGVVDEDDITRVRLGRLAKAVEWLRKNGPERLSRMAVSGDDCVVKPIDDRFATALHFLNNMSKIRKDI -QEWKPSTGWHNWQEVPFCSHHFNELMLKDGRTIVVPCRSQDELIGRARISPGAGWNVKETACLSKSYAQM -WLLMYFHRRDLRMMANAICSAVPVNWVPTGRTTWSIHGKGEWMTTEDMLSVWNRVWIEENEYMKDKTPLA -AWNDIPYLGKREDIWCGSLIGTRTRATWAENIYAPIMQIRNLIGEEEYRDYMVAQNRFGREETHVVGGVL - ->YP_164810.1 matrix protein M [Usutu virus] -SIAVQTHGESMLANKKDAWLDSTKASRYLMKTENWIIRNPGYAFVAVLLGWMLGSNNGQRVVFVVLLLLV -APAYS - ->YP_164264.1 flavivirus polyprotein [Usutu virus] -MSKKPGGPGRNRAINMLKRGIPRVFPLVGVKRVVMGLLDGRGPVRFVLALMTFFKFTALAPTKALLGRWK -RINKTTAMKHLTSFKKELGTMINVVNNRGTKKKRGNNGPGLVMIITLMTVVSMVSSLKLSNFQGKVMMTI -NATDMADVIVVPTQHGKNQCWIRAMDVGYMCDDTITYECPKLDAGNDPEDIDCWCDKQPMYVHYGRCTRT -RHSKRSRRSIAVQTHGESMLANKKDAWLDSTKASRYLMKTENWIIRNPGYAFVAVLLGWMLGSNNGQRVV -FVVLLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDVVLEGDSCITIMAKDKPTIDIKMMETEATNLAEVR -SYCYLATVSDVSTVSNCPTTGEAHNPKRAEDTYVCKSGVTDRGWGNGCGLFGKGSIDTCANFTCSLKAMG -RMIQPENVKYEVGIFIHGSTSSDTHGNYSSQLGASQAGRFTITPNSPAITVKMGDYGEISVECEPRNGLN -TEAYYIMSVGTKHFLVHREWFNDLALPWTSPASSNWRNREILLEFEEPHATKQSVVALGSQEGALHQALA -GAVPVSFSGSVKLTSGHLKCRVKMEKLTLKGTTYGMCTEKFSFAKNPADTGHGTVVLELQYTGSDGPCKI -PISIVASLSDLTPIGRMVTANPYVASSEANAKVLVEMEPPFGDSYIVVGRGDKQINHHWHKAGSSIGKAF -ITTIKGAQRLAALGDTAWDFGSVGGIFNSVGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNARD -RSIALVMLATGGVLLFLATNVHADSGCAIDVGRRELRCGQGIFIHNDVEAWVDRYKFMPETPKQLAKVIE -QAHAKGICGLRSVSRLEHVMWENIRDELNTLLRENAVDLSVVVEKPKGMYKSAPQRLALTSEEFEIGWKA -WGKSLVFAPELANHTFVVDGPETKECPDAKRAWNSLEIEDFGFGIMSTRVWLKVREHNTTDCDSSIIGTA -VKGDIAVHSDLSYWIESHKNTTWRLERAVFGEIKSCTWPETHTLWSDGVVESDLVVPVTLAGPKSNHNRR -EGYKVQSQGPWDEEDIVLDFDYCPGTTVTITEACGKRGPSIRTTTSSGRLVTDWCCRSCTLPPLRYRTKN -GCWYGMEIRPMKHDETTLVKSSVSAHRSDMIDPFQLGLLVMFLATQEVLRKRWTARLTVPAIVGALLVLI -LGGITYTDLLRYVLLVGAAFAEANSGGDVVHLALIAAFKIQPGFLAMTFLRGKWTNQENILLALGAAFFQ -MAATDLNFSLPGILNATATAWMLLRAATQPSTSAIVMPLLCLLAPGMRLLYLDTYRITLIIIGICSLIGE -RRRAAAKKKGAVLLGLALTSTGQFSASVMAAGLMACNPNKKRGWPATEVLTAVGLMFAIVGGLAELDVDS -MSIPFVLAGLMAVSYTISGKSTDLWLERAADITWETDAAITGTSQRLDVKLDDDGDFHLINDPGVPWKIW -VIRMTALGFAAWTPWAIIPAGIGYWLTVKYAKRGGVFWDTPAPRTYPKGDTSPGVYRIMSRYILGTYQAG -VGVMYEGVLHTLWHTTRGAAIRSGEGRLTPYWGSVKEDRITYGGPWKFDRKWNGLDDVQLIIVAPGKAAI -NIQTKPGIFKTPQGEIGAVSLDYPEGTSGSPILDKNGDIVGLYGNGVILGNGSYVSAIVQGEREEEPVPE -AYNADMLRKKQLTVLDLHPGAGKTRRILPQIIKDAIQRRLRTAVLAPTRVVAAEMAEALKGLPVRYLTPA -VNREHSGTEIVDVMCHATLTHRLMSPLRAPNYNLFVMDEAHFTDPASIAARGYIATKVELGEAAAIFMTA -TPPGTHDPFPDTNAPVTDIQAEVPDRAWSSGFEWITEYTGKTVWFVASVKMGNEIAQCLQRAGKKVIQLN -RKSYDTEYPKCKNGDWDFVITTDISEMGANFGASRVIDCRKSVKPTILEEGEGRVILSNPSPITSASAAQ -RRGRVGRNPSQIGDEYHYGGGTSEDDTIAAHWTEAKIMLDNIHLPNGLVAQMYGPERDKAFTMDGEYRLR -GEERKTFLELLRTADLPVWLAYKVASNGIQYTDRKWCFDGPRSNIILEDNNEVEIVTRTGERKMLKPRWL -DARVYADHQSLKWFKDFAAGKRSAVGFLEVLGRMPEHFAGKTREAFDTMYLVATAEKGGKAHRMALEELP -DALETITLIVALAVMTAGVFLLLVQRRGIGKLGLGGMVLGLATFFLWMADVSGTKIAGTLLLALLMMIVL -IPEPEKQRSQTDNQLAVFLICVLLVVGVVAANEYGMLERTKSDLGKIFSSTRQPQSALPLPSMNALALDL -RPATAWALYGGSTVVLTPLIKHLVTSEYITTSLASISAQAGSLFNLPRGLPFTELDFTVVLVFLGCWGQV -SLTTLITAAALATLHYGYMLPGWQAEALRAAQRRTAAGIMKNAVVDGLVATDVPELERTTPLMQKKVGQI -LLIGVSAAALLVNPCVTTVREAGILISAALLTLWDNGAIAVWNSTTATGLCHVIRGNWLAGASIAWTLIK -NADKPACKRGRPGGRTLGEQWKEKLNGLSKEDFLKYRKEAITEVDRSAARKARRDGNKTGGHPVSRGSAK -LRWMVERQFVKPIGKVVDLGCGRGGWSYYAATLKGVQEVRGYTKGGPGHEEPMLMQSYGWNLVTMKSGVD -VYYKPSEPCDTLFCDIGESSSSAEVEEQRTLRILEMVSDWLQRGPREFCIKVLCPYMPRVMERLEVLQRR -YGGGLVRVPLSRNSNHEMYWVSGAAGNIVHAVNMTSQVLIGRMEKRTWHGPKYEEDVNLGSGTRAVGKPQ -PHTNQEKIKARIQRLKEEYAATWHHDKDHPYRTWTYHGSYEVKPTGSASSLVNGVVRLMSKPWDAILNVT -TMAMTDTTPFGQQRVFKEKVDTKAPEPPSGVREVMDETTNWLWAFLAREKKPRLCTREEFKRKVNSNAAL -GAMFEEQNQWSSAREAVEDPRFWEMVDEERENHLKGECHTCIYNMMGKREKKLGEFGKAKGSRAIWFMWL -GARFLEFEALGFLNEDHWLGRKNSGGGVEGLGVQKLGYILREMSHHSGGKMYADDTAGWDTRITRADLDN -EAKVLELMEGEHRQLARAIIELTYKHKVVKVMRPGTDGKTVMDVISREDQRGSGQVVTYALNTFTNIAVQ -LIRLMEAEGVIGQEHLESLPRKTKYAVRTWLFENGEERVTRMAVSGDDCVVKPLDDRFANALHFLNSMSK -VRKDVPEWKPSSGWHDWQQVPFCSNHFQELIMKDGRTLVVPCRGQDELIGRARVSPGSGWNVRDTACLAK -AYAQMWLLLYFHRRDLRLMANAICSAVPSNWVPTGRTSWSVHATGEWMTTDDMLEVWNKVWIQDNEWMLD -KTPVQSWTDIPYTGKREDIWCGSLIGTRTRATWAENIYAAINQVRAIIGQEKYRDYMLSLRRYEEVNVQE -DRVL - ->NP_775665.1 matrix protein M [Japanese encephalitis virus] -SVSVQTHGESSLVNKKEAWLDSTKATRYLMKTENWIIRNPGYAFLAATLGWMLGSNNGQRVVFTILLLLV -APAYS - ->NP_059434.1 flavivirus polyprotein [Japanese encephalitis virus] -MTKKPGGPGKNRAINMLKRGLPRVFPLVGVKRVVMSLLDGRGPVRFVLALITFFKFTALAPTKALLGRWK -AVEKSVAMKHLTSFKRELGTLIDAVNKRGRKQNKRGGNEGSIMWLASLAVVIAYAGAMKLSNFQGKLLMT -INNTDIADVIVIPTSKGENRCWVRAIDVGYMCEDTITYECPKLTMGNDPEDVDCWCDNQEVYVQYGRCTR -TRHSKRSRRSVSVQTHGESSLVNKKEAWLDSTKATRYLMKTENWIIRNPGYAFLAATLGWMLGSNNGQRV -VFTILLLLVAPAYSFNCLGMGNRDFIEGASGATWVDLVLEGDSCLTIMANDKPTLDVRMINIEASQLAEV -RSYCYHASVTDISTVARCPTTGEAHNEKRADSSYVCKQGFTDRGWGNGCGLFGKGSIDTCAKFSCTSKAI -GRTIQPENIKYEVGIFVHGTTTSENHGNYSAQVGASQAAKFTITPNAPSITLKLGDYGEVTLDCEPRSGL -NTEAFYVMTVGSKSFLVHREWFHDLALPWTSPSSTAWRNRELLMEFEEAHATKQSVVALGSQEGGLHQAL -AGAIVVEYSSSVKLTSGHLKCRLKMDKLALKGTTYGMCTEKFSFAKNPADTGHGTVVIELSYSGSDGPCK -IPIVSVASLNDMTPVGRLVTVNPFVATSSANSKVLVEMEPPFGDSYIVVGRGDKQINHHWHKAGSTLGKA -FSTTLKGAQRLAALGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNAR -DRSIALAFLATGGVLVFLATNVHADTGCAIDITRKEMRCGSGIFVHNDVEAWVDRYKYLPETPRSLAKIV -HKAHKEGVCGVRSVTRLEHQMWEAVRDELNVLLKENAVDLSVVVNKPVGRYRSAPKRLSMTQEKFEMGWK -AWGKSILFAPELANSTFVVDGPETKECPDEHRAWNSMQIEDFGFGITSTRVWLKIREESTDECDGAIIGT -AVKGHVAVHSDLSYWIESRYNDTWKLERAVFGEVKSCTWPETHTLWGDGVEESELIIPHTIAGPKSKHNR -REGYKTQNQGPWDENGIVLDFDYCPGTKVTITEDCGKRGPSVRTTTDSGKLITDWCCRSCSLPPLRFRTE -NGCWYGMEIRPVRHDETTLVRSQVDAFNGEMVDPFQLGLLVMFLATQEVLRKRWTARLTIPAVLGALLVL -MLGGITYTDLARYVVLVAAAFAEANSGGDVLHLALIAVFKIQPAFLVMNMLSTRWTNQENVVLVLGAALF -QLASVDLQIGVHGILNAAAIAWMIVRAITFPTTSSVTMPVLALLTPGMRALYLDTYRIILLVIGICSLLQ -ERKKTMAKKKGAVLLGLALTSTGWFSPTTIAAGLMVCNPNKKRGWPATEFLSAVGLMFAIVGGLAELDIE -SMSIPFMLAGLMAVSYVVSGKATDMWLERAADISWEMDAAITGSSRRLDVKLDDDGDFHLIDDPGVPWKV -WVLRMSCIGLAALTPWAIVPAAFGYWLTLKTTKRGGVFWDTPSPKPCSKGDTTTGVYRIMARGILGTYQA -GVGVMYENVFHTLWHTTRGAAIMSGEGKLTPYWGSVKEDRIAYGGPWRFDRKWNGTDDVQVIVVEPGKAA -VNIQTKPGVFRTPFGEVGAVSLDYPRGTSGSPILDSNGDIIGLYGNGVELGDGSYVSAIVQGDRQEEPVP -EAYTPNMLRKRQMTVLDLHPGSGKTRKILPQIIKDAIQQRLRTAVLAPTRVVAAEMAEALRGLPVRYQTS -AVQREHQGNEIVDVMCHATLTHRLMSPNRVPNYNLFVMDEAHFTDPASIAARGYIATKVELGEAAAIFMT -ATPPGTTDPFPDSNAPIHDLQDEIPDRAWSSGYEWITEYAGKTVWFVASVKMGNEIAMCLQRAGKKVIQL -NRKSYDTEYPKCKNGDWDFVITTDISEMGANFGASRVIDCRKSVKPTILEEGEGRVILGNPSPITSASAA -QRRGRVGRNPNQVGDEYHYGGATSEDDSNLAHWTEAKIMLDNIHMPNGLVAQLYGPEREKAFTMDGEYRL -RGEEKKNFLELLRTADLPVWLAYKVASNGIQYTDRRWCFDGPRTNAILEDNTEVEIVTRMGERKILKPRW -LDARVYADHQALKWFKDFAAGKRSAISFIEVLGRMPEHFMGKTREALDTMYLVATAEKGGKAHRMALEEL -PDALETITLIVAITVMTGGFFLLMMQRKGIGKMGLGALVLTLATFFLWAAEVPGTKIAGTLLIALLLMVV -LIPEPEKQRSQTDNQLAVFLICVLTVVGVVAANEYGMLEKTKADLKSMFVGKTQASGLTGLPSMALDLRP -ATAWALYGGSTVVLTPLLKHLITSEYVTTSLASINSQAGSLFVLPRGVPFTDLDLTVGLVFLGCWGQITL -TTFLTAMVLATLHYGYMLPGWQAEALRAAQRRTAAGIMKNAVVDGMVATDVPELERTTPLMQKKVGQVLL -IGVSVAAFLVNPNVTTVREAGVLVTAATLTLWDNGASAVWNSTTATGLCHVMRGSYLAGGSIAWTLIKNA -DKPSLKRGRPGGRTLGEQWKEKLNAMSREEFFKYRREAIIEVDRTEARRARRENNIVGGHPVSRGSAKLR -WLVEKGFVSPIGKVIDLGCGRGGWSYYAATLKKVQEVRGYTKGGAGHEEPMLMQSYGRNLVSLKSGVDVF -YKPSEPSDTLFCDIGESSPSPEVEEQRTLRVLEMTSDWLHRGPREFCIKVLCPYMPKVIEKMEVLQRRFG -GGLVRLPLSRNSNHEMYWVSGAAGNVVHAVNMTSQVLLGRMDRTVWRGPKYEEDVNLGSGTRAVGKGEVH -SNQEKIKKRIQKLKEEFATTWHKDPEHPYRTWTYHGSYEVKATGSASSLVNGVVKLMSKPWDAIANVTTM -AMTDTTPFGQQRVFKEKVDTKAPEPPAGAKEVLNETTNWLWAHLSREKRPRLCTKEEFIKKVNSNAALGA -VFAEQNQWSTAREAVDDPRFWEMVDEERENHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLGA -RYLEFEALGFLNEDHWLSRENSGGGVEGSGVQKLGYILRDIAGKQGGKMYADDTAGWDTRITRTDLENEA -KVLELLDGEHRMLARAIIELTYRHKVVKVMRPAAEGKTVMDVISREDQRGSGQVVTYALNTFTNIAVQLV -RLMEAEGVIGPQHLEQLPRKTKIAVRTWLFENGEERVTRMAISGDDCVVKPLDDRFATALHFLNAMSKVR -KDIQEWKPSHGWHDWQQVPFCSNHFQEIVMKDGRSIVVPCRGQDELIGRARISPGAGWNVKDTACLAKAY -AQMWLLLYFHRRDLRLMANAICSAVPVDWVPTGRTSWSIHSKGEWMTTEDMLQVWNRVWIEENEWMMDKT -PITSWTDVPYVGKREDIWCGSLIGTRSRATWAENIYAAINQVRAVIGKENYVDYMTSLRRYEDVLIQEDR -VI - ->YP_009433741.1 polyprotein [Potiskum virus] -MVNPKGVNVVAGRTKRLAQKTKKKVAQVSKGIKGFVLFVLTQLFMGRKLTPDVKKLWKKTDKNVLTAILK -RIKNVVNNLLRGVAGRKKRRSASPFMLWPLLIAVVMGASYSRHGPITYLNITKTDIGKNLNLKVGNCTSV -ATDVGKWCEDSTEYDCVTLQENEEPDDLDCWCYGIQNVRVTYGRCKDDSQPRRSPRAVVITAHLDQGLVT -KKETWLGTSHFEAQVQRIERWIIRNPTYAIAAILMAWYVGSTMKQRIILLLLTLALGPAYATHCVGIPKR -DFVQGVQGTSWVNMVLEQGSCVTVMAEGKPSVDVWMESIVFTKPTTVKRISYAATISDNKVAASCPTNGE -AKLDEEHNKEFECKRMYSDRGWGNGCALFGKGSLVACAKFKSTGHMDVYEMDTTKVEYTMKAQVHSGAKA -TDGTMIKAIGFTPVSGTQTVEFPGYGSMGLECHVQSSIDFTTHYLVVIGSDSWLVHKQWVADITLPWAHG -ETDIWRDKSYLVEFGEPHAATVKVLAMGSQEGALRTALAGAMVVTYDSNAKTFKLHGGHVTCKATINNLV -LKGTTYQNCRGGLSFTKTPADTGHGTAVMQVKVTKNAPCRLTAIAADDASGKVNRGTLVTSNPIANAVND -EVLIEINPPFGESYLIVGTGDDKLVYQWKKSGSTIGQLFSETMKGAQRMAVVGSSSWDFSSAGGFFGSIG -KAMHTVFGTAFHGIFGGLSWITRILIGVLLVWLGLNSHNGTATTCFMLSGFIVLFLSLGVGAEVGCSVSW -KQKELKCGDGVFVFNDVNDWTHKYKYHPEDPKRMASLISEAWKRGSCGLSSVSEMEHIMWESVSHEINAI -LDENEIDLVVKVHDSKHIYKRGAKRFPLVNQELNYGWKTWGRSFIFEDNNSNNTFHIDGTNDTCPLEKRV -WNALEVEDFGIGVFQTNVFLRQKADRTNSCEPALMGAAVKGQEGVHADPGFWMRSTEENKTWEIQEIDLA -AYRECEWPETHTINGQGVVESNLFMPKQLGGPVSRQNYVAGYKTQTNGAWGYGRTKVQRKECPGTTVKVD -SECSKRGKSVRSTTVEGKVIKNWCCRSCTLPPLSYWTEDGCWYAMEIRPLETPEKHLVRSWVTAGDAYPA -WSIGLIAMFLFADIMTRTRPTMKMMLAGSIVLLAIMIMGGVSHMDLLRYIIVVGEHFLEQEKGGDVAYMA -IIAAAHLRPGLLGMYFFKGMWSPRQRVILACGLALIQPFLIEHGTASLWDWADSIGLVLLVIQGMVKNKE -KNWALFLLSLCSPVAMSSIQKASLIFSCSALALSLWRGGNTSMRKGAPFLASTLCYTFGLTHAYLSVIFM -FLITQSGRRSWPIGECMAAVGIFGAAFGSFLSEDPTLLGPIALFGIVISIYALFSQGDGLELVRVGDVTW -SDEAAISGEAKRMDVTLNDAGEFKLINEAPVAWTNVTFLILAIVSSSLHPLALVVVLVVWTGWKKNKRSG -VLWDVPVAPKVELVDYLEEGIYKVIQSGLLGTSQVGVGVVKENVFHTMWHVTRGSFLTYNGKRLTPNWAS -VQKDLISYGGTWKLDGAWNGSDEVQLIAVQPGKGAVNIQTKPGIFCLQDGKEIGAVLLDYPAGTSGSPII -DRHGTVLGLYGNGVVLDSGGYASAISQAQPAPPDETETPGIPDMLRKGTFTMLDYHPGAGKTRKHLPNIL -KECERRRLKTLVLAPTRVVLSEMKEALHGVQARYHTQAFSSVVTGRELIDVMCHATLVHRMLEGVRSGNW -EVIIMDEAHFLDPTSIAARGWAHHKSKTRESAVIFMTATPPGSSNEFPESNAEIEDVRKEIPTEPWNKGY -EWILEDPRPTAWFLPSIKAANVIAACLRKANKNVVVLNRTTFEAVYPTIKTKKPDFILATDIAEMGANLP -VERVIDCRTAFKPVLMDNRVSLKGPMKIAAAAAAQRRGRIGRNPDRDGDTYIYSEDTCENNEHLVCWTEG -SMLLDNMQVRGGFVAPLYEEEASKTSLVPGEARLRDDQRKVFRTLVRRFDLPVWLSWNVAKSGLSVEDRR -WCFDGEEDNAILGDNGEVIKARSPGGQRKELRPRWSDARVSNDNGSLVNFIAFAEGRRSTRDLLDILWEV -PNQLGEKIGSSIDTLMILMKSEEGSRAHKLAMQEAPEALTTIILVVLVAMCSLGMVFFLMRPKGIDKMSM -AMVTMAVTGYLLSAGGLTHVQVGGCMLVFFVMMVVMIPESGTQRSINDNKLAYVLIAVGLVVGTVAANEL -GWLEKTKGDLMRMTGTEAPTFSPAWNLVDFRPGAAWSLYVGMATFLTPVFVHWIKNEYGNASLTGITPTA -GILAALNQGVPFVKFNTPVAVLLLAVWNNFTASSMAVALIMLVVHCGFLLPGVRAQCLREAQIRVFHGVA -KNAMVDGNPTVDLEKETSMPDLYEKKLALVLLGAGALANAMIVRSAFSLAELLVLGSAALGPLIEGNTST -MWNGPLAVSVAGIMRGNYYAALGVAYNFWILKSARRGGGQGMTLGEVWKRQLNLLGKREFETYKISDILE -VDRTYAREVMASGKTSIGVAVSRGSAKLNWMMERGYLRPHGRVVDLGCGRGGWSYACSAERLVSSVKGFT -LGKAGHETPRLIESLGWNIIKFKDKCDVGRMQPIASDTLLCDIGESSSNPEIEKERTLKVIEIVRNWMSP -TTSSFCFKVLAPYKPEVIEALESFQLSYGGGLVRNPLSRNSTHEMYFVSGIRNNITHMVNSTSRMLLRRM -SKPSGKQTVIPDVLYPTGTRSVESEAGRLEISKLKDRLERLKEEQPTWFEDKDHPYRTWHYHGTYLAKQS -GTAASMLNGVVKLLSGPWDRIEEVTSMAMTDTTPFGQQRVFKEKVDTRAPEPPKGTREIMAVVNAWLFDY -LSRKKSPRLCTKEEFINKVRSNAALGSILEEQEGWSSAKDAVADPRFWALVERERNLHLEGRCETCIYNM -MGKREKKPAEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWLGRENSKAGVEGTGLQYLGYIVQEVAR -NGNGLVYADDTAGWDTKITEADLDDEQYILSKLDGEHRKLAWAVMELTYKNKVVKVPRPGPGGKVYMDVI -SRRDQRGSGQVVTYPLNTVTNMKVQLIRMAEAENVITKNDVETVSLITLKELQLWLEVHGVNRLKRMAVS -GDDCIVAPVDESFGSALWHLNAMSKTRKDIGEWEESKSWSNWEHVPFCSHHFHTLFLKDGRTIIAPCRDQ -DELIGRARISPGNGWMLKETACLSKAYAQMWTLMYFHRRDLRLMANAICSAVPVDWVPTGRTTWSIHALG -EWMSTWDMLEVWNKVWILDNPHMADKTPVFEWRDVPYVQKSVDRSCGSLLGTSIRASWAETINTSVYRVR -QLIGNERYVDYLATMDRFKSSSTSLVGELI - ->YP_009345019.1 polyprotein [Nounane virus] -MAINTKKPVARRANNMLTRTNKRVTDAMAPVKKIFNEVLTGRGIVRAFLALLMFMRFLSIKPPKHMTERW -KKVKKSEGLKILKGFKSDIGTKLNQLNKRVSKRRGSASLMPLMWGVASAVTFTTFAGFPLMTVEPSDIGK -AIAVPTPSGNVTCYVQANTVGEMCDHSVTYLCPKVDVEREDVDCWCHGGEAYVRYGKCRRGKNRAQRSRR -SVGISAHGSGGLAHKKTRWMSVDASMEHFQRTERWVLRNPGYALIAGLMGWMLGSNRTQKVMFIILLLLV -IPAYSMKCIGVQNRDFITGVKGTTWVDVALEAGGCVTITAQDKPTMDIIFTQSVAKKPAHVRKVCLEASI -TEISHVATCPTNGDAHNPKAKDTLFMCKRELTDRGWGSGCAFFAKGSLESCCKFACKKSYDASVITRENI -EHALEVHIHTGKELYHHGNDSQFAKAKTGAVVNFSPKASEQTVDLGDYGTLGLVCRAEGGVEYETSYVFG -RKDNNKYANGWLANRMWVDDLPLPWTSATSDLWHNREALVDFGETHAVERSVVVLGDQEGMLMKALAGAT -TLDFFEEADTVGVIAGHLTCRIKVENLKIKGATYPVCPNTVVLTKEPVDTGHSTVVVEVKLSTFTMACRL -AVTFTDAAGTKITGRLITVNPIITAADEKVTIEMEPPFGESFIEIGVTADSVKHHWNRKGSSIGDAFYAT -YRGARRMAVLGDAAWDFNSIGGAFNSIGKGVHAMFGKVFTVLFGGLSWISQMAIGALLIWLGVGARNKSI -AIGMMAVGSMLIFLSTSVSAELGCSVDILRREMKCGQGVFIHNDVNTYLEKYRYLPSGPKDLTGSVWAAY -QSGLCGLRSTTRHEHLMWKRLAPEINAILEENQHNLTVKVHNSNNTFIQGRDRLSRGEPMAYGWKQWGKT -RLFDTPETPNVFHIDGGCTYENTAWNVFEIEDFGVGVYYTNVWLQLNQKQNTECDTALTGAVAKGDIAVH -ADQGMWMEAHKNNESKWNLEKLELAEIKSCVWPQSHTLWNGGVTESTMILPPSLAGPRSWHNMRSGYQIQ -TSGPWYRAPVSMKLAACPGTSVVIDRNCTYRKASARSTNNVGQVIPEWCCRACTMPPMSFINEDGCWYAM -EIQPVRAHENTIVRSWVSAGEPMVCDSLSLGVLAMTMMFHRMLRRRWSTGTLVGSSVILMAVMITGQITY -RDMARFVILVGATFAEMNSGGDIMHLALIATFKIQPLFLVAYVIRDRWSPNESLMLAAAAAMFQLVAVGI -PALETDTFANMLQAIGLAILTMKALARPSTSTVSIPLLALLSPFGTWTVLGAFRFFIGTMVVTGLLSDKK -RTSERKNEDVFSFGVLTHHFLGVSPWLMIAAGYWTGRTTKTTKRSVPQSEVMAIVGVLFATLGALTAPGD -MQFAVPAIAGAVLLLAVTINGKGVDLEIIRQAPVTWNPEAEITGAAVRADVTRNENGDFELVQTVGNTVE -RTLTMIALLVLTGFVPYLGIVAWVLWWFYEENRKRSNDTPIVGPFWDVPEATAWERAERTDGVYRIMKHG -WFGKQQAGVGVMQEGVFHTMWHVTQGAALRLERDRLDVHWASVESDLISYGGGWKLKTTWDGESEVQLLA -VAPNRKEAVNVQTRPGKLKTIDGEIGAIALDYPTGTSGSPILNSSGAVIGLYGNGIKISGSYVSAISQAN -PKDCDDAVAEVNRSITFKGKITMLNMHPGSGKTRNAIPKILDLALADNIRTLVLAPTRVVAVEIANALKN -YNVRYKTSAVNKQHTGKELIDVMCHATYTSQCLKPGPEINYGLFIMDEAHFTDPASIAARGIITSRARVG -DAAVVLMTATPPGETEAFAQSNAPIEDKETMIPSAAWNTGYEWITDYDKKTVWFVPSIRKGFEIANCLMK -AKKRVLVLNRRTFNENYNKARHGEWDFIVTTDISEMGANFHADRVIDTRDCYKPIIRNEEGMERVVLEGP -IPITTASAAQRRGRVGRNPEQITDQYIYCGTPNDDDAHHVHWLEARMLLDNIRLRDRLVAQLYEPEQGKV -AETSSTFRLRDDQRKTFVDLMHRGELPIWLAYQVAKENITYGDRAWCFDGVKENRLTEMEGQEALVTTTT -GAVKKLCPRWSDARVHSDSAALDSFKAFAGGKRSAVDLWSVIAQLPAHMNQRWQDAIDQLTVLGTSVPES -RAYREALSMLPEALESIILVVGASMLTMGVFWWMMKGKGISKMTLGLFTMIAVTWLLRESAVSPVRIAGT -LIVMFVLLVVLIPDEGKQRSMVDNEITKIILLVLILVGAVAANEYGMLETTKSDFARFFPKSTVETSKMA -LDWFKLPDIKPGTAWSLYAVITTFITPGLQFFTHSYYNAVSLAAMTPTASLLMSVANGWPLVTANTNVWM -MLMGAWSHIDQWALLGAAGMIVVHYALLLPGIIASSSRAAQQRTAVGIMKNTMVDGNPMVDIEPAPQVGA -KYERKIGMIMLALGAAFSCLITRSLPSLCEAGVLLSAALATLLEGAAHKIWNTSTAVCLSHVMRGGWIGA -VVLVYNMMKNTNVVTRKGTAGGRTLGIMWKQRLNTLRKLDFEAYKKRGIWEVDRTQAIEALSRKDDSTGW -SVSRGTAKLNWILERSYLRPHGHVVDLGCGRGGWSYLVAGERKVRSVQAYTKGGFGHEEPKMVKNYGWNL -INFKSNTNVMWLETKPCDTLMCDIGESSSDPHIEEERTLKVVEMFERWLKEQRPDQFVCKILCPYGPRIM -EKLDKCQKIYGGGLVRVPFSRNSTHEMYWVSEAKGNVHSAINALSASLMRRFDHHERTHMKDDVIMNTGT -RRTEGQAKTPDMKVLGPRLEKLKNEYASWTFDEEHPYRTWSYHGSYETKTSGSASSMVNGVVKLLSTPWN -YISEVVNVSMTDTTPFGQQRVFKDKVDTMPKEPSGGTKDIMDIVSRWLWAYLARNKKPRICTKEEFIAKV -NSHAAIGAVFKDEAQWSSAKEAVKDPKFWELVDEERENHLKGTCLTCVYNMMGKREKKHTEFGEAKGSRA -IWFMWLGARFLEFEALGFLNEDHWLERENSSAGVEGMGVHKLGYVMRDIAKKPGGKIYTDDTAGWDTRVT -EWDIRNEELVCEYMDEHHRKLAEAMFKYAYKHKLVRVMRPGKRGITWMDLISCTAQRGSGSVITYACNTE -TNMVVLLVRMLESEGIITAEDLLFLRPFVRLLIINWLKEHGVDALERMAVSGDDSAVKPKDDRYAKSLIF -LNDMSKIRKDMPEWAPSIGWNNWEEAPFCSHHFHTLIMKDGREIVVPCRAQHELIGRARVSPGAGWTVKE -TAGLAKAYAQMWTLMYFHRRDLRLMANAICSAVPVNWVPTGRTTWSLHGKGEWMTTEDMLDVWNRVWITE -NEHVTDKTKVTSWNDVPYLRKRHDMHCGSLIGHTMRATWAANIQVAVRQVRAVIGSEKYKDYLGSMLRYG -GSESEELCGVSW - ->YP_009344969.1 polyprotein [Jugra virus] -MVNPKGVNVVAGRAKRLAQKTRRKAVQVGRGIKGFVLFVLTQLFMGRRLTPDVKKLWKKTDKNSLMTVLK -RIKNLVNNLIKGVSGRKKRRSNGVPIMLTMLVFGALGASVSRHGHHSLLNVTKSDTGKTLSLRHGNCTVV -ASDVGKWCPDNVEYDCITLQENEEPDDLDCWCYGIPNVRVTYGRCREDSQSRRSKRAVVITAHLDQGLVT -KKETWLGSSHFETQVQRVEKWIIRNPTYAVAALLMAWYVGNNTKQRVVLLLLTLALGPAYATHCVGIPKR -DFVQGSQGTSWVNLVLEQGSCVTVMAEGKPSVDVWMDTIAFTNPTIIKRISRTATVSENKIASACPANGE -AKLDEEHNKEFECKRMYSDRGWGNGCALFGKGSLVACAKFTSTGHKDVYEMDMTKVEYTIKTQVHSGAKS -GDATLVKSIKFTPVSGTQTVEFSGYGTLGLECHVQSAIDFTTHYLVVIGSDAWLVHKQWVADVTLPWSHG -DANVWRDKNYLVEFGEPHAATVKVLAMGPQEGALRTALAGAMVVSYDSGSKTFKLHGGHVTCKAMVNNLV -LKGTTYQNCRGGLSFTKTPADTGHGTVVMQVKVTKNTPCRLTAIASDDASGRVNRGTLVTSNPVANSAND -EVLIEINPPYGESYLIVGVGDDKLVYQWRKSGSTIGKLFSETMKGAQRMAIVGSSSWDFSSAGGFFGSVG -KALHTVFGTAFHGIFGGLSWMTRILIGILLVWLALNSHNGTATMVLMISGFVVLFLSLGVGAEIGRSVSW -KQKEIKCGDGVFVFNDVDDWLNKYKYHPEDPKRMASLISEAWNKGACGLNSVSEMEHIMWTRVASEINAI -LNENEIDLFVKVHDSKHVYKRGSKRFPLVSRELSYGWKTWGRNFIFEDNNSNNTFHIDGDNTTCSLEKRV -WNGLEIEDFGFGMFQTNVFLRQKADRTNTCDSALMGAAVKGADGVHADPGFWMHSLESNKTWEIQEIELT -AYRECEWPETHTINGQNVMESNLFMPKKLGGPVSRQNFASGYKVQTNGAWGYGKTKIQRKECSGTTVKVD -PECQNRGKSIRSTTVEGRIIKNWCCRSCTLPPLSYWTEDGCWYAMEIRPLETPEKHLVRSWVAAGDAYPA -WSIGLIAMFLFADMLTRTRPTAKTMLAGSILLLAIMIMGELSYMDLLRYIIVVGEHFLEQESGGDVAYMA -IIAAAHLRPGLLGMYFLKGMWSPRQRIVLACGLALMQPFLMENVTEHIWEWADAIGLVLLLVQGMIKNKE -KNWALFLLSLCSPAAMESIQRAAMIFACGALALTLWRGGNTSLRKGAPILASVLGYSLGLTHTYLSVIFI -LCMTTHGRRSWPIGECLAAVGLLGAAFGSFLSDDPTLLGPLALFGIAISVYALFSQGDGLEISKVGETTW -SDEAAVSGEAKRMDVSLNDAGEFKLLNETPVAWLNVMFLVLAILASSLHPLALVAVLVSWSGWKKSKRSG -VLWDVPIAPKVETAEFLEDGIYKVTQSGLCGTSQVGVGVVKDNVFHTMWHVTRGSFLTHKGKRLTPSWAS -VQKDLISYGGSWKLDGAWNGADEVQLIAVQPGKGVSNIQTKPGVFVLPDGKEIGAVLLDFPSGTSGSPII -DRQGNVLGLYGNGVVLESGGYASAISQTEASLPDEVDTPGIPNMLRKGEFTMLDYHPGAGKTRKHLPNIL -KECEKRRLKTLVLAPTRVVLSEMKEALHGVSARFHTQAFSNTTTGRELIDVMCHATLVHRMLEGVRSGNW -EVIIMDEAHFLDPTSIAARGWAHHKAKTRESAVIFMTATPPGTTNEFPESNSEIEDVRKEIPTEPWTKGY -EWILEDPRPTAWFLPSIKAANVIAACLRKANKNVVVLNRSTFENVYPTIKSKKPDFILATDIAEMGANLP -VERVIDCRTAYKPVLLDDRVSLKGPMRIAAAAAAQRRGRIGRNPDRDGDTYVYSEDTCENNDHLVCWTEG -SMLLDNMQVKGGFVAPLYEEEAAKTSMTPGEARLRDDQRKVFRTLVRRFDMPVWLSWNVAKAGLTIEDRR -WCFDGEEDNAILGDNGEVIKARSPGGQRKELKPRWSDARLSNDNTSLVNFIAFAEGRRSARELMHVMWSI -PNQLTEKLGSSIDTLMILLRTEEGTRAHKLALQEAPEAVTTVLLVLMVGICSLGLIFMLLRPKGFDKMSM -AMVTMAVTGYLLSAGGLTHVQVGGCMLVFFVMMVVMIPESGTQRSINDNKLAYVLIAVGLVMGTVAANEL -GWLEKTKTDLQRLTGFESPSVTPTWSFVDFRPGAAWSLYVGMATFLTPVFVHWIKNEYGNASLTGITPTA -SILTALNQGVPFVKFNASVAVLLLSVWNNFTASSMAVALTMLVVHSGFLLPGVRAQCLRDAQIRVFHGVA -KNAMVDGNPTVDLEKEIGMPDLYEKKLALALLGLSAVANAMLVRSAFSFAEMVVLGSAALGPLLEGNTST -MWNGPLAVSVAGIMRGNYYASLGVVYNFWLLRSSRRGGGQGMTLGEVWKRQLNLLGKKEFEKYKISDIIE -VDRSHAREVLASGKTATGVAVSRGSAKLNWIIERGYLKPHGRVVDLGCGRGGWSYTCAAERSVSIVRGFT -LGKNGHESPRLIESLGWNIIKFKDKSDVQKMEPVTSDTLLCDIGESSSNPEVEKERTLRVIENMRRWMSP -STSAFCFKVLAPYKPEVIEALESFQLTYGGGIVRNPFSRNSTHEMYYVSGVRNNITHMINSTSKMLLRRM -SRPSGKQTVIPDLIYPTGTRSVESEAGKLDYGKIQGRLERLKEEQPTWFEDKEHPYRTWHYHGTYLAKQS -GTSASMINGVVKLLSGPWDRIEEVTAMAMTDTTPFGQQRVFKEKVDTKAPEPPKGTREIMAVVNSWLFDY -LGRKKSPRLCTKEEFIQKVRSNAALGSILEEQEGWSSAKMAVEDPRFWALVERERALHLEGRCETCIYNM -MGKREKKPAEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWLGRENSKAGVEGTGLQYLGYVVKDVAD -KGNGIIYADDTAGWDTKITEADLDDEQYILGKLDGEHKKLAWAVMELTYKNKVVKVPRPGPGGRVYMDVI -SRRDQRGSGQVVTYPLNTATNIKVQLIRMAEAENVITKNDVETVSLLTLKELQLWLEVNGVNRLKRMAVS -GDDCIVAPVDESFGTALWHLNAMSKTRKDINEWEESKSWSSWENVPFCSHHFHTLFLKDGRNIIAPCRDQ -DELIGRARISPGNGWMIKETACLSKAYAQMWILLYFHRRDLRLMGNAICSAVPVDWVPTGRTTWSLHGQG -EWMSSDDMLEVWNRVWIVDNPHMTDETPVFEWRDVPYIQKSLDKSCGSLLGTSLRSSWAETIRMSVHRVR -QLIGNERYQDYLGVMDRYRTIAGPTVGDLI - ->YP_009344968.1 polyprotein [Uganda S virus] -MVNPKGVNVMATRAKRVAQKTKKRVVQVSKGLKGFVLYMLTELFMGRKITPNVKQLWKKSDKNSLIHVLT -KIKRIVGNLLKGVSGRKKRRSVEATGFVSTLLFGIVLTASVSRHAHHTLLNVTKEDTNQILTLRNGNCTV -VSMDVGSWCDDNVEYDCVTLQDNEEPDDVDCWCYKVNGVRVTYGKCREGNTPRRSKRAVVITAHLDQGLT -TKKETWLGSSHFETQIQKIEKWIIRNPTYAIAAIIMAWYIGNSTKQRVVLLLLTLALGPAYATHCVGIAK -RDFVQGVQGTTWVNVVMEQGACVTIVTEGKPSVDVWMEGITFSSPTMVRRISHTATISDTKISSACPSTG -EAKLDEEHDKTFECKRTYSDRGWGNGCGLFGKGSIVACAKFTSTGHMDVYEMDMTKVEYTIKTQVHSGAK -SDDTTGVKEIKFTPVSGTQTVEFPGYGNLGLECIVQSDIDFTTHYLVVLGTDAWLVHKAWVEDVTLPWKH -EGAASWRDKQYLVEFGEPHAATIKVLVLGSQEGALRTALAGAMMVTHDSSAKTFKLHGGHVTCKAKLNDL -VIKGTTYTNCRGGLSFVKTPTDTGHGTVVMQVKVSRNAPCRLTVIAADDASGHVNRGTLVTSNPIANSNN -DEVLVEVNPPYGSSYLIVGTGDDKLVYQWKKSGSSIGKLFSETVKGAQRMAIVGSSSWDFSSAGGFFSSV -GKALHTVFGTAFQGIFGGLSWITRVLIGVLLIWLGLNSRNGMATTVMMITGFVILFLSLGVGAEIGCSVN -WGQKELKCGNGIFIYNDVDDWFNKYKYHPEDPKTMAALIEKAWKKGACGLTSATELEHVMWTKLHSELNA -ILEENEIDLTIQVHDSRSVYKRGQKRFPRVETELSYGWKTWGKSFVINPVATNNTFHIDSKEEQCPLSNR -VWNSLEIEDFGFGVFYTNVFLRQKTDRTNNCDTALMGAAVKGDVAVHADPGFWLASHEVNGTWEIKTIEF -VAYRECEWPHSHTISGNQVMESDMFMPKSIGGPISHLNHVPGYKVQVNGAWAYGKSIVQRDFCPDTTVKI -DEQCQDRGKSIRSTTTEGKVIKEWCCRSCTLPPMSYWTSEGCWYAMEVRPMKTPEKHLVRSWVAAGEAYP -SWSIGLIAMFLFVDIFSRSRPTAGVMIGGSVFLLAIMIMGELSYLDLFRYIIVVGEHFLEREAGGDVAYM -AIIAASRLRPGLLALYFVKSSWSPKQRVILALGCALLQPMLATHIEPTVWEWADSIGLVLLVIQGMVRNK -EKNWALLLLAFCSPVSAITIRKASMILGVGGLFLSLWRGGGSSMRKGLPLAAAALTRLLGLTQAHLSVLF -ILLITQNAKRAWPIGECMAAVGIMGAAFGTVFSEDETLLGPLAITGIVLVIYTMFAQSDGLEITKVADVS -WSDEAVITGESRRFDVALNDSGEFKLLDEPPISWYNVGFLVTAIIASSVHPVALVVVLIGWSYWRSEKRS -GVLWDIPVAPKIETCDHLDDGVYRITQKGLFGQSQAGAGVVKDGVFHTMWHVTRGAFLLHQGKRLTPTWG -SVKKDLISYGGNWKLESTWNGVDEVQLIAVVPGKPVSNVQTKPGVFMMPGGEEIGAVLIDYPSGTSGSPI -IDRHGNILGLYGNGIVLENGSYASAISQTQVEKTEEVETPGLNKILRKGEFTMLDFHPGAGKTRKHLPNI -LRECEKKRLKTVVLAPTRVVLSEMKEALTGVQAKFHTQAFNAASTGRELIDVMCHATLVHRMLEGVRTGN -WEVIIMDEAHFLDPTSIAARGWAFHKAKTRESAVIFMTATPPGTSNEFPESNAEIEDIRKDIPTEPWNRG -YEWILEDKRPTVWFLPSIKSANVMAACLRKANRNVVVLNRSTFENVYPTIKTKKPDFILATDIAEMGANL -PVERVIDCRTAYKPVLMDGRVALKGPLRIAAAAAAQRRGRIGRNPDRDGDTYVYGEDTCETNDHLVCWTE -GSMLLDNMQVKGGFVAPLYEEEAMKTSMAPGECRLRDDQRKVFRTLVRKHDLPVWLSWQVAKAGLAIDDR -KWCFDGEEDNAIVGDNGEVIKARSPGGQRKELKPRWSDSRVSNDNTSLMNFLAFAEGRRSAFFTILGSIP -AQLSEKLTQSIDVLTILMKSEEGTRAHKLAMQEAPEAVTTLLLVVLVAICTLGLVFILMKPKATDKMSMA -MVTMVVCGYLMNLGGLSHAQVGGVLLVFFIMMVVIIPEAGTQRSINDNKLAYVMIVVGLFIGAVACNELG -WLERTKADIFGKKINAEPAGLFPTVAWNWMDFRPGAAWSLYVGMATFLTPVFVHWIKNEYGNASLTGITP -TAGILSALNQGVPFMRLNTSVAVLLISVWNSFTAASMFAAIIMLAGHCLLVLPGVRAQCLREAQMRVYHG -VAKNPVVDGNLTVDMEKETEMPDLYEKKLALVALGLAALLNASIVRSALTTAELVVLGSAAVGPLLEGNT -SSIWNGPLAVAVTGVMRGNYYSMVGIVYNLWLLKSARRGGGSALTYGEVWKRQLNLLGKKDFETYKVSDI -LEVDRTHARNELGKEEDATGVAVSRGSAKLNWLIERGYVKPNGRVVDLGCGRGGWSYACAAERLVTSVKA -YTLGKTGHERPRMIQSLGWNIIKFKDKVDVSRLAPHDSDTLLCDIGESSSNPEIEKERTLKVIGIMSTWM -SPNTNAFCFKVLAPYKPEVIEALEKFQLKHGGGIVRNPFSRNSTHEMYFVSGIRNNILHMVNSTSKMLMR -RMARPSGRQTIVPDIIYPMGTRSVASEAGPIDLGKIQDRLKRLQEEQSGTWFTDAGHPYRTWHYHGSYIA -KQSGTAASMINGVVKLLSGPWDRIEDVTSMAMTDTTPFGQQRVFKEKVDTRAPEPPAGTREIMKVVNKWL -FDFLARSKTPRMCTKEEFIEKVRSHAALGGILEEQEGWSSASQAVADPRFWRLVDRERQAHLEGRCETCI -YNMMGKREKKPSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWLGRKNSKAGVEGIGLQYLGYVVQE -VAKNGNGLIYADDTAGWDTRITEADLDDEQYILEKMNGEHKKLAWAVMELTYKNKVVKVPRPGAGGKVLM -DVISRRDQRGSGQVVTYPLNTATNMKVQLIRMAEAENVITRNDVDRVSLVTLKDLQLWLEVNGVDRLKRM -AVSGDDCIVAPIDESFSTSLHHLNAMSKVRKDIPEWEPSRGWSDWEHVPFCSHHFHTLHLKDGRTIIAPC -RNQDELIGRARISPGNGWLVKETASLSKAYAQMWNLMYFHRRDLRLMGNAICSAVPVDWVPTGRTTWSLH -AKGEWMSSEDMLEVWNRVWIQDNPHMKDKTPIFEWRNVPYLQKGQDRACGSLVGTSLRASWAETIHISIH -RVRQLIGNERYVDYMENMDRFSTKTSGTYGELI - ->YP_009344961.1 polyprotein [Bouboui virus] -MVNPKGVNVMATRAKRVAQKSKKKMVQIGKGLRGFVMFVLTQLIMGRKLSPTVKKLWRNTDKNNLITVLN -KIKKVVTNLLRGVSGRKKRRSSSGSLTMVFMFISTALGASIFRQGSFTILNVTKEDTHKALAIRDGNCTM -VATDVGTWCDDNIEYDCPNLQGNEEPDDLDCWCYDVPNMRVTYGKCRHDGSHKRSRRAVVITAHLDQGLT -TKKEVWLGSSHFEQQVQKIERWIIRNPTYAIAAIVMAWYIGNSTKQRVVLLMLTLALGPAYATHCVGIPK -RDFVQGTQGTTWVNVVMEQGGCVTVMADGKPSVDTWMEGIKFTAPTLVKRISHTATISDTKIATACPSMG -EAKLDEEHNKEFECKRTFSDRGWGNGCGLFGKGSLVACAKFKSTGHMDVYEMDMTKVEYTIKVQVHSGAK -ADDSTGVKSLTFTPISGTQTVEFTGYGTLGMECSVQSNVDFTTHFLVVIGNDSWLVHKAWVEDITLPWMH -EGAGAWRDKRYMVEFGEPHATTIKVLALGPQEGALRTALAGAMMVTHESSGKTFTLHGGHVTCKAKLTGL -VLKGTTYTNCRGGLSFTKTPTDTGHGTVVMQVKVTRGAPCRLTAIAADDASGHVNRGSLVTSNPIANANN -DEVLIEINPPYGLSYLIVGTGDDKLVYQWKKSGSSIGTLFSETVKGAQRMAIVGRSSWDFSSAGGFFSSV -GKAFHTVFGSAFHGIFGGLSWITRILIGVLLIWLGVNSRNGMATTVMMLLGIVILFLSLGVGADMGCSMS -WKQKELKCGNGIFLYNDVDDWLHKYRFHPEDPKKTAHLIYTAWKKGACGLSSTSELEHIMWTKTRDEINA -ILEENELDLQVVVHDNRSVYSAGSKRFPRVETELSYGWKTWGKNFVLSASTSNNSFHVDGSLSLCPSSKR -VWNSLEIEDFGFGVFHTNVYLRQRADRSRECDTSLMGAAIKGDVAAHGDPELWMQSHEVNGTWEIDTIDL -LSYRECQWPATHTIHGTSVVESDLFMPKTLGGPVSHLNHYLGYKSQVHGAWAYGKTTVSRKECPGTTVKI -DQSCPDRGPSIKSETLEGKTIPEWCCRGCTLPPVSFQTSEGCWYSMEIRPMHTAENHLIRSWVAAGDTYP -AWSVGLVACFLFVDILAKNRPTTKSIFVGSIVLLTLMILGEFTYLDLIRFVIVVGQHFIERETGGDVAYM -AIVAAAHLRPGLLGLYALKQSWSPKQRLILTIGCAILQPILTRHALPSILEWADSLGLVMLVIQGMVKSR -EKSWALLLLALCSPVAMPVIQKSSMILGICGLILSISQHGSSTLRKRSPFLIAAVTHACGLTRSYLSIIS -ILLLTRTGKRSWPIGECLAAIGILGAAIGTWSDGNENLVGPLVILGLILVVYTMCAQGDGLRLEKAASAS -WCDEAEVSGEAKRFDVTLNDSGEFRLLNEPPVSWMNVGFLVAAITASSVHPGALIVVLASWSYWKSPKRG -GVLWDIPIAPKVEHCDNLGDGVYRVMQTGLFGSSQVGVGVAKSGVFHTMWHVTKGAFLLVGDKRLIPSWG -SVRKDLICYGGSWKLEGTWDGNDEVQLIAVPPGKEPLNVQTKPGVFRMQNGEEIGAVVLDYPAGTSGSPI -INRQGEVVGLYGNGVVLDNGAYASAISQTAVQESDHVDTPDIDHMLKKGSFSMLDYHPGAGKTRKHLPNI -LRQCVAKRLRTLVLAPTRVVLSEMKEAFGNIPARFHTQAFQAQFTGREIVDVMCHATLVHRLLEGTRTGN -WEVIIMDEAHFLDPTSIAARGWAYHKSKLRDSAVIFMTATPPGTTNEFPESNADIEDVRKDIPSEPWSKG -HDWILEDARPTVWFLPSIKSANLVAACLRRAGKNVIVLNRSTYEQVYPTIKLKRPDFILATDIAEMGANL -PVERVIDCRTAFKPVLHDGRVTLKGPLRIAAASAAQRRGRIGRDPNRDGDTYVYAEDTTEENHDLVCWTE -GSMLLDNMQVPGGFVASLYEEEAAKTQKVPGECRLRDDQRKVFRTLIRRHDLPVWLSWQVAKSGIQAEDR -RWCFDGPLDNVILGDNGEAIMARSPGGARKELKPRWSDSRVCNDNTSLMSFVAFAEGRRSALGQVLWELP -VQMVTKFTQSIDTVSILLSAEEGTRAHKAALRDAPEAFVMIALTTMLTCCSAGLLFMLMRPKATNKMSMA -MLTMAVAGYLLNLGGMTHTQVGCILLIFFLIMVVIIPESGTQRTINDNRLVYVLISVGLLVGAVACNEMG -WLEETKKDIFGTPSQSDSPTGFHVDWSIMDFRPGAAWSLYVGVMTFLSPILIHWTKNEYGNASLSGITPT -ASILTALNQGVPFMKFNMSVIVLIVSVWNNFTASSMVAAMLMVVMHAMIVIPGVRAQCLREAQIRVYHGV -AKNPVVDGNPTVDLDKEMPMPDLYEKKLALVLLGSAALLNTLVARSTMATAELLVLGSASIGPLIEGNTS -MVWNGPLAVAVTGVMRGNYQALIGVCYNIWLLKSTKRGGGHGMTMGEVWKRQLNLLSKKEFEEYKISDIM -EVDRRHARDELASGNTATGVAVSRGTSKLNWLAERGYVRPHGRVIDLGCGRGGWSYFCAAQKQVSSVKGY -TLGKEGHEKPMVIQSLGWNLIKFKDRVDVTRLEVEPSDTLLCDIGESSSNPEIEKERTLKVIDLVDKWIT -PTTESFCFKVLAPYKSDVIERLERFQLKHGGGVVRNPYSRNSSHEMYFVSGIRNNILHMVSITSRLLLRR -MARPSGKSHTTEDVMFPTGTRSVCSEAGPLDQEKVDDRINRIKQEQDSWFVDKDHPYRTWHYHGSYVCKQ -SGTAASMLNGVIKILTGPWDRIENVTTMAMTDTTPFGQQRVFKEKVDTRAPEPPAGTRAIMRLVNAWLFD -HLSREKKPRLCTKEEFIDKVRSHAAIGEILEEQEGWKTAAEAVKDPRFWSLVDKERQCHLEGKCRTCIYN -MMGKREKKPSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWMSRKNSGSGVEGLGLQYLGYVVREVA -EQGNGLIYADDTAGWDTRITEADLDDEQYLLRGMDPYQRQLAWAIMELTYKHKVVKVPRPGIGGKVFMDV -ISRQDQRGSGQVVTYALNTATNMKVQLIRLAESEHVITRNDVNSVSPRTLKELEEWLNNEGVNRLKRMAV -SGDDCIVAPIDESYGSALHHLNAMAKTRKDVTEWEESKGWRDWEQVPFCSHHFHEVQLKDGRTLIVPCRD -QDELIGRARISPGNGWMIKETAALSKSYAQMWALMYFHKRDLRLLSNAVCSAVPVNWTPTGRTTWSIHAK -GEWMTTQDMLEVWNRVWIENNPYVQDKTYVMEWRDVPYLRKSMDIMCGSLIGTSIRASWAGTIRTTVHKV -QQLIGRETYVDYLSSMDRFTTQLEEYGHLI - ->YP_009333117.1 protein pr [Murray Valley encephalitis virus] -LKLSTFQGKIMMTVNATDIADVIAIPTPKGPNQCWIRAIDIGFMCDDTITYECPKLESGNDPEDIDCWCD -KQAVYVNYGRCTRARHSKRSRR - ->YP_009256192.1 polyprotein [Edge Hill virus] -MPVRPRNKPKGVNVMAAGKVAQKIKNKLKSKAKAIGNISKGLRGFILFILAQIFWARKLTPRVRTMWKKV -DKAKATRVLKGIRNIATQLITGLAGRKKRRSMTHGIILSLGVTMVIGASLHHHGGRYLLNVTHADLGKTF -TIGSGNCTANIVEAGSWCSDSMEYECVTLAEAEEPDDIDCWCRGVERVRVTYGRCKNGLDSRRSRRAAVI -TAHIDKGLTTRQEKWLSTSMGERQIQRIERWMMRNPFYAAISLLLAWWVGSDIKQKVLIAFLVLAIGPAY -STHCVGIPKRDFVQGVQGNTWVNLVLDQGSCVTLSSDNKPSVDIWLDSIFISSPVLVRRVSHTATISDTK -VQTACPTNGEAKLEEEASAEYECKKTYSDRGWGNGCGLFGKGSIVACAKYTSTGHMDVYEIDSTKIEYVT -KAQVHAGMKHDDTTMVKEVKFEPTTGSMDVEFTGYGTLGLECHVQTMVDMANYYLVVMGQEAWLVHKQWV -EDITLPWKIGEGGFWRDKHYMVEFTEPHATTMTVMVLGAQEGALRTALAGAMVVTYTDSSGTKKFSLKGG -HVSCKARMNGLVLKGSTYTMCKGGFSFVKTPTDTGHGTAVMQVKVSKGTPCRIPVQAVDSSNGGTNRATL -ITANPIAATTEDEVMIELSPPYGESYIMIGTGDDKLTYHWHKSGSTIGSLFTETYKGAQRMAIIGDDAWD -FSSSSNFFNSIGKALHTVFGNVFHSIFGGLSWITKIILGGMFLWLGVNSRNQTMCMVLMAVGGILLFMTL -GVSGEVGCSLDIKRRELKCGDGLFLFNDVNDWTHKYKFHPEDPKLLASLIKKSHQEGRCGLSSVNEVEHR -MWNSIKTEINAMFEENGVDLSVVVKDSKLHYKMGSHAFPKVEEGLSLGWKNWGKSLVFEPKQSNVSFIID -GTSEDCPFTNRIWNAFVVEEFGIGMFTTNVFLTHKVDFTKQCDASLLGAGVKGDVAVHGDPTLWMESRKE -NGTWQLHTIQMNGLRECFWPQTHTIHGSSVMESAMFLPKQYGGPVSHHNHYTGYAVQTAGPWNVQPLIVK -RETCPGTQVRVDEQCRDRGNSVRSTTSEGKIIPEWCCRSCTLPPVSFWGPDSCWYAMEIRPQNVHEEHLV -RSWASAGTGMAESSLGLVALFLFTDIFARKRMTRKFMVIGCLGVLSVMIVGGFTALDLIRYIIVVGQHFA -SMNHGGDVAYLAIIAVGKLRPGLLMMYSFKAAWSPKERVMVALGLLVFQAVLGDFVHTGLWEWADAAGMC -ILIIQGMATRKEKTYIMPILALLTPLSMEIIRKTGIFACVGLLGLSLWRGGDTTMRKGMPLLAGAATAAS -GLTRASLSVVFILCATAASRRSWPIGEIMAIVGIVGTGFGMAVNDQASLAGPMLVFGLIMIVYATLGRAD -GLTLKRVGDITWEEEAVHSGSSTRYDVTLNEAGEFKLVHEEPVVWSHVVFLVVALIAASVHPIALVVVTI -IWTYGKKHLRGGVLWDIPIAPPVEEAEPLEDGVYAILQSGLMGKAQAGVGVAQEGVFHTMWHVTRGGFLM -VGGKRLTPHWASVKRDLICYGGNWKLDGKWDGVEEVQLIAVAPGKAPTNVQTKPGVFRMADGTEIGAVAL -DYPSGTSGSPIVNEKGQVIGLYGNGIVIGGSGYVSSIAQIAGGEGVTEEPLLDTATMLRKGKLTVLDYHP -GAGKTRIFLPYILKECVRRKLRTLVLAPTRVVLSEMREALRDVAVKYHTQAFQAAGTGRELVDAMCHATL -SHRMLESSRSVNWEVIIMDEAHYMDPTSIAARGWAAHKANNHESAVIFMTATPPGSANEFPESNGEIEDL -RRDIPTEPWNKGHEWILEDRRPTVWFLPSIRAANNIAACLRRSERSVVVLNRQTFETVYPTIKTKKPDFI -LATDIAEMGANLGVERVIDCRTSYKPVLTTDGRVVIKGPLRIPASAAAQRRGRVGRCKDRDTDSYVYSEE -TSEDNGHYVCWTEASMLLDNMEVKGGMVAPLYDVEAQKTEMVPGEARLRDDQRKVFRTLIKRYDLPVWVS -WQVAKSGLMLEDRKWCFDGDDENTILNDNGEKILARSPGGQRKFLCPRWNDSRLYYDNASLMSFLAFAEG -RRSYLGVWHAVQMAPLKLGEKLTESLDTMVMLMRSEEGTRAYKLASTNAPEAVTILLMTGIVVACTLGVG -LAFMWPKGVDKMSMGMITMSIAGYLMLQGGLTPVQVASVLLIFFIFMVVLIPEAGTQRSINDNKTLYVLL -GVALLIGAITANEMGYLEKTKRDLLGERVQNEWKLELPMFDLRPGAAWSIYVGLATLVMPVLDHWIRTEY -GSLSLTGIAQQASILQAMDKGVPFFKLNMSVIVLLVSVWNNFSMLSVLCGVGLLGVHCAFVLPGLRAQAA -KQAQRRVYHGVAKNPVVDGQTTAEIETAPEMPPLYEKKLALVLLGVVAIANGVMVRSAFSMAETVVLLSA -AVGPLLEGNTSAIWNGPMAVAMAGIMRGNYYAGIGLAYNLWILQSPKRGRSTTMTLGELWKRQLNLMGKR -EFELYKITDIHEVDRSQAQAVMKAGIDNVGISVSRGTSKLKWMVDRNYVEPLGRVVDLGCGRGGWSYLCA -ASKRVSSVKAYTLGITGHEKPVNVQSLGWNIIKFKDKTDVFKMEPHACETLLCDIGESSSNPLVEMERTL -KVIDNVERWMSPTTESYCFKVLAPYRPEVIERLERFQLKYGGGIVRVPFSRNSTHEMYYVSGVKNNLTHM -VSCVSRLLLRRMTHPDGRCKVEADVVFPTGTRNVASDLGPMDLSKVKDRVNRLRSEQGTWFQDDSHPYRT -WHYLGSYVAKQSGSAATMVNGVVKMLSMPWDRIENVTQLAMTDTTPYGQQRVFKEKVDTRAPPPPPGTRA -IMEVVNKWMFDFLAREKAPRICTKEEFINKVRSNAALGNMLEEQDGWKDAATAVQDPRFWALVDRERQVH -LEGRCETCIYNMMGKREKKPAEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFGRENSLAGVEGVGL -QYLGYVVKNVWEKSNGIMYADDTAGWDTRVTEADLDDEQYLLSKMEGYHKKLASAVMNMTYKYKVVKVPR -PGPGGKVFMDVIARQDQRGSGQVVTYPLNTGTNMKVQLIRMAEGEGVISRHDIERVTIKTLNALRVWLAE -NGAERLSRMAVSGDDCVVAPLDERFGLALHHLNAMSKIRKDIDDWTESIPWRSWESVPFCSHHFHQLFLK -DGRSIVVPCRDQDELVGRARVSPGNGWKLKETACLSKAYAQMWLLMYFHKRDLRLMGNAICSSVPAHWVP -TGRTTWSIHAHNEWISSERMLDVWNKVWIVDNPHMPDKTCIDDWRDVPYLPKSQDRLCGSLIGITARASW -AENIRAVVNKIRGMIGNEVYSDHLSVMGRYTYSVQEVGTVL - ->YP_009169331.1 polyprotein [Paraiso Escondido virus] -MVEKTRVGSRVKTRGVNMIRRTGKTVKTVSRNARKNVERGAMVIRATIVAMIMSLFSGRKPNALLKKRWH -ALDKVRGMKLLRALKNIVSSLMRGLAGKKKRRNGGTAFPFMVLLMMIVCYVNARPTTTEEDVIERVSTTP -GAEDYPVVTSIGKWLFINATGQKQGSEIVVGEGRCTLMMTDIGAWCSDRQTYQCMTIADSESPEDIDCWC -RGQDLVAVTYGRCREHRHRRRSPRSIAMPVHAGSGLTTRKENWLETYGTRHFQKVERWMIRNPMYVLIVS -IIGCAIGSTLSQKLIITGLMFMVGPAYGTHCLTLQNRDFVQGVSGATWIDVVLEEGSCVTIRSPDKPSID -LWVVNIAHKEAAIVRTVCYSATISEISSAAACPTQGEGHLEVEKDRNFICDRTYSDRGWGNGCGLFGKGH -IITCAKFNCDHVLSAYELQKENLKYRINAQMIGGAGAKDMKDWTHELEFSASSGVQNVDWKDYGSVGIEC -SVTTGIELANYYAASMDRHAWLVNREWFHDLALPWKGGSQGMWNDIRSLVEFMEPHAVKRDVVALGNQDG -SLKTALAGANIIKHHSNGSFELSGGHVKCRVRLENLKLKGMSYGMCPGEVKLVQAIADSGHGTAVISIQY -TGLGTPCRISVIAADRPDSSVNIGSLVTTLPMALKKDDFVHVELDPPFGESYIIVGSGEKKIVTPWHKKG -STVGNVFTQTLKGAQRMTTLGHQAWDFGSVGGIFNSVGKFVHGVFGSLYQSAFGGFNWITKILIGVLLVW -IGLQAHNMTISMVSMGLGLILILLSTGVGADVGCSLSMDTKELKCGDGVFVFRDVNDWGDKYQFHPADPK -SLAAMVVAASSTGVCGLQSVSRLEHRMWAAIAGELNAILEENDVDLTVVVQDSKNVYPKGKHLFPLESTE -LSQGWIMWGRSYVIASRMANTTFVVDGEPSKECPPESRIWNSLAVEEFGYGMFKTHIYLNPREAPTNSCE -TAILGAAVRGQRAVHGDPGMWMETTETGGVWEIKTLEVLEYRECEWPPTHTIWGEGVMESDMFMPKAIGG -PVSQHNYVAGYKEQSKGPWHDVPLLVQRKECPGTTVEVNKTCHKRGPSIRSTTNSGKIIDKWCCRACTMP -PLSFVGRTGCWYPMEIRPQDMKPESLVKSLVSAGNGMVHIDKLSLGLVVMMVATDMVLRKRAGVKSVLTA -AIVLLFFMVAGEVEMLDVVRYLVVLGDIMASRNSGGDVTYIALIAVFRLRAAWMTAFALRMCWSPRESVV -LTCGIIMMQSAAAFQNGWVAVSPVLNAVGLAVLTLRALSEPSIQTMSLPLLAMLNGPAVMEIRMAALIIL -SVVMVATMWRNRHGRSGRKMLSTQMLALSALWGLCQPTLAHAAVVYYGWRKTRSWPISEVTTAVGMIGAL -TGVLVDSSGHDIAVPLVVGGLVLFIMAVTGRVDGLQIEKVAEISWSSEAEISGTSERYDVALNENGEFKL -TSGVETPWKHVVIMAGLLVISSIHWVGMIAGMIGWFFLERSKRRAGILWDIPVSTESPISEQLEDGVYAI -KQRGLLGNNQKGVGVVIDGVLHTMWHVTRGAYIKTSTGVLQPKWASVKEDLVSYGGSWKLGTHWNGEDEV -QLIAVQPGKRAKNIQTTPSKFVTNDGKEIGAIGLDFPSGTSGSPIIDKNGNVLGLYGNGILVGEWDYVSA -ISQATEPMEEKEPIVLNSESILKKGELTVLDFHPGAGKTRKFLPQLLRMCETRRLRTLVLAPTRVVAAEM -AEALVGMDVRYAVKNMRKVHTSKPLFDVMCHATLADMMMQPARRPNWEVIIIDEAHFTDPRSIAVRGWAQ -HQARTRNAAAVFMSATPPGSTDPMPDSNASIEAEERHIPAGGWSSGYEWIVSDQRRTLWFVPSIRSGNEI -AACLRRLGKSVSVLNRKTFEREYPLIKSKKPDFILATDIAEMGANLHVERVIDSRTMCRPVLAASEGERV -IIKSGMTVSAASAAQRRGRVGRDPCRETDTYIFHGPTSEDNQDLVCWTEAAMMLDNMEVQGGMVASLYGV -EAEKLNSGPGYYRLREERRAVFRKLMKEHDIPIWLAWKVANEGTKESDRGWCFDGDELNTVLNDANEPVM -ARSPGGSMKPLRPRWVDERMYSENAALMEFKDFAEGRRNWTGLAEALKNVPDHLKERSQEAIDTVVMLFK -SDTSSRAYKHAMNMIPNAAETALLVALIFICTSGLVLFFMAPKGIGRMSLSILVMAGAAWLMYVGGVKLP -HIACSMLIFFIMMVILVPEPGCQRSAQDNQLVYVILCVVLTVAGVAANELGWLEKTKSDIFGKKEVSQSE -VWKFEFPKVDIAPGGAWAVYVLAGTIGGPIIEHFIKTHYGNASISGISSGAVTLFGLDIGLPAMAPTWPV -IVMVLGAWTSVSPLAAVFGVLAVLLHWTFTIPSLKAREIRRAQRRVHAGVAKCAVVDGVLTSDIDDVTEL -GPLYEKKFGLIMLLGLAAINVVLRRDAVTCAEFVVLGSACVGPFIEGNIGSWWNAPAAVAVTGLMRRNYF -AVIGLVFNLMRNKSFRRGKAQAMTLGELWKRKLNSLGKREFNIYRKTSIREVDRTEARRELDRKNVTGGH -CVSRGSMKLAWMAERGYVKLTGRVVDLGCGRGGWSYYAASQRNVMGVNAYTLGVAGHETPMNVQTLGWNI -IKFKDKTDVYRLAPSRYDTVLCDIGESSPSPDVEATRTLKVLGTLEGWLERGAENFCCKVLAPYMPDVLE -KIEKLQRQYGGSLVRVPFSRNSTHEMYYVSGARGHVMDQVSITSSLLLRRMKQPHGMNSTEPDLILPVGT -RNVGSEAAPMNMGKIEKRLQRIRSEHNNFMYDKEHPYRTWHYHGSYPIKGSGSAASMVNGVTKLLTMPWD -IYETVTRMGMTDTTPFGQQRVFKDKVDTKAPEPPKGTRQIMREVNRWLLQFIGRGKKPRLCTKEEFIAKV -NSHAALGVNLREQDNWSSAAEAVKDPHFWHLVSEERINHLEGKCETCIYNMMGKREKKPAEFGKAKGSRA -IWYMWLGARFLEFEALGFLNEDHWMSRANSFAGVEGTGLQYLGYILQDIAELQGGDMYADDTAGWDTKIT -EADLEDESEIVNLMDAYHAKLAMAVMDLTYAHKVVEVARPTGDGVVMDVISRTDQRGSGQVVTYALNTFT -NMKVTVIRMAESEGVIGREDIEELTQKSLARLKAWLLMHGQERLKRMAVSGDDQVVKPLDDRFAGALIHL -NAMAKTRKDIDEWTPSVGWSDWERVPFCSNHFHELVLKDGRRITVPCREQDELIGRARVAAGNGWMLRET -ACLAKAYGQMWSLLYFHRRDLRLLSNAIGSSVPVDWVPSGRTTWSIHTKGEWMTSSNMLDVWNRVWIQDN -PHMKDKSEVREWRDVPYLAKGQDQRCGSLIGTSRRASWAWSMWTTVEKIRNLIGKEKYENYLNTQDRFVD -TTEPTGFGTIL - ->YP_009126875.1 polyprotein [Sokoluk virus] -MNNRKSNTRKPGVNLKLQNQKRKGPSMPRSRKTKNGGLLGPVVRIRAMIIYLITLIVTGRKWTPAMKTFW -KRINPSVALRTLRKVKNVASNLIKGVLARKRRSATLNVWMLAILGTASAVHFNRIMFGGVPAILMNVTMH -DMGKTYGISGGNCTVMAVDAAHWCPDNTMYQCPTLAENEHPEDVDCWCYGVKDVYITYGRCRRDGSHRRA -RRSVEINSHITEGLNTRQETWAIDRYGKLQLEKVERWVLRNPFYAVAVACLSYFFGANTVQRVIIGSLLL -LVAPAYSTHCTNIENRDFLSGAQGTTWTSVVLEHGGCVTMTSEEKPSLDIWLESVTISDPPLVRKICYNA -HLSDQKTANKCPTTGEALLDEENEVDFECKRGFSDRGWGSGCGLFGKGSIVSCAKFTCQNSMHLYEIGQD -KVHFSIRMQLHTSERDSTSADWKRSLEFNLLSGQQTITFSGYGTVTVSCSLRTTVDLNNYYIATVGTQLF -LVNKHWATDLPLPWTPASGDAWREKHYLTHFEEPHATSVTVSTLGDQEGAVKHALSGATRLQLVSGKYVL -KGGHAVCQVQTHGLSLKGRTYTMCKGGYSFSKSPVDSGHQTVLMKVKVSKNTPCRIPVMMSESLNVVKNQ -GVVVTTNPIAFEPTGEVLIEVVPPFGESYIIIGNGEDKLTHLWHQPGSTIGKAFRETMRGIERMTIIGDD -AWDFGSTGGIFNSIGKAIHTIFGGAFTAMFGSVNWIVKTLLGAMFLWLGVNARNGTLTMVLLCIGGVLLF -LGVGVSAETGCSMSLDRKEIKCGDGVFIFHDTDDFLMKYKMCPLSPKELASVIQEASKQGACGLNSVDEL -EHRMWKEIEDELNHVLDENGIDLSVVVGNPMGVYRRGGLAFPLTTQELTYGWKTWGKAMYSAVERKNNSF -IIDARDQNECPDARRVWNAFALEEFGFGLFKTRVFLKTTNVYTKKCPVTLLGAGIKDNVAVHGDQNMWMR -SELVNGTWQITALETVSYRECIWPKTHTVGTDSIQESSLFMPKDLGGPITMHNHISGYATQVYGAWHVSN -MRVIREACPGTRVTQEDDCPKRGPSVRSTTQSNKVIPEWCCGKCTFPPVTFRNDNECWYAMEVRPKNMYD -EHLVKSWVSAGTGRKCDEFTGGVLALFVLLDLLVYKRLSPGKHIWLIAALMTTALLGGISAGDLMKCLLL -IGHTFNTIHSGEEVSHLAMIAVMELRAGFITGYFLARPLGPKGKFLVVVALSLMQSALTDKLEFIEWMDA -LGLVVAVVHALVELKSENWTILGLLALNPMGSRLVTKTTVITLLGLAGISVVRSGGTTARKTYPRLVGAG -MAVLGLTRGWMLGFVNVLTTLRGPRVSRRSLDWTDGMTVIGVVAALLGLTFGEQEELMAPFMVGSVLLLC -YAIGNRSDGLLIERVADIAWDSDAEMEGTSERFDVAINHNGEFSLIDEKPIPWKHVVLATALLIFSTVHP -MCLLLAAGGYWAFQYTSRRSNIIWELPTVESGPKKMAGSLEEGVYVIKQKGMLGASQKGVGVVVDGVFHT -MWHVTRGALLQHESGYITPQWASVKSDLISYGGTWKLNEKWEKGEEVQVIACVPNQKVKNVTTSPGVFAL -KTGEEIGAVSLDYPAGTSGSPIVNKNGQVIGLYGNGILTEERSFVSSIAQAERGEITTPEVDIEFKKGEI -KILDLHPGAGKTKKVLPELLRKCLEKRLRTLVLAPTKVVLTEMYEALKNMPIRYHTSAAVETKRSGTLID -IMCHATLANRLLEPARYVNWEVVIMDEAHFLDPHSIAVRGWMQQLAQLKLASIVLMTATPPGTNDPFPQS -NGTIDDTQMAIPSEPWKKGFEWIMDDTRPTAWFMPSIRCANVMANFLKKNGKTVVTLNRKTFDVEYPKIK -EARPDFILTTDIAEMGANLPVERVIDDRTCMRPVLIDAQNRVEIQGPLPITASSAAQRRGRVGRNPDRNT -DTYVYSGDTSEDNSDLVCWREALMILDNLEIPGGFAMSLFSTEARKVEHTPGEYRLNAEGRKTFRYLMRK -YEFTPWLAWKVAKHTKSQEMTWIIKGPEENRVLNEHGEVLTFKTKYGSMETVQPIWSDARMFADGNMVKN -FLGYASTTRSMINIIERAVQVPMLMREKLQEACDTYYTLAKAEEHSRSYRMALDNVPEALLTTFCVALIA -SMTMGIFLYLLTPKGFTRMSMAFATMIATSFGLWMGDVALVKIASSMLVFFILCVILIPDAGMQRSSQDN -YLAYFVIILLTVVGLIAANENGYLEKTKADLFGARMELKTASQQAWFAFDLRPGSAWAIYAFAVGVFSPL -YHHAESVNYGAISLQGITQSAASLFQMDKGYPFMKIRLPLILMVVGALNSMNALSLLLGIGCATIHWALV -LPGLRAKLAKLALRRTYHGVTKNAMVDGTLTNDLDEGEDMPELFEKQLGTIMLILLAVANVFTMRSTVAM -AEAVVLITACIPQLVNGTPSVIWNTQVAIGVAGLLRGNYMALVGTGHALWSTQGNRRSGGGESVTMGELW -KKQLNKLSKRSFEEYKRSGITEVDRTAAKDSLKKNILDGCAVSRGSAKLRWMEERGFVKLAGVVVDLGCG -RGGWSYYAASLRTVKKVLAFTLGIQGHEKPIMRTTYGWNIIRFKDKTDVFNLEPIPGDTLLCDIGESSPS -IQVEEQRTLRVLLNTRKWMTEHRYENFCVKVLCPYTPLIIEELSRLQRQFGGGMVRVPLSRNSTHEMYWV -SGARTDIVGAISNVSRLLTRRMLNKPQPTIIEEDVVLDLGTRLVEHELGPIDRVAIAERLKLMKESYAQS -WFEDPEHPYRTWHYLGSYITRGGGTAASMLNGVVKLISMPWDAVSAVACMAMTDTTPFGQQRVFKEKVDT -RPQEPNATVRSVMRVVNEWIFQILARGKRARMCTKEEFIKKVRSHAAVGAFVPELENWGTASEAVSDPLF -WKLVDEERALHKKGRCRTCVYNMMGKREKKPSEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWVARE -HSKAGVEGIGLQYLGYVLKELEGKTGGSFFADDTAGWDTRITVADLEDEMEVVKYMKPEQRILAEAVMNL -AYRHKVVKVERPLPGGRTAMDVIYRQEHRGSGQVVTYAFNTITNMKVQLIRMAEAEGVLPHPGEEWSQEC -DERLREWLRDCGEERLSRMAVSGDDCVVRPIDDRFATALSYINHMAKIRKDIGEWKPSTPLKSVECVPFC -SHHFHSLRLRDGREIVVPCRDQDELIGRARISPGNGWVVRESGPLSKAYANMWKLFYFHRRDLRLMANAI -CSSVPVDWVPSGRTTWSIHGRGEWMTSEDMLEVWNRVWIEDNPHMADKKQVNDWRDIPYLTKSQDVSCGS -HIGCSHRGSWADALPYTVEKVRSIIGKDEKYVDYLQTQNRFVTALQRVFGDVL - ->YP_006485886.1 truncated polyprotein [Murray Valley encephalitis virus] -MSKKPGGPGKPRVVNMLKRGIPRVFPLVGVKRVVMNLLDGRGPIRFVLALLAFFRFTALAPTKALMRRWK -SVNKTTAMKHLTSFKKELGTLIDVVNKRGKKQKKRGGSETSVLMLIFMLIGFAAALKLSTFQGKIMMTVN -ATDIADVIAIPTPKGPNQCWIRAIDIGFMCDDTITYECPKLESGNDPEDIDCWCDKQAVYVNYGRCTRAR -HSKRSRRSITVQTHGESTLVNKKDAWLDSTKATRYLTKTENWIIRNPGYALVAVVLGWMLGSNTGQKVIF -TVLLLLVAPAYSFNCLGMSSRDFIEGASGATWVDLVLEGDSCITIMAADKPTLDIRMMNIEATNLALVRN -YCYAATVSDVSTVSNCPTTGESHNTKRADHNYLCKRGVTDRGWGNGCGLFGKGSIDTCAKFTCSNSAAGR -LILPEDIKYEVGVFVHGSTDSTSHGNYSTQIGANQAVRFTISPNAPAITAKMGDYGEVTVECEPRSGLNT -EAYYVMTIGTKHFLVHREWFNDLLLPWTSPASTEWRNREILVEFEEPHATKQSVVALGSQEGALHQALAG -AIPVEFSSSTLKLTSGHLKCRVKMEKLKLKGTTYGMCTEKFTFSKNPADTGHGTVVLELQYTGSDGPCKI -PISSVASLNDMTPVGRMVTANPYVASSTANAKVLVEIEPPFGDSYIVVGRGDKQINHHWHKEGSSIGKAF -STTLKGAQRLAALGDTAWDFGSVGGVFNSIGKAVHQVFGGAFRTLFGGMSWISPGLLGALLLWMGVNARD -KSIALAFLATGGVLLFLATNVHADTGCAIDITRRELKCGSGIFIHNDVEAWIDRYKYLPETPKQLAKVVE -NAHKSGICGIRSVNRFEHQMWESVRDELNALLKENAIDLSVVVEKQKGMYRAAPNRLRLTVEELDIGWKA -WGKSLLFAAELANSTFVVDGPETAECPNSKRAWNSFEIEDFGFGITSTRGWLKLREENTSECDSTIIGTA -VKGNHAVHSDLSYWIESGLNGTWKLERAIFGEVKSCTWPETHTLWGDAVEETELIIPVTLAGPRSKHNRR -EGYKVQVQGPWDEEDIKLDFDYCPGTTVTVSEHCGKRGPSVRTTTDSGKLVTDWCCRSCTLPPLRFTTAS -GCWYGMEIRPMKHDESTLVKSRVQAFNGDMIDPFSVRPSGDVSGHPGGLEEEVDGQTYSASSGWGSASPP -PWGHYLH - ->YP_950477.1 polyprotein [Entebbe bat virus] -MNNRRSNTRKKGVNLKLQNQNKKGPGKPGPKKMRGRNFLSPLMRIRAMIVYLITLILTGRKWTPAMKNFW -KRINPDVGLSTLRRVKNIANNLMKGLLARKRRSSATHLWLLFTLGAACGIHVERFVFSGTPGILMNVTRH -DVGKTFRISGGNCTVMTIDAGHWCPDSTTYQCPTLTENEHPEDVDCWCHGVPSVYVTYGKCKADGAPRRS -RRSVEITSHITEGLNTRQETWAIDRYGKLQLEKVERWVIRNPMYALAVALISYFFGSNNVQRVVIGSLLL -LIAPAYSTHCTSIENRDFLSGAQGTTWTSVVLEHGGCVTLTSDDKPSLDIWLDSVTINGPPLVRKVCYDA -NISDQKTANKCPTTGEAILDEEHEVEYECKRGFSDRGWGNGCGLFGKGSIVACAKFACAHDMQLHEIGQD -KVHFVIKLQLHTSERDSTSADWKRSIEFNMLSGQQVVVFTGYGTVTLSCNLKTTMDLGNYYIAILGSDRF -LVNKNWATDLPLPWTPASADAWREKHYLVHFEEPHATSVAVTTLGDQEGAVKLAMSGATRLQLSSGKNVL -KGGHAVCQVQTHGLTLKGKTYAMCRGGYSFSKTPVTSGHQTVLMKVKVSKGTPCRIPVTMSDSLTVTKNQ -GVIVTTNPIAFDANEVLIEVIPPFGDSHIIIGNGEDRLTHRWHQPGSTIGKAFRVTMRGMERMTIIGDDA -WDFGSTGGIFNTIGKAIHTVFGGAFSAIFGGVNWIVKILIGTIFLWLGVNARNGTLTLVLLITGGVLVFL -GTGVGAETGCAVSLEQKELKCGDGVFIFRDTNDFLSKYRLCPLSPQELASIIQATSERGACGLNSVDELE -HRMWKEIEDEVNHVLDENGIDLSMVVGDPMGVYRRGGMSFSNATRELSYGWKTWGKTFYNAVERKNHSFI -IDSRDQNECPDSQRVWNSFILEEFGMGLFKTRVFLKTALQYTQKCPTMLLGAAVKNKVAAHSDQNMWMKS -SLVNGTWQVTELETLSYRECLWPSTHTVGTSTVQESSMFMPKGIGGPVTVHNYIPGYATQTHGAWHVKNL -RMVREVCPGTKVDVETNCQRRGASVRSTTQSHKVITDWCCRSCILPPVTYRNDTECWYAMEIRPKNMFEE -HLVKSWVSAADGRRCDQFTGGVLALFVLLDLIVYKRLSPRKHVWLVGVLLLVAFTGGITLRDMMKCLILL -GHTFNTLHSGEEVSHLAMVAVMDLRAGFVTGYYLAKPLGPKGKFLVVVALSISQVVLSDKIGFLDWMDAV -GLVAAVVQALIELKSENWAMLGLLALNPMGSKLVAKTTIVTLLGLAGLAILQKRDTSARRTYPKLIGAAM -VLLGFTRGWILGLLTMLSQQSLRTAKRSMDWTDGITVLGVVAALAGLTLGDQEELMAPFMIGAVLLLCYA -IGNRSDGLVIEKVADITWDREAETEGTSERFDVAVNHNGEFSLIEEKPIPWKQVLMATGLLVFSTLHPIC -LLLAAGGYWVFEYTSRRSNIMWELPSVARETRKFSGQVPDGVYAINQKGLLGSNQRGVGVVKEGVFHTMW -HVTRGALLRYEENYITPQWASVKSDLISYGGNWKLNSRWEKGEEVQIIACVPRQKVKNVTTTPGVFALKT -GEEIGAVSLDYPAGTSGSPIINKKGDIIGLYGNGILTENKDFVSAIAQADSCDLRTPEVDVEFRKGEIKV -LDLHPGAGKTRKVLPELLRKCLERRLRTLVLAPTKVVLTEMYEALKDMPIRYHTSAAIETKKSGALIDIM -CHATLANRLLEPARYVNWEVIIMDEAHFLDPHSIAVRGWMQQLANLKLASVILMTATPPGTSDAFPHSNG -NIDDTQMTIPEEPWKKGFEWILEDQRPTAWFLPSIRCANVMANFLKKNGKTVVVLNRRTFDQEYPKIKDS -RPDFILTTDIAEMGANLPVERVIDGRTCMRPVLNDARNRVEIQGPMPITASSAAQRRGRVGRNPDRHTDT -YVYDGDTSEDNSDLVCWKEALLLLDNMEIPGGFAMTLFSNEARKVEHVPGEFRLNAEGRKCFRQLLRAHE -FTPWLAWKVAKHTKPLDMTWITKGPEENRVFNEHGEVLTFKTRYGSVERVQPIWSDARMFVDGCTTKNFL -SYATATRSMTTILERVVQVPGLMKDNLQEAWDTYHTLLRADENSSRHRMALDNIPEPMLSTMCVASVASM -TMGIFLYMLAPKGTSRKTLAFVTMVAASVGLWDGDVSVVKIASMMLVFFILCVILIPDAGLQRSTQDNYL -AYFVIVLLMLVGLVAANENGYLEKTKADIFGHKQMRTMPVNGSWMSFDLRPGSAWAVYAFVVGIFSPLYH -HAESINYGAISLQGITQSAAAFFQMDKGYPFMKLRLPLILMAVGALNNINAVALLLGLACAVFHWSLVLP -GLRAKLAKMALRRTYHGVTKNAMVDGTLTNDLDEGEDMPELFEKQLGTIVLMVLSLANVFTLRSTLATTE -AVVLITSSLPQLVNGVPSPVWNTQVAVGVAGLLRGNYLALISTGHALWSVRGNRRGGGGTSTTLGELWKK -QLNKMTKKQFESYKKSGIIEVDRTAAQDAIRRNILDGSAVSRGSAKMRWMEERGFVKLAGTVVDLGCGRG -GWSYYAASLKAVKKVLAFTLGTQGHEKPLMRTTYGWNIIRFKDRVDVFTLETIPGDTLLCDIGESSPSIQ -VEEQRTLKVLMNAKKWLSEGRYENFCIKVLCPYTPLVMEEMARLQRVFGGGLVRVPLSRNSTHEMYWVSG -TRTDVVGAVNNVSRVLMRRMLNKPQPPVIEEDVTLDLGTRLVEHELGPISMDAIKERVDLIKNSHSQSWF -QDTEHPYRTWHYLGSYITRGGGTAASMLNGVVKLISMPWDSVSAVACMAMTDTTPFGQQRVFKEKVDTKP -TEPNETVRNVMRITNEWIFQQLATGKRPRMCTREEFIKKVRSHAAVGAYVPELEKWSSAADAVNDQEFWD -MVDSERALHKKGKCRTCVYNMMGKREKKPSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWIARRHS -RAGVEGIGLQYLGYVLEELEGKKGGKFYADDSAGWDTRITIADLEDEMEIVKYMKPEQRILAEAVMTLAY -RHKVVKVERPIPGGRTAMDIIYRQEHRGSGQVVTYAFNTITNMKVQLIRMAESEEVLPHPDEKWTEERAD -TLRCWLRENGIDRLERMAVSGDDCVVKPIDDRFATSLHYLNRMAKIRKDIGEWKPSQPLQNMDEVPFCSH -HFHKLRLRDGRAIIVPCRDQDELIGRARISPGNGWMVRETGPLSKAYANMWKLFYFHRRDLRLMANAISS -AVPVDWVPTGRTTWSLHGKGEWMTSEDMLEVWNRVWIHDNPHMQEKKPLEDWKEIPYLNKSQDVRCGSHI -GCSQRKSWADALPSTVEKVRSIIGKDGKYVDYMQTQTRFATIVRTMFGDVL - ->YP_950478.1 polyprotein [Sepik virus] -MATRGASKSRVTTRGVNMVTAKAKSLAVKIKNKTKQNTRGLRGFLLFLVAQIFWARKLTPQVKKLWRMVD -KVQGLKILKKLRNIVTNLMKGLSGRRKRRSPPASIILLLLPLMAYSASVTRQHGAGLLLNVTFADVGKTF -EVQGGNCSVNTLEAGKWCDDYVEYACVTLTEGEEPDDLDCWCYGVDNVRVTYGRCKGGGSRRSRRSALIT -PHVDKGLTTRQEKWLPSKIGEQQLQKVEKWIIRNPLYALGAIALAYFIGTSVVQKVVIAILLLGIGPAYS -THCLGIPKRDFIRGLDGNTWVSVVLEQGGCVTLIADNKPSVDVWLSSIVVDTPTLVRKICYESSVTGSKA -EGACPTMGDAHLTEEGNEEWECKRSFSDRGWGNGCGLFGKGSIVACAKFSCTKEMEVYQIDSTKIEYTIS -AQVHSGAKKEDWVNHTKLIKFVPTTGTSTVTFTGYGNFGLECHVQMMVDLGNSYLVKVGTDAWLVNKQWA -HDITLPWQSGTGGHWRDKHFMVDFEQPHAVTMKALVLGSQEGALRTALSGAMVVEVSSNRYTLKGGHVTC -KAYMNDLTLKGSTYPMCKKGMSFVKQPVETDHGTAVMQVKVTNGAPCRIPVIASDSMAGTENRGSVITTN -PIAALNNDEVLVEISPPFGESYIIVGSGDDKLTYHWQRSGSTIGNLFTETMKGAQRMIITGEHSWDFGST -GGFFASVGKAIHTVFGTAFHAMFGGLSWMTKILIGGLMVWLGLNSRSSSLSMAFICLGALLLVLATGVGA -EVGCSLNWKQREVKCGDGMFVFKDTDDWFTKYQYIPEDPKTMAGLIAQAHEEGLCGLNSVGDLEHRMWVS -RVDEINAILEENDIDLTVVVQDSASIYQRGSHAFPRPKGELKYGWKTWGKNIIFSPSRKNGTFIIDGKSK -SECPFNKRVWNSIKVEEFGTGIYQTRVFMRPDYDYSKLCDTGMLGAAAKGDASVHGDPLFWMESQNVNDT -WTITSLEALNYRECEWPSSHTLDGSRVIESDMFMPRSLAGPVSRHNHIPGYKVQSSGPWHNTPLEVKREE -CPGTHVTVEETCDDRGKSVRSTTDSGKIIPEWCCRSCTMPPVSFWGPDGCWYSMEVRPKHTNEGHLVKSW -VVASKGDVDPFSLGLLMLFLCSDMFLMKRFSMRALIAGSIIMLGAMTLGSLTYLDLLRYVVTVGMYMAEA -NSGGDVTHLALIAVFRVRAGFVSVLALKQMWSPRERFVAACGIVMVQIALGDIMNTNLMEWLNAAGMSIL -VIKSIVDPRKCNVVLPLLCLLTPLTTTEIQRAVMLFCSVVISVTVLQTDSVSTRKSIPLIALTICSFFKW -TSPFLGLVCYLAFTRIPQRSWPLGETMAAVGLVGVLAGMGLKDMNGMLGPVAVGGVLLIVMSLSGKVDGL -VIKKISDIGWDEDAEISGASHRYDVEQTETGEFKIRNEEPAPWTQVMILTIAIVSAAVHPACLAVVTLGW -FFWQKTATRSGVLWDIPTVVPPEEVGYLEDGVYTINQKNVLGMAQKGVGVVKDGVFHTMWHVTRGAFLLF -EGKRLTPAWANVKEDLISYGGGWKLEAKWDGTEEVQLIAVAPGKNPMNIQTTPSIFQLTNGKEIGAVNLD -FPSGTSGSPIVNKNGEVIGLYGNGILIGNNTYVSAITQSESSLEQDNDQLQDIPNMLRKGMLTVLDFHPG -AGKTRVYLPQILKECERLRLKTLVLAPTRVVLSEMKEAMPKMSIKFHTQAFSNTATGKEIIDAMCHATLT -HRMLEPTRVTNWEVVIMDEAHFMDPASIAARGWAAHRSRARECATIFMSATPPGTSNEFPESNGTIEDIR -KDIPSEPWTKGHEWILEDRRPTAWFLPSIRVANSIANCLRKAERTVVVLNRKTFEKEYPTIKSKRPDFIL -ATDIAEMGANLRVERVIDCRTAYKPFLVDDGTKVMVKGPLKISASSAAQRRGRVGRDPNRDTDTYVYGDS -TTEDNGHYVCWTEGSMLLDNMEIKNGMIAPLYGIEGTKTTTVPGETRLRDEQRKVFRELVKRLDMPVWLS -WHVAKAGLKVQDRSWCFDGEDDNTLLNDNGEPIFARSPGGGKKPLKPRWVDTRVCSDNSALIDFIKFAEG -RRSINGLLIGLQGFPKYLSGRMKEAVDTLTVLYNSEAGSRAYKHALAMMPEAVTIFLLIMLTIICTSGIV -MFFLAPKGLSRMSMAMMTMLVSAYLMSLGGMNPVQVSCVMLVFFIFMVVLIPEPGTQRSTYDNQLIYLLV -GVMSVILMVTANEMGMLEKTKRDIFGTTVVEEGKKWTFPELDLHPGAAWTVYVGLVTLVTPMLHHWIKVD -YGNISLSGITQNAQVLGLMDKGIPFIKMNMSVVILLLSAWNGITLLPLFAGMGAAALHWGFILPGLRAQA -AKAAQKRVYHGVAKNPVVDGNPTADIDDAPGMPAMYEKKLALIILFILATVNLILTRTPFSIAELVVLGS -AALGPLLEGNTNAYWNGPIAVAFTGLMRGNYYATIGLMYNGWLAKQTRRGRAAGVTLGEVWKRQLNMMGK -QEFEKYKISDIMEVDRSVAQRYLKEGRNDVGISVSRGTAKMRWLHERGYVKLSGRVIDLGCGRGGWSYYS -AAQKEVMSVKGYTLGINGHEKPVHMQTLGWNIIKFKDKSDVFTMPAEPCETLLCDIGESSSNFLIEKDRT -LKVLENFERWKHVNTENFCVKVLCPYHPDVIEKLERMQLRFGGGLVRIPFSRNSTHEMYYISGARNNITH -MVNTTSRSLLRRMFRPTGKALVESDVFLPTGTRSVASEAGPVDHDALQLRVDQIKHEYSKTWTIDLNHPY -RTWHYLGSYLCKATGSSSSMLNGIVKMLSMPWDKFESVTLLAMTDTTPFGQQRVFKEKVDTRAPSPPPGT -RAIMRVVNSWLFKHLAREMRPRICTKEEFISKVRSHAAIGAYLEEHENWRSASEAVQDPRFWKLVDEERK -LHLQGKCRTCVYNMMGKREKKPAEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWVSRGNSGGGVEGT -GLQYLGYILKRLGEKPGGRMYADDTAGWDTRITEEDLEDEQEILKYMTKDHKKLAWAVTELAYKNKVVKV -MRPGTRRPNFHGHHIQAYQRGSGQVVTYALNTVTNLKVQLIRMAESEHVITRHDVESVSPSTLRGLEDWL -ERFGTDRLSRMAVSGDDCVVKPIDDQFADALYHLNAMSKIRKDIDDWKPSTGWDSWEAVPFCSHHFHEII -LKDGRTIIAPCRDQDELIGRARVSPGNGWMIRETACLSKAYAQMWLLMYFHRRDLRVMGNAICSAVPVDW -VPTGRTTWSIHGKGEWMTTENMLDVWNRVWIMENPYQADKTPVTEWRDVPYLPKSIDKTCNSLVGTTQRA -TWARDVKHTVHRIRKLVGNEKFYDYMSTMDRYRELDEEGPGEILW - ->NP_776000.1 PreM protein [Yellow fever virus] -VTLVRKNRWLLLNVTSEDLGKTFSVGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVEN -VRVAYGKCDSAGRSRRSRRAIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWFVRNPFFAVTALTIAY -LVGSNMTQRVVIALLVLAVGPAYS - ->NP_775999.1 anchored core protein C [Yellow fever virus] -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKITAHLKRLWK -MLDPRQGLAVLRKVKRVVASLMRGLSSRKRRSHDVLTVQFLILGMLLMTGG - ->NP_722530.1 membrane protein M [Murray Valley encephalitis virus] -SITVQTHGESTLVNKKDAWLDSTKATRYLTKTENWIIRNPGYALVAVVLGWMLGSNTGQKVIFTVLLLLV -APAYS - ->NP_658908.1 polyprotein [Tamana bat virus] -MNKNKKQKPNTKMRSNPQKNKIKLVNKKLQTFFMAFFQILLAVFKNFKVSLQLRFLEKVYRTVFKNLAIR -SPKGAVAIMKWGVGYLKKRKQKRQKSSGGYEIEAARQALYPITLGVMVIFCGYQSGNEPVCVDFNNQTNI -VPYENGKVIFGNVTIVPPIDRFGLLIPTDNYDTIECVRMSPGIELSEEVCGFMGPKYDVLLRTQWGKRET -EHMHRTRRSVTETTHESSGGEHWDYSEYLTDFEHKMSKYKMPVLIILVGYLVLKTQNMALLIILVIAQFY -LADAGHCHDPEKFDIIEAGGYTKMTTFLKHDKCFMVRVPEHDVVEITVVKKTIRPTTGCKTFLLGASVNT -ESRAKCPGAGSATIPKTPGDKTFCHIEHVDRGWDSGCFIFGKGEVVTCAAVTYSKPFYAWMVDSEQITWE -VEVNFGGDSNRKKTAEISMDSEYEEFEDPKNTGSKIRLYCKTPRQEWDNYYMVARPGGSLYKVRRELIND -LNLPWTDFKYPYVTTTIVRNGESILRFKRPTMTYIPVTVAAGLERLYMDVVLQGPVLKCSGNHCTKEQWS -GNSETELPTITEDVGTLKCEIDYSGLKVRVTNVALCTHTSTIVSGPLVTNDIVMIVLRGTGSDTTECKLK -VEGKSASSNNWITNYCAPHSRSMSVKPNEQTTVKMTCPNGIIDLRFNGKTTTQVEVKYSRTMKLVSSMMA -SFKDVTENVMIHESGFETFKKVAKMLTTPVVGFFKSILGLASNWYILIFALLLVYVGVNKQGPVGNIMLA -LGLICLLTYYFEVVAADKYVLMVDTDDMSLSVGKAHHIEWDWKITMPLIPSLPYQVTLIGLDPKYGITIY -RVDSQEEYTNLAKVLSEKYDLVRKITGWYGNSWIKVPCRVIASDAALNLTADCEKAISENSKVAVLTSEH -TPIVCRDCIEKFVKLSLDKEEQGAFRNHKHYNFQNLTREECVIDGNWTYSSAIEVYSKYELENEDEITTT -QGGGYGTITIGEKTWKYVEVKFGPISVKNTILFQGVLDSVVPTKRKWVNMTNWACNFFKKENQVNRGHIL -AKSLGGPAAGYNCMIQLVKENNEDEDIEKSALDSALKQSTSYRREIKPKNIKAIYYTQKVDERNIMCGWN -GKDSVNVVKASKMNKDNIQDLITKNLNVLMVIDNNDESSIRALIGDKMLFCEANWVDCNTHDYELSFWTS -ALITTKSRSYEHKPCKIQPKDEIFEDADSFFSDEKVVLTTAGISRDNVNFYWLFTFFLGWILFEWAVIVD -LGSIFLYNIKKRWTVLLIIPTVFQLMVNGIITSIVSITVFFCGSMKNTLVTATDIVEGINLGHWKRTAMV -TLMVSGFFKNEFLSLLMILNFTLNWTHFVPILMCLPHFLGLPNPWIWLGVLVLALIGLTNGKLELDFQNK -LVPLPQKKFETQLQLGKVYWTASGIEVEIREEIRMAKYDYPLLALYLTLVFSASLIHWSFGITTLMLGGS -LFNLRDKSKGLIPMPELEEVHDPPEKLKDGFYSISVAGLCWKYQIGIGYVHNGTLTTQYHVTCGRDLWWK -GKSYSPVEENVVSDYACYFGPWSFPKLQEGSEFTIMMRWKNIALKTMGKITGNYYAIPTEKIPKGQSGTP -IFQEINGTLKPVALAGNSIVFGGESVQVGENPSFAPHLDGKIKQLLNTRGCWEEFVLKCGAGKTRGVIKD -TIQHATRMGVKVLVLVPTRVVANEAYNVLKDVGTVGIQTSTYRNDVGLMHTIMCHSTFARRIMRKGKRIL -RNWQLIIVDESHFCNPETLALHNLFMRDLRSPTPTYSVMYLTATGYTSYDSNSNFFVHDHPVASKNDFLE -IIKQNKEKKIVYFVASGPEANEIAGKLRAEIQNIIIVTRQTFNNTYEAAKTMEQGLILTTNISEMGANYN -ADIVMDPLEEIIPVLGEEGVQLEKTVCTRASKIQRRGRVGRSKPGDYYYLAVNEGQINQQMHHQQDIVWA -EAEMCSNLMGEIWEPENFQGSEPPAPINYYLTPQKLATIVNKTEMENGWTYYTSYHLTDDYGNSIPYNVT -DPQLHYTPNHCPKCTGLHFPVWDERNHDMLVSCGRDLDNNDVNTRTRQNVGVIQPLVQRVFSGIRMQSSG -EGCELFSILFGVQCYGWMTYFDMPASWIALAHQALPPQWRETITPMKLLFILFGFMLKVVYDKIMVNKHL -VYNYAPYKGHSQMLMFLTVASTMLQNEALKIMSYPIWQILFVVFITVIVVETVCGITQREKSTGEVTQLV -TIGAVIFIIYYILADGEILTLIKKLIKVKIQQIENNYEVPQQPIEDTRIRTWSLFDRPPVYLYFTLHPVI -IQLARSTSVKALIQFVDNVKLRKTPVNMPQPTVYFIVAVFISIFNFYYYSFPHLVASIIPSILLYCVIYC -AHYTDIFKRFVDTNHELGNSNNQHIPYSDPAYSEIYRVLVIVIEITYLLVYKTLTLDSVLVVLHMTSPWI -RGPIGEGLNNYGVVILAMSMKHLMIPQSIVAITAVVLDHLKTTQRFRSSIFTTQILNRMVEHYQDLEWRG -RQYKFTLNKLNKHDFLQFKGENVYELENKDDFPSRGFAKMDWMIKSTLFQPRGFVVDGGCGAGGFSARMC -FEKPVAKILGYTIGKDKHHTPDLNGKKVYKKFNWEIGDLFKKRIRCDTFVMDIGESHPNQEIEAIGDLKR -LNWFKENVDCKNWCIKIMVPTHEQVLHALPKGSTLIRNPWSRNSTLEMYCVPGSNEPGRMVSNVIKVLNQ -RINRTSWQGSIMKKLYYPEDEEQVGSTKVNYIIEKEKLQNFTLQEERRVFNHWKCLGSVEASRKGSSGQN -YNSIITAITSGLRKVVPSIEHWMLTSTKPEDTFSTFLRKVDQPPQPLGNYKSEFQEKFKWVQQWYKSKNK -HGRILTNEEVISKFKSDGALGFRCQHYGSIQEALENPNFFKDLEAEEINHLHGKCTRGVFDVIGKKEKKD -LYGKPKGSRLIMYLDLVERFLEHKYLGFLNSDHWCHPENLPSGVSAVSPYEYPRMIVEKCKIDPRSDLME -NWVIQDDTAGWDTRLHDDVLECEQSFLCDFAESEEHIKHILRIYKNYRNPMIKLTDDSGTRDLILIGKGQ -RCSGTVVTYSMNTITNTVVQMMRMQEVLELSNEECLHKMMVSGDDCLLVLKPEEAIKVSKSLKFINSTGF -IRKDVPRHVPSPVVKDWKNISFCSHGIAKGRMQNGEYLWTLGKNEAEIIGKAQLQIGAFGDEINEQSQAK -AMALYLLLTFPMRRDIRLIAKAIMACCQEGLVPMGKVKEPLIWGEPWLDSMDIVNIINKIYGTNFYTLEE -VPYVRHSLDMERGSTIHTNERSNWKKFLLEKMVPDLSRRNNTAPNMNWLVRNGNRGNLLV - ->NP_051124.1 polyprotein [Murray Valley encephalitis virus] -MSKKPGGPGKPRVVNMLKRGIPRVFPLVGVKRVVMNLLDGRGPIRFVLALLAFFRFTALAPTKALMRRWK -SVNKTTAMKHLTSFKKELGTLIDVVNKRGKKQKKRGGSETSVLMLIFMLIGFAAALKLSTFQGKIMMTVN -ATDIADVIAIPTPKGPNQCWIRAIDIGFMCDDTITYECPKLESGNDPEDIDCWCDKQAVYVNYGRCTRAR -HSKRSRRSITVQTHGESTLVNKKDAWLDSTKATRYLTKTENWIIRNPGYALVAVVLGWMLGSNTGQKVIF -TVLLLLVAPAYSFNCLGMSSRDFIEGASGATWVDLVLEGDSCITIMAADKPTLDIRMMNIEATNLALVRN -YCYAATVSDVSTVSNCPTTGESHNTKRADHNYLCKRGVTDRGWGNGCGLFGKGSIDTCAKFTCSNSAAGR -LILPEDIKYEVGVFVHGSTDSTSHGNYSTQIGANQAVRFTISPNAPAITAKMGDYGEVTVECEPRSGLNT -EAYYVMTIGTKHFLVHREWFNDLLLPWTSPASTEWRNREILVEFEEPHATKQSVVALGSQEGALHQALAG -AIPVEFSSSTLKLTSGHLKCRVKMEKLKLKGTTYGMCTEKFTFSKNPADTGHGTVVLELQYTGSDGPCKI -PISSVASLNDMTPVGRMVTANPYVASSTANAKVLVEIEPPFGDSYIVVGRGDKQINHHWHKEGSSIGKAF -STTLKGAQRLAALGDTAWDFGSVGGVFNSIGKAVHQVFGGAFRTLFGGMSWISPGLLGALLLWMGVNARD -KSIALAFLATGGVLLFLATNVHADTGCAIDITRRELKCGSGIFIHNDVEAWIDRYKYLPETPKQLAKVVE -NAHKSGICGIRSVNRFEHQMWESVRDELNALLKENAIDLSVVVEKQKGMYRAAPNRLRLTVEELDIGWKA -WGKSLLFAAELANSTFVVDGPETAECPNSKRAWNSFEIEDFGFGITSTRGWLKLREENTSECDSTIIGTA -VKGNHAVHSDLSYWIESGLNGTWKLERAIFGEVKSCTWPETHTLWGDAVEETELIIPVTLAGPRSKHNRR -EGYKVQVQGPWDEEDIKLDFDYCPGTTVTVSEHCGKRGPSVRTTTDSGKLVTDWCCRSCTLPPLRFTTAS -GCWYGMEIRPMKHDESTLVKSRVQAFNGDMIDPFQLGLLVMFLATQEVLRKRWTARLTLPAAVGALLVLL -LGGITYTDLVRYLILVGSAFAESNNGGDVIHLALIAVFKVQPAFLVASLTRSRWTNQENLVLVLGAAFFQ -MAASDLELTIPGLLNSAATAWMVLRAMAFPSTSAIAMPMLAMLAPGMRMLHLDTYRIVLLLIGICSLLNE -RRRSVEKKKGAVLIGLALTSTGYFSPTIMAAGLMICNPNKKRGWPATEVLTAVGLMFAIVGGLAELDIDS -MSVPFTIAGLMLVSYVISGKATDMWLERAADVSWEAGAAITGTSERLDVQLDDDGDFHLLNDPGVPWKIW -VLRMTCLSVAAITPRAILPSAFGYWLTLKYTKRGGVFWDTPSPKVYPKGDTTPGVYRIMARGILGRYQAG -VGVMHEGVFHTLWHTTRGAAIMSGEGRLTPYWGNVKEDRVTYGGPWKLDQKWNGVDDVQMIVVEPGKPAI -NVQTKPGIFKTAHGEIGAVSLDYPIGTSGSPIVNSNGEIIGLYGNGVILGNGAYVSAIVQGERVEEPVPE -AYNPEMLKKRQLTVLDLHPGAGKTRRILPQIIKDAIQKRLRTAVLAPTRVVAAEMAEALRGLPVRYLTPA -VQREHSGNEIVDVMCHATLTHRLMSPLRVPNYNLFVMDEAHFTDPASIAARGYIATRVEAGEAAAIFMTA -TPPGTSDPFPDTNSPVHDVSSEIPDRAWSSGFEWITDYAGKTVWFVASVKMSNEIAQCLQRAGKRVIQLN -RKSYDTEYPKCKNGDWDFVITTDISEMGANFGASRVIDCRKSVKPTILDEGEGRVILSVPSAITSASAAQ -RRGRVGRNPSQIGDEYHYGGGTSEDDTMLAHWTEAKILLDNIHLPNGLVAQLYGPERDKTYTMDGEYRLR -GEERKTFLELIKTADLPVWLAYKVASNGIQYNDRKWCFDGPRSNIILEDNNEVEIITRIGERKVLKPRWL -DARVYSDHQSLKWFKDFAAGKRSAIGFFEVLGRMPEHFAGKTREALDTMYLVATSEKGGKAHRMALEELP -DALETITLIAALGVMTAGFFLLMMQRKGIGKLGLGALVLVVATFFLWMSDVSGTKIAGVLLLALLMMVVL -IPEPEKQRSQTDNQLAVFLICVLLVVGLVAANEYGMLERTKTDIRNLFGKSLIEENEVHIPPFDFFTLDL -KPATAWALYGGSTVVLTPLIKHLVTSQYVTTSLASINAQAGSLFTLPKGIPFTDFDLSVALVFLGCWGQV -TLTTLIMATILVTLHYGYLLPGWQAEALRAAQKRTAAGIMKNAVVDGIVATDVPELERTTPQMQKRLGQI -LLVLASVAAVCVNPRITTIREAGILCTAAALTLWDNNASAAWNSTTATGLCHVMRGSWIAGASIAWTLIK -NAEKPAFKRGRAGGRTLGEQWKEKLNAMGKEEFFSYRKEAILEVDRTEARRARREGNKVGGHPVSRGTAK -LRWLVERRFVQPIGKVVDLGCGRGGWSYYAATMKNVQEVRGYTKGGPGHEEPMLMQSYGWNIVTMKSGVD -VFYKPSEISDTLLCDIGESSPSAEIEEQRTLRILEMVSDWLSRGPKEFCIKILCPYMPKVIEKLESLQRR -FGGGLVRVPLSRNSNHEMYWVSGASGNIVHAVNMTSQVLIGRMDKKIWKGPKYEEDVNLGSGTRAVGKGV -QHTDYKRIKSRIEKLKEEYAATWHTDDNHPYRTWTYHGSYEVKPSGSASTLVNGVVRLLSKPWDAITGVT -TMAMTDTTPFGQQRVFKEKVDTKAPEPPQGVKTVMDETTNWLWAYLARNKKARLCTREEFVKKVNSHAAL -GAMFEEQNQWKNAREAVEDPKFWEMVDEERECHLRGECRTCIYNMMGKREKKPGEFGKAKGSRAIWFMWL -GARFLEFEALGFLNEDHWMSRENSGGGVEGAGIQKLGYILRDVAQKPGGKIYADDTAGWDTRITQADLEN -EAKVLELMEGEQRTLARAIIELTYRHKVVKVMRPAAGGKTVMDVISREDQRGSGQVVTYALNTFTNIAVQ -LVRLMEAEAVIGPDDIESIERKKKFAVRTWLFENAEERVQRMAVSGDDCVVKPLDDRFSTALHFLNAMSK -VRKDIQEWKPSQGWYDWQQVPFCSNHFQEVIMKDGRTLVVPCRGQDELIGRARISPGSGWNVRDTACLAK -AYAQMWLVLYFHRRDLRLMANAICSSVPVDWVPTGRTTWSIHGKGEWMTTEDMLSVWNRVWILENEWMED -KTTVSDWTEVPYVGKREDIWCGSLIGTRTRATWAENIYAAINQVRSVIGKEKYVDYVQSLRRYEETHVSE -DRVL - ->NP_041726.1 polyprotein precursor [Yellow fever virus] -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKITAHLKRLWK -MLDPRQGLAVLRKVKRVVASLMRGLSSRKRRSHDVLTVQFLILGMLLMTGGVTLVRKNRWLLLNVTSEDL -GKTFSVGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGKCDSAGRSRRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWFVRNPFFAVTALTIAYLVGSNMTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSATLEQDKCVTVMAPDKPSLDISLETVAIDRPAEVRKVCYNAVL -THVKINDKCPSTGEAHLAEENEGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRAQLHVGAKQENWNTDIKTLKFDALSGSQEVEFIGYGKATLECQVQTAVDFGNSYIAEMETESWIV -DRQWAQDLTLPWQSGSGGVWREMHHLVEFEPPHAATIRVLALGNQEGSLKTALTGAMRVTKDTNDNNLYK -LHGGHVSCRVKLSALTLKGTSYKICTDKMFFVKNPTDTGHGTVVMQVKVSKGAPCRIPVIVADDLTAAIN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIVGRGDSRLTYQWHKEGSSIGKLFTQTMKGVERLAVMGD -TAWDFSSAGGFFTSVGKGIHTVFGSAFQGLFGGLNWITKVIMGAVLIWVGINTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAINFGKRELKCGDGIFIFRDSDDWLNKYSYYPEDPVKLASIVKASFEEGKCGLNSVDS -LEHEMWRSRADEINAIFEENEVDISVVVQDPKNVYQRGTHPFSRIRDGLQYGWKTWGKNLVFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGTGVFTTRVYMDAVFEYTIDCDGSILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMIHTLEALDYKECEWPLTHTIGTSVEESEMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVKREACPGTSVIIDGNCDGRGKSTRSTTDSGKVIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPRKTHE -SHLVRSWVTAGEIHAVPFGLVSMMIAMEVVLRKRQGPKQMLVGGVVLLGAMLVGQVTLLDLLKLTVAVGL -HFHEMNNGGDAMYMALIAAFSIRPGLLIGFGLRTLWSPRERLVLTLGAAMVEIALGGVMGGLWKYLNAVS -LCILTINAVASRKASNTILPLMALLTPVTMAEVRLAAMFFCAVVIIGVLHQNFKDTSMQKTIPLVALTLT -SYLGLTQPFLGLCAFLATRIFGRRSIPVNEALAAAGLVGVLAGLAFQEMENFLGPIAVGGLLMMLVSVAG -RVDGLELKKLGEVSWEEEAEISGSSARYDVALSEQGEFKLLSEEKVPWDQVVMTSLALVGAALHPFALLL -VLAGWLFHVRGARRSGDVLWDIPTPKIIEECEHLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLVRNGKKLIPSWASVKEDLVAYGGSWKLEGRWDGEEEVQLIAAVPGKNVVNVQTKPSLFKVRNGGEI -GAVALDYPSGTSGSPIVNRNGEVIGLYGNGILVGDNSFVSAISQTEVKEEGKEELQEIPTMLKKGMTTVL -DFHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFHGLDVKFHTQAFSAHGSGREVIDAMC -HATLTYRMLEPTRVVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWNTGHDWILADKRPTAWFLPSIRAANVMAASLRKAGKSVVVLNRKTFEREYPTIKQKK -PDFILATDIAEMGANLCVERVLDCRTAFKPVLVDEGRKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSENNAHHVCWLEASMLLDNMEVRGGMVAPLYGVEGTKTPVSPGEMRLRDDQRKVFRELVRNCDL -PVWLSWQVAKAGLKTNDRKWCFEGPEEHEILNDSGETVKCRAPGGAKKPLRPRWCDERVSSDQSALSEFI -KFAEGRRGAAEVLVVLSELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIVMLFILAGLL -TSGMVIFFMSPKGISRMSMAMGTMAGCGYLMFLGGVKPTHISYVMLIFFVLMVVVIPEPGQQRSIQDNQV -AYLIIGILTLVSAVAANELGMLEKTKEDLFGKKNLIPSSASPWSWPDLDLKPGAAWTVYVGIVTMLSPML -HHWIKVEYGNLSLSGIAQSASVLSFMDKGIPFMKMNISVIMLLVSGWNSITVMPLLCGIGCAMLHWSLIL -PGIKAQQSKLAQRRVFHGVAENPVVDGNPTVDIEEAPEMPALYEKKLALYLLLALSLASVAMCRTPFSLA -EGIVLASAALGPLIEGNTSLLWNGPMAVSMTGVMRGNHYAFVGVMYNLWKMKTGRRGSANGKTLGEVWKR -ELNLLDKRQFELYKRTDIVEVDRDTARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVIDLGCGR -GGWCYYAAAQKEVSGVKGFTLGRDGHEKPMNVQSLGWNIITFKDKTDIHRLEPVKCDTLLCDIGESSSSS -VTEGERTVRVLDTVEKWLACGVDNFCVKVLAPYMPDVLEKLELLQRRFGGTVIRNPLSRNSTHEMYYVSG -ARSNVTFTVNQTSRLLMRRMRRPTGKVTLEADVILPIGTRSVETDKGPLDKEAIEERVERIKSEYMTSWF -YDNDNPYRTWHYCGSYVTKTSGSAASMVNGVIKILTYPWDRIEEVTRMAMTDTTPFGQQRVFKEKVDTRA -KDPPAGTRKIMKVVNRWLFRHLAREKNPRLCTKEEFIAKVRSHAAIGAYLEEQEQWKTANEAVQDPKFWE -LVDEERKLHQQGRCRTCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWASRENS -GGGVEGIGLQYLGYVIRDLAAMDGGGFYADDTAGWDTRITEADLDDEQEILNYMSPHHKKLAQAVMEMTY -KNKVVKVLRPAPGGKAYMDVISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVIHHQHVQDCDESVL -TRLEAWLTEHGCDRLKRMAVSGDDCVVRPIDDRFGLALSHLNAMSKVRKDISEWQPSKGWNDWENVPFCS -HHFHELQLKDGRRIVVPCREQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSLAVS -SAVPTSWVPQGRTTWSIHGKGEWMTTEDMLEVWNRVWITNNPHMQDKTMVKKWRDVPYLTKRQDKLCGSL -IGMTNRATWASHIHLVIHRIRTLIGQEKYTDYLTVMDRYSVDADLQLGELI - ->NP_733807.2 membrane glycoprotein precursor [Dengue virus 1] -FHLTTRGGEPHMIVSKQERGKSLLFKTSAGVNMCTLIAMDLGELCEDTMTYKCPRITETEPDDVDCWCNA -TETWVTYGTCSQTGEHRRDKRSVALAPHVGLGLETRTETWMSSEGAWKQIQKVETWALRHPGFTVIALFL -AHAIGTSITQKGIIFILLMLVTPSMA - ->NP_059433.1 polyprotein [Dengue virus 1] -MNNQRKKTGRPSFNMLKRARNRVSTVSQLAKRFSKGLLSGQGPMKLVMAFIAFLRFLAIPPTAGILARWG -SFKKNGAIKVLRGFKKEISNMLNIMNRRKRSVTMLLMLLPTALAFHLTTRGGEPHMIVSKQERGKSLLFK -TSAGVNMCTLIAMDLGELCEDTMTYKCPRITETEPDDVDCWCNATETWVTYGTCSQTGEHRRDKRSVALA -PHVGLGLETRTETWMSSEGAWKQIQKVETWALRHPGFTVIALFLAHAIGTSITQKGIIFILLMLVTPSMA -MRCVGIGNRDFVEGLSGATWVDVVLEHGSCVTTMAKDKPTLDIELLKTEVTNPAVLRKLCIEAKISNTTT -DSRCPTQGEATLVEEQDTNFVCRRTFVDRGWGNGCGLFGKGSLITCAKFKCVTKLEGKIVQYENLKYSVI -VTVHTGDQHQVGNETTEHGTTATITPQAPTSEIQLTDYGALTLDCSPRTGLDFNEMVLLTMKKKSWLVHK -QWFLDLPLPWTSGASTSQETWNRQDLLVTFKTAHAKKQEVVVLGSQEGAMHTALTGATEIQTSGTTTIFA -GHLKCRLKMDKLILKGMSYVMCTGSFKLEKEVAETQHGTVLVQVKYEGTDAPCKIPFSSQDEKGVTQNGR -LITANPIVTDKEKPVNIEAEPPFGESYIVVGAGEKALKLSWFKKGSSIGKMFEATARGARRMAILGDTAW -DFGSIGGVFTSVGKLIHQIFGTAYGVLFSGVSWTMKIGIGILLTWLGLNSRSTSLSMTCIAVGMVTLYLG -VMVQADSGCVINWKGRELKCGSGIFVTNEVHTWTEQYKFQADSPKRLSAAIGKAWEEGVCGIRSATRLEN -IMWKQISNELNHILLENDMKFTVVVGDVSGILAQGKKMIRPQPMEHKYSWKSWGKAKIIGADVQNTTFII -DGPNTPECPDNQRAWNIWEVEDYGFGIFTTNIWLKLRDSYTQVCDHRLMSAAIKDSKAVHADMGYWIESE -KNETWKLARASFIEVKTCIWPKSHTLWSNGVLESEMIIPKIYGGPISQHNYRPGYFTQTAGPWHLGKLEL -DFDLCEGTTVVVDEHCGNRGPSLRTTTVTGKTIHEWCCRSCTLPPLRFKGEDGCWYGMEIRPVKEKEENL -VKSMVSAGSGEVDSFSLGLLCISIMIEEVMRSRWSRKMLMTGTLAVFLLLTMGQLTWNDLIRLCIMVGAN -ASDKMGMGTTYLALMATFRMRPMFAVGLLFRRLTSREVLLLTVGLSLVASVELPNSLEELGDGLAMGIMM -LKLLTDFQSHQLWATLLSLTFVKTTFSLHYAWKTMAMILSIVSLFPLCLSTTSQKTTWLPVLLGSLGCKP -LTMFLITENKIWGRKSWPLNEGIMAVGIVSILLSSLLKNDVPLAGPLIAGGMLIACYVISGSSADLSLEK -AAEVSWEEEAEHSGASHNILVEVQDDGTMKIKDEERDDTLTILLKATLLAISGVYPMSIPATLFVWYFWQ -KKKQRSGVLWDTPSPPEVERAVLDDGIYRILQRGLLGRSQVGVGVFQEGVFHTMWHVTRGAVLMYQGKRL -EPSWASVKKDLISYGGGWRFQGSWNAGEEVQVIAVEPGKNPKNVQTAPGTFKTPEGEVGAIALDFKPGTS -GSPIVNREGKIVGLYGNGVVTTSGTYVSAIAQAKASQEGPLPEIEDEVFRKRNLTIMDLHPGSGKTRRYL -PAIVREAIKRKLRTLVLAPTRVVASEMAEALKGMPIRYQTTAVKSEHTGKEIVDLMCHATFTMRLLSPVR -VPNYNMIIMDEAHFTDPASIAARGYISTRVGMGEAAAIFMTATPPGSVEAFPQSNAVIQDEERDIPERSW -NSGYDWITDFPGKTVWFVPSIKSGNDIANCLRKNGKRVVQLSRKTFDTEYQKTKNNDWDYVVTTDISEMG -ANFRADRVIDPRRCLKPVILKDGPERVILAGPMPVTVASAAQRRGRIGRNQNKEGDQYIYMGQPLNNDED -HAHWTEAKMLLDNINTPEGIIPALFEPEREKSAAIDGEYRLRGEARKTFVELMRRGDLPVWLSYKVASEG -FQYSDRRWCFDGERNNQVLEENMDVEIWTKEGERKKLRPRWLDARTYSDPLALREFKEFAAGRRSVSGDL -ILEIGKLPQHLTQRAQNALDNLVMLHNSEQGGKAYRHAMEELPDTIETLMLLALIAVLTGGVTLFFLSGR -GLGKTSIGLLCVIASSALLWMASVEPHWIAASIILEFFLMVLLIPEPDRQRTPQDNQLAYVVIGLLFMIL -TVAANEMGLLETTKKDLGIGHAAAENHHHAAMLDVDLHPASAWTLYAVATTIITPMMRHTIENTTANISL -TAIANQAAILMGLDKGWPISKMDIGVPLLALGCYSQVNPLTLTAAVLMLVAHYAIIGPGLQAKATREAQK -RTAAGIMKNPTVDGIVAIDLDPVVYDAKFEKQLGQIMLLILCTSQILLMRTTWALCESITLATGPLTTLW -EGSPGKFWNTTIAVSMANIFRGSYLAGAGLAFSLMKSLGGGRRGTGAQGETLGEKWKRQLNQLSKSEFNT -YKRSGIIEVDRSEAKEGLKRGETTKHAVSRGTAKLRWFVERNLVKPEGKVIDLGCGRGGWSYYCAGLKKV -TEVKGYTKGGPGHEEPIPMATYGWNLVKLYSGKDVFFTPPEKCDTLLCDIGESSPNPTIEEGRTLRVLKM -VEPWLRGNQFCIKILNPYMPSVVETLEQMQRKHGGMLVRNPLSRNSTHEMYWVSCGTGNIVSAVNMTSRM -LLNRFTMAHRKPTYERDVDLGAGTRHVAVEPEVANLDIIGQRIENIKNEHKSTWHYDEDNPYKTWAYHGS -YEVKPSGSASSMVNGVVRLLTKPWDVIPMVTQIAMTDTTPFGQQRVFKEKVDTRTPKAKRGTAQIMEVTA -RWLWGFLSRNKKPRICTREEFTRKVRSNAAIGAVFVDENQWNSAKEAVEDERFWDLVHRERELHKQGKCA -TCVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFMNEDHWFSRENSLSGVEGEGLHKLGYI -LRDISKIPGGNMYADDTAGWDTRITEDDLQNEAKITDIMEPEHALLATSIFKLTYQNKVVRVQRPAKNGT -VMDVISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMESEGIFSPSELETPNLAERVLDWLKKHGTERLKRM -AISGDDCVVKPIDDRFATALTALNDMGKVRKDIPQWEPSKGWNDWQQVPFCSHHFHQLIMKDGREIVVPC -RNQDELVGRARVSQGAGWSLRETACLGKSYAQMWQLMYFHRRDLRLAANAICSAVPVDWVPTSRTTWSIH -AHHQWMTTEDMLSVWNRVWIEENPWMEDKTHVSSWEDVPYLGKREDQWCGSLIGLTARATWATNIQVAIN -QVRRLIGNENYLDFMTSMKRFKNESDPEGALW - ->YP_009345036.1 polyprotein [Bamaga virus] -MSNPSVRRGVNVMAAQKKRVAQKIKSMRKGTQSISNGVRGFILFFISQIFWARKITPRVKGLWKKLDKFQ -AMKVLKGLRNIINGLMRSVAGKKKRRGGNTVPFLVMMMVATTWALTLRKIDNTIVLNVTQNDIGKTFPVR -GGNCSININDAGYWCHNTVEYDCVTIAGTEEPDDIDCWCVGIEGVRVTYGKCSKSSPHGRRSRRAAVIPA -HGGQGLSTHKETWLSTVAGERQIQRIERWIIRNPLYAAAMVTVAYFLGSDTKQKVLLAVLMLAIGPAYGS -HCIGIERRDFVHGVQGSTWVNLVLDQGSCVTMVTENKPSVDVWLKEISLSQPTLVRRYSHTAKVHKTEIK -AACPTMGEAKLDTEHNPSYECKRTYSDRGWGNGCGLFGKGSIIACAEFSSTGHMDVYEIDMTKIEYIVNS -QIHGTVLVENNSQHAVESKFQPTTGGAEVTHAGYGTLGLDCHVQTMMDLNNFYLAVMGSDAWLVHKQWVE -DLTLPWMAGETGHWKEKKYLVEFGEPHATKMEALVLGSQEGALRTALAGAMVVVYSQNDKKFTLKGGHVS -CRARLTDLTLKGTSYPMCKGSLKFTKTPVDTGHGTAVMHVQVTKGAPCRIGVQMADNSNGGKSLGSMITS -NPIVSTDGEETLVEVSPPYGESYIIVGSGDGKLVYHWHKTGSTIGSLFSETMKGAKRLAILGDDAWDFSS -TGGVLASVGKMLHTVFGQAFHAIFGGLSWISKIILGCVMLWIGVNSRNGTLSVTLLTVGGILLFMTLGVN -AEYGCSLDFQRKELKCGDGVFVFNDANDWLTKYRYHPEDPRTLASLVKASYKAGRCGLGSVDNMEHKMWV -SLENELNAIFEENQENISVVVKESNGIYPKGNYPFTGTPEKLKYGWKTWGKKLVFAPVLSNNTFVIDGTP -DDCPYSNRVWNSFEIDEFGAGLTHTRVFLKQRLERKEECDNALLGAAAKGDVAVHGDPNFWMASNKTGEV -WQINELMSLNLKHCTWPLSHTLHGNGVLESDMFVPKSIGGPVSHHNFIKGYKSQVNGPWASVPLEMHRRE -CPDTVVQIDQNCSGRGKSTRSTTKEGKIIRDWCCRNCTLPPVSFDGPDGCWYAMEIRPQKMNEKHLVTSW -VSAGDGMENGNIGLVALFVCFDMFLKNKNTRKISLVGALCLLGAMILGNVGFVDLIKFMIVVGEHFRSFN -HGGDVSYLVLTAVFDIRPALLCGFVLRKKWSPSERVVMAIGMMLLQTVCGDWTQTSWWEWLDAVGLGLLI -LNAVALQRWKPAILVLLTMLTPLNMRVIQGAAGGVCGVMVAMSLWKTEGRSLRKSYLPVIGYVASAFGWG -YSWIMAVYIMWATHVSRRSWPVGELAAAIGLLGAAMGMASTKDGAMVMPIAVLGLIMVIIGMTGKCDGME -IRKVGCVSWEDSAEISGSSSRYDVALSDGGEFQLLENSRPPWNHIIFLTLGMLASAVHPIVLGVVILAWG -WFAGKSQRSGVLWDVPVAPKVEDHGPLEDGIYTIFQNGLFGSSQAGVGVAQGGVFHTMWHVTRGGILLHK -GKRLTPGWASVKSDLISYGGKWRLDGSWDGVEEVQLIAVPPRKNPINVQTKPSIFKLKSGEEMGAIALDY -PSGTSGSPIVNRAGVVVGLYGNGIVLNQGGYVSAISQAAVEEVSRDELPGIEGYLRKGQLTVLDFHPGAG -KTRNFLPQILKACRTRKLRTLVLAPTRVVLSEMKEALNDHDVKYHTQAFSSASSGRELIDAMCHATLAYR -LLESTRVINWEVVIMDEAHYMDPASIAVRGWAAHRARAHECATIFMSATPPGTANEFPESNGGIEDIRKD -IPSEAWNKGHEWILEDRRPTVWFLPSIRSANNIAACLRKANRTVVVLNRQTFESVYPTIKTKKPDFILAT -DIAEMGANLHVERVIDCRTAFKPVLSEDQERVTLKGPMRISASAAAQRRGRVGRDPSRESDTYYYGEDTS -EDNDHLVCWTEASMILDNMEIKGGMVAPLYSVEATKTKMTPGECRLRDDQRKTFRALIKKHELPVWVSWK -VAKAGITPDDRKWCFDGEEDNTVLNDMGEKVMGRSPGGAKKALCPRWSDARLTSDNASLMNFLAFAEGRR -SYMRIVDALIMVPPMLKEKVVDAADTLALLLRSEEGSRAYKLAQESAPEAITTLIMVTFLVLLSAGLVLM -LMWPKGISKMSLGMLTMSVAGYLLLEGGLTQVQVAGILLVFFILMVVLIPDDGSQRSINDNKLAYMMTGI -ILLVGAVAANEMGWLEKTKQDLFGKREEMPGWNWDLGLDLRPGAAWTTYVALATVLGPVIDHWIQVEYGS -ASLTGIANSAGISAFLDKGVPFMKVNMAVVVLFVSAWNSYSMLAIMEGCLMAGIHFCLLIPGLKARAMKK -AQKRIYHGLSKNPVVDGTPTVDIEEAEETPVLYEKKVALALLGVVAALNGIVVRTPFSMAESIVLGSALV -GPFIEGNTSPLWNAPIAVAFAGLMRGHYSSMIGLAYNFWILQNPKRGGGETMTLGQVWKKRLNMLDKKEF -AKYKISDIHEVDRRQARTILDAGITNVGVSVSRGTSKLKWLTDRGYFKPEGRVVDLGCGRGGWSYLAAAA -RETLEVKAYTLGVSGHERPIQIQSLGWNVIKFKDRVDVHRLPIAQCDTVMCDIGESSSSWEQERERTLRV -IDLMENWVAKSRPKYCFKVLAPYSSEVIERLELFQRRFGGGIIRVPLSRNSTHEMYYTSEVTNNIVHMVN -CVSRLLLRRMTNPSGIAILEPDVVFPTGTRNVKGDLGPLDMEKIKMRVSKLKKENLDTWWHDENHPYRTW -HYLGSYVAKQSGSAATMVNGIVKLLSMPWDRIEDVTALAMTDTTPYGQQRVFKEKVDTRAPPPPPGTRKI -MSITNTWLFDFLGRSKQPRLCTKAEFIAKVRSHAAIGNMLEEQEGWKNAAEAVNDPRFWELVSEERELHL -QGKCSTCIYNMMGKREKKPAEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRDNSKGGVEGMGLQ -YLGYVVEDVWKKGNGIMYADDTAGWDTRITEADLEDEQYLLEKMSGTHKKLAWAITELTYKNKVVKVPRP -GPGGKILMDVIARRDQRGSGQVVTYPLNTGTNLKTQLIRMAEGEGIITPEDTLQLSHKNEKNLREWLCTH -GAERLGRMAVSGDDCIVAPIDERFGNALSHLNAMSKIRKDIDDWEPSKPWMKWEEVPFCSHHFHHLLLKD -GRRIIVPCRNQDELIGRARVSPGNGWMIKETACHSKSYGQMWLLMYFHRRDLRLMANAISSCVPINWVPT -GRTTWSLHAGGEWMTSEDMLEVWNRVWILDNPHMSDKSVILEWRDVPYLAKSDDIRCGSLIGTSQRACWA -ANIRSVVEKIRHLVGDEKYKDYLHSMDRYALEHSEIGCLI - ->YP_009268582.1 truncated polyprotein [Lammi virus] -MANKPKKPARRAIDIVRRALPRVSGPKRVLARASKSIMQSLAGLRATVAYLLYMTFLGNKVSNATKQKFR -NAKKSDVIKILSGFKRTVTNLLSSVQKRKKNGKRSKTEISLVVLMLFGTAMAASMFTRDGKAHLNVSSSD -VGKWLQIKTAVGNGTCIVTATDVGSWCADNVRYLCPRLDNAADPDDVDCWCNIVSVYVTYGRCKRESSGP -RRGKRSVALAPHGTGDLHTGTAPMWKAHSSAHHYLQRVERWALRKPGYLAALVAIGWLLGKTKAQKIIYI -TLLVLIGPAYSLQCVDTTNRDFIQGVSGGTWVDVVLDINGCVTITAADKPTVDFKLVKLEITKMAAIRSY -CLKASTSDASSVSGCPGTGEVHNTKAEDTAFICKSSNPDRGWGNGCGLFGKGSMETCAKFTCDKKLAGHV -ISRENIEAEVDISIHGQSGAADDNSTKRKTRKELATVTITPQTASAEADMGDYGKIGIDCSMDIGIDFEQ -VVIADAGGRYWMLRRDWYQDLALPWTAPSANFWHDRDRLIEFGIPHATKQGVHNIGDQEGAFHTAAAKAP -AVEYHESKVRLPTGLLRCRVKMGNMKLKGTTYSLCTEMFTFSKRPVDTGHGTVVFQVSYAGNDAPCKIPV -AVTEKPNGEPTGRLITAHPIILKKDDRAVVEVEPPFGNSYIEIGTATKKITEVWHKPGSSIGSAFVLSYK -GLQRLTVMGEHAWDFGSVGGFFTSLGKAVHHVFGSVFRTLFGGISWIAKILIGGLLVWLGISARDRVLAT -TFIIVGSILLYLATTTVALSEVGCSLDITHREIKCGDGIFIFRDAGGWRDKYIFHPGSPKTLAAAIWRGW -NDGICGVRSTTRMEHEMWKQIENEINGILEENDIKLSVVVKNANGTYPRGSKMLTRNTTGLQYGWKSWGK -TMFVAVPIASDTFIVDGNDEGECPSEKRAWNTFKIEEFGTGIMKTKVFLDLSDAQTEYCDTELLGAAVKG -NKSVHGDPAMWLMSSKDSGEWQLDGLSLTESRRCLWPDSHTIWGRGVQESKLILPAMFGGPVSHMNTRNG -YATQVSGPWNNVPLEMKFEECPGTKVVVEGNCTNRGESVRSTTDSGKIIPEWCCRKCTMPPMSFRTPDGC -WYAMEIRPKKASEESLIRSKVSAGTFQGIDDFSLGLLILIIFIQEGLKRRMTSKYILLASLGLLLAAVLG -DLTYQDIIRYVIMVGTAFAEMNTGGDLVHLALIATFKIQPGYLMPFLLRXIWSPRESTLLASAAVVLQIG -AVAWQSTKSMQVLNALALGWLYIRAIVVPGAISKAMPLICMCVPGVMALTPNAIRISMLTIAAGTMIKGN -KGTSVRKHVPYFLGLVGATIGLDPIGMLGYSLLTYTSGKRSWPAGEIMTAVGLTCAMVGALSGNAMNDIA -GPAAAASLIFVAYAISGRSSDVFLEKAGEITWCEEAAVSGSSPRVDVQVTDGGDFSASPRGRNLVAKEWS -HGILPGSCRSAPPSDSSIGTDLVWIREERETRNSPVGCATPCGYCFAHRRGWVLPSYVEATNRLNTGGRW -SNEGLCVSHNVARHTRSFPNEW - ->YP_009268566.1 truncated polyprotein [Ilomantsi virus] -MQSMVFRTRRPVKRVVDIIKRRLPRVPPPKRVAKMVATKLAAGIGSLKGFLAFFLFMTFSGRKMSKDAHR -RFRTLDKTKALKVLSSFKRVLGNLMKTLQGRKQKTRRSVDTVPFLLLIMGVAVIATTVTTRDGTVYVTMA -PQDVGKWLAIRSRLGNSSCILNAMDVGSMCDDSITYECPVINDGTDPEDIDCYCKGLPIVVTYGRCKNAT -GATTKPTNRRSRRSIALAPHGTGGLHHGDAVTYKTNNAKRFLMRLENWALRNPGYVAVILILSWMMGNTN -KQRAVYVLLMLMIAPVYGHHCSGVSKRDFIQGVSGGTWVDLVLDTQTCVTIVTPGKPTFDFKLNKIEISK -LAKVREYCLQASITDTTTVAGCPSTTEAHNDKRKDALYLCERSYPDRGWGNGCGLFGRGSLDTCAKFACS -KKFSGHMLQRENLAVVITMAVQGGSGATGDDSTKRKSRNELAEVTVTPQAPYVEGDFADYGKVGLECSID -VGVDINEVYTGDAGGKWWMVKRAWFQDLALPWSSPAADFWHDRDRLMEWGTPHATKQSVYTLGDQEGSLI -SSLADAPSIVFNTDKVEFEVGRVKCRVKMENAKLKGSTYLMCKQAFTFEKRPVATNHGTVIFQVKYANAD -APCRVPVAIKELHGAPIVGGLVSYHPIVLKQNDVVTIEIEPPFGDSVIEVGDDAAKLTEAWHREGSSIGE -AFHKTMKGIQRLTVMGDAAWDFGSVGGFFRSVGKAVHSVLGGLFNTLFGGMSWISKILIGVLLVWLGISA -RDHTLAVAFMSVGGILLYLSTLSAAASEVGCSLDMTRHEIKCGDGVFLFRDVNGWRDRYVFHPGSPRTLA -AALWTAWNAGICGARSTTRMEHEMWKQIENELNGILEENDIALSVVVKATNGTYPRGWKRIPRNETGLLH -GWKTWGKTKLVTVPLAKNVFVVDGADEGECESLQRSWNTFKVEEFGTGIMKTKVFLDVNNKVTDMCDTEL -LGAAVKGNRSAHGDPGLWLTASVDSGEWGLDGLSLSESRRCLWPDSHTVWGRGVEDSKLILPPSLGGPVS -HMNTRKGYSTQVNGPWNNVPLDVVFEKCPGTTVNISATCRTRTNSARSTTDSGRIIPEWCCRSCTMPPLT -YRTPDGCWYAMEIQPMNTKEESILRARVSAGLVAGLDNFSLGFLVLMLATQEGLKRRWTSKHVLLTSIAL -LLAMIVGDITYMDLGRYMITLGAMFAEMNSGGDVMHLALVATFRVKPAYLLGFLWRNTWSPRESMLLTCG -AILMQMGMGVLACHHLMDYIHALALGWLFVRAIVVRGMASKAMPLICCMVPLTATIVANATRAGVITLAI -GTMVVGQKGKAVKKAMPYLASLVGAWAGLNPLYMMVIAGLMIRNGRRSWPAGEIMSAVGLTCAMVGAISG -ASTNELAGPAAAAALIFTAYAISGRANDIYIEKAGEISWNTEAQVSGSSPRVDVKVTEGGDFSAPPRDGR -LVAKNWNHWSMPCNCRHSPFCYTRVRTALVRPRGSHSQTWRSSLGCAITSPQDQQSDDGGRCV - ->YP_009056848.1 flavivirus polyprotein [Lammi virus] -MANKPKKPARRAIDIVRRALPRVSGPKRVLARASKSIMQSLAGLRATVAYLLYMTFLGNKVSNATKQKFR -NAKKSDVIKILSGFKRTVTNLLSSVQKRKKNGKRSKTEISLVVLMLFGTAMAASMFTRDGKAHLNVSSSD -VGKWLQIKTAVGNGTCIVTATDVGSWCADNVRYLCPRLDNAADPDDVDCWCNIVSVYVTYGRCKRESSGP -RRGKRSVALAPHGTGDLHTGTAPMWKAHSSAHHYLQRVERWALRKPGYLAALVAIGWLLGKTKAQKIIYI -TLLVLIGPAYSLQCVDTTNRDFIQGVSGGTWVDVVLDINGCVTITAADKPTVDFKLVKLEITKMAAIRSY -CLKASTSDASSVSGCPGTGEVHNTKAEDTAFICKSSNPDRGWGNGCGLFGKGSMETCAKFTCDKKLAGHV -ISRENIEAEVDISIHGQSGAADDNSTKRKTRKELATVTITPQTASAEADMGDYGKIGIDCSMDIGIDFEQ -VVIADAGGRYWMLRRDWYQDLALPWTAPSANFWHDRDRLIEFGIPHATKQGVHNIGDQEGAFHTAAAKAP -AVEYHESKVRLPTGLLRCRVKMGNMKLKGTTYSLCTEMFTFSKRPVDTGHGTVVFQVSYAGNDAPCKIPV -AVTEKPNGEPTGRLITAHPIILKKDDRAVVEVEPPFGNSYIEIGTATKKITEVWHKPGSSIGSAFVLSYK -GLQRLTVMGEHAWDFGSVGGFFTSLGKAVHHVFGSVFRTLFGGISWIAKILIGGLLVWLGISARDRVLAT -TFIIVGSILLYLATTTVALSEVGCSLDITHREIKCGDGIFIFRDAGGWRDKYIFHPGSPKTLAAAIWRGW -NDGICGVRSTTRMEHEMWKQIENEINGILEENDIKLSVVVKNANGTYPRGSKMLTRNTTGLQYGWKSWGK -TMFVAVPIASDTFIVDGNDEGECPSEKRAWNTFKIEEFGTGIMKTKVFLDLSDAQTEYCDTELLGAAVKG -NKSVHGDPAMWLMSSKDSGEWQLDGLSLTESRRCLWPDSHTIWGRGVQESKLILPAMFGGPVSHMNTRNG -YATQVSGPWNNVPLEMKFEECPGTKVVVEGNCTNRGESVRSTTDSGKIIPEWCCRKCTMPPMSFRTPDGC -WYAMEIRPKKASEESLIRSKVSAGTFQGIDDFSLGLLILIIFIQEGLKRRMTSKYILLASLGLLLAAVLG -DLTYQDIIRYVIMVGTAFAEMNTGGDLVHLALIATFKIQPGYLMPFLLRXIWSPRESTLLASAAVVLQIG -AVAWQSTKSMQVLNALALGWLYIRAIVVPGAISKAMPLICMCVPGVMALTPNAIRISMLTIAAGTMIKGN -KGTSVRKHVPYFLGLVGATIGLDPIGMLGYSLLTYTSGKRSWPAGEIMTAVGLTCAMVGALSGNAMNDIA -GPAAAASLIFVAYAISGRSSDVFLEKAGEITWCEEAAVSGSSPRVDVQVTDGGDFRLRHEAETSWLKNGV -MAFCLVLAGVHPLAIPVSGLIWFGYVKSGRRGTVLWDVPHPVATASPTVEDGCYRVMSKRLIGSTQVGVG -VMKDSVFHTMWHVTRGASLTSGEGRMDPYWADVREDLISYGGPWKLNGTWDGNSEVQLIAVQPQQVPVNV -KTTPGRFVMTDGTEVGAVVLDYPSGTSGSPIIDKDGVVVGLYGNGVMLNDSTYASAIAQSAGCENITPLV -FHPDMLRKGKLSVMDLHPGAGKTRKVLPIILKDAVAKRLKTLVLAPTRVVAKEMHSALTGLPVRYQTSAV -QGPNTGTELIDVMCHATFTYRQLTPGRMVNYQLYIMDEAHFTDPASIAARGIIATRVKLGEAAAIFMTAT -PPGSLDAFPESNAHIEDEEREIPDKAWSSGFEWITDYVGKTVWFVPSIRTGNIIASCLSRAGKKCVVLNS -KTFNDEYPKTKSGTWDYVITTDISEMGANFKASRVIDCRTSIKPILTHSPSERVVLGTPKAICSASAAQR -RGRVGRDPAQLGDQYVYGGEVGEDFSNLVHWKEAKILMDNIMVPGGLYPQFYEPESHMLRETDGHFRLDA -TKRDVFKDLVRKADLPIWLAYQVASGGYEYNDRTWCHTGPSGHLIYDDYGQTVEYRLINGERKTLQPKWI -DQRTYQEKTALRTFIEFAEGRRSYVPLLEVMGRMPQHFTDKTIDAVDTFKTVLTATPGSRAYRLAIDNLP -DAAETFLFVLMIGFMTMGILVFLMAPKGVTRMSLGFMTIMAASYFLWVSGMAGYQIAAMQLVSFIMFVVL -VPEPGSQRSVQDNTIAMVLICILSLAALIAANETGLLDKTKGDFAWIKGPAPGGGGSWNLDFTVDLRPAT -SWSLYVVIATMLGPVFEHAIVTQFTSISLTAITQQAGILLSMDKGLPFFNLDWGVILLGIGCWSSITGTT -VACAMGLSFVHFTMTLPGVKAKAAREAQSRTAAGVSKNPIVDGVNTLNVTSPPGMDPMYERKLGLWMLIL -IASVSLAVNKNLTHFMELGILGSAALGPLIEGNSSTVWNTAVASSLCNLMRGQYLAGIPLTFTLIRNLSL -KGVPRRGMTICNTRGMEWKRKLNAMSKDMFQRYKRDGITEVDRTAARDAMKSGNVTGGHAVSRGSAKLRW -LVDKGYLRLMGDVTDLGCGRGGWCYYAAAQRNVTSVRGFTKGGEGHEEPMPVQSYGWNIVTLRSKVDVFY -MPTHMTDTVLCDIGESSSNMLVEEERTLRVLNMFEEWLRKAKPTHYCCKILAPYMPAVLEKLDKLMKIHG -GALVRVPLSRNSTHEMYWVSDARGNAMNAVSSLSRTLLDRMIHSTGKVRWEEDVNLGTGTRAVHSTADEP -NWDKIGLRVKKLEQEYKASWTYDSEHPYKTWTYHGSYETSTTGSASSMINGVVKELTHPWDVNSGVTNVC -MTDTTPFGQQRVFKEKVDTKAMEPPSGTREVMRITNKWLTNYLSRTKRPRMCTPDEFIAKVNSDAALGTM -FNDQGHWPSAREAVRDHGFWKQVDIERQHHLEGRCVSCVYNMMGKREKKLTEFGRAKGSRAIWYMWLGAR -YLEFEALGFLNEDHWLSRDNSKGGVEGIGLQYLGYVLEDINARQGGQMYADDTAGWDTRITNADLEDEME -IIKLMGHTHKKLANAIMDLTYMNKVVRVMRPGKGGKTLMDIISRKDQRGSGQVVTYPLNTWTNLKVQLIR -MAEGEGVILPEDTLALTACSRRNLEMWLTRNGEERLGRIAASGDDVVVKPIDDRFAEALHFLNSMSKIRK -DINEWRASTGWCSWEGVPFCSHHFHKLSLKDGRTLTVPCRDQDELIGRARVSPGAGWTLRETAGLSKAYA -QMWMLIHFHRRDLRTIAFAICSAVPKDWVPTGRTTWSIHGRGEWMTNEDMLAVWNRVWITENQYMTNKEL -VHDWRDIPYLRKQLDKNCGSMIGVRSRATWADNIRVSVNQVRGYIGKNEQYLDYLQAQNRFSIPAEFTMG -NILV - ->YP_009056847.1 flavivirus polyprotein [Ilomantsi virus] -MQSMVFRTRRPVKRVVDIIKRRLPRVPPPKRVAKMVATKLAAGIGSLKGFLAFFLFMTFSGRKMSKDAHR -RFRTLDKTKALKVLSSFKRVLGNLMKTLQGRKQKTRRSVDTVPFLLLIMGVAVIATTVTTRDGTVYVTMA -PQDVGKWLAIRSRLGNSSCILNAMDVGSMCDDSITYECPVINDGTDPEDIDCYCKGLPIVVTYGRCKNAT -GATTKPTNRRSRRSIALAPHGTGGLHHGDAVTYKTNNAKRFLMRLENWALRNPGYVAVILILSWMMGNTN -KQRAVYVLLMLMIAPVYGHHCSGVSKRDFIQGVSGGTWVDLVLDTQTCVTIVTPGKPTFDFKLNKIEISK -LAKVREYCLQASITDTTTVAGCPSTTEAHNDKRKDALYLCERSYPDRGWGNGCGLFGRGSLDTCAKFACS -KKFSGHMLQRENLAVVITMAVQGGSGATGDDSTKRKSRNELAEVTVTPQAPYVEGDFADYGKVGLECSID -VGVDINEVYTGDAGGKWWMVKRAWFQDLALPWSSPAADFWHDRDRLMEWGTPHATKQSVYTLGDQEGSLI -SSLADAPSIVFNTDKVEFEVGRVKCRVKMENAKLKGSTYLMCKQAFTFEKRPVATNHGTVIFQVKYANAD -APCRVPVAIKELHGAPIVGGLVSYHPIVLKQNDVVTIEIEPPFGDSVIEVGDDAAKLTEAWHREGSSIGE -AFHKTMKGIQRLTVMGDAAWDFGSVGGFFRSVGKAVHSVLGGLFNTLFGGMSWISKILIGVLLVWLGISA -RDHTLAVAFMSVGGILLYLSTLSAAASEVGCSLDMTRHEIKCGDGVFLFRDVNGWRDRYVFHPGSPRTLA -AALWTAWNAGICGARSTTRMEHEMWKQIENELNGILEENDIALSVVVKATNGTYPRGWKRIPRNETGLLH -GWKTWGKTKLVTVPLAKNVFVVDGADEGECESLQRSWNTFKVEEFGTGIMKTKVFLDVNNKVTDMCDTEL -LGAAVKGNRSAHGDPGLWLTASVDSGEWGLDGLSLSESRRCLWPDSHTVWGRGVEDSKLILPPSLGGPVS -HMNTRKGYSTQVNGPWNNVPLDVVFEKCPGTTVNISATCRTRTNSARSTTDSGRIIPEWCCRSCTMPPLT -YRTPDGCWYAMEIQPMNTKEESILRARVSAGLVAGLDNFSLGFLVLMLATQEGLKRRWTSKHVLLTSIAL -LLAMIVGDITYMDLGRYMITLGAMFAEMNSGGDVMHLALVATFRVKPAYLLGFLWRNTWSPRESMLLTCG -AILMQMGMGVLACHHLMDYIHALALGWLFVRAIVVRGMASKAMPLICCMVPLTATIVANATRAGVITLAI -GTMVVGQKGKAVKKAMPYLASLVGAWAGLNPLYMMVIAGLMIRNGRRSWPAGEIMSAVGLTCAMVGAISG -ASTNELAGPAAAAALIFTAYAISGRANDIYIEKAGEISWNTEAQVSGSSPRVDVKVTEGGDFRLRHETED -SWLKTGIIGLCLVIAGIHPFAIPVSGLLWYGLVARTAKRGGVLWDVPSPAHKTSNPMTEEGVYRVMSKRI -IGSSQVGVGIMYENTFHTMWHVTRGASLSSGEGRIDPYWADVRDDLISYGGGWKLTGKWDGVSEVQLIAV -PPNKVPENVQTRPGKFVMSTGQEIGAVVLDYPPGTSGSPIVNRDGNVVGLYGNGVMLNDTTYASAIAQTE -ARDTGAPQAFQSDMLRKGQLTVLDLHPGAGKTRKVLPEILREAVNINLKTLVLAPTRVVAREMAEALKGL -PVRYQTPSVVSESTGRELIDVMCHATFTYRQLTPGRIVNYQLYVMDEAHFTDPASIAARGIISTRVKLGE -AAAIFMTATPPGTSDPFPESNSVINDEEREVPDSPWNSGFEWITEFSGKTIWFVPSIRVSHLIASCLCRA -GKKVIILNSRTFNEEYSKTKGSDWDFVLTTDISEMGANFKAERVIDSRLTIKPQIQQMPSERVVLGAARA -VTPASAAQRRGRIGRDPMRHGDQYIYSGPVSSDDMEMAHWMEARILMDNITVPGGLYPQFYEPERSRCSD -TDGFHRLDETKRGVFRDLVKRAELPIWLAYQVARAGIPYEDRKWCHAGPASHLIFDDFGQTVEYRSLNGE -KRILQPTWIDQRVYQERSALKAFTEYAEGKRSAVQLWDVVGRLPQHFSDRIVDAADTLKTIMTGDPSTRM -YRHAVDNLPEAAETAVFLGMIFAATMGFVMFMMMPKGITRMSIGFLVMIMATYFMWSSGMAGYQIAAVQL -LAFIFFLVLVPEAGGQRSIADNHIALTLIAVVSVASLIAANETGLLKTTKSDLGKLVGWDKVSDTPAGGW -KGISSLFDFEIDLKPSIAWSAYVVIATFMGPVLEHAILTDYASLSLAGIASQAGILLSMDKGIPFMDLEW -GVILLGIGCWGGLTPTSMAMGVFLAAIHFSMTLPGVKAKAARDAQLRTGAGISKNPLVDGINTLHVGPTP -VMNPMFEKKLGLWLLILVASLAVAVNRKMKFFVELGMLTSAAVGPLIDGHPSAMWNTSMATSVCGIMRGH -HLGGIPLLYNLIRYVGGKTTPRRGRRVNYTLGIQWKKRLNAMDKGKFSAYKRDSIVEVNRVKAQEALKAG -NLTSGASVSRGSAKLRWLVEKGFLKLQGTTVDLGCGRGGWSYYAAAQKSVSRVRGYTKGGPGHEEPVNVQ -TYGWNLVTMRSGVDVFYHGSEKCDTVLCDIGESSSNYVVEEERTLRVLNMFERWVKEQKPESFCCKVLAP -YVPSVIERMDGLMKNHGGALVRVPLSRNSTHEMYWVSGAKGNAMNAVSALSRQLISRMDHPRNILYVEDD -VDLGTGTRAVMCEAEQPDLPKIKARIDKLKSEYASTWHVDNEHPYRTWTYHGSYETKTTGSSSSMINGVV -KEMSKPWDLVYGVTSVCMTDTTPFGQQRVFKEKVDTKAPEPSAGTREVMRIVAKWLHHELSKKKTPRLCT -PDEFIRKVNSDAALGTMFSDQQGWASAREAVADRRFWDLVARERFNHLHGTCETCIYNMMGKREKKSTEF -GKAKGSRAIWFMWLGARYLEFEALGFLNEDHWLSRENSGGGVEGIGLQYLGNVLEEMSLKTGGCFYADDT -AGWDTRVTNADLEDEMQILGKMGATHQKLATAIMDLAYMNKVVRVMRPGVGGKTVMDVISRKDQRGSGQV -VTYPLNTWTNLKVQLIRMAEGEGVIHPEDVNGLSASSRRNLEMWLIVNGRNRLERTATSGDDVVVRPIDD -RFSTALYFLNDMSKVRKDISEWKPSTGWDHWEGVPFCSHHFHKLQLRDGRTIVVPCRCQDELIGRARVSP -GVGWSIRETAGLSKAYAQMWLLMHFHRRDLRMMGLAICSAVPSDWVPTGRTSWSIHAKGEWMTNDDMLEV -WNRVWIEDNPRVINKETVKDWRDVPYLHKGQDIRCGSLIGVRSRTTWAENLNVAVNQVRGYIGRGEKYIN -YLSIQKRFAEPEMFRMGSILL - ->YP_009026410.1 polyprotein [Nhumirim virus] -MVTKSRKPAAKRAVNMLTRITKRVLSPLEGAVKLVKNVFLGKGPSRAILAVCAMLRFMAMRPSTLLKQRW -HRIDRKEGSKVLGKFKHVLGDMLKDMNARKMRTNRTRRARRGMGIPLCSAVLWTMVACVTVGTFDNKPLI -TIKANEVGRAIHIPQRHGNLTCVVNANDVGQMCDDSITYLCPDIDTTDRDDIDCWCSGGDVYVKYGRCHS -DNKTAPHRRSRRSVALSPHGEGGLKVRGNKWLATDASVLHLQKVERWMLSNPGYALVAGVLGAMLGTTMV -QKVVITGLLLLVAPAYSTHCVRSNTRDFVQGISGGTWIDVVLEGDGCVTIMAEGKPSVDLSYIRTRLTSM -AKIRTYCLEGAISDTSTVSRCPSMGEAYNEKRKDTSYVCHQGTSGRGWGSGCGLFGQGSLDTCGKFACSK -KMIGYKATVENIEHSLRLTVHGSVHGDKVADESHLATQKLGKTFAITPKAPEVVVDLGDYGQASVSCQKE -AGLDYENTIVLAVGTEATNSKVWLVNQQWFEDIALPWISGEEDLWRNKERLVEFLGPHATKQDIVVLGDQ -EGAIMHALVGTTKISIASNAASVFAGHLTCRVKMENLKIKGLTYPNCEGTYSFVKVPSDTGHGTMITEVK -STTSSVPCRLIVGFEDASGKVLSGRIITTNPIITASGTGVVVEAEAPFGPSTFTVGMGTQMIKYHWHRKG -STIGAALASVVTGAKRVAVIGDSAWDFGSVGGIFNSMGKAVHQIFSGLFTALFGGMSWVTKVLVGALFVW -IGASAKSEKIAISMLAIGGILLFLATSAHAEVGCSMDMNRKELKCGKGIFIHNDVDTWTEQYKYHPLSPQ -ELAGVILDAKARGFCGLASTTRLEHMMWNAVAPELNAILEENAKDLTIVVGKTNNTFPRGSGRFSEAAPL -EMGWKHWGKRLIFEAPQSNNTFLVDGTEEQCPYATRIWNAFEIEDFGVGVFHTSVWLKINEKKNDLCDSA -LLGAAVKGDVAVHGDPGMWMESVKNRTWELVRLSLGEIRRCIWPDSHTIWGKGVEESKLILPPSLGGPVS -WHNTRTGYATQTAGPWHLAPLEVKFELCPNTNVTLDRNCTGRKPSARSTNKHGKIIPEWCCRGCTMPPLS -FWSAEGCWYGMEVQPVKAHEDTLVRSWVTAGQMTGIDNLSLGVLVMTIMLSKVWDARWEPRNMLKGGLIL -LVLMIVGKVTYADIFRVIVLVGATFADMNNGGDLLHLALTATFKLQPGYLLAFVLRKFWTPTESLLLVVA -GCLAQMAVETLWSQVDMTALGVLNATGMAWLIMRAISVPTTSSVALPAIAFLSPLGAWTVLGSFKSFVIT -VALVSFLSHTRSASEKRGGAAPFVGLVLSATMGLNSWIMALSVAMTRHKSGKRSVSMGETWAILGILFTG -LGMATGGGSTAWALCAGVGSVLLVMFVLAEKSVDLMLERASDCSWDQGAVHSGSSVRLDVQRNPSGDLDI -INGPEMSVAENLAEVGIMVMNSISPALFPALIGWWRSGSATQRAGAMWDVPVPPMLVKVDKPDGVYRIIK -TAWMGRMQAGVGVMLDGVFHTMWHCTHGASIMIGDERLNPAWASVKDDLISYGGPWKLTGKWDGTSEVQL -LAVPPGKPAENVQTKPGIFNIDGKEQGAICLSYPTGTSGSPVLNEKEEVIGLYGNGILMSGDFISAISQA -DEKDMECSKSYVEDDITNKGKLTILDLHPGAGKTRKILPELVRMAVERRLRTLILAPTRVVACEMAAALS -DFPIRYCTSAVPGRGNGREIVDLMCHATYTHRLLNPSRPVNYEFVIMDEAHFLDAASIAARGVIATKVEM -KTLAAVFMTATPPGSADPYPHSNSPIADIEQAIPNKAWSKGYEWITTFTGKTVWFVPSIRTGFELGNCLA -KQQKKVIHLNRRTFDENYSKARNSEWDFVMTTDISEMGANFNADRVIDSRECFKPMIKMDSNGNERVVLE -GPIPITASSAAQRRGRVGRRKDCSGDEYVFCGKTSEENGDHVTWTEARILLDNINVRGGLLANLYKPEQS -KVATATGEFRLRDEERKVFLELLKVADLPVWLSYQVARERIGYKNRDWCFDGPAENSIVEATGETVEVTR -LVGGSKRLQPRWLDERVFADAASLNSFKLFAEGRRGAMDLLSIVRELPHHMNLKLIDAIDTLMVLHKGDD -GGRAYQMAVAKAPEALEMILIIAMASTLTFGVFFMLMRSKGLSKMTLGLGVMVGSSGLLLQAGVPTAQIA -GVLIVMFVIMVVLVPEAEKQRSALDNDIAKIVIAALLVTLMIAANEKGLLEVTKRDMRGLFGTKARTLET -PEPWITMPDMKPATAWALYAVATVFISPVLGHFLNNHYHNVSIASLGQQASILFTMSNGWPAPELTAAVP -MMMVGIWNYIDQWALVGALCALMIHYSVLAPGIKAVASRAAQKRAAVGLMKNITQDGIPAVDIDDAPPLD -PQLEKKMGMWMLVGLSLLSVFVQRSASSFAEAGILMTSAAATLTEGNAPKVWNTTTAVSMAHVIRGGFVA -TIPLAYTIWRNASVKSARRGTPGGRTLGMYWKERLNCMGKGEFESYKVSQIWEVDRAPARKGIEEKDFRT -GWAVSRGSAKLDWIISRGYLKPEGTVVDLGCGRGGWSYVVAGLKRVTSVKAYTIGGWGHENPLVRPNYGW -NLIQFKSKCDVMWMGTQPCDTVMCDIGESSADYRIEQTRTLKVLDMFERWLVERKPAAFVCKVLCPYTPA -VLGKMENLQRRFGGGLVRNPFSRNSTHEMYWVSGARGNVHTAVSELSQVLLKRIGGNKGPIIKDDIIHGC -GDRKALGNADKPDMKAIGMRIERMKNEFSQSWHEDDEHPYKTWTYHGSYETATTGSASSMVNGVVKMLSR -PWDVITEVVNTAMTDTTPFGQQKVFKEKVDTRTTEPRPGTRRVMEIVNRWLWAHCAREKVPRLCTKEEFI -SKVNSNAALGAVFQDENQWSTAKEAVQDDRFWHLVSLEREAHRNGQCRACVYNMMGKREKKHTEFGEAKG -SRAIWYMCLVSRFLEFEALGFLNEDHWMARENCHGGVEGLGLPRLGYILEEIGQLPGGKMYADDTAGWDT -RITEADLEDEQGVLQLMGYEHRKLAEAIMELTYHHKVVRVMRPGRKGKTIMDVISRRDQRGSGQVVTYAL -NTFTNLKVQLIRMMESEEVINAANVFKLNEEDEQAIWNWLDWSGVDRLSRMAVSGDDCVVKPVDDRFADS -LTHLNEMGKIRKDTPEHEPSRGWVNWEEVPFCSHHFHKLPLKDGRHLVVPCREQDELIGRARVSPGAGWT -VRETAALGKAYAQMWKLLYFHRRDLRLMANAICSSVPVDWVPTGRTTWSIHGRGEWMTSEDMLDVWNRVW -IIENPNMKDKTPVTGWRDVPYIPKREDMWCGSMINVPSRATWAENIKVACSQVRSMIGNGEKFKDYLCEM -QRYEPKIVSCTHGVL - ->YP_004734464.1 flavivirus polyprotein [Tembusu virus] -MSNKKPGRPGSGRVVNMLKRGTSRGNPLARIKRTIDGVLRGAGPIRFVLALLTFFKFTALRPTIGMLKRW -KLVGVNEATKHLKSFKRDIGQMLDGLNKRKAKRRGGSCSWIIMLLPIVAGLKLGNYNGRVLATLNKTDVS -DLLVIPITAGSNGCVVRALDVGLMCQDDITYLCPKLEYGYEPEDIDCWCNETEIYIHYGRCTPSRHGRRS -RRSVNVHHHGESLLEAKNTPWMDSTKATKYLTKVENWALRNPGYALAAIFIGWNLGTTRSQKIIFTIMLM -LIAPAYSFSCLGMQNRDFVEGVNGVEWIDVVLEGGPCVTITAKDRPTIDVKMMNMEATELAVVRSYCYEP -RVSDVTTESRCPTMGEAHNPKATYAEYICKKDFVDRGWGNGCGLFGKGSIQTCAKFDCTKKAEGRIVQKE -NVQFEVAVFIHGSTEASTYHNYSAQQSLKHAARFVITPKSPVYTAEMEDYGTVTLECEPRSGVDMGQFYV -FTMNTKSWLVNRDWFHDLNLPWTGSSAGTWQNKESLIEFEEAHATKQSVVALASQEGALHAALAGAIPVK -YSGSKLEMTSGHLKCRVKMQGLKLKGMTYPMCSNTFSLVKNPTDTGHGTVVVELSYAGTDGPCRVPISMS -ADLNDMTPVGRLITVNPYVSTSSTGAKIMVEVEPPFGDSFILVGSGKGQIRYQWHRSGSTIGKAFTSTLK -GAQRMVALGDTAWDFGSVGGVLTSIGKGIHQVFGSAFKSLFGGMSWTTQGMLGALLLWMGLNARDRSISM -TFLAVGGILVFLAVNVNADTGCSIDLARKELKCGQGMFVFNDVEAWKDNYKYYPSTPRRLAKVVAEAHEA -GICGIRSVSRLEHNMWVSIKHELNAILEDNAIDLTVVVEENPGRYGKTNQRLPNVDGELMYGWKKWGKSI -FSSPKMSNNTFVIDGPKTKEYPDERRAWNSMKIEDFGFGVLSTKVWMEMRTENTTDCDTAVMGTAIKGNR -AVHSDLSYWIESKNNGSWKLERAVLGEVKSCTWPETHTLWSDSVVESELIIPKTLGGPKSHHNTRTGYKV -QSSGPWDEKEIVIDFDYCPGTTVTVTSSCRDRGPSARTTTASGKLITDWCCRSCTAPPLRFVTKSGCWYG -MEIRPTAHGDDMLIKSKVMAFQGGGMEPMQLGMLVMIVAAQEILRRRMTAPIAWSALLLLMALVLFGGIT -YSDLVKYVILVAAAFAESNTGGDIVHLAMVAAFNIQPGLLIGFLLRRKWSNQESRLLGVALALITVAMRD -LNMSIPTLLNSGAMAWLLLRAVFEGTVSSFALPLVSLLAPGLRIVGIDVVRIGVLTLGILSLLKERSNAM -AKKKGGMLLGVACATAGIASPLVFAGLHMVLKPVTRRGWPVSEALTAVGLTFALAGGIAQFDDSSMAIPL -AVGGIMLVVAVVTGFSTDLWLEKASDISWSEEARVTGASQRFDVEIDQDGNMRLLNDPGVSLGVWAFRTG -LILLSSYNPYFLPLTLAGYWMTTKAKQRGGVIWDVPAPKERKRAEVGNGVFRIMARGLLGKYQAGVGVMH -EGVFHTMWHVTNGAVIQAGEGTLVPYWASVRNDLISYGGPWKLGKQWNGVDEVQVIVVQPGKEVINVQTQ -PGIFKTQYGEVGAVSLDYPTGTSGSPIIDKEGQVVGLYGNGILVGSGDFVSMITQGEKKEEEVPQVFDEN -MLRKRQLTVLDLHPGSGKTRKVLPMILKSAIDKRLRTAVLAPTRVVAAEIAEALKGLPIRYLTPAVKREH -TGTEIIDVMCHATLTARLLTPQRVPNYNLFIMDEAHFTDPASIAARGYISTKVELGEAAAIFMTATPPGT -TEAFPDSNSPITDIEEQIPDRAWNSGYEWITDFQGKTVWFVPSVKSGNEIAVCLTKAGKKVIQLNRKSFD -SEYPKCKSGEWDFVITTDISEMGANFGAQRVIDSRKCIKPVIIEDGEGSVQMNGPVPITSASAAQRRGRV -GRDVTQIGDEYHYSGPTSEDDHDFAHWKEAKILLDNINMPDGLVAQLYGPERDKVDAIDGEFRLRTEQRK -HFVEYLRTGDLPVWISYKVAEAGISYNDRRWCFDGPLCNTVLEDNNPVELWTKSGEKKILKPRWRDGRLW -ADHQALKAFKDFASGKRSAIGILEVFRMLPDHFAHRMTESMDNIYMLTTAEKGSRAHREALEELPETLET -FLLVFMMTVASMGVFLFFVQRRGLGKTGLGAMVMATVTVLLWIAEVPAQKIAGVLLVSLLLMIVLIPEPE -RQRSQTDSHLAVFMIVVLLVVGAVASNEMGWLEQTKKDLSALFGRKSDSHQETWSMPWPDLRPATAWAAY -AGATTFLTPLLKHLIITEYVNFSLMAMTAQAGALFGLGKGMPFVKADLSVPLLLLGCWGQFTMTTTVSAV -MMVILHYAFLVPGWQAEAMRSAQRRTAAGVMKNPVVDGIVATDVPDLEASTPITEKKLGQCVLVGIALVA -VFLTPNTLTLTEFGMLTSAASVTLIEGAAGRIWNATTAVAMCHLLRKNWLAGASLAWTITRNLQAGTLRR -GGGTGRTLGEAWKAQLNQLTRQEFMEYRKDGIIEVDRAAAKRARREGNVTGGHPVSRGTAKLRWLVERGF -LKPRGKVVDLGCGRGGWSYYCATLKQVQEVRGYTKGGPGHEEPVMTQSYGWNIVTLKSGVNVHFKPTEPS -DTLLCDIGEASPVPEIESARTIRVLQMAEEWLARGVEEFCIKVLCPYMPAVIKELERLQLKWGGGLVRVP -LSRNSTHEMYWVSGSSGNVTNSINTVSQMLINRMHKTNRNGPRYEEDVDLGSGTRAVSCTRQRTDWGMVA -DRVKNLAREYAPSWHYDQDNPYKTWNYHGSYEVKATGSASSMVNGVVRILSKPWDTLQNVVNMAMTDTTP -FGQQRVFKEKVDTKAPEPPAGTARVMNIVARWMWNFVGRNKQPRMCTKEEFIEKVNSNAALGAMFEEQHK -WASAREAVEDPEFWSLVDRERELHLQGKCETCIYNMMGKREKKMGEFGKAKGSRAIWYMWLGARFLEFEA -LGFLNEDHWMSRENTKGGVEGLGLQKLGYVLRDISAKEGGLMYADDTAGWDTRITKADLENEAIILEKME -PMHRAVAEPLIKFAYMNKVVKVMRPGRDGKTVMDVISREDQRGSGQVVTYALNTFTNLCVQLIRCMEGEE -LLLPEETERLKKGKEKRIQEWLQKNGENRLSAMAVSGDDCVVKPADDRFATALHFLNSMSKVRKDTQEWK -PSTGWRNWQEVPFCSHHFHELQMKDGRKIVVPCRDQDELIGRARLSPGSGWSLTETARLSKAYAQMWLLM -YFHRRDLRLMANAICSSVPVSWVPTGRTTWSIHGKGEWMTSEDMLAVWNRVWIEENEHMEDKTPVTSWNE -VPYLGKREDGWCGSLIGHRARSTWAENIYTPIMQIRALIGPEHYVDYMPTLNRFKPIESWSEGVL - ->YP_001040004.1 flavivirus polyprotein [Aroa virus] -MARKPGRPGGNRVVNMLKRTAANAASPLGLAKRLLGDAFAGRGPLRVILAVVAFFRFTAIKMSPALLKKW -GTVEKGAAIAIMKSFKKEIGSMLDVVARRKTKNKGKRSVESSALLVLLTACLALGFKVVTGPEGPIMDVT -KKDVGKALEIPFQNFNNTCWVMAMDVGHPCEDTIEYECPSLDIGAEPNDIDCWCDTMPMRVRYGRCTKGS -IPKRSRRNAVFPQHTENVLATRQETWMNTDVIMKHLIKVETWALRNPGFALVAITLGWMLGSNRSQKIIF -TILLLLVAPAYNMRCIGVENRDFVEGLSGGTWVDVVLEHGGCVTVRVEGKPTLDFELVQTKATGLAAVRA -YCYQAKVSDIQISAACPAVQLTENSKATDSNYLCRRGVTNRGWNNGCGLFGKGDIHTCVKFKCEKKAAGF -SIGKENLEYEVRASVHNSIGADKFSNELADGEPHTQKMKFSPLSPSAEKSFGDYGTLGMDCEPQSGLDFG -QLYLMTIESKSWLVNRDWYHDLHLPYTVGSGSQWNNREALVEFQEPHATKQEVLALGSQEGALHSALAGA -IMANRETSSPHALLLTAGHLKCRIKMDKMVIKGITYGQCSGTFKMEKHPADTGHGTVVLDVSYQGDDAPC -KIPIVITSNLAEVEPVGRLVSAHPVITAKNVRTMLEVEPPYGDSYIVIGVGDGRLKQHWFKKGSVIGGAF -STTMKGAKRLAVLGDAAWDFGSVGGVFNSLGKAVHQLFGGIFRTLFGGMSWLSRLMIGALCLWIGINARD -HSIAVTMLSVGGILIFLSINVSADTGCVVDIERKELKCGSGIFIMNDIEAWRDEYAFHPSGPKALAASVV -EAFSQGVCGVRSVNRLEHKMWESIADELNAILEENEREITIVVKDMENPAQKGKMRLRPVEKELKYGWKK -WGASFFKRASRKNATFLVDGPSGEECPNSNRAWNSFFIEDFGFGVFKTSVWLGLNEQMTEVCDTKMIGTG -VKNDRAVHSDLGYWIESRKNLTWEISRARLIETKACIWPRSHTLWSDGIEETQLIIPKSLGGPRSRHNMR -SGYKTQINGPWDQIPLDIKFEECPGTSVTVTPNCGGRGPSARSTTASGKVIADWCCRDCILPPLTFRSGE -TCWYAMEIRPVSEREETLIRSKVSAGDGNEIDTFSLGLLVAMLVTQEGLRKRWATRHIMVASLTMLAAMV -TGHITYRDLLRYVVLLGATFAQINDGGDVMHLALVAVFKVQPGFLLGFLLRRRWTPRESMLLAISACFLH -LVFSELSTDITTLAHNFSLALLILRAIIQTDVSSVTLPVLSMMAPSFQLSVLGTFRMAVAVYVIVNLMMS -KRNDAVKKAAPSVVAAALGQFGMVNATAALGTLYVLEKHGKRSWPPSEIFSAVGVLCALVGALGNVQSTP -LAGPMAACGLLIAAYVVTGKSTDIEIERAGLISWSEDAEVSGSSPRVDVALDENGDFSLIDGQGPSLESV -ILKTALVAFSGLFPVSIPFCAAAWYLHGKSGRRAGALWDIPAPREVKKGSTENGVYRILANRLFGKTQVG -VGVMHEGVFHTMWHVTRGAALKSGEGRLDPYWGDVKKDLISYGGPWKLEGRWDGVSEVQLIAVPPKEKAK -NVQTTPGVFKTPHGEIGAIVLDFPAGSSGSPIINKLGEVIGLYGNGLMMGDAYASSIAQAEVEDEPDTPN -CLPPDVTHKKKLTVLDLHPGAGKTRKVLPKLLQEALEKRLRTVVLAPTRVVAAEMAEALKGMPIRYQTAA -VTSSHSGNEIIDLMCHATFTSRLMQPHRVPNYNLYIMDEAHFTDPASIAARGFIATKVSLGEAAAVFMTA -TPPGSDNPFPASNAPITDTEAQIPDKAWSTGFDWITEYGGKTVWFVPSVRMGNEIAACLTKAKKKVIQLS -RRTFNTEYPKCKQGDWDFVVTTDISEMGANFKATRVIDSRRAIKPSIMQDQEERVVLSGPTPISPASAAQ -RRGRVGRNPNQLGDEYVFSGLTQANDEGNACWTEARMLLDNIHMQNGLIAQLYGPEQDKCFATDGEFKLR -EKERATFLEFLKADLPVWLSFKAASSGVQYHDRKWCFDGPDNNLVLEDNVPVEIWTKSGERKKLKPRWSD -ARTYCDHGALTAFKEFAGGRRSVTTGLLEGVGRLPEHLGQRLKESIDTLYLAFTAEVGSRPHREAMQEMP -AALETVLVFFLLMIMTGCTFFLLMRHKGINKMGYGMVVMSAVGGLLWYGNVPAPKIAGILLLTFLLMVVL -IPNPEKQRSIQDNQLALVVLGCLMFLGGIAANEMGMLERTKQDLAGVFHKTERKSTEFTLLTPPDLRPAT -AWSIYAIGTTLITPLIHHMITTHYANFSLMAMANQAGSLFGMQTGAPFSKMDWAVPAIVVGCWQQLTPAT -LMTALVLLAVHYIYMIPGWQAGAARAAQRRTAAGIMKNPVVDGLVVTDIPTLEEVDPLVEKKLGQYILLA -VAIAAAVLRQDLQSWSECATLSAAAAATLWEGSPGKIWNASTACSLVNIFRGHTLAAVPFMFTILRNTGN -TGKRGGVEGETLGEKWKHLLNAMDKYEFSRYKVNGIFEVDREPARMALANGLVTSGHAVSRGSAKLRWMV -ERAAVRPTGRVIDLGCGRGGWSYYCATLKQVQEVRGYTKGGPGHEEPRMVQSYGWNIVTLKSGVDVFHRP -AEVGDTILCDIGESSATPEVEEARTLKVLEMVEPWLKNKPEFCIKVLCPYRPKVIERLSALQRTYGGGLV -RVPLSRNSTHEMYWTSGTAGNIINAVNLTSKVLLHRMEKKWIGPRYEKDVNLGSGTRAVIVKRKAPDMDK -IGNRVKRLKEEHIATWCYDDMNPYRTWNYHGSYEVKPTGSASSMINHVVKMLSKPWDTLNSVTSISMTDT -TPFGQQRVFKEKVDTKAPEPPTGVAEVMDIISDWTWRLLSRQKKPRLCTRDEFKAKVNNHAAMGSIFEEE -HQWQTAKEAVEDPGFWALVDREREAHLAGRCETCVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEF -EALGFLNEDHWLSRENSYAGVEGLGLQRLGYVLRDISRRPGGKMYADDTAGWDTRITEKDLDNEAKIIDQ -MEGEHKQLAKAIMELTYRHKVVKVMRPGPGGKTYMDIISREDQRGSGQVVTYALNTFTNMIVQLTRCAEA -EGVLIPSMRERKLTPAEHRALLLWLDTEGVKRLKKMAISGDDCVVKGEDERFATALYFLNAMAKVRKDIQ -EWKPSSGWADWQEVPFCSHHFKELQLKDGRTIVVPCRHQDELVGRARVSPGAAWTVRESAGLAKAYAQMW -KLMYFHRRDLRLMANAICSAVPKDWVPTGRTTWSIHGKGEWMTNEDMLEVWNRVWIRENPHVEDKTEVAD -WKDVPYLGKREDQWCGSLIGSRTRATWAENIWVAVNQVRAKIGKEEYSDHLSSQQRFENWGEVRFSGVL - ->NP_872627.1 polyprotein [Yokose virus] -MKNRRQNTRISGVNIKKQNPKNKKQTKIKTSVVKRGKRSVQPFGFLNPIMRVRALIVYLITMILTGRQWT -KGMKLFWKRVNPQMAIATLKRVRNITTNLLTGLMKRKRRSSVSCELLLTLLLMVTVGALQIGRMRFAGKI -VLSINATKYDIGKTFDIMNGTCTVMAVDVGNWCDDYVIYQCPTLTENENPEDIDCWCYGVPNVYVTYGRC -KHDGQPRRNRRSVALTNHVEETLNTRGERWAVDKIGKQQFEKIERWIIRNPLYALAVVGISYMLGSNVGQ -RIIICILLLLVAPAYSTHCTNVEKRDFLQSTSGSSWTTVVLEHGGCVTLSAKDKPSVDVWLSSVTINNPP -LTRKVCYKATLSEHKTANHCPTAGEAFLDEESDDQHICKRGFSDRGWGNGCGLFGKGSIVACSKFTCNHD -MHLMEIDQNKIHFKVTAQVHTSERDATSKEWKRETEFTMASGPQVIGFAGYGTATVSCNLKTSMDLNNYY -VSKMADEYFLLNKQWVMDLPLPWTPATATSWREKHYLTHFDEPHATTVTVTSMGNQEGSLKASMVGATKI -SYSENKYHIKGGHAVCQIQLQGLTMKGSTYTMCKGGYSFSKTPVDSGHQTVIMKVKVSKATPCRIPVAVI -DSMQSNINRGVVVTTNPVAFEAATEVMIEVVPPFGESVITIGNGEDRLTYQWHQEGSTIGKAFSETLKGL -ERLTIIGDDAWDFGSTGGIFNTIGKAIHTVFGGAFSAVFGGVSWIVKLLLGVAFLWLGVNARNGTLTLIL -MTLGGILIFLGTGVGAEQACAVSWERREFKCGDGMFIFRDTNDFLGKYKMYPTSPREIAAVIAQTFSNGA -CGLNSVDELEHQMWKGIEDEINHVLSENGLDLSVVVQDPHNIYRRGGKSFSPAQRTLEYGWKTWGKSFYS -AVERKNNSFIIDALDQNECKNAERVWNSLELEEFGFGVFKTKLFLKPRFDYSKKCPTYLLGTAVKHARAV -HGDQNMWMESEHVNGTWQITRLELQSYRECLWPPMHTAGITSVMESDLFMPRELGGPVTLHNHIPGYATQ -VYGAWHLPNMELKREECPGTTVQVKDNCGKRGPSVRSTTASNKVIPHWCCRSCTMPPVTYRTANECWYAM -EIRPENMQEEHLVKSWVSAGEGRMCDHFSGGLFALIILMDLIIHHRLSPRKHLLLILLLALLTVFGGVSM -RDLARCLLLLGHTFNTMNSGTEVAHLAMVALTKLRAGFITGYFLARPLGPRGKFLLVVALSLLQTTLPMN -MEFMEWADSLGLVLALADALYTMHADSWTMLGLIALNPLGNHMLVKTVTTILFSVCAFVLVTRKSTSIRK -TYPQLITAGLVELGMLRGWILGLLVGLHRKNNGKVRSIDWTDGVTVLGVVAGLLGMLCGEREELLAPFVI -GSILLLCYVFSMKSDGLELERVADVTWDEEAEYSGEAERFDVTVNHNGEFNLIDQKPVPWSHVLMGTGLL -IVSTVHPAGLVLAAFAFWYYQYTKQRSNILWELPEVAERTPMLTEGVPDGVYRIMQKGVFGPQQKGVGVG -KSGTFHTMWHVTHGSLLRLNGKLVTPSWANVKKDLIAYGGPWRLTDQWVENEDVQVIVCSPRAVIRNVQT -TPGMFTTIEGKKIGAVSLDYPPGTSGSPIINKRGEVIGLYGNGILTEEKRFVSSIAQASVEETASPTPDI -SLSKGNLHVLDLHPGSGKTRQVLPALLRKCVEKRLRTLVLAPTKVVLSEMHEAVKDLPVRFHTSAALETK -KTGALIDLMCHATLANRLLEPTRYVNWEVVVMDEAHFLDPHSIAVRGWMQQLVTLKLAAVVLMTATPPGT -SDPFPESNGTIEDTQVTIPDEPWKRGNEWILDDPRPTAWFLPSIKASNIMAAFLKKNGKKVVVLNRKTFD -KEYGTLKAEKPDFILTTDIAEMGANLPVERVIDPRTCMRPVLVDEKNRVELQGPLPITASSAAQRRGRIG -RNPDRNTDSYMYEGETSEENGDLVCWKEALMILDNMEIPGGFTMSLFGSEARKVEHVPGEYRLKPEARKR -FRQLMRKYEFTPWLAWKVAKNTKEMEMSWIVQGPKENRVLNEFGENLTFKSRYGSIEKIQPIWSDARMFA -DGQTQKAFIEYATTTRSITAVIEKVAMVPEALKDKVQDAFDTYYTLYKAEEKSRSYQIALEQIPDALITT -LCLGALAAATGGILLYLLLPKGMSRMTLAFFTMLVTGAGLWMGGLSTVKVASAMLVFFILCVVLIPDTGM -QRSIQDNYLAYFIISLISCVALIVANENGYLEKTKEDLFGRRALNSSNVYANLPVEKWLSLDLQPATSWT -LYAVIVGVLSPLYHHIEHVNYGAISLQGISQGAAALFQMDKGYPFMRLRIPLVLLLAGAINNLTAITAGL -GFLCAMIHWALVLPGLKAKLAKQALRRTYHGVTKNAVVDGMCTNDLDAGDDMPEKFEKQLGTFVLITLVF -LNVILNHNVKAFLEGMVLVSASLQPLLTGVPNPYWNQQIAVGVAGLMRGNYMAAVGMAHALWNAQANRRG -GTGSGMTPGEAWKKQLNKLGKTQFEQYKRSCILEVDRTHARDSLKNGIQNGIAVSRGSAKLRWMEERGYV -KPTGIVVDLGCGRGGWSYYAASLKNVKKVMAFTLGVQGHEKPIMRTTLGWNLIRFKDKTDVFNMEVIPGD -TLLCDIGESSPSIAVEEQRTLKVLNCAKQWLQEGNYTEFCIKVLCPYTPLIMEELSRLQLKHGGGLVRVP -LSRNSTHEMYWVSGTRTDVVGTVSNVSRLLTRRMLNKPQPPTLEDDVILDMGTRSMECDTGPIDEDKIRR -RVDLLREEYKKTWFHDLNHPYRTWHYIGSYLTRGGGTAASMTNGIVKLMSQPWDAVAGVACMAMTDTTPF -GQQRVFKEKVDTKPPEPNKTVRHVMAMTNSWIMKYLARNKQPRLCTKEEFIAKVRSHAALGAFVPELEGW -SSAHEAVHDQRFWKLVDEERELHKKGECRTCVYNMMGKREKKPSEFGKAKGSRAIWYMWLGARFLEFEAL -GFLNEDHWVSRKNSLSGVEGVGLQYLGHVLKTLERKSGTSYYADDTAGWDTRITIADLEDEQDILTYMRL -EHRILAEAVMNLAYKHKVVRVERPIQGGKTAMDIIYRQEHRGSGQVVTYAFNTITNMKVQLIRMAESEEV -LPDPSQEWTPEHGNTLWQWLNENGEDRLGRMAVSGDDCVVKPIDDRFATSLTYLNHMAKIRKDISEWKPS -KPFMDIEEVPFCSHHFHKLILRDGREIMAPCREQDELIGRARISPGNGWLVRETAPLSKAYANMWKLFYF -HRRDLRLMANAINSAVPIDWVPTGRTTWSVHGKGEWMTSEDMLDVWNRVWITDNPFMNRKTLIGKWQDIP -YISKSQDVRCGSMIGTSKRSSWAEALPHTVQKVRGIVGTQERYRDYLETQNRFRTIVQHLVGDIL - ->sp|G3FEX6.1|POLG_JAEVM RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MTKKPGGPGKNRAINMLKRGLPRVFPLVGVKRVVMSLLDGRGPVRFVLALITFFKFTALAPTKALLGRWR -AVEKSVAMKHLTSFKRELGTLIDAVNKRGKKQNKRGGNESSIMWLASLAIVIACAGAMKLSNFQGKLLMT -INNTDIADVIVIPTSKGENRCWVRAIDVGYMCEDTITYECPKLAVGNDPEDVDCWCDNQEVYVQYGRCTR -TRHSKRSRRSVSVQTHGESSLVNKKEAWLDSTKATRYLMKTENWIIRNPGYAFLAAALGWMLGSNSGQRV -VFTILLLLVAPAYSFNCLGMGNRDFIEGASGATWVDLVLEGDSCLTIMANDKPTLDVRMINIEASQLAEV -RSYCYHASVTDISTVARCPTTGEAHNEKRADSSYVCKQGFTDRGWGNGCGLFGKGSIDTCAKFSCTSKAI -GRTIQPENIKYEVGVFVHGTTTSENHGNYSAQVGASQAAKFTVTPNAPSITLKLGDYGEVTLDCEPRSGL -NTEAFYVMTVGSKSFLVHREWFHDLSLPWTSPSSTAWRNRELLMEFEEAHATKQSVVALGSQEGGLHQAL -AGAIVVEYSSSVKLTSGHLKCRLKMDKLALKGTTYGMCTEKFSFAKNPADTGHGTVVIELTYSGSDGPCK -IPIVSVASLNDMTPVGRLVTVNPFVATSSSNSKVLVEMEPPFGDSYIVVGRGDKQINHHWYKAGSTLGKA -FSTTLKGAQRLAALGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNAR -DRSIALAFLATGGVLVFLATNVHADTGCAIDITRKEMRCGSGIFVHNDVEAWVDRYKYLPETPRSLAKIV -HKAHQEGVCGVRSVTRLEHQMWESVRDELNVLLKENAVDLSVVVNKPVGRYRSAPKRLSMTQEKFEMGWK -AWGKSILFAPELANSTFVVDGPETKECPDERRAWNSMQIEDFGFGITSTRVWLKIREENTDECDGAIIGT -AVKGHVAVHSDLSYWIESRLNDTWKLERAVFGEVKSCTWPETHTLWGDGVEESELIIPHTIAGPRSKHNR -REGYKTQNQGPWDENGIVLDFDYCPGTKVTITEDCGKRGPSIRTTTDSGKLITDWCCRSCSLPPLRFRTE -NGCWYGMEIRPVRHDETTLVRSQVDAFNGEMIDPFQLGLLVMFLATQEVLRKRWTARLTIPAVLGALLVL -MLGGITYIDLARYVVLVAAAFAEANSGGDVLHLALIAVFKIQPAFLVMNMLSARWTNQENMVLVLGAAFF -QLASVDLQIGVHGILNAAAIAWMIVRAITFPTTSTVAMPVLALLTPGMRALYLDTYRIILLVIGICSLLQ -ERRKTMAKKKGAVLLGLALTSTGWFSPTTIAAGLMVCNPNKKRGWPATEFLSAVGLMFAIVGGLAELDIE -SMSIPFMLAGLMAVSYVISGKATDMWLDRAADISWEMEAAITGSSRRLDVKLDDDGDFHLIDDPGVPWKV -WLLRMSCIGLAALTPWAIVPAAFGYWLTLKTTKRGGVFWDTPSPKPCLKGDTTTGVYRIMARGILGTYQA -GVGVMYENVFHTLWHTTRGAAIMSGEGKLTPYWGSVKEDRISYGGPWRFDRKWNGTDDVQVIVVEPGKPA -VNIQTKPGVFRTPFGEIGAVSLDYPRGTSGSPILDSNGDIIGLYGNGVELGDGSYVSAIVQGDRQEEPVP -DAYTPSMLKKRQMTVLDLHPGSGKTRKILPQIIKDAIQQRLRTAVLAPTRVVAAEMAEALRGLPVRYQTS -AVQREHQGNEIVDVMCHATLTHRLMSPNRVPNYNLFVMDEAHFTDPASIAARGYIATKVELGEAAAIFMT -ATPPGTTDPFPDSNAPIHDLQDEIPDRAWSSGYEWITEYAGKTVWFVASVKMGNEIAMCLQRAGKKVIQL -NRKSYDTEYPKCKNGDWDFVITTDISEMGANFGASRVIDCRKSVKPTILEEGEGRVILGNPSPITSASAA -QRRGRVGRNPNQVGDEYHYGGATSEDDSNLAHWTEAKIMLDNIHMPNGLVAQLYGPEREKAFTMDGEYRL -RGEEKKNFLELLRTADLPVWLAYKVASNGIQYTDRKWCFDGPRTNAILEDNTEVEIVTRMGERKILKPRW -LDARVYADHQALKWFKDFAAGKRSAVSFIEVLGRMPEHFMGKTREALDTMYLVATAEKGGKAHRMALEEL -PDALETITLIVAITVMTGGFFLLMMQRKGIGKMGLGALVLTLATFFLWAAEVPGTKIAGTLLVALLLMVV -LIPEPEKQRSQTDNQLAVFLICVLTVVGVVAANEYGMLEKTKADLKSMFGGRTQAPGLTGLPSMALDLRP -ATAWALYGGSTVVLTPLLKHLITSEYVTTSLASISSQAGSLFVLPRGVPFTDLDLTVGLVFLGCWGQITL -TTFLTAMVLVTLHYGYMLPGWQAEALRAAQRRTAAGIMKNAVVDGMVATDVPELERTTPLMQKKVGQVLL -IGVSVAAFLVNPNVTTVREAGVLVTAATLTLWDNGASAVWNSTTATGLCHVMRGSYLAGGSIAWTLIKNA -DKPSLKRGRPGGRTLGEQWKEKLNAMSRDEFFKYRREAIIEVDRTEARRARRENNIVGGHPVSRGSAKLR -WLVEKGFVSPIGKVIDLGCGRGGWSYYAATLKKVQEVKGYTKGGAGHEEPMLMQSYGWNLVSLKSGVDVF -YKPSEPSDTLFCDIGESSPSPEVEEQRTLRVLEMTSDWLHRGPREFCIKVLCPYMPKVIEKMEVLQRRFG -GGLVRLPLSRNSNHEMYWVSGAAGNVVHAVNMTSQVLLGRMDRTVWRGPKYEEDVNLGSGTRAVGKGEVH -SNQEKIRKRIQKLREEFATTWHQDPEHPYRTWTYHGSYEVKATGSASSLVNGVVKLMSKPWDAIANVTTM -AMTDTTPFGQQRVFKEKVDTKAPEPPAGVKEVLNETTNWLWAHLSREKRPRLCTKEEFIKKVNSNAALGA -VFAEQNQWSTAREAVGDPLFWEMVDEERENHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLGA -RYLEFEALGFLNEDHWLSRENSGGGVEGSGVQKLGYILRDIAGKQGGKMYADDTAGWDTRITRTDLENEA -KVLELLDGEHRMLARAIIELTYRHKVVKVMRPAAGGKTVMDVISREDQRGSGQVVTYALNTFTNIAVQLV -RLMEAEGVIGPQHLEQLPRKNKIAVRTWLFENGEERVTRMAISGDDCVVKPLDDRFATALHFLNAMSKVR -KDIQEWKPSHGWHDWQQVPFCSNHFQEIVMKDGRSIVVPCRGQDELIGRARISPGAGWNVKDTACLAKAY -AQMWLLLYFHRRDLRLMANAICSAVPVDWVPTGRTSWSIHSKGEWMTTEDMLQVWNRVWIEENEWMMDKT -PITSWTDVPYVGKREDIWCGSLIGTRSRATWAENIYAAINQVRAVIGKENYVDYMSSLRRYEDVLIQEDR -VI - ->sp|P33478.2|POLG_DEN1S RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNNQRKKTARPSFNMLKRARNRVSTGSQLAKRFSKGLLSGQGPMKLVMAFIAFLRFLAIPPTAGILARWG -SFKKNGAIKVLRGFKKEISNMLNIMNRRKRSVTMLLMLLPTALAFHLTTRGGEPHMIVSKQEREKSLLFK -TSVGVNMCTLIAMDLGELCEDTMTYKCPRITEAEPDDVDCWCNATDTWVTYGTCSQTGEHRRDKRSVALA -PHVGLGLETRTETWMSSEGAWKQIQRVETWALRHPGFTVIALFLAHAIGTSITQKGIIFILLMLVTPSMA -MRCVGIGSRDFVEGLSGATWVDVVLEHGSCVTTMAKDKPTLDIELLKTEVTNPAVLRKLCIEAKISNTTT -DSRCPTQGEATLVEEQDANFVCRRTFVDRGWGNGCGLFGKGSLLTCAKFKCVTKLEGKIVQYENLKYSVI -VTVHTGDQHQVGNETTEHGTIATITPQAPTSEIQLTDYGALTLDCSPRTGLDFNEMVLLTMKEKSWLVHK -QWFLDLPLPWTSGASTSQETWNRQDLLVTFKTAHAKKQEVVVLGSQEGAMHTALTGATEIQTSGTTTIFA -GHLKCRLKMDKLTLKGMSYVMCTGSFKLEKEVAETQHGTVLVQVKYEGTDAPCKIPFSTQDEKGVTQNRL -ITANPIVTDKEKPVNIETEPPFGESYIVVGAGEKALKQCWFKKGSSIGKMFEATARGARRMAILGDTAWD -FGSIGGVFTSVGKLVHQVFGTAYGVLFSGVSWTMKIGIGILLTWLGLNSRSTSLSMTCIAVGMVTLYLGV -MVQADSGCVINWKGRELKCGSGIFVTNEVHTWTEQYKFQADSPKRLSAAIGKAWEEGVCGIRSATRLENI -MWKQISNELNHILLENDMKFTVVVGDVVGILAQGKKMIRPQPMEHKYSWKSWGKAKIIGADIQNTTFIID -GPDTPECPDDQRAWNIWEVEDYGFGIFTTNIWLKLRDSYTQMCDHRLMSAAIKDSKAVHADMGYWIESEK -NETWKLARASFIEVKTCVWPKSHTLWSNGVLESEMIIPKIYGGPISQHNYRPGYFTQTAGPWHLGKLELD -FDLCEGTTVVVDEHCGNRGPSLRTTTVTGKIIHEWCCRSCTLPPLRFKGEDGCWYGMEIRPVKEKEENLV -KSMVSAGSGEVDSFSLGLLCISIMIEEVMRSRWSRKMLMTGTLAVFLLLIMGQLTWNDLIRLCIMVGANA -SDRMGMGTTYLALMATFKMRPMFAVGLLFRRLTSREVLLLTIGLSLVASVELPNSLEELGDGLAMGIMIL -KLLTDFQSHQLWATLLSLTFVKTTFSLHYAWKTMAMVLSIVSLFPLCLSTTSQKTTWLPVLLGSLGCKPL -TMFLIAENKIWGRKSWPLNEGIMAVGIVSILLSSLLKNDVPLAGPLIAGGMLIACYVISGSSADLSLEKA -AEVSWEEEAEHSGASHNILVEVQDDGTMKIKDEERDDTLTILLKATLLAVSGVYPLSIPATLFVWYFWQK -KKQRSGVLWDTPSPPEVERAVLDDGIYRIMQRGLLGRSQVGVGVFQDGVFHTMWHVTRGAVLMYQGKRLE -PSWASVKKDLISYGGGWRFQGSWNTGEEVQVIAVEPGKNPKNVQTAPGTFKTPEGEVGAIALDFKPGTSG -SPIVNREGKIVGLYGNGVVTTSGTYVSAIAQAKASQEGPLPEIEDEVFRKRNLTIMDLHPGSGKTRRYLP -AIVREAIRRNVRTLILAPTRVVASEMAEALKGMPIRYQTTAVKSEHTGKEIVDLMCHATFTMRLLSPVRV -PNYNMIIMDEAHFTDPASIARRGYISTRVGMGEAAAIFMTATPPGSVEAFPQSNAVIQDEERDIPERSWN -SGYEWITDFPGKTVWFVPSIKSGNDIANCLRKNGKRVIQLSRKTFDTEYQKTKNNDWDYVVTTDISEMGA -NFRADRVIDPRRCLKPVILKDGPERVILAGPMPVTVASAAQRRGRIGRNQNKEGDQYVYMGQPLNNDEDH -AHWTEAKMLLDNINTPEGIIPALFEPEREKSAAIDGEYRLRGEARKTFVELMRRGDLPVWLSYKVASEGF -QYSDRRWCFDGERNNQVLEENMDVEMWTKEGERKKLRPRWLDARTYSDPLALREFKEFAAGRRSVSGDLI -LEIGKLPQHLTQRAQNALDNLVMLHNSEQGGRAYRHAMEELPDTIETLMLLALIAVLTGGVTLFFLSGKG -LGKTSIGLLCVMASSVLLWMASVEPHWIAASIILEFFLMVLLIPEPDRQRTPQDNQLAYVVIGLLFMILT -VAANEMGLLETTKKDLGIGHVAAENHHHATMLDVDLRPASAWTLYAVATTVITPMMRHTIENTTANISLT -AIANQAAILMGLDKGWPISKMDIGVPLLALGCYSQVNPLTLTAAVLMLVAHYAIIGPGLQAKATREAQKR -TAAGIMKNPTVDGIVAIDLDPVVYDAKFEKQLGQIMLLILCTSQILLMRTTWALCESITLATGPLTTLWE -GSPGKFWNTTIAVSMANIFRGSYLAGAGLAFSLMKSLGGGRRGTGAKGKHWERNGKDRLNQLSKSEFNTY -KRSGIMEVDRSEAKEGLKRGETTKHAVSRGTAKLRWFVERNLVKPEGKVIDLGCGRGGWSYYCAGLKKVT -EVKGYTKGGPGHEEPIPMATYGWNLVKLYSGKDVFFTPPEKCDTLLCDIGESSPNPTIEEGRTLRVLKMV -EPWLRGNQFCIKILNPYMPSVVETLEQMQRKHGGMLVRNPLSRNSTHEMYWVSCGTGNIVSAVNMTSRML -LNRFTMAHRKPTYERDVDLGAGTRHVAVEPEVANLDIIGQRIENIKHEHKSTWHYDEDNPYKTWAYHGSY -EVKPSGSASSMVNGVVKLLTKPWDAIPMVTQIAMTDTTPFGQQRVFKEKVDTRTPKAKRGTAQIMEVTAR -WLWGFLSRNKKPRICTREEFTRKVRSNAAIGAVFVDENQWNSAKEAVEDERFWDLVHRERELHKQGKCAT -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFMNEDHWFSRENSLSGVEGEGLHKLGYIL -RDISKIPGGNMYADDTAGWDTRITEDDLQNEAKITDIMEPEHALLATSIFKLTYQNKVVRVQRPAKNGTV -MDVISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMESEGIFSPSELETPNLAERVLDWLEKYGVERLKRMA -ISGDDCVVKPIDDRFATALTALNDMGKVRKDIPQWEPSKGWNDWQQVPFCSHHFHQLIMKDGREIVVPCR -NQDELVGRARVSQGAGWSLRETACLGKSYAQMWQLMYFHRRDLRLAANAICSAVPVDWVPTSRTTWSIHA -HHQWMTTEDMLSVWNRVWIEENPWMEDKTHVSSWEDVPYLGKREDQWCGSLIGLTARATWATNIQVAINQ -VRRLIGNENYLDYMTSMKRFKNESDPEGALW - ->sp|Q2YHF0.1|POLG_DEN4T RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNQRKKVARPPFNMLKRERNRVSTPQGLVKRFSTGLFSGKGPLRMVLAFITFLRVLSIPPTAGILKRWGQ -LKKNKAIKILTGFRKEIGRMLNILNGRKRSTITLLCLIPTVMAFHLSTRDGEPLMIVAKHERGRPLLFKT -TEGINKCTLIAMDLGEMCEDTVTYKCPLLVNTEPEDIDCWCNLTSAWVMYGTCTQSGERRREKRSVALTP -HSGMGLETRAETWMSSEGAWKHAQRVESWILRNPGFALLAGFMAYMIGQTGIQRTVFFILMMLVAPSYGM -RCVGVGNRDFVEGVSGGAWVDLVLEHGGCVTTMAQGKPTLDFELIKTTAKEVALLRTYCIEASISNITTA -TRCPTQGEPYLKEEQDQQYICRRDMVDRGWGNGCGLFGKGGVVTCAKFSCSGKITGNLVQIENLEYTVVV -TVHNGDTHAVGNDTSNHGVTATITPRSPSVEVKLPDYGELTLDCEPRSGIDFNEMILMKMKTKTWLVHKQ -WFLDLPLPWTAGADTLEVHWNHKERMVTFKVPHAKRQDVTVLGSQEGAMHSALAGATEVDSGDGNHMFAG -HLKCKVRMEKLRIKGMSYTMCSGKFSIDKEMAETQHGTTVVKVKYEGTGAPCKVPIEIRDVNKEKVVGRI -ISSTPFAENTNSVTNIELEPPFGDSYIVIGVGDSALTLHWFRKGSSIGKMFESTYRGAKRMAILGETAWD -FGSVGGLLTSLGKAVHQVFGSVYTTMFGGVSWMVRILIGLLVLWIGTNSRNTSMAMSCIAVGGITLFLGF -TVHADMGCAVSWSGKELKCGSGIFVIDNVHTWTEQYKFQPESPARLASAILNAHKDGVCGIRSTTRLENV -MWKQITNELNYVLWEGGHDLTVVAGDVKGVLSKGKRALAPPVNDLKYSWKTWGKAKIFTPETRNSTFLVD -GPDTSECPNERRAWNFLEVEDYGFGMFTTNIWMKFREGSSEVCDHRLMSAAIKDQKAVHADMGYWIESSK -NQTWQIEKASLIEVKTCLWPKTHTLWSNGVLESQMLIPKAYAGPISQHNYRQGYATQTVGPWHLGKLEID -FGECPGTTVTIQEDCDHRGPSLRTTTASGKLVTQWCCRSCTMPPLRFLGEDGCWYGMEIRPLNEKEENMV -KSQVSAGQGTSETFSMGLLCLTLFVEECLRRRVTRKHMILVVVTTLCAIILGGLTWMDLLRALIMLGDTM -SGRMGGQIHLAIMAVFKMSPGYVLGIFLRKLTSRETALMVIGMAMTTVLSIPHDLMEFIDGISLGLILLK -MVTHFDNTQVGTLALSLTFIKSTMPLVMAWRTIMAVLFVVTLIPLCRTSCLQKQSHWVEITALILGAQAL -PVYLMTLMKGASKRSWPLNEGIMAVGLVSLLGSALLKNDVPLAGPMVAGGLLLAAYVMSGSSADLSLEKA -ANVQWDEMADITGSSPIIEVKQDEDGSFSIRDVEETNMITLLVKLALITVSGLYPLAIPVTMTLWYMWQV -KTQRSGALWDVPSPAAAQKATLTEGVYRIMQRGLFGKTQVGVGIHMEGVFHTMWHVTRGSVICHESGRLE -PSWADVRNDMISYGGGWRLGDKWDKEEDVQVLAIEPGKNPKHVQTKPGLFKTLTGEIGAVTLDFKPGTSG -SPIINRKGKVIGLYGNGVVTKSGDYVSAITQAERIGEPDYEVDEDIFRKKRLTIMDLHPGAGKTKRILPS -IVREALKRRLRTLILAPTRVVAAEMEEALRGLPIRYQTPAVKSEHTGREIVDLMCHATFTTRLLSSTRVP -NYNLIVMDEAHFTDPSSVAARGYISTRVEMGEAAAIFMTATPPGTTDPFPQSNSPIEDIEREIPERSWNT -GFDWITDYQGKTVWFVPSIKAGNDIANCLRKSGKKVIQLSRKTFDTEYPKTKLTDWDFVVTTDISEMGAN -FRAGRVIDPRRCLKPVILTDGPERVILAGPIPVTPASAAQRRGRIGRNPAQEDDQYVFSGDPLRNDEDHA -HWTEAKMLLDNIYTPEGIIPTLFGPEREKTQAIDGEFRLRGEQRKTFVELMRRGDLPVWLSYKVASAGIS -YKDREWCFTGERNNQILEENMEVEIWTREGEKKKLRPKWLDARVYADPMALKDFKEFASGRKSITLDILT -EIASLPTYLSSRAKLALDNIVMLHTTERGGKAYQHALNELPESLETLMLVALLGAMTAGIFLFFMQGKGI -GKLSMGLIAIAVASGLLWVAEIQPQWIAASIILEFFLMVLLVPEPEKQRTPQDNQLIYVILTILTIIALV -AANEMGLIEKTKTDFGFYQAKTETTILDVDLRPASAWTLYAVATTILTPMLRHTIENTSANLSLAAIANQ -AAVLMGLGKGWPLHRMDLGVPLLAMGCYSQVNPTTLTASLVMLLVHYAIIGPGLQAKATREAQKRTAAGI -MKNPTVDGITVIDLEPISYDPKFEKQLGQVMLLVLCAGQLLLMRTTWAFCEVLTLATGPILTLWEGNPGR -FWNTTIAVSTANIFRGSYLAGAGLAFSLIKNAQTPRRGTGTTGETLGEKWKRQLNSLDRKEFEEYKRSGI -LEVDRTEAKSALKDGSKIKYAVSRGTSKIRWIVERGMVKPKGKVVDLGCGRGGWSYYMATLKNVTEVKGY -TKGGPGHEEPIPMATYGWNLVKLHSGVDVFYKPTEQVDTLLCDIGESSSNPTIEEGRTLRVLKMVEPWLS -SKPEFCIKVLNPYMPTVIEELEKLQRKHGGSLVRCPLSRNSTHEMYWVSGVSGNIVSSVNTTSKMLLNRF -TTRHRKPTYEKDADLGAGTRSVSTETEKPDMTIIGRRLQRLQEEHKETWHYDHENPYRTWAYHGSYEAPS -TGSASSMVNGVVKLLTKPWDVVPMVTQLAMTDTTPFGQQRVFKEKVDTRTPQPKPGTRVVMTTTANWLWA -LLGRKKNPRLCTREEFISKVRSNAAIGAVFQEEQGWTSASEAVNDSRFWELVDKERALHQEGKCESCVYN -MMGKREKKLGEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWFGRENSWSGVEGEGLHRLGYILEDID -KKDGDLIYADDTAGWDTRITEDDLLNEELITEQMAPHHKILAKAIFKLTYQNKVVKVLRPTPKGAVMDII -SRKDQRGSGQVGTYGLNTFTNMEVQLIRQMEAEGVITRDDMHNPKGLKERVEKWLKECGVDRLKRMAISG -DDCVVKPLDERFSTSLLFLNDMGKVRKDIPQWEPSKGWKNWQEVPFCSHHFHKIFMKDGRSLVVPCRNQD -ELIGRARISQGAGWSLRETACLGKAYAQMWSLMYFHRRDLRLASMAICSAVPTEWFPTSRTTWSIHAHHQ -WMTTEDMLKVWNRVWIEDNPNMIDKTPVHSWEDIPYLGKREDLWCGSLIGLSSRATWAKNIQTAITQVRN -LIGKEEYVDYMPVMKRYSAHFESEGVL - ->sp|Q2YHF2.1|POLG_DEN4H RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNQRKKVVRPPFNMLKRERNRVSTPQGLVKRFSIGLFSGKGPLRMVLAFITFLRVLSIPPTAGILKRWGQ -LKKTKAIKILTGFRKEIGRMLNILNGRKRSTVTLLCLIPTVMAFHLSTRDGEPLMIVAKHERGRPLLFKT -TEGINKCTLIAMDLGEMCEDTVTYKCPLLVNTEPEDIDCWCNLTSTWVMYGTCTQNGERRREKRSVALTP -HSGMGLETRAETWMSSEGAWKHAQRVESWILRNPGFALLAGFMAYMIGQTGIQRTVFFVLMMLVAPSYGM -RCIGVGNRDFVEGVSGGAWVDLVLEHGGCVTTMAQGKPTLDFELIKTTAKEVALLRTYCIEASISNITTA -TRCPTQGEPYLKEEQDQQYICRRDVVDRGWGNGCGLFGKGGVVTCAKFSCSGKITGNLVQIENLEYTVVV -TVHNGDTHAVGNDTSNHGVTATITPRSPSVEVELPDYGELSLDCEPRSGIDFNEMILMKMEKKTWLVHKQ -WFLDLPLPWTAGADTSEVHWNHKERMVTFKVPHAKRQDVTVLGSQEGAMHSALTGATEVDSGDGNHMFAG -HLKCKVRMEKLRIKGMSYTMCSGKFSIDREMAETQHGTTVVKVKYEGTGAPCKVPIEIRDVNKEKVVGRI -ISSTPFAENTNSVTNIELEPPFGDSYIVIGVGDSALTLHWFRKGSSIGKMFESTYRGAKRMAILGETAWD -FGSVGGLFTSLGKAVHQVFGSVYTTMFGGVSWMVRILIGLLVLWIGTNSRNTPMAMTCIAVGGITLFLGF -TVQADMGCVVSWTGKELKCGSGIFVTDNVHTWTEQYQFQPESPARLASAILNAHKDGVCGIRSTTRLENV -MWKQITNELNYVLWEGGHDLTVVAGDVKGVLVKGKRALTPPVNDLKYSWKTWGKAKIFTPEAKNSTFLID -GPDTSECPNERRAWNFLEVEDYGFGMFTTSIWMKFREGSSEVCDHRLMSAAIKDQKAVHADMGYWLESSK -NQTWQIEKASLIEVKTCLWPKTHTLWSNGVLESQMLIPKAYAGPFSQHNYRQGYATQTMGPWHLGKLEID -FGECPGTTVTIQEDCDHRGPSLRTTTASGKLVTQWCCRSCTMPPLRFLGEDGCWYGMEIRPLSEREENMV -KSQVSAGQGSSETFSMGLLCLTLFIEECLRRKVTRKHMILVVVTTFCAIILGGLTWMDLLRAIIMLGDTM -LSRVGGQTHLAIMIVFKMSPGYVLGVFLRKLTSRETALMVIGMAMTTVFSIPHDLMELIDGISLGLILLK -MVTHFDNTQVGTLALSLTFIRSTMPLTMAWRTIMAVLFAVTLIPLCRTSCLQKQSHWVEITAIILGAQAL -PVYLMTLMKGASKRSWPLNEGIMAVGLVSLLGSALLKNDVPLAGPMVAGGLLLAAYVMSGSSADLSLERA -ANVQWDEMADITGSSPIIEVKQDEDGSFSIRDVEETNMITLLVKLALITVSGLYPLAIPITMTLWYMWQV -RTQRSGALWDVPSPATAQKATLTEGVYRIMQRGLLGRTQVGVGIHMEGVFHTMWHVTRGSVICHETGRLE -PSWADVRNDMISYGGGWRLGDKWDKEEDVQVLAIEPGKNPKHVQTKPGLFKTLTGEIGAVTLDFKPGTSG -SPIINKKGKVIGLYGNGVVTKSGDYVSAITQAERIGEPDYEVDEDIFRKKRLTIMDLHPGAGKTKRILPS -IVREALKRRLRTLILAPTRVVAAEMEEALRGLPIRYQTPAVKSEHTGREIVDLMCHATFTTRLLSSTRVP -NYNLIVMDEAHFTDPSSVAARGYISTRVEMGEAAAIFMTATPPGATDPFPQSNSPIEDIEREIPERSWNT -GFDWITDYQGKTVWFVPSIKAGNDIANCLRKSGKKVIQLSRKTFDTEYPKTKLTDWDFVVTTDISEMGAN -FRAGRVIDPRRCLKPVILTDGPERVILAGPIPVTPASAAQRRGRIGRNPAQEDDQYVFSGDPLKNDEDHA -HWTEAKMLLDNIYTPEGIIPTLFGPEREKTQAIDGEFRLRGEQRKTFVELMKRGDLPVWLSYKVASAGIS -YKDREWCFTGERNNQILEENMEVEIWTREGEKKKLRPKWLDARVYADPMALKDFKEFASGRKSITLDILT -EIASLPTYLSSRAKLALDNIVMLHTTERGGRAYQHALNELPESLETLMLVALLGAMTAGIFLFFMQGKGI -GKLSVGLIAIAVASGLLWVAEIQPQWIAASIILEFFLMVLLIPEPEKQRTPQDNQLIYVILAILTIIGLV -AANEMGLIEKTKADFGFYQVKTETTILDVDLRPASAWTLYAVATTILTPMLRHTIENTSANLSLAAIANQ -AAVLMGLGKGWPLHRMDLGVPLLAMGCYSQVNPTTLTASLVMLLVHYAIIGPGLQAKATREAQKRTAAGI -MKNPTVDGITVIDLEPISYDPKFEKQLGQVMLLVLCAGQLLLMRTTWAFCEVLTLATGPVLTLWEGNPGR -FWNTTIAVSTANIFRGSYLAGAGLAFSLIKNAQTPRRGTGTTGETLGEKWKRQLNSLDRKEFEEYKRSGI -LEVDRTEAKSALKDGSKIKHAVSRGSSKIRWIVERGMVKPKGKVVDLGCGRGGWSYYMATLKNVTEVKGY -TKGGPGHEEPIPMATYGWNLVKLHSGVDVFYKPTEQVDTLLCDIGESSSNPTIEEGRTLRVLKMVEPWLS -SKPEFCIKVLNPYMPTVIEELEKLQRKHGGSLIRCPLSRNSTHEMYWVSGASGNIVSSVNTTSKMLLNRF -TTRHRKPTYEKDVDLGAGTRSVSTETEKPDMTIIGRRLQRLQEEHKETWHYDQENPYRTWAYHGSYEAPS -TGSASSMVNGVVKLLTKPWDVIPMVTQLAMTDTTPFGQQRVFKEKVDTRTPQPKLGTRVVMTTTANWLWA -LLGRKKNPRLCTREEFISKVRSNAAIGAVFQEEQGWTSASEAVNDSRFWELVDKERALHQEGKCESCVYN -MMGKREKKLGEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWFGRENSWSGVEGEGLHRLGYILEDID -RKDGDLMYADDTAGWDTRITEDDLLNEELITEQMAPHHRILAKAIFKLTYQNKVVKVLRPTPKGAVMDII -SRKDQRGSGQVGTYGLNTFTNMEVQLIRQMEAEGVITQDDMQNPKGLKERVEKWLKECGVDRLKRMAISG -DDCVVKPLDERFSTSLLFLNDMGKVRKDIPQWEPSKGWKNWQEVPFCSHHFHKIFMKDGRSLVVPCRNQD -ELIGRARISQGAGWSLKETACLGKAYAQMWSLMYFHRRDLRLASMAICSAVPTEWFPTSRTTWSIHAHHQ -WMTTEDMLKVWNRVWIEDNPNMTDKTPVHSWEDIPYLGKREDLWCGSLIGLSSRATWAKNIHTAITQVRN -LIGKEEYVDYMPVMRRYSALSESEGVL - ->sp|P27909.2|POLG_DEN1B RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNNQRKKTGRPSFNMLKRARNRVSTGSQLAKRFSKGLLSGQGPMKLVMAFIAFLRFLAIPPTAGILARWS -SFKKNGAIKVLRGFKKEISSMLNIMNRRKRSVTMLLMLLPTALAFHLTTRGGEPHMIVSKQERGKSLLFK -TSAGVNMCTLIAMDLGELCEDTMTYKCPRITEAEPDDVDCWCNATDTWVTYGTCSQTGEHRRDKRSVALA -PHVGLGLETRTETWMSSEGAWKQIQKVETWALRHPGFTVIALFLAHAIGTSITQKGIIFILLMLVTPSMA -MRCVGIGNRDFVEGLSGATWVDVVLEHGSCVTTMAKNKPTLDIELLKTEVTNPAVLRKLCIEAKISNTTT -DSRCPTQGEATLVEEQDANFVCRRTFVDRGWGNGCGLFGKGSLLTCAKFKCVTKLEGKIVQYENLKYSVI -VTVHTGDQHQVGNETTEHGTIATITPQAPTSEIQLTDYGALTLDCSPRTGLDFNEMVLLTMKEKSWLVHK -QWFLDLPLPWTSGASTSQETWNRQDLLVTFKTAHAKKQEVVVLGSQEGAMHTALTGATEIQTSGTTTIFA -GHLKCRLKMDKLTLKGTSYVMCTGSFKLEKEVAETQHGTVLVQVKYEGTDAPCKIPFSTQDEKGVTQNGR -LITANPIVTDKEKPVNIETEPPFGESYIVVGAGEKALKLSWFKKGSSIGKMFEATARGARRMAILGDTAW -DFGSIGGVFTSVGKLVHQVFGTAYGVLFSGVSWTMKIGIGILLTWLGLNSRSTSLSMTCIAVGMVTLYLG -VMVQADSGCVINWKGRELKCGSGIFVTNEVHTWTEQYKFQADSPKRLSAAIGRAWEEGVCGIRSATRLEN -IMWKQISNELNHILLENDIKFTVVVGNANGILAQGKKMIRPQPMEHKYSWKSWGKAKIIGADIQNTTFII -DGPDTPECPDEQRAWNIWEVEDYGFGIFTTNIWLKLRDSYTQMCDHRLMSAAIKDSKAVHADMGYWIESE -KNETWKLARASFIEVKTCIWPKSHTLWSNGVLESEMIIPKMYGGPISQHNYRPGYFTQTAGPWHLGKLEL -DFDLCEGTTVVVDEHCGSRGPSLRTTTVTGKIIHEWCCRSCTLPPLRFRGEDGCWYGMEIRPVKEKEENL -VRSMVSAGSGEVDSFSLGILCVSIMIEEVMRSRWSRKMLMTGTLAVFLLLIMGQLTWNDLIRLCIMVGAN -ASDKMGMGTTYLALMATFKMRPMFAVGLLFRRLTSREVLLLTIGLSLVASVELPNSLEELGDGLAMGIMM -LKLLTEFQPHQLWTTLLSLTFIKTTLSLDYAWKTTAMVLSIVSLFPLCLSTTSQKTTWLPVLLGSFGCKP -LTMFLITENEIWGRKSWPLNEGIMAIGIVSILLSSLLKNDVPLAGPLIAGGMLIACYVISGSSADLSLEK -AAEVSWEEEAEHSGTSHNILVEVQDDGTMKIKDEERDDTLTILLKATLLAVSGVYPMSIPATLFVWYFWQ -KKKQRSGVLWDTPSPPEVERAVLDDGIYRILQRGLLGRSQVGVGVFQDGVFHTMWHVTRGAVLMYQGKRL -EPSWASVKKDLISYGGGWRFQGSWNTGEEVQVIAVEPGKNPKNVQTTPGTFKTPEGEVGAIALDFKPGTS -GSPIVNREGKIVGLYGNGVVTTSGTYVSAIAQAKASQEGPLPEIEDEVFKKRNLTIMDLHPGSGKTRRYL -PAIVREAIKRKLRTLILAPTRVVASEMAEALKGMPIRYQTTAVKSEHTGREIVDLMCHATFTMRLLSPVR -VPNYNMIIMDEAHFTDPASIAARGYISTRVGMGEAAAIFMTATPPGSVEAFPQSNAVIQDEERDIPERSW -NSGYDWITDFPGKTVWFVPSIKSGNDIANCLRKNGKRVIQLSRKTFDTEYQKTKNNDWDYVVTTDISEMG -ANFRADRVIDPRRCLKPVILKDGPERVILAGPMPVTVASAAQRRGRIGRNQNKEGDQYVYMGQPLNNDED -HAHWTEAKMLLDNINTPEGIIPALFEPEREKSAAIDGEYRLRGEARKTFVELMRRGDLPVWLSYKVASEG -FQYSDRRWCFDGERNNQVLEENMDVEIWTKEGERKKLRPRWLDARTYSDPLALREFKEFAAGRRSVSGDL -ILEIGKLPQHLTLRAQNALDNLVMLHNSEQGGKAYRHAMEELPDTIETLMLLALIAVLTGGVTLFFLSGK -GLGKTSIGLLCVTASSALLWMASVEPHWIAASIILEFFLMVLLIPEPDRQRTPQDNQLAYVVIGLLFMIL -TVAANEMGLLETTKKDLGIGHVAAENHQHATILDVDLHPASAWTLYAVATTVITPMMRHTIENTTANISL -TAIANQAAILMGLDKGWPISKMDLGVPLLALGCYSQVNPLTLTAAVLMLVAHYAIIGPGLQAKATREAQK -RTAAGIMKNPTVDGIVAIDLDPVVYDAKFEKQLGQIMLLILCTSQILLMRTTWALCESITLATGPLTTLW -EGSPGKFWNTTIAVSMANIFRGSYLAGAGLAFSLMKSLGGGRRGTGAQGETLGEKWKRQLNQLSKSEFNT -YKRSGIMEVDRSEAKEGLKRGETTKHAVSRGTAKLRWFVERNLVKPEGKVIDLGCGRGGWSYYCAGLKKV -TEVKGYTKGGPGHEEPIPMATYGWNLVKLHSGKDVFFMPPEKCDTLLCDIGESSPNPTIEEGRTLRVLKM -VEPWLRGNQFCIKILNPYMPSVVETLEQMQRKHGGMLVRNPLSRNSTHEMYWVSCGTGNIVSAVNMTSRM -LLNRFTMAHRKPTYERDVDLGAGTRHVAVEPEVANLDIIGQRIENIKNEHKSTWHYDEDNPYKTWAYHGS -YEVKPSGSASSMVNGVVRLLTKPWDVIPMVTQIAMTDTTPFGQQRVFKEKVDTRTPRAKRGTAQIMEVTA -KWLWGFLSRNKKPRICTREEFTRKVRSNAAIGAVFVDENQWNSAKEAVEDERFWDLVHRERELHKQGKCA -TCVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFMNEDHWFSRENSLSGVEGEGLHKLGYI -LRDISKIPGGNMYADDTAGWDTRITEDDLQNEAKITDIMEPEHALLATSIFKLTYQNKVVRVQRPAKNGT -VMDVISRRDQRGSGQVGTYGLNTFTNMEVQLIRQMESEGIFFPSELESPNLAERVLDWLEKHGAERLKRM -AISGDDCVVKPIDDRFATALIALNDMGKVRKDIPQWEPSKGWNDWQQVPFCSHHFHQLIMKDGREIVVPC -RNQDELVGRARVSQGAGWSLRETACLGKSYAQMWQLMYFHRRDLRLAANAICSAVPVDWVPTSRTTWSIH -AHHQWMTTEDMLSVWNRVWIEENPWMEDKTHVSSWEEVPYLGKREDQWCGSLIGLTARATWATNIQVAIN -QVRRLIGNENYLDYMTSMKRFKNESDPEGALW - ->sp|Q1X880.1|POLG_YEFVU RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKLTTHLKRLWR -MLDPRQGLTVLRKVKRVVASLMRGLSSRKRRSSEMTMMPLLILSMVILGGGVTLVRKNRWLLLNVTAEDL -GKTFSVGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGRCDAVGRSKRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWLVRNPFFAVTALAIAYLVGNNKTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSASLEQDKCVTVMAPDKPSLDISLQTVAIDGPAEARKVCYSAVL -THVKINDKCPSTGEAHLAEENDGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRAQLHVGAKQENWNTDIKTLKFDALSGSQEAEFTGYGKATLECQVQTAVDFGNSYIAEMEKDSWIV -DRQWAQDLTLPWQSGSGGIWREMHHLVEFEPPHAATIRVLALGNQEGSLKTALTGAMRVTKDENDNNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTGHGTVVMQVKVPKGAPCKIPVIVADDLTAAVN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIVGTGDSRLTYQWHKEGSSIGKLFTQTMKGVERLAVMGD -AAWDFSSAGGFFTSVGKGIHTVFGSAFQGLFGGLSWITKVIMGAVLIWVGINTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAVNFGKRELKCGDGIFVFRDSDDWLTKYSYYPEDPVKLASIIKASYEEGKCGLNSVDS -LEHEMWRSRADEINAIFEENEVDISIVVQDPKNIYQRGTHPFSRIRDGLQYGWKTWGKNLIFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGMGVFTTRVFMDAVFDYSVDCDGAILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMMHTLETLDYKECEWPLTHTIGTSVEESDMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVRREPCPGTSVVVDTSCDGRGKSTRSTTDSGKIIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPMKTHE -SHLVRSWVTAGEVHAVPFGLVSMMIAMEVVLRKRQGPKQMLVGGIILLGAMLVGQVTMLDLVKLIVAVGL -HFHEINNGGDAMYMALIASFSIRPGLLIGFGLRTLWSPRERLVMAFGAAMVEVALGGMMGGLWQYLNAVS -LCVLTINAISSRKASNTILPLMALLTPVTMYEVRMATMLFCTVVIVGVLHQNSKDTSMQKTIPIVALTLT -SYMGLTQPFLGLCAYMSTQVFGRRSIPVNEALAAAGLVGVLAGLAFQDMENFLGPIAVGGILMMLVSVAG -KVDGLELKKLGEVSWEEEAEISGSSSRYDVALSEQGEFKLLSEDKVPWDQIVMTSLALVGAAIHPFALLL -VLGGWVLHIKGARRSGDVLWDIPTPKVIEECEHLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLLRNGKKLVPSWASVKEDLVAYGGSWKLDGKWDGEEEVQLIAAVPGKAVVNVQTKPSLFKVRNGGEI -GAVALDYPSGTSGSPIVNRSGEVVGLYGNGILVGDNSFVSAISQTEVKEESKEELQEIPTMLKKGMTTIL -DFHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFHGLDVKFHTQAFSAHGSGKEVIDAMC -HATLTYRMLEPTRVVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWTSGHEWILADKRPTAWFLPSIRAANVMAASLRKAGKNVVVLNRKTFEKEYPTIKQKR -PDFILATDIAEMGANLCVERVLDCRTAYKPVLVDEGRKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSEDNAHHVCWLEASMLLDNMEVRGGMVAPLYGIEGTKTPVSPGEMRLRDDQRRVFRELVRGCDL -PVWLSWQVAKAGLKTNDRKWCFEGPEEHEILNDNGETVKCRSPGGAKKALRPRWCDERVSSDQSALADFI -KFAEGRRGAAEMLVVLTELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIVMLFILAGLL -TSGMVIFFMSPKGMSRMSMAMGTMAGSGYLMFLGGVKPTHISYVMLIFFVLMVVIIPEPGQQRSIQDNQV -AYLIIGILTLLSVVAANELGMLEKTKEDFFGKRNIATSGGTIPWSWPDLDLKPGAAWTVYVGIVTMLSPM -LHHWIKVEYGNLSLSGIAQSASVLSFMDKGVPFMKMNISVVILLVSGWNSITVIPLLCGVGGAMLHWTLI -LPGIKAQQSKLAQKRVFHGVAKNPVVDGNPTADIEEAPEMPALYEKKLALYLLLALSLMSVAMCRTPFSL -AEGIVLSSAALGPLIEGNTSLLWNGPMAVSMTGVMRGNYYAFVGVMYNLWKMKTGRRGSANGKTLGEVWK -RELNLLDKQQFELYKRTDITEVDRDMARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVMDLGCG -RGGWCYYAAAQKEVSGVKGYTLGRDGHEKPMNVQSLGWNIVTFKDKTDIHRLEPAKCETLLCDIGESSPS -SVTEGERTLRVLETVEKWLACGVDNFCVKVLAPYMPDVIEKLELLQRRFGGTVIRNPLSRNSTHEMYYVS -GARSNITFTVNQTSRLLMRRMRRPTGKVTLEPDVILPIGTRSVETDKGPLDRGAIEERVERIKTEYAATW -FHDNDNPYRTWHYCGSYITKTSGSAASMINGVIKILTFPWDRIEEVTRMAMTDTTPFGQQRVFKEKVDTR -AKDPPAGTRKIMRVVNRWLFRHLAREKKPRLCTKEEFIAKVRSHAAIGAFLEEQEQWKTANEAVQDPKFW -EMVDAERKLHQQGRCQSCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWASREN -SGGGVEGIGLQYLGYVIKDLSTKEGGGFYADDTAGWDTRITEADLDDEQEIMSYMNAEQRKLAWAVMEMT -YKNKVVKVLRPAPGGKAFMDIISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVINHQHVNECDESA -LARLDAWLAENGCDRLARMAVSGDDCVVKPVDDRFGLALSHLNAMSKVRKDISEWQPSKGWTDWESVPFC -SHHFHELVLKDGRKVVVPCRDQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSFAV -SSAVPTAWVPSGRTTWSVHGKGEWMTTEDMLDVWNRVWVLNNPHMKDKTTVKEWRDVPYLTKRQDKLCGS -LIGMTNRATWASHIHLVIHRIRNLIGQEKYTDYLTVMDRYSVDADLQPGELI - ->sp|Q1X881.1|POLG_YEFVN RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKLTAHLKKLWR -MLDPRQGLAVLRKVKRVVASLMRGLSSRKRRSNEMALFPLLLLGLLALSGGVTLVRKNRWLLLNVTAEDL -GKTFSVGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGRCDAVGRSKRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWLVRNPFFAVTALAIAYLVGNNTTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSATLEQDKCVTVMAPDKPSLDISLQTVAIDGPAEARKVCYSAVL -THVKINDKCPSTGEAHLAEENDGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRAQLHVGAKQENWNTDIKTLKFDALSGSQEAEFTGYGKATLECQVQTAVDFGNSYIAEMEKDSWIV -DRQWAQDLTLPWQSGSGGIWREMHHLVEFEPPHAATIRVLALGNQEGSLKTALTGAMRVTKDENDNNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTGHGTVVMQVKVPKGAPCKIPVIVADDLTAAVN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIVGTGDSRLTYQWHKEGSSIGKLFTQTMKGAERLAVMGD -AAWDFSSAGGFFTSVGKGIHTVFGSAFQGLFGGLSWITKVIMGAVLIWVGINTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAVNFGKRELKCGDGIFVFRDSDDWLTKYSYYPEDPVKLASIIKASHEEGKCGLNSVDS -LEHEMWRSRADEINAIFEENEVDISVVVQDPKNIYQRGTHPFSRIRDGLQYGWKTWGKNLVFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGMGVFTTRVFMDATFDYSVDCDGAILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMIHTLETLDYKECEWPLTHTIGTSVEESDMFMPRSIGGPVSSHNRIPGYKVQTNGPWMQVP -LEVKREVCPGTSVVVDSNCDGRGKSTRSTTDSGKIIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPMKTSD -SHLVRSWVTAGEVHAVPFGLVSMMIAMEVVLRRRQGPKQMLVGGVVLLGAMLVGQVTVLDLVKFVVAVGL -HFHEINNGGDAMYMALIASFSIRPGLLMGFGLRTLWSPRERLVMAFGAAMVEIALGGMMGGLWQYLNAVS -LCVLTINAISSRKASNMILPLMALMTPMTMHEVRMATMLFCTVVIIGVLHQNSKDTSMQKTIPIVALTLT -SYMGLTQPFLGLCAYMSTQVFGRRSIPVNEALAAAGLVGVLAGLAFQDMENFLGPIAVGGILMMLVSVAG -RVDGLELKKLGEISWEEEAEISGSSSRYDVALSEQGEFKLLSEDKVPWDQIVMTSLALVGAAIHPFALLL -VLGGWILHIKGARRSGDVLWDIPTPKVIEECEHLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLLRNGKKLVPSWASVKEDLVAYGGSWKLDGRWDGEEEVQLIAAVPGKSVVNVQTKPSLFRVKNGGEI -GAVALDYPSGTSGSPIVNRNGEVVGLYGNGILVGDNSFVSAISQTELKEESKEELQEIPTMLKKGMTTIL -DFHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFQGLDVKFHTQAFSAHGSGKEVIDAMC -HATLTYRMLEPTRVVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWTAGHEWILADKRPTAWFLPSIRAANVMAASLRKAGKNVVVLNRKTFEKEYPTIKQKK -PDFILATDIAEMGANLCVERVLDCRTAYKPVLVDEGKKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSEDNAHHVCWLEASMLLDNMEVRGGMVAPLYGIEGTKTPVSPGEMRLRDDQRRVFRELVRGCDL -PVWLAWQVAKAGLKTNDRKWCFEGPEEHEILNDNGETVKCRSPGGAKRALRPRWCDERVSSDQSALADFI -KFAEGRRGAAEMLVILTELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIVMLFLLAGLL -TSGAVIFFMSPKGMSRMSMAMGTMAGSGYLMFLGGVKPTHISYVMLIFFVLMVVVIPEPGQQRTIQDNQV -AYLIIGILTLLSVVAANELGMLEKTKEDFFGKRDITTPSGAIPWSWPDLDLKPGAAWTVYVGIVTMLSPM -LHHWIKVEYGNLSLSGIAQSASVLSFMDKGIPFMKMNISVVILLVSGWNSITVIPLLCGIGGAMLHWTLI -LPGIKAQQSKLAQKRVFHGVAKNPVVDGNPTADIEEAPEMPALYEKKLALYLLLALSLMSVAMCRTPFSL -AEGIVLSSAALGPLIEGNTSLLWNGPMAVSMTGVMRGNYYAFVGVMYNLWKMKTERRGSASGKTLGEVWK -RELNLLDKQQFEMYKRTDIIEVDRDMARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVTDLGCG -RGGWCYYAAAQKEVSGVKGYTLGRDGHEKPMNVQSLGWNIVTFKDKTDVHRLEPLKCETLLCDIGESSPS -SATEGERTLRVLDTVEKWLACGVDNFCIKVLAPYMPDVIEKLELLQRRFGGTVIRNPLSRNSTHEMYYVS -GARSNITFTVNQTSRLLMRRMRRPTGKVTLEADVILPIGTRSVETDKGPLDKDAIEERVERIKNEYATTW -FYDNDNPYRTWHYCGSYVTKTSGSAASMINGVIKILTFPWDRIEEVTRMAMTDTTPFGQQRVFKEKVDTR -AKDPPAGTRKIMKVVNRWLFRHLSREKNPRLCTKEEFIAKVRSHAAVGAFLEEQEQWKTANEAVQDPKFW -EMVDAERKLHQQGRCQSCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWASREN -SGGGVEGTGLQYLGYVIRDLSAKEGGGFYADDTAGWDTRITEADLDDEQEIMSYMSPEQRKLAWAIMEMT -YKNKVVKVLRPAPGGKAFMDIISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVINHQHVQECGENV -LERLETWLAENGCDRLSRMAVSGDDCVVRPVDDRFGLALSHLNAMSKVRKDISEWQPSKGWTDWENVPFC -SHHFHELVLKDGRKIVVPCRDQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSFAV -SSAVPTAWVPSGRTTWSVHGRGEWMTTEDMLDVWNRVWVLNNPHMTDKTTIKEWRDVPYLTKRQDKLCGS -LIGMTNRATWASHIHLVIHRIRTLIGQEKFTDYLTVMDRYSVDADLQPGELI - ->sp|Q074N0.1|POLG_YEFVE RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MSGRKAQGKTLGVNMVRRGARSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKLTTHLKRLWR -MLDPRQGLAVLRKVKRVVASLMIGLSSRKRRSNEMAMMPLLILSMVILAGGVTLVRKNRWLLLNVTAEDL -GKTFSLGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGRCDAVGRSKRSRR -AIDLPTHENHGLKTRQEKWMAGRMGERQLQKIERWLVRNPFFAITALAIAYLVGNNMTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSATLEQGKCVTVMAPDKPSLDISLQTVAIDGPAEARKVCYSAVL -THVKINDKCPSTGEAHLAEENDGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRAQLHVGAKQENWNTDIKTLKFDALSGSQEAEFTGYGKATLECQVQTAVDFGNSYIAEMEKDSWIV -DRQWAQDLTLPWQSGSGGIWREMHHLVEFEPPHAATIRVLALGNQEGSLKTALTGAMRVTKDENDNNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTGHGTVVMQVKVPKGAPCKIPVIVADDLTAAVN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIVGTGDSRLTYQWHKEGSSIGKLFTQTMKGAERLAVMGD -AAWDFSSAGGFFTSVGKGIHTVFGSAFQGLFGGLSWITKVIMGAVLIWVGINTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAVNFGKRELKCGDGIFVFRDSDDWLTKYSYYPEDPVKLASIIKASHEEGKCGLNSVDS -LEHEMWRSRADEINAIFEENEVDISVVVQDPKNIYQRGTHPFSRIRDGLQYGWKTWGKNLIFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGMGVFTTRVFMDAVFDYSVDCDGAILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMVHTLETLDYKECEWPLTHTIGTSVEESDMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVRREPCPGTSVVLDTGCDGRGKSTRSTTDSGKIIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPMKTHE -SHLVRSWVTAGEVHAVPFGLVSMMIAMEVVLRKRQGPKQMLVGGIILLGAMLVGQVTVLDLVKLIVAVGL -HFHEINNGGDAMYMALIASFSIRPGLLVGFGLRTLWSPRERLVMAFGAAMVEVALGGMMGGLWQYLNAVS -LCVLTINAISSRKASNAVLPLMALLTPVTMHEVRMATMLFCTVVIVGVLHQNAKDTSMQKTIPIVALTLT -SYMGLTQPFLGLCAYMSTQVFGRRSIPVNEALAAAGLVGVLAGLAFQDMENFLGPIAVGGILMMLVSVAG -KVDGLELKKLGEVSWEEEAEISGSSSRYDVALSEQGEFKLLSEDKVPWDQIVMTSLALVGAAIHPFALLL -VLGGWVLHIKGARRSGDVLWDIPTPKVIEECEYLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLLRNGKKLVPSWASVKEDLVAYGGSWKLDGKWDGEEEVQLIAAVPGKAVVNVQTKPSVFKVRNGGEI -GAVALDYPSGTSGSPIVNRSGEVVGLYGNGILVGDNSFVSAISQTEVKEESKEELQEIPTMLKKGMTTIL -DFHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFHGLDVKFHTQAFSAHGSGKEVIDAMC -HATLTYRMLEPTRAVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWTSGHEWILADKRPTAWFLPSIRAANVMAASLRKAGKSVVVLNRKTFEKEYPTIKQKR -PDFILATDIAEMGANLCVERVLDCRTAYKPVLVDEGRKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSEDNAHHVCWLEASMLLDNMEVRGGMVAPLYGIEGTKTPVSPGEMRLRDDQRRVFRELVRGCDL -PVWLSWQVAKPGLKTNDRKWCFEGPEEHEILNDNGETVKCRSPGGAKKALRPRWCDERVSSDQSALADFI -KFAEGRRGAAEMLVVLTELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIVMLFILAGLL -TSGMVIFFMSPKGMSRMSMAMGTMAGSGYLMFLGGVKPTHISYVMLIFFVLMVVIIPEPGQQRTIQDNQV -AYLIIGILTLLSIVAANELGMLEKTKEDFFGRRNIATSGGTIPWSWPDLDLKPGAAWTVYVGIVTMLSPM -LHHWIKVEYGNLSLSGIAQSASVLSFMDKGIPFMKMNISVVILLVSGWNSITVIPLLCGVGGAMLHWTLI -LPGIKAQQSKLAQKRVFHGVAKNPVVDGNPTADIEEAPEMPALYEKKLALYLLLALSLMSVAMCRTPFSL -AEGIVLSSAALGPLIEGNTSLLWNGPMAVSMTGVMRGNYYAFVGVMYNLWKMKTGRRGSASGKTLGEVWK -RELNLLDKQQFELYKRTDITEVDRDMARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVMDLGCG -RGGWCYYAAAQKEVSGVKGYTLGRDGHEKPMNVQSLGWNIVTFKDKTDIHRLEPAKCETLLCDIGESSPS -SVTEGERTLRVLETIEKWLACGVDNFCVKVLAPYMPDVIEKLELLQRRFGGTIIRNPLSRNSTHEMYYVS -GARSNITFTVNQTSRLLMRRMRRPTGKVTLEPDVILPIGTRSVETDKGPLDRDAIEERVERIKTEYAATW -FYDNDNPYRTWHYCGSYITKTSGSAASMINGVIKILTFPWDRIEEVTRMAMTDTTPFGQQRVFKEKVDTR -AKDPPAGTRKIMKVVNRWLFRHLAREKNPRLCTKEEFIAKVRSHAAVGAFLEEQEQWKTANEAVQDPKFW -EMVDAERKLHQQGRCQSCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWASREN -SGGGVEGIGLQYLGYVIKDLSTKEGGGFYADDTAGWDTRITEADLDDEQEIMSYMNAEQRKLAWAVMEMT -YKNKVVKVLRPAPGGKAFMDIISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVINHQHVNECDEGV -LARLDAWLAENGCDRLARMAVSGDDCVVRPVDDRFGLALSHLNAMSKVRKDISEWQPSKEWTDWENVPFC -SHHFHELVLKDGRKVVVPCRDQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSFAV -SSAVPMAWVPSGRTTWSVHGKGEWMTTQDMLDVWNRVWVLNNPHMKDKTTVKEWRDVPYLTKRQDKLCGS -LIGMTNRATWASHIHLVIHRIRTLIGQEKYTDYLTVMDRYSVDADLQPGELI - ->sp|Q89277.2|POLG_YEFVF RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKITAHLKRLWK -MLDPRQGLAALRKVKRVVAGLMRGLSSRKRRSHDVLTVQFLILGMLLMTGGVTLVRKNRWLLLNVTSEDL -GKTFSMGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGKCDSAGRSRRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWFVRNPFFAVTALTIAYLVGSNMTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSATLEQDKCVTVMAPDKPSLDISLETVAIDGPVEARKVCYNAVL -THVKINDKCPSTGEAHLAEENEGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRARLHVGAKQENWKTDIKTLKFDALSGSQEAEFTGYGKATLECQVQTAVDFGNSYIAEMEKESWIV -DRQWAQDLTLPWQSGSGGVWREMHHLVEFEPPHAATIRVLALGDQEGSLKTALTGAMRVTKDTNDNNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTGHGTVVMQVKVPKGAPCRIPVIVADDLTAAIN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIVGTGDSRLTYQWHKEGSSIGKLFTQTMKGAERLAVMGD -AAWDFSSAGGFFTSVGKGIHTVFGSAFQGLFGGLNWITKVIIGAVLIWVGINTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAINFAKRELKCGDGIFIFRDSDDWLNKYSYYPEDPVKLASIVKASFEEGKCGLNSVDS -LEHEMWRSRADEINAILEENEVDISVVVQDPKNVYQRGTHPFSRIRDGLQYGWKTWGKNLVFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGTGVFTTRVYMDAVFEYTIDCDGSILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMIHTLEALDYKECEWPLTHTIGTSVEESEMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVKREACPGTSVIIDGNCDGRGKSARSTTDSGKIIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPRKTHE -SHLVRSWVTAGEIHAVPFGLVSMMIALEVVLRKRQGPKQMLVGGVVLLGAMLVGQVTLLDLLKLTVAVGL -HFHEMNNGGDAMYMALIAAFSVRPGLLIGFGLRTLWSPRERLVLALGAAMVEIALGGMMGGLWKYLNAVS -LCILTINAVASRKASNTILPLMALLTPVTMAEVRLATMLFCTVVIIGVLYQNSKDTSMQKTIPLVALTLT -SYLGLTQPFLGLCAFLATRIFGRRSIPVNEALAAAGLVGVLAGLAFQEMENFLGPIAVGGILMMLVSVAG -RVDGLELKKLGEVAWEEEAEISGSSARYDVALSEQGEFKLLSEEKVPWDQVVMTSLALVGAAIHPFALLL -VLAGWLFHVRGARRSGDVLWDIPTPKVIEECEHLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLVRNGKKLIPSWASVKEDLVAYGGSWKLEGRWDGEEEVQLIAAVPGKNVVNVQTKPSLFKVRNGGEI -GAVALDYPSGTSGSPIVNRNGEVIGLYGNGILVGDNSFVSAISQTEVKEEGKEELQEIPTMLKKGKTTIL -DFHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFHGLDVKFHTQAFSAHGSGREVIDAMC -HATLTYRMLEPTRIVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWNTGHDWILADKRPTAWFLPSIRAANVMAASLRKAGKSVVVLNRKTFEREYPTIKQKK -PDFILATDIAEMGANLCVERVLDCRTAFKPVLVDEGRKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSEDNAHHVCWLEASMLLDNMEVRGGMVAPLYGVEGTKTPVSPGEMRLRDDQRKVFRELVRNCDL -PVWLSWQVAKAGLKTNDRKWCFEGPEEHEILNDSGETVKCRTPGGAKKPLRPRWCDERVSSDQSALSEFI -KFAEGRRGAAEVLVVLSELPDFLAKKGGEAMDTISVLLHSEEGSRAYRNALSMMPEAMTIVMLFILAGLL -TSGMVIFFMSPKGISRMSMAMGTMAGCGYLMFLGGVKPTHISYIMLIFFVLMVVVIPEPGQQRSIQDNQV -AFLIIGILTLVSVVAANELGMLEKTKEDLFGKKNSIPSSASPWSWPDLDLKPGAAWTVYVGIVTMLSPML -HHWIKVEYGNLSLSGIAQSASVLSFMDKGIPFMKMNISVIMLLISGWNSITVMPLLCGIGCAMLHWSLIL -PGIKAQQSKLAQRRVFHGVAKNPVVDGNPTVDIEEAPEMPVLYEKKLALYLLLALSLASVAMCRTPFSLA -EGIVLASAALGPLIEGNTSLLWNGPMAVSMTGVMRGNYYAFVGVMYNLWKMKTGRRGTANGKTLGEVWKR -ELNLLDKQQFELYKRTDIVEVDRDTARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVIDLGCGR -GGWCYYAAAQKEVSGVKGFTLGRDGHEKPMNVQSLGWNIITFKDKTDIHRLEPVKCDTLLCDIGESSSSS -VTEGERTVRVLDTVEKWLACGVDNFCVKVLAPYMPDVLEKLELLQRRFGGTVIRNPLSRNSTHEMYYVSG -ARSNVTFTVNQTSRLLMRRMRRPTGKVTLEADVTLPIGTRSVETDKGPLDKEAIEERVERIKSEYMTSWF -YDNDNPYRTWHYCGSYVTKTSGSAASMVNGVIKILTYPWDKIEEVTRMAMTDTTPFGQQRVFKEKVDTRA -KDPPAGTRKIMKVVNRWLFRHLAREKNPRLCTKEEFIAKVRSHAAIGAYLEEQEQWKTANEAVQDPKFWE -LVDEERKLHQQGRCRTCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWASRENS -GGGVEGIGLQYLGYVIRDLAAMDGGGFYADDTAGWDTRITEADLDDEQEILNYMSPHHKKLAQAVMEMTY -KNKVVKVLRPAPGGKAYMDVISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVIHHQHVQDCDESVL -TRLEAWLTEHGCNRLRRMAVSGDDCVVRPIDDRFGLALSHLNAMSKVRKDISEWQPSKGWNDWENVPFCS -HHFHELQLKDGRRIVVPCREQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSLAVS -SAVPTSWVPQGRTTWSIHGKGEWMTTEDMLEVWNRVWITNNPHMQDKTMVKEWRDVPYLTKRQDKLCGSL -IGMTNRATWASHIHLVIHRIRTLVGQEKYTDYLTVMDRYSVDADLQPGELI - ->sp|Q9YRV3.1|POLG_YEFVT RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKITAQLKRLWK -MLDPRQGLAALRKVKRVVAGLMRGLSSRKRRSHDVLTVQFLILGMLLMTGGVTLMRKNRWLLLNVTSEDL -GKTFSIGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVTYGKCDSAGRSRRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWFVRNPFFAVTALTIAYLVGSNMTQRVVIALLVLAV -GPAYSAHCIGVADRDFIEGVHGGTWVSATLEQDKCVTVMAPDKPSLDISLETVAIDGPVEARKVCYNAVL -THVKIDDKCPSTGEAHLAEENEGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIKAQLHVGAKQEDWKTDIKTLKFDVLSGSQEAEFTGYGKVTLECQVQTAVDFGNSYIAEMEKESWIV -DRQWAQDLTLPWQSGSGGVWREMHHLVEFEPPHAATIRVLALGDQEGSLKTALTGAMRVTKDTNDNNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTGHGTVVMQVKVPKGAPCKIPVIVADDLTAAIN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIIGTGDSRLTYQWHKEGSSIGKLFTQTMKGAERLAVMGD -AAWDFSSAGGFFTSVGKGIHTVFGSAFQGLFGGLNWITKVIMGAVLIWVGFNTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAINFGKRELKCGDGIFIFRDSDDWLNKYSYYPEDPVKLASIVKASFEEGKCGLNSVDS -LEHEMWRSRADEINAILEENEVDISVVVQDPKNVYQRGTHPFSRIRDGLQYGWKTWGKNLVFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGTGVFTTRVYMDAVFEYTIDCDGSILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMIHTLEALDYKECEWPLTHTIGTSVEESEMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVKREACPGTSVIIDGNCDGRGKSTRSTTDSGKIIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPMKTHE -SHLVRSWVTAGEIHAVPFGLVSMMIAMEVVLRKRQGPKQVLVGGVVLLGAMLVGQVTLLDLLELTVAVGL -HFHEMNNGGDAMYMALIAAFSVRPGLLIGFGLRTLWSPRERLVLALGAAMVEIALGGMMGGLWKYLNAVS -LCILTINAVASRKASNAILPLMALLTPVTMAEVRLATMLFCTVVIIGVLHQNSKDTSMQKTIPLVALTLT -SYLGLTQPFLGLCAFLATRIFGRRSIPVNEALAATGLVGVLAGLAFQEMENFLGPIAVGGILMMLVSVAG -RVDGLELKKLGEVSWEEEAEISGSSARYDVALSEQGEFKLLSEEKVPWDQVVMTSLALVGAAIHPFALLL -VLAGWLFHVRRARRSGDVLWDIPTPKIIEECEHLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLVWNGKKLIPSWASVKEDLVAYGGSWKLEGRWDGEEEVQLIAAAPGKNVVNVQTKPSLFKVRNGGEI -GAVALDYPSGTSGSPIVNRNGEVIGLYGNGILVGDNSFVSAISQTEVKEEGKEELQEIPTMLKKGKTTIL -DFHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFHGLDVKFHTQAFSAHGSGREVIDVMC -HATLTYRMLEPTRIVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWNTGHDWILADKRPTAWFLPSIRAANVMAASLRKAGKSVVVLNRKTFEREYPTIKQKK -PDFILATDIAEMGANLCVERVLDCRTAFKPVLVDEGRKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSEDNAHHVCWLEASMLLDNMEVRGGMVAPLYGVEGIKTPVSPGEMRLRDDQRKVFRELVRNCDL -PVWLSWQVAKAGLKTNDRKWCFEGPEEHEILNDSGETVKCRAPGGAKKPLRPRWCDERVSSDQSALSEFI -KFAEGRRGAADVLVVLSELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIVMLFLLAGLL -TSGMVIFFMSPKGISRMSMAKGTMAGCGYLMFLGGVEPTHISYIMLIFFVLMVVVIPEPGQQRSIQDNQV -AFLIIGILTLVSVVAANELGMLEKTKEDLFGKKNLIPSGASPWSWPDLDLKPGAAWTVYVGIVTMLSPML -HHWIKVEYGNLSLSGIAQSASVLSFMDKGIPFMKMNISVIMLLVSGWNSITVMPLLCGIGCAMLHWSLIL -PGIKAQQSKLAQRRVFHGVAKNPVVDGNPTVDIEEAPEMPALYEKKLALYLLLALSLASVAMCRTPFSLD -EGIVLASAALGPLIEGNTSLLWNGPMAVSMTGVMRGNYYAFVGVAYNLWKMKTARRGTANGKTLGEVWKR -ELNLLDKQQFELYKRTDIVEVDRDTARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVIDLGCGR -GGWCYYAAAQKEVSGVKGFTLGRDGHEKPMNVQSLGWNIITFKDKTDVHPLEPVKCDTLLCDIGESSSSS -VTEGERTVRVLDTVEKWLACGVDNFCVKVLAPYMRDVLEKLELLQRRFGGTVIRNPLSRNSTHEMYYVSG -ARSNVTFTVNQTSRLLMRRMRRPTGKVTLEADVTLPIGTRSVETDKGPLDKEAIKERVERIKSEYMTSWF -YDNDNPYRTWHYCGSYVTKTSGSAASMVNGVIKLLTYPWDKIEEVTRMAMTDTTPFGQQRVFKEKVDTRA -KDPPAGTRKIMKVVNRWLFRHLAREKNPRLCTKEEFIAKVRSHAAIGAYLEEQDQWKTANEAVQDPKFWE -LVDEERKLHQQGRCRTCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWASRENS -GGGVEGIGLQYLGYVIRDLAAMDGGGLYADDTAGWDTRITEADLDDEQEILNYMSPHHKKLAQAVMEMTY -KNKVVKVLRPAPGGKAYMDVISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVIHHQHVQDCDESVL -TRLEAWLTEHGCDRLRRMAVSGDDCVVRPIDDRFGLALSHLNAMSKVRKDISEWQPSKGWNDWENVPFCS -HHFHELQLKDGRRIVVPCREQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSLAVS -SAVPTSWVPQGRTTWSIHGKGEWMTTEDRLEVWNRVWITNNPHMQDKTMVKEWRDVPYLTKRQDKLCGSL -IGMTNRATWASNIHLVIHRIRTLVGQEKYTDYLTVMDRYSVDADLQPGELI - ->sp|Q9WDA6.1|POLG_DEN2Q RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNNQRKKARNTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRTAGMIIMLIPTVMAFHLTTRNGEPHMIVSRQEKGKSLLFK -TKDGTNMCTLMAMDLGELCEDTITYKCPFLKQNEPEDIDCWCNSTSTWVTYGTCTTTGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKHAQRIETWILRHPGFTIMAAILAYTIGTTHFQRVLIFILLTAIAPSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -DSRCPTQGEPTLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMEGKIVQPENLEYTVV -ITPHSGEEHAVGNDTGKHGKEVKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMEDKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKIVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGAEPGQLKLDWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFVTDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITSELNHILSENEVKLTIMTGDIKGIMQVGKRSLRPQPTELRYSWKTWGKAKMLSTELHNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLRLREKQDAFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKSCHWPKSHTLWSNGVLESEMVIPKNIAGPVSQHNNRPGYHTQTAGPWHLGKLEM -DFDFCEGTTVVVTEECGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VSSLVTAGHGQIDNFSLGILGMALFLEEMLRTRVGTKHAILLVAVSFLTLITGNMSFRDLGRVMVMVGAT -MTDDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMTTIGIVLLSQSSIPETILELTDALALGMMV -LKMVRNMEKYQLAVTIMAILCVPNAVILQNAWKVSCTTLAVVSVSPLLLTSSQQKADWIPLALTIKGLNP -TAIFLTTLTRTSKKRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELER -ATDVKWDDQAEISGSSPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPVSIPITAAAWYLWE -VKKQRAGVLWDVPSPPPVGRAELEDGAYRIKQKGILGYSQIGAGVYKEGTFHTMWHVTRGAVLMHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFRTNTGTIGAVSLDFSPGTS -GSPIVDKKGKVVGLYGNGVVTRGGAYVSAIAQTEKGIEDNPEIEDDIFRKRRLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPIRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIMDEEREIPERSWN -SGHEWVTDFKGKTVWFVPSIKTGNDIAACLRKNGKRVIQLSRKTFDSEYVKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPRNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTFVDLMRRGDLPVWLAYKVAAEGI -NYADRRWCFDGTRNNQILEENVEVEIWTKEGERKKLKPRWLDARIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKARDALDNLAVLHTAEAGGKAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGRG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVIIAILTVVAA -TMANEMGFLEKTKKDLGLGHIATQQPESNILDIDLRPASAWTLYAVATTFITPMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALLMLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPVSTLWEG -NPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTSTRRGTGNMGETLGEKWKNRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNLVTPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFVPPEKCDTLLCDIGESSPNPTVEAGRTLRVLNLVE -NWLNNNTQFCVKVLNPYMPSVIERMETLQRKYGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMRHKKATYEPDVDLGSGTRNIGIESETPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSY -ETKQTGSASSMVNGVVRLLTKPWDVIPMVTQMAMTDTTPFGQQRVFKEKVDTRTQEPKEGTKKLMKITAE -WLWKELGKKKTPRMCTREEFTKKVRSNAALGAIFTDENKWKSAREAVEDNRFWELVDKERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYIL -REVSKKEGGAMYADDTAGWDTRITIEDLKNEEMITNHMAGEHKKLAEAIFKLTYQNKVVRVQRPTPRGTV -MDIISRRDQRGSGQVVTYGLNTFTNMEAQLIRQMEGEGVFKSIQHLTASEEIAVQDWLVRVGRERLSRMA -ISGDDCVVKPLDDRFAKALTALNDMGKVRKDIQQWEPSRGWNDWTQVPFCSHHFHELIMKDGRTLVVPCR -NQDELIGRARISQGAGWSLRETACLGKSYAQMWSLMYFHRRDLRLAANAICSAVPSHWVPTSRTTWSIHA -SHEWMTTEDMLTVWNRVWILENPWMEDKTPVESWEEIPYLGKREDQWCGSLIGLTSRATWAKNIQTAINQ -VRSLIGNEEYTDYMPSMKRFRREEEEVGVLW - ->sp|Q99D35.1|POLG_DEN3C RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNNQRKKTGKPSINMLKRVRNRVSTGSQLAKRFSRGLLNGQGPMKLVMAFIAFLRFLAIPPTAGVLARWG -TFKKSGAIKVLKGFKKEISNMLSIINKRKKTSLCLMMMLPATLAFHLTSRDGEPRMIVGKNERGKSLLFK -TASGINMCTLIAMDLGEMCDDTVTYKCPHITEVEPEDIDCWCNLTSTWVTYGTCNQAGEHRRDKRSVALA -PHVGMGLDTRTQTWMSAEGAWRQVEKVETWALRHPGFTILALFLAHYIGTSLTQKVVIFILLMLVTPSMT -MRCVGVGNRDFVEGLSGATWVDVVLEHGGCVTTMAKNKPTLDIELQKTEATQLATLRKLCIEGKITNITT -DSRCPTQGEAILPEEQDQNYVCKHTYVDRGWGNGCGLFGKGSLVTCAKFQCLESIEGKVVQHENLKYTVI -ITVHTGDQHQVGNETQGVTAEITSQASTAEAILPEYGTLGLECSPRTGLDFNEMILLTMKNKAWMVHRQW -FFDLPLPWTSGATTKTPTWNRKELLVTFKNAHAKKQEVVVLGSQEGAMHTALTGATEIQTLGGTSIFAGH -LKCRLKMDKLELKGMSYAMCLNTFVLKKEVSETQHGTILIKVEYKGEDAPCKIPFSTEDGQGKAHNGRLI -TANPVVTKKEEPVNIEAEPPFGESNIVIGIGDKALKINWYRKGSSIGKMFEATARGARRMAILGDTAWDF -GSVGGVLNSLGKMVHQIFGSAYTALFSGVSWIMKIGIGVLLTWIGLNSKNTSMSFSCIAIGIITLYLGVV -VQADMGCVINWKGKELKCGSGIFVTNEVHTWTEQYKFQADSPKRLATAIAGAWENGVCGIRSTTRMENLL -WKQIANELNYILWENNIKLTVVVGDITGVLEQGKRTLTPQPMELKYSWKTWGKAKIVTAETQNSSFIIDG -PSTPECPSASRAWNVWEVEDYGFGVFTTNIWLKLREVYTQLCDHRLMSAAVKDERAVHADMGYWIESQKN -GSWKLEKASLIEVKTCTWPKSHTLWSNGVLESDMIIPKSLAGPISQHNHRPGYHTQTAGPWHLGKLELDF -NYCEGTTVVISENCGTRGPSLRTTTVSGKLIHEWCCRSCTLPPLRYMGEDGCWYGMEIRPINEKEENMVK -SLASAGSGKVDNFTMGVLCLAILFEEVMRGKFGKKHMIAGVLFTFVLLLSGQITWRDMAHTLIMIGSNAS -DRMGMGVTYLALIATFKIQPFLALGFFLRKLTSRENLLLGVGLAMAATLRLPEDIEQMANGIALGLMALK -LITQFETYQLWTALVSLTCSNTIFTLTVAWRTATLILAGISLLPVCQSSSMRKTDWLPMTVAAMGVPPLP -LFIFSLKDTLKRRSWPLNEGVMAVGLVSILASSLLRNDVPMAGPLVAGGLLIACYVITGTSADLTVEKAA -DVTWEEEAEQTGVSHNLMITVDDDGTMRIKDDETENILTVLLKTALLIVSGIFPCSIPATLLVWHTWQKQ -TQRSGVLWDVPSPPETQKAELEEGVYRIKQQGIFGKTQVGVGVQKEGVFHTMWHVTRGAVLTHNGKRLEP -NWASVKKDLISYGGGWRLSAQWQKGEEVQVIAVEPGKNPKNFQTMPGIFQTTTGEIGAIALDFKPGTSGS -PIINREGKVVGLYGNGVVTKNGGYVSGIAQTNAEPDGPTPELEEEMFKKRNLTIMDLHPGSGKTRKYLPA -IVREAIKRRLRTLILAPTRVVAAEMEEALKGLPIRYQTTATKSEHTGREIVDLMCHATFTMRLLSPVRVP -NYNLIIMDEAHFTDPASIAARGYISTRVGMGEAAAIFMTATPPGTADAFPQSNAPIQDEERDIPERSWNS -GNEWITDFVGKTVWFVPSIKAGNDIANCLRKNGKKVIQLSRKTFDTEYQKTKLNDWDFVVTTDISEMGAN -FKADRVIDPRRCLKPVILTDGPERVILAGPMPVTVASAAQRRGRVGRNPQKENDQYIFMGQPLNKDEDHA -HWTEAKMLLDNINTPEGIIPALFEPEREKSAAIDGEYRLKGESRKTFVELMRRGDLPVWLAHKVASEGIK -YTDRKWCFDGERNNQILEENMDVEIWTKEGEKKKLRPRWLDARTYSDPLALKEFKDFAAGRKSIALDLVT -EIGRVPSHLAHRTRNALDNLVMLHTSEHGGRAYRHAVEELPETMETLLLLGLMILLTGGAMLFLISGKGI -GKTSIGLICVIASSGMLWMADVPLQWIASAIVLEFFMMVLLIPEPEKQRTPQDNQLAYVVIGILTLAAIV -AANEMGLLETTKRDLGMSKEPGVVSPTSYLDVDLHPASAWTLYAVATTVITPMLRHTIENSTANVSLAAI -ANQAVVLMGLDKGWPISKMDLGVPLLALGCYSQVNPLTLIAAVLLLVTHYAIIGPGLQAKATREAQKRTA -AGIMKNPTVDGIMTIDLDPVIYDSKFEKQLGQVMLLVLCAVQLLLMRTSWALCEVLTLATGPITTLWEGS -PGKFWNTTIAVSMANIFRGSYLAGAGLAFSIMKSVGTGKRGTGSQGETLGEKWKKKLNQLSRKEFDLYKK -SGITEVDRTEAKEGLKRGEITHHAVSRGSAKLQWFVERNMVIPEGRVIDLGCGRGGWSYYCAGLKKVTEV -RGYTKGGPGHEEPVPMSTYGWNIVKLMSGKDVFYLPPEKCDTLLCDIGESSPSPTVEESRTIRVLKMVEP -WLKNNQFCIKVLNPYMPTVIEHLERLQRKHGGMLVRNPLSRNSTHEMYWISNGTGNIVSSVNMVSRLLLN -RFTMTHRRPTIEKDVDLGAGTRHVNAEPETPNMDVIGERIKRIKEEHSSTWHYDDENPYKTWAYHGSYEV -KATGSASSMINGVVKLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTPRPMPGTRKVMEITAEWL -WRTLGRNKRPRLCTREEFTKKVRTNAAMGAVFTEENQWDSARAAVEDEEFWKLVDRERELHKLGKCGSCV -YNMMGKREKKLGEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWFSRENSYSGVEGEGLHKLGYILRD -ISKIPGGAMYADDTAGWDTRITEDDLHNEEKITQQMDPEHRQLANAIFKLTYQNKVVKVQRPTPKGTVMD -IISRKDQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGVLSKADLENPHPLEKKITQWLETKGVERLKRMAI -SGDDCVVKPIDDRFANALLALNDMGKVRKDIPQWQPSKGWHDWQQVPFCSHHFHELIMKDGRKLVVPCRP -QDELIGRARISQGAGWSLRETACLGKAYAQMWTLMYFHRRDLRLASNAICSAVPVHWVPTSRTTWSIHAH -HQWMTTEDMLTVWNRVWIEDNPWMEDKTPITTWEDVPYLGKREDQWCGSLIGLTSRATWAQNILTAIQQV -RSLIGNEEFLDYMPSMKRFRKEEESEGAIW - ->sp|Q98803.1|POLG_YEFVI RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFISFFSFNILTGKKITAHLKRLWK -MLDPRQGLAVLRKVKRVVAGLMRGLSSRKRRSHDVLTVQFLILGMLLMAGGVTLVRKNRWLLLNVTSEDL -GKTFSVGTGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGKCDSAGRSRRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWLVRNPFFAVTALAIAYLVGSNMTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSATLEQDKCVTVMAPDKPSLDISLETVAIDGPAEARKVCYNAVL -THVKINDKCPSTGEAHLAEENEGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRAQLHVGAKQENWNTDIKTLKFDALSGSQEAEFTGYGKATLECRVQTAVDFGNSYIAEMEKESWIV -DRQWAQDLTLPWQSGSGGVWREMHHLVEFEPPHAATIRVLALGNQEGSLKTALTGAMRVTKDTNDNNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTDHGTVVMQVKVPKGAPCKIPVIVADDLTAAIN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIVGTGDSRLTYQWHKEGSSIGKLFTQTMKGAERLAVMGD -AAWDFSSAGGLFTSIGKGSHTVFGSAFQGLFGGLSWITKVIMGAVLIWVGINTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAINFGKRELKCGDGIFIFRDSDDWLNKYSYYPEDPVKLASIVKASFEEGKCGLNSVDS -LDHEMWRSRADEINAILEENEVDISVVVQDPKNVYQRGTHPFSRIRDGLQYGWKTWGKNLVFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGTGVFTTRVYMDAVFEYTIDCDGSILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMIHTLETLDYKECEWPLTHTIGTSVEESDMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVKREACPGTSVIIDGNCDGRGKSTRSTTDSGKIIPEWCCRSCTMPPVSFHGNDGCWYPMEIRPRKTHE -SHLVRSWVTAGEIHAVPFGLVSMMIAMEVVLRKRQGPKQMLVGGMVLLGAMLVGQVTLLDLLKLTMAVGL -HFHEMNNGGDAMYMALIAAFSIRPGLLIGFGLRTLWSPRERLVLTLGAAMVEIALGGMMGGLWKYLNAVS -LCILTINAVASRKASNTILPLMALLTPVTMAEVRLATMLLCAVVIIGVLHQNSKDTSMQKTIPLVALTLT -SYLGLTQPFLGLCAFLATRIFGRRSIPVNEALAAAGLVGVLAGLAFQEMENFLGPIAVGGILMMLVSVAG -RVDGLELKKLGEVSWEEEAEISGSSARYDVALSEQGEFKLLSEEKVPWDQVVMTSLALVGAAIHPSALLL -VLAGWLFHVKGARRSGDVLWDIPTPKIIEECEHLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLVRNGKKLIPSWASVKEDLVAYGGSWKLEGRWDGEEEVQLIAAVPGKNVVNVQTKPSLFKVRNGGEI -GAVALDYPSGTSGSPIVNRNGEVIGLYGNGILVGDNSFVSAISQTEVKEEGKEELQEIPTMLKKGMTTIL -DYHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFHGLDVKFHTQAFSAHGSGREVIDAMC -HATLTYRMLEPTRIVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWNTGHDWILADKRPTAWFLPSIRAANVMAASLRKAGKSVVVLNRKTFEKEYPTIKQKK -PDFILATDIAEMGANLCVDRVLDCRTAFKPVLVDEGRKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSEDNAHHVCWLEASMLLDNMEVRGGMVAPLYGIEGTKTPVSPGEMRLRDDQRRVSRELVRNCDL -PVWLSWQVAKAGLKTNDRKWCFDGPKEHEILNDSGETVKCRAPGGAKRPLRPRWCDERVSSDQSALADFI -KFAEGRRGAAEILVVLSELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIVMLFILAGLL -TSGMVIFFMSPKGISRMSMAMGTMAGCGYLMFLGGAKPTHISYIMLIFFVLMVVVIPEPGQQRSIQDNQV -AYLIIGILTLVSVVAANELGMLERTKEDLFGKKNLIPSSASPWSWPDLDLKPGAAWTVYVGIVTILSPML -HHWIKVEYGNLSLSGIAQSASVLSFMDKGIPFMKMNISVIILLVSGWNSITVMPLLCGIGCAMLHWTLIL -PGIKAQQSKLPQRRVFHGVAKNPVVDGNPTVDIEEAPEMPALYEKKLALYLLLALSLASVAMCRTPFSLA -EGIVLASAALGPLIEGNTSLLWNGPMAVSMTGVMRGNYYAFVGVMYNLWKMETGRRGRANGKTLGEVWKR -ELNLLDRQQFELYKRTDIVEVDRDTARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVTDLGCGR -GGWCYYAAAQKEVSGVKGFTLGREGHEKPMNVRSLGWNIITFKDKTDIHHLEPVKCDTLLCDIGESSSSS -VTEGERTMRVLDTVEKWLACGVDNFCVKVLAPYMPDVLEKLELLQRRFGGTVIRNPLSRNSTHEMYYVSG -ARSNVTFTVNQTSRLLMRRMRRPTGKVTLEADVILPIGTRSVETDKGPLNREAIEERVERIKSEYMTTWF -YDNDNPYRTWHYCGSYVTKTSGSAASMVNGVIKILTYPWDRIEEVTRMAMTDTTPFGQQRVFKEKVDTRA -KDPPAGTRKIMKVVNRWLFRHLAREKNPRLCTKEEFIAKVRSHAAIGAYLEEQEQWKTANEAVQDPKFWE -LVDEERRLHQQGRCRTCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWASRENS -GGGVEGIGLQYLGYVIRDLAAMDGGGFYADDTAGWDTRITEADLDDEQEILNYMSSHHKKLAQAVMEMTY -KNKVVKVLRPTPGGKAYMDVISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVIHHHHVQDCDDSTL -VRLEAWLIEHGCDRLNRMAVNGDDCVVRPIDDRFGMALSHLNAMSKVRKDISEWQPSKGWNDWENVPFCS -HHFHELQLKDGRRIVVPCREQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSLAVS -SAVPTSWVPQGRTTWSIHGKGEWMTTEDMLEVWNRVWITNNPHMQDKTVVKEWRDVPYLTKRQDKLCGSL -IGMTNRATWASHIHLVIHRIRTLIGQEKYTDYLTVMDRYSVDADLQPGELI - ->sp|Q6J3P1.1|POLG_YEFVC RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Non-structural protein 2A-alpha; Short=NS2A-alpha; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MSGRKAQGKTLGVNMVRRGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNILTGKKITAHLKRLWK -MLDPRQGLAVLRKVKRVVASLMRGLSSRKRRSHDALAVQFLILGMLLMAGGVTLVRKNRWLLLNVTSEDL -GKTFSVGAGNCTTNILEAKYWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGKCDSAGRSRRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWLVRNPFFAVTALTIAYLVGSNMTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSATLEQDKCVTVMAPDKPSLDISLETVAIDGPAEARKVCYNAVL -THVKINDKCPSTGEAHLAEENEGDNACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRAQLHVGAKQENWNTDIKTLKFDALSGSQEAEFTGYGKATLECQVQTAVDFGNSYIAEMEKESWIV -DRQWAQDLTLPWQSGSGGVWREMHHLVEFEPPHAATIRVLALGNQEGSLKTALTGAMRVTKDTNDNNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTGHGTVVMQVKVPKGAPCKIPVIVADDLTAAIN -KGILVTVNPIASTNDDEVLIEVNPPFGDSYIIVGTGDSRLTYQWHKEGSSIGKLFTQTMKGAERLAVMGD -AAWDFSSAGGFLTSVGKGIHTVFGSAFQGLFGGLSWITKVIMGAVLIWVGINTRNMTMSMSMILVGVIMM -FLSLGVGADQGCAINFGKRELKCGDGIFIFRDSDDWLNKYSYYPEDPVKLASIVKASFEEGKCGLNSVDS -LEHEMWRSRADEINAILEENEVDISVVVQDPKNVYQRGTHPFSRIRDGLQYGWKTWGKNLVFSPGRKNGS -FIIDGKSRKECPFSNRVWNSFQIEEFGTGVFTTRVYMDAVFEYTIDCDGSILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMIHTLEALDYKECEWPLTHTIGTSVEESEMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVRREACPGTSVIIDGNCDGRGKSTRSTTDSGKIIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPRKTHE -SHLVRSWVTAGEIHAVPFGLVSMMIAMEVVLRKRQGPKQMLVGGVVLLGAMLVGQVTLLDLLKLTVAVGL -HFHEMNNGGDAMYMALIAAFSIRPGLLIGFGLRTLWSPRERLVLTLGAAMVEIALGGMMGGLWKYLNAVS -LCILTINAVASRKASNTILPLMALLTPVTMAEVRLAAMLFCTVVIIGVLHQNSKDTSMQKTIPLVALTLT -SYLGLTQPFLGLCAFLATRLFGRRSIPVNEALAAAGLVGVLAGLAFQEMENFLGPIAVGGILMMLVSVAG -RVDGLELRKLGEVSWEEEAEISGSSARYDVALSEQGEFKLLSEEKVPWDQVVMTSLALVGAAIHPFALLL -VLAGWLFHVKGARRSGDVLWDIPTPKIIEECEHLEDGIYGIFQSTFLGASQRGVGVAQGGVFHTMWHVTR -GAFLVRNGKKLIPSWASVKEDLVAYGGSWKLEGRWDGEEEVQLIAAVPGKNVVNVQTKPSLFKVRNGGEI -GAVALDYPSGTSGSPIVNRNGEVIGLYGNGILVGDNSFVSAISQTEVKEEGKEELQEIPTMLKKGMTTIL -DFHPGAGKTRRFLPQILAECARRRLRTLVLAPTRVVLSEMKEAFHGLDVKFHTQAFSAHGSGREVIDAMC -HATLTYRMLEPTRIVNWEVIIMDEAHFLDPASIAARGWAAHRARANESATILMTATPPGTSDEFPHSNGE -IEDVQTDIPSEPWNTGHDWILADKRPTAWFLPSIRAANVMAASLRKAGKSVVVLNRKTFEREYPTIKQKK -PDFILATDIAEMGANLCVERVLDCRTAFKPVLVDEGRKVAIKGPLRISASSAAQRRGRIGRNPNRDGDSY -YYSEPTSEDNAHHVCWLEASMLLDNMEVRGGMVAPLYGVEGTKTPVSPGEMRLRDDQRKVFRELVRNCDQ -PVWLSWQVAKAGLKTNDRKWCFEGPDEHEILNDSGETVKCRAPGGAKKPLRPRWCDERVSSDQSALADFI -KFAEGRRGAAEVLVVLSELPDFLAKKGGEAMDTISVFLHSEEGSRAYRNALSMMPEAMTIAMLFILAGLL -TSGMVIFFMSPKGISRMSMAMGTMAGCGYLMFLGGVKPTHISYIMLIFFVLMVVVIPEPGQQRSIQDNQV -AYLIIGILTLVSVVAANELGMLEKTKEDLFGKKDLIPSSASPWSWPDLDLKPGAAWTVYVGIVTMLSPML -HHWIKVEYGNLSLSGIAQSASVLSFMDKGIPFMKMNISVIILLVSGWNSITVMPLLCGIGCAMLHWSLIL -PGIKAQQSKLAQRRVFHGVAKNPVVDGNPTVDIEEAPEMPALYEKKLALYLLLALSLASVAMCRTPFSLA -EGIVLASAALGPLIEGNTSLLWNGPMAVSMTGVMRGNYYAFVGVMYNLWKMKTGRRGRANGKTLGEVWKR -ELNLLDKQQFELYKRTDIVEVDRDTARRHLAEGKVDTGVAVSRGTAKLRWFHERGYVKLEGRVTDLGCGR -GGWCYYAAAQKEVSGVKGFTLGRDGHEKPMNVQSLGWNIITFKDKTDIHRLEPMKCDTLLCDIGESSSSS -VTEGERTMRVLDTVEKWLACGVDNFCVKVLAPYMPDVLEKLELLQRRFGGTVIRNPLSRNSTHEMYYVSG -ARSNVTFTVNQTSRLLMRRMRRPTGKVTLEADVILPIGTRSVETDKGPLDREAIEERVERIKSEYMTTWF -YDNDNPYRTWHYCGSYVTKTSGSAASMVNGVIKILTYPWDRIEEVTRMAMTDTTPFGQQRVFKEKVDTRA -KDPPAGTRKIMKVVNRWLFRHLAREKNPRLCTKEEFIAKVRSHAAIGAYLEEQEQWKTANEAVQDPKFWE -LVDEERKLHQQGRCRTCVYNMMGKREKKLSEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWASRENS -GGGVEGIGLQYLGYVIRDLAAMDGGGFYADDTAGWDTRITEADLDDEQEILNYMSPHHKKLAQAVMEMTY -KNKVVKVLRPAPGGKAYMDVISRRDQRGSGQVVTYALNTITNLKVQLIRMAEAEMVIHHQHVQDCDESAL -ARLEAWLTEHGCDRLKRMAVSGDDCVVRPIDDRFGLALSHLNAMSKVRKDISEWQPSKGWNDWENVPFCS -HHFHELHLKDGRRIVVPCREQDELIGRGRVSPGNGWMIKETACLSKAYANMWSLMYFHKRDMRLLSLAVS -SAVPTSWVPQGRTTWSIHGKGEWMTTEDMLGVWNRVWITNNPHMQDKTVVKEWRDVPYLTKRQDKLCGSL -IGMTNRATWASHIHLVIHRIRTLIGQEKYTDYLTVMDRYSVDADLQPGELI - ->sp|Q5UB51.1|POLG_DEN3I RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNNQRKKTGKPSINMLKRVRNRVSTGSQLAKRFSRGLLNGQGPMKLVMAFIAFLRFLAIPPTAGVLARWG -TFKKSGAIKVLKGFKKEISNMLSIINKRKKTSLCLMMILPATLAFHLTSRDGEPRMIVGKNERGKSLLFK -TASGINMCTLIAMDLGEMCDDTVTYKCPLIAEVEPEDIDCWCNLTSTWVTYGTCNQAGEHRRDKRSVALA -PHVGMGLDTRTQTWMSAEGAWRQVEKVETWALRHPGFTILALFLAHYIGTSLTQKVVIFILLMLVTPSMT -MRCVGVGNRDFVEGLSGATWVDVVLEHGGCVTTMAKNKPTLDIELQKTEATQLATLRKLCIEGKITNITT -DSRCPTQGEAILPEEQDQNYVCKHTYVDRGWGNGCGLFGKGSLVTCAKFQCLEPIEGKVVQHENLKYTVI -ITVHTGDQHQVGNDTQGVTVEITPQASTVEAILPEYGTLGLECSPRTGLDFNEMILLTMKNKAWMVHRQW -FFDLPLPWTSGATTEAPTWNRKELLVTFKNAHAKKQEVVVLGSQEGAMHTALTGATEIQNSGGTSIFAGH -LKCRLKMDKLELKGMSYAMCLNTFVLKKEVSETQHGTILIKVEYKGEDAPCKIPFSTEDGQGKAHNGRLI -TANPVVTKKEEPVNIEAEPPFGESNIVIGIGDKALKINWYKKGSSIGKMFEATARGARRMAILGDTAWDF -GSVGGVLNSLGKMVHQIFGSAYTALFSGVSWIMKIGIGVLLTWIGLNSKNTSMSFSCIAIGIITLYLGAV -VQADMGCVINWKGKELKCGSGIFVTNEVHTWTEQYKFQADSPKRLATAIAGAWENGVCGIRSTTRMENLL -WKQIANELNYILWENNIKLTVVVGDIIGVLEQGKRTLTPQPMELKYSWKTWGKAKIVTAETQNSSFIIDG -PNTPECPSASRAWNVWEVEDYGFGVFTTNIWLKLREVYTQSCDHRLMSAAIKDERAVHADMGYWIESQKN -GSWKLEKASFIEVKTCTWPKSHTLWSNGVLESDMIIPKSLAGPISQHNHRPGYHTQTAGPWHLGKLELDF -NYCEGTTVVITENCGTRGPSLRATTVSGKLIHEWCCRSCTLPPLRYMGEDGCWYGMEIRPVNEKEENMVK -SLVSAGSGKVDNFTMGVLCLAILFEEVMRGKFGKKHMIAGVLFTFVLLLSGQITWRDMAHTLIMIGSNAS -DRMGMGVTCLALIATFKIQPFLALGFFLRKLTSRENLLLGVGLAMATTLQLPEDIEQMANGIALGLMTLK -LITQFETYQLWTALVSLTCSNTIFTLTVAWRTATLILAGVSLLPVCQSSSMRKTDWLPMTVAAMGVPPLP -LFIFSLKDALKRRSWPLNEGVMAVGLVSILASSLLRNDVPMAGPLVAGGLLIACYVITGTSADLTVEKAA -DVTWEEEAEQTGVSHNLMITVDDDGTMRIKDDETENILTVLLKTALLIVSGIFPYSIPATLLVWHTWQKQ -TQRSGVLWDVPSPPETQKAELEEGVYRIKQQGIFGKTQVGVGVQKEGVFHTMWHVTRGAVLTHNGKRLEP -NWASVKKDLISYGGGWRLSAQWQKGEEVQVIAVEPGKNPKNFQTMPGIFQTTTGEIGAIALDFKPGTSGS -PIINREGKVVGLYGNGVVTKNGGYVSGIAQTNAEPDGPTPELEEEMFKKRNLTIMDLHPGSGKTRKYLPA -IVREAIKRRLRTLILAPTRVVAAEMEEALKGLPIRYQTTATKSEHTGREIVDLMCHATFTMRLLSPVRVP -NYNLIIMDEAHFTDPASIAARGYISTRVGMGEAAAIFMTATPPGTAEAFPQSNAPIQDEERDIPERSWNS -GNEWITDFVGKTVWFVPSIKAGNDIANCLRKNGKKVIQLSRKTFDTEYQKTKLNDWDFVVTTDISEMGAN -FKADRVIDPRRCLKPVILTDGPERVILAGPMPVTAASAAQRRGRVGRNPQKENDQYIFTGQPLNNDEDHA -HWTEAKMLLDNINTPEGIIPALFEPEREKSAAIDGEYRLKGESRKTFVELMRRGDLPVWLAHKVASEGIK -YTDRKWCFDGERNNQILEENMDVEIWTKEGERKKLRPRWLDARTYSDPLALKEFKDFAAGRKSIALDLVT -EIGRVPSHLAHRTRNALDNLVMLHTSEHGGRAYRHAVEELPETMETLLLLGLMILLTGGAMLFLISGKGI -GKTSIGLICVIASSGMLWMADVPLQWIASAIVLEFFMMVLLIPEPEKQRTPQDNQLAYVVIGILTLAAIV -AANEMGLLETTKRNLGMSKEPGVVSPTSYLDVDLHPASAWTLYAVATTVITPMLRHTIENSTANVSLAAI -ANQAVVLMGLDKGWPISKMDLGVPLLALGCYSQVNPLTLTAAVLLLVTHYAIIGPGLQAKATREAQKRTA -AGIMKNPTVDGIMTIDLDPVIYDSKFEKQLGQVMLLVLCAVQLLLMKTSWALCEVLTLATGPITTLWEGS -PGKFWNTTIAVSMANIFRGSYLAGAGLAFSIMKSVGTGKRGTGSQGETLGEKWKKKLNQLSRKEFDLYKK -SGITEVDRTEAKEGLKRGEITHHAVSRGSAKLQWFVERNMVIPEGRVIDLGCGRGGWSYYCAGLKKVTEV -RGYTKGGPGHEEPVPMSTYGWNIVKLMSGKDVFYLPPEKCDTLLCDIGESSPSPTVEESRTIRVLKMVEP -WLKNNQFCIKVLNPYMPTVIEHLERLQRKHGGMLVRNPLSRNSTHEMYWISNGTGNIVSSVNMVSRLLLN -RFTMTHRRPTIEKDVDLGAGTRHVNAEPETPNMDVIGERIKRIKEEHSSTWHYDDENPYKTWAYHGSYEV -KATGSASSMINGVVKLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTPRPMPGTRKVMEITAEWL -WRTLGRNKRPRLCTREEFTKKVRTNAAMGAVFTEENQWDSARAAVEDEEFWKLVDRERELHKLGKCGSCV -YNMMGKREKKLGEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWFSRENSYSGVEGEGLHKLGYILRD -ISKIPGGAMYADDTAGWDTRITEDDLHNEEKITQQMDPEHRQLANAIFKLTYQNKVVKVQRPTPKGTVMD -IISRKDQRGSGQVGTYGLNTFTNMEAQLVRQMEGEGVLSKADLENPHPLEKKITQWLETKGVERLKRMAI -SGDDCVVKPIDDRFANALLALNDMGKVRKDIPQWQPSKGWHDWQQVPFCSHHFHELIMKDGRKLVVPCRP -QDELIGRARISQGAGWSLKETACLGKAYAQMWSLMYFHRRDLRLASNAICSAVPVHWVPTSRTTWSIHAH -HQWMTTEDMLTVWNRVWIEDNPWMEDKTPVTTWEDVPYLGKREDQWCGSLIGLTSRATWAQNILIAIQQV -RSLIGDEEFLDYMPSMKRFRKEEESEGAIW - ->sp|Q58HT7.1|POLG_DEN4P RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNQRKKVVRPPFNMLKRERNRVSTPQGLVKRFSTGLFSGKGPLRMVLAFITFLRVLSIPPTAGILKRWGQ -LKKNKAIKILTGFRKEIGRMLNILNGRKRSTMTLLCLIPTAMAFHLSTRDGEPLMIVARHERGRPLLFKT -TEGINKCTLIAMDLGEMCEDTVTYECPLLVNTEPEDIDCWCNLTSAWVMYGTCTQSGERRREKRSVALTP -HSGMGLETRAETWMSSEGAWKHAQRVESWILRNPGFALLAGFMAYMIGQTGIQRTVFFVLMMLVAPSYGM -RCVGVGNRDFVEGVSGGAWVDLVLEHGGCVTTMAQGKPTLDFELIKTTAKEVALLRTYCIEASISNITTA -TRCPTQGEPYLKEEQDQQYICRRDVVDRGWGNGCGLFGKGGVVTCAKFSCSGKITGNLVQIENLEYTVVV -TVHNGDTHAVGNDIPNHGVTATITPRSPSVEVKLPDYGELTLDCEPRSGIDFNEMILMKMKKKTWLVHKQ -WFLDLPLPWAAGADTSEVHWNYKERMVTFKVPHAKRQDVTVLGSQEGAMHSALTGATEVDSGDGNHMFAG -HLKCKVRMEKLRIKGMSYTMCSGKFSIDKEMAETQHGTTVVKVKYEGAGAPCKVPIEIRDVNKEKVVGRI -ISSTPFAEYTNSVTNIELEPPFGDSYIVIGVGDSALTLHWFRKGSSIGKMLESTYRGAKRMAILGETAWD -FGSVGGLLTSLGKAVHQVFGSVYTTMFGGVSWMVRILIGFLVLWIGTNSRNTSMAMTCIAVGGITLFLGF -TVHADTGCAVSWSGKELKCGSGIFVIDNVHTWTEQYKFQPESPARLASAILNAHEDGVCGIRSTTRLENI -MWKQITNELNYVLWEGGHDLTVVAGDVKGVLSKGKRALAPPVNDLKYSWKTWGKAKIFTPEAKNSTFLID -GPDTSECPNERRAWNFLEVEDYGFGMFTTNIWMKFREGSSEVCDHRLMSAAIKDQKAVHADMGYWIESSK -NQTWQIEKASLIEVKTCLWPKTHTLWSNGVLESQMLIPKAYAGPFSQHNYRQGYATQTVGPWHLGKLEID -FGECPGTTVTIQEDCDHRGPSLRTTTASGKLVTQWCCRSCTMPPLRFLGEDGCWYGMEIRPLSEKEENMV -KSQVSAGQGTSETFSMGLLCLTLFVEECLRRRVTRKHMILVVVTTLCAIILGGLTWMDLLRALIMLGDTM -SGRMGGQIHLAIMAVFKMSPGYVLGIFLRKLTSRETALMVIGMAMTTVLSIPHDLMEFIDGISLGLILLK -MVTHFDNTQVGTLALSLTFIRSTMPLVMAWRTIMAVLFVVTLIPLCRTSCLQKQSHWVEITALILGAQAL -PVYLMTLMKGASKRSWPLNEGIMAVGLVSLLGSALLKNDVPLAGPMVAGGLLLAAYVMSGSSADLSLEKA -ANVQWDEMADITGSSPIIEVKQDEDGSFSIRDIEETNMITLLVKLALITVSGLYPLAIPVTMTLWYMWQV -KTQRSGALWDVPSPAAAQKATLTEGVYRIMQRGLFGKTQVGVGIHMEGVFHTMWHVTRGSVICHETGRLE -PSWADVRNDMISYGGGWRLGDKWDKEEDVQVLAIEPGKNPKHVQTKPGLFKTLTGEIGAVTLDFKPGTSG -SPIINRKGKVIGLYGNGVVTKSGDYVSAITQAERTGEPDYEVDEDIFRKKRLTIMDLHPGAGKTKRILPS -IVREALKRRLRTLILAPTRVVAAEMEEALRGLPIRYQTPAVKSEHTGREIVDLMCHATFTTRLLSSTRVP -NYNLIVMDEAHFTDPSSVAARGYISTRVEMGEAAAIFMTATPPGATDPFPQSNSPIEDIEREIPERSWNT -GFDWITDYQGKTVWFVPSIKAGNDIANCLRKSGKKVIQLSRKTFDTEYPKTKLTDWDFVVTTDISEMGAN -FRAGRVIDPRRCLKPVISTDGPERVILAGPIPVTPASAAQRRGRIGRNPAQEDDQYVFSGDPLKNDEDHA -HWTEAKMLLDNIYTPEGIIPTLFGPEREKNQAIDGEFRLRGEQRKTFVELMRRGDLPVWLSYKVASAGIS -YKDREWCFTGERNNQILEENMEVEIWTREGEKKKLRPKWLDARVYADPMALKDFKEFASGRKSITLDILT -EIASLPTYLSSRAKLALDNIVMLHTTERGGKAYQHALNELPESLETLMLVALLGAMTAGIFLFFMQGKGI -GKLSMGLIAIAVASGLLWVAEIQPQWIAASIILEFFLMVLLIPEPEKQRTPQDNQLIYVILTILTIIGLI -AANEMGLIEKTKTDFGFYQVKTETTILDVDLRPASAWTLYAVATTILTPMLRHTIENTSANLSLAAIANQ -AAVLMGLGKGWPLHRMDLGVPLLAMGCYSQVNPTTLIASLVMLLVHYAIIGPGLQAKATREAQKRTAAGI -MKNPTVDGITVIDLEPISYDPKFEKQLGQVMLLVLCAGQLLLMRTTWAFCEVLTLATGPVLTLWEGNPGR -FWNTTIAVSTANIFRGSYLAGAGLAFSLIKNAQTPRRGTGTTGETLGEKWKRQLNSLDRKEFEEYKRSGI -LEVDRTEAKSALKDGSKIKHAVSRGSSKIRWIVERGMVKPKGKVVDLGCGRGGWSYYMATLKNVTEVKGY -TKGGPGHEEPIPMATYGWNLVKLHSGVDVFYKPTEQVDTLLCDIGESSSNPTIEEGRTLRVLKMVEPWLS -SKPEFCIKVLNPYMPTVIEELEKLQRKHGGSLVRCPLSRNSTHEMYWVSGVSGNIVSSVNTTSKMLLNRF -TTRHRKPTYEKDVDLGAGTRSVSTETEKPDMTIIGRRLQRLQEEHKETWHYDQENPYRTWAYHGSYEAPS -TGSASSMVNGVVKLLTKPWDVIPMVTQLAMTDTTPFGQQRVFKEKVDTRTPQPKPGTRMVMTTTANWLWA -LLGKKKNPRLCTREEFISKVRSNAAIGAVFQEEQGWTSASEAVNDSRFWELVDKERALHQEGKCESCVYN -MMGKREKKLGEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWFGRENSWSGVEGEGLHRLGYILEDID -KKDGDLIYADDTAGWDTRITEDDLLNEELITEQMAPHHKILAKAIFKLTYQNKVVKVLRPTPKGAVMDII -SRKDQRGSGQVGTYGLNTFTNMEVQLIRQMEAEGVITQDDMHNPKGLKERVEKWLKECGVDRLKRMAISG -DDCVVKPLDERFSTSLLFLNDMGKVRKDIPQWEPSKGWKNWQEVPFCSHHFHKIFMKDGRSLVVPCRNQD -ELIGRARISQGAGWSLRETACLGKAYAQMWSLMYFHRRDLRLASMAICSAVPTEWFPTSRTTWSIHAHHQ -WMTTEDMLKVWNRVWIEDNPNMTDKTPVHSWEDIPYLGKREDLWCGSLIGLSSRATWAKNIHTAITQVRN -LIGKEEYVDYMPVMKRYSAPFESEGVL - ->sp|P27914.1|POLG_DEN2T RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease NS3; AltName: Full=Non-structural protein 3 -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -DSRCPTQGEPTLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMEGKIVQPENLEYTVV -ITPHSGEEHAVGNDTGKHGKEVKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMEDKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKIVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPGQLKLDWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFVTDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITSELNHILSENEVKLTIMTGDIKGIMQVGKRSLRPQPTELRYSWKTWGKAKMLSTELHNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLRLREKQDVFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKSCHWPKSHTLWSNGVLESEMVIPKNFAGPVSQHNNRPGYYTQTAGPWHLGKLEM -DFDFCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VSSLVTAGHGQIDNFSLGILGMALFLEEMLRTRVGTKHAILLVAVSFVTLITGNMSFRDLGRVMVMVGAT -MTDDIGMGVTYLALLAAFRVRPTFAAGLLLRKLTSKELMMTTIGIVLLSQSSIPETILELTDALALGMMV -LKMVRNMEKYQLAVTIMAILCVPNAVILQNAWKVSCTILAVVSVSPLLLTSSQQKADWIPLALTIKGLNP -TAIFLTTLSRTSKKRAGVLWDVPSPPPVGKAELEDGAYRIKQKGILGYSQIGAGVYKEGTFHTMWHVTRG -AVLMHKGKRIEPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFRTNTGTIGA -VSLDFSPGTSGSPIVDKKGKVVGLYGNGVVTRSGAYVSAIAQTEKSIEDNPEIEDDIFRKRRLTIMDLHP -GAGKTKRYLPAIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATF -TMRLLSPIRVPNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIMDE -EREIPERSWNSGHEWVTDFKGKTVWFVPSIKTGNDIAACLRKNGKRVIQLSRKTFDSEYVKTRTNDWDFV -VTTDISEMGANFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPRNENDQYIYM -GEPLENDEDCAHWKEAKMLLDNINTPEGIIPSIFEPEREKVDAIDGEYRLRGEARKTFVDLMRRGDLPVW -LAYKVAAEGINYADRRWCFDGTRNNQILEENVEVEIWTKEGERKKLKPRWLDARIYSDPLALKEFKEFAA -GRK - ->sp|P14340.2|POLG_DEN2N RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNNQRKKARNTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRTAGMIIMLIPTVMAFHLTTRNGEPHMIVSRQEKGKSLLFK -TEDGVNMCTLMAMDLGELCEDTITYKCPFLKQNEPEDIDCWCNSTSTWVTYGTCTTTGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKHAQRIETWILRHPGFTIMAAILAYTIGTTHFQRALIFILLTAVAPSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIETEAKQPATLRKYCIEAKLTNTTT -DSRCPTQGEPSLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMKGKVVQPENLEYTIV -ITPHSGEEHAVGNDTGKHGKEIKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMENKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPGQLKLNWFKKGSSIGQMIETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWIMKILIGVIITWIGMNSRSTSLSVSLVLVGVVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFITDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITPELNHILSENEVKLTIMTGDIKGIMQAGKRSLQPQPTELKYSWKTWGKAKMLSTESHNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLKLREKQDVFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKSCHWPKSHTLWSNGVLESEMIIPKNFAGPVSQHNYRPGYHTQTAGPWHLGKLEM -DFDFCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VNSLVTAGHGQIDNFSLGVLGMALFLEEMLRTRVGTKHAILLVAVSFVTLITGNMSFRDLGRVMVMVGAT -MTDDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMTTIGIVLLSQSTIPETILELTDALALGMMV -LKMVRKMEKYQLAVTIMAILCVPNAVILQNAWKVSCTILAVVSVSPLFLTSSQQKADWIPLALTIKGLNP -TAIFLTTLSRTNKKRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELER -AADVKWEDQAEISGSSPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPVSIPITAAAWYLWE -VKKQRAGVLWDVPSPPPVGKAELEDGAYRIKQKGILGYSQIGAGVYKEGTFHTMWHVTRGAVLMHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFKTNAGTIGAVSLDFSPGTS -GSPIIDKKGKVVGLYGNGVVTRSGAYVSAIAQTEKSIEDNPEIEDDIFRKRKLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPVRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIMDEEREIPERSWS -SGHEWVTDFKGKTVWFVPSIKAGNDIAACLRKNGKKVIQLSRKTFDSEYVKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTFVDLMRRGDLPVWLAYRVAAEGI -NYADRRWCFDGIKNNQILEENVEVEIWTKEGERKKLKPRWLDAKIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKARDALDNLAVLHTAEAGGRAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGRG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVVIAILTVVAA -TMANEMGFLEKTKKDLGLGSITTQQPESNILDIDLRPASAWTLYAVATTFVTPMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALFLLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPISTLWEG -NPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTNTRRGTGNIGETLGEKWKSRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNMVTPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFTPPEKCDTLLCDIGESSPNPTVEAGRTLRVLNLVE -NWLNNNTQFCIKVLNPYMPSVIEKMEALQRKYGGALVRNPLSRNSTHEMYWLSNASGNIVSSVNMISRML -INRFTMRHKKATYEPDVDLGSGTRNIGIESEIPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSY -ETKQTGSASSMGNGVVRLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTQEPKEGTKKLMKITAE -WLWKELGKKKTPRMCTREEFTRKVRSNAALGAIFTDENKWKSAREAVEDSRFWELVDKERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYIL -RDVSKKEGGAMYADDTAGWDTRITLEDLKNEEMVTNHMEGEHKKLAEAIFKLTYQNKVVRVQRPTPRGTV -MDIISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGVFKSIQHLTVTEEIAVQNWLARVGRERLSRMA -ISGDDCVVKPLDDRFASALTALNDMGKVRKDIQQWEPSRGWNDWTQVPFCSHHFHELIMKDGRVLVVPCR -NQDELIGRARISQGAGWSLRETACLGKSYAQMWSLMYFHRRDLRLAANAICSAVPSHWVPTSRTTWSIHA -KHEWMTTEDMLTVWNRVWIQENPWMEDKTPVESWEEIPYLGKREDQWCGSLIGLTSRATWAKNIQTAINQ -VRSLIGNEEYTDYMPSMKRFRKEEEEAGVLW - ->sp|P14335.1|POLG_KUNJM RecName: Full=Genome polyprotein; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease/Helicase NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=NS5 -MSKKPGGPGKSRAVNMLKRGMPRVLSLTGLKRAMLSLIDGRGPTRFVLALLAFFRFTAIAPTRAVLDRWR -SVNKQTAMKHLLSFKKELGTLTSAINRRSSKQKKRGGKTGIAFMIGLIAGVGAVTLSNFQGKVMMTVNAT -DVTDIITIPPAAGKNLCIVRAMDVGHMCDDTITYECPVLSAGNDPEDIDCWCTKLAVYVRYGRCTKTRHS -RRSRRSLTVQTHGESTLSNKKGAWMDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFAV -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDLVLEGDSCVTIMSKDKPTIDVKMMNMEAANLAEVRSYC -YLATVSELSTKAACPTMGEAHNDKRADPSFVCKQGVVDRGWGNGCGLFGKGSIDTCAKFACSTKATGRTI -LKENIKYEVAIFVHGPTTVESHGNYFTQTGAAQAGRFSITPAAPSYTLKLGEYGEVTVDCEPRSGIDTSA -YYVMTVGTKTFLVHREWFMDLNLPWSSAESNVWRNRETLMEFEEPHATKQSVIALGSQEGALHQALAGAI -PVEFSSNTVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFRFLGTPADTGHGTVVLELQYTGTDGPCKIPI -SSVASLNDLTPVGRLVTVNPFVSVSTANAKVLIELEPPFGDSYIVVGRGEQQINHHWHKSGSSIGKAFTA -TLKGAQRLAALGDTAWDFGSVGGVFTSVGKAVHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDRS -IALTFLAVGGVLLFLSVNVHADTGCAIDISRQELRCGSGVFIHNDVEAWIDRYKYYPETPQGLAKIIQKA -HKEGVCGLRSVSRLEHQMWEAVKDELNTLLKENGVDLSIVVEKQEGMYKSAPRRLTATTEKLEIGWKAWG -KSILFAPELANNTFVIDGPETKECPTQNRAWNNLEVEDFGFGLTSTRMFLRVRESNTTECDSKIIGTAVK -NNLAIHSDLSYWIESRFNDTWKLERAVLGEVKSCTWPETHTLWGDGVLESDLIIPITLAGPRSNHNRRPG -YKTQSQGPWDEGRVEIDFDYCPGTTVTLSESCGHRGPATRTTTESGKLITDWCCRSCTLPPLRYQTDNGC -WYGMEIRPQRHDEKTLVQSQVNAYNADMIDPFQLGLLVVFLATQEVLRKRWTAKISMPAILIALLVLVFG -GITYTDVLRYVILVGAAFAESNSGGDVVHLALMATFKIQPVFMVASFLKARWTNQENILLMLAAAFFQMA -YYDARQILLWEMPDVLNSLAVAWMILRAITFTTTSNVVVPLLALLTPGLRCLNLDVYRILLLMVGIGSLI -REKRSAAAKKKGASLLCLALASTGFFNPMILAAGLVACDPNRKRGWPATEVMTAVGLMFAIVGGLAELDI -DSMAIPMTIAGLMFAAFVISGKSTDMWIERTADISWEGDAEITGSSERVDVRLDDDGNFQLMNDPGAPWK -IWMLRMACLAISAYTPWAILPSVVGFWITLQYTKRGGVLWDTPSPKEYKRGDTTTGVYRIMTRGLLGSYQ -AGAGVMVEGVFHTLWHTTKGAALMSGEGRLDPYWGSVKEDRLCYGGPWKLQHKWNGQDEVQMIVVEPGKN -VKNVQTKPGVFKTPEGEIGAVTLDFPTGTSGSPIVDKNGDVIGLYGNGVIMPNGSYISAIVQGERMDEPV -PAGFEPEMLRKKQITVLDLHPGAGKTRRILPQIIKEAINRRLRTAVLAPTRVVAAEMAEALRGLPIRYQT -SAVAREHNGNEIVDVMCHATLTHRLMSPHRVPNYNLFVMDEAHFTDPASIAARGYISTRVELGEAAAIFM -TATPPGTSDPFPESNAPISDLQTEIPDRAWNSGYEWITEYIGKTVWFVPSVKMGNEIALCLQRAGKKVIQ -LNRKSYETEYPKCKNDDWDFVVTTDISEMGANFKASRVIDSRKSVKPTIITEGEGRVILGEPSAVTAASA -AQRRGRTGRNPSQAGDEYCYGGHTNEDDSNCAHWTEARIMLDNINMPNGLIAQFYQPEREKVYTMDGEYR -LRGEERKNFLELLRTADLPVWLAYKVAAAGVSYHDRRWCFDGPRTNTILEDNNEVEVITKLGERKILRPR -WIDARVYSDHQALKSFKDFASGKRSQIGFIEVLGKMPEHFMGKTWEALDTMYVVATAEKGGRAHRMALEE -LPDALQTIALIALLSVMTMGVFFLLMQRKGIGKIGLGGVVLGAATFFCWMAEVPGTKIAGMLLLSLLLMI -VLIPEPEKQRSQTDNQLAVFLICVLTLVGAVAANEMGWLDKTKSDISGLFGQRIETKENFSIGEFLLDLR -PATAWSLYAVTTAVLTPLLKHLITSDYITTSLTSINVQASALFTLARGFPFVDVGVSALLLAAGCWGQVT -LTVTVTSATLLFCHYAYMVPGWQAEAMRSAQRRTAAGIMKNAVVDGIVATDVPELERTTPIMQKKVGQVM -LILVSLAALVVNPSVKTVREAGILITAAAVTLWENGASSVWNATTAIGLCHIMRGGWLSCLSITWTLVKN -MEKPGLKRGGAKGRTLGEVWKERLNQMTKEEFIRYRKEAITEVDRSAAKHARKERNITGGHPVSRGTAKL -RWLVERRFLEPVGKVIDLGCGRGGWCYYMATQKRVQEVRGYTKGGPGHEEPQLVQSYGWNIVTMKSGVDV -FYRPSECCDTLLCDIGESSSSAEVEEHRTLRVLEMVEDWLHRGPKEFCVKVLCPYMPKVIEKMELLQRRY -GGGLVRNPLSRNSTHEMYWVSRASGNVVHSVNMTSQVLLGRMEKKTWKGPQYEEDVNLGSGTRAVGKPLL -NSDTSKIKNRIERLRREYSSTWHHDENHPYRTWNYHGSYEVKPTGSASSLVNGVVRLLSKPWDTITNVTT -MAMTDTTPFGQQRVFKEKVDTKAPEPPEGVKYVLNETTNWLWAFLAREKRPRMCSREEFIRKVNSNAALG -AMFEEQNQWRSAREAVEDPKFWEMVDEEREAHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLG -ARFLEFEALGFLNEDHWLGRKNSGGGVEGLGLQKLGYILREVGTRPGGRIYADDTAGWDTRITRADLENE -AKVLELLDGEHRRLARAIIELTYRHKVVKVMRPAADGRTVMDVISREDQRGSGQVVTYALNTFTNLAVQL -VRMMEGEGVIGPDDVEKLTKGKGPKVRTWLSENGEERLSRMAVSGDDCVVKPLDDRFATSLHFLNAMSKV -RKDIQEWKPSTGWYDWQQVPFCSNHFTELIMKDGRTLVTPCRGQDELVGRARISPGAGWNVRDTACLAKS -YAQMWLLLYFHRRDLRLMANAICSAVPVNWVPTGRTTWSIHAGGEWMTTEDMLEVWNRVWIEENEWMEDK -TPVEKWSDVPYSGKREDIWCGSLIGTRARATWAENIQVAINQVRSIIGDEKYVDYMSSLKRYEDTTLVED -TVL - ->sp|P14403.1|POLG_JAEVN RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3 -SVAMKHLTSFKRELGTLIDAVNKRGRKQNKRGGNEGSIMWLASLAVVIACAGAMKLSNFQGKLLMTVNNT -DIADVIVIPNPSKGENRCWVRAIDVGYMCEDTITYECPKLTMGNDPEDVDCWCDNQEVYVQYGRCTRTRH -SKRSRRSVSVQTHGESSLVNKKEAWLDSTKATRYLMKTENWIVRNPGYAFLAAILGWMLGSNNGQRRWYF -TILLLLVAPAYSFNCLGMGNRDFIEGASGATWVDLVLEGDSCLTIMANDKPTLDVRMINIEAVQLAEVRS -YCYHASVTDISTVARCPTTGEAHNEKRADSSYVCKQGFTDRGWGNGCGLFGKGSIDTCAKFSCTSKAIGR -TIQPENIKYEVGIFVHGTTTSENHGNYSAQVGASQAAKFTVTPNAPSITLKLGDYGEVTLDCEPRSGLNT -EAFYVMTVGSKSFLVHREWFHDLALPWTPPSSTAWRNRELLMEFEEAHATKQSVVALGSQEGGLHQALAG -AIVVEYSSSVKLTSGHLKCRLKMDKLALKGTTYGMCTEKFSFAKNPADTGHGTVVIELSYSGSDGPCKIP -IVSVASLNDMTPVGRLVTVNPFVATSSANSKVLVEMEPPFGDSYIVVGRGDKQINHHWHKAGSTLGKAFS -TTLKGAQRLAALGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNARDR -SIALAFLATGGVLVFLATNVHADTGCAIDITRKEMRCGSGIFVHNDVEAWVDRYKYLPETPRSLAKIVHK -AHKEGVCGVRSVTRLEHQMWEAVRDELNVLLKENAVDLSVVVNKPVGRYRSAPKRLSMTQEKFEMGWKAW -GKSILFAPELANSTFVVDGPETKECPDEHRAWNSIEIEDFGFGITSTRVWLKIREESTDECDGAIIGTAV -KGHVAVHSDLSYWIESRYNDTWKLERAVFGEVKSCTWPETHTLWGDGVEESELIIPHTIAGPKSKHNRRE -GYKTQNQGPWDENGIVLDFDYCPGTKVTITEDCGKRGPSVRTTTDSGKLITDWCCRSCSLPPLRFRTENG -CWYGMEIRPVRHDETTLVRSQVDAFNGEMVDPFQLGLLVMFLATQEVLRKRWTARLTIPAVLGALLVLML -GGITYTDLARYVVLVAAAFAEANSGGDVLHLALIAVFKIQPAFLVMNMLSTRWTNQENVVLVLGAAFFHL -ASVDLQIGVHGILNAAAIAWMIVRAITFPTTSSVTMPVLALLTPGMRALYLDTYRIILLVIGICSLLQER -KKTMAKKKGAVLLGLALTSTGWFSPTTIAAGLMVCNPNKKRGWPATEFLSAVGLMFAIVGGLAELDIESM -SIPFMLAGLMAVSYVVSGKATDMWLERAADISWEMDAAITGSSRRLDVKLDDDGDFHLIDDPGVPWKVWV -LRMSCIGLAALTPWAIVPAAFGYWLTLKTTKRGGVFWDTP - ->sp|P07564.2|POLG_DEN2J RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A; Contains: RecName: Full=Serine protease subunit NS2B; AltName: Full=Flavivirin protease NS2B regulatory subunit; AltName: Full=Non-structural protein 2B; Contains: RecName: Full=Serine protease NS3; AltName: Full=Flavivirin protease NS3 catalytic subunit; AltName: Full=Non-structural protein 3; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; Contains: RecName: Full=Peptide 2k; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; Contains: RecName: Full=RNA-directed RNA polymerase NS5; AltName: Full=Non-structural protein 5 -MNNQRKKARSTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRTAGVIIMLIPTAMAFHLTTRNGEPHMIVGRQEKGKSLLFK -TEDGVNMCTLMAIDLGELCEDTITYKCPLLRQNEPEDIDCWCNSTSTWVTYGTCATTGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKHVQRIETWILRHPGFTIMAAILAYTIGTTHFQRALIFILLTAVAPSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -ESRCPTQGEPSLNEEQDKRFLCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMEGKVVLPENLEYTIV -ITPHSGEEHAVGNDTGKHGKEIKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMEDKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKIVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPGQLKLNWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGVVTLYLG -AMVQADSGCVVSWKNKELKCGSGIFITDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITPELNHILSENEVKLTIMTGDIKGIMQAGKRSLRPQPTELKYSWKTWGKAKMLSTESHNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLKLREKQDVFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKMEKASFIEVKSCHWPKSHTLWSNGVLESEMIIPKNFAGPVSQHNYRPGYHTQTAGPWHLGKLEM -DFDFCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VNSLVTAGHGQIDNFSLGVLGMALFLEEMLRTRVGTKHAILLVAVSFVTLITGNMSFRDLGRVMVMVGAT -MTDDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMATIGIALLSQSTIPETILELTDALALGMMV -LKIVRNMEKYQLAVTIMAILCVPNAVILQNAWKVSCTILAAVSVSPLLLTSSQQKADWIPLALTIKGLNP -TAIFLTTLSRTSKKRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELER -AADVKWEDQAEISGSSPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGVFPVSIPITAAAWYLWE -VKKQRAGVLWDVPSPPPVGKAELEDGAYRIKQRGILGYSQIGAGVYKEGTFHTMWHVTRGAVLMHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFKTNTGTIGAVSLDFSPGTS -GSPIVDRKGKVVGLYGNGVVTRSGAYVSAIAQTEKSIEDNPEIEDDIFRKKRLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPVRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIMDEEREIPERSWN -SGHEWVTDFKGKTVWFVPSIKAGNDIAACLRKNGKKVIQLSRKTFDSEYVKTRANDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTFVDLMRRGDLPVWLAYRVAAEGI -NYADRRWCFDGIKNNQILEENVEVEIWTKEGERKKLKPRWLDARIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKARDALDNLAVLHTAEAGGRAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGKG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVVIAILTVVAA -TMANEMGFLEKTKKDLGLGSITTQESESNILDIDLRPASAWTLYAVATTFVTPMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKIHIGVPLLAIGCYSQVNPITLTAALLLLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLILCVTQVLMMRTTWALCEALTLATGPISTLWEG -NPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTNTRRGTGNIGETLGEKWKSRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNMVTPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFTPPEKCDTLLCDIGESSPNPTIEAGRTLRVLNLVE -NWLNNNTQFCIKVLNPYMPSVIEKMETLQRKYGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMKHKKATYETDVDLGSGTRNIGIESEIPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSY -ETKQTGSASSMVNGVVRLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTQEPKEGTKKLMKITAE -WLWKELGKKKTPRMCTREEFTRKVRSNAALGAIFTDENKWKSAREAVEDSRFWELVDRERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRGNSLSGVEGEGLHKLGYIL -RDVSKKEGGAMYADDTAGWDTRITLEDLKNEEMVTNHMEGEHKKLAEAIFKLTYQNKVVRVQRPTPRGTV -MDIISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGIFKSIQHLTVTEEIAVQNWLARVGRERLSRMA -ISGDDCVVKPLDDRFASALTALNDMGKVRKDIQQWEPSRGWNDWTQVPFCSHHFHELVMKDGRVLVVPCR -NQDELIGRARISQGAGWSLKETACLGKSYAQMWTLMYFHRRDLRLAANAICSAVPSHWVPTSRTTWSIHA -KHEWMTTEDMLAVWNRVWIQENPWMEDKTPVESWEEVPYLGKREDQWCGSLIGLTSRATWAKNIQTAINQ -VRSLIGNEEYTDYMPSMKRFRREEEEAGVLW - ->sp|P14339.1|POLG_DEN23 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFEVIKTEAKQPATLRKSCFEAKLTNTTT -ESRCPTLGEPSLNEEQDKRLVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMEGKFVHPENLEYTIV -ITPHSGEEHAVGNDTGKHGKELKITPQSSITEAELTGYGTVTMQCSPRTGLDFNEIVLLQMEDKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMQTALTGAAEIQMSSGNLLFT -GHLKCRLRMDKLQLKGISYSMCTGKFKIVKEFAETQHGTIVIRVQYEGDGSPCKIPFEIIDLEKRHVLGC -LITVYPIVTEKDSPVNIEADPPFGDSYIIIGIEPGQLKLHWLKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALNQVFGTIYGAAFSGVSWTMKILIGVIITCIGMNSRSTSLSVSLVLVGVVTLYLG -GMVHA - ->sp|P0DOK8.1|POLS_JAEVM RecName: Full=Structural polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1'; Short=NS1' -MTKKPGGPGKNRAINMLKRGLPRVFPLVGVKRVVMSLLDGRGPVRFVLALITFFKFTALAPTKALLGRWR -AVEKSVAMKHLTSFKRELGTLIDAVNKRGKKQNKRGGNESSIMWLASLAIVIACAGAMKLSNFQGKLLMT -INNTDIADVIVIPTSKGENRCWVRAIDVGYMCEDTITYECPKLAVGNDPEDVDCWCDNQEVYVQYGRCTR -TRHSKRSRRSVSVQTHGESSLVNKKEAWLDSTKATRYLMKTENWIIRNPGYAFLAAALGWMLGSNSGQRV -VFTILLLLVAPAYSFNCLGMGNRDFIEGASGATWVDLVLEGDSCLTIMANDKPTLDVRMINIEASQLAEV -RSYCYHASVTDISTVARCPTTGEAHNEKRADSSYVCKQGFTDRGWGNGCGLFGKGSIDTCAKFSCTSKAI -GRTIQPENIKYEVGVFVHGTTTSENHGNYSAQVGASQAAKFTVTPNAPSITLKLGDYGEVTLDCEPRSGL -NTEAFYVMTVGSKSFLVHREWFHDLSLPWTSPSSTAWRNRELLMEFEEAHATKQSVVALGSQEGGLHQAL -AGAIVVEYSSSVKLTSGHLKCRLKMDKLALKGTTYGMCTEKFSFAKNPADTGHGTVVIELTYSGSDGPCK -IPIVSVASLNDMTPVGRLVTVNPFVATSSSNSKVLVEMEPPFGDSYIVVGRGDKQINHHWYKAGSTLGKA -FSTTLKGAQRLAALGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNAR -DRSIALAFLATGGVLVFLATNVHADTGCAIDITRKEMRCGSGIFVHNDVEAWVDRYKYLPETPRSLAKIV -HKAHQEGVCGVRSVTRLEHQMWESVRDELNVLLKENAVDLSVVVNKPVGRYRSAPKRLSMTQEKFEMGWK -AWGKSILFAPELANSTFVVDGPETKECPDERRAWNSMQIEDFGFGITSTRVWLKIREENTDECDGAIIGT -AVKGHVAVHSDLSYWIESRLNDTWKLERAVFGEVKSCTWPETHTLWGDGVEESELIIPHTIAGPRSKHNR -REGYKTQNQGPWDENGIVLDFDYCPGTKVTITEDCGKRGPSIRTTTDSGKLITDWCCRSCSLPPLRFRTE -NGCWYGMEIRPVRHDETTLVRSQVDAFNGEMIDPFSAGPSGDVSGHPGGPSQEVDGQIDDSCGFGGPTCA -DAWGHHLH - ->sp|P29165.1|POLG_YEFV8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1; Contains: RecName: Full=Non-structural protein 2A; Short=NS2A -MSGRKAQGKTLGVNMVRQGVRSLSNKIKQKTKQIGNRPGPSRGVQGFIFFFLFNVLTGRKITAHLKKLWR -MLDPRQGLAVLKKVKRVVASLMRGLSSRKRRSYEVLTVQFLILGMLLMTGGVTLVRKSRWLLLNVTSEDL -GKTFSVGTGNCTTNILEAKNWCPDSMEYNCPNLSPREEPDDIDCWCYGVENVRVAYGKCDSAGRSRRSRR -AIDLPTHENHGLKTRQEKWMTGRMGERQLQKIERWLVRNPFFAVTALAIAYLVGSNMTQRVVIALLVLAV -GPAYSAHCIGITDRDFIEGVHGGTWVSATLEQDKCVTVMAPDKPSLDISLETVAIDGPAEARKVCYSAVL -THVKINDKCPSTGEAHLAEENEGDHACKRTYSDRGWGNGCGLFGKGSIVACAKFTCAKSMSLFEVDQTKI -QYVIRAQLHVGAKQENWNADIKTLKFDALSGSQEAEFTGYGKATLECQVQTAVDFSNSYIAEMEKESWIV -DRQWAQDLTLPWQSGSGGVWREMHHLVEFEPPHAATIKVLALGNQEGSLKTALTGAMRVTKDTNGSNLYK -LHGGHVSCRVKLSALTLKGTSYKMCTDKMSFVKNPTDTGHGTAVMQVKVPKGAPCRIPVMVADDLTASVN -KGILVTVNPIASTNEDEVLIEVNPPFGDSYIIVGTGDSRLTYQWHKEGSSIGKLFTQTMKGAERLAVMGD -AAWDFSSAGGFFTSVGKGIHMVFGSAFQGLFGGLSWITKVIMGAVLIWVGINMRNMTMSMSMILVGVIMM -FLSLGVGADQGCAINFGKRELKCGDGVFIFRDSDDWLNKYSYYPEDPVKLASIVKASFEEGKCGLNSVDS -LEHEMWRSRADEINAILEENEVDISVVVQDPKNIYQRGTHPFSRIRDGLQYGWKTWGKNLVFSPGRKNGS -FIIDGKSRKECPFSNRVWNSLQIEEFGTGVFTTRVYMDAVFEYTMDCDGSILGAAVNGKKSAHGSPTFWM -GSHEVNGTWMIHTLETLDYKECEWPLTHTIGTSVEESDMFMPRSIGGPVSSHNHIPGYKVQTNGPWMQVP -LEVKREACPGTSVVVDGGCDGRGKSTRSTTDSGKIIPEWCCRSCTMPPVSFHGSDGCWYPMEIRPRKTHD -NHLVRSWVTAGEVHAVPFGLVSMMIAMEVFLKKRQGPKQILVG - ->sp|P0DOH7.1|POLS_JAEV1 RecName: Full=Structural polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1'; Short=NS1' -MTKKPGGPGKNRAINMLKRGLPRVFPLVGVKRVVMSLLDGRGPVRFVLALITFFKFTALAPTKALLGRWK -AVEKSVAMKHLTSFKRELGTLIDAVNKRGRKQNKRGGNEGSIMWLASLAVVIACAGAMKLSNFQGKLLMT -INNTDIADVIVIPTSKGENRCWVRAIDVGYMCEDTITYECPKLTMGNDPEDVDCWCDNQEVYVQYGRCTR -TRHSKRSRRSVSVQTHGESSLVNKKEAWLDSTKATRYLMKTENWIIRNPGYAFLAAVLGWMLGSNNGQRV -VFTILLLLVAPAYSFNCLGMGNRDFIEGASGATWVDLVLEGDSCLTIMANDKPTLDVRMINIEASQLAEV -RSYCYHASVTDISTVARCPTTGEAHNEKRADSSYVCKQGFTDRGWGNGCGLFGKGSIDTCAKFSCTSKAI -GRTIQPENIKYEVGIFVHGTTTSENHGNYSAQVGASQAAKFTVTPNAPSITLKLGDYGEVTLDCEPRSGL -NTEAFYVMTVGSKSFLVHREWFHDLALPWTSPSSTAWRNRELLMEFEGAHATKQSVVALGSQEGGLHQAL -AGAIVVEYSSSVKLTSGHLKCRLKMDKLALKGTTYGMCTEKFSFAKNPVDTGHGTVVIELSYSGSDGPCK -IPIVSVASLNDMTPVGRLVTVNPFVATSSANSKVLVEMEPPFGDSYIVVGRGDKQINHHWHKAGSTLGKA -FSTTLKGAQRLAALGDTAWDFGSIGGVFNSIGRAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGVNAR -DRSIALAFLATGGVLVFLATNVHADTGCAIDITRKEMRCGSGIFVHNDVEAWVDRYKYLPETPRSLAKIV -HKAHKEGVCGVRSVTRLEHQMWEAVRDELNVLLKENAVDLSVVVNKPVGRYRSAPKRLSMTQEKFEMGWK -AWGKSILFAPELANSTFVVDGPETKECPDEHRAWNSMQIEDFGFGITSTRVWLKIREESTDECDGAIIGT -AVKGHVAVHSDLSYWIESRYNDTWKLERAVFGEVKSCTWPETHTLWGDDVEESELIIPHTIAGPKSKHNR -REGYKTQNQGPWDENGIVLDFDYCPGTKVTITEDCSKRGPSVRTTTDSGKLITDWCCRSCSLPPLRFRTE -NGCWYGMEIRPVMHDETTLVRSQVDAFKGEMVDPFSAGPSGDVSGHPGSPSQEVDGQIDHSCGFGGPTCA -DAWGYHLH - ->sp|P18356.2|POLG_DEN2U RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -SAGMIIMLIPTVMAFHLTTRNGEPHMIVSRQEKGKSLLFKTEDGVNMCTLMAMDLGELCEDTITYKCPLL -RQNEPEDIDCWCNSTSTWVTYGTCTTTGEHRREKRSVALVPHVGMGLETRTETWMSSEGAWKHAQRIEIW -ILRHPGFTIMAAILAYTIGTTHFQRALIFILLTAVAPSMTMRCIGISNRDFVEGVSGGSWVDIVLEHGSC -VTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTTESRCPTQGEPSLNEEQDKRFVCKHSMVDRG -WGNGCGLFGKGGIVTCAMFTCKKNMEGKVVQPENLEYTIVVTPHSGEEHAVGNDTGKHGKEIKVTPQSSI -TEAELTGYGTVTMECSPRTGLDFNEMVLLQMENKAWLVHRQWFLDLPLPWLPGADTQGSNWIQKETLVTF -KNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFTGHLKCRLRMDKLQLKGMSYSMCTGKFKVVK -EIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGRLITVNPIVTEKDSPVNIEAEPPFGDSYIII -GVEPGQLKLNWFKKGSSIGQMFETTMRGAKRMAILGDTAWDFGSLGGVFTSIGKALHQVFGAIYGAAFSG -VSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLGVMVQADSGC - ->sp|P30026.1|POLG_DEN2D RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -MNNQRKKARSTPFNMLRRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVALPRFLTIPPTAGILKRWG -TIKKSKAINDVRGCRKEIGRMLNILNRRRRTAGVIIMLIPTVMAFHLTTRNGEPHMIVSRQEKGKSLLFK -TEDGVNMCTLMAIDFGELCEDTITYKCPLLRQNEPEDIDCWCNSTSTWVTYGTRTTTGEHGREKRSVALV -PHVGMGLETGTETWMSSDGAWKRACRMETWILRHPGFTIMAAILAYTIGTTHFQRGLILILQTAVAPSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEATQPATLRKYCIEAKLTNTTT -ESRCPTQGEPSLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMEGNIVQPENLEYTIV -ITPHSGEEHAVGNDTGKHGKEIKITPQSSITEAELTGYGTVTMECSPRTGLDFNEIVLLQMEDKAWLVHR -QWFLDLPLPWLPGADTQGSNRIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFQIVKEIAETQHGTIVIRVQYEGDGSPCKIPLEIMDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPGQLKLHWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGVITLYLG -AMVQADSGCVVSWKNKELKCGSGIFITDNVHTWTEQYNFQPESPSKLASAMRKAHEEGICGIRSVTRLEN -LMWKQITPELKHILSEIEVKLTIMTGDIKGIMQAGTRSLRPQPTELKFSWETWRKAKMVPTEPHNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLKLREKEDLCCDSKVMSAASKDNRAVHDDMGYWIESA -LNDTWKMEKASFIEVKSCHWPKSHTLWINGGLESEMIIPKSFAGPVSQHNYRPGYYTQTAGPRHLGKLEM -DFDFCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSSTIPPLRIKGEDGCWYGMEIRPLKEKEENL -VTSLVTA - ->sp|P27912.1|POLG_DEN1A RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -MNNQRKKTGNPSFNMLKRARNRVSTGSQLAKRFSKGLLSGQGPMKLVMAFVAFLRFLAIPPTAGILKRWG -SFKKNGAINVLRGFRKEISNMLNIMNRRRRSVTMILMLLPTALAFHLTTRGGEPTLIVSKQERGKSLLFK -TSAGVNMCTLIAMDLGELCEDTMTYKCPRMTEAEPDDVDCWCNATDTWVTYGTCSQTGEHRRDKRSVALD -PHVGLGLETRTETWMSSEGAWKQIQKVETWALRHPGFTVIGLFLAHAIGTSITQKGIIFILLMLVTPSMA -MRCVGIGNRDFVEGLSGATWVDVVLEHGSCVTTMAKNKPTLDIELLKTEVTNPAVLRKLCIEAKISNTTT -DSRCPTQGEATLVEEQDTNFVCRRTFVDRGWGNGCGLFGKGSLITCAKFKCVTKLEGKIVQYENLKYSVI -VTVHTGDQHQVGNETTEHGTIATITPQAPTSEIQLTDYGALTLDCSPRTGLDFNRVVLLTMKKKSWLVHK -QWFLDLPLPWTSGASTSQETWNRQDLLVTFKTAHAKKQEVVVLGSQEGAMHTALTGATEIQTSGTTTIFA -GHLKCRLKMDKLTLKGVSYVMCTGSFKLEKEVAETQHGTVLVQVKYEGTDAPCKIPFSSQDEKGVTQNGR -LITANPIVIDKEKPVNIEAEPPFGESYIVVGAGEKALKLSWFKKGSSIGKMFEATARGARRMAILGDTAW -DFGSIGGVFTSVGKLIHQIFGTAYGVLFSGVSWTMKIGIGILLTWLGLNSRSTSLSMTCIAVGMVTLYLG -VMVQADSGCVINWKGKELKCGS - ->sp|P27913.1|POLG_DEN1C RecName: Full=Genome polyprotein; Contains: RecName: Full=Capsid protein C; AltName: Full=Core protein; Contains: RecName: Full=Protein prM; Contains: RecName: Full=Peptide pr; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -MNNQRKKTGRPSFNMLKRARNRVSTGSQLAKRFSKGLLSGQGPMKLVMAFIAFLRFLAIPPTAGILARWS -SFKKNGAIKVLRGFKKEISSMLNIMNRRKRSVTMLLMLLPTALAFHLTTRGGEPTLIVSKQERGKSLLFK -TSAGVNMCTLIAMDLGELCEDTMTYKCPRITERQPDDVDCWCNATDTWVTYGTCSQTGEHRRDKRSVALA -PHVGLGLETRTETWMSSEGAWKQIQKVETWALRHPGFTVIGLFLAHAIGTSITQKGIIFILLMLVTPSMA -MRCVGIGNRDFVEGLSGATWVDVVLEHGSCVTTMAKNKPTLDIELLKTEVTNPAVLRKLCIEAKISNTTT -DSRCPTQGEATLVEEQDANFVCRRTFVDRGWGNGCGLFGKGSFLTCAKFKCVTKLEGKIVQYENLKYSVI -VTVHTGDQHQVGNETTEHGTIATITPQAPTSEIQLTDYGALTLDCSPRTGLDFNRVVLLTMKKKSWLVHK -QWFLDLPLPWTSGASTSQETWNRQDLLVTFKTAHAKKQEVVVLGSQEGAMHTALTGATEIQTSGTTTIFA -GHLKCRLKMDKLTLKGMSYVMCTGSFKLEKEVAETQHGTVLVQVKYEGTDAPCKIPFSSQDEKGVTQNGR -LITANPIVIDKEKPVNIEAEPPFGESYIVVGSGEKALKLSWFKKGSSIGKMFEATARGARRMAILGDTAW -DFGSIGGVFTSVGKLIHQIFGTAYGILFSGVSWTMKIGIGILLTWLGLNSRSTSLSMTCIAVGMVTLYLG -VMVQADSGCVINWKGKELKCG - ->sp|P29984.1|POLG_DEN2H RecName: Full=Genome polyprotein; Contains: RecName: Full=Small envelope protein M; AltName: Full=Matrix protein; Contains: RecName: Full=Envelope protein E; Contains: RecName: Full=Non-structural protein 1; Short=NS1 -KHAQRIETWILRHPGFTIMAAILAYTIGTTHFQRALIFILLTAVAPSMTMRCIGISNRDFVEGVSGGSWV -DIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPVTLRKYCIEAKLTNTTTESRCPIQGEPSLNEEQDKRFV -CKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMKGKVVQPENLEYTIVITPHSGEEHAVGNDTGKHGKE -IKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMENKAWLVHRQWFLDLPLPWLPGADTQGSNW -IQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFTGHLKCRLRMDKLQLKGMSYSM -CTGKFKVVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGRLITVNPIVTEKDSPVNIEAEP -PFGDSYIIIGVEPEQLKLNWFKKGSSIGQMFETTMRGAKRMAILGDTAWDFGSLGGVFTSIGKALHQVFG -AIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLEVMVQADSGCVVSWKNK - ->sp|P14338.1|POLG_DEN22 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope protein E -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -ESRCPTQGEPSLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCQKNMEGKIVQPENLEYTIV -VTPHSGEEHAVGNDTGKHGKEIKITPQSSITEAELTGYGTVTMDCSPRTGLDFNEMVLLQMENKAWLVHR -QWFLDLPLPWLPGADTQGSKLDQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVEEIAETQHGTIVIRVQYEGDGSPCKIPLEIMDLDNRHVLGR -LITVNPIVTEKDSPVNVEAEPPLGDSYIIIGVEPGQLKLNWFKKGSSIGQMFETTMIRAKRMAILGDTAW -DFRSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLG -VMVQA - ->AIJ19432.1 polyprotein [Stratford virus] -MPKKPGKPGRTRAVNMLKRGASRALGPLAKLKRMLADLLDGKGPLRLVLALVAFFRFTALRPTTGLLKRW -GMMDKLHALSLLKGFKKDLASMIDTINRRKKKRGAFNGLVFLTVVGCVLGARITQQNGMPLMKVQKSDVG -KVITMRTEQGENRCIIQAMDVGEDCEDTITYLCPAIENPSEPDDIDCWCDRADTMVSYGRCSKTRHSRRS -RRSTNIAGHADSTLDSRGAVWMDTKKAASYLTKAESWALRNPGYALVAVILGWNLGTSKAQKIIFTIMIL -LIAPAYSMRCVGVENRDFIEGVSGGTWVDVVLEHRGCVTVMAPDKPTIDIELTSTVARSMAVTRTYCVEA -QVTELSVDARCPTMGEAHNPKSADITHVCKKGFSDRGWGNGCGLFGKGSIETCAKFTCQSKAEGRIIQKE -NLEYTVHLNVHASTETDHFMNDTIATENKHGTKISITAAAPSRTADLGDYGTITLDCEPRAGLDFENLYL -LTLEKNSWLVNRDWFHDVNLPWTGGSEGTWKNREALIEFGEAHATKQEVLALGSQEGSLQMALAGAMVAN -YDNSVATISSGHLKCRLKLDKLKIKGTTYHMCKGAFAFTKTPSDTGHGTAVVELTYSGVDGPCRIPILIT -TSLSNVEPVGRMVTVNPIVTTSSTQKTTMIEVEPPFGDSYIIVGSGDQRVHYHWRKSGSSIGAAFATMMK -GARRLAVIGDDAWDFGSVGGILNSVGKALHQVFGSMFRTLFGGMSWITQIMVGALCCWLGINARDKSIAL -TFLAVGGVLIFLATSVNADSGCALDLNRKEFKCGNGIFVFNDAEAWTHTYKYHPSTPKQLAGSIVKALED -GQCGARSVGRLEHEMWKSNAKEINAILVENDKNLSVVVLESDYYRKAKKLMPIGEELAFGWKSWGKKFFE -EPPMQNETFIVDGKVGKECPEERRSWNNFKVEDFGFGVFSTSVWMEQRAVYTEDCDEKVIGAAVKGERAA -HSDLGYWMESISLNGTWRLEHVYMIEVKSCTWPATHTLWNGGVEESELIIPKSRAGPVSHHNTRKGYQNQ -IKGPWHLHPLEIRFESCPGTTVTITEECGGRGASLRTTTSSGKVITEWCCRSCTMPPLSFRAPDGCWYGM -EIRPMREREETLVKSHVSAGRGDGLDNFSLGVLVLTITLQEVLRKRVLGKHVLWMILVVFLLMMIGGITY -RDVGRYLVLAGAAFAEQNSGGDLLHLVLVATFKVRPMALLGFVLGGRWCRRQSLLLAIGAVLVNLALDSR -GGYFEIVDSLALALLFVKAIIHSDASSVSLPLLAALAPTGCYTILGTHRFVMLALILVTFLGCKRTASVK -KAGVAAMSVVMTIGGFSPLPMLGMLMFTNVGKRSWPLHEAMAAVGILCALFGALAETEVDLAGPMAAAGL -IIMAYVVSGRSNDISIKKVEETRWSGEAEVTGESVSYHVSLDEQGDFTLTEDSGPGMEKVLLKVGLMAVS -GLYPVAIPFALGAWFFLEKRQKRAGALWDVPSPRESKPAKCEDGVYRVFSRRLFGESQIGAGVMFKGTFH -TMWHVTRGAVIKSGDGVFEPVWADVRRDLIAYGGHWKLTERWDGVEEVQLIALEPGKKVRHIQTKPGIFK -TAEGEIGAVDLDCPAGTSGSPIVNKNGDVIGLYGNGVLVKGDRYVSAISQKSEVVEGETEEMEDRWFKKR -ELTVLDLHPGAGKTRRVLPQLVREAVKRRLRTVILSPTRVVAAEMYEALKGEPVRYMTPAVQSERTGTEI -IDLMCHSTFTMKLLQGVRVPNYNLYIMDEAHFMDPASVAARGYIETRVSLGDAGAIFMTATPPGMSEPFP -PSNAPIMDEEMRIPDKAWNAGYEWITEFQGKTVWFVHSIKQGAELGTCLQKAGKKVIYLNRKSFESEYPR -CKKEDWDFVITTDISEMGANFKADRVIDPRKTVKPILMDGRVSMQGPIAISPASAAQRRGRIGRNPEKLG -DVYAFSGNVSSDNEEHVSWTEARMLLDNIHVQGGVVAQLYTPEREKCECYEGEFKLKTTQRKIFSELIRT -GDLPVWLAYQVASSNMEYHDRKWCFDGPNEHLLLENNQEVEVWTKQGQRKVLKPRWLDGRITSDHLNLKS -FKEFAAGKRSAVGVMDVISTLPSHLNMRLQEALDTAAILTRSEPGSRSYKAAMENTPEMVETFLLFALVS -LITLGVIAILVKGKGPGKLTFGMVAIGGMAWLLWQANVDPGKIAASVILVFLLLVVLIPEPEKQRSIQDN -QLAMLMLLLAAIMGGVAANEMGWLEKTKDDLSWILQRRDAQGTVSTPVFELDMKPATAWTLYALATTFLT -PLFQHLIVTKYASISLMAIATQAGTLFSMDSGIPLSSIELSVPLLALGCWTQITPCSLILACALLLIHYA -ILLPGMQAQAARDAQRRTAAGIMKNAVVDGIVATDIPPLDGAGPLTEKKLGQLLLFAAAVAGVVITRSPR -SWSELGVLGSAVGSTLIEGSAGRFWNATTATAMCNLFRGSYLAGIPLTYMVIRNSGTGTKRGGGIGETLG -EKWKARLNQLNTLEFNRYRRSHITEVDREPAKAALKAGDPGRGAAVSRGSAKLRWMHERGYVKLYDKVVD -LGCGRGGWSYYAASQKEVKEVKGYTKGGRGHEEPIMTQSYGWNIVQFKSGIDVFHKEAESCDVVMCDIGE -CSSSPIVEASRTLKVLELAERWLTRNPKADYCIKVLCPYMPEVIEKLSKLQLKHGGCVVRNPLSRNSTHE -MYWVSGFKGNLVGIINSTSNLLLKRMEIKFAEPRYEEDVNLGSGTRAVTIAPPRFDYGKIRSRIERLQAE -HKATWHYDVEHPYRTWAYHGSYVVKPSGSASSLVNGVVKMLSKPWDVISEVTGMSMTDTTPFGQQRVFKE -KVDTKAPEPPPGAEMATIIVSEWLWRKLAEKKRPRMCTKEEFIKKVRSNAALGPVFEDENRWKDAAEAVQ -DPDFWNMVDEERKNHLEGRCETCVYNMMGKREKKRGEFGKAKGSRAIWFMWLGARFLEFEAFGFLNEDHW -MGRENSGGGVEGLGIQKLGYVMREIGSKGGVIYADDTAGWDTRITESDLRNESHILEFMEGEHRKLAKAV -FDLTYRHKVVKVMRPGKGVTLMDIISREDQRGSGQVVTYALNTFTNLVVQLVRMAESEEILQVDDLQELS -SDVRLKLNRWLKDQGWERLQRMAVSGDDCAVAAIDERFANALHFLNACSKVRKDVREWTPSKGWKNWEEV -PFCSHHFHRLNMKDGRELIVPCRSQDELIGRARITQGVGDLASSACLAKAYAQMWQLMYFHRRDLRLMSN -AICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLEVWNRVWIEENEYMKDKTPVTDWTDVPYLGKREDQWC -GSLIGYRPRTTWAENIRVPINVIRVKIGGNKFKDYLISQRRYDVAEKLKFTGVL ->AIG95628.1 NS5 protein, partial [Flavivirus Oc599-AL/ITA/2012] -KSTEFGKAKGSRAIWFMWLGARYLEFEALGFLNEDHWLSRENSGGGVEGIGLQYLGYVIEEMSRIPGGNF -YADDTAGWDTKITNADLEDEMMLVERMGPTHKRLATSIMELTYMNKVVRVMRPGTRGKTLMDVISRKDQR -GSGQVVTYPLNTWTNLKVQLIRMAESEGVVQESDMDELSRSGQINLEMWLTMNGRDRLRRMACSGDDVVV -KPIDDRFSSALAFLNGMAKTRKDINEWKPSTGWHDWEGVPFCSHHFHQLWLRDGRTIIVPCRDQDELVGR -ARVSPGVGWTLRETAGLSKAYAQMWLLMHFHRRDLRMMAFAICSAVPVNWVPTGRT ->AFZ40121.1 polyprotein [Dengue virus 2] -MNIQRKKARNTPFNRVTRERIHVSTVHQLTQRFSLGKFHGRGPLKLFMALLAFLRFLTIPPTAVILKRCG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRTVGMIIMLIPTAMAFHLTTRNGEPHMIVSRQEKGKSLLFK -TEDGVNMCTLMAMDLGELCEDTITYNCPLLKQNEPEDIDCWCNSTSTWVTYGTCTATGEHRREKRSVALV -PHVGMGLETRTETWMSSEGACKHAQRIETWILRHPSFTIMAAILAYTIGTTYFQRVVFLMVLTAVALSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -ASRCPTQGEPSLNEEQDKRFVRKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMEGKIVQPENLEYTLV -ITPHSGEENAVGNDTGNHGKEIKVTPQSSITEAALTGYGTVTMECSPRTGLNFHEMVSLPMENKAWMVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVWGIARTQHGTIVHRVQYEGDGSPCKIPLNIMGLEKRHVLGR -PITVNPIVTGKDSPVNIEAEPPLGDSYIIIGVEPGQVKLTCSQKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVVITWIGMNSRSTSLSVSLVLVGVVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFVTDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITPELNHILSENEVKLTIMTGDIKGIMQAGKRSLRPQPTELKYSWKTWGKAKMLSTEPHNQTFLI -DGPETAECPSTNRAWNSLKGGDYGFGVFTTNIWLKLKERQDVSCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKSCHWPKSHTLWSNGVLESEMIIPKNLAGPVSQHNYRPGYHTQTAGPWHLGKLEM -DFDLCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VNSLVTAEHEQIDNFSLGVLGMALFLEEMLRTRVGTKHAVLLVAVSFMTLITGNMSFRDLGRVMVMVGAA -MTDDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMTTIGIVLLSQSTIPETILELTDALALGMMI -LKIVRNMEKYQLAVTIMAILCVPNAMILQNAWKVSCTILAVVSVSPLLLTSSQQKADWIPLALTIKGLNP -TAIFLTTLSRTNKKRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYLLPGRSADLELER -AADLRWEDQAEISGSSPILSITISEDGSMSIKNQEEKQTLTILIKTGLLVISELFPVQIPITAAAWYLWE -VKKQRAGVLWDVPSPPPVGKAELEDGAYGIKQKGILGYSQIGAGVYKEGTFHTMWHVTRGAVLMHKGKRI -EPSWADVRKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTRPGLFKTNTGTIGAVSLDFSPGTS -GSPIVDKKGKVVGLYGNGVVTRSGTYVSAIAQTEKSIEDNPEIEDDIFRKKRLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPVRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIMDEEREIPERSWN -SGHEWVTDFKGKTVWFVPSIKAGNDIAACLRKNGKKVIQLSRKTFDSEYIKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTFVDLMRRGDLPVWLAYRVAAEGI -NYADRRWCFDGIKNNQILEENVEVEIWTKEGEKKKLKPRWLDARIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKARNALDNLAVLHTAEAGGRAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGKG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVVIAILTAVAA -TMANEMGFLEKTKKDFGLGSIATQPPESNILDIDLRPASAWTLYAVATTFITSMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALLLLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPISTLWEG -NPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTNTRRGTGNTGETLGEKWKNRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNLVTPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFTPPEKCDTLLCDIGESSPNPTVEAGRTLRVLNLVE -NWLNNNTQFCVKVLNPYMPSVIEKMETLQRKYGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMRHKKATYEPDVDLGSGTRNIGIESETPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSY -ETKQTGSASSMVNGVVRLLTKPWDVIPMVTQMAMTDTTPFGQQRVFKEKSDTRTQEPKEGTKKLMKITPK -WLWKELGKKKTPRMCTREEFTRKVRSNAALGAIFTDENKWKSAREAVEDSRFWELVDKERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYIL -RDVSKKEGGAMYADDTAGWDTRITLEDLKNEEMGANHLEGEPKKLYEAIFKLTYQNKGVRVQRPTPRGPL -MDIILRRDQGGSGQVGTYGLNTFTNMGAQLIRQMEGEGVFKGIQHLIATEEIAVQDWLARVGRERFSRMA -ISGDDCVVKPLDDRFASALTALNDMGKERKVLQQWEPSREWNDWTQVPFCSHHFHELIMKDGRVLVVPCR -NQDELIGRARISQGAGWSLRETACLGKSYAQMWSQMYFHRRDLRLAANAICSAVPSHWVPTSRTTWSIHA -RHEWMTTEDMLTVWNRVWIQENPWMEDKTPVESWEEIPYLGKREDQWCGSLIGLTSRATWAKNIQTAINQ -VRSLIGNEEYTDYMPSMKRFRREEEEAGVLW ->AHB17738.1 polyprotein [Saint Louis encephalitis virus] -MSKKPGKPGRNRVVNMLKRGVSRVNPLTGLKRILGSLLDGRGPVRFILAILTFFRFTALQPTEALKRRWR -AVDKRTALKHLNGFKRDLGSMLDTINRRPSKKRGGTGSLLGLAALIGLAGSLQLSTYQGKVLMSINKTDA -QSAINIPSANGANTCIVRALDVGVMCKDDITYLCPVLSAGNDPEDIDCWCDVEEVWVHYGRCTRMGHSRR -SRRSISVQHHGDSTLATKNTPWLDTVKTTKYLTKVENWVLRNPGYALVALAIGWMLGSNNTQRVVFVIML -MLIAPAYSFNCLGTSNRDFVEGASGATWIDLVLEGGSCVTVMAPEKPTLDFKVMKMEATELATVREYCYE -ATLDTLSTVARCPTTGEAHNTKRSDPTFVCKRDVVDRGWGNGCGLFGKGSIDTCAKFTCKNKATGKTILR -ENIKYEVAIFVHGSTDSTTHGNYSEQIGKNQAARFTISPQAPSFTANMGEYGTVTIDCEARSGINTEDYY -VFTVKEKSWLVNRDWFHDLNLPWTSPATTDWRNRETLVEFEEPHATKQTVVALGSQEGALHTALAGAIPA -TVSSSTLTLQSGHLKCRAKLDKVKIKGTTYGMCDSAFTFSKNPADTGHGTVIVELQYTGSNGPCRVPISV -TANLMDLTPVGRLVTVNPFISTGGANNKVMIEVEPPFGDSYIVVGRGTTQINYHWHKEGSSIGKALATTW -KGAQRLAVLGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLLGALLLWMGLQARDRSIS -LTLLAVGGVLIFLATSVQADSGCAIDLQRRELKCGGGIFVYNDVEKWKSDYKYFPLTPTGLARVIQEAHA -NGICGIRSTSRLEHLMWENIQRELNAIFEDNEIDLSVVVQEDPKYYKRAPQRLKKLEDELDYGWKKWGKT -LFMEPKLGNNTFVVDGPETKECPTANRAWNSFKVEDFGFGMVFTRLWLTIREENTTECDSAIIGTAIKGD -RAVHSDLSYWIESKKNGTWQLERAVMGEVKSCTWPETHTLWGDGVVESEMIIPVTLGGPKSHHNKRTGYH -TQTKGPWSEGEITLDFDYCPGTTVTVTEHCGNRGASLRTTTASGKLVTDWCCRSCSLPPLRYTTKDGCWY -GMEIRPVKEEEAKLVKSRVTAGVAGGMEPFQLGLLVAFIATQEVLKRRWTGKLTLTSLAVCLALLIFGNL -TYMDLVRYLVLVGTAFAEMNTGGDVIHLALVAVFKVQPAFLAGLFLRMQWSNQENILMVIGAAFLQMAAN -DLKLEVLPILNAMSIAWMLIRAMKEGKVAMYALPILCALTPGMRMAGLDVIRCLLLIIGIVTLLNERRES -VAKKKGGYLLAAALCQAGLCSPLIMMGGLILAHPNGKRSWPASEVLTGVGLMCALAGGLLEFEETSMVVP -FAIAGLMYITYTVSGKAAEMWIEKAADITWEQNAEITGTSPRLDVDLDSHGNFKLLNDPGAPVHLFALRF -ILLGLSARFHWFIPFGVLGFWLLGKHSKRGGALWDVPSPKVYPKCETKPGIYRIMTRGILGTFQAGVGVM -HEGVFHTMWHATEGAVLRNGEGRLDPYAGDVRNDLISYGGPWKLSATWDGIEEVQMIAVAPGKPAINVQT -TPGVFKTPFGTIGAVTLDFPKGTSGSPIINKKGEIIGLYGNGVLIGQGEYVSGIIQGERTEEPIPDAYNE -EMLRKRKLTVLELHPGAGKTRKVLPQIIKDCIQKRLRTAVLAPTRVVACEIAEALKGLPIRYLTPAVKNE -HQGNEIVDVMCHATLTQKLLTPTRVPNYQVYIMDEAHFIDPASIAARGYISTRVELGEAAAIFMTATPPG -TNDPFPDSNSPILDVEAQVPDKAWSTGYEWITSFTGRTVWFVPSVKSGNEIAICLQKAGKRVIQLNRKSF -DTEYPKTKNNEWDFVVTTDISEMGANFGAHRVIDSRKCVKPVILEDDDRVILNGPMAITSASAAQRRGRI -GRNPSQIGDEYHYGGATNEDDHDLANWTEAKILLDNIYLPNGLVAQMYQPERDKVFTMDGEFRLRGEERK -NFVELMRNGDLPVWLAYKVASNGYSYQDRSWCFTGQTNNTILEDNNEVEVFTKTGDRRILRPRWMDARVC -CDYQALKSFKEFAAGKRSALGMMEVMGRMPNHFWEKTVAAADTLYLLGTSEANSRAHKEALAELPDSLET -LLLIGMLCVMSMGTFIFLMNRKGVGKMGLGAFVMTLATALLWAAEVPGTQIAGVLLIVFLLMIVLIPEPE -KQRSQTDNQLAVFLICIMTLMGVVAANEMGLLEKTKSDIAKLFGSQPGSVGFAVRTTPWDISLDIKPATA -WALYAAATMVMTPLIKHLITTQYVNFSLTAIAAQAGVLLGLTNGMPFTAMDLSVPLLVLGCWNQMTLPSL -AVAVMLLTIHYAFMVPGWQAEAMRAAQRRTAAGIMKNAVVDGIVATDIPDLSPATPMTEKKMGQILLIAA -AVLAVLVRPGICSIKEFGVLGSAALVTLIEGTAGVVWNCTTAVGLCNLMRGGWLAGMSITWTVYKNVDKP -KGKRGGGKGATLGEIWKSRLNQLTRAEFMAYRKDGIVEVDRAPARKARREGRLTGGHPVSRGSAKLRWIT -ERGFVKPMGKVVDLGCGRGGWSYYCATLKHVQEVKGFTKGGPGHEEPQLMQSYGWNLVHMKSGVDVFHKP -AEPADTVLCDIGESNPSCEVEEARTARVLDMTEEWLKKGATEFCIKVLCPYMPKIIEKLEKLQRKYGGGL -VRVPLSRNSTHEMYWVSGAAGNIIHAVSMTSQVLMGRMDKQNRSGPRYEEDVNLGSGTRSVGKLTEKPDL -RKVGERIRRLREEYQQTWTYDHNNPYRTWNYHGSYEVKPTGSASSMVNGVVRLLSKPWDMITNVTTMAMT -DTTPFGQQRVFKEKVDTKAPEPPLGVAQIMDVTTDWLWDFVAREKKPRICTPEEFKAKVNSHAALGAMFE -EQNQWSSAREAVEDPKFWEMVDEEREAHLKGECHTCIYNMMGKREKKTGEFGKAKGSRAIWYMWLGARFL -EFEALGFLNEDHWMSRENSHGGVEGKGLQKLGYILQEISQIPGGKMYADDTAGWDTRITKEDLKNEAKIT -KRMDEKHRKLAEAIIDLTYRHKVVKVMRPGPDGKTYMDVISREDQRGSGQVVTYALNTFTNLAVQLIRCM -EAEGVVDEDDVMRVRLGRLAKAVEWLRKNGPERLSRMAVSGDDCVVKPIDDRFATALHFLNNMSKIRKDI -QEWKPSTGWHNWQEVPFCSHHFNELMLKDGRTIVVPCRSQDELIGRARISPGAGWNVKETACLSKSYAQM -WLLMYFHRRDLRMMANAICSAVPVNWVPTGRTTWSIHGKGEWMTTEDMLSVWNRVWIEENEYMKDKTPLA -AWNDIPYLGKREDIWCGSLIGTRTRATWAENIYAPIMQIRNLIGEEEYRDYMIAQNRFGREETHVVGGVL ->AAN77511.1 NS5 protein, partial [Iguape virus] -RTLGEQWKEKLNAMSREEFFKYRREAIIEVDRTEARRARRENNIVGGHPVSRGSAKLRWLVEKGFVSPIG -KVIDLGCGRGGWSYYAATLKKVQEVRGYTKGGAGHEEPMLMQSYGRNLVSLKSGVDVFYKPSEPSDTLFC -DIGESSPSPEVEEQRTLRVLEMTSDWLHRGPREFCIKVLCPYMPKVIEKMEVLQRRFGGGLVRLPLSRNS -NHEMYWVSGAAGNLVGAVNSLPAILLHPMYGTGFTGPKFEEDANLSSGTRAHSKKEGCRHQEKIAGRIKR -LKEEHSSSWFVDPNNPYRTWNYHGSYEDQATGSASSMVNAVVKLMIKPWDVISTVTTMSMTDTTPVGQHR -VFKEKVDTKAPEPEQGAADIMDDSGRLYWKNLSQERRPAICTKEGVITKGERRAAPGAVNREHAERFMRR -QTLFLPTLGERDKHDNRSDLWAPSSSSSYYIMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLN -EDHRLSRENTGAGVEGYGAQRQGQTEPGKTGEQRRKIYAEDTAGWDTSIRRRDLENDSEIREPGDDKHQI -LTGAIIQLTYRHKVVKVMRPAPKGKHILDSTSSKEQREIGQGVTGDSKTRNHLYVKSIGSIGVGEGSGPK -HGKQLPRKTRLAVRTRWFEHEEARRAGIETSGDDKVIRLTSDGCDTVPYLMNAMSGEPAKNPEMYNSEWK -HDGWKVLLYSNPFPEVVVTYGRSVGCQTIGKDKRNGRARTSPGAGWKHKHTAGLAKRYKQMPILLGFPRG -DLGPMGNAICSTVPVEWVPLWSLHSKGEWMPTEKMLDVWNRVWIEGNPHLGDKTPVGDWKELPYIGKRED -QWSGSLIGYRPRATWAENIWVAVHQVRSMIGKEKYADYLKAQGRYRKEET ->AJY53440.1 nonstructural protein 5, partial [Mosquito flavivirus] -GSRFLEFEALGFLNADHWVSRDNFPGGVGGVGVNYFGYYLKDIAGRGKYLFADDIAGWDTKISSEDLEDE -EMLLTELATDPYHKALIIATMRLAYQNIVAMFPRTHTKYGSGTVMDVVGRKDQRGSGQVVTYALNTITNG -KVQVARVLESEGLLHAQSDVINRWLYKNLERVLGNMVIAGDDVVVSTDNREFSSSLEYLELTGKTRKNIP -QGAPSRMESDWEKVEFCSHHFHELHLKDGRILIAPCRHEDEIVGRSRLQKGGMVSLAESACMAKAYAQMW -ALYFFHRRDLRLGFVAITSAVPTNWFPIGRTSWSVPN ->AHW48492.1 polyprotein [West Nile virus] -MSKKPGGPGKSRAVNMLKRGMPRVLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSSKQKRRGGKTGIAVMIGLIASVGAVTLSNFQGKVMMTVNAT -DVTDVITIPTAAGKNLCIVRAMDVGYMCDDTITYECPVLSAGNDPEDIDCWCTKSAVYVRYGRCTKTRHS -RRSRRSLTVQTHGESTLANKKGAWMDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFVV -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDLVLEGDSCVTIMSKDKPTIDVKMMNMEAANLAEVRSYC -YLATVSDLSTKAACPTMGEAHNDKRADPAFVCRQGVVDRGWGNGCGLFGKGSIDTCAKFACSTKAIGRTI -LKENIKYEVAIFVHGPTTVESHGNYSTQAGATQAGRFSITPAAPSYTLKLGEYGEVTVDCEPRSGIDTNA -YYVMTVGTKTFLVHREWFMDLNLPWSSAGSTVWRNRETLMEFEEPHATKQSVIALGSQEGALHQALAGAI -PVEFSSNTVKLTSGHLKCRVKMEKLQLKGTTYGICSKAFKFLGTPADTGHGTVVLELQYTGTDGPCKVPI -SSVASLNDLTPVGRLVTVNPFVSVATANAKVLIELEPPFGDSYIVVGRGEQQINHHWHKSGSSIGKAFTT -TLKGAQRLAALGDTAWDFGSVGGVFTSVGKAVHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDRS -IALTFLAVGGVLLFLSVNVHADTGCAIDISRQELRCGSGVFIHNDVEAWMDRYKYYPETPQGLAKIIQKA -HKEGVCGLRSVSRLEHQMWEAVKDELNTLLKENGVDLSVVVEKQEGMYKSAPKRLTATTEKLEIGWKAWG -KSILFAPELANNTFVVDGPETKECPTQNRAWNSLEVEDFGFGLTSTRMFLKVRESNTTECDSKIIGTAVK -NNLAIHSDLSYWIESRLNDTWKLERAVLGEVKSCTWPETHTLWGDGVLESDLIIPVTLAGPRSNHNRRPG -YKTQNQGPWDEGRVEIDFDYCPGTTVTLSESCGHRGPATRTTTESGKLITDWCCRSCTLPPLRYQTDSGC -WYGMEIRPQRHDEKTLVQSQVNAYNADMIDPFQLGLLVVFLATQEVLRKRWTAKISMPAILIALLVLVFG -GITYTDVLRYVILVGAAFAESNSGGDVVHLALMATFKIQPVFMVASFLKARWTNQENILLMLAAVFFQMA -YHDARQILLWEIPDVLNSLAVAWMILRAITFTTTSNVVVPLLALLTPGLRCLNLDVYRILLLMVGTGSLI -KEKRSAAAKKKGASLLCLALASTGLFNPMILAAGLIACDPNRKRGWPATEVMTAVGLMFAIVGGLAELDI -DSMAIPMTIAGLMFAAFVISGKSTDMWIERTADISWESDAEITGSSERVDVRLDDDGNFQLMNDPGAPWK -IWMLRMVCLAISAYTPWAILPSVIGFWITLQYTKRGGVLWDTPSPKEYKKGDTTTGVYRIMTRGLLGSYQ -AGAGVMVEGVFHTLWHTTKGAALMSGEGRLDPYWGSVKEDRLCYGGPWKLQHKWNGQDEVQMIVVEPGKN -VKNVQTKPGVFKTPEGEIGAVTLDFPTGTSGSPIVDKNGDVIGLYGNGVIMPNGSYISAIVQGERMDEPI -PAGFEPEMLRKKQITVLDLHPGAGKTRRILPQIIKEAINRRLRTAVLAPTRVVAAEMAEALRGLPIRYQT -SAVPREHNGNEIVDVMCHATLTHRLMSPHRVPNYNLFVMDEAHFTDPASIAARGYISTKVELGEAAAIFM -TATPPGTSDPFPESNSPISDLQTEIPDRAWNSGYEWITEYTGKTVWFVPSVKMGNEIALCLQRAGKKVVQ -LNRKSYETEYPKCKNDDWDFVITTDISEMGANFKASRVIDSRKSVKPTIITEGEGRVILGEPSAVTAASA -AQRRGRIGRNPSQVGDEYCYGGHTNEDDSNFAHWTEARIMLDNINMPNGLIAQFYQPEREKVYTMDGEYR -LRGEERKNFLELLRTADLPVWLAYKVAAAGVSYHDRRWCFDGPRTNTILEDNNEVEVITKLGERKILRPR -WIDARVYSDHQALKAFKDFASGKRSQIGLIEVLGKMPEHFMGKTWEALDTMYVVATAEKGGRAHRMALEE -LPDALQTIALIALLSVMTMGVFFLLMQRKGIGKIGLGGAVLGVATFFCWMAEVPGTKIAGMLLLSLLLMI -VLIPEPEKQRSQTDNQLAVFLICVMTLVSAVAANEMGWLDKTKSDISSLFGQRIEVKENFSMGEFLLDLR -PATAWSLYAVTTAVLTPLLKHLITSDYINTSLTSINVQASALFTLARGFPFVDVGVSALLLAAGCWGQVT -LTVTVTAATLLFCHYAYMVPGWQAEAMRSAQRRTAAGIMKNAVVDGIVATDVPELERTTPIMQKKVGQIM -LILVSLAAVVVNPSVKTVREAGILITAAAVTLWENGASSVWNATTAIGLCHIMRGGWLSCLSITWTLIKN -MEKPGLKRGGAKGRTLGEVWKERLNQMTKEEFTRYRKEAIIEVDRSAAKHARKEGNITGGHPVSRGTAKL -RWLVERRFLEPVGKVIDLGCGRGGWCYYMATQKRVQEVRGYTKGGPGHEEPQLVQSYGWNIVTMKSGVDV -FYRPSECCDTLLCDIGESSSSAEVEEHRTIRVLEMVEDWLHRGPREFCVKVLCPYMPKVIEKMELLQRRY -GGGLVRNPLSRNSTHEMYWVSRASGNVVHSVNMTSQVLLGRMEKRTWKGPQYEEDVNLGSGTRAVGKPLL -NSDTSKIKNRIERLRREYSSTWHHDENHPYRTWNYHGSYDVKPTGSASSLVNGVVRLLSKPWDTITNVTT -MAMTDTTPFGQQRVFKEKVDTKAPEPPEGVKYVLNETTNWLWAFLAREKRPRMCSREEFIRKVNSNAALG -AMFEEQNQWRSAREAVEDPKFWEMVDEEREAHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLG -ARFLEFEALGFLNEDHWLGRKNSGGGVEGLGLQKLGYILREVGTRPGGKIYADDTAGWDTRITRADLENE -AKVLELLDGEHRRLARAIIELTYRHKVVKVMRPARRRCSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXME -GEGVIGPDDVEKLTKGKGPKVRTWLFENGEERLSRMAVSGDDCVVKPLDDRFATSLHFLNAMSKVRKDIQ -EWKPSTGWYDWQQVPFCSNHFTELIMKDGRTLVVPCRGQDELVGRARISPGAGWNVRDTACLAKSYAQMW -LLLYFHRRDLRLMANAICSAVPVNWVPTGRTTWSIHAGGEWMTTEDMLEVWNRVWIEENEWMEDKTPVEK -WSDVPYSGKREDIWCGSLIGTRARATWAENIQVAINQVRAIIGDEKYVDYMSSLKRYEDTTLVEDTVL ->ACD13417.1 polyprotein [Dengue virus 3] -MNNQRKKTGKPSINMLKRVRNRVSTGSQLAKRFSKGLLNGQGPMKLVMAFIAFLRFLAIPPTAGVLARWG -TFKKSGAIKVLKGFKKEISNMLSIINKRKKTSLCLMMILPAALAFHLTSRDGEPRMIVGKNERGKSLLFK -TASGINMCTLIAMDLGEMCDDTVTYKCPHIAEVEPEDIDCWCNLTSTWVTYGTCNQAGEHRRDKRSVALA -PHVGMGLDTRTQTWMSAEGAWRQVEKVETWALRHPGFTILALFLAHYIGTSLTQKVVIFILLMLVTPSMT -MRCVGVGNRDFVEGLSGATWVDVVLEHGGCVTTMAKNKPTLDIELQKTEATQLATLRKLCIEGKITNITT -DSRCPTQGEAVLPEEQDQNYVCKHTYVDRGWGNGCGLFGKGSLVTCAKFQCLEPIEGKVVQYENLKYTVI -ITVHTGDQHQVGNETQGVTAEITPQASTTEAILPEYGTLGLECSPRTGLDFNEMILLTMKNKAWMVHRQW -FFDLPLPWTSGATTETPTWNRKELLVTFKNAHAKKQEVVVLGSQEGAMHTALTGATEIQNSGGTSIFAGH -LKCRLKMDKLELKGMSYAMCTNTFVLKKEVSETQHGTILIKVEYKGEDVPCKIPFSTEDGQGKAHNGRLI -TANPVVTKKEEPVNIEAEPPFGESNIVIGIGDNALKINWYKKGSSIGKMFEATARGARRMAILGDTAWDF -GSVGGVLNSLGKMVHQIFGSAYTALFSGVSWVMKIGIGVLLTWIGLNSKNTSMSFSCIAIGIITLYLGAV -VQADTGCVINWKGKELKCGSGIFVTNEVHTWTEQYKFQADSPKRLATAIAGAWENGVCGIRSTTRMENLL -WKQIANELNYILWENNIKLTVVVGDIIGVLEQGKRTLTPQPMELKYSWKTWGKAKIVTAETQNSSFIIDG -PNTPECPSASRAWNVWEVEDYGFGVFTTNIWLKLREVYTQLCDHRLMSAAVKDERAVHADMGYWIESQKN -GSWKLEKASLIEVKTCTWPKSHTLWSNGVLESDMIIPKSLAGPISQHNYRPGYHTQTAGPWHLGKLELDF -NYCEGTTVVITENCGTRGPSLRTTTVSGKLIHEWCCRSCTLPPLRYMGEDGCWYGMEIRPISEKEENMVK -SLVSAGSGKVDNFTMGVLCLAILFEEVMRGKFGKKHMIAGVFFTFVLLLSGQITWRDMAHTLIMIGSNAS -DRMGMGVTYLALIATFKIQPFLALGFFLRKLTSRENLLLGVGLAMATTLQLPEDIEQMANGIALGLMALK -LITQFETYQLWTALISLTCSNTIFTLTVAWRTATLILAGVSLLPVCQSSSMRKSDWLPMTVAAMGVPPLP -LFIFSLKDTLKRRSWPLNEGVMAVGLVSILASSLLRNDVPMAGPLVAGGLLIACYVITGTSADLTVEKAA -DVTWEEEAEQTGVSHNLMITVDDDGTMRIKDDETENILTVLLKTALLIVSGIFPYSIPATLLVWHTWQKQ -TRRSGVLWDVPSPPETQKAELEEGVYRIKQQGIFGKTQVGVGVQKEGVFHTMWHVTRGAVLTYNGKRLEP -NWASVKKDLISYGGGWRLSAQWQKGEEVQVIAVEPGKNPKNFQTMPGTFQTTTGEIGAIALDFKPGTSGS -PIINREGKVVGLYGNGVVTKNGGYVSGIAQTNAEPDGPTPELEEEMFKKRNLTIMDLHPGSGKTRKYLPA -IVREAIKRRLRTLILAPTRVVAAEMEEALKGLPIRYQTTATKSEHTGREIVDLMCHATFTMRLLSPVRVP -NYNLIIMDEAHFTDPASIAARGYISTRVGMGEAAAIFMTATPPGTADAFPQSNAPIQDEERDIPERSWNS -GNEWITDFAGKTVWFVPSIKAGNDIANCLRKNGKKVIQLSRKTFDTEYQKTKLNDWDFVVTTDISEMGAN -FKADRVIDPRRCLKPVILTDGPERVILAGPMPVTAASAAQRRGRVGRNPQKENDQYIFTGQPLNNDEDHA -HWTEAKMLLDNINTPEGIIPALFEPEREKSAAIDGEYRLKGESRKTFVELMRRGDLPVWLAHKVASEGIK -YTDRKWCFDGQRNNQILEENMDVEIWTKEGEKKKLRPRWLDARTYSDPLALKEFKDFAAGRKSIALDLVT -EIGRVPSHLAHRTRNALDNLVMLHTSEHGGKAYRHAVEELPETMETLLLLGLMILLTGGAMLFLISGKGI -GKTSIGLICVIASSGMLWMAEIPLQWIASAIVLEFFMMVLLIPEPEKQRTPQDNQLAYVVIGILTLAAII -AANEMGLLETTKRDLGMSKEPGVVSPTSYLDVDLHPASAWTLYAVATTVITPMLRHTIENSTANVSLAAI -ANQAVVLMGLDKGWPISKMDLGVPLLALGCYSQVNPLTLTAAVLLLITHYAIIGPGLQAKATREAQKRTA -AGIMKNPTVDGIMTIDLDPVIYDSKFEKQLGQVMLLVLCAVQLLLMRTSWALCEALTLATGPITTLWEGS -PGKFWNTTIAVSMANIFRGSYLAGAGLAFSIMKSVGTGKRGTGSQGETLGEKWKKKLNQLSRKEFDLYKK -SGITEVDRTEAKEGLKRGEITHHAVSRGSAKLQWFVERNMVIPEGRVIDLGCGRGGWSYYCAGLKKVTEV -RGYTKGGPGHEEPVPMSTYGWNIVKLMSGKDVFYLPPEKCDTLLCDIGESSPSPTVEESRTIRVLKMVEP -WLKNNQFCIKVLNPYMPTVIEHLERLQRKHGGMLVRNPLSRNSTHEMYWISNGTGNIVASVNMVSRLLLN -RFTMTHRRPTIEKDVDLGAGTRHVNAEPETPNMDVIGERIKRIKEEHNSTWHYDDENPYKTWAYHGSYEV -KATGSASSMINGVVKLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTPRSMPGTRRVMGITAEWL -WRTLGRNKKPRLCTREEFTKKVRTNAAMGAVFTEENQWDSAKAAVEDEDFWKLVDRERELHKLGKCGSCV -YNMMGKREKKLGEFGKAKGSRAIWYMWLGARYLEFEALGFLNEDHWFSRENSYSGVEGEGLHKLGYILRD -ISKIPGGAMYADDTAGWDTRITEDDLHNEEKITQQMDPEHRQLANAIFKLTYQNKVVKVQRPTPTGTVMD -IISRKDQRGSGQVGTYGLNTCHSNMEAQLIRQMEGEGVLSKADLENPHLSEKKITQWLETKGVERLKRMA -ISGDDCVVKPIDDRFANALLALNDMGKVRKDIPQWQPSKGWHDWQQVPFCSHHFHELIMKDGRKLVVPCR -PQDELIGRARISQGAGWSLRETACLGKAYAQMWSLMYFHRRDLRLASNAICSAVPVHWVPTSRTTWSIHA -HHQWMTTEDMLTVWNRVWIEDNPWMEDKTPVTTWENVPYLGKREDQWCGSLIGLTSRATWAQNIPTAIQQ -VRSLIGNEEFLDYMPSMKRFRKEEESEGAIW ->AFZ40120.1 polyprotein [Dengue virus 2] -MNSQRRKARNTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRTVGMIIMLIPTVMASHLTTRNGGPHMIVIGQQKRKTSFFK -TKKGHDMCTLMAMAFGEFCENTITYKCPFLKQNEPEDIDCWCNSTSTWVTYGTCTTTGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKHAQRIETWILRHPGFTIMAAILAYTIGTTHFQRVLIFILLTAIAPSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -DSRCPTQGEPTLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMKGKIVQPENLEYTVV -ITPHSGEEHAVGNDTGKHGKEVKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMEDKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIKDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPLGDSYIIIGVEPGQLKLDWFKKGSSIGQMFENTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLG -VMVQADSGCGGCERKTKTKCGSGIFVTDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITPELNHILSENEVKLTIMTGDIKGIMQAGKRSLRPQPTELRYSWKTWGKAKMLSTELHNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLRLREKQDVFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKSCHWPKSHTLWGNGVLESEMVIPKNFAGPVSQHNNRPGYYTQTAGPWHLGKLEM -DFDFCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPPKYRGEDGCWYGMEIRPLKEKEENL -VSSLVTAGHGQIDNFSLGILGMALFLEEMLRTRVGTKHAILLVAVSFVTLITGNMSFRDLGRVMVMVGAT -MTDDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMTTIGIVLSSQSSIPETILELTDALALGMMV -LKMVRNMEKYQLAVTIMAILCVPNAVILQNAWKVSCTILAVVSVSPLLLTSSQQKADWIPLALTIKGLNP -TAIFLTTLSGTSKRRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELER -ATDVKWDDQAEISGSSPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPVSIPITAAAWYLWE -VKKQRAGVLWDVPSPPLVGKAELEDGAYRIKQKGILGYSQIGAGVYKEGTFHTMWHVTRGAVLMHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFRTNTGTIGAVSLDFSPGTS -GSPIVDKKGKVVGLYGNGVVTRSGAYVSAIAQTEKSIEDNPEIEDDIFRKRRLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPIRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIMDEEREIPERSWN -SGHEWVTDFKGKTVWFVPSIKTGNDIAACLRKNGKRVIQLSRKTFDSEYVKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTLLELIRGGPIPVYLGYKWPGEGI -NYADSRLCVGRTQNNQILEENVEVEIWTKEGKGKKLKPRWLDARIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKARDALDNLAVLHTAEAGGKAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGRG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVIIAILTVVAA -TMANEMGFLEKTKKDLGLGNIATQQPESNILDIDLRPASAWTLYAVATTFITPMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALLLLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPVSTLWEG -NPGRFWNTTILIQMANILRGSYLAGAGPLFSIMENTTGPRRRTGQLGRELLRRWKSRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNLVTPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFIPPERCDTLLCDIGESSPNPTVEAGRTLRVLNLVE -NWLNNNTQFCIKVLNPYMPSVIEKMETLQRKYGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMRHKKATYEPDVDLGSGTRNIGIESEIPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSY -ETKQTGSASSMVNGVVRLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRIQEPKEGTKKLMKIMAE -WLWKELGKKKTPRMCTREEFTKKVRSNAALGAIFTDENKWKSAREAVEDGRFWELVDRERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYIL -REVSKKEGGAMYADDTAGWDTRITLGALKNERKCTEHMEGEPRKPGRGHFKWRNQNRVVGVQGPTPGGTV -MDIISRKNQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGFFIEHQHLTASEENAVQDWLARVGREKVSRMP -ISGDDCVVKPLDDRFARALTALNDMGKVRKDIQQWEPSRGWNDWTQVPFCSHHFHELIMKDGRTLVVPCR -NQDELIGRARISQGAGWSFGETACLGKSYAQMWSLINFRIPDLRLAANVICSVVPSHWVPTSRTTWSIHA -NHEWMTTEDMLTVWNRVWILENPWMEDKTPVESWEEIPYLEKREDQWCGSLIGLTPQPPWAKNIETAINQ -VRSLIGNEEYIDYLPSMKRFRIEEEESGFLW ->ABU41789.1 polyprotein [West Nile virus] -MSKKPGGPGKNRAVNMLKRGMPRGLSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTRAVLDRWR -GVNKQTAMKHLLSFKKELGTLTSAINRRSTKQKKRGGTAGFTILLGLIACAGAVTLSNFQGKVMMTVNAT -DVTDVITIPTAAGKNLCIVRAMDVGYLCEDTITYECPVLAAGNDPEDIDCWCTKSSVYVRYGRCTKTRHS -RRSRRSLTVQTHGESTLANKKGAWLDSTKATRYLVKTESWILRNPGYALVAAVIGWMLGSNTMQRVVFAI -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDLVLEGDSCVTIMSKDKPTIDVKMMNMEAANLADVRSYC -YLASVSDLSTRAACPTMGEAHNEKRADPAFVCKQGVVDRGWGNGCGLFGKGSIDTCAKFACTTKATGWII -QKENIKYEVAIFVHGPTTVESHGNYSTQIGATQAGRFSITPSAPSYTLKLGEYGEVTVDCEPRSGIDTSA -YYVMSVGAKSFLVHREWFMDLNLPWSSAGSTTWRNRETLMEFEEPHATKQSVVALGSQEGALHQALAGAI -PVEFSSNTVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFKFAGTPADTGHGTVVLELQYTGTDGPCKVPI -SSVASLNDLTPVGRLVTVNPFVSVATANSKVLIELEPPFGDSYIVVGRGEQQINHHWHKSGSSIGKAFTT -TLRGAQRLAALGDTAWDFGSVGGVFTSVGKAIHQVFGGAFRSLFGGMSWITQGLLGALLLWMGINARDRS -IAMTFLAVGGVLLFLSVNVHADTGCAIDIGRQELRCGSGVFIHNDVEAWMDRYKFHPETPQGLAKIIQKA -HAEGVCGLRSVSRLEHQMWEAIKDELNTLLKENGVDLSVVVEKQNGMYKAAPKRLAATTEKLEMGWKAWG -KSIIFAPELANNTFVIDGPETEECPTANRAWNSMEVEDFGFGLTSTRMFLRIRETNTTECDSKIIGTAVK -NNMAVHSDLSYWIESGLNDTWKLERAVLGEVKSCTWPETHTLWGDGVLESDLIIPITLAGPRSNHNRRPG -YKTQNQGPWDEGRVEIDFDYCPGTTVTISDSCGHRGPAARTTTESGKLITDWCCRSCTLPPLRFQTENGC -WYGMEIRPTRHDEKTLVQSRVNAYNADMIDPFQLGLLVVFLATQEVLRKRWTAKISIPAIMLALLVLVFG -GITYTDVLRYVILVGAAFAEANSGGDVVHLALMATFKIQPVFLVASFLKAKWTNQESILLMLAAAFFQMA -YYDAKNVLSWEVPDVLNSLSVAWMILRAISFTNTSNVVVPLLALLTPGLKCLNLDVYRILLLMVGVGSLI -KEKRSSAAKKKGACLICLALASTGVFNPMILAAGLMACDPNRKRGWPATEVMTAVGLMFAIVGGLAELDI -DSMAIPMTIAGLMFVAFVISGKSTDMWIERTADITWESDAEITGSSERVDVRLDDDGNFQLMNDPGAPWK -IWMLRMACLAISAYTPWAILPSVIGFWITLQYTKRGGVLWDTPSPKEYKKGDTTTGVYRIMTRGLLGSYQ -AGAGVMVEGVFHTLWHTTKGAALMSGEGRLDPYWGSVKEDRLCYGGPWKLQHKWNGHDEVQMIVVEPGKN -VKNVQTKPGVFKTPEGEIGAVTLDYPTGTSGSPIVDKNGDVIGLYGNGVIMPNGSYISAIVQGERMEEPA -PAGFEPEMLRKKQITVLDLHPGAGKTRKILPQIIKEAINKRLRTAVLAPTRVVAAEMSEALRGLPIRYQT -SAVHREHSGNEIVDVMCHATLTHRLMSPHRVPNYNLFIMDEAHFTDPASIAARGYIATKVELGEAAAIFM -TATPPGTSDPFPESNAPISDMQTEIPDRAWNTGYEWITEYVGKTVWFVPSVKMGNEIALCLQRAGKKVIQ -LNRKSYETEYPKCKNDDWDFVITTDISEMGANFKASRVIDSRKSVKPTIIEEGDGRVILGEPSAITAASA -AQRRGRIGRNPSQVGDEYCYGGHTNEDDSNFAHWTEARIMLDNINMPNGLVAQLYQPEREKVYTMDGEYR -LRGEERKNFLEFLRTADLPVWLAYKVAAAGISYHDRKWCFDGPRTNTILEDNNEVEVITKLGERKILRPR -WADARVYSDHQALKSFKDFASGKRSQIGLVEVLGRMPEHFMGKTWEALDTMYVVATAEKGGRAHRMALEE -LPDALQTIALIALLSVMSLGVFFLLMQRKGIGKIGLGGVILGAATFFCWMAEVPGTKIAGMLLLSLLLMI -VLIPEPEKQRSQTDNQLAVFLICVLTLVSAVAANEMGWLDKTKNDISSLLGHKPEARETTLGVESFLLDL -RPATAWSLYAVTTAVLTPLLKHLITSDYINTSLTSINVQASTLFTLARGFPFVDVGVSALLLAAGCWGQV -TLTVTVTAAALLFCHYAYMVPGWQAEAMRSAQRRTAAGIMKNAVVDGIVATDVPELERTTPVMQKKVGQI -MLILVSMAAVVVNPSVRTVREAGILTTAAAVTLWENGASSVWNATTAIGLCHIMRGGWLSCLSITWTLIK -NMEKPGLKRGGAKGRTLGEVWKERLNHMTKEEFTRYRKEAITEVDRSAAKHARREGNITGGHPVSRGTAK -LRWLVERRFLEPVGKVVDLGCGRGGWCYYMATQKRVQEVKGYTKGGPGHEEPQLVQSYGWNIVTMKSGVD -VFYRPSEASDTLLCDIGESSSSAEVEEHRTVRVLEMVEDWLHRGPKEFCIKVLCPYMPKVIEKMEILQRR -YGGGLVRNPLSRNSTHEMYWVSHASGNIVHSVNMTSQVLLGRMEKKTWKGPQFEEDVNLGSGTRAVGKPL -LNSDTSKIKNRIERLKKEYSSTWHQDANHPYRTWNYHGSYEVKPTGSASSLVNGVVRLLSKPWDTITNVT -TMAMTDTTPFGQQRVFKEKVDTKAPEPPEGVKHVLNETTNWLWAFLARDKKPRMCSREEFIGKVNSNAAL -GAMFEEQNQWKNAREAVEDPKFWEMVDEEREAHLRGECNTCIYNMMGKREKKPGEFGKAKGSRAIWFMWL -GARFLEFEALGFLNEDHWLGRKNSGGGVEGLGLQKLGYILKEVGTKPGGKIYADDTAGWDTRITKADLEN -EAKVLELLDGEHRRLARSIIELTYRHKVVKVMRPAADGKTVMDVISREDQRGSGQVVTYALNTFTNLAVQ -LVRMMEGEGVIGPDDVEKLGKRKGPKVRTWLFENGEERLSRMAVSGDDCVVKPLDDRFATSLHFLNAMSK -VRKDIQEWKPSTGWYDWQQVPFCSNHFTELIMKDGRTLVVPCRGQDELIGRARISPGAGWNVRDTACLAK -SYAQMWLLLYFHRRDLRLMANAICSAVPVNWVPTGRTTWSIHAKGEWMTTEDMLAVWNRVWIEENEWMED -KTPVERWSDVPYSGKREDIWCGSLIGTRTRATWAENIHVAINQVRSVIGEEKYVDYMSSLRRYEDTIVVE -DTVL ->AEK75355.1 polyprotein [Japanese encephalitis virus] -MTKKPGGPGKNRAINMLKRGIPRVSPLVGVKRVIMNLLDGRGPIRFVLALLAFFKFTALAPTKALVSRWK -AVEKSIAMKHLISFKKELGTLINAVNKRGKKQNKRGGSNGTVIWIMGLAVVVASVSAIKLSNFQGKVLMT -INNTDVADVITIPTSKGTNRCWVRAIDVGHMCEDTITYECPKLDAGNDPEDIDCWCDKQAVYVQYGRCTR -TRHSRRSRRSVSVQTHGESSLVNKKEAWMDSTKATRYLMKTENWIIRNPGYALVAVALGWMLGSNNGQRV -VFTILLLLVAPAYSFNCLGMGNRDFIEGVSGATWVDLVLEGDSCLTIMANDKPTLDVRMINIEATELAEV -RTYCYHATVADISTVARCPTTGEAHNTRRADSSYVCKQGYTDRGWGNGCGLFGKGSIDTCAKFVCSHKAI -GKIIQPENIKYEVGVFVHGTTTAENHGNYTAQIGASQAAKFTITPNAPSITLKLGDYGEITMDCEPRSGF -NTEAFYVLTVGSKSFLVHREWFNDLALPWLSPSSTNWRNREILMEFEEAHATKQSVVALGSQEGALHQAL -AGAIVVEYSSSVKLTSGHLKCRLKMEKLALKGTTYGMCTEKFSFSKNPADTGHGTVVIELQYTGTDGPCK -IPISSVASLNDLTPVGRLVTVNPFVATSTANSKVLVELEPPFGDSFIVVGRGDKQINHHWHKAGSSLGKA -FTTTLKGAQRLAALGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLMGALLLWMGINAR -DRSIALAFLATGGVLLFLATNVHADTGCAIDITRKEMRCGSGIFVHNDVEAWVDRYKYLPETPKSLAKIV -HKAHKEGICGVRSVTRLEHQMWEAVRDELNVLLKENAVDLSVVVDKPSGRYRPAPLRLTMTQEKFEMGWK -AWGKSILFAPELANSTFVIDGPETKECPDERRAWNSMQIEDFGFGITSTRVWLKIREERTNECDGAIIGT -AVKGNMAVHSDLSYWIESHLNDTWKLERAVFGEIKSCTWPETHTLWGDGVEESELIIPHTLAGPKSKHNR -REGYKTQNQGPWDENEITLDFDYCPGTTVTIAEGCGKRGPSIRTTTDSGKLITDWCCRSCTLPPLRFRTA -SGCWYGMEIRPMKHDESTLVKSQVNAFNGEMIDPFQLGLLVIFLATQEVLRKRWTARLTIPAVLGALLVL -MLGGITYTDLVRYVVLVAAAFAEANNGGDVVHLALIAVFKIQPAFLVMSIARTNWTNQENIALVLGAAFF -QMASTDLEFGIHGLLNAAATAWMVVRAITFPTTSTITMPILALLAPGMRALHLDTYRIFLLIIGVCALLH -ERRKTMAKKKGAVLLGLALSSTGWFSPAIMAAGLMACNPNKKRGWPATEVLSAIGLMFAIVGGLAELDID -SMAIPFMLAGLMAVSYVVSGKATDMWLERAADISWEVDAAITGSSRRLDVKLDDDGDFHLIDDPGVPWKI -WVLRMSCIGLAAFTPWAIIPAAFGYWLTLKTTKRGGVFWDTPSPKVYAKGDTTTGVYRIMARGILGVYQA -GVGVMYENVFHTLWHTTRGAAIMSGEGKLTPYWGSVKEDRITYGGPWRFDRKWNGVDDVQMIVVEPGKAA -VNVQTKPGVFRTPHGEIGAVSLDYPSGTSGSPILDINGDIIGLYGNGVELGDGSYVSAIVQGERQEEPIP -DAYNPNMLKKRQLTVLDLHPGSGKTRKILPQIIRDAIQQRLRTAVLAPTRVVAAEMAEALRGLPVRYQTS -AVQREHQGNEIVDVMCHATLTHRLMSPNRVPNYNLFVMDEAHFTDPASIAARGYISTRVELGEAAAIFMT -ATPPGTTDPFPDSNAPIHDLQDEIPDRAWSSGYEWITEYSGKTVWFVASVKMGNEIAVCLQRAGKRVIQL -NRKSYDTEYPKCKNGDWDFVITTDISEMGANFGASRVIDCRKSVKPTILEEGEGRVILSNPSPITSASAA -QRRGRVGRNPNQVGDEYHYGGVTSEDDTNLAHWTEAKIMLDNIHLPNGLVAQLYGPEREKAFTMDGEYRL -RGEEKKNFLELIRTADLPVWLAYKVASNGIQYTDRRWCFDGPRTNAILEDSTEVEIITRTGERKILKPRW -LDARVYADHQALKWFKDFAAGKRSAVSFLEVLGRMPEHFMGKTREALDTMYLVATAEKGGKAHRMALEEL -PDALETVTLIAAIVVMTGGFFLLMMQRKGIGKMGLGALVLTLATFFLWMAEVSGTKIAGTLLIALLLMVV -LIPEPEKQRSQTDNQLAVFLICVLTVVGIVAANEYGMLEKTKEDIRNVFGNKVQTSNVPGSLSSLALDLR -PATAWALYGGSTVILTPLLKHLITSEYVTTSLASINSQAGSLFVLPKGMPFTDLDLTVGLVFLGCWGQIT -LTTFLTAGVLAVLHYGYMLPGWQAEALRAAQRRTAAGIMKNAVVDGMVATDVPELERTTPLMQKKVGQVL -LIGVSIAAFLVNPNVTTVREAGVLVTAATLTLWDNGASAVWNSTTATGLCHVMRGSYLAGGSIAWTLIKN -VDKPSLKRGRPGGRTLGEQWKEKLNAMNKEEFFRYRKEAIVEVDRTEARRARRENNKVGGHPVSRGSAKL -RWMVEKGFVSPVGKVVDLGCGRGGWCYYAATLKKVQEVKGYTKGGAGHEEPMLMQSYGWNLVTMKSGVDV -FYRPSEPSDTLLCDIGESSPSPDVEEQRTLRVLEMASEWLHRGPREFCIKVLCPYMPKVIEKMETLQRRF -GGGLVRVPLSRNSNHEMYWVSGAAGNVVHAVNMTSQVLLGRMDRPVWRGPKYEEDVNLGSGTRAVGKGEV -HSDQGKIKKRIEKLKEEYAATWHEDPEHPYRTWTYHGSYEVKATGSASSLVNGVVKLISKPWDAITSVTT -MAMTDTTPFGQQRVFKEKVDTKAPEPPAGVREVLDETTNWLWAYLSREKRPRLCTREEFIRKVNSNAALG -AMFAEQNQWSSAREAVSDPAFWNMVDIERENHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLG -ARYLEFEALGFLNEDHWLSRENSGGGVEGSGIQKLGYILRDISTKSGGKMYADDTAGWDTRITRVDLDNE -AKVLELLDGEHRMLARAIIELTYKHKVVKVMRPAVGGKTVMDVISREDQRGSGQVVTYALNTFTNIAVQL -VRLMEAEGVVGPQDVEQLPRKTKFAVRTWLFENGEERVTRMAVSGDDCVVKPLDDRFAHALHFLNAMSKV -RKDTQEWKPSQGWHDWQQVPFCSNHFQEIVMKDGRSLVVPCRGQDELIGRARISPGAGWNVRDTACLAKA -YAQMWLLLYFHRRDLRLMANAICSAVPVDWVPTGRTSWSIHSKGEWMTTEDMLQVWNRVWIEENEWMRDK -TPVASWTDVPYVGKREDIWCGSLIGTRTRATWAENIYAAINQVRAIIGNEKYVDYMTSLRRYEDTLVQED -RVI ->ADM88863.1 polyprotein [West Nile virus] -MSKKPGGPGKNRAVNMLKRGMPRVMSLIGLKRAMLSLIDGKGPIRFVLALLAFFKFTAIAPTRALLDRWR -GVNKQTAMKHLLSFKKELGTLTNAMNRRSTKQKKRGGVVGVMAVLGLVVCVGSVTLSNFQGKVMMTVNAT -DVANIITIPTASGKNLCTVRAMDVGFMCDDTITYECPALAAGNDPEDIDCWCTKAAVYVRYGRCTKTRHS -RRSRRSLTVQAHGESTLANKKGAWLDSTKATRYLVKTESWILRNPGYALVAVVIGWMLGSNNMQRVVFVV -LLLLVAPAYSFNCLGMSNRDFLEGVTGATWLDLVLEGDSCVTIMSKDKPTIDVKMTNMEATNLAEVRSYC -YAATVSDLSTKAACPTMGEAHNDKRADSAYVCKQGVVDRGWGNGCGLFGKGSIDTCARFACSTKATGRTI -LKENIKYEVAIFVHGPTTVESHGNYPTQQGATQAGRFSITPAAPSYTLKLGEYGEVTVDCEPRSGIDTNA -YYVMTVGTKTFLVHREWFMDLNLPWSSAGGNVWRNRETLVEFEEPHATKQSVVALGSQEGALHQALAGAI -PVEFSSNTVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFKFVGTPADTGHGTVVLELQYTGTDGPCKIPI -SSVASLNDLTPVGRLVTVNPFVSVATANAKVLIELEPPFGDSYIVVGRGDQQINHHWHKSGSSIGKAFTT -TLKGAQRLAALGDTAWDFGSVGGVLTSVGKAIHQVFGGAFRSLFGGMSWITQGLLGALLLWMGISARDRS -IALTFLAIGGVLLFLSINVHADTGCAIDIGRQELRCGSGVFIHNDVEAWMDRYKYYPETPQGLAKIIQKA -HQEGVCGLRSVSRLEHQMWDSVKDELNTLLKENGVDLSIVVEKQEGMYKAAPKRLKATTEKLEMGWKAWG -KSIIFAPEIANNTFVVDGPETEECPSQSRAWNSMEVEDFGFGLTSTRMFLKIREVNTTECDAKIIGTAVK -NNLAIHSDLSYWIESGFNETWKLERAVLGEVKSCTWPETHTLWGDGVVESDLIIPVTLAGPRSNYNRRPG -YKMQNQGPWDEGRVELDFDYCPGTTVTVSESCGHRGASTRTTTDSGKLITDWCCRSCTLPPLRFQTRSGC -WYGMEIRPQKHDERTLVQSQVNAYNADMIDPFQLGLLVVFLATQEVLRKRWTAKISGPAIMIALLVLVLG -GITYTDVLRYVILVGAAFAESNSGGDVVHLALMATFKIQPVFMVATFVKARWTNQENILLMLAAVFFQMA -YHDARHILQWGIPDVLNSLAVAWMILRAITFTNTSNVVVPLLALLTPGLKCLNLDVYRILLLMIGIGSLI -REKRSAAAKKKGASLICLALASTGSFNPMILAAGLIACDPNRKRGWPATEVMTAVGLMFAIVGGLAELDM -DSMAIPMTIAGLMFVAFVISGKSTDMWIERVADISWENDAEITGSSERVDVRLDDDGNFQLMNDPGAPWK -LWMLRMACLAISAYTPWAILPSVIGFWITLQYTKRGGVLWDTPSPKVYKKGDTTTGVYRIMTRGILGSYQ -AGAGVMVEGVFHTLWHTTKGAALMSGEGRLDPYWGSVKEDRLCYGGPWKLQHKWNGHDEVQMIVVKPGES -VKNVQTKPGVFKTPEGEIGAVTLDFPTGTSGSPIVDKNGDVIGLYGNGVIMPNGAYISAIVQGERMEEPP -PVGFEPDMLRKKQISVLDLHPGAGKTRKILPQIIREAINRRLRTAILAPTRVVAAEMAEALRGLPIRYQT -SAVTREHSGNEIVDVMCHATLTHRLMSPHRVPNYNLFVMDEAHFTDPASIAARGYISTKVELGEAAAIFM -TATPPGTHDPFPESNAPIADMQTEIPDRAWNSGYEWITEYTGKTVWFVPSVKMGNEIALCLQRAGKKVIQ -LNRKSYETEYPKCKNDDWDFVVTTDISEMGANFRASRVIDSRKSVKPTIITDGEGKVVLSEPSAITAASA -AQRRGRIGRNPSQVGDEYCYGGHTNEDDSNFAHWTEARIMLDNINMPNGLIAQLYQPEREKVCTMDGEYR -LRGEERKNFLELLRTADLPVWLAYKVASAGISYHDRKWCFDGPRTNTILEDNNEVEVITKLGERKILRPR -WTDARVYSDHQALKAFKDFASGKRSQVGLIEVLGRMPEHFMGKTWEALDTMYVVATAEKGGRAHRMALEE -LPDALQTVALIALLGVMTMGVFFLLMQRKGIGKIGLGGVIIGVATFFCWMAEVPGTKIAGMLLLSLLLMI -VLIPEPEKQRSQTDNQLAVFLICVLTLVGAVAANEMGWLDKTKSDIGSLFGQKLEAKESFSVGEFLLDLR -PATAWSLYAVTTAFLTPLLKHLITSDYINTSLTSINVQASALFTLARGFPFVDVGISALLLAVGCWGQVT -LTVTVTAAALLFCHYAYMIPGWQAEAMRSAQRRTAAGIMKNAVVDGIVATDVPELERATPMMQKKVGQVM -LILVSLAAVVVNPSVRTVREAGILITAAAVTLWENGASSVWNATTAIGLCHIMREGWLSCLSITWTLIKN -LEKPGLKRGGAKGRTLGEVWKEKLNQMSKEEFSRYRKEAIIEVDRSAAKHARREGNITGGHPVSRGSAKL -RWLVERKFVEPVGRVIDLGCGRGGWCYYMATQKRVQEVRGYTKGGPGHEEPQLVQSYGWNIVTMKSGVDV -FYRPSESCDTLLCDIGESSSSAEVEEHRTLRVLEMVEDWLHRGPKEFCVKVLCPYMPKVVEKMEVLQRRY -GGGLVRNPLSRNSTHEMYWVSQASGNIVHAVNMTSQVLLGRMEKRTWKGPQFEEDVNLGSGTRAVGKPLL -NSDTSKIKNRVERLKREYGSTWHHDENHPYRTWNYHGSYEVKPTGSASSLVNGVVRLLSKPWDTITNVTT -MAMTDTTPFGQQRVFKEKVDTKAPEPPEGVRSVLNETTNWLWAFLSRDKRPRLCSREEFKRKVNSNAALG -AMFEEQNQWKSAREAVEDPKFWEMVDEEREAHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLG -ARFLEFEALGFLNEDHWLGRENSGGGVEGLGLQKLGYVLREVGTRTGGKIYADDTAGWDTRITMTDLENE -AKVLELLDGEHRRLARSIIELTYRHKVVKVMRPAADGKTVMDVISREDQRGSGQVVTYALNTFTNLAVQL -VRMMEGEGVITPDDVEKLGRGKGPKVRTWLFENGEERLGRMAVSGDDCVVKPLDDRFASSLHFLNAMSKV -RKDIQEWKPSTGWYDWQQVPFCSNHFTELVMKDGRTLVVPCRGQDELIGRARISPGAGWNVRDTACLAKS -YAQMWLLLYFHRRDLRLMANAICSAVPADWVPTGRTTWSIHARGEWMTTEDMLEVWNRVWIEENEWMEDK -TPVERWSDVPYSGKREDIWCGSLIGTRARATWAENIQVAINQVRAIIGEEKYVDYMSSLRRYEEVTLVED -SVL ->ABV55251.1 polyprotein [Dengue virus 2] -MNNQRKKARNTPFNMLKRERNRVSTIQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAISVLRGFRKEIGRMLNILNRRRRTAGFLIMVIPTVMAFHLTTRNGEPHMIVSKNEKGKSLLFK -TENGINMCTLMAMDLGELCEDTITYKCPFLKQNEPEDIDCWCNSTSTWVTYGTCSSTGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKQAQRIETWILRHPGFTLMAAVLAYTIGTTHFQRALIFILLTAVAPSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKFCIEAKLTNTTT -ESRCPTQGEPSLAEEQDKRFVCRHSMVDRGWGNGCGLFGKGGIVTCAMFTCLKKMEGKVVQPENLEYTIV -ITPHSGEEHAVGNDTGKHGKEVKITPQNSIAEAELTDYGTITMECSPRTGLDFNEMVLLQMENKAWLVHR -QWFLDLPLPWLPGADTQESNWIQKEMLVTFKNPHAKRQDVVVLGSQEGAMHTALTGATEIQMSSGNTLFM -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVKEIAETQHGTMVIRVQYEGDDSPCKIPFEIMDLEKKHVLGR -LITVNPIVIEKDSPINIEAEPPFGDSYIVIGVEPGQLKLNWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSIGGVFTSVGKALHQVFGAIYGAAFSGVSWIMKILIGVVITWIGMNSRSTSLSVTLVLVGIVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFVTDNVHTWTEQYKFQPDSPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITPELNHILSENEVKLTIMTGEIKGVMQAGRRSLKPQPTELRYSWKTWGKAKVLSTELQNQTFLI -DGPETTECPNSNRAWNSLEVEDYGFGIFTTNIWLKLREKQDMVCDSKLMSAAVKDDRAVHADMGYWIESR -LNDTWKMEKASFIEVKSCYWPRSHTLWSNGVLESEMIIPKNLAGPVSQHNYRPGYHTQTAGPWHLGKLEM -DFEFCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VNSLVTAGHGQIDNFSLGILGMALLLEEMLRTRVGTKHALLLVAISFVTLITGNMSFKDLGRVIIMVGAA -MTDEMGMGVTYLALLAAFRVTPTFAVGLLLRKLTSKELLMATIGVVLLSQSNIPGTVLELTDAIALGIMV -LKIVRSMEKYQLAVTVMAMLCTPNVMILQHAWKVGCTILAVVSVSPLLLTSSQQKTDWIPLVLTIKGLNP -TAIFLTTLSRPNKIRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELEK -AAEVKWEDQAEISGSSPILSVTISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPVSIPITAAAWYLWE -TKKQRAGVLWDVPSPPPMGKAELEDGAYRIKQRGIFGYSQIGAGVYKEGTFHTMWHVTRGAVLVHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGFFKTNTGTIGAVSLDFSPGTS -GSPIIDKKGKVVGLYGNGVVTRSGTYVSSIAQTETSVEDNPEIEDDIFRKKRLTIMDLHPGAGKTKRYLP -AIVREAIRRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIKAEHTGREIVDLMCHATFTMRLLSPIRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIVDEEREIPERSWN -SGHEWITNFKGKTVWFVPSIRAGNDIAACLRKNGKRVIQLSRKTFDTEYTKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVVLAGPMSVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTFVDLMKRGDLPVWLAYKVASEGI -NYADRKWCFDGIRNNQILEENMEVEVWTKEGERKKLKPRWLDARTYSDPLALKEFKEFAAGRKSLTLNII -TEMGRLPTFMTQKTRDALDNLAVLHSAEMGGRAYNHALSELPETLETLLLLGLLATVTGGIFLFLMSGRG -VGKMTLGMCCIITASGLLWYAQIQPHWIAASIILEFFLTVLLIPEPEKQRTPQDNQLTYVVIAILTLVAA -TMANEMGFLEKTKKDLGLGGITTQQPEINILDIDLRPASAWTLYAVATTFITPMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALSLLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLEPXPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPISTLWEG -NPGKFWNTTIAVSMANIFRGSYLAGAGLLFSIMRNTTSARRGTGNIGETLGEKWKSRLNALGRSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNMVAPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFIPPERCDTLLCDIGESSPNPTVEAGRTLRVLNLVE -NWLGSNTQFCVKVLNPYMPSVIERMEALQRKFGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMRHKKATYEPDVDLGSGTRNIGIECETPNMDIIGKRIEKIKQEHETSWHYDQDHPYRTWAYHGSY -ETKQTGSASSMVNGVVRLLTKPWDVIPMVTQMAMTDTTPFGQQRVFKEKVDTRTQEPKEGTKKLMRITAE -WLWGELGRRKKPRICTRAEFCNKVRSNAALGAVFTDENKWKSAREAVEDERFWELVERERNLHLDGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGKGLHKLGYIL -RDISKKAGGAMYADDTAGWDTRITSEDLKNEEMITGQMEGRHKKLAEAIFKLTYQNKVVRVQRPTPRGTV -MDIISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGLFKSILHLTPQEEIAVHAWLVREGRERLSRMA -ISGDDCVVKPLDDRFARALTALNDMGKVRKDIQQWEPSKGWSDWTQVPFCSHHFHELIMKDGRKLVVPCR -SQDELIGRARISQGAGWSLRETACLGKSYAQMWSLMYFHRRDLRLAANAICSAVPSHWVPTSRTTWSIHA -SHEWMTTEDMLAVWNRVWIQDNPWMEDKTPVESWEDIPYLGKREDQWCGSLIGLTSRATWARNIQTAINQ -VRALIGEEEYTDYMPSMKRFRSEEEESGVLW ->AGS41451.1 polyprotein, partial [Barkedji virus] -KRVARRALSPVEAAVKLVKNVFVGKGPTRAIMAVMAMLRFLAMRPSASLKQRWHKVDRKEGSKVLGKFRN -VIGDMLKDLNSRKRKSKTSKRGLQQSFVVSCLWTMAACATLGMFDGQPMMKVTQKDVGKEIHVPMRHGNI -SCVVTAMDVGRLCEDSVTYLCPDIDTNERDDIDCWCSGGDVYVHYGRCQGGNGTKRRSKRSVAIAPHGNG -GLSTRKDKWLAADASMLHLQKVERWMISNPGYAAVAVFLGYMLGTTTVQKAVLVILLLMVAPAYSLHCSR -VANRDFVQGVSGGTWIDVVLEDSSCVTIVADGKPSIDLSYGRTLVKDPPVLRTYCIEPTITDTSTVNKCP -SMGEAYNPKRKDHQYVCLRSPSGRGWGNGCALFGTGSVDTCAKFACAKKLRGKQALTENVEHTVTVGVHG -SVHQAKLTDTSHLSTNKLQQVVTLTPKAPEATVDLGDYGSVSINCRKEAGLDLENSIFAILGAGDTSTEV -WLVNRQWFDDLSLPWVSGEEDHWRYMERLVEFEGPHATKQDVTSLGDQEGAVKHSMMGATKMSMSSKNIV -LAAGHMTCRIKMEALKIKGLSFVTCGGTFSFSKQPSDTGHGTVIMEVTTTTSSVPCRLLMGFEDASGKTI -KGRLITVNPIVITANTGVIVEMEAPFGESFITVGSGTTMIKYAWHRKGSSIGAAFATVATGAKRLAIIGD -SAWDFGSVGGFFGSVGKAVHQLFSGVFTGLFGGMSWLTKILIGALFVWIGASARSEKLAIILMAVGGILI -YLATTVAGDVGCNLDVGRKELRCGKGVFVHNDVDAWKDNYKYHPLSPQELAGYVAEAKAKGYCGLSSTTR -MEHLMWQAIAPELNAILEENSVDLTVIVGGSNGSYPKGSGRLVEAAPLSFGWKHWGRRLVFEAPVSNNTF -LIDGEEEKCWFDTRIWNAFEVEDFGVGVFHTSVWLKMNEKDNDECDDAMLGAAIKGEQAVHGDPGMWMES -VKNVTWELARVSFAEIKRCLWPLTHTLWGDSVTESKLIVPPGIAGPRSWHNMRSGYATQINGPWHAAPLE -LKFELCPGTNVTIDRNCTGRRPSARSTNKNGKIIVDWCCKSCTMPPLSFWGSDGCWYAMEIQPVKPNEKT -LIRSWTTAGNATGIDNLSLGVLVMTIMLSRVCQARWDPRNMMKASLVLLVLMILGKVSYSDLARVVILVG -ATFAEMNSGGDLMHLALTATFKLQPGYLIAFVARDFWTPTESLLLVVAGCLAQLAIEMVWTYEELQAMAI -LNSVGMAWLTMKAISLPSTTTVALPLLALLSPLGNWTILGSFKAFVITVAAASFLNRSKSSSHRRGGIAP -FVGLMVTMTTKVSPWYMAVSTLINQWGSGKRSVSMGETWAILGLMFTGLGMATGANSNLWAICAGSGAVL -LILFVMAEKSLDLVLERAGDVAWSAGAARSGSEVRLDIQRNAGGDLDIINRPDMTIAENLLETATMIVNG -FLPGLIPLMKTMFAEKGTQKAGAMWDVPTPPPAMVAGKEDGVYRIIRTAWFGRSQAGVGVMKDKVFHAMW -HCTLGSSVVIDGERMNPAWASVRDDLICYGGSWKLGATWDGTSEVQLLAVPPGGPAENVQTLPGIFNIDG -ESKGAVCLTYPRGTSGSPVINSKGEVIGLYGNGIVLGENFVSVISQADEKDVDSSEYDVDDDMFRKGKLT -VLDLHPGSGKTRKVLPKILEIAAGRRLRTLVLAPTRVVAAEMASALKNLPIRYCTSAVQGTHNGKEIIDL -MCHATYTHRLLNPSRPVNYELIIMDEAHFLDAASIAARGVIATLVEMKAVAAVFMTATPPGRNDPYPPSN -SPIQDTEEMIPSKAWSKGYEWIVEHGGKTVWFVPSVRTGFELGNCLAKLGKKVIHLNRKTFDESYSKAKN -SEWDFIMTTDISEMGANFNADRVIDTRDSYKPVLKCEGGEERVVLEGPMPISAASAAQRRGRVGRRKECT -GDQYVFTGRTSEDNADHVTWTEARIIMDNINVRGGLLANFYGPEQEKCVAATGEYRLRDLERKVFLELVK -VADLPVWLAYQVARNKIAYREREWCFDGPATNAIVEATGETIEITRLRGGIRKLQPRWLDARTYSDASAL -AAFKLFAEGRRGASDIWAITKVLPAHFQNRLIEALDTLIIISRGDDGSRSYKHAVEHAPEALETLLIVVL -LSVLTMGVFILMMRSKGLSKMTLGFMVMVGSTCLLIKAAVPMSQVAGVLIVMFIVMIVLIPEAEKQRSAI -DNDIAKIVIVVLMLALAVTANEKGLLEVTKRDLSGAFGRRSPVVENNITWLEMPDMKPATAWALYAVSTM -FISPVVGHFLNNHYNNVSIASMGQHASILFTMSNGWPALEMTAVVPMVLMGAWSHVDQWAMCGAVGALLV -HYSVLAPGLRAIASRAAQRRAALGLMKNITQDGIPAVDIPEVEAMDPQYEKKMGMWLLIGLAALAMFVRR -SAASFTEAGILTTAALATLTEGNAPRIWNTTTAVAMAHVLRGGYVAAIPLGYTIWRNASVKSARKGTPGG -RTLGQYWKEKMNSMTKADFESYKKSGIWEVDREPARRGLAIKDMATGWAVSRGTAKLNWMVERGYVKPRG -TVIDLGCGRGGWSYLAASLKAVTCVKAFTVGGWGHELPMVRPNYGWNLIQFKSKCDVHWLATQPCDTLMC -DIGESATDPKIEEGRTLRVLDTFEKWLKERKPEHFVCKVLCPYMPNVMMRIERLQRKYGGGLVRVPFSRN -STHEMYWVSGARGNVHTATSELSQVLLKRMGSRKLPIMKDDVIHGSGDRKAQGVADKPDMKALGKRIQRL -KDEFQASWQFDEEHPYKTWTYHGSYETTTTGSASSLVNGVVKLLSKPWDVITEVVNTAMTDTTPFGQQRV -FKDKVDTRTTEPRPGTRRIMEITNRWLWGYLSSKRTPRLCTREEFIEKVNSNAALGAVFKDENQWTSAKE -AVADERFWDLVNTEREHHLRGECHSCIYNMMGKREKKHTEFGEAKGSRAIWFMCLVSRFLEFEALGFLNE -DHWMSREVSLGGVEGLGLPRLGYVLRDLSEKEGGKMYADDTAGWDTRITEADLEDERSVIQMMGQEHAKL -AEAVMNLTYHHKVVRVMRPGKKGVTLMDVISRKDQRGSGQVVTYALNTFTNLKVQLMRMMESEDVIGARN -ICKLTHDEEVEIENWLDMFGEERLGRLAVSGDDCVVRPIDDRFATSLFHLNEMGKIRKDMPECEPSKGWS -DWQSVPFCSHHFHELSMKDGRTLVVPCREQDELIGRARVSPGAGWTIRETAALSKAYAQMWKLNYFHRRD -LRLMANAICSAVPVDWVPSGRTTWSLHGRGEWMTNEDMLDVWNRVWIEDNPHMHDKRRVDNWTEIPYLGK -REDMWCGSLINVPSRATWAENIHTAVHQVRMLIGDEKYKDYLAGMARYTSDCQLPTAGVL ->AJY53441.1 nonstructural protein 5, partial [Mosquito flavivirus] -LGSRFLEFEALGFLNADHWVSRENFPGGVGGIGVNFFGYYLKDISSRGKYLFADDIAGWDTRISAEDLED -EETLLTELTRDPYHRALMTSVMRLAYQNIVAMFPRTHAKYGSGTVMDVVGRRDQRGSGQVVTYALNTITN -GKVQIARVLESEGLLNADPQVIDNWLTKHLEETLGNMVIAGDDVVVSTNNRGFASSLEYLELTGKTRKNI -PQGAPSRMENNWERVEFCSHHFHEMTLKDGRILIVPCRHENEIVGRSRLQKGGEVNLADSACMAKAYAQM -WALYFFHRRDLRLGFAAISSSVPTNWFPIGRTSWSIHQ ->AIU94741.1 polyprotein [Israel turkey meningoencephalomyelitis virus] -MSNKKPGKPGATRVVNMLKRGASRGNPISRIKRMLDGLLRGVGPVRIVLAILTFFKFTALKPTAGLLRRW -KLVGVVEATRHLKSFRKVIGQMLDGLNRRGKKKRGGTTVSVVILVVLGVAQAIKIGSLNGRPLVTVNQAD -VLEAVMIPTAGGNNRCVVKALDVGLMCPDDITYLCPKLEDGYDPEDIDCWCNTTEIYIHYGRCTPTRHAR -RSRRSITVHHHGESLLEAKNTPWMDSTKATKYLTKVENWAIRNPGYALVAAIIGWNLGTTKSQKIIFTIM -LMLIAPAYSFNCLGMQNRDFVEGVNGVEWIDVVMEGGGCVTITAKDKPTIDVKMMNMEATDLALVRSYCY -EPKITDVSTEPRCPTMGEAHNTKANNQEYVCKKGYTDRGWGNGCGLFGKGSIHTCAKFECTNKAEGRIVQ -KENVHFELAVFVHGSTEMGTYNNYFIQQSLKQAAKFIVTPKAPVYTAELNEYGTVTLECEPRSGMDMSQF -YVLTMNSKSWLVNRDWFHDLNLPWTGSSAGSWQNRESLVEFEEAHATKQSVVALASQEGALHAALAGAIP -VKYASGKLEMTSGHLKCRVKLQGLKLKGMTYPMCSNKFTLAKNPTDTGHGTVVVELSYSGSDGPCRIPIS -MTANLQDLTPIGRLITVNPYVSTSSSGTKVVVELEPPFGDSFILVGTGGNQIKYQWHKSGSSIGKAFMST -VRGAQRMVALGDTAWDFGSVGGFFTSLGKGIHQVFGTAFRSLFGGMSWITQGLLGALLLWMGLNARDRSI -SLTFLVVGAILIFLATNVHADTGCAVDMDRKELKCGSGIFIFNDVETWRDNYKYYPSTPRNLAKVIMESR -EKGICGVRSVGRLEHNMWNSIKHELNAMLEDNGQDLTVVVEQPTGRFRKTHRRLVWTDEELEYGWKKWGK -TLFVTPPMSNNTFVVDGPKTRECPDENRAWNSLEVEDFGFGLTATKVWLGLRKENTTECDTAVMGTAIKG -DKAVHSDLSYWIESRNNGSWKLERAVLGEVKSCTWPDTHTLWGESVQESELIIPVTLAGPRSKHNMRPGY -KVQVSGPWDERDITIDFDYCPGTKVTVTPTCRDRAASARTTTASGKLITDWCCRSCTLPPLRYMTKSGCW -YGMEIRPTVHGDDMLIKSRVTAYDGAGMEPFQLGVLMMFVATQEVLRKRWTGRMAGPALVGLLCAMIFGG -ITYRDMVKYVLLVAAAFAESNSGGDVIHLALIATFNVQPGLLVAYSLRRKWSNQDATLLGVALAMITMAL -HDWNMTIPSLLNSGATAWLLLRAVSEGTVSAACIPILGLLAPGMKIVGVDVFRIGLLIIGVMSLLKERNN -AIAKKKGGVLIGLALAQDGWVNPLVYAGLTLALKPSNRRGWPVSEALTAVGLTFALAGGIAHFDEGDMAI -PLAVGGIMLVVAVVTGFTTDLWLERAGDISWIEDAQITGSSQRYDVEIDCDGNMKLMNDQGVPFSIWALR -TGLILASAYNPYILPVTLGAYWMTIHSPKRSGAIWDVPAPREKKRAELSTGVFRIMARGILGKYQAGVGV -MFDGVFHTMWHVTNGATINVGDGCLVPYWASVRDDLISYGGPWKMGTKWNGIDEVQVIVVQPGKSVMNVQ -TKPGVFKTSTGEIGAVTLDYPTGTSGSPIVDKEGNIIGLYGNGILVGAGKFVSMISQGQRMEEEIPQVFS -EDMLRKRQLTVLDLHPGSGKTRKVLPQIVKTAIDRRLRTAILAPTRVVAAEIAEALKEYPIRYLTPAVKR -EHTGTEIVDVMCHATLTSRLLTPQRVPNYNLFVMDEAHFTDPASIAARGYISTKVELGEAAAIFMTATPP -GTRDPFPDSNSPIVDVEEQIPDRAWNSGYEWITDYTGKTVWFVPSVKMGNEIAVCLTKAGKKVIQLNRKS -FDSEYPKCKTGEWDFVITTDISEMGANFGASRVIDSRKCIKPVITEDGEGSVQLNGPVPITAASAAQRRG -RIGRSYVQVGDEYHFSGPTSEDDHDFAHWKEAKILLDNINLPNGLVAQLYEPEREKVFSIDGEYRLRTEQ -RKNFVEFLRTGDLPVWLSYKLAEAGVAYHDRRWCFDGPSINTVLEDNNPVELWTKSGEKKILRPRWRDGR -LWADHQALKSFKDFACGKRSAIGVFEVVKMLPEHFAHRMGESMDNLYMLTTSEKGSRAHREALEELPETL -ETILLITMMTLASCGVFLFFVQRRGLGKTGLGALVLMTVTGLLWVAEVQPQKIAGILLISLLLMIVLIPE -PERQRSQTDSHLAVFMILVLLIVGTVASNEMGWLEQTKKDVASLFGRAHHQEPSRWEMPWPDLRPATAWA -AYAGATTFLTPLLKHLIVTEYVNFSLMAVTAQAGALFGLGKGMPFVAIDLSTPLLLLGCWGQFTMTTTLT -TIMLLIIHYAFLIPGWQAEAMRSAQRRTAAGVMKNPVVDGIVATDVPDLEASTPITEKKFGQVILIVVAL -CSVFLKPGTTSLTEFGMLTSAASVTLIEGAAGRIWNATTAVAMCHLMRKNWLAGASLAWTISRNLQNGSM -RRGGGKGRMLGEIWKAQLNQLTRQEFMEYRKDGIIEVDRAPARKARREGNVTGGHPVSRGTAKLRWLVER -GFLKPRGKVVDLGCGRGGWSYYCATLKMVQEVKGYTKGGPGHEEPMMMQSYGWNLVSLKSGVDVFYRPAE -HSDTLLCDIGEASPVPEIEEARTLKVLQTVDEWLARGTEEFCIKVLCPYMPRVLKELERMQSRWGGGLVR -VPLSRNSNHEMYWVSGASGNITNAVNTVSQMLINRMNRTNRNGPKYEEDVHLGSGTRAVLTKKVKTNWKM -VEDRVTRLAEEFSTTWHHDTENPYKTWNYHGSYEVKATGSASSMVNGVVKVLSKPWDALQNVVNMAMTDT -TPFGQQRVFKEKVDTKAPEPPRGTSEVMKITARWMWDFVGRNKRPRICTKEEFIEKVNSHAALGAIFKEQ -NKWASAREAVEDPAFWELVDQEREAHLQGRCETCIYNMMGKREKKMGEFGKAKGSRAIWYMWLGSRFLEF -EALGFLNEDHWMGRENTLGGVEGMGLQKLGYVLRDMAGKEGGLMYADDTAGWDTRITKADLENEALILEK -MDPEHRRLAESLIKFAYMNKVVKVMRPGREGVTVMDVISREDQRGSGQVVTYALNTFTNLCVQLIRCMEG -EGLLKPEEVEGLERGKHKKIQDWLGKNGRERLAAMAVSGDDCVVKPKDDRFATALHFLNSMSKIRKDIPE -WKPSTGWRNWQDVPFCSHHFHELNMKDGRTIVVPCRHQDELIGRARLSPGSGWSLTETACLSKAYGQMWL -LMYFHRRDLRLMANAICSSVPISWVPTGRTTWSIHGKGEWMTTEDMLRVWNRVWIEENEHMEDKTPVASW -NDVPYLGKREDSWCGSLIGHRTRSTWAENIYTPIMQIRALIGPERYVDYMPTLNRFRAVETWSDGVL ->AIU94742.1 polyprotein [Naranjal virus] -MARKPGKPGVGRVVNMLKRTATNAASPVRLAKRLLGEAFSGRGPLRVILAVIAFFRFTAIKMSPALLKKW -GTVEKGAALAIMKSFKKEIGNMLDTVSRRKTKGKKKRSSESSAMLILMVASMAMGLKVITGPDGPIMAVT -KQDVGKALEIPFQNFNNTCWVMAMDVGHPCDDTIEYECPSLDIGADPSDIDCWCDTVPIRVRYGRCTKGA -SPRRSRRSTAFPQHTESTLATRRESWLNTDVAMKHLVKVETWALRNPGFAFVAITLGWMLGNSTSQKIIF -TLLLLLVAPAYSMRCIGVENRDFVEGLSGGTWVDVVLEHGGCVTVRVDGKPTLDFELVQTKATGLATVRS -YCYLAKVSDIQISTACPTVQLTENSKATDSNYLCKRGVTNRGWNNGCGLFGKGDIHTCAKFECEKKIKGS -SIGHENLEYEVRASIHNSIGSDKFSNETAADEEPHTQKFKFTPLSPSLEKSFGDYGTLGIDCEPRSGLDF -SQLYLITMDTKSWLVNRDWYHDLHLPYTVGDGGLWNNREALVEFMEPHATKQEVLALGSQEGAIHSALAG -AMIATRETASPHGLLLTAGHLKCRIRMDKMVIKGVTYAQCTGSFKMEKSPADTGHGTVVLDISYQGSEAP -CKVPIVITPNLAEIEPVGRLVSAHPVVTGKNVRTMIEVEPPYGDSYIIIGTGDGKLKQHWYKKGSVIGGA -FSTTMKGAKRLAILGDAAWDFGSIGGVFNSMGKAVHQLFGGVFRTLFGGMSWLSRIFIGVLCVWIGINAR -DHTIAVSMLAVGGVLLFLSVSVNADMGCAVDIERRELKCGTGIFIMDDVESWRDEYSFHPSSPKKLAASI -VEAVESGTCGIRTVNRMEHKMWESIENELNAILEENEQDITVVVKDLENPAPKGFKRLPLVEKELKYGWK -KWGATFFKHPERKNTTFLVDGPSGPECPNSQRAWNSFHVEDFGFGVFKTSVWMELNDQVTEVCDTKMIGT -GIKNNKAVHSDLGYWIESRKNHSWEISRARLIETKSCIWPRSHTLWSDGVEETQLIIPKSLGGPRSRHNM -RNGYKTQVNGPWNLIPLDIKFEECPGTSVTVTQNCGGRGPSARSTTASGKIIAEWCCKDCVLPPLTFRHG -ETCWYGMEIRPMKEKEENLVKSKVSAGDGSGIDTFSLGLLVAMLVTQEGLRKRWATRHIVVASLAMLAAM -ITGNITYMDLGRYIILLGATFAEMNSGGDIMHLALVAVFKVQPGFLVGFLLKRRWTPRESMLLAISACFM -HLVFSDFQTDLTSLAHNFSLALLVLRAVIQTDVSSVTLPVLSMMAPAFQFSVLGTFRMAVAIYVVANLML -SRKNDAVKKAAPSLTAAGLCHLGIVNPMAALGSLYLLERNNRRSWPPSEIFSAVGVLCALVGALGNVQAT -PLAGPMAACGLLIAAYVVTGKSTDITIERAGLISWSDEAEISGSSPRVDVALDENGDFSLIDSPGPSTET -VLLKTALVAISGLFPVSIPFCAAAWYLYNKSGKRAGALWDIPAPKEAKKGSTENGVYRIFANRLFGRVQV -GVGVMQDGVFHTMWHVTRGAALRSGDGRLDPYWGDVRKDLISYGGPWKLEGKWDGTSEVQLVAVAPKEKV -KNVQTTPGVFKTPNGEVGAITLDFPAGSSGSPIINKNGEVIGLYGNGLMMGDAYASAIAQAEVEDEPDTP -NCLPPDVTHKKKLTVLDLHPGAGKTRKVLPRLLQEALEKRLRTVVLAPTRVVAAEMAEALKGMPIRYQTS -AVTASHQGNEIIDLMCHATFTSRLMQPHKVPNYNLYIMDEAHFTDPASIAARGFISTKVSLGEAAAVFMT -ATPPGSDNPFPASNAPITDTEAQIPDKAWSSGFDWITEYEGKTVWFVPSVRMGNEIAACLGKAKKKVIQL -SRRTFNTEYPKCKQGDWDFVVTTDISEMGANFKATRVIDSRRAIKPSIMSDQEERVVLSGPLPISPASAA -QRRGRIGRNPNQLGDEYVFSGLTQSNDEGNACWTEARMLLDNIHMQNGLIAQLYGPEQDKCFATDGEFKL -REKERASFLEYLKADLPVWLSYKVASSGLPYHDRRWCFDGPDNNLVLEDNVPVEIWTRSGERKKLRPRWS -DARMYADHGALNSFKEFAAGRRTVATGILEGVGKLPEHLGARLKDSIDVLYLAFTAEAGSRPHREAMHEL -PAALETILVFALLMALTGTTFFLLMRHKGINKMGYGMIVMTAVGGLLWYGNIQAPKIAGILLLTFLLMVV -LIPEPEKQRSIQDNQLALAVLGCLVFLGTVAANEMGWLERTKRDIGSLFRKEETTAQFQMLSPPDLRPAT -AWSLYAISTTLLTPLIHHMITTHYANFSLMAMANQAGSLFGMQTGAPFSKVDWAVPAIVVGCWQQLTPTT -LLAAVVLVVLHYVYMIPGWQAGAARAAQRRTAAGIMKNPVVDGIVVTDIPHLEDVDPLVEKKLGQYILLL -IAFAAAILKQDLQSWSECATLSAAAAATLWEGTPGKIWNASTACSLVNIFRGHTLAAVPFMYTILRNTST -AGKRGGVEGETLGEKWKHMLNSMDKYEFSRYKVNGIFEVDREPARMALANGLVTGGHAVSRGSAKLRWMV -ERGAVRPTGRVIDLGCGRGGWSYYCATLKQVQEVRGFTKGGEGHEEPKMVQSYGWNIVTLKSGVDVFHRP -AEMGDTILCDIGESSATPEIEEARTLRVLDMIEPWLKNKPEFCIKVLCPYRPKVIEKLSALQRQYGGGLL -RVPLSRNSTHEMYWTSGTAGNIINAVNLTSKVLIHRMEKKWVGPRYEKDVNLGSGTRAVTVKRKAPDMEK -IGPRIKKLREEHSSSWCYDEMNPYRTWNYHGSYEVKPTGSASSMINQVVKMVSKPWDALNSVTSISMTDT -TPFGQQRVFKEKVDTKAPEPPRGVAEAMDMVADWVWMILSRKKKPRLCTREEFKAKVNGHAAMGAVFEEE -QQWQTAREAVEDPEFWDLVDKERALHLEGRCETCVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEF -EALGFLNEDHWLSRENSYAGVEGLGLQRLGYVLRDISYRPGGKMYADDTAGWDTRITEKDLENEAKITEK -MEGEHKKLAKAIIELTYKHKVVKVMRPGPGGKTFMDVISREDQRGSGQVVTYALNTYTNMVAQLIRCAEA -EGVLTPDMRERSLTKQERYALTLWLDMEGVKRLKKMAISGDDCVVKSEDERFATALYFLNAMAKVRKDIQ -EWKPSSGWLDWQEVPFCSHHFKELQLKDGRSIVVPCRHQDELVGRARVSPGAAWGVRESAGLAKAYAQMW -KLMYFHRRDLRLMANAICSAVPKDWVPTGRTTWSIHGKGEWMTNEDMLEVWNRVWIRENPYMTDKTEVHD -WKEIPYLGKREDQWCGSLIGSRARATWAENIWVAVNQVRAKIGKEEYLDYLSSQQRYEVWREPSFSGVL ->AAN77512.1 NS5 protein, partial [Ilheus virus] -RTLGEQWKEKLNAMSREEFFKYRREAIIEVDRTEARRARRENNIVGGHPVSRGSAKLRWLVEKGFVSPIG -KVIDLGCGRGGWSYYAATLKKVQEVRGYTKGGAGHEEPMLMQSYGRNLVSLKSGVDVFYKPSEPSDTLFC -DIGESSPSPEVEEQRTLRVLEMTSDWLHRGPREFCIKVLCPYMPKVIEKMEVLQRRFGGGLVRLPLSRNS -NHEMYWVSGAAGNCGGCYGDIIHAVTVTSQILVQRMEQRLPTGPRYEEDVCLGSGTRAVATQASPSDHTK -IKHRLERLRKEFSATWHIDLEHPYRTWHYHGSYEVQPTGSAYSMVNGVVRLLSKPWDAITSVVTMAMTDT -TPFGHRWVFKEKVDITAAQPSAGEELLSTDITNRLYELLLEQNPVGTRTDDDCMHPAVRSVALTTVVPIL -PPLSTHTQAAPHTRWWATHSEYCVHILRVECQKSVFDMMGKREKITGEFGKASGSRAIWYMWLGARFLEF -EAVAFLKEDHWLSSSRTSTGGVCRRVQELPENFGYNARNLRDHKWHDDYARCEARMTNIDREDERIKSNR -SYAQDRIVNCEIARLTTRHKVVKVMRPARGGKTVMDIISREDQRRSGQVVTYALNTFTNLAVQLVRCMEA -EELLDGDDVHRLSPKTDISVRSELVIKGGERLSRMAVSGDDCVGKPLECGRATPLYWVSQLKKTMIYICG -RCTRGHRRHYCYAVGVYSDRCDACWTHRSSCVQVTCHGQDDLIGTPCRSTQAPRNVKFTACLAKAYAQRW -LLLYVHRTDFILMAYAIRSAVPVDWVPTGRTSWSIHSKGEWMTTEDKLQVWNRVWIEDNEHMEDKTPITS -LIGTRQRATWAENIFTPIMQIRNLIGDENMWTAVSQHR ->ACD93606.1 NS5 protein, partial [Calbertado virus] -LVLWLGSRYLEFEALGFLNADHWVSRENFPCGVGGVGVNYFGYYLEEIARHGTYLIADDVAGWDTKITQS -DLDDEEWFVLKLIKDPYHAKLVKALYANAYRHIVALFPRDHPRFGSGTVIDVVVRTDQRGSGQVTTYAMN -TITNGKTLVGRAIEADGLLDASADEIWNWLERNCEKFLAGCVVAGDDAVVATNNSKFINSLSYINTAGKI -RKDIGIDSPSRFSTNWEEVEFCSHHFHKLVLKDGRSLIVPCRDQHEVIGRSRIQKGGVVSLAASACLAKA -YAQMWALYFFHRRDLRMGFAGISASVPKDWFPTGR ->AFZ40123.1 polyprotein [Dengue virus 2] -MNNQRKKARNTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFKKEIGRMLNILNRRRRTAGMIIMLIPTVMAFHLTTRNVEPPMIFSRQEKGKSFLFK -TKDGHDMCTFMAMDLGELCEDTITYKCPFLKQNEPEDIDCWCNSTSTWVTYGTCTTTGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKHVQRIETWILRHPGFTIMAAILAYTIGTTHFQRVLIFILLTGVAPSMR -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDLELIKTEAKQPATLRKYCIEAKLTNTTT -DSRCPTQGEPTLNEEQDKRFVCKHSMVDRGWGNGCGLFGKGAIVTCAMFTCKKSMKGKIVQPENLEYTVV -ITPHSGEEYAVGNDTGKHGKEVKITPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMEDKAWLVHR -QWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRHVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPGQLKLDWFKKGSSIGQMLETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFVTDNVHTWTEQYKFQPESPSKLASAIQKAHEEGICGIRSVTRLEN -LMWKQITLELNHILSENEVKLTIMTGDIKGIMQVGKRSLRPQPTELRYSWKTWGKAKMLSTELHNQTFLI -DGPETAECPNANRAWNSLEVEDYGFRKYKTKIWPTLKEWRKVFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKSCHWPKSHTLWGNGVQESEMVIPKNFAGPVSQHNNRPGYYTQTAGPWHLGKLEM -DFDFCEGTTVVVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEAGCWYWMDIRPLKEKEKNL -VSSLVTAGHGQIDNFSLRILGMALFLEKMLMTRLGTKHAILLVAVSFVTLITRNMSFKDLGRVMVMVGAT -MTDDMRMGVTYLALLPAFKVRPTFATGLLLRKLTSKELMMTTIGIVLFSQSSIPETILELTDALALGMMV -LKMVRNMEKYQLAVTIMAILCVPNAVILQNAWRVSCTILAVVSVSPLFLTSSRQKADWIPLALTIKGLNP -TAIFLTTLSRTSKKRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELER -AADVKWDDQAEISGSSPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPVSIPITAAAWYLWE -VKKQRAGVLWDVPSPPPVGKAELEDGAYRIQQKGIFGYSQIGAGVYKEGTFPTMWHVTRGAVLMHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFKTNTGTIGAVSLDFSPGTS -GSPIVDKKGKVVGLYGNGVVTRSGAYVSAIAQTEKSIEDYPEVEMDIFRKKRLTIMDFHPGAGRQKRYFP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPIRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIMDEEREIPERSWN -SGHEWVTDFKGKIVWFVPSLKTGKYIAACLRKNGKRVIQLSRKTFDSEYVKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTLLELIRGGPIPVYLGYKWPGEGI -NYADSRLCFDGIKNNQILEENVEVEIWTKEGERKELKPRWLDARIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKARGALASLMVLHTAEAGGRAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGRG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLTVLLIPEPEKQRTPQDNQLTYVIIAILTVVAA -TMANEMGFLEKTKKDLGLGNIATQQSCKKILDIYLGPALPWKLYAGGTTFITPMLRHSIENSSVNVSLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALFLLVAHYAIIGPGLQAKPTREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLVLCVTQVLMMRTTWALCEALTLATGPVSTLWEG -NPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTSTRRGTGNIGETLGEKWKSRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFDERNLVTPEGKVVDLGCGRGGWSFFCGGLKNVRE -VKALTIGGPGHEEPILMSTYGRNLVRSQSGVVVFHTPPEKCDTSLRDIGESSPNPTVEAGRTLRVLNLVE -NWLNNNTQFCIKVLNPYMPSVIEKMEALQRKYGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMRHKKATYEPDVDLGSGTRNIGIESETPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWGSHGSY -ETKQTGSASSMVNGVVRLLTKPWDVVPMVTQMAMTDTTPFGQQRVFKEKVDTRTQEPKEGTKKLMKITAE -WLWKELGKKKTPRMCTREEFIKKVRSNAALGAIFTDENKWKSAREAVEDGRFWELVDKERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYIL -REVSKKEGGAMYADDTAGWDTRITLEDLKNEERKTNHMGGEHRKLARGIFKMNLTNKVVRVQRPTPGGTL -MDIISRKTQRGSEQVGTYGLTTLTNLEAPPIRQMEGKGVFKGHQHRTASEENAVQDWLARVGGERLSRLA -ISGDDCVVKPLDDRFARALTALNDMGKVRKDIQQWEPSRGWNDWTQVPFCSHHFHELIMKDGRTLVVPCR -NQDELIGRARISQGAGWSLRETACLGKFYAQRWSLMYFHRRDLRLAPNAICSAVRTHWVPTSRKTWPIHA -SHDWMTTEVMLTVWNKLWFQENPWMEDKTPVGFWEEIPFLEKGKDQWGGSLIGLTSRPPWAKNFQPAINQ -VRSLLCNEESADFMPSMKRFRREEEEAGVLW ->AFY22653.1 polyprotein [Murray Valley encephalitis virus] -MSKKPGGPGKPRVVNMLKRGIPRVFPLVGVKRVVMNLLDGRGPIRFVLALLAFFRFTALAPTKALIRRWK -SVNKTTAMKHLTSFKKELGTLIDVVNKRGKKQKKRGGNETSVLMVIFMLIGFVATLKLSTFQGKIMMTVN -ATDIADVIVIPTPKGPNQCWIRAIDIGFMCDDTITYECPKLESGNDPEDIDCWCDKQAVYVNYGRCTRAR -HSKRSRRSITVQTHGESTLVNKKDAWLDSTKATRYLTKTENWIIRNPGYALVAVVLGWMLGSNTGQKVIF -TVLLLLVAPAYSFNCLGMSSRDFIEGASGATWVDLVLEGDSCITIMAADKPTLDIRMMNIEATNLALVRN -YCYAATVSDVSTVSNCPTTGESHNTKRADHNYLCKRGVTDRGWGNGCGXFGKGSIDTCAKFTCSNSAAGR -LILPENIKYEVGVFVHGSTDSTSHGNYSTQIGANQAVRFTISPNAPAITAKMGDYGEVTVECEPRSGLNT -EAYYVMTIGTKHFLVHREWFNDLLLPWTSPASTEWRNREVLVEFEEPHATKQSVVALGSQEGALHQALAG -AIPVEFPSSTLKLTSGHLKCRVKMEKLKLKGTTYGMCTEKFTFSKNPADTGHGTVVLELQYTGSDGPCKI -PISSVASLNDMTPVGRMVTANPYVASSTANAKVLVEIEPPFGDSYIVVGRGDKQINHHWHKEGSSIGKAF -STTLKGAQRLAALGDTAWDFGSVGGVFNSIGKAVHQVFGGAFRTLFGGMSWISQGLLGALLLWMGVNARD -KSIALAFLATGGVLLFLATNVHADTGCAIDITRRELKCGSGIFIHNDVEAWIDRYKYLPETPKQLAKVVE -NAHKSGICGIRSVNRFEHQMWESVRDELNALLKENAIDLSVVVEKQKGMYRAAPNRLRLTVEELDIGWKA -WGKSLFFAAELANSTFVVDGPETAECPNSKRAWNSFEIEDFGFGITSTRVWLKLREENTSECDSTIIGTA -VKGNHAVHSDLSYWIESGLNGTWKLERAIFGEVKSCTWPETHTLWGDAVEETELIIPVTLAGPRSKHNRR -EGYRVQVQGPWDEEDIKLDFDYCPGTTVTVSEHCGKRGPSVRTTTDSGKLVTDWCCRSCTLPPLRFTTAS -GCWYGMEIRPMKHDESTLVKSKVQAFNGDMIDPFQLGLLVMFLATQEVLRKRWTARLTLPAAVGALLVLL -LGGITYTDLVRYLILVGSAFAESNNGGDVIHLALIAVFKVQPAFLVASLTRSRWTNQENLVLVLGAAFFQ -MAASDLELTIPGLLNSAATAWMVLRAMAFPSTSAIAMPMLAMLAPGMRMLHLDTYRIVLLLIGICSLMNE -RRKSAEKKKGAVLIGLALTSTGYFSPTIMAAGLMVCNPNKKRGWPATEVLTAVGLMFAIVGGLAELDIDS -MSIPFTIAGLMLVSYVVSGKATDMWLERAADVSWEPGAAITGTSERLDVQLDDDGDFHLLNDPGVPWKIW -VLRMTCLSIAAITPWAIVPSAFGYWLTLKYTKRGGVFWDTPSPKVYPKGDTTPGVYRIMARGILGRYQAG -VGVMHEGVFHTLWHTTRGAAIMSGEGRLTPYWGNVKEDRVTYGGPWKLDQKWNGVDDVQMIVVEPGKPAI -NVQTKPGIFKTAHGEIGAVSLDYPVGTSGSPIVNSSGEIIGLYGNGVILGNGAYVSAIVQGERVEEPVPE -AYNPEMLKKRQLTVLDLHPGAGKTRRILPQIIKDAIQKRLRTAVLAPTRVVAAEMAEALRGLPVRYLTPA -VQREHSGSEIVDVMCHATLTHRLMSPLRVPNYNLFVMDEAHFTDPASIAARGYIATRVEAGEAAAIFMTA -TPPGTSDPFPDTNSPVHDVSSEIPDRAWSSGFEWITDCAGKTVWFVASVKMGNEIAQCLQRAGKRVIQLN -RKSYDTEYPKCKNGDWDFVITTDISEMGANFGASRVIDCRKSVKPTILDEGEGRVILSVPSAITSASAAQ -RRGRVGRNPSQIGDEYHYGGGTSEDDTMLAHWTEAKILLDNIHLPNGLVAQLYGPERDKTYTMDGEYRLR -GEERKTFLELIKTADLPVWLAYKVASNGIQYNDRKWCFDGPRSNIILEDNNEVEIITRIGERKVLKPRWL -DARVYSDHQSLKWFKDFAAGKRSAIGFFEVLGRMPEHFAGKTREALDTMYLVATSEKGGKAHRMALEELP -DALETITLIAALGVMTAGFFLLMMQRKGIGKLGLGALVLVVATFFLWMSDVSGTKIAGVLLLALLMMVVL -IPEPEKQRSQTDNQLAVFLICVLLVVGLVAANEYGMLERTKTDIRNLFGKPLIEENEVHIPPLDFFTLDL -KPATAWALYGGSTVVLTPLVKHLVTSQYITTSLASINAQAGSLFTLPKGIPFTDFDLSVALVFLGCWGQV -TLTTLIMATILVTLHYGYLLPGWQAEALRAAQKRTAAGIMKNAVVDGIVATDVPELERTTPQMQKRLGQI -LLVLASVAAVCVNPRITTIREAGILCTAAALTLWDNNASAVWNSTTAIGLCHVIRGSWIAGASIAWTLIK -NAEKPAFKRGRAGGRTLGEQWKEKLNAMGKEEFFSYRKEAILEVDRTEARRARREGNKVGGHPVSRGTAK -LRWLVERRFVQPIGKVVDLGCGRGGWSYYAATMKNVQDVRGYTKGGPGHEEPMLMQSYGWNIVTMKSGVD -VFYKPSEISDTLLCDIGESSPSAEIEEQRTLRILEMVSDWLSRGPKEFCIKILCPYMPKVIEKLESLQRR -FGGGLVRVPLSRNSNHEMYWVSGASGNIVHAVNMTSQVLIGRMDKKIWKGPKYEEDVNLGSGTRAVGKGV -QHTDYKRIKSRIEKLKEEYAATWHTDENHPYRTWTYHGSYEVKPSGSASTLVNGVVRLLSKPWDAITGVT -TMAMTDTTPFGQQRVFKEKVDTKAPEPPQGVKTVMDETTNWLWAYLARNKKVRLCTREEFVKKVNSHAAL -GAMFEEQNQWKNAREAVEDPKFWEMVDEERECHLRGECRTCIYNMMGKREKKPGEFGKAKGSRAIWFMWL -GARFLEFEALGFLNEDHWMSRENSGGGVEGAGIQKLGYILRDVARKPGGKIYADDTAGWDTRITQADLEN -EAKVLELMEGEQRTLARAIIELTYRHKVVKVMRPAAGGKTVMDVISREDQRGSGQVVTYALNTFTNIAVQ -LVRLMEAEAVIGPDDIESIERKKKFAVRTWLFENAEERVQRMAISGDDCVVKPLDDRFSTALHFLNAMSK -VRKDIQEWKPSQGWYDWQQVPFCSNHFQEVIMKDGRTLVVPCRGQDELIGRARISPGSGWNVRDTACLAK -AYAQMWLLLYFHRRDLRLMANAICSSVPVDWVPTGRTTWSIHGKGEWMTTEDMLSVWNRVWILENEWMED -KTMVSDWTEVPYVGKREDIWCGSLIGTRTRATWAENIYAAINQVRSVIGKEKYADYMQSLRRYEETHVSE -DRVL ->AAV34154.1 polyprotein [Iguape virus] -MSKKPGRSGNSRIVSMLKRGPSRVPNPVDLVKRTVGKLINGVGPLRLVLAMLTFFRFSALKPTAGLVKRW -TKMKPAPAVKILQNFRKQLGSMIQTLSNRKKKRGLHGLGLLVLVPITLCFQTGNYNGKVMITVDKHEVGV -PFVIPQHGVKNTCVVKALDVGYQCDNSVTYECPVLESQYDPEDIDCWCSHKPIYINYGRCLKGRENRRSK -RETHLPQHSEATLTSRKETWLEGQNVMKYMMRVESWALRNPGFALVACVIGWSLGSTTSQKIIYIMLLLM -VAPAYSLRCIGVENRDFIEGISGGTWVDLVLEHGGCVTVRAEGKPTLDIELTNSFATGMAETRKYCYECE -VSKITTVNHCPQMGEAHNSASSDPKQVCKKGYSDRGWNNGCGLFGKGSLETCAEFACKKEAPGHVIRKEN -LEYNVMVAVHGSVPSENAGNDTSHAVKLSFTTASPVATGKLGDFGEVSMECEPRSGLDFEQYYMFTIDKN -SWLVDRSWFHDLNLPWKGGSAGDWQNKQSMVEFAEPHATKQDVLALGSQEGALHSALGGAIPMKGTSSKV -DITAGHLKCRLKMDKLLIKGTTYHMCAKAFTMKKDPTDTGHGTVVMELTYKGIDVPCRVPITIARSPNDG -EMVGRMVSVNPLAMTTSSVFMVEVEPPYGDSNIIVGSYDNVLKHHWFKPGSSIGGAFKTTWKGVKRLTVL -GDSAWDFRSVGGVITSMGKAIHQVFGGIFSAIFGGVSWFTNIFIGALCVWLGISARDRSIALTFLSVGAI -LLFLSLGVGADSGCGIDIARMELKCGSGIFVFNDVETWTEQYQYHPSTPGALAAAIQKGYKEGICGARST -TRLEHKMWEQVANEINAVFESNDINLSVVVKAEVNPKVRGKKRLKPADSALPIGWKSWGKKHILTVELSN -NTFIVDGAEKKECSDSNRTWNTFQVEDFGFGVTKTQVFLDVREDNTDECDTAVIGSAIKGERAVHSDMGY -WIESGNSDTWRLERAFLIETKTCEWPNTHTLWSDGVEDSKLIIPRSLAGPRSHHNTRKGYATQIKGPWNH -VPLEIKFENCPGTTVTIDRNCGDRGASAGSTTASGKTIPDWCCRSCTLPPLSYHTSDGCWYGMEIRPKDR -KKRMCLVKSKVSAGKGSPPDSFSLGLLVSMIMVQEGLRKRWGARHFLTAGAFLLAAMILGEISYLDLAHY -FVMLEATFTQINDGGDVLHLALVAVFKVQPAFLVGFLVRNAWTPRESVLLALGATLIQLTSGNIPTDVMM -VLNTLATAWLGLRALVVGTTPSVILPILAVMAPALPISPLGAYQAMVAHLLVLTLMMSTKRTSLKKHGPP -LLALIGTFTGWFNPLSCATIDMMVSNLKKRSWPASEAMTAVGVVCALVGGIANLGDTPLAGPMAACGLLV -MAYVVSGKSTDLYIEKACQVEWSHEAEVTGSSPRLDVAIDENGDISLIEGEYNSMEQTLVYVGLLALVGF -FPLAIPFAAAGWYLYLKSGRRAGALWDIPSPTVKKPASTEPGVYRIMAARLIGSSQIGVGVMYEGVFHTM -WHVTRGAALRCGAGRLDPTWGDVKGDVISYGGPWKLTEKWDGTSEVQLVAVAPGKRSQNVQITPGIFNTT -KGPVGAVILDYPPGTSGSPIINKDGKTIGLYGNGVVLAGGTYVSMITQPEAPTPEAPDCITDNMFKKKQL -IVLDPHPGAGKTRKVLPEFIRQAVERRLWTLALAPTRVVAAEMAEALNGLPVRYLTPAVIQQHTGKEIVD -LMCHATFTMRLLSGGRVPNYNMFIMDEAHFTDPSSIAARGYISTKVDMGEASAVFMTATPPGSREPFPDS -NAPIIDEPMTVPDKAWSTGYEWVTDFEGKTVWFVPSIRNGQEIANCLMKAGKKVIQLNRKTFDSEYKKTK -QDDWDFVITTDISEMGANFQATRVIDCRKSIKPVVITDGEERIVMNGPALITSASAAQRRGRVGRNPNQP -GDMYLYGGGVDDSDTNNVCWTEARMLLDNINIPGGLVATFYLPERDKSAAIDGEYRLRETNRKLFTEYLK -ADLPVWLAYQVALHGNAYQDRSWCFMGSTQNVVLEDGNPVEIWTRAGERKTLKPKWSDARMFSDHLSLKA -FKEFASGRRSGVPSILDVVGMLPRHFSEKGTDALDNLKILMTSDPNGRAYRHAVSELPETLETILLISML -TVASLSVFLLLMRQKGIGKMGLGFIVLVATGGLLMMADVAPAKIAGVIILVFLLMVVLIPEPEKQRTIQD -NQLAIIVLLVLSLGLAVAANEMGYLEKTKNDIISLWGRSREQNSTLQEWFIMDIKPATAWTLYAVTTTIL -TPFIQHHITTHYANVSLSAIAAQAGNLFMMKNGHPFTQLDWAVPLLALGCWSTMTPLALVAATLLLLVHY -AYMIPGWQAMGARSAQARTAAGIMKNPVVDGVTVTDIPELEVPDPAIEKKLGQVLLISIALAAAFMRQDI -IGWRECGILASAGIGTLWEGTPSKFWNASIASSLCNIIRGSHLAALPFLFTLIRNMTKPNKRGGADGETL -GDKWKARLNAMEKTTFSKYKVSGITEVDREPARKALREGNLHSGHAVSRGTAKLRWMVERGMVNPQGKVI -DLGCGRGGWSYYAATLPRVMEVKGYTKGGLGHEEPRMVQSYGWNLVTLKSGVDVHMKAVERTNTLFCDIG -ESSASPEVEESRTLKVLELVEHWMAVGVDAFAVKVLCPYRPKVIEKLEGLQRRFGGGLVRIPLSRNSTHE -MYWVDGVKTNIVGAVNTTSKLLLSRMDKRFTGPKFEEDANLSSGTRAATLKRKAADMEKIAGRIKRLKEE -HSSSWFVDPNNLYRTWKYHGSYETKPTGSASSMVNAVVKLMSKPWDVISTVTQMSMTDTTPFGQQRVFKE -KVDTKAPEPEQGAADIMETVARWYRKELCKRKKPRICTREEFIKKVNSHAALGSMFEEQQLWSSAKEAVE -DPEFWRQVDEEREKHKKGECATCIYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHW -LSRENSGAGVEGIGLQRLGYVLRDMGKAGGRLYADDTAGWDTLITEKDLDNEMIIMEHMEPQHRKLASAI -FNLTYRHKVVKVMRPGPNGKTYMDVISREDQRGSGQVVTYALNTFTNAVVQLVRSAEAEGVISSFSIEEV -SDRVLEELTRWLEEFGWDRLRLMAISGDDCVVRACDERFATALHFLNAMSKVRKDIPEWKPSTGWSDWQQ -VPFCSHHFVEIRMKDGRELVVPCRHQDELVGRARVSPGATWTIRESACMAKAYAQMWMLMYFHRRDLRIM -ANAICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLDVWNRVWIEENPHMEDKTPVRDWKEVPYIGKREDQ -WCGSLIGYRPRATWAENIWVAVHQVRSMIGKEKYADYLKAQGRYRKEETEGFTGVL ->AGJ84083.1 polyprotein [Ilheus virus] -MSKKPGKSAAKRTVNMLKRLASVSPLKGAKKLLGELLSGKGAIRLILALMAFFRFAAIKPTLGLKKRWRS -VNKTVAVKHLTNFKKELTTMLDSVNKRKEKKKSFSTALLWITMITVVAGLKISSHRGRPLLMVNKTDVSD -AIPVPSVKGTNMCTIRALDVGYTCAYDTTYECPHLEVTMDPEDIDCWCTLESVYVNYGLCKQNHHVRRGR -RAINIPHHGESHLENRATPWMDTTKTTKYLTKVENWVIRNPGYALVALATAWMLGSNTPQRVVFMIMMML -IAPAYSLNCLGISNRDFVEGLSGGTWVDIVLEGGSCVTVMAKDKPTLDIKLIRMEAKDLATVRSYCYQAT -VTDSSTEARCPTMGEAHNSKSLDASYVCKSSYVDRGWGNGCGLFGKGSIQTCVKFSCPGKATGKSIQREN -LNYDVAVYVHGPTSAAAHGNYTAQLTGKYAAKFSITPSAPTYTANLGEYGEATMECEPRAALDIDNYYVM -SLNNKHWLVNRDWFHDLDLPWTGPATESWKNRESLIEFEEPHATRQTVVALGNQEGALHTALAGAIPVEV -SSTTLTLNSGHLKCRLKLDKLKIKGTTYAMCKGTFAFAQTPVDTGHGTIVAELTYTGTDGPCKIPISMTA -DLRDMTPIGRLVTVNPIIPSSANSQKILVELEPPFGSSFILVGQENSQIKYQWHKTGSTIGNALKTTWKG -AQRFAVLGDTAWDFGSVGGIFNSIGKTIHGVFGTAFRSLFGGMSWVTQALMGALLLWLGISARERTVSLI -MLSVGGILLFLAVNVHADTGCAIDMARRELKCGSGIFIHNDVETWRNNYKYHPLTPRGFAKVIQMSKDKG -VCGIRSVGRLEHEMWEAIAPELNAIFEDNGVDLSVVVKGQTGIYKRAPKRLTETKDEMSFGWKNWGKSFI -FSTETANSTFIVDGPESKECPTSDRAWNSLELEDFGFGIISTKIFLKVNEQRGNSCDSAVIGTAVKGNEA -VHSDLGYWIESKKNESWQLERAVLGEVKSCTWPESHTLWGDGVEESDLIIPITLAGPKSHHNMRPGYKTQ -TKGPWHEETPLVIEFAECPGTTVTQEESCGGRGPSIRTTTASGRTIRDWCCKNCTLPPLRFMAGDNCWYG -MEVRPKRENEETLIKSKVSAGNGQTIEPFQLGILMAFVFTQEVLRKRWTANLALPTSALLMACFIFGGFT -YLDLFRYFILVGAAFAEANSGGDVVHLAMIAAFNIQPVALVTTFFRKNWTNRENMILIIAAACTQMACME -LKIELFHVMNSLSLAWMILKALTTGTTSTLAMPFLAALSPPMNWLGLDVVRCLLIMAGVAALISERRESL -AKKKGALLISAALVLTGAFSPLVLQGALMFTQSLGKRGWPASEVLTAVGMTFALAGSVARLDGGTMAIPL -ATMAILAVAYVLSGKSTDMWLERCADISWINEAEITGTSPRLDVELDSNGDFKMINDPGVPMWMWTCRMG -LMAMAAYNPVLIPVSMAGYWMTVKIHKRGGVMWDVPAPKQFGKTELKPGVYRVMTMGILGRYQSGVGVMW -DGVFHTMWHVTQGAALRNGEGRLNPTWGSVRDDLISYGGKWKLSATWNGSEEVQMIAVEPGKAAKNYQTK -PGVFKTPAGEIGAITLDFPKGTSGSPIINKAGEIIGLYGNGLVLASGAYVSAITQGERQEEETPEAFTPD -MLKKRRLTILDLHPGAGKTRRVIPQIVRECVKARLRTVILAPTRVVAAEMAEALRGLPIRYQTSAVKAEH -SGNEIVDVMCHATLTQRLLTPAKVPNYNVFVMDEAHFTDPASIAARGYISTKVELGEAAAIFMTATPPGT -TDPFPDSNAPIIDQEAEIPDRAWNSGFEWITEYTGKTVWFVPSVRMGNEIAMCLTKAGKKVIQLNRKSYD -SEYQKCKGNDWDFVITTDISEMGANFGAHRVIDSRKCVKPVILDGDDRVLMNGPAPITPASAAQRRGRIG -RDPTQSGDEYFYGGPTTTDDTGHAHWIEAKILLDNIQLQNGLVAQLYGPERDKVFTTDGEYRLRSEQKKN -FVEFLRTGDLPVWLSYKVAEAGYAYTDRRWCFDGPANNTILEDNNEVEIWTRQGEKRILRPRWSDARVYC -DNQALRSFKEFAAGKRSAGSVMEVMGRMPDYFWTKTLNAADNLYVLATANKGGRAHQAALEELPDTVETI -LLMTMMCVASLGMFALMVHRRGLGKTGLGTLVLATVTVLLWISDVPAPKIAGVLLIAFLLMIVLIPEPEK -QRSQTDNHLAIFLVCVLLLIGAVSANEMGWLETTKKDIGKLFRSTGDTQEQSAWHSWAPEVRAATAWAGY -AGLTVFLTPLFRHLITTQYVSFSLTAITAQASALFGLSAGYPFVGIDLAVGFLLLGCYGQYNLPTAVATG -LLLLAHYGYMIPGWQAEAMRAAQKRTAAGVMKNAVVDGIVATDIPEVDTATPITEKKLGQILLILLCGAS -LLVKFDTMVLVEAGVLTTSAMATLIEGNANTVWNSTVAVGVCHLMRGAWLAGPSIGWTIVRNLENPKLKR -GGGSAPTLGEIWKAQLNQLTREEFMAYRRDGILEVDRTQARRARQSGITTGGHPVSRGTAKLRWMVERGF -VKPIGKVVDLGCGRGGWSYYCATLRHVQEVRGYTKGGPGHEEPVMMQSYGWNIVTMKSGVDVFYKPTESC -DTLLCDIGESSSSVGVEEARTLRVLDMVEPWLRAANSFCIKVLCPYTPKVIERLERLQRAYGGGLVRVPL -SRNSTHEMYWVSGASSNIINAVTVTSQILVQRMNKGCRHGPRYEEDVCLGSGTRAVATQASPSDHTKIKH -RLERLRKEFSATWHIDLEHPYRTWHYHGSYEVQPTGSANSMVNGVVRLLSKPWDAITSVVTMAMTDTTPF -GQQRVFKEKVDTRAPDPAVGVAQALDITTGWLWTFLARSKKPRMCTREEFIAKVNSNAALGAVFDEQNQW -STAREAVEDPAFWNLVDEERKAHLAGRCETCIYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEAL -GFLNEDHWMSRENSLGGVEGQGLQKLGYILRDISHLEGGNMFADDTAGWDTRITRADLENEAKVMNMMEG -EHKQLAQAIIELTYRHKVVKVMRPARGGKTVMDIISREDQRGSGQVVTYALNTFTNLAVQLVRCMEGEEL -LTESDVHGLSPKKKQAVRNWLIQNGRERLSRMAVSGDDCVVKPIDDRFASALHFLNGMAKIRKDTQEWKP -SVGWSNWQEVPFCSHHFNELLMKDGRTIVVPCRSQDELVGRARVSPGSGWSLRETACLSKAYAQMWLLMY -FHRRDLRLMANAICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLQVWNRVWIEDNEHMEDKTPITSGTDI -PYLGKREDQWCGSLIGTRQRATWAENIYTPIMQIRNLIGDEKYVDYMVSQHRFETPSPVLFTGAI ->AJY53439.1 nonstructural protein 5, partial [Mosquito flavivirus] -LGSRFLEYEALGFLNEDHWVSRDNFPCGVGGVGVNYFGYYLKEISERGKWMIADDVAGWDTRITQADLDD -EMYFLTELACSSYHRQLIESMFNLAYKNIFALFPRNHPMYRSGTVLDVLSRTDQRGSGQVTTYSLNTITN -GKCQLGRMIEACGLLDAPLTTIDKWLEEHMERELSYMVVAGDDVVVATNNDEFHTSLRYLTATSKIRKNL -KPNEPSPQFTNWEEVEFCSHHFHPLTLRDGRTLIAPCRDQNEIIGRARIQKGGIVDMAAADCLAKAHAQM -WALYFFHRRDLRIGFAAITSIVPTNWVPTGRISWSIHQ ->ABW76844.2 polyprotein [Koutango virus] -MSKKPGGPGKNRAVNMLKRGMPRVPSLIGLKRAMLSLIDGKGPIRFVLALLAFFRFTAIAPTKAVLDRWR -KVNKQTAMKHLLSFKKELGTLTSAINRRSTKQKKRGGTTGLACILGMLATVGAVTFSSFQGRVMMTVNAT -EVADVISIPIASGKNQCTVRAIDVGYMCDDTITYECPVLSPGNDPEDIDCWCDKMAIYVRYGRCIRGKNP -RRSRRSLTVQTHGESTLANKKGAWLDSTKATRYLVKTESWILRNPGYALVAAVLGWMLGGNTTQRVVFAI -LLLLVAPAYSFNCLGMSNRDFLEGVSGATWVDIVLEGDSCITIMSKDKPTIDIKMLSMSATNLAEVRSYC -YLAAISDPSTKAACPTMGEAHNDKRADSAYVCKQGVVDRGWGNGCGLFGKGSIDTCAKFTCSTQAVGKII -QKENIKYEVSIFVHGPTTLESHGNFPTQRTATQAGTISVSPSAPSSTLKLGDYGEVTIDCEPRSGVDTSA -YYVMTVGSKSFLVHREWFMDLNLPWASPGASTWRNRETLLEFEEPHATKQSVVALGSQEGALHQALAGAI -PVEFSNNNVKLTSGHLKCRVKMEKLQLKGTTYGVCSKAFQFHGHPADTGHGTVVLELKYTGTDGPCKVPI -SSVASLNDLTPVGRLVTVNPYVASSAANAIVLIELEPPFGDSYIVVGRGDQQINHHWHKSGSSIGKAFTA -TVKGAQRLAALGDTAWDFGSVGGIFTSLGKAVHQVFGGAFRSLFGGMSWITQGLLGVLLLWMGINARDKS -IALTFLAVGGVLLFLSVNVHADTGCAIDITRQELRCGSGVFIHNDVEAWVDRYKFHPETPQGLAKIVQKA -HAEGICGIRSVSRLEHQMWESIKDELNTLLKENGVDLSVVVEKHEGMYKSAPKRLPSTTEKLEMGWKAWG -KSIIFAPELANNTFVVDGPETKECPTSRRAWNSMEVEDFGFGLTSTRMFMKVREINTTECDSKIIGTAVK -NNQAVHSDLSYWIESGLNDTWKLERAVLGDVKSCTWPETHTLWGESVLESELIIPITLAGPKSNHNRRPG -YMTQNQGPWDEGRVELDFDYCPGTKVTVSALCGRRGPATRTTTESGKLITDWCCRTCTLPPLRYQTESGC -WYGMEIRPTRHDERTLVQSKVEAYNADMIDPFQLGLLVVFLATQEVLRKRWTAKISVPAIMVALLTLVFG -GITYTDLVRYIILVGAAFAEANSGGDVVHLALMATFKIQPVFMVASFLRSRWTNQENILLMLAAAFFQMA -YQDCHHLITWEIPDVLNSLAVAWMILRAISFTTTSNVVVPMLALLTPGLKCLNLDVYRILLLMIGVGSLL -RERRNSAAKKKSASLLCLALASTGAFNPLILAAGLLACDPNRKRGWPATEVMTAVGLMFAIVGGLAELDV -DSMAIPMTIAGLMFAAFVISGKSTDMWIERTANITWENDAEITGSSERIDVRLDDDGNYQLMNDPGAPWK -IWILRMVCLAVSAYTPWAILPSVIGFWITLQYTKRGGVLWDTPSPKEYKKGDTTTGVYRIMTRGLLGNYQ -AGAGVMVEGVFHTLWHTTKGAALMSGEGRLDPYWGSVKEDRLCYGGPWKLQQKWNGVDEVQMIVVEPGKN -VKNIQTKPGIFKTPEGEIGAVTLDFPTGTSGSPIVDKNGDVIGLYGNGVVMPNGSYVSAIVQGERMEEPA -PVGFEPEMLRKKQITVLDLHPGAGKTRKILPQIIKEAINKRLRTVVLAPTRVVAAEMAEALRGLPIRYQT -SAVTREHSGNEIVDVMCHATLHHRLMSSHRVPNYNLFVMDEAHFTDPASIAARGYISTKVSLGEAAAIFM -TATPPGSSEPFPESNAPISDIQTEIPDRAWNTGYEWITEYVGRTVWFVPSVKLGNEIALCLQRAGKRVIQ -LNRKSYETEYPKCKNDDWDFVITTDISEMGANFKASRVIDSRKSVKPTILTEGEGRVILGDPSSITAASA -AQRRGRIGRNPAQVGDEYCYGGHTNEDDSNSAHWTEARIMLDNINMPNGLVAQLYEPERQKVFSMDGEYR -LRGEERKNFLELLRAADLPVWLSYKVAAAGISYHDRKWCFDGPKVNTVLEDNNEVEVITKLGERKILRPR -WTDARVYSDHQALKAFKDFASGKRSQVGVIEVLGRMPEHFMTKTMEAFDTMYVVATAEKGGRAHRMALEE -LPDALQTVVLIALLSIMSLGVFLLLMQRKGIGKIGLGGVVLGTATFFCWMSDVSGTKIAGMLLMSLLLMI -VLIPEPEKQRSQTDNQLAIFLICILALVSAVAANELGWLDRTKNDISNLFAQGVEKKENLNIGEFLLDLR -PATSWSLYAVSTAVLTPLLKHLITSDYINTSLTSINVQASALFTLARGFPFVDVGVSAFLLAVGCWGQVT -LTVTVTTATLLFCHYAYMVPGWQAEAMRSAQRRTAAGIMKNAVVDGMVATDVPELERTTPIMQKKVGQVI -LILVSIAALIVNPSVRTVREAGILISSAAVTLWENGASSVWNATTAIGLCHIMRGGWLSCLSVTWTLVKN -LEKPGLKRGGAKGRTLGEFWKEKLNQMTREEFNRYRKEAIVEVDRLAAKHARREGNVTGGHPVSRGTAKL -RWLVERRFLEPIGKVIDLGCGRGGWCYYMATQKRVQEVRGYTKGGPGHEEPQLVQSYGWNIVTMKSKVDV -FYKPSESCDTLLCDIGESSSSPEIEEHRTLKVLEMVEDWLHRGPKEFCIKVLCPYMPKVIERMEVLQRRY -GGGLVRNPLSRNSTHEMYWVSRASGNIVHAVSMTSQVLLGRMEKKTWKGPQYEEDVNLGSGTRAVGKPLL -NNDTGKIKRRIERLKQEYSSTWHLDPNHPYRTWNYHGSYEVKPTGSASSLVNGVVRLLSKPWDTITNVTT -MAMTDTTPFGQQRVFKEKVDTKAPEPPEGVRKVLDETTDWLWNFLKREKKPRMCTREEFISKVNSNAALG -AMFEEQNQWSSAREAVEDPKFWEMVEQEREAHLRGECHTCIYNMMGKREKKPGEFGKAKGSRAIWFMWLG -ARFLEFEALGFLNEDHWLGRKNSGGGVEGLGLQKLGYVLREVGGKPGGKIYADDTAGWDTRITKADLENE -GKVLRFLEGEHRLLARAIIELTYRHKVVKVMRPAANGKTVMDVISREDQRGSGQVVTYALNTFTNLAVQL -VRMMEGEGVVGPEDVEKLGKGKEARVKSWLSENGEERLSRMAVSGDDCVVKPLDDRFATSLHFLNAMSKV -RKDIQEWKPSVGWHDWQYVPFCSNHFAELIMKDGRTLVVPCRGQDELIGRARISPGAGWNVKETACLAKS -YAQMWLLLYLHRRDLRLMANAICSAVPMNWVPTGRTTWSIHAKGEWMTTEDMLAVWNRVWIEDNEWMEDK -TPVERWSDVPYSGKREDIWCGSLIGTRPRATWAENIHAAINQVRAIIGDEKYIDYMSTLRRYEDASVVED -SVL ->ANY58849.1 polyprotein [Dengue virus 2] -MNNQRKKARQLPFNMLKRERNRVSTVQQLTKRFSLGMLQGKGPLKLFMALVAFLRFLTIPPTMGILTRWG -AIKKSRAIKILKGFKREIGRMLNILNRRKKSAATLLLLVPTIMAFHLTTRNGEPHMIVARHEKGKSLIFK -TENGVNMCTLMAMDLGELCEETVTYKCPYLKQSEPEDIDCWCNTTSTWVTYGTCSSSGEHRREKRSVALA -PHVGMGLETRTETWMSSEGAWKHAQRIENWILRHPGYTVMAAAIAMAIGTNNFQRALIFVLLTAVAPSMT -MRCVGIANRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELTKTEAKLPATLRKYCIEAKLTNTTT -ESRCPTQGEPSLPEEQDKRFICRHSLVDRGWGNGCGLFGKGGIVTCAMFTCKKYMEGKIVQPENLEYTVA -VTPHSGEEHSVGNDTGKHGQDVKITPQSSITETALEGYGSISMECSPRTGLDFNEMVLLQMEDKAWLVHR -QWFLDLPLPWLPGSDTQGSNWVQKETLVTFKNPHAKKQDVVVLGSQEGAMHSALTGATEIQMTSGNLLFT -GHLKCRLRMDKLELKGMSYSMCTGKFKVVKEIAETQHGTIVIRVQYEGEGSPCKIPFEIMDLEKKHVLGR -LITVNPIITEKDKPTNIEAEPPFGDSYILIGVEPGQLKMNWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFNSIGKALHQVFGAVYNAAFSGVSWTMKILIGVIITWIGMNSRSTSMSITLVMVGLVTLYLG -VVVQADSGCVVSWKTKELKCGSGIFVTDNVHVWTEQYKFQPESPAKLAAAIKKAHEEGVCGIRSVTRLEN -IMWKQITPELNHILTENDVKVTIMTGDIKGILQQGRRALRPQPTELKYSWKSWGKSKIVSSETHNQTFLI -DGPETTECPDTNRAWNSLEVEDYGFGLFSTNIWLRLREKQDTLCDSKLMSAAVKDERAIHADMGYWIESK -LNGSWKIEKASFLEVKSCTWPRSHTLWSNGVLESEMIIPKNLGGPVSQHNYRPGYYTQTTGPWHLGKLEM -DFDYCEGTTVIVTEECNNRGPSLRTTTASGKLITEWCCRSCTMPPLRFKGEDGCWYGMEIRPLKEKEENL -VNSLVTAGHGQIDNFSLGILGIALFLEEVMKSRIGIKHTIGMTIITFLLLVTGNVSYRDLGRVILMVGST -MADEMGMGVTYLALLATFRIRPTFALALMIRKLTSKELLMATIGIVLIAQCDVPGTILEITDACALGLML -LKMTRELERYQLAVTLLSLTCIPNATIMWGAWKVTCTILTLVSMAPLFLTTSRQKTDWVPVALSIYGLNP -TAIYFTTLAKAKKIRSWPLNEAVMAVGMVSILASSLLRNDIPMAGPLVAGGLLTLCYVLTGRSADLELEK -SADIKWNDDAEISGSSPIINVAVSEDGSMSIKDEEEENTLTILIRTGLLAISGMYPMAIPATAAVWYFWE -ARKQRAGVLWDVPSPPPTGKAELEEGAYRIKQRGIFGYSQIGAGVYKEGTFHTMWHVTRGSVLMCKGKRL -EPSWADVKKDLISYGGGWRLDGEWTEGEEVQVLALEPGKNPRAVQTKPGMFKTDTGRIGAVSLDFAPGTS -GSPIIDKKGKVIGLYGNGVVMKSGAYVSSIAQTEKREDEIPEIEDDIFRKRRLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQTPAIRAEHTGREIVDLMCHATFTMRLLSPVRV -PNYNLIIMDEAHFTDPASIAARGYIATRVEMGEAAGIFMTATPPGSKDPFPQSNAPIMDEEREIPERSWN -SGHEWITDFEGKTVWFVPSIRAGNDIATCLRKNGKKVIQLSRKTFDTEYIKTRNNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTPSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPAMFGPEREKTDAIDGEYRLRGESRKTFVELMRRGDLPVWLAYKVASEGY -SYADRRWCFEGVKNNQILEENLEVEIWTKEGERKKLRPKWLDARTYSDPQALKDFKEFAAGRKSTTLNLI -AELGRLPTYMTQKTRDALDNLAVLHTAEEGGRAYQHAISELPETLETLLLLCLLATVSGGVFLFLMSGKG -IGKMSMGLGCIITASGLLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVVIAILTLVAA -TMANEMGYLEKTKKDLGFGNPYVASHEAAILDIDLHPASAWTLYAVATTLITPMLRHSIENSSTNVSLTA -IANQATVLMGLGKGWPLSRMDLGVPLLALGCYSQVNPLTLTASLLLLIGHYAIIGPGLQAKATREAQKRT -AAGIMKNPTVDGITVIDIEPIPYDPKFEKQLGQVMLLVLCVAQVLMMRTTWAMCEALTIATGPISTLWEG -NPGKFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTVTGRRGTGVAGETLGEKWKIKLNGLGRTDFQTYK -RSGIIEVDRTLAKEGIKRGETNHHAVSRGSAKLRWFVERNLVKPEGKVIDLGCGRGGWSYYCGGLKNVTE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFTPPEKCDTLLCDIGESSPNPTIEAGRTLRVLNMVE -NWLGNNQFCIKVLNPYMPSVIEKMENLQRKFGGTLVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRMLI -NRFTMKHKKPTYEQDVDLGSGTRNVGIETEKPNLEIIGKRIEKIKDEHKQTWHYDLDHPYKTWAYHGSYE -TKQTGSASSMINGVVKLLTKPWDVIPMVTQMAMTDTTPFGQQRVFKEKVDTRTPEPKEGTKKLMKLTAKW -LWAELGRHKVPRMCTRDEFTNKVRSNAAMGAVFTEENRWSSAKEAVEDEAFWDLVDRERKLHLQGKCETC -VYNMMGKREKKLGEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYILR -EIGAKDGGMMYADDTAGWDTRVTEEDLKNEEMISEQMKGEHRTLAEAIFKLTYQNKVVRVQRPTPKGTVM -DIISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGLFRDISKLTIQEETAILTWLKEKGRDRLSRMAI -SGDDCVVKPVDDRFAHALTALNDMGKVRKDIQQWEASKGWNDWTQVPFCSHHFHEIIMKDGRKLVVPCRN -QDELIGRARISQGAGWALKETACLGKAYAQMWSLMYFHRRDLRLAANAICSAVPSHWVPTSRTTWSIHAH -HQWMTTEDMLDVWNRVWILENPWMDDKTCVKSWEEVPYLGKREDQWCGSLIGLTSRATWAKNIKVAIEQV -RSLIGKEEYTDYMPSMKRFKQEQSTEGALW ->ALL27019.1 polyprotein [Zika virus] -MVMKNPKKKSGGFRIVNMLKRGVARVSPFGGLKRLPAGLLLGHGPIRMVLAILAFLRFTAIKPSLGLINR -WGSVGKKEAMEIIKKFKKDLAAMLRIINARKEKKRRGADTSVGIVGLLLTTAMAAEVTRRGSAYYMYLDR -NDAGEAISFPTTLGMNKCYIQIMDLGHMCDATMSYECPMLDEGVEPDDVDCWCNTTSTWVVYGTCHHKKG -EARRSRRAVTLPSHSTRKLQTRSQTWLESREYTKHLIRVENWIFRNPGFALAAAAIAWLLGSSTSQKVIY -LVMILLIAPAYSIRCIGVSNRDFVEGMSGGTWVDIVLEHGGCVTVMAQDKPTVDIELVTTTVSNMAEVRS -YCYEASISDMASDSRCPTQGEAYLDKQSDTQYVCKRTLVDRGWGNGCGLFGKGSLVTCAKFACSKKMTGK -SIQPENLEYRIMLSVHGSQHSGMIVNDTGHETDENRAKVEITPNSPRAEATLGGFGSLGLDCEPRTGLDF -SDLYYLTMNNKHWLVHKEWFHDIPLPWHAGADTGTPHWNNKEALVEFKDAHAKRQTVVVLGSQEGAVHTA -LAGALEAEMDGAKGRLSSGHLKCRLKMDKLRLKGVSYSLCTAAFTFTKIPAETLHGTVTVEVQYAGTDGP -CKVPAQMAVDMQTLTPVGRLITANPVITESTENSKMMLELDPPFGDSYIVIGVGEKKITHHWHRSGSTIG -KAFEATVRGAKRMAVLGDTAWDFGSVGGALNSLGKGIHQIFGAAFKSLFGGMSWFSQILIGTLLMWLGLN -TKNGSISLMCLALGGVLIFLSTAVSADVGCSVDFSKKETRCGTGVFVYNDVEAWRDRYKYHPDSPRRLAA -AVKQAWEDGICGISSVSRMENIMWRSVEGELNAILEENGVQLTVVVGSVKNPMWRGPQRLPVPVNELPHG -WKAWGKSHFVRAAKTNNSFVVDGDTLKECPLKHRAWNSFLVEDHGFGVFHTSVWLKVREDYSLECDPAVI -GTAVKGKEAVHSDLGYWIESEKNDTWRLKRAHLIEMKTCEWPKSHTLWTDGIEESDLIIPKSLAGPLSHH -NTREGYRTQMKGPWHSEELEIRFEECPGTKVHVEETCGTRGPSLRSTTASGRVIEEWCCRECTMPPLSFR -AKDGCWYGMEIRPRKEPESNLVRSMVTAGSTDHMDHFSLGVLVILLMVQEGLKKRMTTKIIISTSMAVLV -AMILGGFSMSDLAKLAILMGATFAEMNTGGDVAHLALIAAFKVRPALLVSFIFRANWTPRESMLLALASC -LLQTAISALEGDLMVLINGFALAWLAIRAMVVPRTDNITLAILAALTPLARGTLLVAWRAGLATCGGFML -LSLKGKGSVKKNLPFVMALGLTAVRLVDPINVVGLLLLTRSGKRSWPPSEVLTAVGLICALAGGFAKADI -EMAGPMAAVGLLIVSYVVSGKSVDMYIERAGDITWEKDAEVTGNSPRLDVALDESGDFSLVEDDGPPMRE -IILKVVLMTICGMNPIAIPFAAGAWYVYVKTGKRSGALWDVPAPKEVKKGETTDGVYRVMTRRLLGSTQV -GVGVMQEGVFHTMWHVTKGSALRSGEGRLDPYWGDVKQDLVSYCGPWKLDAAWDGHSEVQLLAVPPGERA -RNIQTLPGIFKTKDGDIGAVALDYPAGTSGSPILDKCGRVIGLYGNGVVIKNGSYVSAITQGRREEETPV -ECFEPSMLKKKQLTVLDLHPGAGKTRRVLPEIVREAIKTRLRTVILAPTRVVAAEMEEALRGLPVRYMTT -AVNVTHSGTEIVDLMCHATFTSRLLQPIRVPNYNLYIMDEAHFTDPSSIAARGYISTRVEMGEAAAIFMT -ATPPGTRDAFPDSNSPIMDTEVEVPERAWSSGFDWVTDYSGKTVWFVPSVRNGNEIAACLTKAGKRVIQL -SRKTFETEFQKTKHQEWDFVVTTDISEMGANFKADRVIDSRRCLKPVILDGERVILAGPMPVTHASAAQR -RGRIGRNPNKPGDEYLYGGGCAETDEDHAHWLEARMLLDNIYLQDGLIASLYRPEADKVAAIEGEFKLRT -EQRKTFVELMKRGDLPVWLAYQVASAGITYTDRRWCFDGTTNNTIMEDSVPAEVWTRHGEKRVLKPRWMD -ARVCSDHAALKSFKEFAAGKRGAAFGVMEALGTLPGHMTERFQEAIDNLAVLMRAETGSRPYKAAAAQLP -ETLETIMLLGLLGTVSLGIFFVLMRNKGIGKMGFGMVTLGASAWLMWLSEIEPARIACVLIVVFLLLVVL -IPEPEKQRSPQDNQMAIIIMVAVGLLGLITANELGWLERTKSDLSHLMGRREEGATMGFSMDIDLRPASA -WAIYAALTTFITPAVQHAVTTSYNNYSLMAMATQAGVLFGMGKGMPFYAWDFGVPLLMIGCYSQLTPLTL -IVAIILLVAHYMYLIPGLQAAAARAAQKRTAAGIMKNPVVDGIVVTDIDTMTIDPQVEKKMGQVLLMAVA -VSSAILSRTAWGWGEAGALITAATSTLWEGSPNKYWNSSTATSLCNIFRGSYLAGASLIYTVTRNAGLVK -RRGGGTGETLGEKWKARLNQMSALEFYSYKKSGITEVCREEARRALKDGVATGGHAVSRGSAKLRWLVER -GYLQPYGKVIDLGCGRGGWSYYAATIRKVQEVKGYTKGGPGHEEPVLVQSYGWNIVRLKSGVDVFHMAAE -PCDTLLCDIGESSSSPEVEEARTLRVLSMVGDWLEKRPGAFCIKVLCPYTSTMMETLERLQRRYGGGLVR -VPLSRNSTHEMYWVSGAKSNTIKSVSTTSQLLLGRMDGPRRPVKYEEDVNLGSGTRAVVSCAEAPNMKII -GNRIERIRSEHAETWFFDENHPYRTWAYHGSYEAPTQGSASSLINGVVRLLSKPWDVVTGVTGIAMTDTT -PYGQQRVFKEKVDTRVPDPQEGTRQVMSMVSSWLWKELGKHKRPRVCTKEEFINKVRSNAALGAIFEEEK -EWKTAVEAVNDPRFWALVDKEREHHLRGECQSCVYNMMGKREKKQGEFGKAKGSRAIWYMWLGARFLEFE -ALGFLNEDHWMGRENSGGGVEGLGLQRLGYVLEEMSRIPGGRMYADDTAGWDTRISRFDLENEALITNQM -EKGHRALALAIIKYTYQNKVVKVLRPAEKGKTVMDIISRQDQRGSGQVVTYALNTFTNLVVQLIRNMEAE -EVLEMQDLWLLRRSEKVTNWLQSNGWDRLKRMAVSGDDCVVKPIDDRFAHALRFLNDMGKVRKDTQEWKP -STGWDNWEEVPFCSHHFNKLHLKDGRSIVVPCRHQDELIGRARVSPGAGWSIRETACLAKSYAQMWQLLY -FHRRDLRLMANAICSSVPVDWVPTGRTTWSIHGKGEWMTTEDMLVVWNRVWIEENDHMEDKTPVTKWTDI -PYLGKREDLWCGSLIGHRPRTTWAENIKNTVNMVRRIIGDEEKYMDYLSTQVRYLGEEGSTPGVL ->AHL43502.1 polyprotein [Zika virus] -MKNPKRKSGGFRIVNMLKRGVARVNPLGGLKRLPAGLLLGHGPIRMVLAILAFLRFTAIKPSLGLINRWG -SVGKKEAMEIIKKFKKDLAAMLRIINARKERKRRGADTSIGIIGLLLTTAMAAEITRRGSAYYMYLDRSD -AGKAISFATTLGVNKCHVQIMDLGHMCDATMSYECPMLDEGVEPDDVDCWCNTTSTWVVYGTCHHKKGEA -RRSRRAVTLPSHSTRKLQTRSQTWLESREYTKHLIKVENWIFRNPGFALAAVAIAWLLGSSTSQKVIYLV -MILLIAPAYSIRCIGVSNRDFVEGMSGGTWVDVVLEHGGCVTVMAQDKPTVDIELVTTTVSNMAEVRSYC -YEASISDMASDSRCPTQGEAYLDKQSDTQYVCKRTLVDRGWGNGCGLFGKGSLVTCAKFTCSKKMTGKSI -QPENLEYRIMLSVHGSQHSGMXXXXXGHETDENRAKVEVTPNSPRAEATLGGFGSLGLDCEPRTGLDFSD -LYYLTMNNKHRLVRKEWFHDIPLPWHAGADTGTPHWNNKEALVEFKDAHAKRQTVVVLGSQEGAVHTALA -GALEAEMDGAKGRLFSGHLKCRLKMDKLRLKGVSYSLCTAAFTFTKVPAETLHGTVTVEVQYAGTDGPCK -VPAQMAVDMQTLTPVGRLITANPVITESTENSKMMLELDPPFGDSYIVIGVGDKKITHHWLKKGSSIGKA -FEATVRGAKRMAVLGDTAWDFGSVGGVFNSLGKGVHQIFGAAFKSLFGGMSWFSQILIGTLLVWLGLNTK -NGSISLTCLALGGVMIFLSTAVSADVGCSVDFSKKETRCGTGVFVYNDVEAWRDRYKYHPDSPRRLAAAV -KQAWEEGICGISSVSRMENIMWKSVEGELNAILEENGVQLTVVVGSVKNPMWRGPQRLPVPVNELPHGWK -AWGKSYFVRAAKTNNSFVVDGDTLKECPLKHRAWNSFLVEDHGFGIFHTSVWLKVREDYSLECDPAVIGT -AVKGKEAAHSDLCYWIESEKNDTWRLRRAHLIEMKTCEWPKSHTLWTDGVEESDLIIPKSLAGPLSHHNT -REGYRTQVKGPWHSEELEIRFEECPGTKVYVEETCGTRGPSLRSTTASGRVIEEWCCRECTMPPLSFRAK -DGCWYGMEIRPRKEPESNLVRSMVTAGSTDHMDHFSLGVLVILLMVQEGLKKRMTTKIIMSTSMAVLVAM -VLGGFSMSDLAKLVILMGATFAEMNTGGDVAHLALVAAFKVRPALLVSFIFRANWTPRESMLLALASCLL -QTAISALEGELMVLVNGFALAWLAIRAMAVPRTDNIALATLAALTPLARGTLLVAWRAGLATCGGFMLLS -LKGKGSVKKNLPFVMALGLAAVRIVDPINVVGLLLLTRSGKRSWPPSEVLTAVGLICALAGGFAKADIEM -AGPMAAVGLLIVSYVVSGKSVDMYIERAGDITWEKDAEVTGNSPRLDVALDESGDFSLVEEDGPPMREII -LKVVLMAICGMNPIAIPFAAGAWYVYVKTGKRSGALWDVPAPKEVKKGETTDGVYRVMTRRLLGSTQVGV -GVMQEGVFHTMWHVTKGAALRSGEGRLDPYWGDVKQDLVSYCGPWKLDATWDGLSEVQLLAVPPGERARN -IQTLPGIFKTKDGDIGAVALDYPAGTSGSPILDKCGRVIGLYGNGVVIKNGSYVSAITQGKREEEAPVEC -FEPSMLRKKQLTVLDLHPGAGKTRRVLPEIVREAIKKRLRTVILAPTRVVAAEMEEALRGLPVRYMTTAV -NVTHSGTEIVDLMCHATFTSRLLQPIRVPNYNLNIMDEAHFTDPSSIAARGYISTRVEMGEAAAIFMTAT -PPGTRDAFPDSNSPIMDTEVEVPERAWSSGFDWVTDHSGKTIWFVPSVRNGNEIAACLTKAGKRVIQLSR -KTFETEFQKTKNQEWDFVITTDISEMGANFKADRVIDSRRCLKPVILDGERVILAGPMPVTHASAAQRRG -RIGRNPNKPGDEYMYGGGCAETDEDHAHWLEARMLLDNIYLQDGLIASLYRPEADKVAAIEGEFKLRTEQ -RKTFVELMKRGDLPVWLAYQVASAGITYTDRRWCFDGTTNNTIMEDSVPAEVWTKYGEKRVLKPRWMDAR -VCSDHAALKSFKEFAAGKRGAALGVMDALGTLPGHMTERFQEAIDNLAVLMRAETGSRPYKAAAAQLPET -LETIMLLGLLGTVSLGIFFVLMRNKGIGKMGFGMVTLGASAWLMWLSEIEPARIACVLIVVFLLLVVLIP -EPEKQRSPQDNQMAIIIMVAVGLLGLITANELGWLERTKSDIAYLMGRKEEGTTIGFSMDIDLRPASAWA -IYAALTTLITPAVQHAVTTSYNNYSLMAMATQAGVLFGMGKGMPFYAWDFGVPLLMIGCYSQLTPLTLIV -AIILLVAHYMYLIPGLQAAAARAAQKRTAAGIMKNPVVDGIVVTDIDTMTIDPQVEKKMGQVLLIAVAVS -SAVLLRTAGGWGEAGALITAATSTLWEGSPNKYWNSSTATSLCNIFRGSYLAGASLIYTVTRNAGLVKRR -GGGTGETLGEKWKARLNQMSALEFYSYKKSGITEVCREEARRALKDGVATGGHAVSRGSAKLRWLVERGY -LQPHGKVVDLGCGRGGWSYYAATIRKVQEVRGYTKGGPGHEEPMLVQSYGWNIVRLKSGVDVFHMAAEPC -DTLLCDIGESSSSPEVEETRTLRVLSMVEDWLEKRPGAFCIKVLCPYTSTMMETMERLQRRHGGGLVRVP -LSRNSTHEMYWVSGAKSNIIKSVSTTSQLLLGRMDGPRRPVKYEEDVNLGSGTRAVASCAEAPNMKIIGR -RIERIRNEHAETWFFDENHPYRTWAYHGSYEAPTQGSASSLVNGVVRLLSKPWDVVTGVTGIAMTDTTPY -GQQRVFKEKVDTRVPDPQEGTRQVMNIVSSWLWKELGKRKRPRVCTKEEFINKVRSNAALGAIFEEEKEW -KTAVEAVNDPRFWALVDKEREHHLRGECHSCVYNMMGKREKKQGEFGKAKGSRAIWYMWLGARFLEFEAL -GFLNEDHWMGRENSGGGVEGLGLQRLGYILEEMNRAPGGKMYADDTAGWDTRISKFDLENEALITNQMEE -GHRALALAVIKYTYQNKVVKVLRPAEGGKTVMDIISRQDQRGSGQVVTYALNTFTNLVVQLIRNMEAEEV -LEMHDLWLLRKPEKVTRWLQSNGWDRLKRMAVSGDDCVVKPIDDRFAHALRFLNDMGKVRKDTQEWKPST -GWSNWEEVPFCSHHFNKLHLKDGRSIVVPCRHQDELIGRARVSPGAGWSIRETACLAKSYAQMWQLLYFH -RRDLRLMANAICSAVPVDWVPTGRTTWSIHGKGEWMTTEDMLMVWNRVWIEENDHMEDKTPVPKWTEIPY -LGKRESLWCGYIIGHRPRTTWAENIKDTVNMVLRLIGDEEKYMDYLSTQVRYLGEEGSTPGVL ->ANA85187.1 polyprotein, partial [Zika virus] -IKKFKKDLAAMLRIINARKEKKRRGADTSVGIVGLLLTTAMAAEVTRRGSAYYMYLDRNDAGEAISFPTT -LGMNKCYIQIMDLGHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVYGTCHHKKGEARRSRRAVTLP -SHSTRKLQTRSQTXLESREYTKHLIRVENWIFRNPGFALAAAAIAWLLGSSTSQKVIYLVMILLIAPAYS -IRCIGVSNRDFVEGMSGGTWVDVVLEHGGCVTVMAQDKPTVDIELVTTTVSNMAEVRSYCYEASISDMAS -DSRCPTQGEAYLDKQSDTQYVCKRTLVDRGWGNGCGLFGKGSLVTCAKFACSKKMTGKSIQPENLEYRIM -LSVHGSQHSGMIVNDTGHETDENRAKVEITPNSPRAEATLGGFGSLGLDCEPRTGLDFSDLYYLTMNNKH -WLVHKEWFHDIPLPWHAGADTGTPHWNNKEALVEFKDAHAKRQTVVVLGSQEGAVHTALAGALEAEMDGA -KGRLSSGHLKCRLKMDKLRLKGVSYSLCTAAFTFTKIPAETLHGTVTVEVQYAGTDGPCKVPAQMAVDMQ -TLTPVGRLITANPVITESTENSKMMLELDPPFGDSYIVIGVGEKKITHHWHRSGSTIGKAFEATVRGAKR -MAVLGDTAWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGICG -ISSVSRMENIMWRSVEGELNAILEENGVQLTVVVGSVKNPMWRGPQRLPVPVNELPHGWKAWGKSYFVRA -AKTNNSFVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGYRTQMKG -PWHSEELEIRFEECPGTKVHVEETCGTRGPSLRSTTASGRVIEEWCCXXXXXXPLSFRAKDGCWYGMEIR -PRKEPESNLVRSMVTAGSTDHMDHFSLGVLVILLMVQEGLKKRMTTKIIISTSMAVLVAMILGGFSMSDL -AKLAILMGATFAEMNTGGDVAHLALIAAFKVRPALLVSFIFRANWXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXLGLTAVRLVDPINVVGLLLLTRSGKRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVEDDGPPMREIILKVVLMTICG -MNPIAIPFAAGAWYVYVKTGKRSGALWDVPAPKEVKKGETTDGVYRVMTRRLLGSTQVGVGVMQEGVFHT -MWHVTKGSALRSGEGRLDPYWGDVKQDLVSYCGPWKLDAAWDGHSEVQLLAVPPGERARNIQTLPGIFKT -KDGDIGAVALDYPAGTSGSPILDKCGRVIGLYGNGVVIKNGSYVSAITQGRREEETPVECFEPSMLKKKQ -LTVLDLHPGAGKTRRVLPEIVREAIKTRLRTVILAPTRVVAAEMEEALRGLPVRYMTTAVNVTHSGTEIV -DLMCHAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNGNEIAACLTKAGKRVIQLSRKTFETEFQKT -KHQEWDFVVTTDISXXXXXXXXXXXXXXXXXXXXXXXXXXXVILAGPMPVTHASAAQRRGRIGRNPNKPG -DEYLYGGGCAETDEDHAHWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKR -GDLPVWLAYQVASAGITYTDRRWCFDSTTNNTIMEDSVPAEVWTRHGEKRVLKPRWMDARVCSDHAALKX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVLMRAETGSRPYKAAAAQMPETLETIMLLGLL -GTVSLGIFFVLMRNKGIGKMGFGMVTLXASAWLMWLSEIEPARIACVLIVVFLLLVVLIPEPEKQRSPQD -NQMAIIIMVAVGLLGLITANELGWLERTKSDLSHLMGRREEGATIGFSMDIDLRPASAWAIYAALTTFIT -PAVQHAVTTSYNNYSLMAMATQAGVLFGMGKGMPFYAWDFGVPLLMIGCYSQLTPLTLIVAIILLVAHYM -YLIPGLQAAAARAAQKRTAAGXXXXXXXXXXXXTDIDTMTIDPQVEKKMGQVLLIAVAVSSAXLSRTAWG -WGEAGALITAATSTLWEGSPNKYWNSSTATSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXCREEARRALKDGVATGGHAVSRGSAKLRWLVERGYLQPHGKVIDL -GCGRGGWSYYAATIRKVQEVXXXXXXXXGHEEPVLVQSYGWNIVRLKSGVDVFHMAAEPCDTLLCDIGES -SSSPEVEEARTLRVLSMVGDWLEKRPGAFCIKVLCPYTSTMMETLERLQRRYGGGLVRVPLSRNSTHEMY -WVSGAKSNTIKSVSTTSQLLLGRMDGPRRPVKYEEDVNLGSGTRAVVSCAEAPNMKIIGNRIERIRSEHA -ETWFFDENHPYRTWAYHGSYEAPTQGSASSLINGVVRLLSKPWDVVTGVTGIAMTDTTPYGQQRVFKEKV -DTRVPDPQEGTRQVMSMVSSWLWKELGKHKRPRVCTKEEFINKVRSNAALGAIFEEEKEWKTAVEAVNDP -RFWALVDKEREHHLRGECQSXXXNMMGKREKKQGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWMG -RENSGGGVEGLGLQRLGYVLEEMSRIPGGRMYADDTAGWDTRISRFDLENEALITNQMEKGHRALALAII -KYTYQNKVVKVLRPAEKGKTVMDIISRQDQRGSGQVVTYALNTFTNLXXXXXXXXXXXXXXEMQDLWLLR -RPEKVTNWLQSNGWDRLXXXXXXXXXXXXXXXXXXFAHALRFLNDMGKVRKDTQEWKPSTGWDNWEEVPF -CSHHFNKLHLKDGRSIVVPCRHQDELIGRARVSPGAGWSIRETACLAKSYAQMWQLLYFHRRDLRLMANA -ICSSVPVDWVPTGRTTWSIHGKGEWMTTEDMLVVWNRVWIEENDHMEDKTPVTKWTDIPYLGKREDLWCG -SLIGHRPRTTWAENIKNTVNMVRRIIGDEEKYMDYLSTQVRYLGEEGSTPGVL ->AIJ19434.1 polyprotein [Torres virus] -MTKKPGKPGKTRAVNMLKRGASRALGPLAKLKRMFANLLDGRGPLRLVLALVAFFRFTDLKPTAGLLKRW -GMMDKIHALSLLKGFKKDLASMTDIINRRKKKRGASNGIVFLVLFGCVMGVRITQENGMPMMKIQKTDVG -KVITMRTDQGENRCIVQALDVGEECEDTMTYLCPAIENPSDPDDIDCWCDRVDSMVSYGRCSKTRHAKRS -RRSTNIAGHADSSLDSRGTVWMDTRKAASYLTKAESWALRNPGYALVAIVLGWNLGTSKAQKIIFTAMLL -LIAPAYSMRCIGVENRDFIEGVSGGTWVDVVLEHRGCVTIMAPDKPTIDLELTSTLAKSMAVTRTYCVQA -QVTELSVDTRCPTMGEAHNSKSTDVSYVCKKGFSDRGWGNGCGLFGKGSMETCAKFTCQTKAEGRIIQKE -NLEYTIHLNVHASTETDHFMNDTAAAENKHGSKISITATAPSRTADLGDYGTITLDCEPRAGLDFDNLYL -LTLEKNSWLVNRDWFHDVNLPWTGGAEGNWKNREALVEFGEAHATKQEVLALSSQEGSLQMALAGAMVAK -YDNDAATISSGHLKCRLKLDKLKIKGTTYHMCKGSFAFTKTPSDTGHGTVVIELTYSGTDGPCRVPISMT -TSLSNVEPVGRMVTVNPIVTSASTQKTIMIEVEPPFGDSYIIAGTGEPRVHYHWRKSGSSIGAAFATTMK -GAKRLAVIGDDAWDFGSVGGILNSIGKALHQVFGSMFRTLFGGMSWVTQIMIGGLCCWLGINARDKSIAL -TFLAVGGVLIFLATSVNADSGCALDLNRKEFKCGNGIFVFNDAEAWTHTYKYHPSTPKRLAGSIVKAMEE -GQCGVRSVGKLEHEMWKSNAKEINAILSENDKNLSVVVLESDYYRKAKNLMPVGEELTFGWKSWGKKFFE -DPPLQNTTFIVDGKTGKECPEERRSWNNFKIEDFGFGVFSTSVWMEQRTTYSEECDEKVIGAAVKGDRAA -HSDLGYWMESASHNGSWRLEHVYMIEVKSCSWPATHTLWNGGVEESELIVPKTRAGPISHHNTRRGYQNQ -IKGPWHLHPLEIRFETCPGTTVSITEECGNRGPSLRTTTASGKVISEWCCRSCTMPPLSFRGPDGCWYGM -EIRPLKEREETLVKSYVSAGRGDGLDNFSLGVLVLTILLQEVLRKRVLGKHALWMILGVFLLMMMGGLTY -KDLGRYMILVGATFAEQNNGGDLLHLVLVATFKVRPMALLGFVLGGRWCRRQSLLMAIGAVLVNFALEFK -GGYFEVVDSFALALLFVKAIVHSDASSVSLPLLAALAPAGCYTVLGTHRFVMLALILTTFLSCKRTSSVK -KAGTAALSVLMSAIGMKPLPMLGMLMMTSAGKRSWPLHEAMAAVGILCALFGALAETEVDLAGPLAAAGL -VIMAYVISGKSNDISIKKVEEIKWSEEAEVTGESVSYHVSLDEQGDFTLTEDSGPGMEKVLLKIGLMAIS -GLYPVAIPFALGAWFFLEKKHKRAGALWDIPSPKDTKAAKCEDGVYRVFSRRLLGESQIGAGVMYKGTFH -TMWHVTRGAVIRAGDGILEPTWADVRRDLVAYGGNWKLVEQWDGIEEVQLIALEPGKKVRHIQTKPGIFK -TTEGEIGAVDLDCPAGTSGSPIVNKNGEIIGLYGNGVLVKGERYVSAISQRSEAPEDEKDEIEDRWFKKR -ELTVLDLHPGAGKTRRVLPQLVREAVKRRLRTVILSPTRVVAAEMYEALKGEPVRYMTPAVQNERTGTEI -VDLMCHSTFTMKLLQGVRVPNYNLYIMDEAHFMDPASVAARGYIETRVNMGDAGAIFMTATPPGTTEAFP -PSNAPIVDEEVRIPDKAWNAGYEWVTDFQGKTVWFVHSIKQGAELGMCLQKAGKKVIYLNRKSFESEYPK -CKKEDWDFVMTTDISEMGANFRADRVIDPRKTIKPVLIDGRVCMQGPIAISPASAAQRRGRVGRNPEKLG -DTYAYSGNVSTDNEGHVSWTEARMLLDNIHVQGGVVAQLYTPEREKCDCYEGEYKLKTTQRKIFSELIRT -GDLPVWLAYQVAASNVDYHDRKWCFDGPNENLLLENNQEVEIWNKQGQRKILKPRWLDGRLTSDHLNLKS -FKEFAAGKRSAIGMIDIISTLPSHLNMRLQEALDTAAMLSRSEPGSRSYRAALENSPEMVETFLLFTLVA -LMTLGLVAVLVRGKGPGKLAFGMVAIGGMTWLLWQAKVDPGKIAAAVVLVFLLLVVLIPEPEKQRSIQDN -QLAMLMLLLATIMGGVAANEMGWLEKTKKDLGWFFQGRTTTSTAPAFELDMKPATAWTLYALATTLLTPL -FQHLIVTRYANISLMAIASQAGTLFSMDSGIPFSSVELSVPLLALGCWTQITPCSLILACVLLLIHYAIL -LPGMQAQAARDAQRRTAAGIMKNAVVDGIVATDIPPLDGAGPLTEKKLGQLLLFAAAVTGVVITRSPRSW -SELGVLGSAVGSTLIEGNAGKFWNATTATAMCNLFRGSYLAGIPLTYTIIRNSGSGTKRGGGVGETLGEK -WKARLNQLNTLEFNRYRRSHITEVDREPARAALRAGDFNRGAAVSRGSAKLRWMHERGYVKLHDKVVDLG -CGRGGWSYYAATQKEVKEVKGYTKGGRGHEEPVMTQSYGWNIVQLKSGVDVFYRETESCDVVMCDIGECS -SSPAVEAARTIKVLELAERWLGRNPQADYCFKVLCPYMPEVIEVLSKLQLKYGGCVVRNPLSRNSTHEMY -WVSGFKGNLVGTINSTSNLLLKRMEIKFAEPRYEEDVNLGCGTRAVCIAPPKFDYKKIGARVEKLRAEHK -ATWHYDAEHPYRTWAYHGSYVVKPSGSASSQVNSVVKLLSKPWDVISEVTGMSMTDTTPFGQQRVFKEKV -DTKAPEPPPGAEMATTIVSEWLWKKLAEKKKPRMCTREEFIKKVRSNAALGPVFEEENKWKDAAEAVQDP -EFWNLVDDERKRHLEGKCETCVYNMMGKREKKRGEFGKAKGSRAIWYMWLGARYLEFEAFGFLNEDHWMG -RENSGGGVEGLGIQKLGYVMQEIGNKGGIIYADDTAGWDTRITENDLRNESHIMEYMDSDHKKLAKAVFE -LTYKHKVVKVMRPGKGVTLMDIISREDQRGSGQVVTYALNTFTNLVVQLIRMAEAEGILTAEDLDELSNE -VKLRLNKWLKEVGWERLQRMAVSGDDCAVAALDERFALALHFLNALSKIRKDIREWAPSRGWKNWEEVPF -CSHHFHKVNMKDGRELVVPCRSQDELVGRARVTQGPGDLASSACLAKAYAQMWQLLYFHRRDLRLMGNAI -CSAVPVDWVPTGRTTWSIHGRGEWMTTEDMLEVWNRVWIEENEYMTDKTPVTSWTDVPYLGKREDQWCGS -LIGYRPRSTWAENIKVPVNVIRMKVGGSKFQDYLSSQKRYDVEESVKFTGVL ->AQV12375.1 polyprotein, partial [Dengue virus] -MNNQRKKAKNTPFNMLKRERNRVSTVQQLTKRFSLGMLQGRGPLKLFMALVAFLRFLTIPPTAGILKRWG -TIKKSKAINVLRGFRKEIGRMLNILNRRRRSAGMIIMLIPTVMAFHLTTRNGEPHMIVGIQEKGKSLLFK -TEDGVNMCTLMAMDLGELCEDTITYKCPLLRQNEPEDIDCWCNSTSTWVTYGTCTTTGEHRREKRSVALV -PHVGMGLETRTETWMSSEGAWKHAQRIETWILRHPGFTIMAAILAYTIGTTHFQRALIFILLTAVAPSMT -MRCIGISNRDFVEGVSGGSWVDIVLEHGSCVTTMAKNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTT -ESRCPTQGEPSLKEEQDKRFVCKHSMVDRGWGNGCGLFGKGGIVTCAMFTCKKNMEGKIVQPENLEYTIV -VTPHSGEEHAVGNDTGKHGKEIKVTPQSSITEAELTGYGTVTMECSPRTGLDFNEMVLLQMENKAWLVHR -QWFLDLPLPWLPGADKQESNWIQKETLVTFKNPHAKKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFT -GHLKCRLRMDKLQLKGMSYSMCTGKFKVVKEIAETQHGTIVIRVQYEGDGSPCKIPFEIMDLEKRYVLGR -LITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPGQLKLNWFKKGSSIGQMFETTMRGAKRMAILGDTAW -DFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTMKILIGVIITWIGMNSRSTSLSVSLVLVGIVTLYLG -VMVQADSGCVVSWKNKELKCGSGIFITDNVHTWTEQYKFQPESPSKLASAIQKAQEEGICGIRSVTRLEN -LMWKQITPELNHILAENEVKLTIMTGDIKGIMQAGKRSLRPQPTELKYSWKTWGKAKMLSTESYNQTFLI -DGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLKLKEKQDAFCDSKLMSAAIKDNRAVHADMGYWIESA -LNDTWKIEKASFIEVKNCHWPKSHTLWSNGVLESEMIIPKNLAGPVSQHNYRPGYHTQIAGPWHLGKLEM -DFDFCDGTTVIVTEDCGNRGPSLRTTTASGKLITEWCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENL -VNSLVTAGHGQVDNFSLGVLGMALFLEEMLRTRVGTKHAILLVAVSFVTLITGNMSFKDLGRVVVMVGAT -MADDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMTTIGIVLLSQSTIPETILELTDALALGMMV -LKIVRNMEKYQLAVTIMAILCVPNAVILQNAWKVSCTILAVVSVSPLLLTSSQQKTDWIPLALTIKGLNP -TAIFLTTLSRTNKKRSWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELER -ATDVKWEDQAEISGSSPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPISIPITAAAWYLWE -VKKQRAGVLWDVPSPPPMGKAELEDGAYRIKQKGILGYSQIGAGVYKEGTFHTMWHVTRGAVLMHKGKRI -EPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLALEPGKNPRAVQTKPGLFKTNTGTIGAVSLDFSXGTS -GSPIIDKKGKVVGLYGNGVVTRSGAYVSAIAQTEKSIEDNPEIEDDIFRKRRLTIMDLHPGAGKTKRYLP -AIVREAIKRGLRTLILAPTRVVAAEMEEALRGLPIRYQXPAIXXEHTGREIVDLMCHATFTMRLLSPVRV -PNYNLIIMDEAHFTDPASIAARGYISTRVEMGEAAGIFMTATPPGSRDPFPQSNAPIIDEEREIPERSWN -SGHEWVTDFKGKTVWFVPSIKAGNDIAACLRKNGKKVIQLSRKTFDSEYVKTRTNDWDFVVTTDISEMGA -NFKAERVIDPRRCMKPVILTDGEERVILAGPMPVTHSSAAQRRGRIGRNPKNENDQYIYMGEPLENDEDC -AHWKEAKMLLDNINTPEGIIPSMFEPEREKVDAIDGEYRLRGEARKTFVDLMRRGDLPVWLAYKVAAEGI -NYADRRWCFDGIKNNQILEENVEVEIWTKEGERKKLKPRWLDARIYSDPLALKEFKEFAAGRKSLTLNLI -TEMGRLPTFMTQKTRDALDNLAVLHTAEAGGRAYNHALSELPETLETLLLLTLLATVTGGIFLFLMSGRG -IGKMTLGMCCIITASILLWYAQIQPHWIAASIILEFFLIVLLIPEPEKQRTPQDNQLTYVVIAILTVVAA -TMANEMGFLEKTKKDLGLGSIATQQPESNILDIDLRPASAWTLYAVATTFVTPMLRHSIENSSVNISLTA -IANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQVNPITLTAALLLLVAHYAIIGPGLQAKATREAQKRA -AAGIMKNPTVDGITVIDLDPIPYDPKFEKQLGQVMLLILCVTQVLMMRTTWALCEALTLATGPISTLWEG -NPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKNTTNTRRGTGNIGETLGEKWKSRLNALGKSEFQIYK -KSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRWFVERNMVTPEGKVVDLGCGRGGWSYYCGGLKNVRE -VKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFFIPPEKCDTLLCDIGESSPNPTVEAGRTLRVLNLVE -NWLNNNTQFCIKVLNPXMPSVIEKMEXLQRKYGGALVRNPLSRNSTHEMYWVSNASGNIVSSVNMISRML -INRFTMRHKKATYEPDVDLGSGTRNIGIESEIPNLDIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSY -ETKQTGSASSMVNGVVRLLTKPWDVLPTVTQMAMTDTTPFGQQRVFKEKVDTRTQEPKEGTKKLMKITAE -WLWKELGKKKTPRMCTREEFTRKVRSNAALGAIFTDENKWKSAREAVEDSRFWELVDKERNLHLEGKCET -CVYNMMGKREKKLGEFGKAKGSRAIWYMWLGARFLEFEALGFLNEDHWFSRENSLSGVEGEGLHKLGYIL -RDVSKKEGGAMYADDTAGWDTRITLEDLKNEEMVTNHMEGEHKKLAEAIFKLTYQNKVVRVQRPTPRGTV -MDIISRRDQRGSGQVGTYGLNTFTNMEAQLIRQMEGEGVFKNIQHLTVTEEVAVQNWLARVGRERLSRMA -ISGDDCVVKPLDDRFANALTALNDMGKIRKDIQQWEPSKGWNDWTQVPFCSHHFHELIMKDGRVLVVPCR -NQDELIGRARISQGAGWSLRETACLGKSYAQMWSLMYFHRRDLRLAANAICSAVPSHWVPTXRTTWSIHA -KHEWMTTEDMLTVWXRVWIRENPWMEDKTPVESWEEIPYLGKREDQWCGSLIGLTSRATWAKNIQAAINQ -VRSLIGNEEYTDYMPSMKRFRREEEEAGVLW ->AHG23125.1 polyprotein, partial [Dengue virus 2] -KNKPTLDFELIKTEAKQPATLRKYCIEAKLTNTTTESRCPTQGEPSLNEEQDKRFICKHSMVDRGWGNGC -GLFGKGGIVTCAMFTCKKNMEGKVVQPENLEYTIVITPHSGEEHAVGNDTGKHGKEIKITPQSSITEAEL -TGYGTVTMECSPRTGLDFNEMVLLQMEDKAWLVHRQWFLDLPLPWLPGADTQGSNWIQKETLVTFKNPHA -KKQDVVVLGSQEGAMHTALTGATEIQMSSGNLLFTGHLKCRLRMDKLQLKGMSYSMCTGKFKIVKEIAET -QHGTIVIRVQYEGDGSPCKIPFEITDLEKRHVLGRLITVNPIVTEKDSPVNIEAEPPFGDSYIIIGVEPG -QLKLNWFKKGSSIGQMFETTMRGAKRMAILGDTAWDFGSLGGVFTSIGKALHQVFGAIYGAAFSGVSWTM -KILIGVIITWIGMNSRSTPLSVSLVLVGVVTLYLGAMVQADSGCVVSWKNKELKCGSGIFITDNVHTWTE -QYKFQPESPSKLASAIQKAHEEGICGIRSVTRLENLMWKQITPELNHILSENEVQFTIMTGDIKGIMQAG -KRSLRAQPTELKYSWKTWGKAKMLSTESHNQTFLIDGPETAECPNTNRAWNSLEVEDYGFGVFTTNIWLK -LREKQDVFCDSKLMSAAIKDNRAVHADMGYWIESALNDTWKMEKASFIEVKSCHWPKSHTLWSNGVLESE -MIIPKHFAGPVSQHNYRPGYHTQTAGPWHLGKLEMDFDLCEGTTVVVTEDCGNRGPSLRTTTASGKLITE -WCCRSCTLPPLRYRGEDGCWYGMEIRPLKEKEENLVNSLVTAGHGQIDNFSLGVLGMALFLEEMLRTRIG -TKHAILLVAASFVTLITGNMSFRDLGRVMVMVGATMTDDIGMGVTYLALLAAFKVRPTFAAGLLLRKLTS -KELMMATIGIALLSQSTIPETILELTDALALGMMVLKIVRNMEKYQLAVTIMAISCVPNAVILQNAWKVS -CTILAAVSVSPLLLTSSQQKADWIPLALTIKGLNPTAIFLTTLSRTSKKRSWPLNEAIMAVGMVSILASS -LLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELERAADVKWEDQAEISGSSPILSITISEDGSMSIKNEE -EEQTLTILIRTGLLVISGVFPVSIPITAAAWYLWEVKKQRAGVLWDVPSPPPVEKAELEDGAYRIKQRGI -LGYSQIGAGVYKEGTFHTMWHVTRGAVLMHRGKRIEPSWADVKKDLISYGGGWKLEGEWKEGEEVQVLAL -EPGKNPRAVQTKPGIFKTNTGTIGAVSLDFSPGTSGSPIVDRKGKVVGLYGNGVVTRSGAYVSAIAQTEK -SIEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXTTFVTPMLRHSIENSSVNVSLTAIANQATVLMGLGKGWPLSKMDIGVPLLAIGCYSQG -NPITLTGALLLLVAHYAIIGPGLQAKATREAQKRAAAGIMKNPTVDGITVIDLEPIPSDPKFEKQLGQVM -LLILCVTQVLMMRTTWALCEALTLATGPISTLWEGNPGRFWNTTIAVSMANIFRGSYLAGAGLLFSIMKN -TTNTRRGTGNIGETLGEKWKSRLNALGKSEFQVYKKSGIQEVDRTLAKEGIKRGETDHHAVSRGSAKLRW -FVERNMVTPEGKVVDLGCGRGGWSYYCGGLKNVREVKGLTKGGPGHEEPIPMSTYGWNLVRLQSGVDVFF -TPPEKCDTLLCDIGXSSPNLTIEAGRPLRVLTRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -LVRNPLSRNSTHEMYWVSNATGNIVSSVNMISRMLINRFTMKHKKATYEPDVDLGSGTRNIGIESEIPNL -DIIGKRIEKIKQEHETSWHYDQDHPYKTWAYHGSYETKQTGSASSMVHGVVRLLTKPWDVVPMVTQMAMP -ATPPFGHQRVFKEKVAPRTQDPKEAPKNLMKIPPECLWKDLGKKKPPRMCPREEFPRKVRSNPALGAIFT -DENKWKSAREPVEDGRFWELVARERNLHLEGKCETCVSTMMGKREKNLGEFRKAKGSRAIWYMWLGARFL -EFEALGFLNEDHWVSRGNSLSGVEGEGLHRLGYILRDVGKKEGGAMYADDTAGWDTRITLEDLKNEEMVT -NHMKGEHKKLAEAIFKLTYQNKVVRVQRPTPRGTVMDIISRRDQRGSGQVGTYGLNTFTNMEAQLIRQME -GEGIFKSIQHLTATEEIAVQNWVARGGRERLSRMAISGDDCVVKPIDDRFARALTALNDMGKVRKDIHQW -DPSRGWTDWTQVPFCSHHFHELVMKDGRVLVVPSRNQDELIGRARISQGAGWSLKEPACLGKSYAQMWTL -MYFPRRALRLAANAICSAVPPHWVPRSRTTWSIHAKHEWMTTEDMLAVWNRVWIQENPGMEDKTPVESWE -EVPYLGKREDQWCGSLIGLTSRATWAKNIQTAINQVRSLIGNEEYTDYMPSMKRFRREEEEAGVLW ->APD78607.1 polyprotein [Saint Louis encephalitis virus] -MSKKPGKPGKNRVVNMLKRGVSRVNPLTGLKRILGSLLDGRGPVRFILAILTFFRFTALQPTEALKRRWR -AVDKRTALKHLNGFKRDLGSMLDTINRRPSKKRGGTGSLLGLAALIGLASSLQLSTYQGKVLMSINKTDA -QSAINIPSANGANTCIVRALDVGVMCKDDITYLCPVLSAGNDPEDIDCWCDVEEVWVHYGRCTRMGHSRR -SRRSISVQHHGDSTLATKNTPWLDTVKTTKYLTKVENWVLRNPGYALVALVIGWMLGSNNTQRVVFVIML -MLIAPAYSFNCLGTSNRDFVEGASGATWIDLVLEGGSCVTVMAPEKPTLDFKVMKMEATELATVREYCYE -ASLDTLSTVARCPTTGEAHNTKRSDPTFVCKRDVVDRGWGNGCGLFGKGSIDTCAKFTCKNKATGKTILR -ENIKYEVAIFVHGSTDSTSHGNYSEQIGKNQAARFTISPQAPSFTANMGEYGTVTIDCEARSGINTEDYY -VFTVKEKSWLVNRDWFHDLNLPWTSPATTDWRNRETLVEFEEPHATKQTVVALGSQEGALHTALAGAIPA -TVSSSTLTLQSGHLKCRAKLDKVKIKGTTYGMCDSAFTFSKNPADTGHGTVIVELQYTGSNGPCRVPISV -TANLMDLTPVGRLVTVNPFISTGGANNKVMIEVEPPFGDSYIVVGRGTTQINYHWHKEGSSIGKALATTW -KGAQRLAVLGDTAWDFGSIGGVFNSIGKAVHQVFGGAFRTLFGGMSWITQGLLGALLLWMGLQARDRSIS -LTLLAVGGILIFLATSVQADSGCAIDLQRRELKCGGGIFVYNDVEKWKSDYKYFPLTPTGLARVIQEAYA -NGICGIRSTSRLEHLMWESIQKELNAIFEDNEIDLSVVVQEDPKYYKRAPRRLKKLEDELNYGWKKWGKT -LFMEPKLGNNTFVVDGPETKECPTANRAWNSFKVEDFGFGMVFTRLWLTIREENTTECDSAIIGTAIKGD -RAVHSDLSYWIESKKNGTWQLERAVMGEVKSCTWPETHTLWGDGVVESEMIIPVTLGGPKSHHNKRTGYH -TQTKGPWSEGEITLDFDYCPGTTVTVTEHCGNRGASLRTTTASGKLVTDWCCRSCSLPPLRYTTKDGCWY -GMEIRPVKEEEAKLVKSRVTAGVAGGMEPFQLGLLVAFIATQEVLKRRWTGKLTLTSLAVCLALLIFGNL -TYMDLVRYLVLVGTAFAEMNTGGDVIHLALVAVFKVQPAFLAGLFFRMQWSNQENIVMVIGAAFLQMAAN -DLKLEVLPILNAMSIAWMLIRAMKEGKVAMYALPVLSALTPGMRMAGLDVIICLLLIIGIVTLLNERRES -VAKKKGGYLLAAALCQAGLCSPLIMMGGLILAHPNGKRSWPASEVLTGVGLMCALAGGLLEFEETSMVVP -FAIAGLMYITYTVSGRAAEMWIEKAADITWEQNAEITGTSPRLDVDLDSHGNFKLLNDPGAPVHLFALRF -ILLGLSARFHWFIPFGVLGFWLLGKHSKRGGALWDVPSPKVYPKCETKPGIYRIMTRGILGTFQAGVGVM -HEGVFHTMWHATEGAVLRNGEGRLDPYAGDVRNDLISYGGPWKLSATWDGIEEVQMIAVAPGKPAINVQT -TPGVFKTPFGTIGAVTLDFPKGTSGSPIINKKGEIIGLYGNGVLIGQGEYVSGIIQGERTEEPIPDAYNE -EMLRKRKLTVLELHPGAGKTRKVLPQIIKDCIQKRLRTAVLAPTRVVACEIAEALKGLPIRYLTPAVKNE -HQGNEIVDVMCHATLTQKLLTPTRVPNYQVYIMDEAHFIDPASIAARGYISTRVELGEAAAIFMTATPPG -TNDPFPDSNSPILDVEAQVPDKAWSTGYEWITNFTGRTVWFVPSVKSGNEIAICLQKAGKRVIQLNRKSF -DTEYPKTKNNEWDFVVTTDISEMGANFGAHRVIDSRKCVKPVILEDDDRVILNGPMAITSASAAQRRGRI -GRNPSQIGDEYHYGGATNEDDHDLANWTEAKILLDNIYLPNGLVAQMYQPERDKVFTMDGEFRLRGEERK -NFVELMRNGDLPVWLAYKVASNGYSYQDRSWCFTGQTNNTILEDNNEVEVFTKTGDRKILRPKWMDARVC -CDYQALKSFKEFAAGKRSALGMMEVMGRMPNHFWEKTVAAADTLYLLGTSEANSRAHKEALAELPDSLET -LLLIGMLCVMSMGTFIFLMNRKGVSKMGLGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXXXXEVEEARTARVLDMAEEWLKKGAIEFCVKVLCPYTPKIIEKLEKLQRKYGGGLV -RVPLSRNSTHEMYWVSGAAGNIIHAVSMTSQVLMGRMDKQNRSGPRYEEDVNLGSGTRSVGKLTEKPDLR -KVGERIRRLREEYQQTWTYDHNNPYRTWNYHGSYEVKPTGSASSMVNGVVRLLSKPWDMITNVTTMAMTD -TTPFGQQRVFKEKVDTKAPEPPLGVAQIMDVTTDWLWDFVAREKKPRICTPEEFKAKVNSHAALGAMFEE -QNQWSSAREAVEDPKFWEMVDEERKAHLKGECHTCIYNMMGKREKKTGEFGKAKGSRAIWYMWLGARFLE -FEALGFLNEDHWMSRENSYGGVEGKGLQKLGYILQEISQIPGGKMYADDTAGWDTRITKEDLKNEAKITK -RMDERHRKLAEAIIDLTYRHKVVKVMRPGPDGKTYMDIISREDQRGSGQVVTYALNTFTNLAVQLIRCME -AEGVVDEDDIMRVRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDRFATALHFLNNMSKIRKDIQ -EWKPSTGWHNWQEVPFCSHHFNELMLKDGRTIVVPCRSQDELIGRARISPGAGWNVRETACLSKSYAQMW -LLMYFHRRDLRMMANAICSAVPVNWVPTGRTTWSIHGKGEWMTTEDMLSVWNRVWIEENEYMKDKTPLAA -WNDIPYLGKREDIWCGSLIGTRTRATWAENIYAPIMQIRNLIGEEEYRDYMVAQNRFGREETHVVGGAL ->ACO82049.1 polyprotein [Chimeric Tick-borne encephalitis virus/Dengue virus 4] -MNQRKKVVRPPFNMLKRERNRVSTPQGLVKRFSTGLFSGKGPLRMVLAFITFLRVLSIPPTAGILKRWGQ -LKKNKAIKILIGFRKEIGRMLNILNGRKRSAVDWTGWLLVVVLLGVTLAATVRKERDGTTVIRAEGKDAA -TQVRVENGTCVILATDMGSWCDDSLTYECVTIDQGEEPVDVDCFCRNVDGVYLEYGRCGKQEGSRTRRSV -LIPSHAQGDLTGRGHKWLEGDSLRTHLTRVEGWVWKNKVLTLAVIAVVWLTVESVVTRVAVVVVLLCLAP -VYASRCTHLENRDFVTGTQGTTRVTLVLELGGCVTITAEGKPSMDVWLDSIYQENPAKTREYCLHAKLSD -TKVAARCPTMGPATLAEEHQSGTVCKRDQSDRGWGNHCGLFGKGSIVTCVKASCEAKKKATGHVYDANKI -VYTVKVEPHTGDYVAANETHSGRKTASFTVSSERTILTMGDYGDVSLLCRVASGVDLAQTVILELDKTSE -HLPTAWQVHRDWFNDLALPWKHEGAQNWNNAERLVEFGAPHAVKMDVYNLGDQTGVLLKSLAGVPVAHID -GTKYHLKSGHVTCEVGLEKLKMKGLTYTMCDKTKFTWKRIPTDSGHDTVVMEVAFSGTKPCRIPVRAVAH -GSPDVNVAMLITPNPTIENNGGGFIEMQLPPGDNIIYVGELSHQWFQKGSSIGRVFQKTRKGIERLTVIG -EHAWDFGSTGGFLTSVGKALHTVLGGAFNSLFGGVGFLPKILVGVVLAWLGLNSRNTSMAMTCIAVGGIT -LFLGFTVQADMGCVASWSGKELKCGSGIFVVDNVHTWTEQYKFQPESPARLASAILNAHKDGVCGIRSTT -RLENVMWKQITNELNYVLWEGGHDLTVVAGDVKGVLTKGKRALTPPVSDLKYSWKTWGKAKIFTPEARNS -TFLIDGPDTSECPNERRAWNSLEVEDYGFGMFTTNIWMKFREGSSEVCDHRLMSAAIKDQKAVHADMGYW -IESSKNQTWQIEKASLIEVKTCLWPKTHTLWSNGVLESQMLIPKSYAGPFSQHNYRQGYATQTVGPWHLG -KLEIDFGECPGTTVTIQEDCDHRGPSLRTTTASGKLVTQWCCRSCTMPPLRFLGEDGCWYGMEIRPLSEK -EENMVKSQVTAGQGTSETFSMGLLCLTLFVEECLRRRVTRKHMILVVVITLCAIILGGLTWMDLLRALIM -LGDTMSGRIGGQIHLAIMAVFKMSPGYVLGVFLRKLTSRETALMVIGMAMTTVLSIPHDLMELIDGISLG -LILLKIVTQFDNTQVGTLALSLTFIRSTMPLVMAWRTIMAVLFVVTLIPLCRTSCLQKQSHWVEITALIL -GAQALPVYLMTLMKGASRRSWPLNEGIMAVGLVSLLGSALLKNDVPLAGPMVAGGLLLAAYVMSGSSADL -SLEKAANVQWDEMADITGSSPIIEVKQDEDGSFSIRDVEETNMITLLVKLALITVSGLYPLAIPVTMTLW -YMWQVKTQRSGALWDVPSPAATKKAALSEGVYRIMQRGLFGKTQVGVGIHMEGVFHTMWHVTRGSVICHE -TGRLEPSWADVRNDMISYGGGWRLGDKWDKEEDVQVLAIEPGKNPKHVQTKPGLFKTLTGEIGAVTLDFK -PGTSGSPIINRKGKVIGLYGNGVVTKSGDYVSAITQAERIGEPDYEVDEDIFRKKRLTIMDLHPGAGKTK -RILPSIVREALKRRLRTLILAPTRVVAAEMEEALRGLPIRYQTPAVKSEHTGREIVDLMCHATFTTRLLS -STRVPNYNLIVMDEAHFTDPSSVAARGYISTRVEMGEAAAIFMTATPPGATDPFPQSNSPIEDIEREIPE -RSWNTGFDWITDYQGKTVWFVPSIKAGNDIANCLRKSGKKVIQLSRKTFDTEYPKTKLTDWDFVVTTDIS -EMGANFRAGRVIDPRRCLKPVILPDGPERVILAGPIPVTPASAAQRRGRIGRNPAQEDDQYVFSGDPLKN -DEDHAHWTEAKMLLDNIYTPEGIIPTLFGPEREKTQAIDGEFRLRGEQRKTFVELMRRGDLPVWLSYKVA -SAGISYKDREWCFTGERNNQILEENMEVEIWTREGEKKKLRPRWLDARVYADPMALKDFKEFASGRKSIT -LDILTEIASLPTYLSSRAKLALDNIVMLHTTERGGRAYQHALNELPESLETLMLVALLGAMTAGIFLFFM -QGKGIGKLSMGLITIAVASGLLWVAEIQPQWIAASIILEFFLMVLLIPEPEKQRTPQDNQLIYVILTILT -IIGLIAANEMGLIEKTKTDFGFYQVKTETTILDVDLRPASAWTLYAVATTILTPMLRHTIENTSANLSLA -AIANQAAVLMGLGKGWPLHRMDLGVPLLAMGCYSQVNPTTLTASLVMLLVHYAIIGPGLQAKATREAQKR -TAAGIMKNPTVDGITVIDLEPISYDPKFEKQLGQVMLLVLCAGQLLLMRTTWAFCEVLTLATGPILTLWE -GNPGRFWNTTIAVSTANIFRGSYLAGAGLAFSLIKNAQTPRRGTGTTGETLGEKWKRQLNSLDRKEFEEY -KRSGILEVDRTEAKSALKDGSKIKHAVSRGSSKIRWIVERGMVKPKGKVVDLGCGRGGWSYYMATLKNVT -EVKGYTKGGPGHEEPIPMATYGWNLVKLHSGVDVFYKPTEQVDTLLCDIGESSSNPTIEEGRTLRVLKMV -EPWLSSKPEFCIKVLNPYMPTVIEELEKLQRKHGGNLVRCPLSRNSTHEMYWVSGASGNIVSSVNTTSKM -LLNRFTTRHRKPTYEKDVDLGAGTRSVSTETEKPDMTIIGRRLQRLQEEHKETWHYDQENPYRTWAYHGS -YEAPSTGSASSMVNGVVKLLTKPWDVIPMVTQLAMTDTTPFGQQRVFKEKVDTRTPQPKPGTRMVMTTTA -NWLWALLGKKKNPRLCTREEFISKVRSNAAIGAVFQEEQGWTSASEAVNDSRFWELVDKERALHQEGKCE -SCVYNMMGKREKKLGEFGRAKGSRAIWYMWLGARFLEFEALGFLNEDHWFGRENSWSGVEGEGLHRLGYI -LEEIDKKDGDLMYADDTAGWDTRITEDDLQNEELITEQMAPHHKILAKAIFKLTYQNKVVKVLRPTPRGA -VMDIISRKDQRGSGQVGTYGLNTFTNMEVQLIRQMEAEGVITQDDMQNPKGLKERVEKWLKECGVDRLKR -MAISGDDCVVKPLDERFGTSLLFLNDMGKVRKDIPQWEPSKGWKNWQEVPFCSHHFHKIFMKDGRSLVVP -CRNQDELIGRARISQGAGWSLRETACLGKAYAQMWSLMYFHRRDLRLASMAICSAVPTEWFPTSRTTWSI -HAHHQWMTTEDMLKVWNRVWIEDNPNMTDKTPVHSWEDIPYLGKREDLWCGSLIGLSSRATWAKNIHTAI -TQVRNLIGKEEYVDYMPVMKRYSAPSESEGVL diff --git a/seq/clusters_seq/cluster_40 b/seq/clusters_seq/cluster_40 deleted file mode 100644 index 9e88c68..0000000 --- a/seq/clusters_seq/cluster_40 +++ /dev/null @@ -1,863 +0,0 @@ ->YP_009551535.1 VP4 protein [Bat rotavirus] -MASLIYRQLLTNSYSVSLSDEIKEIGSEKTQNTTINPGPFAQTGYAPVNWGPGETNDSTVVEPILDGPYQ -PTTFNPPVDYWMLLNPTNVGVVVEGTNNTNRWLATILIEPNVTSVERQYTIFGKSENITVENTDAEKWKF -VDVIKTTVNGTYTQYAPLLSSTKLYAVMKHDGKIYTYNGSTPNATTGYYSTTNYNSVNMTSFVDFYIIPR -SNEAQCTEYINNGLPPIQNTRNVMAVSINAREIPIQRTQPNEDLLVSNASLWKEMKYNRDITIKFKFGNS -IVKTGGLGYKWSEISFKPMNYQYTYKRDGEDVTAHTTCSVNGMNDFNYNGGTLPTDFVVTRYEVLKENSF -VYVDYWDDSQAFRNMVYVRSLVADLNNVTCTGGTYNFSLPVGQWPVMQGGAIILSPAGVTLSTQFTDFVS -LNSLRFRFRIAVTEPYFSITRTRVSKLYGLPAANPNNGKEYYETTGRFSLISLVPSNDSYQTPIMNSVTV -RQDLERQLGELREEFNALSQEIAVSQLVDLALLPLDMFSMFSGIKSTIDAAKSMATSVMKKFKTSGLASS -VSSLTESLSDAASSVSRVSSMRSISSSASAWTEVSTQVSDVSNAVSNISTQTSTISKRLRLKEIATQTQG -MNFDDISAAVLKTKLDKSIQISPTTLPSIITEASEKFIPNRVYRILNNDDVFETSTTGVYRAYKASTLKE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLHDNYGITKQQAYNLLRSDPRVLREFINQGNPIIKNRIEQL -IMQCRL - ->YP_008145319.1 VP4 [Rotavirus F chicken/03V0568/DEU/2003] -MASRFWVGIINDWQNVQARQQTIAQTSNKKFETYIDTSNVGTAQLPPSNFSNDNMSQPSEDVSSSLWYGP -NKPQILTNAEYQQVKPAKLFFKFETPKQYLMWQVDNYAAGFYKKGDNFGTEVIKDDSIIIVYDKTSNQKL -FTSTIINSIVFSISEMTSNQLELTAYQGNMSRSIANITDQNFNLDIRQDYYSCASTLFPTLYKNEMHYVI -ERNAKVLSKALTEETVVSQAGLWKEVRYAADITLRFYFQSEAIRKGGFGYQFSTSNFKPWTSVYSYTRDN -ENVTAHVTVTLNGLSVYSQRGAVPTDFAIDRMECITNDTYMYIDYWDTSNLFRNMVYVRDLKVEQSSIRI -GFWPYMRSLTLPVGSITPYYPFGITPNGVINADLICEGITLSTQFTDAASMNSLRFIFKFKNFDPPNGNI -TRMKVTGVPAAYMQYAQVGGNPGNPGDPFNYNVRFSMISLIPANDEYKTPIAASVTVRQDLDIKLSQLRQ -EFNQVAENLAVSQAIGLATMPFDMLSMFSGTFDVVQNIGDFTSTLFSKFSKTTTGVQLLKYTKYTDELVD -VATSTDDVLEVATIANKAKIMKSVETMTDSLDFEDISTAVLNKAMREIGTQTDYNSIAQIAAESIDMIPT -KAYRVIDNASDTVYEVSKDANIAYKLTDLSQIQFDIDKFKKLSSESPVLSAIIDFKTIKMMNQANGKLDR -ITLDNLLASNPQILKTMIAQNNPIIVRRVQELIDQCRL - ->YP_003896048.1 VP4 [Rotavirus D chicken/05V0049/DEU/2005] -MASSLWTSLNASLQDLDIYNRLDKKENHETKNQNIVNTTMLGTASLGPVKWSSGPTFNSSLTEGMLGPNS -QQSMNTSQLVQLFSSYGSPFYVYFDYSNTSTNNLAHQLVRFQTLKNDQSTREEGIFLCESQCNGITFAGH -TLNLTTGTVKIVKVWENDTATALGSMYYNYPAGYVLSASLKLEHTANNTITVKLYNPTARLYGDENVHQA -GQQIFAITSAYYVSKVDPGDLITTPASAPQLYAFEVEENLYNIVRQQPNCRSRKLNGLANINMQMTTYDA -DVSVEEVISKESLWKEVRYANDIELKLVLKSVITRASGLGYKFASAVFKPHSYDYNYMRGTERVNAYVTS -SVNNIFVTSENGPLPTDFGIDELQYIGVDTLVYLDYYDDSNAFKNMVYVRSLDAQFNDILLRKPHNTGYD -TEITLPCCPSGKHLYSNSPRNLTLSYQGVMLSTQYTDTYAVNSLRFIFKCTAADRAVFDRMVYNGIEASA -ITTLYMNSSGPTTTGRFSFISLIPSNDAYQTPIQSSVTVRQDLDKDIAKLREEFNALSQEIAVNQLIETA -MLPLDVMSTSGAVASGASTISTSIKNVSKQFSKSKASITLTSFKNLSDIVDSVPTDQLAKNLNHVSKRSI -GTQFEDITAAVVKKTMDKGIGTDDMAELITDIADSAIPVRAYRVIDKSENAVYEVSSIGKAIKYDTELTQ -LPFDEIKFKKLISESPVISAIIDFQTLKLYNQTFGKIDANVLDNFLASNPEILLKMVNEKNPIITDRIKT -LIEQCRI - ->YP_002302230.1 outer capsid spike protein VP4 [Rotavirus A] -MASLIYRQLLTNSYTVDLSDEIQEIGSTKSQNVTINPGPFAQTGYAPVNWGPGEINDSTTVEPLLDGPYQ -PTTFNPPVDYWMLLAPTTPGVIVEGTNNTDRWLATILIEPNVQSENRTYTIFGIQEQLTVSNTSQDQWKF -IDVVKTTANGSIGQYGPLLSSPKLYAVMKHNEKLYTYEGQTPNARTAHYSTTNYDSVNMTAFCDFYIIPR -SEESKCTEYINNGLPPIQNTRNVVPLSLTARDVIHYRAQANEDIVISKTSLWKEMQYNRDITIRFKFANT -IIKSGGLGYKWSEISFKPANYQYTYTRDGEEVTAHTTCSVNGVNDFSFNGGYLPTDFVVSKFEVIKENSY -VYIDYWDDSQAFRNVVYVRSLAANLNSVMCTGGSYNFSLPVGQWPVLTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLAVEEPHFKLTRTRLDRLYGLPAADPNNGKEYYEIAGRFSLISLVPSNDDYQTPIANSVTV -RQDLERQLGELREEFNALSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKKFKKSGLANS -VSTLTDSLSDAASSISRGSSIRSIGSSASAWTDVSTQITDISSSVSSVSTQTSTISRRLRLKEMATQTEG -MNFDDISAAVLKTKIDKSTQISPNTIPDIVTEASEKFIPNRAYRVINNDDVFEAGIDGKFFAYKVDTFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGITKQQAFNLLRSDPRVLREFINQDNPIIRNRIEQL -IMQCRL - ->YP_392514.1 outer capsid spike protein [Rotavirus C] -MASSLYAQLISQNYYSLGNEILSDQQTNKVVSDYVDAGNYTYAQLPPTTWGSGSILKSAFSTPEITGPHT -NTVIEWSNLINTNTWLLYQKPLNSVRLLKHGPDTYNSNLAAFELWYGKSGTTITSVYYNTINNQNKTHDA -NSDCLILFWNEGSTQLEKQVVTFNWNVGGILIKPINSSRMRICMSGMENFNNDSFNWENWNHEFPRSNPG -ININMYTEYFLASSDPYTYLKNLQQPTAKTVDMKMMKKMNDNSKLGDGPINVSNIISKDSLWQEVQYVRD -ITLQCKILSEIVKGGGWGYDYTSVTFKTVNHTYSYTRAGENVNAHVTISFNNVKERAYGGSLPTDFKIGR -FDILDTDSYVYIDYWDDSEIFKNMVYVRDVRADIGGFQYSYSSEMSYYFQIPVGSYPGLHSSRLQLVYDR -CLLSQQFTDYAALNSLRFVFRVVSTSGWFITTGDINTRRVASGTGFAYSDGHVANTVGTISFISLIPSNP -NYQTPIASSSTVRMDLERKINDLRDDFNALASSVALSDILSLAMSPLTFSNLLESVPAITSSVKDVAASV -MKKFRSTKMFKKAAKQNYREFVIGDLLEDVTNVARNNNSLNYSDITSAMMVSTTNRLQITDVDTFSEIVS -RSADNFISNRSYRMIENNTVHEITPTRRFSYDIKTLQQRNFDIDKFSKLASQSPVISAIVDFATIKAIRD -TYGISDDIIYKLVASDAPTILSFINQNNPLIRNRITNLINQCKL - ->sp|A2T3M1.1|VP4_ROTAP RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEIQEIGSTKTQNVTVNPGPFAQTNYAPVNWGPGETNDSTTVEPVLDGPYQ -PTTFNPPVSYWMLLAPTNAGVVVEGTNNTNRWLATILIEPNVQQVERTYTLFGQQVQVTVSNDSQTKWKF -VDLSKQTQDGNYSQHGSLLSTPKLYGVMKHGGKIYTYNGETPNANTGYYSTTNFDTVNMTAYCDFYIIPL -AQEAKCTEYINNGLPPIQNTRNIVPVSIVSRNIVYTRAQPNQDIVVSKTSLWKEMQYNRDIVIRFKFANS -IIKSGGLGYKWSEVSFKPANYQYTYTRDGEEVTAHTTCSVNGVNDFNYNGGSLPTDFVISKYEVIKENSF -VYIDYWDDSQAFRNMVYVRSLAADLNSVMCTGGDYSFALPVGNYPVMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPPFSILRTRVSGLYGLPAAKPNNSQEYYEIAGRFSLISLVPLNDDYQTPIMNSVTV -RQDLERQLGELRDEFNNLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKRFKKSSLANS -VSTLTDSLSDAASSISRSASVRSVSSTASAWTEVSNIASDINVTTSSISTQTSTISRRLRLKEMATQTDG -MNFDDISAAVLKTKIDKSTQLNTNTLPEIVTEASEKFIPNRAYRVIKDDEVLEASTDGKYFAYKVETFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGISRQQALNLLRSDPRVLREFINQDNPIIRNRIESL -IMQCRL - ->sp|B3SRR9.1|VP4_ROTAD RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTINPGPFAQTRYAPVNWGHGEINDSTTVEPILDGPYQ -STTFTPPNGYWILINSNTNGVVYESTNNSDFWTAVVAIEPHVNPVDRQYTIFGESKQFNVSNDSNKWKFL -EMFRSSSQNDFYNRRTLTSDTRLVGILKYGGRVWTFHGETPRATTNGSSTANLNNISITIHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLPLSSRSIQYKRAQVNEDIIVSKTSLWKEMQYNRDIIIRFKFGNSI -VKMGGLGYKWSEISYKVANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFGISRYEVIKENSYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYAFSIPVGAWPIMNGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVDEPPFSILRTRTVNLYGLPAANPNNGNEYYEISGRFSLISLVSTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMAQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRNVSIRSNLSAISNWTNVSNDVSNVTNSLNDISTQTSTISKKLRLKEMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRILKDDEVMEINTEGKFFAYKINTFDEV -PFDVNKFAELVTDSPVISAIIDFKTLKNLNDNYGITRTEALNLIKSNPNMLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|P12473.2|VP4_ROTRH RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVDLSDEIQEIGSTKTQNVTINLGPFAQTGYAPVNWGPGETNDSTTVEPVLDGPYQ -PTSFNPPVDYWMLLAPTAAGVVVEGTNNTDRWLATILVEPNVTSETRSYTLFGTQEQITIANASQTQWKF -IDVVKTTQNGSYSQYGPLQSTPKLYAVMKHNGKIYTYNGETPNVTTKYYSTTNYDSVNMTAFCDFYIIPR -EEESTCTEYINNGLPPIQNTRNIVPLALSARNIISHRAQANEDIVVSKTSLWKEMQYNRDITIRFKFASS -IVKSGGLGYKWSEISFKPANYQYTYTRDGEDVTAHTTCSVNGMNDFNFNGGSLPTDFIISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNSVICTGGDYSFALPVGQWPVMTGGAVSLHSAGVTLSTQFTDFVS -FNSLRFRFRLTVEEPSFSITRTRVGGLYGLPAAYPNNGKEYYEVAGRLSLISLVPSNDDYQTPITNSVTV -RQDLERQLGELREEFNALSQEIAMSQLIYLALLPLDMFSMFSGIKSTIDAAKSMATSVMKKFKKSGLANS -VSTLTDSLSDAASSISRGASIRSVGSSASAWTDVSTQITDVSSSVSSISTQTSTISRRLRLKEMATQTEG -MNFDDISAAVLKTKIDRSTQISPNTLPDIVTEASEKFIPNRAYRVINNDEVFEAGTDGRYFAYRVETFDE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGISRQQAFNLLRSDPRVLREFINQDNPIIRNRIEQL -IMQCRL - ->sp|P0C6Y8.1|VP4_ROTP3 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVNLSDEIQEIGSAKSKNVTINPGPFAQTGYAPVNWGAGETNDSTTVEPLLDGPYR -PTTFNPPTSYWVLLAPTVEGVVIQGTNNIDRWLATILIEPNVQTTNRIYNLFGQQVTLSVENTSQTQWKF -IDVSKTTPTGSYTQHGPLFSTPKLYAVMKFSGRIYTYNGTTPNATTGYYSTTNYDTVNMTLFCDFYIIPR -NQEEKCTEYINHGLPPIQNTRNVVPVSLSAREVVHTRAQVNEDIVVSKTSLWKEMQYNRDITIRFKFDRT -IIKAGGLGYKWSEISFKPITYQYTYTRDGEQITAHTTCSVNGVNNFSYNGGSLPTDFAISRYEVIKENSF -VYIDYWDDSQAFRNMVYVRSLAANLNTVTCTGGSYTFALPLGNYPVMTGGTVSLHPAGVTLSTQFTDFVS -LNSLRFRFRLTVGEPSFSITRTRVSRLYGLPAANPNNQREYYEISGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELRDEFNSLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKRFKRSNLASS -VSTLTDAMSDAASSVSRSSSIRSIGSSVSAWTEVSTSITDISTTVDTVSTQTATIAKRLRLKEIATQTDG -MNFDDISAAVLKTKIDKSVQITPNTLPDIVTEASEKFIPNRTYRVINNDEVFEAGMDGKFFAYRVDTFDE -IPFDVQKFADLVTDSPVISAIIDLKTLKNLKDNYGISKQQAFDLLRSDPKVLREFINQNNPIIRNRIENL -IMQCRL - ->sp|B3SRX5.1|VP4_ROTWI RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTVNPGPFAQTRYAPVNWGHGEINDSTTVEPILDGPYQ -PTTFTPPIDYWILINSNTNGVVYESTNNSDFWTAVVAVEPHVNPVDRQYTVFGENKQFNVRNDSDKWKFL -EMFRSSSQNEFYNRRTLTSHTKLVGILKYGGRIWTFHGETPRATTDSSNTANLNDISIIVHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLSLSSRSIQYKRAQVNEDITISKTSLWKEMQYNSDIIIRFKFGNSI -VKLGGLGYKWSEISFKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSVSRYEVIKENSYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYNFSLPVGAWPVMNGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVDEPSFSILRTRTVNLYGLPAANPNNGNEYYEISGRFSLISLVPTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRSVSIRSNISTISNLTNVSNDVSNVTNSLNDISTQTSTISKKLRLREMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRILKDDEVMEINTEGKVFAYKIDTLNEV -PFDVNKFAELVTNSPVISAIIDFKTLKNLNDNYGITRIEALNLIKSNPNVLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|B3SRR1.1|VP4_ROTH7 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLYRQLLSNSYVTNISDEVSEIGARKTTNVTVNPGPFAQTGHAPVNWGHGELSDSTLVQPTLDGPYQP -TTFNLPIDYWMLIAPTQIGRVAEGTNTTDRWFACVLVEPSVSNTQREYVLDGQTVQLQVSNDSSTLWKFI -LFIKLGKNGTYSQYSTLSTSNKLCAWMKREGRVYWYAGTTPNASDSYYLTINNDNSNVSCDAEFYLIPRS -QTDLCAQYINNGLPPIQNTRNIVPVSISSREIRHTRAQMNEDIVVSKTSLWKEMQYNRDITIRFKFANSI -VKSGGLGYKWSEISFKPMNYQYTYTRDGEEITAHTTCSVNGVNDFSYNGGTLPTDFAISRFEVIKENSYV -YIDYWDDSQAFRNIVYVRSLSANLNDVICSGGDYSFALPVGAYPVMSGGAVTLSPAGVTLSTQFTDYVSL -NSLRFRFRLAVSEPSFSISRTRLSGIYGLPAANPNNNVEYYEIAGRFSLISLVPTNDDYQTPIANSVTVR -QDLERQLGELREEFNSLSQEIALSQLIDLATLPLDMFSMFSGIKSTVEAVKSMTTNIMKKFKTSNLANAI -SDLTNSMSDAASSISRSTSIRSVGSNTTMRISTAIQTGEDLKTMADASTQISNVSRSLRLREFTTQTDNL -SFDDISAAVLKTKLDKSTQISQNTIPDIISESSEKFIPMRAYRVMDNDTAFETGIDGTFYAYRVDTFDEV -PFDVEKFNKLITDSPVLSAIIDFKTLKNLNDNYGITKTQAMELLQSNPRTLKEFINNNNPIIRNRVENLI -AQCRL - ->sp|P11196.3|VP4_ROTHD RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQSVTVNPGPFAQTRYAPVNWGSWEINDSTTVEPVLDGPYQ -PTTFKPPNDYWLLISSNTNGVVYESTNNNDFWTAVSSVEPHVSQTNRQYILFGENKQFNVENNSDKWKFF -ETFTGSSQGNFSNRRTLTSSNRLVGMLKYGGRVWTFHGETPRATTDSSNTADLNNISIIIHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLSLSSRSIQYKRAQVNEDITISKTSLWKEMQYNRDIIIRFKFGNSI -IKLGGLGYKWSEISYKAANYQYSYSRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSISRYEVIKENSYV -YIDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYNFRLPVGKWPIMNGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVDEPSFSIIRTRTINLYGLPAANPNNGNEYYEMSGRFSLISLVQTNDDYQTPIMNSVTVR -QDLERQLNDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRSASIRSNLSTISNWTNTSKSVSNVTDSVNDVSTQTSTISKKLRLREMITQTEGL -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRVLKDDEVMEINTEGKFFAYKVDTLNEI -PFDINKFAELVTDSPVISAIIDFKTLKNLNDNYGITRIEAFNLIKSNPNVLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|P11198.3|VP4_ROTH1 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEINTIGSEKSQNVTINPGPFAQTNYAPVVLESWEVNDSTTIEPVLDGPYQ -PTSFKPPSDYWILLNPTNQQVVLEGTNKTDIWVALLLVEPNVTNQSRQYTLFGETKQITVENNTNKWKFF -EMFRSSVSAEFQHKRTLTSDTKLAGFLKFYNSVWTFYGETPHATTDYSSTSNLSEVETAIHVEFYIIPRS -QESKCNEYINTGLPPMQNTRNIVPVALSSRSVTYQRAQVNEDIIISKTSLWKEMQYNRDIIIRFKFNNSI -VKLGGLGYKWPEISFKAANYQYNYLRDGEQVMAHTTCSVNGENNYSYNGGLLPTHFSVSRYEVIKENSYV -YDNYWDDSQAFRNMVYVRSLAANLNSVKCSGGNYNFQMPVGAWPVMSGGAVSLHFAGVTLSTEFTDFVSL -NSLRFRFSLTVEEPPFSILRTRVSGLYGLPAFNPNNGHEYYEIAGRFSFILLVPSNDDYQTPIMNSVTVR -QDLERQLGDLREEFNSLSQEIAMTQLIDLALLPLDMFSMFSGIKSTIDAAKSMATMVMKKFKKSGLATSI -SELTRSLSNAASSVSRSSSIKSNISSISVWTDVSEQITGSSDSVRNISTQTSAISKRLRLREITTQTEGM -NFIDISAAVLKTKIDKSTHISPDTLPDIITESSEKFLPKRAYRVLKDDEVMEVDVDGKFFAYKVDTFEEV -PFDVDKFVDLVTDSPVISAIIDFKTLKNLNDNYGITRSQALDLIRSDPRVLRDFINQNNPIIKNRIEQLI -LQCRL - ->sp|P11193.3|VP4_ROTHW RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTINPSPFAQTRYAPVNWGHGEINDSTTVEPILDGPYQ -PTTFTPPNDYWILINSNTNGVVYESTNNSDFWTAVVAIEPHVNPVDRQYTIFGESKQFNVSNDSNKWKFL -EMFRSSSQNEFYNRRTLTSDTRFVGILKYGGRVWTFHGETPRATTDSSSTANLNNISITIHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLPLSSRSIQYKRAQVNEDIIVSKTSLWKEMQYNRDIIIRFKFGNSI -VKMGGLGYKWSEISYKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFGISRYEVIKENSYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYNFSIPVGAWPVMNGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVDEPPFSILRTRTVNLYGLPAANPNNGNEYYEISGRFSLIYLVPTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMAQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRNVSIRSNLSAISNWTNVSNDVSNVTNSLNDISTQTSTISKKFRLKEMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRILKDDEVMEINTEGKFFAYKINTFDEV -PFDVNKFAELVTDSPVISAIIDFKTLKNLNDNYGITRTEALNLIKSNPNMLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|P11200.3|VP4_ROTHT RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEINTIGSEKSQNITINPGPFAQTNYAPVTWSHGEVNDSTTIEPVLDGPYQ -PTSFKPPSDYWILLNPTNQQVVLEGTNKTDIWIALLLVEPNVTNQSRQYTLFGETKQITIENNTNKWKFF -EMFRSNVSSEFQHKRTLTSDTKLAGFLKHYNSVWTFHGETPHATTDYSSTSNLSEVETTIHVEFYIISRS -QESKCVEYINTGLPPMQNTRNIVPVALSSRSVTYQRAQVSEDIIISKTSLWKEMQYNRDIIIRFKFNNSI -IKLGGLGYKWSEISFKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGLLPTHFSISRYEVIKENSYV -YVDYWDDSQAFRNMVYVRSLAANLNSVKCSGGNYNFQMPVGAWPVMSGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVEEPPFSILRTRVSGLYGLPASNPNSGHEYYEIAGRFSLISLVPSNDDYQTPIMNSITVR -QDLERQLGDLREEFNSLSQEIAITQLIDLALLPLDMFSMFSGIKSTIDAAKSMATKVMKKFKRSGLATSI -SELTGSLSNAASSVSRSSSIRSNISSISEWTDVSEQIAGSSDSVRNISTQTSAISRRLRLREITTQTEGM -NDIDISAAVLKTKIDRSTHIRPDTLPDIITESSEKFIPKRAYRVLKDDEVMEADVDGKFFAYKVDTFEEV -PFDVDKFVDLVTDSPVISAIIDFKTLKNLNDNYGITRSQALDLIRSDPRVLRDFINQNNPIIKNRIEQLI -LQCRL - ->sp|P13842.2|VP4_ROTHK RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTVNPGPFAQTRYAPVNWGHGEINDSTTVEPILDGPYQ -PTTFKPLTDYWILINSNTNGVVYESTNNSDFWTAVVAVEPHVNPVDRQYTVFGENKQFNVRNDSDKWKFL -EMFRGSSQNEFYNRRTLTSDTKLVGILKYGGRIWTFHGETPRATTDSSNTANLNDISIIIHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLSLSSRSIQYKRAQVNEDITISKTSLWKEMQCNRDIIIRFKFGNSI -VKLGGLGYKWSEISYKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSVSRYEVIKENSYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYNFSIPVGAWPVMNGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVDEPSFSILRTRTVNLYGLPAANPNNGNEYYEISGRFSLISLVPTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRSVSIRSNISTISNWTNVSNDVSNVTNSLSDISTQTSTISKNLRLKEMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRILKDDEVMEINTEGKVFAYKIDTLNEV -PFDVNKFAELVTNSPVISAIIDFKTLKNLNDNYGITRIEALNLIKSNPNVLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|Q02945.2|VP4_ROTEH RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYTVDLSDEIENIGYAKSKNVTINPGPFAQTGYTPVNWGPGEVNDSTTVEPILDGPYQ -PTNFNPPVNYWMLLSPLNAGVVVEGTNSIDRWLATVLVEPNVLTTVRTYTLFGVQEQISVENNSTTKWKF -INLIKTTLSGNFTLYSTLLSEPKLHGIMKHGGQLWVYNGETQTLLLQDYVTSNYDSLTMTSFCDFYIIPR -SQESTCTEYINNGLPPIQNTRNVVSVSISSRNIILNRAQVNKDIVISKTSLWKEVQYNRDITIRFRFANA -IIKSGGLGYKWSEISFKPANYQYSYTRDGEEITAHTTCSVNGVNDFSFNGGSNPTDFLISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNDVLCTGGDYTFALPVGQWPVMTGGAVMLHAAGVTLSTQFTDFVS -LNSLRFRFSLSVEEPYFSITRTRVTRLYGLPAVNPNNNRDYYEIAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELREEFNTLSQEIAVSQLIDLALLPLDMFSMVSGIKSSIDAAKSMASNVMKKFKKSKLASS -ISTLTNSLSDASSSVSRNSSIRSVSSSVSAWTDVSNQLTDISNSVNSISTQTSTISRRLRLKEIATQTEG -MNFDDISAAVLKTKIDKSTQIAANNIPDIITEASEKFIPNRAYRVISNDNVFEASTDGRFFAYKVGTFEG -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSDPRVLREFINQDNPIIKNRIEQL -ILQCRL - ->sp|P36308.2|VP4_ROTBK RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLYNSYSVDLSDEITNIGAEKKENVTVQLGQFAQSQYAPVSWGSGETLSGNVEEQPLDGPYT -PDSSNLPSNYWYLVNPSNDGVVFSVTDNSTFWMFTYLVLPNTAQTNVTVNVMNETVNISIDNSGSTYRFV -DYIKTSSTQAYGSRNYLNTAHRLQAYRRDGDGNISNYWGADTQGDLRVGTYSHPVPNAVINLNADFSVIP -DSQQEICTEYIRGGLPAMQTTTYVTPISYTIRSQRIVRPNEDIVISKASLWKEVQYNRDIVIRFVFANNI -IKAGGLGYKWSEISYKANNYQYTYMRDGVEVVAHTIVSVNGVSVYNYNTGPLPTDFMIRNYDVLKESSFV -YIDYWDDSQAFRNMVYVRSLSAELNQVRCVGGHSSFALPVGSWPVMQGGSVILTFDGVTLSTQFTDFVSL -NSLRFRFRCAVSEPPFRVTGTRISNLYGFPAANPMGDQQYYEASGRFSLISLVPSNDDYQTPIANSVTVR -QDLERQLDEMRKEFNELSANIALSQLIDLALLPLDMFSMFSGIQSTVEAAKTFATSVMKKFRKSDLAKSV -NSLTDAITDAASSISRSSTLRSANSAVSVWTDISDIVDSTDNVVAATATAAAKKFRVKEFTTEFDGVSFD -DISAAVVKTKMNKFNVVDEEILPRIITEASEKFIPNRAYRLIDGEKVYEVTTEGKSFAYLTETFEEVVFD -AERFAELVTVSLVISAIIDFKTIKNLNDNYGITREQALNMLRSDPKVLRSFINQNNPIIKNRIEQLILQC -RI - ->sp|Q3ZK58.1|VP4_ROT41 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLSNSYVTNISDEVSEIGARKTTNVTVNPGPFAQTGYAPVDWGHGELSDSTLVQPTLDGPYQ -PTTFNLPINYWMLIAPTQAGRVAEGTNTTNRWFACVLVEPSVQSTRREYVLDGQTVQLQVSNDSSTLWKF -ILFIKLEKNGTYSQYSTLSTSNKLCAWMKREGRVYWYTGTTPNASESYYLTINNDNSHVSCDAEFYLLPR -SQTDLCDQYINNGLPPVQNTRNVVPVSITSREIRYTKAQVNEDIVVSKTSLWKEMQYNRDIMIRFKFANS -IVKSGGLGYKWSEISFKPMNYQYTYTRDGEEITAHTTCSVNGVNDFSYNGGTLPTDFSISRFEVIKENSF -VYIDYWDDSQAFRNMVYVRSLSANLNDVVCSGGDYSFALPVGAWPVMSGGAVTLSSAGVTLSTQFTDYVS -LNSLRFRFRLTVSEPSFSISRTRLSGIYGLPAANPNNNVEYYEIAGRFSLISLVPTNDDYQTPIANSVTV -RQDLERQLGELREEFNALSQEIALSQLIDLATLPLDMFSMFSGIKSTVEAVKSMTTNVMKKFKTSNLANA -ISDLTNSMSDAASSVSRSASVRSISSNAASRISTAIQASDDLRTVADASTQISSVSRSLRLREFTTQTDN -LSFDDISAAVLKTKLDKSTQISQSTIPDIISESSEKFIPMRTYRVIDNDTAFETGIDGTFYAYRVDTFDE -VPFDVERFNKLITDSPVLSAIIDFKTLKNLNDNYGITKTQAMELLQSNPKTLKEFINNNNPIIRNRIENL -IAQCRL - ->sp|Q9WAK5.1|VP4_ROTYO RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTVNPGPFAQTRYAPVNWGHGETNDSTTVEPILDGPYQ -PTTFTPPTDYWILINSNTNGVVYESTNNSDFWTVVVAVEPHVNPVDRQYTVFGENKQFNVRNDSDKWKFL -EMFRSSSQNEFYNRRTLTSDTKLVGMLKYGGRIWTFHGETPRATTDSSNTANLNDISIIIHSESYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLSLSSRSIQYKRAQVNEDITISKTSLWKEMQCNRDIIIRCTFGNSI -VKLGGLGYKWSEISYKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSVSRYEVIKENPYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYNFSLPVGAWPVMNGGAVSLHFAGVTLSTQFTDFVSL -NSLQFKFSLTVDEPPFSILKTLTGNLYGLPAANPNNRNEYYEISGRFSLISLVPTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRSVSIKSNISTISNWTNVSNDVSNVTNSLNDISTQTSTISKDLRLKEMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRILKDDEVMEINTEGKVFAYKIDTLNEV -PFDVNKFAELVTNSPVISAIIDFKTLKNLNDNYGITRIEALNLIKSNPNVLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|Q9WAK4.1|VP4_ROTHO RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTVNPGPFAQTRYAPVNWGHGEINDSTTVELILDGPYQ -PTTFTPPIDYWILINSNTNGVVYESTNNSDFWTAVVAVEPHVSPVDRQYTVFGENKQFNVRNDSDKWKFL -EMFRSSSQNEFYNRRTLTSDTKLVGILRYGGRIWTFHGETPRVNTDSSHNANLNDISIVIHSGFYIIPRS -QECKCNEYINNGLPPIPNTRNVVPLSLSSRSIQYKRAQVNEDITISKTSLWKECTIIRDIIIRFKFGNSI -VKLGGLGYKWSEISYKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGFLPTDFSVSRYEVIKENSYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYDFSIPVGAWPVITGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSKTVDEPSFSILRTRTVNLYGLPAANPNNGNEYYEISGRFSLISLVPTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRSVSIRSNISTISNWTNVSNDVSNVTNSLNDISTQTSTISKNLRLKEMITPTEGM -SLDDISAAVLKTKIDMSTPIGKNTLPDIVPEASEKFIPKRSFRILKDDEVMEINTEGDVFAYKIDTLNEV -PFDVNKFAELVTNSPVISAIIDFKTLKNLNDNYGITRIEALNLIKSNPNVLRDFINQNNPIIRNRIEQLI -LQCKL - ->sp|Q9E780.1|VP4_ROT18 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MGSFIYKQLLTNSYTVELSDEIDAIGSEKTQNVTINPGPFAQTGYAPVEWGAGETNDSTTIEPVLDGPYQ -PTRFNPEIGYWILLAPETQGIVLETTNTTNKWFATILIEQDVVAESRTYTIFGKTESIQAENTSQTEWKF -IDIIKTTQDGTYSQYGPLVLSTKLYGVMKYGGRLYAYIGHTPNATPGHYTIANYDTMEMSIFCEFYIMPR -SQEAQCTEYINSGLPPIQNTRNIVPLSLSSRSIKYQKAQVNEDIIISKTSLWKEMQYNIDIIIRFKFNNS -IIKSGGLGYKWLEIAFKPANYQYNYIRDGENITAHTTCSVNGVNEFSYNGGSLPTDFAISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNTVICNGGDYSFQVPVGQWPVMSGGAVSLQSAGVTLSTQFTDFVS -LNSLRFRFSLAVESPPFSITRTRVSNLYGLPAANPNGGRDFYEILGRFSLISLVPSNDDYQTPIMNSVTV -RQDLDRQLGELRDEFNALSQQIAMSQLIDLALLPLDMFSMFSGIKGSIDVARSMATKVMKKFRNSKLASS -VSTLTDSLSDAASSLSRTSTIRSIGSSASAWTNISSQVDDVISSTSEISTQTSTISRRLRVKEIATQTEG -MNFDDISAAVLKAKIDRSTQIDSNTLPDIVTEASEKFIPNRAYRVMDGDEVLEASTDGKFFAYKVETFDE -VPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGITKAQAFNLLRSDPRVLREFINQENPIIRNRIEQL -ILQCKL - ->sp|Q998M5.1|VP4_ROTEO RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYTVDLSDEIENIGYAKSKNVTINPGPFAQTGYAPVNWGPGEVNDSTTVEPVLDGPYQ -PTNFNPPVNYWMLLSPLNAGVVVEGTNSIDRWLATVLVEPNVTTTVRTYTLFGVQEQISVENNSTTKWKF -INLIKTTPSGNFTLYSTLLSEPKLHGIMKHGGQLWVYNGETPNATTTGYVTSNYDSLTMTSFCDFYIIPR -NQESTCTEYINNGLPPIQNTRNVVSVSISSRNIIHNRAQVNEDIVISKTSLWKEVQYNRDITIRFRFANA -IIKSGGLGYKWSEISFKPANYQYTYTRDGEEITAHTTCSVNGVNDFSFNGGSLPTDFVISRYEVIKENSY -VYVDYWDDSQAFRNVVYVRSLAANLNDVLCTGGDYNFALPVGQWPVMTGGAVMLHAAGVTLSTQFTDFVS -LNSLKFRFSLSAEEPYFSITRTRVTRLYGLPAVNPNNDRDYYEIAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELREEFNALSQEIAISQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKKFKKSKLASS -VSTLTDSLSDAASSVSRSSSIRSVSSSVSAWTDVSDQLTDISNSVNSISTQTSTISRRLRLKEIATQTEG -MNFDDISAAVLKTKIDKSTQIAANNIPDVITEASEKFIPNRAYRVISNDNVFEASTDGRFFAYKVGTFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSDPRVLREFINQDNPIIKNRIEQL -ILQCRL - ->sp|Q98637.1|VP4_ROTCU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVNLSDEIQEIGSTKTQNITINPGPFAQTGYAPVNWGPGETNDSTTIEPVLDGPYQ -PTSFNPPVGYWMLLSPTAPGVVVEGTNNTDRWLATILIEPNVTSQQRTYTIFGVQEQITVENTSQTQWRF -VDVSKTTQNGSYSQYSPLLSTPKLYAVMKYGGRIHTYSGQTPNATTGYYSATNYDSVNMTTFCDFYIIPR -SEESKCTEYINNGLPPIQNTRNIIPLALSARNVRSLKAQSNEDIVVSKTSLWKEMQYNRDITIRFKFANS -IVKSGGLGYKWSEISFKPANYQYTYMRDGEEVTAHTTCSVNGMNDFSFNGGSLPTDLLISRYEVIKENSY -VYIDYWDDSQAFRNMVYVRSLAANLNSVICAGGHYNFALPVGQWPYMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLAVEEPSFAIMRTRVSGLYGLPAANPNNGREYYEIAGRFSLISLIPSNDNYQTPIANSVTV -RQDLERQLGELREEFNALSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSIATNVMKKFKKSSLASS -VSTLTDSLSDAASSLSRGSSIRSVGSSVSAWTDVSTQITDVSSSVSSISTQTSTISRRLRLKEMATQTEG -MNFDDISAAVLKTKIDKSIQISPNTLPDIVTEASEKFIPNRAYRVINNDEVFEASTDGRFFAYRVDTFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGIGKQQAFNLLRSDPKVLREFINQNNPIIRNRIEQL -IMQCRL - ->sp|Q98636.1|VP4_ROTEL RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLGNSYAVDLSDETQEIGASRNQNVTVNPGPFAQTNYAPVSWGPGEVRDSTTVEPLLDGPYQ -PTTFNPPVDYWMLLAPTDRGVVVEGTNNTNRWLAIILVEPDVPTEERTYTLFGQQAQITVANDSQLKWKF -IVVSKQTLDGAYAQYGPLLSATKLYAVMKHSGRIYTYSGETPNATTAYYSTTNYDTVNMKAYCHFYIIPR -TQESKCTEYINTGLPPIQNTRNVIPVSITSRDIQYTRAQVNEDILISKASLWKEMQYNRDIIIRFQIANS -IVKSGGLGYKWSEISFKPANYQYSYIRDDEEVTSATTCSVNGVNEFSYSGGSLPTDFAVSKYEVIKENSF -VYVDYWDDSQAFRNMVYVRSLAANLNSVMCTGGDFSFALPVGHYPVMTGGAVTLHSAGVTLSTQFTDFVS -LNSLRFRFSLSVEEPPFSIIRTRVSGLYGLPATKPNNSQEYYEIAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLSELRDEFNSLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMVTNVMKKFKKSSLANS -VSTLTNSLSDAASSVSRSSSIRSIGSTASAWTDVSITASDVSTATNSIATQTSTISKRLRLKEMATQTDG -MNFDDISAEMLKTKIDKSTQITADTLPEMITEASEKFIPNRTYRIINNDEVFETSIDGKYFAYRVDTFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKKLNDNYGITKEQAFNLLRSDPKVLREFINQNNPIIKNRIENL -IMQCRL - ->sp|Q98635.1|VP4_ROTE1 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYTVDLSDEIENIGYAKSKNVTINPGPFAQTGYAPVNWGPGEVNDSTTVEPVLDGPYQ -PTNFNPPVNYWMLLSPLNAGVVVEGTNSIDRWLATVLVEPNVTTTVRTYTLFGVQEQISVENNSTTKWKF -INLIKTTPPGNFTLYSTLLSEPKLHGIMKHGGQLWVYNGETQTLLLQDYVTSNYDSLTMTSFCDFYIIPR -NQESTCTEYINNGLPPIQNTRNVVSVSISSRNIIHNRAQVNEDIVISKTSLWKGVQYNRDIINRFRFANA -IIKSGGLGYKWSEISFKPANYQYTYTRDGEEITAHTTCSVNGVNDFSFNGGSLPTDFVISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNDVLCTGGDYSFALPVGQWPVMTGGAVMLHAAGVTLSTQFTDFVS -LNSLRFRFSLSVEEPYFSITRTRVTRLYGLPAVNPNNDRDYYEIAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELREEFNALSQEIAISQLIDLALLPLDMFSMFSGIQSSIDAAKSMATNVMKKFKKSKLASS -VSTLTNSLSDAASSVSRSSSIRSVSSSVSAWTDVSNQFTDISNSVNSISTQTSTISRRLRLKEIATQTEG -INFDDISAAVLKTKIDKSTQIAANNIPDVITEASEKFIPNRAYRVISNDNVFEASTDGRFFAYKVGTFEE -IPFDVQKLADLVTDSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSDPRVLREFINQDNPIIKNRIEQL -ILQCRL - ->sp|Q98167.1|VP4_ROTRA RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLSNSYVTNISDEVSEIGARKTTNVTVNSGPFAQTGYAPVNWGHGELSDSTLVQPTLDGPYQ -PTTFNLPINYWMLIAPTQAGRVAEGTNTTNRWFACVLVEPSVQSTRREYVLDGQTVQLQVSNDSSTLWKF -ILFIKLEKNGTYSQYSTLSTSNKLCAWMKREGRVYWYTGTTPNASESYYLTINNDNSHVSCDAEFYLLPR -SQTDLCDQYINNGLPPVQNTRNVVPVSITSREIRYTKAQMNEDIVVSKTSLWKEMQYNRDIIIRFKFANS -IVKSGGLGYKLSEISFKPMNYQYTYTRDGEEINSHTTCSVNGVNDFSYNGGTLPTDFSISRFEVIKENSK -VYIDYWDDSQAFRNMVYVRSLSANLNDAVCSGGDYTFALPVGAWPVMSGGAVTLSSEGVTLSTQFTDYLS -LNSLRFRFRLTVSEPSFSISRTRLSGIYGLPAANPNNNVEYYEIAGRFSLISLVLTNDDYQTPIANSVTV -RQDLERQLGELREEFNALSQEIALSQLIDLATLPLDMFSMFSGIKSTVETVKSMTTNIMKKFKTSNLANA -ISDLTNSMSDAASSVSRSVSVRSIGGNATSRISTAIQAGDDLRTVADASTQISSVSRSLRLREFTTQADN -LSFDDISAAVLKTKLDKSTQISQSTIPDIISESSEKFIPMRTYRVIDNDTAFETGIDGHFYAYRVDTFDE -VPFDVERFNKLITDSPVLSAIIDFKTLKNLNDNYGITKTQAMELLQSNPKTLKEFINNNNPIIRNRIENL -IAQCRL - ->sp|Q96642.1|VP4_ROTBV RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYAVDLSDEIQSVGSEKNQRVTVNPGPFAQTGYAPVNWGPGEVNDSTVVQPVLDGPYQ -PASFDLPVGNWMLLAPTGPGVVVEGTDNSGRWLSVILIEPGVTSETRTYTMFGSSKQVLVSNASDTKWKF -VEMMKTAVDGDYAEWGTLLSDTKLYGMMKYGERLFIYEGETPNATTKGYIVTNYASVEVRPYSDFYIISR -SQESACTEYINNGLPPIQNTRNVVPVAISSRSIEPRRVQANEDIVVSKTSLWKEMQYNRDIIIRFRFDNS -IIKSGGLAYKWAEISFKAANYQYNYMKDGEEVTAHTTCSVNGVNDFSFNGGSLPTDFAISRYEVIKENSY -VYVDYWDDSQTFRNMVYVRSLAANLNDVMCSGGDYSFALPAGQWPVMKGGAATLHTAGVTLSTQFTDYVS -LNSLRFRFRLAVEEPSFTITRTRVSKLYGLPAANPNGGREYYEVAGRFSLISLVPSNDDYQAPIMNSVTV -RQDLERRLNELREEFNNLSQEIAVSQLIDLAMLPLDMFSMFSGIEGTVNAPQSMATNVMRKFKSSKLASS -VSMLTDSLSDAASSIARSTSIRSIGSAASAWANISEQTQDAVNEVATISSQVSQISGKLRLKEITTPTEG -MNFDDISAAVLKAKIDRSIQVDPNALPDVITEASEKFIRNRAYRVIDGDESFEAGTGGRFFANKVETLEE -MPFNIEKFADLVTHSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSNPKVLRGFIDPNNPIIKNRIEQL -IMQCRL - ->sp|Q8JNB4.1|VP4_ROTW3 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYAVDLSDEIQSVGSEKNQRVTVNPGPFAQTVYAPVNWGPGEVNDSTVVQPVLDGPYQ -PASFDLPVGNWMLLAPTGPGVVVEGTDNSGRWLSVILIEPGVTSETRTYTMFGSSKQVLVSNASDTKWKF -VEMMKTAIDGDYAEWGTLLSDTKLYGMMKYGKRLFIYEGETPNATTKRYIVTNYASVEVRPYSDFYIISR -SQESACTEYINNGLPPIQNTRNVVPVAIVSRSIKPREVQANEDIVVSKTSLWKEMQYNRDIIIRFKFDNS -IIKSGGLGYKWAEISFKAANYQYNYMRDGEDVTAHTTCSVNGVNDFSFNGGSLPTDFAISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNDVMCSGGHYSFALPAGQWPVMKGGAVTLHTAGVTLSTQFTDYVS -LNSLRFRFRLAAEEPSFTITRTRVSKLYGIPAANPNGGREYYEVAGRFSLISLVPSNDDYQTPIMNSVTV -RQYLERHLNELREEFNNLSQEIAVSQLIDLAMLPLDMFSMFSGIESTVNAAKSMATNVMRKFKSSKLASS -VSMLRDSLSDGASSIARSTSIRSIGSTASAWANISERTQDAVNEVATISSQVSQISGKLRLKEITTQTEG -MNFDDVSGAVLKAKIDRSIQVDQNALPDVITEASEKFIRNRAYRVIDGDEAFEAGTDGRFFAYKVETLEE -MPFNIEKFADLVTNSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSNPKVLRGFIDQNNPIIKNRIEQL -IMQCRL - ->sp|Q8JNB1.1|VP4_ROT46 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTTDLSDEIEEIGSSKSQDVTINPGPFAQTGYAPVDWGLGETNDSTTVAPVLDGPYQ -PITFTPPIEYWALFAPNDKGVVAELTNNADMWLVIILVEPNVPQELRLYTLFGQQVNLTIENTSQTKWKF -IDFRKRSQNDTYILENALLSETKLQAAMKYGGKLFTFTGDTPNAAPQEWGYTTNNYSAISIKSLCDFYIV -PRLPRETCRNYINQGLPPMQNTRNVVSVALSARDVISQRVSINEDIVVSKASLWKEMQYNRDITIRFKFA -NQIIKSGGLGYKWSEISFKPANYQYTYTRNGEEITAHTTCSVNGVNNFSYNGGSLPTDFVISRYEVIKEN -SYVYIDYWDDSQAFRNMVYVRSLAADLNSVTCSGGSYSFALPLGNFPVMSGGAVSLHPSGVTLSTQFTDF -VSLNSLRFRFRLAVEEPPFSITRTRVSRLYGLPAVNPNNAKDFYEIAGRFSLISLIPPNDDYQTPIMNSV -TVRQDLERQLGELRNEFNALSQQIAMSQLIDLALLPLDMFSMFSGIKGTIDIAKSMATNVMKKFRKSNLA -NSVSALTESLSDAASSISRRSTIRTIGSSASAWTEVSTAVIDTTTATNSISTQTATITKRLRLKEMAIQT -DGMNFDDISAAVLKTKIDKSTQIAPNTLPDIVTEASEKFIPNRAYRVMDNDEVFEAGTDGKFFAYRVETF -EEIPFDVQKFADLITDSPVISAIIDFKTLKNLNDNYGITKQQAYNLLRSDPRVLREFINQENPIIRNRIE -NLIMQCRL - ->sp|Q83445.1|VP4_ROTME RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSFTVDLSDEIETIGSEKNQNVTINPGPFAQIGYAPVDWGPGETNDSTTVEPVLDGPYK -PTSFNPPTDYWMLLSPSSPGAVVEGTNNTDRWLATILIEPNVASTTRTYTIFGSKEAITVENTSQNKWKF -IDLAKTSLTGSYSQYGILLSKPKLYAIMKRSGYLYTYSGETPDAITDYYTTTNYDSVNMTAYCDFYIIPW -AQEALCTQYINNGLPPIQNTRNVVARPLSSRSIVVRRAQANEDVVISKASLWKEMQYNRDITIRFKFANA -IIKSGGLGYKWSEISFKPANYQYTYTRDGEEVTAHTTCSVNGVNNFDFFGGALPTDFVISRYEVIKENSF -VYVDYWDDSQAFRNMMYVRSLAADLNSVMCTGGVYEFSLPVGQWPAMTGGAVSLRAAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPSFSITRTRVSGLYGLPAANPNNGREYYEVAGRFSLISLVPSNDDHQTPIMNSVTV -RQDLERQLSELRDEFNALSQEIAMSQLIDLALLPLDMFSMFSGIKATFDAVKSMATSVMKKFKKSGLASS -VSTLTDSLSDAASSMSRSGSIRSISSNSSVWTDVSSTLYDLPSYMSTVSTQTATISKRLRLKEITAQTEG -MNFDDISAAVLKTKIDRSAQITPNTLPDIVTEASEKFIPNRSYRILNNNEAFETSTDGRFFAYRVDTFEE -IPFDVQKFADLVTDLPVISAIIDFKTLKNLNDNYGITREQAFNLIRSDPRVLREFINQDNPIIRNRIEQL -IMQCRL - ->sp|Q83440.1|VP4_ROTMB RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSFTVDLSDEIETIGAEKTKNVTVNPGPFAQTGYAPVNWGPGETSNSTTVEPVLDGPYQ -PIAFSPPSEYYILLSPTVPGVVVECTNTVDRWIATIAIEPNVSKTKRTYTLFGITEQLTVENSYADKWKF -IDFLKASTNGSYARYNILLSSTKLCAVAKHTDRLYSYIRETPNAGQAYYAFSHNIFNLTAHCDFYIIPWA -QQSLCTQYINTGLPPIQNTRNVVARHLSARSIITRRAQANEDIVVSKTSLWKEMQFNRDITIRFKFANAI -IKSGGLGYKWSEISFKPANYQYTYTRDGEEVTAHTTCSVNGVNNFDFFGGSLPTDFGISRYEVLKENSFV -YIDYWDDSQAFRNMVYVRSLAADLNTIECTGGTYEFSLPVGQWPAMTGGAVSLRVAGVTLSTQYTDFVSL -NSLRFRFRLSVEEPPFSITRTRVSGLYGLPAANPNNGKEYYELAGRFSLISLVPSNDNYQTPIMNSVTVR -QDLERQLGELREEFNALSQEIALSQLVDLALLPLDMFSMFSGIKATLDVAKSMATNVMKKFKSSGLATSV -SAMTESLSDAASSVSRSNTIRSISSTSSAWTDVSSRVADLDNAASTVSTQTATISRRLRLKEITTQTEGM -NFDDISAAVLKIKLDKSAQIAPNTLPDIVTEASEKFIPNRSYRIINNNEAFEAGTDGRFFAYRVDTLEEL -PFDVQKFANLVTESPVISAIIDFKTLRNLNDNYGISKEQAFNLLRSDPRVLREFINQGNPIIRNRIEQLI -MQCKL - ->sp|Q6PLR4.1|VP4_ROTTU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYAVDLSDEIDIIGSEKTQNVTINPGPFAQTGYAPVNWGPGETNDSTTIEPILDGPYP -PTTFNPPTGYWMLLSPLEAGVVVEGTNNSDRWLATILIEPNVAAETRTYTLFGVQEQISISNTSSTKWKF -VDLVKTSLTGTYSQYGPLLSDTKLYGAMKYSGRIYTYEGETPNAATGYYSTTNYDSVNMTAFCDFYIIPR -SQESTCTNYINNGLPPIQNTRNVVPVSLASRAIISHRAQANEDIIVSQTSLWKEMQYNRDITIRFKFANA -IVKSGGLGYKWSEISFKPANYQYTYVRDGEEVTAHTTCSVNGINDFSYNGGSLPTDFGISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAADLNSVMCTGGSYNFALPVGQWPVMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPPFSIVRTRISGLYGLPAADPNNGNEYYEIAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELREEFNALSQEIAMSQLVDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKKFKKSSLASS -VSTLTDSLSDAASSMTRNSSIRSVGSSISAWTDVSSQLTDASASTSTIATQTATISRRLRLKEIATQTEG -MNFDDISAAVLKTKIDRSVQISPSTLPDIVTEASEKFIPNRAYRVINNDEVFEAGMDGRFFAYRVETFEE -IPFDVQRFADLVTDSPVISAIIDFKTLKNLNDNYGITRDQAFNLLRSDPRVLREFINQDNPIIRNRIEQL -ILQCRL - ->sp|Q96802.1|VP4_ROTRF RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEIQEIGSTKTQDVTVNPGPFAQTNYAPVNWGPGETNDSTTVEPVLDGPYQ -PTTFNPPVSYWMLLAPTNAGVVVEGTNNTNRWLATILIEPNVQQVERTYTLFGQQVQVTVSNNSQTKWKF -VDLSKQTQDGNYSQHGSLLSTPKLYGVMKHGGKIYTYNGETPNATTDYYSTTNFDTVNMTAYCDFYIIPL -AQEAKCTKYINNGLPPIQNTRNIVPVSIVSRNIVYTRAQPNQDIVVSKTSLWKEMQYNRDIVIRFKFANS -IIKSGGLGYKWSEVSFKPANYQYTYTRDGEEVTAHTTCSVNGINNFNYNGGSLPTDFVISKYEVIKENSF -VYIDYWDDSQAFRNMVNVRSLAADLNSVMCTGGDYSFALPLGHYPVMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPPFSILRTRVSGLYGLPAARPNNSQEYYEIAGRFSLISLVPSNDDYQTPIINSVTV -RQDLERQLGELRDEFNNLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKRFKKSSLANS -VSTLTDSLSDAASSISRNASVRSVSSTASAWTEVSNITSDINVTTSSISTQTSTISRRLRLKEMATQTDG -MNFDDISAAVLKTKIDKSTQLNTNTLPEIVTEASEKFIPNRAYRVIKDDEVLEASTDGKYFAYKVETFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGISRQQALNLLRSDPRVLREFINQDNPIIRNRIESL -IMQCRL - ->sp|Q06895.1|VP4_ROTHY RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVNLSDEIQEIGSTKTQNTTINPGPFAQTGYAPVNWGPGETNDSTTIEPVLDGPYQ -PTSFNPPVGYWMLLSPTTAGVIVEGTNNTDRWLATILIEPNVTSQQRTYTIFGVQEQITIENTSQTQWRF -VDVSKTTQNGSYSQYGPLLSTPKLYAVMKYGGRIHTYSGQTPNATTGYYSATNYDSVNMTTFCDFYIIPR -SEESKCTEYINNGLPPIQNTRNIVPLALSARNVIPLKAQSNEDIVVSKTSLWKEMQYNRDITIRFKFANS -IVKSGGLGYKWSEISFKPANYQYTYMRDGEEVTAHTTCSVNGMNDFSFNGGSLPTDFVISRYEVIKENSY -VYIDYWDDSQAFRNMVYVRSLAANLNSVTCAGGDYNFALPVGQWPYMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLAVEEPSFAIMRTRVSGLYGLPAANPNNGREYYEIAGRFSLISLVPSNDNYQTPIANSVTV -RQDLERQLGELREEFNALSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSIATNVMKKFKRSSLASS -VSTLTDSLSDAASSVSRGSSIRSVGSSVSAWTDVSIQITDVSSSVSSISTQTSTISRRLRLKEMATQTEG -MNFDDISAAVLKTKIDKSIQISPTTLPDIVTEASEKFIPNRAYRVINNDEVFEAGTDGRFFAYRVDTFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGIGKQQAFNLLRSDPRVLREFINQNNPIIRNRIEQL -IMQCRL - ->sp|Q06894.1|VP4_ROTD9 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVNLSDEIQEIGSTKTQNTTINPGPFAQTGYAPVNWGPGETNDSTTIEPVLDGPYQ -PTSFNPPVGYWMLLSPTAAGVIVEGTNNTDRWLATILIEPNVTSQQRTYTIFGVQEQITVENTSQTQWRF -VDVSKTTQNGNYSQHGPLLSTPKLYAVMKYGGRIHTYSGQTPNATTGYYSATNYDSVNMTTFCDFYIIPR -SEESKCTEYINNRLPPIQNTRNIVPLALSARNVISLKAQSNEDIVVSKTSLWKEMQYNRDITIRFKFANS -IVKSGGLGYKWSEISFKPANYQYTYMRDGEEVTAHTTCSVNGMNDFSFNGGSLPTDFVISRYEVIKENSY -VYIDYWDDSQAFRNMVYVRSLAANLNSVICTGGDYNFALPVGQWPYMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLAVEEPSFAIMRTRVSGLYGLPAANPNNGREYYEIAGRFSLISLVPSNDNYQTPIANSVTV -RQDLERQLGELREEFNALSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSIATNVMKKFKKSSLASS -VSTLTDSLSDAASSVSRGSSIRSVGSSVSAWTDVSTQITDVSSSVSSISTQTSTISRRLRLKEMATQTEG -MNFDDISAAVLKTKIDKSIQISPNTLPDIVTEASEKFIPNRAYRVINNDEVLEAGTDGKFFAYRVDTFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGIGKQQAFNLLRSDPRVLREFINQNNPIIRNRIEQL -IMQCRL - ->sp|P35746.2|VP4_ROTBB RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MRSLIYRQLLYNSYSVDLSDEITNIGAEKKENVTVQLGEFAQSQYAPVSWGSGETLSGNVEEQTLDGPYA -PDSSNLPSNCWYLVNPSNDGVVFSVTDNSTFWMFTYLVLPNTAQTNVTVNVMNETVNISIDNSGSTYRFV -DYIKTSSTQAYGSRNYLNTAHRLQAYRRDGDGNISNYWGADTQGDLRVGTYSNPVPNAVINLNADFYVIP -DSQQETCTEYIRGGLPAMQTTTYVTPISYAIRSQRIARPNEDIIISKASLWKEVQYNRDIVIRFVFANNI -IKAGGLGYKWSEISYKANNYQYTYMRDGVEVVAHTTVSVNGVSVYNYNTGPLPTDFMIRNYDVLKESSFV -YVDYWDDSQAFRNMVYVRSLNAELNQVRCEGGHYSFALPVGSWPVMQGGSVILTFDGVTLSTQFTDYVSL -NSLRFRFRCAVSEPSFRVTGTRISNLYGLPAANPMGDQQYYEAAGRFSLILLVPSNDDYQTPIANSVTVR -QDLERQLDEMRREFNELSANIALSQLIDLALLPLDMFSMFSGIQSTVEAAKTFATSVMKKFRKSDLAKSV -NSLTDAITDAAGSISRSSTLRSVNSAASVWTDISDIVDSTDNVVAATATAAAKKFRVKEFTTEFNGVSFD -DISAAVVKTKMSKLNVVDEEILPQIITEASEKFIPNRAYRLIDGEKVYEVTTEGKYFAYLTETFEEVVFD -AERFAELVTDSPVISAIIDFKTIKNLNDNYGITREQALNMLRSDPKVLRSFINQNNPIIKNRIEQLILQC -RI - ->sp|P25173.2|VP4_ROTB4 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYAVDLSDEIQSVGSEKNQRVTVDPGPFAQTGYAPVNRGPGEVNDSTVVQPVLDGPYQ -PAPFDLPVGNRMLLAPTGPGVVVEGTDNSGRWLSVILIEPGVTSETRTYTMFGSSKQVLVSNVSDTKWKL -FEMMKTAVDGDYAEWGTLLSDIKIYGMMKYGERLFIYEGETPNARTKGYIVTNYTSVEVRPYSDFYIISR -SQESACTEYINNGLPPIQNTRNVVPLAISSRSIKPRKVQPNEDIVVSKTSLWKELQYNRDIIIRFRFDNS -IIKAGGLGYKWAEISFKAANYQYNYISDGEEVTAHTTCSVNGVNDFSFNGGSLPTDFAISRYEVIKENSY -VYVHYWDDSQAFRNMVYVRSLAANLNDVMCSGGDYSFALLVGQWPVMKGGAVTLHTAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPSFTITRTRVSKLYGLPAANPNGGREYYEVAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERRLNELREEFNNLSQEIAVSQLIDLAILPLDMFSMFSGIEGTVNAAKSMATNVIRKFKSSKLASS -VSMLTDSLSDAASSISRSTSIRSIGSTASAWTNISKQTQDAVNEVATISSQLSQISGKLRLKEITTQTEG -MSFDDISAAVLKANIDRSIQVDKNALPDVITEASEKFIRNRAYRVIDGDEAFEASTDGRFFAYKVETLEE -MPFDIEKFADLVTRSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSNPKVLRGFMDQNNPIIKNRIEQL -IMQCRL - ->sp|Q09113.1|VP4_ROTHU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MRSLIYRQLLYNSYSVDLSDEITNIGAEKKENVTVQIGEFAQSQYAPVSWGSGETLSGNVEEQPLDGPYT -PDKSNLPSNYWYLINPSNDGVVFSVTDNSTLWMFTYLVLPNTAQTSVVVNVMNETVNISIDNSGSAYKFV -DYFKTSSAQAYRSRNFLITAHRLQAYKRDGDGNISNYWGSDAYGDLRVGTYFNPVPNAVINLNADFYVIP -DSQQEMCTEYIRRGLPAIQTTTYVTPISYAVRSQRIARPNEDITISKASLWKEVQYNRDIVIRFVFANNI -IKAGGLGYKWSEISYKANNYQYTYMRDGIEVVAHTTVSVNGVSVYDYNTGSLPTDFTIRNYDVLKESSFV -YVDYWDDSQAFRNMVYVRSLNAELNQVQCVGGHYSFALPVGSWPVMQGGSVVLTFDGVTLSTQFTDYVSL -NSLRFRFRCAVSEPPFRVTGTRISNLYGLPAANPMGDQQYYEASGRFSLISLVPSNDDYQTPIANSVTVR -QDLERQLDEMRREFNELSANIALSQLIDLALLPLDMFSMFSGIRSTIEAAKNFATSVMKKFRKSNLAKSV -NSLTDAITDAAGSISRSSTLRSANSAVSVWTDISDIVDSTDNVVTATATAAAKKFRVKEFTTEFNGVSFD -DISAAVVKTKMNKLNVVDEEMLPQIITEASEKFIPNRAYRLIDGDKVYEVTTEGKYFAYLTETFEEVMFD -AERFAELVTYSPVISAIIDFKTIKNLNDNYGITREQALNMLRSDPKVLRSFINQNNPIIKNRIEQLILQC -RI - ->sp|Q08010.1|VP4_ROTB9 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLVYRQLLANSYTSDLQDTIDDISAQKTENVTVNPGPFAQTGYALVEWTHGDITTDETVQQTLDGPYA -PSSVIIQPQYWVLMNPETADVIAEADATNKKYACVMLAPNTEEGDKQYTILGRQITINLGNTDQNRYKFF -DLASENGETYSKIQELLTPNRLNAFMKDQGRLYVYHGTVPNISTGYYTLDDIANVQTNIKCNYYIVPKSQ -TQQLEDFLKNGLPPIQESRYIMPVERSVQNIYRAKPNEDIVISKTSLWKEMQYNRDIVIRFKFGNTIIKS -GGLGYKWSEISYKPMNYEYTYERDGETVVAHTTCSVAGVNDFGYNSGSLPTDFVVSKYEVLKGNSYVYID -YWDDSQAFKNMVYVRSLSAEFNAINCTGGTYDFQLPVGQWPQMRGGNVTLNSDAVTLSTQYTDFVSLNSL -RFRFKPAIGEPFFEITRTRETRLYGLPASNPMGGNEYYETAGRFSLISLVPSNDDYQTPIQNSTTVRQDL -EQQISDLREEFNQLSSEIAMSQLIDLALLPLDMFSMFSGIKSTIDAVKSVTTSVMKKMKTSTLAKSVSTI -TEELSDAATSVSRASSIRSNASVWNNLVDTRTQTSVATNDIATQTSRIASKLRVKEFATQTEGGLSFNDI -SAAVLKTKIDKIETVQPKILPTIITESVDKFIPTRQYRIIDKDIAYEISNSGKYFAYRVDTFEEVIFDVE -KFADLVTDSPVISAIIDFKTLKNLNDNFGITKEQAYNLLRSDPRVLKDFINQNNPIIRNRIEQLILQCRI - ->sp|P39033.1|VP4_ROTH3 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLSNSYVTNISDEVNEIGTKKTTNVTVNPGPFAQTGYAPVDWGHGELPDSTLVQPTLDGPYQ -PTSLNLPVDYWMLIAPTREGKVAEGTNTTDRWFACVLVEPNVQNTQRQYVLDGQNVQLQVSNDSSTSWKF -ILFIKLTPDGTYTQYSTLSTPHKLCAWMKRDNRVYWYQGATPNASESYYLTINNDNSNVSSDAEFYLIPQ -SQTAMCTQYINNGLPPIQNTRNIVPVNITSRQIKDIRAQINEDIVISKTSLWKEMQYNRDIIIRFKFANS -IIKSGGLGYKWSEISFKPMNYQYTYTRDGEEVTAHTTCSVNGVNDFNYNGGTLPTDFAISRFEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNDVVCSGGSYSFALPVGNHPVMSGGAVTLTSAGVTLSTQYTDYVS -LNSLRFRFRLAVSEPSFSISRTRMSGIYGLPAVNPNNNAEYYEIAGRFSLISLVLTNDDYQTPIANSVTV -RQDLERQLGELREEFNSLSQEIAVSQLIDLATLPLDMFSMFSGIKSTVEAVKSMTTNVMKRFKTSSLANA -ISDLTSNMSEAASSVRLTSVRSVGTVTLPRARVSLQVSDDLRSMQDVSTQVSNVSRNLRLKEFTTQTDTL -SFDDISAAVLKTKLDKSTQISQQTMPDIIAESSEKFIPKRSYRIVDEDTAFETGIDGTFYAYKVDTFNEI -PFDMERFNKLVTDSPVLSAIIDFKTLKNLNDNYGITKKQAMELLHSNPKTLKEFINNNNPIIRNRIENLI -SQCRL - ->sp|P39034.1|VP4_ROTF1 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLSNSYVTNISDEVNEIGTKKTTNVTVNPGPFAQTGYAPVDWGHGELPDSTLVQPTLDGPYQ -PTSLNLPVDYWMLIAPTREGRVAEGTNTTDRWFACVLVEPNVQNTQRQYVLDGQNVQLQVSNDSSTSWKF -ILFIKLTPDGTYTQYSTLSTPHKLCSWMKRDNRVYWYQGSSPNASESYYLTINNDNSNVSSDAEFYLIPQ -SQTAMCTQYINNGLPPIQNTRNIVPVNIASRQIKDIRAQMNEDIVISKTSLWKEMQYNRDIIIRFKFANS -IIKSGGLGYKWSEISFKPMNYQYTYTRDGEEVTAHTTCSVNGVNDFNYNGGTLPTDFAISRFEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNDVVCSGGSYSFALPVGNHPVMSGGAVTLTSAGVTLSTQYTDYVS -LNSLRFRFRLAVSEPSFSISRTRMSGIYGLPAVNPNNNAEYYEIAGRFSLISLVPTNDDYQTPIANSVTV -RQDLERQLGELREEFNSLSQEIAVSQLIDLATLPLDMFSMFSGIKSTVEAVKSMTTNVMKRFKTSSLANA -ISDLTSNMSEAASSVRLTSVRSIGTVTLPRARVSLQVSDDLRSMQDVSTQVSNVSRNLRLKEFTTQTDTL -SFDDISAAVLKTKLDKSTQISQQTMPDIIAESSEKFIPKRSYRIVDEDTAFETGIDGTFYAYKVDTFNEI -PFDMERFNKLITDSPVLSAIIDFKTLKNLNDNYGITKKQAMELLHSNPKTLKEFINNNNPIIRNRIENLI -SQCRL - ->sp|Q08778.1|VP4_ROTHQ RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLSNSYVTNISDEVSEIGARKTANVTVNPGPFAQTGYAPVNWGHGELSDSTLVQPTLDGPYQ -PTTFNLPIDYWMLIAPTQIGRVAEGTNTTNRWFACVLVELNVQNTQREYVLDGQTVQLQVSNDSSTLWKF -ILFIKLEKNGTYTQYSTLSTSNKLCAWMKREGRVYSYAGVTPNASESYYLTINNDDSNVSSDAEFYLIPQ -SQTELCTQYINNGLPPIQNTRNVVPVSLTSREIRHSRAQMNEDIVVSKTSLWKEMQYNRDITIRFKFANS -IVKSGGLGYKWSEISFKPMNYQYTYTRDGEEITAHTTCSVNGVNDFTYNGGPLPTDFAISRFEVIKENSY -VYIDYWDDSQAFRNMVYVRSLAADLNDVVCSGGDYSFALPVGAYPIMSGGAVTLSPAGVTLSTQFTDYVS -LNSLRFRFRLAVSEPSFSISRTRLSGIYGLPAANPNNSVEYYEIAGRFSLISLVPTNDDYQTPIANSVTV -RQDLERQLGELREEFNSLSQEIALSQLIDLATLPLDMFSMFSGIKSTVEAVKSMTTNIMKKFKTSNLANA -ISDLTNSMSDAASSISRSASVRSIGSNTTMRISTAIQTGEDLRTMTDASTQISNVSRSLRLREFTTQTDN -LSFDDISAAVLKTKLDKSTQISQTTIPDIISESSEKFIPMRTYRVMDNDTGFETGIDGTFYAYRIDTFDE -IPFDVEKFNRLITDSPVLSAIIDFKTLKNLNDNYGITKTQAMELLQSNPRTLKEFINSNNPIIRNRIENL -IAQCRL - ->sp|P36307.1|VP4_ROTBA RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLYNSYSVDLSDEITNIGAEKKENVTVQIGGFAQSQYAPVSWGSGETLSGNVEEQTLDGPYA -PDSSNLPSNCWYLVNPSNDGVVFSWTDNSTFWMFTYLVLPNTAQTNVTVNVMNETVNISIDNSGSTYRFE -DYIKTSPTQSYGSRNYLNTAHRLQAYRRDGDGNISNYWGADTQGDLRVGTYSHPVPNAVINLNADFYVIP -DSQQEICTEYIRGGLPAMQTTTYVTPISYAVRSQRVARPNEDIIISKASLWKEVQYNRDIVIRFVFANNI -IKAGGLGYKWSEISYKANNYQYTYMRDGVEVVAHTTVSVNGVSVYNYNTGPLPTDFMIRNYDVLKESSFV -YVDYWDDSQAFRNMVYVRSLNAELNQVRCVGGHYSFALPVGSWPVMQGGSVILTFDSVTLSTQFTDYVSL -NSLRFRFRCAVSEPPFRVTGTRISNLYGLPAANPMGDQQYYEASGRFSLISLVPSNDDYQTPIANSVTVR -QDLERQLDEMRREFNELSANIALSQLIDLALLPLDMFSMFSGIQSTVEAAKTFATSVMKKFRKSDLAKSV -NSLTDAITDAAGSISRSSTLRSVNSVASVWTDISDIVDSTDNVVAATATAAAKKFRVKEFTTEFNGVSFD -DISAAVVKTKMNKLNVVDEELLPQIITEASEKFIPNRAYRLIDGEKVYEVTTEGKYFAYLTETFEEVVFD -AERFAELVTDSQVISAIIDFKTIKNLNDNYGITREQALNMLRSDPKVLRSFINQNNPIIKNRIEQLILQC -RI - ->sp|P36306.1|VP4_ROTB6 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYAVDLSDEIQSVGSEKNQRVTVNPGPFAQTGYAPVNWGPGEVNDPTVVQPVLDGPYQ -PASFDLPVGNWMLLAPTGPGVVVEGTDNSGRWLSVILIEPGVTSETRTYTMFGSSKQVVVSNVSDTKWKF -VEMMKTAVDGDYRNGGTLLSDTKLYGMMKYGERLFIYEGETPNVTTKGYIVTNYASVEVRPYSDFYIISR -SQESACTEYINNGLPPIQNTRNVVPVAISSRSIKQREEQANEDIIVSKTSLWKEMQYNRDIIIRFKFDNS -IIKSGGLGYKWAEISFKAANYQYNYIRDGEEVTAHTTCSVNGVNDFSFNGGSLPTDFAISRYEVIKENSY -VYIDYWDDSQAFRNMVYVRSLAANLNDVMCSAGDYSFKLPAGQWPVMKGGAVTLHTAGVTLSTQFTDFVS -LNSLRFRFRLAVEEPSFTITRTRVSKLYGLPAANPNGGREYYEVAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERRLNELREEFNNLSQEIAVSQLIDLAMLPLDMFSMFSGIEGTVNAAKSMATNVMRKFKSSKLASS -VSMLTNSLSDAASSVSRSSSIRSIGSTASAWANISEQTQDAVSEVATISSQVSQISGKLRLKEITTQTEG -MNFDDISAAVLKAKIDRSIQVDQNAFPDVIAEASEKFIRNRAYRVIDGDEAFEAGTDGRFFAYKVETLEE -MPFNIGKFADLVTNSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSNPKVLRGFIDQNDPIIKNRIEQL -IMQCRL - ->sp|P36305.1|VP4_ROTB5 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEIQEIGSTKSQSVTINPGPFAQTSYAPVNWGPGETNDSTVVEPVLDGPYQ -PTTFNPPVSYWMLLTPTDAGVEVEGTNNTNRWLATILIEPNVQSEERTYTLFGQQVQITVSNDSQTKWKL -VDVSKQTQDGNFSQHRQLLSTPKLYGVMKHGGKIYTYNGETPNANTGYYSTTNYDSVNMTAYCDFYIIPL -AQEAKCTEYINNGLPPIQNTRNVVPVSISSRSIVHTRAKANEDIIVSKTSLWKEMQYNRDIIIRFKFANS -IVKSGGLGYKWSEVSFKPANYQYTYTRDGEEVTAHTTCSVNGVNDFNYNGGSLPTDFVISKYEVIKENSC -VYIDYWDDSKAFRNMVYVRSLAANLNSVMCPGGDYSFALPVGNYPVMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPSFSIMRTRVSGLYGLPAAKPNNSQEYYEIAGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELRDEFNNLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKKFKKSNLANS -VSTLTDSLSDAASSVSRSSSVRSLGSTASAWTEVSEVATEVNELTNSISTQTSTISKRLRLKEMATQTDG -MNFDDISAAVLKTKIDKSTQINANTLPDIVTEASEKFIPNRTYRVIADDEVLEASTDGRFFAYKVETFEE -VPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGINKQQALNLLRSDPKVLREFINQNNPIIRNRIENL -IMQCRL - ->sp|Q01641.1|VP4_ROTHJ RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLSNSYVTNISDEVNEIGTKKTTNVTVNPGPFAQTGYAPVDWGHGELPDSTLVQPTLDGPYQ -PTSLNLPVDYWMLIAPTREGKVAEGTNTTDRWFACVLVEPNVQNTQRQYVLDGQNVQLHVSNDSSTSWKF -ILFIKLTPYGTYTQYSTLSTPHKLCAWMKRDNRVYWYQGATPNASESYYLTINNDNSNVSSDAEFYLIPQ -SQTAMCTQYINNGLPPIQNTRNIVPVNITSRQIKDVRAQMNEDIVISKTSLWKEMQYNRDIIIRFKFANS -IIKSGGLGYKWSEISFKPMNYQYTYTRDEEEVTAHTTCSVNGVNDFNYNGGTLPTDFAISRFEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNDVVCSGGSYSFALPVGNHPVMSGGAVTLTSAGVTLSTQYTDYVS -LNSLQFRFRLAVSEPSFSISRTRMSGIYGLPAVNPNNSAEYYEIAGRFSLISLVPTNDDYQTPIANSVTV -RQDLERQLGELREEFNSLSQEIAVSQLIDLATLPLDMFSMFSGIKSTVEAVKSMTTNVMKRFKTSSLANA -ISDLTSNMSEAASSVRLTSVRSVGTITLPRARVSLQVGDDLRSMQDVSTQVSNVSRNLRLKEFTTQTDTL -SFDDISAAVLKTKLDKSTQISQQTMPDIIAESSEKFIPKRSYRIVDEDIRFETGIDGTFYAYKVDTFNEI -PFDMERFNKLITDSPVLSAIIDFKTLKNLNDNYGITKKQAMELLHSNPKTLKEFINNNNPIIRNRIENLI -SQCRL - ->sp|P30214.1|VP4_ROTH5 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQSVTVNPGPFAQTRYAPVNWGHGEINDSTTVEPVLDGPYQ -PTTFKPPNDYWFLISSNTDGVVYESTNNNDFWTAVIAVEPHVSQTNRQYILFGENKQFNVENNSDKWKFF -EMFKGSSQGDFSNRRTLTSNNRLVGMLKYGGRVWTFHGETPRATTDSSNTADLNNISIMIHSEFYIIPRS -QESKCNEYIKNGLPPIQNTRNVVPLSLSSRSIQYRRAQVNEDITISKTSLWKEMQYNRDIIIRFKFGNSV -IKLGGLGYKWSEISYKAANYQYSYSRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSISRYEVSKENSYV -YIDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYDFRLPVGGWPIMNGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVDEPSFSIIRTRTINLYGLPAANPNNGNEYYEMSGRFSLISLVLTNDDYQTPIMNSVTVR -QDLERQLNDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRSASIRSNLSTISNWTNTSKSVSNVTDSVNDVSTQTSTISKKLRLREMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRVLKDNEVMEINTEGKFFAYKVDTLNEI -PFDINKFAELVTDSPVISAIIDFKTLKNLNDNYGITRIEAFNLIKSNPNVLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|P25174.1|VP4_ROTPY RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLNYRQLLTNSYTVNLSDEIQEIGSAKAQNVTINPGPFAQTGYAPVNWGAGETNDSTTVEPLLDGPYQ -PTTFNPPTSYWVLLAPTVEGVIIQGTNNTDRWLATILIEPNVQTTNRTYNLFGQQVTLSVDNTSQTQWKF -IDVSKTTLTGNYTQHGPLFSTPKLYAVMKFSGRIYTYNGTTPNATTGYYSTTNYDTVNMTSFCDFYIIPR -NQEEKCTEYINHGLPPIQNTRNVVPVSLSAREIVHTRAQVNEDIVVSKTSLWKEMQYNRDITIRFKFDRT -IIKAGGLGYKWSEISFKPITYQYTYTRDGEQITAHTTCSVNGVNNFSYNGGSLPTDFAISRYEVIKENSF -VYIDYWDDSQAFRNMVYVRSLAANLNTVTCTGGSYSFALPLGNYPVMTGGTVTLHPAGVTLSTQFTDFVS -LNSLRFRFRLTVGEPSFSITRTRVSRLYGLPAANPNNQREHYEISGRLSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELRDEFNSLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKRFKRSNLASS -VSTLTDAMSDAASSISRSSSIRSIGSSASAWTEVSTSITDISTTVDTVSTQTATIAKRLRLKEIATQTDG -MNFDDISAAVLKTKIDKSAQITPSTLPEIVTEASEKFIPNRTYRVINNDEVFEAGMDGKFFAYRVDTFDE -IPFDVQKFADLVTDSPVISAIIDLKTLKNLKDNYGISKQQAFDLLRSDPKVLREFINQNNPIIRNRIENL -IMQCRL - ->sp|P23045.1|VP4_ROTPG RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEIKTIGSEKSQNVTINPGPFAQTTYAPVTWRHGEVNDSTTVEPVLDGPYQ -PTSFKPPNDYWILLNPINKGVVFKGTNRTDVWVAILLIEQRVPSQDRQYTLFGEVKQITVENSSDKWKFF -EMFRNNANIDFQLQRPLTSDTKLAGFLTHGGRVWTFNGETPHATTDYSTTSNLPDVEVVIHTEFYIIPRS -QESKCNEYINTGLPPMQNTRNVVPVALSSRSITYQRAQVNEDIIISKTSLWKEMQYNRDITIRFKFGNSI -VKLGGLGYKWSEVSFKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSVSRYELIKENSYV -YIDYWDDSQAFKNMVYVRSLAANLNSVKCSGGNYNFKIPVGAWPVMSGGAVSLHFAGVTLSTQFTNFVSL -NSLRFRFSLTVEEPSFSILRTRVSGLYGLPAANPNNGNEYYEIAGRFSLILLVPSNDDYQTPIMNSVTVR -QDLERQLGDLREEFNSLSQEIAMTQLIDLALLPLDMFSMFSGIKSTIDVAKSMATNVMKKFKKSGLATSI -SELTGSLPSAASSVSRSSSIRSNISSISVWTDVSEQIADASNSVRSISTQTSAISKRLRLREITTQTEGM -NFDDISAAVLKTPLDKSTHISPDTLPDIITESSEKFIPKRAYRVLKNDEVMEADVDGKFFAYRVDTFEEV -PFDVDKFVNLATASPVISAIIDFKTLKNLNDNYGITRSQALDLIRSDPRVLRDFINQNNPIIKNRIEQLI -LQCRL - ->sp|P26193.1|VP4_ROTPC RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASSLYQQLISQNYYSIGNEILTDQQTTETVVDYVDAGNYTYAQLPPTKWGARGTFKSAFNVSNITGPHT -NTIIEWSNLLNSNGWVIYQKPANTTKLFKHGPETYNSNLAAFELWYGKAGTSVTSDYYSSLQNNEKTVTA -TSDSLILFWNEGSTVLANKKVNFSWDMGGMLIKPTRGNRVDICMANMNDFNSSIFNWEEWKHEFPRSDVN -INVNMYTDYYLASEDPYTELKALQQPNITTFEMKMMKIIRNGSINLNEVVSKDSLWQEVRYARDITLECK -IESEVVKGGGWGYDYTSVAFKTVNHTYTYTRAGEIVNAHVTISFNNMKERSYGGSLPTDFKIGRFDVIDT -DTYMYIDYWDDSEIFKNMVYVRDLSANIGGFFYYAEMSYYFQIPVGAHPGLHSSGVRFVYERCLLSQQFT -DQVALNSMRFIFRVTESNGWFMTSGNINTRRIASGTGFAYADGHTSQTVGNITFISLIPSNPNYQTPIAS -SSTVRMDLERKINDLRNDFNQLANSVALGDILSLATSPLTFANLLESVPAIASSVKDVAANVMKKFRNTK -MFKKATKAKYSEFIIGDLLEDVTNVARNSNGMNFDDITSAVMVSTTNKLQLTDVDTLSEIVARSADNFIP -NRSYRMIEDGIVYEATPKRTFSYDLTTLQQREFDIDKFMRLASKSPVISAIVDFATLKAMRETYGVGTDV -IYKLVASDAPTILSFIDNNNPLIKSRIEELLRQCRL - ->sp|P11114.1|VP4_ROTP5 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVNLSDEIQEIGSAKSQDVTINPGPFAQTGYAPVNWGAGETNDSTTVEPLLDGPYQ -PTTFNPPTSYWVLLAPTVEGVIIQGTNNTDRWLATILIEPNVQTTNRIYNLFGQQVTLSVENTSQTQWKF -IDVSTTTPTGSYTQHGPLFSTPKLYAVMKFSGRIYTYNGTTPNATTGYYSATNYDTVNMTSFCDFYIIPR -NQEEKCTEYINHGLPPIQNTRNVVPVSLSAREIVHTRAQVNEDIVVSKTSLWKEMQCNRDITIRFKFDRT -IIKAGGLGYKWSEISFKPITYQYTYARDGEQITAHTTCSVNGVNNFSYNGGSLPTDFAISRYEVIKENSF -VYIDYWDDSQAFRNMVYVRSLAANLNTVTCTGGSYTFALPLGHYPVMTGGTVSLHPAGVTLSTQFTDFVS -LNSLRFRFRLTVGEPSFSITRTRVSRLYGLPAANPNNQREYYEISGRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGELRDEFNSLSQQIAISQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKRFKRSNLASS -VSTLTDAMSDAASSISRSSSIRSIGSSASAWTEVSNSIADVSTTVDTVSTQTATIAKRLRLKEIATQTDG -MNFDDISAAVLKTKIDKSVQITPNTLPEIVTEASEKFIPNRTYRVINNDEVFEAGMDGKFFAYRVDTFDE -IPFDVQKFADLVTDSPVISAIIDLKTLKNLKDNYGISKQQAFDLLRSDPRVLREFINQNNPIIRNRIENL -IMQCRL - ->sp|P11194.2|VP4_ROTHV RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTINPGPFAQTRYAPVNWGHGEINDSTTLEPILDGPYQ -PTTFTPPNDYWILINSNTNGVVYESTNNSDFWTAVVAIEPHVNPVDRQYTIFGESKQFNVSNNTNKWKFF -EMFISSSQNEFYNRRTLTSDTRLVGILKYGGRVWTFHGETPRATTDSSSTANLNNISITIHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLPLSSRSIQYKRAQVNEDIIVSKTSLWKEMQYYRDIIIRFKFGNSI -VKVGGLGYKWSEISYKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFGISRYEVIKENSYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYDFSIPVGAWPVMNGGAVSLHFDGVTLSTQFTDFVSL -NSLRFRLSLTVDEPSFSIMRTRIVNLFGFPAANPNNGNEYYEISGRFSLISLVPTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMAQLIDLALLPSDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRNVSIRSNLSAISNWTNVSNDVSNVADSLNDVSTQTSTISKKLRLKEMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRILKDDEVMEINTEGKFFAYKINTFDEV -PFDVNKFAELVTDSPVISAIIDFKTLKNLNDNYGITRTEAFNLIKSNPTMLRNFINQNHPIIRNRIEQLI -LQCRL - ->sp|P11195.2|VP4_ROTHP RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTVNPGPFAQTRYAPVNWGHGEINDSTTVELILDGPYQ -PTTFTPPTDYWILINSNTNGVVYESTNNSDFWTAVVAVEPHVNPVDRQYLIFGENKQFNVSNDSDKWKFL -EMFRSSSQNEFYNRRTLTSDTRLVGILKYGGRVWTFHGETPRATTDSSNTANLNNISITIHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLSLSSRSIQYKRAQVNEDITISKTSLWKEMQYNGDIIIRFKFGNSI -IKPGGLGYKWSEISFKAANYQYNYLRDGEQVTAHTTCSVNGINNFSYNGGYLPTDFSVLRYEVIKENSYV -YVDYWDDSKAFRNMVYVRSLAANLNSVKCTGGSYDFSIPVGAWPVMNGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVDEPSFSILRTRTVNLYGLPAANPNNGNEYYEISGRFSLISLVPTNDDYQTPIMNSVTVR -QDLERQLTDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIHLTKSMATSVMKKFRKSKLATSV -SEMTNSLSDAASSASRSVSVRSNISAISNWTNVSDDVSNVTDSVNDVSTQTSTISKKLRLKEMITQTEGM -SFDDISAAVLKTKIDKSTQIRKNTLPDIVEEASEKFIPKRSYRILKDDEVMEINTEGKFFAYKIDTLNEV -PFDVNKFTELVTNTPVISAIIDFKTLKNLNDNYGITRTEAFNLIKSNPNVLRNFINQNHPIIRNRIEQLI -LQCRL - ->sp|P11199.2|VP4_ROTHN RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEINTIGSEKSQNVTINPGPFAQTNYAPVVLESWEVNDSTTIEPVLDGPYQ -PTSFKPPSDYWILLNPTNQQVVLEGTNKTDIWVALLLVEPNVTNQSRQYTLFGETKQITVENNTNKWKFF -EMFRSSVSAEFQHKRTLTSDTKLAGFLKHYNSVWTFHGETPHATTDYSSSTSNLSEVETTIHVEFYIIPR -SQESKCVEYINTGLPPMQNTRNIVPVALSSRAVTYQRAQVNEDIIISKTSLWKEMQYNRDIIIRFKFNNS -IVKLGGLGYKWSEISFKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGPLPTHFSVSRYEVIKENSY -VYVNYWDDSQAFRNMVYVRSLTANLNSVKCSGGNYNFQLPVGAWPVMSGGAVSLHFAGVTLSTKFTDFVS -LNSLRFRFSLTVEDPPFSILRTRVSGLYGLPAFNPNSEHEYYEIARRFSLISLVPSNDDYQTPIMNSVTV -RQDLERQLGDLREEFNSLSQEIAMTQLIDLALLPLDMFSMFSGIKSTIDAAKSMATKVMKKFKRSGLATS -ISELTRSLSNAASSVSRSSSIRSNISSISVWTDVSEQITGSSDSVRNISTQTSASRRLRLREITTQTEGM -NFIDISAAVLKTKIDKSTHISPDTLPDIIETESSEKFIPKRAYRVLKDDEVMEADVDGKFFAYKVDTFEE -VPFDVDKFVDLVTDSPVISAIIDFKTLKNLNDNYGITRSQALDLIRSDPRVLRDFINQNNPIIKNRIEQL -ILQCRL - ->sp|P11197.2|VP4_ROTHM RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVELSDEINTIGSEKTQNVTINPGPFAQTNYAPVVLESWEVNDSTTIEPVLDGPYQ -PTSFKPPSDYWILLNPTDQQVVLEGTNKTDIWIALLLVEPNVTNQSRQYTLFGETKQITVENNTNKWKFF -EMFRKNVSAEFQHKRTLTSDTKLAGFLKHYNSVWTFHGETPHATTDYSSTSNLSEVETVIHVEFYIIPRS -QESKCVEYINTGLPPMQNTRNIVPVALSSRSVTYQRAQVNEDIIISKTSLWKEMQCNRDIIIRFKFNNSI -VKLGGLGYKWSEISFKAANYQYNYLRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSVSRYEVIKENSYV -YVDYWDDSQAFRNMVYVRSLAANLNSVKCSGGNYNFQLPVGAWPVMSGGAVSLHFAGVTLSTQFTDFVSL -NSLRFRFSLTVEEPPFSILRTRVSGLYGLPAFNPNSGHEYYEIAGRFSLISLVPSNDDYQTPIMNSVTVR -QDLERQLGDLREEFNSLSQEIAMTQLIDLALLPLDMFSMFSGIKSTIDAAKSMATKVMKKFKRSGLATSI -SELTGSLSNAASSISRSSSIRSNISSISVWTDVSEQIAGSSDSVSNISTQMSAISRRLRLREITTQTEGM -NFDDISAAVLKTKIDRSTHISPDTLPDIMTESSKKFIPKRAYRVLKDDEVMEADVDGKFFAYKVDTFEEV -PFDVDKFVDLVTDSPVISAIIDFKTLKNLNDNYGITRSQALDLIRSDPRVLRDFINQNNPIIKNRIEQLI -LQCRL - ->sp|P21284.1|VP4_ROTHL RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYSVDLHDEIEQIGSEKTQNVTINPGPFAQTRYAPVNWRHGEINDSTTVEPVLDGPYQ -PTTFKPPNDYWLLISSNTDGVVYESTNNSDFWTAVIAVEPRVSQTNRQYILFGENKQFNIENNSDKWKFF -EMFKGSSQSNFSNRRTLTSNNRLVGMLKYGGRVWTFHGETPRATTDSSNTADLNNISIVIHSEFYIIPRS -QESKCNEYINNGLPPIQNTRNVVPLSLSSRSIQYRRAQVNEDITISKTSLWKEMQYNRDIIIRFKFGNSV -IKLGGLGYKWSEISYKAANYQYSYSRDGEQVTAHTTCSVNGVNNFSYNGGSLPTDFSISRYEVIKENSYV -YIDYWDDSKAFRNMVYVRSLAANLNSVKCAGGSYNFRLPVGEWPIMNGGAVSLHFAGVTLSTQFTNFVSL -NSLRFRFSLTVDEPSFSIIRTRTVNLYGLPAANPNNGNEYYEMSGRFSLISLVPTNDDYQTPIMNSVTVR -QDLERQLSDLREEFNSLSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDLTKSMATSVMKKFRKSKLATSI -SEMTNSLSDAASSASRSASVRSNLSVISNWTDASKSTSNITDLVNDVSTQTSTISKKLRLKEMITQTEGM -SFDDISAAVLKTKIDMSTQIGKNTLPDIVTEASEKFIPKRSYRVLKDNEVMEINTEGKFFAYKVDTLNEI -PFDINKFAELVTDSPVISAIIDFKTLKNLNDNYGITRMEALNLIKSNPNVLRNFINQNNPIIRNRIEQLI -LQCKL - ->sp|P26451.1|VP4_ROTH6 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MRSLIYRQLLTNSYTVDLSDEIESIGSKNTQNVTINPGPFAQTGYAPVNWGPGEVNDSTTVEPTLDGPYQ -PTSFNPPVNYWMLLAPLNAGVIVEGTNNTNRWLATILVEPGVASTTRTYTLFGIQEQITVENSSNTKWKF -IDLMKTTSSGTYTQHSPLLSEPKLYGIMKHGGQLWTYNGETPNAITNGYPTTNYDSVNMTSFCNFYIIPR -SQESVRTGYINNGLPPIQNTRNIVPVSISSRSIIHQRAQANEDIIVSKTSLWKEMKYNRDITIRFKFANA -IIKSGGLGYKWSEISFKPANYQYTYTRDGEEVNAHTTCSVNGVNDFSFNGGSLPTDFVISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAADLNDVLCTGGDYSFALPVGQWPVMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPHFSITRTRVTGLYGLPAANPNNNNEYYEVAGRFSLISLVPSNDDYQTPIANSVTV -RQDLERQLGELREEFNALSQEIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKKFKKSGLATS -VSTLTDSLSDAASSISRGSSIRSIGSSASAWTDVSTQLIDVSSTVNTISTQTSTISRRLRLKEIATQTEG -MNFDDISAAVLKTKIDRSTQIAPATLPDIVTEASEKFIPNRAYRVMDNNEVLEASTDGRLCAYRVETFEE -IPFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGISREQAFNLLRSDPRMLREFINQDNPIIRNRIEQL -ILQCRL - ->sp|P12474.1|VP4_ROTBU RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLANSYAVNLSDEIQSVGSGKNQRVTVNPGPFAQTGYAPVNWGPGEVNDSTVVQPVLDGPYQ -PAPFDLPVGNWMLLAPTRPGVVVEGTDNSGRWLSVILIEPGVASETRTYMMFGSSKQVVVSNVSDTKWKF -VEMVKTAVDGDYAEWGTLLSDTKLYGMMKYGRRLFIYEGETPNATTKGYFITNYASAEVRPYSDFYIISR -SQESACTEYINNGLPPIQNTRNVVPVAISSRSIKPREVQANEDIVVSKTSLWKEMQYNRDIIIRFKFDNS -IIKSGGLGYKWAEISFKAANYQYNYMRDGEEVTAHTTCSVNGVNDFSFNGGSLPTDFAISRYEVIKENSY -VYVDYWDDSQAFRNMVYVRSLAANLNDVMCSGGHYSFALPVGQWPVMKGGAVTLHTAGVTLSTQFTDFVS -LNSLRFRFRLAVEEPSFTITRTRVSKLYGLPAANPNGGKEYYEVAGRFSFISLVPSNDDYQTPIMNSVTV -RQDLERRLNELREEFNNLSQEIAVSQLIDLAMLPLDMFSMFSGIESTVNAAKSMATNVMRKFKSSKLASS -VSMLTDSLSDAASSIARSTSVRSIGSTASAWANISEQTQDAVSEVATISSQVSQISGRLRLKEITTQTEG -MNFDDISAAVLKAKIDRSIQVDQNALPDVITEASEKFIRNRAYRVIDGDEAFEAGTDGRFFAYKVETLEE -MPFNMEKFADLVTNSPVISAIIDFKTLKNLNDNYGITREQAFNLLRSDPKVLRGFIDQNNPIIKNRIEQL -IMQCRL - ->sp|P08713.1|VP4_ROTBC RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEIQEIGSTKTQNVTVNPGPFAQTNYASVNWGPGETNDSTTVEPVLDGPYQ -PTTFNPPVSYWMLLAPTNAGVVDQGTNNTNRWLATILIKPNVQQVERTYTLFGQQVQVTVSNDSQTKWKF -VDLSKQTQDGNYSQHGPLLSTPKLYGVMKHGGKIYTYNGETPNATTGYYSTTNFDTVNMTAYCDFYIIPL -AQEAKCTEYINNGLPPIQNTRNIVPVSIVSRNIVYTRAQPNQDIVVSKTSLWKEMQYNRDIVIRFKFANS -IIKSGGLGYKWSEVSFKPANYQYTYTRDGEEVTAHTTCSVNGINDFNYNGGSLPTDFVISKYEVIKENSF -VYIDYWDDSQAFRNMVYVRSLAADLNSVMCTGGDYSFAIPVGNYPVMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPPFSILRTRVSGLYGLPAAKPNNSQEYYEIAGRFSLISLVPSNDDYQTPIINSVTV -RQDLERQLGELRDEFNNLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKRFKKSSLANS -VSTLTDSLSDAASSISRSASVRSVSSTASAWTEVSNITSDINVTTSSISTQTSTISRRLRLKEMATQTDG -MNFDDISAAVLKTKIDKSTQLNTNTLPEIVTEASEKFIPNRAYRVIKDDEVLEASTDGKYFAYKVETILK -RFHSMYKFADLVTDSPVISAIIDFKTLKNLNDNYGISRQQALNLLRSDPRVLREFINQDNPIIRNRIESL -IMQCRL - ->sp|P17465.1|VP4_ROTBN RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASLIYRQLLTNSYTVELSDEIQEIGSTKTQDVTVNPGPFAQTNYAPVNWGPGETNDSTTVEPVLDGPYQ -PTTFNPPVSYWMLLAPTNAGVVVEGTNNTNRWLATILIEPNVQQVERTYTLFGQQVQVTVSNDSQTKWKF -VDLSKQTQDGNYSQHGSLLSTPKLYGVMKHGGKIYTYNGETPNATTGYYSTTNFDTVNMTAYCDFYIIPL -AQEAKCTEYINNGLPPIQNTRNIVPVSIVSRNIVYTRAQPNQDIVVSKTSLWKEMQYNRDIVIRFKFANS -IIKSGGLGYKWSEVSFKPANYQYTYTRDGEEVTAHTTCSVNGINDFNYNGGSLPTDFVISKYEVIKENSF -VYIDYWDDSQAFRNMVNVRSLAADLNSVMCTGGDYSFALPVGNYPVMTGGAVSLHSAGVTLSTQFTDFVS -LNSLRFRFRLSVEEPPFSILRTRVSGLYGLPAARPNNSQEYYEIAGRFSLISLVPSNDDYQTPIINSVTV -RQDLERQLGELRDEFNNLSQQIAMSQLIDLALLPLDMFSMFSGIKSTIDAAKSMATNVMKRFKKSSLANS -VSTLTNSLSDAASSISRSASVRSVSSTASAWTEVSNITSDINVTTSSISTQTSTISRRLRLKEMATQTDG -MNFDDISAAVLKTKIDKSTQLNTNTLPEIVTEASEKFIPNRAYRVKDDEVLEASTDGKYFAYKVETFEEI -PFDVQKFADLVTDSPVISAIIDFKTLKNLNDNYGISRQQALNLLRSDPRVLREFINQDNPIIRNRIESLI -MQCRL - ->sp|Q65525.1|VP4_ROTBS RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MASSLYRQLISQNYYSTGNEILLDQQTNKTTVDYVDAGNYTYAQLPPTTWGAESTYESAFSAPEITGPYT -NTVIKLSDLSDSNVWVLYQKPTSTVKLLKNGPESYSWNLAAFELWYGKANTTVTSDYYSGMTNSEKSVEV -DHDSLVLFWNEGSTALSNKVINFSWNVGGVLIKLTSNTRIDICMANMDNFTSDSFNWEEWTHNFPRSASM -NIYTDYYLASVDPYSQIRALQQPIITTVEMKMVKVKREGSINVDEVVSKDSLWQEVRYVRDITLQCKIES -EVVKGGGWGYDYTSVAFKTINHTYSYTRAGEAVNAHVTISFNNLKERSYGGSLPTDFKIGRFDIIDVDTY -MYIDYWDDSEIFKNMVYVRDLRADMGGFNYSSAMSYYFRIPVGQYPGLHSSGVRFTYERSLLSQQFTDQV -ALNSMRFVFRATSSDGWFMTAGNINARRIASGTGFAYSDGYVTETVGTVSFISLIPSNPNYQTPIASSST -VRMDLERKINDLRNDFNELASSVALGDILSLAMSPLTFANLLESVPAIASSVKDVAANVMKKFKTTKMFK -KAAKPKYKEYIIGDLLEDVTNLPRSTTAMDFDDITSAVMVSTTNRLQLTDVETLSEIVARSADDFIPNRA -YRMIEDGMVHEATPNGVFSYDLATLQQRNFDMEKFMQLASKSPVISAIVDFATLKAMRDTYGVSTDIMYK -LVASDAPTIVSFINNNNPLIRNRIEGLLRQCRI - diff --git a/seq/clusters_seq/cluster_400 b/seq/clusters_seq/cluster_400 deleted file mode 100644 index 18c7c87..0000000 --- a/seq/clusters_seq/cluster_400 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009518858.1 M2-1 protein [Human orthopneumovirus] -MSRRNPCKFEIRGHCLNGKRCHFSHNYFEWPPHALLVRQNFMLNRILKSMDKSIDTLSEISGAAELDRTE -EYALGVVGVLESYIGSINNITKQSACVAMSKLLTELNSDDIKKLRDNEELNSPKIRVYNTVISYIESNRK -NNKQTIHLLKRLPADVLKKTIKNTLDIHKSITINNPKESTVSDTNDHAKNNDTT - ->YP_009505456.1 Matrix protein M2-1 [Bovine respiratory syncytial virus ATCC51908] -MSRRNPCKYEIRGHCLNGKKCHFSHNYFEWPPHALLVRQNFMLNKILKSMDRNNDTLSEISGAAELDRTE -EYALGVIGVLESYLGSINNITKQSACVAMSKLLAEINNDDIKRLRNKEVPTSPKIRIYNTVISYIDSNKR -NTKQTIHLLKRLPADVLKKTIKNTIDIHNEINGNNQGDIIVNEQNE - ->YP_009094043.1 matrix protein M2-1 [Pneumovirus dog/Bari/100-12/ITA/2012] -MSVRPCKFEVQGFCSRGRNCKYSHKYWEWPLKTLMLRQNYMLNRIYRFLDTNTDAMSDVSGFDAPQRTAE -YALGTIGVLKSYLEKTNNITKSIACGSLITVLQNLDVGLVIQARDSNAEDTNYLRSCNTILSYIDKIHKK -RQVIHILKKLPVGVLCSLIQSVISIEEKINSSMKTE - ->YP_173333.1 Matrix protein M2-1 [Pneumonia virus of mice J3666] -MSVRPCKFEVQGFCSRGRNCKYSHKYWEWPLKTLMLRQNYMLNRIYRFLDTNTDAMSDVSGFDAPQRTAE -YALGTIGVLKSYLEKTNNITKSIACGSLITVLQNLDVGLVIQARDSNTEDTNYLRSCNTILSYIDKIHKK -RQIIHILKRLPVGVLCNLIQSVISIEEKINSSMKTE - ->NP_056864.1 M2-1 protein [Human orthopneumovirus] -MSRRNPCKFEIRGHCLNGRRCHYSHNYFEWPPHALLVRQNFMLNKILKSMDKSIDTLSEISGAAELDRTE -EYALGIVGVLESYIGSINNITKQSACVAMSKLLIEINSDDIKKLRDNEEPNSPKIRVYNTVISYIESNRK -NNKQTIHLLKRLPADVLKKTIKNTLDIHKSIIISNPKESTVNDQNDQTKNNDITG - ->YP_009513269.1 matrix protein 2-1 [Human metapneumovirus] -MSRKAPCKYEVRGKCNRGSECKFNHNYWSWPDRYLLIRSNYLLNQLLRNTDRADGLSIISGAGREDRTQD -FVLGSTNVVQGYIDDNQSITKAAACYSLHNIIKQLQEVEVRQARDNKLSDSKHVALHNLVLSYMEMSKTP -ASLINNLKRLPREKLKKLAKLIIDLSAGAENDSSYALQDSESTNQVQ - ->YP_009513224.1 M2-1 [Avian metapneumovirus] -MSRRNPCRYEIRGKCNRGSSCTFNHNYWSWPDHVLLVRANYMLNQLLRNTDRTDGLSLISGAGREDRTQD -FVLGSANVVQNYIEGNTTITKSAACYSLYNIIKQLQENDVKTSRDSMLEDPKHVALHNLILSYVDMSKNP -ASLINSLKRLPREKLKKLAKIILQLSAGPESDNASGNTLQKGDSNN - ->NP_044597.1 matrix (M2/22K) [Respiratory syncytial virus] -MSRRNPCKFEIRGHCLNGKRCHFSHNYFEWPPHALLVRQNFMLNRILKSMDKSIDTLSEISGAAELDRTE -EYALGVVGVLESYIGSINNITKQSACVAMSKLLTELNSDDIKKLRDNEEPNSPKIRVYNTVISYIESNRK -NNKQTIHLLKRLPADVLKKTIKTTLDIHKSITINNPKESTVSDINDHAKNNDTT - ->sp|Q6WB97.1|M21_HMPVC RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein -MSRKAPCKYEVRGKCNRGSECKFNHNYWSWPDRYLLIRSNYLLNQLLRNTDRADGLSIISGAGREDRTQD -FVLGSTNVVQGYIDDNQSITKAAACYSLHNIIKQLQEVEVRQARDSKLSDSKHVALHNLILSYMEMSKTP -ASLINNLKRLPREKLKKLAKLIIDLSAGADNDSSYALQDSESINQVQ - ->sp|Q84132.1|M21_ORSVW RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein -MSRRNPCKYEIRGHCLNGKKCHFSHNYFEWPPHALLVRQNFMLNKILKSMDRSNDTLSEISGAAELDRTE -EYALGVIGVLESYLGSVNNITKQSACVAMSKLLGEINSDDIKGLRNKELPTSPKIRIYNTVISYIDSNKR -NPKQTIHLLKRLPADVLKKTIKNTIDIHNEINVNNPSDIGVNEQNE - ->sp|P29792.1|M21_BRSVA RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein; AltName: Full=Transcription antitermination factor M2-1 -MSRRNPCKYEIRGHCLNGKKCHFSHNYFEWPPHALLVRQNFMLNKILKSMDRNNDTLSEISGAAELDRTE -EYALGVIGVLESYLSSINNITKQSACVAMSKLLAEINNDDIKRLRNKEVPTSPKIRIYNTVISYIDSNKR -NTKQTIHLLKRLPADVLKKTIKNTIDIHNEINGNNQGDINVDEQNE - ->sp|Q2Y2M2.1|M21_AMPV1 RecName: Full=Protein M2-1; AltName: Full=Envelope-associated 22 kDa protein -MSRKAPCKYEVRGKCNRGSECKFNHNYWSWPDRYLLLRSNYLLNQLLRNTDRSDGLSLISGAGRDDRTQD -FVLGSTNVVQNYIDNNENITKASACYSLYNIIKQLQETDVRQARDNKVDDSKHVALHNLVLSYMEMSKTP -ASLINNLKKPPKEKLKKLAKLIIELSAGVENDSTAAMQDSANSD - diff --git a/seq/clusters_seq/cluster_401 b/seq/clusters_seq/cluster_401 deleted file mode 100644 index 28b2783..0000000 --- a/seq/clusters_seq/cluster_401 +++ /dev/null @@ -1,84 +0,0 @@ ->YP_009518856.1 attachment glycoprotein [Human orthopneumovirus] -MSKNKDQRTAKTLERTWDTLNHLLFISSCLYKLNLKSVAQITLSILAMIISTSLIIAAIIFIASANHKVT -PTTAIIQDATSQIKNTTPTYLTQNPQLGISPSNPSEITSQITTILASTTPGVKSTLQSTTVKTKNTTTTQ -TQPSKPTTKQRQNKPPSKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKKTTTKPTKKPTLKT -TKKDPKPQTTKSKEVPTTKPTEEPTINTTKTNIITTLLTSNTTGNPELTSQMETFHSTSSEGNPSPSQVS -TTSEYPSQPSSPPNTPRQ - ->NP_056862.1 attachment glycoprotein [Human orthopneumovirus] -MSKHKNQRTARTLEKTWDTLNHLIVISSCLYRLNLKSIAQIALSVLAMIISTSLIIAAIIFIISANHKVT -LTTVTVQTIKNHTEKNITTYLTQVPPERVSSSKQPTTTSPIHTNSATTSPNTKSETHHTTAQTKGRTTTS -TQTNKPSTKPRLKNPPKKPKDDYHFEVFNFVPCSICGNNQLCKSICKTIPSNKPKKKPTIKPTNKPTTKT -TNKRDPKTPAKTTKKETTTNPTKKPTLTTTERDTSTSQSTVLDTTTLEHTIQQQSLHSTTPENTPNSTQT -PTASEPSTSNSTQNTQSHA - ->NP_044595.1 Attachment glycoprotein (G) [Respiratory syncytial virus] -MSKNKDQRTTKTLEKTWDTLNHLLFISSCLYKLNLKSIAQITLSILAMIISTSLIIAAIIFIASANHKVT -LTTAIIQDATSQIKNTTPTYLTQNPQLGISFSNLSETTSQTTTILASTTPSVKSTLQSTTVKTKNTTTTK -IQPSKPTTKQRQNKPPNKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKKTTTKPTKKPTIKT -TKKDLKPQTTKPKEVPTTKPTEKPTINTTKTNIRTTLLTNNTTGNPEHTSQKGTLHSTSSDGNPSPSQVY -TTSEYLSQPPSPSNTTNQ - ->sp|P20895.2|GLYC_HRSVL RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKNKDQRTAKTLEKTWDTLNHLLFISSGLYKLNLKSIAQITLSILAMIISTSLIITAIIFIASANHKVT -LTTAIIQDATSQIKNTTPTYLTQDPQLGISFSNLSEITSQTTTILASTTPGVKSNLQPTTVKTKNTTTTQ -TQPSKPTTKQRQNKPPNKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKKTTTKPTKKPTFKT -TKKDHKPQTTKPKEVPTTKPTEEPTINTTKTNIITTLLTNNTTGNPKLTSQMETFHSTSSEGNLSPSQVS -TTSEHPSQPSSPPNTTRQ - ->sp|P23041.1|GLYC_HRSV8 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKHKNQRTASTLEKTWDTLNHLIVISSCLYRLNLKSIAQIALSVLAMIISTSLIIAAIIFIISANHKVT -LTTVTVQTIKNHTGKNISTYLTQVPPERVNSSKQPTTTSPIHTNSATISPNTKSETHHTTAQTKGRITTS -TQTNKPSTKSRSKNPPKKPKDDYHFEVFNFVPCSICGNNQLCKSICKTIPSNKPKKKPTIKPTNKPTTKT -TNKRDPKTPAKMPKKEIITNPTKKPTLKTTERDTSTSQSTVIDTITPKYTIQQQSLHSTTSENTPSSTQI -PTASEPSTSNPT - ->sp|P27026.1|GLYC_HRSV7 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKTKDQRTAKTLERTWDTLNHLLFISSCLYKLNLKSIAQITLSILAMIISTSLIIAAIIFIASANHKVT -LTTAIIQDATSQIKNTTPTYLTQNPQLGISFSNLSETTSQPATTPALTTPSAESTPQSTTVKTKNTTTTQ -IQPSKPTTKQHQNKPPNKPNNHFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKKTTTKPTKKPTIKT -TKKDLKPQTTKPKEVLTTKPTEKPTINTTKTNIRTTLLTTNTTGNPEYTSQKETLHSTSPEGNPSPSQVY -TTSEYPSQPPSPSNTTD - ->sp|P27025.1|GLYC_HRSV6 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKTKDQRTAKTLERTWDTLNHLLFISSCLYKLNLKSIAQITLSILAMIISTSLIIAAIIFIASANHKVT -LTTAIIQDATSQIKNTTPTYLTQNPQLGISFSNLSETTSQPTTTPAPTTPSAESTPQSTTVKTKNTTTTQ -IQPSKPTTKQRQNKPPNKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKKTTTKPTKKPTIKT -TKKDLKPQTTKPKEVLTTKPTEKPTINTTRTNIRTTLLTTNTTGNPEYTSQKETLHSTSPEGNPSPSQVY -TTSEYPSQPPSPSNTTN - ->sp|P27024.1|GLYC_HRSV5 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKTKDQRTAKTLEKTWDTLNHLLFISSCLYKLNLKSIAQITLSILAMIISTSLIIAAIIFIASANNKVT -LTTAIIQDATSQIKNTTPTYLTQNPQLGISFFNLSGTTSQTTAILALTTPSVESILQSTTVKTKNTTTTQ -IQPSKPTTKQRQNKPPNKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPSKKPGKKTTTKPTKKPTIKT -TKKDHKPQTTKPKEAPSTKPTEKPTINITKPNIRTTLLTNSTTGNLEHTSQEETLHSTSSEGNTSPSQVY -TTSEYLSQPTSPSNITNQ - ->sp|P27023.1|GLYC_HRSV4 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKTKDQRTAKTLERTWDTLNHLLFISSCLYKLNLKSIAQITLSILAMIISTSLIIAAIIFIASANHKVT -LTTAIIQDATSQIKNTTQTYLTQNTQLGISFSNLSETTSQPTTTPALTTPSAKSTPQSTTVKTKNTTTTQ -IQPSKPTTKQRQKKPPNKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKKTTTKPTKKPTIKT -TKKDLKPQTTKPKEVLTTKPTEKPTINTTRTNIRTTLLTTNTTGNPEYTSQKETLHSTSPEGNPSPSQVY -TTSEYPSQPPSPSNTTN - ->sp|P27022.1|GLYC_HRSV3 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKNKDQRTAKTLERTWDTLNHLLFISSCLYKLNLKSVAQITLSILAMIISTSLIIVAIIFIASANHKIT -STTTIIQDATNQIKNTTPTYLTQNPQLGISPSNPSDITSLITTILDSTTPGVKSTLQSTTVGTKNTTTTQ -AQPNKPTTKQRQNKPPSKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKRTTTKPTKKPTPKT -TKKGPKPQTTKSKEAPTTKPTEEPTINTTKTNIITTLLTSNTTRNPELTSQMETFHSTSSEGNPSPSQVS -ITSEYPSQPSSPPNTPR - ->sp|P27021.1|GLYC_HRSV2 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKNKDQRTAKTLERTWDTLNHLLFISSCLYKLNLKSVAQITLSILAMIISTSLIIAAIIFIASANHRVT -STTTIIQDATNQIKNTTPTYLTQNPQLGISPSNPSEITSLITTILDPTTPGVKLTLQSTTVRIKNTTTTQ -AQPNKSTTKQRQNKPPSKPNNDFHFEVFNFVPCSICSNNPTCWAICKRIPNKKPGKRTTTKPTKKPTLKT -TKKDPKPQTTKSEEVPTTKLTEEPTINTTKTNIITTPLTSNTARNPELTSQMETFHSTSSEGNPSPSQVS -ITSEYPPQPSSPPNTPR - ->sp|P20896.1|GLYC_HRSV1 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSKHKNQRTARTLEKTWDTLNHLIVISSCLYRLNLKSIAQIALSVLAMIISTSLIIAAIIFIISANHKVT -LTTVTVQTIKNHTEKNISTYLTQVPPERVNSSKQPTTTSPIHTNSATISPNTKSETHHTTAQTKGRITTS -TQTNKPSTKSRSKNPPKKPKDDYHFEVFNFVPCSICGNNQLCKSICKTIPSNKPKKKPTIKPTNKPTTKT -TNKRDPKTPAKMPKKEIITNPAKKPTLKTTERDTSISQSTVLDTITPKYTIQQQSLHSTTSENTPSSTQI -PTASEPSTLNPN - diff --git a/seq/clusters_seq/cluster_402 b/seq/clusters_seq/cluster_402 deleted file mode 100644 index 7b85604..0000000 --- a/seq/clusters_seq/cluster_402 +++ /dev/null @@ -1,61 +0,0 @@ ->YP_009055052.1 2B [gallivirus A1] -GPLDKTVEASENIMKAATIVNGAAACLESSVKKIQPSMDKLEAAAKGISKSINRVCDIGDKLVPAAKAVV -SETGGVVGKFFMWITKIIGYIMIIFGSPTPLSIAGLITVIAADLAPGLVEIASNTSPIQSLVAWVSSKLG -IKTSVAEIQSVFGDDEPEETQTPPPTNPDAEPRVEPSAPPAPEPQ - ->YP_009179372.1 2B [sicinivirus A1] -AVNTLTNTAGDLNTTIRAVSDTVRREGRDIADDFSRGARSMVTATENATRLAESLNIPVTADTLLQAAQA -IKDASSQVSHSIDTAAEVAKRLIPAVESVVAGTRKESPSMLSGLFKAFSRFLGYGLIVLGNPTPLSLAGV -FILLVGDLGDEIVEFFKNIHRPIACLFAWMACKLGLKVSKEDCLEASDGLEVPQPQ - ->YP_009167363.1 2B [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -GDSDTSDAETSGSQTPTPRPRRSLMARARSALSGVARLPEAVRETAQAINDADTYRTSMNLTLAASDMRE -AASRVSNSIDGFTNFVRDYAATFQSTVQDTLSNGIATFLQWVARLFGYLLILFGSPTPMTIAGLLIVLAA -DFSPEVVSFFQASGNGLGAVFYWIASKLGLSVTPEEATSVATERQ - ->YP_009167352.1 2B [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -GDSDSSTSFVSARPTPAPRSLHNTLFSTSESDRPKPRPRRQTRRCRRPVTDSDSEEPGFFSYPKVIKDAA -ASARYVADTAKELELKATSNNLMLCSSDLRCAADKVSASIDGFRDFLGTWSSSFKENVGDAVSSGIVTFL -KWVAKAFGYLLVIFGSPTPMSLAGVILLLCADLSPDIAGYFSKVPNAIGALYFWIASKLGLTVSARECAE -QGAMHQ - ->YP_009054998.1 2B [Chicken picornavirus 1] -AVNTLTNTAGDLNSTIRAVSDTVRREGRDIADDFSRGARSMVTATENATRLAESLNIPVTADTLLQAAQA -IKDASSQVSHSIDTAAEVAKRLIPAVESVVAGTRKESPSMLSGLFKAFSRFLGYGLIIFGNPSPLSLAGV -FILLVGDLGDEIVEFFKNIHRPIACLFAWMASKLGLKVSKEDCLEASDGLEVPQPQ - ->YP_009021772.1 2B [Sicinivirus A] -AVTALANTAGDLNTTVRMASDTVRREGRDIADDFSRGARSMVHATENATKVAESLNLPVTADTLLQAAQA -IKDASSQVSHSIDTAAEVARRLIPAVENAVAGARGEAPSMLSGLFKAFSRLLGYGLIIFGNPSPLSIAGV -LILLIGDLGEEIVEFFRNIHRPVACLFAWMARKLGISATKEECLEASEPLEVPQPQ - ->YP_009001375.1 2B [Caprine kobuvirus] -GLLSLEAPPEVVQAANRVADSIESTASAVREADLVHSTRNITLAASDIREAATQVSSSLDGFTQMLQNFS -STFTQGVNKALGDGLSTFLTWVAKVFGYLLVLFGSPTPMSIAGLLVIICADLAPSASEYFTSRNSVLGSL -FYWIASKLGLSCSPEEADAAAVEPQ - ->YP_008802667.1 2B [Feline sakobuvirus A] -GLVDNARGVANTMDSAAGKLIAAANLMDVPGSADKIHESARLLANAAGDLSTRISEALSIFKQPPDSNPI -TRGVNNFLKWLTKCVGYMMVVFGSPTPLSIGGLVLIILGDLAPSFPNVRNPFAAMALWICKKLGVSCTET -EAEEMCVEGQ - ->YP_003853293.1 2B [Passerivirus A1] -GLSEVSRNANATAESLQRAAHIAHDAVSKAEKIASSVSLPSSTDALRAAAADMKVAANLIAGSIKDAGDI -VDRVSPVIDSLASTADNAVQGFLKILAKLIGYIMILFGSPTPLSVAGLLVVIASDFAPAVVQYFKNVGNP -LAALYYWIARKLGLESVTAKDAVDAAPTLSQLSSEPTPVQTPPQPTRQ - ->YP_003038639.1 2B [Salivirus NG-J1] -GLGDLPDAAKGLSAALESVARVAGDANIATSSQAIATSINSLSNSIDGATSFMQNFFSGLAPRNPTSPLQ -HLFAKLIKWVTKIIGSLIIICNNPTPSALIGVSLMLCGDLAEDITEFFSNLGNPLAAVFYRCARALGLSP -TPQSAAQAAGGRQ - ->NP_859023.1 2B [Aichivirus B] -GLLTLGAPPGAIDAAHRVSRSIEETVSAIRSADLLNSTANLSLAASDIREASTRVSSSIDGFSNMLQDFA -RNFRGRVDDVVGSGISSFLTWLTKIFGYLLVLFGSPTPMSVAGLLVIICADLAPQLRTYFTERNTTLGAL -FYWLATKLGLLVTPEEAEVAAIEPQ - ->NP_740439.1 2B [Aichi virus 1] -GLLTLSADTETNQTLNKITGSVNQAAQVVSQFDLSGPANSVSLAASDIREAAHKVASSLNGFTDVIADIK -DSLFTRVSDAVESGVATFLTWLVKLFGYLLVLFGSPTPMSISGLLVIICADLAPHAREFFTASGNVLSSL -YYWIASKLGLSVTPQECERATLEPQ - diff --git a/seq/clusters_seq/cluster_403 b/seq/clusters_seq/cluster_403 deleted file mode 100644 index 14bb6b6..0000000 --- a/seq/clusters_seq/cluster_403 +++ /dev/null @@ -1,406 +0,0 @@ ->YP_009104369.1 polyprotein [Carrot torradovirus 1] -MSFSTLRNIPKNLVQFSSDVNTLVGNMNNCTQVVHNLEEPIHEVLSKASNTINKFESESLPVLQEMGQNV -SSTTDKFSRLFQMLENLIRPLLSINEVFVNMWTSVSKLLLSIFNKTGEGVTSPMIEFLTESIPEDMTLPL -VVVIGVSSLVLMSYIVPSSLLQVLLGYMSSIIITIKGFIIGLMPNSWVPSWFNEYSEVATRSLRAQSPPM -PTTSEIQSSSFSDILTSVVSVGFISVLYCIVGVNKPGRRNLNPLSEILCATGDHASKINHLFTFFRNVKT -TLGETMMWIGEWLCEVTGFASPLSASINAVLNTDLFDWFNKVNEVTSPQNRLNNFASQDFVAKAALLKDK -VPLLEAEFAKYPISPFVSTRFSYAVTKLDKILHEATAHKGVGQFRQEPFCVQFFGKPGCGKTTSMNYFIT -DLLNKMGEPKVNRLYSLSSKDGYWSNYNHQVAVLIDDFGQILDSANQHDDVKDFIFMKSSAPMSLSMAAV -EEKGTQFTSKYIFLTSNFDTPKSTSGVMEIQAVQRRRDVLVKVERTGLLSTNCATPVDNLRYTICDSVRP -FRPQDEYVDLTYFELLDVVENKCRQHLKKDAWLKQFSEGLVAQAGSLSQEQMADIAVTTVAHIAADRLSK -SMLLSSMQMAYTGLLDHQNPFPQDTLPHVHFTMISEDIKVDFLKWQSNLLHHGIKDCDVNSWKERIDEEF -RNNFMAWINEYAFDEKAFFQARSIGKGSLQDFVTSDDPDALSEFRRSRPISQFAFALIVRNFNALREKAK -NPKKGKLSYVMQVMNYIKSRWESLPLLLRTAIKYYAFYRCTSFAFDTILSFLSPATQNAVVAAGSSTFLQ -AEARNSGTGNVSGDESTSRGGARARQHRFLAAQSVLSEEWTQWAIKDPFLNDALIKNTVVIRLPQGGIFR -GVYVRSGWLLTVGHAFFQMPDGAVFTIIHQHSAIPVAFDKRTSHYKPIEGKDLCLLHVGDIDGLKKDITS -HFAKRGHIMCSVGSKAILAKPTNDDKKTGNLISLSLTGVSMLANGAENIEYNTSEFTRVSVSTLPFQFPG -QDGDCGSLLMLPSIGNKQPVICGVHCAGYTSSMVKQGHVASYASVIYQEDLISMLPAVKLIPQAPCPLLK -QLRVELENPFQIKQVALLGQVPNELSVSVPHKTTLRQSELFHVLSEEIGPHLTEPSLLIKGDRRCTKEGF -DPYVAGVQKFNETACCFDMRVAETVMESMSDDLLSKLANIQVPGGKPVVRSEEQILNGIPGEKYYDSMDF -STSCGYPFMIMGFGKNKREFLDGEPGYYLLARDKPVYEEYMAMDDAISQGIVMEMVTCECAKDERLPLEK -IYEKPKTRLFTILPFHYNMLVRKYFLDFSATLMRAHNEIPCKVGINPEGIEWTTLANGFVEKSSVGFSAD -YSSFDGRAPVFIFQWFCDMVDKYYGDKPGSENSLARHALLMMASNHYTLCGDKLFRVVGGMPSGFSLTVL -FNSLLNEFYMRYAFEILLRKPANAARTIGMSQTNFNELFVAIYGDDNLVAVPFHLQWYSLPAIAKVLEKV -NVVIKNGLDKESDVAVTRFLPLGELTFLSRGFKRHATSYMLAPLKWVSVVEPLRWIRPSPECPAVDALME -NVEGALRAAFMHGETQFKVLKDTIVKCLVQRMLPTTGLPTYLQLERNWLREVSGMREDYDCVSVYEGELK -SLPTNGPLTREEYEREINLFVPGISFCSARTAKYASLDDYIIVNCLIGVHKTWIRGPNNWRDLENKIWAY -TMSAIEVEMQQRMSQNQSTNLLFVCPGGTGMSLVCAALAALATNTYTKAQIISRMRQLTASERLSSFAGG -AGHYLLLAAQAGGKYQEGRLENLVYGNNLFDRCLRVGNCCVITGSVPACRNPVAYWCTTTSGYAGVKTNF -HYIKREDPRAQNLAKALRDARRDKETLFLFFSHFKSLEAEWVLNGMKLSGIDTEELLSTDLLLMERQAKL -IDNSAFGKISFKIVTHKKKKNFLLLNLWECDLISKPFPLDALCFATPSILRENLCKLEDGNYHTFSVVEA -LKIMVCETQETSVIKENIQKFLKGETLRSEELFIMLGFWCGCKTAQIDRKVSLLLLQDYSREFEYVTHSA -PDNYWTDFSEFGNAFEEISLMLKVHYVESTSKGHKVFSLPRDFSPLSFLVVGYYLENLVRKTKKEKLLEL -PLWYPHDHKSVNFLGNLSINYI - ->YP_009505619.1 polyprotein [Carrot necrotic dieback virus] -MSAPSKSTVRLVDGININDPTATALAAANGLWGDVENASTCMYNFFDVSDVERNPGESSKQLVTRIKKRA -SALLGVSRAHKDTEQVLSAERCQFKEFSEDEEIMATTFEPLKDRSEITPTAESKIDKTLESHRAKFNYMA -VDSIRVAVTSLMHSGDSRECIMYLCDRRFKDPVLGAIALIGFTLPGMQTHVYKTGRMLAFSRKEAIAADR -LQLFLYVKGAKLERNQNTPITVNVRTSLIFGSNAENLLKNEVQLDCESNLVDFMSQNQNLFGWLEAAQGG -GYVPRTIRSATTHVSNPVLLDRWNNPTGSVSRRIASRAILAQAVDTQPEDGRETDVLGNDYSSKGLRIGR -AQASIQNTFNDEKAYSLDNFVQDGPIVPVVANLESFMNGSSINKAMELISNQFTRPAYTDTFSWDSTDEV -GSSIFQLELPGDVIGPQASSMYSDTMQRAFCFSNDFELNVLVTGNESYMGAIKVVVDQLRRFHEAKQDDA -RVFHSMPGKTILAKDSDGAKMTVEFMSIHKAVSAHDKNSHNALSRVECRVLAPLTHISLPSPSLSVTIQV -FVKNVNANYMMWRSIETTFPMAQASLPSSVGDNFGRKRDSQDCFMNAGQIAGIITERAFLGTALITQETP -ARMEIAEFALHPMSCRNVDGTLLLSQLAALSAMFSFWRGSLIVTFEINSSASTRGKLVVSVTPKGGVALG -SVTTAHHGYGAEFDLGTSSTRSFTMPFASTDEWEAIGDEGIMSAFEDIWDCPVAHLIVLHPITSIAEITP -SVDIRCYLEPGPDFQLRGRRHIGLRTSARPLSLAMAQSSQVLDKVDFSLMATVSIDATEESVIIAVPCAP -WYSKEEVDYTLLQNPLHWASRMFTLWRGDLEFRFVIKEEALGDGWQNPISVWHNPNAELGKCKIATLSNK -KISKETFYGKKISLAQLRSVEIEATDDRRFSWRLCKIFDTTKQETNSSATTVVDYTGHPPLSSQTGTICM -KFPKNSVKAKVKIYSKPGSNFEFKHIGGVPSLQVSQMVKYKKPFQTSTINPVFVSPSDSKALKELGFKPK -PTPILKEKSTLATGKAQGIRSALFNSISLWGGVDEESRELEKLVVSEGGSPLPIEESESWAKRKLTEIVS -NISAKLVEAATSILSNAATRAISNLFDSMLGKVKSVLQGLIDGISSAFKQCLSDPKCMCIIGISISAVMG -FSTLKFIENVVPDSLGTFKALMMVSITSISAIYWPQAAISIVSQYEKQFGDIENYCKTIYQHIFLGSTET -GTNSATPAEASAIVSEDLATGKAQAGGRPYLELAGLLAYIKLCVSLCKAMNVSFMEPFSTSNLEKQCRSI -SGISMGVKTLSDFKDYIYRLIVGGITPTSSYVKISAVVGFDIREWFEEVERMTLQETRYTQMGSEEKIKE -VRTLYDKGVDVMGKLTMVDSPHLSRVCERSYKLCKELLDETHRCKGASSVRVDPFHVSLYGAPGVGKSFI -MGKLLNDVLDILGKPKADRCYSKTPNEEYWSGYIGQTAIKCDDLGQDLSKGFSPTYNQIIQMKTNNCFIV -PMADLANKGRTFTSKYIFSTTNVPGCGTKHGLADPGAFMRRRNLFVKVETEGEMVAGGVRHMRFTLLNPL -NPDERVMKYPARMSYVDFLCVCVAEARVYLQTQEIVLKTLSESEKDPQEPTSDIVDILQEFGGGIIGDIL -EKRKEMLLECGVIDPPPPCDLADGKAQGSLAFSTDAFGEPMKPPFVGIFGELRDQFSKATKTAMSDKLLT -TFGVNLVSGDLGVFGFEDQYGLNQHSDNLVMHSFFNFIFSKGVLYKSEDDFLKHLDTLTRMQVNRLVNEV -QVNIVNDKRVLHFSNDYDREAFAELCMSGRLVFHLVLAVRAARKGKIQTLREKWFQWFETARTLSSNIPE -ELPSVIKMMLVLATSVGSLYLAFKGLSGIGSAILGLFCKNVTKEEDYEIISLSTLMGQARGGRNFITSGD -ELTTRLSRVMSRAALATGRAQGGRRPADTCEILSSRQGSIMNMAAGLHMVATDIGGGFLMCPLHIFAGAE -KDDIYKFHNGADYYFAFDPSDVAQLSEYDACVIQTHSIPMRSSITNIFSNENQLDMLVDMDSHFVCGPWK -LPGDGGYISEVTTARRVASFKYFMDDKLYMMVNGWSSPFKTEEGQCGSCMVSLSEKLDGKVFCMLVAGTY -DNLTGQYVSTYVPVTVNMMRKAISGITTLQAGDCQGTICDSPVSEVVFSTLKMDELLSSTPTPSGNLGVF -KPNDRMGLIEVVGRIFPNTTPKAICRSTIIPSLIQRFMPRKPLTEPAILSPLDGRLGECRYDPMIEGIKK -YQDQAKPIRGNWRKRIIDSMREQMEDWETFMVRENYDTLDLPMHTIINGISGIEYYEPLNMSTSEGYPLI -LSRPSDAHGKEYLFEICEDGSRAIKSAKLNANYEAYGSSLSSGEPFPLISIECPKDERRALDKIYDKPKT -RLFSILPVEFNMHARRLFLDFNVFVMANRHKRGIMVGINPHSREWSDLAISLASFSPYGFNGDFANFDGM -FHPTSFDMVAELANIFYGGFQSDERTSLTKALTNRFSLVKGGVLRISGGGPSGFPMTVIFNSFINLFYLQ -SAWIMLASQNGRSDISCPSNFPSFVRACVYGDDNIVAIKNEVLPWYNLQTVSKILKDYFGVTMTDGAKNC -AENAQPYGKILDFDFLKRNFRPDELIPSLFHAPLHKRSIEEQVYWIREGGDSLALLEANIENAMYEAHHH -GREYYNELKQQVKEAMHKAGYTNFVAPTFLMCRQRWLHQDLGEVSTSSLPAHVGLLKEAFKNNLTTELDE -CDIFAHFEEIQNANGGITRHGPLQKIMPGVFIGPTGVFERRFGIGLFNLVCDNSLSRGQTRYGVKHGIMS -LQKPDFSYISDSLPSIMCESFKIICLDPVGGELAISTALCLAHAAGIIRTNAFCRPMRLYVNQWKHVLQA -YFRIKDTHVGKEWEVCSRDIPKINIGCSRTTAVGSKYLTVDGQLPLEIKALANLQTVKVARHIAYFDDEE -DIIVD - ->YP_009389546.1 polyprotein [Squash chlorotic leaf spot virus] -MAGFSSLKNAVSNGRAAFSLISSPNLQPLLSQASSVLANIGETSSSIRTEVGGRVSSAAADISDTCSALK -ATLGQITSFLGPIFRAHEFVARIWGIIVDVVSQGFEKAFSALDGFLSQVFKICDVVSDSIIAIFFVLVAL -CLFLLVLTGESFEFLKSLWKTIIGALAGFAASFSAAWLPSWIQGFSSLAEQEAQIWIEEGQARPTINSSL -VGSIGAFIMASLSVFFFSKQSVLPKDERNPFLQVLKSSGDFAQKCNQLFSFFRNLREECGTLLGWVVDTM -CDTVGVQSPSLNTLNAYLANDDLFAWLQEVDVATSPENRLVRFADPEFASTLKNLSTRAEHIKAVCATHP -VVAFLSQRVHTALSKLDKEISAATSHKGVGQTRQEPFMVEWFGGPGIGKTLVMNIFCEDLLNLCGEPKAN -RVYCVPRDDAFWSGYAHQTAVFFDDLGQIYDGAGQCQDAKTIISVKSSHPVGLPMADLESKGTHFTSKYI -FATANEPTVPPECGIMTPEAFDRRRDILFYVYGVQALDHQNPTNHLRFDVCSSFAPYEPLNNLKGLTYEQ -ALRYSFRRAHQHFEKGKQVMEIKGTVENPEEMAATILQEAQVFGWFARTRKEEVMKPPSEGLVREFLQGV -ASLPLPEDEFEEEIKRAGSDFLVSSCKCAYAGSLAQSNFLSSDTANHHYSKLSVVQQLHIVRWKTALLNK -NLGMQDIDYWLSQIHADWLNTCKARFGDVKFDNLKLVKFSGKEKDFSDCFDMDEDVRGEIGFMPPRTRLA -FLLLARYQASLPKSDEVEDSFEKSSWFQEVVQQVCNGLRKLPSWAKTLLKFGVVYMAVYGLSSAISSVLG -FPLSVVSSATQMATTSVMKDAHVSNISGDPRTLRASGSRLRKFLTAQANVNSFSWSDWSAKDPFFNNALI -KNLCVLQVYGAVFRGIFINMNWIVTVKHAFLTLAEGTPFSLITNNAEHFLVLDKQTSLYVEMPDTDIVFI -NVLGCDGCKRDIRKHFVRKEYLIAGRNTPACMVKPVLAPKNGLKGSLLAQEVLGTHVATNEITRVQYGRE -QGMTVVAARAIGLDANGHSGDCGSAVLIPGAINGQPEIVGIHCAGFSDAMQRKGYRGTTAALIFYEDIEK -YLPSVDLQEAQTNIPAIEEFFQSPFDTKQVFCLGKVPRELAADIPHDTALKLSIAHDILTEVVGPCTTEP -SILTSRDKRLSGKAFDPYLAGIAKFNETAHSFNMHVAQEAFEYMKRRLLRHLSKIPVPGEKPEVRSEMVA -LNGIPGEEYYDPMDLSTSSGWPFNKGERGKSKRGHVVEVEGVHLLDRTSEAYTAYIELLQSLADGEVPVM -VTSECAKDERLPKEKIYEKPKTRLFTILPFHYNMLVRQYFLDFSASLMRSHNDLPCKVGIAFDGIEWTTL -ANQFLAVSDQGFSADYSSFDGRAPIFVFQWFCDLVSEYYGDDLQGESARIRRGLLMMASSHLTLCGDKLF -SVKGGMPSGFSLTVIFNSLLNEFYMRYAFGMLLLRSDIKARSIGVTMNDFDRIFIAVYGDDNLVAVPMDL -NWYTLPRIAEELELVNVVIKSGLDKTADVSSVATQPLNELMFLSRGFVRHHTGYYLAPLKWRSVVECLYW -VRCKSQSGVEAFLENVETAMREAFYHGSQVFRALELQLEKVFAQLGLEVPHYETFSTMETRWLEKVTGDS -IAPLRRHSNSYIELPEGEGFPSGHWAYAFVEVYPDIFTCSVRHYNKNPLPRDLIVVNCTNGKSVKGIRGP -TDWRDLESKVWAYTMSAIDQEQVNRLSEGKPSGGLLFVSQDGESIAVVLAALAALAGNKYTPESIVRRFV -KITGTRNIMRYGAGTGHYLMASLGCSFHLSQARPVVQNDLCVLPSEVLLQVGGCKVLANVYYHKIQTDTI -PYWITHSTGYAGVNSSIEFVREDLGAEMLANAIRMATAAGGQLHIFFYQITPAHAAWVLEGNRKAGFDVS -KFDGPTLFRLLQEVDDECLYTIPAYGHFLEYNRRAGHYDVSFSHQEEVPYLFEEEDAALDLSYEQLRELV -QKAPTKFRCKRLITHLKCLIVSRRDTSLALLKHYIEEHVGNEGSVRYMLFVLVLGFWSGVDFQMAHLIQM -MGTEFLKLRIPRMTTAPVPGAILASCKHPEQHGGEAYAALLLSHRHSKIWTFHPKCSALFALGVMQRVSR -FNSDFGDFCPKLNILPPHIASSLDLAIDMLTQENIHG - ->YP_009389541.1 polyprotein [Motherwort yellow mottle virus] -MFSKLSNSFSRAMNVGPSLLDTLEKTNKIVDAFADPEGPFSEIPLQATNILSQLNLETVPRLNTACEDAS -RTATAIQRVFSLLEKLLAPLFRINDFFYSTWKSLSTTFTSYFMKYIGNEINELYTIIVQDGFAQSALPLG -IILFHCTLVILKLALPVTLGKLFLDNILPLLSVIKGFILGLVPTGPLSQWFSHFHEIVREPLEAQGPSSF -GAETSAPTFSDLLTSVASMGFVSLMYFAIGVDKPGRKNSNPLSALLCGAGDHASKMNSLFLFFKNIKTSF -GETLMWVGEWICDITGFASPLTATVNTVLNTELFQWFNEVNRVTDPVHKLENFAKPDFGREASLLKDKIK -FFEAQFVKHPISPFVAGRFSHAVTKLEKLLESAHTHKGVGQFRKEPFCLQFFGEPGCGKTMSMSFFINDL -LNRMGEPKDNRLYSLSSKDGYWSNYNHQTAVLIDDFGQILDSAGQNDDVKDFIFMKSCAPLSLNMAAVEE -KGTQFSSRYIFLTSNFPTPAKTSGVVEIEAVQRRRNMLVKVTRDGPIDESCETPVDNIRFTLCYSLRPFR -EVDGFKSMTYSQLLDLVHMRCIDHWKKDDWMKQFAGGLENPLEAQVKTQSHEEDISPSQMSEVAEHQLAS -FQNAFCGCLSSTNPFFKGSLPATHFEICDVAIKVQFQLWQYTLLANGISDLDIPYWEERLDHNNRHNLMA -WMDCFDFDEKKFALNLASGTGTIQDCVTCDDIDAMMVFKRSTHRAQFAYSLIVRYYKILILQCERKAKKS -PLSYVKQIVKFIGEKWQELPPVVRFVFKLYIAYKGCNLIFSMLGKFLPSFGHSAVPTAVSAGLDYLDGQK -GSGCANISGDEATKKAGSNKKTNRFLTAQALELGDEWAQWAIKDPFLNDSLIKNLVILRFTNGGIWRGIY -VCSGWILTVAHAFHGACDGFVFSVIHQHSKTTVALNKASDYYKLIPGQDLVLIHVGDIDGIKRNIIKHFA -SKSGVMYAPGSKGVVVKPIFEATKAGSLLTFAAEGTLMLNGGNEAIEYGDGKFKLQCARAYSFQRIGENG -DCGSVLMLPAIGNRQPVICGIHCAGAKAEYIRKGLKESCASAIYREDLEELLPTPKLEAQGPCAQLRQLR -QVDTNPFEIKQVALVGRMPPELAVNVPHKTTLRKSEIFEELETHLGPHLTEPSILTIRDTRAASFDPYVK -GVEKFNETACNFDNEVAQIVMQHMKASLLANLERISVPGGKPIVRGEHEILNGIPGEKFYDAMDMSTSCG -YPFSLSEFGKNKRGYLDGEPGDYMLHRSRPVYEEFCRMDDEVRAGIVTEMITCECAKDERLPLEKIYEKP -KTRLFTILPFHYNMLVRKYFLDFSASLMRAHGTIPCKVGINPEGFEWTALANSFLEVSQTGFSADYSSFD -GRAPVFIFQWFCDMVDEYYGDHSDSPASLARHALLQMASCHFTLCEDKCYRVVGGMPSGFSLTVLFNSLL -NEFYMRYAFEMLLRKPQNRARTLGMTQRNFEDLFVAIYGDDNLVAVPFNMRWYNLPSIAEELLKVNVVIK -NGLDKSQDVSISEFQPLGELIFLSRGFKRHVTSFFQAPLKWVSITEPLRWIRPSSDAAPIEALVQNAEGS -LRAAFMHGRTAFEGLRSTILKAFAARQLPVFSLPLFEEIERNWLAEVTGADPEQPICAMESPILQLPESG -RPSDEDILRKVNEFVPHVFYCSARTAKRINLDEYIFINCTASSHPKWVRGPATWRDLENKVWAYTMGAIE -VEQARRIADNKSTNLLFVCPGGIGMSVVCCALVTLASSQYTKGQVVSRLRTLTHSENLSKIADGAGHYVL -LAAQAGVTAENDTNGFLYGSNIYDRCLKIGNCCIIVGGTPQAAPPNAFWVTPTSGYASLRTNYNFITKTD -PRGAKLATTLMHAKRAGDTVFLFFSVFRSVEAEWVLSAVRASGWDVTDVVSTDLVLLERQSRMLESGAFG -RIHIEIKRTFTGSFTAHFVPLGVIQGLNSKPLPFDCSAFITPTHLKEKISQLESTTYVTNSLLEALKLIL -VINGVKSEKDIEGAVRQFYPNREMLAAVECKLAMYLWFGLPLKAASSLVPSGLLADATSSIKYVTRDRTV -ANFSVDINSFENGIEDLNVSLHECYGYSSIAKRHVFRLPFDMDEVTFMLLALTLENRIRKVRKERLLEYP -SWFPVDHSLISSLAYLKLEYI - ->YP_009389536.1 polyprotein [Lettuce necrotic leaf curl virus] -MFSTLRNLVTKTTDAGNGVCESIEKTSTLLSKLNDMEGPFSEVLLNATAITDRVSNATLPKVEEAVEGVK -EGLFSLKSIFSKLEALIAPLLRMNEFVVIAWSSLSKLMFSIFSKMTGKGDDLISFLTSLLPEDISVPIAL -IIFVGSLVLLKFILPTAMIEGFFKLIGSLITMVKGFVLGLLPARFATWFNSFFPCVQTELEAQGTSTFGT -ETSGFSFSDILSATVSMGFVSLLYSFIGTAKPGKANLNPISTILCATGDHAQKMNHLFTFFRNVKTTLGD -SLLWVGEWLCDVTGFASPLSATVNMVLNTELFQWFNDVNDAADPAKRLENFSNPGFCMEASKLKDKAKYF -EAEFVKFPVSPFVTGRFNVAVSKLDKLLTDAQSHKGVGQFRDEPFCLQLYGKPGCGKTMSIGFIIEDLLN -RLGEPTTNRLYSLSSKDGYWSNYNHQVAVLIDDFGQILDAANQNDGVKDFIFMKSSAPMSLSMAAVEEKG -TQFTSRYIFLTSNQATPPRTVGVMDLGAIQRRRNLLIEVERHGPIDETCETPVQNITFTVRDPLDPHRRI -DGLSDKTYLEILDIIEEACRNHWAKDAWLKTFGKGIERKLQAQVDTSDDELTDSDDPLMPPTRGGQEYND -NQIKRIEIISRSFRLSSFQCAYSGLLNLENPFEVGTLPHEHFQAADQQLQFEFHQWKTQLLVNGISDTDV -QYWSRHITENVRSQFMAWLPLFTFDEKAFAQRSISGQGYMAQCVSSSDPDALDIFQASSRRSQFAFALIV -RQFCAIKAKSEASGKKPSFVAQIMKFIKEAWDLLPYGVKTAIQIYAFFQCTSLLFRTLSNFLAPTTAAVI -TQAATTTAIDAQARCSGKGNISGDEVTHKASASRKTGRFLTAQFSLEDDWAKWALTDPFINDKLVDNLAI -IKLHSGDLFRGVYVRAGWLLTVAHAFYKQPDGYEFTVIHKHSSIRVCLNKKKDFFKTVEGQDVALVYVGD -IDGVKRDIVKHFAPKNGVMCSLGSKGALIKPIHQIAAAGHLMESTTTGISMLSKETEPICYSHGAFTLEC -AKTFGYQYAGQNGDCGSVLLLPAYGNKQPLLCGIHCAGLKEKYVAQGSQKSYAAAVYREDLEALLPKQML -CSQVQCSVLKKLRASTTNPFEIKQVAFLGTVPQELAINVPHKTTLRKSEIFDAMTKVLGPHSTEPSILTH -HDDRPEVEGFDPYVKGVEKFNETACCFDHETVEVALQHMSEDLLVELVKVDVPGGKPTVRSELEILNGIP -GEQFYDSMDMSTACGYPYTLSEFGKSKRGYLDGTPGEYVLHRERPVFADFIELDESIRQGRITEIVSCEC -AKDERLPLEKIYQRPKTRLFTILPFHYNMLVRKYFLDFSASLMRAHNTLPCKVGINPSGLEWTVLAKNFS -ETADVGFSADYSSFDGRAPVFIFQRFCDIVDKYYGDLPGSENSLARHALLMMASNHLTLCGDKLFRVVGG -MPSGFSLTVLFNSLLNEFYMRYAFERLLHHPRNITRTMGMTQRTFSELFIAIYGDDNLVAVPLHLRWYSL -PAIAEELQKVNVVIKNGLDKNQDVAQVQFQPLGELTFLSRGFRKHVLGYYQAPLKWVSIVEPLRWIRPTP -ENPPIDALMQNIEGSLREAYMHGKLVFQDFRDKVVQVLNERRIPFKTLPFFEELEREWVSEVTAGTRGAL -VEPSEHELLSLPTVEQLTVEQYSREINEFVPGVHFCGARTAKHQSTEEFVIVNCLGTPRKTWLRGPVNWM -DLENKIWAYTMSAIEVEQQRRLAQGKAVKLLFVCAGGSGISVVCAALAALASAQYSRRQVLLRYRQLTGV -EQLSSSAGGAGHYLMLAAQQGMQAQCTAGSCSIMGSNIYDRCLSVSNCRIITGVSPPGTLPGVYSCTQVS -GMSGVKTAAHYIQNQDPFGSKLANVIQQTHRHGETLYLFFTVFSQLEAEWVVNALTRSGIDLQGTQTTDL -LLLERQARLIERNARGRIWVWIQRDEVTKMQTAEIILRGDDMECSMNHFVTKPLPFASTAFVTHASLEHH -IQKAPEGRYHTSSVVEAIKLGIAGGTIRSVTQLKKEVEQYYPAPGSMGYMQLIVTLRLWWGCSISALAEH -FPEPLVGVLEQNFKYVIRDPTADEFPYFSALSTNERLETTVEALGLSLRLCYGHSAKVGHHVFRLPSSMP -ASVYVLLATYLENVVRKFYKETELEQPVWFPEDESIVAALTHISFDYLYVHSS - ->YP_009165993.1 polyprotein [Tomato necrotic dwarf virus] -MALSKMFGSSSEKTGSVPSTSFFGELSGSISKFSKAISNITSVSQKISDHLDDLKPSVTDASSSFVSTCS -ALNKVLDKIGSLIEPFLKAYSFLATMYKSIKDMVLRLFESLSNKVRIGFQWVLEKSEDVDIVVYGFLVFA -ITLLVLLCVCPSDIIEGVSSTIKNIFLIVGNMFSSLYNLDWFPKWAEKFTMVAQASMLPGESVSHTPTSQ -LMSTIMAFGISTLVFIAVPGRPNGLSNPLSKILYSTGSGAQQCNQLFTLYRNMKDCTSQAFSWVLEIIVG -AFGFKNPVLSAISATLSTDLFEWMQEVDAVCDPATRLENFANKAFPDKLNHLREQALKISAYIATHPVAA -FMSHRVSAAITQLEKVYAESCRHVGVGQYRIEPFMVQWYGASGCGKSTAMRLFINDVLDRMGEPKLNRLY -AVSKRDAYWSNYAHQTAVLMDDMGALRDGAGQCQDIKDLIDIKSTQPAPLPMAAVEDKGRHFTSKYIFAT -SNLVSAPAQCGLTYPDAFERRRDILVECIKEGEFSTEDPTGHLMFNIVESRRPHAITHRHLSYSDLLDYV -VAKCQVHAEISKQLFEAETGISNKTAQVAVSSEEVVASVDGARFKTKQDVPIVTPTVITEEDRVIYSKEL -TVEALKFAYQGSLDPESLFPHDYQKQALFDSLDAEHQQVFMRWMTDMIYKGANAEQYRWLVQNIPDDYLM -HFKSFIYASTICEKKLAVQTEMRTGFAHNCIDADVDTLICIQQMPPFVQFLYTAFVRYWCTKVSKEAKDS -WVKICYHKIVDYMKETWWNLPYALRLLIKAGLIIMALNGLFGGITAFLACWQSNSFPSASGRGGVTNESN -SISSKKNKGPSRLKNLLVGQSSANITQEWAAEDGFVNQALKKNMIVLRLGEGVYFRGTYVCSGWIMTVAH -AFHNVRDGTPFTIIHPNSRSKVQYNARESKVIEGQDIILLRVGDPDGPKPDIRKHFPRRDEVCFTKGSQG -LCCRAVASTDPRLGNLEFLKFPVMMSKGYTVKVEYELDSASFKLSSQQSYEYHMNGENGDCGTLLLLPSV -QNKQPVIVGIHCASYDGIAAEKGFISSNATAIFRDQLENLPTGPVKAAMVRCDILKAIRSRETTLFEENQ -VSFLGTVPQELAATVPHKTTLRKSQLFEAFGPAETAPSILTVNDKRGEGFDPYVAGVMKYNETAHGFDDD -IAKLSFETLKSSLLPTMKNQKVPGGKPQERDEDVVLNGIDGCDYYDGMELSTSCGYPFNKMGMGMNKREF -VVPTGEGDKVELRRDTPVFEAWEELDIQIRQGIHVDLITTQCAKDERLPLEKIYGKRKTRLFEILPFHYN -MLVRKYFLDFSATLMALHNVIPCKVGIDPTSSEWSLLANNFRAVSDTGFSADYSSFDGRAPVFAFQWFCD -LVDEYYDTKPGEPASNARHALLMMASCHYTLCEDKVFRLVGGMPSGFALTVIFNSLLNEFYMRYAFISLL -RRPHIAARAIGVKPGDFNQLFIAVYGDDNLVAVPLHLRWYSLPNIAQELDMVNVVIKNGLDKSMDVNQVQ -FQDLSELTFLSRGFKRHALGYHMAPLKWVSIIEPMYWIRPAPGCPDTVAMMENVETGLREAFHHGRVAYE -KLTYDVQCALRERGLGATIFPSYMEVEQEWIAKVTGDASALTICEMAKASITFTPLPPGNKVENFERDLN -WFAPNIGFCSARTAAKTEWEPGCIVVNCTGAKKSKWVRGPASWKDFEGKMWPYTMSAILDAQRGKMSEDI -AATDVVFVCGNGYAVSPICAALMAVATRQYCIEDIIVRLRTIGNVLDLNTYPGGCVQYFLQCVPQEGKMA -QVGSSLQSSFMHQGFELGQIRVIHGDLSMEMALRMPYVVGPHGGWGNFTTKNLDQLFASLEKCYAELLAK -NTKLTLYFEKMTQKDVAQIVSFVKQQGFYPKATTVEQLKVFADAHTIVKTAKPFRGVVFRKNFLSPEWKI -GGENTMATLSAESLLPGNLSASAMKTLLSRYKRNMSCHCIKLALKIYVLNFQMLNDEVLRRFENCFQEKI -SPSLLSEVLMWLNDSVNHDTQVDSQILDRLDITRYTQVERGFNLDPEKLNMNVHDGIIFTLREHFCEHKR -KYDISKIQCLGSFIFLVLLDRKLSKGWELSETSSLFSRNCWSILTNYKEP - ->YP_003097229.1 polyprotein [Tomato chocolate spot virus] -MAFSKFYNKRVSDQMESSTSSSSSFFGELTGSIAKFSRAIGNITSVSQKISDHLDELKPSISDASTSFVS -TCSTVNKVLDKINALIEPFLKAYSFLATMYKSIKDMVLKLFEGLSSKIKLGFSWVLKKSEDVDVVVYGFL -VFAISMLVLLYVCPSNIVEGVSSTVKNIFFIVGNMFSSLYNLDWFPKWAERFTLVAQASMLPGESVSHTP -TSQLMSTILAFGISTLVFIAVPGRPNGLNNPLSKILYSTGSGAQQCNQLFTLYRNMKDCTSQAFSWVLEI -IVDMFGFKNPILSAISATLATDLFEWMKEVDAVCDPATRLENFANKAFPTKLNHLREQALKISAYIATHP -VAAFMSHRVSAAINQLEKVYAESCRHMGVGQYRIEPFMVQWFGASGCGKSTSMRLFINDVLDRMGEPKLN -RLYAVSKRDAYWSNYAHQTAILMDDMGALRDGAGQCQDIKDLIDIKSTQPAPLPMAAVEDKGRHFTSKYI -FATSNLISAPAQCGLTYPDAFERKRDILVECIKDGKFSTEDPTGHLKFNIVESRRPHAITHRGLSYSDLL -DYVVAKCQVHAEVSKQLFEAESGIASKTAQVQVSSKEVVASVDGARLKTKQDNPILSPVVIDDNDRIIYS -RELTVEALKFAYQGSMDPEELFPHDHHKQALFDSLDADHKQVFLKWRTDMLYRGADAEQYRWLVQNIPDD -YIMHFKSFIYASTICEKKLSVQTEMRTGFAHKCIDADVDTLICIEQMPPFVQFLYTAFVRYWCNKVSKQP -SESWVKVCYHKIIDYIQEAWWNMPYALRLLIKAGLIIMALNGVFGCITAFLACWQSNTFPSASGRGGVTN -ESNSISSKKNFGKNKLKNMLVGQGAQSLTQDWASEDGFVNHSLKKNLVVLRLGEGVYFRGTYVCSGWIMT -VAHAFHNVRDGTPFTIVHPNSRSKVQYNAREAKVIEGQDIILLRVGDPDGPKPDIRKHFPRKDEVCFTKG -SQGLCCRAVASTDPRNGNLELLKMPVMMSKGYTVKVEYELDTSSFKICSQNSYEYHMNGENGDCGTLLLL -PSVQNKQPMIVGIHCASYDGIAAERGFISSNATAIYREQLEDLPVGPVKAAMVRCDILKSIRSREAALFE -ENQVSFLGTVPQELAATVPHKSTLRRSQLFEAFGPAETAPSILTVNDKRGEGFDPYVAGVMKYNETAHNF -DEGIAKMAFETLKCALLPVMKNQKVPGGMPCARDEDVVLNGIDGWDYYDGMELSTSCGYPFNKMGMGLNK -REFVETTGVGDRVELKRSTPVFEAWEELDVQVRKGVHVDLFTTQCAKDERLPLEKIYGKRKTRLFEILPF -HYNMLVRKYFLDFSATLMSSHNAIPCKVGIDPTSSEWSLLANGFRAVSNIGFSADYSSFDGRAPVFIFQW -FCDLVDDYYGSATGSPESNARHALLMMASCHYTLCEDKVFRLVGGMPSGFALTVIFNSLLNEFYMRYAFI -SLLRRPHIAARAIGVKPHDFNQLFVAVYGDDNLVAVPLHLQWYSLPNIAQELDMVNVVIKNGLDKSMDVN -EVQFQDLSELTFLSRGFKRHALGYHMAPLKWVSIIEPMYWIRPAPGCPDAQAMMENVETGIREAFHHGQV -AYEKLVADVQEALNERGFRATIFPSYLEVEQEWIAKVTGNADVMTICEMARAAISYTPLEPGSKVENFER -DLNWFAPNIGFCSARTASHYSWDDETIVVNCTGAKKSNWVRGPANWKDFEGQMWPYTISAILDAQRSKAA -EGKAIVNTIFVCGNGYAVGPVCAALMALATRQFCAEDIIVRLRTIANVLDLNTYPGGCAQYFLQCVPHGD -KVAQAGISLHSSFAHQGFELGNFRIIRGDISKTTALRMPYVVGPNGGWGNFSTQELTTLLSYLGKGYAEL -VSRNTKLTLYFKNMSQEDVQQLITFVKLQGYYPSEVTVGQLKNFVDAEQLVSKRKEGTLHRVVFTKRVLG -STWKLNGDNIMSTLSAESLFPGPLSASVLKVLLSKHTRNMSCQNIGLALKIYLLNFHHITAEMLEKFENM -FQRKLPDKLLFETLVWLEESFRSQIVIDHSVLQRFNKNLFKAREHGFSLHPEMINMNAVDALVFTLRENF -LDSEKTYCVAPELNLGAFLFLTILGLSKQDSDILQDVQSTFVRNCQSILTNYQEP - ->YP_001976147.1 polyprotein [Tomato marchitez virus] -MAFSKMFSKVAGVDDASSSSTTSFFGELSNSISKFSKAVSNITTMSQKISDHLEDLKPSVTDVSTSFVAT -CSSLNKVLDKIGALIDPFLKAYSFLSTMYKSIKDMVLKLFESLSNKVKLGFAWVLNKSEDVDIVVYGFLV -FAITLLVLLFVCPSDIVEGVSNTVKNIFLIVGNMFSSLYNLDWFPKWAERFTMVAQASMLPGESVSHTPT -SQLMSTILAFGISTLVFIAVPGRPNGLSNPLSKILYSTGSGAQQCNQLFTLYRNMKDCTSQAFSWVLEII -VGTFGFKNPVLSAISATLSTDLFEWMQEVDAVCDPATRLENFANKAFPTKLNHLREEALKISAYIATHPV -AAFMSHRVSAAIAQLEKVYAESCRHMGVGQYRIEPFMVQWFGSSGCGKSTSMRLFINDVLDRMGEPKLNR -LYAVSKRDAYWSNYAHQTAILMDDMGALRDGAGQCQDIKDLIDIKSTQPAPLPMAAVEDKGRHFTSKYIF -ATSNLISAPAQCGLTYPDAFERRRDILVECMKEGEFSTEDPTGHLRFNIVESRRPHAITHRNLTYSDLLE -YVVAKCQVHAEVSKQLFEAESGISPKIAQVQVSADDVIASVDGARLRTKQDEPILVPTVVSENDRVIYAR -ELTVEALKYAYQGSLDPEELFPHDHHKQAMYDSLDDEHKEIFNKWRVNMLYRGADAEQYRWLVQNIPDDY -IMHFKSFIYASTISEKKLAVQTEMRTGFAHSCIDADVDTLICIEQMPPFVQFLYTAFVRYWCNKVSKEPK -ESWIKICYHKIVEYIKDTWWSLPYALRLLIKAGLIIMALNGVFGGITAFLACWQSNSFPNASGRGGVTNE -SNSISSKKNKGNKLRNLLVGQSSQSLAQDWAAEDGFVNQSLKKNLVVLRLGEGVYFRGTYVCSGWIMTVA -HAFHNARDGTPFTIIHANSRSKVQYNARESRIIEGQDIILLRVGDPDGPKPDIRKHFPRRDEVCFTKGSQ -GLCCRAVASTDPRLGNLEFLKMPVMMSKGYTVKVEYELNSSSFKICSQQSYEYHINGENGDCGTLLLLPS -VQNKQPVIVGIHCASYDGVAAERGFISSNATAIYREQLEDLPTGPVKAAMVRCDILKSIRSRETQLFEEN -QVYYLGTVPQELAATVPHKTTLRKSQLFEAFGPAETAPSILTVHDKRGDGFDPYVAGVMKYNETACGFDD -DIAKLAFENLKCSLLPIMRSQKIPGGRPCERDEDVVLNGIDGCDYYDGMELSTSCGYPFNKMGMGMNKRE -FVQSTGEGERVELKRDTPVFEAWEELDVQIRKGIHVDLVTTQCAKDERLPLEKIYGKRKTRLFEILPFHY -NMLVRKYFLDFSATLMALHNAIPCKVGIDPTSSEWTLLANGFRAVSDVGFSADYSSFDGRAPVFAFQWFC -DLVDEYYGSKPGSPDSNARHALLMMASCHYTLCEDKVFRLVGGMPSGFALTVIFNSLLNEFYMRYAFISL -LRRPHIAARAIGVKPSDFNQLFIAVYGDDNLVAVPLHLQWYSLPNIAHELELVNVIIKNGLDKSMDVNEV -QFQDLSELTFLSRGFKRHALGYHMAPLKWVSIIEPMYWIRPAPGCPDTQAMMENVETGIREAFHHGRVAY -DKLVLDVQTALDERGFRAVIFPSYLEVEQEWIAKVTGDSSALTICEMAKAAISYTPLDAGEKITNFERDL -NWFAPNIGFCSARTAAHYTWDEGYIIVNCTGAKKSNWVRGPANWKDFEGKMWPYTMSAIMDAQKNVLAGG -HVATNVVFVCGNGYAVGPICAALMALATRQYCVEDIIVRLRTIGNVLDLNTYPGGCVQYFLQCVPHGDKV -AQSGASLHSSFMHQGFELGNLRIIHGDLAKQTAMRMPYVVGPHGGWGNFSTQDLESLLHYLEQGYAELIQ -KNTKLTLYFKELSMENVQQLIDFVKLQGFFPKETTIQKLKNFVDAECLTFKARSFRHVVFKKKLLSSTWK -MCGESIVASRSAESLFPGNLSASVLKTLLERHTRSMSCQSMELALKIYLLNFQVITSEILKKFEDIFQEK -ISTTLLIKVFLWLEESYQTEICIDSQVLQRINSQKFRVQEGGFYLHPEGINMNAVDAIIFSLWESYSRDS -NSYSVSTPIKLGCFIFLVVLDSQGKEDHPVRRFSTVFLKNCETILTNYKEP - ->YP_001039627.1 polyprotein [Tomato torrado virus] -MSFSKMFPGFNSVTEKCATSSSGSFFSELTASISNFSRTLSNVTKVSSQISSHIEDLKPSVTDAASSFTS -TCNSVTKLLDKIMTLIEPFIKAYSFVASMYKSICDMVAKIVASIKDKFTLGFNWVLDKSEDVDVLVIAFL -IFAISMLIIVFICPSSVLDGVVQMTHIVFNTVGNFFSALYKLDWLPTWSQKFSMMAQANVLPGESMSHSP -LSQVVASLIAFGISTLVFVAVPGRPNGLSNPLSKILYSAGSGAQQCNQLFTLFRNMKDCTSQAFSWVLEI -IVDIFGFKNPVLSAISATLSTDLFTWMEEVDAVCDPAHRLENFANPAFTIKLQHLREQALKISAYIATHP -VAAFMSHRVTAAIXHLDKIYGENCQHTGVGQYRAEPFMVQWYGASGCGKSTSMRLFINDVLDRMEEPKLN -RLYAVSKRDAYWSNYAHQTAILMDDMGALRDGAGQCQDIKDLIDIKSTQPAPLPMAAVEDKGRHFTSRYI -FATSNLISAPAQCGLTYPDAFERRRDVLVECRKVGEFNTDAPTSHLEFDVVESKRPHAITHRGLSYDDLL -EYVVAKCKVHAEISGKLYGATSGKVAQVDVSPEEIIASMDMLNIQDTKQDAKLPVVVVSEEDRVAYSQEL -TVEALKYAYQGSLNPAAYFPHDMHKQAIFDVLSESAKETFTRWVNDMLYQGCCNENYRWLIKNIPADYIM -HFKSFIYASTINERSFDVQKQLPDGMAHRAIDADVDTLICVEQMPAHVQFLYTAFVRYWCRRKMEQPRQS -WVVVCYHSIVDYIKNAWYDLPYILRVLIKAGLILIALNGAFGAVTAFCACWQSNTFPSAEGRGGITNESN -SISSRKNKGKSIFARSLLAQAKGDMLEKWASDDGFINEGLKKNLVVLRLGEGVYFRGTYVCSGWVMTVAH -AFSSLRDGTTFSIIHAQSISKVQYNAKTARFLKEQDIVLLNVGNPDGPKPDIRKHFPVRDGVCFSKGTQG -VCVRAVASKDASQGNLEYLRFNVMMSKGYLEKVTYQMDSSSFKLESQASYEYHMNGENGDCGTLLLLPNV -QDKQPCIVGIHCASYDEEAAHKGFVASNATAIFRDQLEDLPTGPVKVAMVRCQLLKDLRARDAALFEEKQ -VAFVGTLPAEQAATVPHKTTLRRSGLFEAFGPAETAPSIISASDKRGEGFDPYVAGIQKYNETAQNFDED -IARLAYEGLRQAILPVLHSQRVPFGKPVTQNEDVVLNGVDGFDYFDGMELSTSCGYPYNKLGMGTSKREF -VEPSGDGDRVQLKRTTPIFDDWEALDVEIRKGNFVELVTTQCAKDERLPLEKVFGKRKTRLFEILPFHYN -MLVRKYFLDFSASLMASHNALPCKVGINPGGIEWTLLANGFRAVSDTGFSADYSSFDGRAPIFAFQWFCD -LVDDYYGSPPGSPDSNARHVLLMMASCHYTICENKVFRLVGGMPSGFALTVIFNSLLNEFYMRYAFISLL -RRPHIAAQAIGCKPSDFNKLFVAVYGDDNLVAVPMELHWYTLPAIAQELEMVNVIIKNGIDKNMDVSSSK -MLDLSELTFLSRGFKRHRLGYVQAPLKWVSIIEPMYWIRPSVGCPDALAMLENIDTGVREAFHHGPQVFE -KLVTDVQNALKERCFPATTFPTYFELEQDWLVEVTGNPAIGLIKELHIAASAFVPLPPGNTVLNFSDGVH -TFADRVSFCSSRTAAAQQWDTTTVLVNCTGAKRPTWVRGPTTWRDFEGLIWPYTMAAIKDHICSIVTKGV -TKPHVVFVCGNGYAIGPVCAALYCLSTGQYSSQDVVVRLRTIADVTDLSQYPGGCAKYLLKCADTREEEL -ADTCKIAQAKGETPAYIPQGGFSLGNFRIVQGRIDLQLAQRLPFTVGPYGGWGQHTTRELKLLLKDMEKI -YQILVQRESFITLYFDYLSSEQVMLLVDFLRLQGFFPRQNDVDYLLKAFKLSKQRHNKENCHTVYFRKPF -LSRKMTMGSKEILSATAAESLFGMDVSANVLKSRLLHLQKPIKCSSMELAFKIYCVIQGHLSKEVVTHFQ -RMYQQDLTEGIIEKVILWLTATLSESFPVDLVDVPLGLDNIEIQDKGFSLNPNNINMNACDAILFQLTEC -YNRSTKKHVFCRYTTASSLVVAYVLAHRHQTIDELPSFYATHPDVLLLTPILTGYKAP - ->NP_619734.1 polyprotein [Parsnip yellow fleck virus] -MSSSNSQNSVNMVDGVDLNDPTAVAIAAASGTWGELDRASTCMYNFFDVSDVERNPGESSKQLVSRIKKR -AGALLGVSRAFKDTEQVLSAERCAFKSFSDDEEIMATTFEPLKDRAEITPTAASKLDKTLEAHRAKFNYM -AIDSIRVAVTSLMHQGDSRECIMYLCDRRFKDPLLGAIALIGFTLPGMQTHVYKTGRMMAFSRKEAIAAD -RLQLYLYVKGAKLERTQNTPITVNVRTSLIFGSNAENLLKCDSQIDTDMNIVSFMAQNQDLFGWLEAAQG -GGYVPQSLRSATTHTSNSVLLHKWNNPVGSVSQRIASRMIFTKAIDAGTSYEDSDVVGSNAKCPNSIGKN -LRIGVAQASIQNTKDDSTPYSLADFVQDGPIAPVVASLESFMSSPSISQTLPLINDQFTRPIYSRTFEWK -ATDTVGASIFQLELPGDVVGPQASSLFSDTMQRAFCFSSDFELSILLTGNESYMGALKIVTDQLRRFHEA -KQDDARVFHSMPGRTVFAKDSDGIKIPIEFMSIHKAVSAHDSNSHNALSRVEARVVTPLSHISLSSPVLS -ITIQVFAKNVKADYMMWRSLETTFPTANATLPSAVGDNFGRLRTSQSEILSTSQILGLLTERAFLGTAKV -QQDTGARVIIAEFALHPMSSRNVDGTLLLSQLAALSAMYAFWRGSLVLTFEINCSASTRGKLIVSVTPKG -GVALAGITASHQGYGAEFDLGTSSTRSFTMPFVSTDEWESIGDDGIMSAFEGVWDCPVANLLVLHPITSI -AESTPSVDIRCYLHPGPDFQLRGRRHIGLRAASRPLSIAQASPVLSQVDFSLMASISIDATEESVVVAVP -CAPWYSKEEVDYTLLQNPLHWASRMFTLWRGDIEYRFVVKEEALGDGWQSPISVWHNPNTQLSKCKITKI -SNKKISKETYHGKKFCLMQLKSIDIVAVDDRRFSWRLCKILDTTKDTAGDSTSPSVTQITYTGHPPMSSQ -TGVVCIKFPKNSIKGKLKVYSKPGENFEFRHLGGVPSLQVSQMVKYKKPFQNSVPDVFITPSKESSKKEL -GFKPKVVESAAVPKLAVGQAQGLVSKIKGFGSLWKFDEEEETLNSELQKMAVEVSGEIDPIQDEGWAKRK -INEIVSSVSTKLIEASTSILSNAATRAISTLFDVMIGKVRGVLSSLVDSISGAFKMCLGDPKCLCLIGIS -ISAVLGYCTLKLVENSVPDALGIFKALMMVAITSISALYWPKAAISIVTKYEEQFKDIENYCSTIYKHIF -LGVSEDKMEGATPAKACATNFEDLAHGKAQAGGKSFLELAGLIAYIRLCVVLCKAMNTSFLEPFTPSNME -KQCRTVGGISIGVKTLCEFKDYIYRMIVGGITPTSSYVKVSGLTGFDIREWFEEVESVTLQETRYTQMGS -DEKIKQIRALYDKGVNVMGKLTMIDSPHLSRVCERSFRLCKELLDETHRCKGASSTRVDPFHVSLYGSPG -VGKSFVMGKLLDDVLDFMSEPQADRCYSKTPNEEYWSGYIGQTAVKCDDLGQDLSKGFSPTYNQIIQMKT -NNCFIVPMADLANKGRTFTSKYIFSTTNVPGCGTKHGLADPGAFMRRRNIFVEVETEGDMIPGSTNHMRF -TLLNPLNPDERIMKYPARMKYVDFLCVCVAEARVYFETQNLVMETLNGTTKNQEEPSKDVIAILEELGDG -VVEGILEKRKELLSQFGVMDPPPFDAIELEPGKAQASVCFSTDAFGNPLKNPFVELFGKLRDEFERATKQ -EMPDDILTKFGASLTLGEPTVFGYENQCGMHSAKDSNLMSSFFTFIFGKNLIYKQEQEFLRHIDTLSSMG -VLRLVDAVTTTTKGDKKILSFANIYDNQAFEQLGVLERLIFHLVLATRAAKKGRINGIRERLANWFTSAR -ILSNNILEELPSPIKMLLVLATSVGSLYLAFKGLSGIGSMILGFTGNFTAKEEDFEMISLNALMGQAKSK -GRNFITSGDELTTRLSRMMSRASLATGRAQGGRSHMDTCEALLARQGQITNMATGLHLVATDLGGGFLLA -PLHTFAGAEKDDIFRFQNGADYYFAFEPKDVSQLSEYDACIIRTDAIPMKSSIVSIFAKESQIELLVDMS -AHFVCGPWKVPFGGEFISEQTVAKRIASFKYFMDEKLYMAINGWSSPFKTEDGQCGSCLVSTSDKLDGKV -FCSLVAGTYDRVTGKYVSTYVPITCDMIKKSISLLTGAEFSESQSSICDSPISDTVAETIKVDQLFSSKP -GASGKFGVFGVNDTIGIIDVVGRTFPETTPKSITKSTIVPSLIQPYMPRKPLTEPAILDPRDVRLGENRY -DPMIDGIKKYEEQARPIKISWRNQIIESMAAQMQDWETFMVREGYMTMDLPMSVVINGIDGVEYYEPLNM -STSEGYPLILNRPKDAHGKEYLFETMESGERRIKSAKLEAHYESYGHALQSTEPFPLICIECPKDERRAL -DKIYEKPKTRLFSILPVEFNMHARRLFLDFNVFVMANRHKHGIMVGINPHSREWSDLAISLASFSPYGFN -GDFANFDGMFHPSSFSMVSELANIFYGNFLSTERDNLTRMLTNRFSLMKGAILRVPGGGPSGFPMTVIFN -SFINLFYLQSAWIMLARFNGRQDISHPCNFPKYVRACVYGDDNIVAIKMEVLPWYNLQTVSEALFDYFGV -TMTDGAKNKASEAKPYGKILEFDFLKRHFKADELIPSLFHAPLHKRSIEEQVYWIREGGNSLELLEANIE -NALYEAHHHGREYYEELKDQIKKAMNRAGYMSFVAPSYLMCRQRWLQQDLGEVATSSLPSHVGLLKEATK -NHFSALTGQEEIKAIFEEIDNGNGGTTKHGNMQQILPNIFIGPTRIFETKYGNSLFNLVCDNSLSKGQTR -YGVKHGIQSLSKPDFTYISESLPCLTTPNFRMVCLDPIGGELALATALCLLHAAGIINTKTFTMFMRIHI -KQWKHVLQAYFRVCETFVSKEWQNFKRDIKRLSQDDVGCSRTTPVCGRFLTLDGQLPQHIKSLDKIDFKK -TRRIKIAQDEDFIIQID - ->ACU01024.1 polyprotein [Tomato chocolate virus] -MAFSKMFSGACKDYRNEHATSSSSFFGELTSSISRFSKAVGNITTVSQKISDHLDDLKPSVTDASTSFVS -TCSAMERVLNKIGSLIEPFLRAYSFMSTMYKSIKDMVLKIFEKLSSSLKLGFQWVLNKAEDSDVIVYSFL -VFAIAMLVLLYVCPSDIVGGVTDTIRNIFFVVGNTFSSLYKLDWFPKWAERFTMVAQANMLPGESVSHVP -TSQLVSSILAFGISTLVFIAVPGRPNGMTNPLSKILYSAGSGATQCNQLFSLYRNMKDCTSQAFSWVLEI -IVSIFGFKNPILSAISATLSTDLFEWMQEVDAVCDPSLRLENFANPAFTEKLNHLREQALKISAYIATHP -VAAFLSHRVSASINQLDKIYAENSRHVGVGQYRAEPFMVQWYGASGCGKSTAMRLFINDVLDCMGEPKLN -RLYAVSKRDAYWSNYAHQTAVLMDDMGALRDGAGQCQDIKDLIDIKSTQPAPLPMAAVEDKGRHFTSKYI -FATSNLVTAPAQCGLTYPDAFERRRDILVECLKDGEFSTDDPTGHLVFNVVESRRPHAITHRRLSYHDLL -EYVVAKCRVHAEISSKLFSTESGLAGEKVAQVNVNVDDVLDSIDSALLITKQDDVEFPPVIISETDKVLY -SQELTVEALKFAYQGSLNKEKYFPHDHHKQALFDALSAENKEIFGRWVSDLIYRGADSDQYRWVVQNIPS -DYLMHFKSFVYATTVCEKKLAVQVELEDGFAHRSLDADVDTLICIEQMPPFVQFLYTAFVRYWCAKVGSA -KQESWVKVCYNTILEKIQKAWYDLPYILRLLIKAGLIIIALNGAFGAVTAFVSCWQSNSFPSASGKGGVT -NESNSISSKKNKGNKQLKNLLVGQSNGDCVKDWASEDGFVNQALKKNMVALRLGEGVYFRGTYVCSGWIM -TVAHAFHNVRDGTPFTIIHPHSRSPVQFNARESRVIEGQDIILLKVGDPDGPKPDIRKHFPYRDDVCFAK -GTQGLCCRAISSKDPREGNLEFFRMPVMMSKGYMVKVEYELDSASFKLCANNSYEYHINGENGDCGTLLL -LPSVKNKQPCIVGIHCASYDEDAAGRGFIASNASAIFREQLEDLPTGPVKTAMVRCELLKSVRNREMQLF -DENQVFYLGDVPQELAATVPHKTTLKKSQIFEAFGPAETAPSILSVKDKRGNGFDPYVAGVMKYNETAYG -FNQDVAELAFETLKSSILPVMRKHRVPGGKPTIRSEDVVLNGIDGFDYYDGMELSTSCGYPFNKMGLGLN -KREFVEPTGEGDRVLLKRDTPLFTAWEEMDVEIRKGNFCELVTTQCAKDERLPLEKIYGKRKTRLFEILP -FHYNMLVRKYFLDFSAALMALHNSLPCKVGIDPTGSEWSLLANGFRSVSNVGFSADYSSFDGRAPIFAFQ -WFCDLVDIYYGSEPGSEDSNARHSLLMMASCHYTLCENKLFRLVGGMPSGFALTVIFNSLLNEFYMRYAF -ISLLRRPHIAARAIGVKPNDFNQLFIAVYGDDNLVAVPLHLQWYSLPNIAAELENVNVIIKNGLDKSMDV -NDVVFQDLSELTFLSRGFKRHALGYHLAPLKWVSIVEPMYWIRPAPGCPDSAAMLENIETGIRESFHHGR -AVYDKLILDVQQVLDDKGFGTIVFPSYMEVEQEWIAKVTGDSSALSICEMAKSSLTFTPLAPGETVSDFS -MGVHWFTPDIGFCAARTAAKLEWPDDQVVVNCTGAKKTKWVRGPASWKDFEGKMWPYTMTAILDAQRYKL -LQGGHVSKTIFVCGNGYAIGPVCAALMALATRQYAIEDIIVRLRTIAGVLNLNTYPGGCAQYLLNCVPGM -EGKVAQSGARYYSNFMHQGFELGQLRIIHGDISREMALRMPNTVGPQGGWGNLSTYNVASFLEQLQSVYE -SLVLNNASLTIYLKKMTIHDANLILDFIKKQGIFPSQSTVSRLKTFVDMELLCYKTKEYSHVVFSKSMLS -REWKLHGSQKAASLSAESLFPGKLSASVMSVLLEKYKQNMSCKSIELALKIFLLQHEEINENILLKFEHS -FGKQIPEALLVKVLFWLSESQLKCKHVWFDFSHRIQHSGIYVEKEGFSLDPYSINMNAADAIFFTLNQHY -DQSERKYRVATSLSLRALLFVLVLGWHLGQVESPDLENNYDFLTFKTILTGFKAP ->AFR11853.1 polyprotein, partial [Cadicistrovirus] -LITKEDLDVIFGTYIGQMSIIDIENIALLPASESKIPLTSLECENVEVIGCVPPNLSTIQPGNTEIRKSA -LHNKWHKTNYTPAMLRPDRTSGVAPFSVGLAKKLIRPKNTHKDAKMKLIAEWILNKLPYKVEARKLTVQE -ALNGVRGYKFLKRIDLNTSPGWPRNLQKNKLKGKKSFVTMVGDQIYIIKSVEEQLLRHESLHKQGIRTHP -IVCDNLKDELLPSEKVYVVSDEPTHDDCEGCKYCTNAEGQKIKWIGNTRIMNSLPFHTLIEERQLTGAFF -ENLLQFQSEPDSFCDLGIDPSTHEPFLKLFKKLCMKVFGKRNGKRVFRIIAGDLKKMDASIAAELSYWFR -WILVEWYRRSPSSFKMTPEELVQLETLDLDLSDVMHLAINVLYRTEGNPSGRCMTTVKNSFIMLFLLILT -GINTYLKKVDPNCTDFNSIFQYVYETADVFGDDHILAVDENCWFDMFDVKEECAKWGMEYTGIFKDKPLV -PYYDLEECKYLQRFIMEKYGVVHACLDKDIIEDIVYWVKDSQPHDEALVQSVDSALREAFHWGVEYFEML -KHRYNSALIELQIPPVTLDYNMLCANFHKTEYVLVSAESKKRKRYDWTEEQELIHVRAQMSTTADMKIEN -SSASEATIQTTTFVDQSYQDNTKVETPSFISPAIKDLDPYPPQGMDTVLTRPYVIDETTWSSNDALGARL -IIIDPLIGLYAIPNIQEKLNRFQFLQAAVKFVIKLNTTRMNSGKLQINWAPHHKYDAVNSNIYQNIYIAS -NLNTHILSANTAQTVEFVIPCVMPIAYWNMKDSPSSNKGMFGSVCFWVLAPLTQTGATGIVTTIVTTYAN -FVEPKLMGLGLRNDVMLLSSVKKLSNYTKKKKKKKKK diff --git a/seq/clusters_seq/cluster_404 b/seq/clusters_seq/cluster_404 deleted file mode 100644 index 056604b..0000000 --- a/seq/clusters_seq/cluster_404 +++ /dev/null @@ -1,393 +0,0 @@ ->YP_009337399.1 hypothetical protein [Wenzhou picorna-like virus 47] -MAQSKMMSEGELQAFDNITSLPRDLRRAIHKFSESNEYCVKMMAQVQKTMRTIDDKVNTTAGKLQDMSDA -MIDLFKVKEDEFSIAKIIENVKQHPAGLLANVTSLYRSSDMVDFSTNLISFASLLGFEQPIINGIRDRWT -KIEDSAHRNRYQSEASVTKIACLLLAVLGKTKIGFGLSDLASLIKDTKKNASIYKDLIEDIEDFAEEHGF -GFSTRARLVKEMKDQIDDLLRKMQEFEQINVVCPIKFCRQTIYTEFKELGQKIEKAVSDMTKKKMDSFIG -TSMAATIFTLDQRFRKLKTSVDQVRRTNGYRVVPQGLVLLGAESQIGKSYLMEELENRIKKELLRRYLAN -PENETLQAFADVERWETWNQSLRDKYDQNQQGQEGHCIDDCFTNSDHMEHPMLITYISPRAIPTYQADLS -SKGQPYNARYVMLSCNTFPRESKTISNPHALANRFPVFVHCSLKPGRTAPPLSEDGTINRDFDWLNLHLS -TGNKYYNQTIETGVQTCPTCFGPCEGVTIDMIVDQMVTRLIIAQKMLESQEKVYNQNKYQMFYDAVSDVY -RGGNVSTMEIDKEQLPAFQRFETPLDLKNDQKIYNWMMISKTKNEKQINDFLDEAGITVRNGNMCTFKWF -IEALNVTDLSDFVLLYHEFGFKPPTRYENAVKDFFKQSFWMDYHEAYLWHGGRIYYNDLYASETPLEQTY -EAYAEERFSVWYHLRRIFTDPLFLIYGLVFVCLVLLGLNGFFAMCLVINFMRMHTWIKIGEDPAKSSNIE -CNAFFNSLVTKAIVAFILWVIYKIVSFLVKKTKTWFIDPIWDKYPEEKKMFAGEFENSKVVFKKRKIYSQ -INDGTVVFVDQNNTSFGNLSYLSVGKPIVFGTVKSFVYKGVNLIFFACLKNKQIDPTAFSLCLDRVEASE -IYLPEKYLSEELKLLVEQHGRCTKYICFEGSYEYSNPYDQHLGRRPKTITSYKKHDDDSTDDEYENEDSG -SQQNKRKNRNVRRYENEDSGSQQNKRKNRTVRRYENEDSGSQQNKRKNRTVRRYENEDSGSQQNKRKNRT -VRRYEVGDSEIKQNKREDRTAHTYESTKMFEQLTRGIDISASDDSFGEDDYAPSCVEGVDVVDITKTLDF -DEGENVQVKFPTASAGTFEGTEALLKDAKYESAVDPNANAILKRIRDELNVQVFSVECEGSALFGIGVGR -YIVFPSHLVFGKDEIVLFKRSTGASVLGKECYLARVVKYCKDWELCGAVILPLKDPAYKKITPENRPTQN -LTFPLSALKYVPKDHDIGSRSLTKYCLQYLPKQGFIIPGMISYIKNYEGKLSGINVKCEIFAMQTLPMMN -AQTIPGDCGGAVVMLHPSATRKLIGMHIGSASNVVTMKDGCLDSRSTGLIAILSLERLHVLTEKSYASEG -EFQSGTGFPKVTWAKPNKYDDFHTLITDSDIGTHLPVDNDDSIKYYGDLEKNQPPCDVKGKTDHYKTPFY -GCFEETKKPSALIEAHVPDTSKLLNDGRGNPSILVTQLSGYAGKTYEIPADIMSTMIEQMTEYMIEVMRG -HAIGTSSNCKTAMWEALNGQYFNDEFDKLNEKSSTGIPWTNLGATTKNSFLERKRILNMYRTSGEDRFIE -GFYLKDDKLTKYFKRVFNNKIEQAKNLKRTFSIWKACLKDELRKVEKVHYGTTRAFIAPPMESFLMGRFL -FGRWKAAFKSNQERLFHGLGLDMKSLDVTDFISKFKQYKYFMDVDYKNFDQKLLAQFIKAVAVIIIETIR -HYEKNDEYANARYVYFEELIHTVICASKTLFMTNRGNKSGNVLTTELNCLVNFMYGWYVFIKTTGDTSLQ -SYLRYVRDKNFGDDKAIGLTQEAVDMGFNFHAYKRVMAEIGQTVTPGNKSDVELPYFEDICELQFLKRNF -YQLYPTIWIAPLDKTSIESVFNYSCLTEEEIEEWQATIREQLIEAMLHGKKYYSAFVKKLREWVSTYKFK -HYHPELREAIMPILLNRYVDILRSYLLRIGVLSPSDLQKEKIYCESIFENGRTRLRYYTKTETDSFENEY -ITESLDKSLMSVMDNVKRYIQRKGEALYNLGLNYGNYSPQETNPETDIQFEGVQSDIGPPVKVMCADGPV -YAYDLGQSHGILPKQIPKIMDVAMSLPDNIKHFQPMDPIYLNGILPRVVLSPTLQQIAPKADVLMDIFQY -HRAKMCLLRIDSRPPLGYSQLIKIAITSTSADDESAFNRRGVTYNLAKCPTMYFLIPFCDRDFVKTRYGK -WFKVLIEQVTPPVILSDVPEPFRFRPSFEVLELDYYVHKAAPNTAPPRQKETKEVPNSATRDQESTGRFE -VGEASDAELIKQFECVKPIDYISILKERCDLYGEEVTYSFKRLVSPDNAPLYECVCMIGARRFSAVEIGK -KKAKRTASYIMLLAISDSVYQADIDPAAAEPSAPRNPMPPVAVASPGSLAAGQTVGTIGARVEVTEQNFV -PINTVTVQPDAQSNDMLFLMRIHPGNFTSGGFESQAQIAYRNHVFSGPGMVNGKISYNTFKITSAANAFQ -NARIIIAQIPSEYSRLQIQAMKATELKQFPNREHFLHGTETIFNPQWVNKLPVITNHETDPSNTNGWLVA -KILENSLVSTSTAPRLTYWVCANAVVYSMPRTPKALPAVTT - ->YP_009336709.1 hypothetical protein 1 [Wenling crustacean virus 5] -MATFSTLSNNSQTRNSMTLWSHLVTIFEEKNLISVPSMRSIMIAKDAKAAYRQLTPLFQEAFEHYSLYDF -VSLLDMNESLQALLVSLSPDDRFDTISFLRKIVRKYDVNSLIKVLDNFHIYLGGGWKKQKKLYKINRENL -DAMITHEAALDSDRPPVYEQQMFESIHSFFGSMQAAGSNLESLTQNVAAFSETLNDLPDIAESVKRTVSH -CESVSEKFEDAAECLLGSAPTLGTIGANAATIMQNMRANPTAIGLNLAGLVASESKISTLAHLASLSSLF -GLNTAIYDRLTNMWSGGYTQQAYDKTLKAVGLLASFLGPYSPSKIMTNDSMGLFKATREAEAMQKIIDMA -LDAMEEMGLYQSEKSAAVKRIRQELTEVIADAIVFETLLATTPAKFQRNKWYTHFQKRYQTLNKIKSEVS -CGVYACLRETTFAQEVMSLSTRYQAMSRTIESMRSLEGYRVEPIALALLGAAGIGKSTFQTALPKLLAER -YHERQLDDDLAWEGLDDIDEWRQWFQNTRDQYHQGYGGQEIHMVDDMFQDATHADHLDFINFISSSNFPT -RQAELSTKGTPYCSRLLVGSCNRFPNQSRTIVELTALTRRFNVIQFTHNGQEVHGFDSSFAHLDIEMWRT -GTAFNNRQPGTRMSLTQLLDYILDSLKAKEDYFMRQSGFTEQVSHDFEEIDEETFLRKWSEISRSHRLPA -KISDVWQNAPAHLVRLLRRTKGTLDGRLIPLTEFSIFGVDNTVQILGSLKEAAGRDELLLQQNFGVIDAH -LGWMNLNGSIYTTRFMTVPLSEDDDDQEDDHPNFEKPWYYKYYEMFERMFTKVYPLLHSWFWAGVSAYLK -AFEYVYAVPLKITEIAVWLIGKLFGFSDESIIASLLTIGIGCFLNSIWINVLIFVVIFGYYQIKLFYLRS -QAPCSNCYQTLRTIPHTNVHEAYCKKHCSRGWIRNTHTSQCEAFAKIIPRVERALCTGCASCIDEKCGHR -SMEEEVDDREIRFFALTARDLGFTDTCFANLLSEEDSDTSVRGKAKRGIRLEESDNSKQGKAKRTVRIEE -SDNSQRQKAKEKIRVEESDNSKRTLPKQKFVPEQFQQEMAADQMAMVLQRKIVDHSLVKCYRGQNGAFVH -GLGYKNFIFTPAHYFKALSEYHYFKRTERVNGETIERIYPLEFIRLDARKDVCCWKFTGNAFDDTLYRHT -ISESEITAHRLHIGSQALQYIPSANMFQVTAVDFCKKRIVPLTTGIHQNFEDLWLVNGIRNPMGLLGAQT -LAGDCGSPLIAIDKSLTSKIIGMHILGGRAESFSALLTKELLDKLMTDTCNEEEYSEQELKHELIDCDTD -FPVVDHLSLCNKVADFPKYQFENIDYIGEYDFLATPATESSLTPHLLHGTFEPKTCPAILQDKDVPDKSK -LKTNAFGKPSILATQLGKYDKFIPQDVDFEEHLKDMAEQLTNHYREVLDGKDLSPMTEEETLSGIEYDIE -SKGIDLRTSAGEPWSRLGKTKGKKKNAYCDKREADSGNRYTLGDTEHATYLRSCIADKEMLASKGYRTLS -LWKNCLKDETRPIEKVNIGKTRAFTAAPFESVYLFRKWFGKFKDQFQKNRRQLFHSVGINPTSFEWTTLV -QDLQEKGTHFHDADFGSYDGNQRRDVQQAVIDIIVNVIDDAHNDTLMRVLLSEVKETFQVTETNIHLFKH -GNPSGNPLTTVFNCIINLLYHWYAFRKITGQLSLSLFQDQVGFTCFGDDVLFTTPDYSPYTFAKIADVME -ELGQEYTTAAKDGRTEISTQLSSKTFLKRRFVPLGSTYLAPLDTASIEGQFNWSQIEDNDLGTISQQLKE -ATFEAGLHGEPYFTSFVGSMRAGIKRLPLHQKLHLNSFITYADARRMALGRIDGSIL - ->YP_009336650.1 hypothetical protein 1 [Wenling crustacean virus 6] -MTTTCSEALFQQEDCCDEVITSWHEQDELDYLESKLEEAVLLRPAKGFSFVGDRMPDKRHLRTLTSYFEQ -ALIKEIESRNIKLTGLWQNAKVPRSSASHLRSIKESKIVKKSKRHNFLRSASGAGIRKSFPPVLILTKRP -VVKGTEQGLFGDYLPPGLTREELEVDDKYAAWFTLLCSCLSASKILECFQVSPLIDFVFDQLDPITLKRF -QNMLLILAKKYPEDFDLAAELNAVYITTKDATPAVRKSNKRRNRIYLDMCYADPELSLATRPVETGVEQG -LFSLIQEGPGKMENILNSTSSTLGKLEDLSDQASSTIGSLENKLSNLLDSASETIKNLEPEKRVGEVDKA -LSFLDKVKKNSPALLMNLVSLVKSKDMISITSAVTNIVFLLDLDGSIVEKFLELFRRPTTNPDTATSGTE -QVFTSTLSLLGIGASFLGDYSPSKLLAGNSRGLFTAQKEMEAMEKISELFMGALSEWGIWDSEQSKTINT -LKAQLKEIIEATAEYETLCSVRPAAFLREKYFRKFMDHFRQLESIRIQVTDKSYNSLNGTNFVGELMALH -ARFKDLKRVVDKARAVNGMRPVTVGLVFLGEPGIGKSQIQSTLLPAMIKRWNARNLGDNPCWEGLDDIKE -WKTWNQNTRDNYHEGYFGQEIHAIDDMFQTADDSDHLDFINMLSGALFPTVQADLLSKGTPYKARLCVGS -ANKFPTASKTCKSVAALQRRFIVVECKATGPVPTQYDPSFGHLTFSYWTDGRDYAQRTAPTIATYHEILD -LILENMLVNDRFYEETLNDTHEEAASSTSDWRIARAKVDDLRMLKGDLELDGDWITVIQNLGNQEARKAR -SLKVLFTLDPNQGEGSYGVCDLAARYPVWRNTAFVLRQVMKMTDDNKEKFRQIFPQDCWVEQDGLFTHVS -SAVKMLRIAGQATFQNAMTDEEFESETFTEMFWMYLKKVKDIIMTTVSSIWDFAKTVWKAYCDTTQALGF -ALTSFICWLTGMDPHGVAGNILTVIFSSYIGAIITQLFVLMCVTVWVVVRITMLRKTQTCESCRNKSRDD -YDAYLLCEYCRLHCKIGTFYTTHTEQCVSLRKAVQEVSYLTCNACRTAQCSNACKHQAETVNPDKRSLNV -YLDAVKKCFPDDSEAVCEEFEQFPELEFVEENSSQSMRSPKRPGLRFEATRQESSSMSVKGKPRQKLYTE -AKEENSSQSRQAPRRAQYYTEVYDGRLRRTASTKIRTEGQKFADDVNLKESDRKTTDLVERFAENAKEEM -CVDGAAMALHKTIRSSTVMCWKVNHMTGKVIARLHGVCFGNHIIVPRHFSENDQPGEFDYYFLDVRSRSL -PDELQKAMREKIQMHVVANGTVTLKSEKMLKYVNIPRNEFTSIRLVKASTKHDYAIWIHDSNPFPMTVYN -NMVTKDDIAKKGDTIPNALQHIPSSDVSMMVAAALVKDMDFDLNTGTVYETTKTYEKLWRVRSFIVSGAQ -TSEGDCGGSLIACDKRLRNKFLGIHVIGSEMCSYAAIVMKEEVDAIINNPKEEMFVVEQKATELPIVDTL -EQINYIVDDPAESPECFEYLGETDNYYPEATQSSLNKHLFFMTFLATACPAALKPEQVEDKSELSLNRHA -KPSILTTQYEKYGKHMETSDDLTMDLRDMAAQLKDRYVEVLASHDTGIANLDDAINGVKGDPDSHPLDLR -TSAGIPWSTMDVGGKKRDFMKGETMEDGEIRYSIDNTTPAGKLLLTEVNRKKQLARNKTRTMSIWKNCIK -DETRPTEKVRIGKSRLFTAAPLDFVILCRQYMGKFKVAWTKERENLFHSVGINPMSMEWANLYNKMKRKT -PYGNDADFGRFDGNLRADFMEAAASVVIKSIVEMNDLDVNDENVLITLWDEIIRTIHVSRTNVSMTTHGN -PSGNPMTTVVNCIVNLLYHWYAYRKITGNTSLESFENDVVFTCFGDDVLWATNGHENGMTFHAVADVMTE -LGQEYTTAAKEAASSASEKPIKELQFLKRSFSPISEIRILSPLEKESIEQQFNYTHMQPNDIEGISSQIQ -EASIEAAQHGPAYYAYFKKKISDVISKLNLQHRIQRVIGYSDAYLALMKRYDTAIVAPKKSKLQ - ->YP_009336637.1 non structural polyprotein [Biomphalaria virus 1] -MAGFAKSLAAASGAPSLVADVREILPEVRSLVSELRATTITVADASAKSAVDVSETLNAIRDQIERLATP -AARAAENLTAGSAKVDEILGFVKSLLEEKTLSQRIVTNATKHIIGISASVVSLMNAKSCGDVLASSVSIM -SMLGMESELALKIISRFASSGDEEAVEIEQQGVDFAKIGELLTCLTGLAGKDSFVPSCVLSWIKSASSAV -ANANALKKMWAIIEDILAEMGFDVTERLRNLRILKDKFEAVRKDAEEIEARFNISAADFLKATHAASFQR -HYDAVMELEKVIMSKSISDQLSRATINSVMGLVTQVKAQKQKLVVLQASCSVRPCPVGVALIGPSSIGKS -ALQNHLVKLVQQNLVHYSRISPDAAFAEDAATWVSWCQNPADAYDQGYANQEIHLVDDAFQNADDADHLG -FIQKISTTPALTYQASLTDKGMPYRSRLVMVSCNVFPQTSKTLTTPAALSNRFPVKVECQLATDANGVRV -PPPGRDAQNFDPSFSWLQLTMNGTPITVLQLAATIASKLVSADVLYHRTIAAQQNHQVPPPRPPRPNFDN -NRNNRPPPNFVIPPPGYVPPPVNPDPLNLFENEEDNVSVASDDDTNSLREDVVTVEDLGPEDDIPEINQQ -SWLGTTKCGIPFKQLYIHSTRLFEVKGVHQKVSQVSDVTDEFVDAVEDLSVPGVLNPVCFEFSEQMFATH -CSAARIAYVNLSDIMSHPQFDSFRYLRVHCKEGVFPMTDFLAKMPEYRQPHLFYSIVHSFSVVHEKFRHA -VEHALSVSVNCCEDQGLFQHHISCWTVVDGLSKVTDNAFDWYKNQTIMSWINLKVKFRQWMSWSIVYSSN -SWIFFKLGPVIVSPVARIVLSVAKLFGASSDTQELISLCFDMISGYVTSAVLTGALALLLFALSRSYYRL -KLMVAGFSWSDVDIVFSNFTAEEVREYRSTDTGRFDLLRPPPNLSHRTVVHHNGVACLCGLPMWDFRDGK -WITVRSVKEITCLQTIEADQSEVVTDLVIEKMSLAAESTADLNECVQTRKIILPAHVVCESSSDQVKTRE -KKSLPKNVVLETSGDQKVRKENKLLPKAVVLETSSDQNVRKDQRVLPKNVILESSSDQIVRQSKRKLPAN -VTLEALEHHIPRFDEIEMLSNSTVPAGSEKFVATPSLKHFIQNADAVNPVKIVAEGRFSPTAKLQTSESS -ARCKEAIQEYLSLHSRRQHTIPSPPNTKAVVFSDTMDPIDIATEACIDSNTVDVMRKIQTGNTVFVKNVD -SKAACHAIISGTLMLFPCHLAKKGDEIEVYDKSVSQKGSKHMFNVFVSASVPDWDLACARVPDVHKRKNI -DHLFVSDNQFFSSLTRTYLQYYPQSQIYSVVAGSFRESVPVRLNGKVMNYHDVIVVNGFETSGVLSRAGD -CGGLLGYLNPTAPRKLCGMHISGAANGSSAFITILTQDRIDFFRSGTGELVIKNQSMCDIPVCDVVQQSM -DHVLEGVSFAPVSELNYNLNFLLPQEEWEAQHFAGGSLRYVGDLVLTAKPVGEETELRETPFGMPFPAIK -KFPSVLTENDCRLKDTSVLKTDCFDFPSILITQISKYSGPAVDVDCDLLSSMVDQLVDHYSLILKDAPLG -CSEDHLENVWLSLNGDSEDVDFKPLAFKASTGIPWTDLGASKKIQLLKPVSITRSGQKVDGYWFDDQNPL -SCLLYDVFNHKLSCAIKGQRTHSIWKDCLKDELRSTEKIEQGKTRAFTSAPFESVLLMRYLFGRFKTYHM -KSLFSLNHALGINPHSAQWSKLCSLLQQKGSKVVDGDFSSFDGSIPRQFMLAAGDVIIRTIEEVSHDGLE -LARRTMWSEIVDTLQVSWSTLYLKDHGNNSGQPLTTPTNCIVVFLLLWYAFCKTTGKTSLAEFLKYVFVV -TFGDDNVSNVSDEIAHVFHPKVIQSQLSLLGMGFTTAAKSGDLAWQSIEEVSFLKRKFVPVSPSYVKAPI -EKESILQCFNFSLLSAEDVQGWKTVIHEQLLEAALHSQRFFTSTREALRKSVKQLRDRQLRESLHVTLLF -SYEDACAILKARQGSVLPL - ->YP_009333591.1 hypothetical protein 1 [Beihai picorna-like virus 116] -MQYNYNIFKMANYYEALYTEDMYTTFHFEEEVVPEKEPVKKNHRLVETQAKAFWTNIDLELVQLLDTTPV -VTEMEVEVPDFNLPPVSVEDITTGSELFSSDVEMDEEMDVDEEMEQFDADDFWPVNEEEEMEVEEEDWDQ -IRTTAMSIRVPIVLVNGRGIVVRTIIHAVEQGILSDFFPTDFFPACLKPEADLPDFSRLEKLMNIAAEWV -PSEQIATILDNHVLLCEHVNGWHKTTYNRFLAFWSEMIRTFPGQVDCMEEILSTYSPKPRTAANKKYCQR -NNARLLDDRFEWLRVMQTVSGIHAREEMFGFSKAMDNVANAAESLSGAGSSIDAFFKSLDAQVGKVSESC -TNAVNTATEKVEKISEQLDAALSTEEGTTSSKVWTIIRKVKENAPALIMCLVALTRATDKVQLTAHLLSI -SYMLGISKMVLEKLYSWFASTSPVSAEEEFIDAREEMEYSSTMKLLGFGASFLGEFSPSKILTGNARGLF -TAQKEMEAMTQLSEMVMNALEEWGIYDSKKSQTIRQLRDALKVSIEHIAEYETMMTTKPAAFLREVYFNK -FLDDYNRVEQIKTQIATTTYTELSGTNFKSEVMSLTTRYKEVRRVVDKTRACSGKRPTPVGIAFMGAAGI -GKSYLQTNLKMLLTRRFKERQLHENPQWEGLSDLPYWQVWNQNTKDQYHEGYVGQEIHNVDDMFQSSEQE -DHLDYINMISCNVFPTRQAEISNKGTPYKARVVVGSCNHFPQTSKTINAIDALQRRFSVVQVSLHGSMPT -SYDPTFSHLRFEVWRDGRDYANDMMGGAHQSETMTLTQLLDYILDSMKMFDDMYNATIDAEFEANRYEET -NFVTKRASADEIRRLKLKKELNPDWTHVLAHSPANATRALRTQRVKIDGTEHILTELPLRHPIWKRTAHI -LKHTSNLVYEGWHIALGDCWTVDDDEFVAVEWTSPSSGTVWIGGSAENMNSMDEEEFEEYVHDSTFQKVL -KTVLKALTIPFTYLMKLCDAWNSWVVDPITDAIMHLIMFLLDCGADDPFCLFMRTFVRLEISFVMCQLVM -VFMAVAWFSVRAVILRNTQTCESCKDPEREAFNQKLLCGFCRNHCKIGYFYTSHSDECKTLKDTVKALEA -ADCSKCINGFCDKQCPHALILEETIDARKVHSYLDAVDEVMSATELDAEVTRLLHIDNFEDEVVKYESSS -MSKKTAKRRKVDLEDSSNSKRSAMRRSVLLEDSSNSKRSAKRRTVNIEDVDYSNLTDTEASAYRHVATRD -QQLIEFESMIKDEQLSVNGGIRAIQEMSKDEAGIKLQAKLTKSTVQVWALKKSGDKEKVMMKIHGIAFGR -HVLIPRHLSNLEGYRYVFIDRRDGALPGDMVEILRNKEIPSLVLKGDTVEIVNSVKRAYIRQESMVPISF -VKASKHHDYALWSFEKEAYVNAFPNTFYDNLITKEDIIRNSQRFNIAVQYAPMSGLTYVVQLKLESDLEF -NLVDRIQEYEKIWKVKAMHLVGAQTSPGDCGGVITCLDTGLTRKVAGFHVMGAQGASYAALITREGVDEL -IPPKVKLEGVAYDVLEDEVSPYPILDPSKIVPFVSDGVLTPPGDIVAVGETANYYREAGPTQIKRHLLAG -AFEDTMAPAPLTVRQVGDPTKLMENGAGHPDILYTQYAKYAAPEPEVEGLPAHLEDMVEQLTERYVQVLQ -DHDTSFANEDEALNGVKGNPDSHPLDVRTSPGVPWSNIIPGGKKVHFLESWDDTDGNIRYVISDTEEGKA -LKEAIDEKRALGQIGYRTASIWKNCLKDETRPLDKVQIGKTRLFTAAPFETVYLFRECYSKFKTAWTVER -DQLFHSVGINPMSSEWTTLYRRMAQMSPYGNDADFGRFDGCLRSDFMRAAGRIVNDSIARMNNLNEEDQL -LMKVLWEEIVTTLQVSRTEVSITKHGNPSGNPMTTVVNCIVNMMYHWYAYRRITGMTSLESFEQHVFFTC -FGDDVLFCSNGRENGYVFSEVAKIMLELGQEYTTAAKDAAADGGEKPLSDLQFLKRTFYEESPTRVLAPL -AQESIEQQFNWTMMMPSEYNGINAQIEEACIEACVHGPGYYNEFRSKIANSILSKGLQRYIQRPVGYNDA -RLLLARRIDGTTSVVARKIRNN - ->YP_009333588.1 hypothetical protein 1 [Beihai picorna-like virus 114] -MSLSTLKPQSTLFTTEIDYKITGLGLSTWTYENGTRIYFDQYEEEPEILVEKEVYKLLPKIRARKTQNLR -FTEPKVETLLLQIRDKCQQKFWNFFESYATILAELYPTEDIYNILTQELTACLSTKGISVGQMFENFFTL -MLKARPTEEQTLMILDILPPDDVRKINQLYGILGLLTGPDAEEQMFSFFTNASTFMDNAAKSSEDWKKTS -ATINNTLDGLTAKIESIVSSVKDTLRPDGPTIETLGSIGRIFLASSQNLPYILGKISLMVYNGCSLERFV -DLLSATGALGVLIEKLGSKLTNLLFAADAEEQMDFSKLAKLLFTPDGLMNFWKVDRGILGITTLLGYLKT -LLVQLGIVTDKHKEEVVRLSHELDNLEQILLNYQLKLTNDPRSLLDPNHLRVLESHVQTLQQIYSNLEII -NDLTHRQRCALLKRDMTKVMTTVMTMQRQAHSRVEPLGICTLGAGGIGKSTLISSTPGLIARRVKERCSL -PSTNPQHLPHSEFLDSVPHWKSWSQNQTDKYYSGYIGQEYHNVDDGFQDQKDLDHLAYFNLISAVPFPTN -QAELESKGRPYCSRIVQVSANRFPTRSETIRDIRALARRFTLVGVRFNGNDAQYRAWLTGNRDHDNTFSH -LQFFKMSGYTYVTRQQDVHEIGQGLWQQINLTQYLDLIIDGVVAKQNIFMQRVYAEEQAGDTNIVDMNPW -NMYYGNYNGARTCNFVTPEGRPVMDVKPVDGNFKRSMLVARFGSFPAKEFQTDWDINRVINSVNTDHPKH -IGRVFKSTEPTSFEYRHNGTLYHIHFPKMGDGFNATDVRCFDTTYREEDYTQYHGQFTEGTDDSLFGRLK -AFFMKLFNGCKDMVVWVLYVLKAIFFPDMTGWTTFQRCTQHAIQGYYFLLIALTTFAYFFGVQMLGSIST -CVDCMTDMQKNYDLLIHWALVNKYHQTFCKFYRCHDDCRWLKSVRFPGTKGCKNEVGSLFWKTKCGYHYN -QENILRLHKILEKLHNQDPASAGPDAMGAFIVESCRLSETELGSWIAQAEYTYLNCFLAPTTYTNVTEEG -DNLKQFNTFLIHPEHFERDSNKEIIRSMPSEESGESKRIVRSRATMQSGESKRIIRRHARVESALTQKRI -PCSDGSSATAHKRLPGLCVDQQTFAEVESNLDKKPLTAEQVAEEYGLKLNKINPEPIAMTESNAQTEEEA -SVDENCRTQAGSINESAIYVLRPDGRVLVRGIPVSQSHFVLPAHTTQQYPVGETFSIAYKGGNYAAKIEK -ARPRWDIAIARVTGVTLQSKRKFIPKESELIDRLRSNSRGVICIPNQDKTTYMLNVNMILHKEHRITFKN -LETKEYDHLWVATNLVSSGADTVKGDCGSPVMLMCPKTHKKLVGFHIVGASDFSAFAVLTDERIEMLLED -ADEEGFVDAEEEMNIGKTTYPVIPNSLYETISPCGEDPIYAPVGLEKQVIGTRAFETPSNGKSAINKHPC -HGAFPVDTCPAFLSMGAYNKAHPEEPLTMVNPAGDEVEINLVTKNTSKWCKQLPQDPLITEELEEMEEEL -TEYWKDIFSEENLSMISIEDALSGNPAFDLEPMNVKSSPGIPYNTMKGGTSKQGLIEPTGILYPNGKCQV -KPVEEVQKATLNRITLARKGLRPFSLWKDCLKDETRPIPKVHQGKTRIFTAAPFDFSLAVRIMMGRFKAA -WQKKGIELGHSVGTDCLSPDWGRLYNKLVSVSNYGFDCDYSSYDGNLRADFMFAAIRIFAKVIAEQTYQT -KYDVQPDEVEEIIKVICSECVETFQQSGDVVWQSQHGNPSGNPLTTELNCTVNFMYHWFCFRQILGKENC -SLRDFLSEIGFSCFGDDAVFVFRAVDTVTFQKLAYWMNFLGQEYTNAAKTGSESALLHISELSFLKRRFL -PSEASNRIIMSPIEESSILGQFNWCSYPADSVDILQDTYENALIEMSQLGVEKFENFVRLLHPRMNRHLK -SLTGLNTPKPSYRGYRAKLIHKLTK - ->YP_009333564.1 hypothetical protein 1 [Beihai picorna-like virus 115] -MSNVEQLRTLFVEDYSITGLGLLKHVTPDGRTQYLDPKAENFLIIHEKEIMSTIKTMWARGLDNLRFDKM -RGFLSSLKKSCNRRLWMFLQTYIVVLAELYPDGDLVSIISQEIVGSLQTNGVSVAKLFENFFTLLISTNI -TLYHAEMMLAVLYPSDIERCQELFGFIDLLPSEQMFGFFSDVSSGATDLADAMRDLREMASGLKTDFSKM -SSTVNVSIASLSETFKFMTDTVKQQLSPGGSTFETLGFFGEMLDNIRGNLPYIVSKFVFMISDGVTAERL -LDIASIVGLTKFLFSKFGGKLMHFFTGAQEQIDMPSLYKFLFTPDGLMNMWKIDRGIVGAANIIEYIKKV -LILLEVIPDKDGAKFVEVTSILDNLDDVVQNYTRARIEHPRSLLDPNHLKILQGHLKEISELTGYLESTR -DSVHSQRCAFIGLSLKRLYSEILIMQRQSNQRVEPVGVCILGAGGIGKSHLLTGAPAALSSRAEQRMSLP -VDNPLHLPRTELLENMKFWQCWSQNQDDKYSSGYEQQEIHNVDDAFQDREDRDHLQYFTTISSVPFPTNQ -AGLDSKGKPYSSRLVQLSANSFPTRSETVRDIRALARRFTLLGVVFNGDDEAYQNFLNHPPENHDPTFRH -LLFYKMSGFDYVTHAQHQQAIGQGMWQQISYTDYIDMLIEKLLMKQRLFDQRIGAEEQSAGEIVDMNLWG -HLYVPASRGFYNQDAEIIDTIKPLKGTFLKTLRMCKFGTFPCAEFPVHWDISRVVNSVNIQSTLHIGKMF -SSETPVTFRYIADGERTDLIFPKMGPDFDPQAISIIQTPIGDEDNTQYQNGMPQETLYGKLKTVLMNMFG -KSKELVSWILFAVKSLLFPDMTGWSVFAQMYQTALTTYTILISCVVVFAYFFGTQMLGNVPTCTSCMSDH -EKNEALLAQWIIMNRYHDQKCGMFKCDKTCKWLKQHRVGVSKCENDVGCLFWKKKCAYSEQNLEPFHEVI -KGIGKENYEIIDPYLMATDLCEKCKLGESQVPKWFNVFERAYTQQFGIAVKSKHVLTEEEMYSMELLFVE -PCQLESGESKRIVRKKAKLESGDSKRIVRKKANLEINRKPGFTCQSVETEGASVSATYRQPISILEGEIY -RELVNCQDAQPEDFDDVAEQYGLKISRKPRKGPVLPEDVDEEASIDPNCRPLAVKAATKAVYVMNESGEG -VLLRGIPVGTKHFLTPAHLQRVYTIGESFTVKYMGQEYQAKMVKARPEWDLAMCEMITPCQMVSQLKHIP -SASELIDRVRANSSGLIAVPSNDSVVCMSVNMTLNTEYQIHFRDGKSKDYDHLWVATTLAGLGADTQSGD -CGSPVFLMCTKTNKKLVGMHIAGAKTFSAFAVITQERVSKLLDVAQEEIDTSYPLVDHFYEPNIIPFHVK -DPINAPVGAEKEIIGQRQYERPCASQTAIKKHPCHGLLPVTTKPAYLSYSQFKTEHPDKLLCMTGDHGDV -VELNLMTKNTSKWCKPLPQSELITSELAEMEEELTDYWRSVFAGENLDPISIEDAVSGNPAFNLEPMNLK -SSPGIPYNTQRGVHSKAAFVKPTGEVMLNGAQQLTLTDDVKRFVERRLENAAQGKRVMSIWKDCLKDETR -DIKKVDEGKTRIFTAAPLDFTVALRVLMGRFKAVWLTKGHLLGHSVGIDCLSPQWAQLYRRLIEVSDHGF -DCDYSSYDGNLRSDFMYAALRIFATVISENVGDPNLYQTIMTLCSECVETIQQSGDCVWMSKHGNPSGNP -LTTELNCTVNFMYHWFCFRQILGKDNMSLKDFFENVGFACFGDDAVYTFRHVDEVTFEKMQYWMKELGQD -YTNAQKSDDMSALTHIEELSFLKRKFKPCPISKSVVFSPIDPQSIEGQFNWCSYEHDAAEILQDTYENSL -IEYAQHGKEAFNKFVNQIHPAFDDHLKSLIGKPTPKPRFASYRSKLIKKLTK - ->YP_009333522.1 hypothetical protein 1 [Beihai shrimp virus 2] -MTTLIKTTISYSVYEALHFEEDFEHVDFTDDDLPAPLDLSVPKHRLVETPNKAFWTTLPENIVRLLDNAP -VKEVLVKKEESFDLPQATMEDMDVTPSDPQQTEDDSEPMEVESFNEEDFWPAVECEEHPQEEMEWDEIEE -VAATVSCPIVYIQDGKIKRKRTLIKAFEQGLLSDHFPADFFPTCLKKQPEHPSFDRLEILMNIAADYVHS -EQLGILLDNHVELCHHINGWHKSTWRRFTAYWSEIIRTFPAHVDCYDEIMNTYSPSPRTAANIKYCQRKN -KEKLDAQFEWLRVVQTVAGIPPIRAEEQVFGIPEMIQSITKASDSVSASGEVASKFMEKCTENIENASKK -IDDLSSRFESFIDSEDVDTGSKLWNTIKKAKENAPALIMSVIAITQATDKIQLITHFTNISYLLGLNKIV -MDKLYSLFSKTTPQTEEFVDAQEQGDSFTATLKLLGIGASFLGKYSPSQLLTGQSRGLFTAAKEMEAMQQ -ISDLVLGALEEWGIYDSEKSKVIRQLRESLKLAVENIAEYETMMTTKPVAFLRNVYYSRFCEDFNRVEVI -KTQIATSTYKELSGSNFNAEVMSLTTRYKEVKRVVDKTRACSGKRPTPVGVAFMGAAGIGKSFLQTNMKT -LLAKRFKERNLENDPRWEGLIDLPTWQVWNQNTKDQYHEGYVGQEIHNVDDMFQSAEQEDHLDYINMISC -NVFPTRQAEISNKGTPYKSRLVVGSCNHFPQTSKTINAIDALQRRFAVVEVTLNGAMPTDFDPLFSHLRF -KVWRDGRDFAAEQMGGMPMSENMSLVQLLDYLLEAMKVADDIYDATINAEFQLTRYESDPVWEVKTASVE -EVKRIKDRLIPRLNNDWSGVLALADTRKARALRTQRMRIDGEEKIVTELAQQFPVWRKTSQVLRHVPNVI -YVGWEQALGDCWVQDDEDFVAVEWSSASTGTVWVADVATFMNAMDEEEFQETVYDPWYYRILKGVQTVLS -TALKYLYKAATLYNSVFVDPVVDAIISFLTYVFELDWEDPFTVSMFWIIRFEVSFVIAQVVTVVIGLIWF -SIRAMALRSTETCESCKNPERDTFNRKLLCGYCTNHCKIGYFYTTHTDECKLLSSAVKEIEAATCDKCIN -GFCDRECPHVIVREEGADARKVSMYLDAVSEVYGDVKCESEIMKLKESSAFFDEIVAYESSSESRRRQPR -RKVNLESRFPCYESSSESRRTRPRMMVNLESSSESRKTRPRMSVNFESSSESRKTRPRRQVQVEVDEAGY -QDLKKDPVVEETSAYRADATRQKIVHTFEESLRKENVQLEGGVQAVEEMARDEAGMKTHAKIANSTVQIW -AMKKSNEGEQIVMKIHGVAFGHHVLIPRHLTFDRALSYYMIDSRVQALPAEVQNIIKQRKMFCTKKVGSN -LEVRSAEKFAVLQSDTLVPITFVGAAAKHDYALWSFSRSTPAFPDTFYKNLITQHDLLHMSQHIHTGVQY -LPLNGLSYIVQINLERDLVFKLSETDFKTYDQIWQVRALHLLGAQTSAGDCGGAITCLDTNLVNKVIGFH -VLGAKQASYGAIVTKEGVDTLLALEAKQEKRNVQEASPEGVEYDLIEQNVSLFEILDPLFNVPFDSDGDL -CPDGEIYPIGNTPGYYREAGKTMIKRHTLAGAFPDTMRPAPVHPSQVADQSKLMENHKGNADILFTQYAK -YATPEPVVPGLDEHLADMVGQLTQRYIVILSPYDTSFASEDEAINGVKGNVDSHPLDVRTSPGVPWTDVI -PGSKKIHFLDSWTDETDGNIRFIIADTHEGNLLRKAINEKRALGRQGYRTASLWKNCLKDETRPIEKCEV -GKTRLFTAAPFETVYLFRECFSKFKTAWTQERNNLFHSVGINPMSVEWTELYRRMAAMSPYGNDADFGRY -DGCLRSDFMRAAGKVVTQSMTVMNNLDEEQQLLMEVLWEEIVTTLQVSRNEVSITSHGNPSGNPMTTVVN -CIVNLMYHWYAYRRITGMTSLESFEQHVFFTCFGDDVVFVSNGYENGYLFEAVAEIMEELGQEYTTASKD -AASTGGEKPIDQLQFLKRGFKEETSALVLAPLAQESIEQQFNWTMMLPTEFEGINAQIEEASIEASLHGT -EYYEFFRESLVKKILSLGLRRYINLPVNYTDARLLLIRRINETTIVSTRKIRNN - ->YP_009333571.1 hypothetical protein 1 [Beihai sea slater virus 2] -MANYSKPFLLDIVFNKDIKCINDSCSHSDCRYQSNSYVELRRNQALNKNLLCCSDIDDCYKSDLFAFLYM -TAYEKCSLGDFIFLMDNSEYFKNKIQSINFRYWNKLERVFVRSIKNSHNLKFVFHVLDKIRVVHESANLR -TAKSIRKYNSSYILGLFDLFNACPDTFETKLTEAKEQVFGIDEKFDVLQKGFTDMQKNFSTVAENLTDTT -AKLNVMLDRQDIKTTETFNTVKSAFNSIDHSVKRTSDVLCKTVESQNRNIDNSLNSFKEAMGSFKVAAGD -FSDLKSSVDEVLENLKLKDPQSVLVTIIQNLKKNWTGLLSSMYLLFNVKGIDNQLAVIASICSLLGINHM -LLDKVYDKFKPTAKEQGKRHATNKLFGIVSLALGKHSPLKMFNISNLTALSKEMEAADNVVKIFEDLLEE -WGIYDTPSHKAAKLIYDQAARLFTELADYDTTYRLEANKFYKNKYYKSWCKSYKEVVDLKTQNVGLQDSV -SRDINLLYNSYNSLSQKIDELRAGDGRRQCPAAFVLLGNSGIGKSEFMVDCVTNLPNRPSLLAEFAEKNR -WARSNPHVEDLDFGNWTNWAENKSKDQKYQQGYFGNDSHTVDDAFQGADDADHLNYINYVTCSKFITNQA -DLKDKGRPYMAKLLILSANQFPRQSKTIKHMEALHRRFRVVEVYKKKGATVPKDGLKDRSYAHLEFYLHE -SGVDYISNRPPIPVTIDEVVEEMMRAVVMEKVKYESNCGIQSDESPFFEEKPEGFVPPPPPTEPPPPPPT -ETEPVEVPPQENPPNPFNTNPFYSDLDTSEDELPPKKKFKGKLDYTVPIDPRAFPSTSGEQEKKKDEKES -QEGVKSEKESQEEVKSDKEDEEEEKFEDASESFSYRQRFYDFFRAKKKAEDKEKKKEEPKQESQTDNTEK -KDEQKEQEEYLKNRTEVLFPVYDPVVPNATAITAEDLDRHLARTTRMEFDWLRSSERDCPNNVVYRGLAL -LKVKQSGLDFFTFVAQFKSECKWNCLDYVLEHLDYFTPESLSKCRNMWKSARVYCYGDRNLFFIFDGQIF -YRHTSLGDDAEDCKAHEEYTAKMKKTFWDRMKDLTKFAGSCLYNLIYYIERGIFHFASVFYKPASLIVDF -FFWLFGLKVHEYYDLSIVVKRLVGYFTGPVILCGILYMFTFMNKRFQFFDYVCDNCSTSQLWLWGRARLR -MKCSSRCKIGYLYTTHCDDCDLDIKVYDNFVGHVCDCKNRCEWCMHKEVNDFDERDCERLERVLKIVDSS -TLTKAYNQLFDANEERKQCDCFVDQICEFCSKSYGTILEHFNKYYQKGKYNPLIVVLKNGIIQKKPLIMS -SRRPTDISEISMPSVDERFKEWLSDMNVLKEEYSINVDSLTPDEAKVVANNVKAVSVDSSTTEEDLKKIV -PGATEVPEEWEKTKESQEEWIWSKKTEEPKIAVEEGGSSTSDRRKKVRVEGSSSTSDRRKKVRVERFEYP -LSFPNFVSSNVHKHNAYTRHCKYLDCVKLDLVEMQGTSKDIVISKANQAYNLTKRPIFVEDVALCVDELG -NWPGPYIKDTLKEMTPEKFGRMYAGKSATVTVYVALKVRHDLPPKVFTSKVQGVIVPPRGNKGFGFDSVF -MPKNYQETYAEMDFVYLDVDPRYSIMKLIVEQMEMYADEELAITSIVPRVRIVTNFNCKEWATKVCKEKG -LTVVDYKQYDNSGFDDFVDLGIKYQDQVSAEDPLLIVSKYLDINGYECSYFNGLDEVRSAAVVADLDKAR -DYILRCVGSVIEGGNEEMSSDPTINAVSTVLSNQTVEVFNSKGKLHGIAFGKNVLTPFHIGETAFFMRGD -RKVDLKLVKALPEVDLSLWSFQHVKSAEAYSLKPLSYVARKEEIVRHVMADGHGVAYLPMSKLHYICHID -FVYDKSFELTRGLVTYEELLSIRGFERIAVPTVNGDCGGFTISCNNKLARKWIGMHIVGTLEKGYSTLIT -REILEKLGVKFAEKAVEQRSVNVIDCSSKLPIVDVMNLCPIKVDNPRYPSTPQIEYLGQMPYTGMPASGT -NLIKHPFYGTFPVTQIPSALSLDQVKDSSTLDKDVYGNPDLLLTQLNKYGHPETVIEDEEKILDGMVEQM -TDYTKFKLSGYDMSPLSEEDAISGLSEDIDSEPLDVRTSAGEPWSRLGKTSGKKKGAYLRKIVRDGENRY -EFANNPHSLTLKEVIQIKEDLARERVRILSVWKNCLKDETRPVQKVEKGKTRLFVVAPFETIFLFRKYFE -RFKLAWQKNRLKLPHAVGINPVSSEWLHLANLLLKKGKLMCDADFAQYDGRLRAAFMRAAGKIVIDTINP -EDEETRNILETLWEELVETPHLSFNMIHLILHGNPSGNPFTTVLNCFVNFLYHWFAYIKITGNYSLSKFL -EEVAIFAFGDDIIYSTNNISRFSFNAVAEIMEQLGQEYTTIDKSGSRSEMKSLKEITFLKRMFRKDCGNV -IFAPLDTEAIEQQFNYSLLTENQDLAIIAQVNEALLEAAAHPVEYYEKFTTALKHQVMRKPNLRRYIVSP -YFHIDEDRSRLLKRIV - ->APS85749.1 non structural polyprotein [Biomphalaria virus 1] -MAGFAKSLMTASGAPTLVADVREILPEVRALVSELRATNVTVADASAKSATDVSDTLIAIRDQIERLATP -AAKAAENLTAGSAKVDEILGFVKSLLQEKSLSQRIAGNATKHIIGISASVVSLMNAKSCGDVLASSVSIM -SMLGMESELALRIVSRFSSSGDEEAVEIEQQGVDFAKVGELLACLTGLAGKDSFVPSCVLSWIKSASSAV -SNANALKKMWSIIEDILAEFGFDVTERLRNLRILKDKFDAVRKDAEEIEARFNISAADFLKATHAASFQR -HYDAVMELEKVIMSKSISDQLSRATVNSVMGLVTQVKAQKQKLITLQASCSVRPCPVGVALIGPSSIGKS -ALQNHLVKLVQQNLVHYARLSPDAAFAEDAATWVSWCQNPADAYDQGYANQEIHLVDDAFQNADDADHLG -FIQKISTTPALTYQASLTDKGMPYRSRLVMVSCNVFPQTSKTLTTPAALSNRFPVKVECQLAVDPNGVQA -QAPGRDAQNFDPSFSWLQLTMNGVPTTVLQLASTIASKLVAADVLYHRTLAAQNQQVPPPRPPPPVYANN -RNVRPPPNFPVPPPGYVPPVQNPVDPLNPFDNDDVQSVDSDDDNNPFREDVVTVEDLGPEDDIPEVHQQS -WLGTTKCGVPFRQLFIHPTRSFEIKGVKQQIKVDNSDDSDEFVDAVETLVVPGVLNPVCFEFSEQMFATH -CSAARLTYVNLSDIMSHPQFDSFRYLRVHCKEGIYALTDFLAKMPEYRQPHLFYSIVHSFSLVHEKFRDA -VESALNVSVNCCEDQGLFQHHVSCWTSTDGLSKVTDNAFDWYKNQVIMDWINLKIKFRQWMSWSVVYSSN -SWIFFKLGPVLLSPIVRIVLSVAKLFGASDDTQEIISLCFDMISGYVTSAILTGALALLLFALSRSYYRL -KLMAGGFSWSDVDIIFSNFTVDEVREYRETDTGRFDLLRPPPNLSHRTVVHHNGVAYLCGLPMWDFRDGK -WITVRSVKEVTCLQTIETVQSEVVADHVIERMSVVVESSSDLAERVKQRKVILPSHVVCESSSDQPQQKV -RKELPKNVLLETSGEQKVRKDQKSLPKHVVLETSADQNIRKEQRALPKHVVLETSSDQPVRQRVKKLPPC -VSSEALEHHIPTFDEPEIYSSVTAPPGSEKLLAVPSLKHFIQTTDSLKPISIVSEGRFSQTAKLQTAESS -ARCKEAIQEYLSIHSRRQQTVPPPPNTKSSVFSDSMDPIDIATEACIDSNTVDVMRKVQTGNTVFVKNVD -SKAACHGIISGTLLLFPCHLAKKGDEIEVYDKSVSQKGSKHLFNVIVSASVYDWDLACARVPDVFKRKNI -DNLFVSDNQFFSSITRTYLQYYPQSQIYSVVSGCFRESVPVRLNGKVMNYHDFIVVNGFETSGVLSRAGD -CGGLLGYLNPTAPRKLCGMHVAGAANGSSAFITILTQDRIDFFRSGTGELIVKNQALLNISSVCDVVQQS -MDHVLDGVSFAPVSDLNYNLNFLAPQEEWEAQHFAEGSLRYVGDLVLTAKPVGEETELKTTPFGMPFPAI -KKFPSVLTENDVRIKDSSVLKTDCYGFPSILITQISKYAGPSVDVDCDLLSSMVDQLVDQYSMILKDAPL -GCSKDHLENVWLSLNGDSEDVDFKPLAFKASTGIPWTDLGASKKIQLLKPVSILRSGQKVDGYWFDDQNP -LSCLLYDVFNHKLECALKGQRTHSIWKDCLKDELRSTEKIEQGKTRAFTSAPFESVLLMRYLFGRFKTYH -MKSLFSLNHALGINPHSAQWSKLCSLLQQKGTKVVDGDFSSFDGSIPRQFMLAAGDVIIRTIKEVSDDGL -ELARRVMWSEIVDTLQVSWSTLYMKDHGNNSGQPLTTPTNCVVVFLLLWYAFCKSTGKTSLAEFMKYVYV -VTFGDDNVSNVSDEIAHVFNPKVIQSQLALLGMGFTTAAKSGDLVWQSIEDVSFLKRKFVPVSPSYVKAP -IEQESILQCFNFSLLSAEDVQGWKTVIHEQLLEAALHSQRFFTSTREALRKSVKQLRDRQLRESLHVTLL -FSYEDACAILKARQGSVLPL ->APG79015.1 hypothetical protein, partial [Changjiang picorna-like virus 17] -MKDQIDNLLQGMQLYEQIAIVSPIKFCRQTVYVEFKALGQKIEQCVVDMSKKKMESFIGTSMAATIFTLQ -QRYCKLKSSVEQVRRTNGYRVVPQGLVLLGSESQIGKSYLMEEIENRIKKELYRRYQADPDNETLQAFAD -VDQWETWNQSLRDKFDQNQQGQEGHCIDDCFTNSDNLEHPMLITYISPRAIPSYQAEMNSKGLPYNARYV -MISCNTFPRESKTITNPHALANRFPVFVHCSLKPGREPPIPSEDGGINQEFDWLNLHLSTGNAYYNQTKT -TGKTHCSTCFGPNTPVTIDDIVNQMVSRLIIAQKMFESQQKAYKQNKFQTYYDAVDDVLRGGNVSTLPFS -ELFPQIQALVSPNDLKNDQRIYQKMMASKSTQIEMINKFLDECGVVDRPGDMSIFKWFADALNVTELSDF -IILYRQYGFKPIAKYEEEVEEFFRQTFWMDYNEAYLWFDGRIYYNDVYAAPTKLTQTYEEYAEEHYSVWF -HLRRIFTDPTFLVYGLVFICLTLLGLNGVFAICIVINFMRLHTIVKLKKDPIGSNDPECTDFFNALVAKA -MIAFVIWVIFKIVFFLVKKTKNWFIDPIWNKYPEEKRLFEGEFESSKVIFKKRKIYSQINNGTVVFVDSN -NASFGNLSFLRVGKPITFGTVKTFIYKGVSLIFMACVKNGRIDPAAFSLCLDRIEEREIYIPEKYLSEEL -KLLVEQHGLYTKYICYEGAYEYSNPYDQHLGMRPKVVSSYSQKKDDESSDDEYENDKPTKSRSKYEQEGS -GLPPPKRQNRSLRRYEVEGSGLPPPKRTSRTVRSYESTKMFKDLVKDMEITSSDDTFGEDDYVPSCVEDT -DFIDITKTTDFDDIGNAKIKFPKQSEGTFEGTEVILTSASYESAVDPNANMILKRIRDELTVQVYSTEID -GSALFGMGVGNYIVFPSHLVMSEGEIVLFRRSTGASVLAKELYYARVVKYCKNWELCGAIIIPIKDSLYK -KLTQDVRPTQNLTFPKSALKYVPRDHDVGSRSLTKYCLQYLPKQGFIIPGMINYVKNYQGRLSGIDVKCE -IFAMQTLPMMNAQTIPGDCGGVVVMLHPSSTRKLIGMHIGSATNVVTMRDGCLDSRSTGLIAILSLERLH -ILTEKAYASEGQFQSGTGFPKVTWAKPNKYDNFHNLISDDDIGVHLPIDEHDSIKYYGDLYKNQPPCDVN -GKTTHNKTPFYGCFDESKKPSALIEAHVPDASKLLKDSNGKPSILVTQLSGYAGKTYEIPGEIMETMVDQ -MKTYMIEALRGHAVGTSRNSKTAMWEALNGQYFNEDFDKLNEKSSAGIPWTNLGATSKNDFLEQQRVLNM -YRTCDEDKFVNGLYLKNDKLTLFFKRVFNNKLEQAKHLKRTFSIWKACLKDELRKLEKVQYGATRAFIAP -PMESFLMGRFLFGRWKAAFKSNQEKLFHGLGMDMKSLDVTDFVTQFKRYKYFMDVDYKNFDQNLLAQFIK -AVAVIIVESIRHYEKNDEYANARYVYFEELIYTVICASRTLFMTNKGNKSGNVLTTELNCLVNFLYGWYV -FIRKTGDTGLQTYLRLVRDKNFGDDKAIGLTQEAVDLGFDFHAYKAIMAEIGQTVTPGNKSDVELPYFDN -ICELQFLKRNFYQLFPNIWIAPLDKTSIESVFNYSCLTEEEIEEWQATIREQLIEAMLHGKKYYSQFVKK -LREFVSTYKFKHCYPELREAIMPILLSRYVDMLRSYLLRIGVLDPSELHKEKVYCEAIFENGRTRLRYYT -KTENSFESENITESLDKSLMSVMDNVKKYIQRKGEALYNLGLNYGNYSPQETNPETDVQFEGVQSDIGPP -VKVMCADGPVYAYDLGQSHGLLPKEIPKIMDPAMSLPDNIKHFQLLDPIYLNGIQPRVVISPTLQQIAPK -ADVLMDIFQYHRAKMCIMRIDSRPPLGFSQLIKVAITSTSATDDSAFNRQGVTYNLAKCPVMYFLVPFCD -RDFVKTRSEKWFKILIEQITPPILRTDIPEPFRFRPSFEVLELDYFVHKDVQSVIPASEGIPLSIVPTTA -LSVAVINNPLLGSGNISNGSTITLVGDILAIDGDVGATNQPNTSLYVLSAGTTGVLNIINFGTGLALQFV -SAGLVYTLLRDSDYVAASTGSFTGRVNALQVGSANPPQLGLIGRMPNAARVRVPAPKKVEQPIHVYAKDI -LDHEVDRKFEEYYWHNKGERGFTLPGHKYEGPGNSLNNGIPTNEMDAYARKHDLQYAWASYLYANKRIDK -PTYEAKIHAADEELATNSNLTSLDGIAAMLGMRAKKFVEHFTGLLYPSVGKYECVSGDSDEELIEQFKKI -KEPDYISILKEKCDITGEDLRYRFKRLPSPDDSPLFECVCLMGNQQFTAIEIGKKKAKRAASLAMLLSIS -DGTYQSDIDVAASEPSAPTNPKPPVAVASPGSLAAGQTVGTIGARVEVTEQDFIPINTVTVQANAATNDQ -LFKLRIHPGNFTSGGVESQAQIAYRNHVFSGPGMVNGKISYNTFKITSAANAFQNARIIIAQVPLEYTAA -QIDALKATDLKQFPNREHFLHGTETIFNPQWVNRLPVISNHATDPSNTNGWLVAKILENSLTSDSTAP ->YP_009230126.1 polyprotein [Marine RNA virus PAL_E4] -MARFSVIVMDYMRDEKKDCLRTAAFLSNVAGCCEFSDVALTMRFLTVMKGYFSVRSIRPQSESTDYIRDF -FSGGSATVKKHLPLVMKKILALVASVSIMPKLLSSESGSLSNMVGHLMKKRSVVKFGVISDVMDFLVNLV -NDAIDWICGVRTPTEIAAVMEESKQMRMTQLEFAHTMTDVQRNFWMKEYPGVLDKLKKFYAVCFVDEDFA -LVGLIDRERELLVKSFVAVSDTKFQTNHLTLAMNFCGDSCIGKSLITDAVSDIVAMYYQGVPFDPVQKFV -AGDTKHWDSLNNGTKLVIVDDLDADKLYGESRGDRGINGSLCSLLINLVNYMPFTPPMACADQKGKINPN -PYALLISSNESHRYGDIGLMGDPTAGARRLISVEVELRHNFRSLSGGLDPSLVGDFYVDSPWLFTIRRYD -TDAAVIANKGVENPRFMPSLYKVSSIIQTVEFDDIGKLTDEETLTVSQLPREDGLYTYTKQCERVPLAVL -RDWIVVELDSYKSRSSVVNVAKDKTFSNSKDYFANRDAHVGVTPQARGRLDFYEHMDCAPFWPMWAFCGK -VCATDAEVVSESAVYASFGWISWFLRWLTIYFRLFTFPFFLMVARLTGGAPSGVFANLRVFYVSFFFNML -MVTSVLGSATNPVYGLIRQIFSYRVLPTISTLNNVAGLPSCNHFAIIRRAACYRAALLQKHRKASHLIVG -GMFAGLSILMIKKVLGFYKSLSEVKKLSFFPQSEEADDEPPSVAPVPPVPSAPVSAGVVAGSPLTTNSLL -RARAGVPYTGKYNGNPNDTTRPVLAFQDNPCMSFPDVLGAVQNNMVKLFIEAVDTGDLTTAKSIDGKSNS -QFGLILGQTSTSAVILLNNHIFKSEAEYFKVQVYWKEGQRVRPVIIPRAFIAFGSELPRARLNGLDGSLD -VALLGVQDMGAVRDITGLFAQKSAFGKTDMTNVKRIMCPSMVGNYCHQEELELLSGTYHGIKTVNYSIGN -MPETTFLGACVEGVGAVGTCGLPYIAGRTVVGIHEGAHAPFVYAAPITQGVITDLWNNLQQKAIPGCTNA -HIVIGTPLLADPGVSATPPIVNMTNSFGLHPDSVLRTIAPNTKYSIVGNSGSGGVAFRSAYKKSPLKGHL -YGAIPALKEVGRAHSTPNPDIHVSHTKMFDKTGLSTKVEPFSLRKAYKDVKTDMESNFIKLAVGDYGYVT -QLGPCNMDDALAGYGCTLAGPVELTTSAGTLKGKKNVYFDRYFDEVLGRYVTCLKEDGPMSQYIVDTVDG -MVGRAQMGITSTLSRKVVPKDEPREHERDLNGLIVSKAARLIHAGELVELIVFRMYFLPIMAVLGMDPGG -FGHAVGLNPAESWGDLHKRFSRLDDTENFATDYSSFDLTISVHLLDAAVNLLISLTHMMSGYTDEHRRIM -RVICYDLCNPIYDVDGTWVRFTGSNSSGNPLTTMLNCLVNHLVWNQVWIMWSHDRKNPTQSGLYHFVSDD -LPALSTVMSLTCLGDDFFGAVTRACGFTQIDAVIYAARLGFTLTGADKGAEITPYASSISFLKRRMIVYQ -TSCGELVLAPLSMTSLLRPFIWGEWKVDMIEHYAGLIKGMLVELVQHGPEVYEEYVSLFRSFTSEFHIIH -HTSDKRADIKETLGSYFSPHNFRSWEDRITEMYGNGKQIYVEVDSARMV diff --git a/seq/clusters_seq/cluster_405 b/seq/clusters_seq/cluster_405 deleted file mode 100644 index afc862c..0000000 --- a/seq/clusters_seq/cluster_405 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009824950.1 E protein [Zambian malbrouck virus 1] -MGNIFASLATAFNHAIHELLVSIFDLLIYMAIIILALIVGRVIGFALRGIFKCIPRVSTPNSKGSLRSHF -SPVSTKYSALP - ->YP_009505573.1 ORF4a protein [Simian hemorrhagic encephalitis virus] -MGNVIASITAAFNHAIHELIVSIFDLLIYLAIIVCALIIGRIIGFALRGLFKCIPRPSITATSSGLRAHF -SPVSTKYHALP - ->YP_009505561.1 ORF4a [Kibale red-tailed guenon virus 1] -MGSITSHIVNAFQHAIHELLTSIFDLVVYFLIIIAALVVGKLLGMVIRSTITCGARVVARRAITPSPFTP -VSRQYRALP - ->YP_009362010.1 E protein [Kibale red colobus virus 2] -MGNILASVWTAFQHAIHELLISIFDLLIYLALILLTMCVGKLLGLVLKTIFRGGSAIVNTKPKRALQSAF -TPLPSSYHKLP - ->YP_009344811.1 E protein [Kibale red colobus virus 1] -MGSVVSAIQAAFYHAIHELIVSVFDLLIYMALIFLCLAVGRLIGYAIGAIFRCSARAVTSTSPNLQTTFK -PLQRQYHKLP - ->YP_009249814.1 E protein [Free State vervet virus] -MGNILASITAAFNHAIHELLVSIFDLLIYMAIIILALLIGRILGFALRGLFKCVPRTISPDSKSSLRSHF -SPVSTKYSALP - ->YP_009221999.1 E protein [Kafue kinda chacma baboon virus] -MGSYISLFSHAFQQAIHELIVSVLDLIIYFSLILLTLLVGKGIGLAVRSVFGCAGRAASRPVLPSTVSTA -KARFL - ->YP_009140482.1 E protein [Pebjah virus] -MGSISSHIVTAFQHAVHELIVSIFDLLIYFAIIILALIVGKLFAMVIKSFFRCGSRLAPVPKRALGNAFK -AVPTKYHTLP - ->YP_009121778.1 E protein [DeBrazza's monkey arterivirus] -MGSVWSHISTAFQHAVHELIVSVFDLLIYMAVIILALLLGKMLALTIKSIFKCAAIPRAVSTGPLKNAFT -PVSSKYHPLP - ->YP_009037600.1 nonglycosylated minor structural protein [Simian hemorrhagic fever virus] -MGSILTHITTAFHHAVHELLVSFFDLLIYMAVIILALLVGKMLSLTIKSIFRCASTVAPTSRGAYKNAFA -PVSSKYHTLP - ->YP_009067069.1 E protein [Southwest baboon virus 1] -MGSYASIFYHALQTAIHELIVSVVDLIIYFVLIILALLVGKGIGLVLRSLFSCASMAKPGKFDLPTRVSS -NKARIL - ->YP_009067055.1 E protein [Mikumi yellow baboon virus 1] -MGSYASIFYHALQTAIHELIVSAVDLIIYFVLILLTLLVGKGIGLVLRSLFSCAAMVKPGKYDLPTRVST -NKARIL - diff --git a/seq/clusters_seq/cluster_406 b/seq/clusters_seq/cluster_406 deleted file mode 100644 index b48a309..0000000 --- a/seq/clusters_seq/cluster_406 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009824949.1 ORF4' protein [Zambian malbrouck virus 1] -MGPLHLGVVSAICAVAVASEHQLCMICTAHNLTHFQTEHRQAIPPKRQGSTGYTPPSFAQLLAYGEDCSD -SLILGEVLSAQEVTSGRLTGLDDAFTLLAFSRCLIRALEFAQTNVSHRFTMANNTLQLCANITLTESYLT -INTPWFISPGAIRWATIICSLIAVLRALYG - ->YP_009505572.1 ORF3 protein [Simian hemorrhagic encephalitis virus] -MGPLYLGVVSALCLVAVGSQHRICMICTSHNITQFHTGYHQTPPPKRQGASGYTPPDFAQVLGYGETCSD -NQILGHILSAQEETTGTLKGLDDAFTLLSFARCLVRALEYHQQNISHRFFMANNTLQLCANLTLAVDHLT -ISTPWFISPGAIRWATIICSIVAVLRALYG - ->YP_009505560.1 ORF3 [Kibale red-tailed guenon virus 1] -MHFGRSTTKLYRAAHFHLCCAIGLVLSRAVSAVHSKHTNSCNGTLIACLGHNHTTFSYWSVFNQIRKGTI -SETYQRPDYEPPANAQILAYGESCDEVALIGQILNAGEHVDINIKGVELAFGLLAFSNCLITAFDLRMAG -QYPYFVLGQNQEPMLCVNSTTYSSYSYEDIPTPWFISPGALRWLTIVCSGLAILRAYG - ->YP_009362009.1 minor glycoprotein [Kibale red colobus virus 2] -MGRVHSRVIATLLTLSLAYWHCRNVIADEHCINCHGHNTTKLREVAVLQKDQPIVLYGDDCWNKMPLGKV -KSIVEEVTVRPEGLDEAFTVISFASCLARAIHLRQNNVSVTFIVDVSEQLFMCYNFTALPTDINTIQKPW -FIHAGALRWGTVICGIYAVIRAMHYG - ->YP_009344810.1 minor glycoprotein [Kibale red colobus virus 1] -MGHLLFGVVAPVLAFSVSAWGKSDLRCMPCQAHNHTHLMTQFLKVYSRDSSRTGGFFTPEPEASIYLMGL -DCTDETVFGNIQSYTGSVTGNLTDLDYAFNFLALMNCLTQSIKLAARGYHVSFKLGSHLWSPDDVHDTDT -ARENGTLLLLCTNHTVDLLKHTELTGFWFFHPGALRWGTVFCCCLAVLRALWAR - ->YP_009249813.1 ORF4' protein [Free State vervet virus] -MGPLYLGVVSALCLIASGAEHSICIVCTAHNFTVFHTEHRQTPSPKRQGASGYTPPSFAQILGYGETCDD -SKLVGELLSAQEVTSGHLDGLDDAFTLLSFTRCLIKALEFKQANVSHKFTMANQTLQLCANLTNTHYFTN -IVTPWFISPGAIRWATITCSFIAVLRAFYG - ->YP_009221998.1 ORF4' protein [Kafue kinda chacma baboon virus] -MGVVLYGIIAAILAQPSYAWSQPYLIPCYGHNTTKLTSVHTLLNETDITLYDDACWAGIQVGVVKGISEI -VNVKSAAIDDAFNTIAFASCLARAIHFSHQNISTQLVVNQSHIYLQVNITRTPYTEGFPKPWFIHPGALR -WATVFCGILAVIRALYG - ->YP_009140481.1 ORF4' protein [Pebjah virus] -MGYLFPRVTQAFYLLCLVACCCGTLVCIPCNAHNTTHIDSWVRQTFSNTFDSKGQPHNAPGASIYAYGTT -CSDKLLVGAVYSLEEQVTGGDSLGPALSILALANCLAVAAEQATAGVDVYFAQSGNLTAMCYNHTHVALS -YLNLYHSTAPFSPFVIRWATVCCGILAVLRALFHG - ->YP_009121776.1 ORF4' protein [DeBrazza's monkey arterivirus] -MHHGHPSVIKFFTSTVYYYGPALFGLVLCGASETVSPLPGRSSHEQCMICTSPNATHFVSWSTQNQIRKG -ILSETYRRPDYVPPVYAQLLEYGASCDDSQLIGQILRTSETITGNVEGIADAFALLSFADCLITAYHYRA -LNVSARFHLWDRHNETLLLCFNSSEATLSIHDIPTPWFISPGAIRWATITCAILAILRAFYG - ->YP_009067068.1 ORF4' protein [Southwest baboon virus 1] -MGSILCGIAAALFAFLAYAGAQSYLIPCYGHNTTQLREVDHLLNATDITLYDDACWAGIQVGVVKSVVET -IEAKTPGIDDAFTAIAFASCLARAIHFESRGIHTRLVVNKTQVYLQVNITTQTYQESFPTPWFIHPGALR -WATVLCGLLAIFRAVNG - ->YP_009067054.1 ORF4' protein [Mikumi yellow baboon virus 1] -MGFVLCRVASALSVILAYVRAESYVIPCYGHNTTKLREVHSLLNETDITLYDDACWAGIQVGIVKGVVET -IDAKTPGIDDAFTAIAFANCLARAIHFESRGIHTRLKANTTHAFLEVNVTTTVHTEGFPTPWFIHPGALR -WATVFCGLLAIFRAING - ->NP_203546.1 minor glycoprotein [Simian hemorrhagic fever virus] -MERDNDVCKRHCSVDKRYCGRVLHPHGGRFAYVLCGIVAALSSVPANSNAERCMICTTNNFSTLFTESSY -QHYPRWPVFQDGLDYVYRPPLVAQVLGYGETCDDTQIIGTIIETYETITGNMTGIKEAFIALDFADCLLT -GILYREHNVTAVFQQQDGHIVLCWNGTDPRISINQIPTPWFISPGALRWATIICACLAIFRAFYS - diff --git a/seq/clusters_seq/cluster_407 b/seq/clusters_seq/cluster_407 deleted file mode 100644 index 4457fe7..0000000 --- a/seq/clusters_seq/cluster_407 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_009665977.1 TGBp2 [Drakaea virus A] -MVKNTSVAVRPNKVWPLVVGVCVIALFLFLSVVNKKHATESGDNIHKFANGGTYRDGSKCISYNRNHNLA -YGGSSSNTTFLKLFLPILLVVAITILSRVRSHSCSEECLNCPQVKSLLDDIFGGF - ->YP_009665982.1 beta-D protein [Poa semilatent virus] -MPAKTTSVGSRPNKYWPIVAGIGVVGLFAYLIFANQKHSTESGDNIHKFANGGSYRDGSKCISYHRNNPF -AYGNASSPGMLLPTLFTIIGIVSYLWATRGEIMGGNSPLLGHNCGEECAGECVRGLDRQELPPNSV - ->YP_009508256.1 beta-D protein [Lychnis ringspot virus] -MPTQVAQRPNKYWPIVVGVGLIGLFAYLIFTNQKHATQSGDNIHKFANGGSYQDGNKRINYNKNNNLAYG -YKGLSNASSVDLWMLGLCIAAIAAGIYGEYLRKRKHDECTTCPPDCKICGGWR - ->YP_009220372.1 triple gene block protein 2 [Colombian potato soil-borne virus] -MVRSNEIGARPNKYWPVVAAVVAICLFGFLTLTNQKHATQSGDNIHKFANGGQYRDGSKSIKYNCNNPRA -YNGSSSNNTFSQLFLPVLLLGAALYAYLWFTRPDCSVTCRGDCCKNYGGQQ - ->YP_009047255.1 putative triple gene block 2 [Gentian ovary ringspot virus] -MPKEVATRPNKAWPVVIGIAIVGLFLYLGSTHQKHATSSGDNIHKFSNGGTYRDGTKSISYNKNNNRAYN -NGSSGDRTSAGLLLLLLCTTCCVWIHFQAKKLSECSDGCIGRCLVGGRCV - ->NP_835266.1 P14 protein (TGBp2) [Indian peanut clump virus] -MVKSTVPTRPNKYWPGVVAIGLVTLFVFLSVSNQKHSTTSGDNIHKYANGGTYRDGSKCISYNKNSPLAY -NGSSSNNTLFWLCLLGLSMVWIAFCGYKSLSGQWQSCQHDKRERNYLFECFE - ->NP_740765.1 unnamed protein product [Broad bean necrosis virus] -MVRSNEIGSRPNKYWPILFGVSAICFFLFLGVTNQNIPHNHHGDNIHKFSNGGKYQDGTKRINYNANNSR -AYNGSSSNNQFKGLFLPALLFTAAMLAFQWFSKSRCPVTCRGDCANCQ - ->NP_620439.1 triple-gene-block protein 2 (TGBp2) [Potato mop-top virus] -MVRNNEIGARPNKYWPVVAAVVAICLFGFLTVTNQKHATQSGDNIHKFANGGQYRDGSKSIKYNCNNPRA -YNGSSSNITFSQLFLPVLLIGAALYAYLWFTRPDCSVTCRGDCCRSYGG - ->NP_620031.1 second triple gene block protein [Peanut clump virus] -MVKSTVPTRPNKYWPGVVAIGLVSLFIFLSVSNQKHSTTSGDNIHKFSNGGTYRDGSKCITYNRNSPLAY -NGSSSNNTLFWLCLLGLSMVWIAYCGYKSLSGQWHSCQHDKNERNFLFECFE - ->NP_612612.1 Second triple gene block gene [Beet virus Q] -MVRSNVVGARPNIYWPIVVGVVAIALFGFLTITNQKHSTQSGDNIHKFANGGSYADGSKRINYNKNNCRA -YNGSSSNRTFTGLLLPALFLAAALYAYVCWSKPKCHVTCRGDCAAGGE - ->NP_612626.1 13K protein [Beet soil-borne virus] -MVRTNEIGARPNKYWPIVVGVFAIALFSFLTITNQKHATESGDNIHKFSNGGKFQDGNKRVHYNKNNPRA -YNGSSSNNTFSKLLLPGLFLAAIMYAYVQYRKPQCTVTCRGECAHG - ->sp|P04869.2|TGB2_BSMV RecName: Full=Movement protein TGB2; AltName: Full=14 kDa protein; AltName: Full=Beta-D protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2; Contains: RecName: Full=TGB2' protein -MKTTVGSRPNKYWPIVAGIGVVGLFAYLIFSNQKHSTESGDNIHKFANGGSYRDGSKSISYNRNHPFAYG -NASSPGMLLPAMLTIIGIISYLWRTRDSVLGDSGGNNSCGEDCQGECLNGHSRRSLLCDIGXSFYHCSMA -IVYISKQYTYGDWSLLLSRSELCEDLWNRGYEPRSYCGHPPLAEVPFWGISDVGRFNQCFEYSS - diff --git a/seq/clusters_seq/cluster_408 b/seq/clusters_seq/cluster_408 deleted file mode 100644 index 62073eb..0000000 --- a/seq/clusters_seq/cluster_408 +++ /dev/null @@ -1,166 +0,0 @@ ->YP_009552275.1 RNA-dependent RNA polymerase [Pythium polare RNA virus 1] -MKRCGASGMLRRLGMVSGKDHSCDGSGAPEFSDKDLSLWKAVGFRGRKTAGTQRLGGKWRTILNRYRGVI -PASALSYFFTIVNKCSVCCEAGIIEWLKEFGRVCTAATVHVGHGPWTYFCDVHVLGGYDTVLNRVDVMAN -IRDSVGLPLVSGLSDYEDVLRGHVRDALRNVRVDSGMDVAFADWVRFRDNWTVPGACNIGKHAILEVRRP -GRGVKRAKAGGKLGKTLCLSDQELVRLATERCGAQILPFRKEDEPVKTRVVFGYDTRSYLRCSYADAFLG -DLNANGSWTPLGCSVADRAQQRIDIWTRLGGSAERAVSLDQSSFDLNQPKWAVRMAIEEVFNRIIDCCHP -ELVEEVTKFKELELFAFDEARVGDVCMWGRGVPSGHKWTALVDTLLNRGECLIAAELRGVEVVRGLWQGD -DGLVFEKGKATMSWADAYDKLGLVVNAAKTWVDSTSCEFLHEFYSASGVRAFPARAFRSVCWDKPVMGAS -SFLSGTERLNSRLDVLLKCARRGLYRMADEAVRMLTKRGLSAVHAKEVLCTSRNLGGLGWSDSMRRALVV -KGSEVEYRHVSIVSPVFGSGSSGCFSFGALRRLGAHMPLPVSELVVSSRWVSPVAEVPVHDRLGRDTKLR -LNWNFRDPVSDPWRRRLEMEWMLARGRDWCDALVPDECCRTSPLGAERAFRFASRWASERLNLDCELTTG -ESWCVLADLGNRMWMGLVACMVGSGNVMGMRKIDGYWLGLYRRIWRYQVLSKPLFKIRV - ->YP_009337111.1 hypothetical protein 5 [Wenzhou crab virus 5] -MYTPGLSLGTTLARLALSGPRMQPIPNHMSGDEDSLSQLFERLKLIVTQPALIPELAGDYVPAGVQQLFE -QMRGDAALPRWFYQHLSAPRALSLRPGTQRPTCQGMDRITGLPEAGGLPIPVFRAVAEEIRAERRQVGTD -PRAVWFQEKHRLHLPLTKDELGRQRYIPTFRWKPDVFGPRFSLGIGMYYEVLSHEQRSTLTSWLERLEPY -IALCQSTLTVVAIFKAVEGWAKAAGDLFKHWRIPCGIALLGGYQPAPPLVFFRDEVSEWVSGPLPDIGQH -LPGWRDSFEWASKQLLTGCGPEQPPDTFEDYVLRGDWVRPGATDQGTFPSVTSRGEIVSVRKTKVAMFAA -TTPDDLLALAYSPAQPPRAMVKRELTKARAVVITDTPSYLRMSWISTALEPLLRRSRNASVFFSGKRLAK -MWADLASDSADVTLWKCPIDQSKFDHMVEPWALSVILDRIEELIMGDQAKHVLRLIRRDLIPEKGTVTVG -DADVPVTKGVLSGWRWTALLDTWVNFSQVVGSLHYLYTRGVVPSIDYTGWYFQGDDARLVVRNPGIAVAV -AEVMREAGLKIHPSKTWLSPFRDEFLRQVAEDNVTMGYLARSVPALLWRNPINAAADNWPAAARAAVGRW -DGLVLRGADPYRVLEHALKELTHLIPYQRSTIHKWLSLPPHLGGAGVTWVTPDLTSLALIRYPLERSLLR -FDGVGLFDRLVSQVDLPRDELYAKCYQYLSDVVDTHEPKHLYRDILGSMSKPTLDWGVPPKPWPPLGLVP -CGAPPAQPTWARGLQFPNWFRNIAIDVAVRTKTEKSVDVLFEPYSASHSPIIRARHGRNTWADWAKGALS -LPVRCWPGWDKGYLATQYRARIDTFVRCYLGSSRRWGSRARLASEVFFYSIQRCPDSLYPYRIAA - ->YP_009336932.1 hypothetical protein 2 [Hubei toti-like virus 18] -MAWNKLPCRGYRCSVHGEVLGDTQQGCDIEGRVPTWGEQRRDRRSERPRIAGFSAWCLVAGHYGQDVRWA -ERSTSRCSIPGCRQGAGEPRPVNGPEGPGGAIGPTSRGEDNDIVLQPEWYWSWPRFLARLKRDIRNYKPC -GLPSCDGSPGWLKRKDEFLTNIQRYRFNEDHKCDVCPFFKTGGYIVEDYFHLLAWLCPPAARRLVLGMLR -LLKDWIPSLCEHELRRALRSMTGLAKLGGANVSPWWRVLCYWEVCIGFSPYVPDTDLEPEVIDWLVKANK -NGLGMGEEEYHRRLYLKARDYLLHNWRKPDEVPTVDEWLARGIWMRGRGGTGGKTTVYSGGRRIRTRSNK -GVDAVTATDYQLAKELKNVTPQVMVVLQKSEPGKVRPVVKADNANFRKMDYLSCIVEKGLRRSRRIALFH -GSDGDERMDISILRNLHRGYNVPLDQGSFDHRQGKPSILTILVALYDVCIASSGHSEMEEVWAAFWDSFT -HKDSKVILGKKEYRWQNGVASGWRWTGLLDSLLNLCSFDVLTEEAARGRNHQYWSSIHMGDDIHFKVNSI -PAIRALIEQYNNNGYEVHPAKTYVSHRRTEFLRRSYEEGGIVGYLPRTLVSLLARNPITDQPISKASRFS -DRLTLGLLATLRGASPRAVSCFLLEDAKQMGLRREDVCDFALTPAAVGGAGLSAVSGPLAHCLMAHTTGR -WLKPVVVETEAPVVKTSLGAWARRVDSAGVRLDPDLQAGFVKQLAQSWGIREKAVTGKVSEVWEEQERME -AVLVEGGMQLPGSNQLWEEKNIPTMLAPVWKKQLIRDGRWLNYIKEEHRTTVELLAKRISRGLLESYLLG -ELHVPLPIVDQVAPKYGHGWKRCATEWVLRALSSNGCDKRKFIKKLLWIEERGKQELSKYRIYGVVAV - ->YP_009336917.1 hypothetical protein 3 [Hubei toti-like virus 17] -MGRRRWRVPNRYPSLGGRWGTAFTAYFAALPPAAKKTAEDWFQGLRIQEFRDDRSLCEVLKELGGFAKKY -GEFVTNWKVLVNWELFGGYKTQADDEQFQEDVRDWLNGRLPPHEWRDGSFYDLFNQGCLDFLQFWKAPRA -EPLPLPEYCGSLMWARGGASSEAGVEVLEEGKRLAVRGSKVSSSLAIPPSKVEERILSYTPQVSKAIQKL -EGGKVRAVVNSDLDLYLKMDWLSTWVEAGFKGSPISTLFMTGPAVADFWERFASGTLDERWVKAPLDQSR -FDHQPDFRMLGHVLDAVERLLVTDQQRLVLSHVRRAMLGGGTVDVEGARFPITKGIVSGWRWTALIDTWI -NHAEMYAATHYIRQVIPRAHFEWVVQGDDVRELALSEEDVVCQVEALVQAGFVINPGKFFISRKRDEFLR -RVSEHGRVSGYPARAMLGILWRNPIKEEAPAGDVRIRECLSRWTGLANRGADPGACFRMFVADAARGSAL -PGTVVRRWAHTPEWFGGGGVVPYTDQPIQIKVQGGRASFSLPSAPGAAVGIRSLGALGVLVPEQVVQDYA -REALKPAGKVRREARTVELVEPQLQPRRVPKFGDVGVPTSAEPLRGPWPAWLIGGTIAYHASRGDRDAVR -RCLDTRTGMWSDWIRRNHGVWTWREWLRGGLTPPGVTVPSWDSAFLAARYQGWFRTRLRAALACAGFRRD -SFINLCRRYVLYVKAEVTGLAWSVAS - ->YP_009336893.1 hypothetical protein 2 [Sanxia water strider virus 20] -MTKVNAIIDDSPVCKESDCVGGSVWTQPTSSFLLNIRRYRFHEPHSCQACPLFKINNAVESFFHLMFFTL -APNIVSTIMPFFQFIRKRTQGFCQHVFRKVLKAVTGLVKLGGPYITKSWRYLCYWEASLGYTPYRPLSDL -KPDVEAWLVNPHINGEGMGTDVFHHKLYDATCEFLRKEWKRPSNPPTLKQWLEKAAWMRGRGGTGNKSTI -LIDNKRVKTRSNKGVDAIFLNDEALAREMFTVIPQKMVIMQKSEPAKIRPVAKADNQLFRKMDFLSEIVE -FGLMGSKRSSLFLGPVGNERLDLHILDHIQHGINVPLDQGSFDNNQGKPSILVVLLAIYDVCLAQEENHE -YQKVWAAMWDSFVHPDSKVILGEDSFPWHNGVASGWRWTAFIDTILNIATYDVLTKYVSQYTGATFYDSV -HQGDDIHFKCDTLGGVQTLINAYKDNGYEVHASKTYASTTRTEFLRRSYEKCGVLGYYCRSLQGLLYRNP -ITEQTILPASRIYDRITVALLMVLRGGSPRGIAQWIREDASQLHVSDPELSDFILTPSAVGGVGMSGASG -PLASEFLSTATGMWTIPRSEETSRPRCIVDLGQWNGRLQRVGVILDQTNRNNFLNDLVRTWGIRTTDVSG -DFSFFWEKIKPFAPLPITGGMDLPPPSDIWADEKVPTMLRQHWKNQLIKDGTWTNHVKPEHLEQLKRLRK -RISAGLFEDYLLGTVREPVPVVDGVALKYGQGWKQQAKQWMLRALSSNLCDRKIFEKKMLWLESLAIRHI -SRLRLFGTLAL - ->YP_009336670.1 hypothetical protein 2 [Hubei toti-like virus 21] -MMSNRWPGLCTCKIKKILKKQTATAKLGGKYIDEGWRNLCYWETFYGYLPYKGVEALKEEVETWLVRPIR -LGGPLSEEEYLSMLSEEMVKLMDEEWTMPPHTLEPEQWVSTGRWMRGRSGTGPATTVEINQKITRTRRMK -GVDASLLSDKDMVEQLSHVVQETFHIMEKSEGGKIRPIVKTGSAMFRKMDYLSQWCEDGFKHSKLSTLFG -SASNQEWIDRDILESAKNPNLWKVPMDQSNFDWHQSKASIMTIIATMGIYIGQRTSAGGFHQVWNAMWDS -IFAQQVTVHCGRYKYSWENGMPSGFRWTALIDTLLNITSFRVAVRIASETKKQYIHIAHHRSQGDDVAFA -TRELSDVALIMHIYNRIGYEAHPSKTFFSRYRTEFLRKSYEAGLGITGYLARSLLSIRFRSPILEMPIVR -ATRLYSRLTGWHLMTLRGATPKAAATCFLWDAEQLGVDRTTAANFAMTPSSFGGAGLWPDGLMSTYLKPH -FTHFTTYEIVTEYHKIQPRLGHWNERLKSLGSYLGPREINAFNITLAKSWGIRDVDAVKEARVEWKECQA -RIRRPTSWPAPLHHYADYWNLEGIPVLIRPYVITGALDKGTWTKLAKPEMIPQIAAYIRRVSKNVARAYL -SGQISLPWPMLDGIAMKYGNTVRKVLDMRLRQICNIKDLGLRELSEFAGWLERRAGALLYQEYSDGVYAT - ->YP_009336642.1 hypothetical protein 2 [Hubei toti-like virus 20] -MYMLPPKDCAVVRNLYQLVSQRWPTFCRCRIKKVLKKLTATAKLGGKYVTPSWRNLCYWETFFGYLPYVG -VEALREEVETWLVKPIKLGGPLGEEEYLTMLGEEMTRLMDEEWCMPSETLEPAEWVSTGRWMRGKSGTGP -ATTVEINQKITRTRRMKGVDASLLSDNDMTAQLTQVVPETFHIMEKSEGGKIRPIVKTGSAMFRKMDYLS -QWCEEGFKYSRLSTLFGSAQNQEWIDRDLLNSARDPHIWKVPMDQSNFDWHQSKASIMTIICAMGIYLTK -RVRNVGFRKVWSAMWDSIFAQPVTVHCGDLKYTWQNGMPSGFRWTALIDTLLNITSFRVAVRLASKAKGS -YIRVAHHRSQGDDVAFAVSDLDDAALIMHIYNKLGYEAHPSKTFFSRYRTEFLRKSYEDGLGITGYLARS -LLSIRFRSPILELPIVRATRLYSRLTAWHLMTLRGATPRAAVECYLWDAEQIGVDPTTAANFALTPASFG -GAGLWPDGLLSKHLKPYFTQYTNYDIVTEYHRIQPRLGHWNTRLRNFGAYLQPRDIDAFKIVLAKSWGIR -DVDAIKEARVEWKQTNMKIKCPHAWPEPLLHYMEYWNLEGVPVLLRPHVISGALANGTWQDLAKPEMVPQ -ISAYLKKVSKNVSRAYLSGQVGLPWPMLDGIAMKYGAAIRKVLELRLRQICNMKDLGMRELSEYAGWLER -RAGQLLFQEYNDGIYST - ->YP_009336732.1 hypothetical protein 2 [Shuangao toti-like virus] -MKEKIKEIKNDIGDKLKCCDIPGFNCKDYGMNEGLKRYRLGKMHGKCPGCELGDGIWEDNIHLLCHLVGK -EVGSEICRWALNMKSKYHGACKHGVFQVCKAQTGLMKMGGESIHPHWRHLTYWELGYGYTSYVKWEEMKP -NAYKWLGRDFSLGGPINEKEYIRMMCEEVITLMKEEWTMPKQCPNIDEWTKRGRWMRGHAGTGPSGFVTI -EGKVVKTRKMKGVEGAAKSDRLISEMLFYPVRETFQVLQKSEGGKIRPVVKTGNKINRMMDYLSEVWEVG -MYGSRVSTLFAGVKGNEEIDMELHNLAKDESWYKVPLDQGSFDWHQSKESVLAVLDTVWNYIKPFLTENS -EIWKVWEVLRESLHKETSVHVGPYHFPWKNGLPSGWRWTALLDTFLNVCSFRVIKRISEGRIRTNIPIAG -FHAQGDDVIFGVKIPLHAAVIMDTYKKIGYEVHPLKTYVSRKRGEFLRRSYDQYGVTGYTARTCLSIRFR -NPILEMPLNRAERLYSRLTLWHLCVLRGCDTRRVVLSYLEDAKQARVKVRDAADFALTPNCLGGGGVDPN -SSLGSVLREYGSGEWKIAEVTRYRKEVKPHYQEWNKRLEEAKVELEGSRKIEFEHLLAQSWGVRQAELYG -KVYTNFVPIQRIKPIQPGGPGMVPIIGNAWNVNHIPVLVRNLFQRQKLDRNEEEDIIKPDWIGVVRELKE -RMSRAVFTKFMVDDIKIPSPIVDRIGMKYGNSIKDRARDWLRRALSGKNIGMKRLEQYLLWIETRLGQEI -KLRYPDIRLGL - ->YP_009333170.1 hypothetical protein 2 [Hubei toti-like virus 19] -MVEHKCDCGGEKALEGEVSPFCQPLQRYRLKEHPSCVGCPLAHGVLEEGIHILARSSPTDIGKDIVNEFN -RVKTYLEGRCQCYARKYFKKRTGLFKMGGRYIHRSWRALCYWEMLYGYTEYKGLENMKEEIEEWLVKPRV -LGGPLQEADYLEMLAEEFASNLEREWRLPDNIPSLDTWIKTGKWMRGKSGTGITTTVLIDGKNVRTRRIK -GIEATVKTDVEMIKNMLYSSRESFHVLEKSEGAKSRPVVKTGNDLFRKMDFLSEWVEKGVYNSKTSTLYA -DARTIQEIDEEIMQAVENTRLYKVPMDQSNFDWHQNRASIITIMLIQGIHMFEKGAPEEYIAVWGAMWDS -LFSQKVNVVLGEQIMEWLNGLPSGLRWTAYLDTELNKSSFAVTKKLAERTIKTGIPIFSHVSQGDDINYA -TTRLEYVQWILHIYNSIGYEAHPAKTFISKERTEFLRKSYEKGFGVTGYNVRTMLSIRFRNPIRALPVVK -AMRLYSRVTIWHLLLLRGGDGERIAQMALEDAEQLGVRKHHAAGFILCPAAFGGAGLDWGSSMARHIRRY -YQGPFVPDVIQERRRILPNLGRWKERINQWATHLERDQTNKFYDILAKSWGIPEKDIYGDVKVNWEPVGC -KIIPPPPVATPMPEPDAMWNVENIPVILRPHVKEGAIRKGTYKLLIKPEMLETVERFKKRVSKRVFEAYM -LGYLTISWPIIDNISMSYGDQIKKKYQHRISQFINQKDISMAQVKAYMAYYEILIAQELKCYRRGRLLAV - ->YP_009072448.1 RNA-dependent RNA polymerase [Leptopilina boulardi Toti-like virus] -MWTPKLLRDRMEEAEAELMDEVGPGLDCGCGTPGWNMAMPKFCEKLLKYRLVSHKTCHGCVLGKGVLEDY -VHCVIRMSGERAGVEMAEVFLAAKKRWPRACRHGVNKYWRRRTGLLKMGGTRVTPYWREECYWELGQGYV -PYKPMEDMGPEAIRWLCKDTVLGGPVGEDNYLDWFHREVEDFMRTEFKMPEHQPTIDGWVATGKWMEGKS -GTGGKVGVTIDGKRKMTRRTKPLAGVLMWDAEVGMELTASSREVMHILQKSEAGKVRSVVKTGDKVNRKM -NYLSGYLEDGLHGSPLSTLFAGEAGNERIDFDLIDAVRDESTWKVPLDQGAFDEKQSKMSIAVALHAVGM -ALEERGMNGDGCAVWAALWDSLFVRGALVEWADESRPWKNGLPSGWRWTAVLDTILNVCSFRVIRKISEI -RLGKPFWVGHFYAQGDDVIFAARDLGGIRLIIDTYGKLGYEVHPYKTYISRGRGEFLRRSYEAIGVTGYL -ARTMHGLRFKNPIQDDPLSLTERIYSHMMQWHLAMLRGGVPEVVVQMLMEDLRGMRISTKKAAGLFLTPN -CLGGGGVDPASAFGSWIEKHSDGNWYTLKVTREMRCVNVRLGGWKERLRRYDELMSGTARDALMRSFALS -WGLKDADITGLHDVTFTKIKGVVPIPPTSPFLVPKVGDLWNMDDVPVQIRDAVKREAIRRGSTDRWLTVR -GKEIARWAYDRMSPRVVKGFLLNEWNAPCPITDRVGTRYGVKIKRWANTMIRSALHVRNIGMRQLESHLY -WIELQVREKLKLFGASQLLAQ - ->APG76000.1 hypothetical protein, partial [Hubei toti-like virus 22] -DRPDAGFLLSRPTPGREPTIPIRTNTLAAPPNPIRWSHVEHLYDSVIGSSCSGGGGERGILSWSHTFASY -IIQSRGGTEDRYISELRASITGGSSGGQPSHSTNAQDRDRWDWGRNARPGRQDRSKLEWGSPSTLSPDED -WTYDSFMTTLLEYGDKVSTGEEHVACECDGQPGWKCGLTQFNQNLKRFHLPGNHSKCPGCRLGEGALEDG -VHLLLFMTPKHHHRIILELYDTLSNRWPDICTCKVKKILKKQTATAKLGGKFIDEGWRNLCYWETFYGYL -PYKGVEALKDDVETWLVRPIRLGGPLTEEEYLTMLSEEMVRLMDEDWVMPSNTLEPDQWVATGRWMRGRS -GTGPATTVEVNQKVTRTRRMKGVDASLLSDKDMVDQLSHIVQETFHIMEKSEGGKIRPIVKTGSAMFRKM -DYLSQWCEDGFRHSKLSTLFGSATNQEWIDRDILSSARNPYLWKVPMDQSNFDWHQSKASIMTIIATMGV -YIRRRTQARGFSQVWAAMWDSIFSQQVVVHCGRYKYTWENGMPSGFRWTALIDTLLNITSFRVAVRIASE -RKGRRIHIAHHRSQGDDVAFATADLDDVSLIMHIYNRIGYEAHPSKTFFSRYRTEFLRKSYEAGLGITGY -LARSLLSIRFRSPILEMPIVRATRLYSRLTGWHLMTLRGAAPKAAAMCYLWDAEQIGVNKTTAVNFAMTP -SSFGGAGLWPEGLMSTYLRPYFTQFMTYEIQTEYHTIQPRLGHWNSRLKNLGEYLGPRELRAFNVTLAKS -WGIRDVDAVKEARVEWKRCEVKIRRPAGWPTPLLHYTDYWNLEGIPVLIRPYVITGALDKGTWTTLAKPE -MIPQIASYIRRVSKNVARAYLSGQISLPWPILDGIAMKYGNAARKVLDVKLRQICNIKDLGIRELSEYAG -WLERKAGALLYEEYNDGIYAT ->BAJ23141.1 RNA-dependent RNA polymerase [Rhizophagus sp. RF1 medium virus] -MRLPPFKPDGVIYESYCSLFNLNDIKDVFNAPANFQIMKYEPIFVNSRPRYLYPHDWDYTDGAGAGQSIE -VNGVRCFFSDIYSCRWNRFNLNLYSDSVKLVNPKSQTVDMTTLTPEDLMDIDQDNTRIYVFGRLDLSHPY -FSLDFPSLESAATKIRPSLYFARDGRRLVVPILASAIGKNYLTYQNIRNVIYGCLDDRDNLPEVYKFNVS -WVCQQPFLPQDKDFFSFNQEVGRWCFVATVNENTPLHIKYLTKNLLDQMRKQMTNDSSLRHYQSTELSHL -NHEQFANWVVKVKNIKGLHEKTWDKYRLGSDSVIDQYVINYDTAIKEYQKLLGSYVNKERIDIDSNLVDE -LSGLGSVNEKVKWDRCGDNRDRLPFKDDFYNPDESGRRYSHVFMNPSFKIFGVRLQRLLSMLDCVIDVKH -DKYLQDVFSMVYCVAEVSRRFKLLGDFMKKYGSNLTKDWYVYVNLETAIGYKTALPTDEFVHMGGEWIVG -DIEHIAPVPNGQLKFYEWLVEGIESTLRLKGKSKIMYEKPIEFDEHGNPMETLDQFSYDVSKQLSYRDYV -YGCTWSRRGSSSIKTKLRAEINGKKVKLSETKNTLAIYGDLEKILKDSLDPDRPQWGKAIRKRERGKIRA -VLGMDVETYLPMSYIDDIIQPYIIGNELSNLWETNFQRHAKDVDRLILSIEKTKWFIPLDQSGFDHQINN -NMLLLTLVIIKSWLLSRVKYKGDVERCIEIIIKRLALTNSEVNVDDKINIPVERGILSGWKWTAFMDTLI -NIGEVNVALRTCEYYGLVCNYKLDAQGDDDDIIIDDLLTGLVMCEAYRYCNLKINPAKFFIKNNIDEYLR -RVYVDGVSLGYPARMFLTFFESNPIRNVPLSEMSELRSVIKNWMDLIRRRSLNNERWVKLVKLMERDILI -RVNKNRKISSLSLETIL diff --git a/seq/clusters_seq/cluster_409 b/seq/clusters_seq/cluster_409 deleted file mode 100644 index 3ec3f94..0000000 --- a/seq/clusters_seq/cluster_409 +++ /dev/null @@ -1,107 +0,0 @@ ->YP_009552019.1 hypothetical protein [Culex-associated Tombus-like virus] -MVGKRIGPLRFTKTVQLLQNYPDNYGLGRCRMDRQIPYFPSLLKPDEWYYYPVSSETTAAALRNRHLPEI -LPEYDAAGINKLYRHIRVYRVHPRVWTHQEYVGSMQPPSKRICYQAALDDYYRDGLISTTLQPFTKIEKM -KNSKYKAPRLIQARKPIFNIMYGRYVKALEHILFKHHRLTYHFGKGTNENIADKIYKLSQKWQWKTEGDH -KTFDAHVTVEHKKLFNKFLCACFPQNVKEIKNIGKRLLTAKCRTRSGETWTVKGTQQSGEVDTSISNTII -NIAILKELMHQLGIKGEVLANGDDFILFTNKPVDIEKSKEILKTMNMETEMHKSTRNIHTVEFCANKLAF -SSTGQHVLFKDIDRIYSKFGMTNVQVDYYRTYLMECAHGNWKMMKDTPIGQEFKRIYYYILYLEEQLTGQ -DQRQVQRQHMKLQYKYLEKEYQRIIKENKDAKENTSTELTVSMMEAYDEALDLKKYTSKLINRIKNIYTN -YPYMGSIGLKYLAYNSIMTISHDTKQSERHKYTDTDELKQSIQPLLHQAFKTKNNRHIYKKIRRLTGLK - ->YP_009345113.1 hypothetical protein 2 [Wuhan insect virus 35] -METVPGIITNHNRLLRRTLYHPPIYAPHDFYYYAQSATTTIAAYHNRHRPIILPDYNPSHFNVDNIFDKF -KRRLQPWTFEQYISSMDSPRKRKFYQDAYTSILQGRKTSSGITPFTKLEKMSTSKYKAPRLIQGRHPTFN -LCYGRYIKPLERSLKGDRHFGKGTYDDIGRKIHKLSRKYKYYTEGDHTTFDSHVTVEMLRLCHRFYLRCY -KQSPELLKLCKKTIRNRAVARNGEKYTVRGTRMSGDVDTGFGNSIINYYIIRHALKRLHIDGDAIVNGDD -FIIFTNIKISTAEFTNLLREYNMETTLGNSVDSIHQVEFCRCRLVYHPDGHPTMAFDPHRLEKIYGCSNI -LYPKKKKYEDYLKTIQHANHMINLNSPIHNDWQLLIDDDTALKLMTPQLKRIYHKQHSNRVYTWDYLTPS -FIQAYPNYKTRKKPFPLARTIPQQRQLLINHNTRELITL - ->YP_009337209.1 hypothetical protein 2 [Sanxia tombus-like virus 8] -MDSPSKRRFYQQVVDQIRNGRKITSGITPFTKLEKMGSNKYKAPRLIQARHPTFNIAYGCYIKPLERANK -HKLQFGKGTYDEIGAKIHKLSRKYQYYTEGDHKTFDAHVTRDMLRICHEHYRSCYQQDNQLRRLCSKTLR -NKARTREGENYSVVGTRMSGDVDTGYGNSIINYYILKKLLGILRIKGDAIVNGDDFILFTSSKLDSEQCK -RILREFNMETEMGESTNNIHHIEFCRCRLIYHPDGHPTMAFDPDRLQKIYGATYLPWTDEQYTGYLQVIH -HANFMINQNSPAHRQWRPLLSLEDKLKKYMTHSLERVFAKQSNNRVYKWDYLTPSYIEAYPNYKIDKPQY -PYYKPVAPQKRIVINHNIKELFIV - ->YP_009337113.1 RNA-dependent RNA polymerase [Hubei tombus-like virus 28] -MVELMATDLCQTGWIGKRECGLPRTHTTQFLEIRNDSYRIGSHRFGRQMDYFPSIAKPDGWYYEPIGSES -AAAAFYNRHNPELIEGYDRNCIKGLYKHLRRYVVDMEQWTCEQYIASIWPASKRRIYQDDYDQFLSDGKI -SSLVQPFTKPEKKNFSKYKAPRMIQARKPIFNIHYGKFIKPLEQKITKHGRFRDHFGKGSPDEIARRITK -LSLKWQYKTEGDHTTFDAHITKELLELTHKFYAACYNNNPELKRLSKRTIINRCRSRHGDKYTVVGSRMS -GDVDTSFGNSIINIAILSELLYKLGINGEFIVNGDDFILFTNKPVNIKMAEAILRTMNMETKMKESTENI -HTVEFCQTKLVLTAEGRYTTMKHIRKTVEKFGMTFVTTRNYWIYVLENAHGMWMMNKTTPLGLLFKHIYF -KMIELDMVPNAKELINKHHRLEYEYLERAFIYFIKLARKDTAMSDDHSEITISMIAADEDVMSLDEIEQQ -LMQRIIKLYNRYPTLSTKQLLSMPYNRNLYIDHNTKTTPYFVNH - ->YP_009336934.1 hypothetical protein 2 [Hubei tombus-like virus 29] -MNTQLFKYIKKFVVKVEPWTFDEYIASMSSASKRKQYSDYYDQYLRNGRIPSYITPFTKIEKMSSGKYKA -PRMIQGRHMVFNLHYGRYIKPLEKEVTKYGKFSIHFGKGNYNQQAEKIFKLSQKYKYYTECDHTSFDAHV -TVEQLRLTHRFYNSCFPGYASDVARLAKRTINNTCISRTGDIYRVRGSRMSGDVDTGFGNCLINYAILKA -ACSNLHIKCEIIVNGDDSIIFTDVPIPTAELSAELRKYNMESKVNPSVSDIHKVEFCRTKLVFNASAKPT -MMIDPKRLIDIYGMCYTISKKNYHQYLLETAMCNSYINCNNPLGVLWAKYFNIDLISYKKNEKSKIKILQ -NIKCLEKDKILKMMSLSPDETTTEEVTPSMLAAWPDIYSIEAQIKKLADRVLNRKYQYKLVERDFHINHD -IQFIVKYQA - ->YP_009336818.1 hypothetical protein 2 [Hubei diptera virus 15] -MLRRFKCRVQPWSYDEYIESMDCPSKRQFYLDTKLDMEAGRTISNRITPFTKLEKMGTNKYKAPRLIQAR -HPTFNLALGCYIKPLERALKFRDNFGKGTYDQIGAKVARLSRKYKYYTEGDHLTFDAHVTVEMLRLCHRF -YLRCFNNDSKLLRLCGKTIRNRALSRNGERYTVNGTRMSGDVDTSFGNSIINYYIIRSVLADLHLSGDAI -VNGDDYIIFTDVPIDGSAATTAFRRYNMDTKLLPSSSNIHTVSFCRTKLFYHPDGHPTMGFDPVRLKKIY -GCTNIMYPFEDYMQYLELIHHANYMININSPIHTNWKPLPKLESKLKKYMTRSLERVFNKQLSNKPYDYD -YLTPSYIEAYPDYVYDRKVNILYTKPKPPIEFIINHNIKEISQC - ->YP_009336740.1 hypothetical protein 2 [Hubei tombus-like virus 26] -MSQDEYIKTMPPKYSRFLGVPIYNVNNNVKCFIKKEKISLTKYTAPRLIQHNTMAYNIEVGRYIKQLEHK -IYQKDSSSQKYEFCKTDLPEMARRIMYKASKFQNPKFVCIDHKQFEAHLNGQHINLENAFINWHYRSPYL -QRLLDARTIFGTTTSGIKYRTSMTRTSGHVKTSFGNSILNLAFIKHVLKQLKIRKFEVMVNGDDSIIIIE -NRCHLNESQLKREFRKLNMETKIDQITNNIYDVEFCRMKICIDNAGKPMMYLTPDRIKKIFAQTHKPMRR -NDYVRDLAYANSCIYKNLENYHSVFTDIYNHYNSLATTQLNKYLKLEPLILSLYKAASPDPRVSDPMPHY -TQPKLSPPRSMPNFKLAVPKSKLSAGSQRFLSRMVSSSRKFIFPEVFQETDATNITRHVYINHHTQTLTV -THGPRPPEPTSFPNPNLPFPTPM - ->YP_009337165.1 hypothetical protein 2 [Hubei tombus-like virus 27] -MVFQTVNNEHNNINFRSDRYNRQIAHYPAIQDPAQYYYYPSSRESLIAAIKNRHEPERTKLYQPKIQKNI -YKHIRKFIMKVQPWTRQQYVDAIIDPGKRKSYQDCLDEMERTGKVYSHIVPHTKIEKMKSNKYKAPRLIQ -ARHMTHNIEVGRYLKPLEIAVKSKFINFTKGNYDSVAARIEKLASKFKYFTESDHVTFDAHITVEHLKMT -HKFYLACFNHDRTLQKYLKKTINNRIRTRDGTKWTVRGTRMSGDVDTSFGNSLINYAIIMQVLENLQLKG -DAIVNGDDSIIFTNQKVDNKLAKIMFDIYNQETEIKESENSIHRVEFCRTRLVYPASGKPTMMMDPKRLN -SIYGMTYKLIPPEEYANYLINIKKANESINKNTPIGHYWHTDEEVKHDKHIDYSLKRVITRESVNQTSTT -EITITMFIAYPNLLEDLNKTTELIKRNLPRKNSPSWFIDHDNKKLHQLND - ->YP_009333257.1 hypothetical protein 2 [Hubei tombus-like virus 30] -MLERRIQYRPSIYNPGSFYYYPQCSATTVAAIEIRHAPVKLDEYRPEHFKSLTHLRQFYCKPGVWSRDEY -ISQIDDPAKRKYYQRVADQLGFGRKVSALVCAFTKLEKYSTSKYKAPRLIQARDPSFNLEYGRYIKPIER -ALKTNVHFGKGTYDICGAKIERLRSKWRWYTECDHSTFDAHVTVEMLKLCHQFYRKCQGHNPYMESLARR -TIHNKGTTRYGERYKVTGTRMSGDVDTSLGNSLINYHILIHALRLIGIRGDAIVNGDDSIIFTNEPIPTD -KYVQILRQFNMESVVLPSVNNIHKVEFCRTRLIYHPDKNPTLMFDPARLRSIYGMTWKSYPDHVYVKYLE -AVSHANSCMNSNSPVGREWKALERIGKQLNLLERNIQLELAKQNGANRPYTWDYLDPSITEAYPNYRMTD -KQHPTVPREVTPLDHLINHNTQELLLGPLGY - ->ANG56339.1 putative RNA-dependent RNA polymerase [Diaphorina citri associated C virus] -MAPETVHLGKPTRLLTRHSVIQCATDYDGSLRNRFGCNSREILYFPPLVQPDGFYYYPQDRTTITAAYTN -RHSARHLDEYNDRGVAQCYRHLRKFIRHPTRWTRQQYVERIDDSTKRALYTRALEELECGGRVQSRVQPF -TKIEKLSTSKYKAPRMIQARHLSFNIDYGTYIKPLEDALGKDIHFGKGNYLDIGKKIHRLSKKYRYYTEA -DHSTFDAHVTPEMLQLTHTFYQSCYYHDRYLGKLSKRTINNNCATRDGIKYKIRGTRMSGDVDTSLGNSL -INYAILKEVMRRLHIKGDAIVNGDDSILFTDQPLTEEFSRLLREYNMETQMKPSTTNIHTVEFCRTKLVI -NANGTPTMMIDPKRLFQIFGMTYKLTSDYIEFLRQVICCNIACNMANPLYFIWADIYTQVFGELAASDLR -IAILKTLEKKHRNIALKNLNSPPTTPELNQSMHLAHGDMQYIQPCIKYIVTRMTLLRKIKPNSQMLNYVP -ISTYILVHHRSKTLTIMTNSPD ->AMO03231.1 hypothetical protein 1 [Tartou virus] -MGSRRMFGSLRYLPSLQAPDEFFYYPPSNTTTLAGFNNRHIAKRLTDYKPELIPGLYKYLRKFVCHPTEF -SRIEYARTIGDAGKRKYYTDYATALDENRARVRSHIMAFTKLEKTGSNKYKAPRLIQARHVTFNIEYGRW -LKALEVEITKRHKLKHRFGKGNYDEIAKRIDKCSKRFRYTTEVDHTEFDAHITREHLELSHRFYQACYPN -SSQVRELSRLTIKNTVRTFQGDKWTIIGTRMSGDVDTSLGNSLINYAILSSMLHDTGIHDFEIIVNGDDS -ILFTNIPVDVQHMSRIAKHYNMESKIQPSTTNIHQTEFCRTKLVYKPNGTPTMMFNPKRALDIFGMHYKH -CQIDITYLHQTSYANSVMHGNTELGNYWYTLSERYATLGKVKEKHYQSHSLLERKDIIRILKSPDDCQQW -KELTQSMFIAWGEEIHYFKQHIDKLQPRSPMFLPIIINHDQKTITK ->APG76298.1 hypothetical protein 2, partial [Shangao tombus-like virus 1] -MVEFMETNIHKLGKFGKRECGLPRTYTTQFLEIRDGSYRIGNHRFGRQMDYFPSLTKPDGWYYEPIGSES -AAAAFYNRHNPELISGYDPTCIEGLYRHLRRYVVGMEQWTCEEYIASVWPASKRRLYQDDYDQFLSDGRI -SSLVQPFTKPEKKNFSKYKAPRMIQARKPIFNIHYGKYIKPLEIKVTKHGRFKHHFGKGSPDQIADRIAK -LSLKWQYKTEGDHTTFDAHITPELLKLTHKFYAACYNNNSELRRLSKRTIINKCRSRHGDKYTVKGSRMS -GDVDTSFGNSIINIAILSELLFRLGLNGEFIVNGDDFILFTNKPVNIKMAEAILRTMNMETKMKESTLNI -HNVEFCQTKLVLTAEGRYTTMKHIRKTIEKFGMTFVSTRNYWIYVLENAHGMWMMNKTTPLGLVFKHIYF -KMIELNMVPNAQELINKHKRLEYEYLEKAFIYFIKLARKDKALDEQYLEITVSMITADEDIMVIDELEQQ -LMRRIINLYKKYPLLSTKQLLTMPYNRNLYIDHNTKTTPYLNSNLIANKIRSSLSI diff --git a/seq/clusters_seq/cluster_41 b/seq/clusters_seq/cluster_41 deleted file mode 100644 index 0de6b52..0000000 --- a/seq/clusters_seq/cluster_41 +++ /dev/null @@ -1,1870 +0,0 @@ ->YP_009362034.1 RNA-dependent RNA polymerase [Fugong virus] -MEKYTEIRRKIRDLVPGDVSAVECLDLLDRLYAARHDVVDQMIKHDWSDNKEKEQPIGHVLLMAGIPNEV -IRSMEKKIIPGSATGQILKSFFKMTPDNFKITGRLIEFIEVTVTADVAKGIREKTQKYKAGLEFIERLLF -QEAEKGNIPESFKIKFDVVAVRTDGSNISTQWPSQRSEGVVQTMRMIQADINYVREHLIKADERGTLEAM -FNLKFHIGGPKARLFDVPDYRPQMLCEPDINRFIEYCKGWLTKTHEFAFSEVKGTKVFNVFESEEKIHLL -NYTKSRKPRNFLLLQLTVGAPYLPSTIASDQYDTKICCFELLKGDTQTPLQRLVLDVCYRYVTLDRDDIV -NYYNPKAYFKPTASVKEPGTFKVNLSSLCPQSKALLDSFKSKSGLGVFGEKIDSIDISSQIDINTCCQQV -EKILSNLEVNIGDPNQNISQPKKSTIVDNIFQRFYDNELVKYMLNIVRKTAAWHVGHLIRDITESLIAHA -GLKRSKYWSVHGYAHGNVLLVILPSKSLEVAGSYIRYITVFKDGIGLIDYDNLDSMLDIDGTMWCFSKVI -SLDLNRLLALNIAFEKALLATATWFQYYVEDQGHFPLQHALRSVFSFHTLLCVSQKMRLCALFDNLRYLI -PSVTSLYSGFVPLIKKLFERPFKSALEVYIYTIIKSLLISLAQNNKIRFYSKVRLMGLTVDQSTVGASGV -YPSLMTRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFREKEEHYGSFIIEEGYSI -DEVVSGSVLVDQQLFCQEVVELSALELNHYLQAKSQVMAANIMNKYWDKPYFSQTRNISLKGMSGALQED -GHLQASVTLIEAIRFLNQSQNNPSLIEMYERTKQQPAQARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YYDAIAKVVPEEYISYGGERKILYIQSALEKALRWASGESEIVSSLGQSIKFKRKLMYVSADATKWSPGD -NSAKFRRFTEALYDGLPDKKLKHCVVDALRNIYKTEFFMSRKLHRYIDEMEEHSEKVIDFLSFFPNKISS -EVKGNWLQGNLNKCSSLFGVAVSLLFKRVWNALFPELDCFFEFAHHSDDALFIYGYLEPVDDGTDWYAHV -SYQIQAGNLHWYAVNQEMWKSMFNLHEHILLMGSIRISPKKTTVSPTNAEFLSTFFEGCAVSVPFVKILL -GSLSDLPGLGYFDDLAAAQSRCVKAMDMGATPQLAQLAVVLCTSKVERLYGTAVGMVNNPCSYLRTAREN -IPIPLGGDGSMSIMELATAGIGMADKNVLKKAFFSYRHTRREADKYVLGLFKFLMSLSEDVFQHDRLGEF -SFVGKVQWKVFTPKSEFEFFDQYSSKFLALWTEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMNVCRYENGWVTFREVLAAADSFASNYSPTQNDIELFNTLVSCTFSKEYAWKDFLNE -VRCEVIASRQIHRAKVARTFTVREKDQAIQNPITSVIGYKYAKTVDEVGDVLESAFFPDSLSTDLQVMRD -GVYRELGLDIGQPQVLKRIAPLLYKSGKSRVVIVQGNVEGTAEAICSYWLKSLSLIKTIKVRPKKEVLKA -VSLYSQREKVGDQLNLAATRLCIEVWRWCKVNDQDYKEWLKALYFEDKTLMDWVDKFRSKGVLSVDPEIQ -CMGLLLYDVKGYMGILQLQANRRAYSGKQYDAYCLQTYNEETKLYEGDLRVTFNFGVDCARLEVFWDKKE -YILETSITQKHVLKIMMEEVTKELLRCGMRFKTEHVNQTKSLVLFKTESGFEWGKPNVPCIVYRNCALRT -GLRTRQPLAKEFIINIQAGGFRAIAQSDIENPRFLLAHAYHTLKDVRYQALQPVGNVWYKTTQHKLFINP -IIASGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPKNILNLDGIEETSEGYSTVTTM -SSSQWSEEVSLTVDDDSDDQVSYTIELDDIDFEKMDLDEDIEHFLQDESAYTGDLLIQTEEMEVKRMRGL -IRILEPIRLIKSWVSKGLAIDKVYNPVGIILMARYMSKHYDFTRTPLSLMNPYDLTEFESVVKGWGECVN -DRFIDFDKEAQHKVHVEKVLPEDVLPDSLFSFRHVDILLKRLFSRDAVSSFY - ->YP_010088064.1 RNA-dependent RNA polymerase [Perch actinovirus] -MERASAAFNICFGEGGLYEQRSRVVENKVRSILGLRRDYEPSIEDALTGAGLDISSLSGLSWKDGYSLGS -WDTFRRKTPDAILVKRDVLVVCEVSVSASPAASRVKKQYKYQLGLEAVAAQLGIELQFSVLSLCPDLSDV -VFDNNWGYHDWAAEVEDVRQINAEIEATRERLSNEELEFFNVLVKAKMGQANSRPENPASSPDYEYTPTA -ETLQEFSDCILQNSSGLSDSFSAVSKEDIQRSFEKCRDNASQYTHLEGSRAYCYACFSRNSGEVPATVAS -QHSNIITASEEVRKLDFKHGGIVEDVFSILSDSLDAMKARGTELFSGSESLPPGCFKSSFAPKSMDHIRK -WLGKDQKEPWTPKPLESLSWDQDSLDGHKKDVEGLIKTLSSSVVAESARPKVMGGKTLCDISLGEMIDKG -LSTRVLEPVMHSRAYHASIVSRDLSEWLIAQSGNKRSKRYSGTSFCGGLLVVIKLPGKGAENTCSRINTL -FFCSESIYCGPSGNIHAVARSGSGHSWLLIKPLSLDLRRVESIGSTLEKGLIYCATLAQKSSESSGRVPS -FFDMRRAFSVHFVVSSSPKSKVSAILDGMRYAVNSTMAEFSGYKELLHSCWSRPLTTSLEVWLVEKSREI -FLALSDTPVHVKRLGRVQGDQKSRFGAVTSAPSLVMEPGFSWQAFTGLKMEMYGLFFSCPKGLHGHTEVF -RIQEETVEWQEKMDALEKEHEGLLKTGLGSNRRPCQQTFSKSFMELCGSWVSEQVQPFQDKITSKLCRPK -FMDWYYLNPRNHSTKSMTKYSAGEFSSEKTIEEALRSLSAGKSAETAHSIALGSLASIPKVRLVRKNQRT -ERDRGIFVTDKATRAKLQIIEEVAEAVASHTPQEIISIGGDNKIRNVQDLITKALVWAAGSSEVAWKGGV -IQMDRKIMFMSGDATKWSPGDNAMKFIPFVKSLTCLSPEVQNLLCHCIKSISQSELGLSDRVYQKLKSLD -PSMSEKVSGLWKFFNLPEQRSGRIFGNWLQGNLNYLSSLVGCAALNLSGKVSRNIIGLECFFESLNHSDD -SLTVIGWLKPKPGSEVDFECWRQEQPPKVRDLLAGDHWEGILAIVEQVCLHASIKLSTKKTFLSRTICEF -VGVDFEAANPSMPYIKLALSSITELKVMGFTQDLASASSAAQKVLDMSGSLQLAQLVLSISVSRVRRGYG -FQPGMVNDLSGILGVPQDLLPVALGGGGNLSIVTLSCGGLALFDVLTLKGCWTRFKRDGDMTAKRALQVH -KAFRGATKFGESLMDKKRMLPTPQWRIFHQKSEDKSQFCASGPFCKRWGEENPEALVFEPLLPSGLFPWV -SMKYLSPEVQNALCNQSEFVLRVRLMATCKSDVVRLSDDSEWISIKALGEKIRDDMTFQSYGDPDDKAIT -VLLDELYGKVSAWHELLDNTTFSNAPGLKRILPRLPVLLKTGVDSSVTLNRLGAVLAWGLMDKTEDKMRR -LKSRCVDPDLLKRDHDMIRAKAELVRMDIGDVEDCLSILRVSAAKTSSRVVLCRVGTAPDPVSILMSWVR -DGNLTGAVEVFSTGVMGETRGFFSDTSPTKDVEAGLKAGLTIWRFLSANKADKEDTLLWLNSLSVRGETL -FHWFNQGVARMREIPKYFHAPVATMAYALLGITTLLDPIAREKISSGYFYRVIQKYNQQTRLYEGDLDVE -FTFGDASLNLVIQGEKIRIYSTIDTTDTGTLSTAMKMVRTHLASCGRCLPVKPATEDQRGLIKNGSHFSF -GFAKKGDWVVEDKVFIRVKMRLVEVPQTVDLSYRMGEEGLTVWGCVKKGKECWIRVASALHCCTDESVAE -ITARRELFIDGIRLDQLINRGYLHYLLRGSKPTLSYEDYTSIIGKKRAGRLAKTFVEIIETITSTVVDLG -GILMPESCSATTAEEVQMEDYDFDEDCDFGDFSDDMTVGTLMLETEMRMVDREVLKPRGVSVLEEGMDSL -GDFVRSWIKGGDNPASALNTSHGVLAIFAICNMYNTGLILPGGLRHVLTLENLLGPILEDVRQCGVSSAV -NMVVDEDKMLLPHELRPYAGFDFEKVHVVLAKVFSRKRLNLYTV - ->YP_010088058.1 RdRp [Tatenale orthohantavirus] -MEKYREIHERVKDAVPGETSAVECLDLLDRLYAVRHDVVDQMIKHDWSDNKDKEQPIGYVLLMAGVPNEV -IQSMEKKVIPGSSSGQILRSFFKMTPDNYRITGNLIEFIEVTVTADVARGVREKVLKYQGGLEYIEQLLQ -VEAERGNCQSGYKIKFDVVAIRTDGSNISTQWPSRRNDGVVQTMRLIQADINFVREHLVRHDERGALEAM -FNLKFHVTGPKVRTFDIPDYRPQLLCEPHITSLVDYCKLWLQRDHDFAFEEVKGQGVFNIFKEEEEQHKL -KYSISRKPRNFLLCQIAINNPYLPSTIASDQYDTRIGCIEILKNYPETPLQLLARDIAYKYISLDKEDLI -NYYNPRVYFQPTQNVREPGTFKLNLSAMDPKAKALIDLISKNSKKGIFGETIDSIEIASQIQQNECSKLI -EKILSDLEVNVGESSQTISQPRKTTGVDDILRKFYDNELVKYMITIIRKTTAWHLGHAIRDITESLIAHA -GLRRSKYWSVHGYANGNIILCILPSKSLEVAGSFIRFFTVFKDGLGLVDSENLDSRVEIDGVKWCFSKVM -SLDLNRLLALNIAFEKSLLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLSTTQKMKLCALFDNLRYLI -PAVTSTYSGYGPLIRKFFERPFKSALEVYLYTSIKTLLVSLAQNNKIRFYSKVRLLGLTVDQSTIGASGV -YPSLMSRVVYKHYKSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFREKEELYGEYLVEDGYVI -QDIYANKVEVEQQLFCQEVVELAAQELNTYLQAKSQVMAANIMNKYWDKPYFSQTRNISLKGMSGSLQED -GHLSSSVTLIESIRFLNQSQHNPTVLELYEQTKHQKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YYDAIARVVPEEYISYGGERKILNIQQALEKALRWASGESEIQLALGQSIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQALYDGLRDDKLKNCVVDALRNIYETEFFMSRKLHRYIDGMEDLSENVEDFLSFFPNNVSA -MIKGNWLQGNLNKCSSLFGAAVSLLFKRVWTNLFPELDCFFEFAHHSDDALFIYGYLEPYDDGTDWFMYV -TQQIQAGNMYWHAVNQEMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLGIVLCTSKVERLYGTAAGMVNNPISFLKVERNQ -IPIPLGGDGSMSIMELATAGIGMADKNILKNAFISYKHTKKESDRYILGLFKFLMKLSDDVFQHDRLGEF -SFVGKVQWKVFTPKNEFEFYDQYSTKYLQAWTEQHPVYDYIIPRSRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKLQGEWVTFREVLAAADSFASDFKPTENDMELFQTLVNCTFSKEYAWRDFLNE -VQCEVLTTRQVHRPKIARTFTVKERDQSIQNPITAVIGYKYANRVDEISDVLDSAIHPDSLSTDLQLMKE -GVYRELGLDIGHPNVLKRVAPLLYKSGKSRVVIVQGNVEGTAESICSYWLKTMSLVKTVKVRPKKEVLKA -VSLFSKKEKIGDLTHISAMRLCIDIWRWCKANDQDANAWLKSLFFESKTLDQWIEYFCSKGVLPVDPEIQ -CLGLLIYDLKGQKGLLQVQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGIDCARLEIFWDKKE -YLLETSITQRHVLRIMMEEVTKELLRCGMRFKTEQVSFSRSVVLFKTESGFEWGKPNIPCIVFRNCALRT -GLRVRHPTSKSFHITVQAGGFRAMAQLDEENPRFLLAHAYHNLKDVRYQALQAVGNLWFKMAQHKLFINP -IVSAGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPKNVLNLDGIEETSEGYSTVSSM -SSTQWSEEVSLTLDDSDEELDQPDYTIDLDDINFETIDLKEDIEHFLQDESSYTGDLLIQTEETDIKKMR -GMIKILEPVKLIKSWVSKGLSIEKVYNPVGIILMTRYMSKHFDFHQKPLSLMDPYDLTEFESIVKGWGEC -VKDRFIEYDLEAQRKVTEEKILPEDVLPDSLFSFRHVDILLKRLFPRDSASSFY - ->YP_010088054.1 RNA-dependent RNA polymerase [Robina orthohantavirus] -MNRYYVLEREIREKVPGTVSAIECIDLLDKLYAVRHDIIDEMIKHDWADNKEGETPILTVLLQLGIPENL -ITKIEKVVIPNHPTGKTLKQFFKMTPDNYKIEGNTCYFKEVTVTSDVDKGIREKKRKYLDGFNQLQVELD -KAFKSGGLRNRIEIVFDVVSVKMDGSNISTQWPSARAPGAVQHMRLVQALISFVREHLISDEEKGSLEAM -FNLKFNISEPAYDKFKIPEFPGLSSVNPSLEELVDHCKNWLSKEHQFSFDEVTGELVLNSFEKFEKEQKE -MFPHSRKPRNFLLVQAAIDSEYSPSTIMTDQYDTRYAVQTMLRETPSTPVQTLVRDMCHEFVSLDQDDLA -SYYANKSTFIRTQRVHEPGTFKIGMSRLTDDSKKCLDIISGSMNQTKLGEIESLYPASSADVNEASQIVE -KILSDLCISLDLGQGTFKSRPPNTYVDRVLNKFNEGEIDKFLVRPLRKTVCWHIAHLLRDITESLIAHSG -LKRSKFYSVHAYHKSSVLLCILPSKSLEASGSYVRFITVFKDAFGLVDRDNLDSIQEIDGVRWCYSKVIS -LDLNRLLSINNSFEKSFIATAVWFQYYVEDQSHFPFQAAVRSVFSYHFLLAVTQKMKVCALFDNARYLIP -SSTALYSGVCSLIERFSKRHFKTCIELYLYMQIRKLLVLLAQNNKLRFFSKVRLLGLTVDQSSIGASGVY -PSLISPVVYKHYKSVISEVTTCFFMFEKGLHGTMTEEAKVHMETVEWAQKFYEKEEKYGIDEVKRGYRLS -QVGKDIVVEQQLYCQDVVELAANELNVILTSKTQVLASSILQKHWEKQYFSQPRNISLKAMSGAVQEDGH -LAASVTMIEAIRYLSTHRNNPTVIELYQETRHIPAQARIVRKHQRTEADRGFFITTLPTRARLEIIEDYF -DAISKHVSEEYISYGGEKKILSVQVALEKALRWASGKSSITLSTGKQLMFKRKLMYVSADATKWSPGDNS -AKFQLFTAILHNGLRDNLLRNCVIDALRNMYETEFFMSRRLRGYIEKMDIKSDDIKQFLSFFSEEGGRSG -KVRGNWLQGNLNKCSSLFGVAVSFLFKRVWQELFTELNCFIEVAHHSDDALFIYGYLEPEDDGSDWFLFV -TQKIQAGELHWHAVNTDMWKAMFNLHEYILLMGSIKISPKKTTVSPTNAEFLSTFFEGCSVSVPFSKILL -GALSDLPGLGFFDDLAAGQSRCVKALDMGASPQVAQLALSVVNSKVERLYGTAPGMINSPLMHLSVQPDE -IPIPLGGLGSNSVLELATAGIGMADKNNLRKALITFRHKNRGRVSYHLGLFKYLMSLSNEVFNHERLGEF -SFTGKVQWKIFTPKSEFEFHDMYSKQLIKRWSDEHPAYDYIIPTTRDHLLVYLVRKLNEPSITAALTVQS -PIQLRFRMQAKQHLPVCKCGDEWVTFRQILASAHLFAQKYEPTQEDLDLFNTLAECTFSKEFAWQNFLNG -VDCEVLQSRKIARPKVARTFTVKERDQNIQNQVSVVIAYRFATTAQEIKDVLAQSRFPESLPSDLKILHD -GVYRELGLDTNDSRVMKKIAPLLYKSGRSRVVIVQGNVEGTAETICSYWLKQMSFVKQIKVIPHREVLQA -VSIFNVKETSGDRLDLAAIRLCIEVWRWAKHNELNLQSWFHNLWFEDRTLFDWLQKFQRKGFPIVDPEIQ -CAGLMVYDVFGNMSVLQVQANRRAYSGKQYDADCHQTYNELSGIYEGDLRVVFNFGVDCARLEVFWDKKE -YLLETSVTSRHVLKLMMEEVTKELLRCGMRFKTEQVTRTNALVLFKTDSGFEWGKPNMPCIVFRNCMLRT -GLRTQHSIKSEFKITVLENGFKAIAQLDDESPRFLLAHAYHTLKDIRYQALDAVGPVYFQNLYMNPIIQT -GLIENFMKGLPAVIPPNAYSLIMNKAKISVDLFMFNKLLALINPDNVLDLSGLEAVEGSGYSTVTSISST -DWAAEMDLMDDDIDLDDEFTMDLNELDFDEVDTSEDMRHFLQDESSYTADLLISAEPKEVKKLRGLIKVL -KPIELIKSWVSKGMAVEKLYNPIGIVLMARYLSKNYWFGQRPVNLMDPYDLTEFEAIVRGWGELVCDNFN -LIDKDAREFVEKNNCLPEDVAPDSLFTFRHTKVLMDRLFHIDRSKSFY - ->YP_010086165.1 RNA-dependent RNA polymerase, partial [Brno virus] -MQRYYQLADEIRELKPGTVSAPECLNLLDKLYETRHNLVDEMIKHDWSHNKDTETAIGIVLLQAGVPETL -INKFEKLIIPNHPTGKTLKQFMKMTPDNYRIDGDTISFIEVTVTSDVDKGIREKKIKYEDGLNLLQHELD -IAYKMNWINRLIKIKFDVVAIKTDGSNMSSQWPSQRNAGVVQHMRLVQTLILYVREHLINPIERSALEAM -FNLKFHIGGKSVSDFQIPHYAEMDKVNPDIKELVDYCGNWMSKKTHVFSFQEVTGKAVQDSFTNFEEQFK -LKYKLSRKARNFLLIQVCIQEEYRPSTIVSDNMDVKNAVYNLLLEQPITPTQHLVRDILYEFQALSNEDL -ASFYSNKSQFLLGLKMYMNQVHFKVRNSRLSTESKKALDILSNHEVKQTKEIESLDITSSQWLNHSCSII -EQILGSLEMKIGEGPIKDKVTVSRTYVDSVLKKFTNNELEKYLLSILRKTVCWNVGHCVRDLSEALIAHA -GLRRSKYYSIHGFHNGSVLLVILPSKSLEVSNSYIRFLTVFKEGFGLYDPDNIDSKMNVDGVSWIFSKVM -SLDLNRLLALNTAFEKSLIATAVWFQYYVEDQGHFPLQSTVRTVYSFHQLLAVCQKMKVCALFDNLRYLI -PACTAQYSGYNTLIHKFIERPFKSAFEVFIYDQSRRLLIGLAQSNNLRYYSKVRLIGMSVDQSSIGASGV -YSSLICKCIYRHYKSLISEVTTCFFIFEKGLHGTMSEEAKIHMETVEWMNNFKDKEQKYGKKLVENGYRI -SQIDNDELVQQQLYCQDVVELAANELNRILISKTQVIASSILNKHWSKPYFSQPRNISLKGMSGRLQEDG -HLQASVTLIESIRYLTQHKYNPTILQIYDETKHIRPQARIVRKHQRTEADRGFFITTQETRVRLEIIEDY -FDAIAKNVTEEYISYGGEKKILNIQNCLEKALRWASGKSFITLSTGEQIVFKRKLMYVSADATKWSPGDN -SAKFKLFTANIHDGLKDSKLKNCVIDALKNIYETEFFISRRLRGYIDKMEQKEEKVKEFLDFFDYSKERS -GLVRGNWLQGNLNKCSSLFSVAVSLLFKKVWSELFSELDCFIEVAHHSDDALFIYGYLEPEDDGSAWYEY -VSQKNTSRFLYWHTVNGDIWKAMFNLHEHILLMGSIKISPKKTTLSATMQNFLSTFFEGCSVSIPFSKVL -LGCLSDLPGLGFFDDLASGQSRCVKALDLGCSPQVAQFALLLTNNKIERLYGTAEGMINNPSKFLDINIS -HVPIPLGGLGSSSIMELATAGIGMSDKLNLKRALDLYRHKKRDEGSYILGLFKFLMNLSEDVFNHARLGE -FTFTGKVQWKILEYEFFDYFSHSVIKSGQKHPTYDYIVPTDRDDLLVYLIRKLNEPSITAAMTIQSPIQL -RFRMQAKQHMEVCKMNDNWVTFRHILAAAHEFASTYKPNEIDVDLFNTLAQCTFSKEFAWEDFLNTVDCE -VLQSRRIHRPKVARTFTVRERDQSLQNTTNIVIAYRFATTANEIRDVMQYAHFPESLPSDLKILHDGVYR -ELGLDLEDKKIMKRIAPLLYKSGRSRIVIVQGNVEGTAEGICSFWLRDMSFIRNMKIIPHREVLKAVSIF -NPREQSGDRLNLAALRVCIEMWRWLKYNDFNTLQEFQSLWFEDKTLLDWVSMFQRKGTPLIDPEIQCAGL -MIYDLFKDMSLLQAQANRRAYSGKQYDAYCYQTYNEETHLYEGDLRVTFNFGMDCARLEIFWDKKDYVLE -TSITSKHVFKKIMMTEVSNELIKCGMRFKQNKVNHTNALVLFKTDAGFEWGKPNIQCIVYRNCFLKTSLR -THQVQKNVFNIVIVDNGFKAIAQHDIESPRFLLAHAYHTIRDIRYQAIDAIGPIYFKNLYLNPIISAGLI -ENFMKGLPAVIPPNAYSLILNKAKISVDLFMFNKLLEYINPNNVLDLSGLEMTKEGYSTITSMSSKSWAE -EMELLDDDIEDEDDYVIDLDDLDFDQIDLAEDIQHFLQDESAYTDDLIITEEGKELKRIRGLTKITDPVK -LIKSWVSKSLSIEKVINPVGVLLMTRYLSKHYDFNVGNVTSLDPYELTEFEAIVKGWGEALYDNYEEIEE -EAKLYLKRENCLPEDVIPDSLFSFRQTQILMNKLFLKIDSSLFY - ->YP_010085035.1 L protein [Wenling hagfish virus] -MAKCVNETPIVDFLSMVDHLCTSDDGSWSATECTNAIKRLYEMRHDAVISVLLEAWKFEQMAQRPFLDWI -AKLSPTIFDQVLARKAKGKPSMMPEQSWFDLAAKTPDYCILKDGTFHFYEFTVRANIHAATEEKMIKYRP -LIDSLRRCLNPLKISVELHVVSLKPDFSNYQKYDIPHKAKERLNILAVGMENALKACTKDLPKKEALQPV -LSYYKLLISEPRPYKHPPRASNSKWKTKVYEAAQREENIKHEVKFMYSALKRRQARQNTKPLFNKRSDLD -PVVTNVNLDDVSTLIEGAARSRKQKYADKKVPSATIMFPYTRPTASKDRAHLSPEETVQIPHSHPIFKDV -MEQTGSLTSFQKAAMKLSDNDFFELKKTDPAQLGNLKAPEGFTVNLSDESKQWLQNSNKDKGRKKRGKKE -DLRQWNGMRRAQEDVDDFFGSKKKHVYRYRQIQLDLPQEKSRMIGCYADQKPEYSDIPHLTEAEKAIWTA -TRNNIGPSSDFLSSLNLMKMGSEHGKIAQWLIANSGDKRSNFWSRYFGEGFMLIKLPGPSIMKSGSLVSF -KSAIIRDSGGYMDSSSVARSETQFSLVKHTDWLYTKTFTVKASELQELAEAHTKAKAVALYLVSLDPTPG -STNEKLKSAFTLLYSICARPSKRLSAILDNTRYLYSQMRSSYSGYKEMFKKFTDPAKTAIECVVLSRCEK -LLSKLAESELEQDEDGGLKGKFYCICTNRYSSDPQVALEELVGAFFCVPRGKHGTQEGLHIYEETMEWAN -KFEEAVKEFGEDQMIGGFSGVVPTRQGFNKKAMQELGKIINEEILSKRENIRSQFYNSKLFSPYYAQQRN -YSTKSMLNMKTDVEPGLSKRCYFVALDKLQSGDSRSCVDMTEALDGPGHAKLCRKYQRTAADRGIFIPSQ -QDRVRGWICETISAIVAQQIPEEAISDPGDEKGNKMQQMMFATRDWKNRYFLANDMTKWSPGDNCYKFAP -FFRSMLEGVLEPEDVEMIAQCAVEQGEWFLCQSGLIKKWQEVYLHDKKPLTTKVKDFYSQFTKQPGSNEY -TKFVRGNWKQGMLNYSSSLVGVAVMKRAFRNLQDNRVIKEHRFMQHSDDFCGVVSLSSTGTVSQVYEEMQ -AVASSAAIALSERKCYCSPAITEFCGFTMFGNNLTCNPVKDIIAMFSERPGSGFFGDISAAISTAGSALR -KGAQLSVVISILYDTIKQIRQNYSMGLRGINSVSRYLMNPDKVFCCLGGPVESLIIRCLFMPMKTCVRWL -DTKIYNQLWADDSNIEERNLCAKLIKDFKNNTVQDQYSNRWLDLNWSLFKPQQIEEYTPLKISGLKLIER -KEAYNFILPMKDSAETAAVLASRLSNGTLRNALVAQNPLALRSKLFCAARGSVIETYTEMDAIRSAEYIA -EQMLIVEQKLINGEALTEKQFWVQKVAKKSAAGEPVEGLFRKSVRVSIQQYFEEGSSTQCFDKVELPDAK -LKHEINPDTLLQSLRISRYTSPSQSDPHKGASYILKKVGRAIELPPTTNNISHVAAFMVSRTQEERDDVA -YHCKERYQNIMDDVVILSNMLATDDIKLQDLLSLCRYYQGNQPRLYALIQSDVESGPLDFMIYKMETAGY -NLGLKVARTNSTDALLQSFGQKQTTVEASILPCAMVLARAAIVEPRFEDVFLIENVKPEEYVLKSALSSD -PIMRKMQVGIKALLGDLRPLYKEISFDKPRILWIRTLEESFGLGTECEVVCGHCKAHLRTNTEGYNISLS -PGNEVELSRTMETIKDILRAEGKKLKLENRKGWGISPCPTGWIKRSSAGVMRNNVVANLTLLPETVTDQV -YNPISCDIVKGRLVVRTSSDVEERLWSMMRPIRCPSLQPSITCLNPNKILGVDWSEAIKTNYLITLLNQE -RNIFPVNPQSAQKMIGKVPITDLEIINEAIRRHGQPEQEVVEIDCMELFNEVEDFDFDEDPFMEEEDPES -SFNYQFVDYIKRGAALCRSKKVSDLAYYIAGETLLRCEKKLSSYSETQLAMIANNFCCLKPQSNYSKEAL -TKRARSYASCQANFWVDILEQDFEETEELDLTVLVLGDQASNTEPITGLTFR - ->YP_010085034.1 L protein [Wenling red spikefish hantavirus] -MKAKQAWSEISQLYERRHEVVANKIRVHLLAAYEGEVTLRRVLLDNGLDITLFENVRWNSGIHEERGKFF -LEKTPDISVVTMVEGRLRLDVVEVSVSTKVMESRAIKDNKYRKGLELIGETFDIDMNYLVVSSFTDGRNL -DTEYPGIDWSVSVEEIRLINERVRLIQTEEIEENEKAMLTAMMKGYFSKGKSRRDTRPSRVIAPQRSDQP -EFENDEEVLFELKDFAANDLERTSNFQRVSVAGIKETIENASLIASSSFVEKEHSGVYAYMPFGRAKAER -PMTMEYQKTCMLEAEREFSYINERDEFLEAMMVTLEKGVAVGAENWDLWFRKTMDGREPMTVTGVEGRLS -TRAKELLQHWTGKDRTSQNFEPKLMESLPFWNDSINDNCYIDVLKIKKRLEMDLEEEFSTEKIDFGNSMA -EASLSNVFHAEMKRRVIDPVARSRAFQSSIVVRDVCEWLVAQSGNKRSKRWSVFACCDGECVIIKIPGKS -TESLGGKINYMAMCTESAYLGPQTNVMRRYVGKGKTWLLLKPMSLDLRRLESMGSTLEKGLLLCGSIATK -HSEATGTLPSPYDLREIFSIHYLVSTTPKNRICSIFDYLRYAINSCVADVSGYGELLKDEFSKACGTSLE -VFLRREAASLLEDLAENKDDTLVKKMTLGQHSIKTKFGATGKYRSFSSNLYYKSFSSLHMEIYGLFFTCP -KGLHGKIEDLKIQEETVEWQAKWDALAKQLKCEMQEGYTIGDREPRQQTFCRDFMYECGRWIDKQVSYKW -DDVQASIQRGGLRENYYANPRNRSTKGMTVFSSDYSKMESTTTIQQALRELAGGTTVGTVEEEAMKSVGK -TPNVRLVRKYQRTTSDRGIFVADRDTRAKLQVIERIAGAIAKNVESELISVPGDVKMNIIQDMLTKAIRW -SAGESVLRTEFGDMKMKRRVMFCSADATKWSPGDNAYKFIPFVEGITSLTDAEKNLLTACLLGISKSNLG -LSDGAFEMLSKMDGTKNPKVDEMKNFFGLPYRRTGKVEGNWLQGNLNFISSLVGVAALNKGGGYAKKLWP -ELDCFVEVLGHSDDSLILIGWVSPASEDIGQYLLWVDKMAELSEEYKCLKRLNHWECIFRVIERTALMAS -IKLSTKKTFLSKTMSEFVGYNFEAGNPTTPWIKPAMGALGELKVKGYAEDRASVMSSAVKVLDLSGSLQM -AQLVAYIGNGRVLRGYGMQKGMVNHPGALLKLRDVDIPSFLGGGPIPSVLSLATGGTNLQDIMTVKAHVT -RYRTHRDTYSERVLKVYKTCEKLFKEEENDQHIFGRVKWRIFFPKSDPYELGFLTRESLKAWEAAHPEFF -FLNPTDPKDILFQTWREFRKPEMQASLVRQSETVLRMRLMGRVAGDVVWVNGEWASVRTLLFTVSTQCES -ELITESDLIRWEQIEENLFSKSIVWTDFLNQTFAEVSKGVKRQVAKLPRRLVVREDDIPLINQKRDILAW -GVSSQTTKRLIETQCTDPNMIEVDAAKLRAAAADKLQLDITLVDGAKRCDFLTKGSTVSRTVIVGSNVEP -TATGIVIGWLRESSFTRVVSASSSGYISKAKTIFSENTEGQHWLNAKKLIITIWKMAKANSAEPGVWLRS -LSFQGATLWKWMQTIVKQTPDSSRVDAALAVALNDTLGDDSWLNSVASQKMLSGKRYVKEQHYDPVLKVW -QGQLVVEFLYGSEIGELYFDGDSIVKLSTSIRDPIPLTHMMNTVRKELAGSKFNMPVVRSDGTEGIRVIK -RKDFYRWDRVREGDWILPFVFIDPTMSVGSAPTRSYQFTIRDGGFSVWAKDRENSRGVKIASAMSFLSDI -PLSALEAADELFHQNVAIHELTKRGFLPNLILGTTARITRSEAAVILVRKTLPKRMAVISEVLDLVGGGK -VSFHGIEFTKTSISSWETAEDESDDDYVVDLDDMDFDLELEEPESSFGTVEVNAEFYIEEDRAIENEDEI -PRGVTIYEDLESTIRHWVEKDVGDVSNVDGIQSFLFMKWLAKSFDFGRQVDLAMYWDLLSVDTILGPMAN -TIDLIGMDVLKSKLKEAPEMRELEPSELKPYLGFNYSRAMRLMANLFPRKRVDFYD - ->YP_010085033.1 L protein [Wenling yellow goosefish hantavirus] -MEPDVALELIDKLFYQRHEAVTNEIRRSLGLDLNEERSFSDFLTENFDTSPLETLSWEEQGVEKHWSDFR -RKTPDIMIIENGRWLIIEVTVSLTPEASREAKNQKYRRAIHEVANALRIQYSFQVVSASSNGENLEEEFA -NVDWDRVRTEMARINEEIGGVRLKITSPENLAKFEILFSNKFGKRVETFLGWPPLEGMPQVQEDIGRANV -AIRGHMLRFMEEEMLKSTGFEKPTISMLADAKAAVLSEVKETYRQTEKRGMWCYICAARVTGEIGTTYET -GKRDLEAAANGVGRMMPPGVEWEIILSALRSVALFSADDWVAFQRGGIKTDLVKRVGCVKAPHTPAASVL -LSKWTGKTEKKGYAGDGKIETIPVWETDVTIDCVENCERVMRNLARAIDVGSRKDALGESWGSSPVARQT -QAILASEMESRVLLAWQRTIGANVLKVARDVAEWLTSQSGPTRAAKHAIAAFCGGDLVVLRFGGKTVSNL -GGRVNFIQMVRDSAYHGPLENILATTRDEGGWHLIKPVSLSLHQVEEISKAVEKVYLYGAGFADMYGRED -GRLPAEALIRRLCSMASVICLTQKARVSGILDMARFLPGLCTADISGFGQMMPKILQRPLTNCLTVWIWN -ETSAYAAAAIMSNGTTKGRQVRFRAGRIDTTSLGARGKYPIFGTNETCSAFSTGRSVLTGLANCMAKGLH -GKIEKVKIYNETLEWSLKFEKLAKERGEKQMQRGYRAGTEKAMQQTFCTEFITLVAANLTGYIKAQADNV -KSQIVKLGVDRAHYRDKKNTSTKSMTTRGADGRVASIVTLEQALINIERYGPDKTTLEYAMEAFEDEPVA -RLVNKNQRTENDRGIFIVDHGARARLKIIEGVASAISAVLRNELIHVGGQKKIFRIKDELVKALKWGAGQ -STWFWKGKEMPMKRRIFFLSADSTKWSPGDNSFKFVRMFEQIGGIPAQVREAVVRSMQSITVTALGITGT -AQEVLDGMPDSELKKEFDEQFRDGFAKIRGNWLQGNLNFTSSAFGAAALNVISELIGQLAPTHVYMSGLI -HSDDSLIIFGYSEPQEEDAEEHGHFLELSESGRAIKRIGMAQWLIRFFEEGMKLGSIQLSLKKSWTSSVL -GEFLSLALEGGEPIEDAVKFALATVADQPVKGVKKDLMAAMSSAGTTLDRTASAQLAETCYRISSARVRK -VYGVTKGGSNDPERLTGLPGALIPLTLGGNPQTSVIEMNFGGTGSVEVMQLKRVVELPESAQKTLSLGLF -ACLAKRASEDGADDSGGLLNDIQWKMYRPKETKVIWISESATKRWREANPQYRLLRPEGGEELTMYLAAT -WYDKDVQLAMTRQTSWQLHAQMMASVRGDVTKWSEKWQPFSETVSELMKCARASALEESMLLTESSRLMA -PSASAWAEWLRGVQLQLKSGAKVRMARAAGLFRTEVRREGMLNQMSHIIAFAITPPAGQAAVRALVKDKL -TIDREAEEVKSVVRDRLHMDPTNTQEMMRAYRILREEQTSRVVSTKAGLEVGNQNIIKGIILMSSYTRNF -QITYAPEQVQKVGIVKTQQRSEEDVKIWALELAVYVWKFSDTNKVDTKNWMQVLEYNGRSLDWWLTDASK -NHPCTSELTLQAAAIAFMRWGNDAWLRLCIENQILTSKVYLIRQVYDQQRGVWEGPLDVVMGFGEIAGRL -RMHDESIKITTNAQTATELSTVMRKMRGELRGAGRDINVRISAGDRRRAVIKVRDTYKWGKARPGDWVCT -DVTFDHSVRTEIEKGAVEGEFVRDLENNTINLVRGEESRVVVRMKRSLRTGPLWALKVKRDLIFQGVDIR -FLASTGQIDFFLTGIKGTLTQAELETALVRKAISKQIIDITSVWNDLGGDTSLDLSGVSVGDSTTLSEGE -EVEFDSDSDESEDYTETVAFSETMHMEELETQQNYGGVNVMYYENFAEELTYWVARSQATEFDVNPIHAV -LVFMALQHEFKPGKISVSENYRSVVELVRMLGPMARALQSKGVSHSKSLAKDSPARQLHPGELTPVPGFD -YKKILKIMRKLFPGNEVDQYGF - ->YP_010085032.1 L protein, partial [Wenling minipizza batfish hantavirus] -ESIQNLLERNDYHPDPNLSWEDSGVQREYDDFKKKTPDIQWIDNGTWNVIEVSVSANIELSRAKKEVKYR -SGLEAIANAMHLRLVYVVVSSYPGGNNLETEYPGVDWDATRQRIERINLEIDEVKEKIKDPEKRQRLETL -LRAKFGGEKMIFKGWPGFFDRPAETHDYQLLMQALTFDVEKFCREKIDSVENFNIPTITDVAAGKRESLE -AVKEKYRQTEKLGMWMHTAYGRKTGEKGQTTESARQNLEIAANDLGYVGAETVEWEFIISGLRQIMEFGE -SDWAAYFGKGEKTDRVKRVGCVKIPVSSEANNLLGKWTGKDKRDDYVEGKIETLPIWDTDKVLEWTGDVE -GILKELEVEMETVDEQLAQSEFWGASDVSRQSQNLLKNEMMLRVIDIWRRTRGHAVLVTSRDIAEWLTSQ -SGPGRAGKSSIAAFAGGTVVVYRMAGKAVANVGGKINFIVAVRSDSFAGPDENIIRRRISKNGEWFLMKP -VSLSLKQIEEMSKGPEKVIMFLAGFADMYAREEGRMPMAGEVKRTGAVATVXCLTQKMKIAGQLDMIRFL -AGLTVGEISGLRSFLPKVFEIPCVSKLQVWLWSESASFAVRSTIARKSILARKVRMKQGRIESSSLGARG -EYPLFGNRNSVKNFSTYRSHVNGLANLMAKGLHGSIEKLKIYQETIEWNEKFQDLQEKRGEKEMKKGYPI -GIQEPEQQTFCKQVVKMVGIKVGTRVRAERDKVMGLIASKRLDEAHYANAKNTSTKGMTTIDIDGAVTSV -VTLEQALENIEKYGPDKTSLAYAIDALEHTPVARLVNKMQRTASDRGIFVVDQHTRAKLLLIETVFSSIA -SVQRNELISVGGDKKIFVIKEAMSKALKWATGKTKWTWAGKERLLERKLFLWSADATKWSPGDNVNKFIE -MTKAVTGLPGDVRDGLIKCLESISVTRLGLSHIAKTLLEKMGDSNFKRTFTENFDGEFMNVHGNWLQGNL -NYTSSVFGAALIDIAGEVLKKLAPIDVYLDGLIHSDDEIVVFGYSVPTPDDHREYESFLSLSESGRAIKR -IGMVQWMIRVFEHILLYGSIKISPKKTWGSSILGEFLSTVIEGGEVTHDAIKFSLATVVEQPVRGFKKDM -LNALSGAATVLERTGSIPLSEIVARVNTERVRRNFGMTKGGTNDPERAFGLPPQLIPITLGGYPQISMIE -STLGGPGSIEIMQLKRIIELPEGNEKELSLALFACLALIPADETDMDELRGGMLSDLNWKMFQPRDVKEV -WIAKEAVKKWKDDNPQWLLLKPRGGQDLTYYLAAQWYSDSIQVAMVRQSDWQLKAKMMATVRGKVVRFEA -DFTTIAGVQREVLAKAREIGRDQAEDLIMVAKSVSPSAEGWAEWLRQINIHLHPGAKVRSARAPGMFRHD -ASKGVFLNRVAEIVAYHVTPDEKKNVVKALVRDKLAIEREVARFNQAVREVLRLNPDKLDDMMKAYRMMK -EEHTARVITTKAGLEKGNQNIAKGLISMISYTRNVEISNVPESVPIKGRLGATVKLDEEMYKSAEQLVVY -VWKFCKINEENPNEYLRVLEYRGKTLESWLTEMGRRGFSTGETSLQAAFIYYDLYGIDDWLRKAAQNLVL -TSKMYLIRQKWDPYEKIWSGNLDVVMGFGEVAVRLIIKDEKVSMTTSASQPGEITSVMRKVRAELQSAGM -DIHVFLSSGEKKRHVIKRGNGYRWGKPRKGDWVVSNVAFDFAVRSEIESAVTKGEIVYNNEKGEVYMKDE -KSQKTIVRLKKSTPAGP - ->YP_010085031.1 L protein [Hainan oriental leaf-toed gecko hantavirus] -MQSLTQLTVHIQGLEPGTVNAQDCAKLLDDLYMCRHNVIDDRVKQQWSDNLVETKISDVLLRAGIPPRFI -DRLSPKVIPGYVSGKTYKKFFRMTPDNFKLDGDLISFVEITVVTDVNRGTRDKIDKYMPGFDYLNMELKQ -AFTTGELERLWNIKFRVIASTIDGANLTSQWPEGDTRGLTASMREIRDLMAYVREHLIRPEERAALEHAL -TLKFNITTKYRQPAELKDYGNTYHFDANIQDLVEYLKTWINFNSNFTFEEVKPTIVAAAFTQGELEQSRL -YNPGKPKNYLLVQASVTKPYIPSTILTARIQTLCMARVLANIDQDIIAQRLIQNLCVTYLNLTDEEINAY -YSPTHDFKPTANVPQPGCVNINVGTIDPESRLLLEHVTKSKEPLSIPQGRIKLLESLQIVDGLSIKSALI -NVEMILSQLEMPLGRANETSTIHCGKRTYVDKVLGNFLESELEKFFLKAVNGTCAWHVAHLLRDITEALI -AHSGLKRSKYFSFQGFGEGDTFLIIFPAKSLETAASAVKFCVINKKGHGLIDRDHVLFVTEIDGDTYIAS -KVMTLDLTRLMALNNAFEKTLLATATWFQEYVEDQNQFPSKRDLISIFSFHLLLSCSQKMKVCALFDNLR -YLIPSCTAQYSGYQSLIKSFCERMWKTNLELYIYRMAKQVLIACSQTNRQHLFSKVKIHGITIDYSTVGA -SGNYKSIISNAHYKHYKALISEATTCFFLFEKGLHGVMPEEAKIHTETVEWMAKFVEKEERYTALKVESG -FFLNEGKIPVEQQLFCVDVVVLAATELNKALETKGSQLQSNLNSKPWQKGYFSQTRNISLKGMSGPIQED -FHLKSSVTLIEAIRYLSTHQDNPTVFELYDQLRFEAPKARIVRKYQRTEADRGFFIVDLPTRVKLEIIED -YYDAIASCIPEEYISYGGEKKVQEIQRTLERALRWASGKSTLTTASGIEIVFKRKLMYVSADSTKWSPGD -NSAKFRWFTSVLNDGLDETKLKHCVIDALTGIYETDFFMSRKLKAYITSMEVLNWNVETFLANFFENEAT -SLKVRGNWLQGNLNKCSSTFAVAISLLFKKCWQLLFPELDSFFEFAHHSDDALFVYGYLEPVENIDAWYE -YNAFKIQSGSEHWHLIVSDTWKGMFKVHEHLFLMGSIKISPKKTTVSPTNAEFISTFFEGCAVSIPYSKI -LLGTLSDLPGLGYFDDLAAGQSRCVKALDTGCSPQVAQLALGVATSKIERLYGVAPGMSNDPCYYMPLQR -NEIPVPLGGSGSASVLELATAGVGMSDKSLLSLALDLRGSGVESKMKKGQYILGLFKFLMNLSEETFDHE -QLGEFSFMGKVQWKLFVPKDDVEFSDFISSHLVRQWAADHPAYEFIIPRDRNNLLFYLVKRLQTPSIMIA -LVKQSALQLRYRMFAKQHMEVCKYGEQWARFSIVLAAADIFAENYTASPQDVDLFRTLTKFTFSKELAWK -DFLNNLDCTVVNWKRARQVKSAKTFIIREKEQAVQNQISVIIARKIAKTPEEISDVVDLARVPEALSNDI -RVLTSSVYRELGLSADDTRIMLRIAPLLYKSARNRVVLVPANCEATAESICLTWVKEMSFIKTLKVQPKK -SVLPSSSIFTRQYSVEPKIEIHAMRLCCEFWRWCKVNDVKVTEWFPSLFYEGKTFTTWISLFHEKGLPKI -DPESQCGALMMYDLKGSLNELKNLANRKAFSGKSYDAYCRQIYNPLTDQYEGDLRVTLNFGIDCAALEIF -WDDRTYTLETSITERQVLNVMMGEVSNELIRCGMRFVTTQSSGSGSFVLFKTERGFQWGKPRGPCVIFHN -VFRKPSLRRDLLQIKDFKITILENGFRALCQADAESPRVHLAHIMHSLKDIRYQSVQAVGSVYYKGLYLN -PLITAGHLESFLKALPATIPPSAYKLILSKAQISVDLFMFNEILHYINPLNTLNLQGLERAEGYSTISNT -SGIATQMTLEFDVEDPDENEDDVFCLDDLDFEQIDFDEDVKHFLSSESAYADELTIMVEQAETSKFRGLT -KLNDPLTLIKSWVSRSYFVDKKLKGTHIMLVCRYLSKHFQFGLNPVKDLDPYDLTELEAVVKGWGELLHG -EIEKYDEKAKEELGKFGYQIDEMEPDNLFNWKFAHLVFKRLFKADSVSSFY - ->YP_010084718.1 RNA-dependent RNA polymerase [Tigray virus] -MDKYREIRGKLKEHPVGTLTAVECIDYLDRLYAVRHDLVDQMIKHDWSDNKDAEQPIYKVLMYAGIPDSL -LHSMEKKIIPDHPSGKSLKSFFRLTPDNYKITGTTIEFVEVTVTSDVDKGIREKKLKYEHGLRFIEDELR -KAYTRGELTQPYKINFNVVAVKTDGSNISTQWPSDRNNGVVQYMRLVQAEIGYVREHLVRPDERSALEAM -FNLKFHIGSTKNQPYHIPEYKGIASIHPRLENLVYHCKSWLSRAHSFSFHEVKGKSVLSCFEDNEVQHAS -KYGLSKHARNFLLVQCSLLSGYIPATIASDQMDTRFACNSILRLQPDTPVSHLVLDMAFKFMNLDREDVI -NYYSPKTRFEATPNVKAPGTFKLTSSQLSQDSRVLLELISKHEKESALNKTIESLLISSQVVQNDCVGVI -SKILSDLEMNIGEPTKFEHVLGKHTYVDTVLDKFYQNEIQRHMIEPLKKTVGWHIGHMIRDISESLIAHA -GLKRSKYYSLHAYNNGNVLLCILPSKSLESAGSFIRFITVFRIGPGLIDYRNLDSVIDSDEGSWGFSKVM -SLDLNRLLALNIAFEKSLIATATWFQYYTEDQGHFPLQHALRSVFSYHLLLSVCQKMKVCAIFDNLRYLI -PAVTSLYSGFPSLIEKLFERPFKTSLEVFIYNNIKSLLVSLAQNNKARFYSKVRLLGLTVDQSTVGASGI -YPSFLSKVVYKHYKSLISEVTTCFFLFEKGLHGTMNEEAKIHMETVEWAFKFQEKESVYGAGLVENGYTL -GELSCDESLATQQLYCQDVVELAAIELNKLLLTKSQIVANSILNKHWEQPYFSQTRNISLKGMSGTVQED -GHLAASTTIIEAIRYLNNSKANPTLLQLYEETRDIKAEARIVRKFQRTEADRGFFITTLPTRCRLEIIED -YYDSIAKNVSEEYISYGGDRKILNIQLALEKALRWASGESFIELSTGKYIRFKRKLIYVSADATKWSPGD -NSAKFRRFTAMLHNGLPDSKLRNCVIDALRNIYKTNFYISRKLKKYIEGMSELQDNVKSFLSFFTNGYHG -EVRGNWLQGNLNKCSSLFAVGMSLLFKQVWAELFPELDCFFEFAHHSDDAMFIYGYLEPIDDGTDWFLYV -SHQIQAGHLHWYSVNTEMWKCMFNLHEYILLLGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPYVKILL -GSLSDLPGLGFFDDLAAAQSRCVKALDMGASPQVAQLAVSLCTSKVERLYGTAAGMINHPATYLTVKHTD -TPIPLGGNGAMSIMELATSGIGMSDKNLLKRALIGYQYKHKSSDKYILGLFKFLMTLTEEVFVHERLGEF -SFTGKVQWKIFTPKSEFEFSDLYPYNYLKSWTEQHPTYDYIIPRSRDNLLVYLVRKLNDPSIMTAMTMQS -PLQLRFRMQAKQHMKVCKLNGDWVTFREVLAAADSYAQTYEPTQYDLDLFQTLVSCTFSKEYAWKDFLNN -IKCDVVPIKQVQRAKVARTFTVREKDQVIQNSITTVIGYKFASTVEEIADILDIARFPDSLASDLKTMRE -GVYRELGLNISDPLIMKRVAPLLYKTARSRIVIVQGNVEGTAESICAYWLKTMSLVKTIRVNPHKEVLKA -VSIFNRKEDIGQQKDLAALRLCIEVWRWGKANNADYKEWFTCLWFEDKTFMEWVERFVRVGVPAIDPEMQ -CAALMVADIKGDMSLLQVQANRRAYSGKQYDAYCTQVYNEETKLYEGDLRVTFNFGLDCARLEIFWDKQT -YTLETSITQKHVLKIMMEEVTKELLRCGMRFKTEQVQSVRSLVLFKTESGFEWGKPNVPCIVYRHCALRT -GLRTQRVINHKFMITLKDDGLKAIAQHDDESPRFLLAHAFHTIRDVRYQAVDAVSNVWYTFKGIKLYLNP -IINSGLLENFMKNLPAAIPPAAYSLIMNRAKISVDLFMFNDLLKNINPLNTLDLSGLEMTTDGFSTVSTM -SSRLWSEEVSFVDEDEEIDDEFTIDLQSIDFDNIDLEADIEHFLQDESTYTGDLLISTEETEVKKMRGII -KILEPVKLIKSWVSRGLSVEKVYNPINIILMARYLSKQFNFSKSPVSLLDPYDLTELEGIVKGWGETVND -RFADLDKEAQSAVLEKGVVPEDVLPDSLFSFRHTLILLRRLFPQDTLSSFY - ->YP_009666009.1 RNA-dependent RNA polymerase, partial [Necocli virus] -KWSPGDNSAKFKRFTQVIHDGLSDTKLKRCVVDALRNIYETEFFMSRKLHRYIDGMEEHSEAVKDFLAFF -QDGVSANVRGNWLQGNLNKCSSLFGAAISLLFREIWKRLFPELECFFEFAHHSDDALFIYGYLE - ->YP_009665160.1 RNA-dependent RNA polymerase, partial [Oxbow virus] -MDKYREIRRKIKEHVVGSLTAVECIDYIDRLYAVRHDLVDQMIKHDWSDNKEVEEPISKILMMAGVPEKV -MTNMEKKIIPDHPSGKTLKSFFRMTPDNYKITGFLIEVIEVTVTADVDRGVREKKAKYEAGFNFLEKELN -QAFKQGELVQPYVIKFNIVAVHTDGSNISTQWPSRRNEGVVQYMRLVQAEIAYVREHLIRTEERAALEAM -FNLKFNIGGIKAQDYYIPDYPGIESIKPNLQSLVEYCKVWLSNQREFSFFEVTGRKVFAEFEKQEIMHSQ -RYKISHQPRNFLLIQCALNRGYYPATVASDRIDTRNACTEVLHFQPSTGTTALVLDMAYKYLSLDQSDLI -SFYSSKSTFNPTQSVKTPGTFKIPGSQLRDESKIMLELISKHPENRHHSDTIESIEIKNQAAQNDCVLTI -TKLLSGLEMNISEPVKVESQTAKHTYVDLVLDKFYSNELQKYMIDILKKTNAWHVGHLVRDISESLIAHS -GLKRSKYWSVHAYNNGNVIVFILPSKSLESIGSYIRFITVFRLGPGLVDLANLDTVWDDETGSWGVSKIM -SLDLNRLLALNIAFEKSLIATATWFQYYAEDQSQFPLQHALRSVFAYHFLLSVCQKMKVCAIFDNLRYLI -PAVTSLYSGFPSLIEKFFERPFKTALEVYIYNNIKALLVALAQNNKARYYSKVRLLGLTVDQSTVGASGV -YPSFLSKVIYKHYKSLISEVTTCFFLFEKGLHGNMNEEAKIHLETVEWERKFEEKEVQYGHYLVENGYRI -WDVYERPGLIAQQLFCQDVVELGALELNNVLQSKTQVVANTIISKHWDQPYFSQPRNISLKGMSGTVQED -GHLSASVTLIEAIRYLNSSRINPSLLQLYEETRGIKAQARIVRKFQRTEADRGFFITTLPTRCRLEIIED -YYDAIAKNVSEEYISYGGERKILNIQQALEKALRWAAGESYIETSTGKSIKFKRKLMYVSADATKWFPGD -NSAKFRRFTSVLHNGLPNNKLKNCVIDALTNIYKTDFFMSRKLKNYIGGMRDLKENVREFTDFFKDGYSG -EVRGNWLQGNLNKCSSLFAVGMSLLFKRVWKELFPELECFFEFAHHSDDGLFIYGYLEPVDDGTDWFLYV -TQQIHAGNHHWFNVNTEMWKTMFNLHEHILLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFVKILL -GSLSELPGLGYFDDLAAAQSRCVKALDMGACPQVAQLAIGLCTSKVERLYGTSPGMINYPITYLNVKPTD -VPIALGGSGIMSVMELATSGIGMSDKNLLKRALIGYTHKKRPHDKYILGLFKFLMNLSDETFNHERLGEF -SFTGKVQWKIFTPKSEFEYQDMYTAHYLLQWSENHPTYDYIIPRSRDNLLVYLVRKLNDPSIATAMTMQS -PLQLRFRMQAKQHMNVCRMDGEWVKFRDVLAAADHFAQTYNPQEQDLD - ->YP_009664868.1 L protein, partial [Longquan virus] -NLHDGLKNDSLKNCVIDAITNIYETEFFISRRLRGYIDQMEKKEKNVIDFLEFFKYTEGRSGLVRGNWLQ -GNLNKCSSLFGVAVSLLFKRIWSELFPELDCFIEVAHH - ->YP_009664720.1 RNA-dependent RNA polymerase, partial [Asikkala orthohantavirus] -LKFRKKEEKYGTHLVENGYRIFDLYLNPDLVEQQLYCQDVVELGAFELNNMLLSKTQVVGNSIINKHWNL -PYFSQTRNISLKGMSGSIQEDGHLSSSVTLIEAIRYLQNSRHNPSLLQLYEETRAAKAQARIVRKYQRTE -ADRGFFITTLPTRCRLEIIEDYYDAIAKNVPEEYISYGGERKILNIQQALEKALRWASGESHLELSTGKI -IPMKRKLMYVSADATKWSPGDNSAKFRRFTAVLHNGLRDDKLRNCVIDALTNIYKTDFFMSRKLKKYINN -MEVLDDHVKEFLSFFPDGNSGEVHGNWLQGNLNKCSSLFAVGMSLLFKRLWKELFPELECFFEFAHHSDD -GLFIYGYLEPVDDGTDWFMYVTQQIQAGNHHWFNVNTEMWKCMFNLHEHILLMGSIKVSPKKTTVSPTNA -EFLSTFFEGCAVSIPFHKILLGSLSDLPGLGYFDDLAAAQSRCVKALDMGASPQVAQLAIGLCTNK - ->YP_009507252.1 RNA-dependent RNA polymerase [Laibin virus] -MNRYRQLEQEIRDYVPGTLGAPECLNLLDKLYALRHDFVDEMIKHDWSDNKDAETQIATVLIQAGIPDDV -ITWMEKKVIPNHPTGKTLRQFFRMTPDNYKIEGHKIMFKEVTVTSDVEKGIREKNKKYLDGMNQLQVELD -KAFSQAKIKYKYTIEFAVVSVKTDGSNISTQWPSARNRGVVQHMRLVQAYIQYTREHLIRSTEKGALEAM -FNLKFNIGEPAMDAFRIPEFDGMQECGADFDELLHYARKWMETAHDFAFTEVTGEAVSKSFCSSEEEQKT -MYPESKKPRNFLLIQVAMQSEYSPSTILSDQIDMKAVSYELLKEEPITPVQHLARDMLYQFQQLDGNDMD -NYYRNRQLFDGTSRVPEPGTFKLGMSKLSPESKTCISQLDHISQPTYSIESLPIYRQETVAMCSSVILKI -LSDLQMTIGMDIHKRPDRTDRTIVDKILKKFSENELDKHLIRSIEKTVAWHVGHLVRDITESLIAHSGMK -RSKYWSLHAYHNGNVLLCILPSKSLEVSMSYIRFFTVFKEGYGFYDHDNIDSTTTIDGVNWVYSKIISLD -LNRLLAINISFEKALLATAVWFQYYAEDQSHFPLQASVRSVFSFHLLIAVSQKMKICALFDNLRYLIPSC -TSSYSGFVPLIDKFVKRPFKTSLEVFIYSLSRRLLVSLAQSNKLRFFSKIRLLGLTVDQSTIGASGVYPS -LLSDVVYRHYRSLISEVVTCFFLFEKGLHGTMTEEAKIHLETVEWANKFKAKEAEYGKVVVEYGYRITDL -LNKTVQVTQQLYCCDVVELAAKELNKVLDSKSQVLASSILNKHWDKPYFSQTRNISLKGMSGQLLEDGCL -AASVTLIEAIRYLTTHKHNPTLIELYNETKHIRAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYYD -AISKNVVEEYISYGGEKKILQVQSSLEKALRWASGTSTIVLSTGQEIVFRRKLMYVSADATKWSPGDNSA -KFRLFTAILHNGLRDDLLKNCVIDALKNVYETEFFMSRRLKGYIDNMDDLQANVKEFKSFFDYSEGKSGL -VRGNWLQGNLNKCSSLFGVAVSFLFKAVWTALYPELECFIDVAHHSDDALFVYGYLEPVGDGSNWFLYVT -QKIQSGELHWHAVNQDMWKAMFNLHEHILLMGSIQISPKKTTVSPTNAEFLSTFFEGCAVSMPFTKILLG -ALSDLPGLGFFDDLAAAQSRCVKALDMGASPQVAQLAIGIVNNKIERLYGTAPGMINSPLKYLEVPEEDI -PIALGGLGSNSIMELATAGIGMSDKCSLKKALTNYIYKNRGLVSYHLGLFKFLMELSEETFQHERLGEFC -FTGKVQWKIFTPKTEFEFHDLYSKNLLEKWTDEHPAYDYVIPTGRDNLLAYLVRKLNDPSIMTAMTLQSP -IQLRFRMQAKQHMKVCKYKGEWVTFRDILAAADIYAKNYQPSQRDLDLFQTLTNCTFSKEFAWRDFLNTV -ECEVIQSRRIHRPKVARTFTVKERDQSIQNPVSLVIAYRFANKQEEIRDVLQYSKYPDSLPSDLATLYEG -VKRELGLDLSERSVMKRVAPMLYKTGRSRVVIVQGNVEGTAEGICSYWLKSLSFTKAIKVHPHREVLKAV -SIFSLKEQSGDRVDLAALRICIEMWRWTKYNDLDVSEWLHYLWFEDRTLFDWVQKFQRGNIPLVDPEIQC -AGLMIANVTGNTSILQIQANRRAYSGKQYDAYCYQTYNEDTKLYEGDLRVTFNFGVDCARLEIFWDKKEY -LLETSVTSRHVLKLLMEEVTKELLNCGMRFKTEQAHTSTGMVLFKTDAGFEWGKPNIQCVIFRNCMLRTN -LRSRQASKHDFKINIVENGFKAIAQYDFESPRFLLAHAYHTLRDVRYQAVDAVGPVYFKQLYLNPIIAAG -LLENFMKGIPASIPPSAYSLIMNRAKISVDLFMFNKLLALINPNNVLNLEGLEATEEGYSTVTSLSSRDW -AQEMEIMESDIEDDEYTVDLNDLDFDCIDTESDIEHFLQDESSYTSDLVVMTEPKEVKKMRGLVKVVEPV -KLLKSWVTKGHAIEKVYNPIGIILMARYLSKHYNFGGQMVSTMDPYDLTELESIVRGWGELVFDQFDLYD -SSAKDYVIEKNLLPEDVIPDSLFSFSHTKVLLNRLFLTDRSSSFY - ->YP_009505596.1 RNA-dependent RNA polymerase [Bayou orthohantavirus] -MEKYREIHQRIRDVAPGTGSAVECMDLLDRLYAVRHDLVDQMIKHDWSDNKDVETPIGQVLLMAGVPNDI -IQGMEKKIIPNSPTGQVLKSFFRMTPDNYKITGNHIEFVEVTVTADVARGIREKRLKYEGGLRFIEELLE -LEVRKGNLQQVYRITFNVVAVKTDGSNISTQWPSRRNDGVVQQMRLVQADINYVREHLIKQDERSSLEAM -FNLKFHVTGPKLRYFSIPDYRPQPLCNPTIDGLLNYCKQWLTEEHEFVFKEVSGGSVMNVFERNEIEHRD -RYIESRKPRNFLLLQTTIQGTYLPSTISSDQCNTRIGCLEICKNTPETPVQALATDIAFKYVSLDKEEII -NYYNPRIHFKPSANVKEPGTLKLGLSQLNPLSKAILDNIGKHKSEKGLFGQTIESINISSQIQLNECSKV -IEQILSNLEINIGDLSENVPLPKKTTGVDELLGKFYENEIIKYMLGILRKTVAWHIGHLVRDITESLIAH -SGLRRSKYWSVHAYDHGNMILFILPSKSLEVAGSYIRFFTVFKDGIGLVDNDNIDSRAEIDGITWVYSKV -MSIDLNRLLALNISFEKALLATATWFQYYTEDQGHFPLQHALRSVFSFHFLLCVSQKMKICAIFDNLRYL -IPSVTSLYSGYELLIEKFFERPFKSALDVYLYSIIKSLLVSLAQNNKVRFYSKVRLLGLTVDQSTVGASG -VYPSLMSRVVYRHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFNEKEDKYGDILMKEGYT -IELIEKQNVIIEQQLFCQEVVELSAAELNKYLQAKSQVLCANIMNKHWDKPYFSQVRNISLKGMSGSLQE -DGHLSSSVTLIEAIRFLNFSQVNPNVIDMYEQTKHQKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYFDAIAKVVPEEYISYGGDRKVLNIQSALEKALRWASGISEIVTSTGKKIRFKRKLMYVSADATKWSPG -DNSAKFRRFTQAIYDGLSDNKLKCCVVDALKNIYETEFFMSRKLHRYIDNMESKSESVEDFLSFFSGGVS -APVKGNWLQGNLNKCSSLFGVAVSLLFKRVWLELFPDLECFFEFAHHSDDALFIYGYLEPEDDGTDWFMY -VSQQIQAGQYHWHAVNQEMWKSMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSVPFVKIL -LGSLSDLPGLGFFDDLAAAQSRCVKALDLGACPQLAQLAIVLCTSKVERLYGTADGMINSPISFLKVNKA -HIPIPLGGDGSMSIMELATAGIGMADKNILKNAFYSYKHTRRDGDRYILGLFKFLMSLSDDVFQHDRLGE -FSFVGKVQWKVFTPKSEFEFYDQYSATYLQTWSKQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQ -SPLQLRFRMQAKQHMKVCKLDGEWVTFREVLASADSFASSYQPSEKDLDLFNTLVSCTFSKEYAWKDFLN -EVRCEVTTARHVHRPKVARTFTVREKDQAIQNPITSVIGYKYASTVDEISDVLDSAFFPDSLSADLQVMK -EGVYRELGLDIGLPDVLKRIAPLLYKAGKSRIVIVEGNVEGTAESICSYWLKNMSLIKTIKVKPRKEVLK -AVSLYGAKDNLSIQDDLAATRICIEVWRWCKANNQSVQDWFTALYFENQTLYDWIERFRRKGVIPVDPEI -QCMGLLLYDVLGYRSVLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWDKK -EYVLETSITQKHVLRLMMEEVSKELTRCGMRFKTEQVNQTRSLVLFKTESGFEWGKPNVPCIVYKHCALR -TGLRTKQPINKEFMINIQSEGFRAIAQMDVESPRFLLAHAYHTLRDVRFQAVQAVGNVWFKTEQHKLFIN -PIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINKNNILDLNGIEETSEGYSTVTS -MSSKQWSEEMSLMSDDDIDDDEEFTIALDDIDFEQVDLDEDIQHFLQDESAYVGDLLIQTEEVEVKKIRG -VTRILEPVKLIKSWVSKGLAIDKVYNPVGILLMTRYMSKNYNFHAVPLALMNPYDLTEFESVVKGWGETV -NERFPEIDQEAQILVREQNIQPEDILPDSLFSFRHVDVLLKRLFPRDPISSFY - ->YP_009362290.1 RNA-dependent RNA polymerase [Imjin virus] -MQKYYEIRDKVHGIEPGTIGAVECINLLDSLYMVRHDLIDEMIKHDWSDNKDKETPIADVLLKAGIPAEV -INGMEKVRIVDHPAGKTLKQFFKTTPDNYKINDNVIHFIEVTVTVDVEKGIYEKNQKYQGGLNQIQQELD -KAWQQGKLQRKFEVKFDIVAVKADGSNLSTQWPSTRSQGVVQHMRMVQAEIQYVRDKLLSTQERGTFESM -FNLKFHTHTERDFKYSLPSYEDVEDCNANLDELLDHVKEWLTKKQSFSFHEVTGEKIARSFETYEKEQQG -LYPKSQKPRNFLLLPVGIQSEYKPMTIYMSQVDTKLVANIILSELPETPLQSLVKDICYSYMMLDNVEIN -NYYSPIHIFEKTKNIPQPGCLKINISLFDEGAKAILNQTKQESKGVQPIESLHPWNHSESVTCMSTIEHI -LSQLEINVGVDPDDITTYRKRSPVDTLLGRFMQSETEKYLIKVLRKTVGWHIGHLIRDITEALVAHAGLK -RSKHYSIAGFHHGNVLLVMLPSKSLEVATAHVRYIIIHKEGYGFVDPDNIDSKKIINGTCWIYSKPMTID -LNRLLALNISFEKAFLATAVWFQYFTEDQSIFPLQSTVKSVFSYQLLLAVTQKMKVCALFDNLRYLIPSC -TASYSGADSLIEKFCKRPFKSSIEVYVYNKSKELLISLAQNNKFRYYSKVRLLGLTVDQSSVGATGIYPS -LICHTVYRHYKSLISEITTCFFLFEKGLHGTMTEEAKIHLETVEWAQKFKQKESDYGKDIVENGYDLAMV -VKKEIIPEQQLYCKDVVKLATIELNKVLSSKGQVIANSIMSKYWETPYFSQTRNISLKGMSGEIQEDGHL -ASSYTLIEAIRYLNTHKRNPTVLELYAETKDIRAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYYD -SISKNVQEEYISYGGEKKILQIQGSLEKALRWASGTSVITLSTGDQITFKRKLMYVSADATKWSPGDNSA -KFRLFSSHIHDGLKDRRLKRCVIDALRGIYETEFFLSRRLRGYIDNMNYLEMNVKEFLSFFDHVEERSGL -VRGNWLQGNLNKCSSLFSVAISFLFKEVWTQIFPELDCFIEFAHHSDDALFIYGYLEPEGDASDWFLYVS -QKIQAGQLYWCSVNTEMWKTMFNLHEYLLLLGSVKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFSKILLG -ALSDLPGLGFFDDLAASQSRCVKALDMGASPQIAQIAVNLTNSKVERLYGTASGMANYPCNFINVNRDKI -PICLGGTGSSSILDLATAGIGMSDKIILKNALLGFRHKHNPEDTYILGIFKFLITLSEETFQHESLGEFS -FLGKVQWKVFTPKSEFEYASLFGNKFLNDWSLEHPVYDYIIPQVRDDLLVYLVRKLNDPSIVTAMTLQSP -LQLRFRMQAKQHMPVCKYRGSWVTFRTILNAAHEFAVEYTPSENDLDLFNTLVSCTFSKEFAWKDFIGNT -ECEVIQSRKIHRPKVARVFTVKERDQIIQNPISVVIAYKFANTTSEIKDVLDKAKFPDSLSSDLKTLHEG -VYRELGLNINDPLVMKRIAPMLYKTGRSRIVIVNGNVEGTAEAICTYWLRSISFVKQIKIIPHCEVLKAV -SIFSKSDFVRDDTQLAALRLCIDIWRWGKYNYVNLHEWFSALWFESKTLWDWLYHFQRKGVPIVDPEVQC -AGLMMYDMLRDSTTLQLHANRRAYSGKQYDAYCTQVYNEESGLYEGDLRVVFNFGVDCARLEIFWDKQTY -VLETSITSKPVLRVLMQEVTTELQRCGMKFNTIQSTGYRSVVLFKTDSGFEWGKPNVPCVIFLNCALRPN -LRNRQVLNKDFMINILDNGFKAIAQQDEESNRFQLAHAYHNLKDVRYQAIETMNNVYFTHEGKHLYINPI -IAAGLLENFMKGLPAVIPPQAYSLIMKKAKITVDLFMFNKLLSLINPKNVFNMDGIDNDYPEFSTVTSLS -TKDWAEEKELEDAEVDDDEYVVDLNDIDFDKVDTLADIQHFLQDESAYTSDLIIDTEPTEAKRVRGIVKL -VEPVKLIKSWVSKGLAIEKVYNPLAIILVTRYFSKQYSFNKVPLAQLDPYNLTELESIVRGWGELVCDRF -DELDQQAHEYILKTKEPPQNLVPDSLFSFRHAELLFSRLFLPDTVSAFY - ->YP_009362288.1 RNA-dependent RNA polymerase [Kenkeme virus] -MEEYREIHTKLREYQVGTLTAVECIDYLDRLYAVRHDLVDQMIKHDWSDNKDVEEPISKILLMAGIPENV -LTGMEKKMIPNHPSGKTLKAFFKMTPDNYKISGFTIEIVEVTVTADVDKGIREKRLKYEDGLNFIESELR -RSFNKGEILQPYKIQFSVVAVRTDGSNISTQWPSRRNDGVVQYMRMVQAEINYVREHLIKPEERSALEAM -FNLKFHIGLGYSQDYYIPIYPGMQSISPSIGDLVSYCKTWLSSPHKFVFHEVTGKKVLEQFETNEELHVK -KYDISHHPRNFLLVQCALGRNYMPATIVSDQIDTKNACLELLNFNLEVVSLQLVLDMAYKYLNMDKADLL -SYYSSKINFEMTPNVKTPGTFKLPASQLRDESKILLELIGKHEKGSSYKKKIESLEIRNQSVHNDCVGVI -TRILSDLEMNIGDPTKFDQVPTKHTYVDTILDRFYRNELQKYLIDILKKTNAWHIGHMIRDISESLIAHA -GLKRSKYWSIHAYNDGNVVLCILPSKSLESAGSFIRFITAFRLGPGLVDAGNLDSILTDETGSWAFSKVM -SIDLNRLLALNISFEKALIATATWFQYYTEDQGQFPLQHALRSVFSYLKLLSVCQKMKICAIFDNLRYLI -PAVTSLYSGYPSLIENLFERPFKSALEVYIYNTIKSLLVALAQNNKIRYYSKVRLLGLTVDQSTVGASGI -YPSFLSRVIYKHYKSLISEVTTCFFLFEKGLHGNVNEEAKIHLETVEWALKFRDKEEKYGPYLVENGYRM -HGFIQNPSLVEQQLYCQDVVELGAIELNNILLSKTQVVGNSIINKHWNLPYFSQTRNISLKGMSGSIQED -GHLSSSVTLIEAIRYLQNSRHNPSLLQLYEETRSAKAQARIVRKYQRTEADRGFFITTLPTRCRLEVIED -YYDAIAKNVPEEYISYGGERKILNIQQALEKALRWASGESHLELSTGKIIPMKRKLMYVSADATKWSPGD -NSAKFRRFTALLHNGLRDEKLKNCVIDALINIYKTDFFMSRKLKKYISSMEKLDDHVKEFLAFFPDGNSG -EVHGNWLQGNLNKCSSLFAVGMSLLFKRVWKELFPELDCFFEFAHHSDDGLFIYGYLEPVDDGTDWFIYV -TQQIQAGHHHWFNVNTEMWKCMFNLHEHILLMGSIRVSPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKAMDMGANPQVAQLAIGLCTNKVERLYGTAPGMVNHPATYLSVKHED -TPIPLGGNGAMSIMELSTSGIGMSDKNLLKKALVGYQHKRKKQDQYILGLFKFLMNLSDETFNHERLGEF -SFTGKVQWKIFTPKTEFEFFDMYTHNFLETWTDQHPTYDYIIPRRRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKMNGEWVTFRSILVSADEFANQYKPTQSDLDLFNTLTSCTFSKEYAWKDFLNN -IECEVVPVKQLQRSKVARVFTVREKDQIIQNSITSVIGYRFATTADEMSDVMDSARFPDSLASDLKTMRD -GVYRELGLDVSFPRVMKRVAPLLYKSSRSRVVIVQGNVEGTAEAICSYWLKSMSLVKTIKITPHKEVLKA -VSIFNRKEDIGQQRDLAAVRLCIELWRWAKANGAPYRDWFHSLWFEDKTFADWIDRFSRVGVPPVDPEIQ -CAALMIADIKGDMSVLQVQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGVDCARLEIFWDKQT -YILETSITQKHVLKIMMEEVSKELVRCGMRFKTEQVQSARNMVLFKTESGFEWGKPNVPCIVYRHCNLRT -SLRTTHTINHKFLITLRDDGLKAIAQYDDESPRFLLAHAFHTIRDVRYQALDSVGNIWYEHKGIKLYLNP -IINSGLMENFMKSIPAAIPPAAYSLIMKRAKLSVDLFMFNDLLKLINPNNTLDLSGIEMSPEGLSLVSSM -SSKLWSEEMSLVDEDEELDDEYTIDLDDIDFDNIDFQADIEHFLQDESIYTSDLLISTEETEVKKMRGIV -KILEPIKLIKSWVSRGLSIEKVYNQKNIILMARYLSKNFNFSKYPVINLDPYDLTELEGIVKGWGEQVVD -NFRELDIQAQALVREKGVIPEDVLPDSLFSFRHTMILLRRLFPQDTLSTFY - ->YP_009362097.1 RNA-dependent RNA polymerase [Khabarovsk orthohantavirus] -MEKYREIHDRVKDAVPGDVSAVECLDLLDRLYAVRHDVVDQMIKHDWSDNKDKEQPIGHVLLMAGVPNDV -IQGMEKKVIPGSGSGQILRSFFKMTPDNYKITGNLIEFIEVTVTADVARGMREKILKYQSGLEYIEQLLQ -VEAERGNCPPGFRIKFDVVAVRTDGSNISTQWPSRRNDGVVQTMRLIQADINFVREHLIKADERAALEAM -FNLKFHVTGPKVRTFDIPDYRPQALCEPQLYQLVDYCKKWLQQDHKFAFKEVSGQRVFNLFREEEEIHGL -KYNQSRKPRNFLLCQIAIHGPYSPSTIASDQYDTRVGCLEVLKNYPETPVQLLARDMAYKYISLDKDDII -NYYNPRIYFKQTQNVKEPGTFKLNLSSMDPKSKALLDIISKDSKKGIFGEKIDSIEIASQIQQNDCAKVI -EKILSDLEVNIGESTVSIDQPKKTTGVDDILKKFYDNELIKYMLSIVKKTTAWHIGHLIRDITEALIAHA -GLRRSKYWSVHGYAHGNVLLCILPSKSLEVAGSFIRFFTVFKDGLGLIDGDNLDSKVDVDGVTWCFSKVM -SLDLNRLLALNIAFEKTLLATATWFQYYTEDQGHFPLQHALRSVFAYHFLLSITQKMKLCAIFDNLRYLI -PAVTSTYSGYEALIRKFFERPFKSALEVYIYSSIKTLLVSLAQNNKIRFYSKVRLLGLTVDQSTIGASGV -YPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLNEEAKIHLETVEWARKFHEKEEKFGEYLVEDGYVI -DDVVTNKVIVEQQLFCQEVVELAAQELNTYLQAKSQVMASNIMNKYWDKPYFSQTRNISLKGMSGSLQED -GHLAASVTLIESIRFLNQSQYNPTVLELYEQTKKQKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YYDAIAKVVPEEYISYGGERKILNIQQALEKALRWASGESEIHSSLGLTIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQALYDGLRDDKLKNCVVDALRNIYETEFFMSRKLHRYIDSMGELSEEVLDFLSFFPNKVSA -SIKGNWLQGNLNKCSSLFGAAVSLLFKRVWSHLFPELDCFFEFAHHSDDALFIYGYLEPVDDGTDWFMYV -TQQIQAGNMHWHAVNQEMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLGIVLCTSKVERLYGTAPGMVNNPCAFLKVDRSQ -IPIPLGGDGSMSIMELATAGIGMADKNILKNSFISFKHTKKENDRYILGLFKFLMTLSDDVFQHDKLGEF -SFVGKVQWKVFTPKNEFEFYDQYSKKYLELWSEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKLSGDWVTFREVLAAADAYASNYRPTENDMELFQTLVNCTFSKEYAWRDFLNE -VQCDVLTTRQVHRPKIARTFTVKERDQSIQNPITAVIGYKYATRVDEISDVLESAIHPDSLSTDLQLMRE -GVYRELGLDISQPNVLRRVAPLLYKSGKSRVVIVQGNVEGTAESICSYWLKTMSLIKTIKVKPKKEVLKA -VSLFSKKEKIGDLTYTSAMRLCIEIWRWCKANEQDPVAWMKGLHFESKTLWQWVEFFCNKGVLPVDPEIQ -CLGLLIYDLKGQKGLLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGIDCARLEIFWDRKE -YLLETSITQRNVLKILMEEVTKELLKCGMRFKTEQVSFSRSVVLFKTESGFEWGKPNIPCIVFRNCSLRT -GLRVRHSTNKAFHITVQAEGFRAMAQLDEENPRFLLAHAYHNLKDVRFQALQAVGNIWFKMTQHKLFINP -IISAGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPKNVLNLDGIEETSEGYSTVSSM -SSTQWSEEVSLTMDDSDEDNNRPKPDYTIDLDDIDFEKINLNEDIEHFLQDESAYTGDLLIQTEETEIKK -LRGMTKILEPVKLIKSWVSKGLSIEKVYNPVNIILMTRYMSKHYDFHKKQLSLMDPYDLTEFESIVKGWG -ECVKDRFIEYDREAQRKVKEEKILPEDVLPDSLFSFRHVDILLKRLFPRDSASSFY - ->YP_009362095.1 RNA polymerase [Sangassou orthohantavirus] -MEKYREIHRILQAHHINSLTAVECIDYLDRLYAIRHDIVDQMIKHDWSDNKDAEEPIGKVLLFAGIPNNI -ITALEKKIIPDHPSGKTLKAFFKMTPDNYKITGALIEFVEVTVTTDVDKGIREKKLKYESGLKYLEQELH -TFFLKGEIQQPYKITLQVVSVRTDGSNISTQWPSRRTDGVVQYMRLVQAEISYVREHLVKAEERAALEAM -FNLKFNISSLKTQPYFIPEYKGINLIAPKIDGLLQHTKEWLSKTHSFSFYEVKGSAVFDCFNSNEATHGT -RYPMSKQPRNFLLIQCSLISSYKPATILSDQVDSRRACNQFLSIIPETPVSSLIHDIAYKYSNLTREDML -AFYSPRIHFNATQNVKEPGTFKLTATSLRPDSKAMLDILSKHNACEKKGALIESLNISSHITQSDCVSLV -TKILSDLELNITETSIHESFTTKHTYVDNVLEKFFKNETQRYLIDVLKKTTAWHIGHMIRDITESLIAHS -GLRRSKYWSLHAYNNGSVILFILPSKSLEVAGSFVRFVTAFKMGPGLVDKDNLDSVIADQGCIWGISKVM -SLDLNRLLALNIAFEKALVATATWFQYYTEDQGQFPLQHAVRSVFAYHFLLSVCQKMKICAIFDNLRYLI -PAVTSLYSGFPSLIEKLFERPFKSSLEVYIYYNIKSLLVALAQNNKARFYSKVKLLGLTVDQSTVGASGI -YPSFLSRVVYKHYRSLISEVTTCFFLFEKGLHGNVNEEAKIHLETVEWAIKFRKKEETYGEVLVEGGYTL -DDLCQHPELVEQQLYCQEAVELAAAELNLILLTKSQVVANSMLSKYWETPYFSQTRNISLKGMSGQVQED -GHLSSSVTIIEAIRYLSNSQQNPSVLKLYEETRKIKAQARIVRKFQRTEADRGFFITTLATRCRLEIIED -YYDAISKNVAEEYISYGGERKILCIQSALEKALRWASGESFIDLSNGKFIRMKRKLMYVSADATKWSPGD -NSAKFRRFTAAVHNGLPDERLKNCVIDALRQIYKTDFFMSRKLRRYIDSMETLEPHVKEFCDFFPDGHHG -EVRGNWLQGNLNKCSSLFGVAMSLLFKRLWQELFPELDCFFEFAHHSDDALFIYGYLEPVDDGTDWFLYV -SQQIQAGKLYWYSVNTEMWKSMFNLHEHILLLGSIKISPKKTTLSPTNAEFLSTFFEGCAVSIPFVKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGASPQIAQLAVALCTSKVERLYGTALGMVNHPATYLQVKHED -TPIPLGGNGSMSIMELATAEIGMSDKNLLKRALLGFMHKRQKQMVYILGLFKFLMNLSKDTFQHERLGEF -SFMGKVQWKIFIPKSEFEFSDMYTHKFLKIWSDQHATYDYIIPKGRDNLIIYLVRKINDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKLGEDWVTFREVLAAAHSFASTYEVSQIDLDLFQTLTDCTFSKEYAWKDFLNS -VHCDVIPTKQVQRAKVARTFTVREKDQIIQNSIPAVIGYKFAISIDEMSDVLDVARFPDSLAVDLKTMKD -GVYRELGLNISDPEVMKKVAPMLYKSAKSRVVIVQGNVEGTAESICAYWLKTMSLVKTIRVKPHKEVLRA -VSIFNRKEDIGQQKDLAALRLCIEIWRWSKANNAPYKEWFHALWFEDKTFSEWLDRFIRVGVPPVDPEIQ -CAALMIADIKGDLSVLQLQANRRAYSGKQYDAYCVQTYNEVTKLYEGDLRVTFNFGLDCARLEIFWEKQT -YILETSITQKHVLKIMMDEVTKELLRCGMRFKTEQVSGARHLVLYKTESGFEWGKPNIPCIVYKNCALRT -GLRTNHAINHKFMISIKDDGLRAIAQFDEESPRFLLAHAFHTIKDIRYQAVDAVSNVWFYHKGVKLFLNP -IISSGLFENFLKNLPAAIPPAAYSLIMNRAKISVDLFMFNDLLRLINPNNVLDLSGLQPTEDGFSTVSSM -SSRLWSEEVSFVDDDEEMEDEFTIDLQDVDFENIDMEADIEHFLQDESSYTGDLLIMSEETEVKKMRGIV -KLLEPVKLIKSWVSKGLSIEKVYSPVNIILMTRYLSKNFSFSDKQVSLLDPYDLTELESIVKGWGESVVD -QFETLDKEAQHLVISKGICPEDVVPDSLFSFRHTIVLLRRLFPPDSVTTFY - ->YP_009362093.1 RNA-dependent RNA polymerase [Cano Delgadito orthohantavirus] -MEKYREIHQRVKDFAPGSASALDCIDLLDRLYAVRHDLVDQMIKHDWSDNKDVERPIGQVLLMAGVPNEV -IQGMEKKIIPDSVTGQTLKSFFRMTPDNYKISGHLIEFIEVTVTADVAKGIREKKMKYESGLMYIENLLE -TEYKRGNVGFLYKISFNVVAVKTDGSNISTQWPSRRNEGVVQQMRLVQADINYVREHLIKHDERASLEAM -FNLKFHISGPKLRYFSIPEYRPQALCYPTIDNLLNYCRGWLTTDHDFVFKEVTGSNVDIHFNNNEKMHLS -HYTESRKPRNFLLIQLSIQGVYLPSTISSDQCDTRIGCLEISKNSPETPVQALASDIAYKYLSLTRDEII -NYYNPKIHFQPTQNVKEPGTLKIGLSQLNPMAKAVIENVGKHKSEKGIFGEIIESINIASQIQQNNCAKI -VEQILANLEMNAGEISTSVPSPRKTTGVDELLGKFYDNEIGKYLLQVVRKTVAWHIGHMVRDITESLIAH -SGLKRSKYWSVHAYDHGNVILFILPSKSLEVVGSYIRFFTVFKDGVGLIDSDNLDSKVDIDGVTWCFSKV -MSLDLNRLLALNISFEKSLLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLCISQKMKLCALFDNLRYL -IPSVTSLYSGYELLIDKFFERPFKSALDVYIYSITKSLLISLAQNNKVRFYSKVRLLGLTVDQSTVGASG -VYPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLSEEAKIHLETIEWARKFHDKEQRYGDTLMREGYV -IDSVLAGEVKIEQQLFCQEVVELSAQELNKYLQAKSQVLCSNIMNKHWDKPYFSQTRNISLKGMSGSLQE -DGHLAASVTLIEAIRFLNRSQTNPNVIDMYEQTKQHKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYFDAIAKVVPEEYISYGGDRKILNIQQALEKALRWASGISEITMSTGKAIRFKRKLMYVSADATKWSPG -DNSAKFKRFTQAIYDGLSDDKLKCCVVDALRNIYETEFFMSRKLHRYIDNMEEYSEAVRDFVSFFAGGVS -ATVKGNWLQGNLNKCSSLFGAAVSLLFRRVWQELFPELDCFFEFAHHSDDALFIYGYLEPEDDGTDWFMY -VSQQIQAGNFHWHAVNQEMWKSMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFVKIL -LGSLSDLPGLGFFDDLAAAQSRCVKALDLGACPQLSQLAIVLCTSKVERLYGTADGMVNSPVSFLKVDKS -HIPIPLGGDGSMSIMELATAGIGMADKNILKNAYYSYKHTRREGDKYVLGLFKFLMSLSEDVYQHDRLGE -FSFVGKVQWKVFTPKSEFEFYDQYSSTFLSTWTKQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQ -SPLQLRFRMQAKQHMKVCKLDGEWVTFREVLASADSYATAYQPTSRDLDLFNTLVSCTFSKEYAWKDFLN -EVRCEVVTTRHIHRSKVARTFTVREKDQAIQNPITSVIGYKYASTVDEISDVLDSAFFPDSLSADLQVMK -EGVYRELGLDIGLPDVLKRIAPLLYKAGRSRIVIVEGNVEGTAESICSYWLKSMSLIKTIKVKPKKEVLK -AVSLYNQRDNLGLQDDLAATRLCIEVWRWCKANEQDVKEWFNALYFEKQTLMDWVERFRRKGVVPVDPEI -QCVGLLLYDVLGYKTVLQLQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWNKK -EYILETSITQRHVLKLMMEEVSQELVRCGMRFKTEQVNHTKSLVLFKTESGFEWGKPNVPCIVFKHCVLR -TGLRTKQPINKEFMITIQSDGFRAIAQMDLESPRFLLAHAYHTLRNVRYQAVQAVGNVWFKTEQHKLFIN -PIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINKENILNLDGITETSEGYSTVTS -MSSRQWSEEVSIMSDDGIDDQEDFTISLDDINFEQIDLEEDIQHFLQDESAYVGDLLIQTEEVEVKKIRG -VTRVLEPVKLIKSWVSKGLAIDKVYNPVGIILMTRYMSKNYNFSITPLALLNPYDLTEFESVVKGWGETV -NDRFVELDLEAQRLVREKGIQPEDILPDSLFSFRHVDILLRRLFPRDPVSSFY - ->YP_009508266.1 RNA-dependent RNA polymerase [Prospect Hill orthohantavirus] -MEKYTEIHRRVKDAVPGEVSAVECLDLLDRLYAVRHDVVDQMIKHDWSDNKDTERPIGHVLLMAGVPNDV -IQSMEKKVIPGSPSGQILRSFFRMTPDNYKITGNLIEFVEVTVTADVARGVREKVAKYQAGLTYVEELLR -QEYERGNLGGSYQIKFDVVAVRTDGSNISTQWPSRRNDGVIQTMRLIQADINFVREHLIKAEERGALEAM -FNLKFHVGGPKARTFDVPDYRPQALCNPNIDGLLDHCKKWLTHEHAFAFEEVQGGKVFNAFQQEESVHKE -RYNPSRKPRNFLLMQGTIQGPYMPSTIASDQYDSRVGCLELLRNYPETPVQKLVGDMAYKYISLDKDDIL -NYYNPRAYFKPTSNVKEPGTFKLNLSAMDPKSRALLDIISKDSKKGIFGEKIDSIEIDSQIQLNDCAKVI -EKILSDLEINVGESHVQIDEPKKTTGVDDILKKFYDNEIVRYLLTIIRKTTAWHLGHLFRDITESLIAHA -GLKRSKYWSVHGYNHGNILLFILPSKSLEVAGSYIRFVTVFKDGIGLIDRDNLDSTVLIDNTAWCFSKVM -SLDLNRLLALNISFEKALLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLCMSQKMKLCAIFDNLRYLI -PAVTSLYSGFRPLISKFFERPFKSALEVYIYSIVKTLLVSLAQNNKIRFYSKVRLLGLTIDQSTVGASGV -YPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFKSKEVKYGEYIMEEGYTI -QDVLDHNVEVEQQLFCQEAVELAAFELNQYLQAKSQVMAANIMNKYWDRPYFSQTRNISLKGMSGSLQED -NHLSASVTLIEAIRYLNQSQHNPTILEMYEQTKQQPAKARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YFDAIAKVVPEEYISYGGERKILNIQQALEKALRWASGESEIQASVGHVIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQALYDGLRDDKLKNCVVDALRNIYQTEFFMSRKLHRYIDDMDDLSEFVDDFLSFFPNKVSA -MIKGNWLQGNLNKCSSLFGVAMSLLFKRVWSMLYPELDCFFEFAHHSDDALFIYGYLEPFDDGSDWFKFV -SQQIQSGHLHWYAVNTEMWKSMFNLHEYILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFVKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLAIVLCTSKVERLYGTAKGMVNNPTAFLKVDRSQ -VPIPLGGDGSMSIMELATAGIGMADKNILKNSYISYRHTRKDTDRYILGLFKFLMSLSEDVFQHDRLGEF -SFVGKVQWKAFTPKAEFEFYDQYSNRYIQLWTEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIITAMTMQS -PLQLRFRMQAKQHMKVCRLNSEWVTFREVLAAADSFASQYRPTRTDLELFQTLVNCTFSKEYAWRDFLNE -VKCDVINVRQVHRPKVARTFTVKERDQSIQNPIMAVIGYKYANSVDEISDVLDSAIHPDSLSTDLQLMKE -GVYRELGLDISDPLVLKRVAPLLYKSGKSRVVIVQGNVEGTAESICSYWLKSMTLIKTIKVRPKKEVLKA -VSLYGHKDKIGNLTYIAAMRLCIEVWRWAKVNEQSPEGWLRALYFESKTLLDWVHDFTSKGIIPVDPEIQ -CLGLLLNDLFGNKSLLQMHANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGMDCARLEIFWDKKE -YILETSITQRNVLKLMMEEVTKELLRCGMRFKTEQVNSSRSLVLFKTESGFEWGKPNVPCIVFKHCALRT -GLRTKATVKKPFNITIQANGFRAMAQLDEDNPRFLLAHAYHNLRDVRYQALQAVGNLWYKTAQHKLFINP -IVSAGLLECFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPQNVLNLDGIEETSEGYSTVTTI -SSTSWSEEVSLTMDDSDDDEPEQPNYTIDLDDIDFETINLEEDIEHFLQDESAYTGDLLIQAEDTEVKRL -RGITRVLEPIKLIKSWVSKGLAIDKVYNPIGIVLMARYMSKHFDFNKIPLALLNPYDLTEFESVVKGWGE -TVNDNFIEYDREAQKKVQEEKVLPEDVLPDSLFSFRHVDILLKRLFPRDATASFY - ->YP_009507331.1 RNA-dependent RNA polymerase [Eothenomys miletus hantavirus LX309] -MEKYTDIRRRIRDLVPGNVSAVECLDLLDRLYAARHDVVDQMIKHDWSDNKDREQPIGHVLLMAGVPNEV -IRSMERKIIPGSASGQILKSFFKMTPDNYKITGKLIEFVEVTVTADVAKGIREKTLKYQAGLDFIERLLR -QEAEKGNIQEAFQIKFDVVAVRTDGSNISTQWPSQRSEGVVQTMRMIQADINYVREHLIKNDERSTLEAM -FNLKFHIGGPKTRLFDVPDYRAQPLCEPNIQRLIDYCKEWLTLTHDFVFHEVKGSKVFSVFEEEEKAHVL -NYSKSRKPRNFLLVQTTIQGPYMPSTIASDQYDTKTGCFELLKGDVQTPLQRLVLDMAYKYVTLDKEDLI -NFYSPKSHFHQTVSVKEPGTFKLNLSSLCPQSRGLLDMVSKNHEKGIFGEVIDSIDISSQIDQNTSCKLI -EKILSSLEVNVGETTYGVPQPKKSTGVDNMFQKFYENEMHRYLINIVKKTAAWHIGHLIRDLSESLIAHA -GLRRSKYWSIHGYSHGSCMLFILPSKSLEVAGSFIRFITVFKDGIGLIDHDNLDSVLDIDGTQWCFSKLM -SLDLNRLLSLNIAFEKTLLATATWFQYYVEDQGHFPLQHALRSVFAYHLLLCVSQKMKVCALFDNLRYLI -PSVTSLYSGFVPLVKKLFERPFKSSLEVYIYVSIKTLLVSLAQNNKVRFYSKVRLMGLTVDQSTVGASGV -YPSLMTRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFKEKEQKYGSYIIEEGYVI -DEICNRTVEVEQQLFCQEVVELGAQELNHYLHAKSQVMASNIMNKYWDKPYFSQTRNISLKGMSGSLQED -GHLQASVTLIEAIRFLNQSQNNPSLIEMYERTRHHKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YYDAIAKVVPEEYISYGGERKILNIQSALEKALRWASGESEIQSSLGQVIKFKRKLMYVSADATKWSPGD -NSAKFRRFTEALYDGLQDKKIKHCVVDALRNIFSTEFFMSRKLHKYIDDMPTLSDKVEDFVSFFPNKVSA -EVKGNWLQGNLNKCSSLFGVAISLLFKRVWNALYPELDCFFEFAHHSDDALFIYGYLEPVDDGTDWYSYV -SYQIQAGNLHWFAVNQEMWKSMFNLHEHILLMGSIRISPKKTTVSPTNAEFLSTFFEGCAVSVPFVKILL -GSLSDLPGLGYFDDLAAAQSRCVKAMDMGASPQLAQLAVVLCTSKVERLYGTAAGMVNNPCAFLKTAREN -IPIPLGGDGSMSIMELSTAGIGMSDKNVLKRAFYSYRHTKKESDKYVLGLFKFLMSLSEDVFQHDRLGEF -SFVGKVQWKVFTPKSEFEFYDQYSSKYLHLWTEQHPXYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCRYDGEWITFREVLAAADSFATMYNPTPSDLELFNTLVSCTFSKEYAWKDFLNE -VRCEIINSRQVHRAKVARTFTVRERDQAIQNPITAVIGYKYAKTVDEVGDVLDSSYFPDSLSTDLQVMRD -GVYRELGLDISNPAVLKRIAPLLYKSGKSRVVIVQGNVEGTAESICSYWLKSMSLIKTIKVRPKKEVLKA -VSLYSQRENVGDQLNLAATRLCIEVWRWCKVNEQDPHDWLKALYFEDKTLMEWVEKFRSKGVISVDPEIQ -CMALLLYDIKGYMGILQLQANRRAYSGKQYDAYCLQVYNEETKLYEGDLRVTFNFGLDCARLEVFWEKQE -YILETSITQRHVLKIMMEEVTKELLRCGMRFKTEQVSHTRSLVLFKTESGFEWGKPNVPCIVYKNCMLRT -GLRTRQPINQEFLISVQANGFRALAQADEENPRFLLAHAYHTLKDIRYQALQAVGNVWYKSAQHKLFINP -IIASGLLENFMKGLPAAIPPAAYSLIMNRAKISVDLFMFNELLALINPSNILNLDGIEETSEGYSNVTSM -SSSQWSEEVSLTLGDDDDDPDELSYTINLDDIDFEKLDLEEDIEHFLQDESAYTGDLLIQTDEMEVKRMR -GLIRILEPIRLIKSWVSKGLAIDKVYNPVGIILMARYMSKHYDFSKTPLSLMNPYDLTEFESVVKGWGEC -VNDRFLDYDSEAQRKVREEKLLPEDVLPDSLFSFRHVDILLKRLFSRDSVSSFY - ->YP_009362282.1 RNA-dependent RNA polymerase [Maporal virus] -MEKYREIHQRVKDQAPGTVSALECIDLLDRLYAVRHDLVDQMIKHDWSDNKDTERPIGQVLLMAGIPNDI -IQGMEKKIIPNSPSGQILKSFFRLTPDNFKITGTLIEFIEVTVTADVARGIREKKLKYEEGLKYIESLLA -IETKRGNLSDNYRITFAVVAVKTDGSNISTQWPSRRNDGVVQHMRLVQADINYVREHLIKPDERASLEAM -FNLKFHVSGPKLRFFNIPDYRPQQLCHPHIENLVNYCKTWLTEEHEFVFKEVTGSNVAQVFDKNEKEHMQ -RYSESRKPRNFLLLQLTVQGPYIPSTISSDQCDTRLGCMEISKATPETPVQALALDIAYKYLSLTRDELI -NYYNPKVHFQASSNVKEPGTMKIGLSQLNPVSKAVLDNIGKHKSEKGLFGETIDSINIASQIQLNECSKI -VEQILSNMEINIGEASSCIPSPAKTTGVDELLSKFYDNEIGKFMLSILRKTAAWHLGHLVRDITESLIAH -SGLKRSKYWSVHAYDHSNVILFILPSKSLEVVGSYIRFFTVFKDGIGLVDPDNLDSKAEIDGVIWCYSKV -MSIDLNRLLALNIAFEKSLLATATWFQYYTEDQGHFPLQHALRSVFSFHFLLCVSQKMKLCALFDNLRYL -IPSVTALYSGYELLINKFFERPFKSSLDAYLYSIMKSLLINLAQNNKIRFYSKVRLLGLTVDQSTVGASG -VYPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLSEEAKIHLETIEWARKFNEKEGKYGDILMREGYN -IDSLLRGNVEVEQQLFCQEVVELCAQELNKYLQAKSQVLCANIMNKHWDKPYFSQTRNISLKGMSGALQE -DGHLSASVTLIEAIRYLNRSQINPNVIDMYEQTKHLKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYFDAIAKVVPEEYISYGGDKKILNIQNALEKALRWASGSSEIITSTGKTLKFKRKLMYVSADATKWSPG -DNSAKFKRFTQAIYDGLTDEKLKCCVVDALRNIYETEFFMSRKLHRYIDSMEDYSEAVNDFISFFSGGVS -ANVKGNWLQGNLNKCSSLFGAAISLLFREIWKELYPELECFFEFAHHSDDALFIYGYLEPEDDGTDWFLY -VSHQIQAGNYHWHAINQEMWKSMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFVKIL -LGSLSDLPGLGFFDDLAAAQSRCVKALDLGACPQLAQLAIVLCTSKVERLYGTADGMVNSPIAFLKVKKS -NVPIPLGGDGSMSIMELATAGIGMADKNILKNAYISYKHTRRDGDRYVLGLFKFLMSLSEDVFQHDRLGE -FSFVGKVQWKVFTPKNEFEFYDQYSQTYLTNWTRQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQ -SPLQLRFRMQAKQHMKVCKLGGEWVTFREILAAADSYATNYKPTEKDLDLFNTLVSCTFSKEYAWKDFLN -EVRCEVVTARHVHRPKVARTFTVREKDQVIQNPITSIIGYKYATTVDEISDVLDSSFFPDSLSADLQVMK -EGVYRELGLDIGLPEVLKRIAPLLYKSGRSRIVIVEGNIEGTAESICSYWLKSMSLVKTIKVRPKKEVLR -AVSLYNQKENIGLQDDLAASRLCIEIWRWCKANEQNTQEWLSALYFEKQTLMDWIERFRRKGVVPTDPEI -QCMGLLLYDVLGYKGVLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWSKK -EYILETSITQRHVLKLLMDEVSKELVRCGMRFKTEQVNQTRSLVLFKTESGFEWGKPNVPCIVYKHCVLR -TGLRTKQPINKEFMISVQAEGFRAIAQLDVESPRFLLAHAYHTLRDVRYQAVQAVGNVWFKTEQHRLFIN -PIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINRHNILNLDGIEETSEGYSTVTS -MSSKQWSEEMSLMSDDDIDDVEDFTIALDDIDFEQINLDEDIQHFLQDESAYVGDLLIQTEDVEIKKIRG -VTRVLEPVRLIKSWVSKGLAIDKVYNPIGIILMARYMSKVYNFGAAPVALLNPYDLTELESVVKGWGEIV -NDRFLELDREAQKLVREKGVQPEDVLPDSLFSFRHVDVLLRRLFPRDPVSTFY - ->YP_009362284.1 RNA-dependent RNA polymerase [Anjozorobe virus] -MEKYREIHRNLKEFATNSLTAVECIDYLDRLYAVRHDLVDQMIKHDWSDNKDVEEPIAKVLLFAGIPNNV -ITALEKKIIPDHPSGKTLKAFFRMTPDNYRIQGNLIEFVEVTVTSDVDKGTREKRLKYELGFNYLELELH -KYYLKGELPQPYKIRFQVVAVRTDGSNISTQWPSPRNDGVVQYMRLVQAEISYVREHLIKNEERAALEAM -FNLKFNISNVKNQPYYIPEYRGIDLIFPSIDDLVAYSQDWLSKARNFSFFEVKGSAVFDCFTENEQSHMQ -TYPMSRHPRNFLLIQCSLLNAYKPSTVLSDQIDSRRACIQVLNLLPETPTSCLVHDMAHRYLNLTREDMI -SFYSPRIQFVPTQNVKEPGTFKLTANMMRPESKIALDMISSHEPGANKGQLIESLNISSHIVQSDAVGLI -SRILSDLELNISEPSGSEPIIAKHTYVDGVLDKFFQNETQKYLIDILKKTTGWHIGHLIRDITESLIAHS -GLRRSKFWSLHAYNNGSIILFILPSKSLEEAGSFIRFVTAFKVGPGLVDKDNLDAVIVDGDVTWGISKIM -SIDLNRLLALNIAFEKALIATATWFQYYTEDQGQFPLQHSIRSVFAYHLLLAVCQKMKLCAIFDNLRYLI -PAVTSLYSGFPSLIKKLFERPFKSALEVFIYYNIKSLLVALAQNNKARFYSKVKLLGLTVDQSTVGASGI -YPSFMSRVVYKHYRSLISEVTTCFFLFEKGLHGNVNEEAKIHLETVEWAIKFKEKELRYGEAMVEHGYTI -GELVETPDLVEQQLYCQDAVELAAMELNKLLVTKSQIVANSILSKYWEEPYFSQTRNISLKGMSGQVQED -GHLSSSVTIIEAIRYLSNARHNPNVLQLYEETRQIKAQARIVRKYQRTEADRGFFITTLPTRCRLEIIED -YYDAISKNVAEEYISYGGERKILSIQAALEKALRWASGESYIELSNGKFIRMKRKLMYVSADATKWSPGD -NSAKFRRFTAALHNGLPDNKLRNCVIDALKNVYKTDFFMSRKLRAYIDSMDGLESNVKEFLEFFPDGHCG -EVRGNWLQGNLNKCSSLFGVGMSLLFKEIWSQLFPELDCFFEFAHHSDDALFIYGYLEPSDDGTDWFLFV -SQQIQAGKLHWFSVNTEMWKSMFNLHEHILLLGSIKISPKKTTLSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQTRCVKAMDLGASPQIAQLAVALSTSKVERLYGTAVGMVNYPGSYLRTKHVE -TPIPLGGSGAMSIMELATAGIGMSDKNLLKQSLVGYVHKHQKHTTYILGLFKFLMNLSDETFQHERLGQF -SFIGKVQWKIFTPKSEFEFSDMYSSKFLKIWTEQHPTYDYIIPKGRDNLLIYLVRKINDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCRLDNEWVTFREVLAAANSFAESYEPTQNDIDLFKTLTECTFSKEYAWKDFLNN -VNCDVIPVKQVQRAKVARTFTVREKDQVIQNNIPAVIGYKFAITVDEMSDVLDSARFPDSLAVDLKTMKD -GVYRELGLDISLPDVMKKVAPMLYKSSKSRVVIVQGNVEGTAEAICAYWLRSMSLIKTIRVKPHKEVLKA -VSIFNRKEDIGQQKDLSALKLCIEVWRWAKANNAPYRDWFHALWFEDKTFSEWLDRFIRVGVPPVDPEIQ -CAALMIADVKGDRSILQLQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGVDCARLEIFWDKRT -YILETSITQKHVLKIMMDEVSKELLRCGMRFNTEQVNSVKHLVLFKTESGFEWGKPNVPCIVYKNCALRT -GLRTNQAINHKFMITIKDDGLRAIAQYDEDSPRFLLAHAFHTIRDIRYQAVDAVSNVWYIHKGIKLFLNP -IISSGLFENFMKNIPAAIPPAAYSLIMNRAKISVDLFMFNDLLRLINPNNTLDLTGLEITGEGFSTVSSL -SSRLWSEEMSLVDDEEEIDDEFTIDLQDVDFENIDLEADIEHFLQDESAYTGDLLISTEETEVKKMRGII -KLLEPVKLIKSWVSKGLCIEKVNNPVNIVLMTRYISKNFNFANKQVSLLDPYDLTELESIVRGWGEIVVD -QFDSIDKEAQSLVSNKGIIPEDVIPDSLFSFRHTMVLLRRLFPQDSVSTFY - ->YP_009362057.1 polymerase [Cao Bang orthohantavirus] -MEKYREIHIKLREIQVGSLPAVDCIDYLDRLYAVRHDLVDQMIKHDWSDNKDVEEPISKILLMAGVPENI -ITGMEKKVIPDHPQGKTLKSFFRMTPDNYKVTGFKIEIVEVTVTADVDKGIREKRLKYEAGFVFIEQELK -NAFHRGELLQPYQIYFNVVAVRTDGSNISTQWPSRRNDGVVQYMRMVQAEINYVREHLIKPDERAALEAM -FNLKFHIGGLKIQNYYIPEYMGIPSIDPSLDALVDYCRNWLSSSHKFVFHEVGGKAVLEEFEINEVEHKK -KYPISNHPRNFLLLQCSLTRGYYPATIASDQIDTRNACLEILRFQPATGVTSLVLDMAYRYLNLDKIDMI -AFYSPKSTFEGTPNVKTPGTFKLPSSQLRDESKVMLELISKHEKGSTHGKKIESIDINSQAAQDDSLSLI -TKILSDLEMNIGEPTKFEQASTKHTYVDTVLDKFYQNELQKYLIDVLKKTNAWHIGHLIRDMTESLIAHS -GLKRSKYWSIHAYNNGNVLLCILPSKSLESAGSFIRFITVFRFGPGLVDAANLDYLLEDESGSWAVSKIM -SLDLNRLLALNIAFEKSLVATATWFQYYTEDQGQFPLQHALRSVFAYHMLLSVCQKMKLCAIFDNLRYLI -PAVTSQYSGFPSLIANLFDRPFKTALEVYVYHTIKSLLIALAQNNKARYYSKVRLLGLTVDQSTVGASGV -YPSFLSRVIYKHYKSLISEVTTCFFLFEKGLHGNMNEEAKIHLETVEWAYKFREKEEKYGSHLVENGYRI -YDLISRPELVAQQLYCQDVVELGAQELNHLLISKTQVVGNSILNKHWDQPYFSQTRNISLKGMSGMLQED -GHLASSVTLIEAIRYLQNSRINPSLLQLYEETRNAKAQARIVRKFQRTEADRGFFITTLPTRCRLEVIED -YYDAIAKNVPEEYISYGGERKILNIQQALEKALRWAAGESHIELTTGKTIPMKRKLMYVSADATKWSPGD -NSAKFRRFTAILHNGLRDNKLRNCVIDALRKIYKTDFFMSRKLRRYINQMEEHDPHIKDFLAFFPDGHSG -EVHGNWLQGNLNKCSSLFAVGMSLLFKRIWKELFPELDCFFEFAHHSDDGLFIYGYLEPIDDGTDWFLYV -TQQIQAGNHHWFNVNTEMWKSMFNLHEHILLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGASPQVAQLAIGLCTNKVERLYGTAPGMVNHPASYLSVKHSD -TPIPLGGNGAMSVMELATSGIGMSDKNLLKRALLGYQHKKRKQDQYILGLFKFLMNLSDETFNHERLGEF -SFTGKVQWKVFTPKSEFEFYDMYTHHFINTWSEQHPTYDYIIPRSRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKMSGDWVTFRAVLAAADSFASDYEPTQQDMDLFVTLTSCTFSKEYAWKDFLNN -VQCDVIPTKQVQRPKVARVFTVREKDQVIQNSITSVIGYKFAVSVEEISDVMEAARFPDSLSSDLKTMRD -GVYRELGLDIGFPKIMKRVAPLLYKSSKSRVVIVQGNVEGTAESICSYWLRSMSLVKTVKITPHKEVLKA -VSIFNRKEDIGRQRDLAALRLCIEVWRWAKANEAPYRDWFHALWFEDKTFAEWLDRFVRVGVPAIDPEIQ -CAALMIADIKGDMSVLQMQANRRAYSGKQYDAYCVQKYNEETKLYEGDLRVTFNFGLDCARLEIFWDKGT -YILETSITQKHVLRIMMEEVTKELLRCGMRFKTEQVQSTKSLVLFKTDAGFEWGKPNVPCIVYRNCNLRT -NLRTNYTINHKFMITIRDNGLKAIAQYDDESPRFLLAHAFHTIRDVRFQGVDSVSNVWFNHKGIKLYLNP -IINSGLLENFMKSLPAAIPPAAYSLIMKRAKISVDLFMFNDLLKAINPQNVLDLSGIQMTSEGYSTVSSV -SSKLWSEEVSLMDEDEDLEDEYTVDLDSIDFDNIDFQADIEHFLQDESAYTSDLLISTEDTEVKKMRGII -KVLEPVKLIKSWVSRGLLVEKVYNQKNIILMARYLSKNFNFQRFPVATLDPYDLTELEGVVKGWGEQVLD -NFDELDRQAQMLVRDKGVVPEDVLPDSLFSYRHTMVLLRRLFPQDTLSTFY - ->YP_009362032.1 RNA-dependent RNA polymerase [Nova virus] -MKRYLDLEREIRQMPLSSLSATECIGLLDQLYAVRHDLVDEMIKHDWSDNKDKETPIVTVLMQAGISDNS -LGLIEKVVIPDHPTGKNLGAFMKTTPDNYKIEGSKITFIEVTVTSDVDKGLKEKYQKYGSGMNTLQKELD -KLWRRGELAKQYTIVFNVVSVKNDGSNLSTQWPSRRNQGVVQHMRLLQALIQQVREKLIKNEEKSILEAM -FNLKFNIGIQFVETFNIPVFQGMQYEQIDCEALLTYVKNWLSKDRKFAFNEVSGQAVKASFKTYEDLQVA -SYKQSKKPRNFLLLQVAVQSDYKPATIVSDQLDTRYLTRILHLEGADTPVQHLAKDMMMEFMQLEQVDIV -SFYGNKQSFERTHKVPEPGTFKINMSKLHPDSRSFLETLTKDKEKIKRISGVYKPDEIESVSIRQNSEYN -SCVAVVESILTSLTTQLGNFEAEQRINPARTSIDRVLLKFMKNEITKYMVEVLRKTVCWHIGHLTRDITE -ALIAHSGLKRSKYWSFHAFNNGNVGLFILPSKSLEVSGSYIRYFTVFKDGFGIVDHDNVDSIKMVDGVRW -IYSKVMSIDLNRLMALNVAFEKALVATAVWFQYYVEDQSHFPLISMIRNIFSVHYLFAITQKMKLCALFD -NIRYLIPACTSQYSGYDSLIEKFVNRPFKSAIEIYVYDRAKRLLISLAQNNKFRYYSKVKLLGLCVDQST -VGASGIYPSLFGCAIFKHYRSVISEATTCFFLFEKGLHGTMTEEAKIHLETVEWANKIKEKEERYGKDKV -ENGYSLYEVMAGEVQVEQQLYCKDVVLLAASELNKVLIPKSQSVLSAIVNKHWDKPYFSQVRNISLKGMS -GQLQEDGHLAASVTLIEAIRYLSTHSKNPSLMELYNETRHIRAQARIVRKHQRTEADRGFFIVTMPTRVR -LEIIEDYYDALAKNVNEEYISYGGEKKILQIQTSLERALRWASGTSQLVLSNDKRILFKRKLMYVSADAT -KWSPGDNSAKFRLFTAAVSNGMKDDLLKNCVIDALRNIYETEFFLSRRLRGYLDNMEVKSESVKEFLDFF -DFQKERSGLVRGNWLQGNLNKCSSLFAVGISFLFKKIWSMLFPELDYFIEVAHHSDDALFIYGYLEPIDD -GSDWFMYVSHKIQAGFYYWHAVDHDMWKTMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVS -IPFTKILLGALSDLPGLGFFDDLAAAQSRCVKALDMGANPQIAQLVVGLVNNKVERLYGTAPGMVNNPAR -YLNIPPEDIPICLGGTGPGSIMELATAGIAMADKCSLRKALQTYQHKASKSASYHLGLFKFLMLLSEDVF -NHDTLGEFCFTGKVQWKIFTPKSEFEFHDLYSPTIVKNWTDEHPSYDYVVPTRRDDLLIYLVRRLNEPSI -MAAMTLQSPIQLRFRMQAKQHLNVCRYKSEWVSFRQLLAAADVFAQDYKPSQNDIDLFQTLCNCTFSKEF -AWRDFLNTVDCEVLPQKRIHRPKVARTFTVKERDQAIQNPISVVIAYRFAKKPEEIRDVLKNAKFPDSIS -NDLDVLHKGVHRELGLDISDVAVMKRVAPMLYKSSRSRIVLVNGNVEGTAESICSYWLKDISMMKTIKVR -PHREVLKAVSIFGHKDTSGDKKDLAALRLCIEVWRWAKHNDADVREWFHSLWFEDRTFMDWVNKFQTKGL -PIVDPEIQCAGLMIADLTGMNLSYRCRPIGEAYSGKQYDAYCIQTYNEEKRQYEGDLRVTFNFGTDCARL -EIFWDKQDYLLETSITSRHVLKIMMEEVSKELQGCGMKFKTEQRSHTTAVVLFKTDAGFEWGKPNVPCVV -FTKCVLRTSLRTHTAVKHDFMIKIVDNGFRAIAQYDFDSPRFMLAHCYHILKDVRYQAIDTVGAIYYGRR -NKLYLNPIISAGLFENFMKGIPAVIPPTAYSLIMNKAKISVDLFMFNKLLAMINPDNVLNLEGLHPAESG -YSTVTSISSTLWSEEMELEEAEVEDDEYVIDLDELDFQDISYQEDIEHYLQEETMYGSDLVIQSESLEVS -RVRGIVKLNDPIRLIKSWVSKGLLIEKVFDPTAIILITRYFSKKYRFGRQQVSTMDPYDLTEFEAVVRGW -GELVFDQFDEADRQAKEYAIKYNPSPEELIPDSVFSFRHTELLLNRLFFKDKLTSFY - ->YP_009361853.1 RNA-dependent RNA polymerase [Bowe virus] -MEKYREIHGKLKEKAVGSMTAVECIDYLDRLYAVRHDLVDQMIKHDWSDNKDQEEPIAKVLIMAGIPSHI -ISWMEKKIIPDDPSGRNIKSFLKLTPDNYRILGFTIEFVEVTVSADIDRAIKEKYNKYEPGLKFIESELR -YAFNKGEVLQPYKINFSVVAVKTDGSNISTQWPSSRNEGVVQYMRMVQAEIAYVREHLIRPEERGALEAM -FNLKFHIGVNTTQSCYIPLYPGIESINPRIDELVDYCKNWMKISHKFAFHEVTGKGVQDIFEYNEQLHSK -KYKVSRHPRNFLLIQCSLMRSSHPATIASDRIDTRNACHEMMKFQPATATTAIVLDMAYKFLNLEREDIV -AYYSNQTSFNATSNVKQPGTFKLTSSQLRDESKIMLERLGKHEKESLHGVTIESLEIQSNVVQEDCVGII -TKILSSLEVNIDEPVMTQQSSTKHTYVDTVLDKFMVNELQKYLIDILKKTTAWHIGHLIRDITEGLIAHS -GLKRSKYWSMHAYNNGNLLLCILPSKSLESIGSYIRFVTVFRLGPGLVDPGNLDHIYEYEDGTWAVSKIM -SLDLNRLLALNVAFEKALIATATWFQYYTEDQGQFPLQHASRSVFAYHLLLSVCQKMKVCALFDNLRYLI -PSVTSSFSGYPSLIENLFDRPFKSAIEVYVYNIAKTLLISLAQNNKARFFSRVRLLGLTVDQSTVGASGV -YPSLLCRVIYKHYRSLISEVTTCFFLFEKGLHGNMNEEAKIHMETVEWAQLFKKKEEEFGPYLVENGYKL -RDLYHFPDLVTQQLYCQDVIELAAIELNTILLSKSSVVANAIISKHWDKPYFSQTRNISLKGMSGNIQED -GHLSASVTLIEAIRYLQNSRDNPTLIRLYEETRDKKAQARIVRKYQRTENDRGFFITTLPTRCRLEIIED -YYDAIAKNVSEEYISYGGEKKIINIQLALEKALRWAAGESSIELSTGEIIRFKRKLMYVSADATKWSPGD -NSAKFQRFTGMLHDGLSDKRLKHCVIDALKNIYRTDFFISRKLKRYIENMTELDENVKQFLSFFSNGHSG -EVRGNWLQGNLNKCSSLFAVALSLLFKRVWKEMYPELDCFFEFAHHSDDGLFIYGYLEPVGDGTDWYMYV -TQQIQAGHHHWYGVNTDMWKCMFNLHEHILLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFVKILL -GSLSELPGLGYFDDLAAAQSRCIKALDMGANPQVVQLAIGLCTGKVERLYGTAPGMINFPSTYLAIKHTD -VPIPLGGNGAMSVMELATSGIGMSDKNLLKRALIGYQHKKKKQDKYILGLFKFLMNLSDETFNHERLGEF -SFTGKVQWKIFTPKSEFEFYDLYSPTFLKEWTTQHPTYDYIIPRSRDSLIVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKYEGEWVTFREILAAADSFASKYELTGNDYDLFQTLVSCTFSKEYAWKDFLNN -IECEVIPVKQVQRPKVARVFTVREKDQVIQNNMQSVIGYKFAVTVEEINDVIKSSRFPDSLASDLLTMKE -GVYRELGLDISNSSVMKRVAPLLYKTSKSRVVIVQGNVEGTAEAICSYWLRIMSFIKTVKITPHKEVLKA -VSIFNRKEDIGYHKDLAALRLCVEVWRWCKANDAPYIDWFHSLWFEDKTFMEWLDRFVRVGVPLVDPEIQ -CAALMIADIKGDFSVLQMQANRRAYSVFLFTSRSLLLNNEVTKLYEGDLRVTFNFGVDCARLEIFWDKKS -YILETSITQKHVLKIMMEEVSKELLKCGLRFETEQVNGVRALVLFKTESGFEWGKPNVPCIVYKHCQLRT -SLRTHLTVNHRFIITIRDNGLKAIAQYDDESPRFLLAHAFHTIRDVRYQGIDAVGNVWFEHKMIKLYLNP -IINSGLMENFMKSLPAVIPPAAYSLIMKKAKVSVDLFMFNDLLQKINPSNVLNLKGIQMTTEGLSTISSI -SSTQFSEAYTGSNDSDHSDLNEDSDDEDYTIDLDDIDFDKIDFQADIEHFLQDETTYTSDLLISSEDTSV -KKMRGIIKVLEPVHLIRSWVTRGLSIEKVYNKVNVILMTRYLSKTFNFGRVPVTNLDPYDLTELEGIVKG -WGEQVLDEFSLIDEMAMKLVREKGIVPEDVLPDSLFSFRHTMVLLRRLFPQDTVSTFY - ->YP_009361849.1 RNA-dependent RNA polymerase [Montano orthohantavirus] -MEKYREIHQRVKEITPGEASAIECLDLLDRLYAVRHDVVDQMIKHDWSDNKDVERPIGQVLLMAGIPNDV -IQGMEKKIIPSSPTGQILRSFFKMTPDNFKITGDTIEFVEVTVTADVARGIREKKLKYEGGLKYIEELLR -IEYERGNLPHIFHITLEVVAVKTDGSNISTQWPSRRNDGVVQHMRLIQADINYVREHLINKDERPSLEAM -FNLKFHVTGPRLRYFNIPDYKPQSLLSPDIDELVDYCKRWLTEDHSFVFKEVNGQSVMSCFEKYEESHKS -LYRESTKPRNFLLMQMTIQGPYIPSTISSDQCDTRIGCLEILKNIPETPVQSLAMDMAHKYMELGKDELV -NYYNPKVHFQPTLSVKEPGTFKLGLSQLGPFSKAILDLTGKHKSDKGLFGEKIESINIGSQLQQNECSRV -VENILSNLEINVGETTFTIPGPRKTTGVDNLLGKFYENELGKYLLTVLRKTAAWHIGHLVRDVTESLIAH -SGLKRSKYWSIHAYDHGGVVLFILPSKSLEVVGSYIRFFIVFKDGIGLIDPDNLDSKSDIDGVVWCFSKV -ISLDLNRLLALNIAFEKSLIATATWFQYYVEDQGHFPLQHALRSVFSFHMLLCMSQKMKICALFDNLRYL -IPSVTSLYSGYELLIEKFFERPFKSSLDVYLYSTIKSLLISLAQNNKVRFYSRVKLLGLTVDYSTVGASG -VYPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLNEEAKIHLETVEWARKFNLKEEKYGDILMKEGYR -ISSVVSGEVQVEQQLFCQEVVELASLELNKYLQAKSQVLCANLMNKHWDRPYFSQTRNISLKGMSGALQE -DGHLAASVTLIEAIRFLNRSQINPNVIDMYEQTKHHKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYYDAIARVVPEEYISYGGDRKILNIQTALEKALRWASGTSEITTSTGNTIKFKRKLMYVSADATKWSPG -DNSAKFRRFTQSLYDGLQDDKLKCCVVDALRHIYETDFFMSRKLHRYIDGMEDHSDAVKDFLSFFKDGVS -AEVKGNWLQGNLNKCSSLFGAAISLLFRRVWGELFPELDCFFEFAHHSDDALFIYGYLEPEDDGTEWFLY -VSQQIQAGNYHWYSVNQEMWKSMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFIKIL -LGSLSDLPGLGFFDDLAAAQSRCVKAMDLGASPQVSQLAIVICTSKVERLYGTADGMVNSPTAFLKVSKA -QVPIPLGGDGSMSIMELATAGIGMADKNILKNAYFSYKHTRREKDKYVLGLFKFLMSMSEDIFQHDRLGE -FSFVGKVQWKVFTPKSEFEFFDQYSATYLKEWTNQHPVYDYIIPRSRDNLLVYLVRKLNDPSIVTAMTMQ -SPIQLRFRMQAKQHMKVCRLDGEWVTFREILAAADSYASQYNPSEKDLDLFNTLVSCTFSKEYAWKDFLN -EVRCEVVTSKHIHRAKIARTFTVREKDQAIQNPITAVIGYKYANTVDEISDVLDSAIFPESLSSDLQVMK -DGVYRELGLDISLPDVLKRIAPLLYKAGRSRIVIVEGNVEGTAESICSYWLRSLSLVKTIKVKPKKEVLK -AVSLFNRKEDIGLQDDLAATRICIEIWRWCKANDQNVQDWLNSLYFEKQTLMDWVERFRRKGVVPADPEI -QCMALLLNDVLGYKGVLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWDKK -EYILETSITQRHVLKLMMEEVTKELVRCGMRFKTEQVNSARSLVLFKTESGFEWGKPNIPCIVFKHCALR -TGLRTKQPINHEFLISTQADGFRAIAQMDLDSPRFLLAHAYHTLRDVRYQAIQAVGNVWFQTAQHKLFLN -PIISSGLLENFMKGIPAAIPPAAYSLIMNKAKISVDLFMFNELLALINNHNILNLDGIEETSEGYSTVTS -MSSRQWSEEMSLMADDDIDDQEDFTVDLDDIDFEQINLDEDIEHFLQDESAYVGDLIIQTEDTDIKKIRG -ITRVLEPVKLIKSWVSKGLAIDKVYNPIGIILMARFMSKNYNFSKVPLALMNPYDLTEFESVVKGWGETV -NDRFREFDDEAQRLVREKNIIPEDILPDSLFSFRHVDVLMKRLFPRDPVSSFY - ->YP_009361848.1 RNA-dependent RNA polymerase [Bruges virus] -MEKYREIHHKVKAHAVGSLTAVECIDYLDRLYAIRHDLVDQMIKHDWSDNKDREEPIAKVLLMAGIPEGV -ITGLEKKVIPDHPTGKTLKAFFKMTPDNYKIQGNKLEFIEVTVTADVDRGILEKKQKYEAGFAFLEKELQ -QCYQRGELRQVIKIEFNVVAVKTDGSNISSQWASRRCDGVVQYMRMVQAEINYVREHLVRPEERAAMEAM -FNLKFHIGGLKTQAYYVPDFPGIDSMGPDLSKLVKYCGEWLACDHKLVFHEVTGKKVFNVFGEMEQLCLS -RYNRSRHPRNFLLIQCSLRSAYTPSTIASDRIDTKFACTELLACEPTTPTAAIVLDMAYRFMNLEKEDMM -SYYSSKATFEPTRAVKTPGTFKLPSSQMRLEAKAFLDSLSHHEKESKHQAKLESLDISCRATQDECTAVI -TKILSDLEMNITDPSRLERVTVKHTYVDKVLEKFFQNELQKYFIDIIRKTQCWHIGHLVRDITESLIAHA -GLKRSKYWSLHGYDHGNVLLCILPSKSLEVSGSFIRYVTAFKLGPGLVDLENLDTVLENEGTVWAISKVM -SIDLNRLLALNIAFEKALIATATWFQYYTEDQGQFPLQHALRSVFAYHFLLSVCQKMKICAIFDNLRYLI -PAVTSLYSGYPSLIEKLLERPFKSSLEVYIYTCIKGLLVALAQNNKARFYSKVRLLGLTVDQSTVGASGV -YPSFLSRVIYRHYRSLISEVTTCFFLFEKGLHGNMNEEAKIHMETVEWARKFEEKEQLYGKDLVEHGYYL -DELKKKPELADQQLYCQDVIELSAYELNKLLISKSQIVANTILSKHWDYPYFSQTRNISLKGMSGVVQED -GHLTSSVTIIEAIRYLNQSKNNPTLLQLYEETRHQKAQARIVRKYQRTEADRGFFITTLATRCRLEIIED -YYDAIAKNVPEEYISYGGEKKILNIQMALEKALRWASGESFIELSNGHVIHMKRKLMYVSADATKWSPGD -NSAKFRRFTACLHDGLKDAKLKHCVIDALRKVYHTDFFMSRKLKKYVSHMTTHDSNVAEFLSFFKNGYSG -EVRGNWLQGNLNKCSSLFAVAISLLFKEIWKQLFPDLDCFFEFAHHSDDALFIYGYLEPKDDGTDWFLYV -TQQIQAGNLYWHAVNSEMWKSMFNLHEHLMILGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGFFDDLAAAQSRCVKALDMGASPQVAQLAVTLCTNKVERLYGTAVGMVNHPSAYLAVDYSD -VPIALGGNGAMSIMELATAGIGMSDKNLLKRALVGYNHKRRNQDIYTLGLFKFLMSLNDETFTHERLGEF -SFMGKVQWKIFTPKSEFEFSDLYTQNFLHIWTEQHPTYDYIIPRSRDNLLVYLVRKLNDPSIMTAMTMQS -PLQLRFRMQAKQHMKVCRYAGDWVTFREVLAAADSYASNYTPTQEDLDLFQTLVACTFSKEYAWKDFLNN -VECDVIPTRQIQRSKVARTFTVREKDQVIQNQISVVIAYKFAVTVDEVSDVLKAARFPDSLASDLKTLRE -GVYRELGLDVAQQSVMKRIAPMLYKAARSRIVIVQGNVEGTAEAICAYWLRSMSLIKTIRITPQKEVLRA -VSIFNRKEDIGHQKDLAALRLCIEIWRWAKSNQAPYKDWFNSLWFDDKTLDEWLARFVRVGPPLIDPEIQ -CAALMVADIRGDMSLLQIQANRRAYSGKQYDAYCIQTYNEETKLYEGDLRVTFNFGLDCARLEIFWESQT -YILETSITQKHVLKIMMEEVTKELLRCGMRFKTDQVSSARSLVLFKTESGFEWGRPNIPCVVYKHCALRM -GLRMNHAVSHEFIISIRDEGLKAIAQADEDSPRFLLAHAYHSLRDIRYQALDAVSNVWFTHKGIKLYLNP -IINSGLFENFMKSLPAAIPPAAFSLIMNKAKISVDLFMFNDLLKRINPSNVLDLSGLTLIDPGVSSVSGM -SSRNWSEEVSLTMDDEDDTDDEEYTIELDEIDFDNIDLDADISHFLQDESAYTGDLLISSEEAEIKKMRG -ITRVLEPIKLIKSWVTRGLAVERVYNPVGIILMTRYLSKNFTFNKQPVSLLDPYDLTELEGIVKGWGELV -LDRFDEIDKEAQDLVVSKGIAPEDVLPDSLFSFRHSLILLRRLFPQDTLSTFY - ->YP_009361845.1 RNA-dependent RNA polymerase [Jeju virus] -MEKYREIHEKLKERAVGTMTAVECIDYLDRLYAVRHDLVDQMIKHDWSDNKDSEEPISKVLVVAGVPGNV -INWVEKKIIPDDPSGKTLKSFFKMTPDNYKIQGFSIEFVEVTVSADVDRAIKEKYNKYEAGLKYTELQLQ -YAYQKGEIPQPYQIKFSVVAVKTDGSNISTQWPSRRNDGVVQYMRMVQAEIGYVREHLIKPEERSQLEAM -FNLKFHIGLDTNQVFYIPSYPGIASVKPRLGELVEYCKNWMKVRHDFAFHEVTGKAVMKEFEKGELRHAT -NYKLSNHPRNFLLIQCALNRSYQPATITSDQLDTRTACHEILKFQPETPTVAIVLDMAYKYLNFDRDDLV -AYYSNQSSFEQTDIVKQPGTFKLTSSQLRGMSKIMLERLGKHEKEVNHGSLLESLEIKSQLVQDECVTNI -TKILSNLELNIEEPITFSRNTAKHTYVDTLLEKFLSNELQRYLIDIIKKTTAWHLGQLIRDITESLIAHS -GLKRSKYWSIHAYNHGNVLLCILPSKSLESAGSYIRFITVFRLGPGLVDPGNLDSILEGDEGTWAVSKIM -SLDLNRLLALNIAFEKALIATATWFHYYTEDQSHFPLQHAVRSVFSYHLLLSVCQKMKVCALFDNLRYLI -PSVTALYSGFPSLIENFFKRPFKTALEVYVYSIAKSLLVSLAQNNKSRFFSRVKLLGLTIDQSTVGASGV -YPSLISKVIYKHYQSLISEVTTCFFLFEKGLHGNVNEEAKIHLETVEWAQKFRHLEETYGAHMVDHGYTI -RDLYEIPNLVQQQMYCQDVTELAASELNQVLQSKSAIVANSIINKHWDKPYFSQTRNISLKGMSGCLQED -GHLSSSVTLIEAIRYLQHSRVNPTLIQLYEETRDQKAQARIVRKYQRTENDRGFFITTLATRCRLEIIED -YYDAIAKNVAEEYISYGGEKKIINIQGALEKALRWAAGESSIQLSTGEVLKLKRKLMYVSADATKWSPGD -NSAKFQRFTSILHNGLHDNKLRNCVIDALRHIYKTDFFVSRKLKNYINNMETLDDNVREFLNFFPNGQCG -EVKGNWLQGNLNKCSSLFAVALSLLFKKVWKELFPELDCFFEFAHHSDDGLFIYGYLEPMGDGTDWYLYV -TQQIQAGNHHWYGVNTEMWKCMFNLHEHILLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPYIKILI -GSLSELPGLGYFDDIAAAQARCVKALDMGATPQIAQLAIGLCTSKVERLYGTALGMINHPGSYLAVKHQD -TPIPLGGSGTMSIMELATSGIGMSDKNLLKRALQGFQHKRRKADKYVLGLFKFLMKLSEETFNHERLGEF -SFIGKVQWKIFTPKSEFEFFDMFSRAFMDEWTKQHPTYDYIIPRSRDNLIVYLVRKLNDPSIITAMTMQS -PLQLRFRMQAKQHMKVCKMNEEWVTFREVLSAADSFATSYEPSASDIDLFQTLVSCTFSKEYAWKDFLNN -VEIEVIPTKQVRKPKVARVFTVREKDQMIQNNLPSVIGYKFAISAEEINDVIKSSRFPDSLASDLVTMKD -GVYRELGLDIGKPEVMKRVAPLLYKSSKSRVVIVQGNVEGTAESICNYWLRTMSLVKTIKVTPHKEVLKA -VSIFNRKEDIGQHKDLAALRLCIEVWRWSKANNAPINDWFNALWFEDKTFSEWIDRFIRVGVPLVDPEIQ -CAALMIADIRGNMALLQTQANRRAYSGKQYDAYCTQTYNEETKLYEGDLRVTFNFGVDCARLEIFWEKQA -YILETSITQKHVLKVMMEEVTKELLRCGMRFNTEQVNGTKALVLFKTESGFEWGKPNIPCIVYKHCQLRT -SLRTQQTVNHKFQISIRDNGLKAIAQHDEDSPRFLLAHAFHTIRDVRYQGIDAVGNIWFEHKNIKLYLNP -IINSGLFENFMKSLPAVIPPAAYSLIMKRAKVSVDLFMFNDLLKLINPKNTLNLDGIVMTPEGLSTLSSL -SSKQMSEAVTGSDSDDYEDEDTDSDEDYTISLDDINFDQIDFHSDIEHFLQDETTYTTDLLITSEETVVK -KMRGIIRILEPVHLIRSWVSRGLLIEKVYNKVNIILIARYLSKTFEFNRIPVINLDPYDLTELEGIVKGW -GEQVIDDFENLDQEARNLVETKGIIPEDVLPDSLFSFRHTLVLLRRLFPPDTISSFY - ->YP_009361847.1 RNA-dependent RNA polymerase [Quezon virus] -MNRFRTIERELRELVPGTVSAPECIDLLDKLYALRHDLVDEMVKHDWSDNKDVETPIISVLMQMGIPESI -LTKMEKMIIPNHPSGKTLKQFFKMTPDNYKIEGDVVYFKEVTVTSDVEKGVREKNKKYQDGFKLIERELQ -KAYDAGLIERLITVQFDVISVKTDGSNISTQWPSRRAGGVVQHMRLVQAMISFVREHLIKEDEKSTLEAM -FNLKFSIPEPVLDTFKIPVYPGIHPLNSNIEELVDYCKNWLSCNHEFSFKEVSGSLVSTAFADYEQKQEE -TYEHSRKPRNFLLIQASVDAVYKPATIVSDQLDTRYACNTLLKESPMTPVQSLVRDMCYAFVNLDPEDIV -SYYSNKQTFERTHGVHEPGTYRIGMSKLSDEAKKCLDILRGVDNQHAPEKIESLWPSKSSDLGSACATVE -KILNDLSMVMDEGKEIFKSRPSRTYVDRVLGKFTDNEIEKFMVKPLKKTVAWSLSHLIRDITESLIAHSG -TKRSKYYSLHGYHDGSVLLCLLPSKSLESSGSYIRFITVFKAGIGMIDYDNLDSEIYIDGVKWIYSKPIS -LDLNRLLALNNCFEKSFIATAVWFQYYLEDQGHFPFQSTLRSVFSYHLLLACTQKMKVCALFDNARYLIP -SCTSLYSGVVSLLEKFCVRPFKTAIEVYMYNQIKQLLISLAQNNKLRFYSKVRLLGMTVDQSTIGASGVY -PSLISHAVYKHYKSVISEITTCFFMFEKGLHGTMTEEAKVHMETVEWAQKFIKKEQEYGKHIVEQGYLLA -DIGSKIQVDQQLYCADVVELAAQEMNSVLSSKNQSVVSSILNKHWERPYFSQPRNISLKAMSGALQEDGH -LAASVTMIEAIRYLKDNKSNPNVVELYQKTRHIKAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYY -DALSKNIPEEYISYGGEKKILSVQIALEKALRWASGKSTITLSTGQQLVFKRKLMYVSADATKWSPGDNS -AKFQRFTSVLFNGLRDDLLKNCVIDALKNMYETEFFISRRLRGYIERMDHKEDNVKEFLSFFANNQRSGL -VRGNWLQGNLNKCSSLFGVAVSFLFKKVWNNLFPELGCFIEVAHHSDDALFIYGYLEPDDDGSDWYLYVS -QKIQSGHHYWHSVNTDMWKAMFNLHEHILLMGSIKISAKKTTLSPTNAEFLSTFFEGCSVSIPFSKILLG -SLSDLPGLGFFDDLAAGQSRCVKALDLGASPQVAQVALSVVNSKIERLYGTAPGMVNSPLVHLSVLPDEI -PIPLGGLGSNSILELATAGIGMSDKNNLKKALINYRHKNRGKVSYHLGLFKFLMNLSDEAFNHERLGEFS -FTGKVQWKIFTPKSEFEFFDMFSKHYIDQWSKEHPAYDYIIPSSRDNLLVYLVRKLNEPSITAALTVQSP -IQLRFRMQAKQHLAVCKQGEDWVTFRQILAAAHLFASNYIPTEKDIDLFNTLSECTFSKEFAWQNFLNSV -DCEVLQAKKVHRPKVARTFTVKERDQNIQNQITTVIAYRFATTASEIKDVMNQARFPESLSTDMKTLHDG -VYRELGLDTNDPKVMKKIAPLLYKTSKSRVVIVQGNIEGTAETICSYWLKQMSFVKQIKIIPHREVLQAT -SIFNVKEQTGERVDIAALRLVIEVWRWAKHNELDIKTWFHNLWFEDRTLYDWLIKFQRKGCPIVDPEIQC -AGLLMYDFFKNMNILQIQADRRAFSGKQYDADCYQIYNEITGLYEGDLRVVFNFGVDRARLEIFWDKKAY -ILETSITSRHVLKIMMEEVTKELLRCGMRFVTEQAMRSSTLVLFKTDSGFEWGKPNTPCVIFRHCSLKTS -LRTMKASNAEFKINILDNGFKAIAQADEDSPRFLLAHAYHTIKDVRYQALDAVGPIYFQKLYLNPIVQTG -LIENFMKGLPAAIPPNAYSLIMNKAKISVDLFMFNKLLALINPDNTLDLTGLYPVEGSGYSTVTTISSTD -WAKEMDLMDDENDYDEDFTMDLTELDFDQIDIADDITHFLQDESSYTSDLLITSEPKDVKKLRGLVKVME -PIKLIKSWVNKGLSVEKVYNPIGIILMTRYLSKIYKFGERQVSVMDPYDLTELEAIVKGWGELVYDNFQE -IDELAQKYVEEKNCLPEDVIPDSLFSFRHTKILLDRLFFHDRMKSFY - ->YP_001911124.1 RNA-dependent RNA polymerase [Thottopalayam virus] -MQRYYEIRDRIHGLEPGGVGAIECIDLLDSLYAIRHDLIDEMIKHDWSDNKDKETPISDVLIYAGIPLDL -ITGMEKIRITDHPTGKTLHQFFKSTPDNYKIEGNIIKFIEVTVTVDVDKGIYEKKKKYQGGFNQIQVELD -KYWQQGKLPNKYTITYDIVAVKADGSNLSTQWPSTRSPGVVQHMRMVQAEIQYVRERLIRSNEKSALESM -FNLKFHTHVEREFKYVLPEYPDVPDCEADQDRLLSHLKGWLTKKQTFSFHEVTGERISQAFKKYEAEQED -MYPKSRKPRNFLLLQIGLQSEYNPMTISMAQVDTKLAASIIISEPPETPVQALVKDVCYNYMMLDNIEIN -NYYSPAHLFDKTRNVPEPGCLKINMSSLDEASKSIIEQTKGSQDKGSSEIESLHPWAQSEANTSISIIEK -ILTNLEMHVATDAEDITSYRKRSPVDTLLERFIKSETEKYLIKVLKKTVGWHLGHLVRDVTESMIAHAGL -KRSKFWSLSGFHQGNVLLIMMPSKSLEVSNAHVRFILVHKEGFGCVDPDNIDSRKSINGVIWVYSKPMTL -DLNRLLALNICFEKAFLATAVWFQYFTEDQGMFPLQSSIKSVFAYKLLLAMTQKMKVCALFDNLRYLIPS -CTASYSGAASLIEKFVKRPFKSSLEVYVYVKSKELLISLAQNNKFRYYSKVRMLGLTVDQSSVGATGIYP -SLVCHTVYRHYKSLISEITTCFFLFEKGLHGTMTEEAKIHLETVEWANNFKGKEMKYGKDLVESGYDIEQ -LIDGEIIPEQQLYCKNVIKLATIELNKVLTSKSQVISNSIMNKHWEEPYFSQTRNISLKGMSGELQEDGH -LASSYTLIEAIRYLNKAKRNPTVLELYNETRDIRAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYF -DSISKNVQEEYISYGGEKKILQIQGSLEKALRWASGTSTISLSTGEQITFKRKLMYVSADATKWSPGDNS -AKFRLFTSHIHDGLKDRRLKHCVIDALKGIYETEFFISRRLRGYIENMEKLEHNVQEFLDFFDHSKGRSG -LVRGNWLQGNLNKCSSLFSVAISFLFKEMWSKLFPELDCFIEFAHHSDDALFIYGYLEPEGDGSDWYLYV -TQKIQAGELYWHSVNTEMWKTMFNMHEYLLLLGSVKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFSKILL -GALSDLPGLGFFDDLAASQSRCVKALDMGASPQVAQLAVNLTNSKVERLYGTAPGMANNPCNYIDLDRDK -IPICLGGIGSSSILELATAGIGMSDKLVLKNALISFRHTKQLKDKYILGIYKFLMLLAQETFQHERLGEF -SFLGKVQWKVFIPKNEFEFSNLFSINYLSQWSAEHPVYDYIIPQLRDDLLVYLVRKLNDPSIVTAMTLQS -PLQLRFRMQAKQHLPVCKYNDNWVTFRTVLAAAHEYALKYVPNEIDLDLFNTLVSCTFSKEFAWKDFISN -TECEVIMSRKIHRAKVARVFTVKERDQIIQNPISVVIAYKFAVGTNEIKDVLDKAKFPDSLSSDLKLLYE -GVYRELGLDINDPSVMKRVAPMLYKTSRSRIVIVNGNVEGTAESICTYWLRSISYVKQIKIIPHAEVLKA -VSIFSKGEFIQEDKQLAALRLCIEIWRWGKYNRVDINDWFAALWFEGKTLWDWLYYFQRKGVPLVDPEIQ -CAGLMMYDLLRDMTCLQMHANRRAYSGKQYDAYCMQTYNEETKLYEGDLRVVFNFGIDCARLEIFWEKQT -YILETSITSKPVLRVLMQEVSTELQRCGLKFDTIQSIGFKSVVLFKTDSGFEWGKPNVPCIIFKNCALRP -NLRNKQVLNKEFIITILDNGFKAIAQQDLDSNRFQLAHAYHNLRDIRYQALETVNTVNFTVDGKILYLNP -IIAAGLIENFMKGLPAVIPPQAYSLIMKKAKITVDLFMFNKLLGLINPENTFNMDGIESDDPEYSTVTTL -SVKDWAEEKDIEDAEVEDDEYVIDLNDIDFDKVDTLADIQHFLQDESAYTADLVIDTEATESKRVRGIVK -LLEPIKLIKSWVSKGLAIEKIYDPLAVILVTRYFSKQYSFSKVPLAQLDPYNLTELESIVRGWGELVCDQ -FYELDQQAHAFIQRTNKAPEDLTPDSLFSFRHAELLFSRLFLPDTVSVFY - ->YP_138530.1 putative L protein [Hantavirus Z10] -MDKYREIHNKLKEFSPGTLTAVECIDYLDRLYAVRHDIVDQMIKHDWSDNKDSEEAIGKVLLFAGVPSNI -ITALEKKVIPNHPTGKNLKAFFKMTPDNYKISGTNIEFVEVTVTADVDKGIREKKLKYEAGLSYIEQELH -KFFLKGEIPQPYKITLNVVAVRTDGSNISTQWPSRRNDGVVQYMRLVQAEISYVREHLIKTEERAALEAM -FNLKFNISTHKSQPYYIPDYKGIEPIGANIDDLVDYSKEWLSRARNFSFFEVKGTAVFDCFNSNEANHCQ -KYPMSRKPRNFLLIQCSLITSYKPATTLSDQIDSRQACSYILNLIPDTPASHLIHDMAYRYINLTREDMI -SYYAPRIQFKQTQNVKEPGTFKLTSSMLRAESKAMLDVLNNHKSGEKHGELIESLNIASHIVQSESVSLI -TKILSDLELNITEPSTQEYTTTKHTYVDTVLDKFFQNETQKYLIDVLKKTTAWHIGHLVRDITESLIAHS -GLKRSKYWSLHSYNNGNVILFILPSKSLEVAGSFIRFITVFRIGPGLVDKDNLDTILLDGDSQWGISKVM -SIDLNRLLALNIAFEKSLIATATWFQYYTEDQGQFPLQYAIRSVFANHFLLAICQKMKLCAIFDNLRYLI -PAVTSLYSGFPSLIEKLFERPFKSALEVYIYYNIKSLLVALAQNNKARFYSKVKLLGLTVDQSTVGASGI -YPSFMSRIVYKHYRSLISEVTTCFFLFEKGLHGNMNEEAKIHLETVGWALKFREKDERYGESLVENGYMM -WELRENAELAEQQLYCQDAVELAAIELNKVLSTKSSVVANSILNKNWEEPYFSQTRNISLKGMSGQVQED -GHLSSSVTIIEAIRYLSNSRHNPSLLKLYEETRDQKAMARIVRKYQRTEADRGFFITTLPTRCRLEIIED -YYDAIAKNITEEYISYGGEKKILAIQGALEKALRWASGESFIELSNQKFIRMKRKLMYVSADATKWSPGD -NSAKFRRFTSMLHNGLPNNKLKNCVIDALKQVYKTDFFMSRKLRNYIDSMESLDPHIKQFLDFFPDGHHG -EVKGNWLQGNLNKCSSLFGVAMSLLFKQVWNNLFPELDCFFEFAHHSDDALFIYGYLEPVDDGTDWFLFV -SQQIQAGHLHWFSVNTEMWKSMFNLHEHILLLGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFVKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDLGASPQVAQLAVALCTSKVERLYGTAPGMVNHPAAYLQVKHDD -TPIPLGGNGAMSIMELATAGIGMSDKNLLKRALIGYSHKRQKSMLYILGLFKFLMNLSEETFQHERLGRF -SFIGKVQWKIFTPKSEFEFADMYTSKFLELWSNQHVTYDYIIPKGRDNLLIYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCRLDGDWVTFREVLAAANSFAENYTPTSQDMDLFQTLTNCTFSKEYAWKDFLNG -IHCDVIPTKQIQRAKVARTFTVREKDQIIQNSIPAVIGYKFAVTVDEMSDVLDTAKFPDSLSVDLKTMKD -GVYRELGLDISLPDVMKRIAPMLYKSSKSRVVIVQGNVEGTAEAICAYWLKSMSLVKTIRVKPHKEVLQA -VSIFNRKEDIGQQKDLAALKLCIEVWRWCKANNAPYHDWFQALWFEDKTFSEWLDRFCRVGVPPIDPEIQ -CAALMIADIKGDYSVLQLQANRRAYSGKQYDAYCVQTYNEATKLYEGDLRVTFNFGLDCARLEIFWDKKA -YILETSITQKHVLKIMMDEVSKELLKCGMRFNTEQVQGVRHMVLFKTESGFEWGKPNIPCIVYKNCALRT -SLRTTQAINHKFMITIKDDGLRAIAQHDEDSPRFLLAHAFHTIRDIRYQAVDAVSNVWFLHKGVKLYLNP -IISSGLLENFMKNLPAAIPPAAYSLIMNRAKISVDLFRFNDLLKLINPNNTLDLSGLETTGDGFSTVSSM -SSRLWSEEMSLVDDDEELDDEFTIDLQDVDFENIDMEADIEHFLQDESSYTGDLLISTEETESKKMRGIV -KILEPVRLIKSWVSRGLSIEKVYSPVNIILMSRYISKTFNLSTKQVSLLDPYDLTELESIVRGWGECVID -QFESLDREAQNMVVNKGICPEDVIPDSLFSFRHTMVLLRRLFPQDSISSFY - ->NP_942558.1 RNA-dependent RNA polymerase [Seoul orthohantavirus] -MEKYREIHRDLKEFTINSLTAVECMDYLDRLYAVRHDIVDQMIKHEWSDNKDSEEPISKVLLFAGIPNNV -ITALEKKVIPDHPSGKTLRSFFKMTPDNYRITGSLIEFVEVTVTADVDKGIREKKMKYELGLKYLEQELM -TFFHRGELQNPYKITFKVVAVRTDGSNISTQWPSARNDGVVQYMRLVQAEISYVREHLVKTEERAALEAM -FNLKFNISSLKTQPYFIPEYKGIDLIRPDIDGLVNYAQSWMSKTQEFSFFEVKGSAVFDCFNENEQGHIV -KYPMSRHPRNFLLIQCTVLTAYKPATILSDQLDSRRACIQFLNLIPETPASILAHDMAHRYINLTRDDLL -AYYAPRIQFNPTQNIKEPGTFKLTSNMMRPESKIMLDMLSQHEPRENLGKSIESLNISSHIVQSDCVSLI -TKILSDLELNISEPSSHEQITAKHTHVDTVLDKFFQNETQKYLIDILKKTTAWHIGHLVRDITESLIAHS -GLRRSKYWSIHAYNNGSVILFILPSKSLEVAGSFVRFMTAFKLGPGLVDKDNLDSILADGDILWGVSKIM -SLDLNRLLALNIAFEKALLATATWFQYYTEDQSQFPLQHSIRSVFAYHFLLAICQKMKLCAIFDNLRYLI -PAVTSLYSGFPSLVEKLFERPFKSALEVYVYYNIKSLLVALAQNNKARFYSKVKLLGLTVDQSTVGASGI -YPSFMSRVVYKHYKSLISEVTTCFFLFEKGLHGNVNEEAKIHLETVEWATKFKEKEDKYGEMLVEHGYTI -GELVESSELAVQQLYCQDAVELAANELNRVLIAKSQVVANSILNKYWEEPYFSQTRNISLKGMSGQVQED -GHLSSSTTIIEAIRYLSNSRNNPNVLQLYEETRHQKAQARIVRKFQRTEADRGFFITTLPTRCRLEIIED -YYDAISKNVAEEYISYGGERKILCIQAALEKALRWASGESFIELSNGKFIRMKRKLMYVSADATKWSPGD -NSAKFRRFTAALHNGLPDDRLKNCVIDALRHVYKTDFYMSRKLRHYIDSMDTYEPHVRDFLNFFPDGHHG -EVRGNWLQGNLNKCSSLFGVAMSLLFKEIWTRLFPELDCFFEFAHHSDDALFIYGYLEPADDGTDWFLFV -SQQIQAGKLHWFNVNTEMWKSMFNLHEHILLLGSIKISPKKTTLSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQTRCVKAMDLGASPQISQLAVSLSTSKVERLYGTSIGMVNYPGTYLRTKHSE -TPIPLGGSGAMSIMELSTAGIGMSDKNLLKQALIGYMHKHQKQMSYILGLFKFLMDLSGETFQHERLGQF -SFIGKVQWKIFTPKSEFEFSDMYSQKFLKVWSEQHPTYDYIIPKGRDNLLIYLVRKLNDPSIITAMTMQS -PLQLRFRMQAKQHMKVCRLDGDWVTFREVLAAANSFAESYEPSQNDIDLFQTLTSCTFSKEYAWKDFLNN -VHCDVIPTKQVQRAKVARTFTVREKDRIIQNSIPAVIGYKFAVTVDEMSDVLDTAKFPDSLAVDLKTMKD -GVYRELGLDISSPDVMKKVAPMLYKSAKSRVVIVQGNVEGTAEAICAYWLRNMSLIKTIKVKPHKEVLQA -VSIFNRKEDIGQQKDLSALKLCIEVWRWAKANNAPYRDWFHALWFEDKTFSEWLDRFIRVGVPPIDPEIQ -CAALMIADVKGDRSVLQLQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWDKKT -YILETSITQKHVLKIMMEEVSKELVRCGMRFNTEQVNGVKHLVLFKTDSGFEWGKPNIPCIVYKNCALRT -GLRTNQAINHKFMITIKDDGLRAIAQYDEDSPRFLLAHAFHTIRDVRYQAVDAVSNVWFTHKGIKLYLNP -IISSGLLEYFMKNIPAAIPPAAYSLIMNRAKISVDLFMFNDLLRLINPGNTLDLSGLEITGEGYSTVNSL -SSRLWSEEMSLVDDEEEMDDEFTIDLQDVDFENIDIEADVEHFLQDESAYTGDLLIMSEETEVKKMRGII -KLLEPVKLIKSWVSRGLSIEKVYNPVNIILMTRYISKNFNFSGKQVSLLDPYDLTELESIVKGWGESVVD -QFDSLDLEAQNLVQKQGIVPEDVIPDSLFSFRHTMVLLRRLFGQDSVSTFY - ->NP_942555.1 polymerase protein [Dobrava-Belgrade orthohantavirus] -MEKYREIHRDLQSFPVGSLTAVECIDYLDRLYAIRHDIVDQMIKHDWSDNKDSEESIGKVLLFAGVPNNV -ITAMEKKIIPDHPSGKTLRSFFKMTPDNYKITGSTIEFVEVTVTVDVDKGIREKRLKYEAGLKYIEQELH -NHFLRGDIPQPYKITFQVVSVRTDGSNISTQWPSRRNDGVVQYMRLVQAEISYVREHLIRQEERAALEAM -FNLKFNISNIKNQPYYIPDYRGIPLIHPNINDLVVYMRDWLSKSHKFSFHEGKVPAVFDCFNENELEHAV -KYPISRHPRNFLLIQCSLLSSYNPATILSDQVDSRRACNSVLNLIPETPTSFLIHDMAYRYINLTREDMV -SFYAPKTQFIPTQNVKEPGTFKLTANSMRPESKAMLDMLGSHEPGEKKGALIESLNLSSHIVQSECVSLI -TKILSDLELNISEPTSHGSFTTKHTYVDNVLEKFFQNEIQRYLLDVLKKTTAWHIGHLIRDITESLIAHS -GLKRSKYWSVHAYNNGNVILFILPSKSLEVAGSYIRFVTVFRMGPGLVDKDNLDTILTDQDVTWGVSKVM -SIDLNRLLALNIAFEKALIATATWFQYYTEDQGQFPLQHAIRSVFAYHLLLAVCQKMKLCAIFDNLRYLI -PAVTSLYSGFPSLINKLFERPFKSALEVYVYYNIKSLLVALAQNNKARFYSKVKLLGLTVDQSTVGASGI -YPSFMSRVIYKHYRSLISEVTTCFFLFEKGLHGNMNEEAKIHLETVEWALKFRQKEDQYGESMVENGYTI -GELNDNQDLVEQQLYCQDAVELAAVELNKILSTKSQVVANSILNKYWEVPYFSQTRNISLKGMSGQVQED -GHLAASVTIIEAIRYLSSSQNNPSVLQLYEETRKVKAQARIVRKYQRTEADRGFFITTLPTRCRLEIIED -YYDAISKNVAEEYISYGGERKILCIQSALEKALRWASGESFIELSNGKFIRMKRKLMYVSADATKWSPGD -NSAKFRRFTAALHNGLPDDRLKNCVIDALRNVYKTDFYMSRKLRAYIDNMNGHEPAVKNFLEFFPDGHCG -EVRGNWLQGNLNKCSSLFGVGMSLLFKQLWNELFPELDCFFEFAHHSDDALFIYGYLEPIDDGTDWFLYV -SQQIQAGHLHWFSVNTEMWKSMFNLHEHVLLLGSIKISPKKTTLSPTNAEFLSTFFESCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKAMDLGASPQVAQLAVALCTNKVERLYGTAVGMIKHPSTYLQVKHGD -TPIPLGGSGAMSIMELATAGIGMSDKNLLKRALLGYIHKRQKNMAYILGLFKFLMNLSKDTFQHERLGEF -SFIGKVQWKIFIPKSEFEFFDMYTPKFLKLWSEQHVTYDYIIPKGRDNLLIYLVRKINDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKLDDDWVTFREILAAANSFAQLYEVTQEDLDLFQTLTSCTFSKEYAWKDFLNG -VQCDVIPTKQIQRAKVARTFTVREKDQIIQNSIPAVIGYKFAVTVDEMSDVLDSAKFPDSLAVDLKTMKD -GVYRELGLDISQAEVMKKVAPMLYKSSKSRVVIVQGNVEGTAEAICGYWLRTMSLVKTIRVKPHKEVLKA -VSIFNRKEDIGQQKDLAALRLCIEVWRWCKANNAPYQEWFHALWFEDKTFSEWLDRFIRVGVPPVDPEIQ -CAALMIADIRGDLSVLQVQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWDKQT -YILETSITQKHVLKIMMDEVTKELLRCGMRFKTEQVSNVKHLVLFKTEAGFEWGKPNIPCIVYKNCALRT -GLRANQTVNHKFMISIKDNGLRAIAQYDDESPRFLLAHAFHTIRDIRYQAVDAVSNVWFIHKGIKLFLNP -IISSGLLENFMKNLPAAIPPAAYSLIMNRAKISVDLFMFNDLLRLINPSNTLDLSGLQPTEDGFSTVSSM -SSRLWSEEVSFVDEDEEIDDEFTIDLQDVDFENIDVEADIEHFLQDESSYTGDLLIMSEETEVKKMRGII -KLLEPVRLIKSWVSKGLCIEKVYSPTNIILMTRYLSKNFNFSGRQVSLLDPYDLTEFESIVKGWGECVVD -QFSTFDQETQLLVSQKGICPEDVVPDSLFSFRHTIVLLRRLFPQDSVSTFY - ->NP_942124.1 RNA polymerase (L protein) [Tula orthohantavirus] -MEKYTEIHNRMRECVPGEVSAVECLDLLDRFYAVRHDVVDQMIKHDWSDNKDKEQPIGHVLLMAGVPNEV -IQGMEKKIIPGSPSGQILRSFFKMTPDNYKITGSLIEFIEVTVTADVARGTREKILKYQAGLEYIEQLLH -QESERGNLPGGYRIKFDVVAVRTDGSNISTQWPSQRNEGVVQTMRLIQADINYVREHLIKNDERSALEAM -FNLKFHVSGPKARTFDIPDYRPQQLCNPNIDNLLNYCKNWLTREHEFAFDEVKGQRVFNIFEAEEIKHKE -RYNPSRKPRNFLLIQGTVQGPYLPSTIASDQYDTKVGCLEILKNHPETPIQILARDMALKYIMLDKDDLI -NYYNPRAYFKQTANIKEPGTFKLNLSSMDPKAKALLDVISKNSKKGVFGEVIDSIEISSLIQQNECSKVI -EKILSDLEINVGETSQGLDNPKRTTGVDDILKKFYDNELVKYMLHIVRKTTAWHMGHLLRDITECLIAHA -GLKRSKYWSIHGFSHGGILLMILPSKSLEVAGSYIRFFTVFKDGLGLIDYENLDSTVVIDGVSWCFSKVM -SLDLNRLLALNISFEKTLLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLTVTQKMKLCAIFDNLRYLI -PAVTSLYSGYKPLIVKFFERPFKSALDVYLYTIIKTLLVSLAQNNKIRFYSKVRLLGLTVDQSTIGASGV -YPSLMSRVVYKHYKSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFSDKEKAYGAYIMEEGYTI -KDVVDGNIPVEQQLFCQEVVELSAMELNTYLEAKSQVMAANIMNKHWDRPYFSQTRNISLKGMSGALQED -GHLSASVTLIEAIRFLNQSQQNPSVLEMYEQTKRQKAMARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YFDAIAKVVPEEYISYGGERKILNIQQALEKALRWASGESEIQISMGQVIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQALHDGLRDDKLKRCVVDALRNIYETDFFMSRKLHRYIDGMDDLSEFVEDFLSFFPNKVSA -AIKGNWLQGNLNKCSSLFGAAVSLLFRKIWSLLYPELDCFFEFAHHSDDALFIYGYLEPTDDGTEWFRFV -TQQIQAGNLHWYAVNQEMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLGIVLCTSKVERLYGTATGMVNNPTSFLKVERSS -IPIPLGGDGSMSIMELATAGIGMADKNVLKNAYISFKHTKRDSDRYILGLFKFLMSLSDDVFQHDRLGEF -SFVGKVQWKVFTPKSEFEFFDQYSSKYLQLWTEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCRLNGEWVTFREVLAAADSFAQSFKPSQSDMELFQTLVNCTFSKEYAWRDFLNE -VKCEVLTTRQVHRPKVARTFTVKERDQAIQNSITAVIGYKYANKADEISDVLDSAVHPDSLSTDLQVMRE -GVYRELGLDINYPNVLKRVAPLLYKSGKSRVVIVQGNIEGTAESICSYWLKTMSLVKTIKVRPKKEVLKA -VSLFSKKEKIGDLTHLAATRLCIDVWRWCKANEQDPKAWLSALYFEGRTLMQWVDVFLDKGVVPVDPEIQ -CMGLMIYDLTGQKNLLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGIDCARLEIFWDKQD -YLLETSITQRHVLKILMEEVTKELLRCGMRFKTEQVNSSRSVVLFKTDAGFEWGKPNIPCIVFRNCALRT -GLRVRHPINKSFTITIQAGGFRAMAQLDEENPRFLLAHAYHNLKDIRYQALQAIGNIWFKTQQHKLFINP -IISAGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPKNVLNLDGIEETSEGYSTVSTI -SSTQWSEEVSLVMDDSDDEDQPDYTIDLDDIDFETIDLKEDIEHFLQDESAYTGDLLIQTDDTEIKKLRG -MTRILEPIKLIKSWVSKGLSIEKVYSPVGIILMARYMSKHYDFNKAPLSLLNPYDLTEFESIVKGWGECV -NDRFIEYDHEAERKVKEEKIQPEDVLPDSLFSFRHADILLRRLFPKDSAASFY - ->NP_941976.1 viral RNA polymerase (L protein) [Sin Nombre orthohantavirus] -MEKYREIHQRVKEIPPGGASALECLDLLDRLYAVRHDVVDQMIKHDWSDNKDMERPIGQVLLMAGVPNDV -IQGMEKKVIPTSPSGQILKSFFRMTPDNYKITGALIEFIEVTVTADVAKGIREKKLKYESGLQFVESLLS -QEHKKGNINQAYKITFDVVAVKTDGSNISTQWPSRRNDGVVQHMRLVQADINYVREHLIKPDERASLEAM -FNLKFHVGGPKLRYFNIPDYKPQSLCQPEITNLIQYCKHWLTEDHDFVFKEVTGNNVMNSFENNESVYMS -RYRESRKPRNFLLIQGSIQGPYLPSTISSDQCDTRIGCLEVLKVHPETPVQAIAVDMAYKYMELNRDEII -NYYNPRVHFQATQSVKEPGTFKLGLSQLNPMSKSILDQVGKHKSEKGLFGEPLESINISSQIQQNECSRI -IESILSNLEINVGEVTMSLANPRKTTGVDELLGKFYENELSKYLISILRKTAAWHIGHLIRDITESLIAH -AGLKRSKYWSIHAYDHGGVILFILPSKSLEVVGSYIRYFTVFKDGIGLIDEENLDSKVDIDGVQWCFSKV -MSIDLNRLLALNIAFEKALLATATWFQYYTEDQGHFPLQHALRSVFSFHFLLCVSQKMKICAIFDNLRYL -IPAVTSLYSGYELLIEKFFERPFKSALEVYLYNIIKALLISLAQNNKVRFYSKVRLLGLTVDHSTVGASG -VYPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLNEEAKIHLETVEWARKFEAKERKYGDILMREGYT -IDAIRVGDVQVEQQLFCQEVVELSAEELNKYLQAKSQVLSSNIMNKHWDKPYFSQTRNISLKGMSGALQE -DGHLAASVTLIEAIRFLNRSQTNPNVIDMYEQTKQHKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYYDAIARVVPEEYISYGGDKKILNIQTALEKALRWASGSSEVITSTGNVIKFKRRLMYVSADATKWSPG -DNSAKFKRFTQALYDGLSDEKLKCCVVDALRHVYETEFFMSRKLHRYIDSMDEHSEAVQDFLDFFKGGVS -ATVKGNWLQGNLNKCSSLFGAAVSLLFRRIWAELFPELECFFEFAHHSDDALFIYGYLEPEDDGTDWFLY -VSQQIQAGNYHWHAVNQEMWKSMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFIKIL -LGSLSDLPGLGFFDDLAAAQSRCVKAMDLGASPQLAQLAVVICTSKVERLYGTADGMVNSPVAFLKVTKA -HVPIPLGGDGSMSIMELATAGIGMADKNILKQAFYSYKHTRRDGDRYVLGLFKFLMSLSEDVFQHDRLGE -FSFVGKVQWKVFTPKNEFEFFDQFSQSYLKSWTNQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQ -SPLQLRFRMQAKQHMKVCKLEGEWVTFREVLAAADSFATKYNPTEKDLDLFNTLVSCTFSKEYAWKDFLN -EVRCEVVPTKHVHRSKIARTFTVREKDQAIQNPITAVIGYKYASTVDEISDVLDSSFFPDSLSADLQVMK -EGVYRELGLDIGLPEVLKRIAPLLYKAGRSRVVIVEGNVEGTAESICSYWLRSMSLVKTIKVRPKKEVLR -AVSLYSTKENIGLQDDVAATRLCIEVWRWCKANDQNVNDWLNALYFEKQTLMDWVERFRRKGVVPIDPEI -QCIALLLYDVLGYKSVLQMQANRRAYSGKQYDAYCVQTYNEETRLYEGDLRVTFNFGLDCARLEIFWDKK -EYILETSITQRHVLKLMMEEVTQELLRCGMRFKTEQVSHTRSLVLFKTESGFEWGKPNVPCIVFKHCALR -TGLRTKQAINKEFMINVQADGFRAIAQMDMESPRFLLAHAYHTLRDVRYQAVQAVGNVWFQTAQHKLFIN -PIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALVNPRNVLNLDGIEETSEGYSTVTS -ISSRQWSEEVSLMADDDIDDEEEFTIALDDIDFEQINLDEDIQHFLQDESAYTGDLTIQTEEVEVKRIRG -VTRVLEPVKLIKSWVSKGLAIDKVYNPIGIVLMARYMSKNYDFSKIPLALLNPYDLTEFESVVKGWGETV -NDRFLEVDNDAQRLVREKNILPEDILPDSLFSFRHVDVLLKRLFPHDPVSSFY - ->NP_941982.1 putative polymerase [Hantaan orthohantavirus] -MDKYREIHNKLKEFSPGTLTAVECIDYLDRLYAVRHDIVDQMIKHDWSDNKDSEEAIGKVLLFAGVPSNI -ITALEKKIIPNHPTGKSLKAFFKMTPDNYKISGTTIEFVEVTVTADVDKGIREKKLKYEAGLTYIEQELH -KFFLKGEIPQPYKITFNVVAVRTDGSNITTQWPSRRNDGVVQYMRLVQAEISYVREHLIKTEERAALEAM -FNLKFNISTHKSQPYYIPDYKGMEPIGANIEDLVDYSKDWLSRARNFSFFEVKGTAVFECFNSNEANHCQ -RYPMSRKPRNFLLIQCSLITSYKPATTLSDQIDSRRACSYILNLIPDTPASYLIHDMAYRYINLTREDMI -NYYAPRIQFKQTQNVREPGTFKLTSSMLRAESKAMLDLLNNHKSGEKHGAQIESLNIASHIVQSESVSLI -TKILSDLELNITEPSTQEYSTTKHTYVDTVLDKFFQNETQKYLIDVLKKTTAWHIGHLIRDITESLIAHS -GLKRSKYWSLHSYNNGNVILFILPSKSLEVAGSFIRFITVFRIGPGLVDKDNLDTILIDGDSQWGVSKVM -SIDLNRLLALNIAFEKALIATATWFQYYTEDQGQFPLQYAIRSVFANHFLLAICQKMKLCAIFDNLRYLI -PAVTSLYSGFPSLIEKLFERPFKSSLEVYIYYNIKSLLVALAQNNKARFYSKVKLLGLTVDQSTVGASGV -YPSFMSRIVYKHYRSLISEVTTCFFLFEKGLHGNMNEEAKIHLETVEWALKFREKEEKYGESLVENGYMM -WELRANAELAEQQLYCQDAIELAAIELNKVLATKSSVVANSILSKNWEEPYFSQTRNISLKGMSGQVQED -GHLSSSVTIIEAIRYLSNSRHNPSLLKLYEETREQKAMARIVRKYQRTEADRGFFITTLPTRCRLEIIED -YYDAIAKNISEEYISYGGEKKILAIQGALEKALRWASGESFIELSNHKFIRMKRKLMYVSADATKWSPGD -NSAKFRRFTSMLHNGLPNNKLKNCVIDALKQVYKTDFFMSRKLRNYIDSMESLDPHIKQFLDFFPDGHHG -EVKGNWLQGNLNKCSSLFGVAMSLLFKQVWTNLFPELDCFFEFAHHSDDALFIYGYLEPVDDGTDWFLFV -SQQIQAGHLHWFSVNTEMWKSMFNLHEHILLLGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFVKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDLGASPQVAQLAVALCTSKVERLYGTAPGMVNHPAAYLQVKHTD -TPIPLGGNGAMSIMELATAGIGMSDKNLLKRALLGYSHKRQKSMLYILGLFKFLMKLSDETFQHERLGQF -SFIGKVQWKIFTPKSEFEFADMYTSKFLELWSSQHVTYDYIIPKGRDNLLIYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCRLDGEWVTFREVLAAANSFAENYSATSQDMDLFQTLTSCTFSKEYAWKDFLNG -IHCDVIPTKQVQRAKVARTFTVREKDQIIQNSIPAVIGYKFAVTVEEMSDVLDTAKFPDSLSVDLKTMKD -GVYRELGLDISLPDVMKRIAPMLYKSSKSRVVIVQGNVEGTAEAICRYWLKSMSLVKTIRVKPHKEVLQA -VSIFNRKEDIGQQKDLAALKLCIEVWRWCKANSAPYRDWFQALWFEDKTFSEWLDRFCRVGVPPIDPEIQ -CAALMIADIKGDYSVLQLQANRRAYSGKQYDAYCVQTYNEVTKLYEGDLRVTFNFGLDCARLEIFWDKKA -YILETSITQKHVLKIMMDEVSKELIKCGMRFNTEQVQGVRHMVLFKTESGFEWGKPNIPCIVYKNCVLRT -SLRTTQAINHKFMITIKDDGLRAIAQHDEDSPRFLLAHAFHTIRDIRYQAVDAVSNVWFIHKGVKLYLNP -IISSGLLENFMKNLPAAIPPAAYSLIMNRAKISVDLFMFNDLLKLINPRNTLDLSGLETTGDEFSTVSSM -SSRLWSEEMSLVDDDEELDDEFTIDLQDVDFENIDIEADIEHFLQDESSYTGDLLISTEETESKKMRGIV -KILEPVRLIKSWVSRGLSIEKVYSPVNIILMSRYISKTFNLSTKQVSLLDPYDLTELESIVRGWGECVID -QFESLDREAQNMVVNKGICPEDVIPDSLFSFRHTMVLLRRLFPQDSISSFY - ->NP_604473.1 RNA polymerase [Andes orthohantavirus] -MEKYREIHQRVRDLAPGTVSALECIDLLDRLYAVRHDLVDQMIKHDWSDNKDVERPIGQVLLMAGIPNDI -IQGMEKKIIPNSPSGQVLKSFFRMTPDNYKITGNLIEFIEVTVTADVSRGIREKKIKYEGGLQFVEHLLE -TESRKGNIPQPYKITFSVVAVKTDGSNISTQWPSRRNDGVVQHMRLVQADINYVREHLIKLDERASLEAM -FNLKFHVSGPKLRYFNIPDYRPQQLCEPRIDNLIQYCKNWLTKEHKFVFKEVSGANVIQAFESHEQLHLQ -KYNESRKPRNFLLLQLTVQGAYLPSTISSDQCNTRIGCLEISKNQPETPVQMLALDISYKYLSLTRDELI -NYYSPRVHFQSSPNVKEPGTLKLGLSQLNPLSKSILDNVGKHKKDKGLFGEIIDSINVASQIQINACAKI -IEQILSNLEINIGEINASMPSPNKTTGVDDLLNKFYDNELGKYMLSILRKTAAWHIGHLVRDITESLIAH -AGLRRSKYWSVHAYDHGNVILFILPSKSLEVVGSYIRYFTVFKDGIGLIDADNIDSKAEIDGVTWCYSKV -MSIDLNRLLALNIAFEKSLLATATWFQYYTEDQGHFPLQHALRSIFSFHFLLCVSQKMKLCAIFDNLRYL -IPSVTSLYSGYELLIEKFFERPFKSSLDVYLYSIIKSLLISLAQNNKVRFYSRVRLLGLTVDHSTVGASG -VYPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLPEEAKIHLETIEWARKFQEKEKQYGDILLKEGYT -IESVINGEVDVEQQLFCQEVSELSAQELNKYLQAKSQVLCANIMNKHWDKPYFSQTRNISLKGMSGALQE -DGHLAASVTLIEAIRFLNRSQTNPNVIDMYEQTKQSKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYFDAIAKVVPEEYISYGGDKKVLNIQNALEKALRWASGVSEITTSTGKSIKFKRKLMYVSADATKWSPG -DNSAKFRRFTQAIYDGLSDNKLKCCVVDALRNIYETEFFMSRKLHRYIDSMENHSDAVEDFLAFFSNGVS -ANVKGNWLQGNLNKCSSLFGAAVSLLFREVWKQLFPELECFFEFAHHSDDALFIYGYLEPEDDGTDWFLY -VSQQIQAGNFHWHAINQEMWKSMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFVKIL -LGSLSDLPGLGFFDDLAAAQSRCVKSLDLGACPQLAQLAIVLCTSKVERLYGTADGMVNSPTAFLKVNKA -HVPVPLGGDGSMSIMELATAGFGMADKNILKNAFISYKHTRRDGDRYVLGLFKFLMSLSEDVFQHDRLGE -FSFVGKVQWKVFTPKAEFEFHDQFSHNYLLEWTRQHPVYDYIIPRNRDNLLVYLVRKLNDPSIITAMTMQ -SPLQLRFRMQAKQHMKVCRYEGEWVTFREVLAAADSFATSYQPTERDMDLFNTLVSCTFSKEYAWKDFLN -EVRCEVLTTRHVHRPKIARTFTVREKDQAIQNPINSVIGYKYALTVDEVSDVLDSAFFPESLSADLQVMK -DGVYRELGLDISSPEVLKRIAPLLYKAGRSRVVIVEGNVEGTAESICSYWLKTMSLIKTIRVRPKKEVLK -AMSLYSVKENIGLQDDIAATRLCIEIWRWCKANEQDVKEWLTSLYFEKQTLMDWVERFRRKGVVPIDPEI -QCIGLLLYDVLGYKSVLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEVFWDKK -EYILETSITQRHVLRLLMEEVSQELIRCGMRFKTEQVNQTRSLVLFKTEAGFEWGKPNVPCIVYKHCVLR -TGLRTKQPINKEFMINVQSDGFRAIAQMDIESPRFLLAHAYHTLRDIRYQAVQAVGNVWFKTEQHKLFIN -PIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINRNNILNLDGIEETSEGYSTVTS -MSSKQWSEEMSLMSDDDIDDMEDFTIALDDIDFEQINLEEDIQHFLQDESAYVGDLLIQTEDIEVKKIRG -VTRVLEPVKLLKSWVSKGLAIDKVYNPIGIILMARYMSKTYNFSSTPLALLNPYDLTELESVVKGWGETV -NDRFKDLDIEAQTVVKEKGVQPEDVLPDSLFSFRHVDVLLRRLFPRDPVSTFY - ->sp|P27176.1|L_PUUMG RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MEKYREIHERVKEAVPGETSAVECLDLLDRLYAVRHDVVDQMIKHDWSDNKDKEQPIGLVLLMAGVPNDV -IQSMEKRIIPGSPSGQILRSFFKMTPDNYKITGNLIEFIEVTVTADVARGVREKILKYQGGLEFIEQLLQ -IEAQKGNCQSGFRIKFDVVAIRTDGSNISTQWPSRRNEGVVQAMRLIQADINFVREHLIKNDERGALEAM -FNLKFHVTGPKVRTFDIPNYRPQQLCQPVLENLVEYCKNWLGTDHAFAFKEVTGQRVFNVFRDEEELHAS -KYGHSRKPRNFLLCQISLQVPYLPSTIASDQYDTRLACSEILKNYPETPLQLLARDMAYKYITLDNEDII -NYYNPRVYFKPTQNIKEPGTFKLNLSNMDPKSKALIDVISKDSKKGVFGELIDSIDVASQVQQNECAKTI -EKILSDLEVNLGDSTAGLDQPKRTTGVDDILRKFYDNELVKYLISVIRKTTARHLGHLLRDITESLIAHA -GLKRSKYWSAHGYAYGSVLLCILPSKSLEVAGSFIRFFTVFKEGLGLIDADNLDSKVEIDGVTWCFSKII -SLDLNRLLALNIAFEKSLLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLATSQKMKLCAIFDNLRYLI -PAVTSTYSGFEPLIRKFFERPFKSALEVYLYNIIKTLLVSLAQNNKIRFYSRVRLLGLTVDQSSIGASGV -YPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFREKERKLGSYIMEEGYHI -QDVLNNQVVVEQQLFCQEVVELAAQELNTYLHAKSQVMASNIMNKHWDKPYFSQTRNISLKGMSGALQED -GHLAASVTLIEAIRFLNHSQNNPTVLELYEQTKKQKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YYDAIARVVPEEYISYGGETKILNIQQALEKALRWASGESEIQSSIGHSIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQSLYDGLRDDKLKNCVVDALRNIYETDFFISRKLHRYIDNMGELSDEVLDFLSFFPNKVSA -SIKGNWLQGNLNKCSSLFGAAVSLLFKRVWAKLYPELECFFEFAHHSDDALFIYGYLEPVDDGTEWFQYV -TQQIQAGNFHWHAVNQEMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLGIVLCTSKVERLYGTAPGMVNNPTAYLKVDRSL -IPIPLGGDGSMSIMELATAGIGMADKNILKNAFITYKHAKKDNDRYVLGLFKFLMSLSDDIFQHDRLGEF -SFVGKVQWKVFTPKSEFEFYDQYSRKYLELWSEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKLDGEWVTFREVLAAADAFASEYRPTLQDMELFQTLVNCTFSKEYAWRDFLNE -VQCDVLTTRQIHRPKVARTFTVKEKDQTIQNPITAVIGYKYASKVDEISDVLDSAIHPDSLSTDLQLMRE -GVYRELGLDISQPNVLKKVAPLLYKSGKSRIVIVQGNVEGTAESICSYWLKTMSLVKTIKVKPKKEVLKA -VSLYGKKEKAGDLTHLAAMRLCIEVWRWCKANEQDSVSWLKYLMFENKTLEQWIDSFCSRGVLPVDPEIQ -CLGLLVYDLKGQKGLLQIQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGIDCARLEIFWDKRE -YILETSITQRNVLKILMEEVTKELLRCGMRFKTEQVNSSRSVVLFKTESGFEWGKPNVPCIVYRNCTLRT -GLRVRQPTNKAFSITIQANGFRAMAQLDEENPRFLLAHAYHNLKDVRYQALQAVGNVWFKMTQHKLFINP -IISAGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPQNVLNLDGIEETSEGYTTVSTI -SSTQWSEEVSLTMDDSDDDGDASQLDYTIDLDDIDFETIDLKEDIEHFLQDESAYTGDLLIQTEETEIRK -LRGMIKILEPVKLIKSWVSKGLSIDKIYNPVNIILMTRYMSKHYNFHAKQLSLMDPYDLTEFESIVKGWG -ECVKDRFIELDQEAQRKVTEERVLPEDVLPDSLFSFRHADILLKRLFPRDSASSFY - ->sp|P0C760.1|L_PUUMS RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase -MEKYRDIHERVKEAVPGETSAVECLDLLDRLYAVRHDVVDQMIKHDWSDNKDREQPIGLVLLMAGVPNDV -IQSMEKRVIPGSPSGQILRSFFKMTPDNYKITGNLIEFIEVTVTADVARGVREKILKYQGGLEFIEQLLQ -IEAQKGNCQSGFKIKFNVVAVRTDGSNISTQWPSRRNEGVVQAMRLIQADINFVREHLIKNDERGALEAM -FNLKFHVTGPKVRTFDIPNYRPQPLCQPILENLVDYCKNWLGTDHAFAFKEVTGQRVFNVFREEEEIHAS -KYGHSRKPRNFLLCQISLQSPYLPSTIASDQYDTRLACSEILKNYPETPLQLLARDMAYKYITLDHDDII -NYYNPRVYFKPTQNIKEPGTFKLNLSNMDPKSKALIDVISKDSKKGVFGELIDSVDVASQVQHNECSKTI -EKILSDLEVNLGDVANGLDQPKKTTGVDDILRKFYDNELVKYLISVIRKTTAWHLGHLLRDITESLIAHA -GLKRSKYWSAHGYACGSVLLCILPSKSLEVAGSFIRFFTVFKEGLGLIDTDNLDSKAEIDGVSWCFSKII -SLDLNRLLALNIAFEKSLLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLSVSQKMKLCAIFDNLRYLI -PAVTSTYSGFEPLIRKFFERPFKSALEVYLYGIIKVLLVSLAQNNKIRFYSRVRLLGLTVDQSTIGASGV -YPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFREKERELGSYIMEEGYHI -QDVLNNQVAVEQQLFCQEVVELAAQELNTYLHAKSQVMASNIMNKHWDKPYFSQTRNISLKGMSGALQED -GHLAASVTLIEAIRFLNHSQNNPTVLELYEQTKKQRAQARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YYDAIAKVVPEEYISYGGERKILNIQQALEKALRWASGESEIQSSLGHSIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQSLYDGLRDDKLKNCVVDALRNIYETDFFISRKLHRYIDNMGELSDEVLDFLSFFPNKVSA -SIKGNWLQGNLNKCSSLFGAAISLLFKRVWAKLYPELECFFEFAHHSDDALFIYGYLEPIDDGTEWFQYV -TQQIQAGNFHWHAVNQEMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLGIVLCTSKVERLYGTAPGMVNNPTAYLKVDRNL -IPIPLGGDGSMSIMELATAGIGMADKNILKNAFITYKHAKKDNDRYVLGLFKFLMSLSDDIFQHDRLGEF -SFVGKVQWKVFTPKSEFEFYDQYSRKYLELWSEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKLGGEWVTFREVLAAADAFASEYRPTLQDMELFQTLVNCTFSKEYAWRDFLNE -VQCDVLTTRQIHRPKVARTFTVKERDQTIQNPITAVIGYKYASKVDEISDVLDSALHPDSLSTDLQLMRE -GVYRELGLDISQPNVLKKVAPLLYKSGKSRIVIVQGNVEGTAESICSYWLKTMSLVKTIKVKPKKEVLKA -VSLYGKKEKVGDLTHLAAMRLCIEVWRWCKANEQDSVTWLKYLVFENKTLEQWVDLFCSRGVLPIDPEIQ -CLGLLVYDLKGQKGLLQIQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGIDCARLEIFWDKKE -YILETSITQRNVLKILMEEVTKELLRCGMRFKTEQVNSSRSVVLFKTESGFEWGKPNVPCIVYRNCTLRT -GLRVRHPTNKAFSITIQANGFRAMAQLDEENPRFLLAHAYHNLKDVRYQALQAVGNVWFKMTQHKLFINP -IISAGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPQNVLNLDGIEETSEGFTTVSTI -SSTQWSEEVSLTLDDSDDDDDASNLDYTIDLDDIDFETIDLKEDIEHFLQDESAYTGDLLIQTEETEVRK -LRGMIKILEPVKLIKSWVSKGLSIDKIYNPVNIILMTRYMSKHYNFQAKQLSLMDPYDLTEFESVVKGWG -ECVKDRFIELDQEAQRKVTEERVLPEDVLPDSFFSFRHADILLKRLFPRDSASSFY - ->AFQ36588.1 RNA-dependent RNA polymerase [Kilimanjaro virus] -MQSYYQLREAIHELQPGTVGAIECMDFLDKLYATRHNLVDELIKHDWSDNQTNEEPIVDVLIRAGIPIDI -ITAMEKVRITNHPTGKTLYQFFKMTPDNYQITDDTIHFIEVTVTTDVERGIYDKKRKYEEGFNQLQSELD -TYWKKGKLQKKYKIKFDIVSVKSDGSNLSTQWSSDRAQGVVQHMRMVQAEIQYVREHLVKHAERSILESM -FNLKFHTSATKDIPYIIPEYPDVEDVYAHKDDLLIHLREWLTKKQEFSFKEVTGPNIQSYFKQYEHEQEK -KYPTSTKPRNFLLIQVCLQSEYRPMTISSARVDTRLACNTLLGEDACTPIQSLCHEICYKYMMLDNIDIN -NYYSPSNIFEKTNSIPEPGCLKINYSQFDIQAKAVIDQHKKDKDAHRAIESLHPWRTSEVIMSITTIEKI -LSDLEIQIESDSEDVTTYRKRSPADTLLSKFLNSETDKYLLKVIRKTAAWHIGHLIRDITEALIAHSGLK -RSKYWSVHGFHHGNILLIILPAKSLEVANSHVRYITVFKKGFGLFDPVNIDSEKTINGLHWIYSKIMTID -LNRLLALNIAFEKALLGTAVWFQYYTEDHSSFPLQYAVKSVFAYKLLLSVSQKMKVCALFDNLRYLIPSC -TAHYSGAKSLIEKFLERPFKSALEVYIYIKAKELLISLAQNNKFRYYSKVRLLGLTVDQSSVGATGIYPS -FITKCIYKHYKSLISEVTTCFFLFEKGLHGNMAEEAKIHLETVEWAEKFKYKESEHGKDLVENGYTLHDV -LEDQIKPIQQLYCKDVVKLATLELNKILISKSQSIAAALSNKHWDSPYFSQTRNISLKGMSGQLQEDGHL -AASYTLIEAIRYLHESRKNPNVLELYHETKDIRAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYFD -ALSKNVQEEYISYGGEKKILQIQASLEKALRWASGHSVITLSTGEQIKFKRKLMYVSADATKWSPGDNSA -KFRLFCSHIHDGMKDRKLKKCVINALKGIYETEFFMSRKLRGYINNMLGLAPQVKEFESFFDCIEGKSGL -VRGNWLQGNLNKCSSLFSVAISFLFRDMWNSLFPELDCFIEFAHHSDDALFIYGYLEPLGDASDWYLYVT -QKIQAGHLHWHSVNVDMWKTMFNLHEYLLLMGSIRVSPKKTTVSPTNAEFLSTFFEGCSVSVPFSKILLG -ALSDLPGLGFFDDLAAGQSRCVKALDMGASPQIAQLAINITNSKIERLYGTAPGMVNYPSHYLELTRDKI -PIPLGGLGSSSILELATAGIGMSDKLILKNTLVSYKHKHKSEDMYILGIFKFLMNLAQESFQHEKLGEFS -FLGKLQWKIFVPKGEFEFYDLYSRNMINDWSEEHPVYDYLIPRSRDDLLIYLVRKLNDPSIVTAMTMQSP -IQLRFRMQAKQHLPVCRYNEDWVTFRHVLAAANDFAKQYKPTENDLDLFNTLVSCTFSKEFAWKDFIENT -ECDVIQSRRIHKAKVARVFTVKERDQIIQNSIQVVIGYKFAKTENEISDVLASARFPDSLSNDLTTLHEG -VYRELGLNISIPIVMKKVAPLLYKTSRSRVVIVQGNVEGTAEAICSFWLKSLSFTKQIKIRPHKDVLKAV -SIFSKKDFVGEDTQLGALRLCIEIWRWGKHNDVDVIEWLHTLWFEDKTLWDWVLFFQRKGLPLVDPEIQC -GALMMHDLLKDMTFLQMHANRRAFSGKQYDAYCMQTYNEETKLYEGDLRVTFNFGIDSAQLEVIWKKKTY -TLETSITSKPVLRVLMQEVTNELSKCGMKFETLQTIGYKSLVLFKTDSGFEWGKPNIPCIVFKNCFLRPT -LRNRQVVNKTFMITIVDNGFKAIAQQDLESNRFHLAHAYHNIRDIRYQALDSIGNLWYYHSGNKLYVNPI -IAAGLFENFMKGLPAVIPPQAYSLILTKANLTVDLFMFNKLLGLINSDNKLDLRNITEYDREQGTASISL -RSWAEEMDIEDLEVEDDEYVVDLNDLDFDTLNTAEDIQHFLQDESAYTSDLVIDIESSEAKKVRGITKLL -EPIRLIKSWVSKGLAIEKTYDPIAIILMTRYLSKKYNFGLIPIINLDPYDLTELESIVRGWGETVCDQFY -ELDTQARRFLQHNNCLPEDLTPDSLFSFKHTEILLNRLFLPDRTSSFY ->AGP03148.2 RNA-dependent RNA polymerase [Xuan son virus] -MNRYRDVEREVRSYEPGSLSAAECLNLLDKLYALRHDLVDEMIKHDWSDNKDQETPIITVLLQAGIPEDI -LTRMEKKVIPNHPTGKTLKHFFKMTPDNYKITGHRIEFKEVTVTSDVEKGIREKNKKYLDGMNQIQHELD -NAFKEGRLKYHYVVDFTVISVKTDGSNISTQWPSTRNRGVVQHMHLVQAYIQYTREHLINPLEKGALEAM -FNLKFNITEPSIDVFNIPEYSGVSRCQADFDELKFYAQRWMESSHTFAFKEVTGDAVNNAFTLSEQEQAI -KYKHSKKPRNFLLIQASVQSEYSPATIFSDQTDMRIICSELLKEEPTSAVQSLVRDMLYQYTQLDQADIE -NYYRSKSLFDGTQRVAEPGTFKMGVSKMSIEGRACLEGLQHKSSTAKEIESIPIQKQEYVSLSMGVVQKI -LSDLEIVVGTDIHRVTKRNERTLVDKVLKKFTENELDKHLIKPIEKTVAWHVAHLVRDITESLIAHSGMR -RSKYWSVHAYHHGNVLLCILPSKSLEVSNSYVRYITVFKDGYGLVDPDNIDSIQIIDGTKWIYSKVISLD -LTRLLALNSAFEKSLLATAVWFQYYAEDQNHFPLQATVRSVFSYHLILSTSQKMKVCALFDNLRYLIPSC -TAQYSGFEKLIEKFCLRPFKSSLDVFVYMTSKKLLISLAQNNKLRFYSKVRLLGLTVDQSTIGASGVYPS -LLSNAVFRHYRSMISEVTTCFFLFEKGLHGTMTEEAKIHLETVEWAQKFCQKENKYGEKLVEEGYRLTDL -LDHTVVVEQQLYCQDVVELAAEELNKLLVSKSQIVATSILNKNWEKPYFSQVRNISLKGMSGQLLEDGCL -ASSITLIEAIRYLSTHRTNPGLIELYNETRHIKAQARIVRKHQRTEADRGFFITTLPTRARLEIIEDYYD -ALSKNVTEEYISYGGERKILQVQAALEKALRWASGFSTIVLATGKSLRFKRKLMYVSADATKWSPGDNSA -KFRLFTEVLHNGLRDDLLKHTVVDALKHIYETEFFMSRRLKGYIENMDLLEDSVRDFKAFFNYSDGNSGL -VRGNWLQGNLNKCSSLFGVAVSFLFKRIWHELYPELECFIEVAHHSDDALFIYGYLEPVDDGSDWFLYVS -QKIQAGDLHWHAVNQDMWKAMFNLHEHLLLMGSIQISPKKTTLSPTNAEFLSTFFEGCAVSMPFTKILLG -ALSDLPGLGFFDDLAAAQSRCVKALDMGASPQVAQLGIGIVNSKIERLYGTAPGMVNSPIKYLSIPEEDI -PIALGGLGANSIMELATAGIGMSDKCSLKKALIEFKHKNRGKHSYHLGLFKFLMELSEETFQHEKLGEFC -FTGKVQWKIFTPKSEFEFHDLYSKSLLDKWTQEHPAYDYMIPTSRDSLLAYLVRKLNDPSIMTAMTLQSP -LQLRFRMQAKQHMKVCKYKGDWVTFRDILAAADSFARDYCPTEQDLDLFHTLTECTFSKEFAWRDFLNSV -ECEVIQSKRSHRPKVARTFTVKERDQAIQNPVSLVIAYRFANKQDEIRDVLHYSRYPDSLPSDLNTLYQG -VKRELGLDISDRQVMKRVAPMLYKTGKSRVVIVQGNIEGTAEGICSYWLKSLSFTKVIKIHPHREVLKAV -SIFNVKEQSGDRIDLAAVRICIEIWRWAKHNRLNVGDWLHYLWFENRTLFDWIMKFQRTGPPLVDPEIQC -AGLMIADVTGNMSVLQVQANRRAYSGKQYDAYCYQTFNEVTKQYEGDLRVTFNFGVDCARLEIFWEKQEY -LLETSITSRHVLKTLMEEVTKELLNCGMRFKTEQAHSSTGLVLFKTDAGFEWGRPNVHCIIFRNCMLKTS -LRTRQSAKHDFTITIVEDGFKAIAQYDLESPRFLLAHAYHTLRDIRYQAIDSVGPVYFQNLYLNPVIAAG -LLENFMKGIPASIPPNAYSLIMNKAKISVDLFMFNKLLALINPNNVLNLEGLEATEDGYSTVTSLSSRDW -AQEMEISDAEVDDDEYTIDLNELDFETIDPEDDIEHFLQDESAYTRDLIISAEPKEVKKLRGLVKVTEPV -KLLKSWVTKGHAIEKVYNPIGIILMTRYLSKHYNFGDQQVSLLDPYDLTEFESIVRGWGELVFDQFEELD -SAARQFVEEKSVLPEDVVPDSLFSFSHTKVLLNRLFVKDRASSFY ->ANS56990.1 RNA-dependent RNA polymerase [Nova virus] -MKRYLDLEREIRQMPLSSLSATECIGLLDQLYAVRHDLVDEMIKHDWSDNKDKETPIVTVLMQAGISDNS -LGLIEKVVIPDHPTGKNLGAFMRTTPDNYKIEGTKITFIEVTVTSDVDKGLKEKYQKYGSGMNTLQKELD -KLWRRGELARQYTIIFNVVSVKNDGSNLSTQWPSRRNQGVVQHMRLLQALIQQVREKLIKNEEKSILEAM -FNLKFNIGTQFVETFNIPVFQGMQYEQIDCDALLTYVKNWLSKDRKFAFNEVSGQAVKASFKTYEDLQVA -SYKQSKKPRNFLLLQVAVQSDYKPATIVSDQLDTRYLTRVLHLEGADTPVQHLAKDMMMEFIQLEQVDIV -SFYGNKQSFERTHRVPEPGTFKINMSKLHPDSRSFLETLTRDKEKVKRVTGVYTPEEIESISVKQNSEYN -SCIAVIESIQTSLTTQLGNFETEQKINPARTSIDRVLLKFMKNEITKYMVDVLRKTVCWHVGHLTRDITE -ALIAHSGLKRSKYWSFHAFNNGNVGLFILPSKSLEVSGSYIRYFTVFKDGFGIVDHDNIDSIKMVDGVRW -IYSKVMSIDLNRLMALNIAFEKALVATAVWFQYYVEDQAHFPLITMVRNIFSIHYLLAITQKMKLCALFD -NIRYLIPACTSQYSGYDSLIEKFVNRPFKSAIEIYIYDRAKKLLVSLAQNNKFRYYSKVKLLGLCVDQST -VGASGIYPSLFGCAIFKHYRSVISEATTCFFLFEKGLHGTMTEEAKIHLETVEWANKIKEKEAKYGKEKV -ENGYSLYEVMAGEVQVEQQLYCKDVVILAANELNKVLIPKSQSVLSSIVNKHWDKPYFSQVRNISLKGMS -GQLQEDGHLAASVTLIEAIRYLSNHSKNPSLMELYNETRHVRAQARIVRKHQRTEADRGFFIVTMPTRVR -LEIIEDYYDALAKNVNEEYISYGGEKKILQIQISLERALRWASGTSQLVLSNDKRILFKRKLMYVSADAT -KWSPGDNSAKFRLFTAAVSNGMKDDLLKNCVIDALRNIYETEFFLSRRLRGYLDNMETKSEAVKEFLNFF -DFQKERSGLVRGNWLQGNLNKCSSLFAVGISFLFKKIWSMLFPELDYFIEVAHHSDDALFIYGYLEPVDD -GSDWFMYVSHKIQAGFYYWHAVDHDMWKTMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVS -IPFTKILLGALSDLPGLGFFDDLAAAQSRCVKALDMGANPQVAQLVVGLVNNKVERLYGTAPGMVNNPAR -YLSLSPEDIPICLGGTGPGSIMELATAGIAMADKCSLRKALQTYQHKASKSSSYHLGLFKFLMLLSEDVF -NHDTLGEFCFTGKVQWKIFTPKSEFEFHDLYSPTIVKNWTDEHPSYDYVVPTRRDDLLIYLVRRLNEPSI -MAAMTLQSPIQLRFRMQAKQHLNVCRYKSEWVSFRQLLAAADVFAQEYSPNQNDLDLFQTLCNCTFSKEF -AWRDFLNTVDCEVLPQKRIHRPKVARTFTVKERDQAIQNPISVVIAYRFAKKPEEIRDVLKNAKFPDSIS -NDLDVLHKGVHRELGLDISDVAVMKRVAPMLYKSSRSRIVLVNGNVEGTAESICSYWLKDISMMKTIKVR -PHREVLKAVSIFGHKDTSGDKKDLAALRVCIEVWRWAKHNDADVREWFHSLWFEDRTFMDWVNKFQTKGL -PIVDPEVQCAGLMIADLTRDESILQMQANRRAYSGKQYDAYCIQTYNEEKKQYEGDLRVTFNFGTDCARL -EIFWDKQDYLLETSITSRHVLKIMMEEVSKELQGCGMRFKTEQRSHTSAVVLFKTDAGFEWGKPNVPCVV -FTKCVLRTSLRTHTAIKHDFMIKIVDNGFRAIAQYDLDSPRFMLAHCYHILKDVRYQAIDTVGAIYYGRR -NKLYLNPIISAGLFENFMKGIPAVIPPTAYSLIMNKAKISVDLFMFNKLLALINPNNILNLEGLHPAESG -YSTVTSISSTLWSEEMELEEAEVEDDEYVIDLDELDFQDISYQEDIEHYLQEETMYGSDLIIQSESLEVS -RVRGIVKLNEPIRLIKSWVSKGLLIEKVFDPIAIILITRYFSKKYRFGGQQVSTMDPYDLTEFEAVVRGW -GELVFDQFEEADKQAREYAIKYNPSPEELIPDSVFSFRHTELLLNRLFFKDKLTSFY ->AEA11486.1 RNA-dependent RNA polymerase [Rockport virus] -MDRYREIHQIIKDKIPGEVSAVECLDLLDRLYAVRHDLVDQMIKHDWSDNKDRECPISQVLLMAGIPNET -LNSMEKKIIPGSPSGQILKSFFKMTPDNYKITANLIEFIEVTVTTDIARGIREKKQKYLPGLEFIEQLLA -QETKRGNIKENYKIKFDVVAVKTDGSNISTQWPSQRNPGVVQNMRLVQADITFVREHLIKIDERPTLEAM -FNLKFHVNVSKTRTFHIPNYRPQMLYHPNIDNLVNHCREWLTTEHDFVFKEVKGMSVYSTFQKYESQHMH -KYNSSKKPRNFLLIQCTIQGPVLESTIASDQCDTRIGLLEVLKLEPLTPTQYLVVDMAHRYVNLDRDDIM -NFYSSKSHFNSTKNIKEPGTFKLNMSSLSVQSKSLLEKVGKKGDHKGVFGTVIDSIDISSQLQINECSRN -IESILSQLEMNIGETNTRLAAPAKTTGVDNLLSKFYENEMGKFLLTIIRKTVAWHIGHLIRDITERFNCS -FLGLKDQKYWSIHAYDHGGVILFILPSKSLEVVGSYIRYIIVFKKGIGLVDEENLDSSLDIDGVTWYVTK -VISLDLNRLLALNISFEKALLATATWFQYYTEDQGHFPLQHAIRSVYAFHFLLSVCQKMKLCAIFDNLRY -LIPSVTALYSGFELLIEKFFERPFKTCLEVYIYNIVKSLLISLAQNNKVRFYSKVRLLGLTVDQSSVGAS -GVYPSLMARVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWANKFVEKEKKFGKSKLENGY -NIAEIGGLIKVEQQLYCQEVVELAAQELNSYLHAKSQVLATNILNKHWDKPYFSQTRNISLKGMSGSLQE -DGHLASSVTLIEAIRYLNNSQINPTLIDLYEQTKDQPAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYYDAIAKVVPEEYISYGGERKILNIQTALEKALRWASGESEIIQANGDTIKLKRKLMYVSADATKWSPG -DNSAKFRCFTQALYDGLTDDRLKNCVVDALRNIYETEFYMSRKLHRYIDDMDVLSDNVKGFLEFFESKAS -SKIRGNWVTGNLNKCSSLFGVAVSLLFKHVWQKLFPDLDCFFEFAHHSDDALFIYGYLEPLDDGSAWFKY -VSQQIQAGGLHWHSVNNEMWKSMFNLHEYILLMGSIRVSPKKTTVSPTNAEFLSTFFEGCAVSIPFIKIL -LGSLSDLPGLGFFDDLAAAQSRCVKAMDLGASPQLAQLAIVLCTSKVERLYGTAVGMVNNPTSYLKVPRN -QVPIPLGGDGSMSIMELATAGIGMADKKYFKKNHINPFYTTKRDNDRYVLGLFKFLMGLSNEVFQHEKLG -EFSFIGKVQWKVFTPKSEFEFYDQFSQTYIQNWTSQHPAYDYIIPRSRDNLLVYLVRKLNDPSIVTAMTM -QSPLQLRFRMQAKQHMKVCRLEGQWVTFREVLAAADSFASTYNPTKDDMDLFQTLVNCTFSKEYAWKDFL -NEVRCEVVTSRQIHRSKVARTFTVRERDQEIQNPITAVIGYKYAQTVEEISDVLDTAIFPDSLSADLQTM -KEGVYRELGLDIGIPEVLKRIAPLLYKAGKSRVVIVQGNVEGTAESICSYWVKSMSLVKTIKVQPKKEVL -KATSLYAAKDNVGFQVDLAASRLCIEVWRWCKANEQNVIEWLQALFFEKQTLMDWINRFRQKGVVPVEPE -IQCLGLLLYDVLGVKSLLQTQANRRAYSGKQYDAYCVQNYNEEKKIYEGDLRVTFNFGLDCARLEIFWDK -QEYILETSVTQKHVLKIMMEEVTKELLRCGMRFKTEHVTSTRSLVLFKTESGFEWGKPNVPCIVYKNCAL -RTGLRTKNTVNKEFLITTQANGFRAIAQFDEDSPRFLLAHAYHSLKDVRYQAVTAVGNVWFRLQNNKLFL -NPIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLQNINPKNTLNLDGIEDTSEGYTTVT -TLSSRNWSEEMSIVSEDGIDDQESYTIDLDDIDFEDVNLEEDIEHFLQDESAYTGDLLIQTEDTDIKKIR -GVIRILDPIRLIKSWVSKGLAIDKVYNPVGIILMTRYMSKTFEFNKIPLSLLNPYDLTEFESVVKGWGEC -ISGRFEEIDEEAHRYVENRNLQPEDVLPDSLFSFHYVDILLRRLFPKDSSSSFY ->AEA42011.1 RNA-dependent RNA polymerase, partial [Azagny virus] -WSDNKDTEEPISKVLILAGIPNHIITWMEKKIIPDDPSGKNLKSFFKMTPDNYRITGFTIEFVEVTVSAD -VDRARKEKYQKYEPGLKFIESELRQAFYKGELLQPYKIIFNVVAVKTDGSNITTQWPSDRNAGVVQYMRM -VQAEISYVREHLIKPDERGALEAMFNLKFHIGINNTQNYYVPLFPGIASIQPRLEELLAYCKEWMRQEHK -FAFHEVTGRAVLDAFEKNERLHGERYTVSKQPRNFLLIQCALIRSYQPATIVSDQIDTRNACHEMMKFQP -MTGTTALVLDMAYKYLNLERDDIINYYSNQGNFTSTLVVKQPGTFKLPSSQLRDESKQMLEMISKHEKGS -SYMNQLESLDIKSMMVQEDCVSIVSKILSNLELNIDEPSSFDRPSARHTYVDTILDKFLTNELQRYLIDI -VKKTTAWHIGHLIRDITESLIAHAGLKRSKYWSIHAYNNGNILLCILPSKSLESAYSFIRFITVFRLGPG -LVDPGNLDHIYESEDGVWAVSKIISLDLNRLLALNVAFEKTLVATATWFQYYTEDQGQFPLQHAVRSVFS -YHLLLSVCQKMKLCALFDNLRYLIPSVTASYSGFPSLIDNFFDRPFKSAIEVYVYNMSKSLLVSLAQNNK -SRFFSKVRLLGLTVDQSTVGASGVYPSLLGRVIYKHYKSLISEVTTCFFLFEKGLHGTMNEEAKIHFETV -EWAQLFRMKEEKYGSYLVENGYKLLNLQDLPELVTQQLYCQDVIELAAQELNSVLLAKSSIVANSIINKH -WDKPYFSQTRNISLKGMSGSLQEDGHLSSSVTLIEAIRYLQNSRENPTLIKLYEETRDKKAQARIVRKYQ -RTENDRGFFITTLATRCRLEIIEDYYDAIAKNVSEEYISYGGEKKIINIQMALEKALRWASGESSIELST -GQVIRLKRKLMYVSADATKWSPGDNSAKFQRFTSCIHDGMSDTRLKNCVIDALKHIYKTDFFISRKLKGY -IESMPDINDNIKDFSSFFPNGHCGEVRGNWLQGNLNKCSSLFAVSLSLLFKRVWKELYPELDCFFEFAHH -SDDGLFIYGYLEPMDDGTEWFMYVTQQIQAGNLHWYGVNTEMWKCMFNLHEHILLMGSIKVSPKKTTVSP -TNAEFLSTFFEGCAVSIPFIKILLGSLSDLPGLGYYDDIAAAQSRCIKALDMGANPQVTQLAIGLCTGKV -ERLYGTAPGMVNHPTTYLAVRHTDTPIALGGHGIMSIMELATSGLGMSDKNLLKQALIGYQHKRRKLDQY -ILGLFKFLMILSDETFNHERLGEFSFMGKVQWKIFTPKSEFEFFDLYSAQFIQDWSNQHPTYDYIIPRSR -DNLLVYLVRKLNDPSIITAMTMQSPLQLRFRMQAKQHMKVCRMDGEWVTFRQVLAAADSFAAIYQPSSQD -IDLFQTLVACTFSKEYAWKDFLNGIECDVVPVKQIQKPKVARVFTVRERDQVIQNNMQSVIGYKFAVTVE -EINDVIKSSRFPDSLSSDLLTMKDGVYRELXLDISRPEVMKRVAPLCT ->AFQ36585.1 RNA-dependent RNA polymerase [Uluguru virus] -MQSYYELRGEIHALQPGTVSAVDCMQYLDKLYATRHNLVDELIKHDWADNKESEEPISGVLIRAGIPLDV -ITAMEKVRIVDHPTGKLLYHFFKMTPDNYKIDGNCIQFIEVTVTTDVDRGIYEKRKKYETGFNQLQKELD -HHWKSGKLKDKYVLSFDVVSVKTDGSNLSTQWPSNRSHGVVQHMRMVQAEIQYVREHLIKPMERTVLESM -FNLKFYTGHVKDEQYVLPEYDDVPDPQVQEEELVWHLQDWLTKKQSFSFHEVTGEIIAESFRKFEKIHEP -KYVKSRKPRNFLLVQVALQSEYKPMTTSMAQIDTRLAASIIASEEAETPVQSLVREVCLKYLGLSNLDVN -SFYAPSNIFEKTAAVPQPGCLRISHSQFDESAKSVINQSIHKQLDGVQQIESLHPWCASESIHSIETIES -ILSNLEINIGTDTEDHISYRKRSPADTLLGKFLESETDKYLLKVLKKTVCWHLGHLVRDITESMIAHSGL -KRSKFWSIHGYHNGNVLLILFPSKSLEVSNSYVRYIIAFKQGLGIVDLDNIDSKKVLNDTVWIFSKPITL -DLNRLLAINMAFEKSLIATGVWFQYYTEDHSTFPLQHNVRSVFAYHFMLAMCQKMKICALYDNLRYLIPA -CTANYSGASSLIEKFLDRPFKTSLEVYIYQKTKSLLITLAQNNKFRFYSKVRLFGLTVDQASVGATGIYP -SFISSCIYKHYKSLISEVTTCFFLFEKGLHGTMTEEAKIHLETVEWAQKFQEKESFYGKDLVENGYRVSD -ITSEKVVVCQQLYCKDVVKLATQQLNKLLSAKAQAIAAGISQKHWKAPYFSQTRNISLKGMSGQMQEDGH -LSASYTLIEAIRYLTQHKFNPSVLELYEETKSIRAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYF -DAISKNVQEEYISYGGEKKILQIQSSLEKALRWVSGSSVIQLSTGVQIKMKRKLMYVSADATKWSPGDNS -AKFRLFTSQLFDGLKDRKLKCCVIDALKGIYETEFFISRKLRGYIEKMEYHEYNVKEFYEFFSFSQGRSG -LVRGNWLQGNLNKCSSLFSVALSFLFKDMWSKIFPELDCFIEFAHHSDDALFIYGYLEPEGDGSDWYLYV -SQKIQAGELYWHSVNTDMWKTMFNLHEYILLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFSKILL -GALSDLPGLGFFDDLAASQSRCVKALDMGASPQIAQLTINITNSRLERLYGTARGMVNYPGTYLEMPLEK -IPIPLGGIGSSSILELATAGIGMSDKLILKDTLIAFKHKHRQQDRYILGVFKFLMNLSSETFQHERLGEF -SFLGKVQWKIFIPKGEFEFFDLYNSKLINDWAQAHPIYDYLIPRSRDDLLVYLVRKLNDPSIVTAMTLQS -PVQLRFRMQAKQHMPVCKYKDEWVTFRHILSATHEFASTYEPTEADLDLFNTLVSCTFSKEFAWKDFIAN -TECDVIQSRKTHRSKVARVFTVKERDQIIQNPISVVIAYKFATSPNEISDVLAVAKYPDSLSNDLTVLHE -GVYRELGLNISIPDVMKRVAPLLYKTSRSRIVIVQGNVEGTAESICTYWLKSISFIKQIKIRPHKEVLKA -VSIFTKKEFIGEETQIAALRICIEIWRWGKCNNVNLYDWLTTLWFEDKTLWDWISFFQRKGFPLIDPEIQ -CAGLMLYDIKKDLSLLQIHANRRAYAGKQYDAYCTQTYNEATKLYEGDLRVTFNFGIDCARLEIFWDKKA -YILETSVTSKPILKILMQEVSDELQKCGMRFETLQAAGYKALVLFKTDSGFEWGKPNIPCVIYKHCALRP -NLRNRQVMSREFIINVIDNGFKAIAQEDLDSNRFNLAHAYHTLRDIRYQALDSVGNLWFDVYENGRKQLY -MNPLIAAGLMENFMKGLPAVIPPQAYSTIMMKANITVDLFMFNKLLAYINPNNTFDMTGIESCGGEQGTV -SLSLRSWAEEMDIHDLEVDDDEYVMDLNDMNFDLIDTNEDIQHFLQDEAAYTADLIIDTEMSELQRIRGI -TRLVEPIRLIKSWVAKGLAVEKSFDPISILLMTRYLSKRYTFNKQPLRELDPYDLTELESIVKGWGELLH -DQYNAVDKSAKEYLAKHNCSPEDLSPDSLFSFRHTESLMNKLFVPDKTSSFY ->AKI28848.1 RNA-dependent RNA polymerase, partial [Altai virus] -MNRYIEIEGVLRGAAPGSFTANECLEYLDRLYSIRHDLVDEMVKHDWSDNKDTETPIINVLLLAGVSENA -IKKIEKIHVPNHPTGKTLKSFLKMTPDNYRIEGNKIMFKEVTVTSDVDRGIREKRIKYLDGLTELERQLA -LLHEKGEVKQRFSIEFDVIAVKTDGSNISTQWPSRRNAGVVQHIRLVNGFIAYVREHLCAADDRNALEAM -FNLKFFTQKVVDVDVAIPDYPEYTDYNSYYSDLLEYTRLWLERPHRFVFEEVKGQDVLAAFEKFEVEQRH -KYPKSRKPRNFLLVQVALLYEYKPSTIVTDQIDVIRGGQVLLADDPLTPVQYLVRDMLSEFMKLGNADLV -AYYTHKSDFSSTPSVSQPGTFKLPMGRLDQQSKKCLDMLSTHSPSVNSYIESIDVTDSETVNICMGIIEK -LLNDLEVPIHDQAFEIQKREGRTYADTVLNRFLETELSKYLLKTLRKTTAWHIGHLVRDISESLIAHSGL -KRSKYWSIHGYHDGNVMLFILPSKSLESAASFIRYITVFKEGVGLIDKENIDSVSIIDGVTWVYSKVMSI -DLNRLLSLNISFEKALIATAVWFQYYAEDQNHFPFQATIRSVFAYHYLLSVCQKMKICALFDNLRYLIPS -CTALYSGYKSLIEKFVIRPFKNSLDVFIYKSVKTLLITLAQNNRTRFFSKVRMLGVSVDLSTLGASGIYP -SFISKAIYKHYRSLISEVTTCFFLFEKGLHGPMSEEAKIHEETVEWALKFKDKESKYGVDLVRYGYSIKD -AISKPELVDQQLYCHNVSELAALELNKVLEPRIGMVNQSLANKHWKKPYFSQPRNISLKGMSGQIQEDGH -LPSSFTLIEAIRYLTTHNTNPTLLQLYHQTRDIRAQARIVRKHQGTEADRGFFIVTLPTRVRLEIIEDYF -DSVAKHVNEEYISYGGEKKILYIQSALERALRWASGKSMIKTATGQEICFKRKLMYVSVDATKWSPGDNS -AKFMPFTSKLLKGHVKKNLKNCVIDALKGIYETEFFMSRRLRGYLEKMDEKSEHVKEFLSFFNNYKERSG -IVRGNWLQGNLNKCSSLFSVGVSLLFKRVWKDLYDELDCFLEIAHHSDDALFIYGYLEPEGDQSEWFMYV -SHKIQAGETHWYAINQDMWKTMFNLHEHLLLLGSIKVSPKKTTLSNTNAEFLSTFFEGCAISVPFTKVLL -GSLSDLPGLGYFDDLAAGQSRCVKALDMGASPQLAQFCLGLVNSRIERLYGTAPGMVNDPARYLNIDKHD -IPIPLGGLGTNSIIELSTAGIGMFDKCSLRKALYNHIHKGLPKNRYHLGLFKFLMNLKDEVFNHERLGEF -SFMGKVQWKVFTPKSDFEFHDMYSKSQIDKWSEDHPVYEYLIPSKRDNLMMYLVKKLNDPSIVTAMTLQS -PIQLRFRMQAKQHMQVCRYQEEWVTFKDILAAADVFASKYQPTEHDIDLFRTLSECTFSKEFAWQDYLNT -VDCEVHTQRKVHRPKVAKTFTVRERDQHLQNQVSVIVAYRFATKPEEIDDVMAVARFPDSLSVDSRTLKE -GIYRELGLDISIPEVMRRVAPMLYKSARSRIVLVHGNVEGTAESICNYWLSSMSFTKTIRVIPHREVLKA -VSIFNPKANQGDITLIAALRCTVEVWRWCKFNDQDYKKWLHNLWFENKTLYDWAKKFQQDGVPLVDPEIQ -CAALMLFDLFGDKITLQLQANRRAYSGKQYDAYCHQEYNELTRQYEGDLRVTFNFGIDTAKLEIFWDKKE -YTLETSITSKHMLKILMDEVTKELLGCGMRFKTEQAKSSSAVVLFKTDAGFEWGKPNTACIIYRNCALRM -NLSLYTPPVLDHNITIIEKGFKAIAQIDEESPRFLLCHAYHTLRDIRYQALDAVGPIYFDKLYLNPIIQA -GLMENFMKGLPASIPPNAFSQIMNKAKISVDLFMFNKLLCLINPLNVLDLTGLEEATGGYSTVSSISSRD -WATEVAMTDDLDSDTEDDIIDLDDLDFDQIDTAKDIEHFLQDESAYTADLVIQVSEKEMKKIRGLVKINE -PIRLIKSWVTKHLAIEKLYNPQHIVLMARYFSKKYSFGHQPVSLMDAYDLTEFESIVRGWGETVVSDFDD -IDARARVLVEEKKVLPEDLMPDSLFSFRFTEVLLNRLFFLDRSSSFY ->AHA83412.1 RNA-dependent RNA polymerase [Xinyi virus] -MEKYREIHTKLREIQVGSLAAVDCIDYLDRLYAVRHDLVDQMIKHDWADNKDVEEPISKILLMAGIPENV -MTAMEKKVIPDHPQGKTLKSFFRMTPDNYKITGFKIEIVEVTVTADVDKGIREKRLKYEAGFVFIEQELK -RAFHRGEILQPYQIYFNVVAVRTDGSNISTQWPSQRNDGVVQYMRMVQAEINYVREHLIKPDERAALEAM -FNLKFHIGGLKVQNYYIPDYTGISSINPSLDALVDYCKNWLSASHKFVFHEVIGKSVLEEFEVNEIEHRK -KYPVSNHPRNFLLIQCSLTRGYYPATIASDQIDTRNACLEILRFQPVTGVTSLVLDMAYRYLNLDKVDMM -AYYSPKSTFEGTPNVKTPGTFKLPSSQLRDESKIMLDMISKHEKGSTHGKNIESIDIHSQAAQDDSISIV -TKILSDLEMNIGEPTRFDQPATKHTYVDTVLEKFYQNELQKYLIDVLKKTNAWHIGHLIRDITESLIAHS -GLKRSKYWSLHAYNNGNVLLCILPSKSLESAGSFIRFMTVFRYGPGLVDAANLDYLLEDESGSWAVSKIM -SLDLNRLLALNIAFEKSLIATATWFQYYTEDQGQFPLQHALRSVFAYHMLLSVCQKMKLCAIFDNLRYLI -PAVTSLYSGFPSLIANLFDRPFKTAVEVYVYHTIKSLLVALAQNNKARYYSKVRLLGLTVDQSTVGASGV -YPSFLSRVIYKHYKSLISEVTTCFFLFEKGLHGNMNEEAKIHLETVEWAYKFREKEEKYGTHLVEHGYRI -HDLIARPFLVEQQMYCQDVVELGAQELNHLLISKTQVVGNSIINKHWDQPYFSQTRNISLKGMSGMLQED -GHLASSVTLIEAIRYLQNSRMNPSLLQLYEETRNAKAQARIVRKFQRTEADRGFFITTLPTRCRLEVIED -YYDAIAKNVPEEYISYGGERKILNIQQALEKALRWAAGESHIELGTGKTIFNETEINVPFAQTPLNGGPG -DNSAKFRRFTAILHNGLHDNKLRNCVIDALCNIYKTDFFMSRKLKRYIAQMEEQDQHVKDFLAFFPDGHS -GEVHGNWLQGNLNKCSSLFAVGMSLLFKRVWRELFPDLDCFFEFAHHSDDGLFIYGYLEPVDDGTDWFLY -VTQQIQAGNHHWFNVNTEMWKCMFNLHEHILLMGSIRVSPKKTTVSPTNAEFLSTFFEGCAVSIPFIKIL -LGSLSDLPGLGYFDDLAAAQSRCVKALDMGAGPQVAQLAIGLCTNKVERLYGTAPGMVNHPATYLSVKHT -DTPIPLGGNGAMSVMELATSGIGMSDKNLLKRALLGYQHKRKKQDQYILGLFKFLMNLSDETFNHERLGE -FSFTGKVQWKVFTPKSEFEFYDMYTHNFINTWSEQHPTYDYIIPRSRDNLLVYLVRKLNDPSIVTAMTMQ -SPLPLRFRMQAKQHMKVCKMGGEWVTFRAILAAADSFAADYEPTQQDLDLFITLTSCTFSKEYAWKDFLN -NVQCDVIPTKQVQRPKVARVFTVREKDQVIQNSITSVIGYKFAVSVEEIADVMDAARFPDSLSSDLKTMR -DGVYRELGLDIGYPKIMKRVAPLLYKSSKSRVVIVQGNVEGTAESICSYWLRSMSLVKTVKITPHKEVLK -AVSIFNRKEDIGRQRDLAALRLCIEVWRWAKANDAPYREWFHALWFEDKTFSEWLDRFVRVGVPTIDPEI -QCAALXIADIKGDMSVLQMQANRRAYSGKQYDAYCVQRYNEETKLYEGDLRVTFNFGLDCARLEIFWDKG -TYILETSITQKHVLKIMMEEVTKELLRCGMRFRTEQVQSTKSLVLFKTDSGFEWGKPNVPCIVYRNCNLR -TSLRTNYAVNHKFMINIRDNGLKAIAQYDDESPRFLLAHAFHTIRDVRFQGVDSVSNVWFIHKGIRLYLN -PIINSGLLENFMKSLPAAIPPAAYSLIMKRAKISVDLFMFNDLLKAINPENVLDLSGIQMTSEGYSTVSS -VSSKLWSEEVSLMDEDEELEDEYTVDLDSIDFDNIDFQADIEHFLQDESAYTSDLLISTEDTEVKKMRGI -IRILEPVKLIKSWVSRGLLVEKVYNQKNIILMARYLSKNFNFKRFPVSTLDPYDLTELEGIVKGWGEQVL -DNFKELDIQAQTLVRDKGVVPEDVLPDSLFSYRHTMVLLRRLFPQDTLSTFY ->ABV21394.1 RNA-dependent RNA polymerase [Imjin virus] -MQKYYEIRDKVHGIEPGTIGAVECINLLDSLYMVRHDLIDEMIKHDWSDNKDKETPIADVLLKAGIPAEI -INGMEKVRIVDHPAGKTLKQFFKTTPDNYKISNNVIHFIEVTVTVDVEKGIYEKNQKYQGGLNQIQQELD -KAWHQGKLQHKFELKFDIVAVKADGSNLSTQWPSTRSQGVVQHMRMVQAEIQYVRDKLLSTQERGTFESM -FNLKFHTHTEREFKYTLPSYEDVEDCDANLDELLDHTKEWLTKKQSFSFHEVTGEKVTKSFELYEKDQQT -MYPKSKKPRNFLLLPVGIQSEYKPMTIYMSQVDTKLVANIILSELPETPLQSLVKDICYSYMMLDNVEIN -NYYSPVHIFEKTKNIPQPGCLKINIGLFDEGAKAILSQTKQEANGVQQIESLHPWNHSESITSMSIIEHI -LSQLEINIGVDPDDMTTYRKRSPVDSLLGRLMQSETEKYLIKVLRKTVGWHIGHLIRDITEALVAHAGLK -RPKYHSISGFHHGNVLLVMLPSKSLEVASAHVRYIIVHKEGYGFVDPDNIDSKKIINGTCWIYSKAMTID -LNRLLALNISFEKAFLATAVWFQYFTEDQSIFPLQSTVKSVFSYHLLLAVTQKMKVCALFDNLRYLIPSC -TASYSGADSLIEKFCKRPFKSSIEVYVYNKAKELLISLAQNNKFRYYSKVRLLGLTVDQSSVGATGIYPS -LICHTVYRHYKSLISEITTCFFLFEKGLHGTMTEEAKIHLETVEWAQKFKQKESDYGKDIVENGYSLSMV -IKNEIKPEQQLYCKDVVKLATIELNKVLSSKGQVIANSIMSKYWETPYFSQTRNISLKGMSGEIQEDGHL -ASSYTLIEAIRYLNTHKRNPTVLELYAETKDIRAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYYD -SISKNVQEEYISYGGEKKILQIQGSLEKALRWASGTSVITLSTGDQITFKRKLMYVSADATKWSPGDNSA -KFRLFSSHIHDGLKDKRLKRCVIDALRGIYETEFFLSRRLRGYIDNMNYLEMNVKEFLSFFDHVEERSGL -VRGNWLQGNLNKCPSLFSVAISFLFKEVWAQLFPELDCFIEFAHHSDDALFIYGYLEPEGDASEWFLYVS -QKIQAGQLYWCSVNTEMWKTMFNLHEYLLLLGSVKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFSKILLG -ALSDLPGLGFFDDLAASQSRCVKALDMGASPQIAQIAVNLTNSKVERLYGTASGMANYPCNFINVNRDKI -PICLGGTGSSSILDLATAGIGMSDKMILKNALLGYKHKHNPEDTYILGIFKFLITLSEETFQHESLGEFS -FLGKVQWKIFTPKSEFEYASLFGNKFLGDWSIDHPVYDYIIPQLRDDLLVYLVRKLNDPSIVTAMTLQSP -LQLRFRMQAKQHMPVCKYKGSWVTFRTILNAAHEFAVEYKPTENDLDLFNTLISCTFSKEFAWKDFIGNT -ECEVIQSRKIHRPKVARVFTVKERDQIIQNPISVVIAYKFAQTTSEIKDVLDKAKFPDSLSSDLKTLHEG -VYRELGLNINDPLVMKRIAPMLYKTGRSRIVIVNGNVEGTAEAICTYWLRSISFVKQIKIIPHCEVLKAV -SIFSKSDFVRDDTQLAALRLCIDIWRWGKYNYVNLQEWFSALWFESKTLWDWLYHFQRKGVPVVDPEVQC -AGLMMYDMLRDSTTLQLHANRRAYSGKQYDAYCTQVYNEESGLYEGDLRVVFNFGVDCARLEIFWDKQTY -ILETSITSKPVLRVLMQEVTTELQKCGMKFNTIQSTGYRSVVLFKTDSGFEWGKPNVPCVIFLNCALRPN -LRNRQVLNKDFMITILDNGFKAIAQQDEESNRFQLAHAYHNLKDVRYQAIETMNNVYFTHEGKNLYINPI -IAAGLLENFMKGLPAVIPPQAYSLIMKKAKITVDLFMFNKLLSLINPRNVFNMDGIDNDYPEFSTVTSLS -TKDWAEEKELEDAEVDDDEYVVDLNDIDFDKVDTLADIQHFLQDESAYTSDLIIDTEPTEAKRIRGIVKL -VEPVKLIKSWVSKGLAIEKVYNPLAIILVTRYFSKQYSFSKVPLAQLDPYNLTELESIVRGWGELVCDRF -EELDQQAHEYILKTKEPPQNLVPDSLFSFRHAELLFSRLFLPDTVSAFY ->ADZ76455.1 RNA-dependent RNA polymerase [Black Creek Canal orthohantavirus] -MEKYREIHQRVREIAPGTGSALDCMDLLDRLYAVRHDLVDQMIKHDWSDNKDVETPIGQVLLMAGVPNDI -IQGMEKKIIPNSPTGQILKSFFKMTPDNFKITGNQIEFIEVTVTADVARGIREKRLKYESGLRFTEELLE -LEVKKGNLQQVYRISFNVVAVKTDGSNISTQWPSRRNEGVVQQMRLVQADINYVREHLIMQDERASLEAM -FNLKFHVTGPRLRYFSIPDYRPQPLCNPTIDGLLQYCKQWLTEEHKFIFKEVSGTNVMGSFEVNEKKHKE -RYLESRKPRNFLLLQTTIQGSYLPSTISSDQCNTRIGCLEICKNIPETPVQALASDIAFKYISLDKDEVI -NYYNPRIHFKPGQNVKEPGTLKIGLSQMNPLSKAILDNIGKHKSDKGLFGQAIESINIASQIQLNECSKV -IEQILSNLEINISDVSENIPLPKKTTCVDELLGKFYENEITKYMLGILRKTVAWHIGHLIRDITESLIAH -SGLRRSKYWSVHAYDHGNVILFILPSKSLEVAGSYIRFFTVFKDGIGLVDNDNTDSKTEIDGITWIYSKV -MSIDLNRLLALNIAFEKALLATATWFQYYTEDQGHFPLQHALRSVFSFHLLLCVSQKMKICAIFDNLRYL -IPSVTSLYSGYELLIEKFFERPFKSALDVYLYSIIKSLLVSLAQNNKVRFYSKVRLLGLTVDQSTVGASG -VYPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFNEKENRYGDILMKEGYT -IELVENQNVTVEQQLFCQEVVELSAMELNKYLHAKSQVLCANIMNKHWDKPYFSQVRNISLKGMSGSLQE -DGHLASSVTLIEAIRFLNSSQINPNVIDMYERTKHCKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYYDAIAKVVPEEYISYGGERKILNIQSALEKALRWASGISEIITSTGKKIRFKRKLMYVSADATKWSPG -DNSAKFRRFTQAIYDGLNDDKLKCCVVDSLKNIYETEFFMSRKLHRYIDSMESKSEAVEDFLSFFSGGVS -ATVKGNWLQGNLNKCSSLFGVAVSLLFKRVWVELFPELECFFEFAHHSDDALFIYGYLEPEDDGTDWFMY -VSQQIQAGHYHWHAVNQEMWKSMFNLHEQLLLMGSIRVSPKKTTVSPTNAEFLSTFFEGCAVSIPFIKIL -LGSLSDLPGLGFFDDLAASQSRCVKALDLGACPQLAQLAIVLCTSKVERLYGTADGMINSPISFLKVNKA -HIPIALGGDGSMSIMELATAGIGMADKNILKKAFYSYKHTKRDGDRYILGLFKFLMSLSDDVFQHDRLGE -FSFVGKVQWKVFTPKSEFEFYDQYSMTYLQAWSKQHPVYDYIIPRGRDNLLVYLVRKLNDPSIITAMTMQ -SPLQLRFRMQAKQHMKVCKLEGEWVTFREILAAADSFASTYQPNEKDLDLFNTLVSCTFSKEYAWKDFLN -EVRCEVTTARHVHRPKVARTFTVREKDQVIQNPITSVIGYKYASTVDEISDVLDSAFFPDSLSADLQVMK -EGVYRELGLDIGLPEVLKRIAPLLYKAGKSRIVIVEGNIEGTAESICSYWLKNMSLIKTIKVKPRKEVLK -AVSLYGTKDNLSLQDDLAATRICIEVWRWCKANNQNVQEWFTALYFENQTLYDWIERFRRKGVVPVDPEI -QCMALLLYDVLGFKNVLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEVFWDKK -EYVLETSITQKHVLRLMMEEVSKELVRCGMRFKTEQVSHTRSLVLFKTESGFEWGKPNIPCIVYKHCALR -TGLRTKHPINKEFMINIQSDGFRAIAQMDIESPRFLLAHAYHTLRDVRYQAVQAVGNVWFRTEQHKLFIN -PIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINKNNILDLSGIEETSEGYSTVTS -MSSKQWSEEMSLMSDDDIDDDEEFTIALDDIDFEQVDLEEDIQHFLQDESAYVGDLLIQTEEVEVKRIRG -VTRILEPVKLIKSWVSKGLAIDKVYNPVGILLMARYMSKNYDFHSVPLALMNPYDLTEFESVVKGWGETI -NDRFQEIDLEAQRLVREQNIQPEDILPDSLFSFRHVDVLLKRLFPRDPISSFY ->ACI32065.1 RNA-dependent RNA polymerase, partial [Asama virus] -IKHDWSDNKDKEEPISKILLVAGIPEDVITGMEKKIIPNHPSGKTLKAFFKMTPDNYKITGFTIEVIEVT -VTSDVDKGIHEKRLKYEDGFLFIESELAQAFKRGKLLQPYKIIFNVVAVRTDGSNISTQWPSRRNDGVVQ -YMRMVQAEINYVREHLIKVDERAALEAMFNLKFHIGGLKHQDYYIPEYPGIESVNPSLDELVEYCKKWMS -TQHRFVFHEVSGKAVMQEFEKNEHAHFKKYKVSHHPRNFLLVQCALNRAYYPATLASDQLDTRNACLEVL -RFQPQSNTTAIILDMAYRYLNLDKVDMMSYYSPQSTFEPTPNVKTPGTFKLPASQLRDESKILLEQIGKH -EKGSSFKKEIESIEIQSQVAQNECVGTIAKILSDLEMNLAEPTKFTQGTAKHTYVDTVLDKFYQNELQRY -MIDVLKKTNAWHIGHLIRDISESLIAHAGLKRSKYWSIHAYNNSSVLLAILPSKSLEAAGSYIRFITMFR -LGPGLVDPSNLDCILTDETGSWAVSKVMSLDLNRLLALNIAFEKALVATATWFQYYTEDQGQFPLKQALR -SVFSYHMLLSVCQKMKICAIFDNLRYLIPAVTSLYSGYPSLIENLFERPFKSALEVYIYHNIKMLLIALA -QNNKIRYYSKVRLLGLTVDQSTVGASGIYPSFLSKVVYKHYRSLISEVTTCFFLFEKGLHGNVNEEAKIH -LETVEWACKFKAKEELYGQHLVENGYRIRDLYAIQDLVAQQLYCQDVVELGAQELNSVLISKTQVVGNSI -IHKHWNQPYFSQTRNISLKGMSGTVQEDGHLSASVTLIEAIRYLQNSKHNPNLLQLYEETRKQKAQARIV -RKYQRTEADRGFFITTLATRCRLEIIEDYYDAISKNVSEEYISYGGEKKILNIQQALEKALRWASGESHI -ELSTGKLIPMKRKLMYVSADATKWSPGDNSAKFRRFTAVLHNGLKDDRLRNCVIDALTNIYRTDFFMSRK -LRRYIDNMTTLEDNVKEFLAFFPDGHSGEVRGNWLQGNLNKCSSLFAVGMSLLFRRVWKELFPELDCFFE -FAHHSDDGLFIYGYLEPVDDGTDWFMYVTQQIQAGKHHWYTVNTEMWKGMFNLHEHILLMGSIKVSPKKT -TVSPTNAEFLSTFFEGCAVSIPFIKILIGSLSDLPGLGYFDDLAAAQSRCVKALDMGATPQVAQLAIALC -TSKVERLYGTAVGMINHPARYLSVKHVDTPIPLGGNGSMSILELATSGIGMSDKNLLKRALIGYQHKKRA -SDQYVLGLFKFLMMLSDDTYNHERLGEFSFTGKVQWKIFTPKNEFEFFDMYTKNHINTWTEQHPTYDYII -PRGRDNLLVYLVRKLNDPSIVTAMTMQSPLQLRFRMQAKQHMKVCKMDGDWVTFRAVLAAADSFATKYKP -TEHDLDLFKTLTSCTFSKEYAWKDFLNNIQCEVVPVKQVQRAKIARVFNVREKDQVIQNSITSVIGYRFA -MTPDEIADVMDTSFFPDSLGSDLKTMKEGVYRELGLDISYPKVMKRVAPLLYKSARSRVVIVQGNVEGTA -ESICSYWLRCMSMIKTIKITPHKEVLKAVSIFNRKEDIGHQTDIAALRLCIDVWRWAKANNAPFKDWFNA -LWFEDKTFSEWVDRFIRTGVPPIDPELQCAALMIADLRGDMSVLQMQANRRAYSGKQYDAYCVQTYNEVT -KLYEGDLRVTFNFGLDCARLEISWERQTYTLETSITQKHVLKILMEEVTKELLRCGMRFQTEQVQSTRSL -VLFKTESGFEWGKPNVPCIVYKHCSLRTNLRTNYTVNHKFRIILRDDGLKAIAQYDEESPRFLLAHAFHT -IKDVRYQALDSVSNVWFEHKGIKLYMNPIINAGLMENFMKSIPAVIPPAAYSLIMKRAKLSVDLFMFNDL -LKLINPNNTLDLNGIEMSSEGLSLVSSMSSKLWSEEVSLVDEDEELDDEYTIDLDDVDFDTIDYQADMEH -FLKDESVYTSDLLISTEDTEVKKMRGIIKVLEPIKLIKSWVSRGLSIEKVYNPINIILMTRYLSKNFNFD -QCPVVNLDPYDLTELEGIVKGWGEQV ->ANW82746.1 RNA-dependent RNA polymerase, partial [Makokou virus] -WSPGDNSAKFRLFTAMLHNGLRDNLLRNVVIDALKNVYETEFFMSRRLKGYINEMDHLNANVEEFNSFFN -YSEGNSGLVRGNWLQGNLNKCSSLFSVAVSFLFKEVWKALYPELDCFIEVAHHSDDALFIYGYLDPVDDG -SDWFLYVTQKIQSGDLHWHAVNNEMWKAMFNLHEHILLLGSIQISPKKTTLSPTNAEFLSTFFEGCAVSV -PFTKILLGSLSDLPGLGFFDDLAAAQSRCVKALDMGASPQVAQLAIGIVNSKIERLYGTAPGMVNSPLKY -LSIPEEDIPIALGGLGSASVMELATAGVGMADKCSLKKALLGYMHKNRGRVSYHLGLFKFLMELSEETFN -HERLGEFCFTGKVQWKIFTPKAEFEFHDLYSKTLLDKWSQEHPVYDYIIPTSRDSLLAYLVRKLNEPSIV -TAMTVQSPLQLRFRMQSKQHMKVCLYKGEWVTFRDILAAADEFAKNYEPTQHDIDLFQTLTNCTFSKEFA -WRDFLNTVECEVIQSRKVQRPKVARTFTVKEKDQSIQNPVSLVIAYRFATKQEEIRDVLDYSKYPDSLST -DLQTLTHGVKRELGLDISDRAIMKRVAPMLYKSSRSRIVIVQGNVEGTAEGICSYWLKSLSFTKSIKVHP -HREVLKAVSIFSMKEQVSDKVDLAALRICIEIWRWAKYNELNVHDWMGYLWFEDRTLMSWVQKFQRGNIP -LVDPEIQCGGLMISDITGNNSILQMQANRRAYSGKQYDAYCYQTFNEITQLYEGDLRVTFNFGVDCARLE -IFWDKKEYLLETSITSKHVLKLLMEEVTKELLNCGMRFKTEQAKSSTSMVLFKTDAGFEWGKPNVHCIIF -RNCVLRTGLRTKQTVKHDFKINIIEGGFKAIAQYDLESPRFLLAHAYHTLRDIRYQAVDAVGPVYFNNLY -INPIIAAGLLENFMKGLPASIPSNAYSLIMNKAKISVDLFMFNKLLALINPNNILNLEGLEATDDGYSTV -TSLSSKDWSQEVEMEEQEVEDDEYTVDLNDLDFETIDLESDIEHFLQDESAYTADLVVVTEPKEVRKLRG -LVKLLEPVRLLKSWVTKGHAIEKVYNPIGVILMARYLSKNYNFSDQQVSMLDPYDLTEMESIVRGWGELV -FDQFEDLDLAAREFIKEKNLLPEDVIPDSLFSFSHTKVLFNRLFIKDRSSSFY ->AID51349.1 RNA-dependent RNA polymerase [Camp Ripley virus] -MDRYIQLEKEIRDMVPGSLSAIECIDLLDKLYSLRHDLVDEMVKHDWSDNKESETPVITVLLQMGIGENI -IKRLEKIHIPNHPTGKTLKAFMKMTPDNYKIEGNCVYFKEVTVTADVDRGIREKMKKYSDGFVELQNQLS -LLFKRGELNKEFFIYFDVISVKTDGSNISTQWPSRRNAGVVQHMRLVNGFITYTREHLCSKEERNALEAM -FNLKFFTSKSIDVDVVIPKYDGMPELSSDFQEVVDYSKYWLETNQTFSFSEVSGQLVSKSFDEFEQQQRL -SYPTSIKPRNFLLIQVALLYEYQPATIASDQLDTIRAIRELLHVDPATPVQYLVRDMLHAFDNLQKDDIQ -SYYSHKGTFERTNQVSEPGTFKLCMSRLDPESRKCVDMLSSHTSKLPKEIESLCIVPSEQANHCLSIVTK -ILSDLEVPILDKLEGDYKRPGRTYVDTVLNRFIDSELSKFLLKTLKKTAGWHIGQLVRDITEALIAHSGL -KRSKYWSVHAFHNGNVVLLILPSKSLETAASYIRYITVFKEGVGLYDPDNIDSKVEMDSVNWIYSKVISI -DLNRLLALNTSFEKALVSTAVWFQYYTEDQGHFPFQSAIRSVFSFHFLLSVCQKMKTCALFDNLRYLIPS -CTALYSGASSLIEKFVIRPFKNSLDVYIYTQSKKLLITLAQNNRARFFSKVRLLGVSVDLSTVGASGVYP -SLISNAVYKHYRSLISEATTCFFIFEKGLHGPMTEEAKVHEETVEWAMKFRKKEEVYGEDLVRNGYTVKQ -LWDKPELAEQQLYCVNISELAAMELNQTLIPKANLINQSLATKNWKKPYFSQPRNISLKGMSGEVQEDGH -LASSYTLIEAVRYLSTKPRNPTLMQLYHDTRKIRAQARIVRKQQRTEADRGFFIVTLPTRVRLEIIEDYF -DAVAKHVNEEYISYGGDRKIIHIQHALERALRWASGVSVLKTSLGEEIKLKRRLMYVSVDATKWSPGDNS -SKFKAFTNLLLKGHVKEDLKNCVIDALRNIYETEFFMSRRLRAYLEKMDEKSEHVNEFLRFFSHYKNRSG -LVRGNWLQGNLNKCSSLYSVGVSLLFKHIWQTLFEELDCFIEVAHHSDDALFIYGYVEPEGDKSEWYMYV -SHKVQAGDLYWHAVNEEMWKTMFNLHEHILLLGSIKVSPKKTTVSNTNAEFLSTFFEGCAVSLPFTKVLL -GSLSDLPGLGYFDDLAAGQSRCVKAMDLGASPQVAQFTLGVVNSRVERMYGTAKGMINEPHKFLRVDKED -IPIPLGGLGSNSIIELSTAGIGMSDKCSLRKALTNHMHRKRGDVSYHLGLFKFLMNLKEEIYDHETLGEF -SFMGKVQWKIFTPKNEYEFHDLYSPIQIKKWSLEHPVYDYLIPSERNDLLIYLVRKLNDPSIVTAMTLQS -PLQLRYRMQSKQHMCVCKYNDEWVTFRDILALADTFAANYRPSEQDLDLFRTLADCTFSKEFAWQDYLNT -VDCEITYQRRVHKPKVAKTFTVKERDQNIQNAISTVIAYRFANEPTEIADVINDARYPDSLGTDLKILRE -GIYRELGLNIDDPIVMKRVAPMLYKSARSRIVIVQGNVEGTAEGICNYWLRSMSFIKGIKVIPHREVLKA -VSIFNVKHQQGDVTNLAALRICVEIWRWCKFNNQDYRKWMFNLWFEDMTLLDWARKFQRSGVPLVDPEVQ -CAGLMLYDLFGDQMTLQVQANRRAYSGKQYDAYCTQVYNEETKLYEGDLRVTFNFGLDCARLEIFWDTKE -YVLETSITQKHVLKIMMEEVSKELVRCGMRFKTEHVQSARSLVLFKTESGFEWGKPNIPCIVYKQCNLRT -GLRTGHTINHKFFITLREDGLKAIAQYDDESPRFLLAHAFHTLRDIRYQAVDAVGNIWFTHKGVKLCLNP -IINSGLLENFMKSLPAAIPPAAYSLIMSRAKISVDLFMFNDLLAKINPQNTLDLSGLEMTTEGFSTISTM -SSKVWSEEVSLADDDSDDLCDEYTIDLDDIDFDNMDIEADIEHFLQDESAYTDDLLISSEETEVKKMRGI -IKVLEPIRLIKSWVSRGLSIEKVYNPISIILMTRYLSKSFNFRRLPVTGLDPYDLTELEGIVKGWGETVL -DNFEELDQKAHVAVTEKGIVPEDVLPDSLFSFRHTMILLRRLFPQDTVSSFY ->ABP87977.1 RNA-dependent RNA polymerase [Choclo virus] -MEKYREIHQRVKDHAPGGVSALECIDLLDRLYAVRHDLVDQMIKHDWSDNKDVERPIGQVLLMAGIPNEV -IQGMEKKIIPDSPSGQILKSFFRMTPDNYKITGNLIEFIEVTVTADVARGIREKRLKYEGGLKYVEELLA -QEVRRGNLTQQYRIVFNVVAVKTDGSNISTQWPSRRNEGVVQHMRLVQADINYVREHLIKVEERGSLEAM -FNLKFHISGPKLRYFSIPDYRPQPLCNPGIDSLLEYCKSWLTQEHKFVFKEVTGNNVSTAFTQNELLHMS -RYSESRKPRNFLLVQLTVQGTYLPSTISSDQCDTRLGCIEITKNTPETPVQAVALDIAYKYLSLTREEVI -NYYNPKVHFTPTQNVKEPGTLKIGLSQLNPLSKAVLDNVGKHKSEKGIFGDIIDSVNIASQVQLNECSKI -IENILSNLEVNIGEATSNLATPIEKLTGVDELLGKFYDNELGRFMLTILRKTAAWHIGHLLRDVTESLIA -HSGLKRSKYWSVHAYDHGNVILFILPSKSLEVVGSYIRFFTVFKDGIGLIDYDNLDSKAEIDGIVWCYSK -VMSIDLNRLLALNIAFEKALLATATWFQYYTEDQGHFPLQHALRSVFSFHFLLCVSQKMKLCAIFDNLRY -LIPAVTSLYSGYELLIEKFFERPFKSALDVYLYSVIKTLLINLAQNNKVRFYSKVRLLGLTVDQSTVGAS -GVYPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLSEEAKIHLETIEWARKFRIKEDKYGDILMKEGY -DIESVRKGNVQVEQQLFCKEVVELSAQELNKYLQAKSQVLCANIMNKHWDRPYFSQTRNISLKGMSGSLQ -EDGYLSASVTLIEAIRFLNRSQLNPNVIDMYEQTKHCKAQARIVRKYQRTEADRGFFITTLPTRVRLEII -EDYFDAIAKVVPEEYISYGGDRKVLNIQVALEKALRWASGVSEISTSTGKLIKFRRKLMYVSADATKWSP -GDNSAKFRRFTQAIYDGLSDSKLKCCVVDALRNIYETEFFMSRKLHRYIDSMENHSENVDDFLSFFDGGV -SAPVRGNWLQGNLNKCSSLFGVAVSLLFREIWTKLFPELECFFEFAHHSDDALFIYGYLEPEDDGTDWFL -YVSQQIQAGNYHWHAVNQEMWKSMFNLHEHLLLMGSIKVSPKKTTVSPTNAEFLSTFFEGCAVSVPFVKI -LLGSLSDLPGLGFFDDLAAAQSRCVKALDLGACPQLSQLAIVLCTSKVERLYGTADGMVNSPTAFLKVGK -PYVPIPLGGDGSMSIMELATAGIGMADKNILKNAFISFRHTRRDVDRYILGLFKFLMSLSDDVFQHDRLG -EFSFVGKVQWKVFTPKSEFEFHDQYSQAYLNAWTKQHPVYDYIIPRSRDNLLVYLVRKLNDPSIVTAMTM -QSPLQLRFRMQAKQHMKVCKLDGEWVTFREVLAAADSFATSYQPSEKDLDLFQTLVSCTFSKEYAWKDFL -NEVRCEVITARHIHRSKVARTFTVREKDQAIQNPITSVIGYKYASTVDEISDVLDSSLFPDSLSADLQIM -KEGVYRELGLDIGLPEVLKRIAPLLYKSGRSRIVIVEGNIEGTAESICSYWLKSMSLIKTIKVRPKKEVL -KAVSLYNQKDNLGLQDDLAATRLCIEVWRWCKANDQDVREWFNALYFERQTLMDWVERFRRKGVVPVDPE -IQCVGLLLYDLLGYKSILQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWNK -REYILETSITQRHVLRLMMEEVTKELLRCGMRFKTEQVNQTRSLVLFKTEAGFEWGKPNIPCIVYKHCVL -RTGLRTKQPINKEFMITIQSDGFRAIAQMDQESPRFLLAHAYHSLRDIRYQAVQAVGNVWFRTEQHKLFI -NPIISSGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINKKNILNLDGIEETSEGYSTVT -SMSSRQGSEEMSLMSDDDIDDADDFTIALDDIDFEQIDLEEDIQHFLQDESAYVGDLLIQTEELEVRKIR -GVTKVLEPVRLIKSWVSKGLGIDKVYNPIGIVLMARYMSKTFNFGAAPLALLNPYDLTELESVVKGWGET -VNDRFIELDTEAQALVREKGIQPEDILPDSLFSFRHVDVLLRRLFPKDPVSSFY ->ABN51178.1 L protein [Puumala orthohantavirus] -MEKYREIHERVKEAVPGETSAVECLDLLDRLYAVRHDVVDQMIKHDWSDNKDKEQPIGLVLLMAGVPNDV -IQSMEKRIIPGSPSGQILRSFFKMTPDNYKITGNLIEFIEVTVTADVARGVREKILKYQGGLEFIEQLLQ -VEAQKGNCQSGFRIKFDVVAIRTDGSNISTQWPSRRNEGVVQAMRLIQADINFVREHLIKNDERGALEAM -FNLKFHVTGPKVRTFDIPNYRPQQLCQPVLENLVDYCKNWLGTDHAFAFKEVTGQRVFNVFRDEEELHAS -KYGHSRKPRNFLLCQISLQAPYLPSTIASDQYDTRLACSEILKNYPETPLQLLARDMAYKYITLDNEDII -NYYNPRVYFKPTQNIKEPGTFKLNLSSMDPKSKALIDVISKDSKKGVFGELIDSIDVASQVQQNECAKTV -EKILSDLEVNIGDSTAGLEQPKRTTGVDDILRKFYDNELVKYLISVIRKTTAWHLGHLLRDITESLIAHA -GLKRSKYWSAHGYAYGSVLLCILPSKSLEVAGSFIRFFTVFKEGLGLIDVDNLDSKVEIDGVSWCFSKII -SLDLNRLLALNIAFEKSLLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLSTSQKMKLCAIFDNLRYLI -PAVTSTYSGFEPLIRKFFERPFKSALEVYLYNIIKTLLVSLAQNNKIRFYSRVRLLGLTVDQSTIGASGV -YPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFREKERELGSYIMEEGYHI -QDVLNNQVVVEQQLFCQEVVELAAQELNTYLHAKSQVMASNIMNKHWDKPYFSQTRNISLKGMSGALQED -GHLAASVTLIEAIRFLNHSQNNPTVLELYEQTKKQRAQARIVRKYQRTEADRGFFITTLPTRVRLEIIED -YYDAIARVVPEEYISYGGERKILNIQQALEKALRWASGESEIQSSLGHSIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQSLYDGLRDDKLKNCVVDALRNIYETDFFISRKLHRYIDNMGELSDEVLDFLSFFPNKVSA -SIKGNWLQGNLNKCSSLFGAAVSLLFKRVWAKLYPELECFFEFAHHSDDALFIYGYLEPVDDGTEWFQYV -TQQIQAGNFHWHAVNQEMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLGIVLCTSKVERLYGTAPGMVNNPTAYLKVDRSL -IPIPLGGDGSMSIMELATAGIGMADKNILKNAFITYKHAKKDNDRYVLGLFKFLMSLSDDIFQHDRLGEF -SFVGKVQWKVFTPKSEFEFYDQYSRKYLELWSEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCKLDGEWVTFREVLAAADAFASDYRPTSQDMELFQTLVNCTFSKEYAWRDFLNE -VQCDVLTTRQIHRPKVARTFTVKERDQTIQNPITAVIGYKYASKVDEISDVLDSAIHPDSLSTDLQLMRE -GVYRELGLDISQPNVLKKVAPLLYKSGKSRIVIVQGNVEGTAESICSYWLKTMSLVKTIKVKPKKEVLKA -VSLYGKKEKAGDLTHLAAMRLCIEVWRWCKANEQDSVSWLKYLMFENKTLEQWIDSFCSRGVLPVDPEIQ -CLGLLVYDLKGQKGLLQIQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGIDCARLEIFWDKRE -YILETSITQRNVLKILMEEVTKELLRCGMRFKTEQVNSSRSVVLFKTESGFEWGKPNVPCIVYRNCTLRT -GLRVRQPTNKAFSITIQANGFRAMAQLDEENPRFLLAHAYHNLKDVRYQALQAVGNVWFKMTQHKLFINP -IISAGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPQNVLNLDGIEETSEGFTTVSTI -SSTQWSEEVSLTMDDSDDDDNASQLDYTIDLDDIDFETIDLKEDIEHFLQDESAYTGDLLIQTEETEIRK -LRGMIKILEPVRLIKSWVSKGLSIDKIYNPVNIILMTRYMSKHYNFHAKQLSLMDPYDLTEFESIVKGWG -ECVKDRFIELDQEAQRKVTEERVLPEDVLPDSLFSFRHADILLKRLFPRDSASSFY ->BAK08527.1 RNA-dependent RNA polymerase [Huitzilac virus] -MEKYREIHQRIKEIPPGTASALECMDLLDRLYAVRHDVVDQMIKHDWSDNKDVERPIGQVLLMAGVPNDV -IQGMEKKIIPSSQSGQILKSFFRMTPDNYKITGNTIEFIEVTVTADVAKGIREKRLKYEGGLAFIEELLS -QEHKRGNIPQPYKITLGVVAVKTDGSNISTQWPSRRNEGVVQHMRLIQADINYVREHMIKPDERSSLEAM -FNLKFHVSGPKLRYFNIPDYRPQSLCHPEPQSLINYCKHWLTEDHNFNFKEVSGTNVMECFEENERLHMS -SYTESRKPRNFLLIQGTVQGPYLPSTITSDQCDTRIGCLEILKSVPQTPVQAIALDMAYKYMSLTKDEII -NYYNPRVHFQTTQNVKEPGTFKMGLSQLNPLSRAVLDQVGKHKSEKGMFGGLIESINIGSQIQLNECCRV -IEQILSNLEINLGETTSTIPQPRKTTGVDSLLGKFYENELIKYLLVILRKTAAWHIGHMLRDITESLIAH -AGIKRSKYWSIHAYDHGGLILFILPSKSLEVVGSYIRFFTVFKDGIGLIDSDNLDSKVDIDDVTWCFSKV -MSIDLNRLLALNIAFEKALLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLCVSQKMKLCAIFDNLRYL -IPSVTSLYSGYTLLIEKFFERPFKSAFDVYLYSIIRALLISLAQSNKVRFYSKVRLLGLTVDHSTVGASG -VYPSLMSRVVYKHYKSLISEATTCFFLFEKGLHGNLNEEAKIHLETVEWARKFQKKEEQYGDILMREGYN -IDAIKNGSVKVEQQIFCQEVVELAAQELNKYLQAKSQVLCSNIMNKHWDRPYFSQTRNISLKGMSGSLQE -DGHLAASVTLIEAIRFLNRSQTNPNVIEMYEQTKQHKAQARIVRKYQRTEADRGFFITTLPTRVRLEIIE -DYFDAVAKVVPEEYISYGGDRKVLNIQLALEKALRWASGHSEITTSTGNIIRFKRKLMYVSADATKWSPG -DNSAKFKRFTQALHDGLSDHKLKCCVVDALRHIYETEFFMSRKLHRYIDNMETHSDAVKDFLEFFNGGVS -ATIKGNWLQGNLNKCSSLFGAALSLLFKQVWNELFPELECFFEFAHHSDDALFIYGYIEPDDDGTEWFMY -VSQQIQAGHYHWYAVNQDMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKIL -LGSLSDLPGLGFFDDLAAAQSRCVKALDLGASPQLAQLAIVICTSKVERLYGTAEGMVNNPSAFLKVTKQ -AIPIPLGGDGSMSIMELATAGIGMADKNILKNAYYSFKHTRRESDKYILGLFKFLMSLSEDIFQHDRLGE -FSFVGKVQWKVFTPKSEFEFHDQFSHTYLKAWTQQHPVYDYIIPRSRDNLLVYLVRKLNDPSIVTAMTMQ -SPLQLRFRMQAKQHMKVCRLEGEWVTFREVLAAADSFATQYSPTENDLDLFQTLVNCTFSKEYAWKDFLN -EVKCEVTTARHIHRAKVARTFTVREKDQAIQNPITAVIGYKYATTVDEISDVLDSSIFPDSLSADLQVMK -DGVYRELGLDIGLPDVLKRIAPLLYKAGRSRVVIVEGNVEGTAESICSYWLRSLSLVKTIKVKPRKEVLK -AVSLFNRKENIGLQDDLAATRLCIEVWRWCKANDQDVNDWLCALYFENQTLMDWVERFRRKGVISVDPEI -QCMALLLYDVLGYKGVLQMQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGLDCARLEIFWDKR -EYILETSITQRHVLKLMMEEVTKELLRCGMRFKTEQVNSARSPVLFKTEAGFEWGKPNVPCIIFKHCALR -TGLRTKQPINKEFIINIQAEGFRAIAQMDIESPRFLLAHAYHTLRDVRYQALQAVGNVWFKTPQHKLFIN -PIISSGLIENFMKGIPAAIPPAAYSLIMNKAKISVDLFMFNELLALINNHNILNLDGIEETSEGYSTVTS -MSSRQWSEEMSLVADDDIDDPDEYTIDLDDVDFEQVNLDEDIEHFLQDESAYVGDLLIQTEDTEIKKIRG -VTKILEPVKLIKSWVSKGLAINKVYNPVGIVLMARHMSKTYNFHKIPLALLNPYDLTEFESVVKGWGETV -NDRFQEYDTEAQKLVTEKHILPEDILPDSLFSYRHVDVLLKRLFPIDPISSFY ->AIL25331.1 RNA-dependent RNA polymerase [Yuanjiang virus] -MEKYREIHERVKDSVPGEVSAVECLDLLDRLYAVRHDVVDQMIKHDWSDNKDKEQPIGHVLLMAGVPNEV -IQGMEKKIIPGSGSGQILRSFFKMTPDNYKITGSLIEFIEVTVTADVARGIREKVLKYQGGLEFIEHLLQ -IEAERGNCPAGFRIKFDVVAVRTDGSNISTQWPSRRNDGVVQTMRLIQADINFVREHLIKHDERSALEAM -FNLKFHVSGPKVRTFDIPDYRPQPLCEPIFSNLVDYCKKWLQTDHEFAFKEVNGQRVFNIFREEEGLHSL -RYGRSRKPRNFLLCQIAIHGPYLPSTIASDQYDTRVGCLEILKNYPETPLQLLARDMAYKYISLDKDDLL -NYYNPRIYFKQTQNIKEPGTFKLNLSAMDPKSKALLEIISKDSKKGIFGELIDSIEISSQIQQNDCSKII -EKILSDLEVNVGESGTFIDQPKKTTGVDDILKKFYDNELTKYLLGIIKKTTAWHLGHLIRDITEALIAHA -GLRRSKYWSIHGYAHGNVILCILPSKSLEVAGSFIRFFTVFKDGLGLVDYDNLDSVSDVDGVKWCFSKIM -SIDLNRLLALNIAFEKSLLATATWFQYYTEDQGHFPLQHALRSVFAFHFLLSISQKMKLCAIFDNLRYLI -PAVTSTYSGFEPLIRKFFERPFKSALEVYLYAVIKTLLVSLAQNNKIRFYSKVRLLGLTVDQSTIGASGV -YPSLMSRVVYKHYRSLISEATTCFFLFEKGLHGNLTEEAKIHLETVEWARKFKEKESKFGEYLVEDGYVI -QDVMTHKVEVEQQLFCQEVVELAAQELNTYLQAKSQVMASNIMNKHWDKPYFSQTRNISLKGMSGSLQED -GHLAASVTLIESIRFLNQSQINPTVLELYEQTKRQHAQARIVRKYQRTEADRGFFITTMPTRVRLEIIED -YYDAIAKVVPEEYISYGGERKILNIQQALEKALRWASGESEIQSSLGHSIKLKRKLMYVSADATKWSPGD -NSAKFRRFTQALYDGLRDDKLKNCVVDALRNIYETEFFMSRKLHRYIDNMGELSDDVLDFISFFPNKVSA -MIKGNWLQGNLNKCSSLFGAAVSLLFKRIWSKLFPELECFFEFAHHSDDALFIYGYLEPVDDGTDWFMYV -TQQIQAGNLHWHAVNQEMWKSMFNLHEHILLMGSIKISPKKTTVSPTNAEFLSTFFEGCAVSIPFIKILL -GSLSDLPGLGYFDDLAAAQSRCVKALDMGACPQLAQLGIVLCTSKVERLYGTAPGMVNNPTEFLKVDRNQ -IPIPLGGDGSMSIMELSTAGIGMADKNILKNAFISYKHTHKENDRYILGLFKFLMSLSDEVFQHDRLGEF -SFVGKVQWKVFTPKSEFEFYDQYSHKYMELWTEQHPVYDYIIPRGRDNLLVYLVRKLNDPSIVTAMTMQS -PLQLRFRMQAKQHMKVCRLNGEWVTFREVLAAADSFASNYRPTENDMELFQTLVNCTFSKEYAWRDFLNE -VKCDVLTTRQVHRPKIARTFTVKERDQSIQNPITAVIGYKYATKVDEISDVLESAIHPDSLSTDLQLMRE -GVYRELGLDISQPNVLKRVAPLLYKSGKSRVVIVQGNVEGTAESICSYWLKTMSLVKTIKVKPKKEVLKA -VSLFSKKEKVGDLTYVSAMRLCIEVWRWCKANEQEAVSWLRGLHFEGRTLEQWVDFFCSRGVLPVDPEIQ -CLGLLIYDLKGQKGLLQIQANRRAYSGKQYDAYCVQTYNEETKLYEGDLRVTFNFGIDCARLEIFWDKKE -YLLETSITQRNVLKILMEEVTKELLRCGMRFKTEQVHSTRSVVLFKTESGFEWGKPNVPCIVYRNCALRT -GLRVKQPTNKAFTITIQAGGFRAMAQLDEENPRFLLAHAYHNLKDVRYQALQAVGNIWFKMTQHKLFINP -IISAGLLENFMKGLPAAIPPAAYSLIMNKAKISVDLFMFNELLALINPQNVLNLDGIEETSEGFSTVSTM -SSTQWSEEVSLTMDDSDDDSDASQPDYTIDLDDINFETIDLKEDIEHFLQDESAYTGDLLIQTEDTEIKK -LRGMTKILEPVKLIKSWVSKGLSIEKVYNPVNIILMTRYMSKHFDFHKKPLSLMDPYDLTEFESIVKGWG -ECVKDRFIEYDQEAQRKVTEEKVLPEDVLPDSLFSFRHVDILLKRLFPRDSASSFY ->AIF28825.1 RNA-dependent RNA polymerase [Thottopalayam virus] -MQRYYEIRDRIHGLEPGGVGAIECIDLLDSLYAIRHDLIDEMIKHDWSDNKDKETPISDVLIYAGIPLDL -ITGMEKIRITDHPTGKTLHQFFKSTPDNYKIEGTTIKFIEVTVTVDVEKGIYEKKKKYQGGFNQIQVELD -KYWQQGKLPNKYTIAYDIVAVKADGSNLSTQWPSTRSPGVVQHMRMVQAEIQYVRERLIRGNEKSALESM -FNLKFHTHVEREFKYVLPEYPDIPDCEANQEKLLTHLKAWLTKKQTFSFHEVTGEKISQAFREYEAEQEN -MYPKSRKPRNFLLLQIGLQAEYNPMTISMAQVDTKLAASIIISEPPETPVQALVKDVCYNYMMLDNIEIN -NYYSPAHLFDKTRSVPEPGCLKINMSSLDEMSRSIVEQTKGSQDKTSSEIESLHPWAQSEANTSISIVEK -ILTNLEMHVATDNEDITSYRKRSPVDTLLERFIKSETEKYLIKVLKKTVGWHLGHLIRDVTESMIAHAGL -KRSKFWSLSGFHQGNVLLVMLPSKSLEVSNAHVRFILVHKEGFGCVDPDNIDSKKSINGVIWVYSKPMTL -DLNRLLALNICFEKAFLATAVWFQYFTEDQGMFPLQSSVKSVFAYKLLLAMTQKMKVCALFDNPQYLIPS -CTASYSGAASLIEKFVKRPFKSSLEVYVYTKSKELLISLAQNNKFRYYSKVRMLGLTVDQSSVGATGIYP -SLVCHTVYRHYRSLISEITTCFFLFEKGLHGTMTEEAKIHLETVEWANNFKEKEAKYGKGLVEFGYTIEQ -LINDEIIPEQQLYCKNVIKLATLELNKVLTSKSQVISNSIMNKHWEEPYFSQTRNISLKGMSGELQEDGH -LASSYTLIEAIRYLNKVRRNPTVLELYNETRDIRAQARIVRKHQRTEADRGFFITTLPTRVRLEIIEDYF -DSISKNVQEEYISYGGEKKILQIQGSLEKALRWASGTSTISLSTGDQITFKRKLMYVSADATKWSPGDNS -AKFRLFTSHIHDGLKDRRLKHCVIDALKGIYETEFFISRRLRGYIENMETLEHNVQEFLDFFDYSKGRSG -LVRGNWLQGNLNKCSSLFSVAISFLFKEMWSRLFPELDCFIGFAHHSDDALFIYGYLEPEGDGSDWYLYV -TQKIQAGELYWHSVNTEMWKTMFNMHEYLLLLGSVKVSPKKTTVSPTNAEFLSTFFEGCAVSIPFSKILL -GALSDLPGLGFFDDLAASQSRCVKALDMGASPQVAQLAVNLTNSKVERLYGTAPGMANNPCNYIDLDRDK -IPICLGGIGSSSILELATAGIGMSDKLVLKNALISFRHTKQSKDKYILGIYKFLMLLAQETFKHERLGNF -SFLGKVQWKVFIPKNEFEFSNLFSISYLNQWSSEHPVYDYIIPQLRDDLLVYLVRKLNDPSIVTAMTLQS -PLQLRFRMQAKQHLPVCKYNDNWVTFRTVLAAAHEYASKYMPNEIDLDLFNTLVSCTFSKEFAWKDFISN -TECEVILSRKIHRAKVARVFTVKERDQIIQNPISVVIAYKFAVGTNEIKDVLDKAKFPDSLSSDLKLLYE -GVYRELGLDINDPSVMKRVAPMLYKTSRSRIVIVNGNVEGTAESICTYWLRSISFVKQIKIIPHAEVLKA -VSIFSKGEFVQEDKQLAALRLCIEIWRWGKYNRVDINDWFAALWFEGKTLWDWLYYFQRKGVPLVDPEIQ -CAGLMMYDLLRDMTCLQMHANRRAYSGKQYDAYCMQTYNEETKLYEGDLRVVFNFGIDCARLEIFWEKQT -YVLETSITSKPVLRVLMQEVSTELQRCGLKFDTVQSMGFRSVVLFKTDSGFEWGKPNVPCIIFKNCALRP -TLRNKQVLNKEFIITILDNGFKAIAQQDLDSNRFQLAHAYHNLRDIRYQAIETVNTVNFTVDGKVLYLNP -IIAAGLIENFMKGLPAVIPPQAYSLIMKKAKITVDLFMFNKLLALINPENTFNMEGIESDDPEYSTVTTL -SVKEWAEEKDIEDAEVEDDEYVIDLNDIDFDKVDTLADIQHFLQDESAYTADLVIDTESVESKRVRGIVK -LLEPIKLIKSWVSKGLAIEKIYDPLAVILVTRYFSKQYSFSKVPLAQLDPYNLTELESIVRGWGELVCDQ -FHELDQQAHAFIQRTNKAPEDLTPDSLFSFRHAELLFSRLFLPDTVSVFY diff --git a/seq/clusters_seq/cluster_410 b/seq/clusters_seq/cluster_410 deleted file mode 100644 index 4df8549..0000000 --- a/seq/clusters_seq/cluster_410 +++ /dev/null @@ -1,67 +0,0 @@ ->YP_009666836.1 matrix [Vaprio virus] -MFSKIKKSLGMSKQKMEADLYVDPPPDYYGGDFFATPSAPLGEELDEFIEETLHVEAELIVKTKNPIESL -REMMNVLGTWIDKCSGPVRQRHLDTWIYLCLGLHLRKDQTIKTYNVYRAALDLAVKFKHSPKGSGRFNYA -TCFQQFDVKHKGSDCDISFNSVLTPTKRKGCPAHVLYNQPLKNGEKPPNPEEVFPGYDVKVTVAANGVHE -IQGL - ->YP_009362197.1 matrix [Keuraliba virus] -MFSRLRRGLARKTKDDDETLFSDPPAYDQEDFFTPLKPSAPPPDEETLKLETLHVEAELVVKTQVGIRTM -SELLQILGVWIDQCSGPVRQRHLDLWVYLCLGLHVRKDPGVKSHNVYRSALDAPVTFAHSTGVKEKFAFS -NCTQNFFQKFKGKDCDIDFTCKLTETKRRGVPAHVIYNQVLKNGAPPPPPTEIFSQYEVDVKLGPNGEHE -IFAHST - ->YP_009362192.1 matrix [Nkolbisson virus] -MLSRFRRPSRQDERVVAIPPSAPPPDYFGLDRKQPVEEKSIYCTETLRITTSLEIRCPEGFQSLNDCLHA -LEVWVDENTCPIWQIHLDTWLLLCLAVHMRKDVTCTYTNLYKASFSEVLTVKHHLMVDQPVNYIEHEQRM -ETKYRNSKCEIRFTSKIEGTKRRGIPLHALYKYPLKDGSDPPPMEHICKNFPLHVEISPEGDHQLRFELP - ->YP_009362157.1 matrix [Barur virus] -MLSRFRKPSRGDEKALIPSAPPPDYFGLDTPSIVGPVDVYTKETLKVQVSLEVRCDEEFRSLNEVLHALE -VWVDENTCPIWQVHLDTWCYLCLAVHMRKDPTCTYTNLYKASFMEVVEFKHHLMVDQPVTYIMHEQRMEA -RVRGAKCEIRYTSRIEPTKRKGVMAHVLYKHPLKDGSYPPSMEIVAANFPLEVMISEEGEHLLKFELP - ->YP_009508525.1 matrix [Kanyawara virus] -MLQRFKKHTKLKTPSAPSQSTIYGLSTKWDLHTNPQIDNVVQPYRVEETLRIQNKLEIRAKEPLRDLTDC -LKIAEVWIDEQNCPYWQVGIDTWIFICMALHAQKDGSCRYIHLYRTQLDQVVDFKIQRPFLERINYKKHS -QYYETTHRGSTCSVSYESNLALSKRTGIPSHVLYQYPLKDGNSPPKFDDLGIKTPIVVTYADDGSQIIGT -K - ->YP_009505473.1 hypothetical protein [Nishimuro ledantevirus] -MLSRFKKSHRGDEKALIPSAPPPDYFGLETPSITGPIETYTKETLRVQVSLEVRCEEEFRSLKEVLHALE -VWVDENTCPIWQVHLDTWCYLCLAVHMRKDPTCTYTNLYKASFMEVVEFKHHLMVDQPVTYVTHEQRLES -RVRGSKCEIRYTSKIEPTKRKGIMAHVLYKHPLKDGAFPPPMESIAANFPLEVIVNELGEHLLKFELP - ->YP_009362241.1 matrix [Mount Elgon bat virus] -MLQLFKRSKKKREMENQLMETSDLFNPPPYFTIFEEEHPIDYIGNDGVIRETLRVQAKLEIRAKKEIMNM -GECLKILEVWIDEQNCPHWQIGLDTWIFICLGLHAKKDPNCKYTNLYVAQIDQVIEFLIKRPKVDCFQYK -KHTQIYETTHRGGPCYICYESNIGKSKRTGVSAKILYDYPLRDGSKPPDIEFLPIRLPVEFKTDNNGDHI -IP - ->YP_009362000.1 matrix [Fukuoka virus] -MLSRFRKPSRNDEKALIPSAPPPDYFGLDTPSIVGPVDIYTKETLKVQVSLEVRCDEEFRSLDEVLHALE -VWVDENTCPIWQIHLDTWCYLCLAVHMRKDPTCTYTNLYKASFMEVVEFKHHLMVDQPVTYIMHEQRMEA -RVRGAKCEIRYTSRIEPTKRKGVMAHILYKHPLKDGSYPPSMEIVAANFPLEVITNEAGEHLLKFELP - ->YP_009361992.1 matrix [Kern Canyon virus] -MLSKLKKSFSKKESEKTVMIPEGPPPDYYGGFFYPEPSAPPDELVKTESLYVKAEIVVKSEVPIKEMKAL -QEILAVWVDKNVSPVQQKHLDTWFYLCLGLHVRRDQDCTYTNMYRAAVDMVVEVNHRPKTSSAIKYIPFE -QTFDTIYGGRPCEVSFKNKMVSSKRKGTPAHLLYNQPLKNGQSLPKPVEIFNGYGVEVKLTDNKVHEISL -I - ->YP_009361870.1 matrix [Le Dantec virus] -MFSRFKKSLSKKGKNDDNQLLYDPPAYDSDDFFAPIKPSAPDLELEDFRKETLHVEAELIIKTHAGIKTM -EELLKILGIWIDQCSGPIRQRHLDLWVYLCLGLHVRRDVGIKSYNVYRAALDSSVVFLHAPKTQGKFQFV -PCHQFFTQKYKGKDCDVDFTCKFKETKRTGIPAHTIYNQVLKSGFPPPKPIEVFAGYDVTVSVNKNGEHE -IAASAT - ->YP_009305120.1 matrix protein [Yongjia Tick Virus 2] -MLSRFKKNGKSEKEALVPYGTPTPVSYFLGEPSRPSAPVEGPLKETLKTLATLEVRCHEGFESIEECLRV -LEVWVDENRCPIWQVHLDTWNFLCLAVHLRKDPTCTYTNLYKAGFSEVVEYTHVSMVDGPVTYVEHVQRM -EGLHKGQPCEIIYKSKMEPSKRRGVPAHLIYDNVIKSGVPPGIDVVSLNYPIVIEFGERGEHGIKFELP - ->YP_009305100.1 matrix protein [Wuhan Louse Fly Virus 5] -MLRLFNKKKGDSNSLLKDNEMDVWIPGKNFYSYESGEPSAPEWEDEITEITLRVQAKIEIKSKAEIQSIE -DCLKILEAWIDEHNCPHWQITIDSWLFICLGLHARRDVTCKYTNLYRAQIDQVVKFKVKNMPNQEIKFKK -HIQAYETQLYGVQCFVMYESNLSKTKRTGVPASVLYNFPIKTGNSPPKFSDLNIKLPIDVDYDETGNHVI -KMN - diff --git a/seq/clusters_seq/cluster_411 b/seq/clusters_seq/cluster_411 deleted file mode 100644 index adff8fa..0000000 --- a/seq/clusters_seq/cluster_411 +++ /dev/null @@ -1,72 +0,0 @@ ->YP_009389474.1 triple gene block protein 1 [Vanilla latent virus] -MKILELEALLLTAGFIRTNLPLQSPITIHGVPGSGKSTIIKKLIQRQDVIAYTLGAPYGKDLSQPGVLPY -TEATPKTTKFVILDEYQLDLNITTEGISAIFGDPYQGNTDRPAHYISIISHRVPKPVTDFLTTLDFEIQS -FKPGTLTTVHPYKATQFQITPEIPVIHIAEISQALLSSHSVHSHSPQEVSGLEFDVVVVVFHSSELRHRS -RLYIACTRAKRHLHLISDKFDEFCTTS - ->YP_009362669.1 TGB1 [Alfalfa virus S] -MNLTQLETDLLSAGFQRTQQPLSFPVVIHGVPGCGKSTFIKAALANPNTVARTLGAPYGDSLATEGVRTH -SPNESFTQPLRILDEYQLGERSTTSKYNLLFGDPYQGPFRLPPHFIKSVSHRVPRPVANFLRSRGFNLES -ETPGVLITAHPFHPDSATQILSSDKTFHLGTSSKQLCSSHQVNSYCPSELAGSEFQRVALVYHSTELKNK -TAFYVACTRTRHTLCLVSDQFNEFCTTT - ->YP_009328893.1 TGBp1 [Arachis pintoi virus] -MSLTQLEDRLITAGFTRTNQPLAYPIVVHGVPGCGKSTLIKTLIEIVGFKAATTTQPYGRTLSSCGVTSH -PLEDHQRATIRILDEYQNVAPTEWEKYQVLIGDPFQGPYSSVAHYVKATSHRVPKQVADFLRARSFDIYS -DKEGSLTTTNPYSPKVAQQFQNATILHLGPISKQLLQSHSVPSICWKEFAGQEDRSVTLVYHSTELQERT -GFYVAATRALENLTLVSDEFNEFHTTP - ->YP_009110669.1 TGB1 [Garlic virus B] -MFPNVFEMKTSLLIQLLTNCGFQRTTVPLEELIVIHGVPGCGKSTLIKTLITHQSVVAYTLGIPYGKTLA -HPGIQRPTNILDIQEAETRILDEYQLGSKVDLEPFNVLIGDPFQGHSTYRAHFVKTFSHRVPRPVCEFLN -SLGYDIQGDREGDLKFVPVFQNNSKGPKGVVIHLGPISCQLTRTHRVPSKTPSEVQGLEFKEVTLIFHSN -ELPGNSEAFFIAATRANECLNIITDQTPPRLDL - ->YP_008855207.1 TGB1 [Garlic virus D] -MKTDLLLQIVTNHGFERTEIPIREPIVIHGVPGSGKSTLVKALLKFQSTVACTLGAPYGRTLASPGITTP -EPTSALTDYETRILDEYQLGDESIAVPFNILIGDPFQGHLHYRAHFVKRVSHRVPKSVCDFLTTLDYDIT -GTSDGDVVQLPVYSSAPGPPLGQVLHLGLASRQLTKSHNVCSRAPSEVQGLEFDEVTLVYHSTEFQKDRV -GFYIAATRAVNRLNLVTDTHLPQITNR - ->YP_004659201.1 TGB-1 [Blackberry virus E] -MFCLNLKMKLNELDFLLTSQGFERTNYPLSFPLVIHGVPGCGKSTLIRTLLVDINTAARTCGTPYGATLQ -HPGVLAPRDHPIAPSIDRRILDEYQLADTRTIADFNVLFGDPYQGSFRLSPHYTKSLSHRVPKPVCEFLR -TRGFDIAGERSGRITTANPYSPELNSTDWLKHTILHLGPASAALTHSHAICSRSSAEVQGLEFENTTVVY -HSSEKTQTVPFYVCCTRASQSLTLTSDEFHEFHTSA - ->YP_001718500.1 triple gene block protein 1 [Lolium latent virus] -MEDFFNCLRSRGFERTDEPLPSDSRRIVVHGVGGSGKTSLIEAFAIANEWVRAYTLTSHERFDISGRGIS -QYKGQPIDEKLWTILDEYGQTDNPETLPPFNVLATDPYQAFRCQPLRAHFVSLRSYRVPHHIAQAITQYT -GFPIEAAGTDLHEGKYTVGPWTDKLRQQILVEDGEIHYQLSRRQCPHKLITDAIGEQWPTVTVVFDRSIS -PESARPLRCLFYIAATRSSNELNIRTYVPTRTPGLIKASLPHTSCRLCCRPRDLPSEN - ->NP_659011.1 TGB1 protein [Garlic virus E] -MKTDLLIQTLTNHQFQRTSEPLQEPLVVHGVPGSGKSTLIKLLTDCRSTFACTLGAPYGRNLASPGIRSP -RPTDNLEDYETRILDEYQLGVNRDISPFNVLVGDPFQGTLHFRAHFTKNLSHRVPRTICTYLRLFDFEIF -GENQGTISFPPVYSDQPSTPRGRVIHLGNVSRDLTRSHNICSLDPAAVQGLEFEEVTLIYHSSELSKNRE -GFYIAATRALWRLNVISDNPPPSLDELCPPAGSL - ->NP_620649.1 helicase [Shallot virus X] -MKTDLLLQILSNNNFTRTSEPIKEPLIIHGVPGSGKSTLVRALVTYRSTVACTLGAPYGSNLAFPGVTSP -GLTQSLTDHETRILDEYQLGTESDLKPFNVLVGDPFQGNLHLKAHYVKSFSHRVPRIICNFLQSLGYEIA -GSKPGELAQLPIYGPNPSGPTGQVLHLGPLSRRLTQSHGVCSKLPSEVQGLEFEEVTLVYHSSEFERNRV -GFYIAATRALGRLNLITDTTLEIPHELCPTS - ->NP_569127.1 27kDa protein [Garlic virus A] -MLEMKTDLLLQIITNNDFSRTAEPLTEPLVIHGVPGSGKSTLVKALILNRSTIAFTLGSPYGQTLTHPGV -EKFTKGRPIPNISHCETRILDEYQLSEGSDTSPFNLLIGDPFQGTLHLKAHYIKSHSYRVPAPICHFLRT -LDYEIFGSRPGEIVKLPVYSKNPTAPSGQVLHLGAASCSLTRRHNVHSKSPADVQGLEFPEVTLVYHSTE -RLRSRANFYIAATRALNRLCIITDEILPALENSAEPTTTCG - ->NP_569133.1 27kDa protein [Garlic virus C] -MIEMKTALLLQLLDNANFSRTTTPITDTIVVHGVPGCGKSTLIKDLVTRHSTIAYTLGAPYGRSLIHSGV -NHISRIGDNLQDFETRILDEYQLGNEENVKDFTILFGDPFQGTFQLPAHFVKRLSHRVPRQICNYLESLD -YDITGETEGEINFPPIYAANATGPIGTVLHLGPISRQLTKTFGICSKLPTEVQGLEFEELTLVYHSSELQ -ANRELFFVAVTRAKRLLNVLTDSKHRPPTDKARS - ->NP_044572.1 helicase [Garlic virus X] -MKIQLLIQLLNNFGFHRTAEPVREPLIVHGVPGCGKSTLIKTLITHQSVSAYTLGVPYGKTLAHPGVLNP -PTLEDIFDFETRILDEYQLGAKEDFKAFNILFGDPFQGDTHLPAHFIKSLSHRVPRQICQFLNSLQYEIQ -GEREGTLNHLPVFEANSTGPRGVVLHLGPISCQLTRTYRVASKTPAEVQGLEFKEVTLVYHSSELTADPN -AFFIAATRACGCLGLITDKVSHIS - diff --git a/seq/clusters_seq/cluster_412 b/seq/clusters_seq/cluster_412 deleted file mode 100644 index 8ca7883..0000000 --- a/seq/clusters_seq/cluster_412 +++ /dev/null @@ -1,102 +0,0 @@ ->YP_009665973.1 capsid protein [Aspergillus ochraceous virus] -MSSVAPTDSVSNQPRRSKPGKRERQAARSAIGSTGGAPASATKAAAFATGVGDPIPQPGRFPVVFPTGAG -EPTRDQEFAIPLPRLSSTFPAVVDKYKRNARYSEFRAHSEFNDATFGAQLSASFLLRLAQQVVHSHVNMG -LPQGDFAPVSSSEVKIPQALAAVVRQFGEFSVPALGTRYLLADYDNLVKRLVFSAQQTWQGQGTASVLSR -SWLPMSNVDRNFKALIAFKLNQFLANAELRVLPNVLEDAVLSGDVPDAWEEIKSTLGDPPAEGERDQRDR -FDFVFKAQADEGQFTTAWTTAEATAALRELDLNWQQPQAGHLNWSFPAKQTFSSLAEQWARVSTTYAEFF -ELSSGLVNRSEACGTPAQLAEISSVEGVTVVKTLIALSAPEFSLAACFPPTCVFVGGVDRRVVVTTSVNV -GQRATEFCQLDWR - ->NP_659028.1 putative coat protein [Gremmeniella abietina RNA virus MS1] -MSDRQSEISSSVAPGDSVSSRGGKKGKPGKAERAARRAGQGSTPGIAASSAKASLFSSAGQADINPTPGK -FPVVFATGAGEPTRDAEFSYDFSAVKRCVVGFGDRYVKNARYSEFKANSGVADSAFKCYLAASCLLGLAQ -QTVHARVNMGLPQGDFAPVSSSEVLNFTAVKAYIGQFGEFAVPSTGTRYMLADYQSTVCKLVYLADKCLR -DANHNTVLSRAWLPMSSGDRTTKVVIAAALSQLLSNAELKISSTVLEDAVLSGDVPDAWNAVKTVFGDAP -GEGVPDARDRFDFLFKSYADVGQFTTAFTTGAASAVLAELHLPWSSPSAGHLDWDYNPKTRFSALADSWA -RISASYSGFFHMASGLSDRSNALGSESQFVEVRSIDSVTVVKTFLALSAPQFSLAACFPPECIFVGSLDR -RVVVTTPIPVSQRATEFCLRDWK - ->YP_009508237.1 capsid protein [Ophiostoma partitivirus 1] -MSSVVPSDSASSAGRKKKPGKAERAARRSAVSSAPGAPASNQKAAAFAAATSSFDVRPQPGKFPVVFATG -AGEPSRDMKFSVRPRVVASGLSSFLPAFKDNPRYSEFLTFTEYTDADFSRQLSVAALLRLAQQIVAAHVN -MGLPQGDFSPLSSTEVRLPASVSAFLSQFGEMSVPSIGTRLLLANYTSTVHSLVLAADRLTQGGSVQQTA -ERLWLPMSSRDTRTRAIVAGQIWDLSNQAGLSIPRSDLEDAVLSGNHPDFWDTVVEQLEADPARRPEWNF -LFQTQADVGQFATNWTTANATRVLGKLGLEWPNPSAGHLDWQFSAKTVFSELADQWAQKSAAYAQFFEMT -SSAASKPTAAGSLSQFAAVSTNDSVTVIKTFLALSAPEFSLAACFPSTGFIHADVPHNVVVTTPLSVRQK -TTEFIQMDWR - ->YP_009259751.1 capsid protein [Pseudogymnoascus destructans partitivirus-pa] -MSSVVPTDSVSSSGTKRGKPGKRERAAAKSAVGSSGGQPASSAKAKAFAFGSSDPVPMPGQFPVVFQTGA -GEPTRDQEFALDTPELSKQFPSVTDRYTRNPKYAEFRANAQLTNGQFGTHISAAFFLRLAQQIVHSHVNM -GLPQGDFAPIASSDVRIPAGLASITSQFGEFSSPTIGTRFLLRDYEATVSKLVFIADKMWSEGANRDIIR -RSWLPMSSSDGSTKVLVAEALLRFIETADVSISTNILEEGVLSGTVPDAWESIKSVLGEEPAAGQVDRRD -RFDFLFKSYADVGQFVTTFSSTASAAVLDELDLDWVSPSAGHLDWSYSPKTRFSYLADQWAKLSAAYAQF -FELSSGQATRQAATGSHSQMVQVSTTEGITILKTFMALPAPEFSLVACFPASCVFVGGLARRVIMTTSLN -VTLRGTEFLQRDWR - ->YP_009182331.1 47 kDa protein [Penicillium aurantiogriseum partitivirus 1] -MPRQPSEVPSTLAPSDSASAAGSKRSKPGKAERAARRATGSQAPKPADAGKAMTFASGGMIPKPQPGKFP -VVFQTGAGEPSRDQGFAIEPNVLADTVSQFPSRFTSNAGYAEFLTFTDLDDDDFAKQLKSASLLRLAQQL -VHAHVNMGLPQGDFAPVASTEVRVPASVNAYLSQFGEFSVPALGTRFLFDDYASTVKSIIHTAEQLLAAS -NDDSEAILKRCWLPMSRTDGHTRQIIANYLNQWLLTNEIMYPAQLLEKAVMSGTPPAAWDKIKPVFGDTE -TEKNRFDFLFSGYRDAPHFVTTFTTAASSAVLEEIGLSWDNPSAAHVDWTFNAKESFTRLSDNWARISTT -YGRFFEMPSSQMNRSAATGSQSQMAIVSSKDSVTVVKTHLALSAPEFSLVACFPATCLFSGDLRRHVVVT -TPLSTVQRATEFVQLDWR - ->YP_009164039.1 coat protein [Verticillium dahliae partitivirus 1] -MADTQSQLPSTVAPSDSASTAGRKSKPGKAERQARRSAVGSQPGQAASASKASAFSSGISAPKPQPGKYP -VVFQTGAGEPARDQTFALDERVLERSLRTFPDRFTFNAKYAEFKSHAEIDDHEFTKDLVVAALLRLAQQV -VHSHVNMGLPQGDFAPVASSDVRVPASLAAFISQFGEFSVPTLGTRFLFSDYENTVRSIVWMAEGVKRNG -IGGAPLKRSWLPVRPSDGHTKTVIASRLCELLAQAELAVDPDALEGGVLSGEIPDAWESIKPVLGDNDER -RDRFDFLFKSYRDAPAFVTAFTTASASGVLAELDLSWDRPSAGHVDWTFNPKEVFTRLSDAWARRSTTYA -LFFELSSSQMTRNVSAGSQSQMARVNTRDGVTVVKTHLGLSAPEFSLVACFPASVIFTGGLTRRVVVTTP -LSVEQRATEFVQMDWR - ->YP_001686790.1 coat protein [Botryotinia fuckeliana partitivirus 1] -MADTQSQISSSVAPSGSVSKAGRKSKPGKAERAARRSAVGSQPGQNASAAKAMTFATGVSTPKPQPGKFP -VVFQTGAGEPSRDQAFSPDERVLAGVLNAIPQRYMWHERYNEFRVHAEVDQVNFAKNLTVAALLRLAQQL -VHSHVNMGLPQGDFAPVASTEVLIPSAVSAIVSQFGEFSVPALGTRFLLHDYTDTVKAIVHAAQKVATGK -TGCAPVNHLWLPVRRDDGHTKGVIADRLLLFLKTADLSIVPNVLEEAVLSGTVPDAWESIKPVLGNNGTS -RDRFDFLFKSYADVGQFVTAFTTASATAVRAELGLVWDKPSAGDLDWSLNVKETFTRLSDSWARMSTTYA -AFFEMASGMTNRSAAVGSQSQMCVVSTTDSVTVVKTHLALSAPEFSLAACFPPTAVFSGDLPRRVVVTTP -LSVTQRATEFVQLDWR - ->YP_001686791.1 hypothetical protein BFPV1_s3gp1 [Botryotinia fuckeliana partitivirus 1] -MADTQFQISSSVAPSDSVSKAGRKSKPGKAERAARRSAVGSQPGQNASVAKVNFAKNLTVAALLRLAQQL -VHSHVNMGLPQGDFAPVASTEVLIPSAVSAIVSQFGEFSVPALGTRFLLHDYTDTVKAISCCPKGSYG - ->YP_052857.1 capsid protein [Penicillium stoloniferum virus S] -MSSIAPTDSVSSSGKRSKPGKRERQQARSAVGSAGGKPASASKAAAFAQGGSSDPVPMPGKYPVVFSTGA -GEPTRDQEFALPVHKAFPLFGSVSDKYRRNPRYAEFRAHSEFTDGVFGTHLAVSSLLRLAQQLVHAHVNM -GLPLGDFAPLASSDVRIPSALASVVNQFGEFSSPSIGTRFLLRDYEHAVSRVVFLADQLWTNGNSHHIFA -RSWLPMSNNDGNFKTIVASRLLEFISAGDLSILPTVLEDAVLSGEVPEAWEQVKDLLGDAPGVGQVDRRD -RFDFLFKSYADVGQFTTAFTTQAASDVLTELGLPWNSPSAGHLNWQYSTKQRFTFLADTWAKLSAAYSQF -FELSSGLATRQSATGSHAQMVDLTSVEGVTVLKAALALSAPEFSLAACFPPSCIFVGGITRRVVVTTSLS -VSQRATEFCQMDWR - ->NP_624351.1 capsid protein [Fusarium solani virus 1] -MSSSVAPGDFVSSAGSGKKNRPGKKERQARRGLSEATASNAPSSLTGAAVFAAGAPADPVPQPGRYPVVF -PSGAGEPTRDSLFAYDGESIQDTVSELTDRYVQNSKYAEFAAHSGLNFGDLETLILRGIFLGLAQQTVHS -HVNMGLPMGDFSPVATSDVVNFAAIRSIISQFGEFQSVSEGTRYLLAGYESTVAACVRAAKRADSDNPKR -LAQTFWLPTRVDDQRTKYVVAHKLASYCIPFGVYLDVEELAHHVFSSSSDAWDAVKVLLGADAAAQNRFD -FLFGTYNTEAAFLSLVTGSADRVDWLARVRNTLAKFFSLGSGLQNRAAASGSLGQMSRVVDMSGVSLVSS -RLAVSRPGILTACVFPVLWSVFRPDPVSCGVNHFVKYGSTSYGVYTTRLAVISDTLNRVWAGL - ->NP_620302.1 capsid protein [Discula destructiva virus 2] -MADTSSNIPSSVTPNDSVSNSGKRKSKPGKAERLARRSAVGSQPGQAASASKAAMFSSGSMAPKPQPGKY -PVVFQTGAGEPSRDQQFAISGPTISKTLEGFPERFSFSEKFTEFKANSGFDDEDFELDIVVSTLLRLSQQ -VVHSHVNMGLPQGDFAPVASTEVRVPGSVAAFVEQFGEHSVPAIGTRFLFKDYRQTVSRLVWAAEQAAVG -KWKEPLERLWLPMSSSDGHTKLEIAHRLNAFLENAEVQIPYSILEDGVLSGTVPDAWNGIKGFLGDPPTP -GGVDRRDRFDFLFKSYNDAPQLAVAFTTAAATAVLGELRLPWSAPSAGHLNWSFNAREAFTRLSDNWARK -STTYAKFFELSSSLSNRTAATGSQSQMALVSESDGITVVKTALALSPPEFSLVACFPASCLYSGELVRRV -VVTTPLSVRQRATEFVQMDWRA - ->NP_116742.1 viral capsid protein [Discula destructiva virus 1] -MADNQSQISQSVNPSDAASGSGKKRSKLGKAERLARRSAVGSQPGQSASAAKAAMFSAGGMVPKPTPGKF -PVVFQTGAGEPARDQTFSLNERVLRDTVSKFPERFTYNAKYAEFKAHAEIDDDQFSRDLLVSALLRLAQQ -LVHSHVNMGLPQGDFSSVATTDVKVPGSVSAFITQYGEHSVPALGTRFLLSGYEESVRSVIWAADQISRG -VNGDPIGRAWLPVRSQDRHTKQIVSARLGDFLLTRGVSISPEVLENALFSGNPPDIWEDIHDLWGDTDDR -RERFDFLFKVYPDAPSFLVAFTTTAASAVLRELNLEWSTPVAGHLDWTFNAKEVFNRLSDEWAKKSATYA -LFFELSSSQSNRSAATGSQSQMAVVTSVDSVTVVKTHLALSAPEFSLVACFPASGVYSGNLSRRVVVTTP -LSVLQRATEFVQMDWR - diff --git a/seq/clusters_seq/cluster_413 b/seq/clusters_seq/cluster_413 deleted file mode 100644 index 4deee91..0000000 --- a/seq/clusters_seq/cluster_413 +++ /dev/null @@ -1,207 +0,0 @@ ->YP_009665962.1 polyprotein [Broad bean stain virus] -MFKGWIRNYKGGKYLCINAFTLKNRFQPDLYYFDFWAVDFHLCFIRLLCVFVAFLFAFVDFVIPYCIMAQ -EIIKQGIPANVLTEKAAQFKKAKSNEQLRDELPSALALYHNHSLFDKLKRFGSKSTDISKLHAGKEIEYR -HLDAGFLKASNHNVVDVPLLPSTLIPVATDYNFTMSNGKRATALHVGAIEVIVQVFTSPDSDLMAGMMLV -DTMHSRPENAIRSVYIVPLRSGPQMRALCFPNTLVPLNQNINKRFKMVFSLPNVDFPGGEDIAHVSVNVA -GCTTGLQKSYIPSPLLTEEFNRENAHVIEYLGTHTYAMQMSNLPTQDSIANLQFDFRMGGKLELGHTSPS -SAAFKRTKSLRYTIGGKASSDEEAPVAKSRSMHPAVDPRDDLVYANPQMDVDLFKLSLDDTSSVKGSLLD -TRFAQVRVVIPKAMAGGNELLNNNLYDILVVGNNFRAAAALAHTHIIEGQIKCVCTINLPENTGCCLALC -VNSSNRGQFSTDIYTIGSQDRMLWNPACSKNSTFTFNPNPCSTGWSLEFLRRTKFHISVVCVSGWSAQPQ -TDLVMTMDFFVANVPCVPRIYNLGSPGQTLWLNRWMGKLSFGQGVSNDIKSMPLAIGGGAGAKDSILMNM -TNAYLSLWRYFHGDLVFEVNKMSSPYIKSTVTFFIGFGGVSFEPELEDFPNKLVQFSEVQEKIELKFTRA -EFLTAWSTQVDPAAQLANDGCPYLYAMVHDSTASTIVGDFNLGVTLTRIENFAGIGCNPGIQGARLLGSA -IATPQNAVIRSSPGIYSNCFSLRAPLKPDGLKSFTCDLMGGGVVTDGDTGWQVTVRNTPVSNLLRTAAWK -RGTVHVQVVLAGASVKRSDWDSTVQIFLRQSMATSSYDAKIWDICQPGAAMLEFSFDVVGPNSGFEMWDS -NWASQTSWFLEFLISNPAQNTLFEVNLRLDENFSVAGTTLMPPFVLDRVSVARPLLGKQTKTIARSARVV -RETKEASESP - ->YP_009507888.1 polyprotein [Andean potato mottle virus] -MSELIVSDVVALSVWGLLTVVKVYQGNFSLSVLFSEAQTLGFLFFISCHWLQSILLPWVVKIKCATRFDI -DLVEMEMRAEKYLNSIPANVLEDRAKAYNVSKMTSIKNQIPSGKALYQNGKSLASMIKSQFQPISKVLKG -GEVKSYNYIPVGSFTAGEHCELAVPIMPEEELAAIVPDSDFALVTKEDNKAKSVHVGAVEIVMECMTSPD -CDIYGGAMFVDTFHEDPKNAVRALFVTQLKGGVSPRCLFFPDTQVEIKKGMNERFRLILSSGNSDFKPGE -NLAYLKANVAMCGISMNKGYVPTAFHESYARKERASVIEYLGRYSAVIHHRNEFKPEMLKRDGLSFRFGG -KTKLIEKGPLQYEWSETASKVVSVKGTGPPTKEDETISKEVSETLGATEHVVFPTRNVVAQAQMEVAQFG -RLLDDTKSLKLQSLLNSRIAAGRFSIPMTAVKGTVVFDGLLASLIGTTLRGAPMFRHTYRQSTKLRFIFT -INVPISTGIGLMVGYNSVTSDKHLTNEYTISSEESVVWNPACQGVLEFSVSPNPCGMYWSYDYFRQTGSR -LSICVISPWSATPTTDCAVAWQIHVDDEQMTMSIFNPTQAPAVLPVKRWMGNLIFKQGAQEQVKKMPLAI -GAAVGDDKTAVMTMPNSLAAMWNYQIGTFNFEFTKLSSPFIKGTLLAFIAMDQDVSYSLEELQNFPNKIV -QFDEKDGRAYVSFGEEHFAQAWSTQVSGAVTSAKRGCPYLYVVSKDCIASTICGDFQVGVKLLSIENYSP -CGYNPGLVVASTIVQNTAGSNSTSLLAWPQFCSPCINVWSEFCALDIPVVDTTKVNFAQYSLDLVNPTVS -ANASGRNWRFVLIPSPMVYLLQTSDWKRGKLHFKLKILGKSNVKRSEWSSTSRIDVRRAPGTEYLNAITV -FTAEPHADEINFEIEICGPNNGFEMWNADFGNQLSWMANVVIGNPDQAGIHQWYVRPGENFEVAGNRMVQ -PLALSGEDGTGMLPILK - ->YP_009175091.1 polyprotein [Bean rugose mosaic virus] -MSIPEAKYRTYWFSDNYVKYVPTAWQTDTGHTWARICELRVERFRNAFDSRFDFGQTEWRTRRDISDTIF -LHTTQRENFLFWVVLFLLFEFLSRIRHIFPSTPFLSKFLIFLSKKLIDQESHRRYKNMQTVLERGIPAQV -LQEKAAQFKQARANDKLTDVIPKADQMYQKEKTGRFNFLNYLHKNALTDLAKLAEGDLLEQRHMPVGALT -PGENCVLDIPLVRNVAEMANSSAEVFDDYVDTKKNKKSGAEITNTVKALHVGAIEIIIESFASPDSDIQG -ALLLVDTAHERPENAIRSIFVSSFSGGKPIRVVLFPDTLVQYSPRIINDRFKLVCTTSNSDFVPGIDLAM -VKVNVVAAAVGLKHRYTPTPYHSRELKKERGFIVEYIGKQAYLAHNVNNPTPESLLEQNFQFNFQKQPKL -KRLSSTSAVFERGGYFTYNIGNSTGKQVVQTQKRLLEPDKKEEPDIGGTITGGLSSKVDGKHGNVFAQSG -DGLFSQKLDDPGPIVGSQLDRKIGQTKVIVPKTLTGGTVLMDSLLSELIVQPLFRGTLEVQRSHRQVGKI -KCLITLGIPENSGLALMCAINSSIRGAASADVYTISSQDSVLWNPACSNSVVFEFNPNPAGDSWSLNFLR -GTLVHFTLLCVTGWTTTPSTDAQVTLDWFVSPELCKPRIYRVLEPEGDILLNRWMGKLIFPQGNANVIRK -MPLSIGGGAGAKNSIIMNMPNAVLSLWRYFKGDLTFELTKMSSPYIKSTISFLISFAALPDDTLNFEAYP -HKLVRFQEIQAKTTLTFSQEEFLTAWSTQVRPDTKNADDGCPYLYAILHDSTVSTINGDFVLGVVLKEVT -NLQPYGFNPGIQGSRLLGSVQAQGDLQYQQIRNPIFKLRTPADFDPKKQNFCNFAVDLLGLGITTDTTGQ -WRTEVVNSPLANLLRTSAWKRGKLHVRVVMTGASVSRGEWNSYTILNLTNSMNVQHYPAQTWRMGNPHTW -SLDFEIDLVGPNNGFEMWQSNWANQTSWHLECAVVNPLQTTTFEILYGIDSTFSVAGNVLMPAFVIPDAS -ATSGDLDPVDRIQHPHLLKQPVSCFDRKGKSVAESTMADVVAMDRMYQ - ->YP_008400127.1 polyprotein [Broad bean true mosaic virus] -MFDWLQRHKRLNEINFVRQLQDSGHHFVSFQHSPVKYFELISFSNLIEKILILQLVLLFILCDLCVGFLT -SCVYFPVLTLCKMTTEIIQQGIPAQLLEEKAAAFKRAKVANKPLKDIIPSSSQLYEKNFSFFERLKMCSN -KRVLNLMELPAGSCAKYAHMKVEKLRALPVQTIDLPLVPREQVVGAENIYRSSNLGASAAATAMHVGAME -VIINSFASPSSDLMTAMMLVDTAHKRPENAIRSIFIAPMCGGKPVRVVVFPNTLVPLNADMNSRFKLVCS -MPNCDFAEGAPIADISLNLVGCVTGLERSYVPTPLLVEEYNKERGVIVDYLGKNTHALHLSNDVTPEQIG -AMTFEFSHEGPSELGVDVNGNCTFKRGEKLSYTIGGSSSGIRRALESSTERHLDPRDDAVCHTFAQTSTD -LFRLSLNDTKEPEGSLLNTRFAQIKVRIPKSMEGGVVLANKDFGSLIPVSNFRAYSSLARTHRIEGEILC -ISTINLPETTGCCLAICINSVSSGNSSTDIFNTGAQERVLWNPACSKNNVFSFNPNPCSTAWSLEFLKRT -KLRVTVQCVSGWTTVPQTDLQMTMDWYVSNKSCVPRTYMCAGGMQDVVMNRWMGKLVFPQGIDLGLIRMP -LAIGGGAGAEKAILMNMPHATTSTWRYYREEFVFEVNKMSSPFIKSTICFFIGFGDLDETVTNLEDFPNK -LVQFGEIAEKKELIFSHDEFLTAWSTQVDPNKAIANDGCPYLYALVHDATSSTIAGDFILGVTLKTIKNF -VGMGQNPGIQGARLLGAKAQGPFSGVDQRFYSPVYKIRTPLNGVKGPGASFSCDLLNGDVVTDVNKDWVI -QRFNSPVANLLKTAAWKKGTLHVKVSMLGSGTKHSDWQNVTQVILKNSLNTNSYAANTWSIAEPGAFMFH -FPLNIVGPNNGFEMRSSDWASQTSWFLSFVISNPEQCVFYEIDMAFGEDFEVAGNNMMPPFDLDTSNTRS -HSAIVRMLNKTSQPVELATAPQVSRTLRDLPQSMSK - ->YP_003193666.1 polyprotein [Turnip ringspot virus] -MNNIKGRHHCIDNLIPQFAGEPARDFSDKPQEKYVKFLKVSPFSFLCFLIVCHIVTYCETVFKVCFYFNS -WTGTKLRDWLLSITRDANVEYKRLEAISSWSAGHQVVIQHSFSAKKMETVMERGIAAKALELRNDSAMNK -GKRFVGLKDHIPAGKDLYVHKQKLFEMLKGSKRSREVDISRIKGCEVVEMKHIDVGTLSPGENQIINVKI -VKDDMLPQPVQSDYAMVTHSNESKYANAMHVGAIEVIFNSYASPTSDIVGGMILVDTCHKSVANAVRSVF -VTGLAGGKLIRVLMYPNTLVEIGPKMNDRFKLVCTTSNSDISEGFNLASVKVNVVGCTQSLMTQYVPQPL -LDNLLNKEQGTVVEYLGQLSYVMHHSNHITEEFLANQTMEFDLGKKLQLTPSGSGASLAKSTSMRYVVGP -KQRLLNAEEDDGASSFDKRRDAARKIVGMRADATYVDPVFGMTQRAFGQASTTQSLLNKFANTRQMEGTP -IETKIARTKIILTKVMAGGNILYTERLSDVLSNVEQRAAIAFQRTHVQHKKLVALATINVPENTGCALMM -CYNSGIRGKAVVDAYTASAEASTIWNPACQQQARLEINVNPCKSAWSYQFLRQSKGVFNVVCISGWTTTP -STDLSLTIDWYLSDKDAEPETYCAVGINPKIVLNRWMGKLSFPQGTDVTLRRMPLAIGGGAGGTGLVYMN -MPNALCSLWRYMRGGMSFEVIKLSSPYIKATIAFFIAFTDVDATVPNLEAYPHKLVQFSEIQERVTISFD -KEDFVMAWSTQVHNSVPLSEDGCPYLYAVVHDSVGSTISGDFNIGVVLKEMNEVESIGRHPGWKGARPLA -VSPQGLRKSPSGVWNELFMVRGPPEAKSTDVVQFAIDLVGVGIATKNPGAWSIETNNSPMNNLMRTATWK -SGTFHFQVLMEGNPLIKRGDWSSYCEVSLTQSTKVSTMSSRNWVMKDPSSWELEFDIKIEGPNDGFENWE -SHFSNQTSWFLVFSVFNPDQSTVFVVNGMIDDDCWFAGNTLMPPFLEPGSVSSRHSFLSSVQFDYGTTPS -RRAPSSPADNEDDDGRRSERIRQLERYRERDDQNRGNLATYMRRS - ->YP_001911127.1 RNA2-polyprotein [Radish mosaic virus] -MTYFSPQNHFVNYILARHGCDEDSGDFRVSPQQKYNELLVQSPLKFVFFIFFFHFVVFIERLFGFRFAAD -SWLSYRFQGLWRSLRKPIEFAKARKAALAEFSPQPFVFLDPIITYSADSFIDMEQVMERGVAAKALMVQD -KVSINKKHKYEGIKDCIPSGKDMYVFRQKMFDMLMRRRRSKEVDITRIEGSEIIETKHMDVGTLTPGENV -VLNVKLVDDDRALSSRASDYTLHHSVRESKYATAMHVGAIEVIFDSFASPSSDIVGGMVLVDTAHKTVEN -AVRSVFVTGLAGGKMIRVLMYPNTLVEIGPKMNERFKLVCTTSNSDIADGFNLAAVKVNVVGCTQSLTAR -YVPQPFLEQCLNEERGTVVEYLGQMSYVMHNSNEISEEFLAQQTMAFDLGSNLRLQGSSSGASLQRSTSM -KYLVGPRQSFKQLELDKEPSAFERKRLEAKDGADKFRDSTFVDPVYGKTRRAFGQTSMTRSLLNRFSSAN -ETEGTPLDTKIARTKILLTKVMAGGKILYTARLSDVLLNKDQRAAISFQRTHVQHRKLVALATLGVPENT -GCALMMCYNSGVRGKAVVDAYTASAEASVIWNPACQRQAVLEIDVNPCQSGWSYQYLRQTNSYFNVVCIS -GWTTTPLTDLSMTIDWYLSSEESVTSIYYASGGNSVIKLNRWMGRLIFPQGTETTMQRMPLAIGGGAGGN -GLVYMNMPNALCSLWRYIRGGVKFEIIKLSSPYVKATIAFFIAFTDVDATSPNLEAYPHKLVQFAEIQDR -VTIEFDKDEFVMAWSSQVHTNVPLDQDGCPYLYAVVHDCAGSTIPGDFNIGVVLKEMVDVEAIGRHPGWK -GARPLPASPQGLRKSASGVWNELYTIRGPPEAKSTEVVQFAIDLVGVGISTAGRGTWSLETSNSPMNNLL -RTATWKSGTVHFQVLMEGNPLVKRGDWASYCEISLVQSAKDTTLSSRNWVMKDPSSWELEFDVKIEGPNA -GFENWEAHLSNQTSWYLTFAVYNPDQTTVFTVNGMLNDDFCCAGNTLMPPFLEPQSITSDRTPLSQMIFN -YEDNLVRDTDPPDPDNVRERAETSSDHERRLQQQKKRGFNNKFAF - ->NP_620658.1 polyprotein [Squash mosaic virus] -MWHFCEQVYECFEGYHKDYSVQTVPVEYLASHYIVNKFRPDPLAVLWLFCLGIWWEIIQILHYLFQYKEP -ALFISSCQNLAAFLERKYSMEVIQKEGLAASALKDKERLAEKAVVNQPLSNLIPNSNKMYERSKSLLSGL -KRGLIKQKEIAFDKLMGGSTIDFQHIPTGTLTPGENKVLDIPIVPQHLLTSTNITDYHQANKKNANGATA -LHVGAIEVIMDCFTSPDSNICGGMLLVDTAHLNPDNAIRSVFVAPFIGGRPIRVLLFPDTLVEIAPNMNS -RFKLLCTTSNGDVAPDFNLAMVKVNVAGCAVSLTKTYTPTAYLEQELIKEKGAIVQYLNRHTFSMHRNNQ -MTKEEMQKQRLSFRLESALTLQEKHPLHATFCKSTNFVYKIGGDAKEGSNGNLTVNESQLSSHSPSAHVL -HKHNNSGDNEVEFSEIGVVVPGAGRTKAYGQNELDLAQLSLDDTSSLRGSALQTKLATSRVILSKTMVGN -TVLREDLLATFLQDSNERAAIDLIRTHVIRGKIRCVASINVPENTGCALAICFNSGITGAADTDIYTTSS -QDAIVWNPACEKAVELSFNPNPCGDAWNFVFLQQTKAHFAIQCVTGWTTTPLTDLALVLTWHIDRSLCVP -KILTISSAHASFPINRWMGKLSFPQGPARVLKRMPLAIGGGAGTKDAILMNMPNAVISLHRYFRGDFVFE -ITKMSSPYIKATIAFFIAFGDITEEMTNLESFPHKLVQFAEIQGRTTITFTQSEFLTAWSTQVLSTVNPQ -KDGCPHLYALLHDSATSTIEGNFVIGVKLLDIRNYRAYGHNPGFEGARLLGISGQSTMVQQLGTYNPIWM -VRTPLESTAQQNFASFTADLMESTISGDSTGNWNITVYPSPIANLLKVAAWKKGTIRFQLICRGAAVKQS -DWAASARIDLINNLSNKALPARSWYITKPRGGDIEFDLEIAGPNNGFEMANSSWAFQTTWYLEIAIDNPK -QFTPFELNACLMEDFEVAGNTLNPPILLS - ->NP_620464.1 hypothetical protein [Red clover mottle virus] -MLGISNLCRYYQGQRRVCANKFYQKYVNHSDLYFFDLWEISANNLWIKLAFVLLLCLFEIISGLEYLGKM -AQEILKQGIPANVLQEKANLFKKASANNKIKDEMPNALSLYQNHSFFQKLKHLADKKNLDITSLPGGREV -EYKHLDAGHLLADTNVVIDVPLVPQLAARTPTDYNFGTSRDKSATALHVGAIEVVIQSYASSECDLMAGM -MLVDTFHSRPENAIRSVYIVPIRGGMFMRALCFPNTLVPMDSDINNRFKVVFSLPNNDFPQGSKLGHVSI -NMAGCTTSLSKTYVPSPLLTEELGREAATVIQYLGRDTYAMQTSNVPTSDEISRMVFNFHMEGKLSMHKT -GSLSSILSKSKSLRYTIGGSKPKNKLADKAHNEEAETSDSKGIIDPKDGNVFANPQTDTDLFKLSLDDTS -SPKGSLLDTRFAQKKVLIPKAMAGGADLLSSNLYDVLSGSSFRASLALARTHVVEGKIRCICTINLPENT -GCCLAITVNSSNRGQFSTDIYTTGSQDRILWNPACSKNCDFSFNPNPCGTAWSLEFLRRTKFHLSVTCVS -GWSAQPQTDIAMTMDWYVSNKPCVPCIYNVGTPGQNVWVNRWMGKLSFPQGSQNQLKQMPLAIGGGAGAK -NSILMNMTNAFLSLWRYFHGDLVFEVQKMSSPFIKSTVTFFIGFGGLPFSENLEDFPNKLIQFGEVQERV -EITFTRKEFLTAWSTQVDPAGPVAGDGCPYLCAMVHDSTASTITGDFNLGVTLLRIENFVGIGRNPGIQG -ARLLGSMQAEAQGGVVRTTDGVYSTCFRVRTPLALKDSGSFTCDLIGGGITTDSNTGWNLTALNTPVANL -LRTAAWKRGTIHVQVAMFGSTVKRSDWTSTVQLFLRQSMNTSSYDARVWVISKPGAAILEFSFDVEGPNN -GFEMWEANWASQTSWFLEFLISNVTQNTLFEVSMKLDSNFCVAGTTLMPPFSVTASPDSRPLLGVKTSTP -AKKYVGGSLQAGPSPD - ->NP_619517.1 polyprotein [Cowpea severe mosaic virus] -MSTFRYKCKQLDQEIQWWFSGTGNRAFWKFEKKLAELHEWYWSLALDPFPYSGFFYKCFYELFQLWVKLG -LIVQVSYLILLLDFFVYTIPKKMASQIETTVEKVKQSGIPADILRKRAVDYWKKNNSHNSQMQDVLPNVD -EIYEGMRANIAKYLGRSSTVTSIAKLGKCKVYRKKNIPLANLPSLQTSCVPITLTEESVGNSDYTTEETN -SEVKSLHVGAIEIVMNSFASSDCNILGGFLLIDTCHTDINNAIRSIFVAPMRGGRPIRMISFPDTLVQIE -PNMNKRFQLLCTTSNGDFMQGRDLAMMHVNVLAHAVTHTSTYTPTPYYEKILSREKGFIVEYLNRMTYAV -HNQNHPTEKDLLESDFQFDFEGQPVLKRISSTKAIFSKGSSFRYMISGKKEHKIDKPRLEEDGSKSYIDG -LQDTFDTTHATLQSGADLFKRNLDDVSTISDTMLGAMIGQTKVVIPKTLVAGTVLKSGPLSDVMQQGSFR -STIALQRTHIITGKIHVVAMLETAVNTGLGLAICFNSGIRGKASADIYATCSQDAMIWNPSCTKVMQYAF -NPNPCSDGWSLAFLERTGYHCVVTCVTGWTGTPLQDTFMTINWHISREACVPKIYTIFDPEPDMMLNRWM -GRAIFPQQSTQVVRRMPLSIGGGAGAKNSILMNLPNAILSMWRYFKADLEFELIKMSSPYINATIAFFVA -FGDLSDDTVNFEAFPHKLIVFSDKQDRTTISFSKDEFLMAWSTQVRPDTKLSEDGCPYLYAITHNGVSSS -VEGDFILGIKMVGLKAVENIGVNPGIIGSRLLGAVAQSGQTQQVWNKIWRIGTPPQATDGLFSFSIDLLG -VELVTDGQEGAVSVLSSSPVANLLRTAAWKCGTLHVKVVMTGRVTTTRANWASHTQMSLVNSDNAQHYEA -QKWSVSTPHAWEKEFSIDICGPNRGFEMWRSSWSNQTTWILEFTVAGASQSAIFEIFYRLDNSWKSAGNV -LMPPLLVGNPRLDIKGRAAAAA - ->NP_613285.1 unnamed protein product [Cowpea mosaic virus] -MFSFTEAKSKISLWTRSAAPLNNVYLSYSCRCGLGKRKLAGGCCSAPYITCYDSADFRRVQYLYFCLTRY -CCLYFFLLLLADWFYKKSSIFFETEFSRGFRTWRKIVKLLYILPKFEMESIMSRGIPSGILEEKAIQFKR -AKEGNKPLKDEIPKPEDMYVSHTSKWNVLRKMSQKTVDLSKAAAGMGFINKHMLTGNILAQPTTVLDIPV -TKDKTLAMASDFIRKENLKTSAIHIGAIEIIIQSFASPESDLMGGFLLVDSLHTDTANAIRSIFVAPMRG -GRPVRVVTFPNTLAPVSCDLNNRFKLICSLPNCDIVQGSQVAEVSVNVAGCATSIEKSHTPSQLYTEEFE -KEGAVVVEYLGRQTYCAQPSNLPTEEKLRSLKFDFHVEQPSVLKLSNSCNAHFVKGESLKYSISGKEAEN -HAVHATVVSREGASAAPKQYDPILGRVLDPRNGNVAFPQMEQNLFALSLDDTSSVRGSLLDTKFAQTRVL -LSKAMAGGDVLLDEYLYDVVNGQDFRATVAFLRTHVITGKIKVTATTNISDNSGCCLMLAINSGVRGKYS -TDVYTICSQDSMTWNPGCKKNFSFTFNPNPCGDSWSAEMISRSRVRMTVICVSGWTLSPTTDVIAKLDWS -IVNEKCEPTIYHLADCQNWLPLNRWMGKLTFPQGVTSEVRRMPLSIGGGAGATQAFLANMPNSWISMWRY -FRGELHFEVTKMSSPYIKATVTFLIAFGNLSDAFGFYESFPHRIVQFAEVEEKCTLVFSQQEFVTAWSTQ -VNPRTTLEADGCPYLYAIIHDSTTGTISGDFNLGVKLVGIKDFCGIGSNPGIDGSRLLGAIAQGPVCAEA -SDVYSPCMIASTPPAPFSDVTAVTFDLINGKITPVGDDNWNTHIYNPPIMNVLRTAAWKSGTIHVQLNVR -GAGVKRADWDGQVFVYLRQSMNPESYDARTFVISQPGSAMLNFSFDIIGPNSGFEFAESPWANQTTWYLE -CVATNPRQIQQFEVNMRFDPNFRVAGNILMPPFPLSTETPPLLKFRFRDIERSKRSVMVGHTATAA - ->NP_612348.1 coat protein [Bean pod mottle virus] -MFASFIFSGDNKLTEKTIFNCGDLDILVVYYTIATQFRKFLPHYIRWHLYTLLIYILPSFLTTEIKYKRN -LSNIHISGLFYDNRFKFWTKHDKNLALTEEEKMEVIRNRGIPADVLAKRAHEFEKHVAHESLKDQIPAVD -KLYSTKVNKFAKIMNLRQSVVGDLKLLTDGKLYEGKHIPVSNISAGENHVVQIPLMAQEEILSSSASDFK -TAMVSKSSKPQATAMHVGAIEIIIDSFASPDCNIVGAMLLVDTYHTNPENAVRSIFVAPFRGGRPIRVVT -FPNTIVQIEPDMNSRFQLLSTTTNGDFVQGKDLAMVKVNVACAAVGLTSSYTPTPLLESGLQKDRGLIVE -YFGRMSYVAHNINQPQEKDLLEGNFSFDIKSRSRLEKVSSTKAQFVSGRTFKYDIIGAGSQSSEELSEEK -IQGKAKQVDARLRQRIDPQYNEVQAQMETNLFKLSLDDVETPKGSMLDLKISQSKIALPKNTVGGTILRS -DLLANFLTEGNFRASVDLQRTHRIKGMIKMVATVGIPENTGIALACAMNSSIRGRASSDIYTICSQDCEL -WNPACTKAMTMSFNPNPCSDAWSLEFLKRTGFHCDIICVTGWTATPMQDVQVTIDWFISSQECVPRTYCV -LNPQNPFVLNRWMGKLTFPQGTSRSVKRMPLSIGGGAGAKSAILMNMPNAVLSMWRYFVGDLVFEVSKMT -SPYIKCTVSFFIAFGNLADDTINFEAFPHKLVQFGEIQEKVVLKFSQEEFLTAWSTQVRPATTLLADGCP -YLYAMVHDSSVSTIPGDFVIGVKLTIIENMCAYGLNPGISGSRLLGTIPQSISQQTVWNQMATVRTPLNF -DSSKQSFCQFSVDLLGGGISVDKTGDWITLVQNSPISNLLRVAAWKKGCLMVKVVMSGNAAVKRSDWASL -VQVFLTNSNSTEHFDACRWTKSEPHSWELIFPIEVCGPNNGFEMWSSEWANQTSWHLSFLVDNPKQSTTF -DVLLGISQNFEIAGNTLMPAFSVPQANARSSENAESSA - ->sp|P36341.3|POL2_SQMVM RecName: Full=RNA2 polyprotein; AltName: Full=Genome polyprotein M; AltName: Full=M RNA polyprotein; AltName: Full=Middle component RNA polyprotein; AltName: Full=P2; Contains: RecName: Full=VP58; Contains: RecName: Full=Movement protein; Short=MP; Contains: RecName: Full=Large capsid protein; Short=LCP; AltName: Full=42k coat protein; AltName: Full=Coat protein VP42; AltName: Full=L subunit; AltName: Full=Large coat protein; Contains: RecName: Full=Small capsid protein; Short=SCP; AltName: Full=22k coat protein; AltName: Full=Coat protein VP22; AltName: Full=S subunit -MWHFCEQVYECFEGYHRDYSVQTVPVEYLASHYIVNKFRPDPLAVLWLFCLGIWWEIIQILHHLFQYKEP -ALFVGSCQNLAAFLEKKYSMEVIQKEGLAASALKDKERLTEKAVVNQPLSNLIPHSNKMYERSKSLLSGL -KRGLIKQKEIAFDKLMGGSTIDFQHIPTGTLTPGENKVLDIPIVPQHLLTSTNITDYHQANKKNANGATA -LHVGAIEVIMDCFTSPDSNICGGMLLVDTAHLNPDNAIRSVFVAPFIGGRPIRVLLFPDTLVEIAPNMNS -RFKLLCTTSNGDVAPDFNLAMVKVNVAGCAVSLTRTYTPTAYLEQELIKEKGAIVQYLNRHTFSMHRNNQ -MTKEEMQKQRLSFRLESALTLQEKHPLHATFCKSTNFVYKIGGDAKEGSNGNLTVNESQLSSHSPSAHVL -HKHNNSGDNEVEFSEIGVVVPGAGRTKAYGQNELDLAQLSLDDTSSLRGTALQTKLATSRIILSKTMVGN -TVLREDLLATFLQDSNERAAIDLIRTHVIRGKIRCVASINVPENTGCALAICFNSGITGAADTDIYTTSS -QDAIVWNPACEKAVELTFNPNPCGDAWNFVFLQQTKAHFAVQCVTGWTTTPLTDLALVLTWHIDRSLCVP -KTLTISSAHASFPINRWMGKLSFPQGPARVLKRMPLAIGGGAGTKDAILMNMPNAVISLHRYFRGDFVFE -ITKMSSPYIKATIAFFIAFGDITEEMTNLESFPHKLVQFAEIQGRTTITFTQSEFLTAWSTQVLSTVNPQ -KDGCPHLYALLHDSATSTIEGNFVIGVKLLDIRNYRAYGHNPGFEGARLLGISGQSTMVQQLGTYNPIWM -VRTPLESTAQQNFASFTADLMESTISGDSTGNWNITVYPSPIANLLKVAAWKKGTIRFQLICRGAAVKQS -DWAASARIDLINNLSNKALPARSWYITKPRGGDIEFDLEIAGPNNGFEMANSSWAFQTTWYLEIAIDNPK -QFTLFELNACLMEDFEVAGNTLNPPILLS - diff --git a/seq/clusters_seq/cluster_414 b/seq/clusters_seq/cluster_414 deleted file mode 100644 index 7d075b9..0000000 --- a/seq/clusters_seq/cluster_414 +++ /dev/null @@ -1,148 +0,0 @@ ->YP_009507782.1 major outer capsid [Pulau reovirus] -MMGNATSIVQNFNIKGDGNTFSPSAETASSAVPSLSLNPGLLNPGGKAWTLIDPTVPATAPGSLRLMTTE -DVPAALGTSILGSNGAAPSSGMYLVPVKETLNVVTDHAIAQFEKLQSAYELDRDYLDEKGVAPESVSFKN -YLTYVDCYVGVSARQAATNFQKHVPVVTKSKMMSFMTSAQNILSLLGPWEKSIREVLTMLPSSTPYGTLK -CDMKAIVAMLEEQLPEGNLCRLYPQAAACSIARRNGGVRWKEPNSDEAPSLATNDVAASTMGALANTTPL -ADKSNTTEESMRLVSESSVDLICSRRPISASVWARTVEPKSYNIRTLKVSEALWLRQSQVSAGFDVAYNL -NDSTQRHFWITTGTTVINLEQTGSMMFEVNIEGKDYKKGNFQPNGATLVLLVMQSRLPFETWTVSSQIEG -IAQVASIVVTAGSGSTVNTSIIGSTSLSYLFERETITTANTEVNTYLLCTWQSSSICTDADSWPDAWDAV -TTLTPLTTGTVTVKGSTVEKVTPVDLIGSYTPESLTAALPNDAGNILALRAETLAKAVKNEDDSVTDESS -PFSAPIQGILALQQKETEGTAGTPDLKPPGTLQKIASRAMHMFLGDPKSVLKQTTPVLKDPQVWTSFVQG -VRDGIRNKSLSAGVRSIVDNLSAVQSVKEWKQNVLGKIQKLFKPK - ->YP_009445955.1 outer shell protein [Piscine orthoreovirus] -MGNYQTSNNQFWVTGDGNDFSAEGGLDSTNAASLDFKAGKTNPGGHMYVISGDNTSDVVKWDSLTPLYGI -DGQMVVVLTAVAMSTFEKMVNLIEMYRPLLEASQQMACYRDWEKDIVLLDGYVGSTPQSAVTNFVTGASV -INLRELRSLGKMYQNILGVIANYDRDIQVALSLIPHSTPIGSLTADMHSILRMFSLSLKPTNVCYLYPEA -ALQVIRAISPTVRNVDTQQGGSIVETLNLFEPVFNGTGPNQPPLTDQSEVRSIARSDASLAQLSLISSTE -PIEARALKSGTPTKTYDIRLVDPLTTPWVSKAYALAEKTARIQFTDSGRKTWYTAVGKGTLALHLDDITS -MSITMDLGGESYYYKTLANDAAETVDPESATVAFILFSVTRPLEEITTASELQTGKIVAFKKLMVANSSV -QGAKIIANTSLKYNFDHNSISGDKSELNHYLLCQLLFNNLSASTTYTRQDAWAGKTTMQSLDSDKVAVKG -VEVDRVIPAGAFGNYTTAEQKSSLPNDLHSVMATHLERAAKAMTAIDDEDQEGGSTVANAIFGALISKES -PVAGPIPWKNIKFDELRVLSDKAASSFKRDPSQALISHDPVLGDSAVMTSLLGGIGNAVKTKGLSAACKD -TKSALTAAQSGRSVRQTILDKIEKLFPPGPRPAKKMIEEGPSKKEARRLGDSRRGQK - ->YP_009246472.1 mu B [Mahlapitsi orthoreovirus] -MGTASSLVQNFNIYGNGNVFRPTADQVSTAVPTLSLQPGVLNPGGLAWRRINPDVDPTAPGALIQMTTDD -IPTASLTCTENASGLVPVNAMYMMLKKEELVVVTDHAIDQMHKLEYAMELSRAYLNEKSILPQSTSFDSY -VIRVDCYVGASALQAARNFVRGAPLITRSRMVAYMTAIQNAFEILSDWESDIREAMNLIPSTTPYGATTC -DMQSVIKFLDENLPENSLVRLYPQQAADSIARRNGGIRWKDEVTGDVPSLATNTVAAATLGVINNTVPLG -EKSDVTSEAMSLVTVVKPDLVTSMRPVSSSVFATTVSPKTYNIRAQPVMEALWLRTAAAGVDMVVGWWSE -EHAATHYTVIRPGTKVINLQQTGSMLITVDLVDKDYRTVHFNPDGQTVILLLLQSKIPFEQLTSPTELIG -VAQVASVVLSASDSSTEGSAIINNTNLSYLFEREILRGPDTEVNTYLLCGFRTDSQPTKENMPWYDAWDA -KTTLTPLTTGEVTLNGAAVPFVTPMSLIGAYTPEAMQGALPNDAGILLAERAMNLAEAIKLEDDSMADEA -SPFSAPIQGVLAIQQHEPGEGVKAWLGPFDILRKAARAIQVFLGNPKSVLMQGVPVVSDPNVWIAMVQGI -RDGIRNKSLSVGVRTALDNLNAVQSVRTWKSDFLTKVEKYYPPSSE - ->YP_009110703.1 major outer capsid [Cangyuan orthoreovirus] -MMGNATSIVQNFNIKGDGNTFSPSAETASSAVPSLSLNPGLLNPGGKAWTLIDPTVPATAPGSLRLMTTE -DFPAALGTSILGSNGAAPSSGMYLVPVKETLNVVTEHAIAQFEKLQSAYELDRDYLDAKGVAPESVSFKN -YLSHVDCYVGVSARQAATNFQKNVPVITKSKMMSFMTSAQNILSLLGPWEKSVREVLTMLPSSTPYGTLK -CDMKAIVAMLEEQLPEDNLCRLYPQAAACSIARRNGGVRWKEPTSDEAPSMATNDVAASTMGALANTTPL -AEKSNTTEESMRLVAESSVDLICSRRPISASVWARTVEPKSYNIRTLKVSEALWLRQSQVTTGFDVAYTL -TDSTKKHFWITKGTTVINLEQTGSMMFEVNIEGKDYKKGSFDPNGSTLVLLVMQSRLPFETWTVASQIEG -IAQVASIVVTAGSGSTVNTSIIGSTSLSYLFERETITTSNTEVNTYLLCTWQSPTECVDANSWPDAWDAI -TTLTPLTTGTVTVKGSTVEKVTPVDLIGSYTPESLTAALPNDAGNILALRAETLAKAVKNEDDSVTDESS -PFSAPIQGILALQQKETEGTAGSPDPKPPGTLQKIASRAMHMFLGDPKSVLKQTTPVLKDPQVWTSFVQG -VRDGIRNKSLSAGVRSIVDNLSAVQSVKEWKQNVLGKIQKLFKPK - ->YP_009020579.1 muB [Bush viper reovirus] -MGNASSLVQNFNITGDGNSFAPTADQTSSAVPTLSLQPGILNPGGLAFKLIDPTKDPTDPGALAIMTTED -LPELAITDTNNSAGALPISGMYLDSKPEKLTVVTDHALEQMRKNESAVEISRPYLSRIAVMPQSTFFTDY -VVKIKGCYVGASATQASRTYVEGPYVITQSRMTTYMSIMATASNALSKWSRAVTEVLNIIPTTTPYGKTE -CDMRSIIKFLDQVLPEDHLARDYPEEMAETVAKINGGIRWKDELTGKATSLAINDVAAPTMATLSNTMPL -AEKSETTTDSLSLINDADVDLMSCDRPISSTVWARTVEPKDYNIRVLPLEASMWLRTAALTANFVVSWKS -KESDATNYIKIMTGARVINLEQTGTMTFTVDLEGKNYKTTSFDPNGKTVGLVAMQSKVPFEQWTAASMVI -GATMVASEVLVAAQSSTPGASIIAKTALTYIFERETIPLADTEVNTYLFCGFVLTETPTESNYPWYDVWD -ATTTLTPMTTGTVTVNGSTVNEVVPTSLIGAYTPTAMSAALPNDAGVILQGRAEKLAKAIKNEDDSVADE -ASTYSMPIMGVLALQDAGKPTMRAKFDPVGLLKKASRAFQMFLGNPKSILDMTTPVMKDPAVWRALAQGV -RDGIRNKSMGAGVKSIFDKVSATKSVQKWKQGILTQIQTLYPPSGE - ->YP_004769551.1 outer shell protein [Baboon orthoreovirus] -MGAGSSVVQHFEVYGDGNVFSPDARQATSAVPQLDLNIGDINPGGICFTLVSGARDPSSAGALRRLTTRD -VSQQAFRDQTHRISNDDEFLSDNTEVYFALTEHAIEQFGKLENACEIDRAYLNANGLLTTTFQLRHYYYT -HSCYVGVSAEQAAKNFVKDAKIMSSRQLISYFSAVQNALTALAQWEVSIRQVMNLIPMTTPFGSTKCDMT -SVVQFLDANLPEEHPVRYFPYEAALSISRRNGGIRFKDKRGETLSNAVNAVSSAAGAPLSNALSPAYKSS -IARQALNDLDVADIDVLSSSRPIASSVLARSMEPQQYNIRALPASSTMWLRQLYQNVSFEVGWENTETHT -RHYFEIKFGTKAIHLNSMGSMNVLVNYGNKSYSAPGFDPDHKQVYLLLVQSKIPFEDWKRAEDIIAILPL -ASTTLHAEEGSFYNTKLLDETALNVLFEKELIAESGDEVNSYMLCTFVSDQGRVGGNAITPFADAWDANT -TFFPLTLGDVSVNGTSTHRVTPSVLFGSYTPDILHSALPNEAGLIIGRRMARVAGAIKADDSTNPGEVSV -YSTPITGRLILQQSRAQNVRGTAMPHHKGALFKLGSRALHMFMGRPESVMLSQTPIVRDSSVMVGLLQGA -RDGLRNKSISAEPKISNDSIGAMRSVQLLHQGLLNEVQTLYPPLKG - ->YP_004226525.1 mu-B protein [Avian orthoreovirus] -MGNATSVVQNFNIQGDGNHFAPSAETTSSAVPSLSLNPGLLNPGGKAWTLIDASLNASDPSSLRLMTSAD -LSTLSQSAVGNSTGFLPTSGMYALTTKETLSVVTDHAIAQFEKLQMACELDRDYLDARGVSPESVNIHNY -IVYVDCFVGVSARQAASNFQQRVPVITKSRMTQFMTSAQNILQVLGPWERDIRELLTIIPTSTTAGKLSC -DMRSVVSFVDSQLSDTSLCRLYPECAAAAVARRNGGIRWKQADSDEAPSLATNDIAASTMGALANTTPLS -EKSNSGEESMRLVNDVGVDIMSSRGPISSSVWSRTVEPKSYNIRTLRVEEALWLRESQATAGFDVSYTLP -DQTTQKHFWLQKGSTVINLEQTGSMMFEVNVSGKDYKKGSFDPDNHKLVLLVMQSKIPFESWTGASQIDG -IAQVAEVTVHAADSSTPSRKIIGETSLSYLFERETVTTANTEVNTYLLCTWQLDDAQSNGDNAWPDAWDA -ITTLTSLTSGTVTIKGTSVDSVTPVDLVGAYTPEALSAALPNDAGLILADKATKLANAIKKEDDSVIDES -SPFSTPIQGVLAVQQLDTVGTRGVRTIQPPAFLKRVASRALHMFLGDPHSILKQTTPVLKDPEVWTGFIQ -GVRDGIRTKSLSAGVRSVYNNVTATQSVQTWKQGFLTKIQTLFKPS - ->YP_003717775.1 major outer capsid protein [Broome virus] -MGNYSSIVQNYNIRGDGNVFRPTADQRSSAVPTLQLRPGILNPGGLAWRLLEGQTDPTAPGALVQVTTLD -YPTLNVCNANNKTGLLPVSGQFIKNVKEEVIVIEQSAICEFQKLQNAIELNRDYLSEQGILPQSANFSDY -VVYIDCYVGLSAEQASRNFLRSVPTTTRSRTTAYITIVQAALAQLNKWESALREVMTILPTSTSFGELEC -DMKSVVAYVDAVLPDDNLCRLYPEQAAAAIAMRTGAVRWKDTTTGDTPLQASNSITSVTQSVIGNAVPLG -EKSDASIESLALVAKSNPDLVCSDRPTMGSVWSMLVPSKDYNIRAIPVLEAMWLRTTGDKVGFDISWEEK -AFNNTYHIAIRTGMKALFLDEISSCVLSVDFKDKNYTTPQFNPSGKVVSLLVLSSKLPLEQITKPDDIMG -IVEVSNVTLRAAPSSTQGASVIATTSLTYVFEREVLPIDKSVHSYLLCMFRSNEPTNATNYPWFDAWDAN -TTISMLTAGEVTLNGVVTDTIVPTSLIGAYTPEVLASALPNDAGEIMTARAQILAEAIKREDDTMVDEAS -PFSAPILGQLALQTKTIGVGSIRGWDPPRWLKQASRALQMFLGNPKSILSVTTPVLKDPNVWVGLAQGVY -DAISTKSMSAGWRKAADRLNAAQSVRQWKQKVLGKIQKGFPPST - ->YP_003199421.1 mu-1 protein [Mammalian orthoreovirus 3] -MGNASSIVQTINVTGDGNVFKPSAETSSTAVPSLSLSPGMLNPGGVPWIAIGDETSVTSPGALRRMTSKD -IPETAIVNTDNSSGAVPSESALVPYNDEPLVVVTEHAITNFTKAEMALEFNREFLDKLRVLSVSPKYSDL -LTYVDCYVGVSARQALNNFQKQVPVITPTRQTMYVDSIQAALKALEKWEIDLRVAQTLLPTNVPIGEVSC -PMQSVVKLLDDQLPDDSLIRRYPKEAAVALAKRNGGIQWMDVSEGTVMNEAVNAVAASALALSSSAPPLE -EKSKLTEQAMDLVTAAEPEIIASLVPVPAPVFAIPPKPADYNVRTLKIDEATWLRMIPKTMNTPFQIQVT -DNTGTNWHLNLRGGTRVVNLDQIAPMRFVLDLGGKSYKETSWDPNGKKVGFIVFQSKIPFELWTAASQIG -QATVVNYVQLYAEDSSFTAQSIIATTSLAYNYEPEQLNKTDPEMNYYLLATFIDSAAITPTNMTQPDVWD -ALLTMSPLSAGEVTVKGAVVSEVVPADLIGSYTPESLNASLPNDAARCMIDRASKIAEAIKIDDDAGPDE -YSPNSVPIQGQLAISQLETGYGVRIFNPKGILSKIASRAMQAFIGDPSTIITQAAPVLSDKNNWIALAQG -VKTSLRTKSLSAGVKTAVSKLSSSESIQNWTQGFLDKVSTHFPAPKPDCSTSGDSGESSNRRVKRDSYAG -VVKRGYTR - ->sp|P11078.4|MU1_REOVD RecName: Full=Outer capsid protein mu-1; Short=Mu1; Contains: RecName: Full=Outer capsid protein mu-1N; Contains: RecName: Full=Outer capsid protein mu-1C -MGNASSIVQTINVTGDGNVFKPSAETSSTAVPSLSLSPGMLNPGGVPWIAVGDETSVTSPGALRRMTSKD -IPETAIINTDNSSGAVPSESALVPYIDEPLVVVTEHAITNFTKAEMALEFNREFLDKMRVLSVSPKYSDL -LTYVDCYVGVSARQALNNFQKQVPVITPTRQTMYVDSIQAALKALEKWEIDLRVAQTLLPTNVPIGEVSC -PMQSVVKLLDDQLPDDSLIRRYPKEAAVALAKRNGGIQWMDVSEGTVMNEAVNAVAASALAPSASAPPLE -EKSKLTEQAMDLVTAAEPEIIASLAPVPAPVFAIPPKPADYNVRTLRIDEATWLRMIPKSMNTPFQIQVT -DNTGTNWHLNLRGGTRVVNLDQIAPMRFVLDLGGKSYKETSWDPNGKKVGFIVFQSKIPFELWTAASQIG -QATVVNYVQLYAEDSSFTAQSIIATTSLAYNYEPEQLNKTDPEMNYYLLATFIDSAAITPTNMTQPDVWD -ALLTMSPLSAGEVTVKGAVVSEVVPADLIGSYTPESLNASLPNDAARCMIDRASKIAEAIKIDDDAGPDE -YSPNSVPIQGQLAISQLETGYGVRIFNPKGILSKIASRAMQAFIGDPSTIITQAAPVLSDKNNWIALAQG -VKTSLRTKSLSAGVKTAVSKLSSSESIQNWTQGFLDKVSAHFPAPKPDCPTSGDSGESSNRRVKRDSYAG -VVKRGYTR - ->sp|P11077.4|MU1_REOVL RecName: Full=Outer capsid protein mu-1; Short=Mu1; Contains: RecName: Full=Outer capsid protein mu-1N; Contains: RecName: Full=Outer capsid protein mu-1C -MGNASSIVQTINVTGDGNVFKPSAETSSTAVPSLSLSPGMLNPGGVPWIAIGDETSVTSPGALRRMTSKD -IPETAIINTDNSSGAVPSESALVPYNDEPLVVVTEHAIANFTKAEMALEFNREFLDKLRVLSVSPKYSDL -LTYVDCYVGVSARQALNNFQKQVPVITPTRQTMYVDSIQAALKALEKWEIDLRVAQTLLPTNVPIGEVSC -PMQSVVKLLDDQLPDDSLIRRYPKEAAVALAKRNGGIQWMDVSEGTVMNEAVNAVAASALAPSASAPPLE -EKSKLTEQAMDLVTAAEPEIIASLVPVPAPVFAIPPKPADYNVRTLKIDEATWLRMIPKTMGTPFQIQVT -DNTGTNWHLNLRGGTRVVNLDQIAPMRFVLDLGGKSYKETSWDPNGKKVGFIVFQSKIPFELWTAASQIG -QATVVNYVQLYAEDSSFTAQSIIATTSLAYNYEPEQLNKTDPEMNYYLLATFIDSAAITPTNMTQPDVWD -ALLTMSPLSAGEVTVKGAVVSEVVPAELIGSYTPESLNASLPNDAARCMIDRASKIAEAIKIDDDAGPDE -YSPNSVPIQGQLAISQLETGYGVRIFNPKGILSKIASRAMQAFIGDPSTIITQAAPVLSDKNNWIALAQG -VKTSLRTKSLSAGVKTAVSKLSSSESIQNWTQGFLDKVSTHFPAPKPDCPTNGDGSEPSARRVKRDSYAG -VVKRGYTR - ->sp|P12397.3|MU1_REOVJ RecName: Full=Outer capsid protein mu-1; Short=Mu1; Contains: RecName: Full=Outer capsid protein mu-1N; Contains: RecName: Full=Outer capsid protein mu-1C -MGNASSIVQTINVTGDGNVFKPSAETSSTAVPSLSLSPGMLNPGGVPWIAIGDETSVTSPGALRRMTSKD -IPETAIINTDNSSGAVPSESALVPYNDEPLVVVTEHAIANFTKAEMALEFNREFLDKLRVLSVSPKYSDL -LTYVDCYVGVSARQALNNFQKQVPVITPTRQTMYVDSIQAALKALEKWEIDLRVAQTLLPTNVPIGEVSC -PMQSVVKLLDDQLPDDSLIRRYPKEAAVALAKRNGGIQWMDVSEGTVMNEAVNAVAASALAPSASAPPLE -EKSKLTEQAMDLVTAAEPEIIASLVPVPAPVFAIPPKPADYNVRTLKIDEATWLRMIPKTMNTPFQIQVT -DNTGTSWHMNLRGGTRVVNLDQIAPMRFVLDLGGKSYKETSWDPNGKKVGFIVFQSKIPFELWTAASQIG -QATVVNYVQLYAEDSSFTAQSIIATTSLAYNYEPEQLNKTDPEMNYYLLAAFIDSAAISTSNMTQPDVWD -ALLTMSPLSAGEVTVKGAVVSEVIPADLVGSYTPESLNASLPNDAARCMIDRASKIAEAIKIDDDAGPDE -YSPNSVPIQGQLAISQLETGYGVRIFNPKGILSKIASRAMQAFIGDPSTIITQAAPVLSDKNNWIALAQG -VKTSLRTKSLSAGVKTAVSKLSSSESIQSWTQGFLDKVSTHFPAPKPDCPQSGDSGDGSARRLKRDSYAG -VVKRGYTR - diff --git a/seq/clusters_seq/cluster_415 b/seq/clusters_seq/cluster_415 deleted file mode 100644 index 8b15f88..0000000 --- a/seq/clusters_seq/cluster_415 +++ /dev/null @@ -1,144 +0,0 @@ ->YP_009449559.1 hemagglutinin-esterase precursor [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MFLLLATITAITACQAERELICIVQRVNESFSLHSGFGGNVYSMKTEPMTGFTNVTKGASVINQKDWIGF -GDSRTDLTNDQFPASSDVPLAVAKKFRSLSGASLMLSAFGPPGKVDYLYQGCGKEKVFYEGVNWSPEAGI -DCFGSNWTQTKKDFYSRIYEAARSSTCMTLVNSLDTKISSTTATAGTASSCSSSWMKSPLWYAESSVNPG -AKPQVCGTEQSATFTLPTSFGIYKCNKHVVQLCYFVYENKAKFNTFGCGDYYQNYYDGNGNLIGGMDNRV -AAYRGIANAGVKIECPSKILNPGTYSIKSTPRFLLVPKRSYCFDTDGGYPIQVVQSEWSASRRSDNATEE -ACLQTEGCIFIKKTTPYVGEADDNHGDIEMRQLLSGLGNNDTVCVSQSGYTKGETPFVKDYLSPPKYGRC -QLKTDSGRIPTLPSGLIIPQAGTDSLMRTLTPATRIFGIDDLIFGLLFVGFVAGGVAGGYFWGRSNGGGG -GASVSSTQAGFDKIGKDIQQLRNDTNAAIEGFNGRIAHDEQAIKNLAKEIEDARAEALVGELGIIRSLIV -ANISMNLKESLYELANQITKRGGGIAQEAGPGCWYVDSENCDASCKEYIFNFNGSATVPTLRPVDTKVVI -TSDPYYLGSTIALCLLGLVAIAASVGVIWICCKK - ->YP_089655.1 hemagglutinin-esterase-fusion [Influenza C virus (C/Ann Arbor/1/50)] -MFFSLLLMLGLTEAEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGD -SRTDKSNSAFPRSADVSAKTADKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAINC -YRKNWTDIKLNFQKNIYELASQSHCMSLVNALDKTIPLQATAGVAKNCNNSFLKNPALYTQEVNPSVEKC -GKENLAFFTLPTQFGTYECKLHLVASCYFIYDSKEVYNKRGCDNYFQVIYDSSGKVVGGLDNRVSPYTGN -SGDTPTMQCDMLQLKPGRYSVRSSPRFLLMPERSYCFDMKEKGPVTAVQSIWGKGRESDHAVDQACLSTP -GCMLIQKQKPYIGEADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEE -SIPKIPDGLLIPTSGTDTTVTKPKSRIFGIDDLIIGLLFVAIVEAGIGGYLLGSRKVSGGGVTKESAEKG -FEKIGNDIQILRSSTNIAIEKLNDRISHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQES -LWELASEITNRAGDLAVEVSPGCWVIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSS -LGLAITAAISLAALVISGIAICRTK - ->sp|P07975.1|HEMA_INCJH RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -MFFSLLLVLGLTEAEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGD -SRTDKSNSAFPRSADVSAKTADKFRFLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAINC -YRKNWTDIKLNFQKNIYELASQSHCMSLVNALDKTIPLQVTAGTAGNCNNSFLKNPALYTQEVKPSENKC -GKENLAFFTLPTQFGTYECKLHLVASCYFIYDSKEVYNKRGCDNYFQVIYDSFGKVVGGLDNRVSPYTGN -SGDTPTMQCDMLQLKPGRYSVRSSPRFLLMPERSYCFDMKEKGPVTAVQSIWGKGRESDYAVDQACLSTP -GCMLIQKQKPYIGEADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEKYLLPPKFGRCPLAAKEE -SIPKIPDGLLIPTSGTDTTVTKPKSRIFGIDDLIIGVLFVAIVETGIGGYLLGSRKESGGGVTKESAEKG -FEKIGNDIQILKSSINIAIEKLNDRISHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQES -LWELASEITNRAGDLAVEVSPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSS -LGLAITATISLAALVISGIAICRTK - ->sp|P03465.1|HEMA_INCCA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -MFFSLLLMLGLTEAEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGD -SRTDQSNSAFPRSLMSAKTADKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAIDCY -RKNWTDIKLNFQKSIYELASQSHCMSLVNALDKTIPLQVTKGVAKNCNNSFLKNPALYTQEVKPLEQICG -EENLAFFTLPTQFGTYECKLHLVASCYFIYDSKEVYNKRGCGNYFQVIYDSSGKVVGGLDNRVSPYTGNS -GDTPTMQCDMLQLKPGRYSVRSSPRFLLMPERSYCFDMKEKGPVTAVQSIWGKGRKSDYAVDQACLSTPG -CMLIQKQKPYIGEADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEES -IPKIPDGLLIPTSGTDTTVTKPKSRIFGIDDLIIGLLFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGF -EKIGNDIQILRSSTNIAIEKLNDRISHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESL -WELASEITNRAGDLAVEVSPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSL -GLAITAANLMAALVISGIAICRTK - ->sp|P68761.1|HEMA_INCYA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -AEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGDSRTDKSNSAFPRS -ADVSAKTADKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAINCYRKNWTDIKLNFQ -KNIYELASQSHCMSLVNALDKTIPLQATAGVAKNCNNSFLKNPALYTQEVNPSEEICGKEYLAFFTLPTQ -FGTYECKLHLVASCYFIYDSKEVYNKRGCDNYFQVIYDSYGKVVGGLDNRVSPYTGNSGDTPTMQCDMLQ -LKPGRYSVRSSPRFLLMPERSYCFDMKEKGLVTAVQSIWGKGRESDHAVDQAYLSTPGCMLIQKQKPYIG -EADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPDGLLIPT -SGTDTTVTKPKSRIFGIDDLIIGLFFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGFEKIGNDIQILRS -STNIAIEKLNDRISHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASEITNRAG -DLAVEVSPGCWVIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITTPISLAA -LVISGIAICRTK - ->sp|Q67387.1|HEMA_INCNB RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -MLGLTEAEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGDSRTDQSN -SAFPRSADVSAKTADKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAIDCYRKNWTD -IKLNFQKSIYELASQSHCMSLVNALDKTIPLQVTKGVAKNCNNSFLKNPALYTQEVKPLEQICGKENLAF -FTLPTQFGTYECKLHLVASCYFIYDSKEVYNKRGCGNYFQVIYDSSGKVVGGLDNRVSPYTGNSGDTPTM -QCDMLQLKPGRYSVRSSPRFLLMPERSYCFDMKEKGPVTAVQSIWGKGRESDYAVDQACLSTPGCMLIQK -QKPYIGEADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPD -GLLIPTSGTDTTVTKPKSRIFGIDDLIIGLLFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGFEKIGND -IQILRSSTNIAIEKLNDRISHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASE -ITNRAGDLAVEVSPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITA -AISLAALVISGIAICRTK - ->sp|P07974.1|HEMA_INCTA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -AEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGDSRTDKSNSAFPRS -ADVSEKTADKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAINCYRKNWTDIKLNFQ -KNIYELASQSHCMSLVNALDKTIPLQVTAGVAKNCNNSFLKNPALYTQEVNPSKEICGKENLAFFTLPTQ -FGTYECKLHLVASCYFIYDSKEVYNKRGCDNYFQVIYDSSGKVVGGLDNRVSPYTGNTGDTPTMQCDMLQ -LKPGRYSVRSSPRFLLMPERSYCFDMKEKGLVTAVQSVWGKGRESDHAVDQAYLSTPGCMLIQKQKPYIG -EADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPDGLLIPT -SGTDTIVTKPKSRIFGIDDLIIGLLFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGFEKIGNDIQILRS -STNIAIEKLNDRITHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASEITNRAG -DLAVEVSPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITTPISLAA -LVISGIAICRTK - ->sp|P07966.1|HEMA_INCP3 RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -AEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWICFGDSRTDQSNSAFPRS -ADVSAKTAEKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHTAIDCYRKNWTDIKLNFQ -KSIYELASQSHCMSLVNALDKTIPLQATKGVAKNCNNSFLKNPALYTQEVKPLDQICGEENLAFFTLPTQ -FGTYECKLHLVASCYFIYDSKEVYNKRGCGNYFQVIYDSSGKVVGGLDNRVSPYTGNSGDTPTMQCDMLQ -LKPGRYSVRSSPRFLLMPERSYCFDMKEKGLVTAVQSIWGKGRKSDYAVDQACLSTPGCMLIQKQKPYIG -EADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPDGLLIPT -SGTDTTVTKPKSRIFGIDDLIIGLFFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGFEKIGNDIQILRS -STNIAIEKLNDRISHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASEITNRAG -DLAVEVSPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITTPISLAA -LVISGIAICRTK - ->sp|P17005.1|HEMA_INCNA RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -EKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGDSRTDKSNPNFPRSA -DVSVKTANKFRSLTGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAIDCYRKNWTDIKLNFQK -NIYELASQSHCMSLVNALDKTIPLQATAGVAGNCNNSFLKNPALYTQEVTPPXXKCGKENLAFFTLPTQF -GTYECRLHLVASCYFIYDSKEVYNKRGCDNYFQVIYDSSGKVVGGLDNRVSPYTGNSGDTPTMQCDMIQL -KPGRYSVRSSPRFLLMPERSYCFDMKEKGPVTAVQSIWGKDRKSDYAVDQACLSTPGCMLIQKQKPYTGE -ADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPDGLLIPTS -GTDTTVTKPKSRIFGIDDLIIGLLFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGFEKIGNDIQILRSS -TNIAIEKLNDRISHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASEITNRAGD -LAVEISPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITAAISLAAL -VISGIAICRTK - ->sp|P07970.1|HEMA_INCMI RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -AEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGDSRTDKSNPNFPRS -ADVSVKTANKFRSLTGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAIDCYRKNWTDIKLNFQ -KNIYELASQSHCMSLVNALDKTIPLQATAGVAGNCNNSFLKNPALYTQEVTPPEKCGKENLAFFTLPTQF -GTYECKLHLVASCYFIYDSKEVYNKRGCDNYFQVIYDSSGKVVGGLDNRVSPYTGNTGDTPTMQCDMLQL -KPGRYSVRSSPRFLLMPERSYCFDMKEKGLVTAVQSIWGKDRKSDYAVDQACLSTPGCMLIQKQKPYTGE -ADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPDGLLIPTS -GTDTTVTKPKSRIFGIDDLIIGLLFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGFEKIGNDIQILRSS -TNIAIEKLNDRITHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASEITNRAGD -LAVEISPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITTPISLAAL -VISGIAICRTK - ->sp|P07971.1|HEMA_INCGL RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -AEKIKICLQKQANSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWIGFGDSRTDKSNSAFPRS -ADVSAKTADKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAIDCYRKNWTDIKLNFQ -KNIYELASQSHCMSLVNALDKTIPLQVTAEVAKNCNNSFLKNPALYTQEVNPSKQICGEENLAFFTLPTQ -FGTYECKLHLVASCYFIYDSKEVYNKRGCDNYFQVIYDSSGKVVGGLDNRVSPYTGNTGDTPTMQCDMLQ -LKPGRYSVRSSPRFLLMPERSYCFDMKEKGLVTAVQSVWGKGRKSDYAVDQACLSTPGCMLIQKQKPYIG -EADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPDGLLIPT -SGTDTTVTKPKSRIFGNDDLIIGLLFVAIVETGIGGYLLGSRKESGGGVTKESAEKGFEKIGNDIQILRS -STNIAIEKLNDRITHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASEITNRAG -DLAVEVSPGCWIIDNNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITTPISLAA -LAISGIAICRTK - ->sp|P07973.1|HEMA_INCEN RecName: Full=Hemagglutinin-esterase-fusion glycoprotein; Short=HEF; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 1; Short=HEF1; Contains: RecName: Full=Hemagglutinin-esterase-fusion glycoprotein chain 2; Short=HEF2; Flags: Precursor -AEKIKICLQKQVNSSFSLHNGFGGNLYATEEKRMFELVKPKAGASVLNQSTWICFGDSRTDQSNSAFPRS -ADVSAKTADKFRSLSGGSLMLSMFGPPGKVDYLYQGCGKHKVFYEGVNWSPHAAIDCYRKNWTDIKLNFQ -KSIYELASQSHCMSLVNALDKTIPLQVTKGVAKNCNNSFLKNPALYTQEVKPLEQICGEENLAFFTLPTQ -FGTYECKLHLVASCYFIYDSKEVYNKRGCGNYFQVIYDSSGKVVGGLDNRVSPYTGNTGDTPTMQCDMLQ -LKPGRYSVRSSPRFLLMPERSYCFDMKEKGLVTAVQSIWGKGRKSDYAVDQACLSTPGCMLIQKQKPYIG -EADDHHGDQEMRELLSGLDYEARCISQSGWVNETSPFTEEYLLPPKFGRCPLAAKEESIPKIPDGLLIPT -SGTDTTVTKPKSRIFGIDDLIIGLLFVAIVEAGIGGYLLGSRKESGGGVTKESAEKGFEKIGNDIQILRS -STNIAIEKLNDRITHDEQAIRDLTLEIENARSEALLGELGIIRALLVGNISIGLQESLWELASEITNRAG -DLAVEVSPGCWIIDDNICDQSCQNFIFKFNETAPVPTIPPLDTKIDLQSDPFYWGSSLGLAITTPISLAA -LVISGIAICRTK - diff --git a/seq/clusters_seq/cluster_416 b/seq/clusters_seq/cluster_416 deleted file mode 100644 index 9ce08a8..0000000 --- a/seq/clusters_seq/cluster_416 +++ /dev/null @@ -1,84 +0,0 @@ ->NP_056666.1 nonstructural protein NS-1 [Influenza B virus (B/Lee/1940)] -MADNMTTTQIEVGPGATNATINFEAGILECYERFSWQRALDYPGQDRLHRLKRKLESRIKTHNKSEPENK -RMSLEERKAIGVKMMKVLLFMDPSAGIEGFEPYCVKNPSTSKCPNYDWTDYPPTPGKYLDDIEEEPENVD -HPIEVVLRDMNNKDARQKIKDEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFLKHPNGDKSLSTLHRLN -AYDQNGGLVAKLVATDDRTVEDEKDGHRILNSLFERFDEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12599.2|NS1_INBRU RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADNMTTTQIEVGPGGTNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYSIKNSSTSNCPNCNWTDYPPTPGKCLDDIEEEPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFLKHPNGYKSLSTLHRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFDEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12598.2|NS1_INBPA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MAENMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYCMKNFSNSNCPNYNWTDYPPTPGKCLDDIEEEPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGIFLKYPNGYKTLSTLHRLN -VYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERLNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P69256.1|NS1_INBBA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADNMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYCMKNPSNSNCPNCNWADYPPTPGKCLDDIEEEPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFLKHPNGYKTLSTLHRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P69254.1|NS1_INBAD RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADNMTTTQIEVGPGATNATINFEAGILECYERLSSQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYCMKNSSNSNCPNCNWTDYPPTPGKCLDDIEEEPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFLKHPNGYKSLSTLHRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12602.1|NS1_INBVJ RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADNMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYCMKNSSNSNCPNCNWADYPPTPGKYLDDIEEEPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFIKHPNGYKSLSTLDRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12600.1|NS1_INBSI RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MAENMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYCMKNFSNSNCPNYNWTDYPPTPGKCLDDIEEEPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGKFLKHPNGYKTLSTLHRLN -VYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12597.1|NS1_INBMD RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADNMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYCMKNSSTSNCPNCNWTDYPPTPGKCLDDIEEEPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLAIKRDIRNVVSLRVLVNGTFLKHPNGYKSLSTLHRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFDEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12596.1|NS1_INBID RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADNMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGIKMMKVLLFMNPSAGVEGFEPDCMKNPSNSNCPNCNWADYPPTPGKYLDDIEEEPKNVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFLKHPNGYKTLSTLHRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12595.1|NS1_INBHT RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADHMNTTQIEVGPGATNDTINFGAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPEGT -RMSLEERKAIGVKMMKVLLFMNPSAGIEGFEPYCMKNSSNSNCPNYNWTDYPPTPGRCLDDIEEEPEDVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFLKHPNGYKTLSTLHRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERLNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - ->sp|P12593.1|NS1_INBGL RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MVDNMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLHRLKRKLESRIKTHNKSEPESK -RMSLKERKAIGVKMMKVLLFMDPSAGIEGFEPYCVKNPLTRKCPNCDWAYYPPTPGKYLDDIEEEPEDVD -HPIEVVLRDMNNKDARQKIKDEVNTQREGKFCLTIKRDICNVMSLRVLVNGTFLKYPNGDKSLSTLHRLN -AYDQDGGLVAKLVATDDLTVEDEKDGHRILNSLFERFDEGHPKPIRAAETAVGVLSQFGQEYRLSPEEGD -N - ->sp|P12592.1|NS1_INBGA RecName: Full=Non-structural protein 1; Short=NS1; AltName: Full=NS1A -MADNMTTTQIEVGPGATNATINFEAGILECYERLSWQRALDYPGQDRLNRLKRKLESRIKTHNKSEPESK -RMSLEERKAIGIKMMKVLLFMNPSAGVEGFEPDCMKNPSNSNCPNCNWADYPPTPGKYLDDIEEVPENVD -DPTEIVLRDMNNKDARQKIKEEVNTQKEGKFRLTIKRDIRNVLSLRVLVNGTFLKHPNGDKSLSTLHRLN -AYDQSGRLVAKLVATDDLTVEDEEDGHRILNSLFERFNEGHSKPIRAAETAVGVLSQFGQEHRLSPEEGD -N - diff --git a/seq/clusters_seq/cluster_417 b/seq/clusters_seq/cluster_417 deleted file mode 100644 index 1d304fb..0000000 --- a/seq/clusters_seq/cluster_417 +++ /dev/null @@ -1,88 +0,0 @@ ->YP_009094070.1 M [Avian paramyxovirus penguin/Falkland Islands/324/2007] -MASTSIKLYTDPSAKDLELLSFPLIFRQQDDGTRQLQPQVRYDHIGDSRGGKESSIFLTVYGFIIANPGR -NMRTGFETVNEATKPDCITAGLVVLGAVRQDNVPEKIVEDVFALDISIKKSATSHEKMTVIIHNCPFSLQ -RVITVRSGGFITSAEDCIKCPSKLQAGVNYGFKPMFLSFTYLNHGKVFRVPRAMYAIQSNLLFKVQLEIE -FRLQINADHPQAKMLKKVETNGETIFCGYAWFHLCNFKKTNAKGEARTLVNLQEKVRAMGIKVSLHDLWG -PTVIAQITGKSSKYAQGFFSSSGTSCLPVSKSSPELAKLMWSCSTCISEATIIIQAGEKRELLRSEDLEV -KGAVEVNKKSIPHLNPFRK - ->YP_009553492.1 matrix protein [Avian metaavulavirus 20] -MATTTLQLYLDQADRGLELLSFPLIVIQTSTGSKELQQQMRIDNVGETSGEKGETIFINLYGFIKSQGVK -ESSTEFLSVNSVQPKDTITAGMVVLGATRMSSDYSAIAADALSLSITIKKSALAQEKIAVSIHNSPYSLS -GALAVRSGGFIASAEETIKCSSKIQAGVYYTFKPMFVSITRLNNGKLYRVPKAMHTINSDIFFKVQLEVE -FKLGIKSDHPQAKMLKKVEIDGKPEYFGYCWFHLCNFKRTNARGESRSLEAIKDKVRKMGLKISIHDLWG -PTIIVQITGKSSKYAQGFFSTRGTCCLPVSKSNPELAKLMWSCDTKIHSALVIVQAGDKSKLIKSEDIEI -SGAVVASSSGLPKYNLFKKGQVKGSK - ->YP_009513216.1 matrix protein [Avian metaavulavirus 2] -MAQTTVRLYIDEASPDIELLSYPLIMKDTGHGTKELQQQIRVAEIGALQGGKNESVFINAYGFVQQCKVK -PGATQFFQVDAATKPEVVTAGMIIIGAVKGVAGITKLAEEVFELDISIKKSASFHEKVAVSFNTVPLSLM -NSTACRNLGYVTNAEEAIKCPSKIQAGVTYKFKIMFVSLTRLHNGKLYRVPKAVYAVEASALYKVQLEVG -FKLDVAKDHPHVKMLKKVERNGETLYLGYAWFHLCNFKKTNAKGESRTISNLEGKVRAMGIKVSLYDLWG -PTLVVQITGKTSKYAQGFFSTTGTCCLPVSKAAPELAKLMWSCNATIVEAAVIIQGSDRRAVVTSEDLEV -YGAVAKEKQAAKGFHPFRK - ->YP_009512953.1 matrix protein [Avian metaavulavirus 8] -MAYTTLKLWVDEGDMSSSLLSFPLVLKETDRGTKELQPQVRVDSIGDVQNAKESSIFVTLYGFIQAIKES -TDRSKFFHPKDDFKPETVTAGLVVVGAIRMMADVNTISNDALALEITVKKSATSQEKMTVMFHNSPPSLR -TAITIRAGGFISNADENIKCASKLTAGVQYIFRPMFVSITKLHNGKLYRVPKSIHSISSTLLYSVMLEVG -FKVDIGKDHPQAKMLKKVTIGDADTYWGFAWFHLCNFKKTSSKGKPRTLDELKTKVKNMGLKLELHDLWG -PTIVVQITGKSSKYAQGFFSSNGTCCLPISRSAPGLGKLLWSCSATIGDATVVIQSSEKGELLRSDDLEI -RGAVASKKGRLSSFHPFKK - ->YP_009512946.1 matrix protein [Avian metaavulavirus 6] -MATSELNLYIDKDSPQVRLLAFPIIMKPKENGVRELQPQLRTQYLGDITGGKKSAIFVNCYGFVEDHGGR -DSGFSPISEESKGSTVTAACITLGSIEYDSDIKEVAKACYNLQVSVRMSADSTQKVVYTINAKPALLFSS -RVVRAGGCVVAAEGAIKCPEKMTSDRLYKFRVMFVSLTFLHRSSLFKVSRTVLSMRNSALIAVQAEVKLG -FDLPLDHPMAKYLSKEDGQLFATVWVHLCNFKRTDRRGVDRSVENIRNKVRAMGLKLTLCDLWGPTLVCE -ATGKMSKYALGFFSETKVGCHPIWKCNSTVAKIMWSCTTWIASAKAIIQASSARALLTSEDIEAKGAIST -DKKKTDGFNPFIKTAK - ->YP_009508495.1 matrix protein [Avian paramyxovirus 14] -MASASVNLFVDESAPSSSLLAFPIVTKDNGSGGKALQPQIRIAYHGEVQGSKRSVMFVNCYGFIEDYSDR -NEGFLNPDEPPKPRTVTAACLTIGSVSGDLDPREVARACFDLDVKVKINADSRERVAFSFRTKPALLISS -RVISGGGIVLSAEDNIKCVGKMAVDKDYRLRIMFCSTTFLHQSKLFKAQPAVMNLRSSMLLALQVAVTIK -VDIPASHPHAKYLSKEGDDLVAHVWIHVCNFKRTDRRGETRTVENLKEKVRRMGLKVSLLDLWGPTIIIE -ATGTMTKYALGFFSDSKTSCHPISKASPETAKLLWSATGEVKRAVAVIQSSDKRALLTEDDLLVKGAMTT -QAGGLKEFSLFKK - ->YP_009380506.1 matrix protein [Avian paramyxovirus 15] -MASTSIPLHINQSDPSSELLSFPLIFTNNDSGSKTLQPQVRFSQLGDIQGGKDETVFITLYGFIQTEQDS -LDLTHNQSTFQVLGQTSKPDTITAACIPLGATTLRSTITRMAEESLELLILVKKSAVSSEKLAVSFINIP -PSLAGILVIRAGGFILSAEEYVKSPSKLQAGYQYKFKPVFITCTRIFKGKLYKVPKSMHYIASELLYKAV -LEIQFQLDIKPDHPQTKMLKKQDTNEGPEYYGFVWFHLLNFKKTTARGEIRTLEKISDKIRAMGIKVSLY -DLWGPTILAEITGKKSKYAQGFFSLNGCACLPVARASPEIAKLIWSCSTRIKSATIIVQSSDKRGLLNSE -DLEIKGAVGVSPRKLGSYSLFKKH - ->YP_009094480.1 M protein [Avian metaavulavirus 11] -MSLDHPTEKINLPIPTGHPDLELLAFPIIVERGQDGQKTLQRQYRIGSVGDIFGSKETGLFLTCYGFIEE -SYTSTIQGKSRFFSEHQGGHPKIVTAALLPLGCVNPREDIDRLIEDSYTLKVLISKNVDSKERVVFKFVN -KPAALSANKLLINGGVILDAEAFVKCPSKLTTNMEYSFRVVFVSVTRLDQTKLYRVNESISKIRNPHLIA -VNLEVKILLHLTPDNPQLKFLIKHEKGGVGSVWIHLCNFAKKNMKGTLRSIQDVTEKVKRMALAVSLEDL -WGPTVIVRANGTMSKYALGFFSTSKTACHPIYKLAPEIAKIMWSCSSEIIEANIIVQGSMKNDILTGSDL -EIPSTSKIVNSGHKQFFSFKK - ->YP_009094214.1 matrix protein [Avian paraavulavirus 3] -MAAHPNHANPSSSISLMHDDPSIQTQLLAFPLISEKTETGTTKLQPQVRMQSFLSTDSQKYHLVFINTYG -FIAEDFNCSPTNGFVPALFQPKSKVLSSAMVTLGAVPADTVLQDLQKDLIAMRFKVRKSASAKELILFST -DNIPATLTGSSVWKNRGVIADTATSVKAPGRISCDAVCSYCITFISFCFFHSSALFKVPKPLLNFETAVA -YSLVLQVELEFPNIKDTLHEKYLKNKDSKWYCTIDIHIGNLLKRTAKQRRRTPSEITQKVRRMGFRIGLY -DLWGPTIVVELTGSSSKSLQGFFSSERLACHPISQYNPHVGQLIWAHDVSITGCHMIISELEKKKALAMA -DLTVSDAVAINTTIKELVPFRLFRK - ->YP_009094157.1 matrix protein [Avian metaavulavirus 5] -MAQTQLKLYVNDGDPSNRLLAFPIVMKESAQGGKVLQPQLRISYLGDAIGGRNSVIFINCYGFIESMKAG -EGPFLDVNSDGKGEVITAAGLTLGSVTYDSDPTEIARSCYQLLVTVKKSADNTERVVYTLASKPPALSSS -RVVTSGGCILSAEEAVKCPSKLQSGIPYKFRIMFVSLTYIHQSTLYRVNNLIAKLRSPVFISVQLQVTLI -LDLPEKHPMGKYLINQDGVYKAHIWMHICNFKKTNRKGADRSVLQIKEKVRKMGLKVTLADLWGPTVVVE -ATGTMSKYAVGFFSETKVSCHPISKISPEVAKIIWACTTTIGQAVVIIQASSRSELLTAEDIECKGTTSI -KKSAVKEFSLFSKPAK - ->YP_009094057.1 matrix protein [Avian metaavulavirus 7] -MESISLGLYVDESDPACSLLAFPIIMQTTSEGKKVLQPQVRINRLGSISIEGVRAMFINTYGFIEERPTE -RTGFFQPGEKNQQQVVTAGMLTLGQIRTNIDPDEIGEACLRLKVNAKKSAASEEKIVFSILEKPPALMTA -PVVQDGGLIAKAEGSIKCPGKMMSEIHYSFRVMFVSITMLDNQSLYRVPTAISSFKNKALYSIQLEVLLE -VDVKPESPQCKFLADQKGKKVASVWFHLCNSKKTNASGKPRSLEDMRKKVRDMGIKVSLADLWGPTIIVR -ATGKMSKYMLGFFSTSGTSCHPVTKSSPDLAKILWSCSSTIIKANAIVQGSVKVDVLTLEDIQVSSAAKI -NKSGIGKFNPFKK - diff --git a/seq/clusters_seq/cluster_418 b/seq/clusters_seq/cluster_418 deleted file mode 100644 index 9031242..0000000 --- a/seq/clusters_seq/cluster_418 +++ /dev/null @@ -1,80 +0,0 @@ ->NP_542260.1 tax protein [Primate T-lymphotropic virus 3] -MAHFPGFGQSLLYGYPVYVFGDCVQADWCPISGGLCSARLHRHALLATCPEHQITWDPIDGRVVSSALQY -LIPRLPSFPTQRTTRTLKVLTPPTTATTPKVPPSFFHAVKKHTPFRNNCLELTLGEQLPAMSFPDPGLRP -QNVYTIWGCSVVCLYLYQLSPPMTWPLIPHVIFCHPEQLGAFLTRVPTKRLEELLYKIFLSTGAIIILPE -NCFPTTLFQPTRAPAIQAPWHTGLLPCQKEIVTPGLIWTFTDGSPMISGPCPKEGQPSLVVQSSTFIFQQ -FQTKASHPAFLLSHKLIQYSSFHSLHLLFEEYSTVPFSLLFNEKGANVSDDELRDGPQPPTGGQIAESSV - ->NP_049561.1 tax protein [Primate T-lymphotropic virus 1] -MRKYSPFRNGYMEPTLGQHLPTLSFPDPGLRPQNLYTLWGDSVVCLYLYQLSPPITWPLPPHVIFCHPGQ -LGAFLTNVPYKRMEELLYKISLTTGALIILPEDCLPTTLFQPARAPVTLTAWQNGLLPFHSTLTTPGLIW -TFTDGTPMVSGPCPKDGQPSLVLQSSSFIFHKFQTKAYHPSFLLSHGLIQYSSFHNLHLLFEEYTNIPVS -LLFNEKEANDTDHEPQISPGGLEPPAEKHFRETEV - ->YP_002455788.1 tax protein [Human T-lymphotropic virus 4] -MAHFPGFGQSLLYGYPVYVFGDCVQADWCPISGGLCSPRLHRHALLATCPEHQITWDPIDGRVVGSPLQY -LIPRLPSFPTQRTSKTLKVLTPPTTPVTPKVPPSFFQSVRRHSPYRNGCLETTLGEQLPSLAFPEPGLRP -QNVYTIWGKTIVCLYIYQLSPPMTWPLIPHVIFCNPRQLGAFLSNVPPKRLEELLYKLYLHTGAIIILPE -DALPTTLFQPVRAPCVQTTWNTGLLPYQPNLTTPGLIWTFNDGSPMISGPCPKAGQPSLVVQSSLLIFER -FQTKAYHPSYLLSHQLIQYSSFHHLYLLFDEYTTIPFSLLFKEKEGDDRDNDPLPGATASPQGQN - ->YP_002308476.1 tax protein [Simian T-cell lymphotropic virus 6] -MAHFPGFGQSLLYGYPVYVFGDCVQADWCPISGGLCSARLHRHALLATCPEHQITWDPIDGRVVSSPLQY -LIPRLPSFPTQRTSRTLKVLTPPPTATTPKVPPSFFHAVRKHTPFRNNCLELTLGEQLPAMSFPDPGLRP -QNVYTMWGSTIVCLYLYQLTPPMTWPLIPHVIFCHPDQLGAFLTKIPTKRLEELLYKLFLSTGAILILPE -NCFPTTLFQPTRAPVIQAPWHSGLLPYLKEIVTPGLIWVFTDGSSMISGPCPKEGQPSLVVQSSTFIFQK -FQTKAYHPAFLLSHKLIQYSSFHSLHLLFEEYTTVPFSLLFNEKEANDSDSKPQGEPQLLAKGHTVESSV - ->NP_056910.1 tax protein [Simian T-lymphotropic virus 2] -MAHFPGFGQSLLYGYPVYVFGDCVQADWCPVSGGLCSTRLHRHALLATCPEHQLTWDPIDGRVVGSPLQY -LIPRLPSFPTQRTSKTLKVLTPPTTPVSPKIPPAFFQSMRKLSPYRNGCLYPTLGDQLPSLAFPDPGLRP -QNIYTTWGRTVVCLYLYQLSPPMTWPLIPHVIFCHPKQLGTFLTNVPLKRLEELLYKIFLHTGAIIVLPE -DTLPTTLFQPVRAPCVQTAWDTGLLPYHSLITTPGLIWTFNDGSPMISGPCPKPGQPSLVVQSSLLIFEK -FQTKAFHPSYLLSHQLIQYSSFHNLHLLFEEYTNIPXSYLFNEKEADDSDSDPGPSNLGAAQGESSA - ->NP_041005.1 tax protein [Human T-lymphotropic virus 2] -MAHFPGFGQSLLYGYPVYVFGDCVQADWCPVSGGLCSTRLHRHALLATCPEHQLTWDPIDGRVVSSPLQY -LIPRLPSFPTQRTSRTLKVLTPPTTPVSPKVPPAFFQSMRKHTPYRNGCLEPTLGDQLPSLAFPEPGLRP -QNIYTTWGKTVVCLYLYQLSPPMTWPLIPHVIFCHPRQLGAFLTKVPLKRLEELLYKMFLHTGTVIVLPE -DDLPTTMFQPVRAPCIQTAWCTGLLPYHSILTTPGLIWTFNDGSPMISGPYPKAGQPSLVVQSSLLIFEK -FETKAFHPSYLLSHQLIQYSSFHNLHLLFDEYTNIPVSILFNKEEADDNGD - ->NP_057863.1 p27 [Human T-cell leukemia virus type I] -MPKTRRRPRRSQRKRPPTPWAHFPGFGQSLLFGYPVYVFGDCVQGDWCPISGGLCSARLHRHALLATCPE -HQITWDPIDGRVIGSALQFLIPRLPSFPTQRTSKTLKVLTPPITHTTPNIPPSFLQAMRKYSPFRNGYME -PTLGQHLPTLSFPDPGLRPQNLYTLWGGSVVCMYLYQLSPPITWPLLPHVIFCHPGQLGAFLTNVPYKRI -EKLLYKISLTTGALIILPEDCLPTTLFQPARAPVTLTAWQNGLLPFHSTLTTPGLIWTFTDGTPMISGPC -PKDGQPSLVLQSSSFIFHKFQTKAYHPSFLLSHGLIQYSSFHNLHLLFEEYTNIPISLLFNEKEADDNDH -EPQISPGGLEPLSEKHFRETEV - ->sp|P0C222.1|TAX_HTL1L RecName: Full=Protein Tax-1; AltName: Full=Protein X-LOR; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-1 -MAHFPGFGQSLLYGYPVYVFGDCVQGDWCPISGGLCSARLHRHALLATCPEHQITDPIDGRVIGSALQFL -IPRLPSFPTQRTSKTLKVLTPPTTHTTPNIPPSFFQAVRQHSPFRNGCMEPTLGQQLPSLSFPDPGLRPQ -NLYTLWGSSVVCMYLYQLSPPITWPLLPQVIFCHPGQLGAFLTNVPYKRMEELLYKIFLNTGALIILPEG -CLPTTLFQPIRAPATLTAWQNGLLPFQSTLTTPGLIWTFSDGTPMISGPCPKDGQPSLVLQSSSFIFHKF -QTKAYHPSVLLSHGLIQYSSFHSLHLPFEEYTNIPISLLFNKREADDTDYGPRIPPGGLEPPSEKHFHET -EV - ->sp|P0C213.1|TAX_HTL1F RecName: Full=Protein Tax-1; AltName: Full=Protein X-LOR; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-1 -MAHFPGFGQSLLFGYPVYVFGDCVQGDWCPISGGLCSARLHRHALLATCPEHQITWDPIDGRVIGSALQF -LIPRLPSFPTQRTSKTLKVLTPPTTHTTPNIPPSFLQAMRKYSPFRNGYMEPTLGQHLPTLSFPDPGLRP -QNLYTLWGGSVVCMYLYQLSPPITWPLLPHVIFDHPDQLGAFLTNVPYKRMEELLYKISLTTGALIILPE -DCLPTTLFQPARAPVTLTAWQSGLLPFHSTLTTPGLIWAFTDGTPMISGPCPKDGRPSLVLQSSSFIFHK -FQTKAYHPSFLLSHGLIQYSSFHNLHLLFEEYTNIPISLLFNEKEADDTDHEPQVSPGGLEPPSEKHFRE -TEV - ->sp|P03409.2|TAX_HTL1A RecName: Full=Protein Tax-1; AltName: Full=Protein X-LOR; Short=Protein PX; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-1 -MAHFPGFGQSLLFGYPVYVFGDCVQGDWCPISGGLCSARLHRHALLATCPEHQITWDPIDGRVIGSALQF -LIPRLPSFPTQRTSKTLKVLTPPITHTTPNIPPSFLQAMRKYSPFRNGYMEPTLGQHLPTLSFPDPGLRP -QNLYTLWGGSVVCMYLYQLSPPITWPLLPHVIFCHPGQLGAFLTNVPYKRIEELLYKISLTTGALIILPE -DCLPTTLFQPARAPVTLTAWQNGLLPFHSTLTTPGLIWTFTDGTPMISGPCPKDGQPSLVLQSSSFIFHK -FQTKAYHPSFLLSHGLIQYSSFHSLHLLFEEYTNIPISLLFNEKEADDNDHEPQISPGGLEPPSEKHFRE -TEV - ->sp|Q0R5R1.1|TAX_HTL32 RecName: Full=Protein Tax-3; AltName: Full=Trans-activating transcriptional regulatory protein of HTLV-3 -MAHFPGFGQSLLYGYPVYVFGDCVQADWCPISGGLCSARLHRHALLATCPEHQITWDPIDGRVVSSALQY -LIPRLPSFPTQRTTRTLKVLTPPTTAATPKIPPSFFHAVKKHTPFRNNCLELTLGEQLPAMSFPDPGLRP -QNIYTMWGSSVVCLYLYQLSPPMTWPLIPHVIFCHPEQLGAFLTRVPTKRLEELLYKIFLSTGAIIILPE -NCFPTTLFQPTRAPAVQAPWHTGLLPCQKEIATPGLIWTFTDGSPMISGPCPKEGQPSLVVQSSTFIFQQ -FQTKASHPAFLLSHKLIHYSSFHSLHLLFEEYTTIPFSLLFNEKGANVDDDEPRDGSQPPARGQIAESPV - diff --git a/seq/clusters_seq/cluster_419 b/seq/clusters_seq/cluster_419 deleted file mode 100644 index 0218e2c..0000000 --- a/seq/clusters_seq/cluster_419 +++ /dev/null @@ -1,53 +0,0 @@ ->NP_542259.1 rex protein [Primate T-lymphotropic virus 3] -MPKTRKQRSRRPRNQRPSTPWPISQVSDRAFSTGTLSTFSATVYRPIGAPFLGGFVPLGYTAMPCWPRAP -NIRLPGTPSMDALSAQLYNTLSLGSPPSPPKELPAPSRFSPPQLLLRPPRFLHPSSTPLKNTPPSETIAS -SSPWESSCQPCPSPTLGSGPKTSTPYGAAPSCVSTSISSPPP - ->YP_002455787.1 rex protein [Human T-lymphotropic virus 4] -MPKTRRPRTRRARRNRPPTPWPTSQDSGRASSMDTPSMCLAIVFKPIGAPSPVDYAPPAYIATPSWPPAP -STRSPGTPSMDELSARLSNTLSLASPPSPPNEPPRPSKSLPHQPLLSPPRFHPPSFSPCGGTAPTATDVL -KQPLESSSPPLHFLSQASGPKTSTPSGERP - ->YP_002308475.1 rex protein [Simian T-cell lymphotropic virus 6] -MPKTRRQRNHRIKTQRPSTPWPTFQVSGRACSTGTLSTFSAIVCRPIGAPFPGGFVPPGYIGTPYWPPVL -NTRSPGTPSMDALSARLYNTLSLASPPSPPKELPAPSRSSPRRPLLQPPKFLPPSSMQSGNTPLSETTAS -SSPWESNYPPCLSPTPASDPKMSIPCGEAPSCAYTSTNSHLQ - ->NP_056909.1 hypothetical protein STLV2gp06 [Simian T-lymphotropic virus 2] -MPKARRQRTRRTRSNRPPTPWPLPSALLLLLALFQNFHDFLLLFRQRPPATIPYILSDRP - ->NP_056908.1 rex protein [Simian T-lymphotropic virus 2] -MPKARRQRTRRTRSNRPPTPWPTFQVSDRASSMDTQSTCLAIVFKPIGVPFQVGFVPPACTGMPFSPPAR -STSSPGTPSMDALSALLSNTLSLGSPPSPPREPPRPSRSSLPLPLLSPPRFHPPFSNPCVNSPPTGTGAY -TQLSETSSPPLPSPTPVSGPKTSTPPGEEP - ->NP_041004.1 rex 26 kD protein [Human T-lymphotropic virus 2] -MPKTRRQRTRRARRNRPPTPWPISQDLDRASYMDTPSTCLAIVYRPIGVPSQVVYVPPAYIDMPSWPPVQ -STNSPGTPSMDALSALLSNTLSLASPPSPPREPQGPSRSLPLPPLLSPPRFHLPSFNQCESTPPTEMDAW -NQPSGISSPPSPSPNLASVPKTSTPPGEKP - ->sp|P0C208.1|REX_HTL1L RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -MPKTRRGPRRSQRKRPPTPWPTSQGLDKVFFTDIQSTCLETVYKATGAPSLGDYVRPAYIVTPYWPPVQS -IRSPRTPSMDALSAQLYSSLSLGSPPSPPREPLKPSRSLPHRPLIQPPTFHPPSSRPYANTPPSEMGAWS -PPLGSSSQACPSPTPASGPKTCTPSGEAPSSACTSISFPPPSPGPSCPR - ->sp|P0C206.1|REX_HTL1C RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -MPKTRRRPRRSQRKRPPTPWPTSQGLDRVFFSDTQSTCLETVYKATGAPSLGDYVRPAYIVTPYWPPVQS -IRSPGTPSMDALSAQLYSSLSLDSPPSPPREPLRPLRSLPRQSLIQPPTFHPPSSRPCANTPPSEMDTWN -PPLGSTSQPCLFQTPDSGPKTCTPSGEAPLSACTSTSFPPPSPGPSCPM - ->sp|P0C205.1|REX_HTL1A RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -MPKTRRRPRRSQRKRPPTPWPTSQGLDRVFFSDTQSTCLETVYKATGAPSLGDYVRPAYIVTPYWPPVQS -IRSPGTPSMDALSAQLYSSLSLDSPPSPPREPLRPSRSLPRQSLIQPPTFHPPSSRPCANTPPSEMDTWN -PPLGSTSQPCLFQTPDSGPKTCTPSGEAPLSACTSTSFPPPSPGPSCPT - ->sp|P0C207.1|REX_HTL1F RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-1; AltName: Full=p27Rex -MPKTRRRPRRSQRKRPPTPWPTSQGLDRVFFSDTQSTCLETVYRATGAPSLGDYVRPVYIVTPYWPPVQS -IRSPGTPSMDALSAQLYSSLSLDSPPSPPREPLRPSRSLPRRPPIQPPTFHPPSSRPCANTPPSETDTWN -PPLGSTSQPCLFQTPASGPKTCTPSGEAPLSACTSTSFPPPSPGPSCPT - ->sp|Q0R5R0.2|REX_HTL32 RecName: Full=Protein Rex; AltName: Full=Rev homolog; AltName: Full=Rex-3 -MPKTRKQRSRRPKNQRPSTPWPISQVSDRAFSTGTLSTFSATVYRPIGAPFLGGFVPLGYTAMPYWPRAP -NIRLPGTPSMDALSAQLYNTLSLDSPPSPPRELPAPSRFSPPQPLLRPPRFLHPSSTPLKNTPPSETIAL -NSPWESSCQPCPSPTLGSDPKTSTPCGEAPLCAFTSISSPPP - diff --git a/seq/clusters_seq/cluster_42 b/seq/clusters_seq/cluster_42 deleted file mode 100644 index 6eeda82..0000000 --- a/seq/clusters_seq/cluster_42 +++ /dev/null @@ -1,485 +0,0 @@ ->YP_009173869.1 large envelope protein [Hepatitis B virus] -MGQNLSTSNPLGFFPDHQLDPAFRANTANPDWDFNPNKDTWPDANKVGAGAFGLGFTPPHGGLLGWSPQA -QGILQTLPANPPPASTNRQSGRQPTPLSPPLRNTHPQAMQWNSTTFHQTLQDPRVRGLYFPAGGSSSGTV -NPVLTTASPLSSIFSRIGDPALNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGGTTV -CLGQNSQSPTSNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCRTCMTTAQGTSMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLVPFVQWFVGLS -PTVWLSVIWMMWYWGPSLYSILSPFLPLLPIFFCLWVYI - ->YP_009553237.1 surface protein [Domestic cat hepadnavirus] -MGANQSIPNPLGFFPRHNLDLTDPAGTLGDFDHNPLKDPWPQSSHQSRGAWGPGFVPPHGGLLGSLSFAT -QGVVSAQPITNPPPRKKGRGPTPLTPPVRVTHPQMSPSSWRQKYGYLLLPKTTAAPGPTSPPQTTVAPTA -SSTSSPPLTIGAPAARMGNITSGPFGFLLGLQVGSFLWTKIQTIGQSADSWWTSLSFPGAIPGCIGQDSQ -YQTSKHSPTSCPPTCTGFPWMCLRRFIIYLLLLALLLIFSLVLLDWKGLLPVCPFPPGDSQTGNIRCKAC -IGSAPETPWPPLCCCTVPSDGNCTCWPIPSSWALGSYLWELALARFSWLSSLVVWLQWLGGISPIVWCLL -IWMTWFWGLRVWNILSQFMPLLFLLFYHLVYT - ->YP_009666524.1 large surface antigen [Capuchin monkey hepatitis B virus] -MGLNQSTINPLGFFPSHQLDPLFKANSPAADWDQNPHKDPWPSAHEVPVGAFGPGLVPPHGGLLGWNPQS -QGQVTLLPKDPPAQLPNRNSARVPTPITPPLRDTHPQAMTWNISNFQKALQDPRVRGLYFPAGGSSSGIP -TPAPTTASTISSLFATTGDPVENMENITSGFLGPLLALQAVFFLLTKILTIPQSLDSLWTSLNFLGETPA -CPGRNLQSPTCSHSPTSCPQTCPGYRWMCLRRFIIFLFIPLLCLIFLLVLLDYQGMLPVCPLLPGTTTTT -TTGPCKTCTPIAPGSSMYPSCCCTKPTDGNCTCIPIPSSWAFARFLWDWASVRFSWLSLLAPFVQWFVGL -SPTAWLLVIWMIWFWGPSLYTILSPFFPLLPVFFCLWVYI - ->YP_009506647.1 surface antigen [Pomona bat hepatitis B virus] -MGNNRSVPNPFGFLPDHQLQIAPNSEVWGKSWDQNQQKDPWPQALEPSLGAWGPSLVPPHGGLLGTGENS -QGVLINIKNTGETTKTIIGRKPQTIITKTSKTNPSTGITPTKQTSPPTPASNRQKGRQPTPPTLPAKQTH -PHLNMNKTWRQRYASLITPVPGSSSTILRPAEDTASDSVFYYSPIGDPAIDMGITSSELLAPLVGFQVVF -FLWTKILTIGQSLDSWWTSLSFLGGTPECNGLNLLSPTCKHSPTSCPATCPGFRWMCLRRFIIYLLVLLL -CLICLLALLDWRGLLPVCPLNPLTTTTKRCNTCTVSADDATSWASCCCSKPAGGNRPCWAIPSSRALGKF -LRGLASRRFSWLHSLVPWLQWFVELSPTAWLLLIWMMWYWGRRLLHTLSPFMPLFVLFSLIWGSF - ->YP_009175035.1 preS1 surface protein [Woolly monkey hepatitis B virus] -MGLNQSTFNPLGFFPSHQLDPLFKANAGSADWDKNPNKDPWPQAHDTAVGAFGPGLVPPHGGLLGWSSQA -QGLSVTVPDTPPPPSTNRDKGRKPTPATPPLRDTHPQAMTWNTSSFQSYLQNPKVRGLYFPAGGSTSSIV -NPVPTTASTTSSSFSTTGVPVSTMDITSSGFLGPLLALQAVFFLLTKILTMPQSLDSLWTSLNFLGGTPA -CPGLNSQSPTSSHSPTCCPPTCPGYRWMCLRRSIIFLFILLLCLIFLLVLLDYQGMLPVCPLLPTVTGTT -TTTGPCRTCTPIVPGISSYPSCCCTKPTDGNCTCIPIPSSWAFAKFLWDWALARFSWLNSLLPFVQWFAG -LSPTVWLLVIWMMWFWGPSLFSILSPFLPLLPLFFWLWAYI - ->YP_009165600.1 surface protein [White sucker hepatitis B virus] -MGKGSSKLMTYEEAQHQRRMEQYMDQQARTALQRLVSQSPARDVNKTKSLQWPKLPDPVTIHKPSYQGSV -PPKRPRTELDQPLDHQQSVPLQAPQTVLQPLEPPPRVMQIEQPLQSPPLASKVSPLSPIKAVPFLAPLLI -PVAHQTVRTSYNMTTSNISEIMDEARTAFTTMGSAFQGLSFDLWVLFLVVLLVVFFLLIKIHTILKAADW -WLISRSFLGTQTCPFRNTESPTSMHFKTDCPLTCTGFRWTLVRRSIIFLCILALVVIFWYLMAWEPFTAF -VKRLWELGSVLGSYIFSHLSSQLGSVPTIQFIALLTWMIFYSHIPVWLHFLLSFVTSWHFLQNGGF - ->YP_009046000.1 surface protein [Tent-making bat hepatitis B virus] -MALPAAGLLGPLAVLQVGYFLWTKIQEIGKTVDWWWISLSSPGGPTGCGGQNSLSPTSNHSPISCPVTCS -GYRWMCLRRFIIYLLFLLLVLTFLLVLLDWWGLLPVCPFMPVAGERTINCRTCTTSAAEAPWRPLCCCIL -NTGGNCTCTPIPSSWALGKYLWELASHHFSWLSLLQQFALWFAGLSSTAWLLLIWMMWYWGPGLFPILSP -FIPAVLIFWYLWA - ->YP_009045996.1 surface protein [Horseshoe bat hepatitis B virus] -MGNISSELLAPLVGFQVVFFLWTKILTIGQSLDSWWTSLSFPGGIPECFGLSLQSQTCKHSPTYCPPTCS -GFRWMCLRRFIIYLLVLLLCLIFLLVLLDWRGLLPVCPLNPANQTTMRCASCTISAEGITSFPYCCCSKP -TGGNCTCWPIPTSWALGRFLWELASLRFSWLSSLVPWLQWFAGLSPTAWLLAIWMMWYWGPGLLSTLSPF -MPLFVLFFLIWGYI - ->YP_009045992.1 surface protein [Roundleaf bat hepatitis B virus] -MGNISSELLGPLVGFQVVFFLWTKILTIAQSLDSWWTSLSFPGGIPECFGLNLQSQTCKHSPTSCPPTCA -GFRWMCLRRFIIYLLVLLLCLIFLLVLLDWRGLLPVCPLNPNNQTTMRCTSCTISADALTSFPYCCCSKP -SGGNCTCWPIPSSWAFAKFLWELASLRFSWLNSLVPWLQWFAGLSPTAWLLAIWMMWYWGPGLLNTLSPF -MPLFVLFFLLWGYI - ->YP_007678000.1 surface antigen [Long-fingered bat hepatitis B virus] -MGQNWSIPNPLGFLPEHQLPNPYAPVYQDWDLNQDKDQWPQAREVSPGAYGLGFVPPHGGLTGNLPFAQE -GNLTSNNPETIVYQIPKAKDESQTQVIQLPAPPRTTTNRKKGRQPTPPTPPVRVTHPHLNMPSWREKYGH -LITAGGSSSKLQIPAVTAASVTSSASSTIGDPATDMGITTSGFLVPLAGLQVGCFLLTKILEIGKSLDWW -WTSLSFPGGIPVCIGQNSQSQTSSHSPTSCPPTCTGFRWMWLRRFIIYLLVLLLCAIFLLVLLDWRGFLP -VCPLPTSSSTTTRCSTCTATATDPITWPYCCCSKPTDGNCTCWPIPTSWALGKFLWGWASARFSWLNSLL -PWLLWFAELSPTVWLLLIWMMWFWGPSLLTIFEPFIPLCALFFVIWELW - ->NP_671814.1 surface protein [Woodchuck hepatitis virus] -MGNNIKVTFNPDKIAAWWPAVGTYYTTTYPQNQSVFQPGIYQTTSLINPKNQQELDSVLINRYKQIDWNT -WQGFPVDQKLPLVSRDPPPKPYINQSAQTFEIKPGPIIVPGIRDIPRGLVPPQTPTNRDQGRKPTPPTPP -LRDTHPHLTMKNQTFHLQGFVDGLRDLTTTERQHNAYGDPFTTLSPAVPTVSTILSPPSTTGDPALSPEM -SPSSLLGLLAGLQVVYFLWTKILTIAQNLDWWWTSLSFPGGIPECTGQNSQFQTCKHLPTSCPPTCNGFR -WMYLRRFIIYLLVLLLCLIFLLVLLDWKGLIPVCPLQPTTETTVNCRQCTISAQDMYTPPYCCCLKPTAG -NCTCWPIPSSWALGNYLWEWALARFSWLNLLVPLLQWLGGISLIAWFLLIWMIWFWGPALLSILPPFIPI -FVLFFLIWVYI - ->sp|P31868.2|HBSAG_HBVC1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRQGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDHWPEANQVGVGTFGPGFTPP -HGGLLGWSPQAQGILTTVPAAPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQALLDPRVRGLY -FPAGGSSSGTVNPVPTTASPISSIFSRTGDPAPNMENTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPTCPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGTSTTSTGPCKTCTTPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEWASVRFSWLSLL -VPFVQWFAGLSPTVWLSVIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|Q9WKC4.1|HBSAG_HBVGO RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPEHQLDPAFKANTNNPDWDFNPNKDNWPKANEVGVGAFGPGLTPPHGGLLGWSPQA -QGIITTVPANPPPASTNRQSGRKPTPISPPLRDTHPQAMHWNSTTFHQALQDPRVRGLYFPAGGSSSGTA -YPVPDTASHISSIFSRTGDPAPNMESITSGFLGPLLVLQAGFFLLTKILTIPQSLDSWWTSLNFLGGAPV -CLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSTTTS -TGPCKTCTTTAQGTSLYPSCCCTKPSDGNCTCIPIPSSWAFAKFLWEWASVRFSWLSLLAPFVQWFAGLS -PTVWLSVIWMMWYWGPNLYNILSPFIPLLPIFFCLWVYI - ->sp|Q77NU1.1|HBSAG_HBVOR RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSVTNPLGFFPEHQLDPLFRANTNNPDWDFNPNKDTWPEATKVGVGAFGPGFTPPHGGLLGWSPQA -QGVTTILPAVPPPASTNRQSGRRPTPISPPLRDTHPQAMQWNSTVFHQALQDPRVRGLYFPAGGSSSGTV -SPVPTTASPISSTFLKTGDPALNMESISSGFLGPLLVLQAGFFLLTKILTIPQSLDSWWTSLNFLGGAPV -CPGQNSQSLTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYRGMLPVCPLLPGTTTTS -VGPCRTCTISAPGTSLFPSCCCTKPSDGNCTCIPIPPSWAFAKFLWGWASVRFSWLNLLVPFVQWFAGLS -PTVWLSVIWMIWYWGPSLYNILSPFIPLLPIFFCLWAYI - ->sp|P87745.1|HBSAG_HBVGB RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSVSNPLGFFPEHQLDPLFKANSNNPDWDFNPNKDNWPEATKVGVGAFGPGFTPPHGGLLGWSSQA -QGAITTLPALPPPAATNRQSGRQPTPISPPLRDTHPQAMKWNSTVFHQTLQDPRVRGLYFPVGGSSSGTV -NPVPTTASHISSIFSRTGDPAPNMENITSGFLGPLLVLQAGFFLLTKILTIPQSLDSWWTSLNFLGGAPV -CPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLLPGSSTTS -TGPCRTCTITAQGTSLYPSCCCTKPSDGNCTCIPIPSSWAFAKFLWEWASVRFSWLSLLAPFVQWFAGLS -PTAWLLVIWMIWYWGPNLYNILNPFIPLLPIFFCLWVYI - ->sp|P12911.3|HBSAG_HBVCP RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPEHQLDPAFKANTNNPDWDFNPKKDYWPEATKVGAGAFGPGFTPPHGGLLGLSPQA -QGILTTLPANPPPASTNRQSGRQPTPLSPPLRDTHPQAMQWNSTTFHQALQDPRVRGLYFPAGGSSSGTL -NPVPNTASHISSVFSTTGDPAPNMENITSGFLGPLLVLQAGFFLLTKILTIPQSLDSWWTSLNFLGGAPV -CLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCKTCTTPAQGTSLIPSCCCTKPSDGNCTCIPIPSSWAFAKFLWEWASVRFSWLSLLAPFVQWFAGLS -PTVWLLAIWMMWYWGPNLYNILSPFIPLLPIFFCLWVYI - ->sp|Q76R62.2|HBSAG_HBVCJ RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRQGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDHWPEANQVGAGAFGPGFTPP -HGGLLGWSPQAQGILTTLPAAPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQALLDPRVRGLY -FPAGGSSSGTVNPVPTTASPISSIFSRTGDPAPNMESTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPTCPGQNSQSPTSNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGTSTTSTGPCRTCTIPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSAIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|P31869.2|HBSAG_HBVC2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRQGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDHWPEANQVGAGAFGPGFTPP -HGGLLGWSPQAQGILTTVPAAPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQALLDPRVKGLY -FPAGGSSSGTVNPVPTTASPISSIFSRTGDPAPNMESTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPTCPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDFQGMLPV -CPLLPGTSTTSTGPCKTCTIPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEWASVRFSWLSLL -VPFVQWFAGLSPTVWLSVIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|P31873.2|HBSAG_HBVA1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSAKPRKGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDHWPEANQVGVGAFGPGFTPP -HGGLLGWSSQAQGTLHTVPAVPPPASTNRQTGRQPTPISPPLRDSHPQAMQWNSTAFQQALQDPRVRGLF -FPAGGSSSGTVNPAPNIASHISSISSRTGDPALNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGSPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGSTTTSTGPCKTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPSLYNILSPFIPLLPIFFCLWVYI - ->sp|Q81162.2|HBSAG_HBVC8 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDQWPEANQVGAGAFGPGFTPPHGGLLGWSPQA -QGILTTVPAAPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQALLDPRVRGLYFPAGGSSSGTV -NPVPTIVSPISSIFSRTGDPAPNMESTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGEAPT -CPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLLPGTSTTS -TGPCKTCTIPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEWASVRFSWLSLLVPFVQWFAGLS -PTVWLSVIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|Q69606.2|HBSAG_HBVF6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGAPLSTTRRGMGQNLSVPNPLGFLPDHQLDPLFRANSSSPDWDFNTNKDSWPMANKVGVGGYGPGFTPP -HGGLLGWSPQAQGVLTTLPADPPPASTNRLSGRKPTQVSPPLRDTHPQAMQWNSTHFHQALLDPRVRALY -FPAGGSSSGTQNPAPTIASLTSSISSKTGGPAMNMENITSGLLGPLRVLQAVCFLLTKILTIPQSLDSWW -TSLNFLGGLPRCPGQNSQSPTSNHLPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGSTTTSTGPCKTCTALAQGTSMFPSCCCSKPSDGNCTCIPIPSSWALGKYLWEWASARFSWLSLL -VQFVQWCVGLSPTVWLLVIWMIWYWGPNLCSILSPFIPLLPIFCYLWVSI - ->sp|Q998M2.2|HBSAG_HBVD5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPDHQLDPAFRANTNNPDWDFNPNKDTWPDANKVGAGAFGLGFTPPHGGLLGWSPQA -QGIMQTLPANPPPASTNRQSGRQPTPLSPPLRTTHPQAMQWNSTTFHQTLQDPRVRGLYLPAGGSSSGTV -NPVPTTASPTLSTSSRIGDPALNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLSFLGGTTV -CLGQNSQSPTSNHSPTSCPPTCVGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCRTCTTPAQGTSMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLVPFVQWFVGLS -PTVWLSVIWMMWYWGPSLYNTLSPFLPLLPIFFYLWVYI - ->sp|Q998L9.2|HBSAG_HBVC9 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKHRKGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDHWPEANQVGAGAFGPGFTPP -HGGFLGWSPQAQGILTTVPAAPPPASTNRQSGRQPTPISPPLRDTHPQAMQWNSTAFHQALQDPRVRGLY -FPAGGSSSGTVNPVPNTVSHISSIFTKTGDPASNMESTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPGCIGQNSQSQTSNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGSTTTSTGPCRTCTITAQGTSMFPSCCCTKPSDGNCTCIPIPSSWGFAKFLWEWASVRFSWLSLL -VPFVQWFAGLSPTVWLSVIWMIWYWGPSLYNILSPFLPLLPIFLCLWVYI - ->sp|Q67867.2|HBSAG_HBVC4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRQGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDRWPEANQVGAGAFGPGYPPP -HGGLLGWSPQAQGILTTVPAAPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQVLLDPRVRGLY -FPPGGSSSGTVNPVPTTASPISSISSRTGDPAPNMESTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPTCPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGTSTTSTGPCKTCTIPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEGASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|Q4R1S6.1|HBSAG_HBVA8 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWLPKPRKGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPIKDHWPQANQVGVGAFGPGFTPP -HGGVLGWSPQAQGTLTTVPAVPPPASANRQSGRQPTPISPPLRDSHPQAIKWNSPAFHQALQDPRVKGLY -FPAGGSSSGTVSPVPNIASHISSISSRTGDPAPTMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGSPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGSTTTSTGPCRTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPRLYNILSPFIPLLPIFFCLWVYI - ->sp|Q4R1R8.1|HBSAG_HBVA9 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGRLPKPRKGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPIKDHWPQANQVGVGAFGPGFTPP -HGGVLGWSPQAQGTLTTVPAVPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTKFHQTLQDPRVRGLY -FPAGGSSSGTVNPAPNIASHISSISSRIGDPAPTMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGEAPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDCQGMLPV -CPLIPGSTTTSTGPCRTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPSLYNILSPFIPLLPIFFCLWVYI - ->sp|Q9QMI0.1|HBSAG_HBVD4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPDHQLDPAFRANTRNPDWDFNPNKDTWPDANKVGAGAFGLGFTPPHGGLLGWSPQA -QGILQTLPANPPPAATNRQSGRQPTPLSPPLRDAHPQAMQWTSTTFHQALQDPRVRGLYFPAGGSSSGTV -NPVPTTASPILSIFSKIGDLAPNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGGTTV -CLGQNSQSPTSNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCRTCMTTAQGTSMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLVPFVQWFAGLS -PIVWLSVIWMMWYWGPSLYSILSPFLPLLPIFFCLWAYI - ->sp|Q9QBF0.1|HBSAG_HBVB7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPAFKANSENPDWDLNPHKDNWPDAHKVGVGAFGPGFTPP -HGGLLGWSPQAQGILTSVPAAPPPASTNRQSGRQPTPLSPPLRDTHPQAVQWNSTTFHQTLQDPRVRALY -LPAGGSSSGTVSPAQNTVSAISSILSTTGDPVPNMENIASGLLGPLLVLQAGFFSLTKILTIPQSLDSWW -TSLNFLGGTPVCLGQNSQSQISSHSPTCCPPICPGYRWMCLRRFIIFLCILLLCLIFLLVLLDYQGMLPV -CPLIPGSSTTSTGPCKTCTTPAQGTSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWFWGPSLYNILSPFMPLLPIFLCLWVYM - ->sp|Q9PWW3.1|HBSAG_HBVB5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPAFKANSENPDWDLNPHKDNWPDANKVGVGAFGPGFTPP -HGGLLGWSPQAQGLLTTVPAAPPPASTNRQSGRQPTPLSPPLRDTHPQAMQWNSTTFHQTLQDPRVRALY -FPAGGSSSGTVSPAQNTVSTISSILSKTGDPVPNMENIASGLLGPLLVLQAGFFLLTKILTIPQSLDSWW -TSLNFLGGTPVCLGQNSQSQISSHSPTCCPPICPGYRWMCLRRFIIFLCILLLCLIFLLVLLDYQGMLPV -CPLIPGSSTTSTGPCKTCTTPAQGTSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWFWGPSLYNILSPFMPLLPIFFCLWVYI - ->sp|Q9IBI3.1|HBSAG_HBVG3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGLSWTVPLEWGKNLSASNPLGFLPDHQLDPAFRANTNNPDWDFNPKKDPWPEANKVGVGAYGPGFTPPH -GGLLGWSPQSQGTLTTLPADPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTAFHQALQNPKVRGLYF -PAGGSSSGIVNPVPTIASHISSIFSRIGDPAPNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWT -SLNFLGGVPVCPGLNSQSPTSNHSPISCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVC -PLIPGSSTTSTGPCKTCTTPAQGNSMYPSCCCTKPSDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLLV -PFVQWFVGLSPTVWLSAIWMMWYWGPNLYNILSPFIPLLPIFFCLWVYI - ->sp|Q9E6S4.1|HBSAG_HBVC0 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGYSSKPRKGMGTNLSVPNPLGFLPDHQLDPAFGANSNNPDWDFNPNKDPWPEAWQVGAGAFGPGFTPP -HGSLLGWSPQAQGILTTVPATPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQALLDPRVRGLY -FPAGGSSSGTANPVPTTASPISSIFSRTGDPVPKMENTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPACPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGTSTTSTGPCKTCTTPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFAKFLWEWASVRFSWLSLL -APFVQWFVGLSPTVWLSVIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|Q99HR4.1|HBSAG_HBVF4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGAPLSTTRRGMGQNLSVPNPLGFFPEHQLDPLFRANSSSPDWDFNKNKDTWPMANKVGVGGYGPGFTPP -HGGLLGWSPQAQGVLTTLPADPPPASTNRRSGRKPTPVSPPLRDTHPQAMQWNSTQFHQALLDPRVRALY -FPAGGSSSETQNPAPTIASLTSSIFSKTGGPAMNMDSITSGLLGPLLVLQAVCFLLTKILTIPQSLDSWW -TSLNFLGGLPGCPGQNSQSPTSNHLPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGSTTTSTGPCKTCTTLAQGTSMFPSCCCSKPSDGNCTCIPIPSSWALGKYLWEWASARFSWLSLL -VQFVQWCVGLSPTVWLLVIWMIWYWGPNLCSILSPFIPLLPIFCYLWVSI - ->sp|Q913A6.1|HBSAG_HBVC7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRQGMGTNLSVPNPLGFFPDHHLDPAFGANSNNPDWDFNPNKDHWPKANQVRAGAFGPGFTPP -HCSLLGWSPQAQGILTTVPAAPPPASSNRQSGKQPTPISPPLRDSHPQAMQWNSTTFHQTLQDPRVRGLY -FPAGGSSSGTVNPVPTTASPISSIFSRIGDPALNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGTTVCLGQNSQSPISNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGSSTTSTGPCRTCTTPAQGTSMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPSLYSILSPFLPLLPIFFCLWVYI - ->sp|Q8JMY6.1|HBSAG_HBVH1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGAPLSTARRGMGQNLSVPNPLGFFPDHQLDPLFRANSSSPDWDFNTNKDNWPMANKVGVGGFGPGFTPP -HGGLLGWSPQAQGILTTSPPDPPPASTNRRSGRKPTPVSPPLRDTHPQAMQWNSTQFHQALLDPRVRGLY -FPAGGSSSETQNPAPTIASLTSSIFSKTGDPAMNMENITSGLLRPLLVLQAVCFLLTKILTIPQSLDSWW -TSLNFLGVPPGCPGQNSQSPISNHLPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGSTTTSTGPCKTCTTLAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFGKYLWEWASARFSWLSLL -VQFVQWCVGLSPTVWLLVIWMIWYWGPNLCSILSPFIPLLPIFCYLWASI - ->sp|Q80IU6.1|HBSAG_HBVE2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGLSWTVPLEWGKNHSTTNPLGFFPDHQLDPAFRANTRNPDWDHNPNKDHWTEANKVGVGAFGPGFTPPH -GGLLGWSPQAQGMLKTLPADPPPASTNRQSGRQPTPITPPLRDTHPQAMQWNSTTFHQALQDPRVRGLYF -PAGGSSSGTVNPVPTTASLISSIFSRIGDPAPNMEGITSGFLGPLLVLQAGFFLLTKILTIPQSLDSWWT -SLNFLGGAPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLGYQGMLPVC -PLIPGSSTTSTGPCRTCTTLAQGTSMFPSCCCSKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLV -PFVQWFAGLSPTVWLSVIWMMWYWGPSLYNILSPFIPLLPIFFCLWVYI - ->sp|Q67926.1|HBSAG_HBVB6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPAFKANSENPDWDLNPHKDNWPDANKVGVGAFGPGFTPP -HGGLLGWSPQAQGLLTTVPAAPPPASTNRQSGRQPTPFSPPLRDTHPQAMQWNSTTFLQTLQDSRVRALY -LPAGGSSSGTVSPAQNTVSAISSISSKTGDPVPNMENIASGLLGHLLVLQAGFFSLTKILTIPQSLDSWW -TSLNFLGGTPACPGQNSQSQISSHSPTCCPPICPGYRWMCLRRFIIFLCILLLCLIFLLVLLDYQGMLPV -CPLTPGSTTTSTGPCKTCTTPAQGTSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWGWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWFWGPSLYNILRPFMPLLPTFFCLWVYI - ->sp|Q67875.1|HBSAG_HBVD6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPDHQLDPASRANTANPDWDFNPNKDTWPDANKDGAGAFGLGLTPPHGGLLGWSPQA -QGILHTVPANPPPASTNRQTGRQPTPLSPPLRDTHPQAVQWNSTTFHQTLQDPRVRGLYFPAGGSSSGTV -NPVPTTASPLSSIFSRIGDPVTNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFRGGTTV -CLGQNSQSPTSNHSPTSCPPTCPGYRWMCLRGFIIFLFILLLCLIFLLVLLEYQGMLHVCPLIPGTTTTS -TGPCKTCTTPAQGNSMFPSCCCTKTSDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLLVPFVQWFVGLS -PTVWLSAIWMMWYWGPSLYSILSPFLPLLPIFFCLWVYI - ->sp|O92921.1|HBSAG_HBVD7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPDHQLDPAFRANTANPDWDFNPNKDTWPDANKVGAGAFGLGFTPPHGGLLGWSPQA -QGIIQTLPANPPPASTNRQTGRQPTPLSPPLRNTHPQAMQWNSTTFHQTLQDPRVRGLYFPAGGSSSGTV -NPVPTTASPISSIFSRIGDPALNMENITSGLLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGGTTV -CLGQNSQSPTSNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -VGPCRTCTTTVQGTSMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLVPFVQWFVGLS -PTVWLSVIWMMWYWGPSLYRILSPFLPLLPIFFCLWVYI - ->sp|O91534.1|HBSAG_HBVA7 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPIKDHWPAANQVGVGAFGPGFTPP -HGGILGWSPQAQGILTTVSTIPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTAFHQTLQDPRVRGLY -LPAGGSSSGTVNPAPNIASHISSISARTGDPVTNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGSPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGSTTTSTGPCKTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKFLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSAIWMMWYWGPSLYSIVRPFIPLLPIFFCLWVYI - ->sp|Q02317.3|HBSAG_HBVA5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPIKDHWPQANQVGVGAFGPGFTPP -HGGVLGWSPQAQGILATVPAMPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTAFHQALQDPRVRGLY -FPAGGSSSGTLNPVPTIASHISSISSRIGDPAPNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGSTTTSTGPCKTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSAIWMMWYWGPSLYNILSPFIPLLPIFFCLWVYI - ->sp|P03139.3|HBSAG_HBVD1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPDHQLDPAFRANTNNPDWDFNPNKDTWPDANKVGAGAFGLGFTPPHGGLLGWSPQA -QGIMQTLPANPPPASTNRQSGRQPTPLSPPLRTTHPQAMHWNSTTFHQTLQDPRVRGLYFPAGGSSSGTV -NPVPTTTSPISSIFSRIGDPALNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGGTTV -CLGQNSQSPISNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGSCRTCTTPAQGISMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLVPFVQWFVGLS -PIVWLSVIWMMWYWGPSLYSILSPFLPLLPIFFCLWAYI - ->sp|P03142.4|HBSAG_HBVA2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGTNLSVPNPLGFLPDHQLDPAFGANSTNPDWDFNPIKDHWPAANQVGVGAFGPGLTPPHGGILGWSPQA -QGILTTVSTIPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTALHQALQDPRVRGLYLPAGGSSSGTV -NPAPNIASHISSISARTGDPVTIMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGGSPV -CLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSTTTS -TGPCKTCTTPAQGNSKFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLLVPFVQWFVGLS -PTVWLSAIWMMWYWGPSLYSIVSPFIPLLPIFFCLWVYI - ->sp|P17399.3|HBSAG_HBVB4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGTNLSVPNPLGFFPDHQLDPAFKANSENPDWDLNPNKDNWPDANKVGVGAFGPGFTPPHGGLLGWSPQA -QGLLTTVPAAPPPASTNRQSGRQPTPLSPPLRDTHPQAMQWNSTTFHQTLQDPGVRALYFPAGGSSSGTV -SPAQNTVSAISSILSKTGDPVPNMENIASGLLGPLLVLQAGFFLLTKILTIPQSLDSWWTSLNFLGGTPV -CLGQNSQSQISSHSPTCCPPICPGYRWMCLRRFIIFLCILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCKTCTTPAQGTSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLLVPFVQWFVGLS -PTVWLSVIWMIWFWGPSLYNILSPFMPLLPIFFCLWVYI - ->sp|P17398.3|HBSAG_HBVB1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGTNLSVPNPLGFFPDHQLDPAFKANSENPDWDLNPHKDNWPDAHKVGVGAFGPGFTPPHGGLLGWSPQA -QGILTSVPAAPPPASTNRQSGRQPTPLSPPLRDTHPQAMQWNSTTFHQTLQDPRVRALYFPAGGSSSGTV -SPAQNTVSAISSILSKTGDPVPNMENIASGLLGPLLVLQAGFFLLTKILTIPQSLDSWWTSLNFLGGTPV -CLGQNSQSQISSHSPTCCPPICPGYRWMCLRRFIIFLCILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCKTCTTPAQGTSMFPSCCCTKPMDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLLVPFVQWFVGLS -PTVWLSVIWMMWYWGPSLYNILSPFMPLLPIFFCLWVYI - ->sp|P17397.3|HBSAG_HBVB2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGTNLSVPNPLGFFPDHQLDPAFKANSDNPDWDLNPHKDNWPDSNKVGVGAFGPGFTPPHGGLLGWSPQA -QGILTTVPTAPPPASTNRQLGRKPTPLSPPLRDTHPQAMQWNSTTFHQTLQDPRVRALYFPAGGSSSGTV -NPVQNTASSISSILSTTGDPVPNMENIASGLLGPLLVLQAGFFSLTKILTIPLSLDSWWTSLNFLGETPV -CLGQNSQSQISSHSPTCCPPICPGYRWMCLRRFIIFLCILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCKTCTTPAQGTSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLLVPFVQWFVGLS -PTVWLSVIWMMWFWGPSLYNILSPFMPLLPIFFCLWVYI - ->sp|P24025.3|HBSAG_HBVD2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGQNLSTSNPLGFFPDHQLDPAFRANTANPDWDFNPNKDSWPDANKVGAGAFGLGFTPPHGGLLGWSPQA -QGILQTLPANPPPASTNRQSGRQPTPLSPPLRNTHPQAMQWNSTTFHQTLQDPRVRGLYLPAGGSSSGTV -NPVPTTVSPISSIFSRIGDPALNMENITSGFLGPLLVLQAGFFLLTKILTIPKSLDSWWTSLNFLGGTTV -CLGQNSQSPTSNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTS -TGPCRTCTTPAQGTSMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLVPFVQWFVGLS -PTVWLLVIWMMWYWGPKLFTILSPFLPLLPIFFCLWVYI - ->sp|P17101.3|HBSAG_HBVA4 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPVFGANSNNPDWDFNPIKDHWPAANQVGVGAFGPGFTPP -HGGVLGWSPQAQGMLTPVSTIPPPASANRQSGRQPTPISPPLRDSHPQAMQWNSTAFHQALQDPRVRGLY -FPAGGSSSGTVNPAPNIASHISSISARTGDPVTNMENITSGFLGPLPVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGSPVCLGQNSRSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLILGSTTTSTGPCKTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSAIWMMWYWGPSLYSIVSSFIPLLPIFFCLWVYI - ->sp|P12934.3|HBSAG_HBVC3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRQGMGTNLSVPNPLGFFPDHQLDPAFGANSHNPDWDFNPNKDHWPEANQVGAGAFGPGFTPP -HGGLLGWSPQAQGVLTTVPVAPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQALLDPRVRGLY -FPAGGSSSGTVNPVPTTASPISSISSRTGDPAPNMENTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPTCPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGTSTTSTGPCKTCTIPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEGASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|P03141.3|HBSAG_HBVA3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPVKDDWPAANQVGVGAFGPRLTPP -HGGILGWSPQAQGILTTVSTIPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTAFHQTLQDPRVRGLY -LPAGGSSSGTVNPAPNIASHISSISARTGDPVTNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGSPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLIPGSTTTSTGPCKTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSAIWMMWYWGPSLYSIVSPFIPLLPIFFCLWVYI - ->sp|Q8JXB9.2|HBSAG_HBVB8 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLSVPNPLGFFPDHQLDPAFKANSENPDWDLNPHKDNWPDAHKVGVGAFGPGFTPP -HGGLLGWSPQAQGILTSVPAAPPPASTNRQSGRQPTPLSPPLRDTHPQAMQWNSTTFHQTLQDPRVRALY -LPAGGSSSGTVSPAQNTVSAISSILSTTGDPVPNMENIASGLLGPLLVLQAGFFSLTKILTIPQSLDSWW -TSLSFLGGTPVCLGQNSQSPISSHSPTCCPPICPGYRWMYLRRFIIXLCILLLCLIFLLVLLDYQGMLPV -CPLIPGSSTTSTGPCKTCTTPAQGTSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPSLYNILSPFMPLLPIFFCLWVYI - ->sp|Q69603.2|HBSAG_HBVE1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGLSWTVPLEWGKNISTTNPLGFFPDHQLDPAFRANTRNPDWDHNPNKDHWTEANKVGVGAFGPGFTPPH -GGLLGWSPQAQGMLKTLPADPPPASTNRQSGRQPTPITPPLRDTHPQAMQWNSTTFHQALQDPRVRGLYF -PAGGSSSGTVNPVPTTASLISSIFSRIGDPAPNMESITSGFLGPLLVLQAGFFLLTKILTIPQSLDSWWT -SLNFLGGAPVCLGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVC -PLIPGSSTTSTGPCRTCMTLAQGTSMFPSCCCSKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLV -PFVQWFAGLSPTVWLSVIWMMWYWGPSLYDILSPFIPLLPIFFCLWVYI - ->sp|Q9QAB7.1|HBSAG_HBVB3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRKGMGTNLAVPNPLGFFPDHQLDPAFKANSDNPDWDLNPHKDNWPDANKVGVGAFGPGFTPP -HGGLLGWSPQAQGLLTTVPAAPPPASTSRQSGRQPTPLSPPLRDTHPQAMQWNSTTFHQTLQDPRVRALY -FPAGGSSSGTVSPAQNTVSAISSTLSKTGDPVPNMENISSGLLGPLLVLQAGFFLLTKILTIPQSLDSWW -TSLNFLGQTPVCLGQNSQSQISSHSLTCCPPICPGYRWMCLRRFIIFLCILLLCLIFLLVLLDCQGMLPV -CPLIPGSSTTSTGPCKTCTTPAQGTSMFPSCCCTKPTDGNCTCIPIPSSWAFAKFLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWFWGPSLCNILSPFMPLLPIFFCLWVYI - ->sp|Q99HS3.1|HBSAG_HBVF3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGAPLSTTRRGMGQNLSVPNPLGFFPDHQLDPLFRANSSSPDWDFNKNKDNWPMANKVGVGGYGPGFTPP -HGGLLGWSPQAQGVLTTLPADPPPASTNRRSGRKPTPVSPPLRDTHPQAMQWNSTQFHQALLDPRVRALY -FPAGGSSSETQNPAPTIASLTSSIFLKTGGPATNMDNITSGLLGPLLVLQAVCFLLTKILTIPQSLDSWW -TSLNFLGGTPGCPGQNSQSPTSNHLPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLVDYQGMLPV -CPPLPGSTTTSTGPCKTCTTLAQGTSMFPSCCCSKPSDGNCTCIPIPSSWALGKYLWEWASARFSWLSLL -VQFVQWCVGLSPTVWLLVIWMIWYWGPNLCSILSPFIPLLPIFCYLWVSI - ->sp|Q91C35.1|HBSAG_HBVA6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGTNLSVPNPLGFFPDHQLDPAFGANSTNPDWDFNPIKDHWPQANQVGVGAFGPGHSPPHGGVLGWSPQA -QGILTTVPTVPPTASTNRQSGRQPTPISPPLRDSHPQAMQWNSTALHQALQDPRVRGLYFPAGGSSSGTL -NPVPNTASHISSISSRTGDPALNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGGSPV -CLGQNSQYPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSTTTS -TGPCKTCTTPAQGNSMFPSCCCTKPTDGNCTCIPIPSSWAFAKYLWEWASVRFSWLSLLVPFVQWFVGLS -PTVWLSVIWMMWYWGPSLYNIVSPFIPLLPIFFCLWVYI - ->sp|Q05496.3|HBSAG_HBVF1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGAPLSTTRRGMGQNLSVPNPLGFFPDHQLDPLFRANSSSPDWDFNTNKDSWPMANKVGVGGYGPGFTPP -HGGLLGWSPQAQGVLTTLPADPPPASTNRRSGRKPTPVSPPLRDTHPQAMQWNSTQFHQALLDPRVRALY -FPAGGSSSGTQNPAPTIASLTSSIFSKTGGPAMNMDNITSGLLGPLLVLQAVCFLLTKILTIPQSLDSWW -TSLNFLGGLPGCPGQNSQSPTSNHLPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGSTTTSTGPCKTCTTLAQGTSMFPSCCCSKPSDGNCTCIPIPSSWALGKYLWEWASARFSWLSLL -VQFVQWCVGLSPTVWLLVIWMIWYWGPNLCSILSPFIPLLPIFCYLWVSI - ->sp|P03140.3|HBSAG_HBVC5 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGGWSSKPRQGMGTNLSVPNPLGFFPDHQLDPAFGANSNNPDWDFNPNKDQWPEANQVGAGAFGPGFTPP -HGGLLGWSPQAQGILTTVPAAPPPASTNRQSGRQPTPISPPLRDSHPQAMQWNSTTFHQALLDPRVRGLY -FPAGGSSSGTVNPVPTTASPISSIFSRTGDPAPNMENTTSGFLGPLLVLQAGFFLLTRILTIPQSLDSWW -TSLNFLGGAPTCPGQNSQSPTSNHSPTSCPPICPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPV -CPLLPGTSTTSTGPCKTCTIPAQGTSMFPSCCCTKPSDGNCTCIPIPSSWAFARFLWEWASVRFSWLSLL -VPFVQWFVGLSPTVWLSVIWMMWYWGPSLYNILSPFLPLLPIFFCLWVYI - ->sp|P06432.1|HBSAG_WHV2 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGNNIKVTFNPDKIAAWWPAVGTYYTTTYPQNQSVFQPGIYQTTSLINPKNQQELDSVLINRYKQIDWNT -WQGFPVDQKFSLVSRDPPPKPYINQSAQTFEIKPGPIIVPGIRDIPRGLVPPQTPTNRDQGRKPTPPTPP -LRDTHPHLTMKNQTFHLQGFVDGLRDLTTTERQHNAYRDPFTTLSPAVPTVSTILSPPSTTGDPALSPEM -SPSSLLGLLAGLQVVYFLWTKILTIAQNLDWWCTSLSFPGGIPECTGQNSQFQTCKHLPTSCPPTCNGFR -WMYLRRFIIYLLVLLLCLIFLLVLLDWKGLIPVCPLQPTTETTVNCRQCTISAQDMYTPPYCCCLKPTAG -NCTCWPIPSSWALGNYLWEWALARLSWLNLLVPLLQWLGGISLIAWFLLIWMIWFWGPALLSILPPFIPI -FVLFFLIWVYI - ->sp|P12910.1|HBSAG_WHV3 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGNNIKVTFNPDKIAAWWPAVGTYYTTTYPQNQSVFQPGIYQTTSLINPKNQQELDSVLINRYKQIDWNT -WQGFPVDQKLPLVSRDPPLKPHINQSAQTFEIKPGPIIVPGIRDIPRGLVPPQTPTNRDQGRKPTPPTPP -LRDTHPHLTMKNQTFRLQGFVDGLRDLTTTERYHNAYGDPFTTLSPVVPTVSTILSPPSTTGDPALSPEM -SPSSLLGLLAGLQVVYFLWTKILTIAQNLDWWWTSLSFPGGIPECTGQNSQFQTCKHLPTSCPPTCNGFR -WMYLRRFIIYLLVLLLCLIFLLVLLDWKGLIPVCPLQPTTETTVNCRQCTLSVQDTYTPPYCCCLKPTAG -NCTCWPIPSSWALGNYLWEWALARFSWLNLLVPLLQWLGGISLIAWFLLIWMIWFWGPALLSILPPFIPI -FVLFFLIWVYI - ->sp|P03143.1|HBSAG_WHV1 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGNNIKVTFNPDKIAAWWPAVGTYYTTTYPQNQSVFQPGIYQTTSLVNPKTQQELDSVLINRYKQIDWNT -WQGFPVDQKLPLVNRDPPPKSAQTFEIKPGPIIVPGIRDIPRGLVPPQTPTNRDQGRKPTPPTPPLRDTH -PHLTMKNQTFHLQGFVDGLRDLTTTERQHNAYGDPFTTLSPVVPTVSTILSPPSTTGDPAQSPEMSPSSL -LGLLAGLQVVYFLWTKILTIAQNLDWWWTSLSFPGGIPECTGQNSQFQTCKHLPTSCPPTCNGFRWMYLR -RFIIYLLVLLLCLIFLLVLLDWKGLIPVCPIQPTTETTVNCRQCTISVQDMYTPPYCCCLKPTAGNCTCW -PIPSSWALGNYLWEWALARFSWLNLLVPLLQWLGGISLIAWFLLIWMIWFWGPALLSILPPFIPIFVLFF -LIWVYI - ->sp|P03144.1|HBSAG_GSHV RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -MGNNIKVTFDPNKLAAWWPTVGTYYTPTTTVTNPAIFKPGIYQTTSLKNPKNQQELDAILMTRYKEIDWD -NWQGFPVNQRLPVSNNNPPSGQRAETFEIKSRPIIVPGIRDIPRGIVPPQTPSNRDQRRKPTPLTPPLRD -THPHLTMKNQTGHLQGFAEGLRALTTSDHHNSAYGDPFTTLSPVVPTVSTTLSPPLTIGDPVLSTEMSPS -GLLGLLAGLQVVYFLWTKILTIAQSLDWWWTSLSFPGGIPECTGQNLQFQTCKHLPTSCPPTCNGFRWMY -LRRFIIYLLVLLLFLTFLLVLLDWKGLLPVCPMMPATETTVNCRQCTISAQDTFTTPYCCCLKPTAGNCT -CWPIPSSWALGSYLWEWALARFSWLSLLVPLLQWLGGISLTVWLLLIWMIWFWGPVLMSILPPFIPIFAL -FFLIWAYI - ->sp|P11293.2|HBSAG_WHV6 RecName: Full=Large envelope protein; AltName: Full=L glycoprotein; AltName: Full=L-HBsAg; Short=LHB; AltName: Full=Large S protein; AltName: Full=Large surface protein; AltName: Full=Major surface antigen -PLRDTHPHLTMKNQTFHLQGFVDGLRDLTTTERHHNAYGDPFTTLSPVVPTVSTTLSPPSTTGDPALSPE -MSPSSLLGLLAGLQVVYFLWTKIPTIAQNLDWWWTSLSFPGGIPECTGQNSQFQTCKHLPTSCPPTCNGF -RWMYLRRFIIYLLVLLLCLIFLLVLLDWKGLLPVCPLQPTTETTVNCRQCTLSVQDTYTPPYCCCLKPTA -GNCTCWPIPSSWALGNYLWEWALARFSWLNLLVPLLQWLGGISLIAWFLLIWMIWFWGPALLSILPPFIP -IFVLFFLIWVYI - diff --git a/seq/clusters_seq/cluster_420 b/seq/clusters_seq/cluster_420 deleted file mode 100644 index 27388bd..0000000 --- a/seq/clusters_seq/cluster_420 +++ /dev/null @@ -1,110 +0,0 @@ ->YP_009924386.1 nsp4 [Rousettus bat coronavirus HKU9] -GHRRIVSWRRVLIHVFMLLVVLNPQWFTPWYIMRPIEYNVVDFKVIDNAVIRDITSADQCFANKFSAFEN -WYSNRYGSYVNSRGCPMVVGVVSDIVGSLVPGLPARFLRVGTTLLPLVNYGLGAVGSVCYTPHYAINYDV -FDTSACVLAATCTLFSSASGERMPYCADAALIQNASRYDMLKPHVMYPFYEHSGYIRFPEVISAGVHIVR -TMAMEYCKVGRCDVSEAGLCMSLQPRWVVNNAYFRQQSGVYCGTSAFDLFMNMLLPIFTPVGAVDITTSI -LMGALLAVVVSMSLYYLLRFRRAFGDYSGVIFTNILAFVLNVIVLCLEGPYPMLPSIYAMVFLYATCYFG -SDIACMMHVSFLIMFAGVVPLWVTVLYIVVVLSRHILWFASLCTKRTVQVGDLAFHSFQDAALQTFMLDK -EVFLRLKREISSDAYFKYLAMYNKYKYYSGPMDTAAYREAACSHLVMALEKYSNGGGDTIYQPPRCSVAS -AALQ - ->YP_009944295.1 nsp4 [Betacoronavirus England 1] -APTWFNALRDFTLKGYVLATIIVFLCAVLMYLCLPTFSMVPVEFYEDRILDFKVLDNGIIRDVNPDDKCF -ANKHRSFTQWYHEHVGGVYDNSITCPLTVAVIAGVAGARIPDVPTTLAWVNNQIIFFVSRVFANTGSVCY -TPIDEIPYKSFSDSGCILPSECTMFRDAEGRMTPYCHDPTVLPGAFAYSQMRPHVRYDLYDGNMFIKFPE -VVFESTLRITRTLSTQYCRFGSCEYAQEGVCITTNGSWAIFNDHHLNRPGVYCGSDFIDIVRRLAVSLFQ -PITYFQLTTSLVLGIGLCAFLTLLFYYINKVKRAFADYTQCAVIAVVAAVLNSLCICFVASIPLCIVPYT -ALYYYATFYFTNEPAFIMHVSWYIMFGPIVPIWMTCVYTVAMCFRHFFWVLAYFSKKHVEVFTDGKLNCS -FQDAASNIFVINKDTYAALRNSLTNDAYSRFLGLFNKYKYFSGAMETAAYREAAACHLAKALQTYSETGS -DLLYQPPNCSITSGVLQ - ->YP_009944369.1 nsp4 [SARS coronavirus Tor2] -KIVSTCFKLMLKATLLCVLAALVCYIVMPVHTLSIHDGYTNEIIGYKAIQDGVTRDIISTDDCFANKHAG -FDAWFSQRGGSYKNDKSCPVVAAIITREIGFIVPGLPGTVLRAINGDFLHFLPRVFSAVGNICYTPSKLI -EYSDFATSACVLAAECTIFKDAMGKPVPYCYDTNLLEGSISYSELRPDTRYVLMDGSIIQFPNTYLEGSV -RVVTTFDAEYCRHGTCERSEVGICLSTSGRWVLNNEHYRALSGVFCGVDAMNLIANIFTPLVQPVGALDV -SASVVAGGIIAILVTCAAYYFMKFRRVFGEYNHVVAANALLFLMSFTILCLVPAYSFLPGVYSVFYLYLT -FYFTNDVSFLAHLQWFAMFSPIVPFWITAIYVFCISLKHCHWFFNNYLRKRVMFNGVTFSTFEEAALCTF -LLNKEMYLKLRSETLLPLTQYNRYLALYNKYKYFSGALDTTSYREAACCHLAKALNDFSNSGADVLYQPP -QTSITSAVLQ - ->YP_009944357.1 nsp4 [Pipistrellus bat coronavirus HKU5] -APKWLLKLRDFTWKSYCVVTLVVFAMAVLSYLCLPAFNMSQVSFHEDRILTYKVVENGIIRDITPSDTCF -ANKYQSFSKWFNEHYGGLFNNDISCPVTVAVIAGVAGARVPNLPANVAWVGRQIVLFVSRVFASSNNVCY -TPTAEIPYERFSDSGCVLASECTLFRDAEGKINPYCYDPTVLPGASAYDQMKPHVRYDMYDSDMYIKFPE -VVFESTLRITKTLATRYCRFGSCEDANEGVCITTNGSWAIYNDHYANKPGVYCGDNYFDIVRRLGLSLFQ -PVTYFQLSTSLALGVMLCIFLTIAFYYVNKVKRALADYTQCAVVAVAAALLNSLCLCFVVSNPLLVLPYT -ALYYYATFYLTGEPAFVMHVSWFVMFGTVVPIWMVFAYIVGVCLRHLLWVMAYFSKKHVEVFTDGKLNCS -FQDAAANIFVINKDTYVALRNSITQDSYNRYLSMFNKYKYYSGAMDTASYREASAAHLCKALQVYSETGS -DVLFQPPNCSVTSSVLQ - ->YP_009944328.1 nsp4 [Tylonycteris bat coronavirus HKU4] -GAPSWLLRVRDLTVKGYCILTLFVFTVAVLSWFCLPSYSIATVNFNDDRILTYKVIENGIVRDIAPNDVC -FANKYGHFSKWFNENHGGVYRNSMDCPITIAVIAGVAGARVANVPANLAWVGKQIVLFVSRVFANTNVCF -TPINEIPYDTFSDSGCVLSSECTLFRDAEGNLNPFCYDPTVLPGASSYADMKPHVRYDMYDSDMYIKFPE -VIVESTLRITKTLATQYCRFGSCEESAAGVCISTNGSWALYNQNYSTRPGIYCGDDYFDIVRRLAISLFQ -PVTYFQLSTSLAMGLVLCVFLTAAFYYINKVKRALADYTQCAVVAVVAALLNSLCLCFIVANPLLVAPYT -AMYYYATFYLTGEPAFIMHISWYVMFGAVVPIWMLASYTVGVMLRHLFWVLAYFSKKHVDVFTDGKLNCS -FQDAASNIFVIGKDTYVALRNAITQDSFVRYLSLFNKYKYYSGAMDTASYREACAAHLCKALQTYSETGS -DILYQPPNCSVTSSVLQ - ->YP_009944272.1 nsp4 (TM2) [Human coronavirus HKU1] -VVLSNLLYILFFVSLICFILLWALLPTYSVYKSDIHLPAYASFKVIDNGVVRDISVNDLCFANKFFQFDQ -WYESTFGSVYYHNSMDCPIVVAVMDEDIGSTMFNVPTKVLRHGFHVLHFLTYAFASDSVQCYTPHIQISY -NDFYASGCVLSSLCTMFKRGDGTPHPYCYSDGVMKNASLYTSLVPHTRYSLANSNGFIRFPDVISEGIVR -IVRTRSMTYCRVGACEYAEEGICFNFNSSWVLNNDYYRSMPGTFCGRDLFDLFYQFFSSLIRPIDFFSLT -ASSIFGAILAIVVVLVFYYLIKLKRAFGDYTSVVVINVVVWCINFLMLFVFQVYPICACVYACFYFYVTL -YFPSEISVIMHLQWIVMYGAIMPFWFCVTYVAMVIANHVLWLFSYCRKIGVNVCSDSTFEETSLTTFMIT -KDSYCRLKNSVSDVAYNRYLSLYNKYRYYSGKMDTAAYREAACSQLAKAMETFNHNNGNDVLYQPPTASV -STSFLQ - ->YP_009944257.1 nsp4 [Rabbit coronavirus HKU14] -AVFSYFVYSCFVVSLICFIGLWCLMPTYSVHKSDFELPIYASYKVLDNGVIRDVSVNDVCFANKFEQFDA -WYESTFGLTYYSNSMACPIVVAVIDQDIGSTVFNVPTKVLRYGFHVLHFITHALSTDSVQCYTPHYQIPY -SNFYDSGCVLSSACTMFAMSDGKPQPFCYTDGLMNNASLYSSLAPHVRYNLANVKGYIRFPEVLREGLVR -IVRTRSMTYCRVGLCEVSDEGICFNFNGSWVLNNDYYRSLPGTFCGRDVFDLVYQFLSGLSQPVDFFALT -ASSIAGAILAIIVVLVFYYLIKLKRAFGDYTSVVVVNVIVWFVNFLMLFVFQVYPTLSCIYAAFYFYITL -YFPSEISVIMHLQWVVMYGSIMPLWFSLLYIAIVISNHAFWVFSYCRKLGTGVRSDGTFEEMALTTFMIT -KDSYCKLKNSLSDVAFNRYLGLYNKYRYYSGKMDTAAYREAACSQLAKAMDTFTNNNGSDVLYQPPTASV -STSFLQ - ->YP_009924371.1 nsp4 [Rat coronavirus Parker] -AVFSKFLQWLFVANLICFIVLWALIPTYAVHKSDMQLPLYASFKVIENGVLRDVSVTDACFANKFNQFDQ -WYESTFGLAYYRNSKACPVVVAVIDQDIGHTLFNVPTKVLRHGFHVLHFITHAFATDSVQCYTPHMQIPY -DNFYASGCVLSSLCTMLAHADGTPHPYCYTEGVMHNASLYSSLVPHVRYNLASSNGYIRFPEVVSEGIVR -VVRTRSMTYCRVGLCEEAEEGICFNFNSSWVLNNPYYRAMPGTFCGRNAFDLIHQVLGGLVQPIDFFALT -ASSVAGAILAIIVVLAFYYLIKLKRAFGDYTSVVVINVIVWCINFMMLFVFQVYPTLSCLYACFYFYTTL -YFPSEISVVMHLQWLVMYGAIMPLWFCIIYVAVVVSNHALWLFSYCRKIGTEVRSDGTFEEMALTTFMIT -KESYCKLKNSVSDVAFNRYLSLYNKYRYFSGKMDTAAYREAACSQLAKAMETFNHNNGNDVLYQPPTASV -TTSFLQ - ->YP_209247.1 nsp4 or p44 [Murine hepatitis virus strain JHM] -AVFSRVLQWLFVANLICFIVLWALMPTYAVHKSDMQLPLYASFKVIDNGVLRDVSVTDACFANKFNQFDQ -WYESTFGLVYYRNSKACPVVVAVIDQDIGHTLFNVPTKVLRYGFHVLHFITHAFATDRVQCYTPHMQIPY -DNFYASGCVLSSLCTMLAHADGTPHPYCYTEGVMHNASLYSSLVPHVRYNLASSNGYIRFPEVVSEGIVR -VVRTRSMTYCRVGLCEEAEEGICFNFNSSWVLNNPYYRAMPGTFCGRNAFDLIHQVLGGLVQPIDFFALT -ASSVAGAILAIIVVLAFYYLIKLKRAFGDYTSVVVINVIVWCINFLMLFVFQVYPTLSCLYACFYFYTTL -YFPSEISVVMHLQWLVMYGAIMPLWFCITYVAVVVSNHALWLFSYCRKIGTDVRSDGTFEEMALTTFMIT -KESYCKLKNSVSDVAFNRYLSLYNKYRYFSGKMDTATYREAACSQLAKAMETFNHNNGNDVLYQPPTASV -TTSFLQ - ->YP_009924322.1 nsp4 [Human coronavirus OC43] -AVFSYFVYVCFVLSLVCFIGLWCLMPTYTVHKSDFQLPVYASYKVLDNGVIRDVSVEDVCFANKFEQFDQ -WYESTFGLSYYSNSMACPIVVAVIDQDFGSTVFNVPTKVLRYGYHVLHFITHALSADGVQCYTPHSQISY -SNFYASGCVLSSACTMFTMADGSPQPYCYTEGLMQNASLYSSLVPHVRYNLANAKGFIRFPEVLREGLVR -IVRTRSMSYCRVGLCEEADEGICFNFNGSWVLNNDYYRSLPGTFCGRDVFDLIYQLFKGLAQPVDFLALT -ASSIAGAILAVIVVLVFYYLIKLKRAFGDYTSVVFVNVIVWCVNFMMLFVFQVYPILSCVYAICYFYATL -YFPSEISVIMHLQWLVMYGTIMPLWFCLLYIAVVVSNHAFWVFSYCRKLGTSVRSDGTFEEMALTTFMIT -KDSYCKLKNSLSDVAFNRYLSLYNKYRYYSGKMDTAAYREAACSQLAKAMDTFTNNNGSDVLYQPPTASV -STSFLQ - ->YP_009742611.1 nsp4 [Severe acute respiratory syndrome coronavirus 2] -KIVNNWLKQLIKVTLVFLFVAAIFYLITPVHVMSKHTDFSSEIIGYKAIDGGVTRDIASTDTCFANKHAD -FDTWFSQRGGSYTNDKACPLIAAVITREVGFVVPGLPGTILRTTNGDFLHFLPRVFSAVGNICYTPSKLI -EYTDFATSACVLAAECTIFKDASGKPVPYCYDTNVLEGSVAYESLRPDTRYVLMDGSIIQFPNTYLEGSV -RVVTTFDSEYCRHGTCERSEAGVCVSTSGRWVLNNDYYRSLPGVFCGVDAVNLLTNMFTPLIQPIGALDI -SASIVAGGIVAIVVTCLAYYFMRFRRAFGEYSHVVAFNTLLFLMSFTVLCLTPVYSFLPGVYSVIYLYLT -FYLTNDVSFLAHIQWMVMFTPLVPFWITIAYIICISTKHFYWFFSNYLKRRVVFNGVSFSTFEEAALCTF -LLNKEMYLKLRSDVLLPLTQYNRYLALYNKYKYFSGAMDTTSYREAACCHLAKALNDFSNSGSDVLYQPP -QTSITSAVLQ - diff --git a/seq/clusters_seq/cluster_421 b/seq/clusters_seq/cluster_421 deleted file mode 100644 index 8d0144e..0000000 --- a/seq/clusters_seq/cluster_421 +++ /dev/null @@ -1,173 +0,0 @@ ->YP_009755897.1 spike protein [Canada goose coronavirus] -MKALFKTFTFFSLLVCLSCQIYGDDWVYYYPSAFRPSDGWHLQSGAYQVVEQSTLNSTSGAGCTFAHNSF -NLTMSDGVVLQALPPTGWTDQAAKTYVHLAGCSMGPYIFFVFGPCNNNKVCGRPGIKGGISFIVYDTNYT -IIYQTDFPNWTAHTKFSTLQCVGHKGSVYLNGDLLYQLGYDATVTNASLVTCTTPSVQYLYKSSRAINSL -VYFQNGTAQDLILCDDTPRGVLYCTYGNGNLTDGLYPISALSTPNKTLEVYLIGSVVNNTYLGVLTNYTF -YNQSDVDTITIGTHGDQMAQLAKLKLYQEFSASEPYYNFNFTFLKEFEFIPNGKAISLTANYGSIYSSCG -FTLDNFNNGHCFNSLSIKLTYAPKYWGCKEAMSYFQAQCCYMYSYDTSSKCYGIAKDTEDYKYECVLLVL -VFMQQGSKICTSPTPPVLVEQNVLNNTFVLDQCVNYTIYGHYGYGYIINITDSVSPGTMLADGGLLVQDS -SGAIDVFAVDNVYGRQYYKVNLCNDVNEQYVLTGSVVTGKLTSFNCTGCPLLSNQYYQLFSNNTRRKRSL -DSQVNVTSCDYVTFGEYCLFPDGTYKYIQSATFDTLFEPLLNVTENILIPDSFNLTVTEEFIQTQMQQIQ -LNCLQYVCGASVQCRNLFKQYGSVCDNIVSILNGVAQSESQQLISMYSSTLPGQDFNPVYANMSTGGFNL -SVLLPTTPQSRSFIEDLLFDKVESVGLPTDQAYLDCMRGWTARDLICAQYYNGIMVLPPIITPAMQVMYT -GSFIASMAWGGLTAAGAIPFATQIQARINHLGITQTLLIDNQKMLAESFNNAVKYMQQGFEATSSALQQI -QDVVNQQGAVLQEVLNSLNKNFGAVSSVIQDIYKSLDELSANAQMDRLITGRLSALSVLASSKQADQLRV -QQQRQLAQDKLNECVLSQSTRNSFCGSGKHVMTIPQTAPNGILFVHFTYTPQTFKNVTAVVGFCVRGNTT -DSSYEYALVPVNGRGVFIEINGTYYITSRDMYMPRNITAGDVVTLTSCQANYVYVNRTVVTTFVDDSDFD -FDAEFDKWWNETQHDFPQLDEFNYTIPLLNITQQIQDIQDAIQGLNDSYVDLHELSKLTTYIKWPWYIWL -AIAFLTIIFFLILGWIFFMTGCCGGCCGCCGLIPLAQRCSKKSSYYQTFDDDVVGERIRPKKNV - ->YP_009824998.1 spike glycoprotein [Infectious bronchitis virus] -MLVTPLLLVTLLCVLCSAALYDSSSYVYYYQSAFRPPNGWHLHGGAYAVVNISSESNNAGSSSGCTVGTI -HGARVVNASSIAMTAPSSGMAWSSSQFCTAHCNFSDTTVFVTHCYKYDGCPITGMLQQNLLRVSAMKNGQ -LFYNLTVSVAKYPTCKSFQCVNNFTSVYLNGDLVYTSNETTDVTSAGVYFNAGGPITYKVMREVKALAYF -VNGTAQDVILCGGSPRGLLACQYNTGNFSDGFYPFINSSLVKQKFIVYRENSVNTTFTLHYFSFHNETGA -NPNPSGVQNIQIYQTQTAQSGYYNFNFSFLSSFVYKESNFMYGSYHPSCIFRLETINNGWGFNSLSVSFA -YGPLQGGCKQSVFSGRATCCYAYSYGGPSLCKGVYLGELKSDFECGLLVYVTKSDGSRIQTATQPPVITQ -HIYKNITLNTCVDYNIYGRTGQGFITNVTDSAVSYNYLADAGLAILDTSGSIDIFVVQGEYGLTYYKVNP -CEDVNQQFVVSGGQLVGILTSRNEPGTQLLENQFYIKITNGTRRFRRSITEKVANCPYVSYGKFCIKPDG -SIATIVPKQLEQFVAPLLNVTENVLIPNSFNLTVTDEYIQTRMDKVQINCLQYVCGNSLDCRELFQQYGP -VCDNILSVVNSVGKKEDMELLNFYSSTKPAGFNTPVLSNVSTGEFNISLLLTTPSSPRRRSFIEDLLFTS -VESVGLPTDDAYKNCTAGPLGFLKDLACAREYNGLLVLPPIITAEMQTLYTSSLVASMAFGGITAAGAIP -FATQLQARINHLGITQSLLLKNQEKIAASFNKAIGRMQEGFRSTSLALQQIQDVVNKQSAILTETMASLI -KNFGAISTMIQEIYQQFDAIQANAQVDRLITGRLSSLSVLASAKQAEHIRVSQQRELATQKINECVKSQS -NRYSFCGNGRHVLTIPQNAPNGIVFIHFSYTPDSFVNVTAIVGFCVKPANASQYAIVPVNGRGIFIQVNG -SYYITARDMYMPRAITAGDIVTLTSCQANYVSVNKTVITTFVDNDDFDFNDELSKWWNATKHELPDFDKF -NYTVPILDIDSEIDRIQGVIQGLNDSSIDLEKLSILKTYIKWPWYVWLAIAFATIIFILILGWVFFMTGC -CGCCCGCLGIMPLMRKCGKKSSYYTTFDNDVVT - ->NP_040831.1 spike protein [Infectious bronchitis virus] -MLVTPLLLVTLLCALCSAVLYDSSSYVYYYQSAFRPPSGWHLQGGAYAVVNISSEFNNAGSSSGCTVGII -HGGRVVNASSIAMTAPSSGMAWSSSQFCTAHCNFSDTTVFVTHCYKHGGCPLTGMLQQNLIRVSAMKNGQ -LFYNLTVSVAKYPTFRSFQCVNNLTSVYLNGDLVYTSNETIDVTSAGVYFKAGGPITYKVMREVKALAYF -VNGTAQDVILCDGSPRGLLACQYNTGNFSDGFYPFTNSSLVKQKFIVYRENSVNTTCTLHNFIFHNETGA -NPNPSGVQNIQTYQTKTAQSGYYNFNFSFLSSFVYKESNFMYGSYHPSCKFRLETINNGLWFNSLSVSIA -YGPLQGGCKQSVFKGRATCCYAYSYGGPSLCKGVYSGELDHNFECGLLVYVTKSGGSRIQTATEPPVITQ -NNYNNITLNTCVDYNIYGRTGQGFITNVTDSAVSYNYLADAGLAILDTSGSIDIFVVQGEYGLNYYKVNP -CEDVNQQFVVSGGKLVGILTSRNETGSQLLENQFYIKITNGTRRFRRSITENVANCPYVSYGKFCIKPDG -SIATIVPKQLEQFVAPLFNVTENVLIPNSFNLTVTDEYIQTRMDKVQINCLQYVCGSSLDCRKLFQQYGP -VCDNILSVVNSVGQKEDMELLNFYSSTKPAGFNTPVLSNVSTGEFNISLLLTNPSSRRKRSLIEDLLFTS -VESVGLPTNDAYKNCTAGPLGFFKDLACAREYNGLLVLPPIITAEMQALYTSSLVASMAFGGITAAGAIP -FATQLQARINHLGITQSLLLKNQEKIAASFNKAIGHMQEGFRSTSLALQQIQDVVSKQSAILTETMASLN -KNFGAISSVIQEIYQQFDAIQANAQVDRLITGRLSSLSVLASAKQAEYIRVSQQRELATQKINECVKSQS -IRYSFCGNGRHVLTIPQNAPNGIVFIHFSYTPDSFVNVTAIVGFCVKPANASQYAIVPANGRGIFIQVNG -SYYITARDMYMPRAITAGDVVTLTSCQANYVSVNKTVITTFVDNDDFDFNDELSKWWNDTKHELPDFDKF -NYTVPILDIDSEIDRIQGVIQGLNDSLIDLEKLSILKTYIKWPWYVWLAIAFATIIFILILGWVFFMTGC -CGCCCGCFGIMPLMSKCGKKSSYYTTFDNDVVTEQYRPKKSV - ->sp|P30208.1|SPIKE_IBVU3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Flags: Precursor -NLFGNNSYVYYYQSAFRPPDGWHLHGGAYEVVNVSTESSNAGTNGCTVGAIYWSKNFSAASVAMTAPQNG -MSWSTGQFCTAHCNFTDFVVFVTHCYKSGPGSCPLTGLIPQNHIRISAMKSGSLFYNLTVAVTKYPRFKS -LQCVNNMTSVYLNGDLVFTSNETKDVSAAGVHFKAGGPITYKVMREVKALAYFVNGTAQDVILCDGSPTG -LLACQYNTGNFSDGFYPFTNSSLVKEKFIVYRESSVNTTLELTNFTFSNVSNATPNTGGVQTIQLYQTKT -AQSGYYNLNFSFLSSFSYKASDYMYGSYHPSCKFRLETINNGFWFNPLSVSLGYGPIQGGCKQSVFANRA -TCCYAYSYNGPSLCKGVYRGELTKSFECGLLVFVTKTDGSRIQTRNEPFTLTQHNYNNITLDRCVEYNIY -GRVGQGFITNVTNYAINYNYLADGGMAILDTSGAIDIFVVQGEYGLNYYKVNPCEDVNQQFVVSGGKLVG -ILTSRNETGSQPLENQFYIKIINGTRRSRR - ->sp|P30207.1|SPIKE_IBVU2 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Flags: Precursor -NLFGNNSYVYYYQSAFRPPDGWHLHGGAYEVVNVSTEFSNAGTTGCTAGAIYWSKNFSAASVAMTAPQNG -MSWSTQEFCTAHCNFTDFVVFVTHCYKSGHGFCPLTGLIPQNYIRISAMKNSSLFYNLTVAVTKYPRFKS -LQCVNNMTSVYLNGDLVFTSNETKDVSAAGVHFKAGGPITYKVMREVKALAYFVNGTAQDVILCDGSPTG -LLACQYNTGNFSDGFYPFTNSSLVKEKFIVYRESSVNTTLELTNFTFSNVSNATPNTGGVQTIQLYQTIT -AQSGYYNLNFSFLSSFIYKASDYMYGSYHPRCKFRLETINNGLWFNSLSVSLGYGPIQGGCKQSVFENRA -TCCYAYSYNGPSLCKGVYRGELTKSFECGLLVFVTKTDGSRIQIRNEPLTLTQHNYNNITLDRCVEYNIY -GRVGQGFITNVTNYAINYNYLADGGMAILDTSGAIDIFVVQGEYGLNYYKVNPCEDVNQQFVVSGGKLVG -ILTSRNETGSQPLENQFYIKIINGTRRSRR - ->sp|P12651.1|SPIKE_IBVM RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MLVTPLLLVTLLCVLCSAALYDSSSYVYYYQSAFRPPNGWHLHGGAYAVVNISSESNNAGSSPGCIVGTI -HGGRVVNASSIAMTAPSSGMAWSSSQFCTAHCNFSDTTVFVTHCYKYDGCPITGMLQKNFLRVSAMKNGQ -LFYNLTVSVAKYPTFKSFQCVNNLTSVYLNGDLVYTSNETTDVTSAGVYFKAGGPITYKVMRKVKALAYF -VNGTAQDVILCDGSPRGLLACQYNTGNFSDGFYPFINSSLVKQKFIVYRENSVNTTFTLHNFTFHNETGA -NPNPSGVQNILTYQTQTAQSGYYNFNFSFLSSFVYKESNFMYGSYHPSCNFRLETINNGLWFNSLSVSIA -YGPLQGGCKQSVFSGRATCCYAYSYGGPSLCKGVYSGELDLNFECGLLVYVTKSGGSRIQTATEPPVITR -HNYNNITLNTCVDYNIYGRTGQGFITNVTDSAVSYNYLADAGLAILDTSGSIDIFVVQGEYGLTYYKVNP -CEDVNQQFVVSGGKLVGILTSRNETGSQLLENQFYIKITNGTRRFRRSITENVANCPYVSYGKFCIKPDG -SIATIVPKQLEQFVAPLLNVTENVLIPNSFNLTVTDEYIQTRMDKVQINCLQYVCGNSLDCRDLFQQYGP -VCDNILSVVNSIGQKEDMELLNFYSSTKPAGFNTPFLSNVSTGEFNISLLLTTPSSPRRRSFIEDLLFTS -VESVGLPTDDAYKNCTAGPLGFLKDLACAREYNGLLVLPPIITAEMQTLYTSSLVASMAFGGITAAGAIP -FATQLQARINHLGITQSLLLKNQEKIAASFNKAIGRMQEGFRSTSLALQQIQDVVNKQSAILTETMASLN -KNFGAISSVIQEIYQQLDAIQANAQVDRLITGRLSSLSVLASAKQAEHIRVSQQRELATQKINECVKSQS -IRYSFCGNGRHVLTIPQNAPNGIVFIHFSYTPDSFVNVTAIVGFCVKPANASQYAIVPANGRGIFIQVNG -SYYITARDMYMPRAITAGDIVTLTSCQANYVSVNKTVITTFVDNDDFDFNDELSKWWNDTKHELPDFDKF -NYTVPILDIDSEIDRIQGVIQGLNDSLIDLEKLSILKTYIKWPWYVWLAIAFATIIFILILGWVFFMTGC -CGCCCGCFGIMPLMSKCGKKSSYYTTFDNDVVTEQNRPKKSV - ->sp|P12650.1|SPIKE_IBVK RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MLVTPLLLVTLLCALCSAALYDSSSYVYYYQSAFRPPDGWHLHGGAYAVVNISSESNNAGSSSGCTVGTI -HGGRVVNASSIAMTAPSSGMAWSSSQFCTAYCNFSDTTVFVTHCYKHGGCPITGMLQQHSIRVSAMKNGQ -LFYNLTVSVAKYPTFKSFQCVNNLTSVYLNGDLVYTSNETTDVTSAGVYFKAVGPITYKVMREVRALAYF -VNGTAQDVILCDGSPRGLLACQYNTGNFSDGFYPFTNSSLVKQKFIVYRENSVNTTFTLHNFTFHNETGA -NPNPSGVQNIQTYQTQTAQSGYYNFNFSFLSSFVYKESNFMYGSYHPSCSFRLETINNGLWFNSLSVSIA -YGPLQGGCKQSVFSGRATCCYAYSYGGPLLCKGVYSGELDHNFECGLLVYVTKSGGSRIQTATEPPVITQ -HNYNNITLNTCVDYNIYGRIGQGFITNVTDSAVSYNYLADAGLAILDTSGSIDIFVVQSEYGLNYYKVNP -CEDVNQQFVVSGGKLVGILTSRNETGSQLLENQFYIKITNGTRRFRRSITESVENCPYVSYGKFCIKPDG -SISTIVPKYLEQFVAPLLNVTENVLIPNSFNLTVTDEYIQTRMDKVQINCLQYICGNSLECRNLFQQYGP -VCDNMLSVVNSVGQKEDMELLNFYSSTKPAGFNTPVLSNVSTGEFNISLFLTTPSSPRRRSFIEDLLFTS -VESVGLPTDDAYKNCTAGPLGFLKDLVCAREYNGLLVLPPIITAEMQTLYTSSLVASMAFGGITAAGAIP -FATQLQARINHLGITQSLLLKNQEKIAASFNKAIGHMQEGFRSTSLALQQIQDVVNKQSAILTETMASLN -KNFGAISSVIQEIYLQLDAIQANAQVDRLITGRLSSLSVLASAKQAEYIRVSQQRELATQKINECVKSQS -TRYSFCGNGRHVLTIPQNAPNGIVFIHFTYTPESFVNVTAIVGFCVKPNNASQYAIVPVNGRGIFIQVND -SYYITARDMYMPRHITAGDIVTLTSCQANYVSVNKTVITTFVENDDFDFDDELSKWWIETKYELPDFDQF -NYTIPVLNITYDIDKIEEVIKGLNDSLIDLETLSILKTYIKWPWYVWLAIAFATIIFILILGWVFFMTGC -CGCCCGCFGIIPLMSKCGKKSSYYTTFDNDVVTEQYRPKKSV - ->sp|P17662.2|SPIKE_IBVD3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Flags: Precursor -MLEKSLLLVTLLFALCSANLFGNNSYVYYYQSAFRPPNGWHLHGGAYEVVNVSTESSNAGTTECTAGAIY -WSKNFSAASVAMTAPQNGMLWSTAQFCTAHCNFTDFVVFVTHCYKSASGSCPLTGLIPQYHIRISAMKNS -SLFYNLTVAVTKYPRFKSLQCVNNMTSVYLNGDLVFTSNETKDVSAAGVHFKAGGPITYKVMREVKALAY -FVNGTAQDVILCDGSPTGLLACQYNTGNFSDGFYPFTNSSLVKEKFIVYRESSVNTTLELTNFTFSNVSN -ANPNTGGVHTIQLYQTSTAQSGHYNFNFSFLSSFTYKESDYMYGSYHPSCKFRLETINNGLWFNSLSVSL -GYGPIQGGCKQSVFQNRATCCYAYSYNGPPLCKGVYRGELTKSFECGLLVFVTKTDGSRIQTRNEPFTLT -QHNYNNITLDRCVEYNIYGRVGQGFITNVTNYAINYNYLADGGMAILDTSGAIDIFVVQGEYGLNYYKVN -PCEDVNQQFVVSGGKLVGILTSRNETGSQPLENQFYIKIINGTRRSRRSITGNVTNCPYV - ->sp|P12722.1|SPIKE_IBVD2 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MLERSLLLATLLSALCSANLFGNNSYVYYYQSAFRPPDGWHLHGGAYEVVNVFTESSNAGTTGCTVGAIY -WSKNFSAASVAMTAPQNGMSWSTEQFCTAHCNFTDFVVFVTHCYKSSHGSCPLTGLIPQNHIRISAMKNS -SLFYNLTVAVTKYPRFKSLQCVNNMTSVYLNGDLVFTSNETKDVSAAGVHFKAGGPITYKVMREVKALAY -FVNGTAQDVILCDGSPTGLLACQYNTGNFSDGFYPFTNSSLVKEKFIVYRESSVNTTLELTNFTFSNVSN -ATPNTGGVQTIQLYQTSTAQSGYYNLNFSFLSSFIYKASDYMYGSYHPSCKFRLETINNGLWFNSLSVSL -GYGPIQGGCKQSVFANRATCCYAYSYNGPSLCKGVYRGELTKSFECGLLVFVTKTDGSRIQTRNEPFTLT -QHNYNNITLDRCVEYNIYGRVGQGFITNVTNYAINYNYLADGGMAILDTSGAIDIFVVQGEYGLNYYKVN -PCEDVNQQFVVSGGKLVGILTSRNETGSQPLENQFYIKIINGTRRSRRSITGNVTNCPYVTYGKFCIKPD -GSISTIVPKELEHFVAPLLNVTENVLIPDSFNLTVTDEYIQTRMDKVQINCLQYVCGNSLECRKLFQQYG -PVCDNILSVVNSVGQKEDMELLHFYSSTKPSGFNTPVLSNVSTGEFNISLLLTPPSSASGRSFIEDLLFT -SVESVGLPTDDAYKKCTAGPLGFLKDLACAREYNGLLVLPPIITAEMQTLYTSSLVASMAFGGITSVGAI -PFATQLQARINHLGITQSLLLKNQEKIAASFNKAIGHMQEGFRSTSLALQQIQDVVNKQSSILTETMASL -NKNFGAISSVLQDIYQQLDSIQADAQVDRIITGRLSSLSVLASAKQAEYYRVSQQRELATQKINECVKSQ -SIRYSFCGNGRHVLTIPQNAPNGIVFIHFTYTPESFVNVTAIVGFCVNPANASQYAIVPANGRGIFIQVN -GSYYITARDMYMPRDITAGDIVTLTSCQANYVSVNKTVITTFVDNDDFDFDDELSKWWNDTKHELPDFDE -FNYTVPILDIGSEIDRIQGVIQGLNDSLIDLETLSILKTYIKWPWYVWLAIAFATIIFILILGWLFFMTG -CCGCCCGCFGIIPLMSKCGKKSSYYTTFDNDVVT - ->sp|P05135.1|SPIKE_IBV6 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MLERSLLLATLLSALCSANLFGNNSYVYYYQSAFRPSDGWHLHGGAYEVVNVSTESSNAGTTGCTAGAIY -WSKNFSAASVAMTAPQNGMSWSTEQFCTAHCNFTDFVVFVTHCYKSGHGSCPLTGLIPQNHIRISAMKNS -SLFYNLTVAVTKYPRFKSLQCVNNMTSVYLNGDLVFTSNETKDVSAAGVHFKAGGPITYKVMREVKALAY -FVNGTAQDVILCDGSPTGLLACQYNTGNFSDGFYPFTNSSLVKEKFIVYRESSVNTTLELTNFTFSNVSN -ATPNTGGVQTIQLYQTITAQSGYYNLNFSFLSSFIYKASDYMYGSYHPSCKFRLETINNGLWFNSLSVSL -GYGPIQGGCKQSVFANRATCCYAYSYNGPSLCKGVYRGELTKSFECGLLVFVTKTDGSRIQTRNEPFTLT -QHNYNNITLDRCVEYNIYGRVGQGFITNVTNYAINYNYLADGGMAILDTSGAIDIFVVQGEYGLNYYKVN -PCEDVNQQFVVSGGKLVGILTSRNETGSQPLENQFYIKIINGTRRSRRSITGNVTNCPYVTYGKFCIKPD -GSISTIVPKELEHFVAPLLNVTENVLIPDSFNLTVTDEYIQTRMDKVQINCLQYVCGNSLECRKLFQQYG -PVCDNILSVVNSVGQKEDMELLYFYSSTKPSGFNTPVLSNVSTGEFNISLLLTPPSSASGRSFIEDLLFT -SVESVGLPTDDAYKKCTAGPLGFLKDLACAREYNGLLVLPPIITAEMQTLYTSSLVASMAFGGITSAGAI -PFATQLQARINHLGITQSLLFKNQEKIAASFNKAIGHMQEGFRSTSLALQQIQDVVNKQSSILTETMASL -NKNFGAISSVLQDIYQQLDSIQADAQVDRIITGRLSSLSVLASAKQAEYYRVSQQRELATQKINECVKSQ -SIRYSFCGNGRHVLTIPQNAPNGIVFIHFTYTPESFVNVTAIVGFCVNPANASQYAIVPANGRGIFIQVN -GSYYITARDMYMPRDITAGDIVTLTSCQANYVSVNKTVITTFVDNDDFDFDDELSKWWNDTKHELPDFDE -FNYTVPILDIGSEIDRIQGVIQGLNDSLIDLETLSILKTYIKWPWYVWLAIAFLTIIFILVLCWIFFMTG -CCGCCCGCFGIIPLMSKCGKKSSYYTTFDNDVVYEQYRPKKSV - ->sp|P30206.1|SPIKE_IBVU1 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Flags: Precursor -NLFGNNSYVYYYQSAFRPPNGWHLHGGAYEVVNVSTESSNAGTTECTAGAIYWSKNFSAASVAMTAPQNG -MLWSTAQFCTAHCNFTDFVVFVTHCYKSASGSCPLTGLIPQYHIRISAMKNSSLFYNLTVAVTKYPRFKS -LQCVNNMTSVYLNGDLVFTSNETKDVSAAGVHFKAGGPITYKVMREVKALAYFVNGTAQDVILCDGSPTG -LLACQYNTGNFSDGFYPFTNSSLVKEKFIVYRESSVNTTLDVTNFTFSNVSNATPNTGGVHTIQLYQTST -AQSGYYNFNFSFLSSFIYKESDYMYGSYHPSCKFRLETINNGLXFNPLSVSLGYGPIQGGCKQSVFENRA -TCCYAYSYNGPPLCKGVYRGELTKSFECGLLVFVTKTDGSRIQTRNEPFTLTQHNYNNITLDRCVEYNIY -GRVGQGFITNVTNYAINYNYLADGGMAILDTSGAIDIFVVQGEYGLNYYKVNPCEDVNQQFVVSGGKLVG -ILTSRNETGSQPLENQFYIKIINGTRRSRR - diff --git a/seq/clusters_seq/cluster_422 b/seq/clusters_seq/cluster_422 deleted file mode 100644 index 3210f2d..0000000 --- a/seq/clusters_seq/cluster_422 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_009336485.1 envelope protein [Lucheng Rn rat coronavirus] -MLPSFLRVFNDEGLVLSVLFWFLFIIVLLLFSIAMLKTIQLVGVCCSLTNKVIVLPVKGVYHLYQDYYKI -EPLPMFEV - ->YP_009256199.1 envelope protein [Ferret coronavirus] -MKFPTLLTVIDDNGVVVNSIFWLLLIIVIILFSIALLNIIRLCQTCCRLTNVVVIMPARQAYNAYKDFMN -VPKAPDSVCFVV - ->NP_058426.1 envelope protein [Transmissible gastroenteritis virus] -MTFPRALTVIDDNGMVINIIFWFLLIIILILLSIALLNIIKLCMVCCNLGRTVIIVPAQHAYDAYKNFMR -IKAYNPDGALLA - ->YP_009380523.1 envelope protein [Coronavirus AcCoV-JC34] -MLPSFLRVFNDEGVVLSVLFWLLFIIILLLFSIAMLKTIQLVGVCCSLTNKVIVLPVKGVYHLYQDYSKI -EPLPVFEV - ->YP_009199245.1 envelope protein [Swine enteric coronavirus] -MTFPRALTVIDDNGVVISIIFWFLLIIILILLSIALLNIIKLCMVCCNLGRTVIIVPVQHAYDAYKNFMR -IKAYKHDGALLV - ->YP_009019184.1 envelope protein [Mink coronavirus strain WD1127] -MRFPNVLTVIDDNGIVINSIFWLLLIIVIILFSIALLNVVKLCMVCCRLSNVVIIAPARQAYNAYKDFMN -IPKAPDSVCIVV - ->YP_004070197.1 E protein [Feline infectious peritonitis virus] -MTFPRAFTIIDDHGMVVSVFFWLLLIIILILFSIALLNVIKLCMVCCNLGKTIIVLPARHAYDAYKTFMQ -TKAYNPDEAFLV - ->sp|P36696.1|VEMP_CVCAI RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MTFPRALTVIDDNGMVISIIFWFLLIIILILFSIALLNIIKLCMVCCNLGRTVIIVPARHAYDAYKNFMQ -IRAYNPDEALLV - ->sp|Q7T6T0.1|VEMP_CVCBG RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MTFPRALTVIDDNGMVISIIFWLLLIIILILFSIALLNIIKLCMVCCNLGRTVVVIPVRHAYDAYKNFMR -INAYNHNEALLV - ->sp|P69611.1|VEMP_CVPR8 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MTFPRALTVIDDNGMVISIIFWFLLIIILILLSIALLNIIKLCMVCCNLGRTVIIVPVQHAYDAYKNFMR -IKAYNPDGALLV - ->sp|P22655.1|VEMP_CVPFS RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MTFPRALTVIDDNGLVISIIFWFLLIIILILFSIALLNIIKLCMVCCNLGRTVIVPVQHAYDAYKNFMRI -KAYNHDGALLV - diff --git a/seq/clusters_seq/cluster_423 b/seq/clusters_seq/cluster_423 deleted file mode 100644 index 478740d..0000000 --- a/seq/clusters_seq/cluster_423 +++ /dev/null @@ -1,167 +0,0 @@ ->YP_010088085.1 capsid protein [Chrysothrix chrysovirus 1] -MELKRLEYDQKLNAASLLRGKAMALNIAKVQRGKLRTWMPSSRIGTEIDIEKQAMLGRTVAETVDYFDNK -CSNALEVLAEDKMCIGYQMYYDIDRPAVLGLNTMTTNIPVTWSKLDVEIRHYTSDLKRVAASEKITSAAR -DGIPNRDDISKATGWSRDAMRQLGDDRMQTLRVLLESSVVGQEKLSRLVKGYLWLLECMERQTVNVTVDT -QNYVLYNPDRILDSYRHQDRAYVFNSKTESVGHTAVLFKMCQEYPPKEFNSHVHIPADGENIFMVAQGQL -PPNNVTVTLNPGIIHASILTYAMDTSITDQLQSALLIACSLHQNRYFSKMRLPRVVSTYDLMVPAYIAQS -SQLNEPIISINLAKSLGRLHQMLAFVTARDIMSAGEMTTKQGYDPSVSIINCFKSSNQLIARMAENIGPL -ALLETTKQLQIYQWITKDDIEDLATISIMEGLWLATTASKVVHNGVIAAIMDGSKDMTGDTSTYDLLKQE -VAMSGVNLENEKLPPPTGAFTVGWFGMTKSNNIRPPRSFKVKTVSLKLVQECDFKPNTKVQRRQKKRISP -SGEVIKANTVTSIKLPSPPKYRDVVSEESISSTKTEDQPIIDTISEESSDAETSERDISEPSSQDGKEEI -NMPEEKEKERDTIYRATTLAHEAKAKKNRVSFKSLENVLETNKKEVIDSAAKLRNQQLSEEENVATILKK -DGLSQKERGEWLALISMKSNMLQAVTLGNIEKITTMLEEKKLDGVQLLNGNNKYTKRVNINIERWNSDKI -VSEFGRARELKSAWYGDPNTLPRSAMPFIVNSTSWLRELEDRDVVLSEQLPMRKDGNFKEAAKVIAEVIR -YSETLTVKDVGSMYHWLSDVKQNLLPVDIPADSISGNADMLTWRREPSSISERPVNQEDSRWLVLAATSR -ICKFDVDALKVLCSHFTVPVSLRKELKDKYELFQQRK - ->YP_009667014.1 coat protein [Colletotrichum gloeosporioides chrysovirus 1] -MDKWNPKKYQKVEETFQLLRSRSRYVQQLQKDEKEKRVWNPSRYDNSKDYAGGRGSIGQVISHMQDYLSK -YSVGAMEVLSEENIDVWYMIMGDLERKANLGENTCGIRADFEWTQMACSVVTHAGQNTELAFSATTAEVI -NKNMNDRAKIQKATGFEMPDVHNMRSGDAEDLKRLILAAAGGQNKFTRLVKGMSLYIDMLIYGNQRFEGQ -IKNXAEYNLQNVLAAFRAKDRSHSYCSTEHSDPYFVLMYLIGLEYPFNGNNMKCRGATRIPADADDHWVM -VRGTPNKSELKTGLTANAVWAGMVSYASEMEVSDQLSSALIVAASLYQNRYLREVSLPKVGSTIDIIRPA -FHEVSTDDAXKPYLDASAVVALGRVHQLNCFLVAKDLIVSAQHSSENGLNYEEVVMQYLKQDETVMSRMA -EWSSGLSXXXXTKQMRWMGCLDREDIQDLSAISIFEALWLCDSTTKSVERGAIDCLVRGRKDMMPRNGYI -ELLDEEMRKFGVRLDRSRIPSGAFSVQRRSICSMNRWAPKKKKWVESDSSMVQPCDYGKSGKKEEVRRKR -FSVNPQSGDHVWEVHYKAYAERKAKERTPTPSSSDVDESPKRRSFDGKKIVGLELPRFPGQVERNVTMVE -VRSSKLGASEYGEISDAGSSEVERIEAEKQMFEEEEAQQEVELVQIDNDGAFGEVLRKKGSKQKKKVSYA -EFMKEENPINDEQQAIHEGKEYHGVIDWSWDPDTLWRIRNSKSVGEFLDDKGLSRGSTILGLSREEFFGE -AVRHSLIMKHLDVTIVTFKESMTRVPMYALEMAFVSEVVFGLNARERQNEDSFEKGKLGLGDAYVADLVH -KNDMVAESLSKVQRERINRQYKATKREIARLKEFSRRSVSGSDSSRGIVQYSIESDARSI - ->YP_009667010.1 capsid protein [Macrophomina phaseolina chrysovirus 1] -MSIELNRNKYTEKVKVFEDARHGATALGRVRKEHLGLSSWSPATLEGTQGEREKSVKLDRDILGVQSYFE -ASMIGALEHVIADDFTATYYIYGDLVREAVFGENTNSATITISWEQMEVDVELYPGVTDPVGMDEQLIGI -KRNGLKYRDALAKCTAWNRDEVKNLTDDLISGLQKMIDAASLGQNKYTRLVKGFLLYLECLEKGAIATHI -KIVNALLYGPNALLSRFRVEDTSYVFNSDPQSNVHSAVLQVMCEAYPPDFIQSHVRVPADGGEVYMVSQG -ALPNVQFRVSLQASQVLASIVKYSRDVGVMSYLQSALVTACSLQQNRYFSKVGLPKVVAYSDLLQPAMIH -QNVSAPRPIVSHEMGKGVGRIHQMLAFMTVRDVITAGQMTTKQGFDGAQSIRLYLSQQEDLIARMGSYFT -DIAILEANPQMKYISRLTERDFQDLANISALEALWLCDRTKKIVDNGVVMMLKSGVKDMSDDTSSQDVLR -NELRLAGVTVEDKKIPTGVFTVEAAAMAPLGQSLINRRNRRRIKEPVQYVQQCEFKPQPKIYEEKRRFRK -NGKKPVITKPVAKTVLFSPPPRRPSSSISPGMSAPASSPPSYEEEKSSTISSKRSDEMPKMLPHMLAQEA -TGRLSDVTAEDVAVVTKRDHVGDLIRKLDLEEDDRNILESLGAQDSWSIQHLGAAFHEKYAKDIGTWPKS -ENLQKIIVQLIGIGAGLTQSEGNLLVDVVLKTRSGKALWARKEVRSYIDWVVDANKLQNITLTIPKVSSP -MMKRLVEAAEIIQPVIPETVRTTLEGARVGESIRRSLGFEQKGSIEGGTELSNMMAKRSIWTGDVETAPR -AGLKFIAHSTAWLEFCSENGIVVGQKGGSRQRELSLTLANYYSRNPNITLDSMPRLARWYEGTSIDIMPM -DLDSVEWRRLGEPEEWEYLVRDTSMQKREFTREDANWLQMAATLENVRLDHAMLKRLKETFRVPYHVRQE -LKRKYGFFTTN - ->YP_009665201.1 coat protein, partial [Fusarium oxysporum chrysovirus 1] -GHAKFTRIVKGMLLYLTLSQTGSQRFRGNLNNIIPYEALAVLRSFRARDRSHAFCSKTSQQYVVLMSLLG -QQYPFNVGGLQCLGNCVIPADANEHYVVARTNATPGLYNCTLSAKVVWAGMVAYAQEMEVSDILEDALVT -AASLFENRYLRQVSLPKVESTVDLIRPIFTEFKQDDSQRPFVDTDMALVTGRVHQMGCLLAAKDIIMAAK -ASTXRG - ->YP_009507943.1 capsid protein [Cryphonectria nitschkei chrysovirus 1] -MSHYVSNSEQKYSEREATFVAIRGTANAVINARAEADSLKVWEPSKGELQDNQVRTTTARRIEDIVSCFS -TSQIGSLEFLSQPSFAVNYNVYGDLKREPVIGENTNSIGINVDWSVNDVNVRVIPGQLQKLVMHESLVAV -GRNGIRSREEISKATGWSRQALQNLSADEANNLQYAINVACGGASKLTRLVKGMMLYLEVLKAGELTVKM -RPLTHMNYTETDVAAAGRTSDRSYIYNSLPGAEMHELVLTCMASAYPRPGCVSNVSIPSDAPVNVMIARG -TQVTTPLNRLLNPVMVMSSVCRYATDTDCGSQLHAALLIASSLSQNRYFECATLPKVVSYADMLMPAVTK -TDSQSARPQVTQELVVSLGRLHQMVSFATVKDLATAAELSASKRVAADTHVLEYLSRHARVTRLMGMQLT -GVPILEGTDELDYISGLTKEHLSAILGTSILEALWLCGHSASVCENGVFSALKRGKHDLTVGGGGIAVLH -EEIREAGAVVTGFNLPQGQFFTEGVNLGRATPFKPPKRTRVKMPITHAHECEVSKGRTVVTRREGGITSK -RSSLLSPPRVTRSRSSNGSVGSIAGRIVSFNPKLSSPPSYRTDSPDDPSEQLASLDGLFDEPEADRQSWQ -SVVEEATGMEVKATRLVPRDASLMSDDPTEVAIYDRAGVLLTDNLTVMAEKIYQIRGRGPVPTERLMRKI -GAEMLEYDGYGRDSEEMVNILISRKMIGAWWERADMAKMLVERGVGTITYIPTESKMQKLLSGAGLKKES -QIATSMGRAWVGDVHDLKRSDWPKVVNSIPMMDYLARYGVGEDTKGFGGLLRMTLVRWLSIHPDFEESNM -LALVGWARGLSVSAITPVPGEVLPYFDDSFIEMEYANRSSSITVRAFDRADSKLLLLATMSGNRRW - ->YP_009508105.1 capsid protein [Aspergillus fumigatus chrysovirus] -MAMATYKGSGGGAYGPMDLRRQVTEQKRDVFRELRREAVALRKAVELRNSMDVWDPSTRYGAKTVREKSD -RFGRDIGSLLAYFENVHSPALDVIARDRFMLRYQIHGDIDRDAVIGANTMTVTVPVHWEKLDVTVELYPG -PLDKLMSQTKLEGFARSGIPDRDQVAKHTGWNKDDVRRFPDDRMYLLQLLLEQMQTGQSKLTRLVKGFLL -MLEVAERGPTDFDVGNHTHVQYAPAAVINSFEQPDRCYVYNSKPAQIAHTALLLAMCRAYPPPNFSSHIT -IPADAPQVCLVSQGQAPNPGGAVTLTAGLIYSSLVTYAMDTSCTGLLQQAQIIACSLQENRYFSKVGLPQ -VASLYDLMIPAFVAHSSSLQNAMLSKDLAKSVGRVHQMLGFVSAKDVIQASTMQATQGFDVSATVRQYLN -SNATLVHQMASKLSGIGIFDATPKLRVFDYMDTRDYSDLLNLSLFEGLWLVRNATSSVHNGPVGFLLNGE -RLRTADKTGYDVLVEELVLAGVTVEHHKMPDGAFTTSWVGVLRHDPLRQSRRPRRRIETTLVRECDYKPE -MNLKAGGRKVRKAVRRPTREVPSAVPLSQILKTPSPQRQRSVRRESPPQYQSDFSSSPVTEDQSGEALSQ -QASEEDLFDEEPPLHQTQVAREAQRKVGRSTLERILEAVEEVDKDKAEEQAKVNRRIESFILKTSPQFNP -REVTMRICREGGLSVREREEWLEAVERSIGTAKASRARDLRELADMLVAHGLRGATRRLSGNHKYTKLVN -SAIESNNPSLANDLLTSMRVQGSAWEGDVIAAPQKGYKFIANSPAWSEALQDQGINPDVLVANRPMRYVL -ADFLADSTTFTAQAIGRLGAWLDGCSVNLLPHRLKEDVIPAQIPPELDWYRNPTSMEARKFNKEDSRWLY -LAARLPGGVYTKEELVPLCAEFRVPVELRNQLRVKYGLFNREE - ->YP_009507946.1 capsid protein [Verticillium dahliae chrysovirus 1] -MANYVSLKDKKYAAKVEAFVGIRGNASAIIEARRTVSKLTVWEPWRREEQGDAKVLGITAKRVEDVVNCF -NTTQIGNLDYLARPKFCVNYNVYGDLDRTPVLGENTNSIDISVHWTTGPVKVQLIPGLQRKVLLHDDIEH -AAKVGHVAREALSKATGWSRQGLYNLTADEFNSLLYAIGTCVGGSSKLTRLVKGMLLYLDALDEGRLDLD -LHTLRGISFTEGDIAREARRDNQAYIYNSAPGFQPHELVLTAMASAYPPQGRASHVTIPADANEIVMVAR -GTLTAGRIQLRLTAANILTSVNRYANDTDCANDLHAALMIAASLRQNRYFTHAKLPKVTSFMDLLGPCVR -NTGKNQLRPQMSRELSISLGRLHQMISFAIAKDLATAAEMSASRRVPAEAHILEYMMRYAPTIRLMGAGL -TGIPVVEGTEELDYITCTSPDELEDILGTSILEVLWLASRETRVCENGVFYELKKGCHDLTRSGGSMEVL -REEMREAGTTATGFTLPQGRFFVEGVNLGNDGPYKRPARRRIKMPIDHAHECDTEMGRTVIRRRNGGVAR -RRPDSVTYQPPRQEPRTPEPFRPRRQPEPSPESSPGDSIYEEAAESKSESPPPSYRPPVDSRSSSLESKH -ESEAALFDIEEGSEDADQSGAAELDVTAKSQQPAPSVGEMVKTGLTRESSSVPASPQRITTSLSRRGTSL -THKRGQSWTEIITSATNQQVRETKYTRKDVSVLFDSFSAQQFAEAAGIRVGDSEARCVEAIMHLRGKEPM -PREIFARYGEKLLQHDGYEDDSSEMFVIIVQGELIGAWWEGDDVLRKRLVDRGGKGIIYIPKGRKMRDLT -ASMGVGDDSREAMALGRNWEGDVLKLKRYDWRYVIYSKSMIQFMESQGVTEDMQGMGGSLHARLVVWLAN -NQDFPLHQIRSLLDWVRDTSMAFVHYADAPVPRYDHDFVEFDYSGRPSSAVPREYLPGDSKYILLACQSV -GKKRWVSQEALTKLLKEFNVPKHIKGRLEDNKYRTK - ->YP_009337889.1 capsid protein [Isaria javanica chrysovirus 1] -MQALEYKQELNARKIAAFQALRSGAAALAAGREKNRTLKVWSPNTHRGAEDQFEKQSLYGRDIGGVAHYF -DNKVSSALDIICEDEFAIHYQIFGDIGREAMFGNNNVGLFVHVKWEHLGIDVGLYPGPLDRLLARDKLTS -AAREGIPDRDQVAKATGWNRNEVRGLQDADMSAFKGLLEQVRVGQSKLTRLVKGFLMLLECMERRHIDVV -LQVQQTIVYGPQNVIQSFLADGRAYVYNSKPSQSVYSAVLWRMCEAYPPPELAGSHITIPSDGAHVVMVT -EGQLTGNGGVVRLTPNLIYASMMTYAMDTGCTGHLQQALVIACSLQQNRYFSKVKLPKVVSVYDLMVPAF -SQPTSKLDKPILSLPMARSVGRLHQMLAFTAIRDNLTAAELSTSAGFDPEVSMRAYLKSQGLIVSRMSSF -ISELSLLEATSSMKIHDQLDVADFRDLLSISVLEGLWLCQEAKKTVANGVIESLVRGVSDMSQETTTYDV -LKRELRLANVAFRERDLPRGEFTVGWVSVTRLDSFKTIKPRKRTVKPIELVRECDFNPRLREIGRRRERF -SRGKAPVTPPRGTQIPIDRVKDRHIQSHRRRSSSLSYGYSDAERFAGPSSEPELPELPREGASSPRRSPS -PLIEDLPFVGDSMASRRSELTLPEYRPRNDSKSSVTSSGEAYARSQLAAEAAGKSAASKEEIDNVVSDKS -GQLTEDEVINGKLQEMTKGKFSDKERRAITRLVKDVGAVRILVASKDRHQFLKSIEQMQLLINYKGDALP -GNSTMAKEMNVLIEKGREKGEGSGLVDQARQKRRLWSGDVTALPTYAIKYIVNSESWETFLKGRGVDPNA -TTRLDPKTTPTTLRQSIADKISTMPHARPSDVRLMAMWLEGAYNDRFPSVLNRHDLDAIGEPMVLEYRKN -PSSVGPRDPDDSDSRWLVLASRVNRKVFDFDYLRMLCSNFIVPAGMRANLREVYGLFDKSLGAV - ->YP_001531162.1 putative coat protein [Amasya cherry disease associated chrysovirus] -MTTFSREQYSKRASLFSSLRARGNATRAQFAKIDNWKEWSVADSTAVVGQKKTGWTHTFGEAFSYVDRCS -LGGVDALSRSLLRASYSVFGDLRRSVALGEPTQGLEYTFKWTRADISAELHTGDVCVTTPAEGLMTVVST -NGRDRSSISRATGWDRNSCSNMTSSDVTTFINLARASCAGGNRFTRLVKGCLIYMDLMYGGDARVSTELK -NLIEYEPEPVLMSYRVSGRSYAYSSQNTSVEHILLLSLMGNRYPNKEVPTTCRATIPEDGDHYVVVRGAI -RHLSGSRTVTLTYRGVYSALVKYAAEVGSPNDLEPAMVVASSMYHNRYLQKVSLPSVSSVNELIMPAMVE -NDVAVVSRPHVNKETLATIGKLHQMSLLLMIKDVIIAAKVSTRSELDYRRVAMQWLNNYDMLMSRYNVNA -TALKLVEATPQMKWSYLINRDDLIDLDGISMFECLWLCEDGTLCMKNGGIIMMKNAKKDGRAQNPYLEIL -KDEIKKGRIIYDTRRIPDGDFTIAGRYIVSDEDAMPKTIQYSEVRIKRKKGDYAVVKPKARYDEDGCIEE -LYDGSMEKPMSGFTETERSEMSEEYMTEKEEYLTAPSNVTPYDEFKLDRPMPVMLSRPSIKPRFDARKTP -FKPLRSSWGKKSDDEPRYEGPSKSIDSSPSPKNKNRGLIVVSDTEGSGKESAAEKVSPVRPANRRSIVSV -AKMIEDKMAEVRAREKARTLSPPRQTGEVEADKPQQGEADTSEKVDVPETKEMDKPALPANVAKQVSVTM -PEKSTEKWRRLKEPTNTQIPDEFLVDNKNDLKWREINIDKENEEMITMESRVEQVNEADKRAKEERAERV -PADKKSDAYRMLVEKNVDPTKKLFGMSAAKWLEYMMTNKPKGYYEVMRSDINEFISKYTATTIAPKHILD -WVPFADSVQINGALRSARRSQTSGTNYLFSESDSTIMNVALNIIRKSGGEVWPVSMKYMGRMQREFYVNK -VDRTAYNLAAQKHM - ->YP_392483.1 major capsid protein [Penicillium chrysogenum virus] -MAAPVLYGGAGGTATGPGDMRRSLMHEKKQVFAELRREAQALRVAKEARGKMSVWDPSTREGARGYREKV -VRFGRQIASLLQYFENMHSPALDIIACDKFLLKYQIYGDIDRDPAFGENTMTAEVPVVWDKCEVEVKLYA -GPLQKLMSRAKLVGAAREGIPNRNDVAKSTGWNQDQVQKFPDNRMDSLISLLEQMQTGQSKLTRLVKGFL -ILLEMAERKEVDFHVGNHIHVTYAIAPVCDSYDLPGRCYVFNSKPTSEAHAAVLLAMCREYPPPQFASHV -SVPADAEDVCIVSQGRQIQPGSAVTLNPGLVYSSILTYAMDTSCTDLLQEAQIIACSLQENRYFSRIGLP -TVVSLYDLMVPAFIAQNSALEGARLSGDLSKAVGRVHQMLGMVAAKDIISATHMQSRTGFDPSHGIRQYL -NSNSRLVTQMASKLTGIGLFDATPQMRIFSEMDTADYADMLHLTIFEGLWLVQDASVCTDNGPISFLVNG -EKLLSADRAGYDVLVEELTLANIRIEHHKMPTGAFTTRWVAAKRDSALRLTPRSRTAHRVDMVRECDFNP -TMNLKAAGPKARLRGSGVKSRRRVSEVPLAHVFRSPPRRESTTTTDDSPRWLTREGPQLTRRVPIIDEPP -AYESGRSSSPVTSSISEGTSQHEEEMGLFDAEELPMQQTVIATEARRRLGRGTLERIQEAALEGQVAQGE -VTAEKNRRIEAMLSARDPQFTGREQITKMLSDGGLGVREREEWLELVDKTVGVKGLKEVRSIDGIRRHLE -EYGEREGFAVVRTLLSGNSKHVRRINQLIRESNPSAFETEASRMRRLRADWDGDAGSAPVNALHFVGNSP -GWKRWLENNNIPSDIQVAGKKRMCSYLAEVLSHGNLKLSDATKLGRLVEGTSLDLFPPQLSSEEFSTCSE -ATLAWRNAPSSLGVRPFAQEDSRWLVMAATCGGGSFGIGKLKSLCKEFSVPKELRDALRVKYGLFGGKDS -LE - ->YP_052859.1 putative capsid protein [Helminthosporium victoriae 145S virus] -MADMFNAGKYDRRQAIYMAVRAGAPAFKKAVETVQKLEHWDPTKLPNKMADGKPMLFKGLQAVESYMERY -SASSLDALSEEKNSFGYGIFGDIRRPAMLGANTVGIDVTVEWGSTEVDAEMHNGENRKMIVSTGTTTVRN -EHGKDRAGISKATGWDRTECYSMSPSDVQTLCTLIDTGRAGFNKYTRLVKGMLVYLDLLNNGKQAIKKRI -PNMISYDLKSVLGSYQHRDRSYIYCSNPDSPEYRVVLSLMSEAYPNDDMTCYGVANIPADGETNVIVVNG -SAGSSVNYHVELTPQMVMASITQYATESGIADELESALVCASSLYHNRYLARVGLPRVVSSIDLIMPMFR -PANEVRCARPSVAKELAISIGKLHQMCMFLTIKDILVAARGSTKAGFNYSSVVESYLTTQEEVVSVMNAS -VTPLRLLEMTPQMKWMYKIDAEAMQDLDSLSIFEVFWLCDGGVASVRNGGVMAFKKGVSDMMTDNPYHDI -LRKELAKSNVVFDFSKLPKGNFTIASRYIRDANEVVLPKLEYVTERVLIARECDYNPHDRVEHIINNRTR -VTLSARKEAMESGRTKVAWGDIEVERPTGKGSDESESVLRFGSSDGRQSPGIEMFGLKSEARERLEKRRS -LVSPPPFRQLSESASTRRAERLSVSSHGSRRSISVDLESVRSHSVDGDDDKTPTQSQNLRKRFDFSVLQK -AVDEKKMPGSYESTPEKTEPTVTVEKIPGVKSSMGVSEEVENDKRAIYKADIIGSDRINGISAVNFHKLF -RERFDEKQVSPSVMARLMAVLKNVGVRVNIAAMTADEINALMKMRDGYDRSYRSSESGHNREFREPDGRV -LTMEINLRRMRCDRDKKPLREADETGLIPDHMARKLGREFFMAHHERDMILARVV - diff --git a/seq/clusters_seq/cluster_424 b/seq/clusters_seq/cluster_424 deleted file mode 100644 index fe04c18..0000000 --- a/seq/clusters_seq/cluster_424 +++ /dev/null @@ -1,140 +0,0 @@ ->YP_010086053.1 RNA-dependent RNA polymerase [Penicillium brevicompactum tetramycovirus 1] -MSTQCFTDEFVHADELVLPIGEGEALSACQIKAEACDIVQWVTDRYARGGRSRNDRQFTYVGMGDVQDGE -FTVVGVPSEDGVLPSVPITRAPFIPVRGNNQEQLLKGLESLDTGKQEKSGKRGVFDRRAERLRGAVAKAA -ALKSPSFHIMAHQLPFTWGYRSRAVTEERGLTGQLLHTVRVMTAMPGYPETAKASVREAIDLGDFTEHNP -DTLPERFLEYVHERTPEFDEELSPVFVTAAQVMHAVWDQAGIKAKARHFADINPATLDSLFTSGNAGEYR -LSGVESRRDPRMLEMLSGHLQRFQSAGRSVFNGRAVPSWVDTIDHVVTSFGKTEAKAAKMVDGVRVPPVP -RFIFNPSPCQYSAGAFLHSDMSKLLQKHDPTHGPGFGPGRGHARKFLKIAERACPQGTTLRDGCQAIMSD -IQKWDANMSEALLVAAFWCLESFVDVTDLSGPDRAARQMMCRYVERTLREKVLEHPAGYLVKMFGCMPSG -SFYTSLLNTIANDMLAIAVLVRALSRAGHAIDVDAIARSASLNLISYGDNQLIIDELFTEFGLSYDANDH -ADLLARVGMKLKIDETEVTNKLSRVRFCSRAVIRTPLGLVVTRQHSDVVLKIAGRPTANAMDDKFYVRAL -MADYLGVDPIVHDMLMKIDRTIAVQPDAVQGSKRHRSTLESAAMQIYNRKDDAAVMATAYLMSSSDIDRR -MLLELTSPKSAEEPEAIVARGDRQRRNSTRLRSSLTVGYRHREPLLSGPAVWLAEIGPQEYLNYLVETDQ -VGVIA - ->YP_010086046.1 ORF1a [Magnaporthe oryzae polymycovirus 1] -MSSSLYDSASEPLTAVVVERPSPGVAQVIADVPSLLQTAIDVCLRSGLHRGSCDVVVTVGPQCVRQVFSA -QRVPGRTPPHIATPHARAAAFRLDGNTEAEKLASLRAYDEPRPKPRGYKTPFYRSSARLNELAEAIGRMR -NPSVRISQYQLPSAMSFGGGPTTPERPLRAPLLAAIDATQRRHPNIGYAQRVGGAAELGDYTTHDPDSLH -PRFLNYVKERITSVDERTTRAMDTAVDLQTTVWRDQGISVDARPLSDAEPAVLSTMVNRGSPGEYRMFGV -TDRRDPRLVKLMSDSILRYGAAGRRVAAGKRPPPWVATTIQPTLTFGKEEPKAAKLENGVRVPPVPRFIF -NLSPANYGPAAFLHSDLSHELQEKDFTHGPGFGPGRGRSGKFLDIVNRSFGAGVSVPGDERLVMSDIDKW -DGNVREALGYPTYDALESAVNKSHLPADEAAARSLLSGVARRQLMEKLVEHPSGYLLDMYGSMPSGSYYT -SLVNTNANNLLILGHIVDRAANETEYTCAGAAEVLRHNLKGRLASYGDNQLFSEYLFKILGMRYDPAKHA -EYLARYGMKLKIDETEVTDSIARVRFCSRAVVMTPHGPLITRTHSSIAAKLAARPEHDPVVDKLYVRALM -ADTMGTDPLLFEIMSRVDRSIDVPISLETITPRVKPVLASAAKTLFGSDADEYMLSVLHDLSTTIIDRRA -LLSLHTPAGAATKREMRTGTSLGVGVSLFGGPLTPAATWALSLTPDAWVAYLTETGQLEVMYDKSD - ->YP_010086037.1 RNA-dependent RNA-polymerase [Fusarium redolens polymycovirus 1] -MTSLATERRPAPPAFSPGVVKERSNRPVPVEFAERQVNRMLRRFARNTAETRDVKFSLPGAGGPGCGVIT -VRHVADQGVPVVSVRTVRADLTRVSGSEREKLEQLRVMDTPDDVEVKAKQMRRDSHRLDKAVELASALKN -PSFRIYTLQRNRPLGFGASGPQLSRPLKPSGLVMANQLASLGTDPSTGQPVVDQVYKRHVQEALELGSYT -VHDPDTLHPRFLEYVHERTINVPERDEKAARWAARVMESIWRSKGISVKARTYIDTEPDNLAELMNPGTA -SEYAEHGVHSRKDPRLIETLSQDLKRMYKAGYSHAHGRPMPSWVYFSQQPVLSFGKTELKQAKVVNGKRE -EPVPRFIFSPSPNNYKTGAYLHSDASHKLQELDPTHGPGFGPSRGRAHKLLDKVMKHLIPGTTKLSHRAI -MSDIAKWDANMPEFLLGLAFDSLESIVDKSDLDSHARAARKIMCRIARRQLMSKIVEHPSGYFVELFGCM -PSGSFYTSLLNTIGNDILALSLLAKMWMDAGNDLDDLDVDEVAAVAADSLISYGDNQLIFESLFATFGLS -YDVSKHAEHLAVYGMRLKIDETDVSDKLGRVRFCSRSVISTPRGLAITRSHTSIFSKIGGRPHDEPVYNK -LYLRALMVDLLGTDAAMYDALARLDESIEFPLDFVVSDAKVEAIVKPFARRFFGSEDPLAISNFVEVIRG -ARPPSRRTILSLRLPRKDSEGRVYRYGTDLVLDSRCDAPLDEVGEWLIRKTPNEYMRFLAETDQREVLIG -N - ->YP_009352879.1 RNA dependent RNA polymerase [Beauveria bassiana polymycovirus 1] -MSVSTVTSEIAHVQVACRPVSEMAPHLQRASQDTVQGALKWVADKYSRGGVRRRNLTVHLSGVRGRADDV -VLIPSVIEANSVLPEAPVHRAPYVDIGGDSADSRYEGLKGLDKVQNKGAKGGAEFERKSSRMEGAIRKRG -MLRNPSFQVCRYQLPFSYGYKARRVTTERHLLGPTLDALHRAKRRPGYPQVVKDSLDEAVDLGDYTTHDP -DTLHKRFLEYVHERVEGVSADTKTDFLVAVQVMWRVWSDAGVAAEARPFSDATPEGLDAMFTTGNAGEYR -KHGVESRRDPRALDMLSKHVQGFTVAGRNMPTGMSPPAFVDRLDHVTTSFGKREPKKAKIVNGQRVAPVP -RFIFNPSPCSYAMGAFLHSDISHALQDRDPLHGPGFGPGRGRAVKFTRIVEAACPDGSRLRGGCKAVMSD -IEKWDANMSEFLIGTSFDALEAFVDKSKLAPLDRASREASCRYMRRTLMEKLVEHPSGYLVHLYGCMPSG -SFYTSLLNTVANTLLALALLARRMRLAEKPIDIAAMARSADGALLSYGDNQLIITSLFEAHGVEYDMDDH -AELLSEVGMRLKKDETEVSDRLDRIRFCSRAVVRTPAGMAITRPHGDVVAKLVARPTENSVDDKLYVRAL -MADYMGVDPIVHRILADVDATIRVTIDAVRASERHRDTLRSAASQVFGRKDDDAIAATAQLMAKAVIDRR -VLLELTQPRSVNDVDSVLERTGKVTGVRFRQRFAASVGIQTDVPSLVGPAAWLASQDEQQYLDYLVRTDQ -VGVMH - ->YP_009052470.1 putative RNA-dependent RNA polymerase [Cladosporium cladosporioides virus 1] -MASEASFVTQPDVHGPRTSIAKGPVTIREAEAMANYILRRTAKGTVDTRDVVMTMPGAGGLPDGKITLHH -VSAPSPVPQSFRTTRAALTRIAGTEKEKLESLRRFDTPSDEKTSRRSFTRDSHRLDDAVLKAASLKDPSF -RIYPLQRETPLSFGGGPPGEERPLKPTGLVTAGRMAKAAGTDELYRREMATALKMGDSTTHDPDSLHPRF -LEYVHERTRSVPEKENKALAWAVTVMKELWRNQGIRAEARGIDSAEPDVLSSLMNPGSAGEYAEMGATNR -KDPEVLELLSKSIKRYYKAGHAMATRGRRAPWADFTQQPVMSFGKKEAKAAKLVNGVRTPPVPRFIFNPS -PVNYALAAFLHGDLSHQLQTRDPTHGPGFGPGRGKAWKFLDKVAAHLLPGKAELSCKAIMSDIAKWDANM -SEALLSATFDLMESVVDKSSLDATGRATRRIMADVAKRQLMVKLIEHPSGYLLELFGCMPSGSFYTSCVN -TIGNDLLALSLLGVTLMEQGVELSDVSPISVAQQASSDLVSYGDNQLIFDSLFSRFGVSYSLERHEAHLA -AFGMKLKVDETGVSSQLGDVRFCSRGALLTPHGLAIVRSHTSIFHKIGGRAEVDPVINKLYVRALMVDLL -GTDPILYHGLQHLEASIDVPGDARLSEHRLHKMVEPFAKKLYGNSSPESVASFVSLLKSPSAPDRGVLLS -LRLPADAPGALKRFGTGLTLGSGKATTGLDDVGRWLLDLSPADYWKYVNDTGQTSIIYNN - ->YP_009551548.1 RNA-dependent RNA polymerase [Penicillium digitatum polymycoviruses 1] -MSSLGTSYRTSIPALATVVTSDALGAVGAAHPSKVSEMLIGYATRNLRSGLTVRRHIIDVVGLGGAPDAR -VELTRVADNAPPTVTSSHQRARVFFAKGTCDGDVLNSLRNSDGPVRGSPGYVRDSHRLDAVVERTAKLRR -PNVELSNYQLTVPLGFGPENVGSERPYRPVAQSQMRRVYDLVGDAGYRQRCEDAIDLGDYTHHDPDLLHP -RFLEYVHERSTDVDQDTHVAVSEAMAVMAKHWVSKGLAVKARPLSDAVPEVFGTEITAGSQGEYRALGAT -SRNDERLVLTLCDSLLRYGEAARQVVRAGRVPDFVNTTQQPSGMFGKNERRAAKYKDGRRVEPVPRCIFN -VSPVDWALAKFLHGHISEFLSEHDTLHGPGYGPNRGRSWKLLRVLDRAFGASTTAEHNVIMSDIEKWDAN -MSEYLLGRAFDLLESAVDCSGLNEYNKAARASMVSVARRHLMEKLVEHPSGYFVHMYGCMPSGSYYTSLL -NTVGNNLLVISLVIRRLMTEKGLTPRVARARVQAHVDGNLLSYGDNQIVGEELFIAVGLEYDANLHAEHL -KRFGMKLKVDETEVTRKAGRARFCSRGCVTTPAGKLLMRTHTSMLTKVAGTPELEPMTYKLWLRATAIDH -MGADPIVYNFLKHLDSMVQAPQGTVSIKSRQVRDVLDNLCQKFVGDTSEESMAIALGVLERGFPTRAALL -SLHTRLEKGAKDKRLGMALFFDDGKTGFDLTPAAQWALDQTEESWLEYLRKTDQMGLLVD - ->YP_009551547.1 RNA-dependent RNA polymerase [Aspergillus fumigatus polymycovirus 1] -MSSNPDIGVPETLKLVVSGVPGNAPVPTASYVSDIVSWYARRSLKGGLLQVNHTMSFVVGPTSAPISVPV -HGVATGSDPVVAAPQARARAFRLKSYADGDLLRELRQFDGAKNASELGPRAFVRDSHRLDAAVEKASKLK -DPTFHISQYQLPHPYSFGGGPPNPERPLTAPLISAVNKVSQRTRDPVGYRARAKESIDLGDFTTHDPDTL -HPRFLEYVHERTRSVDGPTDDAMRAAQTVFARLWQRKGCKVKARNLSDAQPDNLLAIIKKGSPGEYRSLG -AEDRRDPRLIATMSSSLLRYASAGVQVARGRPPPGWVDTTTQVTLTFGKREPKAAKIVDGVRQAPVPRFI -FNLSPVNYALASFLHYDISHFLMDNDPTHGPGFGPGRGRARKFMDLVERAFDGRFSTPDGARLIMSDITK -WDANMCEALIKYSIDLLEDAVDKSALSPEGLATRGLMYRVARRQLLEKLVEHPAGYFVKLYGCMPSGSFY -TSLVNTTGNNLLVIGHAIARAVEETSLTHHGAAELLADAVDGTLISYGDNQLFSEHLFSVLGLAYDPEKH -AEFLARFGMKLKVEETEVTAKLGRVRFCSRSLVRTPHGLLVTRSHNSLFAKLAGRPRHDPVVDKLYVRAM -MVDHMGTDPIVYAILNEIDRSLNVSLEAAGLTDAAKKVLEDTAQSMFGNREQEALLAVYRALSETVIDRR -ALLSLHTPRDGDHDPGRLHTSVSTGMHLFTGELTPAAQWAYECTVEKWCQYLHDTDQEGIMFD - ->YP_009342446.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea virus 1] -MTDFDPLQVNFAPRTSATPQAVTDTIGEMVSWSLGRGLRGGTRLSDRVIAFQLDDDRVAEVPVAGNCTGT -EPTISAAHARARAFRVKPLADGGLIAALREYDGTRNESDMAKRAFVRDSSRLAEAVKKAAMLKNPTISIS -HYQLPDPFSFGGGPPEQERPLRSLAAAAAHKAARYVPPHRRYLQHANEAINLGDFTTHDPDTLHPRFLEY -VHERVTAVDDRTDRAMRVATTALSRLWADKFSPPAARGLSDIDPANLRPLLNNGSPGEYRLLGAADRLDD -RLVDTMAASLARYSSAANATLKTGRVPTWIETTTQPVLTFGKKEPKAXKMRGGERVAPVPRLIFNVSPIN -YALAVFLHGDLSKKLQEFDPTHGPGFGPGRGRATVFLDLLERAAGGGVFNRAGAFSMSDIEKWDANMCEA -LMGYAFDLLESAVDTSQLTDHARATRALMVRVARRQLMEKLVEHPSGYLVWLSGCMPSGSYYTSLINTIG -NDLLVLGHIADRAMTTLAMDEEAVAQQLARCAGGTLVSYGDNQLISEELFLSLGLTYDADAHAEFLSRFG -MKLKIEETKVSPWVGDARFCSRAAVQTPYGLIVTRPHTSIYQKLAGRPRHDPAIDKLYVRALMMDHMGTD -PIAFEIMDSVDSMVDVDLSTVGLTPAVRSVLTDAAKSFYGDASDDSLSRVLEALSTSRVDRRALLSLHTP -RTGAEGSVAKLGHAPQLGGPLLTNVLTPAAEWVAELGPKQWIEYLERTGQMGVLTDE - ->ALZ41794.1 RNA-dependent RNA polymerase [Botryosphaeria dothidea virus 1] -MTDFDPLQVNFAPRTSATPQAVTDTIGEMVSWSLGRGLRGGTRLSDRVIAFQLDDDRVAEVPVAGNCTGT -EPTISAAHARARAFRVKPLADGGLIAALREYDGTRNESDMAKRAFVRDSSRLAEAVKKAAMLKNPTISIS -HYQLPDPFSFGGGPPEQERPLRSLAAAAAHKAARYVPPHRRYLQHANEAINLGDFTTHDPDTLHPRFLEY -VHERVTAVDDRTDRAMRVATTALSRLWADKFSPPAARGLSDIDPANLRPLLNNGSPGEYRLLGAADRLDD -RLVDTMAASLARYSSAANATLKTGRVPTWIETTTQPVLTFGKKEPKAAKMRGGERVAPVPRLIFNVSPIN -YALAVFLHGDLSKKLQEFDPTHGPGFGPGRGRATVFLDLLERAAGGGVFNRAGAFSMSDIEKWDANMCEA -LMGYAFDLLESAVDTSQLTDHARATRALMVRVARRQLMEKLVEHPSGYLVWLSGCMPSGSYYTSLINTIG -NDLLVLGPHCRPGHDHTGNGRGGCCAAVGALRGGGTLVSYGDNQLISEELFLSLGLTYDADAHAEFLSRF -GMKLKIEETKVSPWVGDARFCSRAAVQTPYGLIVTRPHTSIYQKLAGRPRHDPAIDKLYVRALMMDHMGT -DPIAFEIMDSVDSMVDVDLSTVGLTPAVRSVLTDAAKSFYGDASDDSLSRVLEALSTSRVDRRALLSLHT -PRTGAEGSVAKLGHAPQLGGPLLTNVLTPAAEWVAELGPKQWIEYLERTNQMGVLTDE ->AJP08049.1 RNA-dependent RNA-polymerase, partial [Alternaria tenuissima virus] -RLARGTVDTRDVNVVVPGAGGLLKGEVTLHHVSAPGPVPPTFRTTRAALTRIEGTIEEKLEALRGFDGVR -DENTKPKEFIRDSHRLDEAVAKAVTLKDPSFTIYPLQRSTPMSFGGGPPGDERPLKPFGLVMCDRAKPGR -GDDVLYQREMKQALQMGDFTTHDPDTLPPRFLEYVHERVRELPSRESHAIHWAQRVMRKIWARRGVYAEA -RGIDSAEAGPLSLMMNPGAPGEFSEAGMTNRKDPEVVEVLSQGVKRFFKAGHAMATRGRRPRWVDFTQQP -TVCFGKKERKAAKMNGTTREAPIPRFIFCPSPVNYAQGAFLHSDISHQLQQKDPTHGPGFGPGRGRAWKL -LDKVSKHLSSDGTATLDCDAIMSDIAKWDANMSEALISASFDLLESAVDKSKLDATGRATRTLMLDATKR -QLMVKVLEHPSGYFLEMYGCMPSGSFYTSLLNTVGNDLLALSLLAMLIMESGQDLLDIDIDLVAESAEPD -LASYGDNQLVFSSLFSRFSTTYSVERHAAHLAVFGMKLKVDETDVTP ->CDP74618.1 RNA dependent RNA polymerase [Aspergillus fumigatus tetramycovirus 1] -MSANPDIGVPETLKLVVSGAPSNAPAPTASYVSDIVSWYARRNLKGGLLQVDHTMSFVVWPTSAPISVPV -HGVTTGSDPVVTAPQARARAFRLKSYADGDLLRELRQFDGAKNASELGPRAFVRDSHRLDAAVEKASKLT -DPTFHISQYQLPHPYSFGGGPPNPERPLTAPLISAINKVSQRTRDPVGYRKRAKESIDLGDFTTHDPDTL -HPRFLEYVHERTRSVDGPTDDAMRAAQTVFARLWRRKGCKVKARSLSDAQPDNLLAIIKKGSPGEYRSLG -AEDRRDPRLIATMSSSLLRYASAGVQVARGRPPPGWVDTTTQVTLTFGKREPKAAKIVDGVRQAPVPRFI -FNLSPVNYALASFLHYDISHFLMDNDPTHGPGFGPGRGRARKFMDLVERAFDGRFSTPDGARLIMSDITK -WDANMCEALIKYSIDLLEDAVDKSALSPEGLATRGLMYRVARRQLLEKLVEHPAGYFVKLYGCMPSGSFY -TSLVNTTGNNLLVIGHAIARAVEETSLTHHGAAELLADAVDGTLISYGDNQLFSEHLFSVLGLAYDPEKH -AEFLARFGMKLKVDETEVTVKLGRVRFCSRSLVRTPHGLLITRSHNSLFAKLAGRPRHDPVVDKLYVRAM -MVDHMGTDPIVYAILNEIDRSLNVSLEAAGLTDAAKKVLEDTAQSMFGNREQDALLAVYRALSETVIDRR -ALLSLHTPRDGDHDPGRLHTSVSTGMHLFTGELTPAAQWAYECTVEKWCQYLHDTDQEGVMFD diff --git a/seq/clusters_seq/cluster_425 b/seq/clusters_seq/cluster_425 deleted file mode 100644 index 9ab0d95..0000000 --- a/seq/clusters_seq/cluster_425 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_010087747.1 triple gene block protein 3 [Euonymus yellow mottle associated virus] -MLAPHFQVFIAAAITLLILIISSRDPCVITITGESVRIVNCPLSEGLISQLSSLKPITHGLSFHKSENVD -FGGFTSETCCSNQ - ->YP_009553179.1 triple gene block protein 3 [Chrysanthemum virus R] -MYVYILLSVLVCLFSLYFLRNYSHPSECVVVVTGESFRVINCAINRDLIELSKAIKPRVWGCL - ->YP_009275353.1 triple gene block protein III [Jasmine virus C] -MRGIDLFVISLVCFVITLYILSVLNSSACVVVITGESIRVHNCPVNPELFHSIAATKPFRD - ->YP_009224955.1 triple gene block protein 3 [Elderberry carlavirus E] -MPALPSQWILLIVAALVGFTLTLCLLEARSNSACTLVVTGESIRTVGCELSPDLVRAIGTLKPLRHDLPL -GFQA - ->YP_006589922.1 TGB3 [Sweet potato C6 virus] -MQELQRLLLIVVISSLLGLLALNLLSQSENCLILITGESVRVVNCGITKDLLELVRDLKPFAFDRTPLGC -LGCRIDKQ - ->YP_006297589.1 unnamed protein product [American hop latent virus] -MSFLQSQSLSVCICFIIGFLLVYLFIGHQSVEQCQLIVNGESVKLLSCALSPELVEAVGKLKPLRF - ->YP_003324584.1 triple gene block protein 3 [Butterbur mosaic virus] -MQSHLLICLATCALAFLLLCVLDNLNPSHKVCVLHITGESVRLHNCDLTPELVESVSKLQPLRLDTLSLQ - ->YP_002308450.1 triple gene block 3 protein [Hippeastrum latent virus] -MREYFFIGITAFIITIVLLLLCRGTPCVVVITGESVRVHNCVVSPGLFDSIAKLRPFRE - ->YP_002302560.1 triple gene block protein 3 [Potato latent virus] -MSLTAIYLAIGLLVFSVSVYLIQPNLQDSCSIVITGESVRILNCRVTEALLRFALEAEPKSVKIP - ->YP_277432.1 7K protein [Potato virus S] -MLSKVQPSAQCLMVFVLAFALSWYVLRPGNTSCVLLITGESVRLVNCELTRDLVEAVATLGPLKHL - ->sp|P16652.1|TGB3_PVSP RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MLPKMQPSAQCLIVFSLAFVLGWYVLRPGNTSCVLLITGESVRLVNCELTKDLVEAVLLRPLKHL - diff --git a/seq/clusters_seq/cluster_426 b/seq/clusters_seq/cluster_426 deleted file mode 100644 index 01f5321..0000000 --- a/seq/clusters_seq/cluster_426 +++ /dev/null @@ -1,115 +0,0 @@ ->YP_010087628.1 putative G protein [Strawberry cytorhabdovirus 1] -MAFFQLFFLLSFLIILVSLGNLSATELEKSVGPVAICGGELRPASDIIKSCHQRCVMDPEPGDRATLKLY -KVSDNLVGPSVIECAKVKQTQVFTLTWSWSYIKSPIVHEMLPVTKSECEDAIKVNCPDKHCNHREPDVLE -EEYHYASDTIKTKTTVALLTTPSSLMLDADQTKISPLSSKRFVLAADGAMKEDGKIYLWDSDFKLTSCPY -KPVNTYGCDTYQDPDKRNYYICSGGRFIITAPDTAETAFSGWCGGLRRSKEGFLYEKTRPSEDSHEFARL -SISQQAGAAAGVDYLRHKVQQVATHLDAEICQNQCELFALEARVSGKTSTIARIGLNYYKLFQNNTVAEC -KTLHGCKMTSPRVYCGNPPRIGVTCTEANGLWDPTSVELGTGGVCLKPDEGEQLIVSLGSDHYVVDESLK -IRINSTNHHGIYMTSFSDFHQSDMQWRVVDLDTLKPEWDRQKAAPSGLSRSTETNTTLNTPSFALGKSVL -GIWNSITEEVSSVEHILGTVIISALCLCIALVFYRVFLRKPVPNYKAVAQRQQDNAGISWI - ->YP_010087293.1 glycoprotein [Raspberry vein chlorosis virus] -MAWYRYLLLSVCIMTLSATTTGRRGATESSIQTKKRSVGPVADCTGEIQDIGIALKNCYALCEGYEEPKQ -GVSVDIYTSDAKGPGVTSCSKIRLSQTYTKTWTFSTIDGEIRREVLPVTIDECKKEKETKCPLGNCDTRG -PNRLEPEYHYASDTIVSATILELFTAESVIFFDNGKEMITPMGTTIKVESQAGVAQYDNKVFLWDKIETM -TSCPYKSVGIYGCDQFDEGDEMFYACAGGGITVTPLKKREPIHKKLCPNTFVSEEGFLYGLNTDNPKGSK -TGRLAINVVSDTAETADAAYLRHKIQLIATKLDSDLCYTQCEVMSLESRASNKTSHLMRIGHKNYLAYSN -GSASECYPLHGCRLAQPSVFCGSPTRVGLVCNGISRLWNPLHPYLISGRVCPRPGEVENLTFSLGSTMYN -VDNKLEIEVPKSELHGVYQSEFLRYHNSRSTLNVVELDTMGNEWRGSKEFRHEIKQATNRVINAPHVSVG -SWVMSSFSSVGAVIHSIEAILGLGLVVIVLIATISMMMKIYNVMGGPKRAERRLRTLNAMEAPEYQLVEQ -PRMSWME - ->YP_010086793.1 glycoprotein [Cabbage cytorhabdovirus 1] -MIHSLEETPFKRWVPDLRSDLRKLSRPTINMGYFAIFALCFASLVSSGVHAGFNHSVGPIAVCKKDMLDS -RAYVESCFQRCQRPERPSGHGILEIFSAPQNKKGPSVIHCTKVRLSQTFTETWSFSQFSGPLEKALLPLS -VKECQDAIREKCPNWNCNVRSPGQLEEEYHYASETTVTKDYLNLVSVPSGLTFYDTEIKVIPMESSASFN -LSAEVGTEKDSVYLWKSSEVTSCPYESAVSVGCDVYNGTVDSYVCRGSRISVEGISRVKQLKGLCSDVSR -APSGLLIKFTAKELTSDRADRKVFLTQSASETTIETSLRTQTGDALSVIDEDLCQLQCEILEMNSRLNLG -RESLMRLGNRYVLQSKTGFIRECDPLVSCAVTTPHLYCGSPVRVSVSCDERHWMWNPMKSYVEESGVCHA -VERHEKLHMLLGSHIYDVGDDLSIQINQSDNVGLPHDLLNIRASTVKMETFDPEALKRSWAYELNNPRVT -SQYGNISRNINHWDVMNEMTTGFGRVMRTIGDVFHKTYLFAGIIITLVLVFWGYDTIVKRGWVRNYRRVA -RSPTRQEPTGEAIWM - ->YP_009177019.1 glycoprotein [Alfalfa dwarf virus] -MKKPATLMYLIVICLSSYLIDGRRGATVKSINKNRLSIGPVAHCRGELLDLGVQQRNCYMKCEGYAEPKK -GITIGIANARTKGPPVIKCSKVRLSQTFTQTWTWSTVTGDLLSEVVPTTTEECRESINTNCPTGNCDLRA -PNKLEEEFHYASTTRVESLFIVLQSVPSILYIDDSKEWISPMGTTGRFAAGDGIAQEEHNYYIWNKVSSL -TTCPYQVEGTYGCDEFDEGDELFYACAAGGLTITPRKSSPLIHPTLCPGLKWSQEGLLYEISKGDPNSDM -VGRLGIEAKPDTVESADNTSLRHKIPDGARKLDSDLCYTQCEVMALESRSANKSAHLVRAGHEHYLAYSN -GTAQYCGPITGCRLSEPPLLCGNPPRVGIVCVGTSRLWDPLLPYITDDHKCEKPREIEEMHFNLGSSKYI -VDRQLTIPVNKTELHGVYHSEFFRYHNSRMMMAIDDLSRLKPEWDKAKQGKITDSVKTESSRSVDAPHIM -LGGWFMRAWGSVRDMFHSAEAVIGCIVIGLAIYMTVVLILKIKNASTANLYKPVRMRMVNRSATQEEPER -MVWT - ->YP_009352238.1 G protein [Tomato yellow mottle-associated virus] -MSDMSKANNRSYFPIIMFLLCLMSCCSSTMVEKSIGPVASCHGDLVSTGSVIKSCYHRCMMDPEPVDRAN -LELYKVKTNLDGPEVIECAKIKQRQTFTQTWSFSTIKSPVSHEFLRVTKEECDKAIADNCPDKHCNHRER -DELEEEYHYASDTVKEATTISLITMPSSIQVSGSTINISPMSAKNYYSSQDGMAKEDGKMYIWDSGFVVS -GCPYEPVTTYGCDMYQDSDNRRYYMCSGGRFSITAPDLDESDMSNKCPGMKRAIEGFIYKKVEKTAASHD -HSRLAITQTADMKADVDYLRHKVQQIATHLDSEICQLQCEVLSVESRLTRKDSAIVRIGMNYYKMYDNGT -MAGCLTLHGCRMTKPSIYCGNPPRIGVTCTENNGLWDPTTIELKRGGVCMKPDQNEKLSISLGSEHYVVD -DSLKVHMNSSSMHGVYMTSFSDLHQSSVQWTISDLDTLKPEWDGQKSGKGGLSRSVEKHTALLTPAISIG -SSLVSACRSLSDGFVSVEHIVGSISIALASLVFLWFVLRVLNTFKRAKKSVRTERYDPVNVAPSDRGTWA - ->YP_009301360.1 glycoprotein [Wuhan Insect virus 6] -MAASLILLLLFMISLLSLQEHSLVSGSDYIKKSIGPLADCTGSAEPLAKFVKTCHNKCMRDSEPKRSVKA -KLHQSNAVGPNMIECIKIRRQQTFTETWTFSTIRGPVKSKLLPITREECHAAIADNCPDFDCNHRETDEL -KPEYHYGSDTTVTEVVVSLITMPSIIMYKANDIYLTPLSSDKQFPLRDQFGHDGYKNYLWKQWGPSNKCP -FEFVKEYGCDEYRDDGTYYMCAGGGFSITPTDDVAINTPTCAGLKVSKEGFVYSLWSVDPIEETAGRLGI -TEEKKLEADDDFLRHKIQQITSHLDAEICANQCEILSLEMRLSNRESSLMRIGNEHLLVFSNGTGVACRA -LSGCRLPDKKLFCGNPPRIGVVCTTMSGLWNPEMSYIDQGDPCYKPDELEFLFVSFGSERYLVDYDLMVS -VPLNYSHGVYPTGYASLHMSGVQLDVKTLKELKDPWATSKSGADGISLTSKTSSHIESPHLAIGKAIVDM -WDKVTSFVSSVEHAIGLVVIILMVIVAIWIIRFLIKVMSRRTERVVKKEYTRKELLEMADDLLVKNRGED -PISWE - ->YP_009300874.1 glycoprotein [Wuhan Insect virus 5] -MSVLVLIVLYSCFLTDVSAEQFFNATVGPYSVCGSKSANIESKLKECYSRCRKPPQPAGGYTVTIYEADV -KNGGPNVVECRKVTIEQTFTKSWLFSTTTSEPRIVSQAASESECRDAISKNCPSYDCNLREPSRLDAEFN -YAADTVVRKSFITAQSMPSSILTHGGDIIIMPLSSEKQFKITDEKGQTAIGMYIWKTISKYETCPFSARG -KYGCDYYDHDGPDKHFLCARGGFTLTLHDKVNKAIPSCPDIFISGEGILFTMDQESDLNLYSQRISLTGS -QYKQEDTESFRNKMNHVLANLDSDLCVLQCEVLSLEARIKRDQPKLLKIGSDMVLLEPNGTGVGCSPAYG -CSLIKPHILCGNPPRVGISCTGKIGYWDPTSPYVQLGGICSRPMINEKINFTAGHHVYVLDDDLTIQVPS -GFMHGRSADVFSSDHMSGLDFTKTDIEELRASWAQSKSSVSQKSSEFDANKNVTATHLDGNVLMWPGLVA -HMLAHKLKEAIIFVVIILAIIVSAYVGIKWIASPKPVFIQYPSVSYNQNPQEESGRPRWI - ->YP_009300688.1 glycoprotein [Wuhan Insect virus 4] -MITISNQLSNLSSSVLMTMTTSSLIIRFCLFYLLISLSLCDEQSVKMLHKISPVALCDPYKSVNVYERLD -ECVHRCSNAIDYAGRAQLTLIHDFSKIKGPLIATCSKVQISQTFTETWTFSHIKSSPLRKNLPVGESECT -EYIKKHCPDYDCDVAAPSELKEQYAYASDIDRKEEYIELRSHYGTILEIKGILKVSLGVSGEEFNASTGK -GSDKNRWHFWDSNMSPGNCPLSDGLVMGCDIISNNNLQYYMCGGSRLAIQKTDSSQLTGSCKDIWRSPSG -VLYKVNEASDSSSYKGQKIGMANYKKVDENMDSIRILSQHAIYHVDADLCALQCEVAGIEIKGARRAYTL -IRSGAEYILVSPKGHGFQCNPVVQCRLQKPLKMCGSPPRFSVVCNGLSRYWNPALNYILDSDNCPAPSTE -EKLRIHIGNKLYDVDDQMYLNLSSDDPYVHKSRSIHLSHDALFEDQDMSEIRSSWMAHKSKNRTSIALNS -KDIVNQKSIGLSGISTWVSSSLSNITKMIKHTELAFLLTVIAIVVGYVMYKILTSPRYGYKRTRTNGNDN -ELNQVSRAQWF - ->YP_006576505.1 glycoprotein [Persimmon virus A] -MVSFSGFVTIAIAMLLIGLEVSVSDSSSDTFLNSSVGPIAVCGKKSLNIETTLSECFERCRRMPQPTSTA -KIGLHQVTAKGLGPRVVECKKVTITQQFVETWTFSTWKSTPTREYSLPSEEECKTVISKKCGTLECDIRE -PTSLTEDYLYASTNFKKETYISLITMPSSFFTSGVELMIMPLASEDQFSVTRESGESTTARYYWKKVDPL -TECPFERVGQQYRCDYFEIDKNDKHWLCNRGGFSLTPKSEIETVIPVCKGLVQSKEGMIYEILDKSDKLS -IWSQRLSSVGTTYKDADTDWLKEKINHMMSSMDSDLCILQCQILSLEARMYQSSSRVLKIAANIILLRPD -GTGEKCETAHGCKLSTPHLMCGDPPRVAITCTGTSAYWDPKEPYALMDGHCSKPGKNEKLTFSARHHTYV -IDDDLKSLLPSGFMHRKTHDLFSIDHLKGLQFKQEDIDEIRTSWNAHKTSESSAELTDSSVDKDHCGIMI -DIITGSKKLLSGVVDYFSYIKYLVYVIVGLIVAGMSIKLMMLFVPSKRVKEPGMRYASVRQATEPTIEWI - ->YP_002308375.1 G protein [Lettuce yellow mottle virus] -MTFSSVIRIVITLCVLYDQLFLALSDFNHSVGPIAICTLEMEDARAYAESCYRRCTRDKEPDHHGSVYLY -SESSYRGGPIVTRCNKVRVEQIFTETWTLSTVQSEITRTLLDITEAECQNAIRDKCPTKNCNVKAPADLP -PEYHYASDTKVSQDHISVPSGIDYLEETIRVTPALGSESYPVADGRAISGKYIYLWDPDFDAQTCPFMSV -QQLGCDWYEKPKDLISCRRSRFVIPDISNSVQLKGACSGISRSSSGLLYKWEDKSASPNRGAKRLALTPT -ANSDEHVASLRLQVSDAIEVLGEDICQTQCEILDMMLRGDRNKEVLTRIGGSYMVLSKTGYLRKCHPAIG -CQLVKPHQFCGNPNRVAVSCQGKIYMWNPKKGYLEDSTECERHRVDSKLVFTVGAHEYSIDDGLRVELPD -KEHFGVAHDLVSRATDNISTEIVNPELLRQSWMEHINREASLSLVPLLKDRNVTQWEPDITFGFGFLKSA -ARIFTDIMTRITLWITVLLTLVAIVLGTKAWRNIRANKTVRTQQHHLVPTTDTQVTWM - ->YP_425091.1 glycoprotein [Lettuce necrotic yellows virus] -MVSKITLLCFAITCDVLISMGTVQGVFNHSVGPLAVCSPDMEDARAYTENCYRRCSRNKEPSTHGYVWLY -SDTAPKGGPVVTRCNKVRVKQVFTETWSFSFIKGTPTRMTLDVTEAECVAVMRSQCPTHNCNIKAPSELP -EEYHYASDTEVVQDYLEILSMPSGLDYMEENLRITPSQSKFSFQLTDGKGQEGQYIYFWDTKYDDTKCPF -DSFQSHGCDKYDSPLDLINCRESRFVIPSIANSTTLVGACQGLQKSTTGLIYKWDDRPDSIANDSKRIAL -TKNDQTAGNVATLRVLVADSLNAVDEDLCHTQCEMLDFILRSDRKREVLTRIGGSYLVVSKTSYIRQCRP -LVGCRIVKPHYFCGNPNRVAIICHGKVWYWDPLKSYVDEGMNCERRVAGTKLVFAVGNHEYAIDDDMHVE -LPEHETYGISHDLLASSEDRISKDIVDPTELRNSWQSHIAKEGRMSIEPLSQDKQVSHWDAEFSNPLTWL -TSAGGWILDMSHKVTLWATVFLTLGALVAGAKVWEIMRKANRKSQYKRTNTEPHDSQATWI - diff --git a/seq/clusters_seq/cluster_427 b/seq/clusters_seq/cluster_427 deleted file mode 100644 index f5958c8..0000000 --- a/seq/clusters_seq/cluster_427 +++ /dev/null @@ -1,98 +0,0 @@ ->YP_010087623.1 putative N protein [Strawberry cytorhabdovirus 1] -MAALHAAMINKDYDDLVDVSVLPGGSMSIWKDADIPTIRRYTTTTMDITLAVQHGDYVIGCLTDGAGIDS -SLVVSMLNLALNLRDPDNPDRKLLKEVATDRASLIRMELPTITAVNNIMDETERRLIRNLNQQGDNAQGF -EQAAEEDVLEEEAGEEEDRGSAVMRSAAYSYICAYLMRLQCRNAKNVHDGLKRAAERFRAWYDDKHGILD -DLSFSVDSLNKLKDAISRKPELTTTWVLHLAVTENEKQLLQQPKGMLEYLGLQVFSYQGMHALTQVLAIH -QISKIPLKDLLKEMDSPLTRDGLREIANILRNYEQTTRHPDRKTYFRYARVWSPKYFAQLQSKICVPLLY -VAAVTVRDISPNSIADPTQIYALQNIGASMKEVLNRVAARLVAFVMERTLSDDKSGSIWDAAVEDRQTEA -S - ->YP_010087288.1 nucleocapsid [Raspberry vein chlorosis virus] -MAINRAEIKKALLGLRGETLSTEQRRAPVPNPNNRRISEGETSNSQDRKQKGKADDVKTNVNRPPKVQKY -QDIDGISLSIGREASKWEDAHFKSLQIFDISPLDVDDGVLFGRAVIKAINEGTITSDILFMMLYLAVSCR -STMDIKKYLLSKPSGMAAMMDHTPPATNASDDHVEDATQDRTEDVISGVFSNSAGAGGKVRRNRAGKNSM -RPESSAAISRAQAARSNTVSAPAEDSDKDHMAAAYSYISAFLMRLQCRQPDSKMITALEKARARYNGFYD -EGQSVFDSLNVSEESMAMIREVLARKPEITSTWVAWTAYNENETNLGRQDKGLLDYLATQVFAYQGMHMV -TQTLTIHQITKVPLGKLLAQMDCQMTRSAVNEIYYIVRDYHKNELHPERKTYYRYARVWSDGYFSKVQSK -ACGQLLYLAAITVKNLGASTNSDPTEIYAIKDLSAAARERLDKVSAKLIDYIWSLANNDEEAGDIWKS - ->YP_010086789.1 nucleocapsid protein [Cabbage cytorhabdovirus 1] -MTSQKTEQQLQDEIQRIRMERARKGKNIEAGPSNVPPPVKPRTVLPKQTSNQRYLEIDSVSVGKLTSVPW -SDTELSKIPIYRVNAINAAKCLTLGRTVFENLNAGTVTAALADMCLALAVSLPKPALATFEHLLTPIPAT -IGTGVAFNQPEVNDAAPSLTATQQMALNRARERLQTETDAERQADLQRTIDRLEQQVNGERVNAPANHVN -ESDATAYCFLAAFIMKLNGKAEDAFQEGIAKMKIRYPAWYEGGSQVLLNFNPTLETLKALRTIFNRRPEI -LSTWVMTVAVNENREGVMLPTHQGLLNYLVCQQYSYFGMHAYSLLLSIHEATGIKLGQLLREMDCPITRA -GVMAAFDLIKNHEITSKNPARTTYFRYARVWNSNYFRALQSSNCTTLVYVAAKVAKITSAQKVGGDPMEI -YALKNIDEVMLTRLNKVAAKMSELILTAMMEDEIAGVAWQ - ->YP_009177015.2 nucleocapsid [Alfalfa dwarf virus] -MDAETKAAAIRALNAAKADTRRRKLSNPQPTGEPVKVEIPKASGSNTVKTIPIASGPTANSKKYTDIDSV -RTGDSKTTLTWRNDSFSKIKVYEVTQLNDDDCVLYGRAVFDAINTGNITSDVVFMMLYLAISIRSTGDKS -ENYLLAAPTGMTDLMGLVRPTVSDQAMATAGGSVADVDNIFEADKQPRKRGKGKSTKTGKVGDELVNNIE -GVRNNHREADAELVGENKTYQAAAFSYAAAFLLRLQCKREDNTVPAFEKAVTRYNGFYDSGGETLHGLAL -TLESCRALREVIGRKPELIGTWVAWVAYNENERKAGMLKQDAGLLEYLAIQVFAFQGMHVVTQTLAIHQL -SAVPLGKLLREMDCQMTRRAVEEVYGIIKNHQATTAHPERKTYFRYARVWNEGYFSAVQSKSCTHLLYLA -SKVVKQLNPSAGSDPTQIYALKDMGEDQKARLDKVANKLLDFIWTQTANDPEAGSIWKDIVG - ->YP_009352242.1 N protein [Tomato yellow mottle-associated virus] -MNTLALQLSNTDYDDLASITVAPGGSNVAWNDEDVLSIRRYSLAVMDSPTMVLHGGYVFESLNNNARVDT -NVLMSTVHLAANLRDPDNITQCLLTTPPHSRPAIIQTTLPTIRAIQEDITEEERNTLALLNVDVNIENQD -NGNQNQQGENVQVDQGSIDMKAAAYAYVCAFLMRLQCRSAQNVSGGLRRAIDRFKTWYDGSTDIFDEISF -SIDALNQLKEAISRKPEITSTWVLYLATTENEKTLLKQSKGMIEYLGLQVFSYQGMHALTQVLALHQMSK -VPLRDLMMELDSPLTRDGLREISNILKNHERTNRAPERKTYFRYSRVWDTKYFAQLQSKTCVPLLYVAAV -AVRDISANTTSDPTQIYALQNIGSAMKASLDRVAAALTSYLVDKSYRDAKSGSVWDAATPTE - ->YP_009301356.1 nucleocapsid [Wuhan Insect virus 6] -MSTLRSRLNSDIPSTSKNPVQTPRDGSKDKGKGISNQVLLNDGKNSSNTKVNSRYAELGSTVPSSKHKIK -IWDDSHIKDLKVYGLIQLTEDKIITYGKALRDYLNNGDVNANTVNIMLYLAVSLKSMENIQKHLLISPNA -ILTKPFEMSDIVLEDQRVEETISETENLSFREKLKRRKEASKAVREDVEATAGISQAPADAENDPKEYQA -AAYAFIAAYLLRLSTRQAKPTLEKMGTMKERFTSFYDKGHATLDTFNIQSETMEKLRGIISRKKEAVGTW -VLWLSYNENSNSELTSNELGMLEYVGLQIYAYMGMHAVTQTLALRQVSKLPLDVLLTQLCCQLTRVAVDE -IYNIVKNYEITDRHPNRTTYFRYARAWDSGYFGKVQSKECPQLVYLAAKTVKELSPNLKSDPTQIYAVLS -IGESIMEKLNKVSDNLVSYIMDSMAEDEDAGDIWK - ->YP_009300870.1 nucleocapsid [Wuhan Insect virus 5] -MASSSSVSDRLEALARAKMAGKSVTPDTSQVRPKSSKKPGENGALFSKVKNMVTPGKSMPKEWKDSELEK -VSTYDIDVLDAAEAVLMGQAMIRMLAGKTASRDLIDIVLFLAVSMRDPSDLDKHLLTKPAKGYGTQKAIS -KPTVQGKSPTQATSSGASTSAPEPDKNLSVAERLAAKKAQSKASEIVTSASISGVPQQDGSCSAEEQAAI -YSFIAAFMLRLHSRQTDSFSASLESMQTRCGGWYEKADTILKQLNLETEILNSFKVMLGRREEVMSTWVM -WVAYNENSNTLSKNNMGLMSYIAGQIYQYTGLHAVVQILAIQQVTKVPMDQLLGELNHRSTRQPLQALYK -MLQEHELVKEKPGRTTYFRYARVWDSGYFHDLQSKVCPDLVYLAAKILKEVSPTGARSDPTKIFAVKDLG -EVKKEFLDGVANGISQWLVAADDNSSESGAFW - ->YP_009300684.1 nucleocapsid [Wuhan Insect virus 4] -MDTDRIKQLEEMKRKRALLKQANEAQKSQQAGTSGIVKDTTMTAQEKIVVDKTTKSKGKETVKPTTPKVD -KYHDLAETRVSLKSTPKDWKDEDIKNVNIYGVKQLSISEIVRLGSNVFESISKSQFTSSHVDILLSLAVS -LLEPGSTAETEKYVLIPLPSTVGNPIKNVSITASEAKSKEVSLFETRLKAARRNYETADESKKEKLAGMI -EQLEADIATSQSSTKGAEKEVKPSNAEDAFVYSYMAAYFLRLYNKTSEAVVTKLEVAKKRAATWYDLPSN -ALDEIDLSADQASIIREAMSKKPEINKTWVLWCAYNENENKNLSQNAIGMLRYLAIQMFAYTSMHAYSFV -VQMQTETGVSFRDILTELCCSATRAGVDQIAKILREYELTEGHPDRKTYFRYARVWDSGYFTSLQTSNCK -MLAYVAAKTMKNLSSTNMSDPTEIFALQSVSEKMRSTLDGVADNLYDILMAKMTQDSDAGNSWKI - ->YP_006576501.2 nucleocapsid protein [Persimmon virus A] -MSTVAERLAALRAAKKNTPPPPTKNIDEKKTEKDDKDQVKKDNNVSERRAMNTNRYSAVSGLTGGGKMIT -KTWNDDTELPNIPIYSLSEITVDQLCVVGAATIKRIKNGDTSNLTVDGLIYLATSLRDPSNPEVALLTAP -TAKFGAPAALTIDLTNTDSQQATNPKISVKAQKLIDRRKKEASQIVDEEEKKNDDVSSVSKEGQASAYCF -IAAYLMRLYSRTAESFCASLDLMRSRFGSWYTEGSSILDSFEMEETIADDLKGMLSKKPNILNTWVMWVA -FNENASVLDRNNRGLMEYLAGQIFSYTSLHVVTQTLAIQQYTKCDMAMLLSELESPMTRGTVQELYKLIR -DYEVTTLHPDRTTFFRYARNWDAGYFAPLQTKKCTNLVYLTASVIKLIAPTGARSDPTKIYGLSDLGEMT -KTKLDKVAMKLSDLLTRVEGDENTGSCWIE - ->YP_002308371.1 N protein [Lettuce yellow mottle virus] -MAETMAEKLARLQALRGGKSEVKSTPQPQEQPKIARVANKLYDAVDDVTVGKRASKRWDDNDLANVVCYD -VAQLTAGNMISIGKTLLSNIASGAITSKTIDACLALAVSIPKPATSKFSAMLSPPPDGVGKKITFEQPSA -SSVARVGLTQLQQKQLVKSREAFAAETDEERKTALDKIIKGLEAQEAGTPSTSRAQVVDETEAAAYGFLA -AIIIKLCAKTAESFIEGLPRVRDRFSSWYDTSSQVIKTFNPTESVLNTLRTGFGRRPEILSTWVLWVAYN -ENREDPLLITQQGLLTYLAGQQFSYPGMHAYTLLIEIHEQTGIKFGQLLREMDCPATRAGVKEVLDIIKD -FEITKLHPKRTTYFRYARNWDPKYFGTLQSTQCKTLVYVAASVCKKISAQGEKGDPTEIYAIKTLDATIK -ERLEAVANRMAHKIIDQMLVDAMSGEAWVGGN - ->YP_425087.1 nucleocapsid protein [Lettuce necrotic yellows virus] -MTTSAEKLAKLEQLRKERAAVIQKPTTQVSSEPVVTEKPRVRNTAYDSLAGVTIGKRASRKWSDADIKSI -PIYDVHQVPAAQVIALGKDLLTQIQNNSVNTVTVDYCLVLAVSIPKPAMTSFEHLLTPPSPEQGTRLDFT -QPQAGVSNRSGLTAIEKMTLNATRKNLLTETDEEKRARYEAIIKKMEDQEAGLGTSKATVVTSETEAAAY -GFLAATLLKLYAKSTESYVAGLAQIRNRFAAWYDCPKAVLDAFQPTEAALVSLRAAFARRPEVLSTWTLW -VAVNENRTPGLLVTQQGLLNYLACQQFAYPGMHAYTLLIEIHEHTGMKFSDLLVEMDCPATRAGVREALE -LIRDYEITKDHPKRTTYFRYARNWDPKYFGALQSTECKTLVYVAASVSKKVSAQGANGDPMEIFAIKNLD -ATIKARLDPVAENMAGKILDQMLMDEMSGASWATKASTQ - diff --git a/seq/clusters_seq/cluster_428 b/seq/clusters_seq/cluster_428 deleted file mode 100644 index c991204..0000000 --- a/seq/clusters_seq/cluster_428 +++ /dev/null @@ -1,66 +0,0 @@ ->YP_010087620.1 putative cell-to-cell movement protein [Patrinia mild mottle virus] -MSIQTTKANNKEELLELLGAGLKEELEESGLGKIVPVHVDSKIVHTQLLPARARQSLVSRLLQKRATKFT -GGLLFIPQLVVVFVPHVPDHCPGTVTLWVHDPILPNNNDVCGRVTLPLNNGQQFVLFQPHYSIPLADSVG -GRPRCFSVVCEQSGTGTLNGGSAFSLFLMWEPEISHQAHNYLPQAPIQSPVKREALRNLLLTKPMLRDQS -LLATTSQRFARSVAVPPTQMCIRCGATTGKDHLCPSGA - ->YP_009665187.1 cell-to-cell movement protein [Tobacco mottle virus] -MSTSIVRADCKQELLDALYGEVTTKELQESNLGVLTPVRGSARVTLTPLLPPDTQSRLSKVLRKYRPTRH -TGGMLFIERVVIVLTPHVPDDYPGAVEVYIHDNLLPNLNSMGERVRVELNGGPKLMAFYPHYSIPLSDMV -GIRPRSFCVVSSLVESTLGTSGASLFSMYLMWHPNVESRSHNYLPQSPRLHPVCRHRVQQTLHLLDHRQK -YLSGAMSNRFALPVMGTNTSATEEDGVETDHAIEVSGSNGLGKS - ->YP_009352232.1 putative movement protein [Ixeridium yellow mottle virus 2] -MSTRQIRANSREELLQTLGGDLRQELDDSGMGTIIPVHVNAKAMCVPLLPPRAHRTMRDKLLMRKATRNT -GGLLFIPQLVVVFVPHVPDDCPGTVSLWITDPILPNGNDVCGRASLPLNRGQQFVLFRPHYSIPLSDMVS -GRERCFNIVCEQLGTATLNGGSAFSLFLLWEPEVSHRAHNYLPQLPIVRPVQRLALSDLLKNQPQLKEQA -LLGTTSQRYAALAPGITTCISCGTAITPNVQCGCRVAAQL - ->YP_009337299.1 hypothetical protein 4 [Changjiang tombus-like virus 3] -MSSLVKTASDRDELLNALHGEVTVKELEESNLGVLTPVRASDRITFTPLLPPRTHSRVAGILRKFRPTRN -TGGLLFIERVVAVFTPHVPDNVLGEVELWVHDTLLPNLQEVTNRVRVRLNDGPKLIAFYPPYSIPLSDTS -LDRPRSFSVVSELHEMQFVPGGSPFSLYLLWSPRIEGVSHNYLPRPPRILPVCRTMVRDALQETAMQQSY -LAGAMSNRYATPTSGT - ->YP_009162616.1 putative cell-to-cell movement protein [Opium poppy mosaic virus] -MSAVVAKAECKEELLNALYGEVTVKELEETDLGILTPHRAERKLVATPLLPPRTQGRIAAVLKKLRTTRN -TGGLLFLEKIVVVFTPHVPDDVVGEVTIWVHDNMLPHLNSVGERVCFPLNAGPRLMAFYPPYSIPLSCRV -GEIPRCLYIVSEYSGVNFASGASPFSLYIMWQPKIEATAHNYLPRSPLNLPICRHQVKNSLQSMAAQKSA -ISGAISTRFADIPNLEREIAEAQPRLETPGSRTIYDGVGLGIASTSN - ->YP_009056851.1 cell-to-cell movement protein [Ethiopian tobacco bushy top virus] -MSTLVTKAATQGELLEALYGEVTVKELQETDLGVLTPHRGDQKVVFTPLLPPKTQSRIAGVLRKFRPTRN -TGGLLFIEKVVVVFTPHVPDDAPGEVEVWIHDGLLPSLNSVGQRLRFPLNGGPRLMAFYPPYSIPLADRS -KEMPRCFAIVSELLSGNCVNGGSPFSLHIMWQPQIESVAHNYLARPPQTQRICRGMVKDALDSLTSRRSY -IAGAMSQRFTPTAEGPQPISGDTSCLMGENLDGDSHTGAQAPSRPIRR - ->YP_002302261.1 cell-to-cell movement protein [Carrot mottle virus] -MELSKTASTTGELINLLHGETTVDELRELGLGIMVPVRGTNTLTHTPLYPPRRQLTLSRFFSGRWRTKKT -GGMLFIEKLVIVFVPHVPDTSPGQAVIWVHDTALPGLEPIGTGQKVCIPLSSGPRLVAFYPNYSIPLSDS -AMNAPRCFSLVTQLEGVRLEQGASAFSLYSMWQPVIEERAQSYLPTQPEDVAIQRHNILSQLRDLSQRTR -YLHAAMTNDMGTTTRGSQSMRYPPLTFAEKSTQLVARAPHGSLRSSIDSHHPDELGPEVHRDNNTTGQR - ->NP_733850.1 movement protein [Tobacco bushy top virus] -MSTLIHKADTKEELLNALYGEVTLRELEESNLGVLTPHRAENKVVMTPLLPPRSQGRIASVLKRFRPTKH -TGGLLFIEKVVVVFTPHIPDDAGGEVEIWVHDNMLPHLNSVGPRVRFPMSGGPRLIAFYPPYSIPLSCQV -RGAPRSYFIVSEYSGVNFVAGASPFSLYIMWEPKIECVAHNYLMRPPKAMPICRHLVKDSLSSLTLTQGA -LKSAMSNRYATTATGLPPPTSGEQDMEVVSHPPG - ->NP_620848.1 putative movement protein [Pea enation mosaic virus 2] -MSITTSASNQKELLAALYGEVTIKELEETNLGVITPVRANEKVTITPLLPPKTQSRVSSVLKRFRSTRNT -GGLLSVEKVVVVFTPHIPDDVLGEVEIWLHDSILPHLGSVGPRLKLKLSEGPKLLAFYPPYSIALGDSIS -GQPRSFSIVTELFEGNFAPGCSPFSLFLMWSPRIEAVTHNYLSRPPRALPICRTMVRDALSEVASQQQYL -KGAMSNRYAMPLTTGDGQHRAMKGAPSALPPTGVCTQASK - ->NP_619661.1 orf4 [Groundnut rosette virus] -MSSQVAKAATQGELLEALYGEVTVQELQETNLGVLTPHRGDQRVVFTPLLPPRTQTRISGVLRRLRPTRN -TGGLLYLEKVVVVFTPHVPDDAPGEVEVWIHDSLLPNLNSVGPRLRFPLNGGPRLMAFYPPYSIPLMDKS -KEMPRCFAIVSELLSASYVGGGSPFSLHIMWQPQVESLAHNYLMRPPRMQKICRGMVKDALGSLSSRKSY -IAGAVSHRFALTAANPLPISGDTAEEAGEASSGEPHWVPEATAPRVRKAT - ->NP_054009.1 movement protein [Carrot mottle mimic virus] -MAIIQAGTREDLINLLHGAGIQDKLRDLGFGVLTPARGSNTLTCTPLYPPEHQRTVRDFFTRKWRTKRSG -GLLFIEKLVVAFLPHVPDGTAGEVVLWLHDTALSDLEPVGRKVSLSLSGGPRLVAFYPNYSIPLSDSAES -APRCFSLVTQLQGIRLKTGSSAFSLLSMWNPVIGEKAQHYQATEPECVPIVRHSIRSSLQTLALQRQYLN -AALTNPLGRSQRGSQSLRHPPLDYALSPTREASSVQIPPSTSSATKAHQYLE - diff --git a/seq/clusters_seq/cluster_429 b/seq/clusters_seq/cluster_429 deleted file mode 100644 index 0c0b5f8..0000000 --- a/seq/clusters_seq/cluster_429 +++ /dev/null @@ -1,131 +0,0 @@ ->YP_009666281.1 glycoprotein [Drosophila unispina virus 1] -MYNFQFILFITVSVYTLHSVYGIIGYDCSAQETNITRISLTKIGVCDNARQNITSENKKIKLVQLRDIDS -TEVFSCLVELIHHYNRCGPTLDTFYGIVLSTEIIRLTRKDCILLVRTGKVDFAPYGYKLGMEGLMSNYPN -TQVSITRGSNQDGSCTEGDPLTVRGIYMDKPYVVTNFKVLYKTTNAFVDLTNGQMELRDGQICQFTSGEC -FSPTLGNCFWDTQVEGQICNKERPLSVLYTGKAVYTKEITDNEVIKMYTYTAGESEFAITVSGKKKFCNL -ITVVMSEHPRLLIIEEEEWDSLGTVTTMKDKEVDLSTFVNTKLIFMLNHIRKENNDFAEKVARDRCLDRA -EGIRTSIGLAYGSPNHFAYNYYKGPGYTGKLAGDTIFMVKCKPVSVYHKGVQNKCYQELPVVYDNKTMFL -QPRTKLLSHVGTETECNSLFPITWEIDGNWFAGGSESLIPIEEPQLLQPDVPERWHFTMPKGFLKAGTYS -QDALSDFRRTLMMPDSTNAAMNNIARNSLTWDNNGRLVSSVANSFSEEDLSTLQNRMGNFLINAFRWLES -FGSFCAGFWVIWCLFKMLVELFLNINIIRLTGRPKIDYLLSPWARVFDYVENRRVVKANRQNANTDAEMG -DLCG - ->YP_009666257.1 glycoprotein [Wuchang Cockroach Virus 3] -MSLFFVLLGVIQLWPAVDGLVAYDCSHPNLNISTISTKHVEPCIPYNRPIQNTTVVIQLIQLEESFAVPV -HYCNIEITRIVTHCGMHSHASMVSGGLATYMLEMPVGDCRKAYTTQQVRLPGGKTLSDLQIGKEETRSIV -FAGRVNENSDCQGTDFSDRYGSWSGVIVQGYVKYYLDKKIAQASADLDKVHLPTGISCRYTPGHCVDYEK -GYAEWDTVRQESCSPHLHTVLYQGPATKIIESMLDGSQKTTYLVQTPNRLFALRIIGDYTQCPAKVHSTE -HPRLVIQQQTEYPFLFPMTIPSPASLDMMTYVNTKFVYLDRTIGKSMQELYSEVEFRRCVVERKSLLNLL -SMATAQPNEFAYALMEGPGYTAIAYGEVVHLIQCVPINVEIRKTQKCYKELPISHQNQSAFMTPRNRLLQ -THGTEIACDVLLNSQFQLNSVWYGFTPSMHAAISPRVLSPYTNLTWEYISPSKLASAGIYTDDDLKTIQQ -QVLYAGEREAIENIVVRGVSGSSVDLQGINGIHIIPDEALHDAANKYWTKLWGWFSGFGNISAGVIGVIM -VFRLIKFLLDSIVHGKALYEIYGLSIYLVGAIWDSVTTYLIHRRYSKASYKSETDHQDNSDLDKVVTING -NEEAKDILKSTAQSKEMTEAAKETPSTLYPILRPQPVPAVRGYNA - ->YP_009337905.1 putative glycoprotein [Hubei chuvirus-like virus 1] -MTNYNHTVYLIILMLMIIPYVHAFIAYDCSVKNMSVLRFSTIDDYLCPESKDPIRSKNVKIQLIQRADNG -LVHVYQCKVKITRIVNYCGMSSHNSIVSNGLASYIKELGRNNCLELHSKLMYQYGTHTIVDMLKSNASII -VPVQFSGTTDNNGKCTGSDYSDYYGSWNNVIVQGTIEVGLSDYTTTYSLTNNLIHLRSGLKCKYSTGSCI -DSELGETYWQSLPSDMCHASSYDVLYQGPAKEIDETSYNHTDGIIKTYLVETEKYVFGLSVTKYLSNCGQ -IIWQTQHPKLLIIIDNGQGFIYDKKELIVPNMDLFTYMNSKFLYLEQHFRKQITLMYKDLIQQRCKIEKQ -VIKTLQSTARTNPNEFALIYMNSPGYTAQTIGSLITLIKCQPVDVQLIQLSTCYNELPVKYLNNSYYMNP -NNLILQKYGTEISCSDVIYGGYKLGENWFTMNPKRFKIESPLQLSLNIKNTWEYTYPQHLAESGIYSQAE -LDQLKQHLMFPLERPAIENAMSKKIMGVKLSDNNIDLSVFVDKHYIQSTVSDFLSKSWSVFTNFGMITSG -FLGIYMIIHIVRYVLTTSINGYTLYKTLGCGFHLFASLFTCLTTAVMYLSKKRPTVTNPDTSTPDIENQL -LETSNVVNQVTTTQPTLTLSPTPNPRIYPQIPLEYQ - ->YP_009337429.1 putative glycoprotein [Sanxia atyid shrimp virus 4] -MMTSLPILLIAVLFGVATRSASLQHIIGYDCSGANAQIMKLDLTSVKECRDDIDTVKVTNKIVQIIQHKT -YSPIHIRQCLLEITRDITYCGHASWLDTSSTRQYKVKHGHLSYIQPLGKHACEEIHKSKVFKFQGKLISH -SVTLNATETHAVVLSGSIDSNGRCKNGGDYSDAGTSVSNKLVQGFLKLTLSDFYGVLDHDGQTLKDTKHG -IDCKTSHQYCIDTSIGEIVWDYVQEDRCHKNKVDVLYTGSADIISGLGTGESKFVKVTTGNTAFALEISG -EGWFCSKPTYSTTQERITIIRLEYIEEAMFVPSTILPMNVDLTAYINTKFLLTQAAMGLQLTALYKTLKR -GSCENRKLIFENRIALARLNPHEVVKLVEHGEGLFGRVMGEVMFITKCVPILTTHRATSQCYQEFPVVLK -DNTTGYLTPITRILTRIGTQTTCSEILSPHFHIGDFWYSRSPSVHQQIPPITLDPNLDENEWNFNMIPGL -GHSGLYPEEAMREMQREMMFPASRDAVSSNMMSKVVDEDGNAIAYHHLFNEDDIDTLTSSISGRISIFFK -WFGHWASILVAVIIIIKISIALFDIFINCLMIRKSGLSTKFWIFGMFNSLTHWILRRPSTSQSAVPLLPI -TSGPSNQTSTPPPSPVIIQMPSAPIYPSVQPTQASIPRRTTPTVISRPRVL - ->YP_009337181.1 putative glycoprotein [Hubei diptera virus 11] -MFILKLLFNYILFVHYSYALIAFDCESKISERRTFSLVETNPCIPIVHNITTSIEKIQVVQPRVFDKLEY -IQCMITISHQIFRCGKTIDTFQAGGIYSEVVEVSRSQCEDLHKLRTFNYFGVQIKLEKGNSVTKLSTETF -GSIDSDGSCTPGNGQLHANNRVYSRAVRTSNIEITLIKSLGTIDIDEKKFILEDTTKCRYEDFECFSVNN -GYSYWEEANDKIHCPESQEYTVLFEGACTKIIETKDGFSITSYLMNIDDYDFQITRRDKQIRLCGQLGWA -TEHPKLSIIEEQQNLGFNLKPDKTLFNKEVNLMTYFNSKLLYIMKHTKDQVDSLYQKISHDRCNSETRIV -NSMMTLALISPLEFAYEYFQSPGYTATVRGEVIHVGKCQPVHVNYTSSIDKCYNELPVTYDGNLAFMLPR -TRILSKIGTEVDCSGLINIMYKLTDSWYSVSRDLIHTHKPEIISITPNDIWEFKMISGLAESGIYSQRDL -DQVQKILMNPVEKEILSSRILRTLDGASSLPTGYSLYNTFTPQDLERLTKNTVSTFFMVFYGKMTTIGNF -FSFLLALFMILRFIKFILNSIINWTYLYRTVGLSWKLIFCWWENLVHHWVRDSKTQSTKQTDQELVHIEV -PIEDNDNTPNTPPKNFLTSKYIKINPNIN - ->YP_009337120.1 putative glycoprotein [Hubei rhabdo-like virus 7] -MYNIKEIVFISFICITYGHIGDIMTDNLIGYDCSLSTKHTEISLSPIVDCSIPKRNVTFSDVKIQIVQPK -IVTELEYIQCSAIYRYEIVYCGKFGGRNTPLDRQVHVEKVNKYDCVEAHKTHIISPGFHRELTVTIENNV -GRYSGVIIGNTDDKSCDGSVFHSPGGVRYNRVVVFLDYEIILKTGVGTVEPSNYNAKVVLSSGNTCLYED -TTCFDGINGRTYWIDKLSRSCETSGYEAIYEGVVSRIIDDDKQSPVISYLTKKADKQRFFIKQTSPVTIC -GMPGYRTQHPNVFVIERGNYDFNIKYNPSSLSPRSINLDTHLGMKLSYLQYDTGSQIDQLYLLLKGEICE -INAKTVRNSLSLAKLDPKDFGYLYYGKPGFLGLVRGEVIYLKECVPQIVNIRLSENCYQDLPILYNNESY -FLTPRSKLIVKEGHEIECSNLMPEKYFVEGTWYRKNRHGLDAAPSPTEISVRLNGNWSFQQDHEISSKGI -YTREEIEKYQKMISEPISTMAKQISLIKSIDGDRDIWEGADVTNAIKPEDFENFRKKAYPTVWSRLRAGF -LDFGGFSGAILGIYVAFKFFYSNSGRT - ->YP_009337090.1 putative glycoprotein [Hubei chuvirus-like virus 3] -MARLESFERLTWMVVSLLVAIAPQATVSSGMIGFDCGHRSINVSTIALDKVPDCQMNSRSASQKKVTIQL -IQLADSYPVHVYQCKVNILRHITYCGMHSHSSEVRGGLLSYVKIMGKSECESLHKELRMAISHSSIIDGL -RPNSTSTHSVTLAGSINSDGKCEGSDYSDLYGSWARVVVSATVTITLSDYTASSDTVNDQIHLRSGLSCK -ASEGSCMDTEAGYTIWTGTTRTRCDANVHLVLYEGTAMRYTSRSPHEKDVITYMVEDGSRIFGLRITGPY -DACSFNAYTTEHPKLIIVPEEKRPFYFQKRPLMVDSMDMMAYVNAKFVYVEKRHERSYNALYKELNQQRC -RLERKVLKNMLSIATIDPTAFAYMYMDSPGYTAVTLGEVIHLIQCSPIEVTIRQTPLCYSELPVTWNNES -FFLTARSRLIQKYGTETDCDDTLSSRYRIEGVWYSFGEKIKRVSAPTELSPEPGDNIKYEAVEGLATLGI -YNYDEAEQLRRKIMNPYERDAITNTLTRGSSMVHFDRQDLSVSGMMDDASIDAIANRYFTRVVGVFSVIG -NVSASFLGCYVVLRLMKLVFDTLIHGKAIYEIYGFSLALLGAIWDSATTYLLHRGTRERKQNSRTDIDVE -TGGEDVQMEEPITPSAPKEEVKEGITPSRGSGSGSKVSDSPPKCYPDLRVETYKFSTP - ->YP_009336947.1 putative glycoprotein [Hubei odonate virus 11] -MYIKKIFWFISLTLCMLEISGLIGFDCKHKDVEYSAVSVKQVAECDEVKPAVHQSKMNIQLLQKRRLSKV -PYISCYTHANILITHCGMHSHASVVAGGLSQRIEQYTSETCRRAFATKTVILFGTPIDINSFNTTITGSI -IPYGSVINNDASCIGTSFAYNGNHYRASVMQVSYEIILKTGYGTYDSETGIFNTGLGSYGDYKEGVLLDR -NFGYVFWKTSDNEDCSSSTSYLVLYEGPAEKLVSERDNRSIIVVEQQSTTFSLQLREPTLLCSQHAFNTE -HDQLKIITSNNRMFFFKRIADSLDINLSTYINAKFVYVERHLRANIETLYEDVMKFRCRVTRQTLTNLLS -LASIDEDEFAYAYMGRPGYTSVKRGEVAYLIKCVPTYITLQSSTRCYNDVVISHNNKTKFLASKTNIIQD -FSEEVECSQLTPVLYELQGKWYNVYPSPIAVPDPIVLNPNREREWEYKSPNHLSKSGIYSQESIESWQQS -LMLASSQSALTKIITSKFIGKDIDSQGSSIHTYIDEDIMAHITTNFFVKAWGVFSNFGQIMSGLLGFIII -GKLFKLVVDSIMNGIALYRVYGFSIALMGCIWDNVAHLLISFRKKDKKPTAPSKEDLCDNQCEPPAAQNP -LLTQSTPVSMYPNVRSMTSHELQ - ->YP_009182178.1 putative glycoprotein [Imjin River virus 1] -MKLSLLFAGIVLYYVAVSSGFIAYDCAHKRTNFTALSIWAVGECPDIPDQVSTQTKQIQLLQINEHSLIH -VYQCKAAMIRTIYHCGSFSHSSLVSRAITQYVEDISRTACIGMHVTKTWNLYGSIVDRLKLNSTQTYHKI -VAGDNDFKGSCTGGSYTAEGVVYKDVVVELSITLTLYDYETTVKKDEGLVSLRGGYTCPYNKDGCMDSEG -GNTYWEYTQHNDCLKDQYNVLYSGEAVETTATSNGQVQKMYSVKTGDIIFSLMASHPVPLCYLKGLSTEH -PSLFIYVADGGDKPFTRTIKSAKNMDMFTYVNSKFVHVERHLRSSIESLYKDVVRHRCDLERTVLETRLG -MASSNPIEFAYLVMGGPGYTALQMGEVVYIIQCQPVEVQLRETPSCYQEIPITYLNKSTFVTPRSRLIQQ -YGTQVDCNSLMYPTFYLNEDWYKVHSGPHLVKAPGALNPKVRDTWKYTDPGSLIQAGLYTKEALDKLRHE -VMFPSERSAITNILTRITTQTGTIPQGVSIHGLLDDETMEHLAQSLTSRMWGWFSWLGNVVSGLLGFWIC -GKFIKFAIDTAVHAYSLREVFGCSWYMCGMFWDACTWFLLRNKPTKPKADEDDPCAITMKECGKYDEHIV -LAEPYHMPSAPKDQVCYPKLPNA - ->YP_009177720.1 glycoprotein [Wuhan Mosquito Virus 8] -MKLSLLLAGVALYYAALSSGFIAYDCAHKRTNFTALSIWSVGECPDIPDQVKTETKQIQLLQINEHSLIH -VYQCKAAMIRTIYHCGSFSHSSLVARAVTQYVEDISRTACIGMHVTKTWNLYGSTVDRLKLNATQTYHKI -VAGDNDFKGSCSGGSYTAEGVVYKDVVVELSITLTLYDYETTVKKDEGLVSLRGGYTCPYNKDGCMDSEG -GNTYWEYTQSNDCLKDQYNVLYSGEAIETTAVSNGQVQKMYSVRTGDIIFSLMTTLPAPLCYLKGLATEH -PSLFIYVTDGGDKPFTRTIKSAKNMDMFTYVNSKFVHVERHLRSSIESLYRDVVRHRCDLERTVLETRLG -MASSNPIEFAYLVMGGPGYTALQMGEVVYIIQCQPVEVQLRETPSCYQEIPVVYQNKSSFVTPRSRLLQQ -YGTQVDCNSLMYPTYFLNEDWYKVHSGPHLVKAPGALNPKVRDTWKYTDPGSLIQAGLYTKEALDKLRHE -VMFPSERSAITNILTRITTQTGTIPQGVSIHGLLDDETIEHLAQSLTGRMWGWFSWLGNVVSGLLGFWIC -GKLIKFVIDTAVHAYSLREVFGCSWYMCGMFWDACTWFLLRNKPSKNSEDPEASCAIAMSDCRKFEDDVI -VKEPYASPTAPRDPVCYPKLPTM - ->YP_009111331.1 hypothetical protein [Dendrolimus punctatus cypovirus 22] -MLTRRCEANEFKMYTLLIIFTLPLLTNALYAYECTTKDLNYTSISLLKVDKCPEYKVSKTIDPITIQVLQ -EREVYPIHIYQCAVEIHYHATYCGQGSHASEMQNGWGTTLWPMSASECRDLHRTRELHILGKNIYNLKRN -ATTTQFFTVAGSIDANSACTSGQITIGHLNFNNALVTVNIEVKLYDNEGIYRVEDRLVITNSGLTCPYKD -RSCLDALVGYVTWDEVESTDCSETAYQQLYYGKADKVYVSSSLGDHDDYILYSIRNDVNFLATLIHKGEK -TICGITTVITDHPKLIIQEITNGITYFKPTDIIPQNMDIFLYVNAKFTHLENHMRTEMANMYEVIVKEQC -ETKRAALETQLALAAIDPVEFAYIFTGKEGHSALVMGETVHIIQCSPVVVSVARIEGCYQELPVNSSGRI -MYMAPKTHILQTHGTPVLCTMMLKPSFKLNGQWYDFENGLHEIKSPKTLHPTMQLTWKYHDAGNLARDGI -YSSEDVTKLKNQLMYPVERKALTQTLSAYMNNDVMVTNFDALSSLVTEERLKSTFEHFVSDSWQRFLTFG -SIFSGIFGIMLIFKLIKLLFDTVIHSRGLYEVYGCGWQMMASIWDAATTYLLSPVRVSQHQTRHFRNDET -QDITDLQEQTYLQSKPLQDDFESVVIEQPHTL - diff --git a/seq/clusters_seq/cluster_43 b/seq/clusters_seq/cluster_43 deleted file mode 100644 index cab42a2..0000000 --- a/seq/clusters_seq/cluster_43 +++ /dev/null @@ -1,523 +0,0 @@ ->YP_009553306.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -MPKNNESKSVIGKYFSSTATKGLQSYFGRVVKGQPDIYDTPFAKGESTEELLSRWSAVLESIDKRWPSLW -EFENDMKAKVGPLSVMKPLRDRMSDVDHYYDDILLSSEPIRQSAINAVLAEWGSAKGLRLRSTERTLNAM -KKSTNSGSPYFTKRRRVVEDTVPYTLRWMDRDVYQALNHWDGNACAILGWRGQEGGSKEDDVKQRVVWMF -PFAVNISELRCYQPLIEVAQKNNIVSAWVSNDAVDRRITTLFDTKGKSDLIVCTDFTKFDQHFNPDMQDA -AKSILSRIFAANSDYANWLEQVFPIKYMIPLMYNYGLIRYGKHGMGSGSGGTNADETLSHRALQFEAAQR -AGKLLNPNSQCLGDDGILTYPGITVEDVIGTYQSHGLEMNASKQYVSTNDCTYLRRWHCAQYRVNGVCVG -VYSTFRALGRLRYLERYMDPEIWNPKTVALRQLSILENVKYHPLRDQFVEFCMKRDKYRLGIDIPHFLDD -LPQIIKEVNDYMPDFLGYTKTLQSEGDPTYGIADWWIVKYLRSRQNVKKSVA - ->YP_009551574.1 RNA-dependent RNA polymerase [Chicken picobirnavirus] -MPKEIVSLKLLDNYFKSSTSLKSYLGNVRRGQPKVYETPFARGESTKSLLSKWDKVLVSIKDKWPSLYSY -EQDLRSKVGPMSIMKPLKERMADIESYYEDVKLPSSPISDRAIKAVIAEWKRIKGMHVRSQRTTVDLMKK -STNSGSPYFTKRRNVVDDTIPCVVYPYKRTVAQILNKSSWDACAILGWRGQEGGPSEEDTKQRVVWMFPF -AVNIRELQVYQPLIELAQRENLVPAWVSMEAVDREITLLFDTKNEKDLIVCTDFSKFDQHFNKSLQDAAY -SVLSSILTKDATSSDWLRDVFPVKYMIPLALSMSEMRFGLHGMGSGSGGTNADETLAHRCLQYEAAQKSK -SLLNPHSMCLGDDGIISYPGITVDDVVHAYSSHGQEMNKDKQYASTQDCIYLRRWHHKDYRVGGICVGVY -STYRALGRLRYLERYQNPKYWSDRMVALRQLSILENVKYHPLKEQFVEFCMKRDKYRLGIDIPGFLDNIE -AEAQKAIEHMPDFLGYTKTLQLEGKDLGIGDWWIVKYLKSHK - ->YP_009361996.1 RNA-dependent RNA polymerase [Picobirnavirus green monkey/KNA/2015] -MPRNNETNSNGVARKFFAMPNPGLRSYFDIVKQGQPDEYRTPFAKGKKPQEVLKEWDAYLQPYADKWPAL -LEFENDLGSKVGPMSIMKPLEERRQDIEHYYTDISLQSRPIDERAVKAVIAEFAPLKGLRIRGQQHTVDQ -MKKSTNSGSPYFLKRRLVTDKTIPCTVFLGKSKSVYQKLPTGLWQAAAVLGWRGQEGGPSKDDVKQRVVW -MFPYAVNIAELQVYQPLIEAAQLHNLVPAWVSMDAVAERITKMFDTKGKDDLVICTDFSKFDQHFNEDMS -SCASQILSELLTPTPEAEVWLRTVFPIKYNIPLLVQMNEVMTGRHGMGSGSGGTNADETLSHRALQYESA -ILANSKLNPNSQCLGDDGVLTYPGITVEDVIRSYAAHGQEMNESKQRVSTHDCIYLRRLYDQDYRVNGIC -RGVYSTKRALGRLMEQERYFDPAVWSAEGVAMRQLSIIQNCEWHPAKEEFVQFCMKRDNYRLGLDIPGFL -DNVDRIAKKFTDLMPDFLGYTKTMQRDRREQGSGMSDWWIVKYLKSLR - ->YP_009351841.1 putative RNA-dependent RNA polymerase [Otarine picobirnavirus] -MPKNCETKFGKSFILPNQGLRSYFDIVRNGQPDVIRAPFAKKENPQKVLKEWMIHLESLSDRWPTLVDYE -KDLAKKVGPMSVMKPLDDRLTDIDHYYEDILLPSKPIDDRAVKAAIAEFAGSRGLRVRDQKRTIDLMKKS -TNSGSPYFTKRRRVVDSTYPCQVYANTMTQVLTSGAEYDMAAVLGWRGQEGGPNPDDVKQRVVWMFPFAA -NIRELQVYQPLIESFQKNDLVPAWVSMDAVDQRITKMFDTKGDDDLVICTDFSKFDQHFNPDMQQCAKTI -LTAMLTPSQDSRTWLDHVFPVKYNIPLTYDYGKIRCGSHGMGSGSGGTNADETLVHRTLQYEAAQSVNQK -LNPFSQCLGDDGVLTYPGIQVEDVVRAYSSHGQEMNTSKQYASKHDCTYLRRWHHTGYRVDGVCVGVYST -CRALGRLMYQERFYDPEVWGPKMVALRQLSILENVKWHPLRDQFAEFCMKRDKYRLGIDIPGFLVNIEQE -AKEAIDLMPDFLGYTKSMQMGDSPRQTGIEDWWIVNYLKSKQ - ->YP_009389484.1 RNA-dependent RNA polymerase [Picobirnavirus dog/KNA/2015] -MPKNNVISFENCFQLPNQGLRTYFGNVVKGQNRIYDTPFARGESTSDLLKKWDETLVSISDKWPTLYEFE -VDLAKKVGPMSVMKPLKDRMADIDAYYDGISLPSDPIMERALAATLHEWSSARGLQVRNVDRTVRLMKKS -TNSGSPYFRKRRLVTDDTIPCRIQLADEVVNQFLPGGNFEACAILGWRGQEGGPKPSDTKQRVVWMFPYA -VNVEELRVYQPLIEAAQRFNLVPAWVSMDAVDRGVTKLFDTKSKSDLIVCTDFTKFDQHFNHSLQTAAQT -LLAGIFTSTKPFQDWSTSIFPIKYVIPLAYDYGKVRSGRHGMGSGSGGTNADETLAHRALQYEAAIRSNQ -RLNPNSQCLGDDGILSYPNITVEDVVRAYTSHGLEMNDSKQYASTHDCTYLRRWHHYDYRENGICVGVYS -TNRALGRLRYLERYYDPEMWGPKMVALRQLSIIENVKYHPLRDQFAEFCMKRDKYRLGIDIPGFLDNIEV -EAKKAIEYMPDFMGYTKTLQQGSTEDVGISSWWIVNYLKSR - ->YP_009241386.1 RNA-dependent RNA polymerase [Porcine picobirnavirus] -MPKNNANKRSRDYFASSFASSANLRAYFGSVVKGQSEVYDTPFARDESTKTLLSKWKAVLKSIENTWPSL -YKYEIDLASKVGPMSVRKPLSERMEDIEHYYADILQSAEPLSDRAIAATIREFNRLRGLRLRSESRTVEL -MKKSTNSGSPYFTKRRLVTDKTVPSEVYHFRDEVEQHLSSGDFTAAAILGWRGQEGGPTAADVKQRVVWM -FPYAVNIAELQLYQPFIEAAQSSISSQLGLALTRLINGSLPCSTQRQTNDLVVCTDFSKFDQHFNAEMQA -AALRILRACMEPSMTSTNWLNDVYPIKYMIPLAYDEGKVRFGKHGMGSGSGGTNCDETLAHRALQYEAAQ -SSGVKLNPNSQCLGDDGVLTYPGITVEDVVKAYKSHGLEMNLDKQYASTQDCTYLRRWHHKDYRQDGICV -GVYSTCRALGRLRYLERYQNPKYWDAKAVALRQLSILENVKYHPLKEQFVDFCMKRDKYRLGLDIPHFFD -DLRAITEQKIDDMPDFLGYTRTLQSGGDPAGGIENWWIVKYLKSK - ->YP_239361.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MQVAPNVWSKYFNIPNPGLRAYFSNVVSGQPEVYRTPFYKGMSLESICDEWYKKLVSIDTQWPTLMEFED -DLRKKVGPMSVMLPLKERMSDIDSYYDSISKDQVPFDTKAISAAKSEWKGVSRLRLRSEVNTVAVMKKST -NSGSPYFSKRKAVVSKTIPCDVYMDGRYCVMRQNGREWSGAAVLGWRGQEGGPKPTDVKQRVVWMFPFAV -NIRELQVYQPLILTFQRLGLVPAWVSMEAVDRRITKMFDTKGPRDVVVCTDFSKFDQHFNPTCQSVAKEL -LADLLTGQEAVDWLERVFPIKYAIPLAYNWGEIRYGIHGMGSGSGGTNADETLVHRVLQHEAAISHHTTL -NPNSQCLGDDGVLTYPGISAEDVMQSYSRHGLDMNLEKQYVSKQDCTYLRRWHHTDYRVDGMCVGVYSTM -RALGRLAMQERYYDPDVWGEKMVTLRYLSIIENVKYHPLKEEFLDFCIKGDKTRLGLGIPGFLDNIAGEA -QKAIDMMPDFLGYTKSLQYDGDLRRNAAAGIENWWVVQALKSRR - ->AEM05822.1 RNA-dependent RNA polymerase, partial [Picobirnavirus mouse/M-58/USA/2008] -YFGNVVKGQAKIYVTPFAKGETTEHMLRDWDEILKSIDNRWPTLYEFETDMAGKVGPLSVMLPLSERMDD -INAYYEGIHLPSAPIDERAIKAVIAEWGRASTLRLRSQQETVDLMRKSTNSGSPFFVKRRTVVEKTVPCR -LHRGSDEVEQFLPGWHGEACAVLGWRGQEGGHSKEDVKQRVVWMFPFAVNVAELQFYQPAIEYAQRFGLV -PAWISNDEVDRVMTKLFDTKADNDLVVATDFTKFDQHFNSSLQDAAEAIIEARLTRNGASAAGWIRDIFP -IKYMIPMAYDYDKIRRGEHGMGSGSGGTNFDETIAHRALQYEAAQRNRVKLNPNSQCLGDDGILSYPGIT -VEDVVATYTSHGLEMNDQKQYASTHDTVYLRRWHHKDYRIDGRCVGVYSTMRALGRMRYLERYMDPENMG -R ->AKN50617.1 RNA dependent RNA polymerase [Picobirnavirus Equ4] -MERKLSQFLKRQLKPYRHDITTPLGDHHPEMLSEVKDMLSAIEVKYPSLYAYEMEMASKVGPLSARKSLK -DRMPDILEYYKGIDAAQEPIEPEAIEATSKFFNIHNLHLYSPEQAYANMPHQTNSGYPNWTKRYKAYELE -FPISLSDNGTIRSNGEIVNDYPAILGWRGQEGGPSLDDTKQRCLFMFPFTYNVLEAQFYQPFTIAAQVNG -TVPPWNGRHEVDVGVTRLFRSTKDPIMCTDFSGYDQHFGKSVQDATRTLIASTLRDDEYSRLWLNYIFPI -KYNIPLMISTRRTLTGSHGLGSGSSGTSGDGTIGHKILQFEAALRNGANLNPNSMVLGDDGIITFKGISA -KKVMYSYTNHGMRMNSDKQSISDEYVIFLRRWHSKRYRSASGLNVGVYPVTRAIGRLMHVERPTDKWTRE -DHIMRTLSICENFKYHPLRSEVLRYIIQKDKFRLGLDIPGFIEGLKYKSTSDVLQYLNYNQQLEYASSHT -GITSWWCIKELISML ->AAG53583.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MPKNNEVSFGEYFNLPNPGLKSYFDRVRSGNTDEYRTTFFRGRSLDSILKAWKPTLDKIENDWPTLLDFE -NDLAKKVGPLSIQKPLAERLDDIESYYESISLDSEPISRSAIAAVIAEWGDARGIQLRGQRKTVDNMKKS -TNSGSPFFTKRRAVVDKTIPARLDYLGVQTKQFLNNSDWYSAAVLGWRGQEGGPTDEDVKQRVVWMFPFG -VNVKELQFYQPAIEIAQKRWITPAWIGMEAVDQRITKLFDTKAKSELVICTDFSKFDQHFNKDMQDAART -IIAALLNGSSESRDWLDNVFPVKYAIPLAMDMGVVKTGFHGMGSGSGGTNFDETLTHRALQYEAAQKAKS -VLNPNSQCLGDDGILSYPGITVEDVTRSYSAHGQEMNIDKQYASAHDCTYLRRWHHDDYRVDGVCVGVYA -TYRALGRLCEQERYYDPEVWGPKMVALRQLSIIENCKYHPLREEFVNFCMKGDKYRLGLDIPGFLDNIEK -LAMEATDLMPDFLGYTKSLGTSGDRGIGQWWVVNYLKSLR ->AIY31288.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MPKNNETKFADYFNLPNPGLRSYFDIVRKGQPDEYRTPFSKGDSVSKVLKDWQPYVDSLSDKWPTLVDFE -NDLKAKVGPMSVMKPLSERLNDIDHYYDDILLSSTPVSDKAVRAVLSEFNSIKGLRVRDQRKTADLMKKS -TNSGSPYFSKRKYVLDKTLDVHVYMPNSTRVVQTLGMRRHQITPRVSVWDACAVLGWRGQEGGPSVEDVK -QRVVWMFPFAVNIAELQVYQPLIESCQKFNLVPAWISMESVDRRITDMFDTKGKEDLVICTDFSKFDQHF -NADMQNAAEAILSGLFTDNADTRVWLNNIFPIKYAIPLAYDYGKIRYGKHGMGSGSGGTNADETLAHRAL -QYEAALANNARLNPNSQCLGDDGVLTYPGITVEDVVQSYSAHGQEMNESKQYASKHDCVYLRRWHHEDYR -EGGVCVGVYSTYRALGRLMEQERYYDPDKWSNKMVALRQLSIIENVKYHPLRDQFADFCMKRDKYRLGID -IPGFLDDIDNIAKESIDLMPDFLGYTKSMNKDSETGLSTWWIVKYLKSKR ->AOW41971.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -MKQTRPNLSQFLKLPNPGWRAYASHVVEGQPEDYRPPFWKGLSRETVLNRWQDRYDSLRGKVQVPGLTEF -ESEMRSKVGPLSVQLPLSERLESIEHYYTLSSVASVPIIPEAIEETWKTFRKSGDVRLKSIPNVFNDMRK -DTNSGTPYFIKRRRALAETLEALRGHEFDPEYLSTYLNAAILGWRGQEGGPDKDDVKQRVVWMMPFGLNA -QEGMFYQPAITSWQKNQINSAYISFSAVEEKITQLFNTKGDEYVVVTDFSKFDQHFNSDLQEAARNLEFR -MAAKDPKMEAWIQHIFPFKFKIPLICSENVLYEGPHGMASGSTGTNFDECCAHTAMQHECAILNGATLNP -YSNAYGDDGYLSFKGIDVDKVISVYTSHGQEMNPDKQSIDKHSAVYLRRYFHDSYRDSKGKMLGIYSTFR -ALGRLLGQERYFSDEEVQDDYKSLVILRAFSILENCNNHPLFYEFVDFVISGDKYRLGLAIPGFFESLGK -KARKFMEITPDILGYTKMLQSDDFRGIENWKVVKYLKEKAR ->AEM05823.1 RNA-dependent RNA polymerase, partial [Microtus picobirnavirus V-111/USA/2008] -EFEEDLAKKVGPLSVQKPLRERMDDIAHYYYDILLSSTPIDPKAIKAVSSEWSSSRGLIMRSQSNTVNLM -KKSTNSGSPFFTKRRNVVQDTVPAWVLKSYNSTDVWQHLPYNHEFDAPAVIGWRGQEGGPTEEDVKQRVV -WMFPFAVNVAELQVYQPLIEAAQRLNLVPAWVSMDAVDMEVTKLFETKGRDDLVVCTDFSRFDQHFNAHM -QDAAKSILQSILMRDATSAKWLKEVFPIKYSIPLAWETIGQDVTYFEGLHGMASGSGGTNADETLVHRAL -QYEAAIRKKSRLNPHSMCLGDDGILTYPGITVDDVVKAYQSHGQECNLDKQYASTQDCVYLRRWHHRLYK -MDGICVGVYSTNRALGRLRYLERFMDPEYWSPEMVALRQLSIIENCKYHPLRDQFAQFCMKRDK ->AKI82136.1 RNA-dependent RNA polymerase, partial [Picobirnavirus fur seal/AAUST30/BR/2012] -HMQELRVYQQLILVFQKNEFVPAWIGMDAVDRHITKMFDTKSKNDLVICTDFTKFDQHFNPVCQILTKEL -LEYLLSHNSETRNWLENVFPIKFMIPLAYDWGKIRYGRHGMASGSGGTNADETLLHRTLQHEAAILAGSK -LNPYSQCLGDDGVLTFPGCTVDKVVRAYSSHSLEMNPEKQYVSKLDCEYLRRWHHTDYREDGVCRGVYAT -TRALGRLCYQERFYDPERWSSKMVALRQLSILENVKYHPLREEFVDFCMKGDAYRLGIDIPGFFDNLEHL -AKEAIEYMPDFLGYTRSLEADGNPHVGINDWWIVKYLKSKA ->BAJ53292.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -KEAMIMPKNNQIKFEDCFNLPNPGLRSYFDIVQKGQPEEYRTTFAKGSSVQDVLQDWEPILNQISDKWPS -LVEFENDLKAKVGPMSIMKPLKDRITDIDHYYEDILLSSTPVSDKAIKAVIAEFKQVKGLHPRSQQKTVD -LMKKSTNSGAPYFTKRRTVLGKTLYTDCMAQKLSPYNVEMILNKPRPEYADGHSQYWSGAAVLGWRGQEG -GPKPTDVKQRVVWMFPFSVNICELQTYQPLIESCQNFNLVPAWVSMDAVDQRITKMFDTKASDDVVICTD -FSKFDQHFNRDMQDAAFAILKSLLDNSPESDTFLRNYFPIKYCIPLAYEYGKIRTGLHGMGSGSGGTNAD -ETIVHRALQYEAAQSKSVKLNPYSQCLGDDGVLTYPGINVDDVVDTYSAHGQEMNTDKQYVSKHDCIYLR -RWHHEDYRISDVCVGVYSTYRALGRLMEQEKYYDPDVWGSKMVALRQLSIIENVKYHPLRDQFAEFCMKR -DKYRLGIDIPGFLDDIEGIANEAIDLMPDFLGYTKSMAKDPVGISQWWIVNYLKSKR ->ANS53885.1 RNA-dependent RNA polymerase [Picobirnavirus wolf/PRT/416/2015] -MSKSKVIKNFDSYFQLPNQGLRTYFGRVVKGQPEVYDTPFAKAESTDTLMKEWDKILVSISDEWPTLYEF -ETDLGSKVGPMSVMKPLKDRIEDIDAYYDGILLPSKPVDERALSATFKEWSRIAGLQLRSQNKTVEQMKK -STNSGSPYFSKRKIVVPQTIPCDVWQDNEVTKQTVRGDHWNACAVLGWRGQEGGPNINDVKQRVVWMFPF -AVNISELQVYQPLIESAQRFNLVPAWVSMESVDREITKLFDTKSSDDLIVCTDFSKFDQHFNSSLQDAAH -QLLTRILTPNNASRAWLGEVFPIKYNIPLAYDYGSLRFGKHGMGSGSGGTNADETLAHRALQYEAAILNS -SHLNPHSQCLGDDGILTYPGITVDDVVKAYTAHGLEMNESKQYASTQDCTYLRRWHHVNYRENGVCVGVY -STNRALGRLRYLERYYNPEVWGAKMVALRQLSILENVKYHPLKVQFAEFCMKRDKYRLGIDIPGFLDNIA -EEAQAAIDHIPDFLGYTKTLQTSTKENIGIQNWWIVNYLKSRA ->AIY31292.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MKKSTNSGSPYFTKRRAVVDKTVPLDLDIRQPEVVQQLVDSQWLSCAVLGWRGQEGGPTDEDVKQRVVWM -FPFGINVCELQFYQPAIELAQRFNLVPAWVGMESVDRCITKLFDTKGKKDLVICTDFSKFDQHFNPHMQD -CAKAIIGHLLNGTSSSRNWLENVFPVKYMIPLAYDYGKIRYGQHGMGSGSGGTNFDETLTHRALQYEAAQ -AAGQKLNPYSQCLGDDGILSYPGITAKEVMQSYSAHGQDMNLEKQYESTQDCTYLRRWHHTNYRVDGVCV -GVYSTYRALGRLCEQERFYDPEVWGPKMVALRQLSIIENCKYHPLRDEFAEFCMKGDKYRLGIDIPGFLD -NIQHFAEEAIDLMPDFREFFTERVVLTVF ->ANS53886.1 RNA-dependent RNA polymerase [Picobirnavirus wolf/PRT/1109/2015] -MPKSNAVMFSRCYDTTNPALKAYFGRVVEGQSKVYDTPFARGESTEQLLNAWSGILDQINDEWPSLRSFE -NDLRAKVGPMSVMKPLRDRIEDIDHYYDLIHLKSEPISRAAIAAVIGEWAGVRGLQPRTQGRTIETMKKS -TNSGSPYFRKRKTVLTETVPCKVMPYKDLVHQQLHYESWDACATLGWRGQEGGPKATDVKQRVVWMFPFA -VNVRELQVYQPLIEAAQRFNLVPAWVSMDEVDKRITRLFDTKGTSDLVVCTDFSKFDQHFNEDMQTASNQ -ILASLLNSSSDSVEWLENVHPVKYMIPLAYDWNAMRFGRHGMGSGSGGTNADETLVHRSLQYESAIKAKA -RLNPNSQCLGDDGILSYPGITVEDVVEAYAAHGQEMNLDKQYASTQDCTYLRRWHHKDYRINGVCVGVYS -TFRALGRLRYLERYYDPSMWGPTMVALRQLSIIENVKYHPLREEFAEFCMKRDKYRLGINLPGFLDNIES -VSKEAIEYMPDFLGYTKSLQSDAGGIGIKNWWIVNYLKSRA ->AIY31286.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MSENEFNKGKLKFVDCFDLPNPGLRSFLDNVEKGQPEIYHTPWIKVPEDWPVERKNRSIMKQFQKIMSEW -MPHLDKISTRWPSLYEFEKDLAAKNGPMSVMKPLEERLDDIEAYYKGILLPAEKLSDDAIAATIEKFKQA -KGLMVRSQQRTLDLMKKSTNSGSPYFTRKRNVMAKTVPCTVSGSYQFLNGDHRFGSCAILGWRGQEGGPE -PSDNKQRVVWMFPFAVNICELSMYQPLMECFQSFNLVPAWVSMESVDRSITRLFDTKSPDDLVVCTDFTK -FDQHFNPALQEAAQSILRQLCRDTADNNRWLEEVFPIKYEIPLAYSFGKIRTGKHGMGSGSGGTNADETL -AHTALQIEAAQRAKQTLNPNSMCLGDDGVLTYPGITSEDVVRSYTSHGLEMNPVKQYESKHDCVYLRRWH -HQNYRVDGVCVGVYSTARALGRLCMQERFYDADEWSAKMVALRELSIIENCKYHPLKEEFAEFCMKRDKF -RLGIDIPGFLDNIDKEAEKAIEHMPDFLGYTKSMVKDQTGLSNWWIVNYLKSKR ->AKG92637.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MPKYDNIMADYFDLPNPALGSYFGRTRHGNPDVYRTTFFKNRDPQDVLLEWMKSVQVLKQDWPTLLTFEE -DLASKVGPLSVQKPLVDRLPDVQAYYDCINLESKPLEKEAVQAFLKELKGLNTLSMRGIPATIENMKLST -SSGCPYFTRRKNDVRRHRYGDVKYDGTRITADIGGKEFKMAAILGWRGQEGGPKNSDVKQRVVWMFPFTV -NLQELRVYQPFMDMLQKHKIVPAWVGLDEVDNKITKLFDTKGEDDVVICTDFSKFDQHFNEDCQKVAHDI -LAWLFIGDSRMESWLRNVFPVKYNIPIICDDNIVKNGRHGMGSGSGGTNQDETLLHRVLQHEAALSVGQD -LNLNSQCLGDDGILTYPGIKVEDVIRTYTAHGQEMNPDKQYVSKQDCVYLRRWHHKDYRENGVCVGVYST -ARALGRMMYQERYYDPDEWGKEMVALRQLSILENCKHHPLKEKFVDFCMKGDKYRLGIDIPGFLDNLETL -SEKAIEVMPDFMGYTQSLGHKDNNKVSKGINDWWIVKYLKSKA ->AGK45545.1 RNA-dependent RNA polymerase, partial [Fox picobirnavirus] -KGGYHMPKCETKFGNYFKLPNPGLRAYFEHVVQGQPDEYRTPFFKGKSLDQVLKDWNRHLIKINSEWPSL -YDFENDLGQKVGPMSIMLPLEDRMSDIDSYYDLISKDQVPIPQEALDAALDEWKQASGLRARAQKSTVDW -MKKSTNSGSPFFTKRRNVVKDTVPCLITHTYDGNTLQFLGGGTKKFNVYESCAVLGWRGQEGGPNPEDVK -QRVVWMFPFAVNIRELQVYQPLIIAAQNHNIVPAWVGMDAVDQRITQMFDTKDPDDYVICTDFSKFDQHF -NPSCQDAAKYMLEHLFKGDKSMKDWLQDVFPVKYDIPLAYDFGKVRTGKHGMASGSGGTNADETLVHRAL -QHEAAIRAGTKLNPHSQCLGDDGVLTYPGCDVDDVVSTYSSHGLDMNPDKQYVSKQDCVYLRRWHHTRYR -RDGVCVGVYSTNRALGRLCEQERYYDPEVWGPQMVALRQLSILENVKYHPLNEEFVDYCMKGDKYRLGLD -LPGFFDNLERYAKQATDYMPDFLGYTKSLQNEGRDGVRTSISDWWIVNYLKSKR ->AIB06803.1 RNA-dependent RNA polymerase, partial [Genet fecal picobirnavirus] -GGRVLQTLNGSSVWDACAVLGWRGQEGGPSDDDVKQRVVWMFPFAVNIKELQLYQPLIESCQKFNLVPAW -VSMESVDRRVTAMFDTKGVDDAVICTDFSKFDQHFNQDMQNCARDILSSLGVEAHWLSNVFPIKYAIPLA -YDYGKVRSGAHGMGSGSGGTNADETLAHRALQYEAALSKSAKLNPNSQCLGDDGVLTYPGISVEDVVRSY -TAHGQEMNESKQYVSKQDCIYLRRWHHINYRVDGVCVGVYSTYRALGRLCEQERYYDPNE ->AHX00960.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MPKNCEIKFEDCFNLPNPGLRSYFDIVRRGQPDEYRTTFAKDESVQDVLKKWKPFVDSLTDKWPTLVDFE -NDLAKKVGPMSIMKPLDARMEDIDAYYDGVKGHHQPILDSAISAVIQEWSKSRGLQVRRQSLTLNLMKKS -TNSGSPYFTKRKNVVNKTAFCNVLGSNSCYVQYLGAPKFQYLHDPGKFSYTYACAAVLGWRGQEGGPAPD -DVKQRVVWMFPFAVNLCELQVYQPLIESAQRFNTVPAWVSMEMVDQEITHLFDTKGKDDLVVCTDFTKFD -QHFGIPMQEAAKKILSAIFTDNEASRWWMNWIFPIKYDIPLAYDYGKVRCGKHGMGSGSGGTNADETLSH -RALQYEAAIKAKKKLNPHSMCLGDDGILSYPGITVDDVTRSYAVHGLEMNPDKQSASAHECTYLRRWHHE -DYRVDGVCVGVYSTYRALGRLMEQERYYDPDNWGPKMVALRQLSIIENVKWHPLRDKFAHFCMLRDKYRL -GIDIPGFLDNIEVEAKKAIEVMPDFLGYTKSMQTSDGEYSGISQWWIVQFLKKYKEGVTYMRSE ->AMP18961.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MPKNCETKFGKSFILPNQGLRSYFDIVRNGQPDVIRAPFSKKEDPQKVLKAWMPHLEALADRWPTLVDYE -KDLAKKVGPMSVMLPLEQRIEDIDHYYEGILLPSKPIEDRAVKAVIREFASSRGLRVRGQVKTSELMKKS -TNSGSPYFTKRRRVLNNTVPCEVYASTMTQVLPCGDYDVCAVLGWRGQEGGPNPEDVKQRVVWMFPFAVN -VRELQVYQPLIESFQRRDFVPAWVSMESVDRRITKMFDTKGPDDLVVCTDFSKFDQHFNPDMQECAKTIL -SAILTPDQESRTWLDQVFPVKYEIPLAYDYDKVRCGKHGMGSGSGGTNADETLAHRALQYEAAQSVNQKL -NPNSQCLGDDGVLTYPGITVEDVVRAYSSHGQEMNTSKQYASKHDCTYLRRWHHTDYRVDGVCVGVYSTC -RALGRLMYQERYYDPEVWGPKMVALRQLSILENVKYHPLRDQFAEFCMARDKYRLGIDIPGFLDNIESEA -KKAIELMPDFLGYTKTMQLEDNPRSLGMSDWWIVKYLKSK ->AIW53314.1 RNA-dependent RNA polymerase, partial [Picobirnavirus HK-2014] -FERRLFHIPKKCETSAFQNYFELPNPALRSYFDRVLQGQPDVYRTPFYKGQDVTRVLRKWMLRLRVLKKK -WPTLYEFENDLAKKVGPMSVMKPLVDRIPDIDSYYGSILKESKPIPQFAIDAVLKEWRRAQGLRVRGEVR -TVELMKKSTSSGNPYYRKKKEVVEKTVPSRIEFVDPDHILQWLPHEDESYDSCALLGWRGQEGGPKPGDV -KQRVVWMFPFAINVHELRVYQPLIEQAQKFNIVPAWVSNEAVDDAITALFDTKGKDDLIVCTDFSKFDQH -FNQDMANAAETILRGLFVHNEDFQSWIQNVFPIKYTIPLAYDWDTLRFGRHGMGSGSGGTNADETLVHKA -LQYEAAMSKGAVLNPNSMCLGDDGILSYPGITVEDVTRIYSSHGQDMNLEKQYASTEDCTYLRRWHHKDY -RVNGRCVGVYSTARALGHLAMQERYYDPETWGPKMVALRQLSILENVKYHPLREEFVDFCMKGDKFRLGL -DIPGFLRDIERIAKEATDNMPDFLGYTRSLQKGAETGISQWWTYLLCISCHASGTAG ->AMP18958.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MPKNCETKFGKSFILPNQGLRSYFDNVRNGQPDVIRAPFSKKEDPQKVLKEWMPQLESLSDKWPTLVDYE -KDLAKKVGPMSVMFPLEKRMDSIDNYYDDILLPSVPIDDRAVKAVISEFSKCRGLRIRNQRKTVDLMKKS -TNSGSPYFTRKKRVVDETLPCEVFASDMTQSLDGDIYDLAAVLGWRGQEGGPTSDDVKQRVVWMFPFSVN -IRELQLYQPLIEAFQRFNLVPAWVSMDAVDQRITKMFDTKAPDDLVVCTDFTKFDQHFNPDLQNAARTIL -QNLLTPDRESRTWLDQVFPVKYAIPLAYDYNKVRCGKHGMGSGSGGTNADETLVHRSLQYEAAMQANRRL -NPYSQCLGDDGVLTYPGITVDDVVRTYASHGQVMNTSKQYASKHDCTYLRRWHHDQYRVDGVCVGVYSTC -RALGRLMYQERFYDPEVWGPKMVALRQLSILENVKYHPLRDQFADFCMARDKYRLGIDIPGFLDNIESIA -KESIENMPDFLGYTKSLQNETDPSAGISNWWIVNYLKSK ->ACT64131.1 RNA-dependent RNA polymerase [Picobirnavirus bovine/RUBV-P/IND/2005] -MSNSSQSVFDALKQSEFGIEVPYYNYFSEDARPGLSSYLDKTIRGNSDVVRTPFAKSKEQDEVLDEWSIH -LKKLEKEWPSLIEFENDLRSKVGPLSVQAPLIDRLESIESYFTDVSLPQDPIDQDAIRCVLREFHTIQGI -RVRTGQRTVNRMKLSTSSGNPFFTKRRNVVDQTVPVTVSTDYLRVKQSLTNHHNIWDAAAIIGWRGQEGG -PNKSDVKQRVVWMFPFGVNIAELSVYQPLIERCQDKNLIAPWIGLSAVDRRITQLFETKRPEDYVICTDF -TKFDQHFNRACQNAAYDILSRLLSHSAQEERWLEEVFPAKYNIPLICALTDDKLLCMSGEHGMASGSGGT -NADETLTHRALQYEAALWRRTNLNQNSMCLGDDGVLTFPGIQVEDVVQAYTSHGLEMNETKQYVSKHDCV -FLRRWHHEDYQIAGECVGVYSTYRALGRLMYQERFYDPEVWGPKMVALRQLSILENCKYHPLRDEFAKFC -MKRDKYRLGIDIPGFLEDIGRIASEATNLLPGFMSYTQQLDAEGNGDSYGIENWWIVQFLKSQR ->AOW41973.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -MKGGLFIMPKNNETNFSEFFDLPNQGLRSYFDIVRHGQSEIYDTPFAKHNGGVQKILREWEPVLESLSKD -WPTLVEFENDLRSKVGPMSVMKPLSDRMDDIDAYYSGILLPQEQVNSSAIKATLNEFKQAGGLRLRSEQR -TVDLMKKSTNSGSPYFTKRRNVVDKTVPCAVTLEEQGLDGGMKKYRVAAVLGWRGQEGGPKPSDVKQRVV -WMFPFAVNIQELRLYQPLIETCQRFNLVPAWVSMEAVDRRITRLFDTKSADDDIICTDFSKFDQHFNPVM -QDAAKTILTGLLNNDSDSRGWLNNVYPIKYEIPLAYDFGKIRYGKHGMGSGSGGTNADETLAHRALQYEA -AILNHSKLNPNSMCLGDDGILSYPGITVDEVVRSYSSHGLEMNPDKQSVSKHECTYLRRWHHQNYRVDGV -CVGVYSTYRALGRLCEQERYYDPDVWSAKMVALRQLSIIENVKYHPLREQFADFCMKRDKYRLGIDIPGF -LDNINAEAQKAIDLMPDFLGYTKSMTKDQTGLSEWWIVKYLKSK ->AHZ46150.1 RNA-dependent RNA polymerase [Picobirnavirus GI/PBV/turkey/USA/MN-1/2011] -MKSATKFSQYYDTSNPGLRAYFKLIEHGQPDIYRTTFAKGQDPQQVLAQWMGHLRPIKREWPTLYQFEVD -LSKKVGPMSVMKPLAQRLTDIDSYYEGISLPSKPLRSDAVDAVIKEWRSIAGLRVRSQASTVQRMKLSTN -SGSPYFTKRSAVINKTVPCAAWMDEYYCKQNLQGSTWGACATLGWRGQEGGPTADDVKQRVIWMFPLAVN -IRELQMYQPLIEAAQRFNLVPAWVSMDAVDATITKMFDSKPKRDLVVCTDFTAFDQHINPKLQIVAESVL -DALTTGPEAGAWLREVFPIKYNIPLAYDYGKIRFGPHGMASGSGGTNADETIMHRALQHEAAMMNGSELN -PHSQCLGDDGLLTYPGITVEDVVEVYESHGLDMNTIKQYASTNDCEYLRRWHHVDYRMDGIMVGVYATSR -ALGRLLYTERYYSPEMWGPKAVALRQLSILENVKYHPMREEFTEFCMKRDKFRLGLDIPHFLDDIEQIAQ -EVTDYMPDFLGYVRSNMESVGISSWWIVNYLKSKA ->ALB35036.1 RNA-dependent RNA polymerase [Fox fecal picobirnavirus] -MGTPKQTINYKLNFVIFRHNEIKLDRYFNLPNPGLRSYFDIVRSGQPEIYRTTFAKGEDVSAVLQEWKPK -VDTLSERWPTLVEFENDLAKKVGPMSVMKPLSQRMNDIDHYYEDILLSSTPIDHSAVAAVIGEWSRARGV -TLRSQSRTVELMKKSTNSGSPYFTKRRKVADDTDLVGYLLKVLKSFRNYLVPYGILVPYLVGVGKKGDHH -KEDVKQRVVWMFPFSVNINELQVYQPLIEAAQRFNLVPAWVSMEAVDKRITDLFDTKSPNDLVVCTDFSK -FDQHFNAKLQDAALQVLRAIVTPGVTSKEWFDKVYPIKYMIPLAYDVGRVRFGKHGMGSGSGGTNCDETL -AHRALQYEAAQSSGVKLNPNSQCLGDDGVLTYPGITVEDVVKAYTSHGVEMNLGKQYASTQDCTYLRRWH -HKDYRVDGICVGVYSTCRALGRLRYLERYQNPKYWDAKAVALRQLSILENVKYHPLKEQFAEFCMKRDKY -RLGVDIPGFLANIESEAEQAMDLMPDFLGYTKTLQTEGDPGPDGISNWWWIVKYLKSKA ->AIY31285.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MPKNNEANFGKYFNLPNPGLESYFDRVRVGNPDEYRTTFYKKVKLGDVLKMWMPHIDKLENEWSGLFKFE -NDLAKKVGPLSIQKPLKERMEDIDSYYDSILLESKPILKSSLDKVVQEWGSLRGLQPRSQQRTVDLMKKS -TNSGSPFFTKRRAVVHKTLPVRLRELGVNTEMLLDDNNRWLAAAVLGWRGQEGGPTDDDVKQRVVWMFPF -GVNIEELTIYQPAIEIAQKNMLVPAWVSMEAVDRQITKLFDTKGKNDLVICTDFTKFDQHFNSDMQDGAK -YILSRLLNTTSESRYWLEEVFPVKYTIPLAYDFGEIRTGKHGMGSGSGGTNFDETLTHRALQYECAMLKN -QRLNPNSQCLGDDGILSFPGITEEDVMRSYPSHGQEMNATKQESSTHECTYLRRWHDINYRMNGVCVGVY -ATSRALGRLCEQERYYDPEVWGPKMVALRQLSIIENCKYHPLRDEFLQFCMKGDKYRLGLDIPGFLDDIQ -HLADEANDLMPDFLGYTKSMQKDIGLDSWWVVQTLKSMR ->AIY31287.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MPKNNETKFGKFENYFNLPNPGLRSYFDIVQKGQPEEYRTTFAKGETIEEVLKAWQPHVESLTEQWPTLV -EFENDLKAKVGPMSIMKPLSERMEDIDHYYDDILLSSTPVSDKALKAVLAEFKAIKGLRVRGQQRTCDLM -KKSTNSGSPYFTKRRNVVKKTLYCDVDPGRNQGAGIPFQILNCNVDSWSTSGLHPAGCATSTDYLACAVL -GWRGQEGGPTKDDVKQRVVWMFPFAVNICELQVYQPLIESCQKFNLVPAWVSMESVDQRITNMFDTKGVD -DLVICTDFSKFDQHFNEDMQQAAACIIEGLLSDDRSSKSWIRQVFPIKFVIPLAYNYNQIRFGKHGMGSG -SGGTNADETLAHRALQYEAALANNAKLNPNSQCLGDDGVLTYPGITVEDVVHSYTSHGQEMNESKQYASK -QDCIYLRRWHHMNYRQDGICVGVYSTYRALGRLMEQERYYDPEVWSNKMVALRQLSIIENVKYHPLRDQF -AEFCMKRDKYRLGIDIPGFLDNIDSIAEEAIDLMPDFLGYTKSMTKEQTGLSN ->AIB06801.1 RNA-dependent RNA polymerase, partial [Fox fecal picobirnavirus] -VGNYFTDKALPNVKTYFGKVEKGQPNVYDTPFAKGESTKDLLSSWNEVLVSIQDEWPSLYAFETDMATKV -GPLSVQKPLKDRMNDIVDYYEGILLPSQPLSRSAILAVVKEWSPARGLWLRSVKRTWELMKKSTNSGSPY -FTKRRNVYHNGGDLVIYSHGSTTDGYENCAMLGWRGQEGGYSKTDVKQRVVWMFPFGINVAELQVYQPMI -EAAQKFDLVPAWVSNEAVDKRITLLFDTKGKDDLIICTDFTKFDQHFGQHMQDAAKSIIAAIFNKSINEW -LDHVFPIKYNIPMMFNYGEVILGHHGMGSGSGGTNADETLAHRALQYEAAIKSGTRLNLNSMCLGDDGIL -TYPGCTVKDVTDVYSTHGLEMNESKQYASVQDCVYLRRWHHRDYRVDGVCAGVYSTNRALGRLRYLERYQ -DPEYWGPKAVALRQLSILENVKYHPLRDQFAEFCMKRDKFRLGLDIPDFLDNLEAEVREVNDHMPDFLGY -TKTLQSEGDPTYGINSWWIVKYLKSKR ->BAJ53290.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -VNFQIYNILLKGGFLMPKKLETSFGNFFKLPNPGLRAYFQHVESGQPDEYRTPFYKGRSVQSLLKEWNSH -LEKIQDKFPELYQFEEDLGKKVGPMSVMFPLKERLPDIDSYYDSILLESKPISPDAIRSAQKEWADIAGI -RTRGQRKTVELMKKSTNSGSPYFTKRSKVVDKTVPCYVTYNVVANREGLEATHMTQHLRGNTWDCCAVLG -WRGQEGGPSKEDVKQRVVWMFPFAVNIAELQLYQPLIEAMQHSSIPKVPAWVSMESVDCAITRMFDTKAP -NDLVICTDFSKFDQHFNKDLQDCAAECISYLFKGDKGLATWLRDVFPIKYHIPLAYDWGKIRTGAHGMGS -GSGGTNADETLAHRCLQHEAAISSGKVLNPNSQCLGDDGVLTYPGISVEDVMQAYTSHGLEMNESKQYAS -THDCVYLRRWHDQSYRVDGVCVGVYSTNRALGRLCEQERYYDPESWGPKMVALRQLSILENCKYHPLKEE -FADWCMKGDKYRLGLDIPGFLDNIEREAQKATEYMPDFLGYTKSLQADGNPRTTGISNWWIVNYLKSKA ->AIY31284.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MPKNNETKFNDYFNLPNPGLRSYFDIVRRGQPDEYRTTFSRGDSLGKVLKDWSITLESISDKWPTLMDFE -NDLKAKVGPMSIMKPLSERFEDIDHYYDDILLSSTPVSDKAIKAVLSEFNSVRGLRVRSQQRTLDTMKKS -TNSGSPYFTKRRDVVDKTLFSTAGLHDDNLHVWQTLNLSKDEVLLPVVNDKTWQAAAVLGWRGQEGGPHK -DDVKQRVVWMFPFSVNLLELQVYQPLIECCQKFNLVPAWNSMELVDQRITAMFDSKGVNDMVICTDFSKF -DQHFNSSLQMCAKSILSQLLTSSKESTYWLDQIFPIKYNIPLAYDYNRIRFGKHGMGSGSGGTNADETLA -HRALQYEAALANNAKLNPNSQCLGDDGVLTYPGITVEDVVRSYTAHGQEMNVDKQYVSKHDCIYLRRWHH -INYRVKGICVGVYSTYRALGRLMEQERYYDPDVWSSKMVALRQLSIIENVKYHPLRAEFADFCMKRDKYR -LLHIYLSLCNQCDATS ->AMP18960.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MKVATKSSKNFDKCFDLPNPGLRAYFQHVRDGNSDVYRTPFYKDRDLPTLLQEWERKLLPLKAKWPSLYQ -FEIDLGEKVGPMSVMKPLSERMGDIDAYYDLISLDQVPLDARAVDASKREWSEIAGLRIRGEARTVNVMK -KSTNSGSPYFAKRRNVLDKTLPCTVWNSGESVFQNLDGNVWEGAAVLGWRGQEGGPSAQDVKQRVVWMFP -FAVNIKELSVYQPLIEAFQAKRKVPAWVGMDAVDAEITRLFDTKGRDDVVICTDFSKFDQHFNPVCQDTA -AELLSYLFGNSREIGRWLDDIYPIKYMIPLAYDWGKIRFGRHGMGSGSGGTNADETLLHRTLQHEAAILN -GQTLNPHSQCLGDDGILSYPGCTVNDVVSAYTSHGLEMNPDKQYVSKHDCTYLRRWHHQEYRQDGVCVGV -YATTRALGRLCMQERYYDPEKWGPKMVALRQLSILENVKYHPLREEFVSFCMKGDKYRLGLDIPGFFDNL -QAEAIKAIDYMPDFLGYTKSIQHDGNPDIGINDWWIVKYLKSLA ->AIY31289.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MRAKAGPMSVQKPLEARMDDILAYYSLSQGDTQVPDEVITQLMRNWSRMRGLEVRSQERTIANMKMSTNS -GSPNFTKRNWVIDKLLPFDISYLGDSDWLLSTPEGKWLCCAVLGWRGQEGGPNAEDVKQRVLWMFTMAAN -IRELQVYQPLIEAAQRTGIISSWLGNDAVDQRITQLFDTKKPEDLIVCTDFSKFDQHFNPGCQASAKRIL -EGLFNGSTEFQEWCDTIYPIKYGIPMCYDVEKFITGWHGMASGSGGTNADETLFHSSIQLHAAMDHGSVL -NSNSMCLGDDGLLSYPGIKVEDVVDCYRLYGQDMNLDKQYASSVDCTYLRRWHHTDYRVRGICVGVYPTI -RALTHLRMLERYIDPDKWGVKAVAMRNLSVINNCEYHPLGEQFVEFCMKGDKYRLGLDIPGFMDRLDEEY -REAKANDTLYVSYSAEYGDPRPPSQWWVTKTLKKLG ->BAJ53293.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -GGFRMKKSKPSISEYFELPNPGLWAYFKHTVSGNTEDYRPPFWKGQTREAVLAKWQKVFDGTNVKRTLPG -LYDFEMDMKSKVGPMSIQLPLKDRLESIENYFTMVQLDSEPIEAKAIDSACDFFAKAGGIRFRSKQRTAE -NMRLSTNSGPPWFTKRRKVLDEALNSNIYFDQARNEYMVSTPKGTYKLAAVLGWRGQEGGISLDDVKQRV -IWMMSMALNIEELQFYQPAIEAIQKNGLIPAYASMDAVDDEVTALFATKGADDVVICTDFTKFDQHFNSN -MQDAARIIENSLMNSNTSWDDRVFYAKFRIPIICSEDLMYTGAHGMGSGSGGTNFDECMSHKGLQFEAAI -RKKQKLNPHSMAYGDDGILTFPGIDVKDVIDTYSRHGQEMNASKQMVSKHECVVLRRWHSTKYTIDNRMV -GVYSTFRALGRLLAQERYYDPDKWSGEMVTLRALSIIENCKWSPVFHEFIDFVMTGDKFRLGLNLPGFFD -NLEAKAKEAIDEFEDFLGYTKNLQNKDAASGISNWEVVKYLKSK ->BAJ53287.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -KFLIYNKFDESQKGGFIMPKSNNETMKVFRRMNEYFELPNPGLRSYFDRVRLGQPEVYRTTFGKGSSAQQ -VLKEWMPSLNSLSKMWPTLLDFENDLAKKVGPMSVMKPLKERMIDIDHYYEDILLPQEPIDAKAIGAVVN -EFNTSAGIRCRSQAKTVELMKKSTNSGSPFFGKRRLYLNKTIPCDITTLSKDVIQSLSSGAFKACAVLGW -RGQEGGPKASDVKQRVVWMFPFAVNIEELRYYQPAIEAAQNFNLVPAWVSMESVDDRITRMFDTKGSDDL -VICTDFSKFDQHFNPVMQDAAKEIISAIITKDAQGQYWLQEVFPAKYYMPMMYDFGFLRVGRHGMGSGSG -GTNYDETIVHRALQYEAALSHGARLNPNSQCLGDDGVLTYPGITVEDVVQSYSRHGQEMNPDKQYVSKHD -CVYLRRWHHTKYRKDGICVGVYSTARALGRLMYQERYYDPEAWSKEAVALRQLSIIENCKHHPLREEFVE -FCMKRDKYRLGLDIPGFLDNIQGIAQKHVELMPDFLGYTKSLQDGDGVSGIQNWWIVQYLKSM ->AKN50621.1 RNA dependent RNA polymerase [Picobirnavirus Equ2] -MPKNNEVKFEDYFNLPNPGLKSYFDIVEKGQPDEYRTTFAKGETVQKVLSEWEPILHSIHDKWPSLVEFE -NDLKAKVGPMSIMKPLKERMEDIDHYYDDILLSSTPVSDKAVKAVLSEFKQVKGLTPRSQQKTVDLMKKS -TNSGAPYFTKRRSVAKKTLFTFCENDDLGTIMWLNSSQDDPRTAGFYASGHFRASAVLGWRGQEGGPEPG -DVKQRVVWMFPFSVNINELQVYQPLIESCQKFDLIPAWVSMESVDRRITKMFDSKGKDDVVICTDFSKFD -QHFNSDMQDAAYSIISQILNGGTAAQWWLKEIFPIKYNIPLAYNYGMIRFGKHGMGSGSGGTNADETLAH -RALQYEAAINSGTLLNPYSQCLGDDGVLTFPGITVDKVVDTYTAHGQEMNIDKQYVSKHDCTYLRRWHHD -QYRENGICVGVYSTYRALGRLMEQERFYDPDVWSAKMVALRQLSIIENVKYHPLRAEFADFCMKRDKYRL -GIDIPGFLDNIEGIAQEAIDLMPDFLGYTKSMTKDQTGLSQWWIVNYLKSKR ->AIW53311.1 RNA-dependent RNA polymerase, partial [Picobirnavirus HK-2014] -VTNQTVPCTVWPYRDEVNQDLSQSTWKAAAVLGWRGQEGGPSKLDVKQRVVWMFPYAVNIQELRVYQPFI -EAAQRFNLVPAWVSLDEVDRRITAMFDTKASDDLVVCTDFSKFDQHFGPEMQAAALRIMQACLEPGMTSN -EWLERVYPIKYMIPLAYDWGKVRFGKHGMGSGSGGTNCDETLAHRALQYEAAQKHGVKLNPNSQCLGDDG -VLTYPGITVEDVVKAYTSHGVSMNLDKQYASTQDCTYLRRWHHKDYRQDGICVGVYSTCRALGRLRYLER -YQNPKYWDAKAVALRQLSILENVKYHPLKEQFVEFCMARDKYRLGLDIPNFLDDLREIALEKIEDMPDFL -GYTRTLQA ->AMP18965.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MSKGETKFGSYFKLPNPGLRAYFSHVEEGQSDEYRTPFFKGKDLQTVLEEWDHTLSRIKDEWPTLYEFEN -DLRKKVGPMSIMQPLDKRMEDIDAYYDLISLDQTPLDPAALKAAQREWAQVAGLRIRGQNRTVNVMKKST -NSGSPYFTKRKRVVHDTVPTSVYWDGLDAYQDIPSGTWNSCAILGWRGQEGGPKPEDVKQRVVWMFPFAQ -NIAELQVYQPLIEAMQSRDLVPAWVGMESVDRAITRMFDTKGPDDLVVCTDFSKFDQHFNPVCQEVAREQ -LGFLFHGDQGMASWLQNVFPIKYMIPLAYDMDKIRLGMHGMASGSGGTNADETLLHRTLQHEAAILNHST -LNLNSQCLGDDGVLTYPGIKVEDVVRVYCSHGQEMNVDKQYASKHDCTYLRRWHHKDYRVNGVCVGVYST -MRALGRLAEQERYYDPEIWGPKMVALRQLSILENVKWHPLREEFVDFCMKGDKFRLGLDIPGFFDHIERE -AKDAIDYMPDFLGYTKSLQNDGNPSCGIKDWWIVNYLKSKR ->AIB06802.1 RNA-dependent RNA polymerase, partial [Fox fecal picobirnavirus] -VMPRNNASSFFQECFSQEDKTQKSLRAYFGNVVKGQPEVYDTPFAKGESTEQLLSAWMEVLESIRHKWPS -LWEFENDLAKKVGPLSVRKPLKERMDDIEAYYEGIHLPSKPLDERAIAATTREWSRFSGLEVRRQMETIL -LMKKSTNSGSPYFRKRKDVVTDTYACNVYEYRGWDFVQYLGMNKFHYFSDPLEYSDAFRYCAILGWRGQE -GGYSKEDVKQRVVWMFPFAVNICELQVYQPAIEAAQKFNIVPAWVSMDKVDETITYLFDSKGDKDLVVCT -DFSKFDQHFNVQLSRASHQILKNLLTPGKRSQWWLNEIYPIKYVIPIAYDYGKVKFGEHGMASGSGGTNF -DETLAHRCLQYEVAMHHGQMLNLNSQCLGDDGILTYPGITVEDVVKEYTRHGLEMNSDKQYASTQDCVYL -RRWHHTEYRERGICVGVYSTCRALGRLRYLERFMNPEVWDSEAVALRQLSILENIKYHPLKAQFVDFCMK -RDKYRLGIDIPHFLDDIEDIARKKIDLMPDFMGYTRTLQGGGDPVRGIENWWIVKYLKSKA ->APG78214.1 RdRp, partial [Jingmen picobirna-like virus 1] -MPKEVTKFGNYFKLPNPGLRTYFSRVERGQNEEYRTPFYSDKKLKDILDDWNNILESIRTKWPTLYQFEM -DLAAKVGPMSIMKPLKERIKDIDAYYDLISKDQTPIKANAIRAVNHEWRAIAGLRLRSQEHTVMEMKKST -NSGSPYFTRRRRVTDKTLPCKVYPANHDVFQELGNQIWRACAILGWRGQEGGPSDDDVKQRVIWMFPYAV -NIRELQFYQPFKEACQRHGLVPAWNGMDAVDQRITRMFDSKGSRDLVICTDFTKFDQHFNPTLQKAASDI -IGMFMSYNHDSAEWLNEVFPIKFMIPLAYDWDKIRYGKHGMASGSGGTNDDETMVHRALQHEAAINSGAE -LNLNSQCLGDDGVLTFPHCDVDKVMRSYTQHGLEMNPDKQYVSTQDCTYLRRWHHTDYRVNGVCVGVYST -MRALGRLAEQERYYDPEVWSKEMVALRELSIIENVKWHPLREKFADYCIKGDKYRLGLDIPGFLDNIDKK -AQEAIDLMPDFLGYTKSLQWDGDKRFRTRNLGISDWWIVRYLKSM ->AMP18950.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MPKNCETKFGKSFILPNQGLRSYFDIVRNGQPDVIRAPFAKKEDPQKVLREWMIHLESLSDRWPTLVDYE -KDLAKKVGPMSVMKPLDDRLTDIDHYYEDILLPSQPISDRAVKAAIAEFAGSRGLRVRDQKRTIDLMKKS -TNSGSPYFTKRRRVVDSTYPCSVYANTMTQVLTSGEEFDMAAVLGWRGQEGGPTPDDVKQRVVWMFPFAA -NIRELQVYQPLIESFQRNDFVPAWVSMDAVDLRITKMFDTKGDDDLVICTDFSKFDQHFNPDMQQCAKTI -LTALLTPNQDSRTWLDHVFPVKYSIPLAYDYGKVRCGSHGMGSGSGGTNADETLVHRTLQYEAAQSVNQK -LNPFSQCLGDDGVLTYPGIQVEDVVRAYSSHGQEMNTSKQYASKHDCTYLRRWHHTGYRVDGVCVGVYST -CRALGRLMYQERFYDPEVWGPKMVALRQLSILENVKWHPLRDQFAEFCMKRDKYRLGIDIPGFLDNIEHE -AKEAIDLMPDFLGYTKSLQMGDSPRQTGIEDWWIVKYLKSKR ->AIY31290.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MDMKGKIGPMSIQKPLAERLKSIESYFTDVEAKSEPIDSLAVKQAAKFFSRAGGIRLRSKEKVVQNMRLS -TNSGCPYFTKRKNVVDEILSHKIVSNADKSAVWQIPNTQSYMKPSDKNMDNFRLAAVLGWRGQEGGISSD -DVKQRVIWMMPFLLNAEELRFYQPAVEAIQSAGLIPAYVSMDAVDQEVTRLFDSKGNDDVVICTDFTKFD -QHFNKDMQDAAHDIEWLLSTKDATCKDWFDNIYPIKFNLPIICDSNIMYSGYHGMGSGSGGTNFDECMAH -KALQFEAALTAGQELNPHSMAYGDDGILTYPGINVEHVIQTYERHGQEMNASKQYVSKHDCVVLRRWHGM -NYRVNGTMVGVYSTFRALGRLLAQERFYDPDKWSKDMVILRSLSIIENCKWSPYFKEFVDFVITGDKYRL -GLDLPGFFDNLEQKAKEATEEFTDFLGYTKNLQKDVNNKEQESTGINDWEVVKYLKSKITSSTDKA ->AMP18968.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MKEVRFGKYFQLPNPGLKAYMGNVQQGQPEVYTTSFYKGTKPEKVLSNWIQKLDSLKRDWPTLYQFEIDL -GEKVGPMSVMKPLKDRMKDIDAYYDLIKLDSVPLSSASIGLCWDEWKHVAGMQIKDQASTILEMKKSTNS -GSPYFLKRKNVLGDTAPCFTYQDGVNTIQQLPNSRWNGCAVLGWRGQEGGPKPEDVKQRVVWMFPFAVNI -QELQVYQPLIAGFQAHNLVPAWNGMDQVDREITSLFDTKSPKDLVICTDFSKFDQHFNHHCQEVARAHLG -YLFNGNTQMEHWLKDVFPIKYNIPLAYSMGRLRFGPHGMGSGSGGTNADETLLHRTLQHEVANFNGQKLN -IHSQCLGDDGILSYPGITVDQVVASYTRHGLEMNTTKQYASTDDCEYLRRWHHTNYRVNGIAVGVYATTR -ALGRLLYQERYYNPEVWGPKMVALRQLSILENVKYHPLREEFVDYCMKGDKYRLGLDIPGFFDNLERYAK -ESIDYMPDFLGYTRSLESDGDPSVGISDWWITKYLKSKA ->AIY31293.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MSVMKPLSERMEDIDHYYEDILLSSTPVSDTAIKAVLAEYSAIKGLRVRGQQRTVDLMKKSTNSGSPYFA -KRKTVTQKTMFCDVIAHNRAAVPSVWQRLNGPKDWTYWNNSNSRKALNFFPATENDSTGWKACAVLGWRG -QEGGPTADDVKQRVVWMFPYAVNICELQVYQPLIESCQKFNLVPAWVSMESVDDRITQMFDTKGKDDLVI -CTDFSKFDQHFNTDMQIASKSILSDLLTSEGNSRDWLTNVFPIKYIIPLAYDYGKVRSGRHGMGSGSGGT -NADETLAHRALQYEAALNNNARLNPNSQCLGDDGVLTYPGITVEDVVRSYTAHGQEMNESKQYASKQDCV -YLRRWHHTDYRVNDICVGVYSTYRALGRLMEQERYYDPEVWSKEMVALRQLSIIENVKYHPLRDQFAEFC -MQRDKYRLGIDIPGFLDKIDSIAKEAIDFMPDFLGYTKSMVRDQTGLSQWWIVNFLKSKR ->ALL29318.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MQETNLDEEQLKEFLDLDSKITRDKFTSYVGNVERGSNFDVRTPFYKTGKQYPERSEVLNLWSPTLEALK -NELPGLYMYEMDMAEKVGPMSVMAPLEDRWDPDIYSYYEAIHSPQQPISDEAVSKQVINELNRVKGVRML -NQANTLAAMDLGKADGAPFLGKKRDHVDQTIPCGLLFSTKDVTVVAQQSTKWKSCAMLGWRGQEGGPTPD -DTKQRVIWMFPFAVSILELQMYIALIRACQRAEFNPAWISQDAVDMRMTQLMKTKGRNDEIVCTDFTKFD -QHFNAKMQEAAKSVIAGIVSPGENERDWLREIFPMKYQIPLLAGKTLITGLHGVASGSGGTNWDETAAHR -ALQYEAASAAGRQLNRNSMCSGDDGCLSYPGISVEQVTESYTSHGLDMNETKQDHSVREATYLRRWYDIE -YRKDGLCRGVYATTRAIGRLLAQEREYDPKNWGPKLVTLRYLSIIENCKYHPLFDKFIEFCIKGDKYRLG -LDIPGFLDDLESIVKESMHMIPEFMGYNQRMQMLGPGGMVKPLTGIMSWSVVQYILKSNYSSQVKHSGKH -VILS ->ADG56983.1 RNA-dependent RNA polymerase [Human picobirnavirus] -MPKKLETSFGNFFKLPNPGLRAYFQHVESGQPDEYRTPFYKGRSVQSLLKEWNSHLEQIQDKFPELYQFE -DDLGKKVGPMSVMFPLKDRLSDIDSYYDSILLESKPISVEARRSAQREWADIAGIRTRGQSHTVELMKKS -TNSGSPFFTKRSKVVDDTLPCYITNQMIPNYYGQKAIRYEQHLRKGIWECCAVLGWRGQEGGPSKEDVKQ -RVVWMFPFAVNVAELQLYQPLISAMQSSRMPHVPAWVSMESVDRAITKMFDTKAAKDLVICTDFSKFDQH -FNKDLQDCAAECISYLFKGDKGLANWIRDIYPIKYNIPLAYDWGKIRTGAHGMASGSGGTNADETLAHRC -LQHEAAISSGKVLNLNSQCLGDDGVLTYPGISVEDVMQAYTSHGLEMNESKQYASTHDCVYLRRWHDVSY -RVDGVCVGVYSTNRALGRLCEQERYYDPESWGPEMVALRQLSILENCKYHPLKEEFADWCMKGDKYRLGL -DIPGFLDKIELIAKKATDYMPDFLGYTKSLQADGNPRTTGISNWWIVNYLKSKA ->ACY01866.1 RNA-dependent RNA polymerase, partial [Human picobirnavirus] -SVQLPLSQRIESIEQYYTAIETKSQPIDPWAITAFQETLIPVRGISLRSQAATVRNMRLSTNSGNPYFNS -KRRLVLGDTLPILGFGDMGDGTYQQYLNHGYSTYAMCAVLGWRGQEGGPEPDDVKQRVVWMMPLALNVAE -LQWYQPAITAWQKRRINSAYISMRAVEEKITQFFDSKGDEYAIVTDFSKFDQHFNSDLQNAAKQCIEFMG -DFKTCPDQSGGYYRNWLDEVYPIKYNIPLLCSENLMYIGAHGMGSGSGGTNFDECCSHGCMQHESAILNG -ATLNPYSNAYGDDGYLSFKGIDVDKVISAYTSHGQEMNPEKQSADKHSAVYLRRYYSTFRALGRLLYQER -FYDPEVWSKEMVILRALSILENCKNHPLFHQFIDFAEKGDRYKLGLKLPGFLDGLGTQVKKANDMIPDFL -GYTKSLQGD ->AIY31291.1 putative RNA-dependent RNA polymerase [Dromedary picobirnavirus] -MDMKSKVGPMSIQLPLSQRIDSIEAYYTGVEDAGEPISQEALDATVAFFSKAGGIQLRSRDKVIKNMRLC -TNSGNPYFTKRRLVKHLPQGPYPYVAILGWRGQEGGIHEEDVKQRVVWMMPFLLNVAELQFYQPAIAAMQ -RTGLIPAYVSMEAVDAEVTALFDTKNPEDDVICTDFTKFDQHFNKHLQDAAYYIENKLMSKGQTLDRELF -DIKFNIPLICTENLMFTGLHGMGSGSGGTNFDECMGHKSMQFESAIKAKAKLNPHSMAYGDDGILSYPGI -KVDDVIRTYTSHGQEMNKTKQYVSKHDCVVLRRWHGTDYRVNGIMVGVYSTFRALGRLLAQERYYDPEKW -SNKMVVLRTLSILENCKWHPLFHEFIDFVMKGDKYRLGLNIPGFYDDLTKIARTAIENFTDFLGYTKNLQ -NTDSYGGIEQWEVVKYVKSKE ->AGH88802.1 RNA-dependent RNA polymerase, partial [Picobirnavirus GI/PBVBovine/India/PTN/2011 P120] -LGWRGQEGGPKSSDVKRRVVWMFPFAASVAELPYYQPAIEAFRRFTLVPAWVTMDALDVRITRLFDTKEN -ADLVICTDFSKFDHLFNALLLRAANTILASLMSSNDGQRWLDDVFPIKYEIPLAYDWGYIRTGKHGMGSG -SGGTNFDETMAHTALHFESALHNLLRLNPNSQCLGDDGVLSYPGISVEDVMSSYTEHGLDMNGDRQYAIK -HDCVYLRRWHHKDYRVDGVCPGVYSTYRALGRLCMQNRYHDPDVWATSMVGLQEGAIIENVAMFHLLHDE -FAEWCMKRVKDA ->AIW53312.1 RNA-dependent RNA polymerase, partial [Picobirnavirus HK-2014] -KDAVYQELPGNTWDACAILGWRGQEGGSKPENVKQRVVWMFPFAVNVQELQVYQPLIEAAQKFNLVPAWV -SMDAVDREVTKLFDTKGKDDLIVCTDFTKFDQHFNADLQSAARTLLLEIFTPDNAMTSWIENVYDVKYMI -PLAYAWNKVRYGRHGMGSGSGGTNADETLAHRCLQYEAAQHAGARLNPHSMCLGDDGILTYPGITVNDVV -QSYTEHGLEMNHSKQYASTQDCVFLRRWHHNNYRVNGECAGVYSTNRALGRLRYLERYQNPEYWNEKMVA -LRQLSIIENVKYHPLRDQFAEFCMKRDKYRLGIDIPGFLDNI ->BAJ53291.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -KEVDRLPKNNEVSFGKYFNLPNPGLKSYFDKTRAGNADEYRTTFFRGKSLDSILKMWKPTIDKLESDWPT -LLDFENDLAKKVGPLSIQKPLSERLDDIDSYYDSILLESTPIPEPALKCVLKEWSNVRGIAIRSQVRTVD -RMKKSTNSGCPYFSKRRVVTDKTVPCTTHYIVENLETIQNLPQYDWNSAAVLGWRGQEGGPTDEDVKQRV -VWMFPYAVNIRELQFYQPAIELAKRELLVPAWVGMDSVDVRITRLFDTKAKSDLIVCTDFSKFDQHFNVD -MQNAAKYIIRGLLNSDRQSRDWLDNVFPIKYTIPLAYDWESIRRGRHGMGSGSGGTNFDETLVHRALQYE -AAMAQKAHLNLNSMCLGDDGILTYPGINVEDVTQVYSAHGQEMNLTKQYASEQDCTYLRRWHHTDYRIND -VCVGVYSTYRALGRLCEQERYYDPEIWGPKMVALRQLSILENCKYHPFREEFVDFCMKGDKYRLGLDIPH -FLDDIDSIAQEATDVMPDFLGYTRSLADDAQRGISNWWIVKTLKARR ->AMP18954.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MSKNKVVKAKVVTNLYSWNDYFNLPNQGLKTYFGRVRSGSDDEYRTPFYKGRDVQDLLKEWMVHVQKLSN -KWPTLVEFENDLASKVGPMSIMKPLSERMSDIDHYYDDILSHQEPISHSAIAAVLKEWEHVRGMQLRGQE -KTNNLMKKSTNSGSPYFTKRRNVQSKTIPCNVYLNGDDVAQDINHQFWRACAILGWRGQEGGPTAEDVKQ -RVVWMFPYSVNLAELQVYQPLIEMAQRYRLVPAWISMEEVDKAITRLFDTKGPQDDVICTDFSKFDQHFG -PSCQECAREILRGILAGKEAKDWLSNVFPIKYNIPLAFDWGEIRYGTHGMGSGSGGTNADETLTHRALQY -EAAQSMGQRLNPNSQCLGDDGILSYPGITVEDVVRSYTAHGLEMNESKQYVSKQDCTYLRRWHHQDFRVD -GVCVGVYATSRALGRLCEQERYFDPDIWSNKMVALRQLSILENVKYHPLKEEFVKFCMKGDKYRLGLDIP -GFLDNIEAEASKAIDVMPDFLGYTKSQQKDADAGIGSWWIVSYLKSLR ->BAJ53289.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -KEVILMPKNKKTNYSYEDYFNLPNPGLRSYFGNVERGSSDIITSPWIRGDNEQKLKQTKAILNEWMSHLE -SLKTEWPSLFEYESDMAAKVGPMSVMAPLKARLDDIDAYYNLINKDAAPVSQQANLAALAKFKRARGLTL -RSQQRVVDLMKKSTNSGSPYFIKRRNVVDKTVPVQVLHDEQILNGRSWKYCAVLGWRGQEGGPDIDDVKQ -RVVWMFPFGINVLELQLYQPLIEALQAHSDVPAWVGMDAVDLAITKLFDTKDSKDLVICTDFSKFDQHFN -QSLQDSAKTILTSILTPTKESHDWLEQVYPIKYDIPLSYDYGKIRFGKHGMGSGSGGTNADETLAHTALQ -FESAILNKTRLNPYSQCLGDDGILSYPGITADKVMRSYTTHGLEMNASKQYESTHDCTYLRRWHHTKYRV -DGVCVGVYSTARALGRLCMQERYYDPEVWGPKMVALRQLSILENVKWHPLRDEFAEFCMKRDKYDLGLKI -PGFLDNIEREASKAIEYMPDFLGYTRSLSFGEKTGISSWWIVNYLKSKR ->AMP18969.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MNRTEQLKERRPLEMATKWDQCFDLPNPGLRAYFSHVREGNDTEYRTTFYKGKSLEQILKGWDTTLSSIK -HEWPSLYEFENDLRKKVGPMSIMAPLKDRISDIDSYYDSILLESKPIDEQAIDATVNWLAKVRGLRLRSQ -NKTVEVMKKSTNSGSPYFTKRRNVTHKTVPCYLEGEEQVLRGKAWHDCAILGWRGQEGGPNPEDVKQRVV -WMFPYAVNIEELRFYQPLIEQCQKFDLVPAWVGMDAVDKRITQLFDTKGKNDLVICTDFSKFDQHFNSQM -QLASRKVFERIGTDDATMRYWLKEVFPVKYNIDLAYDQGKIRSGPHGMGSGSGGTNADETIAHKALQFEV -AIRAGKQLNPNSMCLGDDGLLTYPGITVDDVVRAYSSHGQEMNTDKQYASKHDCTYLRRWHHTDYRIDGV -CVGVYSTYRALGRLAEQERYYDPEIWGPKMVALRQLSILENVKFHPLREEFVNYCMKGDKYRLGLDIPHF -LDDIESIAKEATDLMPDFLGYTKSIALEGKHNHTTGIADWWIVKYLKSM ->BAJ53288.1 RNA dependent RNA polymerase, partial [Human picobirnavirus] -KEVTIMPKNNQIKFQDCFNLPNPGLRSYFDIVQNGQPEEYRTTFAKGQKVQKVLEDWAPYLDTLSDKWPT -LVEFENDLKAKVGPMSIMKPLSERLEDIDHYYDDILLSSTPVSDKAIKAVVAEFKRVKGLHPRSQQKTVD -LMKKSTNSGAPYFTKRRTVVEKTMYTDCMAYQMEPYNVDMELNLSSNYGGTQHSTSWQGAAVLGWRGQEG -GPKPGDVKQRVVWMFPFSVNIRELQVYQPLIESCQKFDLVPAWVSMESVDRRITKMFDSKGKDDVVICTD -FSKFDQHFNSHMQDAAASILTALLDNSSASDSFMNFIFPIKYCIPLAYEYGKIRTGLHGMGSGSGGTNAD -ETLAHRALQYEAAQACNSRLNPYSQCLGDDGVLTFPGITVDKVVDTYSAHGQEMNADKQYVSKHDCTYLR -RWHHDQYRQGGVCVGVYSTYRALGRLMEQERYYDPDVWSSKMVALRQLSIIENVKYHPLRDQFAQFCMKR -DKYRLGIDIPGFLDNVDKIAQDSIDLMPDFLGYTKSMTKDQTGLSQWWIVNYLKSQR ->AMP18959.1 RNA-dependent RNA polymerase [Otarine picobirnavirus] -MATKSNMFDKYFEMPNPGLRAYFSHVVSGNDEIYRTPFYKGKDVNTLLQMWIRQLNGYKLKQQWPTLYQF -EIDLGEKVGPMSVMKPLKDRISDIDAYYDLISLDQIPLDPRAIAASKDEWKDVAGIQIKSAENTVEVMKK -STNSGSPYFTKRKRVVDRTLPAFVYPNDHDVIQELQDSKWNGCAVLGWRGQEGGPKPEDVKQRVVWMFPF -AVNIQELRVYQQLIATFQKKNYVPAWVGMDAVDREITRMFDTKGRNDDVICTDFSKFDQHFNPVCQDTAK -ELIEYLFHGDPELRGWIENVFPIKYMIPLAYDMGKIRFGKHGMGSGSGGTNADETLLHRTLQHEAAILNG -STLNPHSQCLGDDGVLTYPGCCVDDVVRTYTSHGLEMNLTKQYVSKLDCEYLRRWHHTDYRKDGVCVGVY -ATTRALGRLCYQERFYDAEEWGPKMVALRQLSILENVKYHPLNEQFVDFCMKGDKYRLGLDIPGFFDHLE -REVQRAIDHMPDFMGYTRSLQSDGNPSVGINDWWIVKYLKSKA diff --git a/seq/clusters_seq/cluster_430 b/seq/clusters_seq/cluster_430 deleted file mode 100644 index 3843fb6..0000000 --- a/seq/clusters_seq/cluster_430 +++ /dev/null @@ -1,66 +0,0 @@ ->YP_009518854.1 matrix protein [Human orthopneumovirus] -METYVNKLHEGSTYTAAVQYNVLEKDDDPASLTIWVPMFQSSMPADLLIKELANVNILVKQISTPKGPSL -RVMINSRSAVLAQMPSKFTICANVSLDERSKLAYDVTTPCEIKACSLTCLKSKNMLTTVKDLTMKTLNPT -HDIIALCEFENIVTSKKVIIPTYLRSISVRNKDLNTLENITTTEFKNAITNAKIIPYSGLLLVITVTDNK -GAFKYIKPQSQFIVDLGAYLEKESIYYVTTNWKHTATRFAIKPMED - ->YP_009505452.1 matrix protein [Bovine respiratory syncytial virus ATCC51908] -METYVNKLHEGSTYTAAVQYNVIEKDDDPASLTIWVPMFQSSISADLLIKELINVNILVRQISTLKGPSL -KIMINSRSAVLAQMPSKFTISANVSLDERSKLAYDITTPCEIKACSLTCLKVKNMLTTVKDLTMKTFNPT -HEIIALCEFENIMTSKRVVIPTFLRSINVKAKDLDSLENIATTEFKNAITNAKIIPYAGLVLVITVTDNK -GAFKYIKPQSQFIVDLGAYLEKESIYYVTTNWKHTATKFSIKPIED - ->YP_009094039.1 matrix protein [Pneumovirus dog/Bari/100-12/ITA/2012] -MEAYLVEMYHGVPYTAAIQLNLVEKHSANISLTVWIPMFQTSLPKNSVMDLLHDVTVICTQISTVHGPMI -KVDLSSSNAGLATMPRQFLINAIIALDDWGNMDYEVPVAFDKKSFCVTILKPKDMLYTVPSITPTNRPTH -ELIAVXSFHNRVTLKSFTIPVFIRALSIRQQDLDSVEQAISSDVDHAITTARVAPYAGLTLVINITSTKG -AFKLLKAGCQILAELGPYLTQVSLHDVIMNWKHTGTSYILKSSSTSG - ->YP_173329.1 matrix protein [Pneumonia virus of mice J3666] -MEAYLVEMYHGVPYTAAVQLNLVEKHSANISLTVWIPMFQTSLPKNSVMDLLHDVTVICTQISTVHGPMI -KVDLSSSNAGLATMPRQFLINAIIALDDWGNMDYEVPVAFDKKSFCVTILKPKNMLYTVPSITPTNRPTH -ELIAVCSFHNRVTLKSFNIPVFIRALSIRQQDLDSVEQAISSDVDHAITTARVAPYAGLTLVINITSTKG -AFKLLKAGSQILAELGPYLTQVSLHDVIMNWKHTGTSYILKSSSTSG - ->NP_056860.1 matrix protein [Human orthopneumovirus] -METYVNKLHEGSTYTAAVQYNVLEKDDDPASLTIWVPMFQSSVPADLLIKELASINILVKQISTPKGPSL -RVTINSRSAVLAQMPSNFIISANVSLDERSKLAYDVTTPCEIKACSLTCLKVKSMLTTVKDLTMKTFNPT -HEIIALCEFENIMTSKRVIIPTYLRPISVKNKDLNSLENIATTEFKNAITNAKIIPYAGLVLVITVTDNK -GAFKYIKPQSQFIVDLGAYLEKESIYYVTTNWKHTATRFSIKPLED - ->YP_009513267.1 matrix protein [Human metapneumovirus] -MESYLVDTYQGIPYTAAVQVDLIEKDLLPASLTIWFPLFQANTPPAVLLDQLKTLTITTLYAASQNGPIL -KVNASAQGAAMSVLPKKFEVNATVALDEYSKLEFDKLTVCEVKTVYLTTMKPYGMVSKFVSSAKSVGKKT -HDLIALCDFMDLEKNTPVTIPAFIKSVSIKESESATVEAAISSEADQALTQAKIAPYAGLIMIMTMNNPK -GIFKKLGAGTQVIVELGAYVQAESISKICKTWSHQGTRYVLKSR - ->YP_009513222.1 M [Avian metapneumovirus] -MESYIIDTYQGVPYTAAVQVDLIEKDSNPATLTVWFPLFQSSTPAPVLLDQLKTLSITTQYTASPEGPVL -QVNAAAQGAAMSALPKKFAVSAAVALDEYSRLEFGTLTVCDVRSIYLTTLKPYGMVSKIMTDVRSVGRKT -HDLIALCDFIDIEKGVPITIPAYIKAVSIKNSESATVEAAISGEADQAITQARIAPYAGLILIMTMNNPK -GIFKKLGAGMQVIVELGPYVQAESLGKICKTWNHQRTRYVLRSR - ->sp|Q6WB99.1|MATRX_HMPVC RecName: Full=Matrix protein; AltName: Full=M protein -MESYLVDTYQGIPYTAAVQVDLVEKDLLPASLTIWFPLFQANTPPAVLLDQLKTLTITTLYAASQSGPIL -KVNASAQGAAMSVLPKKFEVNATVALDEYSKLEFDKLTVCEVKTVYLTTMKPYGMVSKFVSSAKPVGKKT -HDLIALCDFMDLEKNTPVTIPAFIKSVSIKESESATVEAAISSEADQALTQAKIAPYAGLIMIMTMNNPK -GIFKKLGAGTQVIVELGAYVQAESISKICKTWSHQGTRYVLKSR - ->sp|Q2Y2M4.1|MTRX_AMPV1 RecName: Full=Matrix protein -MESYLVDTYQGVPYTAAVQTDLVEKDQLPARLTVWFPLFQTNTPPTVLLEQLKTLTITTLYTASQNGPIL -KVNASAQGAAMSALPKSFDVSASVALDDYSKLEFDKLTVCELKAVYLTTMKPYGMVSKFVNSAKAVGKKT -HDLIALCDFLDLEKGVPVTIPAYIKSVSIKESESATVEAAIGGEADQAITQARIAPYAGLIMIMTMNNPK -GIFKKLGAGVQVIVELGAYVQAESISRICRNWSHQGTRYVLKSR - ->sp|Q84131.1|MATRX_ORSVW RecName: Full=Matrix protein; AltName: Full=M protein -METYVNKLHEGSTYTAAVQYNVLEKDDDPASLTIWVPMFQSSISADLLIKELINVNILVRQISTLKGPSL -KIMINSRSAVLAQMPNKFTISANVSLDERSKLAYDITTPCEIKACSLTCLKVKNMLTTVKDLTMKTFNPT -HEIIALCEFENIMTSKKVVIPTFLRSINVKAKDLDSLENIATTEFKNAITNAKIIPYAGLVLVITVTDNK -GAFKYIKPQSQFIVDLGAYLEKESIYYVTTNWKHTATRFSIKPIED - ->sp|P24615.1|MATRX_BRSVA RecName: Full=Matrix protein; AltName: Full=M protein -METYVNKLHEGSIYTAAVQYNVIEKDDDPASLTIWVPMFQSSISADMLIKELINVNILVRQISTPKGPSL -KIMINSRSAVLAQMPSKFTISANVSLDERSKLAYDITTPCEIKACSLTCLKVKNMLTTVKDLTMKTFNPT -HEIIALCEFENIMTSKRVVIPTFLRSINVKAKDLDSLENIATTEFKNAITNAKIIPYAGLVLVITVTDNK -GAFKYIKPQSQFIVDLGAYLEKESIYYVTTNWKHTATKFSIKPIED - diff --git a/seq/clusters_seq/cluster_431 b/seq/clusters_seq/cluster_431 deleted file mode 100644 index bd06c36..0000000 --- a/seq/clusters_seq/cluster_431 +++ /dev/null @@ -1,69 +0,0 @@ ->YP_009518853.1 phosphoprotein [Human orthopneumovirus] -MEKFAPEFHGEDANNRATKFLESIKGKFTSPKDPKKKDSIISVNSIDIEVTKESPITSNSTIINPTNETD -DTAGNKPNYQRKPLVSFKEDPTPSDNPFSKLYKETIETFDNNEEESSYSYEEINDQTNDNITARLDRIDE -KLSEILGMLHTLVVASAGPTSARDGIRDAMIGLREEMIEKIRTEALMTNDRLEAMARLRNEESEKMAKDT -SDEVSLNPTSEKLNNLLEGNDSDNDLSLEDF - ->YP_009505451.1 phosphoprotein [Bovine respiratory syncytial virus ATCC51908] -MEKFAPEFHGEDANTKATKFLESLKGKFTSSKDSRKKDSIISVNSVDIELPKESPITSTNQNINQPSEIN -DTIATNQVHIRKPLVSFKEELPSSENPFTRLYKETIETFDNNEEESSYSYDEINDQTNDNITARLDRIDE -KLSEIIGMLHTLVVASAGPTAARDGIRDAMVGLREEMIEKIRSEALMTNDRLEAMARLRDEESEKMTKDT -SDEVKLTPTSEKLNMVLEDESSDNDLSLEDF - ->YP_009094038.1 phosphoprotein [Pneumovirus dog/Bari/100-12/ITA/2012] -MEKFAPEFVGEDANKKAEEFLKHRSFPSEKPLAGIPNTATHVTKYNMPPILRSSFKLSPPRAAAKLTKPS -TPPSTPPPTPPQNKEEQPKESDADIETIHVYEVPDNPEHSKKPCCSDDTDTKKTRKPMVTFVEPEEKFVG -LGASLYKETMQTFAADGYDEESNLSFEETNQEPGSSSVEQRLDRIEEKLSYIIGLLNTIMVATAGPTTAR -DEIRDALIGTREELIEMIKSDILTVNDRIAAMEKLRDEECSRAETDDGSACYLTDRARILDKIVSSNAEE -AKEDLDVDDIMGINF - ->YP_173327.1 phosphoprotein [Pneumonia virus of mice J3666] -MEKFAPEFVGEDANKKAEEFLKHRSFPSEKPLAGIPNTATHVTKYNMPPILRSSFKLPSPRVAANLTKPS -TPPTTPPPTPPQNKEEQPKESDVDIETIHVYGVPDNPEHSKKPCCSDDTDTKKTRKPMVTFVEPEEKFVG -LGASLYKETMQTFAADGYDEESNLSFEETNQEPGSSSVEQRLDRIEEKLSYIIGLLNTIMVATAGPTTAR -DEIRDALIGTREELIEMIKSDILTVNDRIVAMEKLRDEECSRADTDDGSACYLTDRARILDKIVSSNAEE -AKEDLDVDDIMGINF - ->NP_056859.1 phosphoprotein [Human orthopneumovirus] -MEKFAPEFHGEDANNKATKFLESIKGKFASSKDPKKKDSIISVNSIDIEVTKESPITSGTNIINPTSEAD -STPETKANYPRKPLVSFKEDLTPSDNPFSKLYKETIETFDNNEEESSYSYEEINDQTNDNITARLDRIDE -KLSEILGMLHTLVVASAGPTSARDGIRDAMVGLREEMIEKIRAEALMTNDRLEAMARLRNEESEKMAKDT -SDEVPLNPTSKKLSDLLEDNDSDNDLSLDDF - ->NP_044592.1 Phosphoprotein (P) [Respiratory syncytial virus] -MEKFAPEFHGEDANNRATKFLESIKGKFTSPKDPKKKDSIISVNSIDIEVTKESPITSNSTIINPTNETD -DTVGNKPNYQRKPLVSFKEDPTPSDNPFSKLYKETIETFDNNEEESSYSYEEINDQTNDNITARLDRIDE -KLSEILGMLHTLVVASAGPTSARDGIRDAMVGLREDMIEKIRTEALMTNDRLEAMARLRNEESEKMAKDT -SDEVSLNPTSEKLNNLLEGNDSDNDLSLDDF - ->sp|P33454.1|PHOSP_BRSVA RecName: Full=Phosphoprotein; Short=Protein P -MEKFAPEFHGEDANTKATKFLESLKGKFTSSKDSRKKDSIISVNSIDIELPKESPITSTNHNINQPSEIN -DTIAANQVHIRKPLVSFKEELPSSENPFTKLYKETIETFDNNEEESSYSYDEINDQTNDNITARLDRIDE -KLSEIIGMLHTLVVASAGPTAARDGIRDAMVGLREEMIEKIRSEALMTNDRLEAMARLRDEESEKMTKDT -SDEVKLTPTSEKLNMVLEDESSDNDLSLEDF - ->sp|P12579.1|PHOSP_HRSVL RecName: Full=Phosphoprotein; Short=Protein P -MEKFAPEFHGEDANNRATKFLESIKGKFTSPKDPKKKDSIISVNSTDIEVTKESPITSNSTIINPTNETD -DNAGNKPNYQRKPLVSFKEDPIPSDNPFSKLYKETIETFDNNEEESSYSYEEINDQTNDNITARLDRIDE -KLSEILGMLHTLVVASAGPTSARDGIRDAMVGLREEMIEKIRTEALMTNDRLEAMARLRNEESEKMAKDT -SDEVSLNPTSEKLNNLLEGNDSDNDLSLEDF - ->sp|P24567.1|PHOSP_HRSV1 RecName: Full=Phosphoprotein; Short=Protein P -MEKFAPEFHGEDANNKATKFLESIKGKFASSKDPKKKDSIISVNSIDIEVTKESPITSGTNIINPISEAD -STPEAKANYPRKPLDSFKEDLTPSDNPFSKLYKETIETFDNNEEESSYSYEEINDQTNDNITARLDRIDE -KLSEILGMLHTLVVASAGPTSARDGIRDAMVGLREEMIEKIRAEALMTNDRLEAMARLRNEESEKMAKDT -SDEVSLNPTSKKLSNLLEDNDSDNDLSLDDF - ->sp|Q5MKM7.1|PHOSP_MPV15 RecName: Full=Phosphoprotein; Short=Protein P -MEKFAPEFVGEDANKKAEEFLKHRSFPSEKPLAGIPNTATHVTKYNMPPILRSSFKLPSPRVAANLTEPS -APPTTPPPTPPQNKEEQPKESDVDIETMHVCKVPDNPEHSKKPCCSDDTDTKKTRKPMVTFVEPEEKFVG -LGASLYRETMQTFAADGYDEESNLSFEETNQEPGSSSVEQRLDRIEEKLSYIIGLLNTIMVATAGPTTAR -DEIRDALIGTREELIEMIKSDILTVNDRIVAMEKLRDEECSRADTDDGSACYLTDRARILDKIVSSNAEE -AKEDLDVDDIMGINF - ->sp|Q83956.1|PHOSP_ORSVW RecName: Full=Phosphoprotein; Short=Protein P -MEKFAPEFHGEDANTKATKFLESLKGKFTSSKDSKKKDSIISVNSIDIELPKESPITSANHNISQSGENS -DTPATNQVHTRKPLVSFREELPTSENPFTKLYKETIETFDNNEEESSYSYDEINDQTNDNITARLDRIDE -KLSEIIGMLHTLVVASAGPTAARDGIRDAMVGLREEMIEKIRSEALMTNDRLEAMARLRNEESEKMAKDT -SDDVNLNSTSEKLNTILEEDNSDNDLSLEDF - diff --git a/seq/clusters_seq/cluster_432 b/seq/clusters_seq/cluster_432 deleted file mode 100644 index 2d15348..0000000 --- a/seq/clusters_seq/cluster_432 +++ /dev/null @@ -1,87 +0,0 @@ ->NP_599249.1 coat protein [Striped jack nervous necrosis virus] -MVRKGDKKLAKPPTTKAANSQPRRRATQRRRSGRADAPLAKASTITGFGRATNDVHISGMSRIAQAVVPA -GTGTDGKIVVDSTIVPELLPRLGHAARIFQRYAVETLEFEIQPMCPANTGGGYVAGFLPDPTDNDHTFDA -LQATRGAVVAKWWESRTVRPQYTRTLLWTSTGKEQRLTSPGRLVLLCVGSNTDVVNVSVMCRWSVRLSVP -SLETPEDTTAPITTQAPLHNDSINNGYTGFRSILLGATQLDLAPANAVFVTDKPLPIDYNLGVGDVDRAV -YWHLRKKAGDTQVPAGYFDWGLWDDFNKTFTVGAPYYSDQQPRQILLPAGTLFTRVDSEN - ->YP_009345111.1 Capsid [Wuhan pillworm virus 3] -MNAQTKKITCHACGRRFNTQQALHQHQQAVHGAANQRVPRGAGRGGRGRRNGQNNQGPARQGTAQSVTSR -ATPNQGVSVSGFERLGSIDIVNAASASWQVNAWMTPRLQAMSSAFQRIHYLSLKVNVVAFGSAIGTGGYV -IGFVADPSDATPTISQLQSQAGARTCKSWENCDVPLVVPGTLYYTSRDNEPRWYSPGRIVILVDGIASAG -GKLVINLHWSVRLSVPTATPPTVLKDIFLSEHLYVIPGKNILGWKDGTTFRGNISKFLSTPVPGDSWLSV -PTFGIEYNEGVGDTGTILIHYLKYNSSEGSLTCSNDGEKVVTQVWQASVAAQVVVPRNTILTIVDYNKPA -KPAVSDFIQPLVSNFNNVGIDSSRNSTTPSAGETGTDPPYSTYLELLRRQSALDSKISRIELQLSKMVKV -LMPKDQDSSQSSRSRSPSKDTTKLDSKGT - ->YP_009337863.1 putative capsid protein [Wenzhou shrimp virus 9] -MTNQHVCPICKRSFRTAQGLADHKRDRHEACSTGPAPKPQRVRPKREQRQAAPVSVPTSSGPARYSNRVT -LQGSNERFRTDDYPASRLKTGTLLTTIPVSPDLVPRLSTQAKAYQRIKYHSVALHIDAKGSTAQSGGYLA -VFISDITDEEISLERAGSFGGSVGKKYWENATVTATNLTPLFYTSRGEDERLWSPGYFAIYCDGSSNTAI -SLTYRLTWSVTLSVPSSELKQADVITTMVDLWPTAGKASFSDKDGSEENLFEPSLPVGTVVRFPYAVGIE -YKEGAGDTGTVPIMFAVVTASSGLKASNDRVDTSVVWQSDVSRRLLYPKSIRLIVEKRPGEVSRAGQVSQ -FSPCPPTSLASSETPSTETVGNNENRPTCSVRFTTPSSSDLMKSMHGCKTSMSDLRARPVCTVSSTLPPS -PNPSQESILLTFLESQVPRYQAARIVSQEVKTHEFPLLHHRNHLRLEMHWDLVPLMRGRRIPVYELSRHF -DDDFSLKFTINNTVSFREVVEAVEVLNRGRYFCLGFIALCLQHHFGADSSEVVPEEFPSVWYDESFHYRL -PCRSCHDNMESDYSFEELDPEVEYD - ->YP_009337668.1 hypothetical protein [Sanxia water strider virus 19] -MPTKRSRNPRNPKPNPNQSQNGAKRNPQPRARRRRRRGPRSPPMAGVAQQAAFQTGTRNDHIRVTGTDKL -YGEDNVPQKHRGEVLLAIRINPASFPRLSRMASTFQKYRFLRMDFRIVSMASTSTSGGYIIGFIPDPDDL -ADTWTTEQLLSTPHCRIVKAWESATIGATLESKWLYTNTGMESRLYSPGIFVIMVEGSIPGTAPLSVYVD -WVAEMHTPSLELVSKPVQQIEVVKNAYLRDAHFGLWFSDAEGGDDPHTIVPGIVYDVVYKLQSRVYVDYT -DQAGSYDKIVATTGDSHGPTLWVADFKGRRIEKEPVKNTFVLEKGDVLTPVQGEVRRGTSCFPRSPLPSS -QDGWELLQKDLRNLSIEGSSK - ->YP_009337277.1 putative capsid protein [Hubei permutotetra-like virus 11] -MVGSVECEYCKKKFKSKRAKNQHVSMVHKINEKMAPPAVAKTGRTRGSLRLRRTRTSNLPGFDIAPSRVP -TVRGGMINISGEDRIGAFDLKSGKAVFMSVDISPSMSARLTTIARAYQRIKWNAVKIVVTPQASAMTNGG -YVCGFIADPSDRAVTASDLSASQGAQTKKFYETAVVYMPRKTDLLYTSAGEDPRLFMPASFWIISEGLPS -SNLTMIVSVVWDVTLSQPTLENSHNNSFLLVGEIVPNPANYNLRYSPPGGDPQDDASSIIPAVLRETPGY -HYFRVPTFTIEYSEGTGDTGTIQAHFVVYHTTDKKLYYSSNGRDVETTMWQGNVDAHQTLVPCGTFMKYV -GQENSCRDTKLLTPKLLSETSPGSTSSSTNLSAKMQKLETLFLELKQNYQKDSKPLMEESMILKPNLVEK -LEQLEL - ->YP_009336979.1 hypothetical protein 3 [Hubei tombus-like virus 21] -MPQRQATTVRKRNKRIVSAPRRSTRGAPVNTPATVTNTSNGIYKTGTDQIQVVTIPAGTPSGTVVFAQSF -NVGLTQTLRNVGRSFSNWKLKHAVWDVVSKTNTMVGGGYVMATSPDPMYAVPGGPEAIAQVLALEGATSV -KAWQSKAIRAKSLSQLKYTIVGNDPRLYEDHVFVLLVDSPPTEEISLSVTLKWGVHFSHPAIYADATGNV -HPYTVMWPIVANNISAYPINDGDAELPWSEGLAGFPELHTVPANSYFIFQLPAPVINTVGNTRVLHRYFK -LVRTGEGYHSFTSYAGQPTSTWHQISEDSVFESVAAPGDIWRLLSTNILGFRVHTRLDCVRQPSMFSQRL -TLEESIRSSKHRIEMLKLSDTT - ->YP_009333381.1 capsid protein [Beihai noda-like virus 11] -MAKKPTKKNARNRGSNRPRGAPVAQATNIHVGSRDPPIVVRGTGRFAHSTHAANSLSDGDVLFDSIINPS -SFPRMSRIASAYQRYRFTRLAFTIQPMCPATTGAGYVAGFLKDPTDEDTSFDAIQGSQGAVIGKWWEHKR -IEVRPPTDLLWTSLGENPRLFSPGKFVMTAVGTNTDAVNVSVLCEWEAVLSVPSLEDYSEKPVTEYFSTK -DLLNSSYVHNSNSILQTNVFDGDVIPTGTILRIPFPIDVDYSLGAGDVASARYCYFLAGSHNLTWGLYSG -GSFSGGSNYYSDGQPTQCVLPKGTRFEVIPN - ->YP_009047240.1 coat protein [Senegalese sole Iberian betanodavirus] -MVRKGDKKLAKPATTKAANPQPRRRATQRRRSGRADAPLAKASTITGFGRATNDVHISGMSRIAQAVVPA -GTGTDGKIIVDSTIVPELLPRLGHAARIFQRYAVETLEFEIQPMCPANTGGGYVAGFLPNPTDNDHTFDA -LQATRGAVVAKWWESRTVRPQYTRTLLWTSTGKEQRLTSPGRLVLLCVGSNTDVVNVSVMCRWSVRLSVP -SLETPEDTTAPITTQAPLHNDSINNGYTGFRSILLGSTQLDLAPANAVFVTDKPLPIDYSLGVGDVDRAV -YWHLRKKAGDTQVPAGYFDWGLWDDFNKTFTVGAPYYSDQQPRQILLPAGTLFTRVDSEN - ->YP_003288761.1 coat protein [Tiger puffer nervous necrosis virus] -MVRKGDKKLAKQATTKAANPQPRRRVNNRRLGSKGDAPLSKASTITGFGRPTNDVHLSGMSRIAQAVLTA -GTGTDGYVVVNEIIVPELLPRLGHAARIFQRYIVETLEFDIQPMCPANTGGGYVAGFLPDPADNDHTFDA -IQATRGAVVAKWWESRTVRPQYARTLLWTSTGKEQRLTSPGRLILLCVGSNTDVVNVSVLCRWSVRLSVP -SLETPEETFAPITSQGPLYNDSITTATSGFRSILLGSGQLDIAPPGTVYSIDRPLSIDYNLGVGDVDRAV -YWHLLKKKGDPNNPAGFLDWGLWDDFNKVFTTGVAYYSDQQPRQILLPVGTVFTKVAPEN - ->YP_003288758.1 coat protein [Barfin flounder nervous necrosis virus] -MVRKGNKKLAKPATTKAVNPQPRRRNNNRRRGMRADAPLAKASTITGFGRGTNDVHLTGMSRIAQAVIPA -GTGTDGYIVVDETIVPELLPRLGFAARIFQRYAVETLEFEIQPMCPANTGGGYVAGFLPDPTDSDHTFDA -IQATRGAVVAKWWESRTIRPQYARALLWTSVGKEQRLTSPGRLILLCVGNNTDVVNVSVLCRWSVRLSVP -SLETPEDTFAPILTLGPLYNDSLAANDFKSILLGSTQLDIAPEGAVYSLDRPLSIDYSLGTGDVDRAVYW -HVKKVAGNVGTPAGWFHWGLWDNFNKTFTQGVAYYSDAQPRQILLPVGTLFTRADSGN - ->YP_611157.1 coat protein [Redspotted grouper nervous necrosis virus] -MVRKGEKKLAKPATTKAANPQPRRRANNRRRSNRTDAPVSKASTVTGFGRGTNDVHLSGMSRISQAVLPA -GTGTDGYVVVDATIVPDLLPRLGHAARIFQRYAVETLEFEIQPMCPANTGGGYVAGFLPDPTDNDHTFDA -LQATRGAVVAKWWESRTVRPQYTRTLLWTSSGKEQRLTSPGRLILLCVGNNTDVVNVSVLCRWSVRLSVP -SLETPEETTAPIMTQGSLYNDSLSTNDFKSILLGSTPLDIAPDGAVFQLDRPLSIDYSLGTGDVDRAVYW -HLKKFAGNAGTPAGWFRWGIWDNFNKTFADGVAYYSDEQPRQILLPVGTVCTRVDSEN - diff --git a/seq/clusters_seq/cluster_433 b/seq/clusters_seq/cluster_433 deleted file mode 100644 index fca279c..0000000 --- a/seq/clusters_seq/cluster_433 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_009824963.1 membrane protein [Rodent arterivirus] -MGVGLDDFCLDSTAAQKVLLAFSITYTPIMIYMLKVSRGRLLGVLHLIIFLNCAFTFGYMTFAHFQSTNR -VALTMGAVVALLWGVYSAIETWRFVTARCRLCFLGRKYILAPAHHVESTAGFHPITPSDNHAFVVRKPGL -TSVNGTLVPGLKSLVLGGRRAVKQGVVNLVKYAK - ->YP_009551709.1 membrane protein [Rodent arterivirus] -MGTRLDQFCDKNSGVAAAILAFSITYTPILIYLLKVSRGRLYGALHVIIFFNCAYAFGYLVYSHFSSTNV -VAITMGAMVLLMWGVYSAYKAVWFIIYRCRMCCLGRKYILAPSHHVESAAGPIPIVADDNHALVIRKPGS -TTVNGTLVPGLQGLVLGGKKAVKQGVVTLLKYARK - ->YP_009667153.1 envelope protein [Lelystad virus] -MGGLDDFCNDPIAAQKLVLAFSITYTPIMIYALKVSRGRLLGLLHILIFLNCSFTFGYMTYVHFQSTNRV -ALTLGAVVALLWGVYSFTESWKFITSRCRLCCLGRRYILAPAHHVESAAGLHSISASGNRAYAVRKPGLT -SVNGTLVPGLRSLVLGGKRAVKRGVVNLVKYGR - ->YP_009337030.1 M [Rat arterivirus 1] -MGASIDDFCLDSTAPQKVLLAFSITYTPIMIYALKVSRGRLLGLLHLLIFVNCAFTFGYMVFVHFSSTNR -VALTMGAAIALLWGCYSAIETWRFITSRCRLCLLGRKYILAPAHHVESSAGFHPVTPSDNHAFVVRKPGL -TTVNGTLVPGLKSLVLGGRRAVKQGVVNLVKYAK - ->YP_009214665.1 membrane protein [Rat arterivirus 1] -MGVSLDDFCLDSTAVQKVLLAFSITYTPVMIYALKVSRGRLLGILHLIIFLNCAFTFGYMVFAHFSATNR -VALTMGAVVALLWGVYSAIETWRFVTARCRLCFLGRKYILAPAHHVESSAGLHPIPASDNHAFVVRKPGL -TSVNGTLVPGLRNLVLGGRRAVKQGVVNLVKYAK - ->YP_009505554.1 M matrix protein [Porcine reproductive and respiratory syndrome virus 2] -MGSSLDDFCHDSTAPQKVLLAFSITYTPVMIYALKVSRGRLLGLLHLLIFLNCAFTFGYMTFAHFQSTNK -VALTMGAVVALLWGVYSAIETWKFITSRCRLCLLGRKYILAPAHHVESAARFHPIAANDNHAFVVRRPGS -TTVNGTLVPGLKSLVLGGRKAVKQGVVNLVKYAK - ->YP_009118968.1 envelope protein M [African pouched rat arterivirus] -MVAMIPVDYWCTDTTYGWAVALTLTYTSIILFLLKYTAGNLLFFLHVIACVTISGLIGYVIYQNTSGTVH -WWPASFGLASCFIWVVYDLYFIIRYLYRRCLMCKLGPRYAVAPLSFAETSHGIVPVSASSHGVFVARGAG -YTLAGNKLVKDIKNIVVNARRAVHRGAVRIDKY - ->NP_047412.1 membrane protein M [Porcine reproductive and respiratory syndrome virus] -MGSSLDDFCHDSTAPQKVLLAFSITYTPVMIYALKVSRGRLLGLLHLLIFLNCAFTFGYMTFTHFQSTNK -VALTMGAVVALLWGVYSAIETWKFITSRCRLCLLGRKYILAPAHHVESAAGFHPIAANDNHAFVVRRPGS -TTVNGTLVPGLKSLVLGGRKAVKQGVVNLVKYAK - ->NP_042578.1 non-glycosylated envelope protein M [Lactate dehydrogenase-elevating virus] -MGGLEFCDQTSWYQILIAFSLTYTPIAIYSLKVFRGTLAGIVNIFIFINCCVSFVYLMYHHSVTNTVALS -LGAVIALVWGIYTLVKIVNWMVLRCRLCFLGRSYILAPPSHVDTSDGRQSLTTSSTTAFVVRKPGSTLVN -GQLVPDFQRLVLGGKKAVSKGAVNLLKYVSK - ->sp|A0MD35.1|M_PRRSS RecName: Full=Membrane protein; Short=Protein M -MGGLDNFCNDPTAAQKIVLAFSITYTPIMIYALKVSRGRLLGLLHILIFLNCSFTFGYMTYVHFHSTHRV -ALTLGAVVALLWGVYSLTESWKFITSRCRLCCLGRRYILAPAHHVESAAGLHSISASGNRAYAVRKPGLT -SVNGTLVPGLRSLVLGGKRAVKRGVVNLVKYGR - ->sp|P0C782.1|VPX_LDVC RecName: Full=Protein X; AltName: Full=Envelope protein; AltName: Full=VpX -MGGLEFCDQTSWYQIFIAFSLTYTPIAIYSLKVFRGTLAGIVNIFIFINCCVSFVYLMYHHSVTNTIALS -LGAVIALVWGIYTLVKIVDWLVIRCRLCFLGRSYILAPPSHVDTSDGRQSLTTSLTTAFVVRKPGSTLVN -GQLVPDFQRLVLGGKKAVSKGAVNLLKYVSK - diff --git a/seq/clusters_seq/cluster_434 b/seq/clusters_seq/cluster_434 deleted file mode 100644 index ffef110..0000000 --- a/seq/clusters_seq/cluster_434 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_009824959.1 ORF2b [Rodent arterivirus] -MGALGSKITQLFVDAFTEFLVSIVDIIIFLGILFGFTVAGWILVFFIRLVCSACFRARSAVHSAELQKVL - ->YP_009551704.1 ORF2b [Rodent arterivirus] -MGNVASTVYSLLTNAFEEFLISIFDILLFLGILFALTVAGWLIKFLVQLVFLKACRPPGGAGYVQLSKNV - ->YP_009337024.1 E [Rat arterivirus 1] -MGSIWSKVQQLFVDAFTEFLVSIVDIVIFLGILLGFTLAGWLLVFCIRLVCSAIFRSRAALHPPELSKVL - ->YP_009214661.1 ORF2b [Rat arterivirus 1] -MGSLWSKIGQLFVDAFTEFLVSIIDIVIFLGILFGFTIAGWVLVFFIRLVFSAIFRARSAVHAPELQKVL - ->YP_009505550.1 E envelope protein [Porcine reproductive and respiratory syndrome virus 2] -MGSMQSLFDKIGQLFVDAFTEFLVSIVDIIIFLAILFGFTIAGWLVVFCIRLVCSAILRTRPAIHSEQLQ -KIL - ->YP_009118962.1 E protein [African pouched rat arterivirus] -MGSVWSIVSQAVYDAFVEFVTSVLDIVLFLGILFALTFAAKLVGFFIILVFRTLKSCKRDSGGTPTLPEL -GKYA - ->YP_009388592.1 E protein [Olivier's shrew virus 1] -MGSVWSAIVNGFQAALAEFVLTIMDVVVYFLILFALILFGYIFGKLIVLCCKCVCKKGSKSYDKLSLAKA -V - ->YP_006589918.1 E protein [Porcine reproductive and respiratory syndrome virus] -MGSMQSLFDKIGQLFVDAFTEFLVSIVDIIIFLAILFGFTIAGWLVVLCIRLVCSAILRTRPAIHSEQLQ -KIL - ->YP_006589917.1 E protein [Lactate dehydrogenase-elevating virus] -MGNVATLINKAIEDAFIQLIVSILDILVFLGILFGLTIAGWLLVWCIRLVFAAVFRASRKTDQSDIQKVL - ->sp|A0MD31.1|E_PRRSS RecName: Full=Envelope small membrane protein; Short=Protein E; AltName: Full=Glycoprotein 2b; Short=Protein GP2b; AltName: Full=Gs -MGSLWSKISQLFVDAFTEFLVSVVDIVIFLAILFGFTVAGWLLVFLLRVVCSALLRSRSAIHSPELSKVL - ->sp|P0C6Y6.1|E_PRRSL RecName: Full=Envelope small membrane protein; Short=Protein E; AltName: Full=Glycoprotein 2b; Short=Protein GP2b; AltName: Full=Gs -MGSLWSKISQLFVDAFTEFLVSVVDIAIFLAILFGFTVAGWLLVFLLRVVCSALLRSRSAIHSPELSKVL - diff --git a/seq/clusters_seq/cluster_435 b/seq/clusters_seq/cluster_435 deleted file mode 100644 index af3d324..0000000 --- a/seq/clusters_seq/cluster_435 +++ /dev/null @@ -1,313 +0,0 @@ ->YP_009553314.1 replicase [Wheat stripe mosaic virus] -MATGLTGTEILLFTESQSTLRHLVPERPNLTPGAVFAIINEHDCYRQWIQDLAGSRSRYARLMSRRLDTA -FSGFVGPILASQALTVLRTFQRSVENTRFNSRIRMFSSFTFVTDDLAHVSTDDELIPLFDLLTALSRAIK -LLGDFVVGSMNPTRMIDMALATDAVTIAGPEAIKRFNNQLENGITIPYILTSAEKDMVRRELGDDPFFKM -TNPLRNDHVVMAALRHAIREAHKRQHRLHNDGQGVKTLVIGAAMREVSEFAALPNVHYYFANADPKDTAR -TSLEILKELVKQKRANLTSKDKKILAELRRHPKYRANMPVKEAMSVLTEVKRVKKLCGGKPLKNVLGYEE -LLDQFQQTGGVVKPGTFHYEVKDSQRTRDVNDQYTLLLAQDWGYNATAVNWLELFRRTSAHQCLGYMALP -LELLFEDMPESDFYRFRRFKRKLLFDNHLASSPVDPQEFSPIAQVCAGHTSIDWASLTHAYSNGYSHPVS -TWGLLLRHPVISHHAYDFALEVQLENRYGPMVSFSLTRVAKQASIVRTIALPAHNRYVKLLDLPALAKQM -AACSFMSRAKLPLQYFSVHADEFYTTLAYAQAMDIQSLNRKNLATFVKVHRAGASLVSKELKPKWFLKPE -DIYKFVQAVYYYAMYLHTQLASEIDDIIKIDISWRDRLLAMATKGIHNILYSTKWMWSWLVSEQLVEKLL -IVMPDSFDQEGCSGLQQVRHIGHMYDKGSFSDKVDYSGDLALTSNFVDSQLMPEGYTIDEWLREEEVIVR -AVYREKIAEIDPATMVTVTLPDPDVTLLNKYKAGFRIKTDQDFHAALRDYHRDVKRSGCECGLCIQLGDT -CGEQIVDCFYQRDSDVELCVTLDELNVLKEQMREEARVAPTGLKDTLEAAMKEIPGADIRHTVRIEYIKG -GPGTGKSRLIRELADNVRDLIVAPFQKLRVDYKDQPTADGTLMSWEFHTQHRAMTIKNREKIFVDEFTAV -PYALLAIIAYNCQAKTIVLVGDEQQTGVLEGNNEGTPIMKMVDLGKVSMHNLLCNFRNPVHDVHKINYLY -GTRMLPMSKVESGLCFDDLATVPNKVAVEGFSLMHFSWETCRNLFGEVDKEKKTSVRCNQGSTHKKVVLP -ITEADKHLIQQDALSFVALTRHRDQLVVLFDPVVLGSEVRAFQQRLTTFPDDVLDTDYVTSLAFDVAKSA -KEPLYGFGETTRRFIAITKSVGGYEPAGIDADAFTAVQDDIVTEDIESVVRERIFETQCLKTPGCTFQRL -QLRKNICLIEAVAEALDCPLESLHNELESSLWYRKWIMEDSLATRADCENLSAALKLKLVVNFTTTACRQ -AWEFAPVGFTRTVAIMHEENERGGHFYVDATATQAMIATQVFRQVNEARCARELKKVNTVDFTSDEVMAY -PVDPANTVAAVSSRTMARAEEVFGSLTRTLFDRIGLGETELQTPLLPGLPGDSAEASSSRSFDFAGGAQV -ELSQQKLYSDNFGLRKTFVDYATEFVPAVPVSYLMPTDADLKLLATQKHPQVRPIYDAFRMINEYEFQNV -ESYDVPYINHEMANVVGEKFVAGQLSVEFQMPMNIRRHPKAQSQKFFTMGAGPALMYFKGNPAQQLQVLQ -ARYLGRRAKDLPTFRRTQLANHVADLFVDECMEPAMDVVMSLENLYSITSKGFADMVKKHYQKQMDENDT -RNARVYRFQLKDIEKPFKDAIVDLGKAGQGILAWSKEAHVMFMLAFRVLNDLLLKSLKPWVVYDNSMSEE -QFLDKVNAAMTVTPDCAVNSVIDATACDSGQNGFTQLIERQIYARLGASELLLDWYFSFREKYILQAEHV -RAPMENVKTSGEPGTLLGNSILMAAILNALVRGSGPFVLVMKGDDGFKRQACPKYDAEMLMGIKSFCPLD -FKIDIDVPISFCGYALVEGALYPDVQRKLAKVRTHRFRNFSHFAEYQTSLRDWLSRVPFEPEAYMRFLAV -NAELSGRSVEQVEHMIMMIQSVTHIGARQFQSCFHQVTVEFEPMPHHFKDLDPTVVSQKLNTTFLPAENY -AKRVMAKLKYNLK - ->YP_009513207.1 polyprotein [Beet soil-borne mosaic virus] -MADSFGFSPMEVLLFRGESLDQLTSDMPLDVQWDVVYSARCYAIWKHDLTHLNPLLKNSQRLAKRWDKLI -SGFGGPVPLDKLLTALLALMRYCITMGVSVQDIYLSDVVTTSDNLLHVSRVHGCVTFSWLYAKLSLFGRH -GRFWVGSSSHTAATMIEGSRAVNGPDVAISEMVEAFHLEVKSSLVVTVSLTPREKKILERELGFVPLYKQ -KTRAPRNHPVLAALREVMRQEYAASSNILNTKLKTLVIGAASREVNCYSSNPSVHYYFANKDSKDLVRTT -LELLHSALATKYRNMESGERELMNNLKGCGYIVKRSTDSGVYEVVSDKDVAEVLRYAKTVASTKRDAKQK -PVGKRKMIMSEATRRTIELHELSRVVAEEKKIPNHFHFDERSFGSVGSFTQLVCEDVGYNFSVDAWLHLF -NVTGAQTAVGYMALPNELLFEHYPISDYYDYWEGIEKHGALGGITISPLKNGQVVGMPKGVFQASQFCNS -AAGLGIPGSKMGTVERIICHMSDGFGNGYNHVKSDWQTLLKNPILASPKYNFAIEVDLQGRYGCLATFRL -TRVTGVKYVARTIKLRPEDRYVRVLDLLHIVRSIRQKGHAGLREPYQYFPVYKREVDTTVSYCFSIAEKS -LTVQNIANFIRHHIGGVSLVNKELVSAWRLNPQLVPSFAYAVYFYVINLRGELDGMLEKLMKKGITWSDR -LKANVSAFLRDMVDPISFLWTWLFERRLVDQIFEDGTDVFYQMDRACVDDKALKLSEHLNVTRDFMPADT -LLPEGWVLDDWEKAPDSLKTLSAAASLPIECGTINCVGKSFKSIRSLLPPSVVTSPVEQFFKAGGKFRND -SEFAELLSAHYRWQMDNSFCACQVCSALTGQTGSQVVECRWKEESLYTFSMSQTEVDDFRNEVKAQSIEK -GNRFGELLVGVHQKIPTQAFEVSVRLEYIKGGPGTGKSFLIRSLADPIRDLVVAPFIKLRSDYQNQRVGD -DVVSWDFHTPHKALDITGKQVIFVDEFTAYDWRLLAVLAYRNHAHTIYLVGDEQQTGIQEGRGEGVSILN -RIDLSKISTHVPIMNFRNPVRDVKVLNYLFGARMVPMSSIEQGFCFGDIKDFSSLSNIPDTKIVHYSDET -GEHMMPDYVRGISKTTVRANQGSTYDNVVLPVLPSDLKLINSQELNLVALSRHKNKLTILLDNDGMNIGA -TLKGMIEGVPEELERKDYIIGMYLGNHLPIKKEFFFPESEFAKSFRLMVAKYEAFVPYDSDLPTLVSQGD -VVVLDVSRVENDINDTFECADFYNLISRPNNCLVVAISECLGVTLEKLDNLMQANVATISKYHNWLRKKT -PSTWEDCRLFADALKVSMHVKVLSDKPYDLNYLVDGAASNITLHLLGKESDGHFVAAPISGGSASTSSGN -NNSASSSTSSEDDEEFDVTNLFADSGVSPTDMDAFCGFLEATIMTYFDKHDLPNSDWAHPVDGADGYYQI -NVEKFGLSECCQAFLRALDVFKLDSSQKKVVYKWLRSALKDKQFHWRATPGNTSASSSGSDVDNDFVNLA -GGKTKSKSSADVAPADTLRQSFMDYASEFIPILIADAPAVLPLVEPDPIVSKCMVPEFDAFLLIKEFDLD -NGADEYQCSYLNEAVANRIGDKFVSGVLDTDIISPLNLRGHPVSETVKYHSMCVAPAQIYFKRNQWQELQ -VQQARYLFRKVRNSPSSTQDTVARMVAQMFVSDCLVPNVAEVFSTSNLWRIMDKAMHDMVTKNYQGQMEE -EFTRNARLYRFQLKDIEKPLKDSETDLAKAGQGILAWSKEAHVKFMVAFRVLNDLLLKSLNSNVVYDNTM -SEVEFVANINAAMSTVPGSAINGVIDAAACDSGQGVFTQLIERYIYSALGISDFFLDWYFSFRERYIMQS -RYVRAHMSYVKTSGEPGTLLGNTILMGAMLNAMLRGTGPFCMAMKGDDGFKRQANLKINTDILKLIKKET -VLDFKLDLNVPITFCGYALSNGHLFPSVSRKLTKIAAHRFREYKHFCEYQESLRDWIKNLPKDPNVYADF -LECNASLSCRTTDDVQRWLDAIISVSRIGYAQFMMMFPLREVAMSLPPVEDELQVLSSTKVNVSIGENIS -NFVRKVARVDMKKF - ->YP_009507937.1 replication-associated protein [Rice stripe necrosis virus] -MACLTAYEIALLSEREDEFLRGLENNFSPDAMEAIAYGMTCYAQWVDDFKRFNARVKIGGLLSAAYRKRI -SQFTGPISAEVLRNILIEIAAIASNARVCATRVRLSGLLFDRASNLIHVGAKHMSTLQACITDLNIAVSC -QSSGVPYLVGAISAAHMQEMARASEGANVASADMIESYQMEVKASTTITLMLNASEKAILQRELGYVPLY -KPRGKPPRDHAVLAALREAIRQDYDATYGVARTSIKTLVVGAAAREVKRYCSNPMVHYHFSASEPKDMNR -IAIEFLKESTRTKIANMERQEKELMRALRDGGYIVTKRVENTNFEYERVASGDLENIVEIAKGLAASKRT -SKQLKGMRVRPAPGALYTDSVRKTLEFMTIAKDVAEENKIPDHFLFTKPDCAVTGQVYTQLVFEDVGYNF -SPEDWLDWFARTDAKFGVGYMALPIQLMCDTYPQSDYYNYFEMDAYSSLLADSKGKLTMHPVPNYQADYG -VASSWEGDIDGYAEIFGADKIWHGSKRISMMSGSSDNGYAHLKECWGTLFRSPVISDKRFPFSLEVNLVR -GYGCLAMFRLTRVDRASFIFRTIALRPEEEYVLILDLPKVARAYEKKGIAAMADPLPYFPVMKYEFDVSV -AYGVAIAERSLSIQNFCSFTRSHISGVSLVTKELVAKWRLPLGQLPEFSYAVYYYTMFLRGHCQKVIDEV -ANRELNWAKKLQVAVSNLAQNILEPVSFLWTWLFVRKMADQIVKTPTQKVYQFDATKKNMYIPHFGDGWE -KHAELTNSFLDPGCTLPEGITVDEWMEMPEDVRVLHALNVSGATEQHIADYKGVSILQAMASIPLNALTS -PLENFLSNGGKLRNDEDLAEALRAQYKWQSGKLTRCMVCTMLNGNAGSQVIECHHKLDSSYTFEMSQKEI -DDLRNDMLQESNLQGNEFGALLKRVRKLIPIAPFKKTVRLEYIKGVPGTGKSFLIRALADPVRDLVVAPF -LKLRSDYQNQGPVGGETTWNFHTQHKALEQSGKLTIFVDEFTAYDWRLLAVLVHRCGAETVYLVGDEQQT -GIRESENEGISILNKLKPSDYSMHVPLFNYRNPRKDVSVINHLFGTRMIPVSDVVSGFVNRPLSEFQPLV -SDPECRYKIIHYTEATGRALLAGMTTELKTTVRSNQGSTHDYVALPVTVADAPLLRNEQLNLVAISRHKK -EMIIFTDVGSESAGHGLAKSLVMPPEAVQASDYNLRLYLGLETPQKGKFATSESEFAASFRKLVERYNGY -LAPGEENDAPSGVIPRRVIDVAKVKNELAGQYKTVDFDLAARDNNCLVVALALALNTTFDVIKAKMLASS -EIKAFCTWARSSRMSTWDDCIMFAKGLRVAIQLQVTKPSGTSVLSFGDSDVNVVLAYEEHKKGEGHFSYV -KPKPQPEPIVDILDDKRITLRNGQFEIEEVEIPPAVNHDVVLHDNGFSVHPTLEEPVLHIETAESRVTEI -EEVIEQEPEQVEIDLKDDASIQSVDSHDSLKNLLQNEYSDQFANWVCFSESFEGIYGGKRKEPVRGVRAT -TVDELPQRFVDYATEFVPRMLSAIPANVHIPGTQYPEARVQPVYDAYTLLRDFDLYNGALEYDRDYFNQS -AANVVGDKFVTGVVSGDIISPLNLRGHPVNTIQTYRSLMVGPSQLYFKNNRFQELQVQQARYLFRKVSML -PSFQMQKIARMVATNFVTECLTPNIADVFRNDNLDKIVERALADMVIKNYSAQMDVEYTVNARVYRFQLK -DIEKPVKEPTVDMAKAGQGILAWSKEAHVKFMIAFRVLNDMLLKSVKENVVYDNGMSEKEFTDKINSAMS -SVPGVAVNGVIDAAACDSGQGPFTQLVERYIYELMGISDFFLDWYFSFREHYIMQSRYVRAHMTYVKTSG -EPGTLLGNTILMGALMNSFLRGDGPFCMAIKGDDGFKRQMNLRVNKDIVQAVKDFTPLEFKLDINVPLTF -CGYALVGGAMYPNIVRKAIKISTHRFKSYEHFTEYQESLRDWLNSLLKDPINRAIFLHVNAELAGKGMND -MQRVLDSITSVASISRSQFEEGFQERTTDVGEIPVFNGQDRTCLDTKIVTAFGDPVDVFIRKNARADLNE - ->YP_008219063.1 249 kDa protein [Burdock mottle virus] -MAFFTPRELFGYQFDVADSDVYTEFFEATYDVQLAAISGSRCYHSWVPSIQSLNRLTRNSTRIAKRWEGL -ISPFTGPISMSTYIELVARLISYIDIMVRGASILVPLPRYLESSQLFHIDSDCEVVSIQSVLAHLRGFIN -CDITYWVGAMTAASMIDAAKAVEGANVAHAEMVKSFQSEVESSVVVTGSLTPLQKKKIERELGFIPIYRQ -DHRAPRNHPELAALREVLRQEYNATNKLVNTKLKTLVVGAASREVVRYSSNPMVHYYFADKDSKDLVRTT -LDLLENNLAAKYKNMESAERELMNQLKRGGKIVKSRVGDKEYEIVSLAEMDSVMEFAKSISVSKSQAKKT -KSKTSTTARKGWFSPATEKTIELHMLAAEVAERKQIPGHFHFSRDSFIKSGPFTQLVCEDVGYNFSSFDW -LKLFSDTDAQVAVGYMALPYELIFDHFPPSDYYNYWELMDVSGTLKPIKTKVGGRPRGVYYISGRDASGI -PSGYLETESKRKGFKVVAHMTDVQGSGYSHDKEHWSTLLRHTVLSDPQFNFAIEVDLTGRYGCLNTFRLT -RITGKKYVSRTLELRPEERYVQVLDLINVVNNVRQLGEAGLKEPLVYFPVFKREVDTTVTYCFSIAEKSL -TIQNVANFIRHQVSGVSLVNKELISAWRLPQDLIPSFSYAIFYFVQNLRGEIDKNLKSLMDVKFSWADRL -RQNIKGFIHELVDPIAFLWNWLFDTKLVDKIFKETTDLMYQVDSVCLDETALNIEKHMELSRDFLPADTM -VPAGWSLDDWQDVPKEVRAAKVAMENQVASVDVDCSGKQFSEVCVSLEGTSSVSRCERFLVGGGKAQTDD -EFVSLFTDYAEWYSRGSTKMCRICDRLKGKLGAQILDCHHKIESDFEFAMSQEEVDDFRNDIKRESTMQG -NKYADLLAEVHKLLPKQAFTTTARVEYIKGGPGTGKSYIIRALADPNSDLVVAPFLKLRSDYQNQVVDGK -TVNWDFQTQHAALKCGREVIFVDEFTAYDWRLLAVLVHRSQAHTIYLVGDEQQTGIQESRGEGIYIPNKI -DLTKISTHVPFKNFRNPRLDVKVLNYLFASRMLPTSSVDAGYAFDDLSNFQTKVKDSSVKVIHYSDESGA -NLMTEYVTGVSKTTVRANQGSTHDSVVLPITAADGRLVESDELNLVALSRHKKSLTILTDQKVTAVGGKL -RELVKCAMTVVERPDYMLRMFLGLEKPLSGKFFMAESDLLKGFRILFDKYSGYVPYDEVVPEVSEKVEVE -VLDITEIRHNIAKENKVVNMLSPVYRANNCLLVAISEVLGKSLEDLHKTCLGAEYQSVYVHWLASGLPST -WADCIMFAKALQVTIVVHSEENPEQVLTYGSEETTVEILLLGKDVDGHFVVYNKQKLNCEEMEIPISDGE -TSDCSSPIDLDDLTELSFINDASTSGTKDDCCCPGEGSGSSCISGGQTSVCSEGLVFGSGFSDAIRKQVF -HHGVSGFEMSLPDLLFPTVGWQNARARKELFYSTSGQKDYRHGTIRRTALEFPQELHKLMVYLNTVLNIS -TNSCVVSKHSDGGNDLWCDVEDGGSDATSASVVVSYEGFCVFETTCQWNSCCNRLPMTAGTCLLLPNGFS -STHQYRVTDCLAGQTFLKFFSVGPAKLVKSEFVNISGGAKANVTKGKEVLEPTEVLRQSFMDYATQFVPN -MMGDVPFAISEIHHPTVSERNLVPEFDAVMMIKEFDLENGADEYSTNYLNEEFSNSVGHKFVSGILNPDI -ISPLNMRGHPVSDSVDTHVMSVAPSLMYFGRNRWQELQVQQARYLYSRVTRSSSATQDRVARIVAQLFVK -DCLSPNVAETFQPGNLARIMNQAMHDMVKRNYQGQMEEEFTKNSRIYRFQLKDIEKPLKDPSTDLAKAGQ -GILAWSKEAHVKFMIAFRVLNDLMLKSVNPNVVYDNAMSEDEFVEKINVAMAAVPDVAINGVIDAAACDS -GQGPFTQLIERYIYAALGISDFFLDWYFSFRERYVMQSKYVRANMQFIKTSGEPGTLLGNTVLMGALLNA -MLRGEGPMAMAIKGDDGFKRQANLKINSDIVKAISAQTVLEFKLDLDVPITFCGYVLVQGKLMPSISRKI -IKIAGHRFKTYQHFVEYQESLRDWISKIPRDPIGNAAFLECNAQLVGRSISDMQRMLDGIVSVSRIGREQ -FELFFPKKTFDLSPPPMTTDAKQSKCFVSLGDNGYWLMRQKARVLFK - ->NP_612615.1 237k protein [Beet necrotic yellow vein virus] -MADSFGFTPMEVLLFGGESVQLLTSDMPIDVQWGFVHSTRCYALWKDDLIHLNPLLKYSQRIAKRWERLV -SGFVGPVPLDKLLSLLAKLMRYCVNMGVSVQEIYLSDAIVSSSYMLHVSRSAGCVSFSWLYAKLSMFASC -GKFWVGSSHHTAANMIEGSRAVNGPDVAISEMVEAFHLEVKSSLVVTVSLTPREKKILERELGFVPLYKQ -KSRAPRNHPVLAALREVMRQEYSASCNILNTKLKTLVVGAASREVNCYSSNPSVHYYFANKDSKDLVRTT -LELLHSALATKYRNMESGERELMNNLKGCGYIVKRSVENAVYEVVSDKDVAEVLRYAQTVASTKKEAKKK -PNTGKRKMVMSEATRRTIELHELSRIVAEEKKIPNHFHFDESDFASVGNFTQLVCEDVGYNFSVDAWLHL -FEATGAQTAVGYMALPNELLFEHYPISDYYDYWEGVEKHGSLGGITISPLRNGQVVGMPTGVFQPVHFDK -TSAGLGIPGSKMGAAERVICHMSDGLGNGYNHVKSDWQTLLKHPILSSSKYNFAVEVDLTGRYGCLATFR -LTRVTGVKYVARTIKLRPEDRYVRVLDLLHIVRSIRLKGHAGLKEPYQYFPVYKREVDTPVSYCFSIAEK -SLTVQNIANFIRHHIGGVSLVNKELVSAWRLNPQLVPSFAYAVYFYVVNLRGELDGMLQKLMKKGITWAD -RLKANVSAFLRDMVDPISFLWTWLFERRLVDQIFQDGTDVFYQMDRACVDEKALRLNDHIKITRDFLPAD -TLLPEGWSLDDWEKAPDSLKTLSAAASLPVECGAVNCVGKSFKSVRTLLPPSVVTSPVEQFFKSGGKFRD -DAEFAELLSAHYRWQMDNSFCACQVCAALTGKTGSQVVECRWKAESMYTFSMSQTEVDDFRNEIKAQSIE -KGNRFGEMLIGVHQKIPTQAFEVSVRLEYVKGGPGTGKSFLIRSLADPIRDLVVAPFIKLRSDYQNQRVG -DELLSWDFHTPHKALDVTGKQIIFVDEFTAYDWRLLAVLAYRNHAHTIYLVGDEQQTGIQEGRGEGISIL -NKVDLSKVSTHVPIMNFRNPVRDVKVLNYLFGSRMVPMSSVEKGFSFGDVKEFSSLSNIPDTKIIHYSDE -TGEHMMPDYVRGVSKTTVRANQGSTYDNVVLPVLPSDLNLINSAELNLVALSRHRNKLTILLDNDGMNIG -AVLKGMLEGVPEELERRDYIVGMYLGLHLPIKKEFFFPESEFAKSFRLMVAKYEAFVPYDSNLPTLVLQG -DVVVLDIARVENDINDAFNCPDFYNLVSRPNNCLVVAISECLGVTLEKLDNLMQANAVTLDKYHAWLSKK -SPSTWQDCRMFADALKVSMYVKVLSDKPYDLTYEVDGAGSSVTLHLVGKESDGHFIAAPLSPSLSTNERE -SGHDSKKPADDSDTFDAANLFADKGVSSADIEAFCAYLEKTLMATIMEYDLRLQSWANVVDDTDDFYQIN -ISEFRQSTCFGKLLSALEVLKVDVSRKRFISDWLCKNLENKQFRWRWSSSVASTSSAGSNVDDDFVNMAG -GKTDANVDPADVLRQSFMDYASEFVPILIAESPILMPLVEPEPILSKCMVPEFDAFLLIKEFDLDNGADE -YQCAYLNESVANRVGDKFVSGVLDTDIISPLNLRGHPIAENVKYHSMCVAPAQIYFKRNQWQELQVQQAR -YLFRKVRNSPSSTQDSVARMVAQLFVSDCLVPNVADTFSASNLWRIMDKAMHDMVTKNYQGQMEEEFTRN -AKLYRFQLKDIEKPLKDPETDLAKAGQGILAWSKEAHVKFMVAFRVLNDLLLKSLNSNVVYDNTMSETEF -VGKINAAMNIVPDSAINGVIDAAACDSGQGVFTQLIERHIYAALGISDFFLDWYFSFREKYVMQSRYVRA -HMSYVKTSGEPGTLLGNTILMGAMLNAMLRGTGPFCMAMKGDDGFKRQANLKINDQMLKLIKKETVLDFK -LDLNVPITFCGYALSNGHLFPSVSRKLTKIAAHRFREYKHFCEYQESLRDWIKNLPKDPAVYADFLECNA -SLSCRNVDDVQRWLDAIISVSRIGREQFMMMFPIREVFMSLPPVEDSLGELSSTKVAVSIGDNVSNVVRK -VARVDMKKF - ->AEJ33768.1 multifunctional replicase, partial [Chara australis virus] -SWENCGTPPLHLGNQIHSPSRRRLQTYRGVLHDVGYSFSNNTWFNIFDTTGVQYIDGYIFCPYQLIFPDM -PQDPTFDLQLVKKVPLVNPLAKWCDMHTWSQPTHTVVRLTFQGDDGNGYVEDLEAWQSFFNTRIRTHPDH -SYGLLLDIAERQGDYIIFSMSRIHTRASIPWTISVPTEKHYLRVLDVLGHGVDYQSGKILFPGGRQYITI -LSSEWEQILLYLGRSNPDSVSYHLALTSAYRKAFAVLEGNDDDRRWKCRPRDLISVTLTAFIYHQQTRLV -LDNILGHQDTTFVHTMNNFLSELFGSVVNFTVANFRALLGIEGPLIDVPKQRYDYWQVYDHTDSHGLSTL -PDTVGDLLDAAISVHPPNEIEKISCTICQKLHPATLEHQIIRCNHVEPTDYTFTLSMNEINDLKSRLSVN -ISALASLADAVGLHTVLTNALKALPSLPISHKARVHVFTGGPGVGKTRFAATLLTTSEDLVVSPYDISSA -YTNSPATFMTQHKAVCNQRTFRKVIVDEFQSMDMDLLTVILSKAQPQIVEFHGDPKQPNVLESQNEGRSI -PHHILSKQKFSTHEYGFSYRCTLSSILILNHVFGYNLCTNSNIINDIEFLPLDSRWFERNPDVPAISFDS -QTVASLLGSTHNPKSSVRAITGATKTRLALVVRNQDLGLLQVDALAIVAISRHTEKLYIFYESSNVLAAI -KARYRIDDIPVWAQNPPRPIIPSNNPLTGSPLLDELTNVQVNSNQHTTTDLLFSTQCPHIYTCSHRCSDT -HYDGHTHSTSLSVCPKCSSVPPTINNSVVNIPSTTPSPSTNLVSPLADKQFAFPTSNVNTFKLYNPKLPV -QLLKVLPSPVIRDTWLHVPTPGDGHWFYHALTAGFLSLNHKHHSSLPTNPTTLRTLLHRTLSSPSQLSTY -AVNTFPDIATIGPTAYALGILRGQWGGDIEATLLSSLLNVNIQIVRCVPQKSQVKSKNFSDLLAVDSYPA -NAIWSFTASTSSLPLSAFPTIPDPTSTPTVYIGHSGAHWSALVPAPSTTIVMSPLTSPPTGFTTPSSSSP -TTPATSSPSWRSSNPLTPTKSTPLVSNSLTPTPSTPEPSDILQSTEQILHKVATTLHKHLLSTDANLQLA -SDPDSPQPDPITPTPPPLSSSLTDVLTFDVSLTPSAPEVNIIQPLPDIPNVIDTDSTFVPMFPSISRKKK -FKSCVPKSVKASHHTTPIKIRSTTDPKKKNSRPTVNDPTSQAKIVTQTPISPDPTPIMVPPTVTPIQPTV -SPQCPCDSQDSLESVLCNQGYPKDLVHTTIHDDSLCLPTAQCMSLLALRLKTHLIVTTVVTTSQDKHDPK -TTQILTRTHHYGKYANKLSLICSYDLLDPSTAKWSVDTGSLGINTIHSVDDLTSTNIVYPVSWSRHGILV -HVAADGRCAYTALEESARISGCINQVRDFFREFKKDPAQYNIPARDEDYQKISDQLDCAISISHTLPDLN -PDIYTPSSATTPRFTLYILIHNYHAHAFLPAHATTTATPATALRIAKTFRNFAGGDSLIPSSSYKPSVPV -EYLDLTTHFIKPAPESFTTTFTQTERPFFAESVGLRPYDAFRLAEDIIDVKSNLHPCGEEYHSLAPLNLQ -CLKSHMLHSRAKINIPHIIQPLNKMKRPLQDTIDRYRYIHSQGIDFMASSPAQELRTANDRYISSISLPL -TSASRQLAHRIADNFIRKYMEPLTEDSHEESETLHEALADALRKHYPSRTRDFSVFDFKRINFFMKEIFK -VSRSHITDPTKAGQGISAWDPTVVGLFHVLMRIMSRRFSRSLRPNAVFNNRLTQLQLVNKIRQAMTTVHS -SAIGGYVDGTQFDSCQNKFTQEIEKKILSFLGMPDEAIHNYYLVRNDYTLSSQTFSAKIDSAKTSGEPGT -LLLNTILMMCVTAWLLRSKTTSTVIVGQGDDCFMLGVGLHLDTEALSDVGKYTKMKLKCQIGGRISFCGM -SYNNNNFYLDLERRYKKLIGTTYRDYTHFAEVQNSIRDFLLDIKQSHTYGISQTIAANISVSSSHPDYTR -QFEYLLNVFHAIESLAHLNATQFHTHFQPFSLSRSLPD ->NP_612601.1 polyprotein [Beet soil-borne mosaic virus] -MADSFGFSPMEVLLFRGESLDQLTSDMPLDVQWDVVYSARCYAIWKHDLTHLNPLLKNSQRLAKRWDKLI -SGFGGPVPLDKLLTALLALMRYCITMGVSVQDIYLSDVGTTSDNLLHVSRVHGLCYFKVVVCQIVFVWKA -WKVLGWIFLVILLQLLLKGPRAVNGPDVAISEMVEAFHLKVKSFTRWSPFLKLPREKKILERELGFVPLY -KQKTRAPRNHPVLAALREVMRQEYAASSNILNTKLKTLVIGAASREVNCYSSNPSVHYYFANKDSKDLVR -TTLELLHSALATKYRNMESDETGEHMINLKGCGYIVKRSTDSGVYEVVSDKDVAEVLRYAKTVASTKRDA -KQKPVGKRKMIMSEATRRTIELHELSRVVAEEKKIPNHFHFDERSFGSVGSFTQLVCEDVGYNFSVDAWL -HLFNVTGAQTAVGYMALPNELLFEHYPISDYYDYWEGIEKHGALGGITISPLKNGQVVGMPKGVFQASQF -CNSAAGLGIPGSKMGTVERIICHMSDGFGNGYNHVKSDWQTLLKNPILASPKYNFAIEVDLQGRYGCLAT -FRLTRVTGVKYVARTIKLRPEDRYVRVLDLLHIVRSIRQKGHAGLREPYQYFPVYKREVDTTVSYCFSIA -EKSLTVQNIANFIRHHIGGVSLVNKELVSAWRLNPQLVPSFAYAVYFYVINLRGELDGMLEKLMKKGITW -SDRLKANVSAFLRDMVDPISFLWTWLFERRLVDQIFEDGTDVFYQMDRACVDDKALKLSEHLNVTRDFMP -ADTLLPEGWVLDDWEKAPDSLKTLSAAASLPIECGTINCVGKSFKSIRSLLPPSVVTSPVEQFFKAGGKF -RNDSEFAELLSAHYRWQMDNSFCACQVCSALTGQTGSQVVECRWKEESLYTFSMSQTEVDDFRNEVKAQS -IEKGNRFGELLVGVHQKIPTQAFEVSVRLEYIKGGPGTGKSFLIRSLADPIRDLVVAPFIKLRSDYQNQR -VGDDVVSWDFHTPHKALDITGKQVIFVDEFTAYDWRLLAVLAYRNHAHTIYLVGDEQQTGIQEGRGEGVS -ILNRIDLSKISTHVPIMNFRNPVRDVKVLNYLFGARMVPMSSIEQGFCFGDIKDFSSLSNIPDTKIVHYS -DETGEHMMPDYVRGISKTTVRANQGSTYDNVVLPVLPSDLKLINSQELNLVALSRHKNKLTILLDNDGMN -IGATLKGMIEGVPEELERKDYIIGMYLGNHLPIKKEFFFPESEFAKSFRLMVAKYEAFVPYDSDLPTLVS -QGDVVVLDVSRVENDINDTFECADFYNLISRPNNCLVVAISECLGVTLEKLDNLMQANVATISKYHNWLR -KKTPSTWEDCRLFADALKVSMHVKVLSDKPYDLNYLVDGAASNITLHLLGKESDGHFVAAPISGGSASTS -SGNNNSASSSTSSEDDEEFDVTNLFADSGVSPTDMDAFCGFLEATIMTYFDKHDLPNSDWAHPVDGADGY -YQINVEKFGLSECCQAFLRALDVFKLDSSQKKVVYKWLRSALKDKQFHWRATPGNTSASSSGSDVDNDFV -NLAGGKTKSKSSADVAPADTLRQSFMDYASEFIPILIADAPAVLPLVEPDPIVSKCMVPEFDAFLLIKEF -DLDNGADEYQCSYLNEAVANRIGDKFVSGVLDTDIISPLNLRGHPVSETVKYHSMCVAPAQIYFKRNQWQ -ELQVQQARYLFRKVRNSPSSTQDTVARMVAQMFVSDCLVPNVAEVFSTSNLWRIMDKAMHDMVTKNYQGQ -MEEEFTRNARLYRFQLKDIEKPLKDSETDLAKAGQGILAWSKEAHVKFMVAFRVLNDLLLKSLNSNVVYD -NTMSEVKFVANINAAMSTVPGSAINGVIDAAACDSGQGVFTQLIERYIYSALGISDFFLDWYFSFRERYI -MQSRYVRAHMSYVKTSGEPGTLLGNTILMGAMLNAMLRGTGPFCMAMKGDDGFKRQANLKINTDILKLIK -KETVLDFKLDLNVPITFCGYALSNGHLFPSVSRKLTKIAAHRFREYKHFCEYQESLRDWIKNLPKDPNVY -ADFLECNASLSCRTTDDVQRWLDAIISVSRMGYAQFMMMFPLREVAMSLPPVEDELQVLSSTKVNVSIGE -NISNFVRKVARVDMKKF ->BAS04359.1 polyprotein [Lentinula edodes ssRNA mycovirus] -MEVPSIQGFLDKAAVIGFEEHLRPTVTQTFKDLLAGTLKVVANLLPAERQAVVEIMPCPVVFAVPVKPTI -QPHPVLAAVRGSVRQVVESDLSRHSKVTTMFCGATAREITNGLTNQYWYHSVYNSETKDTQRTMTPLLNA -ISDNFTKVCKYYKMDDHDLDLDDESVVNAYAAMQGAEGKVGFRMEYSGACKVERLVWLDSAYNMTWQSFE -SAFRSTGASCAKLLMFMPPELVSMECPEDPHYKLERYFAGGVERARLVDKFGFANGYDHVLSEWSTLLRE -PAYQGKEFNLVSEIESRVGPYMVINISKTTKGGIITRDLTLFRQRYVKVLDVRSLIKSKRSWGEDFVASV -EPSDYFLVPRSEYQQVYTYVASLDRKTPDLEVITSFVRKRSGGAALIDKSLSKPWQSEQEFHSALCAAVL -VKVRLDQAEFDEGMGRAVEMDASALLRKIQSVVSLVLAPISVIVDFFRKVGAVDFLVVDHFPVLKQTVVL -PDRGFKTTHKLSFRNPNKLMEMPETGETCDMCKEFPEMRLGDNRSLQHWTCPGQKFAEVEHTFHLTTEDL -ERFRLEQLSPKDDDPEGIVRVKKAAKDKCPRSGFTFTTRVRTVQGAFGTGKSHFVKSIVNMDDAVLAPFS -ELRKDYDKDAQGNDIFFKTNHRAISDMEAKKRFFVDEFGSMTYEFLAVAAYLGQPELVYLIGDLKQTKVL -ASEGILISNRVPCKKAHVLIKNFRNTTGTIEWANERFGYTLEAAGPSFNSDGPCYVFEEDCGLTPDELEQ -CYRVSPDRLLVDLEESDNTARAMQGKTLDRMRLVLSPTSMNTFRVGCVRLVAVTRTRSPVIISCTPDLDR -QEVIALMNRVEHQPVKDRSATVHDRVEFTVATRLYKVLVALAESNETRVAAVRTFNRAVLAAEFKDDLDA -LRRWQLSLSDDTDLAELHATQFEPGYRDPVVERGPVEVPKAVRPPLPPHDPLRGALVLSRFEEPIIPPDH -TVDLQDWCETFLENEAYAGLVEDARIAALRGLGKGVREARSAALEVDLLRYPSYFGSVENRVALDASVAF -VNGVSRPAKRSRFTPRQNLPVHRVPVSDSTGVVDSGVDTWTSVKGKHDDVKVAGDRSGFLETVRKFTPLF -DLKKSSQAAPVLDLATSVYRSSKDSYLSAKDMDPHSWAGGAALNRITKMPGTVFTARITGDITTRVNARG -NPKKMVRVFKMGTGNALSYDNGHPTQELHTAAARYAVPQKAYKLGEEGNRLAAEIARVGFDACIDRERLA -AAWNDMDAANVLEGFMEKARRSGYASTWKEDADPQKPELRFHPKAQQKVKEAPITFAATFKAPQGISATS -KEFNTLMGSAARFIASVINASLKDEFVWNNGKTTKEAAGRYTAAALKIPVDDNVTLDVVEMDKEQNAFTH -MINRQFDTLIGVSQEFLDVYYGLYEHYKLIGMEFTASLRWVKPSGAPWTLKGNSFLEFLLANYMVIGDGP -QAVFFQGDDLSRTQANMRVDVVRKSLVERYCAFQMSMVFSKEAAFCGFVYASGMLVPNIRRKLNKVIAAP -IRSREHFYEYQAGIRDWLRDINAEDALPQVLQVNAQQLGADVSLVESWLDCIDSVAHASWDQWVSFAEKV -DLNIDYLDSAGGLQSVW ->APG77690.1 hypothetical protein [Hubei Beny-like virus 1] -MDVQSIIDTSKSVNASILGSAEASAIFRNSLKNSYKIPVALKDSDKAKVKEVVNFPLVFLDEYSVTNDHA -VLAALRGLVRDIMEKEFSISKTAERTLIVGAAMREVKKYNSNPHIHYYLHGSEGKDYDRIVRPALMAISR -TLRQKAGKKDKRVFLPKEGQDGRLDERPVIKRYKHMEQIIHDYMTLNQLPATMHTEVVSANTLVFEDSIY -NYDETSLVKLFNEAEANMAIGYGLFPMELLYPEMAPNSIYNFSVLPDGKAAITFNGGGYSNGYIHDHRCW -ATILRSPIISSGGTNLLVEIVSRAGPMMVFKIIRLSSSSKAINSVTAVRTIGLTDEENYVRVLDVWESVD -RATGKVLRPLKYFSVRESEYSDLLNYLIALDPKSLTLTNAITYVRRKRGGVSLVNKELVAAWHLQSKDVH -KFCLAVLLKAKTLHEKSNLIMENIDVTGIREKFYSFLRTAVKGIMWPVSTLFSWLLCENLSDSLVLYPQP -EQFQKAQVMQQSWVEKLAAGKTEIGPSTDFFQPAPSLTTDHENEENVGHCPICNELYGKLGSQKIKCEHV -TNSRVTLKLTDEQIAKLRTSLLDNDHDPMGLKNVKERAGKKLPPCGFEHQVTMRYIKGGPGCGKSHVIRA -LATQNDLILAPFTKLKPDYESVKADGETYDLLFKTTHRAMETTGCKRIFVDEFTSMPYEFLACVAYNNGA -EEIILVGDDKQTKVQEPDEGMYIGNHVNLSSICTHTLLVNFRNPKDTVALLNKLYGYEMEANSNISHSMH -VISGSQLPEGLGPHKKMAFTHASASINTGDEKMTVRSNQGGTTKTAVLYVSNMDGNILGSSELQIVAISR -HTDKLFIVSDFSGPASAFTASLDLSADFYEHLQTYLTFTADEYKEVPFVDPVVDLVLPKYQPPSDSYLLG -SEMMDPMAVDPNITSMNELESQVCGNGFSNGVASIDLVTPVNQRGHPSNTVAKFYSASAGLGLHYDKLKP -FQTMAVMEARYLNFVPKFPFGYDQVKLATEIVDLWFREHMQVNYSAFGEPEIQTVLSGFLKSVREKKYPQ -RYRGIDNPEARLVRFHLKDIFKPKLSNVFDFFKVGQGISAWDTDVCAMFCGVFRILGKHMIACEKPHVLT -DSHTSESDFIEKVTEQFQKLPGTALNGVTDGEMFDAQQNEFTQEIEKQFWLRLGVAENFLDMYYSFRKRY -VMQATNVRGRAGCQKTSGEPGTLVNNGIVSKVLSNYIIRGEGPVGIVYKGDDFNKRQCNLVVNEDNKKTI -EAVCPLKLKVNISEGSEFCGLIVANGYVFPSICRKLNKVMSHRFRDYKHFCEYQTSLRDFVKKMEKLDPA -KVIALNAAVTKKTNFQVSVEYDAIISFSHISKDQFEAVFKRKVEGDSIPVFNDDAPKGIIMQ ->AMQ23297.1 RNA-dependent RNA polymerase [Mangifera indica latent virus] -MDFGTVQGLDCYKAWGEVCRPDQATGLLPVWLAITQIPLGIPLRDITIVGQGFIHVLDSYTNSNVVLAKL -VEMYYSGKENWIIGSGITAAQMINVAKSAEAAQIALSAAQKSFVDEMATSLVIKLSLNEAQQNIVRRELE -FMPLFEPSKKAPQDHAVLAALREAMRWVYESMVNPTTTRKKTLVVGAAMREVKAYNANSAVHYYFANKDS -KDINRIALAMLEEVLKTKYKNLKADERKVINSLKDKGLIAGKYNENTGMVQVLSGMELQQVLDTAKLLSK -GKIKSAVSATVSLHEMVRLVAEENKIPGHFKFEVANAKVTGNPDDVYTQLLFEDVGYNFAEDDWLDIFDK -TEACVGHGYMSLPLDLVYENYPANDMYRYWEFDARKTVVSYTAGKKRGVIDSTFHCDGPGTIRVNGINSE -KLMGLPDRPGIYACMTNWNDNGYVHNKEAWSTLLRSPIIHSKKYNFSLEVNLHTRYGPLVGFSLHRVQSA -KLTVRTLCLKPKDEYVLLLDLPYILKQVKDHGASGLKKPFRYLSVYRQEFDTVVSWGMSIAEKSLTMGAV -SSFVKAHINGVSLINKELVSKWRLRPADQCRFVYCVYFYIKNLRLNLETDAEDLAGMIINWRQRFASFIK -SIVDAVVKPFEFLWAWLYHESIVDQLVIEPSAVKYQWDVSKLTEVDLEFSKHMAVTNAFIDEESEMPEGW -EADEWISTPDVVKQVVKSKQSGVEDRSTQMFTGKNLTESMEVLEQMPDKNKFEVFLQYGGHLKGDDDVYN -AMKLLSTAVLREECEICMCTHGALGAQILDCGYKKDSTFTFHMSQQELDNFRNELLRSSTELGNKYANVI -ENAHKIVPKMEFSWTGRVEYIKGGPGTGKSYLIRTLADALRDLVVAPFIKLRSDYQNQPTALGEVSWNFQ -TPHKALEYAGKLFIYVDEFTAYEWRLLTCLLYRCGTETVYLVGDVQQTGILESQGEGISILSKLDMSAVS -QHTLLVNFRNPVVDCRFLNSLYDVYMIPRSKCRSGIKFRKITDFAELAKDSNYRVIHFSTETGRCLLDSY -NEDGKTTVRANQGSTYDHVLLPVTNFDENLVRIDELVLVALSRHRLSLTILHDDHPSPAVNEFLMKVQSW -VKSDTDDALLNHYMHGTEKVVAGGGPGRLVSYFRRLVNQYGTKISPVCSEAGNLINPGKVVSVLIRTLEG -RKNKCLITAICNAMQVDEAYVDSCCRKRRSAWYLSWLESEKKSDWLDCKEIALALDIKLVVNVSDNGNNF -SLTTGQGKQVVINYTTSSDSGHFSCAMKPVPTYVCENVVEEEVNHGRCLLVIMAAFTVARHEAKRKMSES -LQDVLKKRDAWIRREIALKRRKVVEDNFRNTKCKINLNKAAPFKVSTFDVEEDFVEKLTALFFERKPTKE -NIISTIKKVYGVTSDAVVSNKIRFEPELEFILNEELSDFKFNATKKTLMDSFLEKISFRSRSKPDSQSCV -YELFDTVDRVCSDSFAKFMHISGGDDNVLPSQRFQDYALEFVPKMVATLPNNVELCGKLTVPQPKHVPDF -DSFILTKSFDLDCGALEYYPKFFNEEASNKVGDKFVTGQLDLSIISPLNMRGHPEPKTVKYRSLMVGPAL -TYFGRNHWQTLQVQQERYLFRKVTKLPDFKGEKLAKQIGDMFVDDCLDPSIETTFRHNNICHIVERALLD -MVSKNYEGQMDMEFTVNSRVYRFQLKDIEKPFKEPFVKPMKSGQGILAWSKEAHVKFMIAFRVINAIMLD -SMKPNVVYDNTYSEDTFVEKINAAMQDVPSVAINGIIDATACDSGQSRFTQLIERRIYQRLGLSEFMLDW -YFSFREHYIMQSKFVRASMVNVKTSGEPGTLLGNTILMGAMLNAMLRGQGPMAMAIKGDDGFKRQMNLKV -NRDMVEAIKQQTILKFKLDLDVPVTFCGYALSDALYPNIVRKLIKISTHRFRDYAHFCEYQISLRDWVNK -IPVGDKKIKKFLEVNAKLAGRSEVDMMRCFNQIVSFSRIGKDTFEEWFTEREVEINNVPHDTQTENDYIS -ELPVNLDDDTSGVLKLLHRVKI ->AQM49942.1 replicase [Agaricus bisporus virus 13] -MEHGVIVTHQPLIPKVKDDYQGWVDKASKYIVQELLVEKKVELAVPELAAPLYRAPYDAFRGTHDFDPMV -HQFGQRLNGLAKVPVGAFNGKLIGDPTETRKNARGNYVAPRLVEKFGTGLNLQYDTQDALGNYATLAERY -CCNKKNYVLDESARTLARQIAEHAFAELIDPEKLRQAAHDIKAGTVYQDWLRKAKDAAYAASHDLFSEES -DRIVRYHGKAITKAKSEITFDGVFKVGQGISAWSKGANSFFGAACRIGAQLQTASFRDEVVFNNGMSEEE -AVGRFMKAASKLPTFEGVTLDVTQMDSRINGFIFAILAAFDKLCLLDEEFVDLYYSMCEDYQMMSEEVKM -VLSWVMTSGAPWTLKGNTNVVGILECWLFQGEGPWARFWQGDDGRRNQAKLRLRRDRAEQLALYTGFEMK -MEFSMFGDLCGFIFSHGLVVPSIRRKLVKLIGTEFSSADHFYQYQISLRQWCDKINGALCNSDIFTINAE -NNGVSEFTVEEWYATISSVSHLSYAQFSELAVRIRKEQHFLNARGHLETV diff --git a/seq/clusters_seq/cluster_436 b/seq/clusters_seq/cluster_436 deleted file mode 100644 index 36ecdf7..0000000 --- a/seq/clusters_seq/cluster_436 +++ /dev/null @@ -1,244 +0,0 @@ ->YP_009480671.1 ORF1 [Bat Middle East Hepe-Astrovirus] -MRVLFFRGSPPMSMTSSACRSAMDLSYPQGAEPTWGTQIAAINAGAAARRVRAALHVPYVLTQTQKTRFK -DLVRCDVVFEDRVEHTHAVLRALHYFADATAFRHADDVIDIGGDPRKNKTRRNHVCMMVDNARDELRAMR -ANLDPRPDVGTRCYGGAQWCNVQAPRGVSIHSAYDITWEVWEQIFEAHGLQCVDVWLLEPEELFGGTTDA -FYGIQTTAVGQNHVMLLDDGTAGYEHRTVEWTKYRLSNGHVGKNFNLAVLPEGQWGILRRYTIFRSHRPA -RIWQAKCAVDRNTAHVPTLAGGCIKVPLQHWDNLLSWGLARGDEKFTFQALMAYARALRHRLIVGSNVVH -GGWTQDAVEQHDVVQTAFVVCAALRFMRTQSLSLALRRLKHEQGRGWFGELIDRLKAWLGIPAIRLRQFL -VTDLHNLRAGRDSAVPLLRELPGRVGGNFWPEEDTDEGPEFTPEEPLPPEPAELLAYRTALQAQTCTAGP -YAELAQQTLDFLDAAECRARPKRTLIEGPPGSGKSTRFADWSDDRKANTLVVVPTKKQKADWAQRGFTAH -TPQRALQQCVGYPLVIVDEVSLVHPGVVRALERHSGAAQLYCVGDLKQIAFCDFEGLGVEFDLQRFYADW -EKETLTVTHRCPVDVTKVLGRYYEGITTTNTRQVSIIKGVCNPAAQHLCYTQGTKSKLTSQHPNVLTVHE -AQGGTYKAVCLHVEAQDATLVRTSRAHNLVAITRHTGSLVVCEQGTTALSEWLDPAFAVCELAEGLDAAP -VTVPEDARATVSPADEAGNSIPPHTGANLDDLGVTFGADLGVERITAAALPAAQRTAQIQLDSFPTLDVS -KLALTAVPAPACRFSRPQDKAAAAAAVLSRITGTNKNCGKQEAKVRGAALRRAFDQWLEPEDAVVSPDEQ -FLRLAEALRAAAEKDPELRRLLAEEDLVDIIRVENHLKQQAKFAGEPLRKIKAGQGIDAWSKKANLVIGP -FIRAAQERLLRRLKPTVMMILHQSDADVRDWIRSVHTGNTCVCNDFTEFDSTQNDGTTRFECLLLADLGV -PKPIVNTYKALRESARVHANGVVTDAAYARMSGEANTLFGNTVVTMAVNALLFPGAFDWAAFKGDDSIIC -NPRNRSDPDIISHQTGMQCKIEEQHVSEFVGLLISTDHVFPDLRRRVGKLTGRGYPGDPMARDQFSQSVR -DTLALITPESTEACILLNALAHHVSPAEAQVWYDVLIACVEGLGKPNRVEAIEARLDEEI - ->YP_009422196.1 non-structural polyprotein [Bastrovirus 7] -MAWLAHYDADLRAGFLDKVPSRERVNKAFIARYFLTEDEQSLLRDVLRGDVIYCPTVANSHPMLRTFHSY -AEWIVDHTMKPWGRFLDIGGNPRLASDRRHVVVLANNARDQLRLARTPGAASAFANGHLCLQGAANCEHG -PFSTRAVAVHSAYDITPTDWFLIMSRNRINLVDVFMWDPDELHGGVTLPSTDAFGFRLDMDEDEDTALFL -PLDGSPGYKHSLENWRWYREGHATCGPYQLVTFCEHVWGPFRQYSLVLTLLPVTRVFFKSPLNRDWVHVP -VLGKDKYVAVPTQKWRAALNWGFSRIDTNFSRSNLAAYLRAISARVVIGSREYTSAWNPDEWTFAEVVTT -AFVMCAVLRSYGSTTIGAAMKELSYELKNDPPGWWKRSWRWVKSWFTEVEDFSIPDWDPEPSTLLALVAD -HIIGDLSIMEEGPKSPPCLTMDVPPPTPPEKPQNDRPDFRRYRPQAIDGAPTPQLRDSIAKLDAATGGAR -HTVVLVEGPPGSGKSSGIRNRLGPEQARVLVITPVGKLAEAWREKGFSNVVTHHKAASLLPGNWKWIVVD -EAFMMHPGLLDMYAACAPLILLGDCRQIGFIDWERNTPDYGAAQLREWADQRESCNLSYRVPEDAATLVS -SFSEPFGSASPVKNSLFLINRPDEFPGIHAGTTHLCFTQAVKKRIQDRVKELKLEGQNVMTVHEAQGLQF -KHVALHLDVSERMFIVSHPEHMAVGLSRHTESLVITEHGYTLTDAIQALRTPLGEVEVIPQEFVPRTTVS -FTEALEKVDLKTIQESGGQAGDLGVERPVASVHTAAAENLVKPVQISLAVEPDTVMAHVPANSPFMVMPT -KSTDHAQAQAAVVQRPATVAPTKATGVDAERARTHVTRFLTMACNEVPQVDFADLQESLAEALRKIHDKN -GSFVRYLQDDDGEFLDEWIKRKVPRDEGPAHLALLVNGFLKTQRKFCPELKLKPGQPIQPVNHLINLFFS -QVFRAVAAALKGAFKDNVLFVDGHSEKQLSAFVARHYDWRDSYLANDFTAFDSTQGERTMLLEAEVLRRV -GIDQNIIDLYREFRLNARYSGPGADIPAAGMRMSGEANTLLGNTLVTGILHCAYVSQEPAWMMFKGDDAI -LAGPDSMDVDVKSMSKDYGMKAKLERMPVPEFVSFFISPYGLLPDFFRIANKMVANDHQSSPEWREASAA -NAKAILALADNPAAPAFAMLAAEKKYGITPEQSWAAFTIVCDRANGKFEEATPNLKVKLKVNPDPPISFH -RTTLAESRAVQPRHRVDGV - ->YP_009337130.1 replicase [Hubei hepe-like virus 3] -MESAAHKATRLLEDNLIHNAVKTDIILCEEDKNTLEEFFAPRKVVSTGLVKSEHAIYKALANEANHSVQS -QRGPNTLEIGPEVKKWDIKKNKHNCYTNLDGRDEARHVQHLKYLQAHLESGLDEDYKWAYDNPEKCNRNR -KFCFTGAVKCKIKASHILSVHSSYDIPIQEWIKIFDQHDTITATVIMHLPPELIDLNIGMHDFYNFEIKG -KEAYFSFKNDASIGYIHDAENWKKYATMDIIQGEKFNILIERVKFEGMQATMTFTRIHMKAKIHRTLYPF -KNSLIRVPNVLKIIQRNIYDPKEDIIVDKRQAFKLFNYIMALDQSQLNLRTVETYARAMITQIRIGNTVR -NQPWKIDPHIGTEVVTRITIIALVKRSLKTVTITHVTNEIKKLVNNLMEDPTFEDENSTYWSQYQTTKTK -YYLKRAGKIIVCGTIAGISLYLLNYIINKTTQKTIKHINIRGFFKELYKGFSKTQCIDIEDIYVKNGMIR -PIAEPIIKVTNKAISVKGFFEGICPAENQWNYSNVFQLPAWMTRRLIRGYLQIIRLIGNIAITAYSAYKI -GKSCSVIYTELEELKVFDPKKPLSIDFIEFKEITSCTYNKGDKQGTGATMETLNFQQFREDMHEIIVSLR -ETEGTYKEHSYRCADYLSEATAPRVISRILTTGPPGCGKTTYVRNNYDDENTNIIVPTNKLKADYIKVGF -KNVHTPWSLHNMFFKENLIIDEAYTLPASYLRAIPTLFCDKVHYLGDPKQIGFIDFKMMYRENDSNIEYL -NQFKHEELDTTYRCPKDITKILNHTFGYQIKTKSNVKNSIHLNSYKHGAQDIVFTQYAATLWPRSITVHQ -AQGSTFPIVNLIVTDDAAALIHQKDMHMIVAITRHTNELHIHDVTNDKGVRHVVFSHQYLNNLDNFELPG -PVDTDRINDQALYLEGVEKEEVTEPRILTPDVIDDVLQTVTIGHDDYETLNDDDMDKPYAPMMILEDKSM -KPVEGDTEMIRKHRMMGKMFEHTTYIKQKKYTIKTMIDRYAKMTRLADVEHQELEANQLKKHFIEKYIDI -ERLESVKNEETVENYSIEHFETMFKMNAKGTDARVQSYDPDDKNQMLIDFFLKQQHKAKSESKIGLEDPG -QTGEMKLKAGQGVSAWSKTYNAILSATLRTYYDKLKRSLKPEVKFVNGLKDADGLAEALITDFEHISICE -SDLEACDAGQDETTMLFERKMMELFDVPQIAIDFLFFIRKNWKLSARDLASVDNEGKKHSGAPDTLDGNT -IVNAAIVARMFKITRLILAMFKGDDTFIAAESIELDDAFFKNWAVNHDTKVKAEMGNVPQFINYFITPQG -AVPDIVRMAMKIKSTPISDGEYHIPENKVVHVLAGEPPKNKSVLTFSKDDTRTYIDCIYDDGEVNYWALR -DAIANHQDQNVKIRLTKKIKEIRRGIFKRIAHATGKRISIYVQIATQPFVEFQTSIKDRISMINNGGKRV -AALEAASKYYSITLEAAELILAWIKTISELKPIQFQKYYILMDRPIIDIALTKVLIEKKRIGFDLEDLGS -NGYDCFDRVLIHNVKRIPSNPVLEKFAPDQAHDPDFREKSAEEYIKMFREYAGEAWVPLHGALTLLYRIG -LPASIIHLTTNGQATLYCENPYEIPVVALKGMHYYNVTNCLLGPTVDTYEDNFYCMKERYLTTIVKVEID -KTRSIKDIEQEFLKSYYSGDQKYTGGRSHKNWIDLFNKCKDTVKENVWKISKPKQINKYERRGGETYIQP -KKTEASAQEKTVIERIIQSTKERRNDYKWKNVCRTVNSCWTRNISGTKQSTIRRRETSNKCIDIQSIQAL -VRNGYVSTFSKSNPRTGDYKYLDDLRFTRRNTSGRYCGKENDDICERNILVQYAKQKLQSRAATNPIQFI -PYRSEWRNNLPGCMQNNNQTNIGGYCYNKLWRCVPDVNNSGVIASTSPYYKLFRKFDNNTERSLVDRNID -TSNKRIDIDEIFNKIRSSNCYNYKYLRSCELDWLRGLNSTISENPRRSSILFHGENSNSNTDIPNYTNGD -ELSMGYDSKHMEDDRADVSTKITSHDSSREQCSTIRQSDDLGHSEASSTKNNSESSPNNKQRRRRRRRNT -IGNKRSESTDTSKERSERTRRNATVACGRKQPTDCECEKCIEPGKQLRTTACDTGSERPDESFNRTTTGP -SISTKDNVRLDRGYSIDDWKNLTSRFGAISQLGEPLKIGTMNLINTAEKIKKFYNNKLSYLYIKFRKMEF -PKFYMDFQTATHFKPRGGIQYLDEAKREMYSISFDTKGDYETVRKYLQQSQITGARFAALLKGQERIIGN -GQPEILTDNYQAQYIKI - ->YP_009333313.1 non-structural polyprotein [Bastrovirus/VietNam/Rat/16715_10] -MDLSFSAAQRGPWEAQHTACFGGAVARRVRDALHMPYVLNREEKEFILKVLRCDVVFEDRIAHTHPMVRA -LHAFANHHAYRHCEGAIDIGGDFRNIKRRAVHVCGLVDNARDELRLHRAIVDTRPNLAGYCIHGVENCHE -RAERGISVHSAYDITWPQWLQAFDSHGLRVVDVWLLEPEELFGRTTPPIYGIQMHKMADKWVMLLDDACA -GYTHSDFEWRKYREMGGTIGTHFNIGCSPEGGWGPLRRYTLFRCVHPLRQITLRHPVDRNLVHVPTPAGQ -VIQCPRTVWQNLLSWACSRADNKFDFSSLLAYARALRQRLVVGGQTVQEGWSQDPRQVHELCVACFVMAA -AMRYTRTQNIAVAFAELRREQQRGGFERWFDELKDKLGLTRVGVIRFLEKDLHRLRELDDKADILTHYLP -AIYQAPEDEVPETAPQEAPAAPPDNHEQDCMNDYRFCLESTAASAGEFAGVAQEALDNLPDQLRPICPAR -IFVQGPPGSGKSTAFADWSTSKKQQCLVVVPTRKQVSDWTARGFHACTTMSAFKTAAAYRRIIIDEVTLQ -HAGAVQFLARMPHLEKVYALGDLHQIDFTDFQCTGFRADLKRLYEDWSRTTLTETHRCPQDVVQLLKPIY -GWTGTSRVEKSIIKGVCNRGAQHLCFSQATKALLATRGLTALTVHEAQGSTFRAVCIHVEAADAPLVRTS -RAHTVVAITRHTGSLVIVENGTIALSERLEELRAQLLTEPTLTEVTVPEDIVPVAVHATSGSDEPPNTGA -TLADMGTTFGACSGIERVTKENFPEPANKTTLCLSEGYSECQTARIGFTDVVQEACRQSRPQDRGAQVAA -LLDRVCARNNNVGARLARQRATAMFTAFRQWCDDQPAILEQEPPLRTAECLRAAAEKDPELRQYFKEHNL -RDLITCKNHLKAQAKFAGNVLVKAKAGQGINAWSKQANLAIGPFIRAAQEVLLRCLKPNVCMVLHQSERQ -LADWINSQDLEVPCVCNDFTEFDSTQNDTTAHFEAKVLRWLGTPDSVCQLYLALRAAARIESTMLCVDAP -YARMSGEANTLFGNTIVTMAVNALLLPGNFAWAAFKGDDSIVANPEHEAPVEGVEQLTGMICKVEKPETP -EFVGFVISDRAYPDLKRAVGKLLGKGYPNDPIARADLMRSVQERLWLIPKDRQHQCCMTNAARHMVEYAE -AVNWLCALKNAAAELPSPCLKHCLEVRINNPVE - ->YP_009333167.1 non-structural polyprotein [Bastrovirus/VietNam/Porcine/17489_85] -MLVDNARDELRVIRAMQNCRNPVGTWCTDGAEHCTEPADFARCIHAAYDITYQQWEQIFLSHGLKAVDIW -MFLPTEMLGGPRSADFYTLIERGNETVMLLADGTNGYCHNTFEWTKYVLQPHYTGVALNLSCSLEARYGP -LVRFTLFRSSKPYAVHARLFPGSGSLRVPLMDGRMLTVDKRHWNSLLSWAIARSDDKFTYNSLVAYARAL -KVKLIIGGTHVQGGWEIEARDLDAVCTTCFILAASMRYTRSQTISAAFKRLKHEQDRSWFGELLDRLEAW -LGKPVITLRKFLDSDLMSLAEAEEEAAYYHVKGPGREDPGELSYTECSDPDGPEPEPPQNYQQLYRLSLQ -LQAHTPGPFQDAADLALRQFDKLAPGKPHRHIITGPPGSGKSTGLTAWPDEQKAETVVCVPTRKQVADWR -ARGFNAFTPLNFLAACTGGRHIVVDEFTLVHPGLILRLAESDFKTVTLLGDINQIAFVDFDKLGCSYDPK -ELYAGWSVETLTETHRCPQDVTNWLSRFYKNIQSTSSEIRSVFQSVCNPGGQHLAFTQATKATLLARGHK -CLTVHEAQGSTFKSVCLHVQANDMPLIRTSDAHVIVAMTRHTHRMVIVEEGVLGLSTAMNLDGLMLSTDL -EPLPTEVATEARLEARCEHEVCETTNTGASLDDCGQTFGAACGVTNISSTRWPAIAEGRTLVLNDTVLEP -LDGCEARLSAVVGETCRISRPADRRAAIAANVHRVTARNKKMSRQEAKRVGSLLLGAFMKRCKIEPVDDV -HLRLAEALLAAHRKDPSLRLLADDEPLSLVKIKNHLKQQSKYAGQPLNKIKAGQGINAWSKTANMTVAPF -IRAAQEIVCRGLPDSILLVLHQSDQDLKDWFEQHALPTGAWCNDFTEFDSTQNAGTLDFECQLLRMCGVP -SSICNAYRALREHAWVTAVDHAFSADHARMSGEANTLFGNTAISLAVSSLLLPGEWACAAFKGDDSFVQS -DCAPLATDKIEDNIGMICKLERQEVPEFVSFLVAPHFAPDLKRCVGKLLGKPFPKEVEELQQAVENKLKL -IHDPEKAIVVNAYHHGVDYAEAQVWYDALVNFCRSKPSRAYVHFQDTILEEIAGGVNGSKQEQAGPAKEA -AGGNPGKDPEGQTAGCTDTEPGEQHQGAAARSQEDQDYWQLACAGTILL - ->AMD81602.1 non-structural polyprotein [Bastrovirus 6] -MAWLSHYDADLRAGFLDKVPSRERVNRAFIARYFLTEDEQSLLRDVLRGDVIYCPTVANSHSMLRTFHSY -AEWLVDHSMRPWGRFLDIGGNPRFASDRRHVVVLANNARDQLRLARAPGAAEAFARGHLCLKGAADCDHG -PFATKAVSIHSAYDITPTDWFLIMSRNKINLVDVFMWDPDELHGGTTLPSTDAFGFRLDLDEDEETALFL -PLDGTPGYRHSLVNWRWYREGYATCGPYQLATFTEHVWGPFRHYSLVLTLLPVTRVFFKTPLNRDWVHVP -VLGKDKYVAVPTQKWRAVINWGFSRIDTNFSRSNMASYLRAISARVVIGSREYTSAWNPDEWTFAEVVTT -AFVMCAVLRSYGSTTIGAAMKQLSYELKNDPPSWWRRAWRWVKSKFVDVETFEIPDWDPEPTQLLALVAE -HVIGELSFLEEGPRNPPCLTMDVPPPDPPEDQSPDDGRPDFTKYRPQAIGGAPTPLLRQAIANLANRAGT -RRHKVILIEGPPGSGKSSGIRASLGGQQESVLVITPVGRLAEAWKEKGFQNVVTHHKAADKLPGSWKFIV -VDEAFMMHPGLLDIYASCAPLILLGDSRQIGFIDWDRNTPEYGAADLRAWADQVEICNLSYRVPEDAAKL -ISSFSEPFSSASPVKNSLYLINRPDEFPGIHPGTVHLCFTQAVKQRIQERVKELRLEGQNTMTVHEAQGL -QFKHVALHLDVSERMFIVSHPAHMAVGLSRHTESLVVTEHGYTLTEAIQSLRTPLGEVELLAQEFVPKTT -VSFTEAMEKVDLKTIQESGGQAGDLGPEKPVASPHTAATENLAKPVQISLAVEPDTVMAHVPANSPFMVM -PTKSTDHAQAQAAVIQRPATVKPVKATGVDAERARRHVTKFLRMACSEVPQVSLEDLQESLAEALRKIHD -KNGSFARYLQDDEMGEFLDEWVSRKVQRDEGPAHLALLVNGFLKTQRKFNPELKIKPGQPIQPVHHLVNL -FFSQVFRAVAAALKHSFKDNVLFVDGHSEKQLSQFVARHYDWRDSYLANDFTAFDSTQGERTMLLEAEVL -RRVGIDQNIIDLYREFRLNAKYSGPGADVPAAGMRMSGEANTLLGNTMVTGILHCAYVNQEPAWMMFKGD -DAIMAGPDSMDVDAEAMGKEYGMKAKLERMPVPEFVSFFVSPYGLLPDFFRIANKMVANDHQNTPEWREA -SSANARAILALADNPAAPAFAMLAAEKKYGITPEQSWAAFTIICDRANGKFEEATPNLKLKLKVNPEPPI -SRHQTTITESRSVQPKHRVDGV ->AMD81608.1 non-structural polyprotein [Bastrovirus 2] -MAWLAHYDADLRAGFLDKVPSRERVNRAFIARYHLTEDEQNLLRDVLRGDVIYCPTVSNSHPMLRTFHSY -AEWIVDNTMKPWGPFLDIGGNPRLASERRHVVVLANNARDQLRLARSPNAAQAFARGHLCLEGAENCGHG -PFATRAVAVHSAYDITPTQWFLIMSRNKVNLVDVFIWDPDELHGGTTLPSTDAFGFRLDLDEDEDSALFL -PLDGSPGYKHSLENWRWYREGHATCGPYQLITYTEHVWGPFRHYSLVLTLLPVTRVFFKTPLNRDWVHVP -VLGKGKYVAVPTQKWRAVLNWGFSRIDTNFSRSNLAAYLRAISARVVIGSREYTSAWNPDEWTFAEVVTS -AFVMCAILRSYGSTTIGAAMKQLSHELKEGSPSWWRRAWTRVKSWFCDVEDFTIPDWDPEPDQLLSLVAD -HIIGELSILEEGPRNPPCLTMDVPPDQPQGPPADPRPDFSRYRLQAIEGAPNDSLRAQIKELDSRTKTGR -HRTVLLEGPPGSGKSSGMRKRFADGQDKILVITPVGRLAEAWKDKGFTNVTTHHKAAGFLPGKWEFIVVD -EAFMMHPGLLDMYAACAPLILLGDPRQVGFIDWDRNVPDYSGAQLRAWVDEHHTCNVSFRVPDDAAQLIS -SFSEPFKSASPVKHSLFLISRPDEFPGIHPGTVHLCFTQAVKARIADRVKRLKLEGQNVMTVHEAQGLQF -KHVALHLDVSERMFIASHPEHLAVGMSRHTDSLVITEHGYTLTEAVQTLRTPLGEVELIPQEFVPRTTTT -FSQALEKVDLKTIQESGGQAGDLGPEKPVASVHTAAAQNLAKPVQISLAIETDTVVARVPANSPFMVLPT -RSTDHAQAQAAVIQRPATVAPVKATGVDAEKARGHVNRFIQMACKEVPRISLEDLQESLAEALRKIHDKN -GNFNRYLQDGELGEFLDSWVQTKVQRDEGPAHLALLVNGFLKTQRKFNPELKVKPGQPIQPVHHLVNLFF -SQVFRAAAAALRASFKDNVLFVDGHSEKQLSAFVARHYDWRDTYLANDFTAFDSTQGERTMLLEVELLKR -LGLDPAILDLYRDYRLAAKYSGPGADIPAAGMRMSGEANTLLGNTLVTGILHCAYVNQEPAWMMFKGDDA -IMAGPDSMDVDVETMHKDYGMKAKLERMEVPEFVSFFISPYGLLPDFFRIANKMCSNDHQDTPEWREASA -ANARAILELTDNPAAPAFAMLAAERKYRISPEQSWAAFTIVCDRAAGKFEQATPNLKLKLKVNPEPPVSF -HRTTICESRSVQSKHRVDGV ->YP_009333158.1 non-structural polyprotein [Bastrovirus/VietNam/Rat/16715_58] -MDLSFSAAHRGPWEAQHTACFGGAVARRVRDALHIPYVLNREEKDFILKVLRCDVVFEDRIAHTHPVVRA -LHAFANHHAYRHCEGAIDIGGDFRNIKRRAVHVCGLVDNARDELRLQRAIVDNRPNLAGYCIHGVENCQE -RAERGISVHSAYDITWRQWLQAFDNHGLRVVDVWLLEPEELFGRTTPPIYGIQMHKMADRWVMLLDDACA -GYTHADFEWRKYREMGGTIGTHFNIGCSPEGGWGPLRRYTLFRCVHPLRQITLRHPVDRNLVHVPTPAGQ -VIPCPRTVWQNLLSWACSRADNKFDFSSLLAYARALRQRLVVGGQTVQEGWTQDPRQVHELCVACFVMAA -AMRYTRTQNIAVAFAELRREQQRGGFERWVDEVKDKLGLTRVGVVRFLEKDLHRLRELDDKADILTHYLP -AVYQAPEEEIADTPPQETPAAPPDTHEQDCMNDYRFCLEATAASAGEFAAIAQEAIDNLPDQLRPLCPAR -IFVQGPPGSGKSTAFADWSAGKKNQCLVVVPTRKQVADWTARGFHACTTMSAFKAVDAYRRVIIDEVTLQ -HAGAVQFLARVPGLEKVYALGDLHQIDFTDFQGTGFRADLKRLYADWSRTTLTETHRCPQDVVQLLKPIY -GWTGTSKVEKSIIKGVCNRGAQHLCFSQATKALLATRGLEALTVHEAQGSTFRAVCVHVEAVDAPLVRTS -RAHTVVAITRHTGSLVIVENGTIALSERLEELKAQLLTEPTLTEAEVPEDTVPVAVHATAGADEPPNTGA -TLADMGTTFGACSGIERVTKENFPEPANKTVLCLSEGYSECQTARIGFTDVVQEACRQSRPQDRGAQVAA -LLDRVCARNNNVGARLARQRAAAMFTAFRQWCDDQPAILEQEPALRTAECLRAAAEKDPELRQYFKEHSV -RDLITCKNHLKAQAKFAGNVLVKAKAGQGINAWSKQANLAIGPFIRAAQEVLLRCLKPNVCMVLYQSERQ -LAEWINAQDLEVPCVCNDFTEFDSTQNDTTAHFEAKVLRWLGTPDSVCQLYLALRAAARIESTMLCVDAP -YARMSGESNTLFGNTIVTMAVNALLLPGNFSWAAFKGDDSIVANPEHEAPVEGVEQLTGMICKVEKPETP -EFVGFVISDRAYPDLKRAVGKLLGKGYPNDPIARADLMRSVQERLWLIPKDRQHQCCLTNAARHTVEYAE -AVNWLCALKNAAAELPQPCLKHCLEVRINNPVE ->AMD81605.1 non-structural polyprotein [Bastrovirus 3] -MAWLSHYDADLRSAFLEKVPARERVNKSFIARFFLTEDEQILLRDVLRGDVIYAPTVSNSHPMLRTLHSY -AEWIVDKTMKPWGPFLDIGGNPRLASAGRHVVVLANNARDQLRLARSPGAFQAFHNGHLCLQGAENCEHG -PFASRAVAVHSAYDITPTQWFLIMSRNQVNLVDVFIWDPDELHGGTTLPSTDAYGFRLDLDEEEDSALFL -PLDGSPGYKHSLENWRWYREGHATCGPYQLVTYCEHVWGPFRHYSLVLTLLPVTRAFFTAPLNRDWLKVP -VLGKDKYVAVPTQKWRALVNWGFSRIDTNFSRSNMASYLRAISARVVIGSREYTTAWNPDEWTFAEVVTT -AFVITAALRAYGSGTIGGAMKQLSAELKREPRTWWRKAWHWVKTWFCEDEDWVVPDWDPEPDSVMALVAE -PTIGGLSILEEGSGDKCITMTPPDEPPEPEHPRKPGLERFRPQAIAGAPTERLRKSIEELDRQAHEPRKK -VTLIEGPPGSGKSTGMRHLLAEHQDATLVITPVTKLAESWREKGFTHVTTHHRAADELTRPWKYIVVDEA -FMMHPGLLDMYARKAPLFLLGDPRQIGFIDWDRNTPDYGPEDLRAWADEVRTCNISYRVPEDAAALISSF -STPFQSASPVKNSLYLISREDQFPGIHQGTVHLTFTQAIKNQIAERVRRYGLTEKGQTVMTVHEAQGLQF -KHVALHLDVSERMFIVSHPEHMAVGLSRHTDSLVIAEHGYSFQDAVQTLRTPLGDVDVIPSEYVPTTAVS -FQQAVEDLDLRTIAESGGQSGDLGPEKPVASAYTAQTEGLKKPVKVSLAIETDTVVATVPANSPFMVMPT -KSTDHAQAQAAVIMRPACVKAKPSSGLDQERASQHVTRFLRMTTDCLKKVSLEDLQESLAESLRKIHDKS -GSFARYLQEDSELAKELDQFVMTQRGDDPSHLSLLVNGFLKTQRKFNPELKLKPGQPIQPVHHLINLFFS -QVFRAVAAALKRSFKDNVLFVDGHSEADLSAFVARHYDWRDTYIANDFTAFDSTQGPRTMDLEVEILKRV -GIDTQIAELYTEFRKNATYSGPGADLAADGMRMSGEANTLLGNTLVTGILHCAYLNQEPAWMMFKGDDAI -IAGPPEMELDCETMQEDYGMKAKPERMEVPEFVSFFVSPYGLLPDFFRIANKMVSNDHQPSPEWREASAA -NARAILRMVTNPAAPAFAMLAAERKYGISPEQSWAAFTIVCDRAQGKFEEATQNLKLKLRVNPEPSISFH -GTTIAESRSVGPKHRVDGV ->APQ43035.1 non-structural polyprotein, partial [Bastrovirus/VietNam/Bat/16715_30] -RPAMDLSFPQGGNAAWEAQLTALNAGAAARRSRAALHIPYVLTKEQKTRFRELVRCDVVFEDRVEHTHAF -LRALHTFADATAFRHADDAIDIGGDPRKNKVRGNHVCMLVDNARDELRAMRADMDPRPDLGTRCYGGAQW -CDVKSKRGISIHSAYDISWGAWYDIFAAHGLQHVDAWLLEPEELFGGVSDPVYGISVLTMGSNTVMLLDD -ATGGYEHNTVEWRKYRLSNGYIGAEFNLACICEGQWGILRRYSIFRSHRPMRQWAAHAAVDRSVAIVPCL -GGPELRVPVEHWDNLLSWGLARGDDKFTFQSMMAYARALRHRLVIGNNTVHGGWRQGATEQHEVVQTAFV -VCAALRYTRTQTLAAALKRLKHEQTRSWFGELVDRLKNWLGIPAIRIRKFLTFDLHSLRAGQETARPLLK -KLPARAGGSIRPEEDEDDEPVAMEHVDEPDAKLNSSAAMDAYITALKSQTCCVSKYAELAQEALDFLDAA -EPRGEPKLTLLTGPPGCGKSHRFAEWSSDRKRNTLVVVPTRKQKADWAARGFTVHTPLRALVQCVGWPLI -VVDECSLIHPGFMDCLRRYSGAGQLYAVGDINQIAFCDFEGLGIEFDIQRYYADWEQEHLHITHRCPMDV -TKVLGRFYPDLTTTNPRQVSIVRGVCNPAAQHLCYTQGTKAKLVGQHPTILTVHEAQGSTFKAVCLHVEA -EDATLVRTSRAHNIVAISRHTGSLVVCENGTTALSEWLDPAAACAELAEALDPAGVVLPEDSKAQCSPAD -DGGNSTPPDMGSNMDDLGVTFGADPGIERLTSAQLPEAKRNVTVQLDSFPTLDVHKVALTAVAAPACRPS -RPADKSAAAAAVLSRITGTNKNCTPREAKVRGAAMRRAFNQWTQPDWGEVLEDECFLRLAEALRAAAEKD -PDLKRLLEDEGLHDIVAVENHLKQQAKYAGEPLRKVKAGQGINAWSKKANMTIAPFIRAAQERLLRALLP -NVTMVLHQSDSDLRDWIEMVHTGAPCVCNDFTEFDSTQNNGTLHFECLVLADLGVPPTIVATYRALRESA -RVSANGVKAEASCARMSGEANTLFGNTIVTMAVNALLCPGKFDWAAFKGDDCIICNPTNRADVDIVSLQT -GMQCKMEEGVTAEFVGLIITDDAVYPDLKRRVGKLSGRGYPNDPMARDQFATSVRETLALMSPARVEHAL -LANAAHHKVSPAEAAVWYDIIQACADGGIKPVAVEAIEARLDEER ->ACE88957.1 polyprotein [Sclerotinia sclerotiorum RNA virus L] -MIKQKQQPKPDWQTVPPKQRHSPGIKGPQSRTTRPTRSSRSALSAPILRLDHQNAHRAPDHPVNVLIGEH -LRGEVNDIIVASRSRWTINVAQPHTEAQVAALEASFPEYNFVSSYAHAHHPSTQAARHALELLTWKLVDP -AHTTEIGPSYSSLFRRARPAFAHYDMPLLTVRDGARRNLAMLSRHKTLDERETRALDALRTGMPSGEFCP -LSGEDCSHKSSALVSFFSLHDASPAVLDAMMARKDALVAYVAMHLPVALLATSSFDDVATGAHCRRDDDT -GHWHMSFPGSGAAGYTHSLASLSAWSAGWKGLNLTCEIVRQFGTIVHMRVVRTFGPSTENTPISIGNLLS -SLVVIPPFKSTAHESEAFTTDAGKYGALVDRLVLEDLTDAPVKKALARIAALSPAIRVGSRVQSAAWDIP -YLKRNPVAVAAVRAALVLSADIEFCENLAANDIAPIRAALVERLAATMPLLPALLPKFLGGAPGNGLVSP -SDILSARVRQWFKITPTLTPRMSVPGQLPRVADIEIAAPPSTAPAYGHPETAPPPAHGPDDAPSGKREHA -TPGDIFFDAFSDPIVDPTYQPTDISRGVESDAVATLQSLPAPSAVPVASQPDLSEIFQTEARVLNSLSGT -DGATALAKRVLEHPPPGSLPIVLAAIVGPPGSGKTHGLRALVGNLDAVIVPTNQLADSWRAHAPDTAVFT -VERFLADPPAEPYRRLVVDECFKLAPSHLIRALAHGKTCYIAGDPAQPRYEGSLGEPLTLAELPICYLAR -LTKSRRCPKDVPRFLTSVGEPSFVSISTVDYSFGPVDPDVDPRQTLHITAHQRNTNVHPGTVTIDQVQGL -EAENVVIHCFPDDAPMWLRPGRRVVGLTRHSRRLTVLAHACKVTYFPTAYDSASTASPARENHPAAFTTH -PTPVKPEPTQTGEAYDANDAAACQLTSQHANSTAEPDPFSARAFDIDLLSAEPDVLFPVYPSAPPSLSDT -DWSKYSDKGAPVLPTGHDNPLFPSPRLLPRDWTEDTDTRPIAEIHDLDAVTDEELNAMYPADPTQAQAVR -DAIRYRQVREAHNPALFLSAFEDAHRPPRPTVEDVNEVDDAEEPPVAGLIAHIVTRVTGGLSSRFGIPPT -VPQRAPSRADRLPTASASPAPLALVTDALTELQPPGELDYATIANLTAIELPALDPRVNLAAHLPRDTKP -CPPRTQLPMCGVQWDSSDQKSALFAIADRYTRPPLARNSKPTADAAALAHSFFTAFIDPAKTPAPIDITG -AIGTWLRKRTPAQLTAIDAADPLGSTARSWRAQFFLKAQAKAKFGSYGRETIECGQGILATSKGLNAQIC -PIVCATMEVLQGCLYDEVLIDCGYNGAIIDGHVARHILGHPTTETDLTQQDSTHDETCRLLIDEVLRRLN -IPLDLISEYLESRSHRSVTGLSYKVSFDVYERMFSGEPMTLLGNCIMNMALVAHRFALPPRSASVWKGDD -GLLRGMHFPRPSAASLLARIGARVKVDHQPVPEFTSRVHLSSGRSLPDPAKVLAKFTLRRFDAHNVLEYH -AAHVDQHFDPSPLEAAELACAMAARRQISLSSALTIVRTCHALNDLRYFISLVKLDTTDPLAACLALGPG -ATTSSGFQVHPDSVIIADSRDQDCAVVALATAARVTYRTALRALRRHQSRQHAVMTHLTRTRGRFPKAFI -TGNIAIAAACTDLGVFFATDPTTAASRLTAVLSFDGHCVAVQTNRRNATMTTLYPDLTDAPVAGTDWHAM -DNPLPTWIRLTMLALEIVIRLLLLVVQFTHVTTALMLTSLTAGIGGPFAGLVVGLTSLVPEILSNIAARL -VAPVPPRPCSRFRQWPSWHASARVRHHLTRLIALTFASQLWMFLAIVYLENTSGPRFDRNDDRPDRGVAW -TPADSPTLMANVLPCCRTTDETRCFRTARRRYAMTDHPDKNPGVTFTQYGYSQDFFDRLLADPHARRRFC -MEYR diff --git a/seq/clusters_seq/cluster_437 b/seq/clusters_seq/cluster_437 deleted file mode 100644 index d9d0cd9..0000000 --- a/seq/clusters_seq/cluster_437 +++ /dev/null @@ -1,181 +0,0 @@ ->YP_009507701.1 VP2 [Eubenangee virus] -MASELTIAVTEKVPEDKKELFDAYDIVIETAKRIETVTCSRADVCERWRITDEVERKAIAVGHKDDVFDT -IRNRISEPDEVCVAPSHLRLAMHEYARRERENVDSHRMLDEIDSLDDNQVIRIKDSEIPNKISHDAMFGA -LHCKTHLAETLAYERYPVPNTRSCVHELAHMTDQLLAQGLYYVFHSPFYHIPTREFYVQDVQKGVSKRAD -DKIAGTLLGATGKSYAWQPNMKNQPMFLLGVVDGRHNPEKDWFKKVYKILDTVEWKEQLLDQSLDLFRKL -SEQCSTIGVEYADEREELDIYYTGAFLKEMTNIAEKQLYNKAHKSAMDQEYKRFAVSSLISATKAYGRKI -TRFCRYRVLHGAWLYHKAMFIEGYDQLRKDVGWEFDKEMEGGIRRRTESSVDKLRPLKRWNVYGAAFGNT -VELGVTWVSSLTGGLVDADAGSPYVKTEVEEGAVNTPLICEVDEQKYSSFVQKLIDDEKWTVTDGFDNMM -REGQSLIKMDIEKDVTIDDHGTLMVPDYYDREIVYNFYGDLKLRCHEVATTGKEPKNKADKRLLVFDREF -GLSGLIRMGLRPCDFVSLGEGKELSYNFDEQNPILDVLSEEIRESEVAWFGKWYEESFPTAKLEGYCLSS -VPTTYLLWSWGRTVFSILKHHAPAKIIERAGEKFAIYPSIDCWNFDDQLMECRTMDQIILRILEYCFEGR -HMEDRLTMMRRIRRSVGEERLEIFRRTFPGWYSCLVSDSTDGALALNFLPLLFLEEGNKEIKKDITTIPI -TFCDANEVRIVPIDVKQTRRTGSSGSWLGWLIRCYGKKNRPKNMDAAQRILKDIAFEYYMSTGFLDEMEN -YQHLISHRSNYIHWLGERCGGVSEGVSMIRTIVHPKPEFILLVISDAMLEKHERIGAAVIRFRGLDDSNI -GVVHVQLNKNDVEVSADNKVRVRLLSRSYRGCNHKLIIVKSAGRVFGNDNVVAKLMNI - ->YP_008719920.1 outer capsid protein [Changuinola virus] -MTTEFALAVAEINEALCQSSLFNNYDIVIDSSHRANENADGRWVMEEESARRNIFMWGTDRKIDDAINGI -PGAQSYLSVPEHVDNVLGEFEKEGLEERSIVKHKVMRELKWSMDMQSQEWTVGYHGKPKVECRFGDIYCH -DHLFETLVYLRHPGRMSGCEHEHLRALNEYVYSGLHHIVHNTLYLVDESFKVKCEENKPYRFARGVIFPY -NVIDESELATFREEKCFPDKFTSVVNLGRSEGKKVMIEEGVEVYAENEVTDEDGVEKDDNDIEHLKKTIE -IIESKLRETTQEEKRRRFTLELQNQKDIVRDRERAASGCKRISFTQGYERNADGGVASTSDDSEYDEEES -VLDAQYDILKLFNGARDFALKKEIKDGGKVYNYTRYNEMSQRNLDTLDKIELFYDSVENQNATKKFLDIK -QSTYGFYRKNGKVISGYGPLKIEGALTSTYMHKLREDTRYKELVMLIEYNVEGRKTKIVGKELCNLFRLK -SKELVDDTILYKEDGEYVDVIVKVIAIFYAAKDDALDELIKIANESKLLKKPIPQQQFNQIEDAHMLVQW -KNVVKFSIILFQIYGQYIDLHCPYHVLRGAMLYMNSFYGDVLDVLRDKVKWDIRTDDNGLGWRFQEGKNK -KGEEPRRTPMRRVNVYASRLQRGRAGVCWRLIWSQQPKVNIYEGYPHFEEDVDIVQSTFNETNVHEYYQE -ILNADKWDDVRIDVDSLLNERSQFYSKNIVDDFYLDDQGILVTPPYYGEQVYYNVIANCNYKCTVTQTHA -TTGDKNEFKHSGGQRLLSPNNWFYPFQDQYDSAVICEGQALSTRRQPRGRLERTYIDSIARDKEFLQYIN -VKVTDIVDNFCPLTYPSLYLPWKFYTTLFSLYVNFMPLSVRVKIQGNFDHVKLFPDIQLYNVSYEVFDVH -TAIYNIFVEGFNAQRLKNRDDIRTFLRTYQEVGGSERLHMLKNAMPLLYAIITDVSETEVDRFFVINFLF -LLSYVEINCEVIKKVYVPLCYCRSTDILICGVMLSPSPARNYASRFFSLLSRFFGLNQHKKWKGANEVQL -AARAKAISFYIGEIGASITRELNITQTKIQNLAMWVGSKCRSAEEAIMVFQAITYPRAGYFLLIIGSSTI -DENYVLREARRVYRKSERTRRGIVLCKIRENRIIELKIDGDIKARIMERNFWGIVHDILLIKSEGEIFGN -AHIVTKLMNV - ->YP_008658417.1 outer capsid [Wallal virus] -MASEMTIAVTKSMKKDEHRVYQYYDAVIETGYRAELDEDWKNRKEKKVWKLDGEVSRKSLYVGREDREFD -EIWDLKPTDETVLISAEHLKHAMVAYRERELEQAVPRIYQTMVNESIENQIVSPGGTDLAGRITVDTLLG -RVHLWGSMAESMCFDRKGIEVWEACNHEMSNLVDQLYLMGLFHVRHNPYYYVPWEEFIFIEKSKNIDDEK -VTSIVGQYDKNRKLQDVYEKYKLTPRFKQTSEKMFGLKASWDVENAHNTHLTRFWDQPKVHLVKKEVCDQ -LVDALRKVADDLKVNFEMSDTPGICERFSRILSETFQLDIYAGSAYKQIHRRKKFAANLVRAADQMCGTK -LNPNTREKCLQGVYQVASALLGPIYTKMKSEMGYDVNSMLKSYNEGKNKEKLFRILKNNQKMTLLQRKNV -YVDFPMGRHQGLTWINTYQHKSVKINMEEGVPYTYCDEEDENLMCTSFNDEYYYLYMDALLEREEWDDQI -DDVGSLLNEEGNILHYDIASDFYITESGELHLADYYRKRIIFNLVRGHEFECIDTYSEGYNIAHKGSKRL -DNDQIFFGIDGLFKMGMCRFDSTSLGEGKTLARPKFEDIAGGIMAQCAEYMSGKDWFISRFCKRMECREK -SEELAWCNSFTTPRFMIWEYAIFLYTIFKNYAPVIVRKMRTRKFESVYPDIDTEEIPEIIFEIRHISQIP -IMLIDVLCENRERLRNEHECVRILLEIQSVKMERRHLILKKVFPSLVNYLREPTPSGMLMINFLPLLLTF -GLAVTSKCSKRVVPITYCDEAKMRILPITITDYEGSGNFLNWVVYLTKFYGIKQHETMTVSDELKMIHPW -IINYYLRVDFLDKPENVIELSTKRQLVEMWLGQRCGGVSEGLIFIRSSHFPSRGFVAISINDGLVPTNLR -FKRVRERFSGSEDTMVGVVCVEVLDDAVRVFAEGKVEARILKRIFWGVQHDVIIIKSKGRVFGNELMVTK -LMNI - ->YP_052958.1 VP2 [Bluetongue virus] -MEEFVIPVFSERDIPYSLLNHYPLAIQIDVKVDDEGGKHNLIKIPESDMIDVPRLSIIEALNYRPKRNDG -VVVPRLLDITLRAYDNRKSAKNAKGVEFMTDTKWMKWAIDDKMDIQPLKVTLDNHCSVNHQLFNCIVKAR -SANADTIYYDYYPLENGAKRCNHTNLDLLRSLTTTEMFHILQGAAYALKTTYELVAHSERENMSESYQVG -TQRWIQLRKGTKIGYRGQPYERFISSLVQVIIKGKIPDEIRTEIAELNRIKDEWKNAAYDRTEIRALELC -KILSAIGRKMLDVQEEPKDEMALSTRFQFKLDEKFIRTDQEHVNIFKVGGSATDDGRFYALIAIAGTDTQ -QGRVWRTNPYPCLRGALIAAECELGDVYFTLRQTYKWSLRPEYGQRERPLEDNKYVFARLNLFDTNLAVG -DEIIHWRYEVYQPKETTHDDGYICVSQKGDDELLCEVDEDRYKEMFDRMIQGGWDQERFKLHNILTEPNL -LTIDFEKDAYLGARSELVFPPYYDKWINSPMFNARLKIARGEIATWKADDPWSNRAVHGYIKTSAESLEY -ALGPYYDLRLQLFGDTLSLGQRQSAVFEHMAQQDDFSTLTDYTKGRTVCPHSGGTFYTFRKVALIILSNY -ERLDPSLHEGREHETYMHPAVNDVFRRHVLEMKDFSQLICFVFDYIFEKHVQLRNAKEARRIIYLIQNTS -GAYRLDVLREAFPNFLKHVMNLRDVKRICDLNVINFFPLLFLVQDNISYWHRQWSIPMILFDQVIRLIPV -EVGAYANRFGLKSFFNFIRFHPGDSKKRQDADDTHKEFGSICFEYYTTTKISQGEIDVPVVTSKLDTLKL -HVASLCAGLADSLVYTLPVAHPKKSIVLIIVGDDKLEPQIRSEQIVNKYYYSRRHISGVVSICVNQGGQL -KVHSMGITRHRICDKSILKYKCKVVLVRMPGHVFGNDELMTKLLNV - ->sp|Q06998.1|VP2_BTV3V RecName: Full=Outer capsid protein VP2 -MEELVLPVISRQFDKKLLGRYEYVIELAKPEQDEWTNHDVTQIPGRRMFDVAQQGIRDAMHLKPLENDGE -VLPRILDMSIACYDMRKTMMKKEGVDFVSNTRWLEWIIQDSMDVQPLRVHINEDHSTVQYDMFSAKVHID -SRKADTTSYHAIAVETKAERKCCHVRTEVWNSVVRNHLFNTAQESCYTFKQTYELIVNSERLSTEEEFRV -GAPQFHTIQRNHRMQLGDNAYDKFLKGLVQLRVSGTTPAKIRDEVAALDVIRDNWIRGSFDRSHIKSLEL -CRLLSSIGRKMVNMEEEPKDEKDLSVKFQFRLDEKFSPNDPERNVIFTSKTHRTNEDRFYVLLVIAASDT -NNGRVWWSNPYPCLRGALIAAECKLGDVYHTLRSKYAWGVRPTYKPKDLEREREKYVVGRVNLFDLEGEP -ATKVIHWEYELISPTYSVSNHKGNQCDLYPDDVEITTKFNEDRYREMIQSVIDDGWDQKNLKMYKILEEE -GNPLLYDLEKDINLDSQSQVVFPSYYNKWTHAPMFNARVKPCDIELAERKNDDPFVKRTLKPIKADCVDL -LRYHMSHYYDLRPCVKGVSLSIKQTPSGIHQALVQDDSYSRLLRRRDVDLDYSSPCPIITNYFLLEKFHI -LILTIMEKHYWELDDSDDVYEFPKIDASAFEVDGTLYDISQTIVHMYDRFFEKRRVLRSIDESRWILHLI -RISQGRERLEVIERFFPNYGKAMRQRDFKKVRDVMFLNFLPFFFLTGDNISYEHRQWSIPIILYADKLRI -LPIEVGAHYNRFGVTCILELLNFFPSYEKREEKLEEDIVLCADAIVNFYLQTTISNGGVQTSIVSTKALL -YEMYLSSICGGYSEGVLWYLPITHPVKCLVALEVSDALVGADVRIDKIKRRFPLSAKHLKGIVQISVHPN -RTFSVTTCGIVKHKVCKKTLLKHRCDVILLQTPGYVFGNDELLTKLLNI - ->sp|Q06997.1|VP2_BTV1V RecName: Full=Outer capsid protein VP2 -MDELGIPVYKRGFPEHLLRGYEFTIDVGTKIESVGGRHDVTKIPEMNAYDIKQESIRTALWYNPIRNDGI -VLPRVLDITLRGYDERRAVVESTRHKSFHTNDQWVQWMMKDSMDAQPLKVGLDDQSRNVAHSLHNCVVKI -DSKKADTMSYHVEPIEDASKGCLHTRTMMWNHLVRIETFHTAQEVHILFKPTYDIVVHAERRDRSQPFRP -GDQTLINFGRGQKVHMNHNSYDKMVEGLTHLVMRGKMPEVIRDDIASLDEICNRWIQSRHDPGEVKAYEL -CKILSTIGRKVLDREKEPEDEANLSIRFQEAIDNKFRQHDPERLKIFEHGNQRRDEDRFYILLMIAASDT -FNTRVWWSNPYPCLRGTLIASETKLGDVYSMMRSWYDWSVRPTYTPYEKTREQEEYIYGRVNLFDFVAEP -GIKIVHWEYRLNHSTREITYAQGNPCDLYPEDDDVIVTKFDDVAYGQMINEMINGGWNQEQFKMHKILKT -EGNVLTIDFEKDAKLTTNEGVTMPEYFNKWIIAPMFNANVRIKHEEIAQRQSDDPMVKRTLSPITADPIE -LQRLTLARFYDIRPALRGQALSRQQAQSTYDEEISKKAGYAEVLKRRGIVQIPKKPCPTVTAQYTLERYA -LFIINYLQQHVARDCDEEAIYEHPKADHELEIFGESIVDISQVIVLVFDLIFERRRRVRDVYESRYIIAR -IREMRGKEKLNVIAEFFPTYGSLLNGLSGATVVQDIMYLNFLPLYFLVGDNMIYSHRQWSIPLLLYTHEV -MVIPLEVGSYNDRCGLIAYLEYMVFFPSKAIRLSKLNEAHAKIAREMLKYYANTTVYDGGDNSNVVTTKQ -LLYETYLASLCGGFLDGIVWYLPITHPNKCIVAIEVSDERVPASVRAGRIRLRFPLSARHLKGVVIIQVD -LGGRFTVYSEGIVSHRVCKKNLLKYMCDIILLKFSGHVFGNDEMLTKLLNV - ->sp|P32508.1|VP2_BTV1S RecName: Full=Outer capsid protein VP2 -MDELGIPVYKRGFPEHLLRGYEFIIDVGTKIESVGGRHDVTKIPEMNAYDIKQESIRTALWYNPIRNDGF -VLPRVLDITLRGYDERRAVVESTRHKSFHTNDQWVQWMMKDSMDAQPLKVGLDTQVWNVAHSLHNSVVEI -DSKKADTMAYHVEPIEDASKGCLHTRTMMWNHLVRIETFHAAQEVHILFKPTYDIVVHAERRDRSQPFRP -GDQTLINFGRGQKVAMNHNSYDKMVEGLTHLVIRGKTPEVIRDDIASLDEICNRWIQSRHDPGEIKAYEL -CKYLSTIGRKSLDREKEPEDEANLSIRFQEAIDNKFRQHDPERLKIFEHRNQRRDEDRFYILLMIAGSDT -FNTRVWWSNPYPCLRRKLIASETKLGDVYSMMRSWYDWSVRPTYAPYEKTREQEKYIYGRVNLFDFVAEP -GIKIIHWEYKLNHSTREITYAQGNPCDYYPEDDDVIVTKFDDVAYGQMINEMINGGWNQEQFKMHKILKS -EGNVLTIDFEKDAKLTTNEGVTMPEYFNKWIIAPMFNAKLRIKHEEIAQRQSDDPMVKRTLSPIAADPIV -LQRLTLARFYDIRPALIGQGLSRQQAQSTYDEEISKQAGYAEILKRRGIVQIPKKPCPTVTAQYTLELYS -LSLINILQQHVARDCDEEAIYEHPKADYELEIFGESIVDISQVIVLVFDLIFERRRRVRDVYESRYIITR -IRRMRGKERLNVIAEFFPTYGSLLNGLNSAYVVQDIMYLNFLPLYFLAGDNMIYSHRQWSIPLLLYTHEV -MVIPLEVGSYNDRCGLIAYLEYMVFFPSKAIRLSKLNEAHAKIAREMLKYYANTTVYDGGDNSNVVTTKQ -LLYETYLASLCGGFLDGIVWYLPITHPKKCIVAIEVSDERVPASIRAGRIRLRFPLSSRHLKGSAIIQID -LVGRFTVYSEGIVSFLVCKKNLLKYKCEIILLKFSGHVFGNDEMLTKLLNV - ->sp|P12434.2|VP2_BTV1A RecName: Full=Outer capsid protein VP2 -MDELGIPIYKRGFPEHLLHGYEFTIDSSTKIQSVGGRHDVTKLPEMNAYDIKSEGMRTALWYNPVRNDGF -VLPRVLDITLRGYDGKRAVIDSSRHKSFHTDERWVQWMMKDSMDAQPLKVGLDDQTQKIAHSLHNCVVKI -DSKKADTMSYHIEPIEDSLKGCLHTRTMLWNHLVRVEMSHAAQEIAYALKPTYDIVVHAERRDRSQPFRP -GDQTLINFSRGQKVQMNHNSYEKMVEGLAHLVIRGKTPELIRDEITKLDEICNRWIRSRYDPGEIKAYEL -CKVLSTVGRKMLDQEKEPADEANLSIRFQEAIDNKFRQHDSERLKIFEHRNQRRDEDRFYILLMIAASDT -FNTRVWWSNPYPCLRGTLIASETKLGDVYSMMRLWYDWSVRPTYIPYEKSREQEKYIYGRVNLFDYVAEP -GTKIIHWEYKLNQQIKDITYEQGNPCDLFPDDDEAIVTKFDDVAYGQMVNDLINGGWDQERFKMHKILKS -QGNVLTIDFEKDAKLTSNEGVAMPEYFDKWIIAPMFNAKLRIKHGEIAQRRNDDPMVKRTLSPIAFAPIV -LQRLTLARFYDIRPAIMGQALSRQQGQSTYDEEISKIEGYAEILQRRGIVQIPKKPCPTVTAQYTLERYA -LFLINILEQHIIQSTDEDVMYSHPRVDYKLEVHGENIIDISQIVIFVFDFLFERRRTVRGVYESRYMVTR -IRDAQGQNRINVITEFFPTFGYHLSRVKEATIIQEIMYLNFLPLFFLVSDNIIYTHKQWSVPLFLYAHEL -KVIPLEVGSYNDRCSLVSYIEYMVFFPSKAFRTSKLDEVQPKIAREMLKYYINTKIFEGGINLNVVTTKQ -LLYETYLASICGGLSDGIVWYLPITHPNKCLVAIEVSDERVPASIRASHIKLRFPLSVKHLKGIVIIQVD -EEGKFTVYSEGIVSHRVCKKNLLKYMCDIVLLKFSGHVFGNDEMLTKLLNV - ->sp|P12395.1|VP2_BTV13 RecName: Full=Outer capsid protein VP2 -MEELVIPVITERFDKRLVGRYDYVIELARPEGDEWSGHDVTHIPDRRMFDIKVQPIRDAIDYKPVENDGE -VLPRILDMSIACYDMRKRMMKRDGVDFVSDTKWLEWMIQDSMDVQPLKVDMKEDHSTVQYDMFSAKLHVD -SRKADTTSYNILALETKEGAQCHHVHTNIWNHMIRNHLFHAVQESCYIFKPTYKLTVNSERRTPDEDFQI -GNPQFLTLRRNQQIFLGDDAYKKTAKGLVQVLVNGVVPDIIRNEIAALDAIRDKWIQGNYERTHIKSLEL -CNLLSAIGRKMVNLEEEPKDERDLSLRFQHKLDDKFAKNDQERNVIFAQKSQRNDQDRFYVLMVIAASDT -NNSRVWWSNPYPCLRGALIAAECKLGDVYYKLRSWYEWSVREGYKPRDLDRQYEKYIVGRVNLFDLEAEP -GTKVLHWEYELISKLYTVSNHEGNQCDLHPDEGEIVTKFDDTRYSDMIQTIINEGWKQNDFKMFKMLKDE -GNPLLYDLEKDIKLDRVSRVVFPPYFDQWTYVPMFNARIKPCEVEVGERKNIDPYVKRTHRPLKADCIEL -MRYHMSQYMDLRVSLQGTSLSIKQTPSSIHQSLARDASYAEILSRRRENLDYKSQCPIVTNLFLLEKFFL -LIFTTMEKHYWEMDDDETEYEHPKIDPSKFEVEGTLHDVSQVMVHLFDRFFEKRRFLRTVDESRWILHLI -RSASGARRLEVLSRFFPAFSDGLRIREFKKVRDIMLLNFLPFLFLTGDNIAYEHRQWAVPVIFYADKIMI -IPAEVGAYYNRFGLTCILELMMFFPSYDTRNENLSEDVRACIGPIINYYLDTTISNGGIQTSIVSTKALL -YETYLSSICGGFSEAILWYLPITHPSKCLIALEVSDALTSPELRIDKIKRRFPLSSNHLKGIVQISVRPG -RTFSVVTQGIVKHRVCKKTLLRYRCDVILIQTPGYVFGNDELLTKLLNI - ->sp|P05309.1|VP2_BTV17 RecName: Full=Outer capsid protein VP2 -MEEFVIPVYSEDEIPYALLSRYPLAIQTNVKIEDVEGKHNVVKIPESDMIDIPKLTIVEAMNYKPARNDG -IVVPRLLDITLRAYDDRKSTKSARGIEFMTNARWMKWAIDDRMDIQPLKVTLDHYCSVNHQLFNCVVKAN -AANADTIYYDYFPLEDYKKRCNHTNLDLLRSLTNMELFHALQGAAYSIKSSYELVAYSERGSLEETYVVG -QPKWIHLTRGTRIGNSGLSYERFISSMVQVSVNGKIPDEIANEIAQLNRIRAEWITATYDRGRIRALELC -SILSTIGRKMLNTHEEPKDEMDLSTRFQFKLDEKFNRADSEHVNIFGVRGPATDEGRFYALIAIAATDTQ -KGRVWRTNPYPCLRGALVAAECELGDVYSTLRRVYTWSLRPEYGQHERQLENNKYVFNRINLFDSNLAVG -DQIIHWRYEVKASAETTYDSGYMCRHEAEEDELLCKINEDKYKEMLDRMIQGGWDQERFKLHNILTDPNL -LTIDFEKDAYLNSRSELVLPDYFDKWISSPMFNARLRITKGEIGTSKKDDPWNNRAVRGYIKPLAESLDF -VLGPYYDLRLLFFDETLSLKQEQSAVFQYLSQLDDFPALTQLRGDAVCPHSGGALYTFRKVALFLIGNYE -KLSPDLHEGMEHQRYVHPSTGGTYQKRVLEMKDSCQLTCFVIDYIFEKREQLRDTKEARYIVYLIQSLTG -TQRLSVLRSTFPNFFQRLLMLKEIKFVRDLNVINFLPLMFLVHDNISYWHRQWSIPMVLFDDTIKLIPVE -VGAYANRFGFKSFMNFTRFHPGELKKKQIAEDIHKEFGVVAFEYYTNTKISQGNVHTPVMTTKMDVLRVH -LSSLCAGLADSVVYTLPVAHPKKCIVLIIVGDDKLEPHTRSEQIVSRYNYSRKHICGIVSVTIGQNSQLR -VHTSGIVKHRVCDKFILKHKCKVILVRMPGYVFGNDELMTKLLNV - ->sp|P05308.1|VP2_BTV11 RecName: Full=Outer capsid protein VP2 -MEEFVIPVFSETEIPYSLLSHYPLAVRTNVKIANVDEGHDVVKIPESDMIDVPRVSIVEALAAKPTRNDG -IVVPRLLDITLRAYDDRKAMKSARGVEFMTNAKWMKWAIDDRMDIQPLKVAIDDHNAVNHQLFNCIVKAR -PANADTVYYSYFPLRDKVKKCNHTNLDLLRGLTTTEMFHMLQGAAYCLKSSYELITNSERNNTEETYAPG -VHNRIRLVRGTRIGYKGEAYSRFVSSLVQVRIQGQTPPEIVDDIARLNEIRTEWINAQFDSTKIRALELC -KILSAIGRKMLNTHEEPKDEMDLSTRFQFKLDDKFKKTDSEHINIFNVGAPATHEGRFYALIAIAATDTQ -RGRVWRTNPYPCLRGALIAAECQLGDVYHTLRQVYKWSLRQDYGRTEVPLENNKYVFSRINLFDSNLEVG -DQVVHWKYEIDGPAETTYDNGYICKTEREDGELVCKISEEKYKTMLDRMIQGGWDQERFKLYSVLTDPNL -LTIDFEKDAYLNIRSEFVLPSYFDQWIYSPMFNARLRITHGEIGTRKSADPWNKRVVFGYVKASTESPEY -ALGQYFDTRIQLYGDALSLKQSQSAVFQHQSQQEDFPVLTSYAKGDVVCPHSGGALYTFRKVALMLMANY -ERLSPDLHEGMEDHTYTHPSIGGANQKRILEMRDFSQLICFIIDYIFERHDQLRDMREARRILYLVQSLG -EPQRLDVLSVASPNFSRYFLKLKDVQRISDLNVINFLPLLFLIQDNISYWHRQWAVPMILYDDTIKLIPV -EVGAYANRFGIKSFFNFTRFHPGDAKKRQKADDTHKEFGLISFNYYANTKIAQGGVHTPVVTTKLDTLKI -HLSSLCAGLADSVVYTLPVAHPKKCIVLIIVGDDKLEPHVRSEQVVSKYYFSRKHVSGVVSICIGQNDQL -KVYSSGIVRHRICEKFILRYKCKVVLVKMPGYVFGNDELMTKLLNV - diff --git a/seq/clusters_seq/cluster_438 b/seq/clusters_seq/cluster_438 deleted file mode 100644 index 2166abb..0000000 --- a/seq/clusters_seq/cluster_438 +++ /dev/null @@ -1,66 +0,0 @@ ->YP_009362084.1 matrix [Morreton vesiculovirus] -MSSLKKILGIKGKNKKSKKLGLPPPPYEEDARMEFAPSAPIDRSFFGVEDMDIQDKKQLRYEKFYFSVKM -TVRSNRPFRTYSDVASAVSNWDHMYIGMAGKRPFYKILAFLGSTLLKATPAVLADHGQPEYHAHCEGRAY -LPHRLGPTPPMLNVPEHFRRPFNIGLFRGTIDLTMTLHDDESLEAAPMIWDHFNASRVTDFPEKALLFGL -IVEKKATGAWILDSISNFK - ->YP_009505529.1 matrix [Carajas virus] -MSSLKKILGLKGKKEEKSKKLGLPPPYEMPANNEFEPNAPLDPDMFGAEHLEIESKSAMRYEKFKFSVKI -TLRTNRPLRTYDDVCQILSKWDAMYVGMMGKRPFYKVLVLIGSSHLQATPAILSDRGQPEYHMYLEDRGF -IAHRLGLTPPMLSGPESFRRPFHVGLYRGTIDITVNLMDDESTESAPQVWDHFNTRYVNHFLEHAKRFGL -VLSKKPGGGWILDQAVCA - ->YP_009505324.1 matrix protein [Vesicular stomatitis Indiana virus] -MSSLKKILGLKGKGKKSKKLGIAPPPYEEDTSMEYAPSAPIDKSYFGVDEMDTHDPNQLRYEKFFFTVKL -TVRSNRPFRTYSDVAAAVSHWDHMYIGMAGKRPFYKILAFLGSSNLKATPAVLADQGQPEYHAHCEGRAY -LPHRMGKTPPMLNVPEHFRRPFNIGLYKGTIELTMTIYDDESLEAAPMIWDHFNSSKFSDFREKALMFGL -IVEKKASGAWILDSVSHFK - ->YP_009177649.1 matrix protein [Cocal virus] -MSSLKKILGLKNKGKKSKKLGLAPPPYEEEVSMEFTPSAPFNESFFGVEGMDFHDKKQLRHEKFHFSVKL -TVQSNRPFRTYDDVVAAVSNWDHMYIGMAGKRPFYKVLAFLASTHLRATPAVLADQGHPEYHAFCEGRAY -LPHRLGATPPMLNVPEHFRRPFHIGLYRGTVDLTVTLFDDESVDSANMIWDHFNSSRSYNMKEKALLFGL -ILERKATGSWVLDSISNFK - ->YP_009094099.1 matrix protein [Vesicular stomatitis Alagoas virus] -MSSLKKILGLKKQSKKSKKLGIAPPPYEEDATMEFAPSAPLNESYFGMEDMDFHDKRQLRYEKFHFSIKL -TVQSNRPFRSCEDAMIAVSNWDHMYIGLAGKRPFYKVLALLGSTLLKATPAVLADQGHPEYHAFCEGRAY -LPHRLGPTPPMLNVPEHFRRPFHIGLYRGTVDLTLTLYDDESTESAPVIWDYINSSRVKNLRENALMFGL -IVERKATGSWVLDSISHFK - ->YP_009091828.1 matix [Maraba virus] -MSSLKKILGIKGKGKKSKKLGMAPPPYEEETPMEYSPSAPYDKSLFGVEDMDFHDQRQLRYEKFHFSLKM -TVRSNKPFRNYDDVAAAVSNWDHMYIGMAGKRPFYKILAFMGSTLLKATPAVLADQGQPEYHAHCEGRAY -LPHRLGPTPPMLNVPEHFRRPFNIGLFRGTIDITLVLFDDESVDSAPVIWDHFNASRLSSFREKALLFGL -ILEKKATGNWVLDSISHFK - ->YP_009047083.1 matrix protein [Vesicular stomatitis New Jersey virus] -MSSFKKILGLSSKSHKKSKKLGLPPPYDESCPMETQPSAPLSNDFFGMEDMDLYDKDSLRYEKFRFMLKM -TVRSNKPFRSYDDVTAAVSQWDNSYIGMVGKRPFYKIIALIGSSHLQATPAVLADLNQPEYYATLTGRCF -LPHRLGLIPPMFNVPETFRKPFNIGLYKGTLDFTFTVSDDESNEKVPHVWDYMNPKYQSQIQQEGLKFGL -ILSKKATGTWVLDQLSPFK - ->NP_041714.1 matrix (M) protein [Vesicular stomatitis Indiana virus] -MSSLKKILGLKGKGKKSKKLGIAPPPYEEDTSMEYAPSAPIDKSYFGVDEMDTYDPNQLRYEKFFFTVKM -TVRSNRPFRTYSDVAAAVSHWDHMYIGMAGKRPFYKILAFLGSSNLKATPAVLADQGQPEYHTHCEGRAY -LPHRMGKTPPMLNVPEHFRRPFNIGLYKGTIELTMTIYDDESLEAAPMIWDHFNSSKFSDFREKALMFGL -IVEKKASGAWVLDSISHFK - ->sp|Q8B0H2.1|MATRX_VSIVC RecName: Full=Matrix protein; Short=M protein -MSSLKKILGLKGKGKKSKKLGIAPPPYEEDTSMEYAPSAPIDKSYFGVDEMDTHDPNQLRYEKFFFTVKM -TVRSNRPFRTYSDVAAAVSHWDHMYIGMAGKRPFYKILAFLGSSNLKATPAVLADRGQPEYHAHCEGRAY -LPHRMGKTPPMLNVPEHFRRPFNIGLYKGTVELTMTIYDDESLEAAPMIWDHFNSSKFSDFREKALMFGL -IVEKKASGAWVLDSVSHFK - ->sp|P08325.1|MATRX_VSNJO RecName: Full=Matrix protein -MSSFKKILGLSSKSHKKSKKMGLPPPYDESCPMETQPSAPLSNDFFGMEDMDLYDKDSLRYEKFRFMLKM -TVRSNKPFRSYDDVTAAVSQWDNSYIGMVGKRPFYKIIAVIGSSHLQATPAVLADLNQPEYYATLTGRCF -LPHRLGLIPPMFNVQETFRKPFNIGLYKGTLDFTFTVSDDESNEKVPHVWDYMNPKYQSQIQQEGLKFGL -ILSKKATGTWVLDQLSPFK - ->sp|P04876.1|MATRX_VSIVG RecName: Full=Matrix protein; Short=M protein -MSSLKKILGLKGKGKKSKKLGIAPPPYEEDTSMEYAPSAPIDKSYFGVDEMDTHDPNQLRYEKSFFTVKM -TVRSNRPFRTYSDVAAAVSHWDHMYIGMAGKRPFYKILAFLGSSNLKATPAVLADQGQPEYHAHCEGRAY -LPHRMGKTPPMLNVPEHFRRPFNIGLYKGTIELTMTIYDDESLEAAPMIWDHFNSSKFSDFREKALMFGL -IVEEEASGAWVLDSVRHSKWASLASSF - diff --git a/seq/clusters_seq/cluster_439 b/seq/clusters_seq/cluster_439 deleted file mode 100644 index d60c9d2..0000000 --- a/seq/clusters_seq/cluster_439 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_009259544.1 core [Tibetan frog hepatitis B virus] -MDPIVRFSLQLVENLPDDYFPDNDQFLRHAFDVAHLYWEDAENKTYHVQTSRSIYEVILILRTVPPLLGF -THRQLREHWEYLRDQENWGNQTPPPYPLPELPHSFPVSIRDPPLLTQFQAITDRHRELRGAVNVGQPNSY -RELWMLSEFNRFLGIMLESQTRFLIKRLWYHINCIAWGEATVHNHVAKVRTWLATPAPYRGQNAPLIEEI -VEPQRQRTSRPNSRRARGGSHPGGDRGLYYQRTVRRFNSPRERGSRRRSPSPAGRT - ->YP_009389522.1 precore/core protein [Tinamou hepatitis B virus] -MRRLILHPSPFGADCQGIFTSFLLLSILTVPWVCTIVYDTRLYMDVNVSRALANVFDLPHDFFPDIEDLV -RDAKDALEPYWKQDSIKKHVIIATHFVDLIEDFWQTTQGMSSVANALLALIPGTTTPVPQGYLIDTKEAE -EIALTELFNSQEERITSFQPDYPVIARIHTHLRVYTKLNEQALDRARRLLWWHYNCLLWGESNVTNYISR -LRTWLSTPERYRGRDAPTIEAITRPIQVAQGSRNQTQGARKTRGLEPRRRKTKTTIVYGRRRSKSRDRGE -SLSRRAGSPVPRRRGSRERSPSPRQ - ->YP_009165598.1 preC/core protein [White sucker hepatitis B virus] -MFAFVLILLRLWSGCLNGSSTMDPLVKAALEGVKDLPHDFFPLLKDQVQFSKDVIKEYNEHHSQNRHVEV -INCSLESYDNLQLIYAKVTISNWTAIANNGDSVQVALDENARTGLAYIFQSTIEMSIRRKLWWHTNCLMW -GESQTAEYTAKLRSWLMTPTSYRNQYAPTIEALTRTVKATHLSSRSSRPTARSPGVRARSSSKSKSKSPR -RKT - ->YP_004956862.1 unnamed protein product [Parrot hepatitis B virus] -MWRLRLHPSPFGAVCQGIFTSCLLLSALTVPSVCTIVYDYCLYMDANVSRALSNIYDLPDDFFPKIDDLV -RDAKDALEPFWKTDSIKKHVIIATHFVDLIEDFWQTTQGMNHVAEALVSLIPPTTVAVPQGYLTTHEEAE -EIPLDGLFNYQEERIASYQPDYPVPARIHTHLKVYTKINEQALDRARRLLWWHYNCLVWGEANVTNYISR -LRTWLSTPEKYRGKDAPTIEAITRPIQVASGSRTKTQGTRKPRGLEPRRRKVKTTVVYGRRRSKSRERSS -SSPQRAGSPIPRTSGGSRGPSTTRR - ->YP_031693.1 preC antigen [Snow goose hepatitis B virus] -MWNLRITPLSFGAACQGIFTSTLLLSCLTVPLVCTIVYDTCLYMDVNASRALANVYDLPDDFFPKIEDLV -RDAKDALEPYWKSDSIKKHVLIATHFVDLIEDFWQTTQGMHEIAEAIRAVIPPTTAPVPSGYLIQHDEAE -EIPLGDLFKEQEERIVSFQPDYPITARIHAHLKAYAKINEESLDRARRLLWWHYNCLLWGEATVTNYISR -LRTWLSTPEKYRGRDAPTIEAITRPIQVAQGGRKTSTATRKPRGLEPRRRKVKTTVVYGRRRSKSRERRA -SSPQRAGSPLPRSSSSHHRSPSPRK - ->YP_024967.1 precore/core protein [Ross's goose hepatitis B virus] -MWDLRLHPSPFGAACQGIFTSSLLLFLVTVPLVCTIVYDSCLCMDINASRALANVYDLPDDFFPKIDDLV -RDAKDALEPYWRNDSIKKHVLIATHFVDLIEDFWQTTQGMHEIAEALRAIIPATTAPVPQGFLVQHEEAE -EIPLGELFRYQEERLTNFQPDYPVTARIHAHLKAYAKINEESLDRARRLLWWHYNCLLWGEPNVTNYISR -LRTWLSTPEKYRGKDAPTIEAITRPIQVAQGGRNKTQGVRKSRGLEPRRRRVKTTIVYGRRRSKSRERRA -PTPQRAGSPLPRTSRDHHRSPSPRE - ->YP_024972.1 precore protein [Sheldgoose hepatitis B virus] -MWNLRITPLSFGAACQGIFTSTLLLFFVTVPLVCTIVYDFCLYMDVNASRALANVYDLPDDFFPKIDDLV -RDAKDALEPYWRSESIKKHVLIATHFVDLIEDFWQTTQGMHEIAEALRAVIPPTTTPVPPGYLIQHEEAE -EIPLGDLFKHQEERIVSFQPDYPITARIHAHLKAYAKINEESLDKARRLLWWHYNCLLWGEANVTNYISR -LRTWLSTPERYRGRDAPTIEAITRPIQVAQGGRNKTQGSRKPRGLQPRRRKVKTTVVYGRRRSKSRDRRA -PSPQRAGSPLPRPSTSHHRSPSPRK - ->NP_040997.1 core antigen [Heron hepatitis B virus] -MWSLRLHPSPFGAACQGIFTSTSLLFLVTVPLVCTIVYDSCLYMDVNASRALANVYDLPDDFFPQIDDLV -RDAKDALEPYWKAETIKKHVLIATHFVDLIEDFWQTTQGMSQIADALRAVIPPTTVPVPEGFLITHSEAE -EIPLNDLFSNQEERIVNFQPDYPITARIHTHLRVYTKLNEQALDKARRLLWWHYNCLLWGEATVTNYISR -LRTWLSTPEKYRGKDAPTIEAITRPIQVAQGGRNQTKGTRKPRGLEPRRRKVKTTVVYGRRRSKSRGRRS -SPSQRAGSPLPRNRGNQTRSPSPRE - ->NP_039827.1 secretory protein [Duck hepatitis B virus] -MWNLRITPLSFGAACQGIFTSTLLLSALTVPLVCTIVYDSCLYMDINASRALANVYDLPDDFFPKIDDLV -RDAKDALEPYWKSDSIKKHVLIATHFVDLIEDFWQTTQGMHEIAESLRAVIPPTTAPVPTGYLIQHEEAE -EIPLGDLFKHQEERIVSFQPDYPITARIHAHLKAYAKINEESLDRARRLLWWHYNCLLWGEANVTNYISR -LRTWLSTPEKYRGRDAPTIEAITRPIQAAQGGRKTSSGTRKPRGLEPRRRKVKTTVVYGRRRSKSRERRA -PSPQRAGSPLPRSSSSHHRSPSPRK - ->sp|P0C6J9.1|HBEAG_DHBV3 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; Flags: Precursor -MWNLRITPLSFGAACQGIFTSTLLLSCVTVPLVCTIVYDSCLYMDINASRALANVYDLPDDFFPKIDDLV -RDAKDALEPYWRSDSIKKHVLIATHFVDLIEDFWQTTQGMHEIAEALRAVIPPTTTPVPQGYLIQHDEAE -EIPLGDLFKHQEERIVSFQPDYPITARIHAHLKAYAKINEESLDRARRLLWWHYNCLLWGEANVTNYISR -LRTWLSTPERYRGRDAPTIEAITRPIQVAQGGRKTTSGTRKPRGLEPRRRKVKTTVVYGRRRSKSRERRA -PTPQRAGSPLPRSSSSHHRSPSPRK - ->sp|P03154.1|HBEAG_DHBV1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; Flags: Precursor -MWNLRITPLSFGAACQGIFTSTLLLSCVTVPLVCTIVYDSCLYMDINASRALANVYDLPDDFFPKIDDLV -RDAKDALEPYWKSDSIKKHVLIATHFVDLIEDFWQTTQGMHEIAESLRAVIPPTTTPVPPGYLIQHEEAE -EIPLGDLFKHQEERIVSFQPDYPITARIHAHLKAYAKINEESLDRARRLLWWHYNCLLWGEAQVTNYISR -LRTWLSTPEKYRGRDAPTIEAITRPIQVAQGGRKTTTGTRKPRGLEPRRRKVKTTVVYGRRRSKSRERRA -PTPQRAGSPLPRSSSSHHRSPSPRK - diff --git a/seq/clusters_seq/cluster_44 b/seq/clusters_seq/cluster_44 deleted file mode 100644 index 352847d..0000000 --- a/seq/clusters_seq/cluster_44 +++ /dev/null @@ -1,422 +0,0 @@ ->YP_009553180.1 coat protein [Chrysanthemum virus R] -MPQKTEAELAAEAAFAKTAEEAKRRAAEMEAKKREERGKSPETGQGSGEESFEEEDKLEAMLDKLVEYRR -KEYRTSRITNAGYETGRPLMRIPGRMRGDSANMYNMLSIDDLYAIKPKAVSNNMATTEDMAKVKVSLEAL -GVPTEEVSRLVAQAAIYCKDTSSSEYMDPRGTFETSAGAISMDAVFAALKTQGGTLRRVCRLYAAITWNY -MLLHEAPPSDWSAMGFKRNERFAAFDCLDYVENSAAIPPKEGLIRKPTSNEYIAHNTYKRIALDRSNRNE -VFGNLQTEITGGRFGDEIERNHLRSNK - ->YP_009664747.1 coat protein [Phlox virus M] -MENEKKVAEDAQKKEQLKLKIQERAEASRTNNAKLQKFIEPEENMTEEEATLEQRLDSLRNWLRERRSAT -RVTNPGLETGRPQLKLASDMRPDPTNPYNRPSIDELSKIMPRAISNNMATSEDMMRIYVNLEGLGVPTEQ -VQKIVIQAVIYCKDASSSAYLDPRGSFEWPGGAITADAVLAVLKRDAETLRRVCRLYAPVTWNYMLTHNA -PPSDWAAMGFQYEDRFAAFDCFDYVVNAAAVQPLEGLIRRPTPREQIAHNTHKDLALRAANRNQAFGNTS -TEITGGKNGPELTRDYRKSNNQ - ->YP_009664744.1 capsid protein [Helleborus mosaic virus] -MATATALKRTDFANDEAYNKALADAERARMPNVPSVHGSKQDYELVGESDESLESRLANLKEYLMRQQKS -KQFVNLGMEIGRPKLEPMAHLKPDMTNIFTRPTVDSLVKMQWREESGTVATAEELTEIAAKLQGLGVPPE -EVAAVLWDISMYCASVSSSESMDPKGVVTFRSGGSIMRDAVVAITRDFSTLRKVCRAYAPVTWNRMILLD -NPPANWQAKGFSSATKFAAWDCFDHVRNPACVQPQEGLIRIPTREEEIAHATHKEIALMKNARNDRFANT -SSEITGGKFGCNVKKQWRESKCD - ->YP_009664738.1 coat protein [Cucumber vein-clearing virus] -MGDAKQAANTAEEKPKSASGVPIDGIEADLQKRLDALHEFWLKMQTQSVVTNPGLELGRPIPKAPAHLQK -KKSSIYNKWTIDELSLLVSKPISNSMPTAEEMVKTRVTLEGLGVPTEQVPTVLLQVALYCKDSSSSSYMD -SNGTFEWKGGSIMSDSVIAALRKDKNTLRRVCRLYAPLTWNYMLVHNAPPSDWQSMGFQENTKFAAFDCF -DFVENPAAVQPFEGLIRKPTPAEKIANEAHKRIALDRSNRNETYANLGTEITGGRLGPEINRDFNNANNH - ->YP_009508321.1 coat protein [Atractylodes mottle virus] -MPPKPDPDAESSNAQRPPVPPPRPPAANAEEARQRLAEMERERNENLNERPPVTENEEDLQMISRLGRLA -DMLRHERSAIVVTNAALETGRPTLQPAENMRGDPTNIYSRVSTELLWRIKPKRVSNNIATAEEMIRIQIA -LEGHGIPTENVAEVILQMALICANTSSSSFQDPQGTIEWEGGGTIIVDDVVGTINEISTLRKVCRLYAAI -VWNHMHIHHSPPADWAALGFHHSTRFAAFDFFDYVENNASIKPAGGVVPRPTRAEYDAYFTYKHLALNKA -NVNDTFANFDAQITGGRQGPAISTNFNNANNRSLQ - ->YP_009508095.1 coat protein [Carnation latent virus] -MPEKRLEEDVGSQGQTGPNNPPQQHQGEQSAVSGMVSVNEVDLRNLQNRVEEAEKFMQRFQKLKEFNSQN -LTAGELKNGGFESGRPPAKISEHLRGSTANVFTRPSLDALQMMDFKPESNMIVTAEELAAITAKLEGLGV -PTERSAPICWAVARYCANTSTSPYTDPKGVFEFPGGAITRDAVFAVIREVTTLRAFCRAFAPITWNQMLF -AKSPPENWQAKGYTYETRYAAFDVFDFVQNPAAIQPLEGLLRIPTAEEKIAHATNKRLALDRNRRNARFS -STDSLVTGGMYGKDIKTNFNGSNNSD - ->YP_009505630.1 coat protein [Melon yellowing-associated virus] -MFSGESNDHFSSRLKKYNEVRKALVSQGKTPEEVKEELDEQVERDRKVFEGRQLAGDTGGSVVMATEKTE -QKTSNPDAKDKGQSSNSKGKVEEIKAAQGDISDFQIARLEALKEWYRANMRPSDVANPLMQSGLKQMEVT -DKLKEDSTNLYSRPALNTLLKWNRAPVSQNIATAEDIAQITSKLESMGVPRERVRDAIFDIVLYAAHNSS -SPFQTFEGDIDFRDPKFKSTFSRVSVSSIIKDHSTLRKVCRLFAPIVWSYMIINNEPPANWQAKGFPENA -KFASFDTFDFVTNHSAMKPLEGLVRLPTDIEKLAHDVHKTNSLNKARRNEKLLSTSSKISGGQQACEVKG -EFKGNGSCS - ->YP_009505624.1 coat protein [Helenium virus S] -MPPKVAPESSDAVSSQEQPQRPPPATPPVPTPPPGRREEVGDRAEDPILQRLESLTALLRSERSAVRVTN -ASFETGRPALQPTADMRGDVTNMYNRPSTDSLWAVKPKPISNNMATSEDMVKIKVALEGLGVPTEHITGI -IYQMCFYCASTSSSSYQDPKGVFEWPGGAIMVDDVMGKVQEIAGIRRVCRLYAPVTWNYMHIHDSPPSDW -ASMGFAPNVKYAAFDCFDYVENPAAVQPLGGVIPRPTRDEYVAYNAYKLIVLNKANNNDTYGNFSAQITG -GRMGPTIEHNFNNANNKKQ - ->YP_009505622.1 coat protein [Cole latent virus] -MRPDPTNPYNRPSIDALSKLKPRAVSNNMATSEDMMKIYVNLEGLGVPTEHVQQVVIQAVVFCKDASSSA -FLDPRGSFEWPGGAITADAVLAVLKRDAETLRRVCRLYAPVTWNYMLTHNAPPSDWAAMGFQYESRFAAF -DCFDYVENTAGVQPLEGLIRRPTSQEKIAHNTHKDLALRSANRNQTFGNLSVEVTGGKSGPELTRDYGKS -NNK - ->YP_009357233.1 coat protein [Pepper virus A] -MSADTKQKGEASSSVPHDAAGNLLPDIDIELQKRLDSLRDYLRKTQRATQVTNPCFELGRPSLKRPASVH -TDHSHIYSRWSIDQLSLIVPQSISNNMASAEEMAKVQVTLEGLGVPSEHVAEVLLQVAVYCKDTSSSAYM -DARGTFNWKGGAIMADQVIATMRDKAETLRRVCRLYAPITWNYMLTHNAPPSDWAAMGFSHDNRFAAFDC -FDYVENAAAVQPFEGLIRRPTPSEKVAHNTYKRIALDKANRNETYANYGTEVTGGRLGPEIERNHNNASH -RVK - ->YP_009288960.1 coat protein [Ligustrum virus A] -MSNLPEVGKPAPQLAPVLTSQNNPPPPRQQRQNTEQREPVNVVESRLTSLKEALRGERSAVEVTNACLEI -GRPALQPTPDMRGDPSNLFNRPSTDILWNLKPKLISNNMATAEEMTKIAVKLEGLGVPTEQVTNVIIQFV -CYCASASSSSYQDPRGTFEFAGGAIMADDVLGTIQELAGVRRVCRLYAPIAWNYMHLHSKPPSDWAAMGF -SYNTRYAAFDFFDYVENEAAVKPVGGIVPRPTRAEYVAHNTYQRLALDKANNNDTYGNFSAAVTGGRMGP -EIERNFNNANNKKQ - ->YP_009275354.1 coat protein [Jasmine virus C] -MSSTSDDSSKGKRPVVIPETQTNQPPPERPASEHADSHNFEADTQEEQLEVRMQKLRQFLKQQQRATQVT -NPSFELGRPKLKMLEGVRSDPTNLYNKPTIDQLCAIKPRAISNNMATSQDMAAITVAIEALGVPSEHIQA -VLIQAVAYCKDASSSTYLDPQGVFEWGSGAVMADSILAILKRDAGTLRRVCRLYAPVTWNHMLAHNSPPS -DWAAMGFQYTERFAAFDCFDYVENSAAVQPFEGLIGRPTPAEKIAHNTHKRLALDRANRNEKYASFEAEV -TGGHVGPEIERGIWKR - ->YP_009224956.1 coat protein [Elderberry carlavirus E] -MTDPANPPPSEQKPPIVLPDTPGGKNGKKDKGKGIATDSKNKEVSAATGIAIADLDRLDRLLDTIRDERR -SVLVKNISYEIGRPRLRPVAEMTPDLTNPYSMLSLEHLIALQPEATSNNMATSEHIALIFSDIEGLGVPT -EHVTRVIINMVLYYANTSSSRYLDPNGSISFASGAIPRDSVHAVFNKRSTARKVARLYAPIAWNFMLLHN -APPADWQAMGFKYEERFAAFDFFDYVQNPAAIQPIEGLVRHPTPAEMIAHKTHMRIALDRQARDEHFGSY -ETEITGGLRGPLIDRKFRQAGKWGVID - ->YP_009224950.1 coat protein [Elderberry carlavirus D] -MANDQNPRVPAANPPVIVPKERQQEEQLPNVPKTKVENPRNSEAFVNPTDTDLDKRLEKLKEFLLKDLGS -KHYTNVGVEIGRPKLEMMEMMRPDTSNIFTRPSVDLLLEKGWRPESLSVATGEELTQIAAKLQALGVPPE -SIAVVMWDISMYCASASSSQSLDPKGVIEFPSGAITRDAVVATIREYSTLRRVCRAYAPVVWNYMCATEQ -PPANWQAMGFSEATKFAAFDFFDYIKNPASIRPLEGLIRMPTSDEEIAHATHKTIALDRNARNDRFANTS -TEVTGGKFGCEFKRKWRESTCK - ->YP_009224944.1 coat protein [Elderberry carlavirus C] -MTDKKDEVLVPTNKKDEELPSVPKKKPTKTGKLPADGEESSGVKLQSADLARLDALLDSIRNERQSVIVK -NLSYEIGRPRLRPVPEMTADLTNPYAMLSLEHLIALQPEATSNNMATSEHIAQIFSDIEGLGVPTEHVTK -VIIQMVLYYANTSSSRYVDPNGSISFPTGAIPRDSVHAIFNKRSTARKVARLYAPIVWNYMLLHNVPPAD -WQAMGFRYEERFAAFDFFDYVHNPAAIQPVEGLVRHPTPAEMIAHKTHMRIALDRQARDEHFGSYETEIT -GGLRGPLIDLMFRQAGKWGVID - ->YP_009224938.1 coat protein [Elderberry carlavirus B] -MADPVKVNEPVAKDENEALPNVPKKEVDNGKSKASSSEVFVNPTDDDIDKRLDALKAFMLKDMKSKQYTN -VGIEIGRPKLQPMDILKPDTSNIFTRPSVDLLLEKGWRAESIAVATGEQLTQIAAKLQALGVPPEEVAIV -MWDIVMYCASTSSSQSMDPTGVVEFNGGAITRDSVVATIREYSTLRKVCRAYAPVVWQYMCVTGQPPANW -QAMGFSNNTKYAAFDFFDYVKNPACIKPLEGLIRLPTKEEEIAHATHKQIALDRNARNNRFANTSTEITG -GKFGCDFKRKWRESSCD - ->YP_009224932.1 coat protein [Elderberry carlavirus A] -MAEENPAGVPPIVEAKKEPLPNVPTKRVDKGKDKASSSEVFINPTDEDIDKRLDALKEFMLKDMKSKQYT -NVGIEIGRPKLQPMDILKPDTSNIFTRPSVDLLLEKGWRAESIAVATGEQLTQVAAKLQALGVPPEEVAV -VMWDVVMYCASTSSSQSMDPTGVIEFNGGAITRDAVVATIREYSTLRKVCRAYAPVVWQYMCVTGQPPAN -WQAMGFSNDTKYAAFDFFDYVKNPACIKPLEGLIRLPTKEEEIAHATHKQIALDRNSRNDRFANTSTEIT -GGKFGCEFKRKWRESTCD - ->YP_009174685.1 coat protein [Nerine latent virus] -MSTSDKQVPPPEQENERERNRKILEERLRKXAEETVIETGSGDSDDALEERFRKLKEYLLKNMRSKXIGN -IGLEIGRPALEPMSEIRPDLTNIFTMPTIDILIKKGWRPSSTAVATAQQLIEISAKLQGLGVPPESIAPV -VWDTAMYCASAGSSDKMDPQGTVEFPGGAITRDSVVATIKEYTTLRAFCRAYAPIVWNYMIGTNQPPANW -QAKGFNEQTKFAAFDTFDYIRNPAAIKPLEGLIRSPTNEEMIANATHRQLAIDRNSRNDRFSNNSLEVTG -GLYGCKAKRTLRNLTCD - ->YP_009158850.1 coat protein [Pea streak virus] -MAEQQKAAEEKARVEARLKQQLEQQSSKLGSEKEFIRDAEGLQKSLLERFQALQDLTAKSIAGSSIVNGG -WEADRKRLKVSDKMKLDGSNIFTRPTLDDLQKLGWNPEANQVATAENLAKISAKLKELGVPPEHMARTFW -DVAMYCTAVGASRYTNPQGSINYPEGSITRDAIFGVIREQCTLRQVCRSFAPIIWNYMHVNNMPPTNWAE -KGFNNDVKFAAFDFFDFVECPASIQPADGLIRRPTSDEYIAFNTHKKNALARADRNSRYASNDASVTGGM -FGCGAKENWRNNAC - ->YP_009116872.1 coat protein [Yam latent virus] -MVDPPKSQSQGSDPQNAAAGDATMQREPRVESARAKGKESASKPRASGMDKFIDSEAWDDPATEQMLEQR -LANLKEYLRKRRSAVIVTNAGLETGRPKLQVPDDMLPDPTNPYNRPTLEALCKIKPKPVSNNMATSEDMM -RIFTDLEGLGVPSEHVQQVLIQAVIYCKDASSSVYLDPRGTFEWPQGAIAADSVIAVLKKDAETLRRVCR -LYAPVTWAYMLAHNAPPSDWAAMGFHYNDRFAAFDCFDYVENPAAVQPAEGLVRRPTPREKLAHGTHKDI -ALRAANRNQAFGNFSAEITGGKDGPELVRDYSKSSNK - ->YP_009126735.1 coat protein [Alfalfa latent virus] -MAEQQKAAEERARIDAKLKQQLEQQSSKLGAEKEFIKDAEGLQKSLLERFQALQDLTAKSIAGSSIVNGG -WEADRKRLKVSDKMKLDGSNIFTRPTLDDLQKLGWNPEANQVATAENLAKISAKLKELGVPPEQMARTFW -DVAMYCTAVGASRYTNPQGSINYPEGSITRDAVFGVIREQCTLRQVCRSFAPIIWNYMHVNNMPPTNWAE -KGFSNDVKFAAFDFFDFVECPASIQPADGLIRRPTSDEYVAFNTHKKCALARADKNGRYASTDASVTGGM -FGCGAKETWRNNAC - ->YP_009022068.1 coat protein [Gaillardia latent virus] -MSPPKPAPESSSTSAAEPQLPREPEHDERGNRQKSPERRRPQPSRTGINEETSESDVGSDDYQAAADTEL -LHLSRLEDLMRRRQRGVVVTNAGFETGRPPLRPTAAMRTDPANVYGRPTTDFLWKIKPQFVSNNMATAED -MAGIKAKLEGMGVPTESVTAVLMQLAIECAHTSSSSYQNPAGVFTWAGGAIMKDDVLGVVQELAGLRRLC -RLYAAITWNYMHIHKTPPSDWAAMGFTFNTRYAAFDCFDYVENGATIKPQGGIVPRPTTAEYVAYNTYKR -IALDRSNNNASYANLNTEVTGGRMGPEIERNFNNANSKRQ - ->YP_006589923.1 coat protein [Sweet potato C6 virus] -MSEDNQKGLNETDEQYEARKQKEWDNRNAKNQSKAKSQKKASDKTAIEALIKLSEEMTQRFDDIENFSRD -HVPVHKVKNANFFDHRNKTPVSDKLKVDKSNIFSNPTIDNLEEMVWQSESNGLASAEALAKISAKIQGLG -YPREDMAQLFWDLSRYCANTSSSAQMKPEGVFEHSNGTVSKAAVLAVIKDQSTLRKVCRSYAPIVWNHML -ITNSPPSDWQARGFTESTKYAAFDFFDFILNPAAVQPLEGLIRTPTDDETIAHATYKRIALDKHARNKRF -ANYSSEITGGKFGPDLTRKWRDDKR - ->YP_006522438.1 capsid protein [Potato virus H] -MADDKKGKSMDVATSSVPTPKTQAAPTGGAQETGNSEQFMESRIMKLLETLRAERSSSRITNASFEIGRP -NLQPTEDMRYDPGNIYSRPSIDMLYKLKPRAVSNNLATPEDMARVTVAIEGLGVPTEFVSMIIIQAVIYC -KSTSSSVFLDPRGTFEYPGGAITADSVLAIMKRDANTLRRVCRLYAPIVWNHMLVHDDPPSDWQAMGFQK -NERFAAFDFFDYVENPAAIQPLEGLIRLPTPQEKIAHDTHKDIALRKANRNETYANTSVEITGGRLGPEL -IRDHNNANNKRQ - ->YP_006297590.1 unnamed protein product [American hop latent virus] -MSNVERKTEVPPTPSREPRIKDHQDVVPPAPASSGAKTPGNDLPNAPRHVVTPSPAQILAAEEVKRLDEL -LDSVRSERMSVIVKNMSYEKGRPRLRPLAEMTPDLTNPYAMLSLEHLIALPPEANSTNMATSEHIALIFS -DIEGAGVPTEHVTRVIIQMVLYYANTSSSRYMDPDGSISFGTGAIPRDSLHAIFNKRSTARKVSRLYAPI -VWNYMLLHNTPPSDWQAMGFRYEERFAAFDTFDYILNPAAIQPVEGLIRHPTSAECIAHKTHQRLALDRN -AQERLSGVTTPRLLGECEVLQLRGSFDKRTDERSSSSYSVSGF - ->YP_004936163.1 CP gene product [Garlic common latent virus] -MSTSETEEQRSRRQASERSEAERRKNDAAVRARQDAAIDSEEPADVQDTSVNDVDLRQMENRVQEAKRFL -ERFNKLKKFQADNMTAGEIKNGGFETGRPKLNIAANLRGDTANVFTRPSMDALIALDFKAESLAVATAED -LAAITAKFEQLGVPTFGLAPLCWSIARYCADTSSSYVANPKGTFEYPGGAITRDAVYAVIKEVTTLRAFC -RAFAPVVWNEMLIAKRPPAGWQTKGYTTSTKYAAFDTFDYVLNSACVQPLEGIIRVPTDEAAIAHMTNKR -IAIDRHRRNGRFSSTNSLVTGGMFGKDIKTNFNGSNNAD - ->YP_004901683.1 coat protein [Mirabilis jalapa mottle virus] -MFALHADANTSTTSWCFMLLRHAVGHENIGCAHLPADYYGGICQNIGLCTKPRAHNGDVQIEGFTKLPLG -LQAGARFLLQLVNMSPKADPSPSFVPPQPTPPPTSEPDRNVPAPDNRESLLEQRLTRLIETLGREQHNSN -LRNISFEIGRPSLEPAPSMRRNPANPYGRXSIDELFKMKIDVVSNNMATTEQMAKITADIAGLGVPSELV -ASLILKMAIMCASVSSSAFLDPDGSIEFEGGAVPVDSIAAIMKKHAGLRKVCRLYAPIVWNSMLVRNQPP -SDWQAMGFPYNARFAAFDTFDYVTNSAAIQPLEGIIRRPTLEEVIAHNAHKRLALDKSNRNERFGNLETE -FTGGIQGAEIVRNHRNANNG - ->YP_004035882.1 coat protein [Cowpea mild mottle virus] -MESVFDLNKLVDSEMAEKTKTSVPKAPDGTALPDIDAELQKRLDDLRAFLRKTQSASEITNPGFELGRPE -LKQSTFNSDKHTHIYGKWSIDQLSRIVPKKISNNMATAEEMAKVQITLEGLGVPTEHVAEVLLQVAIYCK -DVSSSSFMDSSGTFDWKGGSILSDSVLAALRKDDNTLRRVCRLYAPITWNFMLTHKAPPSDWVAMGFKWD -DRYAAFDCFEYVENPAAIQPAEGLIRKPTSSEKIAHNTYKRLALDRSNRNEIYSNLNTEVTGGTLGPEIS -RNFNHAKK - ->YP_003324585.1 coat protein [Butterbur mosaic virus] -MGDPIPDLKGKATTPPPVPNKQDKASDGTSGSGGVPPSNTAGAQKRHTGVNDVNFSELQNSAAESQKLMD -RFSKLKDVNMKNLSAGGVKNGGFETGRPSPKILDALKGDTSNAFTRPSLDALQMLNIKPESNRMATAEEI -AAISAKLEGMGLPPEHTAPLFWAIARYCADTSSSPYSDPKGSFEFPGGAMTRDAVFAIIRDHTTLRQFCR -SFAPITWNQMLFNKSPPEGWQRLGYDETTKFAAFDVFDFVTNKAAIQPLEGLLRMPTKEEHIAHETQKRI -SLDANRRNARFSNNSSLVTGGMFGKDVQTKFNGSNNSD - ->YP_003075960.1 5' extended coat protein [Kalanchoe latent virus] -MPAQHVVVSTKHLDFIAKLLVDLMDDGKYVVGSLHDNHYGGVSENYGLPFERRTYKSFESPEGATSAPLG -LQAGNRYTLHLDIMAPKPDPEVAGSTSQLGANQAAVVGTVGPSREKMLEDRLTNLIETLNKDMHNSNLKN -IAFEIGRPVLEPTAQMKRNPANPYGRFSIDELFKMKIDVVSNNMATTEQMAKITADITGLGVPSEQVAEV -ILKMVIMCASVSSSAFLDPDGSVEFSSGAVPVDSIAAIMKKHAGLRKVCRLYAPIVWNSMLVRNQPPSDW -QAMGFPFNARFAAFDTFDYVTNAAAIQPVEGIIRRPTSEEVIAHNAHKRLALDRANRNDRLGNLETEYTG -GIQGAGITRNHRNANNG - ->YP_002985640.1 coat protein [Hydrangea chlorotic mottle virus] -MPPKENPILQGQEGGSGSHESNVERNAQHEASEQRRRPPRSTGGNHESQLEQRLTKLIDTLNEGRYNSNL -QNISFEIGRPNLEPVLEMKRNPANPYGRFSVDELFKMPVSTVSNNMANTEEMAKISSALAGMGVPTEFVA -EVILKMAIMCASVSSSAFLDPSGSIEFPGGAIPVDSVAAIMKRESGLRRVCRLYAPVVWNSMLVRKQPPS -DWQAMGFPFNARYAAFDTFDYVTNAAAIQPVEGLIRLPTPAEYIAHNAHKRLAIDKSNRNEKFANLETEV -HWRPSRD - ->YP_002647025.1 coat protein [Red clover vein mosaic virus] -MSETAEQKALREAKGKMDVNTGGSDVLEFIKDEEKLQENLLKRFQKLKELKVKSLSSSVVFNGGWEDGRV -RLPVSDKMKVDPSNVFSSPTLDDLQKLGWRAESNACATAEELGKISAQLEALGVPKEKMAAAFWDIARYC -TSVGSSPFVNPKGTIDFPNGSITRDAAFAVIKKFSSLRQVCRSFAPITWNYMHITNQPPEDWAKKRLKYD -DRFAAFDPFDFVESPAAIQPAEGLVVRPTPENYVAFFTHKRLALANSDRSKRFANNSSGVSGGMFGCDNK -VNFPNRPC - ->YP_002574618.1 capsid protein [Helleborus net necrosis virus] -MPTEQEIEQQRRTAEAQQIERNRRSNTETQQQQRQATPPRRQQALDLEDEEQAVGLEKTLLDRFLKLKEF -NLNNLTATRLVNSGFETGRPKARVAASMRGDMANIFTSPTLDTLQALPWNPVSSRLATAEELAKISAKIE -ALGFPRDQLAKLFWDVSRYCASNSSSPYSDPKGVIEFDGGAITRDAALAVIRDISSLRRVCRSYSKIVWN -YMLVNAQPPEDWQAKGFTENTKYAAFDFFDSIMNSAAIQPAEGLIRKPTPEEIIANETHKRLALDRASSN -RRFANYSAEVTGGKFGRELKRSYRGSESD - ->YP_002308451.1 coat protein [Hippeastrum latent virus] -MATKVADNPSDPNVGEPKATKPGDNVDPAAAADLFDDARLMEDQIEERMARLREFLMKQQRAVQVTNPSF -ELGRPKLKMLDSVRSDPTNLYNKPTIDQLCRIRPKSISNNMATSQDMAAITVAIESLGVPSEKVQTVIIQ -AVAYCKDASSSAYLDPQGTFEWEGGAIMADAVLAILKRDAGTLRRVCRLYAPVTWNHMLAHNAPPSDWAA -MGFQYTERFAAFDCFDYVENQAAVQPFEGLIRRPTPAEKIAHNTHKRIALDRANRNERFSSLEAEITGGR -LGPEIERSYWKT - ->YP_002302561.1 coat protein [Potato latent virus] -MDQKGKQSESSSQAVAPVPKPPLPPPIRGEEAVNEGNEEAKMERRLALLHQRLKGERNGTRITNPSFEIG -RPSLTRPDDMRRDPANIFSRLSIDDLAQIKPTPVSNNMANSEEMVKIAVAVEGLGVPTEQVANVVLQAVI -YCASASSSVYLDPHGTIEYTGGAVVRDSVVAIIKRDAGLRRVCRLFAPLVWNHMLVHNSPPSDWAAMGFQ -WNDRFAAFDFFDYVENEAAIQPLDGLIRRPTRSEKIAHNTHKRLALDKSNRDEVFASLETEITGGKRGPE -ISRNFRNAAN - ->YP_001798596.1 coat protein [Hop mosaic virus] -MSGSTEAGKLAPEAQKPKHGGEETKLKEKVGAGESSTVSVDDYAAGLKDLEAVREEMLEARLEKLREFMR -RRRSAVQITNSGLETGRPALXLTADMRSDPANPYCKPSLDSLLRIPPKPVSNNMATAEDIMKIYTNLEGL -GVPTEHIQRVIIQAVIYCKDASSSVYLDPRGSFEWPGGAIAADSVLAIMKKDAETLRRVCRLYAPVTWSY -MLVHNQPPSDWAAMGFQFEDRFAAFDCFDYVENAAAVQPLEGIVRRPTPREKLAHNTHKDMALRKANRNQ -LFGNMDVEVTGGRSGPEIIRDYSKSSR - ->YP_001718503.1 coat protein [Lolium latent virus] -MSESKAETPSKSAEKGVASLSTSAPPSSTTPTAQAKQTPPPVATTARPMASRLPRTIAAEGGGTEKKQSH -LAEDRIAQYLPKQDAVDHSNLAALLQPFTEASYEREFDVKVNGIASKAELTAVAETWASRLNVPKENSAV -LAQEIAIHCYHNGSSEQTDFNLKSSQVAGLNLEAAVGVIKEILTLRQFAAYYATFVWNWGIKNEIPPANW -VAKGYTDETKYAAFDTFSYVGSPLGLRITPTRKPTNNEYMAASVNAREKIIQSRGKGMVTNSPMFSDGTT -HQGIPLHPKLPLS - ->YP_001661450.1 coat protein [Ligustrum necrotic ringspot virus] -MPPKEAPSQTEAPPAAPPPPPVTSVTTPPPRERREERGESSAEPGEEPQLELRFQRLIELLSGQRHNSNL -KNMAFEIGRPPLEPTPEMKRNPANPYGRFSIDELFRIKPKLVSNNMATTEQMAKIVSAIAGLGVPTEQVS -AVILQTVIQCSSYSSSTFLNPDGSVEFEGGAVPIDAIVAIMKRDSTLRKVCRLYAPVVWNYMLVKDQPPS -DWQAMGFQWNTRFAAFDFFDYVENQAAVQPVEGLIRRPTSAEKIAHATHRQLALDRSNRNEKFGSLEPEI -TGGLQGAEIVRNHRNAANGNA - ->YP_001552321.1 coat protein [Phlox virus B] -MAPPEDNKGKQKLDDIASASGQHPPEKKDESEFQKGSDDESSSSSAREDRQNAKRLSTLAKLLRQERTAL -KVTNMGLERGRPILQPAADMRGDPTNLYNRCTTDFLHSLMPKKVSNNIATAEEMVKIQVAIEGLGVPTDQ -IANVILQLVIRCVHTSSSSFQDPKGTFEWPGGAIISDDVVGVINELSTLRKVCRLYAPVAWNYMHIHDEP -PSDWAAMGFHYTTRFAAFDFFDYVQNGAAIKPLGGIVPMPTRAEYVAYNTYKQLAIDRANNNDTYANLDS -AVTGGRMGPEIQRNMNFANNKRQY - ->YP_001430025.1 coat protein [Coleus vein necrosis virus] -MSGKQAPSPSDEEKRKAEEDARARAAEAERQNRAPPERGGSSEANAVNDVDLSQMENQVEEAKRLLQRFH -NLVKFETSNLNAGTLKNGGFEKGRPKAVLSDALKGDTSDVFTRPSLDALHAMNFKSEALDIITAQEQATI -MAKLVGLGVPPQSAAPLCWSIARYCADTSSSAFADPKGTFEFQGGAIMRDAVYAVIREVSTLRAFCRAFA -PITWNAMITAGKPPANWAAKGYTEETKYAAFDVFEYVTNPAAIQPLEGLLRRPTLTEMIAHETNKRLALD -RNRRNARFASTSSLVTGGMLGKDIKTSFNGSNNSD - ->YP_001429589.1 coat protein [Potato virus P] -MSTPEEKQRAEAAARDEAIKAEVARREVDRKGKKSDPVVPTSSGSESRVENEQSLLERRLSTLIERLNSE -RHNSNLQNVAFEIGRPNLEPVPEMRRNPANPYGRFSIDELFKMKVRSVSNNMANTEQMAKIVSAISGLGV -PTEQVTSVILKTVIMCASVSSSVFLDPDGSIEYEGGAVPIDAIIAIMKNVGLRKVCRLYAPVVWNSMLVR -NQPPSDWQAMGFPFNARFAAFDTFDYVTNPAAIQPIEGLIRRPTPEECIAHNAHKRMALDKANRNERFAN -LETEYTGGLQGAEIVRNHRNANNA - ->YP_001165305.1 coat protein [Phlox virus S] -MAPPKPDPTLENSVTGEQKQPIQLPTPRPTVDVDAVAEEVLGDVDRDLQHLVKLEELMRKKQRGVVVTNA -GFETGRPPLKPSAEMRVDPTNIYSRPSTDFLWNVKPQFVSNNMATAEDMVGIKARLEGLGVPSESVTSVL -LQLAIECAHTSSSSYQNPSGVFTWDGGAIMKDDVLGVVQEIAGLRRFCRLYAAITWNYMHIHKTPPADWS -AMGFAFNTRYAAFDCFDYVENGAAIRPQGGIVPRPTPAEYIAYNTYKRIAIDKSNNNATFANLNTEVTGG -RLGPEIERNFNNANNKRQ - ->YP_001086456.1 Coat protein [Chrysanthemum virus B] -MPPKPAPGDTEGNASGSTPTPPPPPPAQTAEEARLRLAEMEREREQEQLLDEMNSNTPAEDARNISRLTQ -LAALLRREQTNVHVTNMALEIGRPALQPPPNMRGDPTNMYSQVSTDFLWKIKPQRISNNMATSEDMVKIQ -VALEGLGVPTESVKEVIIRLVLNCANTSSSVYQDPKGVIEWDGGAIIVDDVVGVITEHSTLRKVCRLYAA -VAWNYMHLQQTPPSDWSAMGFHPNVKYAAFDFFDYVENGAAIRPSGGIVPKPTRAEYVAYNTYKMLALNK -ANNNDTFGNFDSAITGGRQGPAIHNNLNNANNKTL - ->YP_842442.1 coat protein [Narcissus symptomless virus] -MSTIEKTASPSGSDEERENTRKALEERLKKRTEEANKEFTGNNSDDELEERFRKLKEYLLKNMRSKQIGN -IGLEIGRPALKPMDEIRPDLTNIFTMPTIDILIKKGWRPSSTAVATAQQLIEISAKLQGLGVPPESIAPI -VWDTAMYCANAGSSDKMDPQGTVEFPGGAITRDSVVATIKEYTTLRAFCRAYAPIVWNYMIGTNQPPANW -QAKGFSEQTKFAAFDTFDYIRNPAAIKPLEGLIRSPTNEEMIANATHRQLAIDRNSRNDRFSNNSLEVTG -GLYGCKTKRTLRNLTCD - ->YP_717537.1 CP [Passiflora latent virus] -MPPKEAPEVVPPPVPPPLPMKEKEASSSSEPNDEELRQRRLLKLIEILQAQNHNSNLKNVSFEIGRPSLE -RPPAMRRDPGNPYGRFSIDELFKMKVDLVSNNMATTEQMANIMADIAGLGVPTEHVTSCILKMVIMCASV -SSSAYLDPDGSVEFEGGAVPVDSIAAIMKKHSTLRKVCRLYAPLVWNSMLVRNQPPSDWQAMGFPYNARF -AAFDTFDYVTNTAAIQPVEGIIRRPTAEEVIAHNAHKRLALDRSNRNEKFGNLETEYTGGLQGAEIVRNH -RNANNG - ->YP_699987.1 Coat protein [Narcissus common latent virus] -MSGAFGVDTVSESSLAAQKALDRAEANQKNADKLKKFAQPESDGSDDEASLEQRLNKLREFLRKQRGAIR -VTNIGFETGRPTLNLADDMRPDPSNPYNRPSIEALATIKPRAVSNNMATTEDMMRIYTDLEGLGVPTEQV -QTVVIQAVLFCKDASSSVFLDPRGSFEWIGGAISADAVIAVLKKDASTLRRVCRLYAPVTWNYMLTHNAP -PSDWAAMGFQHEDRFAAFDCFDYVENSAAVQPLEGLIRRPTPREKIAHDTHKDIALRAANRNQVFGNFSS -GVTGGRNGPELTRNYGNSGLR - ->YP_595731.1 coat protein [Daphne virus S] -MPPKPDPQSSEEQNAAAIAKALEEERLALERAEAARAAQLPRPASGNQNRDHRRAVGVPREGEDERIEQR -LDALRQMLRAERGNISVTNASFERGRPALTPTPDMRGDPSNPYSRPSTDLLWSIKPKPRSDNMATSEDIM -RISTQLEGLGVPTEHVSKVILQAVFYCADKSSSSYQDPQGTFEFPGGAIMVDDVVGTINSICTLRKVCRL -YAAVVWNYMHIHDKPPADWRAMGFNYNTRYAAFDFFDYVENEAAIKPAGGIVPRPTDAEYIAFHTYKQLA -LDRANNNATYANLDVAVTGGRTGPLIERNLNNANNRKQ - ->YP_277431.1 5'-extended coat protein [Potato virus S] -MLHAVQGATECTVPNGFRFGIRAKLVCAQARKYKLRSTHHWGISPASQLRAHKRFSGSCSNIGAVEAPLG -SQVRVRRNCPTEKMAPKPDPTSSGETPQAPPLVPPPRNVEEHRVGPSQEHGQNEEAMLEQRLIRLIELMA -SKRHNSTLSNISFEIGRPSLEPTPEMRRNPENPYSRFSIDELFKMEIRSVSNNMANTEQMAQITADIAGL -GVPTERVAGVILKVVIMCASVSSSVYLDPAGTVEFPTGAVPLDSIIAIMKNRAGLRKVCRLYAPVVWNYM -LVQNRPPSDWQAMGFQWNARFAAFDTFDYVTNGAAVQPVEGLIRRPTPEETIAHNAHKSMAIDKSNRNER -LANTNVEYTGGMLGAEIVRNHRNAINQ - ->YP_164262.1 coat protein [Sweet potato chlorotic fleck virus] -MAAKEADTMEKVESSKGKEKAGGQTTTLEGLNEVKAAQGEISDQQLMKHDALIKWYMENYRPSDVVNPLM -QSGDKHVTLSDNLKEDAANIYSRPNFNTLLKWNREPVSQSIATAEDIAQIEAMLVGLGIPQERVRTAILD -IVLYAAHSSSSPQQLYEGDIDFRDANFKEAISRSSVAAVIKDKSTIRKVCRLFAPVVWSYMIINNEPPSG -WQAKGFPENAKFAAFDTFDFVTNHAAIKPLEGIARPPNSVEYIAAQTSKRILIDKARRNEKLSNYEASVT -GGQQACEIKTELKGNGKCK - ->NP_958175.1 viral coat protein [Poplar mosaic virus] -MSGEQTEQISKDQAAAAEQARKEQIAEGKKAAESSEAERRKKNIAEIAKLNEKAREAKKQATEQEETTAS -LLERFNLLKEWHLNQQVNNKVKNPAMESETEPALADELKPDMSNLFARPTVTDLQKMKWNAESNKMATAD -DMAFIEAEFQSLGVPKENLAKVMWTLTRYCVGASSSQYLDPKGEEKLCGGVTRAALIASIKKRSTLSVKC -ADFMRPSCGITCWSTTFLQKIGSPRATLSETKFAAFDTFDFVMNPDPAIQPLEGLIRSPTKAEIIANETH -KRIALDRNANNERFANLGSEITGGKFGCRVGTKWRESKCDNG - ->NP_932792.1 coat protein [Lily symptomless virus] -MQSRPAQESGSASETPARGRPTPSDAPRDEPTNYNNNAESLLEQRLTRLIEKLNAEKHNSNLRNVAFEIG -RPSLEPTSAMRRNPANPYGRFSIDELFKMKVGVVSNNMATTEQMAKIASDIAGLGVPTEHVASVILQMVI -MCACVSSSAFLDPEGSIEFENGAVPVDSIAAIMKKHAGLRKVCRLYAPIVWNSMLVRNQPPADWQAMRFQ -YNTRFAAFDTFDYVTNQAAIQPVEGIIRRPTSAEVIAHNAHKQLALDRSNRNERLGSQETEYTGGVQGAE -IVRNHRYANNG - ->NP_619563.1 coat protein [Garlic latent virus] -MTNEEEELNRVRNLPTRNPGTELEGERSKAVNDVGVMEREGFEAVLRRSEDRFNKLKEKCIAELSCINVT -NCGWESGRPKAQLADSLKGDASNIFTRPSMDALLVRNYAPESNNLATAEELAKISAKVQALGAPEECLAE -VFWDICMYCTTAGSSPNVNPKGTISIGGRVVTRDMVVAVIKEYSTLRQVCRCYAPVVWNYMLLNEQPPAN -WDAKGFTENTKYAAFDTFDAVTNKAAIQPLEGLIRAPTDAERIAFATHKKLALAKNSQNSRYANTSAEVT -GGFFGCVPKNNFRENRC - ->NP_612812.1 Coat protein [Blueberry scorch virus] -MPPKEAPAQSSEGNIVAKEAGEVPPRVAPAAPHVPPQAPPLQPIIQPAGAVAANLVPENQLEQRLMNLIE -VLKPQRHNSSLKNVAFEIGRPALEPVPTMRRNPANPYGRFSIDELYKMDVQVVSNNMATTEQMAKISSAI -AGLGVPTEQVADVILKMVVMCASVSSSVYLDPDGSIEFDGGAVPVDSIAAIMKKEAGLRKVCRLYAPVVW -NLMLVKNQPPSDWQAMGYPKEARFAAFDTFDYVTNGAAIQPVEGLIRGPTPAECIAHNAHKRHALDRSNR -NEKYGNLETEYTGGLQGAEIVRNHRNAGNGSA - ->NP_116491.1 coat protein [Aconitum latent virus] -MSETEAQIKAREEAEIARVVQAKIDKAEVAKKNAEKLKTFINPGLDDDDAEGNLEARLDSLRDYLRKRRG -AIRVTNNGLETGRPALQLSGDMRPDPTNPYNRPSIEALCKIKPRAVSNNMATTEDMMTIFVNLEGLGVPT -EHVQSVVIQAVMYCKDASSSAFLDPRGTFEWPSGAIMADSVIAIMKKDAETLRRVCRLYAPVTWNYMLAH -NAPPSDWAAMGFQYDDRFAAFDCFDYVENKAAVQPLEGLIRRPTPREKVAHNTHKDLALRQANRNQTFGN -LSVEVTGGRNGPELTRDHNLSNNK - ->NP_066262.1 coat protein [Hop latent virus] -MADKQGQMTEQQKVDSQKLQGEAKNKEKAESSKRKDELLKKYIDPGLGSDDDEEEMVELRLSKLREFLAR -RRAAIRVTNAGLETGRPALKPTPDMLPDPTNPYNKPSLDALLMIKPRVVSNNMATSEDMMKICVDLEGLG -VPTEHVQSVILQAVFYCKDSSSSPYVDPRGSFEWRGGAISADSVLAIIKKDAETLRRVCRLYAPLTWNYM -LLHNNPPSDWSEMGFQREDRFAAFDCLDYVENAAAVQPLEGLIRVPTAREKIANKTHKDLALRRANRNQL -FGNLDVEITGGKNGPELQRDYSKSNN - ->NP_056771.1 coat protein [Potato virus M] -MGDSTKKAETAKDEGTSQERREARPLPTAADFEGKDTSENTDGRAADADGEMSLERRLDSLREFLRERRG -AIRVTNPGLETGRPRLQLAENMRPDPTNPYNRPSIEALSRIKPIAISNNMATSEDMMRIYVNLEGLGVPT -EHVQQVVIQAVLFCKDASSSVFLDPRGSFEWPRGAITADAVLAVLKKDAETLRRVCRLYAPVTWNHMLTH -NAPPADWAAMGFQYEDRFAAFDCFDYVENTAAVQPLEGLIRRPTPREKVAHNTHKDIAVRGANRNQVFSS -LNAEVTGGMNGPELTRDYVKSNRK - ->sp|Q02106.2|CAPSD_POPMV RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -MSGEQTEQISKDKAVAAEQARKEQIAEGKKAAESPEVERRKKNIAEIAKLNEKAREAKKQATEQEETTTS -LLERFNLLKEWHLNQQVNNKVKNPAMESETEPALADELKPDMSNLFARPTVTDLQKMKWNAESNKMATAD -DMAFIEAEFQSLGVPKENLAKVMWTLTRYCVGASSSQYLDPKGEEEKLCGGVTRAALIACIKKRSTLRKV -CRLYAPIVWNYMLVNNVPPEDWQSKGYTEETKFAAFDTFDFVMNPAAIQPLEGLIRSPTKAEIIANETHK -RIALDRNANNERFANLGSEITGGKFGCRVGTKWRESKCDNG - ->sp|P37991.1|CAPSD_CVB RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -MPPKPAPGDNEGNASGSTPTPPPPPPARTAEEARLRLAEMEREREQEQLLEEMNSNTPAEDARNISRLTQ -LAALLRREQTNVHVTNMALEIGRPALQPPPNMRGDPTNMYSQVSSDFLWKIKPQRISNNMATSEDMVKIQ -VALEGLGVPTESVKEVIIRLVLNCANTSSSVYQDPKGVIEWDGGAIIADDVVGVITKHSTLRKVCRLYAA -VAWNYMHLQQTPPSDWSAMGFHPNVKYAAFDFFDYVENGAAIRPSGGIVPKPTRAEYVAYNTYKMLALNK -ANNNDTFGNFDSAITGGRQGPAIHNNLNNANNKTL - ->sp|Q01669.1|CAPSD_PVMG RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -MGDSTKKAETAKDVGTSQEKREARPLPTAADFEGKDTSEDTDGRAADADGEMSLERRLDSLREFLRERRG -AIRVTNPGLETGRPRLQLAENMRPDPTNPYNRPSIEALSRIKPIAISNNMATSEDMMRIYVNLEGLGVPT -EHVQQVVIQAVLFCKDASSSVFLDPRGSFEWPRGAITADAVLAVLKKDAETLRRVCRLYAPVTWNHMLTH -NAPPAEWAAMGFQYEDRFAPFDCFDYVENTAAVQPLEGLIRRPTPREKVAHNTHKDIALRGANRNQVFSS -LNAEVTGGMNGPELTRDYVKSNRK - ->sp|P16653.1|CAPSD_PVSP RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -MPPKPDPSSSGEAPQAMQPAPPPRAEGHMYAQPEGPGQNEEAMLEQRLIRLIELMATKRHNSTLSNISFE -IGRPSLEPTPEMRRNPENPYSRFSIDELFKMEIRSVSNNMANTEQMAQITADIAGLGVPTEHVAGVILKV -VIMCASVSSSVYLDPAGTVEFPTGAVPLDSIIAIMKNRAGLRKVCRLYAPVVWNYMLVQNRPPSDWQAMG -FQWNARFAAFDTFDYVTNGAAIQPVEGLIRRPTPEETIAHNAHKSMAIDKSNRNERLANTNVEYTGGMLG -AEIVRNHRNAINQ - diff --git a/seq/clusters_seq/cluster_440 b/seq/clusters_seq/cluster_440 deleted file mode 100644 index e4beefe..0000000 --- a/seq/clusters_seq/cluster_440 +++ /dev/null @@ -1,33 +0,0 @@ ->YP_006491260.1 transframe fusion protein [Whataroa virus] -ESFTETMSYLWGNSQPFFWVQLLIPLAALILAARCCSCCIPFLIGCWRLPLEGRRLRTCDHCSQRASNTV - ->YP_006491248.1 transframe fusion protein [Fort Morgan virus] -ETVGETLTYLWSHNQPFLWAQLCIPVAALVILLRCASCCLPFLIGCRRLPGEGRRLRTCDHCAKCTGSAV - ->YP_006491230.1 transframe fusion protein [Highlands J virus] -ETLGESLGHLWLNNQPLLWAQLCLPLAALIILFRFFSCCLPFLIGCRRLPGKGRRLRTCDHCAKCSGSPV - ->YP_006491228.1 transframe fusion protein [Western equine encephalitis virus] -ETFGETLNHLWFNNQPFLWAQLCIPLAALVILFRCFSCCMPFLIGCRRLPGEGRRLRTCDHCAKCSGDPV - ->YP_006491232.1 transframe fusion polyprotein [Aura virus] -DEFTDTMGYLWQHSQTMFWIQLVIPLAAVITLVRCCSCCLPFLIGCQSS - ->YP_006491226.1 transframe fusion protein [Sindbis virus] -ETFTETMSYLWSNSQPFFWVQLCIPLAAFIVLMRCCSCCLPFLSGCRRLPGEGRRLRTCDHCSKCATDTV - ->YP_003324598.1 6K protein [Fort Morgan virus] -ETVGETLTYLWSHNQPFLWAQLCIPVAALVILLRCASCCLPFLLVAGVCLGKVDA - ->YP_002802308.1 6K protein [Highlands J virus] -ETLGESLGHLWLNNQPLLWAQLCLPLAALIILFRFFSCCLPFLLVAGVCLGKADA - ->NP_819018.1 6K protein [Aura virus] -DEFTDTMGYLWQHSQTMFWIQLVIPLAAVITLVRCCSCCLPFLLVASPPNKADA - ->NP_818941.1 6K protein [Western equine encephalitis virus] -ETFGETLNHLWFNNQPFLWAQLCIPLAALVILFRCFSCCMPFLLVAGVCLGKVDA - ->NP_740676.1 6k structural protein [Sindbis virus] -ETFTETMSYLWSNSQPFFWVQLCIPLAAFIVLMRCCSCCLPFLVVAGAYLAKVDA - diff --git a/seq/clusters_seq/cluster_441 b/seq/clusters_seq/cluster_441 deleted file mode 100644 index d27eb80..0000000 --- a/seq/clusters_seq/cluster_441 +++ /dev/null @@ -1,112 +0,0 @@ ->NP_604435.1 phosphoprotein [Human respirovirus 1] -MDQDAFFFERDPEAEGEAPRKQESLSDVIGLLDVVLSYKPTEIGEDRSWLHGIIDNPKENKPSCKADDNN -KDRAISTSTQDHRSSEGSGISRRTSESKTETHARILDQQGIHRASRRGTSPNPLPENMGNERNTRIDEDS -PNERRHQRSVLTDEDRKMAENSNKREEDQVEGFPEEVRRSTPLSDDGEGRTNNNGRSMETSSTHSTRITD -VITNPSPELEDAVLQRNKRRPTTIKRNQTRSERTQSSELHKSTSENSSNLEDHNTKTSPKVPPSKNEESA -ATPKNNHNHRKTRYTTNNANNNTKSPPTPEHDATANEEETSNTSVDEMAKLLVSLGVMKSQHEFELSRSA -SHVFAKRMLKSANYKEMTFNLCGMLISVEKSLENKVEENRTLLKQIQEEINSSRDLHKRFSEYQKEQNSL -MMANLSTLHIITDRGGKTGNPSDTTRSPSVFTKGKDNKVKKTRFDPSMEALGGQEFKPDLIREDELRDDI -KNPVLEENNNEPQASNASRLIPSTEKHTLHSLKLVIENSPLSRVEKKAYIKSLYKCRTNQEVKNVMELFE -EDIDSLTN - ->NP_056873.1 P protein [Murine respirovirus] -MDQDALISKEDSEVEREASGGRESLSDVIGFLDAVLSSEPTDIGGDRSWLHNTINTLQRPGSTHRVKGEG -EGEVSTSSTQDNRSGEESRVSGGTSEPEAEAHARNVDKQNIHWATGRGASTDSVPQDLGNGRDSGILEDP -PNEGGYPRSGAEDENREMAANPDKRGEDQAEGLPEEIRRSAPLPDEREGRADNNGRGVEPGSPHSARVTG -VLVIPSPELEEAVLQRNKRRPANSGSRSLTPVVVPSTRSPPPDHDNSTRSPPRKPPTTQDEHTNPRNTPA -VRIKDRRPPTGTRSAPDRPTDGYPTHPSPETDATKKGIEENTSSMKEMATLLTSLGVIQSAQEFESSRDA -SYVFAKRALKSANYAEMAFNVCGLILSAEKSFANRVDENKQLLKQIQESVESFRDIYKRFSEYQKEQNSL -LMSNLSTLHIITDRGGKTDNPDSPTRSPSVFAKTKENKTKATRFDPSMETMGDMRYKPDLLREDEFREEI -RNPVYQERDTEPRASNASRLLPSREKPTIHSLKLVIESSPLSRAEKAAYVKSLSKCKTDQEVKAVMELVE -EDIESLTN - ->sp|Q9DUE1.1|V_SENDA RecName: Full=Protein V -MDQDALISKEDSEVEREASGGRESLSDVIGFLDAVLSSEPTDIGGDRSWLHNTINTLQRPGSTHRAKGEG -EGEVSTSSTQDNRSGEESRVSGGTSEPEAEAHARNVDKQNIHWATGRGASTDSVPQDLGNGRDSGILEDP -PNEGGYPRSGAEDENREMAANPDKRGEDQAEGLPEEIRRSAPLPDEGEGRADNNGRGVESGSPHSARVTG -VLVIPSPELEEAVLQRNKRRPANSGSRSLTPVVVPSTRSPPPDHDNSTRSPPRKPPTTQDEHTNPRNTPA -VRIKDRRPPTGTRSAPDRPTDGYPTHPGPETDATKKGHRREHIIYERDGYIVNESWCNPVCSRIRVISRR -ELCVCKACPKICKLCRDDI - ->sp|P69287.1|V_SENDO RecName: Full=Protein V -MDQDALISKEDSEVEREASGGRESLSDVIGFLDAVLSSEPTDIGGDRSWLHNTINTLQRPGSTHRVKGEG -EGEVSTSSTQDNRSGEESRVSGGTSEPEAEAHARNVDKQNIHWATGRGASTDSVPQDLGNGRDSGILEDP -PNEGGYPRSGAEDENREMAANPDKRGEDQAEGLPEEIRRSAPLPDEREGRADNNGRGVEPGSPHSARVTG -VLVIPSPELEEAVLQRNKRRPANSGSRSLTPVVVPSTRSPPPDHDNSTRSPPRKPPTTQDEHTNPRNTPA -VRIKDRRPPTGTRSAPDRPTDGYPTHPSPETDATKKGHRREHIIYERDGYIVNESWCNPVCSRIRVVPRR -ELCVCKACPKICKLCRDGI - ->sp|P69284.1|V_SENDF RecName: Full=Protein V -MDQDAFILKEDSEVEREAPGGRESLSDVIGFLDAVLSSEPTDIGGDRSWLHNTINTPQGPGSAHRAKSEG -EGEVSTPSTQDNRSGEESRVSGRTSKPEAEAHAGNLDKQNIHRAFGGRTGTNSVSQDLGDGGDSGILENP -PNERGYPRSGIEDENREMAAHPDKRGEDQAEGLPEEVRGGTSLPDEGEGGASNNGRSMEPGSSHSARVTG -VLVIPSPELEEAVLRRNKRRPTNSGSKPLTPATVPGTRSPPLNRYNSTGSPPGKPPSTQDEHINSGDTPA -VRVKDRKPPIGTRSVSDCPANGRPIHPGLETDSTKKGHRREHIIYERDGYIVDESWCNPVCSRIRVIPRR -ELCVCKTCPKVCKLCRDDIQCMRPDPFCREIFRS - ->sp|Q9DUE2.1|PHOSP_SENDA RecName: Full=Phosphoprotein; Short=Protein P -MDQDALISKEDSEVEREASGGRESLSDVIGFLDAVLSSEPTDIGGDRSWLHNTINTLQRPGSTHRAKGEG -EGEVSTSSTQDNRSGEESRVSGGTSEPEAEAHARNVDKQNIHWATGRGASTDSVPQDLGNGRDSGILEDP -PNEGGYPRSGAEDENREMAANPDKRGEDQAEGLPEEIRRSAPLPDEGEGRADNNGRGVESGSPHSARVTG -VLVIPSPELEEAVLQRNKRRPANSGSRSLTPVVVPSTRSPPPDHDNSTRSPPRKPPTTQDEHTNPRNTPA -VRIKDRRPPTGTRSAPDRPTDGYPTHPGPETDATKKGIGENTSSMKEMATLLTSLGVIQSAQEFESSRDA -SYVFARRALKSANYAEMTFNVCGLILSAEKSFANRVDENKQLLKQIQESVESFRDIYKRFSEYQKEQNSL -LMSNLSTLHIITDRGGKTDNPDSPTRSPSVFAKTKENKTKATRFDPSMETMGDMKYKPDLLREDEFRDEI -RNPVYQERDTEPRASNASRLLPSREKPTIHSLRLVIESSPLSRAEKAAYVKSLSKCKTDQEVKAVMELVE -EDIESLTN - ->sp|P04860.1|PHOSP_SENDZ RecName: Full=Phosphoprotein; Short=Protein P -MDQDAFILKEDSEVEREAPGGRESLSDVIGFLDAVLSSEPTDIGGDRSWLHNTINTPQGPGSAHRAKSEG -EGEVSTPSTQDNRSGEESRVSGRTSKPEAEAHAGNLDKQNIHRAFGGRTGTNSVSQDLGDGGDSGILENP -PNERGYPRSGIEDENREMAAHPDKRGEDQAEGLPEEVRGSTSLPDEGEGGASNNGRSMEPGSSHSARVTG -VLVIPSPELEEAVLRRNKRRPTNSGSKPLTPATVPGTRSPPLNRYNSTGSPPGKPPSTQDEHINSGDTPA -VRVKDRKPPIGTRSVSDCPANGRSIHPGLETDSTKKGIGENTSSMKEMATLLTSLGVIQSAQEFESSRDA -SYVFARRALKSANYAEMTFNVCGLILSAEKSSARKVDENKQLLKQIQESVESFRDTYKRFSEYQKEQNSL -LMSNLSTLHIITDRGGKTDNTDSLTRSPSVFAKSKENKTKATRFDPSMETLEDMKYKPDLIREDEFRDEI -RNPVYQERDTEPRASNASRLLPSKEKPTMHSLRLVIESSPLSRAEKAAYVKSLSKCKTDQEVKAVMELVE -EDIESLTN - ->sp|P14251.1|PHOSP_SEND6 RecName: Full=Phosphoprotein; Short=Protein P -MDQDAFILKEDSEVERKAPGGRESLSDVIGFLDAVLSSEPTDIGGDRSWLHNTINTSQGPGSAHRAKSEG -EGEVSTPSTQDNRSGEESRVSGRTSKPEAEAHAGNLDKQNIHWAFRGRTGTNSVSQDLGDGGDSGILENP -PNERGYPRSGIEDENREMAAHPDKRGEDQAEGLPEEVRGGTSLPDEGEGGASNNGRSMEPGSSHSARVTG -VLVIPSPELEEAVLRRNKRRPTNSGSKPLTPATVPGTRSPPLNRYNSTGPPPGKPPSTQDEHINSGDTPA -VRVKDRKPPIGTRSVSDCPANGRPIHPGIETDSTKKGIGENTSSMKEMATLLTGLGVIQSAQEFESSRDA -SYVFARRALKSANYAEMTFNVCGLILSAEKSSARKVDENKQLLKQIQENVESFRDIYKRFSEYQKEQNSL -LMSNLSTLHIITDRGGKTDNTDSLTRSPSVFAKSKENKTKATRFDPSMETLEDMKYKPDLIREDEFRDEI -RNPVYQERDTEPRASNASRLFPSKEKPTMHSLRLVIESSPLSRAEKAAYVKSLSKCKTDQEVKAVMELVE -EDIESLTN - ->sp|P32531.2|PHOSP_PI1HD RecName: Full=Phosphoprotein; Short=Protein P -MDQDAFFSERDPEAEGETPRKQESLSDVIGLLDVVLSYKPTEIGEDRSWLHSIIDNSKENKPSCKADDNN -KDRAISTPTQDHRSSEESGISRRTGESKTETHARILDQQGIHRASRRGTSPNPLPENMGNERNTRIDEDS -PNERRHQRSVPTDEDRKMAENSNKREEDQVEGFPEEVRRGTPLSDDREGRTNNNGRSMETSSTHSTRITD -VITNPSPELEDAVLQRNKRRPTTIKRSQTRSERTQNSELHKSTSEDSSNLEDHNTKTSPKVLPSKNEESV -ATQKNNHNHRKTKYTTNNANNNTKSLPTPEHDTTANEEGTSNTSVDEMAKLLVSLGVIKSQHEFELSRSA -SHVFAKRMLKSANYKEMTFNLCGMLLSVEKSLENKVEENRTLLKQIQEEIDSSRDLHKRFSEYQKEQNSL -MMANLSTLHIITDRGGKTGDPSDTTRSPSVFTKGKDNKVKKTRFDPSMEALGGQEFKPDLIREDELRDDI -RNPVLEEHNNEPQASNASRLIPSTEKHTLHSLKLVIENSPLSRVEKKAYIKSLYKCRTNQEVKNVMELFE -EDIDSLTN - ->sp|P32530.2|PHOSP_PI1HB RecName: Full=Phosphoprotein; Short=Protein P -MDQDAFFFERDPEAEGEAPRKQESLSDVIGLLDVVLSYKPTEIGEDRSWLHGIIDNPKENKPSCKADDNN -KDRAISTPTQDHRSGEESGISRRTSESKTETHARILDQQGIHRASRRGTSPNPLPENMGNERNTRIDEDS -PNERRHQRSVLTDEDRKMAEDSNKREEDQVEGFPEEIRRSTPLSDDGESRTNNNGRSMETSSTHSTRITD -VIINPSPELEDAVLQRNKRRPTIIRRNQTRSERTQNSELHKSTSENSSNLEDHNTKTSPKGPPPKNEESA -ATPKNNHNHRKTKYTMNNANNNTKSPPTPEHDTTANEEETSNTSVDEMAKLLVSLGVMKSQHEFELSRSA -SHVFAKRMLKSANYKEMTFNLCGMLISVEKSLENKVEENRTLLKQIQEEINSSRDLHKRFSEYQKEQNSL -MMANLSTLHIITDRGGKTGDPSDTTRSPSVFTKGKDNKVKKTRFDPSMEALGGQEFKPDLIREDELRDDI -KNPVLEENNNDLQASNASRLIPSTEKHTLHSLKLVIENSPLSRVEKKAYIKSLYKCRTNQEVKNVMELFE -EDIDSLTN - ->sp|P32532.1|PHOSP_PI1HE RecName: Full=Phosphoprotein; Short=Protein P -MDQDAFFFERDPEAEGEAPRKQESLSDVIGLLDVVLSYKPTEIGEDRSWLHNIIDNPKENKSSCKSDDNN -KDRAISTSTQDHRSSEESGISRRTGESKTETHARILDQQGIHRASRRGTSPNPLPENMGDERNTRIDEDS -PNERRHQRSVPTDEDRKMAENSNKREEDQVEGFPEEVRGSTSLSDDGEGRTNNNGRSMETSSTHSTRITD -VITNPSPELEEAVLQRKKRRPTTIKRNQTRSERTQNSELHKSTSGDSSNLEDHNTKTSQKIPPSKNEEPA -VTQKNNHNHRKTKHTTNNANNNAKCLPTPEHDTTSNEEGTSNTSVDEMAKLLVSLGVMKSQHEFELSRRA -SHQFAKRMLKSANYKEMTFNLCGMLLSVEKSLGNKVEENRTLLKQIQEEIDSSRDLHKRFSEYQKEQNSL -MMANLSTLHIITDRGGKTGDPSDTTRSPSVFTKGKDNKVKKTRFDPSMEALGGQEFKPDLIREDELREDI -RNPVLEEHNNEPQASNASRLIPSTEKHTLHSLKLVIENSPLSRVEKKAYIKSLYKCRTNQEVKNVMELFE -EDIDSLTN - diff --git a/seq/clusters_seq/cluster_442 b/seq/clusters_seq/cluster_442 deleted file mode 100644 index 5204142..0000000 --- a/seq/clusters_seq/cluster_442 +++ /dev/null @@ -1,86 +0,0 @@ ->YP_009389477.1 serine-rich 40 kDa protein [Vanilla latent virus] -MAFIDSATFHECHRNIFRWVSDVGTQVRQAHNGIINDLQNRPTLETLRESFRVPTAMLQEIQNDVSAQTS -LISNSVINTLQTVTQALEQIVAPTSNIAERTFTRFIPPTQVPMPELQLDINTLAGSVQSAHMNLLEWIMH -NARAIDQLQNTIQNLSIGTRNVVITAQNQINQKLDNDKSTLIEKVDSLQHLLHELKQEANQQFNGQALED -IQSKLEAIRLFITSEIPTNHGQLINLLHELQGNQASTPAQNNLALYEAQHPTINPRTHGRLELDESYIRI -PMDVLQRPPSTTLNLKIEVHPKQTKTEVRYTLHDEFELVFIKSLSTPYRMEELPDDALSLLHCHCPRFIY -KLTQNNLC - ->YP_009362672.1 hypothetical protein [Alfalfa virus S] -MLSTANFQALFTQIELLRNQVTDLHHAVNSTNNNCAQTEHIQAIRADIATLPTSTDIQRHHGELLTLITN -SQVRTPSVTTTPNQRVFFSELQSALNATNALLANRPEVDYQIQQSPLPIEELFGQLHALHANSIKWYKHL -EGLQNQATLQIQRLHAALPATADTNSSQINQALHSILANSERIINLLNQDRRVLDDINPQLTSIREQIDR -SSPLDVLQQILAIVSRANPTEPPLEPVTLPNFEVEHPSLECRTHGLLVFDNIHAMIPMDITTRPPSTSLQ -LSINVTENRETTTVQFTIYDAGALIKSGHVSTPHRLSELPGDALFLIHQKCPNFTYKLTHSNVC - ->YP_009328895.1 40 kDa protein [Arachis pintoi virus] -MTFVTLDQYLEQVNRTNQKIQQIQDTLHNNPWLSSNNHLEWLRAIQRDIIRAQDSIQRLDVVRLDTPRLV -SMVTQLVTSSQSINQQPASRDTSERVFFSNLNNAVDAATTLLSNVPGGTYDVQYNPLPIEELYGFINALH -ANTITWMKHLESLTNAAIGRIDTRLSYNRHREDHQLMTTKVDDINESLRQMVTEVSRLAGASANEATMQS -LISQLQELSNSIGQTAPNATLQELVRLVQQLQPTNSNSSLMEAHRRLPSYEPQHPSLPCRTHGILKFDDV -VTRLPMDVQGRAVSTTLELRSTIGKRPDATEIKFALYDAGVLLVSRHVTTNSRLTSLPGDLLSVVHEHCP -RFVYRLTHSGLC - ->YP_009110671.1 40kDa protein [Garlic virus B] -MTYATAWQLYEVRDHIVNHINAFRNQLAGALQNAQATLIESNHLTLDSKLKPIKEILDTVTSTITTKANE -GTSQNQNHVSLREIANREQVPTDRTFFTNLNAALTATGNLLTHVPPARYNLPTTSLPLDELYGLLHALHK -NSLEWLTHISHDADQIISKLNAVENGILNEIRNDSRRLDLILQRISEVESKINDQATPALDHHLSETLTS -IGTRLQELHVKLIATPTNNQARPSSSTTKPDGADTHLNHSTLPTFEAKHPTARCRSYGYVEFDGLSLHIP -MDVRGRRSSTALRLITKHTLSSDSTTVKYELLDDGALLLAEEIKTPHKLNQPLSDSLALLHSKCPNFIYK -IRDDGLC - ->YP_008855209.1 40 kDa protein [Garlic virus D] -MVIVTTFHIDRARELIITNTNSCRDTVLNQLQALGVELQTTTARLDNFYSATTTSWQLIRDRLCDTSSSS -NANLDTAPAEARSEPPNAHITNLQRTFFSNANIALDATRTLLGYVPPARYDVPPVTLPLDELFGQLHALH -QNSLEWLTHINHNVDSILDMLNPSNLLSQGTPLNRLREMLVALTGKVDDIYATIQSSRLETNQPSSSKPV -NRFETIEHSLESLHIKLDELTSAVAHALDHPHVTPVPDEMRPSASTRDLPAYQAQHPTRPCRAYGTVLFE -DKILRIPMDITGRPVSTALKLELHLTTNDQTTMVSYRIYDDGYLLLSDDVETAHKLQHRLSDCLALLHQR -CPNFIYKIKGHGLC - ->YP_004659203.1 serine-rich p40 protein [Blackberry virus E] -MAPLSVDFFNQAHTYVVQLLERIHNNTIVPMGRTVNRIGGELDQGLRDINAKLDVITSQTASAPATTVDD -ARQIPIEGPASPTLTGNPLIQQTPSATRFFSDSNAVLSETQRITRILPSNTFPMQPSTLPTDELFGQLHA -LHQNLLQWSIDIDNRVQQLASSVNAKLDAVTFNSANQVSTATLNRLLEELHANMATSQRTGEAAQTMLEH -LADIQRRLPSTAPSDALQQLIQLLSTNRPVEETTPTATPHSELPALNPVHNALRCRTYGSIEFNGQTFKR -PIDFVGRPPSTTLHLRLDVRRAADHTAVHFTLHDLDRVLLEQVIQTPHQLQHLPDDALFLINLHCPRFTY -RREAGC - ->NP_659013.1 serine-rich protein [Garlic virus E] -MVIVTTFHIDRARELIINNTNSVKDILLDRLQAISAKLQTVGTSVNDIRSSTNSSSQRILDCLCSDNSSP -NRAARSSSGVPQSESSGNTTAILQRTFFSNAGVAIDATRALLGYLPPTRYDVPPATLPLDELYGQLHALH -QNSLEWLTHINHNVESIIDFFNPNSLFSQGTPLSRLRDAITTLTRTVDDIHSVLTYTELSPEHASSSKAQ -TKFEVIERSLEALHQKVDKLALLAESNPAPPQVSPNSAKTPPLGAPEDLPVYQAVHPTTSCRTYGTIIFD -GTSSRIPMDILGRPASTALRLDMTVATSSQNTTVSYKMFDDGYLLLSENVETAHKLQHCPNDCLALLHQR -CQNFIYKIRSHGLC - ->NP_620651.1 hypothetical protein [Shallot virus X] -MVIVTTFHIDAARDRIINCVKDVRNIVTNQVVPATRKLGSIETTLENFRTETIGGFTTISDCVSLLRNLR -SETTRNFNTLLSRTAEPTGQAQTQLRQGFDEPDGHKSEQRTFFSNLDTALNATQALLNHVPPARYTLPPA -PLPVNESFGQLHALHLNTLEWLTHINHNLDSMLNMLNPANLMSQGTPLSRLKDAVRTLTQNMNTIQSDQQ -KILASTSATNHSDILRKLESLDTGLKQLGIRLDVVVSSLNNMSERPPTPSHDTASSSTSTDPNPLPPYQA -VHPSLFCRTYGNILYNGIDSRIPMDVTGRPASTSLKLTITVECSEQNTRVNFTLLDDGYILLSDSIETKH -KLQHIPSDCLSLIHARCPKFVYKFRGEGLC - ->NP_569129.1 40kDa protein [Garlic virus A] -MVIVTTFHIDQARDLVINNSNSIKDCLLNKLHTISNEVRTVGAHVDSSIAFNRINLQTIYNHLSGNNNSS -IQMPTAANPDPLLDPLETGTATVFRTFFSNAALAVDATRTLLGYVPPARYNIPPSTLPLDELYGQLHALH -QNTLEWLTHISHNVDSILTNLNPINSPSQNNSLSQIHAALTYLTRTVDSLYSNSQSTSISTDQPSSSKPL -TSLEALHRKIDELSSSLTNTTPGPSVTPNPSAPLPTNAARNLPIYQAAHPSKPCRTYGTILLDGVHSKIP -MDIIGRPASTALRLELRVTPSDQSTTVSYKIFDDGYLLTSDDIETNHRLQHYPSDCLALLHQKCPNFIYK -IKTHDLC - ->NP_569135.1 40kDa protein [Garlic virus C] -MTIVTTTYVDQTRERINDCVNAARNAVCQNINIVQASIEQQVENTSNGILNHFSAWCESIGNQIGTLPTV -VGAVNERIQHLEHTLTLRNWPHDLQPPPPMNRVLFSNAALALEATRNLLSHVPPTRYNLPQTTLPLDELY -GQLHALHQNSLEWLTHIGSDTDRLIVDFGTFSSTAVANHDRMTTHLANIRDELHTLRDLPQLLNRLLKQQ -ELHLQTLTDTQKEITALKTLIHHNLTQSQTQESSTPSSSQSGATTHQPQPSDLHPYQARHPTTRCRTYGT -LIYNGSSLHMPMDILGKPASTALQLQVALNLRGRDQRDLQISDNGALLLSDEIFTPHKLNKPLSDALSLL -HDNCSNFIYNNKGGRLC - ->NP_044574.1 32 kDa protein [Garlic virus X] -MTHATAQNRRSSDQCDEPRMGTTNRTFFTNLSTALDTTSNLINHVPPTRYTLPSVALPLDELYGLLHALH -RNSLEWLTHISHDTEQVINKLNNIETILLSEVRTNSRKIDTVFEKLANIESHLINSEKSDFERELAKSLA -SIETQIQDVHARFREMSHHESSTLGGNPGTSPTPESSVINSLLPTFNPEHPTARCRAYGSVEFGGTVLQI -PMDVRGRRASTALRLSVMYTPKREATTVKYKLFDDGALLFTEELSTQHRFTQPFGDSLALLHSKCPNFLY -KIRDEVLC - diff --git a/seq/clusters_seq/cluster_443 b/seq/clusters_seq/cluster_443 deleted file mode 100644 index b089ae2..0000000 --- a/seq/clusters_seq/cluster_443 +++ /dev/null @@ -1,179 +0,0 @@ ->YP_009337050.1 hypothetical protein 2 [Wenzhou shrimp virus 6] -MPLNWKPSSQCDLLDLKHSWAWQVRQDCYCEKSLIYLDLGQASAAHSVQLSPSADCPELCSECLLRGAWC -EITQLNNTTSSQNTQQLLNTDTPVASKPTQMSTVLAENSAPIIEQTKIERRAYTSANTYLVDDPNLYETL -EREVTLVTGMWTKTTPQITDMTADEVVDPSFKQPRLASLNLMPDILAASKLIRDRLSAIAYFRADVEAEL -RVQANPFVQGALWMWYSPLEDKVDPWRQHLSEHLRSITSYPGSELNLQHPTRSITLTIPYTSEDQMLPTI -GDNHMGRLNLSVLSQLAGADDSIEASFSIICRLKNIKLYGMAPINPTSASVPIRAVSRHEELERPPTGVR -EGVKYHIESGPFHTEAAEEEAASKKGIISSVSDTVSTVADVLSDVPIIGDIAKPVSWISKAVSGVASIFG -FSKPTDMTKNTVFSNIPGRGFTHVEGIDQSVSLSALPDNMVETHTTFSDQDEMSLAHIFERDFVAGHARW -STGDISSTVVGTLPVYPCPLAFSTQVLGNRCYFLPPSGVVASLFQYWRGCPVLNLKFAKTQFHQGRLLVQ -YTPPTSGAGHHPINQVYTTIIDLSTVDHTGVVIDFTSVIRNKWLELNSTELENVTAGTITISVLNELIAA -DTVADFVDIYAWMHWKNFEVAEPGSALRIYSGNNDHPEVTVTHHTVDLQAGDTSATVGPGVIGMLNNYPP -SWIHAEDTIEGTPITIKDGTNITHNGNYNTDTEQTITFSEPIGTDNTTLYYFTTSPPSVPVYHTALHLTP -GEYQISHPCTITGYTCGFGGSVVIVWHDGLDAFYAVGVEGEEDVGAVFSSIPIDVTSTKPYISVEGGDVI -LHALAAQNVTASPREYHVEMETLTPNTFIDSSNLTSTMGETVVSLRALTRRFTKTDTFSSTWDGYPMRGV -GFFADQQQSLAAIISFLYRFQRGSWRYKFIATEGNLVAISGQRTSTGGPLDQLGAMHIQDVRLNPIVEVS -KPFYSPTDLVGLSTNSFDRSGVHLSSVGNSTAAGIVYEATGDDHSFHFLVGAPVVFLNHET - ->YP_009336614.1 hypothetical protein 2 [Wenling picorna-like virus 3] -MSSSPITASSSAASSDASSPSASGTVAATAITVPLHSEGSLLLNNDSAITTSDTTLTQSVTDNLFEVQDH -QLIESLSRDVLVSSGDWEQQPQINFEMSDHELTHEFAQPDLVAFDLPSQILKKSNFIRSKLANIAFIRAD -AVVTIRVQGTPFQQGVLFMWQQPYAEGTSPRRRIYNEHARSISTFHGVKLNMSDPSRTASLTVPYINEYQ -VLNPFASDTSLSRVRVSVLSRLSAATTDDERASYSVFARLTNIKLYGHSSNADGSTFAIKTHQDDAFNAE -YDENGCEYLCQGGGEDEQASSKGIVSSVATTVANVADAVSGIPVLSEIAKPISWVSRAVAGVASFFGFSK -VLNLNETHTYANVPAKGFTNCVGIDNSVSLSVIPDNAVKPTIATFDNNDESQISYIASRPFFMDRICWPT -SANHGDMLYELPVHPCPFSHMGGYRFGLQTIFGPPVAFITSLANWWRGQIKVHLDFAKTSFHQGRLLVQY -NPRGSGVQDLEEVLSYVVDLSQVGPEGIDIDFPTVTSNKWLSALSRNESSYDMKSTAGVITMSVLGRLIA -APTVSQDITIMPWVHWENFQIAEPGSAMRVVNPPSTKETVYKFPLAGYNTTIRLDDKRTTVLSTCTDVDE -KYDGQDGAKVQMYKQDDTGDDFSLISFLSNNEHFYLPTFQLPHEGEFTILTSRIDDPTVINIIAINGFNE -GGEKLGTLSEYISPYDGQTINVPFKFTPIVSGRFERGILSKDYAKLIAKNKTEYLIAYANNGYNRLLELP -AGEYTYVGMLGATIAIVDVSAVRDSEDYVIQGYSEGDSSNMVTTMGEVVPSLRLLTRRFSIASRQESRLV -HVPSINIGTSTQPTQSLLELVSWLYRFTVGGVRAKLLVHNKPDASTLIATTAISNNRYISSPLESNSALH -IQDTRLNPIIEVQQPFYSPAENLVISSETFENLSTIIAFNMDGTTPLDATILMAGSDDHSFSCLVGAPAF -TLLPTS - ->YP_009333597.1 hypothetical protein 2 [Beihai picorna-like virus 91] -MNEANVVQTMDRTMDSAYTSQNLYRIIDQGLEESVCRDVIVANGEWLSTDEPIGNMSQTQLLDPDYKQPR -LITIDLPSAITLGSSFVDSKLSNIAYMRADVDVKLRVQATPFQQGSLWMWNHPYENEVSKQRSHLNEHLR -SITSFPGVELNLQHPSRAVELSVPYSSEFQVINHRIGESLSRVTLSVLMPLKSTDDTTKVSYTILAKLKN -PQFYGMAPNTNTVKCSIDKVFCCEPLAHDGEDEKASKKGIVSQVADTVGNIADVVSDIPVIGDIAKPVSW -IASAVSGVASFFGWSRVRDAEKVSVYSNVPAKGFTHVQGIDQSVVLAACPDNQVDGTVTFSKDDEMAIAY -VGQRPFVYGRAVWTVTDDYKSVIGAFPVHPAPYSFSIEVNSRRVYQGPPSALVSSLFRWWRGNMTIRINF -AKTSFHQGRLLVQYFPYGSAEAQPVEDVFTSIIDISQVDAEGVSIDIPSVMRNKWYKVNDDDGSPNTDTW -TGIVVVSVLNSLIAAPTVAQEVDLYAWVHWNDLELSEPGTVTRVFTPIGDFNKSQINIERIFMPSSTTTV -LKSFGRPSYAIGVSSLKSGIDQQYINENEQVFVDFAQSTKTQIVTYKYSADTVGVIRDAPIFDTEVLYIT -TTPADDINKMKLTTEDIIGNGEVTCDSEFIIYPSNIVLQEFGGLTINAQRVVETSKDSNGLFQMDYTPVV -FQAGTYQYVTTSMTIQTRSLGTVTFTPSTLIAHDGLDQNLTTVQDNSHKATTMGEMVVSLRALTRRFTTS -GEIDGTVNIEPLQGIGDFQNQRQSLVDIISYLYRFYHGGWRYKFCITSPDLVIVDGAPIPSGQDIDISGA -AHIQNTSLNPIVEIEKPFYNPSELLAMSSRTFDNSPIQIRSATKQNLTGFYLKAAADDLNFTFLVGAPAF -YR - ->YP_009333525.1 hypothetical protein 2 [Beihai picorna-like virus 90] -MTVAQVADVKYLQPRLASISLLPDLLAASKLMRDRLSAIAYIKADIDAELRVQATPFVQGALYMWYSPME -DAIDPWRQHLSEHLRSITSYPGVELNLQHPTRAVTLHIPYTAEDQMVPTQSNNHFGRLNLSVLSQLAGID -SPKASFSIVLKLKNIELYGMVPTDLSRQGDGEMPGFRAVHEHLELERPGSVIREGVHFMVDGKRSKHTQR -KHQFVVEGEDEKASSKGIISSVADSVGSVANVLSNVPVIGEIAKPVSWIAGAVSGVASLFGFSKVMDMEK -NAVYTNLPARGFTNLEGIDQSVNLSALPNNMVSSTLSFTSKDEMSIPYIFKRPFMAGHARWSTSDDTETV -IAQLPIAPAPTQFRASGSGNVPYFLPPSGVVASMFKWWRGCPVFQIKFAKTQFHQGRLLVQYTPAVAPAG -NHPINEVYTVIVDLSTVDHHGVKVDFTSVMPNKWLPTDPWQSPSSGSMTISVLNPLVAADTVADFVDIYA -WMHWENMELAEPGSALRVHALANNPPDTVVTAHEIELEEGATTVTIPSHSYPGTISQYPTTWDYNTEVNT -NTTDFINVKTTTEFKHTSARLSEETEIRWNNAAVAGSKYSYITWSPPTARPVVTRLTLEDGRFRLSAPCV -VSAYDTAFREEKPAKVSHTDKTYFEVVFNASNGGIATSTPMTVPNSVFDIDVVGKVTLYCIGAATVVARP -SRQFVVEGVDDVTPNAKIDSSNMTTTMGETIVSLRALSRRFTKVDTFLETWTGKPMKGVGIYSSQQQSLA -GIISYLYRFQRGSWRYKFVATQGDLISVSGQQTAVGDSEDQLGALHIQDLRLNPIVEVNKPFYSPTDMIA -LSHNDDGLRSGVYFSSLGSPAKGIVLEATGDDHSFHFLVGAPVVVLNLSQ - ->YP_009333512.1 hypothetical protein 2 [Beihai picorna-like virus 93] -MVPVTLPALLPEGSVARFNDIPKSDQNVHLSENVTHNLYEVQDQALVESLERSVLVSRGIWTSNTPQIGL -TIPEISIGKKFDQPFMDQVVLPDDIVQGSDFMSAKLANIAFMSADYEITVRVQSNPFQQGALWLWNKMNS -EQTSTVRASITEHLRSITSAPGVELNLQNDSRSVTLSIPYTSEFQVFNPREANKLNSVRLSILSPLRGPD -DVEKASYSIFARLVNVKLYGHAPLSPPPSSSRVRTQLGDESGVYTHRVFPAKEFLDSEANAWWVYVVVTS -TPTDQIADVVFVLEDNEYPFAEIDRCKARNIKQLVHKSYEPRIRTQAGTEEQSSSRGIVTDVADTIGSVA -GAVGEAVPILQTITKPVSWLADAVSGVASLFGFSKDRDLEKVHPYENIPGKGYTHGIGFDSGLCLSMLPN -NMIDPAAAVVTQNDEMAIATLARRPFCIGRYDISAGDAPDLPGQVIAEFPISPVNFATYGKIYSGYRTLF -APPVSYAAALFAWWRGEMNLNLRFAKTQFHQGRLLVQYFPYGNAVNGVAPVEEVLSQIIDISTVGEEGID -VSFPSVIRNKWLQTFDPALSGYTQGCAAGKIVISVLSQFIAAQTVNQSVQMYPWVSWPHLEVAEPGALCK -AAVGYSYPSDPKGDPAFFSHTLQDGDKFTLTTDTRVCCGVVNDGETVAVVSDSGGRSFPLVGIKSDDFLI -SVVGKVIPQGEYTFLSFPTKTDVKLYSDYPIDPGSEPNGSFNIITVSDGDIVEFQPGTEVVVANVGEDVL -VVEPLSVAFAGNVILEYSGGASIDLRAASVTGKQTATVKGLDTVSLLINKPLVERITTQMGTDFGGSDSS -SLTTTMGEVVDSLRLLCRRGSPVDIVSGVDVTLPGISFTTDTSLRQSIIDVISYLYRFTHGGVSYKLVTL -NEAPTIITTENGVNLDNAEGSYVFDNNAASHFVDTRLNSMIQVTLPFYCPSENLVVDSSTFSVSNLVITN -LLGESNDYFVLKAGADDHTFSQLVGAPAFIYGPRLTSFSPPNSSFFSS - ->YP_009333163.1 hypothetical protein 2 [Wenzhou shrimp virus 7] -MADSSVVNYEGNTMQLNDAPHTDQNVFLSRNTTDNVFEVQDHALIESLSRDILLASGEWTTDDPEISETL -TLEQLQTEYNQPFLASVSLPDDIVRGSSFISSKLANIAYMRCDYELTVRMQSTPFLQGAVWFWNKMNAQQ -TSVLRRTLTEHLRSITSFPGIELNLQSESRAISLSVPYTSEFEVFNPRNTNNLNSIRLSVLSKLAGPETN -VKVSYSIFGRLKNIKLYGHAPSVTSLSFPQTEAGTDESASAKGIVSQVADTVGSVANVVEGLGVPILSTI -AKPVSWVSNVVGNVASVFGFSKDRDLTKVTTYENLPAKGFTHGVGIDSSVPLSLFPNNAINPTLAIPENL -DEMSIEYLAQRPYVLRRYTIQGGDTPSPAKTVIADIPISPVNYSLYGAIVKDYRTIFGAPISLAAALSNW -WRGQIKLNVRFAKTQFHQCRLLVQYLPYGDGVEPLENVLSTVIDVSSVDDKGIDISFPSVYKNKWMRVYD -SAIQGYTAGAAPGRIVISVLNPLNSAATVSDSIIMYAWVTWEGFETAELGSLAKAAIGFDYPPDLPAQPL -YSSVRLPPSGSVFTLLQDTVASIGSSADLTSLRFTNLTTGDPIDVVTTNFPDKINGLKQVSLSQGEYRVN -YESPTSVFVITNRPINSDPIGPGFHVTGNLANATSFTISESTQVSVGTTDVILANSAIVAVTGDSGSINL -ATLSTNGFDIPTAVILEAGTYELSLTNLDSVSLVSTKPLTIPPSSLSIPSTHSGLDYTIGDNSNMLTTMG -EQYRSLRMFTRRFSPVDILKGVNVTLPGINLGTDNSLRQSLLNVISYMYRFTHGSIAYKIVPLIKGDLLV -TTISEDTLELNPNANRFDTNRALHYLNTNLNPIAQIVLPFYSPAENLVLDSNSFPQLSDLSISNLDGGEN -TYFILAGAGDDHTFSQLAGCPAFTFGPSRSA - ->YP_009333274.1 hypothetical protein 2 [Beihai mantis shrimp virus 4] -MMREVITHTGIWSTSDPEVSTTIPDSKINSSYDQPYLEQVNLPDDVVRNSAFMANKAANIAYMRGNYCVT -LRVQGTPFLQGVLWLWNKPNAQRTSTLRRSLTEHLRSITSFEGVRLNMQSVDRVVSLNVPFTSEFQVFNP -RDVNTLNEIRVSVLSGLTGQKDMEKASYALTAKLTEVHFYGHAPSTTSQLPEVEGDDGSASERGIVSSVA -DTVASISSSVAGMGVPVLSSIAKPVSWVSKVVGNVASMFGFSKDRDMTKVTAFENLPAKGFTHGIGFDYG -VPLSLFPDNAIDPTIAVPTDEDEMSIEYIARRPYMLDRYKIQGGDTPSPTGTIIMDLPISPTNFATYGKV -MNEYRTIFGAPINLTAALAAWWRGILKLRLTFAKTQYHQCRLLVQYLPYSSGVQPLENVLSEIIDISKIG -EEGVEISFPTIFRNKWLRTYDPAMQGFTEGCAAGRIVVSVLNELISAETVADHITMMPWITWENFELAEP -GSLAKVAIGFKYPQDADDAEQAQFFKVPISQTYQFDRDTFMGPATIRGYTKWSLVGQESQGTFILFDDDG -PHWVKLPQGTYRREIEIDGGENDLTLVTNYPFLQAPAGPEFFTSVVSNGDVVTSKEEFTAAFVESAGVSD -TFNAFLVQGDISYPLMSYVKDMKSWSTSAFLIPKGKWQVRVSDPKVSIRIVSDHVLDVAPRVHPRFRCIF -DEEDVSQASFHSIPLNPEVEGADFVEGDSSTLLTTMGEQFRSLRLLSRRATLMDNVSGVEVSLPGITLST -DTTLRQSVLNIISYMYRYTKGGISYKLIPRNVQGGLFVTTMSNDSVDKAKGAYVFDNNRAMHFIDTRINP -IAQITLPFYSPAENLVIDTNSFPFLSNLSISSVDRSDNEFTVLVAAADDHSFSQLAGAPPFTFGPRITS - ->YP_009333198.1 hypothetical protein 2 [Beihai mantis shrimp virus 5] -MLMNDIPNVDQNAVLSKNVTDNLFEVQDQALIESLSRDVLLATGSWTSTDPEIAPTMDASQLTKDFNQPF -LKEIILPDDIVSKSAFIAAKLANVAFMRSDYEITVRVQATPFLQGALWLWNKMNSQQTSVLRRTLTEHLR -SITSFPGIEMNLQSESRAITLSVPFTSEFQVYNPRNTNGLNSIRLSVLSKLQGIEDVEKASYSIYGRLKN -IKLYGHAPSETSSSYPSTQGGTDEDSSSQGIVSRVADTVGTIANTVEGLGVPVLSSIAKPVSWVSGVVRN -VASMFGFSKDRDMTKVTAYENLPAKGFTHGVGFDYGVPLSLLPNNAIDPTIAVSEGLDEMAIEYLAQRPY -VLQRYTIKGGDSPDATKTVIADIPISPVNYSLYGSLVNGYRTLFAAPSSLAVATANWWRGMINLNLRFAK -TQYHQCRLLVQYLPYGSGVQPIENVLSQIVDVSAVDDKGIDISFPSVYPNKWMRSYDPALSGYTAGCAPG -RIVISVLNPLISAPTVASDIVMYPWVSWKNLQVAEPGTLAKAAIGFDYPVDVPSDPIFSVATAPPSGTLF -TLLQDTTVSLASSNELYRLDFRNSTTGTLYTLAFTGLSSELGYCDEIKLPQGEYTVTYDDGTPPTLITNY -PIISAPIGPSYSAHDFVSGDTFTISDDTLITCASAQANNDFSVSLVKNSEETKILDYSSVGFTSPVPQPF -EAGTYKVSLNGVGRVTLISNIPLVDFPRPLTHAGEDITPTWFTKFMRAICRVLRKHVLKSPLCIYPEVQA -GLDYSEPDSSSLLTTMGEQYSSLRMLSRRSSPVDIVRGASVTLPGISFGTDNSLRQSLVNVISYMYRFTH -GSISYKIIPKDKGDLFVTTVSPDVVESNPNAYQFDTNRAMHFINTSLNPVAQVTLPFYSPAENLVLDSRS -FPQLSDLVIGNLDRSENEYFVLASAGDDHTFSQLAGCPAFTYGPSRV - ->YP_006666504.1 capsid protein precursor [Macrobrachium rosenbergii Taihu virus] -MVCYSTCVYPRQPRKHASGDSSNHFGRSWWLRLRSGTYLLPAIPTEINNDTSSSSAGLLPETTIVNSEGR -TMMMNDIPNIDQNVVLSKNVTDNLFEVQDQALIESLSRDVLLATGTWQTTDKEIAPTMSHSELVSDFNQP -FLKEISLPDDIVRKSPFMSAKLANIAFVRSDYEITVRVQATPFLQGALWLWNKMNSQQTSVLRRTLTEHL -RSITSFPGIEMNLQSESRAITLNVPFTSEFQVYNPRNTNNLNSIRLSVLSKLQGSEDLEKASYSIYGRLK -NIKLYGHAPSETSPSYPSTQGGTDEDSSSRGIVSRVADTVGAIANTVEGLGVPVLSSIAKPISWVSSVVG -NVASMFGFSKDRDMTKVNAYENLPAKGFTHGVGFDYGVPLSLFPNNAIDPTVAVPEGLDEMSIEYLALRP -YVLQRYTIKGGDTPDPTKTVIADIPISPVNFSLFGAVIKNYRTLFAAPSSLAVATANWWRGMIQLNLRFA -KTQYHQCRLLVQYLPYGSGVQPIEDVLSQIVDVSAVDDKGIDISFPSVYPNKWMRCYDPAITGYTAGCAP -GRIVISVLNPLISAPTVASDIVMYPWVSWKNLQVAEPGSLAKAAIGFDYPIDVPSEPVFSLVTAPASGTL -FTLLQDTTVSLASSNNLGALDFRNTTTGVNYTIAFTGQTGELGYCDKVELPQGEYSIRYDSSTPPTLVTN -HPIISEPIGPSYVAQDFSSGDTIIIAQDTLVTCAFAQSTTNFSVSLTKEDVSTNLITYVNSSGFTSPAPQ -TFSAGTYTVTTSGVNRVTLISNLSLSNDFPLAHAGEDLSATPSWSSKFWHAIFRVVRKHLLRSPACIFPE -VHAGLDYSNSDASSLLTTMGEQYASLRMLSRRSSPVDIVRGASVTLPGINFGTDNSLRQSLVNVISYMYR -FTHGSISYKIIPKEKGDLYITTVSPDVVEKNPNAYQFDTNRAMHFINTSLNPIAQVTLPFYSPAENLVLD -SRSFPQLSDLVIGNLDRSENEYFVLASAGDDHTFSQLAGCPAFTYGPSRT - ->YP_004063986.1 structural polyprotein [Mud crab virus] -MDSSVTNTGGLMPSATISNSEGATMLLNDIPDPTQNVFLSRNVTDNLFEVQDQNLIESLSREVLLGTGTW -QSGQAEISTTLTEQQLITNYEQPSIRQISLPDDIVKGSSFIASKLANIAYMRCDYELYLRVQGSPFLQGL -LLLWNKMNADQTSKIRSSITEHLRSITSFPGVTLNMQSDSRSVKLVIPYTSEFQVFNPRNENKLNSVRLS -ILSALRGPSTSEKATYSIMGRMTNIKLYGHAPSIVSLSYPQTEGGDDATASQRGIVTQVADTVSSISNVV -DGLGVPLLSSISKPIGWVSNVVSNVASIFGFSKDRDLSKVSPYENIPAKGFTHGVGFDYGVSTFAFPDNA -IDPTIANPESIDEMSIQYLASRPYMLDRYTIKGGNTPSPSGTVVADIPISPVNYSLYGSIIRDYRTIFGA -PVSLAVAMASWWRAKIHLNLQFAKTQYHQCRLLVQYLPYGSDVQSLENVLSQIIDISHVDESGIDLCFPS -IFTNKWMRSYDPATEGYTAGCAPGRILISVLNPLISASTVNDDIVMMPWLTWENLELAEPGSLAKAAIGF -DYPADAVDEKWTSRELPVTGSSFNLFRDTTIVLGASTNISNLVLTNDDTGGDYQIVSTTPTGSYVSAVTC -PQGTYTITHDGVGATIISNFPILGAGEGPSFQISALRHGDKVTITEDPTKINVSGVSFLTGTNSWKASLK -DSSGTLLGRLEYDGTSFSSDSPASLIPGKYNVELDPADNSAVVTIVANNSFGTASLDTHGGGMDYAKSDS -SSLVTTMGEQFRSLRMLTRRSSPTDVLTGTSVTLPGITIGTDSSLRQSVLNIISYMYRFTKGSISYKIIP -KIKGDLYITTSSADNIELNSNAYSFDVNRALHYQNTALNPVVQVSLPYYCPSENLVIDSTSFPNLSNLVL -TNLERSSNTYTVLVSAGDDHTFSQLAGCPAFTIGPSRSAA - ->NP_149058.1 capsid protein precursor [Taura syndrome virus] -MPANPVEIDNFDTTTSGGLIPGGSVTNSEGSTILMNDIPITNQNVVLSKNVTDNLFEVQDQALIESLSRD -VLLHNDSWTSSDDEIGTTMTQEQLATEFNQPHLYEISLPDDIVRKSLFMSNKLANIAYMRCDYEVTVRVQ -ATPFLQGALWLWNKMNAKQTSIIRRTLTEHLRSITSFPGIEMNLQSEARAITLSIPYTSELQVFNPRNVN -NLNSIRLSVLSQLQGPEDVESASYSIYGRLKNIKLYGHAPSVTSSVYPSTQSGYDDDCPIVHAGTDEDSS -KQGIVSRVADTVGAVANVVDGVGVPILSTIAKPVSWVSGVVSNVASMFGFSKDRDMTKVNAYENLPGKGF -THGVGFDYGVPLSLFPNNAIDPTIAVPEGLDEMSIEYLAQRPYMLNRYTIRGGDTPDAHGTIIADIPVSP -VNFSLYGKVIAKYRTLFAAPVSLAVAMANWWRGNINLNLRFAKTQYHQCRLLVQYLPYGSGVQPIESILS -QIIDISQVDDKGIDIAFPSVYPNKWMRVYDPAKVGYTADCAPGRIVISVLNPLISASTVSPNIVMYPWVN -WSNLEVAEPGTLAKAAIGFNYPADVPEEPTFSVTRAPVSGTLFTLLQDTKVSLGEADGVFSLYFTNTTTG -GRHRLAYAGLPGELGSCEIVKLPQGQYSIEYAATSAPTLVLDRPIFSEPIGPKYVVTKVKNGDVVGISEE -TLVTCGSMAAIGEATVALQFVDETIEILRLESDFESKAPVKFTPGNYTVVTEASDVELVTNQDITVNERN -PRTHAGIDEEPPVKQSVIGRIVRRVARYVPNKLIRRILRDLSQSPCIYPSTHAGLDYSSSDTSTMLTTMG -EQFVSLRMLTRRSSPVDILRGDLVTLPGISFGTDNSLRQSLVNIISYMYRFTHGSISYKIIPKNKGDLYI -TTTSPDSIETSTSAYQFDTNRAMHYINTSLNPMAQISLPYYSPAENLVIDSKSFPQLSDLSISNLERTEN -EYFVLASAGDDHTFSQLAGCPAFTFGPAELA - diff --git a/seq/clusters_seq/cluster_444 b/seq/clusters_seq/cluster_444 deleted file mode 100644 index caf0f87..0000000 --- a/seq/clusters_seq/cluster_444 +++ /dev/null @@ -1,101 +0,0 @@ ->YP_009333352.1 RdRp [Beihai picobirna-like virus 7] -MNFQFLDTLSVSKQVENSVSSNLRRVVSGSEDVLTSPIVKRKAPEDILKGWDEIVKENLSAMNADLINLE -ESNKLKFGPRSIAAPWGERTDGVLSYFAEDTLFTPPTVSKVPRRNLRPISEAKALGFLKNSTNSGLPYYT -KKGKVKEIYSTMQSPLLSRKDPCVMFTRTQEQRKTRTVWGYPMADTLREMQYYEPILGYQRKLNWRNSLS -GPDAVNRKMSDLVTKAISSDQYLLSIDFSAYDASVKTTLQKASFDYFKSLYQADYHEEIDDIATRFNTIG -LVTPDGIIDGPHGVPSGSTFTNEVDSVAQYLIATEYGLSSDNFDIQGDDGAYVVSDPDSLKDYFRKYNLV -VNDDKSYISKDYLIYLQNLYHKDYMRDGIIGGIYPTYRALNRIVYLERFTDFLEDDLKGQDYFSLRTISI -LENCKFHPLFEELVKYVASLDKYKLKYSNSGLAKYTQRASQSSGVAGIFKYRYEDDPKGLNNFDTVKLLK -EL - ->YP_009333349.1 RdRp [Beihai picobirna-like virus 8] -MVKHNQFSFLDSLDLDKEVKLRLSSNLLNIIQGNDTVLYSPLVKSVKPESILTGWDKIFKSKSVKMNKVL -HDLEDDNRSKYGPRSIAVPWVDRRSDVLSYFESNNMERPPLGLPSLRRNLRPLELDKAIKLLKNDTNSGL -PYYRRKGGLKDIYLKEFTNILPREDPCVMFTRTQEGKKTRTVWGYPMADTVNEMCVYSPLLGYQRKLNWR -SCLNSPDAVNIEITKLIDKALKQDVSLLSIDFSAYDASLDRPLQKAAFEYISKLFQPSYEELINNLFNRF -NTIGLVTPDGILSGHHGVPSGSTFTNEVDSIIQYLIALDYGLEDSSMQIQGDDGVYCVQDGTALAEHFKS -FGLNVNFEKSYYSKDYCVYLQNLYHNDYRDSSGKICGIYPTYRALNRLIHPERFVDFEDIKISGRDYFSI -RSICILENCKYHPLFEDLVKYIYKLDKYNLKFSRDSLFRFVQNRKQESGVQGIFNYRYEDDVSGIDSFET -MKVLNKL - ->APG78271.1 RdRp [Shahe picobirna-like virus 1] -MKTIKYPFIANLNLDNLVNEKLSLYLDSIVRGSDQVLVTPLGSNYNPDVILKEFDLIFNSKTSQSLMSNE -LLDIEMSNRSKYGPRSIQKPWVERKESLLKYFDKEVIGPDLITNPPDSKMMFKLRPVSYNEAVRLLKNST -SSSLPFYTRKGDLKEAYIVHKSDLKYQVLFPCILFTRTQEGGKTRDVWGYCMSATIKEMMFYRPLLEYQR -KLSWRSALVGPVDVDRRVTELVNSCILRDAALLSIDFSQYDATVKKKLQFEAFDYIKKLFQVKYHSEIDV -IRDFFNNCGIITPDGVMTGSHGVPSGSTFTNEVDSIVQFLISTNTKHIFKENMQIQGDDGVYGLNKDNVD -VIFNSFTKQGLKISDLGKSGKTKVEDRFCIYLQKFYSPDYRGENGIIGGIYSVYRALGRLVYQERWTDFE -DFGILGRDYYSIRSICILENCKHHPLFSDLVRFVVSLDKYKLEYSQDGLEKYVSMLTETSGTEGILKNQY -GDDIRSISNFETVKVIKGL ->APG78198.1 RdRp [Beihai picobirna-like virus 11] -MDKVYRSILAPLNLSAAAENGMTRNLERTSSGNDTTLYTPIGEAHDPKEILAKWDKIFNSKKDLLDPGLL -GFEENNRSKYGPRSIAVPWDERSGSVYDSYKADECSKTLDIPRLGNRLRPLSEENALKYLKNTTSSGLPY -LLKKGKVKPTLLQEQESLLKRKDPCALFTRTQENRKTRNVWCYPIADTLLEMQFYRPVLDYQKELPWRTA -VTTPERVDAAVLQLMNHARAEDKFLVSIDFSAYDNTIKRTLIEHSFDYFRSLFQEGFHEQLDYIKERMIT -IGLVTPDGILSGDHGVPSGSTFTNEVDSVVQYLIASNYQNEILKYFQIQGDDGIYATNDPEKLFDHYKSF -ALNVNEDKSDRSKDYCLFLQKYYSYHYIDRGVVGGIYPTYRALNRLVYPERFVEFKEDLSGKDYFAIRTL -SILENCKHHPLFREFVEFIMSLDKYSLIPSDQGLVGYVKFRTKQDGEDVNFSSHSYGNSTSIKSFASYQL -IKSLS ->APG78188.1 RdRp, partial [Beihai picobirna-like virus 9] -MKEKQVSKNKRGTIRTSSSLFVDIRIPKTSPNSGSVRCLASSHNKYSFLSSLDISDSARNTLSHMLDSVV -EGTEEVITSPLADYGPSKLYEEWYSFLMDNLPNVQPLIDLEESNAGKYGPRSIAVPWTERTEQTYGYFKG -IDNAVSHLNQNVKPPVQKRLRPLSLASASQTLRKNTNSGLPFLKRKSKVLEETVADFDYLYARNDPCVTF -TRTQEQCKTRTVWGYPIADTLQEARYYRPLLEVQRKEFYRSALVSPDATDRAIDKMIRECEDNQLLSMDF -SLYDTTIKAPLQSMAFDYIGKMFQVYGPELHEVKRRFNEIGLITPDGILRGKHGVPSGSTFTNEVDSIVQ -YLVVQSYQGEIGNFQIQGDDAAYCVRDPDDLIEFFNACNLIINKDKSYIASDYIVYLQCLYHKSLPYKDG -IIRGIYPTMRALGKLVYQERFETFKEYGIKGSDYYAIRSLSILENCKHHPLFKEFVDFIVSKDKYGLRPT -EQGIRDYVKMTLAKGSSGSMFVHQAGDDVSGIEDFESFKIVMNNSDPIFRRDSQ ->APG78268.1 RdRp [Shahe picobirna-like virus 2] -MIEDDFSSPEFSEVTNFKANPAFPFLDKMDIDLDVRRRVSLSLEMTLSGNDQVLTTPLCKSKSPDAILRD -IDAIFERNMSKINPALMEIENSNRSKFGPRSISKPWSERIEGLSEYFGSDECSIAPRDLFPGSLNNLKPC -LRPLSIKSAVDCLKNSTNSGFPYYTRKGKLKERYIENFESLLKRKDPCILFTRTQEGGKTRDVWGYPMAD -SILEMRYYRPLLEYQRKLNWRGAIISPDEVDRRITRLMDFAKSNDLVLVSVDFKGFDKSLRKTLQACSFR -YIKCLFQVKDLADLDYIEDRFSTIGIVTPGGIFTGTHGVPSGSTFTNEVDSIAQYLVAKSSGITVEDLIQ -IQGDDGVYAIPRDKLDEFLSHFNNAGLTVNRDKSVTSDVFCVYLQQLYHPDYRCKSSGIIGGVYSIYRAL -NRLLFQENWSRFEDFNIIGRDYYSIRAISILENCRNHPLFEDFVMYIKQLDKYSLGVSRNGISDYIKFMS -DSQGAEGILKNQYGDDIKGIRSFKTWKLLM ->APG78191.1 RdRp [Beihai picobirna-like virus 10] -MNNEIRKDLAELNVPLDALSELSRLLDRTESGSDEVYLTPMGKSKGPEAILKGWDSIFNSNRDKLNDVLL -ELEENNRSKYGPRSIAVPWSERRDTVLNSFSADNGKEVESNIVFNGRFRPLSLTNAAKYIKLQTNAGLPY -MTSKGSVLNEALNDIDNQLKENYPSVPFTRTQENNKTRLVWGYPLATVLDEMRFYRPILDYQRKVPWRAA -LNTADDIDAAITKLINHARSDKKYLVSIDFSNFDNSVKRKLQDYAFKVYFPSLFQKQYHPEIALHGERFN -TIGLVTPDRIYRGAHGIPSGSAYTNEVGSVVQYGISNVFEENLEYSQVQGDDGAYATKDPEGLKDHFRSY -GLDVNDEKSYISDNFVVYLQNLYHTDYQDDGIIRGIYPTYRALLRIVYQERFNDFSKDDIKGSDYYAIRT -LSILENVKHHPLFNELVDYVVKLDKFDLEVSDQGISAYIKMREKQDGKDVRFTEYKRGDSFGIKGFASYM -RARETAVK ->APG78193.1 RdRp, partial [Beihai picobirna-like virus 13] -MQTLPLSNYARQFTENGFKKLSITLDKIRKGNNTVLVSPQAKRVSPDVLFKEWDKVFQANLDKMNDDLLE -LEDKNKSKWGPRSIAKPWDEIRDAARSSFEIPRVNCDHLLHKPSDSRKIGKLRPISLENSASRTRSNTQA -GAPTLEKKGAVRESTLRDWSQLYGDDLIMVPAIRTQEQGKTRLVNIVDYATIMQENRFFIPLFDSLKDEF -CFSGFRSPEEVNKAITELITRAVDMGYVCISGDIEGFDDSCGRDLITCAFDVIKGYFQEQYHDEIDEIRE -RFITKPLATPDGVXTGDHGIPSGSNLTGIVGSIINMLVSQHPIELSQFLGDDFALVAKSIEEVAAKYKAV -GLKLNLDKSWVRPFSFVYLQRLHHIDYKFDGEYKGIYPVWRTLLRLVYPERWADFNDYELDGSNYFAIRN -LSILENCKYHPLFEKLVEFWMKYEKYYVPSNRSIRAYAKMREEGIGTVGTTNQYGDVVNGIRNFESYKMA -LRYL ->APG78190.1 RdRp [Beihai picobirna-like virus 12] -MNFSKLRADRLQGLNLSFLDNLAIEYGIDKSAKDKLALNLTRIWLGNNDIYESPFAKSISSKDLFNAFNS -EVFEPNLHKLNDVLIDLERSQRDKFGPRSIAKPWLEWKDSFYRSFEGKSPPSMDSKLIEIDPHNLRPTSI -ATASNKLRNNTSSGLPYMIRKGDCKLRAVKDFSLEESRKYPCVLYTRTQESGKIRGVWGYPISTILLESS -YYYPLLSVRRNLLWRSSLRGPESISRNITRLIDDAISNGMICVSIDISQFDASVQPSLSQRAFLEFGSYF -QSEYSEYFKVLSDIFVTIPIVTPDGLITGYHGVGSGSNFTNEVDSQVHRNILHDFGIPDSNIDIQGDDGA -IICDPDKVNSMLKRYESSGFIVSLDKTYQSTNFFIYLQNLYHVDYRNSDGIIGGIYPIWRALNRIRFQET -WSRFEDYGISGKDYYSIRTISILENCKNHPLFEDLVKFVLKYDKYSLDYSEIGLSSYLRFVKETEGDRGD -FSNQYGDDISGINSFETVKLIKKLS ->APG78305.1 RdRp [Hubei picobirna-like virus 2] -MSDFEDTTDFDQSNTMPANPNRYRFLDSLSISDDVTRRLSLHLDRIVSGNNEVYLTPLGKNVDPKVILSR -IDSIIAEGDHLLSLNLKNLESSNRAKFGPRSIAKPWTERFNDVKKYFEDTNVPSTVSVPLTYKGNLRPIS -VENASKYLKPNTNSGLPDYAKKKTVVQKLVENFDEYIGRNSPCILFTRTQEIGKTRTVWGFPIADTLREL -TVYVPLLSLQKTLPWRTALLGPDAVDASLSKFFSELLVDDSLTLVSIDFSTFDATVKNRQQESAFNYIRN -QFQKSHESVINDIANRFNTIGILTPSGVMSGQHGVPSGSTFTNEVDSIVQHNVSSHSGASINRKEIQGDD -GSYLMKSSDVGLLFKSFLDNGLVVNIDKSYVSRDFCVYLQRLYDVHYYKDGFVGGIYPIYRAINRLVYQE -RYSNFEDFDLTGIDYYSIRAITILENVKYHPLFKELVKLVYELDKYKLKFSSKAVVKYDNMLKNGSGTGG -FMYNQFGDNVSGIYSFETYKLISTFG ->BAU79482.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 1] -MVYKTQNFRYSFISKLNLDSVAVRKLSLLLNSVVSGSNVAQRSPIGKSMSAADIVSAWSAIFEKDSSALT -EGLMRQEMKNKQTIGPRSMAIPWSLRSSSVHDYYSSDNNRKLKNNSYHKPYRTNRLRPIELKKALSFIKN -NTNSGLPSLTRKGMVKDALEKSLNHYLSRKDPCVLFTRTQEDFKTRNVWGYPAADVVNEMRYYRPILDYQ -KGLPWRTALVSPEATDLAITHIMKEARENGFFLVSIDFSSYDATVSSEKQTRAFTYVKDLFQSAYSEEID -YIAERFNTIGLVTPDGILTGKHGVPSGSTFTNEIDSIVQYLIAKDFGISDDHMNIQGDDGVYCVKDPSAL -LKHFEENGLKVNYEKSLISDCECLFLQNYYSFDYIRNGQIKGIYPTYRALCRILYPERYTNYSVEGIAGA -SYNSIRTISILENCSQHPLFREFVTFIYSLDKYCLSFESVELSKYIKQNVDSTGTEGLFNYRRGLDLKGI -YKFKTVQLINELNSG diff --git a/seq/clusters_seq/cluster_445 b/seq/clusters_seq/cluster_445 deleted file mode 100644 index eb66bc2..0000000 --- a/seq/clusters_seq/cluster_445 +++ /dev/null @@ -1,104 +0,0 @@ ->NP_049560.1 reverse transcriptase/envelope protein [Primate T-lymphotropic virus 1] -MQLAHILQPIRQAFSQCTILQYMDDILLASPSHKDLQLLSEVTMASLISHGLPVSENKTQQTPGTIKFLG -QIISPNHLTYDAVPTVPIRSRWALPELQALLGEIQWVSKGTPTLRQPLHSLYCALQRHTDPRDQICLNPS -QVQSLVQLRQALSQNCRSRLVQTVPLLGLVMLTLTGTTTVVFQSKQQWPLVWLHAPLPHTSQCPWGQLLA -SAVLLLDKYTLQSYGLLCQTIHHNISTQTFDQFIQTSDHPSVPILYHHSHRFKNLGAQTGELWNTFLKTA -APLAPVKALMPMFTLSPVIINAAPCLFSDGSTSRAAYILWDKHILSQKSFPLPPPHKSAQRAELLGLLHG -LSSAHSWRCLNIFLDSKYLYHYLRTLALGTFQGRSSQAPFQDPLPRLLSRKVVYLHHVRSHTNLPDPITR -LNALTDALLITPVLQLSPAELHSLTHCGQAALTLQGATTTEASNILRSCHACRKNNPQHQMPRGHIRRGL -LPNHIWQGDITHFKYKNTLYRLHVWVDTFSGAISATQKKKETSSEAISSLLQAIAYLGKPNYINTDNGPA -YISQDFLDMCTPLAIRHTTHVPYNPTSSGLVERSNGILKTLLYKYFTDKPDLPMDNALSIALWTINHLNV -LTHCHKTRWQLHHSPRLQPIPETRSLSNKQTHWYYFKLPGLNSRQWKGPQEALQEAAGPALIPVIASSAQ -WIPWRLLKRAACPRPVGAPPIPKKKTTNTMGKFLTTLILFFQFCPLILGDYSPSCCTLTIGVSSYHSKPC -NPAQPVCSWTLDLLALSADQALQPPCPNLVSYSSYHATYSLYLFPHWIKKPNRNGGGYYSASYSDPCSLK -CPYLGCQSWTCPYTGAISSPYWKFQQDVNFTQEVSRLTINLHFSKCGFPFSLLIDAPGYDPIWFLNTEPS -QLPPTAPPLLPHSNLDHILEPSIPWKSKLLTPVQLTLQSTNYTCIVCIDRASLSTWHVLYFPNVSVPSSP -STPLLYPSLALPAPHLTLPFNWTHCFNPQIQAIVSSPCHNSLILPPFSLSPVPTLGSRSRRAVPVAVWLV -SALAMGAGMAGGITGSMSLASGRSLLHEVDKDISQLTQAIVKNHKNLLKIAQYAAQNRRGLDLLFWEQGG -LCKALQEQCCFLNITNSHVSILQERPPLENRVLTGWGLNWDLGLSQWAREALQTGITLVALLPLVILAGP -CILRQLRHLPSRVRHPHYSLINPESCL - ->YP_002455789.1 envelope glycoprotein [Human T-lymphotropic virus 4] -MGNVLFLTLLATLGIPVLQASRCTITVGISSYHSSPCSPAQPLCTWALDLVSITKDQLLYPPCQNLITYS -NYHKTYSLYLFPHWVQKPLRRGLGYYSASYSDPCSLQCPYLGSQSWTCPYTGPVSSPTWRFSTDVNFTQE -VSRVSLKLHFSKCGSSLTLLIDAPGYDPLWYLTSEPTQEPPTPPPLVSDSDLEHVLTPSASWASKMLTLI -HLTLQSTNYSCMVCIDRASLSSWHVLYTPNISSNAPSKPIVRPSLALSAPRPQPFPWTHCYQPQVQAVTT -AKCNNSIILPPFSLSPLPGAPLTRRRRAVPVAVWLVSALAAGTGIAGGVTGSLSLASSRSLLSEVDKDIS -HLTRAIVKNHQNILRVAQYAAQNRRGLDLLFWEQGGLCKAIQEQCCFLNISNTHISVLQERPPLETRVTT -GWGLNWDLGLSQWAREALQTGITLLALLLLIIILGPCIIRQLQALPQRLQQRPDQYPLLNPETPL - ->YP_002308477.1 envelope glycoprotein [Simian T-cell lymphotropic virus 6] -MGKSSLFICLFCSYMASLFVPGDPSRCTLFIGASSYHSSPCGSNYPQCTWTLDLVSLTRDQSLNPPCPDL -VTYSQYHRPYSLYLFPHWITKPNRQGLGYYSASYSDPCAIKCPYLGCQSWTCPYTGPMSSPYWKYTSDLN -FTQKVSSVTLHLHFSKCGSSFSLLLDAPGYDPVWFLSSQTTQAPPTPAPLTQDSDFQHILEPSVPWSSKI -LNLILLTLKSTNYSCMVCVDRSSLSSWHVLYDPLKVPKQHEPRARALLRPSLAIPITNTTPPFPWSHCYC -PLLQAVISNNCNNSVILPPFSLSPVLDLSKPRQRRAVPIAVWLVSALAVGTGIAGGTTGSLSLASSRSLL -HEVDQDISHLTQAIVKNHNNILRVAQYAAQNRRGLDLLFWEQGGLCKAIREQCCFLNISNTHVSVLQERP -PLEKRVITGWGLNWDLGLSQWAREALQTGITLLALFLLLIMVGPCVLRQLQALLFRLQHRSHPYSLLNRE -TNL - ->NP_955620.2 gp46 SU [Human T-cell leukemia virus type I] -MGKFLATLILFFQFCPLILGDYSPSCCTLTVGVSSYHSKPCNPAQPVCSWTLDLLALSADQALQPPCPNL -VSYSSYHATYSLYLFPHWIKKPNRNGGGYYSASYSDPCSLKCPYLGCQSWTCPYTGAVSSPYWKFQQDVN -FTQEVSHLNINLHFSKCGFSFSLLVDAPGYDPIWFLNTEPSQLPPTAPPLLSHSNLDHILEPSIPWKSKL -LTLVQLTLQSTNYTCIVCIDRASLSTWHVLYSPNVSVPSPSSTPLLYPSLALPAPHLTLPFNWTHCFDPQ -IQAIVSSPCHNSLILPPFSLSPVPTLGSRSRRAVPVAVWLVSALAMGAGVAGRITGSMSLASGKSLLHEV -DKDISQLTQAIVKNHKNLLKIAQYAAQNRRGLDLLFWEQGGLCKALQEQCCFLNITNSHVSILQERPPLE -NRVLTGWGLNWDLGLSQWAREALQTGITLVALLLLVILAGPCILRQLRHLPSRVRYPHYSLINPESSL - ->NP_056912.1 hypothetical protein STLV2gp09 [Simian T-lymphotropic virus 2] -MGKIIAFLLFHLTCITITKQSRCTLTVGVSSYHSSPCSLAQPICTWDLDLHSLTTDQRLYPPCPNLVSYS -NFHKSYSLYLFPHWVKKPNRQGLGYYSASYSDPCSLQCPYLGSQSWTCPYTGPISSPSWRFHRDVNFTQE -VNHVTLRLHFSRCGSSMTLLIDAPGYDPLWFISSEPTQPPPTSPPLVRDSDLEHILTPSSSWATRMLTLI -QLTLQSTNYSCMVCIDRTSLSSWHVLYTPNISASPGGDSLPILYPSLALPAPQPQPFSWSHCYQPHLQAV -TTANCNNSIVLPPFSLTPVPSPGTRSRRAIPVAVWLVSALAAGTGIAGGITGSLSLASSRSLLFEVDKDI -SHLTQAIVKNHQNILRVAQYAAQNRRGLDLLFWEQGGLCKAIQEQCCFLNISNTHVSVLQERPPLEKRVI -TGWGLNWDLGLSQWAREALQTGITILALLLLVILFGPCILRQLQSLPHRLQNRHNQYSLINQETTL - ->NP_041006.1 env propeptide, (putative; first expressed exon); putative [Human T-lymphotropic virus 2] -MGNVFFLLLFSLTHFPLAQQSRCTLTIGISSYHSSPCSPTQPVCTWNLDLNSLTTDQRLHPPCPNLITYS -GFHKTYSLYLFPHWIKKPNRQGLGYYSPSYNDPCSLQCPYLGCQAWTSAYTGPVSSPSWKFHSDVNFTQE -VSQVSLRLHFSKCGSSMTLLVDAPGYDPLWFITSEPTQPPPTSPPLVHDSDLEHVLTPSTSWTTKILKFI -QLTLQSTNYSCMVCVDRSSLSSWHVLYTPNISIPQQTSSRTILFPSLALPAPPSQPFPWTHCYQPRLQAI -TTDNCNNSIILPPFSLAPVPPPATRRRRAVPIAVWLVSALAAGTGIAGGVTGSLSLASSKSLLLEVDKDI -SHLTQAIVKNHQNILRVAQYAAQNRRGLDLLFWEQGGLCKAIQEQCCFLNISNTHVSVLQERPPLEKRVI -TGWGLNWDLGLSQWAREALQTGITILALLLLVILFGPCILRQIQALPQRLQNRHNQYSLINPETML - ->sp|Q09SZ7.1|ENV_HTL3P RecName: Full=Envelope glycoprotein gp63; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor -MGKSGLYFSLICFYTLFPSSFGNPSRCTLFIGASSYHSDPCGSNHPRCTWRLDLFSLTKDQSLSPPCPGL -VTYSQYHKPYSLYVFPHWIAKPDRRGLGYYSASYSDPCAIQCPYLGCQSWTCPYTGPVSNPHWKYTSDLN -FTQEVSSISLHLHFSKCGSSFSFLLDAPGYDPVWLLSSQATQIPPTPAPLIQDSDLQHILEPSIPWSSKI -LNLILLALKSTNYSCMVCVDRSSLSSWHVLYDPLKAPSSPDPQAQSILRPSLAIPASNITPPFPWTHCYR -PPLQAISSENCNNSVILPPFSLSPIPDVSRPRKRRAVPIAIWLVSALAAGTGIAGGVTGSLSLASSKSLL -REVDQDIDHLTRAIVKNHDNILRVAQYAAQNRRGLDLLFWEQGGLCKAIQEQCCFLNISNTHVSVLQERP -PLEKRVITGWGLNWDLGLSQWAREALQTGITLLALFLLLIVVGPCVIRQLQTLPSRLQHRSQPYSLLNYE -TNL - ->sp|Q0R5Q9.1|ENV_HTL32 RecName: Full=Envelope glycoprotein gp63; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor -MGKFCLYFCLIYILFSASSGNPSRCTLFIGASSYHSDPCGSDHPRCTWRLDLFSLTRDQSLSPPCPDLVT -YSQYHRPYSLYVFPHWITKPNRRGLGYYSASYSDPCAIQCPYLGCQSWTCPYTGPVSSPHWKYSSDLNFT -QEVSSISLHLHFSKCGSSFSFLLDAPGYDPVWFLSSQATQAPPTPAPLIQDSDLQHILEPSIPWSSKILN -LILLTLKSSNYSCMVCVDRSSLSSWHVLYDPLKAPNPPDPKAQSILRPSLAIPASNVTPPFPWTHCYRPL -LQAISSEHCNNSVVLPPFSLSPLPNVSRPRKRRAVPIAIWLVSALAAGTGIAGGVTGSLSLASSKSLLRE -VDQDIDHLTQAIVKNHDNILRVAQYAAQNRRGLDLLFWEQGGLCKAIQEQCCFLNISNTHVSVLQERPPL -EKRVITGWGLNWDLGLSQWAREALQTGITLLALFLLLIVVGPCVIRQLQALPSRLQHRSQPYSLLNYETN -L - ->sp|P23064.1|ENV_HTL1M RecName: Full=Envelope glycoprotein gp62; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor -MGKFLATLILFFQFCPLILGDYSPSCCTLTIGVSSYHSKPCNPAQPVCSWTLDLLALSADQALQPPCPNL -VSYSSYHATYSLYLFPHWIKKPNRNGGGYYSASYSDPCSLKCPYLGCQSWTCPYTGAVSSPYWKFQQDVN -FTQEVSRLNINLHFSKCGFPFSLLVDAPGYDPIWFLNTEPSQLPPTAPPLLPHSNLDHILEPSIPWKSKL -LTLVQLTLQSTNYTCIVCIDRASLSTWHVLYSPNVSVPSSSSTPLLYPSLALPAPHLTLPFNWTHCFDPQ -IQAIVSSPCHNSLILPPFSLSPVPTLGSRSRRAVPVAVWLVSALAMGAGVAGGITGSMSLASGKSLLHEV -DKDISQLTQAIVKNHKNLLKIAQYAAQNRRGLDLLFWEQGGLCKALQEQCCFLNITNSHVSILQERPPLE -NRVLTGWGLNWDLGLSQWAREALQTGITLVALLLLVILAGPCILRQLRHLPSRVRYPHYSLINPESSL - ->sp|P03381.1|ENV_HTL1A RecName: Full=Envelope glycoprotein gp62; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 46; Short=gp46; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 21; Short=gp21; Flags: Precursor -MGKFLATLILFFQFCPLIFGDYSPSCCTLTIGVSSYHSKPCNPAQPVCSWTLDLLALSADQALQPPCPNL -VSYSSYHATYSLYLFPHWTKKPNRNGGGYYSASYSDPCSLKCPYLGCQSWTCPYTGAVSSPYWKFQHDVN -FTQEVSRLNINLHFSKCGFPFSLLVDAPGYDPIWFLNTEPSQLPPTAPPLLPHSNLDHILEPSIPWKSKL -LTLVQLTLQSTNYTCIVCIDRASLSTWHVLYSPNVSVPSSSSTPLLYPSLALPAPHLTLPFNWTHCFDPQ -IQAIVSSPCHNSLILPPFSLSPVPTLGSRSRRAVPVAVWLVSALAMGAGVAGGITGSMSLASGKSLLHEV -DKDISQLTQAIVKNHKNLLKIAQYAAQNRRGLDLLFWEQGGLCKALQEQCRFPNITNSHVPILQERPPLE -NRVLTGWGLNWDLGLSQWAREALQTGITLVALLLLVILAGPCILRQLRHLPSRVRYPHYSLIKPESSL - diff --git a/seq/clusters_seq/cluster_446 b/seq/clusters_seq/cluster_446 deleted file mode 100644 index 5576b1d..0000000 --- a/seq/clusters_seq/cluster_446 +++ /dev/null @@ -1,72 +0,0 @@ ->YP_005352850.1 nucleocapsid protein [Sparrow coronavirus HKU17] -MATPSVPTTDASWFQVLKAQNKKATHPQFRGNGVPLNSAIKPAENHGYWLRYTRQKPGGTQIPPSYAFYY -TGTGPRGNLKYGELPPNDTQATTRVTWVKGPGADTSIKPHVAKRNPNNPKHQLLPLRFPTGDGPAQGFRV -DPFNARGRPQERGSGPRSQSANPRGTNNQPRKRDQSAPAAVRRKTQHQAPKRTLPKGKTISQVFGNRSRT -GANVGSADTEKTGMADPRIMALARHVPGVQEMLFAGHLESNFQAGAITLTFSYSITVKEGSPDYERLKDA -LNTVVNQTYEPPTKPTKDKKPEKQDQSAKPKQQKKPKKVTLPADKQDLEWDDAFEIKQESAA - ->YP_005352885.1 nucleocapsid protein [Common moorhen coronavirus HKU21] -MATPTVPVADASWFQPLKAQNKKNTTPSFKGDGVPINTSLDHKAAHGYWLRFNRQKPGGAQLPPSFAFYY -TGTGPRGNLKYGEFPPNESKGNSRITWVHVKDADTSIKPKVAKRNPQNPKHALLPLRFPPGDGPAQGFRI -DPFQPQRGRGMERGPTQRSQSANPRQNDAGNRRRAASAPGTNRSKTHTHAIPKRTLQKGKTISAVFGKRS -PAGSNVGSADTEKAGMADGRIMALARQVPGVQEMLFAGHLSHQFQADAVTLTWTYSITIKSDSSDYDRIK -QALDTVVDQTYEAPIKEKKQPAKQQPKQDKPKPQRSPKKQDKDSGKVETPHPDSAQLTWDTSLEDDLESF -S - ->YP_005352875.1 nucleocapsid protein [Wigeon coronavirus HKU20] -MAVPSVPKADCSWFQIIKAQNKKVQPLAFKGDGVPINTTLPKEMCHGYWLRYKRVKPGGAPLPPSYSFYY -TGTGPRATLKYGELQDSDNQDNVNRVLWVKSPDADTSIKPKVAKRNPDKHPLLPLRFKPGDGPVQGFRID -PFQSRGRSVERGQQDRRAQSAEPQRPKQRERSRSAPSRAAPKQHAIPKRVLLKGKTISGTFGKRSPAKAN -VGSPDTEKTGLGDPRLMALMRFVPGTQELLFAGHLKHKMQPEGVQLTFTYDITVKRDNKDFDRIVEALNS -VVDMVYEPEGEIRKDQQSKKDTQPKKKAEKKTPTNPQKQPDKPVATIVDNGDSQVINWGTDPAEGLESFS - ->YP_005352858.1 nucleocapsid protein [Magpie-robin coronavirus HKU18] -MATPAVPTTDASWFQVLKAQNKKAIHPQFKGNGVPVNSAIKPQENHGYWLRFARQKPGGAQIPPSYAFYY -TGTGPRGNLKYGEYPPNDSKDTSRITWVKGPGADTTVKPHVAKRNPNNPKHQLLPLRFPPGDGPAAGFRV -DPFNSRGRAMERGNGPRSQSVTDRPNQNQPKRRDRSAPATVRRKTQHQAPKRTLLKGKTISQVFGARSRS -GANVGSADTEKTGMADPRIMALARHAPGVQELLFAGHLDSSFQQEGALTLTFTYSITVKPDSPDYDRLKE -ALSSVVNQTYEAPTKPVKAKKPESDQSSKPKQPRKQKNSKPSDKEAPADSDQLEWDNAFEFKSSDA - ->YP_009513025.1 nucleocapsid protein [Porcine coronavirus HKU15] -MAAPVVPTTDASWFQVLKAQNKKATHPQFRGNGVPLNSAIKPAENHGYWLRYTRQKPGGTPIPPSYAFYY -TGTGPRGNLKYGELPPNDTPATTRVTWVKGSGADTSIKPHVAKRNPNNPKHQLLPLRFPTGDGPAQGFRV -DPFNARGRPQERGSGPRSQSVNSRGTGNQPRKRDQSAPAAVRRKTQHQAPKRTLPKGKTISQVFGNRSRT -GANVGSADTEKTGMADPRIMALARHVPGVQEMLFAGHLESNFQAGAITLTFSYSITVKEGSPDYERLKDA -LNTVVNQTYEPPTKPTKDKKPDKQDQSAKPKQQKKPKKVTLPADKQDWEWDDAFEIKQESAA - ->YP_005352842.1 nucleocapsid protein [White-eye coronavirus HKU16] -MTAPTVPTVDASWFQVLKAQNKKAIHPQFRGDGVPINSAIKAQENHGYWLRYNRQKPGGAAIPPSYAFYY -TGTGPRGNLKYGEFPPNDSKDSSRITWVKAKGANTDIKPHVAKRNPNNPKHALLPLRFPPGDGPAAGFRV -DPFNSRGRTMDRSNGPRSMSADPRSQTNQPRRRDQSAPAAVRRKTQHQAPKRTLQKGKTISQVFGNRSRT -GANVGSADTEKTGMADSRIMALARYVPGVQEMLFAGHVDGQFQAGDITLTFTYSITVKDGTPDHARIKDA -LNTVVNQNYEPPSKPQKQKKSSDPSTVQKPQQKKKVKKSDAKAEAPSSEDLEWDNAFEIKRDEESTA - ->YP_002308483.1 nucleocapsid phosphoprotein [Bulbul coronavirus HKU11-934] -MSAPVVPTTDASWFQVLKAQNKKATHPKFKGDGVPINSAIKPAENHGYWLRYNRQKPGGTQIPPSYAFYY -TGTGPRGNLKYGEYPPNDNIETSRITWVKAKGADTSVKPKVAKRNPSNPKHNLLPLRFPAGDGPAQGFRV -DPFNSRGRTLQRGSNTRSMSVDARPNNSNQPKKRDQSAPAAVRRKTQHSAPKRTLAKGKTITQVFGPRSP -TGANVGAPDIEKTGMADPRVMALSRHAPGVQELMFAGHVSSNFQANGDITLTFTYSITVKEGTPDYQRVK -DALNTIVDQKYEAPSKPQKQSKPKSEPAKAKPKKKKEKPEGAEATKDVTDSSDQLEWDDAFEIKNESAA - ->YP_005352867.1 N gene product [Night heron coronavirus HKU19] -MAVPRVPRADASWFQPIKAQNKKITAPSFKKNGVPVNASLQAADQHGYWLRYNRTKSGGGSIPAAFSFYY -TGTGPYATLKFGETPSDRITWVKGPSAVTTNKPKVAKRDPDKHPLLPLTFPPGDGVNTGLRVDPFQNRGR -TMDRGPNQRSSSAPVDSGNRNQRARSKSAPSRTNPKTHQIPKRTLTKGKTISQVFGKRTPGGANVGSADT -EKAGFTDPRLMCLMRHTPGTQELLLAGHLDHDIQPEGVYLKFTYTTQVKRDSAEYDRVIAALNNVVNQKY -DADNLPSQKPKSTKPKPKKEKKERAPPKQQQKEQKQEPQHESEDTKKIQWAEDDTDFAASFS - ->YP_002308510.1 nucleocapsid phosphoprotein [Munia coronavirus HKU13-3514] -MATPAVPTTDASWFQVLKAQNKKAIHPQFKGNGVPVNSAIKPAENHGYWLRFARQKPGGAQIPPSYAFYY -TGTGPRGNLKYGEYPPNDSKETSRITWVKGPGADTTIKPHVAKRNPNNPKHQLLPLRFPPGDGPAAGFRV -DPFNSRGRTMERGNGPRSQSANDRPVQNQPKRRDRSAPAAVRRKTQHQAPKRTLLKGKTISQVFGTRSKA -GANVGSADSEKAGMADSRIMTLARFVPGVQELLFAGHLDSNFQQDGALTLTFTYSITVKQDSPDYDRLKD -ALNTVVNQTYEAPTKPQKVKKPESEQSSKPKQPRKPKTKKAAEADKAPEKDNSADPDQLEWDNAFEFKNS -EA - ->YP_002308501.1 nucleocapsid phosphoprotein [Thrush coronavirus HKU12-600] -MSAPVVPTTDASWFQVLKAQNKKAIHPQFRGDGVPLNSAIKPQENHGYWLRYNRQKPGGAAIPPSYAFYY -TGTGPRGNLKFGEYPPNDSKDTSRITWVKAKGADTSIKPHVAKRNPNNPKHALLPLRFPSGDGPAAGFRV -DPFNTRGRQLERAPGPRSMSMDTRPAANQPRKRDQSAPAAVRRKTQHQAPKRTLQKGKTISQVFGHRSRT -GANVGSADTEKSGMADPRIMALARYVPGVQEMLFAGHVDSQFQVNDITLTFTYSITVKENSPDYIRIKDA -LSTVVNQTYEPPTKPKKEKKPVEQASKNNQQKKKPAKQETAKPDNSADLEWDDAFEIKQDSSA - diff --git a/seq/clusters_seq/cluster_447 b/seq/clusters_seq/cluster_447 deleted file mode 100644 index 4d84c51..0000000 --- a/seq/clusters_seq/cluster_447 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009825012.1 membrane protein [Duck coronavirus] -MTENCTLDTEQAVQLFKEYNLFITAFLLFLTILLQYGYASRSRFIYILKMIVLWCFWPLIKMVVLWQFWP -LIIAGGLISCIYPVDTGGFAAAIVLTIFACLSFVGYWIQSIRLFKRCKSFWAFNPESNAVGSISLTNGQT -CNFSVESVPMVLSPIIKAGVLYCEGQWLAKCTPSEVPQHIYVCTPDRRNVYKRVAAYSGDNKANKKSFAT -FVFTKESVDSGDLDSVATSGGSLYS - ->YP_009755901.1 membrane protein [Canada goose coronavirus] -MSSNETVNCTLDVTQAEKVFKEYNLFLTCFFLFMTFVLQYGYATRSRVMYVIKMIVLWLFWPLNIAVGVL -SCIWPVNTGGFVAAIVLTIMAILAFLGYWIQSIRLFKRCASFWAFNPESNAVGSINLNNGTVCKFSIESV -PMVLSPIIKAGVLYCEGQWLTKCTPSEVPNYMYVCTPDRRHTYKRVAAYAGDNKTKKSFATFIFTKEKVD -TGDLDKVTTSVGDLYQ - ->YP_009825002.1 membrane glycoprotein [Infectious bronchitis virus] -MSNETNCTLDFEQSVELFKEYNLFITAFLLFLTIILQYGYATRSKFIYILKMVVLWCFWPLNIAVGVISC -IYPPNTGGLVAAIILTVFACLSFVGYWIQSIRLFKRCRSWWSFNPESNAVGSILLTNGQQCNFAIESVPM -VLSPIIKNGVLYCEGQWLAKCEPDHLPKDIFVCTPDRRNIYRMVQKYTGDQSGNKKRFATFVYAKQSVDT -GELESVAAGGGSLYT - ->YP_001941170.1 membrane protein [Turkey coronavirus] -MTENCTLDSEQAVLLFKEYNLFITAFLLFLTILLQYGYATRSRFIYILKMIVLWCFWPLNIAVGVISCIY -PPNTGGLVAAIILTVFACMSFVGYWIQSFRLFKRCRSWWSFNPESSAVGSILLTNGQQCNFAIESVPMVL -APIIKNGVLYCEGQWLAKCEPDHLPKDIFVCTPDRRNIYRMVQKYTGDQSGNKKRFATFVYAKQSVDTGE -LESVATGGSSLYT - ->YP_001876439.1 matrix glycoprotein [Beluga whale coronavirus SW1] -MVNMYEVALRVLRDYNLALSAFLTLIICVLQFGYASRNRFFYWIKIITLWLMWPFAIVTSVFSCLYPPCY -SYASRFSNNTSTTPTPVEGNGLWSCGSSYAISVNLTAFVFAIIFAIFTCAAWLFYWIQSTRLYLRVRSWW -AFSPDTNYVAVFKMANDQYFTLPLLEVPMVLSLVYKNNFVYCNGTYLGRIPSMGYLPASCIVGSAEKIAR -YRKITVSAADGSDANVARFGTVIYAKDKYISAGLAYTKREKTETEKLYSV - ->NP_040835.1 membrane protein [Infectious bronchitis virus] -MPNETNCTLDFEQSVQLFKEYNLFITAFLLFLTIILQYGYATRSKVIYTLKMIVLWCFWPLNIAVGVISC -TYPPNTGGLVAAIILTVFACLSFVGYWIQSIRLFKRCRSWWSFNPESNAVGSILLTNGQQCNFAIESVPM -VLSPIIKNGVLYCEGQWLAKCEPDHLPKDIFVCTPDRRNIYRMVQKYTGDQSGNKKRFATFVYAKQSVDT -GELESVATGGSSLYT - ->sp|P69606.1|VME1_IBVM RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MSNETNCTLDFEQSVELFKEYNLFITAFLLFLTIILQYGYATRSKFIYILKMIVLWCFWPLNIAVGVISC -IYPPNTGGLVAAIILTVFACLSFVGYWIQSIRLFKRCRSWWSFNPESNAVGSILLTNGQQCNFAIESVPM -VLSPIIKNGVLYCEGQWLAKCEPDHLPKDIFVCTPDRRNIYRMVQKYTGDQSGNKKRFATFVYAKQSVDT -GELESVATGGSSLYT - ->sp|Q910E2.1|VME1_IBVG RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MAENCTLDSEQAVLLFKEYNLFITAFLLFLTILLQYGYATRSRTIYILKMIVLWCFWPLNIAVGVISCIY -PPNTGGLVAAIILTVFACLSFVGYWIQSCRLFKRCRSWWSFNPESNAVGSILLTNGQQCNFAIESVPMVL -APIIKNGVLYCEGQWLAKCEPDHLPKDIFVCTPDRRNIYRMVQKYTGDQSGNKKRFATFVYAKQSVDTGE -LESVATGGSSLYT - ->sp|P12649.1|VME1_IBVK RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MSNETNCTLDFEQSVELFKEYNLFITAFLLFLTIILQYGYATRIRFIYILKMIVLWCFWPLNIAVGVISC -IYPPNTGGLVAAIILTVFACLSFVGYWIQSCRLFKRCRSWWSFNPESNAVGSILLTNGQQCNFAIESVPM -VLAPIIKNGVLYCEGQWLAKCEPDHLPKDIFVCTADRRNIYRMVQKYTGDQSGNKKRFATFVYAKQSVDT -GELESVATGGSSLYT - ->sp|P05136.1|VME1_IBV6 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MDNTTNCTLGTEQAVQLFKEYNLFVTAFLLFLTILLQYGYATRNKVIYILKMIVLWCFWPLNIAVGAISC -IYPPNTGGLVAAIILTVFACLSFIGYWIQSFRLFKRCRSWWAFNPESNAVGSILLTNGQQCNFAIESVPM -VLSPIIKNGVLYCEGQWLAKCEPDHLPKDIFVCTPDRRNIYRMVQRYTGDQSGNKKRFATFIYVKHSVDT -GELESVATGGSSLYT - diff --git a/seq/clusters_seq/cluster_448 b/seq/clusters_seq/cluster_448 deleted file mode 100644 index 1838fe2..0000000 --- a/seq/clusters_seq/cluster_448 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009825011.1 3c protein [Duck coronavirus] -MTNLLSKSLEENGSFLTALYIFVAFVALYLLGRALQAFVQAADACCLFWYTWVVVPGAKGTAFVYKHTYG -KKLNKPELETVIVNEFPKNGWKQ - ->YP_009755900.1 envelope protein [Canada goose coronavirus] -MTDILTKSVQENGSFLTAVYVFTAFVALYLLGKALYAFTQAADACFAFWYTWVALPTGKGVAFVYRNTYG -RKINNPDLEAVIVNEFPKNGPNNKLVPKLS - ->YP_009825001.1 3c protein [Infectious bronchitis virus] -MMNLLNKSLEENGSFLTALYIIVGFLALYLLGRALQAFVQAADACCLFWYTWVVIPGAKGTAFVYKYTYG -RKLNNPELEAVIVNEFPKNGWNNKNPANFQDVQRDKLHS - ->YP_001941169.1 envelope protein [Turkey coronavirus] -MTNLLSKSLEENGSFLTAVYIFVAFVALYLLGRVLQAFVQTADACCLFWYTWIVVPGAKGAAFVYNYTYG -KKLNKPELEAVIVNEFPKNGWNNKSPANF - ->YP_001876438.1 small membrane protein [Beluga whale coronavirus SW1] -MSAISDWFYANGMFFSCLYILLGLIAIYIVGKVLTVFVQAIDVCLLFCKGWAVDPSVRLVSYAYGVLPKQ -PKLNFEDIERVPLSFPRNSKDGEYV - ->sp|P30248.1|VEMP_IBVU5 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MMNLVNKSLEENGSFLTAVYIFCAFVALYLLGRALHAFVQAADACCLFWYTWVVVPGAKGTAFVYKHTYG -KKLNNPELESVIVNEFPKNGWNNKSPANFQNDGKLHS - ->sp|P30247.1|VEMP_IBVU4 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MMNLLNTSLEENGSFLTALYVICEFVALYLLGRALQAFVQAADACCLFWYTWVVVPGAKGTAFVYKHTYG -KKLNNPELEAVIVNEFPKNGWNNKNPANFQNGKLHT - ->sp|P30246.1|VEMP_IBVP3 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MMNLLNKSLEENGSVLTAFYIFVAFVALYLLGRALQAFVQAADACCLFWYTWVVVPGAKGTTFVYKHTYG -KKLNKPELETVIVNEFPKNGWKQ - ->sp|P05139.2|VEMP_IBVM RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MMNLLNKSLEENGSFLTALYIIVGFLALYLLGRALQAFVQAADACCLFWYTWVVIPGAKGTAFVYKYTYG -RKLNNPELEAVIVNEFPKNGWNNKNPANFQDAQRDKLYS - ->sp|P19744.1|VEMP_IBVK RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MTNLLNKSLEENGSFLTAVYIFVGFVALYLLGRALQAFVQAADACCLFWYTWVVVPGAKGTAFVYNHTYG -KKLNKPELEAVVVNEFPKNGWNNKNPANFQDVQRNKLYS - diff --git a/seq/clusters_seq/cluster_449 b/seq/clusters_seq/cluster_449 deleted file mode 100644 index a48a71b..0000000 --- a/seq/clusters_seq/cluster_449 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_005352848.1 membrane protein [Sparrow coronavirus HKU17] -MSESEEWQIIVFIAIIWALGVILQGGYATRNRMIYVLKLILLWLLQPFTLVVTVWAAVDHANKKDAVFIV -SIIFAVLTFISWAKYWFDSIRLLMKTRSAWALSPESRLLAGIMDPMGNWRCIPIDHMAPILTPVVKHGKL -KLHGQELASGISAKSPPQDMVIVSPSDTFHYTFKKPVESNSDPEFAVLIYQGDRASNAGLHTITTSKPGD -ALLYKYM - ->YP_005352883.1 membrane protein [Common moorhen coronavirus HKU21] -MASESSYQLRAVQEFEEWSLIIFISIIWALSSILQGGYATRYRFVYVIKLVLLWLLQPLTLGFSIYAAVY -FAMPATYLKLTGESTDYINQTNAHYQRNYHTSKLYSRKGLDNSETIAQFTLAILFAVLTFVSWCKYMYDS -IRLALKTKSAWSFSPETRLIAGIVDMMGNGRCVPLDYMTPILAPVIKHGTLKLHGQKLASGLSVKTVPKH -MAVFTPSDTFHYVLRKTIESTEDDNIAVLIYQSDRASNAGLHTITTSGSGNSRLYKYM - ->YP_005352873.1 membrane protein [Wigeon coronavirus HKU20] -MSDYSEWQLIVFIVIIWALGIILQGGYATRFRFTYILKLILLWVLQPFTLVVTIWTAVDKGSEPTSALFI -IAVIFSVLTFVSWAKYWYDSIRLAIKTKSAWALSPESRLLACMLDNSGIMHAVPLDYLPTALCPVLVRGK -FMLHGQCVAKQMTLSSVPRAFYVATPSHTYHYSLRKTFQDPELPDTATYIYLVEKISKADLSSVTTGNNA -AFYKQF - ->YP_005352856.1 membrane protein [Magpie-robin coronavirus HKU18] -MSETTEWQIIVFIILIWALGVILQGGYATRNRIIYIIKLILLWLLQPFTLVVTIWTAVDARATPSSAVFI -IAIVFAVLTFLSWLRYVYDSIRLVMKTHSAWSFSPESRILAGVMDSMGNWRCIPIDHMAPILTPVLKHGK -LKLHGQQLATGIAVTSPPKSMVVVTPSDTFHYSLRKTIESTDDRDLAVLIYQGDRSSNAALHTITTSNSG -NARIYNYL - ->YP_009513023.1 membrane protein [Porcine coronavirus HKU15] -MSDAEEWQIIVFIAIIWALGVILQGGYATRNRVIYVIKLILLWLLQPFTLVVTIWTAVDRSSKKDAVFIV -SIIFAVLTFISWPKYWYDSIRLLMKTRSAWALSPESRLLAGIMDPMGTWRCIPIDHMAPILTPVVKHGKL -KLHGQELANGISVRNPPQDMVIVSPSDTFHYTFKKPVESNNDPEFAVLIYQGDRASNAGLHTITTSKAGD -ARLYKYM - ->YP_005352840.1 membrane protein [White-eye coronavirus HKU16] -MSDAQEWQVIVFIIIIWALGVILQGGYATRTRIIYIIKLILLWLLQPFTLVVTIWAAVDNGSNKTGAVFI -ISVIFAVLTFLSWLRYWYDSIRLVVKTHSAWSFSPESRLLAGIMDPLGNWRCIPIDHMAPILAPVVKHGK -LKLHGQELATGVPVTSPPKGMIVVSPSDTFHYTLKKTIESTDDRDLAVLIYQGDRASNAGLHSITTSGSG -NARLYKYI - ->YP_002308481.1 membrane protein [Bulbul coronavirus HKU11-934] -MNSTTATPTTAKITMGSSPEEWQVIVFIIIIWALGAILQGGYATRSRMIYIIKLILLWLLQPFTLVVTIW -AAVNHGNSKTDAVFIISVIFAVLTFCTWLKYWYDSIRLVMKTHSAWSFSPESRLLAGIMDPMGNWRCIPI -DHMAPVESPVVKHGKLKLHGQELATGVSVTSPPKGLMVVSPSDTFHYTLKKTIESTDDRDLAVLIYQGDR -ASNAGLHSISTSSSGNARLYKYV - ->YP_005352865.1 M gene product [Night heron coronavirus HKU19] -MSDAAEWQLIVFIILIWALGFILQGGYAARHKVIYVIKLILLWLLQPFTLVVTIWTAVDNGAQPSSAVFI -IAIIFAILTFVIWLKYWYDSIRLVIKTKSGWSFSPETRLLVCAIDGMGNVKCAPVDHLPTALTPVLVMGR -FMLNGQLLMAQQTVQTAPRALYVMTPSQTYHFTLKKTFQDPDFKDTATFCYLVDRISKADLQSVTTGSNY -ALYKHL - ->YP_002308508.1 membrane protein [Munia coronavirus HKU13-3514] -MSDANEWQIIVFIILIWALGVILQGGYATRNRIIYIIKLILLWLLQPFTLVVTIWTAVENGSKPGGAVFI -IAIVFAILTLLSWLRYVYDSIRLVLKTHSAWSFSPESRLLAGIMDSMGNWRCIPIDHMAPILTPVLKHGR -LKLHGQQLATGLSVTSPPKSMVVVTPSDTFHYTLRKTIESTDDRDLAVLIYQGDRSSNAALHTITTSNSG -NARIYNYL - ->YP_002308499.1 membrane protein [Thrush coronavirus HKU12-600] -MSDAQEWQVIVFIIIIWALGVILQGGYATRARLIYIIKLILLWLLQPFTLVVTIWSAVDNGNNKTGAVFI -ISIIFAVLTFLSWLRYWYDSIRLVIKTHSAWSFSPESRLLAGINDPMGNWRCIPIDHMAPILAPVVKHGK -LKLHGQELASGVTVSSVPKSMIVVSPSDTFHYTLKKTIDTDERDFAVLIYQGDRVSNAGLHSITTSDSGN -ARLYKYL - diff --git a/seq/clusters_seq/cluster_45 b/seq/clusters_seq/cluster_45 deleted file mode 100644 index d471bcf..0000000 --- a/seq/clusters_seq/cluster_45 +++ /dev/null @@ -1,291 +0,0 @@ ->YP_009173867.1 X protein [Hepatitis B virus] -MAARLCCQLDPARDVLCLRPVGAESCGRPFSGSLGTLSSPSPSAVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQILPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCAPAPCNFFTSA - ->YP_009666527.1 X protein [Capuchin monkey hepatitis B virus] -MAARLCCQLDPARDVLCLRPVTAEPCGRPFSGSARTSAPAAAAALPSIDGAYLSLRGLPSCAFSSSGPCA -LRFTSARRMATPMNSRDLVQQLYNRTLGLAPLSTGQWERHFKDLLFEEWEELGVEFRLKVFVLGGCRHKL -VCSVQPCIFFTSA - ->YP_009506648.1 X protein [Pomona bat hepatitis B virus] -MAARLYCELDPSRDVLLLRPFGAQPGGRPVSRFPGDSNGSTASTVPPVHRQDFALRRLPACAYSNAGPCV -LRFTCAEAAVNMETTMTNFVTWHTARQRGTVTQTLNSWQWYFGQLLMNQWEETGWDDRIIAYVLGGCRHK -LR - ->YP_009175037.1 X protein [Woolly monkey hepatitis B virus] -MAARLCCYLDPERDVLCLRPLQAEPSGRPFSGLSRPAETAAAAAVPAFHGAHLSLRGLPSCAFSSAGPCA -LRFTSATWRCMETPMNSVTCLRKKTLGLRTAPPTVMEQYIKDCLFEQWEEQGEEPRLKVFVLGGCRHKLV -GTASPCIFFTSA - ->YP_009046001.1 X protein [Tent-making bat hepatitis B virus] -MAARMLCHYDTARDILHLRPLRGQSSGPALAGTPAAQAASPPPPVSPDHRPDLSLRALPACATSDAGPCV -LRFTSADLRRLATPVNICHSLTRRTRGHPWTLNNMDSWTWLMINREVGPRIEYVLVAGGCRHKLQ - ->YP_009045997.1 X protein [Horseshoe bat hepatitis B virus] -MAARLHCELDAARDVLLLRPLGTQPGGRSVARAARDPAGAAAAAVPSVHRPHLPVRRLPACAFTPAGPCV -LRFTCADLQRHMETTMNFVPWQMARQRGQLMRTLSYWDWYFKQSLMNQWEEQGLGERLNTYVLGGCRHKL -R - ->YP_009045993.1 X protein [Roundleaf bat hepatitis B virus] -MAARLHCELDAARDVVLLRPLGVEPRGRPFPRPPRDPPRAAAAAVPPFHRSDLPVRRLPACAFSSAGPCV -LRFTCADLQRHMETPLNFVTWQGARQRGHFLRTLDYWDWYIKQSLMNQWEELGLGERLNTYVLGGCRHKL -K - ->YP_007678001.1 X protein [Long-fingered bat hepatitis B virus] -MAARLRCQLDASGNVVLLRPLCIESGGRPVARSARHSRRAAASPVPTVHGPHVTLRRLPICAASPAGPCA -LRFTCANFSGCMETTMNFVTWLGTRRRTGAKLNSGHWDMYFRESLMKEWEEKGNDQRLYTYVSGGCRHKL -LCTL - ->NP_671815.1 X protein [Woodchuck hepatitis virus] -MAARLCCQLDSARDVLLLRPFGPQSSGPSFPRPAAGSAASSASSPSPSDESDLPLGRLPACFASASGPCC -LVFTCAELRTMDSTVNFVSWHANRQLGMPSKDLWTPYIKDQLLTKWEEGSIDPRLSIFVLGGCRHKCMRL -L - ->NP_040996.1 hypothetical protein [Ground squirrel hepatitis virus] -MAARLCCQLDSSRDVLLLRPLRGQPSGPSVSGTSAGSPSSAASAFSSGHQADIPVGRLPACFYSSAGPCC -LGFTCADLRTMDSTVNFVPWHAKRQLGMMQKDFWTAYIRDQLLTLWEEGIIDPRLKLFVLGGCRHKYM - ->sp|P0C686.1|X_HBVC1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARVCCQLDPARDVLCLRPVGAESRGRPVSGPFGPLPSPSSSAVPADHGARLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQVLPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLMVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q9YJT2.1|X_HBVGO RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAEPCRRPVSGSLRTLPSSLPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAPRNLPTVLHKRTLGLSAMSTTKIETYFKDCVFKDWEELGEEIRFKVFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|P87743.1|X_HBVGB RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARMCCQLDPSQDVLCLRPVGAESRGRPFSGPLGPLSPPSPSLVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMATTVNAPRSLPTVLHKRTLGLPAMSTTGIETYFKDCVFKDWEESGEETRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q9J5S3.1|X_HBVOR RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDTARDVLCLRPVGAESRGRPFSGSVGALPPSSPPAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAPRNLPKVLHKRTLGLSTMSTTGIETYFKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q64902.1|X_ASHV RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDSSRDVVLLRPFGSESGGPAVSRPSAGSASRADSPLPSAAESHLPLGRLPACFASPSGPCC -LGFTCAEFGAMVSTMNFVTWHAKRQLGMPTKDLWTPYVRNQLLTKWEEGTIDSRLPLFVLGGCRHKYM - ->sp|P17401.2|X_WHV5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCHLDSARDVLLLRPFGPQSSGPSFPRPAAGSAASSASSPSPSDESDLPLGRLPACFASASGPCC -LVFTCADLRTMDSTVNFVSWHANRQLGMPSKDLWTPYIKDQLLTKWEEGSIDPRLSIFVLGGCRHKCMRL -L - ->sp|P11294.1|X_WHV6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDSARDVLLLRPIGPQSSGPPFPRPAAGSAASSASSPSPSDESDLPLGRLPACFASASGPCC -LVFTCADLRTMDSTVNFVSWHAKRQLGMPSKDLWTPYIKDQLLTKWEEGSIDPRLSIFVLGGCRHKCMRL -L - ->sp|P12937.1|X_WHV2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCHLDSARDVLLLRPFGPQSSGPSFPRPAAGSAASSASSPSPSDDSDLPLGRLPACFASGSGPCC -LVFTCADLRTMDSTVNFVSWHANRQLGMPSKDLWTPYIKDQLLTKWEEGSIDPRLSIFLLGGCRHKCMRL -L - ->sp|P12914.1|X_WHV3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDSARDVLLLRPFGPQSSGPPFPRPAAGSAASSTSSPSPSDESDLPLGRLPACFASASGPCC -LVFTCADLRTMDSTVNFVSWHAKRQLGMPSKDLWTPYIKDQLLTKWEEGSIDPRLSIFVLGGCRHKCMRL -L - ->sp|P03167.1|X_WHV1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLLLRPFGSQSSGPPFPRPSAGSAASPASSLSASDESDLPLGRLPACFASASGPCC -LVVTCAELRTMDSTVNFVSWHANRQLGMPSKDLWTPYIRDQLLTKWEEGSIDPRLSIFVLGGCRHKCMRL -P - ->sp|P12912.1|X_HBVCP RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDTSRDVLCLRPVGAESCGRPFSGPLRALPPSHPSALPTDYGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAPRNLPKVLHKRTLGLSAMSTTKIETYFKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCTPAPCNFFTSA - ->sp|P0C689.1|X_HBVC5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARVCCQLDPARDVLCLRPVGAESRGRPVSGPFGALPSPSSSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQVLPKVLHKRTLGLSAMSTTDLEAYFKDCVFKDWEELGEEIRLKVFVLGGCRHN -FFTSA - ->sp|P0C687.1|X_HBVC9 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPTRDVLCLRPVGAESRGRPVSGPLGDLPSPSASPVPTIDRAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNTHMILPKVLHKRTLGLPAMSTIDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|P0C685.1|X_HBVB3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPLPGPLGALPPASPPIVPSDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHWNLPKVLHKRTLGLSAMSTTDLEAYFKDCVFTEWEELGEEFRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|P0C681.1|X_HBVD5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPFSGPLGALSSSSPPAVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQFLPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEELRLKVFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|P0C678.1|X_HBVB8 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDTARDVLCLRPVGAESRGRPLPGPLGALPPASPSAVPTDHGAHLSLRGLPVCAFSSTGPCA -LRFTSARRMETTVNAHRNLPKVLHKRTLGLSAMSTTDLEAYFKDCVFTEWEELGEEMRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q4R1S9.1|X_HBVA8 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLYCQLDSSRDVLCLRPVGAESRGRPFSGPLGTLSSPSPSAVSSDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMATTVNAHQILPKVLHKRTLGLPAMSTTDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCAPSSCNFFTSA - ->sp|Q4R1S1.1|X_HBVA9 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLYCQLDSSRNVLCLRPVGAESCGRPLSGPVGTLSSPSPSAVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAHQILPKVLYKRTLGLPAMSTTDLEAYFKDCVFKDWEELGEEIRLKIFVLGGCRHK -LVCAPFSCNFFTSA - ->sp|Q69604.1|X_HBVE1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVSAESCGRPVSGSLGDLSSPSPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQILPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|Q9PXA2.1|X_HBVB5 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPLPGPLGAIPPASPPVVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAPGNLPKVLHKRTLGLSVMSTTDLEAYFKDCVFTEWEELGEEVRLKVFVLGGCRHK -LVCPPAPCNFFTSA - ->sp|Q67923.1|X_HBVB6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARVCCQLDPARDVLCLRPVGAESRGRPLPGPLGTLPPASPPAVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHGNLPKVLHKRTLGLSAMSTTDLEAYFKDCVFNEWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q80IU8.1|X_HBVE2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVSAESCGRSVSGSLGDLSSPSPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQILPKVLHKRTLGLSAMSTTDLEAYFKACLFKDWEELGEEIRLKIFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|Q80IU5.1|X_HBVE4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESCGRPVSGSLGGLSSPSPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQILPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCVPAPCNFFTSA - ->sp|O93195.1|X_HBVD7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPFSGPFGTLSSPSPSAVSTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQFLPKVLYKRTLGLSVMSTTDLEAYFKDCLFKDWEELGEETRLMIFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|Q9YZR6.1|X_HBVC2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARMCCQLDPARDVLCLRPVGAESRGRPVSGPFGPLPSPSSSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQVLPKVLYKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLMIFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q9QMI3.1|X_HBVD4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPVSGPLGSLSSSSPSAVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQILPKILHKRTLGLSTMSTTDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|Q9PX75.1|X_HBVB7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPLPGPLGAIPPASPSTVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHRNLPKVLHKRTLGLSVMSTTDLEAYFKDCVFTEWEELGEEMRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q9IBI5.1|X_HBVG3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPSRDVLCLRPVSAESSGRPLPGPFGALSPPSPSAVPADHGAHLSLRGLPVCSFSSAGPCA -LRFTSARYMETAMNTSHHLPRQLYKWTLGLFVMSTTGVEKYFKDCVFAEWEELGNESRLMTFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|Q9E6S8.1|X_HBVC0 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPVSGSLGALPSPSPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNANQVLPKVLHKRTLGLSALSTTDLEAYFKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCVPAPCNFFTSA - ->sp|Q99HR6.1|X_HBVF4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARMCCQLDPARDVLCLRPVGAESRGRPLPGPLGALPPSSASAVPADHGSHLSLRGLPVCSFSSAGPCA -LRFTSARRMETTVNAPWSLPTVLHKRTIGLSGRSMTWIEEYIKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q91C38.1|X_HBVA6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLYCQLDSSRDVLCLRPVGAESRGRPLAGPLGALSSPSPSAVPSDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAHQILPKVLHKRTLGLPAMSTTDLEAYFKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVFAPSSCNFFTSA - ->sp|Q913A9.1|X_HBVC7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPFSGPLGTLPSPSSSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTFARRMETTVNAHQVLPKVLHKRTLGLSAMSTTDLEAYFKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q8JN06.1|X_HBVH2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESCGRPLSWSPGALPPPSPPSVPADDRAHLSLRGLPACAFSSAGPCA -LRFTSARRMETTVNAPQSLPTPLHKRTLGLSPRSTTWIEEYIKDCVFKDWEESGEELRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q8JMZ5.1|X_HBVH3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESCGRPLSWSPGALPPPSPPSVPADDGSHLSLRGLPACAFSSAGPCA -LRFTSARRMETTVNAPQSLPTTLHKRTLGLSPRSTTWIEEYIKDCVFKDWEESGEELRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q8JMY5.1|X_HBVH1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESCGRPLSWSLGALPPSSPPAVPADDGSHLSLRGLPACAFSSAGPCA -LRFTSARRMETTVNAPWNLPTTLHKRTLGLSPRSTTWIEEYIKDCVFKDWEESGEELRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q8JMY3.1|X_HBVF2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRSLSGSLGAVSPPSPSAVPANDGSHLSLRGLPVCSFSSAGPCA -LRFTSARRMETTVNAPRSLPTVLHKRTLGLSGRSMTWIEDYIKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q69607.1|X_HBVF6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESSGRTLPGSLGAVPPPSSSAVPADNGSHLSLRGLPVCSFSSAGPCA -LRFTSARRMETTVNAPWSLPTVLHKRTLGLSGRSMTWIEDYIKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|Q69027.1|X_HBVCJ RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPVSGPFGPLPSPSSSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARSMETTVNAHQVLPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFPSA - ->sp|Q67877.1|X_HBVD6 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPFSGPLGTLSSPSLSAVSTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQILPKVLHKRTLGLPAMSTTDLEAYFKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|O91531.1|X_HBVA7 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPSRDVLCLRPVGAESRGRPLSGPLGTLSSPSPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAHQSLPKVLHKRTLGLPAMSTTDLEAYFKDCVFKDWEELGEEIRLMIFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|Q81163.1|X_HBVC8 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARVCCQLDPARDVLCLRPVGAESRGRPVSGPFGPLPSPSSSAVPADHGAHLSLRGLPVCAFSSAEPCA -LRLTSARRMETTVNAHQVLPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLKVWRL - ->sp|P69714.1|X_HBVA2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLYCQLDPSRDVLCLRPVGAESRGRPLSGPLGTLSSPSPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAHQILPKVLHKRTLGLPAMSTTDLEAYFKDSVFKDWEELGEEIRLKVFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|Q05499.1|X_HBVF1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARMCCKLDPARDVLCLRPIGAESRGRPLPGPLGAVPPSSPSAVPADDGSHLSLRGLPVCSFSSAGPCA -LRFTSARRMETTVNAPWSLPTVLHKRTLGLSGWSMTWIEEYIKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|P20977.1|X_HBVB4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPFPGPLGALPPASPPVVPTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHGNLPKVLHKRTLGLSAMSTTDLEAYFKDCVFNEWEELGEEVRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|P20976.1|X_HBVB1 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPLPGPLGALPPASPSAVPSDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHRNLPKVLHKRTLGLSAMSTTDLEAYFKDCVFNEWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|P20975.1|X_HBVB2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPLPGPLGALPPASPPAVPSDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHRNLPKVLHKRTLGLSAMSTTDLEAHFKDCVFTEWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - ->sp|P24026.1|X_HBVD2 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARLCCQLDPARDVLCLRPVGAESRGRPFSGPLGTLSSPSPSAVSTDHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNAHQFLPKVLHKRTLGLSVMSTTDLEAYFKDCLFKDWEESGEEIRLMIFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|P17102.1|X_HBVA4 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MATRLCCQLDPSRDVLCLRPVGAESRGRPLSGPLGTLSSPSPSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARCMETTVNAHQILPKVLHKRTLGLPAMSTTDLEAYFKDCVFKDWEELGEEIRLKVFVLGGCRHK -LVCAPAPCNFFTSA - ->sp|P12936.1|X_HBVC3 RecName: Full=Protein X; AltName: Full=HBx; AltName: Full=Peptide X; AltName: Full=pX -MAARVCCQLDPARDVLCLRPVGAESRGRPVSGPFGTLPSPSSSAVPADHGAHLSLRGLFVCAFSSAGPCA -LRFTSARRMETTVNAHQVLPKVLHKRTLGLSAMSTTDLEAYFKDCLFKDWEELGEEIRLKVFVLGGCRHK -LVCSPAPCNFFTSA - diff --git a/seq/clusters_seq/cluster_450 b/seq/clusters_seq/cluster_450 deleted file mode 100644 index db9a9fe..0000000 --- a/seq/clusters_seq/cluster_450 +++ /dev/null @@ -1,145 +0,0 @@ ->YP_010088086.1 protease [Chrysothrix chrysovirus 1] -MSAVNRVVDYMRGMPENANHRETYASNVMARLSTEKTEVIDCVEEEKNLISHGEKEIISRLKCQAKFTRA -NMFPGVNINSMVTYGQKGDVNRIKNTEIDFIGSREWFLEPARSRALYSKRESTMHLLDEQQKRQIMSQCP -NMSSQDVGSLHQAAVHERLSIPTDMRHIFMKIYLMLMDYNLACTSMCEDICVRTDIIYDLRNHLPAAARM -ATITDHDIVVEGDLFTEQELGLLCLAGAEYPSVWYAGDNMYNKIQMEADDVVVVSEKGIAIDMAVSFDSP -ERMCNLAWSLASKLGCIPCWCSAIENMRGKPKQVADLMEYVEGNTINSLIPTSFNLSTSFGKENKTYSLT -KMSGYISTSYSLIADLLYGMVFKAAISCSIESIGGCGTLLSSSTPKTNLSINGSCREFGYQHSSSDHNAV -LSDWERLMRKPVTWDYGKYLKEYALGVAEQIVNGLDIIMPQLLITVHSLLAFDTSLDMETGWLGDADMLN -STKNERGAKADGLAALSWVSGLRAVRPRVFFNKRGNKSVALSASEQHLSAELDDRGLKDVGFWVNDTLGG -RVDENEIIGSVLINTEYPGTKCAMVYSYAESQWVEVSNKEYDEYVRQSTHGDIRPSVPLKRTTDKDPIPV -VWGSGTKDKRFERNIEHLKTLSPANAIKPDKEGRHHRIGSDGTAYVPEYVADGEISTTPLVYKKPIIREE -GPLQYKKVEVAGDGQCGIHAVVKDLEMHGRIGAGDTRKTEAIFTEGIASKSFQDAQELAALCQQWGFGMM -LADKETGSVTNYNDGTQDQIVCILRENGHFSPIILDEGENRMDIRRIHNQEIPSDEFVNKVREYGSLFSR - ->YP_009667013.1 putative protease [Colletotrichum gloeosporioides chrysovirus 1] -MAQFKTVYDLMGDAAKGKFFRGKSMSESAVDEMEHVDCEFEEFKSLGLVEKNILAGISMPDNFTVKKSEG -KVDILEIGMTTLAKAVSEHGGVTDLTHTAVKKIDPFRVYGDWKLEYEHGSIMYPWHKGIKADRDKGVMGR -ISAQFPHLNSTESSGFTRTLLTHMLAQKTDMTHVFMKGYLIMMDLNTSVVFRRTIRRATAKRDNFYPNKS -NDHRMGLVGAARIVVDADGFSAEELESLDMMCQEYPTVKYCEDNIYNTCNMEADRLAITSSREIPRAQGR -IPTPLEFYRLMTSIACKLDAVEDMFTAFKLMRGRMAHIRDVYRSSDDRKYSSGVPLSTCYSRCLGGGTVH -KKVCATYPAYMCSSVGLVADVLLGRCYEVAASMLIENLGGLGDMMCSGGARASGQYNGLLREYGLSTKDA -TKNELMLAWEGIGAIEYKWSPTLTWKPYIVKLTDEIRGGNDVEMPQLCYEIAHMFHVDNAWGAIRGYKGI -TGAGLAEHTDIGEGARKMKDERLRYAAAFSWAMGVRRQRPKIFVNSYGMKEVAVSTNERKFLRSAIGGYK -FTNLSYTLEEDYEGREDWLENAATELFRSTIDGTRCSVIMSTAGEWHYSETKESKTEGIAESLMQKQKSD -NVDEEIQRMTTGKLIEPTSNGAGDLFSKMSKTRSVVHTPKVKLSGGSLPEVREGETSFKTIPVPGDGKCG -IHAVVASMKEAGMLRMGEEKLVFDNFDGQFNGQTFHDAQTIAQGLNEIGIGLRLYDNIPEKGVRVTDYGD -VKENGIAIYRSGNHFEGVVEGLGDTRTVIAKEGGQLSNVQQVDALKEMRRFFE - ->YP_009667011.1 P4 [Macrophomina phaseolina chrysovirus 1] -MSQYNRVADYLSGLPDAVNHMDRYVTEFLERHSTSRNVIIDGVEAEKQILSPAERNVIRALNCQLKFTKA -HFGDGFNLSVRAVARHKNSYKDRTDKLSTIQLSFRGSREWQLLPSRATVMYATAESSMHLMDDQIKRHIL -ARCHTMLSSETSSLPMAAVSEKMQIPMDMRHMFMKMYLYLMDYSLAVTSKSTTREVCDDIEYQGYPNVSQ -AARISAMMDRSIVIDTDGMTPEELGLLVLSSNEYPSVFYGSENIYTNCSMEKDDMVLVSDGDIVVDKSYL -WGSPDRLYNLIWSVATKLDCVASLVQAFRMMRGMPKMASDMFSRVKESNTILSMVPPSHSLTRALGEIPI -RPSLSRAPGWFSTSFSLATDLIYGSMFEAVATNVVEELGGIGKALSSATPATSRVFNGLLRDYGLEHADP -TVNVLLQGWNSISGTVIKWGFGKMLKDYCVGLAGEIQNGLDIRMPQIMALIPYCSTPNTVWGYSRGWTGT -SDLLELSKKARREQTNKLAAVAWVLGIREVRPRVFRNTFSTEELTLSPLEYELASEASNGCELEDVQLWL -ADSVGGRVDEQEKTASGLTRTEYAGAKCSLIYDTIEKQWVIDDKKPDYRKMVSESLKGEPKAEKLEEMDG -LKPVTFGGIPTPQDPFEHLKSMNKTSLIRPSAGPRHFRMGSDMTPFVPEYVVDGERESGLLTRTRLNVKE -GDEIEIKEISVMHDGSCGIHSIVEDLRVRGMLDTSDARKASEMFAEGTASKNFHDTEELAALAEQWGFGL -SVINEDGNVYKFGDGKQEHDIVIARRGLSFQPAIIGKGGRKLKSNKIHEQTAPNDEFIAKVKEMRHIFGA -VRR - ->YP_009507945.1 putative cysteine protease [Cryphonectria nitschkei chrysovirus 1] -MSAYKRVMDYMSGAPDSANHIKLWEKAQMDRMRDQVDALELEKRMLGPAERDLMAAFHCQPKFTRKGMNE -GLTIGVNVDCRTSSGDKVSRIKNVVVDFNGGECWEIAHAAAHVDYVTRDPAFYTLDTTLRDKIISQCPGM -SAAQLYELRVDGVKDSLSLPVDMRHMYMKMMLMLWDYTLAATSKVEGMEMAEDIVDFSHPSLPADARMAT -VANYRVVVDAEGMSRRELGLLSMAGQQYPSVWYAGDNIYTKCHLAEDSLAIVSDGKIDMDTSGMWGSPDE -LYSIIWSIACKTSSTKCLAEAITLLRGKCQHMADFTKRAGPITVQSGVPLSVCKVRSVGAASASTILQSQ -PGYFSTSLSLVTDLLYGKMFEISATSVVEQVGGLGDRTCGEVPSTDRMYNGLLRDIGLRHEDGSINAVLK -NWCSLTGSAYTFGYGGAIKDYVIKLTELMRGGWDVELPQLGTLIPFMDTKDTCWGYSRGYPGKPDVFDKD -QTMKASESLSVCAWLMGLRATRPKVGHNRSKKESRHQTPEERELAVESKGKMVLGSAQLWITDGLGGRED -DYEEGSGVLVKSSFPSVKCQLLYDERDQEWHIPTSRERDYTSMVRRTTMGEHEVEVAPVIVGPAPKSPED -IFSGVRAAVKERPVRPYKEPRPAVGMTGVKFVPRYVLDGKVVEDPIDVRTKRPATGEMRVKAIDVPGDGK -CGLHAVVQDLQSHGLLTQRDGGRVLRLLDKSTNAPTFHSPEDIAGAVLKLGLGLDVVAGGIVHSYGNNDG -HRVLMQLKDHHYMPIVEHEEGETLNITSVQEQVGTDDEYIKRLGEFERMLAAAT - ->YP_009508106.1 hypothetical protein [Aspergillus fumigatus chrysovirus] -MSAELRVVDYMRGLPQSYQHREMYYTQFMERYNSTQLQEVDGVEVEKGLVSDGEKEIIRHLNVQDKFTMS -GFRRGFRLAHMISLGQSRSVKRSKVTSLNFQGAGEWTLFASSARALYSSKESTMNLMDEEQKRTIMSKCP -TLSGSDAGGVYMAAIHERMSTPTDLRYLFTKMVLYLYDYTLAVVSKDTGMSITEDVAFDLRANLPEAARL -ATVIDHDIVIDGDLFTPEQLSLLCLSGLEYPSVWYAGEGNIYNSCCMEKDDLVIVSSGKIEVDQSFLWGS -PDRMYNMMWLIAQKLNAIGCLTYALENMRGKCKMMSDIVAKTECREVNSMVPHSYCMSTAFGQIRERQVV -TKMPGFFSTSLSLISDLLYGMCFKAVASCVSETLGAMGKVVSSSTPTTNETINSLMRDYGLQHTESSYNY -MLHNFELFTRKPTRWDIGQHMKEYALKLAEDVMMGVDIPIPALLLTIPALTAVNTAFGLARGWYGQGDIL -QMTKEERLNNTDALCAIGWMCGLRDVRPQVFRNRLGRKQLLVNSEERKLKAEATTDCRVRDVEFWIDESL -GGRVDEIEEAGNNLFRTEFSGTKCAMVWNYEYGMWMEAKVQEYTRLKRASLAGDLTQRERATMSKIGPTP -INWGPPPSHNSKLSASLERMRAISRGNAIIPSKEPKHVRVSSDSRPVVSRYVEEGEEKDDYVPYVKPQIE -EGEKITFSEIDVPGDGSCGIHAVVKDLSIHGRIAPADAQKATTLFSEDMASKRFHDAAELAAQCQMWGMG -MDLIDKESNRVIRYGDPDADYRVTIVRDGNHFKAARIGEPGHEMVVRSVEEQQSPPEEFIASVKSLGSLF -GGSPIIQ - ->YP_009507947.1 ovarian tumor protease [Verticillium dahliae chrysovirus 1] -MSAYKRVMDYMSSAPDSANHIRLWEAATMDKMRSKVSGLELERKSLSPGERAIIESLDCQAKFTRGGMVE -GITLGAIVDARSDKGDKIPRVRKIKIDFMSDRVWHLAPAVAQVDYVTRDPAFYTLDTNMKDRIISQCPSL -SASQLHELRVDAVKDALSMPVDMRHLFMKMALMPWDYTLAATSKVQGMEMAADLFDCRHPNAPADARMAL -MSSTRVVIDAEGFSRRELGLIQLAAQQYPSVWYAGDNMYTACSMDADDVAIVSDGQIDMDTSGMWGSPDT -LYQLIWSVAAKMDSVKCLVEALTVMRGKCRHMHDLVGRVGSVSVYSGVPLSICKSRGMGQTGPPAIVTQA -PGYYSSSIALIADYLYGSMFEISATSVAEEVGGTGDKICGAAVASDRLYNGLLRDVGLRHEDGSVNILIK -NWCSLTGSPVCWGYGGCLKDYIVALTVEMRAGWDVAIPQLVTLIPFMDTKLGAWGHSRHYRGRPDTFNAD -ESRERSETLAVGAWLMGYRGSRPKLFRNSSAKESRNQTAAERELAAEAGSGLVIGQCRFWIEDSLGGRED -ELEEASGVLFKSSFPNVKCQLMYDTTTEQWALPQEEKDYTKIVKQTTYGYKPETELAPMISGPAPNNRND -MFESVKAIAKAKPIRPYKGPRPDISATGLTYVPDYVIEGEVASTMLPLRSRAPELGGLTFKSIDVPGDGR -CGLHAILEDLKSHGMISQRDSSLVMQRLDSTTAAPSFHSPDDLAGAVIKMGLGLDVITDGQPHSYGTSTN -HRVLLRLKDHRYTPIVESAGGEKEVIVAKHGPNPSDADYIKRLGEFEQMIGPA - ->YP_009337841.1 putative protease [Isaria javanica chrysovirus 1] -MSEVKRVVDYMKGLPSASEHAHSYATEFTERAARTAVMVIDGAEEEKKSIPMGEKDIIGRLNCNAKFTKS -GMVDNLNLGVGVSLGLNGRVRREKKVAVDFRGGGEWHLEPAYSRALYSAKESTVHLIDEEQRRLIMSQCP -TLAGSDANGIAVLSVHERISIPHDMRHVMMKMYLILCDYNLATVSKSTEMEVADDLTYPTQAWISPLDRL -AAISSHDIVVDVDSFTPEEAGLLALASAAYPSVWYCGDNMYTKCNMVADDLLLISSSEVQLDRSLSWGSP -DRLYHTMWSVAAKLGAVQALLSSIEAMRGKCRLMANMLPHIEGNTISSMIPKSYSQVCALNSDSSNHMVT -NMPGYLATSMSLVSDILYGDCFEAVASCVAESLGAMGRLVSSGTPRTSSTINGLMRDYGLQHTSARENIL -LRNWEIMAGRPICWEFGVYLKEYLLGLATNIINGFDIQMPQLLTTVPSLMANNTAYGMCRGWRGPKHLLN -SSKIEVAEASDQLCSIAWLVGDRSVRPPVFFNRSGKRPNHLGPDEARLGAEGEGDLRLGDTQLWLVDSVG -GRVDENEETSRVLFKTEFAGTRCAMVYDYTAEAWVKQVSKPAGGGRESMAGEVPLPKENSSPGDYKTSSS -PELSGVHWGALQKRSNASVLEQLKTISRGNALAPSHKPRYTRVGMDGKAEVGTYHVDGEPRTGVVINNLK -PTAGCEMKVEKIDGDGGQSSVHAVLEDLQAHGLLSSGDAARGHSLFRSSAFADNLKGEVCAGALTDRWKM -ALEVYDPEDGTLRTVSGDADAHTVRLVRQGSELHAAIFSEGTGSKVTKIEPIVVGDAEKEQVAAFGNLFS -PTI - ->YP_001531161.1 putative protease [Amasya cherry disease associated chrysovirus] -MSAFTSVFDHMVTASNKLNHEALWRASLKGEGAVQEDTRDLFVEEISVISQTEKNVLSGCDMPAKYEIKG -MAENGLKLEVTAVAHGDAVGKFDITHSKTEKLTYASEVEWALRPRGCRLNYPRANDSMLSRNKRVLGELQ -AACVNLSESEQSDLGITLAAEKLYSTSDMRHILTKMYLMLCDLTLADTSASTRWLYAMGASKFEMPKLTG -GQLIGAIVDYDVVVDKAGFSNEELTMLWLGAMEYPSVKFCRDNVYNTIRMEADSVAVVSKNQFVLERHMN -WDPETMYRAIAGICCKLDCIGDWFDVVKNMRGLPFLMADVVEKTGRTTFNSAIPKSTNYERALGGTALWK -HVIRRNPGYIATSIGIVADAMMGEVLKMATTMVVEQLGGYGTLMIPKKAPSSFEYDSLMRDYGLGMDDPS -LNALLLAWSNVRQQDVRIAFGRTLIEYFKVTTTRMRRGQEKVMPQLTFDMSYLPIDMCSWTLIKGVPHDG -FQLGMKNSERDDLERARAALLWGMGVREERPHVFANKDKDGEDMITSAERKVMSGTTGVIKLSWMTYALS -DSFKPRQDVAEGEASSLIGVAIKGTRCEVFYKGGSEWDVRTLVEPGVQAGVAQTMGFSVAPVMVKEPLGF -DGIKAITAGGSAVIRGKKPVADIFKNDRRDSATSTVKLKVSKAQMKKPAWIDYDGNDVGEKKHYCDKVAE -KSEKVQQGDTIKLMRVSTPGDGKCGLHAIAQSLNLKGAVKQDDIKDAFDNLDKLAQGKTWHEDNVLGALC -EQIGFGLRLYDVNGENVVLHRYEKDMDAVVDVMRENGHYETVIPNTDKGYRYAVSAVFDLETEPERMHGV -DAPITAIKSITAASDDGKGDIMKRATSDWEKPQDWDGKPGSHGW - ->YP_392485.1 hypothetical protein [Penicillium chrysogenum virus] -MAAEARVIDYMKGLPQSYQHRETYFEQFLEQNSERLVEEIDGPVVEKGLISDGEKEIIRHLNVQDKFTIN -GFRKSVKICHMVSLGATRQTKRTGTTELDFMGAGPWTLYPSSARALYSSKESTVHLVDEAQRRMIMSKCP -TMAGPTSTGTYMAAIHERLSTPSDLRHLFTKMVLYLYDYTLAVVSKDNTMHTADDISFDLRPHLPSDALL -ATVINHDMVIDGDLFTPEQISLLCLAGQQYPSVWYAGEGNIYNSCNMVADDLVVVSSGRLTTDSAFTWGS -PDKLYNMMWTIAQKLNGVSCLMYALESMRGKCKMMSDIVAKTDCREVNAMIPRSYCMSTAFGQIREKQIV -VKMPGYFSTSIGMLSDLMYGMTFKAVASCVAETLGAMGTIVSSSTPRTNPTINGLMRDYGLQHTNAWDNF -MLRNFEMVTRRPTQWDIGQHMKEYALALAEHVMLGYDIEMPSILLTIPALTAVNTAYGLTRGWYGGGSTL -DMDKKQRKESTDALCAVGWMCGLRQCRPQVFRNRAGKKQVMVNAAERKLRAEAGDDCRIRDVEFWLEDTP -GGRVDENEESAPNLYKTEFSGTKCAMVFNYEMGMWIEARQMDYDRLKRETFSGDLTKKERYTMSKVSAMP -IHWGPPPNHKAKLEASLEHMKSISRGNAIVPTREPKHVRINSQSMAVVPKYVKDGVEEEKYVHYERPAIE -EGDTIRFSEIDVPGDGSCGIHAMVKDLTVHGRLSPHEAAKATELFSTDTASKKFHDAAELAAQCQLWGMG -MDLIDKGSNRVTRYGPEDSEYSITIIRDGGHFRAGLIGEGANEMTVEHLEQQTRAPEEFVRDVKSLGSLF -GGSPILQ - ->YP_052860.1 Hv145SV-protein 3 [Helminthosporium victoriae 145S virus] -MSNFESVFTYMKDASSNLAHERIFNTTLLKNEGSVELKDLVSSEWAALKTTEKNILSGMSCPMKFTRKGM -VKDGINMDVTAVGRGATKVGQTELVHSAHKKLTFDSDTAWVLAARKAKLVYSQRDSPDLASDSGLLSRIT -SSCANLSSVEMRSITITHALERITQKPDMKHMMMKMYLMLMDLTLAATSKSEYRRIARRMPKHLATNVDD -NRRLGAVMAHQVVVDADMLTNDEVELLALSAMQYPSVKFCEDNVYNTIHMMEDDVRILSSNSRSHISKIE -WVPDSLHRTIVSLACKLDVVDDWFEVVSIMRGRPHLMREVIRRTSDRTVKMDVCLSNSHNTAFGTGGRIR -AIPKNFPGYLSTSVSLIADALLGHSMHASTSCMIEKLGGYGELMCQGNPATDSMYQSILREYGITTMSIE -TNALLMCWCQVMETHKFGWSMPIGWKEYVVSLTSEMKAGDDVEIPQVLFDCAYIMADDSAWGITRGWRGV -GNCTISDIANDRNLRDENIKQTAAFLWQMGVRKTRPKVYSNTMKVGEDSLSGAEYKFLSGSEGHYQMTWL -RYTIADGLGGRVDRTEEEATGLVDTYFRGVRCSVMYSETDHWVVRTLKGPELPGVSESMGITEEGIFVPP -KVDSSKDGEDMIAPVSYGGEKITKHKNVFKKLTAVYKPSDIRLREAKDKGNSAKPEKDKVLSYDVVKVPG -DGSCGVHAIVEGMHANGMMTTFDKERVFKAVSNRLDEKSFHEASDLARVVMEMGCGLRVIDTSSRVVHEF -ASDEDVVINLVRDGMHFDAATIKEEGGAYQMVVAGVNRGYASSAEGLAGLDEVRKVMPGMTSRDTSAEY - diff --git a/seq/clusters_seq/cluster_451 b/seq/clusters_seq/cluster_451 deleted file mode 100644 index 37a4d34..0000000 --- a/seq/clusters_seq/cluster_451 +++ /dev/null @@ -1,73 +0,0 @@ ->YP_010086187.1 nucleocapsid protein [Anopheles triannulatus orthophasmavirus] -MSSQARKGTSVQDFLSATNGVEGEFNVRGVTPEALGKHDGAAEYNILGISPAEVASLHSGVQFNFRELED -SFKTLCTDYGMDLSIDTKSYALEFAVKMIYEVGPASRQVKKGQADKRWKFRFVDTTKEKAEKYTAVFVAS -YKTSEPPMTTPTVVNGQMILTMKQASLLAVRVFCMAIEVCAANGIFLMTPLCGAIFSKNSLEDLAMILTR -SNEDKAIGRTLKILTCSCQSGGHYLEESDATVAVIAAVCATRTMNDKKVRSQIIVKTYKQYASMKKPFND -DLYMLLGVHATGGVPIEHSSKALIEKYESTHKPMPPMSMYNAAYSSGVRTVAAMPQVTEEPKPSTSKNQG -N - ->YP_009305133.1 nucleocapsid protein [Wuhan mosquito virus 1] -MASKGTDVQTFLEHHDAKDSAGEYEVSGQTPMSLLKHDEAKVYNILGMTPADVGATHAGMQFDFGELEAE -FARVCVDYRSGLNKTTRSYALEFAVKIIYEVGPESRQVKKGQMDKRWKFRFAMPGKKFSCVYVASYKNNE -PPIAQPRVDKNMLILTMKQASLLAQVTFGEAIKICYTDEQVLMSPLCGAIFSKRDIEEINRVCGRADNPD -SVSSTLRTLSQSCQSGGHYLEHSDCTVAVVAAVCATRNMTDQKTRNQIITKTYKQYIGANKQFSMEMYEA -LCQFATGGVPADHSAKRLVEIFEQVNKPMSVFALHRATAQTAVTTRTDNVQMPGTSKGTGSKNVK - ->YP_009304998.1 nucleocapsid protein [Wuchang Cockroach Virus 1] -MSEYKQSTMEDAFRVLSIDNTDVNRQVFELSGAKPTELTSHSVAKTFTILGMTPDEFIKLHGGAQFDFDE -IIMEFTKRCRDFMDALEMHEKNRSVEFASIIIYNIGPESRRIKKKDGDKTWIFQFPYNDNGIKVETVFVS -TFKASQPKTNSKKLILTVKQASLLAIITLQKINNLLGQTDNPQYMLTPLAGAVFSKDDIPEISAIVKMSQ -ATVVSIVNSSCQSGGQYLADARCDVAAIAGIVATRGIKDDQIKAGIINKTVKQYLNRGKPFDFEIFNTFA -KYAHGGVPMEYSASNLMKIFKETQDINKRERMPKNSEIVRSAGQTMLSSHVDVPSLAITQKPPVTPSASG -HSRTTKKKETRTPSPASSDASGQDAYTSRLLPLQLDNDGFLKLELLVNGNRFADGHHVDEGHVLNSENGH -SRACPCKKPKAPQSGH - ->YP_009666983.1 nucleoprotein [Ganda bee virus] -MTTRATTASYSVSGSKPAVLMAHTVAKEYQIVGVTAKEFLEKHTGMDFNLVELRKIFKTHCDDYMAELQE -DVKSKAVEFCSKVIYEVGPESRKVKKGTGDKVWKFIFKVGEVSHYVFIATYKQENAEFKPENTQNTMILS -LKQAALLVHETLARLVVYGYRKNKILLTPLAGACFCKEDLDAFAEELGVNVPTLLIAINQSTQGGGHYLS -NSDIDIAICSAYSATKNVKDENLKKSIVVKMIKQYMTQGHRPDKDRMRIISRYATGGVPSDFSYESISEL -ITTEQRTYAALKLKRMEQETVARAVVDVPGRE - ->YP_009666960.1 nucleocapsid, partial [Seattle Prectang virus] -MDKTFSMRDALTSLKIEENDATRKEIEVSGLIPGSVINSDIKKDIIILGLTPESFVKEYAAVEFNFTDLC -KTFATHCKDFLEDLSMTTQSQSLEFSAKILFEVGPYIRNEKKSKGDKAIRFRFPYIKDGKELSCHSVIVS -TFRENVYNTKDNTDKVMVITFKQAGMLAMITFNKMINLAWNNGGSVLMTPLCGAVYSRESINDMAIELKM -SENNVIMMINCSTTTGGQHLDESDLACAVVSMIATTKKVASKDIRNMMITKVIKQYSAKHKQYDSAKFAV -LSKYALGGVPQGMDAETLIENFSNIQISEVNLRAKALAIKQAQASVSTDVLLAEKEQQ - ->YP_009664563.1 nucleopasid protein, partial [Shuangao Insect Virus 2] -MNFVIDKAHLTGHIIEQNYSIQGILPGDLATAAGQQIDLEKLTDNFTAQVCDDFKTQITVDKASKALGFA -HKMIYDIGPSSRGKKDKAWTFQFAKADSNETNTCTVLTMKTANPTLNAMANILTVKRATLLCMPVFNQAA -EVVYAGSGKVVFTPLAAATFPTGALAELSRLVGRTPASTISVINASTCSGGHLLEDSDGAIAVVAALSAV -QGKNIELAKGVVSKIVKQYSAANKLSASKLNSISNIAQFASGGVPVGFEYEKLEEMFRTGREQA - ->YP_009664560.1 nucleopasid protein [Shuangao Insect Virus 2] -MEVKYDFKMSNQALQSFTKVGTERLAHIDIKEMQNKQADKLTLEDLTVNFIECCPDFADQLTMGAKSASL -NFAWTMLYNVGPASRARKGDCCWTFEMPNKEGSQIKDGHDFAVVTMKNTDNARLNSNSFMSVRKATFLAS -MKMSEAANLIRESGPNDVKNYLLTPLTAACFDEKTVMSCSGHYGDDVVEIFNMSCSPFGHLHKKSDADIA -IAAAMNAVRNMTDLKVRDSIVGKVIKQYESADKPYDKDHVMALLGVSKGIGCQKFSIDSLINETRELSLN -GPKALRAHKSMLVDSKFNMMIKKILDDKEKKTDNAVAGPSSGASGSK - ->YP_009507890.1 nucleoprotein [Nome phantom orthophasmavirus] -MSGNYKDRYLSDLGDVLKLPKYQGQSEAHNKSVTDRLNSSTVLAGDLANHVKEVDYIVTGMSIDEFVDKY -SGTPLSIEHLTALFSIVCPDSISEPTRTNPYNFIFFLAKMLFEIGPGSRKVLKDVGKDKVWQMRFILNEN -EMEESKRIVDPPILARYNIDKDVLGLPAYTVVVATHKKAPPKNDMPHITKDGLLTLTMKQAGLIACVLLE -KVVKSIEGDDQKIILTPLAGAIFSRDDIVEMATLMNMSVADMTNLINSSCQSGGHYLDNSNAGCAIAAAL -RATQRMPKPKEREALVIKVLSQYMAKDKAFANDEFLMFCKFATGGVPEGLSFDNLLKMAEQTKTKEFTFS -EKMRANKILGEEKMKTKKRRDPVNEKRTGAAHKGSLPPLQDVAGSEFEDDKVSDDFLGEQ - ->YP_009329873.1 putative nucleoprotein [Hubei odonate virus 9] -MEGVYKNRDAAVETAKVKPGEIASHTIDKEYMLEGLTPGEAIDLKSSSQFDMNALIDKFRNVCADYQYKL -DKEKASFALDFAVKMLYEVGPSSRSKDKADHDKVSRFVFPITYKDDDDKYDFSASSLFVCTFKKSSAKSI -EKFDTPGKMIATIKQANLLAMHKMNQLTDIACSLPDPRFPIVPLAGAVFSKDDIVAMSNDLREPTGTILK -EINSSSISGGHFLEESTLETGAICAIAATKNIRQESVRHSIIGKIVKQYHAAGKQFNPHRFQLISKYAHG -GVPAEMNPASLIQTYDSVRMSAVQIAAVKKADVIGATGTKPGHSKEGNK - ->YP_009329888.1 putative nucleoprotein [Hubei odonate virus 8] -MPKEEDYNLAVQTPSSLLDGFSVDTTYRISGVTPYEAIEERSGVSFDISDLIKRFSVVCPDFLDSLVIDQ -TNLSLDFAMKMIYEVGPASRSVKKGMPDKITKFIFPYKDTFTSAFVCTFKSNAVPASKIGNKIILTMKQA -NLLALMKMNELTDISVAMPSPKYPLTPLAGAVFSKDDIPTLANRLNMPVNVVISAINSSSASGGHYISHS -QSEFAVASSIAVTRNVTSKPLRDSIIGKVVKQYNSIGKTFDKEKFRTICEFAHGGISHDLNPSTLIEVYE -GARMSMVNASKLALATQMASTITTPTFRQRTPPTGITDLSTSRSPATSRRPTTPK - diff --git a/seq/clusters_seq/cluster_452 b/seq/clusters_seq/cluster_452 deleted file mode 100644 index b99b0f3..0000000 --- a/seq/clusters_seq/cluster_452 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009553481.1 MP1 [Adonis mosaic virus] -MADKPKLNLKHDFDSDRAVGNRGETKLRRSVAKDAVRKPESDSNNGGNWTVVAHEVTINIAFNF - ->YP_459962.1 p7 [Angelonia flower break virus] -MDIEIKEIDNRSGKTVVNRGKTKAKKSIAKDAIRKPQSDSSIGGNWVVVADTVNFEFTINL - ->YP_009032647.1 movement protein 1 [Carnation mottle virus] -MDIESEVPVVGKQMLAGNRGKQKTRRSVAKDAIRKPASDSTNGGNWVNVADKIEVHIHFNF - ->YP_004191791.1 p7 [Honeysuckle ringspot virus] -MDVQPVEAKDDTRIQSDVERGGNRGKTKGRISIAKDAINKRASDGSIGGTYYIFADKVENTVNFNFG - ->NP_945125.1 p7 protein [Pelargonium flower break virus] -MASKVIVPDLGSDNEIDVSVGGRGNRGKQKGKISVAKDAVSKRTSDGGNGGSWVVVAETVSVNIHFNF - ->NP_620722.1 p8 movement protein [Turnip crinkle virus] -MDPERIPYNSLSDSDATGKRKKGGEKSAKKRLVASHAASSVLNKKRNEGSASHGGTWVIVADKVEVSINF -NF - ->NP_619674.1 putative movement protein P8 [Hibiscus chlorotic ringspot virus] -MASPQSNDSFIELNPHNTGDSKGAGKGNRQAKKEVALQAVHKANKHDEAVSGGSFVFVADKVEVTININF - ->NP_044386.1 SCVP6 [Saguaro cactus virus] -MDVPVDTELMVKPAGKRGKTKAKVDIAKDAVRKQAPSTTNGGNWVVVADKVEVHIAFNF - ->NP_041885.1 p8 protein [Cardamine chlorotic fleck virus] -MDNQSESDNSVKRRSGKKVNKQVRGSEKSATKRSVASHAARSFSQRPSGDSAGGNWVLVADKIEVSISFN -F - ->sp|P0C777.1|MP1_CARMS RecName: Full=Double gene block protein 1; Short=DGBp1; AltName: Full=Movement protein P7 -MDIEPEVPVVEKQMLAGNRGKQKTRRSVAKDAIRKPASDSTNGGNWVNVADKIEVHIHFNF - diff --git a/seq/clusters_seq/cluster_453 b/seq/clusters_seq/cluster_453 deleted file mode 100644 index 79d947c..0000000 --- a/seq/clusters_seq/cluster_453 +++ /dev/null @@ -1,61 +0,0 @@ ->YP_009337738.1 hypothetical protein 3 [Hubei tombus-like virus 6] -MAVKGEKRVIKEGKVFVEQRYNGKRWVSESSWVSGQQPSKEGGLTKSVARKIRGGVSRAGGFVTAPVSGA -MITRPTVPRFGMRGDSTIVSNSELVLNLTPIALAFGVQSLPLIAAQPAWLSTIADNYSKWRWISMRLIYS -PKCPTTTSGTVAMCLSYDRNDAPPGSRVQLSQTYKAINFPPYAGYDGAAILNTDVTPTSAIYLDVDVTRF -DKNWYSTIGTVAFAALTAFDQNQFCPATVHIGSDGGPAVAVPPGDLFFKYVIELIEPINPTMNV - ->YP_009246412.1 coat protein [Potato necrosis virus] -MPKRGTYSLSDTLQRKSKKQKESEYNAFQREKMDRLVSTIAPKNGGSGMMFRTVTAPVTGSVVYSRPRVP -NIRTSNMSTVVCNTELVANISTSALGAFSFTAQPLIPSFGAWLANIGDLYSKFRWISCSVIYIPKCPTST -QGSVCMAIVYDAQDTTPTSRLQLSQCYQSITFPPYAGYGGASALNSRSSGGESLVSTLDVSRVDKKWYST -IGNAAFTSLTSIDKNQFCPATALIASDGGPATATAVGDVFMRYEIEFIEPINPTLNV - ->YP_002308463.1 coat protein [Poinsettia latent virus] -MAKKGVNKQARTNSKLDQLVTSLERLTVGSMQRKSNRQRPKSRKTSGRVVTAPVSSAALIRYKAPSLNGN -SMDSVRLASKSLVIEAVKVGIEYQVSVVPLVPCIQHTWLSGVACYWSKYRWRSCRLVYIPYCSSSFTGYV -SMGLSYDYADAIPSDETEMSALKGYTTTSAWAGSEGIKMLSYPTGAVPSGAVVLSLDCASMSKPWYPYIS -AVAATNLGEKQPELLNQYTPARAFIGTGGGMQGVNGLTAGRVFMVYDIELIEPVSPAMQENLSSTGRSKI -DQSISPLTGADFEIVRKVSAISQIQ - ->YP_459922.1 capsid protein [Maize necrotic streak virus] -MTKAKGNDRISRLEVLVERMTAIAPGKTKRKNRKAKPARSSVVTAPVVGSAIIRSRVPKVLNSREGVVVS -NTERFSILTTAVGVATFSRIDITPSNVLWLNGVAINYSKYRWISVQMYYIPIVPTTQTGLMAMGFVYDVN -DSMTGTTVGMVQQMYGAVSGPIWAGYEGGSGLNTPGTKVPTGALCITLDTGRLDKSYYKYATVAQIGAMS -GPEAAMYVPASVVWATDSAAVAQGGAGQIMAKYTVELLEPIPATLND - ->YP_224020.1 coat protein [Olive mild mosaic virus] -MPKRGRVGLAESFQGKTKQQKRAEYEAVKREQLERAFANNSKVANPRSSGLSFRPLVAPIAGSVVYSRPR -VPQIRTNQMSTIVVNTELVANITLAAAGAFSFTAQPLIPSFGSWLANIADLYSKWRWIRCSVIYIPKCPT -TTQGSVVMAIVYDAQDTVPTTRTQVSQCYQSITFPPYAGYGGASALNSNSSGGESLVSTLDTNRVDKKWY -STIGNAAFTALTSIDKNQFCPATALIAGDGGPVAATAVGDVFMRYEIEFIEPINPTINV - ->NP_758815.2 coat protein [Beet black scorch virus] -MAPKRNKGGKKSRMSDETVRAPAAGGVIQRTPGIPPRIRSTTIGTRVTNTELLAGVNVAAAGAFSVVGAG -LFPSNLGWLNGIASNYSKFRWLAIKLIYIPIVPTTTAGAMTMALSYDPADATPTSFQQVQQMYNSITAPV -WAGFDGATVQLLGERPTTGAVCIDVDVNRFGFTWYRYATLAAITALTANDRNLYIPSVCNVATSGGTAAT -NVGNLMIKYSIELIEPIPAAIN - ->NP_608316.1 29 kDa coat protein [Tobacco necrosis virus D] -MPKRGRVGLAESFQSKSKKQKEAEYNAFQREKMERALVNNATAARKGSGMSFRPLTVPVAGSVIYSRPRV -PQVRTNQMSTFVVNTELVANITLAAAGAFSFTTQPLIPSFGSWLANIADLYSKWRWISCSVVYIPKCPTS -TQGSVVMAIVYDAQDTVPTTRTQVSQCYQSITFPPYAGYGGASALNHKGSSGESLVSTLDTNRVDKKWYS -TIGNAAFTALTSIDKNQFCPATAIIAGDGGPVAATAVGDIFMRYEIEFIEPVNPTINI - ->NP_056828.1 coat protein [Tobacco necrosis virus A] -MAGKKNNNNGQYIILRTPEQQVEIDQRNARRAQMGRMKKARQPVQRYLQQHGLRNGLSGRGGYIVAPTSG -GVVTRPIVPKFSNRGDSTIVRNTEILNNQILAALGAFNTTNSALIAAAPSWLASIADLYSKYRWLSCEII -YIPKCPTTTSGSIAMAFTYDRNDAAPTARAQLSQSYKAINFPPYAGYDGAAYLNSNQGAGSAIAVQLDVT -KLDKPWYPTISSAGFGALSVLDQNQFCPASLVVASDGGPATATPAGDLFIKYVIEFIEPINPTMNV - ->NP_043911.1 capsid protein [Olive latent virus 1] -MVNFYWDSRIQRWFYESGPQRVRTYIKRISPHQMASLPARKTKRSPPNRGNLQVLPVIAPVAGGVISTEG -HVPRITTTNEQTVVRNTEIISAINSAALGAIFGNYVTVIPSNLSWLAGLSDLYSKYRWRKLRFIYLPVCP -TSTQGNVSMSLSFDRIDTQPTSITQMQQGYRAITFPPYAGYDGAMALASFGNIPGMVVVDVDCARMDKLW -YPNVTLATFLAMAPTSRTDTCGVTLFTASDQGPAAATNFGQVFCQYEIEFIEPVNPTVNL - ->sp|P27210.1|CAPSD_TNVD RecName: Full=Capsid protein; AltName: Full=p30 -MPKRGRVGLAESFQSKTKKQKENEYNAFQREKMERALANNARAAPKSSGMTFRPLTVPVAGSVIYSRPRV -PQVRTNQMSTFVVNTELVANITLAAAGAFSFTTQPLIPSFGSWLANIADLYSKWRWISCSVVYIPKCPTS -TQGSVVMAIVYDAQDTVPTTRTQVSQCYQSITFPPYAGYGGASALNHKGSGGESLVSTLDTNRVDKRWYS -TIGNAAFTALTSIDKNQFCPATAIIAGDGGPAAATAVGDIFMRYDIEFIEPVNPSINV - diff --git a/seq/clusters_seq/cluster_454 b/seq/clusters_seq/cluster_454 deleted file mode 100644 index 2bb754e..0000000 --- a/seq/clusters_seq/cluster_454 +++ /dev/null @@ -1,137 +0,0 @@ ->YP_009342440.1 RNA-dependent RNA polymerase [Wuhan insect virus 18] -MKPPRPTEVHKDFRLPVLLRACRVGGTWSRDVSRYLVPALQDGVGVRPGEGGNPQTRPRKPAKSVDLERT -RRYDLTVRWRKEFRSRGRLVEGAWAAVHAALVAAHPDLGRADMSTRAGRDRIWAWRRLAVWMVRWIDRDP -RDMVRGWKQLAATFREASIMQKPYDWAAAPAGFPKRLRPVLAGVRLTPPVLDQLSYIGRALPQGDAWVQR -KALDDHRECLSSAPRPLANHVLAAARDFARSWASRHVRPSGRISHPKVLESATIQEPRRSGGVAAHLCKQ -GFDLPPFEGLTDELRDQIRAMGESAPSDCDLEAIGRSTAFRDWALGQLCCPDASPPRSRVEAIPERGFKA -RVVTVPDLPESILGSALRCYLLPALRRTPECADVLSGKEELAAMRIASSRRPIEGDWIVSSDLTAASDTL -SFELLQALVDGLEESGTLPPWAIRALKVLVGPQELVYPDGSVLVTRRGTLMGLGHTWSILSLSHLFWLDW -AHRSVDPRVADVVRRTAAVCGDDLVVRAPLKWVQSYHQIAEASGARFSTGKHFVAKRRYVFLERIWSLKS -SLQRVRLPRERTRRAGRWVREFPPLGARPVKVRPTVVRSIPSRSVPSTAIPLAGLTAGEVDCHFGPVRNL -VLPNWVRLGMAVETLLHSPEAARKVRKVVRALYPGLPRWMRRHGVQPYLPRFLGGGGLLPPGRNVTRIGR -LPRPVAKAVTTWVYGRSPRENLSLAKIYLPTTRRITNYAVGEQHTAVRFQQVSAVLCRAGKKPFDGHGRR -LGTLSEAPEAAALAIARELSMVLLPEPSEERAYRRLLRPSQVGQTFRRLVLRANRRWPGSKPICPRAPRR -ALLARCKAVEEGFVWWANPRLLTQFGFGGWGLEPAAQRVVKDALGWSTFRPTPGRGTH - ->YP_009337166.1 RNA-dependent RNA polymerase [Wenling narna-like virus 7] -MPRNKARHRWVCLYELTLSVATLLPARPGTGPYLGGTRPNGPDTGRFLPRFPRHPNIRCPKERGNAATGK -TTNGNVNGILSKVLRRRPLGNQRWTRLEEAWRTILAAIAACGFVRLDSSRRAHREALRGLSRLSTWIVKT -AALSGTEEVLRELKTWSARLRAHVVNSLPPHKRRRGFARYFQGVLRSLIDKDSRALQMSYIGRALPVGSF -RETEGAIRKFREVTQVPFETHPDLLDSIRDWGAHWAARFLDTPAMPPLWRSSSGCVDFSRLQGGLAEAAV -RAADFAAAEVESREDWDDLRNTAAEVPEMTDCDVDIAVREAQLRDVALTVAAHLPDLPPVEVCTVPERGM -KCRIVTKAPWCLIHIGHFLRSWLFGGLRKDRRVQGVLAGDHAGALRGQTERVYSQKPTEEENICLSADLT -AATDLFPQDLVAALVDGLLSGARKPPTAEIQEVFRKLTGPLNARLPDGDGFAIRRGIMMGFPTTWTLLNL -VNLFWSETAWSASGSLPFQDVALSAQPAASPRTIVCGDDLASVWPPKVADRYEEVAEACGAKFSVGKHYR -SRDYILFTEEIFKVSWEKQEYSLMDSAKPRRTTLADFFPVPRAPRKSTMRKRYRVQAKLAPVRCVPLRGL -VRPLHAPKDRRLRPSWAALPAAVEAAMDLGAPVAVRRVLRVLHPGIWCWARKRGFSPTLPLVVGGYGLPP -LRGSVRRVSLPKWLRYGLAAWLLNSKWKDLEGPSRCWEGVCRPVSWQTMAREHADVRIASSTFAVRKGRT -PPPGFHLLGGLEAIQNLSVKFEGELCVLLGTEEGSLKRQFGLAPRRVANSLRKFFARMVSDHPSRRPFPS -SLGRTALVQRWRSRAEERALYSTPRSAVEGLVLSLPVRAKRLLAEALGWV - ->YP_009333245.1 RNA-dependent RNA polymerase [Beihai narna-like virus 24] -MEAVPVTSRRRCGFTKYFRGSLRSIIDRDCRALQFSYVGRALPEASPGQCDAALTKYREELSQPATTPDS -ILDSAEEWARRWASRFLSGPVVPPTWLSGGACKEVPRAKGGLGTRSVDVARRATDAICEPSEPEWESLRE -RLNAVEGLTDCDVDIVCRERLVSNQALVELSELPRPIAVDAMALPERGGKVRIVTKCPWALVYLGHFLRV -WLLEGLRRDERTKSVLDGDHAGSVGGLVGGAGVRLLEHLAVSADLTAASDLLPHDLCQAIVRGVLRGSRT -RPAPHLDEVWADLIGPLTIQMPDGSEFVNQRGIMMGLPTTWTILSLVHLYWAEWAWASTVPRHISLSGLP -SAAPRTAICGDDLAAVWPTSVVQRYESIVKSCGGQFSAGKHYKSRTYLMFTEEAFRLRVEMRDYDTRRVD -GRRPGEATLADFLPSWMVEEPSRDSRWCTGVDHLPLVPLRGLVRPLHLPKDRQPLPVWVAVPHCVEAACT -QSGDAGAVRRILRVLHPGIHRWARSRGFAVNAPTSLGGYGLPPVRGDPKRARLPKWFRWGISSLLRATPW -KDLINPARAWAPVMRDIPHRDMGADHASEKLKVGAVAARKGRVPFMGRGADLGYDAEDRLTVRLSNELLF -ILDRDTLPSSFFLQGPRKVSGAVRKMFKQHLRRFPIPEGSVVASETPMASLRRRWEEVRTARSWWSSPRG -AAEGFVLGISSSAKRAVASALGWASMEPAHSGGPVSD - ->YP_009272902.1 RNA-dependent RNA polymerase [Fusarium poae narnavirus 1] -MASQFCDSIARRCGEVIRITLHRSNDHTTSPPHGGPRLEGNLETFPPAKRDKHAKRVMQAFYAAYIAMGY -HCHLRDRSLTRFFEKLYIRAWHEGLDRVCPDIKKQVTLLRSALIAETGVSPDVLGKLLPRSWANLAHPRK -LFQLTCLGRALPRPTQKVIESSKREALDGFTSPASVTTDINVLHELVPMALNLRRYSAKADTTIFRGSSS -TEQSTLGPVFGTSATLFNSRKEGGRAGIIHDACRSRPRQVGAIVALKRQAAIRKTAAPLRFDIRSLKSEP -LIGEDSRRVLNDVRHRFPNNLGESEIAVVPELGFKARVVTKSHPITVVAGHQLRRRLYPTLYQSRVFSRA -LGDKPKALRFARRSGAVFFSADLSRATDGLSHHTVGVFCRNAEIDPDIIFRNMSVDGHALKRGIFMGLPM -SWTILSYIHRAVCDSVDPIQNYYLKGDDLVAHWTARQISLYRERVASVGMPLNESKTFVGPRKAVFCEGY -YESSKASFNKKRMRTEIWLVRQPSISLRRFFPDSEAAALRLSEGLDEVDYNLRSQYNRLVYCFYPNYIRI -SRKIRLNPFLPPQLGGSGLLIPDLNSQVHSVFDRMRLNSIFGGVKRFNASIPVGGSSSNMKQVNILLSRV -RMVPRGSGLTCSHFDKWLEFATSAAAFRDAKIGIFPKDPSDHTFFAEAKRISRDRRRGVDFPIDYRTVRS -VCRSLEPLLSTVPLRHTCE - ->NP_660177.1 RNA-dependent RNA polymerase [Saccharomyces 23S RNA narnavirus] -MHHKVNVKTQREVHFPMDLLQACGASAPRPVARVSRATDLDRRYRCVLSLPEERARSVGCKWSSTRAALR -RGLEELGSREFRRRLRLADDCWRAICAAVCTGRKFPSFSVTDRPARARLAKVYRMGRRLLVGVVCRGESV -VSDLKQECADLRRVIFEGSTRIPSSSLWGLVGVLGWTSPERAMQLTFIGRALPYGSPDVERRALASHAAT -LSIPAECHPNYLVAAEQFAKSWADDNLPRKFRIYPIAVQESSCMEYSRAQGGLLQSFRKGFVGYDPAAPS -ADPDDLELAKERGFSRIRASWYSTFRYRGELKSTNQSLEARVAVVPERGFKARIVTTHSASRVTFGHQFR -RYLLQGIRRHPALVDVIGGDHRRAVETMDGDFGLLRPDGRLLSADLTSASDRIPHDLVKAILRGIFSDPD -RRPPGTSLADVFDLVLGPYHLHYPDGSEVTVRQGILMGLPTTWPLLCLIHLFWVELSDWAPARPNHSRGF -VLGESFRICGDDLIAWWRPERIALYNQIAVDCGAQFSAGKHLESKTWGIFTEKVFTVKPVKMKVRVRSEP -SLKGYVFSRSSAFSCRMGGKGITGIRAARLYTIGAMPRWSRRIRDVYPGSLEHRTASQRYGEPVTVYRFG -RWSSAIPLRWAVRAPTRTVGNPVQSLPDWFTVGPAASSVAADSNAFGAVSRVLRRMFPGLPRKLASAGIP -PYLPRVFGGGGLVKSTGLTTKIGAVASRRWMSRIGHDLYRSRERKSTLGRVWTLSTSPAYAASLHEVEKF -MDRPDIILTRKCRNPMLKHARELGLFEEVFESRVGGGILWASLNGKALVESHSPSILQVSRNLRRSLACP -SGGFLRPSAPIGKLVQRHTLPRGTVWFLESSATDSARQGGMGLPPPPPPPLGGGGMAGPPPPPFMGLRPE -SSVPTSVPFTPSMFSERLAALESLFGRPPPS - ->NP_660178.1 RNA-dependent RNA polymerase [Saccharomyces 20S RNA narnavirus] -MKEPVDCRLSTPAGFSGTVPPPGRTKAARPGTIPVRRSRGSASALPGKIYGWSRRQRDRFAMLLSSFDAA -LAAYSGVVVSRGTRSLPPSLRLFRAMTRKWLSVTARGNGVEFAIASAKEFSAACRAGWISGTVPDHFFMK -WLPEPVRRKSGLWAQLSFIGRSLPEGGDRHEIEALANHKAALSSSFEVPADVLTSLRNYSEDWARRHLAA -DPDPSLLCEPCTGNSATFERTRREGGFAQSITDLVSSSPTDNLPPLESMPFGPTQGQALPVHVLEVSLSR -YHNGSDPKGRVSVVRERGHKVRVVSAMETHELVLGHAARRRLFKGLRRERRLRDTLKGDFEATTKAFVGC -AGTVISSDMKSASDLIPLSVASAIVDGLEASGRLLPVEIAGLRACTGPQHLVYPDGSEITTRRGILMGLP -TTWAILNLMHLWCWDSADRQYRLEGHPFRATVRSDCRVCGDDLIGVGPDSLLRSYDRNLGLVGMILSPGK -HFRSNRRGVFLERLLEFQTRKTVYEHAVIYRKVGHRRVPVDRSHIPVVTRVTVLNTIPLKGLVRASVLGR -DDPPVWWAAAVAESSLLSDYPRKKIFAAARTLRPGLSRQFRRLGIPPFLPRELGGAGLVGPSDRVDAPAF -HRKAISSLVWGSDATAAYSFIRMWQGFEGHPWKTAASQETDTWFADYKVTRPGKMYPDRYGFLDGESLRT -KSTMLNSAVYETFLGPDPDATHYPSLRIVASRLAKVRKDLVNRWPSVKPVGKDLGTILEAFEESKLCTLW -VTPYDASGYFDDSLLLMDESVYQRRFRQLVIAGLMREGRMGDLLFPNWLPPSTVVSGFP - ->APG77107.1 RNA-dependent RNA polymerase, partial [Changjiang narna-like virus 5] -MLSNACRKEVPLTSDSKLISPPKSSLTLASKGKREQTAMPPILSSLKTLVAVGSTVLTRVSTVNYLIGLK -NLLNKNTKRISGQNKNFFSSCALTNIRLVRLNWSSIRTCFELVYGTIKNNKIWSPSNRHIIRLMVSRIMQ -LINNFELNMKKLAEVTRNKSMDMVAQGLSNRGKSGEHLFLASTIARGFYGVKKDTAQIRAELTAALERLT -GPKKVISENLLDNLDRFVDKIMKPFKDRVPHGNLPTPNNRACFEHERKSGGALVAWKLSKPVKVTGDKWF -DAYQEEQYSDPLRSCFEENLQRAKYENSAELKAIPCGNKIRCATLQNASVAYVARCLNAVLMPLTKRFEW -TRQALRGKNVVKIYNKDTTQKLMVYSADFAKSTDYMSVELVKRVLLRIGYNYPGKPEWYNDAVNAIIKNY -RLTSSVGDGLMNCGAPMGLGPGWTVLCIVNAFAAFMAGAPRRSYAVCGDDLAGLWPENVVEKYEQTIENL -GLLLNKTKSYRSSKHGVFCEKYGTRETTSVRFIFSVGIGQASGCKSLDGKFARLAFTGLNQVIKLPRRYI -NKYIRQLAIRTIYSLQIDRRIAGTFESGGGGGLNKPRGSDLIAYALFGPLPVTHQNKIRDNDITAIRKDL -RDKIESATGLPCQDLITEAIRNQQVLAAKEDPASLHLERKATRRKVLLKTLNHRQQVAKRLIKKWGGVLN -VLDHLDDHSSPYIKTSAPLLKSVKHAVRHKNMTKALALLRNSWMRKISIEDYNDVRRRFCLPETRL ->APG77263.1 RNA-dependent RNA polymerase, partial [Wenling narna-like virus 8] -MVARLVEDRRRDNRSQGAAAKQPASRLGGFMGHRRGDRQRVAALFPWRRRLKGSEWTRLSEAWRVIQAAI -AAAGFLTVGLEDPASREFLRGLCRLAWWMVKTGHYTGVERVLSDLKGFAGKARLWVMEETPPTLRRGSFA -RWFRGIGRSLVDRDERAMQLSFIGRALPAGGPRVVAQNLKTFREVVTAPYETAPHLLSEMEAFAKAWASR -YLQPAEIPPPWMGEGATFERTRTEGGFASQSVEMAGLFDQAYRVDADWDELRIRAAEVAGMTDCDVDIAC -REAQTREVAMGTLSRLRRPIAVEALAIPERGLKARVVTKCPWALVYLGHFLRSWLLQGLRRDPRISAVLE -GEHQRAVLDLLKSETAEVTDSILLSADLTAATDRFPHDLVQACTRGILEGARCPLNSDWTQVFADLTGPL -LVVPPRGEGSDYLTARGIMMGLPTTWCFLNLFNLFWSERAWSLCPRVNPVIHRASLFRPGHHARTPATVI -CGDDLAAVWPHDVCDQYERIAVACGASFSKGKHFRSARYLLFTEEPYEVLVSETERRHGRAVERPQEWTL -ADYLFPSRTRSALEPSGRGSGWHYTGFRRMKSVPLRGLVRPTHKPFDHHTIPPWAALPFAVQASVRASGD -GARVRRILKVLHPGIWAWARNNGFSPTSPTELGGYGLPPVHGRRGEGYLLPPWHRRGISVLLRHTKWKDL -ISPSTAWTLIESGSHWRGMGEEHTRHKLAVSAVACKRGKVPFEGKGSELGTVDCVEQLTTRMARELRMLL -GPERQPRDEFRVAPRQIAVRVRKMFRGLEANKGVPGGRLPSVSLVPASGSVQRLLSEYESRLTERCYFSA -PGPAREGFVLWLPGSARRDLAVALGWTRSSAHADDT ->APG77120.1 hypothetical protein, partial [Hubei narna-like virus 16] -MPPRKSNGRKGGRAPRTCVALEAVLSAGAAAGLTSAEVRTLPIGVLASEDNPDLGALKALSAAYRAHWIA -TGDPLAGQLSFVGRALPPAPASARLAALVQHREDLTSTFRTPPSVLALAREFATRWARRHLARAAVPLEE -ASWPTPSSCYERSASKGGLLRHLLECTSFEPAPPGFDGLPDGPVTQLWVQSARFREYGLRGLERCRSAAG -PPTHRVAVLSERGLKTRVVTVGPAWLQVLGHCVRQRLLRALRSNRGTYAPLAGARDDEIWASLEGGHSEA -VVSTDLTRASDLLPLDLLSAIIDGLQESGKMSTLEVEILRISAGPQRLEYPHGWGTYSSSRGALMGLPTT -WCLLSLVHLFWMDQVRLTSRAGPMRAGHRFSVCGDDALLATTEAGAQRYSALVRLCGGQPSEGKHYVSGG -VRCRGVFLEKLLEFDTEGGRLTGMRRLAAMPVKGVTSVSLPRDFTGALPIQCRSKGLRLLVTLDSVLEDL -GPAGLVPVRNWVRTRASWLGRFAREQALLTPGAPLRQGGYAFGDPNDRTPEEERRFRAISLLPRPSIGAA -ALRSVDPLWRLASRETLAGEDLPLSSGKVVEIGRHPPLSGEGPLLPTQWRQSELDRLAEGYPAVAIQSQE -ERHTSACVALFAALKSLMGPPLEVYTFRVSQLRAAVLKATATGEALRESLGPTA ->APG77168.1 RNA-dependent RNA polymerase, partial [Shahe narna-like virus 4] -MQEVNISTLTRQGVSNDRPLSATEKVDADCMKILHESSGTDPNTAARGAPHPSTEVEGEESRQRGRSKAA -PAPAREGSGADHRSKNPAADNVSVWGPGGRALLSDSLLSSVLSLGPSSSTRLITGLRGVTLAIFTAEQDE -VLARSLAYRTCRHLARRALHEGVEAVLSVMGRWFSSARESFLNGAKNPSLNPVMRRFSPGTRKDRVTCVA -QFSYGKRALPVASDRVAQESLRVHERRLTTPLSTPDPVLADFRRFCRAWAEGHLDPNPLPLGVVGLSQAA -SYTHSVRKGGHMARCREILEEMNASNYCEPPTRPPGLLPQEWWDGWVKERLLTHCRERYSTPGREPPKGT -AVIVYERGLKARIVTKIETEACILGHQARMRLVSAMRKMPELSALSGNHKEFLTAFNGQEGWILSSDLTA -ASDLLPLDLVSAGVEGICDSGRLLPDEELGLRVCTGPFDLEWVRGSPRRSSTGILMGAPPTWCLLSLIHL -FWLEQARRVYGNSGGQLPARIFGDDLVAAFDKRQKLAYERSVTVCHGLLSKGKHSFHDSHGVFLERLFVA -SRHIVEVDHTNRGPLQVMGRLTTRHNKIKSISLLRTLPLRPLTVLQVSVAAGRRHVSKGTLPTPLAVGGV -SDGLMQHGFPPELIRRCQIALWPGLPAFYRKLGIPAFLPTLLGGGGLILPEGWEAPITRFSKLVRASTTA -LVTGNGRLSYVPLARLPPPVLQMALEEADQLLPAHPHRVSHVTPKPWLGHIAWHDMGVWNDFRITAAMVA -QEGYLLQMPMECLGRPLNITVGRWKDQVWKTRLALCKKAWWASVTRARYTIARAVRKCTEWPHVWLPGSP -DPDHPGVYGIPMYIDSKPVMEAKAAIALRQGRPTSR diff --git a/seq/clusters_seq/cluster_455 b/seq/clusters_seq/cluster_455 deleted file mode 100644 index 00ab477..0000000 --- a/seq/clusters_seq/cluster_455 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009055049.1 VP3 [gallivirus A1] -GLVTMPAAGSGSDYSYSAQLKDFHPVTITPPPLPYLEHLPGEVKSFDEIARIPSFFQRVDIEDNQVGAKV -AVIPISGAEILSHTGPLSTAMRAFSQWRGDLILDLVASVSQNCTGRMMVAYTPPGFEVPSSMASVSPSAK -HLWDITSCSSISILIPNCFPGGWCPSLPHQSPQNYIASLLGYVSVWVENPMLDLPQSAEGWSIVAFIRAG -ESFELRGCSPQLYLSETQAPANETAAAMAAAVSRQ - ->YP_009553700.1 VP3 protein [Rhimavirus A] -HFRVRQTPGALAFSNVTPGQEIEFFSVAPQAPPSDWIPGEVFDWLELARTPTLVSDSIEWTQAHATGTLL -HQIPVQPFSGAATMLSIVASNFAQWRGPINMTYMFTGARQHYGKLLISYNPNPFKPPSTMSEAMQGVYTI -WDIGLNSSTKFTIPFLSSLPWRPIQGDVDLNIGYVSVWVYNELMGPSNTTSSAVVLPYISAATEFQMRFA -VCPNITYLHEETQ - ->YP_009167360.1 VP3 [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -HWKIRAVPGGGAFGSVVADQEIPIYGVTPYDPPSEYLAGRVHDWIEFAHRPGIYDIYSWTMADDQGTLIA -QSPIDSLVLSALGIPIAFVTSLFTQWRGPLHLSLLYTGSSQTYGRLLVAYSPPGTSAPTNMQDASRGTTT -IWDINGSSTLDFTIPFISATYWKVNNLSTPSSLLGFLGTVSIFVMGPLTGPANAPPSGAIVAFLSAADGF -GLRGIAMPSLALQ - ->YP_009167349.1 VP3 [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -HWKTRAVPGSGAFGSVVADQEIPIYGVCPFSPPVDYLPGEVTDWIEFCHRPGIFNIYTWTAAEGTGDLIA -QETIDSIALSALGIPIAFVTSMFTQWRGPLHLSLLFTGSAQHYGRLLVAYSPPGTRAPASLEDAARGTST -VWDINGVSTLDFTIPFISATYWKVNNLATPNSLLCQLGTISVYVMQPLTGPSTTSPSASVVAFLSAGDGY -NVRGINMPSLALQ - ->YP_009001372.1 VP3 [Caprine kobuvirus] -HIKMRSLPGANAFGSVVAGQEIPVYAMETYRPPVDYLPAEVHDWLEFAHRPGLFDTITWTMAEDAGYKLA -EVSVDPSVLVNTNTPLSFVVNMYTQWRGEIQLSLLFTGSAQHYGRLAVCFTPPAATSPATLQDALRGTYT -VWDVNSSSTLDFTVPFISQTYWKVTNYTLPTSLLAKLGTVSVWVMNPLSGPSAAPPSALVQFFVSAGESF -NVRGLQSPICTLQ - ->YP_008802664.1 VP3 [Feline sakobuvirus A] -QHWKIRSVPGATTFGSMVAGQEVPLCGVVPTRPPVDYLPGEVKDLMEFAARPSPYSALPWTMADEPGTLI -HSFPVGPLALAGTQTPLSFVVSLFSQWRGSVDINFMFIGCAQHYGRVLISFTPYCQNPPTTIQEAQRGTY -TVWDVNGNPELSFNVPYISTSYWRSTDLLSADKLSATLGYVSVFVCNSLSGPGAAPISASLLSFICAGPD -FEVRGQQNPALQLQ - ->YP_003038636.1 VP3 [Salivirus NG-J1] -QHWKTRAVPGAGTYGSVVAGQEIPLVGYAPAAPPRDYLPGRVHNWLEYAARHSWERNLTWTSADEVGDQL -VSYPIQPEALANTQTNTAFVLSLFSQWRGSLQISLIFTGPAQCYGRLLLAYTPPSANPPTTIDEANNGTY -DVWDVNGDSTYTFTIPFCSQAYWKTVDIGTSSGLVSNNGYFTVFVMNPLVTPGPSPPSATVAAFLHVADD -FDVRLPQCPALGFQ - ->NP_859020.1 VP3 [Aichivirus B] -HWKTRAVPGSGAFGTAVAGQEIPIYAFETFTPPVDYLPAEVHDWLEYAHRPGWADMFNWTTADTQDTRLA -LVPVSPAWLSASGTPISFVLDLFTQWRGELTLSLLFTGSSQHYGRLVVCYSPPSGAPPATITEAMRGTYT -VWDINSSSTLDFTIPFISQSYWKITNISAPDSLLASFGTLTLWVVAPLVAPSAAPPSATIHAFLSASNSF -SVRGMSMPTLALQ - ->NP_740436.1 VP3 [Aichi virus 1] -QHWKTRAVPGAGTFGSAVAGQELPLCGVRAYYPPNAYIPAQVRDWLEFAHRPGLMATVPWTMADEPAERL -GIFPVSPSAIAGTGAPISYVISLFSQWRGELAAHLLFTGSAQHYGRLVVCYTPAAPQPPSTMQEAMRGTY -TVWDVNAASTLEFTIPFISNSYWKTVDVNNPDALLSTTGYVSIWVQNPLVGPHTAPASALVQAFISAGES -FNVRLMQNPALTSQ - ->NP_734445.1 26 kDa coat protein [Parsnip yellow fleck virus] -ATLPSAVGDNFGRLRTSQSEILSTSQILGLLTERAFLGTAKVQQDTGARVIIAEFALHPMSSRNVDGTLL -LSQLAALSAMYAFWRGSLVLTFEINCSASTRGKLIVSVTPKGGVALAGITASHQGYGAEFDLGTSSTRSF -TMPFVSTDEWESIGDDGIMSAFEGVWDCPVANLLVLHPITSIAESTPSVDIRCYLHPGPDFQLRGRRHIG -LRAASRPLSIAQ - diff --git a/seq/clusters_seq/cluster_456 b/seq/clusters_seq/cluster_456 deleted file mode 100644 index 16a3bfb..0000000 --- a/seq/clusters_seq/cluster_456 +++ /dev/null @@ -1,269 +0,0 @@ ->YP_009316187.1 polyprotein [Dioscorea mosaic associated virus] -MACVQPPIFNNINDHIRYIGNFHLVKKSLLREPDEAKPCELILQSVKDSGNLNNVLELPTESVVTILDDK -KWFEKSAEQEKVAEVIHPDVVKVKTEEELQGLFSNVATGFLISAGQRTFGYVADKCLSRYSSVAGLLEKL -NKVVDKILDGFDWLSGLIDNVSSIFSAIKNRVMEFISKALEKIQNLLNHFSYLVPLVCGILIASNVFFLV -NKVLQIFAPAAALNSFRVTELLAVVGAVIGVKELTSYLLSLTKNDKRYFVNTIRNFLGVDAEVAGLVAAE -TSALPMTGAQARNEREQDLISFGSFEPMMLQAGIFDVGIFGAVVSMISLFMDAENKSWLQKLSYSSSVVK -NVSDGYDKCSKIVAQVSDWLYTKLGASSTHYAGAAQALLIHSGVGIHDWLEECEQLLTVGNTSLLTIEEM -LTRCRKLIDQSTKITQFLMRSEEGSTFILRHKFLAVDKQLREFYNKIVQSNMTNIFRETPFVIVLHGQPG -VGKSTLMRVLGNDLLDQLDEPQKDRFYSRNSGDAYWSGYIRQPMVLFDDFAQIQQTNGMFDEASLIPLVS -CNPYLLPMAALEEKGRPFDSKYMILCTNRKKVDERCELADREAFYRRRHVFWDVTRNADIPYDPICCHAN -LRFTLRNSLDADLAVDDTAINLGYYEMLAYTANKAEEHTNRKKAALRSLAATRNSHSRVVRQDGVFRVID -ELQSDNFMLAAATSIFSLDKFLSQGGDLPNFRRMVNPADGQLDFFWLGFKAFFDMTGNALEETPWTTTEI -QISETIEAGKNFVDLQGALCLFLLLSESNYAHHKDFMSMVDERHFLETGEIKCPGKDAVVERIAQEHWDS -LSDAVRYITREIWKKRSESEIFAVVTELKNILNSMSCVKLWNTMPFWLKWAVGTMALFAGGAVLFKGIRM -ISSILLVKPAQYLACLLGISSITESELQGVSSGGSELVGRATRRVVRGFQLQGGAAEDIPHVGAWAKCEQ -PRVMIDGVFIPELSATGMFGRIYGVMIGNRKILIPSHMVKMLNWSLPCTISNDRDVSARFYLRPEQVTYQ -TTVESARVKYGNLAVINYSSGIPAFPHFSGIDYDRAISGPTSFKGYIMDNLKGLVDYPIVTVFERKSVKD -EIELPNTNLSWRKDRILQCNEPGEQGMCGRLALVEKNGTLLIVGMHNFGNARNCAFSDIPSEFKPADELQ -SEFSMTETPLKQITEMVSQVGFLDAPVPQLRTTQIEKSPIFDELEDLNGSALTEPTILSVTDPRPPEPFD -PYLQGIHKFEKQAGPFDFSEESDLDLAQKNISSEWERFRPEQFDVDTVTTLEVAIQGIDGLDYAESLPIA -TSEGFPYILERRAGDTGKERYFEEINGKRIPKGDWVQDIDQIERAAVAGNLEIYTMACAKDEKTLKKKIY -ETPKTRIFEILPFTFNLVIRKYFLFWMQWMMKNHLNLPCKVGLNVFGFGWDEMKFKHGAYSHHFCGDYSG -FDSNTNVAMLDMVADMISDFARDGARNRLIRRNLMHAAVTRRMIVGRNIYKIIGGTPSGFALTVMVNSII -NELYLKMAWFNLSKQHFPEISRDADLSHNVHISTYGDDNVVSFSAQVSPWYNLVTVADYLKRYGVKLTDG -KKTGNIVPHMPFSEIDFLKRRWVLDDHRSWYRCPLDKTSIEGQCYWIKKSESCYEAMKINIENSLREAYQ -WGEEYFTHWRGRLSIALNRKRLYDVYLPTLNDAARFWNDQRENASLPIHFEDPSARIKYIASSKEGHYDN -VHCLTARSVNRTNQRNLINKIDTVWISAGEKQVRYKKTFEGATHAYVPTLTKPEQLAKICKEIYKDKQNT -CEKEFCTMGSDPRNEIAIITDQDQPLAHAVGMALVLKYHRDFGKKQVWLSGLDDKAYKAFVNVMNFM - ->YP_004936170.1 unnamed protein product [Chocolate lily virus A] -MSLMEKLNFANLKQHLGENPSEAEILQYQYDCIDSIQTLPGFPIIKEAILRNRDLITEDSDPQDLDEAML -YLAVTHRSIMPALHDADATRRIMSGYFVTGMFDDDIAVEHETCQGLMDGACFELGRNLAGKLIAKFCAAA -PSYSSLGSIFSKFDQVLDRILSAFDWIAGVVENSCAVLSAIRAKVTAFFKNAFNKLGDILQHFSYVMPLI -FGSLLTACVFYLVNRIIGCFSPEHQMSSARLIEIIAGVCCIIGVKEFGCALLMMTKRDKTDFLNLIKRFF -GCDDESADFSGDPANLDEAADVAAQSGVADLGFFAATVGLISFFMDGQSKNAFLRVAYASSFIKTGVDSY -EKCSKMMSSLSTWLFSYLGRIDAQYSGAAQALLIHTGIGVTEWIDNCEKLLVEGNSTTRHMQDIMSEART -LVDQGKTISQYLMQNDSGANYIFRQKFVAVEKQLNDFYTSLKKSNLCNKYRATPFVITFMGGPGTGKSTM -ARPFAEAFLDEMGESKVDRIYSRNGGDAYWSNYTRQPMVVFDDFGQTKQENGRFDEENLIQLVSCNPYML -PMAAVEDKGRPFDSKYMILCTNRTYANPGADLASEDAFLRRRHLVFEVHQNPDVPYNPAACYDNLLFTVK -DSLRPMMEKSPDTTGMNFFEAIAFAVNTATAFREKESEALRNIGRNFSHEFVRVDGQLRTRVPRARIEML -AEEPIAAQAGVGEASYLFSVERIVSQGGHFPNFAELVETTRRNNELGLVDTGYEFYSTDFVCDQDGQIVH -KNWTQEERLAIKFALNNATICHYISALNLSCLVEEIDNTLLNEFISLVDMTKLHEDGTVTSQNKNEALAE -ALREFWDKLSPRGRKLLYVIAEYRCVRYSSFFAGLRSVIRDFNCAELWARVPMWVKFSLGILALYAGSCV -LLRAFDKISTLISLSPTHILATLIGSSSLAQGTSSGGDERVSRAMRRSVRAFSAQGEMSVQVPHQGAWEK -CEKARVHLEGFSVTTGRPFAVYGVAYAERKIVCTTHTINELDVMQPIFLRTQDIAYTCFIRKDAIQYEQY -KNSQGQTILGNLASVKYSNLTPAVPAFTAFVPNYSKSLPNNENAWIMANLSPLLSAVPLKVIANKETMVD -QFKFDLNNTVWEKRDCTIVKSVGKAGMCGRLLLVERHNTLAIAGMHTYGREYPPLSGFADIPDFVTNPVI -VAQGPSDDAEFIYFDAEEEITPMVSKIGYVSTAVPQLSKSQIEESPIFDSLCQKLGDPKVAPTILSKHDP -RPPTPYDPYSAGIRKFDKEVGPFDWSEESDLQFACAEILDTWQTKKPEKFAIETVCSLDVAINGVHGLPF -AENFPIGTSEGFPWVLDRARNESGKERFFEEVAPGHRVPRGSWVEDIAEIEEAAYRGDYLTTTITCAKDE -KTKLDKVFVNPKTRIFEMLNFCLNLVIRKYFFFWMQWMMSLHSDLPCKVGINPFSYDWDIMAMKHSAYAN -HFCGDYSGFDTNTNVELVVRIGDMISDMADDGPRNRVIRRNLLRCVLNRQVIVGRDLYKVKGGTPSGFAL -TVMINSVVNEIYLKMAWFGLSRKYDPLLARDADLRHHVCMSVYGDDNVVSFSHNVANWYNLVTISSYLRP -FGIKLTDGQKTGVMVPFTSFDKIDFLKRKWVQGDKGWFRCPLDPVSIESQLYYLKRSDDPIDALRVNVDN -ALREAFQHGTDYFVRIKCAIVSSLQEAQIDYLPPSELDCARFWAEQRSCDHLPIHFADPRYRAINILDTK -VVQTDVGFSMSVHEYRNRREEMEKLNRLCIIGGDSKLETGLTVNGAKFAVVGAKNSELVDSLARLFKIGF -FTGKKWVFSALNPSLAHVVHICLLNVTKEHRLETVQYVSQLDDKSYKFMIELNAKIGLVPKPLLEE - ->YP_654555.1 polyprotein [Black raspberry necrosis virus] -MSYLTKDLAAFISAATEAGYSFDNACELYQRFKIVELNANPVSRAFCHTYKEWVDEQFTIPTPEDIDILT -VDTVDSSNPAVPDHSTSEDVDTIHCDSCDDAEGPPSPISVLRSPLEGFDVDIGEANKSLGIDVSAYPCLP -KGKENCDLKNIMAGHLFNSPIFPISAIKQEILAEKDLNRLASLFDVVNTVTHSVGLEQEGFSLSSLTGAI -KSRISGATGLAASMVDIVANLSSASSLLEKIFQRIITAFDYIASSIGTFGTFLTGIKEKIIEKCTTLWEK -LAEWGDHFYYIIPTFCAVFLVSITCFLINKFLAAVAPTYCFSMSVIVHLIVVCCALVGCEELGAALLSLT -KAGKLNFLNMIYSTFGAEAGEANITVDDKQPSTYHGVDGVFDDVPLNEGASSMTGFFGVLGLLTFFTPKE -LKFDLYEMTKWAHGLKGLADGYEKFKVVAEKLAFWAYEKVGLAATWDAPAIQSMILVTGIRFQDWCKEVD -TLAVEMQGSASLQEDLSRARKLKEQGIKIQDYMVRGDSSISFMMRERLKATMGVINDIVSKFEKAIDIGG -TRMCPFTVLFFGAPGVGKSNTMGPFMHEIMDRNGESKIGRVYPRNSGDEHWSHYMRQTALVYDEFAQRTP -TPGKSDELELIPLVSCNHYPLVGAAIADKGLSFNSKYIFMCSNRADVSAGAGLADPDAFRRRRHVCVEVF -KDDTLPFKPDEPYYNQTFQLKNPLRPRDPLTFVDAAGHNQPYQRMTYGELCLYVAEKSAEHFDKEAKSLR -FMRKTQGLPEGDEFVQEGPFSGFDGSLPISAKAISELSMPHFHQADETHQIHGCTDHKVFCCSLYGTKCN -VEHTAAEISYVKMVQDDIHPYSYTTNLAISLAHSDRTLWDVKGFRKMVKRIKGYGIDDVPEPIDAQTRTF -VESAWKGFSDRDRYLIFKYYEINDSFKQKALAKVDIIKEEIKSWSVAGCWKAMPMGVKWMIGVIALFSFG -CVLVDFLHTLMSMRSWSPVDILGNVLGATGAFGVLAFTSEGGSYAGSGVNIEATKYRDKKIKPYSQNGGP -GGANFTYNELESIEAIKKGQYLLSYSNTCGMSGVAAVFMYKDHSFLISTHEADWINFNKTCFMIGRDGTS -REVSLAASGVKKVTRDGFIEPVCSIDILPTTPVGKASSQSVWYDFHKTNEGRKVGVIVPNNRKDMAQECT -KVHFRRNKEVTDCYNFPGSSKGWKASDLLHAKAPHGNGMCGRLLLAHCPAGNLMVVGLHVSGGGLNERAT -SIFGGIDGSYKDVEDGKFYQQGDLDVHMKELIEAERVTDMVDKIGRVDSSQQFRPSQGTSIIKSEIHDDL -WRRAETCPTVLTRSDPRPEVPFDPYQAGIRKFEKEVGPLDFIDEFSTESTVLVDIAEELNNKKREVGGFE -LDTVLDNHAAINGVEGVEYAEPLVMGTSEGYPYVLERQPGDVGKFRYFSKNLYHWELNEGPAKELDELEQ -SVAREDFDGKIITIACAKDEKTKLIKVYEKPKTRIFEILPYHYNILVRKYYLFFMQFIMRMHNVLPCKVG -LNPFSQDWDEMHAEHTRFEHHFNGDYSGFDTGTPRQLLLKFADLISELAADGRRNKVIRRNLMQLAVDRR -ILVLAELYHVRGGTPSGFALTVIINSMVNQFYLMWAWRKIMSRISPSMVTYRVMRTHCTFSVYGDDNVVS -FSLAVRDMYNLCTIADELKTIGVTLSDGKKTGVLIKWTGFKDLDFLKRRWELEPGHGFKCPLDKMAIEER -LFWVRKSEDNMESLDDNCYSALMEAFHHGPEYFHDLREKILDGYQSAGLAAPVLLHYQEARSIWFEQHKV -GAESDFFAGVQNHLLPSIVGKDVIRTISTTVDWSSIRRYNEAFASGNYNRTKVFLDPLAKEILWLKSKSG -PHLSIPASLRKETFQSIIEGINRVVPGEICVVDGRGDKSGLVVALALAMDREEISQAQGHNMLLALVTTN -KEVEYGLQLFNVLEATSRPSVSLSPKFTTPPAELLKTIVGVELYEVTEAVKKMPFGSVGPSLRGRMALFY -SDGAFDYAHDKYHYTSQGWPREVDDLAKKLGGYNSCLVQKYDKGAYIPFHADDEPCYDDNDSVITVNLNG -RATFIVRNKTTGAETRRELHHGSILEMLPSCQKLCKHSVNVRDQGRVSLTFRRQRRTMNGTPI - ->NP_620567.1 polyprotein [Satsuma dwarf virus] -MEIYGFSPLSFQDTESWKNSAFAACRGCKTYPIEEVGTDGSVRIRELPVGLVLKGVMAQYRSILWTQFVA -DPATTTVDRKSFDFWLFCRRAEAAQERAFRARQSKRAAKAAALDAEGLIDRSYKGRTYKVSVRGLSWKSV -RAAQKAARKAAKNFGFTISENPFSALPSEGRSAFSAGETPAPPACALPNICWAARRASAKSASPADVESL -PFLGLLPESPRLRNEGLLSLLRAKLADFKAARAPLVPIAKRVVKTPSEDSIWESVEKNAPIVGCVINGMV -GIPKNPIIQRLLPERKYIARKCVRAFVPQVMCSSRHKHDFAQLRNPDKWIVFPSVIRISTVDAYATARRL -GLINDCSSALERVKAFSSHHLDLEKEVSRLEREACAAPRKTIVARVFKFFFEEYALAYDEVVERLRAAKE -DRRREHLAFLRNIKRQAHELELKERQSFLVAIEEARIKSLELKAKIEEGPPPQILYTPEWHVVQRAKAYA -DVTMSPSERDIAHLAYENKYVNARAYPNTDVAAKFRARCDAHFERIFGYAVHRGTRTETLTQVAESPPPI -ITAPVGQRVGGNPPTETPGAAAVRAAMRRAVERNRPGPGESSAMPAREPLLSHRGQYYARSLSDRYNNIC -SRNNAYDLMRETDVPIMEFTFGQQQDIAIPLSSRFGNHQSLHVGELEIAVQSSVLTGVDTAMAIMVSDAS -HDRLEEGFLSLTILRLGAGWMRHTIPIGITVFPTDPLVDRFLRLSVLTGGSPMADGRQVARLHYGLLGQA -YTGAGEQRLTQYATRRINVRQTHVTQFLEGNHIHIARSEDRQQPLPHMSLEFRPLSGSTRYVARPGGYQA -IESGRQSVDITQNFIRMPTHLTRSATDREETPAPNNPNEQNVGRSEINAEIPTNSAEEEERRRRTPHGSA -IHRGYTEFSERNENLIEHLYVPSMHGLSLKEDIHLFTKNLEIPSTADFCKELARYSGLTEAMSYRGASYY -SRLLSGVAILRPHFKFTFRLVTPILESIPLFVVWDDLGQLNTKVSLLSSAFQVIDSDHTRAAVYEVRPSG -PTDLLTPSKANYGVGGDLVIFSGGYGSLSLSSPLRLKIEACLLKDTSLGSGEIALPQGPSSMLSFHYLNE -VDLGDVNMHIFLGSCKYKSSSTVGGRKYISVCPAAGLVHKGGKAAYLGLGASLFSLYNFWKGSYVLKVDV -LSKGSCAGAISIYIPPPGSSADHYSQSQLDTLPRYELPWRGSGSARFEVENFSWIGWHLTKPQRYITNED -WFSLNAGLLVVLNQPPTTRTGGSSDIRVIFRIVKFKNLTLKERSTTCDIFAGIKDSEYTDPLVDVLDENI -TAPSASSLTTVQDPDLGLETTSSAQTSGLTTTRSFGAYYAYLLGGESAGNRWHSYVLPITMGHHREMIGA -SKTGYLNTQLDETIRIRYSLRNPLHILCSAGAYYAVDLLFTLVVDGDHGAERAYTQLGLIQTPLMEYFDG -YSASRNLSSEGGYSNQLGVGKSYVQLIVPRRNYRARSITTNTGALFFETIGSLTVKFAVSAKIKGVHLYV -EPVGPIDVDGYGRGADISLTNENFVLMPSLRTAA - ->NP_620566.1 polyprotein [Satsuma dwarf virus] -MEIYGFSPLSFQDTESWKNSAFAACRGCKTYPIEEVGTDGSVRIRELPVGLVLKGVMAQYRSILWTQFVA -DPATTTVDRKSFDFWLFCRRAEAAQERAFRARQSKRAAKAAALDAEGLIDRSYKGRTYKVSTRGLSWKSV -RAAQKAARNAAKNFGFAISANPFSALSALPSEGKGLSAGETPATVAEVAPQCFWAALRSLKREFSETPVE -DLPFLGLVLPALPQRNGELARTLRAQLRAHQAARVVASGVSLREIPRRTTTTVKVVVPRVTIPVVTFNGA -VLHHPKHPVIVALLKRVTIRAKPICERRTYVRLVDGPGLFPSPRTPTLDLGFMEKVSELATMPGDSIEKC -IAIKCILSNDYNFKEDADMGDVLLSLQSKYTGGGDTAEHRGFISGALYGAGVCVVTSAWKFLDATLHASQ -IGTQISQILDYIQSGLAWLSSSYASIANFFTKGKVYIMECLELVKAKLPSYLFSAEVGRYILLLLGVFLC -LGLVNSLIYSVAPQYSLSFGTVCKISLGALALVGLGDLVAYLFNSPGAKLRAFVNIVCNMCGCKNFFAPS -SDGEERSGFSVLSMMGAICALQSLLPANVSRFSWDCGKWAQTFKTGFDCHEKFATVCESLSVWLLSKVGL -FKSSESQAMQTILLSSGINTCGWLEKVAAFHSDVHSSQICIPDLLLRARQLIETGDTISDLLSNSTVSLS -FLLRERIKLALRELKEDHTQLQLAVDVSVSSECPFVLFFAGDSGVGKSTAMKKFREEVLDKLGYPKTARF -YPRNPGEKFWSGYLRHTAVIYDDFAQIPQGDMTYDEAELIRIVTNAVVTVPMAIAEEKGRTFRSKFVFAC -TNRYCESEDAPLADEMAFRRRRHLYIHVARKPGVEPGPRGVDNLEFSEMDNRDSNGEPAYQLNDQGKRVL -INQKLTYDQLLQLYFERYQAFKVLEGQLEGSVARAPSSSNYEGCDNWWTLVGSEAKFEAVYFNGEPVSED -DQLTQLGNYKTRYDILRARVILESIDYADCDFIIKNFSAMGEGIYHADPEVNATGQRHFSAMSPVTRRLI -CSCLKTRREDEIKSHSLLSKFKSLLTVPVDAWKAAPAWFKCISLLLVAGGVGYALCRAISGIIGIFRKGP -AAASLALFSGGIGAVLRGDSPDDPREERDNPDVIVTKGRGKAIWAGAEIPEALEALRKSQVVLMGTGRKG -EPVMCSALPITSHSVLCTTHEIEAFDPNQNMSLIVNNSIYSFVLIPGAIMYKRYDQPNLVDKVHELVRVD -LPKNKGFSLNARAQFSEDFYDNGTAMKCWVVPNKNPAVADIMRKVDCEKSSQIIDIFASELSRSSGCEPV -RQTQRFIYADGPALNGHCGRLLCANLAGHWRVIGMCAGEGKNRAGVTKALYADIPHEFLRADNLNAVQRG -AELDAAILDRFSIPISECRKELTPMTTRLGYVVGQYPRALRKTSIVPSIIHDNLWRKPETEPTILGKIDD -RSPFPYDPYATIGEKFVQEVGPIDLSVGSDASLVVANIGSSWKAVGKPQCPTVLTWEVAINGDAAIPYCE -RLPLSTSEGYPDSIQRNFGEKGKKRFFDLKGENVRVPTPALMEELEVLERELQKEEVCLTCINTACAKDE -KTAPKKVRVQPKTRIFEILPFQINIIIRRYLMFWMQLLMVAHDELPSKVGINVYSESWDRLLGRHTRLAN -HFTGDYSGFDTSTPRVLVYAIIDKINELADDGEVNQRTRRNIIRFVLNRYLISDGVLYEIHGGTPSGFAP -TVMINSVVNEFYLKWSWIGLLKEAGYANQATLYAFHEATEISLYGDDNFVSVATPVASVYNLTTISNFLG -RIGVKLGDGAKTGTIKPFIPLEEVDFLKRQFVADSGSTAILCPLKKISIEERLFYVRGGQDEIAALELNI -ATALCEAFFHGKEYFSFLEGKIIEAMRKSGVALSRPLPTMESVRAWYMSQRGNTKIRSPSFEGLGTMSGI -LNIGLAEARSVGGVACFSGIEFRGRSDDHLMVIPTYIPGGWRTKQQQTYISFVRDSEKMAQVIKRVAHFS -TVVATDKSMAYLVAICIAYSRGSISRMEVRCHVQNLKVAEMLLCNQICNFL - ->NP_599086.1 polyprotein [Strawberry mottle virus] -MGYLCDDVALWIKTFTDLGMPLDMAMEVYMNTKINDLRKCPVTYSACFTYKDWVDEHFITQAPIDDDYVP -TEVFPDEPPVSQVLPEHPKAGADIALVDIVADQLYSSPIFPLTEVKCRALASRDTNQLSRLADAVTAVTV -AQCVEQGGTSVGGIQEAITSRIKRSFGWVGKAVESVNNMASATNLLNKIFERILSSFDFIAQTVNLFSDF -LKGMKEKIAEMCLKTWEKLAEWGEHFYYVIPMFCSIFLIATTCFLINKFLAVVAPTYCFSSSSIVQLIVV -GCAIVGCKEMGAALLALSSAGKKSFLDLIYETFGVDTSEHCDELPKDENAIPTPADWSQFENCPAFEQSS -TSMTGFFGILGLLTFFAPRGMKCDLYEMTKWAHGLKGLADGYEKFKSITEKLAFWVYERIGLDTTWDAPA -IQSMIPVTGIRFQDWCTEVEKLNIDMLNYTNLQDDLTRARRLKEQGDKIQTHMMYSSESISFMMREKLRA -SMTTIPTIIAKFEKAVDISGTRMCPFTVLFHGPAGSGKSNSMRGFMHDVMNEMEEPSVGRVYPRNSGDKH -WSDYLRQTALYYDEFAQKKPCNGESDELELIPLVSCSHFPLVGAAIEDKGLSFNSKYIFMCSNRADVSSN -AGLADNDAFRRRRHLCVEVTRDDREFDPSNPTYNQTFQLKNPLKPTENLKFSHEGGPLEEIGPMSYNELV -VYAVNRAREHFDREVKAMKYAVSRATNTGKAHEQAIFYCPRFTCERMKLDTHACPHLANEHFVDHEVYGE -FRGEYFCCDKNGVACDCPLTNWEKSIMHDMSANATNDEIALALALFSQEENRLMSDYAGFFELIENVDSW -RVDAPPKAKKVDLQAYVNRTWADYNDRLRYLICQHFERTKAARNTYFQRLKTLKDDIKSWSIVGAWNSLP -MGAKWVVGIIALFSFGASLIWLLSKVMAMHTWDPMEMLGVFLGSKSFVEVVTEQGGYAESGSNTQAPIYR -HKRVRAYEQGFANSSQVLDLNDSEKIESIKKAQGVLVFSKNDGKSSTAAVTIFKDHQFLITTHELALLNF -SKGCILTTRSGSSYSIYINANDVRTGSKGGIKDPISVVKVSTYFEMAKACTGSVIFDFGTFCEGHHDGIV -VPNAQKVLDQTVHKTAFSRRHEVIDVHNMKGRVVWQANNLLAAPLYHQVGHCGRLLLARDEAKCLKIVGI -HVAGVVIQEKHISLFSEINGMHKTAEMAVQQGMEVDILELVEPEVKTDMVIKIGHVAQGQQFRPATKTSI -VKSQIHDTLWRAPETEPTVISPVDPRVPYAFDPYTAGIMKFEKEVGPLDFSDPDTPESTVIEDISEELLR -EKKSLGGFALDTVCSNEVAINGVDSVPYAERLVMSTSEGYPFVLSRKAQDTGKFRFFDKDGDRWVAKDEV -LDDLKELEEAIKSDDFKGGIITIACAKDEKTKMKRVREVPKTRIFEILPFHYNILVRKYYLFFMQFIMSL -HDFLPCKVGLNVYSKSWDTMHAEHNRFAYHFNGDYTGFDTATPRVLMMKIADMVSNLAGDGRENAIVRRN -LMKMAVERRILVLRDLYQVKGGTPSGFALTVIINSVVNQFYLMWAWRKIMSRIDPGLVPYRVMRSHCTFS -VYGDDNVVSFSLQVKDMYNLVTIAAELKTIGVNLSDGKKTGNLVKWMEFSELDFLKRRWVLYSGQGFLCP -LHKSAIEERLFWVRSSEDSVETLDDNCYSALMEAFHHGRDYFNFLRARIQDAYDKAGLYQPHLLHFNEAQ -AIWLEQHSIAPPNDYLDGIKKEVLPLTAGRDVMRTITTTIDATSVKGYNKAHKEQSYHRTKVFLNPLATE -ITWLRSGQAAHLDVPAKLHRRNFEGIAIKVANLLKGENCCIVEGTCGINSFALALAIGFLRKELTGVGCV -NLLASYSSNDASYYTGLAMLTTVVG - ->CCE57809.1 polyprotein [Black raspberry necrosis virus] -MSYLTKDIAEFIPAAIQAGYSLDNACELYQRFKIVELNANPVSRAFCRTYKEWVDEQFTIPTPEDIDILT -ADTVEPQTSDVQDHSTEEDVDTYSCDSCDIADGPPSPVSVLRSPLEGFDVDIGEANKSLGIDVSAYPCLP -KGKENCDLKNIMAGHLFSSPMFPISEVKLKILAEKDLNKLASLFDVVNTVTHSIGLEQEGFSLSSLTGAI -KSRISGATGLAASMVDIVANLSSASSLLEKIFQRIITAFDYIASSIGTFGNFLNGIKEKIIEKCTTLWEK -LAEWGDHFYYIIPTFCAVFLVSITCFLINKFLSAVAPTYCFSMSVIVHLIVVCCALVGCKELGAALLSLT -KAGKLNFLNMIYSTFGAEAGEANITVDDKQPSTYHGVDGVFDDVPLNEGASSMTGFFGVLGLLTFFTPKE -LKFDLYEMTKWAHGLKGLADGYEKFKVVAEKLAFWAYEKVGLAATWDAPAIQSMILVTGIRFQDWCKEVD -LLAVEMQGSASLQEDLSRARKLKEQGIKIQDFMVRGDSSISFMMRERLKATMGVINDIVSKFEKAIDIGG -TRMCPFTVLFFGAPGVGKSNTMGPFMHEIMDRNGESKIGRVYPRNSGDEHWSHYMRHTALVYDEFAQRTP -TPGKSDELELIPLVSCNHYPLVGAAIADKGLSFNSKYIFMCSNRADVSSGAGLADPDAFRRRRHVCVEVF -KDDNLPFRPDEPYYNQMFQLRNPLRPKDPLTYVDEAGHQQPYPRMTYGELCLYVAEKSAEHFDKEAQSLK -FMRKAQGLQEQEEFVQEGPLTDFHGSLIISPKAISEISMPHFHNADDNHQIHGCTNHKVFCCSLFGTKCD -VEHTAAEISYVKMIQDDVHPHAYSTNLAISLAQSDRTLWDVKGFRKMVKRIKGYGIDDIPEPLDEQTRHF -VRQAWREFSDRDRYLIFKYFEINDTLKQKALTKVATIKEEIKSWSVAGCWKAMPMGVKWMIGVIALFSFG -CVLVDFLHTLMSMRSWSPVDILGNVLGATGAFGVLAFTSEGGSYAGSGVNIEATKYRDKRIKPYSQGGDA -SGSNFTYNELESIEAIKKGQYLLSYSNTCGLSGVAAVFMYKDHSFLISTHEADWINYNKTCYMIGRDGTS -REVSLAASGVKKVVRDGLVEPVCSIDILPTTPVGKASSQSVWYDFHKTNEGRKVGIIIPNNRKDMAQECV -KVHFRRNKEKTDCFNFPGSKGGWSAHDLLHAKTPHGNGMCGRLLLTAGPSGNLLVVGLHVSGGGLNERAT -SIFGGIDGTYKDDEDGKFYQQGELDVHMKEFIEPEFVTEMVDKIGRVDPSQQFRPSQGTSIIKSEIHDDL -WRRAETCPTVLTRSDPRPEVPFDPYQAGIRKFDKEVGPLDFQDEESTENIVLVDIAEELLSKKREVGGFE -LDTVLDTHAAINGVDGVEYAEPLVMGTSEGYPYVLERQPGDVGKFRYFSKNLYHWELNEGPKKELDELEE -SVARDDFDGKIITIACAKDEKTKLVKVYEKPKTRIFEILPYHYNILVRKYYLFFMQFIMKMHNILPCKVG -LNPFSQDWDEMHAEHTRFDHHFNGDYSGFDTGTPRQLLLKFADLISDLAGDGRRNKTIRRNLMQLAVDRR -ILVLAELFHVRGGTPSGFALTVIINSMVNQFYLMWAWRKIMQRISPTMVTYRIMKSHCTFSVYGDDNVVS -FSLAVRDMYNLCTIADELKTIGVTLSDGKKTGVLIKWSKFDDLDFLKRRWELEPGHGFKCPLDKMAIEER -LFWVRKSEDNMESLDDNCYSALMEAFHHGPEYFQDLRGKILDGYQSAGLEAPILLHYQEAKTIWFEQHKV -GAESDFFAGVQNHLLPSIVGKDIVRTISTTIDWSSIRRYNEAFASGKYTRTKVFLDPLAKEIQWLKSKSG -PHLSIPASLNKDTFQSIIEGINRVVPGEICVVDGRGDKSGLVVALALAMDRAEISQAQGHNMLLALVVTN -KEVEYGLQLFNVLAATSRPAVSLEPKFTTPPTELVKGIVGVEVYKVATLVKQLPFGSVGPSLKGRMALFY -SDGGFDYSHDKYHYTSQGWPAEIADLAERLGGFNSCLVQKYDKGAYIPFHSDDEPCYNLDESIVTVNLDG -RATFIVRNKTTNKECRQELYHGSIIEMLPGCQKLCKHSVVVKDQGRVSLTFRRQRRTMKGAPL ->ABZ90974.1 replication-associated polyprotein, partial [Black raspberry necrosis virus] -DSRCRCGELCLYVAERSAEHFDKESKSLKFMRKAQGLHEQEEFVQEGPLDEYSCGLIYNLKDISELSMPH -FHESDDTHQVHGCTDHKVFCCNLYGDKCAVEHSAAEIGYVKMIQDDVHPHTYTTNLAISLAQSERSLWDI -KGFRKMVKHIKGYGIDDVPDPVDEQTRRFVRQAWRDFSDRDRYLMYRYFKINDTLKQKAVAKVADIKEEI -KSWSIAGCWNAMPMGVKWMIGVIALFSFGCVLVDFLHTLMSMRSWSPVDILGNVLGATGAFGVLAFSSEG -GSYAGSGVNIEATKYRDKRIKPYSQGGDSAGANFTYNELESIEAIKRGQYLLSYSNTGGQSGVAAIFMYK -DHSFLISTHEADWINYNKTCYMVGRDGTSREVSLAASGVKKVTRDGLVEPVCSIDILPTISVGKASSQSV -WYDFHKTNEGRKVGVIVPNTRKDMAQECTKVHFRRNKEKTDCYNFPGSKGGWSAHDLLHAKAPHGNGMCG -RLLLATGPAGNLMVVGVHVSGGGLNERATSIFGGIDGSYKDNEDGKFYQQGELSICMKELIEPEFVTEMV -DKIGRVEPSQQFRPSQGTSIIKSEIHDDLWRRAETCPTVLTRSDPRPEVPFDPYQSGIRKFEKEVGPLDF -QDEFSTENTVLTDIAEELLSKKREVGGFELDTVLDTHAAINGVEGIEYAEPLVMGTSEGYPYVLERQPGD -VGKFRYFSKNLYHWELNEEPRKELEALEESVSREDFDGKIITIACAKDEKTKLVKVYEKPKTRIFEILPY -HYNILVRKYYLFFMQFIMRMHNVLPCKVGLNPFSQDWDEMHAEHSKFEHHFNGDYSGFDTGTPRQLSLKF -SDLISDLAADGRRNKVIRRNLMQLAVDRRILVLSELYHVRGGTPSGFALTVIINSMVNQFYLMWAWRKIM -ARISPSMVTYRIMRSHCTFSVYGDDNVVSFSLAVRDMYNLCTIADELKTIGVTLSDGKKTGVLIKWSELI -LAA ->BAA74537.1 polyprotein, partial [Navel orange infectious mottling virus] -ENFDLDQNMSIIVHNSVYSFVLRPGAITYKRYNAPNLVDKVHELVRVNLPKNRGFSLDVRAQFSEDFYDN -GTAMKCWVVPNKNPAVADVMHRVDCEKSTQIIDIFASELSRSGGCEPVRQTQRFIYADGPARNGHCGRLL -CANLSGHWRVIGMCAGEGKNKGGITKALYADIPHEFLKEENVAAVLRGAEIEDIRILDRFAVTIQENVRE -LTPMTKCLGRVAGQSYPRALRKTSIVPSLIHEHLWRKPETEPTVLGKSDKRTPYPYDPYTTISDKFVEEV -GPIDISEGSDASLVLANIGSSWKAAGEIQCSTVLSWEVAINGNPAIPYCERLPMSTSEGYPDSVAGTSER -KGTRRFFELEGGDARVPTPALMTELEVLERELQKEEVCLTCINTACAKDEKTTSHKVRVEPKTRIFEILP -FQINIIIRRYFMFWMQLLMAAHDQLPSKVGLNVYSESWDILLGRHTRLANHFTGDYSGFDTSTPRILVYA -IVDKINELAGDSEMNQRTRRNIIRFVLNRYLISDGYVYEIHGGTPSGFAPTVMINSIVNEFYLKWSWMGL -MKEAGYAKEASLYAFHEATEISLYGDDNFVSVATPVASLYNLKTISEFLRRIGVKLGDGAKTGITKPFIP -LEEVDFLKRQFVADAGSTAILCPLKKISIEERLFYVKGGQDELAALELNMATALCEAFFHGKEYFRFLEA -EILKAVRKSRISLTKPLPTMESIRVWYLSQRTNTKVRSPSYEGLGTMSGILAVGVSEARIVGKITFYSGP -EFQGRVESHLKVIPTYIPGGWKVGKDKQIYISFVRDATKMAKIIESVDLFECVVATDKAMAYLVAICMAY -KHKQISRMEVRCHVQNLKTADALLCNEICNNL ->AMR36340.1 polyprotein [Strawberry mottle virus] -MGYLCDDVALWIKTFTDLGMPLDMAMEVYMKTKINDLRKCPVTYSACLTYKDWVDEHFITQAPIDDDYVP -TEVFPDEPPVSQVLPEHPKAGADIALVDIVADQLYSSPIFPLTEVKCRALASRDINQLSRLADAVTAVTV -AQCVEQGGISVGGIQEAIMSRIKRSLGWVGKAVESVNNMASATNLLNKIFERILSSFDFIAQTVNLFSDF -LKGMKEKIMEMCLKTWEKLAEWGEHFYYVIPMFCSIFLIATTCFLINKFLAVVAPRYCFSSSAIVQLIVV -GCAIVGCKEMGAALLALSSAGKKSFLDLIYETFGVDTSEHCDELPKDENAVPSPADWSQFENCPAYEQSS -TSMTGFFGILGLLTFFAPRGMKCDLYEMTKWAHGLKGLADGYEKFKSITEKLAFWVYERIGLDTTWDAPA -IQSMILVTGIRFQDWCAEVEKLNIDMLNYTNLQDDLTRARRLKEQGDKIQTHMMYSSESISFMMREKLRA -SLATISTIIAKFEKAVDISGTRMCPFTVLFHGPAGSGKSNSMRGFMHDVMNEMEEPSVGRVYPRNSGDKH -WSGYLRQTALYYDEFAQKKPCNGESDELELIPLVSCSHFPLFGAAIEDKGLSFNSKYIFMCSNRADVSPN -AGLADNDAFRRRRHLCVEVTRDDRDFDPSNPTYNQTFQLKNPLRPSENLKFSHEGGPLEEVGPMSYNELV -VYAVNRAREHFDREVKSMKYAVSRATNTGRAHEQAIFYCPRFTCERMKLDTHACPHLANEHFVGHEVYGE -FRGEYFCCDKNGVACDCPLTNWEKSIMYDMSTNATNDEIALALALFSQEENRLMSDYAGFFELIEDVDGW -RVDAPPKAKKADLQAYVNRTWADYNDRLRYLICQHFERTRAARNTYFQRLKTLKDDIKSWSIVGAWNALP -MGAKWIVGIIALFSFGASLIWLLSKVMAMHTWNPMEMLGVFLGSKSFVEVATEQGGYAESGSNTQAPIYR -HKRVRAYEQGAADSAQVLDLNDSEKIESIKKAQGVLVFSKNDGKSSTAAVTIFKDHQFLITTHELALLNF -SKGCILTMRSGISYSIYINANDVRTGSKGGIKDPISVVKVSTYFEIAKACTGSIMFDFGTFCEGHHDGII -VPNAQKMLDQTVHKAAFSRRHEVIDVHNMKGRVVWQANNLLAVPLYHQVGHCGRLLLARDEAKCLKIVGV -HVAGIIIQEKHISLFSEINGMHRTAEMAVQQGMEVDVLELVEPEIKTEMVIKIGHVAQGQQFRPATKTSI -VKSQIHDTLWRAPETEPTVISPVDPRVPYAFDPYTAGIMKFEKEVGPLDFSDPDTPESTVIEDISEELLR -EKKSLGGFALDTVCSNEVAINGVDDVPYAERLVMSTSEGYPFVLSRKAQDTGKFRFFDKDGDRWVAKDEV -LDDLNELEEAIKSDDFKGGIITIACAKDEKTKIKKVRETPKTRIFEILPFHYNILVRKYYLFFMQFIMSL -HDFLPCKVGLNVYSKSWDTMHAEHNRFAYHFNGDYTGFDTATPRVLMMKIADMVSNLAGDGRENATVRRN -LMKMAVERRILVLRDLYQVKGGTPSGFALTVIINSVVNQFYLMWAWRKIMSRIDPGLVPYRVMRSHCTFS -VYGDDNVVSFSLQVKDMYNLVTIAAELKTIGVNLSDGKKTGNLVKWMEFSELDFLKRKWVLYSGQGFLCP -LDKSAIEERLFWVRSSEDSVETLDDNCYSALMEAFHHGRDYFNFLRARIQDAYDKAGLYQPHLIHFNEAQ -AIWLEQHSIAPPNDYLDGIKKEVLPLTAGRDVVRTITTTVDATSVKGYNKAHKEQSYCRTKVFLNPLATE -ITWLRSGQAAHLDVPAKLHRRNFEGIATKVTNFLKGDSCCIVEGTCGINSFALALAIGFLRKELTSVGCV -NLLASYSSNDASYYTGLAMLTTVIG diff --git a/seq/clusters_seq/cluster_457 b/seq/clusters_seq/cluster_457 deleted file mode 100644 index fa9e23d..0000000 --- a/seq/clusters_seq/cluster_457 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_009824961.1 GP4 protein [Rodent arterivirus] -MAAAILFLLVCFEHFLVLEAYACKPCFSSSLSDIKTNTTAAAGFLVLQDISCLRYHWNPSSSPSAPIRQR -PQCRDAIGTPQYITITANITDEAYLYNSDLLMLSACLFYASEMSEKGFKVIFGNVSGVVSACVNFTSYVE -HITSHTVRNLSIDHIRLLHFLTPEVMRWATTIACVIALLLAI - ->YP_009551707.1 GP4 protein [Rodent arterivirus] -MGPSLLFMLVGVVGFHFSEGYACKPCYGASYVDRASKSSAVAPLAVTPLSCDRDEDKEKTLSLRGTPAMV -CKQGDSKGFKINDVIEIKDDYSGSSADLLFFTACMIYGLEMAEKGLGPELKNCSGTPCMCVPFSSWVAHV -REKGGDQQVQRGLFTNMSPHGLRWATVITCLLAILLAI - ->YP_009667151.1 ORF4 [Lelystad virus] -MAAATLFFLAGAQHIMVSEAFACKPCFSTHLSDIETNTTAAAGFMVLQDINCFRPHGVSAAQEKISFGKS -SQCREAVGTPQYITITANVTDESYLYNADLLMLSACLFYASEMSEKGFKVIFGNVSGVVSACVNFTDYVA -HVTQHTQQHHLVIDHIRLLHFLTPSAMRWATTIACLFAILLAI - ->YP_009337027.1 GP4 [Rat arterivirus 1] -MASAVLFLLVGAQHIMVSAPFACKPCFSTSLSDIKTNTTAAAGFIVIQDIDCLRYHWNPQVSPPAPIKKR -PQCRDAVGTPQYVTITANVTDQAYLYNSDLLMLSACLFYASEMSEKGFKVVFGNVSGVVSACVNFTDYVQ -HVTQFTTSSLTVDHIRLLHFLTPGVMRWATTIACLFAILLAV - ->YP_009214663.1 GP4 protein [Rat arterivirus 1] -MASALLFLLVGAQHCLVSQAFACKPCFSTSLSDIKTNTTAAAGFAVIQDIECMRYHWNPNTMAPGSPIRK -RPQCRTAIGTPQYITITANVTDEACLYNSDLLMLSACMFYASEMSEKGFKVVFGNVSGVVSACVNFTDYV -QHITQFTKQSLAVDHIRLLHFLTPEVMRWATTIACVVAILLSI - ->YP_009505552.1 GP4 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -MASSLLFLVVGFKCLLVSQAFACKPCFSSSLADIKTNTTAAASFAVLQDISCLRHRDSASEAIRKIPQCR -TAIGTPVYVTITANVTDENYLHSSDLLMLSSCLFYASEMSEKGFKVVFGNVSGIVAVCVNFTSYVQHVKE -FTQRSLVVDHVRLLHFMTPETMRWATVLACLFAILLAI - ->YP_009118965.1 GP4 glycoprotein [African pouched rat arterivirus] -MAVPGVGSALVFMLASNVHFSDAAAVLCTPCGAVNATFANYTYLESFGHHQPYIAKATMDEHSSESSEGA -CQFMYIGGVVRSVNISVQAGFGSYAKQAHLLAFADCLLTAHDYIEHGIEVHVRLVKGVLSMCVNATETRH -LEYLHFEKLVNSKTKYRWLSPTAMRWATIISCVIAILLAV - ->NP_047410.1 GP4 [Porcine reproductive and respiratory syndrome virus] -MASSLLFLVVGFKCLLVSQAFACKPCFSSSLADIETNTTAAAGFAVLQDISCLRHRDSASEAIRKIPQCR -TAIGTPVYVTITANVTDENYLHSSDLLMLSSCLFYASEMSEKGFKVVFGNVSGIVAVCVNFTSYVQHVKE -FTQRSLVVDHVRLLHFMTPETMRWATVLACLFAILLAI - ->NP_042576.1 glycoprotein GP4 [Lactate dehydrogenase-elevating virus] -MVCVRVHSTTLFILVGLECLLLSQALHCRPCYTKAVSVFNHSNIPLGNGLLMHTQGCHITHKKGGDPCYT -WIGQGEGVGINFNYTGAGHEHVANLVTLAACLIHAVELKETGFHPQFFNVSGHLAVCINFTEYVSHIQNK -TWHEALKLRPAHFLSPSVVKWGTVITLVLAILLAI - ->sp|A0MD33.1|GP4_PRRSS RecName: Full=Glycoprotein 4; Short=Protein GP4; Flags: Precursor -MAAAAFFLLVGAQHIMVSEAFACKPCFSTHLSDIKTNTTAAAGFMVLQNINCSRPHEASATQGQVPSRKS -SQCREAVGVPQYITITANVTDESYLYNADLLMLSACLFYASEMSEKGFKVIFGNVSGVVSACVNFTDYVA -HVTQHTQQHHLVINHIRLLHFLTPSAMRWATTIACLFAILLAI - diff --git a/seq/clusters_seq/cluster_458 b/seq/clusters_seq/cluster_458 deleted file mode 100644 index 66ea830..0000000 --- a/seq/clusters_seq/cluster_458 +++ /dev/null @@ -1,58 +0,0 @@ ->YP_009824960.1 GP3 protein [Rodent arterivirus] -MAGVSACINFCIGLLFCHLVHSSLATDTNATLCFWFPLAHGNVSFTLTINYTICPVCSTRQAAYQSYEPG -SSMWCKIGYDRCGTEDEDDIQMVIPPGYDNGALEGYYAWLAFLSFTYAAQFHPELFGIGNVSRVYVDKRH -QFICAEHDGQNSTIPQHHNISALYAVYYADQVDGGNWFHLEWLRPFFSSWFVLNISWFLRRTRASPVLAP -VYQILRPTPQQPPGSWSSKISAASGIIGTLVRHRLRPLGSVLNAVTPLVPPSTSR - ->YP_009551706.1 GP3 protein [Rodent arterivirus] -MYLPLMNQTYVNWTLSVNISTYLAHDVLCAIPTRGSRQAGYGPECAPFGGVESTGSYLETISSSIPEDMR -PHNLFSTLAVMSFVMIASYYPQVFSLYGVENIILVPHNTSIFEGGDSKDKKQEEVKYEIHPYGHALICAE -AAAGRNTTEVNATHFVSYIDRLHISEAAFRKEWVRPFFSCWLVLWVSIFLRGTRASRVTVRHMLTAPRSL -LQWLRWQ - ->YP_009667150.1 ORF3 [Lelystad virus] -MAHQCARFHFFLCGFICYLVHSALASNSSSTLCFWFPLAHGNTSFELTINYTICMPCSTSQAARQRLEPG -RNMWCKIGHDRCEERDHDELLMSIPSGYDNLKLEGYYAWLAFLSFSYAAQFHPELFGIGNVSRVFVDKRH -QFICAEHDGHNSTVSTGHNISALYAAYYHHQIDGGNWFHLEWLRPLFSSWLVLNISWFLRRSPVSPVSRR -IYQILRPTRPRLPVSWSFRTSIVSDLTGSQQRKRKFPSESRPNVVKPSVLPSTSR - ->YP_009337026.1 GP3 [Rat arterivirus 1] -MWCKIGYERCHNEDYDDLEFSIPPGYDNQHLEGYYAWLAFLSFSYAAQFHPELFGIGNVSRVYVDKHHQI -ICAEHDGQNSTIRDHRNISALYAAYYHHQVDGGNWFHLEWLRPFFSSWLVLNISWFLRRSRASHVLVRVS -QTSRQTPQPQPASLSSRTSIASDTTGIRRFLPRRQLRSALNAVMRSALRSM - ->YP_009214662.1 GP3 protein [Rat arterivirus 1] -MASVRARLYFCLGCLFCHTICSVVASNSTATLCFWFPLAHGNVSFQLTVNYTICPPCLTRQAAAQSYEPG -RSMWCKIGYDRCENDDYDDLEFAIPPGYDNQHLEGYYAWLAFLSFSYAAQFHPELFGIGNVTRVYVDKKH -QFICAEHDGQNSTIRQHTNATALYAAYYHDQVDGGNWFHLEWLRPFFSSWLVLNIAWFLRRSRASHVLVQ -VSPTSKLTPPPQQASPSSKTSSACATTGIPTRWRLARPFVSARNAALRLALRSISP - ->YP_009505551.1 GP3 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -MVNSCTFLHIFLCCSFLYSFCCAVVAGSNTTYCFWFPLVRGNFSFELTVNYTVCPPCLTRQAATEIYEPG -RSLWCRIGYDRCGEDDHDELGFMIPPGLSSEGHLTGVYAWLAFLSFSYTAQFHPEIFGIGNVSRVYVDIK -HQLICAEHDGQNTTLPRHDNISAVFQTYYQHQVDGGNWFHLEWLRPFFSSWLVLNVSWFLRRSPANHVSV -RVLQILRPTPPQRQALLSSKTSVALGIATRPLRRFAKSLSAVRR - ->YP_009118964.1 GP3 envelope protein [African pouched rat arterivirus] -MGANIPSVHLLIMCCCLHAVSGDDTENSLVSCFSFPESNKGSLQLSATVSTCPAKYLRATTGEEGAKANG -VNAKAKGGFYVSVNPKHPWCGYHHAVDNPGTEYQYRDTTLCGAIMLVGYYDHVYNFVCTGHHTANNSFTY -ELPPHQIVLPMVLQVATYVAILHPQLFGFENITAVVAHENFICFEHGGNPVNITKAHPDSGFRSTLYDLE -VQWQYLEWVRPLFSCWLVMCISLTLRRSSALLAER - ->NP_047409.1 GP3 envelope protein [Porcine reproductive and respiratory syndrome virus] -MVNSCTFLHIFLCCSFLYSFCCAVVAGSNSTYCFWFPLVRGNFSFELTVNYTVCPPCLTRQAATEIYEPG -RSLWCRIGHDRCGEDDHDELGFMVPPGLSSEGHLTSVYAWLAFLSFSYTAQFHPEIFGIGNVSRVYVDIK -HQLICAEHDGQNATLSRHDNISAVFQTYYQHQVDGGNWFHLEWLRPFFSSWLVLNVSWFLRRSPANHVSV -RVLQILRPTPPQRQALLSSKTSVALGIATRPLRRFAKSLSAVRR - ->NP_042575.1 glycoprotein GP3 [Lactate dehydrogenase-elevating virus] -MAGVNAIFCLFICCSGICFVDCNVTASTQVCLWFPIHETGKTHLELALNFTLCKMCGVVENSYYSHPFCV -TDDTCEESSSQYLDFDFPDDVQLLSSIYGTIAINALVFAIHQVPSMFGNVSAVFFSHNSLCYNSTPVEHN -QNVTTSLAIFDGDVEKWYVLEYIRPLFSSWLVLNVSYFLRRSTAGRATRRL - ->sp|A0MD32.1|GP3_PRRSS RecName: Full=Glycoprotein 3; Short=Protein GP3 -MAHQCACFHFFLCGFICYLVHSALAANSSSTLCFWFPLAHGNTSFELTINYTICMPCLTSQAARQRLEPG -RNMWCRIGHDRCEERDHDELLMSIPSGYDNLKLEGYYAWLAFLSFSYAAQFHPELFGIGNVSRVFVDKRH -QFICAEHGGLNSTLSTEHNISALYAVYYHHQIDGGNWFHLEWLRPLFSSWLVLNISWFLRRSPVSPVSRR -IYQILRPTRPRLPVSWSFRTSIVPGLTRPQQRKVKFPPESRPNAVKPSVFPNTSR - diff --git a/seq/clusters_seq/cluster_459 b/seq/clusters_seq/cluster_459 deleted file mode 100644 index 76a27a6..0000000 --- a/seq/clusters_seq/cluster_459 +++ /dev/null @@ -1,143 +0,0 @@ ->YP_009551652.1 polyprotein [Wheat spindle streak mosaic virus] -MAASSSNFHHSEEDFGWPRRTPPDIAPVRDTLPQRIADAWGSIIVRHMLPLNEDAGFILGRDGLPSAHFD -AYGGMFPTFVQSLGLPTNRLRMRSPITTEEASLCMDTSYAPWLYMTNSTHAYEATNLRPVRTFVAFNFTH -GYCYLSLFVPLSFSIETSNIETFSRFIEQLPDVLGAYPTLGTLLKVMLYLVRVFPEILDSPIPIISKRPG -VAQFHVSDRRGLPPSWFTMMCGSVASFITLLLYNLDNALLDGVVGSYESVYFYTTWITAHDHWATSRFIT -LGDFYDCMSAAHRVDCSTVGGCSALHDLLADLGFINLVRRAKRFPMRANGIQGYYLNLSDDGIHNNLQAF -LQILREISDGTNSNPDFADVRMRLNSMDSIPYNNPHACYTRNIFEDSNNLVWNYEFFRISAISQNAKADR -ERLERFTSASFREFVKEASNNTYIAPMAVSDSVPNQPTVEHEEPNVIAPPRNAWLQISIGIATAILGAII -FFFWKCFLRAKKIRFRGSDAFPWFHITHGGGPPFPPDIPPPGSPYSSPSRTLPRTVVRDLSFNEDDDLLS -VDLNEAGTRFSDIISMINRGDLRELQVAIPEHLSNLNLLQSSAHGSGFYTMVALYLATLNDAIVAYQERN -DVSGATIQSLRTLELQLESRGVLFNVAGTPTNFLHRNINASVGGAVVRITQSALLASGENFRTRMAATLD -RVSSESSENRTPYDNRVFEMTTELFKAIAAALDADRNDVTPHLANAEALLQVYNNLFGTDYVSTSLLALR -RELIIRSAEGRIGEAPVSSPIAADDELVKLSITKLDKEIELFQAQIDGQRRVAAITEASNLRENILQPIN -TVANIAMAGAFLRGGARSRLPGSPATTVPPPGAPFRPFTGRGHSLTSTSRSARFFGRSGA - ->YP_009175090.1 polyprotein [Rice necrosis mosaic virus] -MFDFESFSERSNFPAFNFEVREKQIEYFNEQLNKILQGVRDIGGEFIMDSTIRQGWMGILKRFAIQGTQD -RNIVTTQNQSPIGLFTTYDLGVSTLSQAMNESEVLKQRVQTPMELDIHFILEKKCASHPWLYFSNNSCAF -GGKNYSENLKTFLNADFQSGFCYLNLLVPFSFEIQNNHTEEFRQVLESTPEILGAYPSFSSVLNMLLYYQ -KRFPELVSSPIPVIAFDPQSLQLHVCDKRGVPATWAFLQAQHLSDLLTLAVEYRDADFMAYPVGGVESKY -ANWRTSMDHYITDRFVEMTVLADRLTNIIQATPDTEGGDPAAGECLNTMGFTNVVARSKRFPETRNITDV -LVDLNDDIIYEPLDKLKLFLLVAHRSVQSKATIPTQIKAKCRAVLDTLRISQTSEIPAYSREKFEITNRV -VWNSEFHRIHEQKEKLATLHKWFEDFENNLPSGSINPEPSSQSSELQTSAGGQDGTDVSVQNVRIVEITA -KRSYWAEIIVGVVTTLLGAALIFIINLFRRRRARLVSKDTFPWKSISFDEGDEDGGDEGPPSQGRRQGGL -LHHPAPSQSQSASEESIDQLLTRLNADRDRGEDYTRPDESQIQEAENHLTMVKLWLSDNRFTDIERCIVD -TLSSLSTLNEVSEEGTPYQILADYLRKLVVAYREVETTGETADASLVELKNLELHLIRLNFLPEMATPSQ -ALTKAVIDSKAKQWINELKSTSSNMGEELISSLEALVQRIDTQVMEGFSEYDELTACLIRRLVRIIKTVD -GAASDLVKSEAVSSADAILAELNARSGANISMIYLSSVRRQIIIDRVTPTGVHEDASPETREKVLQAEIE -KIQAETRAYEETARTQFDLRRINEETRIREHILQPINTMANLGLTAAFFRGMRAPSTPGAVAAQATQARS -RASSVFSRPGHRLR - ->NP_659026.1 polyprotein [Oat mosaic virus] -MSSSRNLALLATMENQVPEWAQRIVRSSRNENQEMPRPISDTWKKIITANMLPPGNPTTTISDKDGSVVA -HFGAYDSYLSTILQALGTPLQRLRMHTKPTPQEAALFEDCSDKPWFFTKNDYHAWAFQYETVVQTFVTFT -FRDGFCYLNLFTPMSFAVTIDHTESFCRILEQIPEALGAFPTLGNILKVLIMLSHKFPEVVNAAHPTIAK -HPGEPHLHVVDRRGVPPSWLLLCSSTIGNLITLLLNNIDNEALSFPTGNAPENYTNWSHEHDHWVTDRLI -TRADFYSLFHPFTGTGHSLTATARPPRLRVRRVV - ->NP_604490.1 RNA2 polyprotein [Barley mild mosaic virus] -MMMNSTIRQGWQQVLRRFSIPASGDRLIVSNSTDQPIGLFGAFDTSLQTLSQVTNDPEILKQKSNIPTHL -DIASVLETSPRSFPWVFLTNSFCTFGGSIHAQNLQAFATAEFKSGFCYMNLLIPLSFDIIDAHADSFRGF -VEQLPDTLGAYPSLSMVLNVMLHAATRFPEIVASPVPTIAFDAESLQFHVTDKRGVPGMWNILKACRVYE -LLSLAADGIGCEYMLYPVGAAPQYSFWKKSMDHFISDRFVEFLAMQGLLASALEQDYKTHDARDALLTAL -QNAGYTNVVARERRFPNGHDPSTVWLNLNEAPISEKLTELKRYLLVGHRSDEIADITHNVHQHVFEVLKT -MSVQFSKTTNAYNRARFEVNHEVIWNAEYGRSSQQNAELEALVLFLNRQSLEIENILRRTTSPVVVTNWQ -PDVPPAAPEISEGEPTHAVATPITEAPTHATPVEVVNLPPTRSYWAETLVGVLTAILGTIFALLTRALIR -PKRLRRKSTFPWVSLDSGDEDDDHSGGGGGSPQTPGGQPPASPAPGTHQSRFSVQDIASDTSLLSVDLDE -DTLSQYDETFQTIRRALFENSFGDILQNSARWISTLEAMALADGNAPYTLLAQYLNGIEEAYTNFRNTGH -ISRATLSGFFVLEDSLRAAGIAFGGTTPTQTIQNQSADSPARRWKTRFEQIACELGDASIKSLADLADII -DTERERGDLTQFDVLAASSISSLCRAVRIISDTTDPNTQLALVENATAMQNNINAILGTNVSIPFLSATR -RLLITRRIQEAGAESRSGATPDTIQQLADAELAEIVSEANMFNEMAASQRDIANATREATIREHVLSPVN -ALANVGMAAAFFRSGGLRSRAFHPTMPTMPGSPAAIGRPMFQAFRGRGHRLNRR - ->NP_149000.1 polyprotein [Barley yellow mosaic virus] -MSASSSRLLFDCGSLDWPNKSLFGDPTTRDVMNEHISSTWNAVIRRHMLAPNANAETILGRDGLPSAQFD -AYGAMLPSFIQALNAPTTRLRISAPLSTAESILCADASHAPWLYMANSVCAYEATHLQPVQTFIAFNFAH -GYCYLSLFIPLSFRITFENARGFSRFLEQLPDILGAYPTLAAIYKTMLFAIRLFPEVLQAPIPIIAKRPG -VLQFHVSDARGLPPSWFPMKCGSVASFVALITNNLNSDLLNGIVGSNGDGEHYTNWNSGHDHWIVNRFIT -VKDLHSSLKSALEVDLDTEGGRNAVLDLLLDLGVTNLVRREKRFPAYFQGAESVYLLLSCERVGNELVAV -QDALQEPLANYSGLDLRALIINLGGLPSRHSDICYTRNIFENDNHLVWNFEFYRIASITKNAQIDRDVLS -SSMANLFSDFVSESSNGQYRVKEPRPVVQYRVEHDEPVASSAPSAWWQVLIGITTAILGAIIFFLWRCFL -RAKRVKFQAKDSFPWFTTSGDDDSPPPPGDSPSRPPGRSPDRVLPRTVVRDLSFNDDDDLHSVDLNEAGS -RFGEVVSLIARGNLRELAGAIPESLSNLTLLQTSASGSGFYTMVALYLATLGDAITAFHEHNDASPATIQ -SLRTLELQLEARGLRFNEAGTPANLIQRGVNSSVGRALVRLTQSALLATGENFRTRMATTLERIAAERLN -TLTAYDQRVIEMTTELLAAIKPVLEVERSELTPHLANAEALLQVYNNLFSTDYVSASLLALRREMILRSA -EGRVGEQPTSASDAANEELVQRSMTKLDKEIELFQAQIDSQRRAVTITEASNLRENILQPINTVANIAMA -GAFLRGGARHRMPGMPDVATPMPNPFRAFSGRGHSLTTTRSGGLFRRPRV - ->NP_059448.1 polyprotein [Wheat yellow mosaic virus] -MASTSSNTYYMDGDPRWPTRDPGAPITRDALPQRISEAWNTVIIRHMLSDSDDQDSILGRDGLPATRFNA -YSGLLPTFVQSLGLPVNRLWLHAPVSAIEAPLCVDTSYVVHGLYMSIGIHAYEETRLQPVGTFIAFNFAN -GYCYLNFFIPMSFQISGANVEAFSRLIEQLPDVLGAYPTLGNLLKTTIYLMRVFPEILDAPIPIIAKRPG -VAQFHVTDNRGLPPTWFSMMCGSVSSFVTLLLHNLGDELLNGIVGSAEETGRYTNWNSEHDHWIASRFIT -LEDYYTTMSSALSVDYRTKGGCAALRDLFSDLGYSGMVRPTKRFPESAQGLSSFYFHLSDRAPFKAIQVF -LSVLREIIYNDDYDPPYSSVRARLINLSSLPYDNPNACFTRNIFEDENKLVWNFEFYKVMTIASNATADR -ETFFRSHVLPFRSFVKEASNNRYNLPSPFEITTPVKATPDEVPLVESTTESTTTSPSAWWQVAVGLITTV -LAALLVFFWRCFLSAKKIKIRRKDTFPWFGYSHGGPPPSPPGGPPPGSPRDASYQRLPRTVVRDLSFDED -DDLQSVDLEEAGIRFKSLITTIERGNLQELQAVIPEHISDLNVLQSSAHGSGFYTMVSLYLSTLGDAITA -FEQRNDVSPATIQSLRTLELQLEARHLRFNEAGTPTHILQRSISASVGRAIIRLTQSALFASGEGFRTRM -ASTLQRIADESSNNLTSFDARALDMTSELFQSIAAALDSDSDDIVPLLAKAEASLQVYNNFFGVHYVSTT -LFALRRELILRSAEGRVGEQPTGISEESNEELVQKSMQKLDKEIELFQAQIDNQRRVAEITESSNLRENI -LQPINTVANIAMAGAFLRGGARSQLPGVLPQAQPHTQAFRPFTGRAHHLTTIGRVQRFLRRLGH - ->sp|Q01207.1|POL2_BAYMJ RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein -MSASSSRQLFDCGSLDWPNKSLFGDPTTRDVMHEHISSTWNAVIRRHMLAPNADAETILGRDGLPSAQFD -AYGAMLPSFIQALNAPTTRLRITHRCPTAESILCADASHAPWLYMANNVCAYEATHLKPVQTFIAFDFAH -GYCYLSLFIPLSFRITFENARSFSRFLEQLPDILGAYPTLAAIYKTMLFAIRLFPEVLQAPIPIIAKRPG -VLQFHVSDARGLPPSWFPMKCGSVRSFVALITNNLNSDLLDGIVGSNGDGEHYTNWNSGHDHWIVNRFIT -VRDLHSSLKSALDVDLDTEGGRNAVLDLLLDLGVTNLVRREKRFPAHFQGAESVYLLLSCERVGNELVAV -QDALQEPLANHSGLDLRALIINLGGLPSRHSDICYTRNIFENDNHLVWNFEFYRIASITRNAQIDRDMLS -SSMANLFSNFVSESSNGQYRVKEPRPIAQYRVEHDEPVASGAPSAWWQVLIGITTAILGAIIFFLWRCFL -RAKRVKFQAKDSFPWFTTSGDDDSPPPPGDSPSHPPGRSPDRVLPRTVVRDLSFNDDDDLHSVDLDEAGS -RFGEVVSLIARGNLRELAGAIPESLSNLTLLQTSASGSGFYTMVALYLATLGDAITAFHEHNDASPTTTQ -SLRTVELQLEARGLRFNEAGTPANLIQRGVNSSVGRALVRLTQSALLATGEKFRTRMATTLERIAAERLN -TLTAYDQRVIEMTTELLAAIKTALEVERSELTPHLANAEALLQVYNNLFSTDYASASLLALRREMILRSA -EGRVGEQPTSASDAANEELVQRSMTKLDKEIELFQAQIDSQRRAVTITEASNLRENILQPINTVANIAMA -GAFLRGGARHRMPGMPDVAAPMPNPFRAFSGRGHSLTTTRSGGLFRRPRV - ->sp|Q01365.1|POL2_BAYMG RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein -MSTSSSRLFFDCGSLDWPNKSLFGDPTTRDVMDEHISSTWNAVIRRHMLAPNADAETILGRDGLPSAQFD -AYGAMLPSFIQALNAPTTRLRISAPLSTAESILCADASHAPWLYMANSVCAYEATHLQPVQTFIAFNFAH -GYCYLSLFIPLSFRITPENARSFSRFLEQLPDILGAYPTLASLYKTMLFAVRLFPEVLQAPIPIIAKRPG -VLQFHVSDARGLPPSWFPMKCGSVASFIALITNNLNSDLLNGIVGSNGDGEHYTNWNSGHNHWIVNRFIT -VKDLHSSLKSALEVDLDTEGGRNAVLDLLLDLGVTNLVRREKRFPAYFQGAESVYLLLSCERVGNELVAV -QDALQEPLANYTGKDLRALIINLGGLPSRHPEICYTRNIFENDNHLVWNFEFYRIASITKNAQIDRDVLS -SSMANLFSDFVSESSNGEYRVKEPRPVTQYRVEHDEPVASGAPSAWWQVLVGITTAILGAIIFFLWRCFL -RAKRVKFQAKDSFPWFTTSGDDDLPPPPGDSPSRPPGRSPDRVLPRTVVRDLSFNDDDDLHSVDLNEAGS -RFGEVVSLIARGNLRELAGAIPESLSNLTLLQTSASGSGFYTMVALYLATLGDAITAFHEHNDASPATIQ -SLRTLELQLEARGLRFNEAGTPANLIQRGVKSSVGRALVRLTQSALLATGENFRTRMAATLERIAAERLN -TLTAYDQRVIEMTTELLAAIKTALEVERSELTPHLANAEALLQVYNNLFSTDYASASLLALRREMILRSA -EGRVGEQPTSASDAANEELVQRSMTKLDKEIELFQAQIDSQRRAVTITEASNLRENILQPINTVANIAMA -GAFLRGGARHRMPGIPDVAAPMSNPFRAFSGRGHSLTTTRGAGLFRRPRV - ->sp|Q65329.1|POL2_BAMMA RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein -MMMNSMIRQGWQQVLRRFSIPTSGDRLIVSNSTDQPIGLFGAFDTSLQTLSQVTNDPEVLKQKSNIPTHL -DVASVLEASPRSFPWVFLTNSFCTFGGSIHAQNLQAFATAEFKSGFCYMNLLIPLSFDIIDAHADSFRGF -VEQLPDTLGAYPSLSMVLNVMLHAATRFPEIVASPIPTIAFDAESLQFHVTDKRGVPGMWNILKACRVYE -LLSLAADGIGCEYMLYPVGAAPQYSFWKKSMDHFTSDRFVEFLAMQDLLASALEQDYATHDARDALLSAL -QNAGYTNVVARERRFPNGHDPSIVWLNLSEAPISEKLTELKRYLLVGHRSDDTADITHNVHQHVFEVLKT -MSVQFSKTTNAYNRARFEVNHKVIWNAEYGRGPQQNAELEALVLFLNRQSLEIENILHRTTSPVVVTSWK -PDVPPAAPEIKEEEPTHAIATPITEAPSHVTPVEVVNLPPTRSYWAETLVGILTAILGTVFAFLTRALIR -AKRLRRKSTFPWVTLNSGDDDDDQSGGGGGGPQTPGGQPPVPHTRGTHQSRFSVQDIASDTSLLSVDLDE -DTLSQYDETFQKIRRALFETSFADILQNSARWISTLEAMALADGNAPYTLLAQYLNGIEEAYTNFRNTGH -ISRATLSGFFALEDNLRAAGIAFGTTTPTQTIQNQFADSPARRWKTRFEQIACELGDASIKSLADLADII -DTERERGDLTQFDVLAASSISSLCRAVRIISDTTDPNAQLALVENATAMQNNINAILGTNVSIPFLSATR -RLLITRRVQEAGAESRSGATPETVQQLADAELAKIVSEANMYNEMAASQRDIANATREATIREHVLSPVN -ALANVGMAAAFFRSGGLRSRAFNPAMPTMPGGPAAAGRPMFQAFRGRGHRLNR - ->sp|P89684.1|POL2_BAMMN RecName: Full=Genome polyprotein 2; Contains: RecName: Full=Helper component proteinase; Short=HC-pro; Contains: RecName: Full=70 kDa protein -MMMNSTIRQGWQQVLKRFSIPASGDRLIISNPTDQPIGLFGAFDTSLQTLSQVGDDPEVLKQKIHIPTHL -DIASALEASPRSFPWIFLTNSFCTFGGSIHAQNLQAFATAEFKSGFCYMNLLVPLSFDIIDAHADSFRVF -VEQLPDMLGAYPSLSMVLNVMLHAATRFPEIVSSPVPTIAFDAESLQFHVTDKRGVPGMWNILKAGRVYE -LLSLAADGVGCEYMLYPVGAAPQYSFWKKSMDHFTSDRFVEFLAMQNLLASALEQDYTTHDALDALLAAL -QNAGYTNVVARERRFPNGHDPSTVWLNLSEAPISEKLTDLKRYLLVGHRSDDTADITHNVHQYVFEVLKT -MSVQFSKRTNAYNRARFEVNHKVIWNAEYGRGPQQNAELEALVLFLNRQSLEIENILHRTTSPVVVTNWQ -PDVPTAAPEVSEGEPTHAVATPMTEAPAHATPVEVVNLPSTRSYWAETLVGVLTAVLGTIFALLTRALIR -AKRLRRKPTFPWVTLDSGDEDDDHSGGGGGGPQTPGGQPPASPAHRTHQSRLSVQDIASDTSLLSVDLDE -DTLSQYDETFQRIRRALFETSFTDILQNSARWISALEAMALADGNAPYTLLAQYLNGIEEAYTSFRNTGH -VSRATLSSFFALEDSLRAAGIAFGATTPTQTIQNQFADSPARRWKTRFEQIACELGDASIKSLADLADII -DTERERSDLTQFDVLAASSISSLCRAVRIISDTTDPDAQLALVENATAMQNNINAILGTNVSIPFLSATR -RLLVTRRIQQAGAENRSGATPETIQQLADAELIVSEANMFNEMATSQRDIANATQEATIREHVLSPVNAL -ANVGMAAAFFRSGGMRSRALHPAMPTMPGVSAATGRPIFQAFRGRGHRLNR - diff --git a/seq/clusters_seq/cluster_46 b/seq/clusters_seq/cluster_46 deleted file mode 100644 index 662dcaa..0000000 --- a/seq/clusters_seq/cluster_46 +++ /dev/null @@ -1,759 +0,0 @@ ->YP_009118630.1 polymerase PA [Influenza A virus (A/California/07/2009(H1N1))] -MEDFVRQCFNPMIVELAXKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRIMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRSLWDSFRQSERGEETIEEKFEITGT -MRKLADQSLPPNFPSLENFRAYVDGFEPNGCIEGKLSQMSKEVNAKIEPFLRTTPRPLRLPDGPLCHQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLMAWKQVLAELQDIEN -EEKIPRTKNMKRTSQLKWALGENMAPEKVDFDDCKDVGDLKQYDSDEPEPRSLASWVQNEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPRGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->YP_009118473.1 polymerase PA [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFASICTHLEVCFMYSDFHFIDERGESTIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLRTTPRPLRLPNGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIIKPHEKGINPNYLLTWKQVLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFEDCKDVNDLKQYNSDEPEPRSLACWIQNEFNKACELTDS -SWVELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAVGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDLTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLEGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->YP_308846.1 polymerase PA [Influenza A virus (A/New York/392/2004(H3N2))] -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEIATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFRQSERGEETIEEKFEISGT -MRRLADQSLPPKFSCLENFRAYVDGFEPNGCIEGKLSQMSKEVNAKIEPFLKTTPRPIKLPNGPPCYQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCIKTFFGWKEPYIVKPHEKGINSNYLLSWKQVLSELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDNCRDISDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEYIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQISRP -MFLYVRTNGTSKVKMKWGMEMRRCLLQSLQQIESMIEAESSIKEKDMTKEFFENKSEAWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->YP_308852.1 polymerase PA [Influenza A virus (A/Korea/426/1968(H2N2))] -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIMVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNAKIEPFLKTTPRPIRLPDGPPCFQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYIVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDNCRDISDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQMSRP -MFLYVRTNGTSKIKMKWGMEMRPCLLQSLQQIESMVEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->YP_308666.1 polymerase [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESTIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETVEERFEITGT -MCRLADQSLPPNFSSLEKFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMRKTSQLKWALGENMAPEKVDFEDCKDVSDLRQYDSDEPKPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFLIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHRWEKYCVLRIGDMLLRTEIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCPFQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->NP_859041.1 polymerase PA [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MEDFVRQCFNPMIVELAEKTMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRAMAWTVVNSICNTTGVDKPKFLPDLYDYKENRFTEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFKPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWREPNIIKPHEKGINPNYLLAWKQVLAELQDIEN -EDKIPKTKNMKKTSQLMWALGENMAPEKLDFEDCKDIGDLKQYQSDEPELRSIASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEVGEMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSIKEKDMTKEFFENRSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYSSPQLEGFSAESRKLLLIVQALRDNLEPGTFDLEGLYGAIEECLINDPWV -LLNASWFNSFLTHALK - ->NP_056659.1 polymerase PA [Influenza B virus (B/Lee/1940)] -MDTFITKNFQTTIIQKAKNTMAEFSEDPELQPAVLFNICVHLEVCYVISDMNFLDEEGKTYTALEGQGKE -QNLRPQYEVIEGMPRNIAWMVQRSLAQEHGIETPRYLADLFDYKTKRFIEVGITKGLADDYFWKKKEKLG -NSMELMIFSYNQDYSLSDESSLDEEGKGRVLSRLTELQAELSLKNLWQVLIGEEEIEKGIDFKLGQTISK -LRNISVPAGFSNFEGMRSYIDNIDPKGAIERNLARMSPLVSVTPKKLKWEDLRPIGPHIYNHELPEVPYN -AFLLMSDELGLANMTEGKSKKPKTLAKECLERYSTLRDQTDPILIMKSEKANENFLWRLWRDCVNTISNE -ETGNELQKTNYAKWATGDGLTYQKIMKEVAIDDETMYQEEPKIPNKCRVAAWVQAEMNLLSTLTSKRALD -LPEIGPDVAPVEHVGSERRKYFVNEINYCKASTVMMKYVLFHTSLLNESNASMGKYKVIPITNRVVNEKG -ESFDMLYGLAVKGQSHLRGDTDVVTVVTFEFSSTDPRVDSGKWPKYTVFKIGSLFVSGREKPVYLYCRVN -GTNKIQMKWGMEARRCLLQSMQQMEAIVDQESSIQGYDMTKACFKGDRVNNPKTFSIGTQEGKLVKGSFG -KALRVIFTKCLMHYVFGNAQLEGFSAESRRLLLLIQALKDRKGPWVFDLEGMYFGVEECISNNPWVIQSA -YWFNEWLGIEKEGSKVLESIDEIMDE - ->NP_040986.1 polymerase PA [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MEDFVRQCFNPMIVELAEKTMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRKLADQSLPPNFSSLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPLRLPNGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPNVVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFDDCKDVGDLKQYDSDEPELRSLASWIQNEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTSEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -SSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALS - ->sp|Q20PL7.1|PA_I79A7 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCCQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIAGMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMILRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q20NV4.1|PA_I80AD RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIDPFLRTTPRPLRLPEGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHERGINPNYLLAWKQVLAELQDIEN -EDKIPKTKNMKKTSQLKWALGENMAPEKLDFEDCKNVSDLKQYDSDEPEQRSLASWVQSEFNKACELTES -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVLRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q0A452.1|PA_I66A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKVKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLKLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNVVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEPRSLASWIQSEFNKACELTDS -SWMELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDKLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q0A441.1|PA_I49A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTRVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQYIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKNISDLKQYDSDEPEQRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFVIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPYKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAQSSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q0A430.1|PA_I56A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVEPGDPNA -LLKHRFEIIEGRDRTVAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGA -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -GEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEPRSLASWIQSEFNKACELTDS -SWIELDEIGEDIAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TREGRRKTNLYGFIVKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDVTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q0A3Q2.1|PA_I78AC RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVINSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDDESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPEGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEQRSLASWIQSEFNKACELTDS -SWIELDEIGEDIAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q0A2I0.1|PA_I83A5 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGDSIIVEVGDPNA -LLKHRFEIIEGRDRNMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFVEIGVTRREIHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPEGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCRDFSDLKQYNSDEPEQRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMILRTAIGHVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESIIEAESSVKEKDMTKEFFENKSETWTIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q0A2F8.1|PA_I83A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEACFMYSDFHFIDERGESTIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIIKPHEKGINPNYLLAWKQVLAELQDIEN -EEKTPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVNDLKQYDSEEPEPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDEFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSIKEKDMTKEFFENRSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|O36432.1|PA_INBP9 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MDTFITRNFQTTIIQKAKNTMAEFSEDPELQPAMLFNICVHLEVCYVISDMNFLDEEGKSYTALEGQGKE -QNLRPQYEVIEGMPRTIAWMVQRSLAQEHGIETPKYLADLFDYKTKRFIEVGITKGLADDYFWKKKEKLG -NSMELMIFSYNQDYSLSNESSLDEEGKGRVLSRLTELQAELSLKNLWQVLIGEEDVEKGIDFKLGQTISR -LRDISVPAGFSNFEGMRSYIDNIDPKGAIERNLARMSPLVSATPKKLKWEDLRPIGPHIYNHELPEVPYN -AFLLMSDELGLANMTEGKSKKPKTLAKECLEKYSTLRDQTDPILIMKSEKANENFLWKLWRDCVNTISNE -EMSNELQKTNYAKWATGDGLTYQKIMKEVAIDDETMCQEEPKIPNKCRVAAWVQTEMNLLSTLTSKRALD -LPEIGPDVAPVEHVGSERRKYFVNEINYCKASTVMMKYVLFHTSLLNESNASMGKYKVIPITNRVVNEKG -ESFDMLYGLAVKGQSHLRGDTDVVTVVTFEFSSTDPRVDSGKWPKYTVFRIGSLFVSGREKSVYLYCRVN -GTNKIQMKWGMEARRCLLQSMQQMEAIVEQESSIQGYDMTKACFKGDRVNSPKTFSIGTQEGKLVKGSFG -KALRVIFTKCLMHYVFGNAQLEGFSAESRRLLLLIQALKDRKGPWVFDLEGMYSGIEECISNNPWVIQSA -YWFNEWLGFEKEGSKVLESVDEIMDE - ->sp|P13874.1|PA_INBAD RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MDTFITRNFQTTIIQKAKNTMAEFSEDPELQPAMLFNICVHLEVCYVISDMNFLDEEGKTYTALEGQGKE -QNLRPQYEVIEGMPRNIAWMVQRSLAQEHGIETPRYLADLFDYKTKRFIEVGITKGLADDYFWKKKEKLG -NSMELMIFSYNQDYSLSNEHSLDEEGKGRVLSRLTELQAELSLKNLWQVLIGEEDIEKGIDFKLGQTISK -LRDISVPAGFSNFEGMRSYIDNIDPKGAIERNLARMSPLVSVTPKKLKWEDLRPIGPHIYSHELPEVPYN -AFLLMSDELGLANMTEGKSKKPKTLAKECLEKYSTLRDQTDPILIMKSEKANENFLWKLWRDCVNTISNE -ETSNELQKTNYAKWATGDGLTYQKIMKEVAIDDETMYQEEPKIPNKCRVAAWVQTEMNLLSTLTSKRALD -LPEIGPDVAPVEHVGSERRKYFVNEINYCKASTVMMKYVLFHTSLLNESNASMGKYKVIPITNRVVNEKG -ESFDILYGLAVKGQSHLRGDTDVVTVVTFEFSSTDPRVDSGKWPKYTVFRIGSLFVSGREKSVYLYCRVN -GTNKIQMKWGMEARRCLLQSMQQMEAIVDQESSIQGYDMTKACFKGDRVNSPKTFSIGTQEGKLVKGSFG -KALRVIFTKCLMHYVFGNAQLEGFSAESRRLLLLIQALKDRKGPWVFDLEGMYSGIEECISNNPWVIQSA -YWFNEWLGFEKEGSKVLESIDEIMDE - ->sp|P13167.1|PA_I77AF RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPEGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHERGINPNYLLAWKQVQAELQDIEN -EDKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEQRSLASWVQSEFNKACELTDS -SWIELDEIGENVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINSALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVTTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P12444.1|PA_I34A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEEFVRQCFNPMIVELAEKTMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESKIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLAEQSLPPNFSSLENFRAYVDGFKPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLHDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWNQVLAELKDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDISDLKQYDSDEPEQRSLASWIQSEFNKACELTDS -GWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQVESMVEAESSVKEKDMTKEFFENKSKTWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYGAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|A3DRP7.1|PA_I96A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVEPEDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPIRLPDGPPCFQRS -KFLLMDSLKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPSVVKPHGKGINPNYLLSWKQVLAELQDIES -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDDCKDIIDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENRSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|A4GBY4.1|PA_I77AA RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPIRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYVVKPHEKGINPNYLLSWKQVLAELQDIEN -EGKIPRTKNMKKTSQLKWALGENMAPEKVDFDDCKDISDLKQYDSDEPKLRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|A8C8X0.1|PA_I67A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKTMKEYGENPKIETNKFAAICTHMEVCFMYSDFHFINERGESIIVEPGDSNA -LLKHRFEIIEGRDRNMAWTVVNSICNTTGVGKPRFLPDLYDYKEDRFIEIGVTRREIHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLDNFRAYVDGFEPNGYIEGKLSQMSREVNARIEPFLKTTPRPLRLPCGPPCFQRS -KFLLMDALKLNIEDPSHEGEGIPLYDAVRCMKTFFGWKEPTIVKPHEKGINSNYLLAWKQVLAEIQDIED -EKKIPRIKNMKKTSPLKWALGENMAPEKVDFDDCKDVSDLKQYDSDEPEFRSLASWIQNEFNKACELTDS -SWLELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCILEIGDMVLRTAIGQVARP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNCLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYESIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|A4U6V9.1|PA_I45A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKVETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTIVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRKLADQSLPPNFSCFENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPLKLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPNVVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFDDCKDVSDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAVEECLINDPWV -LLNASWFNSFLTHALR - ->sp|A4GCL5.1|PA_I43A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRKLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPNIVKPHEKGINPNYLLSWKQLLAELQDIEN -EGKIPKTKNMKKTSQLKWALGENMAPEKVDFDDCKDVSDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSALGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAVEECLINDPWV -LLNASWFNSFLTHALR - ->sp|A4GCJ3.1|PA_I36A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKTMKEYGENLKIETNKFAAICTHLEVCFMYSDFHFINEQGESTIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKTDYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRKLADQSLPPNFSSLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPLRLPNGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPNVVKPHEKGINPNYLLSWRQVLAELQDIEN -EEKIPKTKNMKKTSHLKWALGENMAPEKVDFDDCKDIGDLKQYDSDEPELRSLASWIQNEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTSEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTBPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -SSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|A8C8K1.1|PA_I07A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVEPEDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCIENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPIRLPNGPPCFQRS -KFLLMDSLKLSIEDPNHEGEGIPLYDAIKCMRTFFGWKEPTVVKPHEKGINPNYLLSWKQVLEELQDIES -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDDCKDVSDLKQYDSDEPELRSFSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWERYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENRSETWPIGESPEGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q809J7.2|PA_I01A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESTIVESSDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLYTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCFQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMRKTSQLKWALGEKMAPEKVDFEDCKDVSDLRQYDSDEPQPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCILEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTREFFENKSETWPIGESPKGMEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q6DNX6.2|PA_I02A6 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERSESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFIPDLYDYKENRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEEKFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTGQLKWALGENMAPEKVDFEDCKDVSDLRQYDSDEPESRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAVGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIAQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLAHALK - ->sp|Q6DNV6.2|PA_I03A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -CFNPMTVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESMIVESGDPNALLKHRFE -IIEGRDRTMAWTVVNSICNTTGVDKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKSEKTHIHI -FSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGTMRRLADQ -SLPPNFSSLENFRAYVDGFKPNGCIEGKLSQMSKEVNARIEPFQKTTPRPLRLPDGPPCSQRSKFLLMDA -LKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNVAKPHEKGINPNYLLAWKQVLAELQDIENEEKIPKT -KNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLRQYDSDEPESRSLASWIQSEFNKACELTDSSWIELDE -IGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCRTKEGRRK -TNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRPMFLYVRT -NGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEEGSIGKVC -RTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWVLLNASWF -NSFLTHALK - ->sp|Q0HD53.1|PA_I40A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKLAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEEKFEITGT -MRKLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFFKTTPRPIRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYVVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFDDCKDVSDLKQYYSDEPELRSLSSWIQNEFNKACELTDS -VWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAVEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q3YPY8.1|PA_I71A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPIRLPDGPPCFQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYIVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDNCRDISDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEYIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLIRSAIGQMSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKDFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q3HM39.1|PA_I18A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPNVVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFDDCKDVSDLKQYDSDEPELRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q2VC91.1|PA_I80A2 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFVDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGIEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLANQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPEGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEQRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSRCRATEYIMKGVYINTALLNASSAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENNSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q2F4H0.1|PA_I05A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERSESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKEDRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEEKFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIRCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLRQYDSDEPESRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAVGQVSRP -IFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNNLYASAQLEGFSAESRKLLLITQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLAHVLK - ->sp|Q20P15.1|PA_I56A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIIELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARVKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEIRGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIVKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSEKWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q0A2K9.1|PA_I02A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKLETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESSDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEVGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKEDYTLDEESRARIKTRLYTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPERRSPASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFNLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q0A2G9.1|PA_I59A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYRENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINLNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVGDLKQYDSDEPEPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNYVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYMRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q6DNY0.1|PA_I02A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIENPSHEGEGIPLYDAIKCMKTFFGWKEPNIIKPHEKGINPNYLLVWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFESKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRRLLLIVQALGDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q6DNX8.1|PA_I02A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -YGEDPKVETNKFAAICTHLEVCFMYSDFHFIDERNESIIVESGDPNALLKHRFEIIEGRDRTMAWTVVNS -ICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKSEKTHIHIFSFTGEEMATKADYIL -DEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEINGTMRRLADQSLPPNFSSLENFRAYV -DGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRSKFLLMDALKLSIEDPSHEGEGIP -LYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAEIQDIENEEKIPKTKNMKKTGQLKWALGEN -MAPEKVDFEDCKDVSDLRQYNSDEPESRSLASWIQSEFNKACELTDSSWIELDEIGEDVAPIEHIASMRR -NYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCRTKEGRRKTNLYGFIIKGRSHLRN -DTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRPMFLYVRTNGTSKIKMKWGMEMRR -CLLQSLQQIESMIEAESSVKEKDMTKEFFESKSETWPIGESPKGVEEGSIGKVCRTLLAKSVFNSLYASP -QLEGFSAESRRLLLIVQALGDNLEPGTFDLGGLYEAIEECLINDPWVLLNASWFNSFLTHALR - ->sp|O89752.1|PA_I97A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKTMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRAMAWTVVNSICNTTGVDKPKFLPDLYDYKENRFTEIGVTRREVHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFKPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWREPNIIKPHEKGINPNYLLAWKQVLAELQDIEN -EDKIPKTKNMKKTSQLMWALGENMAPEKVDFEDCKDIDDLKQYHSDEPELRSLASWIQNEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRRTNLYGFIVKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGEMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSIKEKDMTKEFFENRSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYSSPQLEGFSAESRKLLLIVQALRDNLEPGTFDLEGLYGAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q9EA39.1|PA_I77A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKKNRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFFCLENFRAYVDGFEPNGCIEGKLSQMSKEVNAKIEPFLKTTPRPIKLPDGPPCFQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYIVKPHERGINSNYLQSWKQVLAELQDIEN -EEKIPRTKTMKKTSQLKWALGENMAPEKVDFENCRDISDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEYIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQMSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q91MA9.1|PA_I68A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNAKIEPFLKTTPRPIRLPDGPPCFQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYIVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDNCRDVSDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -TWIELDEIGEDVAPIEYIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQMSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVED -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALKDNLEPGTFDLEGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|P67922.1|PA_I57A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEEFVRQCFNPMIVELAEKAMKEYGEDRKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNAKIEPFLKTTPRPIKLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYVVKPHDKGINPNYLLSWKQLLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDDCRDISDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSQCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|Q82570.1|PA_I47A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGRSIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKEDRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEEKFEITGT -MRNVADQSLPPNFSCLENFRAYVDGFKPNGYIEGKLSQMSKEVNARIEPFFKTTPRPIRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYVVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFDDCKDVSDLKQYYSDEPELRSISSWIQNEFNKACELTDS -VWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIAPVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAVEECLINDPWV -LLNASWFNSFLTHALR - ->sp|O91742.1|PA_I93A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFRQSERGEETIEEKFEISGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGCIEGKLSQMSKEVNAKIEPFLKTTPRPIKLPNGPPCYQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCIRTFFGWKEPYIVKPHEKGINSNYLLSWKQVLAELQDIET -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDNCRDISDLKQYDSDEPELRSLSSWIQNEFNKACELTDS -IWIELDEIGEDVAPIEYIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQMSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSEAWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P31342.1|PA_I78A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVAQSFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIIKPHEKGINPNYLLAWKQVLAELQDVEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPEPRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVTFVSMEFSLTDPRLEPHKWERSCVLEIGDMLLRTAIGQAPRP -TFLYVRTNGTSKIKMKWGMETRRCLPHLLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFNLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P13177.1|PA_I77AD RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKTMKEYGENPKIETNKFAAICTHMEVCFMYSDFHFINERGESIIIEPGDSNA -LLKHRFEIIEGRDRNMAWTVVNSICNTTGVGKPRFLPDLYDYKEDRFIKIGVTRREVHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEIKGT -MRRLADQSLPPNFSSFDNFRAYVDGFEPNGYIEGKLSQMSREVNARIEPFLKTTPRPLRLPCGPPCFQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAVRCMKTFFGWKEPIIVKPHEKGINSNYLLAWKQVLAEIQDFED -EKKIPRVKNMKKTSPLKWALGENMAPEKVDFEDCKDVSDLKQYDGDEPEFRSLASWIQNEFNKACELTDS -SWLEIDEIGEDIAPLEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLELHKWEKYSILEIGDMVLRTAIGQVARP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNCLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYESIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|P13175.1|PA_I30A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPRIETNKFAAICTHMEVSFMYSDFHFINERGESIIVESGDPNA -LLKHRFEIIEGRDRAMAWTVVNSICNTTGVGKPKFLPDLYDYKEDRFIEIGVTRREVHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKTTPRPLRLPGGPPCFQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPIIVKPHEKGINSNYLLAWKQVLAEIQDIES -EKKVPRTKNIKKTSQLKWALGENMAPEKVDFDDCKDVSDLKQYDSDEPEFRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTSIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYESIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|P13174.1|PA_I82A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGENPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETVEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDISDLKQYDSDEPEARSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASIRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P13173.1|PA_I78A9 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEICFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKLLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPLCSQRS -KVMMMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPESRSLASWIQSEFNKACELTDS -SWIELDEIGEDIAPIEHIASIRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P15659.1|PA_I33A0 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFIDEQGESIVVELGDPNA -LLKHRFEIIEGRDRTIAWTVINSICNTTGAEKPKFLPDLYDYKKNRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRKLADQSLPPNFSSLENFRAYVDGFEPNGYIEGKLSQMSKEVNARIEPFLKSTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPNVVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDDCKDVGDLKQYDSDEPELRSLASWIQNEFNKACELTDS -SWIELDEIGEDAAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEVGDMLLRSAIGHVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPVGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|P13176.1|PA_I57A5 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAERAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSCLENFRAYVDGFEPNGYIEGKLSQMSKEVNAKIEPFLKTTPRPIRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMRTFFGWKEPYVVKPHEKGINPNYLLSWKQVLAELQDIEN -EEKIPRTKNMKKTSQLKWALGENMAPEKVDFDDCRNISDLKQYDSDEPELRSLSSWIQNEFNKACELTNS -IWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRSAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRPCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLVVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALR - ->sp|P13172.1|PA_I85A7 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFKPNGCIEGKLSQMSKEVNARIEPFLRTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAINCMKTFFGWKEPNIIKPHGKGINPNYLLTWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEECKDVSDLKQYDSDEPESRSLASWIQSEFNKACELTDS -SWIELDEIGEDIAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAVGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHAVK - ->sp|P13171.1|PA_I79A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIMEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADQSLPPNFSSIENFRAYVDGFEPNGCIEGKLSQMSKEVNARIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIIKPHEKGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPDTRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQVIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P13169.1|PA_I86A3 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFINELGESVIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTRAEKPKFLPDLYDYKENRFVEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLANYSLPPNFSSLENFRVYVDGFEPNGCIESKLSQMSKEVNARIEPFSKTTPRPLRIPGGPPCHQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPSIVKPHEKGINPNYLQAWKQVLAELQDLEN -EEKDPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDINDLKQYDSDEPETRSLASWIQSEFNKACELTDS -SWIELDEIGEDIAPIEYIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDEFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRFEPHKWEKYCVHEIGDMLLRTAVGQVSRP -MFLYVRTNGTSKIKMKWGMEIRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDIGGLYESIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P13168.1|PA_I73A4 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFINELGESVIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTRAEKPKFLPDLYDYKENRFVEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETIEERFEITGT -MRRLADYSLPPNFSSLENFRAYVDGFEPNGCIESKLSQMSKEVNTRIEPFSKTTPRPLRTPGGPPCYQRS -KFLLMDALKFSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIVKPHEKGINPNYLQAWKQVLAELQDLEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDISDLKQYDSDEPETRSLASWIQSEFNKACELTDS -SWIELDEIGEDVAPIEHIASMRRNYFTAEVSHCRATEYIMKGVYINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHNWEKYCVLEIGDMLLRTAVGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMTEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKILLIVQALRDNLEPGTFDIGGLYESIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|P13166.1|PA_I80A6 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFRQSERGEETVEERFEITGT -MRRLADQSLPPNFSSLENFRAYVDGFEPNGCIEGKLSQMSKEVNAKIEPFLKTTPRPLRLPDGPPCSQRS -KFLLMDALKLSIEDPSHEGEGIPLYDAIKCMKTFFGWKEPNIIKPHERGINPNYLLAWKQVLAELQDIEN -EEKIPKTKNMKKTSQLKWALGENMAPEKVDFEDCKDVSDLKQYDSDEPETRSLASWIQSEFNKACELTDS -SWIELDEIGEDIAPIEHIASIRRNYFTAEVSHCRATEYIMKGVDINTALLNASCAAMDDFQLIPMISKCR -TKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGDMLLRTAIGQVSRP -MFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSETWPIGESPKGVEE -GSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIVQALRDNLEPGTFDLGGLYEAIEECLINDPWV -LLNASWFNSFLTHALK - ->sp|Q809K1.1|PA_I01A1 RecName: Full=Polymerase acidic protein; AltName: Full=RNA-directed RNA polymerase subunit P2 -DDFQLIPMISKCRTKEGRRKTNLYGFIIKGRSHLRNDTDVVNFVSMEFSLTDPRLEPHKWEKYCVLEIGD -MLLRTAVGQVSRPMFLYVRTNGTSKIKMKWGMEMRRCLLQSLQQIESMIEAESSVKEKDMTKEFFENKSE -TWPIGESPKGVEEGSIGKVCRTLLAKSVFNSLYASPQLEGFSAESRKLLLIAQALRDNLEPGPSILEGYM -KQLRSA - diff --git a/seq/clusters_seq/cluster_460 b/seq/clusters_seq/cluster_460 deleted file mode 100644 index b514b74..0000000 --- a/seq/clusters_seq/cluster_460 +++ /dev/null @@ -1,192 +0,0 @@ ->YP_009508093.1 polyprotein [Olive latent ringspot virus] -MVKFTTERLAQFALAWNLGNGTYPNPPPVWLYPTLVGYVSKGPGRALFPIAVKSAIKAGWEPVFLIFPEA -RPTSVPTAAAPVKADWGPLEAYEPLCFPLPLPGAGSAFTLLSAHCPRLLLKRRKRTMTSSSLRGLFPRSL -VRRETPFMRVMALASEAKESEEAEIASAFDELPLEYPQEEFVPDLLARLRGAIQDGKRFRLKEAAELAVR -EAAAAARLKERQRIALKCAMQAASMEPVYLSFSPRVVEMIARSPVGQGSHIHTLQSEGGQIPIEPAPNFQ -GRSQMVGSSSGAVSAPYKQEARNKWLRNRRSQIDSQEDNIRKYADQQGISFENARAAFLGATEAIPRQDP -ILPPLKLAYKRRGTFAFGPSTRAQRTLDVRLGQPTQNGQSMVYYFNPVSQQEIQDAQGQGNDTVVIDAIE -ISILPFGMPGDATDITGVVMWAQNSDPERAFIGSLSTFVGNGLARAIFFPQLHLSYEHCQVPDNRVLKVI -LSSTNSTMVGDLVQARVSLGTLRQHIGPGHDRTITRDLQNSQVLGLQLKATQLGSAVTTAPSGGEVIGIP -DANVDLGGDTTLEQTGTFSFALRRAQSQRFEILGSRRSRPSVSREEDVRNLEAARHSFMEAKAGSTQQES -ERPVDLVGVKWVSVPKDAKQSAFLGTHNFREIAKEYAGEFYNRWVYNRNISPCILVRCHLPKMPFLGLTL -GMCADFYNRIKPSDMANELPPSVAFSLPHRLMHFNAIDGPVDYIYDVGESCGHSIYVDSASFSEPLFHFF -CATSNHLLGAGNWRFLVEYYVYRDSPDVTFVDRPLCILPLSPSVVHNLDRTLGPFSISIDANSHETYHGI -DLGSDLMTDKGKIANFSSAWFSHWLGFQGILHGRITVSSPITCTSIFLLCMCYKRNASAYTSIYRKRHIT -LEGGGNFSFPLDSPFSSAPTRYVSTADSSSGCELYVDTICGPIAPADSVTKLEYFLHFDRLEGTMTAPNL -VAEIGSLWCRVTSFLKEDVDIPIRARIYDIAAKDCTLELATNPFSKMIAATGFLEGQVTLSVSWSTNVEA -SKIKGHIIFVVYDADLVNSFDGASTVVPMAKGSFKCLLNCKTSARGTTSADPSLLTAWMMIKVHHAKDIQ -ELRVNVIPQTGFKFYGRSAEAIKVP - ->YP_009507924.1 polyprotein 2 [Mulberry mosaic leaf roll associated virus] -MDTQVTSFFFLLIFWVGFCYWYFVSSFVETFSSSLLLSSFRLVGLDSSRAFDTLLRLPLARYSSLDTVFF -PEFSAYVSRSESYFGSTFVTRLFSRFGFWTLFVHFYNLDLLFSFVLFYLNLILDSCLLILTSFHRIVSSF -YFNCTVFTSFYFMGFLDFCRQQAEKRAVAAAQRDAELYALLVERIALIEQSNLQATRAPASETSDARASG -SQTQVVTAAAPFQQPGGVTGVAERWRRARAQEQNVREQARRLSETGSGTASATPTFTQAGRAYLLADGAT -ATDASLLPRRGAIYGSRVMGIPRLARSVDVRSLRTLMTEAGAIRASDGGVLINFNPCPEEEMEAQRDMGN -NSYHLDLVELFVDPSGLGGDDTDLSIVATWGGETEFTRAWLGTTAQYLGNGSSATVLAHGVRIFYESANH -HRDLQLRVGSTNSTRDTDQVLAAIYVGTVRQHTGPDSSLRHVISNTVARDQEAGRLIRAQQLGNVWAITP -TGGFVPGIPDVRMRLHGNSVLEMVDPMTYRAVSTPDQWFTVRGDGQGRLNRTRSNFVSLPREEVARRSID -ALTHSQIPGNPRDMVEEPRTSNLAGFSTPADILFSTSFMVPKDAKEGAVLSSFNILEEAETFNSQFYNEW -FASNLFLGGLNLVCEAPDSKYCGTALLFVFDFYDRLDTTMTSLKLEVGKHFPHTVHILRNGDRHVFPLSL -KEHFGHALHARGGGFCNPRVFVSVATGNQIESFDAWKCTINFMVSREAFGDQFSLGPVATWPPAPISLSR -YLGPFTVNSGTSLNDVDFSIALGSVSKFSGGAIMSFPSAVFSCFQGSSGSLRFVLEPTCSLFCTAKFICV -LVFGSFVPSTAQMWKMHHVVVTGGEVSTLPFDVPFGAVPNVGLKGARFICRPIGGVKAPKDFTGKYECLI -HLLGIEGESLSRRVFSDTDDFMSWFSCGAITKDDFTLEIPARLRDFSTKDATFTMYSNGFSQMVGAAGFH -MGEVELEFTWSLDSSIAEAKGWISLSTLFGPVANNFRGHYTVSNCVLPTSKIVRLSVGTFAGGTTANFKT -YDTNSVKFHTNIGKYISQINVGIRPLPGFSFYGRSAIIRKNPP - ->YP_006347590.1 p2 protein [Grapevine deformation virus] -MGKFYFSDRRLAAYCLGTDGRGTFEQWLQCMEDPSFRKEVKERVQFDRAVPSVSRIFEYPVGRGPVEGPA -GIAWHYIVHGHSLGLVPPTQPAKADEVVVPQPKKVVIPLPPPAPKPYFRPVGAFAPTRSGFIRATVERLS -REREESRAAALFAELPLEFPQGAPLRLSLAVKFAMLKHTTWRKWYDTSDERLLEAHPGGPCLPPPPLIQN -PPSFCERVREFCRMKSCARAFALETSLGLNKAWVGYVDIPSISVCCADGRTTGGQTIAQEADPLQHRVSS -NTAPGRAQWISERRQALRRREQANSLQGLAAQTDMTFEQARNAYLGAADMIEQGLPLLPPLRSAYAPRGL -WRGPSTRANYTLDFRLNGIPTGTNTLEILYNPVSEEEMEEFRDRGMSAVVIDALEIAINPFGMPGNPTDL -TVVATYGHERDMTRAFIGSASTFLGNGLARAIFFPGLQYSQEEPRRESLIPLHVASTNATVDTDSLLAAI -NVGTLRQHVGSMHYRTVASAEHQAQVQGTTLRATMMGNTVVVSPERSLFTGTPNAHVEIGGGSSINMVGP -LQWESVEEPGQTFSIPSRSRSVRIDRNADVGEALPRMSSTTRGLAGRGSVQVPKDCRKDAFLKTLDMRSM -TAGFAGIQYEKWITSGLCMPKFEVVIRYPPNAFTGLTWVMSFDAYNRITTSITTTASPVYTLSVPHWLLF -HAKGTTTCELDYGELCGHAMWFESTTFESPKLHFTCITGNNKELAADWEFVVELYAEMEPVSSFLGRPNF -VHTANPTLGSFKFFTLQPQYYALNTASAIKNVALDLGSTLRSGTNLVYSYNNALLSYFLGFGGIIKGKIH -FCGPITYGAVIRIVSEWAGNTATWNNVFKYPGVNVDSSGEFEIEIRSPYHRTPLRLMDTQVGTNMSTLSL -YAISGPIAPSGETADMPIILEIDEIKLPDLSVPTFPNDYFLWVDFSAFTVDEEEYVIGSRFFDISSSTST -VALGNNPFAHMIACHGLHCGTLELKFMWSLGTTTYGGSSGSVIFTKLCGDKATGLDGGSEVIALQELSHT -TSMYIGNFAGVNPNTALSLYSRWFAIKLDKARSMKILRVLCRPIGDFNFYGRTSFRV - ->YP_004382747.1 polyprotein 2 [Cherry leaf roll virus] -MVKPVVFSNGESVLPKALISEAREVAAFLKSTRNPAGFWVTFVAQGTSLSVSQVALCAINGIVCRQSVES -HSNGPSAVAFWSALRARLRSFLKGHGRWVTSLLAKTTEAFEAQDLCRYQRQRTAYLARGAVLRAKALRKR -KTALRKERAAQLAQRQLEGERRAAARLVRETRKGLQILRCKLAALFSPPPPFPTTEWAWESLPSSPLPTY -WEFFSDATSVARTPSRGATLLSALPEGVDSFLPSSFPRRMTLQSAQTTKGSFGLVLRRMILKAVAAQRSF -LAAFYNYFFKREDVIAEEKSSISEVPAEDDPYAGFDHWGAYSAFLSSVLPFPTLRVGKFHSAGNYQYCAR -ASTCAEMDMHLHSLFEECKECRMGAGPPSQGFSPLFREPLNRGRLFLAALPERLVLPDLQMHLHSFFDEC -TCYAGHVADTLNYRVPSTRDCAVVAKRTGVAIKHVHALLSCWDRILEIPSVRPPLFERGWRKSVKSICTA -HALWAQSQVSSCEPMDMDVHSLFCECAECEINSSHPPLGSKSISLSGPPTLFSSRPRYSLPKYAGNSLVY -TPDKCVHCKNEAELPVSVAEREAYLTITGKYGVCLCGGPRIVKTLQPSSVYTYAKRCGWKFLNPVRYHYA -TYEEDYLCFMRALDALQASFVIEQPRSKIEIRGLLRYVVRAPAPPIEDEDLFLDAPSYLPAQSGIGANVQ -TLHQREPSGTEQTPFQGASRPLGASDGAVATQAPFRQEARQRWLGRRAHDLESQEDRIRKIADSQGISYA -SARAAYGAPDEAVPSQAPILPRLDEAYTRDSVVPRFLLGRTASTRAQRTVDVVLASPSVDKENHTATFYF -NPVSQQEIDRMKSSGNTMVSIDAVEIAIDPVGMPGDDTDLTVLVMWCQNTDPQRAILGALSTFVGNGLAR -CVFYPGLKLMHQHCSAPDGRVLKVMVSSTNSTLIGGLPQAQVSIGTLRQHIGPGHDRTISRALATAQVQG -YNVRAVQQGNATVFAPQGGHVEGTPSADLQMGAGETLVQTGGTHWKLQRSASSRFVVEGTSRTVGPRRMA -DVRVDRGDFPDQGARGQQQPPVNMPLQSGILPGMHWSAATSFKCAAEAAENSILARWSLRSIISESGTDA -WIKWQREQRSTFLVEGTIAMSVNIMAGTTLGLVCDAFNRAKHLDNFPSALGQNMPQKVFPLSNPLERNFS -FSMSELLGYTMHPHASAYEDVQFILYVLNTNDVACAAEWGGHILWQVKDDAAEPYELQLPVVPKDGARLD -VWRGPATMSQGLFPYTTNANLGFAEPRSVLTGYAPITSFHQAALSYYISYGGTIHGRLVKIGSGLVQVDI -ALAMWHECADMVSYRGIIKIPHVLLRGGEGEFALPINAPFGYTSTRDRGPTLAVCLVSGVVAPKDCSAPY -RYMIYFDRVEFNAQLPPVIANRLQFLWASFSEFKPVVPASNRTWMIPCRLSDYKVEGATIKMEAHPLARL -VASAGMFQGTMRFILRWTFSNTLNTPTTYVQLTHKFGTATVNESYLTKLAHASQATEISIDVVVAGLGGF -MRSGVAESRENFVAVSLSKPGDLAKLDIIIELMPGFRFRGPTITPLVRV - ->YP_053924.1 polyprotein P2 [Arabis mosaic virus] -MAKFYYSDRRLACWAAGKNPHLGGSIESWLAAIKSDSSFRQTVKEDVQVNRLQPTAVRMFSWKVGSGPID -NPEKCNWHYVLTGEVPAQPTEPVKAREVVVPPVKVIPSPPPVPRPYFRPVGAFAPTRSGFIRATVERLSR -KREESRAAALFAELPLEYPQGAPLVVPRGFAAMRWTYHATWRRWYDASDERALRVHPGGPALPPPPPPPP -IQKPPSFEERLQAALERQSCARAFALETSLGLNMAWLGTAAIPSTSVCCADGRTTGGQTIAQEADPINHR -VTSNTAPGRAQWISERRSALRRREQANSLQSLAAQTDMTFEQARNAYLGAADMVEQGLPLLPPLRNAYAP -RGLWRGPSTRANYTLDFRLNGIPTGQNTLEILYNPVADEEMDEYRDRGMSAVVIDALEIAINPFGMPGNP -TDLTVVATYGHERNMERAFIGSSSTFLGNGLARAIFFPGLQYSQEEPRRESIIRLYVASTNATVDADSIL -AAISVGTLRQHIGSLHNRTVASSVHAAQVQGTTLRATMMGNAVVVSPEGSLVTGTPEANVQIGSGSSMRM -VGPLAWENVEEPGQTFTIRNRSRSMRVDRNADVGVALPRMSTTTRGLAGRGSVQVPKDCQAGRYLKTLDL -RDMVSGFSGIQYEKWITAGLVMPDFKVVIRYPANAFTGITWVMSFDAYNRITSSITTTASPAYTLSVPHW -LLHHKNGTTSCDIDYGELCGHAMWFNATTFESPKLHFTCLTGNNKELAADWEFVVELYAEFEAAKTFLGR -PNFVYSADAFNGSFKFLTIPPLEYDLSTTSAYKSVSLLLGQTLIDGTHKVYNYNNTLLSYYLGIGGVVKG -RVHICSPCTYGIVLRVVSEWNGVTNNWNQLFKYPGCYIGEDGNFEIEIRSPYHRTPLRLLDAQAASAFTS -TLNFYAISGPIAPSGETAKMPVVVQIDEIALPDLSVPSFPNDYFLWVDFSAFTVDAEEYVIGSRFFDISS -TTSTVHLGDNPFAHMIACHGLHHGILDLKLMWDLEGEFGKSSGGVTITKLCGDKATGMDGASRVCALQNM -GCETELYIGNFAGANPNSALSLYSRWLAIKLDKARSMKMLRILCKPRGNFEFYGRTCFRV - ->NP_620762.1 polyprotein [Tomato ringspot virus] -MSSICFAGGNHARLPSKAAYYRAISDRELDREGRFPCGCLAQYTVQAPPPAKTQEKAVGRSADLQKGNVA -PLKKQRCDVVVAVSGPPPLELVYPARVGQHRLDQPSKGPLAVPSAKQTSTAMEVVLSAEEAAITAPWLLR -PCKGEAPPPPPLTQRQQFAALKKRLAVKGQQIIREHIRARKAAKYAAIAKAKKAAALAAVKAAQEAPRLA -AQKAAISKILRDRDVAALPPPPPPSAARLAAEAELASKAESLRRLKAFKTFSRVRPALNTSFPPPPPPPP -ARSSELLAAFEAAMNRSQPVQGGFSLPTRKGVYVAPTVQGVVRAGLRAQKGFLNAVSTGIVAGARILKSK -SQNWFRRSMGIAHDYVEGCMASTVLGCAGPVVQRQEACSVVAAPPIVEPVLWVPPLSEYANDFPKLTCST -FTEWQRPRKQSIAISNLFRKLIDRALLVSGVSLIASVLLFEIAENFAVRQAVCPVEMPSCATSVSEKSLV -SLDEGNFYLRKYLSPPPYPFGRESFYFQARPRFIGPMPSMVRAVPQIVQQPTMTEELEFEVPSSWSSPLP -LFANFKVNRGACFLQVLPQRVVLPDECMDLLSLFEDQLPEGPLPSFSWSSPLPLFANFKVNRGACFLQVL -PQRVVLPDECMDLLSLFEDQLPEGPLPSFSWSSPLPLFASFKVNRGACFLQVLPARKVVSDEFMDVLPFL -FSPLVSHQEEEPEMVPAVLEAADSVGDITEAFFDDLECESFYDSYSDEEEAEWAEVPRCKTMSELCASLT -LAGDAEGLRKSHGVFLKRLVTYLQSFEEPLYSSRAFYSVKVKPVYRPKKFEGHIDCTCLDGNMGEWEWRE -SVDAMWRCPGRLLNTKRTFTRDDWERVQYLRIGFNEGRYRRNWRVLNLEEMDLSLHEYPEISSAPVQSSL -FSRVVDRGATLASSIPFVTRSNCQSSLGTPGLNVHTIHQEAPTTLRAPPFTGARNVMGSSDAGANAAPYR -SEARKRWLSRKQEDSQEDNIKRYADKHGISFEEARAVYKAPKEGVPTQRSILPDVRDAYSARSAGARVRS -LFGGSPTTRAQRTEDFVLTSPSAGDASSFSFYFNPVSEQEMAEQERGGNTMLSLDAVEVVIDPVGMPGDD -TDLTVMVLWCQNSDDQRALIGAMSTFVGNGLARAVFYPGLKLLYANCRVRDGRVLKVIVSSTNSTLTHGL -PQAQVSIGTLRQHLGPGHDRTISGALYASQQQGFNIRATEQGGAVTFAPQGGHVEGIPSANVQMGAGEHL -IQAGPMQWRLQRSQSSRFVVSGHSRTRGSSLFTGSVDRTQQGTGAFEDPGFLPPRNSSVQGGSWQEGTEA -AYLGKVTCAKDAKGGTLLHTLDIIKECKSQNLLRYKEWQRQGFLHGKLRLRCFIPTNIFCGHSMMCSLDA -FGRYDSNVLGASFPVKLASLLPTEVISLADGPVVTWTFDIGRLCGHGLYYSEGAYARPKIYFLVLSDNDV -PAEADWQFTYQLLFEDHTFSNSFGAVPFITLPHIFNRLDIGYWRGPTEIDLTSTPAPNAYRLLFGLSTVI -SGNMSTLNANQALLRFFQGSNGTLHGRIKKIGTALTTCSLLLSLRHKDASLTLETAYQRPHYILADGQGA -FSLPISTPHAATSFLEDMLRLEIFAIAGPFSPKDNKAKYQFMCYFDHIELVEGVPRTIAGEQQFNWCSFR -NFKIDDWKFEWPARLPDILDDKSEVLLRQHPLSLLISSTGFFTGRAIFVFQWGLNTTAGNMKGSFSARLA -FGKGVEEIEQTSTVQPLVGACEARIPVEFKTYTGYTTSGPPGSMEPYIYVRLTQAKLVDRLSVNVILQEG -FSFYGPSVKHFKKEVGTPSATLGTNNPVGRPPENVDTGGPGGQYAAALQAAQQAGKNPFGRG - ->NP_619706.1 unnamed protein product [Grapevine fanleaf virus] -MGKFYYSNRRLACWAAGKNPHLGGSVEQWLAAINTDPSFRQTVKEDVQENREQPTAVRMFSWKVGSGPID -NPEKCDWHFVLTGERPAPSRPVKADEVVVVPQPKKVVIPTPPPPPAPYFRAVGAFAPTRSEFVRAIVERL -TRLREESRAAALFAELPLEYPQGAPLKLSLAAKFAMLKHTTWRKWYDTSDERLLEAHPGGPCLPPPPPIQ -NPPSFQERVREFCRMKSCTKAFALETSLGLNKAWVGLVDIPSTSVCCADGKTTGGQTIAQEADPLQHRIS -TSVAPGRAQWISERRQALRRREQANSFEGLAAQTDMTFEQARNAYLGAADMIEQGLPLLPPLRSAYAPRG -LWRGPSTRANYTLDFRLNGIPTGTNTLEILYNPVSEEEMEEYRDRGMSAVVIDALEIAINPFGMPGNPTD -LTVVATYGHERDMTRAFIGSASTFLGNGLARAIFFPGLQYSQEEPRRESIIRLYVASTNATVDTDSVLAA -ISVGTLRQHVGSMHYRTVASTVHQAQVQGTTLRATMMGNTVVVSPEGSLVTGTPEARVEIGGGSSIRMVG -PLQWESVEEPGQTFSIRSRSRSVRIDRNVDLPQLEAEPRLSSTVRGLAGRGVIYIPKDCQANRYLGTLNI -RDMISDFKGVQYEKWITAGLVMPTFKIVIRLPANAFTGLTWVMSFDAYNRITSRITASADPVYTLSVPHW -LIHHKLGTFSCEIDYGELCGHAMWFKSTTFESPRLHFTCLTGNNKELAADWQAVVELYAELEEATSFLGK -PTLVFDPGVFNGKFQFLTCPPIFFDLTAVTALRSAGLTLGQVPMVGTTKVYNLNSTLVSCVLGMGGTVRG -RVHICAPIFYSIVLWVVSEWNGTTMDWNELFKYPGVYVEEDGSFEVKIRSPYHRTPARLLAGQSQRDMSS -LNFYAIAGPIAPSGETAQLPIVVQIDEIVRPDLSLPSFEDDYFVWVDFSEFTLDKEEIEIGSRFFDFTSN -TCRVSMGENPFAAMIACHGLHSGVLDLKLQWSLNTEFGKSSGSVTITKLVGDKAMGLDGPSHVFAIQKLE -GTTELLVGNFAGANPNTRFSLYSRWMAIKLDQAKSIKVLRVLCKPRPGFSFYGRTSFPV - ->sp|Q91HK5.1|POL2_GFLVN RecName: Full=RNA2 polyprotein; AltName: Full=P2; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Movement protein; AltName: Full=2B-MP; Contains: RecName: Full=Coat protein; AltName: Full=2C-CP -MGKFYYSNRRLACWAAGKNPHLGGSVEQWLAAINTDSSFRQTVKEDVQDNREQPTAIRMFSWKVGFGPID -NPEKCDWHFVLTGERPAQPTRPVKADEVVVVPQLKKVVIPSPPPPPAVYFRAVGAFAPTRSGFIRATVER -LSREREESRAAALFAELPLEYPQGAPLKLSLAMKFAMLKHTTWRKWYDTSDERLSEAHPGGPCLPPPPPI -QNPPFFQERVREFCRMKSCARAFALETSLGLNRAWVGLVDIPSTSVCCADGRTTGGQTIAQEADPLQHRV -STSVAPGRAQWISERRQALRRREQANSLQGLAAQTDMTFEQARNAYLGAADMIEQGLPLLPPLRSAYAPR -GLWRGPSTRANYTLDFRLNGIPTGTNTLEILYNPVSEEEMEEYRDRGMSAVVIDALEIAINPFGMPGNPT -DLTVVATYGHERDMTRAFIGSASTFLGNGLARAIFFPGLQYSQEEPRRESIIRLYVASTNATVDTDSVLA -AISVGTLRQHVGSMHYRTVASTVHQAQVQGTTLRATMMGNTVVVSPEGSLVTGTPEARVEIGGGSSIRMV -GPLQWESVEEPGQTFSIRSRSRSVRIDRNVDLPQLEAEPRLSSTVRGLAGRGVVYIPKDCQANRYLGTLN -IRDMISDFKGVQYEKWITAGLVMPTFKIVIRLPANAFTGLTWVMSFDAYNRITSRITTSADPVYTLSVPH -WLIHHKLGTFSCEIDYGELCGHAMWFKSTTFESPKLHFTCLTGNNKELAADWQAVVELYAELEEASSFLG -KPTLVFDPGVFNGKFQFLTCPPIFFDLTAVTALKSAGLTLGQVPMVGTTKVYNLNSALVSCVLGMGGTIR -GRVHICAPIFYSIVLWVVSEWNGTTMDWNELFKYPGVYVEEDGSFEVKIRSPYHRTPARLLAGQSQRDMS -SLNFYAIAGPIAPSGETARLPIVVQIDEIVRPDLALPSFEDDYFVWVDFSEFTLDKEELEIGSRFFDFTS -STCRVIMGENPFAAMIACHGLHSGVLDLKLQWSLNTDFGKSSGSVTVTKLVGDKATGLDGPSQVFAIQKL -EGVTDLLIGNFAGANPNTHFSLYSRWMAIKLDQAKSIKVLRVLCKPRPGFSFYGRTSFPV - ->sp|Q65030.1|POL2_ARMVS RecName: Full=RNA2 polyprotein; AltName: Full=P2; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Movement protein; AltName: Full=2B-MP; Contains: RecName: Full=Coat protein; AltName: Full=2C-CP -MGKFYYSNRRLACYAQATNRHLGGSFEQWLQCMEDSAFRAEVKARVQSEREEVRVRRLFSYPVGSGPAED -PRGVNWAYICLGTTAHWAGVPGDMVPPPEPVKAQEVVVQRSVGEDGRTGYRRQCLNIPNPPPMPKPYSRP -IGAFAPTRSGFIRATVKRLTREREESRAAALFAELPLEYPQGAPLVVPRGFAAMRWTYHATWSRWYDTSD -ERALRTHPGGPALPPLPPPPPIQKPPSFEERLQAALHRQSCARAFALETSLGLNMAWVGMATIPSTSVCC -ADGRTTGGQTIAQEADPLTHRVSSNTAPGRAQWISERRSALRRREQANSLQGLAAQTDMTFEQARNAYLG -AADMIEQGLPLLPPLRNAYAPRGLWRGPSTRANYTLDFRLNGIPTGENTLEILYNPVSDEEMEDYRDRGM -SAVVIDALEIAINPFGMPGNPTDLTVVATYGHERNMERAFIGSSSTFLGNGLARAIFFPGLQYSQEEPRR -ESLIRLYVASTNATVDADSVLAAISVGTLRQHIGSLHSRTVASSVHAAQVQGTTLRATMMGNSVVVSPEG -GLVSGVPEANVQIGGGSSMRMVGPLAWENVEEPGQTFSIRSRSRSVRVDRNADVGIAHPRMSTTTRGLAG -RGTVPVPKDCQAGKYLKTLDLRDMVSGFSGIQYEKWITAGLVMPDFKVVIRYPANAFTGITWVMSFDAYN -RITSSISTTASPAYTLSVPHWLLHHKNGTTSCDIDYGELCGHAMWFSATTFESPKLHFTCLTGNNKELAA -DWEFVVELYAEFEAAKSFLGKPNFIYSADAFNGSLKFLTIPPLEYDLSATSAYKSVSLLLGQTLVDGTHK -VYNFNNTLLSYYLGIGGIVKGKVHVCSPCTYGIVLRVVSEWNGVTNNWNQLFKYPGCYIEEDGSFAIEIR -SPYHRTPLRLIDAQSASSFTSTLNFYAISGPIAPSGETAKMPVVVQIEEIALPDLSVPSFPNDYFLWVDF -SSFTVDVEEYVIGSRFFDISSTTSTVALGDNPFSHMIACHGLHHGILDLKLMWDLEGEFGKSSGGVTITK -LCGDKATGMDGASRVCALQNMGCETELYIGNYAGANPNTALSLYSRWLAIKLDKAKSMKMLRILCKPRGN -FEFYGRTCFKV - ->sp|P24819.1|POL2_ARMV RecName: Full=RNA2 polyprotein; AltName: Full=P2; Contains: RecName: Full=Movement protein; AltName: Full=2B-MP; Contains: RecName: Full=Coat protein; AltName: Full=2C-CP -RGLAGRGSVQVPKDCQAGIYLKTLDLRDMVSGFSGIQYEKWITAGIVMPNFKVVIRYPANAFTGITWVMS -FDAYNRITSSISTTASPAYTLSVPHWLLHHKNGTTSCDLDYGELCGHAMWFGATTFESPKLHFTCLTGNN -KELAADWEFVVELYAEFEAAKSFLGKPNFIYSLDAFNGSLKFLTIPPLEYDLSATSAYKSVSLLLGQTLV -DGTHKVYNFNNTLLSYYLGIGGIVKGKVHVCSPCTYGIVLRVVSEWNGVTNNWNQLFKYPGCYIEEDGSF -AIEIRSPYHRTPLRLIDAQSASSFTSTLNFYAISGPIAPSGETAKMPVVVQIEEIALPDLSVPSFPNDYF -LWVDFSSFTVDVEEYVIGSRFFDISSTTSTVALGDNPFAHMIACHGLHHGILDLKLMWDLEGEFGKSSGG -VTITKLCGDKATGMDGASRVCALQNMGCETELYIGNYAGANPNTALSLYSRWLAIKLDKAKSMKMLRILC -KPRGNFEFYGRTCFKV - diff --git a/seq/clusters_seq/cluster_461 b/seq/clusters_seq/cluster_461 deleted file mode 100644 index 5ec41e6..0000000 --- a/seq/clusters_seq/cluster_461 +++ /dev/null @@ -1,240 +0,0 @@ ->YP_009552821.1 capsid protein [Culex tritaeniorhynchus totivirus] -MNPKDHSESGRRSQSGSDTGPSSEGRGVATSLSKMLSAGASHTGGQTCNTTSLIVSLKVLELTEHAENVW -VPDLTTQGIEPNPGPFKANVPSAPHILEMKKLNADENDLEFEHSLRERNLQSSFSKLKHYVTLSNGIFVG -KVIILGTATYVAYKMASGVYGWYHTISAPIAAIETSAATEYHTLQTKYGYQWYDYLCPMCLLSKYIANSN -NNSSKADHHNHLMHALNGNIVPARCVSQGFASTVAGVWAPSTFTLTSSFTGPQRVQVSFRSFANSGNSAC -RVNMTGALTGSFDFALQPETIVFDYVDEGTPQIVFTPSGVYASAGDDKGITVTFSPIMRTPTEVTVSQLP -LWTTQYGPNSNSVVPTVKTQRTLATRNRTRNSENNAISVLNQIAQQDLVDKRLPLYSNFVKAASDDKPNW -SGTLVYRNVTVNAVNKSRIHDVKTDCAAQVLRKLDINTLNNDQRATLSAWVKDLTTEGIEPNPGPQTFEG -FDSSWDCDILTPTQLAMLRNKMDIQQTACIMNDDPTLLDDAAELKASYIKETKLSASAEPAHVNFDASVA -TDERNNKPAPPPVVSRLRPLSEYIAHASHLSELNGAVGDYFGTLCTVFEFPVSNRFSALANCDNIELEPV -FVPAPYVKPKRQAVESEPKQRLPTPSKPRPKPKTEEEKEADRKAAIKRIVMQLTQQPARIVSWITRSMSI -NFKITILDQVFGNNWQSKIEMNQYEWVAYCDLNNVSIEEKRLVLLSFDSKYKLYDLKELGVANSEAVLAA -AKTHNKVMHAYNGNPVVQTFEQIKASKSLETFFADSDSVLPPFTGMEAEKFITNIVGDINPNQSRIFDQD -RLRANIYTADGTIIRNAVSTIPFTNIIPRTVRGIDGVQRPSTNRLQITECNVAEYFVNPIEPTDLSMMIS -DQIKNNQSSNWRRDNNSIAGFNSFDIATVNTALLPRGLSLESMLLRLDLLHSIMALQVNGAMIGRSLFQV -VDDNTIPADTPALIGVNNSPVFGEDCGGSDPVYPWLGGKGIVAFHLTLQSVPEERRDMAIFLPPALLQAA -RDGAEAIALFVLSMSEWPFCLYTVAKDTTDIEGLNPSVQISVPTQATTRVGGSRVIDVVLPRRYPASNPT -SMVDANALSVIRPQAGPLPTNELAGNELLNVNYISPDGGATIEYPLTDYLYTWATQFDITTIRQYVGRLG -AALGIKKQLWACHEINVALCQVTPKMTVGTTGSGSQAPGSPQQASLCYSSHLAMTRASSNFPLQEPVAAD -FRVFETFPGTWNKVALGLATAPNLTSEQTMSVPFEMGDPRANFWERLEAIPIAASWALYYHSRGSSSKAW -NNAYTNTTSVWMQNLARKTFSTSQATGTILPARFGRLVRNIMRNMFCRQPATITTSIGGEQTDITAFERW -LPGNEYATTFDETGREVTLFPPVIIPDIWVQYFAQHTPQMAGSYPVAFGYDSVQGFSNAEGLIPFRNVNN -NLISTYIENDAGGKAYYPIREGPTLNDKVVWNSRLWMTEPNCQYLDFAGNDVEESLPPPGCLPLGKSIPL -LPGETEPHGVTNMATTCVPRFSNDGRRIFVYLTAAQSTIPILACKRAARLPRSTWLVQEVYAEPALQLLG -DESDDIFDKLTSRNFLDVQSAAALSAVGNVPATKEMTDRQAVDPANLPSTLDKLTEMPQPAPLLASSSIQ -GGA - ->YP_009342431.1 hypothetical protein 1 [Wuhan insect virus 31] -MVRYRTLLSKMLQIVKVHKSVGDDKPTRILSLIVQARHGTISDYPEYTVVRSGGPDERPEFTASVSYLGT -SAVAIGSSKQSAKETSAEIMIKKLKQMDKLEGLPTSLNALVEKTSTMLDLRSLLLRCGDVELNPGPPLIT -IADSVRLLVPSILPGPFVNSLTIPFGGGSDYNGREMVIVGQIQINADTTNINSGNYDITAEIFYPEGTIT -PTVAYRYATNGTATPPMGVFRFRQPLIGVTDDNFVLEIRIQGVGPVLLGAIRWVATFSFQVWDLADWALI -NDATVKSGITPVWVTNYKPTDPGATEVALARSRSVSACREPERPEHVYRLTALSTPTTGSSCSFVRLADG -EKLFYEDMDFERYVDHVSYVDPPIDLTMCGDVERNPGPGTDYTQVGDALLNHPGYHLDNKVGNTFAPVVR -SRGSKTSKQIDVASTDLPEDVGFSWLVDRINHTAGFSGNIGLTFHVVGRLLEIPLSPNRYTPLEDCMSGD -EYAEPTIETSAIEAKRTVQRFKKFEREQEYAARKGTAPRVVKPIQSEEDKKQQYIRVIDRIANKFKNETS -TGKTVGLIGWLDRPMSQRFRLDVCNKIFGEGWMLKDDFDPFEWYAYCKVNAVSKNEKARTALKVDKKYED -YCKTPWFTSHFGADEADASLHNKVMHAYNGNPVSRSQKENDALPDVIEVVKPTGQVLPRFDPRELRAVIQ -FQKSSRPGQLYNVGTTDRIRANAIANNNAITIEATLQIPTTSLIARTVRPATGLPGALVNSTARLPGTLC -GLSDYYGRPLQPTELTQTISQAIASIKASTWRGDSQTISGFHVFDVASIAAATAVKGLSMESDLIKMVLF -HSVLGYGRKGYQIPMHVWRVADPYTTVGEDDATLGNASPVFGETCIQGGIMVFPFLGVSGTVRFHTCLES -VPERNRDQAYFMPTALMNATENQAEAIALFVMSKAPYPFCLYTATKVSTDVSGATNPQNQVWTTTNSLVS -VPGPTEIDIILPRKVPSRAPTTTDQANYIVLQRPVWGPVAVVPPAVAVQPPNTILTVNAVGPAVVSYELC -AYLISWGLSFDQTTIRNYLNRLNGVVGVSDDLYSCREIAAALSHWCQPLVSGVDANTLPTVNTYNKYIRP -NGFLMDVGTVNWPTPNIQYSDYTVNLTDALAWNKVALGVATVEGYSPEGMEGLPEYLANPNCILDESLLA -LSMAAAYTTFYGSQGLSSRCWTDGFTNTEHDELSDYVPKFYATTGTNGQLMPAKATGMIHELFKHMFERQ -MTYVESSNPISGQEIKYTVFDRWLPPLRYGNVVDPLYAIDYIGNTPVIVTDLWLNVLSLKSVKACSSFPP -PNSDDSLQGYFEGLDTWVGTGVIRYTSPYLDRETMVSYFDVDDLPYVSDRTVYNTRIFVNSGLSSTRDAA -GNVVADACIPAGQYAFQAKIWGGTLPPLQLQISTLTSACMSPTGLRQYQMIPQANVINILNAMNRQNYLS -VSAWAIGDVTGRSNVQRLGAKKKSIWMTRVEENMKSGFGSGASLETPAPPPAAAQDVPSEAVLAMAVEPT -STGNSSVPLSTMVTQGTTGLMS - ->YP_009337085.1 hypothetical protein 1 [Wenling toti-like virus 2] -MQGPSGDPFTTPSGVPPDPTRTVRWRMEDVTVSDESTPGSVNAGPTLVVPVQLPDPGKTHEASVPLPSWA -SRLSAYTTSTSLILTHSTSKRVRVAEGLVSLVATIIGSGQAETDIYAVTQSLSDLSKRATFLADSFSWRG -THLRVRVTRDVVSAELPLLQPRPLTRSSAQKNSESSTPDDPHYPQSEGTRRKKMHGKTHSFDPPTPPMLQ -LTAIIAPTPIPLPPALPTPVLLADNATRPVWVTEDYPDDSPTVPGSGDFAPTASVVLPEAAHSGITQAEL -LLLAGDIETNPGPRFNIITAIAHANEEIAARSAPACPDRFSAVTTLADIGDVAVEFATGKLVPVTRGEDG -EAIAIRDDGTNTPVVDLSPTSSTPDTEDSYSHTDETVPGLPIDDEPDACPSDNVLDIGTLADKARDILMH -RSDNVRDRPGRTYSAICSILGFPTNNRWDVLPDEADGICSASELEKASSSDTAEENGSSNSGGPKRRKPT -TDGGRSDSNDGVVPRTREPARNRGTGKASPDQRDKVITRIVKTIRACPSDCYCEGAIALDRHAHDERLLA -WVLKRNPRARFLGVLLDHYWGPDWRDCQPSVGLLDYSLAHLYCLCRLQHLDTSFFLISTLDLIGPPFSNE -LRRTLLGPAQCNEFLKKLSQHGDTLPLGNPSACGYAECQQSSQNKRMHALNGNPDFPSDMSDVDKKLQDG -VKYLNSGSAQGHGPPQGHELVGLMQRMTAECGRVADSTLRYLFHLQCPTQDRAGNVRPLTDIPFPPTCVM -PRRTRPAPAGALVNTANPLPVSGMKLMNVSLPKLTLSGRGEQLDAAIRENRAQVWRSISTTADGYLNSDL -ALLIQNLRLDGVCVESAAIRLCLLSQILSFPGPTRSIPETIYQSIDNRTYPTDTAATVGFNDSPVFGENC -GGNQNFFYPYLAATGSVSFHVDARSIPFAMRQNATIIAPSWLSRYDNDESGRLLALWIMTLTEWPFCMYT -VTQETTSDDAHRNNVENQLYVPSQTLFRVPGPKRIAVLLPRDTVDTKPTSNPQARRCAMIKPISGPTAVG -GIPANGLLGVNSPGGQFVSYSLCRYLGSWATAWTLNDIESIIEGYKSMGLFGWELESAWEVVRGFTSWIP -AMVASNNASATDFAPDQDCAARVSIPAHYELDLAGDSTRGVAHNWPQLNSPPTVCLGYMIDLQQLNLVTL -GMGVGAVPHPPVGSSVASDSIGAHEGIAHQLIGSLLDASAYQILFGIAGFSCQAMRAALTDATQTDAVRE -FARQLHADQTTRGSLIRHAPMGKLLEGIFSHSSGFGHVGLKLSVNDDVTTIFDRLGRGYTYSSGYTNAGV -RLDGMTPALFITAWLAQFSNDLPKGQCPFSRSFSSEGIQGYSPSTGSNELSDQRSDVAGVLSNCGFLDRE -DYVKYFSSDAAAGLNQDSDYNAKLAMTTVAAREFVGNDAVGLPPAAGTFLPAGLLLKQRPLPILAGTVRP -QEDADALVGSVPKQGNLGIRILVHVVGIADSEALLKAECRQSTLQRATLVLADAVLKMPTYSRSGKKSKW -GPGGGILPDFRQLASVPSQAAPGAGTPTAAVQSTQSAAAAAIH - ->YP_009336824.1 hypothetical protein 1 [Hubei diptera virus 22] -MGSFACISREGPVIVPSGVQVVTSPTHHGRSKKPSLGASSTVGQICASDEDSYVAKTNQAKGEHLLYGVT -NLSPGSELLFIQRYPFEIDLTVYGVEPNPGPNQGYINSQEETADWIRTHLHSVPQSLAVASQPGTSQQAQ -HHGLDHYKRGVPQVGVAASASTGLWAEDDVYHKTTTPISQNRRGLVSTLMNLFLPGTPAAVAKTLETISL -SRTDDEWEFVNINDPMFESLEHQTDLVFTPSMRSAFVAVLDLARRLCPVGQPLNRVPPSEACSALSYSTL -VSSPLPDPRMTLPSLTFPTAEEWHLCAWSGDLIMSLKYIPRERKWTGPVSLDPGSYRVGVTVQFDGTYPV -IVDVCDAAGKSLGLTTLETISPVDNFPLQMVLATPSTLVAKTDPSTRYTVNVIFRISRAQTTRIIGRNEP -MWVTDHRQVSKHPQAPPPPTDLLAQGVEPNPGPLVTDSWMARAKGDISWAPAIALADNCVQNRFSIFNLM -GLDGSADPLWASCSQQPSDDDSDSGDSPRRPGGRRPTPLNANGVDRSTGKTRSATRQPNVTASPSSPAMT -PSQRYRAAVARIALSLRDDPGYQSRFIHNMLNIRTNFIRSVSRLAWGEKWFDEQKMSVIQYAVYAYLEGL -TPQEILSTMLDADHIDRDKREGMKRSLADVVDGGYIEAKARLSNKEMHSLNGNIFPASMADVDASPTFWS -CAEAPEPPTPTIPLSTNNTVLLNPSTSNYSRRIPCETAGFESDVVDQAGMLLPNHRINYPFSGVQLLSDL -TIDPDCLVLPTPRYGGGCILNAGFGDYWANSLTPTSLSTTIASQIVQHGTYRHRDTDVTQGGFSVSDLIT -VNGVLETQGLSLERPIYTLLTLHSLVTHSDYQNIPPSCFIYNSDQVRYGPAPQLKFYWNLAVCGANAPPA -TETITCFPFGGGGGRLRFHACLETVPPERQSRAVFVPAGAVKGSSNPARALALFVMSIAKYPFSAPGYEA -LEPTYPDPADENQPIPESWHIPVSREENSPFVSIGNYGGRTTTTWVLNHATVNLPGPTDVDVVLPRRWGS -RPPHSAAESNLIMDIKPTSGPDSLMRDGVTYEPNYPIPVNWIGGDDLFTSLSDYMNSWLPSTSTAFITSY -ISALHMEYDIARMVSAMWDVVVATIQRAAPLLNNQQDPLPSWWVRRSRVAAPDAQPAKNDKKRREDDDKM -SIMDVIHTPASDLYTPTLMTCRRATMGTYDYEEINNWNFEVPFNQVDEAVSFYMAEFNPNAWNMVVLGLA -TSPDFTTGAVLPILPFLRDRMTVHGEIIRAIQIAGPMQLLTQDIGAGAAFYQQASLNVQFSDLRDAIMAC -FITATAPRIIVPAKASMMLGRIHGSIYGTRIASMAVAPQAGAECVNVYGRWSYAPMAAVWIDKRYQGDPQ -GTRPHLAAKISPLFTPSILPDLWLQLFAQKLPKGAMAFPVPFGLNGPAGYHSGLIAERFASGEVTPRMNP -ESMRYTFATDCLPELTDESKWNVRLMAATHIGALRWRFGEGMIPNDSYMVGRFPCVIKSCEGPFQTYSEP -WIYLSCTTDLPFLWDNGLRVYPVDSIAHMQNYTRAEVRQTRLAIPTWVVGSPTVNNPTFSDDSGRVSRYA -QVFRRAASSVAPADPADTPAAPAPVAPHLAEQMVNPNQAVNMAAGVPNPDPVVQQ - ->YP_009333269.1 hypothetical protein 1 [Wenzhou toti-like virus 1] -MQSKREQVPGPRTLGSLKAERWEGTGSGSSESPPGVPPRRGHPVEAPSTPQAVQPQPLERSHQNQAPCPS -GATNTRGDPQGKVQDSPPPSGPSLLAFASTPPSLSPTTSPPPQSAFAPVQDPSVLELSLPPRSSTIIQPL -SLSSFGKVTVSLALPQACVLYNSRVALCSLSVASLPPAIIPSDFVTLTLTACLGTSTYVIGAISSSLLPS -LNSALFVGECANFKADKLIIEIKIEGGPVPPPQPPAAGFLTMSPPASTPSHSTKTSPILRPAAFALPLPS -PIPPPVLRPAANARLSVTVLSDLGSTPSRLGAIHGQDQSFWVSDQGEPSSGTGIDPELLLQQAGDVEMNP -GPDPWALFAQGEKYGPPPMAMKEGIEYPPIDQEDEGPTPLPQLPSPPDNNSETNHTADNLTPTLDQTEDD -DPYPLPGSEMNPADAAQWVADTLQAKAQQQQGREGACTAALCYIFGLDVSNKWDVLGDDMDNFPSELEMT -DTLNDDNARIKKSREAKTRKSQTTPDLTDPDALLRPLPPTRNHGGAGQARVPPSRMQLDNVVQRIVRNLR -RCGSSSCCDGLIDHDVHAHDDRFFAWLQKRNACRKFITLVANELWGSNWEILPQQQAPLTLTHLYCLTLS -GVISDDEFVWCTIPVVLGIGATAPYLARKPYAAIICQKLLQSKDLLVSGDYSRYLQESHNQRMHALNGNP -DFPGDMAALDSRPTDLKSYISKARAVASNTPPVSGSNLLARVTLESSGTNRTADHSLRHQMNIFAETVQR -DGTRRQNTALPLPATTLIPRNVRPAAPGALVVARQPMPVSGFSLSTSRGRKLEITQRGSTVIDKVDNSAS -SNWRYGSTTIEGYLNGDLYTIAQSLPQDGMSLEAPMLRLVSLHSILAHRSQVIDVPETIYTAFDTNTRPT -DARATIGINDSPVPGETCGGYVRNAQYPYLGGRGNLSFHLTLDTVPREDIPYAIFFPPCLIDAQRDRVNR -SLALFILSISEWPFCLFTVSQASQDANGGNAANQIYMPLSTTTRIPGPRTLRVVLPRHIFSQVPNNPAGI -ARASIFQPQFGPDPAIFPVAGRDILVSQAPDGAGLHEVALVQYLMSWALAFSLDDIKRTFYAYRSFTGSE -ADSVSAWEMARLANTVFPALIMSNSPSLTDYTPNTDTFRDCNLGTMTRLPYAGNSTAAPPVAGQWPQAYY -PPATFVGWRFGHMAWNGMTLGIYKQASVAVPPSHDPTPLWLAQGTAVAQQIIGAAQVAVGPQLLFSLYGF -SSELVDNVVNGQARYDAAIRAIMRLYCTHDADGTFVPSAFGHLQECILQHAAGAGHFGYMYDGSMLSPFG -RQLRPTAVATINDDQVRVDLMPPAVLVTGWLAFLSNELPIQEAPFCSSGGVHGVGGYDKKTDPTLEPHYA -TIAGARRSTTFISRPDTKNMYTTSTTPDDSFRAIYNMKLVQTSYPNRHYGDQSGAALPGVNPPPNALMPK -QRPMPLPGACVRPTQDADALIGSLPRVCGDGARVFIYVTADNIQREIFNCEHRNGSLMRAALAIGSYIYH -SLDADQSDEPSNWDSIASDFRPRASAPTGGTGSGASTSSAPTTSP - ->YP_009256208.1 polyprotein [Golden shiner totivirus] -MATTKQINDLCDNRNDEVDWPQNASGQTSTATVTTSLVSDASFVVIPERSETTRHPISPLCKKDFRPNSP -IIEFAEIELSEKSHKSIETMQDFKDEMLKINWPSAIQAKLATKQPNPSEESFSYDDIKVAGRPIGKNKKE -PKNELALLMYSEKKRNRSNDETTNEIDKFLRLLSAQIKITVGEEPVQRVNEACLRVLHRPPVFTILRIGG -PDHLPQFLSICFIVINRVCLRVHTISTSKKDGNRLASNIWIDYLSGKSEFPTWYNDIVFASLPIDNKVLE -GMKPSLKVLNLNSGENAWIRDLTREGVEKNPGPYWVDSICAKINGTGRLQVPLPGIWKAKELTKVTIFMY -GAVPQHPIESYGSGSLLVTLDDAKAKKSKFCIPLTNAVTTSIKIEMLYTPKVDNPNFQLDADLGNLAEIR -YAMVVSHGYQPQVEISDQPIWVTDIPTDHMIKVNTQRMKRDLTKDGDIESNPGPTPCIMCSSWDCNHTVE -DISAMFNGNSKILTSVVEAEYTSESIEAEHSYAIQDVEITPDEHTNLMTKLRIEPAKHSTEFSKSYFEHH -LNNMCKGANNTSIWAEHMATFYPQIPIKTQNRFQVLTEDKYETDATNDHFEETQFKIDANKLTRKQVNQR -KEHPTLQDEEVRKQKKKKVEDIATTQDNINKARQAQLSAVKRIAAKWKEQPWLLIRAIETKIKKPFLSLV -MKEAFGDNWEEQNEFDNITMAAYCYLRDIPKRIERAMISLIDNRISDWTYKQNAEWAEFYQSQFGDNWYS -DLKVLASEKLLHNKKVHAYNGNPTFTHTMDDVDSCKNWPKFYETNNGGQKLLGSTLQTLFSYLPSTATAI -SNDLGKQDSITANIINENNTTDGPASQRFPNTSLIPRRVRKNADKTLIDCDKRLQVHLIDVCDYMSSSLR -QTDLGEMLTNVVRNQNSSAWRKDNITLSGFSAFDMVGINVVQSIKGLSLEQVLLKLELLHSVLAHNVINS -WIPTSEYDAIDGLTKPTNRNGVLGINDSLIFGETCGGNTALFPFTGEAGTIAFHLTLGSIPENRRPNAIF -MPPAILQAFESGPEAIALFVMLWAEWPFGLYTITKQTTDNENKNEAEQKYIANECTTKVPGYMNIDIVLP -RQTSAPNPTAQGQANNLAVVRPHVGSQPSTAFPVANAVLDISFVGPAGLTEYNLCEYLFTWALNFDQTTI -RAMLARLNAIVKVSDTLNAMHEVALSCHSAFGVLMEQNRDNTTIQNANSVSQMRTSDAFCFWHGMTGSDW -PCPTGIGSYDRLILRTDILTWNQVVLGLRTNEEVSPTHEDLPEHIGNPRCVYWEALTAQLMASVMTVNFA -NIGISTLSWEGSFTNTTMMSYQRIARDLFCRIGQGGQLAVGRFGNMLRYLTANMTGFSPSVQEIMGFEVT -AYHRILPQVHFAAPIRNEDTRLTLMPPAIMVDVQIQMLSENLPKWTNSFPPPKGLKSTMGYSDGLYLLRT -TNLANSSTFIQRDIMNPALNSNELPDVEDETLWNQRLMWWGSNTRIIADYTGTVIAGGLYPGPTQFLKQR -PRINYPGLVTPTGTLNANTISFPRVNSLGLRVFPFEPVNVATLYVQAMKRVNQLNKQAWLINGVIVRPTL -LAIDEKRDKWLELTDKANFQMEESGKVNSEIVPPEALPNLQDQTIEQIA - ->YP_005454250.1 capsid protein [Tianjin totivirus] -MPGYSANYREGPVIVPSGDQVVTSPTSHGRSKGVSSELLTVGGQTYKAIASNEVVKSGQAKGEQKFFDEN -AKIVLLGTFPFKKDLTEEGVEPNPGPVVSDPYANTIYGPLPMHKEAAINLGEQPSAPVKQRPKRHAVVDR -VYKTLTLKNGILVTKVVIAGVATYIVYKVGSEVWSWYAAITKPLLGVQTAVKEEYHTLQHKYDYQWYDYF -CPMCLLSKYISNSGVNGTDAEHHNHVMHALNGNIDCDSSVFGNNFNITTSPQTLTMSGSLTPGKYQTTLT -VQALIGGTGVVVGTVTFAGKTVAYQVFDDSFASFDLGTVTVSASTTPSVIWTGSTGATLTMAVNIICRPI -TPTTVAISGQPIWTTPYAPAQAVMTVPAVAKALKNTQRAADLSTRNKTRHGSNNAISVLNQLATQIDVED -QRLPIYKIEGEREGTHEPSFSCQLTFRGMTKSAFGKRTKHEAKTAAASLILEELTRKSNSTWPADAKLTL -SGWVRDLTQEGVEPNPGPIANEDGAECWDPEELKMLQAMMAREQQKVLPKMIDDPKLVLDLDEMQQLAPQ -ESCNKTASVEEYQEHVRHMSNYSGAVGEAFAVVRWYLLNETTNRFAPLVVMDDSMDEPTVTPVDVSPAKR -PSGAARRSDKEEEKPKKPPKKEEIVPAPQPPKTQEQLDEERKFAMQRIADRIKSDPIKLLTWIKRPTSTK -FKREVLSLAFDIPHINSKPSLDQYETIVYCYFNDIPDDELTIMLLQYDRKWTSLSTDCKLSILSACNVRA -SLEAAKAHNKLMHAYNGNPISADFSEVENAPSFLSLAENTDEVLKPYTGLEIQTIITNIVGDANPNQSRI -FDQDRLRGNQYSAGGLVTQNAISAIPFTNLIPRTIRVGNILVNSANRLQITETNVSEYYSNPIIATKLSE -MISDQVKNNQFSTWRRDNTSLQGFNAFDIATINTAILPNGLSLESMLLKLSLLHSIKAMNVDAASINRSQ -YQVIDHNTVPTIGAPAVVGVNNSPVFGEDCGGNNPVYPFGGGTGAIAFHVTLQTVPDERKSYAIFVPPAI -LQATSDANEALALFALSMSEWPHALYTVTKQTTDLAGANAGQQVFIPTQSTIHIGGRRVLDLIIPRREIA -PNPTTLVAANAMCMVRPQAGPDATAGAIPLAAGQLFNMNFIGAPAFEEWPLTSYLYSWAGRFDITTIRQY -MGRLATMVGVKDAYWAAHELNVALSQVAPKMTTAAGGWAAQAANSAQQSDVCYSSLLTVTRSAANFPLAN -QPAADMRVYDTDPATWNKVALGLATAANLVPEQSMDVPFVVGDARASFWERLQAIPMCIAWTMYYHSRGI -TTLAWDNAYTDNTNKWLQKMVRNTFSTTQSVGTIIPARYGKIVCNLYKNMFHRAPAYVATSVGGKELHIT -HFERWLPGGTYANVYSGAGAVVNCFSPVLIPDIWCQYFTAKLPLFAGAFPPAQGQNSTKGFNSKQGLMIH -RNQNNNLVAPYIEKFADNSSYFPVGQGPEINDMATWNGRLWMTTGNVQYLDYSGAAIVEAVPPAGELPVG -KQIPLLAGENAPIELTNAATTCVPRYSNDGRRIFTYLTTAQSVIPVQACNRAANLARSCWLLSNVYAEPA -LQALGDEVEDAFDTLTNSSFLDVAKSVAESAGEVPATKALTDLQAVDVSSLPSTSDPSNVLSQPAPLMSP -PTSSS - ->YP_003934933.1 coat protein [Armigeres subalbatus virus SaX06-AK20] -MERHHNSNAQNEYPNEILSSAVQPNNNVSWNYHKIDGASAPLYPQPNGAETPDRLKVLRKYFTLSNVVLG -AKIIIIGGVAFAGYKVAHGMYTWYEAISSPIAALETTVSTEYHTLQTKYGYQWYDYFCPKCMLYKFATHS -GNATTAASHHNHIMHALNGNGEQIHVIQIGNQIELTNTIAAMKFNVKLRSKNKVIVELVPLTAPSGDMAT -RNKTRHDQNNAIAILNQIASQGNDKRLPLYHSFIKTGSDDRPRFDVSMVFKNVTYVGLGGATKHAAKTKA -ASAALIALHTSPELNGDQRATLSAWTRDLTKDGDVESNPGPRGLDLDFSYPNEETPPDMSNFVPYTSYST -HTNLKPFNDVENYDRDSAFAEDYVADEYKAHLAKRVEYENATGNYFNYLSSLLNLPTSNRFALLCVDDVD -PEPCDDETETSTPEKQKPRPPKVARERKERPFVEKPVPVKKIEKTEEEKKTDRMFALKRIAGQLKQTPEK -VFLWVKRKMSPVFKTTILDLVFGLNWQEKTIMNQYEFAAYANLHDITDDELISTVINHDTKWASYSLQQK -ITLAKELNFSYVEAKSLSHNKEMHSANGNILSNTLSDVEEHDKAQNIVKYNQATLPQYRGIEAQVYITNV -AGDTNPNFTRIFDQDRIRGNVVGAANNITNNCAVPLPMTCLIPRQVRPLVPGALVPALQRLRITELNTAE -YYLNPIIATDLSKMISDQVKNNQSSNWRRDNNSLSGFSTFDIATINTALLPKGLTLESMLLKPDLLHSIT -SLRTNFTSINRSTFNAIDNNTVPSVGVSVTIGINNSPVFGEDCGGNNPVFPWLGNKGSVAFHLTLASVPI -DQRDDAIVMPPGLLQASYDASEAVAMFVMSWAEWPFGIYTATRPTTDTAGGNNQNQVFVPTQSLTRVAGR -RTLNIVLPKRFAEAAPTSGPQANAQATLRPTAGPVAAAGAPPILAGQVLDINFVGGALIAYPLTDYLYTW -ATQFDATPIRQYIGRLGVLMGVKDTLLVAHEMNIALCQQYPKMVTGAGGVASPAPNSIPQFDLCYCNHTQ -VTTSVANFPLAADVRADYRIFETNPATWNKVCLGLATAPNLTPDEPVDIPYHLGNPSNAFWERLESVPMA -ASWALYYNLRGLTTLAWNNAYTNANSVWAQRMARETFCTTHVTGTIVPARYGRIPHDIMKSVYGRAPKTT -QTSKGGTLIDISHFERWLPGAPFASVYTAAGVEVTGLTPTILADIWIQYPADNLPILAATFPPPFHRDSV -QGFDSRNGLAIHRNMNNDLVSPYMEPDPRAFFDVNKGPVMNDFAVWNSRLWFTHPNRQILDYSGGAIAEV -IPAAGTYPLGRGIPLLTGENVPPELANASTICIPRFSNAGQRIFLYVTQAQSVQLVGACNRSNRLMRSAW -LLNDVYKAPDVQQWGRDEEDEFDTITKSYFLDVTTAGVDNATNQIPATLVNTNEQAVDVSQLPSSANPST -TMEQPTSVAI - ->YP_003289292.1 structural protein [Drosophila melanogaster totivirus SW-2009a] -MKQETRVPQSHIEYPDIEMHLISENKQQQPSAPMPHEPRAAGQALKDLNLAYLNNDQKTTLSAWVRGLTV -EGVKPNPGPSIIEPNHGPSDELTQAEIQILQRQLAKQDQKPALIMKDQENLLDDLTELKTTVQPQIDNSG -FLAHVDHAAEFSGTKGEYFAVMKYILTNSHSNRFACLANLTDIPDEPVEAFVPMSFSRPKKEKKEKDNHM -EEKKKKEKRESMAMPASQKPKLKTDEEKEIERKNVIRRIVEQFRDKKMYKLVLWLDKPMSRKFKTEVLDE -LWGSGWQERNKMNQYEWLSYCWANNVTKVDTQTVLLSYDIKWQQLPADMKMAILGDSRADLEAQKTHNKV -MHAYNGNPLCQGFQEVEASKTFLNIAEESNSVLKPYTGLEAEKYITNIVGDANPNQSRIFDQDRLRGNQY -NANGAVVHNAVSTIPFTNLIPRTIRSDDDVLEKSANRLQVTETNVTDYYVNPIEPTELSKTISDQIKNNQ -SSNWRRDNTSLAGFNSFDIATVNTALIARGLSTESMTLKLELLHGIMAMQVEAPMINSSTYSIVDNHTIP -TVTDRAVIGINDSPVFGEDCGGDLPEYPFGGGTGTIAFHLTLQTVPEERRDKAIFCPPGLLQAARDGAEA -LALFVLSMSEWPFGIYTVTKRTTDEKGLNPADQVYVPMETITRVGGDRVLDVVLPRRYAVANPTTQGNAN -ALAVIQPQAGPLANGADGLAAGELLDVNFIGADGITEYPLTYYLYTWALRFDITTIRQYIGRMAALIGVK -HQLWASHEIRVALCQVAPKMVVGVTGSGDLPRGSAAASEVCYSSLLEVSRSEEDFPLLGQVQADFRVFET -NTSTWNKVVLGLATAPNVTSEQNMHVPFVVGDPRSNAWDRLEAVPIAAAWQMYYHSRGVTTAAWNDAYTN -VNNVWLQKMARDSFSTTQSTGTILPARYGKIVKNLMRNMFEREPAKVVTSVGGDEYEITHFERWLPGNRY -ASVFEQDETEVNLFPPTLLPDIWVQYPATHTPIMCASFPPVFGQDSTQGFGKESQLIPFRNANNNLVAPY -VEAFVANQAYFPIGSGPNINDKVLWNSRLWMTSGFVQYLDYAGNAINEVVPAAGLPLGRSIPLLPGEVQP -VGNTNMSTSCVPRYSVDGRRIFTYVNTAQSVPLIQACNRANRLARSAWLLLHVYIEPELQLLSDEVVDIF -DQLTSKTFLDVAKSAADSAEGNIPATKVLTDLQAVDSATLPSTLDPSTSMLQPAPLLGEPTTN - ->YP_529548.1 structural protein [Penaeid shrimp infectious myonecrosis virus] -MHVENGNIVSMENQSEIDSQTKFFSLLEDDNKLPIVDELRVLADMTAQRSNVNTAGNHLRDNDSIRADAV -LANNTVRNNCQIPIPVTTLIPRQIRGLNGVLVNQQLRLQGIETHITDSYISKAEPSDYSKQLSEMVNAQK -TSTWRANNIASQGWDMFDTVQLNTNISQKDLSMDTALTKLMLLYQLTTQNLPATQLPSSIYSAFDSRTQP -TLQDGIWGINNGVNIFGEQCGGLAAPVFPFSGGTGEITFHLTLQSVPQEFQESAIFVPATALQAAKEGAR -TLAMYVLMFAEWPFGMYTKTKQTTDNAGNNQSDQIFIHSESTVHIPGQKQMHIVLPRKVNMVNPTTIAEA -NARVVIQPTYGTVAAGAGVANGNINVAAVGVALPTVNLTDYLVSWATDFTLGDIKQLVERMKTTLPISRD -LMAARQNAMLLSTLFPPLIQSNVASDTKEVPGTAGAYTACLANLGIPETLTVNWGVDINVQPLYQLLETD -ITAHNRYVLNLFKREEVVAGAYEFGWLGHMASYMMGLLLTMNISSVFNVWYSTRRISTKAWDTAYDSNIQ -AYQDMHYQMFSWSSMQGSIAPAMVDEILHNLCGQMFGFSLPLRQVLFNALPITFSSFGSWMLPRVSDGFQ -TVRYYDVGPPVINAKRDGEVPVSMIDAWTYKFTEKLPKSFLPWPMPEGKDSTMGYDPEKEPALIDNSNET -GNVFRPFMARNGNNSNYLPTNYTIDVSQNGHDESCINVDLFNNVAGVTLTNYDGTATNADVVPTGSYIKQ -RAMPINANAVRPTETLDAANHTKPFAIEGGRLVYLGGTIANTTNVVNAMQRKQRLSKPAFKWAHAQRQRV -YDSSRPGMDAITKLCARKSGFMNARSTAMMAPKTGLSAVIDQAPNTSQDLIEQPSQQEVMDMQATATV - diff --git a/seq/clusters_seq/cluster_462 b/seq/clusters_seq/cluster_462 deleted file mode 100644 index 5742c5e..0000000 --- a/seq/clusters_seq/cluster_462 +++ /dev/null @@ -1,65 +0,0 @@ ->YP_009551969.1 Movement protein [Grapevine virus J] -MAREVKVFKISRNTESVKGLLDQSHRKDVYDLDTLERWFPKRTRKCVVHKEIVVVDGEVDCNMELMEDED -YKDIDPNEYPMFHVGCVLVAVMPHGRKLNGELTIEVHDKRLKEGKSRISGFNCDLSRQISAFAEFPGYFI -SSHDLLRGYSLQLLIHARGLDFKDNMHPFSVQLMSIGRFCGEDMESRFAISQMGKSAYQCLLGTSLADPR -GENLAVPKGLHVEEVDSTLVKSDVYETIKKLGLKTHGRLIEEPKDQGGDQDARFSGRQTRRET - ->YP_009551907.1 putative movement protein [Grapevine virus H] -MQSKEVKVFKVKPSTSNFGELNKQLSSQKVYDVSSLEKLFPKSIIKGNVHAEIIVKNGKVDVELDLLGPD -GSDALVSYGKPYVHVGCIAIALMPHGRDLNGAAHLVVRDGRMLSGKDVLCRFQCDLKKRLSAFADFPNYF -VSTEDVLQGFSFHLGINADDLSFRDGTHPFSIEITTILRGCDESMDMRYALSTLGSGAYQAILNTKTDGG -ESQLIKYQPNDVTSVMPEVYETIKKLNLGHNGKLHKERSDERGDKDAPLDWGDSG - ->YP_009664763.1 movement protein [Mint virus 2] -MSSGHSVSELMPSNRIGYGNTNGAYTGSMRFQTPMEESPKSAVQVFETGKGVEDVSELKSKLKRRKVYNM -RFLEDLWPTQVFKSVVHNEIRVEDGKVDLDVNLIDQDMVKNLDPNVKPYIHLGCIAIAVIPHGRDAPGEC -EFELVDTRYSSDHGSLARFGCKMANSLSAFARFPGYFISSHDIKDGYTIGLRVQGKNLELNGGVRPMSIQ -VICIFKICGEEFKHRYALGKLPGNAYQDLLNAYLIATEDDTEFEAHSKVAKLKGKTAEAKMVQPERCNTK -EEDTLVMSDVYKTIKELYPEHGGFIEEKSDQVNDNDLTQRGGQLRGREL - ->YP_009664758.1 movement protein [Actinidia virus A] -MTSMRSVSDLGQSSRTQTNRARGATDTLEKRVFKVPKGLKDAKQLKGALARNKVYDITLFEQIFPTCTLK -SNIHEEIRIEEGCVDTNINLVSESVADGLDEEKEPYLHLGCVAVAIIPHGRNMSGRVDIKLEDQRFQEGK -GILCHFQAELRNALSAFAQFPGYFVSTIDVKNGYALNLKIKASGMHMHDGTHPLSLQVYCIMKKCDESFE -HRYALSALKPGAYQSILNTTQIEDVPIQYSRPPARTVEPDNEKTLIMPSVYEAINKLYPNHGKEYLKDGT -NESSDRGVGNLGGNLRN - ->YP_009508898.1 putative movement protein [Heracleum latent virus] -MGTTVLPAYSGDTTSHTRIGGSDYEKNALPIYRGEALGCGSKTQITRFGGSKRFQPTVSGSNSSTGVFKV -DKDLQEPHKLSGRLQRGKVYDIGLIEKMMPKQVLRSVVHNEIVVEEGEVNAELSLIPEALLQSLEPDKFP -YLHIGCVAISVIPHGRDMPGQVSIEIVDSRYTSGKGVLSRFGCEMKNALSAFARFPGYFVSAYDVIDGYT -IGLKVKAKNLELHEGVRPLSLQIICIFRNARRDFKHCYALQRLKPEAYQALLNTYLEEEEDHTEYKSIKA -PTLAAGKLQSDPANDYTLVRPEVYETIKKLFPSDHGRYIEERKDQKRGEDLSVGGGNPGGERQ - ->YP_009389465.1 movement protein [Grapevine virus K] -MGDEVKALKVKKSTTNAKDLQAQIHRADVYDEGLLERLFPKRTRKCVVHRDIVVDSGEVDCVLDLMDDEG -LDGVDEEEFPLFHMGCLVVAVMPHGRKLNGDLQIEVHDCRLVEGKSKVGAFKCDITKQLSAFAEFPGYFI -STQDLKKGYSLQLALRATGLDFKDGTHPFSVQLLTIGRFCGEDLESRCAIGGAGKSAYQCLLNTTKEQGD -NFTPLIPRQVDIREVDHTLVKSDVFETIKRLGLRTNGKLVAESKVEGDNQDAGAGGRRAGGKT - ->YP_006590067.1 movement protein [Grapevine virus F] -MASSSDNSREVKIFEVKKGATGFEELNKAISRSDVYDNELIERIMPKRTRKCVVHKEISVVNSRVNVEME -IMDDGVVGKLDEEAYPIYHAGCLVVALMPHGRNVKGKVALRFKDRRLKAGKDVIGSMVADLKKGISAHAE -FPGYFISTADLKAGYTLQLEIATDELDFKDGVHPFSIQLISIGRFCGEDLDTRYLLQQNGTRMYQHLLNS -ENEFRCVPKGLDVKMNDTTLVMPEVYETIKKLNLKHHGSDIKKDEHEGGGRNTGPVRGQPE - ->YP_004935360.1 ORF3 gene product [Actinidia virus B] -MSSISRGSSSMTSSSTVPRAIAKSTKIFDVPKGEDGRSVARALNRNRVYKMDAFEKIFHQSTLKSCVHDE -LIVENGVVDQNIDLVDEKTIDGLNEEKQPYLHLGCVAIAVIPHGRAMKGTVQIKVEDQRFKEGHGTVCSF -KCDLKDALSAYASFPGYFVSTTDVKNGYALNLKVKAEGMCMVEGVHPLSIQMHCIMKMCDANFEHRYALA -KLKPGAYQELLNSQQVPGFEIPYKRQMNNETGGIKDTLVMPNVYDAIRKLHPNHVGGYIKDGQSEEGDRG -VGADRGGPGT - ->NP_619656.1 putative movement protein [Grapevine virus B] -MSQVSRSESQRYPGWLSGSKQAQQITQQTQEPTHTQLSVFPKPKEELGVKGVKSAIAKTKVYDLSYFERL -FPTRVFKSVVHEEIRVRDGEVKQVINLMDEDFVKKIETTSYPYLHLGCVAICVIPHGRDLKGEVEFTLRD -TRFLPRSNPLMSFGCKAERMLSAFSAFPGYFVSTYDLIDGFTMELMVRAKGMEFEEGVRPMSIQIIPCVA -KLCSQDFHHQYALQKVKKGAYQALLNSYLVQCEDQTEYLEVQSSEGMLDRSGYAGTQVKSGQSESIDKLL -VPPEVYETISKQFPESHGKYIPDGKDKEQHFGTPVRRGDLRN - ->NP_619664.1 movement protein [Grapevine virus A] -MSQEGSLGTKASSFEPQDIKVFHVKRSTRDLETLNKSLHRGDVYNTELIEKVFPRRTKKCVIHKDVIVKD -GRVDCDLDIMDEGLDDINEEEFPLYHVGCIVVALMPHGKNLQGKVSVEVLDTRLVDGASRISRTLMDMSK -PLSACADFPGYFISTSDLLNGYTLHLSITTTDLQFVDGVHPFSVQLMSIGRFCGEDMKTRYAITETSKML -HQNILNTEGDGELIPRGVQVQKVPDTLVMPEVFETIKKFGLKTNGTLRQEGRDKGDNRRVGVGESPTN - diff --git a/seq/clusters_seq/cluster_463 b/seq/clusters_seq/cluster_463 deleted file mode 100644 index dabbefc..0000000 --- a/seq/clusters_seq/cluster_463 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009551971.1 RNA binding protein [Grapevine virus J] -MDDPSFLAGRSSYAKARRRRRMNVCKCGAIMHNNPDCKSSSLGSRKTDRLEFVKRGRVALWGETPVYKTW -VRWCEQEYGIYNYDSDESDVDAIGDAKIHPINE - ->YP_009551909.1 putative nucleic acid binding protein [Grapevine virus H] -MEDPVFLAGRSSYAKKRRRARLGICVCGSIMHNIDNCNKSRLSSAKSDRLDWVKYGRVILEDETRINSAI -VRRVALEYNLDIKFEGANAPRHPSRSPEETPEYYSW - ->YP_009664765.1 RNA binding protein [Mint virus 2] -MDDPSLLSGRSTYAKRRRKNRLNVCRCGAIMHNNDNCRSKVGSLVKMNRLEFVQKGRVVIEDETTSGALP -GLVASTYHWIKFNIPEKVNKGANAPRHPSRSPEETPEYYVW - ->YP_009664760.1 RNA-binding protein [Actinidia virus A] -MDDPSFLTGRSTYALKRRRKKLGICKCGTMFAEHPKNCRKQAISDHKLKRLEWVSKGRVTSSETLYPLFN -FWVGNAYGLCEHTFEILNKCYCVECLCDRIDLLEC - ->YP_009508900.1 RNA binding protein (putative) [Heracleum latent virus] -MDDPSFLTGVSTLAKKRRARKLGICNCGAMFATHNKDCRKRGMGLHYLMRLDWVRKGRVAKSETLYPALV -AWICKAYRLCEITMFKSDDCYCEDHLSYNRNEKIIRLIMTGREPV - ->YP_009505637.1 putative RNA binding protein [Grapevine virus D] -MDDPSFLAGRSSYAKKRRAKRMNVCKCGAILHNNPDCRKKAISGHKIDRLEFVKRGRVTLSGETPVYRTW -IKWVECAYGIVVDPSDVSEVE - ->YP_009389467.1 nucleic acid binding protein [Grapevine virus K] -MDDPSFLAGRSSYAKKRRAKRMNVCKCGAIMHNNPDCRKKAISGHKIDRLEFVKRGRVTLSGETPVYRTW -VRWVECEYGIVVIPSDESDGE - ->YP_006590069.1 RNA-binding protein [Grapevine virus F] -MAKRRRRRRLGVCKCGALAHNDSMCNKKPISGTKLDRLEFVRLGRVTIEGETPVAQNTMSKVLGIYSEIV -FHLSDSARAVIGRKNKNIRLSRMSGVKGSPEEYPECYNY - ->YP_004935362.1 ORF5 gene product [Actinidia virus B] -MDDSTFLKGRSSFARRRRANKLGICKCGAICVKHEPGCRKEAISLHKIQRLEWVDRGRVTDSETLYPLLR -YWISRVYPLCEHTLQIASNCYCVDCLCDRIDLLIDE - ->NP_619666.2 putative RNA binding protein [Grapevine virus A] -MDDPSFLTGRSTFAKRRRARRMNVCKCGAIMHNNKDCKSSSISSHKLDRLRFVKEGRVALTGETPVYRTW -VKWVETEYHIYIVETSDDED - diff --git a/seq/clusters_seq/cluster_464 b/seq/clusters_seq/cluster_464 deleted file mode 100644 index 2f354f4..0000000 --- a/seq/clusters_seq/cluster_464 +++ /dev/null @@ -1,151 +0,0 @@ ->YP_009551700.1 putative spike protein [Yichang virus] -MVPINLVLINKKLRLIQEKHLRRIRQRQVRVEDNNHATITTNHRSNSNNNSSDPSLSVIKTTTITNLSSL -KAKETHHVNKQLVPITSTKTESATTSDESTTLETIWDGVNLKKLGHQLNSCSFLRWYRELTNATTAHIIF -PVMALLIHLRLAYMSKIQPCLVTSYAVHNSSPQSKNMTSCNSLMQHSTRALHESKMLLILITLLIMLLLL -TPKAHAYTRINANTHHLVSYEKPLVVVDDFLKTTLTYNFGSDMLKTVQKYKDNFETLVNNFQTPWSIILD -SYFMLFDYLGITPVTYTIADFMYPTSQCPLSVGEHVGSPSLHELFTRNTETESVEHAVNYWLNNENIRIK -LLTREHFSKIFCAKDYYYVAAILPNYTEVIYNQTQYLCSSLLHKLYVKPQHNSSRVAQFAHCDKVTYKLP -PPKELSRSKRWDSSYVCGWPFVSAAAKTFGGECTSNIDITSLKQKLTAMQNFSSQNVEVLHSLEHQLSIV -NERVNLHYLQLGKIVKEMNTNQISFAAQIDLLTSQIEDSSKVLSTRIDQNQVTITYTNVLFRIYQSIVDF -RFSYTETLDAIQKQYHYPTKHSDYVSKELSDQLKLLGYTIPQHDGIVPYTYSTVRYTEVLTNHFYDLSFD -IFIPVSKGQHPGPLHAAYLSPMPLELNTTHALYNKYSGPAICNIESCLIAQLDGFCYDSGDYYYCHQHYY -NTLISVPREFDLIELNLPDYYFLPPSVAYFPRNTTAYLNGVKFQAYAGTTLMLDCNAVLDNHDMSHRIDV -SEELSCKPVSHFNNVFVYAAPEIFNTSFLAVDYRDQAQLATHFNIQTAPLLESFRHKITNYTLDTSSDEK -LTKAFDVYKLEVEASIEGLRQENTRILAAISSMHAIPTAVPTWYYIFIGFVIFLFLRVLRII - ->YP_009505591.1 putative spike glycoprotein [Dak Nong virus] -MINSKCQLTLRPPITHLPNKAPRSPDKLKFLTTVMPRHKNLNAKASNKITLSSKIHLNSKINHSKSSKTV -PISKQDQNAPSPILHKTCSLRLRNQLQQAPTTLKPMVNSTKLEKNSMLETIWDGERMKRLDQQSSSSLNL -KWHPELTKSIIAINSKILTISSILSVLAYLFKIQPLSVMQFSTIKNSQMKKKMNIYENYQMPLMQSSCAH -AKLLALAPFLLLLLMLPNVNCSTRIDLSTHHIVSYNKPLIVVDDFLKTTLKYNFGTDLYNSAINYKTSFE -QLLNNFKTPYQPLVDAFRVLFSYLGIEPVSHPFKDYLNADSACPLQTTTNTGDVTTIGEHFQAILDDGDF -ELEPLASYWLRHTEDIFVYTRSQLWAFICPSEFAQASIFLPNYTERIYNSSTTFCKTVYYDTTINAFNAE -ICNKVNFIVPTKSQKRTKRWDSSYVCGWPLVSSAAKFLGGECTTNIDIGSLKSSLTAIQNFSYANTELIH -DLQSQLSVVNARTNLHYNQLQQLVSAINDHQAKYVNDINNLINHIQNTTNTLENRINVNSIIMSYTNSLF -RVYQNIVDYRFAYIETLSSIQQHYHFPSEHLHAFNVPLQSKLKEHGFKIPIIESNIPYSYGKVRYLNVTG -INFYDLEFDIYIPVIKLIHEKDSNFYHSTLSALPIGINTSLVTYNTYQGNAICTDTYCLESPISGFCREG -ESYWYCGQHYIRTLHKITSLYTKPTKFTESAMFIPPHTMYFVHNTTYSLNYGSSLQALAGSILMLTCNST -VQIPGYSFNANDFVSCTDMNVNNVFIHPSLRVNDANFYIPPTRVDLLEKLYKRDITPILKHVQANDLVID -TTADEELKQQYETLRNDFNAKIDALNIENRRIHALINSMHSIQSEPSYILYMVIAVIVFIVLKFLRIL - ->YP_009505588.1 ORF3 [Karang Sari virus] -MINSTKCPLTLRTPTTSMPNKAPRSKTVHHQTLITEMPRHQNLSAKASSRINRLTKTSLNSKTNSKNNKT -SKINLQQVSLRQSVNRTIQQSNQKLRNLQSVPTTPNPMVSATRSDLNIMHETTWDGERTKRLDQVSSSSS -NQKWYPGLIKSTIGVNLKEQTVISILSVLAYLFRTQNLNETQSLTTQTSRTTRSQNTFINYLMHSMQSFS -VHATPFNLAPYLLLLLMLNQQANCATRIDLSTHHIVSYNKPLIVVDDFLKTTLKYNFGTDLYNSAINYKT -SFELLLNNFKTPYQPLVDAFHILFSYLGITPVSHPFRDYLYADSPCPLKITTTTGDVTTIGAHFQKILED -GDLKMEPLASYWLRHTEEIYVYTRSHLWAFICPSEFAPASIFLPNYTPAIYNVATSFCKSVSYDNSLNNP -DAEVCNKVNFITPELAQSRRKRWDSSYVCGWPLVSSTAKLLGGECTTNIDIGSLKSSLTAIQNFSSQNTE -LINDLQSQLSVVNARTNLHYNQLQQLVTAINEHQSKYVSDINNLISQIRNNTNTMKARVSINSIIMSYTN -SLFRIYQNIVDYRFAYIETLSSIQEHYHFPSEHLQAFNIPPLTKLREHGFSIPMINNNIPYSYGKVRYIN -VTGINFYDLEFDIYIPVIKLQHENDSSYFHSTLSALPVGINNTLVTYNTYQGNAICTDTYCLESPINGFC -REGEGYWYCGRHYIKTLHKITSLYTRPTKFAERAMFIPPHTMYFVHNTSYTIDNGNSLQALAGSVLMLTC -SSTVQISGYSFNASDFVSCTTMNENNAFIHPSLRSSDEHFYIPPTRVDLLEKLYTRDVLPIIKDITQDQS -ITIDTTDDEQLQQQYETLKNDFNAKYAALNIENKRIYALINNMHSIHSETSYVLYLVIAIIVFIVLKFIR -II - ->YP_009448186.1 ORF3 [Dianke virus] -MINSQCPLLFQTTTTHQPNKALRNKPGKPLILKTEMQWHQNHNANASSSSKPQLNHQNNHQQENQRLNAT -NLTLQQMLSPRSRKLLQQAPTTPKPMVSSTKLEKNLMLETIWDGERMKRLEQQSSSSLNLKWHPELTKST -IVINLRIATITSILSVLAYLFKIQPLNVMQFTTTKNSLQKKKMSMCVNYQTPSMQSSCVHVKHLTLVPFL -LLLLMLPNALCSTRIDLSTHHIVSYNKPLIVVDDFLKTTLKYNFGTDLYNSAINYKTSFEQLLNNFKTPY -QPLVDAFRVLFSYLGIEPVAHPFKDYFNADSPCPLQTTTTTGDVTTIGEHFQEILDDGNLELEPLASYWL -RHTEDIFVYTRSQLWAFICPSEFAQASIFLPNYTEAIFNVSTTFCRDVYYDTTINAFNAEICNKVNFITP -AKAQKRSKRWDSSYVCGWPLVSSAAKVLGGECTTNIDIGSLKSSLTAIQNFSYANTELIHDLQSQLSVVN -ARTNLHYNQLQQLVTAINDHQAKYVNDINNLINQIKNTTHTMEDRINVNSIIMSYTNSLFRVYQNIVDYR -FAYIETLSSIQQHYHFPSEHLHAFNSPLQAKLKEHGFSIPIIESNVPYSYGKVRYLNVTGINFYDLEFDI -YIPVIKLIHEKDSLFYHSTLSALPIGINDSLVTYNTYQGNAICTDLYCLESPISGFCREGESYWYCGQHY -IRTLHKVTSLYTKPTKFTESAMFIPPHTMYFVHNTTYSLNYGSSLQALAGSILMLTCNSTVQIPGYSFSS -NDFVSCTDMNVNNVFIHPSLRVNDTNFYIPPTRVDLLEKLYKRDVLPILNNIQQANDLSINTTADEQLKQ -QYETLKNDFNAKYDALMIENRRIHALINSMHSIKSENSYVLYLVIAVIVFIVLKFLRII - ->YP_009026380.1 ORF 2a [Casuarina virus] -MINSKCQPKFQEITPTMPKAPRNNSGLNKIHKMEIQTHSEKALNNSRKCNSSQDPKKLRTPTQKSAVHKH -KDNNNKSQSLRNRLQQAQTTPKPMVNSTKSEKNSMLETIWDGDVMKRMDLPFNSSLNLKWLLELTRLTTV -INSKMQTTTYIHSESVFSFKTQPLSETQFTTTRNLPMKKRTITYVNCPMPSMRYSCVHAKPLILVIALLL -LSMLPKANCSTRLDLNTHHIVSYNKPLIVVDDFLQTTLKYNFGSDLYNSAMNYKTSFELLLNNFKTPYQP -LVDAFRVLFSYLGIEPVTHPFNAYLRDDSPCPLQIKTSTGEVQPIGTLFQNILEDGDFQLEPLVSYWLKH -TEDIFVYTRSQLWSFICPTEFAQASIFLPQYNEYIYNASIVFCRNAKYDTKSNAVNSEICNKVNFITPQP -TLKRSKRWDSSYVCGWPLVSSAAKVIGGECTTNIDVSSLKSSLTAIQNFSNANTELIQNLEAQLSVVNAR -TNLHYSQLQQLVASINDHQSRYIDDINHLINHVQNTTNTLKSRINTNSIVMSYTNSLFRVYQTIVDYRFS -YIETLSSIQQHYHYPSEHLHAFNSELLAKLKEHGFSIPIVGDNIPYTYGKVRYKNVTGINFYDLEFDIYI -PIIKLIHEKDSDYYYSTLSALPIGIQDNLVTYNTYQGNAICTDMYCLESPVQGFCREGENYWYCGQHYVK -TLHKVTSLYTKPSNYSDSAMFIPPHTMYFVRNTTYSLNYGPSHQALAGSVLLLTCNSTVQIPGYNFNTKD -FVSCFDMHVDNVFIHPSLRVNSLNFYIQPTQIDQLENLYKRDISPIVEHVKQYEPLVLNTTQDEKLQQHY -EALKNDFNEKIAALILENKRINALINNIHSIKSETSIFLYIAIIIIVIIILKFLRIL - ->YP_007697644.1 ORF2a [Alphamesonivirus 4] -MITSPCRLQFHKIQLKLAPRNKANKTTQTTEQTNPQCHSDAILIETLGTHNNQMLVRVCALNRIEQQAKP -ELVKHQINPRPTRKQRKQLPQAQITPKPMVSDIKSEKNSMLATIWDGDAMRKQDHQSSSSLNQKWLPELT -RFITAVNLRMLTIVSILSGLGSLFKILLLSAMRSLNIQLFQRRKRENTYVNSPMPSTPSYCAHATPSKLQ -LSLLLLLTLSSVTCLTRIDLSTHHIVSYNKPLIVVDDFLKTTLRYNFGSDLYKSAINYKTSFEQLLNSFR -TPYQPLVEAFHVLFGYLGIQPVKHPFNDYLNADSPCPLQTTTMPAGDVTTIGEHFQSILDDGNLQLEPLA -SYWLRHTEDIFVYTRSQLWAFICPTEYAQANMFLPQYTDAIYNASLAFCKDVIYNTTINSFNGEICDKVN -FITPTQSKIRKRRWDSSYVCGWPLVSSAAKVFGGECTTNIDVDSLKSSLTSMQQFSNANSELIQNLQSQL -TIVNARTNLHYKQLQQLVTAINSHQERYISDINNLVEQVKNTTNSLESRISINSIISSYTSSLFRVYQTI -VDYRFAYIETLNSIQQHYHFPSEHMRSFNSDLINKLKAFGFTIPVIDSNIPYSYGKVNYLNVTGINFYDL -EFDIYIPITKLSHVNNDNFYYSTLSALPIGINSTHVTYNNYQGSAICTDLYCLETPINGFCREGGSYWYC -GQYYVDTLHKISKLYTSPTEYSAAAMFIPPHTMYFVKNTTYTLNENNIYTALTGSVLMLNCNSTVLVGRN -YFNTSDFVECTNLNKNNVFIHNRLNTTDPDFYIGPSEINKLENYYLRDIDPIIKHINNTVKLRIDTSSDE -ILNQQYATLKDSLETKIKLLASENQRIIATIGNMHAIPSETPMFFYIILGVLLLFVLKLFRII - ->YP_007697638.1 ORF2a [Alphamesonivirus 3] -MITLKCLLLITHLMLETAQASLSKINNLIRGFSKTPNKSVNLSTPFLAIEMGKPEAPIINQLRISQQTNP -LLSNLMVNPSSQPQLVPTTQRPKESFTKLEPSLMLETTWDGDVTRKMDPLYNSSSSQKWPQELTKLTTES -KMKMTANMSKHLRSASLSKIPPLLAMPSSLPKTSNQMKRMPTYSTFLTHSTQSSLAPRLHSILIHSLLLL -SMLNTTHSLTRIDLKTHHIVSYNKPLIAVDDFLKTTLKYNFGTDLYSSAIKYKESFEQLALNFRTPYQPL -IEAFRVLFGYLGITPVTHPFSIFLYPTSPCPIEVTQPGSPIQTIGQIFEEIITEGSYEYEALASYWLTHI -EDLKFLTRQQVWAFICPSEFAQASIFLPNYSESIYNSSIAFCNSVGYDLKISSYNDTSYQVCNKVNFLVL -KAENRRQKRWDSSYVCGWPLVSSAAKVLGGECTTNIDVDSLKSNLHAIQNFSLQNTELIHNLQEQLTIVN -ARTNLHYNQLLQLVNDINNHQARYIADINNLIEQVRNTTNVQTSQININSIILSYTNSLFRVYQTIVDYR -FSYIETLNSIQQHYHFPSEHMHSFNQELLDKLKAYGFTIPIIGNYIPYSYGVVRYLNVTGINFYDLEFDV -YIPITRIHYEYDTKYWHSTLSALPIGINLTHVTYNQYQGSAICTDLYCLETPLTGFCREGENYWYCGQHY -LKTLHRITNLYTNPTKNVQQAMFIPPHTVYFIRNTSYSLNHGPSNSTGLTGSVMMLTCNSSIQIPGYVFN -AADFVSCSDITNNNVFIHGGLHPDDPNFYTFPSQVQQLEEFYNRDITPIITNIHNYQDLFIDSSQDKNLT -QQYETLRNDFDMKYKKLLLENQRILQLINNMHSIDSETPIYFYIIIGVLVLFILKLFRII - ->YP_007697631.1 ORF2a [Alphamesonivirus 2] -MISSQCQLLPTTRTTTKPSQAPHVKISSQKPLTEMQWLQNRNASANKTIQQHHQNKHPQVNLNKIATHLR -PHNSLRSRKQLQQAPTTPKLMENCTKSEKNSMQETIWDGDVMKRLDQPSSSSLNQKWLPELTRCTTVINL -KMQTITSIHLVLAYLFKIQPLNAMQFTIMQNSLLKKRMSMFVNYQMPSMQFSCVRAKLLIPMLCLISLLT -LPNVECSTRIDLSTHHIVSYNKPLIVVDDFLKTTLKYNFGTDLYNSAINYKTSFEQLLNNFKTPYQPLVD -AFRVLFSYLGIQPVAHPFNNYLNADSPCPLQTKTTSGDVTTIGEHFQEILDDGNFQLEPLASYWLRHTED -IFMYTRSQLWAFICPSEFAQASIFLPNYTEAIYNVSITFCKDVHYDTAINDFNAEICNKVNFITPEKAQK -RHKRWDSSYVCGWPLVSSAAKVLGGECTTNIDIGSLKSSLTAIQNFSYANSELIHDLQSQLSVVNARTNL -HYNQLQQLVTAINEHQIKYVNDINHLISEIKNTTNTLESRIAINSIIMSYTNSLFRVYQNIVDYRFAYIE -TLSSIQQHYHFPSEHLHAFNRPLLDKLKANGFSIPIIDSNIPYSYGKVRYLNVTGINFYDLEFDIYIPVI -KLIHEKDSNYYHSTLSALPIGTNDSLVTYNTYQGNAICTDTYCLESPITGFCREGESYWYCGQHYIRTLH -KITSLYTKPTKFTDSAMFIPPHTMYFVHNTTYSLNYGSSLHALAGSVLMLTCNSTVQIPGYSFNANDFVS -CTDMNVNNVFIHPSLRVNDTNFYIAPTQVDVLEKLYKRDVLPILKHIRQYDSIKVDTTADKELQQQYETL -KNDFNAKYEALIAENSRIYTLINSMHSINSESSYMLYLVIAVIIFIVLKFLRII - ->YP_004767307.1 ORF2a gene product [Nam Dinh virus] -MINSKCPLLFQTTTTSMPNQAHRNRPGPPIILKPETQWHQNHNANASSSSKLHRSPLNNHPKDNQNLNAT -NLMLQHLPSLRSRKQLQQAPTTPKPAVNFTKSEKNSMLETTWDGGKMKRLDQQSSSSLNLKWHPELTKST -IAINLRILTISSILSVLAYLFKTQPLSAMQFTTTKNSLLKKKMSTFVNYLMPSMQSSCVHVKHLTLVPFL -LLLLMLPNANCSTRIDLSTHHIVSYNKPLIVVDDFLKTTLKYNFGTDLYNSAINYKTSFEQLLNNFKTPY -QPLVDAFRVLFSYLGIEPVAHPFKDYFNADSPCPLQTTTTTGDVTTIGEHFQEILDDGNLELEPLASYWL -RHTEDIFVYTRSQLWAFICPSEFAQASIFLPNYTEAIYNVSTAFCKTVYYDTPTNAFNAEICNKVNFITP -AKAQKRSKRWDSSYVCGWPLVSSAAKVLGGECTTNIDIGTLKSSLNAIQNFSYANTELIHDLQSQLSVVN -ARTNLHYNQLQQLVTAINDHQAKYVNDINNLINHIKNTTNTMENRINVNSIIMSYTNSLFRVYQNIVDYR -FAYIETLSSIQQHYHFPSEHLHAFNVPLQAKLREHGFSIPIIDSNIPYSYGKVRYLNVTGINFYDLEFDI -YIPVIKLIHEKDSKYYHSTLSALPIGINTTLVTYNTYQGNAICTDTYCLESPINGFCREGESYWYCGQHY -IRTLHKITSLYTKPTKFTXSAMFIPPHTMYFVHNTTYSLNYGSSLQALAGSILMLTCNSTVQIPGYNFNS -NDFVSCTDMNVNNVFIHPSLRVNDANFYIPPTRVDLLEKLYKRDIIPILNHIQKANDITIDTTADEELKQ -QYETLKSDFNAKYDALNIENRRIHALINSMHSIQSEPSYILYMVIAVIVFIVLKFLRII - ->YP_004598983.1 putative spike protein [Cavally virus] -MINSICQLQFQTPTTPMPNQALRNKTGPPKILKPEMQWHQNHNANANSRSSSNQHSNLNNYHHQERQNKN -ATNLMLQHQRSLRLRKQLQQVPTTLKPMVNSIKSEKNSMLETIWDGGKMKRLAQQSSFYSNPKWHHELTK -STTVINLKTLTTTSILSVLAYLFKIQPLSAMQFTTIPNSLPKKKMSTFVNYPTPSMQSSCVHVKPLTLVH -FLLLLLMLPNAHCSTRIDLSTHHIVSYNKPLIAVDDFLKTTLKYNFGTDLYNSAINYKTSFEQLLNNFKT -PYQPLVDAFRVLFSYLGIEPVAHPFKDYLNADSPCPLHTKSSTGSLTIGEHFQKVLDDGEFELEPLASYW -LRHTEDISIYTRSQLWAFICPSEFAQASIYLPTYTEAIFKVATTFCKTVTYDTTKNAQNAEICNKVNFIT -PEKALKRNKRWDSSYVCGWPLVSSAAKVLGGECTTNIDIGSLKSSLTAIQNFSYANTELIHDLQSQLSVV -NARTNLHYNQLQQLVTAINDHQAKYVTDINNLINHIENTTNTLENRINVNSIIMSYTNSLFRVYQNIVDY -RFAYIETLSSIQQHYHFPSEHLHAFNSPLQAKLKEHGFSIPIIDTNVPYSYGKVRYLNVTGINFYDLEFD -IYIPVIKLIHEKDSKYFHSTLSALPIGINNTLVTYNTYQGNAICTDTYCLESPINGFCREGESYWYCGQH -YIRTLHKITSLYTRPTKFTDSAMFIPPHTMYFVRNTTYSLNHGSSLQALAGSVLMLTCNSTVQIPGYSFN -ANDFVSCTDMNVNNVFIHPSLRVNDANFYIPPTRVDLLEKLYKRDITPILKHIHKADDITIDTTSDEELK -QQYETLKNDVNAKYDALIIENRRIHALINSMHSIQPEASYVLYLVIAVIVFIVLKFLRII - diff --git a/seq/clusters_seq/cluster_465 b/seq/clusters_seq/cluster_465 deleted file mode 100644 index 74264e6..0000000 --- a/seq/clusters_seq/cluster_465 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009186835.1 triple gene block protein 1 [Actinidia virus X] -MELEYLINLLDFYGFVRSSRSFSLPLVIHGVAGCGKSTIISKVSCAYPKLISASFSPQLIDPDSGRRQSV -VDGTTVDLLDEYLAGNNPIVRLAKFSDPLQYDCQSPEEPHYRALHSHRFCPATAQLLNKIFGCHITSKLN -TSAVIRFADAFTEDPEGQVITFEPELESLLTAHGCPTTPVADLWGRNIPYVSVYTSSIGYALENYRSSLF -LALTRHQKQLLIFDLDARADPTHEL - ->YP_001960941.1 triple-gene-block protein 1 [Lettuce virus X] -MAVPLLHKLLLENEFQRTATPLSYPIIIFGTAGSGKTTIIRLLAAAYPDLHFSSFRPCLLLPNTRRSQVA -ANPLTPTDVLDEFLAGPNPAVSLAKFCDPLQYNCTDLPLPHYTSNHTYRFCPATCDLLNQLFSTTLSSRL -PTAASITRPDPYSQDPSGTVVALEQDLLDILKQHGAFPKKPAELTGQTLPAVAFYCSSLQDAYDADPAAT -FIALTRHTTKLEIFELDARPDPTA - ->YP_001715613.1 Triple gene block protein 1 [Asparagus virus 3] -MEISYIVDLLNFLGFTRSSRPFSLPLVVHGVAGSGKTHLLRKVSLHFPELVHCSFTPQLIDPNSGRRQLP -ASETPTDLLDEYLGGPNPVVRLLKVCDPLQYNCPDPEIPHFQSLTTRRFCPLTTTLLNSLFGTNIVSAVP -TCCRIEIQDPYSTDPIGTVVTFSPEIHTLLSRHGCQPTPISELWGLNIRVVSCYVDSLEEALLNHRAPLF -LALTRHTAELHIFLFDARTDAAYELRKCLQDPRHRGS - ->YP_667845.1 ORF 2 [Malva mosaic virus] -MELDYLIKLLEFNNFPRTNLDFSLPLVVHGVAGCGKSTIISKLAKAFPTLVVASFTPQILDGNTGRKQVA -VDGSPVDILDEYLSGPTPSVRLALFCDPLQYSCEKPRLPHFISLTTHRFCPLTADFLNSKFGCEIISLRQ -DSCEIVEADPFATDPEGVVITFEPEVKSILERHQCFPTDISTLWGKNLETVSVYLSSFDTCLDSFRTDLF -LSLTRHTKKLLVFDFNAWPDSSDEL - ->YP_319828.1 triple gene block protein 1 [Alstroemeria virus X] -MALFYLQTLLLNSDFTRTTTPTASPLIIFGTAGCGKTSIIRALASQFPELHFSSFHPIVLLPNIRKKQHL -ANPNEATDVLDEFLAGPNPEVRIAKFCDPLQYNCETLPEPHFISETTYRFCPRTCELLNDIFKTTLKSKV -LEICKVACVDPYAVDPVGKVIAIEQELFPILSAHGLTVHSPDFLTGQTIPEVSVYTLNLKRAVAEHPHLL -FIALTRHSKTLHLFDLNAGPDTTA - ->NP_663725.1 TGB1 protein [Pepino mosaic virus] -MERSTLINLLQLHHFEPKLSVEGTIVVHGIAGTGKTTLLRTLFSAYPSLVIGSPRPCYLDKQNKISQVCL -SCFPNTHCDIVDEYHLLESFLEPKLAIFGDPCQCTYIERLRVPHYTSFRTHRFGKSTAEILNKLFDLNIV -SVKKEDDIVEFFNPFEVDPTEHISASEEEVLDFVSDQVVTTSSEELAGLEFAETTFYCTTLAAAVAENPA -KTFISLTRHTHKLTIGELNARSNS - ->NP_619747.1 26 kDa protein [Potato aucuba mosaic virus] -MEYSFLVRLLDHYGFERTTEKIVPGQPIVVQGIAGCGKTTLLRNFHQEYPSIPIYSCFPQKISENSEELQ -LLAKARFTASAILDEYLAHKFDYQKCLAVFADPLQYSHLGALRPHYQTSKHIGLVLVLLILSLRNWIPIE -SLLSEEKTILKECDPYATDPIGQIIASNHEVLNYIKPQAVEAICSCEVLGKEFQTVSCYYQSHKLEDSAE -ERRGLYIAISRAKSAVLLFDLD - ->NP_570727.1 triple gene block protein 1 [Asparagus virus 3] -MEVSYIVELLDFLGFTRSRRPFSLPLVVHGVAGSGKTYLLRKVSSHFPHLVHCSFAPQIIDSNSGRKQAP -VTSEPTDLLDEYLGGPNPLVRLLKVCDPLQYDCPEPEVPHYVSLTTRRFCPLTTTLLNSLFGCDIVSLVD -RNCHIQIHDPYTDDPIGTVVSLSPDIGALLLRHGCATTPIADLWDVNLSVVSCYLHSLEEALTHYRAPLF -LTLTRHTAELHIFLFDARTDAAHELRNRLQDFGHRSS - ->NP_054026.1 hypothetical protein CymMVgp2 [Cymbidium mosaic virus] -MELAYLVRLLEHNKFERTNLPLSSPLVVHGIAGSGKSTILTTFHHHYPAYPIFSHSPTLLDPSNRIYQQC -ITTDWVPGGAIVDEYNYKALDYSRCLAVFGDPLQLPHSLQPHYYSSRTHRYGPKLTSLLNDLFHLSITSL -APVDSLDYADPFAVDPSGFTIADEEVYNFVSQQVPGTLLPLDTVGLEYSSVSFYCSDLRSCVVLRPLSSL -HRSHPRQGQPHHLRFQCQV - ->NP_040779.1 26K triple gene block protein [Narcissus mosaic virus] -MDCKYLLELLDSYSFIRSSRSFSSPIIIHGVAGCGKSTIIQKIALAFPELLIGSFTPALLDSNSGRKQLA -VTSDPLDILDEYLGGPNPVVRLAKFCDPLQYSCEQPEVPHFTSLLTWRFCVRTTALLNGIFGCQIKSRRE -DLCHLTHENPYTTDPKGVVVAHEQEVINLLLQHGCPVTPTQHLWGLTIPVVSVYITSIASLSTVDRANLF -LSLTRDSKALHIFEFDAWSHATC - diff --git a/seq/clusters_seq/cluster_466 b/seq/clusters_seq/cluster_466 deleted file mode 100644 index 8c2ced2..0000000 --- a/seq/clusters_seq/cluster_466 +++ /dev/null @@ -1,564 +0,0 @@ ->YP_009448196.1 polyprotein [Rosellinia necatrix hypovirus 1] -MNPGQKRGSRPPQTPNYSRSSSRSTTSSVGLTSEPQMLLDRFSAQLAEQERHLADPTSRPDWPICCFCPS -KLSVDDVTGKIVICRACVNSHDEIFRQLEAQCSPVLEAPSPRTVLAPIEEEPEPVVSESRVGIVIPVVED -VPAPVVEPLPFLTEYAAPRWEQESLLVGGSVSRPVINFPKVHSPSVAETSRAPELVFTAPKTTDNVTITL -VVTVPGGDVDKWLKGPSRMSNLDWILREHDRRIERENRSLIRASLYKVRSKAYWADLVSSMKERRAVQQK -AYRTARDQSRTAISAPLVLANKFSCLTEDEREDFYHGIIPAVRPSLPTRSWPKRKRAPIPAEKKKSPPAP -FERDPLLLERLHKLLEHLLNQRRKKIHRKVTVSRSSCERMSCPSTGTLLSTNTVKRAKADNVGLVGIESN -PGPEYSGPLDANFSISLTATLPCLRVNYSQLQAFDDAEMAELMRCGYATKSPWNEVSILCSWIXKGQSVL -GWVFSDRSHRAAAGSHKVAWYQPTLHVYMQQGYTGKLLAYRFNCYEWSKQASTAQDPKAIPGLEQSVAED -FTDGNWSTWFQLLQKYQDSLRACKKEISELKKPEGARKHCKHHVYADDFTLLPQTQLEEFRNLSLNRTDF -IDIMNRLTSPSVYTSPYIYDTIANLDNQIGNNLTRYRSHSEIKIGNRIHSCEDAPATTITNGLDFESGSD -RNDQCSTDGIVTRLRHDAQDPXVRDLSXEGLHGVNFDLSVSPRSLPRGTLEFLASINYDHVPPRDIVLTA -NRMWLNDLPGSPYIIELRSTTDASHIVYDRKRKTKMIIYLQGVEGKQLQLLRFEPLFHLKHTARALVLNG -GRMGSKWFAPYRQEYPTPQYELPYVYPVSAGCCWIKRADMELHKVDWYKAFSGWSGVYGVDENMLARYEG -FMFLRGRSFSTSTHAGTNVPVTTHFIDADTHQTYFSAYWWSAKIARRINSLTFSHSQHWDDVKLLLPSQT -PYEHDLDPSKLFGQLPGRKVPYDRLPTLAILDDGGKTLLCNAYDRFVTPTHSKRVIYYGHAALTPAMVDF -LLTRDIILVVAEHWCASFDTSRFDIKVQRPINARRKVSYHSCENQTSRSPLSPNDFFNECVTFANTHLET -KIRRDPRLEVSEANPGAKLVFGYDVFPLGYAKDSRFRVTLENGCKVFKANQPDISTLRSMSRVWADPATF -YAELPQFIKPVAPEPVLNRATINWYGYVYSIFSLLRGKAIYPIIFVALMPAVLARRQIVSAISTQTVNAS -RPVWNAYQASGNTYQTLNINHIYTQPLQATGNFSKFIWSLGIWVRIARQFGNVFYGLLDTAHEIGIVLVT -WLAAVIFVFGIWFHLWGYKYQGIVPTILDDNALFSISDNSTPVTDEDLSEALCIVTYGTRGDHIPMQYFG -RLAALYGVRTHNYQAHLGDNKTLEQLRRADFTGLRLRYLELMTTSLLPYKQIMQPFVHLGGKVTSYTLSP -SEAFVHPLNFGGNPTLFSKFASFANRFFNPDLRVGALKESVLPRSPDGKKLLRMLPAVTAGPEAYTHGSE -DASVIPYGVKLRCPKLESGDHQIVMRKYSGIYSHAGAGTLQTILMSGIPVERRHICDINIDRWYHTIPTL -NDVTERSPYVFLGYLIVKGFDLKISLWDKFLAIGIWLFTTCTAKSFVDTIAWGYRYYAVFYGFRSILHQV -ILVILTFPSIAHFFGVTWLKLPLCAIASALIDAPILTQMGSIWTLLYMAYQYAQFVPKVISEISSWLDTR -SELVMTSNESFPAPFGHWYVVDHRSGRRYEGSFSGSKGWHQKFGWIAHTRVFNNTDMEDRGYYQTLYMFI -TALAFIVATACLCCFSHPLISFSMVLVSIFLFAFVICEPLYQYAQYGSTQKIKKEYRIPLPFTSNTVERM -AAAYARNEDLGGYHPFFNCQSLVIPLVYDSTLFCAAFCTLVFLFTLTVLIPGWAAAVMKPLVKRLSIRIW -DVSLEDILEDIQRSTNFAAAPPVKTENVPSDPSPTPFKERVQGYREAGIPEVELPQDEQAELKLIDYWTR -KFHEPLDIFEQVQTLYKLSMDPTQSHPLSEAEAEHVRAMTLAKYLEHHVSEEEVELEARMPGATSIIHSE -MPPSQNDPTSPNAHLWAKFVGKLDEFLSIWSGEKFVSDIISWLRNQRFKHLGDLAFRVYHLLCFIGRIVY -TTSFNAWRVLNLGMTCFLTCIFPVEEAKRLKSAWAFAGLTKTPFLSTKRRFVENIAWSTKEERGDFLESF -TSMVDEINHYCQRHGAPGIELHPQYRKVNVGRPVLDDEQATLLGLNKDAYVTDQRSTDFTRSLREKGTPI -SADTVYLTDKIEYVQQSVRRYIPRYEPISHEDKLLAHEIAAEFGSRFPDSFKDAKTLTPRQVQAYYKAKY -APGSPWISIYKSRQALEDAGITKALFEMVEDRLASGKYPDMYHKAFVKSQVVNLDKVINGNKNVRTVVAQ -ELLTYFMNMCMELERNHRHNWKVTGMGIGMPMNQSMITLYNNLMRSKKECGGMYAIADAHEYDSRKAPFT -FEVLAKLAEIGYEGKPQASVLKAKYDALQSSFIFQETMPNHHNSTSVIVPDAAIASALMKKHPDKFITAE -LLHSVFPRLSQTDLYNDSHPIHRLYADKVVLATREDELTFTDSTGRMKYRLLSPIFVRAYLNEQPIGSPL -PFQSFQNVDKMKQWLIDEVQPNIHLLYNVAQKNRGGGTGENATSWDNGWGYKAAFIATWVRYNEKLGISV -KPSDFFAQGNILYNTGDDSAIKLTGVDTATFNKSLWLECALYYGLELDFDFTDDIKEVEYLGNAIRRPNT -DDLVKLKAWQKMMTNVQRSRKEEICPPPLPRWLVYHKERDSFIRLSSRRYYQNQPGNLSFVHHNLAKFAG -TATIAVFNPTLWNVLANEYCSDAERLAKHYNVPGFTATIKKDQFGLDYIALSDNSNARRGSDLRRHLRAH -PGYRLSRQEEFFTFLQGAKFPSQAKSIRDALDIKTELPVIAQQLSFIQKLEKKSGNLLDTTNLVVDYLSE -ELAAIPRYIYKMTANILPATGEPAFASANYPVEHFVAACQKPETEGFMLGKLARSPWASVTNGSDFYRHL -QDTEFATNHESHTKEAYGSRLVLALVLYCLFYFLEGYFVRIPIFGTFIRIFYSIMIDVPKVYGVLSCLYW -HYYGDNSPIVSALMPKDIFIWGKRFAVFIAANLPMFLFDYPISPFYYLYYALSFIVVPIETLSMLIVSGK -QQSEHPGSKPHAAPFDNPWDNEVRSEEGRFQVQMRAKTDLVLPPVNASSQAIVVKDVPRPFVVKSAVGSG -KSSLFPYALLNNAKWIDNFKDLNTPTGGRVVLSLPRTILRDKWSSPLENSRQPYQRLQRGTVLDPKAKIL -IGTDGHLLNRLKSGELTEKDIYLLDEFHELNGQKVALFQELLERKCRVMLPSATPKPVPGINMGIMEAAI -PRRFAPDIWPISDSISPADALRQFGPNFVTQWPLTGPRDAESFKDRVLIKCTHINGRNGVNETMEILQYD -QWNCYALTSESARDPIPDSAQVVIATDVINTGISLPGFKLLVYDGKMHSVHQGEHQLTWTDPDTKHQGMA -RVGRYGPGDVVLCPVSAGTGATPQVYPAMSYLAWSMNAQAHDLPQLCSFNDYNEGTSLQSKYESTNLTPY -LAVSKEVPTFMRDAISVYHAIANMGCRQSEIRGVYNKIIMNKPVDESYELVQRFFAPKSRNAMPYEAVVM -TMAQHPVLYMCRNLTPKTQVPVATILDLELSPSTPRLYDAYCCQTVNPLVPYKARLRPLDETDSKINNNF -IIGAESKPIQVYQQIVDIHQSHTRMAREALTDALEAVKDLRIKKDWTVETLRNGLRKCIATHINKLNREI -DTNEHKSNKLKFNLTESSRIDPTLAYTIKGGQQFIHNHKLNCPMCSKTQPHTHVGYNGMAETSYDYRDSW -IDSLVETDDFILFHHGDGLSENKASEENRKSLHSTSGNKRPKKIGTLHPPT - ->YP_009342443.1 polyprotein [Wuhan insect virus 14] -MACKCEIHKGFDSSIANAKIGCALKQPGAFGPIWCAMNDLHHACSLDESSRQKVESFRNGVRNGQFPLGY -AHFEMRKKTIEDWGSQSSFVQKDFGKGYCYLEVVRPEFKKIVAQAFGPCPVFSDVIKVWMQFGLHTGTAH -ELVPVVDGYWHLTRVKEIKGKIPLSTRHLVSHVQLLKSEGKLNNEDKIGLEYGQIRGNSTFSSRFEDVVN -LPIRPRGMAVSWGMKPETFEHSISTMETLKISDGGVIYDDTVNQFTIDTLFGLPIGSLVPVEDKTYRDPV -ERRKAKWAWLFERFSRQVVARKVKRAQSKLVAPVIVGRDSMFSVLPPTVDGPDSAFVFGEAPKRVRKTAP -KTKNMAFVTWSTACLRKKLFLKSFPRRKFSYRRQNGRMLLACRSGVLTRSSPESVFGLENLFFEKLGIRS -GYELENFLAVSFWISERYDCPKSGFIVNFDLGTKLFSVLESEFPGCSLCVNNEEFLHMGESNQRWSCNSP -VTPEPMSPGTRRFELHQRRASSSSISSQFIDDNQRVLDALKRKPANVEIDQAEIVKRLNHLLTIEWLSVT -PTIIFGLAMAYKSNYGCPSSQRFEINISRFTNSVQVKQRKTDDENPAGLYWYLDSVFYYHCSDAKKNIAN -KWWRIVAKKMLRDAQKTKTRVAKEALKVATCSIPCTQPWFPQQLLGFRVCAKLQGCAVFSRGMDFSRLDP -ESTTDGFITVRQHKRFWKEENLVFTPKGLAKVFYRKKNDEKWRFAIARSLGYTLDGAHKFFSNYINDPMM -PDVEVESLSDKVVNNKVKIVEKPIFIEIPVNVPAKPVKQDISLPPPPPPPSPLNEKIKMVDGDRYLSVEG -PEGIARRAEFRHHFQDDWQFRVSEEALRFMNRDAARDNGKRLDFKKESLIVNPATKFNLYDFPPPRQKFG -PSMPAVMKEIGPRALKLRKEREFKDPRTEIESRFNYPKGRVLNQHELARDSTKADIEVNPGPEGSEENKS -STRSNSSDDIFIVEHPTPRDLWRRNRDKRVSGSISMITNRQSIVFSDGGSPLDERFVVTGPTPVDPKTLA -DQFELARGPEQSDIELNPGPGESSKGKERGRSRSRSSVREFISTTVDDASERWEAFKKSFGDDNGHMSIS -KIEVVTESFLNKYYQQHVLVGHLPRDKDWKSAPPGVLSWQGLMDLQNSLDRLRVSNSTFSRNKLSLTLNM -INKFFQTSDANYPVNSSFVSALAHYVKSMYNCPLDGQGFCINIRPVQKEITFHISSDPVEKVNGRLWWAI -DGVPVVHGGHSKQLPTGLNRDQFEEYAWIGDSLLSLNVKLTIVAKNLKKDELSYQSLTCNEMLATFMRKK -GYSDEIERLKLNNHTIGDSFEYLYARDPDFRDEYNAENGILSTKTVLDDLPPDHAKVSVSHCWHKLFPDN -PTITDEFFRITPCASKKQILRIASQVGYSSRSFSVTRDGNILHIEEGGTVSASKVVEMMNNKDVLGGRID -TDYSKIAWEESLIKTSRYKDTFHRCYDSFICDPEGEFGGKSCHCTYLTYVYLRIYYFIMSFVYIWRSYMY -PDVPASSTVTFSDEDFPDDEDPGNALLIATMGTHGDKIPLHYYANLASSYNVKVHVKDYKSFNVKDLETL -KHGDLTKLVPEYVDLSSVGELGYKQVMVPHCDVDFSKGITYRLNPSSKWIKRIKYIAEGYSVKFWNYPIV -ELAERLAQVHHPVWSIGALSDCNLPRSTNGRKLLSQGKQTGLKKVGWLHGSASESVIPLEIRNKYPKITD -TDHATAFKDYETIHMHGGAGTVQTAIANGAQPVVHDKNLDRIYHTLPTPKDFHKPSVGPFMGWLIARNFN -IEAPAFIKFCWIVQYWWSFKYHFIMKLIYDAVKLYALFSFTRKHWIALLMIFFSVSTTIWKLLLTAESLS -TACQLAGSIVWQYPVFCISSNGYFSGVFLLYFMFNHFWPRMAQDLVASSRSRCKLVFEPVTRKGITFPFP -LGHWSIYDESREEHYEGLFMSKNKQGMRENFKFVLTKREFKEGAKQFPVFFNTAHLRSMVKSAKPLPYGS -DHNCVTLVLQTIHKRSFIWTIVMSVVAAMVWVSMAPPEFVEKLFGLLGNPVNYRGSRLYQQLGFAAGVED -IPFELEDVADGEAEHFLKGEKPKTPAEIDLKDPKVFDGLLEELANIQNSFMSTGCKTMDQSDFEEATERT -LLREIEKIPISEDQLLEIGPLPPYVKYTWAQIVDNLHHAISFISSTHFTSSLIAWLKVIGGHIYEFIFPV -LEALSYLMSIGLQQSKDLFYKIFTEGCNLIDFVWGLEASKRVKTAWGLTGLHRTGMLGAKARLAANIAYS -EYIGRTSFEEDFKRLTDEAKDLAKRYGAVKRSNIGGPQRRPIGYSKPLMTKGEADLLGFKEGEYVTDKDY -DERISSYLKQGTTQGADGVFLAEKQPQLIAKSQRRYEPKYPVLTSDDRAFAREIAEALFEQYPSVFENAD -VLPPKAVHNYIKKKYSPGTPFIKEGGFKSRQAMFDAGYDKVMQRRAISKMESGVYDVQFYHAFVKSQVVD -VKKCLPFEKGGTNKDVRTVVSQDLFSYYIDQCVQIERNKRINWDTYGAGIGMPLNQSMERIYSRMANLQK -ERGGRYIILDASAFDSICKPFLFEVGGCLWDLGFKDHPSGNGKNLSSIVRASYQARQNAWIIGITEKEHN -NLCIAIPDKEQRKVVESKNLKNVIPLAELIDYTKFNKMTYNQQLKYVAKLELPENKTILTWDPKLRPENA -NWMGIYEFGDTKDVAQKFHRNQTFTYEFGDYEGMIEDVRRIGISNYRLLSNIHPKNRGGSTGGSDTSNVN -THAFKAGVIAAWCKTTGQKPRDFFKYNDIANTSDDTIWQSGGTHGLNQIKDLETFKYHCAEYGINLEMDT -TKDITQVEYLSKFVRPPTKEDSEALKLWRTQKLRAINNSNKQRGLPVAQNVDALNNPRFIVVQNPKAILH -RRTAFRYYQGQRDKWRYTSVERGAGHAYNTAFVPDLYEQFGLEYCDDVNHLLAMHKIHRKYALRNGQFGL -QEVKQIDPRAGQQILSPRQKAFLLWLKGNMFPTYYKVIDVHMDVKKIEPDAHAKFLRKLEKGWRGYDQIA -REGVDWLFNATNAIPDEWSKKFQPGIDMLYAEQPFYTKNKIVEKFVYMKLLEESTDTEITFGDFSTKIQE -SPYGGACDPYHFWECMQQKEFKESVESEEMYKIQGLVFFISAFYMMTSTVEWFILQLPLIGIAYKLFLWS -FIGLNKVYGILNTLYWHSTGKSSREISRIMPRDPYLVTKQFCVFCVDFLPDLAGYFMIGPILLLDLLPPL -LEMIGKTWYVGQSLKQVESKNNHINNPWSSYADDYIDEVRRSPTKAAYVAAKTSTGKSSMFIAALWAARG -RKRVRKIWLVEPRRILRNQASIPFGIPIQRLQRGVNISRSTDIYVLTYGHLQSRINDIDPVNDIVLFDEF -HEEQGEMILSINTVKAPKFLLSATPINIPSLKGSTYLAPAIERRFPITVYKVPDSMAISDICLEAINRHP -DKANRVLVIVPTLKMVPKVCASLTYLKVGKVTPLTARENKVPEDGWIVATPYVQTGLDIKPPPKMCIDSG -LDVVFDKGRLVTPLPWTTKDINQQRIGRVGRLEAGVVFQPESAGTGRKVIQYPSPNLFVHEVVAKHFSVP -QLTPISMPVNPLLPFMRLNTNKLSSLQVQKSVTMIHAFSIQGIRQIQWQSFYTRKLQGRSLGEDYEWLDR -IFNHYKWSHIPMVDWSTALYFLNMENVVEYSFAGKNKWCLPVSAINGQWQEIERTPSEKMSYERITHEEL -EERHVMYKKTLDKFKSHILRHAHEHSPQTYSRAVEALA - ->YP_009330037.1 polyprotein [Fusarium langsethiae hypovirus 1] -MSTQLESGPLQAARSLFTRVNAYESVDSARSARNTTCPLTPLDEANNNNSELFSTGLNTSCSPNNSRRQI -VTGIIERPSHLSPWFMRKGARVPFRQAFVQSHKGKTESGAFWGSLVAGVGPPPVRTEKPAGYKVSARLAA -KRERIAASRSRRARAEVHVDVDALHALFSDRLIVPGVVRKVRGRKPVRHIAHRTRQPKSKLQEGLLSGEC -LVEELWNDDVFHSVPARNFKRARDSSVADIEPNPGPPPAYKGKAYGAHGRSEEFLRNWANKEKGKDPITL -VKNIQFAEFELDRYWQECGKLADQLASTKAELFTTARERDNLKGKYEGEWAEASVVRDPKTGEPLDVTKV -IAEVCGNHGVTWTGYNQTLRWQLEELGKRVASAERDLESKEKLRQQALSDKVLAEKKSAVAERLVAQLKE -DNAKLEEQKSKPFDPSTESARDSPSLAGVLDDDTRQAEWSSWLDLVKTLRNRSEECESSLLASRSELADK -VSQLETCISRCDQLEVDLENADAILGDRNELRKMCGHHKYADEFSELEEVRLDEFWNLTQNYEDYMRLVN -KLIQPVVYGPPYIYDVLFIIDANLEASFTRFRSPVDIRIGDRTHSCREPHGECHDVSYEDRSDRSEALYH -DNEIIQLRHFKQDPDLRSVDNPGLHGLKLDLTTSPRKLNKTAWEFLQAVDYSCVPTGNVLVSASQDWQHP -GPLPAYFIDLRVSNDFNRIEYSRAGKGSMTIHLAGIDGKALQLLRFAPLFGLSDGHQPIVLNGGKGGMHN -YFDYDPNFPTPQVLMHYMHPSYAGACRLSRSDMEKHRDSWFKAFSGWSGVYGVDENLLLMYETFAFVRGS -GDLKLSAIHRGANMSCHTHFIHLDTKREYFTLHWFSLAIAKKLASTTFSTEATYTHSRVRFFGDHALGAE -FSPTKLFGSLPQRKNPYDLIPTLVILDDGGKTLLVNAYEQFVTATHSKQVVYDGHGSLSKEALEYLKTRD -VVLVVADHWLDKLDSTQLCIKMQKPIKSSKHLVYHESYNRKVRPSLNPVDFFSDCISFANSWLENPIQRD -VGREVSEANPGGAEVGGYDSFPLGYSQDSRFRTTLVNGDRLRKARETPKDVLEAAARVWASPDTIYAELP -QFFDDDENDDFITEAGHLTYLSRIHLVILLLRRKFVSIALILVALPVASAAPSIRNVIRMVARTTALTSR -TSTGGSDQRFSSDDWHREGSNFYQQNIFVGSVPAQNSSLLWFLTLPLHCFLRVNEFLAVTFQWVQGALLS -VALWMLVFAVIFSIWYYFRGYRFQGVVPVILAEKHERLTISDSPTPVTDEDLSEALLIGTLGTRGDHIPM -YYYGRLAALFGVRTHVYRIHVGDNDTLKQLRRADFTGLRSSYMQLMTTSLLPYRSVFQPFVDLGGKVDSY -SLSPSSKYVKPLNFGGNPTVFSKIASFTHQFFSPTVRVGSLTEALLPRSPDGRKLLRKLPAVASRLEGYT -HGSEDASVIPEGVRQRCEALERGDHQVTMRKFGSLYSHAGAGTIQTALMSGLSISRRHICDKNIDRWYHR -LPKRSEVMERSPYVFLGFLILRGYKLKMPIHHKVIAVGIWCCASFGVKSVTWCASQVYRVYAVILAFKSM -LHSLLLFALTFPMLTRILGWRWFRDFLTSIVFILLEAPLLTQLPWFFTLAYWVNNFIQAGPRVVREITNW -FDTRTVLVMTSNEQFPAPFGHWYFECKDSGKRYEGQFVGEKGWMQKFAWVSNTALVNNTQYEDRGYYQTL -YMFFTGIMFIALSSLLIGCSNPLIGGTLLLVDAFIFVFVLMEPVHELLAGRSVKKIRKEIHIPLPFTSNS -MEKLTSNWEKNQKAGLYHPFYTCQSMGLEVVLSNSLILSGFCTLIFLFSLTVLIPGWFAQALVPVCKRYG -VKIFGLPAEVILSEIQSRTSFAARSSPAGSVEDTALAQGQQGEEGYPVEAPQEVLPEPMVEEDPSEPELE -QGFKSRLDHYRKCGIPESELPHSVKEENELIDHWTRKFEEPLEVFSQVHSLMKLSMDPGQSHSLTLAEAE -HVRAMAIADYLENHKSPEEVKLEGVLQGEGAVEVVHGEMPPSENDPTSVNANLWARFLGILDDFLARWSG -DKFVADIISWLRNQRAKHLADLAFRLYHLLCFVGNVVYTSSFQSWRILNMAMACFVTALFPIEEAKRLKS -AWAFASLSQTPFLSTKRKFQESIAWSSKEPRGDFLESFTAMVDDINRYCKKHGAPGIELHPQYRKVNVGR -PVLDDEQAGLLGLEEGAYVKDQRSTDFTASLREKGAPISSDTVYLTEKIEYVQQSVKRYVPRYEPVSGED -KWLAAEIAEVFGEMHPDTFKDAKTLTPRQVNAYIKMKYAPGSPWISIYKTRQALQDAGITDALFEMVEDY -LMEGKYPDMYHKAFVKSQVVNLEKVVLGNKNVRTVVAEELLTYFMNQCMELERNHRHNWKETGTGIGMPM -NQSMVHLYNQLMSSRKEYGGLYAIADAHEYDSRKSPFAFEVLAKLAEIGYQGKPQASVLRAKYDALQSSF -IFQETMPNHHNSASVIMPCMEIANQLMATYPGKFITARLIHAAFPRVSQEDLYNPNHPVHSLYKNKVVLA -GREEELTFTDKSGRSRYRLLSPIFVQAFLNDVPYDIKVPFQKFANVNELKVWLVESVSENIELLYNVAQK -NRGGGTGENATSWDNSWGFKAAFIASWIRYMEHFQQYLSPKDFFLMGNKIYNTGDDSAIKLTINIKDFDR -KLFMKCAERYGLELDFDFTGDIKDVEYLGNAVRRPNSDDKRKLAAWQKMMTNVQRSRKEEVQPPKLPRWL -VYHKERDAYIRLSSRRYYQNQPGNLSFVHANVAKFSGMATIAIFNPTLWNILANAYCEDAERLARYYNVP -GFAATIKRDQFGMEQIVLKDSSNARRGRALRQHVQANPGYKLTKQEQFFVFMSGSVFPSQSKSIRDALDI -KTQLPVLAQQLSFIQKLERKSGNLLEATNLIVDYVSESLGNLPRYMYKMQANILPATGEPAFATANYPVE -HFIAKCQEPESEGFLLGKLARSPWASVTNGQDFYRHYQDVEFRENHDKYTKEDYANRLILALGLYAGFYA -AENYLVRIPLFGFLIRIFYMILIDTPKVYGIVSCLYWHYYGDNSPVISALMPKDIFIWGKRFAMFIASNL -PMWLVAIPLSPFFYLYYILSFAVLPIEWLCQLIVSGKQNSEHPGSKEHAAPFDNPWDREVRTEEGRFQVA -LRQTTDLVLPPTHAGAEQQVVKDIPKPFVIKSAVGSGKSSLLPYALLNNARWLEQFRDLNAPTGGRVVLS -LPRTILRDKWSSPLENDRQPVQRLKQGVNIDPKSKILIGTDGHLLNRVLAGELTDKDIFLLDEFHELNGQ -KVALLEELTQRKCTVMLPSATPKPVPGLEVGVMEAGIPRRFAPDIWPISDSISPADALRQFGGNFVTQWP -VTGPPNADAFKERILIKCTHINGRNGVNETLEILQYDQWNCYALTSETARDPIPDSAQVVIATDVINTGI -SLPGFKLLVYDGKMHAVDQGEHSVTWVDPDTKHQGMARVGRYGPGDAVLCPVSAGTGPTPQVYPAMSYLH -WSMNAQAHDLPQLCDFQGYATPELRRHYASTKLTPYLAVSTNLPANVRDAVSMYHAIANSGCRANEIRMV -YTKLVSGKKVSESYELIEKFAADKSRVPVPYEAAVMAMAQEPVLYMCRNLTEKTLVPVATTLSTKLEPHA -PALYDAYCAKTTGPLIPYRGRLRPLEEAESKVKNAFIVNKDTKPMELYDQIINIYESEAKEARDALSDAI -KTVADIKIKPSKNDPVMQVKNAIRKILATKFNALAKEQKLSEPKASRLKVNLTEDSKLSPTLTYTTVDNV -QYIHHHKKECPLCDETGRHEHIGYNGAKNDAFDMRDEWFDALIEHENFIFFHHGESVTNSQPEDQPKKIG -DRKGKGRALSGASSTLNPSARAFIPPRLDLG - ->YP_009130646.1 polyprotein [Fusarium graminearum hypovirus 2] -MISSMSNQLESGTLQTARSLSDRAECAYESVDFAWQAKNTTRPLTVLGEANHSEILSYGGNTVHPNKTPR -SQIVTGNTERPSHLSPWFMRRGTRVPFRQAFVHSREGKMEGGAFWGSVVDGIGPLPRTSKKPAGYEMSAK -LDAKRKRVAARRARDAQAGVQIDVDALYSLFSDRLTPSKPVRETPVRKSVRLSAREPRFPIVRLERNLVS -GKSPVEEVWNDDIFHSVPAKDFKRARDSSMADIEPNPGPPPAYKGKPYGAHERSEEFLRHWANREKGKDP -ITLIKNIQFAEFELDRYWNQSMSLSGELAKVRTELDTTAKERDEIKAKYDGEWAETAVAVDPVTKQPLNV -TKVLSEICGSHGVSWSGYNKTLRWQLDELGRRVANAEKSMETKEQLRQQAVAGKLEAERKLAEAEKSIAW -LKKENEELNSKEPEPFDPSKVKARGALPPDVVLDDDTNNSEWSTWTDITKVLADQLRATKSSLSDTNEKL -EFAAGELSVCTTRCDQLEKDLANADAILGNQTELRKVCGHHKYADKFTELDEIVLEEFLNFSQNRQDIIA -MLNNFITPQSYDPPFLWDALASLDRHLDVYFTRYRSPVDITIGTRVHSCFEQNSGGLDPSIDFENAADRN -DGVVDQYGELVTLRHERQDSDLRGIDNVDLHGVQFDLTLSPRFTPPHVMKFLASIDYSGVPLGNVALTAC -RAWKRPAVAPDLFIIDLRPTKGASRVVFNEFESNSMVVYIHGVTGKMLQLLRFEPLFHLPYECDVIVLNG -GRYGDYNYFEKVNGFPTPQAYMHYVYPSYAGACRITRSDVESKKELWFTCFKNWAGVYGVDENILARYEN -FLFLRSMDCLKESCIHRGANLPVHAHVIDFATLRPLFTMYWYSLPIAQKIAKAGFSTDPTYKAMNARMPS -DTSYGAELRVEALFGDLPARRIPYKTIPTLAIVDDGGKTLLVNAYKQFVTSTHSKQVVYHRHDLMTQEMV -DFLKTRDVVLVVGEGWLKDLDTTQLCVKTQNPIDAQVISKYHEPGREPSRKGVSPEVFFSDCVSFANHYL -DSPIVRDVSREISEVNPQGREVFGYDVFPLGYAQDSRFRATVVDGSVLNKAAPVPRYVLEAMSRVWANPA -SVYAELPEYFREDESYIETNPSRLTILSRLHLLFMFLKHRSGSVLLLFLSLPVATAHRFTKHSGHVTTMA -LALPGWTPEDSRFAMCTSSALQSLWTAVRMLPRLVRLPYHVAFAVAHTISWCLLTAQHALLVVALALVLF -GLVFAFWYWFWGYHFMGAVPEVLDEEYSALTISGDSTPSTDEDLSKALLIATLGTRGDHVPMYYYARLAA -HFGVRVHVYQVHMGTNDTLKQLRRADFTGLRSSYMQLLTTGLLPYKTIFQPFVDLGGRVDSYSLSPSARY -VNALNFGGNPTLFSKIASYTHQFFEPTVRVGSLKEAVFPRSPDGRKLLKKLPPVVSKLEAYTHGSEDSNV -IPRGVVNRLPKLEAGDHQVTMRKYRGIYSHAGAGTIQTMLMSGVQVLRRHICDRNIDRWYHTIPTMDDVI -ERSPYAFLGFLLVRGYKLPGLAISHKFIAVGIWLCASFGTRSMVFVLGLAYRVYAVVLAFRSLLHSAILF -ALTFPMLTRALGWRWFRDFLVSIVWILLEAPLLTQLPWFFTLAYWLNGIVQVGPRVLREVTNWFDTRTVL -VMTSNEQFPAPFGHWYFESRETGKRYEGQFVGDKGWMQKFAWVSRTSLVNTTQYEDRGYYQTAYMFCTGI -LFIAMSAFLIGCSNPLMGMFLLAVDAFIFIFVLMEPVHEMIAGRSVRKIRKEIQIPLPFTTNSMERMAAH -WEKNQRAGLYHPFYTCQSLGLEVVLSNSLIVSGFCTLVFLFSLTVLVPGWVAQALIPVCKKRGWTIFGAP -ASMILDDIQSRTSFAAGSPLPSDPEEAKVVSGSSVEVGTPVEVLQESTSEPLLSEPSPDSDLERNFKGRL -EHYRKCGIPESELPSTVKEENELIDHWTRKFEEPLEVFSQIHSLMKLSMDPEQPHPLTLAEAEHVRAMAV -ADYLENHKSPEEKKLEDELNGEGAVEVIHDEMPPSENDPTSVNANLWARFLGILDDFLAKWSGDKFVADI -ISWLRNQRAKHLADLAFRLYHLLCFVGNVVYTSSFQSWRILNMAMACFVTALFPIEEAKRLKSAWAFASL -SQTPFLSTKRKFQESIAWSSKEPRGDFLESFTTMVDDINRYCKKHGAPGIELHPQYRKVNVGRPVLDDEQ -AGLLGLKEGAYVKDQRSTDFTASLREKGTPVSSDTVYLTEKIEYVQQSVKRYVPRYEPVSGEDKWLAAEI -AEVFGEMHPDTFKDAKTLTPRQVNAYIKMKYAPGSPWISIYKTRQALQDAGITNALFEMVEDYLLEGKYP -DMYHKAFVKSQVVNLEKVILGNKNVRTVVAEELLTYFMNQCMELERNHRHNWKETGTGIGMPMNQSMVHL -YNQLMSSRKEYGGLYAIADAHEYDSRKSPFAFEVLAKLAEIGYQGKPQASVLRAKYDALQSSFIFQETMP -NHHNSASVIVPCLEVANQLMATYPGKFITARLIHAAFPRVSQDDLYNPDHPVHSLYKNKVVLAEREDELT -FTDKSGRSRYRLLSPIFVQAFLGDVPYDIKVPFQKFGNVNELKVWLVESVSENIELLYNVAQKNRGGGTG -ENATSWDNSWGFKAAFIASWIRYMEHFQQYLSPKDFFLMGNKIYNTGDDSAIKLTINIKDFDRKLFMKCA -ERYGLELDFDFTGDIKDVEYLGNAIRRPNSDDKKKLAAWQKMMTNVQRSRKEEVQPPKLPRWLVYHKERD -AYIRLSSRRYYQNQPGNLSFVHANIAKFSGMATIAIFNPTLWNILANAYCEDAERLARYYNVPGFAATIK -KDQFGMDHIVLKDSSNARKGRALRQHIQANPGYKLTKQEQFFVFMSGAVFPSQSKSIRDALDIKTQLPVL -AQQLSFIQKLERKSGNLLEATNLIVDYVSESLGNLPRYMYKMQANILPATGEPAFATANYPVEHFIAKCQ -EPESEGFLLGKLARSPWASVTNGQDFYRHYQDVEFRERHDKYTKEDYANRLILALGLYAGFYAAENYLVR -IPLFGFLIRIFYMILIDTPKVYGIVSCLYWHYYGDNSPIISALMPKDIFIWGKRFAMFIASNLPMWLVSV -PLSPFFYSYYLLSFVVLPIEWLCQLIVSGKQNSEHPGSKEHAAPFDNPWDREVRTEEGRFQVALRQTTDL -VLPPTHAGAEQQVVKNIPKPFVIKSAVGSGKSSLLPYALLNNARWLDQFRDLNAPTGGRVVLSLPRTILR -DKWSSPLENDRQPIQRLKQGVTIDPRSKILIGTDGHLLNRVLAGELTDKDIFLLDEFHELNGQKVALLEE -LTQRKCTVMLPSATPKPVPGLEVGVMEAGIPRRFAPDIWPISDSISPADALRQFGGNFVTQWPVSGPPNA -EAFKERVLVKCTHINGRNGVNETLEILQYDQWNCYALTSETARDPIPDSAQVVIATDVINTGISLPGFKL -LVYDGKMHAVDQGEHSVTWVDPDTKHQGMARVGRYGPGDAVLCPVSAGTGPTPQVYPTMSYLHWSMNAQA -HDLPQLCDFQGYATPELRRHYASTKLTPYLAVSTNLPANVRDAVSMYHAIANSGCRANEIRMVYTKLVSG -KKVSESYELIEKFAADKSRVPVPYEAAVMAMAQEPVLYMCRNLTEKTLVPVATTLSTKLEPSEPALYDAY -CAKTTGPLIPYRGRLRPLEEAESKVKNSFVMSKGTSPMELYDQIINIYESEAKEARDALSDAIKTVAEIK -IKPSKNDPVTQVKNAIRKILATKFNALAKEQKISEPKASRLKVNLTEDSRLSPTLTYTTVDNVQYIHHHK -KDCPLCDETGRHEHIGYNGAKNDAFDMRDEWFDALIEHDNFIFFHHGESVTNSQPEDRPKKIGDRKGKGR -ALSGASSTLNPSARAFFPPRLDLG - ->YP_009011065.1 hypothetical protein FgHV1gp2 [Fusarium graminearum hypovirus 1] -MAQSTQFSDISFPLSVREWYCTARDQSHAELSQGHEITCKCQLHMTPPSVQRAKMSGNPELLAQTLARAP -SRGPVFAAAWEVHHSCQASNDTRLLDLRFAELFVSAQDFKSYKTTAIPKEVAPLALKSNPDVSWFGMDQC -WASALRPKLATRAVRVAAYEILLSDFQRLLELCGKCEGKPMRLIKVHSGLYHLEVEKDKKTSKSAGAKLY -RDVSFAVAGSPGARIGNGFSFLFSKGGFTMGGSEPIKNTRPIPRETSVCWSVPPPRYLERAPLSPVNALD -ERERRLFYTEKRRMREEREQRSKADKHRRMLVLTERRHSKAVASLLYKMRQGANINKRTPDAWKTNNRYA -VLSAHNERTRPVVFTNVIPVVSMCPGPRTRKTDDTQVSAAKRKSTNIQRRNIEGKKEMRHWAFIRRTHIQ -MFTKKIRLAEMNSLDAFFHSYLYPGMDLNQARILLRVMRGRVVCPTEGYQLDLTFQNKRLEHISCVPAPT -EGVSINIDGHYFTHLGNRRAEIKYRLSAAAWKARALSLLKDHQSAAPERKGKGMEIIGLAKTSVPFARPN -LMRRMINGFRVCAIVGGAYIYSRSGRFEMADPRSPGDTITPMQTKVIDDYGHLKYSFTCKGLAKLWVDLG -KRLDWRRVLAHSLGMKYSVAEQYMQQWYHHSGLDIVLDSPDDKPYNNRPGQVVHHYEEVTILEPRIEQPT -HSRKRVVFGNTNGSGKNVVMPERRFGKPTSDVVLKFGDMHVIARNADDADVELNPGPGHRPSLILEYATP -TADTPASLWYAKFYDDEEWEIDGSALESVINTYEPKYVESMGRSWNISEESAGPHTYHTAKSPKGDVNSE -QVRLARFWRRHKRSRSDNPISPLFEAEAHVDPYGADDHDVEKNPGPATPSSSKEAERGRPAHKRSTSEAS -SLTLDTNQLEPKPVREKSRARSAIRKFTNAIGFTKSDLEQVRLNFGFDLNNEAVLPFTVSDDDTIATLYT -GHLVVGHLPGNKIYHKPWRLPIWDSLLSIEDQNVLDKNYSSGPRFGQPVVAEVAQMIHEFFQRSPLLCLG -RHEMAALAQHIKSEFSCPVITEGYAIELILATNEIVLHYTATPTSETAGRLWYYVSGRKYLHGGDDIGEN -QRLGSHTIAQQFTKGEAITDNVITSIDPTTFSLATGRRLAYLQSQLDGVKHEEQSSFDEMQAMAIVYILL -GIIRKHDADMSTTEGLANIFTIFKNVLGCPKSGAYAINFTNQMTTITIYQTHRLTEENRERCWVLVEGEP -LFHLGISSSEEDSSEVLGSFDRPLSITNPVLQGRPSWAQARFYANEMYDSISTLPHTRDMRVVFTVEHFQ -PARSAFCKPIEEMSINDVPWMLYPWLWCIEHSTRFWLTLFHFIRLWFNMFSIHRHPDLSGFSPNVTYPDD -DYDDDYGRRSETFAVVTLGTEGDNRPVHLAAQTAGHYGIPTVVRRVRTMDGHDMENLRVGKVLQYAPDYA -TIANFATEGYKRILAPHVEVSMFDGLSYSLAPTTHWIHTPRFVDDWGKVTWIDYIPAAFMEQMNSIFSPY -LRIGCLKKGSNFPRTVDGFRPLRKKSNLDTTGSRVGWVSGSNNEHVIPHHIRESCERIPDGDHSEIFRHY -SKIYMHGGAGTVQTAVACGCEIEICDPTMDRNYHTIPGPEDFHVPSVSPLMGYLVLSGFKPQVPLEIKIM -WVLSFLWNGKMWLFLQLIDYAIKCIAMLLSLMTAWKIALSIYVSVPLVVLRLILKQHSVSSLLYLGLWIL -WEFPFFCLGQSWGYFPLVAWTFKKSWVRIVGDFLAATRPRFYIEFEPAKLEKGHMPFPYGHWSVLDSKTS -YRYEGMFLKDERHTLGGMFKFTRNKRVSNRIKLSIRVPFNLDLAARRALGGKLIDAYSANHNCLTMVEQL -ISTHSLIGMVALKLMRVMIWFTLQPPESTLRWMEVLGYNIDNYRNSFLYSKLGFAASIEDVPLELEDEIT -APVENVPASLDGERETAEEIVISELDIEDESSFEQVIQETVALSAVVSSKYEVDEATTHEIVYDCVLRKA -ALEPLPDDNVLTRPRKDGEAIRTHLGDILDAIQHSISFIQHTRVGEAFICWLKGIGTRIHEFILPLLHVF -TKVLILGYVLGEKYFRNFFIDVSDLITYAYGLEKSKRIKTAWGLTGLYRTGFASQKARLALEIAHMEVTE -RGHPVDDWNNMVAEINQTAAELGVGDPKHVGGPQRRPINLKNQLLTKREGDTIMWDESEYVRDPAYEKRV -EAKIATGVPQGSDQVRMAKHFPEKITESIDRYEPSYARPTSVQIAFAEECADAEVEDHPKFFLNCDVTMP -AAIRRYNKPKQKYRPGAFFSGPDGFRTREAAARAGFQKVADHYIRKCFREGKNILPNYIAFVKSQITNAV -KAMPKSVGGQDKQFRTVVAQDDFSYMQNQVVMMDRNKRDWADEFGAGAGMRLNQSMLKNFLALEEPKETF -EGLYMMADATAFDSTIPNIIQCYHERLWSHGFKNHPSGNGANIASVAIAATRAKSSGWIWGLTEAEHSAL -KVVIPEQGRRDTLVGYDSSRFIDATHLSLAGVTELITSGQTLGKLLLVASRNQANIPSSVKDLGSFIVDN -NANLMSTLRNKAHYSQTFIYHEGGFNIGTRQKGAKLVPRAMYDDLAKFADAPLALLSNMHYKNRGGDTGG -NETTPYNTLSLRAIYRMAWSLTMNRPPKEFQTYNKMSNQGDDAMWSSFGKYGIRTYKQMLKFKEICAQMG -ITMTIDSTKDITKVEYLSKFVRRPTPQDSEDLAVWRRHKINEACNLARLAGKDPNTLDFSVLNNPKYVVY -HSTPALWLRSTAIRYYQANKENWRTVSLARTAGHAGNCAFAPATYLSFAHEWVEDANFLLKKHNIWTRYE -VSETRGKYKLPLVQEANPGAKMTQALSPRQKAFLKELKGMMFPSYLKVMNVHMNTADIDPEAHDKLFIKL -DKSWKGPNEVMAEFADQLQQFTDMIPDDYRKFMTGPSLQFAEKTFYTKNMLLEKFTYKQMLLESGDDEIT -FGDFSERIRRGPYACATDPYGFFEKKNNDPAFLKEVHDCNEWLIQGLVFWITFIYALTPIVEGFILSLWF -FGPVYKIWMWSFFGLGKLYALLNTLYWHSKANSSAEISRMMPKDPYMMSKRACVFIVDVFPQNFGFIMMV -PCAIVNLIPELCEAIGKINFKATQMKEPDTGNMPTENSWSRYAEEYLDILWDSPTRSAYLAADTGTGKSS -WWLAALYGARRHKNIRHVWVVSPYKSLRDNIDVPFGIKTQVLMKGVQMNNDFVKSATYGHFAQARINQID -PERDVVLFDEFHLQTMEIINALHFNPARTFLLSATPVDVPSLKNTPSLFPDIKRRFQPVVKLYDDNMDVV -DAYKEAEHLWPHVMKKPQPRVLIVVPTIKQQSDTITLLQDLLPNGTLINPYSRLHRNEPPEGIIVSTPYV -DVGTNFKNPPDVLIDAGKQVLIDRGKMILPLPWTDPDTDKQRQGRVARKGAGYVFKPHSAGTGPKGVAYS -SPSYFAFKHVANHFKIQQLGSAPRPACKVMPWLGFNETDISIAEKKSVALLHAMAYAGIKESQWQKFYNI -KFQNKPLSEDYVFVDRVHADYQWNDVQLLPYTQARMTYNRQGITSTFFKRRTDGAHQEERLGRPFKPMGH -IWVQYGSTLEENDDISVHRTDANTSIWGKLNSDLVKTRAALRNMARKMPWDRRLEVLSDLDGVNY - ->NP_613266.1 polyprotein [Cryphonectria hypovirus 2] -MPWDDSDHRNLAGWSPGFGPSKNEGWAWSSANWEGWRRATRLYNDKCRDYDRLKSRTDGGLSSLRRDKSV -AEQRAQTADAERMEAEKRWAELEAKVKVLEDSFKPLDSGRKSLEHRVAELLAEIQTLEQAVSKYESAHEK -NEALIKQLEAEREVLLELNKMIEEHNRDLRWDNGVLRYRLKHPEEGPYEVKGTPLAPSSITTARVSGGLI -WQEIPCYPSPANHLCWIIDNFKICFPDDGKWIYGQGLSWSRYDSQDGARTSLLFNRQHKRFWDESSEGVE -TGLRLTREGFMKLWKQKSQKWQDRLARSIGISTRSVEELVRVTRVDETKPHLTPMSEAQPTKEQYLEAAR -GIDVVDEPGDLELPEEEVPVKEGECYLYDFKPSFRTEFIRPTKPSPTELIGMLTVTNVTRDSLDITREAG -LVHCEPGDNYTGYHQIVARLRECEGISEEPCVVGARSNKVEDYVGAAGTFLTNPKWLKNGLRIAGRAFNP -RFVLKLILHNNSIPRSVVQADDEYICPFDNVSSLPGRSDQWVSGYEVTRLRHPEEMPKLRKVTNSGIHGL -PGDFLQNYPRIPDHDFKRLRDVWYDALGVLMRLEFGPNDSPVLNITANADWERSETTVNFVTVPAGKCVA -TPRKDGGFNITLPCRGIASRSIRLLPLMVRLPNRFKAVALLNGRKADYDNFGWPVFNPVIPLPQMDSFYV -EGVAAGRSMYPPGFLVGRYDTIDFLVHTATVYGAEEAFLLQFTHHVRIYPPPRFGRETAFGSWCRNYKFK -SERFWYDADWVLKVHETNHDFDRLIEITKACRRNPPEENLKRKLEQTAGIITDQWRQWVSFAALVSALIP -VYFTLLVPYYYQLVLGEDPGDLIFLPPHLWLVWGYFLYGKACEINVSLLFFKLDAGKKELVHSSEEFSKD -PANTLLIPTMGTRGDHVPPRFFGNMATLAGVKTHLLKLQTASYADLENLKQGKLHSLLPGYLQNNYSVLR -GYKAVLTPHVELDMPNATSYTLAPQRTYINQIRYLTDAHKENAAWYNRWVTWFAEELAESFWPDWQVGCL -KGCNLPRSADGVSLLQKRTNLKTGKIGWLHGSADPEVVPRNIRDKYPKVPAGDHNEIFRHFDKIYMPGGA -GAVQTAIACGCEVVVTDVNLDRDYHTMPTQKDFHQPSVLPFFAWLWQQGFEVNIPKTLLLVGCAQFHWSI -RYKHLSFLADFVIRTGLFWWYGCLNLLPFMAIFLMMPRFVKKYAIGAAWLTKPGMILIRGLWKFPIFMVT -PRWMLPFCCTMAMYNWWWPLSQDGLNWASGRYELVFEPVTRGKFTFIYPFGHWCLRDTNSMIVYEGRFVN -QDATSVGDLFKLTKSRRPLKAGHTVHLVPFHVQKLLDSMDDKAQPYSASHNCTTVILESIMYRSVIGFLF -AYGISWAVYMVLRPPQFAATCYQWAFPERTWDKSKMYQALGFAAGGTIPMEHVDNELEVLTVSPPPEDEI -SRQPKVNIPPPPNDEEVAMMTPLPAETMEKVFHDASRTPLPGENDDEMLMRASSIHLPKQTEEEVADLLE -PEQLATVWRPEPVEVCPEQVGLAPEQRKDEAISEWWMSDDSVKCVENDVLYMLSFLHGTNIPEDIRLELV -ELVYAQITEDEEHRIPEPPGTKILDMPDWRPGNWAKLIDETHRVLSQFSNYAPRILNEMTTWLRGLANNL -YRVCEPILELLLRAMRAAITVSQRAARSVYQCMCHWLDVMYGGSAPKRIKTVWGLTGMIASGMTSQKARL -AQTITMMEYRGRGNFLDDYENFVSGIKVPAAGKDGVNTIGGAQRRPIKYSQPVMSHQAAAICGFKEGEYI -VDDEYQKRIDEYLAEGIPQAVDGVLFGDKNPDRIARSIDRYENEYPDTPPEDKALVLESADAMCDQWPEV -FLNRDIMLPKGVELYVKEKYSAGVPFISSAYKSRQALKKAGVMDVIRQKALDAIKNGVYPTQFYHAFAKS -QAVDGTALLPPKLKDLRTVVSQDISSYFVDQIFQIEANKRITWETYGAGSGMPLSQAMARIWDELHDLRL -REGGQFIIADAKAYDSKCKPALFLGAGRLVERRFANHPSGKGAHFTKVIQCKYQAMQDAWVMGITEPTYD -NLVFHVPDNEARHELQKAYPKHFISFRELLDHNMTSLSTWNSLSGIDRIAYFKRFELPPGKVFLTTNPAL -RPARSSWQGSFTLEPKKDEYRKYQTYYCSSREAMKEDIKRIVFANRDVLSNIHHKNRGGGTGQSATSWDN -TATFKLGVISAWARATGKRPADFFKTNRFYNTSDDTVWWSKDFLTSAEVDRFKQAASDFGIMLEIGTTRK -ITEVEYLSKVPRQPTKEDSEDYKAWRKGRLENLRKSNKLTAHQIAEIEKETIPRFMMVQNPTAIMLRRTA -FRYYQSGKSRFLYTACERGSGHALVTAFQPALYKKFAVEYAADLNRLCKEMGINHNWKLVNQDNRMKLAV -IQTNPNWKVNYCSTPRQEAFLKWIKQAKFPSYRQVLDIHLRYKDPDPSAHDKFLAKLDRAWRRPDETLRE -FTDEIYRMTDMIPDEIKRFMPSVDMLYAENPWHTHNQYVEKFIFLRLLETVSIDELTFAQYDAVCKESAY -GICMNTIKFWEDLRDPEYLKDLLSDTQAIDKVRVYQAMAMLISSLYFSMHWVELFIQQIFIIGPLYNLFM -WSFWGLSKVYGLANTVYWHSKARSSKEISSIMPRDPYMWSKRFVSTVADFIPLHVGMMLLPMTLINDAVA -EVIELVFGRVWRMLSNLKSVGTDFGDSRSGQPPNEPTNPWAPYAYDFARKAIDNGHVTVAAKTASGKSTF -FPSAVWAERKNLGIKKIWIVVPRIISGIFGTSPFDVPSQKIRRGVTLNPNADIYVTTYGHFLTRVPGLDL -RENIVFFDEFHEMDGFMLQGVEKWKGPTIFMSATPVSLAGMEDIPFLEPSLPKRFPLTVYKVDSDDVLEM -WNRARNQFADEPDILARPMVIVPTYKEVKKTIAGLENLDRNLRWQEVSRKNPKVPMTGGMVCTPYVQTGI -DIKPAPTILIDSGRDVVIHKGRMVHPHPYTDDKTNEQRINRVGRIMKGVVLQPQLAGTGVRPIKYPSGMF -FSSELVAKQYKVAQLTPVENPIHPDMPYLSISYRSPLRDEKAARREEQDVKKSLLFLHLMALAGVQRKDW -MLRYNRYFTLKLPFGEEEDHIERLLNGGNLRYAKHIPVDKAMHLLGDGHVTWGIGGVPTITLPRYPCDGM -WLEDPTPIADFSYKKILSKRERDEIGLWESQVEELKTKVEGLESQLRSRNTKRERAKGILEKFRPPMMVA -K - ->NP_041091.1 hypothetical protein [Cryphonectria hypovirus 1] -MYKEAERPIEVWRTQVMDGPTWTALSESCRDRLFFASGEGGEHMTLDIIQPDSYTKIRLFRSGRFEVSVD -GKSFGQGGNRYRFVFRYDSLLSTPFGYPAEDKEIALQDYNHKQLLGEMFLKLPDSYVDGRPIAEAFFRYV -DDLKWDVGVFRDRRSLTELHLPASSGLTTAQVSVAKLEWPPLPIIQAQPTILAGIIDNFKICFPVNGKWI -YGQGLSWTRYDGDASVPTSLLSNRQHARFWNEKDIPTGLKLSKEGFIKLWAQKSRKWQDHMARSIGLSHE -AAVELVRATRVNEAKPHLVPMEEAKEAPRQQLVPRRSTFVDNHEEEVEIDTLRVPVEEGRCFELLFNNQV -TPAIFDKKPLLKDVLGVFEENVCTMDSLEISHSDQCVHIVAGETFRNYDEIKAVLEVILENEPDILVGAE -EGSVADYVKAGKHFLFENHQWVRNGLKLAKGLAEPGQRAKDNTNPSTPRPIEDADYIHPFDNGQPLPGRS -DQWVSGFEVTRLRHHDEMPHIRSVRNTGIHGLPGDFLSNYPRLPTPVFHRLRDLWDDVIGILMKLEFGDN -CSPVLNVTANADWVRSETTINFISDQPGKAQSRPREDGGFDILVPCRGIATRSIRLLPLFIRLPNRFRAV -ALLNGRQSDYDNYGWPVFNPVIPLPQMDSFYVEAVAAGRSMYPPGFLLGRYDALEYLVHTATVYGAEEAF -LLPFTHHVRVYPPPRPGREIPFGSWCKNYKFEAERFWYDADWKLRVHETNHDFDRLIEITKTCRRNPPEE -NLQAKLEDTARKVCSVWQYNIMIASSVAFLVPLYFTLYVPYLQFYLHVDPGDYILLPPVLWLVWTNLCYG -YACDAWCRLFFFVEEAGKKELVHSSEEFSSDPSSTLLIPTMGTRGDHVPPRFFANMAVLAGVKTHLLKLQ -TATYGDLENLKKGKLGSLLPGYLQNHYSVLRGYKAAFTPHVELDMPNATSYNLAPPRSYINKIRYLTDEN -RSGASMIDRAVTWFAEELADTFWPDWQIGCLRGCNLPRSADGVSLITKQPNLKTGKIGWLHGSADPAVVP -KDIRDKYPLVPNGDHNEIFRHYDKIYMPGGAGAVQTAIACGCEVVVTDVNLDRDYHTMPTQKDFHQPSIL -PYFAWLWRQGFDVKLPRVLLVIGWLKFHYSIRYKHLEFAADFVIRAGLFWWYGCLHLLPFMAAAIMAPRF -VKKYLVGMAWLTEPGLLMLKALWRFPIFMVTPRWMLPFIVTVSVYNWWWPLSQDGLNYASKRFELIFEPV -TRGKHTFSYPFGHWCLRDTNSMIVYEGKFVNPSETSIGSPFKLSKSVRPVRPGAVFHLVPFHVQKLLDSM -DEAPLPYSANHNCTTVILKGIMYRSALGFVFAYMVSWAVYLVLRPPQAAATVYHWVYPERSWDTSRLYHL -LLGFAAGGTVPMEVIDEEHVEEKPSVAGQSEPAAEIDNDKISDYDQEWWGSQDSIDTVVNDLCYLLSFLK -DTAIPEEVKLDVVELAYTQLVQDEKERIPEPKGTKILDMPNWKPGNWAKLIDETHRVLSQFTQYTPRVLN -ELVVWLKGLGENLYRVAEPILMLLVRAMRAAKSVSDRATRSVYHCLCHWLDVMYGGSAPTRVKTVWGLTG -LVASGMTSQKAILAQNIAMMEYQGRGNFLDDYDNFVSNIKEPGKGLPGINTIGGPQRRPIRYKNPVMSHQ -AAEICGLKPGEYEVDDRYQERINDYLAEGIPQAVDGVLFGDRNPDRIARSISRYEPEYSGCSPEDKALVE -DTARAMFEQWPEVFADRDIMLPKGVELYIKEKYSAGTPFISSFYKSRKALKQAGVMDVIRKNALECISTG -KYPTQFYHAFAKSQAVPGQPLLAPRMKDLRTVVSEDLSAYMVDQIFQIEANKRITWETYGAGSGMPLSQS -MARIWDELHDLRKREGGQFIIADATAYDSNCKPALFHGAGKLVELGFQNHPSGKGRQFAQVVQCKFEAMQ -NAWVMGITEPSYTALTFHVPDVAVRHELESKYPAHFATFSELLAHNNVNVTEWKRLSWEERKACARDMQA -VPGKVFLTNDPALRLQGSSWQGSFTTEPKRDEFRKYQTYFYDSKAAMREDIKRIVFANREVISNVHHKNR -GGGTGQSATSWDNTATFKLGVISAWARATGKPPKDFFCSNRLYNTSDDTVWWSKDLLSSAEVDRFKQAAA -DFGILLEIGSTKKITEVEYLSKLPRRPTAEDSADYRAWRQGRIENMRSSGRFSEEQLLSIEREQLPQFLM -VQNPTAILMRRTAFRYYQSSPSKFLYTSCERGAGHALVTAFQPALYKRFAIEYAEDLNRLCKEHHINQRY -ELVSQQDRMKMQVINVNPNWKRNFKLSPRQEAFLRWIRQAKFPSYRQVLDIHLRIRDPDPSAHDRFIAKL -DRAWRNPDEGIRDIVDGVYRYTDMIPEEFKRFMPSTDMLYAENPWHTHNQYVEKFIYLKLLETTTVDELT -FAQFDAVAKESPYGICMNTIKFWEDLRDPDYLKDLLASEAMIDKVRIYQGMTVIISAMYFAMHWVELFIQ -SLFLIGPLYNLFMWSFWGLSKVYGLANTFYWHGKARSSREISSILPRDPYMWSKRFVSTMADFIPERFAL -GIVPVTLVLDGLAEIIEVLFGRMWRLFANLKSVGTDFSDARSGKSLNVPSNPWAAYAHTYATKAIEHGHV -TVAAKTASGKSTFFPAAVWAERRNIGIKKLWIVMPRKILRDNWEIPFDIRSQIVKRGKTLDPSADIYVTT -YGHFRTRIGGLVPRDNLVFFDEFHEMDGFMLQDVEDWKGPTIFMSATPVALHGMAGIPFLEPTLPKRFNL -TVYKVDSDDVLEMWNRARNQFADQPELLARPMIIVPTYNELKKTIAGLENLDRSITWHEVSSNSPLVPKT -GGLVCTPYVQTGIDIKPAPSILIDSGRDVIVHKGRLVTPHPYTDEKTNEQRVNRVGRTMDGVVIQPQLAG -TGNPPVKYPSGIFFSSELVAGQYKVPRLTKVNGCVHPELPYMSIKYTSELSDPAKAREEEQSVTKSLLFI -HLMALAGVRQSEWALRYNRYFELHLPFGEDEDHLERILTSGKLRYANHIPVDMAMQLLGNGHVTWGIGGV -PTITRPRYPCDGMWVEDPSSRKSYAHKVLLHQREHAEIGMWQAQVNELRAQNLALQSQLRSACTRRSTAG -RILRHTRPPDIPVCG - ->sp|Q9YTU2.1|POLB_CHPVU RecName: Full=ORFB polyprotein; Contains: RecName: Full=Papain-like protease p48; Contains: RecName: Full=Putative RNA-directed RNA polymerase/helicase -MYKEAERPIEVWRTQVMDGPTWTALSEPCRNRLFFASGKGGEHLTLDVIQPDSYTKIRLFRSGRFEVSVD -GKSFGQGGNRYRFVFRYDSLLSTPFGYPAEDKEMALQGYNHEQLLGEMFLKLPDSYVDGRPIAEAFFRYV -DDLKWDVGVFRDRRSLTELHLPASSGLTTEQARVAKLEWPPLPIIQAQPTILAGIIDNFKICFPVNGKWV -YGQGLSWTRYDGDASVPISLLTNRQHARFWNDKDVPTGLKLSKEGFIKLWAQKSRKWQDHMARAIGLSHA -ATAELVRATKVNEAKPHLVPMEEAKEAPRQQLVPRRSTFVDSHEKGVEVDPLRLPTEEGRCFELLFNNQV -TPAIFDKKPLLRDVLAVFKENVCTMDSLEISHSDRCVHIVTGETFRNYKEIKAVLEVIIWNDPNILVGAE -EGSIADYVKAGKHFLFENHQWVRNGLKLAKGLAEPGQLAKDNTNPSTPKPIETTDYIHPFDNGQPLPGRS -DQWVSGFEVTRLRHHEEMPHIRNVRNTGIHGLPGDFLSNYPRLPTPVFHRLRDLWYDVIGILMKLEFGDN -RSPVLNVTANADWVRSETTVNFISDQPGKARSRPRKDGGFDILVPCRGIATRSIRLLPLFIRLPPRFKAV -ALLNGRQSDYDNYGWPVFNPVIPLPQIDSFYVEAVAAGRSMYPPGFLLDRYDALGFLIHTATVYGAEEAF -LLPFTHHARVYPPPRPGREIAFGSWCKNYKFTAERYWYDADWKLRVHETNHDFDRLIEITKTCRRNPPEE -NLQARLKDTAREVCSIWQYNIMIASSVAFLIPLFYTLYVPYLQFYLHVDPGDYMLLPPVLWLVWTNLCYG -YACDAWCRLFFFVEEAGKKELVHSSEEFSSDPSSTLLIPTMGTRGDHVPPRFFANMAVLAGVKTHLLKLQ -TATYGDLENLKKGKLGSLLPGYLQNHYSVLRGYKAVFTPHVELDMPNATSYNLAPPRSYINKIRYLTDEN -RSGASIVDRAVTWFAEELADTFWPDWQIGCLRGCNLPRSADGVSLITKRPNLKTGKIGWLHGSADPAVVP -KDIRDRYPLVPNGDHNEIFRHYDKIYMPGGAGAVQTAIACGCEVVVTDVNLDRDYHTMPTQKDFHQPSIL -PYFAWLWRQGFDVKLPRVLLVVGWLKFHYSIRYKHLEFAADFVIRAGLFWWYGCLHLLPFMAAAIMTPRF -VKKYLVSMAWLTEPGLLMLKALWRFPIFMVTPRWMLPFIVTVSAYNWWWPLSQDGLNYASKRFELIFEPV -ARGKYTFSYPFGHWCLRDTNSMIIYEGKFVDSSETSIGSPFKLSKSVRPVRPGAVFHLVPFHIQKLLDSM -DEEPLPYSANHNCTTVILKGIMYRSALGFVFAYAVSWAVYLVLRPPQAAATVYHWMYPERSWDTSRLYHL -LGFAAGGTVPMEVIDEEPIEEKPSDAGRSEPIPDNDKQEESDYDQEWWGSQDSIDTVSNDLCYLLSFLKD -TAIPEEVKLDVIELAYTQFVRNEKGRIPEPKETRILVMPNWKPDNWARLIDETHRVLSQFTHYTPRVLNE -LVVWLKGLGENLYRVAEPILMLLVRAMRAAKSVSDRATRSIYHCLCHWLDVMYGGSAPTRVKTVWGLTGL -IASGMTSQKAILAQNIAMMEYQGRGNFLDDYDNFVSNIKEPGKGLPGINTIGGPQRRPIRYKNPVMSHQA -AEICGLKPGEYEVDEKYQERINDYLAEGIPQAVDGVLFGDRNPDRIARSINRYEPEYSGCSPEDKALVED -TARAMFEQWPEVFADRDIMLPKGVELYIKEKYSAGTPFISSFYKSRKALKQAGVMDVIRKNALECIKTGK -YPTQFYHAFAKSQAVPGQPLLAPRMKDLRTVVSEDLSAYMVDQIFQIEANKRITWETYGAGSGMPLSQSM -ARIWDELHDLRKREGGQFIIADATAYDSNCKPVLFHGAGKLVELGFQNHPSGKGRQFAQVVQCKFEAMQN -AWVMGITEPSYSALTFHVPDAEVRRDLESKFPRHFVTFSELLEHNNMNVTEWKRLTWEEQKACARDMQSV -PGKVFLTNDPALRLQGSSWQGSFTTEPKRDEFRKYQTYFCNSKEAMKEDIKRIVFANREVISNVHHKNRG -GGTGQSATSWDNTATFKLGVISAWARATGKLPKDFFCSNRLYNTSDDTVWWSKDLLSSAEVDRFKQAAAD -FGILLEIGSTKKITEVEYLSKLPRRPTAEDSADYRTWRQGRIENMRSSGRFTEEQMLSIEREQLPQFLMV -QNPTAILMRRTAFRYYQSSPSKFLYTSCERGAGHALVTAFQPALYKRFAIEYAEDLNRLCKEHHINQRYE -LVSQQDRIKMQVINVNPNWKQGFRLSPRQEAFLRWIRQAKFPSYRQVLDIHLRTKDPDPSAHDRFIAKLD -RAWRNPDEGIRDMVDGVYRYTDLIPEEFKRFMPSTDMLYAENPWHTHNQYVEKFIYLKLLETTTVDELTF -AQFDAVAKESPYGICMNTIKFWEDLRDPDYLKDLLASEAMIDKVRIYQGMTVIISAMYFAMHWVELFVQS -LFLIGPLYNLFMWSFWGLSKVYGLANTFYWHGKARSSREISSIMPRDPYMWSKRFVSTMADFIPERFALG -LVPATLILDGLAEIIEVLFGRMWRMFANLKSVGTDFGDARSGKSLNVPSNPWAAYAHTYATKAIEHGHVT -VAAKTASGKSTFFPAAVWAERRNIGVKKLWIVMPRKILRDNWEIPFDIRSQIVKRGKTLDPTADIYITTY -GHFRTRIGGLVPRDNLVFFDEFHEMDGFMLQDVEEWKGPTIFMSATPVALHGMADIPFLEPTLPKRFNLT -VYKVDSDDVLEMWNRARNQFADQPALLARPMIIVPTYNELKKTIAGLENLDRSVTWHEVSSNSPFVPKTG -GLVCTPYVQTGIDIKPAPSILIDSGRDVVVHKGRLITPHPYTDEKTNEQRVNRVGRTMDGVVIQPQLAGT -GDPPVKYPSGIFFSSRLVAGQYRVPRLTEVDGCVHPELPYISIKYTSELSNPVEAKKEEQNVRKSLLFIH -LMALAGVRQSEWALRYNRYFELHLPFGEDEDHLQRILEQGKLRYAHHIPVDMAMQLLGNGHVTWGIGGVP -TITRPRYPCDGMWVEDPSSRKSYVHKVLLHQREHAEIGMWQAQVNELKAQKLALQSQLRSVCTRRSTASR -ILRHIRPPDIPVCG - ->AQM49946.1 replicase [Agaricus bisporus virus 2] -MVDWAATLWGPCTVYLALVRGQPCSKLTLWRILSLCMNYADTKSTKLPKGPCKSPPPQYHSSDSDMDTLL -SHIILALTALLCGPAWYAWRRRHARNLVTKQRKLNTRELRRVGETGYCYKALFEEDSIKDYGRGVQRWLL -EKIVAQNREKLRSPLISYRKVADGFWHVEPFGFVQIDEALEAIPREDYIGASSPESIGSTTIWDQKISVA -GWSPVALRQKAWMDYPEWEEVRDMSEIATFTEAAGFWCYLNGTTLNVGDHAYKDAVAQGPVCSLEWMKEV -MEERGLQKVRTGFVVFNELSQCWHTIGSPNGTYIYDQIIDIIDTGVGMGLNSLANPRLGALRSDVINEVA -SIKDVKLEAWLGDAKFESAARESIVKQMGRLDVQLCSHSMTSNEVQARFWREECRKLHGVCSLWMSDHLT -ATWFEACYNVSSEFREWVNYKIGLTELTVEAASRYTTAEHCSDSEEIEGDCWTKLFKDPLAVSSALGTKA -LSVAALIAASQGFPLNTTARFVATPSEPEAYLKVGSCWHVTEDAKGVSSADLLKRFSVYGDIKFGTRGKY -KEGKLACKRCNFWVNPVGECSKGGQHVFNAAAAAEAERQRARLAAEREEYHTKQLEAGFQFDEMLGEYFD -PASIAEWNSSNAQDNRDYEAEEREMEQTLKGERLSSLPFANQSFTYVVQQSNWGVRFEPAVDPLAAALSF -KKAMVTPRGNKIRFILGACGSGKTIFAPSILAEGRSVVMMIPTIKAAQNAFGYYASLGKRVWMRAGLKTQ -STGRFDMMDAEIVVMTTGASLSRMSTWVQVLRKKPLVVIDEAHVEVENVEKILEAIDSNGVGVEIALATA -TPSTDGIPWFNEKKHAQDWYFVGNPNVALSVKGNERDCKAWRLELDPLLKDGPTLIVGASLDKNVRRYLA -AFDDKEYCVGLSREECWVSKGYGERERLGKAKNVDFEALERRVGNSVIYFSTPVVEVGVTLANLKNVISL -NEYIVASDDACYHGNPFGRFKKASCGCVKGKDDTFMKILPANWASVVQRAGRVSRTSDGTVIVCGEPEGK -RFFRYSPSARGLIEEEIRSLSPMLAQLDCDDGPIHPREEVPIATPSRALVHIPGLGRQTRGHVCKGFQWR -VRFLNMKAALERKLDSDDVLVSVAWDEVPIDEEGRVEELEPIGREHGPKDLSPTDITPGFIDDETAEESK -PLESTDSLTSIESEEENRGALSRDEDVILNPRRDGTGARVSQAMHKAYCSCDPIYHIFGRMCRSRHSWPG -EWIATQMTKSVSAINKTLREMRKGNPLSMRQLKKGEGITNDKCQRSWYDPREKPALASIAATETLGDDWY -QPRPRDDSESEVIVTRPVVRELKQAVKDMAQLCKKWATGNKSYFYSVFKSGSLRLDGVKGKGCDMPMAVF -WNVALGLLQGETKVLERTMFPKFGSNHRPCGVRSWYEFPVDQELRLYAKAIVYLIERMDDDFLWDAGMIE -EDGDDLPEYDPDAILSWFEICEEIFMQLFLENAPLESVIAREDQRRWQSFVGAQFHTRDRKSGRVALTTM -VEVNIEKIKSMSGFCYLSFFKRTDRELAKLAVKLGIWPRAEAVIREMPETMLQVDSRKRFTHAVETPVVR -LPRNAQRVGGSLKPQGTAVKTFKGTKMEWRAEWSHRLQSLGIPEHVWNKHGIWVQLDCCGQEITEQDLVL -AENDEVYIVYNKKSKFNNLNWISKKHSISQPPLPFLQTRGYPLNATLPYHAHGAVICRPLDNKPLGLVSF -PNQVLNFNESLYLTLAAKNRRAAHLSSKTQPKDIRCKYCRSWGWPSEDVGVCESCAGLKKFPMSIQRNER -ALAAYRLIQTQLNKIRTLDNYFLDGTVGFPSLPVVWIPEDMGKTCLWKAYPNTFAVQTHAREAPWDAPFR -ELEVILTGRVLLASTSRQIPLRSAVSLGATGWIGPQVVSHHGNDWFQQRVALERYGVAIKMFTNPADFVA -YALSLAANCVKVPKMQIGYACVKCGSELAVPSVVPIAAFVPPCPCGNGRIEFTRGSSNSADAKNTMVSLV -PLRKMREIEVATIEKVWGPFERLTNNKEMPDRTNPVAWDGLIDVSPVWWEENGVGGEGKTFDVGVSMKAA -IRTLIISSSILITVIIMRVGFKRSVTFLLFGLALLISFCILVRRILQDFNPFPSKINDSELLSSSEQPEP -VGNQVALIPVSQRFLISTYGTRGDHTPMMYYARLAASLGVPTHVWRIHSATHHELEDLKKGKFWGFLPDY -VDLAFSRWRGYKYVFQPHVPITTSGESYSLSPSWRWIRSIKYGGNRTLLAQFVSALAYTFLPHWRIGCLP -DSDLPRSADGQSLIEKRENTGEFEMGWCCGSASESVIPDWIKENYPRITSEDHQNEFPKYKRIACHGGAG -TEDMKGMCGVARLREDVMDKELDRDYIAPSIPQSLHSNKSPLPFVGMLVNAGFTVNLPLRVRLLALVAYW -CHHITSSTFTTLVNLLRAYLLITFAINHYGVLILLALSFPYLLMMAGTKPVRSKLWPLLDLLFKWPMLII -FPSMWTMILLAALVGEVIPKTLLEIQNWFKKRTSIVIERTQGMPLPFGHMTLKDNVTGRTFEGSFRRNDG -FGEQFGWLQHTALSGRKRKIGPTDPVLATGLAGLGYVGASLSYAVAVATLSPLHFLTGTSLALIATGVLF -EPLLTGGEDDQAVTRLEIPVPFMPDALEEAIKKVNAEHPEGFAYSPWFNCHTLVIRQLLDTSFFMTLPLI -VIYILSLLVLIPGHWASIIARKTGLVICGVDVNELMARAQIRAAFAATTYGDEEEEEEAIGNDTTDTSDG -TEGDTEECYALENDLEEVVEVMAKIALLSESGDDEEAEYNLSRAESRHASLIALHNWVAEAEWPPPAVKA -RIVELTPNPESPYKKGSSTATAIVSIQRALAHIAEVLGSKTGVGAALRTAKMFADVLSTRLAKLWNYECQ -LFGALLQLGEILVEVSYALFKILSEAVFMFLETILDPEDAKRLKAVWAFAGIGKTPLVSVRRRIENNTVW -AKHGVRPDFIQAFTTLLEELNEKEKLRGLDPTPMVPQFRPVRIGKPVLTREQAELLGFAEHDYIKDEPLE -TRVGKFRDAGVPPSADTVYKTYDPSYLSSSGSRYSPQYEPITQEDRLLAQQIADEFVSRFPSTFTNMEVS -TFGEVAAYYKTAYAAGSPWISIYRRRQEVADSGKLEALFDLALDKLEHGNYPTMFHKAFIKSAVVDIQKV -INENKNVRTVVAEELLTYFMNQALELERNKRHDWLNTGVGIGMVMNQTMVQLFNNLNKTRAEGAILAGLD -AHEYDSTTRPFTYEVLGRLAERGYENHPNGANLASVLKAKYDSLQHSFIFLETMPNYMSSLSLIIPDGNT -REEVLRSTLGKTISAAELNHYAQEVRYGHESKFDLKHEIHELYKSKIIIAANEEELVYRGLGGREHTIYL -SPLWAQFERQKVTSWDERKHIESGMTIEQMVARVHALFEHKDVAYNVVHKNRGGGTGENATSFDNTWGFK -AAFVAAWCRYHDYKYSPKDFFDQGNQIYNTGDDTATALKAKKNEFDREKFIECMKYYGPEVDFDFFQDIR -EVEYLGKGVKRPSIRDRKELEGWQKITVRTQLQRAQRAQPPRIPEWIVYQRTRQSWIRQSSNRYYQNTAV -GRRWLHANLQKQAGTAPIAVFNRQLWYGLANNYIEDSTRLAEFYGVKDFKAFIDKDQDDLPYIHFQYRKP -EEINALSRRYQFHVWLTQSAKFPSYARSLKQALQVDTHDTKKEHEAFLVRIRMKGNSKRARLAIITDDFT -DWFYSIPREFYKMSPNLLSTYPDEPWYTENKILEKFVYSCNPEAAATKEGLTTLLQRSPYGSVAAADGFY -EELTSSPEFTEEILNPTKAKDINYFNSPARIWGNLVGMITFMYGLTWYFEKKISAVPVLGLMYSLFMFTL -FDLPRFYSLANNIYWHAKGDSSPTISAMMPKDPYIWPKKLATTFLDIIYSFLNSTKHGYLLGLMPGAYDI -ATLLARGTESVSAWLTTNKKLSKLSGNQPYPNVFEPLVETKRGRFQNALDSGLPIVLTAETGLGKSSIFP -YALFSRSVTHERLRKTVGSGGRIIISFPRIVLREKWNSDFDSSKYPVQRLKRDVKLDANTKIILGTDGHI -LRRLEAGAFTEKDVFLLDEFHELGAAKLALAGELIKLKYLTVLLSATPRSLPFEASFVDLGLPARFRRTI -HVREDSPLNNYLWAREIYPEQAKSAIIKLTTLRELDEVADALSYLNIKCHKLSRATADDEIPEDALIIST -DIISAGVSIPGRHMLISNGKHITNHQNNLTYEPTDANTEHQIASRVGRYSKGDIVVRPKCAGTGRVVEQY -PDLGYLAYDIIAKHHKLPKLVVGPIRSGYFRVDGFNYIQVKKSVQPQLCNAWALLACLATLGISKNDLAR -NYSDCLKGRFAEELVPLKAILRKYPTTVPFHFAYPSFLNPGCVAYTFEGDRELIKAPIADMAGHLYVEAP -ILKAVGGTITTQTSDFVITEEKAANSLAQAVESYEKKSRENFNNALHATLEKLERHHVKPQILKKIRKTL -EEEFYRRQCTVQNDLREGLDHVTGTPLPKHLLITTVAGTFELLHDTKKGAGRHTGCDFCEKTLTHIHTSA -ELTRSGAVLPPWCKEFPEQFVYRFLSSL ->ALD89099.1 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina hypovirus 1] -IPGRNGDWTTLTGQIMISHNKTGDAADRSLSPASTGPDAGSEANGLRGDVPLERVPKPSTSRYSGWRRGV -RTRMLGSDYEVGWCYLALFDPGDVAKAAETLGPWPRLSSIKWQVDHGHLRLRRGRGSLFISQSPGGSTRP -VWIKGKRYSSICWHVSVNEDANATPVEPLESIWFFMSAGDWQRFIIGGSETESHIWRPEPSQKTGLLASN -ACSIRTAGATSLVRDSYPQGESGGAVRTCDATAARDARVLNIEYEAAVLRGRPNMDSSRTLASQGGKNLA -GGVRVPKRRSAILPPGFSSIEEWTKHRVQEYLDFKSRHEAKRLRVKQPRVSRVKKARPSPRRVISKVKPL -PAWRCRPSMANVPWSSEPAQCWDVSPSKLPSWSRPRKEVVREPQGMTVTWPSVEQSRAQGSEDPATRTGY -WWWPKREVTLESTFTHVFDDGEVMEFKRSYTATDMVSSARESPAAYRARRATSHGSSYLDVNVKRVKTNW -SWLVRRYERRAKMYADAEREIRITRNMYRQYDDTACRVIRVDDNKFDGLSEVVDDFDGPTTFFEGSTLPL -RKSKRAVKSERHRVWQESQISAKYNKVTTTTPASSFKVSRFPETERGLKNLLAKEIGSLECVGIPEYTNT -VRQLTENRRCPNNGFIVNLRGSVVSVSREIGTHGISFRLNGMEFLHAADEDTPSCAESVMQVVSRRNSST -VSSQFFEQQQWLIDLTKDPGLSNNLTAEVFTKRLGFPRRFDMLRLTPSILFGLTLAYKKQWGCPKDKHCV -VNFRRLEREITFKSYDTETHGAPGLYWQLDGKDYFHCGTPQGKSPISQDLAKERVRSRYWRLAAKYLLAG -KTTTEAVIKGSVTYGHIECTEPGFLHRYMGFRVSAHLGPHRVFGRGLDYSQQNEDGSYTTIRHGRKRFWQ -RSSTLVFTAKGLSKLFWSKRNDEFWQWIIAKNIGLSLGAAELFFSQFIGNQDGPDLVPAKYDNTPYENRI -DIKSHTEYVEFVSSRDMHSGDVDESTQTKIPPAPPLPSPQKLVSLADGHSWLTAPGDQGKANRAAFRQTF -PDDWQDRIHDDALVLMNHDVINYGMPARQQTALVCDPEQKFDLYAIKTPPRVRLPTHLLDTKSELLQSIR -DKSWILKRVTEKTIQSGEEPEVDTKPITQVSPPKTSRPRVKLPSGLLQDHFKRARNASDADIEENPGPPR -GLGLRLPLLLTPNSPAARQAGFDQETMFTIEELFTKKSEDPQREEARLELLSEWRTRATDFSSIVKYRQS -NYMMPSAIEEPHVRPMPIPETVRPLVTTVSEATILCDSVTQESINSMDKAKVCKDLGEHIVTRLANLINK -YSFKPMIDDLLVVASTWKSVVGCPTSAQVFVHANTELNEWTIAALDDGSFGQIAWFLNGKLICHCADVRH -GRSLSVSDEAIQRGRSMDRALARFKTVPQGTRFVEIVEGTDGVESQYRGKPAFKWLATLAGQAATSVETA -MSWFKSQCPMWSSNENVQIFRDDIPTTLSYLMDVAIRANPKLRGAQYHLDQIKDWASLKTDNREIIDIMN -TLEAAITNSRVGIETCSVLAFAHAWKAKWGCPARYIICVSANSMTRTWRLHVFNPREPIVGGGRMCFFLN -DEYICHAGSLFGDPVIRSLQRQPSPYEPIAELPRQDATFTFWRQTSLRHPGQNPGLRHIKNPGMHGLPDD -IIDKANTYSNDVTQKALKDVQILADTIRSWVNRHNHKEYVVTATCSHIFEKPTVNLIPSNYFHVDWNEDL -LEIKTGMNCAAVRNLRMIPCLYNTGGEIAHIANGRREDWYTIPKGESGGPVPLPKSIIHYITPAACGNMY -VKPGYLGIEWLNAFLNTLTVYGADEALTAKAEMNVVLVTPPTFNLGRPWFVLPENQKTTVNLRFWNKEGN -LLHQTLKYDSQTADQVNRSWYPGVPSIRPDSLNNVIVKYTCWNDVFESDLFKSYQDDWRQRALARHEQKL -KLGIIKDRKQDSEIVAHCRKCENPTFACVCDLKSIFYKIFFWIRLLILWLRALGNPALRGRSSQFTDGDT -EYPPEGGMPVDYDQTLLITTMGTHGDTQPMMYYGNLAASVGVKTHLCRVHLADTRQLENLKHGKMYDLIP -GFLGVSYGGQLGYRRVFQPHAEVSGRGSTYKLAPPDSYIHPIRYAEDGNKLNVFDKYITRLTELVGDCFS -ADFYIGALKGCHLPRSVNGMALLERRSNRGIYEEGWCSGSADAHVIPKEIRERCPRIPSGDHNEIFRDYK -VIHMHGGAGTVQTALACGAIPVVHDKCLDRNYKVELKPSMIRQPSVYPFYGWLILSGYKTQLPFVVRWLS -VFLYLWQQKVAILAWLGWNLTKILAIMIAVYQERMWLFCCMATVPVIIWKMAWKVGLKRVMTYVIGLLWK -YPFLVCLGRKEALILFPLYGFPWITNFLQDITSIFRRDCELLFEPVRRGGKRFPFPLGHYAIRDMKSGEI -YEGRFVTNKVTFGAPFRFSKTHRPMKPGALTFPCPVNLCHVRGMIQHAQTKPYGPHHNCTSMVFHALAPR -GLFCTLLMAMISTILAIAFHPPEWIRQFLIWSKQGRVIQDSFIYEALGFAAGDSPTRMEIEPLVEQPEPD -YTGLRDLFHQESPSAEPSYDGIEQMFDPQSHPANLENSAQWLMDEIAVMGATLMAAYGEGQAETVEQAMA -HTFARHLEKEVPDDLMVTIEPVPPYVQSTWAEIVDAIHSALAPLHENRITHMFIAWLKEIKDNINEFLQP -LYKMCWWFLEQAKQIAKTTWANLWKAICKLLDHVWGPVDAKRVKTVWGLTGLAPNSVISKKAQLAASIIN -MDHTGRSNFMDDWDDFIERCKKWGEANKAKGIDNIGGPQRRKVTLPSNPTMSQQEAKLLGVTEFNNPEDY -EGRIRKITDSGVPQGADGVFYAALNTDRIAASIGRYEEDRPAVSSRDRQRAAEIAEAMCEHNPEVYLDCD -IMPLEGVIRYIKQKYSPGAPFLNPKSFKSRQAMFEAGWDKAMMNQARSMLTQTGQYPTQFYHAFVKSQVV -DISKCLPEEVTGKVPKDVRTVVSQDLWSYFLDQCVQIERNKRNTWQTNGAGSGMPLNQSMAFIYETMAEL -QRARGGCYVMADAKAFDSSIGPFGFEVAAELARRGFKNHSSGNGDAIASVLSAKYKSMQNSYVLGITEPM -KDVLTIGADEEVWQYLKQKRPKGLMFLDELLPNLNQLSTDKEKQKAVANLQVPGACIVVTTDRLLVPKMS -NWMGEFTVAPYDPQIGSPHQKFHYSQRQWKALLTDIKNLRDYNRQILSNVHFKNKGGGTGQSATTWDNTA -IYKSILINAWMDVTGRPAREFFDYNIMFNTSDDLIWQSGGKWGLKTQEQFLAFKDAVEAQGVILTIDWTK -NINKVEYLSKFVRTPTKEDSRTHHYWRSWRIADLARTGKIDPNNPRDIERFSLPQFIVNQNPAAIEMRRT -AFRYYQSGAGKYLYTMIERGAGHAQVCAFSPELYSRFANEWVADVNTLLNREHIFQQYKIVNDKYGLPAI -QQTNPQWKSQKLSPRQIALLAWLKGAMFPSYMKVIDTHMNVKKPDPLAHEKFLRKLEKGYRGWDEVLREG -VDGLYAFTNAIPDSWSKKFQTGPQVLYPENPFPTLNMWTEKFVMSKLLEEKSEDEIDFQVLSMKIQESPY -GTGCDPYRFWEKWQEADWRTEFMEEDPRFFQAMVMMISTLYAMTHYVELWIYTLPLLGPLYHLLMWTFIG -SNKIYGICNTMYWHSRGSSSRIISQLQPKDPYMPSKRFCIFVVDFIPKWIGVLLFIPGSILDLLAEPLEV -AARVWNEGLKLKAVVEGPKGTAATTIDNPWTKYSVDYVEAVRASPTKRIYVDAPTGTGKSTWNVAALWQM -RAVTGIRKIWILTPRKMLRDDLELPFGIEVQRLYRHVKLKESVNIHVCTYGHFLNRMSEVDPDKDLALFD -EFHEATGEMILAEARYEGPAFLMSATPLHLDKLKGTPTKKPDISRRHKIVIHEMDGTSPIDMFIQAANRY -PELCKRAMIIVPSYRAVEETIAQLDYLGYKANELSGRKRKVPKQGIIVATPYVQTGADIKPPVDLLVDCG -KDIVIDEGKFIYPYPWTDPDVNKQREGRVGRLKDGVVFRPSEAGTGKRPKSYPAAHLFAHKSVAEYFGVK -QLTPLRNPLCASAPFMAVNKSVLPEA diff --git a/seq/clusters_seq/cluster_467 b/seq/clusters_seq/cluster_467 deleted file mode 100644 index acecc0f..0000000 --- a/seq/clusters_seq/cluster_467 +++ /dev/null @@ -1,808 +0,0 @@ ->YP_009388303.1 polyprotein [Apis flavivirus] -MEFIKSNFINSKTLAFIGDARGKRVFYPFGVAAANARQHFVPVVINGATWVCVMPYIARFRSAEFRAELA -KKLFLANSPQEAYKIVGNFKYETYESRKKAMKAKKELQLKLKNENEARQRVANFERDYFPLILCARVTFS -PEGYKKFIEKVALYAASLGLDHTAQSLYAGAEANRGRFAKEIKRVQRRLDEAQERAKINKKFNAWQRDLH -RQFYRQAQKAHKGKFDGCGDVFVKKMVTPNDYKSFTKTMERFGVVATETLWQHFVAEDKDLARFLYNRRQ -AINEAVAKRREAKVKYLEERILRLKNRATRLAKNEQERLKRLQRKLDTRSRPTKPRALTLEAVATFKVAP -KPAPQPPKVVEKPSRTELVARSRAARATTAYLRRPSVQREMLQCAKAHNVEAVAAPIEPRVEAHTHTCYV -CRCKYEHTHVLRSWRHRQRANQCPNEACPQYHRGQNDTKAVLLKVDPAFAEKARIEELKREVGNAKKECA -EIIKRTEEQKQVHEHKCYVCRRRYIHHARCLARDGFRFNCPYAGCPRYHNGVNPTRAFEKIKDGPPIEQQ -FAEKWKVTAKGDVNKKDSTRPPNLPDKKSDTPPPKKIDGEAATTKVVKKTQAVSVVVAQEVSTTPVAKVK -GDQVEAKKPPSPAKRRRDLRYAVSKAIEALVKAKPKAKQLVNKPIVVPKPEQQVVRLNSPKHIESCPLAG -GFTINTNQDGKQFVSSSDYVCMHSVCPDYPTIGKVLVNDIISSRPLARLTETISVGLPIGKCSEEHVYQL -TGKRIAYTTRFQGKQYCGKYNSFHSERQYISGTPIFNSHGQICSIITSRQGDNYTVVNETKRVAPPSIRR -PLIVFTSLLLLPVIATSLATPVANKIKATDLPPEIVAVDFSRDAERVKAKVHLIQEYKKTRSEDSAKEYM -TKYQQDLSTKTSAQLDELEREVDSITIEIGKIHNAIGQLQNNSKQLQRRADSMPVADVTEKSEWTLRLLK -LIENESGSFVTSYDQLEALAKYWMGVEDVLLANNIEGASQLKEKLDAIATTNRSLAARKQQVMYLQEEMS -RYKSRLYELINKVKNIRVHLSRAMAVLESSVSTLKQMEEQLTVATRLLQAIQSKLNMQSDEPNYAEMTAN -EIELDIQAYNKQLAQTLKAQNELQKIVESLSNNIHANVSIPEELVELPPQIFCSKDFTLASDGKVYYYES -GSERITFTNRILAIDINNIDDIKCLVANVSAYAGLTNIVQDSKCGISGLEHSIYYVRDVCTNTTIIRKSF -AERFRLVEVRVNLFVVVKYCAVIGLTVFILEKFGTIFAIAFVVMLVANGIIAECTIDGKIVQLSSVEQAA -KVNIYKISENIYQHGCIHADNLTILFDSIQIIQTYNKIGYIAAEIQMHYEANYSCPGVQPIWETFEDSCN -RQANRINVFRRTESYNTMWSGTSCAINGQVRMGHCFMLKPKETITVYQISSEGYKASINTTVMYFNVRTK -HQLTSEIDNLNYKISGVVYNVIGTRGPQYIFKYKDQFLQSQQQLPLVDACWCKDERCSRFRDNSCLHLSV -QQNGVKVDSSIRLNPFASWINTSMIAHHEDVVFDENSASATIAQEKAFVDIYVETKPLSMVITWCQDIDF -AIHEAKQGEQSNFKYAEVTLENTRETDCVVNIRCRLCQLVGGLVYRVSNHEVKVKILCGSVVPTACTIST -PNKQQDIVIRNLIKNYRLEISYMYDHITAVLDRTGVSINIDAIKNRIDGLFGGLFQMLSGLKVYIALALG -TIISINLYSQGYTCAAIVVFGLSALPLVRGEQPSSHYSGFIVEVACEIAVCATQAVLSCTAIVVVTKVLD -KATYVHGIFIEAMIFIRRAANETVSILNRVRLSEEQAYKALYLPVQLAITACCYQNWYTLAALVAYKALP -LATSAIHCLFHDTEIIEQEFTCPITTYLARLWPAPNTALRQEHVHHGFVNPVGALKYHSRYIIDLKDTFD -QFCHENFVNKALTWKGKIVCHISCLEGNLVSAAHCFYNIQGAVVANDLIVFGPNQEHIAKSLLGKTCCSS -SINIRFQPGDSGVTIIQNNAFYVHSGVRGQQHCCAIHDQAAHYHSDSDKKLTLKRSHLVGKPVLRMERKD -KDGNMQNIVLHHGMASGKINADISHTEVPVAQQVIRHEVGLKKEVKQQLFASFVRKVLDKHKIKIKLNFR -NNKTTTYRAFIDSIPEKLVDQVIGECYTKACEIARKHKLELPANKGHAIIAMSDFELERAYATFRSRINR -AEGKDKIVPAISADKHGDLTVRCCAPKNVPNFDAIRKQMAQLSLSYQAAKRDQLPVRVSNNATQNSAVSS -STGAIKKQPKVETAKSSITYQSGEADGEEAISIPPFPSPDSDIDKWIDFLVEIGGISTVAAHSVCRIKWV -IQQGQLPPEHPMHIKEEPTNHAIISDQFMAETILALEVLQLIPKVMEWDAVVRHLTRAYKIDPMLLPEVN -MIAESIDKPLETIERQVVKAEAIAIMSELRNAPTTTEWMIIDAPAKCSRSGPYDHAETNWYGATDTTFVT -RLRGPVCGFGFISRGFHYSAYHVMRKGKLQLNFASDANCSTIIAPSEKYLFSKDYNPAFVSTPDKGDFHV -VDLTEDHRENFSLAKIETRGLYFAFNFERKEYVTMISQSPTTIDPDFKGGIPLRWLQLFDIVNCRTIPQI -QHLKGWSGSPIFTPEGIPVGIIAQSIPQQRPGEERISTLVAADIKPPSMQSNIDLMSGYFLEIQATMKQG -KIPMITAPTGFGKTTSFVFHFAEWLRSKTKSSFNVAVGIPKRYAITELNNYICDFRSKVNMDQFCTVTMR -LRASDGDLNVRTYRCSGIQERLVINYMTCGSLLRRDLKEFDLIIVDEIHTRNDADIIALEWYVQNYAATK -TVALTATTWASNIYKTIRIGVDAGDRVTDRKLELWRDHNKQAYCQLTYSDKSYAMPLDCHKDRTIIFCAT -IKECEQMRDQIVAQGHPCAVVTSQHRDELSANTFIAATNCIETGITITRTTNVIDFMTKLEKVSELSEAD -GVIIYETRFRRGNISPQEAGQRRGRTGRDVEGIYWIPDGCTSDGMFVEYPESSLCQGALSILQNEKVYDD -YDYEDKTNDAFIEKACILHPRFLATKVKVKNEDLYGSNVSNRENFLKVKLKKSYLETIDPITFYLANYLP -RYHLEELRKELEEAGEFIEAASDHDYMIKNKQTNVVIPEFRELENYTSEASITAVVAGGMIMGVSALIYG -VCNAEAGTRRVIRYCAVPRAQFISRIKSYKYLRSEDFAKRTMWFDQVKQHFQKFRSWLRKIVMWLHDKVP -ESNALCRKLRHVLRLIGDTGLPLPSRPTSTEPEEDRRSFVDSDDDYEPSTLEEEVMESYSEENIVVWIVD -LISQLQQGGDHAIKITSLLAAMGVSGVCIEELSKYFGDILPTALIAASGIFLSQNMPTYLYGSSTAIVLL -LNFVKNAYQRADPELTKGGALVAGSVLAPLAFSVGKGLFSASEAQKAIINRLSNVAPPNVSVTPNIVINQ -PGPKEAGLYSNWAAKAVYGSGSYDTGFCLARALIGIMESSASICDNWLNITTAALCSIKALQSITPQCVV -AATVTASAHMIYKTCTHSMRYTRLGMGGDIRNDSIAGRVNALGNEEVEARIHNVERIYKAAIIAVGGALN -PSAIVSTAVSVVSQIVKEDNPEVTVDKILELSSEAICQSPIIVLFTSVHRLYESATQQADSWLYNRLHRT -EEYSSLIDTIKGIVLDCYNTLKSVAGKAACAASLAVVQLYLRVVRFFSSITSKVNGFVEDVKDKVADTVI -SSILPSFIGEYLLKKGKWHTKNIVVEEKEANDMQEAKSIFIRYGLLNVVQYINDVVRRDMFAVVLHGYRM -TLEEHRLFNAMSTTDLINNPNEWASAFSYNRAAGADCYQLEKADACTEIKKYLHYVESQSDKIEVADYNV -KNQNDIMQFTAYGDHFQLLWMKPKTGLWQLLEISPKKEQLQYFLYLPHIRGSVPYHLASLTNHQRDVINS -ISKTSKGGTVAARIAPNIANGNALKLLPYIILPRELTDKLGLVKTTLFRRTRLDYYSEFVRDESEDVRWL -RNNFQIEGKFMATGLVQCGSIRSVGICLPTRTIPFNQVGTLETISKIRVWTCVEETYSTALLFRLYNATE -VLQEKATIIHLVTGHTVIYRTTSGDCKLIERFKTCHCKTFMEVTGSVITFKQNLVCSQSKFYSLRFSGDV -TFVNLKHRKNLNSLVATPIVEESKFSYALSKIIPSLPTRIPGLPVRKPVGIIIVSPYPSGPKRIDIGELS -DDVQVAQVIVERVTGDLVELVRSHQVEASKWTVYNDTEQFHVRNAVAIALWCSGKTTIGNYTLVPADFKT -SKTFRCLENIRKKISKMCSTTVENVVIREEDVVVDISSVTGPIVVKRGFDFAEVLEENCFLNLAKHEVTV -KPVKMGQECASYKGLALVKPEHRNLVFAFSKTKNKNELSKANRQVLQQLIKQKFKDMSWPQIMDMVNADS -ITPQVPPESVVQKIKGVMFRTQPSTPIKKLLDQVNLVKCETKNIMDLVQEHLQSQIGIVMRQEQVRSIVS -SAIRKISDLSVEELGLSVQNMEELPDSSIFCIISYALGAEIQLLVPEKYNANRTALVIQEGIIKEERPRF -DKPALRFLCGEAWWLITHDEIDSAKRASSKARARKELADAEFMNAVFNKLERMPEALNVTMASELKAFVR -KYKSEVPRLCEVLQLSDAMFKLRKVETKLSADTILSYVNYRHDAFLIDIPADPGALTAEELALTAHMVTV -TAFALPLCGKHDKESKCALSMLRDTYANMAVRGAEKRPAGASTFNKFVDCELKSSSGAVASRRDYICQIR -KTYIENQVLVDVGSTSRSSTMTTVAQVHREPEESPEPSSSESEEQPEVEQYCLEGFFDRLIRSTPHHGWV -TKPKILERVEASVNSLTVQQAEKMAVAPYQEKLVLQQERDLLPPEMYSIVHFDRCSKRALCPMYQERLFN -LDEKILGVKESMDEFKSARAVPVGLPIRPFTKVDKIPYAVTVSDSGLQALNDSISHIKGEAWQVLKRYNR -EYLPKTYEYDAVSRGYYKAQALDRDLGLFKTAQNIADLTSGAGGFVQWAIVSHNQKLKRRIVYNSLMLPG -HSVPREELLQQSVRKVSNVEIYRVKTETNGDIRYKEVLDTFRQFSDNIKFDVVITDCGEAHPDLEKESQW -QLIEHPIAGVTKETIDKLLHARTFSDALVNYMCILRSGGTMVVKMMGFSRFTVTAALKYAKYFNGAILYK -MPTASYQSREWYLCLYGFDQAKYARERQWDPSTSSWKPTEQAIVGVVMPPLHGKQNLARANSNVLHLPYG -ADVSSLLAKNPDKRIVVTSDEAALTSSGIQIMARIVLPEDQLRRNAEKRKWLSSNVDIEKALGFRARALN -KWERDLQKITVCQNEQAMKRAVENITRVVKTGTHVDCVDVPNLIQHAKYVYVQKLQEFTKWAKVAYKKLK -SQSISAPVAEKEAILMPACSGKTTLARTYEGMDDLDLYDRAKVTRERRIAIKTGKWDNYDKFVADNFVKK -QFLDKANESGVILIDRPEVAKKSGLRVSFMLVPSEGLFELSIKRKLMFDKTGEEYARQNYKQLTKLSNKY -PLIRFNRYEEAIDAIRKHSKVSQRINEQSKFEVPMYYRKWMAPEGHWRFPKHLEIDPGYYQIQRSDDEWK -IRRQFEFNGVTYNSHMSERVRQVMQVAKENGYRQYPASGIFENITEYCRLSFPQKFGREKHNSNKIINSC -MYNVFGMDYMNSTFGHTQCTPDHLHAAWKKRLDIMPQEPSSTDAAVLWQAAVHHVTPEYRKIAEGSDEKK -FKPWTYEEAIKHINNQGKGGHFDHYLNNAEAVADPKFKERVLAVVKKLSAGEPVACYQTVRDKRETKAKK -NITDDGRIDCPEEWNPNEKEYQNLNSSEKRVRREARIQWLKDHSNLSPRNIRFADQVQRVADLMILGPMQ -DHHVNKEKLYMGTSTGTPLWDLGTLTKGIHDFYSHHTEQEYYHCKNDPYIRSVLYSEAHKRNLATAKDRK -LIKTLIASGDFSGWDGTVSLTDLAILHQSYAKLYRSKYQLLLKTRFEMWMWSIVITDHGNVLLCQGQRSS -GDQDTSHGNTKLNDIYHTAATSEALGITVAEASKVIGEVKYRTITSSHWKTYYVRRVSHVADGDDNIHFG -SADDIKMLDKNGSLFLERCGKKIRCGTRTGYSLTDKFERMEFCSHQYTRVRIGNIAGKPIGDHNISDRPA -VFERSVDYQKVPDKWKPHYQEVEDTVRKRLSQQNWNDGEVWGLTHQHELEERLGIRVKYLPLRPLANIMG -KLNFTLKQATNTIDLKRQYGTDKRASRFASRNEYAIEITRGKLLAYLLNYIHIESVRTTVTTIMSVIGEG -TCNWEELNRRFNVPRVLENISSAINSVFGVKGLSEVEVISHHWDRQHLKNMAYNARMTREDLKVDDKSVC -PQNLRDLRMMLDDWANEFSFQEGIKRDENIFKQGKITRVHPALGVMNFVTLLSIVSPKSKTKDPETPRRF -VYFSNASLTSIKEKDSIMREAKREARKNISPLCTYTKGAKTIVIAKFRKGDDVSRLIQDTAKLLDRQDKC -LNIEQTEVHVASGLLEKTRVIRTLRNQYKKFVVK - ->YP_009254745.1 polyprotein [Wuhan centipede virus] -MPIRRALFRARKIEEKKETGTTSFPVEVMLRFAAMIAATVPLPPPTAGEQRLLSTSNQKKIGRIVTQLTL -PKIRVSLAESDDDSGDESIDNDHVVVERRHKKKKKFSKIDIPIICNERIVQSNSDKPAPEPKVATKKVVV -SKEKEEEIKAFHSSIGELRKECEGEPLGFEYRPDEKRFHVLAKWIRELKDLKYRKKRREAEASKNKRLQD -LAEKRKEKEKKWLESRQQKKEARKQKNKESKPGFLHAVFFGKEQPTEDSRHVIDDLNADFSTWSSFAQGN -KRKKEYWKARGQYLCRTREFYHGVFELRIFDLMVENCNMSFELAKNKALVEVHESSRNKYQKKAAVDHIK -LIDATGSVPKRTKEVDVEVDSGIESDIELGCENRPKIKYNKEVLKERASSEKVSKKFGDIFVNAVGVLYQ -CCYTQTTYRFFLGKTLMKQKTLLGNCRFSPKFDYDRIVIGREVCDFIETDTILFKGDVFKHGARLGISES -KISLALHHAKLKEEKILDKDLAKCQNKRSDSEKVRSCRPVKNTRVIGRNFHCSARVSRKRFIPHDVQQSR -KKHDKRFLIKCSLPCEQVVSFTPPLVVEREIVPFVEVVEKPCLSGPIVNNDRKEKIDIQMVMLDGAEPAV -QAVIEKEQLNEEAAPGVKGSKTNTKAQLIAPAVEGFESIWDVSEKNFLSSVSEVKYRLRAVQNGLSPVAT -KVFAEIELQGKVMSLARFYEIRNESIEYIKGSLAELAIEKEYRFMSKNGLIEKKEKVQEQLNYACYCGAS -LTFGLRHIEKLRDPNAQRVGRSDYDVLKGCTCRHFGDIAQVKFVQKMGKYQLEPAGKTFQRHVLFHDVPF -LKCWDLLEINGVLVPFSTRVVTKESKYIALPYEFFENVYGLGLKQGMFTYHAQPQAFNPQFELEMDFQSF -ISSMTPEGLDELPWNHDMVCGLIRYARLTHPKKFLVILHKVLYYFELNYDILPMETVTKYRKVLQETNGF -GLKKTKSGLDVIYNELLHKINLQNYRLFAFEEKFSSMARLLEIYERILSSNNLEEVIELYKIMIEHSDEI -LCYPKLCCILTSIISRNPLSQRVIDIMGYNSDYLVKHKDFVNSTMMAFGYGLNHDSDKSVFYDFVCDNYE -LFKEYNVRNFFTVFHSRKNSHHCYVICTQKVKVVDFLESVVDKFSFCHTKNLNLDVELDKIKEFWKPDVE -YKRIYNDEAYYNVPEDFWNYLCDCVFAYLKRGEIIYDKHESICLYCNNVGTLMNHGYWFVHHHGQRMGLF -LDNTLEVYDRTMLFNTAFDKELYVDSCRKFIENNLEKLKFVSYENTVYAVTDTKAICGARYEEGEFDYLT -HLRLGENSCFEIFWPNDYNVTSEEVLELAGPKLKNGVFCERCNCGKQIYWNLNHIATASAPFKQLWNTDY -SYNKQCECPFNAVSKDMRCGNTALVLAKAHTQNYVLFEDLPALVCGNTIKSGNFSIPFGVRVTNIQSGKA -YIALPRKIFCDAPTKMMNEGQYRESFHAPKPSRWLFFAYLLAMLVCACVAVGGVNRQAFGEMKTELDLML -SRLENNISYYENYTVTNFEDLLARESETRKLCENFDDFIKEIKKKNSSLNLSAVTLDEKLQFDNKHSHYY -VLLQKFHIKHASYDKTLAEYENAKTEYEECHKYKMSAINVFVRPALDLAEVSMTCYKSVVPANLHHINVT -RDSIKNFRSKVNPDLSKGVVSEIFSVLNKIVRKEMLCSAVSEVITNIKRLFYDYDGAVLKQYSVMCETAM -QKLVRTREKLGYDFCKSREVSFCEEKYDPTLGALEKDLAILEDFITPSSEKLQQMSRDAPVDAAEESILV -TTGCNDTDVAEIVMKHCTSRSWCATGPGPVYLCYLDSVPYLLAYDYATGKVISERKSNISFVSSKTTSLV -FMDASGEFTSCIDDISEFSFHHPHLPSGDGNAMRCLSSQYSNDLTKNVLVWSLSNVETYGHKFAEWCYIL -VVVLLVWEICSKTNGLTGMFSLLIFCFIFDLIFVRMILGACTIDGLIFQPSIYARNQTFVEFKFSGNVRA -GSCIQFGNSTLIVKRLFSKIQYNYAGSAPEEMPLDCQWNYGCPEGVGSDICTTSDRSCSGTFRFPETGTI -CTSAYNHMWRKTDCALNGNLWFATVCCSKVIDQWVDFWKRETEEYDTTMLAEVSSPSGTFEVVVTYGQDL -IQSHGTVTLTSFIPLSVQNPSWIVKSAEKFYVTMHDIDLYSICYSVDKKRLVNHHCNGLNVKQSGHVITP -EYLKDQAISFFRGDLLQEKERDDKNLIITNQGSMVDVEVNIPSARIDLVVSEKILSTYIGFCRRITGGAL -VLKQAISDHFGGGYIRLNLETENDFCKFQINVYPCDVVGPTLLTKSDNLTVQVVCGARVSNLITITGLNV -ELRLVLKGLSRSFRWSLTRAWQEYVVPSFGEGSSIFTGWSSIANLSVSWTSWLIQNFGKTIVIFVKVLLF -AVLLYVSMILFYRRYYLWSVALLIIVFFTVYEVYTQAQPLCSYYVSDEMGTSFSKVCYSKNISFCLHGKW -NVESDSVCNYDVWKEEILEDYKFLDFYDMSPKSNYSCCGSQDHEYLKHGCAFNLSAMEYLYLLHDCKSSM -TSHVWYPSICRYHEGMNYVQQVVDNKVATVGCKGDNYSVSGQIREGAPFALIVTTVALTTGMTLRSMEGA -VKSLSEVVSATEKTVEKAVPILATATMASIVSYSEKLTVLSTFICFCFFFVLVMCIIHVVYLRRQFFAFY -DAGIIYDTVKRRDIVTRLALCLWPRYSARKIYDHHGKSFPSRESHDPLITVTSSYVYGSEGLDALWEENK -DELDFTNKRIDLDSNTLFFLQKVKGCLILPAHVTEVDSFPTRCKSFFFYDGCVLYQGNDKKVDVALSILR -KKEVMSAEKEFFFELLENNKIVNYRVASFCSRISNVSSISRLSVWKDGKCQGNGVIKGMSGYLLEHCGIN -HWHRLLRYPSNIYSLDYFKKEDGFDIMREEILLASSQVSDIFNKLLTDEASFTSGAETGAKPKTQKLDLK -KKMNPVVKEDKPKTYTKVDMSLEDRKKFNKMKKNIDSSYAINEKVVGIGSYYCNKSDVKHLIEGVDPDGR -VVPEKLVVKKKVPTALNMKGVVLNQMQKFGSTLLPPSVIFLGKKIGVHEILYTSGSTTASVYRRFLEDIK -ASDKPEKADILVKLQKEINHLASEDLDMNQIDRVAKVFTTIRETKLQITRGNLKTTQIAVTEKKELKEVN -PSFGLSTKVVTTKVAGGVVASKKSISLVKKAVPRTLSTVTHTSGGDEYTEEELKLLRDTFLEYFIDHYKQ -FRQFEDSMVQTRNYLQKICDVDCGPEDLEDDNWILDLIDKCSDEQWINDVLYPAIYETYSEEIEITDWMD -CLRVELGMENKKKIAAPNLSSDKVSISVLGEKSDELKEFFIKIFDQNGLKMIGVNCNTNLSKDSYELKIN -EYSSKRFHVEDHITMLEFVDGWSFIWKSQLYSACHVTDHNDVYYIRGNEHIKFQTDRQMNEHKYDICFYG -DAKLDLPVAGELCMVVNPKLGKYIFLYCKKSQKTWEDKKNCVYSQFFPLWFDKATGRFEIIKGMSCKGWS -GCPIISIPRQVPVGVYSQAKPERFNHGEDLITSIVWPYSVKEDARGVLDTTVKAVIEGDEKKHLLIAPTG -TGKTTTMVNLLQQALRVEGNRDIFVCLPTRAACRNAFSQYIRRFLSTSAEKDLWRVVMEHGSPNQSGVIS -DSLGNNKRNTVFFVTHDLLWKQNGVKRGLIVLDEIHKRLPQVLNIEMQVLFDLMEFWKVLAMTATPIHEG -KKYYRVHDVNSLVPPRFQIEEKIIDQVICTDETKAVQKQGYFCFKTGGHATYIPKSCLYHRKAMIFGPSV -KKNEDFANLLTKEGLNAFSISAGNLNLLSQATSNESYVVVCTDVLESSVTIDDVDCVVDLKLQNVISRTI -ELDSYKSEQFFARCTQNAATQRRGRGGRSCDSVYFSPCVELIEADYWPNESLYEAYFNIRQKHSDSPIDY -QKLESNLDKSDWYEKLLEKDNFDKRVWLYENSLRDPIFFLYVTTLTDEQLSILKDKKNGWFVNVEEASKR -LKIFDLCQHVMDPDCTSIDMTWDQNLLERVENLLEVKKKGISVFSENLSYNSKEIHYGSLIVAASCLGWI -IANEIYKDQPNVVIRAYDLHPCNVERYACGYPNWYDEQLIREKEKDFNLSSKRIYDVIRKSLKWLKEWYL -IPDWLYRYIMNLVFSVDNAPNKGFERIFQNRDVNNKIRELEDLIFGNKRFPSINFKAQVSRALIGVMILM -VEKKLEQLNGPFGKIIATFLRAAVFCTMTAFQCYISTIFFLFSKKFKMAGVSLIFGCVKSYATDDLIGRL -FSKISDELFNVMITHMQAGVFLSSFECTIKVLDDVNSFDQDHLKKLLVNLAYGVVHPKSCILLATMGAAL -AFVINVCRNNEAYLFGLANLRAQKPIEELMRMLNNNKINADFVVKASVTSFVALQDPVSLAYGLFSILKD -LFLVYKEDRSKYTGTEIVTRGLDNVVLGPISVFPLIINPCCEKMVDKMHKNTKGNVKYNCKTSLVTIDTE -PEILPGIEEESVCDDPEIRALNLLKIEWIVARPLLQIFSYNVKELKKTDSAEEASKLIIDYISSEKYSMA -KKIEFEFLMVQHFGKEVSYQDASAIESFVREFCILYQFASYMHNFSYIPENCWKHAFSLMKSFEIDTANP -VLLKNFKKIYFQSITVAVVRTYKIERTSYFTCFMEYLLERTFPVFTAEIPYVEYIKSLYIEFVNTYDCDL -DDELTNEILLRIFCCYCDHNIFAELSPKYVEDNFEELLGRFVVWSNAQMEVVDHSVVEYNENKRSILDKL -LKRFFGWYEFPDIQLNQFQYELDEIVYSKHKFEIVFARTEVSEDVVEEAVDDFLSAESSIIEVAKRMIVQ -KPEVLLESKKCLFIPEMLEVVPDVVCDAEQAISESVFSDGLGSEHWTIKAFKRFLHLLKSFLSYCPVFGK -FFKNEFKIKSNINLEDFEALEIAEFVKVFEGPSDGFKQRLYDYYRKSSLRYQELMLDVDDSSKCSETLIQ -YLSACRETHRNILDLLLTEDLSRLDKMNDDIQKRFISVINSIYQTIRNYKSIKLIRSLVTVESRRLYIID -VICSGFFKRNTSLKGLLSKCYGGISFTGRMITDAVCMGATVFRKIFCYIGDKLKIIQSYLGDILSASSRV -FTVLVTFKQIYIFGSTAWEIFKDIFGGKTNTQKTKFKIASYLGEKIELIMDVLFKRNTCTNLGFRVLTTA -IKSFFCLEKIEAISFTELVRKCVRFFSEFVRIVFSNKLNTDNYDWFFRKNKKCHDYGYDLLVVKDRCNGE -NREHVHICKKCGAQFRHEFLSNLCRYSNDEIYIVQVEEKEEKLVKLNIDYWLFKKINFQFWSIFQNKLEF -FKGVGDEFFMFDLVRKGILDVKAVEGSVSELSEMEMVEFFKKWEKNAGFFYHYPLSCWIQFKRYAIIRRA -KTVLWKGFVALCFCWIENKKGFLNQFMSVLKAMFFKLNTKAVVKPKDFWTLVWNFLYEIVYIISTIVGTS -VILCGFFRGIVMSFCLLLCRKWYHEYCAQENYDTGFYFTKFMSVISGAFSLIGAVFVAVSAYDARIAHAF -SMWLADLWLSLNADTINNRMFITNFDHNAFRPLFLPESFINHRNSGQGFFQKLIEFLKKMCGLFYSTNFS -GPSGFFGKIELQSQLAIKHQLPLVTKHELLVNEKIDSDYIVKMVDCQGHLTMYRAHGTVMELFERQNTSM -DTQKHAVLCMCKAINDCYNSGIGHHDAHLGNFLYYQFGDKVRHCRVLMTDLGMASSLGTLTFDMQGMLSL -CMSITYPDVSNMCMQMLMGKFPDNLPLNWGEIEPLRDTSYKSTHYLPIILDNRGLAEKGMEFKEKWASDV -FAVVLSAIKKKQRGKIVGSLIPGNLFGYHNQWMPNNIRWRFIKVGNCTDFSADITSWVGIFPPEKISELF -GTESIHLSLGSGLCTKEVGFVDKVLNLAGRFIAFSDKEEVKSKLSVKKKSLKKIHRNLTSVMKIEKNIEC -IGFTNYSNDFLQYVNGFVSDIVSKDIGFLDANTSLVPFVGPTDEEVQSVIDEVSKVVQNPNYRVPKPCVS -NRYDFRKVYDKSLMPVVNEMENLISEVFDLKFEKIYNGAFRSLPKQAFQVNICKLMTQVSKSCGTSYNDA -CIFVVGNNLSLEETYKYVGHSKYYQAVLNIGAIRRYEIFDPDNYNRSEYILNHNELLHHCGAIDKLKWRS -LSRIKGKNNGRYFETNIKEFLELPTDERTFSILIFLKKKIPEGKKDSCPKIEKKDMEPFEYKTVPWDLTK -RIGRVKLPKRGGEDASRGFYKIFIINEAFKNTRRIIETSHCVLDPTCGFGGFEHGILHLFPDEKRHLFFG -TLEKDGHAGVAANNLFRSVEEEKVKSILYRVSASNVLGKPFRLDNDIRRPETIYSYSSCLEQNKIYPDLL -IFDFGEQNTCLKTESGFYSKTLKVENGMLIDYWTSFHEYINLLQKGGNLIFKMMGCPNVIKTKLVSIIRR -FKAVSVLKPCTTSFFSRECYFICCGYNGVSIETQLSRYNHKFKHCETLFDEDIGMYAEICVANQKEEVNI -PPVPSRKFDHIVEGSPTINFGKFDDKELLDDVITELSGYKFHREGKREVAWFSHVDYKYGGVLHESSPYP -KKLKKMINYLQGQFPWIGGALCIRYNTKGIPFHKDDEEDVGGNLLALTLETEEENFLVYKDDKGQKIYKK -NKPGSYYYTEDGFFRHYLHKTTDGKRFAIIFFEINENHKLSVADLMSGSKLEGSIPYKDIFSILHHCQQQ -WVQGAYHAMSLFHQWRSRGFLPESIPIRDWPFSDMMPDVGCFGLVPITINECFLETQKIYSYSKSKQLKE -VDSDTKRYFFDSYSIPIWDCDNFSEEIVDDNKIVFSPNLSARLEKFTQFVSDTRDKPLKMLPPRTHAYKN -IDGSYTLQNAMQELLNIGWYPKPEKAPKERHTTNRLLNDVVFACAGWSMDNSMVGHTQSSADKLWESYKK -RLDHIPKNPDSVMQSMLAEAMELNVTPEGRKLFNTFEPWIFEEVKVHLNLQGAAGVDDYSTNIAEFLERP -DAKNLVMDYFNRLLNFEALETYISVREKVEPKEIKAMDEDYNITTDWANVEHKDRLSPEELLELKKKHDE -RLNLVPRMIQYAPIVSRIVDQMIFGPLLHHHIHEDKLYFGSATGTPPWLLGNLMRNVHDIYERGPLSQET -VVLDTTESGISYCPLTGCIFTKDEKQKSLCDDFTRICEGVKTGLSKEALWATGDYSQWDTFLSLFELAIE -MLWVTRFYRKKWHVAIKNSYVHLMWSICKNDYGDVFCRMAQRPSGYWNTALNTLHNSNLSFIGMSFSLGI -TVKKAFEITGCIYYIKNYRNLTSAQYSIYLANIKRKFGNKISQFMHQHCMELVSPSGNTVYRCPYYAKFY -TFRITAFHDGDDNARRGSFDDIQKFISLGLQALKACCKIIRHGTAEGFFVTREFSSVDFCSHTYHKVLIG -DGASRLVRSIESRVLSRVSRSKMGDFCKLTTKHVSEIEDVCKKGYGDISFAEKDMIRNDFKVDNATFSDY -WRHCKKKWLIFSCSRNNLRVSWLPLRPLAKIYGKLVCTLKRETMVVDFDDERSMEITRGKCLSYALMYPH -VRRVRAICLAALSVITRHDSTADVFDLKLKNVEWDESMLIRSRGWFSNTYHQKGIVNMDLEGGLFSVYGV -KDFDSIGLCDYSLEKDFHKSHLSNVKKCSGITFSGGFVGFLQRLQKRFLCNYEILNYKMLSLDSYLCKYL -I - ->YP_009179222.1 polyprotein [Xinzhou spider virus 2] -METEKAAPGIKGSLQDDNLVAIAPGLQERGTESISEKAMIAGQNMTKVEVTANGQSGCHLKLSKKDKERK -EREKRMRKEQRRADKCVAKFAVVGKTHEQYVDEIMETLFPVSVDEQFDRKSERQQFSFLLSKFEKLYRQS -ILLSKKGKGPWNWRDNGLDFEMRDHAGGTGEALSKVFEHFVGESFYPSFQWFLSQYGLNYVIVEDMYSWE -SRMFEKHLEVSNKLRAKGIVVGSEQWNAVFCEQYVLASVSSHQASDSAFCRDVEDYCLENDVREISAAEA -YWLRAGYCGQRSDIAWAGAVQELCLNVDDHYFNSDLEKKMAKELDVLAEEYGKALWGQPRSEIERLNAEE -IRKKIDIEQKYKAIRRVVKTWVPPKPASVTRFYARESKKPVVSKSKFSDEARAAFLKDQEEKRIAIEKAV -EEERKVAAEIVAKRAEKEKEKRAAVVAATGAKFALADWQVLGAAAALKKGLKPGAQAVVGGVQKTTVCEN -SGDELDEVAAITGSRAESASVTPKIKTAFEKKCEKIAEEWKAFVPWYNEPTKVVEKCPTGKVAAKYNREV -FGAGRNLNVNLLQKFLEAMAKRPKFCEPDVAMPYFVKLRMFFDAWATKLEVDWMALGVTKDGYLTTRASA -ENCLKSAEYSRIAGNMEYFVKKLGARPMYTANLNKLRDEGVVAYRLVKAKQNTEVKVTDEKPVVVVDEVV -SPCVALSADTNEQTTTGATSVSGKVCEVATKEVEPDVDVKKEIVGMTKSVAKRSNKKRKKRVPAKKQPSS -SESSSESIIDNETVVANLDEAAVKTVAPLKGRFVDSNVSVVDLKKVRRNALMCTILRDDIAKSVSVSVKD -ASLKLCYSDDIYAEACRALDAFRFSSLVSVNSIRGKFPQPLSVTVVGQTKTVTSITTPIAAVCEVPALPP -VRVAEVTEGDHPTIVVSAAEPRFGSPVELKMKVVDSSVDSVGLTQIAEDVAKSIETPKLVAEPGQVIRVP -VSPKTPPPKAEVVNLWANRFEAKPTITRKKHLALSVAARKNESIARELKTEDISGTTTTISAVPFLGLEK -RFHNRPTWRISLSQGSKCFVAYATNKVQTVNSLKRTYECVRNQMAGNWDELCDEINKRVIARVAALKTSL -DEIDVMKVKITQLRQCRRMREASRLARALNVKSNEFYDLYCEYSGHGTIPTEDIKCRFKLYYLTGVRHVV -VRVVKGTDAGRRYIEMCEQERLRRQRADEEMLAKIKAMYLDGVLKRKRVRDPIAAPRLRHVTYERDVMDH -SSSMIVTKKRSKRRPLERSFLWQRTAEQEADKAFTLQCKWTFAKNVTCNAERKALLKKNIVSGRFYWQNV -VTQRYHDESGRKISSIDAKWLNFACKKICWWTNVTAVSVPTEAAVRKFARRQTSGVTRVIRNSLVKSYVL -PHACGKNLSWQLRHQGATPTAVTAWCHRDLMCKRVRDGCKCQLWKSFKKIDSVIVGGENYKCEKDHLTGK -VTTISKLKRECGTPITLEDGTQIPFHATDEEGRAVILSYFERKLTYHSPNTWYFNNVLKLIGALLLAILV -MCANLPNKPIVKNLAYYEKTSNSIYADNMLKDYAYDRHEVGEYLRKGGQCENDLNEKGHVINVMRYINGD -INYICDHDVGTSIDNRKIGRNFSDHACLYPYTTGELIVVQTSYCEPENTLPDSIEIVTGKHYIKLQDYLT -KYCCKNMNGYIDCFYGQMDDCLEIHQLSIRIVRNRLVNTAFSSDNDYMLMATANNRYKCLLRYDTEVCVR -ADLSCPCMEGFSDRTLQQTAEKVYRRAGAIKFITSPLCHNCDFSDDKCSSKYPPSTTAYGWCFGSFCFQN -KINNCNQKLFNTPRRYGSYDIRAIIDQEQCCCNMVCHKKPLFCLTTVMWGISDDTVQDLMTIDNSGCFFN -DTHMTYNISGVVYAYRKPGEPSDINYTFGDLSTNINTLNSLVADIDNMLANKRCTNQAAADTMRKILVIM -KNIDPAVKDIAHVEDAIERLFQAKKLNDAFIEELRRWQVACSVLASRIASEAAFVKVHVQTLIAKVTVIK -NHVANHFKDDSVIYGTTQELEDLLRAQKDQFQELTRIHETIRDIMLSDVQASTEPCPPKVVDTCTAGSDA -VMCAESSSLYIQPNVGFDLFSKQVHAVRFTAQTNVSMQSVSICRTPSRVCSATDNCLSLLQVSCKFEDML -QFCSVDNKICEKVLSDCNTHGLLGVFTNGVYDVSGVKVNMAGVPKNPCISGKLAVHDKKSIERKIIMVEP -LFVGASVSLEAMCITNVDNILMNKQNCSGNNCYDKFGRIKTNKIMNHPGLLIFYICVMFVVWMGVKDYYG -LLVAMIVGLIMSGASWYVIPVIATECNSNAVMYSVQSKQMKFAVSGNVIVGTCYKIDNFTVYIDSIEQTL -DYVYRGTVPMTMAVQCKTQYGCPGGLSDGVCKQNTWENKPKDQYCFDECQSQFSGPWGQTSCAVQGQLYR -RIDCCYRFDLDQVVDVFEGPAQPSVKRLQLSVHDGKAVTKATAEMGIDTELSVATVTISDLTIGQATYPK -TIVWHNDQAYTAFGVLDLSNVCVNTDEAKMWMMEDESCYQFEFTMTGQTWKYKLRQEHASAWFKKNNDIL -QNAKAAFGDKLQIEKIARKMHVVQHLEAARVTILGTSKFEYEESSLCDFKAASVKTSVTGADGYFQVSRV -EVAGKVRTHCGGFIDVTPCEVVGPSYREFDTTFRIEIQVQCGFRIADKLCLIGSQKDCISVTGLSRSFNY -DAAHLYHRYIVPNVDKVSWVWDNVKAFFDRFGGVGEWITNFFGGIWKSVLGTAVVAIFVLIAILQFANGS -TMMALVFMLLAVVSASATYVMAEPQENNQVDPLPIDDFALMFAYALRVIERQARGILWLLSFSRTFLTFM -SAWLSYSVALYLLLPFICSTFELLIALFFAEVIARMIRYPYVYVYKFWYSYRYGIELNFEVDTDIVGGFL -DRVWWRLDMKLATPHKMKPYFDRIPRMLGGQWKNNNWDLISRLCSCLYTCITLFTNVLVFTHSYWWLIAN -FHWYVLLLRIVRKKIMHAREPKNVVVCKLLESHLYKEKHWTLTSYEYERGTAITKEAVQKFLQDRTEVTN -GTVWVNGDKHFCYYQMWDGVLVLPMHCVAVECLELPHTLLDDGILLGGNTTTRLRVLKKILNSESGVIDR -TYFVKSRGRDAGTSCWKIDCWKKGTSGLWISQGRINHWHRYVVNDMVSYNVPDGDDVRYIKIKLLNDPPK -EEQRRGRDPSRRGRGRGRSQSTPRDPAVIAERIQRSRSVYLHKYKEGEQEKTVSMRQGARFMPDNECFEK -APTDFKLLNSKRKEMKSGGALRAYQRTNIQKKAMYEKSVTVPAVDAILLDAIKAAVGETAKVYYDEKWDN -ETYLAQNLRRLTPAREAATKEAIAERLSVTAFVPSNTKLLAIALGKARLAKTQLLSKRIKLAKQYENEGK -TLEQLFKDHPELKKDVKIGTNIPTKQQWAPVAGNKMMMYSTRGKPYTVPMKVNVSLVKGSVADKMNAQAF -TQRTVSEIREYAAQQALYAENPTAYAVVSEMSSGMPPVPTRGRGGRGKKNNSQSLRDLADKLKSESKLNL -AADVAAGAANALANAGVEGDTMLLASVANTGSKRGITPLRGRGRGSSSLNELRKTMSNINISSTRLSATA -DQIVARKQGADLKSSEDGVDVEEQNKLNESVTESIEENQETWFFGNDLGSAEEVRSYWQKTLRSILNTFN -AEDARQEYNRHLFRDLANCVKRNQRCSSLEGYSKFHDSLREANKGHIYDVITPIEDPKVVPNVLFNTSSV -ALFSCYVGKEIELQVALRNLSERCLEKGVWTPANVAWLVRCVYGANIMTMLNCDDNDSIQFVASMLYTEL -LQRDEWSTTNIPTSLKEETGAVDLRDEYQTPNVGIIGWATDFDSINDLFWDYYMESLANIDDVAYSKFVE -YKESCGQNVPQTPVPLQGEHPEVQDDEHVEEVTDDVRVDRWVKQLRQIFGQEVSASMNEVQIIDAAPNVE -PLDGNDVMEGAELSGDHSITYGRLSYWNIKCGWCFITNQNVYTCWHCTRGNNIRMCEVQEDTMPDEEAPK -QKLPPGHSLVKYHKFTMNESNEEEDYCMMRSVPLDTPSTDELYAVVNPEHNQYVLLHCLSTDSKYGEWSG -RANFFKVIYYDGTTFTNTNRARLQGWSGLPIVELSTGRVCGQWGITVSLEEHGKLQLRSISSCQKMTQWK -SFFTEACENICNVERTLPEGKVGWLVSGSTGSGKSSTLPFMILDWHMDRKQPVDIRVVMPKVIAVKQIFK -DVTKRFMSMPKYENKVMLTYIHGAVAGEPKTATIGAKSSTYRITYMTAGYFVKKFASETNGIVIIDEIHE -RNNNDVVQVHDYAATGLIEAKTIVAMTATPQMMDEWAPACPQIEIPRRFAVNVITAERCPQGDADHVIMS -YDGKTDKGNAFKIQKALLYNGKTLVFVPTIRNTGDCAKLILSQTKEFKVITAYSGNTNEDLIESSEQCIV -VCTDVFESSVTIRGVTCVIDFGLKNCPVSTLQVNHGRQNVEYSRKYSIEPIGMASSLQRRGRTGRNCDGT -YVKCFTESEQLYEKWPSTACIEAAFNSLSVLEQMPARKRTEYYGKQTPVSRNTDMLSYTSLNLLRADMCT -HWDKKYEIAARCNGDRVGIYLTAAIPTAMMVCAATEKDPKKAVYAVFSDNATMKAFRDSKFWQEVEELQE -MHNINNRYGFMIDSKDFETNLDSREIDTSLYYLNNYNIKHFESQVRKQVSLDSDAFSWICGGTTLAAIGA -MAYGFANFTVFNYADRTIQRAWRVNKGAFDRLLKNMQQLTPEDIIKDNEFWRAWYKFKSYVLQTWRSIKK -YILGEDSDGSRAKTVHEMDRVVRDSDSIFWQRVMTMFETGNQAVDAGLEWLARFRGEDLASCGVVTMLIG -NFESITSVIGSFPAVTAIVGISAIVGAVSVPLWLTGAVAGATLGILKECVQSRNANTNPLNRGSVIEGGK -LAVNIFVPVAYSSVGMLIGRYCAGSYVTAAATASVFAGTGKLNDAFGMMTGIGTTSRTMSGFMLVKSIYQ -CVRVIMKYEQSGIDMGELLVHVASIAYSAWQAFTCMGPIACGASLAVGGLVFAVYYGWREQWHSTLVSAS -NARNKEIAERVCELQWSDIWRVFDGLVMTIGCWTNPASIISVVMNMVVTLFGLEAMGSQQKIDEILLSGV -AWSGVAPLFGIIGATSRFFIKLANRSERGERRDSDDQPWHKRIWDDVLEFLRTIQSWVSSGFSAVKNWLM -SFECLNDFITNSGWFSGNIEAKDLANNLSPKQRKEEVVQTLIDVNIVEDLGKDEPGFTLQAMTQLPACTD -DVWGMYTSVTSEAAAKNNVGMMLKDPRRYSETSELYPVKHRWGTKRRLEFLVMESQRDPNKKVDLRNYGN -MATLFTEKCEKSIKENGRYLKRYKVETPHDNAPNLKDAVYLVHEGTEYRGPNAYSPDGKYINPANMRIGV -EHTICVIPNSMSTGVCYVFRKNGGFSCKFRVAIDCEHSRTKQALQQTADVKFGECCKNYRLWLYLYQDTP -ESISKNSRTMGYIDIKVNTGKCCLKDYFSEVVEMGHDGLVDCHMRVHLEPPTRLTWPYVMQEMFEQLAIN -LSIVPEYVIDTGEDNVYEVQFADKSKIYVYFYKDSEAAVRMEWIKTTFTCSGLSMIDYFMFRWLMFRNTS -ANKISNVYFDSDGGGKTTQVVNTIMTWLAQQPDNFKLWGKEMKKGIYLSAGDIWEAIKKCFADIIKYTKD -MVKNMAENVGNFFADTIWRNFMEKLPKMLRFGFDNTATEKEMNLLVEYLPENNVEVFKPAFNKVARMRSL -NAIIQLRNYEVPPAIMDCFHCALEMQHMGITQLVSDAERLKQVLDGMNKFVKERGCKIVETFETRIPAMF -WTVSITDLQKMLSFIGTATREENNVIVKNALFTLKATVYSSRESVMYVVDGETKLGTKMSGVVYIWNDYK -NNNGITKMRVYICTPNDEELRKLIIKMFSDEGLTANLFQHVEEHEPENFTVEEMEELCAGVQPTFLAAFN -ELDSTCRNTEAQPSILFCHVIDFMSKKFGKIDNVAVANDGRQAVLYWMLDYWREKYGYVSWETANMLSRY -LVVQDMSGKLIKSGEKWIDMLLQTMHDLKNVDLIRSEWFNIHSSPKNCSYWFSQIMWVEEPLSMVLVDKW -YKAEHLLEPGVTTTARLSVPDMYNLVRKSLIAYGMKINMRDIKGQMTQLIMLAIKLGTNLKVYRGNEYAV -SPNMSHGCINCCLHAKIDFVGFDCEFDLRLCSRHTLIMAIDLKAGGSFAKRDTKFELLPNPDKLDISGIR -CEVQESVNPNVGVCQYDQLYSFGVIPPSSTEVNKVMVENETLVKLLKRSNDTTLMFTEFSQKGKDILKNL -IDNKAILDYVPFVKPQKDEACYLTALTHLVAKDYSMWYNENDATRIWSFIKTRPSVFDVKGQEKPQISWC -DMVSYYRKIMITKKFTKFEEALTPQNFWKFWAAFNTTYIKNAQIAMMATRFYFKKYKFPETPVKEANKRD -KLAKAPPGPKSLAKRMYGVLDFFKWNVGPEFDQESRKTTLSSDDEDDDNDDEQSKLPEVEEPKKESPATQ -LNEAGEKLAQVEQKEKELPSQIAHDMERALSPAHEPDVESSESEEPEVEHLEVIRDAEHERELLGLNKSE -SERSTKKVTYGQDDYIEYTPESSDDEEYNTELVFEKPPHSTDDEEASESEQVVKAVSKDSDDFWTAVIDG -IKSIGKKRESGLIKISREPLIKVIADATTVWNQRQKMPDHSYIQYVKKGKIVTAPIMKKRVDDGTVPIWQ -IDHTKLFDGIGIKGPSKREFDENYAEEAGDEDYYLAEEPVDKFYDSAEFEDGFNEDWCAGFVECYLRPVA -FRPFHATSVARYAHCETMGDIENIMREIGKIPSRDWVHVGDIGRVKLPSREDLELSEVASKGFYKAYQLD -CGLKAFRDAHTVWDLSCGYGGFAHYFSYKYGNESRSYIMSTMLKKGHRAPEMDMVIQHCVKARVQLLQQR -GWGDLRMDHVIKTWGAVEEKPSLIIFDAGESSQNVNKEHRWLTNAVKENTAQSTSYVDALCKIKKQLQSG -GNMLVKMMGWGIHTEAIVRKLAEGFKNLYAYKVPSSKLFSREWYLYMTKYVTDGQVPLLRIKIFTDSVKS -AIYHSLSEGVKLYRIWKKTGRCRVLSWAWPQPKHDAIAYTRSSGIEEEPLDSIVFEGIEFKPNIRKRLEK -VKKKLKFGHVHDKDSYIARSVKTPHNMRFFDPVGKYDHLHNLCSFNKSMKPSGERHTVNKHIGTMLFKTV -GWTPATSVIGHTQSTREHLEGAVKKRLDVPTKAPTKTMRYALMRALKHVITPEGQKILGQLSPYTFEEVK -PYLNKQGSAGIGERWGKIHQFLEDPDAELFVQDYFNRLKRGEDMEVYISVREKREPKPRKDVSDDGTLEY -IPQSLCGKRRDLRSMAYKKMQLQKPVATKENLYDGACMLPRWIQFADVISRIVDVMIFGPLIYYHSEVSK -VYYGSITGVPAWNMGAVIRAIYDFDNVEGEREIVDGWNEHTGIYVQRFEGNVVDNPPVETNWLRKLLSNP -EKIKRTISSSTNRTYVTCGDFSGWDTTVTVFDYCLINKFVKQFYEKRWHSMIDNRFRHVMYPICVTEWGD -IFCREGQVSSGDVLTSFGNTLLNILHHMVASAKTTGRTIEEVSKPVGRIYYPVDINIHGKRDVGELESLA -SMWQKEKYLTTSLGADGMDMTVKEQNGDSIINFKYHKYKLLESYPDDVKAIIKQTGRFLKVVYVLKRTDI -ADGDDNLHFGSLQEMSLLRDNGPEVMALSGKVIRSEKSSGYALVNKFADVNFCSHSFYRTFFGVGASTQI -NSDSRLYYKQEGAIKPSELRDYCKLYGFTIKYMPTRPIVEIIGKLGCTLKTNTYHNGIEDPVVAAITRGK -VLCCLLQYLHIRYVRMICVALLCTLGVGAIDTTQYEKRFGTKIDFNLDPIVIISKLFGIEDMSQISAMPD -NWEKQQVTSLAYNTWLLGYETPPDSRALSHRIIHYLRGYESIHWKLLSWEPSFRRFL - ->YP_009028573.1 polyprotein [Soybean cyst nematode virus 5] -MPAPLFLLCKNKNKNKNKKITISPARAFVPTPGPCGHRAPITHFLPAHVFSPVPSPRGYRLPITILLGGG -ARFVRKRSGGGSVGGRGGRDQGWLRWAVWLFALFAGIVVAGDGGATPCHLNADISRGCVVATEKEYDWYC -WGPAGSPDIPECHGNFFIARGTPFKDELVVYTCPPFVTWAAAERWLDEVKNEWCGLDGLPLADASADVGT -IRDIEELMSTRGKRAAAKSSRVPNGCWQVLGNDAWYCKRTSITNAVLTAARVGAEMVGHSVWKVADMSAD -ALERWAKALIGGGSQPHWAHGKRLAKLANAFTPGVGVVLADIAGEAETLVNVQNTSQRIASDALFDSALS -RPIAQAYGEVKQRNAAANELTRRVDTKMAELRGLRHDIQRFGEDSADLIMVLDKAREAMDEADKLVAELN -KVTSVKRIQLERRANDALGTGCRLEDELIATRDIVRRAEVGGDITTWRTPVEIAHLEPCAQWNSNETQCS -QAAPPVRLVGSNVQCDGGFSVESNGSVVAVVSPAGNAVAPTNRCCRFNHADGTTAAAGYKCGPVKVGSSG -RGIAYTGKVVGMTADLAAVIGQATRELPNGQNGTAAACRVIYADGLGTDSDYSCSVVAHGKWTGAPVTRY -DGKRHDTVGKLCQKGLADSRAPNATMKRLPSGKEIQVLVACVPAIGEVERTVVPHLTREGRYIGFGEKWA -HGFWRVARAVAAVMLIGATNALYGPRAGIVVGVLAILSSQYAFAHECSAAGYVFDAQLELADGVRRDYSY -EGFARAGTCLKLEHEQIVVESVSVRVDYSWVGLAHLEFGWQCTTDVGCTLGEGEAVCHRPTITTARIQRC -WNEERGIGREPCYYPGAVWVVTDCVAADVPIAEMPNQGYIWSLTEGIHAPVMRLCVGGTEQCCETDGAGK -CKLGSTEIIVSSLIPVDMQRPAMIVRGMKQWFAARDRFEHSALCIAPNVSEPWDFFDDGCVARQVDSTTG -AHELKLAQAQLTRFIGQQLQPIENLVGDTLVIVQPNDTTIIVAAQVGQTQVKMTASSRITTPANVCNNAT -VVVGKIEAGLEHELAIGRVNITFGGTSNCQVQLHSGTCDLLGPMRVLIGSEATVAEIRFLCAATWATTLA -LVTPNGKVDIPLAGAARQVDYHLAKKWRKIESKIASWTSNSTIAGWLRSLHLPSWPDVSGWITDAALLLL -AAAAVSAGMWPVGVAFALAVMAKHMALASASDLPIKALGTAWKTVVMGLDAAVANTAVHESVFTRWLEAC -IACLGAVAAGNNISGAVVAFVVIWWALCNVRDVPHLMWRVYASTLGLSMVGGDRASHVALAVHRAMRLRT -DGFATVRGRRDTWPARTTSGRSKLASVIGQSAMMVSVGALVATAWCFDCGTEVVVVILMAAATVTAIKAL -ALFADRPIFTSKGGELVGQDAVEALNAIAVDADNVPFNGAYVSRRDGYYITAQHVLDNAGVTFPQLWSTT -DMAIGAIWESEDGEARRIPDAVAWMTRRPYVGTVSSNFAVHNGKKVVSKASRLGFVLLSEGRKLSGREAQ -GLSGFIWKFNNGTPRGHEIVQTAVNRVSGIMAEPFGMITHFIRVGNKIKAEQAPVDALPSKALGVDSTSD -EEVARRHLGDRVFDAEGYELVKPRRVWRKIGLIGRRAILDAMKHDEVNGALEYVRKAWANNRGRRFTPVP -STSSESDGGPKKPASAPGPKGKLAGEPSKRKVWLTYGKDIAKGLSHADWVSLLADLKKTKHAPKFEPRQW -YGSMYDWKADGASSTSESEAEKPTTSARARAGDWRRRAQRKKGGTPKVKSVPSGSDVERKCGTPIETEKW -KRVARERTRQSTNIMKSGDLLPLSAEEDLAAVVGACMRPLWEAMPPEKRQQLLQPVKGLTEQERVDANRF -RELARASPRSRRSPGHWPEPPFWAVIGAQAAAAYIREPRLQEPPDYVVDSVSEWELDSVDTASLRHRLVT -EWQAKQRAVTPRSSLSGGEVIGPILAPESDSDSSVHEWANSTASSDRSAEAVTAAVDDKLREIGVAEAKI -RALMAELHALRSSASTSGTEVTNPTETTSREFERQRRNKKARCGVTRPCARVAKVCATFPAADMAGASRE -SGSGERRGNKPVEPRRQEVASGAAATADQHDKIVAAALAGGERRPNKRGVVAVQKAKEKGVWDNVFNFSK -VEEHTRAQIRWKQGRPEAPRGCIWLKARGQSYLVRSKLPSQGSDDGSFGGTSSRVSIEEREYRTFLELQE -ELRRDNPDQEFLRQADKLEKELDRNAAHARAVAGQPDVARERRKARHDMVTEMAKQRRRDRLDREHEEYW -AQYAHHPATSQRVWVRSDLGARPSGNEQSCSSRGNQSERSQGSQDVRIRRHAGPGDEGQDPIEFWQRWQR -EPKHDFAEHRLATDGVNATVAQCPAGLIAPRHVAETFGLPVGDAIGEDLVLVGGPKRPTWTDSDVEETNI -GPFIPASSQWAAGYLYPFPKRSSGWNEQRALAGHDIRPLLGKAEAKAPVRVGAAQDALDGMSGRIVTVNW -VRCGQLVESDFIVTESEADPQGTTCRLLAAGRLKAEEWPTDADKTPLMRSKLRDIECDIMQWKLQERSSI -LPSWIIMLASQLRLEVACVETDSRLEEMLWQQSRKELAEGNIRFSAKYWIALHAMALGLGGQQSSFADEQ -RTVCWVRLNLSSIWHRISGTERRVSVAEGLRRLFGSGSLALDVALNTQANLPTMERVRAVALRQLRDCAQ -AGGVATFCKLRTAQVGMPVDLVASSTIHPGFGLHAQGKALLLHYRVTANVGSRGWAVVRDGHLATNHHVV -GDRTLGLDVTLGNGDEARDISVRWAPAQTNRDPQGDVVTTGNWHYDAGQPGDVGFVLNPQMRKWRAFICL -QADFELREHGEGRFAQWCPIEVTFGEDGLPEDFTMCATSSYWGMSGSPIVGVRGEVFGTYGVVARMADEG -HQSNITRIDPIGATAALCWPELVASVVNVCQQEATAKTPLIVMGTGLGKSTRLVPMISLALQDFDTCRDV -VLLQPHRATCRNTFERCASQFWPQLSERGISLEMKHGGAQGGKPVHLTRIAPNAKAKLTIMTYGMAAAAP -VSWPKSLVVMDEIHFDDASVLLLRDLVRAEVIRPHTTIAMTATEPAGWDEWLELLGKKIAGVSMPIVDKT -LTVMAHGDYWGHDRLVPMFWQKDGDKIGTRAMCGEGASVMCMERESLQTGNILVFVPTHRAAEQLAAEWN -DHADQVGDRHAVFAYSGRLDSLATLSDEGNAVIFATDAISTGVTLPRIAVVVSCGVRFRPIARWDPKDRA -EESWYWTVQSGPITIQEMRQQRGRTGRTCAGTHYAAPMVYGEHADTDAAEFEAMWKMIIANQDGWAFKEN -ANAWKNWDASPVTRRYHDFLVGALDRPGDRSGETFPVIPQLRNKTRWLSECGFDALGLSHATEIPIVLWL -HIVGQQDTNDWVEAGKKVDKANFVSRLSTGRFGLFKTQAALKRFAEHCVWSPTASEAARAALRLPSGINL -REGGAGTIGWFITDRQLTSVHWSEITIERIEPGRGHTGVANQLLSEAHYLASARFDLRSAKKELEGMDEP -TYGSPRILTKVKSEKQSCLELPDINMGAGTAVVAGVIAAYAVANYLLTPKEILPHDVYEVELAAAAGEAM -AQWKQMPQWRSYTMIDRSVGGVIQQMMADTATSVFGSMPLINQLAQWVDRFRSNCSTERQSSSIVQDVIE -WISRNVAWLWSEVRELIAEVYHKIQQVGVCDWIAANIPAIGGCAVAGGLGAMLNAMWDQSPVFCVLTCVG -VSMLAKAAASLSWQVSSALALGWAIESCWRMCKNWASERNETEGAAKWASGKSTVPVDRAEKMPIYGAGA -GLTIVLSRLMNETVAARAFNKATDLPMVRNAALAPVSTSDGSAATLALTILQAYRLSQVKTWGQAEGLRA -VGMAVQAYNALSCGNVFSLLAGMTVVGVLYSYSAHAKKEGKDKAVSYGTNLKAASHEMVDEKMRSEGDNV -ERHVQKAVEIACIALGIYTCPYTAVVMAMSAGLEYLRRQFGQSEGSKSYLTICADSTGTGLFFSALQLAV -NAVSLLQKKTKTAPTERQAGVPELIESIFGALKGLGTLARTLFEWLAGLARTLSSWFGGKALSAVDAVVD -TFADSARRRFGVLGWLIPSSRPVTEEQLQPAVTSIDYEEIGAESELDVDTERWLTDESLATLKQLRMPAI -ICVASPLVPAMEGQQWCAAGVLDLRGVTEGVEIGRPWSEVAFDWASSGAVMERVRSFDDQRVKSPCQYAV -VIVGHPACGDAIQRTHNIWQPFDVIVEGFAVCLMGGEFHVEMDQRQRGLLKPIAIALQGRTDIVGQRPGM -AMFGGDAGWVGVVDEMKRCGKLPSRWPTSPAAASDLCDVAWFLEPNGVDVQKQQDGYLDFWRAVVGETIG -QLGMFNTQKPTPKHRVVDIIQHQPMDVAEVLRKARQIADANEVSHPVAEAVGTDSQWIVGGVVAVLGPHK -GVYYHNNDSGNHDTPEITDETIDTATVRLRRFWDPKLDAKVRDVGRVLMPSELVGNGYKPACAKFHLMDI -NTSFARDRHVIWDLTAGTGGFLQYLSMLYNTAKVEVYYHAMVGVGRATPNVEVPASLGTGARFHALLPQS -WRESGVTDLRDQRCLTAINSQATKERPDLIVCDAGEWMMLSTQEAKWYLRGTPNLINSVGKIVRNLAPGG -RLLIKFMGMSCSLCDAIQANLDCFTRYKVIFAGTTVIGSREWYLLCEGFGENAHTNLGALMRQVRWALWQ -RLNAAFGQWASAERRGGVRGTPFPDPGPYGRAANHGGELVSPASHPARRTYINSEGVKFDPEVDKRLQLV -LSEWRTNVDGRIRLDSGACYVFEKVLHLGMVDKRVRGGQQHHFVNELASLVLKDGFGWTMAGSAVGHTDI -SPASMMESHKKRLDLLPSDPPVEAQTLLIKVRDNIYTPGGRESHGRFRPALLGDGRIDFDRVWGWCNPKG -AGGRFDADGKIKELISTREGRDRCQRAFDSLVRGECGPTYFTSREKKETKGVKDVDAEGRLLPEACAQVQ -KSGEARPGLSVTPRQIQFADGPSRVCDLVLFGEMLYHDSKVCRLTYGKIPGVPLPVMATAIRGAWDEYVP -QQWREVGPEDDAFDPMTQMMRARVRPLEGAGNFPVAGASGDFSKWDGTVSRFDLLSLEMEVVKCLSAPEW -HETIHNRYRHFTWGITVTDNNAVFVRMGQRASGDQFTSFGNTLLNSIYQFAATAEALGISAEEVCQPISH -LDFMFKGVKKTAWLHRVTHFCDGDDNLHIGFAPDIEAMASSAPTFMTRCGKVIHAEGKEGYAVTQTFENL -SFCSHSFIRCVVGPSNRTTERWVLQNASRKRLNELLTPADRLTYMPYRSLAVIFGKMGATMKIWSVAGIA -KTDEQKSLAMSITRGKALSYLLQYAHIRRVQLAALAILALTGTGECVFERGGVINPREWLEADTTPQGAL -ESVYGVRSLEDIQLMPVELEARLYEPVRYNAKVCGESTTRTVDQAVLALQRLRIYKGKGKDMSLHEEEVF -SLSPHIYAAWFKATWLVMGALGVDHGEDARKIRASFEELRNKPWRERSAAVNSIIRQSTYNIPQWTEPES -SAPKSAATLSEIHPLTLFHAPPLMSCAPNVVRVIVMPWTSHYTWVSAHMERLVFDPSTAWRHTVQPMWPG -VGKADNQLYMDTQAGAVFRRAFAEWASERRSLLAGAGSRALLVPDIDCLRGLMSSQLQPILVATVDEPEG -GDEWLSHRLAAANAVFAGSQRVEGVINLNNVLHDRPTAERRLANTITQSGRADVRVGAVVMGCRSAKDAE -MIGLATRDCSMTWRETCTGGILCRWIYQRRMCEPAGPTLLLCPTRHDALAAGLPVCGVVDQLEPHEAIKH -VRKSALALSEGSKGVTVKHLVARWT - ->YP_007438864.1 hypothetical polyprotein [Gentian Kobu-sho-associated virus] -MVRSRTVRAYAPAAVTAGGDPQWRVVSRPAARKTVHVSGIAGWYYVVLATLLRGIRKESHYKVVEGLDSD -GEFTINTAAAAEQRGXYDTLRKEEKTERRRAAAQRDWFNVPNAVESFFDSKLYHKLMRAAGLADMVGAWK -AFRSTPEVAQLVKTRKAAMSTAAAAEKADRLAYKKRVLACLGKDGKKKAKNFILGDDKTQETSEWFSSLC -STTRIVLAAYWPAKVVKARAXKRAATAAAAAKVAAFEKKWGTGEEGRAKQAIAKARYEYFQTAEGLEELF -VRDQRRQAAEERKEKFVAKYGEGEEGKAKHAEAKAAWETRTKRREAISASIKDGTARTVIRPDGKKKIVF -APSFGAAALAKPVPVKGPAAAKLAVPAGACAPLPPKPITTTTPETVGFWGDFKPLPTVAPAEVALSEQQK -ELLPLGKELFEGKITTDEVVEKAISTGLCKRGEASNKLEENLVIVRNYYAKSVVKVEPALSWAEKREKVL -KDQEERNAAAIAEAEARKVVRSDGSTPEGFEAKEGERHEHVCVACNTTYVHYHQHKGKHAQRDKQCPNRR -CISFHGGKNPTNSQTLKSDKKFEQTKREIIASWKLRPFKECVKIVADLPSEDEVPLALNILSDLVYSQLV -KFDKFSLNVSLEGIASNNLFTKRFDNWLNKKVYWLGLNSGLMPDSGKLWDCYSKEGCRKVMKCNFCHLPY -ACYLSEEGDCPYKTCKRHVEGSEVVALKKVVEKRCRCGKKIDSALTTCLGCWKTSAPTSRSRGVPAFFRQ -QSAIAIKAHNTPNKGWEDTYGEVSWTTNGKANFLGLTRAIHTCRSEIDSSWMKVGHDLATKVSLPKIDLN -HYVYVPLSGSTCGRFAISSTISSGGRKYPAFFCESELVSGTPIMQNGQVVSVVTTSDARGLYTIMCEIPE -RDLPQGIPEKIWFSPELAEPVVYKATRDLWMNEEEEVYHPRPISECTANIRVSTTMRRLAVKQHNSQEPF -DGRAHRISWYAGQNSNIMDDFRCIHTEEGAIASRRWHRSGCDILSREEMPHLDLEDFIEVAVASNQLMRF -KITGTVVVPNVEHGVLYLNEYHSFTHVGDDLVSGTPIFQDGRVVSLVTNSVNGLEYAIMTNSPINSKQFG -VGKLDLYDGFIVYELPLERVLSVQELSVFHRVTDEVPYESFICDTLHGMMLTRFGRSGLAIAQDQLVPSD -QWADQKHSTKKKKNVLRTVFXSALLAFSGNAVLASPAGQPSRAPVMAPRAPRQTGGPGAGPVYNAVMNQA -PAPAPSVVTTNGAIDYDQYTADNRVEWKGDNVAIQTSIAEIKHLMNEYKKADIEFAKTYVEILSGKQAST -PVRFQYHCNSRINDKIQSFMSEEELKELNQDIGQDKIGSLLVSLKTDEAMLKGLELDCEFLREMQTIWGY -GFWEECKKRMITIASKKTKLEEMVKLAVEKQEVANKLIPILKNEIENLKIEISVLTKFIREGEEKNIPLF -ILMGLNKGAIPTANYNHYLDGGSGIQEALETAQRNINSVRAINGALKKFMSAGRVEIDERAKSLWSILTA -EPGTIQVYDGETAFMVTKTKPDGTEEICYKTRSEEACFREHGAIYDDDQTVTGKLEQAIARFAPIAVVRQ -EPKPAEPSNRNKRATEQEVSKPYVPEFRVNWVKVATEIINILVSAGVYEFAGAGAGVSFLALATAVIIEA -CDPDLLVVQASKGKDSLFHAWGSLSVGQCVQFGERVVEIKNIRVWEKVAFEFTATKGIKTRVITEYGCPF -GTAETVCEKDLACPLQNERGKKYCTNHFNGAFYKTDCAFPGDLYYNVLLCSKPEGTRLSVNRLTGQPMIK -VEYDLVEGASRSTHTFIQGETISTGNDFSILNAERSKSFEFDYFFKDMESEHMYWAKKGVSIAEFCRVDA -IGGNAVILNPECIRARVVQLGPNIEAQLKNTRFEEGLANGMIQAFGKHQFYLSQENQLGYDLSWFSGHFV -MVDKPLVDHTPLCDNKVAPKVKVSKKGGKYKVNLVEIDLEETNCKITVQFEKCVVIGSQHFKVEQHKAVF -NVICKYWHKDNLIVRGQQEQKVPVDGMDVEYKHFFHQLEDTIIRVSYNSSVLNAVTAFYRAIPESVKNYM -EGIWKFVYLAGVVVCGAIGTIVGLQLMVRNQGIGSMFLIVVATMVGFLVLKADACDVERVGEPLPCSLID -ACQFFANHVMNSTTTDCVAFADDYCQHGAHFGYNVVQYSEACHATSSAHLGAKPALAIVALGFLGSKIGG -VIRIASYSASFFIAMQNIFEIVCIMRAWRRAAISLFNYFRSDYATVCIVSDLLISISLWMMLFPVMGWMA -FALVYMAKRPLIFEIMRKSAFEGRRVAQADGHWWVSALLAEAWPKKINARIEEMRPIVRTNDVTASDETA -FHCDLYNVEEHANEKVDMGMMMEGYRKAIVRMAPDEISLNNQFRAFGKSVCYFHIIDHVMVAPSHALKST -KGWSVYDDVAVYPKGRKDILERFATSAGDDCNIVIELNSKTDKTPKIRVVDGQCIRIDRNWRKGDSGMVF -FTQEGKMWMHRGVRKGCNDISVNCLVKTCPKELLICHSGNEGESSSPKEEGLSKSIALLKGKAVGKKWVA -KGTQKPESNNGLTLLKGSTPELEKYRGNVNAVIAEERYDRLVTRVDKQGRTIHIHHGVATGKLDPEIYKK -VEAPVVLKKPLFTRGNLRALEYARHQEEKHAKQHLVEWRLERAIRQACPEFEFIFWDSKMSQEQYDSISN -KGERVLPATFENFFMKSMQRLTNTQQEVVAEAITKFIFNREDTRGFGRVSANDYAKMAAVNNVLSTNKVT -KLSLSGESTIKAIEVSTEFGKVNILKKAGTPAPSRPSSVSGSTGGSRSGSPLKKKPEALAAKGKVTTKEV -EKAAMGIQGQFEVFDEMDVRVCLAFKVGTKIAVPAHGCIVNTNGWYAKNDLLVNDKSLFTDFISVSEFEG -MKEEDFFMETKDHNDLGLRLGPGGLITKGVTIKGTSGSVLEIESDEGPVYLMYVGDRETVGLNALDKEFE -FYLVARDIILKQIVEGDEIEIEGLHCPHPKDIDEFGLDLGFGEEEHKRWFVSERRKALYAEEGEDLEAYE -IREEIEDDQEERPQSPESAEEINEDNGIIPHGTKFDFNLFKEEALKEARITADSVAADLSLQFEDNLEEV -HVKQLTHYYQEHCIKVLQKAHPNKEIIDKLTVIGLLLPEEFVNWTKFASYLRGKGKLEFLKELNAPKVFC -KQHDNCGRFVKNIRGNNGKGCLGKIIHGLPVPQYVREEENEAKQILLWLLDPEFEQSPWDADQLDSRLRN -ILENFSTPEFIRLFTWVDLPQEVVKTSAPINVSGSLLMTPDTIKYVDRIRQEIKTTSRVVFDIKYYTVKK -RASTKEIIDRDASWINNAGVLYAMRFAAGTTGWAFIDRKIGYSSYHVTRGRKIELTVTTNPMGTQLVRDY -VEGVPTSTITLENTERQETFRGDLCVYGMPGQNVEMKKPVVGQVYNLVNFEKRTRATLLCTGAALTTMEE -KTGESKMVDHFAFIDVSRDGQVIPVPFVDRTKGYSGLPILDEFGHPVGIFGFLRTMRAKTENGVIDRPES -ARPSVQVGQFDITTKAVDVLQAVRGAGANGKWLRLGAPTASGKSTKLVKEIGRIMYQKARRPIHIAVLEP -RVFVVNNLWQGQSMMTNADAKDCTFFNIVRKHGRLYENDKTQSHKDPSQAKVTITYMTYGSMVASLGKEL -RWDLVILDEFHSRSDSDVLAVDAALQVLGHYNGVMAMTATFWAPDPTMNRFVDYSIGTAKKFPIERKVIK -KFDSVAEGEEGLYFKIRGPDGTFYALPRESCNAGGKTIVFLDSKNNCAKGMKELLATGPGVNVMTLTSEN -RDVEIPDGQVVIFATNVAESGVTIVNCDNVISFGVQIEPQAGFDVNLGEDGTFDSYHSLVQTKITTCAET -QQMGRTGRTCPGTFWTTITTPLPEMTDFPRHAMSGAYLTLMRNDEVMEMAEVRQRGGQWEDLLHTLRNLH -PKFLPASLNFRAVKGEYPSITELKGFRELHIREGAQEDIFWRKLEVVQCIQYIDPITFYLLPNFPRSWVE -NGRVERLCGGDPNNLEKTRKAKELVQSITIDDVTWKNYLKAMSCSISNNIMERMVSPQWNDSDDEVRKAY -RDETFIGIKQHSGIPEVYWGGAGAAVAVAAAGLIFGQFYTVMYGKKVPVEIYMIQKQNIDEIMRCYEYLT -LEKLTETNKTSVTYWAEHCYNIVTGGLNVVVQTLEDSNPFKRWYNDNFVKAKTHSGQNDVWEMVLEKIQQ -AWRFFQDNLPAGRDALITSWFGAGAITGMGSFYDYFCSELTPFGAFVVMTGLGAIAMAICSIPQFIGACV -IGGMGYLVTHWLGGPSKNTNKFLALELEKQRKNRLFQMESGAVIGGLVTKFLLPLMNGGNAQTAQQFVSN -LGASLASNYGGAAVGVAVTATASKTAVDRIGGLFEQSNTSKTYDMYIQMRLLWAGKVEIYSVEGVASLLS -MFQGISCLWTFDISSLLTLGIAAGVDWIVESGITSITEASYQRYGLLKPGDAVGKSLRIRPIAEKLRRIE -HLKTVQTAIHCAIGSGLNPFCIIPSLIIVAAKKLYEGTEKVTVDELITVYQKSVTTNPAVLLLQMIYTVW -QRLKSVGDANLHSDESKISWMMKLKDTISECVSELFSKIIEGAKSSSKALHVIGRILRQFFKIVIALTGW -IMRGISSKVQEVVRSLVDMAVNSVVEQCVPTFWLRWVSRTKPATSKEPEKLDVSVERFFRLYGMEQLLRV -VPSIMGNKIGCGPHARCPWEIKSLFDLKMWKMLQRVEFNEDLGDMTTLLRSLRVDKRNKSGWYTTLAPQS -VLKYMISVVGFYASTHSQLLGEEPIQDQLIGDTVHFSQFWTESFSVSVLRAHNLRQAFVLLAVGSKGIVL -SWKWEEPTVLSGKLGSYMDDYVEHQKNLLEGIIDREGSTYVSLKVLSPRFGHLEEIEWDDMLHDVAFSQA -NIVNQKGLYYVATNVTPLDAELQKNKKYSRRFKCLINHFKLEQNFIFKAVEQSWGLRAGIEDEFETREEH -VNAIKFDKDGVVVSVAQLSHWGSNYGLGVFTLRNMHLDFGRRDLTAWCHLVTGLPSVCRLSEQSSEFIVC -DIFKRLNNKEQPMIEVLDWGFKIHFIKKTSWTRNMVPGRNLKLSSMATNSLRKTSGNKSWMRMLGATRNA -ANVIVDTSKFMVERSDYFTAISRMLHTSNEKKFKKDEEILTSAQLMGRKCVLPHMIWEWLQENTGEKEWL -SLNELYAPPYLNGPPTKMSQVSFELEQFPGIRMYMALGAFFTTEFYLRCDMENVRVYMSPGIVLSPTDVT -NVITNGAFDGPMPTVCLNLERKSWVQLCSPYGEIVKALSLNFNPWVAISTDPSMDLQSDETRLEENPDWV -FTAMNSPGRTDGLAQAEALRESLNGFLSDLDGVAEGLSCGIEVSVEELTKEIYTCVEREMNDAGKHTNVI -NEWQGEKTVRGGTVRKFGIKTSAHFGNRVSMEVIKDPYSMAKVKLHAPSRIDEGSPRDNKFLADMARDQG -CGKGKQEEMNECLGKTNLDVRTIKDSKLAVKVALADDTLTPIYLEESAKARTKSRAEFAIGNLKRAALGV -NAVMNAARLGTKASEAVKEFVRQKSHGNNNVVDSGGDASSEASTTSNGSLASTKSFLNNFRFGGNKAKLH -GSRDWAQCIIDALNPETYKSFEMKRPRHEVVNTRYIEALERYQKGKQAEGEAASSSTVIAEAAMQAKLIE -RIIENQRVPASEDVQRGFVESDFVVHFSSVSKRGLDNLPGVGSAFASVEMTMKMQESKALKIEELTMIRE -SEKLLQGLPVRPLFRETPLESQPEIPYQVLQSNLKSVQFIQGKLWEALATYNRVYKPYNIDIGTASRGFA -KAQLMDRDLGLWSASQNYWDMTTGFGGFAQYFTHAPRLCKIQDGEVASRDGRKKTLVFNSLSLAGHAAPI -VDHIINKEQMDSGLLSIKQLRTEHGHGHQGDLRDSRLLGLCLEVAEDAPPDFMMFDAGEQSENLEEEARW -MVKNIGMEKELKDYAFCQDYATAVEKYLKIVKQGGTAVVRMVGFSDVTKDLIRRFSRGFRSLCCYKNPST -TLASREWYLVLLGRDHKLDAFVQYTQTDEKEYVFEEPSKFANLVTKGSLEPGKAKFFMAKTTDPFFTWTN -SANLQRSDVTDAMRLFEKKFFVKSSQVVDFNYDRIVARLREDWLRAFMTFADYAKANHTALKRDLEQFGD -EGHSLGAGQIIDQQGKSVEGKAWEERLAEMREQWPNLTLDNIVKKMKCTRRFVVPRYYVPFWTPKDGMKL -VKPGTEGLLIDSLLVKKKLESVSDPRFTIYGETFDSRLTERIAMLRVTAKEAGKRIQNPSGLFTNVLEPF -KINLGESVGKEKHIVNMVLSDMAYYVFGLTMNNSVIGHTQRTAEFLRAAWKKRLDIAQHEPSNEDANLLR -TSMEAIMTPECKRIANGANEEKFEPWSFEQACEEVHKQGKGGHFDKYVDFGEAIKDPQFKEDVLRRVAAF -SAGRTVPTYQVCRDKVETKAKKNIDNGRLLIPDCTDEIDPRGKSYKYLPYTKKEEARRTRQDKLRQASNI -APRNIRFAEFVQRFADLMILGPVQKYHAHKEKLYYGSSTGTPLWRLGNLTKALHDVYAPRHQQEFWESSE -LGCDVCGYYNNSSCSCLIVDGKLCQVHGLKHGETQSSKCKECKTHRGDSANEQEWFKNTMNNKTELLSEQ -AYIRMYDPSFRKGLERKALKAMIASGDFSGFDGTVSKTDLVLNYLFYKNIYRNEYHLMLKTRWEHWMWAL -VINDHGHVIVSDGQRSSGDQDTSFGNTMINGIYHFASTALSLGISIEEAATPIGEVWFRSDFDYTTPKYK -KLYLHRISHISDGDDNLHFGSKEDIEKFDKNGPMLLERAGKKIRCGTRSGYDLTESYSGISYCSHNYNRC -RIGKIATPVEMTEMSGVTEMVPIDCVPKTFKKVDNDNLPLQHLRDKRLGLRVEYMPARAMSEIIGKLVMT -MKGSTANMDFTRDYGSSTKEQKRGQKNEEAIAITRGKLLSYLLNYCQYSVVRILVMSAFSIIGDGVCNLQ -ELKRRYNVPSIAKTIGSALRGVFNISTLQEMESLSPNLERKGLRMMRDNTYTEFGTTTLKGVGKTCPESL -GELYERSRQWIERFSINYTEGMADWSFWAMANPNLTITNDQFDESGFILSKPSNIRQHRGGRDLYPQRSH -QSRSNFLNNLWALLMVTSIVQDPGLSEGFTRRLAEDPGLIDQYDLAFEMSTEGRGFPAMIENLYPGAKKV -ILRRIQNLGASAVGQCIDVDTGRGVVYALITKTYMSEQATSENVQDSLNHMGSLADWRAEKDKKLYGRAA -GQRRTKIVLLTRKFKIGNKSAKEALNACGSRTARNAELALQ - ->BAM78287.1 hypothetical polyprotein [Gentian Kobu-sho-associated virus] -MVRSRTVRAYAPAAVTAGGDPQWKVVSRPAARKTVHVPGIAGWYYVVLATLLRGIRKESHYKVVKGLDSD -GEFTINTAAAAEQRGYYNALRKEEKTERRRAAAQRDWFNVPNAVESFFDSKLYHKLMRAAGLADMAGAWK -AFRNTPEVAQLVKTKKAAMSTAAAAEKANRLAYKKRVLACLGKDGKKKAKNFILGDDKTKETSEWFFSLC -STTRIVLAAYWPAKVVKARAHKRAAAAAAAAKVAAFEKKWGTGEEGRAKQAIAKARYEYFQTAEGLEELF -VRDQRRQAAEERKEKFVAKYGEGEEGKAKHAEAKAAWETRTKKREAISASIKEGTARMVVRPDGRKKIVL -PPSFGVAALAKPVPFEGPTAAKLAVPTGACRPLPSKPTTITAPETDGFWEDFKPLPTVAPAEVVLSEQQK -ELLHLGKELFEGKITTDEVVEKAISAGLCKRGEASNKLEENLIIVRNHYARSVVRVEPALSWAEKREKVL -KDQEERNAAAIAEAEARKIVKIGDSTPEGFEAKEEERHEHVCVACNTTYVHYHQHKGKHAQRDKQCPNRR -CISFHAGKNPTNSQVLKSDEKFEQTKREIVASWKLRPFKECVKIVADLPSEDEVPLALNILSDLVYPQLV -KFDKFSLNVSLEGIASNNLFTKRFDNWLNKKVYWLGLNSGLMPDSGKLWDCYSKEGCRKVMKCDFCHLPY -ACYLSEEGDCPYKTCKRHVEGSEVIALKKVVEKRCRCGKKIDSALTTCLGCWKTSAPTSRSRGVPAFFRQ -QSAIAIKAHNTPNKGWEDTYGEVSWTTNGKANFLGLTRAIHTCRNEIDSSWMRVGHDLATKVDLMKIDLD -SAVCVPLSGSTCGRFAISLTISSGGRKYPAFFCESELVSGTPIMQNGQVVSVVTTSNARGLYTIMCDVSE -WELQQGIPETIWFSPELAEPVTYKATRDLWMNGERAEYQPRPISECSVNIRMSSAMRRLAMKQHNSQEPF -DGRAHRISWYAGQNSNIMDDFRCIHTEEGAIASRRWHRNGCDILSREEMPQLDLEDFIEVAVAPDQLTRF -KITGTVVVPNVEHGVLYLNEYHSFTHVGDDLVSGTPIFQDGRVVSLITNSVSGQEYAIMTNSPINSKQFG -VGRLDLSDGFIIYELPLERVLSIQELSIFQRETGEVPYESFICDTLRGMMLTRFGRSGLAIAQDQLAPSD -QWADQKHSTKKKKNVLKTVFVSALLASIGMPAIASPAGAPVRAPAPGPRTSRQTGGPGAGPVYNAVLNQA -PAPSPSVVTTNGAIDYDQYAADSRVEWRGDNTAIQTSIAEIKHLMNEYKKADIEFAKTYVEILTGKQAST -PVRFQYHCNSRINDKIQSFMSEEELKELNQDIGQDKIGSLLVSLKTDEAMLKGLEQDCEFLREMQTIWGY -GFWEECKKRMVTIANKKTKLEEMVKLAIEKQELANKLIPILKNEIENLKVEIAVLTKFIKEGEEKNIPLF -ILIGLNKGAIPTANYDHYLDGGSGIQEALEIAQRNIDSVKAVNAALKRFMSAGRVEIDARAKSLWSILTA -EPGTIQVYDGETAFMVTKTKPDGTEEICYKTRSEEACFREHGAIYDDDQTVTGKLEQAIARFAPIAVVRQ -EPKPAEPSNRNKRSTEQEVSKPYVPEFRVNWVKVATEIINILVSAGVYEFAGAGAGVSFLALATAVIIEA -CDPDLLVVQASKGKDSLYHAWGSLSVGQCVQFGERIVEIKNVRVWEKVAFEFTATKGIKTRVITEYGCPF -GTAEAVCEKDLTCPLQNERGKKYCTNHFNGAFYKTDCAFPGDLYYNVLLCSKPEGTRLSVNRLVGQPMIK -VEYDLVEGASRSTHTFIQGETISTGNDFSILNAERSKNFEFDYFFKDMGSEHMYWAKKGVSVAEFCRVDA -IGDSAVIVNPECIRARVVQLGPNIEAQLKNTRFEEGLANGMIQAFGKHQFYLSQENQLGYDLSWFSGHFV -RVDKPLVDHTPLCDNKIAPKVKVSKKGGKYKVNLVEIDLEETNCKITVQFEKCVVIGSQHFKVEQHKAVF -NVICKYWHKDNLIIRGQQEQKVPVEGMDIEYKHFFHQLEDTIIRVSYNSSVLNAVTAFYRAIPESVKNYM -EGIWKFAYLAGGFVCGAIGTIVGLQLMVRNQGIGSMFLIVVATMVGFLVLKADACDVERVGEPIPCSLID -ACQFFTQHVTNSTSTDCVAFADDYCQHGAHFGYNVIQYSEACHATSSAHLGAKPALAMMALGFLGSKMGG -IIRIASYSASFFIGMQNIFEIVCIMRAWRRAAISLFNYFRSDYATVCIIGDLVISIFLGAMLFPALGWAT -FAIVYMAKRPLIFEIMRKSAFEGRRVAQADGHWWVSALIAEAWPKRTNARIEEMRPIVRTNDITAGHETA -FHCDLYNVEEHSNEKVDMGMMMEGYRKAIVRMAPDEVSLNNQFRAFGKSVCYFHIINHAMVAPSHALKST -KGWSVYDDVAVYPKGRKDVLEQFATSAGNDCNIIIELNSKTDKTPKIRVTDGQCIRIDRNWRKGDSGMIF -FTQDGKMWMHRGVRKGFSDISVNCLVKTCPKELLICHSGNESESGSPKEEEGTSKSMALLKGKAVGKKWV -VKGTQEPKNNSSLTLLKESTLELEKYQGSVNVVVAEEKYDRLVTRVDKQGRTIHIHHGVATGKLDAEVYK -KIEAPVVSKKPLFSRGNLRALEYARHQEEKHAKQHLVEWKLEKAIRQACPEFEFIFWDSKMSQEQYDAIS -SKGGRVLPATFENFFMKSMQRLTSIQQEEVAKAITKFISDREGMRGFGKVAASDYAKMAAVNNVLSTNKV -SKLAMPGESTNRTMEISTEFGKIDLVKRVKSLTVSKAPFVAGSSAISQSGSPPREKPKAHAAKGKVTTKE -VEKAAMGVQGQFEVLDEMDVRVCLAFKAGTKIAVPAHGCIVNSNGWYAKNDLLVNDKSFFTDFISVSEFE -GMRDEDFFMETKDHNGLGLRLGPGGLITRGVTVKGTSGSILEIESDEGPVYLMYVGDSGTTGLNAVDDEF -ETYLVARDVILKTIGEDGEVEIEGLRISHPRDIDEFGLXLGFGEAEHKKWFSSERRKALYAEEGEDPAVY -EIREEAEDDEVERPQSPESAGEINEDDGIVPHGTKFDFNLFKEEALKEARTTADSVAADLSLQFEDNLEE -IHVKQLAHYFQEHCIKTLKKAHPNKEIIDKLTVIGLLLPEEFINWAKFTNYLKGRGKLEFLKELNAPKVF -CKQHENCGRFAKSIRGNNGKGCLEKAMHGLPIPQYVREEEEGAKQTLLWLLDPDFEVSPWDADQLDSRLR -NILENFSTPEFVRLFTWVDLPQEVIETSAPINVSGSLLMTPDTIKYVDRIRQEIKTTSRAVFDIKYYTVK -KRATTKEIIDRDASWINNAGVLYAMRFAAGTTGWAFIDRKIGYSSYHVTRGRKIELTVTTNPMGTQLVRD -YVEGVPTSTITLENTERQETFRGDLCVYGMPGQNVEMKKPVVGQVYNLVNFEKRTRATLLCTGAALTTME -EKTGESKMVDHFAFIDVSRDGQVIPVPFVDRTKGYSGLPILDEFGHPVGIFGFLRTMRAKTENGVIDRPE -SARPSVQVGQFDITTKAVDVLQAVRGAGANGKWLRLGAPTASGKSTRLVKEIGRIMYQKARRPIHIAVLE -PRVFVVNNLWQGQSMMTNADAKDCTFFNIVRKHGRLYENDKTQSHKDPNQAKVTITYMTYGSMVASLGKE -LRWDLVILDEFHSRSDSDVLAVDAALQVLGHYNGVMAMTATFWAPDPTMNRFVDYSIGTAKKFPIERKVI -KKFDSVTEGEEGLYFKIRGPDGTFYALPRESCNAGGKTIVFLDSKNNCAKGMKELLATGPGVNVMTLTSE -NRDVEIPDGQVVIFATNVAESGVTIVNCDNVISFGVQIEPQAGFDVNLGEDGTFDSYHSLVQTKITTCAE -TQQMGRTGRTCPGTFWTTITTPLPEMTDFPRHAMSGAYLTLMRNDEVMEMAEVRQRGGQWEDLLHTLRNL -HPKFLPASLNFRAVKGEYPSITELKEFRELHIREGAQEDIFWRKLEVVQCIQYIDPITFYLLPNFPRSWV -ENGRVERLCGGDPNNLERTRKAKELVQSITIDDVTWKNYLKAMSCSISNNIMERMVSPQWNDSDDEVRKA -YRDETFIGIKQHSGIPEVYWGGAGAAVAVAAAGLIFGQFYTVMYGKKVPVEIYMIQKQNIDEIMRCYEYL -TLEKLTETNKTSVTYWAEHCYNIVTGGLNVVVQTLEDSNPFKRWYNDNFVKAKTHSGQNDVWEMVLEKIQ -QAWRFFQDNLPAGRDALITSWFGAGAITGMGSFYDYFCSELTPFGAFVVMTGLGAIAMAICSIPQFIGAC -VIGGMGYLVTHWLGGPSKNTNRFLALELEKQRKNRLFQMESGAVIGGLVTKFLLPLMNGGNVQTAQQFVS -NLGASLASNYGGAAVGVAVTATASKTAVDRIGGLFEQSNTSKTYDMYIQMRLLWAGKVEIYSVEGVASLL -SMFQGISCLWTFDISSLLTLGIAAGVDWIVESGITSITEASYQRYGLLKPGDAVGKNFLDSSEAEKLRRI -EHLKTVQTAIHCAIGSGLNPFCIIPSLIIVAAKKLYEGTEKVTVDELITVYQKSVTTNPAVLLLQMVYTV -WQRLKSVGDANLHSDESKISWMMKLKDTISECVSELFGKIIEGAKSSSKALHVIGRILRQFFKIVIALTG -WIMRGISSKVQEVVRSLVDMAVNSVVEQCVPTFWLRWVSRTKPATSKEPEKLDVSVERFFRLYGMEQLLR -VVPSIMGNKIGCGPHARCPWEMKGLFDLKMWKMLQRVEFNEDLGDMTTLLRSLRVDKRNKSGWYTTLAPQ -SVLKYMVSVVSFYASTHSQLVGEEPIQDQLIGDTVHFSQFWTESFSVSVLRAHNLRQAFILLAVGSKGVV -LSWKWEEPAVLSGKLGSYMDDYVEHQKNLLEGIIDREGSTYVSLKVLSPKFGCLEEIEWDDLLHDVAFSQ -ANVVNQKGLYYVATNVTPLDAELQKNKKYSRRFKCLVNHFKLEQNFIFKAVEQSWGLRAGIEDEFETREE -HVNAIKFDKDGIVVSVAQLSHWGNNYGLGVFTLRNMHLDFGRRDLTAWCHLVTGLPSVCRLSEQSSEFIV -CDVFKRLENKEQPMIEVLNWGFKIHFIKKTSWTRNMVPGRNLKLSSMATNSLRKTSGNKSWMRMLGATRS -AANVIVDTSKFMVERSDYFTAISRILHTSNEKKFKKDEEILTSAQLMGRKCVLPHMIWEWLQENTGEKEW -LSLNELYAPPYLNGPPTKMSQVSFELEQFPGIRMYMALGAFFTTEFYLRCDMENVRVYMSPGIVLSPTDV -TNVITNGAFDGPMPTVCLNLERKSWVQLCSPYGEIVKALSLNFNPWVAISTDPSMDLQSDETRLEENPDW -VFTAMNSPGRTDGLAQAEALRESLNGFLSDLDGVAEGLSCGIEVSVEELTKEIYTCVEREMNDAGKHTNV -INEWQGQKTVRGGTVRKFGIKTSAHFGNRVSMEVIKDPYSMAKVKLHAPSKIDEESPRDNKFLADMARDQ -GCGKGKQEEMNECLGKTNLDVRTIKDSRLAVKVALADDMLTPIYLEESAKARTKSRAEFAIGNLKRAALG -VNAVMNAARLGTKASEAVKEFVGQKSHDNNNVANSDGDASSEASATSNGSLASAKSFLNNFRFGGNKAKL -HGSRDWAQCIIDALNPETYKSFEMKRPRHEVVNTRYIEALERYQKGKQAEGEAASSSTVIAEAAMQAKLI -ERIIENQRVPASEDVQRGFVESDFVVHFSSVSKRGLDNLPGVGSAFASVEMTMKMQESKALKIEELTMIR -ESEKLLQGLPVRPLFRETALESQPEIPYQVLQSNLKSVQFIQGKLWEALATYNRVYKPYNIDMGTASRGF -AKAQLMDRDLGLWSASQNYWDMTTGFGGFAQYFTHAPRLCKIQDGEVASRDGRKKTLVFNSLSLAGHAAP -IVDHIINKEQMDSGLLSIKQLRTEHGHGHQGDLRDSRLLGLCLEVAEDAPPDFMMFDAGEQSENLEEEAR -WMIKNIGMEKELKDYAFCQDYATAVEKYLKIVKQGGTAVVRMVGFSDVTKDLIRRFSRGFRSLCCYKNPS -TTLASREWYLVLLGRDHKLDAFVQYTQTDEKEYVFEEPSKFANLVTKGSLGPGKAKFFMAKTTDPFFTWT -NSADLQRSDVTDAMRLSEKKFFVKSSQVVDFNYDRIVARLREDWLRAFMTFANYAKANHTALKRDLEQFG -DEGHSLGAGQIIDQQGKSVEGKAWEERLAEMREQWPNLTLDNIVKKTKCTRRFVVPRYYVPFWTPKDGMK -LVKPGTEGLLIDSLLVKKKLESVSDPKFTIYGETFESRLTERIAMLRVTAREAGKRIQNPSGLFTNVLEP -FRINLGESVGKEKHIVNMVLSDMAYYVFGLTMNNSVIGHTQRTAEFLRAAWKKXLDIAQHEPSNEDASLL -RTSMEAIMTPECKRIAXGANEEKFEPWSFEQACEEVHKQGKGGHFDKYVDFGEAIKDPQFKEDVLRRVAA -FSAGRTVPTYQVCRDKVETKAKKNIDNGRLLIPDCTDEIDPRGKSYKYLPYTKKEEARRARQDKLRQASN -IAPRNIRFAEFVQRFADLMILGPVQKHHAHKEKLYYGSSTGTPLWRLGNLTKALHDVYAPRHQQEFWESS -ELGCDVCGYYNNSSCSCLIVDGKLCQVHGLKHGETQSSKCKECKTHRGDSANEQEWFKNIMNNKTELLSE -QAYIRMYDPSFRKGLERKALKAMIASGDFSGFDGTVSKTDLVLNYIFYKNIYRSEYHLMLKTRWEHWMWA -LVINDHGHVIVSDGQRSSGDQDTSFGNTMINGIYHFASTALSLGISIEEAATPIGEVWFRSDFDYTTPKY -KKLYLHRISHISDGDDNLHFGSKEDIEKFDKNGPMLLERAGKKIRCGTRSGYDLTESYSGISYCSHNYNR -CRIGKISTPVEMTEMSGVTEMVPIDCVPKTFKKVDNDNLPLQHLRDKRLGLRVEYMPARAMSEIIGKLVM -TMKGSTANMDFTRDYGSSTKEQKRGQKNEEAIAITRGKLLSYLLNYCQYSVVRILVMSAFSIIGDGVCNL -QELKRRYNVPSIAKTIGSALRGVFNISTLQEMESLSPNLERKGLRMMRDNTYTEFGTTTLKGVGKTCPES -LGELYERSRQWIERFSINYTEGMADGPFGXGESEPDXTNDQFDESGFISSKPSNIRLHRGGRDLYPQRSX -QSRSNFLNNLWALLMVTSIVQDPGTSEGFTRRLAEDPDLIDQYDLAFEMSAEGRGFPAMIENLYPGAKKV -ILRRIQNLGASAVGRCIDVDTGRGVVYALITKTYMSEQATSESVRDSLNHMGSLADWRAEKDRKLYGRAA -GQRRTKIVLLTRKFKIGNKSAKEALNTCGSRTARNAEIALQ ->AKM16625.2 RNA-dependent RNA polymerase, partial [Eriocheir sinensis Cholera-like Virus] -AREKREPKIRKDVDEWGQLDYKTRYNNEEAIAKGANLDPRFIQYGDIRSRVVDYRLFGNLLQYHKNHKLY -HGSLSGLPPMLLGGALRAIFDCYNPESSRQLTNEMYEETGIDMRNAPDLNGEAACGASGDFSSWDNTVSL -FDLYLEYKFVKKFLSPDLHNILKNRYRHKMYSICFTDYGDCYLRIGQRGSGDLMTSFGNTLLNALYCHVV -DAQINGRLIKEQLTPLGSIEYVKETKYKEDMTYDLTSCKKGKIYVFRITHIADGDDNLHFGNLSSIKKYA -LKAPDYLGDGGKIIRSGTQGGFDVSENFEELSFCSNKYVQNFIGPAVSEYRNDGITMQQKDRYRRIMWMP -ARPHAEIFGKLGCTLKIHTSVAYDSQRAISDTYSKLLSYLILYPHFLTVRAFCLLMLSTLANPATNFSLF -GRKYDLNCDTSTISSAIFSVYGVTDLKDIFCVNRNFEKKGMAALIANTRLMSTANVNYKTNAKAIIAWFK -NAHDIPVGLPWSYLYTKLPVANCVYFVTRNFMKVASFKRLLPKHWSVKQICYNKGPIEGTDTISRKLGAT -IIHPNRIYVADQHSLIYEGQKYTQPMYNMPKLNCMIEHSFGIYAGDKIHYATARIPAVTKEGSSGPLHNL -YVSKKNPFNLAAQELMSYLAHTSK ->YP_009259672.1 polyprotein [Diaphorina citri flavi-like virus] -MKPKLWFLFLTFTTIGPRLFNPINPVYPTRKPVIMDEAHINQAKSSPGYTCYFTADFKDYECYWGRFAIG -IPGTDAKAIKTTTTTGAPPMDYEARFQGVASNDAKDHTPKPHMHNERTGTPPPPMPKQHPVPATTTPPPS -TTKPDPVWDLVQTKLGSIPAFYPGKNDSDEAIRLVADVTKNDTVWDLMQALGKVNVSQYQTNLETNAATL -DKARREEQRYKRELAEAQAKADKAIELRNILIGLFQDVGTEFDMDDIQNSIQVYTAEITRLRTEVGVWFS -CYKALQKQVAMIGQLKARLQAILNEIEAMENAIEKVKGAVDRYNSHRSRRSIAELDHDKTMDQLTATSEA -LIKTTDEAENRLRMSRKALEDIEVNPPPTYRFHKVRHGHVMFTGRKIGGAGNETEISSGLKNYGFSRDDK -SFECGNQKIVSIYYTPGPTEKNCTLFLIRIANKDVNAAQAAKIGEFDPDMTVDICGHASVDDSVDCCMLE -YIGRVVRGSVHLTCETPRCSGNKKYGHIKMSCVTKPVLSTNAVKTADLVKPDYWNGWHLFGYSVTVVFFF -AAWYVGGWPMAMVLVFSVLFGLGFGLECRSDVLPLYVAPGKTSGSTVTYSGIGTVFNGSCIIAGTTTIRV -KRMYTKATYVALGIYASNYTWSTTHEYGCTGGAGRAVCEGKPAQKGYTICVDACTVKPNYMLAGSVCLLP -GEVAMSHMMCATLLTVDEIVKLDPTSVKDTIEFGVTQPDGNEKTVTVEVGSTMTDTMPIEVTAISGRQVN -LPTYVISRNVYDHSSRAMYQSDDSRVEKGCEITCGGNKEGDYKGCSFENPNCPGAQAYQDGMNVKYDLPE -AWLSAMTRQNHVVPVYDSFVNPHPDDNRFELLLPSAVIAFSSKVSTEVPTSVSCMFSSALAKVITIGKMN -GISSTTVRVDLTGAGDKCKVMVNTNDCQLTSANHQDVTGGSFTVDMVCGFITERNLVVTGKECSANLTVT -GAAINTGLWINRFEDHIFYYADQSGFANVTVGIFAGIKKTLDNIEDFFNLSLDKILFYAGMILCVIFVVG -ATANGHLQMAGLVGLMAVLIVWLIKPTYAVDPRVNLLQAALIGYAGQALDQDYPLMAYAIYALLAFYGSV -LCMDVCVILRSCSGYVADIKRRVASTYENVLERVDMAVTALLVVISWIAFGNIGVFLVMLAKDPHWTVRY -YCAVFRGTLYPCRCVYWCVSHEIARIWWKADDVSVEYCLPDNDIFSTSPLSAPRTKYEKIQHSDERMSTA -DVSKWISEHGTLGNNLIDLNGKRFHVYLVDKEANKWITAGHCIKGFRGMFDIYRHNNAESPAMEKLGFIV -TPGIAYTAGDSGKLCSHNGRRWLHTGCPKINGEYLNHVSLSPYWTNSDVQRKMLAAELEKTDFGKKAAAF -IMKDQRNAEKKAQQNKDAKAEVARLMTEAEKPKPQRAPRRSRSSSLVDTVRGTLSGLGKMMPAALQPTPA -VVEKKPEEKKPVPKVPKKSAEKKAEEKKEPVAGPSGTAGAKVIQKVPKKTPAAPKVPKKSAGSTSEKKVE -VVGERRGRSATREVSFENKRQSRSQRREARTRSADAIRVNAVGKIVHPGGVVPVSQINKAFRLDLPKQYD -LSEASIARLENNSKTTFLPTGLTYEWNDFCKKSNKPYVIKVKPTMKAMIELWYSQTRDSHADFWVKQLKK -GPAGTHLKKCMDIEAFVQICQNRKHLEKVKVDNSRTLLLARNQALPIRKETKTLPLGMKETKIVIDGKVQ -KVAHEFDKIAKDRWKDLARNGKVTRYWRTTANQWIRTRQSGNWTIATSRRDYGEIKAMKKIAPKVSGIET -SERWKWFHEHCVQEEDEIVAGGKASFWTKTERLARKNEANKRRAARQAAKKARLEKAAKRAERTLAKAEE -KEARAKRVAKFARVLREKKARYERTVARRQLRKDRNQATITTEREYKRRPAAREGKITFMVSGSKVGRAK -EVALECQKERLQACGYEGELTTADGKKIAVNRPAKKLAGSVQKTSAPTTARPPVRTTEPPRAVKLTSAPP -KASAPVTTRPPVRMTKPPKAVNLVNAPPEQSAPASKAARSTEKVPAMVKAPSQPTAETAITSQRLGDVND -KRVDDKIREMLLYFGDDPEDPAFDHLLRPQLSSEAVVKIPHMMGIECDMVDEGRRLEDEYLATEEGQMSI -IDTIRQYGSLEEHSDLRRFVSKDIADGKIDEPMSKKVEKASIRKDAGKTSKPMERPDLSLKMPSEIDRYE -IYEDVMVDRKDWEWMSRLDELSEKQYINWTNLFSKLDVEVKYHLGIKACIMDKDGFLTFVEWASGINHVD -YSCFYLSRIPGLVWEEPTKDPLSTVHEDFEGDTQSICSASSEKSSNTLPPIPKIDDSSDHVSTASVKSVG -GTRSEVGSRNSRNNDGHAGDPAVVSMLKMNAQKWRGALPVAKIEGSKLPSVQWKHGKGGIDIDVDAGWLA -SRTTLHLHTIASGCRGYAFTYHSVTYSQGHVTHKRDLVFPVVKGMDWNTPEYVENKCWRLKCSASESHED -GDVVIYKHRPEQVVENFPRPKAGEIYCVLAPHLNGWVTLACYSESGRGGRPQFQLVDISKDNVPVISKYT -QLAGVSGSPILDIDRTPVGIYGKGYYASDQSMVTFTSNALYSSEQNNEALHEGNAAYVWEQWKKAGKLRP -CFFARTSAGKTVHLPRMLAKKALKIMGSEPIHQFNIWVCIPKRDPVADNSLYVASLVQDDESMTRVAVKR -IHGTDNADESVDECLTDNAGNYDVCINYITYGSFLNRIADAELLGSGGFGNPDLHLVIFDEIHERTADVV -AAEFLAFSAEIPIVGMTATPKLEFGEYNFYTFIELKAPPPKVVKEIVLEKWSDGMESTHFQLPKRMNERS -NFAIAKDHVTKGPQSGGMLVFLPTRKACDEAASEWNKQSGAPPAHAWYSGHRPDYDESHPILFATDVVES -SITIPNLRTVVDYRLQNRVRQTLAYDGEDLTYSKRFEIAQCSEASYHQRRGRVGRMGAGFYLYPPTSKLL -PENAYPVAALLEAAMIMVRLDKYKNHAIDHPLQQYLALLNPEEIGVQEIGSAKRVEINMLKARTARDVHI -DSVEWYMMPNWCRDYGDKWVKNQTSEILELKRKMTPLDGIHMHEQIKSFKRMLKTDFGYALRDLNSDNPE -VRKAAEKALSDFSHSSTLFELTPGFIALGILGFCGINYYGNYVADIKVGETYRIQHGELAYCLRKMDYLD -TAFFEKNQSTYLEWGVTRIQAIIIKLKELWNRLVNSMPEGKAKEFMKCHVMFSHSQTELVPVVVNYLRKM -QECAPVYMEKAIASFKIEYAGILGIVGLGALFDKFQNTFGTAATWCLMSAFATFCRMQMGLNMYVVGAFA -GCLGYVLCRPTSKTFRNSLTQAEDNHSFALLVTYLTGGICGEFAKELLNNAALKAVTNPKVPATVGAYMA -VHHSKIALSATARRALSWTQESNTLNGVKLTISLIDMMTGQTKIGVTSVADIIMRVVRMISNLDIKTIIA -STITAGCFVAGRSWLKSKASATYGDLGNRRDCTATGRVAAFLDKQWESTEEMVDAMYSLVCIGVITCCDP -VSTVLAGFHAFLTCFNSSQGLSTIKPAAFLSQYRQSVCMSSVSLTIWVVIESWTVMRSGFKAIGWAHGDG -SIFGNLVDKVKEVVNLKKTTPLGDIDGSSKIAPVTHVYYSLRQTMLYKGLEHLTQWAIGAVEDKTLAKRY -EEIFGDNDYYRSTLVRRVLVTNPMTIILHKVKERVHKIEEEVGVVEFDGCMLAYDGLTFNSAWKEIALPD -GGTEKIDVINDGRYWMPDPDADYCYGLEQIKGELFIYKHHFVIMPIDDASWWFAYNALSFKAGLSDHVRD -YDIDRPSWKKCDEELYHTLDRQYKVLKESLKQLPRIIACGECHKTQDELDVDNTASDEERIICFKEDCSL -RYGKPMLQDSPEHVRAIEARREEERKIEKEMQRIALIQAEMKEIGVAFKDWRTGRVWGDSGTRYAADRMA -MYRLWVFEGAYPYKDNVDHIITQCKIEPKKLDQEAKDEIRALMDKEYVGEIIKTYMARDPLWNEVISKAL -NDITAEVSRDKKAKEDKNSAEEQSEKAGEHAEDIVEYNFRESPMAWLSQFVKDQWRVSGRRGWHHPMKWA -HWIVNMCHGIFETVKQYALTCVKKGIDWVGNKVVDFIESTLKSMLNERVFDWIFKNKADMGSIAQDEVNY -LVDVLEKMKNKRFGWVSSFKGLDHRMSQPVYRFLLGHNNANVDRIVRTLDTCKMVVTTDALSVMLTDMYS -RVKIRECDNDVAKWLCHNAILDNMAQGDCDGKARLGATDNGYLVTKNMVLALFYLSKKTRGDTACRGMIY -LVLKDRVNGNGYIWVKPLRNDKGPSASYIIECGNVSPYKGTVQGRTIQEWGVDAAVQSLGKTFDVGYGSC -IGVSKIPTMRMYDYSELTLGALSLIVKSTPTIDKRVMDDIMCMTIRYGPIDHSVAKASCGSLSRFAADES -KASAWKPRDREKIANAVIDWKGHLGLFDDKWYMVEDAVPVCLPHKTIMRFAILTPVEKPSLAQATPMTSH -KIAVGKCCGYRSDDVTLLASIFFKTNAWACCDVCLAYTMYLVTGKRTYLEDEKGKYWICGPENGGLSCAE -NKCAYLIRKRTVHYIFSNDDAKIKGQTLDLCLTPAKPIDLVLYHNKLDWMNGNPAKATIIRGGATSCVAR -SMLEHIKGVGDVSVPNMAEEILAELSTTPSSEEGELDIMFVSGEKAYFYNLDKFLTSKKRIKIDIPVSLV -RIPDVGDKLSMEQLIQFISIGLVPQRGSKHACLITALEIMLPISYEYYMVLHALNVTCHGIFPITTTELD -KVVYDVGITHMSNVMGLAGYIYAGISKDWETSKEYTKKTIAQFYKENLANQRTGIQVEAPIGVCQVADEK -ALSILNKCIVKIPLKHYLEEGAERFNNVVKYVQARKEKLEFDITDIKNQTTRELLQKIKEAQARGEANPI -SETEAVHIMHVDDEHSVRLLCTIGDAKDEDYWEEVQKIYGDVSMIDDSELARGAKADGSPLKIVKFEALR -KMRLPKFTDWEKAGYVHPEMAKLETGKKMAIFGEEGKNKLFKVVQDAKKYTTKDLKRAWNEKMYGKKTGL -IWCKIEIDEKADILPISKVPAHKLTTPSKYYGDDVSIIKPGHSFVGNHVDFQAAMRGSREQALLEMLEED -GFKDLGLRLTKGGSAGIDMGVIDKWANDIGCSMDEVVCVPRKKTPLALAKALACTMARMQRQPVKYANAC -KIMARSMVTEALGRLPRIRMTVSSGHLDLSTGTYTHNVASMNIGPKNLGMFSQTETGSFVPTDAVQRNHA -ERIMDQLDAKQIVQSASLRAIASGVGTPEQIEPAYGSLLDYKKESPVHHAIEAGSDTTGVNSHAIEMGHS -MLGVIEAGNNKMTTTTGKVANVVALETVLPHKEFSSATARHIESLDPRVYQLPTASAIVKQKILEDKLNG -TSEVYREDFEDRGVLNIPVGDGSITKNVSDTTLIDRPTNAQRSVKRSMALLTDKAVTIDRMLEIPLGDAG -IAIRHATGHGLTTSGVVGTAVEDIDRISAGPVGGCAVMVESKSLGNGKEVETKSITQYTDESENAMLKTH -SAFVSGIRNLNELQGKQSLITEAAGSFMELARRYVSPSVIEESSVTTFIKRTGETEADARHFEDALTVTE -LLKEHDELKAILMQGAATVRMAVCPDPVLSTVMKRVGAGLEDMMRTQARAFAMLHYIEWVELRKRAGFET -TSTDWDEYFSCELRLGVGRNPKRTTAEGFLSCLNVPINLRILILALYRGSGDDLAALRDSEFDETYIHTV -SMIPMHWKSERQSEYIGDGTKTEKVGSSAIVPLGNKMNTWLAFSNYILDKWGDYAVTRINHYLLDIQCPA -RIIRDVAEIAEKLAVAHGCEITDNHTRQGEDIQAPVWRDEYAVMSCEAAMALLKMREDMKDDPYLMKYQP -DINAQSVVLDGISKQIYVSYYRELAKQNLEGSVVTENRMREFSYKTLSRTLDTFARYSRNKAEVDPYTKN -TLKSLTICLPEGVGSIDRSSLQENFITRSVSIAVEYGNVSIEAAMAAVHTLVLAMVYNYIEKKTDDGPWI -AQYMSILSAAWPSSMVENIFLLDPITKLIVEHIHEIVPNTDENGLRKALSSDKIKIRRQVANMAVMSDIS -GPLSNLAHSIGKRLGRKPREDYFTIGNVYLWRHNTDIYKFPQYELKDNAGKGLCGYLSVSHILKTDGSGK -KVKDWRDALPTGDRVTWLSENVLGRICNQAGYNLVVVKRKEGLTTIYYRQGLVEYVWVDLSNGHYQEMTP -KKKTSAEDSAFVSRIEWCRTSSHDENEGNTHSVAECMKYMFPRVTTSLNYMVMNCWTSLEERLHPALLKI -YSAKTEQVRREFMDYKTTRDETQLSEEVKTYVDKIGEELMPKLFRHCGEVIGGKIAPKTDKEAYKYSEDD -LDEVISTLPKEIWLLRHSGIERMRSLLDKDYSGHSRSSSGSSKSNASEDKDVPDGKVGDSSSNNGVSSNG -NSVSILNSQSDSDFGLENLDGDKATLLSGPGIASSSTFSHGKEHWLDRQIGKGVSKLKKLFGGKEESDFL -NTGVYNTTYERLDPNGLKKSIQGGRVLGKRIGIEPYHVQEANQKRLVQQFLTEHERINRVYESESRGRDR -DGEAPGGSSVEKRLKYTIGIDISEDNSVMTAVLSENPINIGGHIVHFDCVTRRSLDNKYHHMGANVQETL -FSNQQLRELDSVLSERERLAMGPPIIPRSLRETIDANESSGGIIGNVTHGSVVFAVKRCDKFQKHEIWDA -YKRANRVWLPKTNDREENIVVSRGFYKAYLIDSDLGIFTQASNILDLSVGAGGFASYCLASKDIARKRKT -LNVPSKNILGNSISLGGHMPTNVSQLSHMALKTKQNYSHISRLVGAGDFRQQEVLDVASAWSIQNPIDLL -VCDCGEANTDLIEESKWLLDTKRPVANIKQAPLIPDTDGSVLQALIVYINLLDVNANAIIKMMGYTEYTK -LIISELARRFRRFVCYKAPTTPAISREWYLVLFAKLQASSGDHLRIVSNLHEDNIDHPSEDQLSTEEWLR -NTDANNILKRAMVIYAPPGWGKSFLAERLNKENFVIDTDWITPENVRRWSDRVKNSEARVIMFTNRGELL -GPKAEWKDKACVAVLPATQKMYVARVSKKCPNMQNEWYTDTLNMGTIYKNSDVVEVVKTDKYLAELEIFD -DILDEKFIKIRESGAWSRSEFNTVESREYKRMLGGFLNTVTFGDRSVPTSWIAALPHTVGTGVSNSNGAL -GTKVGAPNDGRSRYQLHYMPGSELNGFLDATRGLVPHTNMHSIVPPERIVEIIEEGGILHTDDIEVVKSV -YAKGWEILATIPNRLTYNNWNRNILSDHSMYDAMLLIIKKLVPAENIRYSNRQYSPLEEMDLKTKRIVVE -KLGRKGLFNNVESLKPDLINEKQVKDMIDNTMSIMRYCFILGMNRFYDVLSRSYLRDMKRYNELSTHIRK -LEEHNQRGVELEAAKTIKKYFGTGVFQHLMRSSSNLKSASTSTNASSNSSPSSLNTSTSQGDSHDYLQYW -TSITGGIGLFPSLKKYDCTVPMKFVCFPNLSMEFTTPSARDVAWLEQQCKVSMPTQTTSNNISFINKVDC -TDVNYGCPKEIEYRGEVFRIPMTRKVEDFIAYVHRCGKRIDLPDDKFNNVATIGRLRQRAPYKKEKHHAN -SLIYDCLYYTFGMTYLNSNVGHTQGTPEALAASIKKRLDLVPKEPNAATASMLFDANWHNMTPECKAIRD -GPDQNKFRPWTIAETRKWVHNQGKGGILDTEPNFAAALENPETIELIQKIIDSYSKGQFYRKVPHYVSCR -AKDETKARKIAGDSGRLEIPDELNPNLPEWRQALRQRVGGRGASLKDKSAMKSLEQMKDMYEYVTASTIP -RGFHIHMCRECYQTYIHKHRNDKPGHNHVGEKVCPNSRCSQYYGIDSSPLSSSPEISHRSQKVYQPSLSE -FDVSSVNPYEARNVIVRPDTKSKVKYDYNLFTDEKFHECILAEGDLDERRALRYQILNDTSLVAPRFIQF -ASYAYRFVDLMILGPVQNYHAYENKLYYGSTTGTPLWNLGSLLKGIHDVYSPIYDREFFHTQSKKSQAYV -RMYDKTEDGKLVYSKIFKKHIDARIASGDFSGWDCSVNVTDLLHNYFWYKSIYQKQYHLLLKTRFEDNLC -VIVVMDSGDIFVRRGQRSSGDQDTSTGNTKLNVDIHIAATAYALGITVEEASTPIGYIWYKALFTSSVPV -NGDGAIQSGPRIASSSLSGPEIASSSSSFVFPSSGPEISPSWSWSPLASSALDWDPKTAHDSEFKKRGYK -KFYLHRITHIADGDDNGHFGNKSDIEKLDKIGVQLVTAAGKALRCGIRAGYSITADFDKISFCSHSFTRV -LIGDCILKNDKGNSVRIPVDLLSGKNMPYRIKRFAGESEVNTHWRMIETAYPLPEELERVKASVVFGSSI -SSPARGNTDDSSLLPPLSHVQSYSSSLACHGWGDFLFSPPPSSSSHHKKEWYQSLTLQNYIDHCHGMTVK -YMPMRDLPTILAKLMMTSKASTSIIDLNREYGTSTSETKRGAKNEEAIMLTRGKLLAYLLNYPHLKTVRL -IVLAMLSVIGDENCDLSELRRRFYVPSVMSSWSSALKSVFECTDISQIRCISPNTENNMLRDVRYNSRMQ -WEEDYCPTNTGKNKICPTDLAALQHFLRRWLNMVCQDRGIPIDHNIFAAATVEKIFDVNVEWDRALSTSS -QQAVSLPHQASSDGVSVAVIVDKFIGKTTASKCLDIVVPICSQHKDFNKISKLDTSLKLDGVLTSQYRSG -PGMISKKIPVTNITKNNTSTIAEVIASWLGSQALLGVLDGSLARRVDSVTLNVHLVRVCEEDHEFDNQIW -DTITHIENKRRTLYREIGSNEATTSFSDIIVSKERCLDDIGLLPTKFCNNTRLCRQIAKITDLGVSLIRL ->AMO03218.1 putative polyprotein, partial [Hermitage virus] -KILDLSAGEGGFAHFVATYPQKGKKEYVWTTLIRPGHSNMQVDNITSAFANANHKIKFRQCNVSNGDFRL -QEVYDTIKANTANGLYQLLILDCGEASSNIFEESRWLLKEHPIYNKQPSISKKPGVALMEYIKLVKAGGH -AVIKMLGFESGTVEMVQMMAPYFSKILAYKMPTSSYHSREWYFIGLNKMNKQQSWPNLDLWVSSIYHLWS -VKFNEYFNWMMSNHRIFKSDAKKNGAQFLGLGFKTETRTMKDILKTLHGDEHLHTCDKCGQVYAHTHKYN -YPEHKQTPNQCPNADCSWYWKLACLKNETRSRFIEMKTRTAIKQRPVVPVYSVHWRSPTGNWTRVSPAFV -EQKLKEAEIQSSCYKIPCPDKWECLGETFTPDVDQRYLELKREIESKGWHVLPPTGKFEKIHEVGRVNFK -VAFGNEKHTYNKIIGDMAYHVFGLSAETSVIGHTQCTEEFISAAHKKRLDIKPNEPNLADQHLLYQASLA -NCTPEYNRIAKGPDEAKFKPLKVTEAIQYLNMKGAGGKLDEYRNFEQAVQDPEFIKTCEQYWEKLYQGQT -VLNYQTCRDKRETKAKKCINSEGELVLPEGLSYYDQQYKKCNAEDKRARRKARREFLAESSKIMPRNIRY -TQLAMRLLDVCLLQPYQSYHNNVKKMYYGSVTGTPLWKQGDILKIISEVYGPDEDKAFITGDEIIDQHRK -MFSDQFLSEYDRRAVKIMFYSDEHTKNVLNRINSQKKLMISSGDFSGWDGTLNNTDHTIEMLHLRGVYQK -AFHPWLKARATMYMFNFTLTDTGNLLFGWGQRGSGDQATSSGNTFHNGNLHIAAVATSFGISCEEAIRPI -AEVQYRRGFENNPQTKAEYKTYYVSMISHVADGDDNNHFGLAPDIENMCESGIKFIERCGKKIRSGTRAG -YDNSPKFEDLSFCSHHYERTRIEVNNSLKYKERHVNQLSISKGERPKRIGDKYDPRDPIQKQAFELVNQH -HPEFGRWNQVHQRVYDALNDLKVTYLPTRVLPEIIGKLTFTIKNEVMKIDFNRNYGSTAADQRAATRNER -AIEISRGKSLAYMLNYIHIECVRKVVFSIMATIGDGTCDIDFLRKRGYNVPSTTATMQSAVSSVHNVELF -EQIETLPRKFDRLGLTALRRNAQQVYETGYLLEGTINQS ->AMO03219.1 putative polyprotein, partial [Takaungu virus] -EEPVDSFGLPAMTSRQAEALEKKPINLKKNAQKTGLEQIFEAFFGRDKMLVTRNQVQQLEIQSNITRANN -IAHSLLTKPIAEAIMMQTPHEPMPEDLSSIVHFDRICNRTLNNKYLVSPKFVATIKPINELEQGTAIIPL -TETTPIRLPVEMKRGHDQRMMNQLESKIQGHVWEEMKRWQRVYLPGNSQFGTISKGYMKAACIDGDTAIF -SSSRNILDLSAGAGGFAHFKSVLPDEGESQYVYTTLTRPGHVNINQDAILKAVESTWNRRLKFRKIDHLN -GDFRYRKIFESAKAVCPAGYDLLICDCGESSKDLEKESNWLWSRQILQNKDKHQVISLTMIEAILKYVEC -LRIGGSFLIKVMGFRNGTLRVARELSRRFSKITAYKMPTSSYQSREWYILCDGKKRTPGRVWSNIENWFE -HIYYQWRMSFQGYYDWAMKNYRTFEKDLKKNGAEGFGLGLKTKKLEAFDIKTMFDSSMAHVHCCDKCGKT -YGHIHAKGDLNHRQREYECPNPNCSWYWQKTHAQNKTKSRLVEMNVHFWRQESQQNRPMVKTIPYSWRTP -TGDWIKIGAQEIREKIESESIKCHSGAIPFPDSWTKFGETFYPQMDARWIELQKVIKGAGYHILPPTXXX -XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXD -ADSATLLAAATANCTPEYWEIANGSDSKKFKPWSFEEACLHINNQGAGGHYDRWHKFIDAVNDPEFKKAV -LERIDKLTKGEPVGSYQTCRDKRETKAKKNIDGEGNLIVPEYLDPNTEQYRRLNSEDKKIRRQERKELLK -QSANISPRNIRYAELVQRMVDLMLLGPYQQHHNNVAKMYMGSTTGTPLWRLGDLMRGIYEVFCNNEEAEY -LIGDDIIDKNRKLFPKEFLTEFDKRNIKYKLYSDEHSKNVLNNIKKANKSLIASGDFSGWDGTVNNTDHC -IEYIHLKRIYQQEYWPLLKSRMTLYMFSFTITDLGNILLGWGQRGSGDQQTSSGNTYHNDNLHVAAAAVS -QGKTCQEICKIIGEVHYRIDFSEKPYWKKYYIREMCNTADGDDNNHFATEMNIKMLETTGMEFIERCGKK -LRCGTSSGYKIGRNFSDLDFCSHSFVRTRKSVMGTPIGLTKLGEDRPMRFGDPVDYSDDKIKVVKKLHPV -EGWNLVHQHLYDALNGLVVNYLPKRNLPEIIGKLTYTIKQDVIRFQFDRNYGWTISEQKRARKNERAFEI -TRGKALAYLLNYIHIETVRTLISGIMAVIGDGSCDLTELKKRGLNVPS diff --git a/seq/clusters_seq/cluster_468 b/seq/clusters_seq/cluster_468 deleted file mode 100644 index db4ad9b..0000000 --- a/seq/clusters_seq/cluster_468 +++ /dev/null @@ -1,98 +0,0 @@ ->YP_009337874.1 hypothetical protein 1 [Hubei diptera virus 14] -MLPIINILRNPQVIKQVGLIVAGVAGGVAATAGKLVGGNMKSSSVGLLPKVDGLTAGVVLASTCVLTGGV -CYLVKRNKRDMVGTVYQLKEKPFREKTKQGLDRVIAESVKSGSEEMSKPRPKCQILIGRKNGEEFEIYGN -AVRIWDSLVTPTHVVHDASLEDGSVYIKGSQAVIKLDVTMFDALDTDLSYANLTQTQFSTIGAPTVSLDE -NLTCGALVEVTGVVGKGTVGKLYHDSSIFGRVIYNGSTKGGYSGAPYMRGNRVVGVHCWGGKENAGYSAS -YIKVLLCIANKQKPEDTEDFLLKIVGDLDENAIRNTGSEVLIRHKGQYHSIDYDEWAKVSDMYDKKIRQL -DNKYSYTDAESMEMESLRHSGEYKSLMQPGASGSVNQADQAPLDLCTLSMKQLKKLLIGSHNSKQGSPKL -NSPSAGPLSAVGTN - ->YP_009337842.1 hypothetical protein 1 [Sanxia water strider virus 10] -MTRLINESMKVVMWAILFVCSLYWSILSEYPLPVIAMTYILMLVYLTRWTFWLTWETAKTLVLYFVTIVS -TSLVERYDDWDIGTYQWKVQPHPLYSRATPLLKFPWKMLARKLTYPEIVLLWLAKHQVVLISLVCCAFLL -LAFIYAFYVLSAFAKCAFRWRKFVADVKFSTKYVGEATVPGSTFMAATSVPAHQAAVHVFHQDKWVRAGE -GFRYKNWFITATHVLGDFSAVRIVKGDVYIEVARTQFRDILPDVSAMVLPPTAVSLGLKQCKIMTVQART -FADVTAGGQRTVGFVEPYPAYGMVCYGGSTAKGFSGSPYTVGNFVVGMHSADAGVNVGFESAYIASILDR -FNEDSYDWLQEVLEKEMRKSGKSPEVRYNRSSVDPDLYSIKTRNGYVWADDEQLAELRNKGYKLTEVAGP -EFYKRGDTAIEKENFLLDEPIPEVPLDHNFGSLGNPIRPSVSAGAPGQKSQPSTSSAQRSSTLNSTETVE -SPMTRASDSMDPQRLTHAAPNDPSDTTQDLLTMYYLLLGLPAPVKRVLLKRLTSIPLGASQLMDLCKNGL -TASLPGPSLN - ->YP_009337259.1 hypothetical protein 1 [Shuangao sobemo-like virus 2] -MLSMMRNSVQVVPMAASALAGAAAYQVGKIASQAYDSLKSPPAPPTFYEQLLEMGLERKKTIAVALFGAA -AVGFWACKNRRRRVLLKPLSQESLVAGSAEMPALEPACQVAIAFESPDGTLVKVGSGVRGLVGCNHVLFT -AAHNLSFEQPLWLIKGSKQVRLGDYSPIPLAADAALLLVPEKTFGLLGVKVANFSPLPGKSVAVSVTGLA -GKGTTGILSPVVNPHHGIGHVTYAATTAGGYSGAPYVSGSQVYGIHIHGGMRNGGFEILYLYALAKIALE -YTEEDTEDAFMERLFNSDDPDYYVQEVGDKVIVRVHGNSHYHVVDAKKFRDYEARWENADYSSDDYVEEC -ASEALNFQSPGQRSRAGPSIECLHRQPQLVTSSCQTSALPESSPSSDRRPQDPSNKRLKKLINSRLRRLQ -LKTNGTRSTPSRSLVTQV - ->YP_009337221.1 hypothetical protein 1 [Shuangao sobemo-like virus 3] -MAFLSSVLSKINWSTVVPVAFSIANTAAVAYFACQGTTSVVVIPEEVPSWKNRFSLSSIIPYFEKQVPIR -RVAITCCGVYVFWFCYRTGLFSKFFSFLRYMAPGFNYIKFKLTGHPEIRIEIDPTETSTRIIQESIRAGS -TESAANPPHFQCMIGYVDSGVFTAVGAAIRIHDVLVMPRHVYDQCMERPAAYGNRTKNIVNLVNFECIDM -DTDLIMVKTTKDALATIGMAIPSIQNCIPIAGTNVNIVGIENAGTTGNLQLSEVNFGCTVYHGTTKPGYS -GAAYFAGPRLVGMHSHGGPLNGGYSASFILAMVNHFLSKIPEDSDKWLAQQFRAGKEIKFDLGWGSLDTE -RIQIGGEFHIVQKRSCVSAIGKDYGNHVNDDGYLKFKFDGQYQDYSDEDSSRGRARSRRGRKIKRNRFRS -ESPINESAIPKNEKSRGSNTSGKSEASADQLINESLRVELMNLISSAIRSSMKRTAYRKSPPTPNTNPSN -STPNTS - ->YP_009330125.1 hypothetical protein 1 [Hubei sobemo-like virus 40] -MYTNLYPHFSAAKSLAFQSGIEKSALVGKAVTETVKARVNLTRLAVETVKEDPLRAALTVTSIVSAGWVL -KKAVPFAYRKYREWRERPWPVIKEAPSYEPETAVEGSVETNMSFARCQGALCVAEGQTWKTVGGCFRLTV -GSKDLLLTAGHNLVEGERYAVSRMGKIKELGDVWSAKDADQIYSPVTDIVAIPLPDSFFSGLSMRSATTI -ALPSSTNAQITGALGKGSVGKLHPLGQFGRVEYAGTTAGGYSGCPYIVGERVAAIHTNGGRKNEGWEIKY -VECLLYAHFVVSNESTVGSEDAARRAAQEEHDIAPYDDDSIIIRSRKTGKYYRTRETVYEELMRAKQSYA -NNPDRWADQVEVDLLQQELDSYMPECASEAGNAKTPAQAGVGQLDGDMSVKQFLSLLRENRALSMSESSE -QSQLSRRQRRQAYFGRRMGLERQANIRRRSPTPARK - ->YP_009330045.1 hypothetical protein 1 [Hubei sobemo-like virus 16] -MPTVSIRLPSLMDVALLVVGTLYLWMSWGVMTAPNAPAGVVGQNILTIVVFGFLYLRTGFASSGRKVVHQ -VAKSVSVLESTIPGSHIMEVDFKNRSLPKCQTVVYQETEDGEYHLLGNVVRIGDFLVMPRHIADVAKELY -IAERENFDSVHQVKRDRWEDLATDLVYMRASADLLSTLQLSKASIGQLTTGIVASISTAAPSEDKLLYSC -GVARPSKDIFGLVEYKGSTRGGFSGAGLFVGTQLIGIHYQGTPSVNFSYEASYVKAMLPREEASDWETAQ -WLEDIISKKGKLKAMRDPSDPSDWLVYAGGKYHRIEGDVMSKYEDYVDFGYDEPVARREMREYDAPHYSN -QDFRVPPGLGNQEASHAQPVCKNLEQLNILREDADNAKQEIDDLANVVDEVLHAQKATVGSLEELKKQQQ -ELKALLRKLTQLPPTKEKGVKIHSVEEQLNSNKGEIKKLANVPASSKSPKKTKTKRTHQDLIQNLSPEQI -QAVCVINSNPELFRVTQAALNNGEVMQAILSRLSQGRNSISTSSS - ->YP_009330006.1 hypothetical protein 1 [Hubei sobemo-like virus 5] -MTPVEMFKFVIKTISDFLKLLYFTAVSMAVLHLLIAFSSYQFLTSYWLCEPKNRSYYEPLNCLGMLTYWG -FGEVLLFATFIAYCLLAWFNSPPRLTFSPEDAERLERDRARRGAYGSNEALVREFMAWAGTPESYKEDSP -YVSMTPPSWQFSVWRAQTEAKKTLIGHGCRINGHLVINYHVLNTAPLDQLYLAIIRPGKETVVQSLATFQ -FSELLPDICVCPIAQLKGIQLTGLKEAKVKHVQGFQPAYIATDFPDNNASTAAVINHPEAWGMLQYKGST -RPGFSGATYVHGSSLFGIHCHGGIQNVGYSASYIALKLKNNESSDYYALQAMLRSSRDRDYQSQRVNPDE -FEIRFQGRYFIIETEEYQELEDNYGDGSDFHPRSKKKAWRNRQDWENNTAFDPMLLPWENNNVSPSSPSQ -QPPSTTEIATQTEMEWENAPVFDDGFRIQQLTARVDALMAQCDSEREQRLALRQQCEREWANTTMWIEAL -NDKIMRLWDRELGLESEDEDDPRELFPGVVFENADPEPTVPEIEITEVVEPEAPNANSPTQNTMGGATSG -MQEPTSSHPPSPNQAHLSTSQMDSLISGLQQHQQQSARALQSIQSILAQQTALLSRLTPENHSSQERGSG -SGTAPVTRRGNRWSRRTRRPGSRQPGASTGPEQQASAPSRVTQPSRTRLDGTASASPTVRTGRSSSNSSQ -PALTPSQRAAAAALRRNPRVQPAQQTSSSS - ->YP_009330002.1 hypothetical protein 1 [Hubei sobemo-like virus 46] -MDKFDFEALMNRIDSLEKSIVPQSSGGILSHLKSWFENTVGRFSRRHIMYAAGLAYALWLNRRLGPKLGQ -LLRWILSKAVPGFRQARSWITGKVEARFEPTRLDGRENVMESIRDGSLLTPMMTPKCQVSVGQMRDGEFH -IHGCGVRLETFLVLPDHVWSYSRISSETGRTWLMGAAEKPIEVSEFEANVLDTDMVYVRLPNNVWSQLGV -GTSALVHEVPSQGVYCTIVGPATLGSCGMLRHDPYVFGRVVYDGSTTGGFSGAAYMRANSIAGIHQRGGK -TCNGGFSVSYLWVTLCAMEKMKPEDTADWLRNSFKGKKRVRVDKSWADTDTVRVMVDGAFAIVERSSMKQ -AFGSNYQDDLEDFKVPRELGYEDAVNESGEVKSLKQPGALSVVQNTQEEETSPVLILTNGFKRLSTEQQN -MVMGELNALRKITNIRKMALQSKAASSSIVTDSSSTQQA - ->YP_009329995.1 hypothetical protein 1 [Hubei sobemo-like virus 47] -MSANSISMTDANVGVKIEPLGLIGKADVSEEAIRLFERVLDSWASRKTSEGSAATSWFGGGFPPIQLLWR -KIKSLIGTKKRFAMVALSAYLLWFVKNHRKSIWSIIRRLMDFVVPGFKSIRSYVTGKVEVIQPSTQLETR -ESVLESIREGSLLTPMSMPKVQVLVGQMKNGEFCVHGCGVRMELFLVMPDHVWSYSQLESETKRVWLLGP -RKGKPMEVTFKEIEIIDTDLVYTKLSPDEWSMLAVGVSTLYHEVQFAGSYAAIVGPMGLGSTGLLRHDST -VFGRVVYDGSTTGGFSGAAYVVAGRLAGVHQRGGPVNGGYSASYIWVTLCAMEKLKQEATEDWLRNSFKN -KKRVRVDQSWKDLDTVRVQVDGQYAIIDKDSMRKTFGKNWNEELSSFKVPKSLGYADAEEFESGEVKSSK -GPGALNVLDRTQEEVSSPVHVLTAGFKQLSDQEQREVIGQLSDLRKTINTRRLGRISKRALSSIVEDTSE -GQQI - ->YP_009329959.1 hypothetical protein [Hubei sobemo-like virus 43] -MTTASFMFALARKQLPTAPPNPGWGIGLARPTLREGLVLVGGVAATAASGYAVYSVGCWTMSACRRLRSW -WAKPLKLRPAPSGPVVGECVPESAVPGSEERPMTPPKGQALVGFSDGSSFMVVGCAVRMEDWLVMPDHVK -SALGDRRLEIRSMDHKRVVTLHNAEVEAMQLVDTDLLAVQLSPARFSEIGLAKVTVGPNLAEKFGAFSAI -VGAFGKGTTGTVKHAQLFGKITYTGSTFKGYSGAAYMAGTQLVGIHLHGGTVNAGYSASYVLAMLKHMFR -IKDEGSDEWLEGMRRQGAEVVVDQDWRDMDECRIRVAGRYHIIGVDTMSRVYGADWRRSGKRNLKTDRFV -DLESCVLPGESSETLSGGSRVSVPSNPSLAELANQLTLHELEKLRERLALRAKDLRASATGSRA - diff --git a/seq/clusters_seq/cluster_469 b/seq/clusters_seq/cluster_469 deleted file mode 100644 index c76e966..0000000 --- a/seq/clusters_seq/cluster_469 +++ /dev/null @@ -1,110 +0,0 @@ ->YP_009344965.1 hypothetical protein 2 [Jingmen tombus-like virus 2] -MRDYEISNHLNLPATRPIKWRGQVLDDRDHPAIIRIGPSSGPQPYAPHHCWKNWVRGVKKRCAHAFHPHD -DFERRRFFTFVQSQIKTMPRLRSGLKYESLLDDWLAHSNYTAARAAELKKLASDYMNGDIDMNRIYLCDS -FIKSEMYDEIKEARIINARTDWFKVIAGPYIHAIEKLVYDDHFVKHKTPAQIVKRLNKLRSVGSIFMETD -YSSFEGSFDPELQRHVERALWDHMLVDYPEILSIIQRAYSRSHIRYKNRAHAIFEGSRMSGDMWTSLANG -FTNKMINEYMAMCARDNEYDYLVEGDDAIICSSSRWDTDIPARLGFKLTLETASDFNELSFCGLNAVDGV -LIPNVRKILTRYGYTAEMKYYMDVKTNNQRYRDLMYSKACSLLATSAKVPVLGELARQQMRVWNGVNNFR -YYDWWERQFYSYDEPEPLQSQPISMSVRRFVEEKYHIPIVLQLQLEEEIRCCNFKVFDIEF - ->YP_009342273.1 hypothetical protein 2 [Wenzhou tombus-like virus 17] -MKRMGSKPPNSDGILLSELKTFVSEWCSKNLTPIPPSADTSVSAWLDKCIYPKWRKDYLASLEEELKVKL -NSTRGWNDISKVKAFTKMETYPEFKHARGIYSRSDYFKIKTGPIFKLIEKEVFKHDYFIKKIPIADRPSY -IQEHVYQHGAKVIATDYSSFESAFVKKLMNSCEMVMYEYMIQNLPEREMFLKCLNKIKTKQDINYKDINI -NVDATRMSGEMCTSLGNGFSNLMFTLFLAHKNGVKSLRGVVEGDDGLFSFYGNLTQEHYSQLGLIIKLEN -VEDINSASFCGLIFDEVDLSNVTDPIEVIQNFSWLNPRYISSADRRLKELLRCKSLSLAHQYPGCPIIQS -LAHYGLYVTRGITIRLDKIHMSNWEREQLILAVNDKTRILKKEIGNNTRLLVERKFGLTVEDQIELEKYF -DSLKTVQVLDHEVLTRYCKLQHKQYFNDYCFVTDVNSTNRNYPIMPRRDFTVNNMSYFTEHKSESIRKSI -LLR - ->YP_009337712.1 hypothetical protein 2 [Hubei tombus-like virus 36] -MRSGVRKRFAIKTPTPKPGKLDRLGEFVDKWLQQNLTPLAPDSDTTVEHWLSQTNYPAYRRAELLDKNDK -ITNDMDTKLFRVKSFMKDECYPEYKHARAINSRTDEFKTLVGPTFKLIEKELFELPWFIKKIPVKDRPNY -IMERLYRPNGKYYATDYTAFEAHFTKPVMDACENRLYKYMTQHLPNHKKFMWYIDNVIGGRNVCDFKHLT -VEIDATRMSGEMNTSLGNGFSNLMLFLFMAHEKGCTNVTGVVEGDDGLFVLEGPGITEEDAKECGFFLKI -ESHLSIATASFCGIIFDEEDRNNLTNPLAELVNFGWTTGQYARSKRARHLELLRSKALSLFYQYPGCPVL -SSLANYALRCTIGYKAKLKFFRNMWEREQFIEALKFKDEAIVRPVGNGSRLLVEELFGLSVTDQLRTEAY -LDSLDTIQELDMPWLEWNYHKHWKHYADNYLAYTDGRFKADYKERHEMSIHSWTFTRAC - ->YP_009337674.1 hypothetical protein 2 [Changjiang tombus-like virus 22] -MLFSVGCHMDGAALPTPDITSSHTLLAGVAARFATQPPRPDDVLMGELEQFVLRWLEENLTPLSADCDVS -FDSWVAGCNQPDWRKAELREAFDSWVVPESKHFDVKMFMKKESYTDWKHGRAINSRTDAFKTMVGPFFSA -IEHEVFKRPEFIKKVPVTDRASYVYHRLYRTNGHYYSSDFTSFEALFTRRLMEIVEFSLYRHMTKHLPSG -GWFMGLISRVLLGKNKIKNRHMSLEVEATRMSGEMCTSLGNGFSNLMFNLFVASKSGVDIDGVVEGDDGL -FVTSGQIDDSLFEKLGLIIKIERHEDPSTASFCGQIFDPQNFVVITDPRKVLASAGWIDGKYLGAKRGKQ -LGLLRAKAWSFGYQYPECPIVSAMARALLRLTRSMDHRVVFKTDLGWWLRKEYQAAFDHGRPELNRPVRD -ETRSLMHKVFGVSPDTQREIEAWFDNLQEIRPFPSLDVPESWKEYFQSYVVRTLNTDWADLPPESWNKDY -CVVLPAELDPG - ->YP_009337040.1 hypothetical protein 2 [Changjiang tombus-like virus 21] -MENKIYQLPEFIKHVPVANRADYIYDKLYKTGSRYSVTDHSQFEAHFTRNIMEHIEFVVYRYLIQNLPNK -EDYEQLFKVLQGKNKCCFKKGHYIVNATRMSGEMNTSLGNGLTNLLLMLYVLNQNGNTNVSGVVEGDDGL -FRYDGPDPTKDMFAKMGFTVKLEIFDNLNEASFCGLVFDIDARQIIINPIKVLLNFGWLHYQYKDAKFET -IMGLLRMKSLSLLYQYPSCPIVSELALYGLRVTSKYTPVLIRENNYKRKFHEEVLRTPRLVADMINFKTR -LVCEKVFKITIDDQLSIESYLKQLDEIKPLWHLSFHTYMNQYQDVYLQYVGEYAAGYHTVVPHKMVRRNR -TKQQKKRNNSNNNNNNNNNSKKKEIVVVRQNNPKQTKSIGQQIGSALGGLIGHGAHMLFKNITGFGDYNI -NNNTLLQGGMTPPEVINTVREGGFVVRHREYIGDISPSQQFSSTVYSINPGNANTFPWLSQVADSFEQYE -FRGLVFEFKSTSSDAVLSSAASSSLGTVIMATQYNSLSNPFTDKRTMENYEYANSDKPSMSFYHPVECKK -SQTSIDLLYVRNTDAVNGDLRLYDLGQFQISTTGMQNTGGVIGELWCTFEIAFYKPKLLPSGTTCLTDHY -RLDAITTDVWFGDTQTLMAGSNIGTNITTGGTRIQFPATLSTGKFSVVYSISSITGFNTPPTISGSGLNL -LPLYNGGTTSTVIAAQSTLSTAIIGVTVQITDPNAYLDFVGGAIGAAAEGDLFISQIALEIT - ->YP_009336793.1 hypothetical protein 2 [Beihai tombus-like virus 17] -MGKKKSRFRDKDLLDERGLGDGPVNEPQKRKDYLRERNLISFKGENTGRQNQKFEDDYNSYLKREPVSNK -EKEAKEKTAYNARIRLLRKDKELTDGKPVKGQIRILDDKITVGGSKNVTYAVPGIRRPETQRVDGGNRMR -DRQGKGHRTVVPHPREYTIVDNSSEMTVTFHGFFSEVVTFTTLPKPHQSRLDRHGGGQDDNDVVYTWDLG -RIKRNKLVHALKGNTPYVWHVPRKEANKLQHSLNGNTPEAEPKKAVCNTCGKEGHFKRNCPKAGAKGGGR -GGDKMLKRGMADMEAQRKAENDNAKEKRGDQKKEKEAVKCRFFNDNLEPIRFKVEVKEPVTPMSWIRLGF -LFRIAPLMMGFFFFDLGLWPHISNLAATLAEHVVHVILFLPVFVGMMMLENIFVGLVAYRAKAVFTFFLG -KLTVRHSIALYPVPDHREFSVEEDKRPLDCTFGPRAYVAGICRYTVTECFYVSFPYWQIHMALGSRRLAV -RECSSTAIALYGSVINSNLTVEEYRDAIRRNLSKCSKIDWDAEEMIRGNILENTCSYLEYLKLKVDQGDF -DKSLENRSYEDVDLFDAPWFHTKAGRIFSVLFVLGLVYFGGGTLASIVMALFRVVAAVWTAISAFMVQCN -EFAGSIASLRPLGVYGYSVSDPIFQNVSINEVDPEKFLSFTSIFPALNKTRAVMATFSPFAIPGIALPMT -DRGDMLTTAAGLIHRGAALTPKVDKIWNRAKREIVDQIVDRFFLPLSDSDLIGFDEMIDNTNYTLDRKKQ -IKTWHKNRKSDFARPTKHKETGMFNKEEPYSVLKQARSIQGMYRSLLESDVWGSVGRLVHTVQKTVYSLP -PSIKKLDPQGIVDKLLSLGPGSKTVSDYSSYEASFKETVKEAAQFRLYDRLTENLSGYAKQVRGQARWVL -GREVSVRNKFFNASIKNIKCSGDFDTSLSNWFDNVATWLTVFEMKHGVHWTDAINWILCEGDDNITDDHG -YTFEKEDFAKLGMTAKIESGLELSEAGFCQKYVNVSTGTLIGDVITFLGKRQYLPARYQNSSQVTKLSLS -KATAMSVLSMFKNAPGISEWAYKVLELTSGITVRAKHLVAEVDKHAHSGTGVKLSTLFTKPVILDSDRIM -VSRVFGFTLEQQAILTTALDAWTGGPLQLPLSWFPDLWRDFYGGYNTLLVGPSHEFFNETNTISELRRKF -PELLE - ->YP_009336592.1 hypothetical protein 3 [Hubei tombus-like virus 7] -MPMGGLWSATPVLPSVRTPWRWETLLNCTSSGFTASVLLGCHSTGTCRCFVLCIPACESVGSRVGLASPI -RLGTPVLCNSRYARQALLLSCLPDLLLTNAAYHLPRRSISAPHCRPFWRPSSFVLTLTVSLMQTSAQSSR -FWPDHRGMPRNAKTANPPASKGGQSGRQTTPSSRPRGGTAQKVRGKGEYLLPGTFAHVGRKLGEKAGGWL -GGGAGALLSTVTGMGDYQMNNIMHADRALTSAHPKSYEFTNTELVQVVASTGEAFQSYQYANNPGLGNFP -WLSAIAQRFNKYRFKQLVYHFESTSSEYAAGAGLGTVAIATNYDAVDREFASMVEMEATENAVSGKPSVD -KLHGVECATAENALKWWYVRSGPPPASTDLRMYDMSETTLATEGLFASPGTVIGRLKVFYTVEFCNPIAL -GIPAPYLPAPVTLRSWYAPGAAAAGVGPYFGLKDSISSPTWSVGPYQTLPVNVSGGSVGSIGVTTYPVVD -VTTNTLKFYCRGTFYVTARFFFSTAPTVTTIAQSTSGACTLNNPYSAGNTWHVTTSTDKTLLSVEGVITV -TSASYTTPASVSLSQSGWGSTFVIGSALTSVSYVGQP - ->APG76480.1 hypothetical protein 2, partial [Hubei tombus-like virus 35] -MDRSIEKVSTGFHLVGATLPHVSCKDPQTMRDGVRGRMAKVMPRRYDSYLSELKLVSEAFCNTFLTPLDS -NTDVTFETWISNTGYEKWRKDELTEIYTNIICPLEKKEPYILKKFLKKIFDPDESKLKYFIIKMFPKDES -YVDFKHSRGIYARDDIAKCYFGPYFKVIENELYKYPAFIKHVPVSERGDYIYDLLFRIGAMYVQTDYSSF -ECHFDAQRMDACEFVLYRYMLENVFDSSERLNTMSKVLMGDNIIQNIFFSVVVKARRMSGEMCTSLGNGY -SNLMMMYHQCWKMDLLKIRDGKFVEDPRIKFPGVIEGDDGLFTFYKETAPSTEDFTACGCIIKLEVYDSI -SEASFCGLLFDEESRQIITDVRKVISEFGWTSKEYIGARKNKLRMLLRAKAMSTLVQYPGCPIVASMSKA -ALRLTKTIDVRPLRTRRGIDEWTRQKLIYGMQNWKLYVNVEILPGTRLLVEKLYKITVEEQFRIEEMFDN -MENLEPFRLDNILDNFPSSWVVYYEMYSFETKFERV ->AOG30801.1 gp2 [Towan virus] -MSYRIIGYDSSKLKLPETREEWRFKLLDNPEHNLAKAIDETVAVQCGPVNLAHIPFAPRKDETNEENGIV -KRLIHAQHLPKRRLVQEIRQFVKKEIIPYINPLPPGMTDEELREKWNQDNSYSAKRRQQLKELAEQHKLK -YNRPTKEIMKSKMFMKEEFYEEKKHARLIISRSDTFKGIVGPYIHAFDEELFHGHFSNNFVKGKDSKWKV -ARMREIQSKYPLYMETDYSSFEGSQCAMIQDAVEKQVLRHFLKNYPKIWSYVESTFEEAEIEVIGQKRKE -AYERKMPKPSRRDFTELLEDPDLQPSCKHADIFSKYHRLYLLGNRKSGEMWTSSGNGLLNLVIMKFLAHK -KRISWDGIVEGDDGFFGVSARYIQADDYSQLGFTIKLNYETDPNMLSFCGLRFSGTGKLVVDPENLNRVG -WIVKKRYFHAKKKLKLALLKAKMMSLLAEAPACPISSVLAKSVIRKIRVKPRFGDQDWWYTQWLSKEKVD -LTEEVDYRTRLDFSVMFGIPVSTQIEMENSFKKDCFSNFFLPIGKGHSDWMASYEEGLVRDRAPAVIE ->AHA86931.1 gp2 [Brandmavirus UC1] -MIRKVLYGYRPVEVGLALPNAPKTDVLWILPKKKGCTPRTRPVAVSLGAHIEGAALPHPCGSDPWSTVLG -TVKRSASRHPASDPQTLARFFLFVENWLSQNLQPLPEDSDTSFLHWLENTNYPLWKKNDLEKQYDALDNG -FDDPQFLVNKCFVKNESYPEYKYPRGIYSRSDTAKMHIGPFVKLIEEEVYKNPYFIKHIPVDARPDYITA -NVQNANCPFYLATDYTAFESQFVKDIMVGTEIAMLKHMTQWLPDKEKFWWLLDNVLSGVNHCVFKGFRMF -IEATRMSGEMTTSLSNGFSNLMFMLFTSEECGLKNVRGVVEGDDGLFSYDLPPGAHIPTSQDFARLGLTI -KIETHEKLSTASFCGMVFDEEERIPLTDPIKAVAMLGWMDARFTNSRSSKMLGLLRCKAMSMKSQYPGCP -VLDAAASWILRCTKSHDIRGFLDNNTFGLYQTDRMRLNIGGRTRFDRIGTVGHATRSLMAEKFGISPQQQ -TSAERWFDAQTVIKPIPVWIFGETIPSVWKEYYDRFSCMNGTSPFADTTPEHMYLGAEALVSGNTPTKIP -RSPMPPPEIRTMFNHSRKCQTSHGRAVTHGTPPRGAS diff --git a/seq/clusters_seq/cluster_47 b/seq/clusters_seq/cluster_47 deleted file mode 100644 index 068f383..0000000 --- a/seq/clusters_seq/cluster_47 +++ /dev/null @@ -1,236 +0,0 @@ ->YP_009118624.1 nuclear export protein [Influenza A virus (A/California/07/2009(H1N1))] -MDSNTMSSFQDILMRMSKMQLGSSSEDLNGMVTRFESLKIYRDSLGETVMRMGDLHYLQSRNEKWREQLG -QKFEEIRWLIEEMRHRLKATENSFEQITFMQALQLLLEVEQEIRAFSFQLI - ->YP_009118479.1 nuclear export protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MDSNTVSSFQDILTRMSKMQLRSSSEDLNGMITQFESLKLYRDSLGEAAMRMGDLHSLQSRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->YP_581750.1 nuclear export protein [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MDSNTVSSFQDILTRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEMRHRLRITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->YP_308869.1 nonstructural protein 2 [Influenza A virus (A/Korea/426/1968(H2N2))] -MDSNTVSSFQDILLRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->YP_308844.1 nonstructural protein 2 [Influenza A virus (A/New York/392/2004(H3N2))] -MDSNTVSSFQDILLRMSKMQLGSSSEDLNGMITQFESLKIYRDSLGEAVMRMGDLHLLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKTTENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->YP_308672.1 nonstructural protein 2 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MDSNTITSFQDILQRMSKMQLESSSVDLNGMITQFERLKIYRDSLGESMMRMGDLHSLQNRNATWRNELS -QKFEEIRWLIAECRNILTKTENSFEQITFLQALQLLLEVESEIRTFSFQLI - ->NP_040983.1 nonstructural protein 2 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MDPNTVSSFQDILLRMSKMQLESSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNEKWREQLG -QKFEEIRWLIEEVRHKLKVTENSFEQITFMQALHLLLEVEQEIRTFSFQLI - ->sp|Q20NN8.1|NEP_I80A8 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQSRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P13144.2|NEP_I78A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTITSFQDILQRMSKMQLESSSVDLNGMITQFERLKIYRDSLGESVMRMGDLHSLQSRNATWREELS -QKFEEIRWLIAECRNILTKTENSFERITFLQALQLLLEVESEIRTFSFQLI - ->sp|Q20P38.1|NEP_I56A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILTRMSKMQLRSSSEDLNGIITQFESLRFYRDSLGETVMRMGDFYSLQNKNKKWREQLG -QKFEEIRWLIEEIRHKLKITENSFEQITFIQALQLLLEVEQEIRTFSFQLI - ->sp|Q89733.1|NEP_I33A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGIITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P03508.1|NEP_I34A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILLRMSKMQLESSSGDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNEKWREQLG -QKFEEIRWLIEEVRHKLKITENSFEQITFMQALHLLLEVEQEIRTFSFQLI - ->sp|O57266.2|NEP_I80AD RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTTSSFQDILVRMSKMQLESSSGDLNGMITQFESLKLYRDLLGEAVMRMGDLHLLQSRNGKWREQLS -QKFEEIRWLIEEVRHRLKNTESSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|O57269.2|NEP_I83A6 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGKAVMRMGDLHSLQSRNGNWRRQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEMRTFSFQLI - ->sp|Q3SBF1.1|NEP_I66A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGKAVMRMGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLKVTENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q20PL9.1|NEP_I79A7 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMIIQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q0A443.1|NEP_I49A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTITSFQDILQRMSKMQLESSSVDLNGMITQFERLKIYRDSLGESVMRMGDLHSLQNRNATWREELS -QKFEEIRWLIAECRNILTKTENSFEQITFLQALQLLLEVESEIRTFSFQLI - ->sp|O41648.1|NEP_I66A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGASSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P69269.1|NEP_I72A6 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTITSFQDILQRMSKMQLESSSADLNGMITQFERLKIYRDSLGESVMRMGDLHSLQNRNATWRDELS -QKFEEIRWLIAECRNILTKTENSFEQITFLQALQLLLEVESEIRTFSFQLI - ->sp|P69265.1|NEP_I80AC RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILVRMSKMQLESSSGDLNGMITQFESLKLYRDLLGEAVMRMGDLHLLQSRNGKWREQLS -QKFEEIRWLIEEVRHKLKTTESSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q04266.1|NEP_I000W RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILLRMSKMQLGSSSEDLNGMTTQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKTTENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|P08279.1|NEP_I61A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -NTVSSFQDILMRMSKMQLGTSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLYSLPSRNGKWREQLSQKF -EEIRWLIEEVRHRAKMTENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|A3DRP5.1|NEP_I96A2 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTLSSFQDILMRMSKMQLGSSSGDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQHRNGKWREQLG -QKFEEIRWLIEEVRHKLKTTENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|A4GCK2.1|NEP_I80AA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGKAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHKLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|A4GBY2.1|NEP_I77AA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|A8C8W8.1|NEP_I67A2 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLRSSSEGLNGMVTQFESLKIYRDSLGEAVMRMGDLHYLQNRNEKWREQLG -QKFEEIRWLIEEVRHKLKITENSFEQITFMQALQLLLEVEQEMRTFSFQLI - ->sp|B3EUR1.1|NEP_I61A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDFNTVSSFQDILMRMSKMQLRSSSEGLNGMVTQFESLKIYRDSLGEAVMRMGDLHYLQNRNGKWREQLG -QKFEEIRWLIEEVRHKLKITENSFEQITFMQALQLLLEVEQEMRTFSFQLI - ->sp|A4GCJ1.1|NEP_I36A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHKLKVTENSFEQITFMQALHLLLEVEQEIRTFSFQLI - ->sp|A4GCM4.1|NEP_I35A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEKEIRTFSFQLI - ->sp|A8C8J9.1|NEP_I07A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSHTVSSFQDILMRMSKMQLGSSSGDLNGMITQFESLKLYRDSLGEAVMRLGDLHSLQHRNGKWREQLG -QKFEEIRWLIEEVRHKLKTTENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|P0C5U4.1|NEP_I05A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -TVSSFQDILMRMSKMQLGYSSEDLNGMITRFESLKLYRDSLGEAVIRMGDLHSLQTRNGKWREQLSHKFE -EIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEMRTFSFQLI - ->sp|P0C5U3.1|NEP_I03A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILVRMSKMQLASSSEDLNGMITQFESLKLYRDSLGEAVMRMGDFHSLQIRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEHITFMQALQLLLEVEQEIRAFSFQLI - ->sp|P0C5U2.1|NEP_I02A5 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLASSSEDLNGMITQFESLKLYRDSLGEAVMRMGDFHSLQIRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRAFSFQLI - ->sp|P0C5U1.1|NEP_I01A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQIRNGKWREQLS -QKFEEIRWLIEEVRHRLKTTENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P0C5T9.1|NEP_I01A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGPSSGDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQIRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P0C5T7.1|NEP_I00A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQFGSSSEDLNGMITQFESLKFYRDSLGEAVMRMGDLHSLQIRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P0C2M0.1|NEP_I82A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQSRNGKWREQLS -QKFEEIRWLIEEVRHRLKVTENSFEQIRFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q3YPZ0.1|NEP_I71A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILLRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -LKFEEIRWLIEEVRHRLKTTENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|Q20NC0.1|NEP_I56A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLEEAVMRMGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q0A2Q9.1|NEP_I85A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLRLYRDSLGEAVMRMGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|O56263.2|NEP_I97A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILKRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLRITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P69267.1|NEP_I57A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHKLKITENSFEQITFIQALQLLFEVEQEIRTFSFQLI - ->sp|Q6DP36.1|NEP_I02A7 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -DILMRMSKMQLGSSSEDLNGMITRFESLKLYRDSLGEAVMRMGDLHSLQTRNGKWREQLSQKFEEIRWLI -EEVRHRLKITENSFEQITFMQALQLLLEVEQEMRTFSFQLI - ->sp|Q6DP29.1|NEP_I03A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILVRMSKMQLTSSSEDLNGMITQFESLKLYRDSLGETVMRMGDFHSLQIRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRAFSFQLI - ->sp|Q6XTK1.1|NEP_I57A5 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGETVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHKLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|Q6XTD9.1|NEP_I67A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILLRMSKMRLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDIHSLQNRNSKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|Q6XSW5.1|NEP_I69A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILLRMSKMRLGSSSEDLNGMITQFESLKIYRDSLGEAVMRMGDIHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|O89747.1|NEP_I97A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MNSNTVSSFQDILKRMSKMQLGSSSEDLNGIITQFESLKLYRDSLGEAVMRMGDLYSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLRITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q04265.1|NEP_I89A7 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTTTSFQDILQRMSKMQLESSSVDLNGMITQFERLKIYRDSLGESVMRMGDLHSLQNRNATWRDELS -QKFEEIRWLIAECRNILTKTENSFEQITFLQALQLLLEVESEIRTFSFQLI - ->sp|O89285.1|NEP_I77A9 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQLESLKLYRDSLGEAVMRMGDLHSLQSRNEKWREQLS -QKFEEIRWLIEEVRHRLKNTENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q77ZM4.1|NEP_I91A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMIIRLESLKLYRDSLGEAVMRMGDLHSLQSRNEKWREQLS -QKFEEIRWLIEEVRHRLKNTENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|Q02600.1|NEP_I68A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILLRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWRGQLG -QKFEEIRWLIEEVRHRLKTTENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|P13148.2|NEP_I79A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLGEAVMRIGDLHSLQNRNGKWREQLS -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P13145.2|NEP_I76A5 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDSNTVTSFQDILQRMSKMQLESSSVDLNGMITQFERLKIYRDSLGESVMRMGDLHSLQSRNATWREELS -QKFEEIRWLIAECRNILTKTENSFEQITFLQALQLLLEVESEIRTFSFQLI - ->sp|P03505.1|NEP_I50A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGMITQFESLKLYRDSLEEAVMRLGDLHSFQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P03506.1|NEP_I47A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSEDLNGVITLFESLKLYRDSLGEAVVRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHRLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - ->sp|P08271.1|NEP_I63A3 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -NTVSSFQDILMRMSKMQLGTSSEDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQSRSGKWREQLSQKF -EEIRWLIEEVRHRLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P08275.1|NEP_I24A0 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -NTVSSFQDILMRMSKMQLGTSSEDLNGMITQLESLKLYRDSLGEAVMRVGDLHSLQSRNGKWREQLSQKF -EEIRWLIEEVRHKLKITENSFEQITFMQALQLLLEVEQEIRTFSFQLI - ->sp|P11619.1|NEP_I83A1 RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MDPNTVSSFQDILMRMSKMQLGSSSGDLNGMITQFESLKLYRDSLGEAVMRMGDLHSLQNRNGKWREQLG -QKFEEIRWLIEEVRHKLKITENSFEQITFMQALQLLFEVEQEIRTFSFQLI - diff --git a/seq/clusters_seq/cluster_470 b/seq/clusters_seq/cluster_470 deleted file mode 100644 index c1347b9..0000000 --- a/seq/clusters_seq/cluster_470 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_009177600.1 C protein [Phocine morbillivirus] -MSVKGWSASRPSEKILLTWKRFKRSATSGIKPTSQAKKAEPQVCKRKKSLRISMNHTRQQRDQTVSAMYS -KKIREVERTILHLWRQKTVLKRIPKQDLQYDVIMFMITAVKRLRESKMLTVSWYQQALQVIGDSKEEREA -LMIALKILAKIIPKEMLHLTGDILLALTQTEQLM - ->YP_133824.1 C [Peste des petits ruminants virus] -MSTRGWNVSSPSKPLPRIYPPSETPLRAGERGSAPRAVQHRTLIRPREIIRVSTNHAHQQSDQTKSTCLL -EIISDLERSLATTVRLGSEESRGKDPTLKYSVTMFIATGVKRLKDSRMLTLSWFKQILQLLTSSMEERMD -LTTAMWTLAQMIPAEILYMTGDLLPAMMSLGPQMSKN - ->YP_087122.1 C protein [Rinderpest virus (strain Kabete O)] -MSTKAWNASRLSGPDPSTPWSLKKPLQHGSRPPKGKRLTVCPPTRPKQTIRISASHASQQLDQAKAACLA -VTIRDLEEATAVMRSWEHSLVTPQCIAPRYSIIMFMITAVKRLRESKMLTLSWFNQALMMVSKSGEEMRN -LRTAMWILANLIPREVLPLTGDLLPSLQQQEPPMLKQ - ->NP_945026.1 C protein [Dolphin morbillivirus] -MSIRDLSVSNLSEKIRPMLSKLRKPKLSEARPPAKNQARVITRTTPKKTLLISTNHALQQLDQKRTACYL -VMIQDLEHQVTSLMKESPSQETSERRNLQYDVTMFMITAVKRLKESRMLTCSWFQQAVMMMQNSETEMRA -LSRAMVNLALLIPEEILPLTGDLLPGLRSRDRLTLRL - ->NP_047203.1 C protein [Canine morbillivirus] -MSAKGWNASKPSERILLTLRRFKRSAASETKPATQAKRMEPQACRKRRTLRISMNHTSQQKDQTMSAMYL -KIIRDVENAILRLWRRSGPLERTSNQDLEYDVIMFMITAVKRLRESKMLTVSWYLQALSVIEDSREEKEA -LMIALRILAKIIPKEMLHLTGDILSALNRTEQLM - ->NP_056920.1 C protein [Measles morbillivirus] -MSKTDWNASGLSRPSPSAHWPSRKPWQHGQKYQTTQDRTEPPARKRRQAVRVSANHASQQLDQLKAVHLA -SAVRDLEKAMTTLKLWESPQEISRHQALGYSVIMFMITAVKRLRESKMLTLSWFNQALMVIAPSQEETMN -LKTAMWILANLIPRDMLSLTGDLLPSLWGSGLLMLKLQKEGRSTSS - ->sp|Q03339.1|C_RINDR RecName: Full=Protein C -MSTKAWNASRLSGPDPSTPWSLRKPLQHGSRPPKGKRLTVCPPTRPKQTIRISASHASQQLDQAKAACLA -VTIKDLEEATAVMRSWEHSLVTPQCIAPRYSIIMFMITAVKRLRESKMLTLSWFNQALMMVSKSGEEMRN -LRTAMWILANLIPREVLPLTGDLLPSLQQQEPPMLKQ - ->sp|P35977.1|C_MEASA RecName: Full=Protein C -MSKTDWNASGLSRPSPSAHWPSRKLWQHGQKYQTTQDRSEPPAGKRRQAVRVSANHASQQLDQLKAVHLA -SAVRDLERAMTTLKLWESPQEISRHQALGYSVIMFMITAVKRLRESKMLTLSWFNQALMVIAPYQEETMN -LKTAMWILANLIPRDMLSLTGDLLPSLWGSGLLMLKLQKEGRSTSS - ->sp|Q00794.1|C_MEASY RecName: Full=Protein C -MSKTDWNASGPSRPSPSAHWPSGKLWQHGQKYQTTQDRSGPPTRRRRQAVRVSANHASQQLDQLKAVHLA -SAVRDLERAMTTLKLWESPQEISRHQALGYSVIMFMITAVKRLRESKMLTLSWFNQALMVTAPSQKETMN -LKTAMWILANLIPRDMLSLTGDLLPSLWGSGLPMLKLQKEGRSTSS - ->sp|P26035.1|C_MEASI RecName: Full=Protein C -MSKTDWNASGPSRPSPSAHWPSGKLWQHGQKYQTTQDRSRPPARRRRQALRVSANHASQQLDQLKAVHLA -SAVRDLERAMTTLKPWDSPQEISRHQALGYSVIMFMITAVKRLRESKMLTLSWFNQALMVTAPSQEETMN -LKTAMWILANLIPRDMLSLTGDLLPSLWGSGLLMLKLQKEERSTSS - diff --git a/seq/clusters_seq/cluster_471 b/seq/clusters_seq/cluster_471 deleted file mode 100644 index 01272a4..0000000 --- a/seq/clusters_seq/cluster_471 +++ /dev/null @@ -1,107 +0,0 @@ ->YP_009001467.1 capsid protein [Avian hepatitis E virus] -MSLCRLLLMLAMCCGVSRGSQTLPAGGRRGQRRRDNSAQWSTQQRPEGAVGPAPLTDVVTAAGTRTVPDV -DQAGAVLVRQYNLVTSPLGLATLGSTNALLYAAPVSPLMPLQDGTTSNIMSTESSNYAQYRVQGLTVRWR -PVVPNAVGGFSISMAYWPQTTSTPTSIDMNSITSTDVRVVLQPGSAGLLTIPHERLAYKNNGWRSVETVS -VPQEDATSGMLMVCVHGTPWNSYTNSVYTGPLGMVDFAIKLQLRNLSPGNTNARVTRVKVTAPHTIKADP -SGATITTAAAARFMADVRWGLGTAEDGEIGHGILGVLFNLADTVLGGLPSTLLRAASGQYMYGRPVGNAN -GEPEVKLYMSVEDAVNDKPIMVPHDIDLGTSTVTCQDYGNQHVDDRPSPAPAPKRALGTLRSGDVLRITG -SMQYVTNAELLPQSVSQGYFGAGSTMMVHNLITGVRAPASSVDWTKATVDGVQVKTVDASSGSNRFAALP -AFGKPAVWGPQGAGYFYQYNSTHQEWIYFLQNGSSVVWYAYTNMLGQKSDTSILFEVRPIQASDQPWFLA -HHTGGDDCTTCLPLGLRTCCRQAPEDQSPETRRLLDRLSRTFPSPP - ->YP_009506654.1 capsid protein [Hepatitis E virus rat/R63/DEU/2009] -MRVAFVLVLLLFVPLLYAAPMYPGGARGFGGPRRGTRSDGTVSQPAQPPPQRTNWLSPTNPFAAELSTMP -PLGTAWVDRRRGRPRNRVAVVPDAGQSPMTAQAPAPNTAPVPDVDSRGSILRRQYNLSTSPLTLTVPQSS -NSILYAASLNPLLPLQDGSNTHIMATEASNYAQYRVQACTLHFRPLVPNSVGGYAVSMSFWPQSTTVPTS -VDMNSITSTDVRIVAQPGLAAELVVPRERLHYRNQGWRSVETNSVPQEESTSGMLMVCIHGAPINSFTNS -AYTGALGLLDIALQVEYRNLTPGNTNTRVNRFRTTAPHKIKRLPDGTAQVATASAVRFMQDVHLTGGNGV -GDIGKGIVQVLFNIADTLLGGLPTDLVSNAGGQLFYGRPQVSENGEPSVKLYTSVEAAQLDQGVTIPHDI -DLGLSTVTLQDFDNQHLQDRPTPSPAPARPITNWRSGDVVWVTLPSAEYAQSQSAMGSHPAYWSEEATII -NVATGQRALVSSIKWDQVTLNGKVLHKETHSGLVYYQLPLMGKISFWQQGTTKAGYTYNYNTTDSDSLWV -WWDGTSKGYLYVSTYTTMLGAGPVNITGLGAIGPSPTEQASVAAPMHNLQDGCPACALAGLSTCLVADAM -ARVQALSAAAADSV - ->YP_006576508.1 capsid protein [Orthohepevirus D] -MNNTLFCFAMRLQLPFAYLLLLFLSGVNGGGWDPRSPQGPAPRWRGPRRGRSGGSRPQGANQTSATPLTT -RASAPGTVPVPDVDQRGTILRRQYNLASSPLSLSVAGSSNAVLYSAPLSPLLPLQDGTNAHIMSTEASNY -AQYRVVAATLRFRPVVPASVGGFSISMSFWPQSNNVPTSVDMNSITSTDVRIVSQPGMATELVIPKERLH -YRNQGWRSVETANTSQEEATSGTIIVACHGSPINSYTNTPYTGPLGLLDLALVLDFRNLTPGNTNSRLTR -IKTTTQHRLTRGPRGAQLSSPSFQRFMGDATLGNNSAVGEVGGGIVGLILNVADSLLGNIPSTILSLAGG -QLLYGKPVTSSNGEPTVLLYGSVEQAQLDKPLQIPHDVDLGLSSVVAQDYVNNHEADRPSPAPAPKRPLG -TLRTGDILFLRMPNSEYINSTPDMGGPVYRSQNAELVNVITGQRGPMRSVDWTKATLDGEALPRTSTGTP -PYIKIPLAGKLSFWLHGHYNRAGYPYQYDNNESGVIFVSSEGDAYTYISTYSNSLGSSNLDLAAVGQAQA -PATRSARALLDARHSFNDSCEICISVGLGKCIFVYPSERPHEGVPQPPCFPAPLPPRGLPAVRSDEYACS -VDTNEIC - ->NP_056788.1 capsid protein [Orthohepevirus A] -MRPRPILLLLLMFLPMLPAPPPGQPSGRRRGRRSGGSGGGFWGDRADSQPFAIPYIHPTNPFAPDVTAAA -GAGPRVRQPARPLGSAWRDQAQRPAAASRRRPTTAGAAPLTAVAPAHDTPPVPDVDSRGAILRRQYNLST -SPLTSSVATGTNLVLYAAPLSPLLPLQDGTNTHIMATEASNYAQYRVVRATIRYRPLVPNAVGGYAISIS -FWPQTTTTPTSVDMNSITSTDVRILVQPGIASEHVIPSERLHYRNQGWRSVETSGVAEEEATSGLVMLCI -HGSLVNSYTNTPYTGALGLLDFALELEFRNLTPGNTNTRVSRYSSTARHRLRRGADGTAELTTTAATRFM -KDLYFTSTNGVGEIGRGIALTLFNLADTLLGGLPTELISSAGGQLFYSRPVVSANGEPTVKLYTSVENAQ -QDKGIAIPHDIDLGESRVVIQDYDNQHEQDRPTPSPAPSRPFSVLRANDVLWLSLTAAEYDQSTYGSSTG -PVYVSDSVTLVNVATGAQAVARSLDWTKVTLDGRPLSTTQQYSKTFFVLPLRGKLSFWEAGTTKAGYPYN -YNTTASDQLLVENAAGHRVAISTYTTSLGAGPVSISAVAVLAPHSALALLEDTMDYPARAHTFDDFCPEC -RPLGLQGCAFQSTVAELQRLKMKVGKTREL - ->sp|Q04611.1|CAPSD_HEVMY RecName: Full=Secreted protein ORF2; AltName: Full=Protein ORF2; Short=pORF2 -MRPRPILLLLLMFLPMLPAPPPGQPSGRRRGRRSGGSGGGFWGDRVDSQPFAIPYIHPTNPFAPDVTAAA -GAGPRVRQPARPLGSAWRDQAQRPAVASRRRPTTAGAAPLTAVAPAHDTPPVPDVDSRAAILRRQYNLST -SPLTSSVATGTNLVLYAAPLSPLLPLQDGTNTHIMATEASNYAQYRVVRATIRYRPLVPNAVGGYAISIS -FWPQTTTTPTSVDMNSITSTDVRILVQPGIASELVIPSERLHYRNQGWRSVETSGVAEEEATSGLVMLCI -HGSPVNSYTNTPYTGALGLLDFALELEFRNLTPGNTNTRVSRYSSTARHRLRRGADGTAELTTTAATRFM -KDLYFTSTNGVGEIGRGIALTLFNLADTLLGGLPTELISSAGGQLFYSRPVVSAHGEPTVKLYTSVENAQ -QDKGIAIPHDIDLGESRVVIQDYDNQHEQDRPTPSPAPSRPFSVLRANDVLWLSLTAAEYDQSTYGSSTA -PVYVSDSVTLVNVATGAQAVARSLDWTKVTLDGRPLSTIQQYPKTFFVLPLRGKLSFWEAGTTKAGYPYN -YNTTASDQLLVENAAGHRVAISTYTTSLGAGPVSISAVAVLAPHSALALLEDTLDYPACAHTFDDFCPEC -RPLGLQGCAFQSTVAELQRLKMKVGKTREL - ->sp|Q9YLQ9.1|CAPSD_HEVUS RecName: Full=Capsid protein; AltName: Full=Protein ORF2; Short=pORF2; Flags: Precursor -MRPRAVLLLLFVLLPMLPAPPAGQPSGRRRGRRSGGAGGGFWGDRVDSQPFALPYIHPTNPFAADVVSQP -GAGTRPRQPPRPLGSAWRDQSQRPSAAPRRRSAPAGAAPLTAVSPAPDTAPVPDVDSRGAILRRQYNLST -SPLTSSVASGTNLVLYAAPLNPLLPLQDGTNTHIMATEASNYAQYRVVRATIRYRPLVPNAVGGYAISIS -FWPQTTTTPTSVDMNSITSTDVRILVQPGIASELVIPSERLHYRNQGWRSVETTGVAEEEATSGLVMLCI -HGSPVNSYTNTPYTGALGLLDFALELEFRNLTPGNTNTRVSRYTSTARHRLRRGADGTAELTTTAATRFM -KDLHFAGTNGVGEVGRGIALTLFNLADTLLGGLPTELISSAGGQLFYSRPVVSANGEPTVKLYTSVENAQ -QDKGITIPHDIDLGDSRVVIQDYDNQHEQDRPTPSPAPSRPFSVLRANDVLWLSLTAAEYDQTTYGSSTN -PMYVSDTVTLVNVATGAQAVARSLDWSKVTLDGRPLTTIQQYSKTFYVLPLRGKLSFWEAGTTKAGYPYN -YNTTASDQILIENAAGHRVAISTYTTSLGAGPTSISAVGVLAPHSALAVLEDTIDYPARAHTFDDFCPEC -RTLGLQGCAFQSTIAELQRLKMKVGKTRES - ->sp|Q9IVZ8.1|CAPSD_HEVCT RecName: Full=Capsid protein; AltName: Full=Protein ORF2; Short=pORF2; Flags: Precursor -MNNMFFCSVHGDATMRSRALLFLLFVLLPMLPAPPAGQPSGRRRGQAGCGGGFWGDRVDSQPFALPYIHP -TNPFASDIPAAAGTGARPRQPIRPLGSAWRDQSQRPAASTRRRPAPAGASPLTAVAPAPDTAPVPDADSR -GAILRRQYNLSTSPLTSTIATGTNFVLYAAPLSPLLPLQDGTNTHIMATEASNYAQYRVVRATIRYRPLV -PNAVGGYAISISFWPQTTTTPTSVDMNSITSTDVRILVQPGIASELVTPSERLHYRNQGWRSVETSGVAE -EEATSGLVMLCIHGSPVNSYTNTPYTGALGLLDFALELEFRNLTPGNTNTRVSRYSSSARHKLRRGPDGT -AELTTTAATRFMKDLHFTGTNGVGEVGRGIALTLFNLADTLLGGLPTELISSAGGQLFYSRPVVSANGEL -TVKLYTSVENAQQDKGVAIPHDIDLGESRVVIQDYDNQHEQDRPTPSPAPSRPFSVLRANDVLWLSLTAA -EYDQTTYGSSTNPMYVSDTVTFVNVATGAQGVSRSLDWSKVTLDGRPLTTIQQYSKTFYVLPLRGKLSFW -EAGTTKAGYPYNYNTTASDQILIENAAGHRVCISTYTTNLGSGPVSVSAVGVLAPHSALAALEDTADYPA -RAHTFDDFCPECRALGLQGCAFQSTVGELQRLKMKVGKTREY - ->sp|Q68985.1|CAPSD_HEVHY RecName: Full=Secreted protein ORF2; AltName: Full=Protein ORF2; Short=pORF2 -MGPRPILLLFLMFLPMLLAPPPGQPSGRRRGRRSGGSGGGFWGDRVDSQPFAIPYIHPTNPFAPNVTAAA -GAGPRVRQPVRPLGSAWRDQAQRPAAASRRRPTTAGAAPLTAVAPAHDTPPVPDVDSRGAILRRQYNLST -SPLTSSVATGTNLVLYAAPLSPLLPLQDGTNTHIMATEASNYAQYRVARATIRYRPLVPNAVGGYAISIS -FWPQTTPTPTSVDMNSITSTDVRILVQPGIASELVIPSERLHYRNQGWRSVETSGVAEEEATSGLVMLCI -HGSPVNSYTNTPYTGALGLLDFALELEFRNLTPGNTNTRVSRYSSTARHRLRRGADGTAELTTTAATRFM -KDLYFTSTNGVGEIGRGIALTLFNLADTLLGGLPTELISSAGGQLFYSRPVVSANGEPTVKLYTSVENAQ -QDKGIAIPNDIDLGESRVVIQDYDNQHEQDRPTPSPAPSRPFSVLRANDVLWLSLTAAEYDQSTYGSSTG -PVYVSDSVTLVNVATGAQAVARSLDWTKVTLDGRPLSTIQQYSKIFFVLPLRGKLSFWEAGTTRPGYPYN -YNTTASDQLLVENAAGHRVAISTYTTSLGAGPVSISAVAVLGPHSALALLEDTLDYPARAHTFDDFCPEC -RPLGLQGCAFQSTVAELQRLKMKVGKTREL - ->sp|Q03500.1|CAPSD_HEVME RecName: Full=Capsid protein; AltName: Full=Protein ORF2; Short=pORF2; Flags: Precursor -MRPRPLLLLFLLFLPMLPAPPTGQPSGRRRGRRSGGTGGGFWGDRVDSQPFAIPYIHPTNPFAPDVAAAS -GSGPRLRQPARPLGSTWRDQAQRPSAASRRRPATAGAAALTAVAPAHDTSPVPDVDSRGAILRRQYNLST -SPLTSSVASGTNLVLYAAPLNPPLPLQDGTNTHIMATEASNYAQYRVARATIRYRPLVPNAVGGYAISIS -FWPQTTTTPTSVDMNSITSTDVRILVQPGIASELVIPSERLHYRNQGWRSVETSGVAEEEATSGLVMLCI -HGSPVNSYTNTPYTGALGLLDFALELEFRNLTTCNTNTRVSRYSSTARHSARGADGTAELTTTAATRFMK -DLHFTGLNGVGEVGRGIALTLLNLADTLLGGLPTELISSAGGQLFYSRPVVSANGEPTVKLYTSVENAQQ -DKGVAIPHDIDLGDSRVVIQDYDNQHEQDRPTPSPAPSRPFSVLRANDVLWLSLTAAEYDQSTYGSSTGP -VYISDSVTLVNVATGAQAVARSLDWSKVTLDGRPLPTVEQYSKTFFVLPLRGKLSFWEAGTTKAGYPYNY -NTTASDQILIENAAGHRVAISTYTTRLGAGPVAISAAAVLAPRSALALLEDTFDYPGRAHTFDDFCPECR -ALGLQGCAFQSTVAELQRLKVKVGKTREL - diff --git a/seq/clusters_seq/cluster_472 b/seq/clusters_seq/cluster_472 deleted file mode 100644 index bb4fe57..0000000 --- a/seq/clusters_seq/cluster_472 +++ /dev/null @@ -1,454 +0,0 @@ ->YP_529670.1 putative polyprotein [Gremmeniella abietina endornavirus 1] -MHTNTYNTTNPINSPNAAMNNINKRKAGLYKSTANKNHSRNNECTEPKGIRPSFKDWGSIKKVSRTKIVK -GTSDSKVEIKNKVMATNSCWNSIFGYDLGVDAMIDIDMIKMSLIGQEEDAEHIRLHVTMRKGILHFENPI -GLPTGDPSPELFAKQREFVKTYKGETVGLLDILNKHDGNTLVGLSEEPLLDRIAKDAGISIPQITTSQFK -TEMDKLVNTRLQHAATNHATKVILLPTSTPVDIVEDLNNLHGSGSFVRGNMAAHSHIYHHANRLMLTEML -ASRHPRNALLYDIGGNINRHLDHGRLNVHCVYTTSTPADLSRHTLWVKKATQWAHANLKNKSVHGATYSS -IAASMIKEDNSMWCTNGIANCSHAPISVGFAMSIDTLVHLDPSDLIKFYIDNNVAHASHAMTIPDNYAYA -TKGVLRHNEGHWYRTNNGTWVIEFVGESLSYEQKVSYTDAYLQTLIFTAGEMAVYNKVVGRKGPHMLFEH -FLITRAKLESECIKHVTWFNMNMDEIFVMIPEIDFDSAVTLMKREPFTMKTVSINLRFYERLLNRLLQEY -SWEATMAYASSMIGKVYATSSGLHLKWNMTNAEVRDHCLVAYWSTNRVNESMRPLIQQAERNNRDPDFLT -GLWTAVKNWGRDFGSHFDPSGSELIQTFIKNNKGNVLHITRLFGATSKSIDSLNVAAQMVKSRNVLEWIG -SSTGVVATTRNDLIDDWRLATPSKNEIPTVYDLAYERSAPKSKRQVCNASEICPHDHSTYHQHLIPGTTD -IEGNCSCCNVYSNLAPNGACRVCSPRPLVRGKQLRCTHKHIYVNDSCCGQAICKCKHSHTCSCCGLPSNA -QLCKVCNFTPIQDIIGDKYGHLSKNDASLNDVVHTSDKLTKILKLRDNNQNPDVDNENDSDLGSFDTPIK -APKLAKEFEVKSRPLSVESANFADVIAELKTHKGLKPVKETDKKVIENLIVTAKQSDNEVLDWADIVNEE -ANKEAEETTQVEPVVLNLISEEQAKPNSNTEFWAERPNILNKKPVTSVNLVKIDGIPFVPISIVGCNVHG -FIDVPGDGRCGSHALKHWLKCDIAEIDRWAETAFGRNDWLEGEEIAAIGNAYGRNVLIVTESISTLYQVD -SERLAIAIVHGNCIGKSMHWVVGDVSVSTVSSTLNNRLYENYLHLIKSAANTMKDRHDTAYGYTHAELDI -EFICSLGGTVTLTPSSVNVNFTGMNSEPIIQTLNNGMRLLSGPTGSGKTTRAFEILQEKLLIITPSRSTV -IGSSKLTKTKNCGRASSRWWPKEHMTVNEINACDVVYVTVDAMHAALSNNEHSMYHDLIKSRLVICDEIH -EITPNYMEVVTHLNISRTILATATMPGVATRHNLKYNNTVEFVSNGLIEAIVDEKTSNGLSDGQTVIVAT -KKNTYVNQDITAINSDTLNTIDLSKCTKAFATNCVTTGVTMPLLTELIDSGKRCTVNINYSPTFDGKLDL -FRSHIRQTSIVEFNQSKGRVSRTHPGRYVTPIPTQKTEMSIDDLLASSALTGCPCPDKFAQYWSNLNQSI -LSKAQDKLGKIPVKTGSLKDHEDRVNLSTWISRYGRLLELKNRKLKPTFVSSNIYREDLVNFIPSCIGTK -NNMKTKHVGYFKARINIDKQAIIPKAIFGNTKGLDYDTLTVAVESGGDFIDIENDDMENIIPKVKLILVA -QITKAIKTINNSMLAILNSRSLNAAPSDCPGYRGHKSMLKATGRVPKLNDMVGVVDINTGIGAIQLYKDA -PKQDENATWVLPTYRTAQLQDDMRLLNSANSNIKIVDYLHNCTLYAGPPGSGKTHSMLNTYGNIPIVTTS -ETQLKLHNDWTTPSGVVNYVPIIGVDEAGLITLSTLLTLIAKADKLIFTCDVGQIINKQEQNIQELTGID -SVAHLLMKFCNVITFNESYRLGKNICQVVSKLGTKLSSKREQDDEIVGISCVIGNNPEFSNAIINSNPDL -IICATNNLARQLRSFIDINITTITRCQGADVKRVLLVISGIDYRNIGNETLYVALTRHSQHITIVVDRPN -INLLGSLEIATNDFVNYSRAVGGSSNITSRMYDSIVKKSSSLPMPDAQLEDILKQALYISNNFAHNPVVP -SCIISKVGKNGLLQGNLSVMGLDGAVHNKFITLTVFGLKYSRPVVLFYGMKIEELRYKLLSDMPVRRFMC -LLVRQVTSRFYAYGRDFVVLLKSWLTLALEKTSEWAATSHVMPSINEVVSSSGNMLMKILTIFMTPVTSL -ISILPTLKQTLKKLFNTSGDSLGSDAARLLHDWMFNPSSSKTKSGGKVDILSSLYDIIIMSTKTAISGVK -EFIVWVIESMKLGFTYVKNKLQPNTLDDSDVLFDYDVDQNKDLYTDEEKQLFEHGNAVNVNNTNNEPPVV -PSINVEEYDIIDDTDSKPSIPQEKVVEPLPDYSGESWGNSSIDPVNLLKPTDIPLPTCHAKTLIKVDKIK -TKLDIETFKPNFMGRFLIKRDDIGLSGNIPLPGMKVKFSIHKTCEHYYTVNIIRDTEIIEVYLKKRSTGY -ELFANQDTAADIDPQHIRTALVALNKLGGGESAFITLLKSIMQATSDFVEKLTHIIKWNTRRLLGQCQHK -SFQTDLNVIDTYRAFSTALNRSFYGRTHKGKFLSGTIITTCYVKPEVEDKMQESFILINGIGFDTRVTIY -SFEQIDESLLQIMANSLGARAADHIGGKSKSFDSLLRLGVDLKALNTAITPQDVKYIKRHIEKNPLVATF -DYGPEQTHMDMKALGKLMSELSVNAHVDLKNKVMQKTAFIIPSGHGKSTTVRRLRQQKPHLRVLDADEVQ -EQATILLLPLADKFKSYKYKIQEHVNSTPTDAVFVHAIDCVPSGYKPIFIMNTKAEVPLDRVWSRENVEY -NERTLANLTLIKADGYDELYKVISRILDGGVRDFMTFTDYGMLIDNIDDIDGYNFFANEPYTDDVIRLPA -GKHDKLTYSEVRHGPGQQRLFVSGTHVGLARPTIQSVASSLPNAISTRLMGRESLRTEATTIGQYHTLMQ -RLWHPKAEELFKIFGADQISLSTNMLIEWLVNKGNKMQLFDDMLRDVQAREYATDPKDVTVHYKVENLLK -EQVNDVLDQVGRVIVWNNQNINMFACPIINEAKARLKLLLKPNVVYTDGMSTPALNAKLGEYKAKYILEM -DLSKQDRQTDRPILEYEWWLVKNLGVSEKVIDFLSSPIPTFNIHGSNGETARLPAIHFSGGAMTSLGNEI -RNLVLVSDCVQKYVAIFTLGDDSLVLMNQPPQLDLYNRICRSRHNVTNTADWSTSHGTFLQLIVTRDDDG -FFYASHNFSRLREKLAYSAYPNDSLEWKMKFASYLMMIGYCPATRNAMQYSGFVVFPDLGTTLSQRLEAN -AIANKCTVEAVLAVIQDMMHIRLEKSTPLIVNTTTILKPNKLKSGYKRIGDYQEDITFNKQLAALLSSL - ->YP_009552723.1 polyprotein [Sclerotinia minor endornavirus 1] -MGFPKQYTTEPWYVKMLSTFRSSDTNADHYKSLHGVSPATQTVMPWKKTDVPKPIEDVLDNSKTPDTPTL -GTARKSCLWSAMNTLNPRGMEQIPINISNLKYLDYQKYKVWRAGVTKGWLAIEIRRDHSKNHLHCLSGNH -KEQLLSGDGKLTGVIHWTKMFTEINNESQLSWGQLNWSNPDAGRINFYGAKATVLEKLAHDLNVPLSMLD -SGAVRAQLASTIASMSDIAVKPRDTRVEQLPNDTSDLVIDQLSRDYGNFKFIRGSSGSHPHPYHNAARHV -ITRYIVSLFPKSDLLYDIGGNMLYHVQNGDWHVHSVFKAESYEDKSRYLTYTTAVVKHISVRKSQTNITG -ATNSITQSMLSKVLTADNKLWCNSSWGDCQHGTKNHATFAMSVDTLFNIEPSELLKGYIAHKVIMAKHAL -TVPKAWHMADSGTLMFDEGYWSKSDGNLNVVFPGESMTYQNSISLIDTYIKRPLIMGDSHAIYCRVNGYK -GPHLMIDHFVLPRSLVIEQFMKHTIWSNPDTNTIVVVVPVIDIHAPVTLLKQQPFTMEAMVLNIPFYEKL -MNRLLQPHTWEGLTQYAAGLVGRTYGSASGFTRQFDITNRDVKNHCLVAYWSNNRSLESIRPLVRRAEID -VHQPSFLQQLWSSLKTFLTELGRQFDPTESDFIKKLVSDNSEDSMHLGLLLDSAFKAIDSVNASTRLDVS -HYITNGMMYETGVTATTKCVDIDTWRQNHVEVSHSTVYTLITGKDDITHVTIELCKAAVECQHNHKVAHE -HVVGNSIDQRVGICNCCKILSTLTDQNLCALCGSTRLCHGKSHLCKHEHTVVNDECCGKPACTCKKNYKC -SCCQMPSPLPVCEMCRNAPPPKTAVWDAGTIRQPSSQADTGRPEPTSTTGDNRTGDANDNNDKPSGDDAN -DDGPFGMLSDASRRASIVGAEDTNFTQHMSFGDHPSTIPINTNIVDRAQALQGTDSPIDYEDFVAEGSDH -SVVSFQIPDDTSTINDRVSAERQLDELRKLVPINNEPVNEYGFAGLEALSTVYNAIRFTENAATKSVTKL -TLPPNLVARQTTVSAVVIAYGEARGDGLCGAHALSQLCDSDIDTVTTILKRVTGNHDWWSDVELAKAAAV -LRINILLATPAGSILYINDSSQRHYVSICTLVGLTGQRHWVPCSSMVDQLYTSDLRNQYYNYIFLATYAS -MSQDTSKSIEDVRSAVDRFEPINVDSASIISYHVDYEWSNIIANYPNGVFTTLGYEHTSKSFYGQPISNY -VAEGENGLLMVSAPTGFGKTTRIAEFNDQKKNHVVISPSRATVISATTYCNDVLNITSQGRADSTWHPKG -KSDSTLSTAKVVYLTVDSAYMALCNGKPNATWLKMLSGRCIWLDEVHDLTPKYVSLAKVLGSLVTGVLSA -TLPNLPPVTDTLHPIRTIFAAPDQLDSDFNDFIERGPEKGSFWVVPSSTQTANMPAERVTQATNNGVKFA -SITSKTLASLDLTNVNSAICTNCITTGSTLPGLENMHDLGYRITPELIYPPYKAEVKGVNKMRFFNYKRH -QYDMTQLTQSRGRAGRTSTGYAAMSLPAAANAPAVHVELLYSLMVGIPANTRCKDLYDNLKTNKVNFDAI -KRERDIATQRGYDFQNEQWDVLDAWIETVSELYLHLKNKIASTDAVLDNNTISAAFMSALNTDSKLHIDK -SIKRRIQTNMHNKSIHYPKLHKCDCGLLTILGKHGCGNQLVLPKPEAEWFNMTIEQSYSDGSFSHPKSIL -AIDTSSSARGINLSTKLSQQFVSIYFKMLNTILHAAKHATTSNIPTSITHGVLFESVNSNKHVNVSELKH -PHKLNSGSVLGVFDSNMRVLRLECVVGATIDCSVTSPQAIVILPVHTANYALGMGLASLARNITLNDAIA -VATRSTIIVGPPGSGKTRELHRLFDNDQQTKRIVSKQTDQISEFKNITVPPTAAIFSDNVETIYVDEFGL -MTASDILLLSTKCNKMVMSGDVNQKIADDTDLDTSTTLDLSWFRDNVGSSTVFNESWRFGTKTASVLSYF -GTLGPCHNANDKGIGMVQFNWLTKKNLNTILIDHDIQLIITHSNALAMQIKLILGTNSNISCTTTGRAQG -SQEKRVLYVAYGNTSETYTAAADLPALSRHSEHCVIAADYTFEATAKTYGFNKHMQNVDSMRYGATTMPK -VSDMSVDYVMEAWSNIRLNYHKFAEPRYNKAFDNYLKYHKALSHCIDYLTNDVSGTNGLDISISACVIYN -KLYRGVDDAEFTRPLTPQHLEVYNSMTNILNTFGPGVTVSVERTAEVMSEYLRPIVSVRQYFILPFSTNV -KLIGNANKFTSYALCNVLSGKIIMTQTNNLTFQRPTVNSLRAFIESMKDNVDWDKDMCARMSISKLLFER -LKKSVTISYQEMLNTSESIYNYTINKVPTLYSLSHNKAPNLPKSQTLFERIIDSLLIDTPSPNQTITKVY -LDAVNQLVEGDTPKGSSLGYATLSEELMYDALVSTLRGFYEHNNVDLFISQVCDITSTCFDAKETAGDTH -LLTLPIKRLHQTVEHIHKHIHNVVIVDVKRRKIIATVDIRPSTEHYWHISDLYKRTHALLLLGLVDDQIN -TYNNYALCNIISNVKETATKAITAQEQFFSKLLKYIQNIISSLRIKVLEGKEILKLCGIEQMYATRMKQS -NGTAQNTAETQNDVHSLSTSTLKHKAVKGLQLMVLGHIANHYDIDINKQLQRTVASAVRMAHDDRAGDDL -STSPLLRLYYSLKLYAQGYETGAANIMGYDVFNICNVKVTINESLPVTLLRRLNDSARDSLMSETSRKHV -VSPKHTRVSSSNKWDGQSVGLSIKRIFATSESNPADDTPFDDLLYELKSTLSDDISVTTSENANRHGMMK -LNSLKMVLAMYPNITTTMLVITKAYYELYNHVFSPVLGALQNTICKSVIKVKNSIVRFLEYDANLADAKL -EIQDLRDSLDSARESPALTPLDPXPIKDDSSGAESSMYEDAAEGICNKMEPASTDKIHENSVLAEYVKQQ -LMSGNGSISESLFTTCGSDVKTKMVYSQREKFKSSMFVKWDHKASYSTVHARPLPKITMGTIDSVIYMVV -DKVALKLVPTYEGYKIWWNDANALRNENKLCIGLNLLTRIAGDKASDMILALITKMFTDVINWCKLTKDE -LVWKTKYLANGVCRSKYNYSFSTMSLYHILQDSKPFRRLMCHDTRSRFTMVGDTIHITRRTEALVLDCHT -YAVARNTATGCCLTIYSALQSRIDIMVRAIEANMVAGLCDYTDRAGKSGLDGLRKLGINIDSSTLSDIDF -VKWKSILRDALENNPLSAIFDMSLDDTFTSFKQLMESEKAMLDIENRIDNTISLLKSRVAICMPSGHGKT -TLKGTLLRKYPSIDVYDADDYITDIDALGWSNFDRMMAQYXLRLTEAVECSSNNKPKLILCHHPDAVPDG -FSIVLFMNSETPYTPERLWKQTNEARLLAMQDKYPLYTVTFSDMEHQTILFMLRSGATPSIKLHAKSELV -DPMPYSEAEQFFQSPGPDNLWHMPIQGRLGVNDHRLGTAQQVIYKQGPHVGLCRPTVQMLCSSTFNAVSS -RLRGIEHLRKVNFSREEYMTKMSYWFKPNWRDILHDYQNEVVMPSVSASLEWAINKGHKVELIRDMAEAV -LNYERATEYTNIQAHFKVENLMKENVDELEHQLGRIIVWNNQNVNMILCPIVNECKARFKNLFNDNLLTY -TDGMDMVSLNKHLSKNSRSKYMIELDLSKQDRQTDKQIIDFEQWLLTELGLDGRVVHYMNGILNGFSLKT -PDNVTGFMPTMHLSGGAMTSLGNEMRNLLLLSDICKGKAVNHVYTLGDDSLILTDDDFDGKYVAIVAANN -HNVKCTFTTSEHSGVFLQLIVMWVNGRYIAVHNFVRLRDKLAYSPYNADSDEFKSKTASYLLMIGNHYLT -SKRLLELGFNGTIPLGTTMEDRLEANALHNNCDVADVLNIVHDIVVISYGKHESKLTITGVGVLSYRHLK -GGIHMTKGRQSDTSLLASFQSAVQKELDNN - ->YP_009315910.1 polyprotein [Botrytis cinerea betaendornavirus 1] -MSYQTAATNSNNNKRSLGLLPKYMPRKNMSRSDDFINMDSDIYTMTNSMTSRYNQPKISKLSTSLRIMRE -DVYGPLGAISTRRNHVYKDLICHKCLDKHNKTCSDEDGLTYSNYVKYKNDIKSRKTIGGFIYKSYFHLKL -DCEIIGNYKPVFPYLHELIETSVSFVALINLIEPEEIAEPVTDPVVGGDGYCWKKIFPFSNYGKFMTYAH -FKQQLEFKYNGVFKMYVLLIMTEDGNLHLEDVQSFGFGKDEYLGPDLARGAETAIGKAAPPSAWVHVCKL -PESHTDLPCWNDSLHNVKIETRETEPNGLINTKFVKVDHSDMTLPHELWNPNKTLVRCSIDNLIETLVTK -IEENKSQNKHSTMRYGLCYYSGVLVMYRWRFVDALSNFTRHYSIDEFTVYLRTLNMQINRFKEIRGLSWL -KEGIVSTDSDVTDVRLSIDRLIDSTNIYMNGWTNTTRCALVGYTPITMLEKIANDIGLDSSMVNTNQLNN -AISDMVNKRVTRAVTKHTDNAIILPSSCPDDIVNDLNNEFGNGTFMRGNTAHHSHVYHHGSRVAVTNMLY -NWHPREGLVYDIGGNPNVHLNAGHFNVHSVYSTNKAADNARHIKWVKNATSWAKRNCDVAKQPSVIPGMA -KSVLSDQNGVWCDKGLKNCVHSNKAGSFAMSIDTIFHISLEELFDFYVRNMVIHSVHALTIPSDYSYKSS -GTLKYNEGHWYKNNDNWTIEFNGESLPYTQSIDKTNVLLNTPLFTLGELVIYCKIGGHRGAHLIIEHFTL -LRSELDSLYAQHVMWFNTNMDELFVMIPRIDMDKSKTLMGREPFHLEQVVINIRFYERLLNRLMQSYTWE -SLLSYAAGLIGRVYATSSGLHMKWDLTNAQVRDHCLVAYWSMNHINESAKPLIQQAERSNRDPDFLTSLW -HSLKNWVKDFGSQFDPTGSNAIQDFIAYNKGDTLKLIQMFNNASKSVESLSVATQMVHSRSIIDWVSTDL -GMIYEGVNISIDTWRLSTQMLDKLPTVYDLLTYQSSEKMYKRSLCAPQPGCTHNHDTLHSHVTSSHGKSA -KCVCCGIFSNVSTNGGCNLCSGNPPCSNKNLKCQHEHTTVNDECCSKKQCTCKKTFVCLCCGLGSMSTYC -NVCELTPKNNAEAYPTNSKPKQPHYQHSASMPDTMNDTSARQPEATVPSEDSREFGFKPNSSSSNNPDSD -TKFEKNSGNNADMESNVSIPASKPENDKPNVATDNSRENGASPVNDNDDAFKFTKPKLAPLDELYADSSL -ISYPSPIINITTEERDYKHTNINLLPETYSGAIGVKVINYVNVPGDGHCGAHALARALHVNVESVKKWFS -IALGHDDWNSSDELVAYAQSVNTNIITIEKDAAILTRSNEDDIAIAILHGSLIGLGMHWVPCECVIVSYA -SMFNVRINHTFQDMLAALPVSEVTNDEITAFTYSHSRENLSKILTIGQSLVVDYDYISVNTPGHTTNPLV -SDHNTNGVKFITGPTGSGKTTLAHTLIKGKILLVTPLRSAVTTTYNYMATKYKVCCRSNSEWAPNEANNN -TCHGYDIVIMTVETLYAGLFSLERGNETYTQLIKNRSIICDEIHDLTPHYARVLTQLPAHKTYLCSATIP -GISTNYINTFDTTTSFMANGTFDKWFNNDIERNDINDNTCYIVSTKNETNLIRNKSVSVLNSDTLNKIDI -NNARKIIATKIAATSVTLPLIKTIIDSGVRIYAEMIFEPIINNKTIRFFNYTKYNYSWLEMIQSRGRVGR -VQAGHFFGNCPSDSTPLAADSMLLYSMYTNTVCPSSLQSTWASITQSNYNSIKSKITSLYPTDGTTPEWD -SEFITWKSVYNELLVGKKSGLRPRFSDLECSLENIMLNLSNIVGVSNVSKKIGNSVIETSIMWNEIGFDS -KLLNNKKIDFNKLVVNVNESQSLINIKKDNNQQLSEKLLLMTVSTIFETITGLIASGSAIVNKNTTANQI -GQFIVYPNIMAAEKSLGTKLRDNEIIGIINNSTKNITVNIVKNIPSNDTMIVVSNYRTTHYRAEIAAIEA -IVESKNNIMQTLSNSTIYFGAPGTGKTTAIMKHKEGHYITTTNTQMLIKNRSWVPPNAVPYDQDLILVDE -VGLMSVTTVLTLAAKCEKLICTADLQQMVNTHSDTHTMYSGYESGLQLLKSFSRNEELTLTYRFGPKTCA -MVNRLGFNLKSAVDRDETITSSIGNVRNKKTISNLLFESNPDLIVCSSNALARHIRQITSINTCNFAKCQ -GLEVDNVLVLIDNLHNNRKDANINEFASLYVALTRHTKRVHIHVSTDDASLLKTLNVTPMLTDISVNNNI -GGNWTFNFNIYGKMLDAIVDDLCKSNSFNNGISILREWGSGKISSNTALLRMDNFNINFDPSTLIFNSLH -TDITTGLEVCSVSLFGHNVYLFKLFLDDNKTIIELLTSGLPSGTVVRKFMTLTGKKFIKKTGRVTAKLIS -AIASIMNVPREMYEFVMSFDLEEKHNIGGGINIGHLLGMALLGIKKAVILLIRITKSIKDKVMSSIDGKI -TAQFLKDYFYAYFNTTHSSDIGGGVWPANVVGLYQACNKAISNTAVHIYDWICDIIKKIVSFMSKNDNCT -GTGEQPQTNADPEHTQGDDHDMNDKSILVNKEVITTTNKTSRKLSVTFNDKAINYYNDGNTEFTNLDGES -KKLSRAQRATLQLNKHLDINSEKPEVPILTDCDTDEWTDDYELLFDYDEVVKDLETENKQKLDNTSEHVD -SDYDHINDGPLLNNEDGNGIASKVKPDEPTDNNDTITSTQASELHNISQQSAKQDTSHITQLADYTYISD -VKTKLNFDTFKPNFVGRMVMTVKDDTIVANISLPGAGKFGITVTKLVNKGEHKIIVKDNVSTTDLIMIKN -DDGYRVYSHRENNAFSMEAFGTAIKHISKLGGGQNSSAINLISDILKTVYQFGKKLVKLSSYKMLFIAGK -VSQRCLMSDNDCLTTYRKLCKRIPTSYKFSKEYEYSTSGGTTLSTIEIMSVNKSPTKLFIAIFEIFGKTR -VILYSNNVGLTNILERLLLTTNAQSYNDEVGGSDGAISALLKLGINVKDILYHNNDMGVDEIKKWLYSNP -LNSMFSTSNEPTHTSYKSLLYQEMHMHSEIRDKLEKNETSGKKIAFCIASGHGKTTTVNRIRRQNLEFSI -LDADEVQEQASILMMPFVDKMLNYKAKLEKYTAEHPTLDAMFIHSPICAPHGYKLVTIINDAAVFPVDRV -WSQDNVDHLSKCGSVTHVNGYDDLYKLCVSYLNKISTGDITLENGATIVDNIDSILGYDFMHNQPGYDDL -FRLPVGTQDRLEFSNGNLGLGQQRVYVTGAHVDVMRPTISKLPSALPNAITSRLMGRQKLRSMHMTIDDY -ELLMSKMLVNDYKTMLEIFSHDTISINYKDILDWLVNKGNKLQLLETMLKKVVNDEHATDPASISVHYKV -ENLMKEQVNDVLDQVGRVIVWNNQNINMYACPLINEAKARFKMMLKPDVVYADGMTIADLNESISKYRSK -WLLEMDLSKQDRQTDMPILKYEWDLMRRLGVPEDFIEFMTSFMPTFKITGSNNEKAKLPAIHFSGGAMTS -IGNEIRNLLLIADCVGNNYSAIYTLGDDSLVLMDTKPDLEYYDRICRSRHNVINTALCDKQCALFLQMIV -NRDADGTFYLSHNFQRLKEKIAYSSYPNSSSDWKMKYAAYLMMIGYTKQTYNAMIEMGFATFPHLGTSMS -QRVSANAIYNKMPEVAVFNLINDIIQTKNVISEEIIIDTTMIMTRSNLLNGYKRVGPYVDNHTTMLSTLQ -EYFNDHN - ->YP_009276355.1 polyprotein [Rosellinia necatrix endornavirus 1] -MTDNKQNNGQAHANELVQMDIKQEKLAKYHNLATNNPELFKFATDRVNVKSGITMGGDGSCWVRMCRYTI -NPSQPRPKFGAFARGKPYDWTKFIQFDFPDDEDEEPTVRPIDLETMRTIAGCLDPNVIVELTWNGDNLHL -IESHLMRCHHLKLFSNGKHCDDPQEDKIGLTFQPLMGTKDGKQVHCHDNGVPVYRFGRDILLDFIDLVEF -TNKGAMLGLTIKQIQEVLENDTILQGKITTXXEFKNAIVDLDRRLVNSVTKINLNNSLTVPMSVPKNVLD -ELNVAYPDFLYVMNPKAHHKHPFMAISRQTLNKRIVNNTPINVPIFDIGGNPSFHLAHRHTNVHSCFARV -DNTTEARAIDHELNTFNEVNMHAIRNTKNESQRPNVLVEQMFESIKDGSDLHYCYERAQDCKVSPKHAAV -GMMIDSIYNITPDELISIMCAKRIVKLTNAFTLPADYFIAEGGQLAFNEGHWIKTKRDFKMVTNDDSLVY -TGPRENIEFWFRSPIVHCNNFGVYSRFKGRSGPHVIFEHYLLTNTDMTEKTMEFAHWVTGDSEVELFNIP -DVNYKQPISLTFVKPVTYSQRRINLRFLRRLRQKLLSNEPSIQMLNEYGQQLVSQVYFNSKNEFKAYDIQ -ADDINYHSIVALMMHQRMVESTQDMVETYTERRGFDNWWTSVLGSLKNFAQGLGYQFDPSTNAMLSEFVE -NWAQKPNTEIFKITSAWSDLIKSVTKSMDVSTNCVILTSKLIVKPFTIINPPELEDLAVKNSSQSLSDMV -NHDGPQYTRDECKQHSDCIHDHNSPHNHLVLGVEGTPCKCCQILSQTVNGFCSLCLNDQFCNSYHSECPH -EHKLLSEHCCGRAWCNCKRTFVCQCCGIASSTKNCPVCFDDKHLNVEPTVKVGAEATEAKHGRGHSKSES -KNNETPSGGSDGSETKKPMNEQPPKAPQSQTKTKASVNTDEPKPSTTNLPTPSVKVNQGEFKKKLAAQRP -TIVKPQTATQINFMATDDIEVPIDDRVKIPLNDLKFGESRYIKRAPTVAPKPVEVAAATPIQKDDNDEQE -PLEVNDDNQKIEPVIRFSKELSDKSNFTDVIIRRTVLNEITKCYISGYTQVDAFGDCFAASYLSMMPQKR -HAIVSTNMGLEYMSLEDIVTLCYRAHLNVCLITKRPIIITGDPTTISYVNILDVKLVNGKSHWNPCMITD -LQYDDDKIIRPMDLSIDHITNTYAGQPEFINFLKRYAIKYESDVLTLHIPAGKEISGKYKIHNDRKPTKS -LYADLNDYKYLQSTTQPRCHIGHHFINNLPNPVTIRMHISSDPISVNSLATNSTKDWSTGDIKIDINKIF -EDKTNLDIQDDGLRHSYDLNVKGELIETVRYTELADFYEMINLCSWRKCDLITTTPMGEPQCSYYELYLL -NPSSLHSTAYQILKNRNSKLVYLTKTRINNNSMYITDIEESLTHARWPITVSGKCDTCLNIRIPTKTQCF -KCLYPKYVASVNFNQPCVLVKHSLLNNDYSNVRSCAMSYHLNILIKIFNDLHDAVAATDYGKKSFSNGCF -IIKSVEQVRAIRHNLTNDICIVLNEKAEWAYGDHKFIESCVRNNSIVIRHKNATNYHFKSMSELNNMENI -PAFPQVQLIDGPPGSGKTTKLTNMVDEIITKQSIMLNRDKFTTKPTKERIGLDEAGLFNVFDILQLAIKG -VKEIIATYDSNQMGTPDLIGYDQGGSTQWLNKASTIETLNVSYRFGPETAKILSRFGMPMSGLNINDKGI -KFIKTVGSISKALTTLEGRQVNAILTVSNKTKSYVARFTNDVQVVNIDKSQGSEWDHVVLLLLDNDALTD -KALYTALSRHRISCTVLANNNDLKLPNGLSMTGINEIGINISSFVVSGCRLMLEEILIPTVELVKCVGRY -LPQLAKYVVDYLRFQAVRMNVLSFSDTATILWQMEHDSNPSRFVTNIKKYEGSTNYEKLFNFMLDSNILI -YGFDDMSNYKMKRLVDEFLTSLRGYNNSRKFNMVKAISVKNLLRANGKITMEEISEILNQDLRQDYATVD -KILDDMNITKVAAENGREDYEIRSSALLHLWMMDEAKWTNIRYKLGRNAATGCLDLDAMERRHKXLLSRM -NYKTMRNLTEAMIVNGDYKEVYDVLARNGISINLLYDNKVISSTLDIMKTMTDFSKYLYTLSIKWFKKLY -NRFIGANHAEDDIEGGVKLPISPDNLFDATNDKKEDMKEPDYEGKGYGDYVEEYNVSHDINEEYIECSHA -SKRMVSNLPSTANKDIRTKVNQDEMLLTKPFRVLGKNLNCGCIELKVSNFTLYVTIGNTVHSDGSGFIFN -NMMKVARKMLDTWMNNGNVMMEKLTLYIKDIMIGLLKCYYCNSGNKFLHKVVLPIFGDSASQIVQSHPQL -RRLARMHKRIVGMRNYYINVPWFQDRSHVFITVNKNSTTIMITSSGINVNHLGLRDILKEHDTPALTGLQ -QLVFETMGVKLAEGDAKYHKHLFEIHPLLNYEGPGRSSFDTVDMMLEDATRQIMTSNLLNYDKKFKSQVR -AICIPSGSGKTTFIKRNKELNITDVDDLIPVDSFLKIKDLNLKKGWRLVEDVYVTRIRMWDEQRSSPMIL -CHHPDQVKHITSDISIIIPEYTIYKPWHENDMAELIEASRYYPTVRVKNHIELHDQIISMFNYNLAPKLR -DDDVLDIDYTDSIEFYQNNHIDDHIKYVLPNKGIISSREQLHGKKVLTNYTNRYLPPVTRPSTGKIYNDL -FNAITTRLYGVEPLQELVPDYDETLSNLSKFFVPNYKELMAKYKNAPITVDHTHMMRWVEKKGNQYNNIL -VLKRHLEQLQQGFNMQQARVHYKVELLLKEKIKSYNQQIGRVIVWHEQHISMYLCPIIDEVKARMKSLLR -EGILYTDGKDLFNLNKSATIVPYDVNYKVLELDLSKQDRQTSKEILSKHFTFMQALGLTDGHNALYQELL -LTWSFNSRTKIRGNLPPMHMSGGTFTGLGNELRNLYILSDINAMYPIDYSWSLGDDSISLTKSEMDEEVL -RDMAAKRHNVRLTISLGKTGKFCQLIFGKIPGVGWRFSPSIIRLFDKLCTGVGDYMANLRERAYSYLQLI -GHHRYVISTIKHLNYDYLPIQGTTIEERIYLNCNYYKLGDNTIEAYIKTICLMCYDPQLEEHKFYVNY - ->YP_009115493.1 polyprotein [Alternaria brassicicola betaendornavirus 1] -MEGQRININNIESGVRAPDSEFPRTHNNNGENKNGGQFVPHRSTAIEIERRSADGPALGYWAPSFTRSTG -PSPSEIISPVVPMIDNSFESNRRMRDLDELLQPNWNNAEGLFLVVESTSSRLLLMESGGAIERLSSQART -RSITIGRADVELSPRRQRLLAAHVISPMAFDFSSLMAMSRSPVNTAETTPVTCSPAAVRSKSFVKLQEDA -KAFAGMLKDITVNRKHLKTLSSQAGIDLTSSRNRMTYMAWMEQTTGSFAHITNGMKHIGGENIDHLVRSF -LCGFVQPLSTFSTAWVVRACYDKLDPRMGFNVKPLTKAEMLLVAQRETGTTKFRCKISLFQHWINGTMYV -GAHVEEGDDEVDLIDFHFDFIFGKSNDIEKVLKNHIIGDVATAIKTQQVRGNLDLHNSKVINQLVKANAM -RDYQIPSNTSDAIVDALSLAWPNRTFIKSSNKADNGHAYHAANRDLVRENLVMRFPKSGLVVDIGGAYSS -HIRSGFWNVHSCFPVLDENDAKRKMENDTGIKNYIHDTETMMQSSKSVVSADRREAVARIKSGKHAYWCH -SKAQNCGMVDKSGTSFGVSIDTLFYMKPEDMVAVMKAHNIIYGLHAIIVPPTFMYETSGKLAFNEGQWRI -SKGTFEMVTNGCSGAYHSSAAVMKTWLTTPLFVGEGFGLYVRNEGYMGSHMLLKIMRVPYGTIMQHMVRH -CLWLTGNPDEQIYNIPIIDPEKPVTLLWKQPFTIERLCVNSTMLSMLKGRLSMDECNWDQLSIYARGLRH -QVYTSQTGRVMQFNMSEEECRFHMILAMMEHHKLMRQISPLIPHVENIGSKGNWWTQAFKVIKNMLIKMG -RTFDPTDDEYLSKVMKEHNTLDEYKMSHVTVSEVLKDVSMYTSGMQSMSKQNNAAISYHGQNRINATWVS -KLLDSPETNFNNPNGAKVHAHYHSKFKTDQATQCKPQLGCPHDHRGMHEHDIGREEAKRGNCLCCGILSP -LFANLCEVCRTIEKCHNTSSTCKHQHNFVNEHCCGASDCQCVRNTVCICCGKRSLSSPCLLCDDYQPHEE -ADSINYREQIKQAGAEIFQNAKATTIKTMSQHSRPISDWAEHVEEMEEMEREPEIMIETTVQGGIMTEDA -TKTDRVRLLTLAEPLEATVTNIHGVHVVKYVDTPDDGTCGFTALEQLPYPSKLNMADLQRISHRDDWWSG -NDLGMFCVSQGVNLLVVGTSTSAYYQGDTKSNQYGAIVHMTTISGEVHWNACEARIMSVNADGDRDLDRR -NIIGSTAKDRTGKEIDEMDVVSREEFVTNLLAEKQWLSTFPASTELNRLMRTKLVNGMLEAESETIGFHS -TNGMNSTKRFTADAGVEKGLPIYITDNRIPMNGSDKPTFVKSVSSCDDVMSFMSPGVKFYFYGFEMPTPT -NAAYIVPDDEIQGLQGINNTVVNNTKNVSENQTCTLELFELQSCPATVVLGMARAHRRIDFNEPNAIGLD -RKRPNWKTFVDIAISARNLGVKEVYLPMLDDDFDVDEFDALVLSMLNGRSLPHQIKEKRGKAVPKMVVQP -LARKALNHLINNAGFADVDMDILNFVKRESVGMSKASGKFMKIVNCGSCGLRMLKITGTECSSCGPTFNM -KVSDAFSEGEFIIMPSKVGVDKTSNYLNGNWPCTADPVRLNGACMDYWREQYQMGTNSMIEATMTVHEND -SYHKKSCVVGSCHVKNGQLTIRANDIKECSAYEPFVVQTDKTWSWAFSMPDGTIATAARLDNGPVRVIGS -QDNIGYCLVMACNFDSGRGCVTEELNQMVKGAKAFVGVPGGGKTRGAKIAYPKATIVTKQAGMLKTFRAE -GRDAVTPAQLIARKTPTDCVILDEAGLCNYTDLWPMVGKVNQLIITADINQNNTSLMASNVLTGDHTSWL -SGVQIEQEFFKSYRFGPKTAQWLKRFNPRIEGVNSNDKGITWVHTTAKRVEDQLKELVGRNVDVVLVPTN -ADKLKLRKITNIKIETMKRFQGQQADRIGVFVTKFNPALTSTKAMYTCLSRHKTECIVVTGPQTASLSSY -MFGQNLDQVAGSVSRLAFERFLNLPGPIVFIIKLGAKITWAIQGLLRALARSAQHSYQALKRIMSELWPQ -SADIDDDLLYDYELERVLSQESRLMSQADDEEHDGSQFGPGPTIRDDATSVAPSDIELNVIDPTPELEQE -EFKDSRTEEYAQMSARGLFLSPDQLPRHTTPKDLSDDVGGAKVAEWYTKTYLWSKDQTRQAIGKESRFWN -MADSCANGATEVMTWIEDLVMTVWDGLVRFVGMMLQRTGRALKTIRRWFANVLSSESRKSEEAAWEAAKK -EAEHNRFERVPETIAISTASDIGQMQHEAGSYKKRRPMPTKDKWLKEEAGSVASTRNPSSKGQASPTSVK -SLVQNIEKGRVGRTRKSSKVLNEALAASSETRMEAENQLFIKIGEKKAGRMIEMNWDLLQNFDFEVSWEA -FCKEWDADPSCTTFSKHSSMFKGKINAAKHEDHDYESIIIMTFSKHIVALIVKLDQTFVYCHDVQTAQNS -DSVDEVLTSLMEDRAGAKSVMSMIYAALWGRMKIRIIYWQWYLRTWFDFSYKAMISEKIELDGALNLHEW -AAKNSYLTILKAKKRVTVTISGTDALILLNGQGLSGTKGARPIHLIRLRRGSTVNEVLVEEIGDVRTSDY -VRDTVKKLLYPSYFRRHARARSNASFGGAVDILGKYWSRLLGARSGNPVQLSETWNEIKHNLPLMVGQEH -QNSVKQGRKYKAYHTIRDTNNLIDIDPVTARGLVACCIPSGAGKTTLCTKEPDKYQDIDDLMTTEDFADI -NDINLNEGMFLVTKRYRSKVQEWIINRPTKRILLCHHPEQVEGLINNVLVVIPKWDLGKAWSDVNNQRLI -KCTGEKIGPSNHDEMETMIDLWAETRLRLSLRMGTYDSNEPNATAIDWFLNTDQTAYNEIKLPTNGSTKS -RLPVYDNVKQHVTVIGHAPPVTRPVIVKYINQLYNSITTRIHGEVSYRKQVVDPVENFERVAKTFFKPGW -EAMVEEFVENPVLPGYKLTEEWLSMKGDPEPTMKEIKFNILDDFNRMPYNKCKLHLKSETLLKEQVDRFE -DQIGRVIVWHPKPFCAVLCPVINLMKERFKLLLDKEKIVYTDGCDMNEIQFHVQDLHPDKVIEMDLKKQD -RQTDMHELENEFVLMTALGFPSYLMPLWRAYNENWRYKASDMTESWQVGKRKTGDEMTSLGNTFKNMSGI -AEPFVRYNTERVLILSDDMIAFGRTDWDQRWVEDHLRDKFNVQCEWAGGKSGKFCQLVVSPVRGFGFIVA -ADIKRLADKFRVVKSSLTREDEDWDARCYSYLSLIGPCDATNITASKMNLPRPVQWARSWGLRLQANAEY -HKVTGEELDRDVNALCHNMMYSGLEKRTFNVLGTHKFKTK - ->YP_009022070.1 polyprotein [Sclerotinia sclerotiorum betaendornavirus 1] -MSQTITNTTITTTTITDAQINTHKRAFGSLSKDYPNKNHQRGLTWFDVVDPPKQQKHWPKLTPNPRWKKL -STSKNAVGKAELGGETQVMASYNCWQCIFGYDLGIDTAISLEMIKMTIMCQPEDAAHIQIQASYANGMLH -IANPPGLPTGEMTPELFNAQRKFVEHPIDGCIKLIDLVDLYDEGTMVGFTPRTITEKLANDIGVDVNSVD -TQQLKKAISDSVNSRGTKAVTKFTDQAIILPTSCPEEIVNDLNNEFGNATFIRGNKEHHPHIYHNASRKA -VTNLLCGWHGRDALIYDIGGNPASHLNAGRFTVHSVYSKNQAADNARHIKWSKQCVAWARRNMNNENNHS -VVNGVANSILKPNSALWCSDGINKCVHSSKHGSFGISIDTLFHLPVNDLFDFYIRNKVFHSVHAITLPTN -YSYARNGALKYNEGHWYKKNDDWTIEFNGESLAYSQSVALTDTYLTVPLHNIGEMVVYCKVSGYKGAHLI -IEHYLIDRAQLDQLYLKHVMWFNTNMDELFVMVPRINMDQSTTVMGRIPYTMEQVVINVRFYERLLNRLM -QSYTWDSVLSYAAGLIGRVYATSSGLHMKWNLTNSQVRDHCLIAYWTTNRINESIKPLLAQAEHASRDPD -FLTSLWNSLKNWLKDFGSQFDPTGNNTVQKFIADNKGDTLRLIQMFNSTVRSVESLNVATQMVHSRSVVD -WVASSTGVSYRRLDVDIDTWRLNQDMSGNKMTVYDHIEFKDAKPAGVNIGCSITKTCTHDHKPFHLHLSD -LSRRMGNCACCGVVSNLNITKLCTICSDILPCHGKNLRCTHIHEQLNDECCLLTKCTCKKDKNCSCCGLP -SVGTYCKVCQFLPDKATNKQPTNSVNNNSTTVAPIIMKPTTERKDAPVRFTFEKPQVSNTQNEFKKNESA -THPLATNNDSLFVTLKEQLVDDVVTFDDKTTIKSDDAEIRSVATESIVSVEASVDAIQLNDNNIEPLLPE -EEVAGTEQISTLMRAVVSVDDVNPGHADTVLTRLPPRYQGGVAVRVVGYTDVPGDGTCGAHALSVALGLN -VDEVKHWLTVATGHDDWHNSEELAACALAYGRNLICVDIGDTTIYRGGDQDYAGSIIHGTVVGCGAHWLA -GNCNILSYSSLFTNKLISDYTNLLRAAHLTLNGSDQTALAYSHSDLDVDSIIDMNGSIIISREGISVQHE -GGNSKCQISDKSDDIKMIIGPTGSGKTTTAFQHIEGKVLLITPLRSAVNNSFDYLKNKVKVVARAQGAWI -PDNINIKDITKSDLVIMTMETLYSGIFIGQDKKNIYHQLVSARAIICDEVHEISPHYARLLTVLPKNKTY -ICSATMPGIKLDYNCKFDVTTNFVQGDIVDYWFNEVKTSRTMPLNTCYIVGTKNECKFADMPNDIKAINS -STINEVTINEVNRCIATNIVTTSITMPQIANIIDLGVRITSDVIVKPYLTDVEKDIRFFNYSKRLYSWAE -MTQARGRVGRVSDGQFYGPCPDKTTRQSNIEAMLNSCYTRAPCYSGLLHEWQMITQKNYDEAVNHFTKLG -NTFDSKPKWWDEFQSWQESVAELLQCKNANLQPVFVDEYIHKEDILALIPNYIGTNPISTSMKHNKNRVG -ALRYSCAVDWDNVLPKHLYETTDNIDFESLVISVPDSSQFLNIETDSNEEIASKLRLLAIASILNAIKGL -MARGQIYINTNKWSDQHGQYILYDSLEDAQALSGINLSEYDIIGLVDIKKSSMRITHYQQSNKWVGYVIV -PTYKTHHYMGQLTDIGLIRDRQAPLVDTLRNSTLYVGPPGSGKTTMMVNENKSSYTTTISSGQLKNHGTW -LPPSLIPHSQENINIDEIGLLDVSVILSLASRSNKLTGTGDISQVVHIANETNAYYTGFDSGIDLFSKYA -NKIYLDKTHRFGPLTCNLVAKLGFDINPTNIDKIEEIKGYVCATNDNKSYSKVLIASEPDAIICATNHLA -RMFRKITQIPVYNIAKCQGIDTPNTLVVLQGGQIDVLANNQLYVALTRHSQRLSIIVDPPHAALLTQLCI -ETENMISYADALGGSSNITQPIFNYIIESNMNRLLNDAQVMNYLTTICALQSGKISPNTMIVIMDQMNSH -YNNCPFKFVRLREIKDIKQLECVVSLYGIQCIVYNISITDERELLCCLLTQVTTNKHIKEYLRSLPNRFA -ATGKKLCYNLYLWLSKFMKDNASVLSTYLYANDTIDIGGGVDLCHVLWLAINGVRSAFMTLIHLLDDVGN -KLSKYTDGSYAAEQFKELLIDTWKKSNPLSFGDLKISSSVISLFKIFRDHFGSGIKTLWDFIQYVVKNMR -EWWENQFNNDKPIYYELEGTSMSLIVTDSPCIDIMRNSLDGDYSLIDGSPTISNTQYITTLDNLIDNSTI -GWEKKDVPSPTCEDCPDDIQEVLDEIEVLFDYEAETNEKYDSLIDNEHHESTHECSEPEVESSPCEQKNE -KENIKQNQCLCIETCNDWQLADTIVTSLDLNTYKPNLMGRMVIEKISDGIKINLKLPGVGKFNAVTKKCC -GDNTHLIYVTVNGEQLTLKISKIASGYELYCMPDVVDVNFSQLEQAIKMLSKLGGGKLNNGMTLLVDSLK -ITRKFIKKLVRLTHYKLKYLMGTINLRHLTSNRSNLEVYRTICHRLPTSYGNSKFSTRECIGGTVLSTIE -LIPNNAANRSEIFIAIYNVNGDTQILIFTNDNNYSNYITRRLMTPVEHGNFAGDRSAISALLRLGIDIKS -ILTQDNDLTIDDIKKWLLANPLTSMFDVTDQRTYNNAKEHSIVEKHLFTDIHNILDKPLDVKYAFCIPSG -HGKTTTVNRIRRSNQEFTVLDVDEVQEQATILHLGFEDKMLNYKEKLEKYIANSTKPPDAVFIHSPICAP -AGYKVIIILNDGADVPLDRIWSDKNVCHLESMNNIIHTQNYDDVYNVTINYLNKKLTGDITLEDGTTIVD -NIDSILGDSFMRNHPGYDDIYRLPTGKQDILNMNTGNIGLGEQRFFKTGPHPEVMRPTVNKMPSALPNAI -MTRLMGRVKYRTVDLTIKDYHKLMTKFFIKGYESKLASYTDDPINLNYEDILNWLVAKGNKIQLLDNMLR -SVKDDEFATDPANINVHYKVENLMKEQVNDILDQVGRVIVWNNQNINMYACPVINEAKARFKSLLKPNVI -YTDGMTVADMNKSIGQYKSKWLLEMDLSKQDRQTDAQILIYEWKLMGMLGVPDVLIEFMTSFIPTFRING -TSHETAKLPAIHFSGGAMTSMGNEIRNLLLLSDCIGNNYVAIYTLGDDSLVLMNTKPDLELYKRICASRH -NVENTAVASTNCALFLQMIVARNEDGTYYLSHNFARLKEKLVYSTYPNTSSDWKMKYASYLMMIGYSAQT -KRAMEYSGFVSFPSLGTTMSQRVSANALYNEINDLQVFNIINDIINAKEQISEEIIIQLPVIMTGSKLKS -GMSKVGKYVDNHNTMHELLIQYMEEHTET - ->YP_008169851.1 putative polyprotein [Sclerotinia sclerotiorum endornavirus 1] -MSQSITHTTVTTKTITDAQTNTHKRAFGSLLKDYPNKNHQRGTTWFDVVDPPQQQKYWPKLTPNPRWKKL -STSKDAVGKVELGGETQVMASYNCWQCIFGYDLGINTAISLEMIKMTIMCQPEDAAHIQIQASYANGMLH -IANPPGLPTGEMTPELFAAQRKFVEHPIEGCIKLIDLVDLYDEGTMVGFTPRTITEKLANDIGVDVSSVD -TQQLKKAISDSVNSRGTRAVTKFTDQAIILPTSCPEEIVNDLNNEFGNATFIRGNKEHHPHIYHNASRKA -VTNLLCGWHGRDALIYDIGGNPASHLNAGRFTVHSVYSKNQAADNARHIKWSKQCVAWARRNMNNDSNHS -VVNGVANSILMPNSALWCSDGINKCVHSSKHGSFGISIDTLFHLPVNDLFDFYMRNKIFHTVHAITLPNN -YSYARSGALKYNEGHWYKKGDDWTIEFNGESLAYSQSIALTDTYLSVPLHNIGEMVVYCKVSGYKGAHLI -IEHYLIDRAQLDQLYLKHVMWFNTNMDELFVMVPRINMDQSTTVMGRIPYTMEQVVINVRFYERLLNRLM -QSYTWDSVLSYAAGLIGRVYATSSGLHMKWNLTNSQVRDHCLIAYWTTNRINESIKPLLAQAERASRDPD -FLTSLWNSLKNWLKDFGSQFDPTGNTTVQKFIADNKGDTLRLIQMFNSTVRSVESLNVATQMVHSRSVVD -WVASSTGVSYRRLDVDIDTWRMKQDMSGNKMTVYDHIEFKDAKPAGVNIGCLTTKTCPHDHKPFHLHLSD -LNRRMGNCACCGVISNLNITKLCTICSDILPCHGKNLRCTHTHEQLNDECCSLAKCTCRKDKHCSCCGLP -SVNMYCKVCQFLPDKSVKPQPTTTVDGSNITIAPVIVKPTTAKKEAPVRFTFEKPQATSANDDVKDNTTI -NHPLSTNNISQFDVLKQQEVDKIITYDDETTIKEDNMEIHSVDAESVGTVDTNIDADRSINNDVGPLLPE -EDVAGIDQINTLMRAVVNVEDVNPGHADTVLTRLPPHYQGGLAVRVVGYTDVPGDGTCGAHALSVALGLN -VDDVKHWLTIATGHDDWHNSEELAACALAYGRNIICVDIGDTTIYRGGDQDYAGSIIHGTVVGCGAHWLA -GNCNILSYSSLFTNKLITDYTNLLRAAHLALNGNDQTALAYSHSDFDVDSIIDMGGNIVISHDGISIQHE -GGNSRCQISDKSDSVKMIVGPTGSGKTTTAFQHIEGKVLLITPLRSAVNNSFDYLRNKVKVVARAQGAWM -PDNIDMKDINKSDLVIMTMETLYSGIFIGHDKKNIYHQLVSARAIICDEVHEISPHYARLLTVLPKNKTY -VCSATMPGIKLDYNCKFDVTTNFVQGDTIDYWFNEVKSNRAMPPNTCYIVGTKNECTFAEMPADIKAINS -STINNVSINEVDKCIATNIVTTSITMPQVTNIIDLGVRITSDVIIKPYLTDVGKNIRFFNYSKRLYSWAE -MTQARGRVGRVSNGMFYGPCPDKTTRQSNIDALLNSCYTRVPCYSGLLHEWQMVNQKNYDEVVNYFTTLG -NTFDNKPTWWEEFQSWQDSVAELLQCKNANQQPVFVDEYINKEDILALIPNYIGTNPISTSLKHAKHRVG -ALRYSCTIDWDNVLPKHLYEATDNIDFESLVISVPDSSQFLNIETDSNEDIASKLRLLAIASILNAIKGL -MARGQIYINTNKWSDQHGQYILYBSLEDAQALSGINLSEYDIIGLVDIKKSSMRITHYQQSNKWVGHVIV -PTYKTHHYMGQLTDIGLIRDRQAPLVDTLRNSTLYVGPPGSGKTTMMISENKSSYTTTISSGQLKNHGTW -LPPSLIPHSQENINIDEVGLLDVSVILSLASRSNKLTGTGDISQVVHIANETNAYYTGFDSGIDLFSKYA -NKIYLDKTHRFGPQTCNLVAKLGFEIIPTNMDKVEEIKGYVCAINDNKSYSKVLIASEPDAIICATNHLA -RMFRKITQIPVYNIAKCQGIDTPNTLVVLQGGQIDMLANNQLYVALTRHSQRVSIVVDPPHAALLMQLCI -KTENMISYTDALGGASNITQPILNHIIESNKNNLLKDAEVMNYLTTICALQSRKITPNTMIVIMDQMNFH -YKNCPFRFVRLRKIKDIKQLECVVSIYGIQCVVYDVSLTNERELLSCLLSQVTTNKHVKEYLRNLPRKFM -RTGKKIGYNLYLWLSKFMKDNITILSGYFNTSEDINIGGSTDLSHIIWLAINGVHSAFMTLVHILDDVGS -KLSKYTDGSYAAEQFKELLIETWKKSNPFSFGDLKISNSLMSLYKLFRDHLSKGVNTLWDFIKYVANTLR -EWWHKQFNVDKPTYYELEGTSMSLIATDSPCINIMRNSSDGDHSLIDGSPTIPNTQYITQLDNLIDNSII -GWEKKATPSPTCEDCPDDVQEVLDEIEVLFDYEEETINHNPTVSTEHLEPNIDELDQMRRFSSSEPVETK -DNMSSVGIEHSEPTLDKSTQIEENNPIKLDKGINESKQNQCLCMETCSDWQLADNIVTNLDLNTYKPNLM -GRMVIEKIPDGIKINLKLPGVGKFNAVTKKCCGDNTHLIYVTVNGEQITLKIDKTASGYALYCMPDVVDV -NFSQLEQAIKMLSKLGGGKLNNGMTLLVDSLKITRKFIKKLIRLTHYKLKYMMGRINLRHLTSNRSNLEV -YRTICHRLPTSYSNSKFSTRECIGGTVLSTIELVPNNAANRSEIFIAIYNVNGDTQILIFTNDNNYSNYI -TRRLMTPIEHGDFAGDRSAISALLRLGIDIKSIMTQDNDLTINDIKKWLLANPLTSMFDVTDQRTYNNAK -EHSIVEKHLFTDIHNILDKPLDIKYAFCIPSGHGKTTTVNRIRRSNQEFTVLDVDEVQEQATILHLGFED -KMLNYKGKLEKYITNSTKPPDAVFIHSPICAPAGYKVIIIINDGADVPLDRIWSGKNVNHLESMNNIIHT -RNYDDVYNVTINYLNKKLTGDITLEDGTTIVDNIDSILGDSFMRNNPGYDDIYRLPTGKQDILNMSTGNI -GLGEQRFFKTGPHPEVMRPTVNKIPSALPNAIMTRLMGRVKYRTVDLGIKDYHKLMTKFFISGYESKLAS -YTDDPINLNYEDILSWLVAKGNKIQLLDNMLRSVKDDEFATDPANINVHYKVENLMKEQVNDILDQVGRV -IVWNNQNINMYACPVINEAKARFKSLLKPNVIYTDGMTVADMNKSIGQYRSRWLLEMDLSKQDRQTDAQI -LIYEWKLMGMLGVPDILIEFMTSFIPTFRINGTSHETAKLPAIHFSGGAMTSMGNEIRNLLLLSDCIGGN -YVAIYTLGDDSLVLMNTKPDLELYKRICASRHNVQNTAVASTKCALFLQMIVARNEDGTYYLSHNFVRLK -EKLVYSTYPNTSSDWKMKYASYLMMVGYSTQTKKAMEYSGFVSFPSLGTTMSQRVAANALYNEINDIQVF -NIINDIINAKQQVSEEIIIQLPVIMTGNKLKSGMTKVGKYVDNHNKMHELLMQYMEEHTDI - ->YP_004123950.1 polyprotein [Tuber aestivum betaendornavirus] -MLDQGKTQFLKPEPVEGYCWHALGLDYENKVSNHEAAKTMKASLKLNGMLSSDAMTMAMHKKFSPNRQMC -VDIDDVVEDYAKKVKQLENYLDEPVNDLDLDYANSGMFTFSMTRYRVSVRESYTTSSLNLGGNVFEKTVV -TTTIHVVRHDTGINPKNMLDLLIFYFRTPGKTVIGYDGDSVPTEAESMAVFLKATSSSMQHNSDFQNRLK -EKQKRVLAHGMRAKGTSPIPVPQNRIDLVPQLQAEFPQFDFFPSSSLVSPHPYHHVSRMAVTNTLVDYFP -SNIPLFDVGGKFDRHIHAGNYNVHSCFKVEDPVDFARGVDNMLSLVKKRLTKINSVRPGENEEAIQAMLS -ITDGSHKYFCFEDAENCQVKPSDEIGFSMSMSVDTLWFLSMETLGNIYVQKKIIKAKHALTIPDGLLWKD -EGKLSRGEGTWAKRNGKLIMNFNGGSHVYVNSVNTIMMYLTSPFFIYEDFVIVNRVDGRLGPYTIFNHHV -IPKTDFVETMSSSSLHAVWSSSDPDIVIMKVPKIDIFKPVTLLFSEPFSLVKQEINFKFMERLKLKLLSD -MSFEEVLEHCQGLMTSRFLSTTGYTKRFNMTAESVRNHAIIAFWEAHELTEAFQPLVKRASQKIHSLHWW -TQAWNAIKQVFIKMGREFDPSENSELTKLIEQGSHRDFEIESLLADTMQELDHLRSIVNNGTSSSIFQIN -APYYALVYGDGAKNILTNVNNLLCHPDFKRIHMHEMASNDETPVTTAEFVPCVFPEGFAPVADHSVKHQH -VLPFSKNSTGICKSCGIFSTLFGQQCVLCSRVAKCVGANNSCPHAHSKKNEHCCGLDNCSHHEPGVFVNW -VTCFCCLIPSVTNPCLVCDNTDILKPLNNPNEPELNNDGQQVLPEFNPDQEETQTINPSKKAEGPSTTKV -QPEETGNRIDPNVTNADLAKHVEFKRLDGTKAGAWVLCWKVREICAPVPGSTTYQHQHNCPVCGNTYEHA -HSYKKLTHSRRLRDCKCLKPSRINMLMNIMQSDIREKTKTPAKNFPTQTDKTEENREQQTVEGGNKLSDV -GDLTPSQPYEHDNGEKGIVEKPSPSAQAPDERSLLESEKEKGVISANNNIEPVGSGVPSIEPSISNFFPV -LPLTKEFPWPSSVALGKNKSNEKEEESPQDEPGAIEETTGKKDGEKESLDEEKDVEHAPVPVAVGEKAYE -VPPPSIPSDLDSDSENGDFSVAMEFDWGFDGEGFKSVKPCHVMDRELHCHQCRVCGSFYSHSHRFTSVFH -KQYLAECPVCESGVKLTVVSTLIDSEGTSHLQDFQDNDEDWVDTMIDYQRQLIKGKFINEPGDLSRTKSS -NIPTVSPSLPSSQPVADLPRSSESSQLIPAHLVTLKENLKFMLNENPHRSLNKLSFKPKSIITRVNVLVR -TLVENSEDGKCGAASLSLTLEEEDVARLSSEIEECTGKPDFWDKRELGVHALSYRYNLVVAHNSGSYLYY -GDSTSDEYILVVHMYSLTGKLHWQQGSGLISPSAREFSDEYNNMARAVVHLYNQSPENTKKVKTFSEIPM -MAGWFSNPRDLLAMSNPVVLTLLETFGADFIDGQLIHKSQQFKSSILHYITVQDGLLLIAAPTGHGKTTR -IHSELVRNVLSKSGKTLIVTPSRATITGSFEYIAELNKCSVAGRANQQWYASPKKFEKTPWAADIVLLTV -DSMYDYVTKVIQGDQRPWGERYLFLDEFHDMTWKYATIVKRLGPEITGIMTATLTPELASVDTKYQVTMK -LSAMEPYVEESDDKMGAFVVVGKKTDCYLPETHLSLPHDVNKNLKIEDMTKGVPLNSDSIGMAKLANITS -GIGTNAVTTGSTIQHAKLWVDKGIRYKVSWKPENVYSPNRKGENSHLVDVVPQQYSLSDMLQSRGRVINQ -MDLMKRRFKLITAAGVGPSKSSNYRKKTTKFNKENSFYLSDRDVIDAFNKSKVSDFDDFMIERLEGIMRA -GTPGDWKHDTDSETNDGNEDENEFVPEVLTLHHNNDDLEDDEAAVDPLINWVTKVATKGKNYITTAADHF -ISWLKTTFGIAIGSLKTITPVLASFFRRLIGYANTPCKILIANFLKLGKFSVNLVKRIINWTMMKLGVLI -GIDCLEDLSDLIDDMIDNYGVSMDSKNPSLKTFFGHDDQSVENEAVENDMECIQQQRKSQTLLINKCKLK -MPKGHHLAKLVSKIMKEKDLTDEEKKLFITGKAVSYCNTKGKAMEPVTWENVCKYGGKDPKEWCIYFTFW -HKMIPCPVKFYNLGGHVLAFLGPVTGLWTMKEGKSTDIHWMMDRASIGDEWSSTIAAKLNSIGGSPFEGF -KEFLLSLLNSFMGACKRWKDNLAMKYEVLIGKKTKWSSGRRRQGKVIMKSRWYNDERWNIQYKTTLFKKP -SSTNLVEHWLYKPDDNFEMDIILTWENKTHEIEIFHSSHMNKYQVERCVKDCVLTSVYSTSIQPKDSRGG -KTAEDYYKKLIGRVYAKPTVSDSVNKLIKRVVLNNPLMMQFEAGLQEISDTLVNDRQIEKELMEPGPSTD -VTRFYEGRQIIYAPSGCGKTFTTDLLNKEYGETKLVDIDTLLTINDMTNLDSIVWDWSAVKSQYVLAFNN -WVKDPNSKGKVLMCHSPEQTGYKKGLIVLPTYDLKKTWAPNNLKSLKRIAKARRGFDVVTVNSYNDFTNA -IKDYITCFNDDFEDSAKFLSNTIDSIEGDEFFNLNKDSTTQTLLRTPAEGKVALKNDDDLKLGTERFYVL -GNLPEVNRPTLDNAAYSLMNAITTRLHGIETLRKDKIETSEYLELFRKFIVTQEFDSNIPTWANHLIRPN -LESTRDWLINKGNLAANLAKIYRYSMSNIERFDPKRARAHFKSENLMKEMVLSATEQIGRVIVWHEQHIS -SIICPLMQEAKYRLKSVLKTKHVYYDGMNVTSLNSHLKTLKKSKHIICLDLSKQDRQTDRPLLETEHELM -RLLGVDPLVIEYLKQAEDKFILRTSNNISSIRPGMRWTGGEMTAIGNEIRNLLLLADLEAHGLIIDNSLT -LGDDSMLFCDNELDEEVIKRIAMERHNVRCTYESNHPDMGLFVQLIVGWVEGSGYCATPSWWRLDEKLTV -SKHSPGSLEHLAKSHSFLMMVGYDSDTAKASNILGMRVFINLGTTAYERLRLNAYQFENDELTALQVKRN -LLSKITNPLIRVMKIKLMVNSKKKTPLYAEDEIKLNFNWETNVIDESMAKKKKDLIKSLEKLISRGG - ->AOV81683.1 polyprotein, partial [Ceratobasidium endornavirus F] -RGRLKDAPEGKTGKDFMDVMKQVRFEFYTSEPLLGGRRCYVLPSVKLLMKQINKLRSLTRSDYIVYLNES -INSIAALERHLKWYMPYCELDVFDMKGSETLDLIINLITKINRWVKEQMVKLKTLAVLTWQNNFKRKIGK -TIILTAPKPNTPEIIKLTANVEDIEYHGDMINHLIDVLSYDNYHLLSEEIRFNAGYLLMTLIEPEAIMSG -LIDPMDIEDKLKYYVGKVDRSTSQHKYHLIMCLLRVVPYDVLTLINDRNKQLIVDLGELSHIERLQEETE -EYLPIDQKFLVEFVNYCRSANDGEEYMPMNGVKLMSSPLNDLNGEQKSILETKLLRVMNDEVASMGKNGS -HHDWLNTLKSFVRPMVPENKTINEVLDGDDDDKPVNHDLKNENLLRKFVKFIVKHCGPLLQNLLNEGKSL -MAIIKNSFILMAKAIQNKLNYISDMMANSKLFKNKDRATFKTLGISKVKATVLLREIYTPTEYELQIATK -WVMAQDNVTGWMNVAYQVANMNQNNQYANIRAWYMRCSQSFGEVEALTKENILDMMASMAEMDVSVVTSG -RRADTLYALHGIVMLTNTEEGQLLRTITTARIYGINDTKFITCYNETTRQVLKRFSGYDFMNERDASLVL -MDNYHKYLQQMHWSAKGYYKIEYWLGNGIGAIRNASSKIVSKLSGLIMKLINVMLMGCDTLKNWVGVLGS -NLKATYKCIIEWVKQKLGINSKDDDDQEVKPVPRPRRSSTGSSSSGSDFTLFLNSDNSSQYFTGENTPTG -KDKGKGKMVYNDQETSDYGQYTADEIAAQRFEAQELLFDAMVENQNYNEGTSDPKTKAKEALLREYMKYT -TKSTSDDDQDHEYESNGIIFETDDTEIEDQGGLFYTVKKIMKQIFDCGYQGVAWLTDLLKKGYELTWCNL -IKIFDLIKSKFGPKKTNDIYVVFKQRNNANHMHVGETEEDGSCWCQAACVCVDAESKVIGETHSTMFKVS -KTNTTNCEHYNNLKGLTNFEALGKGFNAIKTGKLLSVSIINGKVQRGRDHIHFKDQTVVTLVTSGNTQIQ -LIGTFYKQDNVLPTGDIMTECFNLALRYENEILVGTANHETAGNLIKSNTINSIIDEVGPQSRLFMYDDG -MYFQAYTTFNLHCHEMFSKAAVKNHNYNHFDATTIWPSYMRDSCDGLDLATTSVRSHYARNSHVRNGQIH -WYESTNGNSELMGNESRKSLSFATGVEMWHDDNFIGLNMRGNLHWSVVNEGPLRIPEFKAKLKSKLIKIN -DNEPNVECESETCFNAIMMSAAMVPKDQCVKGTAKSVSTFNGLISLASANGMVCECELNGVGSLLALSNH -YINEHDIGKYLALNCILVKDKHVGISEVINLTEITELNELDMMVNQNPVLAIAAEQARIIEPTMESTVMD -NFVGEFPPKKIWKGWKLVETNQPSVNTLKLIQTMKQTGPGEFKYSYDVLGNRGEVKIWVNDYPNIHESSE -LILETHDGIKAKLTSGSTRKMYATKPVDATLKFAITMLMKTMGNKRTSGKHPLLVILTQLRDWFKRQKAL -MALRWAVQNWDSLIHVRQTNYIDIRQEIRNNQVLAVWMKHCNNVYHDHHNIILRDLSIGNMLYSVHMKLV -GKEIVVRTYCNVRLGGKTYKSTHVQDFLKPYTLVETKLKLNHKVKKTVKINETSSMGNALDVYANKVLRM -MHEYDDDENNTLRTLIRNNPIHMQMKGGHVNHGKRFNRLGSSSVDKLTQTLLQTSFTNHRLVCYCLPSGA -GKTTLKNKHPNKFIDIDDVMRPEDFVDVKRFQLEQGWSMINEIYKERLLTFLAGRTFDKNIAVLCHGPSQ -VEDVAGEIHFIIPNWVGDKPFSQSNTDSLNKDFKTWEDKALHSDKFNTFVYRPENHQQVEEYCLKNMSGR -SVTFREHNVNDLAERLIDQNIAEPFYQYSDHTNYVKYVLPADGKVTSRTNYHSKPTMQEFSVIGRLPVVN -RPVVNKQSNQLFNAITSRLFGKEKLRKNNVTVEEYYEFAKELFVDEADSLLDMFKASPIVSIEEEVLAWL -EKKGGKVAYLEQCYEYAKNKQQEFTYKKMNAHLKDERLMKEDVQHLINQLARVIVWHGQEKAMVLAPMVS -AAKERYHTLLDRKKCVYADGMSPLQINQHLKKVKPTEYMIELDLSKQDRQTDLPYMKFEHWMLRQLGLAD -VVVNYMDEYIEGFMIKTMNKIRAHLGTTRATGGVMTGLGNVLRNHVLLGHIKQTYDFYHVMILGDDSLIF -TDVDFNEEVVRKIASEFHNVKVTYSKRHDSGIFLQYIVANVGGKYIMACNPMRLMEKFCVSKTINNDFRS -KVGSYLWMLGSEPEINTVAKVLDLPLPESQGTTKEERRLAQSIYLECSILQVDDLIKDILSMMVNPKVFH -YKVIVWSERIIYSQRPDYRPTTNEAPRFVNLENYHELIDRQDEIGKHQKLWDDFNEVGF diff --git a/seq/clusters_seq/cluster_473 b/seq/clusters_seq/cluster_473 deleted file mode 100644 index cfa65e0..0000000 --- a/seq/clusters_seq/cluster_473 +++ /dev/null @@ -1,184 +0,0 @@ ->YP_010229199.1 polyprotein P2 [Potato virus B] -MGFLELFSSEAGRLARAKAEALGRTKAICNYAVAFAQSLAKGTRKNFYCSVWEQIDSTMELVPLSEVVER -VVPSWVQPVKSHSFGSFSFGRNYCRCGGIKPTAEMVDLVSALTVSTLSSPLNKQERRKLRRKRCPFSTTV -CRPNSIDACHLCDQIQRYEVTQEAPQPEECPHCLGTGIVCSKEWDLLRTTVRENRKTFQVPSLPLHEHVL -QEGLPGAWRYRSRIGYVSKKHPITDVNTWMQAAAIVRSEPDFWMRTDDPYLQRDIISGGDGGYDPWCYIA -PTKHICAKIFDWWHTKYTPGYDCPNPSFDDFDRKKMGPPRWPLYNYESARTTQREMVHTVPKGDTDYFNY -CVGALSSELEFFLDEFYDCASTFDGELNLSIGNVSQRPYRAYFETSRLKVSIVDKIYLADAYKMVHCQEP -VQVMAHGRDEAEDQLDHLENKQGGEIFSTPNFINMLKSKKKEVRGKDFAGATEGRIVRSADLELSKQDIF -LTNSLMEKMRKSRIIKRFAGMSPLLTRMNMDITNKDEIVRYPVKELQCTIDGTLSAQTFTVLERPRESLI -CKMSEEGWKEAKSVCLNLHVRSYLPTHVRVYAFCVVMWGHSSNAEVASLSGSYLYLGDGEASMLQLPLIC -NPLGNELQDQDAYRRSLVLSTSFFGPTGFKPGQPIFGITAVEFTEYMPTSHGGISHEQDSWDKMLQAHQG -PNKQRFISGYNVVDVIESGADKAVKFPEFDMSAVPNHQPVVRKFNDKVGVLGIQRSTSMRVKNFSKYAGG -NIPVVNHFDYTQNIASGVGESDRKSASIVHDPILQTIPTVLLKDAGKDTNCFASDLGPMEYIGTEMCVMP -PEARAGHIMRKIPLMDTFRRVQGSAYNRWLNLGYMDCDIALVSHLAGNVYSGVSVYFVLDCYNRLPESLS -TETFMSQITQFPLFIHMLSDNKTSTHVIPLRKIVGHTLHVGGDAFANPMLYVVCGSRASLPISVDGHFDI -EFYTSGPLYDNAGFAPDSLLQYPITDKSLEDLDIVLPTRIIGIGSAAPTSFPLSWALPRTDHGFTSYSFG -SAILSHFLGVAGTLDFTLYVVSSVFTSCKLRVLLWNSLPSNLFLPRIPHIDVESRTQRMQLRIQDPFVSS -STFGDTGAQLIVVPLCTVYTPEHVESAFEFGITIHGIVPDSKLCRSINYTNKFAWFMMKVNTPSGMTAVD -IPARCVNLKHTAATFQHFVNPFTTLCSATGLHGGDVVLHFYWSLDKSRKKMSDLTGSVVISSGMGVPTDF -FRGGIQIFNMLECKASIPIQFGTFSGVAPSTAPNHVHLNWVRFALDGDWEFFNTLHVSVEVLPGFSFYGR -TAGPFSPISGAADTKALIKEEMDKRKSRTKKGGSADDTSTS - ->YP_009551523.1 polyprotein 2 [Red clover nepovirus A] -MGFEELFSSDLGHSAQLKATLCGGLASFLRKTITTVQAAGPEIRKIAYSALWGEIDSVKELTPLTERMLA -DQLKADLLCTRVRTQKCNPVTTSRFCSCGGVQKEPSMALVDVIVDVDICPPGRNLCRNGTHCTRHGGLGQ -FSERRIIPIEEPVCPHCSGTGILPRSAPYEYLQKNYELQTKTFARPSSPLHEWVLEEGSASRFIRRCQEW -KWKSRDCLGLEDENPVDVSTWMAAAQILFDNTDARVYYPGTNYMVLNGGSKGYDDWCRLPPSKEMCDRLF -DWWHRKNTPGYTTPERTLVDFIKPRMGKCYLPIEKEKHILPYEWSGRVPCGDFTVFGQCVDGLMSSMEEF -LDVFYDCAAQFDGYLEFYLDASERPSHIEGKLGGVQVLFKTPAVCSPAKLLPEIGESDFDALESDVHDSH -IPALFRDNGLSALYSNLVLNAAKVQPVLRAHPDREEIEDQKDHLENKQGGEVFSTPSFIRMLKAKKKEVR -GKEFKNGSEGRLVRSADLELSKNDVFIANTLMESLRAKNIVRTFMGNDPRLSKTCVDLTNKAEIVKYPSR -ELTSTAEGVLTAQVFTVLNRPQYQELNKLAERGWKEAKSVCLNLHIRSYLPVHSPVYAFCVIMWGHSSNA -DLASLCGAYCYLGDQEASVLELPLLCSHIGNSVDDFQAYERSLVLSTCFYGLSGIKAGQQMFGITAVEFT -EYLPSSFGGITHERDSWHALLRKHQGKEKSRFISGFNVVDVLEAGKERGMKFPDFKLEPVDLTQPIVRNF -GEEKQPLLNKSSSLKVGTFERFRAGNISIGRQLDNRVDAIEYELGRASTSRVGDLRSEIDGVERRLNTFN -LKSLGDFAFSARIKYPKAVSVGTVLSKIDLFASITGTNSRVCAEWLEMGYIDRNLRFISHLSAGPFLGAA -VWFVFDAFGHMPTNVSTTIELESVRHLCPHVQILKDSTTDTWVLDFHRSCGQSLSFSGTGFLKPTLWVIS -ASSAQLECSADVTFVLEAYATGDRMVKGLATDSVLTYPIGPESLSDLDMVLSPTQLALGTHAATALPLTL -AEKSVTTSGIETYSYAMGILSHFLGVGGTVRFSVHSTSSKFVSCKLRIIIWGTTPTIAQTGQMPHCDIIE -GGSGELKIQSPFYSTANFGSEGAQFWVIPLSSPMAPAKVESKFEFYIRIHGIDSQPDLCKQINYKQRFGW -FMVKPEGTDREFQMFVPSRVSNLVIKGVDCTNFVNAFAIMCATTGMHWGKCIVHFTWAWKHDIEASKMKG -NLSFGTGMGTKDVHHGETRIFSIYDNSYSIPFEFGSFAGPVTSGGKPFEAENWIKIHTTSWNWIHSIMAS -IEVLPGFKFYGRSAGPMLKPPESSDEAVVTESSQKS - ->YP_009667035.1 polyprotein [Artichoke Italian latent virus] -MGFKELFASSLGDAARAKASLVRGMSGWLNATLATVQAAGPVIREQAYTALWAEVDSTMELVPVKRDRVL -NELRAKLSTARVRAQKCTPATTSRFCECGGIPAPGETIEAEELVPFEDCPPGRNFCRGGVRCKRHHGPGE -TLEKVRTLVEAPKCPHCHGTGIIPRSEPMAYIRAEYERQTKTFARPSNPLHEWVLEEGREGAFARRCYNW -RYTSRPKTGKEETEYVDASTWMAAAQILFDDLDASCHFPNNTRERLNGGSKGYDDWYHLPPNKEMCDQLF -SYWHRKNTPGYYIPEDTLADFTKPRMGACYLPIERDVHLLPREWHGRIPVGDTSHFEACLDGLHSSLEEF -LDVFYDCVAQFDGHLELFLDTNEKPSRVTGKFGGVQVLLTTPAVCSPAKLLPEIGESDFDQLEDEAINME -SQIPPLFHDNGLSALYANLVLKQAFVEPTLMAHPDQEEVEDQRDHLENKQGGEIVTTPAFIKMLKDKRKE -VRGKEFAEGSEGRLVRSSDLTLSKDDVFLSGGLLEKFRKSGIVQSFKGKDPKLTKVCVDLTNSQEIIKYP -VKEMCSDSSGIHTGQVFTVLNRPLYNELNKLAESGWKEAKSVCLNLHIRSYVPVHTPLYAFCVIMWGHSS -DAETASLCGAGVYLGDQEAAVLELPLVCSYLGNSLEDFDAYKRSLVLSTVFFGKSGLSAGQNVFGITAIE -FTEYMPTSYGGITHERDSWQAMLRNHQGKDKGRFIAGFNVVDALERDKEEPIKMPSFDLEPVPRTQPIVR -TFTGEGKQPLLNKSRSMRIQSFSSFKGGNIPVGRRVDNTTEAINYELGRASTSGLNPRLDACNLKANGDF -AFSQRITYPAAATVGTVIGTLDIFALITTTNSRVCAEWLERGYVDRNILMVSHLSTSPYLGMAIWYVFDA -YGHIPTDVTTTVELESIRHLSPHVHILKDNTTSTWTLNFHREGGQSLNFAGPGFMKPKVWIIAASSAQMP -CSADVQYVVEGYATGESFVRGLATEKVLTYPVESTHLADLDLLLAPQQLAIGTTATTNFPLSFAEKSITS -TKRETYSYAAGLLSHFLGIGGKLRFAVHSTSSCFVTCKLRVFLWGTQPTAVQTAQIPHIDIDGAGTGELL -IQSAFYTTANFGDSGARFWIMPLSAPAAPQTVETKFEFYIRILGIDVIPDLCRQINYKQRFGWFMISPSD -KTTTELDFKIPSRIGNISVKNTKCVNFTNAFAIMCATTGMHWGRCILHFTWSWHRNTEAGKMKGDFAIQT -GMGNSTATHHLGDTRVFSVYDNAYSIPFEFGSFAGPVISGGTPNEAENWVRVQSTSWQWIHAVTVSIEVL -PGFRFYGRSAGPMTIPS - ->YP_006576511.1 p2 protein [Grapevine Anatolian ringspot virus] -MGFGELFSSHLGVAAKAKASLIGGMSGWLTATLATVQAAAPVMREAVYTHLWRVVDSEKTLVPVTEATMI -AVLRQQLSCDKVRQQRCTPASTSRFCSCGGIPGEATPTKILVDEPIPRCPNGRNLCRHGPHCERHGGDLN -ATVQVERDVLVEAPICPHCQGTGIIPRSEPMAHIRRCWRAQRKTYARPTSPLHEWILEEGGSVGRWRQRC -FQWSCHPTHRYTDGELVDQSEWMAAAQILFDNEHCRIYYPRTESWRNPDHLDGGNGAYADWHRLPPSKYH -CSQVFSWWHRQNTPGYEEPLDTLADFVKPRMGACSLPIARESRVAPYVWAGSVPEGDFNHFLECCNGLQS -SMEEFLELFYDCAAHFDGELEFSLDASERPSRVSGKLGGVQVLLTTPSVCIPENLVSNITEDDFDSLEDE -EEDSHIPPFFRDNGLSALYANLVLKHATLDLVMTAPHPDREEVEDQLDHLENKQGGEIITTPAFVKMLKE -KRKEVRGNEFVSGSEGRLVRSSDLTLDKRDVFLSNSILENLKKAGIVKSFVGKDPKLTKVCVDMTNQQEI -VRYPSKEMCSDTSGVYTGQVFTVLNRPQFKELNKLAEAGWKEAKSVCLNLHIRSYVPVHTPLYVFCVIMW -GHSSDAETASLCGAGCYLGDQEAAVLELPLVCSHLGNSLEDFEAYQRSLVLSSVFFGKAGLSGGQPVFGI -TAVEFTEYMPTSYGGITHERDSWQAMLRNHQGKDKGRFIAGFNVVDALERDKEEPIQMPHLELEPVPRHR -PVVRTFTGEGKQPLDKSRSMRIQSFAAFRGGSIPVGRRVDNTAEAIKYELGRASTSELGTSLKGRLDEQS -NIRSNDGDFVFVHTIDLPNAVTVGTVLAKIDILEKIKTTHSAVCAEWVQMGYMDRNLKLISHLAPSQFCG -VAIWYVFDAYGHIPSDITTTIELDMVRNLSPHIHVLREPTSAVWTIDFHRYCGQSLNFAGRGFCNPYLWV -IAATSAQLPWSAPVVYRLEALVTGERYVQGLATEGVLEYPISPKHLRDLDLTLTPRTMAVGTQATTNFPL -SFATKQVSASKRVSYSYAAGLLSHFLGVGGILHFKVQCTSSAFVSSRLRVALWGAQITMIQLCQMPHVDV -DVGVTASLKIQSPFYATANLGDSDAAFWVTPMSSPMAPEAIESALEYYIQILGIEADTPLCRAINYKQAF -GWFTLVRRSTTNKEIALKIPSRIANIEYKEAEVINHVNAFSIMCATTGMQWGSPFALHLDSHMTQADDQK -ICESHSAGITTEHIGDIKMCGVLATSQVIPFEIGSFAGPVTSGGTPFESENWIRVWSKHWDWFTSLSVSI -EVLEGFRFYGRSAGPMTIPS - ->NP_620620.2 polyprotien 2 [Cycas necrotic stunt virus] -MFAPIGAPGMGERASQNFRSASGFRDLLVHAVKVLVLSAYQRRPCTSMLRPREQKRIKMRLKWMCLMKFC -FMQNNYWDTQRRFTGGMHNVPELATFDAWLQHWRVVHWRLNIISEILIDFQALVRMMKNLAGQCHFDFYP -VCTHCVQLVNNWYIAEFKPHLEEETEWWKDLVKPRMGNPVHEIEPNRKSQYVESRRIPPPLNGDEFANFL -HVCQCAKLAFDVERAATEENFEDALDTLEEDFYDIDSTIPKRDLLAADARVVKRAFTLRRKRRPNRTSVY -SMKGQPPVTFSASDLVSCLGQVLSTLPTVKMDREAIEDQQDHLEDKQGGEILTTPQFIEVLRKKKREVRE -KEFDDSTQGKLLPAEDFTLSKHDVFLANSVLDGLRKSKLIQRFAGKCATSTKITVDLTNKEEVVRYGPKE -LASEGFRQTFNVLNRPEYNALNKLAEAGWKEAKSVVLNLHIRSYLPQQMNAYAFCVIMWGHSSDAQEAAL -SGSYVYLGDGEATMLQLPLLCEYVGHNLQDFEAYKRSLVLSTVFPEFSGIADGKAMFGITSIEFTEYLPT -SHAGITHERDSWDAMLRNHTEEKRRFLAGFNVVDTIEKGNRKGFSFPDFDLKAVPRHQAVVRTFEDQDVA -PILSKAKSMRVKTFGSFRAGNIPVNFLGTPSNGQVASKHSVSENAGYSVGDMKSAENFVFTQLITVPAAS -TKGNVLAGVDILANARTTMSGFYMRWLQKGYIDTNLKLICHLPRAPFAGMSFFVLIDGTGYLAKDAPTSL -NEEEILSYPLHLVTTSDVSSYEFVLDWHRYIGQVPFAEENAFLRPTLFLVACVSSTLALSAKVEFYLEAQ -SVGEELPRTLAPSPVLSYPFQNSFLEDLDLFLPPKRLTLGERETTIIPLSFAKSKKSGDAVLYSHAAARL -AHFQGIGGVLHGVVYLVGSQLVASQSRISMWSKEQHIQHQAVNVHVDTDTGVAFDLPIKDAFYASSVYGD -SGAVIQVTCLCSPMSPNAIKAPFDMIFKIRGFTPDAPMCRTINFTQRFGWFAVEPTTSTGAIKLKIWPVS -NHLESEDMKVTGYTNAFLQMCQTSTMHFGSVIIHFSWTLFGGTTNAATAGGVVTIAEGFGPEEENFRGHC -RNLSIYEGRATVPLELGTFAGPTPLKKLDFKYRNWIRFTTPKGRNISSIFCAIEVLPGFSFYGRTGSPRL -SVVGTTVPPTADASTSNSQGGDEDIGDQYSAALGRGRGRGSRPGPSPIRG - ->NP_758518.1 polyprotein [Tomato black ring virus] -MGFKELFSSSLGDAARAKVSLVRGMSGWLDTTLATVQAAGPEIRNYAYSALWAEVDSVKELMPVTPAMLC -AQLRKELWCDQKRTQRCAPSSTSCFCKCGGMPLPPSIEWVDEEVIIENCPSGRNLCHNGVRCIRHHGPGV -ALERVQVLVEAPKCPHCHGTGKIPRSEPMQYLRNRYEQQQKTFARPSNPLHEWVLEEGSAGNWGRRCQNW -KWTSSSQIGFTDEDLCDVSTWMAAAQILLDEEDCAVCFPGSVYKRLNGGGKGYDDWCRLPPNKEMCSRLF -DWWHRKYTPGYHTPEDTLADFVKPRMGECTLPIERDVYLLPREWSGKVPRGDTSNFHWCLDGLKSSLEEF -LDVFYDCAAQFDGELEISLGAHNKATRCHGKLGGVQVLFTTPAVCSPAKLLPEIGESDFVELEEEETVSI -PSLFKDNGLSELYMNLILKQAVVTPTLMAHPDQDETEDQLDHLENKQGGEIVSTPSFIKMLKDKRKEVRG -KEFVEGSEGRLVRSADLELSKKDIFLAHTLMDKFHGMSIVRKFGKSDPKLTKVCVDLTNQEEVIRFPAKE -LQTTSEGVLSAQTFTVLNRPQFKELNKLAEAGWKEAKSVCLNLHIRSYLPVHLPVYAFCVIMWGHSSNAE -QASLSGAYVYLGDQEASVLQLPLLCGYIGNALEDMDAYKRSLVLSTCFFGTSGLSAGQNMFGITAVEFTE -YLPTSYGGITHERDSWNQMLRNHQGVDKQRFISGFNVVDFVEAGKEKQLNFPEFDLQPVPKSQPTVRTFG -EEKQPLLNKSRSMRVKTFSSFRAGNIPVGRRLDNTTEAINYELARASTSNQPRLDESSCNLKADGDFACG -ETITLPATSASGSILAKIDLISLIKNTNTRVCSEWLMDGYVSQNLRAVSHLAPNSFSGISIWYIFDAYGK -IPADISTTIELEMAKCLSPHVQTLRDATTSSWIIDFHKMCGQTLNFSGPGFCKPTLYIVVASEFQLARSA -ETKFRLEFYATGERLVRGLSENPLTYPIESRHLEDLDLILKSGSIAVGTYTMTKVPVSLAMRIDSAAKRQ -AYSYAAGILSHFLGVGGEIIFSVHSTASTFVSCSLRIALWGTVPTTDELAQIPHVDVTLDTKATLQIQSP -FFATANFGDDGTAFYISTLCAPLAPETMETGFQYYIHIHGIKVKANLCREINYNQHFAWFMLEHLDTNTT -GMVSLKIPARMANLTSKEVQITNFVNALAIMCATTGMHFGKCTLHFSWNWFRGKLADQSGVFSIQTGMGK -STVAEHFGGRHSFNYPATNFSLPFQFGSFAGPISCGGEPMAAENWVRLQIPNMKWITSLTVSIEVHDGFQ -FYGRSAGPLTIPA - ->NP_733975.1 capsid protein [Cycas necrotic stunt virus] -SAENFVFTQLITVPAASTKGNVLAGVDILANARTTMSGFYMRWLQKGYIDTNLKLICHLPRAPFAGMSFF -VLIDGTGYLAKDAPTSLNEEEILSYPLHLVTTSDVSSYEFVLDWHRYIGQVPFAEENAFLRPTLFLVACV -SSTLALSAKVEFYLEAQSVGEELPRTLAPSPVLSYPFQNSFLEDLDLFLPPKRLTLGERETTIIPLSFAK -SKKSGDAVLYSHAAARLAHFQGIGGVLHGVVYLVGSQLVASQSRISMWSKEQHIQHQAVNVHVDTDTGVA -FDLPIKDAFYASSVYGDSGAVIQVTCLCSPMSPNAIKAPFDMIFKIRGFTPDAPMCRTINFTQRFGWFAV -EPTTSTGAIKLKIWPVSNHLESEDMKVTGYTNAFLQMCQTSTMHFGSVIIHFSWTLFGGTTNAATAGGVV -TIAEGFGPEEENFRGHCRNLSIYEGRATVPLELGTFAGPTPLKKLDFKYRNWIRFTTPKGRNISSIFCAI -EVLPGFSFYGRTGSPRLSVVGTTVPPTADASTSNSQGGDMKILEINILLPWAGGEDEARVQDQAPLGLMF -LGIS - ->NP_620113.1 polyprotein [Beet ringspot virus] -MGFRELFASSLGDAARAKASLVRGMSGWLNTTLATVQAAGPEIRACAYSALWAEVDSVKELVPLSATMLL -NQLRADLKCAQVRAQKCTPASTSRFCSCGGIPLPGENKWEDELVPIPDCPVGRNFCRDGVFCKRHHGPGE -TLERVQVLVEAPKCPHCQGTGIIPRSEPMAYIRSEYERQTKTFARPSNPLHEWILEEGRESNHARRCSNW -YHMSMSQIDKRDNDPCDASTWMAAAQILFDNADAQVYYPGSNYRKLVGGSKGYDDWCQLPPSKEMCNRLF -DWWHRQNTPDYYVSEDTLADFVKPRMGSCHLPIEHDVHLLPREWHGRVSAGNTSHFMACLDGLSSSMEEF -LDVFYDCAAQFNGDIEIFLDTNEKPSRVVGNLGGVRVLLTTPAVCSPAKLLPEIEESDFDQLEDESISCD -SMIPPLFRDNGLSALYANLVLKQATVQSILMAHPDQDEIEDQVDHLENKQGGEIVTTPAFIKMLKEKRKE -VRGKEFAEGSEGRLVRASDLTLSREDVFLSGGLFEKFRKSGIVQTFKGKDPKLTKVCVDLTNSQEIIKYP -SKEMCSDSSGVHTGQVFTVLNRPLYNELNKLAESGWKEAKSVCLNLHIRSYVPVHTPLYAFCVIMWGHSS -DAETASLCGAGVYLGDQEAAVLELPLVCSYLGNSLEDFDAYKRSLVLSTVFFGKSGLFAGQNVFGITAVE -FTEYMPTSYGGITHERDSWQAMLRNHQGKDKGRFIAGFNVVDALERDKEEPIKMPNLDLEPVPRTQPIVR -TFTGEGKQPLLNKSRSMRIQSFVSFRGSNIPVGRRIDNTAEAINYELGRASTSSVSSRLDESKCNLKAGG -SYAFGETIELPATVTPGTVLAVFNIFDKIQETNTKVCSKWLEQGYVSQNLTAISHLAPNAFSGIAIWYIF -DAYGKIPGDVTTTFELEMARSFDPHVQVLRDVSTSTWVIDFHKICGQTLNFSGQGYCVPKIWVIAASTFQ -LARSTATKFRLEFYTRGEKLVRGLAEQPLSYPIEARHLTDLNLMLAPKQIAVGTYAMITFPVSLAAKLQS -TSGRTAYSYAAGLLSHFLGVGGTIHFVVRTTSSAFVTSKLRIALWGTVPETDQLAQMPHVDVEVNVDASL -QIQSPFFSTANFGNSGSAFYVSTLCAPMAPETVETGSEYYIQIKGIEANPGLCREINYKQRFAWCLLECL -DNSKASPIKVKIPSRIGNLSSKHVKVTNFVNALAILCATTGMHHGNCTIHFSWLWHPAELGKQLGRLKFV -QGMGINNEHIGDTMCYNSLSNTHSVPFQFGSFAGPITSGGKADEAENWIEIQSPDFSWVASLHVSIEVHE -GFKFYGRSAGPLTIPATVADVSAVSGS - ->NP_619704.1 polyprotein [Grapevine chrome mosaic virus] -MGFSEFFASALGTVARAKATLQGGFARFLSETVVTLQAASPEMRKFAYSKLWEEVDSVKELKPLTAQELV -ATLRKELWCAQVRAQKCTLASTSRFCTCGGIPGEATPTVIKETVHVDECPNGRNLCRHGTRCLRHGGPGS -FQQEREVQVDAPKCPHCAGTGIVPASASWREIRRCWREQRKVHSLPSLPLHPDVLFEGTNAWQTRLRWLK -TWRHVLGDVKPCTPEKWMQAAQIMRTCAVPSFENPIPGQFGYERLYNGEGKEEYWLQIPATDKYTDLIIN -WWHAKNTPGWEEPSSSLMDFKRNRMGPCLHIVEKRVRNSYVAPPWKPWGEDIDILSVMDSLSSQLEDFLD -VFYDCAAQFDGELEFSLSNDRLSSVTGELGGVPISIGAPSKISNTPPKVNFAELYGNLVRHNHRKISALR -PILMAHPDQDEIEDQLDHLENKQGGEIVSTPSFIKMLKEKRKEVRGKEFEEGSEGRLVRSKDLELSKKDI -FLAHTLMDKFHGMSIVKKFGKSDPKLTKVCVDLTNQEEVIKYPVKELQTTSEGVLSAQTFTVLNRPQFKE -LNRLAEVGWKEAKSVCLNLHIRSYLPVHLPVYAFCVIMWGHSSNAEQASLSGAYVYLGDQEASVLQLPLL -CGYIGNALEDMEAYKRSLVLSTCFFGTSGLSPGQNMFGITAVEFTEYLPTSYGGITHERDSWNQMLRNHQ -GVDKQRFISGFNVVDFVEAGKEKQLHFPDFDLQPVPKHQPIVRTFGKEKQPLLNKSRSMRVKTFTSFRAG -NIPIGRQIDNTAEAINFELGRASTSNAINPRLDTSETNLRAGGEFAFIHTIDLPTAVTEGQVLAKIDIFK -KIQDAKSMVCVQWMQAGYVNKNLTFISHLAPSQFCGVAIWYIFDAYGKIPSDVTTSLELEIARSLCPHVH -VLRDSKTSVWTIDFHKICGQSLNFSGRGFSKPTLWVIAASTAQLPWSAQVTYRLEALAQGDEIAHGLATR -SIVTYPISLEHLKDIEIMLPPRQMAIGNAGSINFPLSFAVQQKSSSGRIAYSYAAGLLSHFLGIGGTIHF -KIQCTSSAFVTARLRVALWGDTITLEQLSQMPHVDCDVDVVSSLKIQSPFYATANFGDSGARFWVTPMSS -PMAPETMESKLEYYIQILGIDADPPMCRQINYDQRFAWFTLLRPPDPKLSKILKLTLPSRVCNIAYKEAT -VTNYVNAFAIMCATTGMHAGKCILHFSWTLNKGTSFKDLQGHISFYSGMGDSTIGEHHGEFHLGGPLSSS -LAVPFEFGSFAGPVTSGGTPFTSENWLRVETAHWDWLTSLTVDIQVLPGFRFYGRSAGPLTIPS - diff --git a/seq/clusters_seq/cluster_474 b/seq/clusters_seq/cluster_474 deleted file mode 100644 index e06f3c9..0000000 --- a/seq/clusters_seq/cluster_474 +++ /dev/null @@ -1,36 +0,0 @@ ->NP_835350.1 putative coronavirus nsp6 [Human coronavirus 229E] -NNEIMPGKMKVKATKGEGDGGITSEGNALYNNEGGRAFMYAYVTTKPGMKYVKWEHDSGVVTVELEPPCR -FVIDTPTGPQIKYLYFVKNLNNLRRGAVLGYIGATVRLQ - ->YP_009924391.1 nsp9 [Rousettus bat coronavirus HKU9] -NNELMPQTVKRMNVVAGVSQTACVTDAVAYYNATKEGRHVMAILADTDGLAFAKVEKSTGDGFVILELEP -PCKFMVDTPKGPALKYLYFTKGLKNLCRGTVLGTLACTVRLH - ->YP_009944300.1 nsp9 [Betacoronavirus England 1] -NNEIKPSGLKTMVVSAGQEQTNCNTSSLAYYEPVQGRKMLMALLSDNAYLKWARVEGKDGFVSVELQPPC -KFLIAGPKGPEIRYLYFVKNLNNLHRGQVLGHIAATVRLQ - ->YP_009944374.1 nsp9 [SARS coronavirus Tor2] -NNELSPVALRQMSCAAGTTQTACTDDNALAYYNNSKGGRFVLALLSDHQDLKWARFPKSDGTGTIYTELE -PPCRFVTDTPKGPKVKYLYFIKGLNNLNRGMVLGSLAATVRLQ - ->YP_009944362.1 nsp9 [Pipistrellus bat coronavirus HKU5] -NNEIRPSGLKTMVVSAGIDHANCNTSSLAYYEPVEGRKMLMGILSENAHLKWAKVEGRDGFVNIELQPPC -KFLIAGPKGPEVRYLYFVKNLNNLHRGQLLGHIAATVRLQ - ->YP_009944333.1 nsp9 [Tylonycteris bat coronavirus HKU4] -NNEIHPKGLKTMVITAGVDQVNCNSSAVAYYEPVQGHRMVMGLLSENAHLKWAKVEGKDGFINIELQPPC -KFLIAGPKGPEIRYLYFVKNLNNLHRGQLLGHIAATVRLQ - ->YP_009742616.1 nsp9 [Severe acute respiratory syndrome coronavirus 2] -NNELSPVALRQMSCAAGTTQTACTDDNALAYYNTTKGGRFVLALLSDLQDLKWARFPKSDGTGTIYTELE -PPCRFVTDTPKGPKVKYLYFIKGLNNLNRGMVLGSLAATVRLQ - ->YP_008439220.1 nsp9 [Bat coronavirus CDPHE15/USA/2006] -NNEVMPGKLKQRSVKAEGDGVMAEGKALYNTENGRTFMYAFLADKPDLKVVKWESDDGVKVIELEPPCKF -LVDTPNGPHVKFLYFVRNLNTLRRGAVLGFVGATVRLQ - ->NP_839963.1 putative coronavirus nsp6 [Porcine epidemic diarrhea virus] -NNEIIPGKLKQRSIKAEGDGIVGEGKALYNNEGGRTFMYAFISDKPDLRVVKWEFDGGCNTIELEPPRKF -LVDSPNGAQIKYLYFVRNLNTLRRGAVLGYIGATVRLQ - diff --git a/seq/clusters_seq/cluster_475 b/seq/clusters_seq/cluster_475 deleted file mode 100644 index d45ab73..0000000 --- a/seq/clusters_seq/cluster_475 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_164828.1 3A [anativirus A1] -GPRKVVSLKPTLKFLDDEELKTPVKLMPECIEDLIRSVHDQRIIDWCEDQGYIFEPHMQHFIVERESKWL -KTVIKTTVTSLAVLGAVCGLIYAAWRLWPTSEQ - ->YP_009118287.1 3A [rabbit kobuvirus] -GGDEEEESHGCEICPKDTFHHKKIHVDEMAWLESDFDKEACILKTYDEMAREGMKNRAPCPKEIQDLLRA -VPDPKILSYCEQQGWIFPEDMKRLHVKRECNEWAVWALRGLSALAAISSIVGTIYLIYRLFASQQ - ->YP_005352652.1 3A [Canine picornavirus] -GSDDEWFETDYDKAPHVLKTFDEMKESGIELPMPREIADLLEAVNTPEVVSYCEQKGWIIPQKVKIERVR -SDIKKWAAWITTGLSVLASIVSLGSFIYMIYKVFAKSE - ->YP_004934027.1 unnamed protein product [Feline picornavirus] -GIEDEIRMLPDAYRQKMKVKHQIHDGDLGFERVQMVPDPEEWFETDWDKMPHILKTIDEQVKEGIIQDKP -MPNEVADLLRALRGDEKVVKYCQEQGWILPPDLTQIRVERDVKVTIEQVATGLSILASIATLASFIYLAY -RMFASRQ - ->YP_004782566.1 3A [Bat picornavirus 2] -GPYETCPSYESWLQTQYDRMPPVLKTFEEQKNEGILRPTPKEVIDLIRAVPTQEVIDYCKSQGWLLPDDV -VSIRVEKDINKMVTNITKGLSIIASLCAITGFVYLMYTVFAKGQ - ->YP_004782552.1 3A [Bat picornavirus 1] -GPNDYQTCVSDVWLESDYDRMPPVLKTYEELRKEGIERPAPKEVLDLVRAVPTPEVFDYCRVQGWMLPVE -VRHIEIKRECSEMVSHIAKGLSILASLCAISGFVYLMYTVFAKGQ - ->YP_004782538.1 3A [Bat picornavirus 3] -GPGTNDIISCEWNAEAKRRGVALVTEDEWFETDYDKEESVLMTIEEQRARGIEQPKPAPKEIADLLMAVP -TEEVIKYCKAQGWLIPAGVTIKRTKASTMDWIKKLSYGLSILSSLTAVCGFIYMLYKIFASVQ - ->YP_001552439.1 3A [Rhinovirus C] -GLGDKETPGFIIDLLSSSKDPKVIQYCQDQGYISPVHGSIERDFAYVTYALNCLGSLVIILGTIYALYRL -MCIAQ - ->NP_937976.1 3A [Simian sapelovirus 1] -GPSYEKPKLIRKQVVDKLLPEEVVEVLKEVPSEELIAKLKEKGYVFPIEVEVIRERSKVQKYLNWFTTVM -STLTTIVSFSTVVYMLVSVFAGKQ - diff --git a/seq/clusters_seq/cluster_476 b/seq/clusters_seq/cluster_476 deleted file mode 100644 index 7dfd396..0000000 --- a/seq/clusters_seq/cluster_476 +++ /dev/null @@ -1,73 +0,0 @@ ->YP_009640124.1 hypothetical protein MS2g1 [Escherichia phage MS2] -MRAFSTLDRENETFVPSVRVYADGETEDNSFSLKYRSNWTPGRFNSTGAKTKQWHYPSPYSRGALSVTSI -DQGAYKRSGSSWGRPYEEKAGFGFSLDARSCYSLFPVSQNLTYIEVPQNVANRASTEVLQKVTQGNFNLG -VALAEARSTASQLATQTIALVKAYTAARRGNWRQALRYLALNEDRKFRSKHVAGRWLELQFGWLPLMSDI -QGAYEMLTKVHLQEFLPMRAVRQVGTNIKLDGRLSYPAANFQTTCNISRRIVIWFYINDARLAWLSSLGI -LNPLGIVWEKVPFSFVVDWLLPVGNMLEGLTAPVGCSYMSGTVTDVITGESIISVDAPYGWTVERQGTAK -AQISAMHRGVQSVWPTTGAYVKSPFSMVHTLDALALIRQRLSR - ->YP_010084021.1 maturation, partial [Leviviridae sp.] -VIAIQGKGNLLIPFFVGNDGYSLRVNTKSEMSYGYSTCGQKSVLHRKIRNSLARVESPMYEFVSEFDVDA -GKEHVKYRFDGDVLRQYRFQSLYQGAKGRCLCTAQCLTEGAKIYLEPDGQHVNIVGPYMGCDIRDERFQT -MDDCISYWDTETAHIGENPQHRTFSMRKKFLPGVKVYPVTSFDALELKEEEEDKNRQTDLTRITHELRME -AYNSLHCSAGNLQTTLEVLSVLYGLAKGNFLKATEAVKSVSVRKARKALKRQSRRLTEKLSTVKGVSQEA -GSLWLKYRYAYLTTKSDIEENIAFFCRGTDTGSFQTLRSGTEVDGVKVSFLINIKKAEYDLRRGLNEAYE -RGFAFDAFTVWDLTPFSFVLDWVLPVGDFLEEYSEYQWAKSAFTVRYAITSYKCKTNYTLPGYVGSCSIT -RYRRSVSDHTPTWEFEWDGSSSNRTTLKRVADSIALING - ->YP_007237172.1 putative maturation/attachment protein [Enterobacteria phage Hgal1] -MQVAAPVKVGTVYKGNAKYRTVVVDRNGNPISDKTTVNTKFEQWIVERDNVRKSTKTRTKWRPPLAYRKT -ILSLSDIRGECSQTVNSSGRTTSISGDVPATNFNWNLKKILHGQSDMVMWDLNELARAETEVLVKLKNSN -INLGEGLAEAKSTYEHLAKTTKTLTQTIRAVRHGDWKGALNALKVDPNRKWSTKDPAGRWLELQFGWTPL -INDISALMDYKKTFDVWDAGKRRNYFSVTRALKSQDSGPYNTALSGFPANTSRGNIELGTTVKLYCTVAN -PKLALLNQIGLLAPQQVAWALMPWSFAIDWFLPIGTFLEAITAPLGFELVGGSRTRYAQGGGTLVAQAWR -NSASSSQNGLFERDFEINAMRREPITSFPIPRPYIKSPFSTSHSISALALIRQLTKR - ->YP_007237126.1 putative maturation/attachment protein [Enterobacteria phage C-1 INW-2012] -MRVNGTLNRTGTLEKEFTLYRTLTKAPVEGKPPSKPVTSTIRLRNNVVERCQRSPLRRTKADKFGWRNPT -AYNRTTLLSESLGGRVTDYFSNGDVAVWTGEAGTGSAYHPVRFNGLLNTYHQVAVSSNLMARADTEALVK -VKDMKVNYGEALAEARSTIRHLAKTSITLVRAIKFARSGQWHRVAKELGLRKDQVLTGKAPANRWLEYQF -GWMPLLGDIKGTMDLLNEGFRKKGYTFSVVRQVQSEGLPLVLSSFDANTNYDGTSKYLCKTKMYCKIRNE -TLASLTRIGLADPLQVAWALVPFSFVVDWVLPVGSYLEALSATHGVDFLSGTRVHRVEFDFIASSQPPFN -QQNVIKREGTFRSRHAGVATQRDVLTRFPFPMLYVKSPFSVSHGVSALALIRSLKR - ->YP_007111573.1 maturation protein [Enterobacteria phage M] -MYIDVTSPVSETWSGTCTVHRPADKGGPYQKTISGKVTTLSSSRATSSGKKGTVVIAGETIPWAYPRPYS -RCITQRTPLGGSFELVVDGYPATLFGRIPSETMVTPGGSSVTIKRIPNVTDFSGLPTISRNERSRNYASF -ADSFNDGSELANAALEFGDNIALLANLATSVMYFYRGVRHGSISDLNRAFGGGKIRSVSKNASSRYLEYI -YGIQPTLDDIHSTFEVLKNTPLSNLIARRKRMFTLDREFSDGGFRFSGKLHHQVGCHYWFDSPELHNMSS -LGLINPALIAWNAVPYSFVLDWVLPIGSLLNSLTAYAGANFISGWDTLYLEGTCTCAPRYSSLSASWPTA -SSLITIKAMRRQALAGFPRPLPFVKNPLSTTHLVNFAAMWRQRYK - ->YP_007002850.1 maturation protein [Caulobacter phage phiCb5] -MARIRNRSSIASSGMSTFYLFGTPIVNEEIIVRNTEWCSDVIGNPGDNPLDIHKQEWTIKPLSGQIIFGS -GTYRSLQCPPEYCRGASLSHLSLPSQSGLGTTALARTNPSRPAFNLPAFIGELRDLPRMFKIAGDTMLRK -GANAFLSYQFGWKPLISDISKALDFSATVRTRSDEWHRLYSNGGLKRRINLGVDIEQKKENDVVLHSSNG -FVVASHTVITVRKTWATVRWRPDAGSLPPITKSSSEKHARALLGLGVGGLIEGAWQLMPWSWMVDWFGNV -GTFLQASNNTIGASPGLVNIMTTTTTNHQFSVKRDLSDGWIKGGDCSATVTSKARSQSSGPTITASIPNL -SGRQLSILGALGIQRVPRHLLR - ->YP_717668.1 maturation protein [Pseudomonas phage PRR1] -MTKRKYRLRRSGILRQTFSAENKSDWTTSTGQRMTQTNISSADVGVEYATIYRGKGRWVWVQHPEGFLFP -WRMPSSYTRGHQVIDLTSSTSTITWASPFSKGSGTRTGVPFENLLNRGNWESFMESRLDMRPLLTYNEMA -RNNVAIRLKVGDAKVNLSVFAAEMKRAVTGLASNFITVVGLYRAVRKGDFKRVASLIKPDPRQKGFSSRD -VAGRWLELNYAIIPLLNDIRGGYEYISENFEKLMTYSVSSNLKTPVPLHYVEDSSSVSMQASGFRGVRTK -VHYVIDLPGLREASRVGLINPLLVGWELVPYSFVIDWLLPVGNMLEAFTATHGTKFISGTRTRWCDIDLN -GTVRSQYEGYVDWPNSGLSEQPFTGKIYSISRDVLYDYPMVLPYVKNPFSTTHLINAVALIRNLFKR - ->NP_040753.1 maturation protein [Escherichia virus BZ13] -MFPKSNIDRNYKVKLISYDKKGKLVSDDSFEQVENYLFQNRSTTYKPGYIRRDFRRPTNFWNGYRCFNQP -VGTFTRKLSDGGRQVADYGIVNPNKFTANSQHLGDNMVIYPGPFSINIDQRASVEVLNKLSQSNLNIGVA -IAEAKMTASLLAKQSIALIRAYTAAKRGNWREVLSQLLISEHRFRAPAKDLGGRWLELQYGWLPLMSDLK -AAYDLLTQTKLPAFMPLRVTRTVGGTHNYKVRNVESAGDTWSYRHRLSVNYRIWYFISDPRLAWASSLGL -LNPLEIYWEKTPWSFVVDWFLPVGNLIEAMSNPLGLDIISGTKTWQLESKLNATLPASGWSGTAKLTAYA -KAYDRSTFYSFPTPLPYVKSPLSGLHLANALALINQRLKR - ->sp|P15966.2|MATA_BPFR RecName: Full=Maturation protein A; Short=MP; AltName: Full=Assembly protein; Short=A protein -MRKFIPTERMSKSHVVSVREYADGELEDNSLPLIYRSNWSPGQYTSTGPRTKEWHYPSSYSRGAIGIKAL -DQGKYARLGTSWGREFEERAGYGMSIDARSCYSLFPVSQNLTWIDVPTNVANRATTEVLGKVTQGNFNLG -VALAEARSTASQLSTQTIALIKAYTAARRGNWRQALRYLALNENRKFNSKSVASRWLELQFGWMPLLSDI -QGAYEMLTKVHLKAFMPMRAVRQVGQNVSLSGRLTSPAASYKSTCNISRRIVIWFYINDARLAWLSSLGI -LNPLGIVWEKVPFSFLVDWLLPVGNMLEGLTAPIGCSYQSGTVTDVISGESTITADDIYGWDTVRPATAK -VQISAVHRGVQSVWPTTGVYVKSPFSMVHTLDALALFRQRLWK - diff --git a/seq/clusters_seq/cluster_477 b/seq/clusters_seq/cluster_477 deleted file mode 100644 index c074475..0000000 --- a/seq/clusters_seq/cluster_477 +++ /dev/null @@ -1,81 +0,0 @@ ->YP_010086065.1 nonstructural protein [Alstroemeria necrotic streak virus] -MSTSVYESIIQTKASVWGSTASGKSIVDSYWIHEFLTGSPLIQTQLYSDSRSKSSFGYTTRVGDLPSEEK -EILSQHLYIPIFDDIDFNININDSVMTVSVCSNTVNANGVKHQGHLKVLSLAQLHSIEPTMSRSDIADRF -RLQEKDVIPNDRYIDAANKGSLSCVKEHSYKVEMCHNQALGKVNVLSPNRNVHEWLYSFKPAFNQIESNN -RTVNSLAVKSLLMSAENNIMPNSQAFVKASTGSQFKLNLWLRIPKVLKQVSIQKLFKVAEDETDKSFYLS -IACIPNHNSVETALNVTIICKHQLPISKLKAPFELTMMFSDLREPYNVVHDPSYPQRIVHALLETHTSFA -QTLCNNLQEDVVIYTLNNPELTSLKLDLGKKTLNYSEDAYNKKYFLSKTLECLPVNTQTMSYLDSIQIPS -WKIDFARGEIKISPQSISVAKSLLKLDLDVIRGKKSLPQGASESESKQFVSICLLL - ->YP_009408638.1 non-structural RNA silencing suppressor [Tomato chlorotic spot virus] -MSTSVYESIIQTKASVWGSTASGKSIVDSYWIYEFATGSPLVQTQLYSDSRSKSSFGYTSRIGDIPVVEE -EILSQNLHVPVFDDIDFSININDSLLVLSVCSNTVNTNGVKHQGHLKVLSLAQLHPIEPVMSRSDIVSRF -RLQEEDITPNDKYIDAANKGSLSCVKEHTYKVEMNHNQALGKVNVLSPNRNVHEWLYSFKPNFNQIESNN -RTVNSLAVKSLLMATENNIMPNSQAFVKASTDSHFKLSLWLRVPKVLKQIAIQKLFKVAGDETGKIFYLS -IACIPNHNSVETALNVTVICKHQLPIPKSKAPFELSLIFSDLKEPYSTVHDPSYPQRIVHALLETHTSFA -QTLSNKLQEDVIIYTLNSTKLVPAKLDVGERTLNYSEDASKKKYFLSKTLECLPMTVQTMSYLDSIQIPS -WKMDFAKGEIRISPQAASVAKSLLKLDLNEIKKKKTLAWDASSSYPDSN - ->YP_009346013.1 NSs [Melon severe mosaic tospovirus] -MSSSCLDSIVQSKASVWGTSVSGKSILDTYWIHDYETGKPLLETQLYSDSRSKSSFCYTNKVDDIPIADA -ELVSDASVFSLLDDIDFSMTIEESFITVSVCSNTVNTNGVKHQGHLKIISTQKPVALNFLAESDHILKRF -NLKETDIVPEDRFIAAANRGSLSCVKEIMYETKTSNNQAYGKVNVLSPNRNSNEWIYTVKPMFNQTETNN -RTVNSLAIKSLLLSAVNDISPCSQVFLKAFTDKSFKLSFWLRIPKVLKHTDFYKCFKIPNGSSNLSLTIN -CIPNYNNIETALNFSLVSLKLKEPLSAPKQGKFVINFSSLKEPCCNVFDLTYPQRIVHSLLEVHTSLAKK -LSDFLREEVIIYTLNLPETEVKKLDLAGRTLNYNESPSGKKYFLSQTLNCLPKNSQSLAYLNSFQFCSLH -VDYIRGEICVLPTLSSASRANLRLDIASTMSKSPF - ->YP_009315932.1 NSs non-structural protein [Zucchini lethal chlorosis virus] -MSSSMYDSIIQAKASVWGSTASGKSVVDSYWIHNYTNGASLIQTQLYSDSRSKSSFNYTSKVGDLPNEER -EILSQNAFIPIFDDIDFCITIDDESLDLSICSNTVNTNGVKHQGHLKVLSSAQLDPIEHLMSRSDISSRF -HLKEEDIIPKDRYIEAANRGSLSCVKEQTYEVKVCHNQALGKVNVLSPNRNVHECLYSFKPNFNQVESNN -RTVNSLAIKSLLMSVKNEIMPNSQAFASISTDADFKVNFWLRIPKVLKQVNFQKLFKIAEDTSNKDFYLS -IACIPSHSSVETALNVTIICKHLKPTPEILSFFELKMAFSDLKEPYSAVHDPSYSHRIAHALLETHTSFA -QSLCDKLQEDVIIYSLNNHELFPMKLDVGGRTLNYSEDAYKRKYFISETLECLPANMQTISYLESIQIPS -WKIDFARGEIKISPRSPSFLKWLVKLDIDEIGRRRPKFSEAHKSGSK - ->YP_009162643.1 nonstructural protein [Chrysanthemum stem necrosis virus] -MSLSVYDSIIQTKASVWGSTASGKSVVDSYWIHELETGSSLVQTQLYSDSRSKSSFSYTTRVGDLPCEEK -EIFSQHVYIPVFDDIDFNISIDDSFLVMSVCSNTVNTNGVKHQGHLKVLSPAQLYSIGSIMSISDITDRF -QLQEKDIIPNDRYIEAANKGSLSCVKESTYEVKMCHNQALGKVNVLSPNRNVHEWLYSFKPNFNQVESNN -RTVNSLAVKSLLLSAENNIMPNSQAFVKASTDSHFKLTLWLRIPKVLKQISSQRLFKIAGDETNKEFYFS -IACIPNHNSVETALNITVICKHQLPIPKIKAPFELSMMFSDLKEPYNIVHDPSYPQRIVHGLLETHTSFA -QTLSNNLQEDVIIYSLNNHELTPAKLDLGDRTLNYSEDAYKRKYFLSKTLDCLPVNTQTMSYLDSIQIPS -WKVDFARGEIKISPQSISVAKSLLALDLSGIGKKKSKAPAGSQPEPK - ->YP_004421856.1 nonstructural silencing protein [Groundnut ringspot and Tomato chlorotic spot virus reassortant] -MSSGVYESIIQTKASVWGSTASGKSIVDSYWIYEFPTGSPLVQTQLYSDSRSKSSFGYTSKIGDIPAVEE -EILSQNVHIPVFDDIDFSININDSFLAISVCSNTVNTNGVKHQGHLKVLSLAQLHPFEPVMSRSEIASRF -RLQEEDIIPDDKYISAANKGSLSCVKEHTYKVEMSHNQALGKVNVLSPNRNVHEWLYSFKPNFNQIESNN -RTVNSLAVKSLLMATENNIMPNSQAFVKASTDSHFKLSLWLRIPKVLKQIAIQKLFKFAGDETGKSFYLS -IACIPNHNSVETALNVTVICKHQLPIPKSKAPFELSMIFSDLKEPYNTVHDPSYPQRIVHALLETHTSFA -QVLCNKLQEDVIIYTINSPELTPAKLDLGERTLNYSEDASKKKYFLSKTLECLPVNVQTMSYLDSIQIPS -WKIDFARGEIRISPQSTPIARSLLKLDLSKIKEKKSLTWETSSYDLE - ->NP_619708.1 non-structural protein [Impatiens necrotic spot virus] -MSSAMYETIIKSKSSIWGTTSSGKAVVDSYWIHDQSSGKKLVEAQLYSDSRSKTSFCYTGKVGFLPTEEK -EIIVRCFVPIFDDIDLNFSFSGNVVEILVRSNTTNTNGVKHQGHLKVLSSQLLRMLEEQIAVPEITSRFG -LKESDIFPPNNFIEAANKGSLSCVKEVLFDVKYSNNQSMGKVSVLSPTRSVHEWLYTLKPVFNQSQTNNR -TVNTLAVKSLAMSATSDLMSDTHSFVRLNNNKPFKISLWMRIPKIMKSNTYSRFFTLSDESSPKEYYISI -QCLPNHNNVETVIEYNFDQSNLFLNQLLLAVIHKIEMNFSDLKEPYNVIHDMSYPQRIVHSLLEIHTELA -QTVCDSVQQDMIVFTINEPDLKPKKFELGKKTLNYSEDGYGRKYFLSQTLKSLPRNSQTMSYLDSIQMPD -WKFDYAAGEIKISPRSEDVLKAISKLDLN - ->NP_049360.1 non-structural protein [Tomato spotted wilt orthotospovirus] -MSSSVYESIIQTRASVWGSTASGKAVVDSYWIHELGTGSQLVQTQLYSDSRSKVVLWLYCKVGIFPVKKK -RFLSQHVYIPIFDDIDFSINIDNSVLALSVCSNTVNANGVKHQGHLKVLSPAQLHSIESIMNRSDITDRF -QLQEKDIIPNDKYIEAANKGSLSCVKEHTYKIEMCYNQALGKVNVLSPNRNVHEWLYSFKPNFNQVESNN -RTVNSLAVKSLLMSAENNIMPNSQASTDSHFKLSLWLRVPKVLKQVSIQKLFKVAGDETNKTFYLSIACI -PNHNSVETALNITVICKHQLPIRKCKAPFELSMMFSDLKEPYNIVHDPSYPKGSVPMLWLETHTSLHKFF -ATNLQEDVIIYTLNNLELTPGKLDLGERTLNYSEDAYKRKYFLSKTLECLPSNTQTMSYLDSIQIPSWKI -DFARGEIKISPQSISVAKSLLKLDLSGIKKKESKVKEAYASGSK - ->sp|P26003.1|NSS_TSWVL RecName: Full=Non-structural protein NS-S -MSSSVYESIIQTRASVWGSTASGKAVVDSYWIHELGTGSLLVQTQLYSDSRSKSSFGYTAKVGNLPCEEE -EILSQHVYIPIFDDIDFSINIDDSVLALSVCSNTVNTNGVKHQGHLKVLSPDQLHSIGSTMNRSDIKDRF -QLQEKDIIPNDRYIEAANKGSLSCVKEHTYKIETCYNQALGKVNVLSPNRNVHEWLYSFKPSFNQVESNN -RTVNSLAVKSLLMSAENNIMPNSQAFVKASTDSHFKLSLWLRVPKVLKQVSIQKLFKVAGDETNKTFYLS -IVCIPNHNSVETALNISVICKHQLPIRKCKAPFELSMMFSDLKEPYNIVHDPSYPQRIVHALLETHTSFA -QVLCNNLQEDVIIYTLNNYELTPGKLDLGERTLNYSEDICKRKYFLSKTLECLPSNTQTMSYLDSIQIPS -WKIDFARGEIKISPQPVSVAKSLLKLDLSGIKKKGSKISETHASGSK - diff --git a/seq/clusters_seq/cluster_478 b/seq/clusters_seq/cluster_478 deleted file mode 100644 index 0e48832..0000000 --- a/seq/clusters_seq/cluster_478 +++ /dev/null @@ -1,202 +0,0 @@ ->YP_010086016.1 RNA-dependent RNA polymerase [Tarumizu tick virus] -MLRHAQDEWLARFDKASQVTRGLIRHVIEKEIIDEDGRVKKVFDRPSGGITDVRKRLTIIDSLKVLRELI -DKWDEIQALVGRAYPFNVVELSDVMRLNELIGLSGQCHSAASVTLLRSLIYDASLDRDEGREVIPPTPTI -PRELRIPPKFRYDLFYEESGSHVIKANSAEAVYIPNFRVGDVFEGKRSITSGATFEERVRHGMVTILQRM -IKLRGGSIQECFMIAILSYRCSDCVEKIRLSETGVGSWVPVDHICLFRSNSLRWLATLFCDFPEFPFLMT -RDGVKFAMNCGPLSSQLPLLFFQFLEQMILTTDGTYSSTIEGLLCCEWVDRARVGLFSEMFKRRKVISLL -REEIFDRTRLNRYSSVGLHVYRCEAVDPKVGAKVQLSKGTLEGLDLFHPNVREALVKLITVKEHSDEFGR -WLAVVMKGFASALLYVSGATSLSLEQSAQGTLGHGDPLAMPIMQVEVEGVWVAVDWEYPDSDVAAMVNVG -KDLCLSCEPEYANWEYGFYNVQTTNSAGNVKEAVDMRRRLLEQEVGEHAKLLVKVENTRILDCVQKISST -FTSPEGFAEGLDAPKKAGERHQVGRRPRVIQMVGTEGQLAAFVIHNVARPAYKQTRYTSSGKNSGDVRDM -ALVLEISGNKGYKSSLDVVGMDSSTKPVHTNITMSCVFRRLAKEYLGTPAYFLGSIPDCSDNVVRVRARE -KVGMSRRWREFVYELTYPQYVLLLGLNHWTSATRFMDGYFQEFVETSRMVFRSGLLNTADQHTFIGVIMY -TCLERRMKEGWYGRTKSGGRKEDERRLFLEQYEKRVRLLGSVLGDDQVAGVSCPGIESEDVLDGISMDIC -NETKYLMERLGYACEPDVSRYSAEFLKQRGVCGAPELFPERLLLFTSERGDMAGAMPLDRTKIMLSMIDE -KVGRARVTNGYYGVMLMVSWICGTASFAVSKRDQMTFRSGKSWKRVSQSSRKINRRWTAGFSIQCSEWAI -WNDYGMYYREWESGGMKAIFLGMGLLWGSSDVLGIPFPLVIRGDEVLTPGTSIFTPPSNAMTHYLLRFCE -RDCISSRTIWNEIRSKIYSADGSENEKIIEFIDRLFSEVGLTGVPRQYLMLYRTGASIVSGSLIAPLEVW -YDMALYGRLGGFGSWVAEDIFKDIRIERQRYHLPVLEKWKDTANHLLPVDRRHSSLRAGYELRRRFGIDC -PSSVLWAERPGSKIDQALFEVKRVGMEDVREMEGVFDYLHNLGDLNKRYIKRLSMGAFHVIKRLSEKKGY -GINIYDSGWGHTCTPNSIEARLVGCFGFPLYHGFNYEAIRERLFIDGKLPGDPKLYVKLARQALFKSEEA -YMLFSMAVGLSRRQMHDLKQIIEEGVVGLDEARFALSPRKTFLLNVSRRRGSLNFFSRCRRRSVRTFTEV -IGMALLLMEPWLYSNGRWEFITSHRLRATLGRR - ->YP_010086008.1 RNA-dependent RNA polymerase [Kundal virus] -MLRHTLSEWLKRFENACTETIAQIKPIEEITITNDEGQTYTSYKRPLSGICDVRKRLTIIESLEIYRQLI -QRWDEVQALTSRTIKFNILNLKKVKDLNELIGLSGYCHTASTIAFLKSIEYDSCLEKDMFPWEVIPPQPI -IPKDIRNPPKFKYDMYYIDSAANVIKAKTKEAIYIPNYRAHEVYEGKRGINSTSTFEERVRHGAVTMLQR -MVKLRGASIQESFSISMLSYKCSECVRLMKNSDVGTGAVVNNDHVCLFRSNSVRWLKEIFGIYPEIPFLM -TRDGIKFASNCGVLSTQLPLLFFQFLEQMILTTDGTYSSTWEGVLCCEWVDRARIGLFSEMFSRRKVISL -IREKLFRQSALLGFKNLGLHIYQCSDVKHAEEMTNDEIDSMKKGISLFHPTVEAIFTKLILRHYNDEEGR -WLAVLVKGFGSALLYVMGATSLSLEQSAQGTLGHGDPLTVPKFQIEINGQWFTADWHYLDPEIEEMVNIA -KGLVEKADPEYSNWEYGFYNVQTTNSAGNVKETVEMRRKILEKELGEHARLMVKIENTRILDCVQKILSS -FLTPEALAAGMRMVKKAGERHQVGRRPRVIQMVGTEGQLAAFVIHNTIRPAYKKTRFTSSGKNSGDIRDM -ALVLEISGNDGYKSSLDVVGMDSSTKPFHTNISLSCAFARLTKEKIGTPAFFLGSEQGSDENFFLVRARH -VNRNGIPEEEFNYELTYPQFVLALGAMNWTAPTRFMDGYFQEYVETSRMVFRSGLLNTADQHTFIGVMMY -STIEKRMRDGWYKRTKSGGRDDSDRRMYLESYEKKVTLLGSVLGDDQVAGVSCFGITDPDVINGISMDIC -NETKFMMEKLGYACEPDVSKYCAEFLKQRGVCGAPELFPERLLLFSSERGDMAGSMPLDRTKIMLSMIDE -KIGRARHSGGYYGVQMLTSWVCGTVCFALSANDQVTYRSGRNWKRVERSKGKISRRWMAVFKDNTGLMCK -WSEHAMYYREWISGGMRAVFLGLGLLWGCSDVLGVPFPAVFNGDICLTAGTSVFTTPSNAMTHYLLSFCQ -RSTLEIKTLWHDYKRNSGLYGDPKKMNLVKYVDTQLSEAGLSDVPNHLLYVYGIGSSLAGGLLITPLELW -YDLNLLSRLGGFGSWIAEEIFKDIRIEREKYTLPTLDMWKNTANHLLPADRRRASEYAGYKLYDKFGVKC -PSAILWAERPGSKIDQALFEVKRVGTEDVREIENVFSSIEKLGDLGRKYVKRLGMGCFHCVKKGVERRGH -GINIYSRGWGHTCTPKSVEARILGAIGFPAYHGFNYEAIRERLFIDGKLPGDPKLYMKIAREALSHSEEA -YMLLCMAVGLGKKQSTDLKQMIEEGVVGFEEARFALAPRKTFLFDVSRRRGALNFYTRSKRRSVKTYIEI -MGMALLLMEPWRYSEGIWEIQTSHRLRSALGRR - ->YP_009252403.1 RdRp [Sclerotinia sclerotiorum mycoreovirus 4] -METTYAHHLPDSLLNTHFPPDQLEQKILWFQSVVDGNLAVDVNDPNGPSSYLPFQFLFRASQDYLHSFIL -SLPPSPLSSCGADCTCTRHFIPLPVPPPIPIHAFIAPPIPSTAKYVWERDTNRMSVAPGSDVYLDNFDVV -SLFKMSASIKSEATPQQRKVHGMRVYFQQSIKLRALGLVQSTFLTLLSYRCTTCVNKGFRDHICFTTSPL -YEWMYNICKSYPCFPFFFSRDGIQPGLVESLSSQLPLMWVQVLELMNQVSLDNISDVASSYCGHAWSDRA -SIGLFGQMFPRRKIVEKIKNHAIDINTIYRFEGLNLNISGVSNATVSEEYRRSFSGETDFDGPVAKLLYD -HYISTPASSDESARWRDIVLNYFYGAMLYVTGPVVLSLEQSGMGLMGTTHLQAAPKEQVLIDGQWVTISN -HWANPFVTKYVELMRREMSTVELNLANLESRFFESQTTNSAGNTREALDELRREYVEEYPGVEGQLLAKM -SQVRAIDTIRRVSDTFTDDARFLEGMRRNAMAGSRTQQQRRRRIIQMVLTEDQVGAWVVLEMEKETYERL -GHTSSGKNVGDIRDMINSLNATALPGLTISDDVAGMDATTQKMQEMLNLEPVIYGYRPEIRGYPKFFLGS -RDGIPESCRRTFTTRILDVRGIEERRFEKQYNMPQVVIIYSLYASHGVTILRDGYFSDAVRTSTTVFRSG -KFSTSSQHTTIGSGVLESLKRDLANGYVAPYHNAPDRPLLRKYGRQLSQISSVLGDDLQLKGVCSGITQL -SVINAICFELCEEFEYRMNMLGFICERFMSEVMCEFLKQRAYGNAPHMFPDRLIAFSSERGDGVGAVCPT -QYKNMAAIIGEWNSRSRNIAHTANTLRCLAIACSGYSFRTTAKGVIHRAVRGRSGYKSARVTTDWSTKDP -GIYAFDGCTIVITRVKEMTKVAKLAAFNDVRAVTIILAPFWATTPHLGCPFPMLTSSSGAFPPSGPYTMP -TTSMMTHWGTVISRHAFSQDNLEAAWNEVQQSFATQGTSANHFNPGSMFDVLGYTSTKAKLPEDYDVAVL -LRWGVVHGLRISNIMPDMVQQREKLKIPTVEHWKRSADAMLDKRRVDLARVGNSELLAYGIKLPRELFYP -NRGTMKVEKSMFTLKVTGGEFGESCVSSLEACIAVSRTPIRHFTDVHEFLLASEVRYVSTQTRFELPTSF -VETGYGHVCPPRSLQSHVLSVVGLPRMSMNDGSLFRGSVHEDKKLPGDARTYVAVYRQASRVGKRAVDAL -QHAMGFSPKEMQELEKTATSDLSGVEWFSFAYLPRNTFLFSSDYRRVEEMCSSFNTVRHLKHADILSWYG -TALMFPEKYLTGFGWSAIGSGRRGVRHVRL - ->YP_009072449.1 RNA-dependent RNA polymerase [Cimodo virus] -MANTNIINFDTLKLLISAHQKESPKENQLNYSQLLTIAQNLDNNYNKLSLIVQSKLPLTNRSLEDDQLTF -LQLDDEFRCISELCLMPRDASLLILKSVNAKPPLPCREDGPIQPAAVPERIKRPPPFRYGNLKFSLGEHR -PIAEYRYIFNFDVKQARQAVEHADKVKDIAPGQSGDMNREAALIKFYLDKVLNQSRSINISRMCLAQILL -MRVRAIKHSWHTCCSDEDNPSIYYLQQLLAPFNRWPFLDSTTGMFYPHLESFSSQIPLLLYSILNRGYSW -TLGAISTSMFNLCMHTYFEWATTSLDDEHLLQKGAMNNVRKLLNERVDKSTILTYSGAGIGGLTDIENPE -EFWLRTPDSDERKNLMGILDDTPTTREYFDYVKIMLLKRFTRDKLILLRMGLACVTNNSTFKKSSPTLEY -DRSAEHKVHNPITMPSARLVYNGFAVEPRFDPESQIGRCWKVLQSKRDTINSMLEKRNFENEFWDRLTNN -SGGMTSETITTKKSTDPALRSMPSIPYGQRYVTALIDNDVLYNREKALASISDRIKAGKREQIDRRSRWI -MMVSNTLQVAFSVALTYSRELTKISKYIASGKQTGDVRDMLKVLRATADNNTIITDNDIKGMDSSTQEQI -ADMILQIVFQALDGLDIPQFFYASKAEVKCERRDLAGRTTGVEMKHLNAVQIFLIDIVGHMRSTSYEFTE -NVVDVVSYIAGSVFFSGAFHTAGNHNTLQDANLEDFEQIVCNAYQKYQLIISAQALGDDLNVAATFNQRS -EAVDNAMTNVISQLQKMLYDLGFITDPESSRSTATFLQQTAVYGGVEPKHARLSLVVAEQPIGRSKDPFG -QIKEIGDILDEISSRSPEPANANAILIANWAVARVISLSKGLDSAQFSRRLATMQSINHKYRRWIVAHAK -RIYLTIPMVAIYLPEVFGAPLPPLWIKGHGLKVPSFFMPKGSFGYWLISRALKKELTAEESEVLIKANRA -KLWKSLDEKVRLGLMTEARADQRRVKDVYVQLTQVIDQELAGNLGFSLSQWLFHVLPKTLRLAERDAKNP -EFARLVDIGKQKQDQSRAAASYLAQDRLSKHGISLPKGIAYYNQPENRLVNAFVQKNQQSRRIGLENESI -VDAIYAQLRSSSDILFSLPDSSLLDFDIFVSGNNYKLSSDAQFLQRATFGPCSPIGSDSHTLSLLFGTPF -GTPSHDAIVDSMKGELTVGADVELIMEQAARVSRRNKDLLDDFFTYVGIPYHSFAKVRMFIQDYSTYGLI -QYQGVMNKRKHFYSSTHTAAARSLVDLSELQSGGVRWTRLQMMIARDYFHTFPETKTKIRVVPTQALVER -LVSH - ->YP_001936004.1 VP1 [Mycoreovirus 1] -MSLTSRYTHFVPDSTITEILNDSNTPQILLHYANIVNGSTPVHFTSHHDNQVNWTVATLTRMSQYMIPDF -MKLFPPLEPTLSLQPDCHCSFINLPRPEIKIPIEILSPPKPNYAKYHYDATTSRVFVNSKHEMYMDNFDV -SQLIRDVAAIKTDSPSGNITKGLLKTFHDSIKLRALSPIMSMFHSLLSYRCPCCTSLNGMKKLNHLCFQY -SSIYAFLCDMVRPYMCVPFFVDRLGVQILPGFKVSSQYPLLFFEAIELMHTVGLGNLSDSLSGWCFYTWL -DRARIGVFREMFNRRGSITMLKSRVVSTGTIFRFSQREFVIESITEQRSTDISPTFEECSFSDSQYIQDN -CYKPIYDITTTLDDVKCRWLDVALNYFYGAVLYVTGPVSLALEQSGMGRPGSLNLQFGGTTDVYVEGRWI -TIDVEPVSPFVSRIKQLADRELAKTKVNGDSLEHGFFEAQTTNSAGNTKETLAGLRSEIIEQHDSPQEGR -LLASMAGIRVIDAMRRFNTTFRDHTEFLNEVRRPTKAGMRYQQQRRPRVIQMTGTEAQLGGWLLLNVYEP -TYKRLGYTSSGKNIGDIRDMQAVLEASGQNGINSSVDIIGMDASTQNTHVTLLGSAAIKAYNPERIGFPK -MFFQSTHNGGDANSRVLPTRVTRDGQTIPKDDDVKYNLPQLAIIYSLHGMHGPTILYDGYFAPAVLTSQT -VFRSGWYNTSSQHTMLGSLVLLSLEEDIRNGYKNPYDGAPERSLIAKHWHSIRIIGRVLGDDILLKAFGP -PTLTPDELREVTAEVCAEFEHRMELLGFLCERAFSDVMCEFLKQKGFGGAPHMFPDRLVLYTSERGNQAM -TNPTTMYRVCDALIIEFNSRSRNIFNTCVSRRVLQTVCSTFALRMTSSGHLVRRSYASRKPYSRVAKVSD -GILSELHNHKTVFRIIDYNVLGDHIAMIFLPMLWATNHILGCPPPAIVSISGANIPAASPLTYPSAAITT -FWLTATSRRKIDFDSSATAYKKSMSDISNLTAVPLDIIFSFSNAMELSPLSINLDKDYDIDTLRTFGFIV -GIMSDSLFPTPSATRAKIKSPVVDDWSRYADSLLNPTRVRSSHHGSEILAESNVVVPYELRYAHRGTAKV -RQSMYELPVTDLEYGENTMTTLTQLSESLKVKPGTSKLLRDAMLAGEVFVIPTTHPVTLPCPSFDAHGYG -HIIPPNSLQSLLLTHLGLPVSSASYTSSFAKTILSDGKLPGSAEAYLSLYQETYKKGPSAVAYLKDAIGF -SDSSMSALERLASNGLYGISGASFAYNPRGGFFFRFDQDNADRFGTSLSPSPTIRRLDIVHMMFTMLMYP -TTMVSQNQWMMVRFGRSFSRLARR - ->YP_392478.1 putative RNA-dependent polymerase [Mycoreovirus 3] -METSYAHHLSDSLLKTHFPHDQIEQKILWFQSVIDGHIAVDVNDPNGPSSYLPFQFLFRASQDYLHSFIL -ALPPTPLSVCVSGCSCTRHFIPLPLPVPIPIQSFTSPPIPSTAKYVWERDTNRMSVAPGSDVYLDNFDVV -SLFKMSASIKSDATPQQRKVHGMRVYFQQSVKLRALGLVQSTLLTLLSYRCTTCIKQGFREHICFTTSPL -YEWIYDICRSYPCFPFFFSRDGIQPGSVEALSSQPPLMWFQVLELMDQVSLDNISDIVSSYCGHAWSDRA -SKGLFGQMFPRRKIVERIKNHAIDINTIFRFEGLDLNISGVSRASVSEEYRRSFSGDTDFDGPVVKILYD -HYISTPALNDESARWRDIVLNYFYGAMLYVTGPVVLSLEQSGMGLMGTTHLQAAPKEQVLIDGQWVTISN -HWANPFVAKYVELMKEEMSTVELDLTNLESRFFESQTTNSAGNTREALDELRREYVEEYPGIEGQLLAKM -SQVRAIDTIRRVSDTFTDDARFLEGMRRNAMAGSRTQQQRRRRIIQMVLTEDQVGAWIVLQMEKETYERL -GHTSSGKNVGDIRDMVNSLNATALPGLTISDDVAGMDATTQKMQEMLNLEPVIHGYRPDIRGYPKFFLGS -RDGISESCRRTFTTRSLDVRGIEERRYEQQYNMPQVVVIYSLYASHGVTILRDGYFSDAVRTSTTVFRSG -KFSTSSQHTTIGSGVLESLKRDLENGYLTPYHNAPDRPLLRKYGRQLSQISSVLGDDLQLKGVCSGITQL -SIINAICFELCEEFEYRMNMLGFICERFMSEVMCEFLKQRAYGNTPHMFPDRLITFTSERGDGVGAVCPT -QYKNMAAIIGEWNSRSRNIIHTANALRCLAVACSGYSFRATAKGVLHRAMRGRSGYKSARVTTDWSTKDP -GVYTFGGCTVVITRVKELTKVAKLRALDDVRSVTIILGPLWASTPHLGCPFPMIKSTSGVFPPSGPYTMP -TSAMMTHWGTVISHLTFSHDHLEKAWNEVQQSFATQGTSVKQFNPGSLFDVLGYTSTKAKLPEDYDVAIL -LRWGVVHGLRVSALMPDMVQQREKLRIPTVDHWKRSADAMLDKRRVDLARVGNSELLSYGIKIPRELFYP -NRGTMKVEKSMFTLKVTGGEFGEACVSSLEACVAVSRTPLRHFTDVHEFLLASEVRYVPTQTEFRLPTSF -IETGFGHICPPHSLQSHVLSVVGLPQMSMNDGSLFRGSVHEDKKLPGDARTYVAVYRQASRVGKRAVDAL -QHAMGFSPKEMQELEKTATSDLSGVEWFSFAYLPRNTFLFSSDYRRVEEMCSSFNTVRHLKHADILSWYG -TALMFPEKYLTGSGWSAIGSGRRGVRHVRL - ->YP_392501.1 RNA-dependent RNA polymerase [Operophtera brumata reovirus] -MDSTRQVSLTLQQITKRLHLLISTQHNLTSSKQDTLTVQTNSIAFKAWYDTLQRRTDILFNKTELTLEDI -TGFDTSSQVGIYHTSLILLLLQEIKFRVTLSSSAESDLERIVSPPHYEFGTSSFRVNELDQHIEAASVRY -VLNYDAYKIVRDAEAIQLVSKKVRKVVSDELLEDKIVQYSSGDVNLYREADVMHGLVIKYVSQPRLLPIP -KLIQMIIETSNFPERGFELHENPVLYIISRLLSKYIDFPFTEKSGEMVYHHSVSMTTPHLIYSLSQLWIQ -YILNNVTRSELYMMVECHVMLSVKSFDEFVLEFKDLRINSSKILTEMVKQAFIPIYGSVAPAGIMLKEDF -SDIWRDGEREQFGTDFLQLCPMPLQKYLTDRRIIVNSQFTLGKIFEDDNEARRTHLIITLSTQHGLFIKN -PVIFTIDKSVHPRPSLGVGYDIKEYMVNPSDQTDSYPLTLLWKSSVTQSIRDELLGMIRPYGDRIRSMDL -FQEFIRCLTNNSGGIPYEPTPEETKTIPAGVLRALGRKRLMFFLLNPTVFSVYSAWRKAVETPTSSGERK -QVDRRGRVIQMVSNPAQIGAFLLFLIADTIGSQEPEFSSKKNSGTINDMMFQLSESGVNGVVYECADVKG -MDAATTKTLCALTGELVVDLFREHNGMTYFWAQSKDWNVVDSNGTSRRERIAAPIEVFRACEKFADTTPF -RLHEPETFGHVTTSPDSFPSGKFSTNAQHSFINAGAVRSWGKSFNRQMLQSKDSNERLTQPVRVTSLISG -DDLTIKVIYGNETVKALLAFSDGLQRHYANIGLSLESVLSKYSATFLQMTAVLGKPWPKADRISIVTSEH -GDAQRVEFREAMAEVIDVLRELSGRSGIPSNWVPIALVMGNMLRRLRVVISDTFNPVTEEHLQDDRILKR -GNSIYIKIPFITLFIKEGLGLPFLATNFLNRVERQLSYAPRGSLSDWHLRRILLKRDPFEYITSNGSQRI -YDFYAHYAQELHEKRLMSDISAFEDAERRIIAEVYGTPSDWYDGALADKLDLDLAVYIAKFDPSTRVKQA -RRRAIPASERDRWTRNLASQLNFQAITKSALAHMTLKELRYNVPEEIAFHHSPAERINQLLESVQGGYEE -FMMLRRESLLYRLRYKPGVRWIGPDSIESLLLFNPVILDSEYELHRDVVLDRKCKYMPSSTSDFDRTFGA -IQIWDTTSLKIFKVFSGYYGYRPGPFKEYNHEALVKFAAQVYHTNPTHLPYVWHLANIQGRHRQTLSRMI -KHMAVTLGSSWGSTVHTRQLFEIGKDPRAIIRCFELPSRLHQRAEDTAALVARDLHLAYGSYGLNQVMIQ -LHPLFIRQTDGTRERNTLLRMLTKKVIE - ->NP_690891.1 putative RNA-dependent RNA polymerase VP1 [Colorado tick fever virus] -MARRPSKEWRERFNALSLKCKQLIHSSITNDFTTTDKAQQSTFYSSSGLMDVRKRLSISESLEVFGSLID -NWDLVQLVGTRAEPFVLNNPASYLLVNQLLALAGQAHSAASMVFLNSLMYDDALDHVNLWPYEVERPIPQ -ITREVLSPPPFSVNSYYLQRDIDVIKAKTKAAVYIENYRAGDVFAKKRSISKGATFEERVYHGAVTMLQR -MVKLRGSTIQECFVIAISSYRCSDCVRRMMASESGTGAIHEMDHICIMRSNALRWLQAAFSDFPEFPFLM -TRDGVKFASNCGAVSTQVPLLFFQTLEMMVLTMDGTLSSTWEGWVCCEWYDRARVGLFSEMFDRRGVVAH -LREAILRQSRLLRYQSRGLHLASVSNFPKRSVSADGLDDRIIEGLQKFNSKVCSFIQSWIFQIDLVDEPA -RWLAVMIKTFASALLYVMGATSLSLEQSAQGTLGIGDPISFPRQEILLEGEWIAVDWYYPDPDLADMREM -GMALVDNASPEYTDWEYGFFNVQTTNSAGNVKEVIEERRKQLVAEFGDQGKLLAKVENTRILDAVQKITS -TFQNPQDFCDSADNVRKAGERHQVGRRPRVIQMVGTEGQLSAFVLHNVLRPAYKATRFTTSGKNSGDIRD -MNIVLEISGELGYKSSLDVKGMDSSTKPFQTNLSLSCVFHRLRGEVLGYPAFFLGSTSSSKDNYVVTRTR -YRDEHGGILVEEEYKLTYPQYVLLLGAIHWTSPTRFTDGYFQEFVMTSRTVFRSGLLNTADQHTFLGVIM -YALLEKRLRQRWYGKHGKEMREKIGQRREALEEYEEHVKLLGSVLGDDQVAGAFCQGIVDEEVILRITRD -LCDETKFLMERLGYECEPEISEYSAEFLKQKGVLGAPELFPERLLLFSSERGDMAGSLPLDRVKIMLSMT -DEKIGRARCPLPYASLMLFTSWVSGTASFSIGEEGRLLYRTGRNWKKVFASKRAASVAWKDQFTSDGVFD -VRWNGFGMFYKEWASANTKTILLGCGLLWACSDALGVPFPPLVQKDEILCPGTSVYTIPSNAMTHYLLWA -TRRDRADAERMWRAVRDDLLRGDNDPLESYIEYVDKMFAEVGVIDIPFSALHIYGVGMGFVAGVMPVPME -VWYDFGLFGKVGGFGTWIAELVFKDIRIDREKYDLPRLSMWKNAANHSLPSEVRRASLYARDTLHEKYGM -VVPSAVLVAERPGCKIDQALFEVRRVGMEDVRELEKVLDELTRLNHLERKFTKRLAMGLFIVEKLTERRT -GYGPAIASNGWGHIAAPYSFQARLLECLAFPMYNGFNYEAVRERVFVDGKLPGDPKLYLKIGRQALSYSE -EAYNLVSASMGLSSRQAADMRDMILEGINGLEEARFALNPRKTFLFDVSRRKGAGFFQTPHRRKTNQAYC -EMMGMALLLMEPWKFSSGDWRMSFSHRLRAILGRR - ->NP_620280.1 RNA-dependent RNA polymerase VP1 [Eyach virus] -MARRPSKEWRERFNTLSLKCKQLTHTNTSTDLSSSNSAKPTTFYSSSGVMDVRKRLSISESLDVFGDLID -NWDLVQLIGVRSEPFVIENPASYLLINQLLALAGQAHSAASMVFLKSLEYDDTLDTVSLWPYETTPPSPV -VPKELLFPPPFNVNSYYLHKDIDIIKAKTKAAVYIENYRAGDVFAKKRSISEGATFEERVYHGAVTMLQR -MVKLRGSTIQESFVIAVASYRCSECVRRMMVSDSGTGSIHDINHICIMRSNALRWLKMLFFEFPEFPFLM -TRDGIKFASNCGAISTQLPLLFFQTLELMILTMDGTLSSTWEGWACYEWYDRARVGLFSEMFERRGVVAH -LREAIFRQSDLLRYQSRGLQLASISQFPRRSVQVDDLDKRITEGLDKLNPKVADFIKSWIFGVNLSDEKA -RWLAVAMKTFASALLYVMGATSLSLEQSAQGTLGIGDPISFPRQEILLDGEWIAVDWYYADPDLADMREI -GMALVSDADPEYADWEYGFFNVQTTNSAGNVKEVIEERRKQLVAEFGDQGKLLAKVENTRILDAVQKITS -TFQNPQDFCDSADNVRKAGERHQVGRRPRVIQMVGTEGQLSAFVLHNVLRPAYKKTRFTTSGKNSGDIRD -MNIVLEISGELGYKSSLDVKGMDSSTKPFQTNLSLSCVFHRLRGEVLGYPPFFLGSMSSSKENYVLTRTR -YRNEHGVIVAEEEYKLTYPQYVLLLGAVHWTSPTRFTDGYFQEFVMTSRTVFRSGLLNTADQHTFLGVIM -YTLLEKRLKQRWYGQHGREIRSQNGHRREALEVYEEHVRLLGSVLGDDQVAGAFCRGIVDEDVILRITRD -LCDETKFLMERLGYECEPEISEYSAEFLKQKGVLGAPELFPERLLLFSSERGDMAGSLPLDRVKIMLSMI -DEKIGRARCPLPYASLMLFTSWISGTASFSIGEGGKLLYRTGRNWKKVYASKKTASTIWKQEFVSDGVLE -VKWNKLGLFYKEWSTAQTGTILIGCGLLWACSDALGVPFPPLVRTGEILCPGTSVYTIPSNAMTHYLLWA -TQRDRATAEQMWCEVREQLVRGEDDPLGKYIDYVNDMFEDVGVSDLPYAALHIYGVGMGFVAGVMPVPME -VWYDFDLFGRVGGFGTWIAEIVFKDIRIEREKYDLPRLSMWKNAANHLLPSEVRRSSLYARDTLHQKYGM -LVPSTVLIAERPGCKIDQALFEVRRVGMEDVQELEKVLDELTRLKNPERKFMKRLAMGLFTVEKLAERRT -GYGPAVAEKGWGHIVPPASFQSRVVECLSFPMYNGFNYEAVRERVFVDGKLPGDPKLYLKVGRQALSYSE -EAYSLVAASLGLSSRQAADMRDMIIEGINGLEEARFALNPRKTFLFDVSRRKGAGFFRTPHRRKTNQAYC -EMMGMALLLMEPWRFSSGDWRMSFSHRLRAVLGRR - diff --git a/seq/clusters_seq/cluster_479 b/seq/clusters_seq/cluster_479 deleted file mode 100644 index b34a1cf..0000000 --- a/seq/clusters_seq/cluster_479 +++ /dev/null @@ -1,263 +0,0 @@ ->YP_009666292.1 RNA-dependent RNA polymerase, partial [Beihai rhabdo-like virus 3] -MANIFRRDRHLASAIICTEIEFVKMFKNDRSKLKREHIELVKKHEDLLDYDYLRFQADFCKVIQSKPEIY -GNAPRPALAKSVLVALRVLSASEENWVFNSSSSVVRDVGPDDIITEEMVLWHDWKHIFERIYKRSCNTSK -MCTSFSSGSISIDFFGDLVVLKHGGKKILGTYHQLLGVKSLVSSHFSALLYAKLSDIYEKYPGISLYNTV -KEFLEKGREDLIKLGNSYHNIVKAVPSLAIANILERSEVLVRNTLLSTVKGPLEKYKSKVVEFLTRPIAG -EAGVHVLLEVSGLWKSHGHCVIDTVSSANNFMDLVQSGPEVNQSYAKMVRNMFVKCFCKEYFRINKRWPS -LIQAGPVPGHILSSIKTSTWDEIGIYGWTHEDFDNLTFDKTLDFDYFIDTSDLLSDKATSAGRSNWMNNF -DRKAYHSLYSSSAPFSSKDKTRVIPQYLAADSPKVEEVIQSIEDRSLSFDNYVIEGVFKEKESKIDKARP -FVKLTYSMRLWQTSTEKNIADKIFPYIPFQSMTMDEKTLLETISKVNSNLSGSDVSHAFITFDYSKWCVH -FTWESTYHLFRELDNLFGFNSVYLFTHEFPMMSQVILQDRYNPPESDSKGMPFETPFSTRHNKRLMEGMR -QKGWTLFTCLIMYSAASQLGTEAFLLGQGDNQTVLLSIPHPSVLRDLDMSVQTYIDAFLGKVSEISSEVG -MELKMDETWVSTNLFEYGKKYHYRGVEVSSGLKRASKISSETNSGLPTLSNSISSLFSGGISTSGCDSSP -QPGFVLACVEAAVLLSEEDQSLSILETAALLMIPRHLGGLPVVNYAGFCYRGQMDPLTSAISLVNTCYHS -DRELWSKVSSFLRLSPSNRRDLLLLIKDPFSLCIYVPMQPENMIRKELRDSMEVVVENKDIKPLFSYQSK -VEEEKLVKDLSGVSPFNPRLANMIYQLSNVSLVERIVGRFASSKSVRGVIERRTGHLTETRFREIISELD -RNCLSDYKARLRSPEKPDLETLWGTKSHCSSQIASFLRNNTWGMDIQGITMPAPNEQVFIGRWNDDAIEP -LRSILVEVSKGDRLTSTRGPYHPFLGSKTQMRTKKSSLEVVDTNSITTSLQKILSLQPWVSQAGEVNLAA -LFKVLLEEKTNLSIEDIKSFSPTVVGGSVTHRIQDTGGPRGSMINGRYNFNTHCRVVTDTALSFAKGALD -YTICFQSVILASLSRLGHEYGAGEDVHGKWALSLNCRGCTRLIDQDIYTLDKPPTYRGVPIGVKIDKIKV -RGSPSQSSTSSPDPRREMSSYMAQKWASFIKHWSKDSLETSIESGNETPLPAFVNLTEMCRVEVDVLVRM -TALDILLSTNGHITSVKTILDGFRIFPTYGPIGVMACAIIQSDTFSQMGGEEDDEGIYPSSIQDMSRAIL -RNMKSFLENLESDDINIVPSNSTPEAMKRRQEILFKLDKRGLPMDFYKPTVARPEGVVSLEVREMGQFGH -HGVNTIVPLGIELRDPTSRSIAFKRRSSEVLTSCWMIGVRSKSTTDIIEMYDRIMNERPERFIVIGEDEG -DWLSALFHSSPPSFLEGSMHLEFSSDLDKIVEYECMEDIHLRPRSIRGDRCAINMDEESMLRAHLSGVLP -TINKDDLILCTDKVYNLLWDRDICCKWLVLCENRTVATPKWRYLSFLEKKCWIFFENSDMVEREIS - ->YP_009666283.1 RNA-dependent RNA polymerase, partial [Orinoco virus] -MEDDDPAPTYEKPNKSPIFRRDRHLASALTRGEIDYLLNNWDNPRGLKKEHILLKQDTQDVTFLSSSQYI -HWALIHVSSQPATPSSVSLLLRHMRVANDATILGHRNYAKIDPVLAPPVATPLLAQIFTVKKYLARVVDD -ITFSRRFRQEYTFFGCKAVCYGNLHVVWTSDRFAYLITHSHFLAVRDCVNSWFSCLAYAYQNSKKYPGYN -LYNEVANVITSVLRDTGIYKDDIYDLLKSWQPLVIGTILKYMESDPSFLLSMTETITTYQNSYLLQLATR -PLTTHVDCQVALELTGLTKSFGHPEVVMDDSVAAWFDKGTVRKENLEEIGELARSAFVLEFSRNYFKTKR -RWPTLVFQDGADPRVRECYEGGYWGELPSDPWTPEMFANVVTGPTLAFDYQVYTADLLSDKSVIPGRDHW -IYEFDTQAHRTIHGYFPKGPPRESGNVILQYISRESVNVREIVDIIATGHIPKKWKVCIGVAKEREMKKR -KARFFGKMTLEMRLYQVATENNIKNVFKYIPHQTMTKSEDGLMKHLIKMANSPDDEEGGYVFISIDFSSW -CTSFRWEGVTPLMEELDRLLGLHGVFSFTQRFPLMCVLLFQDRFNPPRQGGDGDPVNGPRCIHGPEAWME -GLRQKGWTLLTILLILIASWKCNTVATLTGQGDNQVIYLRIPARKTLEDLKMTKHEYITWFQMVLRDLCT -GAGITMKLEETWVSGILLEYGREFFLKGAQVSSALKRISRVASEANQTIPSINGDLAGVFSTGMAAAQKD -HHPVAAYWTTIVEASFTLQERFPELARYPVEYLTALVSTSRILGGFPVTLFANFCTRSVQDPLTSQLCLI -KTFLESPRHRPHMLKIATTLMSRADPKMLVQDPLSLPLRMPRQPENYIKDKITEGLPSLIKNRELIPLFG -PEVEDRRQELLDDLMRIRPCNPKLLSKLMTLSNVGKQDALVRKFSNTRSIQAIACRDWNSEADILKKIRD -LEDGSIRHILSRQTDKTLASMTPATCTTLLAQELREIGWGIPIEGVTMAAQQEQTTVCGWNQVDIADYTK -TITLTMRGDPTLPWDISRGPVPPYIGAPTKVKAKRQPLQDTESYSFNQALLQALQLRSWVKGDEGMTGLI -DALIGEKTQATPEELDRRTAHVYSGSITHRLPCPTMNRGGQSNSTSNLSSHIQISSSTATDFAKQGIDYT -ICFQSVFLYGVSVAGLLYRAAGVLPDKLAIVLDRGCCIWTIEPEVFSLDKSIYKGVPIPTAMAILPESAQ -RFGEEGLIKINPTESYHIHLAFRFAMWILNRRDTARISALENRAIDEISTPPFVNLSETSRLDVVPFMKY -LITYLLILDPFLIGRLPLLLNDIRKAKMRTGFDDLIDTFSLSGLTHRFCKETVSSVLDLEDHEGWRITLC -DYIMNTVGEDQLKHITTWFCILPDEEDFLLRKWIRATISLLGEYIQIKTRTKDELLATYQAVIDELRHKG -YTPPPLRVCSSEEESVASIRHAARPVARGYHDIPDVPSPLITHNPSQPVSEERERLMHCLDGGRGVNHLY -EMCRYLRNILEDLSEGPLCLVTSGDKGGRMYSVLYHSIRGALCEGFPMWRGDERDSSRLLETGPIFITGD -SCAIPYSHIEFLANMGDKGPLRLREDVSRRCLFVTKDQRRAEEVFGCPGVELVLVRGELERCPGRLVWEC -AFQRVEGQERCTMWRLYSKNVKMRAHARVLIGLGNGTFSQAVRRPVHLAMYMQATRMVCLSPMTPVLHIL -RGLPINKRDLVYRM - ->YP_009336618.1 RNA-dependent RNA polymerase [Wenling crustacean virus 12] -MFEDITQPSISTHVYRRARRVTAAITASNYFSWKEGYATEDVAKWFDRVIPDNYDILSYQRNLYQMFWRH -PCGEEPCSNIVRSAVNQATLISTVDWCNINYEIDVGLCNQSKLYQRLNKIRTIITRAINELGSSLEKEVK -YSVASLGIKFEAFEEWVRITRRGCECIMPWLGLIAFEDSMIGLTTVLMMIYIEDDLLHRSRASTVEQYIV -AGLESVAMLGYQVYDILKQWLPLTVGNILSTEAEGYDNALLQTVVGEVPDCPLKTLLLREMHTREDKLFA -IELVGLQKCFTYPIVNLDTAVDNVTGKAGKFRAPIIGGYHTLWTFRKIFCREYIRKHKVWPPHTIVGPIN -PALATCIAENKWGELAGEWHPDVFRNIQLDECLEFDWHIDTTDLLTDKSIACPLHSWVQEYDSRYHNIRY -GKSARRGPPAQKRLILKHLATPTVDTRESIKRILSREDPNNYVCVMCAKERELSWRLARYFTKLTFDMRM -YQTTLEDNMKKIMQYYPHQSMTMGGNTLAKTILKNSITTTMKVHLDFTKWCMHMCYHLVTPLAKELDRIF -GLPGLYESIHILPQEMYTLFQDRTNPPEQLPNGKPKPGPRCHYGLNTLGEGMFQKVWTTVTGCAILYHLY -STGYKVNLLGSGDNQVALVDVPSGENPHAVQMNVLAALARMSEETGLPIKLAETFVSNHYYEYGRSSYLD -GKKVSAAIKRCSRIGTDSQEALPSLNSRVGGIHATAIACAGESVCPIPAYAVAQYESGLQILSHSDFQPD -VPRLSAVLLTTRAFGGLKISGYSSYALRGNLDPLCSNIHIFKTAKRMSYLHPKPWKGLQSMPQKILDTDF -EVVLKDPYALSFSSPKDAELWLRDIVSDALPSIVQNLALKVLFSSNHDVAKAALVEDLEKIEPVSLKLLA -AIYQYGNPALKERAIGRYQSSTSVNLLVGQAYSMMELYNYSSQRDKVIVDYFLRARGNVISNLELDGCPV -LTSDNLRVKSLKKDLVTPSMPPVQHQITMMRWDEVPTALVPRTIRVTVAPSISNYLLERGPYKAYLGSDT -RIKHTKGPLEVINPDTMERSALSLAQLETWVGEDPNLQDLLDRLIKEKTESPVDTVKDAASKIVGGSLEH -RGEVMTLPKGAHINYDPNISSHMTVNTDTATAIARKQADYTIMLQGAKVYIGSYIIHQHIAGQDVVGEWG -AVIPCHYCLREVYDGEFSMDYVPTYPGLPLGMERAMVFKSLALRPGRGDPVAAYHAYLGEELSQTVTNWD -RIERVIETDTIDGGTLSHNSSINVSELARANIESLLIYTFAYISISEEAHHIMSKASVQPPGPGLHPAEV -VVDTLMVAGQAQNLVRLVGGAFGYQLENRDQRIRLITTLYTRQLKLYLGKIEYVLPFDSSHTVYQLCSLK -NDNVRLPVELPSLRGDLERVAQLALKLTGIRVAPPRDTCCKALRQQPLTVSSPITCSLDTDIKGGPGTIG -PWILSNTTTYGLTAKASQVWSLIGELPQYPAWTLDDKYGAIGVILGHRGFPSYILDGSRRTQMSTRITNG -PVAIYYDECTGSYQREYYIHNLCSSKITRPPHQQLLVICSRDPRSGPLAALLRDTDIILSRTPVGGPLIG -WPRRYESDIWYLSTLPPLEQEPRNITFPASTLTHYTERVLAPYVRGAALLKVEELSSAAVWEFVSNEVDA -YKERKGLLTPGLTTAERIARLQSSKLKAGSENDLLTLMWLKAKTQGFMGTSVEYHRVGDDLHVNCACTTA -KLKYRPIDELLAVFLVYLYRGY - ->YP_009333422.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 5] -MPTIFRRDFHLASPIDHEELKYACYCKDRRRQKIPTRMKPEHKSLFKRFRVQEVRDGMHESKNLQATLLR -HIQRNPAPSVKSKKSRATRKKAARFQPSATRQTLARGIMIAFRALAISFACMFQNTNYREDLPFDEQEVL -TEEIVHLYHWRCYMEDLLGSGVQGTTKVYLKRYLGPNHRLEFFGNLLVYTDLNTRLRIVCTYNELLAVSS -SISSQFYALLYCRIADLSNKYDHSLYDEYCLFREAALNDLHRYRNKAHDIYKALPSLAIAIINKQFDKLI -DGSRFYNSMIEDLGHLRIAQLVKFMIKPLRDEQDIHIRLELSGLYKSFGYPIIDVEETLNYFHEYATAQP -TTIDLTFCQRLRNSFKKYFIKQYFKEHDVFPPLSFTGPIAQPLAESIRKNQWREHSYESWDPDEFENLEI -GKCLDFDYHEDESALLSDKSISGGRKYWFEEFDQDALYNYWGFRGKRSRVSKRLLVHYVTEPETKVKDTI -ELIEKEGGLPIDDCIMVGVEKEKENKIAGRVFGKTTRRARQYQTVTEWNLGHNLFKYMKNQSMNMTDKEF -RTTLNDMNKPFSQHKNKRIFISFDFKKWCLTFTHEAATPIFEVIDGLFGLRGVYAYTHTFCQQALYIFQS -RFAPPPVDPDGMPFPTKGSIYAMNKWLEGMRQKGWTVITSTLIEYTAASLNTKAQLMGQGDNQVICLEVP -EHDVLASVAATPDQFAQHFIKVLEDHAAKIGLTLKPSETWASSNLFEYSKKYYYRGTEVSTALKTASKTG -SLTNNDFNTVSNGVAGAFSSGVTIASSDNAPFAAYMLACVEACQVFFRHPLQLAFTDTEMVALLLSNRNV -GGYPTVLFSSFGVRGMLDPLTSCIAIIRYCCAYHPDVFDCLARLLDFTPYNADPLLLIKDPLALAIQTPK -SPEGLVRHLLRAGLEQVTRNAAIRPLFSVQTKIAEQQLVQDLFSMVPSNPKIMNALYKNSNVCIRDKLIH -RFAASRSVRELLRRVVQLDETEFRELIVSFDFQMYRHYKRRLARPPQSVTNLFKHAPNLTCSIAVAQHLR -ALTWGKPIVGVSMPAMEEQVTLERWEYVEAGNHGYGFGIKVDEGVSTHTRGKHNPYIGSTTGKKTARASL -SIIDNNSMTQSLQEILQLGPWMLAPGEENLAALLRTLYDEKTSIKMVEMEGLTRTIVGGSLTHRLRCDAI -KYNTFWNALSVFNTHCYLNTDIMFKFAQTSTDYTLCMQSVMLISLARLSMLHAVGRDITGYHACVLECRS -CTTEIPNEQHTLPATPQYPGIPLPNYVNKLHVKVRLHQLPDDYSRDPQFSYSTHIAWKWAKYLLSTSGGS -LDSLPIHTTPTQTPVFCNLTEFSRVHVRTFLRTLSCSLLLSSESETEYERLLADLVYFNNLQSIKALYTT -LCKCNLQGLVNDEMGDVMSSGLTFQLALHSYFLQNLSTCPDNKRFNGYFNLTPYQVTQTRDRADALCEQR -RYTLAPCVVGPEAEASEMVRRHVKVPHPIPSVKRKLDQEFVPQEGEFLRAKRVKKSHYASSLEFDGDGTL -GVTALRYLSLEIDTAYVVSMDDAEGIYIRQLLELGYTIGKIVVVPRRAKDYLAESHRHPIYVREACDTYT -LTHDQILAWTDGTVPSAATLYICPSRSALDKVPIGSNVLLLAEDGEYNVHVIKDLNVYAYPQKWVYCTRT -HSPTYITIRKSLSELLALSSSQSILRCIRMAKVPILHMDIVRNAFVKFFGVAVHPPAVCALIEQRNTYIV -QCIESLSLHDLRESSRRERQLQYKSRKKQLEKLLTKLSNLIAVAATLVKRKIQIPPKVHIHNTKGGGLRI -CLGRQCHTKHHEVRGDIGMRSLNGFWLLSHEVDASSALDSVRRSWIHGTVEILDDYGYDPYVVEHARSQD -LFTEGLDAYLERVFETFGDNVNEETFVMDY - ->YP_009333418.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 4] -MPTEFRRSRHLCTAIDHREFEHVLEVLRFRKRSLLQPEHKLLLKIYKRRMFKNHNKNFFQSVLTKYIMSN -HVTFSDSTSHPSLAKGILSSYRAFEVSFKCMLDNSLEKPDLPFSVGDLLTDRICHLYHWKREMISVIQRV -ACSTELEVKIRMGKVKLHFVGNFCIMKLHDEVYPATYNEFLAVSSIVNSHFQSLMYCKIADLTKKYDVSM -YDFALRFFDAGLSDLYRWGNQAQNIFKSLPSLAIATINLYNDKVINGGPFYASMMDGLLEYQSGELIQLM -TEPARGDDYVHMLLEFSGLYKCFGFPIIDVEETISYMHELITASPGYIGTYARHIRNVFVKYFMKEYLKQ -NGVYPDLAVVGDVPDELSDAIVRNEWTERGFEGWPADWFEGIILKKTLDFDYLDEESNILSDKSIIPDLE -AWIHEYDRNAMKLMNPHLKNHDYPKRQTNRLLVEYLTKPHTSVRSTIEIIEKEGQLPKKDRVMVGVKKER -ENKIKGRVFVKMTRRGRQFQTATEYNLGHELFKYIKNQSMNMTEKEFIHTLANLNKDFVKEDTSNCLFIS -FDFSKWCLTMTDQALTDFFTCLDDIFGLRGVYEYSQKFPQQCLYLFQDRFFPPKIDKITKLPKEGKASVY -HMNKWLEGMKQKGWTVFTSMIILDTAVQLGTTASIMGQGDNQVVVLKLPDKRVLHKNKQTPTDYATAFIA -QLEKNSSKLGMKLKPEETWSSSILFEYSKKYYYKGVEVSQGLKTASRIGQDTNDDFDTLTNSVAGSFSSG -VNIASRDHSPIPGYILACVNASLDLEIRLGLKVNETVAFLLGNRSVGMLPTVTFESFMVRGVQDPLSSCI -SVIKYCYRHFPAIAKVFSFILDLRQYRPDPKLLIKDPLALAIKIPQSAESAVRNLLRESLPAAVKNEVLR -PLFDVSAKDAESDLIADLWSMNPKNIKVMHNLYKSSSIALREKYIGRFAVSRSIRDILRHVVDLNNTEFR -EMIEMYDEGVITFYQGKIQRMRANKKIRLQSLKDVFLGCDSSCSRVIAQHLRDETWGSEIVGVTMPAPHE -QVLIHRWMSNTRFGRAFNITVTPGATHKRRGPHNPYIGSKTQKKTAKSSLEVIEVNSTVRAMQDLLQLGL -WTVTPGEENLSDLVMNLYREKSDIPVSVFEDVVKVVVGGSVSHRLTCDAIKVGTFHNGLINFNTFCYINT -DVMTHYAKSTDDYTLCMQTAMLVSQARLNLLRICGVIVEGDWACEVICDDCTIPVPPDQCSLPQEPRYKG -LPGMPKCNKIMVRSRSHQVSNKRLGDRVHYSIHAAWKWAYLVMRSRTIYTDGNLYSDRPGEVPDFVNLTE -YCRMDVDFFLNTLIIAIGSLCRSAFDIQAFVLDLQVFDKLEAVKSLYTTLNKAGLFTNAKRILHFHDYSF -SAFRKSFLRKLQALGSAKLSSKTAKYCLVTPYQTPHEAMNMKRLMRERGVRCRVGVCDGEVDAVVKVRKT -TRVTPATSVRHQFMNIGDELEVKNLCCEIEKCYYAHALDADGQGIRGLVSLSQLNLRGHSTTHLVSIGDT -HGELALSVLRCGLEVDTITCIPKKKGMVNSSSFEPSALRLEYEGWALTDDQIRAWTDHTQPNGSMYVLAD -DKHLRGVPIGAEIIVKTRRSELPIIPICVVKDIMGPFFWVFGILTDRDLDYTDHLFRDVRLTAMLSSRER -VLTFLQTVHNNYFHNHRDNILRTWEKFVGCAFNPDTIAQDFNRRLVNLKYSIEREMWENLYSKDRAFLKR -RGRSKVLSKLMAEMSHLYAFAETLRTKRIYHPPSIHLHSMRNRRKFFICPRGNCGQRLYRTKPDLNKLAS -GAIWAISELYPGQCTVVEIPKSIAKQCAKVDDIWVG - ->YP_009052467.1 putative RNA dependent RNA polymerase [Soybean cyst nematode socyvirus] -MSAVWHEFEEEVGPSYRQDLHLASAILYSEVEFLWKYQNQKRQWFSHKKMMEMCKGRLPKWIIDPVMTTS -THRLMMLIAQRAKVDREDGDKLRTRLSAALIALTWGRQAILQGNDTRDVSWVRNQVMSLASDPDLLALYA -QRRWTRMIVKTAGKQKKDATLSVLGIQLIFSGNLGFWEYGGDVNVDPWSIFCCLNDIVSGRFTTMLYTKI -ADILDPHPSGNRTSRVKDMLRLMDEVVMDHGNIAYDLFKVIPSVVIGHFLRTEEPEGGKVFFNALKTDLT -QNHKLSRTRLFRFLTTYDTKTESQLFFELAGLWKMAGHPVIEVKKGIMKMHDRGGYMTLDVEDEAQRAAD -EFKYQFTLEYWQKHRKWPPMTFSTDTPTIIRTSYAQSIWPSGRIKPKDFRTSKFGKLFSFDYNPDLLELI -EDKSIIPELASWPSEYAWAARVAIHGRERQLMTTRSQTKRLVMAYLRDPEPSLKKVIETVEETGSLLKED -LVIVLNFKERELKREGRLFAKATFPARLYQAGTERAVGNDILGYLPYQSMTLSEAQLTNRLALYSDHLRI -GSKTKKFMALVLDFSGWNLRFRAETMGPLYQCFDDLYGFKNVFKFSQLFPMLSVILVKDEFRPPPMNRMT -GLPMECLTCYYGSECWNEGMRQKPWTVLTMMLILGIARELRTHVSLTGQGDNQVIMLGIPGEQELNNLQQ -TPTQYAQSFLHKLSVKCLAIGIPLKPEETWISGRVLEYARQYFIDGVQVPATLKKMTRLHSLTNEDFPTV -ASDVSTVFSAGCSGANLASDPDPVYLTTIVEAIEAIDYNWPKLVESLDPNHLAALVSTNRTLGGLPCSLY -PDFLIRGLPDPLASNISIRHAAWITCPDSRTALWRTRPLPSRHVDPGMLVKDPVSLPLLLPVQAENYIRK -TLEVALKENAINKMVARLLSTAADESEKCLINDLLQLKPFNPKVAHEFYLLSNAGLRVKLLGRFYKTRSL -AQASSVNPRAILAKIAQHERQALDYYMRRFIDIPPVNYPPELLYTGFRPRLEGWCSTDVARQLRQKWWGM -DVEGITQAPPWEQGFLCHYDKIPTRLLSASLLFNVLPITHDGSSCPDRQKKQQGPSGQPLNKGTRLDYIW -TRGRAAPYLGSQTKEKFKKPILQTAEVGSILASMKRIVTVFEWLREPNDPNLQALRDILLSEKTDLGVED -LVLVAPRVYGGCPTHRLHAHAVPSGSTYCGLLTFATHVRFSSSGATRFARSVDNYTVMFQQLFLYATSHL -MLRCLAGESVQGEWGLVILCDGCTEFIPETRAMLAKAPTYRGILCFDRVTSIQMRDQLPTLGPTLICPKI -ESAALIAAKAVAAMMSEDAMQAYTYEHPETMSSSTIYPVTDFARTDLKAMLSCVAIYMTSLQSLFQEQPI -GPGDDIDYTASTVFFMPEITMDPRMIPLQTLARALLTSGRVWELFQLCPTMTRFHNSVITVKGVVQLLVQ -VIRSLQATNCRRALKIANLVPFDTPLATYLRQWELCIINEYGRRAHHLIKMLNKLRPLLTSLDEPLQNLQ -DVILTNAADYQVLDGEAQSLLNVAPERTRPEGIVIDELRSGIITAHSISDTRDLLLPIPPDDTVMILVMV -DHDNTPEEPYVPPAPIKSSKARLCHITRVVGNVSTSISKVLDILTCAEPYQVTPYTVAVCVAEGAGSILS -GLLHLYPTLLGYYNSLLPESDRGDDPCVYYPPALIADPCNLTGRLMGADEMRAGYRDLTHPGTINKIVST -VVEANWQLSFLTMDAEVTDSTVYRQLLLSTIELIYRVAGPTTVIIIKLFLSCPEAAILIGVLSASCATTK -LVKPPASDRESTEVYLLAQGFERSHWPIDLTATKAGNNAIRHYCHRRHQLLQMDNGDYARSLTYEANRLA -SSLLTCPCLSTVTQAMNIFHFRRPDGNPLDRLMEARRDLEQAAIRLKNQHTCGSVDSHALEKHLMHRGLR -THMEGVVRQRNEIWLAERLIDIGPDRIHQAVEEAMTDQFRIPIHFHLRGSIHFCMSNRCVTDTDPTPKSI -VRLDISVCELFDKIRRPILHLYHCRVPGEEGRFVRRKPRIGYRGRGRGRKIPLYQM - ->YP_009044201.1 RNA-dependent RNA polymerase [Sierra Nevada virus] -MEEPEAPPIRHKPLYRKDLHLSSALLGGEVDYILHNYLAGKRDKLWEDHQLVLRSLQDLDVASYRDFIRN -VWGMTKKTMTQLKYKKDYICHLRISLMALNAGLSNWMQKSCIDLKAGTACPTVGEDLINYCCTTDLLNWF -EMVVSNKNEIERVAASEIKEDKMTLNGVDLQLIGDIVILKDKTTVTPAPYITALAIAGMTNSRFTTLLYA -RVADYWKKYPSISLYQKCSEFFEAADYDLSTLGEDLYGVMKCLPSMAIGAVLKHTEMKLESHFLETVTED -LPASRLRNIFCSPVLSLEEAHVRLELSGLWKTMGHPFIQIESSILELRAKGTSPAVPTAREGGEDLANFF -KKYWCHSYFKKHHRWPPLTGEQSLPLHIREAYKKGTWEEPSVGAWSHNHWKDVSFLPHMDFDYSIDTSEL -LSDKAMIHSRSQWGYTYNPAAHKVLYGRPIKRPPKKNQRVILEYLERPEVSLKEVIQRIETGDIPKEWFA -MVGVFKECELKRDKGRLFGKLTFEARLYQTATEHNIAEKIFPYIKGQSMTMSEEELKRTILRMSSSLKAY -IEHDIIFISVDLSQWCTTWRHESAGPLLRVLDSIFGLNSVYNLTHLFSQISGVLVQDKFWPPPQDESGEP -TESMTYISGFLAWLEGLRQKGWTLATLMVIEKTAIEYGTQATLLGQGDNQVICLRHPSTKQLKNLGLSVQ -GWAEGFLELLEKNMAFIGLVLKPKESWISTSLFEYSREYHIGGCPVSRGLKLASKLLSAPNSQVPTFNTT -ISSLYASSAGLAGADQTPLMAYYLATFLAQLHLMSRLSGPTRSDERFMTVLLSIGRTVGGLPITPFSGFC -YRGVLDSLTVNLSILRTLELTGFSTEVGRVVDLFTLSHRRDPLLLVQDPEALPLATPAQPENYLRNVLSD -RLTTFVKNKQLLPLFTEQAKASERQLACDLLNVQPCHPRLANLLYSLSNAGLRQRLLGQFSNTTSLQSVL -LREQPGGPRYLQDHLAVMDRAIFSFIEQKRKGPGHGCLHQQCGLLPDDPWCSAEAARRLRARHWFEYNPV -GITMAAPQEQFIMAPYATLPFEALPNTILARVENLYPNAYLYRGNFRPYFGSKTSEKVKKGALQIVDSEK -QITALRKVLTLKPWLGAASDKNFEDLLNTLVQEKTTISLAQLEILKDTRISGKVDHRVCNPTSARGSMAN -TLLGFSSHVFLTTDTATNQVRGGQDWSICYQTVFVSAISRLELLHRYGLDVEGNWGLWTQCGQCTIPVED -YSFRLPSPPLYQGMELHKKITEISVVLPSTLPAGDLAGREGLQVHYGRKLAFHFFHCLSPSTGGGGYTQA -APEPDLNITELNRIDLCTTLKHTRLYLEALRPGLLEDLQAFALLSHHAGALSMDNLLHSLMVAGKLQDVY -LLSDHKLTLGSISDDFKRQEGRQALIMALLKAKEHSDTSFYRDHNRATPYDSAQTLIKISMLVAQETRNH -PASQILSDLLLFAQNNRADPSSILGLIPYSVRPEIVADEAVCCQTIRREAPALPTIHLSLPCSPPLLSAP -SGKQLVCKQTRPCIPHLHAACIHGPCVVTTELFWTVQDCSRISEIANELPIHLLDDEEGSLTVAAWHLWR -KTCHVQVAQPPSSASRLEFLINTGPEAFLGDPCSLDYSRDLWISSLLAGPRLEPAEPIFLITAEEGWQAV -FCCLPLGSVALVRCTRTELLSMDGAVFLETVPCAISPASSTWIFLLRSKDRSTITVPSFSHAWNGVRRDF -STVMRECYDLWQRHNRHCNCMNLLRGLEASLGLDLSSRPLLVTSISRGIRQLELALSELPHERTRNRAGD -PNYWMDPATRRTTARGLLKRWCLLRLFYLLARGEMPADWRESYSFSCHCHLEHGRLRLCWLSCEGESVLY -RTNESSASLLKSFARVVYPLLPLFTHL - ->YP_002905337.1 RNA-dependent RNA polymerase [Nyamanini nyavirus] -MEGPEPELPRPRHNPPLYRKDLHLSSALLGGEVDYVMHNILSGKEGQLWEDHREVAGIIKSTNIGSYRDL -IRLAWRDRRVPSHGDLQLAQAVYTKRLKVALQATNVGLLNWMQRTSQQGTLQSLIPTLGDDLLNHCLTRE -LLMLFNQTEENRRQILSVAAQERRNAKVTINGVEFDLIGDLVVYTPSRSDYKIVAPYTALLALTGMTDAR -FTTLLYARVADYWKKYPETSLYSECIEFFCKADHDLEVLGEDLYGVLKCLPSISIGAVLKHTEIKLESSF -LETVMEDLPRSSLATWMSRPVTSLEAAHVRLEVSGLWKTMGHPFINVSSSVAELRARGTSPAVPTAAEAG -EDLACFFKKYWCKVYCKKHHCWPPLANPHELPEALRECYLKGTWEEPSPGSWAYDLWKNMEFKPHLDFDY -SIDTSELLSDRAMIHSRSQWGYTYNPVAHRVLYGRALQRPPKKNQRVILEYLERPEVSLRQVISAIEQGA -MPREWFAMVGVFKECELKRDKGRLFGKLTFEARLYQTATEHNIAEKIFPYIKSQSMTMSEEELKRTILRM -SSSLKAYSEHDIIFISVDLSQWCTTWRHESAGPLLRLLDQIFGLNGVYNLTHLFSQASGVFVQDRFWPPE -QGPDGEPKEGPTYISCFLAWLEGLRQKGWTLATLMIIEKTALEYGTQATLLGQGDNQVICLRHPSKKQLA -ALNMTVQGWAEEFLSLLERNMRQLGLILKPKESWISTSLFEYSREYHIGGCPVSRGLKLASKLLSAPNSQ -IPTFNTVISSLYASGSGLAGADQTPLSAYFVTTFLAQSHLGRVLSQEAMEDEKFLVTLLSISRTVGGLPI -TPFSGFCYRGTLDTLTSNLSVLRTLEIAGYEEAVSRLVDLREPSPHKDPLLLVQDPEALPLRTPLQPENY -LRNLLSDRLTHYVKNKQLLPLFTNRAKDSERILAHDLLSIKPCHPRLANLIYSLSNAGLRQRLIGQFSNT -TSLQALLVQEHEGGPRALHDHLAALDRALLYSLEEKRRGESHGCLLDQCGLNSAGPWCSALAAQALRAKH -WCEYDVVGVTMAAPQEQFLLAPYSELPVEAYPDTLLACVESLEPNAYLTRGKFRPYFGSKTSDKVKRGTL -QIVDADKQLVALRKILTLKPWIRSQDCPNLESLLNLLIEEKTSIPLSDLEILKDLRISGKVDHRVGNPTS -PKGSMANTLLGFSSHIFITTDTATNQTRGGQDWSICYQTLFLAAISRLELLNRFRVPIQGKWGLWTDCRG -CTRPVNDHHFRLGRAPQYPGMPLDRRIQVISALRSASLPSGSLEGRHGLQVHYGRKMAHHLLNSLSPSSG -GNFTNVAPSPDLNITELARMDLSSVLSHARAYLEARNRYSLYALQQSALMTCQDSVLCIDAVTHSLVIAG -RMHSVYQLAGMTLQTEADHPQPSSSLKSDRLALLTALFSVKSPQDQRFYRDHNYITPMDSALTIIEMALQ -AATESGVVQAVNLLQELKAMTQRHQLLPQTVFSLIPHAFRPQVVADEAICCQTIRSERRSLPVCSASLPC -QTVSLSPPLKFKLLCKQTRPRMPHLSLVCNLGPDPLTTELIWLCSAESLLRSAITGCQCLVIVEPPSGPL -SLAAWHLGPKMLICLSSSAVLDPVSLERAINLGPEDHLMDPCEIPYDRTLWIASLVRDKPLPLVHASLYL -FSTLDLPLLYQMPKGSRALVRLLSCDRLVLRGFECQRMLPCAVSPESSTWCLLKRVCLDAESALERTFPV -PGFTAMWNGLRSDATALAKEMGSLWSSFNRHCNCLNYVRRIAATLGICLESRVSAIASLAKSKGVVESAV -ASVTYESLRSRASETTHWTSPGSRRATVHQLIDRWHLLQFLYLYTRGQASASWSEEYHSTCHCHLSQGRF -RLCQLGCSSDSDELPVMYSREVSGPSLLRRWGRVIWPLVPVLDVLL - ->YP_002905331.1 RNA-dependent RNA polymerase [Midway nyavirus] -MEEPELYRPPRHNPPLYRKDLHLSSALLGGEVDYVIHNILSGKERLLWEDHKEVAEIIKSNRIGSYRDLI -RLAWRDRTVPEDCQLKKYQEIYTKRLRVALKTTNIGLHNWMQRTSKGGTEHSLVPTLGDDLINHCTTREL -VMLFLQSEENRRQVLSIAAQEKRRATATINGVHFDFIGDLMIYQPHRADYKIVAPYTTLLAVVGMTDARF -TTLLYARVADYWKKYPNTSLYQECLAFFCKADYDLEALGEDIYGVLKCLPSLAIGSVLKHTEIKLTSTFL -ETVKEDLPKSSLLDWFSRPLASLEEAHVRLELSGLWKTMGHPFINVASSVMELRARGTSPAVPTAEAAGE -DLACFFKKYWCRVYCKKHHSWPPIRNPSCLPPFLLECYQKGTWDEPTPGSWAYEHWRDVEFEPHLDFDYS -IDTSELLSDRAMIHSRSQWGYTYNPTAHKVIYGKPLQRPPKKNQRVILEYLERPEVSLKKVIEQIEQGTA -PKEWFAMVGVFKECELKRDKGRLFGKLTFEARLYQTATEHNIAEKIFPYIKSQSMTMSEEELKRTILRMS -SSLKAYSEHDIIFISVDLSQWCTTWRHESAGPLLRLLDQIFGLNGVYNLTHLFSQASGVFVQDRFWPPEQ -GPDGEPKEGMTYISNFLAWLEGLRQKGWTLATLMVIEKTALEYGTQATLLGQGDNQVICLRHPSKRQLDS -QNLTVQAWAEEFLSLLEKNMQQLGLILKPKESWISTSLFEYSREYHIGGCPVSRGLKLASKLLSAPNSQI -PTFNTTISSLYASGAGLAGADQTPIAAYFLTTFLAQSHLGRSLSEQAMQDESFLVTLLSISRTVGGLPIT -LFSGFCYRGTLDTLTANLSVLKTLEDSGYAEAVSKLIDIRDPSPHKDPLLLVQDPEALPLRTPLQPENYL -RNLLSDRLTGYVKNQQLLPLFTDSAKDSERLLAQDLLSIKPCHPRLANLVYSLSNAGLRQRLIGQFSNTT -SLQALLVKEHEGGPRALHEHLAALDRALMYGLEERRTGPRKGSLSEQCGLVAPAGLWCSALAAQSLRNRH -WSEYEIVGVTMAAPQEQFMMVPYHELPITAYPDTLLACVESLEPNAYLTRGRFRPYFGSKTSDKVKRGTL -QIVDADKQLVALRKILTLKPWIRSQECPHLEGLLNQLIKEKTSIPLADLEVLKDLRISGKVDHRVGNPTS -PKGSMANTLLSFSSHVFITTDTATNQTRGGQDWSICYQTVFLSAISRLELLHRFGLPVQGKWGLWTDCRG -CTRPVNDHHFKLNNPPKYPGMPLHRKIQEITALRSASLPVGDLSGRQGLQVHYGRKMAHHLLVALSPSSG -GNFSNISPCSDLNITELARMDLSSVLSHARAYLEARRPGGLYTLQQNLLLTGQDSQLSVDSVVHSLVIAG -KMHAVYQLAGMTKQLDESLQSRPLALKSDRLALLAALFNVRHPNDARFFRDHNCATPSDTALTLVELALL -SAQEAHATQALGLLQDLKSMTQRHQLLPATVLELIPCTFRPHLTSDEATCCQAIRSEERTLPVCLASAAC -ETASLSPPLKCRLFCKQTRPFVRHLHAVCNLGPNPLTTELMWLGKTHSLITKMLSTSPFVVILEPPTGPI -SLAAWHLGAKMIICLSETPVQDSLCLERSINLGPDDHLMDPCEIPYDRTLWITSLVRSKPMPLISSNLYV -FSTLRKETLQQMPKGSFALTRCVALDKVELEGFECLLTMPCPVSPESSTWCLFKRVEIEGSRSLKKTFLI -PGFPAMWNGLRQDRCSLEKEMGRLWQTTNKHCNCLNYVRRVAETLGINLATREAAIASLSKGKATIEHAI -GSVTYETIRSKAAETTHWTAAGSRRRTIYELLDRWHLLQFLFLYTKGQMMQEWELEYISTCHCHLSKGRF -LLCQLRCGPQDGSSPAMYRRDVGGGLLLKKWSRVLWPLLPVLNSL - diff --git a/seq/clusters_seq/cluster_48 b/seq/clusters_seq/cluster_48 deleted file mode 100644 index 86e7939..0000000 --- a/seq/clusters_seq/cluster_48 +++ /dev/null @@ -1,2528 +0,0 @@ ->YP_009552779.1 putative polyprotein [Yongsan picorna-like virus 4] -MNPENGSLGEKRGEMGADETVCGNHYTRLFIRDWAEECCSEDDMGSNTHDVVVVPTEEVMEPISEEETQE -IEEVTSDVVVVPTEEVVEPISEQEMKELNGFEQKRCLFSEENEFEYSEEELLGGETSEEDDGIFGMLDDK -ILTKDGVVEFDGDLIKHDVVSDMFCVHNVRDVRNKCPCFLYPKQGSKFVFNDAMKKDAMIILQEYNIATV -VGGVDFILKKYVRHQVVVLALSTQDNKITVNGQTRPEMLKLLYSLREMYDNFNDFGQKTLQGTFVAEGLI -DGVPFVKKFWDFVEKFKSAARSVGDFVKEIFTKFTALLTGFFDVVSEKLSELLNKAFKKAASIVVSCITE -GLYDVQALMLNPEVKKLIIVFGVILMIMLIEAIGIMTFVIVKPFLKHITSMFTTKPEMMIGEADMSIMSL -IIMVVVGIMKLKADSESTILTKVKALGSLLQSCSHIGAGLMVAFLLLPQVVQDAIKCSFSPHYAQKIAME -NWMSRTHALVILSKQVKVVAHPSYRKWLLEQYAEGAKLKKTLTDKTLIPMFMRAFSELIKILSIVSSLDE -NEKSRPLPFSFHIAAHPGMGKSLLIELFLKAAGSQKHYCRSMADPYYSGLLDHDGILIDEFLEVDPDQMK -LQCKEYLALNSTVTYKPAMPSLDNLSCGIKGTNFVPLVVGTASNSTHPGAPSGFQVNAILRRRHLTMEMV -PKPGTNFFKDNRVDLDSMTDEQIRTGAWANFKIHQNLRPGSCLGEYTLEEMLAITKKMAEDHRLLCDRVA -AALNVGHAEDFDPSIMLNDLLRDMNGIPNESRSIFDVVKDWFMGTFVGEGPPLKRMDSDNGAGPSTDKGV -RKNRKTRRVEAALAKNRQSTDSANVRRINHYVKRGDITEEEAENYYSSEESSPSCDVIADLAHENVDHTK -VHRHICTNCGRHFAHKHQERNHVSLCLACEATGTKEGFIWTRQTSHSPVVTGFDPLFLGPDLGLTTMSSE -EINELTQRIRDNFYSMDLSHFTGDYAPVLTEDVRSFFNTMRAPSRSSDEFIEDFETSKKPIYILMGFDIM -QKVIVLWMLVKAIRYFSRPNEEDSIVCFGESPRSDQETHQPHKTTWRVDNYAQGNSRALTCVLGNLTCYC -LPVEGRTYVMHSHVFFDTEGGKLPLSTELVVLYTGKRFQTTLRECKIRLVEXKDLVVITLPPSCTLDQFP -KSXKKFIKEEDLDSFSFGSGSLDIGGVTTYIRIQRDKNREYKYGNKIWKLDDCFGYVAQTMPGDCGKPII -AQGNWAPGKIIGLHVAGGMNEKLNQKVGMGTFITQEFLAFVMKEDPVDISTVIFSAESIFQGPNLIKIAK -VPKSEQVHLPRNNRIKPSIISEDLDLPKKRSPSLMSPDDPRSEGVDPLVTAISNLVAVEQPNVDREIFLH -AASEQRAYWKDVLHRGKVWVPLSIEEAIGGVPGRLASLKISSSSGYPLCKINDKPGKKSLFWFEGQNLVI -ENSFRTRVYECYDQIMSGEEYPARFVSFLKDELTSSSKIDAKTCRVIFSGDVTLTVVGRMMYGNFLIAFH -ESRLNTPSAIGLNQYSWDMHHIYTFVKRTLSRTNKVAGYIAGDFKNFDQNMIKVFQTQAYDLIGELSGVT -LKAHQSFVATQTNSPIQVMDDLLYLQTSHFSGCFFTTILNILVHELYVRYVFRELSIKNRMSSLNFEDEL -SLILLGDDHIYGVSNNIGRWFNPVTIAEAFKKIGQTYTSDDKQAQLTTDLRDFSDITFLGAHPKLVDGKW -CGALKKATLYESLHWTRNNNLTIYDECNQMIEYSSIWGHAFFSDYVIKVNRALVDNQYPPIEIGDCESVA -LTVASRTAQSGLDFSSVLNSTCLNAQGVDRGITKLHERMTLDGHELNSSSPVDELVDKSTMVPKATLTLP -TDSPIPRDIVTWSKDDQKGKVLASYKAPFGILKLGPSDNLQNSVFNMWEFVKTDVEIVIQINGQPMTQGM -TAVYWQPLAEYEAEYANIFSNSHVKITPNESATFTLRAPFVYPRNMMSISEKQEFLGTFFIVVISPLRSP -EGTTVDLTVSFAFPQAQFRIPSDKIPATISKGGSRFYTLNHYSATGKVTSKKVWLNKENYEKEKEKEKDK -DSCTANTIKPLEGTKLSGQGAGQSTVNNVTYTNMGGTMPIQDAPVSLGVAQDMKADQKADISAIPFHNPP -LASGSIPMHQVFSGMSTAVGVEPVVDLQLHPAAMNRQPKKIYNGLETNLSVLMGKECILTIFDITTGQLT -NAQLYKILLNSIFGTTEGDGIPINIVALNQFFFWHASVVLKFYAIKTKFHSTRLSAIVNYGIGDVRADSR -SVAYNHIIDFSGENSKFEMVIDWNSQLEWLRTFEGYSVLDPFQDYAMGTFGLFLNNKLVAPNTVDQSMQV -LITLELDNVRVAVPRAYSPFTIEDVERVTVPAIAMIITPKNGVGIKGFIAPSITGQHDFVQFDASKVNAP -ATTGFYTATGSGTLVERNGAGTILGSRVLPVARVLITSSDVSFQFSNSIIFYQDYDKSQSEFIFESISLV -LDKRIILEGNLRGQGPDPENVGAETVHESPSPQHTTEKIEPRVKSIPCMLEIGEKFEKRVVDILEIDRRM -RRFDPRMSDSLNQFVAYTSVNGGETMGGMTIINFGVQPSSKWTRCFAAWSGTMIYRIFSRAPICDLVYQP -FANTSMSKGIPIIDPINGSQFQMLDQVVTTASMTAGPMARERSYQVGNESGWITVSVPFQQQKNFCSTTP -TQRISQLSNGTLAISYQQKRPEIFFKVGDDMGYYIYRAPKKTNFDMTAFKKGFAGFN - ->YP_009389286.1 polyprotein [Pansavirus 1] -MPPPNTRGRPQQWRGPARKSRRWWNTCGILEILIQAGGAGPAGISLLVNTALWPGGGSRGGLVCCLNQYF -EDATCVPPPPGFPRWTPPLLWTTLSTSCGLPPPNTSVLIFQGFVRGQMAKRLAYYTTLAGRKDVRDVWSR -IGQTPWHARLSRVRNKDLRSFLNYLAFLFPDFDLSVWWENYGDPDGYILRDFNWLGHITSDTQVCPACIY -DVSNHYHFLKSVLLEFDSHLVARSPPVSMTRVDQLADFEQAADHSAPPYGCVLINEMVAQGQDYDSCDPS -IDPTPRTSGETPSEDDLELHDLDERMMDLVERLRRLRQVDSVPDLVEPTEEDQYAWGFYDDEESFAPVSY -ETFLESGLQDMYFSVSDEVANWFGEHPQIHGWTLFYQPDYPQIPLSDFVIYPLWKIEPEPELEHMVAQGD -LLRSEELPSVPLEASVLLWMHPLRPRPELSAHPLTTTQKLARDARPKYPDASAPISEPYREFLERLEDVK -YEPTVHVVGEELRMPAVINVRQAHLLTLPRSLCVPIIQFDDDESDFYRVEDPFLLVEDRDSPEWFPKTIE -FSKVAWSFRCPQSERWKSLDEFENDCARLDAALVREFFHDSLACPRFSLAAQLQRRCTVDHVHGRSGCVY -HKMANHHRCAVHRLDHAREWVVFVRRMVFANYNIDHINCARIVRALYGTPIMVSLFKSPPRLLREQVADL -HRVYVPIFKAQGCVTPYVAQGGVVGTGGILGIFSAIKDTTINSATGLWEKITHILDCLKSTVMSFLTSTQ -STLAGWFSSAMDAIVKPIFERIFDVTLMVGVWTAEHAMAVGATLEIIVLMLLVHFGCITWKTAQAFTGLS -FGTIAMARAFTGQESSPLATVMTILVGAFYFLKPHSINSIRDRLTHLSLVLTTAGIASNVLDLIYFLLPE -GLRLSIKYTFGGAAALLSEQITQWRSTVVALNKLSTTSDVLMSPQYRQFVAHELANGLRLLRDTTGSERA -SVMTMIPTLMRLDSIMFRFQNSSRDRPIPFTLHVAGPPGVGKTLLVRTLLTHLGRNPSDVYFRPNHSEFW -DGYNGQKVIIYDEFLVGDVRSEMLATEYLQLSSSAHFQVPAASLNDPTVGVKGEYCRPDIVITISNHEYP -VAPHIDTDALHRRRVEVLALRFAKDAKLVGNNTVDLKAYALNEITSAPWLECYVLPPQFSGELNEIPRSK -GMRFKTYLEHLNLVYTQHLEVMEKLIASNLVLRDHDNPMDLLHKALAEMEGIPTTPVNLWTYLARMTGFV -SQGRRSVAHPVPPENMTVLDDIDTLPELPPELCMWRPPPTPTYHDMVALEIEERPWWKKILTGFGFAGIT -IGIYLLGRAIISRFMDGDPPVQFLPQASGEPRSKKQKAKKKKGRWERFEDAMSEAPLFNVEIVIDGHRFI -AIPLKERWIMTYYHGIQKNIKPAPVKNVVLITNGTSYPATVVWKEMLVDADNDMVIMELESPKAPMFPNM -INKMLSSEEVVMFSEAPIAITTIRGNVPQYLMATGSVAHNRTYVAEHQQVVLEDALVYQADTQPGDCGSM -VTVRSGPFAGRLVGMHVAGRTDMSGMNYGMAVLLTKEHVLEAFSRSPPSVPDGDFVSQSMIGEVQHLPPE -QQVFLPRTTRLEPSALAPYLPPPVKGPSVLEGSDPRNRSGHDPVENYIRRLNFIPPRPDPLILESVRAAM -VTNYKKMEFQFPGRILTLDEAVQGIPGRLSSIDLDTSPGIPLVYHRDNTKRSFVNIVGSELLKRDGFDRM -VESVERDILNGKGHSHWIGYLKDELVKPSKIDEARTRVIYCGDFLSYVVFRKWFGSLTININESWPTIPP -TIGANPTSFDMDAIHSYLIEVGSNFLAGDYKNFDNNQHPAFRDVAYDVIGAIVKTKIQGIPKNAWDAFVR -HQTSGYVQINDIAFYQNYGHFSGCFFTSLVNCLVNEAYMRYAFAFLRPALNFDRHVRIKTLGDDHLVAFS -DEANMTFEEIARSMATIGQIYTSDTKDTTGSVLRDFTRITFLGTSPVLFQGKWVGAMKKEILEEAPKWTR -DHNQSLSMIVYIMCEFASMHGNEYYLKFTELLRAASEQSQIPFLEPPEPLTARLNVANRTTRSGLTFESQ -DPLRGYFAQGDVQPASEIPPPDESQTAGVLTDTGRPEVHAAALARPVPWHHTMEAEVVSLQSAADSKIYR -GSVQWATSATRGTILKSFTAPTGLLSMESSSNVQNMAFQNYTFWRGKCVLHVSINGNPFQQGLLCVFFYP -LSEKGDSLPIENWPCTTHVFLRPGFSNSVELEIPYRYPTDFLRLAPNTQHNQRDLGTFVIGVYSPLSSAE -SEPVTVTFYSSFPDSVFHTPKVRSWRAQGQGHSTVNQNYYYDIRDVAGSVGIESSGEASGMVQSNQLEMP -LDNPPIASGMVPVAPAFSGMSKSVGLEPTVSMELHPIAMDRFHKSCFNPEEQTIKWTVGLPFLMTRFDWS -VSDLAGAEKALIKLDSSMGQEFGVARRQIPAPVALLNMFLFWHCDIELTIKAIKTPYHSGRLRATISYAH -TVDTYDESTSYYNQVLDFSDQDEAVVKIPYLANTEFRRTRDGALNVLPDYYEKYGIGDLTFYVINPLRCL -SLAVSTSIDVLVFCRLLNPVVACPRPFPSVTGGQFDTTITTPSDYVAQGALVDLPPEPDSHPDQLVVGRK -FEYRVANLLDVARRMIPVHLSDRASGGSSVDVESSYVGVPPHVYTFRVYPASIISLFYAAWAGSLRYRIF -VPSSEKIFNPVTFTPVPLWITGHKSYPTVDYGAVNHAGSITVSGASPVARLQTSGVYGSLLGAHEILAPT -ASGKDWIDIMVPFFSNYNFLALPLIRRDTVWASCTESSPGSISFGYTDPTFRVYQAVGDDFDFGIFRPPL -DIHWLDSTGLILQGNYSVSVGGLIF - ->YP_009389285.1 polyprotein [Pansavirus 2] -MVIPPPPGFANWSPPTLWTTLVTSCGLPPPNTSILLFQGFLRGQMAQRVAFYQALTGKKDVRDVWSKISQ -VPWHARLQRVRNRDLRLFLNYLMFLFPSFDLSVWYENYGDPDGYVLKDYNWLGHITADTATCPACTVDVA -NHFYYIKNLILTFDEHLVARTPLTTIDRIDQLAAMEENLDRSAPPFGCVIENEMVAQGANYDSCDPSVDP -TPRTSGETPSETDLEFHELDDRVMELIERLRRLREVDDVPDLVDPVEQDQYAWGFMDEDESYAPVEFTTF -AESGLEQMYFDTSERVANWFGEHPQVYGWTLLYHPDYPQIALSDFVRYPLWKLSPEPEMSEMVAQGDLTK -SHELETVPLDRTVLLWIHPLRPRPEMLSRPLTDIQKKARDIRPDYPEHPLSIDPRMKDIIGRMIDAGEFS -SVRVVGDEIRMPSVVNVRQAHLLTLPHSLKVPIMQFDDDEPDFYRVDDPFLLVEDQDSPIWFPNTVEFSK -LIWSFRSPQQQTWRTIDEFENDCANLDVLMVKNFFHDSLSCPRFSLAAQMQRRCTMDHVHGRSGCVYAKM -SNHHHCSVHRIDHAREWMVFMRRMVFASYNIDHKSCARLVRALYGTPIMVSLLKSPPRLLKEGVADLHRV -YVPSFAAQGVSKGFKAQGAAMPSGGILGIFTSIKDTTINTAAALWDKIHYILDCLKNTIMSVLTNSGSTL -AGWFASAMDAIIKPVFEKIFDVSILVGVWTAEHAMAVGAALEIIVLMLLVHFGCMTWTTAQAFTGLTFGT -VAMARAFTGQDSSPLATVMTILVGAFYFLKPHSINAIRDRLTHLSLVLTTAGIASSVLDLVYFLLPEGLR -LAIKYTFGGANALLSEQITQWRSTVVALNKLSTTSDVLMSPQYKQFVAKELATGLRLLRDSSGSDRASVM -TMIPNLMRLDSIMFRFQNSSRDRPIPFTLHVAGPPGVGKTLLVRALLTHLGRSPSDVYFRPNHSEFWDGY -NGQKVIIYDEFLVGDVRSEMLATEYLQLSSSAHFQVPAASLNDPTVGIKGEYCRPEIVITISNHEYPVAP -HIDTDALHRRRVEVLALRFAKDAKLIGDNTVDLKAYDLGEIANAPWLECYVLPPQFSGELNEIPRSKGMR -FRKYLEHLNLVYSQHLEVMEKLIASNLVLSDHDNPADLLHKALAEMEGIPTTPVNLWTYLGRMTGFVSQG -RRNPARPVPPENMVVLDDIDSLPELPPELCMWRPPPTPTYHDMVALEIEERPWWKKVITGLGFAGVTIGI -YLLGRAIISRFTDGDPPVQFIQQASGEPRSKKTKAKRKKGRWERFEDAMSEAPLFNVEIIIDGHRFIAIP -LKERWIMTYYHGIQRNIKPAPVKNVVLMTNGTSYPATIVWKDMLVDSDNDMVIMELESPKAPMFPNLINK -MLSSEEVVMFSEAPVTITTIRNNLPQYLMATCTVAHNRTYVAEHQQIVLEDALVYQADTQPGDCGSMITV -RSGPFAGRLVGMHVAGRTDMSGLNYGMAVLLTKEHVLEAFNREHLPVPEGDFVSQAMIGEVVSIPPEQQV -FLPRSSRLEPSALSVYLPPPVRGPAILEETDPRNKSRVDPVINYIHRLTFIPPKPDPVILDSVRAAMIAT -YKKMGSTFPERVLTLDEAVQGIPGRLSSIDLDTSPGIPLVYHRDHNKRAFVNIVGADLIKREGFEEMIAS -AEKDILSGKGHSHWIGYLKDELVKPSKIAEARTRVIYCGDFTAYVVFRRWFGSLVININEAWPTIAPTIG -ANPTSFDMNAIYNYLIEAGHNFLAGDYKNFDNNQHPAFRDIAYDVIGILAQTRIKGLPKNAWSAFVRHQT -SGYVQINNIGFYQNYGHFSGCFFTSLVNCLVNEAYMRYAFTFLRPALPFDRHVRMKTLGDDHLVAFSDEA -AMTFDEISRAMATLGQVYTSDLKEEKGTIQTDFSKVTFLGTSPVMFNGQWVGALKKDILEEAPKWTRDKN -QSLSMIVYIMCEFASMHGNEYYLHFTDDLRSACEQAQVPFLEPPDPATARLNVANRTTRSGLTFEAQDPL -RGYIAQGDIQPASEVPPEPDSENPPGLTDTGRPALQVSAHTRPMPWHHTMEAEVVSLQSAAESKIYRGSV -SWPTSAARGTVLKSFTAPMGLLSMESSTNVQNMAFQNYTFWRGSCVLHVSINGNPFQQGLLCVYFYPLSE -KGDSLPIENWPCTTHVFLRPGFSNNVELSIPYRYPTDFLRLAPQGNHTQRDLGTFVIGVYSPLSNAESES -VTVTFYSSFPGSRFHTPKTRSWRAQGQGHSTVNQNYYYDIRDIAGSVGIEAASDASGMTQSNELDMPLDN -PPIASGMVPTAPVFAGMSKTVGLEPTVSMELHPVAMDRFHKNCFNPEELTTKWTLGLPFLLTRFDWTTTD -QSGVEKAVVKLDSALGQSFGDAHRQIPAPVALLNMFLFWHSDIEVTIKAIKTPYHSGRLRATMSYAHAVD -SYDESTSYYNQVLDFSDGDEAKVNIPYLANTEFRRTRDGALNVLPDYFDKYGIGDLTFYVVNPLRCLSLA -VSTSIDVLVFARLTNPVVACPRPFPAVTGGQFSATITTPSDFVAQGRMVDLPPEPDSHPDRLVLGQKFEY -RVANLLDLARRMVPIHLSDQASGGVSANVESSYVGVPPHVYSFRVYPASPLSLFYAAWAGSLRYRIFVPS -SEKIFNPVTFTPVPLWITGHKSYPTVDYGAVNHAGAITISGASPLSRLETSGVFGSLLGAHEILAPVASG -KDWIDIMVPFFSTYNFLAFPLIRNSTVWASCTESSPGSISFGYTDPTFRVYQAVGDDFDFGIFRPPLDIH -WLDSTGLILQGNYSVSVGGLIF - ->YP_009342445.1 hypothetical protein [Wuhan insect virus 10] -MEEHKNQGFNCTCGFAYSSFSLYDIEKLRVCDTVHYNGYLEYVRATPSQVVVDAYFRNLHNVGDEKPDFT -VNVAQVEDDFEEHSADVRQEAVETSTDEVHVFDNEVYQFNMNPINTPSYDATMGTVREFVNGDLSAVLVG -DTTPTEPMSLYLSNRYCAHQRHLNIKKCPCMCFLKEGKDKWKIGKLGWDDINIINQELGLDVYFKTGATS -EYQHHHIVILVLTTSDKKFHDYTNFTYRQWRAIYRLREYYGMIIDKGCKNRTQRIVAQSPEEQEAHCSKD -APGPQTEATNEASAPPEEEAEETEEMLAQCSGLRAKLEDLAAKLKTNVVSAFKSMGSLTKYVWDHMKDSF -GFISDKVYSFFGSLADKMLNKFFGKLKETIISKGVIDVAGEGFGLVALLMFIMLVVLSAIGVIAYKVGKF -LMNNVMLIISKITKFDYQDYTEKVKVWCSESYDVSKSYAHDKISQVRSLPKYFAQGPYDTPIVAIITLLG -VGFGAKDQTLEGVAKSCRSFSAIVAGGLAVSNFMVSCMMILPTAIRKFCNSLSTSKEAKEKNLVEDWLFE -ATVVMKASQIPEVLSSDVYYEQVMKTSLQGRQFLSTYKVTSPTVMNMVSRLLMPIEKLKANIIQFRNGGV -SRALPFCVHFAALPGYGKTLVASLFLMLVGGFEPKDVYTRNYSDEYWSGYISQDVVFLDEFLIGNEKLET -MAQEYLTLVSPAVFKPAFPTLDNPTTGIKGTEVRPKMVLSANNSCLFDVDRFNKEALNRRRKYVVHFVQN -DEFKKSNPKLWKNGNEIDISAMTKEQISQVAWLKFNLCNPVTGDAFLRDLTWPQLIAYTRQQYTDHQDSC -SKIFEAFGMKDQSNKRAQAQEILATLRRSELGVPDKPVSVTDSLTELVLGGSGFLAQQPEPKPTTKRKLK -KNTTKLEREYAGLLASSSSSSGEESIATEPADFKFTVEETAMQNMLVDIDAVKAGSSPPTVVPVPEKIGD -LPSSTESSDYEEVEPKHVKRPLIVGLFSGESDLEPIDRLNFISDHVTQKIEFLTPQLKMCSREKLHDLKQ -AVIGLKYEIGTYAERYDLIVPHRVEASVVEFNKSQRVAVGEAEKNIDLIANLSLSNIVPESAYSLICGSC -GTKFAARDNMFRCSTCIKLGKSIDVQYVKFGSKFDVRREVFIGFDEEEEMAQKFLSEAFETLLNKDVVIG -LWDCPLHVGEGRLISLKSYSKELIRRSAGVALTFLIIGAIQAIRNGVTGEKIDMIDYKAQGSHSDFKNQR -DQNTRPQKTVRYSSSAGFRAQGPKERNYLNIAKRNGETTHDVKYIPIQDNIIAVYRHAFCDTFGHWNSEN -AHITIIYSASEYNFQIDQSMVIARNVVKECEVEGEMTNQTSLEDLLLIFIPRTVKMPHFKNIMKDFCTET -ELNSLRATYVVVDTDKMRQRASARMVEEVTYGLGYNKSTDLCDSYIELPYGYIYSGRFAKGDCGSIVRTD -GQIAPGKILGMHVAGGEGQGICIPITANYLREAIASRNEPEYLEPVPFYGQSPEAKKQSVLYDPLFVLYP -NVAAVEKVPRSEQVHMTRKTGVKPSILHVLEKPKKKLPILSKFDDRAFGEDPAKRMLVEAMDVEREFVDL -EVLNRAGTDFLIELQSTWRWPLGKRSLTIEEAIGGIPGYLSSLKVNTSAGYPLCLTTKKRGKTAFWNYGT -DGTLEIDQDFRDRVMEHQRLLSDGKIPDMRFLGFLKDEPISEKKEREGRCRIIYAADVVANVSFRMTFGM -ILVGLKASWKTSCSAVGMNQYSDDMHVLYMYLRQVGTKFIAGDFKNFDKNMPPSFQDMAYKIVKSLYGTK -EISEGDKPTERQWKQFLANQTLSPIQMEDVKVWMRCSHFSGLFFTTLVNIVVQGLMMRYVFAIHASHLQY -ADHVRDKMLGDDGVICVSDLAAPYFNASVIEQELKSIGVTYTDDKKSGNVAAFREFKDITFLGAHPILYY -GRYLGAMKKDTIIESMLWTRNDNLTIVQEVENMCEYSAAWGKSYYRDVCNRYLGYLSSFCGLKVKTMPSW -ESMIVILKQRRAAAFEDAYGQFELMDEEYESPAYANDTWKTVGRYFAQAPTLTTVPETEVINTVAPNSGN -VNKLRGEAINQTPVDLDYGNSSEIFRGTFVWKNIDEPGKTITEWNAPFGLLELGDEANVQNMPFHRYTYF -TFDTMKVKFQITGTPFLSGILAVYYVPLADYDIEIANITNATHVLIQPHNNTVFEMDIPFVYMRSALNTH -GKEFLGQLKVAPLAKLQMGNSGQDEVSISVYTSFQGLKFMIPKSHGLSPHAKKVGYGMSPVNVTHSNGLK -AAIKYRAQGASQSSNITNNYSNVGGTMPISDVVNSADGTTEQEVSPSVKVPMPLDNPPVASGAFPVEPAF -PGMSTSYGAKSTRDLQLYPTAFSRKQMEIFAPEDSKIETILGKRSLLTTVEVKVSTQVNSLLLGLELNST -LSVKEGNNIPTNIAALNQFMFWRCDISLEFLCAKTEYHSMKLQAVTAFGTDLIDSNDRVKCNSKVLDFTG -PNDKSEVLIPWNAATEFLRTFEGHGAVDPSQNYSLGMFSVYLLNKLVAPITVSGTVPVLVFVRFLNPKCA -VLRGLSPFTWNDYSKWSESYAFRDASIPHVEKADGAWLLDTENINNNGIVYAAASTVEYHPPLNFPHISG -ILSFSGQAFPYEVYGYNSSGTSKQLFTGACQMSLVICNATQSAVAKYIVVIPSLRVKVEGSKTTFTDSIS -NKTYSFESTQYLAYAFAAKNINGVGVITESEAALKQVEHIAFAQAAAMMNHKAFWPNLFLSDYERKAASS -STTTTKAPAQLKSSESNTIAVNIRNVPGIGILQPISNGQYYDGINTWRPVDASKGFTKQVVVDPRGYPIY -DAKDSQGRFYAQGPDDFEADEEPAEVTPITHVEPPTIPCRLEIGSKFEFCVADIHEIGRRYVKFLPTDYA -GFDQFQVNSNLTGISDKLIQNYPTQLQSIWRGLYAAWAGSVKYRFFSTTVAQGTDVSFSPLMNLKSQVSV -PIIDAFTGSVFHYYGNKFSTDATMAPSLPFEKMYPLNGLNYIDVSVPFQSHLNFCLTSRTMEIGPISSGT -LSVVNADTDLQCFTAFGDDLRLGIFRPPKKVSFSMKGFSNGVGGFF - ->YP_009337700.1 hypothetical protein [Hubei picorna-like virus 10] -MESERFSPVRDFPGEKEVKADTLPTGYPDQNAPNCQGEVKKPQLIIPVNDMTMDNVCDCDQEQEDFMSYA -DLRKEYGFCEFKIVATPLISISCECRTPLCPCTSVFRIAKGKPRFVIHEHYYRDLRVIKNELQIRNSLGM -DTQHYSNNQLVKIISVVLDKNLVFDDESERSEILKIIYQIRELTGNTRDYGMKNNILPMESEGIADITSM -IIAYIKEKATKLKESAKNVKDKIVNNFSETISEVLDWFKDKMQNLFSFVGDIGSKVFTFVFGNISVLLNK -MLNPIRLATDGVMSFVKKRVFVVSVVFTILCCLLTILGVFAARLLSGLLIGIIEWFAGESEVETETYVAE -GMEPVSGIVALLSLVFGLSSGTTETIAKNFRNITMICAGSVALCGIGSVILLGLPTALQTAISSVFGTDD -EKERIIYEDWDIRCLAVLRLSRVNTVLSSPEFKKWVDELIKESHEIRGKIKGPSGAAVYMRNMAQLIKIS -NLLTVFHSRESTRNVPFSIHLFGVPGVGKTLLATKILKDCFGVETSEVYVRPKSSDYWDAYCQQTAVLYD -EFLVGPAESKEKEALEYLDLISSKSFSPPMASIDDPSVGLKGTNASPKVVMSLNNAAVTHVSGIPPDALD -RRRNIVVQLVPNEKFFDAKSSAVDFTKLDRDQIKQAGWLTFNIVHPKSRAILFSNLTYPDIVAMCKTQFD -EHLAMCDIINDSINTTVIGAKTPQELLDDAIRDIRGIPTTKQSIGDVILKMLTKIPTVFSSEGPSTTDYE -TADDNKKKIKFTKTQKQQDAMLNSLNDESNTDFFKGTLTLVGNYLMKIKQDRTLTEQELAIEKKFLRKLR -MFAIKWEIEQPFCGYVVDTSSNAGNERIVLQKDVVALIDHTNVDATLIHRHVCHTCGGPYAHRHEREFVH -PPICGTCENAGGRVFKRSFPMPGLDVDFGILDGVPVLIDYDYPMYSEQCKNKLNILWQEYYVKSFTNYFH -EPIFHMNENDTEKITFFEAVKKHSKHRLAAVGIAVTVVMGVYALKRMWGGKSCDKEFSFSGESEPPQKES -RIGRRIRQPNFSRASGHAVTTKKIELCLGSVWVKGIPICDRSFLTYYHGLLDDTGSMLRPKKFKVRYLGQ -TYEMDYHDSFFSCSPETDMVLVKLPVSTKVPQFANIVKNFAYENEIVNMPPTSVTMTLENGNHYVDAKLT -RNKSYNHHGYGRIELEDAIMYRANSIHGDCGTPISFAGSYCAGKIIGMHVAGGVVTATQEKFGLATIITR -ECLEELLQARAPQIMDDGAEYEAEGRVSGQNVEFCTEIPRSEFIHVPSNSTIKPSPISHLLDIPNNKAPP -IVLYGGFGEPDAGLRASSAIWFKEFPKIDVSLLSEIENEMIDYYQDNLCWPVEKRNLTFEEALKGIPSIL -ASMKVKSSPGWPLAKLTNRKGKSEFFRFEDGELKYDQDFKERVLKHEKQMMEQNWEVDNRFLMYLKDELV -TKGSGKLRIIYCGDLVANTHFRMNFGSLLAAFNNSWSTTPSAIGLNQYSHDMDIIYNYLAEMGHNFLAGD -YKKFDINMHPQFQKMAYNVLMTLADWVPQTYKSNFVKHQMNNTIQYKKWMWKLKSGHLSGCFFTTIVNNL -VNEAYFRYVFKLQAPELIFDQVVRMKVLGDDHVVSVHEKAINRINPIVIKEALALIGQEYTSDDKVTELT -EEFRNFENITFLGAHPRLVNGIYSGAVKDDTISNLLHWNKTLTPLWPENVEIALDLLSQHHITKYTEVYD -QVAKALKEVDIEVPNKRYHIRRNTQCKRMAAISGNVDYGFHGQSDHTYADFVSEGPTGGIVRIDQDVPTQ -SGVFMNTKFLADKGLNEVSMGLNYGTESLIYRTEVQWLPTSAPGTPILTIDCPFGILNFGDPDNIQNMPF -ERFIYWHGNVELTIQVTGTPFHAGLLAAYFMPLVDYPCEVANITTASHVLLQPDNNTTATLTIPYRYYRS -SMNTFSTTESLGTFYLAPLSELTSGDGTGVTVTIFSKFPDSHFTIPRPLPNAARVARIAIEPDIEFVAEG -GVQSSVNNVYQSFSNVSGTMPIQDLSVDIAPVQDQTVDQEASIPMPFDNPPLASGAIPVHMAFDGMSTTY -GPRPTVDLQLYPAAMSRQQLSIFGPEETKIDTLLGKQAMLSKVTVNVGNPPGTLLYKERFDTAFGLPAAG -PDNIPINVALLNQFVFWRSDIELTFTMVRTRYHNCRLQGVIAYGAPDLVDGSRNVHFSNVMSFNNDTSTH -TWLLPFNQQTEFLRTFEGYHAVDPIQNYSIGTFGLYIQNELVAPETVFQSCEVLIFIRFVNPKVAVPRAV -SPFSWSGSSNYDAVLPGEYTTIQTDGFVEARVHESKLYIPLASVIYDGSIIHGKPLGDAISWSFMIEFAG -ILQTYTTNQLLGIQSGEVVFVTDYYYSVTPFTLRVAIPVSFWTFSNELVTINNEDEPNFIAEGGDVQEVA -TTEVETLMETETEHRPNIPCKLEIGSKFEFTISDILEVSRRYHSDPMLLSNTPGGRIKFTRSRTNNLREP -ITGVIIPVSFRDRFSGLFSAWAGSIKWRVFTDTEEIGTIMFSPATSDAVSQTSWLVEGSSRNTQFVTFPA -REVMFPVPGNSYIDVSTPFQSHYNFASTNSTKEDEPTNVGILCVFAKNLITPRIYQAAGDDLRLGVYRPP -RKVTFNTIGFPEGYGGFYL - ->YP_009337675.1 hypothetical protein [Hubei odonate virus 1] -MNVNFKTKAKARKLLCSGAQVERILRETNWVAIENSDVAYLNGQTGEISQSRLLLSGWSTSSEEITEEPR -VELHGPDFLGEFSRLDSGRSDLDLNICSDLSSHLKDFYGKYLDVPNMTDEEIYVLINNIFLNSKDYFNLR -FSPVSRSIFVGEADGPSRPEAEIKNSRVFEIPQNVFEEFKNRCESGSYYLAANELISFVNQHCLIDDIPV -RDNDWCRTHRCPPPSVTDKCKAYLYEDWKFSNIDGKPSRNFIINLEKAVESATVALNLSMNNDQFNRLLK -VDRKKVFHDVTWNPYVIYATNMKDAEMFDFTGHEEFYTQLYQVRARIGLNGDYGYRSLLGGFVAEGLNHS -TMDNIIEGINVGITRIRDSAVGDIVKLAIDKIWSLVSGVVSFITGTYEDFFKSLKRTICRYILKIFELDE -WVDFTQSQEFKEKVFISVSIMGFLFLVGAYVFTYSIATNIVNKLIKSRQCFVGEAEMSPVAFLTTSLGGL -YCLNTADTKAIREKAQLMITLLAGGTILANMGACAFALLPTLLQDAVVYRFGTDEQRIRRQVREWKAQAS -ALIHFSTVPKVVGTEYYIELVSQSMKLGSEIQEKMTDKCFASLRVSVLGIFLKLQKIYMNINSFVLSGSK -RHEPFSIHIFGMPGVGKTLLGERLIVRSCDADSNSIYAKNACEEFWSGYLGQKVVIFDEFLVGPPEVREK -TAHGYLCIAGSSQYRLDMPDLTNPFIGIKGSEFSSEIICTINNVAYDRVPGFDDKALQRRRDVVIEIGIN -PDYKHCVDNCVFDMSKLTRDEVLSTCWIRARLVPRVHRTDWEDRASEWMTFDVLCEEVRKQYKAKLEFQN -VIAESWIEIHDDSKSAEELINEELRKTCCLPSEPVSISEAIIKIISDFKGEAKNRHRHKCNNVVNGVECK -APPRRHGPGRFKCSDCGFEEECKEESDSSDRVDFPTVQNVCTEDTHRHECSEFECSRSVGCTKGSRPSFS -CSEHQHSSGDEIIYNNKISQGMGADGTIFSNHEEWVKASIKRIYRDSSTAWSFSTETYEKQFHAMETTYS -QIVVRTMWTGILVAAMGGLARWLGNEEVPDKVTYSGQSEPRKKISHKKGGKSRWTRNNIHTAEAGKSIQN -VSLDLGHLIVNAIPVADRWLVTFAHGLFEHCQMIEEGRVIRLLTNDQIYETTFSHDNIVLCKNPDTGALD -YDLVFIYFDCSRLPKFKNVINCFASDSEVPEGRFRISVRTRTGLFLTDAVRDVNSYSYDGMSFYLTDGFM -YQGLTHSGDCGIPILLAETKAVRKCIGLHVAGTVNKDYPYGLAVRISREMIEEAIGEDLEKSSFVAESCD -FLDVIEESYVPNLKSITKVPKNAQVYLNSVTKLKPSAISQFLPWKANKAPAIMSKEDPRSKGEVPIENAI -LRLAKADKVKLDQNTLEEVSSEMVHNLSAELNYQGTGGTRLLSFEEAIFGIPGVLAPICTKTHAGYPYCF -FVNKAGKRELVWHENGEGRYSENFKHHCLETFNKVCRGENLDKLFLGFQKDELRSQSKIDSVNTRIIYSN -DVTYNVVCRMLFGSMVAAFNCSFPAHGYALGINPSSYDAQKIYHRLRFSSERLVAGDFSEFDLRHQRAVM -DESFKILEKLGSGIPHSSIVFEHVRFHETEKPFQIGPWRLETESNNASGGFWTTILNCLTVEIYFRYAFK -KRFPGKIFDHYISGVFLGDDHIISVSKEIEWNPLMIKDDMIMLGQKYTSAWKDRDLTDKYEKFDEVLFLG -NHFVSVNGHWSGALRKSTLEESILWTRNHNLTITEECMQMVEYASQWDEEYFNWYQGCVNEALLRIGKDK -LNLPPWRSLRTIVASRTVDSGESFRFVAQADSVQEAISKSGERAFNPGLTTFNTVTGISEQSEIYVPPGP -LSRSVNEVPSSLEMGPDSMVRRYQLNWSSTNNIGTELLSAFANNAIAVPYQLMGLGDQNNIQNMPFQNFL -LSHPDVEITIQLNGSPTQAGILTAYFVPLNGQAPQYANWAMLPHLHLSPNMNSSGVLKLPYRYWRTFLDN -QSAHDTTWVMGYFHLAVYSPLTTKTLPSDCGVTIFSRFSTTNRIPRTMPPSASNSRPIYGFTKGTGDKFG -YIYSSDTNFVAQGGNMSTTNVNNTYTISDVVGNIPVDSSTDSSGNQDLNQSAKFKGIPLDNPPIVGGGIP -VQQQFSSMSKSNGPEPTVGMYLHPGEMFRQPLYYRDDTETSISGLCGRPARIFNFAWTTAQNDGTDLYQL -ALCSLFGNDEPIGTNTQEDMPLNVVILNEFKFIRCDVVYSVIVAKTKFHSGRLMASVNYGAQDVVPSQKE -ALYNNILDFSGDASVQEIRIPYNNTQEYIRAISNETDLTNSLRYGTLFFSVLNELRVSSEVVATSVDVIV -EVRFENVRLAVPNPYSNCIIGDTETSRLTFIAQAGETKEEADVNEEPIVITTTAVGNPVPNPMCKVVMGE -KFEYTVSDIHELLRRYNYYPISKITRIEDVYGPVHTSAASPGIRYTVYRISVEPQTYWNNMYMGWSGMLK -FRLYAQTGAPCLVVYTPHNKRADGLDVDRSEIFTVNMGTCNNPSGGSTIQAATWPNFAAREMMYPLSNNM -SFLDVSVPFATELNFLPTTRQDASLRPGLGRFGNGYLYLRVPYQTPIEVFYAAGDDFRYHVFSPSRGIRR -RLWVGLAGFPTGTTYEVAGVQNP - ->YP_009337437.1 hypothetical protein [Wenzhou gastropodes virus 2] -MCDSFVKNTHRCEEIMLNHENLSTVNTSKMGKRPMKANKKRILEIPITFADPQENVIDQQEEYNFKREEF -PLFKEMNRKELRKLKQQSLNKDWKEKVTIKEDRIEKRPLFVPIDLKVPIEIDSYQQWMFEKKKKSKPMKF -KNLKEEMKEEKKYKLEEIEKQEKREEFIQDFISQKFKNKVEGFKLLDKKRLSQCIEQAIDGLEQKVYPYK -VEEEVQLLYKEKIVKDREKKRLRESQRRERKQNREQMKAELDRQKKDRTRRTIEDFGKFKDAIEKLKDKE -DELSMEIIRMFDYYGIRDLFDLKNKIVGQYLSTKDLDKYMNDLAILEFSFELLEQLYGIDYHPKTVLVIK -QDEQIAFKVGFMIINGVFGDVEPEQAREIAAGLNKNSCVEGFMRQMMSKIVKSTAEKVHGDWHERECQRK -LEKSMRFVLDDMLRDYHRNRWVDVISRYHKLESIYNKKRKDIKPSVEMQLIQKVAKELLVESWVQIRGYN -EEIPREIYQYLTLASPEIAIHQPGFPSCNSNNNSLDEVEEETTDQNNSGLIEMVSNIGNTIKSAGKDLYT -FIKSWINKIIDMVGSAYRTVMDTVVEKVLQTIVRIIDPFDFLKNKTVDEMRLMVPGILVVIFVIMQILGF -VTHSVLMLIINKFCGNSEENFTAQGFDPVSIIMNLFSIIFPSLSDTSFEKLKKTVLVAWGIVAVSTLAGN -LSKTLLFLLPVAFKEAVMLRFGTKEQISKFYVDEWIAKATVILKSSKITPVLLDSKFKLKLAEICEQGLI -LSKECARKHKALVTGMFMKLLQLQSTIEQSTLATGDRDCPWYFHISGVPGVGKNLVIDNIVSRVSGVSDI -YYRPINGEYWSGFVNQKAIIIDEFLIGKEDIEEKAKELLGLVSNGQFIPEMASVDNPLIGMKGTVAAPKI -VATMNNTPWYSVDGVSDDALLRRRNVNVRMVLNRDAKLKQGGVGVDVDKYTPEEIEKAVWAHFVFLDPIL -DRGAVGRPKPHYDLDAAIEIMKADFEQHKKNCEKVNKAFGRNTENANRTTSEMVDQILAEIDGVKDEKSS -TIEMIFGKIFGTVDFYSQGKSKKSKRNDEEIFTVLDQLNAPSTSDGTFCKQTNETHQFDLIYGKDHACCP -DENKLHRHRCDSINCKNQIAHKHRPDSLDHTNLFCRECMMFKDTEQIENGIDPKTFVYARQKDETRDQYI -QRLKRVLEEMTEVGADMIQMHYLELLAGQPISFETAFGFDLGLKFAQGCMLSYLVFRIITWVRNMFRPET -PQSEDFFSQSPGGGDKQKPKQQNSKPKSRWTNRRNWNSHSSIKTVHWSFDQKNWNYCVPIDSKHILTFGH -FEYEGQTSLFIKRGNSCDTYKINSQSFLDNVDLDICIIKVGGMPDFRNKYVDENDLIDDMVLTVKMLRNE -GWSLVRSQIVYNVTYNEPLGKKHLERALIYNLNTQVGDCGAPVVIESPPALSGKIIGIHVAGNQSSTKGM -ATIVTKQDLNIGLNEEIKIDNDDQFTCQSRIYNKDYLSYEMDQPLVLPNIKDAKRIHINMAKGVGMQSSL -KPSLISKHVDWLPKKRPPVLNIKDGRNHDKVDPFLNIIKRAGENKQADIDEAILKICEDEFFHELDQKLI -WPFEKRKLTIKESIEGIPGLNPIDLSTSAGFPLCNVSKGKKRFIWTDEMGKYHWDQDFEEMVDRFIIDAE -NGDTWDHRFLCFLKDELVKPKKVENVKTRGIFCGDVIATVAYRMMYGSLIAAFNSSSSTTPFSMGLNQYS -YDFDEIVDYLSQVGNMDKIIAGDYTGFDMHFQGKFQMSAYRIVNKLAIWLKQTLKDGFVKHQTEAPCQVG -LYIIYFVYYHFSGCILTAIINCIVNVLYFMYIYYKLGNKQPFFKVIRAKVLGDDHLINKHKSVEFFDGKL -LQAALSAYLNQEYTSEDKDSPVLESKPLEKCTYLGAHPCLDKNGKYFGRLKKETIQETVLWTKDNNLSIL -QVATTMIEHASLWEPEFFNHFQNQIVKAFRNEGIRYEKYEQSSLAYIVKNRCAAFTSQTTLDRLNLNNNS -DNEPSDGGLTKFVVDEVEEGSLNCSGYNTTSSFDMKKASQSYGANSMIIRKTIEWNKDHIAGAILSTTDV -PFGLFTLGSTNNIQNMLLDRWLYSRFDVQLVFQINGTPFQQGSLVAFFNPLSFSSPSILNWFGFQHVFLN -PNRSQTVAMVIPYRHFKSALKFSDWSTSDAKDNTLGSLQIGVLSQLRDPNGEGCTLTVYASMLNEQFYVP -RPATVYGKETEKESVDEYEELEYSQMHDFNCNASLNINNNGNSSTKTANNTYNLDVSGVMGNMPIQGTDF -GKTNLTNSVPITPTVAVGGSSIGKTGQKQTPFGQLKTKLAQKAVDKVSGVLKLDNPPCVGGAVPTFNQFP -SLCKSSGVEPTVGMSLHPQTLYRKHHTLFNQNELQLRFILGKKGILRTTKWTKKILPGELIQNIPLTSVF -GMEPNDTEKSNRTNIPFNVALLNEFYFWRAIVCIEIRAIRTSFHSGRLRWSVGYGSSKLMTPDRSQVLNG -ILDFNGEVDVHEIEIPWNTVYEYLRTFEGDKRSENYSLGCFELSVANKLRCPATVYESVDLIMSVSFKDP -KVAVPRAVPFVYYGTSSTTFPSGRYSTTPKLNEEEEDSNNNSNETSDDQIVQFVDPVKAKQDNEGADSHL -VTSETPMTYTGMSSIQVGTKFEDSVDTVLDVVRRHSLIDWNSFKDNHTNRHKFICNTESLLSGSGSSNLD -KILTLGNQPYNRFQYLYRVWAGTLKYRILCRSSNAVVTFQASDYDLQDKIDFDVSSCLAGVLGQVTSEIT -IGERKHILHGEYVRSDNLPFTNNIAREQLYQTSEKSGFIDVSIPYRNHRDFSMTFSSNLQQSTSGVLNIV -TDSTSSKNLATLYQAAGDDFMYGIFAPPDNCYFAPSTNPRPIKLLKNGCYGGYKYK - ->YP_009337350.1 hypothetical protein [Hubei picorna-like virus 13] -MQSMHLNDYSSRCSSPLCVKEPKRVKQANDSPSIAAVARGLDASECSVLRSQLLIHRLKSNLPLDHYCED -SDCLVRVLVLECFYEYDDDLRNLILEFIAKNDKLDDSRNYSLEQDYKPKFRGCQMEYHDMLRLYYSLKVM -ELPREDKISLYKQALNTLIGCGHDGFFSIFLDTKIYPYLTNVIYPRDNEWHLRTRVFNNKLTNSNDFVLE -EEVGLTKFGALPWVAANYETFLLSFSNLIQYSNRKQRKFFRRSLRACYYSRYLDDSNSFMFYFYQPSNFT -LRRISQLRRILKMGHPDDDYYDDAYTAENDNGNDPVALGVLGSLRQWMNTQFGQKTNETYQQDPRRWYTK -ILKKIFGAFIPAVKYGISLFGDTTKGSYDWFARIISSISGVFVKIFSFPYKLASSAFEVLKSGSLLIVAL -IVCIFGFLGSVKLISVLTALTGYHHSASSTVTVNILDKEELLRKAEKDKFIAEAPDGLVSVGAVLLALFS -WGAPTKDRIGSWSATLVKLVAAGTIMKYSALYLVSFLPSMLRNAITYEFGDTTTKFEMDLDDWRIKVSTL -LASSKNPHVLTSPEFRNMMVECIEESAKMKQVRNVQPHLRSIFTAHYLKLIHLRATISQYENSNPTKDLP -FCVHIFGEPGVGKTSLARRILGLFGATTEDIYPMPKSDYMDSYMGQKYIYWDEFLGGPQDRIDKDAEKYL -QMISTAKFIPELPSVDNVNSGIKGTACAPKVVITLNNSYTKSLTSFKSDAMDRRRNVVIHLTHVARTPYK -GETKNVDTTKFTPEQVRNVVWLRAQFLDPVNHQKNLLTKKESFGFEPNHKFDFTSMCEIMRNKYMEHQEL -IKTLNMIGGIETDPTPSPGDIISGLHREFLGIPNERANLKEILMKYLISSSLPSLTRSIYRKALNLFSTE -MMSKSTCDDGVILSENPYQVLESPNETEYESCKEQNKDVASVAKVFKKKKKNKQQPEDGTVTPMQAFCDL -VTLHDYIELETHHSIITTCLHEDPDQLMNDSEYLKCLKESQERYAHLTGYVAPVEKKTLWQYRWFDFGIM -IILGLVCYSVAKYCKSLFSGNDEEQEEEEIMFAHGSSKASSETEEQRRKMRTRAKTKPQKGFNEGGYKSE -NGGVSLALFHFDDIKIKAVPIEGRKFLTYYHWGIQRIRPDQTRIITNLTHGGITYERIEIDVAEHMVXLP -EKDFCIISLEHVKRIPLAASIINKFISDSKLFDVPAIFNIRVTSTEKDNYGLASLLPRKFTYRVEKDGET -VGSLTVDWVVKANISSRAGDCGHLASFADGCNLAGTFIGIHIAGSNDKDRNPTSIISLITKEDLRNAISV -LESSSIESFVGEGPEEGGIEFPNLIRTEQVEFKEIVYLPDKSVIEPTVLHGRLPEKTSKQPAIMSINDVR -SKGRDPVEASLSSLFNVEQLELDEHILEDVFDSMETFYKKKLIWPIGKRQLTKDEAIRGVPGYLTSVNTS -TSPGYPLIFTARSKGKTDHIRISQDGYWTSAMFDNLLERKLEEMAMYDRTWTIDHRFIGYLKDEPLSERK -IQEGRTRMIFCNSMVSSVAFRMKTGCLLAAFYNSWQKTPVSIGMNQNSWDMDDIYIYLSKIGDRYLSADF -KNFDQRHVRQIRERSYQMLRNLLDGVIDDKEWDYIFDHETKSPLQIRNRMYWLKSNHFSGCFFTTILNCL -VSEAYIRYCFTRLDPTLVYWEDYRIRTLGDDNIISVSDKVNITPLDIQRVMKELGQDFTHSLKDQQLTEN -WFKFEEITFLGTQPIFINGQWCGAQLKSSLWETVQWKRSKIADLREVVLGCMEKCSIWDREFFDYYCKSI -NDILTDELIKPVIIPYYETRRKVCLAKTKPSLGFKAESDDPVRALKPAVGGLTIMPVETGYEESQTNMMN -QRADLSAHAINEQKMDLDFGLNSIIFRQSVNWDSSSSGVIFSTEVPFGLLALGETENIQNMPFERFIYMV -NNIQIIAQVNGSPFQQGLCVLFFAPLAKLTDSVPSQANIYNYHHVRIQPNESGSHCLNISTQWFRSVLNT -FAGALGQDSLGYVGLYVVSPFLSVNPGQATIVINSRFNGSGFSIPRPLPSSSIIKKDAFSVQRFKKLAKK -FGVGKTTIDRYTAEGAAGSKTNTTTIYNIGDVVGSVPIQTEIGSTATASAEGSLQADVKGLPMDKPPLAS -GSIPTFGILPSNSKNVSVEQVMAMQHHPMMMHREPMAVSNNRETSIEHLCSLPTIIYSIPWLITQPPNTE -LLNFPLNSIFRDLTDDQMFAGFEVGTPIAVLNMAQFWRCDVEITIEAIKTPFHAGRIVATLAYGTPSLEP -ADKNVYYNKVLNYSHDNSVERYLVNFNAATEFLRTYSGNLVLNPIQDQAIGRVMLTVHTQLAAPDTVSPL -INLLVSVRLLNVRIYEANSLFPINSIEGQGTLKVVNSSVDDEEEEETDEQRASSAYSTTETTTKTTTTTK -KPTTTKTTTKKQGGGYLPPSGAYRPGDLNPADARYVWEKLPNGQFGWVKRHKREIDNIDIDDLDFTAEGE -GAPIQGEESEILDPPPADEATKDESHVVSNPVCGIQIGRKFEYTITYLEEFLRRYNLTAGYQKANVFSNQ -TGVNDLTSAAIMIPVTYGNVITSLFRMWSGHLNYRGLVKSNTIPIVRLYNSQIEEFPTVYYPLPFTANGK -TENRAVQPLLGTSSTPNNLQIEGGNVTTEDRLYLGYREVEFIPNEFLTPMPNDYWYLNVSVPFNTNYNGI -PPLPAQGEEITVSTMNTPCFTQSLVINRTTTLPGGDNGLSQNFVVTKSVGDDFKLQVYCPTRLWWRPINL -NSRTLVDGRMYVGGMVFGKKI - ->YP_009337054.1 hypothetical protein [Jingmen picorna-like virus] -MDKGWCNAKHSLPRPYHSFREHPLANRIHLDLVPEYILRSEVEFNNFFREIVCVENRVYSEVVEWFERFL -VRTIPYRNYSDVFGASGEVDVHLVQTWVYVIYCRVCSLDPFDPDDVMTSGILRKFLSSVGTYVAHSRTLT -ERERSLCIEWNAVVMSRWNHFQNTTLRETGDVARIKLMEVSAVLSLEMKHLMYEFEKAHGEEHILVYMRS -VYRNLFVKDVFVSDLRDLTILLEEPFAEGVSPSKNAEDKDSESKVSDDIKKSGGLWNWVTQKFQSIYESI -TGFFIGKKNAVYQFANDMMRKVIQFFVESIDPDKKLQSILDDLQKKVQVKWSRILYVGVVLVVCVGFSIG -IIGTSVVKTLLSYASFQSMPIAEGDNDVFSVLVTGLAFMSTSMFNIPFKQVRNYLFEISSCLNSAKTIGS -FCVSVLSMLPGMVKGVLARFLGIGKKEYTIKEAMKLVAEWNALDSLSMVEHVAGTDYYSEKLNNLIWRMN -AMMTELAGAGAPPSVMSTLGRALSDAISLNSQFMAFQYQDSKRPFPLWIHYYGKPGIGKSVMVDKVIPLM -AGKWYDPYTQKVADRPLLPYYRNSSDQYWSGYNPNRADVVVFDEFANVYQSGQLEKIFLEMLCLCSCAPF -PLNNATVNASYIGWKGLHFRSPILLTLNNSYGIGLQGNLANGIYRRRNIVIETVPHPDYEKYFVASKIDG -EPDTWKLPEDEQEKLFKTGEYLQFNIRVGVGMNGIVQTLTGYAELAAYILVYQKEFHMRAIKLLGLHGRA -RDPKKTVDQAIKDALEGKVFVDSELDPDAVAEMEEDRAASDSELDRMNDEWQTVGQKRMAGFYWLHDGHY -WYDPTNDYIYPAAHPPTATCVVMRVDLKVVDGENQVEKYDKGYYLYKDYAAYCKRYGGVVPPAVSAATFE -KTEGKDRQFNLSKPLILPDADDLKAEEKTLSGADLEALKESMRISDEELKKVMEPGVSDQEVKKEIVVKS -DGKIGEDDENSHVDSLACYCSWLHTMAYWNVHGTFPRITRSATKRTVFPWKKEKKGYSVEVPINLEYFIS -MVPFIGCTSICIDHSCTLMGCKHAGGRKRLSGNDHHCIYVNNEFVLVFRGIMGGMTKFSIDEDKISENPR -KFVLWTLLDFLKISIQGFDAEDGYLGFLSIKRTNVAKAVGRGLIKPMGPTRLEATNRVEWPKFELAYNDN -THCFEDANNSDGVDLIVRDCPDVCMFETSQGEPLSVFNYTDLAAVYAPGTYFPAVQGVNDKALSPVLVDA -IVDVNEDNIRQLEYSPGIQTDVKRLVDVKLELKSEKQKKNFYSNAMYTPTEFTSASEGDMPNVDEKEKMK -KNIVEVQKWCESSNDKVVFDKSGLIDLDASEIYFDVSEDIWDKFVDFYAVKCGIDVSIIQSFKEDLVQRM -RDISSTYDDAGRNSGIQQFDCPIDSPSDGAVFLQYMAQFAGYAAGVAGTIWLIRKVRSMLTGDAGDDQDY -EVVAPVAEARDTSPEPNLERKTSLVRRVARYPGAPATMHIAEASAARARVLRFRHDKFSFIAIGVQNDYY -LTFSHLEHALDLGRDVPLTVDVNGRSVDCTLVKDSVVALEDKDCLLFRLNGNVGFSPNIVNSFVTLEEAG -RLAKSVTGWNINAVLHEVEMQSVARYCKVYSYCLPTTYERVEFLDGIAYPAASRDGDCGTPLMAQVGDQQ -KILAIHVAGQNMNYGKRGFGQIVTSEDVSYLLRCSAAREKDGQYPVTEAKGEMDVNGYGDNFKFVESVPV -EEQVFVPEKQTYELSPVGQAHCFEIKREPSIVSKFDPRNSDHHDPLSRAFFDLSFNDQVEITADELKLAK -QSLLSKLRSEIDFHGFDRELTIEEAIGGVPGLLSSMNLSSSAGYPLALQAKTTGKKQWAHFDDQGDLVIT -DEFRQIVKDYRCDLENGVQREFYFLAFPKAELQKKSKIEQGKTRAIYSGAFEMNVVARMKFGCLLIALNN -NHHEFAITINQYSYDMNEIAEYLTFCKDALDHIIAGDFGNFDQHTQKPVQKAVYDILFELLPTELQDSVA -WDQFVRYQTESGVQYRNQRFYFEVAHLSGCLFTTIGNILTNSLYMRIMFNRLYPHLEFDKCVRACFCGDD -HVMSVDVEKAPLFNQNYLIQNFSKLGQVYTDDQKNTFGVPAFRKFSEISFLGATPIYLSKGWCGRMRKDT -LEQAVSWMKNGIEDWYEATVQYVSLYTMYPRVEFNHYLEKINLVLDSIHLPKIDVAYETRQIIASQRSVL -GVEAAVAESMTAFSEDPIFTQDQMALPMPVEGSGFGGGDVRFEEGMDSFLYKTSFQWTISQSNGTDIATY -NLPFDLLRVAQGDMQTTKFRQMTFYRSDLEVRVQTNGTALQYGCLLMQWLPLCDKTRTLQTVDDFAVQHL -AIAPKNSGDLVMRIPFRTNYQFLPVGKNFGSLRFRVRQKLTYTSGSPITVSLFLRFVQYDLKVPRPLVSA -FAEMESEALQNSTPAVPVETVQDSAPQEAPPEAQPPTSGDDKPKKKRSRRSKLAQLAHADRGAYMSYQAR -YFHEHGEFQTSGSMNPLYKGIAVSPEYTKYFGDAEWVAKADAVGRGLRGNSGYNKTRRWNAKQKKKVSVS -QGTQKRGGRKFWGRARKSSQKGISYSDYVKKFGERPAVTEKEVVVATRRGWSVLSTETGQVVRGPKNFCK -NLLQDVFEGVTDLIEGLGPQVEKLMPTVGAISSMLGFLDNPFVCDDGTRVVSAFPRMSNVTGPHITTTMQ -QAPAAIYRPGRLMMDPSEMNIDFLVGREQVLWQGTWSQTQVSGDLLLAIDLNSWLDINGKHSVGCSTYFL -NLFQFFHAVPTFHVYVFKTMFHTGRLRAVFGYGEYDVDATKNYGGTGYTHILDYTVEDVQHSFTAEWMAP -TDYLRTFDGPRVADPTWIGKYDFSLGTLRLEVLNQLAVAQETVPVEVGVLVSVTFDDVRVAIPKPYPLIN -AVNSGRVPTISFTGAIAEMDVTGSSTILPPDEEVDEPFVDADDVSETEPGAGPEHSNLDIGAQFEFLTGN -VLDLLRRYVSISPALLYMYAPTGSAAGINTWHAADSKLSPIVEIVVTPAMSAMTAFYRGFCGGIDYRIYC -PGGIKEIFFTPSFGGRQSLALANYSSSVTNTVKTGSSITSTISLPTNVFNTTLTTSVPVEVSYPLHENLS -WIDVTVPYEQHVGYMTPETSGDPDGIIILGVLSFKVPDGAADLKVYQKFSDDASLGIYVPPQSYQAAVTD -SVTGTIPEGTVGLAGYYW - ->YP_009336918.1 hypothetical protein [Wenzhou picorna-like virus 25] -MVRQSQHSIRALPGAGEAEVAVRSTPIVSTEHFRKSDRKGLTSKIRTPFKGKIPAETGPVILTKEVISYL -YVQLGSLYDLKPSIKGIVGIRRKAEGSQSPQKCWARSPKSRRIRVFPGNARCEAGRQGNDTHPIDRSGLG -EDDQRFDRSAVEKFVEARLTAGQKISRPQCPEVDDGYVTDLTDGYDSDATIVCDTGFGRGSPLHRRCVKM -AFEAQGPDDLIQVPKDVVHADKVVGLPLHLFHDFQQAVSTGDYFRACHMILDWHDSESEGVPLRERQWFL -DHRCAPPYGDTPSPAYDLVDWANRFDEDGVLNANFTVNMKRVVESAWTTLRLIDNKTLNCFTKAEKKAAF -RDVSWNPYVIYSTRMADNEICRFEQEPEFFKKLYDIRLRLGLNGDYGFRSKLDGFVAQGGATSSVPDFVK -NFTEEAKKAGGDIASVARKAIDYVWDGIVRLMAFCTDAFNRFSTAVRRFLVNKLVDLMLGTTIEWAPEYQ -ASLSSVVYLVFSLAVLFFSIGASIISSRTIQFLMNSVQKSEFVAQAEMHPAQVVTVLVGGLLSLGKGEKT -RLGEKARYICTLMAGGTVLTNLGMACFTLLPTVLQDALTAKFGTEETAVKRDFAGWRAAASALIQFSTVP -KVVSSPYYISRIDEMLHKGSELMDRTSSPKFVPIRQSLINAYLKLQSIKMQINLYMESSRKRPEPFSVHI -AAGSGMGKSLLMERMVKKAMGLNANDIYPWNPDQEYWSGYLNHKVTAIDEFLVGEENVVTKTASAYLRLV -SSAAYKLDMPSLDNVFVGVKGVEFESSVVITMNNTLYNRVNGFSDAALQRRRDVLVEMVVDPKYKHLVGN -QNVLDFSKLPREAYANTSWVRARFLKPVYGPDWESSATDWMSFDVMCKEIKEFYEEKKALNEVLTETVDF -DITESPEEMINKELRKTCNLPAEPLSISEALVSLFGFSGEGPSKKTFKDIVISSSESAGPMPADKPAGPV -PADEVEETKTDSSDVEGQEEPGKFRHAHKCPCGNVTRHRVDRGKETIFYCRCGRTLSCEEDGEPASGPDR -VNHPVDGCTAGPHFHSCLWNNCTNKTTCRGSGGAPTAWMCQDCKVRFSAESSVMAQNRYEHNDKDSHRPT -YFADRDEWVQAVADKLWLDIGSAWRASSQVFKEQTGSSVKMRLVSCAMMGMAIGIMGSLKSAISEEQAEE -VTFSAESDPRKHIRHRKRREDRWNRGEDYRGNAAKPLPTATLDTGEHSVTAIPLAGRWFVTYVHCLMGTG -IPPPDGTKMTLHYRDHAYDFEWSLANKVWCTDDNGDFVYDLVFIKVENNKMPEFRNIIGSFISEMELPER -DFRISARFLSGLTMSTAKYDSQSYTHRDIEYSLNDGLRYLADTTMGDCGTPIMMAEGKHANKCVGLHVAG -SIRKQGVPTGLAVRLTREMIEEAIGEFLPGFSGEAPLIERLTELETPNLLSLEKVSKSATVHLSDKTKLQ -PSVIAGSLPWTTTREPAILSQKDPRSQGKDPVEEAIVRLVTAPKMELDQDRVDRCVADVSKRLSRVLDWS -GTGGPRELSFEEAVFGVPGSLSGLATDTSPGRPYVYFTNKRGKRELVWHNEQGGHVSPSFKKHVMDVYQR -VRSGEDYEVIFLGYMKDEVRSKKKIDSVATRITYANDVTYTVITRMMIGAMIAAFNRSFPASCYAIGINQ -NSYDAQKIYHIFRECPNRIAAGDMENYDYHYQRQLMDGSHLVIENVATYLPAGCVRHICETESLGRVQIA -DWMIRTVASNNCGKVLTTHLNCINNELNFRYAFDVRFPTKVFDEHVRFVFCGDDNMIGVMKSIEWTPKMI -QEDLTYVGQRYTAAEKDQEVADEYQTFSEVTFLGSYFRKLRGRWTGALRKNTLQESILWTRNSNLTVVQE -CKQMMEYASQWDKEYFESYCAAVNNALTDVGISAIELPSWESLSEIVANRTVDSGEDYFFVAQSPADNLT -GFGTDSQAGINRIQPRGVPSSRAITNSDFTIRDGLESFVQRASYDWTNTMNVGTHLKSIAIPGGLLKLGD -QDGVQNMSFQNFMYSRCDIEIKIQLNGTPTQAGCLVAYFVPFRSNTQDTSTYLSYNHVKLSPAENTTGVV -RIPYTYWRPLISNMEMQLDETVSYGTFFISVYNPLTSKAASTCGVTIFSRFIGEQKIPRVMTTSATRPVY -GFVRGTGANLGRVLSSDTQYVAQGANVSKTEVTNTYNISDVAGGMPIENSVGANTSQGLDQKADVRAVPM -DNPPLVGGGVPVVQQFGSMSKANGPEVTTGLYLHPQEMSRQPIAARFSEETRLDYLSSLPGRIAIVPWTD -QDADGALLWSLPLRSFLLPSPSSTGTGTIVPPCVAFMNRFQFLHFDTVFRFHVVRNKFQSGRLKVMVTYG -TSVQPKEETPLYGEVLDFSGENSVVEVVVPYNNNSEYIRTDMNLADVQSQSTGTLWLFVLNELRTTSEVV -GGACSVNVEVYFKNVRVAHPSSYSSVEFTDGLSRLRFTAQGPGDIAELDDANEAETQSIMAPTAKVPNPI -CRVQVGEKFEYNVSDIHEELRRHRPYPASRISPFDLTEKPLFSTMPATPNRVVYRIPTLPASDYDSCYAA -WSGSLRFRIYADTSAHCTVTHVQGQVAKFTASGFIDRSEILAANTTAFSGNLGASAGVPNTPTVYYPAIA -REVLYPVGSQCFIDVTVPYSSEYDFTPTWVDTFGASGAPTTYGTGFLYVNCPAGTDIRVYWAAGDDFRYH -FLSFRRAPSRRVFAQNVTGNSFTIGGIVPPS - ->YP_009336719.1 hypothetical protein [Changjiang picorna-like virus 5] -MKKPMFSTKHMTLGTELDLEPSLLVNHEISDSATIIRHMDYIADMIRPDDRAAFHANVRWTGLIPIHSQQ -WCSRHSCESVDCVVVNHRNCKLFSMPDWTHRFSPDFEIDFCFYWNLKRTVEQVHLFKTIECLSMTKREIT -NANISWKKHPYVIYLLKMQDTEFINYRFDRLFIEQSLIVRKAFSCNGNVVLESFCNQYKAQHLGNDIMDM -GASISASILNFAWKAMDGVVSAFTSITDFVAGSFEKVFSWFQEHLGFLGSVGSWFKDTFKTIYDTILNSI -LVKTYVAPAFHVMAKILKTIVVFALGLALCKMAALSIGNVLGFFYKIFKGDKLELSANCSPNAFEKHGPM -DLVTALVVVVGAVFGWKARKDLPKLAFLKNVASYLVTLFAAGTVMSKGVDLIFTLLPVTFQTSLIMRYGS -ENSKAVYQYTVWKNKVIPALSISEQNDLLGDDELIVILRELIKEGSALLENMQDLSVKTHMIQLFAQLMT -AYKKHTANRVEGSMRAEPFCIHLCAAPGIGKSLISGRFMRDIGGYKTTEIFSKSNSDKFWTNYTNQKVVL -WDEFLIVNEPDNCSALEYLSLVSAAPFKPSMAAVESKGTSVHPEVVMTINNHPYCNPPEVDLDAMDRRRD -VVVLMLLKKQHYKVVEGHKVPKTMGEIHKEDPEAFNRLDHYEFLIMNKFRNQNVGIESSVVGNQTTWKIC -RDDIKLLSYQAMVDFVKAKKKLKLQDSKTLTGTFNIDKQVTRTGRYAYEQAMREFAQMPQGTMGWTDALT -VLAKGGKMKPVSLNSEVTTLSEELLKEATDPYFLQQEMVSHGDVKPTQDPINDDDGYIIVQSSKPHRSRR -WNKVEFEPKKISVQPAYQNQPGPSQQHPAPYKRRHPVTNKILNERPPGVILKQQLKDEKKNGNIERECEK -KMEELKQQLRRENEQRLLSRQQAQIKVEELMQTTHLLAPEDFQQVIDQAKLIEEEAPTVDIIELGNRTML -DSIREPVLHVDEVDPPRLNDINGSVPAGVNNPVRDIGFQDEGQQQTEASIQEEPQSYELEDHQVPEPPPS -PMESLITPLYLQNDQSDEEREQWEPISTGEDDPVDETDALRRRLAETQRDRRVLEERVNIILKANQRLVL -EMEQIKDDNALLVQNAALSQRVRTRTPTESDQYETPDDDESLHSIDNLTLAIHEADVPVENNYKTYVMWI -TEKLKKWGSKVWEHIVSFPDFVTENLAKGVGKGFAKLTEMVTVISLITAGMYMIEVLYRAATKQTPPAEI -VFGSHSAVKNPRLSMPHNRGGARTFINGVSSFTSQAALAIQTCTLSVGDLTINCIPIMKNVVLTYTHGAK -EIFQKIAREDLKTQNENANLQYNGKIFPVFLCEKKCTSLVEADATFIVINGLNIPSFKKQTDKFVSAKEM -SGVSSVHIRIQLKSGTVYSTANRHYSKSYKNDDEIITIDEAWVYSAEAEPGDCGRPLVSLSPWTNKIIGM -HVAGSVSEINRTGMSVLITKEDVDSAISHLTNGDVGHCSRKSTRNLFTEEDVAEMSSMPNIKEVELAKPN -EVIFMPTRTQYKKTIFYGQLSIPSEKEPAILSLDDPRAKGTCPVKRSLMQVAAIQHLPVDENLVEEIAET -VYQNLESTLVWNTGRRLLTHHECVFGIPGKLRALNFKSSAGYPICVDTTKGKQELFCVEQGECVIDEHFK -RLSKAYEKRIEKAVDENDYDTLKKIADEHKFIGYMKDELRAPKHIDNVNTRMIYCNDVRANIFFRKYFGC -LLTAVAASGGKSIFATGLNPYSYDLDKMYDYLTALGGSKFIAGDYKQFDMHMNPVFRNAAYQVVGKLCHI -PKPLMYFLFQHECDTFSQIANIRYKTVSSMFSGCFFTTIVNCIVNDMYMRYCFAKIKPDLIFDEHVRAIY -LGDDHVLCVKDVMDFNPVTIADELAKIGQIYTSADKKSELSVFCDSFDKITFLGAHPKKLEFGWCGALKT -ATLYETVQWSRVKSQHEFTQVLTGALEMASLWGPEYYSFFYNEMQRIQDSHFIDVLPPKRNPLELRRVVA -ARTAASGHYYFAESANISTKPVEFISQKPVDKTSIHAPGITDITTMAVAEVTNATTLPSSMTACVGINEE -EMGLKYGTESDVYRNQFTWRTTDLSGAHLLEVEAPYELLQLGNTDTLQNMPFKNFIYLTTDVAITVQLNG -SPFQCGILIVYWRPLCGTSKLQSIDSTNVMSLPHVLLTPNRNVTATLEAPFQYYRNAMNTFAMELNQESL -GSFNIMVLAPLKTGTGGSTSATLTIYSRFPRAKFTLPRPPIPLTMTAKSQARTISNSITNSTVSSRIVNM -ESVAYTSQGGGMSSMTYNSTFNNTYDHTTIAGSAPATTMNMGGNTCSAAGPTVETSVPINMSGAIPLDNP -PFAGGAVPTTINQPPLSRNRGLKFTTKLDMADNLLNLQHLGFYDPALTDLSTLCARECILGTFSWSDTSD -IGTKLFEVDLNSTLLTTDQYNTLLNSEPKLLPMNLCLLNMFRYWRADFVFTFRAARTNYHSGRLTATIGY -GAPTSVVLNATRSQFKNEILTFEADNDVVRIRVPYNSATEYLCTYRGTGFVNQIQDYSLGTMALFVANKL -VAPPTVTSSIDVIVSIQLENLEVYELNSNNSVYFADTDIKARNLVSTTGLTYVAQGPTSETNVQVITGTA -GEDSGPIQTVTASETKMRRTRPYQDREKRKFPYIIRDLHEVLRRYYRVGNHFLSVKTTTSEGGNVNILCL -PVTPLHPLVNMFGAWAGSLHYRLFVSSHTQFGSQGITKVWVTYGPEMETDPDHLEPVWNGYEADTGVSSN -ATLKYSGATTGWLCNSKYAGSTSVLMGGAPTEMPTGSDFYQKWMDISVPFASQYQMAPTIEYNESNANYT -KFFASNVNQAAGISPIIGWIYVQLSPDAASFRQQASVFQAVGDDFRLLVYKGCNTAYQPYKRSGTGTFAG -MWNNVGYMP - ->YP_009336621.1 hypothetical protein [Hubei tetragnatha maxillosa virus 1] -MASQARIVEPKQCSSLGQNTEGEIREAKTILKFDGDSGESTQGVGRERAGREKEVVGSYLVETPNGLRET -VEVTLSDLEDESSEGSDESDVEDMVKSINQGRFWYIVRPLYCHHNRSLIKCPCMYQMKMGKKYYYDNRML -DDLTAIAHEIRMAQFALLQGRDVKNIYQKHRAVIVALTTKDKNLLCDPKMDRSKFLAFLYEVREGYGYNQ -DFGQRNMVSDTIFEAQGFTDVFQSIFETASSYLSKSAKTVSDLVKEIFDKILKALRGVFGVFAETLGKAV -ESIMSSIRSWVVKQFNPSMFIKDCIKNIDFSKTITLLCIIAVVIVVDIIGILSYRLCTTVIDKLIKLKTQ -SYQSEGPADPVAGLVTLIGLTLGLCAYDMSTLAKRAREFTSLVTAGLSSSFLLASLFLVLPLTIQTALKM -KFGTRETKEQVLVEDWLIRSSAVIRLKKIPKVLISEEYYQWLSELHKEAMGMKGKIKTSTIGNIFVRNLV -SIAQILSLLDNYRTEKASKDLPYSLHICGPPGYGKTLLTTKFVKDLFLVEDRDIYQVPVASEFWDAYIGQ -SVIIMDEFLVGDNDSQVKSAKEYLELVSTKNFKPNLASVDDPAVGIKGTCCVPIGVITINNTAYNRVSAI -PQDAIWRRREYVIELNISEKYKDRFKNGKIDLTDSTDEEIKELSWLRFTLKSPSPTKGTDIPGLTYGALV -DYLRTHRQKQVEMTKRIHDGITSDILLDKTPKEMLDDIIRELRGVPSEPQGLGDAIFGFFENIGFQSEGP -QDPNSDTKSNESTSDRMDDAVWRGPSTGSVFRTEYNKLKKAKPSFSKEKLSNMLSSSYERALHLSQNGET -EELRNEAQRMKEYVIRRSIKLGACISGFESEEDGFDTASENGIEQPLSQKQNPTGHMVISTIDHSNVDQT -QQHRHICLGTYEEPILNEKGNSEVHHLNSYIPKQRVTCGKQFSHAHSESTHPMLCGTCINNKREESYDLI -HGGGGYATYNKELLPEDMYYEYIGSSEDYAKQLDKLWTDICLSKFLSHGTTPIVIINDPSFNDGQGLYYE -IPSAQAQLGTQFMTVTKWTALFVVIYAVRKWFTKDKSMPEEVCFGQSPPPDKERRSGRRSKSWKYYHQTD -DKTETCLQYNGLDFKICPIRDSTFLTYYHALLDENGELVPDGTNMKVRYAGNTDSFQFCRSMIRVCEDSQ -DVVFMTYPRKRNSQFPNNVKKFWSLSDAEDFATARALIEIDGRPQYIQANLATNKSYACKGKRIEMDECL -QYKCPTRKGDCGSLIISTGPRFPNKIVGIHVAGGSDGKAFYGLAVMIFKEDVEAAIQGVKEECSGDIEFT -QEGPNYVMERIDKSGPNLLKIEPVPLTEQVYLPRFTKLKKSSLSCNLSTTPKKNLPLMSMSDARCHGEDP -IINMLNDSLSVGHTLVDQEIVDELREACLSDLKNTLVWPVGKRRLTIEEAIGGIPGKLASMKIKTSAGYP -LCKVSRRKGKADYFSFNNAGELVIEPYFREMVEETLNQLLNQGIDPRRFIAFLKDELISSSKVLEKRSRI -IYAGDLVSNVAYRMVFGHILVAFNNSYMTTGSAIGLNQYSWDMQIIYDYLVQVGKNFVAGDFKNFDKRVH -PQFQAAAYRILMDLCDSETVPNIAKESFVYQQCFSAAQVLDALIQFKTTHFSGCFFTSIVNNIVNELYIR -YCFYKVCPNEVFHDHVRIKVLGDDHIYCFSDKVAENCRPWVIREIMSELGQVYTSDRKNEELTNEFRDFK -DITFLGAHPVEFGGKWAGALKKETLEETLHWTRNNDLTILQECKTVMELSSLWGIDYYTKMCNEINYALK -CIDIEPIYVTAWKETARMVCARTAGSGKEFPYGFSAQGPGVTSGKLRVDDHGIQPITTEITNSLAKLNED -KKSEAVLVGVRDPMKLAKKAVNEESMALNYGTSSNVYRHEFKWTNNQSPLSGSIAEFDVPFGILGFGDPQ -NLQNMPFDRFAYWKGDVALHFQINATPFQQGLAAAYFMPLARYESELANITTNSYVFIQPDQNSTYTIRI -PFKYLRSVMNTIARDTESLGTVYFTPLSPLKGISVDEVTVTVYSSFPDSEFTIPRPVDIQERRRTKFYTS -FGEAVSFEDSHIEYEAQGNSASTNVTYNISNTGGDMPIQKIGGGSENSATQSVDANLEATIPMPLDNPPL -CSGAIPVEQAFPGMSSAHGVRPTRDMQLKPTAFSRQQMEIFNPMETKIETLLSKMCLLTRFEVTPSLAPG -TELFHINLNTRLGVAEGTGIPLNIAVLNQFLFWRADFEFTFIAVQTQYHSMRLRAITQYAAPQVVTGTAN -TTYSSIMNFASNDKGSNYVHKELVRYNAQTEFLRTYQGEGVIDPVQNYSIGSFSVDIANALIAPDTVDPT -VEVLVFLRILNPKVAVPSPASPFTWNDYLKYEPTPTWVLRSSRASSNNLERLERVSNIISRVPKASITWV -GAVPANGTYYPSNLQGAGFKFIFQDNLISQRFEFIPETLSINVTNAFLEFVTESFSIPFTPSVSGSVAVI -GSSSMAFQADPPIEFQAEGPEEDTSNLRTTEDVDHMDATSVTKDEAPHRENEVCKLEIGEKFEFCVSDIH -EIARRYIRMTPITNPALDQFAVYSVNTGDQTQYNLNIPTQPQTHWRALFAAWAGSVKFRIFRNRQNGFPQ -VFFTPFYNQSVTTPSIPIIDAVSGVGFVYDGVSIVTDTAISGPIAREMMYPIGEATYIDVSTPFQSHYNF -CYNSQTQEIAPISSGTLTLSSPTLDIPNIYTAFGDDLRLGIFRAPRLTTFDMTVFTNGVGGFFQRPTIVT -DWRDAHEQSTKQPDSLLSSELGMSESRLFINIKGSSSRG - ->YP_009336580.1 hypothetical protein [Hubei picorna-like virus 11] -MSKGGRNISKAGPSANRQGPALAFANTKNVYIPSFSEAPFESVHMLQDALFVSAGVDVRTIDEKYLAGVL -QHGAVVNSSLYTILGKCKTAASFYSTLQQSRFAKYYDSIVNNRMAKFINYLAFLVPDFDYLALFMFTPCA -LKLNMDSWVFDEHIGALPAFCPGCSAGKTGHYGYLLQVLRSMDDHVFFGTDVADVVYDSPPGFEEVVPKD -FAQQLPVVDGKTTNEVFRLLRDAELPNERLNVPYARALVRVKGMEAVPLNLSRGRLRIISLMVEEYDDFQ -AQGFETKQPCVVEKESMVTVMNRTLDVVHSSLELSSSVRAMIESAIRDYDYQRHTDKGVVVADQAIAVQT -DEDDIPDLVDDEELCRPDTPPGREYCEDGLLTRPDNVAGAFFREPTVRLPESGRSGRDGVVTTSAVVDRQ -ISTRVAPLHGSEGSGNGSNDDLVPVGGVEAVGAKTSDEDQCVVPCLQASLSQERDVSPDRQSYASLEPDV -PFLDETDNDGDDGTYITVGRRVRGKGKSAAPPRMRDNGEVGVESRDQWTVVASKTRSLLKAPVITIKVYR -NLSLLSNLYERELVFKTSVMDERGNEIITAGPVATAVDPDIMLNHPRDSSRFRPNFGDGEAMLKLQRFVR -AFDPPPDVANYDAAVRKGCVPSVERFARFSSGCEHYAYLLQWQHRCLFRHTHMHSCSFGDLRFCVPCREH -GLSHGWEWIEFAIQSILTYRNIDPEVGQKWLEQLFATPIMIALMRDPPKPMRTRVNWIKRAMGLPPPGGY -QAQGGLDSVSGFLSKLKDLPMKSYEAVKTVLGKVTDFLVSVFTSMVSLPGKIPDLTKDLVKSIIEGYLKK -IFEPLAPVAKWTAKHTGVILASIEALVVAILLHFEYITIESAKMIVGCSTISALWAKVFTAQGDSPLTTV -MMLLTGVFYMLRPTHIQVIRDRLSQLSLVMTTAGMLSSTASFIFMLLPEAIRMSLKYTFGGSRAVMSEQV -SEWRAKVATANRLSTCTEVLVSSDYGLLVKDIMKSGMELLRAATGAERQSVMTMLPSMMRLDNMLYRYQL -DSKDRSIPFVLHLAGPPGVGKTLLVRLILNRLGYSKTDLYFRPLNSEFWDGYAHQKVIVYDEFLIGDEGA -ELMAKEFMMLASSAHFMIPAASLDDPVVGIKGQYARPELIITISNSTYPAHPSIDRDALDRRRSEVVRFE -FSERAFKKTDNTVDLSAYTAEQIEGAEWVDCYVWPPQPTTKRVRSPPMNFDTYMEHLELATKQHREVVDK -LNASHVTLEAGETPAQKINKAMAEINGIPSGPVNLFSFFMRSPGFTAQGFNEDLAAMKDPPSFLDDWKDE -EEPDFNEMTVAEVRDGGTSWWTYVFGAVSVSVGMYLLVKLVKSFFSSEDATVEFFPQASGEPRSRKKHKR -KQRANWGGEESSSEAAPVKVELVFGDRRMTALPLKERLVLTYAHGLREVVRDGPTSPVIAIVNGISYPAT -VVWSTVRMDPDNDLAIVDLESNKLPQFANNVSKMLTEADLGLISDLPVILTVMRAGQPVSIFTMAKYVTN -RSYTAGQTSITLEAAFTYQADTQNGDCGGFLMVRSGPLIGKIIGMHVAGRADSIGLNYGLAVALTKDLIN -AAFENNQVAVDPGEEFFESQNDIDVQQLQPDQVVFLPNRSKLKPSCVASLLNFSTRIPSLLDPNDPRNVS -GLNPVDVFIERLHPILPEVDMDIVAAVEDAMLANYDSNVHAFERWRQLTFEEAIRGIPGKMTSINLDSSV -GLPLVHLTRKKKRDFVTLTEDEMLVDEELRGMVDTFTEQFSRAPQESHWIGYLKDELVNPTKVDEARTRV -IYCGDLVATIAFRRLFGTVLLNLQQSRENLPHAIGYNPYSYDMNEIHQYLSSVGNRFIAGDYKSFDNNQH -PVFRRLAYNVLRHFMRKIDGVTEKMIDHFIRHQTQGYVQIADRLFYQTQGHFSGCMFTTLVNCLVNEAYI -RYCFMRQCPGKFFDSSVRVKTLGDDHIICVASDVDFGFSAIQARMRELGQVYTSDTKESECPDYRPFGEL -TFLGAQPIKFRGQWVGKLLKRIIQQTLMWTRDNDMSYEMVVTSMLEYASMHGVEYYDWLRVRVQRAYAFC -GLKLPELPPVTEIQQVVANRTASSGTSFTVQSGFRAQGDDENVSNGDVVGDDVVVGEANGIEAASATLEN -AGITGMRRVAFSDVNSRVPKDRIIPQTLTMEEALESRIMRASFSWGTDHPAGHVLYNISIPQGILGQGSP -DSIQNMPFKNYVYWRGRVEIALQINGNPFQQGLLCAFFYPLSTRGSQLNIVNWIQCQHIMMRPGNSSVIT -MEIPFRYPRSYIQLRGSAQPGDDLGTFVVGVFSPLLSTGQDNVMVTVYTAFKDSDFRMPRPMGWARSLRQ -VVDDDEWRAQGNNTSTINNTYDISNVAGSVGAQSDGHLGSVQASMPMDDPPLSGGSVPVHQVFASMSKTV -GLEPTVPLQLHPVAADRHHREYFDNEEQTLSWIMDRPFVFKRFDWKFSDNAGATKFDVHFDSFFGHEHND -AVPAPASLAILNAFTYWHADIHFTVVAVKTPYQSGRLRFVMAYGADFDSGTPISYNDSTCYFNETLDFSD -NDTIEFDVPYVAATEFMRTRDGVEAAIPPTHFYYSCGRLACFVVNPLKLVSSTVAQTVEVVFFARLRNVQ -VAVPRNIPMAVPKSRRVLVSGMEKTGRSHDGSSQYVAQGLVAPESESNTPPCRLLVGEKFEYRVRNILEV -ARRMVPVDHPQFDWEMFNTVVATDSDYLPTKMRTVKVSPACMWSSYYAGWAGTLRYRIFVPKNTVYSPVT -FVPVPLWYKGYRKYPTDCVAGSLTTDVTLTPNSGHYEKIHVRGTSATLTGPQEVLMPVSGDKEWIDVTVP -FCSNFLFQLTPPVNDTSYDQSFVSAYTGVLEFGFDVQRFRVFQCVGDDFDFGIWRPPLDVVWTDLQGAKL -NGDYSQSIGGLIF - ->YP_009336568.1 hypothetical protein [Hubei picorna-like virus 12] -MSNLKVKGENSGEREVESVPAVLPSAQTMTVESSEPAAPPGLVYEDFGYGRFFDWITACTAVSPDMKDDY -KYLRGFLEGTASQRIDEILSMEKLTDSNSVWSYIQARTYMRGLHLVQNKRLRLFVNYLAFMVPQLDWLSF -VRIFNDPMGLVMDDWAYQKHLGTIKMCPSCKKGASGHYTYIGSVIREASKHVQALNCDPAMEWSDEPTCL -IPSFVISTEQQPDVEPEDIWHEEIVPESANDVVVVKGVAMDADIAQELEEQLSLPCEFGQDVIKEEYQSG -YDPREYGMTPGQPSSTCDIRFVNPDTGKVWLPGERERWEKLKENHHDEKPADCAPTVGVLVPKTLDEQLA -EGLAKGATKVIEAEYTDFFLNHGRGVIELPSPPTYELLDSRLSNRENFYIDRRNQRAEQRWLNECERILK -IVPDFDIIGDRLYHYKKVLPTRSYTPQYRQDFDELGLKKVKEPILWDDALALFRQDKFDPDKYEIIRQQP -SSDLFSDAIARYNGDTYAQDLIDAYEDYMRHRQEIIDQVVQEEKLFEEQLNQQKQEWSDEPQKGIEIDVV -CATRKEKRRRKRKPRGDKDVIPDVEKLKASGEKNKNDSYFDDDEPPTGAVAIQAQNTQDEVPDLVWLSDA -EEGADPVLFDEDTEVLEKSDVEVADEKGSQMEAVPITSGVIVIERETSKFSRVLLDVEQDDLSQLGEEAQ -ISWGEYFGEMKSPANLPPEAVATPGMRGKLLPNPLVAIRTTQNYYPVTRTTMVPEVILAARKITSWAHGV -CADSCDMDVDLAQGNFVWQNEWAKRFLHCPRFSFVAQYQNRCPLIHWHEEDCLKDHQMVDAKCELHGWSH -ARDWLDYTLQVISLYHNMDPKVPRYYLLATLGVPIVIKMIHDSPPSLARRSDWVRAYVAGGFARIGPYMG -QGPGAGLSILQPLTSFKSTVVNGLTDLKSAISTATDYILDLVVRILKPVEIMKRYLMDLVRSVIDYVYAQ -IFDFVYEVGEWSARHSHLVMTTIEILVLLMLVALGLMEWRTAEIIVGATTASGLWCSAFQGQAPDNPLLA -AMTLITGVYYFLRPMQISEIRDRLTQLSLILTTAGVITGTVNLIYFLVPEGLRLALKYTFGGNAAVVTER -VSAWRSRVIALNKLSGTNDVLISKEYYDMVKRSINEGLGFLRDAPPSERNNVMTMIPPLMKLDHILWSYQ -EARRDRPLPFVLHLSGRPGVGKTLLVHTILTKLGYGPSDVYFRPVSSEFWDGYNGQRVVVYDEFLVGAEM -AERVGTEFLQLASTAHFQVPSASVENPLVGIKGTYSHPEVVITICNHIYPVVMSIPDDALHRRRSMVVNF -DFVKSAKKKGGNTVDLKAYSPDEYAKAPWVECRIYPAQPDGTTSRIASEKGIRFEDFLELLVQDFDQHAQ -VVKKLLSAQMITPDTMSPAEILNKALAQMEGVPPGPVNIFSYVTSWLGRVGSHFFGQGPKVECKNGICVL -SDSAFEADAVEQYLGSWVSPQCPITYHEMVTTSVGRPSGWAIIGKAATMTAAMIGIVLLARAIARRFGDA -NDTITFISEGSGEPRRKKKRAKDKRYHWETLDARAEGPFVQAELIFPHDMGGRRITVLPLKERFVCTYYH -ALLGLVRTKPTVTVQLLYAGRRYTANIVWANVRADRDNDLVVMELENPQIPQFPSVVNKLISEDDFELIT -ELPVMVSLLRSGSVVPIMTTAVKAENRSYVVDDSMVTLDLALVYQADTQPGDCGSALVVRSGPYVGKVVG -IHVAGKSDDVGPSHGMAVVVTREAMLTAMEKDQTPVPDDAGFVSQAVEVEALDSGQEVFLPRQTRLQPSC -LAPYLNYPTKQPAILSPEDQRNPSSKDPVDVFIERLCPDLPLADAEALDEVKDSMIHYYARVEGAVPWRL -LSLEEAIMGLPGYLPAVNLDSSMGIPLCYRYKHGKREIMDITAQELKLDPGFGEAVASFMKSFIAGKEHA -HWLGFLKDELVSENKVDSVRTRVIYCGDVVAMVGFRMLIGSLVANFHSACKIVPHAIGLNPYSYDMHVIQ -QYLSEVGNQYVAGDYKDYDNRQHPQFREVAFQVLKSMALRIEGMSGKCWDMIAAYHRSGYVQIGNKLIKQ -VSGHFSGSFLTTIVNCLVNEAYVRYVFRMKCPGRNYDDSIRMKCLGDDHVICVRKGVPFGFSVIQSGLAD -IGQIYTSDDKKTTSEEFKSFENISFLGARPVVYKGRWVGSIKPEILQRMCMWTRDKDKSTFIVATIALDY -ATLVPGDFYDQFRDQVMAALQKAGLPTPELRPRKVVATEVANRTTQSGLCFFGHGVGDLFVATQSYEWPI -SAVIGELKEYTAVDRLFPNICRRCETCILAYGQGRHRREKKNTTYGTMCSCFCPQGPSVDYFVSQGPLKT -TTLTKLDPPSEVSVVHANTDAAEKGGGSDHMSLEECAASFIQRVSVEWTTGQEAGSIVYKCTVPFGLFSQ -GTQESVQNMPFERFTFWKGDVEIMVQINGNPFQQGLLYVYFYPLSTNGDRLPRVNWPATLHATLQPGTHN -SAVLRIPYRFPSPMMINQRVRLDAVQNYDMGMVCLGVMSRLKSTESESVTATIYVRYPSSEFHGPRARKI -ASTFVAQGASSSTLTNNYIYDIKDVAGTVGISSSNSDTGQQVSGSLGLEMPLDNPPLASGSVPMMPAFSG -MARSMGLEPTVPLSLNPVEADRYHKGLFSPVEQTMSFLLSSPFLHKSVEWGLSDSAGSVLWRIDFDSCLS -YPFDGNTQIGVPLAVMNMFHYWRADLHIRVHVIKTAYHTGRLRLTAAYDLKQPDLATSTCYFNKVFDFTD -LEEGEFIIPYMAPTEFRRTMDNEKDRLPNYAETYGIATITLMVVNPLRLLSSTVSNTVDVLIYCWFENAV -VSVPRSICPVVSMYHSTDFSATLKPGVHGGPMKQNLHEFIAQGPFPEVKEESTKPDRLSLGKKFEYRVAN -VLDLARRMVPIDMQNVLDTAAYTTVSSRVAGVPPNACTIRVFPAHIIGIFYAAWSGTLRYRIFMPGADTL -FHQITFIPLPLWSKGQRPYTTGDLAALAFTPSTTVASTVADISSAEITGAYGAITGPNEMLAPVSTQKDW -IDVSIPFRSTFSYLVMPTVNSSGGLHESFTETYPGSMVFAFTERNFRLFQAVGDDFDFGIFRPPLDLKFV -RLSNLPKPGNSTAIGGFVL - ->YP_009337369.1 hypothetical protein [Hubei picorna-like virus 9] -MPEMEEFEDVLFEQGTHVSGNVRFRNGTTQYHPWTRFDNTIGEWSVMGFDVSNEVMLQSINRTINMDTEE -RIKFFTPQHISTQVCTHQSVKSPLKKCPCLVYEKTSTGKFTLDSTLFVDLELIRQEIVVGKELGQDVLSQ -YRGHKAVILALTTQDSKIKHVENHIEGLRRLYAIRAEFGFDKDFGQRYTVLGEFSAQFNSDWMTNLINML -KDTAVDTAMTLKQSFVSIFNKAKDIVTGFFQLLSKRTSEILNKVMEFVVNSIWKAFSPIDRIKKEVFDMV -KAHKFLTVLSIVMLCMVLDMIGFLSTNVTKIALRKLSEVFAPVKRSGTFDDAYAQGDDNPIVTLITILGT -CLGIAKYDLSTVSKRSREILNLINFGKSATGLVGTLLVLAPVCVRDLVNVLTQSPVEKERASIESWMTRA -HSVIAVSRIPKVLVSPNFETWLNELYRDGRALRLKLQDASVRAMMMSSFNQVLKLKTLLATFKNKQRVRR -YPHSVHFCGPPGISKTVVCTKIIRDAYGNVKPYARCISDKFWSGFTNENFIIIDEFLATTKDTSARIAEE -YLGLVSTAIFQPEMPTVDDPSTGIKGTAIAPRGVLTINNHPYTVIPGINREAIYRRRRFVVEVKIAPGFE -FRNNFIDFSKLTDEQIASVSWLRFDIKPPSPELGENEVLLSDLTYAELIRFWTLDIADHELQGERLNQGL -HEHHADEVDVEQILADEMRTMYGIPNAPLSVKEALMALLTGMIPTYMKNFFTPYAEPSAQGRTRRTRPKA -GPSTERDDRSTHPEPEEERTAKIRKNKVKWNSWIKAFNAPNTPEEQRKKLWNDIHSGFNSDFLGEEYING -HGKMVYDNFKDVASDYSSCESEVEAPPQTDYIADYLHTNVDYTRSHRHDCSRCNKPYGHKHGRDMINHPI -LCKDCEKIPGAHENLHSGPNPENPKNTINRKHLFPMEHLDTPYLDLTPEERVAFDRRILRSFYFCGGIHD -EFFENRNGPTPPDAAPEDDPPNGDEPSFNRSNPRTDSDDGEPRESQDDSREPPRNEEQHHERFRESFRRA -YAGQSQAQQDEWEAWRARRQREEAFFRTLTTAAEFIRLGISVYLLYRGLRAIFAPKQAVTEPADDEVSFS -QGVSSFNQSRTTNRPRGKAPRRTFTLNAQGTFNHVQIIVNGVDILGFPLQGSTVMTFHHSLANHLDDMDT -KITFCYKSKNCTYSVRQLRIVVSSDSDLVFITLPVASGLDCFANMVKNFWSDNDVARFEGAPAILETPNT -TFTIQATHAGRRTYSSPTGRRILDTCIGYLANTKIGDCGAMVYVTAGPLVGRYIGMHVAGGHGAVGNFGI -ATPITREMITEALSFKGVPIPEDIDFDQQGPEIFAGPNLKEVGFVPYDERVHVSRKSKLQPSAIAHHLPW -TPKKELPLMSPLDPRCEGQDPMVNMINDTLSVTQPEIDHQLLEKVTKDMFWNLNQNMQWPFPQRMLTIEE -AIGGVPGLLASMKVQSGAGFPLCTRAHKKGKRDFFYFDDHGALFIEPSFRIMVDEFMVKLFDDSISVEDF -NGRFLVYLKDELATPKKVREKRTRIIFGGDVIANVAFRMLFGSYLIAFTYSNRTTSSSVGLNQYSHDMEL -IYQKLTGRLNTENFIAGDFKNFDKKMVKEFRDAAYEIISRLCPWIPKKVFDKFFNHQTQSPIQFAEFLIW -LFSGHWSGCFLTTPINVEVHELYIRYIFDVMCLARLGKFLVFEKHVKTEILGDDHIYNCSDEVKEFFNPV -TIAAELAKIGQTYTSDDKDAELTEEHRAFENITFLGAHPKIVDGAWCGALRKETLLETLLWTRNHNETLL -QECETAMELMSVWGEEDYTRFVNDVNRALTLSGYEKIDMPHHETMARIVAARTAASKDHFLSFSAQGPDF -GLTKLNETSTLESTELNTSNVKVNLMEKAIAERPVDLAFSTESNVWRSDFEWTMSDPAGTSIYSIDVPFG -LLQLGESDNIQNMPFERYSYWNGDVRVSFQINGQPFQQGLLCIYFMPLAGYEAELANLTTTTHVLMSPGE -SSTVSIDIPYIYFRSVMSTYASATESLGTIYVTPLSALLTAQDESKVTISVFSQFPNSTFTVPKIDVALL -KHRKVMWSTPSGEHETQKYKGNFMAQGIHLTAQGAGQSTSVNNTYYNAGGYMPIQDNPTSIGQSLEQQLE -FDLEADVSAMPLDNPPLCSGSIPMHPVFSGMSASHGVRPTVDLQLYPSALSREPMEIFNPVEAKIDTLIG -KKCLLSSFRVKTTDPIGKELYTLQLNTRMGLKEGVGIPVNIALLNQMMFWRCDAINFEIVCVKTPFHSMR -ATAVVSYGVPVTSQALRTVAFSHMMDFSGERSKFDFGVNWNQQTEYLRTYEGEEQVDPVQNYSLGTLSFF -LTNQLVAPDSVANEVEVLVFVRFDKPRVAVPRPISPFTFNDYNRLIPDFYVMKPVDKSVKPPTERVLGTY -STWETLITNVSFPDATPAAGAYKTLGDGLIDLRTSETGVVYQTHLCTITDVIISATLVTFTFTPALTTTQ -RIGTGSTLRFEDLILQKDTPILMAQGNDIPPTQEDGVQPQDEQVDVKPTTESQSPTRKVQACKLGLGHKF -EFLVSDVHEIGRRYVRIKPVNNTSLDQFAVFSSVSEDGNVVRFLNISVQVQSWIRALFAVWAGGVKYRIF -DKNDNVGNVVFAPFLNTEGKVCVPVIDAIAGNRFAYDGLAVTSSSAVAPPMAREKMFPLQKEMFIDVSCP -FQSHFNFCLNSKTQDIAPISTGTLAISYKGDELPEIYSAFADDLRVGVYRPPQTTRFSMSGFVKGFGGFF -HPMTPTKTTKA - ->YP_009333578.1 hypothetical protein [Beihai picorna-like virus 67] -MGCTTETHFIFYCSECRHNVMTSNSWCCIRTFQHFLTRQFCDCDKNPFQPCRRCDVFTEYIETHQETFDL -FLENSYRFAKHRFSDPIRERHRRHQILLRFPSLYAVLQSVTPWRNCSLTSHSVGVLNEIKHWHTMTPVAI -ICDDNDTSWTHYLTNLIPDCLKRTRALAAQPLDILMNFYDRTVSMVKPFFTSGQWLFDKMRSTLRSVYSA -ILKPVNEAVTGAIYEVVRSLMLIGLVLMATFQIITTTIMYKVMGFLWPESKAYFDAHMTEVHKTAARTTS -TNTDWSTSTDDSTSDDQPPTEPHGESIGFLPFAVTLTGIMFCMSAGSPALKAAAERMRVLSLMATGGVIL -NNLATYLWYVLPIGIKSCLIDKFGSREVKIQQQYEDWQLHAETLLLMSRSPQALSDVNFQKRLRSVSAQY -SEFDLTVLPRSLVNKGTNLLFKLLHIGSIIFNMQNQTKTRIVPFSIHLAGSPGSGKTSTIRHIKEKFAST -QSFAVPQGDEYWSGCQANVDTITWDEFLIGTTSDESVKTNAKLFLQLISSDPFQPPMASVDDPTVGIKGT -TIAPRLVITMNNTLYNHVEGIDASAMARRRNFVINTRIASDAYMKPGSNCNVDLTKYTEQQKRDLTFIDY -YVYPAEQSQSSAIVSSKPLKLPELLKFLFHHYQDHISSLQGLIDNTQIADQTFSFDSAWDQAMASVMELP -RGESLSLGGVLDTSLRSALADSVETETHGETPLYKDVYLLVTSENTLTTVCENWNKKGNQFFSFPCVLSN -NCSEPDLAHEMFLEKYSLAQIPKKAFRTIYITQNTKQTIRYHLVNKKVVLNQGFPVNSHGNTVSNGIYYA -HYDFDSATQPFGTHDNFYLTKTAREVSHIIRDNVSHIREVFSSTEIVEGFDTIHQGSEVDTASYVSAMDD -LTDVPLVGYDHREFNCQFMHRHSCATPNCSNTKEFMGPNCPSWTCPSCESETSDDEDEFFVDDLAEYHKY -CQFKKQKSKERWANIRSVRNRINTTSDYSLMPTYALVTANDSPPENQKICPWWKVVISRTLSIMGFIVTM -LCLKRLVWTVFGWDTASIDGPMFINSGGGSSKGSQGGEEKSRKSAIYRRWTKAFQRKTPPTEIHGPKTNP -QARLILPGGSSVNVIPINDGWILCHGHWWYEHYFNNQVTVTVQQGKRAPFQYTLGPDNMVFMDSNDLIMI -NIIHPSWQKTKDITSKFLSDAEWDGLDGLMVGYTDLNDVTHSGQSTYRPDYCYSSHEREYKCGFALLGNF -SSVRGNCGLPVYGIAGRYSGRLVGLIVSGSTEHRICGISVVTREMIHSATQNSFVVPCHPTFINSNFVSR -NIVPKKEMVSIPCKTKLEPSNIAAHIPYKPQKQPAVLTVDDPRLPKGNKGPIINAVERLDDNRQVPLNPS -IFNKVTRQQRSNFDKHLYEWENRELTMDEAVRGIPGLLSSIDLNTSPGYPWVLRRPGAHKSHWISINQDG -TVTYRYGIDSVIRNAYNEIRSGIEPEDYRWIGYMKDELVSETKIEEGRTRIIYTGDFVYTIAFRMLYGGV -MLRFNNTSQGTPLCIQQNQFSFDMNDIYLHLTSSGNSRFSGGDYKHFDQKMQRCFQEAAYDLFASYAPPT -VPVTAHEIFKHVQMNSPMQIGDAMLRVQSTHFSGCLLTTLVNCFVNELYFAYIFYHLYPNRDFYEEIRLK -VMGDDNIFSSSNQVTFNTQTMADNAYLVGQVYTSDVKNEPIVPYKAFTELTFCGAHPRMLSNGQYTGAMR -KTTLEESILWTRNENATLLSETIQMVEYASQWDENYYLTYKKNVDSALSKAGWMLVASDEKHCDIQRKVA -QRTTGSGEDYGKTYINSNDTITESPVLKTTVDAALGVTGAIVGTVALFVAKKIYNKIRRLTATPPVDEEV -PEVPMDPSVMDKPTYVHMSPPPTEAMQSVVIGSTKPETSIVLDVESPAANDPIGQSVASQESLMSSMVLR -HKVEWKNSHAPGEIIAQWTLPGELVRAGDNQSYQTQNFKFYQYWRGKIVVTVTLYAPFTAVGALCLSWVK -MQHVKSTVSLMNVTTRPHVMIPAVTGTDVYSLEIPFDYFMDYFPLNKGHTTEETAMGRLVLSVYGRFNDL -NVNSTQVSIFTSFKDNDFLLTRTLHLFTHGANVSRNVYNYDMHDISGTITTQNSVKQKNDAKADAVLPLD -RPIVAGGSIPHFNKNLSYSNTDGPDMSNIMSTRRCHMEMEHLAYETDESEIATILRLPSTLTTHFISKST -PIGDLFTVPLTTAFTDNFGPSARFHRLRTLVSSGDTQVQNHAIEVPFQTAIFGQFDYWKADFVFRFLAIK -SSMHCFTLRVTYMPNVYSVTGEEKECYCNAYLKFDGQSNVFDVRVPWKSPYPFLHTFDNTGCLSANSSVE -DWTAALRDQCLGLLVVELVSPLIAPSIVPEKIGLAVQVWFEDAEVSKPKASDCFSFVSPQSMVNQMVNPS -SSLYVHYNTQGDTDKPDDVFKKATKVCQQLYVTSTGGHTLDSNDRATVINSPPPKQAQTVSDLTPVERAV -RDSRRPPQALKYYVKLKTSIMIQTDMDVVLNFLRQAHTDGPGIVPSVETCKTHPYLISVVSRSGVALRKS -YIKDKVAFWGGKVMTATEYSVSLEANGECDPDCEDPNYIRIFTDEESGKILVYRCLLCDKKMSNDNEYYQ -HLSSNRHQTKLELDGYMRSRREQVEPKPPPLAPSQQHPIPARPVSPKPESPTPPTILPQQHLTPVRPVSP -ELDLPAPLRQPIAPARTVSPNTVARDDQNSPQAIASVRSNSPEIPPFDIETIPEEERSERVRSPSPGLTV -TPSSLADLAHPLPQKNPVYTFTGQLLTLAPLSNSSIKTHLRILLTQELWEHSFEITSDQPRLINFHINLR -PIPPLSYHTLRNALSSTLQSSGLWYYSLRLPFDEIHSDTPAVTEPGHNLHQETALSMGEPSKVEPVEPYG -TYPHRPFVAPVTSLLELGRRYTLLSQDDTTAIKGFHSTLTWRTYKTLDCSAAGSVAKNPAGYYCRKGTTV -KIFHLGCYGVLPHFYRCMGGSLSFRFRIVHHQRPVHPSIVTHLSSIGELDASKAEKIALIDTVVTNYMDS -VSSSQARVRMVYSTGDPSATAPRANFLMGNAAVGGCSTNFGAPYEIFSPTNDPLVEECFVTVPLTYVGRR -FPLYLDQRKTLGSLSVIAFSESAAIVASVAGADDFTYSSFVTPDQCCINTFTYQTVHSTTKTDRLPFTSG -GINLNYQ - ->YP_009333540.1 hypothetical protein 1 [Beihai picorna-like virus 105] -MGFATTRRTVMFDWLATPRLNMDLNKLKISSRVNTTTAYGVETKYSGFGSTAELSNKNACNTAGEEQISV -EDALSKEMVVSTFTWSTSGLTYTPLQLPSDLLTIDNDSLLFQMGYSYFRFFRTGYRVQVTLTGSKFSQGR -LLAYYVPNENARHATVEQQSLYSLTMYPHVELDAKVENVGVINVPFTHYLNASMTVPNLALMGNWNLGTL -HIVVLDSLQTSTGGPSSLTGTVSISAIDPKLYGTVGRTQFVAPPTTMVSAKTQSFIENLAATALNGIAPT -AMQNISGFFKRNFDRPMAAAEPMIMTEKSQHDLAYGKGPNYGARLALDPLSSTSQKRKLETGNVMDLRYL -LTAESTLHSTFTWSSTSDFGALLWKVPVTPMHNDFSLLAGGNGAVFNCDRVAIAAAGYEFWNGDLEYTFK -VIANGFMAGQIMIVWVPGAYDPNLTLDQAQSSYQYKIDMTNLSTTEHTVTIPYQAVTEVLENPINWKTVT -EVYAGGPTPVGVKDPQIYCNGTLCVFVTQKLVTNPNLSSTLAINVWRKGSAGGKGGNPFRLFVPRPIPYI -TCFGNVLTPPPPPPSNINMVGAKTQSATETVEGEKEEPVSLVNAVCKSPMGANYFNEEFMDLKTACRRTS -TFTYSTAGSIPATNDTTQSFVWFAHPVRPHLANMISLAESPDSNPDSLFPTWLGWYSLLYQYWRGSMVYD -YKVNLIGTSSSGSPIDPSFLRVSQMPGRVEYTWPGWRRGRPVFQRIASSLMDKPYVMGQALANGGVHFDR -TGHARVEVPYYSKFERLFTQTPNPSSNLDPYEFQSNISTLDSIGMIYISGAYQMDGTSTIYPRVTFEANQ -AIGDDFEFQFPKAVPCFIVEW - ->YP_009333493.1 hypothetical protein [Beihai picorna-like virus 69] -MLEHYDSLGSIAKHSPKPFSKMVTIIEKGVASDSSRVRPGGELEMTHVATKNNDKQRVELNISAHEYYQK -TGHFYCSTCYCRYCKQSRYVARSRKILRRKRIGKKNQEWKVGTRRVVEGSREWYKVAQTPIKVGRLWTRR -SYIPPTYRRIRVKPKTFGRRIEKPSEVFLESQRYLDEVFGPVYVPSVRKLYKSPYDTTGSRVMDRRDLVL -PEGGSVEEDLSMEMELEQYLDYREDFLKFVVMLSHVGTRRRMRAWRDLLDLTEFRSFLKTIPAFGDSFER -QFLDVDLCQPSSFSVFDDLVIPESGGYFFPNGFGLKESARVYIKIADFVNSVDGMINKSIDFVFGRFGSF -IKEMKDIVVSFLRNIFNKIRDMICGVHENVSLDLLTVTCYILVACLGVGFGYLTYTFLNSIIPGIVCSEA -EAEGYKVNDLSTASMIAAIFSVFTLATGTALTGSSANSIRYLGSLANTVSSMDKLWMKILEILPSAFVEY -VTVATSSEERQEVVKWIRDSEKVSQVAKISSIFSRPEFSLAVEKLIRLSPRVISNCANEERAVVLMHYSS -LMKISSTLHQGKAGASRVKPFVIHMYGDPGVGKSVNLDKIVQELGFVPSQVYSRNCADNYWSGFLDQPVV -AIDEFMNDVDEIVNLKTRSEFMYLASSVTYMPNMASVDPSSIGVKGQTANPEVVVTMNNDYCRDSPNCSD -VDYIAHLRRRNVVIRCLIKPQYKKRNGQLDKDTLFSTEQGKSILKDRAWCSFKVLEPEHNGPSPRVLRVF -DDFKGLSDFLRDEFQKHKDMSVQIAGAHAGEMNSSNIDDILDSLDFGSILAEGLGNFSFKTTLFEKGNVD -FEIPKEFPVGTLHNSLLSLLKNGHVYLSKPLQVYAHGLFNVIQRTLRSSTSFSPIDFPREFIEAFSNSDI -GASIDVCGIGLNNIFSVSKKGDYEYFGSLYRGAHCQTAKLHYHQCNGITEGGTQCGSRIYCITSVCGREY -CYKRTCSSGIIESVPPVLAGATPLMVDRKSDGLIDQVNSLLSTGLTTTFGVAFLAGTVLGFVKSIIKAII -LILPESAKPKKSREHNRHMYVKPEGGSDPHIIKIVHENKEKFSMGFGIHSTVMVTISHNLLDSNGGLKYE -KLKLERDSEVADLQLNKNNITLLRDYDLVIIDVSNAGFSPFKDIRKRLLKSSVHNQIDCCNGALVDLYQR -TVFPTRIETAGPIVYETGNGNCFNPGVVYKYYAETMPGSCGSMLLSKDSRFCNKIIGVHVAGQRGNFRKI -GYSIPLYQEMFELGGVVESGEIIQAEGCSWFQPPRVEVITPMVANPGKTKLKKSAISDDLMEIHARDRVP -ALLKKSGDLDPVEVFVESIERIPEFSTLEEDDVEYVEEAMYERYRGLFQEREKRLSVHEAIRGVPGLLSA -LDLSTSAGYPHSVRGMKKTDYVLLDSITPELEEMIYERIKQIDEGVCPEHYWIGYFKDELVKIKKRQEGR -TRVIFCGDFVTTIAFRVLYGYRILIFNNSRREMGHAIGYNQYSADMNKIYEDLVVPGSRVQYIAGDYKSF -DKYHHPQVRYSCFKVLGRLFDMSPNHFRFLLEYESSPMVLENRMIIDPRYHKSGSLFTTILNCLVNEFYV -RYAFLQACPGRGFDEYCRIKTLGDDHIVSVSDRVDFNPLVLSEHLKKLGQIYTNDNKEECTADMREFSEI -SFLGSVPRKMSNGKYVGVAKKSHMDGLHYTRNGNLTLVSEVQDRIDLLSLGDQEHFDLVVKHLRRCLLKA -GFSDQLRDNYKLLQREVSDRTTGSDCNYIQAEGGLTQFHTNQQTAVEMKYPCRDIEKGMKEHPYDLHYGP -ESCIRRSTGTWASSETAGTLLFRYRVPGDILGLGDGKNLQNMPFERHIYVKFDVEVTVQINATNFQQGLL -VLFFFPLGYPPDSYHRTNWTSLPHVFVGASKSNSGTLRINFSFPRNAINTFGDTKEEITGTVCLGVISPL -KTGTGTSDSATWNFYSSFKNCEFYLPRPVNSGQILAEGNVSSSVNTYNISDVAGSVPVSTQLVSDQSSEN -TLVPLDNPPLAGGGVPVQPQFCSMSKSVGPNTTISMQLDQKALDRCNDFNSSNIESIVSKPCLWFQRNWS -TSNAIGTNIILDEMGTFKFFDTTSPTANAVVLPNSAIINMCTFWRADLVFEVVVVKTPYHSGRLTFVSAY -GAPSLQYSEANVYKNEVLDFGMNSDGEDISRHKIIVPYNAPTEFLRTYEGDDAPDRIENTTMGIMGIYVT -NQLRAPASVSGSVEVLVFISFENVVLAVPRPEVFFKTGPRVLDCDQKVTITGSRAVNRISNIVPEGFGDD -QVNGGAQEEAVSVKPVRVTDNRDQEPPSAPCKLNVGQKFENRVVDLLEIGRRYIVVNPFFQEWISQTASQ -SRDYYTFLVEPFTNISEFFAGYSGSLKYRVFTQVDDIHEYENQITFYPLAQAHQYVFPHLIGSGANFKRG -EVEVEYSTTATGSRSGSMSREVLIATYGPKTEFIDFQVPFQSHFNYCVRKSHVGDDGAVNVTFESIGVVS -IPKPTKSTPTIYQGLGDDFRFCHYRPPHVLTYKPPTITATGTFDKLMCIGGFYKRVTSTV - ->YP_009333492.1 hypothetical protein [Beihai picorna-like virus 68] -MTHVATKSVSDDFNSAFKLTTYQYYQQAGHFYDVTCYCKMCRNCRYVAQINRCEGKQHDERREVRKWYSP -IQAPIRVGKIWTKRPYIPPTYCRLRIGMKARKKMRERPSEVFKLSQEYLTRVFGPLYEPPNRKLYKSPYD -TAGPREMDSRSSVLPEGGEFNCPMKLDDYLAYREDFGKFISMLSGVSIERRMTAWKDVMAAIEFRHFLAS -ISSHGNEFERQFLNVNLDRPTSFTIFDYVVIPEGYFYAKGFGLKESAKLYVKLSDFINKVDNLIESTIDF -VFGKFGGYIKELKNIIVGFITSVFKKIGEFIFRTKEDVIDYDLPKVACYILVACLGVGFGFLTYSFLSSV -IPGISDCEPEGYKPSSLSSVAMIGSIFSVFTLASGTALVGNSSNSIRYLANLSNTITSVDKLWLKILEVL -PSSLVEYITVATSTEEHQEVVKWIRDAEKVVQVAKISSIFSRPEFSTAVEKLIKLTPKVVSNCANEERAI -ILMHYGSLMKISSTLHQGKSGASRVKPFVVHIFGDPGVGKSVNLDVIVQKLGFSPSQVYSRNCADNYWSG -FMDQPVIAIDEFMNDVDEVVNLKTRSEFMYLASSVTYMPNMASVDPSSIGVKGQTANPEIVVTMNNDYCR -DSPNCSDTDYKAHLRRRNVVLKCMIKPQYRKVNGQLDKDTLFETEEGKEVLKNRSWCSFVVYDPEHNGPA -PRILHTFDSIDLLSECLQAEFQKHKDLSVEIAGAHAGEMNSNNIDEILDSLDFRNILAEGGFDKVKFSLS -DLSTTPVSFDIPKNFSEETFHYKLLNFLKKGHTYLEKSFDAQAHFILNGIQRSLRTLTTFSPEEFPQVAL -EHFGNSDLGPSLNCGGITISNLFSNDKKSGYSYFGSIFRGAHCATKKLHYHQCCGVTKDGTQCGSRIYCI -NSSCGREFCYKSTCSSGQKEVTTPNIANATALFVEKKTNDVVDKVNSSLGWGLVTTFGVAFLAGAIFGFV -RTLVKSIMCILPESAKPKKSRPVQRHSYIRPEGGSDPHVIKVIHEDERSFSMGFGIYNSTMVTISHNFLN -VDGNLKYEKVILQRDSETVEFVLDQNNIKLLKEYDLVILDVSNAGFSPFKDIRKRLLRSTAHNQLECCNG -TLVDLYQKTVFPTRIETAGPIVYNTAIGNCFNPEVVYKYYAETLPGSCGSMLVSKDSRFCNKIIGVHVAG -QKGGFRKIGYSIPLYMEMFEQSGILDSDDTIVPENGWFETPKVEVITPMVNMPGRSKLKRSAISDDFKRF -HPINRVPALLSPKDGLDPTEVFVESLEKIPQFEEIWEYDVSYVEAAMLARYGHLFEGREQKLSLHEAIRG -IPGELSALDLSTSAGYPHSLVGLKKTDFITPDSISPELEQKVSERIAQIERGECPEHYWLGYFKDELVKV -KKRYDGRTRVIFCGDFVTTIAFRVLYGYRILIFNNSRREMGHAIGYNQYSADMNKIFEDLIVPGKNVSYI -AGDYKSFDKHHHPQVRLACFRLLKQFMGMPDNHFKFLLDYESSPMVLGSNLINDPRYHKSGTLFTTILNC -LVNEFYVRYAFLNACPGKCFDDYCRIKTLGDDHIISVSTDVKFNPLILATLLGDLGQTYTDDNKEECTEE -MRSFEQISFLGSIPRKLQNGKFVGVPKKSHLDGLHFTRNKNLTLVSEVQDRVDLLSLGNRTFFDKCTGVL -RECLRENGFDYNIRTDYLVLQREVSDRTTGTDNDFIQAEGGLTQFHTNQQTAVEMRYPCPDISRGLKEHP -YDINYGPDSCIRRSTGTWASADTAGKLLFKYRIPGDVLALGDGQNLQNMPFERHIYTKFDFEGTVQVNAT -NFQQGLLVLFFYPLGFPADDYHQTNWTSLPHVFVGASKSNSGTLKIDFSFPRNAINTFGNKDEEITGTLC -LGVISALKTGTGTSDSATWNFYSSFKNCEFYLPRPVVSALSDFEIVGEGNVSSSVNTYNISDVAGTVPIE -TSLTSTQSNKNNLVPLDNPPMAGGGVPIVPQFCSMAKSVGPSTTVSLQLDQKALDRCNHFDSSNIESIVS -KPCLWYARTWSTANTIGTSIVVDEMGTFKFYNSPTGGAGSRILPSSAIINMCTFWRADLVFEIVAVKTPY -HSGRLTFVSAFGAPSLKYTEANVYKNEVLDFGMNSDGEDISRHKIVVPYNAPTEFLRTYEGDDAPDRLEN -STLGMMGLYVTNQLRAPSSVSNTIEILIFVSFENVVLAVPRPVVFFKSGFRNVEPSQKVVVVAPRGASNI -QAEGFGDDQVNSGSQEEVISVEPVKVTADLDVEPPDRPCRLNVGSKFENRITDLLEIARRYIATSPFFST -WESAVDTAARDYVTFRAFPLNYISDFFAGFSGSLKFRTFVKVDTTHEYENPIFFYPTNAPPVTVFPHLVQ -PGSKFKGAASGEQIETEYTYSSNYRTGFAPKEIMIATFGPKTEFIDFQIPFQSHFNYVVLAGHNGETGAV -NVTSESMGVVVVPASTKSNIYQGVGDDFRYCHYRPPHILEFNPPTVTVTGTSSLTLNVGGYYKKAVSP - ->YP_009333590.1 hypothetical protein [Beihai picorna-like virus 65] -MVNTRDSINNCGVSMLNHVKQSKKWRKLEIPVVFNDHVEKSVEYEETFAFVNKEFPVLGNYNRKQLRQMK -KESQNLRWIKEEEVKEKLEVKQAEKQVDRTEKKSKLQKKTKESPYGFQWKKSKPMKFKKLKKEVEEMKPT -RLEDIESKEEEEVFISRFISEKFEKKLEGYRLIEKQRVKALFKQAIKDLKQKVLPYEVGKEEKLLYKKEK -PLKRRKKSQEKEGGKIFDQERVNKDQLEIEDFEKFVKAFEDLKTKKTTLASEFCSLANTYGIDNLFRLKE -DLRKKFLETKDLDKFAHDLGIIEFMFQIFQEIYGVNYGTNYLIKYGKQKAIMIRSGVLQIEGIRVDIVRK -LQANKLSLALKTAISDMPENKALLEFLKRCVDTDYQQELQEDAAKRRELHFEVDYFRSILRAYNDRQWVT -AMNVYENSKSIIDKLCNRKDLTPRHRVMVKVMTEILLESAHLLLETWGPDFRVVDAITKPDLMVPEDRPY -FEDMINQKKTMSKMKTDYLELDRSNNALEDENNNAFDDENNNGLINMIKNFGKIVSDQAKSLYEYVKSWW -DKILSCVSGGLSSLTDAIAEKVLKMIIKMIDPMDLLKNKTVDEFKQMIPVIILIIFLVMQGLGFVSFTIM -KMVINKFCGGKEDKFKAQSVDQVSMVTNLFNLVFPDLSKKNHERIRNIVLASWGIVAISTLAGNLGKVLL -FLIPTALKEALILRFGTKEQISKYHVDEWISKATIILRSSKITAVLLDKKFKLKLAEVCEQGLSLTKNCA -RKHKTLVTSMFMKLVQLQSLIEQSSVAVGQRDCPWYLHLSADPGVGKNLIIDDLIESVTGIKDPYYRPVE -GDYWSGYINQKAIVIDEFLVGKEDLEAKAKELLALVSVGQFLPELASVDNPTVGIKGTVCAPKAVITLNN -TPWNSVDGIPDQALQRRRNVNVRMLLKKDAKMKDGNSVDISRYTKEEIANVEWATFIFLDPIFNPHSVAS -KRVRYDFKSMVELLKEDYEEHNKNCKKINEAFGRDVENSNRTSTQMVEEIIAEIEGVTENKDQNIFGSFF -SKVFGESDFYSQGKKRQQKRNDGFENYLDAIDSLAREEEECKQRPSEETIPKEILKVDSVEVQKEKMYCL -EHKGCNPNRIHRHKCSSFNCNRQVAHKHPEEKHEYVYCKECSMFKDFSKIDTQEFEPKMFVYERKETETH -DMFIERLKGVMVEVKDKCLEEIQAVYLERLAGTNLSPDVAFKTDLIIQFLGGCSMAYMLWRTISWIRKML -LPNETKEEEEFFLSQSPGAGDKHIQKKSKVNRGGWKRGKGYGSQSGMKNTMFCFDQKNWMYCVPIDKDHI -LTFDHFEYDGQKTLFIKRGQQMDQCKVHSESFLRNEELDICIIKVGGGLPTYRNKFINNDDIIDDMYLTV -KMLREDGWSMVRAQVMGNVHYDHPGKKIYLERGLIYNMKTLIGDCGAPLVVESPPSLNGKIIGIHVAGNE -NCTKGLATIVTKEDLDEAMQENFEIASDEKFSSQGRIYNKDYETFEMLDPPKLPNIKEIKQVHIGQAKGI -NGRSSIKPSLVSKYVDWKPKKFPPVMSMKDPRNKENLDPYFNIILRAGENVQKKIDEDILEICEKEFFEE -LENKLIWKFPRRRLTFEEAIKGIEGLNPLDLSTSAGFPLCNLPGKGKKCAIWTNEKGEYEWTDYFKETVE -NFVKSLSEGDVWDHRFLGFLKDEIVKPSKIEVAKTRGIYCGDMIATVGFRMVYGSLIAACNCSGETTPFC -MGLNQYSYDFDIFTDYLKEVGNLKQIIAGDYTGFDMHFQRIFQEASYRIFSKLAVWTSEVEDSGFFKHQV -ESPVQLGLYLVSFNAYHFSGCVLTAIINCIQNVLYFMYCYYKLGNRGAFFRNVRVKVLGDDHLINVAKTV -NMFDGKLLQAALETFLGQEYTSEDKETPVVVHKDIEQCTFLGAHPVLDKNGRYFGRLKKDTIKEAVLWTR -DDNLSIVQVVETMIEHASLWEKEFFDEFQKQVVSALRKEGIRFQPLVQEPTAFVVKQRTTRENILEFSNC -NNNSLPPDGGLTNFHVEKVDMEEENDISYRTTQSFDMSKGSQEYGAKSMVRRNTLEWLETQTAFSILQQI -DLPFGLLSQEAESNIQNMLLSRWLYSRFDLQLVFQINGSPFQQGLMCVFFNPLSQSSPSFRNWRGFKHVF -LNPNRSNTVSMIIPYRHFKSALNMSQWSKTGAQDNFIGQLNYGVLSPLLDPNGQGSTVTTYASMINEEFY -VPRPSTVTVGEVDDYEELEYSEMSGLNLNNNGNSTGICDQNKNNNGNHSSKSVVNKYNLDISGVMGNMPI -QGTDMGATSLTNSIPVTPTVAVNGGSVSKPPNSSTDGKNQGIFSKLKQRGIEAIGNKIGNKLGFKMDNPP -LTGGGVPTYGQFSSLSKSSGVEPTVGLSLNPQTMYRKHHSMFNERELEIDYILSRRGVLDVISWDTKNSV -DHKLKTIPLDSLFGLYPVDTAKGRQVELPFNIAMLNEFYFWRGIICLEIRAVRTTYHSGRLRYSIGYGTQ -SLLSVDRGQVKNGILDFNGEIDVHEIEIPWNTVYEFLRTYEGKRRAENYSLGCLDITVANKLRCPSTVNS -TIQLILSLSLKESKVAVPRSISYVTYKTQLGEMGSGYKFTVTKELDEVEDIIQNLNNNANDEIMRQVDEE -KAKQDTTDAPTIAATDTSTQSHTGCSRIHVGAKFESSVDSVLDVVRRHCLFDRQEYIQNYSLKHQMICNY -DELENSVAFDYLNKVLTIGNRPYHKFYAFYRAWAGTLKYRLYCQSGNSIVTFLPTDYDIEDKIEFDTVGN -LGGVLGSTTSKFVNEANNKWEIKSTYVPSTKMINTSNCAREVFFQSTNQFGYIDVSVPYQNHRDFSPTLS -TSIQQSASGSLSIATGKTSSKDMTTIYQAAGDDFMFGIFAPPDYCYYTPSSDTTSPWPTLKIGCFSGYKF -A - ->YP_009333570.1 hypothetical protein [Beihai picorna-like virus 66] -MASFRSSSEWIGTSSARSERVPSRICFEVDSKELSDCVLGDARYGSRDTITLQVVRDRPKEVVKPRWDDS -NIGVISTEKETYNFQGKVTLNTNEIVFQGAVPIRKKGQGFATLICDGTQFDFTGLGGDVGSVTIHRGESG -PFNFSGNLTQSDAGDLVGKLKLNGASVLPFKGFYVYSASPRTNQLIFKSMSFTGKGPLPDEETGEEEKKF -VLDEDFYKCLETIPESSDEEEEPEIESVWQYLREYSCPHCQGLPVLHEWDQCCLENFVANNGRARVVCWL -EAPVNMMVETLKAARQMTNKRGSLDRRALIVDSIEYALCEFLYDDLDNLQEKFDWVKETCSVKYFGCTPA -ETSVGESFFSRMLRGIKEKFGAAKGKFSDVVSKIIENVFEKFSDVFSGFSSMVGNAFAKAKHFLNGIFTC -MFKPFQIISDLSFGRWDNILKVIIYVCMLFLVVVGVLTFSLCWKIGSWLVGKFLPSETFHGAAPVHVFGA -ACGLVSLAAAGLSTKLGKEVTMKMKQVSILASGGMILGNGAKHLLFCLPSGIQHAIVRKWGTKAMNAEMD -ANAWIPKATALVKVSSVDGVLTSKFYYEKVNVCIKEFEPLVKKVSPATRSHMFTLYVKLLKQSVVLTRFH -TPGTCRDVPFAFHIAGPPGVGKSLCLSRFMKDIFEKKEEDVYQRPTSAEFWSGYVNQSCVVYDEFLIGKQ -DDFQQLIAREYLALVSSSEFQPTMASVDDPMVGRKGTMAQPDVVVTMNNTLYNQPMVSTTAFQRRRNFVL -EMGVIEGTPMKGNNVDLASMSQDDLEALSWIGFRILPGEHSDYVPKREKAPYYSYEQVVETVKEMYEEHK -QLCKKIKNCVTQADDATTPQEMFDEALKTVYNIPDEDLSLTETAWSFLMGEPLPTDESKKEATFSGMKPM -MCGGGALPDDEGKWTVVSSKKSKSKVTWIEKAPSTSDDVPDGSSRNLSFVSNPKDESLVDQIMSYDMKEK -LLVDILHEQNGRAIADKTLHSHRCIKCNNLYTHLNTDHVGLLCPRCYSYASPKDKNFYLNRARKERKRLL -SLKIDQDDTSSSEDTSEADMFHSADDEPYEPILDYNHQPIDPSGVRVDKKIKVHRHFCSNAEIGCEEMIK -HDDFKHEEMFCSNCHASKAETRRDVKYASKVGGWTFIMRTQMLVRNAEESFMEYSERVGTYWKELVTGFC -ARLDFVFAGMMEEDPGLWGTGFSGFLRNLFGGLVGSTVMWGVFWGVGIALSFWALKAVLGFILGSSESLK -ADFRGCSQVKTKATRAFRGPRKPVGKASYSGKKRAFGPLEFYMSGFSKPFFALPVRDKWVQTYAHWLVGP -CAPEQGCKMTIVYGDQKYEEIFDRRRLLIDEAEDIAFYEIGTPSIGSLPDYMNTYPTSADISENERVSVR -IETKKAIRYGTASLVGNMKYVVDGRCYYLPEVWFCDFPVEDGDCGLPIVATSGKLIGKVLGYVVAAADNE -PITTLCALLDREQVLNSIEPSSRGGLSQDASNSSFTGKAPLPNIISRRKLPFREQVFMPAKTKLERSAVS -EHLDWKVVKQPAILTHCDPRSDKNPIETAIQNFGSNEQVEIPHSRLTRVVAEMYLDYRENLHWPVGKRDL -TFEEAVFGVQGFMSHLDTRTSPGWPHCKLNKRGGKKDFFWFDSAGNPCYNEAFKNAVMERYHEFKKGNIP -ETRWVGYFKDELVSEAKIEEARTRVIFAGDVIMTVVIRMVFGAFYIALVYSSPYVCQKLGLNQYSHDMDS -IYEWMTKKKNMRYLSGDFRKFDQKMQVPVQQATYKLLWKLADYSGLSAEGWKKFYENQVHSELMLGDTGF -RVLAYNLSGNFFTNWVNGACVEIFMRLKIPELDPRAVLHETFELAIHGDDNIISFLHGLQITGPRVQKAM -SEVGQEYTSDQKDEKVIPDFKWFNEITFLGAYPRKLFGRWTGALKKEVLKQSCQWTRNKNATLPTELFTL -IELAAAWDEAFFIEYSRSIIWACEKAGVHLPGEVDFMAMRLDVVSRTALSGEQFPRFTGCAPTGGAKPKD -TKQNQSKPALGLLRTGQVKAETKIFHPPEHSKMHLAAEECMGLDYALEGPVARGSIAWTADDGFDDELLN -IEVPQGILALGDSSNLQNMAFERFLFWRGDITLWFQLNGTPFQSGMLLVYFDPLRNQTDTVSLAMGYRRS -LRLQPKSSDTFPLTIPFQFMFNRMATNSLDEDLRSLGRLKIRVLSPLIQGSSDSEGVTVTMYSTFPNSCF -SLPRPIPTSSERELMAANFRMDEPLDRSLRKLRLEAKAESQRKYTGRGPIRKIKERMKEAMGEVSMETVK -DASQAMTMDKPVLDGLGAPMHGYFPGMAKTSGLSSTHVLSTMPAEQSAAAHVLGDPEETKIEFLASRQSL -LKAVSWTKDDAVGDILLSVPLTSSLRTYTAKDAKVACPSCVALINMFEFWHADLVFTFSAVRTAFHSGRL -VAYVTYGPEAGSSSVMGQQLEQMDFIGDNDEYSLRVPWNSISSWLVTFRGTEWGHTSYWQLGWLFLSVQN -VLRSPDTVSDTVDVLVSVHMENTHVSVPRAMLELPFTSATWSITDVTTATSSGSSTYTTSTRPMDTQCSV -TYSGKMPKKTKTIVNQNIRGDVVHVLADDEEVPESGEVPASVAQEVKGKYDVATGESPKEASGPTLDQKT -EDITENDAEPQAKIGENRFKKKFPHEIVDIHEVIRRYNPIDEVKLVDANFPNANPVCWEGVKLDSTNKIQ -AHIGINFGQMWGFAEWFRLFSGSMHLRLYIPGEKPVACIMVPNDGSVQSMGAFICAKGIESGKSNRAAVR -TYNTDATTQQRVIYRDESTVWTRWTGVALEMTYPVSGSNWIDVCVPFYAVQDRIQIHPTNPVNFFRTRVY -FVFGEGVELPDGVMVYVAAGDDFRFSCFGAPAVAYSGMGLAYDIQGGIPWKDPVPTTTSG - ->YP_009333306.1 polyprotein, partial [Husavirus sp.] -MLLRSNPELAPFAVLANPLTEVQADVPATLAPVSGSVHLFCDKDKALRETVKQAGGEVKTELARLPKPKE -LKQSLSTVARVLPTKVPLAPLATMARRAKRRQLNAALKSIVAVVSNWNRSFDVAPQAGEPSSSRRYPTYS -NKLDSARCQKWVEEHHLPEEYFWHMARSDLCVAEIQSWSRDVGARRTLEADRYDALTFNPRAGPYYANGD -EVECYSKRFDKVLPILMRDFELENIRDLHLWKTRPDRERLEFALNVVFMLIWPVYRPISAVMMMDQVRAR -SWAITSALVTLMEAHTPITAEAVDSLVDPFMCAWERWHPALACIYDELARKEEIKLYHMFHVAYDLSPLM -RVRITACPNKVGELTELPEAQGVFSSKVDCEAKLVQDSKSVSSWVMSWLDGPMAWLKSSFGCAWQSVTGL -CDSIVDWFSKKVLKSLGLPQVSKTVWLLIGIVVLLIVVALCTTIGVLTAKAVNAIMNTVVEAATAVNDDP -VVPQGLDSSQPLSYLCGVASAISTGEKASVLRSFANTLKSVDTVVSIAKKPIMWVWELLKSIPYVSDLLA -RPSEDCIALKWLTDVNTALSVCDDPRCVNNPTSFSVLLDLIKQYESNMKVFAKSSLRSSVAVAFKTLYNR -RMAMKRAMAGQTVRAQPGCVWFVGPPGMGKTTTMSCLQGAMVDVSILNREVHDYTVFTYAKTADGFWNGF -NEAAHDIIVMDEMGGMKEPIFSEVWSSFLTLVSNGEFRPNIASINAADGATKNSIARPTLVFLGSNEVET -KYGDPDAVLRRVNWFVEPMPRCLHLDDASLMNVGDVVTSARLDELLIKSDDGRYRGPPLETAWNETFENP -VMLCTTQNDPVAKAYWFEPLEGGDWKLVSKRAATMQELTSAYRYEVYSMRRPKGGIEVHNRHEVDYPGLV -ELLTEVRLAVIHEQMMIESYKDGSKFDFEAEREKNFRAIMCGRNPAALPMAQGFKKVEKIAKNVLGKIRT -KRPSLKKKQTTSAITHAVKNGEVNVSLNETLDATVFSTTNPLGMTPVNDVVHLSSLQLVDLILEGPVSVG -KKAYNITRPKVDRIPSEPVQNFLYEVQDAARKQLQSAKQWKPRTEGDVQAVLLTPLEKEELEQVYGSALA -DAMVVYLGALNASSADLGERVQHYVAVYEDGFSIVDSEAHHIFIKDGQGMRLPVPCSNYCYRDWEAWKEP -WGMIVAAQPAPLSPLAKFRRSEHWDVAKWQPGESGFQLDDISFENWKNLVAHSYLPHPAVYGPAFPYYAA -YCASLRESQLATFCDILTPIKCPDAPYTVREMASKIKDTVVSHWKSIAAVSVSLAVAVFVVYKGLARLFC -KDDVEAAETEIDMQGKKQDDDSGYPPKNRRFKPRKRELSDGWTYYEPDFGVNWAQSRDVRSMFVELEVNG -VPATGWMPLDRIVLTYSHAWPTDTETVKVKLNGTEFEIAKQETLGWGSKDLIAFKAPNTVNARPNITSMF -LSDEELNNMGQFRCERIGTTDRATNMIGTPCASADYNVRGMPRTLQDVFTYNGVTHAGDCGDAVVISVGP -YAGRVAGMHVAGNASTTRPLAXAQFVVREMIYAAAKRFGIPYTEKGGASVNVQGLSQEIAAEILDLPNVE -RVDVVSSDFTVNLPRESRYVRTVFAEDPDLPHEQQPSLLRKDPVLSDGRDPAVAALRRIAEVDSPVIDER -SLPRIQEEIIDHLEGVFQRQNTFKWRELTVEEAIAGIPGYMNPINLASSAGWPHVLNSRLRGKYDFVERS -GDSYKPTESFKAEVLDLVRAMKEGDMEYIDSYQFRWVAYLKDEMRDNEKIKVNKTRMIFCNSAQFIVAAR -IMFGSVLIAFNHCNCDGISAIGMNMCSYDAQELYSYLTERGYTKFIAGDYSSFDLNYHPVVQTYSYGVLE -HFARKIEGFSQTAWNLFVQHETTPIVQISDALVTFKHCHLSGNFFTTQENIIQNCMYFMYVFYLHYPGRV -FFECVIPSFLGDDHILSVCDDVPEFNAKQICEDMKRLGQKYTDDKKEIPTYEYRSFEESTYLGCSFRKIE -GAYVGLLIEKTLLNHLDYAGSSETLDVIVDTFLNYMSLYPEDKFNSYLGIIRRYIPEAKGLYHSRQQLQA -NTYTCYRPLVAQGPRMADATSTASPGEQLADSIVDDVVGERERNVTSGDVEEVVDDATQEPVPVRKVYPG -NKEVLTKVNAVYDTSSQYVPEYNPGQLSTRALNAGEADLAMGADAEALVSTFTWSSTDTQGKELFSLALP -SGALRSAELTTAMMTMPFRYMTYWRGDMEIEFHSTTSQFTSGALIVYWMPLVSTATXFPNMTVAPHVFLN -ADAGGIAKLKVPYQYPTSVVNTYDLVSSTLGLGTVHVAVFAPLNVLFATSDITVSVYFRCVNSHFYCPRE -PYAIESVVAQGRESGKSGMCSVGQIADQTMLGSVVHHAVRRANNLLEHTYLPLDDTRSGGGTSPMQPQFA -TASGAAPXPGQSFQLNDRVLYSQHTLAFDPAETKIDFLCGKECVVRTFAWSSTDAKGKTLLKMNLDSLLG -ASPSDXDPFPMNLAVLNQFQFWHCDFEFALYVWKTSYHSGRLRVFMDYSGQGASGNHVYNQPLDFTQRQT -IAYWMVPWNCATEFLRTGYAYGPGTSRSIGTMKLEVVNKLVTNSDIVAPTISCVLTVRCVNVRVAVPMAV -SPCVFDSKVGKITSKSATSTASMTDLVAQGAEEVSANAVPSRSAVMSITGTHGDNSQVQQEALGEEPSRQ -FGHVVKDVMEVYRRAYMRPVGVSTYTVTDRQVNSPKVGLILTASIPPGPFDALYAGFAGSIRYRVFCQNG -LWAAYINLYSDAEDLLPILWGNSMTIGQSVFNYSGEAGRPAFEFLAPLGNGTSAYVDVDVPYQSEFVYQC -GSVGAYSGGAVFLQSAMTATPSS - ->YP_009270629.1 polyprotein [Picornavirales Bu-1] -MQFDKNKKIVSTSDLCTSKKFYPKFYKEPNGSDKAIVTTNGCAVNNNNNQNKNKTYIINKTNKKKERNVF -EKQTIKKQTEKYINKNKNKKQTNKTIQDLLEPENSMDIFRENCHLDGEDIYLNFNYDMWCKPVYAHGQFL -RREGQPRDGDEIRFFVCEQDIFKGVFEEDPRISQIMKKMIRLEDHDAHVYNYVLTYNEKLVDELYTCVED -FADHIDDGKKFEEKQYDLCIHKNYPRRNSIYYGLWRWVVPIRFYSSVIHKLPATNMACQLMMYLLSPVYA -LINSQLTDCDPEDGSILYGHLKRNIYATGIIEYLLLRRSGYDVSLHNMFAFYTQSIPEIFLVCQLNDCWY -DTVFDFDGVNYYNQFIAAIATLTNSKFIEDAAIKSCESLILAQGGKLSTPELNIEEKDDDKTIWQKFKDF -CFAKLKIMYEGLFAVWTWVSDLCSKVVQFICDPFEACLKTFKDKLMPYIKKGSLYAIIAFGFAMVLRYFG -LVNQQTLNITIQSFVRTAEEVNIESQASYTDLAVDLIRVILVALGLTASYINLPNVHIIASTLKDWNSLS -TCIIPALQAIPRICMYVLGYDDQRSRSLADINEWMIRTQALIELRGIMGSANSADMLNRINSELTIYSRL -SGDIMNSSVAPAASHRANTLLNMAWGIQSTLQGEVGRMIPFCIKISGKAGTGKTIFASTFREFMGPISTM -NHKNPSIMSMYEVIYSDSYWNNFKTGQDDVILFDEFDQKINDEVQHTRAWTDFLYLNNHTTFRPPGAAIE -DKSNLARPSFVLLTGNDMTFSNTGFQDVPSVIRRVNMFLTPQVMMITRDKITDDHFKSTDVTYSSPFNSG -ANKTFFVRTKDDGTMETCALVYIENENFRLCVNDGKGTWFITKEIFNNKFIASHIAYDHYSVDYNKNTSF -IDQLTLDEILDHFKEMYQARFEAYKQHLGSNHKNMGVLDFNKIYESKLQELLYSDPYKNINLPPMPEAQA -KNNKKKSVDAPVAQSNNDNASPNIKDDISITSQELSDDSTFVTVTEDQVEYAHDSPQEPYDGKVDFQING -EVILTKEAAKKYTVRPIFSLIPGVSTTTAELLDHLRLLRPKNPIPIFKSIKQRIEMKYFKDILVPNDVVN -EFKLSDTEDIHTTDINFNNEFLTLAMQLICNANHFTLCRLICEGIYKDRFILRDSERRIFVRYPDFYEWE -ALVLHGCQMVAGELVDRLSSGVAIEAKFEKETLYLYVAPRNSVNFAIPFDTLRQFEAGRNQFAAAFATYA -LTSTVFDHIFTPGTVYDSVYFYVIGAHGLLTILYSEFEDGYLKCNFSENFSSFNSVVYSRTSSHPTSNWY -VKIFKKLVVGVAIATPLLAMFLKYGRVEANAIPDDKHTKIDDSEYDTIIEVENIEAESDSTGKNRKQRRQ -HSKKNRRYDKRYKADFYQDNKPWNLNIYGEGPKTIKRITINVYTKFNTWIEVPVNLFFGTYGVTYAHWYE -SALVEEEEGKKFITIIYKEKTYKLCVEGEKIQVYVDYLYDISVFNVDCLSVDRPRNCLTLFVDERTMDTM -NTFNGIYITKDPSKLYEMYVYDNYITYKDSATDQVFSIPTSICSNCHTEKGDCGHLIVSKGPGISANKIV -GMHVAGGDAPKDSLKQIETAYATLITRQLLEEIIVYEFEDENITNLSTTRDLQIVAQSTYEQIKDITGPN -LVEIAQVEPEKIVRLPQRSQLIPTVFSHDINTSHNKQPAKLDGFYDENPIYVAVQDTAASLHPDVDTKLI -DECVVELKEYLDKTLNYNIIANKPLSERDAIAGIDGLLEPIRVSTSPGYPYVITQEGHGKYEYINPSDTA -VYESQSFRKEWKDLENAILTHNNEYLDNLDFRWMMYLKDELRDVEKIGASKTRTIFCNSLSSIMLFRKYF -SPGMVVINQSFPNSIFGIGANMMSEAADDLYKYLSSKGFTKVIAGDYSGFDRHYHPEFQKRSYEVLMDIC -RKACPIPDEIIEYFKKHEMSTKVQVNDALITFKTVHCSGNFFTTQENCIQNCLYMMYIFKTIYPNYKFFD -HVVCNFVGDDHMLFVSNKVPEFNSITLYRTMPAIGQKYTDENKEIPKVKYRKFEECSYLGCSFRKIEGKY -VGCLRQETLWNHICYTRNSDYFGESLNAFLDAASLWPLEFYNEYYNTIHAHFPDIPHDYLIRQEAQKKRK -RISWCDKIAQGPEPTTKSEPKKVEPPKVAPKKVVDKPQSIEKPKQVVNKEKVKVKVEDKTVKKNEEKITT -MNTHQVKPKVSRPRNNLVVAKNYSMSSYDIDLKLGPNSWMSIANIEWNPSHTVNHVLESLAMPREMLTKN -LLSMQVFPFLYSTYFTTDMEFQFQLSGSQFTAGMLTAVFMPLTGESPNPDEIFTGIHVNMSPTDQTVVTM -DVPWRYIRPFMSCVAARSLNEILGSLHIMVSSPLSVAQGTDNCTLKIFGRFKNAHFYVPRKPTEIVAQGY -SVADLIGLCPVGLATQVVTDSIYDCLHTDIDEFIPLDHPMIAGGGQPLLEQQKALCNTYGAHAGNSLQED -PRALYRNWRNMFDPAETKVSFLLGRQHIIKRFEWKTTDAVDSTLLTIDLDSMFGRTTHNMLTALLNQFLY -WHADCEFELMVIKTPIQNGRLRIGVDYNYVNTNVIQTDANYTFNQVLDFTQSKMVGKFVVPYCSSAEYLP -TVKHVKNQVTSLGKLFIIVANKLSTTSAVTTNTVQCILTMRLVNAKVAGLNQTQIVSLNDQQKGSIVFAQ -SEEPQEVPSVKEDEEVNVEGDVLPEVLDQPEDNKNLIEHEELEGSNPVYNQVTLEQVNNVKFTSRQIDDV -QYKPKHDFEIFPGRQFERVVTDLNEIARKMYHVRLEELSRAEGANYCSICYKVEVPHEFSALYMMAGGGI -LYRIYSRYPMMVTFVPYVDSFKSTDSQLYLDPILSNPEKTGKFVQNGKTLNYTNTMVSKNNMVYAGRFGS -DYYAEVYIPWLSTNLAYNLNTDLKNSQRNYGNLIITYRSPYNTLNDTTTQIYVAGADDFSYGIYCPLRTE -IQSNSLPENSWISGYYN - ->YP_009270628.1 polyprotein [Picornavirales Tottori-HG1] -MVPEPRSGILLTRVPPYFLVANTSTSTSCDSQGCTTSSCLHESLTPLLSLTFEQFSEALVSIFQDSRRRP -LFRDYTRNVQALNPSVLGDAALQDSIYAIEHTLPASSSAWYDEGVAIAMSPDRIASSVILVDDYIKAADG -HVYPALYRALAARSYLDIVEHQAHYLQFTPALEKRFEADVATCLQSTAAMMRLLVSYYGENIPTTTLDHM -VDLLNTWFPDFTTSPLYRPFSPGDHSRLLCKAVKRHALRNSLASVWTVLGSITVHGDLTLPLLLSLGNDA -ALPQGVLSDVWGYVRTAWEAVSGLITTATKACVQLIKPFAESLTRSLFSSFMSDWFPVDVFKPIVSAVTS -IFSPIVEAFGDLFQLVKDDAPPLSFLSGMCSGSRGAVITKWTLGLAVIGTLVWLMVRVSIFSADLLDNIW -QTIHDAIWPATKFSYAIITPQGPNTTPLVDVLNVVISLSVALFAGWGGSELTKHLRDTFAGFNSVHKALT -DSASSIIALLPACIQRACCLMSNDPSDKIFASMTDWILNANAVISVCSHVGVLSNESIQRAVIDALAQGN -NLKSEYSQYLNAAGKDRKVFPDFTLFNSCLTQINRLIAKIMSVNAIQAGRPEPAVLMLYGAAGCGKSMFL -TFASQLFAGLPAYNGVACKCPTVYTKVSSDQYFSGLQQDSAQILILDEIWRDCDANDVRGMNMQSLFLDL -CSTVTYMPPMPAVEPGISGSKGTTLNPTVVLCAYNNPLPADITVDRAALLRRLYNSYEVLPPRWNEPQIA -AADPQIGYFQLLATDKTNDLPNELQGRSPGFYYVPLTIVADGDKKDEHGNAVMKEVWDYANAGPASLDIP -LYKELWRFRHTWYTYEDSQITEHKSDTLDTCDVVISRIRAAVDLRVARFLGLLKDQGLSFRTDFNAYIDK -LRLAALTGDSEETVLKDLFHNIQVEVSDFVAKHRGSIEAAQRTDIVDIEVEEFESVPTSDTEDEDAPADV -APEPPAPAPAAQPEDGAKPQGPKTVWNRVFHSRKVLKRSQVEKLEDAVGFITDGLVNSLPVAQPHVVAPA -RVENAVSKETAADIFAVQFPAMLDFIKTSTELSFQATEACSLLNPTEEICSKYPIFAHPERTKVMYDMII -RGCPQSQDVWNRTKEQYGFPDRVTLQWSAKGHALWVHGTLITNPNQLWTVSFSNSQKTSWYGYVDSCPAH -FLNFWNDDTNRALANTAEPYVRDAVADLPYSCPEQRCSYPDFPVISPKSDPFANSHVACPDRWSFCSRCL -RFFPPNYSRLPNICVASPTAEAHRFVWLTDQSTTDNLNSLRKTVPKADYLYFCAMAIILVYKSACLRQLT -SRMKHSSLCLTPDELRSAVSHFTAEGARIHAALDDKNASKSDFDDDGTLSFFKTEEALLSNPVVFDPHNP -FFPPLPPPKPTHSHSMVHWIGVGLVCVGILTTVLRFTSRLFHTDAPAFTPESALPRATTNRLANAPKTSP -INVRKLTSSTPQSPVREVTLCAISVDGSPSVRGFIPTSNYAFTYCHGLAPYLGEGPHNVSVFVEGKTLKF -PLSPDLFAYDADHDICVFYIPPSLFPPRRDLLNQFPLEKDLAFGIGVNAALRVDSRDYIATTTYYPNCTY -NIPDAKRADLASFHHQFTFSYPIHTRSGDCGCLLRALDGPIAGSYIGMHVATARGSASSQIGVSAPIFRE -ILMALINAIDPTVVSRSMRQRPDDLQILETLDVVAQGPESFAARLAGVSGPNLDKIETIPVVERVNVPTR -SSYIPTEFADDPRFSGKKPSVMMPNENGDPAAKAFQKLADITHPPIDHDLLVRCQAEQLEKLKTLNFHGV -TRELTFTEAVAGIPSLLSSLRISSSAGYPLTLMCPGKGKTSMVRIESDGRVSTTRFFYDRVTGLVAMLRQ -GDPAALERYPFYWLAFLKDELRSDKKVAECNTRVIYCNSLEWMVAGRMLFGALQVAFNNNAGNSIFASGI -NVNSHDLQTIADYLRQVSLINLLAGDYSGFDIHYHPEFQKAAYANMRDLGLARITGFNAKAFDIFVRHEL -NPIVQFGDVRMTFKSSHFSGCFFTTPENCLVNELYFMYVFYRIYPKLDWNQETRFVALGDDHLVACSSNI -PAFNARSVCEYMKELGQVYTDENKEVPNYSYKPFTECSFLGSSPQLLRGRFAGALRLPTLYSNLAYVTKD -TDLPTLIETFLDLASVHPYPVFKDYLDAINEVWGVHHNCLFADSYEARQLRQIERTADSGFGYWKPDAPN -IVTPQGPDDPTSVQAEVHTASDIPPSSTVDVSRAVGVSYASLTAPTSSPMFLGSFTWSNTDVQGKRLFSS -ALPGKAVKQLMQQTMPFAFYRYWHGDVELMFQVNGNNFQAGALVAVYFPLKSQSYDCAFNNVLCGEHALL -EPRNSNAVTLRVPFRYFTDLMSTEGILSGDDFLGTIVIYVLSPLMSSAATSVTVTVFSSFPNSQFYGPTY -EQAIPQGPKRLDDPPTTIGVSDLVGLIPGSDAVTQPIRKLNKLLNAKFIAMDDTPVSNGSLPLVNQFPGM -SSTVGPKPQLKAALNPAEFYRKTEQIFEPGETTIASLCGREGILRTFDWKTNQTAGTALQTLPLNSLCSD -PPSSGTLAIPVNLAVLNCFLYWHADFKFYLRVFKTPFHSGRLRVSLALDQTLVKTPTLLEQNLLFNQILD -YSGSDEVLSFTVPFIAAREFLYTVSSAVSANTDKIGYLTITVLNPLVVSTATVSSTVKCLLSVSLENVRV -AVPHPIPPVNFSNQAPSVVVKPQGPESGEADVAEEPASVPFGTPDAPDEAPAEAGDLNKSSAMVGAIYDI -MEVARRAVPISVVSSVRNHATMSSSEGDYNVFTLALKPTTIFSSLFAAYSGGLKVRVVGNASVFSYIPEG -RFVNAVPIATTTNAYNGPAVTSLSGKTDRNNYTVSRVQSMCAVEMAYPLPDGNYFIDLELPFQTPVNFYS -SDGVVRYHAAQVADFYPLLQLTVLGTSAFRGWSFASCADDGLYGIFVPPAKSEYWWTGPGVSYGALV - ->YP_009269301.1 polyprotein [Picornavirales Bu-3] -MKRDNNDVNHEEEEEEMKVKRFEDGDKNAVKKSEGASNSVDGSTNVDEIDDRMNRDSVKFTSSELAGFGK -SLVNRVGLLKATCEYDEFVQSEQFINYEEEIEDMQNGMTVAGFALSDYSAEFYSNAIDIALALDQEVTRV -PFGIPEGPMESVNSVESRTVPAGDSMRNPLDLSCGVSHGLDMLYLAQRVFNMWNHRVPAAPGSAFEVVRY -RVLLAALVASAAGESWDQERMNLADYLRKLDSEGWYANTPILGKKDVKILNKLCKHLESSRDITTESSIK -SVARMMASASELPRERIDLGVPTFVISDWVKCCSDADVMKYCYHNAFYASCMLMIRVNSAIRLFLNGSAL -VGLQSAAENSNPLFSRQISLLRDKVEDSYESEVAIASLLMPEAMNRYEKLVDGPVKYVADILEIECESDF -FQGIVSVCKSCLSELWGSGSSAIVWVWSGVKKMVTSFCGLLSAAGGLLSSVMSALEEIFTSFVDPKQLLA -YGAGWGAVSSLLCKFGIFSVSNVAKDCAGMLVALVAVVLIGCLGLLSVKATMSVLRHLRSSIAVVDAEGY -GMSEILVLIIPLVAYCLDMPLSKTREVFSTFSTFVVAGLNGSKMLNALLTILPSALRRCILLRFGTESDV -AGVMSMDLVVEGQTLLALAKKNGLVQDEKFLKKASQVLLDMENYFCSTYGTGAPANPALGRTYTDLCRVV -GALLTTRVGIPSRVYPFWVHFYGEPGVGKSVTLATLLDQFLPTVQDVEGKRLSKSDVYYRANNDPYYSGF -QGREKVLVWDEFLNTSDEVLKKQSMMDMLTLVSCTPWLPPLPAIEPGPSGMKGTEMRVEVVLTLNNCMGF -AEGDESLLTGLKRRRAFVVKVQPAEKYAAKFSQENHSWDLTGIPEADKKDAKYLDFVIQNSFGNGQGGGR -IAQLNGLTKLREYMLAEWKKHRAMVKQLLAGVHLTYDFDREFERAKKVLSGFIKETEEEDNEAQGDGDAE -MASASSDEQVEEEMPEEEKNVDDRVAVYRRKITSEEIDNLIRNRLKWDKNSPRKKGFSYWTLVNELTEWA -MRDDVDVQVETVKQHLNQILTEPQFVKVEDANWKCGPSNVAVDLWRCMWPTGNPWKDSRYITLLPALGGG -RVGWISDMIGHLPKCFVFSSNLVDVMKIRLCDLAQVEADEIEVHKANGVYFAALHDAGGEFVGALPKAFN -WVAAQIRCHFDKDGQWYVIALVTALAKAQIEKSKNYAIVIKDLVAKQTSGTMKLRSVGKAARIDWASEQC -EACAFTINGKKVAEPYPVGETCNPYTAELVVSQWELFKDKVRVKETEDMQKAVDTLSVRGHADTVSVFSG -YAQLLCMMPTKFVFDCLADNSVIDCYADLRKAQREGVYCQHWMDWWKKVREEKAYCVEHVANVNRLLVSR -FGILPNASPWPMDVSWMYDESSLEPKVFKNGVMLVNPRFGPDFKPGDAVGSAFGFPEEETDGVSIDADFY -SETLPFVQSPVAVALKSVVQGGLVAWAICRAVMMIREYLCPGEETVDEVMEDITGESGWDLSSDSEGPKK -HAVPKFSIIVGGEKKPCGAVCEERVPVFACLYIGSSCECGFLCNGRKFISHDHITGAVLSRMTEQSVCRC -KLVAGDETYAVSISRGDVRRCIERDLCCIDLSDNKNVPEAPNIRGVIMSNEEMLATETWRRPVVVEAVLH -GVNYSAEAKFLKSIEYRLPAGARKRLDSVWSYPMNSEAGDCGSIIWIQGKNGPKILGVHVAGSMMAGVKR -GYCTPLYGSLFEELVGEMPVSEGRMVDIEKALGPNLEYYGEVPKEERVFLPDTIKHQPSPMQKLDVIPVE -YEPAILSRNDVRNINRVDPVVNGLAALSHCEQASVDEKVVDAVAADWLELMKPRFDFSGHPGRMTLEEAV -KGVPGLLNSVNLNSSAGFPLCLLKRGKGKRAFTQIVADDGSVVVDPEFRQLVGSWVSYFEGVAPKPHEVV -YLAYLKNETVKLSKVADVRTRLIYASPYARLVACRILFGNLLMAANNAHGEFGIGINQYSKDMERFVFGW -LTENGLPRPDSFVAGDYKAFDQHYQRVFQLKAYELLFSLIPKENDVSHEMWDRFVRDEVDGIIQVGNVRV -HPKVAHLSGCMFTTVVNCIVNSLYIRYLFSLRYPAKRYDDLVRGIFLGDDHVLCVMRDRVDFDGVMIQED -MKKLNQVYTSDNKDEPMVAYRKFDEISFLGSVPRLYDGIWAGAPKKVTIEQCLLWMKDMESYVQTAHSMV -EYSIMWGQEYAHWLYELVRRSSPEDFTDLVEPGAGTLRRVINRSADSGEWDPPRYQGEDVHKMRADAPEY -YPPGYNQGSATKRDQPYTPDAPGTTVMPSTVKSEPEKAGSKGAKRRSRKGSGKKKARKGIKFQKRSAGGK -PKKGMKGANVRVRIPVEKQNLVGGAIQSSTGTQFVRDTIRHIERRSRNPNLKLDDETKANIQTVTTLLKA -LSFLDAPLEVGPPAKFMPFFQQQSAVDGPVQGVSLQMNPGAGSHLARAMVNPEELNLDFFFSRDSLYNTV -NWRKEDGPREFLWFGEFSSAFNCWSENSSFTSCPQSCAVGAVNQFCTWRADLVLTFQVVKTPFHTGRLRL -VMGYDCFDAADLAKYGMTSWNTVLDFTGDQDTVVVRVPFMGSLDMLYTYDGPRKLAANSNIPRRMFSLGY -FGLQVLNPLSVASNAVPTTVRILTFIHLDNVRVGELKPQPIWVLRDSELTTRAKVGKAAATTEKEGGAAT -TVTEKEGEIVAEGDVSVFTTDEAPDEWEQVDVSMAPTGALADSGDHMNVGVESFLFKDSFQWTTTQQYGD -VIAQYSLPIELLEKSASDSQAVAMRSNTYWRSDLEVRVQTNGNNFQCGRLIASWYPLEPDNYEPSVELSA -NVQHAFVEPYNSGDLVLHIPFIHWYSSLRTYARGRDNNLGKLRFYVLAPLSYVNGSPVTVSLFLRFVDPV -FQIPRPLTDVVAEGDPEGEQMLAVASTPLSSDTEDDPSDDEGEDEREQMNPKIEVGAITEFKPRTVLEVM -RRGVMKVFKWPGLNASVNYIRAYINPIGSLGYFDAWYACAAGGKVVSIMTSSRPTGKVTYCPTFGQTSTD -LINTLPGYGIRVGDYSYYRDGKPLAWPVAHEELMAVGSNGVGVYHIPYSAPLPFCPKEYYPGCGEVFIRV -SNTTAREYLDDVLITERAADDYTPLVFLPPSGGITFSHGANVDFATASDVKSANGIYA - ->YP_009111312.1 polyprotein [Fisavirus 1] -MDSDSSFYSEDDEEVIRAKNTGKFWYVIRPLFCKHQRNYVKCPCMYQMQHENMYYFDIPMLNDLSSIVQE -LRMVQLAKLNGRDPKELYRFHRATIVALTTKDKRLLCDPTMNRGRFLSLLYELREAYDNLEDFGQRNEQD -NSDFEAQGLGDIFQKIINGFTKATKVAYKTIESIVIEVFDKILDGIKSVFGYISETLGRAVEGVMKRLRS -WIVQQFCPTQFIKDCLDNPNFSKGLALFCILAIILVIDIIGFLSYKLATTVIDRMIISYSGGKWEAQGPE -ADPIAGVVTLISIVLGLCVSDMNTLAKRAREFTSLVAAGLSSSYFLTSLFLVLPLTVQTAMKMKFGTQES -KDQVLIEDWLLRSSAVIRLKTIPKVLTSDEYYQWLVELHKEALGMKGKIKTPTVGNIFVRNLVSITQILT -ILENYRNEKVSRDLPYSLHICAPPGYGKTLFTIKFVKDVFGVSDTDIYQVPVASEFWDGYIGQEFVIMDE -FLVGEAESKVTTAKQYLELVSTKNFKPPLASVDDPAVGLKGTTCSPIGVVTINNSQYNKVPSIPQEAIWR -RREYVIEMSINEGYKDKFSNGKIDLESLTNDDIKNLNWLSFTLKSAVPSTGSDVPNLSYGALVTYLREHR -AKHLVTCDRIRTGLSTNVLPDKSPKEMLEDTIRELRGVPNESQGLGEAIFSFFGNSDFESQGPGKTKSSS -TKEASEPAQQGMNEKFVWRPKTGSVYKPLFNKINKLGKKISQEKAVKVVNESYNQFLNLKSEYDGVEPEF -LEETKMMKQCILKLAVRYKIGLDVETSSDDCFSTASEGEEEIDLLSQKGNAGGSMIISNIDHSNVDPEVH -HRHHCLGYNLEALMNGKGEPIRNIGTGEIVTKQVLCGKQFTHKHAKFVTHDMLCSTCKSNGVKQLWTAIH -GGGTPREVITLPELLPEDMYYEYIGAPDDYKAELDKMWLNICIEKFLSHGTTPIVIMYDPSFDDGNGLYW -EIPSASKQIRGAIINTSKWVAIFVIIYAIRKWFRRDKDMPDEITFGQSPPSNRETRGSRKARVFKSAHAQ -ALTKSYPILEIEGVPHRVNPICGSTFLTYFHALFDDGGNIIPEGTKMKLKWGSTVDEFDFSLSMIQAPDN -NDDLIFFTYPRKRNSQFPKVVKKFWTLEEAEGFQSTPGLLDIDGGLCYAQVFLGKNRSYRCSTKRFELSE -CLMYKYPTRKGDCGSLVSSAGTNFPNKFMGMHVAGGANGKDHFGLAIPIYREDVESALKNSIPEVDVNID -FENEGPELFSGPNLKSVEILPENEKVFVTRHSKLEKSCLSDFLLTKPKKFLPIMSPNDPRSDGKDPLIEM -VNDSLQVEHLPVDEDEISIVEESLLTDLKANLVWPVGKRRLTIKEAIGGVPGKLASLKVKTSAGYPLCKI -ARKQGKTDFFYFDCNGELFIEPFFERLVEDYLKQLEDEGIDERRFVAYLKDELISSSKLEEKRCRIIYCG -DLISNVAYRMIFGHILAAFNSSYFTTSSAIGLNHHSWGLRIIYDYLVEVGKNFVAGDFKNFDKRIHPQFQ -GAAYRILMNLCNEGITSSVAKDSFIVQQCFSSAQILDVLIKFKTTHFSGCFFTTIVNNLVNELYIRFCFQ -KMCPGKIFREHVRAKVLGDDHIYCFSDEVAEDCKPWNIREHMLLLGQVYTSDRKNEELQNNFRNFEEITF -LGAHPVIFEGQYTGALKKDTLEETLHWTRNRNLTIHQEAKAVIELSSIWGKDYYEQTIEQINGALKNVMC -EIIPPVGWQEMARSVCLRTAASNSSFPYGFVAQGPVVNSLAKLNVDKKIDAKPIGTSDPKKLSEKAVNEE -AMKLEFGTDSNVFRTSITWKNDDVPEKGAIASFDVPFGILGLGDPTNLQNMPFDRFAYWKGDLELNFQIN -ATPFQQGLAAAYFMPLASYQSELANVTTNEFVFIQPDQNATHTIRIPYKYLRSVMNTIARDTESLGTVFF -TPISALSGKSVTEVTVTVYSAFPDSQFSIPRPVDVTKTTAKFYNTSGEVKDFDDTNVEYFAEGNASSTTN -NYTFSNVGGDMPVQGIVTENTTSATQDIKASADVKMPMPFDNPPLCSGAVPIEQAFPGMAASHGVRPTRD -MQLKPAAFSRQQQEIFNPAETKIETLLSKMTLLTKFKTSPSKPVDTELLHIELNTRLGVAEGTGRPIPIN -IGVLNQFLFWRADFEFTFISVQTQHHSMRLRAITQYAAPSVAISSQNTTYSSNMNFATNEDGTNYVHREL -VPFNAQTEFLRTYQGESVIDPIQNYSLGSFSVVMANAMSAPDTVDTTVEICVFLRILNAKVAVPSPASPF -TWNNYLGYDPVPSWIMTGDIYDPTTAKYLEKVSNTVVRVPRSSVNWIGLQAPDGTYVPEDIGEARPIVVF -RDATLKEMRLLPPSMTLVLDKNWVTMTIPAFVIPDTFKPITSSSFLSLPRRNMVIRKPKTLDIPDIQFEA -QGPEKQTTAEDIEEAPVTSVTKEEAPTRRNEVCKLEIGEKFEFCVTDVHEIGRRYIRMVPINNPLLDQFA -VYSMNTGDMSSMNLNIPTQPQSHWRALYSAWAGGIKFRIFRMRNGNFPQIFFTPFYNQDVKNPSIPIIDS -VKGIDFEYGGIAITSNTAITGPIAREVAYPIGDASYIDVSVPFQSHYNFCYNSQTQSIAPVSSGTLTLGN -VESGTPLIFTAFADDLRLGIYRSPRETKFDMKVFTQGVGGFYNKTKTLSTGTQASEQQRSVHCREFANKL -TGKLNKHLSKLKKTNDSTTCFE - ->YP_009010972.1 replicase polyprotein [Posavirus 2] -MHPTTWTTQFIACIVNWLNPLYYLVLLQQTIEYGSYVEVDDTLEDQHNDYWPRLADGFIHHKHVTLSPSE -YEHFIDRMTECQAQNTQAAGWISYLFTNLTDYIINETEFGDNDLTYEDIVYEMEFESQGVGSSKMKKAAT -PQPPSDKKKHKHKHHHSSESSSDSDETSDQTSTDTKEDKDKKEKEKGFFKRAFDKLSNKFSSMIDTVTDK -TKEAASDIAQKSIMKTIRSIASSIWSSIVSLFTGVMQYLRAHGVRMFIALLALSLIYGLAYIIVGRNALD -NTFCRALFAPLNKLLGNERAFSEAIEAQGMEPVPALLATIAAPIAVSSSTTYHSTPVSMMRDYCTAISAI -SSGSATLLAALKVLPAGLASFFEKWFDPEHKITKGETDALEYAIQQLYSVINSPAMLASPGFAKYIKEVY -PKLDAMVKYSTLSAQALMQWREVASIYTQIVLRDTAGTFRFEPYYVHVAASPGAGKSLFTPHILKKAMKE -ATGKDPSFKALGLDLLGYAGEDIIMIDEFATTTVSAKDMANLLTIVSCLPKNVSNNPSLANIGSGLKGIS -VIPTIVCTSGNFVNVSMPTDEIDRAWKRRMNCQIQFHTDKAVNLTGCETIEDIQNAFGEQVEIEVWFQRG -SVTHKPETVTDLVTLLAADLHNKMERHKVMKKTFGYDDVEDVARDTLNKLTSTLDSTIKTLDAAIQRLPI -EQNDEVEPNVYVPDRTDTKREDIEDFLVEHELAAYAGNFDIGFYRVRTNWKWKEVYDSGTDPNTIIDFED -SRGKTLLRTVFDPKAIDTLGGILDSDPDAYKWSFLIKYVYAIYPEWARKKGYTRKPPIFYPHLRVVAGYC -FPCLEDAAKCSSDPAKASALLKVQETDEDKVMPILYEPEEIDVSLDSSSREAARLSQAANLTNDTSKKSK -EEQEEDLDAINKAIHDRWVEEGALGSAPPKFKSMSKYYDSLLVKPVKAWPGEAIIDERLPFDGIACVWAI -TDVNPDRVVGSGVATYKYPYAGVRLYFIGDETSYEANRCFDSAMALMGSKYLPRMRNIGKLDEKTRHALI -HVFAARLIAEGQTVEEPKSRYDDEDSFKKLCEDIGKLDLVFDDNDRSYWLSIIDNHNKARQEYMIHPAEM -EYIHIEHRTIESKSGFYWSNVNLPSTLHAFGKEHIDFIDLTTAIHTAQLIQKSSSIPRYVKVADSGEMIN -EDYLIFQSKRILSHGKLTAGATRTQNLSTFKASIFANKAPETKKFLEDNEIKVASDLDIGHLKEYVSDYP -VLALQLMFLQAPHFEFEYTDAPVFGSYALAAIESMAAGRWDHLFKVATYWRRTGVFSILKAVSPTLYSRA -KTQLEDTKGVTDGLVTQYLNDMGTRQNFHLTNDVFDFKYAEKPTQSREDIYKDVKAVNSEDKEKSSFLNL -FLKWSSADGRKNKQPCYVYNARVPFVADDKVMWKTAPMTISGFIVLKTVPRWVTSSGTYLFQNAFLEPGA -ESQTPQQMADITRVFNDAAYVFGADTIKAPLHNFYLILMNHLLPGTGDSFDLRSYYACLEDKSSPLRKVV -SEPLSCATALRLNYYSAASRTQASSVTSKSVLKKFINKGELDNELFMKCQETFALTCIVGKNDEFISAAN -LSDFVELNTKQRMWQSWASLSKTYKEKKEQDEVKFARTFHQAWHTFTGALGEALAEKFYECRSLESLKRL -KDKGNAKYPNALDRAITSKESHTEWYKGLLSILGWMALFAGIFALAIGIGSYFSVTPEGKYDDDPDYSPD -SEAMDGEINTKTPNVVVPQILEKDQNFVHFTSPAGGFWAIQVFQDVFVMNHHVYEAYSKLGNKQFTLIRS -NYYQRTPVANQVVIDPFVLLDLPSLDQCFVCMNLKSQYYSRDITSNFLTAKEYEDFVQSDRCHQQHYKEK -WAFVAPDASLHSSYPRSQYCSIHTSNRKVLAYESTTIKGDCGKPTILASGTYAGKIWGFHYGASANNIKG -KRVGFSTCVLQEDLKRVKKLLLSYRGTSIPYEEVENQADPLLEVCKLPDFEWRHSFNEKNVYDLAIIPPA -ARVHIPSDTVFEPINPDDPLPTDARIPAILSVDDPRSDGVDPVKMVIEQINSNPGLDANNSPLLGELDRV -ADDMVKDLTRYPVLNGEKRPFTIEEAILGRPGHLGPMDLDASAGYELTKAAPGTHKSDWVVNGRLIGIAK -ADYERRMAMIKQGQPAYDRFEATVVLYLKDELQRPEKALVQHRTRGIFAGDLVGGVVLRQLFGPFLCYYY -RNRVENNSAIATSLWSADYQAIYYHLCHEFGADRCVDGDYKGFDTSYIPSVRAKAYEVLYRVASLVSDTP -SCAYTTLVNHDCYPTVIAGKFRFRVHCHHFSGSTFTSIINNLVNEIYFRLIFYHHYPNYAFNQCIRCIFY -GDDHIVTSKPGVLFDFPMIQKDMAKLGQTYTSSEKDGVSFTYHKFEDTQFCSTKPLKFGSCYMGCLIPSR -VENLFNWAKKTDDTQARYNRIYAYKRIMASQPREEYLAFLERLKRTFTSTDLPANTFADTQISDRANCFY -TTTFSLLDPYYDGVIDVDVEAQSEAFIEPEKPLMVLSASDTQTTQAIGPVALPALQPYACNAGPADIPNL -AANRVKRGKWTVSPSYAATTVVGKVSIPNELITIKTDKSAQTMPLKTTQLCRFNIDFSLVVLANKTVTCK -LALVFLPFRTPASVGPTFRLQSVNWLPHMDIYPDDNTLYTFSVPFTSPYSLQRTSDLTSTRYWGTLICVM -LTDVIKPIELGTNPLSSYASIDMTYFSGLSHIFVTLPKPMFEAQVLEAVSATAREAAEICTSVATYADLA -DSLISEFMQGCDEFTVNNKTGAVSLLLPSMPNSSGVCHTTTMDVLPTSRTIQHILLGDQEAMWINKILET -PMYLTTIKWVATKAVGETLASFDLNSIVLRTATQVPLNIYLLNMCTYYHCDFVFTFEFVKTKYHSATVRI -TDSFEPEDIDPADAMFYTTEVINIDTQPKINHPVYFNNNLEYLRTVDGNRLEAYAADQRYTMGHTILTVE -QSLKTTSLVSQEIQILVYISFHHFYGVYPRSNSIVQKNAAPEIYFEAQMEDPDPAQLPNPDAAGEPPAPK -NAASDTGKSDIPKETLENMPKPLLKANGFSQTQTTAHHNTFKPHNYTVENSGKKFEYSLSSVLAFEKRFS -WVPPELITKVRQVQYPRSYTIYTFNPVAMLKFKDIYASYAGSYEIRAYFSKPTNIPMATVIPLPPNDFQN -TNKAVEMAIWPGSAINVTDQSKLRTSLEPIKNALELATPISNDMWMIDITAPFLYQSNVAMLHEGTTYSL -QEDNPVYIITDTTFDLFFRVGDDFSYHFRAEPPEFVIGKPLGVAKFDGVVGQLTYNQ - ->YP_009010971.1 replicase polyprotein [Posavirus 1] -MYRSELFDSLHLHKYNKVFINSYHDITLDMIKNGSINLDKLESYCNGKLFDGQIDPTAHEPIKFVFDHSN -MGIVLVDPMKTISYCSSLFTTIINLVNIYDHVPTAKWRTADRAIVDLINLNFSLYILSFTQEELMQYAIA -CCRRYQYSHVAEFVNVLLTYYQILSTFISDYDSPASKLVVSSFMARYSLDHYWRYAEAQDGIFSDFWNLL -KSATGLFKGTFEAVIKAATGVASTTLTKSMNFLANTLDPTCSVISSVLNSISSVITILFTALIITIEGVE -EKADDVVTGFEALFNLISLKLKYTQKNSAKLTRVGLSFMFVVLGVWVACQVGFFGYNLLSKMLDILSMFS -SKYTRMEPQGANVGPGELSIPGTIISLICIFVCGWEYADHPIINNIGKLITRFAPMKASMEKALSCVCSL -LPACISRFIFSLSPESTDAVLADISDFITDVNVARVFSTTPSAIISDEYVNILSELMTRGDALVKRVSAD -PNIPQSPLYSPFFQSLSQIITLTTNIVQIRRSCEARPRPVWVHFFGDAGAGKTFFVQNASTLFAGIRRFD -GKSESCPKSYVIPTASQYWDGFQQDVYPITVFEEIWGVLDGQSALQIDYPNTLLQLMSSATFMPPMAAIT -SGVVGMKGTTFNSTLFLSCHNNPLPNNWGGDAYALSRRMNYIFKVVAPTSTNPYCETQKMLDSKGNTHEV -VVEYYCGRKGVDSDQDCFMMRYAQGEKLIVKPCVLTVELYRKAWRFVPYISQVKNNTPEVVATELAQNPT -DSNSLKTQFLTVDEVVSNILNTVNFNVAGFLKSAESSGLKYNLKLKESYDALYRAIVFEKNPQKVFDTIV -DNQVDVVRDNLDITDGVVNNALDAVEDVIDGVIAEVKNRSSEFQSVNSDSEPDEIFNPEGEESAPTPDPN -PDTAVSNAEAQGPFSRRVVKSGDASPELELSQFDGKVDPQNFIDNSRDFVRRMTNAMKGGPRDVEDPISR -KIIRGLVRTFPAVKSNDPVIVEPQVMGQNITGAILNAAFYESYATLFESLFSVLETNLSYSPSLANCSIY -YFGQTISDSKVPFANHPFFSSKFNTDVFYQYLSLIRPVTTIAPGFPLEIKSHPLFIIAEDSTYYLVDRNG -TVIRSMNDLRVGETNSYGVSNYDFAILSPTNPIASGLLYWNENAYQLTNFDPVKNVSALVSNGQTARSRG -AYPPFIIQHADDPFSPSCYQGGWKICVHCLRCYHPTIYPLLEGSKCTSIVSHGFHSLIELSEVSSANLSA -LSFTVPKPDYSYFCSMAVLTLALSKICDGTKRVEHRDLNFTYELAKVINESQLLQSVGKDVVDVASSMKV -STCDSYGVVDTMPSIPVLYDDDVKHVDVIDTSVINKAFTPQSHSFVSYLVIGASLCGVVYALYKSFFPTK -AKLWESQSEPPTRVTAAVRRNNNPKYSHLSDLKSVPNAVLKGEAESAVQMTTAEVTIDGVTIKGFMPMSN -IFVTYTHSLVKLLKSHNALDSIEVKVHLSSGDREYYLRNYAPDPNSDVVVDLENDLLAWKLPPQVQCVPD -RLSLLCTNDELSSVVNNQICFAGNGYHYAACTDTPITYQAELLENQVYEYYHRHSCSYPIPTSKGDCGTL -IYCVSGPAMNKVVAMHVAGFRFAKTGAGVWLSREVVIGLLNELGVYNKQSRSKTTVVEVVDTVESQGPNS -MFNRLKDLSGPNLVNITRVPPTEQVNLPDKTAYISTGFVAHPDYKFKQPAIMNCEDSRAKGRDPVHINLE -EMCSIDVPEVNENLVKKCSSIQIQKLHHDIDFPIGARELTFEEAILGVPKYLSSIKLESSAGYPLTLYAT -DKGKKSFIWFEGDVCYVDRTFKNQVLEIYSLLKAGDRAVFDKYPFYWLGFEKDELRKQKKIDGCQTRMIF -CNSLLYTVAFRMLFGSLLCAFNCNAGKSIFASGLNINSKDCHLYYSQLRKVDNPNHAPNLIVGDYSGFDR -HYHPLFQKYAYEAMYDLILSKYENPPPRCAWDLFVEHELSTNVQIGDARLKFKHSHFSGCFFTTPENCLV -NELYFMYCFYSIYPEGDWSDIQFIALGDDHLVAVPVEKYPEFNMIKVYEVMKEIGQVYTDANKNIPTVPF -YSYIDSTFLGSAPKYVSDSYVGALSLETLYGNLGYMTKKTDFVALIESFLDLASIHDEKVYQEYWNWVNM -NSRAVYGRSFAYNYIGRRNRQYERSALSNDTFVFCYAQGPTTMNDITPESTVPIINEPTSSITVGGASKI -KPSTLTIGTDSLMHWFNFSWASTSAKGAVLIDKNIPGDALISNILQVLPFAYNSLWRGDVEVCFQVNGTP -FMAGALVAYFNPLRNATYQTAIENTLSGEHVILQACNSDSVTFTIPYRYFNELMSTDKLFDSPSVECLGS -LHVAVLSPLVSAAATSVNVSVWVRFPNSQFFNPKKPHALAEAQGPKGNSSGMSLGDLLGLVPFGETALNA -ISTVSKVANGVNKTLNSKFIALDNTPVAGGSLPTSMQFPSMSKAYGSYPTTSFQLDPSVVYGKSREFFEA -SDTKISNLVARTCILTSGSWTTSQVAGASLVSFPLNSNCSANSSTLTYLPMNLAIANCFQYMHCDFEIGI -TAFKTRFHSGRLRATVNYGSSEKVNGNYVFSQVVDFSGEVCTHKVRIPWSFIREYMVTAQGSYNESLGLF -TLEVLNPLVASSTNVSSTVDVMITVSLVNASFAVPTAIPPFNTTQVTVGVAQGPEQDDVVVEAEVPEVVA -ETTNNNVDVSSPMSNFHVVEDIMELARRMRPVQISKFTKDAQFQSDFVSYRYSLSPDCLFGSNLFSAYAG -GLHIRVKSSMSLFSYLPLWTADTDGRNYFAYEVNGLYNTSGLKVSGSNSLFSIPYEMPYPLFDGSYFIDC -VIPYQMPFNFVGVDSSIVSAVPTTLGYLYGFGSATNPTGYAFVGAADDGLYGYYNPPTRFYRTTNSTKSG -VLLGSLYYPSKA - ->APQ44558.1 polyprotein, partial [Posavirus sp.] -MELSDSAAPPGLVYEDFGYGRFFDWIIASTALTPDLKDDYKYIRGFIEGTASQRIDEILTMETMSDTNSV -WSYIQARTYMRGLHLVQNKRLKLFINYLAFMIPQLDWLSFVRIFNDPLGLVMDEWGYQKHLGTIKMCPSC -KRGMSGHYTYIATVIREASTHVRAPSTESALEWSDEPSCLIPSFATPLKNDGFSPDDEELWKEDEPPEPC -HNVVVVDGVAMDEDVVEEYNLKNNLPCEFGEKLELEQPYQPGYDPRECGLVPGQPSNSCDIRYVNPETGL -VWLPGERESWLGPKESLPVVNEQDYVSVMEAPVPKTLDEQLHEGLAKGATQVIEVNYTEYFLNHGRRILE -MPPPPDYELLDERLSNRENFAIDRRNQNAQQRWLKECERILKLVPDFDIIGDKLYHYKKTMPTKTYVPQY -RQEYDELGLKKVKEPIFWEDALALFRQDKYDPDKYEIIREQPSSDLFSDAIAQYDRDSYAQDLIDAYEDY -MRHRQEILDERAQEEERFEEQMKQQELEWADGTESSNAGPVAVVKQKKGRRRNRKPRGDKSLVPDIDKMK -AAGEKNINDMYFGDDDPPAPVVPVEPQGCDEDIPDLVCLPDDVEEPNNVPLDGETRLLEASTDDVSEPME -SNYLTNGAMVVERDETRFVRVLLDAREDDLSQLGEDAAVSWGEYFGDMKSPANLPSEAVAVPGMRGKLLP -NPLVAVRTDQNYYPVTRMSMVPEVTLAARKILSWAHGVVVDSKDLDVELARGNFVWQNEWAKRFLHCPRF -SFVAQYQNRCPFIHWHDEKCLEEHQMREMKCELHGWSHARDWLDYVLQIIALYHNMDLKVPRYYLLATFG -IPIVVKLMHDSPPSLATRADWIRAYSAGGFARIGPYMGQGPGANYSILQPFTTFKATVVSGVTDLKNAIK -SATDYILDLIVRILKPVEIMKRYLVDLVKNVIDYVFSQLFDFVFEVGEWTARHSHLVMTAIEVLVLLMLV -ALGLMEWRTAELIVGATTVSGIWCSVFQGQAPDNPLLSAMTLITGMYYFLRPMQIAEIRDRLAQLSLVLT -TAGVATGTVNLIYFLIPEGLRLALKYTFGGNAAVVTERVSAWRSRVVALNKLSSTNDVLISREYYDMVKK -SISEGLGFLRDAPPSERNNVMTMIPPLMKLDHILWSYQEARRDRPLPFVLHLSGRPGVGKTLLVHTILTK -LGYGPSDVYFRPVSSEFWDGYNGQKVVVYDEFLVGSEMAERVGTEFLQLASTAHFQVPSASVENPLVGIK -GTYSHPEVVITICNHIYPVVMSIPDDALHRRRSMVVNFDFVKSAKKKGGNTVDLKAYSVDDYAKAPWVEC -RLYPAQPDGTTSRIASEKGIRFEEFLEILVQDFDQHAQVVKKLLSANMITPDSMTPAEMLSKALAQMEGV -PPGPVNIFTYVTSWLGRVGSTFLGQGPKIECKNGICTLSDSAYEVDAVEQYLGNWAVPQCPVTYHEMVVS -TVSRPSGWALIGKAATMTAAMVGIVLLARAIARRFGDANDTVTFISEGSGEPRRKKRRAKDKKSRWESID -AQSEGPFTQAELVFPHDMGNRRITVLPLKERFICTYYHSLLGLPRTKPTISVQLLYSGRRYTANVVWANV -QVDRDNDLVVMELENPQIPQFPSIINKLISEDDFELITELPVMVSLLRSGSVVPIMTTAVKAENRSYVVD -ESMVTLDLALVYQADTQPGDCGSALVVRSGPYVGKVVGIHVAGKSDDVGPSHGMAVVITRESIMSALEKK -QTPVPDDTGFVSQAVEVETLDSGQEVYLPRQTKLQPSCLSPYLNYPTKQPAILSPYDQRNSETKDPVDVF -IERLCPELPPADADVLEEVRDSMIHYYARVEGVVPWRLLSLEEAIMGLPGWLPAVNLDSSVGIPLCYQYK -HGKRELMNITAQELKLDPGFGDAVASFMKSFIAGKEQAHWLGYLKDELVSENKIDTVRTRVIYCGDVVAM -VGFRMLIGSMVANFHSACRIVPHAIGLNPYSYDMHIVQQYLSEVGNQYVAGDYKDYDNRQHPQFREVAFQ -VLKSLALKIEGMSGKCWDMIARYHRSGYVQIGNKLIKQVSGHFSGSFLTTIVNCLVNEAYIRYVFRMKCP -GRNFDENVRMKCLGDDHIICVRKGVPFGFSVIQSGLADIGQIYTSDDKKTTSEEFKAFDKISFLGACPVV -YKGRWVGSLRPDIIQRMCMWTRDKDKSTYVIANIALDYATLVPGDFYDKFKEQLLVALQKAGLPAPVLRP -RKVVATEVANRTTQSGLSFFGHGVDDLLYATQSYEWPMSAVLGELCELKAVDEVFPHVCRRCEVCKLAYG -QGRFCKAIKNSTYGAMGSCFIPQRPVAGALHFKSQGPGPRGPIRTTTLTKLDPPSEVAVARANTDASEKS -GASDHLSLEECAASYIQRVSVEWTTGQEAGSVIYKCTVPFGLFSQGTQDSIQNMPFERFTFWKGDVEVMV -QINGNPFQQGLLYVYYYPLSPTGDHLPMVNWPTTLHVTLQPGAHNSATLRIPYRFPSPVMIAQRVRRDAV -QQYDMGMLCLGVMSRLRSTETESVTATIYVRYPSSEFHGPRARGSTSTFVAQGASNSTLTNNYIYDIKDV -AGTVGISSSNADTGQQVSGSLGLEMPLDNPPLASGSVPMMPAFSGMARSMGLEPTVPLSLNPVEADRYHK -GLFEPVEQTVTFLLSRPFLHKSVEWNLSSSAGSVLWSIDFDSCLSYPFDGKTQIGVPLAVLNMFHYWRAD -LHVKIHVIKTAYHTGRLRLTAAYDLKQPDLATSTCYFNKVFDFTELEDGEFTIPYMAPTEFRRAMDSEKN -RLPNYAETYGIATVSLMVVNPLRLLSSTVSSSVDVLIYCWFENAVVTVPRSICPVMSMYHNTDLSVTKKA -KMSSSDEGHDGFVAQGPFPEVREEPTKPDRLSLGSKFEYRVANVLDLARRMIPIDMQNVLDTAAYTTVSS -QVAGVPPNACTIRVFPAHIVGIFYAAWCGTLRYRIFMPGAEELFHQITYIPLPLWSAMSRPYTQGDLAAL -AFTPSTTVTSTTTDIATAKITGAYGAITGPNEMLAPVSTKKDWIDVSVPFRSTYSYLLLPAINTSGGLYE -MFTETYPGSLVFAFTEKNFRMFQAVGDDFDFGIFRPPLDLRFIQLDDMPKPRVSTAIG ->APQ44499.1 polyprotein [Basavirus sp.] -MESFEKNVGEERRREEMARVGSNSSFVERDLVNALNVEEDCIVDAQSIVSDLVNAPNVEFNEASVSVNAQ -EPMVVEDDKFEDLTEFLEKEFDETLFDDLSSSEDSDCEIGEDFIVEYFRNRVRYIIRNNLICSHYKPNEF -KKCACMSVYRLKHGRRKYYFDELMLNDIKAILYELRMGAELKTVPYALISKIPTPSDYIRHKGIRMLCAS -ADNDLECDPRKDRIEFLQEVYELREFYGIFGDKGEADKLNKTTFEAQGIPEYLKRIWDFITSTTQTATDN -IIEVIKACFKKILEIFESVFGFISDSISKVISSMFVKLRHAIIKWVDPIKAMKDAAGKDNCFLITCVVTI -AVCLIIDIIGILSYKLSKTLIDKTIAYCQHKRMEGGFFAQGPQLDATAGLVTLVSLILGLSMGDMKVISD -KCKSFMSVVSAGLGASWLAGSLFMALPIVVQTAIKMKFGSREEKDKAIIDDWLEKSMAVIRLKKIPQVLV -SEDYYIWIRELVDSAMGLKSKIKSPMAINLFTRNLVSIMDILNLLDNYRLSKSFRDYPFSIHISAPPGYG -KTLLTSSLVRDLFSVGEVDIFDRPVSSEFWDGFIGQKVVIFDEFLIGDKEYKMRVGKEYLEAVSTKVFKP -PLASVDNPQCGIKGTVCEPIGVVTINNSLYEKVEGIPCQALWRRREAVIELKIAQDWTYLFANNKVDLSK -LTAQQIKDKVWLKFNICSPEPNKRAGLTDLTYQEMMTYMLEMRRKHMDTCDKIREGRETMIPLDRTPKQM -LEDALRELKGVPNEPIGIMDSILQVLGDFKFDAFAQGNKKPQIEGKKEEDKTEENVSVKVNPEVKSWAEQ -MDEHVRSGMNECTNLFKEQLLKISRIVAPVDMSKRMEGLKPSTSGVSAISIETSSSSDEEQYGLNYAKVL -RESVNREELSGDVREIMDEASSLPPNVPIAKIMDEASLLPPNDPIAKNIYNRINKSAKGRNSMEKLKSFH -SKVYKEVSDYIDEHREIDFDPEEETAYGATFYDVIRLAAKFNFYVIRLRRTLEKMKIEDWVPYGFNLQEL -YNINCHYNPNWVGNPDDLVLINQKETVTTLNHEGVDPTKIHRHRCHGLVAERITNQFGQQITDLGTGEEA -YRYRMCNRQFAHKHPANEVHDKLLCADCARDGVSETFYQYHSSMIQKEKPGKLPVIIPVTELLPREYDYD -YVEIDEETRDKLNKKYLEMYLAKFVDFGQIPLVFLEHCTDVEGDNCSYYYSMTPQDVWIGNIKAVARWVS -ILSLVYAVRKLFFSSEKPDDMSGNSGEVLCFSQSARPTHVTRVQSRVKRFAKAQAADIDQQVVFTIRGVE -ARGIPIKGKIFLTYYHAFYDGESMVAEGTKMLLQYGPHKGEMELSYSLMMVDPENDLAFVSITDNKFPAF -PNNTKRFLSINEVAEIKSTSVYLPFLPNPLYATAVKVERRNYSHREAGKMTTLAEAWQYKCPTKVGDCGT -MVKACGEIHPGKILGMHVAGGPAEKDMYGLAVIITKEDIVAALSPNVMDKEVPLPEFFTQGPIVRHEDYY -GPNVIKVEEIPYGDQIFVNRVSKIKRSCISKDVPIGPFKNKPILSANDKRNFDKVDPLKAMMKECCSLSF -TTSVDYDLVKTVFEDMYQYFDANLTFPFGKRTLTFEEALKGVPGKLCSMKVNTSAGFPLCKLSPKKGKTA -WFGFSPNGELHYETEFKEMVDQFAYMVENKTYDTTDRRFLAFLKDELVTDKKIHEGRNRVIYSGDLISNT -YYRMMFGSLIAAFNNSYGNTSSAIGINQYSYDMHLLYNYLTQVGNKFIAGDFKNLDKLMHPIFKRLAYDL -LMRLVADIVPKEAIYYFQTQQMSSPVQIEDVLVWLKSMHFSGLFLTTIINNVVHEGYIRYVFARLCPNEK -FSDHMRIKLLGDDHIYCVSDYVMSDITPFNIREELALLGQVYTSDNKDQELKNEFRNFNEITFLGAHPVM -IDGQYCGAMKKNTLIEMLHWTRNNNMSLREEIETAMDLATVWDREFFDDFVRMVKDAINNAEDASSLEGI -KYPLYYETRRIVALRTAASGLDFPYNFTAQGPGLPEHSITNVKSGKLVSEDHTATSMKTKFQKLALNEVS -LPLTFGTESTVYRDEFEWKTSDVVGTAIKSYDVPFGLLNNSTANNLQNMPFERFLYWKGDVKVVFQVNAT -PFQCGMLAVYFVPLAAYEAELPNITTCSCVMIQPDQSATYEINIPFMYLRSVMNTRAKATESLGTLYVTP -LAALSSVDTDQCTISMFSSFPNSEFTIPRPVEEGNKIKKFYRPTGEVQLVSNEGRSHFVAQGNSNSTVVH -QNITNVGGEMPIQDLSVEAGGSAEQSIDANMDATIPMPLDNPPLCSGAIPVQQAFSGMAASHGVRPTVDM -QLKPAALSRQHIEIFDPMETKISYLCGKKCLLTTLKLNKNMIPGTKLYEIELNTRLGVAEGAGIPINLAV -LNQFVFWRADIEFELVAAMTKFHSTRIQMVCAYGSPSVDAAERNVMYSKIMNFSSSEEGTNYSDAWCVKY -NQQTEFIRTYEGEKVSDKIQNYSLGSFAIFVQNSLIAPENVSSEIDLLLFVRFANVKVAVPRPNSPFTWN -GALDYRYGLIYSFDNPIINSGQIVTIERNKMALKLDALHWVGDAPGTGTYHSADPTASMRINYRATSGLI -VGEKRIITKFQISSDGIVLYYDDLSEEPGAVDDQARCSDYVVPWRIVEVPIEFYAQGPVIQGEQTEQTTA -EIDILPAESSTFEQTKERPNKPCKLEIGEKFEFCVSDVHEVGRRYVRIVPGNNPDLEQYMRISSTNGDIK -QTIFNIGVQPWSMWKNLYCVWAGGLKYRIFDKEFVETAFVPYYNRDPLHPGVPIVDCFNGTTFQYLDKTV -NTDDYSSGPLARERSYPISGTNFIDISTPFQSHFNMCYTNNTQLIAPISSGTLSIIKETEEKPILYNAMA -DDFRFGMYRPPEKTNFDMVAFSSGIGGFYN ->AOX15244.1 polyprotein, partial [Paroligolophus agrestis posalike virus 1] -IRDSRKPATARSNPELACFQNSSPTSEIISGNEFYLENELVVQCGVCPDCTDNMDSEDNYLDALSWGHEE -YVRQFSDAPYKTTPKSCLIPTDLSQWHPPPEISHVFGPLDQPFVYDARAPVDRIPTGWERFPNAYFEPDP -YPENDAPPPYDPQNYQNYFPLPSYEESIRFDNLIESPNPKFQKSLLDTPSEDPPQHPYYFGCDSFLDYAR -LSIDFAVTMWNPVRLTILNAPASPMCYRDKNRIKRATLSAKNHIRQNIPDLDHLLQTPDIEFSYSFEHCP -CFFRKLYMIRRLFNLHSDYGFKSSAKRYAKSQSDEEETPSSSYMTMIKNWIAGKASGTSKSIKDLLSSFF -GMFTGFFSVPGSLYEKFISSLKTSALNFLKTLIPECAMKFLTDAAFRNQSIVFVTCIALVILAISGFVTA -KVVCWIWNYMTPATKIDINLVTNNYTNDPNIARAHGPIEYAPIGLLMALFVGLFANAQNNHMKDTKDRIQ -YYLTILAAGGACYSLSKVLFQCIPHVVQSALIYRFGTEEQIENLTGCAWKEEVKMLLQVKSTAAVKISPE -YKARIEAAVSQGEKILKNLKNEGNKSLYMNLFARLLNESASVTQYHCTNKQRITPFSIHVFGKPGCGKTT -IINRILCNVLECGEENIYNRPGANDYMDGYIAQRGIVFDEFLIGSPEDVCKCADMYLKLISSTTFYPNFA -GMDNLLTGIKGTSCSPDMVVTINNQPYNRVPGYSDEALFRRRDFLIEMTKNPAWPTKPGSDGEPDLSNVT -PEQADKCSWARFRFLPTLPDRAVNIPTEFINRGRAALPGFWTRRDNGPADISEIYFDFESVLALLKEEYI -RKKKVGESLLIREPDGSIAKPKNPVEIMEEILRKQAGVVEEPLSVSDAIMSCLPKFGFAESQGQGSRHRH -TCSRCFDTKRCRKNTMCKVLTCSTCKADSMPRVSWTPHTTKDQFAIDQLPCSRHDKTICEFCSKDVLFEY -SPPLPKPESLPSSSNSLSESDSDDYEESQTTPLTSHQTFILLDAAEYKYRINPNTQCPLCAKKFLYVNRH -PLRKAICESHPLCRFSGCLNALTSDSKRNGHCALHDVVQPEINESPTPESAAIEMPIPQQPPPHFWGRMG -FTKIYDIQSCLKQKFSIAWEQFSSFMTPKILATMGIVFAAACAIRMLLKGKETPSIASQQSERHRRTNLK -NSRSSTVRKGFHPVGRGQAAVIKTVQIILPNLILNAVPVKKGTFITHLHGFQDHYTWLQSNDMKIKVGPE -SYSARLINDTVHSNPTDDYVIFSVYGPKLPHFSDITSKFIPSSENTLLHGQFATLETSHGRHCFRVSHED -PGLPYHVDDETLVEPSQSYSYVGMSRPGDCGNPVVIQDGPYAGKVIGIHVAGYDPEPNGMNVGYCNFIPL -ESIQEATQVEVPESHFNGIPARSHASYTNDDPGIESLPFINSLKTREERPNLDVIGLIPPQLAVNVPGKT -KIKPSAIAPSLPWTTEKQPAILTKDDPRNTSNSDPIINNLNILFSAQHPKCDEELVDAVYGQIHKNLIKN -MPMVAGKRQFTFEEACRGIPGILKSVDVSTSAGFPLVMTASQQGKKTWLKFYPDGTFWYDPAFRTMVEAM -VADMDAGNFTPGVYLGYLKDELVSPEKIQNVRTRVIYASNLIATVAFRMKFGAILAAFNNAWKTNTSSIG -MNIYSHDMNALYSRLIRGIGDNGRFIAGDFKAFDNNQHPYFAKKAYEVLGQIARDYGVSENAIEYLYRHE -RESFAQIGRIRYHPKSIHYSGCFYTTIINCLVNEGYFRYCFYTLHPSENFDDHVRFACLGDDHVANVSMD -VDFNQVAVASVMSTIGQTYTSDRKGEEIVPFRRFDELTFLGAHPVKIFGMWSGALKKATLQNTTCWTRDN -NKTLDQTLDQCIKLSSQWGRKFYEEYSSAILTACKRHCIVPPHVADWAITARTVAKASSSSSGTFLSVW ->APQ44489.1 polyprotein [Basavirus sp.] -MNTFKPIKPVYDSSYFHQLAIMNEKENGCVETKTNEQVEMEKEAIRATRDGAYEHIAPLDKKDNGKSLRT -MNYIRRHINHNLVKKYTMMRKFNTRQMLKDYDYKLVLAKDLDYYNPDHVTYKCGTNCVDKTYHDHLHLVM -PNLYATLTPQRKTFMIDPHEFDYDIDQFLRFVRIIFPKVDEFYKSTFKCIPDDEVRRSFWKYFEMSVLQM -SNYMKITIPDEYHKNTAYWKVYNNNEEAYQQLEDDKYYTFGQTPSNLVKWYRDAILEMMFGIVIAIDVEP -SRVLKKKIKAMRLMMAVLYRNDYTLRVASELSIPPVFLRYLYKIRQFVGINTDFGLKDEFIAQGKAKESD -NEEEAVMFHEIADEVSGPEKTSGIFERLWNKLFGFVSACGTKGFDKVKEYAKKILTWMIPECVKNLATSA -WSCLKRICLGLLALVLCYVLGPMARIFLRIVETIFKIRSMTGSSAVEVAPNITVNVMERPPKEEKTMLGK -VTDFVSQGALPGICLSSVLIAVFSWNEKTRSKIRQWCELITKMVAGGTILLASVAFLLNFLPPCIASSLR -YQFGSKEEQEMVDVQNWKMEAECLITLSTTDSQFYRNEKYAKDARETLKNGRDIIMKIVNPGTRTLVIGV -WVKLYKIVNTITRIENTTATRPPPFVIHLYGNAGVGKTTTTNTIREMLGFGSQDYYPVVPLTEYWNGYNG -HTFIFMDEFLVGGDNVDKNRSLSNFIGAANTGTWMPPLADLTNPIVGIKGTQAAPKVIVTASHDPNYFCL -GQNDSAMDRRRDLVIELTMKDDAPCNNGILNFASLTDEDVATKSWLECRFVNPLRSASSEATTKVAGMDV -NTHKKRTWTETAELIKKAYSAHANQIEKSVKRGDYEPYKENLYEEMKLSILSANHGIPQKRMTPGEMFTY -ILDSTALTGLWNKVTSTVSSLTGYTAQGKKKKFRPIQQYIEAEQTETEQQPQIEEPKTTTTTTTTTPPTS -DGDFASVNGEPMDIVEKWIAKMSYPIEVFNTWQKAKDDYAKKFETVMNFDTYAYMFTIAVMSANKTLLPI -PTRTEVIFLPADFDMHNENDYAVARHLGVLCKQELGPLETLTPKWSFAAILTAFIAVFLAITGIAYMVRR -MFGKGRDAEMELVSYVAENNPSNERARGRVSTQKAHRVTRGLRGQAPEISPVDIVLNGEAIKAIPIKERI -YLTYYHWTITSNLDIENDNMIKYRIEGPNGTLNGSLNADEDIVNIGGDRLLVRIPHKSLPNNRDITSHLI -RREDLDKIGNTAIQIVLNTGRTYKNGPAKRSLNNMGEPRNIAYAIKDADERIELEEYVEYDISTEHGDCG -SPIIAAKGPKFAHKLLGMHVAGSRQKARGVATPIYQELIQQGLEEFDDGTIEAQGNVSVCDETPVFPVFM -PEKTMIIPSPIHGMLKVPSTKEPAILTMRDPRANGVDPIQLSIHTLDSVAEVEVDKNILANISEQLYVDL -SNTLTWPVGKRQLTTEEAIIGIPSFLNSIPTNSSPGYPLFTTRRLTGKRDHVRIEANGTYEVSETLYEQI -ELMESNMRTYNGDFESINHRFYGYMKDELRTRSKIEACETRMIFCNDMVANIVFRKKCGALISAIQSSWK -DTPFAIGLNPESWNCDDIYCYLKEVGDRFYSGDFKQFDMRHVQEIRLEAYGILRKLLGKLVTDNEWNYIV -DHETRSLCQVGSTIFRTRSNHFSGCFWTTILNCLVVVLYFMYVFKRLLPEKTFLDICRIKVLGDDNIIAV -SPDLDITPKQIQKAFEELGQKFTHSEKTVEVTDQWMTYEETSFLGTHPVIVGNRYCGALRWQSLWETPQW -LQSANVDLHVLCYQMLERAAVWPREQFEEYQENLVGAFEYAGLSPLSRVPWEETRLNVARRFVESIGDLV -AQGGGEGLTTLPVETGLDTVRGELSGDRANLAGLAVNDSAKEISFGMDSIVYRETVVWTTGNTAEQVIWK -SQVPFGLLTTGETENVQNMPFTRFIYTVPSMEVLFQINATQFQQGLIVAFFAPLAHPTDTVNLPNKATIY -NYTHVRIQPNESATHVINIPMQYFRNVLNTFSGGIGEDSLGYVGLSVVVPLVTALETETSITVSTRFNST -KFTIPRPVPNTYILKDRLRFSEKYRKDILALVKPKEGKTTECEFVAQGAAQSTSNTNVTYNIENVAGSVP -VENNIGTTQSTSAEGQLDLEIPLDNPPLASGTVPMFQLLPSNSKNATLDPVMAMQHHPTMMHREPIAISD -HSETSIQXLCGKQNIRSIHQWSVTQDPGTQLVQFPLNSLLMDRNEIYNSNSGLGPELGVSLATLNMFKFF -RCDFEFTFEVIKTPFHSGRLSVSMGYGTPELEPPERNVYYNKILNYSKENSVEKWLVQYNVGTEYIRTWD -GSYIHENQDYNLGRLMVCVHNRLLAPADTVSQSVSLIVSFRLLNVCVYEMNPVPFLSNTEGYELTNTTPV -NPTQRARTKPDYNSTTSTPMSTTTTTAKPTYKKNLLNELTLLLPNKALKASQGSSRKPIPGHPYLSEING -FMYKWNGTHYTVPTEEDYLIAQGGEPIANEETEIVSDTKATPATAEETPVPRPMPCRLQLGRKFEYNVKF -IEEIYRRYTPMRINNFWTSVAPSYVNPDSDAGNMNYKFSGRIPVHLDSVFTYYFKMWSGHINYHIRMHNH -GVVYSRLVNVPNDYEASQNVMFGPPPGIFSRQWYSYLTPNQSSSQAIRVRSTDLLEVSFVPTEMATPIGG -NESFLSLSIPFNSHYLGLPTPDSRTGNAKEQYKLNSYHSFLEIIASRSVQDSEIFFFRSAGDDFKLQIFC -PRRFYYRPVAGTYNANARAYIDGNVFPYNPLS ->BAV31550.1 polyprotein, partial [Picornavirales Tottori-HG2] -KVRERRGGRDSCKHEDVAQPCGPLLRCFLTRTLFYLLVANNSDTATSSDTCPQGCATSSCLHESLPPLLS -LTFEQLTEQLISLYQDSRRRPLFRDYTRNIQAVNPSVQGDSALQSTIFAIEHIVPEPSNAWYDQGIAVAQ -SPDRVPSNIIMVDDYVLPEDVHIYPALYRALAARGFLDIIEHQGHRLLFSPALEKRFESDVATCLQSVAA -MMRLLVSYYGDNVPTSTLEHMVHLLNTWFPDFISSPLFRAFNPGDNSRLMCKVIKRHALRNSLASVYTVL -GSIAVHSDYTLPLLLCLGNDAALPQGVLTDIWGYVRAAWSAVTGVGKTIVGGAIELIKPFAESLTRSLFS -SFMSDWFPVDVFKPIVSAITSIFSPILEGFADLFQLVSDDSPPLSFLSGMCSGSTGAKITKWTLGLVIIG -TLVWLMVRISVFTADLVDGIWQTIHDAIWPATKFNYAIITPQGPTTTPLVDVLNVVISLSVALFAGWQGG -NLATRLRNTFTGFNSIHKALADSASSIIALLPACIQRACCLMSNDPSDALFAEMTDWILSANAVISVCSH -VGVLANESIQKTVLEGLASGNSLKSRYSTYVATAGKDKKTFPDFTMFNSCLTQINRLIAKIMSVNAIQAG -RPEPAVLMLYGPAGCGKSMFLTFAAELFAGLPAYNGVACPHPSVYNKVSSDQYFSGLQQDAAQILVLDEI -WRDSDANDTRGMNMQSLFLDLCSTVTYMPPMPAVEPSISGSKGTTLNPTVVLCAYNNPLPATITVDRPAL -LRRLYNSYEVVPPAWNRIGISNNVGYFQLLTSDKTNDLPIELQGRSPGFYSVPLKPKTNPQNADFEFEPD -YAKATEASLDIPLYRSLWRFRHTWYTYENNNVVEHKSDKLDTCDTVVQRIRDAVDLRVARFLGLLRDQGL -SFRTDFNAYIAKLRLAAVTGDAETEVLRDLFHNIQVEVSDFVAQHRDGVVAAQRRDLVLVEEDPETFTSA -NASPDPPAPSPAAQPEANAQPQFKWRRVLPKFKVLKRSEVQTLEDSVGFITDSLINTLPVAQPDIVVPMD -AENAVPVETAADIFAIQFSGLLDFVRTSKEMDFDATEACSFLSPSKEVCAAFPIFTHPERLQMMYDMIVR -GCPQSHEMWLRQKELHGFPERVTIQWSKDKGHALWVHGTLIRDPSQLWSVSYNNSMKTAWYGYTDSCPAH -YLNFWNDETNQQGAASAEPFALNAISDLPYSGPEQRWAYPSFPVINPGAQPYDVTHVRSPDKWSYCTRCL -RFFPPNYTSPLNICAASPEHEAHRLAWFTDTGVNANLSSLRKSVPKTDYLYFCAMAFILVVKCAGINSAL -ARLAHPQLRLTLDELKTAVSNFLPDDVRIHGALSENADSTSDFDDDATLSFFKTEADLLSNEVVFDPQNP -FLPSLPLPKPTHGHSLVHWIGVGLVCVGILTTVLRISSRLFHTDAPAFTPESALPRATTNRLQNAPKTNP -INVRKFTTSNPQSPVRELTLCSISVDGSPAIRGFIPTSSYAFTYCHGLAPYLSESTKTVTVFFDGKTASF -PFQADQFAFDSDHDLCVFFIPPNLCPPRRDLINQFPLEKDLAYGLGVNAALRIDTRDYIATTTFYPNCTY -NIPEAKRDDFSSFHHQFTFAYPIHTRPGDCGSLLRALDGPIAGSYIGMHVATARGSANSQTGVATPLYRE -LLMALVNAIDPTVVSRSLRPRQDDLRIVESLDVVAQGPSSFASRLAEVSGPNLVKIETIPVTERVNVPTT -SSYIPTEFAEDPRFAGKQPSVMTPNEHGDPAQKAFQKLANITHPPIDQDLLTRCQAEQLTKLTALNFHGV -TRELTFTEAVAGIPSLLSSLRISTSSGYPLTLLCPGKGKTSMVRIETDGRVSTTQFFYTRVLGLVDMLRR -GDPAALERYPFYWLAFLKDELRTDKKVAECNTRIIYCNSLEWMVAGRMLFGALQVAFNNNAGNSIFASGI -NVNSHDLQTIADYLRQVSLVNVLAGDYSGYDIHYHPDFQKAAYANMRDLGLARIPGFNAKAFELFVRHEL -NPVVQFGDVRMTFKSSHFSGCFFTTPENCLVNELYFMYVFYRIYPQLDWNTETRFVALGDDHLVACSSNI -PLFNARTVCDRMKELGQIYTDENKEVPNYSYKPFTECSFLGSSPQLIRGRFAGALRLSTLYSNLSHITRD -TDFHTLIETFLDLASIHPYPIFKAYLDAINEVWSLHHNVQFTDSYAARQLRQIERTANSGFGYWKPDAPN -VVTPQGPSDPTSVQAAVTPAKEQPPSSSVDVSRAVGVEYASLTAPTSSPMFLGSFTWSNTDTKDKTLFRA -QLPGKALKQLMQQTMPFAFYRYWHGDVELMFQVNGNNFQ ->APS85759.1 polyprotein, partial [Biomphalaria virus 4] -VVVYDEFLVGGSVDPDNIKIGKEYLSCVSSSKFQPPLASLDQPIVGIKGMSAKPKVVITMNNNPYDQIPG -IDTAALQRRRQFVVELCAKTGTKMVEGSPNTVDLAKIDPDNLLHFPWIGFRVISPQWYNGYHDKSTGRWY -DYELLKNTVINQYNDHCRLQDILMKALDRKIEPVDVKGLIEKELRVNYGFPSADEKGSWLQAFFASFSSK -KESSNMEACSPGKKKTYKKSKSKMVRKASFALMKVGCGIVVVKSAYNKWMLPGGLVNQGESIQQAAVRET -QEETGLLVECDRLIYQNTNSEVDAYFLEGKLKEVTLRELENVFPLRTTPCETTQIGLASVDAKGKIVIST -SHAYLEEPTLRRGTYNALMAAFHDVKLVGPKFCDRDMWSQNNLNVSSEQKVDEEFDVDNFTNDEEEEAEL -AALNATIVEGPEAAENIEPAFVRESSSETNQSQSEEDLNSLEEDITEEEFPDFPPPPYEATQYYSSPDFT -ESAVHYGLVKGITQELSEYDSCEDGSVSSLDKYYREIPEEVKEEIARQEENMLPKEEQSEGPEQNSEPIG -EEEPEEILNRARAARLDSLQKDGFCFPSNFWSKDEEESAKFARNMILMTLAKAKIDLTLVDNLNPANLKD -ICWNDSHNYKEGERTYHLVTDIPHRHQCANHYIGCKNQVFQRKLIHTEKEKCSVCKKFGVFSQADVYMTR -DLPLIDMNASTFKAQDETEEQYLSRLKREFGAFVTATELRADLCLMEATAPREILHPDQHWSNNIIDNGL -TFREWFSQACTTVKNNFFLGIGSGLMFSILIFIFITLVKKYVMGDKTVKVESLFEASSSGPKKVGKKQVN -KSRITKFSDWKFESAGGEMEQIYLTNEVDPVPTIAIPLKGKWMMMHSHYAHIFITPQHPLTIKYKGKCYT -ESVDVNAAFVDQDNDIVYYELQSRSIPQFPDYTGKFLTEAEVSDLKTMRLKARVRDSISYATGSVEGGLS -YSSPVGRYTLARCILTNMEILKGDCGTYTVLTSGLHIGKIPGFMVAGSTDGSSQGIINIVTKEMINEVLD -PHCAPMKATSEFTSRGSGNVLSVLDIDPSEKVFMPHVSSLETSAIAQLLDFQQEKEPAIMSKLDVRAEGK -DPVEVAIANLDKNEQVKVDEDILDSVAEDCFENYENNLFEWPDRELTVREAIGGIPGLLASMKVDTSPGY -PHVLYKSKTGKKDFFHFENNDLIIEPGFENMVKTRVEEFKKDYVPEHIWIGYLKDELVSKQKIADCRTRI -IYCGDVVNVVALRVLFGSTILKMNNSTETPSAIGINQYSHDMDQIYRYLTKTGCTKFIAGDYKGYDQHIQ -KPFIKKAYEILVKLSPHLPSTILDTVFQNQIESPMQLGSKRIYTRCDQKSGSLFTTVVNNLVNELYIRYS -FQKICPSLAYDQCIRAKFLGDDHVIAVLEGIGFNALTLKEQLENLGQTYTASDKSEHMQAYQSFEEITFL -GAHPRLLGGLYTGAIRKSTLYQAPCWTKDKNKSLLQTCEAMVELASQWDREFYETYHTKMDKAIFRHAGV -RLKYLPFNQLRRQVAGRTTFSGKDFGFNKLDFQACGNEGIVTVVGANVVPNSGKGAIELISGRLSANSKM -NVTEGTETVMFRETIQWTESQVEGSRLAEYEMPWDLLQLNSQDNIQNMPFDRFIYWRGDMEVTVQLTGNQ -FQQGMLAVYFDPLGRNTGTMTAQIGVSTYPGVLHARLTPANNTCATIRIPFRFWRSRLNTFAKAEFDEIL -GLFQIQVISKLRDPAGTGVSVSVFSRFPNSQFSLPRPLAFTAAGNTSSVINNIGMMSGRISLEGPKQIGM -QNSASVSNQNNARMTAAGAGAVDMDKPIIGGATLPVMGLFPSMANTEGAEFTNTLATKPNELSYQHIGQA -DPTDTNIADMLARPTLWKVSTLTGSTLPGAVLMEFPLNSVMNDNPAGIDNNLTLPCKGNLHILNMFTFWK -ADIVLEFVAVKSDFHSFKLKVIVGYGAPGIGSADNAYVTSVLDFNREQSTHKITIPYNQVTEYLITECGG -ALLDRLQNTSLGFLSVRVLNRLTHPTTVSDQVDIKVYGSFINAKVAHPRPLPFFGLSTNGAINLSNTQEW -DYVAASNDTAFKACGNEAQNPSGAFNENKTVIPDGPANANDGIAVTNVETKVPTKSCESKPLEKFMHPIG -DMLELARRPCFMLPDSNGNPFGMFNFVKTTVTTGKAWKITPGLWSVFYRLYRGWAGSITVRLHVTTTKPT -KVIWVPVAQWTDKTLTTDISAALLMKTTAKFPGSAGIGLRSRNQGFGIGAMDELYPLNGRTTTTVTLPYT -SIQDFTYNGSKSIVPGQLIVATEDDTAEIHIYVSAADDFRLFGYIPYENGYFRPRIVGNFGYNPGAERPS -MAGYLP ->APQ44502.1 polyprotein [Basavirus sp.] -MKEAYNSLDKIFGQNCSNMSSCKNSPLETFERRDGDEIRQPMSRWASAPDLVRASHPEQMISPGHYFCGQ -YVPDLRVDPRRGQFLPLDSDKRKARVQRRLQDKLLRRMPPLPDLTGPGYFQTPKPSPELEMEWDSYGLDP -YGLIQPDPELEWEEFSTPSVFQTQGGGCTFYPQFIDRQRYHDEVMELDSDIIVLKMMKLLYLPGDELPYV -IIPNLMDNFAKRAMLQLRFDNRLTPEMWFMIKELCGLQHVENGDEKSRMASDILMRHAYFLRPDMLREEV -LSLVYEAAGMVLDYNFLLVRRILGGAYYTCQIGRDGSTQVQLIHGILADPTEPPPKSWLTTCAEKIHKWI -YPQGQGVPPETTTQAFCQKVYETLASTFSCLGMASSTIASKAKDFIMAMFKVLLGPIDKAIGKHVGPVVF -TFKMIVLLIVAGLVAWLYCTASSQYLMGCALRSGVAIIQSGSDAVRIAVDDYADEVRQRKGLGKFQMQGG -TEFSVLSAILIMVSTILGLTTADEKYLNGRLSTILKIVSTGTIVTALGSQFLLLLPIAMREGIVRRFGSP -QEAYALECNAFLVEARALIVLSKNVDVVLSKTYSERIQPLVNTCLDLMKRGKPGPMSNLLLSISSQLMRI -KQIISSFDHARPVRRTPYGLHIYSSPGVGKSLAVNRIMADVFGIRNPWTYAKGDDFWTGYGRQEGMIWDE -FMVGDVNERQQNAKTWLSVMSATDFRPNMASVDDPTIGIKGTPIYHLNTVITCNNNPIQEAPGYDEVAIN -RRHNYKVLLTIKPQWRHNWNEQTGKFKFDGMTQAQMRDLDWLNFQVYDYFTKTVTAPLCYLDFKAILKED -VKAFRETTDALCSAIYKDQFSEEDCEAEISKVIAEFTGVPGTKYSLFDIIQEAIGTTVSVFTSKISTAKE -WVSKFTTQGGRDSPSRKGRKKNNSSPKPQSCSSTDDEEPKAPRKQGKSKFPKFKDSDIVTPVFTDEFRPP -EYKMPPNPEKYKENLKKLDDMVLTITEVQDDSDPKRSSSEGDLSEAVVSFIPPKTEKDYEREGAKPKGFR -AQADAVANEAMTQVLQENADKIKRHHKIVAVKNVKETMTATSQGADVDVECEYKLEVHTNPVGEALGARS -LGPNFLKVNGVEYFGDLMDLQHPLTKKPGDPPSFIPKFRVEHLSPSGGKMKFVPVDENYQDIVSSESEYG -SLENLDTIRRERLSGEPFGSEQIYDIANSLEGRVYPDSDYRKIFEEFCQERKIPEGHKARIWNNIMPGFD -KDKIVASTLYVQGDEVHHLGTVSMPFFFDDSVEPCTILTTEETDVIMSADAAPWRTSEPLKCYLTNLIMA -KQQGVSSLDGFVTRITDEGVNTRVPGPFIHSALAAADVPMADPERVGSTPKGWFQGLSVKGFILSVCSLF -LIVYCLYRFIWRGGVTETPEADDAQCFVAQSKDEKAVRTGKNFKFTRPQKATFTTNSGVDSLVRIKNPDG -SLWAIPLDNTHLIIPYHFSIDKNGTHWAENTMFEISYRGKTGSFPFLMANLTIFNNQDLAILEIPVNHAK -DVRIQFKKAKVKSLFLTESEIQSLSGVKVQIEKNGQLFISRGIFATNLTSHSDSLRVKTQSAIMYDFPGN -CGDCGLPVLVASGPYAGKIVSIHTACNIEDGRSYGPIIASEMIEMALASEGDPLEEVPISGDFKMQGPDE -GEKGDSETANVIVQLKSCPGVVSAGWAERRLRVPRNTALRPSAISSFLDWKPAKTYPLMSKFDRRIPLDV -DPGYKALLNAGSFEPPNWDMDLLKGITEDLKERYATIDAPMSDRLLTFEETIAGVPGVIKCMDSKTSSGY -PWILHESRFGKKGLFYFDQDILHINSRCKEHFEEIEESMLRGERQDTRYLAYVKDELMKETKVQQGKCRL -IFSFSAIHNMVMRKYFGYAIARFQHGCENFPPTLGINPSSFDMDRLHRYMTELGPCDYVAGDYKNFDRST -SREVLEAAMDIICHLAPKDCPEVMKQGVKRLVLDSPVQYEGILVTYRGYHPSGCLFTTIINCLVNEIYFR -YIFTKRFPLRNFDHYCRLKVHGDDHILSVKRNDEGVRLTPVVIGEELSKLNLLYTMDVKDQELTDEYREF -DQITYLGCEPKLIFGLWCGVLKEEILNEMLHWTRNKNLTLLEEMKCAVILSSVRGREFYTKYRESVQNAA -NIVGLPLDLTNVTWHQSMRDVGNRVTGNTDGYMPLDIFRSELEPDPPEKIVVRSFNSYQTQQGDDAQLPS -VLTKFDETRAVKEQNNRVLSWKSTSIQEIPFNMTTGTESFVVRESLTWTTDDIVGSTLASWSWPHKILSL -GDQDNLQNMPFQKNQFFEGDCEVMVQATGGPFLQGLLVLFHVYGNDLPDTSPFAYASIMSSPHVLIDASR -ASTSTLRASFRYFCNVLQTDGLSEVGKFYLVCYVPLRTTDDTAKVALTMYSKFPDSDFTIPRMVPSASRG -PRVITFDILKSTGVVQSTDNYELQGFGDFISGLFSPIVTIGKGIVDIAGGVVKTVTGAGQLFSFLGFDNP -PISAHMPVALTYPNLSNIIGPRHVRTLGASNQELASRRAVRAIDEEADIGWLGSRKCLFTVLTWKTSQTE -GTELLTMSLDNLMGRAPGDTDVPMNVALINQFWFWRGDVKLTFLAVKSRFHVGRLNCSVAYATDSYLPGQ -VTTNYNQILDFTEGNDACEVLIPYNAKTSYLAGTGFDLDSSLGVLKVTVRQNLIAPSTVFPDISVLVLIQ -FENLKVAVPRPVPPIIIEGFSAPTSFITQQGEDVTDRTIDTGESAHIETTATGSVPQEPPTRLELGELFE -YPIRNLSELTRRYIPVDFISQGVKPLDGGGEIHRGICWSNHWARALYTYWTGGFCWRVFAPSENNGKVPS -IVYTPYNGFGGNQMMPNGFNNGAQNYTFDRTPQTGNVENLNFGGSGLWIDVRSPMYSVYNYLYTEQSSDE -QRAKQFSACGSVFTNFKPIQAFQAGADDFRFHCYRPPVKCSFSTSDGALAEGIYAHPM ->APQ44537.1 polyprotein, partial [Posavirus sp.] -QQPEKQTLLVKSLIGIFTMIRDFTNNVCFSGCCKIAVDESIDAIFERYPIEYLSSCLDYSMVTSELGCCD -DDIGKFVRPEFCKCDIVFHKKYPRVDVSIRVKSCLSINDPSVDGFDTDFFYLPDLYTFIQGCLFLVEEFG -QEGELVLDFEDIYKFVKTYNNTHSEMTWISLLPQLCVALDASKIYEDVGVLKCDSSVACFSDVETASIYF -YWKNVAISAYNNGDYFRSLIAMFNMWDLLSAFTDYDTSKLLFIDVLNIDPICCNISPEGITDTISNFFKG -WAGKTKATFSSIVKKCVDSCLGLVQQWLSESVWKNLSEAIYGFLDSVIDPFHLLDDLFDKLCTVWKKEDD -TAALVDKKHTAGDYGLRWGRLVCKFIVVIVTVILGVLTYANWDNLMAVVGISKTEVTDGPIVPEGTNSFA -SDFITVLSLALTPILGSSCKDEHVDLIQLTRDAMSFTNFGKTVGNAFVAALNVLPDCLSGILCPIFGDEK -QKIAYYTNAMISRSDVILEYSKVSSIYSQQGFKDELADTLRWAQPIMKSYATNSAMNEFRNRYNLLCRIS -QQLTVSQNMKTPRNIPFWIHIAARPGVGKSTSCRYIIDYILENFEIGHRKLHGVWYRCPADPFYTAYQGQ -EVVVWDEFLNEADPKKLAEDMNEMLSICSSTPYFPPLPSVDDGSSVGVKGTPFRPVVIITMNNNLSVNSD -KEDIEIALMRRRNMCIVVQPNPAYADKFDFEWHFDTSKADESAIVSSGNHLLFEFYNKNCFVIREPNEEL -NIMHPGVVSYNNLFGVLKFYYDNHVKVNSLFNPNVEDLLFDDAKKVRIGNHFVYETNIADPEAGRTFVEG -DKVIVLKRKCEHIPEGVTEVDVVMNDGKKCVTFIDPKTNKQVFVDIDLVIGSLGIVKNRSRLDTLSNEPM -YDESRGITDHYLEFRFGSRRYLDQDTINVYIAEFCRKYQYHKFTSRDLDNMRLSLSSLLQVKQGTDIYYY -FDLTKFNGWGYYLFEFVNEKINNEDLIPVEQIVEKVEIAKTWVMGSDTNEILFKTFGIKLVYIEKEDRVE -LWVPREFENYCRFYPVDAKDENFKTYVLDAPCTDEPNGDKVGRFKLHNYHRWLLNAISIYYTAKGTKFSS -TYKKRPEVCKNLFRDYISYYMVPAMEKDKAMAGVPAFKVYGTLNPKFTVNFYKNDQTYDLKLHNVFRIDE -DKQERILPCIGSSVKGEIDYEDYFTRFSLGLYAKYIKDNNKTLGEFYEDFVADDWLTFFYRFGITVDTDD -LISSGIDLRATPRVLDAGNALAILGLIDYKLRGDVPVEDDGVIDFLDDIDERVDDMKKKRLLNSFDLSDN -QIFNAGGITAANFKNVTLSGDVNEICQFVARVYCFRLNNSRLIVIANNSHDDLMNFLGSSFQYKMIEFTI -PAEVKAVGSVAWNYSNNYCAIVVEDITKISDHNKIILRRMCNIGVPKLKFYFHRFFSWFKDYLADIKVNV -RALSVGKMLDTVFGPQFTDLKHVADCEGISLARAWGSKIGHCVATASIITSIGYFLWTRNSKKDECAPIL -PEDDDSPTKAQASGRSFEMMNLRKESEMVISGAEIRRKLKSARGLPAPEAYQYVDVYFSGPNFEGRGIAV -ANNHIITHYHSVANEILSATDNPRVTVTVFNSGKRYTGSVDPDSLKMIPNYDLCSFVFDCINWNPIRENL -SCFLSKADFFNLHIAGNNRKGFIKLDDYRYTFDYYYSQAKIHYDFTPVTDNETRTVYLSEYLLYNVNSQN -GWCGSVVLTNRQGSTPAIVGMHVAGQNLSTGHCAYATILYREKVEALIGIRNLDETVVQQVSGKITPESK -NVMEISDCPSDWRYFVPSTSKYHLSIVGEDEVFDNPVEPAILTKKDYRAKGVDPFEYGLNQLMNNEQAKV -DGDLLKRVKNELIEKFHKDNAIRMPPRVLTLDEAISGIAGFLSPMNSKSGLGYPSSAYYSSKKRDMFDHA -REEVINPHFRADMEDYIKKWNNGEIREIFVEAYLKDELQKLSKIEEVRTRLTFCFDTRFNIMTRMRFGYA -LGVLVNMHNEYGSGINPCSKELDYYIYKYLSEVANGDKKCFIAGDYKAFDIHMQSAFKEAAYDVIYSFLE -QFISREEWDRFVYLSSHPWVRIHNKMYKFVSANFSGNVFTTVLNNIVNSLMIRYIYYLEHDKYKYDEVVR -GVFCGDDHVLCVDRSKVEFSGKDLARLMPTLNQVYTSDIKDAEIEDYREFKDISFLGCIPKLIDGYYVGA -LKKSTLESHINWVRDDEVFDAVVDAFVMYAAVHGLEYYQEYCQKIISCYIRRGKRFYFRPYESTVYMLVN -NLSGNALPTIESNVTTTFSKPVEIGVPAETAYINPSQMNNSEDVGAVATNYLWKDDFRWSTTHAKGAVIY -SRTIYDLVSSKTTDVQATMFRQFMYFSSDFSIRVMTNGNAFQQGMLRLVYVPYNDTYNFNYTDLMNFNGI -NILPTGSGDLCLDIPFTYNTALARCVPKYKSDVAPTNEVSNVQSLGSIHFVVLSPLAYTSGQDVSMSIYL -ALQNPNFQIPVPYIVPESNVSQAPNPVPPPIPAEATNADLIKENPSQVKTQSEISKFKLHKRLAYRNKGK -RQNKKTVNNLKGKPMGRKRSDLKGDVRKGPKFTKDERRAYAKKMYLKNQYLRREFLRGEGDLTAYAGCEK -KNFFLKKKKKFSAAWDDVTKILMAPSDKKVEDIVTMLSATMVNGFGLGANFIGPVCDKIENMAHVFLKSG -SYEPTPEFIESVMSVGKLLMFFDKPMDFDLDSFVPKYPSMSNAITAVPTYSMQLDPSAIQGKGRAVLGGI -DTNIQSLLSKSHLFQFNWANTDATLTSKLSFPCNSLLSDSAPSDNACSVPIFVLNHFKYWSSDIQLKFHF -VKTPFHTGRLRVICGYGCYGVSEAQAMRTTSFTTIINISDEDDNKTVVIPYVSMFDMLETAYSNKTGWNH -GDTNSFGYVVIEVANVLSTSVSNIKPSIDVLVEASFINSRVCVPRSISLFDTTPSSIGIKPESFVAGEVA -IEQDGDSVEVDVHDDDIESHAESEEIAIQAGMAPEFDETNAHQVTTIYEVLRRYQLLSEVDYSYSVNTTK -NVGNLFVSTNFNFGLSTLFITQTGGLNYRIFIGAGSRLLVNYVPRYYGRNSYKYLTNVGNIPSIKPVVGY -GVTASNLHTNHPVERSYLAGNEGWVDVHLPYQSIVDFAVYDSYGELSATIINDNGKVPSYIEVWISAGDD -FTVGNFLPPKHYYVTGVSNTTKDSLMVGDILA ->APQ44496.1 polyprotein [Basavirus sp.] -MEALMKKQNIINEITNEVFFHENEKIRSMTDYIIYNEKCQRLYLQILNDCWLYAEKDYPLLIIENIKNFK -ESEAVKSGTWRACPKLQNDHVLNKKIDSDKFEAVICSVFYTILSCEKLPITTTEIKDFCNVTLEESVIMN -LRMIDDEELTNLFLNVFDRVFGRNYENREYSVKNVATKYSSLKSILKKMKLFIVHREKDEDVEFVPEGDD -EEFITGTEDEPTNGHEGLPFGIDRMMPYKSYTINLNSENPMIETDSEDEIDHFDYKSHVHKITHRYCNHK -AIKCPCMYSCRYINPENPFGKHKGYKIDEISYRDLCAILSELPTCLVSEDPRVDYRNHFVVRIALTTADK -NIHSGCSRSKEEIMQKINRLRGFYLDELSPGIRLQYEKFVAEEDDEEQPASLIDRMKAIFDSSVNCLKEG -VEKIIRVIKKMVLTVSEFVSAKISSLIETLFTKISNFVINQFDVEAFIKNRILKLKDGALTRGKKVAFFL -IVLMFLVATEVLGIFVFKFASNVIFKITDMFTGEKDVFDITKTDVKFTPEGMGPISAISAMTCAGFGLAT -GQTRVIKEKCDFLNSVIRAGSGISLLMGAVFVILPTVFKDSMVMAWGTPEEKDAIICEDWIIKSTCVLRL -SKIAKILASPEMSKWVKEQIGLVPSLIQKITTSAHRSMVLKIYSDLLKISSNLEQYHNGDNSREVPYSFH -LSADPGFGKSLLAPVLINRAFDYSPADVYTRNQTEEYWSGYIAQPVVFIDEFLVNKDPQVVNRAADEYLK -LISPSKFTPEFASTDNIVMGLKGTTVSPTIVITANNTIYGTVAGYPKGALDRRRRFVVEMIQNPQRRDLW -SAANQINVSKMSVEDLNNAAWLKFNIKNNSRENPVTIHLNMNFRQLIAFLRDDMRRYQDDCRKMKEAFAQ -DNDCIEDPTEKLIAMMAEMKGAPRGTINYDNPIGTFFNTAASYFAEGNNRKNKKKGSRSSGSEKEDTPSQ -EERVTMLPPFARKPQESDNEQGPSRHPDTPLTCIINSNVEESDSDAEGYGSTASNHEENNEIGERVKTII -ANKDIASDIYCTNVDRNMIHRHICLCCNKDTIVQRCDGTMFLRCASCERINRRIPQEQITRLVLQESLII -SEEEMTSLREQRIEDIKQMLAASRMNVVYGDGFPITIWEELGIGKDHYTFANKLKNYWISHAKTFSAIMS -VYVGIVFIRRLLNKKARETEPSTLTFVPEDPSEPMKKTTQFSRKNRYLATNWRGESSAFTGLKYYLKVNG -KVLSQQNGMPICSSKFITHRHSLIDENGHLYSHGEITISYKDTIDTVPYSCNMIRELKINDVTCDFVMIC -LPPRMKINGFPNMLNKFWREEDFARFNGGDVCIVQPDGSTATTRVSIQQHRNYAYKNTIIHTPTAFTYTG -IGNGPGWCGLLLESYGNVCPGMYIGMHVAGSGTKGRSDGLYGLALPITREMLEQLINYNDTSEVPDDVDF -KAESNVFQGPGLVDCEILPPRERVILSRVSKIRPSVIAPEMPETPKKHLPLLSPNDPRAENEDPLVNMIN -DTLSKLSPRCDESRADRAVLGTMYHMRKNLTWIFPKRRLTFEEAVGGIPGLLTSMNRFTSAGYPLCKITK -GRGKREYFWFDDSGKLCYDPLYKTLVMNFIEKFDRGECEKGRFVAYLKDELVSEKKIKQKRCRIIYGGDM -IANTAFRMIFGSFVIAYNHSYDKLSHAVGLNQYSYDMDIIHSYLLEVGNNFVAGDFKGWDKNMNAYLQKK -VYYGIMQTCSSLINSRNYESFYEHQVKAPVIVEKHLLTFANTQFSGCFFTTILNCLVHDAMLRYIFELNC -EKFGRNLIFEEHVRAKILGDDHIYSFSDEAAKFMNPKNIQEAYKELGAEYTDDQKEAEVSEEFRKFEDLT -FLGAHPRLIKGRWVGALKKDTITEMVLWTRDFNEDLLARCQTSIEMSSLWGEEYYLERAASINRALRRVN -YPQIEVKPWELMVYEVSNRTAASEATYPRYTAEGNEGLVNLNASDVKVSDSLNKTTMMTTLRNKAVAELP -QDLAFGLESTLFRESFEWSTSDIPGKAIKTIPIPFGLLELGDSDNVQNMPFDRFLFWNGDVKLTFQVNGT -PFMCGLLAVYFMPLASYECELANISTTNHVYLQPDKNNTVEITIPFLYFRTVMNTVARATESLGTVFVTP -ISPLSSVGGEPVSISLYSSFHNSSFSIPRPLPVKNRKPQRFYRVTGVQESNDLTLPTTFIAEGAGQSTNV -TNNYTNVGGTMPISDITNTPTADLAFAPDITTDLKVPVGLDNPPLSSGAIPVEQAFPGFSNSYGIRPTRD -MQLMPSTFSRQQCMIFDPAETRIDVNCMRNCLMTTIPLNTSMPTNTALVELSLDSRLNIAVGNNIPVNVA -VLNQFHFWRGDIEFTFVLVRTQYHSCRLQGVVAYGVDAIEEGSRSVAYSNIMDFSGENSVCSMTIQFNAQ -TEFLRTYEGSKVVDPTQNHSLGTFGLYIANQLVAPDTVPQTIDVLMYVRFLNVKVAVPRAFSPFTWNGYG -QLEASEKKDILVTGIPQPVATEPMPAWGVAIARLGYLPLLTTDQNVNALEPGIYTARGRLLFINTIKQNW -ASEIKSILIYADGNNKRLGFLADNCTVGYIFPQGDGFFANTPYLDQRWAGFNIALTSETITVYEPILPTF -FAEGNENEITDVDHVETSEITHDSEERPNVPHKVEPLSKFEFCPTDIVEIGRRYVRVEFIQNPNLDQVVQ -TTFLKSGSRETTYIQAATQVCSMWRGLFAAWAGSIKYRFFIEDSEYLEILFQPFFNGGGQFNLSAGDVIR -GTVLSINDKEIVADTSVVGPYAREMGYPSWKRQYVDVSVPFQSHFNFLFTSKTQEVAPISSGTITLSGAQ -TGEDIRVYSAFGDDLRLGVYRPPLRTSLSLSAFTDGLNGYWA ->ALE31140.1 polyprotein, partial [Husavirus ACS200] -LAVLWLRTVPTHWEQFLTRELPEEALLLLPAQRVEITLFFLIMEKNNVISTLCAGSNNSASSGSSLVKNC -SQCVGNNSARVLDDSAKNLPTNIICGSPACRVMLLRNNPELAPFAVLANPLTEVQADVPATLAPVSGSVH -LSCDKDKALRETVKQAGGEVKTELARLPKSKELKQSLSTVARVLPTKVPLAPLATMARRAKRRQLNAALK -SIVAVVSNWNRSFDVAPQTGQPLCSRRYPALSNKMDVARCQKWVEEHHLPEEYYWHMDRSDLCVVEIQSW -NSDPQACRTSETDRYDALTFNPRAGPYYANGDEVECYSKRFDKVLPILARDFELENIRDLRLWKTRHDRE -RLEFALNIVFMLIWPVYRPISAVMMMDQVRARSWAITSALVTLMEAHTPVTVEAVDSLVDPFMRAWERWH -PALACIYDELARKEEIKLYHMFHVAYDLPPLIRVRITACPNTVDELTELPEAQGVFSSKVDCEAKLVQDS -KSVSGWVMSWLDGPMAWLKSSFGCAWQSVTGLCDSIVDWFSKKVLKSLGLPQVSKTVWLLIGIVVLLIVV -ALCTTIGVLTAKAVNAIMNTVVEAATAVNDDPVVPQGLDSSQPLSYLCGVASAISTGEKASVLRSFANTL -KSVDTVVSIAKKPIMWVWELLKSIPYVSDLLARPSEDCIALKWLTDVNTALSVCDDPRCVNNPTSFSVLL -DLIKQYESNMKVFAKSSLRSSVAVAFKTLYNRRMAMKRAMAGQTVRAQPGCVWFVGPPGMGKTTTMSCLQ -SAMVDVSILNREVHDYTVFTYAKTADGFWNGFNEAAHDIIVMDEMGGMKEPIFSEVWSSFLTLVSNGEFR -PNIASINAADGATKNSIARPTLVFLGSNEVETKYGDPDAVLRRVNWFVEPMPRCLHLDDASLMNVGDVVT -TARLDELLIKSDDGRYRGPPLETAWNETFENPVMLCTTQNDPVAKAYWFEPLEGGDWKLVSKRAATMQEL -TSAYRYEVYSMRRPKGGIEVHNRHEVDYPGLVELLTEVRLAVIHEQLMIESYKDGSKFDFEAEREKNFRA -IMCGRNPTELPTAQGFKKVEKIAKNVLGKIRTKRPSLKKKQTTSALTQAVKSGDVNVSLNQTLDATVFST -TNPLGMTPVNDVVHLSSLQLVDLILEGPVSVGKKAYNITRPKVDRTPSEPVQNFLYEMQDAARKQLQSAK -QWKPRTEGDVQAVLLTPLEKEELEQVYGSALADAMVVYLGALNASSADLGERVQHYVAVYEDGFSIVDSE -AHHIFIKDGQGMRLPAPCSSYCYRDWEAWKEPWGMIVAAQPAPLSPLAKFRRSEHWDVAKWQPGESGFQL -DDISFENWKNLVAHSYLPHPAVYGPAFPYYAAYCASLRESQLATFCDILTPIKCPDAPYTVREMASKIKD -TVISHWKSIAAVSVSLAVAVFVVYKGLARLFCKDDVEAAETEIDMQGKKQDDDSGYPPKNRRFKPRKREL -QDGWIYYEPDFGVNWAQSRDVRSMFVELEVNGVPATGWMPLDRIVLTYSHVWPTDTEKVTVKLNGTEFEV -AKQETLGWNSKDLIAFKAPNTVNARPNITSMFLSDEELNNMGQFRCERIGTTDRATNMIGTPCASADYNV -RGMPRTLQDVFTYNGVTHAGDCGDAVVISVGPYAGRVAGMHVAGNASTTRPLAIAQFVVREMIYAAAKRF -GIPYTEKGGASVNVQGLSQEIAAEILDLPNVERVDVVSSDFTVNLPRESRYVRTVFAEDPDLPHEQQPSL -LRKDPVLSDGRDPAVAALRRIAEVDSPVIDEKSLPRIQEEIIDHLEGVFQRQNTFKWRELTVEEAIAGIP -GYMNPINLASSAGWPHVLNSRLRGKYDFVERSGDSYKPTESFKAEVLDLVRAMKEGDMEYIDSYQFRWVA -YLKDEMRDNEKIKVNKTRMIFCNSAQFIVAARIMFGSVLIAFNHCNCDGISAIGMNMCSYDAQELYSYLT -ERGYTKFIAGDYSSFDLNYHPVVQTYSYGVLEHFARKIEGFSQTAWNLFVQHETTPIVQISDALVTFKHC -HLSGNFFTTQENIIQNCMYFMYVFYLHYPGRVFFECVIPSFLGDDHILSVCDDVPEFNAKQICEDMKRLG -QKYTDDKKEIPTYEYRSFEESTYLGCSFRKIEGAYVGLLREKTLLNHLDYAGSSETLDVIVDTFLNYMSL -YPEDKFNSYLGIIRRYIPEAKGLYHSRQQLQANTYTCYRPLVAQGPRMADATSTASPGEQLADSIVDDVV -GERERNVTSGDVEEVVDDATQEPVPVRKVYPGNKEVLTKVNAVYDTSSQYVPEYNPGQLSTRALNAGEAD -LAMGADAEALVSTFTWSSTDTQGKELFSLALPSGALRSAELTTAMMTMPFRYMTYWRGDMEIEFHSTTSQ -FTSGALIVYWMPLVSTATEFPNMTVAPHVFLNADAGGIAKLKVPYQYPTSVVNTYDLVSSTLGLGTVHVA -VFAPLNVSTATSDITVSVYFRCVNSHFYCPREPYAIESVVAQGRESGKSGMCSVGQIADQTMLGSVVHHA -VRRANNLLEHTYLPLDDTPVRGGTSPMQPQFATASGAAPHPGQSFQLNDRVLYSQHTLAFDPAETKIDFL -CGKECVVRTFAWSSTDAKGKTLLKMNLDSLLGASPSDADPFPMNLAVLNQFQFWHCDFEFALYVWKTSYH -SGRLRVFMDYSGQGASGNHVYNQPLDFTQRQTIAYWMVPWNCATEFLRTGYAYGPGTSRSIGTMKLEVVN -KLVTNSDIVAPTISCVLTVRCVNVRVAVPMAVSPCVFDNKVGKITSKPATSTASMTDLVAQGAEEVSANA -VPSRSAVMSITGTHGDNSQVQQEALGEEPSRQFGHVVKDVMEVYRRAYMRPVGVSTYTVTDRQVNSPKVG -LILTASIPPGPFDALYAGFAGSIRYRVFCQNGLWAAYINLYSDAEDLLPILWGNSMTIGQLVFNFSGEAG -RPAFEFLAPLGNGSSAYVDVDVPYQSEFVYQCGSVGAYSGGAVFLQSAMTATPSSQVYAAAGDDAQFGVF -HAPRYCRYGSFGGSKITLGGLKFSS ->BAV31552.1 polyprotein, partial [Picornavirales Tottori-HG4] -MSQVTNSINMMMSSELQSRCARDNEEDHCAPEAPRVAARKPAPTFSSSNKKVQSVKQIIAGSPVCRFMLE -AENPELSEFIVDASSPFGEPVRNPSEGLYLPGDGDYLWEVADVPRPNESKRLIDIAVNRTRYWKDRAVRT -MVNLCMTKRSDDEDLRETMRSLYDYQIQRSSAALKSLVAVADNWNRIYCPVTQPPALMKKLMEERADWDG -KTLNPRRFYTNPDSRYVGRLSTWLYDFKLSARDQMVLSEAAIAYRKGDPTLIRAFESAYCSVATRKYLCA -FPGYAEAYSRDIASTIDALKRGCEYNSIAVLRLFPNARDEKMMNFALNLVLMMITPAYRPVVGVLAMPRE -QARAWAYVSAAISLVRRGYAASREKIEYQVSQFMKQWAKWHNAICDYAAFLVARGEKPLTVQWMFTLALH -LRCSRVGRIVNPDFHPECQIAQGAFFSKADVEEAETLLKDGDTTKNWILGWFDRSLGWMKRGFGSAWSLA -TGLCDNVISWLTNKVSKTLRWHAITDGRTWLFFGVCILLMIVALCVTVGVLTGRAVNAIMDSIVALSSPD -DEQFGQGVDIDKPLSTLMHVCTSVATSDKAVALRSMASMLKSVDVIVSVGKKPLMWVWTLMKDIPFVADL -LVRPSEDVRAMRWLTAVNTALTVCDDPRCVNNQASFDELHKLIQDYNANIDVYAKSSLRSSVAAAYKTLY -SRRAAMARAMQGQTPRAQPGCIWFLAAPGMGKTTTMSALKSEMTDISILNRDVHDYTVFTYAKTADGFWN -GFNEAAHDIIIMDEMGGMKDPLYSEVWTSFLTLVSNAEFRPNIASITPGDGATKSSIARPTLVFLGSNEV -EADYGDPDAILRRVNWFVAPQPRCLRLNQPMQVGDVVSQDVIEDLLIKGMDRVYHGPVLTPTWYDSFGER -LVLFTVQNDPDNKAYWFSVDEAKHQYKLVSTRSATMEELVTAYNYEICTMRRVDGAVNVYNKDEVSYAEL -VRLIDKVRREVVHEQLMLESYQDGSAFDFEAERKKNLERILCGIHPGGELEAQGFKKVTTQKGMLTRVLK -KVLAHEPEPEVPSIVAEAVKAGDIETNFNTSFTATIPSDNKLGIEVEGGVGVVNSLQLGDFRFTGPAKIH -DVVYNPVHIPVETVPNGVVKNIVYDEQESARQKIMSCKQWVPKVKGDVSALLMTPMEYDDLKAFFGADMA -EAMCIYLGALNATAMENGRIVQHYIAVRANDFSIVDSTGSYVYLESGTETWLPSPLASYSRFTGVWSRPT -GLLCASRPVPVTSLSKFERKVGWSISDWGLGSDNCIQLDKTAYKHWCQMVAMSYIPHPNVYGPVFPYYLA -YASALQETRDASMLDVVTPQKESDVPYTERTASVATVGKSIANHWRTITLACLGLAAAIYALYKGIAYLF -APKEVEAADQEIAQGKNPASEQEERSRSPSPIHRRVHYSQSSRGERGYLFGQGRDVKSMFVELNVNGTPI -TAWMPLDRLVITYAHSWPTDSEAHVVLEGKEFDVSKQDIHSWKDKDLIMFKAPNTINARPNITSLFLSDD -DLRNIGQFRCEKLSINGRMSNMIACPLASMDYRVGGVLMTCSDVFTYNGVTHAGDCGDAILISVGPYAGR -VAGMHIAGNANVSRPVATGEFVFRELIYEAATDFGISFTEKGGATVNPQGLSFEIADEVLGLPNVERVDV -VDSDHTVNLPRESKFVRTEFADDPDLPHEQQPSLLRKDPILSDGRDPAVAAIRRIAEVDSPEISERPLPR -IQEEVISHFESVFTRQNTFKWRELTVEEAISGIPGFINPVNLSSSAGWPHILNSRLRGKYDFVERVGDHY -APTPEFKAEVLELVRAMKEGDTEYIDQYDFRWIAFLKDEMRDNEKIRANKTRMIFCNSAQFIVAARVMFG -SLLAAFNHCNCDGVCAIGLNMCSYDAQELYNYLTQRGYTKFIAGDYSSFDLNYHPVVQRYSYGVLEHFAR -KVEGFSQRAWDLFVSHEMTPRVQIGDALIEFKHCHLSGNFFTTQENVIQNCMYFMYVFYSHYPQYSFFDH -VVASFLGDDHIIAVADDVPEFNARQICEDMKMLGQKYTDDKKQVPDYDYRSFEESTYLGCAFRKVEGAYV -GMLRMKTLLNHLDYMGDLETVPVVADTFLNYMSLYPEEEFDRYYEIVKRHLPTIERLYHSRQQRQASSCT -CYRPMLAQGPRMVGGTDATSTSGAGENLADSMIDSVVGEVEHNTTPGDVEEVVQPLGSGETVPVRKVYPE -DKEVLTKVNAVYDKSAQYVPDYAPGQLSARALNAGEGTLEMGADAEALVASFTWGKSDVIGKELFSMALP -SGALRSSELSNSMMTMPFRYMTYWKGDMEIEFHSTTSQFTSGALIVYWMPLDSEPTEYPNMTAASHVFLN -ADAGGIAKLQIPYQYPTSVVNTEDLVTSSLGLGTVHVAVFAPLNVMTVTSDITVSVYFRCANSHFYCPRE -PFAISDQVGQGPTARGRRSDGVPGMCTVGQIADQTLVGSAVHTAVRRVNNLLEHTYLPMDDTPVRGGTQP -VQPQFATASGSGPHPGQSFQLNDRVMYSQHTLAFDPDETKIDFLCGKECVIKTFSWASTDAKGKRLLTVH -LDSLLGIPPSTTDPFPMNLAVLNQFQFWHCDFEFTLYVWKTSYHSGRLRVFVDYSGQGSVGNYVYNQPLD -FTQRQTVANWMVPWNYATEFLRTGYAYGKGTTRSIGVMSLEVVNKLVTNSAIVAPSVQCVLSVRCMNVRV -AVPLAVSPCTFNSTGSTVKITTTTNARETDQVAQGADEVSASAVPNRSAVMSITGTHGDNSQVNQEPLGE -SPSMQFGHVVKDIMEVYRRSYLAPVRVDGFSVDDYQV ->APQ44500.1 polyprotein [Basavirus sp.] -MQSFKETTINADTNAGTVPAGSLQPSAPNPSVGVEAAVKRRKRRTLLNKKKVTFNMAPFDRNEGVQAFLQ -YLNRVMDIKKNIKGTKDDGWKCYIAARNSLFVKSVAPYLFELTSQEGILLTDNLAVRKLENLYQSYIPRS -LRYGPLRLITDMFVEVKEKPVVSRVIPLASSVFASEATVLAHMFCKMAGAKQTAVEFVGRWADLYGLTNF -KDRLGRLATQDHVTREELESLMIGINDNHRTQSFPFSFWVEVILEVKLPDNLEAHHFELPFLSTIQNMVA -EALEKKDAVLETIKEIAEKICNWIGIKSGVVKNWFSSVLDKFYDAVSGFPSLLGKFKMAALIGLVGWLMM -LAITGIKWISEVRLMNGVSSVLNSIGVKLRASAAADLAQANGRSERVEEFLDCNPELESHGPVESPATAL -ASLVAVSIGLGALGTAALVKRMKEVSAIMAGALVCTSLASQLLAYLPVTLHEALTIQSSTPKDRLLATLR -RWQSDALAVVRLQYIPKVVSSPEYKERVYALINQFKELPKTSPLDPVYNQTFSSLVKVSSIVSQFAEATH -ARPMPFTVHLFGAPGVCKTLTATKFIRDITSGSMHDQYHVPPTSEFWDGYRGQKDIIMDEFLVGDQAIKT -RQTGLWLTLASTAQFFPPLASIDNITTGIKGTTGATIRTVCTLNNNATQACMGFPAEAINRRYAYKIKIT -PSDAGLKYFSNNTFDLSSMPVEMRANLSFIQFSLIDKFCEAVVSKPMTYQTLRTLMKMKYEEHVEFCQRL -AGEMGADEFANKTAEEILAEAIADVQGVPRPGKMWYEYVTDSLGQLASASIGKYFSHGGKKNKNKLAPEV -TIGKNPTRAQIIEELSKSELHPSDVSEFSEPEPVASRRLEPLVVEIEDALVKHDKQTKTNKIRDPDALKE -RFMIKSRQLEVESDLAAQQASFNELVDIAMALEGACTPQMPVCLPQPIIPDYTEVAAAIDLGQDQQLTRL -VSDNVINDHAGVLPSVNASPAQPPSFWSPIHIGMLVCAVCLVVARSFGRQDVPEKAGAHSDYSNPEQNFR -SRRPATRRNYGNVVGHSDDIPVCQLSYGPGAIGGIFVDNKHVVTVKHTLRDLPLGAVVHIQYRGASQSVA -ITSSNRRYIDNTDICCLTLDVPFPGVRKQTHRFMYQNDISNIDAHSVLFIDPYEDQVAVGRYEPLRHSHH -KKTTLTLNNVVVAPFHSEPGYCGHPYVINGGLHHGKVWAINAAGSNNGAVGVIITRQQVEEFVADEPVAH -GEKPSMSSRPTPPDQKVFLGRTSKCNPSKISPYMYPPTDLQKPLMTADDPRNSSGLDPAKVALSHYASNP -NIFDVDQEVVEKAKSTLVERLSKVLSPQVCKTRLSVDDAIRGIPGKLSPINRKTCPGFPLMKAVSQGKTR -LIPLDDNGDIIITAAFRQLCQDRYDQLFTTDEPMEHRFVAFIKDEPTKTSKIINGQCRLVFCADVVTNIA -LRMAVGGLLGEVAATSYKHGIKIGLNQYSWDMQTLYDRGSTIDGNYATEDVKGMDRTLPAGLLENTLEVI -SRLAGLDPRAHNRLLEYNLGSEIQLDDQIVKLEGCQISGGVLTAFSNSLAMMLADEIIITEMYPGVDSNQ -IHVADYLGDDSIRKVARKFDYNSVVRASEFAKKFGMTLTSDVKDQPLTTSLKPFEETSFLGATPYKYKGR -YTGIPVDKTCQRMVHWTKDDDDSTRTKLETLAYMLSPDPTAFAYIEEVIDTMRDNDLDAYVPELPPREVM -ADVQVYRNVYSGRGLLEAHGDDPVLPEGPHSSTANTYNFVDATVDGSLAEQWPVEVAGYELAPGSDRPGL -TKFQNMKGTNVAEDRFFSDNPKTAVSMNPMNLHYGAESSVYRFSVPWTGAAAQGTIIASYAGPTGVLEGG -DVNNLQNMPFSRHVFWRGLQSLTVTVNSMRFANGLLCLFWCPLTPASLLPEVANWRMLPHVLIQPNRNTT -STLTVPFQFYRKFLNLNETNASTGTWALGVLSPLVMDDHCGENTLQVQIASSFPRSTFLIPRPVTNAAST -IANHIELPPTVYNHADAYEGLEAHGWSDTMGRMVESVRPWLVQLGGNVGSTLVDKGLGLLGLDNPLLQRV -GAIQQQYVGMSKIVGEDDCTDMQLYPGTAAREEMSQYSPRDDSIMNILGRPCLLGTFKWTSCNPSPSLFQ -INLNSAFDIKPGSPSPLNVAILNDFYFWRANVVFHIVPIRNAFQSGRLQVTVAYQTPSLTGNENSYYKQI -LDFSEDNYEATVEVPWNAATTFLRTWENVRNLADDPIEDYSLGRINIGDFNPLVVASNVTDSIDVLVYIS -FKDVIVAEPRPFSQFLMRSYPGATGSPRTIFNSGPAVQNFPPPTKDEEYVSHGFEESEASTAEKENTQDD -PEAASVSKTTTTNYWTPLVAEPGQTNLGKKFEFYPRTFSELVRRGVTSVSNFRIITAKPMSKYNLMFRGW -SGGIRFQMYSEGPNRYVFCPQKRNDRADSRNIATVVPNGNGAFIGNNPNMDPEGVFISPLPAYGWVENGN -YYQSNWTGAAMEKAYQTSSSSSWVKLNCPFKVHLNMCRTLPAKYDNTTVNSRNGYFDRFQQESGVLYSDE -PWAQFYCSGADDFRFHVFCPPQYVFRMNYVATGNTDIGGLRPVDPQDVAQ ->APQ44514.1 polyprotein [Husavirus sp.] -MNQSRDSSKNFKCSNTNELCSSTSRERSRSREKATSSSSSRAKGAVGFVPVGDVLQRNGKWMTCASKVYL -LSDLYRGLAPPTDPEDYDDLVLIPWIVGGDPALKYGMGLKEFRDLIDRLATQADDPDGRELARKRSLFIY -DVNSVQISEWRDSEDLTDEDKHEIYLIQRDTDPKWVESMGVLRDAMTSYLSLVRVLVLINQRKLSFPPLE -VIQRLKTAIANSSLLVQLTAAYIKSEFSNDEMKVDYLETIMHHALSEPEMIDYATCMWYYPMPGVAQGLA -DIFSDVLQYVTNWGKSAWECLCDYCSKVFSSLCGFFANCVEGFNGLVQSVISWLKDSLIECIDPKGKFRK -MLEGIDPKKWLREAYPLGLLIAGALGVFFGFVSSELLTLALNKCVQMFKVYAESSTDWIMVVTAICGIAF -STFGDYDSRTFDSCVRPVMTAINLATCGTKLWSSILMVLPACLRRVLVRITGTDEDLAVEDVNDFVAESK -ALMSLKQTPQVFKGDVFLDRLSAVVRQGMDLLRRLSPKNPRWSAVNAEMNQLVKAYQSISASRYANDRRA -WPFWIHLFGAPGVGKSNVFDAIHEGTLGKLIDPNTGRKLSARGKLWTRNASQGFFNGYSPDFDYVVYDDL -FNSTDNAQNSMLAMELLAICSSAPYYPDMASLEPGVTGMKGVQFESPILITANNRMGVPFSGDVERGLLR -RRNLVIKMVPAEKYAKYFTAEGWKLRETGEEEALLESGKYLNFIVKTPYKSNDEELDRVVILRSFRELVD -YIITMQNDFAEQTIKVLGQREELDVEKLIEKRIMQFKGIIPPTEAQAQAKEEKTSKVKFWDEKTGKKYIS -KAAFHFDELDSGFEVQIDPKYFGVVTLEKNELKMDKTTRVMFNRLKATLILLFRSHKLEGFNVTTIASNI -VEQLIDKDTAMVLPRGLPIKVLFRSGCEYSMFSSTHAQTAWLKNKLDGMSAASSEGGDESTATEDEIVEE -KKDSIQKEAEREEEEKMTLEQLELRDRMFFEAIAIEEAKPLIKKAMQVPLPEKARVEALGEKEAEQFDDF -YSLPSCDECEQLQESKRAADNLVIEYYKNFRERMAVDPFLEKVIEREQGFPVDEKQLAEAKRDYKSWVKV -SLACGVALYALFRAGQFMYSAFNSSTVIDNEYIELQGRMSDSPTASAKPKLPAFKIKRYVPKQKNVGVVA -SPEVTAEAKKIEAWNLIIDGVKTHGFFVKGRWFLTHKHLVMDAIEREPETVVMQRVSGGPEYVGKINYWK -VSENEEKDLVIFQFECPQLGMFADCTNLISNRAMIERFLNQGKKWPVTMVVRDITYCGEAGPVPRQTYMC -GMKEYISKELGYYFFATQAGDCGSPVTAIDKFTKLRQVMGFHVAAGNSGEGVRGYCQLFCREEINDMIAK -ADGVDDVQKEFVVDNSIIAQAGVLVTPGPEYPNLVKTEIVPRDRQVFNPKKSSFEPSPMMGHQPWEVKKV -PSVVDVEDPRSGGQDPLLVSFQTLASLKQPEVDDVLLEQCAAELLCRYKERVQRLVFRRLSRQEAVGGIP -GILNSVNFDSSPGYPLCLNPLGPGKRPYAWKEGEECHITNDFNMVCDDYLKYLLTGDADGEEFYWLTFSK -TELQKISKVEEHRTRAIYAGSFIHNTVGRELFGNLLVNINNHKGESFISCNQYSLDLGMMKAYLDEVKVS -DESYIAGDYKNFDQHYVKKFQMKAYEILWGLMSDATWVSKATWDRFVDQEVNSPVQYGQYRYWFNTQHNS -GCLFTTIVNNLVNSMMMRYMFGLKYGHMKYDSCVRGIFLGDDHVLCVDVKRCPEFNQQYLIDNFSKLGQI -YTDDQKKTVDVAPFRRFEDITFLGSHPFKFPGLGWCGRMRKDTLEQNILYMKDLDSWRMALRAMAEHCSL -WDEEYFNFWMHAVNSVLKENGIDEIVMAYASRRTVALRTAESGSEFGLVAQALTNYAEKPDEQDQIVPLS -MPVDGSGMGGNDQGFDEGTDAYLYKFDVIWQKGQTKGTIIASYEVPFDFLTSKDLDLQATKMRSFQYMRA -DFEFRFQTNGNSFQQGMLVAYFLPLSKKAETTQLVDSTANEHVMIRPLNSQDVQLDVPYRYPYPYLRTNA -ITGLKENENLGTLVIQVWSPLTYISGSEVTLTIYMRMKNPVFKVPRPYGVVGQALEAGDGAPAEKKEEAP -SSGLSNIPEGTKPEKAGAPSAPATAKKGPKKQWKKKNTGGGREFNGPRKAVQDKKKAAAAPQQAKAPSSK -GKKEKPLIVPWGPIAKQVKSRVLGGIPTKGGDMVNGKSEVKGHKNLLNEILDGIDALNLDEAQKTVRDVA -VLTSALGFLDNTKVAGNNQKIEPVFDGMSKCVGDHLTTDMQLAPNAIFRPGRVIMDPSELNFSVLFGKEV -VVASFDWSMSSTENTALFSAKLDSNLCSSDNNLLKFLLQHFMFWHAKLKFKLYAMKTLFHTGRLRVCKEY -GCDEADPNWRSSGYTDVLDFTTENDVVEFDVDWVARTDYLRTRDPGSTNAMIGGEDNYSMGMLVIEVANR -LSVSNVNVSPSVNMILTLSLDEVRVAVPRPNPMITCNTLSKGKSLPFWGFAKAASAADTGKVEAQAMLTS -EGVDNVGSGVEIDGGDGEGMEGLLAGGDYLDLGAQFEFTVGSVLDYNRRFFECYKSGAAFIAGYKVYRIQ -VTPCINDLTWLYRGFSGSLSYRIFFFGKVSLDWVKVCYVPSYLQDVDYSYGVVPPGTKVVADYSLYTNGA -MPSMAKEVFYPLSTTQQWIDVSVPYQQHTLFTPVSFSNGGLQYFSQIGQIMIVVPASKTDTVSIAYYVKY -GDDATCGIFRTPLGYSSSNAADASYGNYV ->APQ44492.1 polyprotein [Basavirus sp.] -MNSIQKTHCEGDLVQSLVGEPQVISSVEMGVEGFKLGVNERKTFEEKWSVGSVLKELKEKEIVKKLNQSK -GIPEEEGEYCMLIAPEGSYFAQGCEIHPIREEEEGEYIFDEIYSIIDDVERTAETSMSEDEEYLGDTEEE -FIDDYENFANVPGLTQIIDDYVLTNEDQAIVPGSCYINENIITGNDDLIDFDNDKHVKKDEDPAIQMDDD -RIIKIIDFMDEVRVIQEDNQTDHEKFNEMEDNLLYNNTEDVVNDFDYIKTYKISNNYCKCPDSVQICKCM -MSYYDKNKTKLVFNQDLIKDLTCIEQGFRLDTLLGKKLDCSIFRKHHSVKLACHLNDKKITCKELMNRGE -FLNLVYSVRKYFGYDGNYGQKKLCKKPELVYEAQGLSDIFNSIVGSIKSLKDKSFKVIIKDTFKRVYNFI -SSIFSVVSSSLGNMIRIIFDKIETFIIKIIDPYTRIKEGMMTALKTLVSTIVGLLVVILIDYLGLLTFKL -AYKYISEALSYFTSKSDVYEGYESEGPPDPVVAAVTLIGLILGIAKNDLKYVSDKCVNFSKIVSGGISIS -YFASSLFLVLPLALQSALKFKFGSEEDRQMEMIEEWMLKSTAVIRLKKISSVLTSEEYYTWISELINESH -KLRFSIKSPNIGNQFVRNLVSMLEILAILENYRRETASRRYPFAIHIAGEPGLGKSLMASRFLRDVFECG -ERDIYTRPVSSDYWDGYINQPVIFYDEFLIGDKQSRLQQAKEILELISTKTFKPPLASVDDPSVGLKGTR -AEPIGVLTTNNICYEKVESIDQTALQRRREFVIKVLVNPEYEHRVVNNKVDLNKMSSDEIRNFKWLIFDV -RPALPVPHREQNLVDYKNLVQLVKDTYQRHKLVCDTISETLSSDFAANESPKKLLEQTLRELRGVPNKPL -SITEALFSIFGNVKDSVLGMFKTEGSEENIDKNEEEYSAQGPTSPQGMDDEEEKLGPNDKSRTTSEEEEF -MEYMFNNGPKPSKYEERCRKQGTEPEYVNIDDCFDDIEDYMESKSHKVYEEIKRKDDVKEKIKKSQEKSN -NTVKYKFNKQQLKIIKILGEIKEHKSIEKIRSLLEIAELDVAKVKAYESTLKKFKKNPVFSKETQFLENK -VESIKSKLSEPEFHDGKMKHKVVVEPVLTSGEEYFSPDENTLYVNTQKDVFAFIDHSNVDPQKLHMHRCF -GRVSQGTKHYPGGIVKQVYKMCDGKITHKHENANPHLLLCKKCISNGNDENLEALNYHSESSNRYFNIVN -PISNVHRESLPPKVDYEYVELDSDVRRNLNKMWLKIAVKGFLEQGQMPCFMPAGVENLEDYIGLFDTKPK -TIFNKSWWKERARDLSVSIGIFVLSMGLVKLFGSEERREKVMDVDFTAQSAPPSRISKSATKQRNFKSGK -LARAHGSSNTLQLEINGSVSYCIPIHNHVLLTYLHQFIDKNGNIRDETNLKINYLGKFESHQVVPEMITY -DFDRDLAFVNLFNSKLSQFPNNIKRFWSDHDAESFRSTQCIFENPNQEKFIYGFKIFNKNYSHFLSGTLF -KLEECIAYKGKSQKGDCGSAIISIGQNFPGKILGIHVAGGINGSEFYGLARIVTREDIEKAIKPEFEIDN -IDIDFVAEGPASIFEKVDGPNLKHKCKISNNEQVHLTRKSKLQPSLISNDIIYKPQKHLPLLSPYDDRAQ -GQDPVVNMINDTLSITRSEIDEVTLKRVSKSTFANLKNNLKCPIELGTPLTFEQALKGIPGVLSSLRVKT -SAGYPLCKVAKKKGKTDFFWFDDEGEVYYDLKFKQQVLDFKKKLESEEKVEGRFVVFLKDELQSLSKINE -KRCRIIYCGDLIANVAYRMIFGSILVAIQNSYQTIPSAIGFNQYSWDMHEMYDYLTEVGENFIAGDYKNF -DKRIHPAFAREAYNIVLNLIPDSIVSRKIKDNFIHQQRFSPAQVGDALIYFHSTHYSGCFLTSIINNIVN -ELYFRYCFSKLCPNYMFDDHVRLKVLGDDHIISVSDTVKSVFNPFNIRDQLKLIDQVYTSDIKDAELTNE -FRKFEEISFLGAYPRLIGGKYCGALKKSTLQETILWTRNKNLTLITELETAVELASVWEKEYYEEYTTQI -NYALQNVGLDPIRIPPQEEMQLVVASRKASTGINHPSGFWSEGPELVKLNETRLVEGATQAKSVGDKLRK -LALNEVPQDLSFGYNSDVYRLDIEWKQSDIVGKAIASYKIPFGLLSKGGHSNVQNMPFDRFMYWSGDVEV -KFQINATPFTQGLLVAYFVPLAEYQVELRNITACSHVKIIPSQSSTYSLRIPFRYYRSVINTTNVDIESL -GILHLTPMSLLQAGDGQDSVNISIYTSFPDSLFSIPRALSPPDVKVLDNKYDAFGNRDFDNLDDVIFEAQ -GNSTSVSYNYSAGGNMPMQNGGSQGAVDVGQATDVSPEVSVPVPFDNPPLASGALPTVPQIPGMSSAFGT -RVTNDMQLKPATFARQHNMIFNPAETKIEYLLGLPCLLTSFKIQTGTQAGTELIAINLNSFFGVAYGAGA -PLNVAILNQFFNYRCDVKLTFMAVRTQFHSTRLQVLVGYGEKTMSLTNRTSSYSSIVDFSLDNSVVDVLV -PYNAQTEFLRTVDTPILGTNYQDYSQGVVSVILLNKLKAPSTVTDEIEVLTFISFENPKVAVPRNLSPFN -FFEQNNAGPTIGPVNGNKIINFEGIGQFTFFEIENLPSYKVFDNMQPINPETYMTVTTSTGSVQVKLLFT -QPNLKLRVSIPDGRTVQNGDTVVMAKVDLKVLEVFEAQGPETETDIEHEALGDIPQDALESSATEQATPE -RKNQIYATEFDQKFEFCISDVHEIARRYICFNPETDDSISFYADKSKLKVYQNADGTNEIKRERKELWSF -GTQPMSIFRKLYAAWCGGIKYRIIYENFPQSCHVFFGPYFRPKGRAINPVIGVDAMNKYRLYWNEGENSY -YSTTVQGGMGAREMLFPTGTSAYVDVSVPFQLHHNFCATTNDTYSSPVNSGTLSILYPDPDEPETGHVER -PILFSAFADDTRFGIFRVPQYVTFAMSGFQNGFEGFDFPVSKTFR ->AQP31137.1 polyprotein, partial [Bat fisalivirus] -VEIELYHDLINDLKERYNQFDDSNSFRLNFELACLSALIVVEVCYDLGEDYEATADIPEEIGKMTIEDVV -GKAKHYINYPPFVAFCTNILSLLEDQPPRETYDMEDLIEMRKIIIRGLKIVGCWLAERQYDMNNDTIDFI -AEGDVERIEYDEFNYDELMLPNQPVKIDLTKMSPEIAQLIADEVVESDDWFDYTNHVHVITNRFCHHTLI -KCPCMYSCRHKRLERPSKHNGYEIDETSFRDMCAILSELPTGLYADPLEHYKDHFVVKICLTTADKNIHS -AGSRTKEEIMKMVYRIRGFYIEKIPETKRYKYEQFVAEEETDNFVTTIINKLKDLGKKTCTIASDTIEFI -MSTFGKMITSITNFLSDKIAKMVKYLFEKLAQLVVSQFDVEALIKHHAIKLKEMTKARTTALICIFIFVV -LAIDMICIFTFRLARRFIDAIANSIFKDDTVFDLGNKEAPSMITEGMGPLAAIATLTCAGVGLTTGNTET -IKKKCDFISSVMRAGTGLSLLAGTAFVVMPLVFKDSVTMAWGTPEEKDQLICEDWVIKTTCIVRLSKIAK -ILASEEMKLWVKEQMSEIPNLLKMIKTPSYKAMVLKLYGDLMKISSNLEQYHNNSGRTRDVPYSIHLAAE -PGFGKSLLSPILIQQAFDYAPSAIYTRNQTEEYWSGYIAQPVIFIDEFLCNKDSQVLNRSADEYLKLVSP -TKFVPDFASVDNVTTGLKGTPVNPDIVVTANNSVYMSVNGFQADAVDRRRRFVVLCRRNPAKPHLWEGKN -KIDVTNMTDEQLANAEWLIFDIKSPMSNHPITKHVGLTFGELVQFLRDDRAAQLSDNAKLRSILFDDQPL -EEDPTVKLIEMMAEMKGAPRGKINCDNAFTTFFSMGAKFFSEGSKHRVHRKKKRQPNPGVIDLNMTESSD -TDEPETIEVVADIHPNPTIRKDDNSISSKKIESENDDYCSVEDVTDDEERPNINNRDILTNMYCTNVDRS -KLHRHICLSCDRHTATKRCDGNLFTRCEKCVKENKVINPSNLMNQIIHTPLIFSEEEIENMRERRRKDLE -RLLAESRFELFYMNRLDHTFWEDLGGATYTSDNMKLMGAGIKRKASLVGVLIGIYATVVFIRRLIDHNKT -KTESPIIEFIPESARRDKTTNQRKPYRVRAVNAYGEANKYIGLRYQLTVDGQKLSIQNGFPVCASKFITH -RHSLLDPNGNIHQRGEITVFYKDISDTVPFSLQMVRQLSLNDEISDLAMICLPPKMKINGFPNTISKFWR -DEDLSKFNSGDVCILQADGNAVTCRASITNGRTYQHGKTSYRLPHALTYCGVGNGPGLCGLMLESYGQIC -PGMYVGMHVAGSAVRGSNDGLYGLSMPITQEMITRMIEYQDESETPTDIDFVAENSPFTGPGLVSVDVLP -KSERIMLTRVSKIRKSAIQDLVDFTPNKHLPLLSPRDPRSEGEDPLINMINDTLATDIPSIDKKIADLAV -MGTFHSLRKNISTVFPTRRLTFEEAVGGVPGLLTSINRNTSCGYPLCKITTGKGKREFFWFNESGELEYS -KIYRDMVERFVENFDNGKCEKGRFVSYLKDELVSEKKIKQKRCRVIYGGDLIANTAFRMIFGSFVINYNL -SYDRLSHVVGLNQYSFDMDLIYSYLTQVGENFVAGDFSGWDKRMNPYLQEKVYSGIMKHCAKLVHPKNYQ -QFYEHQVKSPVIVEKYLLNFEHTQFSGCFFTTILNCLVHDSMLRYVFIKACSEVKLNLDFDTHVRAKILG -DDHIYSFSDEAATIMKPLKIQELYFEIGAVYTDDLKNDEVDSEFRRFEDLTFLGAHPIKFNGKWIGALKK -NTIREMVLWTRNHNEDLLDRCQTSIEMSSAWGHDYYLSHSSAVADALRRANIHGLDCKPWPLMINDVVNR -TAANQSTYPRFIAEGNNEGLVNLNAPERVIGDGLNKTTMMSKLRNRAVAEVEQDLSFGLDSTIYRASFQW -RPDQVVGRSIARIAVPFGLLGLGDEDNVQNMPFDRFLMWNGDVKVVLQVNGTPFMCGLLAIYFMPLADYE -CESANITTTNHVFIQPDKNNTVELNIPYVYLRSVMNTVARTTESLGTIFATPLSRLSNVDGTPVTISVYS -SFPNSKFSIPRPLPIVTPPTIKYYSPSGQSDSYEVVPPHTNFESEGAGQSTNITNNYTNVGGNMPIDANN -TCSPDLEFAADVSTDMKIPVGLDNPPLASGAVPVELAYPGFSNSYGVRPTRDMQLMPATFSRQQCIIFDP -AETRFDVNCQRMCLLTTIPVSTTQVVNTSLMEISLDSRLNIAAGNNIPINIAILNQFFFWRGDIELTFAM -VRTHYHSCRIQGVVAYGVNSVSPGSRSVAYSNIMDFSGENSVSTMTIEFNAQTEFLRTYEGRNAIDTIQN -HSLGTFGLYIVNQLVAPETVPNTVDLLVFVRFKEIKVAVPRGFSPFTWNGFGELDSTASFRADYAAVVNA -STALSGAAVTVWESGFLPMDLTGTDLTAIPNGQYTATGNITFVLPSSDVIVVNQIIKVTQSSEIVYGIRG -TGYFKIGNNLVNLNGTGNRVWNTTNFTVVSGSLTVYATPPTFLAEGADEGMGDGLENAVVTLDHVESSTT -TAPAEQRPNIPHKCEYLSKFEFCPTDIVEVGRRYARVEFLTNPTLDQSVLTSLLLRSNAPTEELLHVSTQ -ISSMWRGLFAGWAGSIKYRFYTNDSDVLELFFQPYFNADNQFGMSVGDVVSGTVAEIGNQNITSEISVIG -PYAREMGFPAWHRQYVDVSVPFQSHLNFLYTSKTQTIAPISSGTLTINAGTSTDTLRVYSAFGDDMRLGI -YRPPRTTTLSLSAFTNGVNGFWAGV ->APQ44547.1 polyprotein, partial [Posavirus sp.] -FVLLSVLHKIRKAFSAADNYFGSTEKYIVKFINFKLNTAKMNFDKNKKIVSTSKEECTSKKFYPKYYKEE -GENAVIEKDKDGSAVQFIKRFKKQKQEKRTYLFEKQTNKTKRNIIEKNNIKKQTEKYLKRFNHDEGYEWD -VKDYFDSDNAVDIFHQSSAIYGDRICLNFNYDMWCKPVFAHGQFLRKGDEPRDAEDIGFYVADVDIFDGV -FSEDPRIANVMQKLITFEEMRNNKYHYRLKYDPELVDELYTYVEDFSDHIDDGKVYDKNDYDLCIYKNYP -ERNSIYYGLWKWITPIRFYCSVIHKLPATNIACQFMMYLLSPVYALINSQLADCDPENGSILYSHLKRNV -FATGIIEYLLLRRSGYEVKLKNVIDFYVQSMPELFLVCQLNDNWYDLVFDQDGISFYNQFLAAIATLTNS -KFIEDAAIKSCESLIVAQGGMLSTVELDIDEKKDDDRSIWQKFKDYCIAKLKIMYEGLFTVWTWVSDLCS -KVVSFICDPFESCLKVFKEKLMPYIKKGSLYAIIAFAFAMVLRYFGLVNQQTINITVNSFVKTAEDVSIE -SQSSYSDLAIDLIRVILVALGLSASYINLPNIHILASTLKDWNSLSVCIIPALQAIPRICMYVLGYDDSK -SRSLTDINEWMIRTQALIELRGIMGSANSADMLQRINAELTIYSRLSGDISNTSVAAAASHRANTLLNMA -WGIQSTLQGEVGRMIPMCIKISGKAGTGKTIFASNFREFMGPIPTMNHKNPKIMSMYEVIYSDSYWNNFK -TGQDDVILFDEFDQKINDELQHTRAWTDFLYLNNHTTFRPPGAAIEDKSNLARPSFVILTGNDMTFSNTG -FQDVPSVIRRVNMFLTPQVMIITRDKIQNEHFLDSDVTYSSPFEADKSKIVFSRTTDEGETETCALVYIE -NEEFKLCKLSDEKNKTWYITKEQFNKKFIAAHIVYDHYAVDYNKNTKFIDRLTLDEVMDHFREMYQARFE -AYKQHLKAENQNLGVLDFNKIYEAKLQDLLYSDPYKNINLPPVAETQAKKAKKKEVKPIAQSANQSEKPQ -IQDDISVGEQDLSDTASFNTIAVDTLEYASESSSEGFDGENPVRINGELIFTAEEAKKYKVNPVFSLIPG -VDEKVGEICDHIRLLRPKKPIPTFSKIKQRIEMKYFDKIMIPNDQVCNIQLTDTEDLILKDLNLGDQYLT -VAMQLICNANGLSLCEITKFDSEYKGKYILRDSQQKIFVRYPMFYEWDAMVLQGCQMTSGTLMNRFFSSI -ALEVDVNHDSLYFYIRPRNSINFVIPNDVIAEFRPGENFNAAAFATYALTSIVFDHILAPDTNYDSNFFF -LIGIGNMLTLLYSEFEDGYLYCNFSENFSAYNSVVYTHTSNHPTKNWYVKLFRKLIVGVAVATPLIAMFL -RYGRVEAKAVPDDSHRQIEDKEYDNIIEFEDDVVAESDSTASHKSQRSQHSRSNRSYDRRSDFYRDNRGW -DLNIYGQAPKTIKRITINIYTKSNTWLEVPLNLFYGNYGLTFAHWYENALVEEIDSAKYITIIYKEQTYK -LCVEGPKIQVYIDYLYDISVINAEDLKVDRPRNCLTLFLDQRTLDSMNVFNGVYVTKDPTKLYDMYVYDN -YITYKDSATDKLFSIPTSICSNCPTEKGDCGHLIMSKGPGISANKIVGMHVAGGSAPKDSLKQLETAYAT -PVTRQLLEEIIIYEFQDENITQLSTVRDLQIVAQSTYEQIKDITGPNLVSITQLEPEEIVKLPQKSSLVP -TIFAHDEDTAHNKQPAKLTGFYDENPIYVAVQDTANSIHPEVDVDVIDQCVTELIEYLDSTLDYGIIARK -PLTERDAIAGIDGLLEPIRVSTSPGYPYVITQEGHGKYEYINPSNVAVYESQSFRKEWTQLEKAILEHDD -EYVNSLDFRWMMYLKDELRDIGKISESKTRTIFCNSLSSIMLFRKYFSPGMIVINQSFPKSIFGIGANMM -SEAADDLYKYLNSKGFTKVIAGDYSGFDRHYHPEFQKRSYDVLMDICKKACPIPDEIIQYFKKHEMSTKV -QVNDALIQFKTVHCSGNFFTTQENCIQNCLYMMYIFKKIYPNYKFFDHVVCNFVGDDHMLFVSKKVPEFN -SITLYKTMPTIGQKYTDENKEIPKFKYRNFEECSYLGCSFRKIEGKYVGCLRQETLWNHICYTRNSEYFG -DALNSFLDAASLWPLEFYDEYYKTIHKYFPGIAHDYLTRQLNQRSRKRLSWTERIAQAPDAVTKSEPKKI -EPPKVAPKKVADKPQSIEKPKEVVNKEKVKVKVEDKTVKKNEKKITTMNTHQVKPKVSRPRNNLVVAKNY -SMSSYDIDLKLGPNSWMSIANVEWNPSHTVNHVLLSLAMPRDMLTKNLLSMQVFPFLYSTYFTTDIEFQF -QLSGSQFTAGMLTAVFMPLTSESPNPDEIFTGIHVNMSPTDQTVVTMDVPWRYIRPFMSCVAARSLNEIL -GSLHIMVSSPLSVAQGTDNCTLKIFGRFKNAHFYVPRKPTEIVAQGFSVADLIGLCPVGLATQVVTDTVY -DYLHTDIDEFIPLDNPMIAGGGQPLLEQQKALCNTYGAHAGNSLQEDPRALYRNWRNMFDPAETKISFLL -GRQHIIKRFEWKTTDAVDSTLLTIDLDSMFGRSSHNMLTALLNQFLYWHADCEFELMVIKTPIQNGRLRI -GVDYNFVNTNVVQTDANYTFNQVLDFTQSKMVGKFVVPYCSSAEYLPTIKHSKNQVSSLGKLFVIVANKL -STTSAVTTNTVQCILTMRLVNSKVAGLYQTQTVSLDDQQKGSIVLAQSEEAQEVPSVKEDEEVNVEGDVL -PQVLDQPEDNENLIEHEEIEGSNPVYNQVTLEQVNNVKFTSRQIDDVQYKPKHDFEMFPGRQFERVITDL -NEISRKMSLVRLEELSRAEGANYCSICYRVEVPHEFSKIYMMAGGGILYRIYSRYPMMVTFIPYVDSFKS -TDSQLYLDPILSIPEKTGRFIQNKKTWNYTNNMVSKNNMVYAGRFGSDYYAEVYIPWLSTNLAFNLNTDL -KNSQRNYGNLVITYRTAYNTLNDTTTQVYVAGADDFSYGIYCPLRAEIQSNSLPENSWISGF ->APQ44531.1 polyprotein, partial [Posavirus sp.] -NITLNMFIRDFCNECFSGCCKIAVDEVVESLFDRYSIDSLSKCVDYSIVASDLGCATDDIGRFVRPEFCK -CDIVIHKNYPRDDISIRVKACLSVDDPSVDGFDTDFFYLPDVYSFVQCCVFLLEECGQEGEFVLNFEEFS -SFTKTFNATHTGMAWISLLPQLCVALDSSKIFEDVGVLKPESSVVCFDDVETASTFFYWKNVAVSAYNNG -NYFRCAVAIFNMWDLVSAFTNYDLKNMLFIDVLNLDPICSNISPESLTDTISNFFSGWTGKVKSSFSSMV -KKCVDSCLGLVQQWLSDSVWKNLSEAIYGFLDSVIDPFHLLDDLFDKLVAVWRKEDEAAAAVDKKFTPGD -YGLRWGRLVSKFIVVIVTIILGVLTYANWDNLMAVVGLSRSENKDGPILPEGPNSFASDFITVLSLALTP -LLGSSCKEQHIDLLQLVRDATSFTNFGKTVGNAFVAALNVLPDCISGILCPIFGDEKQKIAYYTNAMIVK -SDVILEYSKVSSIYSQQGFKDELADILRWAQPIMRTYASSSAMNEFRNRYNLMCRISQQLTVSQNMKTPR -NIPFWIHIAAAPGVGKSTSCRYLINYILDNFTVGNRKLHGVWYRCPADPFYTAYQGQEVVVWDEFLNQTD -PKALAEDMNEMLSICSSTPYFPPLPSVEDVSSVGVKGTPFRPVVVITMNNNLSVNSDKEDVEIALMRRRN -MCIVIQPNPNYSDKFDFEWHFDTSKAEDSAIVSTGNHLLFEFYNKNCFVMREPNAERNIMHDSVVAYSNL -FMVVKQFYDNHVKVNSLFNPDVDDVIFDDVKKIRIGNHFVYETNVADPEGGRTYIEGDRVVVLKRKCNQI -PEGVAEVEVVMHDDKKCVTFTDPSNRKQVFVDIDLVVGVLGIVKNRSRLDTIANKPLLEETINASSVFVN -NKFSSRRYLDQSTINNYVDNFVKKYCYHHFTSRDCDNMRISLSSMFAWKQGKEYYYYFNLEKFDAWGYYL -FEFVNEAINGAELKPLENAITRVQMAKNWVLGKDKNEILFKTFGLKLKYIEEEDRVELWIPQEFEKVSKF -YAFDALGDNLVNYVVNAPNTDEEYGDKRAKILMNNYQRWLLNAVSLYYSVKNSVFCSSNKKRPEVCANVF -RDYMSYYMVPLLESDSDCAKIPAFKVFGTLNPKFSVKVYPNDQTYDLKLYEVYRVDEKKTEHVLPCIAEK -INKKINYEDYFTRFNLNIYGSYIKNNNHTLEEFYEDFVSDDWMSFFYRFGVVVDSDDLVNVGIDLRATPR -ILDAGNALNIIGLLECKLRGDIHSDDDEISDDDVVSEEEEGIDEEKALISVFDVTDPQLVYAGDISSVSY -KNIMLSADVNHTCQWIAEVYCIKLNKMRLVVITNDTKTWLDDFLGNCFLYKSHSFTVPKLLKPVGRVATN -MHDNHCVTVVEDISMITDVNKLVLKRLCHINVPRLKFYFHRFYSWFSNYLSDLNVSIRNLTVGKMLDTVF -GPPFKDLKIVASEEDISLARAWGEKIGKCVATATVITSIGYFLWTRNSKKDECAPVMAESDDSPPKGQAS -GRSFEIANIRKESENVICASDLRKKLKDRRGIPNPEGYQYVDVYFTGPNFEGRGIAVCGNCIITHYHAIG -MEITTAVENPRVVVTVLNSGKRYNGVVDPDSLRMIPNYDICCFKFDCVNWNPIRENLSCFLSKADFFNLH -VTGNNRNGFIKLDDFRYNFEYYYSQAKIHYDFTPLNSNESQTIYLPEYLLYNINSQKGWCGSAVLTSRSG -STPAIVGMHVAGQNLTTGHCAYATILYREKVEALIGIRNLDETTVQQVAGKITPESKNIMEVSDCPSDWR -YFVPSTTKYHLSIVGDDEVFSNPVEPAILSKNDYRAHGKDPFEYGLNQLMNNEQAVIDQDLLMKVKTELI -SKLHKDNPSKETPRTLTLDEAICGIPGFISPMNSKSGLGYPSSALYSSKKKDMFDFAQAEVINPHFRKDM -EDYVAKWNKGEITEIFVEAYLKDELQKLSKIEEVRTRLTFCFDTRFNIVTRMRYGYALGIITNMHNEYGS -GINPSSREFDYYIYKYLAEVANGDKKCFIAGDYKAFDIHMQSAFKLAAYDVIYSFLEQFITRDEWDRFVY -LSCHPWVRIHNKMYKFVAANFSGNVFTTVINNLVNSLMIRYCYYLEHKQYSYDEVIRGVFCGDDHILCVD -RSKIEFSGKDLARLMPKLNQIYTSDVKDAEIEDYRVFEDISFLGCIPKKIDGVYVGALKKSTLESHINWV -RDDDVFDAVVDMFVMYASIHGLDYYKEYCNKIFSCYLRRNKKFYFKPFEVTVYTLVNNLSGNPLPYIESN -LTSTFTKPVEIGVPGESAYINPSQSADSEDVGAVATNYLWKDDFRWSTTHAKGAVVYSRSILDLVKSKST -DVQATMFRQFMYFSSDFSIRVMTNGNAFQQGLLRLVYVPYNDTYNFNYTDLMNFHGINILPTGSGDICLD -IPFTYNTALARCTPKYKSDAVPTAAVSNVQSLGSIHFVVLSPLAYTSGQDVSMSIYLALKNPNFQIPTPY -IVPESAVSQAPNPPPVNTGVKDPVTDPIKENPTTTKAKQDIVKFKQHKRLAYRTKGKRQNKKNKKLIGEK -KAKEKKGDVRSGPNFTKDQKREYARRMFLKNKRLRRQFLSGGECDIAAYASCDKRKFFVKRKKKFTTAWD -NLTSNLEEFRGMDMHDAVVALSASMVHDFKLGETFIGPVSDKLFKLSSIFMKPDTFDPSPEFVDGVLNLA -KLLMFFDKPMDFNVDAFVARYPSLSNAVTSVPTYSMQLDPSKIQGKGRAVLASSDTNIQSLLSRSHLMQF -NWSNTDATLTSKLSFPCNSLLNDGVPSDANCSVPIFVLNQFKYWSSDIQLKFHFVKTPFHTGRLRVVCGY -GCYSASEAQEMRTTSFTTVINISDEDESKTVVVPYVSMFDMLETAYSNKNGWNHGDTNSFGYIVIEVANV -LSSSVSNIKPSIDVLVEVSFVNSRVCVPRSINIFDTVPSSVGIIAESLESGETVIESDDESIEVDAGADV -ESHSEDEELQLQAGVAPEFDETNTHQVTSIYEILRRYQVLSPDDYDYSVNSTKTIGNLFVSTSFRFGLAT -LFITQTGGINYRLFIGAGSRLMVNYVPRYYGRNGYKYLTNLSNIYPANPVVGYGLRKSNLHTNHPVERSY -QAGNEGWVDVHLPYQAIVDFAVYDSYGELSATIVNDEGKVPSYIEVWISAGDDFTLGNFLPPKHYYVTSV -TNSTKASLIVGDILP ->APQ44506.1 polyprotein [Rasavirus sp.] -MDNDRDIVSGVELLPHCDLSQAGAVRLDSSVRLETDLTAASGGLSVNVETSQSTPDVSVDPVNGIHPGIL -APVGARARRRARREMARQVEEKNRLLTLRDFIRKRDYRYTGNFSWGGRLGERDHILSPREIGELAPVDEI -QMEQFVARVETLARKFNSSQSIDKCDLDVLNEVRDQMMRNSLYMMRRVKRLEHYPEPEYIDSEEPVCTGD -GEVYVLSPSCALYCCSEDDLDASSYTVFAPGMFDYLWNELSDAGQIAINHLMSACHSGDVSSVLSFFMGY -ANEVRESMSWDSVFVDPNEQHDSIYVGRGMAMTSVLYFIAESVDLGLTDIALERLIQVLANPEFVRFVIA -CGTESKESFTSFLFSLGLIETVVIEGQESQIIDVPSAMGRAYHLWTAVKPLMCALRDHLLIFQICDDHDA -VDKWAINVRNDLLKGGLSMQMPKLVRGAKQPMFAVFKPIYAQGAITNLAIKTVSDAWNWLLEKIKFVCGA -LSEFFGAFFDSLVAALKSVFDRVSSFALSVLIRSLPTWLVDYIKDSLLNKVGLAVMYVFMFALALLSAAG -MLSYSAIMMFHRFVCPVSQAGNIVSNAEPLFSTDIVIDTIKLLIPITPARIRLLGQTLRSGQEIIRVGHS -AWTWLMNALRQVCPAWLISILPDEEAEVLSWQQRALVALNLSKTPGSGSSQKYADFVAGLLKEWNTKICA -MCKKSTGQNRSCLGMVGPLNAINGMVRAVRAGNLSRAQPVCYWFFGPPGCGKTKTMNSIWNTLCVPTLND -SDPHRPTVYNHCFSDDGFWNSYRQGNDDIMVFDEVGAIADPMIQIRFFSSILSVVSGSTYCPNLATVDPS -SLTSPKGTSICPTCVALGSNSIDIPAEIETEAIARRVFRAILPMVPRSELFGGPVEAMNVECNGVGQVMY -DFDMDHTFDYDGQKCALKFTRKPFNGGYCSLWSIKPSSTKGKFEYGSMLVENFQPTDEQYLRSVMCVVYD -LRWYNSSLVLQYQYGKQNGLTVRDLIGNSMERVFDMGSMMRRAGQMVEAYDFDKEFALAMSELVNPLESQ -GKTRKSEKVVAVASEKVNNFDEGTTEGVGQSSYDSCSESDPLVCVTPELVDVGALDNMHCWSLPFKTTLW -CDGLKPDYNVPDCNPEMFPDFQFTGNEASWKVPGAIVKLGWDNPKLGLSPNVSFGNWFVRSKNLNIKLHS -SNVFSTHSVINDHMTIPTCSIDDPSWRFCDLEKCELRSPVMRYIVYLYYVDPNLRLRFNSSTNQYAVSDG -VKWVTVHPDHSTLKMSMSARTPMFQKMVTEDWQIPPLYMYPSYGVHPRKDENSVTVCTRCLAVYRAVPLS -NGHCRLCDASQKHVSYVSNSFFLNYLELMRVCYGHSSLFLARGIPYLLETALSIKQPCWMPYVAVLAGSM -VDSVGVRTVTCGREIYGYEVKFDDATNDVAHIFTASALIIGSMIGVGVAIWKLFAPDAPTVEAIAQGGNY -DSSDSTDQYRQDSSRSPSPQSRPRKGRSHSPSEERDMYYDVFDPMWSQGLARDVVVRFEADGVACYGLRI -HNNVLVTFGHCFPKTKKKLHIVYGDVVFDLEEDECVFDEAYDLIAFLLPRQVSPVRNRTSLLLSKGEYSS -LSRMTVCRTNGVDVYSSPGRMVCNRSYNVAGSDDAISLAIGVTYDGVTEGGDCGTGVFVNSGFYTGRLLG -LHVAGTGVATRPYGLGTLITKEWFESTCKLLDVDVKIKGAKDGVKLVSQGLFDEVMSMHLDRKPNVEYVE -MVPPREVLHCPRSSKLKPSLLLDDNDLPHTQRPANLRKNPDIDGGVDPAARALEELATVDPPPIDESILP -ALQGDLIAEMEKYDVAFPYRELTYDEAVAGVPGFINALNVDSSAGIPHIYQYRARGRRELVWTKDGSYYT -DEAFKQEVMALYKAFKEGDEEYINTYDFKWMAFLKDEYRKNEKVQQNKTRLIFANNVAFAVVFRMMFGCL -YGQWNHLANQSLFSIGMNINSFDAQGLFEYLTERGYTKMIAGDYSSFDKNYHPVVQKYSYGVIEHFASKI -PGFSRVAWDLFVKHELCPLVQIEDVLVRFKHAHFSGCFFTTHENCIQNQLYFMWVFKQMYPGLNFFKHCR -GAFLGDDHIIAVDDNVPEFNSITVYDKMKLLGQKYTNEDKSIPTIPYKTFEECSYLGSSFVKYRGLYVGA -LRETTIFSHLDYIKSSLSVESTIDQFLDLLSIHSKSDFDWFCDILEKYYVIERNYAGRRDRQAQTCAVYQ -QLISQSELGTAAIDYYMGNAADDTAPMPSFEGNDEVMEKIDQLSSAGTVTKPKDETKLHYSNEQAAPAAP -LLKVPVRAQEPRVENLNRGPRVREEPHLSVSKGGEVMTKQQVVNDLQVEEVPRSFSVNLIPRTMNAGPGT -LTMGARADSYLTEFSWTMTQTADSDIWSMDMPGEALITLGNSLTTLPFRTFTYWHGGIDLTFELNTTPFM -TGLLAVYFMPLEVNAAPYKDCLTVQHVLLSANEGGSATLHIPYRWYYPVMHTENIASSIGMGLGYVHCKV -VSPLLGPTGSTVTVSVFVKFTESNFYVPRIQYSFPSKKAKTKAEIDAVEKEPQLVAQGYLSAAAGILDTA -GAIAGVVGEEEAEQQASKYSSLLSFLAEFIPFDHAPVDGGSEPISYQFPSMSNTRGRHFEQSLQLDPAVM -STEMRSIFDPVETKIDWLCAREQIVGLYTWTPTNTVGSNLALIPLNVCLGSKSKTTGQPVSVPSAVLLHF -MYWHADVEFTIRVIKSQYQSGRLRAYVNYADVGWQYPYPGTTRGDKINEPASIANNNDLYNQVLDFSQRQ -SVVKILVPWNFDREFMATCASPTTELPRFGTFALNVQNRLSSSSAVGSTDVRVLVTARFLNVRVAVPIPV -PQVSSASDIVEWYVRPATSTKEVLVAQGRDVDSKLVTVSPEVVPMSRTTADNSQATHQVYNHSDAAHFGH -VVTDVMELARRMRRSTITVSVNKQAIIPGFSSAQSAVIRPVEFVRFPLQECFAAYGGRVRMRIILENGLY -YGFTPEGWGGSTMNTVTDVTPAIIFGTAQGYQIERPSYLPVCSAPMEMFHPLPGGEGEWCFAEFSIPYQR -MQFFNNRYDMQQSESVWVMSTRTNRTPGCTLTYAAGDDYLMGIYRPPQYTYRWAAPTSGTATGNVSVSGY -TIPHN ->APQ44507.1 polyprotein, partial [Rasavirus sp.] -LLSALLSITVVYPIPNNLIITNMRTYTLSEIIDMMSNSTSLTDLYSMLVMNSLQSEVVLNVNANDSSATN -SSPVIHDFDCIPNGCYIPDSEMPRDFDLNEDRFYNSAHYRFCDLKRDMVKTLYPDYAQSGEGYSTSSPIR -GENLDKFFKILENIRAMYGDSLCEIDSALTTPLPINLYLTGLSEDVCVSDFKPSKSKLPFVTPLVPELDF -IQKDLLSFAASASLFPDADEYRMTLRPGDSLPFTVVIGAITDVRLRRVSQLHDKLRAALNEEPFFVCFNE -TFLDFCSYPYIVKHHTYNSIHPIKCCRLPDMDVSCILKYDSNMIINQSTNNKLLVSCCADANTDSCINWC -QYQDIDDHYSSNYMVVSCPLWVDPRRICMLPIPDNYFIEALIPNVGLTSVFFSNFYDVLSDSFIGSKTRL -NSVRNIPTCFDLFQLSVSKFESLCISAIQSAMSPVMYTHLDPRTSCSSYLTTAVKFYGMLAVKAQLDETK -YLPILECAVRGAFLLPHLICPNTDGEDPDIRAAYRDLMSWAYAIRTYFFYMSPNNVKMLWPKALDLLKHC -PVKAYNVAKHLFEEKSKVYAEPQSEDDASWVSRLWNSTGGKLVEWFYSKNEDENSHLADLDLSIESGLTE -DEKKSIAFMRKCREEYNLYVADHNEKQFRSGTSEPAMSFNEWIKSHSKHESFVKSLSGKFISFCHKFLDT -VLPSVGDFARGAINGFIPTLVKMLIPGDYSSCFEFFFNTSPENITNFIKILSVCLFLLGAICGVLTYRVF -KHMLNALCHGLSNLSCLWVLDEKSLDTAVGQAPGDVVDSIITLVCHLVCVAVGTLTSAAENSLKSVLTTI -GRSVTAANGLTKVAMCILALLPAGVKTALALKVGDKRYILQQEVEAWTPDAMSCIALRKNPSVCCSREYA -EKLVVVIQKGNQLLMSLRNDNKLRSTVQSYTCQLLNILESLQSITGESNNRVAPFWLHIFGGAGLGKSAF -VRRNLATMVTGLPAAVGDDCISDIHENAWNPGSEYLDGQTEMTNVLTCDELFTSVDGDVIQKVCLSLLSM -VSCSKFLPNMAGVEFSRVGQKGTNFLSSYIITCSNRALPTPKECGLSDPVALYGRCRILAELCLKDGVNS -DDVLDPIRGFKNGVDWNEVVVFKTYVTDPEGNREPISNGVYSPPDFIEFYRRTAREYMEREKLVLGKEIT -TVVNFEDVFRDTVAGLTGFHREDIEPLVPYVVPFKYEPDPASISSTTQIEPKYLVYEESLKNKCLSSFPT -NVEECKSVYIVEEDPVLSGFLRYHESQKSKSPLKFGTISCTIEFDGKRHVHPDKSGNFVYPFNLINGNVY -DWCSENIPKSDIPMVVYLDKFCKNYIVRIYNQKGLSDSSKTLINCVLVRKLLVLNRAYNIPTLDSIMQGI -LPRVGDCVSFMKRPSVDLCYPGPNLIRDCCPPPLRSPVDKLAIFYRRYWSQCRNYVVVSESEKSNSLSSL -FTYSLAAVGILSLCDMVVSALGFGKPKPQNARDSEPMSVRGDAKRIDTLPKYTSYRGHRSSAKWGKAKAQ -ATQSVTGYVRLGEKTYFGIYPYRDWFITYAHGLDSALNKVGAPTELRFCLPDDSTFVKATSELSAFTLPD -CDLMAIRVPGMPERTSNLTKYFLKEADLITCSGLFAMMNVADRTYSGKLDFMEGISYPDPINGDHYELKN -VWSIAIPSAAGWCGHPVWVDMPSGERKIVGLHVAGSNILRSRKAYSTWLSVERLSTLLGISDDELPPTGS -PTMVVENVGCAQAEETVSIYESDNWTDYPNVERCERVSKSEMVFLPRKSNFKLSPVGEAGVFERTRQPSI -LSPRDPRAHGVDPVLQGLRGLCEFESPKDIDRDLVHSVFKTMLSNYTRDLDWHGIKRQLTMEEAIRGLPG -LYKGLNLSSSAGWPYANKPSLSSKKSMIWFDEHQILHVSKELVDDVNEVYASMQDGSFYNKDFRRLGYLK -SELQKLSKIEEGRTRLIYSAGTHVTIAFRMLFGALYGALNSVSSDTPMAIGWNQYSKDMNTMYNYLIRNG -GNQFVAGDYRGFDQHYVEEFRDEAYWMLGEIAKTIPGITQDIWDEFVWHETKTPVQICDVKLYLKVSHFS -GCFFTTVINCLMNEGYMRYLFVKLNPTLRYDLYVRALFCGDDHILCVKDGCVFDQDSLEKAMPSIGQEYT -DDQKQKNTGVKFRPFNEISFLGATPTLIRGEYVGALRKSTLESSINYVRHDDESVFLSTVAQLATCASIW -GDEYYNNYVGKINEALRKVGCREYAVVGCYEQQIICASRTAESELSFLVLPEGPDTLTSFQESAVDHEDT -MPISGSMIDSTMATQEMPLTIGVDSFVYRDSFTWSSSQAKGTVLKSYDVPGGVLGLSNLGNLQNMPFDRM -HYWRGGVELKFQINGTLMMQGLLCVYFMPLCTSNDNCTLTNCFAASHVYLSPTGSNSCVISIPYRYFREY -MSTREVLNKSMSLGTVHVVVVSPLAQAAGTLSCDVVMYSRFPGSTFTMPAVAQGPLDTLEAVVTGMDMAD -RIVEKGKKVKRDITTLQRDDGSKLSISQMIGMIPTPTCQLVSTGLGIMKKYMRLDNTPVASGAIPAIFQY -PGMSKAVGPEPLVSLQLNPAAIYRQSRVLFDPDETKVDWLCSKWCLTDTISWSKSNTQNSQLKSWNLNST -LGKVGINIANSIVQDFPMNLGVLNQFLFWHCDFEFEFRVVKTPYHSGRLRFLVGYGETNGVLGSATPYVN -QVINFDSTNGVSRVRVPWNATTQFLRAFSGASSDLYTTNYDYSMGYAFLQVATPLSISSDVVATSVDILV -FVRCVPGTVRVAVPAQVPNVIFDKGATGSTSNATVKFAVAQGPSDDVVVENDVPEDGGVASTSCATAPVL -EEEVPSVIDTDCLLNLGEHFEFEVTDILEVCRRYRLMRDTDLRKSRITVPTGFPTGFDRMINIWVEPLTC -FNALYAGWSGSINYRSMMGITSNVNSAAIVSFMPTNACMHKTTSDTTTRVWFEGGASAYPGLTVAMNGVN -GSYSVNNDWQCGTFPPLENPVSFSQVEYINCSCPYQSHYNFLSVYHGSGFFDGTVPTNSGIFTAITQNSA -EHMMFQSCGDDFLFGVFRPPRTCSWFGPVVSFTVPAVSGASSTFLM ->AMO03227.1 putative polyprotein [Pow Burn virus] -MSYISADDIIARIEDNTSIERNFNFLLCVESKRSFSEFTQVLKSIWPYEEIELYHDLIRELKERYVQVDD -PRSYRLSFELACLSALIVVEVCYDLGEDYEATADLPEVLGNVTIEDVVGKAKHYINYPPFVAFCENMLSL -LNDSLPEHTYDFEDLVEMRKILLRGLKIVGCWLAEKRYDMSNETVEFVAEGDSEYIETNDFEVDGLLLPN -QSVNVDLSKMSPEVAQLIADEIVEGDDWFDYTNNVHIITNRFCHHKSIKCPCMYSCRHKRLERPSKHNGY -EIDETSFRDMCAILSELPTGLYADPLEHYKDHFVVKICLTTADKNIHSAGSRTKEEIMKIVYRIRGFYVE -TIPDVKRYKYEQFVAEEESDSFITTIINKLKELGKRACIVTSDVCEFIMSTFGKLITSITNFLSDKISKM -VQYFFEKLAKLVVSQFDVEALIKHHALKLKEMTTARTIGLACIFIFIVLAVDMICIFSFRMAKRVVDTIA -NTLFKDNSVFDLEDTSAPKLVTEGMGPLAAIATITCAGVGLATGQTDVIKKKCDFITSVMRAGTGLSLLA -GTAFVVMPLVFKDSLTMAWGTPEEKDQLICEDWIIKTTCITRLAKIAKVLASEEMRLWVKEQINEIPALL -KMIKTPNYKATILKLYSDLMKISANLEQYHNNSGRTRDVPYSIHLAAEPGFGKSLLSPMLIQQAFGYNPS -AIYTRNQTEEYWSGYIAQPVIFIDEFLCNKEGQVLNRSADEYLKLISPTKFVPDFASVDNVTTGLKGTPV -NPDIVVTANNSVYMHVNGFQTDAIDRRRRFVILCRRNPTTRQLWKGKNQIDVGGMTDEQLANAEWLVFDI -KSPMSSHPITKHIGLTFKELIQFLRDDRESQLSDNAKLRAILFDDQPLEEDPTAKLIEMMAEMKGAPRGK -INCDNAFTTFFSMGAKFFSEGNKHKVHKKKRDSCPSQNPDIVGSSDSEDPEMVEANVEVHTPPIDKDETA -SENYCSVEDVTDDEQPRISNRDILTSMYCTNVDRNRMHRHICLSCDRHTAIKKCEGELFTRCANCVKDNI -PINPSTLRSQVLLEPLVFTDEEIEAMRTRRQNDLQRLLVESRFEVLYMSRLDYTFWEEIGGQRYTTDNIK -SMGQNLKKRAMVIGVLIGVYATVVFIRRLIDHKKAKTESPIIEFIPESARRDKTTTTRKPYRVRAVNAYG -EANKYIGIRYQLTIDGNKLSIQNGFPVCASKFITHRHSLLDSNGEIHHRGEITVFYKDNSDTVPFSLQMV -RQLSLNDEISDLAMICLPPKMKINGFPNTVSKFWRDEDLSKFNSGDVCILQSDGNAVTCRANITNGRSYQ -HGKKNYRLSHALTYCGVGNGPGWCGLMLESYGQICPGMYVGMHVAGSAVRGSNDGLYGLSMPITQEMLMR -MIEYQDESDTPTDVDFVAENSPFYGPGLVSDEVLPPNERIMLTRVSKIRKSAIQDLVDFPPLKHLPLLSP -RDPRSNGEDPLLNMINDTLSTETPNIDKKIADLAVMGTFHSLKQNMATIFPMRRLTFEEAVGGVPGLLTS -INRKTSCGYPLCKITSGKGKSEFFWFDESGKLEYSPVYREMVERFVENFDNGKCEKGRFVSYLKDELVSE -KKIKQKRCRVIYGGDLIANTAFRMIFGAFVINYNSSYDKLSHVVGLNQYSYDMDSIYSYLIQVGENFVAG -DFSGWDKRMNPYLQEKVYFGIMKHCAKLIHPKNYQSFYEHQVKSPVVIERHLLHFMHTQFSGCFFTTILN -CLVHDSMLRYIFIKANKSANLNLDFDTNVRAKILGDDHIYSFSDEAAVIMKPLKIQELYGEIGAVYTDDL -KNDEVDSEFRKFTDLTFLGAHPIKHNGKWIGALKKNTIREMVLWTRSHNEDLLDRCQTSIEMSSAWGQDF -YLTHVTNISDALRRVNIEGLNCKPWALMIEEVVNRTAASQLTYPRFIAEGNNEGLVNLNAPDRVVGDGLN -KTTLIGKLRNLAVAEVKQDLNFGLESTVYRASFEWTPEQVVGTSIAKIDVPFGLLGLGDEDNVQNMPFDR -FLMWNGDVKVVLQVNGTPFMCGLLAVYFMPLADYECESANITTTNHVFIQPDKNNTVELNIPYVYLRSVM -NTVARTTESLGTIFATPLSRLSSIDGTPVTVSVYSSFPNSKFSIPRPLPVTTARTIKYYSPSGQSDSYDV -VPPHTTFQTEGAGQSTSITNNYNNVGGSMPIEANNSCSPDLDFAADVTADMKIPVGLDNPPLASGAVPVE -FAYPGFSNSYGVRPTRDMQLMPATFSRQQCVIFDPAETRFDVNCGRMCLLTTIPVSTTQVVNTSLMEISL -DSRLNVAAGNNIPINLAVLNQFFFWRGDIELTFAMVRTHYHSCRIQGVVAYGVNTVSPGSRSVAYSNIMD -FSGENSVSTMTIEFNAQTEFLRTYEGQNAIDSTQNHSLGTFGLYIVNQLVAPDTVPSTVDLLVFVRFKEV -KVAVPRGFSPFTWNGYGELDSTATLSAKFAAVINANASSNGASTEVWNSGFLPMALTGDDLTNLPDGLYT -ATGDIRFILPNTSIITVNQIIKVTRQASIVYGIRGNNYFKVGDNLVNLNGSGNRIWNTTNFTVNSGTLTV -YATPPTFLAEGAEEGMGDGLENAVVTLDHVESSTTTAPAEQRPNIPHKCEYLAKFEFCPTDIVEVGRRYA -RIEFLTNPTLDQSVLTSLLIRNNAPTEELIHVSTQISSMWRGLYAAWAGSIKYRFYTSDSDVLELFFQPY -FNADNQFGMSVGDVISGAVAEIGNQNITSEISVVGPYAREMGFPSWHRQYVDVSIPFQSHLNFLYTSKTQ -TIAPISSGTLTINAGTAADTLRVYSAFGDDMRLGIYRPPRTTTLSLSAFTNGVNGYWAGV ->YP_009333305.1 polyprotein [Rasavirus sp.] -MFDTFSNNVFKAATNESKKAPKNSEKAPHTNLIFSNNQFHASDTVLIAKFVNIPCAYIGLNTAYIGFRRF -RCNTRRATLNPPVRNSFCMFKPMYAQGMLTNLAINTVSDAWNWLLEKIKFVCGAFSEFFGAFFDSLVAAL -KTLFEKVSNMALSVLLKTLPPWLVDYLKDTLLKKVGLAVMYVFVFALALLSAAGMLSYSAIMMFHRFVCP -VAQAGNFVDNTEPLFSTDIVLDTIKLLIPVTPARIRLLGQTLRSGQEIIRVGKSAWTWLMNALRQVCPAW -VLSVLPDDEAEVLSWQQRALVAINLSRTPGSGSSKKYADFVAGLLKEWNTSICAKCKKSTGQNRSCLGMV -GPLNSINGMVRAVRSGNMSRAQPVCYWFFGPPGCGKTKTMNSIWNTLCVPTLNDSDPHRPTVYNHSFSDD -GFWNSYRQGNDDVMVFDEVGAIADPMIQIRFFSSILSVVSGSTYCPNLATVDPASLTSPKGTSICPTCVA -LGSNSIDIPAEIETEAITRRVFRAVLPMVPRSDGIGGPVEAMNVEANGVGEILYDYSIDHCFEYDGKLCA -LKFTKKPFKGSYCSLWEARVSKDKSKFEYGSMLIESFQPTDEQYLRSVMCILYDLRWYNSTLVLQYQYGH -NTGLTFRDLVANSMERVYDMGSLMRRAGQMVEAYDFDREFALAMSELVNPLEAQGKKGKHEVVSAKASEK -VNNFDEGLVDGLESGGFDSCTDDDPYVCVTPELVDVGAVDTMHCWSLPFKTTLWCDGIKPDYAVPSSNPE -MFPDFQFTGNEASWKVPGALVKLGWDNPKLGLSPNVSFGNWFKRSRDLNVKLHAYNVFANHNPIAEHLAI -PNVSIDDDDWKYCCIDKCELKSPVLRYMVYLYFVDPNLRLRYNSKINRYAISDGTKWVTVHPEHSTLKMS -MSARTPMFQHMVTEDWQIPPLYLYPSYTVHPRVDEECVTVCTRCMAVYRAVPESSGHCRICRASQKSVSY -VSNSFFLNYLELMRVCYGHSSLFLARGIPYLLETALSIKQPCWMPYVGVLAGSMVDSVGVRTVTCGREIY -GYEVKYDDSTNDVAHMFVASSVIIGSLIGIGVAVWKLFAPDAPAQEMIGQGGNYDSSDSSDQYRQDESRS -RSPSSSPHRGRSRSPEYGGYLRCADPFLSQGLAREVVCQFEADGVGAYGLRLHGNVVVTFGHLFPSSKKS -LHIVFNNIPFDLDENECVFDEAYDLIAFVLPKQVAPVRNRTALLLTKGEFSSLSRMTVCRTNGIDVYSSP -GRLVCNRSYNVGDSDTAISLAVGVTYDGVTEGGDCGTGVFVNSGFYTGRLLGLHVAGTGVATRPYGLATL -LTREWFESTCNLLGVEVKIKGAKDGVRLVSQGLYDDIVSMGIGRKPNVEYVEMVPAREVLHCPRSSKLKA -SLLVDDPDLPHEQIPSNLRKDPAIDNGVDPAVRALEELADVDPPAIDESVLPALQGDLIAEMERYNVAFP -YRELTFDEAVAGVPGFINALNVDSSAGIPHIYQYRARGRRELVWTRDGTYFADEAFKQEVLALYRAFKEG -DEEYINSYDFRWMAFLKDEYRKVEKVQQNKTRLIFANNVAFAVVFRMMFGCLYGQWNHLANESLFSIGMN -INSYDAQGLYEYLTERGYTKMIAGDYSSFDKNYHPVIQRYSYGVIEHFASKIPGFSKPAWDLFVKHELCP -LIQIEDVMIRYKHAHFSGCFFTTHENCIQNQLYFMWVFKQLYPSLNFFKHCRGVFLGDDHIVAVDDNVPE -FNSISVYEKMKLIGQKYTNEDKTIPVVPYKSFEECSYLGSSFVKYRGLYVGALRTSTIFSHLDYIKSSLS -VENTINQFLDLLSLHDKQKFDWFCNILERYYVIERNYAGRRDRQAQTNAVYSNLVAQSAAFESDLIDRFI -GDGADEKIPVPEFESSDATMESIDQLSSSGKVTRPREQRKLHYSNEQAAAKAPLLKPPVKAKEPEVDNVN -NGPRVKEEPHLSVNKGGEVMTKQQVVNDLQIEEIPRSFSVNLIPRTLNAGPGTLTMGARADSYLTEFSWT -MTQTYDSDIWSMDLPGEALITLGNSLTTLPFRTFTYWHGGIDLTFELNTTPFMTGLLAVYFMPLEVNAAP -YKDCLTVQHILLSANEGGSATLHVPYRWYYPVMHTENIASSIGMGLGYVHVKVISPLLGPTGSSVTVSVF -VKFTDSNFYVPRLQYSFPPSKAKTASEIRKVIEEEAPLKAQGYLSAAAGLLDTAGAIAGVVGEEEAEAQA -SKYSSLLSFLSEFIPFDRAPVDGGSEPVSYQFPSMSNTLGRHFEQSLQLDPAVMSTEMRSIFDPVETKVD -WLAGREQIVGLYTWVPSDAPGKNIALIPLNVCLSRRDKATGQPVSVPSAVLLHFMYWHADVEFTIRVIKS -QYQSGRLRAFVNYADVGWQYPYPGSTRGDKITESAAITNNNDLYNQVLDFSQRQSVVKILVPWNFDREYL -ATCTSTTTELPRFGTFAINVQNRLSSSSAVGSTDVRVLVTARFLNVRVAVPMPVPQVSSAANVTEWYVRP -APTTTSELKAQGKDVDSKIVTVSPEVVPMSRTTADNSQATHKVYNHSDAAHFGHVVTDVMELARRMRRST -KTITVNNKASIPGFSSAQSAIIRPVDFVHFPLQECYAAYGGRVRMRLVIENGLYYGFTPEGWGGSTMNTL -TDVTPTIIFGTAEGYTVERPELLPVCSAPMEMFHPLPGGEGEWCFAEFSIPYQRMQFFNNRYDMQQSENV -WVMSTRTNRTPGCTLTYAAGDDYLMGIYRPPQYSFRWAAPTTGTATGNVAVSGYTIPHN ->APQ44559.1 polyprotein [Posavirus sp.] -MKLFQMSDTNISANNSTFSATDAMSTVCSQAESTVQDLSNSLCNVSFTTHSSVPKRSVRSRSSLLSAGRS -ETCFQQFLVHTTPSSVPRSYSHLYSALCTNPLYLVTHTRLCDLFMAYDEYLQLISDVQRGSKSFPEPTIV -YHSVKVARRPYQEKCSGKAELLESPITRLIETDWGYLGEFVPVISCNLASWEVEPPISRTALNHLDYKLF -MFLSSLMVVNILHINPYDNRDLVAHLAAVLVRTNPIRAVTLEKYFEVILRNATILPVHKERHEIDLFEAI -LWTYETGDILYLSQMEFETLNHYLIHAENGNDTFITTIFEGLLSIMKTEAYEPDDAMEFESGNGDSTMID -LDSKSPEELQKLKEDLEKRLSSEEAKDKSDIEKQHWWNKLWGKVKSFFSKTPSEHVADAASDTILATIRA -TLSKIWTGCMNGLYSLYTFLCDNVELIAWVFIALAATYLISYMFYTSSDYDSTFCRTLFYPVQRILHFKT -SAIRPESMDPVAALVTTLSTPFVVSASTTYHTSPVSVLRDVSTCITACEKMSSTFITSLQALPGALRRVF -TKWFDPEHYLSKSESLALENTITTLAQYLSSASICQTTEFITFFNEVFDRLNPLVKRTTLSRGIAPLWSK -IVAFHSASVIKMKAGTFRFEPYYIHFVGDPGAGKSLLAPKVALEAASAIIENPTVCATDLDLTNYGGQDV -IFVDELGTVNPTPDQMNNFLEMVSCLPFFPDLPSLEAHGSGGQKGTPMNALIVATSGNYTKINMNSTMIE -HAYYRRMSMIVKFIAKDGVKLNPRVWTLTDDIAAIVDRDLEIYCGQPDYNGKTQLNRVNSWDELIAIIKA -DLTEKYKVHSTMKHALGYSDSREIALSNIDAIRKDIQDSLDLLSAHMSDDISDDEDIPSLPDPSTFRPDG -SSFWTEFLLSNKDNLAVVTYLTYFGSDEATSCANLLSLIKSVQWTHDIHPEDLLAVEWAFELIAHNAEFL -GTTWEPITQYLKLTPEGFSNKIMRSILICDPDSAPERLRELVSSKYTTTKRLWDILPHTAFPWATQTTGV -KPCEVKFQTFGEIIAPLLNRVDYRELLKYFSPALLEPALDAAMIVVDNENAKWYTYDAWYRNRHLETPKQ -IKDKVIELDQETSRHLLEQMANARKTAQTSDGVPIPPAAPAPPPPAPSDGPAPECHPTLEQAAQQSEDDV -SDDFFEETPSSFEPTGISWKPDFLNQVVEMVKEEYTKPKPVETREETIPKDQEQPLEAQSTSSPSEPPAE -TAHVTLDQPVDKIYGTHEVQPNISRVLYNMATMSPASVSSGDLYGSDSDSDFSTASSTDSVHMSYKDALV -GRSKEETLSQVNAAAISLYNEFGDPSIRVKTIKELPKDTKPLTMWAPVEVMEGQDVDPRNLDVYLPNVEL -PDGFWALQKVQAIHSVCSADAYSTKTTCYCGYKFYLAQTENVKPGSFYNSAQVFGFTKTMLSMRNYSYST -HKKPILMCMAKFLHAHDHVNDSELNQLLSVASTQDMERCNELLTMMREVQPESSDVVYWQEQITAFNRGV -KEACVKTYDLARPVHLSLRTIDKGVNLIAKTQLGPGKFISLGVAATATRDLPTIVERLYTAMRSLVAPDT -YSRYITGDKQMVIVNEDYMAYNAQPALKSAKLCVGEDTTAWGPMMKFKAGLLVNETTQEALGKNKTIYDI -NRTDVRKLVDSHPALVVQMMLEALPHYDFEYDPLPVMGDAVRNIVDSFFESRYDHFLKSIVYKFGLSTTE -NILKTANSNKWKESDVSTLLPSYETISTHALDFGGALDSVFGVNYPEGVQTGDHSQLYKKVRSCKFTKDS -DMETFYQYAASPKNKETYIVNWVMHIPYCIGSDDEIHYTSAVVSSFGFYFLKMFPVVEYQGNKYYFQSSF -LGPDHQSAKWKDLSNCQKILNEAGCLFANSGLASRPSVAAVNLVSKLLHVSVGTWNVEMYLRCLSKTQKF -SDFVTEPICCATMLRHSYYAPEDKSHPLPLRPSQVSTLLGANVPYDPTLTKKLTDALQVCRITGKKKDLI -AGATLDDMVTTNSFMNFARSGLKLANSCELRDGFLHDKKKTYASIVKSVSTVVPDVKADLAYQGYMTRPK -KTLEKIRDKGSSKYPDALARAIAAKELHSSLYDKFVSGFKIFSIVAIVCSLVWSISFWLHKKPLRAESPE -VNRDGFELAYAQDNALIYPSLKIMEPSRMFVRFYSGPTAFYAIQYAADLFVMNYHVYNDMKALFHSGSHW -YMSHSFYGRTPNPQTIELDRPGFFPVAEDPDNDRIVVRIHVPQSMFVKQSSACFVSEKDLAKASETQSHY -EVIVAPDARLSRGTFQPSGNIVHPSRSNHIVYYSETRRGDCGLPVIISKGLLAGQIVGLHYASSDAKVQS -TQRVCYGTVVSQEFLKRAYDTYMNSSLRFESQSLKELAASDDTIGFVRDFAEHNVHDLSVLPLKSRIHIP -DQTEYVDSTCKTLAEQIIGPCKEQPAILSKNDPRSGGVDPVKMNILSLNENPALECSYDSNLLATVADEV -VQSLTQFRPLAAETRILTTEEAVFGIPGVLGPMDLDASPGYDLMKLHPGSHKKSFVDTTNHTVDGAICMQ -VEQRLSMMNQGYPAYQPGENILVMYLKDELMRNSKVEEKRTRAIYCNDFVGGILFRRLCGGFLAYYYRNR -VRNNSAVATNMLSADATVILDHLTQGFDQVKFVMGDYKGFDQHYHPAFQKQAYKVFCKCVRLLTKDVPGT -LYTSLVNHDVYPTIFAGPFCFQTACSHFSGCCFTTIINNIMNEMYMRYAFHKIYPAHIFSHHVHAIFYGD -DHLLAMPRDFDFSFSMIKNELQKLGQVYTPATKDSQSCEYYYNISDLVFCSTTFRPQHGVYMGVLQDKVS -QRLFYYSRKSETTQDKINRFEAYKCIVAGGNRQDYLTFLDYCGKLLKDDLSYPPSCFADTQNADRERAFY -AGTFDLSHPSFVSIDTIRPESQLPTAPLYYPAADQPPTVTMATHIIESHNADPTQTSSTIVPYSANAGPQ -DIPLTAANNVKRDSFVVESAMDSGYILKSYPIPNGLLNIGTDKSTQTLGLRSFQLVRFNLDVLFMLVSNV -TVCAKLAAVFVPFRPSTDFKVGEEFPLTSYRWLPYIDMYPDNNTLYDFNVPFCCPQTLQHSSELTSKDKY -WGSLVVFVVSHISKPTQIGDIPIAANATIDVTVFTGLKGIFNTLPKPVYRAEALEAVSSVLRATETAGEV -TAEASRSVRETLAKYLWGCDEFTVNNKSIAVYQKFPGMPSSSGITPTTTLDVLPTSRSIQHVLLTDPESM -WINKILQIPFYVRKFSWNVSQSRDSILLQIPMSSIFLTSGNAVPLNVVLLNMCYLYHVDFCFDIEVIKTS -YHSGALRMTVSYDGRPDQSQLSCYTGSAFVVDKESVFSYKAPYNSTLEYLRTIDTFPPDPRWEDPRYTMG -WFTLSVETPLKITALVSTEVECILHVYFDHFYGVYPRSFEPFSSESSHLRFESQDPNETETGPKQEPTSL -DGGHNETIENKIELPNPEYKEPARMQATQHANTFKPHNYYTENSGKKFEYSLSSLLAFEKRFKRLPSSAI -QPLRDSSLPEGQVLHRIDFLSCLTFRDLFASYAGSFELRVLYSGDIVPQIYCLPMPPVEDVNSNYYPSFG -LLPDLSVQLASGIRLSTSGSPLPALDLPAPVGSGMWYVDVTVPFVVQENVAMLHSNTTYSTSASYQVLLL -SSTESSLLVYARVGDDFAYHYHTLPPLYSVTTPPKKENFVMGQYIFHGN ->APQ44495.1 polyprotein [Basavirus sp.] -MQSMHLNDYSSRCSSPLCVKEPKRVKQANDSPSIATVARGLDASECSVLRSQLLIHRLKNNLPLYHYCED -SDCLVRVLALERFYEYDDDLRNLILEFIAKNDKLEDSRNYSLEQDYKPKFRGCLMEDYDMLILFYSLKVM -ELPREDKISLYKQALNTLIGCGHDGFFGVFLDTKIFPFLTNVIYPRDNEWHLKTRVFNKFTYSGDFIFEE -DRVGLTKFGALPWVAENYETFLLSFSNLIQYSNRKQRKFFRRNLRACYYSRYLDFSNSFMFYYYSPSNFT -LRRISQLRRILKMGHPDDDYYDEHYTAESDDGNDPVALGVLGSLRQWMNTQFGQKTNETYQQDPRRWYTK -ILKKIFGAFIPAVKYGISLFGDTTKGSYDWFARIISSISGVFVKIFSFPYKLASSAFEVLKSGSLLIVAL -IVCIFGFLGSVRLISVLTALTGYHHSASSTVTVNILDKEELLRKAEKNKFTAEAPDGLVSIGAVLLALFN -WGAPTKDRIGSWSATLVKLVAAGTIMKYSALYLVSFLPSMLRNAITYEFGDTTTKFEMDLDDWRIKVSTL -LASSKNPHVLTSPEFRNMMVECIEESAKMKQVRNVQPHLRSIFTAHYLKLIHLRATISQYENSSPTKDLP -FCVHIFGEPGVGKTSLARRILGLFGATTEDIYPVPKSDYMDGYMGQKYLYWDEFLGGPQDRIEKDAEKYL -QMISTAKFIPELPSVDNVNSGIKGTVCAPKVVITLNNSYTKSLTSFKSDAMDRRRNVVIHLTHVARTPYK -GETKNVDTTKYTPEQVRNVAWLRAQFLDPVNHQKNLLTKKESLGFEPNHKFDFTSMCEIMRNKYMEHQEL -IKTLNMIGGIETDPTPSPGDIISGLHREFLGIPNERANLKEILMKYLISSSLPSLTRSIYRKALNLFSTE -MMSKSTCDDGVIVSENPFQILESPNETEYESCKEQHKDVASVAKVLKKKKKNKQPPEDGTVTPMQAFCDL -VTLHDYIELETHHSIITTCLHEDPDQLMNDSEYLKCLKESQERYAHLTGYVAPVEKKTLWQYRWFDFGIM -IILGLVCYSVAKYCKSLFSGNDEEQEEEEIMFAHGSSKSSSETEEQRKKMRTRAKAKPQKGFNEGGYKSE -NGGVSLALFHFDDIKIKAVPIEGRKFLTYYHWGIQRIRPDQTRIITNLTHGGITYERIEIDVAEHMVTLP -EKDFCIISLEHVKRIPLAASIINKFISDSKLFDVPAIFNIRVTSTEKDNYGLASLLPRKFTYRVEKDGET -VGSLTVDWVVKANISSRAGDCGHLASFADGCNLAGTFIGIHIAGSNDKDRNPTSIISLITKEDLRNAISV -LESSSIESFVGEGPEEGEIEFPNLIRTEQVEFKEIVYLPDKSVIEPTILHGRLPEKTSKQPAIMSISDVR -SQGRDPVEASLSSLFNVEQLDLDECILDDVFDSMETFYKKKLIWPIGKRQLTKDEAIRGVPGYLTSVNTS -TSPGYPLIFTARSKGKTDHIRISQDGYWTSAMFDNLLERKLEEMAMYDRNWTIDHRFIGYLKDEPLSEKK -IQEGRTRMIFCNSMVSSVAFRMKTGCLLAAFYNSWQKTPVSIGMNQNSWDMDDIYIYLSKIGDRYLSADF -KNFDQRHVRQIRERSYQMLRNLLGDVIDDKEWDYIFDHETKSPLQIRNRMYWLKSNHFSGCFFTTILNCL -VSEAYIRYCFTRLDPTLVYWEDYRIRTLGDDNIISVSDRVNITPLDIQRVMKELGQDFTHSLKDQQLTEN -WFKFEEITFLGTQPIFVNGQWCGAQLKSSLWETVQWKRSKIADLREVVLGCMEKCSIWDREFFDYYCKSI -NDILIDELIKPVIIPYYETRRKVCLAKTKPSLGFKAESDDPVRALKPAVGGLTIMPVETGYEESQTNMMN -QRADLSAHAINEQRMDLDFGLNSIIFRQSVNWDSSSSGVIFSTEVPFGLLALGETENIQNMPFERFIYMV -NNIQIIAQVNGSPFQQGLCVLFFAPLAKLTDSVPSQANIYNYHHVRIQPNESGSHCLNISTQWFRSVLNT -FAGALGQDSLGYVGLYVVSPFLSVNPGQATIVINSRFNGSGFSIPRPLPSSSMIKKDAFSVQRFKKLAKK -FGVGKNAIDRFTAEGAAGSKTNTTTIYNIGDVVGSVPIQTEIGSTATASAEGSLQADVKGLPMDKPPLAS -GSIPTFGILPSNSKNVSVEQVMAMQHHPMMMHREPMAVSNNQETSIEHLCSLPTIIYSIPWLITQPPNTE -LLNFPLNSIFRDLTDDQMFAGFEVGTPIAVLNMAQFWRCDVEITIEAIKTPFHAGRIVATLAYGTPSLEP -ADKNVYYNKVLNYSHDNSVERYLVNFNAATEFLRTYSGNLVLNPIQDQAIGRVMLTVHTQLAAPDTVSPL -INLLVSVRLLNVRVYEANSLFPINSIEGQGTLKVVPSSVDDEEEEETDEQKASSAYSTTETTTKTTTTTQ -KPTTTKTTTRKQGSGYLPPSGAYRPGDLNPADARYVWEKLSNGQFGWVKRYKREIDDINIDDLDFTAEGE -GAPIQGEESEILDPPPADEATKDESHVVNNPVCGIQTGRKFEYTITYLEEFLRRYNLTAGYQKANVFANL -SGVNDLTSAAIMIPVTYGNVITSLFRMWSGHLNYRGLVKSNTIPIVRLYNSQIEEFPTVYYPLPFTANGK -TENRTAQPMLGTLSTTNNLQIEGGNVTTEDRLYLGYREVEFIPNEFLTPMPNDYWYLNVSVPFNTNYNGI -PPLPAQGEEITVSTMNTPCFTQSLVINRTTTLTGGDNGLSQNFVVTKSVGDDFKLQVYCPTRLWWRPINL -NSRPTVDGRMYVGGMVFGKKI ->APQ44517.1 polyprotein, partial [Posavirus sp.] -GDINSNVTSTLTQTTDAMSTVCSSSEAQSESLFSTLCTMPNQAIPSVTKRANYSRSYLMYADRSPLAFQR -FLAHATPSSVPRSYSCLYSALCAHPLYVMTHVPVCALTSAYNEYLQLITDVQQGLKSFPEPGIIRHSWKV -ARRPYEQKTSGKVEILESPITRLIESDHGALGDFVPLISCNLASWEVEPPISRSALNQIDFSIFTFLSTL -MLVNFSLAIDPYDDRMLARHLAQVLVRTNPIRAVTLEKYFEVIVRNATIIPVHHERSIYELFEQVLMTYE -TGRVLYLSQEEFIDLNAFIVHPEASGDSFITSIFEGLLSIMKQQPYEPEDAMEFEVKDSTSTMINLDNQT -PEQLQALKEQLDKKLSDTDPDKADLEKQHWWNKLWGKIKGFFSKSPSEHVADAASDTILATIKATLSKIW -AACMNGLYSLYTFLADNVELICWVFMALAATYLISYMFYTSSDYDSSFCRSLFYPVQRILHFKTSTIRPE -SMDPVAALVTTLSTPFVVSASATYHTSPVSVLRDVSTCITAAEKSSATFLTALQALPGALRRVFTKWFDP -EHYLSKSESLALEHTITTLSQYLSSASICQTTEFITFFNEVFDRLNPLVKRTTLSRGIAPLWSKIVAFHS -ASAIKTKAGTFRFEPYYIHFVGDPGAGKSLLAPKIAVEAASVIIPNPTVCPTDLDLSNYGGQDVIFVDEM -GTINPTPEQMNNFLEMVSCLPFFPDLPSLEAHGSGGQKGTPMNALVVATSGNYTRVNLNSAMIQHAYYRR -MSMIVRFTPKSGAKLDPRDWTMADDISTIVDRDLEIYAGKPNYNGEVELKRIDGWQPLIDMIQADLLDKY -KTHAIMKSALGYSDSREIALANIEAIREDIQSSLDLLSSHMTEEIEADTNDIPELGDPNTFRPDGKKTFF -GEFIASMYDDLSMVAYATYFGKDEASACANLTSLMQNVAWTHDVDPVDLFAVEWAVELVAKHPDVFGETW -RPLLQRTKLTPEGYSNAIMRAILTQNPEGVADRLHDLVIARNTTTRRITSILQNTEIPWCTEVTEPKKTK -FRTFTQILGDFAQQVTYSVLLKEITPLVVEQALFDGMVVVSDENQKWMDYRDWYINRGLTTPTEIAIKCK -QIDEDRQAAYKKQLEMVSSAPTTETHAGDSAPPPPPLQETQQSAPQGPPTIDQVLQQSDSEDEYANDAFF -EDEPAPTPSKKVSLKAALEQVSKKVINSFKSPQPPTAQATAPVPPPLSPFSATPVNGCVLGSKPDEQNGA -NQHVTLATSPVDEIHETRQVQPDIAKTLWNMANMKPMDVSPSAQEESSDVTPPDQEESSDSDSGYSTVSS -SGSVNLSYKDALMNRTPEETLTQVNAAAISLYNEYGDPSVKLKTLKTLPKSSKPLHMFVPKDVMEGKDIN -LKTLDVYLPEIDLPTGYWALQKVQASHSVCSSNCYSTSISCYAGYKFYIAATGTITPGEFWNSAQVFGFT -HTMLAMRNYSYSTFKKPILMCMAKYLSDMDYVSKEDLNAILSVASTQXXYRYNQLVNMMHNVQPEASDVV -YWQEQIKAFNSSVKEACINTYDLARPVHLSLRDIGGTVCLIAKAQLGPGKFINLGPATTATRDLAQITER -LYSALRSQVAPETYTRYVVGDKEMTIANEDYLAFMAQSALRSAKLSVTEDTSPWGPVMKLKSGLLLNETT -AAAIGPKKTLSDINRTTVRNLLKTDPGITVQLMLEALPHFDFEYDPLPVMGDANRNIVDSFWESRIDHFL -KSVIFKFGLTTTENMLKTTCPKKWQDSDLPTLLPAYQTIETHAIDFGSALDSIFALNYPDGTQTGDHHDL -YKKASKLKYDKDSDLDTFFQYAASPKKRDLYIINWVMYVPNQDEGSDDINYLRVVISSFGFYFLKMFPVL -QRGNTKYYFQSAFLGPDHQSAQWSELSNCQKILNEAGCLFATSGLASRPTVAATNLVSKLFDAKVGSWEL -EPYLRCLGKTQRFSEFITEPVSCATMLRHSYYAPDAKIQALPIRPSKVSTLIGADVPYDAELTKKLTDAL -QTFRLTGKKKDLVAGATLNDMVTTNAFMSFARSGLKLADTFEVRNAFQEDKKKAYASIVKSVSTVLPDLK -NDLAFQGYMTRPKKTLLAIRDKKTSKYPDALARAIAAKELHSSLYDKFVSAFKIYSVLALVCSFIWSLAF -WLHKRPMRAESPEFNQDGFELAYVQDEHPIYPSLSILNPSRMFVRFYSGPTAFYAVQFASDLFVMNYHVY -DEMRKVFNINSHWYMSHSFYGHSSQTATIALERPTFLPVLEDQPNDRIVVRIPIPQSLFIKQKSALFVSE -KDLARASEDQSHYEVIVAPDARLSRGTFQPSGNIVHTSRSNHFVYYSETARGDCGLPVIISKGLLAGQII -GLHYASSESKYESSQRICYATVVTQEFLKKAYDSYMNSSLRFESQSLKELAAGGDTTDFMRSFVENNVEE -LHVLPLKSRVHIPDKTVYVDATCKTMAEQIIGPCTEQPAILSQLDPRANGVDPVKMNILSLNENPALTCP -YDSQLLSTVADEVVLSLTKFRPLAVETKILSAEEAVFGIPGVLGPMDLDASPGYDLMKLMPGSHKKDFVD -LENHTIDGSIVMQVEQKISLMNQGYPAYQPGENILVMYLKDELMRNSKVEEKRTRAIYCNDFVGGLLFRR -LCGGFLAYYYRNRVRNNSAVACNMLSADATVVLKHLTDGFDHPKFIMGDYKGFDQHYHPAFQRMAYRVFC -KCVRLLAPDVPSTLAQSLINHDVYPTIFAGPYSFRTVASHFSGCCFTTIINNIMNEMYMRYCFHKLYPSL -IFDNNVHCIFYGDDHILCVPDTVDFSFSFIKKELAKLGQVYTPATKDGNEQDYYYNISDLLFCSTRFAPY -HGVYMGVLEDKVSRRLFYYARRNEAKQDKINRFQAYKSIVAGGSRENYLQHLDYCKRLLMDDRDYTPSCF -ADTQNADRERAFYAGTFDLSHPAFVAIDTIRPESQLPTAPLYYPAADQPPTVTMATHIVQSHNSDPTATS -STLVPYAANAGPQDIPLTAANNVKRDSFVVESSMDSGYVLKSYAVPNGLLKIESDKSTQTLGLRSFQLVR -FNLDVLFMLVSNVTVCAKLAAVFVPFKKSTAFVPGSTFPLASYRWLPYIDMYPDNNTLYDFNVPFCCPQT -LQHSSELTSADKYWGSLVVFVVSHISKPTQVGDVPIAANATIDVTVFTGLKGIFNTLPKPVYRAEALEAV -SSVLRATEVAGEVTAETSRQLRDTLAKFLWGCDEFTVNNKSIAVYQKFPGMPSSSGVTPTTTLDILPTSR -SIQHVVLTDPESMWVNKILEIPFYVKAFSWNVSQVRDTVLLQLPLASIFLTSGSTVPLNVVLLNFCYLYH -ADFEFIVEVIKTPYHQGALRMTVSYDGQPDKAQLSCYTGSAFVVDKESVFKFDVPYNSTLEYLRTVDSFP -PDSRWTDPRYTMGWFTLSVETPLKITALVSTEIECILHVCFKHFYGVYPRSFEPFTSSSSYLRFESQEPT -TTETGPASEPTSLDAGHNTTVENKIELPNPEYKEPARMQATQHANTFKPHNYYTENSGKKFEYSLSSLLA -FEKRFKRLPASALIPIQDDSLPQGLALHRVDFLQCLTFSALFSAYAGSFELRLLYSGNRVPTVFCLPMPP -TEDSNTNYFPSFGLLPDCKATLQTSIKLSSRGAPLPAQDLPAPVGDTMWYVDVTVPFVVQENVAMLHADT -TYSTSASYQVLVLTSTECSLLVYARVGDDFAYHYHALPPVYTVTQPPKSEDFVMGQYVFHG ->ANA09089.1 polyprotein [Posavirus strain 9676] -MSKLKDTNSVWSYLQARTYVRGLHLVRNRNIRLFVNYLGFLVPQLDWLSFVRIFEDPLGLIMDDWNYHEH -LGTSRMCPACKKGATGHYNYIGWAIEEASKHLLRFTGYSDYEWNDLPLDLTAASSVRDAYDEDGFLREEP -VWCQEPEIVGTEGGGELDQPGDIFCDELPPRVMPREEEQVWEEDTGPFLDPDVVVKDGIAMTSDIAQEIR -EPTSIPVDFGSPETRTAVSSSEYASGYDPRDCNLEPGKPANTTDIRYVNPRTGLVWLPGEREAFEKATES -VLQQELVQTGPLEVAPPHVPLTLDEQVRLALNAGATEVEDVDYSMYFRHAGRKELVLPPPPIYQCLSQDN -TGQENFRIDRENRRAEQRWMEECERILNVVPSFGIVGTKLYHYVKPVITKTYQPTVEQDYDELGLRIVKK -PIKWEDALELLREGKYNPDEWNIITHQGSKIDRAWNPLEEDDDDESPEVQEYEEYMRQRAEILEQQRCEE -LRHEREWSECQQQQVLEWEGEGGEEVNTEILVKAEKKTKKSRHRQRKPRREKDLVPDIGKLKAAGEKNYN -DKYFDDEDFPPLTADITETALSEESNDQCTGDQPHENDDDVPDLVCLEDDETQMEVVPLTSGAMVVEKDT -TVFQRVLLDAREEDVSQLGEEPQISWGEYFADMKSAANLSVEAVAVPGMKGKLLPNPLVAIRTDQNYYPV -TKVSMVPEVTLAARKILSWAHGVVADSKDLDVDLARGNMVWQNEWAKRFLHCPRFSFVAQYQNRCPYIHW -HEESCLEDHQMRDMKCELHGWSHARDWLDYTLQIISLYHNMDPKVPRYYLLATFGVPVVIKMIHDSPPSL -ARRADWIRAYTAGGFARIGPYMGQGPGIVQSVFQPFSSFKTAVVNSLGDVKNAIRAAADYILDLIVRILK -PVEMMKRYLVDLVRNVIDYVYAQLFDFIYEVGEWTTRHSHLVMTTIEVLVLLMLVALGLMEWKTAEIIVG -ATTASGVWCSVFQGQAPDNPLLSAMTLITGIYYFLRPMQVSEIRDRLAQLSLILTTAGVVTGSINLIYFL -IPEGLRLALKYTFGGNTAVVTERVSAWRSRVIALNKLSGTNDVLISKEYYDLVKKSIGEGLGFLRDAPPS -ERNNVMTMIPPLMKLDHILWSYQEARRDRPLPFVLHLSGRPGVGKTLLVHTILTKLGYGPSDVYFRPVSS -EFWDGYNGQKVIVYDEFLVGSEMAERIGTEFLQLASTAHFQVPSASVENPLVGIKGTYSHPEVVITICNH -IYPVVMSIPDDALHRRRSMVVNFDFTKSAKKKGGNTVDLKAYAPEDYAKAPWVECRLYPPQPDGSSGKIA -SEKGIRFEEFLELLIQDYDQHAQVVKKLLSAHMISPDSMSPADILNKALAQMEGVPPGPVNIFTYVTSWM -GRIGSHFLGQGPVVECKNGICTLSDSACEVDAVEQYLGSWMAPQCPVTYHEMVVSRVSHPSGWATVGKAA -TMTAAMIGIVLLARAIARRFGDANDTVTFISEGSGEPRRKKRRAKDKRYHWGTLDARSEGPFVQAELVFP -HEMGSRRITVLPLKERYVCTYYHALLGLPRNKPTVSVQLLYAGRRYTANVVWANVRVDNDNDLVVIELEN -PQIPQFPSLINKLVSEDDFELITELPVMVSLLRSGSVVPVMTTAVKAENRSYVVDESMVTLDLALVYQAD -TQPGDCGSALMVRSGPYVGKIVGIHVAGKSDDMGPSHGMAVVLTREAILSALEHKQVSVPEDAGFVSQSV -EVETLDSGQEIYLPRQTKLEPSCIAPYLNYPTKQPAILSPADKRNSAAKDPVDVFLERLCPNLPEADPEV -LEEVRDSMIHYYARIKGVLPWKILSIEEAIMGLPGWLPALNLDSSVGIPLCYRYKHGKREIMDITAQELR -MDPGFIDSVSSFVKSFIAGKEQAHWLGYLKDELVSENKIDAVRTRVVYCGDVVAMVAFRMFIGSLVANFH -SACKIVPHAIGLNPYSYDMHVIQQYLSEVGNQYVAGDYKDYDNRQHPQFRDVAFQVLKSLALRIEGFSAK -CWEMIARYHQSGFVQIGNKLVKQISGHFSGSFLTTIVNCLVNEAYIRYVFRVKCPGLNFDENIRMKCLGD -DHIICVRSGVNFGFSVIQKGLADIGQIYTTDDKKTTGSEFKRFDEVTFLGARPVVYKGRWVGSLKPDIIQ -RMCMWTRDKDKSTYLLATIAIEYATLVPGDFYEVFKDQVLEALQKAGLPTPELRPRKVVATEIANRTTQS -GLCFFGHGAQDLCYALKSFEWPMSAVLGEFKECLAVDRLFPRVCRRCELCKLARGQGRFCRKRRSDTYGT -SGACFVPCQIDHPGTCTCSSVDLVRFHGVYQSQGPVRGPGAGTTLTKLDPPSDVAVARANTDSAEKAGAS -DHLSLEQCASSYIQRASAEWTTGQAPGTVIYKCSVPFGLLAQGTQDSVQNMPFERFTYWKGEVEVMVQIN -GNPFQQGLLYVYFYPLSMAGDSVPLVNWPSLLHATLQPGVMNSAVLRVPYRFPSPVMLIQRVSRETVQQY -DLGMVCVGIMSQLRSVENENVNVTVYVRFPSSEFHNPRIRLPTSAFVAQGSSQSTVNNNYTYDIKDVAGT -LGISSSNAETGQQITGSLGLEMPLDNPPLASGSVPTAPAFSGMARSMGLEPTVPLSLNPVEADRYHKGLF -EPVEQTVSFLMSRPFLHSSCVWHMSNGAGDVMWKIDFDSCLSYPFDGNTQIGVPLGVLNMFHYWRADLHL -RLKVVKTPYHTGRLRITTAYDMSAPNLESSTSYFNKVFDFTELDEGEMIIPYMAATEFRRTLDSERERLP -NYRETYGIASVSLMIVNPLRLLSTAVSNAVDVLIYAWFENAVVSVPRSISSVKGMFHGTTIAATQRAFKA -QGPFPQVKEDRPVPDRLNLGQKFEYRVANILDIARRMSPIDLQNTIDGTAYTTVKSLVTGVPPNACSIRV -FPSTIAGIFYAGWCGTLRYRIFMPGATELFHQVTFIPLPLWSQGTRPWTTGDMAAIAFTPSTSVTSSTAP -ISAAGTSGAYGAIIGPHEMLAPLSNGKDWIDVSVPFRSTFSFLVMPSVTPSGSLTQSFTETYPGTLSFAY -TANSFVYFKLLAV ->YP_009333148.1 polyprotein, partial [Posavirus sp.] -DDDLGKFVRPEFCKCDIIVHQVGPRGELSICVKSCLPVDDPSVDGFDTDYFYLPDLYTFIQAYMFFLEES -GQEGEFVLSYDDISKFSKTYNYTHSEITWMSLLPQLCVALDSSKIFEDVGILKNSSSVACFNDVETASIY -FYWKNVAISAYNNEDYFRSALAMYNMWDLLTAFTDYDVSTLLFIDVLNIDPICCNISPEGITDTISNFFK -GWVGKTKESFSSIVKKCVDSCLGLVQQWLSESVWKNLSEAIYGFLDSVIDPFHLLDDLFDKLSAIWKKED -EAASLVDKKHVTGDYGLRWGRLVCKFVVVIVTIVLGVLTYANWDNLMAVVGISKSEAMEGPVVPEGTNSF -ATDFITVLSLALTPLLGSSCKNEQIDLIQLTRDAMSFTNFGRTVGNAFVAALNVLPDCISGILCPLFGDE -KQRVAYYTNAMISRSDVILEYSKVSSVYSQQGFKDELADTLRWAQPIMKKFSTNSAMNEFRNRYNMLCRI -SQQLTVSQTMKTPRNIPFWIHIAARPGVGKSTSCRYLINYILDNFKVGNRSLHGVWYRCPADPFYTAYKG -EEIVVWDEFLNEADPKKLAEDMNEMLSICSSTPYFPPLPSVEDGSSVGVKGTPFKPVVVITMNNNLSVNS -DKEDIEIALMRRRNMCIVVQPNPTFENKFSFEWNFDTSKPEDRAIVSSGNHLLFEFYNKNCFVIKDPNVE -TNVMHPSVVSYNNLFHVISDFYNNHVKVNSLFNPNVEELLFDDAKKIRVGNHFVYETTIADPEAGRTFIP -GDKVVILKNKKKNDLIPAEITEVEVTEKDDKKYVTFLDPYTKRQQFVDLDSVVGLLGIVKNRSRLDVLVN -EPLLDNTNECSQVYFDNKFSARRYLDQDVINQYIASFQKKYSFHKFLSKDVENMRVSMSSMFKIKNKDEI -YYYFDLSNFGSWGYYLFEHVNEQINFEDLEPVERRLKRVDIAKGWSVNGSNKNEISFKSFGMKLVYDDKS -GYVTLWLPREFEPYCKFLPVDATGDNLLSYVIDAPNTDEENGHKRGVILMNAYQKWVLNAISIYYTAKNS -VFSSSFRKRPDVCKNIFRDYMSFYMVPALAEDSDMAAIPAFKLYGTLNPKFNVCFYKNDVTYDLKMYDVV -RVDEEKQEHVLPCIGTKTFSDIDYEDYFNRFSLGLYSNFIKENKMKLEDFYEDFVSDDWIAFFYRFGLSV -NSDDLVNGGIDLRATSRVLDPANALNIIGIIEYMRRDPEAEDVLELSEEDDNEEDVEILKKKHLIHSFVN -DGAIRNVGGIRGVAPKDVFLSADINCECEYGASVYSFKLNKMRLVVISNKSKSELDDFLGNSFTYLTHEF -TIPKDYKPVGSLAWNYKDELCAFVVEDCMKLDDKNKIVLQRICHVQVPKLKFIFHRFFAWFKEYLSDLNV -NVRSLSIGKLLDTVFGPQFKDLKKVADDEGISLARVWGEKIGKCVSTASIITSIGYFLWTRNSKKDESAP -ILPEGDSPPSRQASGRSFELQDIRNEQEKVISGADVRRKLKTLRSIPNAEGYQYVDVFFVGPNFEGRGIA -VANNKIITHYHSVANELLASTDNPRVTVTVINSGKRYSGSVDPDSLKMIPNYDICCFEFTCVTWNPIREN -LSCFLTKSDFFNLHISGNNRTGFMKVDNYRYNFTYYYSQAKVHYDFTPITDNETRTVYLPEYITYNVNSQ -NGWCGSAVLTNRTGSTPAIVGMHVAGQSLSTGHVAYATILYREKVEALIGIRNLDETLVQQVSGKISPES -KNVMEMKDCPPDWRYFVPFNTKYHLSIVGEDEVFDNPVEPAILSKKDYRAKGVDPFDYGLNQLMNNEQAK -INPDILKRAKSELIAKFHKDNAIRMTPRTLTLDEAICGIDGFLSPMNSRSGLGYPSSAYYSSKKKDMFDF -ASTPIINPKFRVDMEDYIAKWNRGEITDIYVESYLKDELQKLSKIEEVRTRLTFCFDTRFNIMTRMRFGY -ALGIITNMHNEYGSGINPSSKELDYYIYKYLSEVANGDKKCFIAGDYKAFDIHMQSAFKEAAYDVIYSFL -EQFISREEWDRFVYLSSHPWVRVHNKMYKFVSANFSGNIFTTVLNNIVNSLMIRYVYYLEHDKYKYDEVI -RGVFCGDDHVLCVDRSKVEFSGKDLARLMPSLNQVYTSDVKDAEIEDYRNFEEISFLGCIPKKIDGLYIG -ALKKSTLESHINWVRDDEIFDSIVDAFVMYACVHGLEYYQTYCQKIYSSYLRRDKRFYFRPYEATLYMLI -NNLSGNPLPTIESNVTSTFSKPVEIGVPGETAYINPSQLNNSEDVGAVATNYLWKDDFRWSTTHAKGAVV -YNRTLYSLVTSKSTDVQATMFRQFMYFSSDFSIRVMTNGNAFQQGMLRLIYVPYNDSYNFNYADLMNFHG -INILPTGSGDICLDIPFTYNTALARCVPKYKADTPPSDSVSNVQSLGSIYFVVLSPLAYTSGQDVSMSIY -VALKNPNFQIPVPYVVPESSVSQAPNPLPPPLPTQDSNANPVKDNPTTVKTKEEVSKFKLHKRLAYRNKG -KRQNKKPVLNTKGKPLGRRRSDIKGDVRKGPKFTKDERRAYAKKMFLKNQYLKREFLRGEGDLTAYASCD -KKKFFLKKKKKFSAAWSDVNKILEGSSDKKVEDVVTMLSATMVNGFGLGANFIGPVCDKIESMAHIFLKE -GTYEPTPEFIEGVLSVGKLLMFFDKPMNFDLDSFVPKYPSMSNAITSVPTYSMQLDPSAIQGKGRAILGT -IDTNIQSLLSKTHLFQFNWSNTDATLTSKLDFPCNSLLSDIAPSDDRCSVPIFTINQFKYWSSDIQLKFH -FVKTPFHTGRLRVICGYGCYNVSEAQSMRTTSFTTIINISDEDDNKTVVIPYVSMFDMLETAYSNKTGWN -HGDTNSFGYVVVEVANVLSTSVSNIKPSIDVLVEASFVNSRVCVPRSISLFDTTPSSVGIKPESAIVGET -AIEDDGDSVEVDVHDDDIESHSESDEIAIQAGMAPEFDETNAHQVTTVYEILRRYQLLSENDYTYVVNAT -KKVGNLFVSTNFNSGLATLFITQTGGLNYRIFIGAGSRLLINYVPRFYGRNTYKYLTNVGNIPAIKPVVG -YGVTSSNLHTNHPIERSYMAGNEGWVDVHLPYQSIVDFAVYDSYGELCATIINDDGKVPSYVEVWISAGD -DFTIGNFLPPKHYYVTGVSNTTKDSLIIGDVLA ->ANA09085.1 polyprotein [Posavirus 1] -MERSINGWLSVSSGALVVAIPDVVITYIASDSNSCGSTVSSSCSTNSNNVTGSNSNNATDSMFEKLSYVN -IDNVLDPDIPHVTEIHRKRISDDILESQGCTCSEPILTPRVFSFSTLGMRKSELFDPLYLHKYNKVFCNS -YHDITLSMIKNGSINLDKLDSYCNGKLFDGQIDPNAHVPIDFVFDHSNMGIILVDPMKTISYCSSLFTTV -INIVRIYDQVPAAKWRTADHAIVDLINQNFSLYILSFTQEELMQYAIACCQRYHYSHVAEFVNVLLTYYQ -ILSTFISDYDCPASKLVVSSFMARYSLDHYWQYAEAQDGIFSDFWNLLKSASGLFKGTFETVIKAATGVA -TTTLTKSMNFLANTLDPTCSVISSVLNSISSVITKLFTALNITIEWVEEKADDIVTGFEALFDLISLKVK -YTQKNTAKLTRVGLAFMLVVLGVWIACQIGFFGYNLLTKMLDILSKFSSSYTRMEPQGADVGPGELSIPG -TIISLICIFVCGWEYADHPIINNIGKLITRFAPMKASMEKALSCVCSLLPACISRFIFSLSPESSDAVLA -DISDFITDVNVARVFSTTPSAIISDEYVNILSELMTRGDALVKRVSADSKIAQSPLYAPFFQSLSQITAL -TTNIVQIRRSSEARPRPTWVHFFGDAGAGKTFFVQNASTLFAGIRRFDGKSESCPKSYVIPTASQYWDGF -QQDVYPITVFEEIWGVLDGQSALQIDYPNTLLQLMSSATFMPPMAAITSGVVGMKGTTFNSTLFLSCHNN -ALPNNWGGDAYALARRMNYIFKVIAPTSSKPYCETQKMLDSKGNVHEVTVEYYCGRKGIDSDQDCFMMKY -AQGEKVVVKPCVLTVDLYRKAWRFVPYLTQVQNNTPEIIATELAKNPADSNSLKTQSLTVDEVVSNILST -VDFNVAGFLKSAESSGLKYNLKLKESYDALYRAIVFEKNPQKVFEAIVDNQVEAVRDNLDPTEGVVNNTL -EAVDDVIDGVIAEVKNQSSEFQSVNSDSDPEEIYKPDGDEPAPAPDPDPDTAVSNAEAQGPFSKRTSKSS -NDSSEVELSQFDGKVDPQTFLDNSRDLVRRVTNAMKGGPRDVEDPISRKIIRGLVRTLPAVKSNDPIIVE -PNVAGQNITGAVLNAAFAESYGTLFESLFAVVSTGLSYSPSLANCSIYFFGQSISDPKVPFANHPFFSPK -FNTDIFYQYLSLIRPITTIAPGFPAEIKSHPLFIIAEDSIYYLVDRNGTVIRSMDDLRVGETNSYGVSNY -DFAILSPTNPIASGLLYWNENAYQLVNFDPVKNVSALVSNGQTARSRGAYSPFIIQHSDDPFAPSCYQGG -WKICVHCLRCYHPVIYPLLEGSKCTSIVSHGYHSLIELSEVSSANLSALSFTVPKPDYSYFCSMAVLTLA -LSKLTDGTKRVEHRSLHFTYDLAKVINESQLLKGSGKDVVETASTMKVSTCDSYGVVDTMPSIPVLYDDD -VKHVDVIDNSVINKALTPQSHSFVSYLVIGASLCGVVYALYKSFFPSKAKLWESQSEPPTRVTAAIRRNN -TPKYSHLSDLKTVPNAVLKGEAESAVQMTTAEVTVDGVTIKGFMPMSNIFVTYTHSLVKLLKSHNALDSI -EVKVHLSSGDREYYLRNYEPDPNNDVVVDLENDLLAWKLPPQVQSVPDRLSLLCTNDELASVVNNQICFA -GNGYHYANCTDSPITYQAELLENQVYEYYHRHSCAYPIPTSKGDCGTLIYCVSGPAMNKVVAMHVAGFRF -AKTGAGVWLSREVVIGLLNELGVYNKQSRSKTTVVEVVDTVESQGPNSFYNRLKDLSGPNLVGITRVPPA -EQVNLPDKTAYVPTGFVAHPDYKFKQPAIMNCEDSRAKGRDPVHINLEEMCSIDVPEVNEDLVKKCSSIQ -IQKLHHDIDFPIGARELTFEEAILGVPKYLSSIKLESSAGYPLTLYATDKGKKSFIWFEGDVCYVDRTFK -NQVLEIYSLLKAGDRAVFDKYPFYWLGFEKDELRKQKKIDGCQTRMIFCNSLLYTVAFRMLFGSLLCAFN -NNAGKSIFASGLNINSKDCHLYYSQLRKVDNPNHAPNLIVGDYSGFDRHYHPLFQKYAYEAMYDLILSKY -ENPPPRCAWDLFVEHELSTNVQIGDARLEFKHSHFSGCFFTTPENCLVNELYFMYCFYSIYPEGDWSDIQ -FIALGDDHLVAVPVEKYPEFNMIKVYEVMKEIGQVYTDANKNVPTVPFYSYIDSTFLGSAPKYVSDSYVG -ALSLETLYGNLGYMTKKTDFVALIESFLDLASIHDEKTYQEYWNWINMNSRAVYGRTFAYNYIGRRNRQY -ERSALSNDTFVFCYAQGPTTMNDINPESTVPTVNEPVSEVYVAGASKIKPSTLTIGTDSLMHWFDFTWNS -TNPRGYFLAHKNLPGDALKTNILQVLPFAYNSLWRGDVEICFQVNGTPFMAGALIVYFNPLRHNGYSTAI -ENTLTGEHVILQACNSDSVTFLIPYRYFNELMSTDKMIDSPSTECLGSLHVAVLSPLVSATATSVSVSVW -VRFPNSQFFNPKKPHTLAEAQGPNSSGSSGLSLGDLLGLVPGGETALNAINTVSKVANGVSKTLNSKFIP -LDNTPVAGGSLPTSMQFPSMSKAYGAYPTTSLQLDPSVVYGKSRELFDAGDTKLSSLVARTCILKNASWT -TSQTPGTALASFPLSSTCTSNSSTLNSLPMNLAIANCFQYMHCDFEIGITAFKTRFHSGRLRATVNYGGS -ETVNGNYVFSQVIDFSGEACTHKVLVPWSFIREYMVTAQGSYNESLGLFTLEILNPLVAASSNVAASVDV -MLTISLVNASFAVPTAIPPFNTAQVSVGVPQGPEQDDAVVETEVPEVLTNTVATKIDTTTPMSNFHVVDD -IMELARRMRPVNISKFERNAAYQTSFNSYALPLHPYMLFGADLFSAYAGGIHLRVKSNMSLFSYLPLWTA -DTNGDNYFAYEVNGLYSTSGLKITGSDNLFSIPYELSFPLPDGSNYFDCIVPYQMPFNFIASNKSIVSAV -PDTLGYLFGFSAEVPNGFVFMGAADDGLFGYFNPPSRFYRTTNKTKKAVLLGSLFYPATA ->APG78038.1 hypothetical protein [Hubei picorna-like virus 8] -MALICAYDINDLIEDKNPHLNNLLKVDNETLEIVKEVANEVWPFDNFELLEEIIAEFRIRNFNIQAIKMN -DVQRLEKSCMIVALFVQACNELIDGFPAEIPFLNVLAISAKSYLIFQPFERFSHNLASLLRGINGTDMYT -INDKQREQLIKGFQIVCSWYIQQEINKMDSVDFIAEGDNEELPVFISEEINLASMDDEQINQLLRDTKDD -DYFDYTDYVHVITNRFCHHKAIKCPCMYQCRRKVEGVSSKNNGYEFDETSYRDMCAILSELPTNLYADPL -EHYKDHFVVKIALTTSDKNIYSAGSRTKEEIMQMVYRIRGFYVESMPDVKKYKYEQFIAEELNDDMTNGF -FERIKDFLSGTYNKFCDACSYLISAFKSMICGLCNFMSNKLSKLIELMFAKVAGFVISHFDVEEFIKTNA -LKVINVTKAKKMAILMAIIFITLAIDVLAIFTFKLAKRFVDSIVNYFSRDNSVMDISNENMPELVSEGLG -PIAAIATLACTTIGLTTGETDVIKKKCDFFSSVLRAGTGISLIAGAAFVLLPSIFKDSLIMAFGKAEEKD -QLICEDWIIKSTCIIRLSKIAKVLASEEMKQWIKDQINMVPDLIKKVHSANYKGIVLRLFSDLMRISSNL -EQYHNGQQNVRNVPYSIHIAAAPGFGKSLLAPLLIEQAFGFKPSQIYTRNQTDEYWSGYIAQPVVFIDEF -LLNKDSNVINRSADEYLKLISPSKFVPDFASVDNITTGLKGTPVQPEVVLTANNSVYMNVSNFPPDALDR -RRRFVIECRMNPAKRNLWIGKNQIDVNKMTQEELSEVQWLLFDIRSPMSAAPIVKHMGLTYRELIQFLRD -DRNLQLSDNAKLREALYNDIPFEEDPTAKLIAMMSEMKGAPRGTFDYDNPINSFFSMGASFFAEGARTTF -STKKSRKNKERKNDKGQNKTVNFIDPDDIPSCSTTPPPIYTSIEDVTSYKSCDDDNSSVSSSDDRDTYPI -MYCSNVNKEKMHRHVCMACDRHIAVKRCDGELFTRCDECHSKGKPLNPFVLQQSILTEPLVFTDEEIDRM -REQRVKDMIRLLSESRFDLIYDDNFPHSFWEDMANARFLSEITSDISNRLTIKAKILTGLIMIYIAVVGI -RRLIDRKKVESEPKEICFVPESPRKDKITQPRRAYRMKAVNAFGESNKFNGLSYRLEINGTALPMQNGFP -IMASKFITHRHSLLDPNGQLYLNGKITIYYKDGVDTVNYNCQMVRQLKLNDEICDLVMICLPPRMKINAF -PNAVSKFWRDEDMIKYNMGDVCIHQVDGSMSTCRANMTTNKVYRHGNTTYRLNHVLSYCGVGNGPGWCGL -LLESYGYICPGMYIGMHVAGSSAKGRNDGLYGLAMPITQEMLMRLIEYEDENSVPTEVEFVAENSPFGGP -GLKSVQSLPAHERVMLSRVSKIKPNKISEVIDIEPKKHMPLLSSRDPRAEGQDPLVNMINDTLSVSLPEI -NHRLLTKAVASTFHNVRKGLNWVFPQRRLTFEEAVGGVPGLLTSINRNTSCGYPLCKITKGQGKKEYFWF -DEEGKLCYSELYKNLVMKFVEEFDAGRCDKGRFVAYLKDELVTEKKILQKKCRIIYGGDLIANTAFRMIF -GSFVIAYNHSYDKLSHVVGLNQYSYDMDSIYQYLTTVGDNFVAGDFSGWDKRMHPVIQKKVYYGIMKLCA -GLIHPKNYDSFYEHQVKSPVIVEKYLLEFENTQFSGCFFTTILNCLVHDVMLRYIFDLACEQSKVNFEFD -AHVRAKILGDDHIYCFSDEVKELMTPKKIQELYATIGAIYTNDLKGDDVGNEFRKFDEITFLGAHPRLVN -GQWIGALKKDTINEMTLWTKNFNEDVVDRCKTAMEMSALWGSDYYIEKTSQINSALKCCNFPVEMIKPWE -LMFEEVANRTAASQQTYPRFIAEGNDGKNEGLVNLNADDRVYSDVLNKTTKIGMLRNKAIAEQEQDLAFG -LESTLYRASLTWAPDDVVGVPISSIPLPFGLLSLGDSDNVQNMPFDRFLMWNGDVKIVFQVNGTPFMCGL -LVAYFMPLASYRCETANITTTNHVFLQPDKNNTVELNIPYVYLRSVMNTVARDTESIGTVHIAPLSRLSN -TAGTSVTVSVYSSFPNSKFSIPRPLPAPEARIARYYSPIGQVSTSDVTPAHTLFVAEGAGQSTNITNTYT -NIGGTMPLSDITNTNEPALDFAADVKADMKIPVGLDNPPCASGAVPVEFAYPGFSTSYGVRPTRDMQLMP -ATFSRQQCVIFDPAETRIDVNCQRMCLLTTIPISTSQVPNTSLLELTLDSRLNLAVGSNIPINIAILNQF -FFWRGDIEFTFALVRTQYHSCRLQGVVAYGVDGISPGSRSVAYSNIMDFSGENSVCSMKIEYNAQTEFLR -TFEGSKAIDKIQNHSLGTFGLYIVNQLVAPDTVPQSVDLLVFVRFLNVKVAVPRGVSPFTWNGYGEISST -TTISADASQAVPYDTNVATNNLWDSFSALPLNITSTDPSLAALPDGRYTARGIINVTGGGTTDISEVVKF -TGTFDSVPVTAYGVVCNPNPIWTVSLGVGYIRFGSTILPWTVAGSPIAPVVITIYKPDPDPVFLAEGAVG -DEENAEALVALDHIDPSATTAPSEERPNIPHKQEFLAKFEFCPTDIIEIGRRYVRNDFISNATLDQAIRY -STISKPTGTIEALKHVSTQVASLWRGIFAAWAGSIKYRFYTDTADYLEFMFQPFFNADDQFGVAIGDVLA -GNIAKVDNTSITSEISVIGPYARELAFPTWHRQYIDISVPFQSHFNFLYTSKTQSIAPISSGTLTIITGT -STEDVKVFTAFGDDLRLGVYRPPQTTKFSLNTFDNGVNGFWQG ->AQP31136.1 polyprotein, partial [Bat posalivirus] -GTTTIYKEPQQQNNITEMFKGLGSLLQRKQQEPEELPMYERRQMDIPRMQIPSAEPLNDKQLELANSLMP -QWNKQGNQVASHVQNQESQVASYVHPTGNQVASHVQSQQDNQVASYVHKQGNQVASYVQPQGNQVASHVH -SQQDKQVASHVQKQGNQVASNVQPTQVASHVQKPEYRRPNAVMDKSSLPKGTGLFLAGRTNRRPRKKFPH -LSHALNIRFEEIDREQESWKPQEYSAIATRLCQQWNKTPMLHRIIPEEVTSRSHGITLCNDLLHLNHKHQ -IEYTRYTGEVDQRYATLLEEIKDFADTSTLLRADIGAMLVQDPRLMLAAGTQEQLDTFYMARIACGINTP -IHSRLNVHDCTIMDKYITTPHKNHRNCYCQEAFKYRNHCHKCYDTWALALTKVIGQYSFYTIMSKQDKKD -FHTQLRLFPGAQQLKFVKNSEVAALLEGTTIGEDKIDELRKLAGFSGNFGPWIAMQSHMFESLKATLFGV -DPTVTINLDHVVITDCGKKGLLEKLQEIVKGVWQSITNFLGNIVSSAYAYIQNLISGIAKKLLNMIPGLE -TFKDWINQMKRMIYIILMMVIASFGIISIAVVLRLCGVISSGGSMISHSASVGVILASLIAIAVGYKYDA -GSSVASFFRDITTVVAGMTACFGLCTAIGALLPLGVRRWMVEKLGTSEQVADIRYQDWRVRALALISTSQ -VSKIVVSKEYSESLKKTLAEGAYFVKSLSGKPRADFLQNFFRLVAVSKTVIQRESQSEIRPIPFSIHMWG -QPGIGKTMIYPRILSTLGFDPIDTYHRNSSVEHWSAFIASDAVVYDEFLIDSETAPTIGAEFLTLISSAK -FQPSMPSVDNIATGIKGTCAEPKIVLTMNNSGWKCPPNVPTEAFYRRRNAVIRVTHNLEYFHNHQELMAA -DGSIPSNIDVSNIPAEDLESLDWLNFEFCDPMKPEKYISPEPMSFIQLVSALKLQYEHHAEINTILGNVA -ETGDTVHETLQSAIAYFHGFPTQSMRFSDLLTKAGFDMSSHGKNRSRRPSPPVTPPEDYAAAGLAPNVIT -IPTNPYDRHGNLGGIEGMPSPIINQWALFKSTVMRKYGSRVIEFDEGLGSARIKGQNENEILAVQTLADV -HAQKAYAAYKQGLDWSSYLSLVASEYWNCDSSFALTNGFIMLWIFGIISLCYLINVIFGTTSELPETIYF -ISESHSAKPQREHRGKRSNQPTRGLVNHNESYSHAQDNRISARWGNLSREMLFVDLGNRYVMTPHHLFTG -AANEGVTDITLHHSGGSYVINVNDIVSYPEHDISIFKCPLPTFPCSKSKVPKFITEDEIAQYNKMNLLAK -SAEPHIVGGTIVLDHRVVNCDRSFNLERGFFFTNPKMWLGDCGTLIASADTTSPGKFIGMYVAGGDQTGI -RTGLCNIISSEMLEKALQMLDPEIETTPTMESHGNIKGMIYVPKDERVHLPTETSIRTTPLFGQMSEQVL -KQPAVLTDKDPRTENELSLSVMERFDMENKSDVDLQALSAVRDQMINFYEGHIDNKFKRTELTIEQAIGG -IPGYLASLKVKTSMGWPLVKAVGGKGKSEAFHYWNGELKISEWFREAVEIRRNEMRGVPTQLNNRFLAYY -KDELVAPEKIENARTRLIYANDVTSVVAFRMIYGHTIAALNNSWRTTPLAIGMNQYSYDMNAIYEYLKSQ -DEVSFIAGDFKNYDTSYHRAFQQAAYKIFFSLTPNSTGEEDMYLWRHETECPNVQLGDTLYELDTLHCSG -LVLTTIINCFVNELYLRYCFLKLNPYKRFEEHIRVKILGDDHIISVLKRCNFSPLAYKHQLQLIGQTYTS -DIKTAELTDDFRTFEQITFLGAHPVKLNGKYVGAPKKQQLYQTCQFTNKRSLKNGEWIDAVIASIEYSSL -WGQAFYEKFKDEVFVEPCIEREWQRHVLKNGYHSWYVLSEAVASRCAGGDETWGFISHGGPTKGITTIRD -EQFEYGLDYLPDRPVTSRHGMNEPAATIEYGPESLIYRTEVEWTTDQARDFELLAVEFPSGLLKLGNTNN -VQNMMFERFAYAKFDIEFVLQIAGTAFQQGLLVANIYPLDYVNINKNLAGTATFFAHRLLAPNTNSSVVV -PYTFNFYQNFVSTTELATQQRSPSVLGTLSLTVLSPLVTLGKDTKVTVTMFSRFINPEFHLPRPVGKTKP -SQGRMVSHGNFHSNMNNYSIEHVVGNVPVGTTNAGSQQEFKPKTDLTIPMDNPPMLSGTVPMYNAFPSRS -KTMGIHTAVGLQHHPEEMHREPIMIGRNADTSVAFYCSRPTELTRFTWNAADTAEMVKFSCPLNSVLANW -NWNLTIPSCLQPLNLAMMWRADFRFSLVAVMTDYHSGRLRATSCYGTETYNPGDASVYKNTIMDFCQGSE -IGSVLVNYNAPTEYLARASDNSKIFLPFQSMGLFVVSVANRLVAPETVPQSVEVIIFVTLENVRLRETAT -IPWHPAAELQLIPPKMISHGHPDGLLTMEPDRVDELTDAQSVPLTDGIDSINKTGPCLLDIGRKFEYTWS -TFEEIVRRFMDYENAPRYNSTQVVGGKNWWNTITIKAGPPEAWGNVFFAWAGHLTFRIFFNVVPLLPPVV -RYMHNPSYDDVESKLPPEGAVPFEGTISYTVPGQPGTVQVTANKYPIVCPSEDLYPISNAHYIDVSVPFN -SIYNYLPFLDDYGDLKINHAGYIAIRYLSAEAEKGQVRIMVKAGDDFRMFMPVPTQNYQPLTNPAGIKGR -FADFKIL ->APQ44556.1 polyprotein [Posavirus sp.] -MSDNNTSVFSSCDSLKQSPADVMSIVSSSTENVVQNLGSSLCDILNHTKPSVMKRSHIRGSSLLSSGETP -SAFAKFLSSATPSSVPRSYSHLYSALCANPLYVTNYIPVCNLSEAYVEYLQLISDVQKNLRHFPGPLPER -HLIKLRRPLSNPTDAEQELESQFLSRKRSLSANVTPVIRAIESDWLPFGDSVKVISPTMLDWEVEPPISR -TGLNKLDPKLFWLLARAQIINLLGIDTDCPEMDQHLAQVMIRTNPLRAIALEKSLEVIIRNATIIPVLQE -RTLLELMELVLYTFETGEVLYLTQDEFITLNCFIIRPEDSEDPFISSIFEGLFSLMKREAYIPDDAIDFE -VINETSTMINLDNQTPEQLQKLKEQLEKKLDPDSDKANIEKQGWWTKMWTKVKSFFTRNTTDKIADVASD -TVLATIKATLSKIWTGCMNGLFSLYTFLADNAEMIAWVFIALTATYLISYLFYTSSDYDSTFCRSLFSPV -QRILHFKTSAIRPESMDPIPALVTTLSTPFVVAASATYHSSPVTVLRDVSTCITAAEKSSATFISAIQAL -PGALRRVFTKWFDPEHYLTKSESLALEHTISTLAQYLSSPSICQTTEFITFFDEVFQRINPLVRRTTLSR -QTAALWNKVTAFHSASFIKSKAGTFRFEPYYIHVVGDPGAGKSLLAPKLALETASIIIDNPTVCPTDLDL -TNYGGQDIIFVDEMATINPTPDQMNNFLETVSCLPFFPDLPSLEAHGTGGQKGTPMNALLVVTSGNYTHI -NMNSEMIEHAYNRRISMIVKFCPKHDVKLRPSEWTSTDDIASIVDRDLDIYFGTPDHDGNVTFKHATRWV -DLITELRMDLENKYQVHANMKHALGYHDSREIALANIDEIRSDIQDSLDELSSYMTENLSDEDDIPQLPD -PKDIRMEASNLWDILYEALTTKKGALAYLDSFGVDTGDAALNIRSLLKNVQWTHNVTPKHLFAVEMAFEL -LARNPTVFTDPAWNECYKYISMTDDGFSNAVMRAILLKDPKGVKSSLEPLITDRYTTNGSITRYLSHHRL -TWAEESKYETQICFSDWGDLLGSAVTDFGINRFVHIFGPETTEYALSRAIIFPSEADTYRAYKKWYEENN -LTVPQDVLDKVAEVDYNDAREEENARMAETLGDPPKVPTIEQVINQEESSDDLDAFFEEEPTPKPVTQPG -IVATLDQSNAASDANILPTTTHVTLGAPTTVDEIHDTKPVQPDITAGLANMSGFVPIDEVDNHHTDSEDS -DFSTASSTSTNSVRLTYAEVVKNRPQEEVLTEINTMASELYNTYGSPEIELKTLKHLPKSNKPLHMCAPV -EVMDGDPVDPTSLTVYLRNIDIPDGYWALQKVQASHSVISADCYSTQTTCYAGYKYYVVSTVPTASGAFY -NSAQVMGFTKTLLSLRNVSNTGFRKPLLGCMAKYLAPMEYVSTETLNAVLSYMSANEAEQANTLVTMMTT -VTPEASDVIYWQEQIKAFVRGSSEQCIKECDLARPVHLSLRKIGGAVHVIAKATLAAGKFISLGLEKEAP -RDLTLIVKRLYSALKVQIAPEQFSKYLVSDKTMVIANEDYLSFMANTALKDSRICVGEDTTTWGPMMKFK -AGLLVNEHTARALAPKNRIDQINRSAVRSLMRQCPSLVVQIMLEALPHYDFEYDPLPIMGDADRNIVDCF -WEARYDHFLKSLVHRFGLSATENILKTANQKKWKDSDLPSLLPSYETITTCALDFGGAMVSYLNIDYPDD -IIEGNHSDLYKKAAKLHYDKDEDMATFYQYAKSPKKKDMYIVNWVAIVPYLEQDSEDIEYEHFIVSSFGF -YFLKMFPCLQVNSRTYYFQSAFLVPDHQSAKWQDLTNAQKILNEAGAMFATSGLASRPTVAATNLVNKLT -GGKVGPWNLEMYLRCLSKTQTFSDYISEPVSCATMLRHNYYAPENRTQVAPLRPSVVSRAVGADVPYDPD -LTRQLTDALQVTRLTGKSKHLISGATLTDMVTTNALMNIARSGFKLANTLEVQDGFKKDKQKTYASIVKS -VSNVMPDLKAELAFQSYMTRPKKVLEQLKAKKTSKYPDALERAIATKELHSTLYDKFLYGFKIFSIMTIA -CSLVWSLTIWLRKKPIRAETPISGHAVDMMMDQDPRISYPSLQILEPSRMIVRFYSGCVAFYAIQYAMDL -FVMNHHVYRTVKDAFNPSSKWFFSHSFYGRKSDATTIELDHPGFFPVLEDQPNDLIVVKIKIPQCYFVKQ -QSSAFVSARDLMRASEDQSHYEVVVAPDARLSRATLQRSGNVVHTSTSQHIVYYSETQRGDCGLPVIVSK -GILANQIMGIHYASSEAKFDSPQRICFATVVTQEFLKNAYDKYVNSNLRSEGQSLKEFACSDSDISFANS -LQEQNVYDLRILPAKSRVHIPDKTAYTETTCCNLAEQIIGPRPEVPAILSAKDPRSDGVDPVKMNILTLN -DNPALSCPYDATVLTTVADELTQHLLRFKPLADEKRILSAEEAVFGIPGILGPMDLDASPGYDLMKTNPG -THKKDFCNTADHTIDGSLAMEVEAKLKRMQDGLPAYDPGENILVMYLKDELARVAKVEEKRTRAIYCNDF -VGGILFRRLCGGFLAYYYRNRVRNNSAVATNMLSADVTVYLHHLTNGFSQYKFVMGDYKGFDQHYHPAFQ -KKAYAVFCKCVRSLCPDVPQTLLTSLINHDVYPTVIAGPFSFRTVCAHFSGCCFTTIINNIMNELYMRYC -FHKLYPRLDFDNNIHCLFYGDDHLLCMDKDMDFPFSYIQTSLAKLGQIYTPASKTNTSPDYFYNISDLLF -CSSKFVPRHGVYVGVLDDKVSKRLFYYTKVGAGREDKVNRFMAYKSIVAGGSREQYLEYLGWCRTLLSSD -SDYPPCCFVDTQTADRERAFYAGTFDLSHPAFVSVDTIRPESQLPMAPLYYPASDQPPTVTMATHIVESN -TSDPTQTSSTLVPYAANAHPQDIPLTAANNVKRDSFVVESSMDSGYILKSYAIPNHLLKIAEDKSTQTLG -LKSFQLVRFNLDVLFMLVSNVTVCAKLAAVFVPFKKSTEFKVGSKFPLTAYRWLPYIDMYPDNNTLYDFN -VPFCCPQTLQHSSELVSDDKYWGTLVVFLVSHISKPNQLGSVPISAMATIDVTVFTGLKAVFNTLPKPVY -RAEALEAVSDVLRATETAGEVTAEASRAVRETLAKYLWGCDEFTINNKSIAVYQKFPGFANSSGVTPTNT -LDILPTSRTVQHVLLTDPESMWVNKILEIPFFVKTFAWNAEQARDTVLLEVPLASIFLQAGSFVPLNVVL -LNLCYLYHVDFEFTVEVIKTPYHNGALRMTVSYDGKPDRAQLSSYTGSAFVVDKETIFKFSVPYNSTLEY -LRSVDTFPPDSRWSDPRYCMGWFTISVETPLKLTALVSTELECILHVGFSHFYGVYPRSFEPFTSDSSYI -RFESQLPIEGETGSTEEPTSLDAGHSNQVDNKIELPNPEYKQPARQQATEHANTFKPHNYYTENSGKKFE -YSLSSLLAFEKRFKRLPASAIIPVKDSSLSEAYGLWEIDYLACLTFKDWFASYAGSFELRIVYSGNVIPS -VYCLPMPPVEDQNSNFFPSFALVPDLTTQLGSSLTLSTVGTPLPAMDLPTPVGNNIWYVDVTIPFVVQEN -VAMLHQDTTYATSASHKVYLVAAKAVSLFVYARVADDFAYHYHTLPPLYSVTKAPQGQNFTMGQFVFHG diff --git a/seq/clusters_seq/cluster_480 b/seq/clusters_seq/cluster_480 deleted file mode 100644 index 93cbfc2..0000000 --- a/seq/clusters_seq/cluster_480 +++ /dev/null @@ -1,282 +0,0 @@ ->YP_010084246.1 RdRp [Bolahun virus variant 2] -MEENDFDSLGQFAYESLAMFADIGVKEKKKRRVFSCIPGHLSQPIYGMEVDMMLGGMDKWHCARHRRIYK -KVKHCSSFRNESDPYGFLQDWIESDIIDFSKLRSRLSILKESCNNFEYQKLAHCYPLRRLVRAEVTQENC -KGYIKGAKKIIDDLENDLRTMMKVEKLKDNICFRDIQMGDFMDYRGCHFERDLSIINFRGRNVLFPTSLV -LCVADKCQSLFGLKLYWKLTDLLNRYPRQSIYETGMRLYKDFRKLRSRKMEGFYGFCASFEPLIVGHTIA -RPDDQGYKDLLEHQSQEMQSYLDSTGLGISLSRFLPPDDASEVEIRMWLELTGMVKIMGYPILKEEMLLD -QQREYGVYAHQDFNDETMQDIHGVMVRDFCIQYRDKRGRYPNFSYCPEDIYKYVSKDKLIPPQVMRDYRT -WSQVRFEKTLEFDYSPDLSDVTKDSAAALKKSQWPNLFDECAFRYHYGKPSPYRPDPGESITRVIDAFLK -AEQDLVRKIIRKRENNEYDEEDHIAVQCGKEMEHKEDTGRAFTKQTPNQRFFQVTLELNTAEHIFKFVPE -QSMTDGEVALANRQMNQYKSLGGDTDFFNCDLKKWCLNFRWECVWRAGRMYDELFGLNGIYEQSHNFFLH -CYTFCNSRLCPPDYDSGGTPLNGPFFMDNFAGGYEGMHQKKWTHIAVATIKLALERSGCKGTMMGQGDNQ -IVIIHYTKEQLPRREEVRARFMQQCELLFRDTGHTLKRRETWYSSKLHEYGKVRTYKGATISQGTKKATK -LVADVNDGLFAHDSGLSTTNTQTEAIAKGTQNPDVAFMINQILISNFLDRKDLIWGSGRSKAYNCRLLLS -FPTDFGGIPLSTYHSHAVRGHDDPVTLWLSIYKVYESYNRDLYRDVMRIIKLTPSGGLECSDWTRLIEDP -RALRIRTLPTANREISEISLRYLKSDEVTNPAIRRLYESNQSTEYSILVSHLAKMTPLYASLANVILKDS -NAGIGKSLQSKFTNIKTIEKSAQKFSEVSLTKLVAECNLLYSKELKARCMKNKERENIKVMGFSQCPTAV -AEQMRINHWSKELVGSTKPCHISQVVLKSMDDCTDEELGKSILIKLSRDIREGDCNKGLCSFGPYKGYVG -SKTQVKTKHASINISNNNSYTKGLKSLGRTKTWMELLGNTNLAKLCNDLMEEKMIDLSEIVTQEQLKDVH -DTVISGNPFHRLVSQVESTSSSINGMITLTSHFHQTSNLMQGMTSEGEDHRIFFQYIYSADMACILSIAG -EQELPPMICAIFECEECTIVLPDPKFDFPEVTLPSVPSNYIGTPCAGEEFSTYDFDDAYSKCLGIEIALN -VDENFKVNHGNRNYSSEDIHIVKTKISINDFKRCSLFVIIGAIVTNSDHCRNLIVENRSDLTDIGNDLSF -SGFADLILESGKRTELFSILGRDVSEHTMVTRAERLSAYISRNLNLFFLNHHNNIRQIVLPIEFRGQRHE -LPWNIKEFRRFTSMMTALRIPYNRRSYISAVRKGNIAAILSALEIEAVRVPVFRYEVVKHWRRQQVHGST -VVVSVERNMDVDSLRFPLSEICSHYNIALEYKLSATINREELSFLCRPILSLSSAASKYWECLSAMASVL -SIKTDPSVDQSYFCCLAEGSAGLLASLLDGFPYHLGMYNSKMDPDIDNRDIANDTDPPAVVASNNMHRIV -HRELSRGETDITQPRFLEKLRLALRGKRISLLTMDAESMERNDNDIHVENLMPIVYESDVERAIFKLFLS -SGLRDRVREIMDNYADKYQWCLYKPISSNPCGHEIFLLVDKHGTTPNIRLIDEIYPTIEGYMLPNPRLSE -ESFGSYVHASRIIYHLLNSTFRNASPTHGDRPIDQYSCGLICIHNLYNICNKIQTCEAEVLLTSSRILMR -GGGKVNQIFRMLHDLLFLLVHFSSRCYGLYGSVRVLEHLTVDKERVAQLVSGGAISSVLIVSLEEGLFFK -SWSDAKFFLRHYHRGSCLCSRTNNKPIPRTPGVVSRLLDDLKLLNYIDRSEYGNIFRT - ->YP_010084240.1 RNA-dependent RNA polymerase, partial [Shuangao Fly Virus 2] -MDCCSTTFEQDFFEEENDDVKEDVYGSYIQNHLSSPITIDDCEFLLKYDNFYCKRHKKLKEIIKEENIQK -TIYSTCKDPYHCLKKYISQENTDNKELQIVFEKLLKTSKIGYFIQMLNFIENCEGISQTVFSNKIDYIYD -QLIKNKSLFYNFIEMKKVEILKDKICLNKIKYGSLLEERNLRIEKDIFFFNCPDHGLSIGPTNLFINVLD -IVQSRFGLTMYWNLSDINEKYPYSMSELGERLINIFEKLRLILGRQVYKILSLWESLIVGYAINDNNKDL -GFNKLFDNTYNNIVNILKEYNLDFPKELIPATRETDLIKLYIEMTGLNKHFGHPCLEIHEGFNTVREHGT -EKVPIDDEIVNQSVGIFKRDFIKEYFNKKNKWPPLKKYPKEFDSLIKNNQYPGRHYEFNYHLWNMIEFDE -MFEYDYSSDTSELLKDSACAPDFDQWFTPYDHCAFMNLYNKPKPKLEKPLKPIRVIERFLKGDEWELRIK -ITQLEEGFHDYIDYTAVLCRKEQELKIEGRLFVKQTYIYRLLQTSMEGNIAKQVMKYIPEQTMTDSEIQQ -TRRLVDTAKQQGIEIEVFNLDLSKWNLKFRHSLVYKFGVAIDDMFGFKQLYRTNHYWFINAHVFNNSRLC -PPNYDDNGNPIEGEFFYKNHLGGMEGMRQKLWTIITISLIKLSAEKNNLSIEIMCQGDNQVVTIRYKPSQ -INHKKELREKFLQCLQEDLLKVNLKLKLEETWFSIRLCEFGKIRYFDGESISAGVKKIHRLIPDINDGVC -SFISSLSTINTITESLARFDYTPDSAFILNQFSILNFLHRKEILIKKDPDSIWSAFLFHPTDFGGITLST -YQSHFVRGNDDKLPIWLSILKTLMRVYPEIYKKTLLLNSVQKKLGDINYRKLIEDIFSLNITNLPTIETY -FKTLSLEFLNSDYVKNKEVKKLFDIESHCQIESLVKNLITMKPMILSVAHEIIRNSNAGIIFTLRNKFSN -IQTINKITQQVNRKDFLDLMKSSNKRLISILRKKMKGYECNFTNKVIREMNCPTKLARELRHDHWNLNMI -GETRSNPIHQFYIKSVDSCSDDEINNSILIQLSYEYSCVNKINYLKDGPFAAYLGSATKEKVKKPSLTIT -SKTTYVKALQQLIMMKTWLKRLNCKNLCLLIDMLLSEKQDIKEKIENEMEEEDWCAQNYGGNILHRFRSL -YERNTAIINFLVVAASHFKQSTNKLAGITSGGKDFTIHFQLILLMNIARVMKIKEFNNDKSPQFAAILGC -PHCTIEITDVQFDIEAPIKIEQVDSVETHTEVNQDIDFTYYELRFFLSIHLGRLFGRAIDYIFQKCHIDN -KLVVSAITLPILSQISVNDFRHIEIKDFICGILQGSSQLRNIFSNLNILEITFSHLKVFHQLSMFIINSK -MVKHFLIELKVNCYEHSQITNPDKMSEILFKGIFYNWVENLNYNMNIIINTWFPKDDKIKKHNINIWAYE -VFIKYELLEKIKDDSLLFDISKVNKLNKFINKKLNFDRDDRAKNIQEEEILVKFRKLPERPKPFFNQGKC -LVVVQPSKPELDFFNIYFRFWNIIDQNDFDQTKIDFKDFVHFFRQKGNISTSISKIIEIIFNFINLNHQV -TDIFSLAEGSGSILHGLALIYPESILHYNTLLNPNIDSRPGPDYNECPSIINSELIDNGRLVQFNKLAFG -ITDIIHPKFIEKISIELEDKESIFLTIDAESIQGGNNFIIIDKYMSIFNNHKNINIMIIKYYMYNGLYPE -FDTDYFISFSFKPLSSNPTNTETYYVVLSKDIIDSTTYNKIKELTRFNKSIKSFSKPPKMTLGILSVTYE -LFPNILNKINHYFNQLPFPNDIYSNLNIQTCDIYCTKFLIIFADMINNINNFTPDKYHLSILIREGGIFS -TLIRFVKIFSILFIGTLITNNILLFSKKILTFELDKDLRSKVELIHDTKLSTCYDLKMLLRRYNNSLRNK -CKCNLR - ->YP_009388622.1 RdRp [Culex mononega-like virus 2] -MYYDELEREAVEEDDDEECCGKAKQKKKPPRTQCVAGHLAAPIYNTDCEFLIETVRERKLQKSASIRRGR -LCTRHYRLSRRLEEAESLVSIYEQADPISFIQTWVMNTVKKNVDHDFEICLNALLNLDLQKRTTMDPYIR -GGLITEEEIRAANKRQITRVTEGVRALKGEYIAMRRAEYIKDKVCFKEIVEGDVINYCGVSIERDVGWFN -RGTEKIIFPTNLFLCSLDKMQSMFGLKMYWVACDAEEKYEGQSILSEGSRIAILLEGLRSVMGQDYFDFV -GGWEALVVGKVAANSDDSGCTDLYKCQKKEMGDLLAKYGKPRDLLEKLVPSTEIQNEVLLYLELTGMAKI -TGYPTLRAEKLLDQIRKYGTDPKREIRQEVLDDVMGVVRREICLNYRKIHHTYPVIAEMPEELSFLERNT -PCPKNQMKNYAQWSKVRFGKSLTFDYFFDESDLTKDSAIAVPRSKWHEMYDPCAFRHLYGKDPPPRSGDG -GSTCRRVIDAYLVSEPDKVRKLIEQREAGKFNPDDHICVECGKECELKIDSGRAFTKQTADQRLIQTCME -ANIANNIFPLVPQQSMVDGEIRNTKRIMSQVKDLLGPSEFLSIDFQKWCLNWRHASVVGIGEMYDELFGL -KRLYRDSHLQFIGCDVFCNNRLTPPNYDAGGRPIPGDYYMNDFLGGMEGMHQKKWTHFCEAILILCLERS -GIKGEIMGQGDNQVILLQYPKEAVNIADLRANFLNNLELTLRNVGHKLKEKETWFSKHLHEYSKQRIYKG -VAVSSGTKKASKIIPDINDGLFSLPSMGATLNTITEGIARASYTPDAAYFVNQFMYANLLCRYEVPFSKK -DHTLSNNRRQELVRWCLQCPADFGGMPMSTYYTHSVRGHNDKITLWLHLAMVAKKYDPSLFDGMIRMWQL -YPRSEVRGPLDRTRLYEDVYSLRVKSLPSAESKIRTLTLDFLKSDEVTNPMIKMLHEGDKAKPYEDVIIA -VDQMRPVYPQLGHELLRNSNAGILRALQSKLTGTKTIENITRQRTGVSLVDLIDQKNKELIIALQLKING -KVNIPENESFFKKYDCPSSGAEHLRDESWKVPLVGVTQAVFTHQVTISSKDQAVEEGRTVGVEVRVSGEL -YDNPTRCFKVYGPLKPYVGGSTQVKLKKSSIDMIDKTSYTRAFQKLGILRSWMEMMRVPGLVLLIDQLME -EKKDLFRELPEGVTYDELLSTVTSGCIFHRLQSAVDHDTAIVNCLPCITGHFQHSSNPLAAMSAGGKDFS -IYFQLLYVSNVVMLAQIGKEKQRHSQFYMMTFDCKTCTVELSNITIEAPLQTCAGTEALHKAAPQIVPGT -VLQDIRSIMSFQVGRVLAKNVDDNYTMAHVNTSTHSSEDPRKDTVSLNDLRQLDIKIVLTVMLTHSKRGR -SMYESAESILSCHTEDRSFAFFAEKVLEAGMRGELFKILDIDIGEHSAVTTSMRMSGFIAGTGGKFLRRD -KKYTVATSLMCEFRSDSDAGQSEVVRFCAKILHDTGKISASCVASVNRQLSHYRNYRLAKEVMGIEYIKV -PLAQDEVITVWRSSDRESVNVYPSRTKVHITGNSSRYPVEEAGLYHTTRAASAEAIRTLFSESCTFQQLC -YIARPLGFISTAGNKFVEAIIAIDMFNELQDLAIGSAGEDPYHIVSVAEGSGGTLSLLMKLFPGTKGMYN -TLMKPSISCREVVGDNLPPAMYDAGIPEQDFCELDLLATGETDITTDHFFAKLKKSLRNKKVLVFTMDAE -SPTHGNNLEFLPILEAAIDGKPRIMLIKLFFLFNFEPYLTDIMNHYPDYEWLLFKPISSNPTGPEVYLVI -KHRSLSSSSFKNMKTIWGRAKQYIGRSMNISYTDVKCHFEMATRISKLLGSLSPGKSMFMTNRFKRLFPE -IGCSLYCRRFFDNICDEFDLLHNYEVGSPLLYTVLRNKGGTGMMEKLVRDIVFLHMYHAGKKNFLEIILD -LMHVNVGDDLWKFRREPDQPFITRSVAFKSSFFSGWEDSKTYLREIPWVTPCDCSVEISVFPKTGTSLAM -VIGDQLVRNVLITHNDLAGIRVNHDESLRVMSDPNRYAQKLALPRSRRR - ->YP_009666282.1 RNA-dependent RNA polymerase [Drosophila unispina virus 1] -MSDAEDDLFDNQNQNNRTHRYDREKESLTKWKQKIEVLYLTVQKSIEENYENQRKEKIKIKIPRKYVQLS -TSIETGKGTIIGGHLASPITADDQEAIINFRDDSMRCPRHKMWNEIRKRNTIDYHVNSSISRCLIFPCIK -SLINQFIEDHVDENRNHITNQLKRIIKLGLKNTQIKFANFSKISHGSLEDSYNNYDKLFSSLKEEIELKI -HILLPIYIDKLRADIMKDLFTNRRSLFNKLIQISYNTYLDSETCIHYCSTHQKIVLFPVSQLIMVLDLMQ -SKFPVVLNWVMTDTMQTYGEWKILDNGIKLFEVIDRHRDAKNDNEFYSFLNKWDALLIGYVISSPEDIGC -VELYEETYSSLNYPEKQLVENYLLPQSNKISEIRGRFELIGISKCFGHPCLKVLDSLNVVREYGGMEIKV -CPTTALKVANKFKEYFCKSYYLKHNKYPPIKEGGTNLHDILSTHRRIYRSDDLKDHHWNLIDLDTILVYD -YSIDETELLKDTAIAPPMSQCYSQFDSCAMWELYKKRRPMHASELHQKRVILFYLQEMSKNIKIKLDQLE -KGYFDPEDNTAVFCRKERELKPSGRAFVKQTYLQRGAQVSREKNLADEVLPYFEEQTMTSSEAQVIKRQQ -QMTEGNKDHYTNFNIDFSKWNLHFRYSLIHPIGKVLDQLFGTKYLFSEVHRWFLSCKIFANSRLTPPDCD -SDGKPIPGPYFIDNHQGGGEGMCQKLWTLITIVLIKMVAEEQKVSISIMGQGDNQVIRLDTRKTHDPALL -RKTFLNSLEQKFSSVGLVLKSQETWYSCRLFEFGKTRYLLGSPVAMVTKLLARLITDVNDGIVTIQSSLA -QINTTTEGATKTEPTAIKTYTINQVETLHYFFRLKLVNHKDTDTFIEKFLYFPTVLGGFPSSTVLNHSVR -GIDDNLPQWLDLIRDLRYLYPSLYLKVIEMIDLNPLQRKNYLQLFSDIRSLPIQSLKSTENLVKNATKLF -LEKNREFVKNPNILKLFDPTLSTPLEMIVSDLSTMQPLFLPICHEIIRFSNAGCLLTLSNKLTNISTITR -VISEDTGCDFLFISQLNTQDIINELQNRKISNPKETIQLLEAPNICPKGLADWLRETMWGLDLQSPSKPP -PTHQFLLKSYDRIPANIRDNTIVITTTDHLKQCDISGLLTRGTYQSYIGNKTNEKFVKPIIELSIRDSPF -KNYKGLATIKSWTEKMGAENLRNLVLNLMSEKIPDLPEIVQTLDVESICPIITGGNIVHRLKAANEQRVG -IRNTNSTIGSHVRYSTNNLTRYTKGGDDTLLHFQTAFLYSECQIALLKITNNPIYPQYGLIINCEKCTED -VTVVKFDLKKQIHYQPKYHLNMTMETIADDMSTISGFGGIIEMRKTMSVLIGRQIGASLESEISTSMFSK -NINDHSQETGKIGNLSTYYYQTLNFDYMLAGMFYESKIFRKNAWERSIIPINSSTNILVKFSNQLLESGR -LNDLYNWLGKVPVSHGECFEANQLSTSILPDLINKCHDKLPQLIENLFIPVFSDDSVNRVGEIMTWLRGI -NGSIRSKISKDSHYTPTANELSVMKIVRQNFSTGVFKDSYKRGHQIPGQREIMSRELNSIHLSTFCEGNI -NSPYYKIVKIDCKLMLSLYKAYNTLDRVYIRSTRTLIPSYNTPPQPGNYVLGYPLSKKNILEEKYRIFNK -QEEKEKNFTLRFIQHSARIFGRISTGICKYLELMSSLNLLDKCNFDHILCLAEGSGSVCWGLSKFFDCDM -GYNTLLTPEVDIRKHQEQRIPATSLGDPEFSSRLRNLELTAQGETDITQPEFIRKFLIVIRQYDRILITL -DAESTQNNSNFNILQIYINQMLIRTNISMMICKVLIPEITWYKTLYSLISKIPDIDYIYGLFKPISSHPC -NGECFVVIIRRKHFPLLAQRKIEEIIVKNIDQFSLSYPLKSFSNDIFKDYIELTTELNRYFVRECGVVEK -NMIYRGINNSLISYGDICSEFCWNSMWNDESIINNIKKGLKKDNEMTLNMYTRFKGFERVYTDAYIQLVL -VILADYIDMKNTKDNLHLFFPHLKDLCIIVSNNYRTVDISIIREGDSVLLEYDGINLVIRVFDNDRCKEF -FRGFSVCRCPSDVPPKSCIRILNKFYLLLYVMSPLYADTPEILPKFRKLNYYDTSFKATDLLPWQVLTKN -QLGNFRRHVISSLVE - ->YP_009337182.1 RNA-dependent RNA polymerase [Hubei diptera virus 11] -MDDFKFEDHFTTEEVQQKKQNYSYIPGHLSSPITNDDAEFLLKYKNFYCHRHKVLKEIIDKEKIDKTIYS -GDCHPYTCLERWVRSLRPDPHSAEKRLKTIISTAFDYSTTQITNHLSSFQTKNCNHIKVIQKEQEQMKQY -FLENIKIFLPSYLDLLASETVKDTLCYKRINYGSLLKYHNIRLDKEVFILNCDIHGKCLGPTNLLLSVLD -NMQARFSLLLYWKRSDLQTKYKSSMYSEGTKLIESFERIRSTHLHLVYKVISLWESMIVGYSIKSPETDL -GFSTLFDDSFSEIVKLLSPTYSSDELISMLLPANETEDNIKLYLELTGITKIFGHPCLSVKSSIDNMKEV -SCPKAQIDTGVVENALGVFIRDFCQNYFKKHRRWPNMKSYPADFGNFISKNLVMPKSFSNRWNLWSKIKF -DKCFEYDYSVDTTELLKDTASAPPFSEWFLAYDHCAFKHLHNKNKPFLPKNKKPTPLRIISRFLQGIPNE -VEKKVFECTELYWHIDDSTAVVCLKEREIKNDGRLFVKQTYEQRLGQVSSEMNIANTIFRYIPDQTMTDS -EVILAQKISSAVKNQNQDYELINLDLSKWNSSYRHALVTRFGKTLDQLFGLKNFYEYNHIWFLKANVFTN -SRLHPPDYDIFTKLPIPGDYYYNNHKGGMEGMRQKLWTIITIAIIKYSAETLNLRITVIGQGDNQVVLIK -YREDQIDKKSELRNRFLQLLKTNFLAVNLKLKLSETWISKNLFEYGKVRYYKGEAISQTTKKISRLIPDI -NDGISSFMSSLSTINTITESAAKMDHCPDSCFLINSISILNYLMRRKIIHQDTPSPVCFMYLCYPSDFGG -ISLSHYFSHYVRGHEDKVTMWLAYYNHLRLYYPMNFEYLAHIINLIPSGKKNINRLIEDIYCLDVITLPS -IEALFKEKALDYLKSDEVTNPEIKKLFDSNQCISQQELIDQLKTMKPMFLPLAHEILRHSNAGILIAFRN -KLSNIATINKIIQSSEENSYLELMAVNNDAVREILISKARSRKRYSLRDSLIKENCPTQLAINIRNEHWN -LDLLGASKPVPHHQFTIKPLDECTQEEINMSILINTSREFAQSDLGAYNQLGPFPIFHGAATKEKINKPK -MEMFTKSSYTKSLQQLFTIGTWIQKIQGNNLMQLIENLILEKSSHIPEEFLDQELDDWCVSTYGGNMVHR -FRAAIERNSAVINSLPTTGSHFRQTTNMLSAITKGGRDWTIHFQLVFLFNVSVISRLKRSIPLLYTQYAA -YLSCNTCTQEVSNIVMDIDPITQYYSDTKPIFQPLSSSSTISCHPVYPEFLLRKYLSIHLGRLIGLTFDS -AFISTHIDQGGEGSRGSTKKSLQLSINDLRGVNFHDLIIGLLQSSHQLRDLIKEFSSTGVVYSTNQSLSS -FAQLLIDANLINELIVFLDVQGYRHYQMIKAEDLSEILVIGIYFYYQKHKKELLIEILSNNFLSEDVNRI -YSRYTWTYAEIKRNKFASNVINKYYERSQSYQRNIVMELIMRTLISITNHPHHNIRINIRAEDTIIMWRA -YLIQHPDFDRKRPVPIPEITTTPINIEMDNYIKYYNSLCSFQEDGTKHIKNLHHLCRIEGNISSAFTKIH -EVYMTLKLDTYCPTSIVCLAEGSGSIINGLSILYPKADLYYNTWLVSDIDLRNGPDQNYPPSYINSPYYN -NNRLKGATRLAMGQTDITSSTFITKFKQELSKTQIGLFTLDAESMESQGTNENFLSIYLPILVEMDFNIA -IFKMFIDSRSRIYVIEYLQKFSTIMYCFFKPISSNPNNNEVYLTISNKRINKLNIITNTISQFHDRTRYS -LSFLDYKPISTWEGIKLYIEQSEILSNLLLTFNIPLNDALIFPDRFTPIIKSKIFCGTYCIKFIVSCFEL -IEAINTNIEKISIYTILRTSNTLLSLTQISKDVVFSLFYYITLSKLNSLKLIENIDITEDTIRSNTILST -TDTSFKFTELWSNGKLMFKRLSIPPKSCNCSLHETKRIKIAKKSLSSKLFSETRNHIKTTFPEKRFHLIH -PKTIFIIEE - ->YP_009337121.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 7] -MVFLEKPARVRMTNHIEGHLALPIVNTECEMLLSCQSFKCRKHKLLKQVIIQKEKTYGKKIQSIYKSECS -PWICLGKTIEKTEVSEVNNKLSTISDVASKILATYIEMTLGVKVDHRKIPKIPVNSELLSLYKKKLQSEK -IKEEICYRSIRRGCLIEYNNLLEVEPDIFIHRCEEHNNITLGPTTLLLGKLDLYPTIFNQQLIWTLCDQD -ELFNEYQIHDYGSRLRQILNKLQYAMGEDFFTVCATVEPLIKGNILARKDDLGFTTLKAECEKKILGFST -DSVGETRYDKRSLPNSGLLQGLISLANEIGENCPLIGFELCGMIKSFGHPVVDDEESLKSLRENACKETE -VDKEYAQEIAGTVKQLFMKNYYLKHNHYPKLSTTSQKIKRMVAKNKWDSSMDNLPPQEFNKVTFQKIFDY -DYTPDTFQLIKDTAQAPDFENWTSQYDACGFWYQYGIDEYIPPIEHSQRRVVMRYMEGTEKELEQIITDM -DNGNYNLARDGIIMLCLKEREMKRIGRMFCKQTYSRRLAQTSMEKNINEKVFKYFPEQTMSQSELDLTKR -HASLMKLNYQLAQIVNLDLKKWNLCFRFLLVYFVGAFLDELFGFKSLYALCHLFFTCCFFLTNNRLCPPI -KGLDGKPKEGKFCHKNHKGGCEGMHQKLWTLITIGAIQNTAKSCNILVHIMGQGDNIVVILLFKPQQKDK -QNELRDMFLKRLKENLAKVNLELKMEETWYSKRVLEYGKRIWYNSKETTNLTKKSRIIPFVNDGFNSHVT -NIDTIATGTEALAKGDYTPMRAYTVYVSELLTYLERAKIISLTPKNKNELTAIFFVPKHFGGINISSFFN -HMMRGINDQLTMWLYIIRYLKETDNQLYDLVIRKLTTVSNSQRNYLALLEDPFCLNGPSPPSITGQVRSL -VKDYIKTVASNPELTQLHAIKNVNAENSIIYDMIHMEPYVPELAAELFSLSLVGYLSKLANRFTSISTLN -RVTQNSNSEIINFIDATRENNEQVVEHYRQRFKSRDIKDDLDNVFNEGYCDTQIAKLYRKLHWDLELKHD -TKPFFLHQFNIQDYDLVSDDDVPYTIIVSLSKDLANSKNAYHLGEGKFTPYLGSVTQQKTINPHIKMISD -NPMIHYIEKLYLYYTWMKLYKCESVADYISKLIKEKLPLIPDENIRANIQEWAPRITGGNPAHRLHSIYA -KVGCYINYLFTPTSHISYCTNHLKDLSPKGEDFNIQFQQIFIGFQGSIILHTRFFESRPQSMACTISCRE -CFEDVSDFKVELPSSTYFDQDLQATLPLLYEIEERQEQKNWLSVRMQLGIYLGVLGGHSYDHDNLAEKTY -SYLRRVDYIAEFRSIKFQDYILGLIIQSATLIKNAFDESDYCHLGTPRRGFYTLANLLLTSDRMSEFVRW -GDYQASHHSGLLSVDKASIVIHDIVCRYIRKNKATLLKRLFAVKYQDETAAQVYHKLIFYFVSSQKWKEL -SLIKDKYRTLQQSGLGRGFYITYILVQNSVNGGPSSESEFLLNNPVIRMIARQNDLFGPFIPNDYFDSQQ -VFNYEIFNPGLYYDTMTSHNVGEPIHCKNIHHLCRNYFSVSSAMSKIIGLINTLDLHLLNPNYVYSLCEG -SGSILLLMSHLYPNSLLIFNTLNSDTIDIKHHPGLVEPTAVMAHSCSIEKRIVNVYKLTLGSSDVMTQEF -VNKILTNLNKFEGSILTMDPESPNGGSNIEFFEVYHQVYINYFDDKGFQLTKMFYEKYLDKTDIFDKLDN -YITLYYIFKPPSSHPNNAEFYLVNSKNPHVSAKIKELKTDTEKCKNYFRKDTQLKTGNFEFFINQCISYR -KLHNYKSCLFGRGLSETFDVSACSSVCKKLLKVLLNKYSCCIKELKSFEITRHKQQLIRYNALIVNLKQL -VNLLTIEYLLMESRTVIDQVKLAIRIIDKMSEWSYHIQDNWKDVDFFKEKNTIFDYDKNLFKRLKGKCSC -GQGLSSRSLRFRLDLSEEVDQHKDLQLLNNVINFFRS - ->YP_009336728.1 RNA-dependent RNA polymerase [Hubei orthoptera virus 5] -MEAVPPDSHLSLPINIEECEDLLLLNTGCYNHQHLINLKLELGVTSTIYERCPDPWGCLTTWVSLLRFTP -PPLAELIQASVKSLKAEVSYFSKGQVHADLTPDPRVISQLQPLFSLKFKADRLKSKIRKRWAGNVLMCPS -AGEIYNLYYHATVSFFICKKHGPSILPTTLVLGVLDSCQRRFSGLFYVATTDTIPKYSFPLLPRTLQLDQ -LLIDLRSVVGESFFTLMNSWHAIVTGTIISRDQDLGCDALWRTVMTTLREVFGDMFPTSIIALMIGDCST -VEGLSASLELTGLAKAYGHPCIKTRAGMATMREFACAPKKVQVDVGETISANFKYHFTKNYFRIHGCWPP -VQVATWGHGVLRRAVGENTWPQGGEMRKLCPKDWAAIALLQALQFDYCLDGFDLLGDKACAQSRSKWPQV -FDVCGFRLHHNTKRPKTGERDETRVIVRYLQGSENEPLDVINRVNARDLDPEDDIIIVCPKEGEEKEEGR -MFAKLTYRRRLYQVITEKNIVPILKYIPYQSMTISELELKKKIGIMANQTTGGKKTVVVFELDYKKFNMQ -WRGDMVLRLFQDFDNIYGFNYVYADTHPGFHRSLVITNLRTRPPRPSPGRDPYPSDYCHYYQAGGQEGLR -QKGWTVATQMLILDFAYQNRYKIHLLGQGDNQVLLWYPTHAQEADLHNTARLFLEELEAYMEAAQLPLKA -EETWFSRHLVQYNKESYYKGVHIPNAIKFAVKISPDSNEILDTFDNKVAAIATGAEATANHDKCATGAYL -SYALEIILLAHSSQLLSPHAQLQDYAALPMWNRVIGGLPGSSFLVMTQRGVGDRLTYQLGLLQFLRRTSP -QLFTSILRLSPCSVLPSPAYKLLVMDPSALNIEASLNVEPEVRRHVRDAIDNLTSNPQVRSILDTTDEAR -ANLLVNILVTLRPYHGRISAELFRCSNAGILIMLCGLFEKTRTLLSITSQHSATCAGKGGLLKLVRDIES -AWLKKIQSLWTRDIKGGLLISTLNAFPCSYLAARHMRRQSWGFEIEGVTSPCPIEQVLIHPWTDVIGHSE -ERSFIIQTSHTFRLLGLDSWQEAGPFSAYYGSSTQEKLRRPANSPLEVTTQVKSARRLGTIISWADYVGS -PSLREVALWLLGEKKIDITGFVPEVRGGNIYHRFHTASDRMSSLVNCISSLNSHMVIGTSQMAEFASGGG -DFTIFFQSLFLYGLSFTWQVYGRMKQLGIPRLIAVTLHCQGCTQPVPTETLKFSSLLMPTLLATDGSNLP -VGDTTWETVVSGDIVLGCFVGRRFALSALTYDQEIGVDNYMPALIAPGGLGGVVTIPEFRNSNPHAVIWA -MLVRYDYLYRWMKDYGDGVSLLPLVPTSLCSFAGALIKSGLLPTYCNLMRLTPPHLATTSPNSLSHSLPL -GFFRYLMYRGELYSRFSLIKFPKESEKRLRKSLARVLGLVGIDLPPESQFDTLPDVEVVECQWAARNIKA -DMIMYTHTEERFGSRQVWKGASLCYRRTLMSAARGRLEIPWPLYHLSRGCGRISSAASKYVQVLGLPCFP -PSFDLIISLAEGCGGVLSTLLHKYPKARGIFNTLITDSELSQVEPILFVPAALLGCDLTQRVCHLKWTIG -GDTDLRSSSVVTPLALAISDLKGPLREVLITMDAEGMSCHDSQSIAVTIATISQLVEARGANVSLITKWF -LPYIQEELISTLSGYNKRTITASKPVSSTSLNSEVFLHSSTNKSHALRGVDEIGAVMAASVMMTSQLNID -ELISCGKDLAQLHSCCNLAATNLGELLGFHPSFLDGPQVLIERIKHVLNASWESFDDDPVKLHMLRVSGR -LQAIARMVVPLVLLLEMWGSSGSRICYLFRILSDIRITIQVKKDQPGWPSNTFLTVVVERSMEPSAVTAY -KDVLKKVARAFLALPGIPEGVGKPTTCLTQLIASEL - ->YP_009302387.1 RNA-dependent RNA polymerase [Xincheng Mosquito Virus] -MCELDISWCELEREGNDDGEVRILKEAEKDAKRFLTCIPGHLSAPIYGEEVDMLLSAEKKIFTCKRHKLL -FDRVKGCRNFRDLRDPYTEIDTWIQSIILDRKKVHSRMAVIVDSSRNWVIQKLAFMKPSFGLYHESLIKE -HAEEKLSKLSVKIYSLIPDFESSLKCEHVKDSICFKEMELGTMVNFNGCSFERDLAIMELRQGRFLFPMN -VVLCSLDKLQSLFWLKLYWLLCDIFDVYPGESILDTGTKWIKTFYKLRSYGHEGFFSFMADIEPLIVGFV -VAMEDDVGCTELYEDQKQSVDNYLSKMPGQLTHQFFLPSCGDNGKYSQSSVLMHLELTGTSKVAGYPILK -AANLLDQLREHGTRGGANVDERLISRLDGLMRRNFIINYQKKKGHTPKIASLPAELKDLIHDKVPSQHLY -KELSLWAKIRFKKNFEFNYSPDLSEITKDSSCAPNRSALATQYDRCSYRYLYNKKPPTLKPGTKIGYLRS -IEAYIKAEPDLVRTLIKEREDGIYRQEDHIIIQCGKELELKESSGRAFTKQTSNQRYIQVSLEQGIATTI -FPFVPEQSMTDSEISIINRHMAHVYSLGGTSVLINIDLTKWCLRQRVNNTSFGGKIYDELFGLKGIYENS -HHFFYKVPAACNSRFSPPDYDENGEPIPGPFYMDNFIGGCEGMKQKAWTHITSSVLLLALEDLHMSGNVM -GQGDNQIVILHVKKSDNAGEITRKFIQTIIEMFDSINHKVKPRETWFSHHLHEYGKTRIWKGCAVPSGTK -KAARFIPDVNDGLFSIHSCMSTINTISESIAKASWTPDIAFIMNQMAQGNFIARKIIAPKRNTENVYREL -LLFPSDFGGLPLSNYTSHAIRGNDDNITTWLGILESCRVFFPYYHKVMLRIWQTVPIRLPQTSKDRQRLY -EDPYSLNISAMPSADRLIKECTLQFLKSPEVTNPTIVKLYKSDYTSSYDNIIKILDSMTPCYAQLANNIL -KLSNAGIGKRLQNKLTSSKTIEKATKMFYNISLIDLIRQKNEQLIEETKKRINRDNSYTNRKFLQGGCPY -TEAKRLREHGWKKEFIELTKPPHWHQTKLLSYDNVSDEQKKRSVVIQLSPSLLDSPSTYYRSFGPYKPYV -GSNTKEKIKKPSIDIIDKTTYTSAFLEAGKTRSWTEIIGAHNLKEMLDNIIAEKIDVIGEQFDISDKDNF -AQITSGNMFHRMSSSIEHSNSMVNGLLTLNSHYLQSSNNLQSITKDGEDFSVFFQMIYATNISILNMIAT -IGGELQPQVACVLECTECTHLLPEPKFDIEPFYNWAVTSSSLKTDSVITVVRPSNQPEYYFEVYLGQKIA -ESIDNNYDLNHANSDDCASYLQSKASISLNDFRRCNMKIALISAFCNSTHCLKLVSSTHRSLLRLSNDMS -FSYLADLIYNSSRRSDLTSLFQVMTHNHKQMCSVDGLSAFISKYFVHFLSTLSNEDINSFARITFAEDMS -KYRGKMPLKMVSYITRTPINRHKFNNAFQVGNIGRLKSILGVEHTVCRLPKESVTQTWREDPNSYVPIKP -YSIRAGTVYERFPSASYQIFHASESGEGDHRFKLVHFAARPFSAMSSGVCKYVEALALIELIPILSFQEG -SIHSLADGSGGCLTTLMMLFPNAKGVYNTLIRADIDNRDVITDWLPPAYVASGLDTQRLEYHNLAVGQTD -LLADAMVSKISEAIKVTRPFIMTMDAESSDRRSNLEIISKVLPLYLDKGCPVSLMKIFVHDELIQTGAEN -VVKMFPDYYYCFYKPVGTPPSSNEYLLVCVKKDLIGAECLERMTRITNPLKKSKRLSCFNIDKYLKLCRN -ISLCLLSYMPDDAKFTMAPTCSPNSCSLLCRNHLEEVFSDIDRVHTADYDRIVGLAVKKSGFSNLLNNLI -VEAISLMCWHSNPVRELKSWIIRCSTLTLDISQVPVSRKQISTMAEVVDPICRLQLLPDESHPSIMDMWA -HTKHMIRDIVNKPPCNCSMTQRLDNEEWAKSLSRFIARDFTSLYSWGEGLDLYFPISHMSKASKWI - ->AOR51378.1 RdRp [Gambie virus] -MDDLRESAFIWSLPELPDEAKKRRNYSCIPGHLSQPIYGMECRMMLSGKTKWECRRHRILYRKVKDCSSF -EEERDIYKFLEEWFSSDYVDHVKVFDRIEIIKAATRNFKAHKLAHCHPLRRVVSPELIKTQCESYITSVS -QILDALKSDIELMMKIEQFKDAVCFKDIAMGDFIFYRDSSFERDISIIKFRGKNLLIPTSLVLCVADKCQ -SLFGLKLYWKLTDYLKRYPKLSIFNTGMRLLNNFKALRSAKVQGFFGFCASFESLIVGHTVARPDDQGYK -DLFIHESESMSIYLESTGTRLALDDFLPPPKAGEAEIRMWLELTGVVKVLGYPVLKEEMLLDQLKEHGVL -QHEHFNDDSMIDIHGIIVRDFCIQYRDRRGRYPNMSSCPDEIYSIMKADKMIPDHIMKNYKLWSKIHFSQ -TLEFDYSPDLSDITKDSAAAVKKSQWPGLFDECAFRYHYDKPSPFRPPPSESIVRVIDAFLKADQDLVRK -IIRARENNEYDEDDHIIVQCGKELEHKEDTGRAFTKQTPNQRFLQVVLEMNIAESVFRFVPEQSMTDGEV -ALANRQMTQYKHLGGDTDFFNCDLTKWCLNFRNACVWRIGRMYDELFGLNGIYEQSHNFFLHCDVFCNSR -LCPPDYDVGGKPINGKFFMDNFSGGCEGLNQKKWTHIAIGTIKLALERSGCKGTIMGQGDNQIVILHYTK -EQLAFRSDVRARFLQQCELLFRDTGHKLKRKETWYSKHLHEYGKLRTYKGATVSQGTKKSTKLVADVNDG -LFAHDSGLSTINTQTEAIAKGTQNPDVAFMINTILMSNFLDRKNLIWGNRRQKPRNCRALMMFPTDFGGI -PISSYHSHAVRGHDDPVTLWLSIYKVVEIHDKDFYRDILRIVKLTPSGDTDCSDWTRLIEDPRALRVRTL -PTSNREISEISLRYLKSDEVTNPAIKRLYETDQSTEYKELVDHLSRMRPLFPSLANVILKDSNAGIGKSL -QAKFTNIKTIEKSAQKFGEVSLTKLVAECNIQYSNELKSRCGKNKESENFRLLSTSGCPSVLAQMLREMH -WCKELVGATKPCHISQLVIKSTDDCSDEELGRSVLIRLSKDIRERDANKGLYSFGPFKGYVGSKTQVKTK -HASINIASNTSYTKGLLSLGRTKTWMELLGNANLAKLCDDLMAEKLIDLSDTIARDQVQDAHDTVISGNP -FHRLVSQVESTTSSINGLITLTSHFHQTSNLMQGMTSEGEDHRIFFQYVYSANMSCLLSVLGAIELPPML -NAVFECTECTTILPNPVFEFPEVALSSVKSTYESRPEKAFEDYTFDFDDSFCKCLGIEIAHNVQENFKVN -HGNRNYTSDDIHIIKTKISINDFKRCSLFMILGSIITNSDHCKNIVCEGRHDLTDIGNDLSFCGLADLIL -ESDKREELFNILGRNVPEHTMVTRAERLSAFISRMIPRFFSMHHDSIKRLILPIEYRGQRHELPWNIPEF -RRFTSMMQAMGFPYEKRRYMNAVRRGNIGLILDALDLTAVKVPVYRYEVVKHWRKQEIHGRTIVQAIDRT -IVPDSLLFPLSEICSHFNMACDTKFLSILNRPELSFLCRPVLSLSSAASKYWECLAVLSAIGDIKCETEG -YFCCLAEGSAGMLTSLLDGFPHHKGMYNSIMEHDIDMRDIANDTKPPAVIAANYMSRITNPELSRGETNI -MKPAFLAKLEKALFGKSVSLLTMDAESKDSNDNLVHVENLMPIVRRTQVHRAIFKMFLSDKLYKAVKRTM -EPFSDEYQWCFYKPISSNPCGHEIFLLVDRVGVISPNIRLLSEVYPTLERYMLPEPRLSEQSFSSYVYAS -RLVYNLLSQTFRGKNVTYGDRPLDEFSCSLLCIHNLYNSCSKLYTCEANILMTSSRILLRGGGRVNQLNR -IAHDVIFLLIFLSSKRYTFFEVIRILETLSVDKERVALKSNGADISSVLKVSISGGKFFGDWSDAKFFFR -HYHKKTCACPRVNNKPIPMNFGLMSQILNDLTLLNYIKKDEFSNIFRDAMQHQY diff --git a/seq/clusters_seq/cluster_481 b/seq/clusters_seq/cluster_481 deleted file mode 100644 index d3f7c75..0000000 --- a/seq/clusters_seq/cluster_481 +++ /dev/null @@ -1,107 +0,0 @@ ->YP_010084244.1 putative glycoprotein [Bolahun virus variant 2] -MAAFALLLVITCSIVKASWGITAYDCGLPQINLTSISLVSTPICTPDVDQTTSRKVRVAITQNRLTQDIK -YMRCSVSTTNLLYRCGKTIDTFHDGGIFSDEIKVSREECQDIVNKGIYRMFTANGAIDITIKAGVTKVSV -TTRGEIRGGSCTPGNSLERNGRFYDRPVVQTEIVVRYSTGIGIADIEDKTIAIESIKFPISQEQGVDADL -GHIFWKIPTPDCSGQDSKSLVYEGVAELVQDNSNGHQLVQVTHSGYDFQILIENKTTYICGLLSHYTEHP -KLFLTLLSEDQPSMRIESKVGPRDVNMLNYINSKIVYAFRHIRANVIDLYRMFKNDRCQTNNRITKNLMT -LALLSPKEFAFAYGGPGCTAVTRGEVVYLAKCPPVSVLPNRAEKGCFNELPVSYKNSSYFMSPRSRILLK -VGTPVDCLADMRPKYRLNDKWFYNTPDGLSETIPPKTISVEPLNFEFVDSIKVREGMYDSDLVEKYQRTI -ISPVVRDIITTRVVNAISGDNALPDGYQLSYAFNPVDYGKIKETVGGFWSSFSDKAKDSGSWFGFFIMIF -AVYKCIVYTLGCLLNFRELKREVGCLLAIPLCLVEAITNLVLHNRILKSFRQPKPDAEEEEMEDLKATDP -PTVVIHNL - ->YP_009388620.1 glycoprotein [Culex mononega-like virus 2] -MKMEVHLLVFLSFVALSAGFIAYDCNGSRIQKTTVSLVETPSCTFELENVTESEVAVAVTQSALTQEIPF -YRCLIVAYHHIWRCGSVIDTNVQGGDYAEVIRTTKSECEEMINHKKYKTIGGNSVSIDLPPGGRTSFSYT -SWGQVKDSGSCVAGPILYSGGTSYDQHTRNTRLEILYTRGVGRVAVEKKSLIMPNGMQCNVALEECELAD -YGQVFWKQPVPSCQNEVEEQSLVYKGPATLITNQNTSERFIQVSFSGHHFQIKLEDRTTYICGFRSFFTE -HPKLYITLLDLSYPDFPLKENTGTDVNMMNYINSKLVYSMRHIKEQVMTLYRLFELERCLMQNRITSNLL -TLAILSPREFAYQYYGEPGYTAVVRGEVVHIARCTPVPVTPRKTELCYNELPVSFNNKSLFMTPRSRILM -EIGTVVECATDVGPQFKTANRWITMVSHGLISVEKPKIITPDPITYHFETLEDMLTGGLYTKETIANYQQ -ILTSPMEESILSSRVTTAIRGGESLPSGYPASNIFSSYDIERLRVKVTSGLVHTMDKLLVLGNWFSVFVM -IGWAINTLISIINCGLNYYVVKPETSGIFALLTCCFSAIFQVVKNRQLGNPEETKTLSAVVQIDAEGREE -PSHNKFYK - ->YP_009254001.1 G [Lonestar tick chuvirus 1] -MAFCRVLVVACLCFPFVDSLVGYDCSSKIANISAISIFSVAPCEEEPVAGHEKAEVIQLLQERTVTRIPV -ITCLVERSHVIYHCGMHSHASLGQIASGEVIHLSREQCQLLHSHGTYSLGIGVCDDRIIVNGTKQHSVTE -HGFIDGSFNCEGTSFSYRGMNYHKAVMVASYTIKLTEERATLSMDDGQLRLSSGYAHSFKTGSSFDISSG -DVFWKLDGPEHCSPTSYIVLYEGPAYVYVSPTQERTLVVNSSTQALAVGLTKGVTVCNQYATRTEHPRLL -VVSKSVGQPLFYFQRSSLDPQDVDMFMYVNSKLVYVEKHLGRELSSVYKHFHMQLCETNRQMLRQLTTLA -IIAPEEFAWLYSGKPGVTALTRGELVYLMECEMVVVGLRKPSKCYQELPVTYNGTDVFLKPRSRILVNFG -TEVECSPLVPSGYLIDGKWWALRQSQAYELPTPITISAETTTPKWQYTSPAQLFRIGIYTMDELLEYQKR -ILFSFEKPAIVHTLSAAAAHQPADLSGLDGSALFQPGQLDRIQKNFMAKMWGWYWDISVALGGAVGLYMV -FQLLRTVLGLYSTATWLYKTYGCSVEMLACCCSTLSKFLVLERRVNPEWTPFRERLRRFVISAADVSVRD -TDDQAQESTTLSPPIDVQPMSFSQLPQMQSLYPRTE - ->YP_009336633.1 putative glycoprotein [Wenling crustacean virus 15] -MWYGLCVISSLFLYLTLDPSPFATGMPVAPSVDLTAQPWEGVGIQAYDCSKPKHVATHSTVDVGSCAGVS -LLSDNPSDEVTIVQIPRQTEVPGYLCRIRAYLSVDYCGYSAQTSLVRERHRVSISPSNCLRLVQGRTVQV -SEYGREFDLTGAVGELTQGSADIAGGRGDPKYPGCSRGYIWYGGKALKYHVAWIDWELEIIKVVAQHNPS -TSLATVGGVEYAVAGQQDTLAGTWVPLREPPSLCEVVRPVWTGSGRNVTTEDRSVLVFSTPRFQVGLEVG -TDRELCGRPVKTTQLGNIVIASPSAFLGNRTADSLGDVLTHIAVQASYTYYVGKADALTLASRVRNATCS -LERNIIQLQLLAAVQTSAVLSLDEAETRGLLATDAGEAYHLWRCEATRVHVRDSTRCYKAIPVSYNGTDR -FVLPRSRRLVSEAELVPCSDMAPFLFKESGRWYSLSPEVQRHPTPALARPTLYDLIHAEMGPTWREEGIY -TEDQYGQLTEHLEFPHTRNAFVAEYASGESRFLRAATEWTPLGISGWAGIILRLLCNWWWVVVLVGLLTT -IVLNGRRLHHHYGPSWKLLAAPHDGATQYLVHPWGDRVRAESAAAVSCPESDVPLVPMATIRRPYGGN - ->YP_009302386.1 glycoprotein [Xincheng Mosquito Virus] -MRLVWMFLGIVATAFINECNALVAYDCNVGDLSMTSISLITTPECLTTTPNITTEKVNVAVTQGSSISNG -RFISCLFEATSVISRCGATIDTRFESGLFTDIIALSSSECKGAHETGEVKIRYISTEVLVSLNAKGSFSF -ESHGYIRQGSCTAGTPYVRNGINYDKPVITTNIQHSLAKGEISIDFEKNLVTFPNGDTCKYTDGSCYTAN -YGNSFWEVKSPKCSEGNKILVYSGIGEIIKDLDNKESYIQVNHDGYDFQILLTTKKTYVCGYSSYRTEHP -NLYVTIVDSSSPEFPIKSRGNIKELSLMNYLNSKLVYAMRHTKREVDRLFSVFSKDRCETRNKISRNMMS -IAMLSPMEFAFTYGGSGHTAIARGEVIYLAKCSPTSVIPDVNRTGCYNEIPVINDNKMQFMSPRSRILVN -IGTMIDCLPDMPPLYLLGEDWYQISSRGLIRHSKPATMQQDDVNYEFSVLSGLGNGGLYNDETIALYQEA -LVSGITSEIVKTRVADSIGGRSDLPTGYSVIKAMSDGDYHIIESKIGGFWTRFKSNAINSGSWFGFILLL -FAVWKMLIYSVSCFVNFVGIKEEVGLLLAIPICFFESISNMILHGKIKTRKQGKSNENRSDFVELGEIEK -ESGLPILMGRNGKEIEQL - ->YP_009177723.1 glycoprotein [Wuhan tick virus 2] -MDAGYLFVLCVLVAIGGQTWGLVGYDCTSSVANITTISLFSVLPCELDQKPQSEYLSVIQVVQQRSVSRV -HTFSCLVIRSSVIHHCGMHHHTSVGPMSIGEIMHTSNTQCADLHHFGKLAVGSGTLLTGFKVNETVQISI -TEHGYSDSEFKCRGTSFSHNGIAYHDAVMKSSYTVTLREEWASLDLDTGDLKMSTGYMHPFKTGQSFDAS -AGDVFWSTQDNQDCTPTSYLVLHEGAGIIYQGHRDTKTLVVNSSTHAMAVGILGPTLVCHQPALRTEHPK -IFIVMKHPGSPSFFFKKSIVDPANVDMFLYINSKLVYLERHMGYQMSLVYEHFHKRTCNIKHQLLRHLIA -IAVMNPEEFAWLYSKRPGVTAILRGELIYLMECQAVPVTIRKPSGRCYNELPVTFNNTPMFIKPRSRILV -HYGSEIDCSPIVPAGFMIEGRWWALRPDSTQLPAPTTLSAEPEEGKWSYTSPHDLFKSGIYTLEELLAFQ -KRLLFSFEKPAISHTLSSAAARQPADLSGIDGAGLFHPGQLEEIQKNFMSKIWGWYWNVSVVLGGLVGIY -MVFQLIKSVINSIITCTCLYRAYGCGVEILTCCFSSCAKYLLLKRHTDPDFRGGTARIVDWISRRSRPTT -QVAQEDSVEAAVQLDDVRVEAPIATAPSRIHSPLYPPNEPIDTTYPSAYLRRS - ->YP_009177705.1 glycoprotein [Changping Tick Virus 2] -MHFSFKMSQILLVLMALAPLPCWSLVAYDCSSPQTNLTAVSLSAVSPCPGSVGSVSYSSVTIQLLQERTS -DNVHVRACLVERSYDIFHCGMHSHASAVAGGFVMGEIFFVSRQDCLQAHTTQTLTVGLGHHISGLQVNAT -SVHTVVELGSLNPGTHVCHGASFELRGVTYTSAVMRSSYKITLVDLHLPLDLKTGKVRTPGGFGYEYKAG -SSFDADLGHMYWSSTDHVTRCEPTSYLVLYEGEALIITDIAGVRTLLVNSTDRAMAITLGVGTTMCYHHA -FRTEHPKLFVAVKEPGAQAFYFRPSPVEASDVDIFLHINTKLMFLERHVGSEMKALYNHFHQRACEMKQQ -TLLQLSSIGFIAPEEFAWLYTSRPGVTAITKGEVIYVITCPPVTVQFRETKACYLELPVWDSRNRSAFVK -PRSRILTHYGSETDCSPLAPTLYYMSSGWMSFTPHPGTAATPHTMTAEPANHWTYHPLPNLLSAGLYSRE -TLAKYQERLMFPVSREAVVHTMAAAVAGYTVDRRGLDGARLLRENGLDSFHASYMSRMYGWWWSFSTNIA -GIFGILFILGLAKLGMGLTLNCRMLHQTFGWSVKLVASLCGSVTKYLLFRHESARRAQEIELLTPPTAEP -RAEPDQSTTPVVTAPTCATTVDLGGRCRLNYPPLPCDP - ->YP_009177702.1 glycoprotein [Bole Tick Virus 3] -MYFSSEIIMSPVSCVFLLVLPLLDAALVGFDCSGKPANITAVSLESVAKCTKEAPDVTFNKVYVQLLQTR -SSDYIAARACLVERSYIISHCGMHSHSSATAQGFHMGEIMYVGPDECLLAHTTGKLAVSASLTIVGLKVN -ETSTRSITEMGHIDAGTHSCTGAMFTVGGVTYSSAVMQSSYKITLSQSLLSLDLDSGVIRTPGGYSHKFP -SGHAFDPELGYLYWNPTSQVKTCSPYAYLVLFEGEGMIAIEAQQKQTLMINTSQVALAVGLAQETLVCHQ -HAFQTDHPRLHAIVRHGGHTSMYFKKSALDPVDVDLFLYTNTKLVFVERHLARELQSLYVHYHERMCSLQ -HQTLQQLVTLAYVSPEEFAWAYSGRPGVSAVIRGEVVYIIECQPVSVSFRQTDKCYQEIPVWTPLNETGF -LKPRSRVLTQFGTEIDCSPLAPALFRLGSSWVSFSPTPSQTTAPTILTAQPAKDWSYSPLPNLLSAGVYS -QSTLLKYQRRLMFPVAREAIVSTVAARAAGMNVDPQHLDMSRMIRDKALDQLHHSFMERMYGWWWNVSVN -VAGVMGIIYMLVLIRGVISTILNGAFLYRTFGCGVKLLASVFGTLAKYLLLQQHLNKTTSDAATEPEPEM -VALTAQPTSTRQPPMSDGDIVRIYPIP - ->YP_009177219.1 putative glycoprotein [Suffolk virus] -MSTSGRSLSSCMLWVILCVLGGGQQAEGLVGFDCTGQAVNVTAVSLSWIQKCPVAEGAQGTIVEFIQLVQ -ERNVEAVRVKACLVERSYLLQHCGMHSHSSMTFNGLVTSEILRVPKEACDALHLYGSFHTSGGKVLTGFA -ANTTTVVPVVEMGVIDAGSATCKGATFTLNGATYTDVVMQSSYKVTLRTERAQLDVATSTVRLSSGYSHP -FQAHSGFDPELGQTYWSSEGVGVKCSPTSYIVVYEGDATIYKNREGQRTLIVNTTTQVMAVGLRDETTLC -HQEATETDHPRLFMVRPLRAGGPRFYFQKGSMDPKEVDLFLYTNSKLVYVEQHLARELTSVYLHFQKQMC -DVNHRLLTHLTTLAMVAPEEFAWTYTQQPGITAVLRGEVVYMVKCAPVSVDYRASSACFQEIPVTYNGTQ -VFLKPRSRIITTYGTEIDCSPLAPPLFFLNGNWVSFAPHPTHVLPPQVLNASFQAEWAYTSPPHLISAGL -YSQSLLEKYQRQLMFPIERTAIETTMAASMAGLNVDHQGLDASGLLRQSGLDKLQTSFMERIYGWWWTFS -VNLAGVMGVIFVITAIKAIGNVVLNATFLYKTFGCGFRMFAMFWGTLAKYLLIKVPTNPSRDDVEAGTPS -PPTSSPTPCSSGACNEEATILISPPSSHFYPSVSYRPPGATAPII - diff --git a/seq/clusters_seq/cluster_482 b/seq/clusters_seq/cluster_482 deleted file mode 100644 index a37a775..0000000 --- a/seq/clusters_seq/cluster_482 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_009709871.1 core protein C [Hepacivirus P] -MMPKMSSKQQKPKMRGRVVGGVYVVNAKKSTEAGSRRPRRRRRDQGGWRKTPLGQGDVYVQRLVQTIAPT -HAYGPNDPRRRSRFLGHIVDGSLGWAADLLHQVPLVGPLLGHPARLICRVIRAGEDSVNSLTGIAGVHLF -ILCLILSLSPGLA - ->YP_007905984.1 core protein [Rodent hepacivirus] -MAFNLLNIFTLLKLIDPELCNLNIGTGRPRGTVRGGVYIVHPKKTTDRGVRRKRRQRRDQGGWRRSAIGP -MDPYVRMLTQTALPSAAYPSRDPRRQSRFLGHVIDGTLGWAADILHHVPVVGPLVGHPARVICRVVRAGE -NAINALTGTVGIHLFLIALLSCLAPATA - ->YP_009684354.1 core protein C [Guereza hepacivirus] -MASIPYYPSSPSLTTGLVSTKLRPRHGNGGRRKRKHKGRYTVQPKKTTEAGTRKKVQSKADQSGYFTSRN -FWRPGYRNVLHEPSVKLAGLVLPSGGRSSWGPDDPRHRSRNLGPLLDYPLGWAADVLSLVPVVGPFAGST -GRALCRIVRGLEDGINFGTKWSGLTLFILLCLLFPTAMGAS - ->YP_009325402.1 core protein C [Norway rat hepacivirus 2] -MSSSIKSVPKPRNGTVSGRLPVRPGRRLRAYVVNVRKSSERGSRPPRRKRRDLGYRYPTVAKGARAINGV -TRDAMQFLLPSAAYPPGDPRNKSRFLGHIIDGTLGWTTDLVHHVPIVGSILGHPCRWLCRGIRALEDGVN -MVTSPAGVYLFLLCCLTLMAPAAEA - ->YP_009679024.1 core protein C [Hepacivirus I] -MTFKRVVTNKKNKNKSNNPRNVPRSRPRAKKLGVVVNGVYRPLYPVGPRISGPSGRRRPTRDYRYLGSNR -GGRIPIIDPLLGAASELVFPRLNMVPNDPRLRSRNLGHVVDGALGLVGDVLQAVPIVGPLVGGLGHGIAR -GVRLVEDGVNFFTRPFGLVFFLILCLPVAVEP - ->YP_009678994.1 core protein C [Hepacivirus F] -MVGKGRPRGKVVKGVYVVHPKKTVDDGARRKPRVRRDQGGWKRSAIGPLDPYARLGMQALLPSPAYPSRD -PRRQSRFLGHIIDGTLGWATDVIHHIPLVGPLLGHPCRVVCRVVRAGENAVNAITGTVGVHLFILALLSA -LLVPGGA - ->YP_009678984.1 core protein C [Hepacivirus B] -MPVISTQTSPVPAPRTRKNKQTQASYPVSIKTSVERGQRAKRKVQRDARPRNYKIAGIHDGLQTLAQAAL -PAHGWGRQDPRHKSRNLGILLDYPLGWIGDVTTHTPLVGPLVAGAVVRPVCQIVRLLEDGVNWATGWFGV -HLFVVCLLSLACPCSG - ->YP_009679014.1 core protein C [Hepacivirus L] -MPVASSNRNTKKRARPLGRVVGGVYVVNTKKSSEAGRPNRRPQRDARKRKGNWGHAYKPIPGVDPLSRVV -GRTVFPSDHWAPGDPRWRSRNLGHLIDTPLGWVADIGGAIPLVGPVVGPVCRGVCKAVRAAEDGINWGSS -WVGLTFFIIMLLGFCTTPAES - ->YP_009325392.1 core protein C [Norway rat hepacivirus 1] -MSHSEPGITGGRFPAYSLIGSCGGPRRFRCKPSMACNLFFNFPSTKKNQTKSVLHLWWSLCVCVCGVVMS -KPVRPGQYIVTTKRRSDPGAKRRRRHRRDQGGWRRSPMGPVDPYVRQGLQILLPSAAYPVRDPRRKSRFL -GHIIDGTLGWTADLLHHVPLVGPLVGHPARLICRAVRACEDGINSFTGIAGVHLFLICWAHMLSPASA - diff --git a/seq/clusters_seq/cluster_483 b/seq/clusters_seq/cluster_483 deleted file mode 100644 index 6564491..0000000 --- a/seq/clusters_seq/cluster_483 +++ /dev/null @@ -1,72 +0,0 @@ ->YP_009518852.1 nucleoprotein [Human orthopneumovirus] -MALSKVKLNDTLNKDQLLSSSKYTIQRSTGDSIDTPNYDVQKHINKLCGMLLITEDANHKFTGLIGMLYA -MSRLGREDTIKILRDAGYHVKANGVDVTTHRQDINGKEMKFEVLTLASLTTEIQINIEIESRKSYKKMLK -EMGEVAPEYRHDSPDCGMIILCIAALVITKLAAGDRSGLTAVIRRANNVLKNEMKRYKGLLPKDIANSFY -EVFEKHPHFIDVFVHFGIAQSSTRGGSRVEGIFAGLFMNAYGAGQVMLRWGVLAKSVKNIMLGHASVQAE -MEQVVEVYEYAQKLGGEAGFYHILNNPKASLLSLTQFPHFSSVVLGNAAGLGIMGEYRGTPRNQDLYDAA -KAYAEQLKENGVINYSVLDLTAEELEAIKHQLNPKDNDVEL - ->YP_009505450.1 nucleocapsid protein [Bovine respiratory syncytial virus ATCC51908] -MALSKVKLNDTFNKDQLLSTSKYTIQRSTGDNIDIPNYDVQKHLNKLCGMLLITEDANHKFTGLIGMLYA -MSRLGREDTLKILKDAGYQVRANGVDVITHRQDVNGKEMKFEVLTLVSLTSEVQGNIEIESRKSYKKMLK -EMGEVAPEYRHDSPDCGMIVLCVAALVITKLAAGDRSGLTAVIRRANNVLRNEMKRYKGLIPKDIANSFY -EVFEKYPHYIDVFVHFGIAQSSTRGGSRVEGIFAGLFMNAYGAGQVMLRWGVLAKSVKNIMLGHASVQAE -MEQVVEVYEYAQKLGGEAGFYHILNNPKASLLSLTQFPNFSSVVLGNAAGLGIMGEYRGTPRNQDLYDAA -KAYAEQLKENGVINYSVLDLTTEELEAIKNQLNPKDNDVEL - ->YP_009094037.1 nucleoprotein [Pneumovirus dog/Bari/100-12/ITA/2012] -MSLDKLKLNDVSNKDSLLSNCKYSVTRSTGDVTSVSGHAMQKALARTLGMFLLTAFNRCEEVAEIGLQYA -MSLLGRDDSIKILREAGYNVKCVDTQLKDFTIKLQGKDYKIQVLDIVGIDAANLADLEIQARGVVAKELK -TGAGLPDNRRHDAPDYGVIVVCGAALVVSKLAAGDRGGLDAVERRALNVLKAEKARYPNLEVKQIAESFY -DLFERKPYYIDIFITFGLAQSSVKGGSKVEGLFSGLFMNAYGAGQVMLRWGLLAKSVKNIMLGHASVQAE -MEQVVEVYEYAQKQGGEAGFYHIRNNPKASLLSLTNCPNFTSVVLGNAAGLGIIGSYKGAPRNRELFDAA -KDYAERLKDNNVINYSALNLTTEERELISQQLNIVDDTPDDDI - ->YP_173326.1 nucleoprotein [Pneumonia virus of mice J3666] -MSLDRLKLNDVSNKDSLLSNCKYSVTRSTGDVTSVSGHAMQKALARTLGMFLLTAFNRCEEVAEIGLQYA -MSLLGRDDSIKILREAGYNVKCVDTQLKDFTIKLQGKEYKIQVLDIVGIDAANLADLEIQARGVVAKELK -TGARLPDNQRHDAPDCGVIVLCIAALVVSKLAAGDRGGLDAVERRALNVLKAEKARYPNMEVKQIAESFY -DLFERKPYYIDVFITFGLAQSSVKGGSKVEGLFSGLFMNAYGAGQVMLRWGLLAKSVKNIMLGHASVQAE -MEQVVEVYEYAQKQGGEAGFYHIRNNPKASLLSLTNCPNFTSVVLGNAAGLGIIGSYKGAPRNRELFDAA -KDYAERLKDNNVINYSALNLTAEERELISQQLNIVDDTPDDDI - ->NP_056858.1 nucleoprotein [Human orthopneumovirus] -MALSKVKLNDTLNKDQLLSSSKYTIQRSTGDNIDTPNYDVQKHLNKLCGMLLITEDANHKFTGLIGMLYA -MSRLGREDTIKILKDAGYHVKANGVDITTYRQDINGKEMKFEVLTLSSLTSEIQVNIEIESRKSYKKMLK -EMGEVAPEYRHDSPDCGMIILCIAALVITKLAAGDRSGLTAVIRRANNVLKNEIKRYKGLIPKDIANSFY -EVFEKHPHLIDVFVHFGIAQSSTRGGSRVEGIFAGLFMNAYGSGQVMLRWGVLAKSVKNIMLGHASVQAE -MEQVVEVYEYAQKLGGEAGFYHILNNPKASLLSLTQFPNFSSVVLGNAAGLGIMGEYRGTPRNQDLYDAA -KAYAEQLKENGVINYSVLDLTAEELEAIKNQLNPKEDDVEL - ->YP_009513265.1 nucleoprotein [Human metapneumovirus] -MSLQGIHLSDLSYKHAILKESQYTIKRDVGTTTAVTPSSLQQEITLLCGEILYAKHADYKYAAEIGIQYI -STALGSERVQQILRNSGSEVQVVLTRTYSLGKIKNNKGEDLQMLDIHGVEKSWVEEIDKEARKTMATLLK -ESSGNIPQNQRPSAPDTPIILLCVGALIFTKLASTIEVGLETTVRRANRVLSDALKRYPRMDIPKIARSF -YDLFEQKVYHRSLFIEYGKALGSSSTGSKAESLFVNIFMQAYGAGQTMLRWGVIARSSNNIMLGHVSVQA -ELKQVTEVYDLVREMGPESGLLHLRQSPKAGLLSLANCPNFASVVLGNASGLGIIGMYRGRVPNTELFSA -AESYAKSLKESNKINFSSLGLTDEEKEAAEHFLNVSDDSQNDYE - ->YP_009513220.1 N [Avian metapneumovirus] -MSLESIRLSDLEYKHAILEDSQYTIRRDVGATTAITPSELQPQVSTLCGMVLFAKHTDYEPAAEVGMQYI -STALGADRTQQILKNSGSEVQGVMTKIVTLSAEGSVRKREVLNIHDVGVGWADDVERTTREAMGAMVRDK -VQLTKNQKPSALDAPVILLCIGALIFTKLASTVEVGLETAIRRASRVLSDAISRYPRMDIPRIAKSFFEL -FEKKVYYRNLFIEYGKALGSTSTGSRMESLFVNIFMQAYGAGQTMLRWGVIARSSNNIMLGHVSVQAELR -QVSEVYDLVRKMGPESGLLHLRQSPKAGLLSLTNCPNFASVVLGNAAGLGIIGMYKGRAPNLELFAAAES -YARTLRENNKINLAALGLTDDEREAATSYLGGDDERSSKFE - ->sp|Q83957.1|NCAP_ORSVW RecName: Full=Nucleoprotein; Short=Protein N; AltName: Full=Nucleocapsid protein -MALSKVKLNDTFNKDQLLSTSKYTIQRSTGDNIDIPNYDVQKHLNKLCGMLLITEDANHKFTGLIGMLYA -MSRLGREDTLKILKDAGYQVKANGVDVITHRQDVNGKEMKFEVLTLVSLTSEVQVNIEVESRKSYKKMLK -EMGEVAPEYRHDSPDCGMIVLCIAALVIAKLAAGDRSGLTAVIRRANNVLKNEIERYKGLIPKDVANSFY -EVFEKYPHYIDVFVHFGIAQSSTRGGSRVEGIFAGLFMNAYGAGQVMLRWGVLAKSVKNIMLGHASVQAE -MEQVVEVYEYAQKLGGEAGFYHILNNPKASLLSLTQFPNFSSVVLGNAAGLGIMGEYRGTPRNQDLYDAA -KAYAEQLKENGVINYSVLDLTTEELEAIKNQLNPKDNDVEL - ->sp|Q2Y2M6.1|NCAP_AMPV1 RecName: Full=Nucleoprotein; Short=Protein N; AltName: Full=Nucleocapsid protein -MSLQGIQLSDLSYKHAILKESQYTIKRDVGTTPAVTPSSLQREVSLLCGEILYAKHTDYSHAAEVGMQYV -STTLGAERTQQILKNSGSEVQAVLTKTYSLGKGKNSKGEELQMLDIHGVEKSWVEEVDKEARKTMASATK -DNSGPIPQNQRPSSPDAPIILLCIGALIFTKPASTIEVGLETAVRRANRVLNDALKRFPRIDIPKIARSF -YDLFEQKVYYRSLFIEYGKALGSSSTGSKAESLFVNIFMQAYGAGQTMLRWGAIARSSNNIMLGHVSVQA -ELKQVTEVYDLVREMGPESGLLHLRQSPKAGLLSLANCPNFASVVLGNASGLGILGMYRGRVPNTELFAA -AESYARSLKESNKINFSSLGLTEEEKEAAENFLNINEEGQNDYE - diff --git a/seq/clusters_seq/cluster_484 b/seq/clusters_seq/cluster_484 deleted file mode 100644 index 8812ef1..0000000 --- a/seq/clusters_seq/cluster_484 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_009505454.1 attachment glycoprotein [Bovine respiratory syncytial virus ATCC51908] -MSNHTHHLKFKTLKRAWKASKYFIVGLSCLYKFNLKSLVQTALTTLAMITLTSLVITAIIYISVGNAKAK -PTSKPTIQQTQQPQNHTSPFFTEHNYKSTHTSIQSTTLSQLPNTDTTRETTYSHSINETQNRKIKSQSTL -PATRKPPINPSGSNPPENHQDHNNSQTLPYVPCSTCEGNLACLSLCQIGPERAPSRAPTITLKKTPKPKT -TKKPTKTTIHHRTSPEAKLQPKNNTAAPQQGILSSPEHHTNQSTTQI - ->sp|P69351.1|GLYC_BRSV9 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSNHTHHLKFKTLKRAWKASKYFIVGLSCLYKFNLKSLVQTALTTLAMITLTSLVITALIYISVGNAKAK -PTSKPTIQQTQRPQNHTSPLFTEHNYKSTHTSIQSTTLSQLLNIDTTRGTTYSHPTDETQNRKIKSQSTL -PATRQPPINPSGSNPPENHQDHNNSQTLPYVPCSTCEGNLACSSLCQIGLERAPSRAPTITLKKAPKPKT -TKKPTKTTIHHRTSPEAKLQPKNNTAAPQQGILSSPEHHTNQSTTQI - ->sp|P62648.1|GLYC_BRSVA RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSNHTHHPKFKTLKRAWKASKYFIVGLSCLYKFNLKSLVQTALTSLAMITLTSLVITAIIYISVGNAKAK -PTSKPTTQQTQQPQNHTPLLPTEHNHKSTHTSTQSTTLSQPPNIDTTSGTTYGHPINRTQNRKIKSQSTP -LATRKLPINPLESNPPENHQDHNNSQTLPHVPCSTCEGNPACSPLCQIGLERAPSRAPTITLKKAPKPKT -TKKPTKTTIYHRTSPEAKLQTKKNTATPQQGILSSPEHQTNQSTTQISQHTSI - ->sp|Q86695.1|GLYC_ORSVW RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G -MSNHTHHFEFKTLKKAWKASKYFIVGLSCLYKLNLKSLVQMALSALAMITLVSLTITAIIYISTGNTKAK -PMPTPTIQITQQFQNHTSLPPTEHNHNSTHSPTQGTTSPHTFAVDVTEGTRYYHLTLKTQGGKTKGPPTP -HATRKPPISSQKSNPSEIQQDYSDFQILPYVPCNICEGDSACLSLCQDRSESILDKALTTTPKKTPKPMT -TKKPTKTSTHHRTSLRNKLYIKTNMTTPPHGLISTAKHNKNQSTVQNPRHTLA - ->sp|O10687.1|GLYC_BRSVW RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSNHTHHLKFKTLKRAWKASKYFIVGLSCLYKFNLKSLVQTALTTLAMITLTSLVITAIIYISVGNAKAK -PTSKPTIQQTQQPQNHTSPFFTEHNYKSTHTSIQGTTLPQLPNTDTTRETTYSHSINETQDRKTKSQSTL -PATRKPPINPSGSNPPENHQDHNNSQTLPHVPCSTCEGNPACSSLCQIGPERASSRAPTITLKKTPKPKT -TKKPTKTTIHRKTSPEAKPQPKNNTAAPQQGILSSPEHHTNQPTTQIQQHTSI - ->sp|Q65706.1|GLYC_BRSV7 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSNHTHHLKFKTGKRAWKPSKYFIVGLSCLYKFNLKSLVQTALSTLAMITLTSLVITAIIYISVGKSKAK -PTSKPTIQQTQQPQNHTSPFFTENNYKSTHTSIQSTTLSQLINIDTTRGTTYGHSTDETQSRKIKSQSAL -PTTRKPPINPSESNPPENHQDHNNSQTLPYEPCSTCEGNLACLSLCQVGPGRAPSRAPTITLKKTPKPKT -TKRPIKTTIHHRTSPEAKLQPKNNTAAPQQGILSSPENHTNQSTTQI - ->sp|O10686.1|GLYC_BRSVS RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSNHTHHLKFKTLKRAWKASKYFIVGLSCLYKFNLKSLVQTALTTLAMITLTSLVITAIIYISVGNAKAK -PTSKPTIQQTQQPQNHTSPFFTEHNYKSTHTSIQSTTLSQLPNTDTTRGTTYGHSIDETQNRKIKSQSTL -PATRKPPINPSGSNPPENHQDHNNSQTLPYVPCSTCEGNLACLSLCQIGPERAPSRAPTITPKKTPKPKI -TKKPTTTTIHHRTSLKAKLQPKNNTAAPQQGILSSPEHHTNQSTTQI - ->sp|O10684.1|GLYC_BRSV4 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSNHTHHPKFKTLKRAWKASKYFIVGLSCLYKFNLKSLVQTALTTLAMITLTSLVITAIIYISVGNAKAK -PTSKPTTQQTQQLQNHTPPPLTEHNYKSTHTSIQSTTLSQPPNIDTTSGTTYGHPTNRTQNRKIKSQSTP -LATRKPPINPLGSNPPENHQDHNNSQTLPHVPCSTCEGNPACSPLCQIELERAPSSAPTITLKKAPKPKT -TKKPTKTTIYHRTSPEAKLQTKKIMVTPQQGILSSPEHQTNQSTTQISQHTSI - ->sp|P22261.1|GLYC_BRSVC RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG; Contains: RecName: Full=Mature secreted glycoprotein G; Short=Mature sG -MSNHTHHLKFKTLKRAWKASKYFIVGLSCLYKFNLKSLVQTALSTLAMITLTSLVITAIIYISVGNAKAK -PTSKPTIQQTQQPQNHTSPFFTEHNYKSTHTSIQSTTLSQLLNIDTTRGITYGHSTNETQNRKIKGQSTL -PATRKPPINPSGSIPPENHQDHNNFQTLPYVPCSTCEGNLACLSLCHIETERAPSRAPTITLKKTPKPKT -TKKPTKTTIHHRTSPETKLQPKNNTATPQQGILSSTEHHTNQSTTQI - diff --git a/seq/clusters_seq/cluster_485 b/seq/clusters_seq/cluster_485 deleted file mode 100644 index 32308c6..0000000 --- a/seq/clusters_seq/cluster_485 +++ /dev/null @@ -1,43 +0,0 @@ ->YP_009824962.1 GP5 protein [Rodent arterivirus] -MKCYRSSAASVMRAFYWCLPFFLYIGWCCPCASASNGTGSTMQLIYNMTLCELNGTDWLVDKFDWAVESF -VLFPVFTHIVSRGFMTTSHLLDWIALTTIATAGYYHSRYVLSSIYAVCAFAAFVCFLVRFIKNCMSWRYS -CTRYTNFILDTKGRLFRWNSPIIIEKQGKADVDGQLVDIKHVVIQGTKAKPLTRVAAEQWGSG - ->YP_009551708.1 GP5 protein [Rodent arterivirus] -MTCFKRPAHLLMALPSWWLLSFLFIGSSCVASTDGGNSSQTQQLSIYDLTLCELNGTKWVASWFPYAVET -FVVYPIITHAISVWFLTTGHFLDSIGLALVAAAGYNDNKYIVTSLFAIAAFAAFVCFCIRFVKNVWSWRY -ACTRYTNYVLDTSGRVWRWKSPILLQRNGRIDLGVALVEPKKVVIEGVEATKVVSVQAERWAPA - ->YP_009667152.1 ORF5 [Lelystad virus] -MRCSHKLGRFLTPHSCFWWLFLLCTGLSWSFADGNGDSSTYQYIYNLTICELNGTDWLSSHFGWAVETFV -LYPVATHILSLGFLTTSHFFDALGLGAVSTAGFVGGRYVLCSVYGACAFAAFVCFVIRAAKNCMACRYAR -TRFTNFIVDDRGRVHRWKSPIVVEKLGKAEVDGNLVTIKHVVLEGVKAQPLTRTSAEQWEA - ->YP_009337029.1 GP5 [Rat arterivirus 1] -MPACCSYLLFFLFIGWSCPATVAGSGNSSSTLQSIYNLTVCELNGTQWLATHFSWAAETFVLYPVITHII -SRGFMTTSHLLDAIGLVAVAASGYHHGRYVLSSVYAVCASAAFVCFVVRMVKNCMSWRYSCTRYTNYILD -TKGRVHRWHSPVLVERQGKIDVNGDLIDPKHVVIEGVKAQPVVRVPAEQWGPR - ->YP_009214664.1 GP5 protein [Rat arterivirus 1] -MRPSCLFWLSLLFIGWSCPVSVAANSNSSSTLQLIYNMTLCELNGTDFLANKFDWAVESFVLFPVFTHIV -SRGFMTTSHLLDTIGLATVTISGYWHQRYVLSSIYAVCAFAAFCCFLVRVIKNCMSWRYSCTRFTNFILD -TKGHLFRWKSPILVERAGKVEVDGHLIDVKHVIIEGTKAKPVTRVPAEQWGSA - ->YP_009505553.1 GP5 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -MLEKCLTAGCCSRLLSLWCIVPFCFAVLANASNDSSSHLQLIYNLTLCELNGTDWLANKFDWAVESFVIF -PVLTHIVSYGALTTSHFLDTVALVTVSTAGFVHGRYVLSSIYAVCALAALTCFVIRFAKNCMSWRYACTR -YTNFLLDTKGRLYRWRSPVIIEKRGKVEVEGHLIDLKRVVLDGSVATPITRVSAEQWGRP - ->NP_042577.1 major structural glycoprotein GP5 [Lactate dehydrogenase-elevating virus] -MKCLKKLGSGWIPSRLLPFCFILYFLSTENACAAGNSSTKNLIYNLTLCELNVTGFQQHFGYAVETFVIF -PALTHLISLNFLTTAHLLDFLSLGIVAGGGYWHKQYVISSIYASCALLAFIFFCCRAVRNCMSWRYKCTR -FTNFVLDTKGKVYRNRSPVLVEQHGRVMLQGHPIEVKTVVLDGVKAVRAKTVPAEKWEA - ->sp|A0MD34.1|GP5_PRRSS RecName: Full=Glycoprotein 5; Short=Protein GP5; AltName: Full=G(L); Flags: Precursor -MKCSHKLGHSLTPHSCFWWLFLLCTGLSWSFADGNGNNSTYQYIYNLTICELNGTNWLSGHFEWAVETFV -LYPVVTHILSLGFLTTSHFFDALGLGAVSTAGFVGGRYVLSSVYGACAFAAFVCFVIRAAKNCMACRYAR -TRFTNFIVDDRGGVHRWKSPIVVEKLGKAEIGGNLVTIKHVVLEGVKAQPLTRTSAEQWEA - ->sp|P24124.1|YVPX_LDV RecName: Full=Uncharacterized protein in VpX 5'region -VFRNRSSVLVEQHGKVLLQGQPIEVKTVVLDGVKAVRAKTVPAEKWEA - diff --git a/seq/clusters_seq/cluster_486 b/seq/clusters_seq/cluster_486 deleted file mode 100644 index 7801d74..0000000 --- a/seq/clusters_seq/cluster_486 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009505567.1 ORF9 [Kibale red-tailed guenon virus 1] -MEGLPPKRVWLTLRSMAGKPKTNKPRNQQRRRAPGNKNSGSNVTSRSHRRVGGQATRQHLNQEPHFVFAE -PTDLRLLLHPKHAAHIRSLLLRFFDNGGGSLDYQGGKVSFAANFSAPKGLVDAIVRATSSQ - ->YP_009362017.1 capsid protein [Kibale red colobus virus 2] -MAGKPNNKPAGRGRATSRRPRRNQSNTNNNQQRRKSSGTARTRTNHYVFAQANDLRLKLGPQHAGEIRAL -ILKLFDNGGGDLDFSNGYITYSCNLAAYGSLLKALERLTSS - ->YP_009344818.1 capsid protein [Kibale red colobus virus 1] -MAGKPKQNNNGNRGQASSSGRRPRRPRRPRNANGPATRANRPRAQPRQQPAHYVFAEPDDIRVQMQHHSA -NEIRALLLRYFDNGGGNLSYSEGRLHYTATLTPSGPLAKALNRLTSMAP - ->YP_009222006.1 N protein [Kafue kinda chacma baboon virus] -MAGRKQNNKKSRAPPARTRRSSRLPTMRPPPPVRAGREPQTTNHYVFAEPGDVRLAISQASASQLRQLVI -RYYDNGGGSLTYDGQRLNFAAIITPNSQLAKVLARLAPSSN - ->YP_009140489.1 N protein [Pebjah virus] -MAGKPKQNNKRKPQSQRRSSSRRQRPQSNRQAPRRAPQAPHAQQPVDHYVFAEPGDLRVLLAPKHGVHIQ -SLLLRYYGNGGGRLTFEDGRLNYSAVITPDVNLTRVLQRLAAPQT - ->YP_009121784.1 N protein [DeBrazza's monkey arterivirus] -MAGKPKTNKTSSPKTRGKKRTRGNVTSKSSAQHPPRQRRGPSAQSHETHYVFAEPGDLRVSLAPQHATHI -RALLLRYFDNGGGSLDFQSGRISFQANITPPKQLERVIERATSST - ->YP_009067076.1 N protein [Southwest baboon virus 1] -MAGRKQNNQKRNRAPTAAPRTRRGPRLPQRSAAPPRRTGGQPQPTNHYVFAEPGDVRLMLNASSAAQMRS -LVFRYYDNGGGSLTYDGQRLNFAAIITPDSHLAKLLARFAPSNQN - ->YP_009067062.1 N protein [Mikumi yellow baboon virus 1] -MAGRKQNNQKRNRKPTAAPRTRRGPRLPQRTAAPPRRTVGPSQMTNHYVFAEPGDVRLLLNATTAAQLRS -LVFRYYDNGGGSLTYDGQRLNFAAIITPDAHLTKVLARFAPSNQN - ->NP_203552.1 major structural protein [Simian hemorrhagic fever virus] -MAGKPKTNNKGKSQSRGGNRLPQRPRRSTQQRRAAPVHKPLNETHYVFAEPGDLRVVLPGPTSAHIKQLL -IRYYDNGGGNLSYDGQRINFAAIITPPHNMLKQLAKVTSST - diff --git a/seq/clusters_seq/cluster_487 b/seq/clusters_seq/cluster_487 deleted file mode 100644 index d3730e1..0000000 --- a/seq/clusters_seq/cluster_487 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009270627.1 hypothetical protein 3 [Brejeira virus] -MNTNRNSNKNTKQSSGTRVSAARNTTPTKVVVRNVPKKTEASSFDLNKIVSDVSSTFLNALNRPMVLLSL -VMVVALVFTHQSDFSSGALGKYVAERAETNSLAKWVHENQTKFLGLAIFTPAVLNTPDKVRVVIGLATLL -WVMLVPQASVVEYVLQALALHSYFRVKLQHSRLFIMAVVVLLYFMGYLTLVKSPGFDAGTVNKTNAR - ->YP_009552741.1 hypothetical protein [Ying Kou virus] -MSALRAKKNSTVARLAPVRLAPPPARVVVAKKAKPSSPRDSGFDLNKVFSDVSSTFVAGLNQPLVLLTLV -LVAALVFTHVNDFSSGVVGKWVSEKSATNSLALWVHQNQVKFLGLAIFAPAVLDSPSRLRVPLALASVFW -VMLVPQASIYEYAIQAVALHTYFRVKLQNSRILIILSVAALYFFGHMSLAVPEIKKTANSTLSSG - ->YP_009362300.1 ORF3 [Castlerea virus] -MSSRPQKRSPGKTVAAARTITPKKRAAPTAPKNSRRPKQNLGFDLNAVVSDITTTFTKGLNRPLVLLSVV -CVCALVVTHSSDFTSGVVGKWISEKSSTNSAALWVHQNQARFLGLAIFLPAILDTPDSVRVAVSLASVFW -VMLIPAASIYEYVIQAFALHTYFRVQLQNSRILILLTVGVLYFLGHITLSQTSPLTAPAVNGSATN - ->YP_009351837.1 hypothetical protein 3 [Loreto virus] -MSTSRIPVPNGAKRQKPNGQTRVPAPVKTVAVAPRPRVYTPVKTVSPQKKSKSFDLGDATSDIFNSFTSA -LNKPAVIVLVALVASIVLTHQTSFATSTIGKFLKDSDNSIAKWILKNSTKFLGMLIFIPALYNLPSKLRP -VISIATVFWCLLVPESSIYQYVIQSFSLHTYFKVSQSNTRLLIVGIVGLSYYLGWFSPSLSPAKSPATNG -TGV - ->YP_009351832.1 hypothetical protein 3 [Piura virus] -MNSTRKTSKTSARSPGAVVSAARNTTPKKAVARPAPKKTQDSSFDLNKIVSDVSSTFFSALNRPLVLLSL -VMVVAFVFTHQSDFSSGAVGKYVAERAETNSLAKWVHENQFKFLGLAIFAPAVLNSPEKLRVVLALATLL -WVMLVPQASVLEYVLQALALHSYFRVRLQQSRLFIMVVVVFLYFMGYLTLVKSPDQQVQSGNGTV - ->YP_009344996.1 hypothetical protein [Wuhan insect virus 8] -MASSESNIGKRSGNRAARRSRNLPRNSTVPAVVDELTPEVSGVLRSGSGRRNVNGIKSKNKSYDFNQVFS -DIALTLTSAISNPLVLLTIALSVGVITTHNFPQNKGFIYDTFREKNDTISRWVVDNGQKVAGFSIFLPAV -VDSPRNFRSVIALTSFLWVMVIPESSVVEYFLQALAVHTYFRLKTDNSRLTLLAIIGIAWFLGYFHIPTT -K - ->YP_009342437.1 hypothetical protein [Wuhan house centipede virus 1] -MSSAVRNRSRIPVVSQRTVQRPRVVTRTRVVVPRQKTRNTPRSNYFDLNKVFTDISSTFTRALSNPLVLC -TISIALGVILTHDFEKKNGYIYDTFKERNDNISQWIVNNGKKFAGLLIFAPAVVDAPRNLRAVVALVSFL -WVMIIPESKAAEYFLQSLALHTYFRLSNNNSKLMVLFMVVIAWFLGFLTVGKNSS - ->YP_009333218.1 hypothetical protein [Beihai barnacle virus 2] -MATVRRRRAVPATTKSATKQRKVPRPRLRRLRRLVAAARLQPRRVNKDVMTEVVQSVTSSFRRTPFVIAA -LIVLAIALTSTSTSGPVHSFCQTRSDALCKYFVTNYDKVLGYMIFAVAVVDIPYAYRIGSAAAALLWVYV -IPEASPVEYVIQAAILHSFFRVRLEGSRFLLIAIAVFAYFCGFIVFKK - ->YP_009256207.1 hypothetical protein 3 [Negev virus] -MSAAPRKRSAGRTVAAARSTTSQKVAAPTAPKKSAPAKRNRSNTMFDLNSVISDISSTLTKGLNRPLVLL -SVVCVVALVVTHNADFSSGVVGKWIAEKSASNSLALWVHQNQVRFLGLAVFLPAVLDTPDNVRVAVALAS -CFWVMLIPAVSIYEYVIQALALHTYFRVTLQNSRIFILLAVGVLYFFGHITLSPPSTPGALAPNSTSV - diff --git a/seq/clusters_seq/cluster_488 b/seq/clusters_seq/cluster_488 deleted file mode 100644 index b9300f3..0000000 --- a/seq/clusters_seq/cluster_488 +++ /dev/null @@ -1,140 +0,0 @@ ->YP_009755884.1 spike protein [Guangdong red-banded snake torovirus] -MNILILACFVPTLAYYEDWDKSILAINATDYINSISKLGTLLQNPHPVDHVNLPVKLDVLQEMLQKYNLY -GKKQAIHTYQGSTLKYYNTYPGSVSVVHSSTNISQVSITRGRISLAVACNASIVKIDNIHYLDPRQHLAI -RTFRNKSNPSYTCKDYNKVNYTTRKSSSKSYAVDLTTGYSIELQRLNIPQNYTNNSCYDDYKRFECASVM -CNETLIAGKNKNKEYDFKVFRCFLKTDNQNYKRITVKADKKVETIRHTCHSPTGCITIIDAKPILTIIDN -VIVDAPKTATDINYLRQVSLGYAAQMSNHVWYCPKWSLPFYLDFIYKSKTKEQYDACGYRVIQSTYKQFS -NGDVEPSSSNLKYYFFTSQFEMYYFSPLGLLTVPFVYSAAPKQHKPLIVSFGTPLSINYVPPAVVVRSGQ -KFVDFGQVNGTQLYGPDPQFVSGTTTLLPTKGSCQYKSGYFFRGSFEVVPKQGIPLCGPADHLPMLKTCY -SSKLQPDRITVFAQKRLWMPPVSCITLCENPLKCTNQETVSPYYQACVLIATQINELTGNKGAPLGLPVD -SSLSSYLFNATKYVNFTQEVVKVQEISAIKPLNNALKKIDILRQRLRPLRGGGPVSVDPSFFLDIWSEGQ -YNAPSDMNWVAAFPWLSGWRFGRQINTLNYAMVAVVDALTDYVPKLNKNFQYISAVLSSVSTQATTNYKS -ITQLYNNFKSSYSDLTKEINNIKLQLARDQYMAAKVATLNNLYTQVVSAKATIETDIKLFAIKRDQCRGR -AFGCTGNAGTYLFHSEIETEDYLQLIISYLRPVNCSFLYQTSSFCLYNVLYVPPFPCIIQSKDIPNQPSD -LQYINMTDGKECQLEVLKISGCDQTPEFTDAVKFVNLFDSQIIRPNVSITNLQFNEKIGNITGFFQGVKS -LVSGIEFLKDPSQYFNDTVNRYYDAIFSNSNDWSFLDYLKVALVALLILLLLPIIIPIVQSCFSCCRR - ->YP_009755878.1 spike protein [Hainan hebius popei torovirus] -MFRLLILFCSFVFGFTEVYDLWDKVVCPPNVNVIDKLTNLDKLESWSQGEGVVSSKVFGLKELFFYKVVS -RVYSGLASKRYVVGNNISYDGILVNDLKVVDYSGVSVKKSIYHLGCNTPYYNVKCFLSDIINLTRCVLPK -HYSGVGNVAMFGGKCYYYSLVNFYYYFQCNFNKNVFSCKRKASVTNSIYYNTTYSLEYDYNLDRLKFKVG -RYIYFPDVLRFGRSYRVVTKEMQFKTNTNHFGKVYQFDDVSFVDQYYLQPSINKYYNFIFDCDCSLCAVL -LFGDIVTIVRDGIVVFDLSRVDDLSLYFVRPFVKPDDVSNDDYGFVYNSDLSMFSDKYFRFLSFSKKLLV -LTRGYLGLYTKPYVYCVVPVTPIEKCVVGENKYVFSTDIGKFGYDNGDYFDDPIFVSSTQTLRPTLGSVK -LGNTYLWNGSFVGVNYSLPTNYEVGDVNLVCNVSSFVPDVYKYVLFKLKPVEYVSCRMLCSGRGSGENFG -CTEQEIKSPLMVQCREVVRQIRLLTGSKFINGEELVFVGNSSVGKIFNFNFSFIDVVIPQLKVGLNNTML -DVSNRFQELEKLFKYKDSDAGYEDNEYSLVDREKAAKDLGLFSSLMFNADRDMSTIAAFPWLAGWRFGRQ -INVLSYTSRLLVKTLVDMGKDINNNFEYVRQGFNLFNNQLVINSNYINEILVLLDKFSVVNYKNFEALGY -YISSVEYMAARLFEFQRLLNAVSLYKARFELEFKNLALRKQLCDKGRVGCMDFNGVYINHAYVENDDVVM -LLINYLKPKACDYVDIKTYVCINGETQVAPFGCVFEGVHLVNRVDFGWANCSLPLKFRGCDYEADKLFEY -HMLYRPFLDSKPSDVVLDKLTVDYKLVDVDVFQGQVKDIIGSIRNVTTIEDVVESSLYGGWLDKVNEITG -LGWRWYHYIYVIVGVLLFLLLMPAIVMIFNLVFQCIKMTKVH - ->YP_009755845.1 spike glycoprotein [Bellinger River virus] -MFLVLLSILATCECQSHRYDNWDKVFTLDNNIQNIISQKQFDNFLEQPFLINSISNDVKPTEIVEYLTNN -QLTQALPNKLPHPVISSVKIIDKQTTACNSQLINCDTKVKTLTNLNGNCFFFNPSSISNRSCYKNKIWRV -RNTNDNSSTCDNVYAPIGVKTITQDGKQVPLYYHDILPQLYEPGRIVNDNRECCIFKTPSVECKSKAVLA -GGKITNYINTFHETIIQCPCAQKCVIFINSQIDVLISDGILIKSTFELENLHNIKDQHTKSILRQQQPET -SKLERQYLEFNNNCGDSITKAGCELETIEFSSSIYMIHNAVMPNTIFKTFCAVEKLPINDQLEPLNSKFS -VPATVQLGKQRYIAHKVNPVTFQLDYTNDPDFISATTTTLPTIGSCRRSDGYAWHGNFSIEKNEARIEDC -TATTLDWNPVCNITKLEPLVKVYAVDKKITAKGVNCDDICPYAVLCSEEQKRSPIYQACLAIEQDISTLL -KVERPTSVKMAALTKTEPLAGTIDVNFLQEVAKVQAQRFDLTVETGLDHTQVLDDLFKLTVALPQDSTFF -PDRSQAAIDLGLFQNAMFNSKADMDHVAAFPWLAGWRFGRQINGLSYTTSNLIRSYRQFSNTVNKNFQQV -ATALSAMADQITMNYEVTTRLYESIQRSYQAYENNFAKIDYRMSEIEFMTAKLSLINQYFTKIATRQSQL -ASEHQIFDLRVGSCRTANPACFGGQGVYLAHAEFETPSQKLLIVNYLGSTEKCKQVFTANKICTKQGTAT -IAPFGCAFFKTSDSDSEVLRNLKDNGPCHVPPINVQDCQLPTNEIIQLQLINYQETLELGIRQVNLTRVE -FNQKIANISTFNNNIKQLVNSIDKVPSLGDMLEKQTIASLNSIIAQINAWSIWDIIKWFLVCGVIIAFSA -LGISVIKLVTKR - ->YP_009666300.1 S protein [Xinzhou nematode virus 6] -MEIRQSQTIMFLIIFLTLSAYTLQAKGDVYENWNKNILAAQNITDITSDEQLIRILIRPTPHANTFDSPT -YPQITDHLKENSLTSIDQENLVTRKQKSYNLYPGTDCTLVNTTFGKFLNDRSFIIPPEDTGTNNVWNGWG -WIATSAQTYQQWLNLRDKRRIEINKLETEARQRPRPPSNNDPTLEELLKTQQNLTITRQGKTYLNPYNQI -AIRVSFEDGVWSPRPGTTCLQNVPITYTDEQEQVGKDKFIPVVYARHQVLSQIGQKLILIDDPSKWTCKT -IRAKCQPIQCTDTRIRQQQEIFAAANTLKALPSQNKIEVLKLDCACPLQCSTFIDADALVVITDQRVTKT -IVGADTITGITQALNDQFYQEQIPLHQQTCQNIYVRFQHGPKEVQPTCREVMTQQLYYINNLNNAIQANR -PLASNLRAYKYLTSLIVFYQAPLGPLTVPNIYCSVPKATPRTTVQQQLGQIENINYTPPQVVTFANSRLV -DFGFSQQGYRIYGQDPVFLSPSITLRPTKGSCRISAGFLFTGSFTVVENKDIPFCSPTDYAPVEQVCYSS -NLIQKQAVFYQNKNIPLNPVDCKALCLDPLLCVADEIRSPLMQTCELLAAQINTIIGRPDANLGFDLKNK -TTLPTTTTNTTLLFNFVQEVVSFDFKETKLKAAVISDAMEKFIRLLTPLSSKPVNVAPQPGFISWWNEGQ -FNTDSDLDKVAALPWLAGYRFGRQINTLNFATQTLIRGLASTIKSTNENFNNINNALRLISGQVQSNYKS -ITSLYNTFSASIQTLANSIQQLQIRVRQVEYMSAKLAALNQLYTEVKVAQLEIKTNTELFKNRVLACRQK -QQQCNGGIGPVLYHSEIETLDYYQLIVYYLGEEKCERRYQSSSFCESNTLFVAPYPCIFLGNTSADVLLK -RNMINMTDGSQCTFQPILIQGCNVDASMIEKAKIYNLYAKQISPSSINFTTIQFEAEIQNITHFNQTLNK -YLESIKVLEPLGKFFTDVANKWFESINGVSGWTIWDYLKWAAIALVVIILLPIILPIINTLLLCCRR - ->YP_009666261.1 spike protein [Shingleback nidovirus 1] -MIQLVLVLCAALVSGQRRPQTPTFRDWNVKYVPPINATVVRTVTDLLGVIMQAKPTNNQVPELKQYNNII -LTKTIYYEPQFLQMKLVRVISPNSILRDLCLSCDTTDQDNIKTLKIAYPFIRNGTRCWFWSPYMLDNRAC -YDKKSKIWSLRTSQSQDCGSLSDYSNDNKFLTYRQEWIRQQTGKDTYDIVPQMVAVQVPQLRQVLPEIRS -HIRGVPVCQTLQRIQNSNQFVMTNVRQSKQLYVTEVQPKYDLLDLRCPCETGCIVAFVTNIQVLMIDGVV -IRSTVPLKESSMLVQTFNDALEATPVDWDKQQCIRTGVETLDNKCIKVRYRQYYDTPNFVAPTTYQWQVY -EFEHSLVMIHDGFERISPTAYNCKVRKTYVAPGDRAQSAPTNSIVQDDDGSTLAFLGFNGTEPIYGEDPV -FLSNTITLKPTRNSCRDFSGYRYNNSLEVVSKDSVADCETVTLPELETCIAAGLEPTISVYSVPHKIDLK -PVNCDPMCQDQAQCTTQEKATALYAVCRSLVQSIAQILETEPLPSTKLDFRTEENNVTKITLSFTQEVAS -TLTQRTINSKQLATPKLNQLKAWYQMTKELVKNEKFFPDRKDVDKFLGLFPNAMFNSASDLDYMAGLPWA -VSWRLGRQINMLSYTAGILLDGVEAMVNDLNYNTKLVQQALDLINKQVTNNYKAVTNVYENLRTSVKVLQ -DNFRMFNIRLDILDYQSARVALLNKYYTDLRTLQDSLANQQLLFQQQVASCQDKLQSCSKGQGPYLAHVV -LNTPTHRVLIVKHMKPNKTRCQEQTVDNFQCVEGKSAKLAPWGCAFVDGVLKNSIDGGSCDLPPVFVDSC -KLHSNDMVIYGMSNMYQQLLLKGGELNLTEIEFKAKIGDIGKFSTVLNDTIQDMRHIKSLEETINEDDAL -TLKKILAKINAWTIWDMIKYTMALLVVVAVLSLLASVARTALSVAAKVKLAKKQ - ->YP_009408171.1 spike glycoprotein [Morelia viridis nidovirus] -MKFLILLSFVCLVSCQLFLRSPRYRNWETIYSIDSKAKTITTQSNFDEFKNSPYITSYVDPDLDPPELAR -FLQHNVITGVYDVDLPKPVILELVSFDKPGNTCQTLDPPSYYLIQHNGRKYFFNKQVLVARVCFQNGLWR -PTTPGDKDKCEKVNPQVYQDFKPIPTRNGPESTPYFYVGVLPQVNTYATRIDREDTCCYYHEAPIVFSRG -TIATCITKTPFKGTRKTIANTRTESVFVCTCPQRCTMFLNTITDVIIVDGRLRQSIYALRDLNYVAQQYK -LFQQQLQGKSVTINNKTITDENINIVYHESEGRCGVGRVKVGCGLTAISFVSTLYLIHGPMMPNSLHKMY -CTTDRIDIQDITRPAEVTNFQLPATVQLGNTRFIATGTDPTTNQLILVDDPQFISSTMTNKPTVGSCATS -GGYKWAGNFSIVKDDKQIPDCPASNEDQDWSPVCNVTKLEPLQRVYVVDKKVNAQGVNCDDICPSATVCS -SNQKTSPIYQACLGIASDINRILQVEMPTSVKLEAVSTGEVDDVLTLEFQQLVTEVQAVKLQETVSAGLG -NADSLRQLFEETVALPKDETFFPDRSQAAKDLGLFKNAMYNSPKDMDHISAFPWLAGWRFGRQINALSYT -TSILITAYDEMQKTVNSNFQGLATAIKAVNNQVKANYEAVTTLYQLIKQTHDAYGNDLRKVGFQLSEVEY -MVAKLALINQYFTKVLSRQTQIVTESQLFDLRVGTCRSRSPTCFGGQGTYISHAEFETPRQRVLIINYLG -SKERCKQIFTANKICTGRGLAQVAPFGCRFEVEDIGDPPVLTNYLNGGPCHAPLINIQDCQLPETDLQKL -QLDNYQESLELGFREVNYTKIQFIEKIANISKFNTEVNKIIDSTRAVKSLNEIVSETVMLSIKNVLAQIN -AWSIWDIAKWFLIAFAIAVVGMFVVSALKFVAAIFIAVKTNKS - ->YP_009344971.1 putative glycoprotein [Xinzhou toro-like virus] -MYYWLVILIPTVLSANYDDWDKNICPPNRETFPASVNDYISLSNWLEGSGFSSQPIFGMDSFTNYRLLTK -YPNNFPIATYQIQNITIKGVINPPLKKNPDMPFSKIRPQVAYPNLDCSQSQPYGLNLMKRCGKCYHIDEC -LFWAMYNCSVRGDDLKCKRTTKAPETHLKYYSTMQYYCFKGRLALSPVGNNEKVFATVIDKRSRYTPIFF -KTKNCLGTKLEPVSYGYSSYFIPNTPKHFIFTFQCKCDNHCLMYFYATVYVVLADDVIVYDKYGYTRHPI -ESYVAQLDAKAEKFPSDAYGFLYNDDNTTFANNHWRSYTFRSKLKVVTYGYPGRYTSPYIYCVDYIPSDA -VLPCETSNQSNYVFTTQHGKFAYQDEKVYTDPIFITPQLTIHPTLNSHQTPDGWLFNGSFAPGKFLNPDA -LPDLTDYQSNDYCFTDSFTPAVKLITVPRIQPIESLSCQNLCRGQSSTSSQTLCTTAELSSPLMQQCYSI -VAQIRKLSGSKFKGNEFIPTSQIVNRTTFRTIKDFDPKLFVSNIKIQTFKTHNQISDRLRIFQHMFDVSD -PSYGQYAVPVGDSWSNILGVFKEGMYNQDRDMSYMAAFPWLTAWRFGRQINALSYSISTLMQAYDGLVAD -LNTNLMVLQNAIKQSADQVVLNSRYINQLADTLSVFSHNTHQNLVALNLHLSTVEYMSAKLSQFSIVLAS -LENALLDYKIQLQLLNQRTENCNRGVESCMPFPGIYLAHQRLDTPSHHILLLSYMKPNCEPSQIDMYYCQ -DNVTYVAPYGCQFINRELEVIAPNATCDTPIKLLGCTYNQTSLFMMSFVTRPFTKLTPQEVPLHIVNYTD -QLKPIDVEIFQANITTTLHEIKQIQTLSEFVNNTDQAWLDNVPEYGTPGWSIWDYLKLAGIILAVIIILP -IIIGFLSLCLSCLKLIKKVA - ->YP_009142789.1 S [Bovine nidovirus TCH5] -MRIWLFVGFLLEVWQVYSVNYCYVNNIQDLKVGYGYIRVVSKGEICPKDCKFESGHDIPSGTVLPYGMVY -YSYNETAKFCNSTVPFLVKTPSGSGVWDGAELQQDPMFINEKYTRLPTIGSCREGQFYRFAGDYRVVHSV -LPCYIDQGKVQVQQNFTIYLRNLSNVVINFTCYDLCDTMCSEQFVSDSLWQVCTDLVQKFEALGVKFVDR -PGKLVDLTFTIHNITFTNKTVGKLKDFKFDFDSFFKAKYAKSYPQRSQAARDLDLFSQVMFNSDHDMRSI -AALPWLAGWRYGTQINMLSTAMGDVMQNTQAFINMANKNFQELGDSMYQLTTIFNSVLGSNGLFGEVAKN -FDILEQRSVANTILLKLNALYTQLSVYFSDFAGNSYEQIKKECSLGINRCSPLLVKGWYENNQPFDHIVV -VNNRHVDANVGQLFYCLNGNMHIAPLGCFYRGYNLFNLNNSEPCFQKDEVVKPGCDPVEAVEVMVKLNKV -KLAQLDKQYNFDELVYNRPQLFEKIKSEFRSENYKYDETSMSIFEKIYIIVAVVIGLIALGLVINLLKLC -LK - ->YP_009052477.1 spike glycoprotein [Ball python nidovirus 1] -MKILIFCLFICLASCQPSSVSRTRKPTYRNWETIYSIDHKAKTITTQGNFDAFKNVPYLTSYVDPTLEPV -ELARFLQNNLITGVYNVDLPKPVILELVSFDKPENKCQTVDPPHYYLIQHQGRKYFFNKQTLAARVCYVN -GFWRPTTPDDKDKCEKVSPQVYQDFKPIRIGRDESESTPYFYVGNLPQVNQYATRIDREDTCCYYHEAPI -VFSKGTIATCSVKTAFKGIRKTILNTRSESVFVCTCPQRCTMFLNVITDVVIADGKLLQSTYALRDLNNV -AQQYKLFQQQLQGKSVKINNKTITDESINIVYHESEGRCGVGQVKIGCGLTTFTFASSLYLIHGPMMPNS -LSKMYCTTDRLDVQDITRPTESVNFEPPATVQLGNTRFIATGTDATTNELILIDDPQFISPTMTVKPTVG -SCSTSGGYKWAGNFTTVKDSGDIPDCPASNDDQDWTPVCNVTKLEPLQKVYVVDKKVHAKGVNCDDICPS -ATVCNSNQKRSPLYQACVGIASDINRLLDVEMPTSVKLEAITSGEVEDSLTLDFQQTVAEIQNVKLQETV -TNGLNNADTLRKLFEETVALPKDETFFPDRSQAAKDLGLFKNAMYNSPKDMDHIAAFPWLAGWRFGRQIN -ALSYTTSILITAYDDMQKTVNSNFQGLATAVKSVNNQVKANYEAVTTLYNLIKQTHDAYGNDLRKVGYQL -SEVEYMAAKLALVNQYFTKVLSRQTQLVTESQLFDLRVGTCRSRNPTCFGGQGTYLSHAEFETPRQRVLI -VNYLGSKERCKQIFTANKICTGKGLAQVAPFGCRFEKQYGDDDDYVLTNYATGGVCHAPLINIQDCQLPE -EDLQKLQLDNYQESLQLGFREVNYTKVQFTEKIENITKFNNDINKIVESTRAVKSLNEIVLETVMLSLKN -VLAQINAWSIWDIAKWFLIAFAIAVVGMFVVSALKFVAAIFIAVKTNKS - diff --git a/seq/clusters_seq/cluster_489 b/seq/clusters_seq/cluster_489 deleted file mode 100644 index d8a2e41..0000000 --- a/seq/clusters_seq/cluster_489 +++ /dev/null @@ -1,64 +0,0 @@ ->YP_009551626.1 NTPase [Guangxi orbivirus] -MSRIVLLAPGDVISECRDVLNSRGVSIDLRDWQISDKDSEIKDTEKQSSSEGSKRTESKVETGDASSNCS -QDDKPHDAGSRVRAQGLEHERLLRCDSDGKDTRIHGARNDKPKSGDNNRDTNTRAGRNEQSKTHSHMSVL -LDKREEEAQNTQEGGTENRGQRGAGESSQEKVIQRLNYYVLSDEIEEELDKRFDGEIKSSVGVLNFIKPS -CFLEVGAQLLKRLGIGEEVKNEQMDELKRVKRILKLHGGAMKKKGQNFADVQFLRVDSEKGLREIFGKEK -QKADSKSILKTRVTLITNKKEMVNKAHALFSAPTGDTQWKEVARLATKRPNIRAYVYSPADGVSLVEALS -TLLDVI - ->YP_009507678.1 VP6 protein [Corriparta virus] -MTRVLVLAPGDLIAAIKTELSADGIRFEIREDGRVPASAVAEERTNDRISGGGSDAIRGADRDSSGDEKS -KTSHSGSAAASDVLLPTGAGRRDKDDAATENRNVENGAGRDAAAAPSNTEVGSDGNARKAEDIGDVGEKR -AKGDVRDDLGLRSKRGDATDRRGTEDEEKNRDVGTRTPGKTMVLTKAIAAAILGRSGVEVSVLEDAKGKV -SVLHLSNAVGKHLNLTPDDIREQTDALSHLKKKIKKEKNDVEIVRIESEAKFQSLYPSVKHPEVGKMSGV -GMVTNKKEYVPQASVMFTAPTGDPLWKDVAREAMKRSNIRAYVHDVTKNNMPPHEALLTLIRSL - ->YP_009158909.1 helicase [Chobar Gorge virus] -MSRVTLLAPGDVVRACEAELAQRFINVRIIAWEEVVEGNPQTATNISPEGGNAHGGIRPKDVRGDTQRVT -DPVRHANPQKGDTHADDAKQHASSPAGASSDSHATSTADGRHSDSRVATDNQSDGAREMESRGAVRTDSD -TSSVEKKRKNQSGAAVCIPEGRDRGAASGSGDAHPASGGGEVALYVADQRIRDGLAGEVKADIRVFSASA -EIDSAAIFLILGPSILKSLGVTKDSLAKQKDAQARIRRLEKSGAKIEIRHYESLSTLCDEYGAREDNHAR -TVRSGVVLVTNDPSLAQVAPVVFTVPTGDTTWKNTMRTVTSRRNVRVYRGKAAEAKSQLLALVDAI - ->YP_009158897.1 helicase [Chenuda virus] -MSSRLIVLAPGDVIESVRNEIHALGIDIQLRREDGGNKDSAEVAAAKSERGEEPGKQTGGSSGTRDVSDI -SRDRSPNKGGQRGTLGGVADGAPRNVQPPADHNGDGGHVPDATTTGGRGRAHDAGDHQTAPTNGNGSGGA -ANHGAKDEPMPYYVASERLATLLRQRGKKDVEVFKPGAAVPPNGAFLWLGPQALKSLGAEPSEGAAQKDA -EADIRRLKTTGSPIALIRIHGASELDRRFPPEKNIQVTRSSVVLVTNLAEYAPRAHILFTAPTGDTGWKA -VAREATRRPNIRAYAYKEDERESPGVVLLHLITSS - ->YP_009158890.1 helicase [Wad Medani virus] -MSVRLILLAPGDLIASITAELSRRHIDYKYDGINNESSDARSAAENREETRRNRELLREHTSGGGGDGRG -DSGERSSGGSVGARSADKSGMGGRPDERDVSKDHSKHRDQSTGKETGNASSNDSRASGDQVAPRNVDDAV -DMVTKVDQDASRGVFALTESIVGALRSRGSFHVQLLGDSEPRDGDVCYHVGAAVLKTLGLPSAEALAQKD -AETHWRKQLGKRLSITKIHSPAMLEKLFPLATPERQHRTPVALVTNLKEYVPRAHIIFTAPTGDRTWKEL -AREATKRSNIRAYAHDPSTGPASDALLALIDAL - ->YP_008719908.1 VP6 [Mobuck virus] -MTRIVLLAPGDVIERCEAEIKERGIKTKIKDWKDDKTKEEQKQDKGAESTVDGHRNGVAESIGEGRSRED -TQSLDGGTGGLPGRRELGSGKRRDTASAPEGSRRDDKKEKNTQLPVLLDKPETKTEDLKEGKEDKEHVGA -KFNGDKGKETAVQIKTKILVLHDEIADKLEEEYDMKVETTIGKMTLDDDIMILEFGPALLKRMGFGTDVK -DEQNDEMKRLRRVSETVNTKKKTGTMKKTVRVDSEKGLSELVGRRRIDPSTKKNLTHNTTRVSLISNAIE -DVERAHVIFTAPTGDTNWKEVARTATRRSNIRAYRHTPGEVSLVEAFTTLLDVV - ->YP_003896066.1 VP6(Hel) [Great Island virus] -MSTRLILLAPGDVIVSVGDQLVQRGVDVRVARYDYQSTDQNELPAGARAAETSPGQTREFGDRAGSGTSR -VEVDPGTKGSAQRTSTESTSGSADAHVRDQASRSDAAQGDTASRDGLHAAGHGRAVGGGGDTRNTAQSSE -SPAGSHSMESRGPEAGPGVPFVATARIRDALRERGYPDIRVLGSEIPIPDRDRVLLFGAAALKELGVGQD -ENAAQKDAEAKLRRDKVRSAMTVERIHSAQRVNEEFPIKKRERQHLTPVVLVTNDPQYVQRAHILYTAPT -GDLSWKETARKATQRRDIRAYAYGEHDKSPGEALLTLVDAL - ->YP_460043.1 VP6 [Peruvian horse sickness virus] -MSRIVLLAPGDVISQCKQELEERGIKTHIVEWDENTEDNKQEKNIRKGIDEDGQSLSISGGNVRTTDGKP -VGSVSAMDGLRSSGDKRILDKGMGGDFSGGTGSGRNTGNGTNDVKEADASMSKLLEKTEKETPGEKVRKS -GDGKKDEEIGSKQSKEKAIYVMTDDIKDKISEQYDVELKSTTGDATKFDESNNIIIELGSALIKKMGLTN -ETKNEQSDELKRIRRINRKFSTIKIDSEKGLTDIIGKRKKISGKMVDEGKQENRKNIRTRVKLITNDIKY -VDQAHVIFTAPTGDINWKQVARKATSRANIRAYAYTPSSDISLIEALTTLVDVV - ->YP_443933.1 VP6 [Yunnan orbivirus] -MSRIVLLTPGDVILQCQELLKTRGIQTKLKEWEGMSKDKKSEQGETKEESTLDGKNDTTLLEQRKDGGSS -TSDQERNSKQKTERFGTSKNGSNERISSAGSGTVPVQPVESVPHTRDNAPHGKKTTTSMSTLLGESKTEA -QIREKMEGKRENAGKSGVSQETVKSMKGTYYVLTQDIHDKLLENYNLTVEVTAGKNTIPQDSIVIELGAA -LMKKLGLQNDARDEQSDEAKRLKRLLTGPKKNKPNVRVDSEKGLMDLTNATRKEKGRVTSTQTRVHLVTN -DPAFVDRAHAIFTAPTGDPGWKDLAREATKRANIRAYVYKPGGDVSLQEALATLLDVV - diff --git a/seq/clusters_seq/cluster_49 b/seq/clusters_seq/cluster_49 deleted file mode 100644 index 5d5bba4..0000000 --- a/seq/clusters_seq/cluster_49 +++ /dev/null @@ -1,736 +0,0 @@ ->YP_009165597.2 ORF [Binucleate Rhizoctonia mitovirus K1] -MTLTILKALRAQSKTIFNVHAYLGVIMRDYSTTVTQNRNNQLILDGYKVPKLNIQRNQSRLTKAINALKL -YIDNQCPGLENNVIQELMVILSHLETIYHKDPKSFVTQCKLLSNWFKVNVFKQIRRQDAIPLLFWNRRNQ -APHILAPIFGILDAPGTVQNHLLVLRLIFSILEIYNVVTVPVLPSSETITKEFSGDMNMIKSINIGRALE -RLNVNIDSWRQSFELRNREGIWHTSSSSGPNGQALWMSHVDAKALASDKELMSNFRNYVTLVGREDLIEN -LEDTVRLPQFFKLSSGIPTHSKLHVIFEKGSKARIIAIGDYWTQEALTPLHTELAKILGGLDMDGTFNQD -KIAEKVRRWTAIDNKAIYSLDLSAATDRLPVLLQAMILDHLTGIKGFGDAWRSLVVGRDFTSEIFPKVRY -STGQPMGFKSSFVMLGLTHHIIVQEAAARVGFDSFEDYVILGDDIVVANTEVAEQYKIIMEALGLEISPF -KTIACDADFSKPIAEICKRVFVGGKEISPLPMKLMVTAAETTDMLYQLQEEMSKRSLINDPLNIHYFMGG -ISNRVAYKDLARMNGLPMFMTGLKNPILPPILDQFNYKNWDKTRNISLLTLQSYFTFTVMMEQLKRLGDI -LVNTKDIYTTLMKAANVSKTVGIASKMDQYLPVEDFSQEILDEWEVIKPFHPAIEVVKGEVDRINTLLNR -ISMASSMDMMVMLLDEVVDSLKISSFEYVPDKEYAEAKQTRRLVEQTLSNIQRSTINGTLGKRTELSYSI -KLERINAIWNLKVDLDSPISINRNISSISSSNAQAIQRVNAYLSEGVFDDFLKDKGRR - ->YP_009553587.1 RNA-dependent RNA polymerase [Gigaspora margarita mitovirus 2] -MTSFKYIKCSAPQTGWTFLSFRAFNTGSACLQVLLDHPLIWSVDWFKVKAGFYCIVDPTNPRELIYLGEK -DFRDFIKVMLSNREPMVVLARAGENQPKDSEILTPKPKSPHSTVFFRSFRVYSKFLRALWSEMWEGKLVV -PLERNLKFIICNWGKTLLHWLGTSSSSRAQSQGLIQVSKYLMVVLKHRGATGLTIFLKVSFIALNKYLAG -EPLKSTFPLKCGMRLQGGLPSWLPAPVRLAIRQKSYKVIRLWSSLLYTYKGMYAKGVPSIASVLEPEWYT -SSTRATWLWGFQDFLANVYYRQLNWVGLDIPQSDQLPLLRTSAGPSGGTALAGVLRDLWVWRVFRHNRLH -YSLEQILAYTGNGTALGFLRHGLAGAKPSQVFPLKVHKKGSCQEGYDIALYDGRKPLALARLHLIYEAAG -KVRVIAILDYMTQWAFAPVHDFLMRLLATVDTDGTSDQNIGLQNFILRIGSRKGIYSSLDISAATDTIPW -QLYEVILGVMFDARFARHYMRLLRERGFLSPRELPFEFVKYGCGQPMGALSSFPLLGLVHHAIVQYAAYE -ANSFPFYDYCIVGDDLVLFEEDKAVPVATKYLSMCRYLGIRINKSKTYQSEVFFNFISRSFLNGIEVSPA -SMKSELSVHTLGQRVENTLRNCSRWSTGDSRSVMTRIIRFASDHWVWGTLSVSLSQGFLTSYVATVLASL -LSPAGRFSSIFGVKEEGWIYWVAALRGSSIILSHDASALTTHYLKNNYSKVLILIRTTCLVLRSELESLL -VSGTKIADLYPSWMVKQPRGIRLLYQLFREQRVNYAYFNFSSREVRPLLGYPIAESSSLLDEPDYEIITG -MSHEELIESYIMELLIYSMGYLVPRKFVPYLQEFYEAWSFIFSDNPDPEQLGQYLTQILEAVLAFKPDLD -YRDVDVLSKVTRSEFGHTPRSSVINSNKFVYAKSGFANELLQRLTIAWRMILSMENLGINPEETLKLPLP -TSSFKE - ->YP_009553263.1 RNA-dependent RNA polymerase [Rhizophagus diaphanum mitovirus 2] -MLLLTRLPLLYPFRVNLFGTLTGTNQKRDISYLINGVVIDSEVVMKKDPLKRTVSEWLSLVDWDLHRLQP -YVVVDPLNPSELLYMNKPEYLSVWKVAGSRDLPFIVIAGPKTARPSKSTDPNISDSSNKNQNSPWDWRLD -SSSERKSTLSWEVFCNFKRSLHLLLPKTLKEKGLVAADATSIGRSLYLWARELAHYAEMQQPSKIFDLIT -PLVLYIERLYTHNGAPYAISAFKVMLFTLYTYMMGAPLKNTDPLGTRIALRNGLPACWGTELRNLVRGRK -NLRIVRILASLLNIYRALDAEHPEPSVTTIIQPHPNFEENLIFHQFRRFCEDHWPALLGDHINGGEGENL -PYFRYSSQVGLNIRTAGANGSSAMASLDLDARALELHKKNHVRKWFELHKDLEALQLLDEISKDHHWGRP -KLEEFYTTKRLRGMSSAQLWLATRTYASKGLRVEPPVDPRDPETPGPILGRLHPIPEAAGKVRVVAIVDY -FTQIAMKPVHSHLLSILSKIKTDATFDQSGRVKEYYESNHSRHWSYDLKAATDLIPRALYLEVLAPLLVA -PSETGGEARKRAELWVDVMSDREFLSPSKDLWVKYGTGLPMGAYSHWASMALVHHALVQFAWFRVNGQRL -SWYMLYLILGDDLDIAKYPRVAEEYLAICQALGIQIGLHKSLQSNLNAFEFANRRFIPAGDISPLSLKEE -LAATSWSQRVEYAKRILERMGTSLKNSALAQVRKMVTFSGWTAMKAELAGLRHTAFLPTIRFIAGNPFRE -LKWNIPIESLVNWLILLLPSKEAEKVRKLTTATMFGNNAVDSSKDVREAFIAVLYTELAKGLAKDLNKLR -SDTVKGFLGFKFSRRDRGYLNHLIEQIELAPREMFNDLAAQLLNCHPGRIMYLTSYFSDHTWASYLERLN -LITGGLTPTERRLGSYASIVYMFWVCYRHNFWLNHKITLLLGILEDIQMSIDFEFSRKLNRTTLNFEDPI -TEIGDDLFASRVRNLLKENIPEGETPPHTVGEFLNWMYISYISLPKPLIIDLSETMDSWNSPEPIDKSGV -VIQSDKGRVTNVSLKDLGESLKGPLGDLVLGFTKGTGVLIPPIPKLQLKSDRNLLQSGAIFYRNYIEAPP -RLSRQGIFYESILY - ->YP_009553175.1 RNA-dependent RNA polymerase [Gigaspora margarita mitovirus 1] -MKALLLSLIRVAKERVTVRPVPLRRLNVKVWHHLFPALVSVGRLVIGRISRDRIKVLAFWAKQCVAMIRK -QGVKGTCLWLKTINVLIMASLPGSQLKSQSRAISKVAVSVSRDGLPRVIPKQDRRAIRAGDTNTIRLWLS -LSGMYRVLEFPGKAKISTITDPGPRISPPLLSFFETFLKREFFRNLGDLTGLKVTGIDPAGLRPTPLPLV -TSAAGSWVVPSGKYDLRVSSLGTAAPAAWNWVNGHWGEALLRYSQAIGSWDTTNSWYRLVEESARYSHFC -SRMSRGRISFKDEPAGKVRAFAMVDYWTQCSLKPLHDLLFSILKEIPQDGTFDQMAPAKELLSVRRLATE -TWWSLDLSAATDRFPLALQKLAMKYLVSEEYAAAWATLMVDRPFRLPVGYKPRDCRYAVGQPMGAYSSWA -AFALTHHATVQFAARLSGLSGWFRDYALLGDDIIIANDRVAHKYRWLLSQLGVECSLAKSMASNQRTFEF -AKRVLFRGVDVSGFPWKLWRIGQRSLAAMISLAQRVSFGTAPLNLASLVKALGAGMKATSRAYTAWRHMP -RGLKALLIILTHPQANTFLSRQTWLDWLTAPGPVLPSGYKVDTSTWLTPWLSGFREEYLNPALEFLEEES -TLDLFEPDIDRRDAQGNLTRDSHYMAEFIRFRRAYLFSKLESLVSVERSKKIRQLEERAAKIEADLAHLQ -KLSISLQARNVSGMFNSMMKRLEESIAAVPLPLPWLVWAREENENRRPATVLVSLWERWRLRIHRSRKLP -THANPQGEAVKARFEKPFSTDFDE - ->YP_009552787.1 RNA-directed RNA polymerase [Rhizophagus sp. RF1 mitovirus] -MSTFNFNSPNEVRRVTRSNIASLFAALMHLNSLVTVTYSQRLLAFLGAVYNRILVLFDANARSLISELKL -VRRWFLEFIRNGNTDNPGLEWDRWDDSNNCPVLLEGLDALWDEIESPSDSHYTDHAAQLIFTLLSIDRII -VVPAVPNYSTIEDGPVIEPVGYPTNEELVNALSSLHIDPAAFKAFYNQQVHDFDYEVLSTRGPNGDATWT -AHLDARAWALDTELFRRFSAWLEESRLTRILRDLFGCIRSAAAEAIPNLSPILGKLSVIEEWGGKARIVA -QMDYWTQMALTPLHNTINHFLRALKEDGTFNQHAIAERVRQWTADPSMEVFSFDLTAATDRVPITFQESI -LSYLMTSKSFGNGWASILVDREFLTPNGDLISYNTGQPMGARSSFPMLALTHHIIVQIAAARAGLTVYRD -YVVLGDDVTLTNAQVAAHYQTIMRCLGVPINLSKSIVHVDGGVSMAEICKRVFMDGVEISRFNPKLIVNV -IRDGRLGPDLQNDLIIRGWDPSNEVFWKFMAGLLSIDNLTLLIRLNCAPISITGLLRQFASNSKLAQLSA -WIPAYQDLKPEHLVELFTYVTASEALKRLDGILRAAVTINDSLSIIAAANAHPDRIPQYVRDTWLGEGLT -KEERARLEGLIASTGPITPNHPLVSASRAEANRISELLHQLNSHDTAIITRARLGLLDVFRTSISSIWLD -DGNIRAGESRSIFTRMLTTLVSLFTSEKRVSKSGRNLSLSYSVVLTSLSRLWTVALDFGGQVTVNALRAN -VTRDIHNAVDNLKAAEEAAVLISSSSVPTTSPTPATPKGIRRRRAFARIS - ->YP_009551966.1 RNA-dependent RNA polymerase [Rhizoctonia mitovirus 1] -MKLFTTTTKAVISKTTTLFTRSIYTSHTLLNTTKTIESLGLRGWQPMVPSVNEARNNKRIANAISHLHLF -METQTGLSNPAVMALTDLITELRNEYNENPLQFVRLSKLMGNWFKINIFQLKRTQGLIPVNMWDSYTNVP -RGLVGIFSAFNQLSTDRERIIFIRMVLSILDLYRVVVTPTKPDLGTIVNPGTDIGGIIKDDEIQKSLEML -GIDCDLLTNNFKFANKAVFFHSSSAQGPNGQAVWNSHLDAKALLADKDLFENVQQLALLLERKDLLTVLE -EAAKLPDLISTNEEPLKHSKLHIIFENGDKVRPVAIIDYFTQELLSPFHDLVAGILRSIPQDGTFNQNAI -ASKVKEFTATAGNSLFSFDLTAATDRLPVILQRRIISHIIKIDRFALLWQKVLTFRDFSLGNGHSVRYAV -GQPMGAKSSFPMLGLTHHIIVQIAALRVGFSTMFKDYVILGDDIMIANEKVATQYRRIMESLGLAISQHK -SIISTNSTTSAQIAEICRRVFVRGIEITPLPMKLIANVFENGSMFLQLQEKLTERGLVFAPENFELLVAG -FKLSLQDFNQVVLQNSLPQWLTKLTETFSFEDSDEWNFKTWTDVGIDEQMLLEFWKYTVISEQFKRISTL -IQAATNGFKAISKAISLGDILFAQDPQTGDRIPAKMFTLETLQQWNILKVAHPARFVMLKEVERISGIMR -RVASARGAELFVSLMDSIVDQLKYSILEVIKDKDLKASQMTRSMIDKTLANMRAANLAENKQLSFTVKLE -SLSIVWVLKVSLNGSCTLSESTMNVPTTTRDAKAQLLKYRSQNQSFKSSFGSSKST - ->YP_009551903.1 RNA dependent RNA polymerase [Chenopodium quinoa mitovirus 1] -MIKNLFLNAERVPILSWRSIFEGTRRLKGLCLRASLIVSLRLHKETGLAAVAFARVVFRLVRKSGLLFTS -LYLKQCGVSLQRYYAGSYSKQDSLSVPVSLTRTGLPKIIPVYIRRVIRKNDDRADKWVRTYLSWFSASRL -VELAPSVTSSTFASIHEPIKDIGSVKEVLSVLKMRGRRLVSTYLPFARSIPVYQGLKWEPTWKSTPMTTK -FVSRYRSLTPEELSTLDDTNIFSNLKHELASFMYNINKIHSLPEGFFSPGCLWPEWIIYPFDFKRTTEIA -NWSLEWFERRIGPHMSSIVSAYQIHPPVPLFTGKLAQTLPGAGKRRIFAICNYVKQMLLKPIHSWAMKIL -SSIPMDGTFNQVAPLLRLAKIKRTHVYSFDLKSATDRWPLPIIYTCVASFFGETYASSVVNSTLGLNTFR -VDKPIVSRMSEIAFRCGQPLGYYGSWSLFALSHHLVVWLAADLAYPSRETPFRDYAVLGDDVLIADTNVA -LEYKSLLSRLGVSISESKSIISETGAIEFAKKYWVKGMQVDLSPVSLKSLLGARHTIGLCQIGAKYDLDF -NTLLRIGGAGYRVRSRQLSTLNRKWEKIRAVQVKLTGQRAQLPIEFWIGRGCPLDPYLKGKIIVYLRKEF -KPKELRLTPEGLLFDGEVEIAERTVIHNWMKQWLKWIYWYYSVAMSPDVKLDQFFDAPICATSWKRNQID -LNLKRFSALWRCYDMAVGWPKNYPWVLPATTVLKIDELIKGGFSGTDFLMKPVELIVQQNKGRKYI - ->YP_009408146.1 RNA-dependent RNA polymerase [Ocimum basilicum RNA virus 2] -MTTLFTINRILRDRSAREWRRLLEQSRRLVGLFNKAQLVVFGRLAKGHCLGTLHMSRRILALYRKNGALF -TAKYLKQCSHAVLVYVGSQGELLTNLSVPVSLTRKGLPRIIPSMFRKRIMQGDREVLRLVLTVLSLYRLM -KVGPKGWRRIAHKTIHIPSYRPSEETMEWSKKLLTSGASMLAAYVPKYRELPINFGFSWYPVFTSGPNTY -KKPDEASLSARARKALSAYLAQRRRKGQKASKYSLTVFHTLPVDATAAITLLKPPQLSSLAAMFAHSRVF -YPLDGYDRPVEKSREGVDVIHWFINDLMVEVAEKLWWPSMTTRPESGRFGLKLEGAGKVRVFAIPNPIFQ -RFLKPLHDWEMSVLKQLDTDGTYDQLRPLHRLKGKRVLYSFDLSAATDMFPKVLSASMLSGLFGDEFGAA -WYDMMSNTAFRSPERLSSPLKARVYRFTRGQPLGFYSSWPTFSLTHHMVVWLAAWRVYPGKKFWDYALLG -DDIVIADEAVALEYRDIMQQMGGVINMTKSLISHNGCCEFAKRFMVNYHIGGGTDCSPSSLPNILLAHSS -LAATTLKTLGAEYSVTFRLRGAGYRVLSKIDRGSPVRTFQGLSRRWKRHWLSLFSRSGVQPLPLKLWLVL -PEGGVLDPYLEGVARSYLLERAKPKDIDQQSVDMVRLFWEGFEDTFERLLITFVQQHCAHVAWWCTMVRN -FDAPLEDLLTIPQSAKSLDRKSTEEIPETYGPALRLWDVIRARPKPRALAARDFWVTWVG - ->YP_002284334.2 RNA-dependent RNA polymerase [Botrytis cinerea mitovirus 1] -MKHLFRIKRKAVIKNSTTRLPDHLWLGYVKVLVWLYDIPSAHRTYFFELLNRIQSLRRNSGDTWTVGYLK -ESHRLSSHWLSGNPDVCSGSIRVSVRGLPLIIPGRLRNVIYSRNNINITRALLTLLSTFRVMSATPKLKL -STITDPFNGVNETLPSLEVSRALKNILQGNKFHFKKEDIKDPYSVNRLMDITKADPNGGVSLRNVIIDAY -ALMKHPKVYASLLELSNVMSPYLGSLLRNQTTIIRAIIDYDPIEWERIKPFMAPIYQNIKDRTLKLVPDL -SCIGKLSTKEEAAGKVRVFAMVDIWTQSILNPLHKKIFSIIRELPTDGTFDQLKPLDRLHELSTQDRFSF -DLSAATDRLPLTLQKDILTLLVSPSFAEAWGTALVGRPYKWKFGTTEDELMYSVGQPMGALSSWGMLALT -HHTIVQVAASRAGYKDLFLDYALLGDDICIANKAVADNYLLIMRDLGVEINLSKSLISSTGVVEFAKRWR -VGQTDVSPASPALITRLLSNMNYLPVLILDLVNRGVKTIQNSEKLLSLSDSLHKIKKSITFSLIPYYDSE -FAKCLLPLKGKDKSFSQKELFALYVHTDRIFNKLGLLEFHKAKDGDQENSKLSQSYLYNILDNSKLPLPA -ITSQIDNILIAQMKTITNVPTRNWLNNRDINLLTFECWHSYLIDTLSELSSISKVVPDYTIIKDNEKPDN -LEMRRNLLFMQELIKDLKKWNPGIFEIIPESILKEEEV - ->YP_009337200.1 RNA-dependent RNA polymerase [Wenling narna-like virus 9] -MICILLMIMHILICVSRSVQKHFFWSKANSWSAALKNGDGKAPNFDRKMNQIVLSKTYKILMKLSMGGVV -NGILKDIYTSHNKKLEKYSANAVIQQFKEDFLFVKNYFLMGTVSEFDQKLQWRRLSDVGTFSLPPYLSPI -YHRLGELNSWDKVLLLTLLRSYKHMDIPGPHKLETIYTMMGYQTYINTYKVCMSMSCVYKWMGMPKLQPS -DTGDYSKISIAKGLTPGTNTGSLLHAVGPSGNTLVSRLVDLMNVYQKPMYAGLLDLFAKFYSDFTKVGKG -PGITNVSKLFQHFSKPVLDETLSMAKENSKIKPKVDMKILNRLSYFTDGAGKWRYIAISDWITQSILSPM -HNLMFDALKSMSTDCTFDQNKIRAKYQEMYSQGKGAYCLDLSAATDRLPLPLQAFLMFLLTKNFGLSLSW -MLIMMYNPFHLTGDMEKKFYYQTGQGMGIYTSWAMLALTNHAIVRLSGKVYGHSDFSDYLVLGDDVVIFN -KEVADGYLTVMSKLGVDISQPKSIFPKENKFGCEFASRLFVEGQELSPLPVGLLIKDPNVDNILNFWTTV -HWKSTELVETQYQDSLTTPDLSSAVPLSGSEDLKTIWGFMSVYNYYYTQHMKFSENEVPSGAWMSLPEAS -PLHTLLEAIPLKSMEEVESTMEKILYRRFSQALKTMTKHSKTFDSIKDMLVPLYGSLGDLESRFPHILSM -YLFFMSPFYHCIDKFSELNLDPQSEMDSHMIAAGSGVLVMDQAQKLDHMAKFFTFAPLSMHKKIDIESLS -DLLKISYGPKSLFLGKDDWILKDPLTKKVLSKKVLSRQRVSKKDVQNAIRVSTFRMAVMDQYLRSNK - ->YP_009336749.1 RNA-dependent RNA polymerase [Hubei narna-like virus 22] -MEKDLKMKWQQREWFNPSHLTGSSIPGSGKAMSIRNRNGNISYASIVSAYSFSLSTAPLFIWKFLDDIKY -PEMVYEVQGNLEQSIKLSQIIEERLQNERLLDEALSGNNFLDDDSFVDYQKFVTPILGLHHSVGNIGFLQ -QGSGKLRAVANPNRFVQYCNSPLGEVLSSHQQGLDGCFVYNQEAGIEWVKRKLKQGNTLASFDMSAATDR -LDFQKFINEYFVNISDERHPLLSRSIELFKDTSLSPWSLPGFVADMLQMPSNEISWKIGQPLGLRPSFPL -LTIMNCAMASQAVRNLDGYGTYNTFACVGDDLVIQAEYADAYVQVVSEFSGLINRDKGMTSSKYAEFCSQ -LITADSSYALKPRWIDSIEGSLNNIEKFQTSGLHPKVPEWIQRLYNAIAKRSIKGFTKYGYTKTDCYDSL -KDRVAIHDFIQSTRKAPRDIEEITLQTLYMRAVSEQDELNQKVKCFDLSTSWAMPKLGQNKQEISTRHKD -IVQKYDIELGDLPSDRATSVAVPGIQQWNYKEDCYSPKVSELNTAKKLLKTIESIKVESAGTLISASQET -KHCRTEILTDLSNHESVIVHTNKATNTTAIQTKHNQKSKRLEDLLANLKIDDPTDEAQTSMETSY - ->YP_009336548.1 RNA-dependent RNA polymerase [Shahe narna-like virus 5] -MKMFKYMRGDATWDQEKQVRRICKWTERGMKLYSFDLTAATDRWPAWHQKLVVDRVFNKGIGEMWFRLMT -TTTPYATELKRYIRYAIGQPMGAYSSWAVLNMTHHYVIRLCAKRIGVKALYSVLGDDVVIVGKELADEYR -SYITRLGVKLNLNKSILNTDFGPCSAEFARHIVRDGHIVGTFSPSLVRNIYSYTDVYASIDLLREVQSKL -GQVIHVYENTTLLPIPIVRLLKTFSFKDDLLAIVTVPLTALKLPRVYHPEKFEGDPMVGYDKFANPWYEC -DINSVNAEFGNKWMREASLRMNKLESLLDKLGDGGSTVYRGRLLEISSHPLRYSLKPLKEELMNQFWRVM -RGEEVPIFSSQLQTDIDLLIFTLTEGKSFRTWRNSKTSKRKHACMLIKKVLKESHRVAAAMKELPTTSMS -WEDYAKQFE - ->YP_009333146.1 RNA-dependent RNA polymerase [Beihai narna-like virus 26] -MIQAKQMCARLMSLGIPKHVSSDIINLIEKWRDKSGNEWTVNRLKEYKQLFIAKLANTTVNHTWIARNKD -GYPKGPFSYIFRRLQNKGIDKALSALQSYTYFVSNEPTKAQTEKFMSALLSKESRGIESRFKHFHPLIKE -RRAPEAPPTIFEYCVSHTRMPGLTKSIPEMGNYVEAAIRAGSVECIRSCMVSNPEVFENVVPLDTVLDVE -PYRMSGHPYATQSFGYLSGIQEPGYKLRVVANPDRVVQAGLEPLKNELRRSLEKIPEDCTFDQEKALIPI -QKWLNSGRTVYSVDLSDATTLFPWKVTEDLLRKRFPSYQSHIDVMDSASRGPWTLKFGKRRNKDCTVQFT -RGQPLGLGPSFFAFSLTHHNLLYGICKKLGKSTKTNDIYRILGDDVVISDHKVAKNYMRTLRNLGCKISE -NKTLISSYAAEFAGYVVTRQNVGKGMKWRELSNHSFLETVKRLGKRSLPLLTSNQRALVKFIGPVPRSLG -GLGWGSGRKLDDFFSSNIGKASIDAYIDIVDKKLARAFPCESLDYHARRLSSGVRGYKHCSENLFHREFR -EKDPSDNDWMAWKQAINLEYQDFLPRETREKLDPDVPLRVISKETPPGFFRLYRQTGDPRPSVTTSAFII -YKAHLVDGGVRNPAMERFFQKTFNSSFDALDLVVNNTFETYRSYHQIRKRSVPKPNVKTISSKVKSPKKR -ALNRNRGPRL - ->YP_009272901.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 4] -MKNHMKLVKNLGFKRVEGGTKFLNIKELPRFTRLLIWLLASNDEKSSLFELALRIKRMHNSNGPLFTVMY -LKESHRLTMKAIGGQQETCTTFPRVATRRGLPLIVPGDLRVRMEKSNFDTIRAVLSLLTVYRVINCASTL -KLQTITDPFKGTVDRFTSLELEKGFKMLRLKGSMVLEENKFLIPSVKSGPNYKIAALGATLDAKAFSEDS -RLLSYAETVSAVTAPSLFSLLKEEINNLGSWSSTMKEELLSKYTRDLKLGKLSEKKEAAGKVRVFAITDV -WTQSFLSPLHHAIFGFLKRIPMDGTFDQLKPLNALLSRGLKNFYSYDLSAATDRLPITLQEQILSRLFGE -SFAKAWKGLLVERPWYHKGIPYLYSVGQPMGALSSWGMLALTHHMIVQVAASRVGHRTMFRDYALLGDDI -CIADSAVAKSYLSLMTDYGVDINLSKSLESDIGVAEFAKRLIKDETDLSPLPPKLITLLMSQFKALPTLV -RDMIGRGLSVESLLKDETRVSRPILWELIGPLGLLPSAGLSPFLGDRSLTKDELRVVADCVSRVINRWII -RYFYQNQQTSQELIEKIGSLVWDPSNGINRDTPAFHHYMNSFIEITIEENTAQPELVNFPPDREASFENV -YTFIKEAMDHFDGLAPAVPDISEKPRVRPISASSKMKFYQELNRALQDTGINFEYLTNN - ->YP_009272900.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 3] -MKAIKFILNFKLKQIIRDSILPVGKDFNKKCFPRLLNHLRKIYFIVFDDFKAIRIRDRLVMTNNFLQFVS -KMMRNHGTVFTVKWLKACTVCLQKWLGEDTVKSLREIEPGLPLPRVINGCPAIINRHDRKLMRAGNKHII -RYWHSLFSIYRVLKIPGKIKLETITAPYKGSVDYLNKCLEISLNTKWQASIQKICQNHNLAPTTFHMSGK -ASPSNVNSSTGILSDIYYLLAHPDGAFVFSNILKHLEIVGSVWNTQSFLQRLNDGAEIVKRMSSIQTKCS -MRNPFGQFAIKEEAAGKVRVFALVDSITQSVMKPIHLGLFKVLRHLPNDGTFDQDASVTRCSEKASEAGK -AFSFDLSAATDRLPVSLTGNIIESLFKIPGLSQSWQKVMVDRNFRFPLNIIENYDIEDIDYRYSVGQPMG -CLSSWAGLAITHHWIMQLCSYLVTDSWDWEERYEILGDDIVIFDEELANTYLQVMEWLGLDINLSKSIIS -KNKPTFEFAKRTFSGGSLVSGITGSQINSCTSLSSRVNSVYSWIKLGYLNNLETITIVLNKFNTKFSYKD -FSLSASAFSLLGLCKNIEHKIIMSSLVNPSKGCLWDMETENFQVPTRTLLTMARDLITKGESEVTLPRME -GREEWFEESEQLIVAGILQRALYRSRMLSLKYEESVREWAIDLGHLKFKDDRELLPLIEGWLLDALIDNR -SSAATDPFELEDKVEKLLTYHAKTNNVILSKAYELLQEVENLEYLYKQPTKKSQQLYDRLSNKTLRDITT -PFFMSGPEYWSVPSPNNFN - ->YP_009249807.1 putative RNA-dependent RNA polymerase [Rhizoctonia oryzae-sativae mitovirus 1] -MKIINTTINNKTNTNFLSFSSGYSFSSFSPNATNSTTVKDLRQSIVPGINVVRNSTRLARSIALLTAYIE -IQLRDLDDTSRMVLKQFMTELESMYHTNSSNFVAASKQLGNWLKVNLFKKVRLQGDVKDVHWNAHVSCPN -QLVGVIAEFHRIEKGTLKTQYLRALLSILNLYESITIPTNPSLDTITKPSNVDQTQISSQEILTALETLG -IDVAAVTNDFKFMNQSPTSHSSSSAGPNGQAVWYSHLDAKALWQDETLKMRFNSLATILEREDLKTLLKE -SAGLPKLQKANRNKPNQPKQHKIYEKGNKTRVIAILDYFTQEILTPFHDLVASILKKIEMDGTFDQDKIA -RWVKSKTAQSCVSLYSYDLTAATDRLPVWLQRRIIECLIKIDNFGLNWQLLLTDRAFDNPIGEPVKYCVG -QPMGAKSSFPMLGLTHHIIVQIAAKRTGFQGLFTEYVILGDDICIADDLVAAKYKEMMIELGLTISEHKT -IVSTVETSIDPVAEICKRVFIGGVEVTPLPMKLAANVTENNDLFFQFREKLSERGLIYNPADWKYFVAAC -VSNHRSLEKIGLYNSMPLWLSGFKQSLPIGTVGNNNFEDWLKAGMTEDYLREVWTFTVLQEQFKRISTLI -QSAQDTFQTISKGIRLGDAVYIVPDTGIELPVTKFDIQKMNEWNILNIPHPARFVMLGEVNRVATLFSQL -SSIRGPSLMTKLTHNIVDNLKFSVLEMINDMDNYKARVDRQLLEKMISNIRMIIRAENKTVTYTVKLTPL -GIVWVLRLSHLGSCTLFRSTSAIPTTLRDAELKFKRMNSGNSQIASIFDT - ->YP_009182164.1 RNA dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 3] -MKHLKLTTYKHLDLNINQADRFSNGAFAALSLKLWYPYVRLLIWSLRLDKPSFMKFATRIESLWIHNGLI -FTVKYLKECLRICQHFVSGNPVLVTTEMPISIVRGIPTIIPGSIRLQMHSRDLSVIRGVLSLLSVFRIMK -IPSTLKLESITGPFTGLDSTLPKYELIKIKQVLPVFPQIKPIRLKLLRSAGPNCKVSMLGIWLDIKAWSQ -HSNFKTLIEFIQLFPNYVEFRDMLLQEAYNLRLSVPSKDLHLGKLAIKEEAAGKARVFAITDSITQSVMG -PISDAIFKMLRQIPMDGTFNQSAPLDRLVQLSKDGAIAEKDRIFYSYDLSAATDRLPINLQKDILSIYCG -ESFASKWSILMTDRDWYLTKEKRNLRYSVGQPMGALSSWAMLALTHHFIVGMAANRVGKLGFNHYALLGD -DIVIADKSVADSYYMIMTEILGVQINLSKSLVSTNSFEFAKRLVTLEGEVTPAGPANILLGLRSLNGIPS -IILDMVNKGVPVSEESLESWMSTIPTVRKSQLEKVKWVVKGPFGFVPTAEGLASFLTMSSSLTPVRANQI -IHAVRYTKFQWDLDMWQKVVKDNINYRISIEELYFPVGFQDYPLDFRFSPIKMEIIKSLSQDLRDLGGQR -PTFRLIFEGPIIMFNYYRQGYASEIVNYIKYLVHQEPEFKIGGSDPFLPRNFEAFAFSSKFKGQKFFEQV -REHLRANQLPM - ->YP_009182162.1 RNA dependent RNA polymerase [Grapevine associated narnavirus-1] -MNFFTSSNLKKSRTMKQWISLREFRSYVVITYWLLGIKDISKSLIQFSERIQILINKSGFNFAHLYLKEC -MRLTIRSLAGQPDKCMKVHVKVDVNGLPKLIPYNLRKILLLKGGNLQSYRKKIIGILTLLSIFRVFPTTP -KVKMNTITDEFKGSIRTFDKSIVISALKDMGFNRKSLTGRYNTTLIGGEAAGPNSRKSAWGSLIDALAFI -HDPRPIFEFCLRTKSYWVICWLSAILVICGPLYFIIILLNKRKAILGRLSVVFDQAGKARVVAITNYWIQ -MCLFPLHKKLFSLLRRIDMDGTFDQEKPLKRLVFLHKMNSLMGSDKQLYHCFDLSAATDRLPLQIQVDIL -NQLGFCGNAWAKLLNIDWFYKTKSFRYSVGQPMGAYSSWAMLAITHHTIVKISALQVGISDFRDYGVLGD -DVVIYNDKVADQYLINMRLLGVEINLNKSVQSYDFAEFAKKWVGHDCDISPIGPGLILQSIRDKTFISNA -IFELLRRNVHSYSSMFDIIRASPKFIKVNMKEIMGGLFASCLRCYTPEQLNDLSKDKARNWLSGVCNNPE -MDGSYMIREFFRYRTIKSLKTNISVGNDKISFLFKHCLTSSQVRNKEFSIFDTIFIIISPGFWNYLLSLN -KTIVESETNLYLVSQQDLEWWLTYSYSMRWELLSHELMKMAGGSSSPSIDWNKPDEAKKARELAKDLQAC -FTNLSKTSVEQFRLLPEPK - ->YP_009182161.1 RNA dependent RNA polymerase [Botrytis cinerea mitovirus 3] -MKITRTYKNLNSLLKSSIVKTKKMFSIRDSISNSLSIPLRQIQYLSFGKIRGLANRALITRDFMTFVLKM -KKNHGADFTIKWLKCCYVALQKSLGKDNLESLRDLEPNLPLPRLINGIPAIISSNDRKLIREMDSRIIIY -WSSLFSLYRVLKCSYKLKISSITDPFKGSINEFNDIIQGPVFSIFFDRLNGFPELVKKSNLAPSKVRLLR -SSSSSNNVSWHGIITDSWNISNSKEMSSNVSNYLASIKALGWNTMWFNSKLNEMIELGDRLNQVGSLKTK -KSLSGQFGQFSLKEEAAGKLRIFAIVDSITQSLLSPLHDFMFDLLKKIPNDGTFDQDLSVKRSQVKSLSS -GKAFSFDLSAATDRLPVDLTVKILSKIFSDEFGTSWKQLMVNRDFFFSLTNQKDYGAPESLRYSVGQPMG -ALSSWPALALTHHWILQYCSNILGRTGWEENYEILGDDLVVFDSALADKYLEIAKILGVEINLTKSISSH -DRPVFEFAKRTCFGNSDLSPISIKQLLSNDQLSERTMNVVSFLKRGLLVSRSHIGILLSKFGSWQILKNK -KLSKTPLLAILGILNSMNLISHRWLTEALIDPKSDFDNLKDITIPQESIIKLIREVGKVLNGESLALQYP -YSKMDDRGEIYDDYLVEFANVIANTAYTKAKALEANMMNLISKEAKNLYNSFEKSQDPTLASAIEGWFED -LLYSDGVFDIDELVDSIESNKAFYYRQLDMEKALAIEDQVNKFIFNYELTPQEFNSINVESAPIMKILSK -IVGGHSSRYLKIQRPN - ->YP_005352912.1 RNA dependent RNA polymerase [Clitocybe odora virus] -MIHTLLWIHIMVSFDHLLIRKPGPSHQTLLQVESNTKNMKNISQLLDQKFRFRSFSLGVLSAKAIRNNSL -SMVGMVLRLIPTMQGKLTPAIAKDTKSILSQFSRIAKCQGIVGLVKYLKACSVLTQQSISGFKVDNFSPR -VSRTNSGIPRILPVHCRRMIRQGHLVYMRLSLTIFSLFRVMRYPGKMKISTITAPYSGTEQMIRIVTKDI -PRFVRLFCPSAGRSLIGRFSYVVINKSSPMALRGIASTHPTSLIRAALAFTPKQVESLELLARASHPESQ -VVITPEGIKEPPTPLPGVMVFINRIRKSVIPSLFGLGINTPSHTGKLGLKEEAAGKVRVFAMVDPWSQMI -LRPFHLGLFKILRRIRMDGTFNQLKPLERAWGFKSLYSMDLSSATDRLPMRIQVPLIQQLFKLTNAEAEA -WRSLLVDRPYYCPPLNTSVMYSVGQPMGALSSWAMLAMTHHLIVQVAAWRSGFDKKKLFRAYAVLGDDIV -IFDKKVAKYYHRVLTGLGVECNLAKSIMSHRGIGLEFAKKTFFQGFNVSPSPLTEVLAALKDPIALLQYG -KIYGLKFVDLLTVAGFGFRVKSRAHLPYWRQPNKKVRYLLICQMMQAGNLKELFSRSMGSKVGSPLLAST -LSSYVSGTLNDLFNQYFKNIRVASALDANTLSEATTYPRLYYDLFWLIYHPFVFNYKILNTRGMALTQTL -HHSFRPVKEGVDEAIFDLVERLKSLFTIESMVSSLSLDITKMRRGGDEVPVRVPAPKALRIQNAWKVVFE -AFVKKTDPITEKIDQVDVLERSLFPLALISRVFFKSVPKSTIGKLRTMRIARTPFPADLVKSFGYRTLFW -FLSGEVIAAFITTSLLYYIGAISIVILDHLWLHNGDLHSLLPLLLVPFGPLIELVKVSFFAALSYFGLAP -ATIPVSVKILVVTFIGHLGYALTALLHHWDWIVAVYSDFHWNHGGAESIWQMIQFSFGTYYELVLVPLAG -FVSSIIKSAYSLSWSYIKESVFWADICWGQNAISYWLWDSFTFLYGYQDYTVTDFRWNVEDQYLPDIPED -PETPQEDDASDTDTITGHEWDGPSHYDWTNQDDGFLIIEPVPTEPWYVRLSQYYVSNAFLISPIIGLIVV -PTVKWLLLPASTLIVG - ->YP_004564622.1 RNA-dependent RNA polymerase [Tuber aestivum mitovirus] -MMNPFIRLGSLLMGKTTKELIIGLYSCVQTLHKIYLSQGSRGLAIRLKASSTYLMKSWSGDPLLDCKAFG -PTMSLTPRGIPIWIPSAWRCQISSRNLAIFRVTMTLCNLYRVLPYQGKLKLSTITDDRKGSTPDLMFSFI -KDKFAPNITNRVPYPGIFSWDPKPLLTRGPGAQKEKNTMSGFGMALRAIHETGMLDHLKAYALYTGLNPQ -LESLKVIWEGTRKHCSIGPIGKLAFKQEPGKVRVFAMVDCITQWFLHPLHKYLFSVLRTTKEDATFDQEK -GINLVRLALSKKLDKSVFSFDLSAATDRLPMDIQMVILNSLTPFWLAEKAVRHGVKGGLGDAWADLLVDR -DYYLPRWSGYARDSKVRYAVGQPMGALSSWAMLALTHHMIVQFAAASVGVTGWFKEYMVLGDDIVIYNSE -VAKAYSTLMGTLGVGISDTKSLTSKIGVFEFAKRLMDLEGPCQGLPLAEFAAARFNLSILFQSFRSRTLY -PKISTFMRFLGFGYKVLGSLGMRLGDMRKRSGYFETVAYSPLVTDKSVNKWSEFFKRFSTYDLYPLVEAC -VYKAYHLIPALTKFDRWNLWRTLFPHFDDKKILLIPEVAGRHLESLFTSMLDNKVAKYKADHSVYVNRLN -RAEVPTNDWEAIDTLLSIMETSPPTSDLLQDPDMNELGFGLSVSNYDEDNKSKTCSLSLPYTVFTKIPQL -LDSIDDWVEPIIPDKHPEVSADVNRPLIHKPGKVFVKGIATGKNENNKVEITKMLQDKKFLAYIIESGKI -TIYSPAFQAMIRASWAKAQSGSK - ->NP_660176.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 3a] -MKRLTLSQNKSNQLTNNDLSNVGYITKQLFPHWIRLLVWSLQLSPAPYKKFGSRIAILWKANGVSFTVQY -LKECTRIVQHFVSGHPVFVTDVMPIGLAGGLPTIIPGTLRTLLRSKDSSTIRGVLSTLAVYRIMKMPCVL -KLESITDPFKGISDTLPKSEIINGLASLGFEIPKGRSKHLLTLSNPIIYLLSAGPNHSISMMGIWKDIYA -WYVSPLFPTLLSFIGRMNRGNVLIDLLRAEVSYWEATGVKPSVSPLDLKLGKLAIKEEAAGKARVFAMAD -SITQSVMAPLNSWVFSKLKDLPMDGTFNQQAPLNRLVQLYQDGLLHDVEFYSYDLSSATDRLPMAFQKQI -ISVLFGSKFAKDWATLLVGRDWYLKDIPYRYSVGQPMGALSSWAMLALSHHVIVQIAAMRVGKLPFTNYA -LLGDDIVIADKAVATSYHMIMTQILGVEINLSKSLVSNNSFEFAKRLVTMDGEVSAVGAKNLLVALKSRW -GISSVILDLYNKGLALSEQDLRQRFSSIPTVSKQFGVDKLLWLVLGPFGFIPSKDGLSAFMKLNRSLSLV -DMHILLSCVDEAKFDLDKKTWEANIQETVHTLLRFGMLSEPAGFEVFSDFTSSPLYSFIRGQFGNKLSAL -VQDKPVRRLIFDGPLLHFNFYTEGWCDGLMEHLTKKIQSDSQETVSPSNPFKDDKVILPLRGNIKGIFFK -HVLALMAERDPATVMRWM - ->NP_660174.1 putative RNA-dependent RNA polymerase [Cryphonectria parasitica mitovirus 1-NB631] -MAMIIHDPVYKLWYAXRAKSNLPGLAPHKTESITTVLSLTNVKNKQATNSILLNKITDLVLGLKAFSIKS -KGVRTTLRVNKHWITPKEFPRFVKLVVWCTRTQEHEDSFMKIIGKCDHIWQTAGPNFLFKYLKEVMRLSV -RRIANIELEPSKKIFVKLNKFRFPNIIPLPICDQIIRDQNDQVLWASKRLIICLLTILSVHRVLPTKVVP -DYSTIVDPFTGVSKTIDQKLLRKAIHLLNIKRVKQLKLKITGSMKAGPNGKISLLTSSVDALSFITQPTK -IFTYLDFSVRVYKFRGLLLWMWMMCILLITLPYAIVSFMLGALIPIMGKLSVVYDQAGKARIVAITNSWI -QTAFYSLHLHVFKLLKNIDQDGTFDQERPFKLLIKWLNEPTQKFYGFDLTAATDRLPIDLQVDILNIIFK -NSPGSSWRSLLRIKYKSPQGFLTYAVGQPMGAYSSFAMLALTHHVIVQVAALNSGFTTRFTDYCILGDDI -VIAHDTVASEYLKLMETLGLSISSGKSVISSEFTEFAKKLKGRNNFDIFYRSWFSIIHFEKQILHLCTVF -ELLRRGVCELYDLYPQYINKLPKIYLRYNLLIDWVVVAFTNQILIGDRPRADGIRLFDYFVGLEVIPPLL -RIMLHTIKKDWNGLWNSIKYTLNKGFVVSQVRVGLPDWTELFLLPILPSTYIIIRDYCRSFNDLTKLFGE -WWLLRFESESYQVSILDVIDRLAHTSIPNLDIHDKKKVKLTLDNLYKLSLIVNIPSGGARRYIEFLRFNG -LKSPLIVERYIKDGIRIEKPLTLQGLHRSGDIQLGFKIS - ->ANJ77670.1 RNA-dependent RNA polymerase [Sclerotinia nivalis mitovirus 2] -MMKYLKLTTYNYLDLNINQADRFSNGAFAALSLKLWYPYVRLLIWSLRLDKPSFMKFATRIESLWIHNGL -IFTVKYLKECLRICQHFVSGNPVFVTTEMPISIVRGIPTIIPGSIRLQMHSRDLSVIRGVLSLLSVFRIM -KIPSTLKLESITGPFTGLDSTLPKYELIKIKQVIPVFPQIKPIKLKLLRSAGPNCKVSMLGIWLDIKAWS -QHSNFKVLIEFIQLFPNYIEFRDMLLQEAYNLRLSVPSKDLHLGKLAIKEEAAGKARVFAITDSITQSVM -GPISDAIFKMLRQIPMDGTFNQSAPLDRLVQLSKDGAISEKDRVFYSYDLSAATDRLPINLQKDILSIYC -GESFASKWSILMTDRDWYLTKEKRNLRYSVGQPMGALSSWAMLALTHHFVVGMAANRVGKLGFNHYALLG -DDIVIADKSVADSYYMIMTEILGVQINLSKSLISSNSFEFAKRLVTLEGEVTPAGPANILLGLRSLNGIP -SIILDMVNKGVQVSEESLESWMSTIPTVRKSQLEKVKWVVKGPFGFVPTAEGLASFLTMSSSLTPVRANQ -IIHAVRYTKFQWDLDMWQKVVKDNINYRISIEELYFPVGFQDYPLEFNSSPIKMEIIKRLSQDLRDLGGQ -RPTFRLIFEGPIIMFNYYRQGYASEIVNYIKYLIHQEPEFKIGGSDPFIPRNFEAFAFSSKFKGQKFFEQ -VREHLRANQLPM ->ALM62241.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 1] -MIFMFNYFKTSKRPKISKKAWISIREIKVYFDEVIWVSRMSILKDELSILRVRIYNLVKRSGFQFTFKYL -KAVLHLVVRFLSGRPIFIYSPKGSPYIRIDSNGLPKILPLKLRRFLIDCDLVKDSRILGAILSLISIFRV -FPTHVKPKLDTIVSSFTGSVKTFSHYKLKLACEDLLNNIINRKPNFVCKIIGGESAGPNGFKAAWTSGID -ALAFIHNPKLLFSLSIWYWKYSKVLFFWLYFLISLGIIPYVILMIFSPVLRDNPLIAGRLSVVYNVAGKA -RVIAITNWWIQAAFKPLHDSLFKILKSLPTDGTFNQDKPLDLLLSKDLDSKIYSFDLSAATDRLPMEIQR -DILNIIYTDSIGSLWYNILKSIYWKYENSYFKYEVGQPMGAYSSWAMLAITHHVITRLASLEVNLSNFSD -YAVLGDDFVIRNDKVSEQYLIIMKLLGVEINLDKSVISDRFAEFAKRLKGSNINITPIGPGLILRFIRDK -FYIGSLISEAIKLKWFNNIDDVLNPVLERFPKKGNILTLVLWVCSGAGGAFIAKPNGTDHPLTDRMVPLF -FGRYISPDNIIILNGVISSIGHAFTRQIRWDLQAQRKLLMKEIDGLYSLKWNKVFVSRLVPTMILESLLL -AFSPGFYLYLSKFDEAWKELDTKFDILNSGFADWNDILRLISMDTSLNFATIDWTCRKSVSEAGKRATSL -IKMMQKQFDLHVPHKRLKPENANRINIAIF ->ALD89122.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 3] -LFRSIAGTRSKSMVLGSLHLCRFASVKVRREGYKSLSLYLKASTQYLFAVQSGKPLSNSALFGPHVSLTG -GGVPRFIPVFWRRKMSYPCWEFTLVLSFCGLYRVLEVPGRINIKTIVQPGIVIKDSIHESAKLFGSTLPK -WEKRLSWSPSPMVSSGPGSSELRKQLNLKKVSVNSQSALGTYAASILRIPSLTAAMLNLAVHLRLKSQIS -NIFDIGKMILAEFPHINSLPLGKLATKDEPNKVRVFAIVDPVTQWLCQPLHRHLFSVLKTRFKGVDATFD -QVAGVERARVAISQTNNKVFSFDLSAATDRLPLSIQIAILNGLKDGLGTAWGEVLVGRDYSLPTKYRHLF -WSKENPNYPKSVRYAVGQPMGALSSWAMLAVTHHFAVEYSVEQVKKLGLLKLNEPFNQYMVLGDDIIIWN -EIVANYYYKFMTQELGVNINLSKSLISNKGVFEFAKRLVHPVQGIISAVPLKEFSLVSQNIAVLATLFSN -FRYKVKISSVFRIFGFNYKVLGKLNSLSLKSRAGFLLHWALMPNLTDKSSPSWGDWFQRKGIAPNTPGFE -AIPRYTIIEALTALLSKSFFDNYEVTRLNTAYIFNFNLLQKDYKRIFDNDDFVNRCDHSLSIVYRGVLRK -ALEERKYFDNLGTQGINISDSGIDQLVAFLLKAPAASQVLSEELETPLGSVLKPEAVLQRIVVNKLIQVK -MDPNKFAESLKTLEWNHETESQDDWKEVQFVSEQKSIPADLASPKDEK ->CAJ32467.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 1b] -MKNLYSWQRGSKDLFHVNKGLFLVLKLVWGGTTISKLKSFYVLLVHLKTLQEKSGLAYTCQYMKACAIYV -MKYVAKDPNKLAINSFSILVSLTDSGIPRIIPSYIRYQLRRGNKNSIKALLTVFNLYRVLEYPGVLKLST -ITDSSSFTLPSDFSGWLAEFMRSQSMTVPFKISDLLNPFLIYSSGPCSPKGMNNTAGWILAFRRLKALFL -DKEFFLTLLDPYKERRQWWESSPFIKYFNAILPDFDDNVSLGKLAFKEEPGKVRVFAMADCITQWVLHPL -HQYLFSILKQISIVDATFDQEEGVKTLSAKIKAGKRVVFSLDLSAATDRLPLTIQAQILNHIVPKLGDHW -ANLLVNRDYSVPNHTTLPVNPGTVRYGAGQPMGAYSSWAMLALTHHFIVQYCAFKVYHTNSFFKDYLILG -DDLLLLDAKVAKQYLQVMNQLDVGVNLAKSLISVRGYGEFAKQFLSPDGPLQGVSLREFSSLKDGMSNIL -SLSTKMNLKPALLLRLFGFGSKSIGHSTLPFTRYSLRSSLDHLFISPLANSSNKWLDYFSCIRHLKSLTL -NNSLLRYFLWGFEFLKYKWVYMKVRENEQLMLKHGTHHYHQIVSETLLNYWCPSPTDEVLKSPNIADLSA -GFFFSTPPIDKASFKVLMINHINILFSCGSLFTELMNGGAMDLVPKFLTFELSFEEGYSDFENVDNDHLW -SVITRDPKSWVKPNNFGGNNFDIVEFMSQQPSVEYHQPRTLKEISLALQLKKDLFDSGLVSGSQTILDVP -LFNKKG ->ALD89128.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 9] -MKTQFIKQISAFKVHLKNINAMASVKGGNSLVRIAVMLIPAIGIRSTRAKVRCIIVFLRHTYRLFKYNGA -KGACLILKVYAVTLQQSIGGHKVKDLTELKFRVSRTNGGIPRVIPVELRKAIRAGDTKVIRFYLSLFNFY -RMIEFKGDMRLVSLTKTIISPAKEGIEIVSLKFDLLRFVSIFFQWFAKLSSLNTRSLRRELLTAHREAVA -FPLLKSSPFTEGTQNFDGLSKAQLTEEMIKHPVVSTHPLAIHEAANALESNQELVDSVHYFLGLLPDGSP -IRQAYRLCIRLPGKTGTQSINKPFLGKLSLKEESAGKVRVFAMVDPWTQWLLKPLHDTIFDYILASIPQD -GTRDQLAPVKSLISRKPKSLFSLDLSAATDRLPLWLQKAILAGIMGQEYALQWATLLVNRDYVLSLKDQN -TDRMVRYPIRYAVGQPMGALSSWAMLALTHHFIVQFAAYRAGFKSWFSEYGILGDDIVIGNTDVAKQYLF -IMRVLGVGIGLHKSLISISGRALEFAKKTFYMGT ->AIF33766.2 RNA-dependent RNA polymerase [Heterobasidion mitovirus 1] -MNKPKLKLNFKHKLFHYRLFELDNANAMLLRNQGRPWLGIIARLFPAMGGRLSQVRMADCKAFLLHTNLI -AKRQGIKGAVMHLKVSGVALQQAIAGHVLKDLTPLGPRVARTKSGLPKFLPLLWRQEIVKGNTFIIILAL -TMISLFRVLKFPAQAKLGTITNPFKGNRQVMLSLQKYIPVYVGMILQPLIRRGMDATDWVKGRTTPFPIL -TSSPTSVSADDEISTSPHSIWRAIYSFVQRNVIAGGDPGSPKGGTLYHALLQIMSLGGSNVTTRFTWGKF -SQGTLNWYDIDMNDKSFETMWHFYQESNLVAWVMDMGKKIFGTGVRFSRNEKGPARTSWEPLSLGKLGFH -DEAAGKVRVFAMVDPLTQWALRPIHKLLFLLLRYIPMDGTFDQLKPITRLLAQKPKVLDSLDLSAATDRL -PIAIQEWIISKIFTPSIGKAWTTLLVGRDYRVPKRDGQTTVLPKSVTYAVGQPMGALSSWAMLAITHHFI -VQIAAWQSGVTKYGKWFTAYALLGDDLTVGNRAVSNRYQLIMIKHLGVEVGLAKSIISDKGIGLEFAKKT -FFKGIDVSPRPIKELLGALQTPAALVSYARKYKLTDAQIIKVAGFGYKVLGGLNKPLQKQNTSVRFTLIT -LHLPASILDLRKTYESMRSWFKRQNLVEWLLEFITSEARVLRNKLRNVDNKISAIYTTYVPSSSRFEATP -EFLGWFARGTIQQILSDITWIVTWDYSYRMRTDYNKIMTDLLKIETLKEDTLSLYKDFGIFPGEIQSRDF -SNDLYYIYLESLQGSSKWSLDSLNLERPEEREGVNLQISRFYNNFNKFIRKLIGTTKEKRNNKKGI ->AHF48628.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 12] -MKNQFKTNFSSWKHEAGRLSKVGPVKELNLFLFFQYFRLLIWALQLDKRNFMKFAVRVRSLLTHNGRKFT -VLYLKECYRIVQHFVSGNPVLVTNELPLSIVRGLPSIIPGVLRLRMHDGDPGVIRGVLTCLSVFRVIKFP -GDVKFDTIVGPFTGLSSTLPIYELLAVKSSLPPLGELRPIRWLFSRSAGPNCKVAGLGIWLDIKAWSSRP -NFGALLDFIKLFPGGEDFRLGLLSEVSVLRDLPSKVLHLGRLSFKEEAAGKVRVFAITDAVTQSVMAPLS -TAIFDCLRRVPQDGTFNQIAPAQRLVERSLSDKELGRDPVVFHCFDLTAATDRLPVDLQSDILLALGFPG -DTWRSLLDISWFVPEYIERIKYSVGQPMGAYSSWAMLAMTHHVIVQEAAALSGFVGFFDDYAVLGDDIVI -RNDDVAASYTRLMNDLGLEINPYKSINSVEFMEFAKRLIGPNLDYTPFGPGLVLQSIRNRGIVSSVLREA -ISAKIINFCDVVSICSSSPRFIKVKAELVLWNFFSKVLREKPNLSNAFAIDMYSMALWNSGEANHYDIIE -VSVKIALEQFSTVNPRRDFIFFMKNFYKSSMVRDPRYIVFDTIFSIFSPGFWFYILQFIKTVDRYDTAKY -TLENTLYSEIYSRGGTSAVMRYLSSLDTSRFDALRWDKNANIKSGLISKEYNAEFPKIWESIL ->AHX84134.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 6] -MRWAVRGRVLNAWFARTVGLCNNSYNFMKNQFKTNFSSWKHEAGRLSKVGPVKELNLFLFFQYFRLLIWA -LQLDKRNFMKFAVRVRSLLTHNGRKFTVLYLKECYRIVQHFVSGNPVFVTNELPLSIVRGLPSIIPGVLR -LRMHDGDPGVIRGVLTCLSVFRVIKFPGDVKFDTIVGSFTGLSSTLPIYELLAVKSSLPPLGELRPIRWL -FSRSAGPNCKVAGLGIWLDIKAWSSRPNFGNLLDFIKYFPGGEDFRLELLSEVSALRDLPSKVLHLGRLS -FKEEAAGKVRVFAITDAVTQSVMAPLSTAIFDCLRRIPQDGTFNQSAPLDRLRQLKREGKLEGQKFYSYD -LSAATDRLPVDLQVQILSIYFGEQFGTLWKSVMTDRDWSAKVVTVPGLPAEEEQFRYTVGQPMGALSSWG -MLALTHHYIVRLAARRVSLHDFGDYAVLGDDVVIANDLVARSYHGIMVDILGVKINLSKTLVSEHSFEFA -KRLVSKDVELTPLGASNALLALQSLNGVPSLLRDLSGKGVTFTEDSVDDLISRVPTVRKSKLETILWTIK -GPFGFVPTRVGLASFLTMSSSLTPVRANQIIDAVRRVKHQFDVNTWKTAVRKAIEFQISISELYYPVGFS -SYPSGFENSSVRRAIIHQNSFDLQSLGASAPRWRLVFGGPLIMMDYYRASYEQEIVAYIKELIVDQSFEV -SIGGGDPFVRQTFEDYAFSSRFKGKIFFDQVRETLRQRALPL ->AHF48623.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 7] -MKFIFNIIKKDIIKYLSYINKRPLEVKDWISTKEIHLYFLVVSWIFRSSIHRCHVRRFADKILYLIDKSG -SNFAFLYLKECFRLVTRSLAGQQDKCRKIFVRVDKSGLPKIIPGGMRRYIRYGSSHQKEIRFILTLLSVF -RLLATSPVVSYDTIELPFSGVRRSFDVRVAASRLWKGKINIASLPMFIIGGESSGPNSKKAAWGSLLDAL -ALLHHPLPCLRYLVQSKSWFWLIWLLNIWLVFGPLYFIISFTTSSRLEEGRLSVVRDQAGKARVVAITNW -WLQLCLRPLHRKIFSILKRVPTDGTFNQIAPAQRLVERSLSDKELGRDPVVFHCFDLTAATDRLPVDLQS -DILIALGFPGGTWRSLLDISWFVPEYVERIKYSVGQPMGAYSSWGMLAITHHVIVQEAAARSGFVGFFDD -YAVLGDDIVIRNDQVSGHYTGLMNDLGLEINPYKSISSSEFMEFAKRLIGPDLDYTPFGPGLVLQSIRNR -GIVSSVLREAISLNIINFCDVVSICSSSPRFIKVKAELVLWNFFSKVLREKPNLSNAFAIDMYSMALWNS -GEANHYDIIEVSVRIAREQFSDLNPKKDFMFFIRNFYKSSMVRDTRYMVFDTLFSVFSPGFWFYILQFIK -TVDRYDTAKYTLEHTLFTEVYSVGGTSAVMQYLSSLDSSRFDALRWDKEANIKSDLISKEYNKEFPKIWE -SIL ->AGT55876.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 1c] -MYIFLAQGLKSLDLLVWPLLQVIRLVRGCLSPDLIKAVFVFVKRSSSLQKTGGLKFVALYYKACHIYTMQ -FVASGGVRQSFITSTCYGVNVSLTSGGLPRILPIYLRRLVTSQNKEGIKIVLTLFNLYRVLPYPGKVKLS -TITDKWSGSYPLDMISFIPKFWLLLRSQGKIAPFTFIRSPFAISASGSITGFGKHLSSMSGFFKALLFLR -REDSLWQSLQWFFTEAPLRRGWASRTLRCWQSMDFISRLLLVAGQKVVSSPLGKLAFKEEPGKVRVFAMA -DCITQWVLHPLHQYLFSILKQISIVDATFDQEEGVRTLSQKIKSGKKIVFSLDLSAATDRLPLTIQAQIL -NHIVPKLGDHWANLLVNRDYSVPNHITLPVNPGTVRYGAGQPMGAYSSWAMLALTHHFIVQYCAFKVYHS -NSFFKDYLILGDDLLLLDARVAKQYLKVMSQLDVGVNLAKSLISVRGYGEFAKQFLSPEGPLQGVSLKEF -SSLKDGMSNILSLSVKMSLKPSLLLRLFGFGSKSVGHSTLPFSRYSLRSSLDHLFLSPLANSLNSWLDYF -SCIRHSKFLLISKSLLAYFLWGFEFIKYKWVFKKVRENEQLMLRHGTHRYHQLVSETLLSYWCPSPSEEV -LKLPEVADSSANFFFSTPPPVNKASFKLLMINHINILFSCGSLFSELMNGGAMNLVPKFLTFELSFEEGY -SDFEKVENDHLWSVITRDPKSWVKPNNYGENNFDIVKFMSQQTSVEFHKPRTLKEISLALQLKKDFFNSS -LVNGSQTILDVPLGKPKG ->AIS39016.1 RNA-dependent RNA polymerase, partial [Leucostoma persoonii mitovirus 1] -LHDIQDVRNWKLNPLTWELQTTIKPVLGRLSFKDEPAGKVRVFAIADAITQSVLKPLHEAIFAILRDIPM -DGTFNQSRPLERLLKMVEKYPQDRFYSYDLSAATDRLPLKLQRDVLSYLYDSRLGDLWSDLMVGREWHLP -RNRTKYQFDTEVSETVKYGVGQPMGALSSWAMLALTHHVIXQIASARVYPDKVFTKYALLGDDIVIADER -VAKEYHSLMTLDFGVEINLSKSLVSQHTFEFAKRLITLNGEVSPVGAKNISLSLTTPNGIVSVLLDLVNK -GFTLVDQDVIRLISKLPFAYGRSFKNNLIWAINGPFGFIPTSSGISADFQLNTSLLPVEIDLLSNIQHAK -FILDVKSWRKSVESSLTSYIRLKVWTDYGLPGFPPGRLWSSLLTAYHAEILRMLTVNKPRMDYSLGEAAL -SKDLLGSIGTKSLIKDFILKDVNRTFPVADPFQDDMVLLPFKSSYKSKNFFDLVRSLSKSNKASIPW ->APG77166.1 RNA-dependent RNA polymerase, partial [Shahe narna-like virus 6] -MGETYSIKIYKDLASKAQALAMLQKVDFTSLGGMSLKLINITKTNFPKCHKFWGVKFPKRYAHMLQQLHD -NPREIITILCFVRICIGNIDNNINTIIEPSKMIGCYNEDTAKLMFGLYDYAENYGVIVQADISKALYNIT -DMSFLLQQYKYHVPMSAGPHKAPALLHCTEDAISIFNNKEFTFGDFIECCRTMNRIDLIEMLSTLFWSLT -ENVNQTIVPTFSSLTRDKQELREVYKLAKIQMLQDKAGKTRIVYISNYFVQELLYPFHKLIMAWLKAQPE -DGTYDQHNASRQVQMRTQNREPCYCFDLTAATDRFPITVQETLMRAVVGPDIANIWSRLINIPAWSQYHN -KYITYAVGQPMGAYSSWAVFAMTHHILLRYCCHMAGVNPERQYVILGDDVAIFNRSVAGYYRIIMDHLGV -DISESKSYIPENNLVPGVSSAEFAKRLFHNGHNYSPYSTSLLYQINNENKYLLLTRLIAESCDSNDIFVY -HRSLYLSNFLSLMVRLISNRSPRYLNNFLIAICNPLRMWTTPYYKSLGITNMIQVDYDTGNYLSFVNGPV -NRTLHSDKPFDLPWCNQSIDQMDVSTYYNEYMTEEVSRMEVLLEETITKFEFRQADTTNYSAPGDKPEAL -DNIHMTGFHPGIKIISRLRTQVDRITLVNPYETPISIEPGSNSGYDIRFKPLLDMATQSVEVDFLNKVIL -Q ->AGT55877.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 7] -MKFNSPNKDLSKFSHFNIYRTAILNLFTQLNYITNNKYKVSDNLLNNFFVELNYLTIYMLEDRFKKTEGK -KKSSDNNINLSADLSKFLNVDKSSKADNKKQLEINLNDVIKFYKSLEQIVINPNFSSDIEDFSLGKFKNN -AEVLHANLPILLLDIRKIENREEKELLQNILWSIISIYRQFKVKTKANLNTITDGYSGLDFYSLTAIDYS -QAEITEWLNTLDFKDLKPKLYMYSGNASSPNSGPSAKNLLKDVAGVLNDSKLMNSIKSMSSYFQGGKELL -DIINSVSLNIELDKEFCKDAIHSRLVHFTAPGGKSRLICVVDWLTQSVLSRIHYTLFDLLSKMESDFTFD -HKSALNFYEDKYPEYISIDLSAATDRMPKYLQKQIIEAIFNKLNMNGTEIANNRYNILDRSYSTDKISKG -TLSVKYTVGQGMGCFSSWPIMAIMHHYIVNHLCGIPMSEYRLIGDDLLLRIGGDFYKDYLKHMSNIGVSV -NQDKTVISSRYDEHHTDHHVEIARTYIINGIRLNPIQWGTIFAFKDNKISFEALIYANKELFNISMTITL -AKFFLISDNKQDWHLFLYFCFKLFNSSFFEYLDKLSKLQEIPKWVTPENFKRIQHITDNSKNELVNYLKI -NNKFTDTLKSQCVVRTEKELTAVHDLGNQFGILALGDDRIAITASMIRDRLLSAEVITYTGYSQGAPPVT -KREKRLISDICKYHSISTCN ->ALD89121.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 2] -MGPKGLFYYLKECQKRVIFFLGDCKVTFNAKAPYVKCDRHGLPTVIPGLIRSEIITFKEGGLIGNKSITV -CILTLLSMYQVFNNKARPNLKSILLPFEGLTKSFEISKLKRAVRMLNIGKLNLKRPRLLFIEKASPNASK -ASWGSSIDAIAFIFYPRTLIAYVMYAIRVPGGFRWVLWLGFLILLGLPLVCFLLTYFFMSKLLFGDQWEP -FPIMAKLSVVHDKAGKARIVGITNWWIQVLLEPLHNAIFEKLRLIPMDGTFDQTKPIINLVNSVPEGTTF -HSFDLSSATDRLPVEVQADILNIILPGMGTLWKALLGSLWWQWKSLNKRVPLKLYQYAVGQPMGAYSSWA -MLALSHHVLVQLAAKNAGYSKTFTLYAVLGDDIVIADDNVAREYLVIMKSLGVSINLGKSLISTRICEFA -KRWIGPGVDVSPLGAGLILQTGRTKTFLAALLTQMHTIGIIPNLDTTLVAITSLPDQLKGQERSALWAAF -GLNSFFLKGSHIGNDNFMSLLKWCFTQQGSLTSTISLVKASLVDSIYKDKVKAQSNLDSAVSIFLENFWK -TQCSKGWPNRILEFLLKIFSPGVWVYWYEFIQQQIRLDEAEISVSQDFTIEGLRHTLDNLPFAINVSNID -WWDVKAVKDAMGRFERIIKEYESLNYAVNGVALKSLLGAVTIGYNYSANKGITPTLNIKLKNVKSKPKVS -KKNNSTSGVSKTNSVR ->ALD89116.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 11] -MKLYLINLLKSIKAASYNAHALILSQMEGLLRIPSFQKGMSKERGTKMWIKESTSAYLSSKSKLLHVRKS -KLNSITNAVRDNRLSEFGKFAKIVSWIIGNKALYKYFHIYLLRVEQMLIRNGPTHTFKYMKECLRLTVRA -LAGSPELSNVQFGPIRVRRDSFGIPTILPISLRLMLHAFILNFDEPAFAGGEGDSEWELHDPISYGIQYP -VVQKWNQRNIVGVLTLMSIFRVFATKVEPTLATITAPFNGEVKTFNSELIHKALCLINIKVISKTTFHPV -EGLKTTKTIVKGETPSLSIGKFHPHISSKAGPNGNFSTWGASLDALAFLHEPNKLISLTRWMYIQKAYGW -IVLLYLILIVFGPIYGLSYGLQRADWFISGILARHTHKSVYYFVINNLIYRLVFRNKFLQIHIGGLEHGR -TKLYLGKLAVVYDQAGKARVVASANWWIQSAFYGLHKSIFKFLKTLRKDGTMDQNAAYDNFIANCDKSQN -MSGFDLSAATDRLPINIQTVVLDCCGVNGSLWAELMNVTYCAPFDNKENLGEVEYAVGQPMGAYSSWAML -ALTHHMIVNLASILSGKDNDDINYAVLGDDVVINNDTVAEKYVELMSDLGLEISYGKSVISKRFTEFAKK -LRGPGVDLSPIGAGSVLAASRSGFMIPQLFLASVGNVITSPEEILNRLKDFPSAIVSQQQAVGYLTIVLW -QLFGPSSPLVKSNPVNFGRLVSDMLEFVPGLPKGGVIFEHVKDSMMILFGRELRSQIALSYVPLKFFIMN -SLIMVTSNSPFMRVLETLMKPFNPGFWIYLDFAIKAPFKLSGLWDDVFGSFPPDSDQGGGSYFRAKEIMR -IVSQNTPSLSELTLDLSKKDIVVRAKYLKDLRADMNSRYDLSMQAHWPRGLHTRWYELEGYIPRE ->ALD89117.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 12] -MIRVKSGRPLVNWFIKAFRLMEGRLSNGTVRVTIVFLSKCYRLQKMSGLKFLVIYLKAQNVNLMQALAGT -AGKDITNLGCRFARKRGSKLPQIIPILHRVHIRNGKVYYIKLWTTLFNLYRVLSFPGLLNLSTIVDPSSL -RQDLFYQFSVFALTFWKLLLEQTNDRWLRLALRDKLRALKELQVRPFGIATSSPSFAGMISSSYMGVLSS -IYLWKSSSILPYLYDFLKSTGNTSFIRFIETGVKISNWPKEFTDKCKTLGGLGLKPEPAGKVRVFALVDC -VTQWVMDPLHKRLFAILGKIPQDGTFDQIKPLKALLQRNKSLYSLDLSAATDRLPIVLQMIVLSPLVGAN -FARLWSIMLIGRPYSLPKSASEFLSMKDKQKEWKQLYYGAGQPMGALTSWAMLAVTHHYIVQFAAFLVSG -KTRWFEDYAILGDDVVIADGPIAHKYLEIMSWIGVKVGIHKSLISPSGNSLEFAKRYFFNKKDCSAVPLK -EVYAGTISISASIELMRKYKVSLPQILAFNQFGYRALSKITNPLRQLSGRMRAIILSSLFPTNWTPEAFK -EFLSIRSLVQKVPVKESVFQGLTDSYVSLIRKQISKLDDSMSLLRYLITVDRTRAHYGMVNFDQDPRVCA -LLRPYLRDHNLDLRTMPISNELYSYVILINEFVYRNQYMDTLLKVRELVTLIEEVDSLSKIDLFETILSK -LVSIENDLSLLPFVQRDQKRIVQVTKSSVSRFIRLWKSSNLGVRLK ->ALD89101.1 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina mitovirus 2] -GVIWITKYYGEVFRLILSYLNGKKVLIDKHYWVKKTRSHLPTILPKEIRDIIVKFKYANADIQKEYLQLV -KCILSCLNFYRACSGHHKVKLNSITDLHTGVVKSFDFKTLASVRKAMGIPKIFGLPSPSYFIPSKAGVNA -NIVYASIGYDFIALMLRPRIMLGHIKWCIHFKYYFHLCLIILLLVLLFIPAVIVYLLELFKNPLNRLQIG -RLAIVQEARQKARVVGITDWWTQVLFKPLHDVISDILKRIPEDGTFDQIKPVNLMLSKIKDPRNQTVVSS -DLSAATDRLPVALQRDILLVLGIPGNIWEHILNRPYLVTRPYPQLVTYSVGQPMGVLSSFVMLSLTNHFI -NAFALMSAGQDCTLGLNKYSVLGDDQACSDLTTADWYSKILGMLGVTVNPIKGFSGSICEFAKRLYFLSN -EIYDLSPIGAKVVLQAMNNPMYAVSLLHDCNNKNYSLSNAVSLLSNYLTKLFPRGKTPLQIQVLQNIHLF -SLIGPQSGLFDLSKPHEMSKNIFKLDFDRLIDSMGLTTDQVYQFLTNRMIDRWARPTDLQVIAKEFVSEL -WKISLITDRPIKGKLTLFAQAKVLMTTLLASFVAFPITISKYIRKYFNYLILEFSTRSTVSQMDGTQLNY -IKISLSKNPIGPQSFKDLDILDNIDMAMFGRIPFLVSLIPFNIILNKTKTTGTIDQMSAVSSAIALLKEL -KPDWYKEYLNYIKDLKKGKTVKTVKPNNSVKAVVLFKKGKVIKRRRFLKLP ->ALD89120.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 15] -MIFILILLSTFLGAVKHFKLENILSTVLGQSPESYFWIASCLVVFRIGMAFCKYYPILLDFYESVTNLST -KADEFLNRPDKISGNRSNPVNKTGKAGTQKRGYSTSAFSRIQSGVAPKAGLHTSAPVSIQKPRVLTPARR -ERILVKNRISSGVSITQTKINATFGVKAKGLLAGVFLRTLLPIGLSKTASRAKTILIFLQFAKHIHKSQG -MKGLVNYLKASQVLFQQHLGGFRLYDCTDLKTRPARNKAGMPKIVRPQDRALIRAGDWRVAKFYMTLFSL -YRVLEFPGKLKLETITMAFQGHKEPGGMYYRVVAYAPAFAKMLLELARIHKIKPLDERGISPRPIVKSAP -GTGPNSVSTTPWVLVSQAFNLKRLGLGDCIEYFIKYFEKGTKVPYPGLLSVFQGAATIPLGLIPSLLHSV -GKLGFKEEPAGKVRVFAMADAWTQWVLEPFHQYLFDLLRQIDMDGTFDQLRPVIKKLATVKAAYSLDLTA -ATDRLPIAIQTVLFKHLVSEEFSVQWMRILVGRGYMAFSSKYNVFKLLEYAVGQPMGALSSWASLAITHH -FIVQCAAWEAGICPVGTWFTSYAVLGDDLVIFDQRVKVKYLAIVDALGVQCGIAKSLLSPTGTAIEFAKR -TFWMGKDISPIPLLEFIAANLTLADAISFARKYSLSFPQLLRVLGYGYRVVGSVHKHVGQLNSRVRSLLF -AFYLPETEEDVGTLFSKGNPLLKPDQLKLVVQEFKKFLMADYSKTIKQRLDKLPNPADLIRSESEKAVDN -LLERLYFKGLLLTFLDKVIPGWFGGENRISTDVKPDEPGVFGPRRPIFSIAAAHEEQVSGLVHVPASTFN -KIEHLIRTWKLSYERVIKLVIVAPMADFRTDALKLINYQIHSIRWGRTLHTTYLSLIQTVRLLSRAGTGK -VTLHKERMLEHEFKWGSDPVQMRFWIDFTKCIQRVVRRTTPENKNDSPKGK ->AHF48627.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 11] -MLRILSLLLNLDKSTTRKLHIILNDFMSILDKNGTKFLAQYWSECFRLIGQFISGVKINQTRIRVKKYKN -GLPRILGNEFKQFVENQVYLLNSNEPISPLFRAIITIFSWNRGIGVKHEIKFNSVTNPHSGSIVSLDLST -IKQSLAKLSLDNCNLIKRLNKPTFFISNKSGTNSKLAFLSFGLDTIGFIRNPFILISYIKLAFKCKFYLL -LITFIICIIICIPFSLLIIGHPIYLGRLSIIKELKGKARVIGITDQWTQWLLKPVHDALANILSEIPEDG -THNQLKPVKLMLELNNGSKEFNSLDLSNATDRLPVAFQADILSCLGFPGQEWMTLLNRPYNFEGNNLNYA -VGQPMGAYSSFVMLALANHILVISSLPSYNKGSGQYAVLGDDVVIANDLVARSYHSIMVDILGVKINLSK -TLVSEHSFEFAKRLVSKDVELTPLGASNALLALQSLNGVPSLLRDLSGKGVTFTEDSVDDLISRVPTVRK -SQLETILWTIKGPFGFVPTRVGLASFLTMSSSLTPVRANQIIDAVRRVKHQFDVNTWKTAVRKAIEFQIS -ISELYYPVGFSSYPSGFENSSVRRAIIHQNSLDLQSLGASAPRWRLVFGGPLIMMDYYRASYEQEIVAYI -KELITDQSFEVSIGGGDPFVRQTFEDYAFSSRFKGKIFFDQVRETLRQRALPL ->AIS37555.1 RNA-dependent RNA polymerase, partial [Cytospora ribis mitovirus 1] -TQWVLRPLHKLIFAMLRRLPMDGTFDQLRPIRNLLSKKYKGLFSLDLSAATDRLPVSLQARLLDILFKNE -VPFLGQKWCHLLTSRSYRYSDPRYGSGTLRYGVGQPMGALSSWAMLALTHHFIVQVAAWSSGQPLNRLYR -CYAVLGDDLVLGSKAVADKYLKLMAHLGVSCSLAKSVLSPKGIGLEFAKKTFIRGVDVSPLSLKELSVAL -GDLSAFSAFSQKWNLNWERVSRLLGFGYLARRKSFRKLNHALQAVRISSVAKVDFNSEVLKLRRGVDLRI -LNGPVLASFKRDVLEALFYSLEDQCSALMSVKASNLAWSLGWNNKFTNWTYQTFFRAWSLLNPERGVAIK -KLEDLKSRLGEGIHPDKIFGIQTFDEALKLYLGIISEKAVLDSTYYLLKAPISRGENVRLPFQLRIFRTW -TRRTHQIIKDLRTS ->ALM62240.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 5] -MKSIKFITNFKLKYVLRDSILPVDRRSFSRLISFLPKIIYLSFDRVSRIRDRLVIANNFIQFIIKMNKNH -GATFTVKWLKSCTVALQKWLGNDKVLTLRELEPNLPLPRVINGCPAIINSGDRQLMRLGNINIIRFWHSL -FSLYRVLQIPGKMKISTITDPFSGSELFLNDLISLSLKTPWPKNLLEIASKHNLAPTSFHFSGKASPSNV -NSSQGLLSDIYLLLSHPEGDIVYYNLLNYLDNIGVVWNTKMFLSRLNDAKDIILRLPEGSLPFKKSMVTP -FGQFAIKKEAAGKIRVFALVDSITQSVMKPLHLGLFAVLKQLPNDGTFDQDASVTRCSIKAQQAGKAFSF -DLSAATDRLPVGLTGSIIESLFQITDLSNSWKSVMVDREFSFNDKIIKEFPELLKSYRYSVGQPMGCLSS -WAGLAITHHWIMQYCSFLLKGNWNWEDRYEVLGDDIVIFDTLLANQYLEVMSHLGLEINLSKSINASNTP -VFEFAKRTVMGSDLVSGITYSQVNSNISLSSRINNVYNWIRLGYLNNLQTISLVLNNFNTKISFKDFSLM -ASSFSILGLCKNIEHNLIMKSLVNPKKGCFWDMDTENFSVPTRSLLTIARDLITKGESEVILSKDDDRQE -WVDESDHLIVAGILQDALYKIRLLSESHLDDISKWSKSLVVKGFNDEILLSSIEGWLQDAIIDNRNSKII -DPYEIEDKVEKLLIYHAKTLKVTLTQAYDILHEVEMLQFVYKQPEKKSQQAFNRLGSSFLKDMSKPFFMK -GPQYWNVQGQNKV ->CEZ26304.1 RNA dependent RNA polymerase [Grapevine associated narnavirus-1] -MNFFTSSNLKKSRTIKQWISLREFRSYIVITYWLLGIKDISKSLIQFGDRIQILINKSGFNFAHLYLKEC -MRLTIRTLAGQPDKCMKIHVKVDVNGLPKILPYNLRKIILLKGGNLQSYRRKLIGILTLLSIFRVFPTSP -KVKMNTITDEFKGSIRTFDKSIVISALKDMGFNRKSLTGRYNTSLIGGEAAGPNSRKSAWGSLIDALAFI -HNPRPIFEFCLRTKSYWVICWLSVILMICGPLYYIIILLNKRKAILGRLSVVFDQAGKARVVAITNYWIQ -MCLFPLHNKLFSLLKRIDMDGTFDQEKPLKRLIFLHKMNSLMGSDKQLYHCFDLSAATDRLPLQIQVDIL -NQLGFCGNAWAKLLNIDWMYKTKSFRYSVGQPMGAYSSWAMLAITHHTIVKISALQVGISDFRDYGVLGD -DVVIYNDKVADQYLINMKLLGVEINLNKSVQSYDFAEFAKKWVGHDCDISPLGPGLILQSIRDKTFISNA -IFELLRRNVHSYSSMFDIIRASPKFIKVNMNEIMGGLFASCLRCYTPEQLNDLSKDKARNWLSGVCNNPE -MDGSYMIREFFRYRTIKSLKTNISVGNDKISFLFKHCLTSSQVRNKEFQIFDTLFIFLSPGFWNYLLSLN -RTIVESETNLYLVSQQDLEWWLTYSYSMRWELLSHELMKMAGGSSSPSIDWNKPDEAKNARELAKDLQAC -FTNLSKTSVEQFRLLPESR ->AAO21337.1 RdRp-like protein [Sclerotinia homoeocarpa mitovirus] -MMKRLTLLQNKSNQLTNNDLSNVGYITMKLFPHWIRLLVWSLQLSPAPYKKFGSRIAVLWKANGVSFTVQ -YLKECTRIVQHFVSGHPVFVTDVMPIGLAGGLPTIIPGTLRTLLRSKDPSTIRGVLSTLAVYRIMKMPCK -LKLESITDPFKGISDTLPKHEVINGLAALGLETPKGKSKHRLTLTNPIIYLLSAGPNHSISMMGIWKDIY -AWYISPLFPTLLSFIGRMNRGNVLIDLLRSEVSHWEATGVKPSVSPLELKLGKLAIKEEAAGKARVFAMA -DSITQSVMAPLNSWVFSKLKGLPMDGTFNQQAPLNRLVQLYKEGLLHDVEFYSYDLSSATDRLPMAFQKQ -IISVLFGSDFADDWATLLVGRDWYLKDIPYRYSVGQPMGALSSWAMLALSHHVIVQIAAMRVGKLSFTNY -ALLGDDIVIADKAVATSYHMIMTQILGVEINLSKSLVSSNSFELAKRLVTMDGEVSAVGAKNLLVALKSR -WGISSVILDLYNKGLALSEQDLRQRFSSIPTVSKQFGVDKLLWLVLGPFGFIPSKDGLSAFMKLNRSLSL -VDMHILLSCVDEAKFDLDKKTWEANIQETVHTLLRFGMLSEPAGFEVFSDFTSSPLYSFIRGQFDNKLST -LVQDKPVRRLIFDGPPLHFTFYTENWCDGLMEHLTKKIQSDSQETVFPSNPFKDDKVILPLRGNNKGISF -FKHVLALMAERDPATVMRWM ->ALD89119.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 14] -FGEQACPKVFGLWASWQSMYYITYAMIMTLIFLAGSMYILRYLGLVKVLVIIITQEPWVYLTVALLLLGI -RVLMLLYKYLPYLSRFFKSFERVSNNSSAILQRMNGKGPKGSRPFSTQAGESKLRRVVSLSAGGQGGNTT -KPLPSSETGPKPTLFFIKDLVSAVRTKTADVNAMSTVKGGVWWVNKVVRLLPSIGLSVTGPRVRAIVVIL -RKFSKIGQTQGIRGLVIYLKACHVLLTQSCAGHMIKDTGSLGVRVSRTNTGMPRVILVQDRIKIRGDNHL -LIKFYQTLFGLYRILSFEGKLKLNSITSSFTGSYQFIIGEIIPLIPKFISALLQKYPSGFPKRAIEVRWV -GFKKIETLGSPDFLSEIKRRYAEFVPFWLARSAIGTKEDLIEVSSHPLLMLRTAATIKASPIWGDFKKFL -NLFPVNAPFVKAFNAVDGISVPLKPLPSLGKLGLKEEAAGKVRVFAMVPTWFQNLLRPLHDIIFEILSGV -AQDGTFNQMKPLKGHSSRFNMSFSLDLTAATDRLPLIIQTALMSQLIGLDLATSWANLLTKIEYSINSMK -FNTYEKVKYTVGQPMGALSSWAMLALTHHLLVQISAWRVGAEKEGTWFSDYAILGDDLVIFNYDVAHEYI -KVIHKIGMEIGLHKSVLSRKFTSLEFAKRIFHRGHDVSAVPFKEFFAALGGYGNILEFARKYNLTILDVA -RTLGFKFRALSKINHGFHSLNYKLKQLVVASQLPTSTEAVRPFLDLGSPKHSPWPVSMEEFFANFTMYER -RALAKMLMQRYRALMSSRETFLHNSPDLRSNVLLHSIISDKVKVPVSPDSLDWNWATGPAALQYADVGGD -QVLVVLGPAEAKEDWSGFVMQADASISQPIKVSLRHRGDLIPFSERSLMGPQDLAIDLSDEQLREFRIAL -SALWDFQILPLRAELMSKHEKLASLLVKDWAPSGELAEAMISFLQVSREAALIPIQPVSFKRVNVSERHT -DPVSIRLWKRWSKYLQGTVHTKFGSFPSALERAVKNTTAHTVIR ->AAR01973.1 RNA-dependent RNA polymerase [Cryphonectria cubensis mitovirus 2a] -MKLRLKGSLKAGPNGKISLLTSLLDALAFWSDPLRVIHFIWFNIRCYGYFWGLIWSMWLIFIMIISLPYY -LIALCLGARAPVMGQLATVYDQAGKARIVASTNSWIQCSLFGLHNKIFSILRSIPQDGTFDQNKPFDLLL -ESLQPGYMLYGFDLSAATDRLPIAFQKDILNHLGYPGGPWRRLLGIKYNSPCGFISYAVGQPMGAYSSFA -MLALTHHVLVQVAAQKAGFSDRFTDYCILGDDIVIANSLVAEAYKSLIFDLGLEISESKSVISGTFTEFA -KKLRGPLMDISPIGAGLILYSLRNKYYICVLVFEILERGLCMWYDVYPQLLSLLPKIYRRYFKLCDWFIA -LHLRRREHLGDQDHEILNPRIAYFNVFLNKEKIISLLEIMWNSTVRDWFRLWNSIKYTLNKGLFISQARV -GLPDWSELIFFPLLPSTYIMIMSYATSLNDISKAFGNWWLLNSLEKDQINIFDVIAMMERESILDLDIND -KKKVKLSLDNLYKLNSIINRTGAGLEIFIDRWRKTSFRLMTYKSLTASSLSDIPFSILSFDENGKL ->AHY03257.1 RNA-dependent RNA polymerase [Buergenerula spartinae mitovirus 1] -MLLWFSSRADTCYVNADSPVIRLMTPLLNLNMNIKNISNSSHFVFELLYRKKDFKDKQWISRKEVSPLIH -IIAWVTGTRGSDLYKFYFILAERFEKIWEKNGSTFLFHYMKGCTHICINFFAGTPLLTTYNSRVLVKVCP -LSKLPSIFPKDLRLLIQGWTISSNYREIAGLLTFLGIHRSLPTVIPVKLNTITDAFSGMSSTFDSSVVTK -ALGDLGISSLSPKPGYFVYSNRAGPNSHNATWGSEIDAFALLREPKVLIPFLRYGLLSRSYIVIGWLLLL -IVVASPYFIVSMLWARLPVNGRLSVVYNSAGKARVVALTNWWIQCMFKPLHDEIFDKLRNISQDATFDQK -GSTTNFIKVNRGKTFYCFDLSAATDRLPIQLQSQILSLLGVRGDLWSQIISNINWHYRKKAVTYSVGQPM -GAYSSWGMLALTHHVIVRIAAQSVGINKFSEYLVLGDDIVIANNEVADAYLVLMKTLGVDINLSKSLISS -QLLEFAKMYLSPSGDISPIGSGLLVQSLGDRSSLLALLNESMRRGFLSFSELLNSKHKAPKVARPRMIYV -YWYAFQSALTSQLRHLANNIVTKSMSIDRMCVPDGIRFLNDYINPKVHQMLILSNRGMPSEIKFNIGNLY -TGEWNQKSQAAFLSAFEIGHMIQSIDTLVGILETEVKKSFSSDWKDIKTGLFQLLFYSWRISTLRVGMPG -LFDLVFLPLKPGFYVHARLLYRATLKLANSLRIYYWHLFVMWYLPKLDADIEIGRYVKFWYYSSLCSLDL -SVHNYAQSVEARRKVGWFYKNLGNHSYSSWLKSQFLFNK ->ALD89127.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 8] -MLDQAPNRALSLMWASQALFLKNSLYTAVEKIKIFLSKVTKTLLFNLLDQAILRFGIALIILVITYASIS -FTLGWISESYGKLEQLKMPPTAVELTKVKGNEFPRLIGLVSVIFDITPGQKAILNNITANMLKVWKNSGV -KFTIIYLSECLRLVCVYIAEDGIKNKKTWVATYCRTGLPKILGIKGRAYFISLKANIGNSDPELLKFCRV -IITVLSWFRIMSPEHELKFNTVTDPLLEGSGTLSNKDISRGLRSLGLFRIKTKKPRFIWSNKAGVNTRYS -FLSIGLDMLGMIGEPQIWWSYIRFAISMRYYTFTAVFLLGTLWCLPFFIIFTPLVGFFRLGRLSVVKELK -GKARVVGITDIWTQILFKPLHDAIYAALEKIPEDGTNDQLAPVKLILKNKPTWVNSVDLSAATDRLPVEL -QARILRNLGIRGDLWQAILRRPYNYMEVDYIYAVGQPMGAYSSFAMLALANHVLVHASMSENGVLYEPGS -GQYAVLGDDVAIALESVATTYVSKLQFIGVEVNPIKGFTGKVIEFAKCLFHGPTGVNLTPVGSKILLRSI -REPIFFVSLLKDLINKDYLVILELSLSTFNRYLSMFGKKPGPARDAWLFAFLGPQSGLWGQSPGNLDKNL -WRTMFDEFLSVSVVGSDFASVTKWYENLLLRLSVFSYSSVLELGEGFLRVGRFSMKPWIWPRKKFDYLES -SPQMLALLTCATGSPFLFTMLLWYYTSALTVGLYLATLSKLTGSRGLDTDLLEAARNPFSAWINYIGRNS -SAGYDLLINDNKLAFAEQYSNYLDNPFLRKRATKWPIHTDIGWFVGWLSTLKFKRPVQLLATRFVRTRGV -PPDDMLPVLFTARRCLSALDPGVHGKFYRLEKEKALLAAKAKLRSKSEVK ->AIT71973.1 RNA-dependent RNA polymerase [Rhizoctonia cerealis mitovirus] -MCIALALWRIDPIYRLRTLKTPPQVAGLAPIKEKEVKRFVHVISNLCGLTNKETSILLIISERILNIWKN -SGVKFSIQYLSESLRLICNFIAGEGVADHSHWVSQFSNGIPKIVGIKGRDILVDLLKDSENGSPSRLSMM -GRAIITLISIFRVQCPEHVLKFNTVTDPWKGTGTLSDSEIMRGLTAMNLGKFRLKSPKFIWSNKSGVNAR -FAFLSVGLDLIALIGKPRIWIGIIKYCIQVRYILFPIIFILLSISFIPLVIFNYLFVFLGEGISLNLGRL -AIIKELRGKARVVGITDAWTQMLFKPLHDEIYAKLGKIPEDGTRDQLAPVKLLLSNLKNPYAVSVDLSAA -TDRLPVELQARILNCLGLPGDTWREILARPYEYMDREYVYAVGQPMGAYSSFAMLALTNHLIMYAAANKL -GLVVVKGSGLYAILGDDVAISRGDLATEYNKIMHLLGVEINPIKGFTGKILEFAKNLFHVSGTNLSPISA -KVILRAARDPIYIVPLINDYINKGYWIILNTTLSNITKLLESTHSMSVAQSTKWLFSILGPQSGFWSYSE -SNAGYAAWQVLFEEFLRLRVGISLTDVTRWYYKVLWNKASYPLSSVIELGEGYLRIGRFSQKPWIWSPKK -FEQSCKLPSPEYMAGLTSASSMAILLPVLLYYYISALLFGILLAGVSKITGSKGLDKELLNSFKNPLESI -VGELVVRILNLDFTGRARAFQVMNTPHEGVFTNIGWFQGWVSTMRLPRPMQLLNTRFKREMKSLDDDMPA -VLTAERCLSANSKLLAKYYNSVRKVRRLEEKIRKLKKQGKAN ->ALD89118.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 13] -MKTLSMQLNSRRIKGLFASIVENGSMVSLAGTWTITDRKLTLEKAEAISKEYQSEGFLTKVSKSKDGTFR -VLRLERGSLADLILGFGWRMISLIFPNKVKFAGRLKLLHIFQSYCFRMYKVHGPEQVVKFLKAAQLAVQK -SIGKDKINSLRDLDKDLLKSKLTGYGLPTIIPSRDRKLIASGAQPVIRFWLTLFSVYRVIDIAGKLKLET -IIQPFEGASDYLIVRDQFYAFLKASSSSTLFNIRSLSKPAEPLLFEAASASHKVAWTGLVSDAKLLASLK -LSWYARQIMIIMGQYELVLLFDFCLETPLVESPAEPNYRQSKSTEGRYTHVTNAEPNGFAGKLAIKEEAA -GKRRVFAMTDIWTQTVLKPLEQMLASFLRNLPNDGVYNQHASELRAREKSLRSGCSFGYDLSAATDRLPL -ELQSFILNLIVPDLGHLWSHLLTQRDYILKLPEEEQKRIGAHWSSKTAKAPSTYDVKGLELVLHYCYWGS -TSMVKDRPEPWVKLRYAVGQPMGALSSFAMLAVTHHFIVQYAYRVAYSVPMDLVFTKDTWYTGYECTGDD -IIIFDAKVAAEYKNLLRAFGMPINETKSVVAKVPVTEYLKVTSFYGNNVGAISWKMLMSGNSLMGRANII -FHLLSKGIIHTNINPWIKRSAALSLHKPGNLTPTLIALWTMLSNRGLLSVEECLKALISGNKKVFRLAKA -ILFDADVNKITLALPALFKGQQVPFVQKRIVEKIWAIEKPWFGITMWKPLAVFTAKADVSRDAEKLTKEM -IKVMIDDRFVPLVDSLSFEMDHGNFFTDGVPDIVKYQDPGSHPDHTHNELTALFTALFSFMQAKLERLAQ -PILDGNPQLDSNIPDLVNYNDKLDRYNELLALVERYQIKTSGDAPAPVRNVRATELKLIKLIRKMGNRPL -FTTAYNL ->CAJ32466.1 RNA-dependent RNA polymerase [Ophiostoma mitovirus 1a] -MKYFYTWQRGSKDLFLINKGLLQVLRLVWGDTTLNKIRAFYVLLLRLEFIRKHNGLPYLCGYMKACGIFL -MKTVGKDPSKLDLTSLGIWVSLTGSGIPRIIPSYIRNRIRSHDLKLVKSVLTVFNLYRVLDFAGSLNLAT -ITDPSDGITPPSFDKWMKAFTRKFILDFKISSTMAPWLIQSSGPCSKKGCNNTEGWLTAIRRLKHLFTWG -EITSILDPYKEGKWEKSDFYSFWASIQPLTDNVPIGKLAAKEEPGKVRIFAMVDAVTQWLLKPLHEAIFK -LLNIFAFDGTFDQIGQLEKFMDRMKDKAPYYYSFDLSAATDRLPLSIQILILKHLVSVPFSEAWGRLLTG -RTYHLFYKKTSYEVQYQVGQPMGALSSWGMLALTHHLVVQYSAYLAFGKVNLFKDYIVLGDDIVIGNHEV -SIRYHYLMTEILKVKINPTKGIMSPHSLEFAKRFYVQYQDCSPLSLKEFSSFGTVYSSFTASLRKLDISV -PNLLTLVGRGGRARGHQGTALSSLLEMMRVNLINFSKSQPMTFLNIFFPSLTESMVKSILIDYLASSGKL -KDLSLLLRDGPASYPKEKRTENLGLDQVKESNKLILANFSQDLKLHKKFFGALDAFMMKTGGNIPQVTKT -KLPIKDKAPVFFRGVSPLHDTIQFGLTPESRDLIKSLLLGINTSSFCSSELELVPITKLLTLYFTDVNSL -VTVNGHPFNKVKPNRSFLRNWEEIYSGISLLKLVVSYSSSLHLVRVASDKVLISNFLRSLEKETSPRSFA -PTYXDEVDTVSE ->AHE13866.1 Pol, partial [Sclerotinia sclerotiorum mitovirus 7] -MHFACMTPATLRWAHLRLARIGIRDIPWKISVTMCAKYIDLNMKFLLFLKSGVVKHLSYKNKRPQEVKDW -ISTKEIHLYFLVVSWIFSTSIYRTHVKRFADKICYLIDKSGSNFTFLYLKECLRLVTRSLAGQQDKCRKI -FVRVDKSGLPKIIPDSMRRHLRSGSSSQKEIRFVLTLLSVFRVLPTSPVVSYDSIELPFKGSRRSFDVRV -AVKRIWKGKIDIASLPIFIIGGESSGPNSKKAGWGSLLDALALLHRPFPYLEYLVQSKSWFWLIWLLGIW -FLFGPLYYIISFTTSSRLEEGRLSVVLNQAGKARVVAITNWWAQLCLRPLHRRLFSILKKIPTDGTFNQL -APAQRLIERAICEKELGKDPIAFSCFDLTAATDRLPVDLQSDVLTSLGFPGEVWRNLLDISWFVPEHMTR -IKYSVGQPMGAYSSWAMLAMTHHVIVQEAAALSGFIGFFDDYAVLGDDIVIRNDDVAASYTRLMNDLGLE -INPYKSINSFEFMEFAKRLIGPDLDYTPFGPGLILQSLRNRTIVSSVLREAISAKIINFCDVVSICSSSP -RFIRVKAELVLWNFFSKVLREKPNLSNAV ->BAN85985.1 putative RNA-dependent RNA polymerase [Rhizophagus sp. HR1 mitovirus-like ssRNA] -MKLFPILQAPKAATKPNTLKRFDAVFTAIVLMMNLASWSFVQTLHSLLTLFYNRIVSVSFHDPVLTARGL -KFYRAYYLAFLRGGKALAESLYPTTGPFDIESYSGKGTKDTRILDRIMDFRTELAEGQTTPSDLLMFDRI -TFAILSFDRIMTYSVDPDFSSITTPGTFKYPKDSTYFQGISTLLGNLGITPDAFWKEVRRQAKRQHHEIM -STGGVNGPASWTAHSDAKVILGNTALYNNFASMARMLGMMWLLQDMVSCCSLPSHDAANDSLLHAGRLHS -FEEWGGKTRVVAIVDYWTQILLTPLHNAIFHFLGNIPSDGTFDQDAACARVAAFTADANAEVYSYDLTAA -TDRLPISIQREILEYLCPKGFAGLWASLLVDRDYFYASSGTSYRYAVGQPMGSKSSWAMLALTHHVIVQA -SAASVSSESYMDYALLGDDITLTGSSIAKHYLQHMSTLGVSINMQKSVYHLADAKPAAEFCKRVYLLGNE -LTTIPVKMMAKTIMNGRLAPQLQNEVYRRGITSGPIWLLQWITNLVDMESARFLVILNSLPSSLSGLKQQ -ITVESSILSDVSKWFGDKHVLTVKDVTNAYLYVAITEQLKRVDNLLRQAQIIHSAIETNAFGYSTFDPVR -ILGWKYTDPAVDLKKLAATMPQLSPTHPIVKASQIEMDRLGALLADLRSGDTRVVEMAQSNILDMFRSSL -VDTWLDPSAARAQADRTLLQRAMTLLSDIILNRTKDDNGKKAHYVDFSINLAYVNRLWTVSWKLGGDVAI -NAVRSRIVSDPTDAKTNFSQLFNETSMSTLFHVPVTVKKGRKGVRVNATPAGKVVTAASNTSSDP ->AMM45292.1 putative replicase [Macrophomina phaseolina mitovirus 3] -MAITLFLLSAFLGVVRYFHLNDLLATLVTQSPEVYLAFAVLVVFIRLGIALCKLYPKVRRFFASLGRLVE -TSDGILGSPSPRDKDVPVKESPFKNGARRQLHTVASRRAGTPNSAGRREQAPHLLEMRAGGANPKFVEKF -NSFGLSSPSSLNKARSSGVAKGHPKSIYHSALLRSRFNPDKILDYLRVKAGAAIVTHLKGISLFAGAIHT -VSTVRQLLTFARVCSHILETQGPKGLTLFLKSSTVMLQQSLGGHVTHSLTPLGPRVSRTKAGLPRFFNVT -YRMRIRNGETAAIRLALTLVNLYRVIKYPGKLSWNTIIKANTGDNSIVPYLRGMIPLFVSLFISARFSAG -AIHRMVYRAARNAIFPLFKGGPGVTGFMGEFNTHPTVMLRQLLTLRSLPGLWESFTTLLYQFRSYQLIDL -VNWVVPLRNITGTIPLVGKPFGKPRQIKLLRGLGKLGTKEEAAGKVRVFAMVDAWTQWALYPIHELIFKI -LKDVPMDGTFDQTAPLKHVRPHSGFWSLDLSAATDRLPLSIQKSLIGAILGRESAAAWANLLTGRTYALR -TEDHNGNETVIKLTYAVGQPMGALSSWASLALTHHFLVQCAAWSAGFPKWKLYTNYAVLGDDVVIGDRSV -ALAYLRIMKSLGVGVNTSKSLLSHRGIAFEFAKRTIVRGVDVSPVTFKEYYSATRNIGAFLQLMRKTGTP -FARALQALGAGWKVRSWLNKPIGRLSARLRLLILAANVPQTPDEAKAFFELGQAPVQRFAHDTRIVIQQF -VDREVSRVFEKLHQLAPLSLGGSVSGAWAADLAEGICREDLGLSLEGIVLPWQDNDSWWSWFSVDDINWG -TSRGVSREIAYRLYMIIHSIRVGQTLGWKIDLNRLMTRCWTLMSGSHSLTFSDMYIEYLAIVKDYYNFST -TVLATTRPQEPEIKGILTPSQVRLWKRWSAVIQNSQPLPDTPAPVSEKLPLPEPDVFSTIPDVGIVEPSF -LGEPAGTPFDEYHSYVWFHKAGVHEGYDYRWGIWPLYRGISSIDGRRVS ->ALD89126.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 7] -AMASVKGGRALVRYFVRMLPITGLQTTSARVRAIVVFLKLTSILFRNNGAKGACLILKVHAVILQQACGG -HIVKDLTELKFRVSRTNRGLPRAIPVQHRELIRSGHLETIRLWLTLFNLYRVIEFDGDYRLSSLSKTITS -PAKTLAGFVQLKAELLAFVPLFFKALTTQIGLNVRSINRVLMEEYELAKAVPLLKSSPFTYPLHKLEDLS -RSEQLEFMEKTPVSSTHPIAVHEAANALHNNAELAEAASYFLGLLPSNCDLRLAWRECIRVPLVKGTGTQ -KSFTPTVGKLSLKAESAGKVRVFAMVDCWTQWLLKPMHDSIFHHILPGIPQDGTLDQLKPVHALLRKDPS -SLFSLDLSAATDRLPLWLQEAIVAAFAGEVFARHWAEFLVNRDYVLTLSDKNTDTPVRYKLRYAVGQPMG -AYSSWAMLALTHHFIVQFCAVSAGVVRSGLWFEDYAILGDDIVIGNSRVAKAYIRVMATLGVGIGLHKSL -ISAGGTALEFAKRTFVHGKDVSPVPITEWIASLNGPSCAVEFIRKYNLTLALFLKAAGYGYNVLGRLNKP -LGTLNAKVRLIILALNIPTTPESVEEFFKLGMPKAGRALFETQAVIDQMIEKEFKLLKSALNKLRTGLFT -LEGPHLHSIDRAKELLTRVKGLEVKASTIELLVDCWETEVKPLLTESSKKVYQEAWDKRFHPRLFDANFL -ELLQDLVADLKDGQILSAPMAPDSGTFMGMSYNVTPAAYLNTLTNLYEADALRTLTPLMKRLQLLTQGDI -KVKAVHLAQQINDTLVSVMLSKYDSTAKDLFLSLISLSKMVGKLPMNSLSYARVVDAAPRGFTDGMHIRL -WKSLSGLAQGTKKAPAAKVTIEADPNAHWFS diff --git a/seq/clusters_seq/cluster_490 b/seq/clusters_seq/cluster_490 deleted file mode 100644 index 26c9c8b..0000000 --- a/seq/clusters_seq/cluster_490 +++ /dev/null @@ -1,110 +0,0 @@ ->YP_009553280.1 polymerase basic subunit 1 [Oz virus] -MNLFSPRTQLTPTETQELLYAYTGPAPVAYGTRTRAVLENVIRPYKYYYKDENVPTALSKKTGEKSPSEI -NTRGPSSGFHRNSVIHFSRNLMEKYPEAFEKLQVWLDCELLNMEYAELAKGRQTLSFLQDRNLPAPIALE -ETIEFLQQNLNKPVGPSMVSYLNCIMEVLSMSETSYEYSVAVNTVQFEYEDDELEGMGPIKMHRETNMKK -RRVNLSQEELWRKTCTLGTMWKHLERGRLNRRTIATPSMLARGFVKVVEDAARVLLEAMPSSGVPVGGEE -KLAKLSSKLESVAEVTGELSGDQEKFNECLDPDAMRLMWTIFLSDKPQWVKELFNIPFLIFKSKIADIGE -GLTYTKEGVVRRFPFGKYPSEFDELLPNIVKNADQEPIGVRCTLGMFMGMFNLSSTLLALIAADRKEITG -DHVESSDDFIHFFKTKDHDELFKQAELLRWSLKLVGINMSPSKCVLISPAGIGEFNSKYHHRDFVGNVAT -DLPSLQANGKNPSSDLAMGLNVIRHSINTGQMNFISGDLALRIFTKAYKYAYLAEGETKRTRFLAKLNEQ -PLLTNQGAKTVHSVSTLHLDEICLRYKQGMITPEILGRLVNPENPITAPSEEAISFRPEGKLPMILEDTS -VGNCFKYTFTRNRTITNKPHRTMLDKERKYKELTGLVESCFPETLIGRVDIPGTVRTACKKRIEYVIEKS -DLPANVKDDLISQIK - ->YP_009352882.1 polymerase subunit PB1 [Dhori thogotovirus] -MNLFSPRTGLSPTETQELLYAYTGPAPVAYGTRTRAVLENVLRPYKYFYKEENVPQALHIKTGQKGPEEI -STTSPSSGFHRDSVILLSRELKAKYPEAFERLKAWIDCELLEMEYAELSKGRQTLSFLRNRNQPAPIALE -ETIEYLQQNLGRPIGQSMLSYLRAVMEVLAMPKTTFTYEVATNLARFDFEEYDDGETGPLMMHFEKEKKK -ITITITQAELWEKTCTLGTMWKHLERGRLNRRTIATPSMLARGFVKIVEDAARVLLECLPSSGVPVGGEE -KLAKLSSKLEAVSEVTGELSGDQEKFNECLDPDAMRLMWTVFLEDYPQWVKELFNIPFLIFKAKIADIGE -GLTYQKEGVVRVFPFGEMPSEFDELLPNAIKDKEGKIVGIRCTLGMFMGMFNLSSTLLALIAADRSEITG -DHVESSDDFIHFFKAKSYDDMFKQAELLRWSLKLVGINMSPSKCILISPAGIGEFNSKYHHRDFVGNVAT -DLPSLVPGGKNPSSDLAMGLNVIRHSINTNQMNFISGDLALRIFTKAYRHSYMAEGITRRTKFLEAFKKD -PVLLNQGAPTVHSVSTLHLDEVCLRYQMHLLGEEELRRIMNPSNPITARTEEVVSFRPEGKLPMILEDNS -VGSCFKYTFTRNRTVTDKPHRVLLEKEQQYQKITSFVEECFPELTIGNTTMPGTVKQACKRRLEYIIEQS -DLPTEQKRALLEEMDS - ->YP_145794.1 PB1 protein [Thogoto thogotovirus] -MNLFTPRSEINPTTTQELLYAYTGPAPVAYGTRTRAVLENIIRPYQYFYKEPNVQRALDIKTGCKEPEDI -NVEGPSSGFHTASVLKLADNFFRKYRPAMEKLKYWILVKLPKLKYAELSKGRQTYSFIHKRNLPAPIALE -ETVEFLEQNLRRKIGPTLLSYCQAIADVMELDETTYEGARDPRPWDIQLEEIDSDEEDPLFRQVGREETY -TIKFSREELWDQMRTLNTMCKHLERGRLNRRTIATPSMLIRGFVKIVEDAAKEILENVPTSGVPVGGEEK -LAKLASKQTFHTAVTGELSGDQEKFNECLDPDAMRLMWTVFLRKLGCPDWIMELFNIPFMVFKSKLADMG -EGLVYTKGKLTDRKPLGEMPSEFDDLVRNVVGNSISCRLGMFMGMYNLTSTLLALISIEREELTGSHVES -SDDFIHFFNCKTHEEMFKQAETLRLTLKLVGINMSPSKCILISPAGIGEFNSKFHHRDFVGNVATELPAL -VPNGTNPMTDLAMGLNVIKHSVNTGQMNLCTGALAMRIFNHAYKYAYMALGVTRRTRFMEENAITPLLTN -QGASPVHSFSTMHLDEVALRRHLGLLDEETLRRILNPNNPVTQKGDPSMFFRIENKMPQIMEDYSVPSCF -KYTLSRNRTIQDKPHKALLNKEERYQRVTSIINKLFPEVLIQEASAPGTVRESLKRRLELVVERSDLDEE -RKKRILSRIF - ->AMN92168.2 polymerase subunit [Bourbon virus] -MNLFSPRTCLSPTETQELLYAYTGPAPVAYGTRTRAVLENVLRPYKYFYPEEDVQRALMIKTGMKSPDNI -STRTPSSGFHRDSVILLSEQLMHKYPEGFERLKTWLECDLLEMNYSELSKGRQTLSFLRDRNQPAPIALE -ETIEYLQQNLNRPIGQSMMCYLNAIMEVLSLPKTTFTYEVATQLAHFDYDEFDDGETGPLRMHFERAKKK -VTITLTRQELWSKMCTLGTMWKHLERGRLNRRTIATPSMLVRGFVKVVEDAARVLLECLPSSGVPVGGEE -KLAKLSSKLETVAEVTGELSGDQEKFNECLDPDAMRIMWTVFLRDQPDWMKKLFNIPFLVFKSKIADIGE -GLTYEKSKIIRNFPLGTYQSEFDDLLPNLVRNKEGEPVGVKCTLGMFMGMFNLSSTLLALIAADREEITG -DHVESSDDFIHFFKTKTHDEMFKQAELLRWSLKLVGINMSPSKCILISPAGIGEFNSKYHHRDFVGNVAT -DLPSLVPGGKNPSSDLAMGLNVIRHSVNTNQMNFISGDLALRIFTKAYRYSYMAEGVTRRTKFLEAISQE -PKLLNQGAKTVHSISTLHLDEICLRYKMGLISEQELCRLMNPSNPISKMGDEVVAFRPEGKLPLVLEDTS -VGSCFKYNFTRNRTVVDKPHRVLLEKEIKYQQMTSFVEECFPELMIKQNAMPGTVKNACKRRIEYIIDQS -DLPQDVKRSLVEELG ->AED98371.1 PB1, partial [Jos virus] -MDLFTPLTEVNPTQTQELLYAYSGPAPVAYGTRTRAVLENVIRPYQYFSRDGTVAEALKKKTGEKRPEDI -NVEGPSSGFHRDSLVKLAQNFAGRYEMALDKLRYWILVKLPKLKYAELSKGRQTYSFIHRRNLPAPIALE -ETVEFLEYNLKRPVGPTMISYCQAVLDVLELDESHYPLKYCENPNDIEYESSEDSEGEVVQRINYGSKVR -MVTFKRQELWNQMRKLNTMWKHLERGRLNRRTIATPSMLVRGFVKIVEDAATIILENVPTSGVPVGGEEK -LAKLASKQAFHTPVTGELSGDQEKFNECLDPDAMRLMWTIFLEKISAPKWILQLFNIPFLVFKSKLADMG -EGLSYSKGSLHQNFPLGTHPSEFDELIPNITGENDIACRLGMFMGMFNLSSTLLALISVERPEITGAHVE -SSDDFIHFFYAKSHEDMFKQAETLRLSLKLVGINMSPSKCILISPAGIGEFNSKFHHRDFVGNVATELPA -LVPNGSNPMTDLAMGLNVVKHAINTGQMNIVSGSLAMRTFIKAYRYAYMAEGINRRTKFLQEAGITPLLT -NQGASSVHSLSTMHLDEIALRSHLGLIDEDSLLRIMNPENPITAASDPQVFFRIENKMPRMVEDTSVGSC -FKYTLSRNRTICNKPHKVMLAKEEKYQKVTALVKSAFPEVLITEAVVPGTVERGLRDRILLLIDSSDLDE -RRK ->APG77896.1 polymerase PB1 [Hubei orthomyxo-like virus 4] -MAYGSHTRLVFETAYRSYDYFSTPITPFIKTANIPFNLMKINSPGSNFDQRLLEACVKKFVSKYRELINI -CNEEIPKIVFYQDYSILSKGRQTWSFIRDRNIPAAMALKETIEFLELNLKQKIGQSLGEMCQAILQVLEM -SEIKIPTIYQVTVNKKKINRKTIVKIDSERLYRLMCTINTMAKSAERGKLVRRSIATPNMLLRPFVKIVE -DYSSKLLSRLPCSGIPVGGQEKIAKLISIIGSLGSIHSGEVSGDIEKWNECLDPDAMRYVLTRILQLTGA -SNWQIELFQLPLLAFKSKEAKLGEGISVKNSFHHIKHVHLDDPEFPEDCDQYKKLRPLYNSDSKTIKIRL -GMLMGMFNFLSTLYSLVAVAGLEQEENHVQSSDDFLHCTVSNEEQKLYNINVPNLYLVFRSFGINISPHK -SLLIVPAGIGEFNSNYHHKEFVGNIGTELPAITPSGFNPSTDLTMGLRVIRNSLSTFQMTLPSAALALAL -FIKAYKHCYLVAGITNRTKFIQSLELDSNPLICQGGRSPFSVTTIHLDEICLKLRNLDLDEDYLCRIMNP -ENPFSGGRSLLTIKNENKRPVLEEDTSIGSIFKFNFARNRTVKNTPFFHDLKEEKDYAIITKAIESIMPE -VQLNLSIHTGDVDSALRNRLVSMIASANITEIEKKRLLDSIDQEDSDSNSDSDIF ->AHB34055.1 polymerase basic subunit 1 [Upolu virus] -MDLLTPLSELNATQTQELLYAYTGPAPVAYGTRTRAVLENIYRPYKYFYNPEDVQRALQKKTGDKDSHDI -NTRGPSSGFHRDSVVALAQNFTNKYSLAMEHLRYWILTKLPRMKYAELSKGRQTYSFIHKKNLPAPIALE -ETVEFLEQNLNRTIGPSMLSFLQAIMEVLALENTSYTVRYCANPDDISYESSEDSDGEGIYRMSYGIKTR -LVHFTQQELWDQMRKLNTMWKHLERGRLNRRTIATPSMLVRGFVKVVEDAATIILENIPTSGVPVGGEEK -LAKLSSKQAFHLPVTGELSGDQEKFNECLDPDAMRLMWTIFLEHLQSPMWIKELFNIPFLVFKSKLADMG -EGLSYQKGALVKNFKLGELPSEFDDLTPNLVGENEISCRLGMFMGMFNLTSTLLALIAVERPEITGSHVE -SSDDFIHFFYCKTHDQMFKEAETLRLSLKLVGINMSPSKCILISPAGIGEFNSKFHHRDFVGNVATELPA -LVPNGTNPMTDLAMGLNVIRHSVNTGQMNIATGALSMRIFNKSYKHAYMVEGITRRTKFMQENNIKPMLT -NQGAPPVHSFSTMHLDEVALRRHLGVLDEETYRRIMNPGNPVTANMDPGVFFRIENKMPKIMEDTSVGSC -FKYALSRNRTIVNKPHKTMLAKEEKYQRVTSIIKDCFPEVLITEATVPGTVGEGLIQRIKLLIQRADIDD -LRKRELTKSIEDAWNV ->APG77906.1 polymerase PB1 [Hubei orthoptera virus 6] -MNLFTPKMVFTPTDTQELIYPYSGPPPMAYGSHTRLVLENVTRSYKYNNPTPNTGVKTTSKALKDFTYEQ -PGAYYNKNFLLACTHRTYDKWQSEIKEALDQTQRILFHQKYADLSKGRQTWSFIQERNIPAAMALKETVE -MIESNLGTKLGQSLGEYNQAILDLFEMDSIKCPKYQFETDSNGVSKKKRKIVKYTASELWGVAATINTMS -KSAERGKLKRRSIATPNMLLRGFVKFAEDLAAEILKRLPSSGIPVGGQEKLAKLTSIMGMATSKETGELS -GDEEKWNECLDPDAMRIVMTTFMQRSNMPKWIVELIQIPFLFFKGKRAILGPGIPLRNRYGHTKCFPLDS -ENLPEDCNQYKFIIPLLDKESNTLLCRVGMFMGMFNFMSTLLALVTVEGRDKDTKHVQSSDDFIHFFEGE -SQNDITCNLAPKLYLAYRAVGINESPSKCILIIPSGIGEFNSQYHHKDFVGNVGTELPSITPAGFNPASD -MTMGLRVIRNALSTFQMLLPTASLALRLFLKAYRHAYLVEGETERKRYIKSLAIDGSPVVFQGGSSPFSV -TTLHLEEVALKRRNGDIDEQYIRRIMNPDNPFSGGQAVLTLKNESKRPVLEEDTTVGSCFKFQFARNRTV -LNTQFSNGLKEEKAYSEVTKAIESIMPEVQIGITSHTGSVETALRARIEALIESSSLNAMKKKELFEKID -QDVVEGWEEDSD ->APP91612.1 PB1 protein [Sinu virus] -MDILTPFSQMTITECQELYYAYTGPPPVAYGSKTKAVLENIKRPYQYFDKHKRDDKDLDITIKSGKKDTT -NINMEKPSSGYNEEWLIKFSKDFCVKHRVAFDNLGNWLDFKLPLISYAELAKGRQTWSFIQKRNIPAAMA -LEETVEFLEHNLKRRVGKTMLEYLQAICDVLELDETNFLIKKNKKGIDMNTEGEEIEFVPTEKIKIVEIK -FTREELWDQMRKLNTMWKHFERGKLNRRTIATASMLVRGFVKITEDAARVLLERIDSAGTPVGGEEKLAK -LASNLVQRDAEITGELSGDQEKFNECLDPDAMRTMWTVFLKFLNRDDWEIDLFNVPFMVFKAKLADMGEG -LVFKSGKVKRHFHLNDAPENEFSCLKGLVRENDIECSLGMFMGMYNLTATLVALIAADTIDIRGKHVESS -DDFIHFFFEKSKDKVVEQAEKLRMRMKLVGINFSPSKSVLIIPAGIGEFNSKFHHQDFVGNIATELPALI -PGGNNPMTDMSMGLNVIKNSLNTFQMTPLTANFALKLFFEAYKYAYLINGETKRKRFIDSAEIKPLVTYQ -GAEPIHSISNIHLDEIALRHHLGLISEEELSIIMNPANPITRTSEPTLTVRVENKMPTVSEDPSLGSCFK -FQFSRNRTILNTETRIDLKKEKEYQEMSKLVQDIFPEFMIAPSTVDGTVMDACKERIKIMILNSDVTEPR -KRELLQLLNE diff --git a/seq/clusters_seq/cluster_491 b/seq/clusters_seq/cluster_491 deleted file mode 100644 index 67719e4..0000000 --- a/seq/clusters_seq/cluster_491 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_009551628.1 putative coat protein [Melon partitivirus] -MAALGDGVPPIADNNNPQGRDANPIRGGTDPLRRATDWLEDNSKITMTFHRHANYRRYATLRLQEMFNAL -VQLYQNIFMSHWHHLRRTVENYQVPAPLAQTPWLYVARLYISVWIVDLYASIRRACLRVCPEAYNEYYTQ -DYQQVVFEYDAFLSQLLSMIKPTVVNLVHETTLFVPRLAEDQPFPADDMNIFGLDRFDYNENVVHGVISI -LRDRKLMKFEKPSETGFGRPFWLFDWHRTGHAYAWFPAENNYDMRDVTVAYIVGVACTPKLGPRDIDDWQ -HFPGNIIPEHPRAEDHVRVRPVAYHGAVEVRTIDVQQWTAPPEVMSLIQVTLPPAAAQQQQQQQPAAQAQ -PAPARQQPPAQQQQQEQGLIRYNLRQGFKRRRTDPSTSNDNDMPVEDIEEPPQPEQGEDPIHPEEEAAPP -VEPQPDPAPAPAPAPQAPAEPIITMNRVRRFRIITYCYYRQVTDNEDLHSRLAALRQVDSLIA - ->YP_009508069.1 viral protein 2 [Beet cryptic virus 2] -MAPNDELAANLQGLKRPALDEIVHYLEDRNQIGISHDKNLSYNRTCVLLTNELHARLVTLYTNLFTTSWR -HFKSAVRKEMPRTEANPQRWLANCYITAWFWDLQASIQEATKTLSGKIYQDYFRDNIHPILDRYDPFLQH -LNTIIKPTHIVNATEDVLYFPIISADYRRADADMNIHRITGAFTRPNVVMDLVSLMDDPNSGWSTVPLNT -NVFGRPGWLLDYDGTDAYAWFPMENNYNMCDLIAPHILATPCTAKLGIYDADIWQNCPGNIPITATTART -ARRESERRFYGSAETRTIEQRNYTVNFPELLKPRDTGARALVTTTQRASTSGSVEPTTGAQPEPETPTFQ -ITFRQFRILDYCYLAKVIHKSNSQMINKALRNFIQKGASKKTE - ->YP_009508067.1 viral protein 1 [Beet cryptic virus 2] -MTGPTQAHLIEATSFTGLNRDELDSIVDALQEENQIGTFRDRPKAYRRYVHVRSQELFDRLSELYADLFT -SNWSAFKHAYITEPTGREDANSISTKASFMAQCYLTAWFWDTQYCIRESVRKLSGTAYNQHFTEDLNLIS -PKYDPFLQHLNMVIRPTLIHQSTEDTLYIPLLGQTFNYNEDAYNFLNLQGCGTEIRQVYAITDVMDSRRT -EWSTIPLATNVLGRASWLLDFKQGNAYAWFPFESNFTELDLVAPYILGIPCTPRLGPRDEDHYQHWTHND -PPLSTDGNIILNPLAYVRSSERKFFGNAEYRTMEYNSYTQNFEAYMPRVTTSTQAKNKRKKDAAPEQLST -GQGDGSSSSKDAEMSTEESEAPPPPKIPRPRQIHQFRLIDWVYHSRVILGADKAMQRRALWDFIHAGATP -SHQPRP - ->YP_009362098.1 putative coat protein [Carnation cryptic virus 3] -MAENDIPAEGIPARPPPAANPFTGLNRDELDRIVEQPEEDNQIGLFRDKARSYRRYVDLRTSPLSTRLIN -LYSNLFTTHWNVFKHTMSGEAPAGFASKAIYLATIYVSAWIWDLHVSIRESVRRISGTAFNQLFQQDAYH -QADRYDPFLQHLNTIIRPTPIHQALEDTLYIPVVSRNIDWANANNNVFGLPSHAYDDNILNAILDVMDDR -RNQWSTIPISTNVLGRPGWLLDYRLGQAYAWFPMEANYSQVDLIAPHILGTPCTPRLGPRDKDDWQVFRY -NAAPPPGVRLNPHDFRRATERSFYGSAEYRTIEHAVHVFDWQATIPPPDAATSAASQPGKRKQGDSSTSA -MALMETDKDPPQPFVPPPPVDLNRYRLIDWCYHARVIDGEDQQTRNRALRNFIFQAGHQPT - ->YP_009466860.1 putative coat protein [Pepper cryptic virus 1] -MGDRVNAQDDDTVVPHQAPLQPAALQQDLTRSADYLLDNVRIGNHRQRYDKYRRYVLLRSSEIFTSLVAI -YAHIFSSYWQHFRRFTDQFQAPTGVQLPTFVARVYISTWLHDLYCSIREATRSISPLAFNERYSYELLPY -STEYDPFLAFLSMSIKPTHIQHTPENTLWIPILCENYDWDRNEANHNPFGITNFTLNSNLFYGLLAILKE -RKEFKLSTLTTNTIGRPCWLFDWHDNVQVCAWFPREANFNSQDVTAAYIIGVACTPKLGPSDDDAWKYYA -SLNSVPTFTPTEPRLTNRRSYGAYEVRTRETENNYFLPDSLLNIIEDFTATGTTQRRKIRRPSATSASTG -AAIIIRDTPGTASTATTSTTETEVTFPPVIRTKIRDWYYHSRVILELEDNSRTAALRMFIIA - ->YP_009351848.1 putative coat protein [Pepper cryptic virus 2] -MATPVSDTPTTKSTQDKTDQLPAATPPITQKPPSYKSEISRIADYLADDYEIGYVRDRPPKHRRYAVLRT -QALFNKLIEIYGKLFKQNWEFLRRSIDGFTTAVGIRERQWENAAHAYISCWFFDLYVSVRNAVEKVSGTA -FTQYYHAHAAHILHEYDALLVHLNAIIRPTHIKLALEDCIYIPRIATNMNITNPNPFGLTEATWDRDADF -AYSIMDRMKAPGSRWRVEPLVTDTLGRPGWLLDWHQVGAQQQAYAWFPSEGNYSMEDLIAPHIIGEALTP -LMGPCDIDDWQYFPNFIRPANVPWQNMERVSARRFRGSAEVRTYAEEKVNLTRFTSTGHETSKKRSKPSS -TTTTSSQGGSRDMETEDEPREVVTDITLSQVTSTQQLQTLMTTGEADRFRIIDYTYYCCVILRNTAQKRD -GAIRSFCFKQ - ->YP_009255400.1 capsid protein [Sinapis alba cryptic virus 1] -MTTGDRVTPTDGITTVDAPTATLEAKLDSSSTAYLKSATDFLTQSSRIGTLRTSYKDRRRYVYLKSQAMF -DSLVELYSLLFTTQWQVFRRFTDIFVPPANVTATRYLAMNYISMWIQDTYAMNRAALMKLSVVALNDRFP -VEIPIVGYQYDAYLAHLSAALRPTLTKHMMEDTLWIPCFTRSPNFQVESPFGITGYVINDVIFTGAMNIM -KERKLWRIIDLPTNVLGRPSWLFDWHDDDRVCAWFPMEGNFTMDDVTMAYILGVACTPKLAPCDVDDWQY -FPNDVVPANLDPYAFLRLTNRRFHGGYEIRTLDAANMPGQTGTTTDSRKRPRPGESTSAPALPQTSEPQT -QMQVDTPPAPKPRFRLVDWTYHYLVVLNKEDHTRFAALKSICWN - ->YP_006390090.1 coat protein [Persimmon cryptic virus] -MADQGNNETPLPVQATQPAANSDRIPITTLPAPRSQAPNKPEYARIADSLEDEYQIGFQRINPRLHRRYV -ILRSQELHDRLCNLYAPYFQAGYRVFKHVIEAYPLPAGYASQIAYATACYVSSWCWDLYVSIRESVKKLS -QTAFLQQYQTEQFHSQDRYDPFLQHLNTVIRPTHIPGGLEDSLYIPLIANHVQWGNQSPFGINHYAINES -LVQGLLDVMDLKNNTWRTVPLSHDTLGRPMWLLDWRQNQAYAWFPQENHFSKEDLIAAHILGIPCSPRLG -PRDVDDWQFFPGNVMPQHINIAAYERAIPRRFFGAAEYRTISTRMWELPFLSAVAGQMSGAKRPAPESSA -TGSGYMESPPAVEGQQTAAIMDPGIMQTRYCQYQIIDWCYHGRVILNLNAQKQNQALRSILFSPN - ->YP_002364402.1 hypothetical protein RSCV3_s2gp1 [Raphanus sativus cryptic virus 3] -MENGANNPPAAGAIVAAVQPALPPGLDASKLNRATEYLYDADKIRPHRARSPKYRRYMQLKKNEIYVQLI -DIYRASFSVTWPDFRHLIHAFPPPANHEPQTYYACCYISGWFRDLYYHIRKALKSLSGLAELEYYRDPIP -QMSFEYDNFLALLGAAIRPTHIVGNPEDVMYVPLISEATDVNQVNPFGINNYVHQPELFSAIVATMKDRK -KFNMVPLPSNYSGRPSWLFDWHAEDQICAPFQPEGNFNNEDIAMAFVLGTACTSLIGMRDRDDWQFYPNA -NVPAHFNPHTAQRITPRTMYGSYEVRSYETDHDYYIPSNSAGVTAFLSGPSTSRKRSRTTSSSTPGSLVI -CKYICLYPYRLHYLPVHINISLFN - diff --git a/seq/clusters_seq/cluster_492 b/seq/clusters_seq/cluster_492 deleted file mode 100644 index f2fbd88..0000000 --- a/seq/clusters_seq/cluster_492 +++ /dev/null @@ -1,95 +0,0 @@ ->YP_009551625.1 Tup [Guangxi orbivirus] -MEHFIRFFKLSMKDALLVRLCATISESWRCSHRPRDCYLNGLCIKENYVKVCMIVLDAQDHDSIQQIINI -SKSMTQTRGDLWCNVINHAKSHTLSNVDVEIDEALNVLSDAYSHSSFQETVESLKRDQGKAVPTYIDDSE -SLIHCFFMPVSNVSTTEISSVMRLGRFIIVLFRTNTPKTSVIWQGSKDARHFVTEMLSWGSVNLPECSIT -GSKRTAQWLVWMPDTMSDVLQNVTYCSRVLRILDMDLKMIQGFDTKEPSRIIQQRFGIKGYSIGVIKDLL -NTVLGSNTLASFLFRENINTLEKMVMPVLLIRGFCYNYYTEEEIIMWFMDKYICQCCFINETCANKRILV -MDSRAQDILGREMERSARLIKHDNGNLDHISGFELSHGEMLSRQGNHWIAFQCSDSEDALVVTCTLIHRY -LRGEGIKSELDIQVAVNALARCYLYWGPTDNRIVGAIFHMYCYLLLRKKTHLMGSNLPWYDLGTFLKLIL -QEERVNSYMSEKMHAAVAGISILYMRLAIHRHKVNYNEVDISRTIKVITKRFSDMPSVSKSITPKRSSMK -KTSM - ->YP_009507677.1 NS1 protein [Corriparta virus] -MARLIDELVVRYRNEIDGWQKSALMFCWDLNGILTCSHRLWNCRLYGMCIRQEFELVVEEVLERHDRRGF -KQVVEVAMASVHDGRDIWCQLLNWAERERVNFDYLWLEALRNVTQAYERSNIDEAAGRLRRNGSVVGYTY -IDDSRSLLHTFFIPLSVKKELQPGGDERVGRFYILYLRDGEQPDAYQWQRDRDVQPMVDRFLKYARATYP -VCMYTGSKAPPQWVVFLPERMKGYVHDERMRTRLLRTWDCDAKFLESFGTRDGEDMFVQRMGVRGSNLRK -FCVMMTGKLEGGLSVCDMQWRRSVSCLEDGYLPNLLVRMYFRGELRYERFVDWYDSGSVCQLCYLQQRGV -EMVTIMDVRTASEARVSAHAVGRILTHTYTQAFKPPELYDGEMLTRVGVHWVAVQCQNVRQAGYVTMSLL -HRYLRMDGLGTREVQCVAMYCLVRLYLYWVPNGVDLRALFRGVMYVLLGKHAEKGIATLDWTDLSSFLRA -MFSPGEESEEFYIMMHSAMISLTKAVLQYVKYGGIEAHENVEEMMPRVVIDEAMAGMQIVDLDENTLTVI -RNVDPPIVVSREDVACQTRVGIEENDALM - ->YP_009158886.1 tubule protein [Wad Medani virus] -MEGFIARYCRNEDDRVNVRLMCSLSPYWRCGHRRGFCRDGLDCLATDFKNKVECTFRAVDPQRAQRLIHV -AGMCATSRSEVWCQVVNAVNAAPLRDLGLALNNAHDALARALTMRNEVAADFLAQRDGVYIDDSLSLLPV -FWIPTLNGQMPYVSDALRMGRYLCLFLEDDIQPNTFTFQREPEVLPITNHFLQWAPNARSRDDDGVATWI -CCFRYATRTLFDDAHFGPILIRHLDCASNLLRKQSTRDAERFFFQTFAEGGSGIGEMDAVLHTQLCGDPL -IYHWYGGATTFTGVFLPLLLTRGLRSGLYTAQEIFPWFADRAESCQMCYIAGRTRSQRAIVADSRAQDIV -GGPAVRTFRPLRHGGEDLDGISMTDLLRDECLVRQCDHWCATPARSSKDAILLAATLMHRFMRGPGIADE -FTRTLFIDVLARTYLHWLPTVSECRVLFCLASLLFRGSCAEDVVTAAAWRDLGRFLRSIFEASPLTAAQS -RGLHDTLTLFSVYHLQRLAQRGYTAPAPPPLPPRVRGPPLPPVRQ - ->YP_009158882.1 tubule protein [Chenuda virus] -MEAFARNFRLTDEQVNALEFFEQFAQLWRCMHRRHDCRLDGRCVLENFHQIVNDVGVLRDAEKAERVATI -IQRALANRREVWNQVLQAVQRGMVTSPSAAHQFFQAQHDVARGRVSARSIAWEGAEPDRVYYDDSRTLLP -HLFVPTVNGECIEVLDGVRVGRYLLLFHDRLPAGVSFTYQREPAVLAITNHYLRWAAEAPRVGDELNVTW -VVFLPFALRPLFDVTPFLPIIRTLDADTAFIRAQDTAHASRLFFQRFAVEGLGLHDVITLFERRLRGASL -LELHLQNAMDLETVFFPTALMRGWFSGIYADAEVLPWFGSREHCQACFISTNTRHARILSVDSRMADYVE -GDALRSLRAIRHGGADLEGISMTALAQDEMLTRVGDHWCAYPCRSPKEAVIVTATLLHKFLRGGGLAGEF -LRLLAIDTISRAFLYWSPTGSDLAALFHLQLCTVSGEHCESVVATEDFRDLGRFLDALMRVRVRGSITQR -AMYRSLLSAAFFALTRCVPAAARPTITPPRPRTVDNRRVRPPPPRR - ->YP_009047261.1 NS1 [Umatilla virus] -MDAFIRTFGVPHNERPHLHLTWELREILTCSHRLNRCRIYGRCFRENYEFLVEEITRRHDEHAARQLIEE -SGELCADRNLVWTCANNWKIKGGTINVAADWLNIFTEFNKIHQESVFQSEVTDEAVRFANHAEHTAWTYL -DDSTSLLHGFFIPICGDRIVDIVSSQRFGEFLVCYYDPYKANITGVVFREDRQITAALRNILHVLGDAHP -SCQTTQAQHRPTQVVFLPRMELLSANERVRVLRTLEMDSKFLKKLKSRESERMFFQKFGVHGRGISDFLT -LMLTPLGGVISPVQMQIQRPRHMFQDNFMPMLMLRMLFRGELVEFDLSRPSLIYRYMYQSTATCQCCFLK -ENGVTSVTLIDTRDRDFQLDEGVRVGRLLNCETTIAFGNVDLQNGEMLTRLGQHWIAIRCSSFTQALLTT -LTVMHRDLRGKGYEDIDHKMTALALLGRCYLYWIPNEENAIGLFRAMTMVVLGRSDGNLKLWMETMDLGR -FLHMILRPAKESKILRERMHAAMVRLSLHALYVCYGKPFNAFIAPGVIPTISAQPTEIDGLLEGAAGAFG -QRAFIREVRIPIDEFSDLNI - ->YP_008719915.1 Nsp1 [Mobuck virus] -MDHFIRFFNVASDDALYLRLCVTISKAWKCSHRMRECILYNQCIKENFETISLKALHLQDYQAAAQILKV -GKQMMAVKGDLWCNSINYARKHPIPNAEKDKQEMIAALMNAYNYSNFQEEVQSLKRHSDGPVPVYIDDST -SLIYSFFMPVSSESETKVNDVRRLGKFLLVFYDSKTPKTRIIWQQSPEARKFVTEYLNWGMINYPECMYT -KSKRIAQWIIWLPESLHNIIQDFTERANLLRILEMDLKLIQSFDSREPMRMCFQRFGINGMTINAVVELL -FTDVGGKSLIDLIFGRTMENISHALMPMLLIRGYLYGYYTAQEVAFWYETNYSCQCCYIEKHCTTKRILI -LDSRAHDILGRDPQRSGRLIKHNDSTLKGISTFELHHGEMLSRQGEHWIAFSCLDSADALLVAITLVHRY -MRGTGLETEFDIQVAMNTLARCYLYWGPTDGHIVSAIFRLMCYLILRKKLEVLMNNISWFDLGAFIALIC -KTERLHPTMAERMHAAVAKTSLFFLRMTIHGYDEGRDILTGSEADVNFLTKKISKIPKISSITPKGTVKR -VNKN - ->YP_003896061.1 NS1 [Great Island virus] -MERFIRKFKPVGGAALGLRTIEALSDIWTCGHKRFSCMYQGQCVVQNLDAFVARAIVSGDSMLASKIVEI -AGALGNNRDEVRCQVAACADRSGNQDLARLSALTTQAVQRAAELAGMERVNPNNMIRDDATRAYVDDSRS -LIPHLFVPMRDGVAPEIVDSLAIGPVLYLFYDTLNADTLVFQRDPRALATTNHYLAWAPNAPRYGTPETA -MWIAFFDYSLRALFDQTDLKTIITRNVEADLNFIRGQATRRGARLYFQRFGVEGQGIGPVEDMYNRSVAE -RPIAITYLEQARTWETLFFPSLLFRGWIAGVYTEREMAQWFNSHETCQTCYAASQTSYARVLAMDVRTEE -ETGAMAMRSLRPLRHGGEDLAGIRSRDLNDGEVLTRQGDHWCATVCRSTTEAVYVTATFIHRLMRGRGLS -DGFQRLISAEAVAWCYLQWLPDRADCIVLFRLLAYAQQLYTPPFLSRLGAWTDLAVFFREIYNQTDASEA -VLRDIHGAMLALVRFHVHNSAQHGFTRPCAPPPQIRRTPRR - ->YP_460045.1 NS1 [Peruvian horse sickness virus] -MEHFIRFFKISSEDALHLRLSSVISPSWTCSHRSRECLIKGTCLRENFVSVCSRVLMEQDYGDVQLIIKI -SKSMMTVRGDLWCNVLNHAKKHVPDHELERNEVLSALSDTYSHSTFQEEIASLKRFEEKATPVYTDDSVS -LIYTFFMLVSTDSETTITDVRRLGRFLIAFYSVETFKTNLIWQNSSEARPFVSEVLNWGLRACNECIFTG -SKRSAQGVVWLPDTVMNVFNNPNDVGLLLRVLDADLKTILSFDSKDPTRLCFQRFAIKGFSISSLYEIFN -LKIENRNLFDLILNRKINTLSKLFMPMLLIRGYFYNYYSASEMRNWFITDLDCQSCYVQANCSLKQIMVL -DSRAAEILGRQPERAGRLIKHQDGDLRDISSFELRHGEMLSRQGNHWIAFNCLDSNDALLVTITLIHRYL -RGSGLESSFDIQVAMNALARCYLYWGPIDTKIVSAIFHIMCYLLLKKKLEVQGGNLPWYDLGTFINIVTK -PERLHLLLVEKMHAAVAKASIYYLRLAVHGFGITKGHISENNIRKLIRIPKVTKSIVPRGIIKR - ->YP_443929.1 NS1 [Yunnan orbivirus] -MDHFIRYFKLSTQDALHVRLSATISVSWKCSHRHRDCFVYDKCVKENFQEVALRVLHKQDYKSAQKVLHN -AYSMMQVRGDLWCNALNYSKNQVFENFLNEKEETLQQLEDAFSHSQFQETVMTLVRNNEPATPVYVDDSQ -SLIHTFYMPMSTENPTEVDTIARLGRFLIVFYKMNNTKTMAVWQQSNQAKHFVHETLLWGRTHLPECDFT -KSKRTASWIVWFPETAKCLLNDLKIKGQLLRLLDMDLKTIQSFEGRDPTRICYQRFGIRGTSVSSLTSFL -LTPIGGFTILEMMLNRGIDKLEKLTLPMLLIRGYLFGYYSQSKCKGGHTSNYICQPCYIQQVCRNKHLFI -LDTRSMDYLGRAPERAARLIKHHDGDITLISSVELSHGEVLSRQQNHWIAYACFDSSDALLVTITLIHKF -LRGEGVTNDFDLQVAMNCLARCYLYWGPVDNEVVSAIFHLMCYLLLKKKIFGMDHTTPWYDLGTFMDLIQ -KTENLNPTLMEKMHAAVARVSLFYLRQAIHKNKIEIVDPVQAITKNIKRLVSRRLPTLLPPHDVRCASAL -RRLIYKIYWFIVLL - diff --git a/seq/clusters_seq/cluster_493 b/seq/clusters_seq/cluster_493 deleted file mode 100644 index eb58cb9..0000000 --- a/seq/clusters_seq/cluster_493 +++ /dev/null @@ -1,82 +0,0 @@ ->YP_009345140.1 coat protein [Spinach cryptic virus 1] -MNPETPLADPNGPTPPHGAAAQPTPGQHIPAGTPSTGAVHLTPAPQPKRNRAPRGPFDNASSTSAPGAPA -LLELAAAYPMSTEQRRSPNTFVPDAQMLFHVLSLCDNMMLSTDRFTRSAPAWLPIVSQLYISILWFTMIL -KVYVNSSYGAMHAHLLNILVAHLRIDECLIPGPLVPFFQSLGAINGPFEWIGDIVPIIPEFSQLWNTTSF -YPTDSFARIFPIPAILLDQLHYFASITVTEQETIFGHFEWYRNIFSLGQGTFNPRLRLGPQLCGSLYATE -SQVTNARTFWSAALRTGITRTNAAANQPLFSSYPQLLGFVDQNDAMQLDWFQHTAIVMQKYCQYFNGSVP -LKSISPTGIGAVAVHGYPNYSGAARTWMYPATNTLSSFKSSRFSALREIPALLTVRFEHADHELEEQAEQ -YAIATHTNMCWSKNLTTQNNWTQVAEANVHSGTYWSMTPHRAHASVRLKTQYAQLVASRYHQQAANRV - ->YP_009508235.1 coat protein [Chondrostereum purpureum cryptic virus 1] -MSLSSGPVSQAVLTASEPAVPAPVEYRPGATQPDATGTMTQTASGTANAIFQSGATTAPEQPPVLIHVSD -DAKSNEKMSAIDITRIAICYPMSTDSVLVPSWFLPSSRYLYRIVQEMDIIMLNTHRFTQSVHAWSPLVSR -VYIAVLFWIQTIRCMQATGFLPREGIAFINELLQDFPARTLVVPGPLVSLFRSLCASSPSFGTYGDVFPA -FETAGIGASPANCFTLNSARFPQWYMLMPNVALLLDQLTCFTQACVNNPATIGDFVIGDDLFGTPFDGTI -GSHRQQRVAPGMTEPVYFNTKAAENHASMRNLMALPTRLNYDPQNATPLTLNEMFRFNTDKRWFSRIIST -MTVYSKFFDGSVTLYECPPVGPPAAQVSMVRTQPMRFEASNTAQAMETESFESTAISVNRSLSLHDEKVA -LAALINETLVSTGDQPIYAAQRLGPFWNISPTRRQAYRFDPSFVLPSVIRDHYALTTATR - ->YP_009508045.1 coat protein [Carrot cryptic virus] -MDDNTPAAQPNGPHAPAAAAPHAVPPPAIPPATPAPGAVQQPPAPAPRRSRNPHGPTPISSRVSAPSAPA -LLELPAATPMYTEQRRSANFFVPDAQMLFHVLSICDQMMNSTERFLRSSPAWMPIVSQLYISVLWNVMTI -HVFVNSGYGSAFSSFLTELYSVLRIDECMIPGPLVPFFQALGAVNGPFDWIGDIVPGLPNFSALWNEAGF -HANNNYVRQVPIPAVILDQLHYFSQYAVPANQQSTYTTFQWYRNVFQQGLGAMNRLNRIGPQLCGSLFTT -QAQYDAARNFWNASLNAGITRANANEGQPAFSNYRQLFGFESQTGVLQVNWFTSVSIAMNKYTQFFNGSV -PLKSILPTGIGAVVIYGTPMNNPSTRTWVYPPDTGIEPFTTTRYPPRREIPDALSIQFSHADHELEEQAE -QYAMLTHTNIKWYQNLETQNNWTAIAAGGLYLGDYWNMMPYRFSAPLHYKSQYAQIIASRYHQQAANKAE - ->YP_008719883.1 coat protein [Red clover cryptic virus 1] -MNHNTPPADANGPALPEGSVPPPNPPTHIPVAPAAPGAVQTPPAPPARRNRQPHGPVPAASNHHAPGAPA -LLELSAAYPMYTEQRRGVNFFVPDSQMLFHVLGMCDQMMNSTDRFLRSSPAWLPIVSQLYIAVLWNVMIC -RVYINTGYASHLAPIIDVLISHLQIHECMIPGPLVPFFQSLAAVNGPFDWIGDITPAMPAFASLWNAEQF -TARDSYARQIPIPAIILDQLHHFATRAIVAGVANYGTFEWYRSIFNRGVGATPANSRLGPQLCGSLFTTN -AQFDAARAFWNSALASGITRTDAARPPLVNYAQLLGFQAQDGSDQLNWFQFTSSVMQTYCQYFNGSVPLK -SISLTGIGAVVTYGIPVNNVATRNWFYPTAAMIEPFATSRYAPRREIPNQLAVKFQHADHEVEEQAEQYA -ILTHTNIKWYESLITQNALTAVTGNLVHQGDYWRMMPFRYSSPISLKVQYAQIIASRYHQLAANRAE - ->YP_008719881.1 coat protein [Dill cryptic virus 1] -MDPNVPIAQPNGPAIPNVAAAAANPPPPIQPAPPAPGAVQQAPAPAPRRNRLPHGPVPTSSRTAVTSAPA -LLELAAATPMYTEQRRATNFFVPDSQMLFHVLSICDQMMNSTERFLRSSPAWMPIVSQLYISVLWNVMIL -NVFVNSGYGSAFSSFLSELYGVLRIDECMIPGPLVPFFQALAAVNGPFDWIGDIVPGLPNFSALWNEAGF -YANSNYARQVPIPALILDQLHYFSQYAIPANQQSTYATFEWYRNVFQQGLGAMNRLNRIGPQLCGSLFTT -QAEYDAARNFWNASLNTGITRANAAEGQPAFNNYRQLFGFESQLGALQLNWFTSVSIAMNKYTQFFNGSV -PLKSILPTGIGAVVIAGSPVNNPSTRTWIYPPDTSIEPFTTTRYPPRREIPDAMAIQFAHSDHELEEQAE -QYAMLTHTNIKWHANLTAQNNWTAITADGLYLGDYWNMMPYRFFAPLHYKAQFAQIIASRYHQQAANRAE - ->YP_002308575.1 coat protein [Beet cryptic virus 1] -MENNTPLANPSGPNVPSAAAPPTPAPPAIPQATPTPGAVSQPPAPPARRSRTPRGPVPQATPGSTSGAPA -LLELSAGLPMYTVPRRGVNTFVPDAQMLFHVLGICDQMMLSTDRFTRSSPAWIPIVSQLYISVLWMVAIL -RVFVASGYGALYSSLINDLIGHLRIDECMIPGPLVPFFQSLGAVCGPYEWIGDIVAAFPDFLTLWDAENF -CPTADLARTCPVPAIMLDQLHYFATWTIPAEQILYTNFQWYRNIFSLGLGAGNANNRIGPQLCGSLYSPR -AQVDSARAFWNAALSSGITRTNAAEANGAFYTYAQLLGFISQNGTLQLDWFQQVAVVMQKYTQYFNGSTP -LKSISTIGIGAVAVIGAPTPDPATRDWFYPAATGIEPFLCSRFAPRREIPNTLGMIFSHADHELEEQAEQ -YAILTHTNIRWSPSVVAQNAWTAVNDGASRNGDYWIMMNYRFSTRISLKTQFAQVIASRYHQQAANRVD - ->YP_656505.1 coat protein [Raphanus sativus cryptic virus 1] -MAHRTPTNAPALPQVDGINPNLPPNDPGTVAAAPNARNLHLEREQEARQDRAAAAFVPNTRFAVRRPTIR -PSPAHSAPDLETALFDSAVNHPPTPVSYPSTSSYIPNFTSAFYYLNKMDSLMVQTLNWTNNCSGWVPPYS -QIYISMLLYLQVMRAMKKAGVLRPNSELSHLFNEMSTIFPFESLMVPGPLVNLFENITAFRPLQTDSFGN -VTPFLPAEPGWSNATFFAPNGSLVRHLPHIPALISRLRRICETASENGLNDISFSAHHHGPEFISELFGH -ICDNDLPEQLLLLTPGLATSYSGTLYLWRQARSQLQRSLFPEALTVNDVVPNTWTSFLCLDNDDSWFSPL -AAMMNKYCQYWHGSAPLSSIPADGSAAGSMICNELNGSSIYRLAQWTAPIMDPPADEDAPPVIAEPGYYY -PNPNPVLIFDARTCIEDISSAHMFSAMTFHPNLIPYGANRANFLKGQFWNCHPPSYSAPAHQVYPAVSAL -IAREYHSETNLSSDK - ->YP_272125.1 coat protein [Vicia cryptic virus] -MEAHTPAADVNGPNIPSGAVSQPEIAPHNQAAPNVSGAAQAIIAPTPARKRTPHGPIPAATSSGNTSAPA -LLELAAAYPMYTEQRRSFNFFIPDSQMMFHSLGICDSMMNSTDRFLRSSPAWLPIVSQLYISVLWNVMII -RILSHTGYAPSFTDLLNTLTTDLQIEECMVPGPLVPFFQSLASTNGPFDWIGDITPALPGFDSLWDATNF -TPHASYTRFVPIPAILLDQLYYCATYVGVNQGDLYPTFTWYRNIFTRTGANTPALLRMGPNLCGSLFTTS -NQFDAARTYWRACFGTGFTRVNVTAAAFTNYLQLLGLRSQTGEPQTAWFQNVTMVMQKYAQHFNGSVPLK -SIDLTGIGAVALTGTPVNNTAVRDWLYPPNANIEPFTTGRFNPRREIPAQLRIRFSHSDHELELQAEQYA -IAAHTNIRWAANIATQHERTAINPAHLHQGDYWNMTPFRHTGHLGLKTQYAQLIASRYHQLAANRVD - ->YP_086755.1 capsid protein [White clover cryptic virus 1] -MNQDTPLANLNGPEVPSGNVPPANPPGRTNVAPPAQGAVQQPPAPAARRARNPHGPVPPAGPSRSAGAPA -MLELSAAYPMYTEQRRAPNYYVPDAQLLFHTLGVCDQLMTTTDRFLRSMPSWLPIVSQLYVSVLWNVMIL -KVYVNTGYGAAYAHDLDVLLNHLQINECMIPGPLVPFFQSLAAVNGPFDWIGDIIPAMPSFTELWTDEFA -PHAAYARQIPIPAILLDQLYRFATLAFDAQLQTNYATFEWYSNIFNQDVNTHNARLRLGPQLCGSLFTTQ -AQCDSARAFWNPAFANGFTRIDAANGPLMAFPQLLGFISQDGALQSNWFMHISLIMHKYAQYFNGSVPLK -SISPVGIGASVIYGTPLEDTNVRDWLYPAAAAIAPFRSTRFLPRRELPATLAVRFAHADHEIEEQAEQYS -ILCHTNMKWYVNNATQNNHTAIEGNYIHQGEYWNFTPFRYSPPVSLKTQFAQVIASRYHQQAANRAE - diff --git a/seq/clusters_seq/cluster_494 b/seq/clusters_seq/cluster_494 deleted file mode 100644 index a2a7ce0..0000000 --- a/seq/clusters_seq/cluster_494 +++ /dev/null @@ -1,38 +0,0 @@ ->YP_009553545.1 ORFY protein [Cacao swollen shoot Ghana K virus] -MESIPTGTQSRRAAEIPASQFNGSSYPYSLAYDGLLQQRHEVITHGSLLLSVDRQISSQLYKLEEKAAKR -ALEALGDLQGILHHKRAYLTTAATRDNWANDKLPVVKQGSENLDLYAAAIATIIERVVQP - ->YP_009553540.1 ORFY protein [Cacao swollen shoot Ghana J virus] -MESIPDGNQTRRACEIPATQLNGASYPFSLAYDGLLQQRQDVITHGTLSLSKDTAIQSQLYKIEEQAARE -ALKALHDFQGILHHKRAYLHSSATRDNWAGDRLPGVRQASENLDLYSAAIASIIEQVVQP - ->YP_009553042.1 ORFY protein [Cacao swollen shoot CE virus] -MEPVPRGSQARTASTIPSTQLGGSTYPYSLAYDGLLQQRQNTITHGTLSLSLERNITEQLFRLEEKAAQE -ALRALHDLQGVLHHKSAYLAASATHDNWAQDRLPTTRQGSENLDYYASAIATIIERVVQTRKVTSKKTFT -SKEITL - ->YP_009552694.1 ORFY protein [Cacao swollen shoot Ghana N virus] -MEPAPEARRGRRSAGEIPATQLCGADYPYSLAYDGLLQIQHNAFAATGIRYDTYQTATAQLHRIEEEAAK -RAVHALRDLQGILRFKRSHLESMATRDNYASDRLPAALEDSQKGRSCPQRPSRDTSLQKKSP - ->YP_009551942.1 ORFY protein [Cacao swollen shoot Ghana L virus] -MESIPTGNQSRRAAEISPTQLSGVSYPYSLAYEGLLQQQKDTITHGTLSLSTTNAISAQLYRIEEAAAQK -ALAALHDLQGVLHHKRAYLTATATRDNWAQDRLPAVRQGSENLDLYSAAISTIIERVVQP - ->YP_009666827.1 ORFY protein [Cacao swollen shoot Ghana M virus] -MEPIPESRGRRTAGEIPTTQLCGISYPYSLAYDGLLQQKKNAIAAGTVTLTTERAVSVQLYKIEEEAAKR -ALLALRDLQGILHFKRDHLASVATRDNYASDRLPGAQQDSLALDRQANIINQIIERAVQP - ->YP_009506252.1 hypothetical protein [Cacao swollen shoot CD virus] -MEPVPDRTTGRRAAEIPATQLLGASYPYSLAYDGLLQQRRDTITHGTLTMATEHAISRQLYQIKEEAAKK -ALNALRDLQGILHHERNHLSSSATRDNWASDRLPLTRQLSNELDQHVTAINGIIESMVQP - ->YP_009002586.1 hypothetical protein [Hibiscus bacilliform virus GD1] -MAGRINKNAASDTANRRALQIRGIGEEAPSTERDTHRLDNFYHELMDREITLLHTEGSIAVRYKDHIHQQ -MAIIERQACAQTEQALKQLERIQRQKEDYIWQSATRDNWASDRLPSTIARNNALSSRIEGIKGLINDIKR -TPL - ->NP_041736.1 hypothetical protein CSSVgp5 [Cacao swollen shoot virus] -MEPVPNSPTGGRRAGEVPTSQLRGASYPYSLAYDGLLQQKKDAITHGTITLATEQAISAQLYRIEEQAAK -KALLALRDLQGVLHFKRDYLAATATRDNWASDRLPAAQQDSAALDQHAGVINAIIERAVQP - diff --git a/seq/clusters_seq/cluster_495 b/seq/clusters_seq/cluster_495 deleted file mode 100644 index 4291d0c..0000000 --- a/seq/clusters_seq/cluster_495 +++ /dev/null @@ -1,105 +0,0 @@ ->YP_009177242.1 virion transmembrane glycoprotein [Adelaide River virus] -MATFKVLVLMILWITSIFNVRCEKFVTIPVNCSGEVDIDKMDVMCPNRYNLLSTNHLMEGEEVETFCRPS -LRENDLLDGYLCRKQKWEVTCTETWYFVTDVKYQIIEVIPTENECMEERERKLKGEYIPPYYPPTNCVWN -AIDTQERTFITLIEHPVIEDPVTMTLMDSKFTKPCNPKHNEVTICDTYNPLIKWISKETSGLNLHCQIKS -WECIPVKLHHSHRNMMEALYLESPDFGIVDASKICNLTFCGYNGILLDNGEWWSIYRSGFTHGFLDNHIL -KNRRIEECKEKKPGYKLAKLDTTYIDLEFEIELEHEKCLGTLEKLQNGEYVTPLDLSYLSPSNPGKHYAY -RLEYINTTEHKCVQLGFTYEGGDCRKMLDERDDHGAYYNWTTIKLQRVIRAVCYYHTFSMNLDESKHKYY -DQDNRSIQIDEKFISEVLKSTPLIDRHEKYEGNLSWNGIIIESKNGHEKNVIVPSASQYNHVMINKILKR -LDTVMYDSYKFDSESGSISYNKIVPIVREDNLQNAHRVDVIQYIKDKGSYIINGFTGWFSSLGKLMRWTI -WGVGLFFSIFTLYKIIMILRKHSNDNVRKEFKETAGKVMIGQPIDTKSMSRTSIKANNKGKFDKVKDLFT -PRSKTISHLTTDTLKEHTDGTYEELHFFNV - ->YP_009177208.1 glycoprotein [Yata virus] -MMYLFLLILSYTTLSDCLMKSRLVNLPTNCRDETPIDKEHVECPFRRNELRVDHLQRRELTKLCRPKGQE -DDHIEGYVCKVQTWRTTCLETWYFTTYVKYDVVEETPTPSDCFKELERIRHGVPAVPYFAPSVCYWNAEN -TIRVTLTTLTPHNVIEDPYNLKLLDPSFPGGICNGTRSGFCYMKNERSLWIPSSSSHSKHCQWKGWECVT -AHILNSWDESRVNQDVLVDSTVIEAPEIGRIGIYDACQMTFCNVTGIKLATGEWWVPHDYDDKFRKSFDR -LRHCSSDEKIGVRNHVDKTLFEELDIKAELEHQRCIDALMKLRNGESLNALEMSYFAPTTPGLGYAYRFV -QQKKVYSYCIGYADSYDYRICKKYYTQKKGRAYGGYYNFTKTILGTIVRGLCDYKTVQIPMQIAGIRESR -MSRSSLWRLPLTNETMEIHLKNYSWSLLENEQTNSIYNLSWNGFIQKGGDYIINAFSLYDGLLRDIQVAK -LEVMSVEHPHVIEDHELVDPIIKHISDNSKLDRTDVVDEIEKKGKGILLTIKGWFSGLSQIVRWGLWIIG -GLITVYSMYKINKLIKEKGKKRSEDNFHSGLEALTNQNMSGPYERPFFS - ->YP_009177196.1 glycoprotein [Koolpinyah virus] -MKSLTRTTLILILFGQSISYRIANLPFDCENEHEIPVEAIECPTRKKELKVDHLKEGREHRICKPKLSTD -DHVKGKLCRIQKWKTKCTETWYFTTYIEYEVVDVMPNKIECAKEWERAKAGFPIIPFFPPAVCYWNAENV -ISETFVTLVDHPVLQDPYNSEVIDPIFYGTRCSPINNFDSHWFCKSVNNLIMWMSDKDQFKSPHCDIHTW -DCIVVKTYVSWDEDHDTHKYLRNTTVWESPDIGRVGLYDACKKEFCGVEGIRLNNGEWWFLEREENYYGF -DYKDLRKCKEGETIGVRTHVDRTLFEEIDIKLELEHSKCIDVLIKLRSGIAISPFELGYLAPSSYGKGYA -YRFEQETKIIYQCYPRIDRVPQIKIVTDNAQNCSDGKMRYMRTFNQTRVGKYKRAVCMYKNVFIPEAQQD -KEAGYDIGKWQFAGSNDSIEGNISKNGWSNFRSQSGSEYQIGWNGMIKLTSGRYLINTYALLDGLLHEAQ -LSALEVKPFDHPVYHHMDDFIKWLNGSSINEERDLLDDSRLERTDIIKSAGEKIKGVYHNIVGWFSGVTS -VIRWVLWGIGAIVTLYVIIKIRRVMKKKNNENEGKNEVKQFFEKLSKIKTHKSDNVLPTNKAGIGKDKKD -DEYEMINFYS - ->YP_009094428.1 virion transmembrane glycoprotein [Malakal virus] -MSSEIKMSVGLMFFSLLSCVVGQRVYNFPFNCTEPERIKDYQIKCPIRQNELSLEAHHVEVDEKIEKICR -PPIKDDDHIEGYICREQHWTTKCTETWYFSTEIEYTIKETIPNQADCQKELEKLKRGISIPPYYPPAGCF -WNMAQSEKITFVVLVPHKVLQNPYDMKLYDPGFLEKCDVEKAKTKGCKMKDITGLWVTNNDGKNTSEHCN -KDHWECIGIKSFRSELNLHDRLWESPELGIMKLNKACKKNFCGYKGVILEDGEWWSYTNLADSEIEYVHL -NNCDESRLPGFRIHQDRTEFEEFDIKAEMENERCMNTLSKILNRENLNFVDMSYLSPSRPGRDYAYLFEQ -VSWDETFCLTWPESKKSKNCKIDWKANRNAGLVTKKHGAIGTYYRSMCMYYQVEDTNKDGILQKEELRDK -GIPGKNRYRTLKRSKNDYGEDSEFNITYNGMLVVNESFHMAVKSIYDGTEDYNSLLKFEVSEFDKIDLNE -AYKEEEKKWNDIDLTPVSSVNRSRSDIIKEVEKGGRKIISAVTGWFTGLAKTVRWTIWGIGSIVTIYAIW -KLKKMITKKNKEDKNLVDHNELNEAFEMSKDVERGRVETWIKKNKSKDEGIYEQVTDIEDSVSKYERGVQ -ASKGRDKMNVYSPHGKTEKRGFFNH - ->YP_009094398.1 virion transmembrane glycoprotein [Kimberley virus] -MSSEIKMLIELMLFSILSCVISQRVYNFPFNCTEPERIKDYQIKCPIRQNEVSLEAHHVEVDEKIEKICR -PQIKDDDHIEGYICREQHWTTKCTETWYFSTEIEYTIKETVPNQADCQKELEKLKRGISIPPYYPPAGCF -WNMAQSEKITFVVLVPHKVLQNPYDMKLYDPGFIEKCDVKKAKTKGCKMKDITGLWVTNNDGKNTSEHCN -KDHWECIGIKSFRSELNLHDRLWESPELGIMKLNKACKKNFCGYKGVILEDGEWWGYTNVADSEIEYVHL -NNCDDSRLPGFRIHQDRTEFEEFDIKAEMENERCMNTLSKILNKENLNFVDMSYLSPSRPGRDYAYLFEQ -VSWDETFCLTWPDSRKSKNCKVDWKVHKNAGLVTKKHGAIGTYYRSMCMYYPIEDTNKDGILQKDELKDK -GIPGKNRYRTLKRSKNDYGEDSEFNITYNGMVVVNESFHMAVKSIYDGTEDYNSLLKFEVSEFDKIDLNE -AYKEEENKWNDIDLTPVSSVNRSRSDIIKEVEKGGRKIISAVTGWFTGLAKTVRWTIWGIGSIVTIYAIW -KLKKMITKKNKEDKNLVNHNELNEAFEMSKDVERGRVETWIRKNKGKEEGIYEQVSDIEDNVSKYERGVH -ASKGGDKMNVYSPHGKNGKKGFFNH - ->YP_009094132.1 virion transmembrane glycoprotein G [Berrimah virus] -MFRVLAWIILIKLTSGSKIYNIPVNCGRLESVKLHEIKCPQRVNELSLQAHHQLAKEEHYNKICRPQLKD -DDHLEGFICRKQKWITKCTETWYFSTSIEYQILEVIPEFSGCNDAVKKLDQGALIPPYYPPAGCFWNAEM -EQEIEFYILIQHKPFLNPYDNMIYDSRFLKPCTIEDSKKLGCQLKDITGTWVPDIRVKEVSEHCNHQHWE -CITVKSFKSELNEKERLWEAPDIGLVHINKGCLSKFCGRDGIIFADGEWWSIENKTNEDVQNFEIRECVG -KKPGFRMHTDRTEFEELDIKAELEHERCLNTISKILNRENINTLDMSYLAPTRPGRDYAYLFKQTKWQEK -LCLSLSSSLGVIKNCSINWKSSTKGGMVKKDHVGIGSYERTMCEYRPFIDENEDGYIEMGELKGHKMSSH -HTMLELPPSGGSQNQKINVTLNGMIFVGENKLYLQTKSAYDGIEEYQKLIKFEVQEYDNIEENLIKYEED -EKVESINLTPHENKNVSRTDIVREIQRGGRRVLSAVAGWFTSTAKAVRWTIWAVGAIVTTYAIYKLYKMV -KNNSKSKNTEDIEIESLKPQPRKEVRSPKIEKKYQDAEIGLYEEIKSVKSDDKYALESRFFDH - ->YP_006200960.1 virion transmembrane glycoprotein [Obodhiang virus] -MALFPVTIAITYMSLINSAICEKYVTIPINCNGEVEINKMDVMCPNRYNVLSTNHLFKGEEVETFCRPSL -RENDLLDGYLCRKQKWEVTCTETWYFVTDVQYQIIEEVPTVTECLEEKERKLQGEYIPPYYPPTNCIWNA -VDKQYRTFIILIEHPVIEDPISMKLMDSKFNKPCDPVHGKVTTCDTYNPLVKWVSKETNGYSLHCQIKSW -ECIPVKLHHSYEDVLDKLYLESPDFGVVDASKICNMSFCGHEGILLENGEWWSIYRSGFTNGYLQNHKSI -NNRIESCSDRKPGYKLTKLDTTYMDLEFEIELEHEKCLGTLEKLQNGEYVTPLDLSYLSPSNPGTNYAYR -FEYKNKTVDKCVQLEYTYEAGDCKIMFDGREGHGAYYNWTTIKLDKIKRAVCEYHTFSFDLKNKKHTYSD -KDDPRIQINKTFVETVLKSTPLIGRNEEHEGNMSWNGIIIEQAKDSEEINVIVPSTSQYNHIVIKKLLRK -LDMVDYYGYKFDSLSGSVSYNEIKPILRSENVQNAERVDVIKYIKEKGSYIINGFTGWFSSLGKMVRWTI -WGIGLIFSLFTLYKIITLLRKHSNLNKKEKEGQAKSTIMTNNNSSETNDFKESIIISDHPKGVARKLFDY -FSKKSGKRQNIYRQATSDSTGGTYEEIHFFNV - ->YP_006202621.1 G gene product [Kotonkan virus] -MKSLYYSLFLLFNAKNIITYRIANLPFNCENEHSIPVEAIDCPVRRNELKVENLKQGGEHRVCKPKLSTD -DHVQGKLCRIQQWKTKCTETWYFTTYIEYEVVDVMPNKIECAKEWERTKAGFPIIPFFPPAVCYWNAENV -ISETFVTLVDHPVLQDPYNSEVIDPIFYGTRCSPINSFDSHWFCKSVNNLIMWMSDKDQLRSPHCDIKTW -DCIVVKAYVAWDEDHNTHNYLRNTKVWESPDIGRVGLYDACKKRFCGVDGIRLNNGEWWFLEREENYYGF -DYRGMRNCRAEETIGVRTHVDRTLFEEIDIKLEIEHSKCIDVLIKLRSGITISPFELGYLAPSSYGKGYA -YRFEQETKNIYQCFPKIEKVPQIKYITDDLKNCKDNKTRYARTITQTKIGNYKRALCNYKNVFIPETKQD -QQAGYDIKMWTFAGTNDSIKEHIENNSWSPFKSQSGNNYTIGWNGMIKLNTGRYLINTYALLDGLIHEAQ -LSALEVKSFQHPVYQNFDDFAKWLNGSSIYEERELLDDSHLERTDVIKSAGEKIKGIYHNIVGWFSGVTS -IVRWILWGVGAIVTVYVILKIRRVIKNKHDEKDNKSEIKQFFERLGKIKTHKGDNNSVPNIKGKRDKKED -EYEMINFYS - ->NP_065402.1 virion transmembrane glycoprotein G [Bovine ephemeral fever virus] -MFKVLIITLLVNKIHLEKIYNVPVNCGELHPVKAHEIKCPQRLNELSLQAHHNLAKDEHYNKICRPQLKD -DAHLEGFICRKQRWITKCSETWYFSTSIEYQILEVIPEYSGCTDAVKKLDQGALIPPYYPPAGCFWNTEM -NQEIEFYVLIQHKPFLNPYDNLIYDSRFLTPCTINDSKTKGCPLKDITGTWIPDVRVEEISEHCNNKHWE -CITVKSFRSELNDKERLWEAPDIGLVHVNKGCLSTFCGKNGIIFEDGEWWSIENQTESDFQNFKIEKCKG -KKPGFRMHTDRTEFEELDIKAELEHERCLNTISKILNKENINTLDMSYLAPTRPGRDYAYLFEQTSWQEK -LCLSLPDSGRVSKDCNIDWRTSTRGGMVKKNHYGIGSYKRAWCEYRPFVDKNEDGYIDIQELNGHNMSGN -HAILETAPAGGSSGNRLNVTLNGMIFVEPTKLYLHTKSLYEGIEDYQKLIKFEVMEYDNVEENLIRYEED -EKFKPVNLNPHEKSQINRTDIVREIQKGGKKVLSAVVGWFTSTAKAVRWTIWAVGAIVTTYAIYKLYKMV -KSNSSHSKHREADLEGLQSTTKENMRVEKNDKNYQDLELGLYEEIRSIKGGSKQTGDDRFFDH - diff --git a/seq/clusters_seq/cluster_496 b/seq/clusters_seq/cluster_496 deleted file mode 100644 index 10acd62..0000000 --- a/seq/clusters_seq/cluster_496 +++ /dev/null @@ -1,255 +0,0 @@ ->YP_009552771.1 polyprotein [Sclerotinia sclerotiorum deltaflexivirus 2] -MRGIGSMDRIPGIRPNSRDPLTALRIPSHPSGVGRIASLSPLPSDLSLAVPSPIFVCLVFLLLVWVFAFD -QGRIDDPAMVWYPMGRLAFRVTVLALPAVHIFALALTLLFPVFCLVLFLPFQILGLALFGHRRRVWDRRL -PSLSRPQSSGYCWLDVIAVSPSRLSGACLSPSGDSPCSSLLRRGTPVRGVSTIVKHMAPPPMTFFSLVLF -WYRKSLAAVFPSEWTAVGIIDGWLSNRELREFLLGRGYRFIPVVRIGPELYHVSLDDPTARFYPVNRLPK -GWYGGGADAFADTTLNTQAHAIMLADHNKLYSMQKASSPYAVPRRSLRFLEEAGIPAPVPHAPPHPHPVH -VALENRNLALARENLRNQDWAPMFIKEAKIKKMCYDDVKLPPASERYNLHLDGRDFARYQSVNMNIRPAS -GIHASTKLRNTPGSFADLEYQPPKMSSPVWFLHDVIQMLDAPTVGSWFDANPHLNHLIATCVIPPETLWD -LPALTPSLYDFRYCKNGKIIYFPEGDKGGRYEQPLAARSWLTANKLVTPGNECLHVSLLQSKFAHHILQI -SRTQTLADSTRSFDLPSLYEVGRHIMPFSGFESRLAPKTLTDDLIQFAKRYPKFTLQELYTKYAAHKTYM -AEVSYHSSTDRSLPWTVETTELVSRGPAQQLGVHLSVCDPASVSFFFLPPSPSFSARFANFNARAGVWFG -VKMVFVFGYHYFSDSLHFLPPVLNFLTYLLGMNWRDTPTGLIFVLLGAWFKIDVPDLQLPAIRRPLWQKV -RMVYGFVFFLPSCGMTLPAGLSLPYQISLFTSMTLTLFPRFNPAVFHEYGLRHGWVYRYWASTFVIGLFS -VAWHNFHRHGDDLPHHGCRHEAPTEFSETIFSRTSSPDNAPAVPEHPVPGPNSSAPTPSPSALRYLSSSP -VGGFVRKAFSAPGSLFFPSPPRPRPVDLESGVKLEFEPCPSLVSPVPVRPFSVDRFEEIPLPPHPAREPA -FIVNPLDPYAAFSMEPHQSTTPEAMRRYVSRLPAPPETLDPSNSCVWNELAKIFVLSPHTMLAFYIARLR -YEEREAWYSGFVEKDSLVDVFKHFQVNVRIYPAYAEEVDAPRAPGAPAPVYFHQAEAEPLLSTEGFPGRY -HQLYLSLTGRVMHLTSQSVARSDADVVVLPANGLVGFVSRSISSAELGSILTIPAGVYKRIANQFATGTR -SAAMIHGLPSRGLPAADNLKHTVVSRQTVEYSMTPSDYQHAVSLAQDIKNNPSSLACRGMSEVAIAQGSY -EVAKSRRHDFIAGSYNRTVRLHLYHGVGGCGKSTLAIKDLAADVGTEYDVGSVRCHAWMNGLRGPLQRDV -QAIMPGLNTSINFATGAMPLVQPMPGSMFFDDATQLWPGFLQLFMLLNPEVTDIYTTFDACQARTAFPAT -DVVDRSMPSTSDWLANLSDRYATVSYRLSPRISSLFGLPCAGAATAYDGVIALVSKVLPDIPFLVVSPRF -AETQNSGGQKTLTFRDSQGITIDGDVSIDLGGLSQTATEHAWWTALTRARGNMLLHMGPLSSLSTVDESS -YGRSTIISAILACASENSRGILTLRDDPRMLVSRAVRGHMSRCLSAASLASIGIAAPAVVVGRVTEQDRL -DWHERPRDILGDFWTPRSEAAMRSTRTAGGAAFSWQAPPANVHKLEHVREVLKFVAPITADHRLGPMPSE -RSAPPPPARLIAAPEPALMVDTLLDPKDREFRGEYTWATQQHVEDGPNAILRHSRGDVMTEKMAIAKRIT -VGASKTKLTRMDGQRLKQIKVGFRKFFDVSAWERQSFDKQLFERAGRDAYSPWVSKRSARQIDSAVNKND -IDQPLRYAKLFMKTQYVKKEEARFLPAKAGQMISEFNHIRIFRDAPFALYLENLALKYRLPTTYLHCRNS -PRQMNAWYREFWRPGSCTANDYTAWDSGCDEVAIEFACWLLGLSGIPEDYIRMYRHERLFTRSHLGPHVP -KVESGDRYTWIVNTLLNAALVGASLDCPTGTPAGFSGDDCILAGNFTRQIGFQSKGWKMTAKPEQASRLL -FCGYEFGGDNIRLGPQVVLHRAQYGVALGRNDPDYWRSIDLAIRESAEHSAPEDPHLATAYSVLLRVCTR -YGFPSLPTPLSSAV - ->YP_009508374.1 RNA-dependent RNA polymerase [Soybean leaf-associated mycoflexivirus 1] -MCRLNPIGGMSPLLDPCALRRLLSLLVVVAHVVCTPVLIVAFLPFVFFARLLVLVFPPAQPSLFPIRPSD -PGRCWWPVLALRGSLTIRGIDPFSSPQSFSQETLDNLYFGEILPDWLSASEVRRFALLHSPWPFVRLVCV -APHKFHILPGHSYHGRLFRVSRLPEGWYGAGSLDPNFYADSPLKSVILSMHMGAYGKGLASARQQCPYDV -PTKLRPLLREAGISDPPPHAEPHSHPVHYALRNKYMAMAAKSLQGQWYALFAGADRINYLRSCGASAPSG -VFSPRYEGKDITRYKGQPVPTEGGLPQLEPSSLSPVWYVCDTLHHLSASVVGSWFDKNPTLEYVVATTII -PPETLWGLPSLTRALYSYTIKGDTLTYIPEGHVGSAYVQPVSGNRWLRTDALITPRNSCVHVSLLSQDFA -HQLIVISRAPLLPQKSRLMGLRNVSVVPWFIHPMGTLYQRLTSPGLNRALQTYITRVGSTSIRDIYSKST -ATEVAIFERYPTSFVRAGALYAKWIRDIDYHSASSGFTYVRWVFTMATRIPVIPFRWYFQSYTSIFSQMN -QDEADNWEIECSTWVAQLTDELLPGVKSRVCPNKMTFWQLQPDADRLSRFASLSARCAAWLFMKVLGVAS -WPLIRFFFQHISNVISETLYILDLHWNTSPMGILFTLFVVWMGATGPSISLSYPSFKWVKVLYYNFFVHL -YQLPSVRHTYRVGVSWIYCIVLNFSIITLAFPHAHPLIYYLDHVAFHDHIGDGDNLEHMVPLKPHSPFIV -PHRPNGTNLEPDFNISTVYVAPGTIEHHQLSHDVGYSFLRSCCNLLNILILLVAYLWVSRFFSGMLTDYQ -PIHDVESHSDLDCGMVCHLHSPTPAASTHSSSSSSDADSYQGLALPTLSSVDSYEPAEPLPPVAPLPDDP -PAPVPLPFLPVQVPGDPLRAYDLPPRVIGDFPNWQNLMNRLQDPPNNLDANNMCVWDVLSSHLGVRAPVV -WACYVSSLPYAHRANYAAGFVPPEDLSQVMTFFACTYVVRGALSNGVCPRGPGGVAPPCHYDPAVPPHCE -GVGLAGFPSFTVFLQNNQDGSFHFTNTGVVVQGIPAFVPPTSNEWIGWVSRLVSDIEVGEVVNLPAKGFA -SVYRRLVGNMANPLSMFPMGARLQNFVLPAVPVQQEVIVYVPNARDAGYARALASDFKAKPAALDLTDFN -PVDAARTLDQMAKQYDNFVRNGTGLAYPNVTFHIFHGVGGGGKTHRMIQDLAIANAAKPFTPADIMFHTA -DHNLRSKFMAEVIAALPNVGLQNNHFTTSCVPLVRPAAGTVVFDDVGLTFNSFLPLFMACNPGVTDIWLT -FDCAQGQGVIPTANAISRSHPATKDWLSPMSSRYGTEMIRLSQDTADLYGFPRVVIPGRIAPRGKVTVVS -QSPHNVPLLAVSPRFTQTQSMGGQVANTFQECQGHTIHGDVCVDLGGLTATCTDTSAWTALTRPTGHTFL -KLGPLMNTQAVVETSWAKSQILTALLTVATIRQTPYVTAQMDVDGLVRTAVYSHLARCLSPAACIRLGLP -APSSVIGATHVSAEHRAGWLNNSKSSDYYTARTYRAELGVRGASGAAFSRHTATVANSASPVADIVRHFT -DLDPEAVLHTDSTGYQLPEAQPITAQPDPVDDINEPMDDVQRESFLPENEHILSFQHIADGAPDALHHTR -ADKVTDIMGQRKRIRIGKHDLPWSSKDARRLKSLKKGFSKFFDMDSWLAEPENFPLMEQCEAEKLASWAS -KRTKKTLVASIAKQDLDMHYTFTRIFPKGQYIKKKAKWRCNAFASQTVSDFHLGRIFRDAPRALFLEKMA -LKHAYDSTYLHCRASPDDLSAWYRNHWSPGVMTGNDYTAWDSGIDHVFLEFDLWLMEVCHFPVEYLERFR -YERLNTHSFLGNHMPRQESGDRWTWILNTLRNAALTGASLDCPRKTPVCISGDDSVTLGSWRKTTGFNPG -EWLMVPKREEGVTMEFCGLIFGGSDVSFDPAVVHWRSRFGLQQGRADADYWLSIRQAIVECSSKLGTDSP -KLAGALLNLRRAILWFNLSQSLDIPDPPPEPIHPVDKFVSFCYRVLRFISPIPY - ->YP_009508363.1 polyprotein [Sclerotinia sclerotiorum deltaflexivirus 1] -MKSKYNRLDPTRGMSLSLSLVAVLAATVHIYASATAPLLWYILVPFLLSATAAIYVPALLFSVIVTLAVL -HITTYSFLLMCGAKIKSSPPNTPGFCWHTVVPENYRHRFSYNPEGWICQKKLKEILLFKIRYHKDPSSRF -PFVRLISLGQSQYHILPYTSYKGRLIRVKDLPEGWYGAGSTDPNFYSDSPLRSVILSMHMGAYGKALDIA -RKECPYDVPSNLKPLLTEAGISQPPPHAPTHSHPVHYALRNKYMSLAAKSLQGEWYALFAGPDRINFLRS -CGASAPVGAPFSPIYEGKDITRHMGQPIPAVKEPSSPAPVWYVCDALHHLSASTVGSWFDKNPKLEYVVA -TTIIPPETLWGLPSLCQILYTYSVKNNVLTYVPEGHTGSAYVQPVDGNRWLKTDALITPQNRCVHVSLLA -QDYAHQLIVISRAPLLPQKSRLMCIRNVSIVPWFIHPLGSLYQRLTSPGLNRALQTYITRVGSTSIRDIY -SKCSAHEVAVFNRYPQSFVRAGALYAKWLRDIDYHTASSGFTYVRWVLTMITRLPIIPFRWYFQSFTSIF -SQMNQDEADLWEIQCSTWVSQTSDSLLPGLTAQVCPNKLEFFQLQPNADRLSRFAAMSAKLGAWLVLKVL -GVISWPLISWCFTHFVSFVDVLFYMLDLHWNTSPGGIVFTILLFWFGVTGPKLSFPNFQFGFIRDFYYGI -FVCLYQLPSQRHVYRVGVNWFYCILLNFSLVTLAFPHMHPIIFLLNRTLEHDHYVDGPVNLEPGSFFNIT -QVPVDIVHHPISSDIGYNWLRWSCYIVNILLLAAAYIYASGLFLEMTTDYEPIHDLEAHNDLSCGSICFH -HTPSPVVAPAPAVVSTSTASGSGSSAPVNIVVPPPSPVPSLALPAPAVPILGNQPLPGTPPLAPQVLPAL -PAVVANDPLRAYNLPLRILGSFDNWRNLLSRQPVPPNQLDPNNSCVWDVLSSHLGIQGSVLWACYVSTLP -GWQRARYAQGFVPAEDLSQVLNFFACTYTIYGGIANGICPRGPGAQVQPCVFNPAHPPHSVGAGLEGFPT -FIAYIQNNQDGSFHFSNRGVARTGAGAFVPPAINDWIGWASRLVPDVEIGEVVNLPAKGFGTVYRRLLGT -MANPLSMFPMGTRLQGYILPAVPVRQQIVTYVPNVRDSGYARALASDFKAKPLALDLTDFSPVDAARTLD -QMAKQYDNFVRTGSGLQYPQVRFHLFHGVGGGGKSHTMIQDLVAQHAVTPFSPHDIMFHAWDHNLRSKFM -SDVLAAFPQIGLQNNNFTSGCIPLIRPASGTVVFDDAGLMYNSYLPLFMAANPGVTDIWLTFDAAQGQGV -IPTANAISRGHPATKDWLSPMSDHYGTEVIRWSQETADLYGFPRRVIPGRIAPRGQVVTVSQSPHNVPLL -AVSPRFTQTQSMGGQVANTFQECQGHTINGDVCVDLGGLTATCTDTSAWTALTRPTGHTFLKMGPMMNTS -AVVETSWAKSQILTALLTVATIRSTPYLTAAADVDGLVRTAVYSHLARNLSPGACAALGLPAPSPVIGSQ -GVKAEYRAGWLNNSKASDYYTARTHRAAMGVGGGAGGSAFSRHTAQITNDHSPVAHIVRHFTDLDPEAVL -HVDSTGYHLPEPSPITAQPDPVDDINEPLDDVLREAMIPENQFETTFQHIVDGAPDALHHTRADKITDIL -GQRKRIRVGMHSAPWSTTDTKRLRALQKGFRKFFDVASWQAEGANGPLMEQCEREKLASWASKRTKKTLQ -QSIDKQDIDMQFTFTRLFPKGQYIKKKAKWRCNAFASQTVSDFHLGRIFRDAPRALYLEKQALKYAFDTT -YLHCKASPDDMSRWYRQFWQPGIMTGNDYTAWDSGIDHVFLEFDLWLFDICGFPVEYMERFKFERLNTHS -FLGNHMPRQESGDRWTWILNTLRNVALTGASLDCPPRTPLCVSGDDSVTLGAWRKTVGFNPQEWLMVPKR -EEGSTMEFCGLVFGGTDVSFGPAVVHWRSRFGLQQGRADADYWLSIKQAIIETSSKLGCDNTKLAGALLN -LRRAILLFNLPSHLDIPDAPPEPVHVVETFVCRVNYVLRWFFWLV - ->YP_009268715.1 polyprotein [Rhizoctonia solani flexivirus 1] -MESALDSPDNPFCLDHPDSTFCPHRVCLATYEEKPCHTCPLCYFSTATQPQEFALYLADLDAHYMRREGI -PMVPYFQPPGTAGPNSNDISFHLSYRSQGQLSSNIAARYVPITDLPGATRTPLLQLPHCTSWPEAKGGPA -VYVFASPRKFRTWITAFIDPADWGVSADTTRDDPFLLTLPNSALSHAHAMAMRAVLESIPADADTAAAEV -AAEVALPEKPATLLGPLGHPLGACASRCAITNTPYSTKICRACTQPSVNREHREHANGCLNQPGGPVPNL -LKQPRKRPLSDQSPIIPDTPGTVTEATPVHTPALVEPPVKQVASAVKCSCWRGRGHCAKHDHVPTLVNKV -CIKCTPVSTPETPSSVHTVGFSTSDTTPNWFHKMRAAHGWVRNDYQVVQFANPREAALQFGCFNRDMEEI -LVDVLNLIKDHPDGIPCSGNCGKLSIATHMLHLGALRKNAGDTESDGLCLYACAMCERDANFTGRRILCR -TPLAPLATRVGPGATPDFIHVPSDDGCLRFEFAGWRIIKDNGLNFWPLILLSAGSGLQINFREAAFQMSV -VDLATFLRRAAPRTRPPPVPYSILNQIPGGFSEIVRVRTWLKLPPVNQAVAAAKLVHVNILDPRRAAQET -SSGRRVTYTAKPTPTVAEANASWAETAASTPAVANNVARRDAWVGRHTHTHGVAAPVPVQPGAPRLFAPA -RSPSPAASEATVVPWGNDPLAGSTWHAPATPTDSAPSSGEARCCRLHAKPGDKHERQCPSKPCAGCVNPH -DPRVSHRKLCPKSVATPQSPVTRSPSLSNPAPVAPPTSDTRAKRGPRKTTAAARSPLPEHLGSHECNCSH -CPIKKKTRNRRRSRKPNFHGYEPGFCGLAAFPAADRDDVKAKLGAWPKNREYVDALARYPAHVPCAKVVR -RKSWLHVVPTSKPNPHFKQQMAMLPPAKRVGGWKAPPLMPTTLAADDRSARNAKLNVAIACLSAWSIITS -GCLGIALLERDFNATRVNAWPRRNPGSRTIKHYSVAQRLGLRPINPGRPARPCPRPFTVGGDSDCWKKLH -PAPPDWDLGKPRTFDETVRMYYRETAAVMSTYRQMGLKTLAATDEDSDAYEFRLFDLDNMALARMQAREQ -YVRIKWTGPNQLHIEEAWCSTQRVIIKRNEMTQMLDDMLSGPCPKHKRGWITVYDFLKGKFPKRPGFGGY -VHSSLLGDISPPRNPVVGANWGVRDDSVEFFSSSPHRNSALAVHVRDYIASHRNAHYASPHPHKPINEAL -MNEYGFPPAPPFSPTVAHPSHVALERASLSYLRPILSSCEWFGLFLRQEKIDLVGFRPPAGQYNPNCMAK -DYRRYFGAEQAPPTPRSTAPVWFIHDAIMYLEPRIIGGWFDENPELNHCFATAVAPVEALQRDVSHWPTL -YQLAYRGNDLIYTPENDGSGYYSQPISASRWPVTNEIVTPGGLRLSVAICQSRFAHHVLLITRATLVPDT -NRAFDTPGFVHIPFAAHPFALSAGRRTRARLLNAVTEYAFRVSTTSLRDLYNKIAQAAITEPGTYSMSER -RAAALGAYYTRLRDWHAPPGSLAGWWVDLQSYLGWPFISVSWLLQSWSSSHITPEFGVGEIALYPCSRWS -SSPHQAASIPGLQEDDPTELLATPTFLEMCASLNYALTSWMIVKWLFTLPALAVYYYPYQTVGRVKHVAL -LFDLNFGQSLLALTANYIAWKMGAYSHPVTARARSAAGRIWYHLFGPRRSPRWLSYTLYQLRGHAILDAG -FSFPYQALLAVQAVALGFPALVPRWAKIWRYPETVATLTGEMMAGCDSDKEPEFRVNPLPGAPEEIPPPS -YSVPTPEPTYHFPDMPIPSFTVPPALSSSITVSPVPPGTRSAPEPVLGQPGPITGPIPERTLTWIPPVPS -HTTSLWHGLPPVIQPPQYTDVPRYTSVPPTESHNPVFTDLPSQSVPRSDLYSVDPITHTTHDGLPGPGWF -PTRVSVSHIPAPVTSSVQPSQFPAPITLPDPPAHDLPRQQHPILGPPIISVPDESATANTFDIRPVPHTT -TSAPTSSQAPTSSYLSGGSFVPVPTHRAHLSPGGGLNSSDLDFSKGSPLYRAGCTYPLVYSLDGDEHGLV -AGPYTTSLLLFTVVALLKYYDWLTRDYAPAEGPVLPIHNLLPPNTGRIEPIPPVNADPEVPLFVPADRPP -SPAPTDATHSSVDEELAALAEAVIREQPAIPADQVSLPPSEATESSVDSNSDASSVRSEPPEEPPLRRFD -PIGPAPRGDVAVVRFDPADVDPAVNAIREVADEPPDGAPIHVPDPAVEVVGGRRLMAPQVRRPRDNVYNA -WFVPIWPELWPDKMRLLNNLPLLPVDLAPEPATACFWIALGMNLRVDYYRLFASYVASFPHGIQPGTNLA -RGATTLQDMENICTYFGVGMRLHAPSEDEYPRIVELAENFSRHFGPVQFGVGPPLRRHRYVQLNRDPAPG -HPTVHALAINGNRLGALYHHVIPLAVLPPLAEGQQHDEFINGGQEVQPGDLDEFAEVDPEFALDSQRLQL -RGLLPILAVPHADHAGLYDALAGRGALNPDAVTTGAHLNAEGFQLAPPVRAAAPRLLPATRVAVEVMRYD -VCGPNPRKRAMALSRDLKRHPGELKLAGEHDASNIAKSADSMAEHVQRDPIRLCLINGTAGSGKSHLVQE -FMRPTYERPGFSPQDVSVICPNEQLRSELMESIPIPGGKSYNYPLPGDALATGRQGTVIIDDIGMFWPGY -LELLALCNPGLDQIVVTLDAAQGSAPFPIPGTASRQFESPSRQFGMMCARYATLTYRLAEEVCLLFGLPH -PVATQAHGHIVLVSRRPESVPYLVGSPRFAEVQSRAGVQCLPFTDVQGQTIHGDVAIDLGGHTPSQADAI -LWVALTRARGTIFLVYDAALRPPPGRSAFQSGILTAIFAVAARNRSAVVTAHSDPDGLIRKAVRRHLAMS -LSPQARMQLDLAQPVTAFGAVVGSDPGTNSTRHSVIPITEAELSAIRALMPSHPRPIHYSAGHAFHWQKL -DGIATHRHGFIRDNLRHNFPVTNDQILKPDPKFDAPAESGPVSELINTPVDPIAISEPQLPLPESRERVD -RSGRATMQIDETRSQAPLRHSGKDAASYDISMAKRIHARRRFIPVSGHKAGEKLRRAFLRWHPLPKLQFN -PELFEACVTRCLQSWASQRTKGDIQRAVASSEPDWNGDYTKLFLKAQTVKKIGKLYGPAAPGQIVTTFPL -SRTLRDAAFATYVEHVLADHKPAHMYLHAKRTPADAAEWYEEHWTPGMGVTATDYTAWDTGCDEGFLSFD -SWLMDRVGLPQWYIQEYQRGKVSTYCYAGQIPTMQFSGDRWTWLLNTYRNIAITAVRYRARPGTACAFSG -DDMILCGQLSPDPNFDASEWKLTAKVTSGATDVFCGMRFGAPKLTMDPYTLYTRSLIGLQDGRTDESFWR -SITDAAKMVQSDNTALRAHAALRLTLAPRVLQTHFVPHPGRRNSAPS - ->YP_009268710.1 replication-associated polyprotein [Fusarium graminearum deltaflexivirus 1] -MLPPKPPRAPSGLSPDALVSAVNWLFRVGVGLTGLLLMPLCSALFLTISLLYIGHFLFVQLWCNEPPPFF -GGACWTSLFVRSFGSTPSPGGTLREQHRWLDRDNLVSLYGDVLRHEPHLLTRRTPFIRIVTVAPGLHHTV -PHRCAVGKVYHYTHLPAGFYGADLDPGFFDDSALKTTALSLHMRHYNLAMSAAHRASPYAVPKHMHSFLI -DAGIDLPVPHAPAHAHPVHYAFENRSLSTVIPMLKGKWYALFLKTPKVNYLTSGGATPPVGTFNPRYEGK -DISRYAGTDVPSEKRPTSDAPVWFMHDTLHHLSPTTVGSWFDRNPKLQYLVATCVIPPETYWDLPTLRPK -LYTYTVDKDVLTYIPEGDQAGHYIQPYSARRWLGTSSIITPRNHCVHVALVSSNCAHHVFVLSRPEVIPQ -RTRVLDMAPVTMIPWMVHPLGSLFDRITSPNLLTTLVNYATRVSATNQRDLYAKVASHQAEVYGHFPQSY -VRAAVLYAMWVRLLDYHASLGWWSFLTMNLTRLTRLPLVPFAWTWQSYTSRISQGRLDVPHVWQVTTETW -VSSRFDSVLPGIKSPVCPADLQVFQLPARASRLSRYTRESAAVAVWLLTKFIGFIVWDVIKWVWPRLLPI -LRVVTHFLDINFSHTSYGLALTLVFVWFGLRGPTVHFPDFVPHAVRFGKWSLAALYFLPYARFPFRTGVS -YGYVTAVNYLLLTLAFPRLHLTVIVAEWRTYQRLGSFSAEWVPQAPLPLDEWSGWWLRRTSQFIAVCVVL -LSLYIETHQSLYWGRAAYEPLHGGTHTDVEQGFAPYQNADSDTTGSEPSSPSHSRPASPLLSVAALETPT -DPSDAPSPPASPLLSAAAPSRPATPPSRAATPQQLPPVFGAADPLAIYNVPPADFDNFRFWQDIMQRLAV -PPNVLDVGTMCVWDCLSATLGVDARILWANYCSSLPVQARAPFTTGLVPAEGLVDVLNHFNLPYTVRTAE -GNNVCPRGPGGAPPPARYDPATPPLYQRQGARAWPDLNCYLQRNGDGTFHLALRATPDRGVQPVPHRALD -VIGWPSKLVPHFEVCEVANFPKLVFAQVYRRLSGTMRNPLSPFLPGGRIGPNFFLPAVPVQEEVVDYTLT -ARDAEDACALATDMKTHPTVLQLHDYSGYHTSRALDTMAKELRRGLAGGYGGPTGRVRFHLFHGAYGTGK -TFALAGALQRVHQRTPFTPGTLAFHTWDHDLREPLKNSIMNAFPDVGLQTSNFLTGCMPLAQPRTGTLVL -DDAGKLMAGFLPLLIATNPGLTDIYVTFDATQAIGVFPNSPSISRKVTPTTRWLSAMSDYYATQVVRTAP -AVTELYGMPVAPPVPGRVVNRGQVIVVSQSPADVPLLAVSPRFTQTQNMGGQQADTFTECQGHTIHGDVC -IDLGGLTATATDAAAWTALTRATGNIYLKMGPAMNTPAQVEACWAKSQILSALLTVASVHRTPFLTAQVD -VDGLVRSACLSHLSRCISPAAAQRLGLPAPDPVVGVRPGVSAAFRTSWLNSPRRSDVYTARTHRAAAGGP -VAAPSAAFSRHSATPVDTVASDVPHIVRHFTTLANDSVLTATPTNYSLPAPTPLTAAPDPVDDINEPTDD -ALREVTLPNWNSTFQHITDGAPDALHHTRADKLTDELGKQKRIRVGQDSSKWSRADERRLQQLKKGFRKF -FDVQSWNDTPFNEYLMDFCNRHSLTSWASKRTKRTIAASVAKQTLDAPFNFVKLFPKGQYIKKKPKWRSH -AFPSQTVSDFNLGRIFRDSAYAVYLETCILKWAYPSTYLHCRASPDDVSKWYKEHWQPGPMIGNDYTAWD -SGVDKVFLEFDLWLMSLCHFPTPYIEKLRFERLNTYSHLGTHMPRQESGDRWTWILNTARNAALTGASLD -FPPRTPACFSGDDGVVLGTWRRTTGFSPDDWLMKPKMERGSRLEFCGLIFGNTDITFDDTVVHWRARFGL -QQGRNDADYWRSIRDAIVETSSKLGGDSSKLASASLNLSRAVAWFDLPSDLLLPHSLPTPNDAPLPPSLD -PLYPLKWLLFLR - ->AHL25284.1 RNA-dependent RNA polymerase, partial [Rhizoctonia virus RS002] -SLWSGLSKFVSLRSQPFDEGLYEHCFERRLQSWVSSRTVAQLTASVKRAGPDWDPLFVKLFLKSQRVKKI -GKAHAAATKGQIVTDVSQAKLFRDQVWALYLEEVLLRRTRKNIYLHTRANFSDMQRWYTKYWVTGAGCTA -CDYTGWDSGVDESFTLLYSNVMRAFGIPDSVVDRFCSDRNNLRSFLGPMPAMQASGDRYTWLANTIGNMA -VTGVSFDVGPKTAACFSGDDMIMCGYYDYRSSAASSQFIPKVIQAQLTEFCGFMYGSTRLHVSATVLLHR -GTLAL ->ANR02703.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani flexivirus 2] -SMNSGLRPSVPAKLWVLIRSRTVGHTPKAFDSNLMEQCHEEALDSWLDGRTVDQAISTLKQEDFDEPVEY -TRNFLKSQLLKKDEKYGQPATAGQTISDMNFSRNMMHAAAALYVERLVLAHLPKNVYVHLRRNHEQFQRW -CADALRTTKTRAFSASDYSSWDRSVDGACLHFDRLLLESYGLNPEFIDEFVRLRINTHNFAGTLGLMQFS -GDRWTFLLNTLRNIAYTNTRCSLAPAKTWQAYAGDDVLLFAPAFRVDRRWKQEDWSFVAKDQVGLPVGEF -IGLRVTRHAQVFVSTHKLRTQT ->AQM49933.1 replicase [Agaricus bisporus virus 9] -MNHPIAIMLVFLVPLMLYWLSIKAQRSRYCSGTPPRRHPRRSSPRNSLSDYPGFCYLRAFSYTSPSDTID -PFFDGLNPGLIMTRAEAYQKYGRYPTLPRGALGWVHVVGDRLLHVKSLYPSWFPRLSPAGRYGADVDFFY -DTAHRNVVMGFHVPGYQRLVELARRSCPWHVPASMLRYLHSAGLQSPPPHAPIHQHPVHAALDQHVLRFV -RPLLKEGRWTALFLKKERAEEAGLPPPDKYINPTLVGKDIMRYQSTPLNPFTTPRSLSPVWLCHTVLQHV -TPSEIGSWFDENPTLQALIATAVIPPELLFGLPPTSPDLYTFSDLPGDQFLYTPEGDRHGAYVQPKHAVQ -WLRANRLITPANTCLHVSMITSAHAHHVFAITRKQLIAETHRSFDMGDVLVVPWWVLPFCTKQQRTTITH -LHTRLTRYAMGVETSIKDLYNKARQLQIEDTRYPDWAIRPAVHHAYALWLLEQTISARYHYGFFFLFSFL -LDLPFVPPYWIYRSLIARSFLYPYSSGSPSHVSLHTIVSHPTDERIPWARTPCSPAVDLFHLSPSPTWLE -SFAVLNAAGCSFFFLKVGLVEPLRLAWDHRTWLGIFIRDVWWAVDWTPGRFILFLLAAALSSWFRLLPSS -PGRAARTALSAMFPNIWSVRTVLSWCLRVIFVLPSANLPIQVGGSFPWQLFLLSQFLGSAFPRLLPWYLR -VNRWPGLVRYLVGLPAPQDTREFRWVLVLLVTLTLSLVYGILCRHRARYDAILPRHADVRSYGTNGSPPA -PPPVHTPGPMGFTILPLLPRSLASSAPFLDALIRPPLRCAILIWGALVRCRVFLLFFPVALRAIWFLTAG -AVWIFLAITTFLTSSVIILASMYFAPRYRLAKAVVAYCEAYFAFMLGVYRNEDVLPHNPRRYLILYVITL -PITIPLLFLPLILAQFVRALFRCIWHAFGWLSTPIVGAQPPDNFLGTDDVEATNPQPPPPAPAPLVVLTP -PSEADDDGPVEDPVLPPAPPPAPAVPPPPVPDLDDRDIPQYDRVFDPLSGYRVNPTYYDTTETWGSLMRR -LPIPAQPRLDEGLMCVWRAVGLTLGLDEYLVWANFTAFQTNGERQRFETEPPRWEDLSRIFAHFRLGVEV -YGATLVGNDIQSATDSPALITVPPTPGWPTAVFAYTQGDGRRPSHLYPHPPVFVATIFTAAPRPNSLVSE -ISRRVPYAEVAQIMNIPTRAYQQVYHMLTGFAQNAASLASGQYGWRTPQVNPLPPPGHLATVPLRAERIR -YTFTEQDRHYAQNLASDLKQNFQELGSLVDSNADIARRLDYSAKFGTLPTVDLVLLNGVTGSGKSHAIRA -LLAEERARPGFHPSHIRFHAWFQTLRKQLIKDLRPHLPGSNTSQFLSAWNPLYDDAGHTIILDDAGLLPP -GFIPLLAMAHPALQRIIVSFDAAQGMAPFPAQDTVTSGDPATAQWLSALSTTYATVGRRLALENAQLFGI -PAATPSQDYVPSHGCVYVVSSPPPGVPFCAASPRFIETKKRGGQLANSFAEMQGKDIDGDIAIDLGGLTS -AMTDSIMWPVLTRARGSVFLIISPSLPTQSTLQPTSYGCSQILDALLAVAAYKQTAIITPQVDTDGLIKR -AVHSHLARTLSPRAAASIALPPPLPHVAGIGPPPRANGFPTSAPSYAPALFIRPLFTPAAAFDHRPQGSH -GFNRSFAVGQRGHSHRQELVTDMLRHHWPVTNDQVLRPAPRDPEVPPAPRLSAAPDAKHIHFDEPTPESR -ERCPTGHAATFQVHEGTATETLKHSRRDLVTDHWSTTKRIRIGKETGKLSKADHRRLAQLITGFRKFFAI -RARSVGQHAFDEAVTTSLRSWVSGKSLKELQRQVDASDLDWDPNFLRLFLKSQEVKKPGKLWGPATPGQI -VSTMPLTTILEDAVWARYLETELDRVRRPGTYWHAGYNYNGFNSWYKKHWDRKQKGSANDYTAWDRGCDH -VFAHFDAWLMEHLGLPESYINKYMNRKLNSRSYLGPHRAMQFSGDRYTWLFNTARNAAITGASLNCPVGT -VAAFSGDDSVVLGEWNKPAHFRPSEWLMHPKPEVVQNPLFCGHLFGGPRLAVSADVVLARAKIGIRNGRR -DAGYWDSIDYALQFVPDDPSSISLASAAAAISRDARSYYILPPSRHPQHL ->AQM49922.1 replicase [Agaricus bisporus virus 7] -MRTWKSKCPAPSRGPEIIILAFVLLGFILPLFSVSWVFTLFVEVVLAQVSGPANPSWLAMCEMARLLNSF -REELGLLWFRSTKSACWTRVVPTCCGGAFMQGFTQHRHSSDLIDSLRMHHIQGCRKVQRFYSVSYTGRGL -WHITVNPHPGSKLIRWNKLPRGMYGADMEMFTDSPQRNAVLSPNVDRYLVALRNAVTQCPYNVTGRMRRF -LVRAGISEPAEFSPNHPHPVHVALETQMLSFVRGMLNGYNWFGLFINPNKLSGWQEPAGLYNPLVTAKDF -NRYKDTLATQKFTGSNARVWFMHDALQYFSASEIGGWFDEYPSLDHLICTIVAPTETLHRIGSAYPDLYK -LRYKGRSFQYTPEGDSSGAYWQPIAGSQWPLARRLITPGNKCVHVGVVHSRYAHHVVMISRKEFGGSLRV -SPDLPDLVYVPRWVLPFSSMADRATTVKMLEKLSDYSCGLEKTNWRDLYQKLRQFQMVESEMYPSNYKVA -AASYVLARRCLQLVWHESALSAGSFFISILFSLPFISFSWAWASLTYSLSGPLIDLEAGEDTNAVEMVSA -PSDKMVLNSEVCRVVQVRTHYVPPNATSFERVWIRFASTQVLLVSKLFGVVLPELWPHLHWASMVRTICE -RLEIWWGTPLIAVLCFILSSWWNFDCEDGIESLTKFGQWFSHWSRFLAHRGWALMWGLPSSPWVARVGHT -RRYRALFLWAWMTGLWPSMFRPIPGVRILLSHPAGIIALLVLFSLALLPTPSRMFRSPRPLLPSHFAYTE -IVDVPDSEESETSENGTENSDGSSPSNSTATGRFPGHLTPSSSSEAESVVGVVVEEPLPLRAPPTVPVPR -PVEPIMQEHVEPAPPTFVQPRQRLDRFAQYRMYPMAFNSSVEFAAAVSCLPVPEVFPAPRQMCVWDCLGL -LFNVDPVLLWAVFCAHYPNVAPEGSVPENQLTTVFTLFRCSGTVDRATEQDGHPVRNATVPQLVYGVAVD -GWPTFAWSLIQLPGQNAIYHLVTEPATESLIAAPLRMPVLGALVGFNSRFVGLGEMRFALNYPSRVFQRI -YQSFTGFAANPAWGAAVAATELEDPGYQAPGLPPAVELPSIPLRGEEIRYQFTAQDLADARALADDIKRF -PSETLITDSSAAQLCHGVGAILDHAVPRSLDMVLFHGMYGTGKTTRIIREIQNLLDAGVHAQDICVVSPT -PVLSEETARAVMAQVAVPKHCFVVTYKVLVSGARYVFFDEAGKYHPGFIPLVCHNNIHMLRATFTFDAAQ -GSSIFEKPGCKSRQNVRTSRWLSALSNHYATEVRRSSRQVCQLFGVPGQLATTDGEVRLVTQQPRDVPLL -VASPRYAEGKNHAGVDTFSFNDCQGLTMDGDVAVDLGGMTTSSSDGLMWTALGRARGSIWLVMSQTLPST -STIQEQSYGTSLIVSAILAVAAVRQSPVINARQDLDDIVKRAVQSHLAASLSPAAARSLGLNPPQPVIAG -VESNSAVLREGYMAWLQDNQRIATARTFARTTHVAGRPKPVPGGLDRVRFVAHTLRHYLPLANDTKVKTE -ETTYTAPRRTYAQAVVDPLFEWSKRPRAPEEERGFQDRIEPTHVRDAYGPQEAQQHKSNDETLIALSIAE -RCPPRRDNPTLSAKDVRRLQQLKTGFKKSFNFEKMEFNELVAEDCFAHCVKSWANGKSKARLINSVSKWE -VDHPPEFIKLFSKGQWVKKLGARGADVKKSQIIAEVALSTVFADAVYAEYMERMISLMTPKSTLYYSRMN -PGQLREWYTDNWTPSAGVTANDYTAWDSGMDRVFLAFDLWLMRSLSLPESYVQMYINRKVNSRTRVGPYP -IMQPSGDRYTYFLNSMRNAAVIGASLSFKRGTAIAVGGDDSIICGRHGSQRSFNPRQWKISPKLERGKVL -PFCGWSFGSRELSLSLPELAYRIHIGVQRGVRDKDYWRSMCELLREAGDDELQLSEVRTKLAAVQRYLCD -ISDLVPAEWR diff --git a/seq/clusters_seq/cluster_497 b/seq/clusters_seq/cluster_497 deleted file mode 100644 index e862d5f..0000000 --- a/seq/clusters_seq/cluster_497 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_009551701.1 putative membrane protein [Yichang virus] -MHKLAIIVAVSVAMVGYIYPTDATASVATNIFCDAAQTQHCAAAGFSRCKRVGTVQNCYCPHSQNWTNVV -TVVENDLTCAITSSKYLDPHYWFQDLLAAHIIITVLVSVVLFVYLIPIYAKVCAMYKVTAKGQPLHYIPL -LPHNDRTGYNIIPTKFTKNGR - ->YP_009666296.1 putative membrane protein [Kadiweu virus] -MKSAIFYILSISLLAFSNADEAPAPQATTKLCESNASQHCTSMGYSYCKSVSGVQSCYCPHQQNYTSVVD -VIDKDQKCSITSSKYLDPHYWFRDLLAASVTLLVLFTLVTWAYLIPTYAKIDAMYDQKAQKTPLHYIPLL -PRSTNGGYSILPTGRYR - ->YP_009505593.1 putative structural protein [Dak Nong virus] -MIVKITILFSILAVIAAESASDVVSPSTKLCEASSTQHCTAMGYDYCKSISGVQSCYCSHVQNFTSVMDV -IDKNLKCSITSSKYLDPHYWFRDLLAACVTLLVIFTAITWAYLIPTYAKIDAMYTNSTSKGKHLHYIPLL -PRQSDGSYTLLPGRGYK - ->YP_009026382.1 ORF 3a [Casuarina virus] -MVPRIIIVLSMLAITMAVEPTVETTTPKLCEASSTQHCTAMGYDYCKNISGVQSCYCSHQQNYTSVMDVI -DKDLKCSITSSKYFDPHYWFRDLLAASVTLLVVFTLVSWAYLIPTYAKVDAMYTNSNSKSKPLHYIPLLP -RRSDGSYTLLPTSGYK - ->YP_007697646.1 ORF3a [Alphamesonivirus 4] -MKIAIFVCLNLFALTYAQESSNGGANSTKLCEASASQHCTSMGYAYCKSVGGVQSCYCSHQQNFTSVVDV -IDKDLKCSITSSKYLDPHYWFRDLLAASVTLLVIFTAITWAYLIPTYAKVDALYTNSASYKSNNLHYIPL -LPRKSNGSYTIIPPTIRPRNG - ->YP_007697640.1 ORF3a [Alphamesonivirus 3] -MKPASFILLSLAFIGSALADDAPTNSTPTLCESNASQHCTSMGYTYCKSINGVQSCYCPHSKNYTSVIDV -MDRDAKCSLDSSKYLDPHYWFRDLVAANITLLVLFTLFTWVYLIPIYAKVAFLYESSPSKGKRPLSYIPL -LPRDNHGSIQLLPIGKSY - ->YP_007697633.1 ORF3a [Alphamesonivirus 2] -MMEKYIILFSIFAVIYAADSDVAPSSPASKLCEASSTQHCTAMGYAYCKIVSGIQSCYCSHVQNYTSVMD -VIDKNLKCSITSSKYLDPHYWFRDLLAASVTLLVIFTAITWAYLIPTYAKIDAMYTNSTSKSKSLHYIPL -LPRQSDGSYTLLPGRSYR - ->YP_004767309.1 ORF3 gene product [Nam Dinh virus] -MIVKITILFSILAVAMAADTTPEVVSPSTKLCEASSTQHCTAMGYDYCKSISGVQSCYCSHVQNFTSVMD -VIDKNLKCSITSSKYLDPHYWFRDLLAASVTLLVIFTAITWAYLIPTYAKIDAIYTNSTSKAKQLHYIPL -LPRQSDGSYTLLPGRSYK - ->YP_004598985.1 hypothetical protein CAVV_gp5 [Cavally virus] -MIVKIATLFSILAVAMSAETDPEVVSPSSKLCEASSTQHCTAMGYDYCKSISGVQSCYCPHLQNFTSVMD -VIDKNLKCSITSSKYLDPHYWFRDLLAASVTLLVIFTAITWAYLIPTYAKVDAMYTNSTSKAKQLHYIPL -LPRQSDGSYTLLPGRSYR - diff --git a/seq/clusters_seq/cluster_498 b/seq/clusters_seq/cluster_498 deleted file mode 100644 index 7b1a2df..0000000 --- a/seq/clusters_seq/cluster_498 +++ /dev/null @@ -1,51 +0,0 @@ ->YP_009551699.1 putative nucleotide protein [Yichang virus] -MPGRTNTANPSPNGANKPRVNKQETKANPGKASTSNTPKTSPRRRQQPRNNNNQPSQQQQQQQQRPQPKR -NQNNNNNKPQQPQGQRNPSRKQAIGPNYVDQDGKRYNIGREYDARNHMGWRKLEKVGSSAQFMFIPKMVS -RVDQCYYRTHHISSDGSIDTFAVGIYVQDSTLPRNVLRRPQQLTAEQKHDFLQQLDAAFNACLTRVQNAF -NTDNPADYVITVDP - ->YP_009666295.1 putative nucleocapsid [Kadiweu virus] -MSANASNNTASTSTTKPKQQRQNQNQVQQNNTPKPQRQSKNQQNQQKAPLRAQNQNAAPRKNNQRKATNA -GSQPKAPAGKPKKPIAVGPNYTDTNGKRYKIGREFDARNHMGWRRNEKTGSTVQFLFKPKMASRVDQAYY -RSQFEDSDHYIHTFGVGVFVQDSNMDRNAVLRPQDLSEKEKQDYLENLANAFDKILVRTKFAFENNSQPL -LVIDA - ->YP_009505592.1 putative nucleocapsid protein [Dak Nong virus] -MSANASTSNNASAQQGTSKPRQTKISNNGNAQAQKPQRQGKQQNNAKQQNSPKQQNKSQQKQQNGPNQQT -RPKRTQPNSAQNMQPKAKKPIATGPNYTETDGKLYKIGKEFDARNHMGWRKNEKTGSTIQFLFKPKMASR -IDQVYYRNQFEDPDHFIHTFGVGVFVQDSTLERNAVFNHQKLTDEEKDEYLRKLSDAFNAILLRTRQAFG -SGALPALTVDAA - ->YP_009026381.1 ORF 2b [Casuarina virus] -MPAQVSGNNTNNAQGTPKQQRPKQNSQNGNSNTQRKGSKQQQKMQQQPGPKKAKNTNTKERRAQAQGQQQ -QVSKPKKPIATGPNYTETDGKLYKIGKEFDARNHMGWRRNEKNGSSIQFLFKPKMASRIDQAYYRNQFED -ADHYVHTFGVGVFVQDSTLERNAIYNHQKLTNEEKDDYVRKLSDAFNAILLRTRQAFDTGNRPALTIDAA - ->YP_007697645.1 ORF2b [Alphamesonivirus 4] -MSSSVSQNTAKTSTPKQGKQNNTNNGTNKPPMPQRRNPNRNTRNTQQPNAGSSVRPQQNRTTGQTRVGQT -SNKPPTNQKTKKAVATGPNYTETDGKRYKIGKEFDARNHMGWRRNEKTGSSVQFLFKPKMASRIDQVYYR -SQSEDADHCIHTFGVGVFVQDSSLERNAILKHTTLSEEEKGEYLRKLSDAFNTILLRTRNAFQTATQPAL -TVDA - ->YP_007697639.1 ORF2b [Alphamesonivirus 3] -MPAANNPSNAGNSSSQLKQNKQSNPRVQQNPKQKRQPVNPVPSNRNGQARSTNNKSTKNQPTNQSPPKQS -NGKSKLPTATGPNYTETKGVLYKIGTEFDARNHMGWRRNEKNGSSVQFLFKPKMASRVDQAYYRIQNEDD -SKYVQTFAVGVFVQDSSLARNAILTPKNLQPDEKNAYLQHLSDAFNAILARTKIAFDTDSQPTLVINA - ->YP_007697632.1 ORF2b [Alphamesonivirus 2] -MPAASNNANNNQAKPGPSRQNQQPKTTNGNAMAPKPQRQRKQNNSTAPPKQAPASQPKQNRNPPKAAQQP -KVKKAIATGPNYTETNGKLYKIGKEFDARNHMGWRRNEKTGSTVQFLFKPKMASRIDQVYYRNQFEDADH -YIHTFGVGVFVQDSTLERNAIYNHAKLTPEEKDEYVRKLSDAFNAILLRTRKAFDTNALPNLTVDAA - ->YP_004767308.1 ORF2b gene product [Nam Dinh virus] -MPATISNNNNVNAQPGTSKQTRPTNNSKTGNAMAPKPQRQRKQQQQASSQSPKQPPKGQPKPKRNQPNAA -ASAQPKVKKAIATGPNYTETSGKLYKIGKEFDARNHMGWRKNEKTGSTVQFLFKPKMASRIDQVYYRNQF -EDPDHFIHTFGVGVFVQDSTLERNAIYNHQKLTTEEKDEYVRKLSDAFNAILLRTRQAFDSGSLPALTVD -AA - ->YP_004598984.1 putative nucleocapsid protein [Cavally virus] -MPATVSNTNNANAQPGTSKQNRPTKNSKTGNAMAPKPQRQRKQPQQQQSAQQPKQLPPPGTPKQKRNQPN -AAAPAQPKAKKAIATGPNYTETNGKLYKIGKEFDARNHMGWRKNEKTGSTIQFLFKPKMASRIDQVYYRN -QFEDPDHYIHTFGVGIFVQDSTLERNAIYNHTKLTTEEKDEYVRKLSDAFNAILLRTRQAFDSGALPALT -VDAA - diff --git a/seq/clusters_seq/cluster_499 b/seq/clusters_seq/cluster_499 deleted file mode 100644 index 1f598f9..0000000 --- a/seq/clusters_seq/cluster_499 +++ /dev/null @@ -1,62 +0,0 @@ ->YP_009666129.1 tas protein [Guenon simian foamy virus] -MATWEEKKELTHLHQEGDDPLTELSILLDLDNMDPNEGPDQNPGGEKIHLQLSNTPGNTSEKTYQFGFMD -KEEQDPDLKMRDWVPEPDKMSKWACIRLMLCGLYNAQKAGEILSFNYDIHWEQSKEDKDFFEVEYHCKMC -MTVLHEPMPVKWDHENGLWLKMGPLRGDLGSVVHTLRRHYNRCLSTLPSTGEPVKPRTRANPVRRYREMQ -VVVEARPKRSRWGMAPGERAHTSSDHAMALMPGPCGPFSQDHPGSLLEGIQGTGPGTSEMAVAMSGGPFW -EQVYNDSIFGTPSGSGNN - ->YP_009513244.1 tas [Rhesus macaque simian foamy virus] -MASWEDQEELRELLHHLPEDDPPADLSHLLNLDEMEPKVLGGQNPGDEKLRQQVIKPPSIHPSTVTWHFG -YKDKEDQQPEIKMRDWVPDPSKMSKSTCMRLILLGLYQACKAQEIIKMDFDVHWEQSRVNKQYFEVEYSC -RMCRTVLHEPMPIMYDPETELWVKPGRLRGPLGSAVYTLKKHYERCLSALPSFEGTRLPKRRANPSRRYE -AFRKHTPTRKRRSKEGISTDQQPSTSSGDPMALISGPCGPHSIQPPGCILQELPKPEVGTSEMAVAMSGG -PFWEEVYGDSIFGAPFGTSDDQLLSQFD - ->YP_009508890.1 Tas protein [Simian foamy virus Pongo pygmaeus pygmaeus] -MDPNQEEEPVAGTSGMNQDPVPFIPEGIAAANESDDEEPEQFLYKVYQESVKKNGGDYPKLEDWIPSPEE -MSKSVCISLILTCLYNAEKAAQIKDWGYIVHWEQSPTDSKYFLIKYECPMCDSINQEPMPIWWDDRLKLW -RKMGCRAVMGSIVYALKNHVDKCNSQVHPLRKTGNRRPRPRIDPIRRCNRLTGNYVPGRRGSTKPSNPSS -HPSSGIPLAPGPRQCSTNTSNPPESLLRLLPGNDAISPALAISMSGGQIWEEVYNDLLLDATLGTSDN - ->YP_009508574.1 tas protein [Western lowland gorilla simian foamy virus] -MDTYEEEESVASTSGVQDLQTLSELVGPEAAGEGEDTLSDTEEVSRRSRKNTKRGAKMITFHAYKEIEDK -NPQNLKLHNWIPTPEEMSKSICSKFILHGLYSAEKVIEILNMPFEISWDQSETNPKCFIVSYTCIYCSAI -IHEPMPVTYNADVGVWVKFNRLRGVVGSAVFMMKKHEKNCCFIKPSPSKQEGPKPRPRHDPVLRCKAFER -NYKPRPKRPRPGSISNEPCASSSDPLAVQPGSLCTDPVWDPAAILQVLSEQRENSQSLAIHMSGGPFWEE -VYGDSYMGPPTGPGDHTVL - ->YP_009508553.1 tas protein [Eastern chimpanzee simian foamy virus] -MDSYEKEESVASTSGIQDLQTLSELVGPENAGEGELTIAEEPEENPRRPRRYTKREVKCVSYHAYKEIED -KHPQHIKLQDWIPTPEEMSKSLCKRLILCGLYSAEKASEILRMPFTVSWEQSDTDPDCFIVSYTCIFCDA -VIHDPMPIRWDPEVGIWVKYKPLRGIVGSAVFIMHKHQRNCSLVKPSTSCSEGPKPRPRHDPVLRCDMFE -KHHKPRQKRPRRRSIDNESCASSSDTMANEPGSLCTNPLWNPGPLLSGLLEESSNLPNLEVHMSGGPFWE -EVYGDSILGPPSGSGEHSVL - ->YP_009508549.1 tas protein [Central cimpanzee simian foamy virus] -MDSYQEEESVASTSGIQNLQTLSELVGPENAGEGELVIAEEPEENPRRPKRYTKREVKCVSYHAYRELEE -KHPQHIKLQDWIPTPEEMSKSLCTRLILCGLYSAEKAGEILRMPFTVSWEQSDTDSKCFIVSYTCIFCDA -IIHDPMPIMWDPEVKIWVKYKPLRGIVGSAVFIMHKHQRNCSFVKPSTSYSEGPKPRPRHDPVLRCDMFE -KHHKPREKRPRKRSIDNESCASSSDTLANEPGSLCTNPLWNPGSLLQGVLEESSNFSNLEVHMSGGPFWE -EVYGDSILGPPSGSGEHSVL - ->YP_001956724.2 Tas [African green monkey simian foamy virus] -MASWEKEKELAHLHQPEDDPLPDLSLLLDMDQFEPTEGPDSNPGAEKIYLQLQVAPGDPSEKTYKFGYED -KEAQNPDLKMRNWVPDPEKMSKWACARLILCGLYNAKKAKELLKMDYDIHWEQSKEDSQYFEIEYHCKMC -MTVIHEPMPVSYDKKTGLWIKMGPLRGDIGSVVHTCRRHYERCMSALPSSGEPLKPRVRANPVRRYREKS -LIVADRPKRSRWGVAPREQPNTSSGDAMALMPGPCGPFNMDPPGCLLERVPGSEPGTSEMALAMSGGPFW -EQVYRDSISGPPTGPSEN - ->NP_056805.1 taf protein [Simian foamy virus] -MDSYQEEEPVASTSGLQDLQTLSELVGPENAGEGDLVIAEEPEENPRRPRRYTKRDVKCVSYHAYKELED -KHPHHIKLQDWIPKPEEMSKSICKRLILCGLYSGEKAREILKKPFTVSWEQSETNPDCFIVSYTCIFCDA -VIHDPMPVVWDSEVEIWVKYKPLRGIVGSAVFIMEKHQKNCSLVKPSTSCPEGPKPRRRHDPVLRCDMFE -KHHKPRPKRSRKRSIDHESCASSGDTVANESGPLCTNTFWTPGPVLQGLLGESSNLPDLEVHMSGGPFWK -EVYGDSILGPPSGSGEHSVL - ->sp|P29169.2|BEL1_SFV1 RecName: Full=Protein Bel-1; AltName: Full=Transactivator of spumavirus; Short=Tas; AltName: Full=Transcriptional transactivator -MASWEAQEELRELLHHLPEDDPPADLTHLLELDEMEPKVLCGENPGDEKLKKQVIKTPPMHPSTVTWHFG -YKQKEDQQDNIKMRDWVPDPSKMSKSTCKRLILLGLYQACKAQEIIKMDYDVHWEKSVVNEQYFEVEYNC -KMCRTVLHEPMPIMYDPETELWVKPGRLRGPLGSAVYTLKKHYERCLLTLPSLKGTRLPKRRCNPSRRYE -TFREHPPTRKRRSKEGIPTDQQPSTSNGDPMALLSGPCGPHSIQPPSCLLQELPKPEVGSPEMAVAMSGG -PFWEEVYGDSIFATPLGSSEDQLLSQFD - diff --git a/seq/clusters_seq/cluster_5 b/seq/clusters_seq/cluster_5 deleted file mode 100644 index 45644d2..0000000 --- a/seq/clusters_seq/cluster_5 +++ /dev/null @@ -1,3636 +0,0 @@ ->YP_009553600.1 nonstructural polyprotein [Blackbird arilivirus] -MNECKEVHTPTKCANIMNECMNEDEETHTPTKCNDDRERRTPEKRNLKFLTSMNDKCKCCYPPPGEVWKV -VEMKKDATLDSLRVDAEEQRLKQVSQAMEILDVDNSSKLPQEIRSLYFGSNFLEYPHHLSSVAYACNPTP -AYSSFINNENKYDFVQLDKLIFEHYFDSLAKQATRNRNSEDFTLYADSQDFPFMNGREVPHALDSVRMDK -AIGDLDLESFLVLHQSRRLFTRSYTLFHFTYDHLHTVFPMEPRRTKMNRTKSPCPYKCRWFFQNCEWHEG -LLDVELMRRRCEFARVYANQRNSRAFWLSLLMYWPESQFAHQILTDAEKYGRDTRLVSDLIRKGKLSERV -LPVRSPRIQSDKAEGDDAPDPESTSVLGERIESPEVVVEKSEIPPIADTRISRREQLEEFVMEQVTLITS -SIDALQMTLSTKFASLAALRRCMTNILLAMINILINQNWTSILTCGGIIANEILSELGESFSSLASKLQH -SFVHVIKKRVLPQAQAKKEVDEDLIMNENFITTMMELTKAFVPGTKIDSATFKARVAKMDYLSKSLSYTK -SVYEWFETYFKAFVVMVQVTLFGYTEKEAREIVGDTLGVAKDWITEVNDFELKYVTSGEITRLVSGAAMA -GYSEEVQGEIVRLKKKGEEISLKLCSKTAPGIGSLSRLVTENLRKVQEWFKVFEHSYADRKPKHEPFMFY -LFGQSDVGKSFSIDQIVTHLLYADGKTFDLARGKFQKSRTTQYWDGYAHQPLVLFDDFLQTRDITNNVSE -VGALIDLGSRNPCHLDMAMCEAKSGTYFDSPIIALTSNVKPSRELIQDHIRSYEAFGRRLDAMVEVIRVN -DPRTAMTFDPCVSVYKMYKWQHDRVNPDKSDFVLAHGPMVWDEFIQVMAMMYINKICKEEALDRPIEITG -AMAERMKKIASTYRNRNLQQQNEEMQKFLENLTASQEISMPVPAAVELDHGDSDEERETEEDVDPILTTE -PLVPLAGPSRPKNHLAALTGWKTPNASLEQCRELVNNYYNAKAQAGEGEGDAEVTERRVPLRSTFRMEDP -CFAPATRHPGVWNTTTLCELPGCPDVSAPSPEDQLEHLLEVCRDPATRTVHEQLAKHWSMERMPKSDGDR -WTEEELLMLQEISAHFITYKPSGAPWSLNEMILGGWTKGVRIMLNYYQVVDREKVTQDRSWWSWIRKPYV -MICGKAVRTQEYLKRQLSIRDIAYSILDSAVEAGKKVFDDILAAIKKMAVLAAFAALALSILGFFLFWKR -SGKTEVKSEGFGGSASLETKTKKKNAVRIEAEPETEGDPSGSLETKARKRNRVRIEDDSVQEGDPSGSLE -TKAKRRNKVRIESSVVKISCPFRLDGGDSLKFVETSEADADVVVTINKQQKEATVEFVDGEVINIPKVGI -HVPKLKLISAIKDWKSRRQPPEAESKEDRLALVDEILQDGPKAQAARDPQAMKVMEIIHGNLAIVRNVKY -NVRLRGVFICDTLLMVPGHITAAKPLDELVLEIKTNTSPAWTVQVKDLKSYQDPEKDLLFVQLPNTTTKA -YRSVVSKFVTAEDVDWKSDQAYLVTPKAKTEDKTVTRFVAKQLRSLTYKGRQSYEGDDNKMIEILTGFDY -LGDTEQGECGSIVVKVDPQSNRKILGIHVAGAPGYGIGSFVTEDYLKTVLSKFSLRQSEQLEVAYNREVV -EQFFDCEYHEEDTDDGEKEIWYDCDTNMEIVMTPIPEHCPARMTLTSLMKSPIHGKVVETLSAPAHLGRY -LNSDGVLVDPYKRALGKLEKPQIVLPQEVVDLVAAKMTLEYAQLEVDQLQLGGNRGLLTDFEIINGITGS -DYIRRMDMHTSPGYPYVLAGGKSTWLEQVDEVNWEMGDVLLNRVKHREELALQGLTCPAIMVDTLKDERL -PLASVEIGKTRVFSNCPFDFNVLMRKYFLKFLAHLMSHHVDSEVSVGLNVHGEEWAALYKRLKMKGSHWI -AGDYGAWDKRTPLQIALALLPLVESFYKRFPDYRPEHAVLRATLIRQAFTSERMVLGMGGAIIYRVHQSM -PSGIPLTAVYNSLINSALFRVVYALLAHEQGWSYSKAVNSYSKHVSFAAFGDDHICRVSDVVFPWFNMQT -ISRKMEEFGIEYTMPDKSTDIAVELEEKKLQYLKRKFVSYDGRIDAPMELEKVLDILNWVKAKTPLEAKE -ALKQAVKSVMLELTHHPEEIYNRWSARIFEECVKSGVDVPLILYKDALAERLKLEVDLTLADVD - ->YP_009389287.1 non-structural polyprotein [Big Sioux River virus] -MSTMSSYNLIPSVARIQQQCRNLATEPRPIILPNKLFSEYRLKLEGREVPLPFSHKMNWCALVDNEFSFY -YTKANSVFEQLFIAKYLVGFNLRDVDLELFKNRLRSRLYFEHKNVRTLIPLNLVYSIFKDTLNSFNLRPN -FRRWGFEELDSSELKKFPLIILLAFINIENIPLATYKYTSQINSKILLSQLPLLVTALVNKDRLLDQYDF -LFITQNYIHMLFRCLYHTNPLACIENNFILDLTKYCTNLILIRPIATTEYLLDASQFCKEEVERPNPETM -NVYRRLQESTAQISIDSNLKVDPAFIQSMDKIADTFEEAVKSLHLVAGSAVDGIRKKFACYLSICYNLYR -LGSGAMSAQDVFMNVTASIMQSDMPANLLPQLREIFCTSTAQSAYIDGQLVLRLLALCSFSLMVSKIPTS -KDIDIFINRLDRVPKALSGMESMWKRIDSVSTVLWNWMEVTVLKREGVIPRSDILDSVSKWANELSRLLT -LASRREIQRDVETLHAAGRMYSEGIRLMKQCKDLNLSKGNMEIIARNLPAAKLLLDEANMSGADRSKLRT -EPLIVWFSGASGNGKSGLSYPFILDMMRVYGDPPDTWQQNVYARVPETEYWDGYINQEYIVYDDFIQIKD -SQLKPNPELFEMIRLGNMFPYQCHMASILDKNNTFAEPKLICLTSNLRRLQIESLNCPEAVSRRIDFAFN -VRIIPEYQMEYTDVNGDRLYRLDAAKARRDFGDVLCFDVYRFDMFDASSRAFIRTDMTYTEMVELCQEKM -RSRATNFYDFANFLEQYRNKGVAQVERLKRETVQDDFVDVPLEYNGQSMEFTSTAQVDISEVISEVSPSS -SLFNRIYWRTCKSYYDFRLWMDGAQPSVFERILTGDRAGAYAACIRVANEAQCEISMMRSKATSVISSCF -GKYWQLFKTGATLAMGLFGAFMIYKHIRKPVASFVQQNKNLMKTWKEANKCLDNDCKNCKKCVHKNKDLC -VKWYTKCHCYAKQMEEAQINLKYYTAAAIYQEPERKKDREHCVELLQIVDQMCSCNCAECDACCDDSLIE -KFDNVMKVYDLPCVCVCARLSQGFDLVEILALIKHCGNLEPTPILNTYLKKLSLKLTQDVSDFERSGDGQ -HLLNTLQSQEYDGNVKVQTQKRVAIKYQSHDDNTNMRLRQLAPRVRYQTEPIVSVTNNDEPSEKAVTIER -MVDNLQTEPQRAMPEMDKSVDTIVNHVVYPNTVYMTANKSDGTQSNIGHILFVCGQVALMPYHYKVAIEE -RNYVSVNIYSRVCIGRNIPASIFERFVRIPEKDAMLIAFPITVNSFKNIIHHFVDIQNYPLIPSCPAILA -KYHFENSQTERARVFISAIGVSEHDEVDVMSVPGCIEVVRNRDFYSYTAPTRAGDCGAALCVANTGINGK -IVGIHVSGVEGLCKGNSSAITKQMIEKSLRSFSSIAQYAYPASELTVDMDCLEDSGSFVLHKYLPGVHIG -TTMQTAIKRTPVHGELIKTPNKPGPLGPFTSKGETIDPRVLQRKKYGVPRPVLEQDLVEDIKEGVKCVYY -QSHEYEPEYYKYPLTYEQAIVGIDGDPFINSLDRTTAPGYPYSQQRKGKKGKTLWFGDSMEYDLTGPDAL -SLKEDVIKLEADILRGQRPEIVWTDTLKDQKIAIAKANLGKTRLFSAAPMHYAIALRKICAPFVAHLSRM -RIRNTICVGVNPFSTEWGEVAKKLSVKGRDVIAGDYSNFDGTLPAQLVYAATEIMADWYDLNWDFVEAHK -RNYIAGECLDKDQFLLYCRRLYYECVHHLHITNYDCGALLYYVRNGIPSGCPVTAPLNSIVNQMALIYCW -FKIYEGSDKASVKEFFEHTSSVFYGDDFVMNIRADVIDQYNQLTITEAMSKYLDMVMTDEAKTGECIKSR -TLQEVNFLKRAFYFNSSIQEYTAPLDLTVILDSTNWYKIGKSSAIIVVRDTLKACLRELALHPAEIDNCW -RPRITRLGLKVTESIPGELFIPDTRFATLLAIKNLETDSLECLDSLS - ->YP_009388499.1 nonstructural polyprotein [Apis dicistrovirus] -MCRRNTAVPLQVNSNQHPDLMALFTRKFVIEKKNVDDFGFRPAYSTINVELTNDIHTELSYGHCEVVDHA -SYYFMHGYVPFTRERVVRAQKPRSIMKRKKKQVKKKITMEEWLELDQFGPFERYVVCEEIVDEVLQARRK -ELRDRIHAIFELHRLTVYGDDAIFVHSSLSSLPGISECDEAYVSECEEMNSDYDFDHSQELLRAGIEPNP -GPTDPIDIPRDVRVEAAKNAGLFHQRTFMCALNELVQKNNATLSIATTRMPGTPDHDPKFSATVVVTFPY -CTLSVSFSASAIGGKQVVAREIAAKRCLEFVCCDDDVHLRVLPSSHWIRDLLRENVEANPGPTVLSTLRM -RINDPSMLNLERAFAEMKVLDDFGRSINEFKNLNGTLTQLSRTVDNILPLLSSNMSNTTCALLQIKDDVI -KFGLIFILLQGLFLIGAKRIAICGCLLVLGKFLKFDDYLMSLFEQLCDKFKNPMVQMELEDALYSDHFAV -IGKIIFGCMAFLCIKQIPGKKDWDNYIVRLAKIPQAAAGGKKIWETCSEYFNVALDNVKMMCLGKNSKNF -SVTSAYVQEIKDWMQEVSDCTQLDERKKVNHDEAFAKRVSDLYVKGQIFSHDTTLSPRLSRAVQNTLMPA -YRLYQYVETAPSCGAGPKMRPVSLWLHGDSQIGKSTVVWAVCADLLVKMGHSDFKHRIYARQPETEYWDG -YVEQPIIVYDDAFALRDDKLKPNPEVHEVIRAQNNFPQHVHMAALQDKNTYNKAQVLIYTSNEPNVVLES -ITFKDAFHNRMNDNCYRVTLKKEYAKEIMHPDGGKKVQILDQAKITSGISTDVYIFQKQINDRGSFIDVG -EPISYDVLRSRLVREWRLKRSSFTNHMDFLNNRMANDWEETVEAQMRFSDLLSPKKVNVESEVFEDCIDS -VDFVRTKMAELKAEGKDPMEVREWFAQSDESWAMWQNYVQHNQPPSKYKKMFDESLAVCNEYLNKFGEAC -KRLIIENPIISLLTLFGSAVAVGAVAYSFLKDDSDDEVEMAHSGNNVVPRAQNNKVELAHSGSSQISVLP -RANVQFSRQKLMNSAMELITRAEVEGCSDPNAHEIVSGKVRKNSFRLNVRNMSGNVTFVKGKIFIMPYHF -LVMMFAAGVSADEIIYLSQEENSKIISFPFKHLVDFHGTTFKLTDNVIQYNRCGNESDLVFVNLHKMQSY -PMCDISQLFISRNQQSLLSKGSYSGAFLTYDHDKNGSEERLWRCYKWFANINSHDSALELDYPKSLSLAA -MKIRNFYKYEGVSVPGDCGAVLAIYNNQIDRKLIGMHNAGRGGVGYAVPLTFEGIEEHCSKFKVEAQFCL -ELPDNVDSSSDIKLPEGNFVAMGKSSIKVGQATKSVLRESRLHGHLLPVLKRPAKLVPHMQDGGLYDPLM -EGLKKCGQNCPVLDNAVLDEITSVLSPIINGENVSFPERHKYERFLTYTEAVIGVEDDFMHPINRTTSAG -YPWCNDAGRKPGKQSYLGSDEEYDAFPPNFKTDDGKRVHDAVMSLIDDCSKKILRNVVSVDTLKDELRPL -NKVSTRVFSACPQHFVIAFRMYFLPFCSWIMHNRHYNGVAVGVNPFSSEWDFLATKLKEMGPKVIAGDFS -NFDGSLNSQILWAIFHGIFIPWVKFLHGSISEEDYNICFGLWSHLVHSVHIFGSNIYMWTHSQPSGNPIT -AILNSLYNIIVLRYAWHIIFRYTKLVGQHHFTKYVYMIAYGDDNVLNIADAIAEEFNQCTISEALATIGH -TYTDEAKTGEIVKYRTLSEVQFLKRGFQFDSKLKRHVAPLDQSVIYEMLNWVRKSKSTLNVDDVLLTNVQ -VAFREIVYHGEDAYNELKRRITSNLHLFPKNNLPVIRPYLNLLLDVSLGFDVEDYSFF - ->YP_009380528.1 P1 [Aphis glycines virus 3] -MSSFNSIPSVVRIQQQCRNLATDPRPIVLPNKLFSDYCKKLEGREVPLPFSHRMSWCALVDNEFIYYNKA -TSVFEQLFLSKYLINFNLSDVDYTTFKLRLKSRLFFEYKGIRTLIPLNLVYSVFKETINSFNLRPNFRRW -GFEELDASDLKKFPLIILLAFINIENIPLNSYKYTAQINNKILLSQLPLLVTALVNKEKLLDQYDFLFIT -QNFIHMLFRCLYHTNPLACIDNPFILDLSKYCTNLVLIRPIATTEYLLDATPFCSEEVERPNQDTMNVYR -RLQESTAQISIDSNLKVDPAFIQSMDKIADTFEEAVKSLHSIAGSAVDGIRKKFACYISICYNLYRLGSG -GMSPQDVFLNVTASIMQSDMPANLLPQLRELFCASTAQSASIDGQLVLRLLALCSFSLMVSKIPTSKDID -IFINRLDRVPKALNGMESMWKRIDSVSSVLWNWMEVTVLKREGVIPRSDILDSVSKWASELSRLLTLASR -REIQRDVETLHAAGRMYSEGIRLMKQCKDLNLSKGNMEIIARNLPAAKLLLDEANMSGADRSKLRTEPLI -VWFSGASGNGKSGLSYPFILDMMRVYGDPPETWQQNVYARIPETEYWDGYINQEYIIYDDFIQIKDSQLK -PNPELFEMIRLGNMFPYQCHMASILDKNNTFAEPKLICLTSNLRRLQIESLNCPEAVSRRIDYAFNVRII -PEYQMEYTDSNGDRLYRLDAAKARRDFGDVLCFDVYRFDMFDASSREFTLTDLTYTEMVELCQQKMRSRA -HNFYDFANFLEQYRNKGVAQVEKFKHETVHSDNETEYYGQSMEFTSTAQVGISEVISEITPPSTLLERIY -WRTCKSYYDFRLWMDGAQPSIFERILTGDRAGAYAACIRVANEAQCEISMMRDKTSSIISRCFGKYWQIF -KTGATLAMGLFGAFMMYKHIKSPSASFINKDKNLTKLWKEANKCLDNDCKDCKKCRHRNKDLCVKWYTKC -HCYAKQMEDAQVNLKYYTAAAIYQEPERKKEREHCVELLQIVDQMCSCNCAECDACCDDSLIDKFDNVMK -VYDLPCVCVCARLSQGFDLVEILALIKHCGTLNPTPILNSYLRQLSFKLTRDISDFERSGDGQHLLNTLQ -SQEYDGNVKVQTQKRVAIKYQSHDDNTNMKLRQIAPRVRYQTEPIVSVTNDDEPSEKATPIERMTEFINT -EDQRAMPEMDKSVDTIVNHVVYPNTVYMTANKNDGTQSNIGHILFVCGQVALMPYHYKVALEERNYVSIN -IYSRVCIGRNIPVSIFEKFIRIPDKDAMLVAFPITVNSFKNIVHHFVDIQNYPLIPSCPAILAKYHFENS -QTERSRVFISAIGVSEHDEVDVMSVPGCIEVVRNRDFYSYTAPTRAGDCGAALCVANTGINGKIVGIHVS -GVEGLCKGNSSAITKQMIELSLKKFSSIAQYAYPSSELTVDMDCLEESGSFVLHKFLPGVHIGTTMQTAI -KRTPIHGELIKTPNKPGPLGPFTSKGVTIDPRVLQRKKYGVPRPVLEQDLVDDIKEGVKCVYYQSHEYEP -DYYKYPLTYEQAIVGIDGDPFINSLDRTTAPGYPYSQMRNGKKGKTLWFGDSMEYDLTGPSALSLKDDVN -KLELDILNGKRPEIVWTDTLKDQKIAIAKANLGKTRLFSAAPMHYAIALRKICAPFVAHLSRMRIRNTIC -VGVNPFSTEWGEVARKLSVKGKDVIAGDYSNFDGTLPAQLVYAATEIMADWYDLNWDYVEAHKRNYIAGV -CLDKEQFLLYCRKLYYECVHHLHITNYDTGALLYYVRNGIPSGCPVTAPLNSIVNQMALIYCWFKIYEGS -DKASVKEFFEHTSSVFYGDDFVMNIRADVIDQFNQLTITEAMSKYLDMVMTDEAKTGECIKSRTLKEVNF -LKRAFYFNTSIQEYTAPLDLTVILDSTNWYKIGKSSAIIVTRDTLKACLRELALHPAEIDNRWRSRITRL -GLKVTESIPGELFVPDTRYATLLAIKNLETDSLECLDSLS - ->YP_009345054.1 hypothetical protein 1 [Wuhan millipede virus 3] -MATTTEINPSALKATLKQMKTIRQKFDDVSRLEHVLEAHRNQSKMSKPKKDPRFLEEKREGKMKTQNFNR -KVDIKRWKTINELEYQHQIFDGIKSFFGKVNDFGDKIPEFGMRLDEASAKLAEGMKDFPETMQKANDATT -TVSRALDMIYQLMETAKDKMTKISDGITGFFEQLKMHPYIVAITFIILIVLMTQPFKYAVVPLVLFIMYL -LGWHEKIIAKVKQILARFRQQDGVTDSISIFGQIIFTILAFFGISQIPTEKFYETLIKRLDSIPKAFTGA -TKIWDSAGKAFEVVSDQFKITFLHYKAEDLIKEEGTVREIMVWSTRVLHYMQVPNRTSLSKDEEAVREVT -ELFNQMYRWQHTTSIWKSLPTESQRLILSQKPHMQDLFRIVCKSSVHEGGPRKAPISILFSGESGRGKSE -LLIPLAYALLDNRGTKTNHRNEIYVRNYETEYWDGYCGQKIVLFDDAFQMKDTPGNPSPEFMEAIRVNNT -APAHVHCADTNDKGRFFSSEICLYTTNLQKDFTRFIASMNCPEAAVRRLNMNAFRIITNPAYEKVIQVDG -QEVRRLDPTLCGWSKHREQLKCRCYGEYFDSMGLKHTGTGKDPACIAHPCQKCKDICIRENMDELAFCPH -HYLFQRYNMLTDESIGEPLDFTALLAILRTYDQNLVARETGKLDFYEKFASNIRLFEHQMGPNEEHQEQR -APEVDETFSMAHLYNFEDAFYQPGVVDLEVPEDALSFHLMQNFILEQVRLYGHERDFFGLLEVELSYIPA -LYAFHRRATEFGIININNINYSLINCLDAEEIVYMREQAKFYCVKKQSCIRLLYEWARSYLSRTVGQLTS -LLDETPVTILLTNLTFFVGLAALIITTKNLFKSFHTSSKLDRLYKQEKIAVEQHIREIQEIYWSARPKQQ -ALFGSCAFGDACERAPGELDHPAALQILSSMCLVCCPSCYKMSHDGTIAASYKFGFDAESQVYNANLLRE -YWRRNSQTQHVAQSMPIQVIGTNMTIGTQEINLDDSETHTKIAAETAASSANVNIRTVPLVKVEASASSA -NVNLTTRPQVRTEITPTEIAEKVVTGVKENHAIVRWIEDKITDRKVSSIERKIFTRNKERKLEFDNLALK -ALLGVLAKDDASHEMACGLNEEYWDQVNSGIKTVEIRKFIGKWQRLTVGEIMEIRCGDKMMRKQVIALSV -NKLEELLRNCGGSAMPGFTLQEALTAYSCIYPDWQGSEFIAITLAEPENSSYLTTPKELRHEIHADSNGR -QLVGRIMRNSLYALHDDKFMYGNVLFVMGTTALMPWHFIDAMRINKNRDELLYLSNLNGKNIITFPASRL -DNAVRLQKGGFDTDAALVRFDPVNDKVHAHPKIIKSFVSQSNLKNFPESSKFFAILSSYRTMSTLTHFIP -SMISCNDVGAHFDATNTINIDGALQQYKYRDIYAYFSDTQFGDCGAPLVLQNVNSDQKILGIHVAGQDNG -VAFSQCITAEMIQEGIAKMPFAQQIFTEPYEMIEEEIPLDAEREGSVPMGAGLNVIGKMAPRYNIRGATK -TKITPSVFFDKVTEHKTMPTVLRKDGPAGDPMERGLLKFGKSVPWIQPTLIKVATNDVENNYNINACGLS -PQQYRRKLDYCEAVCGVPDDEYMAPINRGTSLGFPYVVDWNHPNGKREAFGTDEWKLDTPQAKKIERDVM -ELEDKCRRGEQAGVYWTDTLKDERRPLEKVKAGKTRVFCAGPVHFTILFRMYFLGFAAWIMRNRNSNEIS -TGTNVYSYDWNNIARKLRSRGLDSKGNVGVVAGDFENFDGSLSSQILWAIFESIQRWYNDGEENEMIRRT -LWAHLVHAVHVNEGTVYSATHSQPSGCPITAILNSIYNSIVIRIVYLLAAEDFEINNNLRLGELANMEKF -NQFVACVSYGDDNLIAICEMIREWFNQIIITEKFKQIGHVYTDERKTGEIYTVRSLSEVAFLKRKFVWSE -MAQRFIAPLDIDVVLEIVQWTKRGMQKDEITLANIEVCMRELSLHGENVYNLYKNEFKHVCAMHGINFRF -LTWHEVYCSVLELPLYLHEGEVTPLDLMITNEWVVHCISADAKMSRGFAKALVAERMTQTEVERLKKAKH -EIGDVIFCDDSKTIHLVTKNLYWHKPRNTFNFERALKNLNIACRQRGIGSVSMPPMQCGLDAKYTHLKIW -DLEKLLTKHLTDVAWTIFA - ->YP_009342327.1 hypothetical protein 1 [Wuhan insect virus 11] -MNTFNKIPATLKNIAYWCMGAEDKEEYNRIKKNRFYDDLTDKVFACNAFQFNLVLSIDNIHFGEVDCDEL -TNTMGALLLSEFDVKTYFFFIDKLVFQNQRPSQIPISVHFYQFLWHNGYIKKMLKTKTLHDMRKLLLLGG -DIEANPGPVDYKTSCRNRHYRSKHSKSVEQLKLELSYKRAMRTYKEEERVEDPEKRIKVEMQIFNKVLLG -GTTAAAMYGAYKLKNNFENNADTITNTITETLQTFRKSMKDVSQYMVKGIDTISLVGDIIFSLLHVYMAK -AQYKLQTLSIELCRMLLKYGIPNGTLDDFRNYAHGAIYEEVVSNNIQARMQIDMPTSLNDIEFNPTHIVI -FLLGILSLVFTQALPKPTFTEGVLKRLGDLGRSAKGIKDLGSVTHEMITVGLEEFKVKVLGQRPQQEIET -FISGIDEWFDQVRSFLERREELKKSDDILRKPEIIIEVENLYKRGLEYSKEISEKKLNQKLSVPFNMHMK -YLTDLLKMVDTSGAFGTRPRTQPVVIWLYGESGVGKSGMSWPLAIDLNNMFVPNAQEAKEFSKNIYMRNV -EQEFWDNYQGQNVVIYDDFGQRKDGASTPNEEFMELIRTANIAPYPLHMAHLEDKRKARFTSKIVMLTSN -VFNHVVNSLTFPDAFRRRVDLCARVYNKDEFTKAGYSKTSGMAVQRLDKQKVQRETGKIISTDVYLMDLV -DPESEATITEGLTYDEFLELAQQKTREAFSSSIKMNEFLEDYAEERYKKGVHMQIDLQPQLITLEQAEID -ISNAFSFNFKDKIFNKSGESVDITETIIEDTLKYDGVSDYTTFMNKIYDNIKKTYYVKVDPLITKLKMFK -NIAMTSLKEYAREAIRIVTEHPIAVLSSLLLILSGIFVMTKVYNRCFSSMINEKILKVDKAQLGCVAVKL -AEENKEYNDVSGMKPHHVIQFLPSILENPRPILVDKVNTNLVSALNSVGENLDIYVKEPHFSYNGKKFIL -EASVSGDPNTIKAKTVKVEASASGDPITCKPRNIKVEASVSGDPNTLKPKVAKFEAVVSGDPQTLKPQKP -KVEREIQASMQMWKDQVAQNIINNRILSNLYKISVKRGDREMPLLNGLFVRATNMLVPGHLIGFLCDDDI -ISLTNLFEVRFEIPWKDVIVNTITDRFGDSKEAALLTFPRYVHQHSDLVKHFSDGESMSMYRTADTCIPT -IRFSDKLRKFVLTILGNQTARCLDRVVTLCDDEKGDFYLRQGLEYKCPTKNGDCGSPVVINETRVLRKIA -GIHVAGADDGTAYAESVTQNDLERVFKKIPVNMQIETDFDGVVDLIEVDLPFNQEVTEDLISAFKLPSKC -FTPVGRVEPLFEPCQTEIRPSLVHGQIIDIKTKPAYLKYPGINMKHKNLAKSALNTPYISKEIIDRAYTF -VKAKWFQGKDEKLARVLTWDECIVGSPDSEYIGPINRQSSPGYPWIKQRQNGFKGKTQWFGNDENYFFSE -EILEACKFREEQAAKGIRLPTIWVDTLKDERRPIEKVNALKTRVFSNGPMDYTIVFRKYFLGFVAHLMEN -RISNEVSIGTNVYSRDWGKTARKLQEKGSKVIAGDFSTFDGTLNSCMMEKFVQLVNEFYDDGPRNALIRE -TLFLDVFNSVHLCDGIIYMTTHSQPSGNPVTTPLNCFINSMGLRMCFEECARGTKYSMSDFDKHVSMVSY -GDDNVINFSDAVAPFFNMTTITSAFSKFGYIYTDEAKTLSGDIPRWRDLSEVAYLKRNFKYDHDRQVWNA -PLSLDTILETPNWMRGELDAETGTKVNCEVSIMELSLHDKETFEHWTNKIDKAYLKTTGQTLDHDSYLGY -WHTRYMDYYL - ->YP_009342286.1 hypothetical protein 1 [Wuhan arthropod virus 2] -MNSLYKNKQNKNEKRENEMLEFKRNHPFREDWNDWKKIVRNFYSPRVKILQRYINKGYRIYSNEQVDLEE -MYVIDEIQYLCDDYIESLKMIKNSENYVELAYEFFRKGKAFMYADFDDGMWCECNFNCESLFDNNCLAAL -HHLQAHCIAFIWAIYKNFSKQQRKRVKKYYERLEQIILSMTYKHLRCIDFHVIIKPFNYIHLKNSLLISL -YERFYFEKWTAKDLKEIPFIFEYMKNFRTPISQGGHVTCQSFSDMAVLSNECAQELYNFVNNKSFDDIVK -YFNIRSQGLFTFNHDLNLTDNSIEKLRSLLNESQNNFAETMRETLLGTGQKMCAMFAIAVTVSMLSRIAV -GVGVAVVMKMLHTVYLFMTKGMYTEEISNSLARSQSGKDCSIPFIPTLILNYIISPPKDLLTKIWKNPNI -DIIMRRIGFLGDVKIDRGIERLIDWVKEIIRKIQQWYGQDVLGVSCGLHGFYESEKSPLLSWYEEVDEIC -MQYFRDEFRWTELEYQIVYNLYKQGLSLIRNQEFSKQKNDVYRIIRQLTTILEKFKLKGICNQNIRNPPV -TIYLYGNTGVGKSSITYPLAVEILKAIHDREGSQINLKKEWKNMIYMRAPEQEYWDGYENQLVTVFDDFS -QQIDAQQNPNVELFEIIRSSNCFPYPLHMANLEQKASTTFTSKIIIVSSNLQSPQCASLNFPEALKRRFD -ICIEVDREYTGHTNAFDPTLYKLKKFDMLTGISSGSIDYKGLVNDCVDAYFGRKNFVTTIENYIDSILDA -GVDTVDMEIPQSQGGGLDDINKENYVDAIEDQEYVSNVPTPQQFVQQVIENKPLQDHMDRIMKRLLREEA -SKIGVEPGLFEAKFRVVQPGCSKWAEEIDKEEEFNTKGKDPFWLLSYYVVESVKKTRDTLTSSWNQFKEK -HSYLSKALIAFSFVTVGLTFLKVFFSMKSMFGNEAIEDKVRPARSVKNVISEGYNVAKSTVAKIESYVAP -KATVAKIESYTPSKVLIAKKESIATSEGVKDINATEIMMKIVRTNLYKMFESTQNTPIGHVLFLKGKIAV -MPKHFLGGFSQSLRNDPEAYVYFENAFLERTFSIKIKDLLSTRIDYESPCEEDGPVSSRDLMCFTVKTSI -YHSDATNYFVTKPSMYRVDSSDIMLPVLVTNRHKDSNKSCLLIRYAQGRSQLECVERLPVADEGDVIARF -IRNAYAYNLDTQETECGAPLIVRNSLIQPGKICGFHIAGICGTGQGWATCFYREDCESILSKFSEQDTTT -VEIKNKLAEFPKEQGRIPENTQFVHIGKFERKVAQPSKSQIIPSPLYGKIQEPKTRPCLLTKTPDFDPRS -YRLSRLGNITECLDERMIECAKDALIDEISSVLISNKENINNNCKASYSFEEACVGIEGENYINSVKRDT -SSGFPFIFMQNFSRKDIFGNKDEYDLSTPQCEILRKRVMNIIDNAKNNVALDHIFMDTLKDERKPIFKSH -KTRLFSAGPIDYLIACKMYFNGIVNLLSINRNTCHISVGTNVYSNDWHNIVRVLHNKSKLLVAGDFEGFD -ASQHQRLLEASLEVLIELSRRFLGSTEEDIKVMRVLGVSLVNSMHIMDDLVYQWTHSLPSGHYLTAIINS -IFVNLAFGCVWQLNKKYFSYRIARSFWKKCGIVAYGDDHIVSIPESEIKDFNQFTMPKLMKQIGLSYTME -EKEAEVLTPFRKIDEINYLKRGFLFDKTLNRYICPLQIDTVLEFPMWNHKCPDQKAQTIVELEKCIEELS -LHKPEIWQRYIDILIDCGRTLGHYTENIDQEETRLVALGQNIFI - ->YP_009337725.1 hypothetical protein 1 [Hubei picorna-like virus 22] -MAYSTSQPQNNKTPKNPPPQKQQRLLTEVLRSLEATGELVTEGCCSLHCERPYFIYSHGSRTVVKSAGGV -EECVFDDMPTRVFYHCKTHFEHRDVMTAIRAFGHAEVAELLNLTGKPSYTRKLRTCPKGCSSCGQLDCKC -ATPMCYTDSSDNDESEDSCRENEVWGYYTLRTISKWQRFLPRAAKAQSNFLQDAFHSVSVFFSSALNSLK -GLGERAIKAALRAVFGYAVDVLKEYFPSVNGPVMHFYALIVVTLCMYAMKIPGEVRGALITLYSYVVELS -LPVEIGALVMNIFIGGSWRDTPHRRARAQSGDDLQSLVTKAVMGLAAYCMTQAVPDTKATSEFLVKCDRV -PKAIRGIGNIVEYLTTVWDYIQKQMAKHFGWEYKIDSEIPIDVISNHEKIVFLGHADQRSQIPFDATIRE -QVKDAYLTYHRLRLLHQPSRTIQTFMDKYGGIIGTLMSKVSDSTAGQTENRQKPVVVFLKGGTGVGKSEL -LYFMGTDVLVDSKILTPEMTDDAIKRKINACMYPRYVENEYWDAYNEQPICLYDDFGQMTDSPTNPNLEF -MELIRSANRFPYAVHMADISQKNNTFFRSQYIFATTNLDSIDPRSVVDPAAVRSRIDFGYNISVKKEFQV -NPEGTREEDHKLDRTKLVASDGPTLAIYEICRWDPVTGNVCANETLSFPEMMAEIKLKRRAYAQHHEKSS -ETFVSYARRRMAVAQGWPQLHRFLRFSPEEIRTRPVRATFCFLLNEIALECREMITRAQTVMQQVWQKIR -ETVTKYVNGWALFGTLCGMLTYFFLRGSPKKGEDDYDDETAALLAKRPRYEILAWMDDAEKEYFREKRRL -PPRISQALQDDRMPIPLWKAKGDEIDQFQQIWTNDEAIEELMDEGEAAYLAETGILPPSVLDKIPPNRHI -RSESGRDLPRIIARIEGRKAKSQAFSSKQALEVVNVARANQIWLEYAPGVKALALAVGGRKVLLNRHYWK -LFGDKFYYQSMTSKSRIEVKKEEVEIQEIPRSNYVDAILITLPRRVPMSRSLWSHFIRKKDLISLPGKYA -LLASRELDGSTTLKSGKIRKFATEKIDMDHESGFLSEKMTFFDSDIRTIDGDCGAFVVLDDDQFAGKICG -FHFAGFYEGGALSVPLVYEDFEVLMSGSPTLVVPKEVLPEEGETFRHATFQGRVRRGVNNPTTTSFVKTD -IFGLVSPSEMAPAVLAPLSRENGPGRKALMKVDQDVPYIEPLKLEKAKESFKEKLFSFPNKKPFVLTFEQ -AVAGEDSLRFAKGINRAHSAGYPWMLESTKGKRKWFGDDEWILDSPEALKVKAEVERKIELMKNNQYEPS -LYVDTLKDETRDLERVALGKTRVFSAAPMDYIILMRMYFLSFFSFVMEHRNENEISVGTQAQSPDWDLMT -KRILSNHGGIIAGDFSNFDGTLHSEILMTVLDIINEWYDDGAENQRIREFIFEDVVHSWHLTEKEVNSWN -HSQPSGNPGTAIFNSMYNSLIMRLCYYDLEPMNMYELDTFNRNVVMVSYGDDNLLSVSPSANWYTQRTIT -DAMVPYGMTYTMETKSGEVCDYRTIEEVQYLQRFFRFEPKISMWVAPLKARSINERLNWNKKTPSPLETL -TENAKGAIAEWALHPEDTYIMNMKKIQNVMVTTMGVYIPTRQQGYYLGFVRTGEYGAAFPAVSYT - ->YP_009337682.1 hypothetical protein 1 [Wenzhou picorna-like virus 26] -MDSITLYTNLTVCDHCEKGHTTKKATIQHLANSGCTGSTICYCRQKVTKENAADHMLQCRYFGPLGCTLC -PERHFKSLEKANNHAWSTHGGGRFTPIIQDTVDFKMKAQIGTRFSQMQIEQLKERARNNAQKRTILKELV -KESNFAASNSKFTQLVEHFGSHRVVPLFSRTQGRLHDVPVQLADHTITVRVNMLDPDFRTMWMRLGPVVV -LRAQALFGIDINHHTDAALNGLAEQLTTLLRGLNVEIPAIKKITSLCCKLVAMICAKFKPGVVAPLIIDT -LVTSGVSTELAQDAWNMVKDHFRTVSHLLRGGLFAQAGDVDPIASLATVVAIMGGTMLMKKIPRESEIND -CVAGATKLGGLVRGLTFAWSGLEQLIAFVLKKIFEWQTGLPAETKDLEQYMEGIAAWFKEIQEIVGLTTA -DEIARDSELCARLESLYRQGLIFSQKAVESKAPRDILGPFNTHWAVLKNLYEKATASGAFRSGPRIEPVV -IYLHGTSGVGKSGMMWPLATDLLKIDGIPTDSEGKKDPTREIYMRNVEQEYWDGYKNQRVVVYDDFAQIV -DSAGKPNPEFMELIRTGNLAPYPLHMATIEEKSKSYFNSRVIICTSNVSVEQIRPESIACREAVRRRFDL -VGEVQVLPSFARKGEDGKTYLDRAKVERITGSPKPSLDVYRIWLRDPLTGRLAHDEPLSYQEFSQLAVQK -YRDRFTRSSTMQRFLQEYAETPLRAQALTPTEEERWLTELDTDVKLVELQGMTGWTGQQIIDFMEIYPEI -RELIHPDTQPALDEFHSTREIFNKTCNVIEMEWSTLISEQEQMWTADASHRLKQLVKRDTMLLCSVGDLL -HGIQERVARFNRKVIERLRRESDGWLDRVKAFCADVAAKVKEHPYITIGLALVPILLMAVGQYMRGTKTV -AVGPPLDHRHEGLTRGERTLHRHVCLWCDEIFEHTHIIKTVQESVHYPQLCGKCDRAGTVVRFGERNGEP -GFEILRGHKMRFTPFEFATELSGSGDVHTRKKEAMRTELSGSGDVHTKRKEALHTEITGSGDVSTKKKQS -MAVEIDDAVGDDYEPVISEEKIEAQLLSDPNAFQVSKKILHNMYNLDLKTDGVWKARIKICFIVGRTALT -AGHLAPHLEKAEEVRLSNATVREGHVIPKEKLKWIKVDGKGGVSKDQLLIVFPKSVHDHADITGSIASST -ELTRFNTVNGCLMAPADGVVMMRYGRVTAVDDVAPYGDSLGNSYKLRSAYQYHLETKDGDCGAILMGVHV -GLARKIIGVHVAGTQGIGMASPLNIDDIRRGLAKVELDAQVSLNLDPLLKPPVAGQKIALPEGDFVPVGK -ALFKVASPTKTALRESAVYGLIVEPSTAPSALQPQKVNGVLVDPMQQGLKKAGKIPPSLDATRLAIAVND -VERIVNTLPEPDHARVLTDDEAVAGVEGDAFLAPINRKSSPGFPLTREKKGMPGKMRWLGDGEYKLDPEI -KEMMKQVEENAKNNVRTPTIWTDTLKDERRPLEKVRVAKTRVFAAGPMVYTLVFRKYFLGFAAHCAKNRI -DNEISIGTNVYSLDWTRTARRLRSKGDKVIAGDFSNFDGTLVLELLAEVVEIVNKFYDDGEENAQIRRVL -WKEIVNSVHVCGDNVYLWTHSQPSGCPITAILNSLYNSISMRYVWLTVMPEEYCTMKAFNEHVAMVSYGD -DNCVNISDAVIDYFNQLTIAEGYKEMGMTYTDEAKSGDMIPYRSIGEISYLKRGFQWDEGEHQYIAPLDL -SVVLEMTNWVKGDFDHEERTVENMETSAFELSLHGRETFDQWIEKYKQAARSFRTRPLFLTYDEYRFVEA -KKYGRLAAACN - ->YP_009337654.1 hypothetical protein 1 [Hubei picorna-like virus 25] -MTHPTQNLNQLNKQQRTELKTLLKQIKKLKPDEMLELIDYLDKTADLLVIFDETHCKVTTNGTTRIYSRT -GNDLRDVIMTLFFGIEQHDDNWNAQGIFDFSITHNVKTTPEIERVIVDSADRLNTMAQQITDIFETFKAK -IGDNIPSALSSLIKHISEAVLVSIMNRKKFMKVFTTTIAAKILIYCIETGIKYLDLFNMAKNLITKGQEC -WSSQGLTDFDFSSIQIEKILNIKTLAIPFLFTFLISSFYKIFTSGTPTTELVTATWKTFTKLPKEHSNIL -NTLKDILNFIGEFFNINVGKNAIKIKCSRLLEDITEMIKIKDNVGGQSRDQDEISYVFERAEKAFSLYEN -YLELVGECEKWGLKAEVKRLQAIKTQVEQLMKHYLAHAKYLQKDRKVPIFVLMRGEPGCGKTQFLNLLSN -FASKLHKPELEDKYRITDIFTPALGSEYNDGYYPGVTSYIFDDLGTVADSVANPDPTFANLINMVNNAPF -SLNMSKAEEKGKIFFDSPFIFATTNQEKWNTKSINNAGALARRTYLDILVEPNPDLVHTYIKDGQTCVKL -DEAKIDALNVAEGHPPGHYNPKCSTLKVLLCGQLTRQEMSVNDILELLAEEYYRRKWSVNMKESTLNIVK -DFEDKLATIEAKYKSQMLEEEEEFHDALEKQTFWNTFTTVTPERHLVNNRYLAMMQKINPDSSYNQAVRM -KNLFEVVEVVYNTTKTVANSIMTTFSNLWEKFTWKKTFLASFSLITLGLGYLAYNYWTKEAPRRESQFRL -YVVDNEWDWTILDKEKYLDFAKEITLAKNIEKHWGKCYLINSLGAELFDPFVIDVITNYAKYRTHFALGV -RRRDMEKLRKIISVMRIAKKFDGFDELSIGDAHDIFSESRVYNDDVNKPRRNIESKTYNPDGNKVRVNIE -SKTYNPDGNKPKVNIESRVYNDDTNVPKRNIEGLDKSNFLDGLKTLFSQGHIDSTANALLRNRIPQSTGV -VAVYDSNERKIGSMHLTMLKGRIGVTASHLLLGKPSFLKVYFVNKQPYEYSISELVFHEDTSRDLLFIEF -PNRHEQFKDITKQFVIKSDFKHMTNTKGALYTYPNLADCDLSRSCYKSGNVYAVTTDYVIHTSLKDETAG -IVRRLDGLTYDINTDFGECGSCLVMANPMLPRKIAGIHIAGQDASPSGLASVITQEYLEEVFVRCNWKSQ -VSYQIEKIYNLDSESELSNIIPEAPCHGVSILGKIIDSPRQPTKTSITRSAIHGVFPPETKPVNLRNTPE -FDIFRLNSKKISLPTKKIDEKYLRMAVRDVCRTVNIGQDATLVRVFDTETAIAGIPNEKYVDGVKRQTSP -GYPYIKAAGGDGKRTWLGYDGDYIIDKDVVDLVDIVIDNAKNLERTPNVFVDSLKDERNVIEKVDAGKTR -IFSNGDFIHTIAMRKYTLGFSAHLMRGKIENESAVGIDVHTEWKKLADFLLRDNKTKFVAGDFSGFDGTL -NAQILWAILDVIEDFYYNATEEDRRVRYVLFSDLINSIRLQNGVLYQCDHSQPSGNPLTVILNTLYNMIA -IRIVYLICKKKASMRPHLSDFRKYVNFVAYGDDNLYGIDDCMIDIFNQHTVTAAFAEIGMVYTDEAKTND -GSVPPYRTFSEVGFLKRTFGKLEIGFRYPNIYLMCPIAQRTIRELCNWTKRSDDNLQATIDNIEDAFHEA -FYHGEDFYNGFTEHVSKTFKHYCTINKLRANLKVKSWDELCDEHVERNFPQTGVLM - ->YP_009337332.1 hypothetical protein 1 [Hubei picorna-like virus 18] -MNKSQSQLRKTKTTMNKSQSQRKPATPSHNDDLNCLSKDQLDFLNAHGHRTLVDKSFAHYHFVGAPFSGL -YAKKVDRKDYQTKVKHKLKARTIKRWKNQKQEDWIEIFRELPEKVVPEEMPVLTYVDLEQLAKRKQLAQK -YATMIYKKGCYWCNSKAWGHWGLHTETDWLSCHCRSCQQDFYFRFGYGMNSYNGMMAIFERKKREEIEKL -ARRDPYLAWLLQSQGIEMNPGPGENTKRDSKRHMPEQRTRMEKLSNKHPDLYKKLRKQAEKLVVGKRQAA -QFKQQMEALKPKVQWDIPVTLRLESFEKMFQKFLKTLPPEVSEALKWTDIAAAIYVLFFDTSAPAKWLAC -RALYALLGIQSTTVAAFSALVFHVLRIIGFTEPQKPVLQAGELTKAISVIMTLALTIMFRQKPSQNRVDV -ALHALRDLPPTSHGMELVINVFEKAVDYVKSLFIGPNDLTQNMLLIKNRVKFYLSEEGQKAISLNLGSFT -ELAELQQKAIEIDGELTSASERAAFRVITNHLNSLYRKASLTPIAGHANRKRPVVVHIWGKPAIGKSRII -KLISADVISTILSLDGHQDQDLVDRVGEWQKYVYFSPAGLKYEQNFNAHYSRIYVCDDANQVDPSNKSDG -IDFPIKLISLNNSHDHMLPVAELEQKKDARFNSALILATDNVQSPDLSKSVTCKEAYFRRLDISYEMRLK -HEYSKEDRIATGEKIRVVDMSTLERGKINTHIYEFYDPVQERIYTYDEFVAKIIALLEHVHMAHHSDVSL -FKDHALKKVQHIQERIQKKETESQKKPEEPQPGPSGVSLASSLDDISIYDAETKEVIGQLHNEEIRNREF -TKQLKAEKEEEKLEEGRPLTRMEQLEENQKKQQEEMQELNKRYKELLKTSGDRGHIPFQQHNRNVGYREH -TPYQRGRGKPKLHSTGISFRVNNEEVDLDEELRNVQAQQETDDWMPFWLASLVIQIRAWNWFTNLKNRIF -PPKEPPARRFTRIIIVAAGLFLMAWGANKLFGIWKKNKTKKGRSFINNDNQGYNDGNAKGKKKPPPKKAP -PPEFTPARLHRTNLNPPEKLYNLIEYANSANTQVANTGSYAIQKVLCTNAYLIQIIFTRDLDGRRVGAFL -RGFFLKGSIFIVNRHLVSISDKEWQTATFNLYNVFNKIYDIPCRDLPVIELRQNGECHHDVVAIDFGRHV -KTYPDLTRIFGDGGSFIPHAKLADLERKRCSVFTIMLNMQYGEENEKLVPTGNTAWYAEIQHTYIKHVSG -EWMECTGADGEQLYTYDTLEYEMQAVAGYCGSVVIMNDPEYNGKIVGIHMAGYECADTSYAQALTKEMMD -HFNLQLQCSSQKFIHTPVKTVLDTSKFFVKGTIPLAVRSVAKSRIFKTPIYGKLIESQKKPAHLGYFEGK -HVVNTAMLKYLEPSISTSSETLSLFKALLRSTFSPTRKISEFDLPTAIRGIPGSTYVFPINRSTSPGYPL -CQETKKKGKTEYLGQDEEYIVDHPRVIQMVDKYIQDAQNNEDTSAFFVVTAKDELRLIEKVDQGKTRCFA -AAPLDLTIVTRMKFLDFAANIMENRISNSSLVGINCYSAEWDQAAHKLLGVAPATSRQFIAGDFTNFDGS -LNRNFLWAIYDFIEACYGRSDDLVSRAVWRDLLESKQIFGNAVVQLDRGHPSGHPLTAILNTLYNAGLTY -VVLYQILEEIGTVESFSIQEDLINNYAGLYYGDDNCIAFSKALANIIEPEMLPRKMAEFGHKYTTDTKDG -SQFKFETLSNVSILKRRFLKEDLIWYAPLELISIMEPLNWDKIKPGQIYEKKQQVATNMRIAIRELSLHP -KSVFDHWSKKIHDLALEENIALTPDCYYSQSILRNNLKRGQETPFLFRDDGYLQATLFANTSLEASDMVG -EIEWNASEADAESSQKSPGMRIHAGSRQDGSPIEELHPKAPFEVLSDSLGLI - ->YP_009337313.1 hypothetical protein 1 [Hubei picorna-like virus 14] -MAIKMIMSHKIIKNLQRKPTPVVLPKKNYLEFCMKISDKVNPVFFEDDTLVHQTHRESYFEKNVLSRNYT -GFLMQRFLFSGDEYEWEDFKSFDCNPILATLRLAIRQYFNLPYVCIDTIINEMKQECFIEYNNYKTLIPI -NLLWPMLKCKINNACMKLRAFKDIAPTDKDFRSAMRRSFHYFEEEYSLANNSIITYIAFVDHYKIPLRSH -IYSSNINASILLSQLPQFIGFIYKYVNVKKLDYTFRFIGEIYSSLLYCNLQYTNPVEITESDFVGDFVNH -MVDLSMYRPDHIIVKSLKEKHVLFDRLSSSIAQFNLDHKVSIDTNFTNVLEKLVKVFDLAISKVDDTMKT -TMSDLRRKLSAFITICYNIYRCTLGELKLQDCIINIIGFLMSTSLSDAIIAGVRSIINEKIAQNSDTFDF -IAIYKLIGLAAFVLFAGALPDTKSFTNLTLKLDRIPKSLDGLAKIWNYFDGIMDTIWPWIQVNLLRQEGK -YIPRVVSNEVLKWTEDVTELLEYSKRQEIRTDPEKMRLASKLYPQGLRLVRQCASQKLHKRNADMLSKLL -PAAKILMDDATKSGADKMRLRQEPLMVWFTGGSGVGKTGLTYPFIIDMMRIHGPVPSNYTQNIYARMAET -EYWDAYTDQEYIVIDDAFQAKDSQVNPNPELFEIIRMGNMFAYHQHMAALEEKNNTYFNGKLVVLSSNLE -RIQVESLNCPEAVARRINLAFRVGIVERYRKYYTDGSGRQQYKLDYAKARIESNKSVNFDVYEFEQFNPM -SNEVIRSGLSYGEIVLLGQQYYHNNTLKHDSLSEFLDDYRQREDVAIFKENANAFELLEFSSEGQVYLEM -VGDGLKRNMAGNAIKWGLNHSWQLIKNTKNKIYNYIYPPTIYDVRTVSRCVETQRDFVVSELIRNANQVK -YFFKSEEQKREAYLRSKFTPIWDVFVAAAALAISGFTLYAVCRIGKGVCEELFIPKYVRDEKKMIEAVEQ -GNKCITNGCADCRKCKYTFETDNQLKWYTSCSCYADSMEEVKDYFNLYATAAFNHKSRKLEKITKINPET -LMIILEQMLNCDCSKCETCKDDKLIDKFSDVCAVYKCSCVCVVARLSQGFALVELLSLIKYIGNLDRGII -KNKFLCKMYQTMKSDIEMFEKSEDYSILSEQIASCEGIKVHQDQIKYNADVVKPTKIIQYQDNVVKKQIK -YNPEPTKKLNIVRYQQKDIIEEQRRAPAEDLNADTIVNYVVYPNLVLMRGRKEKDKESDTWHTLGCILFI -KGRVALMPYHYIVAIKEQKYFDIQLYTYSKVLRATPVTSMKVHRFEGMEKDAVLVEFPVTMNDFKDITNH -FVSIGDYDKVKGCPAVLARLTYEDEKTIKNPIYLESISVSEKEMLDSVIVKGCETVVKTREFYSYNACTR -GGDCGSTLVVMNSVVQGKILGIHNSGTPSLNHGNSVAINRDMLKKCLSKFGSIGQYAYESTLLTAPLDSL -ADSGNFGLHRLVDERLPASSNTALAHSSLYGALIESPNMPAKLRPFKNDKGELIDPIVLQRKKYGIPRPY -LEQDLVDSIKEGMKGQYYISNRHTPDYYRMPLTLEQSVKGIEGDQYINAINRSTSPGYPFSQERKGKPGK -TLWFGNEMEYCLNNTAFEQLVERINNNKMKILNNIRPEIVWTDTLKDARLPIEKAKLGKTRLFSAAPLDY -VIMLREIATPFIAHCSSNRIFNSIAVGINPSSPEWSVLAQRLQSKGKAVIAGDYSNFDGTLPAQLVFAAI -EIMVDWYKLHWSLIVEQRRNVICGRQLDWSEFREYCIKLYYECVHHLHITNFGKCAMMYYVRNGIPSGCP -VTAILNSIVNRLGLCYIWHKIFERKEIANVTSFIENTSDIYYGDDFIMNISHRVLDQYNQITISEALKKY -LDMDMTDELKSSRGVQSHRRLHEVSFLKRKFRFEEIIMEFVAPLDINVILDSINWVRRGNEAPDIITLSV -LEASLRELALHSSEVDDDYRERIEELGYYLASRVRGASFVSESRLNVLYNVKNSLWANELSKD - ->YP_009337080.1 hypothetical protein 1 [Wenzhou picorna-like virus 21] -MIHLSRIISILFVHEMLDREYMPKFSWIGLIDFDLLKSGEKGRLTFKDHATVVKSLTVLIRNIIKGQSAV -SSVNTVEEFIDAVSFLEMNRFNRCKLGAKPLKGQVSADEYKRLVMLCHDFLKIRNIRLTSTTSALFRQYN -GIFNEIFKDISDEARVHAEPCLNVALFGPSGVGKTSMIVPALLEAVARARQVKFDAATDQDRIYRVVPND -EYMSGFQPHKTLCCIFDEMGAYCKGHEQMMAQILSGICELTDDSISILNRAHLDEKGRVIYNPMLNVMIS -NDKTFGIDKVYERTTPTFRRFDLIFEVVVKPEYRKANGVGIDKRKISEGEWDVVFLVPYVLDKDDTLEEK -ITSRSQVSRLVPLGEEKQFLTLAEACSMAATIMQNTVDHKDVMANARGALSEAVFKTSAKSEEILMRLGQ -RTNLECSYDLFNRFLEGEAVSDFNWETIYAVKNELMRIFPENKYLQHVELDNKDTFIKDFVVDHKPSALA -DLNPWISVPYNIGKGIGNTARLAVQSYEAGEAIVVNLELFSRTVLKFLGVFPLGIYYALTRNNDVNSKKL -IRKRAKDLMSFRPITSRSEILKRKNESFNYWTIYVCVGLAVYIISQHFKDKTEKHVSRAHQELSSKQEEE -IIGPDLMGERAPPTPNITGNPYNNKEEMFIHSKSGSMDQEMFQQKVLNATVIVKIKYSSLGTHHGVEGYY -ETVLRENGFVNGFHLAITKHSARFLQDDNAELTIYRRNFKPSYVKNDVVIEAEECIVPLFRASGLSKYVY -HVPDADTSIIFLPALNAPNTNNLIAQDFIMAGDLKKVKGWNIYRDLETHQAHTSEQVICWKAASYIVDPA -QTPVGTLPGYFMNQECFVGSSEDDGFSGRCGSPLMIKVGKQYSIAGIYVSSGCKNNLNSHGYMPLNLNIF -SDAQQYFDKTNKYFKNSASIELANVQGLKNILHDVQPLSSSRSTMHYMKAKELGSMRYLGCWKSGRSFAS -HSKVVQMPLYDVIMNERRLCDYHHDLIKPSFTRKLVEIDGEIRSVGPRYHSLSKSGSPATGFNMKHLEAV -MEDMIERYLEMPGIFDDRDLDIYSILSGDKDSAWVGPMPRDTSDGFIPHAHKKHKHLFSKPTEQAPNGVM -LEAAMHREFLNLIVKLSKGERIGMVGVACDKDEPRSRKKVEKGNIRVFNVVDMHWYMLMKRYFGVFMGVF -TENFLASETMCGVNPFSTDWDQIAKKLLKHPNCVNGDFKKYDKSMSALLIMAVLNSIYIIKVKVRQRLKR -GVPQCWIKLMRAMIDDMCFPITLDGKDIVELMGTIFSGMLLTLLLNNCCNSFLIRLCWLNTADPLGRKEK -SKLLVALKNFQLHVAFQAQGDDNTWTVSDEIKDTFNFMTLHNFCKKIGMQYTRADKSEDLYEYEPSTEIT -ICKRRFVWDEERQKYLAPLEKESLGKMITIGLASKALSPREQQISGLETFFYELAQHGRAEFERVSAIMA -EIMPEDYPPVTVTYDDIVEKISGTAFFPWLPADNDKVNLG - ->YP_009337064.1 hypothetical protein 1 [Hubei picorna-like virus 67] -MVRKSKNKSSSKSTGSTSSSTGSIPTGTLVVTPSRVPSMAVVPTPSTGAIPKKPTVVPSTTTNYCAWLTV -SEKFVVLQFSGFDYKSDFRGLYNGGLTLKCPFEDANKEAFDKLKSSLPPGLPVLIYPNLLSACDKNAIIP -FDKEKADLVKGLLNRNCEDEDQLTYLQINQTIIDYVLDLKFPIPEYGHFQKLVNLLPTPRNIVSGLLMQS -DFASAQAFSLQVKCTLPNTEFQEIFSCSICGDRATGMCISCDARLCVTCNHPNFHAHEEKYYYHQSLIFP -KVNDNVPVHRLPEYDDDFNIEPCDTVKQMFPDDFPEVTEMTFPHESTKDTDTEPSEILELPKVDLKKQCY -ICLKTDITLSCNSCMYLFCSSECYSRFHAHSSRISHNAVFVDLPTAIANVSPPVKDAYKLTVVDDIDQPP -SKPSLSLKDKLVAMENVVLIEDSKNDSDVSDSTKQLLDADCLTNKEKVAAIKESKLRAKINSSEIIKVNI -VVAGGSTYEMFLSALDTVSSLKDSLCRELNVLPDRMCLRINQRPPDLESLLCEHIIEEELTVNVLIHYGI -ASPPKSVVSSTGDFNEALTSISLDDILPPKRSQDELHIREIEDCLSCKENRFSRCVTCAHTLCYVHHKIH -ISKYPEHENHAMPKEDPTPVSKLHTTIVNLQEAVKTFKFLPILNSFHTIFTAKDIPSRLAAVYAICHSLD -IDPLQELVLVCSSESDLILRMKLLWARVASNDVKIDDLLKQSNPVPPNLTFVDVVNGNFPQAGEWATADY -IRIATMAAMFILSLVGFKGITSTMFGSEFVKTVNGCGALVRNLRSCWTGMADATDAVLNEVYSWLGYDYL -EHRNSAVRVFSDRIEKLKDDLIALEGDCENELMHVLCGYDRLKCCTDELKKLRKEYALLTIDKSCVHGVS -KRLNQCEDLIDKLRKVIKSVEQVGNGKPEPTVITFVGSPGVGKSYSQNTLGQKLQDKLHGSVYTRSCMDK -FWSGYNGQSIVNMPELGMHKDYRDMEEFFRAATSDAFPLNMADTVDKGQFFNGLAIIATSNMLYLTGGQT -FPIYDRVALNRRRGLVVLVQNAHAEAYLDTHGETMPPDDKRWGETTYTLLHPTWGSTHCPDDSYPEPDAN -LPWVGRNVTMQEIVDHAINSIYQNVQQYVKRTGPGKLPISKVQFPDKTKILGFQPRSQCPNPGPFVVYHR -RGLKQAPPQVFQPRPNYNHNNRRGRGGAAGGGSARRARSRSSSPDSNDNAGIMNRAVRPLPNPGQSVIVP -VRPGGPQPQGALMLQTISKSHMYPIIIMGQSGLGKTYCLRQIQAAASSQYCEITTSTDLSKLSGDKLLIL -DDVTFDYETFQLMKTLMRQAHEGTFQFQGLLLTLNSDSQLWRDLPPDEKNSILRRSHVCELSISSVWLLK -HPMMKFQYHTNYAAFIKSLPHSDRAQAITTTISIRDAEQLDISPHLYYDYSSIANLVIENMNAKARDDIV -AYNEFSLPMPRNPDFVVSCPLNYRELTIKKVIENATKMKGVKFVNGAPVSVGYVEIVKIVKSVAGAFKDA -QSGYHPSPGRYVKKFNEMQIPSQMDLLAVLKFLDTSFGMISKVGEPIVLFVVENSCDRLKISDNAIEIDG -VEYPMVSEYQKTALKAYKRYMGEAKEIVFSLKEKLDVQKQLFLGTPLATWTRALAAGLGLMASLTAAGCF -IYGMVSGFSFSTPEKSEEISKEIIGLKKPTVPIVPKTSLEIVEVEDDFEDLEEERSRGNRGKNKGERHKD -PAYKDYDKIADKYGERVAKSFKRTYGLDGVMYGVIDSYGLDALKELGYFAQGDYNDPSDLRIYSLESNEK -NLMDSPTSKLAQQRQRQRVAQKVLSTIVDAPTGPVFGDNKKKLWKPFQELMMAKSEELGDVIVGVREDGS -CRIYFFEKDSVARFSMMDVEDPTSYKMVPNIKGGEWSVDFDRYLSLCVPVYDDDILFTLLLYIFSHGTKI -VNRANEEVVLGTDYSTWFDRIKSDKPIPEGMLNPSLMDRAAKVGNNIVMLYNDTHFECCATMIRGNYGLT -VDHAPFDRVKVDNKDYRVKVIARDELHDLCCFEITDKTWQARANIEHLLATDADLDKVRDLGKFGALFCI -NRPKTGHVMVVCEASLDSVEVPVDGKVTNTTYYANFGTRMTGVSLPGDCGCPILADTLDRPIISIHARGS -SSSSHGTLITREIFQKLVSKTQCCQNVPYEDPQFMEVHLGEDYGLKRIGFPVVNGRAIQINVPTKTNEYR -TPVDFDEIFHEPTIKSISDPRNEKGIDFAARSLKKYQTPSPTLEGGQLSDLIDACNDISKEWTAAMRARG -KKLRVLTDSEVVSGVHRQYLPHSKGMDLTGSVGYPYNVLFPNKTKKGDYLVMLPKIDDLNKYEIQNNDHG -NYFREDLRRCEGLMAKGIRPEWYFTAFVKDEVLPISKVRAKTRTFMCGPFTMFFLQRKYFGTFTWLLEEC -TSEISPQIGLDVNSPVEWRNFLMDLMKIGFIELDLDSKNHDASIHEIIDIHWRLFVMYGSKAMFQGWTKA -IENKIQVLLDSCSIPAVVFRDIVIMLANGKITSGEWFTSWRGSYANSVAMRYVFIRLSRIHWGIPLTYQE -QKENFCHKNYSDDSANTVNNDVAKFFTPDNIASVALELGHVIYCDGGMKSIDKLCFLQRKIAKIDSDYVA -QIAGPTMLRRLTWIKSKPPYWPDENLNWERISNANHLEAAWETLWDDFLPYGKRIYHLVSRYVETHWSGR -LKHQIPSFEQVLERNGYKRDATVHTNKLTRDLTNIKDLIKFQSSSLKMSTVATNADVGGGEVALPVQDGT -DNTDSAKEMIASTGGLLTVEELTRRHWIAQAPVLVETNTPAGTILGVWPIHPSQIDAFTQVALAQHDVWE -GTHAMKITPTSNVYCIGGMSLAYFPPWYTEEEIRAFNLTQLSVSQHWEINIQQPTAVSVNGHDVNQLRFR -MNTPLDTNDQNSFNGWYVLFVHSRFTTSGVDTTTLEIGIATCSDYLFSQPKIGFSLDTLTLVGANRSGIL -NSSATSLFSIGCDDAAASVYRTIVIHQDSVTALFNCAVKSIAPGGGWTLDNASASSNSSTFVDFARSVRN -VINGVVGQCKAGDNYGCATRQGDSTLAWNTNWRVAMKYQTIKSPDTLEVVLPDLCEVSEQKNGSSPCLAF -HYDLADTTSDFATSAGKTTIGFQDTCEPITEFVIQPSAVYNDVDTAFICSTTAAAMDESLVTFQDLLYNS -ISVQTQLMGNDMRNATVIANSQSQVYSLRAFPAGTIITYLRLNPNGIWTAPSTPTDIVIPVPSTGWIQLV -YEYDYPVTTPLPEWTNMDQARSYSYNVHKYRKFKPSELDRAYGLLQDIFRKSFRKGGGYKWGKF - ->YP_009337058.1 hypothetical protein 2 [Wenzhou picorna-like virus 37] -METFPLSSVIDREYVLDNFSWLSTSPSGTVLRTYSLPGDIINSTSAGNYLKEKLNGFKYYRTKFRVGVRI -VSNRTMYGRLMVAQTYLPTKDDTWKYPGSNATITLSGYPHMLVSATSSETVYMDIPFVYPQRFADLGVLE -PLVGIKITVMNPITSVEELDTSCAVIVTAQLVECELLYPTQPVSLQSNEGLLKSENGVISNALISGRDTM -AKVSSNFSVGSKLMHAYDAASHTAQALGLQKPTSQTLVEKFQKGFLNQNTYGRGLETNTVFASDPEKVVS -STVPITTTDNEMNLLKLVQTPTFVLYQSLNPLGANAATIWYDDIIGMNHNFAKYISKAFKYKSYSHKLKI -YVSASIFHNVRLVFWITPTLPVGIPSTTEFTQYYHQIYDITGDTEFDFTLPYMLPGVMTNSATTNTGCVL -NAGVLAWSVSNHLESPPIYLNVYHSAGPDFTVAGQVDVSYIYSEVPPPPGSQVELQSNPREDFTKEFSMF -HPSFKYYYHDGFVQTDVTSVKELMLRKYPVQSRNVMEYFPLMLYPNTTNGECVGYEYFMVPYAFYRGGLR -LTATSTNNGKMACLANIGGDKYLQGYVGMAGDSGQMDVEIPYYDDQWFRCISKDDPLDTQLFITGTAGKN -VFTLTSVSDDFSLGFIRGIRDGLFVTNDAYARLRSYFAQ - ->YP_009337057.1 hypothetical protein 1 [Wenzhou picorna-like virus 37] -MSVSCIHMLASPEVLASTIRCNRALCELSVGFTEYAKLTPHNILVRVYCVGRLPNRVLVGRAVCDKLGVR -PIIEHLVCGESQQYTNISRVTYCFVYLYIQEYNLVTRMYYIPPLSVEREGLRVDVTNPTPNRRNIGPPTG -CTLPEVERDRSLAGNQAALGNAIKLPNPLKVTLRSKRSKVKSPSNDLGKTRFCSPPIPEHKNNKRQNAPH -SRGKKVQVEETSLQVLECTCPTKHISSGAPRHHKRCPLVGPLSVKTMEVACVNKQPKGSKKSKKDDEEQT -LSVTDPWYPWLDVLKSYRRLARKAQLKIPGEVELDIDNWIEVPESIRQDIANMPTQYIKDDDSFLYEIGF -DMCTGGRFLCFSDIPKLAANMLKLISERPDRHSDPRIRDMDKEIRRLRNVKFDSIYDDIRDLRLLVFRLL -SGSPLSYSIDREYPLVNPQLTGNIIWYRDAHVVSIHPNDLKPKVKNALFTKVNSHINTDFIPLERAFCVG -DSKRKFLPPNRFKSIIRTLSEKYNKDFRKVWEEFGKFVPNTWKYNMLPDDYKKSLWSYNYIHPAFWSLDL -ELGKDYRDVQLVLKGVTDGIINSMKMFAKSYCEYGSFDSNTRKQINEAMTLGFKTIQRTPMCQFMYLVLN -DVMSRVEIEDYIYLNFARSIRIKAIKSHACEGHNLIHQITKRIRMECRDNKVAMTEDPWDEFGVSNFLIP -ILEPVAPVERTPWQEAEAKRKAIAKRFKKLSKKAKEVDSFEEECVELHSSETILSSMVGISHVGATLSKF -ALVNFLSLALVIVTIYNLVSSRRIWAYVFKRQRDSFVKSFTQRLTNYSLSSDTKLKLLTFTSIVQVVYYL -IIGEYSNALIGSSGLLIANHDAIVSSITKLISGEYKFDVSALGQCSFKHMSSFVDAMGFNPPSEDEESVE -LQSLADWSTKAYGVILEFMGYLIPTSVSVDDKRNIMLNLGLISSIHRAYKDVSTTMKHVISFICRFVYGV -DPYDDGLTQYSKDLASMCVEARSILDTTVSVSDDRKIDRLLELKGAIHDYLHEDKHSKLLFSHTLKMFSR -TLDDVTDLLSKLRVSALSGESRLEPVCLLLCGPPGTGKSAVMRSLTKTCIIANMKLQNPSAALTHAEIEE -KYKSSVFVKATGSEYWDGYCGQPVVHFDDVFVDKDPSKRVIEAQDVIKATNIAAYKLNMSDVPDKASTLF -KSEYIFLSTNCWKGSWDERPILGLTENGAFRRRCQIVVNASMVCTSQIDMNLPRFRVEQIINASDLNIAD -IPCLIEDDYKLMLDYRSRGVDNFEDFYSYRHVKDLVGCYISEMQLSRLLLSERALHIKRKQSSQEQILDY -DQHAANVLSLCGVQVQSRSSTWFANLFSDLGSVSLPLRTHSTVKDLCDAYTLLNDLSYHDTGNSLAYQWF -VSEPYQYFAKKVSSLSDFLTSNPFIAFGILATCVTIPAIYFLTSKGEKPFEEQSDVTGHKYYDNYRQSVI -PRNIGKHHLNKRWQKFMSARKRGYMTQSQELEPPLVSLSRSVLYANVVRYKKSDNSPVYTNNFNIIHLSG -DLYTAPYHGFMKVIEDPVNPESAYYDILEVRHNADTLTRHPLPIDLYCLGDIDMVLMQLKITPTPTSCGK -ALRDGTNCVEFKTVVVVSKGSDGEVRTIHGQVATSPFNVSYKTGSMLCTLQAPPAYYAQTQKGDSGGLVL -GLNVDGSYSVIGMHAGVKYTPDKVICFAIPITADILDVPEDTAEVVETQSLEEFPFEYQRRLGPIYQPTK -TSLRSTGVSSNRDSLCPGHVAGFPSTKKPAFLHRFQMGNTTLDPNLIALSKLHQGRTKCELLDKEELIDH -LMSHYAPVINGEFAPRLLTWDETVNGISSLNYMPISMKSAVGYPWCEGRKRKEDFIEVIASNEVGQRCLM -VKPHFQTVLDEMENQLRSGNNVEVLWLDCLKDEKRPIEKVNQGKTRLFSVCPLHYLLLFRRYFGMFTCFS -QHYNVSAPMSCGINPHGPEWGQLFERMSRFQGAVIAGDFSNYDGKLPADVGAIVLEFLQRWYNDKHNNVR -FLLFQHIFAATHINRHNKVSYVYQVDDGNPSGNPFTTVYNSLCNIIMCYCVLKGDLGISPTKYELCVYGD -DNVITISEEVKGVENLRTSSLTPHFSRRFDMSYTHWTKEDSVTKDTIYDIRYLGRKFVPVKQPSIVLAPL -EPAIIIESLYWTKGTQETFSSTLTSALHELSHFSRKEFHKYTDLITQRLQDMQEAYHTGPLADYVMTWRA -LLRKYPYDVLQERYSMDREVSFDEILAPYDLDLLN - ->YP_009337049.1 hypothetical protein 1 [Wenzhou shrimp virus 6] -MSNSNSNSNSISVELDSRIPNSNSNSNSISVELDSRIPNSNSNSNSISVELDSRTSPTQTQNPSMCQKAY -HDHVRHQTLDSLVNLLTWNSKLSPNKLFNSSPISSLSQAKRQMRALRKQALKFSPSLGGKKMRFATSQMK -LYAIRKNIDVIFSQLKLRTALRIRNDRLEELVQYIRDKSDYEIDYTHSTLINFGSYSYIEPVLMTDEEKN -LFPDFDIATFDSLTTFTYSVRNFVSMLRNCERLNRLDFFVDKDGHTLSQIPSSSPAQARSELDELNDFFT -DKDSDFRPYTDDDRDYFTEGFEDFCASGIKKALPNLASKFDDITTSLQDASDEHKTFFQRLNNLFTSLTQ -TLPYTPSVSKIVKKLAISAGLCAAQRSFIPLVTTILLEFVEMGLDDMLFKYIITSTRQIWDNCEEVYFTE -ADEETFSALSFLPSWISDISSKEMLSRLISFIPTLIIGLIAASITSLYSKAPDFHRLMDSIRNSGMTLKA -MYEGKKGLTSICSDVENSLCIALTGKSVKEAKEMRDMPALPAIQDILLQLTDPAKQNSLSQDVNSCKHIL -SIDILLQKYLLIAQNQNDRPLIATIQSLIENNKKFMRIAHGTLAQNKSARARPATLYLYGTPGTGKSTMC -QLFMERLIKEQYPGKTELEIVFNRTEGTEFWDGYHTDNKIIFYDDLGQMKEMAAAGNMGQTASEFIKLVN -TAPYLLDMSGTTSSAVKGGTYCTPDYVLCTSNINMPSSQGIASNDAYQRRFDFLVKVDIKKEFKLKNSQR -ESINIPYLAYTQNKDKFTHFNNATALTATQASEVEVAIERGDITVKFDPSIYSFKVDAINSTLKRTTHIM -TFDELFKALRQRQQRYANDHQVVMERRGQEVPAPPELTKVFSKWAKLLNIPTAQASLKFAKPCFCPFNVR -GDDFATTSKYKSVYPSMTSVLDNPKSYIKISCVGQEDPLVVSLPKPFPFDKSQATLDNLKINFPSQDKRS -MVNKIGTAKFTEIQGIEALHIHVEGGWLFNQFVHKCAAISMDQEVGFTVQQPQGETIFAAFTVTEDPMLS -QDSLHQAMIPLLNKSNEVPEELYKPVSESLDRYLSLLERQTPSPEPTVEDLCDDDCSFHSCKSGYSTISS -LDDYDDFEEHEMYDIHSVRTISSKELPYIDPSTCTQSTVANLARALLCEEEKDYLVVETDDGHDFIAEAD -FGNSAYTTLDLIKDKFSTAWRQLVAVPSWVWGTGAAVLAALFVGGLAIYKMYYTECKVLSYLKEGDNFLT -LFSTRTCFSNCQFCKKMKKASWSKIMLTLDSKGMYSFTSYDKTNFCTNIVQLAKEFNVTVNPSILCDALG -IARACVPDVVMGEMEITTEGRTRVLTTEEQLKKRSEEIESHQNRKLNRRFARTEDDWRQESEDEIESHQN -RKLRKRMPRTENDDKYVPPHKRDATESYQKRKLKRRTVRTEAVIQGASSSKKLQLGTIHYDVVEEHSSGW -KKIKRQNFKTNTTSEIVGERSGKLTAKVESAGALDFFVEASYDTNATELVDRAVTKLNLVKLIVDHTGQQ -TSTCGLFVSGRIMLVPRHLFDPQPEFVTIVTYDGQCQKTRIVNQIPVTTAEGESVDLVLAECERNISARP -NITKHFISSKQLSFLPSHLSPYCKIITLRPYKRTANLALCLEGVHDSHVEYTKRRARGNGNIYTTIDSIT -YNANTQYGDCGGPFVIYDPSIDRKIAGLHVAGSTGFGYSQLVTIEMLERNLRDFGFQVQMEAPMLDQVED -NPLDNSLYLGEIKDPIHMPKDSKIDTTSLHGIFEVKTAPAVLYDKEVDILMKNAAKMTKDTPLLDNDILD -KCENDVLSTLNANKRTPKIYTLAEAIQGTDEDYVEPLNRSTSPGYPYVHERQGNPGKTKWLGSAEDYIID -HPDVVDRVNRMIDNARAGIYTPLEGAFIASLKDERRTLSKVQAMKTRVFTACNITLALAIRMYFLDFLRH -CMENKIDNEIALGTNVYSLDWTRIARHVKRAGGPIIAGDFSNFDGSLNSQILFRICDVINRWYNDGEENA -HIRTTLFHYLVNACIIFRHQTLVLNHSQPSGNPLTTLINCMYNMFIFRYVYSVLKKEALGNGTLFDYAKN -VSGEYYGDDSLIGINPDILEWFNQITITDAMKRTGHEYTDETKTTSQVTHKSLEDVTFLKRTFVPVPGFP -TQSMAPLARDTIEDMVMWKNTKITDDDALAQVVPMATVEASLHGRDYYDYFTSTILSSLRTRFKIDVPSY -SDCTELLECQRLGQKTDNDLLRMFFDRS - ->YP_009337036.1 hypothetical protein 1 [Wenzhou shrimp virus 4] -MDMKLNSLFNQTQTDSDLTVEFFRPCCHLNCEVVPGFCSGCNVKSCRCQCLSFRRYRQRLMLASVLFDTC -GVNQSPEEPSAPIDTLSALAETQGFVENLFPSVKNAANGVAEASFNLSSAINEIRSWCDKSPISPDKIKF -VLFVVNQILLIRDSVSSMKILQVLLSIYLFSGLGFSEAFQKVQELVYYCLGKSPEAFDMQTSFTPLPDTM -RIDDDDDVAPVQMGFDLSIFQPVVDALADNSVLVSGAIIAMITTVCSLPFSTTSLSAILTKTKKIGDGAG -AISGWVKSLLEAIRNEYYKHIYGCTKEEHDLSELIPDFYALMQDTYTLLSIPIEQFSGSKKLCDIVKGMY -LKFQQMNHDIVARGKALTRELTYAFYALERKFLPLYDIVKASPLFNNVSRTRPNTIFFYGRPGVGKSNLV -NILTAKCARRLYPNRVFNGENSVMWSRRIENEYHDGYAHQPFVQFDDCLQAVDSKANPNPELREVIYMVN -DAPYQLHMSDIHEKKNTYFDSEHLIASSNQKIPVASSIADIGAFTRRFDFAVEVKVSPQFGKPHTDPIDG -QTYCMVDKAKTSSALDTSIYQLHLYNLENGKPLMSSNSQPVVYNFNEFVDMYVKHCERRRTESVDTRKAI -YDELGVIEKETSVPKMKGDYGVTQGGEMEMLEDGNIFAALHNVGYQRGLQRDVLQQAMIELPKEISPELE -QVVEELDGAKYGDVIDGDDEDSDAFFTAPPRDNTFVEKVKERYRKLTTAFPKMMKTALERMKRKTNSVLN -IVKKSAKWLFLAVGAAALVAAMVWWKRACKLQDPTKVKNDFNFFCEICTKNCDTCKYVTSVLGTTVMFGD -DFRQQLGEWNLRAAEIMMRQNGEWERVAKHWAHFLEDSAVPVQMGRDRRGRVGLRKPKIQAESYARQSPI -RPESYSRDVSQVAKVQAGSNLDFSSILASSEQYISVLFKNSVTFCVQKGFNVVRVNALFLRSRALLVNHH -AWCAAQRAGEFTIRNPGQIDGTKIKPSECAFERVSKSGHDQDLVIVNLPRHIPSRPNILNKIVEQSNQAV -IADCSASLVGFNQVNYLETMFERTFESMEVKNITTTDCVDERRVIRCGYAYHVASKPGDCGSLLFTHSTQ -ANGKLIGFHTAGNAKLGQGYAEALDRTTLTEILKRLNVGDCEPVVGETHGFSRFGKWHDLGDVIHHGDSE -FLPHQATQHEHTPSPLSGILAKPKAKLAHLKPVMVNGHLVDPMKKGLAKVANTPPRLSPKLLDLAVADVK -RTLDKTISGPLHGVLRYEEAITGIEVDPYMGPIKRQTSPGQPWLANKKTTLTGKKEWLNKIVNGEVTDEY -RTDEPELKAAVMDRISKAKQGIRVPALYTATLKDERRPAEKVDAVKTRVFAAAPQDYVLANRMYFLDFVA -SMMANRIENEVAVGIDHRTEWPELVLWLRGYGNNNVAGDFSNFDGSLLSEVLWRICDLMNGWYDDDNSLV -REVLFEEIVNAYVNCEGHVIQWTHSQPSGNPLTVIVNSIFQMIMFRYVYLDLKAKEGLPLSCDFRRNVRM -VTYGDDGVLSVKSAITSWFNQETITQAFAEAGLTYTDEAKSGAVYQVRPLKDISFLKRSFVETDGIWMGA -LDKDVIYEMCLWTRPKFSLLQTQYNCNEALKEALAHGEEFYNSFCEELQAAIAKASINIDLEAYTFSEMM -EELYPSYF - ->YP_009336994.1 hypothetical protein 1 [Wenzhou picorna-like virus 28] -MAQIVFCSQCPLSLDELKNQLRCIERALEFNTKAKEQATPEQVVTLQVMIRWLQNSDALFDVECLHSDKT -HQCCFRLGTSTFYYARPLSYTDVSFSNTLIQFTKAVIHPDPLLDADIEHFHNRFYQISERENLVKNFTFE -ALHKRSRLDAMLAKLDIQTRLPSFWTSQSADDISPNAAACLEQYPDALFLDLLTDRENFEVISAAAIDRL -RSRIAQSDDQLDRARLLKLVSILVRLQRSQMYLINVRRIRGRHLIYSYDVAGHTIEFVELRNHDFLVSIP -ITTSQLLIAEFDDEGYSSEMLNVNVHHKFDWNSASPFVDSILGDVKKTVKDASVYNMLVGIASNIFLIWR -HLSDPITVGLSLINILTYFKVGYDLALRAADSIKAHVFTILDYFKTFRSQAADGYTALKTLMLPIVATIT -TFLSVLVSNRLPDQKTIKDSLDRMASFGRAINGFEKTYAFLGEWIGKAFDICYAKICGMPREALDIEPYI -ADIHQYFADIQDVVKRSNYDDITIDMDLGDRIENLYKKGLKYSEQLSVLKLSSAQCQPFYVHFRELSKLY -GKLTTEGARYFSPRTEPAVIHLHGDSGIGKSGLVYLLAQDLLATEELEDEVVKQIYMRQTEQEYWDGYQG -QRICIYDDFGQRTDSSAKPNEEFMEIIRTGNIIPMMCHMASIAEKARTPFVSKGIILTSNAATFDIKSMT -HPTAYQRRRQLVAEVVVNPLYAEKVMVNGQPTLRLDPKKIKEADLPNLADEVYFFHVVSRDTGRGELVLD -EHGALQKKLIPYSEFKKMAIKAYESQYEMSKARLECLAERAKKIKAEREGFRSQNDDDPLTLAEYAAVKY -FLQMHDCEFTDVAHEIKLPKTFDKFEKEDFTGKSCAQIMDYILQNYPDAATFTPKFSEKVRKIAHDYFIL -GKEFAFRSAIKTSTYLSKLSERFAHTLRATIDADTPGVRIAKYVGGIVAGVSAMIGGFLLYQRLNPGKIE -PAKTEAIATTCKLAKLVDNEYDEITLDLATQIVGSPCLSDCKFCDDFRQYLGSSITYDCLDPQSIIEAGH -SLYEKATSFTFRDAVEDLSEAKKYDANRTSAKKVNRTEGKKYDASRTNQKRVARTEARKYDANRTSQRHT -VRTELRQTQGMESEAVYDMNQHEIIQKKVMKNMYRVYVPSRRAGTEWRSIVNLFFVQGRTAVLPTHAIER -IKMADKILLRNTYQKQGMSINTSEIDFAPIMASKRSASIFGQKNLEKDASLAKFPIQIPMHMDIVKLFAT -SQELSTVKSCRATLVSLKDVHDDDIHFSAQVFSDVVAKDTFSYQHSNIDGSERLYALRDCWEYVCDSEPG -DCGSLLVLSSPAVQRKIVGFHVAGKAGKGASTSLTGEDLMRALRPSEHDPDWRAHCIRVAPEVGDDKEVK -LPQGDFIPIGKLPRSYRGGNKTKLRESPLQGALAYNDGKTLTAPATLNRIQVGDELVDPLEKGLRKCGVQ -PMPIPVELVNAACEHFSSKLYQNIDPDHRRVLTHEESISGIPNDSYAEPINRRSSPGYPWIDSAKGTLGK -TKWLGDGEEYIYDHPELLTALKTREENAKQGIRTPTYWIDTLKDERRPIEKVQIGKTRVFAAGAMDFIIL -FRKYFLGFNAHVMKEKIDNEIAVGINVYSPEWNKLGRYLKRQGAKVIAGDFSNFDGTLNAQILHKICDII -NEWYDDGPENARIRKVMWEEIVSSHHIFEDNVYSWTHSQPSGNPCTVIINSMYNSISMRIVWQLLMAGTQ -HAALSNFSKYVNMISFGDDNVLNINDLVIDRFNQLTIAEGYAQIGMTYTDEGKTGEMVKYRALEDVKFLK -RGFRYEKGVYLAPLELDVVMEMCCWVKTDVNTIDNTITNVETAMRELSLHPKDVFTKCKADLLQACRKHL -PRQPETLTYEDHRLQDFESYF - ->YP_009336976.1 hypothetical protein 2 [Wenzhou picorna-like virus 35] -MCNLVSVFCQKSKLSGVASFNGQHLIWCLITMASTTHSKDCVDSIPSPARKVKGRSPVEELATAFNSKLR -LVNSGNSKQIALLTRKEKKLTARLEFLRERHKHNKEEISRLIKQIAFIRQEIDYLKRWQNRIQSDRKAFR -QLRRDAKAMFYEDEAEAQGWSSAATDKTDFVFRPMRLTPFTQECETAVSCPYRIVPPDASWERRTEPRDR -NFACVALRADKEYMAFRQKCNSREIQITNDVPFFVKTGEYSVSTQTNVDENLSMAEWTSDKQAPSYGFSS -IKLRTNFETRHLHIDGVVEKYSSVAREFSTTEREAGYFGFMLPVENVAHMVHRSQLTGSELDLADEIIEE -IVAEAQAGETQGGIVSKARQEVKQLSDTVKDTGVAARSVTQTSDCIRNFIDMMTEQGSMIFTNLAKITIM -DINMVFIGFAQLLLAAYNGSFAQCFLAVSQIAMSLGVAGRITAIWEEFSNYMRGTEEEERTDVAEAQSYE -KVVGQASSTLSSFTLLGGSLMALVVAGACKTKQVNEFMQSMRLFGQAKHGFNCAKDCFMWLYEWARDAFC -RWQFGVSSETIDLQNIEPHIEEIITNAQLIRKWDIGLYKLSKDLCAATIATDDSLRQLETKLTLANMPLP -ALTVKTLQNDLRGHTLAALTSPSRSDLNRCRPFSLWIYGASGVGKSVLVKWFQQKYAAKYLETDSSAISD -YVFARKSANEYWDGYKNQPIVYYDDALQTKDSTTMPNPEIMELIYAINDAPYHLHMASLSQKTSSYFDSQ -FVLCTSNTRCPSPVSIKDRKAFTRRFDLAIEITVKPKFATKGALDSAKVSKYMVEHARDESEFCEAVYEI -RTYDMHTGNYDTTYNLSTFWHAFCDAKEKCDKHRIALKNSMGIKKEDSLMDKAAQLAKSLKPVAETQSKE -TKITTSPASTSSDSSSASTTPIPPLSDSSSESEGSEEEDSESEEEEEYVDIDADVVCGCFFNKCYEKQIL -EDPGINDCNCVYKDWFCNKVTYGSKIIKEKISISDASTKSPEDVRQTLDSLSSCVIEKTKTAMSAGPLCE -QLKQEVKAGSISTFEDMMAHLKTDKEVSIKFPTFAKVTEEAKRLKEYISSQLARLHKAGTKIYEIFQAIF -NSKTARWLGVVAAGLAGIGYFNVTRCKVLAARTLDGLKNATLCRSSCDACATFNPAKAEAGNKKGPLCYL -RGWCKKLFSTTKDPKFAEMAFVAESQSREILTKAAARKTTAIVQADEIPVSDSANFLAKTGLEPKVEAKA -QSGDVVLVEQWEKIVKHNLVVLRSTIITSEGETLIRTINALYISGRTLMTPAHWFKTQCGPTFELLNYGS -ITGPEIRVRDIAITYVKDLRGREQDMCMFTAPPNIRCGAKITNFFAPAADIHKIKRAKMLLSGYKHLGEH -KVLFTSTVTKQQILDYEVGITTRSGPNTTCKAHDVIFYDVTTEQGMCGSLLFAACKGIPGKIIGMHYAGL -KGDGISIVLSRELIQNAITKHTDDYEIDTYGFVDVKVPSYVDTGTQPAVQAIAQGDCHYVGKAKPVPVSG -KTQISPSILAGNLGECITKPAHLRPFQLSDGTTIDPMTKGIAKMLGKVPTINVSILKEALSDTYITFFAS -LPEDSKGIGVLTYEEAIDGVIRNEELREKATYVKPLNRSSSAGYPWVLQNKGQGKHHWFGYDDKYLYSDE -VRETVMRMINSASQGCCEVAVYCATLKDERRPIEKVNAGKTRVFCAAPMHFVIACRMYFLGFANEIMSRH -KISECAVGIDPYSLSWDFMYHKLCSLGNGRNIIAGDFSNFDGSLRGDILAKVCDLICYWYTTRTDMAGGF -DNCDNLIRHVLFENIQSHHVLVGSDVYQMTHSQPSGNPLTVIINSIYNMIVCRYAYYYCLKEFLLTPEGG -NTDDALCAFHDNVVLVAYGDDNLLSVSERAKCFFTPQNMARALLTIGHIYTSSDKTSELHFQTVEEVSFL -KRKFKYHNELQRFVAALDTDVIAEMCRWVRGKEKEEATKQNVHDAAREAVLHGLHFYESFRTWISSACRA -NEFFVDLLPYDLALAHFKGDMAGEECDSKNSLGSLESRQDGLNDSGQYI - ->YP_009336882.1 hypothetical protein 1 [Shahe picorna-like virus 11] -MSTHTQQNQTIKKDLKILVPLFTEKSMDIVKDELAKARSPRELDVLADDLMTCPQCSQYYDFCFCDDIYD -SSSIPTANYRGVISFKNVMFARHIKHKTTMKQMLNKMRVVPQSIAEIFCGVNEGHFQSIADEARNGLIDF -RSIIPKLSDSLNGVEDIISQINEKMPEIGITIETFNETLDKVGSAGETINGFSDKGTQYKDFALSALTIA -SGLYWSFNVTDVKRIGVLVCSLIALKYLSSKEFFLNMESFVGSMLDRVYSVYMNNFGSDKPAAKIVPEIG -VEELDTLSGLLATGIAATSAIKLDFLSLGSVTKILADSTRSKRGLEDCLKTIVKCFEIVVNFVRNKLFSL -PSMRVFDSSSTEIDDFLDELNEFHSSDVNGTLPKTVMTYNRIIDFIQRGKVIVKNIPRDKNSEGFLRLVN -IELKYLNDMFEVFRNLNFTKQGIRQEPVGVIFQGGAGVGKSITVMHLCNALSAALLSDDEYEEFKASAST -KISVHTPETKFWDGYKQGSLITVIDDFGQAVDVPGVPDNEFLKVIRAINSYEYIMHMAKLEAKGTTFFDS -RFFLCTTNLVKLKAASIVSNEALNRRFPVRYIISIREEYCTDDTVALDYYKRKIDPTKLPIKQHLGKDYG -SLCPQVQIFVPSDDDGNPCGPPIGFDDFVQVCIHEHDKRKNWKITQDAEFKATRDKYRGNKVEEPEEETY -FDAENIVPESGANFSNNEPYDVQLEDMEEKLETFDEIVNGSKPENNYICSVTSEYSESYIRVAKNLVNEV -RDMKVLALAKEKFNFIFTGPLLLFHLKMLKYYNQSWIKAVSLGKTLDFLKDHVANNPHVNMLWGSRRYFN -VENAKGTFQKLKTKIWEGIEILHPVINYVTTFAKSLSWYALIFVCIATFFGLAVGAKIIQLCFSLLGKAY -DFVSSAISSYMGVSSVQDEISSESLSKTTMHRPKGATSTYIVDSAKAKALITPQSITDLDKSGYDLMTSV -LKKSWYKIEMEDCPDSNNFRLMGACFAVRGRIVLMPYHFVRKFIEGVECVPDNQRVRVRFYKDVGGIKNS -VYTYSVADIILGHVTGQLVHNDLTLVRLPESFQVGADRVGNFAFRSDYPQHISNIPFTMRAPKTESDVVF -GEFATAVDNIVVHNSSPHCEYQIRTGYRYRGFTNGGDCGAMMVVLDNKQPKRKIFGMHVAGVSDKLVSNG -YSYSASVCQEDIVADLALFGEPADNPVKEEGLILAQTDIQFGEGRFHSEGKLDKAPNAVLVTSLRKSKLY -GIHGEVDMEPSLLRPIEREDGTVIDPFEVALTKYCLNRDTNINDKALKRATFDLEMLVMNMMPGHEPRIL -NLRDVLDGTDISGLLEGITSSTSAGYPMNLTGNVNLKKNYYSAPAGSEEREVAFKEIEKEVDYFIAKLNS -GIIPEVVYTDNLKDERRPRAKVIAGKTRLFSGSPFIFLLVVKMYFGDFSLNYQSCRIDNESAIGVNPYSN -EWDRIARNLNVFGTGANKGAGDYTAYDGSHLPRLQLIILELINGWYEDPQTDHIRRSLFSCITNSVHVYR -GIVYDWVGGMPSGNPLTTLLNCLYNSLAFRYCWYRQDENRPRFQTGVKLLVMGDDNIFSVHPQYRDFNEL -TLPPLMEEIGLTYTPEDKEALLEEPFRCLEQIEFLKRKFKFEPLVGAFIAPLRFSVINEMIEWTKKGTEG -DKITVENVITALREASLHGKEAYDNYVSLVLPTTIGHFPGIQPSEPWIMPHSSRMFEVLNSRTFFL - ->YP_009336853.1 hypothetical protein 1 [Hubei picorna-like virus 17] -MESFEDLCRIEHREFLFLDLLEKDMPYNECDTLWVPQYTFVSVMATSSVWYLDEIISTIDFVKDRFGYIS -YLCSQLNVTVPQFRDAFMDMVFHPQLLPCKPRSLVKIQAMYLFKGFLETTDSRSPKACEEFKKTYGHLDL -PCLDMNEMILTQAKIWYEQLERVQRKLNVMQSRGKLKFVFCGEVTIREILTSKAFFKFVMWAFNDMRTHL -PMVFNMAPQRDPVLEQVRTHNYMTCLVDKECGMSADSDERWMLYEDGAVDRYVVYGNRDLFWMIPEMFTS -HKWLSGIFLEYTGEFYGSQAKSTFAPYLKVCSTQNNFKRSTIRYKGSELILINSVEQDKGYSFYIDLVAR -VFHPWSATALLRKQKPASTIKKFQADYYAFMFALDEQDAWSDRLQRSGDVELNPGPVFSTLYPKDYQEEG -MLSGIRNMFTPKELEVTHKVDPSLLAFGTLLATAYNLRNNKKALLGLISTYLLAIGVGNNLMTALVSKLW -QPFKALLTTVYNWMFRAKQTEKDESTEDANEKVSILDAFVKFFQKLFTFKMTGKEFITMCKDISWCARGL -QGLQWIVEKIISICSYIYNFITGKYEILKSEEFTEELKLWMDRSSALMSRSSQVDAADLILEAQQEYEIG -ATAYAALQSDRTVRQHKLAVLFFEKFSLYKHWFNRLQKMPVAAKMKIPAYCIGLHGPPGVGKSGLVNLLI -LNFVKHDQFLSEEEKKNLYTDNKFVELIYAANPTLKHHDGLRHNTRYWIFDEFDQANDKMEINPEWQDVM -GNSNVYPKIARMAEIDNKGVMWLNAKVVLTTSNTKQISSNSIKHIDAFARRVDPWWITVKEEFATPEGRL -DVNKVGAQFRFDIYQFQQHNLVTGQSHGPILGWTEFRKIVTERFIRNQNQGKQFLDGVSAVARELLKDMT -KEIDESLLQVSLSQEAFEEKMRQNPLVPEEEKDGVKTLFVDGVTYRCESAAGWVAASAYGIATTLASYFT -ISNRRLKQDLIMLDVVKDHMTYFSGLTSGQLWVRLINVLSDCESEESKQLALKLYVTKEKIDMTPLRQEF -ADSMITKDEALYKSFRESIQLPLFLPWLGHLLTICAAFTVGACLSACALKCVKAFIRSVHSLFSDAKEWF -YKENFDLVEVEGVQYARMKKDLAEQGYFTTALYPVKEFFKYSKEGLWTLKNRTCSLLTRYVANLKKVVMG -IFRKLTGQEEDARKREEEMLPLTQSEVAYDVTKQTYRQVIIEAKAAKADTVMVDGIEAKTESLTVGINQA -LADAVRSFRQNHYDLYVWNDENSEWMPKLSGVFIKGRTFLVPAHVIASFVEGQTIALKQPDSNEFIELGL -ADSTMKLYMLPTLAGVLPPEEASFFSQFVPITTKTCEQAINFVMSGVARDALLIKFGKRSLKQHKDITHM -FMPRTEWASHVNTIGSLVMFGKDSTTFHNSKIHAEEKRVIIAQGTSEYLYRYWTYSAPTADGSCGNPLFT -SVGKLLGFHCMGSVDLPDKRCWAVPFCKEGIELALVDAKSEFVPVYPENLRPCKKIPPLLQSFDVIGQLD -ENVFQPGSKIRPSLVHSSVDKPLKAPANLGLNADGEDVLLRGVQKFKRVPPAGHFNFANRYIDAKFARKM -VHYVMRRLHRGASEPRFPRTLSLMEAVYGIPATHIRAIPRNTSPGYGWEKGSGKRKLILDDGLSPELTTA -LQECRSLAMESKLPFLPAIATVKDELKEIAKIDSPRVFTAYKLEEVVLCKQQFGGFVDWFVSNVGRNQSL -VGTKATGAVIHQWITRMLSNDAFICMDFKRFDADENTYLLALILEAICKWYKKWGDVSLEDEMVREMLIT -SLLNLVIIVRNFVVRLSHSLGSGHPLTAVLNTLYNMVLSVIAYFVQFEVRRSDVIGVMSKLLRLVLESIR -NIVPPECLESPDLFYDMVEAGYYGDDFMASVAKILTELFNMQILAVVFSFLGHTVTTGEKTAVTQPFVPR -ESFEILKRVPVFTPLSGMWVLALRESTIREIPNWIRKSAFMSELKETQQNVETAMREMVLHGEQKYELFC -SQYLLACDQKDIYIRYDPYRTMYRKYVTSELFGAFKF - ->YP_009336844.1 hypothetical protein [Wenzhou picorna-like virus 39] -MADSRLSYSEFMKTFLDLPKMFSHIKKLNRGELYKLLPFIVKNYNNDWNSTMDNNNYNDIRRFVNLLKVY -GVKHDIPRIDKFERNRKFFAHMFTTLSKTRAIPEKVDATIEKVDDLVDKMHLILDSSSEDIKKMFSNLNT -FTSLFVPASSHWTDIISYITKFAAFGYLLSQEHNRSLPNVLALLTLILPTGVGDCIISSLKRAIQGIWEK -FSKVNELVAMSYDDDNTIVSFFKVTVHLVKSMFTTIPFEHFKNMQLSVAKIKMVADYLKNSSTIFEYIMK -LFQKTLEIVGNKLLKYYGKLPKLLQQENLNDLIDRYVKIKEERLDVKAVNNSYVARQVMEVYTEALNAQA -KLVKSNKKIDFGQSKLLAYLNIMIRNLEQVVAKIPDHVKGTKNARRTKPFWIYIYGEPRIGKTSMFQPYI -VNAVARCCGLIDKYRDYSEYTYFRNCGDEYWEKYCGQPVLWYNDLFQVFTNEQKVNIGIEEITNVVDDNL -YPLNMAFEEKHNVYFDSQLVISNAQDDIVGKSFVTNKCLSSGTHIFSRRNIVVRLRVDSKYKSANGLNYA -AISAAKDAGAPFIGDLFPKDMYQVDFMDPVSGMYLKTLDFAESIKAIITGYQAYKDHQDNFKERLFNHFE -TMWAQGSDSWEDAIYQAASHTWQCQQCESIYNESTMLLEHERDEIRTILLLNCPHKVVEEKRRWVEFGEM -IKINVQALWSTVRDFIKNNVVYIMLSAVVALIPLVFMVVQNYFKDKYYALSAETNIKRPKQVVRLQAQEY -SQQNKDVENKIMRNMAMVFIRLNYKGEDKRLILGSCLGVGGDIFVMPRHFYDRITDFAKYYGNDSVRVEL -SFLGNQVYEMVYQDIKDLGVNFQHLTDIVFLQFPKLCCLSKLDRFFVTTRDEPVLYDSYLFGRRVDNYSI -VQTLPVCNVALTSRDYVHPSMELPILGKFIPEKKIILPEGYEFRTNGVCVGDCGMILINCDDKLNARKLM -GIHVAGSQKGGIGLASTIYQEDIQSAYEKAGMFITMESMDFMPIDQSNSVLKYNLMEMFNVCGVLFQDDK -KIKLSIPMKSSIQKSVFFDLIYDDFGPNTCIPARLRPFTIDDVRYSPMLLGLRKMCKVNKSIPSRIVGTI -KNHMYSSIMSWQSKYSSEPRVLTLFEAVNGLDNLNKIDITTSAGFPYQLSTKKGGKRDWFEIIDDKLYPN -QYLEEQILERENLARKGIIKATFFVDTLKDELRPIDKVNKGKTRVFQVGPMCLSILMRKYFGFFIMHCQT -TFINGEMGIGINPNSLDWTMLIKRLLRTGNKFINGDYSDYDASMSQPIMMDICGIINKFYGTDKAHEHSI -IRNTLFATFLNNVHIVEDVVFMRLQGNMSGIALTTIVNCLFNMFLSRYAYYKLIDDDLTLFHSRLSCTFY -GDDNLISVSDDIIDKYNMNTYCDVMRQIGITYTTPDKTDMSVPFYNVDQITYLKRKFVKRANIYYACLEW -STILEIPRWSESDPNNMLDQLNRFNCVLYESVNYGLEEYKTFYKKFFEYILLANKKGYVISTNQLLSYAY -ILRSMFPQYFTSDLTYQLDQAYNMLSESGSFKSENIRSNCSSDGSSVNFYKTTMENNNNNELQVQSAEGS -VQRAQVQITRQMVAQNYDLRDLMDDIQYPINFNNEFKFHAMSNDKWDNTAMAMDENAGETIEQSQITTTY -DDTIPHITSDGAILDPFAKNPYLPLSLESFVNREWYLAKFDWTSDLARTSIVKSITLPNKFLDLLGNKVA -NIAYWAPDFELTFRVNGTPMHYGRIMFAVVPQADILHSSYLQPQNFSQHRFVQLSPTGNQTVKLKVPWLH -FYDRMPCTAKGPNMSTNPWTLYAWVAAPLLSAVSDTVAPVSVAVYGKILKPRFVGYINTNLATVEEEPLM -AQSSEQQILSTRENVATQPKSVGLLSIPSTIARKIEKVAGDMSQLALDAGFSNCPNLTATKPVIYRNMTI -ARAEDLPTTLMLGPSQSQYVEVNNAQANAQQDGMRIAKIAGRMALLRTVKITSSTEVGSSVATIVLNPNT -FVYQDYELTDAKNTHFPLPAMYMARMFRFWRGGFKVHVSFICSAFHSMRMRMNYQPFVGSNNLTVPNEST -SAYNVNQVWDINNQTDYSFNIPHLYWADWTPQFDTWNAGTLYFTPLTKLTSTTATPQPIYMQIWIAMDDD -FQLAYPILRKAGDAISNNPVTYFSNPDIGKYTADLVAQSNNNFEKVLNAGNPMLNYRSMQFPCMSSDGLE -AIKYPLLGGEKDDKHKAVRTLTSFEVASVKEICNMITPIERQIVTFKGTQSTSDQILQAGRTLIPYAWMD -KGANDPIWFCYLYQIMAIFRYARGSVRLAAQSNRCYQATAVLGDTRFKGDTTSIWEDYKDDIFFGDASMS -GITSGGHLFNNVTSEPIDITIPYYSNVKCLPQTYGVTTDGKYPGIGFNPIQPDAAILCNFIVPANTPTNT -EVGRILWLVSGGDDFQLGYQLPVPRCRYGVV - ->YP_009336838.1 hypothetical protein 1 [Wenzhou picorna-like virus 29] -MVTISEFSNAFEMSNPTLNSDMSLHFLQKFPTLRYLDLEDDAANFDLILSVCNDSLTAAASSLPLLHGGQ -LLDHEVFRHYFHTLHLVKATYSGTPETSIHLRQAEAAYDNLHLYIYTLKNTKVYFFEIEIRSPEYTGYVI -VPGIEFYDPPPLQDLAKVVITDNCEELEKLPLPQSVLKHLFFLKGKAGAYIERIYAPRVRAGLCVQSAID -GYDCCDVYSHIPLSVNGDDSLIYEKDKPQAQVGLSNLFNLSLSMFHADINVQDLMSSVKAELSDKITDPD -TLSTILAFVSNFAVVYRYPDTLTLTNCVINMLNVFNVSKPLIECLMKALAPYFSSALDLFQSKPKAQSFQ -DVETVSSIGPILLGLLSVVTLSRVPPTSCLSKALKLASDLGRAFIGISSIVNVLPTFCLHLYDVFHVWLY -GVPRDMTQFEQFEEVATRVFTNIAKLSKIDNIEELRTNTALRDEIRDVRFKAHLIFSNLLKTKVSPQVCS -VYRTYLNKIDELAKKAELHGGSMRGPRKEPLCVMFSGASGQGKSQLPLFLATDILLADGQKVNSMDDVVQ -ETYVRNVTQKHYNGYRGQTVFVYDDFGQVRDSNSNPNEEYIEIIKSQNMFEYPLLMADLLEKNNTFFVSK -AIILTSNSMNHNIQSLTCAEAFNRRIHLRYEVKVEPACRNDAGMMDAARAKAKYGDNTFKAWEFHSYAES -FSNPGVYEATGEVLSYDAMRKQVVSAFIKQMDHSDDMFHRIGNFVNYVNSNPIPTGRVKAQVCFTQIEAL -CTSLWMSGKLDNELFVNSEDYFAVSDLLGDPSDHDSLHDHLSHLPTVDEFELSDKWSAVSEAVQNYYIEY -NDEKFDDRRVLPELCFLDKARAWYDSLLENVNYKVIAGVVALASTILAGYSVFKYLFPRQNPNLDPVMTD -ILNSDPPSVRHEASTSGDSVTKTVPRPKTEGNPSGDSVTKTVPRPRVEANPSGDSTTRTVSRPRVEGNQK -ASAQAYIDCNSVELLKNRIATNLYRMFVYNDNNSPKSCANALFITSRVFLTNEHVWRHIQQFKYLVLQNR -FLRELPPILVKDLRQHTIVGADGEEKDACLVVVPRIFPAHRTITKLFATSTELSQFNSVRCALLGYEESA -RRPAYWVNNVHAYAQDSLVYEYGKQDRRLRRCYSYQSLTTFGDCGSVLIAQEPTLRRKVLGIHVAGGSGK -QTGKGFATSITEDDLIRSLEFLSVTDRIECEVTSTFDDSSLFIDDPIYAQSMLPEGEFIPLGRAKFPSAL -PTKGDIYPSPLFDKASIHQQLPAALTPFVNEEGEVLNPMKIGLKKCGAPYKSVDPELLDLAATDVLNMLR -NNTDPAYRRLLTHEEMIKGSPDLEFICPVKRRSSPGYQWTAEQRGMPGKTRWLGDGDNYILDDPDLMAAL -IERERKAKMGIRHPHLWQDTLKVERRPIYKVNAGKTRVFSMGQMDYNLLFRKYFLGFNANAMKHRIQNEL -AIGITPQSYEWTALANYLTANGDNVFAGDFANYDGTLIPEIMWKCLDVINEWYDDGYINRLVRAVLFEEI -VSSIHICGDFVYGWTHSQPSGNPLTTILNSLYNSISMRVVYYMAVRDLFPGANPIKLWRENIRMISYGDD -NVVGVRPGHFFNQELATKYYAMIGMTYTDESKSGKVCGMRRLKDVTFLKRGFLFDGGTWYCPLALDTILE -MVQWVRGVRDQEESCSQTVEVAYEELAYHEFEVFDKWSSLIQIHANNLVPRPALYSWAQYRHFFYSSGHK -SLSSSED - ->YP_009336821.1 hypothetical protein [Wenzhou picorna-like virus 49] -MAFRADGEVARQYKELQEEDEAFLAGAQMVSHVPSEPKTVSTPSKSLERESHPTGEIMQDVPIQAAKEVD -ITTTTTANTTIIGAKPVMGQTIFAKRTSTHNARVTNVPDAPGSLESLSERLFFVTEVNWNTSDAKDAVLV -SKTFPRELMVAGYGPSDGFKRFGAFRADLFLQAEVIASKYDAGIIALVVYPSALPGSSRNWQSRDHAILS -CDKPIARLQTVFRSHRRVISIMPGVEIEQIWDVHLRVVSPLTAVAGNGSCKLILRAMFVNLEMFMPVPAK -SLTMYSTDMSVSTKNVRMLKAIAELLDSGDDYTPADLLGVIGRVAKNAAILDGVENFIATATDIASKAMP -ILETITAFADAPNVVAGNGMCAVDAPFRVLPLTDSRNVAQNDTSNVNLGYTEVTDLRDIARIYSEVDTFS -WSINDSTATPKKVWAVGPLGFDPIVDDTTPGWVAGKKSTAPSNVAVVANCFTRWRGSMGVRFTVAATESH -TGTLYVVYIPGKPPADALSQAMFTDALHWQINLKGGSQTYEVFIPYMCPHDWLSVGNYAKRDKVWVTCTG -VLVVYADNLHSNSVAAPTINVNVEVAAGPDMMYETLRDGMALQGALPINFMTNEPYADLPNFTQQGKRLK -GFDPSTLNASRKMRVVSVAPNESITGAEVCLPKGWVDIGHQAPECEALPLYSGRIPPQILTRKPALVGGA -TFTAASGTNEGWKFWMRLPANIGLARDVHGISSDPDTSAIAAFNCFEYYRGSTLLGFRTMSDSRPGHRVA -VVYNECLDDISAPSTTAPAGVSVNVLFAKTVQGGSHYIPSNASEVTWVAVPHNNRYQATPNGRFTGLNRD -PVNTGQFLVYVYLDASQNNCKFVTEVWVRGGDDVRVYGWNNQAVLSDAIPGSVTSAVAHQVPLPIELSRE -ITRFCKRSDISRLEPQKKMSHFWQTKLHAIAKKLLPFHLKGEDAQKRVQHFAVKYPILSEAVVHLGRSRR -DCELLSREFHENGVTEIINVSPDQFVRHATEETDDFVDSVHEQFSKIQLMHDVELNPGPAMSTLRPQGKE -EGLITRTISAAIKSASRSSIEDLKNFIVTDPRFVSISDKFSSLLDIGNATLITLQGLLGAGLVLIIFTLW -KQLTMEMKISLVLGCVAVALGYPKVGLAMISAGIIGSLIGRAIANFVTERKSIPQQDGADDFIKLGGSII -TLISALGIGIGHVAPDHSVCSKLGKNMDTIFSATRTVAGMTLMFNSLANVCRMCMCMYKYGTWEEPKYGE -WYYNNSAEIDAKVAATNRLYLSWSVGHYIEDSAYASEVNEVYTWYRDVLTPVLTDPLLCNLAPFRRMQEV -WTKIGHGIEARCKFAASRAEPVVIFLYGPAGCGKSTAASNIAYQIQGALGLTNSIYGRCDNAHWDSYHGE -KIIIMDDFGQYNDDQRAKDFFQAVSNTTWIPAQAALEEKGRPAEPLVFIVTCNAAFPQFQGVTTPSALYR -RFHYHIRVSARGKYMRNNTLNRDEVEKLSPLCRARLSYLLLKRNAPADVINGKETTPPPNTPDEETTLPE -LLQSILETIARRAAANSTVLGAPLLYSADMKLGQTVKLNVDHQAIADLRKEDAEYLGIVNPSVNADLDLA -EELKLSGPKYTWCAKPGQSPECLLKYPAIPAVRVSELLALNLKYDTSLTQAEFSTALTQLRASTIGQISR -GRPRQQMLKMIVNYFKGVDKVVTVPNSAYPAMDYDHRGMVYKLRIQVDDKDATPSRIAECGEVVERLHQN -IPLTDLSNEFTALLEVPEQCVLILTRDVTVDALYSSLATTQKRIVAAPLNKYAALTREQRVLFLQLAFGA -GLTWELAPGSVDPIAPANWQTKTVSEAGYYREEDFNNLNFADGYGVILDMIIAGDSRVRKVTLSGKWKEF -IFYSTRDPTVYNWWVFTGGLAFAIGCAIATITTLCLIHGVREKVEVVAPPPLEDGDTSVLPAVGNLSRKK -VVDIAGIKLEAVTIASGMEMSHARKKKAGKKAGLRAEGVDEDVTNVLRKHLYVVKIIRPDNSTSLMHGLL -VRTGRLLVPAHLFGVIEEIAAQDGNTQLGTLNIVRSPRVGSLITVDVPVMRNSVVFYRNEDDSYRDIAMI -NVGLRLGTVNLEPYFALDSYAGSMDKVGGYNFAYDMNTRLFADGPHISGVAGISSSGHTEIGWASQDCLV -YKGYFRDGMCGSPIVSCAGSGRGQVVGYHIGSWQKCFVGVGVKMSREDVQELLLLADDKWGFNPVPPTAR -PDVVALDGDEDTYEHSNLECVGSVSANLIGHEKRNADYFPTPWSGEVMPVTKLPSVTHTKDEVDPYILAV -AKLGGADGSFGAEELYRAYMHVTRSYVHWLKETGAPTLRRLTLDEAINGCPEFGLKPLDMSTSPGLPYTR -HGFSHKSNLFENIAGKWVPTAAFRKQIISVLDRIAKGSISPWLFASSLKAEMLPSEKVQSGKARLFDVPP -IDCVIVTRMYFGAITGWFNTHWSSSIGSAVGIDRDSEWSILATSLQHHPCHFDVDYSSYDASIPSQMAEV -FVGTICSLYPSEDHVGIKTIAVGLTEVYRVIRDKVYRTTHGNPSGSALTTFFNTYVNYFNHVAAWYSLLP -AKSDLDFDDHVVVFTYGDDAIASVSNEASYFYNPTGIARVLNAAGFRVTSAAKVGTMAWRDFDELQFLKS -KFVPCSGKYLAPIDETVIANLYNYCTAPTCERYVDNFKNILSFAFHHGRQYYETQVVNIKQLYAKHVITQ -EFTPPTYDEAFARWLSLN - ->YP_009336819.1 hypothetical protein [Shahe picorna-like virus 10] -MSVQSREVQFGKSLIDNDNRVRKDGEGISASIPPCENEKFLKPEFENLHEQNLKKLRKPKYKRAADALSR -EIATFKRCNKQKIKKNKKKQIEEQLDFEAQMFPFNPISLKMDDQQFAVVSEIATKLSDVVNSAKGEAEGA -TANTLKGMGGMLAEGAWETVTKEINETIEGVIAKYGRGSAAVIAAIVLVFLYRKSKSKKWFFGALGAFGA -VLVLLKRSYLQQCLKLTIEYFENRWNGEIEMEAQMFDEALVVDMATLFLGASLFPKTLTKKGELFKAVSD -LGRGVKSIGETVKLVFRITQRLLDYGAQKFGLANFTLVETMVPKVDEWIKETRELLNSIGMGKHSHDLAT -FEKIQSLEDRAYDLLSTYARNNNLANVGMVVRPLSNELTKMRMLFQNEGVGLHKLRQAPLIISFAGTSQI -GKSRLLRPFVARLLSLVTPEEELKRIREDFDSHVYSRQPEHEFWDGYCGQMVCFIDEKDLVKESLQSAHN -ASTDIIRMGNVFMNVLHMAGIEQKGNVYFRSKIVVTTSNIETSIQAARNSVRYPEAVVNRFHFEVIVQVN -EKYIMEEDRELDPRDRRLDPSKLPPDGSFDPNVHEYIILKRVRDVNRPKEIILVPGNVMNMEELLFEAAQ -QYNKLQRQTKAMIKDDAEAFAEGLRMRLRKEKPKYGSGSEFFVEAQMDDAYYDFLATLDITSHPEIIAKS -FESIPKMDGAIGTETANRVASNLSESFDVETKKILSEMLEGRVEEKDVEFVTDALSETDILAMTQFWKKE -QDSKSLISKVFSSAVVRSKRLIERAHQKSGSIIKWISEFLCKMFGEGWDWESIVKFTTFLGSFVGVVTAG -LTIFKAYSWFSSEEETKDVIHEAQAFDPSLDDIAMKIWKSNYYALYFEGQEGKPIGWGFFTKDNVFNVCY -HYVNTWKQRGYDEPIVLRSATESHIVPMKVFEDFVRRGEDWAQGIVPTVRRHADITNLMCDVSVLSNKPT -GNVMMIRCKEGVVEKHFAKYSVGYSMRYKDPSGALYRLPVYLKYDIATQIGECGLPIFIVDPTTRSRKFL -GFHVAGSKTFGSCFLFPKEENDVFEAQLDWTPSTMQIVGSVPRGLGSTGISNIRKTPLYGAWGPAKKAPA -KLRPFVDDKGERVDPFMQAIARYDKPVHTYDEKLVKACVSSAINANTCTSAFEIKPAVISLEEAVLGVPG -KDFAESINRSTSPGYPWNLTQIPGYKGKERFFGRSHDISFDGPDWPALKKEIDEAEDLLLRGKRPEFLFC -DSLKDETVSLEKASIGKTRMFCPSPIVYQILFKKYFGDFLVKMMESRIETEHAVGINVYSGEWDMLYREL -TKHGRNKNVAGDFRHFDANQAAQILREIGEGVIQCFSDREYDNIRRILWMEVWNSKHIVGRSIVLWLQSL -PSGHPATTLINCLFVAVVMRMCWVKAHHGALNCLRFFSDNVTLKSFGDDNALNLSDYASKIFNQIEIAKF -MAELGLEYTSEDKDSNPPPLRDIEEIEFLKRSWRYEPLVGRYVAPLRLETILEMPYWSKKEGFEEIWRVN -LDNAIRELALHPPEVFAEWSTIMLNKSWERASYRPLVFDRETLVNEISGMDKLYGPAGRRANNQGYLVGV -ELNPGPRWATERPNNQGFLVGVEPNPGPFFWPRLLFLLTPSGSFSLISPFYELNEYVAFLAVYFICLYKV -LEGKGLLYPSFIIIWSIGFSVILSQAQEKYFFENRRTDIIVQARTLNIGHLQTLEIGFKWDKTPEINQPL -ERLPWVGRSWEEKPPYLDHDGTFCGTISRSVSVQGEFRGQNLSKGKRPASITDNNTMTSVTQESNDMSGT -THVMNEALTTVVKRAHPEDIHTGLLDATRVGMNQSSVYDFLAKPVALTRFAWSSQAAGDILANISMPQDA -FAFPIYANKINGFLGFRGTAVFRLQVNGNRFQAGRLIMAFVPQGNVLGTYPAMRVRSLMAITQLPRAELD -LATETEITFEVPYISPTPFYDITTSIGAMGRLYIAVYSPLATGGTIDHADVTLWCSFKDVELTTPVFQAQ -MNDRRPKGKSVTAQELEAAGDRPISYGLSLMSKAADNFAKIPLLTTFAKPAGWALQALAGTASAFGYSKP -TSEKATTKVAQVSNFNFQNANGVDIFPNMGLDAENSLQIMPGFAGTDVDEMTLSHLVQIPAYYQTISWTT -ANTPGTRMMATSMCPPPPKNITTAVNGWIGVDLLPVSYFSRFFQYWRGSLVFTLKIVKTQFHSGRLLFTY -NPVASGVVTPTLPDTDFLLREIIDIRDSNEFKFVVPYSSVFQYLLTYDMKDTTNNSLFRGAAGMVELWVL -NDLVCPDTCADSVQILVEIAGGPDFCLADPKPFTACPLIEPAWVAQMSDVVPATKDSSNPQMNQDPIAVG -NATVGEHLLIPAQYCMGEKCNSILQLLKRYTVVCCNFFETPRYSIDLRPFTNGAATTTDPLQRPDTLVGD -YLDMFVPCFAYSRGSVRVAVSNWPGDVKPSQGGVWTYPSSVATIVQDVSDLSYRYAGLSRNYQPSNDGAP -KGANVPPYQPLHCRLNRLSTSGLIEPAIDLYTSQTRMGAITVDGEAGEPLRASVSRAAGDDFCLGYFIGV -PRINVEDFEGSPW - ->YP_009336801.1 hypothetical protein [Wenzhou channeled applesnail virus 3] -MVSFYTCYIDVHAIMSKISKIESQYSTFMKTFLDLPKMFKYITTLQRGDLYRLLPYIVKEYNKNWDSTLD -NNEYNDVRRFIALLKVYNVKHDIPKIDKFERRRKFIAHMFSSLKSRTTDIADKVDVTVTKVDCLVERMHE -VLETSQNDIRGILSNLNTFTGLFVPSNNVWIEVVSYILKFAAFGYLLSQEQNRTIPNVLALLTLILPSGV -GDCIISSLNRAIQGIWSKFNKQEDDKFVAMADETDSTIIAFFKVTCQLMKTMFTGIPSDTFKSMQLSIQK -IKMVSEYLKSSSTIFDYIMRAFQECIKIIGNKLLKYYGKLPKFLQEDSLHKLIDEYVNIKENNYDVKAKT -NSFYARKIVDVYNQALHAQAKMVKSKGRVDLGQARLSGYLSVMIRNLEPIVAKIPDHVKGTKNARRTKPF -WLYIFGEPRIGKTSMFQPYVVNAVARCCGLIDKYRDYSEYTYFRNCGDEYWERYCGQPVLWYNDLFQVFT -NEQKVNIGIEELTNVVDDNLYPLNMAFEEKHNVYFDSQLVISNAQDDITGKNFVTDKCLSQGEHIFARRN -MVVRLRVDSKYKRPGGLNYQAMQAAKDAGAPYVGDLFPRDMYLVDFMDPLSGMLLQTMHFEQAIQTIINH -YKAYQNHQNHFKDKLFKHFEEMWAQSDDEVHWQEALSNAAWQTWSCDECKDIYESTHMLNEDERNSIKTT -LLLSCPHKEIKKVSRWQDFGDMVKVNIKAFFSTVKEFVVNNKMYLMLSAVLALVPVLFVLVNGYFSEKMF -TQSHEGNIVTPKRQVTRLVAMEYTQQNKDIEAKLMRNIVLVSLYKDGENAFKMTLGSALGVGGDIFMMPK -HFYDRFLQFKELCGDHTVRMSIAFNATQQFDIFVDDIKYLDVKYTHLADICFLQLNKLVCLPKLDKFFVS -LKDEPVLVNSYLFGKRVDTGHVLHSLQLTNVKLSTREYTQGEIEIPYLSKFIPAKKIVLPEGYEFRVNGV -AVGDCGLILMNTDEKMNARKIMGIHVAGSVKGNIGLANTVYQEDIQQAYELAGSFISMACLEVLSPDCST -SILKTPLTELFSVAGVYGDFQGKKVKLTIPMKTAISKSLFYDIMEQDFGPAKTAPARLRPFRVGDALVSP -LLKGLAKMVKCTKNIPYRDIRHICDHMTMSIKDWYSDTPIRKLTLSEAINGLGNLNQIDVKTSAGFPYQL -QQKTGGKRDWFTYNGHQLIPNQNLVDAILLREADAKIGIITPTFFVDTLKDETRTLDKVEQGKTRVFQVG -PMCLSILMRQYFGSFIMHCQSTFINGEMGIGINANSYDWTMLLKRLMRVGDKFINGDYKDYDASMSQPFM -MHVVEVVNSFYDLPYDHEDNVVRRVLFATFLNSNHIVEDLVFTRLQGNMSGIALTTIVNCLFNMFLLRYA -YIKLVSWDLQLYHQQIQATFYGDDNLVCVSDDIVDRFNMFTYCQVMQTLNIEYTTADKTVMSVPYYDVTN -ISYLKRTFVKRGNIYYACLDRNTILEIPRWSESNPLNLTDQLNRFNCVLYELVNYGYDDYLKVFKILCSY -VYLAKSQGYEINSTGLLTYPYILRSMFPQFFTSDLTQSLDHTMGLLCESGSNGSVKTWSHNLIDDGDSNC -CTAISNNNNNLQIQSAEGKIARSHVQVTRSLVSQSNDEIRDLMDNITYAVNFQDEFKFIAMSTNQLQTMD -ENAGESIKRSQVTTTFDDTIPHETNSGEIPPPLVVNPYKGVDFDAFINREWYMTAIDWTSADDRKAIKQL -VSLPIAAMSFIKAKLFNVAYWAPDIEITFRVNGTSMHYGRMMFAVVPSADIMHDAYLQPQNLSQWRFCQV -SPTGNQTVTLKVPWIHYYDRIPITTLNSSTVPWRIFYWPAIPLSCATSTTPKPVTISVYSRITNPRFAGY -TDYSPITDEFVAQSSEQVELSKNENVTGKQVQYGIIPIADTISRTVEKVAGDMSQLAMDIGYSNAPSLLA -TKPFQMRNIGLNRAEDLPLTINLGPSQNQGVEVNDERVNGAPNGMMISKIAGKMALLQTIKIPVDISPGQ -NVAAFELRPTQLFYHDYSTDLAPAANTIYPLPAHYLARLFTLWRGGFKFHVSFVCSAFHSMRIRMCYRPY -MASGSQPTPSAGSSAYNVNELWDINNQTDYSFRIPFFQWSEWLGLSQCSGYLIMTAMTKVSSTAIGDVPT -QPIYMQIWAAMDDDFQLAYPFISTAGTAIGEGVWMSNPDIGGWVKENDSVVKKTELALISENEALVAQSD -DSLMASGNPMLNYRSMQFPSMSNDGLESIKYPPIGGVTDKHKSIRYATAFEFASVKEIANMLTPIERHTV -TTKTDPIDYATYANAGRKLAPFAWMDRGANDPMWYNYFFQVMAIFRYARGSVRFAAISDRAVAATGNLGA -MQSAWDGSVFTEYTTDVFFDGGNLSEITSGSHLFSNLQDQPADITIPYYSQAKCVPQTYNVSKGTPPAFP -VISHAPAFTDGSLLLRFPIPAKTAKGAEVGKIVWLVAGGDDLQLGYQTAVPRCRYGPEVVELP - ->YP_009336781.1 hypothetical protein 1 [Changjiang picorna-like virus 13] -MMTTTCTENQTALLNEEIIQCYIETESRAFCPMTKAQKFLSRWNLYVCLCHLCTAERVAKDILEIERKMR -REVKFGRNNKLIKVFNLDELTTSAIIALNKDYDYSVPQLMEKYFRSYVQLTRILKEDPFLSFDDIMDRDE -FSYMLSSPSILRYFRRLFVRTQPRCYQIYVLQKDRKRLLYHQPPNSPEDLVGVEHNPGPNYNLMQYLKHM -DKIEDFATTRKRSSKVAKAIVSIERKHDKKRQQELEKQRRLKNMNFLPEGLFDSTVKIEESSRIFLEDIV -DRLISSTMNIDVSHNIEIPFQHKVSAAIVGLQKLGTKVWNLAVAFIKLILSFFHPIISDFILPFLEEEKE -IWMDAPEFAPEMSVQWDTLVDNIYTKHLIETVEKHDWSSFCRIVDMLKSLYFRGSSFTFYQKLAEETAEF -LFDIFGIKVPFLYKEDLLMKELFSEAKLLYSQFSNGQIDDYAFADRVMVFVNEIENHLYEKRQTVSPVQK -EKLTHLLRKFHPVMQYCMRYVNPNNGPRVEPLAILIAGPTGVGKSTITVPFLLALMSRILPKDKKEQFMN -NHNDFLFFRANENEFWDGYKMRNVAIIYDDFGQMKDAVGAPSADAFEMIRLKNTAPYHLHFASIEDKQRN -FATPKLIFATTNLSKLWFNGLTCSEAVSRRFDMAYVQVPKIEYSKTALDNEVWSRRLDIDKVRKDFPLKL -NDPASFVPLDVVEFIPWDFARGCQASGEVLGFWQLLDACETKFNEVSCSGDRMLQFHKFLKENPRVMPEN -GSAFSFSTFKNLAQSCLNSVAKVGTFYQSRTELPSKAKFILGAFVGILSVGLMLRGNNEQNETLKLESSN -SKSEVKDKKVKQNIKSRSRVIKRTNRAVKPANGMISAQSGDTQLNSYLKVLKRNMYKLGSKNSEKDYGWV -VFLFDRTFLMPRHFLLALDSEAYSASLENNIVTVSFKNPYTGNCAMVVDWQNDVSLYDYAEANEQGSCVD -YVFMTIKESKCRIHSDITEMFADDSKFRDGEKVKAQMVVQRQNEILFLLPDVCITKSKLEYGSQVYDEDK -EICVYTNTITYSAPTEFGDCGSVLLSIDPRFTRPTIIGIHTAGNRNQRGHQKTALGTYIERSHIEKLRKQ -LPSLLKQEVFVVDDVTIEGFAGIRAAVQPRVPNETKIFKSVLASDLWGQTTKPANLKPFLGLDGFKDPAK -IARMGYSHSEVFIDSEVLDTSHVLVSELVLKKVHSQPWEPRIFSFEEAVLGVPGVDFVESVNRSTSPGYP -YVLENRQKGKTAWFGNGQEINIGTKAAKEFKSKVLEIISKAREGIRCEHVFVDYLKDERRPIEKVDQGKT -RQFMACGMDYLVCVKMYFGDFIRSICQNKIQNGIAVGINPFSEWDTLVAYLNDTPSKKFTAGDYSKFDAR -IPVSIAYAVLDIVEQFYYNSTDEDRKIRQILWLEIVNSMHISQGVIYEFCGGNPSGQPMTSIFNSIANLE -MLAYVGLNNYIRYSSEFDFADVFRRTKFSVFGDDNVIAYEPSDKDIFGQRNLEKHAFEDLGMTYTNESKN -DDLVEDRNITEVSFLKRGFRKDHGIWMCPLQEDVLKETLSWERQGSTETEMKLRAEAVLAEFARHGQSVF -EECAPVITRAMQKHYDYVCKNSKYHLAIEDGNGLQYV - ->YP_009336777.1 hypothetical protein 1 [Wenzhou channeled applesnail virus 2] -MSLSKVMFNSYELNVPNGTMKLCSNEVKCKMCNLIFKSKQAFFNHTKNNGCSGDCDLLCFCSAPLNNHYC -KMLGKVRCSFCGFLFRNLEAGINHCMVNHHDGNYWNISGFLIYDDMTHVNGIYDQPIDLTPQAQCADCKP -HWNYNQFKAWWDSGDSARRRMLSRKVLGSGHHECSILELIAIRGKMICWYKKPQRHNLRIFNVSTKAGNF -QVHLDAGQNDFAKIYYHLEPMIIEPFNYLHNDKNRSKWYNNVITEAYPSWKRFVPEAQGFFDFNVNHNIN -IEPVIEQLTQVAKNTFTGENLKKILSLITKIGTCYAANWNSHVVAMVLLDFFLSFDIPVDSANEAVKILL -GCLPILFVVFAGRQAQSDDEGFSNEAIKAVATIVSILFGTIFLKSVPTKSSVDEFVASATKFGNLMRALD -NSWKGLGKLINFVYDYCFEWFYGYTREIGEAEKFISGVEEWALEVGKLSNNDVIERIQVDAILCRQIERL -YLQGVTFTTRCAHLKLNPDMRRSIENCHRIIAALNEKVSKSGAFCSGPKVEPLIIQLFGESGVGKSGMMY -LLSGDILKTEDILCGGDGTACEDWANQIYPRNVEQEFFDGYRNQLIVLYDDFGQLRDSQAKPNTEFMEMI -RFGNLAPMCLHMAALEQKDKTYFSSKCVLLSSNCREYAIESLISRDAFMRRIDISVEVRVAEKWRKPNSE -KLDTDKVVEHFKSPLVPEIYECRIWRDNQPSPIWISFDTLRDIVCKSYASKMNRHFELTSVLSDYMKVPL -KIDISKIKEELNRKFKVSPVKHFTMDDFLPKAQMSDDEEFVDAVCEKNCTPQFPENLRSSAIFNSAKWRR -IKSKHEVVDEVGYLVFTHVLNENQWNIKCSNFGESLYEALEEADGDWSRVLSFLSNHLSFLTLKTQVVFA -REEYRTLLRNPEKAIDFLSSKHKICNNSNCWHGDFDDMEFGFQEGCSDDLVRFISNRQNHRDTMFYDCFM -SFQIVKPTIRTKISSKLSTWINSIKESGKEFLKGCSSWIAENPTVLVLTSYFIVMIGIGYGFYCSTISTE -RNEYIEAKERYEVAYNAYREALERLRAAEINLTHKHEGLKLGEYHRHAHTCENCGMTFVHAHTIKTEEES -MNYPHLCKKCKIIKSEFQSGDNVTTHQIRPKIENSQSGDNVTTHQVRPKIENSQSGDNITSHNQRPQIQD -SFGKFVQEIMLESNIDDDEFLQEHVSKMIHKQNPISAELAVDPNAMTLGKRIYANTYMISTRKDSDEAWK -QHVNCVFIRGRIAITVGHLEPILVSRATGEIKIDGPFKPEGYKIPIDQLRFKKLTYANGEYKDAMIIIFP -SVVHDHQDILSSIADSETMGKFKEVSSMLITPTIIKDRAIFNQRFATARSINNEQPLAYTDPNAVGGLRY -LRMHYQYVMNTTNGDCGSMLVALSNFLPKKIIGLHVAGDASGKGYAVPLNVRDIEEALKDVPKEAQIKID -LARFEAIDGELSSVPSGDFTPAIKSSILIASPTKTALRPSLIHGAVLPPISAPAVLSRRVVLEDGTIHDP -VLAGLKKTGKIPPYMDPNLIKAAVNDVLRVHQTNDRTRKRVLTNLEALSGVLDDPYSNPLNRSSSPGYPW -VKDRVGKGKMKWTSDFDGEYKMHKELADAIEEREFMALNNERYPTVWIDTLKDERRPIEKVKIGKTRVFA -AGPMDFIVCARKYYLGFCAHLAENRINNEVAVGINPYSYDWTHLARHLKKFGNKVVAGDFGNFDGTLILQ -ILESIGEAISEWYDDGEDNAQIRRILWKELINSVHVEGNNLYFWTHGHPSGHPLTAILNSLYNSVVCRIV -FVLCARKAGKIANMKDFNENVSMISYGDDNVLNISDRVIDYFNQHTMSECFTEIGMEYTDELKSSAADAK -PFRSLEEVSFLKRKFRWDEERACFTAPLELGVCMEMVNWIRGELDPEEACCVNCQTSAMELSLHGREVFE -KCTKMIKRACLTHMLRQPMILTYEEYVEFFEYSYGQIMENLNPELGA - ->YP_009336743.1 hypothetical protein 1 [Wenling crustacean virus 3] -MDKCNDNSLSALMNNIDLAPQPDTDYDFATESDIKEYSIHSLAAAGALNIIQYLIDDYDPRDQNLQSTAL -YNYLRQFDFSEDSPTLITDLLTIRENFMNFAYINDTWDQVKCFLHLLTYKGTPMYVTDFAGDDPIVTEIG -FKNFKIKKTYYCDFDEFSYTINVNFAQLLLLLSGDVEENPGPNNTTQSCEETQRKKINQMQREIEKLKKL -QRQHQNFMQRQVELEKRNRKKKRENGADRKRYAQSAVEMAKEAVQSCARTVKNIETAKAAGYLATNWVVP -GLGTALATVVNGPKITAAIDKINPTIDMLQGVLKSLTEAADQLKAVFGIPKDYDVLEILIACVSICQHLK -DKNMLLLTVYCTNLARHLGITLGSLMSLIPNICPTNITYAAGSDTRQVAQSLVSDMFSTAVKSPELLPFS -GFLAFFCGIFSLLCSGSVPTPAEMAKHFSTVGRAAQGFKAVRDLFVWIFDYLAEIYYTTVYGVSAEEYKF -IQNFPQIENLYAAVTIIEQFDKSLIDASAAISEQIMTVGFQLGEYHSQATRVNSRSNTQMIQSLQKRIHS -QIEWASHSPARCHTIRTQPVAVYLYGHPGVGKSVATEVLKARIYAKYLKETHTDFNTISFPRRAKNEYWE -GYTGQPIVILDDFGNVIDSQQKPVEEYEELEYMVNTAQYPLKMAELKSKGVSNFTSEFIIASSNQKYPAI -VSLVDPGAVFRRFHVWAEVTIDPAYGVPLGRDDRGGHYYTFDKKTAAEKLNCTEDKIPPLVVDHYRFTCY -KVSHNKQSGNAEVYYIPGKNNLTFDKFWEYFCEENDRRKQDSQGLAEAIMKMAGIEKPAPSLHERQILEK -FDRIFNPEKFIEAVAEAEDFQVELGENFFDAEDDETFGSIAHMYNIRARLNKIKEMFYVSKARCNNSLQK -MWKSAKACITAAENKLITIAQFFLSFFSNTAQKFINYLPSVPTSDILVGICSTAAALFGVWYTGIFRSKS -ADSSSAWCQFNRSPSDATAPCDRCASCKILQYPKHGNMLVHFLERTGIKSVRNDLLKQGISRDDLENVRE -EVRISLQRPVANTVVRQCRLQQLVDSGFRATNVAEAHTIVSAPCWYDCTFCESYEEESGRFDLNNGRNLI -DQTNNFLDLVAEQQAAAQGVYDTQPRVSRPVNYAQRIYETQPAVPKQRQFAQRVYESNPRVPRARRLAQG -LVDCLPNTEMHLGARRYTYAQRDRVQIEQTTQVLLNNSVWIQAVDKNGMCCRSNGVFLVGRTMITTAHTI -MNPPHIDPIEYLVIQNPYSTERAIEIPIDQCKISQAFQIDGSPVDLALVSFPPVVPNRQRILSKFLNAGD -IDLLKEGDLTFSGFYQHGNKTIVQEKYPQSFTVSTKTTEYFLHAPGTCPKDPTRCVCPIKIGNHIDYDLE -TSNGMCGALLSISNKLIHTKLIGFHVAGGAGVLALGVLTTRQFLEKALSEHIERFKIPKSYLIDGRLPYS -QSWVDTTKQVSLIELGDCLNVGTAPAPAAPSTTQLAPSMVFDKIQQHIAKPAYLRPVEVEGEGLVDPMLK -GIKKIMGRQTFVDPDLLEAAANDVFQGLGTPIGGKGVVHSYEQAITGVDGDPYKRPINRTTSPGYPYNLT -NKTKGKTAWLGDGEEYIVDNPELRKDVESLISDSRKGIRGNAISIATLKDEKRPIAKVDAGKTRVFEACP -QHLVIAIRQYFLDFAAHVMRNRIDNGIAVGINPYSLEWTKLAHHLQSKGNYMIAGDFSNFDGSLLMQVLV -KIVEKINDWYGDSEENQLIRAALWEHICNADILVRGEVIRKTHSQPSGNPLTVIINSLFNGIVMRIAYML -LKKEQGLPATCDYRKYVSEIIYGDDDIKSVSTEITHWFNQLTLTDALASFGLTYTDETKTGKILPFKPLE -DVAFLKRKFVIQKDGTFLAPMDLTNTLEITNWIRGKAKRTATIENCEQTIMELSLHPQEVYEYWSTRIRE -ELAAVGLSIQVPTYYEQMETYRYNRDMYARTEYVPLW - ->YP_009336706.1 hypothetical protein [Wenzhou picorna-like virus 27] -MENVKILTNILSCECGLKVTSLQALWNHAKSQGTCNAVCECGWVGKPSDHENCAMTGPWSCECGVQTKSA -AKLRNHQKICPKSPLEAQASVDEYRQRCRQNNYRRRLTFNLLRNTEWWKPNSLLNQLDLPMWPAFIKTQP -ARARVSFQISGKRVVVNPLDEGFQQMFDNIKDHIDVEAQIGIPVKLSLGSEVDGLLRRINTILDSVSSSS -IASKMVYFITQLIVLIELRHSPIGMMAWCTGVLSALLPQGSISEFLSWLQPEALEAQAMGDVTNVFAGAS -HATIAAVIGQLLCASVFGLTLASGQVKTLMNIGNVARAATNMWQFVVILMDKITPKLTSWISGVPEGAEE -AKRVIDGMEDWTRDCDSYCDAQFVDSLTSDIAAGLKVEQSVHRGNQLMNEALKIQDSSLRNRVVSVITYY -MQDLRKKYETVLSSGVNRGGPKIEPIMVYLYGKTGVGKSSLATFLALDLLHKPLGGIPKRDGKFDHRSQI -YHRQPAQEFWDNYHGQPVVICDDAFQQKDSLAMPNPELMEVIKMGNTNCFPLHMASLLEKAKTFFTSKVV -IYTTNQERVGVESLVSGDAVRRRFHINAEVVIAPEFQKRVNGSSFLDSTKVKETCGASSTEPYRFWIKGM -DGHIDWSQHKKVGKEHVPWTYEEFRDRAQAILSEQLESSVERLRAFDEYAAKLETQALSGDESEIIQAMR -ASWDQKLNDKMDVLGLLIEETFGEDGWKIIEEALEEGDENTLLNMLDNEIMAGGAVALTRALKSAGIDIR -DSPMHKCLTWYFVDQVRDDTWKETMMRRLHFEELRDLVSSWSKNVTEWIRNNPMLSAAIALLPLIGMMLM -YMASGKETASEETELASSGDVRTVKKTRVVELGGSGDNITRLKTKRVELGSSGDPKTKKGGKHVEASTTC -TQVIEENGTLESQAQMDANSFELAKKVLNNAYGVKRPDGEILFRITFLKGRTALAMAHCVPVLHGELRLV -NAMNPQGLAVRAEDITVVANSDHDLALLQFPNHIRDHADISPHICDHQELSKFPDMGVQGAMIMAGEKAQ -MIKYAHVYMDTDVHYEDSANNAKYHLVSNFRYKMEMKKGDCGSLLVAVNANFRKKILGIHVAGRTGHPYG -HAAPVCARIMNEMLTHQSLDKDAQVSVDPAVFETQVGSLIEGSNFSKIGTTYADKSSTKTTIKPSVIQEF -LPEPVTKPCKLRAGPNSDGVMVDPLMKGLEKAGKSTPLVDPDMLEAAMCDVRRLYGRMEGSRSPTSMREA -VEGVAMDPYAPPIKRSTSSGYPYKYHHKDMSKRAIIDDNYQLDPAFEKELLEQDAGLKDGKRIPCVFIDT -LKDERRPVEKVNAMKTRVFAAGPANFTVLFRKYFLTFLAACAHFRIENESAVGTNVYSPDWGHIARKLGR -KGQTVVAGDFSNFDGSLNPQILWSVFDVIDGWYGPENSTERRTLWREIVFSIHSCRGSLYHWTHSQPSGC -PATAMVNTIYNSIAVRLVWLLVVPRAWKNMKSFNEHVSMVAYGDDNVINISDEASGVFNQRTITEGFAQI -GMTYTDEAKTGKIVLGRTLGEVSFLKRRFVKDGFHWKAPLDIDTVDEIPKWIRNSPSDEQATIDNIESAQ -LEWALHGKTIFDQRKQMMTEACDKAGIKNPMMTFWEVEESLLHQAGLVTAKTEVLEAQCCALRQPDSVGV -LDKWRKVQDSKARSLSEEWRRNPSAKCVPLKIQAISPSVFEEQFNSTAQVYRNPRVAMSLQKDMIEQQQI -TTFREELPDSTARVVAKDPSSLVGLPSESLAHSLVSILGRPVQVHEGIFQDTSIAPTELEFPEVMYATAP -NLVDKLNYFTFLRAKLNVRLVFNATPFQQGRYWMCYSPYDTQSNRGHTGYAQNLTGYPGVEIDLATGQPA -EMSVPFMCPMSHFRLTDGEGRFGKVIIAPIVELHSGTTPDTVPFTVFAWFSDVDLVFPTKDTVDTLEAQM -GDEEAKHAGPLEVISGGIASIAEMASNVPMLAAVATPVGWVARAVQGASAMLGLNKETSKAARTHMVNEP -GQGYTHADGLDDSTVVGLQQDTGLATNFDVFGLEKDEMAIDNIKSKMCAVRGVAQVQLIPWTTADLPHAQ -IFAWQNSPSCCQEIGLGNIAATTLNYLASMFQFWRGGIKYRITVAKTAFHTGRLRISYVPAKNGVVTPNT -DEVESCYNWILDLSKTSELSFEVPYANNVPWCKMAFLQEGDAGWNNENRTGTLIFEVLTPLKSASAAVSD -QVQLTLWHAGGEDMAFAVPQFGQLYPINNPPLQAQIFNESENTGNEGETSSQKMWSSPPMDMISPEENCI -GDKVVNLRAIIKRFGEVFHGKQFPYTNFSGNLAAISGPLNLSDTLYHWTGVEIDPAFFGSRDIQLVTPVT -KTCWTESHVTLPTTTPQSGSISTSIANLRVADILPNNNPLHYISYLYRFYRGGKRYKMQTGLWSQPGQSY -PNTYSDVSQGGNWLQTNRVPIVVSRDLLSTSNGDVTIYNPSNRVPTDGTGKFQHQVYSDLRGVVEWEMPY -YSRVPISLVAEGPVPTDQGPLVERNKFLVHRGLTDEDNRTPNWMSFQGPAPYDDLGSPIAFGWNKHFIGS -YKLFEAAADDFSFAFLTGAPTCRLA - ->YP_009336700.1 hypothetical protein 1 [Wenling picorna-like virus 5] -MSFLKHKVTCLGSGDFHSYASCPFRAHVCAKCGKVVRTSKCEKCESVKKRKKRDNKFHGMEAQMFKALDS -VRDWKVPLQHTHSMSRDTKKEVSSMVKEALGDLRNVSLNHAITAETKKNVNDIVENALSSMKDILPDIGM -EVYERIKKKVKKDLGGSIGTSKPELFAHVVSSVGLLLRSIAKSDWMSLGLTITQFMSSYGVFGAGVRWII -SKFKSFEQVFRGMFASEDEITVGGEMDSSPIISLVLSLLHLLVFKSKPNWAALSTFVMSMSKVNAVSTGL -SGLIESFSEASRLITNWIKVQILGCDPATIAEEVDPYCAWCDRVDALWKCQSRKEAEWTLDHLKEFDALY -EEGTNLRRNTHWLNKDRALNTQFTTRMNLLFKLQDEINQGNIRQSTRVEPFLLNFFGESAVGKSLLVPSV -ISEFMAEFHTEEFVRQKYDHNACCFTRKSGDDRWDGVGNQRVIYYDDWMQVKDSTTNPSKELFEVIELSN -SSPFTPLMAALDKKGKVRLEPELIILSCNEMKPDIVSLSKPVAVYRRFDMLWKVLPHEDIVHKGLMSKDL -LVERHESRSCGCNHYDSSICMNANKFQRYKVEQRINDVGGDDIQVGCEFVPIGEPVQREEMMEIFRNELR -AHKTQRKSRLQFFKDYGKKKVYEKLEKALGGNDQSTVNLGGEMDSDVKVVTEAADDWDGEGFRGSMLAET -IDRLREESNDLHHDFQEPSDLWDTDSEDEADQDFTPFEEDTDLKKLAGSMYTGTPITVRCVDTPGCQLSR -CTRCNPKEHWVKTRLKKIMSRVKQFILDHPILSIFGTAMIVWQLSSSMLSLVKKKTTYEAENDDSCTKID -VRHKNCRSYRREENFIIIDDEDESEEKTLKENKCECENDDSCTKVDMKRCQKRTFRTEHNNDPSICDKCD -SSDVKVDSSPVKQEVREKTYTKENDDSCTKLDHRRAKQRTYRKEGLASSLAPSKGLFKNKKKDIHARQQE -WWAGVQNLVPDDSDVINVTTQGVSDPNLIGIMKKVFKQTYLLETHLGHKLGSCVVVKGRLILTFGHVASF -VKCNRCFIRNVQTGVAYELDSFNYDVCGDSQDAIIFEGPRQMPAGSDIIHHFMSDFTASDRVNYTVRLLV -PNLGNSPDTVTQIHCGSAKALDRVVSYDDGDGAEVIMRQYYMYKFDTQKGFCGSLLYKEDSKNSAKIIGM -HVCGVEKKSVGLASAICCEAIRECVSQFSVENQCSFPIDDYKADMSVPGPHLEKLGYMGRAVHSITDQRV -TKISPSAFYGWDGEPIKKPALLCGTYEGVNIKDIARQKLYKGGFTAKNKTMLEVVRKAVGHSIESSSTLQ -PFLWTIEEAAFGVPGRPFCDAVDMNTSSGMPWKLSAKGQGKKSYLNKGKNFIKKDLRDAVQNRIDAAKDN -RRLPVVWSDHYKDELRLNEKVHKPRLFSGGPLDYTLTIRMYFGQWCAAVMDGRIENEIGVGINPHGPEWT -LLAKTMQANSTHIACADFEKYDGSLDPDIMWAALDMINDWYDDEHKDVRRVLFEDIVNAVHQAGGQYYQM -DHGNPSGNALTALLNSVYQLIAIKYTMMNMGYSLGDILKKVRMITYGDDNMMSVVGGESFLSMQSLRDAL -WSELGLSMTNASKTGVPAYGPIEDADFLSRKFRLEDTVYYAPRPWENLSLGFDYVKSDEPWEEVAKSYSE -SCFYELSHYKESDFKLYTKAVKDLYNRHGMVCAPIMPIEYYRRNFLDWADTGRNLMLCWGL - ->YP_009336690.1 hypothetical protein 1 [Wenling crustacean virus 2] -MAHQSKQIINGNKDLYSLSLEYLLTKYHPSQNRVLKNLEKKYLHAYLANDFRSCTNYLRTSQKQSNKKMR -GLKFEAQSLTDWIPGVQQMSEIKNTITQFANKGSQCFDQVSEMLNNISNYFSNDNEMMKRVASILSATTL -LYQAERSVISVTAYLVNIASQFNISATVIQNIFSKWMNKTGLVAQSGEEMEEEEPIRVFGAIIETLSSIL -DLAPNVEELTKTLTNVGRSAVGGEKIIRYVTHALIWLRDLFYKSRYGYSYKEYKEMMDFPKLKSFIASCQ -ILLEIDDSFIDTNSEICHLIQVVNRMGHTLLEEARKESQLRTYILSLLRTILPVVEKAKRSPANAKTNRN -VPFAIYMYGNAGVGKTNLMHIIMANIYKEYVKNYVPGFMNCYHSRKAENEYYDGYLRQPFILYDDIFQLK -DLPSSPNPELMEIIRTINDDPYQLHMASIEDKKSTYMDSDYVLATSNVKVPVLQSISCPDAVFRRFKSAI -EVTVDPKFGKQVVSVSGNQYYKVDPSKVKNELNTEFYILREYNMHTGQTIQEYTFEEYINHIFNMINEHR -DHHSSRVTLLRELAGEQTVNATESANQQFAEKLRTALKGNLEAQNSSQIQENESESITERLLSALQGYKE -KLSMHVDTFMPYFDSTKDYIAKLRTRVFDVASQATKIFKEHLEKAIDYIKENILILILPVIGIAGYFLYK -YYQCPLLKLNKPVDIYTMKSCKCERCVYIQKMIKASEGLVKVEERHGEAMKIFGLLFSKYPDKDLIQKFS -PMLIFRGNTNERIYKEIKAETSGDFTTLKSKSELKSEQNSGDFLTQKTNKGLKSEYASGDFITKKGINQF -LAEVASGDFETRKNVVNMIAEHSSGDFETFKRQTAFLTAETDNLSEMKNAVQVGDKLIAQVGDLGFIEQH -QATMLRNAVLLKSSDQKSVINAVFVTGRTVLVPYHFYDVAIRNNETFTLTNPFQQNLSTPIMKDQCFFHR -CVDKFGEHTDAMLISLPLSIPSRPNIIEKFCKAQDFNKLDEGEVVLCGLNTINGMTVVKTNTATEHHVES -SQVEYPDSVGNIHKINQIIAYNMTTRAGDCGSLLFARNSLLVGKILGIHVAGDTKAGYGVSLAISRENLS -RNILCFAEKVNDKRQFVSGSFEAQMAIVDPELAYKHLGELGDYLPIGPLDRKMNRPNKTVLNKSLIHEEV -YKTETKPAYLAPVIRDGVKIDPLLKGIKKVCNVLPTVNTHILDIAVHDTLKQFKNTESDIKRVLTYEEAL -KGVEDVEFAAPINRSTSPGYPYSLDNPQGGKHEWLGYDNEWIVDNPKLLNDVTEIIEKAKENKRSKVVFT -ATLKDERRPIAKVEELKTRVFEAAPLPYVVAMRQYYLGFVEHVMRNRIKNEVCVGTNHLSMDWHRIGMKL -TSKGDKVIAGDFSNFDGTLHQQILWRINDIINNWYDGTEEENQVRNVLFEEVCNTIVNLDGFLIQQTHSQ -PSGNPLTVIINSIYNQIVMRYAYLLCKKEAKLPMMCDFTQKVGFVTYGDDNAANISADIVDWYNQETITA -ALATIGLTYTDEAKTGIITQYRALSDINFLKRKFVKDIWGFWKAPILINVPRDMANWVRGKQLKASTALN -VEASLIEFALHGEEVYNDETQKLIVACEKQGVTVNVPHFLEWQEFFAFHRNIGCYN - ->YP_009336682.1 hypothetical protein 1 [Wenzhou shrimp virus 5] -MQSFTFNTIFAKNQTTKMTTENAMLRYKVRSGQLNTLNLGKIRKMKGRVLKLSNELEKNPITFKETTCIE -WTIGKITRRIYLNVRPTLTQILDTTFRYKQVEDLHCFYDTDKTYRPHYPRESWIRGMTPIADAQGIFDGM -GDIFFPSIKSASEHVSEVSQTISNTIKEMKEWCKTPEAPTKIASTLLFIVNQIIMIRNNISSTTILQLLL -SILMYTGFSFKKAIKSIYQLVNSLFEDSPGDLVTAMADVDESFSLEDEDTEAENQMGLDALTPFLKTLSD -NTDLVCGAIVTMITSVCALPFVPTNIKSIVGAVKDAGLMARGLTSISAWVKTIITAIQEVYYKSIHGCTK -SEYDLMQLVPQFHNLMKDTYTLLSVPVDKFNNSKELCEIVKGMYMEFQGINQQIVRHGGALSAEMKYAFS -KLERQFLPLYEQVKLSPLFNNVSRAKPLATWIYGKPGVGKSNLVNMMAALCAKKLYPKKTFNGENSVMWS -RRVENEYHDGYAHQPFVQFDDCLQIIDTSVKPNPELMEIIYMVNDAPYQLHMSDIKEKKTTYFDSDHLVA -SSNQKIPTAVSIHDVGAFRRRFTFAIEVKVAPQYGKPHMDKDQNPYFMVDETKVASALDTQIYQIHHYDL -NTGKPILINGMPKVQTFDQFIDEYTATFNMMKDRRKDQRQAIYATLGTEIKEDNDLEIVGHYADTHSGAI -MEETTATDPDIDIVTKTVHPNDIPAEIPTTVQEIDLSDRIVLTLQKLDQETTKDLNDEEDVDHFEEVPLG -ESKLVNDTREVFKKKIGKMPGLFSRAWERMKSMYDKIKGIFKITGKKLLIMAGALATLVATVFLFKPREC -ALKGEVVDNFNFFARVCGSGCENCEYIKSTLGSSINFGLGQEAKIQLATWNLRAAEIMARKDTNWAKCVQ -YWTNFLNDCNDTMQLAEVATAQSRRLTSRRRSAARPEAYGRTASYSARPEAYGRTSSAPAIAEAYGRAGE -VVAEVQAGACFDYQSISAASEQYVRILFRNSASMIADGMRVGVLFLTGRTMLCNHHAWAAAERRGQFQLR -NPGAIESTTIKIKECRYERVNRGGEYDQDLMMVELPVHVPMRPDIMSKIVKGAHQGGLEERSATLVGFNR -ISGLETLSEKPINDLSVTDIKTKSQDGQVHTIRKGYAYTAVTRAGDCGSLLFTNSTLAEGKLIGFHSAGI -PKDGVGFSEALERGTLEATMSKLQVKKCTAVVGETQGMKKLASWHDLGDVIHHGDSEFVPQQPTHHEHKP -SILSNVLAEPKAKLAHLKPVKVGDDIIDPLKKGLAKVANTPGRLDEKLLDLAVTDVKRTLNNTMDEPHYG -VISYEEAITGVEGDAYISPVKRSTAPGEPWKSMKKTTLPGKKEWLNVIVDGQATDEYRVDEPTLKAAVEH -RINEARKGVRVPALYTATLKDERRPAEKVDAIKTRVFAAAPQDYVLAVRMYFADFVAAIMDARIHDEVAV -GIDHRKEWPTLANYLLGYGDNFIAGDFSNFDGSLLSEVMWKICEIVNEWYGDSEENQLIREILFEDIASA -YVNCRGHVVQWTHSQPSGNPLTVIVNSVFQMIMFRYVYLWLKAEQGLPIVCDFRKNVRMVTYGDDGMLSV -RERIVEWFNQETITQAFAKCGLTYTDEAKTGKVYKTRPLSEISFLKRSFAQVDGIWMGALDRDVIYEMCL -WTRPKYETLQCQENCAEALKEAVAHGEEFYETFADQLDQAIYRTGNKLDVPIYTFGEMIEELYASYY - ->YP_009336667.1 hypothetical protein 1 [Wenling picorna-like virus 7] -MDKGDDSDLAASRATDGVADLAGGDAVFCSVSFSEKQKDVYGFRFFDFQGGDCVGQYLVYVPLRQSRKFG -RDFVRGRPVYVLPCGAEPVRRYLHVLEPHVVRHVQVSKQASRQSKFVSVLRALEARYAGTEHEDPVCKEL -TKMEHDYLRRHSRRVLRQERGSNESLLLAGVAITGALVGAALQAAFTAISPFTPARYREGAMCGELLEIL -KSKISSVLGRAQAHLVDLLLVCTGVWLAYKIGGLASLMVSMPFISEKVGVESGIIQADEPSCQEVVRGVV -SLIGLLLFGKLATSEKGDRVFRKAKDVFQCVGSLDRVFCTASAAASFFSNLFTSWGDEFVPTAFFEQRYD -VDKIYGEFKDACNVEGHVAIPKDFLHDQYAAQRFVDAYEALSDVVTAAEKVQHKFQQKRHDLRNYYTLYK -TARCATGKVTGRVTPFCVYMHGPPGTCKSTIAADLCRYLFPHHTYYVRSNLDNYWSGYNAKRDQMVVFDD -FEQVQVPGCVRDLFEFMKIATNNPVPLNMPSVDNPDLGLKGETFRSPFIFCASNLGEPQYHGIASPDAFR -RRRHIVVQTMWGDGAPVDEHGQFVYGPGVTPMQFVRYQLQDTFDMRNIGRPMDKDELFKVIDDKYMAHME -REIGRLKLSEEGENQCKTGEWGDPLSCQVACKCVQDALARVQKIQKLGVVRRVWAINALQIECFSAPTWP -EVFTAQVALAMISDTVKQHWDLPKGDGTDVFYCSNMLRWSAVAGVVGNISVAALAIRGVFKLVSSFCDKT -AADSQSNTNESACGAPRAKARPRGVFKPYRKEAGKNEAGLNQNTDAQRIMANMVHVVVAGQSSPSTSSAQ -GLVLRGRTVMVNQHLFVPHEGPYEVTVYGRALSTHSSEYGSQTFVLDEYEKAYDDQGERVDLAFFKLPVS -FRCFPSILSLFAKDQDHCAMQKYPAVTHIVRDEEGMPMLWNGRAHIQNFQWMGTYGLSHDFGYWTEPHTQ -AGDCGTPLLSRRGKIVGVLVGTTPGLRSVYLRVDHDFLASFCGDEINSIDLYPPFLSETFSHVKHVGGVV -AGKGTPSEYVKVPLEDKEDVFVDAVEVFPPNLVDESSLVSSVLKYDVPPVCLDQALLDQIRDELIDEFAD -AAESFEVYTKEDAEVGLTVDGMTLIDGVDVTKSTGNPYVSEGVTRTACLAQEPMYESRFDTRHRLAKAGI -RFKDSWWRDFLKDELVKESKVETPRVITIPPFDLYVMMRMYYEWFNAWLLERRLGVNSAVGICCESGEWH -LLARHLGENRRFICIDFSNFDGSIPAQIMHCFFDVVHALAARGGAKTESLSVMKVVQDEIVYTQHSARGL -RYVTHSGNPSGNYLTASMNTVCNMIVWRYAAMRCGIPRSVSSAFYGDDGVLGYESRCFDPDVLIPFFRQE -MGMRLKFEVEPFCPLSRVVFLKRRFCKNHGSTQKYVPQIDKSTIYKILHYYRRGACGERVDRLRCALLFA -WFHGPLFFQQVCEMVRPYGCSLTWGEVSVLYASKRISSEIERVLWER - ->YP_009336664.1 hypothetical protein [Changjiang picorna-like virus 15] -MNTQNCVNGSKLPLSREDLCPSAARLSESKQQLVALGVRKEHKTFKHRPGLKEGEYFSPSEQLKSQRDAQ -FKAKKEWLQAESNDTRILANQVMSKQKKAEGNRKAHNKKYAVQRILEKNEKHRTSLITIRDETDDMSLKK -NLTKELNMRHLSPYMDLTYPNMGFEERLNLFNKNHKCKHGFRYNYILKAGSLYYVKCDHEMEDNITDNIT -ERCGNCGNYSYLVSRMCDCTSISRCRRCHYTAYRYQGLTTARHKCGRPTLAELTLREWTPKSKTVGTKSY -ASAAATDDPFLAQAYLNEQVKTVKSDRKSFRKELASLPLYSTPIQEVKKEEDKPLDVIFEEGEPKSGSEL -PYEPTVFDFLNMGKARTSRVLKKKFKHILYEKATPKMFEGLGGVTSLVVGPIRAFAEKIFTSLLTIAVNG -TMATLTSIGAYVIKDKIATFCGSFYAKLERISVRASKALKFVTDDQFVSMALTLVAAYYLTGLSRIGAVL -HFAQLLCQISCGVRPGNVEIDDAVTWKPREGVFTRLWNKLKRVGSKVTFKRTTESADLNMPPSDVFTLTV -TRDAFARFRPSVVTYRPTPKMLTSFIFYQITGELEVEEGSMNYWETSETFKPYIRHALRLSREDTRTLAD -EYRANRQAILHKYDITHPDFEPAESKAGVADIVTTEGYLINAIAKETFEGDVNDEIWKVLDANAKDLRRL -YVEVDNKLEAKFKQVDAMYNKDQQERGIQMDKIRSILDSIDHQAILARITQAENRFHTTTTAITTRLLDI -TEKLAKIETRTYNNSYEDYEYENAEGRSGMDTFVKFVTSLMKFPDPLKMVKNLFECVKNCNALLQFQKLT -SSSTGAISSSIKSFFYFIFGWIDGDHYLAAQLKKKDSCYAKYTHHSFQAGLANMQGNAALSHLERENTQE -HKKLIMEDVADKPWAMKAVLSHIARCDKVISEKFKQEKVRHDEPLWVHVHGSAGVGKSTIAKVLASSICS -SAEDIDKRIYTRFGTNEYWDGYQPENDIILYDDLGSDRQTSRDWLELINIVSIAPYVPQMATIDNADIGT -KGTYVHPKMVISCSNLSDCDSVNVIGDKEVIHRRMHIRVHVTRKRDGKAELPRADDFSHLNFYITHIQGN -KVDQQCNLAQLQTIIRKTYDRKQEIYKKLEGNWKKALYKNPVDIAEFEWAIYETARPKSGFVMSVASLLL -ENFLDIYIMCGLNGLSNRVKFWVALIMSIVIVMTIVISVCVLVWSYCEGEPQSGEELPKARKTFYGQPRS -GEFRYTDRLRLNTGRLFVGHRFVNITYISDMVCMTVDHIFRQDAKVVPEGTPCKIMWTDVNGKVNTILFT -FKQSNLYSRQDLDLAFYKFDTFDFQPKRDITKYFWTAQQSIAERDARVVLWNGKLCDTSVNREHVNVKYT -SDNLVYKVHDTAVIKYSSADGDCGSVCEIKDVGCVGIIHAEVSDGTSIRIVTQEMIKEAMMHFQPKVKTE -IKYHPDLEFGKPLNCELGIVETIKNPLFQPTKTDIVPSPLFEQVINPHLTEPAILSIHDKRNVDKIDPKI -KAQKQYLEVTDRPIYEYDQEVVDSIVEDYKQLKSIVPRRELTTEEVLNGIPMIPHLDSMDLSTSPGGMWA -MNRKTKRDLVDIAPSGYRTPKKELVDKINEYHDCYMRGERTTHFYIDHLKDERRKMNKIKDVSTRLFSAC -DMARTIVGKRIFGTLFAHLMHNCTRHDYSPGLDRTGGNWHEFIADLLSLSPVGFDLDYKGWDINTQSRQY -RMLVAVLQQALQWWYGHADYDVFVEAYVMEACYSVHVSTIDVNGKVQTISYIWDGKVTTGDFITMFGNCI -LNQCNIRKAWIHTSPRQYISLQFYKKNVKSRYTGDDNEHAVNPNCSWFNPVSVAKYLSYHGFKITAANKT -DELTTDFKPVLELEYLKNKTKRINGWYTPVMDISAVVDQINWIRKGSPDLPIKQSQVNCQGVMRCLFAHG -REKFDEIRDKILEVQPDFGLFTYNTLMQYHQVTGSLPGGGIYVDEDPMFIRGIPKSGNDPHRMSIQEVDL -FFDIYIPTLSLNAAKQFYTQCNKALATKNLNSENTTNIEATRHTQLSFGGNHITRRIEVRVVKENSAQAV -ELVIAGLIACNQTVIIKPLYTRLQNYITNPVHISIEAANGEVTSDYAGGKPTMGTNRMNYLQAKPKMGTI -GQKIDGVVNALNPLNVIGDVLGFLDKPAYTENPGIYIERDHHYLAHAVGSENIDKLLLYPQAQQLCDKEH -FGQNADYCKLSWFTKSYSLLGQYTWKSTDAVGTIIASGFVGPMATIETDETQYPSVDFMSRFFQNWRGSM -DYKVSVITSAFHEGKLDFTFHPGLVQPPVDIVAGMSQYAVSYSVRNEKNMFVARVPYLGETPWKKVYNGV -SVTDAVADNAYRFQDYFMGSWALRVSAPLRIPSTVAEEVDINIFVAGGPDYALNTPSITNVSIQPDIPVV -QNTFGSTAKFDRAVAKSGEEDMSQPLIDNDVPDQTTYGDEGETDGVVVAESDANVQSLDSSEKVPDTSVG -VTLKGHSTNTVLTARNSEVARTRAELHMKDKAWDVTSMLSRFTLFDTFTWNLTDSVGTVLTTYDITRDLL -NASITTLPFATFQQFRCDHVNLQFHIVASRYHQGRVCAFFIPTMADKLTFKKDTTFPSITLFQHGWLDPA -AGSNITLKIPFVHYKGWLNIVNGDTLGTVVLIVFNQLKAVTGSASSVDIKAFFNIDKPTFKIPRPGKTSL -GAVMRHFTEGRPYETGGPRSGEVVLTETSSISADVLAAEPGLTKDPKAKHFGETYESLTEMCKRYQPMAQ -VPWLTVTPRITDYAVWRIVPGAEILCGTLLHQLMPLFGLFRGAMNVKFRFNDSMLPSGNCELSYISGQPP -GDLRQLDFFFRGANSAGRIGGHSIPMHVMKTDKTAEMQMPITNSSATAYINQYVDQELTSASYLYDQTWV -TAFEYANAGFTKMEGFVAISDEAHFGAFLGLYALKVLPTAWPDAWSATKQSSTRRMPRAFSINHNNTRGL -SRRE - ->YP_009336627.1 hypothetical protein 1 [Wenling picorna-like virus 4] -MFSKHSNPNQNPLDQFTDQKVWNRVRRTWGDFALCDSAFYHNRRANRRDVTVKQECCHMSLPVKLRTDKL -FTYTSSGELVMNCAIPLPLELAQMELTTVPRRGTFVKHDFSKDDHVDPRDVIVYWNYSPVHHHKSFYIYS -RRDKDNLLELSRIWNSSSFRLSQEIGESIQGMFALAPRPCGCVSTADHLYFTQSVIANPNVHFMCQNDYY -RDLKRAVQKNVDVQCCGYHKAMVVPPPQGYIARPDVMYPEDYGDDECCTPENWNAVYRPFSHMNVRFIPP -VMHELMGASGCDDFFGIPDELCGLPIDLNFSSLEGVSKSVIDYIRDQLQPLMRDFAVKVTRNIILALTNM -YVILTSLYRRNYDNVLASIVNLLASFEVGADAFEIVYNFVRDSLSAMKQNIFKADDGSLEARGSLVVNAI -ESIFATIFGAAALSGSFTKSAFVSIRNTLHNSAFLIKDTKVIWSVLGEYVMSTIDAISMHFWGRPFRVVS -METMEPEVTRVNNEFEEINELDIVANCMQSTEFCARILKLRSDIELVRANLVKVKADRAVQELFRSRSAD -VSRWVSLSSQFGIVEGNSRKAPLIIVLAGQTETGKSTLVDTIVNLFSTVYGIKHSVYRKNFSEKFWDNYS -NQSIVVLDDFGQLKDTENKEVSEYETVINIGNCAPYPLEMAACEKKGKVFMTSKLIIITTNAASLQPVSI -NHPEAFRRRMDAAYEVKLTHSDEKTFNPDRWEFRSFDFNTCQHKMQYDSEPMNLTQLAVDLIALNEWKEG -QQSDALKGAASAGIDIGKEVLAQKVSEQGIKRLPRIEVETMESIRKTIEAKKLAAQAEALARKQKNQQKY -HAFSQNYVSRPKNHGKKTHDPLNPLHSDAKGLMAPCIAAATTAAMAAYRWFRPVTEIPSLRHCECGRKMF -PVYDMSVVHQLISMDVHVKSFSVDQDGEYDIKHGIELKDLTCSSSEQVQSTSAEQEVPLIFPEYFACVNH -CTLTRPPDFCKIMAVVKDSANWTKKDYAYLFLQCATASFVVCGIFKALRSYYASTIRAPDKEESTVRFVD -FMSREDEKQKKKQKKKKKANNRIRYLLGSSGEYDDEELSAMADQEEADEHMIDFFEEAHRRHINLINESR -KLCVDKVSKNFYEVEVSYENRPSCTVSGLFICGQIMATNAHISIDQAKSVKITSFANQSQIVIPACALQS -YRVDTQDVLFIRFPKTIRLHSNIVRHFITEEDYTNKDEFNAVLLVWRSLTKPTALLHTCKASPMKEPEMC -VYNHDLSTATEITRGYTTDFAAINGDCGAPLVNIDSSHRRICGIMSAGYSNISSTVSLITEGMCEMAVAN -LMGLEPVGDVNVRVDVQPQLGMIETKYSVIKDVSELRSSDIIGKKKMDYCWTPIYTGIDNVINEGHLSTF -THHQTRTALVPIPRDNFKCIEDTFGKCGVKPAKLVPYYDEKIVDGELEFERVDPFSKALLKFSKEVKHLD -EDTLDMAVESYAELFLANPQVKYRKVFDIQTAVAGVDGDDYLQSMNAATSAGYPWMLKYPTKGKTPFLKD -KDGNWSPCEEVIVDVQDYLKTIQTHQNVFVATLKDELRDNARVDAGKTRYFAAANMTSVIARRMYFMGVI -ANNMHNRIFNGSSVGMDCHDVHETTMFVKHMKEVGNNFIAGDFSNYDGSLSPQVLFKCKELIQRFYGDEY -SHVREGMFQEIAYAHHYRDGYIYQMDHSLPSGDPLTTLLNTMYNNIIIRYAMLVMKVPMHKLNAEFRINA -YGDDNMISVSDEYREMVTPETLTCGLLEANMVYTDEEKTDEIRQHRRMEECTYLKRHIEYENDMYYMPLI -ESSLTKQLYYVRAPYNRTKELENHENVLMECVLSGPDQYNSYKERLEKYRLAMCFKKQVPAQTYVAMCET -LEVPVRKSKKKNFGLDADAKRE - ->YP_009336613.1 hypothetical protein 1 [Wenling picorna-like virus 3] -MPKVSFVHFASFCVVPLEFPITTTVHNHSFSYFLLESHYSVRLHLTMQHNFNSTSSKPNPAQSSSTEPCF -LVPSNPCYKPSLRELRTRVRTQSSEQLGHFLDQPRSSNRSVPPSQIVPEMFGLLTGVQLPPPPKEESSDS -NMILSPIVSRKDYNPSPLLLSPIVSRRNQDPSPSSSSSMLLSPTVSRKDYSWTSGWAGHSDQPVGAPSRP -TPSVSGDPDHSPKLTDAFLLQQYNYNTQINYLVEKSYSQEFDPSTHSFLTTLQVCIPKYKASYVYEGRHQ -RKRDSLQKARIQCALRTPLILADEPVIQGFFDLLLPSKVSTAMDDVSRTAGVIDTNLSSIRETTESVAGD -VSSTSAQITRLLSHVSDFFESLKKKVKSPPLAILQEVVISSLFALYQHSILPILQCFTTIAAREASLRQR -FLSFVDIVLHKFSNLTPETAPTEPQDYEQLSTPAIRSLTSAYPEAAQYVAETLSAGSTTDDLFAAMQSFN -FPSPPPADAYEIQGFADDAPSVDFIARVVSGALVLVGMVCFGVTNFSMNSLMNQTSLIGRACKGFQDFKS -CFTQVIDFVEDIVYKCVYGMNKDEYLRSLEYPDITEAMTILVYFKNVSKPEILLNACPNSTALFLTALKL -ARKYVDTAHSRGHRDIVARLKDVITDIKHLENPARLAASGDYGFRVPPVVVKLGGPAGIGKSELTNLLIK -DLADQLYPDSPLNSLIYQRKAVNEYWDGYAGGNMFCVFDDFMQAVDSQSRPNPEIQEIISAANSSPFQLH -MSDVKDKANHFFKSQFILLSTNDVAMRPRSIVSREALIRRFDLDVSVSIHPNFSRPALHNNAYHVPDEFK -IWRAMPGNADKTRHDYRLAAADVENFTIPILKDIYQFTLTDRTTGLHHQHLSYDQFYTLIVNAHHRKLNS -HKDKMEATPSAELPDELVAFRRMLPQGAPDLDQQETLGVDAAPEIEYSVLRDNVLLKKCRTIARSIYAGM -TSLTCSSFSTPFFRVLSWLRETWPVIAAVLAFSAGTAAVTYLCARECRVAKKLREGGEIHSLFSASLCTL -SCALCKALKSGPVRTIPLIEVGRRMKYCPTALSKFFIHLAGVASSLNLSVHPSFLSSISSDFTLESIEAM -KAQSHVSTCNGCTDVVLTLESHQDSRLQKPLVESHQQANVKLPFLESHQDSRAMLPKLESQQDSRVKLPH -VEGDFVTESFSELKATPWSDIFDTQGSFDDNASVISNNVLAKNLVRVTVPGTKAFAHGLLIRGRQLLLNQ -HMSKQCPHIEITYAGEPASVKHQVSILSRQQLSRNGVPIDAVILELGNSINAAPDITTHFPAQSELSALP -SLIERGRVNMLKTIIAKVGKPILIPCFEPVTNIQCVDKITARDPKSDSVYHTAMGLRGRANSILGDCGAP -YMLYNPASRSKIVSIHTCGSPGFAISQILTKEDLAVVAPQGFSAISTDYSGLNLLHAETSLPNSSPLGTI -PSSTAAPKSQIEKSPIHGLFPVEKAPAILDHPSVDILYKNSLKMTKETVLLDETLLDTCFNSVRKVFSRN -PCSFKKVLTHEESIEGIEGFAYINGINRSTSPGWPYTLMSRTKPGKRQWLGTDEYKTDDPTVLQHVNRIV -DAAKQGVVRPIDGIFSASLKDERRTLQKVEELKTRVFTASNMGLTLAIRRYFMAFMQHIMDNRIYNEIGL -GLNVYSNDWQTLATRLCYHSDRVIAGDFSNFDGSLNAQILYRIVDVVNRWYGDSSENQLIRRVLCEYIFN -ASVNFRGTVAQMNHSQPSGNPLTTMINCLYNMFIFRYVYLLAQKAAGLPQTLSSFEQHVAAVYYGDDSII -ALLPCVLPWFNQNTITEHMATTGHVYTDETKSGVPLPSRSLSQVTFLKRAFVCHQGDWIAPLDTQTIRDM -VMWSRTTITTAEAVSQTTRAASFEAYFHGKEYFQEYTQKVANACSNAGYSDDCMSWQECCHLHNECKKGN -VPNLW - ->YP_009336583.1 hypothetical protein 1 [Hubei picorna-like virus 16] -MESLKNMARNRILLNRYSSIVENSKINEQIKEMFNLQPKIFYNIHYEYWLKINFVNGKYKTNKGLNLHSL -LCTLEDVDDNFAKNIFSFKLNLMNNQINYNNKNFWRKQLVKLEGTKFWFIVFKDIYYNSYMQFKKGDLST -TKLYGRQLTIDMTPKWYNRLHDIKFFETFKYTCIEHILNNFDKLILCGDVELNPGPAIMSLPQRYNNSSL -VRKHVAHGLTDSISAITDINEFLNKKLPSIMTQFESLIEIIDIKAKVNINDANTILQDTDDKIKKDIELV -NDIGKTITDKISNLNSNFFKFAFALLLLWIMYDFGFKKTTFLIGGVILLKLLGLPEKIIKMVNDMHRHET -QSFSFKVNTFGPILLSLISFYAVGKLPKDSSIENFSKKINNISRGIHGAITMKSDFSMLWDEIKKFFKYH -LSDISEEFMSMEKEIEQWSDDIMNYTDIVKKKQSMLLHGEISKIASLLQKGIKYKKWAYENKCEARIVRN -IIEMTRHAEQLYKYADTHNTLGGGQRQRPLSIVLFGESQIGKSCLIQMLSQDLCAAAGFKTSKEVEEQIY -ARQPETEYWDGYNGQYIVIRDDCLAQNDESSNPNAEIFETIREMNDFPYHLHMAAIEDKNSYYSSKVSIM -TVNNINTPIKSLSYPEAFYNRIQDNMFEVIPSDDYLKEMKFPGNIVKRVLNLEKVANLLNKLTLEKGYPV -VYATEIYKFKKYCKVYNDGKLEFQATNEPLLNYDDFSSMMCNELKKKTNNFEHKKNFMEKRWAEKHIAQV -YDEDFQECNTRLYVDINDEICNYYSKQLFELGDIDLVEIKCLEQYGNAFVMWKNGAKQKQTKDRIYDIYN -DLKNSLKNWFFTYKKKLDDAIIKYPLLRYILIGGGILMASFSLISLYRQFKDDIEIHHATELMSSPSSGQ -QKLFKKLRVENHSNEAYNSPGSGHMKNNKRMKVEISSDQNASDLSVGLLKHNSYALSYKENGCAKFIGNA -TIVSGYNVLLPWHFIHHFKLYKLKPDTIFTLSRINSFGDEQKGVIEFPLKCICNEKYELINAIRLNDGTS -DLDAILFNLSKESTAHAHRDIVKHFIRKGELGRLHGNMTGIIPVYTPTQYGISQCFKTVCEVSPSDRVIE -ITSIDDSIYHQRTGYLYKSDTTRGDCGSMLIIKSNVLTNKLVGMHVSGARDEGFSIKLTYEILRDGIDRL -TQIIGHRAQVFLEIDDNILIDKEEDTPKGCFNGFGHTKIPLHQCSRTVLSPSLIFNEIKENITKPAHLKP -FMKDGKLFDPALKGLEKNGGISKLISIKYCNMATNYVLQKISYDYRKIGTHNIRVMSYEESIRGNDDEYI -SAVCRTTSPGYPFNSDGKYKNNKPGKQYWLGSDMEFDFTSPQAIELKKIVKQLEEDCLNGKITGVICADT -MKDEKRPIAKVDEGKTRMFSACPMHYVILFRKYFIGAASFLMHNRNKNSIAVGTNVYSEDWNDIAKILES -KGKAVIAGDFSNFDGSLLVQVLWLVYDIIENIYKMYDKHYTEDARKIRYSLWVHLVNSVHIHGNKLYQWT -HSQPSGNPFTVIINSIYNLLIMVMGYLHCADHLQDEEERIKYFNTMMFDKLVSIIVYGDDNCLNIHHSIR -HFFNQQTLTIALEDLGHTYTEETKGNEIHLYRSLSEINFLKRAFKYDNDLCRYLAPLDENVIYEMLNWVR -KNDVDPNDLLKTNIETAAMEMALHGQDKYEKYVENIRNNEKCKNKLRIILPTYEEMKMRVCNLQAYDGFM -A - ->YP_009336581.1 hypothetical protein 1 [Changjiang picorna-like virus 12] -MNKNNEFKICEVDGYPQQPSALIEQNLFNYFSKEITDKLKDYKQAILARDRLQTQLLGFEIRDLVAHVRR -ALKINTKTALLRLNLVKDDSLHGIFASDFVAYDSKWNKPLFNKLSPKRLVDVSSAQREDKTTKKQIQLSI -QQQRKLKRNLFGGDSVISQGLWSSTEKVEDALDSVQDLSDKLMSIVDETDDKALPSLLSKLNVLVENANS -QIQDLNVKQVFDSIMESQAQLTSASVKAQDIFKRISDLFPGIAFGSAVIIVVICAYYYVRTNEAKYYWYF -CCASFFLGHCIGAEIGTWIMRMAREPTGVQTQGPSEAIGKFANLAIAGLHIGNADKGKLDKFVSFLTNSE -RRSQTITDIMDYMYEVLIHVANIFLSMFGYDKIYSFKKGEDERADSIFGIYENIMKRIDDELYEHNEASY -KEIVDLCDMCTEYLRNTRETASNRSLVHQVRGIYSFCLNKRMAMAESHWKHTGYRPEPVCLVLVGEPGVG -KTQNVEYISAAYCAKTLPASEQETLLTKGTAPYVYIRHDELEFWEGYKNKHVVTVIDDLGQRRTSVGDAD -SFIEVIRIINSFPYHLHMAELTKKENTFFTSRLLIVTSNMTKFQPVTLYSSDAIARRLRFKFRVKVKEEY -AAENGEIDPLKLPRDANGVGVFDPREVNYYISIDDEGNAVERNGRTTHTFEQLMDMTLAQSSFKDQQRQT -KQERVNDMIRDILGVKKTEDLTPIVQQSGDNILFAETKRRSVEDPFERFIEQYYTKMFDQKDLNVAAVTL -TATEMISDQFIIKANLVRYYDEHNTLDGFCYYFHTRDFVHDWWMKKHHGMFSRFTEFLRSKLQQPWLLNL -IEWVHNRPALLAWLSILQKVLIALGILGVAGTLGFTAYGKIVEYTSFDPETISDAELQKISSDHAAYFDG -ALPQSTHVRVGRGTRKYNVKVKAAVKQGGSFVTDVLNVVKANLYEFYIQKKWTTINVESMMEAELVDFSK -FGTVLFTHGRNVLTPFHFVTAVIDLLDDIKGTEGQMPYVVFSPIGGSTRKRIFVSCDKFLEGILYLPRTT -SDEEMYYEDYSVVELPKFVPCQRDIRKHFITDTETFDSPDVALICATKGDTTIVHGKGIALSKEKPCLVT -SPSSPPTALESGWAYRIPTVAGDCGGVVVLSAGSRSRTVIGGIHVGGSTARGLGFSQKIPQSALLRFQSD -HDSLDSRFEQLEVAQPDQALDAVVQQGNFQVRGRVAKCHNPYGKSKIGKSLVHSDRCQSYFDSRMAPSFL -RPDAKNDPFSRALTAYCANPSFDISDECFERAYTEVKSHMLRFNAEPRVYTFEEAVRGVSDHAYFKSLNR -AASAGYPYSLEGITKKMIFGDGQEYTFHTPLAKKVRLNCEQIIHKAKNGQREFHVYTDNLKDETLPKEKV -DIHKTRLFCGSPLDYTIVVRMYFGDFMAYVQRHCIDLGMAIGVNPMSNDWHLIALQLLQKGGSADNARFG -AGDYKRFDGSEISFVHWRILDIINAYYGDDEVGTQVRTILWYDLVNSNHIMGTTIYTWNNSLPSGHPLTS -IVNSLYNHLAMCYCYYSIQREMDYQLRGTFYDNVYLVVMGDDNLFGDTYEASLFFTESNIAQHMTKLGLT -YTSDTKDGINVSLRTLHDVSFLKRKFRMERDMSASGIFGLWCAPLQLEVVLETPMWFTKSKESHVDILKS -NIESSLRELSLHGRSVFELWAPKLRETYYREMRQNAYIAPPLLHIEYEEARYAVASLDVMY - ->YP_009336571.1 hypothetical protein 1 [Hubei diptera virus 1] -MFKIPDLMTTNAPLSLEIMCKHMIRLKKEFKENDLDIQQLIKLGILKRKEIDNIICFKVWDATQPIYLKY -RFVEPLKRTYTFSAPTMILAQYLIEQDEANALFDYWLSYYTRLFTYENRKFWRNLLLKFEGSKYWFKIFW -DLYKDSIFEDGNYHSFRNINKTIFSMKIEVTTQWYDYLTKIGFEKFYSMIYYEKNAYIDTLIQCGDVELN -PGPVMSRFMRYNNSSINKKHDAQGPIDEMKRLNDFLTSQLPQVIENIKMMINEHSINFNNSALFIDEKIK -EDLKIFNDNNENHINKIQKMQSNILKTLVILSLIGIMVKCKWYKSATFVGLLTLLSLFGIPEKLIEQIQK -LFKVEHESQVFSNSNALGTLIGSIICYLIIGKLPTDSSIEKFSKKTNNISRGLSGMINIHKDIGKLWTQV -KEFVITQVNPTPEGFLSMEQEMLQWMNDIEHYIDIIVKKKSTIVNEQIIKISNLLKQGLRLRNWAFTNKC -SPDVCRNISNYIRTAEQLYNYADKNNTLDGGQRQRPLCIVLFGESQIGKSGLIYPLAQDLCYAAGYREES -DIDEQIYARQPETEFWDGYKGQFIVVRDDCLAAIDDVSNPNPELHETIREMNDFPYHLHMAALEDKNSFY -TSKVGIMTINDINAPIKSLTYPEAFYNRISDNMYQVTPSPEFVKTLQVNGCSEKKLLDLDKVRKHLDVLS -EKEGSRVPITTDIYNFVKYRKVIISGKTQFEIDPTAKVLNYDEFSKLMCEQLVQKKDDFVVKKDFMNKRL -LKMKAQVNSEEADFYDCYENITDIISRRITAGDSMLDIECDLLQSDLADDYLDFKHGAKILQSTPKMKLK -YYTEQMYEQSIASIKNWFAIFKNKVTNILNKYPALKYVFSIGTIAIAMYTLYRTIFKKQKINHFVIEDVI -DEATYDNNQTWISQQKNLSFNDRMAYWKTNLMMAHPEESNTIDKNTQGIKFFSEGMDSPSSGKQKHMPKH -RIEGIQSPSNGKTNKMAKHKIESKIHESEGSIDCNAMETAFSVMRNNLYTISYTNTKGEEKVLGNAMALQ -GFNYLIPYHFIRYLILNEAPLTTKLHLSRVNYSEKIYNNMTTFEFGELVNKDFSLNRAIQLTYGEHKLDA -VIFNTSENSNASLHRSILKHFIQKEELGRLRGNMQGLLLSYHNDNGQIAKVVKSLNDVHNYEQELKISVE -NESYIHRAGYLYNGDTMKGDCGGPLIIKSNSLLRKIVGMHISGATGEGYSAKLYQELLQDHIDLLSKKLG -EEHRVQCFLHIDESILIDNNCILPNGVFNEIGKLKIPLHQASRTVLKPSLIYGMLSEPITKPAYLRPFIK -NDQVIDPAYKGLEKCGGITPLIDKSMCEMACNYVKHKLFIDHKNIGYDNYARVLTYEEAIMGTEDIYMSA -VCRSTSPGYPFNSDPYYKTNKPGKQQWMGNNENFDFSSASALQLKNIVTELEANCEKGIITGVVCADTMK -DERRPISKVEEGKTRMFSACPMHFVVLFRKYYLGYAAFVMHNRNLNGIAVGTNPYNEDWDQIVRQISKKG -KKVLAGDFSNYDGSLNTQVLWLVYEIIEEFYKTNDANYNLKDAKVRYSLWLHIVNSVHVYGDNLYQWTHS -QPSGNPFTVIINSIYNLLILVIAYLVTIKNSELDDKQKNKLYNTISFERHVSPIVYGDDNILNISDNICE -IFNQVALTNSLKLLGHEYTEETKDGKLHLYRNINEISFLKRKFVFDEDTYHWIAPLDIQVIYEMLNWVRG -NSVDSVSLLKANIETALREVSLHGVNEFNKFALALKTNNIIAKKVQPFIPTYAEVRCAIENMDPMSGFSA - ->YP_009336557.1 hypothetical protein 1 [Hubei orthoptera virus 1] -MQRVPAKLHTQTLFKVVRNNMWWNTCEYLPQPYRLCQWNMIQDLMNGQFTEWFDFEVVDDLQVYKHYDML -NKESVCEGANTWDAFLDSEQEKIWFYFLKKYVDQGILAITIPMTIHQYEQLYNMDFFNRVFKCGTSADLS -NLLLMLSGDVESNPGPSYKDTCRLVYKKKQNDRKIYTDKNMRRMNLDLKGKEINDDFKYVIDEGKRVAGS -MQILGLVTPLVGLGSSIYLGNKTRTFMNKANTNMDILTEQITETLKTFRGLVTDENSILHRGINMVKLIG -DLIFGLLQVSMSQPSKRLYSLGLEIFRILTSHGLNIDLLDSIKTCTKSAFEKIKVYGSMQIDGIEHLEYL -TPTHVCMFFFSILSLVFTGFAPKATSIEILIKRLGDISRSTKNIMDFNNATHSGLQFVLNEVKKMLGLRP -TDEVELFISGIDRWFDEVRSFLQREEEWKKSDQILKDPAYIIELENLYRRGLEFSREISDKKLQRELTMP -FNMHMKYVTELMKQVDTSGAFGTKPRTQPVVIWLFGESGVGKSGMSWPLAIDLNNVFVHNEEEAKEFSKN -IYMRNVEQEFWDNYQGQNVVIYDDFGQRVDSQQKPNEEFMELIRTANIAPYPLHMAHLEDKRKTRFTSKI -LLMTSNVFEQNVSSLTFPDAFRRRIDLCARVTNYKEYTKSGYSTQKNQNVQRLDKKKVQEEFGEIISTAV -YDIDLVDPESGATIQKGLTYEDFYTLAAEKTRDAFESSQKMNDFLERYATRRFKENKIPGKMQIDIDEKF -AEAIDWTMCQEETVEQILAYADQNLLVDAEGKKITVQDIEENKPVEFLESNVNIDYNTWLLYNLRETCFK -KANKVYDLLVKAKNYAKNKLIEWKDKFVAWVKTHPYTIACGLLGTLVTVLTISKFWNKFFSHPPEHKKLV -EYTFKNTTIKLYEGQEGIDVRQYDQAGILSIIPKVINDTLFQATKAFIMKEPYPLVLSVLQNLGLHVTIY -SVKKILNIRGRKLELSQPDLVIKRPVVEARASADPTTLAPKKVVIEAKCSADPTTLSSKKVVLEAKCSAD -PTTISSKKAVIEASSSADPTTLRQRSRVLEGNLGTPAEMQVWKDQAAQTLITNRIFSNLYKICRVINDTD -SMPLLHGLFVKGNIMLVPSHLTDFVDDSESIEIINCFETSFQVPWKEIKKIRLTNAIGEEKEATLLVFPN -YIPQHSDIVKHFSDADAMGLYKRAEVCLPLLRYSQKVKKFLINILGNTEAIASDVPITIMDADIGKDYII -REGLYYKSNTTNGDCGAPLVVNETQVLRKIAGIHVAGDYTGMAYSESVTQKDLERAFVRIPANMQISLDL -DNTVKLHDLAVPFNEEIDAMKYMMVPSTKFQPLGKITPIFEAGKTELRKSLIHGMIQPLKTKPAKLRNYI -ENGNYINIKHKNLGKAAMDTPFLDPEMLNDATLLVKQKWLQNMRPELRRILTFEEAIKGNTDSEYISPIN -RRSSPGYPWILQRTKGKPGKTGWFGDDEYVINEDVELAVKNRVELAKKGIRTPTMWVDTLKDERRPIAKV -DAGKTRVFSNGPMDFSIAFRQYFLGFVAHLMENRITNEVSLGTNVYSRDWTKTAKKLQSRGKKTFAGDFS -TFDGTLNSSMMFKFLDIVNSFYNDGEENALIRYVLFSEVVNSVHICDDLVYYMTHSQPSGNPVTTPLNCF -VNSVGGRMCFEIAARKHKSIDPNFKTYSMYDFDRHVSLVSYGDDNVYNISDEVSEWFNMHTITEAFAELG -MIYTDETKDLTKVPPKYKPLEEVSYLKRGFNKTTSNRWLAPLDIDTILEMPNWCRGGLDIHEGTKVNCEN -AIMELAMHPEEVYDKWTKVISEAFLEKTGDMLEYKSYRGYNLSWLEEYFC - ->YP_009336540.1 hypothetical protein 1 [Hubei picorna-like virus 15] -MSEAFSTMLPRLALQLSTPEINQLKIRDAQTQEKPRLVAQLTRSALNNMNNVYIMTDVLDAIPENTLRKQ -VLAYITEFNESHNGISRPSRIAQLDGNIAYLTLYLDYLMQHLKTHSQVKDCFFIMLKAIALAKEHIYIKH -EMFHSMAISLRLINASLAMILNTPGMHKYTKLPFYCQLIRDFLKNKILNNSVTLTGLLLPRKYTIKFFEQ -TAVTNNVILNAEFRTYIQFIKQMLNNPFIRNSFIDMVFAFYVTAHHFTTDADITESGISDTFEFLNNQYS -RFIAEPQIGISVNHTIDGSLNDAINKSLSLFSELSKALSDKYQTTVNDYQRKIIASITSIYNLYRFTTHK -LSLQDFCVNLCGVIGAAGLASDIITQLIITVRSLFIMPIAQTLSACNFNIIKSIFLSLYCIFVGTLPGKH -TVDEFALRMDRFPKMISGIETMWSKLDLVVGQAHSFIEEKFLGRNNKFVSSEMLDEVTAWADDVATYAGY -MERNEINRDIETMTAASKLYPRGVRLIKECTRLKLAPANLNLIRSLLPGAIKLSDAAFKSGANKHSLRVE -PIVAWFTGSTGLGKTGMTYPFIIDMMRVFGPVPADWQQNIHARIAENEYWDGYDDQEYLIYDDFLQKKDS -AANPNVELFEMIRVTNAFPFQLHMSSVEDKSNKFCNAKFVFLSSNLDVIKTESLNCPEAVQRRIDYAYRV -SIKPEFREYYVNASGQQCFKLDAAKARSAARILLGPNKKNLTTNNLDVYIFERFSVFDGKTLQTNMSYKD -VVEQCSSALENRFARHVDSAEYLEAYRNPQWLDSIPPPNYEASLDIVEFPLQASAEIGVGSATAVIAARM -SRILILQHFINAFFFGNRESALMDFLYAIKHGMSKILEKFNIIPRSSSIWENESFSYYQNYALSVKNYLS -NCKQQLTDTLVSTLGAGWKYFKIALLAGIFILTTMFVKSVERKYLPKFISEDEKLSVLVKEANTCLDNDC -RNCKTCSNSVSTPLNAKWNSNCACYIRRMEVSRDNIRQYCVSMYGNQRVSPDHEMTLTDMYDVIEQICNC -DCSVCHYCNDEELKSKLFETAKLHKTNCVCLLTRFYQGFRTESLLQFLLTLKDNLPAYSLKNKELIRLIS -QHGIKDIPVLPEFSQNLTQGPLYDAKTKSVAPITKIVNQAPAIYEGRQARNAIRTVIKNQAPHYDAKMKH -VATSRIVNQSVANLTPFVDDVRTIISKADIHVRNACTISNCGRCLSEQATPSLQRNLPEQDVGAITIVRD -VVYKNLFKFVVTKTDSGNVKTTTYYGQIFMLGGRLGLIPKHFLRAIKMDLELGFSLEFCLEDAFAVITSQ -YPVEIILDAENHIEHDSRDLAIIQLPINAGCYSQAFKHIVDEQDLFRVGHNPGILARYQTATEKDRLKGI -RHYREMFYLSTLTPEDSLVETNMRDEIITNRGSYLYHAVTVPGDCGSVLVARSTSITQKIVGIHIAGLMG -VVEGISVSITQQMIVKMMSHFKSSSQYGHAVVPFDVRSDILRENGTFQLHGTKVGVRINGSVKTAMSRSA -AFGALCVSPNKPGHLRPFVNPQGERIDPMKLQRSKYGVVRPFVTFSRVQTVYEAMTVFYHREYQNTPEWY -KQPLTLEEAIIGIDGDPFINAINRQTAPGYPYTLNKPKGTVGKQGWFGKEMEYDLTNSHCLQLLDDVEQL -KLSMLENVRPEVIWIDTLKDAKIPIAKADIGKTRLFTACPMHYSIAFRQYFLPFIAHAMRNRVDNSLAVG -INPTSVEWTKLAQRLQRQGSNVIAGDYSNFDGTLPVQYVEVAVKIMCDWLRVNWENIVKAKRNVVCGRNL -TEEQFYDFLYKLGMECFNHLHIANHEEAKGALVYFVRNGIPSGCPATAILNSIVNHCVLADSWLSIMQNE -PLYENLATMSAFFEHTSSIFYGDDFIMNIRHSVIDLYNQETLTQVLKTNLDMDMTDEAKTGDIVKARKLA -DVSFLKRKFRFEESIQLWVSPIDINVLLDAPNWVRAGNASALQICVDTLSTYCLTELALHDRDVDDYWRP -KMVACGLNITRGTRIVFNPDNRRSVLAKFRNEQLNTEINF - ->YP_009336513.1 hypothetical protein 1 [Changjiang crawfish virus 5] -MIHALEHKLNEQPKYQPISKEEETCQLVSDEYLTKSYCGGACLDDSWLQYKLITNFKVTTNYIDASNDIL -TALFVKWPNARYHEYKEILTLVLSKMHQVFRSDSAWKQIRHSNDCRGCEESLIDMFSPRAPGNDMFDTVF -NKIVQFQNRIHNHSCLKCKMIHWYDLLRTVVNRSPYNVSFEYVTNYVYQSAVFTNLMLTSMKSVHGCEFA -SSLKEHDCGVSEYVDICFKPFVPMLDDLVDIVKSQNPFYFQSEGRKIFLKHNLKDLISSKSESEGRDFMK -ILLKFKKKEVPVVCKEILHIVYHSSFKPEIQSKIMKNFHTYLVKYSIINKEVAEVYADHLFVKFQGLFDF -NVKHDHNVKIDGVETILKKVGDYFTKLGVSLQQLGFFTKLLWLLYDYFTDVPMSIALLRLATTTSNYIPE -RVSACVSNLFTVIKGYFARGGVRTQANSRKQESENVVKSFSILAYNLFAGDTFTETFEDKLRMNRVVGIS -KLVTASKNVGNAIYLIIVKSFKYIHGTLQSEREKVAELERLLPEVASWLQEFDKLDDMENDESLIQKINK -DPQTARKFVELKLRSSRWIATLDRAHASQPFWQVYMDRYRRFMKFYDIAALVLSNSKFRPAPFVIALVGP -AGIGKSHLNFQLMEAIHKIKNVPFQPARDIYTRNQKNEFWDAYRNQPVVYYNDLFQTTDVNVNITVAQEF -ITMGQNLPMPLNCAVAEKKDTLYFDSRIVTTDMNFFPSDQTLHSYVAEPVAIKRRFANTIDVRIKPEWRL -ENGRLNKALVTKPFHSEAYLFDFRGGIHGLEWRTFLVEIMRRLKEHEKHEDLVMSKSDNGLNDDIISSIR -EDAGYVVSQMENAPGDIEQLITEYAKIKGYLDESLKRVDEMEWQMKVMNDCLSQYEMDVIKELNASKFEL -KQVIARIKRITCRNRRPPNVCFQMGSVNYPVWLMSPSEQLKFWNNDETTFRDDWELRDVIMPLLERSKYK -EDDDKVRFAEVLDRVYNGLYNIHTAYLTHGDFESVTKDVQALIMVTRQRMLDLNLIQKDDLITPLCSYLS -LKARRDCIHEDSTEDLTDVQWIWADDEPEETEVKSPYIPSNNRVIKFEEVERENKPHLNRAQQYAYDFFE -RSGTHVGVSAMLYLMTRRIPTWTPFLIIWIIYYFLLFGEGFWEGFRGTFVSQTDEDLNWRKAKMEERDNF -IFQATKYISRIRQIKLLIIVVFVVWFLDFKSLFVMLVKALFGGFKSVFNKLTGRKKPKEDKEGSYKPLDM -DISVESMEPYRNHSFVARRKTDLVKESMEPDKNNIFKATRKVITESGSQTPESSLYKRAVRMSTEAAIVK -SEGSMSLKGLTRDNMVKGITEEEQNLHFNINSQPVPRKHFHTCTICLEKYTHTHAVPNPGNNLPLHKCPN -CINKEPDLTEGEVFAQGSLLPGASDMIYKFGNSCVKVTSAVGNICGFFVGSRILCIPNHYFENLADDEMI -TLLGPNYIVPIAPEDRTNFVVEKQRDIRLVYISHRSFPAKCSLLKYFVDASMPLPSVATLINPRKFNGKG -MVLGHHSILDLSETSHIAWSKEEKTELVKYVNSALMYGANTELGDCGSPLCVLDSSGTLRIAGMHMAGGK -GIGLSTYITRETLDKMIQKLQTQGPVLFQGEINTLPINEEPGRRNPLCKKDNLLHVGVLPKHMVPILSTK -SAIEPSLIYDSEKALTKPVMLTITDGIDPFRKGVLKMERLNVSLDSELLDMATEMVEKQLLALPSPAKNH -KRLLTEMECLNGCVTDSWILPLNMSTSPGWPYKIHAKKSGKKDFIEGKSGEYVLTQFMRDEVLKLETSLL -SDQPMPVIFFDCIKDERKPIEKANQGNARIFSVGPMNFTILMRKYTAFFQSHCMHNCTTSGSAVGINPHS -QDWAKLLIELDKAGKNYIAGDFEKWDKWIPYPLFMRVCEIINNFYDDSEHNKQIRMALFGCAYGSIRIAL -SNVYRTTGGLPSGISGTAVFSSIANKILKYYMFMFMRNHYAPKLNLGYIDRLIVTTAYGDDHIVSVNDLV -PWFNMKTLAHAYEHHDIPYTSADKSTTTFTDNYVTLDDLTYLKRRFVPVSSFQVSAPLCWEVIEESMLWR -HKGGDARADLVATCTSALIEATHHGRIRFKLLDRKITRLLQELNITPPVVDYVYVVGKMRDDGMDLYSKQ -KISDIGVETGDLYSDMDLVSGTELSYQGRRFLPSGAPQQ - ->YP_006666503.2 non-structural polyprotein [Macrobrachium rosenbergii Taihu virus] -MHCYISLQEVPYTMEIIKTVCASVLRKTPVDDLALITEQDSDHIRTVYQMSPAFKIGLRRFPSCVPYYRN -ARMNELMNQFYDRSLPFVCKKRKKRRARPKIAESVVVPMLKPHLNAHWEELPREPVCVVGYEICSKCSIQ -DRCFRTVDWLRPQDVVCNSCLLAGMDEIEYPYDFPSNVNNFIPNTQLKYTGYCDVFRCVDCNLLIGAWLE -GDDPISIHSAASPKCGEEMDTESSCVREEGEMDVDYSDPLSLLYEGIRHNYIPPVDYEYECGDDKVICTV -VCGGMRFAALGDSHSEAQVNAVKNFLSVVGHIICPETHMFALSTIPQRSSESLEMANATMNNINRVVDKH -DTRLSQIQDNVEAKISDISRQVNGMLPKVDRMLPKADKALDDISSTLASFKNVLDKIYAWLPSTNPDIIA -LVKDIFVSLFFAIITRSLTPLVQGISSYALRCNIFSDHLTALSSWLMTLKYDMSHDVPDTQGFFEDMASH -VPDAKQLKQQAAGMYESMGTGLCVAISGIFSFVAIMCFGITDLSAASFNSLLNQSSLVGRALVGMRSFKD -VFFGIWDYVDNKVCECLYGQNRKSLDLTKNYPHLKSLFAVFSYFHDTVDASVLISCNRSACELLVKADNM -YQGYLDKALTLGHREIAARLKETRLSVKSLIESAHVYLTCGDGNRVPPVVVYMYGDAGCGKTELSSALQD -QFSAKYFPNVAKKDLVYSRKAENEFWDGVKQSHKIITYDDVLQIIDSPQKPNPELFEFIRLNNSDPYQVH -MSSVKDKANTFISPHFVFATSNVDPSSYAPRSIHSADAFLRRLDIQVRVDVDSKFARYVPSVAAQRKVPD -ESKIWLHQNPGKTLLDMKDSIANGTYKVNMETAVYELHVTTTLAGVTTSTVCNYDQLVSVIEKARTLRVA -AHSDKTEVPLPDLPSELEEYAGAFPAAHGSATMCFATDWLGQFTNLTESLNLLNKTFSPRFVNRKDYPSC -IFLPSETIDELMHKKFSGTFDEDFEFAKLVTDVTDTEFENSVVLFQNTCDNKLWKSVCTMAENMIDCCKN -AWTRVYDFLREHWIAISSVIGTAIVVSGASVAYMCATNCKVKALLSEGGSLMQLVGARSCVFACDLCKRV -KKGDLNLRVRSRSDGTITFVPSDVRRVARHIVMSADSCKIPVHPTFALSLCEETFTIQNDTDDMFSILDC -PKLESHQELKPKFTVVESHQEVKPKAVVVESHQDAKPKVAVVESHQEVKPKVVSVESHQDTSTKKVIVES -HQDMKIKAPVVESHQDIKPKVAVVEGKGFDFEVDWTDLCTEASCDNNAQDVSSKLMAKNFVRLYKPNSNY -YTHGLFVCGRMLLMPKHLFDCLNGSVDVVSIGDSGKVRVPVAIKSSKHVERGGVKVDIVICELGASISAR -KGIVSYFPRVNELSSLSGLMANGELRVFTTTNFGKFNFLIPKDSSAIFTRVVDHVESRSPEGSSYYIRQG -FEAKGNSVHGDCCAPYIMFNPSSRAKIVGLHCAGFAQTSRVFAQMITQEDIASAMPTTHAGRVSTEFPNT -YISESPLPNSLYIGSVKTAPNPSKTEIVQSPIHGCFPIRTAPANLYSPEENLMIKNALKVTKNVVLLEED -LLDVCVHDVKRVLNAPGVCEVEKRVLTHSESITGLEGHQYMNALNRSTSAGFPYSQRRSPGKPGKQTWLG -SGEFIVDNVDLKKHVDMIVEKAQNGVVDVGLGVFAATLKDERRPLEKVAANKTRVFAASNQGLALAIRRY -YLAFMEHVMTNRIDNEIGLGVNVYSYDWTRIVNKMRKVGNKVIAGDFSNFDGSLNSQILSRVSDIVTDWY -DDDEENGLIRHVLLEYLFNASWLLNGKVFQLNHSQPSGNPLTTLINCMYNMIIFRYVYLLAQRENGFPMS -LSGFCANVASVFYGDDSLCCVSDKVCEWFNQHVITRLMLVTGHDYTDETKSGSPPPYRSLSEVSFLKREF -VLRDSFWVAPLAKNTIEDMCMWSRKNIEPQEALLQTTRIASFEASLHGVEYLSKFTKVIRQACRRAGYRE -ACLHLFECKNFLLAQQGRGGAHDSDFLELLLDMSL - ->YP_009333607.1 hypothetical protein [Beihai picorna-like virus 87] -MMCSTFLNESVCVSADMTPTALPSRVMGIPPQVFPIVEFSVTPDWNCYVICKTTKEFVNGHVLETRDIVT -IPSSEMTLPEINYAISQNIMPERKLIIEDVTEIEEEEQRIENERKKKQVNENVRVNYGDNIIVGLYEGMH -TCTVLYDQKFDEPEVLENVTSCKAEKLKFVKTNLVSSYTSTRFTCGSSKSTLESGAYFCFIQGHSIKEIV -KKFEAEVPVVKKRKTRRVAVAKKKARITRSIDELLLEVEEQYGTGKPMPVLVQKKKNPVLQEKMKEELSA -RKLRRLKYSIHNELVKTANLYKQKLENIRRIRYQEMSQVMKKTKIEKTELISKKTRKFKRDYEKQKNIKM -KPQVLHSFVEGISASLMEGFESLRSMPTNINRACTSTASFFDSATDILTNITENRSTIADKLVIIISQLN -SVFKHNFDWSSLVAAFTAIAGVCGISLMGLSSKLASLFNHTLKFFTQAQSETDIWDILKRLFDCRTFSFE -QMKAILAITGTTFVTALGMLLTPGTFVASAFKFASEFGRAAIGFTKILDMISFVTNWIDDKYHVFMYGMT -REQKRMNDALPDYEKWLENVTYIEQFSDSDIQSHAQVVNVILETFTFGERLRKLVKEYNNKQITQNFTVY -YTKILKQWMKAENSPARKSGVRQVPVSFYFYGKPGTGKTILVDTLAKDIVGKLHANDAKTYNLNSLIYSR -NAAMDHWDDFQPQCKVVIQDDYGQRIDTTGAPNPEFAETIQMVNKQKYQPPRAEIGNKGNSPFEAEHVLA -SSNLACVIPKSIVDPTALNRRRDYYFEVKVRQAYGKRYTADNLDYLRVDRTKLPTTCMEHGQRLAPGAQC -GTCGKPAVPLVDCMEIYELHEYTIDAGRQVPTGRVCTYDQMWDLYKAVHDERKQEYALSLSQYSARTMFQ -DNVRPPLDLSHMNSFNQTPQVWDELLNLDNQSPEAMENMLLSIANEAEVNLPSAREIQAEIREVLIDRDI -PADPLAEIIPDEVIEQENVPEHNDIPRIRKFIASIRNSLPTVLPWGKIFAGVVVAGMMTYMYKWSKSNSK -CIVENYTSPKQLNHIACICEICKTVKLVDDYKLTDAAANKAKLEIITAMAPESEKAFWAKESCKYQTVKD -ILSQVLPDPEIFHQGYSGDPRTMRLKHNRSLRANMSDYAKVVQKPQGNANEILYRLVHKNQFKLRGLTKN -SVENGRPTMSSSNGIGLIDRVILFNQHVWEDFEDEVEMYFVGSTVPAFKFPKSACQVLYYEKEGQVDTDA -LLIILPQGPRFKNIVGQFVLNKDLHLVLGNRISYSTLRWTKVTNRTRPSPEPLIMSGSTLPSTKTICFYD -KEIVGSIVYRLDSMAGDCGSPIVSHANVGAAICGMHIAGDDGLASATVITQETLRKNLDVLFEKYDYDAM -QDQCPQIEFLDEKPKIYENLTYIGSVAPVNEPTVTDLSSSIIAPYLKPSHQKPAYLKEFEKNGVRYDPME -IGIAKYSKTKVYIPDQICEIAYNDILRVTLNSYADEQCCILTYEQAIHGRTQYAPYFEALERSTSPGYPY -VLNNPGRGKTYWFGSDENYIISEEIRGHVNEIIELCRNNIIPNNLFMCCLKDERRPKEKVDVGKTRIFTV -GNQAFNIAVRQYFGAFTALQMKNKLINESAVGMNPYKRAHKRVFYEMITRFGEDSIIAGDYSNYDGSQPI -KFHQKFVDYVNSLYNDGEENARIRRCLAEVMFNPKLLIRGNIYQAYGINTSGNPVTVHLNNFVNQMAIRV -AYLDLKYVHEGNMICDFTDNVTGFFFGDDNILAVSPRIQNWFNQVTLTESLAKFGFTYTDEKKTGEIVPF -RNIHEVGFLKRTFKGENLVLDKEVIIDMMKWVRNAKTHDKKAMATKQNLTFSLVEMAQYGEFEYNDWKHR -LEVAFRFAGYPVVCMTYYEAIYTLSAWGKDLDLEKLELVPQVDIDDLCNICATNPPERLSCGHEMCVECV -QRLIRDEAIRHDHGFTIANCPYCRNPISAENRDGYFTNRTLPMLQRYHYKVRNLINLLAFEVNPIYSINQ -DHGEFLVRVKCVIHALRSGRITFEIAIRLLSFILKCLGITVVVCVNPMYFIRQLIFVLGEEMTKRLANTS -GQYRLFIFILNLINVTLGTISGGIQSTIIINAIYALFYFIGMIIKGAYFATTPNKFFVTFTSSFFAILEL -IVSAKGCYYPLRFLVGFQHVTWGRQNFIEAVFNHLAWNCSSLTLNLTSFTIDTYISFKNPVLRRYVRRLV -DRGLNPAQIIYRLRYLCTAIRGRNQGLAALGAALPQREDGTPPTGLAVPPGGTPTANNQQNVNNANIAQA -NVNNAAMFSFNYVPYGLNLVGGTFLRGHTNLFIRDTHIRLVPSQTPLLSHLRGMSLAIMASPFTEEIYQT -PLTKIIFALIEFHQKYKDVGGVTIDAIKACTMHFATAGMMFTMGNTWECLVSRICFHFAWNYQPALNIRA -LADRIEEQSREMGSFVAETGPLLTLIQRHEDRYKLYQETVQVRERREQEQEGEDVVDSAQQLAGANLVEL -KNLLVRRFIKYLRKRFNMLLKSAEEEILVMTPQSEVHASRIVDFDLREHTDRQSDQLRYESAFSDNAINP -LDMPMRPFHYTTIVGNVMPRLAVASECDPIEIDLNAPLNQPYIVEKIRGFRYGTWTTNILITTIAKALVS -GCYVIWFQPEMSVSSAAKAYRHTGVIWDLPHAVIDISQESSVAVNFKVPFLCRDEAYNMLEQTPIKHKLY -IAAMTEFRDDTSSAAPEFNVYMWIDNIRLMVPTNSTRQIGKRVRRELRSGEQEIDRLRDEVRVAGDNAYN -EEHKIDMTPQMETSSTTESSKGSVDAVRITEDTGQGTFSMETRPTESIAPTSETSDPKIPTAGTRPIIPN -SAIKEIGEVKHWIARIDNPQTKGLLKKIMISPLTSLEGSKNLYMTNMQAYAMSTGKWRCDSATVIVQAIT -NAFVDCQYILKFEPTDTTVVNTYGKNFTKVISLKKQRDIVKDATEWEFEIPWCMITPWQLTTAYMNETVQ -LGPESILGYFTIEQLNAVQAPADYNNIDFLIWLKFKNLQLHDPSVNINFGAVTEGEAGSSTTLNLSITPD -IDNIVLKSSIRTGVYYISMRSAHVNVLGGYLKIYISTDKKRVVFLNEHEFGDGCYVIEVPYDTWETIHVE -GQWTGEVTLSIIQRGQWLEHFTYYHKDGTVEDSQFPAIRKVYGNLLKYQHVWDTSPQEFPFTRQYHWLMQ -WDVTPKETGHAWEITDKTPGHEQTLDLLVTETAPHDSLENKFQLVRLDRETAAPEWGTGSVECTYDDPGN -SLKVFQYEFGPVHWYDPLESKIQMSPQGFKSFIHDITSEDASVGDVITSTVDLAQHMIPEIASLFTRATT -KKVTTRLLYDVHVASTFRGNSSSPHNLTMFELVKSQFAYRRGGVTIRLIMGSQTTGGYANAAYITRAAIL -PETATTGMYVNGVYVDTDIRSWSRVDGIQNPQKEFHLPYSALQRRLSCTDYTPVGQITYVLKTSDVTIFI -IAGDDYEMSAPIGTPVCHIRSHNNPTLVRNKNQDSINKMKRSPVYTKFFAAR - ->YP_009333603.1 hypothetical protein 1 [Beihai picorna-like virus 82] -MHNFPFSTFFMVYKFMNLRSVSSLCSSFYNSQFSYQHTIMNSQHSFNNMREVLKYVRQQRVRDAAYIQQL -ILDDKYYLAQAVRSDEDCVLLRKVRRPSSTGCFQAFRRLPRTLAIRSQPVWNIERIENRHLSLELPFHGE -DNFYAEGLSDVAASAWTTLSDLLALPSAAMKTLESVVRSSDALHTLLEQIQSIFSGEPDMIQKWAGVAAV -LNLFWNTECAWGDIVSAIVAILCQLGLSKSVLNRFTSFASDLLNHFSSSFSAEASGGPQLGAVGLILGMI -PAVFGHSVPSVTRVSSSLASFARANMGLEKIGVIWEWIQDQYCEYMYGKTMTQRRFEEQCPLFETYTKNV -TAIQEIKPVWLDVHKDLCQFVIDVFRQGERIYQEMRADKHISSFVNQMQRRILSLYDVAQRSSAISTIER -SLPHSIYLYGPAGVGKTSFQQMLVARLYKRYFSSSPFSLSRCAISRKCENEYWDGYYGQPICVYDDVYQA -ADSKANPNPEILETIRVINDDPFHLHMSSIEDKKNTYFSSKVVIGTSNTKRPNIQSIVSPDAVYRRWKMC -AQISVDPAYGKKVDGVDHLVVDPTRVSSILDPKIYKVILYNMRDVNTAPLETLTFDQFVERVFQMMEDEN -TVQNNRVALLEKLAGVEPIPDSEELKEWRDKFQAQSSSDEEGDDFQDASAFAPDLTPLNLDIGPDHPSYH -TVLLGRLRVLVHDSPGSTSSDFDEDGLPVLNLPEPCSEPVQKWYDVVQEKIAKAAKLTRRWCSRYIDLYT -PVKKVFSFLPPQFIGLLIVTLLLRVKEHLCPSCTLYSVSSVFSLWERGRAVERCARSKCVTCKKLYDLYY -RDHTPLVCSDKEKVGFCYDVLMQAIYIAPKKIAYKLSALLVSQRGKLALMQSQGPSGDYVTARAHGSHQR -ICAGCNRKIPKRWAKCSFCLEAQAPSGDFVTPRAQSSTQVLCQCGNKMPSNWRQCPHCQTSNHEAEGDFN -AYETAEKVWTRNIVRISATAAGTNCMYALYVTGRIILVPYHFLNMCGASFYLTNYENDQAQRIPLQECKV -HQMRGQTGRPIDLALIGLPRYVASRPNIVNHFLSEGELPRLQHCEVFRMKVEKGERCCFSGAITDVRANM -TYTSAFGPCFVEQNVLVDCMGKAGDCGLPYFVENTTLTRKMMGVHVAGNKKGTSVCTLVTQEALAAAIEK -NAFGAIHKRDIAQESWLVPNTAVKAARDLQLSGDILYLGKAEQSPVQPVKSCLQPSLIQESGEWYATVSK -PAHLKKVTVGGQTIDPLLKGIQKVCKLQEPIPDDLLAIAKNDVASVLGFGKNSKKTVLTYAQAIQGDETD -PFLTAINRSSSPGFPYNLANPGKGKQHWLGKDEYVLDEPQLKGDVLHLIEQAKQNVRGEVYFTAVLKDER -RPISKVDEGKTRVFEAAPMHFVLAVRMYFLNFAAHLSRYRIFNEVCVGINPFSAEWDQLAKSLTKYGDRV -FAGDFSNFDGSLTQKILWTVLELINEWYDDSEENQLVREVLFEEMCNTRVLCRGNILQQTHSQPSGNPLT -VVINSIFNMLVMRLAYLQCKLDGGYGLECDFRDHVSLAVYGDDNVVGVSEPASMFYNQKTVTDALSRFGL -TYTDEGKTGEVVEMRCLTEVSFLKRAFVYSGSQWIAPLDISVVREMCNWVRGSEVRSQTVENCMAASAEF -ALHGSDLYDAEMQRLRRILSRYGIYPRFATYYEWIDYYRSVGTDLGAPLHSTS - ->YP_009333596.1 hypothetical protein 1 [Beihai picorna-like virus 91] -MMTSTTFGVVSGECVAYSVKSKYCCDQECFVKPFCMVDGLACDACMNYSYCNCKCIRQTVREKTVTYKTE -TDYQIVNGKLVTEKREIKITRSVIIKKGKTDDEIMAHDGENHYEKKMRSRAIEARKVARRRLKAHTHKVL -LYSGNAYLCHLRKCDENRAIDEFERRCRKYSYLDGVEELFNPIDAHDGFFSRLTSLWNNATASVDQLPDT -LNSVDNAASSITSLTATISSMLETMKVNLEAFTTSVQKNFSLLPVKKILSALLNSIALKSFIPFWQCFCD -LCLDFGVDIALYEKFLQAPVMQHDGDGDYIQEIWHKYGTYVPTALTAIVTTVLCFCSGIEFSATKILTFS -GIVGRGVAGIEKTWQAITSIFKSIEDWFSMKLFGTTRDKLKKDKQYPNIERMIAASTKVMSLPYKQDCAR -SKVACSKIIKLFETLSDYEQLALARKDQEVAVACRTARITMKPAVEFARVNVSLNNDIRVPPVIIKLYGR -SGVGKTELMQLCVQDLTDKYYAGAEYRNLVFDRKVENEYWDGYCNHHKIVKYDDAFQLPDSIMAPNPEYM -EIIRISNTDPYQLHMSAVEDKKETYFNADFVFITTNDRNPTPVSLKCPDAFRRRITLDVEVKVDPRYGHN -AQVGNATYTVVDKVKLFKEQNPGVTDAEIQEKARSGKMIIKPSRNIYSCDVSYVDHITGQRHRENMSYQQ -LFDKINYFRSCNESDHSTKDGSLFIAEESDEFKECFDFPQAHDGDTIIRRTVDNILFDPNIQDQLTEELF -LAIQDLSVGTYINIVEREGKLYPYYEEEIDTQALRSVTEEILAEDEVLDLSKVERIKGWVADKWMDVKNI -SHKVVVWIMDNLRLIVPFVITLTGLITVGHIAFGKCGYASLLANGGHVYELFAHRLCLINCGLCKKLKAN -PIVSVPMVEKGVNAKHSGLFIYHPVTFSQWFSYVNEKAIECGIEVHPGFARQVNNDLINYCVNAESKGKG -YFQTALMEESHQDQKYCKRVMTESHQEQRNKKTLYTESHQDIKNSKVLYTESHQDPKIKQILLTESHQDA -KARKLLFSEDGEIYAQMSSDKNTTQVGEKVYNTQLVRCYSDTGRRTNGLFVKGRLMLINAHFVRDVKSFT -MTNVGDPGKVRHPFTVINKIALQKHGRELDMCLIEVSANINPRSDIISNFMDHSSVSNIKAALETGKARM -FKTHEMKPCLAPMIEVVEKVKIFDQEIYSNSPGGHKNLILDYATYSTNAEPGDCGSPLMIYNTSMTHKIF -GVHCAGRSGEGFAQIVTQKMLKEACEGFKQQVMAQCSYEPEDVSPLSNSLSLGRVRKGVTLPRETSIRPS -PLHGIFEVKKAPAILHVPGEDTLLKNAQKMTKETVLLDEQLLDKCENDINNLLSTSNAEQEVKRVLTTSE -AIAGIHECEFIDGLNRSTSPGYPYIHDRAGKPGKTKWLGNGDEYIVDNPELLDAVHEMEEAAKQGIALLH -HGVFTASLKDERRPIEKLHKTRVFTACNIALSTLIRKYTLGFVKHCCENRIENEIGLGTNVYSQDWNRIA -KRLLSKGGPVIAGDFSNFDGSLNSQILFRIADVISSWYDDGETNKLVRQTLFVYLVNAPIIFRNQVIQLN -HSQPSGNPLTTLLNCLYNSFIFRYCYLLAQGERGETQTLSHFRKRVAAVFYGDDSIIGISPLIIDWFNQE -TITKFMDMTGHEYTDEEKTGKCVPFRSLDEVNFLKRTFKLRDGNYVCPISKDTIEDMVMWTKRGIDQDAW -CDQVLTTAAFEAHYHGEEFFNSFSNKIRNECRKRNIDSRLCTFSEYEQMSLKQLDQESTGKSQSLAPNWL -WM - ->YP_009333558.1 hypothetical protein 1 [Beihai picorna-like virus 74] -MTVGEENLYIAIMDSKFDYTFEQYCECGASHRNNNQRRLYLRHLILNTRFYKPGSQLSLFSGNVVGNVRK -AKRITCFLHGKYRTFVVDDSDQRVQQRLQLYVKQNDSHKELTLVRQAARKLKALDFEAQALFGVDLNLKL -QDETLSLLFSRITQLLSSTGVKEALLYQVLEIVSKIILAFKIDLTDTVARTALVFTLCNSLGCAKMVIDK -AISFFSNIVSPREFRAQGPDFSTLYDFLCGFLSLFFFKDIPSLDLMKKIKAIGDVSRSLVSVTALVEKLM -KYAFPALYQWYTGYPYEIDQLSEFFSDIKKWYSDVQALVDIQTFDEIALSEEKCREVEYLYRKGLFMVAR -CSELKVPPACMQALNLHFGVVKMTYDKVMCSGAFKGGPRSEPLVIALYGESGVGKSGMMYPLSIELLKLD -GLIDGRWAEEIYARNVEQEYWDGYKGQRVVLYDDFGQMKDSVSKPNLEYFELIRTGNLAPYPVHMANLHE -KANSFFTSKIVLLSSNTKWFAPESLSHPEAVRRRIDVFVEVFVKKEFRKNVEGKEWMLDPAKVMKKCGKV -LSTDVYEFKFIDPMTGHQSDFSKKPFSYNELVEHCAVLYQNKFHRSNKVMNILNDLARKEFMAQGPNLKY -SYEYVEQVYLDERLQQALPLETKLYIQTHSLKEFYNAYLLDEIEITFKIDVIQSIAEEPEYEGTIATLFR -KAKEVKRNIPAYITAFQQKLTEVKCSLTDFLSKAKEEMLKHPLITATCAILPLVLYFCWNRDEPEDEYPT -IEMTCSGDPKTARKVRFTEMAASGDPKTQRSIRKVEVAASGDPKVLKSVRKVEMAASADPKTVRPVRKVE -MAASADPKTKKETFKVELQEDANAFNLSQKLVMNMYKIEMFNGSKSLGSVRGLFIKGTVFLTVRHIRFLL -EQSTHFVLTNAENPTGYKIPISAAKMFDITGADGELKDQMLIQCPLTVRQHANVMGNFSSSIEMSKFVYA -KACMLTPAKTTCLLRYGEIEAVDQPWTYKGDVTYHIRRHYKYGMETTNGDCGSPLIVIGTQYARKILGIH -VAGTTGIGMASPVCVEDLNRILKNVPEVCQIEMDCEEWFAHNAFQEQGDQIVSKLRLPEGNFTSIGQPQY -KIVGSSKTQIRPSLIHGEVTENVTIPCILGRVKVGDKIIDPMMEGLKKCAETSTVLNKDYLQACVNDVRM -NFPDDPQRQRILTDDEMVRGVEGDEFMVAISRSTSPGYPFRKDAKGPGKTDWLGKDEDFHLREDLAILIR -ERIEAAKRNERFPTIWTDTLKDERRPIIKVINGKTRVFSAGPMDYCLTFRKYFLGFAGHCAHNRNRNEIS -VGTNVYSQDWDIIANILSTHGEKVIAGDFSNFDGTLNAEILWSICDIINDWYDDGEENKRIRRVLWAEIV -NSVHVCGDAIYHWTHSQPSGNPLTAILNSVYNSIACRYVWMLLTENRPQDHSMRSFRENVSMVAYGDDNV -LNISDYAIDFYNQVSMSQAFSTFGMTYTDESKSGEMLPYRNIFEVGYLKRAFVYNKDLMKWEAPLALESV -LEIPNWTRKTMDNREATTLNIEVACAELSLHDQETFEYWTQKFRRAALKHKLRPLILTYQEYKTSEMVKY -GAITAKTD - ->YP_009333551.1 hypothetical protein 1 [Beihai picorna-like virus 85] -MPICDEWENIVEFAKFVGTDFVPYRIDVPDNCPISGLCCYLTTEDIDFEFGPVTVVVTGSASRFRQEYAT -GLECALYKAYHQDQLMLSGDVETNPGPDTTRPPLSQEQMSAHIREQNKQMRQMDRRYRQLQKEIARRDRR -LQRALEEEKKQRNRNRKDLAHDKRSAQGLVDVIDSDLKASIQTTLDSVKTASSIVSATLPSEVVPTLQSV -KFSADTLTRATTEKLGPTLDMLSQMLDSLNTNVEVINEAFGAVKDISLVDVIISFIGVCNAIINKQLLMM -TIHAGNLARHLGVGLSSLTGLIPSFSESNDMVTFEEELDPTNPFRTGQSLVTDLFSTAATHTEFLPIVSI -LTFLSGVFNLACTGTVPAPTHMLKHFANVGRAANGFKAVRDMFTWLYTYLAEIYYTTVYGLTRDEFDLIK -TYPKLEQLWAASKIVRELPKKTIDSSGDIANQILDIVSELQDYLYQATRVNSRINTSLISTILKTIKDQS -DWAKRSPARANTVRKEPIGVYLYGQPGVGKSVLTQVLTASFYRDYLKDTGVSFKDCCFPRKAINEHWDGY -FGQPIVELDDLGNIKDSIVKPDTTYEEIQYMVNTAPYPLRMAELSQKGVTNFTSDLVLATANEPKPDIVH -MQNPGAIYRRFKIYAEVSIDPNYGEPSGIDEHGNTYYKFDEERTAQYLNTPVEQLDPLFVGHYRFHIYTV -EWNKKERNATVRWSRHAGIDFDQFYAIFRKTFDAHSTKAARIAAAIRKIAGIDEDEDVDTHAETLESIKR -IFNEDKFQHVIAASEVDLSSVLGEIFTDAKQHTNELFGALTDFTSYRDRLDRLKAKFDVWRGECRGMMTD -FYSRLAKVPAFLSEKLHTFMKFILGSLGYLATKTIVYFPAITMPRVLLGAISLLSAALGAWWSGMFPAAL -YGSRRSWCKFAKNDGDSALPCCECPPCKIIDYPSSGDLLEHFLQRTAVKQVRADLVAIGVSDNDLTMRIN -SLWIRKEKKTREKTVDEILDIIKRSETFGEGVYTTDPRAPQRHQIAQRMYDTQPRAQPTRTYAQGIVECK -LPMHLDATRFAQGDSISVSETIQTVLQNLVWLEFYTDVHTKVRCNGLFLVGRTLATVAHVALDPNVTYVK -VSITNPWCPEPTCIVPFKELKTSQMKHLDGRPLDVALLSFPPVVPSRPKITHKFIAAEDLPFVNNGEMAF -GGFHLHKDQLIVHEKHPGYFRTSEKITEYFAHTPGKCPKSRDHCICPLRIANNVEYDLETFSGMCGSLLT -LRNKRIKGKLLGIHVAGGPGVLALGAILTRELVQAQLTDHVDKHNIPVTYLIDGRIPQGAVNASVLTSFP -EKGDCLNIGIAPPPTASSKTRLNPSCVFDELQPHFTKPAHLKPVLIDGVLVNPMEKGVQKLLGTQKWIEP -DLLEAAVNDVFQGFKVQPERGIVHTYEEAITGIEGDPFKRPINRSTSAGYPYNLQTKKKGKTEWLGEDEY -ILDHPQLRADVEKLIQDSRNGIRGSAISMATLKDEKRPFAKVDAGKTRVFEACPQHLVIAIRMYFLDFSA -MIMEQRISNGIAVGINPYSLEWTKLANKLLEKGNMMIAGDFSNFDGSLLMQVLQEILKHINAWYDDGPEN -ALIRACLWEHICNADVIIGNQIIRQTHSQPSGNPLTVIVNSLFNLTIMRVAYLQLKIEQGLPPVCDYTDY -VSEIAYGDDDIKSVHPSIIHWFNQLTITDALSRLGLTYTDETKGEVVQPYKTLEEITFLKRYFAMQPDGL -YMAPMAIENILEMTNWVRGNDIVPSTVENCKFALQELSLHDKKTYDLHANRIRAKLAPYTTFKLPTWFEQ -RAHLTHIADLFEIGEYVSPSHSMI - ->YP_009333547.1 hypothetical protein 1 [Beihai picorna-like virus 72] -MMAHSKMIHVITNFTLCAEENCFNRSFSLKKALNHAINSRHAVRTQCYCGEKLDGLYSAEIHLESCKFLG -TFGCSECNAKFRDLVSANNHGWSTHGHNGVKGRHTFELVATVTFDRSDEFEAQVRKKTKWDHMQWNQIEE -RARNNSLKRTMIRDLLMESDFTAANSKIYRLAQLSRGKLPAFKKTLGNKNYFRVKITCEDGTIEVLLNPA -DREFAALYNSLRDFQVFDAQAWFVPDEITHKVDFGDHMNDIVRSVSSCLDKVGASVTLTRQVISFLCKLT -VTVRSGFDPITIGAMLLDTLVGSGISHSMALEVIGKIKDRLRSAFTLLSDHFQAQVADFDPLSSLATIFG -MIGGTILMQKIPSDGQISALVKGVCQLGNLARGATFAWSALERVIKFACEKIFEWQTGFPAAISEVEGLV -AGCQQWYSEVQKLISQHTSEEIARHPGKVLEVQSLVRQGLQMKAQLQEYRIDPKLMTAFDVHFRVLQLFF -FQAQSSGAFRGGPRVEPINIYIHGKSGVGKTGLISFLAIDLLKIDGMPKKQGRADYTEELYYRAIEQEFW -DGYCGQRIVVYDDFGQLIDSQQKPNLEYMEWIRTGNMAPMPLHMAHLQDKAKSFFTSRVVIATSNNSLSD -LKIPSLSAPEAFKRRIDICVEVTNAPEFTKLVEEKYGERVERLDQRKVESLTGKPFSTKSYRFKMRHVQT -GEQIGKIMDYDQFRNKCVKLYEERARNSKNLTQVLEEHAQESFTCQISDELYGYLSNEKAQISLNPNAKE -VFEEFTVPKAGSFLRRGHDEMRDLFAQNVNEMFDELKKDDRYFRLDKMDPVDMDDLEVQWLEALDKVNLD -IWWTLEAEKILLGKFQSKTFAGIGALLRCLPMKDQYNHIQPFIDHIFVPRTENVEVAEALQRVEEESRPL -WREWLDCAKDMVKKHPWLTAITAVVALLGVKYWFDTREDRRQTSKPPLDHHHAGLKFGERIEHGHNCEVC -GCYFRHTHTIKTFQESIKYDQMCEKCTQWVAVEVYDRADEMVKNDAKEQCRLALGWVKTEVPVNEQVGSS -GDAKTAKKSQTRTQGPNSGDDKTAKKSQTRTQGISGDAKTNKKAQDRTQMDELEAQLQHFDMKDMNAHLQ -SDPNSLQLSKKVLNNMYNLDLKIDGEWKRMLKLMMIRGRVGLTAGHLERYLKQATDIRIWNATKKDGHIF -STGKLNTVHVVDASGERKDQMLIEFPPSLHDHFDLVRNMATTVELSAFVRARACIVVPFEQGAILRTGPV -EKKMERPRKYTDAEGSYKICDRFEYAGMETAAGDCGSPLVAIGTSLARKLIGIHVCGKHNIGVASPLNEQ -DILRALEELPMESQICMQGESILAEFKAPWELELPDGNYTAAGESLYRIPLPNKTALRPSLISGKLQEPT -TAPSVLSREAMEKGLKKAGNIPPELDEELLDVAINDVKTIVNSGVRRVTRVLTEEEAIQGVEGDEFIQPI -KRQTSPGYPYTGSKPPRKGAGKEPWLGQGEDYRLDNDLREKMRERVAMAKRGERMPAPFIDTLKDERRPH -EKIEAKKTRVFAAGAMDYTLVFRMYFLAFAAHVMHNRIDNEISVGTNVYSFDWTKTASRVTSKGQKVIAG -DFSNFDGTLLLPVLYKILDIINDFYNDGNDLIRHVLWKEIVNSIHVKGNSVYLWTHSQPSGCPITAILNS -LYNSVSMRYVWLLKVPREFRTMRHFNEHVAMVSYGDDNLVNISDEVIEYFNQLTIADGYEQIGMKYTDES -KSGNMVAYRTLTECSYLKRGFLWDEEELQWHAPLDFGTILEMTNWIRQDLDPEAATISNLETSYFELHLH -GRKKFEEWRPRYWEVCRHLEQKPRLPLYIELRYDEERKQGRLF - ->YP_009333530.1 hypothetical protein 1 [Beihai picorna-like virus 83] -MATNNGNSYSAHQAQAQKNDNSLYPCCKRKCVFRPRFPPGTSCSVCGLVFCVCGCKYPAPKTNHVNCNCI -MCDPKKFQTHDFNFPTPKDDWKKIHVTYTRPSPLPSLATVDYCCDLKCFEKKGFEVDGLACRKCRSFSRC -MCACRTYMGKQKFCCDQKCSVVGNGTEMIPCYQCKIFGICKCVCKNFVGKQAAPTPVPIFERVRPSAPTP -IFERVRPSAPVPERTRKVDYCCERKCFEKKGFEVDGMACRKCRSFNRCMCACKTYMDEQNFCCNRKCFVV -RSGLDEVDGMACLTCKAYGLCMCDCDTYMIDVMGLRLQGNFKDDRIKKLTKKVEKTERKWKNHIQRELEK -EKARREKMRKQQNAIKKQMKMQGGFSDLFSLPSTVTGACEKISGAATKVESVFDQVSSFFVEAMEKLGVA -FDAHLIASHLLGLIRSIQHGHKLDWILHTTAIARAIDFSGDKDAFSDFYEGIREASPEDAFFLQGSTEVE -AVFGPVLTAVTSFFVFLTVPRMNPKAIIDYVGSIGKVCQSWRAITEAFGKIKMWCVRKYYKYMLGIDYDS -FKIRELLPDYPKMRALVDVLEDPKCPKNIAASKKNVAVAVIKAYESCLDMLSHGKELEALGIDPTPFSKT -FKSLETHYQKAIRSPVLKCKIRKEPFVVHFASNAGGGKSTMVDKIVSAYYDKFVDKAQWEKEDCAVDRCA -VNKYWEGYHQQPVLIYDDFGQVKDQPGCPNPEFFELIRIANNRPFHLNMAEVDAKSNSYFGSDFVIANAN -ATRPRVASLQCPDALYRRFNLAFTVKPRKEYTATNAAGEPILDYKKVINEAKAKKLVFLDNIMECSFYDM -RSGQTLSVGELKRQYGYEFTGFTDSDTVMPETTMIELIMHAIEQHNERQEEIMHLRNVQAGFDETFGKKI -IDCVADNFTSQTLTEEEGDHFEDCSEASDDTDTIDLSDVTMFEIDPDGPWPCFEEILADVDERIAEENAT -IVKDDEDEFQLTKKIVRTDSFFKDKLSGLKDKMQKYLTRIKDICGVVASKAYSFFKILVDGAFKIIPFLI -PLGGMALAAFGLYGIWSKPSMCSFYTSKEYNPYMCDCVDQQGPCYYFDQNEDDDEPHEYGTPAFKYHMAI -KTYERTPKGTLPHWKAMKLLAVISNGYKRQMYEIKTQMPAKMNHQQYEIRSASVGKNFVKKEVTDKDSKY -FQLQNRLYVDNRLAAKPGDYVYSTQWMTVMRKNAAIISSTKNNKTCKMSCVYLTGTTVLVPGHFPDGKIT -ISDAYNPDFEYVFEEHQYKRTMANECGITTDLSLITFPPEMSPKPNIISKIMEEKDENSIYNARMVLSTF -DFLGEKTVVHDHHLSVNKYVRQPIPVDGTIIHKHFTYMAPTVVGNCGGLAWITGVNVPGRIAGMHVAGSG -SVGCAVVLNQSLIRKMLAHHVQKHSLTTRHVIDAQIPYFVKQGIENINGDGITCEIINEVPAVGGGGETK -LRPSLIAGTLQDPFCAPAMLKPRNGVDPMEQGLKKILGKQKKIDEKLLRIAVNSTIRSFVKKELHVLSYE -EGVSGKEGEPFIRGINRRTSPGFPYVYDNPGKGKTHWFGNDEYIYNEEIRKDVEELIENAKKGIRKDVCF -IATLKDERRPWEKVLAGKTRVFEAGPQHLTIATRMYFLDLLNHLMKTRIDNEMGLGTNVYSIDWDTTARK -LLKFPNHFAGDFSNFDGSQSQQLLWAALDVIEAVYQGKDEMTEIENTEDRRIREVLFSCLCSADVVVHDK -IIRQNHGQPSGNPITTLINCLMNKIGFRLVFLLLKQERGMPLVCDFEDYVSLQCFGDDNIVGVSSEISEW -FNQITVSEQFSVIGFTYTDESKNGAQEPFRPFSELEYLKRKFVISDKGYFQGPMRLKDVMEITNWTKNGW -FDEKTATIMNAEVALFELALHGKAIYNDCKAKLVKAIEQLGPLPKEFKALTWEEQMELHDNQLFHPVMTF -Y - ->YP_009333524.1 hypothetical protein 1 [Beihai picorna-like virus 90] -MNMYTSYLLPRYKREVTPTVKALALSRGLLRQLKKFDFEQMVQIKIYRQILHEEITSLLDNAAFTWTTSV -VVNIEGKTQVHNVECPAFVYMLAKINGLRVEVNHSLTLITFPTTRKLCFELRRRGMVPDVLERFITPAQR -DYKVEGLGEWLFPSTTSSVVRDINSATGNIDHFTTSATQTLGKFDILSGEITKVFANINDLLSSVAKAVP -NHTSLSKLVKKLFAAVGLSIAQKSYIPLITGLLLEFIEMELDSKVWNFLRGTEEDHFDMAGFRVEGEDFN -ILGHLLPSWITGVNMEKMLSRFIYFIPTLIIGLFATVVTAMYGKMPDFRKILDNIKGVGMSLKAMREGRR -TIDEMKDEAEEAMCVALTGKSRKELSESQTMPALPAIKDILTQMSDPAKQTALSQDVRACQQVLTIEMLL -QQYLMQAQTKNDRQMVSILQSLIESNKKLMRIAHGTLAHNKSTRARPATLYLHGKAGVGKSNLCEKIISH -LLKEEYDNKTPLEIVHNRTEGSEYWDGFHPDNKIIFYDDFGQQKELASAGNIGVAATEIIKLVNTAPYLL -NMSGTTASSVKGGTYCNADYVLFSSNSPNVSSEGITSNDAYRRRIDFRVEVTIKKEYAFKRNNTNTYTLD -IPKLLYTQNKERYADMSLPLNHYDRALIEFDLEEGNIQLEFDTSVYDFIVEVTDTTYCTTTKTVDYEGLL -NMLRLRKKRYQDEFLISTKDKNVHVPSSPMLQHVFQKWASTLKYTPEGEGIKAVPELMPLMWNDAFYATS -NTVCIDCEPRSYIKCKHGDSIFIVPLAHPIKENKKADYKVFIDGTLRNIAVTSTKHEKKNALQLTFDTLH -LPMSVYILDDAVVASFGLMDTEYDIGSVIPYAEHLQACTNTEPTVETGEIDINQTHILHIEDLPNIDVDT -VSTTTIKNLYQTFKHKPSAVGVMAAFRGYEFTPIKSLFTSFGSILGTIKQSCINFWHSLTAVPGWVWGLT -ASIIGAVIAGGVSYWAYKTTPCKMTATLSASPNFMDLFTTRTCFTGCQLCKEIKAAPWSKIMLKFSEERN -QYYFASNDKNNFLKNMAVLARKYNIPMSVELAISQTDRFNYDTDKLVVGKVECKNGIYVEATNNVPSKDM -RVAPDVESQQNRRTINRSVRVETRPESQQNRMTRLRNVIVEDVKLQPSWRNVEGEEISVGRVKHHVLEAS -DGWMKTQPVTKLPNAPLSTCEVLSGKLKASRENKDVVEFLVEASYDPNATELINKTTTKFNLVRASVIKK -DGLAFSTNGLFLQGRLLLVPRHLVDRASTDDISFQSFDGSVTKTRMVSKVDVTSAEGEVLDLVIVECTKN -VTARPNIVKNFIKSSEVCYLEKSISPYSKIVALRRMFEDKVSLCLESIFDAKLNYSTNIAKYVDANKVDT -YQTVNYIEYSANTQPGDCGGPLIIYDPEMAHKFTGVHLAGDTGFGYSQLITQEMLDRNIKKHAFQVQMDA -PMLDMNEDSPLSNCMYMGEVKNGVHVPKDTAIRKTELNGIFEVKTAPAILYDKNVDILLKNAAKMTQDTV -LLNERDLSKCASNILDIVSVGRENPKIYSLADVITGTEENFVEPLNRRTSPGYPYVLETKGQPGKSKWLG -SGEEYIVDHPEIVARVGNMIEQAKKGIYQPLEGAFIASLKDERRNLNKIAEKKTRVFTACNITLALAIRM -YFLDFLRHCMVYRIDNEIALGTNVYSLDWTRIARQITDKGGPVIAGDFSNFDGSLNAQILFKICDVINEW -YNDGEENANIRVTLFHYLVNACVLFRNQIVVLNHSQPSGNPLTTLINCMYNMFIFRYVYLQLKKEKVGVS -SLIDYVHNVKGIYYGDDSLIGISPKIVSWFNQISITRVMAETGHTYTDETKTESLRTYKNLSEITFLKRT -FVPSPYAKQEYLAPISRDTIEDMVMWRTKKITNDAALAQVVPMAVVEASLHGKEYYSSFVKRIRDNLSNS -RLVSIPSYEECEDILEYQRTGFQTDQALISQFFG - ->YP_009333518.1 hypothetical protein 1 [Beihai picorna-like virus 124] -MPENAGIILTFPGTMRRPPILNWQRDGLKDLPLGERVRCAEMGRTHLKPRLYSVKVHPVVSGGPVSSYDP -QAGWRANTAREEDGLLPPTVDIAVNNVAVKVCTKKDIRTLFNNLKKNRAELFLSLSDAYMLRWVFGKDLS -IFDYVTIVDKLKVAHVDTWAEHLNAGALRMKLKRIMQLIHRDSGALNIKELQKEVDFYTRQRHKRNMELG -DFLVEGKPPTSDLQNRGLTTSFLVGGAVGAAATYITMKKFNKTQQLVTDKFSSFVGGVLDKITELASSVG -LPCRLLGVVAIALVATALADRVPSMLCTIILSSVAIMAVRRGLSVKGVDISIFKNSLISCAKTGSYLMTV -AISFMGMLAYGSLAGMEKAGSLVTKLTKYSALFVAAERLSDTTDVLFDFTSDTLREWAYKIMPDYAYDLL -EKPHLALKELRAAGRNADGSVLIAMDFMRDDANAQYFVDVYEKAVDVYDKAMEHRVRTKIVTELKKEIDS -SRNLYKTARTALGCDDVIVTPHVVYLYGKPGLFKSTVLSHVAQKLIPDTRVYTRDILDQYWSGYNPSKDK -CVVFDDFMAFNAPNANEGAEFMSIVTNNAKVLNMPSVDDVDIGIKGTRFRSPLVLVASNQKDPQIPFQTE -PEAFRRRRHSFWEVVPRAGVPTRGDGSDIIAEEVNLTTCMCGPDCRIHEKKNLYEASLAFYRLASNTGER -ISNPMTYSEFKEKCNTLFKAHYDREIERLNVGKKIMKADLENRSDSARQMLEELDVSGCVVTVAEHYKVF -APVVQSNQYNLISDTLKHVCRDTGRSELVHGTPRPIVKVHVQDEAKEGNIEAVMVPINVPLVLASDNEME -DEGIDVGPMGEMVRLSEVTTDLLWGMDRKGFRLSMQMLYRLQEGAVAYDRRGDRPILIDDTKRFSRSEMI -FMAGIQEVVGWFMILGAVWALFKTLSGVVHWMRGSSHDLEARGDVSGSGLHTKVGKQHKIHTHRLHRDLQ -NRGFPNSVGKIADAMVDFEVETVFGTSKMRGLMIRGRRMLMPAHALLDVRALGHPIEVTFRVKGNNLFTT -TSDTRTVTFTVLGTDVRVPWCDEQKSYGDAAVVILPSNVRPFPDLTRFFYTIEEMNDLTVNLPSVVNVAK -MKNSVVLSDGVAEFNSIPKTTTSGITLFQSWVVRHLLNLGDCGQPLLTNAGKVLGIAVAGSWVEDQAHYF -PVTKQLVELLVGGEEVAFEEMVGDPSLIMEGFSVVSNTESTHVTTKSQLKRTSLSKEVMEEVLECQLPEL -QISVMDKSDPRFEPKPNGPTPLKNAIQKYAGESVEMDENVLRSVAAIYSSDIHLAGEQRILTTKEAIQGV -PGLVDPINFTTSPGLPYVNVGMKKIDCVGPDGDICGLARERYEDRFEKAKNGIITKDSVWKDFPKDEVLP -KVKTRHITVPPFDYQVLCRQYFGTAAAEFRKFRYQNGSAIGVDAESPQWDELARYLQGRSTGFIDVDYKN -FDGSIPAVILRVAGDVLSTMYKDKDPENNVIRGVLIEEAIFTIAQCENIKYQKTHGNPSGNPLTDVLNTI -ANNLLVRYAYIKSGRKNFDANQTMIAYGDDLVLGESAPGKGITFEELKSQLTRLGMTITPADKSDVSKGY -RPLSEISFLKRRFVVSDAFQGLIVPQIEKATLGKILLFCKKDERAENLFKMRARAVVAFSIFYGEDFYEK -VASLCKWYAATYQKTTLVLPTYESLVAAYWLSQLDEYTTMVV - ->YP_009333513.1 hypothetical protein 1 [Beihai picorna-like virus 101] -MDTLYEFFQQFQEVVLNTMGHCEVSRRVCSKVIALGWFIYDLFSGKSKTEAILRFVTVLGPEWSTAGFSK -ILSVLSTACLDIYKSIVERAPFAGHDDEIHTQAQSETLISSFVQVMLHIFDYKNYDELKVENYRVGRITS -MAKLLRSCDTILSISTRMFSLAWEWVSQYLTDYDSMIDALELKAPEISRWVLDFDSFENNCEATVGVAIT -KSDSIARQAIALNRRTQGFTTTLDELNFRVGFITALNVRIARCQKYAELAKLRMANLNVRKCPFAFYIYG -NAGLGKSHMVRFIVETLYASAGESFNYGEDTFIPTDQSKYWEGYNQQKVYYENDLFSSTDTVENSSTARK -LITTAQTVPMPLNYANVDLKGTGYFNSEIIVADGNGALEFTQLCKIMHTPDALWRRFNFSIHVTLKDEWK -DENGRFNISKATQTFHTEAYSFKIIRGTMEKRKELQLDSWEEFMEFMVEEFAKHRNIQDRNVAKNNNPMI -FKDTYDKHRVVTQSDTARLMDPDETQLKFIITDTYIRKKHGLIGAAKRLGYWRTLKTFFSGEVGTTYGMF -TQSMADFFQRAKETAKRRKMILKIASAVVTSAAVGYMIYNKFTNTKEALEDVEDPKCIAYCVECNERFKR -NGYGYKYCGECMESENNRSDVHNTPHRGRLKKIATARTEGCGSKLVVGDQDQHLDADKVHVGRRHRHTCK -CGTIFEHTHRFRERSMLPSMCHKCNQASFKPANFGKVEDVLNGSFTEGSALSGIDDMLQRMTKSIVKISV -VGGMSVCGFWIAPRVLATSAHLFDQVDNTSVFRIFTMHNEHVVHIDDVEAYAIDAKVDIALMRFNSDIIP -NAYPIVYRHIARAEEIVSLQLEGAMLSARLFDGRIQMVQNYAIPRFIQDFKPVWNHSAINAEIVETFRYN -VNTEKGDCGSIIIAKMPNGSGKIIGIHAAGGRAFGIGSYVTQEKIERLMDDVTTVETQHEVPILPMPQHI -DKGTPICATDNLCVLGQIPAEYRPVLPVKSTVTESQIHGIFPITCAPAQMSVKGDLDPLRIGVMEMARKN -MHLPRNDLKSAGRIVEKRVLDLNSRYKAEPRLLTFEEMCVGIPGDPYVKVFNIKTSPGYPWKLCAKQTGK -KDFFDENGLVTGYAKTELERVEQMLKDGIKPFVIGVDMLKDERREIEKVEQGKTRVFTVLPFHINLLLRK -YTAYFQAHCMENACFGPSAVGINPHSPSWGILKRRLERVGDNFIAGDYKKWDKWVPYPLMMEVCKIINNF -YDDGPENARVRTMLFDVCFSMVRLCGDVLYSVSFGLPSGMAGTSIFNSIANEILFCTAFCDMSLSMRDSE -KARMWLQGVEFTAYGDDHIVSVIDDLKWFNMETYQQWLKSHGVEYTNASKGDVRGAFVKPCDLTYLKRHF -VERDGHCYAPLDIVVVQESISWCRGTPTEAQSIACIRSALFENVHHGIEQFNKFERRVKSACADNGIKYP -IVFFNEVDRDIRDGSFDDSALIEWCEDEL - ->YP_009333511.1 hypothetical protein 1 [Beihai picorna-like virus 93] -MQYNYYKFTMPSAHAPLVSRKRNLSSACIKGTHAISSPKIAGSRRSFSTVYKYVPSFSDFVNVMYSKLLP -FHPRPFPTRSSQCLDRVVTQMGDFFDPANLVVSSSTCDLCSVFSTECFSPRPGALVAGHHLKYNSVCKMC -IAAKHHRLGEKYPFSFPPTYHSFGQSVIPDTHLHYTGILDVFACVKCNGYIFGWEANDDPIAEHARLYPQ -CSSSPSSSSSRSKCCPLECFPPPSVHMDGIFPCCGSSSFCRCQCVSYRLSRDAARDIPNILSYLQALVQK -GKIPNFSWDTSAPMDEMPYSRKAVLHVASRSYVGFGDSLAKAKKDAARAFFAAHPAFAPGAIRTQMLGPV -NDAVKLFSETALAGQATLSHINEVVEDRNKDVKQLVDISNCKLSELSRSASKTLDGVNSFLPKVQSIIDG -CESIVSKLQSWLPGCDVDTVGLLKDLLTAFIFSLLQRSFSPILQALTAFGLRHSFFLPHISALRQLYSSL -IAGDHVETQIGTECLDTVRETLGGFFDQSGKTVLVALSAILSFVCVVCLGIKDFSSATFNSLLVQSSLVG -RALVGVRSFKDLFFGIYDFVDNQCCILLYGKTRAECDIERQYPRLKDLLEVCTYFHGEIDVNMLLGTNSA -ACELVRDAGLLLDRYIDKAIALQHRDVCARLKENRSLMKGVIEKANLYLSCGSGYRVPPVTVMLHGPAGC -GKTEMSKLLQEAIRSKYYPDIKLSDLVFSRKSENEYWDGVQLSSRIFLYDDAFQIVDTTAKPNPEFMEII -RLANSESYQLHMSAVADKGSMYARPSFVLATTNVPDPQPKSIHCVEALQRRFDLKVHVKLNRQFAVDSGR -TDAAGNPMLMVCPKLVWLAQNPGKTQEDLHAALRDNTFVVTPDSRVYLCDITLSRHNRLEEYIDYTFEHL -RDFMFDLYEMKQKAHADKVDPPIPSLPEDLSHISPLTQQGSDISPVAPSNPLPDTIYLPPFLPHFSTDAY -LDCFNIADGKTYTLAAGVDCAEYVPSYTRISEICGMLTESSFAHNVETFEWAPSLRERAVKTVSSLYSKL -VSLSPFQKLREFISNHWGMIALFIGAAGIATTSAILWSKGCRIQKALKEGAPASVVVCTKTCMVGCSLCK -QIRTANVCMNLTTRTDGSLFFKPRDVRRAGIAILAAAEKCGVEVNTAFSKSLLSEDFVVERGVDHGFILG -EPLESCVPESHQDARLVQRRVESHQDARVLPRRVEQVVESHQDVQPRARRVEAKSYTPTWTDLCTEASMD -WNATQTSQKLLSKNMVRCYIPGTPMFVHGIFIRGRCLLTVQHFVDKLDNNLEIERYADPQQTRVPVPILA -THPVTRNGEACDLVILELGASVIAAPDITSYFPLSSELSSLKGLVSNGDLRMFSSTRLKVGLSPPLLIPR -DSSARLIDVFDVKAADDDYSGRVYYTRSGMECAIQSVDGDCGSPYILFNPCSRAKIMSLHTAGCSGRGFS -QIVTQEDLRITPRTQCATVTTVYPHTDAAVSPLPNSIPIGKVQRAPQPSKTEIRPSPIHGVYPIRKGPAV -LYDHKENLLLKNSLKVVQNTKVLREDLIDICVHDVIRTLNQPGINQEDKRILTHAESITGLEGREYVNPL -NRATSAGYPYSLRKAPGKPGKKTWLGDQEYDVSNVELLEHVEDIIDKASRGIVDVELGIFQATLKDERRT -LDKLAAKKTRVFAASNQGLALAIRRYFGTYMEHIMVNRITNEIGLGTNVYSADWSRIVQRLRNVSPHVIA -GDFSNFDGSLNSQLLCRVAEIVTDWYDDDNGMIRATLMEYLFNASWLIDDHVIQLNHSQPSGNPLTTMIN -CIYNMLIFRYCYLLLLERMEAPLTLSGFRSNVACVFYGDDSICAISPSVKDWYNQLTITEAMAITGHTYT -DETKSGSPPPFRSLHEVTFLKRHFVLRDGMWIAPLSKETIEDMIMWTRKNLDAQEALVQVTRTASFEASL -HSREYFEQFCATVRKACRTAGYGDALLHYNECEQFIRHQQGRGEASDKLLLAQLMEV - ->YP_009333502.1 hypothetical protein 1 [Beihai picorna-like virus 81] -MLCGGSNWNYASGMKTMADFGRAAIGWTKMTDLFVWFKELFMDLYYRNMCGKTLEEVKLAEKFPMFEQCM -AKCRILRSEAFDSSFLDRDPELCKALIKLEDNMIEMRFAAIRARELSLQSMITLELTRLFSVFQAARNSA -AALHKRRPAPTTLYVYGEAGVGKSNLLLDLQAAIYAKKYKDDPEWTLDTLSHTRAASNEFWDGILPGQPV -VVYDDIFQVKDTTSMPNPEIFEIIRIKNEAPYHLHMSSIEDKKNCYFTSKFVLCTSNVQQPQPVSISDKN -ALYRRFDISIEVTVKPAYGKTAPDGSPRLVIDKRKAGNTFNPDIYDIQVYDMATKNPIGQPMDYDDFVNY -FFRVSDDTNKAAQDLHDSIRSRYGLGPETQDQRYSEFLDTFNAQIGWWGRREHNDIVTPQNPLPTQFDLT -VPQEIFVSVDEIGEIGQEDTHYLNEDGEEVDPDEATRIELGQQQQGFIDDMLRNYILDWESDFEDWFDRV -EALSPEARSWKERLGLLLRRPLLTPFVRKLREKYFLSKFTLQSLYNSPLATLGEWIKKLITSKYWRMLLL -GCYGFNLLRIGYCIFSELSCKGRGTMTPEEYKVTQCPCSNCKGFESSHLLGTNAYGLDYMAHLEKVYGNT -NPFAKSVLTQGYADEVVEQKLKNHSSFENTLHQHGFVSESRETMTRTARRNLVAESRELRTRTARPVLAA -ESRETATRAAPRYMTAEMVHNPVYRETQEGTRLVAQMMDLNQMEQWQSVTVKNSVIVQNSNGGYVNATFV -RGRTLLVVYHFIESLVDKTFYICPLNGTRGNEINLEQCIVKQLVDANGQKIDLALVTVPNQPSRPDIVSK -FATANEINEVAEGDYVLSGLRKLPSHVALYSFEGKSIDLAGGFSYDSSRGPCRIHKSAFYHANTKSGDCG -FLLYGRNRALSGKIFGTHVAGKDGKGMACVVSREFLQRHLDLMALDVPSRMTVDARLPFSAEMKQVPLKD -VLPDNTLTQEGNCLSLGMLPSPSASSVTQLRPSLVDGVLQKRITKPAYLKPTKINGETIDPMRKGIKKVM -TYNDSIDQEILDIACDDVANVHANVSANKAILTYEQAIAGVEDHPYLTPLNRTTSPGYPYQLDNPGVGKR -FWFGYDDYEFSPEVRLDVEELIRRCRNNQRGDVVWTATLKDERRPIEKVEAGKTRVFTAGPQHYTIAMRM -YFLRFVESMMENRISNEVGVGTNVYSSDWHRTGTALQRYGDKVIAGDFSNFDGSLRQDILWKILDMINDW -YDDGQENRLIREVLFEEICNARVLVNGELIQWDHSQPSGNPGTVIFNSLFNQIVMRYAYLLCKEDAGLPL -ICDFTDKVSMQTYGDDNVLNVSDEVIEWYNQETITEKLAEIGLTYTDEAKTGTLVPYRHLDDISYLKRRF -VMNSYGFYQPPLDLSVCYEMPNWIRTGAGGPKYATYVNCDAAIKELYFHGRRIFDDARLKLQNALRKEGI -FTRLWTFEELRHFYESQYF - ->YP_009333496.1 hypothetical protein 1 [Beihai picorna-like virus 84] -MDRRWPGAYLDPERLFVSVGCDDGHWYSLGECIDAEWHAASEAVCEDLTEEAPMMGESDECDEATARRKC -TSASGEEQENLMKLVEDLKLANSILTRQFNKAQMEKRKLEASASCYARDARRAEKKKTLMKKARREGKEM -RGQGFGDLFNGTTATKAHVMMEEVSAAALVTQEKVAALDVESINAVTAKFNGIMDSISKMAASVCEVSVI -DVCLGLMNLYSAVTTMSATSALTAVTLLTRCLGVSRDHLSTVVEYVCEYVKGTYETVTNIGERMCGESLT -LNPFRILSAITGVIATAMEMTIGNVTDPLRVITRHLTELSKLQKGIEGTQKLMANCFDQLKATYYRLRYG -LSVEEYESKTKYPRYAQAAALAKVISGIPLGPINRYKDLAVQINLVDNELKTLQAEATRTSNAVMRSLMT -EAIRMCMTQTAAARESPAISCKSRTAPHCTLVYGRSGVGKSMFVQMLKYKLYGDVFCKTVPGIDGCSFDR -KVETEFWDGYRKQPIVTYDDFGQKVDSASNPNPEGLEIIYAVNTNPYQLHMSDIKDKSATFFESSVIICT -TNTKLPHFKSLTDKTAVLRRFDRFLEVTIKPEYGILTDSNNPYYRFDEKKATEHLEQTGTRYSTDHYVII -EYDMDGQFVKEYTFDEFYAVYLNDVKRNLSKGSTMHEEMCKATGVTCEATSLEDAEFYEDYMKQLDAVRV -EKVLQECEDRTLDLPEKVRDGCERLKANAFGGAATFLETVTERKTDVKALVTKYTIEALDYMEYAFERVK -ACAGRVLSAAAEKACKLWDFLMECFGAMCGRVQKKAEENPQMTAVLAACSAMGALVAYGLHRYLSQEKDA -VDMLAEFASGDKKTARRRKEARGEFASGDARSLRRRKAVKAEAFTSGDAKTRRRARAARGEDEQMIGQQE -TVKIERRCGLVTIDEDDKVESQVFGEGCEVRAQQATAAMMRNSVYLQVHREGGPGVCSTGLFLTGRTMLA -VAHCFPVDAESVTLYNPAQRVANVTIPMKQLKITKLNKQSERPVDLALVTFPNVVTARPQIVKNFAEASR -YGDLCEGTLVLSTIRRFPVTGPVAQANLIFGEEFTKEYGRVTTESYVTAERTDLDGNVLEAEKVVTTNDM -MEYFLDTRPGMCGALVSVDNACFVSKFVGIHVAGNGSRGFAVCTSREFLERSLREHAEKHGLKDDALVNA -RVPYGEASVEIRDDVVDLLLEGDCIGMGKAPCSSRPTKTKLSPSLIQNEVYTTTTKPARLGPFRGADGVM -IDPMKKGLKKVLNKQTPMNDLITRVAVDDYFQVLQKNKGSPRVLTYEEAITGDGFEMLGPVNRQTSAGYP -WSMTTSMPGKTKWLGSGDEWVLDNAELREECDKLEDHARRNERGDVVFTAQLKDERRECAKVDIGKTRVF -ESAPIHYVIVFRKYFGSFVDHCMRSENRIDNEMSVGINPYSIEWDKLARRLQSKGTKVFAGDFSNFDGSV -PSDGQAMFVEKINEWYNDGPENARIRRILAMHVYNADVIVDGEIIRQTHSHPSGHPGTVIWNCLTNGTAI -RQAYYTCALQAGLKWGEIPAFRKHVAFISYGDDNAANVSDEVAPWFNQETVTEAMAKYGYTYTDEAKSGI -TVKTRRLADISFLKRKFVYDDEWRCHVAPMDLNTILDIPNWVRGAERRQATVLNVETIQRELALHGRDVF -DEYMPQFREALARAGLSADLSGFDYWEQVLASDRGQI - ->YP_009333494.1 hypothetical protein 1 [Beihai shrimp virus 1] -MATTHGCDHTGACDSFEKVLFEEYASYDLKDMFEGVPFFPKCQREGIFASLMESAFDCAMYKAVLDYTKE -VMAKPAYKMAAEARNRVRHIGDSGAASHMWDYLEPMAYDRKWKPKARYIPGLTKKPQPIRKPAPAPVDET -EEKEVTAPPQAQPEKVVLKEESFPLLPQPAVAFTGSVLPTTTCWEGKKASDFFFKEVQKREKIISRSLKN -EVVVRKIHRESLANETMWTITRGGKATISPLEASLKEEELLQESKFWVLPVGTGVDDPTPTGRELPAPPG -VSYPLYAIWMSDTKARSYLFIEEARLTGNSVFTKSGRKAPRDAVLCYKDEDQLLEIFQYFFWYDTQDAVE -HVRLESNEKEVLVNSEHFDNIRSTVSKQNREIEKLKKQIQRKAAHIQRELEKEKRRRKERRQAAADAKYA -RLEAGAGLLESVGQMFNAPTALNEAALDVSHTAKKWADIADSVQEVLDGIATTFASYTTLNLPDIFASVF -LVYKALRAMDAAQVVASVKLLASSLGYGISDLYSLIAQTLSNYKTWFTADGNEEFFDAPPSRDSPVYIRL -EAGFMDVLSDTVGKIGDSLSENGWVCMVISSILAFITFILSGNVPDFKVMSRWFSDFGRMSNGVKAMKDF -YFWLTTQIEEIYYQHVCGKSLEEIKMIKQYPRLEQLIAGATFVSTLDLGPIDRCANVADQIINLRNELVS -LKHEAMRSKDQRIAALIMDYLTSFSRQYLCAVNSPAKINKVREEPFALYVAASASGVGKTVLCELLKGAI -HQRYIAPHGIGMGDACFTRRAGNEYWEGYNCGEGGHHIVYYDDFLQQADSSSTPNPEVMEVISMKNTAPY -QLHMADISSKKDCYFRSRYLLFSSNLEKPNPQSIVKPEALRRRFDLGVKVAVRPECGKQVKTATGTYYRY -DAKKTAAWLESQGMAAPTGQLSSAHYQLSFYNVESQEILEFRGKTSFGYDEFLEVLFEQIDSYQAKASAL -RHEILQKYGLTSEKQYPADQFIADQILEKIKFRYNAELLTDGLLTSPSEVLKKWAARVSSNVKYEVKHRF -TDFKTMVKSYLGVQTAEELEVVMEEGRVNSERPPVEEEVETVTETHLTLQIASNLLDAEAGRARRSGQTV -PVTCHDGEGRDTACVEVAVLHADDEEVLIGKTSNSGPATLQLHEETDFSAPLEEIVITDQFVPEIIIDPL -ARYQATPQNAIATDMKRDIVYSTYQRCMIGATKFRLSLMDLLKRSIKWVSEKAKTFYEFILSVFRSASGW -NITRFGKNMFLTAGLLMFYDWVTYKAPMPTRYCGFWQTCEGAPCLQCKLCKDLHFTDENWEEDLVEYVKF -LSSDAGQKFLGENSIFINRLFRWYIRNYSRVESGEMRTLGAKSQARIESIHRDTLSPKQQVRIESIHKDT -LSPKQQARIEVEEKSLQPKTHARVEAMEVIDIDSRENRKNGFDILRVESDTGYEEILDGMKTSSKLFAAG -DLVRVEQAKATVCRNCAFVQFTRKASVVKDGVTYNKANNGIATFIRGRIAIVNRHVIPKADDFLYVQVLN -PWQANQPGRIPSEAVKISELSDAAGNPLDLALIEFPTLVASRPDITSKFARAIDMPVVQEGKLVLDGLQM -QNGIPIMVTKTTPSFKVYPRVIPVEEGKGRLPGGFKYNGMLHYDLDTQNGLSGSILTASNPLLPAKIVGI -HCGGIGAHGNGIGHPVSREFINRVLEEHIKKFNSPLVSRIDSAAPFARGECEQVEMPTVVLPKRGTCVHM -GTAPKPTQPTKSSVNPSAIAGAFGPPTAAPALLAPFKMDGKMVEPNANASKKLLDARPYIDEKKLKRASK -IACQMMGKAPANYKRVLTIEEAVTAEGIQEMTGIKRSTSKGYPSVLKGTCSNKRELLGTDEYIIDPELRR -AVNNMITACKSGRKPDAIWQAMLKDERRPLAKVKAGKTRQIYASWIVLLIVMRMYFGGVLAWTHANRITN -GCAIGVNPYSMEWQKIADHLQEVGCDIVSGDHENYDGQNNAMMVYGLLDIIDFHYDTQTDEERMVRTTLW -DTIANADVLINGEVVRLDHSQPSGGFLTTWINCWSNIDYHIYIFNESKTRKGRHDLDFLKDVRIMTFGDD -VLYSVSPAARDVFNFGTVRSLFQEIFHMNYTLETKSTEDVGYRTIYDVEFLKRTFRENEYGVITAPLNLK -SIHEMVNWIRGKETIAATATNVQFAIRELYFHGEEVFDEHMEVLTRVCAEAGVPIIFKTWEDFSEAWGAE -NGFGQFYLNENDFKSTFDWEAMFMEANEVAIPQSDETLVPSESESDSDFEIVILQCHHCHREMTDDWSNC -VHPSCVTCFLNMAVCISCMEVVVQQVMEEERDRSVRPSGADPEDILTHDYDCPCENCDPDCPICTDRLAP -SIMYECCHEICLNCHYRILDSPTPHCPFCRRPIQDAPVRLEAEEDLVLLVEEEEEEEESDHLLISYEVMT -WESPSEARRMRFLYGLGSFLYTAMFIYWIITSWYEPNTTNFYWLRRNFFQFLDYPHLRHRTFYCGWITAC -ALVIMTMSLMMDWPL - ->YP_009333474.1 hypothetical protein [Beihai picorna-like virus 111] -MVSDCSPTYNTAGFFIMEQFILRSPTSLNVGSACGAVEKTTPTAFEACVPQGSIVLETENMGLVSTDTFL -FKTALKQLSLSDPPLTIGGQIFGACKKNAKANPLEWDMYGQNQFWAGTYEEPFKKLGPVAFGALVGLEFA -VQPTLLSKAVYIPTALMHTICYNLPLKLAIPAHMMWNYYAVRTNAFEYLRANAQLMQDMRMRFMTSYLES -QISWRDLRLECSLPERLEIARLIEEREYTEPEVIRLLAKSCSVDGICTPQGLKDAVQAAQSVAAFFSRHG -NLIGTVVNFFNNFSDRLTTWFGKDLTDKAYITVGLLLGALAIKQFFSATFETIYELFSLLSTIGLKIAQP -IVAIVQTVFDQLSKWKTQSAESVSVAHVPQGAALKGSLAISSILLSTLVPSVRDFAPFVLVSNAMKINKI -MAGGVRALSTCASWFPEILQQYLIGAGIYTPLDLNGHRVTFSAMLQTAAKMVVDAKGADGNARPWVRPGF -AEDFGRIYRALYINGPKVLEAFSKDSPERLAYNMVMKDMKAYTANVSQVLNSRRPKPASFYLFGQPGVGK -TVVTNALTAAMCPDVPNGLRAFYRNTDANHWDGYFGQPVLIDEDWQASGVTESFKERVAESLRIMSGAPF -IPTAARVEDKGMTITGLRGNIYNSNYSLRTATWISKAARRAFGRRVCYLEVETHPNFRTRDGKVDYAKVN -ALPLHEKAEYPHIRLVHYENLPDHNWRKRRSYTVKQIMVLAARIMLENFVEYRSLNEVDHATDVFVLRNR -ELQLIHGQFLEMINEAQGKRDGTLTLEEKSETDDEDGKTEYFDPQGIESESDIPFPVSNPVKHESGFFCP -LESSCQRCLLLGTAGAKICKKNFQWKRLHSDLQPDQILEKFNSTLDKKLAPEDAHFNFKLYDDFVAWLDL -NGFLGTGNLEVALERALIADEDEAFEIAKMEHRNLPFSQRIAHVWSPSALLEYTVKKPQPQPSTYSKFEG -MVNKIRELSLPFSFSKLGTILGFIGSASALAMLWANIPASDGDSDDEEPIEPETVNEAQLSSFYKNELLE -LQRQQRHLTRVAHRPQISVDDMSPSELQTNFLVNKFGDHSVRIERQDSNAATYSMYGIVNGEWLIAPRHF -YNISATDDQMIFDGTEILVKGKHHFSLKFKASDLQCYTIQHPKGQMYRDISMFPIPKAYQQKKLRDGHLA -TMEEIKKGFDQYFLINKDDVIPIRRVRLTTEFLTWGGDRAEESGFQPQYSAQSFLHYRSLHAGSCGTMLV -GVSPGRARILSMHVLDRQKRAGPIVIESEGTGILMCQGPVNIPQVFSSVEEKLPEIADSFGLPMDQFIGS -PLPLPNNPPARTRFIKSGLPFKTKRAPAYLGALNDPRSTLGPRDLMMKEHARGHANKVKYTPEELKDHVD -YFVDMLKPHCRGLRKLTLDEAINGSEELGVPPIVRRTSAGYPLNCATRVGFPGKLSFFSITAEDKLVPTE -ELLEHLARDEKDRREGKNPTFGQCFLKDELRPIEKTQDPTPERPDDQVKTRVVVGTPLTHQLQLGQLVKH -LIAIIISLADSGHSALGIDVGSTQWHDLIGRLKQKSAVGFAGDFKKFESYFTVEFADALAEAINRLYDDE -EQDQIDRRDLLRNAVEAQLIFYDVVFRDKNGTNPSGLLGTTALLNFFLVSFLIRLGFKDSAKAAGASTLG -TDMNFWRSIDYSSLGDDHIVASPYQWFNFYSMKKSMEERGVQYTYAIKTIDNPPELEPLEDLPFLGGKTV -VRGGMRFHARPKEDILDSLAWISNTLPPNTAIYMKCNCALEEMWAYGRPEFETLRRRLSALLIERNITQP -LVTWSDMETRYYKKPSKPVHEHMELMEPFSQLVFESVGLEAYNNASLEDQRVKSLVATFFSVDDDGKPII -DEQRLGKILTTEWKNVPQGLAYSKSANGAVDFKANIPGVSGTRDKPNHGVDHIRVVEQAAPEICSFAAGA -TQAQVMDDHPARKAVATPQDYGTTQSETSIEYLFGRNQLVQRGFFDTQAVQDISVVNLVPNPIWMEMTAQ -PVKFHPTLQGYISSLFQYWRCDFEITVTLALSKFHTIRLALCTHVGGLSTDVTNIEDALGQYGSVCDFSE -ANPSHSIYIPFQARTEQLKVPTLTAGTYGSPEYWLRSMGQFSLRVVNTLQAPETVSPEIEYFIFFRCKNV -TMSFVKEIDSRIRDVDPFTTSHTVPVPMSDPDYIGTLDPPIRVVNVPQVGEEKSEVEKASLIASAAPVSV -NSEVPVTDGIAEYSASPTMKDTSITDLGEICKRQSPIYWSLADDIVLNAAMLFTSTGVSSQGNGGHMRYL -SKLFRFWKGSQIWTNFSGGDIEFSFCSDASISTQKFKRSAFGSTTNTSYQYTGCSPFVVSPVGKGVQQMK -LPFNSQFHVLYVPFVANDIYQATASSGFIYGRQTGLGDSKAGVFAGAGDDFTMGFLFKVPEIEVILGENP -PPFRLAEQPEEALAMHNVPQGGERLSVEDYSGRQDLYNKVRSGDITWKEYKRLTPLCTKCKALSLYTPRE -SLCDFCSTDLSFEHQGSYVTIHNVPQVGEEEAVDEAKIPEHGISVVGSDATAIVDRPIAGHSGEDVMPEI -QLLYTSILERRQFFGTFEWTTTQSHEAIAHQFELPYGMIKGNALKRAFESFLFWRPAEGTPAMRIHFQLQ -SQPFQAGILIAYFVPGLVPLAAKNSHEKSHNSKLLCPHVFMHAGSTSQVSLDIPFVHVKKWLETQRVSTE -TLGTLFLQPWSQLRVADTAVGPALQAQVSIFISFPSPQLKVLRSQDG - ->YP_009333456.1 hypothetical protein 1 [Beihai picorna-like virus 71] -MKLPLTEIANFRSVSSFHIRRISGKRSIKIGSDCSTRRKIQTGIMERGNQITLYTNLTVCDHCEKGHTTK -KATIQHLANSGCTGSTICYCEQKVTKVNAADHMLRCRYFGPLGCTLCPERLFKSLEKANNHAWSAHGGGR -WTPIIQDTVDFKMKAQVGTRFSQMQIEQLKERARNNAQKRTILKELVKESNFAASNSKFTQLVEYFGSHR -VVPLFSRTQGRLRDVPVQLADHAITVRVNMLDPDFRTMWMRLGPVVVLRAQALFGIDINHHTDAALNGLA -EQLTTLLRGLNMEIPAIKRITSLCCKLVAMICAKFKPGVVAPLIIDTLVTSGVSTELAQDAWNMVKDHFR -TVSQLLRGDFFAQAGDVDPVASLATVIAIMGGTMLMKKIPRESEINDCVAGVTKLGGLVRGFSFAWSGLE -QLITFVLKKIFEWQTGLPAETKDLEQYMEGIAGWFREIQEIVGLTTADEIARDSELCARLETLYRQGLIF -SQKAVESKAPRDILGPFNTHWAVLKNLYEKATASGAFRSGPRIEPVVIYLHGTSGVGKSGMMWPLATDLL -KIDGIPTDSEGKKDPTREIYMRNVEQEYWDGYKNQRVVVYDDFAQIVDSAGKPNPEFMELIRTGNLAPYP -LHMATIEEKSKSYFNSRVIICTSNVSVDQIRPESIACREAVRRRFDLVGEVQVLPSFARKGEDGKTYLDR -AKVERITGSAKPSLDVYRIWLRDPLTGRLAHDEPLSYQEFSELAVQKYRDRFTRSSTMQQFLQEYAETPL -RAQALTPMEEERWLTELDTEVKLVELQGVTGWTGQQIVDFMEIYPEIRELIHPDTQPALDEFRVFPATPS -TIETEWSMLISEQEQMWTDDAAQRLKQLVKRDTMLLCSVGDLLEGIRERVARFNRKVVERLRRESDGWLD -RVKAFCTDVATKVKEHPYISIGLALVPILLMAIGQYMKGTKSVAVGPPLDHRHEGLTRGERTLHRHVCLW -CDEIFEHTHVIKTVQESVHYPQLCGKCDRAGTAVRFGERDGESGFEILRGHKMKFVPFQFATELSGSGDV -HTRKKEAMRTELSGSGDVHTKKKEAMRTELSGSGDVHTKRKEALHTESTGSGDLVGKLTTLATIPATLGY -LAIKKHMQAEEGMVSEIDDDTGDDYEPVVSEEKIEAQLLSDPNALQVSKKIIHNMYNLDVKTGGVWKARI -KLCFIVGRTALTAGHLAPHLENAEEVRLWNATVREGHIIPREKLKWIKLVGKDGVSKDQLLIVFPKSVHD -HADITGSIASSTELTRFSTINGCLMAPADGVVMMRYGQVRAVDDCRPYADNLGNSYKLRSGYQYNLETKD -GDCGAILMGVHSGLARKIIGIHVAGTLGIGMSSPLNIDDIRRGLAEVEMGAQVSLVLDPLLKPPVAGEKI -ALPEGDFVPVGKALYKVASPSKTALRESAVYGAIVEPSTAPSALKPHKVNGVLVDPMQQGLKKAGKIPPS -LDATRLAIAVNDVERIVNTLPEPDHARVLTDEEAVAGVEGDEFLAPINRKSSPGFPLTREKKGMPGKMRW -LGDTEYKLDPEIKEEMKRVEENAKNNVRTPTIWTDTLKDERRPLEKVRAAKTRVFAAGPMVYTLVFRKYF -LGFAAHCAKNRIENEISIGTNVYSLDWTRTAKRLCSKGDKVIAGDFSNFDGTLVLELLAEVVEIVNKFYD -DGEENAQIRRVLWKEIVNSVHVCGDDVYLWTHSQPSGCPITAILNSLYNSISMRYVWLTVMPEEYCTMKA -FNEHVAMVSYGDDNCVNISDAVIDHFNQLTIAEGYKEMGMTYTDEAKSGDMIPYRSIGEISYLKRGFQWD -EDEHQYIAPLDLSVVLEMTNWVRGDFDHEERTVENMETSAFELSLHGREIFEQWIGKYKQAARSFRTRPL -FLTYDEYRFVEAKKQGRLAAACN - ->YP_009333432.1 hypothetical protein 1 [Beihai picorna-like virus 77] -MMYSLKRSNGQFLDNDTNMYAILAEPTAKISTKVVNKNETLLEKIQQGVGIEIDPSGLTHYYLSSVKLNP -GKKKNKIVKEEKMKVKKRFFYKPVARKYDFAFDVEDCFTGFCCTAALFDSAPKCRIKTSIQIKSWKRIPT -NDDDNKHYEQKDNEMKVIGKTSSSWEERQQTRRNKLQHLENGNIVTNIYGDETVYETLYLCDECGRGEIT -LAINFRECADFLYGEVEEDAYILTIPWFDRRWLCDITIKSTIKEIYHTYGEEYDWLAIVCDVLREEILSN -KEMHALNGNIDKENTKRNSATHRSDKNTRNERLMAKDTKLARDLFHSNAKFIKLRRQKAADKAIMKQLDI -PTKHFYSSIYDEASAWWHKDDKELFDDTEMIDDNGEWVEILKDTINAFPEELKALCNWVDVICAVDTIAR -VPYVSAKILAIKALYNIFQIKGIALAAFIKLVVIIYNFIVNYKDNMPQKHMNIESALNAIVTLILSLIFQ -RKPNQSHIDACLSSLRQLATTAKGLDYIMEAAKACVKWAKGGEEFDLSKEIARIEQRVSYYTTAQGQKDM -RLLQSAYMEITELELTSMMILKYLPAHSEDRLKYAGTAARLNQYYKAIQTSPPAGHGNRKPPVTLHLYGG -AGVGKTHLVNLISGDALRTILSLEGLEGDKLYDECSQFEKYMYYNPVANKYKTNYNPAMSKIFVCDDANQ -VNPHFLKEGQPFPVDMIHFANSHTHLLNVAELENKANANFNSALIIATDNVKTPALDYLTSKDAYKRRID -LQFKVELLPEYSRIHNGVRVVDPSKIDLSKANTHIYVFKDDKETFTYDQVSEKVKEALLEKHNTFLRSCD -VFKVHATRGLTRSQPDPPRVQSNQLHLVDENMDVAEKHSWLTDTCDNIRNFTEDNFNHLYYKFCTPSFTR -HPIDWMMWHYYTFIWYIKFTSFWQSPFLFLYINLLCKPYLKIKSFFVKKQTHKRSHKILAALGFLITGFL -IYRRIKRKTTFVRKGKECKKCRPTQMTTDNSQDDKPEKEQYNSGDASTAKKTKPKEPPKSKIISRPLFKQ -SSGSNSQVERFAMEEFANQDGDTATKQLASSMAYAMAKILCSNTYIIQFIDEKRNARTLRGFFVKGGLFI -VNMHLLEGYTYEDYIKGTFHLYNVFERITGISAKKVSVLQLLHENSDNRYYDIIVLDFGGTAVRQHTDLT -TFGDAHRPTFVKTSNLKDLEGERIMVMTTTINAQFDDSKELNVTGKMAWYIELQHTKITEICTEPLEARG -PTGATDFTYRVMQYPMQSVPGYCGSVVIANTAHYSGNILGIHMAGYNCSDRSYGQIITFEMMEGISEHLS -KHVAFKQCELYKSNTMLDNQFNKVGNIPHNLYTNSKSRIRPSLFHNQIFITQKKPANLQFFNGEHVVNKA -MKKYMEPSISVSSDKEAVFYGCLMHKFAATRKIRQLTHNESISGIEGSEYIVGINRSSSAGYPFNKHANG -KKGKTAFLGENETWIYDHPLLIRLIDDYRTKARNNIRPECYFVSTAKDELRPIEKVDAGKTRSFAAAPLH -YVVLFRQYNLDYFATIMENKVFNSSLIGINPYSADWDVLALQLTSIAHPKSKQFIATDFTNWDGTLNRDL -LWVIHSVIEKQYARKDPVSRALWQDIVTSQQVFGNVIVQIARGQPSGNPGTAIINTMYNYGITYLCLYDM -LSDVGSSEAISCMNDLHRHFYVAIYGDDSIIAFDERLVNLIDITKWSSYMLKYGHYCTPETKDGGEIEFK -TMSEISIIKRKFVFDEDLRIWLAPLELSSILEPLNWDRCEQEYGTKSMQMQMNSRLAIRELSMHEPHIFE -EYRNKIIDRCQEHQIVLPPDCFFSQRVLRKMVRDSDNVSYFTSDTSAEYDLDLITHSSIVEDIGDIVNHG -VSGDEASLSHITTQNTSGKCIYTGDHHAGSPCEESHPQRHNSFTRLCDLK - ->YP_009333407.1 hypothetical protein 1 [Beihai picorna-like virus 100] -MQDEVRVQFDISALLLVVLLRILPKRLLVANNQERLSSDDTTLERAELTEFNVRLPGTIVFTSVSVVIPI -IGCTPWTVTDVLVKRSGVSRLDYSNLTPPNEMKMSQSYQNGQAAHVRLSAKPTLRDGSNTKEVRPDNPHQ -QGGVIVTPNLCSNKNLRDNLIESTIQEGYIMSGDSRIPIRMREVLKPNIKLDFEAIDKILDVCNRTYCKK -CTTVRHAKIINWVANNNIDESLFNPKKLLSHIDEFCYEILMGKSRLNRKMRRYYKYLPRSQRNLESLLKS -SIRNDKLKKKNRQISRGEARDIKYMAQLFGFTPTIKHDIHMSPELEKNIAMVNSALNDINQTIGNSTNFI -KDGLDNISENLNSHIIGLITMFAALYKARDVTDIILALSSYFSRFIKLINITYPYFESAINQVASWVRSK -FEEESYYEAQININPLLEFCWIVNDAILSIVGIPSTLFKVLRPNASLIRDISQIITGVEKLSSFFIKYIS -LVIDSITKYFNPQPGTCEYLDYIDEVRAFLDPDVIQNMKKEDMPAVVRKTTELYNRGMNLNMRIKKEHDQ -AKVNAFVRTFTLVGSLLKSISPYFFADKLRISPYIICLRGDSGVGKSHLNQMLPTALYSHPAVNVPIAGV -KTKYTPGEDVYVRNFSQKYWDGYRNQRVVIFDDYLQMRETESISESLNELIRVSNNNPYPLNMADVTEKG -CVRFTSDLVMITTNADLSDNELRNFVQNPTAIKRRIHKTVQVSLKPEYMDPVTRVYKGPKEFQPNAWIFT -VEGAQYNLIELLDYLAPRFIDHREKDHKMINANDDECDYENLKKFFQAQSYPPSVEEIEASMKYYEDDIP -EFENFYDDVTALMDHEYYECQIMYSESLGSHIKKIYAAGNPLGILGFDYNDYLADGCGKPGSKAHKRMRQ -LLLATHPDKMNNDQLVSQIVIWVSLLIKQPEIVEAIYAGRFFGLSPFGGYDRKQFELPKFEIPTQPGFRY -FPLPRTHRNIKVPDICFMKDIDFDEALDQSGDDIDLEDEEFDMSEPESQRQQKFDWSNWRKYFSQDGIDI -AWFWADYFGTQYFTTENPHSDHGYRYRSTNHHTFFGRLKATLAYASSILLTSLGVDASPYAKLNIIKPIR -KIAKVIKVTFLSASPRTFVDYIKNDFIKDIKTVIRGCYDNMYDLCLAYFEGLLAGAASLDQQSTYKIFQS -YLTSLLPYGLYAMSILGIIRIATKIIYLIKDSIIKPKNECVSEGYCQKDAVQTTPRIISESYSQRDAVRS -GPCIVSESYAKKDTVQSAPKIVSESYVKRDTVQSAPKIVTESYMGEGKLSLDDIAQMKHFRAEISADPMA -VDLMTGKIRNNMVRISIDSSNPFVLNGIFIGGRILITTHHLIKTLETVGKRCVTITGKTTKITNFDIIQN -VIYTDVSKDIVFVNVPEASNYVDIIRHFFIDDEVNCSLLSSVEGTIVSYANNIDTLFLKLVRNIKAHGPV -KYTLGGSAVYQLNNSWHYYSDTAAGDCGGPLVLHNKAVAHKILGIHVAGLESAGVSTPITQNYINRVLHE -TGFRVPRIEVPILAAQANGMVSYNDKTQYFGEVPSQYQYRIPTETKLKKSPFYGVFESCKKPAYLRPFKT -PNGIINPVRMQIEKQFVDNIVIDEDIKEAAYQDLNNLLLSYRSPYKDMKNKVLNEDHTLNGVIGDDNIPP -MNMKTSAGYPWIFYTKKSGKKDFVEGEPGSYSLTSEVRDYLNLVEKELSEGIIRPFIWGDCLKDEKRPID -KVDAGKTRVFNVGPFELTYLTRKFFGTFVAHLTKNRLGEISIGVNPHSTEWSAIRQELEKFKFDKDGNER -ESYVMCGDYSNYDKRLPYCLIELALKIINNWYGDDPYGSAVRESIFIATFNALHLCGRSLYRCGMGNPSG -CGITAQINSLVNSLIARVVFGIVGSKQEVPVPMSKFTEYVVYKSYGDDNLASVSCMADWFNMKSFAEVCS -SFGISYTTATKGEVDQDYISWDDASYLKRKFVKESNTWCWAPLEMESITEMMMWIKNSEMSMEDIMKSTF -NSFTNELGHYDKETYNRMIAKIQERAYTLDIHLPSDTFEVTQMRIKTGQVFE - ->YP_009333394.1 hypothetical protein 1 [Beihai picorna-like virus 117] -MSVQTQNCTPTVMGQNGEHLQLKPSFSFAAKPLTHCELRMQLWSYLTTPTEEVLTSLVENGIIPCHYNTS -PCPHCVRQLRRERLHQMNNLVLHYVREVRHITCPPRYHPSSDKTLGYKQQPILVVPQRENQNGQTPPPIP -TRESPEPIGVDTTFRVLDEVMSKVKPTALIMALRSVVCATNHTTRYAAVIAMLETYGIMPGLLDYDAFIT -ALSPFLTIMSDKFPETRNFIGGISADLLVREAQAGKRKPYAKRFQQRQRHPSSSSDDEQTFDEKVKALAL -EKAKHKAVNLRAQQIAKRPNLNKTILTQVEVHQEPTSVADIVLFDKSDAPSTPPTINVDDGLTPINDDDF -DLINLASPPLVASPTASTCTQNTGTSYTASSAGHTPSAALHKPVLSPIANEPVKPIQSESLISAIRNKIG -GDTGVLATTLTVILCSLCGLGSLVTRKCEGLADRLCEMGKLCSAQKSIFDFFSRAKEMVQSVLAKIIGPE -YVYPELYSRQKQHKEIHEVILKAEQLSKDSYENVQTISRDPQVPQRLVDYLYEAEKTVVHDMGPLKKQKD -IVDNFHHLLTRIVDSRRSLEERMTEVTRSISFRQNPASLYLYGDSGIGKSKIMATVRDHLSKLHGHIITN -FPRNSSDEFWEDYVYQDCTSFDDFLTNKKGLDVEEVIKSYSSEHYPIPMGTLSKKGRLFMSKYLLLSANT -IDADNNEFINNTEAVYRRRDLLIGVTFKEGITQHPATDTGTFDHLEFTMYTPIPDTTNEKTYHSIGIIKS -PADIATLLYRRQVHYANEFENKIKISAHIASTISHNECKEFLRTSQAEDTVKINFLIGPPGCGKTLLANK -AGFTTDRYIQGSTLTSGNVVDDIVKSTQDLKIVMETLRKEADKGVHNTFVINETTYTKYLAKLKAEDIQL -VTSFERRCIFYRFIYKRNFITRYTAQDIQAGNKTYDELVGIKVDGVPTLHAAVLSSLIKKVKEKAVRETI -AEAIEFGDYSADITVTLPTTIEHFMQGKIMEGISPNNVKIHGMSIAEGLTILRSLPKVHVTGNSISQFFH -YINGLNVPWNLTKTMKIKLQNLTILVVNVQNCLYAAPISAVTYTVHNGIVFQQKNGQTKPIPEMLQSITL -KIYDQHQKARQSSPLVKDNRPPTLPADSEGETLLEHIKRMFSYVSYAGVAIKLILSISGSVCASMAFSDE -LSWLEVRKRFERRKQKQSPPTDDEDEDPNDDVQVTTYRQKFNKPITKGRTFDVVPSDAPTAWHNMPDEVD -YDAVLDFQAFTPSRIGQHLEHRNITVEPYRESQTFDAYQYRPILNTIAGNICTVSLTVNNTNLTVRGIIT -HSRRVVTVSHLFNRIIDDPTDDFNVEVVTNKGTYTATLDEYDRSRDLAFLNMEEKSPQFRDIRKHITHRH -PRSLSLSSSEGVVFIKDENLGHNSFLLPSISCTRICTVTVDGQTSTQLETLAVSTSMMTRTYATIGGDCG -SPLIFKINGEYKIVGLHATSNGYTGTAAMVSIDDFNTNQVHVKQIPHDCVEFERTGKKFANLPIVGKTTK -PVPVLMQGKTKLHKTALWNEELFPCTNEPAILSPFDERCKSGLDPMIRGLKKYERKGQVDDALKESFDEM -SSYYANLFKKHGMRVKKLSDRETINGICDNDGSHNVNRTSAAGFPSSLVHPSNKGKMQYIQFNEQYQNWE -LKKDEKGTWMKKRIAQVDAVLRDTKTDAVPTVIFTASLKDETRPIEKIHDEKTRVFTAAPTEFVLNQRRY -LNAITSNICALHGEQPVKVGMNAASVQFHYMQTKLIANGKHHVADADFSNWDGGYNSEIHNLLGNHFYAP -LYQKCNPQWDEGDLTAIKKIYGVLAEPLILARGRIVKLPSGQLSGQANTAVDNSLVNLAIIYTAWKEMCS -STFGKSFKNFTKHMGVNILGDDIQFSATTQVKEIFSLEQYAQIASRYGFTATSATDKKKTPQWTTLQHTQ -FLKRTAIQQGDGYIVGRLDKASIYKQLKFTRLTSYNYTPDRMPVKQEYLFQKITMTALREAVLHGRKFFR -KFEQNLQKQFALFRFEYPNTANYDDVFRAVFFDEMSKGQLQRLVQSGAGVGYKMAMLLRHHKVPSDIDNS -VQVEWLSLKLNASVAEIHHAIETDKKGRFQGIMNGDELVGVRPANGCSTGEQIYMSKYTPHATQQLYHIT -DAKHTESILKKGLMPNGHRDVHLMELQNPPSKFHSLAKRQTTPVYYTIKPEITQTLYRSYNGYVMSPIVI -PPKFLTGPHKLSDIENFECTNPIRFIPIKDQFAYITHQH - ->YP_009333386.1 hypothetical protein 1 [Beihai picorna-like virus 75] -MVYFHGARVTLSSTCVAAVKCAVNRMTKSVNDGEPSLSDICTRTIFKACGLDSVSEALMEVRPVESTDLD -TFIQADVLDYLSLFYPKEDIEQIKIMCELSRLRLQSHTVRYGVQSGNVFDDEGYMEDFIPEQDGHIIDVF -FGYGQESFHIRFEESFKMDHLGARTSFKSLEQAKAVIAMLLQDIEPNPGPVFTGPRKQNSKMHKRDEKRD -VRFKIEVAFEKKEQKKVKQMIREWRDEKMKRCSFQGGITNIAKSAAYAAANAVAPGAGSAAATMIEGPKV -LALLNDLGKTTDHLRQCSALTAEQFPTILNTAEATMHNTNAAMASMSAILDQVRERQNSMYSLIDKVCAN -PMYVLAAIIMAYVVFAYPKIGLGIPALFLILHLLEFDVKLIEKLKQICGMQFQSGETSYKTIGQIVFTIL -AFFGVGLIPTGTYYDSLLRRLDLIPKGVKGANQIWEKSSEIFAAAEREFRVFVLGQKREDLEYDTEIAQQ -VAVWVERVEYWLDAVARKRIAKDQEAVNEVTALFKNMSRWLHTREIRQNMPKDVLAIIISLQPRVNELFK -LVCSSTVHEGGPRMSPTCIVLSGESRTGKSEALMPLSIAMLHNRGYENVNDFFKNNIYYRNPEIEYWDKY -YGQLVCINDDAFQVKDTIGKPSVEFMESVRLCNTAPCQIHCADLNDKGTFFSSEILLYTTNITRGFHRHI -ESLNCPEAAVRRLNSNAFRVSTHPDYAKPMTVGGKTTHVLDASKVGYTVEKQQKYDRRSDRSAPESNFYC -VLCKNKCLNELGMKPEEANMAFCSHHYRFTKYDMGTDQELSGAMTWSEMIKDVTARDTDNRKLEKRKLDL -YDMIAANPSVFQMNDEFYDSEVVSEESLELSYDVDLNDEETWMLYKKLVSFRMAYNRMMSRENAEGSLDD -FSYQLLRYPELWRLNEGLMYGRVRTRECSAKTLEWFKLALFFDKKDHLFGQASASLNEMRMRWKNYGAAH -EICMMAFVREAHLAGETLEVKNAELFECSIDEWGHARNALEAAIQQDMMTPCVAPMVGVTPLPPTMWQRM -KRRFHMFREKVSTKIEEFFRSYPFMKFVALAGLMLSVVSVVWTMMPSVKHDEFESADERCRNQVNQLQRP -KFESPEENSRTRVNQVTRPKYESSDEGSRTRVNQLTRPKFENVEMSFTPDCEVEDMEMQGLADPGGYQVS -MKVALKQLYCLHSDKMVYGNVMFVKGYNLLMNKHFIFLMKESVSEGRLSLDDVLSLSNGRGVRIVQFTVR -YLFDHFVSLEKRLSSGEEIDIDAVIVPLDPVETKCAIHGDIIDQFVSKQQLSQLSGTYVGILPTFMNNMT -EVTLGTMPGKNAFPTPIPSLKYAAGVRGEYDREVMISTKYMGSGIRFREYWTYDCTTVSGDCGAPLVIQE -SGIARKILGIHSAGGVGTGMSQCITQEMLRDTLERLPAKFQCYVDVSQLVAHEIGDEEMSGSVPCKDGLL -VEGTVRDAHRVTSGSKNDIVPSPLHGEINGEPKTAPTMLRPTNGVDPMAKGVKKFSADVPLLDANMIEVA -AADVLNNMNANPANRNRCDYARVLTYEEAVCGVDGDEFLPPLNRKTSMGYGFSSKNGKREAFGYDEWTLD -TPLAQEVKVKTMKLIENARNLVQTDVYWTDTLKLERRPVAKVQQGKTRVFTAGPVHFTIAARMYFLGPCA -WLMHNRNHNECSPGTNVYSHDWDVIARRLISKAGKASGMIAGDHENFDGRLNGQILWKALDLFNEFCDDG -EENARIRRGLWVHIVNAVHINDKTVYRCTHSQPSGCPLTAILNTIYNGISVRLAYMICARDVNESMSMYG -FNKRCALVAYGDDNLIAVEPETYQWFNQRTITSAFEKLGHVYTDEAKSGVIEESRSISDVMYLKRRFVFD -QVSGRYIAPLELDVVLEIPQWTKKGVMRDQITLDNIDACLRELALHGRDTFTTYARIIQVKCREKNIPYR -FRTYQEYFTEVLELPLFQSSGDAYEVCVQGTQFKCDTPRAQELLAQERISSRLQKKMRWRRHSEGYVHID -DRNRVVHVLMDRPSVWGLSYKLDRIHELLEGESLHMASDVLSADKMARLIEKCPWLKCDL - ->YP_009333200.1 hypothetical protein 1 [Shahe picorna-like virus 8] -MENTYKINNRTDFYDDAHAYMYVFSNKLEGYTRLVCSCPLVCLEQLNAYEGICEKHGLVGPGKPIKLQHL -HRSLTRQRNLGKQNDSKLNFEQERKVRRNCFRTFLNRQQAHDLKKDKLNAQMGLVDWFTGNTGPSLSDKL -GDTLEHVNDFTMNASTFIESTRRAAENMRTETGAAIEQIEDKVTHFLTNIPTQVDKFLSTEINLGIIKPT -VRNLLIALAVATGLYVFNSLYKQISTFYKTILTVVASIISVPLEIINYIFSYIRDINLKAHVGKEEEDFD -ILTFVNSHLPKLAPLLGTIITAIILNKLPGKPATPDILLRRCRDLPGACKGLSEVHTYFSKYWECIFSYV -KEQINGPDPLDASKGFPEIERWMNSVLYYSRPEVFREKKNDQTEAFNIMQLWYTGMNILTTYRKVLDRSV -VANINDLMKVCAKMKDDISHSGIWNSGPRMEPQMVWLTGGSGVGKSSMIYYLAAHILKPLGLANKVKEAV -YLRTVEQEYWDGYRGQPLVAVDDAFQMKDGASSPNVEFMEAIRMSNMFPLNLHMADLSEKATTMFNGKCI -LYTTNNRSPAIESITYPEAFYRRFTHCYEVQIKPEYQMKRVDSSGRETITLNARLAKERAPINGDGTRSP -INLDVYEFVEFDPWAMRGKPIPVTANKIDFTTLANILQQDMENRVTKSDVLLDDVQKYCEKLHAQVGSLS -WIEGKPFWRRQESFEDTQEEIDQMDDLMHFAQYPPHPEIPATDEEVELMKYEWADREIPFTINAIILETK -PFITPLLKYALMYREKDAKPDTIYHVLTNAYVGKHKTIVQRVKDAYNTIVNADWTKFFVYVTTFLANPPW -WFVLTAAGAITAIAMWVRQNNKKKNRRYRNVDKLVESHQPDTQPRMATTRLVHESFHPDTQPRVVKQSKF -VESHNPDTQPIVTRTTKVVETAVDMFEGGDLRECFAQGCVDQNQVQMLAVVSRQQYQIVGIYEDRTVCFG -NGIVVKGRIMLTIQHFVTYMAYHKPDLIVLRNAFLPKGIEIETDDFLKRIVEVEEKQDLILVDLTTLIPA -AKDITRHFITRDDLHKLTSFRTVLSGYRNLGSNTVVLHASTGEGEASTAIKYSMEEPNGSIVQVHCVRNI -AYDIDTAPGDCGMIASTSHSSIRNKILGLHVAGGNKQRFSNHASLVTTETLNEIITKHFSLTAQIAQPFE -EVGSFIEGVDQLTDLPFKGEFLPIGKIQTQGESLNTRIKPSTIFGKLSEMEINGRKAHEVWNEKHGEDFL -LKATDQPFLKQPAKLRRFRNSEGILIDPLLKGIEKAGLPAKTCRTDYLEIAAQAVASKLYFDKAGTNRPK -SLLTYEQAIQGISSKEGINGISRTTSPGWPWSTKPHKGKGKTHWMGYYEWDFTSEGALELRKFVDEQEDK -IRTGKRLDVAWIDTLKDEILPKAKVDIGKTRVFSNGPMDYTILFRKYFMNFMAHIMDNRIFNEVAVGIDP -NSYEWELIAQKVTSKGPKVFDGDFAQYDGTLVAKILWKILDLINEWYDDGEINKLARHVLWNDIVNSVHS -CRGILYQWTHSIPSGCPITAIVNSIYNSISMRVVYLEMSPNKSMQYFEDNVAMLSYGDDNIVNISDDISF -IFNQVIASEGYSRLGMTYTDADKESELQPYKSLDKISFLKRYWRKDKYLGFYGAPSTLSSRLDILNWTRE -DNATCALANENQTVASVARELAILGEEVFSFWTPLIRKAYANAGKPMPIIESYVHYMQPMSVM - ->YP_009333180.1 hypothetical protein 1 [Wenling picorna-like virus 2] -MNGKPHRYIMDTLDQNCTTISLWTEASILPIGMTDTGSFLPMVADELIAILLSKYPVSAALPLTEQAAVN -YLQQFDNDFISDLDDLAYLTHLPIHTFCNIGDWVRLINLYKIHDSEASVVRSFIKTDDEQHLSSDFLMNY -GSVVSFMQSNYFELASVPSRYSGFITHQEVGRTIIFSNDMCIKHDAINGIYSVGYDPASLLIQCGDIELN -PGPIMSKLAEPHERLHQQRVLIRSLEKELAAIKKRQERQDNHIQRQLELEKRDRKKKRKAGSEIKRYAQG -LVSFSNNLGTAAESLATGVGPTLDMAQHALQTLIATGESLKKVFHVPEGVDLIGVLLSLLSISRAILDKQ -IFTVSLHAIQLARHLGVSLSSIMSLLPHISEETESEAGDQNIYYGTEPDALDDYMRTAFPIPSRAPVAQS -LVQDLFKTAKTQTQLLPVAAFLSFFCGIFNLMVTGNVPGPAAMVKHFTSVGRAASGFKAIKDMFAWITDY -LQEIYYETVYGVSKEEYQFMKNYPQLENIYAASKIVEKLTRTVIDASKEISEQILSMNFQLADYAYQASR -MGSRTNVALVTSLQKRIKDQVDWATHSPARCSVIREEPVSCYLYGEPGVGKSVMTAVLKAHIYKKYLAER -GLKFETCSYPRHARNEYWEGYTGQPIIELDDFGNKKDSVNSPAEEFEELEYMVNTAQYPLKMAEIKSKGA -TNFTSEFILASSNALCPDVVSLTDPGAVYRRFHIWAEVTIDPRYGKEVGITKRGRPYYGYDKQAAAAHLN -KSEEDLDPLMVEHYRLNVYKVTNNAQTKQPVITWIRGKQGITFDQFWNYFQQVNDKRKTNSQALANAIRN -VAGLETPAPAKSEKEILDSLDRIFRPEKFLKAVAECDDIEYDIFEEDEIFGNLFEEASEAVDEEIFGEAV -SFGLFRRKLTALKVFIDKSKRNFRKGIKRGYASLEKVASVLALPFLATAEFILSFLKCATSRLICTFSDS -TYLPELPTPQALLGMCSIFAALAAGVWFSGIFNRPHDWCQFSTNPVTGNMPCHNCKPCKIMAYPPRGDMI -DHYLARVAVPQVRKELNSIGISPDTIAEHTLGLWQRKYENAQGLAGLTAQRIYEHQPAVAKHQNYAQAIF -GKCEILSRASAGWTAQTYPEAFNIIANFCHFNCDFCSTHRNSIAVDFSATEEGRASCIRGANEILALARP -YAQKVYDPQPHAAKHTSFAQGYVDLKTECEIGSVRYAQRDRVRIEQTTQVLLNNSVWINAVDTQGRACRS -NGTFIVGRTLITTAHTVLNPPSSEPFQSIILRNPYSTQAAITVPYSDCQITQLKQLDGTLVDLAFISLPP -VVPSRPRILSKFLAAADIGYLDEGSMVFSGFHESNGRTIVQEKHPASFVVSTKATEYLLHAPGTCPKADP -CICPIHIGNHVEYDLETLPGMCGGLLSVQNKMVHSKLIGFHVAGGVGCDALGVLVTRELLEQNLDAHVAA -HNVSRQYLIDGRIPYSQNWVDPRVQAELLDVGDCLSIGVAKSPAAPVQTTLTPSLIHDKVQKHTTIPALL -KPTTIGGVVVDPMIKGIKKVMGGQVYIDKNLLNAAANDVFNGLGGPDGGVGKIHSYKESIVGVEGDPYKR -PVNRTTSPGYPWNLDNTSKGKTAWLGDEEEYIIDNKELLASVVKLIEDARDGVRGDALSIATLKDEKRPI -AKVMEGKTRVFEACPQQLVLAMRQYFMDFSAHIMRKRIDNGICVGINPYSLEWTKLANHLLAKGDAMIAG -DFSNFDGSLTMQVLVKIVEKINEWYNDGEENALIRAALWEHICNADVLVRGEVIRQTHSQPSGNSLTVII -NSLFNAIIMRVAYLRLKQKNGMAPICDYRKYVAEAIYGDDDVKSVALPIRSWFNQLTLTDALAEIGLTYT -DEAKTGKILPFKSLKDTRFLKRGWELQLDGTYMAPMEISNVLEISNWVRGKAVRSATIENCGCSLMELAL -HPQDVYEYWGARIREECAKVGITFHVPTWWEQREEYLYNRDAYAKVEYIPLW - ->YP_009333162.1 hypothetical protein 1 [Wenzhou shrimp virus 7] -MHSYLYVYQDHVARRTPVSEVLNTVRQDYPDGWSYSTSGAYVAPAFCVGRRRFNSHVPIYRHVNTCSQFL -DFQLPFSPLRATKASVHPRHYSETVTMPKSDWYVNRSPTPSDTWCDTDTLYSVYVDAQCSRCFAMENCFV -SKNSSHEVLCNSCLKADNTHAEYPFSFPETEAGAIIGTCLTYTGYADVFKCEKCSMLIGAWKEGDDPIAA -HNSEWGSTCKDCTDEEVYCCVDRCHVVSNLLSDPCPDCLSEKFCVCKCKKFIDRKNLAYAVPKPLKFLQG -LVGSKIIPNFTVKNSRHHGVVTSLITCNGVTVGGTGLTRRSARNNAARRFLYIANVFPDTHMLSTLRSLP -SRSSETVELTNATLAHVNQVIDRQDEGLTRLRENLEVKSSDVSRQVSELLPKVSHAVDNVSETLDSFKCV -LAKINNWLPSLSIDVIDMIKDVFVSLFFALTTRSVTPLVQGFTSFALRSNVFSHLVSALSSWLNSLKYDT -PFNEDIPNTEASYPSVDNVRETLASIYDSFGTGLCIALSGVLSFIAIVCYGVTDLSTASFNKLLTQSSLI -GRALVGVRSFKDVFFGIWEYADNIVCKLLYNQDRKSLDLVKNYPNLSSVLAVFKYFHDDVNSSNLLGCNS -SACELLVKADNLYHGYVDKAHTLGHREIVARLKESRRSVKSLIDKAQLYLSCGDGYRIPPLIIFLHGSAG -CGKTELSSIIQKELANKYYPGVPHKDIIYSRKAENEFWDGVKQSSKIIVYDDALQVVDTAAKPNPEIFEF -IRLNNSDSFQVHMSSVDDKSGTYVSPDFVVATSNVDPHHYRPRSIHSQDAFCRRMDLRVRVDVANEYARV -VTRPNQCKVPDERKIWKKQNPGKSDADLTTALQNGSYTLNTDPEIYSLHVRYTMAGKEEVKTCTYTEFMA -LLEQLRACRVAAHQDKRPNEIPVLPDTLNSLAASVQSGSDRFVFHTDWLGFHSDATEACNYLSQELDAVF -LPGTDGFFMSKNVVDQVLYDKLETENFVAHDFFRRWLDACPDEQFSDCLEYFEASNSPSCSVWSKCKDYA -SDVVHSLSSVMSRVRSFIVSHWASISMVVGAAIAIGGGAAYFCSRKCRVQRVLTEGGTIMQLVGTLLCIA -GCEFCSRLKKGNLVMSVRSVSDGRIMLVPSDVRRVVHHYITTATACKIPIHFSITQSLCDESFVKFDDTR -DTFCVLESHQDAKVKQVNVESHQDAKIKQVTVESHQDAKVKQVSVESHQDVKPKCVCVESHQDVALKNLV -VESHQDYKPKVVTVESNVCDLCRETKLSTPHVEGNVASDYVVQWTNPVIESSCDNNAQDVANKLLAKNFV -RLYKPGEKLFTHGLFIRGRTLLMPKHLFDELNGSVDIVAMTDSSCTRVPVAISSHVNIKRGDIDVDVVMC -EMGAGTIARKDIVSYFPTQSELSSLSGLMAHGDLRCFSSAVFGKNSMLMPRDSQVKFVHCVDHIESHNPE -KKSYYVRKGFEAQGNSSKGDCCSPYILFNPSSRAKIVGLHCAGFDNTSRVFAQTVTQEDFNLIATSHCGM -VCTEYPLTTLTIPPLPNTLPIGCVKAAPNPTKSSIVPSPIHGCFEVRTAPAVLHSDDEDLLVRNALKVTK -NVVLLDEGLLDMCVHNVKQILNAPGVSDVEKRVLTHEESITGLTGYDYMNALNRSTSAGFPYCLRKRKGK -PGKQTWLGSEEFIVDHPDLKEHVDKIVSKAKSGIVDVELGIFSATMKDERRPLEKVRQKKTRVFAASNQG -LALAQRRYFLAFMEHVMKNRIDNEIGLGVNVYSYDWTRIVDKLRKVGSKVIAGDFSNFDGSLNSQILSRV -AEIVTDWYDDEQENGLTRHTLVEYLFNASWLINGQVFQLNHSQPSGNPLTTLINCMYNMIIFRYVYLLAR -RSQGLSLTLSNFCLHVSSVFYGDDSLCCVSDKVCEWFNQHTITHFMSVTGHDYTDETKSGTPPPYRSLSE -VTFLKREFVFRESFWVAPLSKVTIEDMCMWSRKNIDPQEALRQTTRIASFEASLHGDEYLKTFAGVVRRA -CRAAGYRESILHPAECRNFLLSQQGRSGALDSDFLSELLDM - ->YP_009333273.1 hypothetical protein 1 [Beihai mantis shrimp virus 4] -MIKRLCSKMHDHISFYTFEKGVLRRTETHCFTSFRKDVTQKTIGKAFVVSGKRANSHKPYHFKWRPSPAE -MTSAYYATKLPFSTLTDKGIAQECDTAVHVSDKMLKTPDQPQLSSLPSVEGREGCVEGQGTLFTEVKRVL -CPECATMSDCVVSKSGYLPYACNKCVSSKSFGCRRKYPFAFPQIVPQAQSSSDGVLYDTCSYGTSGIPGT -SLTYTGYGDVFVCRKCSGMIGCWEEGDDPQTEHRKYFPNCEDSDPVRCCPLECVPTETQIDGKCSCCCTF -NICRRNCKMFVVQKKFAEALNDPAQYVHDCVEQGRLKPLEYNVRYADDKYDVTVTSGHLFGSAASDHFKI -AVKEAMRKLISKQTMPPTPPYFSPLKKDLSFPEVEGVLDIPTKTEKAMENVAKVSTSAKKSLSKIESHVG -KFSEQSQAVLENLNALINKISAFFPDCTPSIVSFLKDFILGMFFSIMQKSLYPLVQSVISFALNNSGLSH -LAGKLSAWLSKLTYTMNEEDLWHDALENIPESEGFLDIDWTSFKSSVGGMYAGLGSGMCAAIAGVLSFVA -VMCYGVSDFSVMSFNKLLMQSSLVGRALIGVRSFKDVFFGIWDYVDNHICMFLYGKTRSECDFEKVYPKL -NDVLHVMSYFHDHIDSGKLVTSNRAAARLLVAADNLSSQYVDKSLTLKHIEITARLKEARVGVKALIQKA -QLYLSCGDGVRIPPVMVLLHGTAGCGKTELSQMLQHAVCEEYYPEVSFPDLMFSRKSENEYWDGIKDSHR -VIVYDDAFQQIDTQARPNPEIMEFIRLHNSDPYQVHMSAVADKASTFVRPDFIFATTNVSPDTLSPKSIH -EPDALLRRFDLKVEVGIDPKFALSTTSVSHRQRVVPDPRKVWMAQNPDKNHRDLMQSIKDNSFSVKMMPE -VYLCDVEYTQAGVTTNVQCTYKELFDLIKQLRTSRVNVNKDKITDPHPQLPDDLKDVANRLETQGSGDYD -VSFIVHPTASEVSDDSDLESVSSCYTSCSSSGSEPDGDELEMEDALDYFENHCEEKDVSIWQRCKTKVMD -FLSGLKSLWVKVKAFLHKHWMLFSGLSFVAMAGVGYAIGAAADCRVRCFLEKGGTCMQLVGIISCLLPCD -LCSRIKRGDITMRVRSHSDGSDTLVLVPSDVRRAARHIIQSAEVCGITVHPSFYASLLEEVYCVEGQGTE -SVSFLSGTVTESHQDVSPKVKTVESHQDVKPKTRIVEGRKVFDVEWKDLLHPQSTNDSNAIDVCAKILSK -NLVRVHVGSYSTHGMFIKGRLLAIPRHLYDRREEDSLGLETICDRGNTIVNVPIHQVYEVSRQNVPVDLV -ICEMGMSTQARPDIVKYFPRKNELATLGALAKRGDLRLCSTRRFSSTATGGNMMVPYISTVDFLDFTDTV -AEDRKVNRTYNVRQGLYCHGHTEKGDCGSPYVLHNPQSRTKILGLHSAGFSHSTEIYAQTLTQEDFENIR -PETQGGRVTTLYPATKTALSPLPNSLVVGKVPTAPAPTKSSIVESPIHGCFPVTTAPAVLIHPTENILIK -NSLKVTKNTVVLRENLIDVCVHDVKRVLNSKGKSDAEKRILTHEESIMGLCESRYVKPVNRSTSAGYPYS -LHRDSGRVGKRTWLGEDEYIVDEPELLEHVETIIDHARRGEVRADLGIFQATLKDERRPKEKVEKLKTRV -FAAANQALVLAQRRYFSTYLDHVMQNRITNEIGLGTNVYSFDWHRIVQRLQQVGLKVIAGDFSNFDGSLN -SQLLGRIAEIVSDWYDDGEENALIRHVLTEYLFNAFWLVDGTVLQLNHSQPSGNPLTTLINCVYNMLIFR -YIYLLALEENGYPMTLGNYRTRVASVFYGDDSICTISSSAIDWFNQHSITKYMLRTGHEYTDETKSGNPP -PYRDISEVTFLKRGFEMKQGFWQAPLSKLTIEDMCMWSRSGIEPQEAMHQTTRIASFEASLHGEEYHNEF -CNTVRRACRQAGFSDSLLHYAEAHNILLDQQGRGGALDSDFIASLLDM - ->YP_009333215.1 hypothetical protein [Beihai mantis shrimp virus 3] -MTADNKQNQIQVSSDFDYYDCSALPTYVVCVPNTKFSADEWIEPQSRDIAVVKRCETSQEVNMTFVDDYW -YGFDNPDKVYDDIKLSLVSCDQDPVWNGWSFIRDHFSSHFDAVRHMCANFETKYEYYIYDYVTRHPEVEM -LLVKGGDMKPVEKCSRRKHFCRGNCSFFQRFAHQLMTKKICVYDGSQTEVNFILACILKFHLTNLDFCVD -DPSRLDREDLNVLSLGYIHGRSLLWNDRVWRLKDKVIVNRHCAVRKRHFALKLKGSAEHQVPKILGQKKK -MRALNKKLKEFRKQVNENHFDKKSQLFDNLFGIQDLANSMNNFTGMAPHLVHQLTQELTDTFDSLLGGVK -QMLNEFASKIGLTLNVVDILATLALLWRVRDDKVAMGLAITSYLTRYGLQIPDLGFLFNYSQVNEDASAN -LLHQTIVKYVPMLGIAMTILLIPFSVKSVPSNLGDLADTLRTITTAKLGEFGRAIDGWHKLQAVWTTVST -TIASYIVEWIYGVKVLSPEQKVLDTLKEWITKLNKYEKMETRHAAVVDRQIINELESDLAKVQAFLLENP -QLKGKTEYDLLQALRTNLFRVHTSMVETMDTRAKTRMEPLGILLYGKPGVGKSQLTDLLVTQLTKQHNKL -VEEHGAGDKYNLDYANLQQSKYTFNPANEFWDGYKRQFAVIFDDFAQVVDSSAKANPEFQEMIRCVNVSE -YTVHSAHLAEKGKVKFDSKALIASSNTLTFDIRSISSRDALYRRFAVIAQFRVKPQFATPQGSVDKSKVD -GFDPNIYDIDLYRWYDVSDKSKPPKSFKTVDFKTFGQYVLTAYRDRFEKFYASQANLGDFSDIFDGDDAE -ARSAYYSQIGEEEEFADALSVEEYHYLTISDCFEEIKKTPGSIGKICMSFLMAAEYGLDKMEQIHLWLEE -GEKWKYFLGTIGLLGLMWFWKRWRTEECKNCSIYANSKRTVEDLLKYVNHANHTCQKCAAIKASLEEQVT -QASDQFERLSTEIVKDEVSFAVADKVRRQMYVVYNSDKTRKFVFTMIKGRVALMNNHCAQWMKDQSFIYV -RGVHSSTFQKLMVSDFLFHGDGVDDIMLVTVPTNLPYAKDITNLFQDRKEICRYVNAQFTFVSLTDSNTI -MVGVHREGQLTGYDTPSSIDNGAFYLRTSYQYTMDTNPGDCGALLVVNNKAFQKKICAMHTFGGIRNGAT -PVFKHRLDALLADIPLSSQINMVYENIVEQEVQLVHVDEPIKELPMIGIIPDPPYQNTKTDIHPSPLQGK -IKDVVTHCLPAVLHSKTDPPMTKGLKKVANAPDPVEKELIQICIDHYFSGLPSSDIQRVLTEEEMIQGIP -GRMFKPVSRTTSAGYPWKGSKGKAKWLGEDEYKYDLELKNAVDQREEAARNGIRKETIWIDTLKDERRPI -EKVNANKTRVFSVGPQDFTLLFRKYFGAFCNMLAENRVYQECCVGVNPYHEWWMIATYLQQVGDNCVAGD -FSNYDGSILADVMHLIGKAIDSWYGDGHTLVREVLFADIYNSVHSAGGLVYQWTHSNPSGQPMTAVINSI -FNSVMCRYVFVKATGLPLQKWDEFVRMVSYGDDNLLSIHDSIKHKFNQVTMTREFAKLGMTYTNETKTGE -IVPTRPLHECNFLKRGFRKDCGVWLAPLAIETITEMVQWLRKSADVVGDTKVNVETALMELSLHTPYYWE -RYSTLIMNACKEQGIPVKRYAQAHVFDTMFAAGLRDLDETDGLSPVTAVVQEEPEPIFTAQVGRDKCETR -PQKYSQFRRILAKGREALRPKESTLTDLPMTTPAASVNDEKQAISFAFLQQLINSSDPKTARMLRNVDCI -RVVDNRPSELNSSGDPHTRRVVRSVELNSSGDPHTKKVVRSVEMETDEHCSKSVSPVSCIFTILKQYGLS -KLASILNEMVSDSLHVLVLSIAHGMGKRVRIARFPLMPLVSPIVEEIVTSSFRLNFGLYEYTTKISRANG -WNIKLLTALAGLPALGVHALAEWMVHNHFSLLSRIAMHFIYNSMVSHLHRGKFNELCRCKWDGSQLRKCR -LCYVDGTCHPANMSREQLEFYLSAMRGDKTQVQPTPDTVDTVDEGQQSVACLCATLDDPPELDDIIEGLI -PDTVDTSLALCATLEGSDNILSSDNNVVQPNETSNQLTEFSDTPIVEQPLVVKHKEVSGSMDNLMESLTR -PYLLKTVMWSTSQGAGEDLLQIVVPDELMERPFYKLRMSFMRYLKCGVKFIVMVNGTKWTTGQVYCYFNP -AGTESDVFGKPKEVAGFTGFPGVKVKAQVGSQGEFTVPWSFLTKSKDMISGDGTLGLFVVSVINPLRTGG -SLSEIGVSVYTQLVNPQLRVHSGSPIATFDEVLGGISKFIKPVTQTLDAFGVPLVGEIGSTVGTVLNSMG -FSKPSNELPVQPVHVNFSKYWPNFSGIDNSVVLGQGHSSDAGYISSGFYEGDEMSLANLKAQMCLFKTIE -WSAKENAGAILSKIEMPLLKDDAFDFLSFIGNMTLMYTGPQNIMLETINSLTQSGRLRVSVGPPDEDVTP -ENLNNLPSFIVDLQAQENEHYFEAPFLVNRQWLFTEYPSCVIYISVVNPLVTADSSDICDINLWRWGSQK -LRFTVPTPLHKGISQMRADDKPVSKLVDFQDGNIDFAVVDELTSLREYIKRPQMIYSQTLGNGDTICIKP -YHFGGVEQSPMDIAAHIFRFFRGSIRYKIISDQNLGTLTAWLGYGEDDDKDRITIQDVDVPRQALASVVT -NSSINPLLELEVPWYNEYHMQFLKYSWGHQTAKVEFVPRLYVQSSIPKPTGDVDSGIWTDVTAHEAGLGG -GGTGGQLNFTVLGPCRFDITNVDPTSDDLVIQVGRGAAPTAWSTVYESKTATPLVLQAGIYTISSNKDVS -VKYTLSYSVAAGANVQIWISAGDDMSFLWLVPPTGLVPTPPK - ->YP_009333197.1 hypothetical protein 1 [Beihai mantis shrimp virus 5] -MDVRTKVCAGVIRQTSVDDLAMFTQHDSGYIRTDYQMSPAFKVGARRYPSHVPYYRNAQLNEMVNQFYDR -FLPFVRKQRKRKARPKVAKSVVVPMLKPHPSCHWEELPREPVCEVRYEICSSCNVQDRCFNSVDWLRPQD -ILCNRCILVKCDSEDYPYDFPTTVDNVIPGTQLKYTGYCDVFRCNDCDMLVGAWIEGDNPISIHGMASPN -CAQSGCCEEVKMEVDYSDPMSVLYAGIRNNMVPPVNFEYECEEDKIICTIICNDIRIAAIGDSYYEAQLN -SVKNFLSVCDSFVFPEVHMFALSTIPQRSSESLEMANATMSNINNVVDKHDVRLSQIQDNVEAKISDISR -QVNGMLPKVDRMLPKADKALDDVSSTLASFKSVLDKIYAWLPSTNPDIIALVKDIFVSLFFAIITRSLTP -LIQGISSYALRCNIFADHLTALSSWLMTLKFDMPASILPETQGFFEDMSQYVPDTDNIKRQAASMYESMG -TGLCVAISGIFSFVAIMCFGITDLSAASFNSLLNQSSLVGRALVGMRSFKDVFFGIWDYVDNKVCECLYG -QDRKSLDLTKNYPHLTSLFAIFKYFHDTVDASVLIGCNRSACELLVKADNMYQGYLDKSLTLGHREIAAR -LKETRMSVKSLIESAHVYLTCGDGSRIPPIVVYMYGEAGCGKTELSSALQNQFAASYFPNVPQKDLVYSR -KAENEYWDGVKKSHKIITYDDVLQIIDSPQKPNPELFEFIRLNNSDPYQVHMSSVKDKASTFISPSFVFA -TSNVDPSSYEPRSIHSADAFRRRLDIQVRVDVADKFARHVPSATAQRKVPDESKIWLHQNPGKTIQQMKE -SIANGTFKVNNEKEVYELHVTTTLAGVTTSTVCNYDQLVNIIEKARTLRVAAHSDKEETPHPELPSELNE -YSNIFPSTQGSANMCFSTDWLGQFSNLGDALNYLNEVFSPRFVNRKDYPSCAFIEASVVDYLMHKKFSGN -FDEDFEFAKLVADITDSEFENSVVIFKNGQCDKGVWDSVSKVAENLVSQCKNAWTRVYDFLKENWVAISA -VIGTAMVISGASAAYLCATNCKVKTLLSEGGSLMQLVGARSCLLGCDLCKRIKKGDLNLRVRNRSDGTIT -FVPSDVRRVARLIVASADACKIPVHPTFALSICEETFTIQNETDDLFSILDCPKVESHQNLKPKGVIVES -HQDIKSKQIMVESHQDIKPKTVIVESHQEQKPRAITVESHQDIKSRLATVESHQEMKAKLPAVESHQDAK -LKVAVVEGNGFDFEINWSDLCTEASCDNNAQDVSSKIMSKNFVRLYKPNSNYYTHGLFVCGRMLLMPKHL -FDCLNGSVDIVSIGDSGKVRVPVAIKSSKHVTRGDIEVDIVICELGASISARKDIISYFPRKNELSSLSG -LMANGEMRVFTTVNFNKDNFLIPKDSSATFTKVVDHVESRSPDGSSYYIRQGFEAKGNSVHGDCCAPYII -FNPSSRAKIVGLHCAGFAQTSRVFAQMITQEDIASAMPTTHAGRVSTEFPNTRLAESPLPNSIYVGSVKA -APNPTKSEIIQSPIHGCFPVKTAPASLYSPDENLMVKNALKVTKNVVLLEEELLDVCVHDVKRLLNAPGV -CDVEKRILSHAESITGLEGRQYMNSLNRSTSAGFPYSQRKKPGKPGKQTWLGDSDFIVDNPDLEMHVDKI -IEKARHGVVDVELGVFAATLKDERRPIEKVRANKTRVFAASNQGLALAIRRYYLAFMEHVMQNRIDNEIG -LGVNVYSYDWTRIVNKLRRVGDKVIAGDFSNFDGSLNSQILSRVSEIVTDWYDDDEENGLIRHVLLEYLF -NASWLLNGKIFQLNHSQPSGNPLTTLINCLYNMIIFRYVYLLAQRENGFPLSLSGFCANVASVFYGDDSL -CCVSNKVCEWFNQHVITRLMAITGHDYTDETKSGSPPPYRSLSEVTFLKRGFVLRDSFWIAPLSKDTIED -MCMWSRKNIEPQEALLQTSRIASFEASLHGDVYLSKFAQTIKRACRQVGFKEACLHPFECKNFLLAQQGR -GGAHDSEFLGLLLDMSL - ->YP_009333143.1 hypothetical protein [Beihai echinoderm virus 1] -MEIFHGCKMHANRFAILAGCGGQDRLDLVRKGKGRAINQEKFVDNVIMALKCECESWADCTCPALTSSDI -PVVVKKTKFAAGYRARKIKQREFPMRVWNLPNRPMVPFPASLRNFRPVSYPFNPIVRRCVAQGAFNVGLD -NDTKKYIDQKFSQLFECAKTTEIRMTHDFGFSNSGDPEEQSILGSFSKLPPILLHGVVALVSTFRSDFQI -EVVMSQMCHLLIALGLTWGGWGSVKTYCSDLVMYVRSFFVTRVAEGPCDAARAISGLVGIIATIVGLKSE -GVARMNNILKGFAGNVRTFGTLGDFVRGVGPILQSTIEKFLGVDKKEFDTLPILQQWIARTDAAATDDGR -ITLKRIAMVKSLYADKKKVRDAIMGMPLIDTQTRVLRSELFKMFCDNERRVERLMEAVKVAPSTMRAAPL -VVLLYGSTGLGKTTLTRKMIAEVYKHHPDYKDELANKFEDLVYMVIPENVYYDAYYHQPAWIIDDFGQHV -DTEDGESEFMKVLRLGNNAPYVLHSAKVEDKGLQIAMPEILFLNANSNHWDIKSMTERLAFNRRIDLSIH -ISGKPQYMTGGDPSTIDWDRVGTDDPYLFDVSIKGVTIRRKATTKNVMQLVVDEFYGKMDRQSKILASEK -DMLKAPPVTKPHLVAQGKFEDGDRPKYLAKAYLIARHPRLYKLTREYLNAPLSNYKPDDPWGGTSIRTMG -DELPNLQALCDWALCHSDDAEDVELFVLLDEYIGNEDTSLDEGTFASEIDKFEKYLETTPFGKFPTITYH -KLCAGIESAYGEISQYLNTYSTSRTLVQRFEDFVQKLKGRLDTTEGKKMRKLAVTLLEILAVVGAFAGAI -GGVVTISKHVQSRFHTLADDAGGFVRRREADGDDDFEKYPVSKFTELLKLFRADEPSTRPPVRGSSPVDE -LINTENRETALSGGSHVGENLKRVANRLVDQIDPNNRLTEGDPSYDSYRKRAMSKRSMRHAEASIDINAD -ELLSAARKNQYLIFLDDSRSIGMNVLFVYDRFFLAPHHFWTNVRRTKTKKIRFLNPVTGVEFVVQACNIL -TERIKCGNHLRDLGLYYTGKGGPLHKDLRSSFLDEKKVEVFQNHGVLSLLRKVGSQYTPVCLEGNVTGAD -FLDYEDLATGDRYVLRQGFRYPMTTQYGDCGGMLVIKGNYFRGRICGIHVGGSTEQGAQLQFAEPIIASE -IMSAEESIKTQCSLAGNPLKPVIMEDFVAQGALVKINGVEAVTEIQPVPNPTKSKLRPSIIAGVLKETEM -GPARLGKWHDDDGPRDPLREGFAKYQTIPHDVDQTQLDYVTGILLASSPISVAPFVLTIDEAVFGSSRSP -YIGSLTVSTSPGYPYCLRPEGKSGKKHWIDLDTRFIHEELRNDVAKIVDHARMGKRTPIVFVDTLKDEKR -PLAKVKIGKTRVISAANQSFLIAMRMYFGAWIGNELACRITNSSAVGVNPFQEWTQVVRHLQEVGDSYLA -GDFKSFDGGVPSGILWSVLDYINAYYRGSADEERVREVLFSHVVNTNHLLRSDVYRTTHGMPSGTMGTAN -FNTIVNRIYHILAFDALVGGITSFDDNVRLICYGDDVVACVSDNVRDKYNQITISKFFASMGIQYTSDDK -KEFTSKWRKLEEVTFLKRRFVWANAATVVRSPLSFDTIAEMLNWVRAGKTPIESARDNAIMAQYELAMHS -KSVYNRSIRKIVQICREKNVPFKPMKQDDVITMLTELPTLNVFGVATTELPNLVAQGNVDTPNSDQAQGA -HLEGPSLYSDAEQFTNVTQVTAFKDETIPICNADEPLMNAKPLNAPSRAGVDFNQITNIMCRPTRFTTQA -WASTEAQGTNIAEFDPLYSMLSPTYMWHNALDKFAFIRTSIRIRVQVNAPPAAAGALVLFFIPSSINDTD -TATMSDRTHSPYQYTFGDHTILNICSCPSACFDIPYVMPPNMLHTRNGNDFYTTMPGSFGFFYCKVLEPL -TGPTTVMVTIYIEAVEPQLYGPIRPQMVPEKDFPSIMGHVNEPTPNNLRTSMGEVVLSLKTVLRRKTYMG -TIKLTPRVGSIKPNSVEIDPWRFITGDEDENGPYADLYSYWSDMYRYFSGGVELVVVPMVDRSPFIQSAY -THDNIATNIGSSMSSNKVAFTLLVKNDLDSRNRNAINKAGLWGNSNCTYVGVQSNEGVYQLKVPFYNINS -KCIVQGLQFSSKEDLLSDHSPMSCSSTAPLPILQATIINNIDMLENHNISDARRDYISDQEQSFVVYRGV -AEDFHLGFIVPPSPLVIENFPDTSSSLVAQGDFHKEIDEEPTKKPSVTKDQPAAVKHSSVVRSESHLIPR -GLFTTGQVSNVLDKFPIIGQVAGAATKAFSVASGVVKALGFSQPLDERLPNVVRQRPASFMQNADGVSHS -TVLGMSAVNEVAIAPNHYGRHQDEMLINNVACLLGYCGEREWTTSHTVNYQLFKIPLAAVSLALSSNTDK -HRLGTVGSRQVGSRNPKNYFLYPSPIARVGMGFRWWRGGLNFRFMCVKSAFHTGIVRVTYVPFYNEVASG -NVKRHAKQPTNIRHDIGPTLNGGDPDHMFNIVWNIHENSVLEFSVPTLYDTDWLSTQGIRSCFGYIVVTV -VNTLVVSSGGSNAVRILAFCGATRDSEFAVPCNPRLDVYNNEEHKEMPVAVSYSS - ->YP_009330121.1 hypothetical protein 1 [Beihai picorna-like virus 70] -MDSNKQITLYTNLTVCDHCEKGHTTKKAVIQHLANSGCTGSTICYCGQKVSNKNAADHMLQCRFFGPLGC -TLCPDRLFKSLEKANNHAWSAHGGGRWTPIIQETVDFKMQAQVGTRFVQMQIDQLKERARNNAQKRTILK -ELVKESNFAASNSKFSQLVEYFGVDRVIPLFTRTQGRLRDVPLQLADHVITVRVNMMDPDFRTMWTRLGP -VVVFRAQALFGIDINHHTDDALNGLAEQLTTLLRGFNVNMSAIKKITSLSCKLVAMVCAKFKAGVVAPLI -IDALVTSGVSTDLAQEAWNMVKDHFRTVSQLLRGGLFAQAADFDPIASLTTVIAIMGGTMLMKKIPRESE -INECVAGVTKLGGFVRGLTFAWSGLEKLISFVLKKIFEWQTGLPAETKDLEQYMEGIAAWFKEIQEIVGL -ATADEIARDSELCARLESLYRQGLIFSQKAVEFKAPREVLGPFNTHWAVLKNLYEKATASGAFRSGPRIE -PVVIYLHGTSGVGKSGMMWPLATDLLKVDGIPTDSDGKKDPTREIYMRNVEQEYWDGYKNQRVVIYDDFA -QIVDSAGKPNPEFMELIRTGNLAPYPLHMATIEEKSKSYFNSRVIICTSNVDVRQIRPESIACTEAVRRR -FDLVGEVQVKPQYARRGEDGQDYLDRVKVEKLTGSPKPSLDVYKIWLRDPLTGRLACDTALSYQEFSCLA -LRKYRDRFTRSSTMQRFLQEYAELPLMAQALSPSEEERWLTELDTEVKLIELQGMSGWTGQQIEEFMEIY -PDIRDLIHPDTQPALDEFKTLHKTWDTIQVEWSTLISEQEQLWTDDAAQRLKQLVKRDTMLLYSVGDLLE -GIRERVVRFNRKVIERLRAASAGWLDRVKAFCADVAAKVKEHPYITIGLAIVPILLMAVGQYMKGTKIVA -AGPPLDHHHEGLTRGERTLHRHVCLWCEEIFEHTHVIKSVQESVQYPQLCGKCDRAGTVIRYGERNGEPG -FEILRGHKMKFAPFEFATELSGSGDVHTRKKEAMRTELSGSGDAHTKKKETLRTEITGSGDIATKKKQSL -VVEIDDETGDDYEPVITEDKIEAQLLSDPNAFQVSRKILHNMYNLEVKSDGVWKARIKICFIVGRTALTA -GHLAPHLEKAEEVRIWNATVRDGHVFPKSKLKWIKVESKDGTSKDQLLIVFPRSVHDHCDITANIASSAE -LTRFNTVNGCLLTPADGVVMMKYGQVRSSDLVKTYTDKLGTTYKLRSSYQYNLETKDGDCGAILMGVHTG -LARKIIGIHVAGSIGIGMASPLNIDDIKRGLAAVEMDAQVSLNLDALLKPPVAGEKVDLPEGDFVPVGKA -LYKVASPTKTALRESAVYGLITEPTTAPSALKPKMVDGVRVDPMQQGLKKAGRIPPSLDTKRLAIAVNDV -ERIVNTIPEPDHARILTDDEAVAGVEGDAFLAPINRKSSPGFPLTREKKGMPGKMRWLGDAEYRLDPEIK -AEMDRVVENAKNNVRTPTIWTDTLKDERRPLEKVRVAKTRVFAAGPMVYTLVFRKYFLGFAAHCAKNRID -NEISIGTNVYSVDWTRTAERLRSKGDKVIAGDFSNFDGTLVLELLAEIVEIVNKFYNDGEENAQIRRVLW -KEIVNSVHVCEDNVYLWTHSQPSGCPITAILNSLYNSISMRYVWLTVMPAEYRTMKAFNEHVAMVSYGDD -NCVNIADSVIDLFNQLTIAAGYKEMGMTYTDETKSGNMIPYRSISEIGYLKRSFKWDEEEHQFIAPLELS -VVLEMINWVKGDFDREERTIENMETSAFELSLHGREVFEHWIEKYKQATRSFQIRPLFLTYDEYRFVEAK -KYGRLAAACN - ->YP_009330023.1 hypothetical protein [Beihai picorna-like virus 73] -MANITIYTNIRTCECGLRVTSLQALWNHAKSQGKCNAMCECGWVGLPNEHDDCVMTGPWLCECGVKAKSA -ERLRNHKRICRKRPLEAQVSVDEYKLRCRQNNYRRRLTFNLLRNTEWWKPNSLLNQLDLSVWPAFIKTQP -ARARVSFQIQGKRVIVNPLDDGFLELYNNIKDRIDMDAQIGIPVKLSLGGEVDGLLRRINTIIDSVSSSS -IASKMVYFITQLIVLVELRHSPMGMMAWCTGVLAALLPQGAISDFLSWLQPKGLEAQSLTDVNHGTIAAI -MGQLLCASVFGLTLASGQVKTLVNLGNAARAATNMWQFVVILMEKITPKISSWISGVPEGAEEAKRIIDG -MEDWTKDCDSYCNSVFIDSLTSDVVAGLRVEQSVHQGNRLMNEAMNIQDPSLRARVVSVITYYMQDLRKK -YESVLSSGVNRGGPKIEPIMIYLYGKTGVGKSSLATFLALDLLHKPLGGIPKRDGKYDHRSQIYHRQPAQ -EFWDNYHGQPVVICDDAFQQKDSLALPNPELMEVVKMGNTNCFPLHMASLLEKAKTFFTSKAVIYTTNQE -RVGVESLVSGDAVRRRFHLNAEVVIAKEFQKRVNGNVFLDSEKVKEVCKSSSTDPYRFWIKGMDGHLDWS -QHRKVGKEHVPWTYEEFRDKAQQILSDQLNSSVERLKAFDDYAAKLEAQALSGDETEMTNCLQFSWEKKM -RDQQDFLGLLIDRVYGEEGWKLIEDAIAAKDNNALLNILDSKEMEDEAKFMTESLKEVGVNVHQSPMHTC -LTWYVVDQAKDETWRETMMRRLHFEELRDLAASWKTKISNWIRENPMLSAALAILPLIGVMLMYVATGKQ -QETGETELADSGDMKTKKKALRTVELGGSGDNATKLKTKRVELGSSGDVKTKTRSRTVEMRDASEVDVEV -ENGSLESQAQTDANSFELAKKVLNNAYGVRRPGGEILFRITFLKGRTALAMAHCVPVLHGEIQLVNAMNP -QCLNVAADDISVVANSDYDLAILQFPNYIRDHSDISTHICDHQELSKFPEAGIQGAIVMAGEKAQMIKYA -RVYMDTDLHYEDSAHNAKYHLVKNFRYKMEMKKGDCGSLLVAVNTNFKKKILGIHVAGRTGHPYGHAAPV -CARILREMLGHDSLDKDAQVSIDPVVFETQVGSLIKGSNFSIIGTTHVDKASVKTTIKPSVIQEYLPPPV -TKPCKLRAGPNREGKIIDPLMKGLEKAGKATPLIDNDMLKAAVDDVTRMYGKMEGDRRPTTWEEAVAGVE -LDPYAPPIKRSTSSGHPYKYQNKDMSKRALIADDYKLDKKFRKELHEQNQMLKEGRRIPCAFIDTLKDER -RPLAKVDDMKTRVFAAGPANFTVLFRMYFLTFLSACAHFRIENESAVGTNVYSPDWGLIARKLCRKGKTV -VAGDFSNFDGSLNPQILWGVFDVIDGWYGEDNSLERRTLWREIVFSIHSCRGQLYHWTHSQPSGCPATAM -VNTIYNSIAVRLVWLLVVPSKWRNMKSFNEHVSMVAYGDDNVINISDEATDVFNQLTITEGFAQIGMTYT -DEAKTGKIVLGRTLDEVSFLKRGFVLDGFHWKAPLDLDTIDEIPKWIRNSPSDEQATIDNIESAQMEWAL -HGKAVFEQRKKMMDDACASAGISNPMLTYWEVKESLLDQAGLVTAKTEVLEAQIGVEAPLMAAYVLERNL -TGMVWDWIFMSYLKNCKSGRVSFFLVQTLILVARWSINWQSPFTPLSPWMVYEGANWRQHERTGVLDKWR -KVQDSRARFLSEEWRRNPIAECVPLEIQAILPSVFEAQFNSTGQVYRNPRVAMSLQKDMIEQQQITTFRE -ELPDSTARVVAKDPSSLVGLPSESLAHSLVSILGRPVQVHEGIFQDTSIAPTELEFPDVMYATAPNLVDK -LNYFTFLRAKLNVRLVFNATPFQQGRYWMCYSPYDTQSNRGHTGYAQNLTGYPGVEIDLATGQPAEMSVP -FMCPMSHFRLTDGEGRFGKVIIAPIVELHSGTTPDTVPFTVFAWFSDVDLVFPTKDTVDTLEAQMGDEEA -KHAGPLEVISGGVATIAEMASKVPMLSAVATPVGWIARAVQGASAMLGLNKETSKAARTHMVNEPGQGYT -HADGLDDSTVVGLQQDTGLATNFDVFGLEKDEMAIENIKSKMCAVRGVAQVQLIPWTTADLPHAQIFSWQ -NSPSCCQEIGLGSIAPTTLNYLASMFQFWRGGIKYRITVAKTAFHTGRLRISYVPAKSGVVTPSTDEVES -CYNWILDLSKTSELSFEVPYANNVPWCSMAFLQEGDAGWTNENRTGTLIFEVLTPLKSASAAVSDQVQLT -LWHAGGEDMAFAVPQFGQLYPISNPPLEAQIFNESENTGNEGEASSQKMWSSPPMDMISPEENCIGDKVV -NLRAIIKRFGEVFHGKQFPYTNFSGNLTAISGPLNLNDTLYHWTGVEIDPAFFGSRDIQLVTPVTKTCWT -ESHVTLPTTTPLTGSISTSVANLRVADNLPNNNPLHYISYLYRFYRGGKRYKMQTGLWSQPGQSYPNTYS -DVSQGGNWLQTNRVPIVVSRDLLPTSNGDVTIYDPSTRVPTDGAGKFQHQVYSDLRGVVEWEMPYYSRIP -ISLVAEGNVPANQGPLVERNKFVVHRGLTDEDNRTPNWMSFQGPAPYDDLGSPIAFGWNKHFIGSYKLFE -AAADDFSFAFLTGAPTCRLA - ->YP_009330008.1 hypothetical protein [Changjiang crawfish virus 4] -MNIVDLIPVNNRTKSQTGKNAVSNGKQTKSQSEETDPVNIVSNLLKKENNNKKKQKEDFSDEKDKNNFKN -NNRRNKKKEKYNREVELEVENLVVVEDYTGCGQKREFDKVRVLDMCNSIDVQMFSGGSVVYEYVHNNKKY -KTVAHKSENLFSSVEEDVFMYSNGEKVFEDPVYKGNSLPKWFLKITVEELPVILHTLGKLYIEKGFEKIS -KCNNEEDVRKSKRRKHVVMNENMRESYRQHKLVMTAFHERKPETKRLVKEYLYCVFKTQDAEFSVAICSK -KAPHDYVGCQMPQIYVDDFDSKSCLPKREASQIKISSVPNFLFAKAESTSRNNAFTAGSFKKRLREFVQQ -NYEVILWRHYLEKHMPLPETFEKFMSNDYVMWWKNFIPVEFYYLTTTDWHRFYVLLHVRLSNLRKRMYDY -AERYYRISKLSHYETVNLFSKRKEMFSKLMKAPRAQSLFMSGRSHSEVSAIIYEECTNSINVEIDRILKI -RNENETFESQRVDDVEDEFFCIPERDTCSVRQISKKKSVRKICSYVENLRKPTLEKIFLEPEEWDRNEVF -GVWLKRRQDYNQTIYVEDYEPIRVTHFYECDNFESFLLKRKYIENLSCRIFKFRSRKALRLESKPDDSLE -DVDLNELPDYEEMKKRNAVFKKKPKKAKIVRIKDFNLKPKVPCAGNLKADPVTTGFTYANASIHKTFQDP -SRSEVWHHIQEAGGQDIPFSDCVKGKVSLRELLKDEVTCMSSVCDSLGTRSFTDPNYFSTKNAFVASTDN -SGIQRFGFWTTIGLKLGFWLRGLWVCIYPLFFFVTFIYLKLSGLLSSICSFSNFIRNNIGTYMNFMRHYD -SAVDKIERVRELMDVKVGRLLDFDLTPGDRLRLIEMNSLVHIFMNLKNDNKAAALAWSSNFIITRYNNLP -QFLRFLNEYKFEVAGSLAMTMGVVAYNNYCLEIGTYKSLCESYDNGLETVDEFCETNKTEIFETQSGQIA -NFLKPFVSMFVGKEVKKLSVFEIRDLNQQWQLANHMSRHYESVVDNLSVVIAFLLRLIFGYDPSDVMQQD -FVNDMLSHMEYFKNTSFRHIEIKSNRQEMAIVLMKYETAVSLRKNPRFSGVPGHMAIYYDRLLSEFSLFA -KECNTLICYNTIRKEPIVVLFTGPPSTGKSTSCNFLQQALSVMIKKEQYNPTMTYTFNKKSDFWEGYNNN -MFVLMDDLFSSSDVADRRIESLALIGMVNTAPYNLPMAFESKGTMFFESDFIFASTNLANNGIKKAIFEI -GVTDNKAVARRFHWVLHATKKYKGEGVRTLSFRVDAAPETYSRYVGENVSLIEFVKILNEQYKNTEEPHV -LSIEEVCDLLGMDLHIPQNPHDDGFIHDDLDDVEEVEDFEHESKKTEEEEIPAWVRNDENILEGTKTLLT -QRQITFFEKYYKVFIADNSESIFVGAKYAAYLFAILLTAYGAYSLYNIMSPKEMEIESKNKKYAESSAKK -GLTNKMKVAAAKVRKFKRFRQMKHKPIFYGYGKKVSLQSSSDNFEQAALKAAKGTVFLYFCAKDETGNIL -YRETSVGFHLKNGVLMTVAHAILKFEEYEHVELYMKYNNKIHELDVDNILLVEGEDACFLKMPEGINKPP -EMYKYLASREQNYHMHDGMPMMLVTSNEAGQPVFKGVNKISGPDKMCEYCVSGNNIIVESPIYYSGYTAQ -GDSGSMLFMPSIQGSPILVGMHLGCQDVMLTSYRVSLPIWKEYLDQVLTGFETQSCSFPLDVLYEVEYDK -AFHHPKKSKIKRSKLYGIFGPATFVPAHLSEFKNVEGEIVDPALNGLLKFKQEDFQAEIPIQTMSYLMNL -YPRSDFRNLFSYDESVNGVQQIGVPSIKVSTSAGYPYCLNAKKGKSSYIEFDGEKFVIDDTFLEIVKDYE -SELRKGNQIDVIWADVLKDETRTIEKVNAGKTRLFSTCPLHFLFLCRRYFGSFVGEVQRHSVTKPVAVGI -NPHSLDWMRLYHRLASVGDNELQEISIIAGDFESYDTTLCSALGKFFVAFVNKWYDDDDVNKRVRELLFE -HVYNAKHIFGNKVYQLAMGNPSGNPLTAIYNSFCNIIATYTVLVHKMNIREDEIQFTVYGDDNVIAIGRK -GIRCSDLTPHYLSCFGLKYTHFSKVSLENEPHDTLESIRYLGRKFVRTESIMRAPLEERVIMEMVYWIRG -SNAEEEKFLSTIEAYFIEMSHFGEEKFAYYKDLLLKEVKKRVPNLFSTVSRNSKSYWFYHEGMYVNGKQV -KFLWFESKNHAALDLNFDEVFFSSSTFTTHSAKCAVRLESEIHPSKEVKLLCRNIPVSFEVNQNNEEDRE -TNLAVETQEGRIGSFADVSTLNHSMANLGSVLQAPLNFEEYTVDQTLERTYQLATIVWNESQATSVELAN -FIFPKALFAQEFIAQRIKDYYYFKGTIRLSMRLSASKYVYGQLLASANPFPLNSAHDTNVFRSSGLPHVI -LSASESSTVVLDIPFINNKRALIIPNHDGSEMARVKIRVLAPLRNTDGTTASATLTVFAQFLDVKLALPF -SFTPESNSSKEADLKGKMASISSKFESHVSNPLKIMRQKIDKQVSPFLETAEKIGKVAGTIATVASLVGL -NKPVTTDRTSSITVIPDLDMMSGNGISHAVKVGYDVDNGVSTAPICGFEGDEMNLLNIVSVPMISGVFTL -INGTEPLPICPAGPQIDFSGPFKINYVDWVCSQFLYVSGSFKYKIYIAAGLFQAIRLVFYLCPDQYDSTE -WENCYHKVVDVQGDTEVEFKLPYMNPYVAESTRLPTQTPCVWVRILGWSTPNPSVPAPITLTVYKAGDKD -MQFGCPLEKYVKLESNPRADFAQDFEFMDFHMKNYKHQGVLFGETIESIRDLIHRLAPQYTVAPNANFRP -YLTSGVGSTPGNYYHLEMWGVLFKFYRGSIRLAIHGKKNTAVSAMTIKLPSYPGYAEMWLPFAKMSDKNQ -GLMQIEIPWYSDKPYQPTIPSTYNNEGFPVEVRYSSVETAFLFKGAGDDFSFGYLMPPLTAPLPAPSSYG -AQALSAFYGSA - ->YP_009329985.1 hypothetical protein 1 [Hubei picorna-like virus 24] -MPVFSSFYTTKIEKLLVKYKEEVLFKRNHPFLEEWDDWKETVGNYHSIDNSLLSYGINKGDRRFQPLGHL -QSIIDYVYWCHPKIQTVIKEFGFEEAANWVDFDSMVTWPCDCMEKYYRAKQLTETHHCFRGTLIRLSEKD -QFYEDECPYVKEHYLAWLLSLLWANYHSSKLTRRDRRYYRNLERVIISLSYDRLRDFDLNLIKEPPRFYG -RGRNLLKQLYERCYLDKINIEELPFAFENVKHARQQGGTCQSGSAFYPDSIVSHAEAYFKKFDSFPNPKQ -QMFNLNHNVKFTDENFKRIKEIVVDSQEKFVNSLQNAMINSGKKIMVMFMLASTAAIVAKMALFSSVYVL -IKLLNMIYKFLTLQHGFDLPKQQSGSAELSIPFLPALILKYVVNPPSSVLVKLWKSNQTDLVMRRIGYLG -DPKIHKGVESAIDWVSNMVMQTINWYRKSVLGLDPLENIDRTSCAIEEWQEQVDDIVRKYYAGELVFTES -SWSVIMNLYSRGLSFTRQPIYNKFKNDVWKIVVKLGNILEKFSTHIRNGNKVRNPPVTIYISGDSGVGKS -SLTYPLAAEILNSIFQKEGSDIDLSKHWESMIYMRSPEQEFWDGYDNQLVTVFDDFNQLVDSSANPNLEL -FEIIRASNCFPYPLHMASLDQKANTTFNSKIIIVSSNLEIPKTASLNFPNALERRFDICVKVGRKPNYPL -VEGKFDPNVYEMQMYDMTTRKFGEFMSFKDLIYAAVTKYFKNKGFVNSVDEYVKNIFRPQQQGVGNVIGL -LAKRTAETVASAGISMIALKHDIQAAWYGDEYLVLISELKGACDRLKKRKNEIQLWWSEWRQQHPYMFKC -LIFIGTISIALALVKMFIGMTAPAAKKMLTFSQFCRPEGYNPARISVPKFEAYVPPSIKPVKFEGVKPKA -EGVRDVNACEILAKIVRSNMYKMYEVDSNIAIGHVFFLRGKICIMPRHYLDAFKQFVSFNENSSIRFEGV -FLERAFDIRIVDLFDNLKVVDSPPEDIRDPQESKDLMAANIPTAIFHGDATSYFLSIKTLQYVERTHALL -PVLVENDYKKSRRPIIMLHYTEGKSVLRRIEKLPVTDENDVVVRYIRDAWGYSMDTKVTECGAPLIARNP -QLAPGKICGIHIAGIDGAGLGYSTPIYKEDVELILSHFDNKDKVAVKETEFKDYPTQQCQVPDDSVFVRL -GSLDKSIAQPAKSKIGPSLVHGEICEPKTRPCLLREGKVNGELFNPRTYRIGRLGNVPTVLPENLVSSVR -DAFISELKECLYDVNLDNTMKAVYTPEEAILGIDGADYVQSIKRTTSPGYPYVQMNGFETRKKIFGDAEL -IDLTSKPAQIVLSRVKMIIDKAKRNEAIPHYFMDTLKDERKPIHKSHKTRLFSAGPLDYLIACKMYFNGV -IALLQKSRNINHISVGSNPYSEDWGQIVKVLDRKSSCMIAGDFEGFDASQHQRLLEASVEVLIAVSKQFL -GSTEEDVQVMRVLAVGLFNSYHITGREVYRWTHSLPSGHYLTATLNSVFVNLAFSAAWCIANDKVGYKMA -RSFWKQCGIVANGDDHIVSVPRSKISKFNQSLLPTIFSTIGLGYTMEDKDAVATEQFRDISQISYLKRSF -TYWKEADRWLAPLQLDVILETPMWLHRCPDERIQTIANLEWAIRELSLHDDEKWNKWFPIFDSLLSRMGH -ETEFRHKLDVRREVLESKLEM - ->YP_009329822.1 replicative protein [Bivalve RNA virus G5] -MFTWIFDYLAEIYYTTVYGMSSDEYKFMQNFPQLENLYAAVKLIEKFEKPLIDSSAPIANQTLTVHHELN -EYHFQASKLNSRSNVHLVSSLQKRIKEQVEWATHSPARCHTIRTQPVAMYLFGHPGVGKSVATEVLKARI -FRKYLKERGIKYESSSFPRRAENEYWEGYTGQPIVVLDDFGNVKDSQQKPVKEYEELEYMVNTAQFPLKM -AELKSKGVTNFTSEFIIASSNQKFPEIKSLVDPGAVFRRFHVWADVTIDPAYGVPIGKDEHGVAYYTFDK -ATIAKLKNIPVDEVPPLTVEHYRFTCYKVIHNKQTGNAEVHYVPGKSGLGFDDFWDFFVQENERRKTESS -ALADAIRKEAGIEAPQAPQSEQQILDEFDKIFNPEKFVETLANEDTFEVELGEDFFDSEEDPIFGNISYI -FNSRKRFSKLKELFYTHKKACNSHLTKLWTSLRACVSVVSNALLSVAQFILSIFSSIAQKCISYLPSVPT -SKIIAGLCSSALALFGVWYTGIFCSKSSDNSDAWCQFNRAPSDSYMPCEECATCKILQYPKHGSMLEHFL -ERTGIKSVRNDLFEQGMSRETLEDIREEIRVNLPKPQAQRLLGQCPILQLIDQNFTVTTYQEAFQVIGNL -CWFNCSFCDQVANTTYNPLDEEDCIRAANQILHHRPEAQRIYDSQPRTVRPQSYAQRVYESQPNVPRQRP -FAQKVYENNPRVPKTRRLAQGLVECKTEMHIGARKYAQRDRVQIEQTTQVLLNNSVWVQAVDKNGMCCRS -NGVFLVGRTMITTAHTVLNPPQIDPIEYIIIRNPYSTEAAIKIPISECKISQAFQLDGSPVDLALVSFPP -VVPNRPRILSKFLGSEDIDLLKEGDLTFSGFYEVKGKTIVQEKYPSFFSVSTKTTEYFLHEQGTCPKNST -HCKCPIKIGNHIEYDLETLNGMCGALLSISNRLIHTKLVGFHVAGGAGVLALGALTTRQFLEQALSAHVE -KFGIPKSYLIDGRLPYSQSWVDPSCKVSLLDVGDCLNIGNAPSPAAPSKTQLAPSLIFDKVQKHITKPAY -LTPVFVQDEGMVDPMLKGIKKIMGGQTFVDSDLLDAAANDVFQGLGKPPTGKGIVHSYEEAIVGVEGDPY -KRPINRTTSPGYPYNLNNKTKGKTAWLGDGEDYIVDNPELKQDVQDLLNDSRQGIRGSAISIATLKDEKR -PIAKCDAGKTRVFEACPQHLVIAIRQYFLDFAAHVMRNRIDNGIAVGINPYSLEWTKLAHHLQSKGNYLI -AGDFSNFDGSLLMQILIKIVEKINEWYGDDDEAQLIRMALWDHICNADIMVRGEVIRKTHSQPSGNPLTV -IINSLFNGIVMRIAYLMLKKEQGLPVVCDYRKHVAEIIYGDDDIKSVSVEIIDWFNQLTLTDALASFGLT -YTDETKTGNILPFKPLEDVAFLKRKFAIQPDGTFLAPMDLENVLEITNWVRGKALKSATIENCDQTIMEL -SLHPQSVYEYWSARIQEELAVVGLSITVPTYFEQMEVYKYNRDLYSRTEYVPLW - ->YP_009329817.1 replicative protein [Bivalve RNA virus G1] -MMSSLIDETCWFSLALPDLVDDTEDFILHSIMAELENLKFRHYEPTSTLLERSLLKFFSIALKTVSFETV -DVMLDELRKRLPYHRVPTRYNDLLCLCLLVRYPITPTNLRRNTDHLMHEVICTLTCNFGEFRFRMDYYSE -MELFEFSVRWKDPMLEIPDHIPFFKMNALLEGSHNETLQESHLLLSGDVETNPGPVHSKMLPPEEEMERL -RTRIKELERVLKSYRNKDINAENYSQRQFELQKRDYKRRRKANRQQKFEAQGLLNFCSNIGSTAQRLPGA -IDSIGMAFNTITESLESFKSVFEDTSAVDMVSIVLCLVGIAKSISSGNLMYTTIHSVLLARNLNITLSDL -MTLLPSRTDTANEVRFQREEEEEFVAESLVDDLLASAGGINDLYPVTSFLAFVTGVLSLCCSGIMPTPAA -MTKHFTSIGRASLGFKTVRDTFDWLSNYLTEVYYKKVHNMSKEEFEYLSLCPRLAQLQAAVTIIAEMNYK -YIANSEKIANHVLALDRELGALRSQAIQQKNLQCQKYLESLRLQVSEQVKHARSSPALQYSSRLEPYALY -MHGEPGVGKTILTKILICKLYDRYLKNSGLALDLAAHWRMGSCSEFWEGYSGQPILVLDDYGNMVDSPQN -PNHEFKDLQGIVSGCPFSLNMAEAHNKGKFYFTSRYVLASANAQTPDIKSMIDPSSILRRFGMYVTVSID -PAYGKPLGIDATGKQYYKYDPATAADSLHKSTSELEALAMEHYRISLYDVVPDKSQGTVDCRAVSGTKIM -TINEFFDYYTEKMDAHFEYQEKLNSEWRSLAGAAPLEHLADLEEKQTQLDRCFNEERFLRDLSDTPELSE -KMDESEDWTPFGDAYRAARTRLQSFRDRFSSLCSAFNDKLTKSFAKCVEVSKNALHACASFILACFSGAT -NALWKYLPTSKVLVGGLATGGLILYFLGEFFSKSSPCNFISNPSKQLSPCRKCPACELVDFPTYGDMLMY -YMEKLSSHQVISSLESMKVNVSKLMSRVMQIVTSSARVDMYSVTRYQLPLAQAQEWDANTPTPPMAQSKS -WEHARRAPPPRPVAQNGNVNAKCDIIQGSSFTAEGDVTRVQQVTHTLLKNSMWVECVSDKDVSSANGTFI -QGRVMLTTGHTCLQQYNDNPYHTIRLTNPFGTTPSMEVKYSECRIYQMVKADGSPLDLALICFPTAINCR -GTILSKFISAKDLSFVGEGSLVMTVFHMMGGRTVVKESYPSNFRVHTKPIEYNHHSAASCPGKTGKCTHQ -LRISNSIVYESDTEPGMCGGLLSICNRLINNKILGIHVAGGGGAKALGAVVTRELLDANLAKFIHHAHIR -ASDLIDGRDAGTTAQGLYTIREEVPSLVQKGDCVMVGTARAPPMATKTQLRPSAIHGEVIAPISKPAHLT -SCLVDGERINVMEKGIMKVMESRPYLDPDILEIAANDVFAGFRKNHDRGIVHTLAEAICGVDGDPYKRPI -NRTTSAGYPFNTMTLKKGKTEWLGEGDTYVTDHPVVVEYVNNLIQDSKRGIRSPVVSMSTLKDEKRPLYK -VDAGKTRIFQACPMHFVLVMRMYFLDFAAHVMSTRIDNGCAVGINPYSLEWTALAHHLLEKGDNMVAGDF -TNFDGSLHYQILHKICEKINDWYDDGEENALLRFTLWEHIASAEILVGKEVVRLTHSQPSGNPLTVIINS -IFNAIVMRMAYLMLKQEQGMSLTCDYTDHVSEITYGDDDIKSVSHSVLSWFNQQSITRVLKSLGLTYTDE -QKSESEFLSKPLNETSFLKRDFVEQSDGTYLGPMPVENILDMTNWVKGKAIIAATAENCRAALMELGLHP -KPVYDKWSDVIKRACIRHGVPLRVPTYVEQRDEYRVNSLHYAEREYVPNW - ->YP_009315870.1 polymerase polyprotein [Mosquito dicistrovirus] -MDTTQRTLKMASETITQYLQQVDDLCKLKITHPRYTEQLNNGDRLFTELRDKLAFGRGLPYAEAPFGFSF -TEGVEILDKLCERFQNIKYTNGDVVPKLSNHIYKICELFNRDVLTFSYIGPRIDKQLFSYHLNIPIYHFS -EFQTWLNESTAPKDYTNTLLIDIIPIIQSSKLHLYHFFDIVACAKQTLLTTDDFDFISAWLLRTGIESNP -GPFFFNASNARDNRYSAPEPSREEKKRLRKEIRLVKKAYQNARKEKRAQQQEYLEAQLGSENVTIDIPQE -CSKCGKTKCDCMTKRTEIVVKHDYYASLMGLASSVIGLIERILALRAASLNQAQIGFGSLFGAPSIVTNM -DNIATNVNEALSNLPTPQGVGDAIKSAVTQIMERQCGFLPISVQAVFQCLLTLVGLYVLYHLGCISIQVL -TMPLELMFMGVESITDLLRSFRSFAFYTGTRKPTTNTAQLGLEEITKTAEDWSPKALGLLGALISSYCLT -KIPDKNNSPSQWMMRIGLFPKTCSSFMDVVTWVEGVFKQILQYVKIKFFGHDPKEFEDCIPKIKDWMIDV -ETFNHKPAFDVAAQTKDGKFMLAHLYDKGASLMEKYAKALTPELKIFIVQRMREAAKIQTEVETQFPEVK -SVRTVPLALWLVGDSQIGKSRLQYLISMRLALEAGFKDVKSQMYQRCVENVYWDGYNGQMITIYDDFLQM -RDSIGAPNLELFEFIRGVGPFPYPLHMASISAKASTFFTSKVIIASTNNAHANIESITFPAAVWNRLQDF -SYRVSVKEEYLMPAYEERDDKEKDPMHLLLENAGFLARPPQIGRPKLNLKKIRADAPKSSDGTPWEVNPY -IYEFTRFCPHTQRDRGQIEQPIGWDVFIARIIAAMNGKKTDGDGLDKFLDQYSKDFEKGVNIAQIGSEHP -TVEMMKDVHSAQAGTLRERTTMEEFDTFVESLPDTETYGFMSGPTMKVSWMMEKEEIRKKNTLDKVHLDT -YINEILPDATWNILIVRFYNHKCKPKSCVNKYLDIVMNARDKFVSSLPEYARNFLDFITKTTCNLVDGIK -QFFKDKPLFSLLGCFGASMFFKRMSKESPLDVEPETPNTFPESDTRNMQPRVRQRARKANASRARIVVEM -GQSMGQLDVIARVRTNQWNLSMVTEDDKCISLGTITNIKGQVFMMPAHFYVFLKERNPKEVIMVPNDNTK -LRIVKSFDGWFEKDSVVLFTPEKATDDDEPMDLCMFTLSKMPRGKSILHHFASNDDLEKLSGAKFDATLS -GVDMENDMPVSTSMGGKCQIEDIKIVINMPNGFEAFDASHVVKHNIPTKVGDCGKLLTVNSDKIAGRIIG -MHISGSIVPSSNYCQVVSRETIESGLGCLPNYAQIDCGLRDLQPATDPFETALISKGQADFVIPQVSKSS -IVKSALYGTFGPVLTRPAKLRPWKQEIDGAVVLRNPLREGAAKQGRQCGYLTQRVIDEIELSMRSLILPR -TESAPQIRLLTYEESVRGIEGDALFQPINRLTSPGFPYILDPRKRGKKGKTFWMGSNEWDFTSPAALELK -RDVENLESDLLEDRPHEIIWVDTLKDERRSHSKVDAGKTRMISNGPMHYNVLFRKYYMAALAHLRHHRVT -NGIAVGINVWGPEWHSLATYLRGASDEMIDGDMTDFSDRLMDDLTWVNFNLINEIYKVYDADYTDNDYRV -RRRLWEYACCAIRYNQGTIYQTTNGTPAGFVPTAENNSLYGLCAFRASYLYLARKYKPDMEDLKHFEDNV -RVITYGDDNVLAINPKLKEFFNMRNLVEAFDSFGMIYTTADKGTDYDKRKTIKDVSFLKRSFALVKINGQ -TLPRYVCPAPLETRLDMLNWTSDKHVDNLLEQSDTVTDVFKELAMHPQDVFEKWTGEISKKCYEIGINNF -RLLPYSRYLEPFCSGGQFVPRKCDLTFLRQKTEFNKENCTAASGRGVCINTYTLGSPEAAPQNPREIRSS -VLIESSR - ->YP_009315868.1 nonstructural protein [Centovirus AC] -MGNFFISNMDTTQQNLKMASETITQYFKNVDDLCLANTTSLERLARLEIGAKMYNEFCSKFERFHGGEYD -DAKCDYAIGMGIDELNRLCDRVQNISPKLRNHIYKLCELFTEEHLYFYYFGPFMSKRTFSKFLHIPERYI -SQFTNECNYYSHISTQSTMIDRNAILRASNTHFFLFFELVSFAKQTLDSYPDDIDFTKAWLLRTGIEPNP -GPNASGTRNNRYSVPEPSREEKKRLKREIRLVKKAYQNARKDKRVFQHEMNEAQLGAESVSINIPQECAK -CGRCKCDCMVKRSEMLTKCDFYASLMGLASTVLGVIERIVAMRAASLNHAQIGFGTFFSAPAIVTNMDNI -ATNVNEVLNNLPTSQGVGEAMKLAVSQIMEAQCGFLPVSVKAVFQCFLTLVGLFVLYHLGCISIQVLTMP -LELLFMGVESITGVLRSFRDFAFYTGIRKPARPGQHAQLGFDDVTKTAEEWGPKALGLLGALISSYCLTK -IPDKNNSPAQWMMRIGLFPRTCSGFMDVVSWVEGVFKKILQYVKVNFFGHDPQEFEECIPKIKEWMTSVE -TFNHKPAFDVAAQTKDGKFMLAHLYDKGAFLMDKYAKALTPELKMFVVQRMREAAKIQNEVETQFPEIKS -VRTVPTALWMVGDSQIGKSRLQYLISTRLALEAGYTDVKSQMYQRCVENVFWDGYNGQLVCIYDDFLQMK -DSIGAPNLELFEFIRGVGPFPYPLHMANIAAKASTFFTSRIILASTNNAHANIESITFPEAVWNRLQDSS -YRIHVKEEYLMPAYYIYPLPDTEAYAALERAGWRIPIVPGERTKLNLLKTNKDAPKSSDGTPWEVNPYIY -DFQRFCPHTQRDRGYIEQPIGWDTFIARIIASMDGRKADGNNLDKFLDQYAKDFAKGTNIAQVGSVHPTV -ELMKDVHDAQAGSFRSRTTMEEFDTFVESLPDDETYGFMSGPTMKVTWMLEKEEIWKTNTLTKTFLDTYT -NEILPDATWNILIVRFYNHKCKPKSCVNKYLDIVINARNKFIQSLPEYARNFLNFITETTCNLVNGVKQF -FKDKPLFSLLGCFGASMFFKKMSAGVPSDVDSDIPETFPESDTRNMQPKMRQRARKANASRARIVVEMGQ -SMGQLDVIARVRTNQWNLSMVTEDDQCISLGTITNIKGQVFMMPAHFYVFLKERNPKEVIMVPSDNRNMR -IVKSFDGWFEKDSVVLFTPEKPDDDAEPMDLCMFTLSKMPRGRSILHHFATNDDLEKLSGAKFDGTLSGV -DMENDMPVSVSASGKCLVEDIKIVINMPNGFESFDASHVIKHDIRTKVGDCGKVMTVNSDKLAGRIVGIH -ISGSVLPSSNYCQVVSRESIEAGLKCLPNYAQIDCGLRNLRPATNPFETALISRGQADFVIPQVSKSSIV -KSALYGTFGPVLTRPAKLRPWKQEIDGAVVLRDPLREGAAKQGRQCGYLTQRVIDEIELSMRSLILPRIE -RAPQIRLLTYEESVRGIEGDMLFQPINRLTSPGFPYVLDPRKRGKKGKTFWMGSDAWDFTSPAALELKRD -VENLEKDLLEDRPHEIIWVDTLKDERRSHSKVDAGKTRMISNGPMHYNVLFRKYYMAALAHLRHHRVTNG -IAVGINVWGPEWHSLATYLRGASDEMIDGDMTDFSDRLMDDLTWVNFNLINEIYKVYDADYTDNDRKVRR -RLWEYACCAIRYNQGTIYQTTNGTPAGFVPTAENNSLYGLCAFRASYLYLARKYKPDMEDLKHFEENVRV -ITYGDDNVLAINPKLKEFYNMRNLVEAFDSFGMIYTTADKGTDYDKRKTIKDVSFLKRSFALVKINGQTL -PRYVCPAPLETRLDMLNWTSDKHIDNLLEQSDTVTDVFKELAMHPQDVFEKWTGEISKKCYELGINNFRL -LPYSRYLEPFCSGGQFAPRKCDLAVLRQGINGTAAGGRKHQGECINTYILESPEAAPLKFKEHHTNASVL -DESPH - ->YP_009252204.1 non-structural polyprotein [Anopheles C virus] -MEGDNGKSSGANCPYQRYMRNLLDAFGDIEYEYLSDDEVFTLVHKRLTTAMQLAMEKNDRLYKIPPNFMD -LNEYLKGRLASYPLSELEEKWDRLYFTEHEVESSPKDDSDTDEDVLFSDEETRRIWIKYLYFPKESFDNY -VEHCFQELYENNGVNYDTLSWKLYLNYQIARNATLEGADTIGDILINAARKEAKSRDFGSVRDNLLSGEK -IPDPNDIDIRFLLELCGDVELNPGPVQSNIYNARFLDNRGCELVEKKSRKNRKPRETKKSGCEIDQDELR -RELRERIRKLAREIKAEAYAQGGFLSAPAKAVTIGQDLNANLDKVCSFLEDALPGMVEHVTLVAQNTSAS -AKVLSDELIKSMLCIVLICLLIETKFYKTAFAVLIVVALRVFGYSEQIIETAMDMYRVIRAPKAQGNMED -VVFHPWLNTCGKLIFLLIAVLCLKKLPGKNDVDTFMRRLDSLPKAVKGATQLHEWVSKYFDLSLDHVKAM -IVGKSCAEMKKAESSSAKVLAWAARVQDFVRLEQRSKIDSDIAVANEAEALYHTGLQFAGDTLLPPELHK -VVNSALRPARDIYEYVTRSPIKGGSRKMRPLMIWLAGQSGIGKTSMVDPLCIDLLRAMGYVGPEHLHSLV -YGRQVETEYWDGYKAHKIVIYDDAFQLKDDAVNRNLEVFEVIRSCNTYPQHLHMACLSDKNTFSVAEVYI -YTTNEMNVKLESLTHEQAFYNRMSENAFTVRPKEAYRLVEEGSTGNKQYRLDKTKTKGAIDLDVYEFVRM -QRINDHPSGWKATDEVYGYAEFSKLMCAEWKRRKTEHQNTVDFLKKYAERPFETNPGPVEDIPIRHDDVE -QGVEAQMGRDADWFNNDIAERIARGQDITDILYEYAEDDELHEDYMAYKKQQAQPSKWDKYARRLESAIT -EGKNFLARVVSKIASVIRENPYLTMMATVGSVLALYGAMRWFSKGVTETFDAEEVTIPNETKVENVVRTE -GFESYDHRTPRAHRANRQYVRAEAMIDETGYLVANNKVTGNTYRMCIKRDPDDLVVGNAVFITGWTLLIP -YHFVCGLAGRRIAADSIVTLSKPGLDKIIEFPLSRIFRYDTSPDGFTTSEYCARMEHEDGELVDAILVNL -HGLGVRIHPDLRGKIVTVRDQAHLSTTFHAILTTMSRKPPLTTSQQVVKGVKPMDKILHINLPVGDKTTQ -YTQRDCYKYYSVTVVGDCGALLVAQNHAIVRKIFAMHIAGAEENGYACPINQEMLARGFKKFALLEARIT -AQMSFEAPKDAIEGPCQTPEGLFAPIGKAPIGVGMSTKTAIRPSRLYGRITKPTTAPSYLGKDALYRGLT -KCGVRTVNIQPEYIDAAANDVARYVLNQHVGHVDRERYTRILSYEEAVKGVPYDDFMKSVTRVTSPGYPY -CLDTGNMPGKSKWMGLEQDFDMTSPAALALRKDVESLLEDCKNGLVRDVVFVDTLKDERRELIKVEAKKT -RVFSAGPQHFVIAFRQYFLPFSAWVMHNRIENEVAVGTNPFSMDWHNIAVRMRSKGRHIIAGDFSNFDGS -LNAQVLWTIFWKIFVPWLNDIEPLGTPKNEENLRVCTSLWTHLVHSVHICGDNLYMWTHSQPSGNPFTVI -INSLYNSVIMRVVWQYIMAKEEPKLRTMNHFNQHVAMVSYGDDNLLNISEGVIDIFNQLTISEAMRWIGH -EYTDETKTGEAAPYRTLEEVRFLKRGFRMDHLLCRWVAPLKKDVIYEMLNWTRKGINPDDVTMMIIDTAF -REISYHGREAFEKLRGQILEQRDVLVEYPQILTFEEYLFDISANEGDGQAYN - ->YP_009221981.1 nonstructural polyprotein [Goose dicistrovirus] -MNTTQQNKLTIPNLMTTNAFLSIQALCKHVIKTKKEFKANDLDIQQLIRFGILKKDEVDKVKTVKVFDAT -HPIVLKYRFVEPFKRSYTFSAPTMILAQYFIEQDEVNAVFDYWLGHYSRIFSFDNRKFWRNLLLKFEGSR -YWYKIFWELYKDCIFEDGDFHSFRNVNRRISSMKINITPRWYDHLVKVGFPSFYDMIFHDKHILINTLMQ -CGDVELNPGPVMSRFMRYNNSSINKKHEAQGIVDETRRLNDFLTTQLPTVIDSIKNLIDNNEMSIKRNIF -FADAKIKEDLKMLNENTGNTLDKIRNMQSSVLKTLVLISIIGIMTHLKWYKTAMLTGIITLFSLFGIPEQ -LVNQIKKFFGHEAQIFTTGESLGALIGSIICYFIIGKLPKDSTIENFSKKTNNISRGLSGMININRDIGK -IWKQVQEFVIKQVDPNPEGFLSMEDEMIQWMKDIEHYIDIIVKKKATIINEQIIKISGLLKQGLHLRHWA -FTNKCSPDVCRNISNYIRTAEQLYNYADKNNTLDGGQRQRPLCIVLFGESQIGKSGLIYPLAQDLCFAAG -YRKSSDIDEQIYARQPETEFWDGYKGQFIVVRDDCLAAVDDVSNPNPELHETIREMNDFPYHLHMAALED -KNSFYTSKVGIMTINDINAPIKSLTYPEAFYNRISDHMYQVTPAEQFRKVLKLEGNNERILLDLDKVRQR -LDELSAEAGYRVPITTEIYNFVKYKKVVIAGKTQFKPDPSAKILNYDEFSSLMCAQLQQRQDDFTVKKEF -MEKRLKKMVAEVEGEEDFFDCYVDLTNIISERICNGESLLDIECDLLNSDRADEYLAFKNKSNEVQNSFT -NKLLYYSETMFDSAVSSIKSWFNKCKQLCQTILDKYPALKYIFMLGTVAVSMFAIYKCFIQEEKIPHFVT -GDVITAEEYDRNSKWIDQQKNLSFSERMSYWKTNLLMANPNEREIILKNTEGVPFHVNEAMQSPSNGKTK -NLQKHIIEAMQSPSNGKDKNLVKHKVETGAFHESEGSVDVNAVETAFTIMKNNLYSITYVNDKGKDITLG -NAIALQGHNYLIPYHFVKYLLLRKAPLSTKLNLSRINYAEKVYNNMSTFELRELVNADGSLNRAIQIKYG -EHELDAIIFNVSTHGNSVLHRSILKHFIEKDELGRLRGNMQGLLLSYHNDNGQVAKVIKSLYDVHNYEQE -LRISVENESYIHRAGYLYNGDTMKGDCGGPLIIKSNSLTRKIVGIHISGSAGEGYSAKLYQELLQQHIDE -LSRKVEDTHRVHCFLHIDENMLKDDSVTLPEGVFNEIGTLKVPLYQASRSVLKPSLIYGEISEPITKPAH -LRPFEKDGVLIDPAYKGLEKCGGVTPLIDSNYCKMARNYVQHKLFIDHKHVGYETYARVLTYEESIMGTE -DLYMSAVCRSTSPGYPFNSDIKYKTSKPGKQQWMGSGETFDFTSSYALQLRNIVQELEDNCEKGIITGVV -CADTMKDERRPIKKVDEGKTRMFSACPMHFVVLFRKYYLGFAAFIMHNRNKNGIAVGTNPYNEDWDQIVR -QISIKGKRVLAGDFSNYDGSLNTQVLWLVYEIIENFYKQYDKNYSDKDAKIRYSLWLHIVNSVHVYGDTL -YQWTHSQPSGNPFTVIINSVYNLLILVIGYLVAIKNSNLDNKQKVCLLNTMSFDKHVSPIVYGDDNILNI -SDYISDVFNQQTLTDALKFVGHEYTEETKDGKMHLYRNVDEISFLKRRFVFDNDTLHYVAPLDKSVIYEM -LNWVRGNSVDSVFLLKSNIETALREISLHGEKEFDEFVFRLCANDKVMHLVQPYIPTYAEVRCAIENMDA -MGGFAA - ->YP_008888535.1 non-structural polyprotein [Formica exsecta virus 1] -MFTSQQTNNKITKQPAPLSYLSSMRAITMDQDEFFSLKDVERAQILKMCYVDRFAFHMLHEDVNCIGFTL -SIYDKDVRTEGLEYWDVNCEYHENLYDALIDSEFSQTWFTLLLKYIEYVPPQLNWRRMPIFPTICVSKHW -WYELYRIGFLNKLYKCGTWEQILLLLSGDIESNPGPTYIDYKETCRRQHKRKRVSRSYEEIKMQQHIDRV -INDEKRSHETKPRKLKNIIEVEMQMLNLIPAAMSAVPALSAAYLGNKTRKTMDKGSEMLSRVNDNLLPQL -EETLAGFRATYAKCESAFFGTINVLDLCSDIVSALLQVSFARPNMKLASIAVECFRLIKKYVGSFKVNTD -IIKDLISVVPSAPVILPQKDVTMQIDLEDINFLLQPNIIVSAIFLILSVVFTKSLPSKTGMESMIKRVGD -LGRAAKGVSDLNSVLNNSITVMLEHFGVNTLGLKQEAELQITVNGYKTWCDEVRALVGHKIRVDGSFDSK -SIVENIMRDIHEIARVEQMYKRGMEISRDIAELRLPSKLTISFNTHMRYLTEVYKAVDTSGAFGNKPRTQ -PVVIWLFGESGVGKSGMSWPLAVDLNNSLVDSVEEARNFSKNIYMRNVEQEFWDNYQGQNVVIYDDFGQL -RDSSANPNMEFMELIRTANIAPYPLHMAHLEDKRKTKFTSKVILMTSNVFEQSVNSLTFPDAFRRRVDLC -AEVRNKDEFTKEGFSKLKNSTVRRLDRDLVQKKTGEIVSTSPYLIDLVNPETGDKIACDVEYEQFLDMCL -EKTKECRQQSAGMNEFLMDYAERRINRPKQIHDVLPEMQFEDAMDRPLDVTMQADVDPTLIPIENSRLQD -LIASCSDTIIYSYNGVATKASEIAFHLASLDYNEQLDKIKNMKYYEKVETGVTYLKNVLKSGLNVCKDWI -SECVEYAKNHPWTTACAILGSLLGILTIVGFWSWLCGDPKKRPEKHYFVHVGGLVLVIPLRTDINCGWEL -EESLDLTKMKVQDVEDHLLTLLKPRHRVVLVPKVTKYILNLTLNHAKLTDKIILVTKNRYLTYQGRNIEL -IDGELNDFSLDEMEKGTSVEAFASADVNTYKQRTPIVMEAQSSGDCVTLKQQKPIVVEASSSGDCVTKAK -PQSRVIEAFASSDALTMRKPTSKFVESDGYDTVDVSMQMWKDQVAQRLITNRILTNLYKICLVRDDGRVT -PLTNGLFVRSNLMLIPGHLTGFIQEDDVIEIRNLFDVVFRVPWQDVQQIPIVNALNESKEAAILSFPKHV -CQHSDIVKHFQNAESMSKFKRCEVTLPVLRYSEKLKCLVSTLIECNKVEAYDRPYTLNDKTKGQYILRQG -LEYTMPTTNGDCGAPLIINETQVLRKIAGIHVAGDAAGKAYAESITQKDLERAFSKLDVSMQIQLDLDST -LDFSKPEPLLPTDTEFGPEDLTFCDLPALKMIPVGKIPDPLFEPGKTDIRPSLVHGRISDIKTKPAYLRN -VYADGEFINMKHRNLMKCAMDTPYIKKELVEEAYQLTKAVWLKGMRDELKKVLTYEEAIIGSEVSEFISS -INRSSSPGYPWIKDRQRGTKGKQGWFGSDVNYEINSEVEQACLRRINAAREGKRLPVMWVDTLKDERRPI -EKVNQLKTRVFSNGPMDFSIVFRMYYLGFIAHLMENRITNEVSIGTNVYSQDWSKTVRRLKKFGDKVIAG -DFSTFDGSLNVCIMEKFADLANEFYDDGPENALIRHVLLMDVYNSVHICNDSVYMMTHSQPSGNPATTPL -NCFINSMGLRMCFSICAKEAAVAMTMKDFSKHVSIVSYGDDNVINFSDEVSEWYNMYTIADAFKTLGFTY -TDELKGVGGFVPKWRTIEDVQYLKRRFRYDTKRRVWEAPLCMDTILEMPNWCRGGLDIQEGTKLNCENAI -MELSMHEEEVFNMWSKKICKAYENATGDCLDINTYRGYAQERFLEYYM - ->YP_004935381.1 unnamed protein product [Halastavi arva RNA virus] -MTNTTTENTYRKTDQCYPYHRRRRQRFRSEPTHRTNYRGTDVTVHQNVSRTRHLLQSCSCQHFATKHSFD -NFNVIDYDQSTRIQLGSSTVWLPALESASFNGSYTTRRCSVAAFRNKYGLSAADLWDKLHFRCTNIEKCN -FSTKDIEKAYAHANRLEHHRIFATFEDCAVHSFTSERPILAEADLSAERLVNRTHLFSNRLATLAKQGTS -ALFEHSGSQIRKTYRFNDRVFTLIFSVKDHWTLKYIKLLRPLEIKSQFGIDLNINHNLPDFSSLFESFGT -SGAAAEVIKKLGSITGLLANISILLAQPSTFIACASITAIAANFSSLFASTIAAFLSQPMAQSSFTWVPH -AVGLILLTILGVNKLSAVAGFAILQRITGLGTTLSGVGTVTRIIKDAWKDLFPFIYEKIYGTPPDFDEAL -LELDEFDQLTRAVEDFEKTESYKLIDTNRDICAHVRAMDETLKRVYVTADRRRLRDKFSPAIRVVSKRVE -AWMEAVRNSPHKDVGIRVEPVVIYMYGASNCGKSLATTLLITDIIKDHPDVVTQPNVDIANFIYTRNPAL -EYWEGFTNAILAVIYDDFLQRSDSVANPNPEVLEMFRIKNSAAFQVPKATLSEKKNSYFKAPLVVCTSNQ -PIIDAKSITCGDALKRRIDIHVKVERDPTIIVGAEVNTECYTFTLVKDDQLTDIKLTYSQLVTLARMKMH -AQGQKVVGLAASLNRVRTAPIIDVPLPEHHDMMSFIQNPAFTRNADQVKTTIIGSATEAVPRMAEAHSLL -DGLFSPTQSTAMVPYTMEPTPHLSSLERAMLLETLSGQHGPTGLVLDIPSIIKMDLVSCLTPEAKLVFDT -IGGKHGLGLYDPWLADHLRNSTAPLEITPENVEKFRKATYTKMCYKQRTELMEAHFSYYDGHNDSLHPEL -TTGQPSVDPFEFARAQACATFAGRMRELMYKAQDAGGWIWEYVIKSSMKYLWDGVTQPHAGMFQKIVAGY -AFFAVFTAIKIAIYKLAHNYGYISADRYNALFGILPEEEQRKLPSAESYTEVVRCVENNVLPTSIATEMS -DAIRKKIASESWTHAGKTPAMRTQTTIPRAPKAEAVTDANCKDVSSKVSDNLAMFYIGNGVSIGQHCAIG -LFVKDQSCLMNKHSLDQLLNTSSPVFATFPGTYTPVEIVMKDVAYARHPDHDIAMVRFPKLRAHVDIVHQ -FCTDEDLNFDRAVFKILSRDKKMHPAVIVKGKKMTEAVHSTFGDQIIPITGGFEYEHAETTFGDCGSPVL -VVNCMIARKILGIHGAGVATTGFAIAVTRSMVDYLLENFPKSHSLVPPEVTTLHYRQTYLEGNFEYLGTV -PPPFDPVRTDVTNAEIFGVFEPTTAPAILKPVHGICPLIRGVEGMGKPKPILSESFLAEVHEVLVALTVP -GEAAIARVLTIEEAIFGIPNVLKPIELATSPGYPWCAMQRPVLAPGKTAWIYAETQRIHPDLREAITKRI -QQFKDGLIEPPIFKASLKDERRSLKRCDYSKPEDMKTRVFCASPMDFLIVIRMYYGAYFQHIINHRIKNW -STVGINPDSAEWDQLVRHLHHLNTNVKLGDYVGFDSSQSPSFIDAALRPADDFYELHGNNNTEDRYIREG -IAQGIKFPTLLVKGDLYRLDGVNPSGVFGTTQINNNVNAAAFYYAWKKICGTGPSDFIKNVRMGLYGDDN -IFTVSHAFPDFTSTNVAHALKDVGMTYTAIDKSFDFKEDGLITDASFLKRGFVFIDGHWRAPLDIKTCRE -MANYTRKSMDNVTATLVNCRTAAIELAYTDPTGKTTEQIEKALSAVGLYERLPRLPEVLINSNKNF - ->YP_004063985.1 non-structural polyprotein [Mud crab virus] -MIINSVYVLWTSSTDHFIYSELVKVSYDVIHSLLMVPLIYFSSCLVVFFFLTLQAPHLYIYFKVIFVMEF -TTYDQTSVESQVSLPHGCQFVYPDRLIRTTPPMLLNTWTKTPKYSSVTLAPAYKVGRRRLPSHKPVYRHA -TIVNLEHQVFDRELPFSASPPAKHFLKKDVYDPYHLDSVDHVPSVSMLKNEHCEPSADDIVGLEQYMKCP -FSYLLDTWCGTCCKYANCFKSKIADGPGQCSDCLASFPLVTNCPLPFPKTEFGCVTGTQLHYTGFADVFR -CKECNVLLGMWAQDDDPVCEHSRFSPACSGSATCDDSFCCDRRCFAVPLDQTPFCYCCGNTGYCKAACKK -FQEHKRWVYSQSPLKFLQQCVSDKILPNFKFAELNDDDGVVYSTITCGDKTVVAAGLTKRSARREAAWRY -LLFHPIYPEVHMFALGTLPAQASETLAMADATLGHVNTFLDKHDTALTHLTENVNVKLTDASRDFGGLLP -KMKDTMDDVSSTLSSFKALLDKISSWLPAANCDVISLVKDVFVSLFFAMTTKSITPLIHGLVSYSLRTSF -FSVHLSALTEWLSTCSFGEPLPDEPEAHGFFEDMMERAPTLDGVRKSAASVYDSVGTGMCIALSGILSFV -AIVCLGITDLSTASFNKLLTQSSLVGRALVGVRSFKDVFFGIWDWVDNQVCKVLYGSDRKTLDITKNYPL -LTPLLTTFSYFHESQDANELLGCNSSVCELLVKADNLYANYVDKALTLRHHDIVARLKESRLLVKTKIEK -ANLYLSCGDGARIPPTIIYLMGGAGCGKTELSSLLQKYLSNKYYPDLNQKDAVYSRKSENEFWDGVRHSH -GIVVYDDILQVVDSAQKPNTEIFEIIRLGNSDSFQVHIADVKGKSDTYIAPSFVIATSNVDPFTFEPKSI -HSVDAFVRRLNIVVEVDIDDNFAKYVQGAHGRRKIASEAKIWHYQNPGKTNRDLREAVENGTYALKTETA -VYKLHVTTTLAGRTQRDTYTYEEFLRLIDQCRTLCVGAHSDKTPSAMPAMPDKLQELDAELTPETHGKND -PTYRVFSTTWLGPYDNPIAACAYLNATLPARFCTYNAQFADCYVSDAIIDDCLHRYYSEEDFDVTSFLED -IIGVPWPSEEFVQQLRECHYVNKSLWSKCGERVGKVVSSLKGAWTNVCEFLKANWLPISSLIGAGLLIGG -ATSIYMCAKNCNVMSLLSEGGSLLQLVGTRACYLSCDLCNRIRKADLTLRIRNRSDGTIILCPDDVRRVA -RHIVSSAEVCKIPVHPTFILSLCEEKYVVQDEGNDVVTMLESHQDYAIKKPLVESHQDAKLIKPIVESHQ -EVKLIKPLVESHQDVKLVRPVIESHQDGKIVKPLVESHQDVKIKNPIVEICEVESHQDLRAKQIHVEGNF -QSGYSIDWTDIIAESSNDHNAQDISKSILSKNLVRIMKPDSSYYTHGLFVKGRMLLMPKHMYDVLDGYVD -IVSIADVNCTRVPVPIVSSTPLYRGGVEIDVVICEMGPSTQARRDITSYFPRKSELSSLSGLLDHGDLRS -FTTEFYTFKKKAPLLIPKNSSVRYVKSIDKIVSRNEQQDAYHIREGFECMGHTSRGDCCSPYILFNPSSR -AKIMGLHCAGFAGTTRIFAQIVTQEDIATASPQTHAGMVSTEYPLTSTVISPLPNTLVVGSVRAAPPPTK -SDIIESPIHGCFPVLTAPAKLYVPGENLMIFNALKVTKNVVCLREDLIDLCVHDVKRVLNTPGVSDAVPR -ILSHEESITGIEGRQYMNALNRSTSAGFPYSLRKAKGKPGKQTWLGSDEFIVDHPELKEHVELIISKARK -GIVDTELGVFTATLKDERRPLAKVEANKTRVFAATNQALALAQRRYFLSYLEHVMLNRVDNEIGLGVNVY -SYDWSRIVNKLHHVGSKVIAGDFSNFDGSLNSQLLARVAEIVTDWYDDDEENGLLRHTLTEYLFNACLLI -DNTVVQLNHSQPSGNPLTTLINCMYNMLIFRYVYLLAHEENGFPLSLANFSGNLAAVFYGDDSLCCVSDK -VCEWFNQHSITRLMAVTGHVYTDETKSGSPPPYRDISEVTFLKREFVKRDSLWIAPLSKNTIEDMCMWSK -KNIESQEALRQTTRVASFEASLHGRKYHEQFCGVIRKASRKAGYSESCLHFAECNGFLLAQQERGGAHDS -ELLSLLLSE - ->YP_001040002.1 polymerase polyprotein [Israeli acute paralysis virus] -MWTKIDFFILHQDINCTGFTLTIVDKDERTKDLEYFDLNCEYYENLYDALMDSEFSKTWFTLLLKYIDYA -PISTNSRGMPRPETICVSKHWWYELYRIGFLNKLYRCGDWDSILLLLSGDIEENPGPVDISYKESCRQKR -MKKCVSKTYEEIKMQQHIDRINNEEKRAHMTKPRKLKDLIEVEMQGMFNWTEEREILKSTAFKFNHSMDR -ANHIMDNLIPQLEDTLSGFRQTYAKCEAKFFGSISVIDVCIDIISALLQVSFAKPGLKLASIAVEVFRLI -KKYVSGIKINTDKIKELLTFGKQALSSSNPIIQVSMQMDMPEVDPSILLQPGVIVSAIFLVLSVVFTKTL -PSKTGVEAMLKRTGELGRSAKGIMDLNTVLNTSVTSMLEYFGVHTLGLRQEAELQVLVEGYKTWCDEVAA -LVGHKINMDGTLDGRSIVEDIMRDTKEIQRVENLYKRGLEISRNIADMKLPTKLTISFNTHMRYLTEVFK -AVDTSGAFGNKPRTQPVVIWLYGESGVGKSGMTWPLAVDLNNSLVDNVEEMRNFSKNIYMRNVEQEFWDN -YQGQNVVVYDDFGQMRDSTSNPNPEFMELIRTANIAPYPLHMAHLEDKRKTKFTSKVIIMTSNVFEQSVN -SLTFPDAFRRRVDLCAEVRNKVEFTKEGYSKTKGCMVRRLDKDLVKKKTGDICSTECYLVDLVNPETGEK -YQTDLEYEDFLDMCLEKTQECRDNSAKLNEFLMNYAESRSKRPEQKHDKCPELEFEDAIEVSMQVDADSS -LVPIEKSRLQEMIESCQGVVYDACGKTVYISKLAFELAPLEYEKQMERISGMKFYQKMASGVVYLRQVRD -TSMKMLNSWMEECIQYAKDHPWTIVAGVLGTFIGILTIVGFWKWLCSDGQKKKQAIKRHFINTGYTLIIP -ERELNKFWELDETLDLRGMPVNQIEEHLALLLKPRHRVVLVPKATKYIISLVDNHAKLTDKIILITANRY -VFYKNQNYELVFGELNQFFEKDPESLVNTPKVEAFASADLSTYKNRTPIVIEAQTSGDNVTLKQQKVKVI -EAKTSTDEVTLKQQKPIVIEAQSSGDCVTRKQRVQRVIEAFASSDAVTLKKSTAKFVESDPNDIVDVTMQ -MWKDQVAQRLITNRVLTNLYKVCLVHNDDRITPLLNGLFVRSNLMLVPGHLLGFIAEYDTIEIRNLFDVV -FRVPWKDVKKVPIVNAFGESKEAALLAFPKFVCQHSDLVKHFQNAESMSKFKRCEVTLPVLRFSEKVGKF -LSTLIECDRVEAYDRPYTLNDSQKGQYILRQGLEYMMPTTNGDCGSPLIINETQVLRKIAGIHVAGATTG -KAYAESITQKDLERAFIKIDVSMQIQLDLDSTLDFSKPEPKLPSGTEFGPEDLSFCDLPALKMLPVGKLS -ESLFEPGKTDIRPSLVHGQISDIKTKPAYLRNVIKDGDFVNMKHKNLMKCAMDTPYIDKDMIDEAYQLTK -SVWLKGMRDELKKVLTYEEAICGSEVSEYISSINRSSSPGYPWIKDRTKGTKGKQGWFGSEGEYILNEDV -RLAVQRRIQAAREGKRLPVMWVDTLKDERRPIEKVNQLKTRVFSNGPMDFSIAFRMYYLGFIAHLMENRI -TNEVSIGTNVYSQDWSKTVRKLTKFGNKVIAGDFSTFDGSLNVCIMEKFADLANEFYDDGKENNLIRHVL -LMDVYNSVHICNDSVYMMTHSQPSGNPATTPLNCFINSMGLRMCFAICVKNAGIKMTMRDFGKHVSMVSY -GDDNVINFSDEVCEWYNMETIAKAFETLGFTYTDELKGVNGEVPKWRSIKDVQYLKRKFRYDEQRKVWEA -PLCMDTILEMPNWCRGGLDIQEGTKLNCENAIMELSMHEESVFNTWSKIIDRVYANATGDHLDINTYRGY -AQERFLEYYM - ->YP_610950.1 nonstructural protein precursor [Homalodisca coagulata virus 1] -MPKTQQRETVETQTPQQRIRTPLRTEQTETTLATPVCSSLSGTDASPAITVLSTNMTSLLNEIYSNTYSV -TLKDFRRALHRWTNKTQIQFRHSPPSKDRAALCLLQIYWWLQQHQDSYFSISLEQLADDCFKVLELQYRP -YYDKVVYGSIDNALWTEISWQDLLLKVVSHKLEYLSLSQVLGNRILRRKFNIRSHMLRMLLSAIRMDLER -ELKLEGKVQQRIDQVKAENAEDWREYDRGGPVPSRDRDTYCQILLENELERLMQSTWTRDLLTNEWIQAE -VQGLFDIKIVPDEAAFMEVFTSIKDYISEQFGASLRFAKDLLVNIVVLIILWNLVSLLWNKAYDVKYIGV -VLTLLSGLVAVICAAGVAAVIGRILMQILDLFKTPQTLPAPFDNWRQEDQENEAWARWHQRNSQQTFIHP -SLLENTGNNHWIEAEAQAGEPKQSKVLALLSLATISLVTSKIKDSWSLDYFTKQIALLPRFTTGVTCLLD -SAQAVFKIVHKEILVDIFGYEPLTEEGEHPMIDDFNTLMQQIIDADQRNEIQTSTIYQSLVLQAEQLGLR -ILQTSGLGEYRAVVSQQYAILRRLHDRLGLRGLNAKGQRMAPIIIQLYGETGQGKSTIVTTLALKLLSKI -CDAEGIDKAVINPDNLIYARNVEQEFWDGYHGQLVSVFDDFAQHQDFAQVPNPELFEIIRAGNTFPYPLH -MADIADKNTTTFQSRIVILTTNQKKPKVESLVAPEAFYRRIDQSYEVSFKPEVLTRQSKTVETIEESARG -NLTTYSYSLKPEHRQEYSPEIQQFQQFDINTERKIGNPISLDELVDNAFRQYNARFNFETTRKMHDDQLA -RQLGFIRAQPQIGWFAPLHYIRPAQPREDXDVERRNLAQEFHASFQNYKIKLQQIKENLKRKMEEHKVVL -KALGVVAMAVGVYKIGSALAGLVSKRDTQPKVSASAQSPYAPRPVALRQSAYHPTTRATKTTINKIVKAT -GQAADNSARDIITSVMNRGMYSLSVDSRVLGSATFLTGKILMFPRHFISFMAHQAEANPNSKVTLKSHNT -QYEMLTKDVLLEVENIFDDDNLPLDSDGNPDQTWTHDCVAVVFKTADNHKDRTDQFLSREEQSKLDKVDV -ILANIHEKDNNITHTTSCVSASGVQRVTPGAGPPVYGEYEIGDTQYRTYTRDYWRYALNTTYGDCGGLIF -LNNKMSHRKILGMHVMGLSQLDSGFALSVYREDVQAYVRLVRQDDPSLVTPCAEPIITTAVQCLPFAGDF -HPLGKSPFPSTNPGKSKIEKSELHPDNCAVAWREPVSHPALLKPITVRPEDGIPFPSGTTFDPLHYRLEK -CGQRAKCLDQQLLDIVRRSYTTELRQILQTHKHPEYKSAYTFDEAVLGLPGDPYVNSINRASAPGYGWTK -DPGFPGKKTWFGRDEEFDLSRAGPVRERCAKIIDLARNNERYPHVFIDTLKDERKPIAKWWKTRVFSACS -QDYYIACKQYYQGIVGLLTRHRIDTGICVGINVYSHEWDLIVRHLHQCNDRVVAGDFENFDASLLTQVLD -AARIVLNDLAGDLPDHQREHDDIRSVLFLDLVHSTHLARDVLYSWTHSLPSGHFLTAIVNSLYVNLIFRY -LLAKSSKLTSHIQIDQLCRRMKLVSYGDDHIVSIPSGYEKIFNQSTLPTLFSEIGMTYTDETKSDREVPE -TRRIEDVTFLKRGFRWEKELNRYVAPLSLDTVLETPFWRSKSLNPRSITESSVEWACHELALHDESTFQE -WTKKIGLVCKDAINFVPNLSAQRVEYIRYLETSWDSLDESRDDED - ->YP_164440.1 nonstructural polyprotein [Solenopsis invicta virus 1] -MHMKLLADLVKMVDTSGAFGTKPRTQPVVIWLFGESGVGKSGMSWPLAIDLNNIFMTNKEDARNFSRNIY -MRNVEQEFWDNYQGQNVVIYDDFGQRKDSQAKPNEEFMELIRTANIAPYPLHMAHLEDKRKTKFTSKILL -MTSNVFEQSVDSLTFPDAFRRRIDLCGRVSNKPQFTKPGFSKATGQTVKRLDKDRVRKEFNQVISTDVYL -IDLIDAETGDVIEEGLDYAEFLERATQKTNEAFNQSVELNEFLENYAESRYRLATMQVGDEFHDCNNLLL -IKIENFDDLPSNTLLFDSQGNSKSKREIEENLQNAWVAMEEDTSMWHDSYYNFRDDIVYKKYKRSVSDRE -FTLMKAYEYFKKQSSKFLNDTLTYIKEHPFKAVAGVMIAVFTLMTIGNFWSSFWSKPERDRTTKMTGRQQ -GNIVELPYRGEEAIDLRHLEEKQLIDYLHHFTSSALAGSTYAFIFNQPNAVAYGILTGAVETAIVYIYDK -FRQHGKTVTPEVEAATSGDCMTKVKPRVILEATTSGDAQTQYRSKPKIEAFTSADVITITKPKVMVEAVS -SGDSITQNKPKAKIEAMTSGDSHTMVKPKAKIEAQTSGDNITIVRPKILTEGDIIPANMQMWKDQVAQNL -ITHRIFNNLYKISANNCSVPLMHGLMVKGRIMLIPAHILGCGIKADTEITMENMFKVKFTFPFKSVKVTR -ITNRHGESKEACLFGLPNLVHTHCDITKHFSDSEAMSSYSRAEVNLPLLRYSQHLDSFIVHILSANDAFA -IDHPIILNDVDLGKHVVRRALEYTAPTTNGDCGAPLIINEPSVLRKIAGIHVAGDAHGRAYSESITQADL -TRAYPEFPARMQICLDWDNKMKFHPIEIKQEYTKADFPYAPGDMFGPIGKCPHQLFEPGKTDIRPSVIYG -KVKPPITKPAILRHSEVNMKFKNLQKCASNVPYINEDWLEEAYLDVKQLWNSKRNDAFRRILTDEEVIKG -NDISEYISSINRQSSPGYPWILDRKPGFPGKTQWFGNDEDYKIDPDVMQKVHERIENAKQGIRTPTFWVD -TLKDERRPIEKVDALKTRVFSNGPMDFNLAFRKYFLGFIAHLMENRIDNEVAIGTNVYSRDWTKLAKKLK -QKGKNVFAGDFSNFDGSLNAMIMYLFARMANEFYDDGNDLIRYVLIEEILNSVHLCEQFFYMMTHSQPSG -NPATTPLNCLINSIGLRLCFLRCFEEHKAFFMELMKKFGCKTRMELFRLLVSLISYGDDNVINIHPLISH -LFNMNTITKYFAEFGFTYTDETKQVGKGVPDYKTLEEVSFLKRGFIFNEERNCYDAPLDINTILEMINWV -RKDLDQVESTKINCENAIMELAMHPRAVFDKWTPQIEKAFYDKTGVVLNHNSYDGYWHLRNMEYFL - ->NP_851403.1 non-structural polyprotein [Kashmir bee virus] -MFSSTQQNNKMTKQPATLTYLSSMKVISMPPSEFFSLDDLCRQQILKMVYIDQYAFHMLHEDINCIGFTL -SIYDEDKRMKDITYWDLNCEYHENLYDALMSAEFEQVWFTLLLKYLDFVPVPMNWRGMPEFPTIYVSKHF -WYELYRIGFLNKLYKCGTWESVLNLLAGDIELNPGPTDISYKEQCQRRQRRKRISKSYEEIKMQQHIDKV -IREEFRSHQTKPKKLKDVIDVSMQGLFNFQEEKDIIKSTAYKFNDTLDKSNHIMDNLIPQLEETLAGFRR -TYAKCEQKLFGTISIIDVCIDIISALLQVSFAKASMKLASLAVEVFRLIKKYVGSVKINIDKIKELLTFG -KQALDVDNPIIQVKMQIDMPDYTTLLQPNVIVSAIFLVLSVIFTRTLPTKTGMEAMIKRIGDLGRAAKGV -SDLNSVLNNSISTMLEHFGVHTLGLKQEAELQLLVQGYKAWCDEVRALVGHKIKLDGDFDGKSIVEEIMK -DVHEIQRVENLYKQGLDIARNISDMKLPSKLTISFNTHMRYLTEVFKAVDTSGAFGNKPRTQPVVIWLYG -ESGRGKSGMTWPLAVDLNNSLLDDVTEMRNFSKNIYMRNVEQEFWDNYQGQNIVVYDDFGQMRDSTANPN -PEFMELIRTANIAPYPLHMAHLEDKRKTKFTSKVIIMTSNVFEQSVNSLTFPDAFRRRVDLCAEVRNKEE -YTKEGYSKQKGCMVKRLDREKVQKLTGDIHSTKPYLVDLVNAETGEKYKTDIEYEDFLDMCLEKTKTCRD -ESAKLNEFLMNYAEQRKDRSRDTFIHPEPEYDDDEFKDTLEVQMQIDAPNDDLVLIEQDRLREMIDSCTG -VVYDCKQQVVKISKLAFDLAPLDYDEQMQRIKEMKYYQKVASGVSYLKKVLDAGLAICKTWIEETVKYVQ -EHPWTTAMAILGTLLGILTVVGFWKWLCSGEKKKPQATKRHFVNTGVTLVIPHRDLNKFWQLDETLDVSN -MRVGDVEDHLAALLKPRHRVVIVPRVTKFILSIIDNHSKLFDKTILITKNRFFTYENKYIELVCGEMNRF -FEEDPESLVNTPKVEAFASADLATYKNRTPIVIEAQTSGDNMTLKQPRPVVIEAQTSADCVTLAKNTQRM -IEAFASSDTVTLKRPTTKYVEGTGYDTVDVSMQMWRDQVAQKLITNRILTNLYKICLVNDDDTVTPLLNG -LFIRSNVMLVPGHLTGFIAEHDTIEIRNLFDVVFRVPWKEVKKITVENALGESKEAALLAFPKFVCQHSD -IVKHFQTAESMSKFKRCEVTLPVLRYSQKLERFMSSLIECDKVEACDKTYTLNDVKKGQYILRQGLEYTM -PTIDGDCGAPLIINETQVTRKIAGIHVAGAACGRAYAESITQKDLERTFSKIDVTMQIQLDLDSSLDFSR -TEPKIPAGVEFGPEALTFCDLPALKMIPVGRLPEPLFEPGKTDIRPSLVHGMISDIKTKPAYLRNVRVDG -EVINMKHRNLMKCAMDTPYIDKDMIEEAYQLTKSVWLKGMRDELKKVLTYEEAICGSEVSEYISSINRSS -SPGYPWIKDRVKGTKGKQGWFGTDGEFILNEDVELAVQRRLQAAREGKRLPVMWVDTLKDERRPIEKVNQ -LKTRVFSNGPMDFSIAFRMYYLGFIAHLMENRITNEVSIGTNVYSQDWSKTVRKLTKFGNKVIAGDFSTF -DGSLNVCIMEKFADLANEFYDDGPENALIRHVLLMDVYNSVHVCNDSVYMMTHSQPSGNPATTPLNCFIN -SMGLRMCFSICATKAAVKMTMRDFSKHVSLVSYGDDNVINFSDEVSAWYNMSTIAEAFSTLGFTYTDELK -GVRGEVPNWRSIQDVQYLKRKFRYDNQRKVWEAPLCMDTILEMPNWCRGGLDIQEGTKLNCENAIMELSM -HEEEVFDKWSKVIDKAYAKATGDHLDINTYRGYAQERYLEYYM - ->NP_733845.1 nonstructural polyprotein [Aphid lethal paralysis virus] -MSGTFSTTLPQLKLQLSIPELTQLKIRDAQAHEKPRIVAQLTRSTLENMNNTYIMTEPLDAIPENTLRKQ -VLAYITEFNESHNGVSRPSRIAQLDGNIAYLTLYLDYLMQHTKTHAQTKDCFFLMLKAIAMAKEHIFIED -GSFYSIAIALRLINASLATILNKPDMLKFTNLPRYCRLIRNFLKNRIISNSVTLTGLLLPRKYTIDCFKQ -SAVANNIILNAEFRTYIQLIKQMTNNPNIRNAFIDMIFAFYVTAFNFTTDADITESGSSETLQYLNTLYI -NKLSAIAQVGLNFNHNITVDNNLELAINKSLTMFADLTNAISDKLQETVADYQRKIVALITTIYNLYRFS -TRKMDLQDLVVNVFGALGSAGIASSLVAQLVTSLRAYFVAPIAQIDTGSHLLILKALSLTMFCLFIKQLP -GKNTIDEFVTRLDRFPKAISGLESMWSKLDVVVKQIYTFMEEILKKHNRFNNTDMLQDVLDWASEVEKYL -ELANRNEIKRDPATVLAASKLYPRGVRLIKECTQLKLSPANLNLIRSLLPATKQLADEAIKSGALKQALR -PEPLIVWFCGKSGMGKTGMSYPFMMDMMRVFGDIPTDFQKNIYGRVPETEYWDGYTDQEYIIYDDAFQIK -DNVLKPNPELFEIIRLGNAFPVMLHMASVEEKNNTFANPKCVLLTSNLDRIKTESLNSPEAVQRRIDFAY -NVDIAEEYREYYVDQNGNEKYKLNAVKARAAARKLVGTSVSNNLDVYRFTKFSAFDGRTIETDMTYAQVT -EECSMKMASRFNQHMDFTNYLDAYRNPTYEPEDIVEHPIDAEAQIGNAARVAAGNVAGFLVTNHCLRKYV -HGDDDTILMALLRKTKRTMENILDYTGLIPRARDIWEQPSWDYHLYYARQIKQYLKDASTGIMETLAGFF -GKYWQFVKQAVLVGVSVFSTYMCVKVSFALGDYVKGKIVPKYISDNDQVAQLVSEANACFDKGCNNCKLC -KNAAMNQLNVKWNSSCLCYVKRMEKGKDMINKYAISLYGDQRVSNNHELSVSDMAEIINQVADCDCNTCD -CCNDPELLDRLSTVARIHGTNCVCLLTRFYQGFKTADLYDMTKQISNTLPSIIKNPELKRLIDSHARVYD -NAVSRRANSTRIVGHGATYDARPRTRMVTKIGAQAPVYDNRVTIKKNTILAAQNLLPAFAKIEPIEKTMD -IQTVPACKGCDNCSPIDAQRSLPEQDKGSIVIARDVVYRNLFRFTVVLNENGTEKRRGFGQIFMLGGRLG -MIPKHFLYVMEHYVETFGSENCHFVLENCSNAISPLIPCSVILEQENHVKDPRRDIAIVQLPTNIGGFAQ -AYKHIIDEQDLARVSDSPAILARYQNASERDRRQGTNYYREIFWLSTATPEDHLVESTVPEANVVVQNRG -SYTYHAVTFFGDCGSILIASNAAITQKIMGMHIAGITHMNKGISVALTRQIIDRLMKHFQPISQYGHEIV -PLDVNPDILKENGTFLIYGTELGRRIMGSVKTALEKSPAYGKLIESPNKPGYLRPFTDENGTTIDPMTLQ -RNKYGVVRPYVSQQRVDAVYEAMSVFYQREYINSPAHYKLPLTREETIVGINGDPFINAINRQTAPGYPY -TYEKEGKSGKTKWFGDGMDYDLTNDACKQLMADVDELAQCMLDNVRPRIIWIDTLKDAKIPIAKANVGKT -RLFTACPLHYTILFRQYFLPFIAHAMRNRVQNSIAVGINPMSPEWDLLAKRLKRNGKHVIAGDYSNFDGT -LPVQYVEVAVKIMVDWFMRNWDQIVAADRHVINGRELDYDEFEQFLMKIGVECINHLHIANHSDLTGAAL -IYYVRNGIPSGCPATAILNSIVNHCCLADSWLDIMDGTPYATMNSFFEHTSSIFYGDDFIMNIRPEVIDV -YNQETLTPVLKKNLEMTMTDEAKTGECVKARTLEEVSFLKRKFRFESFVGLWVAPIDIDVILDAPNWVRI -GNQLPLRICVDTLSGGLTELAMHDKVTDAKWRTKMINLGLDLTRGTGLEFNPDSRSTTLLKLRNEELGGD -FEINY - ->NP_647481.1 nonstructural polyprotein [Cricket paralysis virus] -MSFQQTNNNATNNINSLEELAAQELIAAQFEGNLDGFFCTFYVQSKPQLLDLESECYCMDDFDCGCDRIK -REEELRKLIFLTSDVYGYNFEEWKGLVWKFVQNYCPEHRYGSTFGNGLLIVSPRFFMDHLDWFQQWKLVS -SNDECRAFLRKRTQLLMSGDVESNPGPVQSRPVYACDNDPRAIRLEKALQRRDEKISTLIKKLRQEIKNN -RIYTQGFFDDLKGAKGEVGQLNGNLTRICDFLENSLPTLTAQIQTTVLTTTDKYVNLKEDLLKVAILLVL -VRLLMVWKKYRAALIVIILFVMHFYGFDKQILDIVLDLKDKILQTTTQAGTETLEEVVYHPWFDTCGKLI -FAVLAFFAIKKIPGKQDWDNYISRLDRIPKAIEGSKKIVDYCSEYFNLSVDEVKKVVLGKELKGTQGLYD -EIHVWAKEIRHYLDLDERNKITLDTETAAKVEDLYKRGLKYSEEKIPDRDIARFITTMLFPAKSLYEQVL -LSPVKGGGPKMRPITVWLTGESGIGKTQMIYPLCIDILREMGIVKPDAYKHQAYARQVETEYWDGYNGQK -IVIYDDAFQLKDDKTKPNPEIFEVIRTCNTFPQHLHMAALQDKNMYSQAEVLLYTTNQFQVQLESITFPD -AFYNRMKTHAYRVQIKQEKSIWVRNARGEEYNALDVTKLNKDEAIDLSVYEFQKMRFDDESATKWIDDGE -PISYDEFARTICKAWKEEKEKTFHQLQWLEAYASRTVAQGGSETSEYYDVWDETYFSNLLSQGFMAGKSL -IEMEAEFASDAETFNAYIEYKKNIPKETKWSKWMTILDEQISALSTKIRELKNKAYKFISEHPYLTALGF -IGVMISAFAMYSFFERTLTDDTITSEVGSSGDNKTQKISKRVVEVGGSGDVKTTKPAKTAVEVGSSGDSK -TMKNKITKVEVGSSGDSKTQKQRNTKVEVGKELEKEAETQGCSDPAAHALVLDVLQKNTYCLYYERMVKG -EMKRYRLATATFLRGWVCMMPYHFIETLYARKVAPSTNIYFSQPNCDDVIVVPVSHFIAPNAERVELTTA -CTRIHYKDETPRDCVLVNLHRRMCHPHRDILKHFVKKSDQGNLRGVFQGTLATFHQSANELCRAYQWLQA -IRPLDQEITIYHEDTDMFDYESESYTQRDCYEYNAPTQTGNCGSIVGLYNKRMERKLIGMHIPGNVSECH -GYACPLTQEAIMDGLNRLEKLDPVNNITVQCCFEPPSDIKDTMSGETPEGKFCAIGKSNIKVGQAVKTTL -LKSCIYGMLSKPITKPAHLTRTRLPNGEIVDPLMKGLKKCGVDTAVLDAEIVESAALDVKQVVLTQYNSM -LDVNKYRRFLTYEEATQGTGDDDFMKGIARQTSPGYRYFQMPRKLPGKQDWMGSGEQYDFTSQRAQELRR -DVEELIDNCAKGIIKDVVFVDTLKDERRPIEKVDAGKTRVFSAGPQHFVVAFRKYFLPFAAYLMNNRIDN -EIAVGTNVYSTDWERIAKRLKKHGNKVIAGDFGNFDGSLVAQFFGQSCGKSFYPWFKTFNDVNTEDGKRN -LMICIGLWTHIVHSVHSYGDNVYMWTHSQPSGNPFTVIINCLYNSMIMRIVWILLARKLAPEMQSMKKFR -ENVSMISYGDDNCLNISDRVVEWFNQITISEQMKEIKHEYTDEGKTGDMVKFPSLSEIHFLKKRFVFSHQ -LQRTVAPLQKDVIYEMLNWTRNTIDPNEILMMNINTAFREIVYHGKSEYQKLRSGIEDLAMKGILPQQPQ -ILTFKAYLWDATMLADEVYDF - ->NP_620562.1 nonstructural protein precursor, partial [Triatoma virus] -EFLRKFLIPFLWCSVCSFQLGRISLSQRPWPNPEMNKILQKFIENRPSSPLSILVSELEVKFPVFLIRQY -NFALDDDKDHWLMTSRQNTGTILDYGYNFGFYKVPFSEMMYCSCLNCEKTEYDSERVYYENIGEMFDIDP -ACINGLVIDRYERLRGYPWESDKISYTNSLLDLCLFSSLSERSLQQMFREPRISFEQFSELTLNLGILKP -FCRFYSSYYFNYEPYMNRLKVLNPTMPKLCTNLAKCSKRISDRWSCVNSTILCQCREDTVTLHKDLIPLN -LNFDLKEILAQSGDQEVPSTDVSINFQQRSDGTYVNFKNQYSGESFSQRIPSTVEAFCLQHSDLLSRISE -MSPSEFKKLVDRYKSRNGEQIVPESQAAFSLVEFNLPSLQPLIDQLCSLISSSISDLFSMGKVLIQAIVI -CVSVLSAVLAYNYLPFKAVSGFCLLICSLYFAEDVLCYMREILDLYITPQAQSGGIESLWFCTNVFNALL -FRNFKLKSLSEFARFIAIVPRAIQGIDCIGELISKAFEFARISFYKYVLKSDVPITQESPVTKWSGEVQK -YYMKYLESQLVYDEINFNLLGSLYQEGIVMLNSHIFVTARPLISRLLAIISSILMEFKNRGFSESTIRNP -PVTIYISGDTGVGKSTLSYPLSADIIKKIAGDTTHDLKNNWKKLIYTRNSEQEFWDGYTGQLCCVFDDFG -QRIDTSSNPNLELFEIIRAANMYPYPLHMAELSQKQNTFFSSKVIMCSTNVRLEDIKTESLNFPIALKRR -FDINVRVSLKPEIDKESLWKSERFDPSIYCFTEVDYNGSIVGALSYKELVNRICSLYSRRSAFVQSIDSF -VTSRFEDLENEEVVAQVGYLPGDDSKSKVRYLSVAIPSLIESHVSDWNKRWNNLIEKYPFLPSMKVIGGV -MGLLAVGLGISTMFWKKTEKKESHVVSFSESCEKVEQRPVVKCESCEKDTQRPTVRCETSTLDMKVDNQP -CDEFLTKSQGVLDLNASEVLGKITKKNLYAMYMPGVRLGHALFIKGCVAAFPTHFIAAMKLRISKNPEAE -VCFKSPFVNRPVWKMLAKDLINLSKPFSYKTNLPCDLTLAPIRVAWSHNDITDLFVSASEVSRVIESPAV -LPLIMCDNGKDPGFGVIKFTSAGSRLQEKTDLIYKGPDSQNIYLRSAWEYSLDTQSGDCGAPLILRNPMC -RGKICGIHVAGLPTGGLGYAVPITKEFILDCLSSFAKHDLTTICNVPEISQSGGVSQLPLNEPITWNDNL -SIPGEFGLVGKARAIPSPSKSQITESLSHGVIAPVRTRTTLLSPIKTDSGPWNPMHERMRKYGRPLTALD -EELVSTCGAALLNDLRSTLARRKIDYTNIRSCYDFQTAMSGIDGDETINSIKRKSSPGFPWVFKTSSGTG -KKQIFGNDGEFLFDTPLAVELEEKVKEVIDLAKQGVRYSHVFVDALKDERKPREKAHKTRAFSGCPLEYL -AVCKMYFQGIVSVLTKCKNETHISVGTNVYSKDWDFMARYLKSKSDGFVAGDFEGFDSSQLVPILREIGN -VFNGIARQFPDWKPEDDEVRLVLLQSLWHSIHINGGDVVMWGHALPSGHYLTAPYNSLYATMLFSMAFVI -LSRRNGTRMGPSMLASKFFKEFGFVAYGDDHICAVPKRYQSFFNQMTLEKVFLELGIGYTTEDKREIDVP -IRSLDEIAYLKRSFVLDEERQQWIAPLTLDTVLETPSWIHRCDDPIEATVSNIEFALRELSLHSKQEWEK -YAPVMLSEVTRLGRTTIFHDWADTRAFVLDDLSPDEIWNPTDLTM - ->NP_620564.1 nonstructural polyprotein [Black queen cell virus] -MMEPIYENTKTVTEPILYYPFRYNWNIKILDLTDKEGQESVNKKFLRKFTKLRKLCLRLQKDTAFWKNTR -SRLDMIQDDIDKMVAQSGPVMSQSLSDRVDRMYHELIHTNVAQMNFSIPFSHNLSKDSKAFLTQTVDTSL -DGMKQISNETVNTIKEVFETFLTKMTTTFEQCAEAHCKIKSFCTLFKCSRHFNNVQEAFNFTMSFMRFLA -LAYAIFFLISRATKFFGASFSTISTVLITLITMTVTDKLVKKFLVDYYSKHMENAKMLAQAGEEDSSFLS -YVIPPLFLESVVDTKSNLFKRIWKSKDLDLVVKRLSYFGDAKIYNAFDNIGTWLTTMINKTVNYVKVTLL -GHDPEEFLENQSDPLVDWEEQCRKHFVSDTDRIAIYTESTLADIKRLYNMGVSYMRHPLYKPHQRVISEI -LNQLLRFADKIKKKVGTDASVRNPPVTLYLYGETGVGKSTLTYPLCATLLKTIFTREGNTVMLESLKQHY -KEMIYVRAAEQEFWDGYTQQLVTVFDDFNQQVDSSANPSLELFEIIRSSNIFPYPLHMASIEEKANTVFQ -SKVILCSSNNKTPKTESLNYPKALLRRFAKFVEVKRAPSESGTFSTDCYTFVEYDPFDHCNIVKSMSFNE -LIDEVVAMYFHEGEFVSSVDKFIMENVFAQGGGSLSDEEDDFIEASSYGDSNLDEALTREEKKEIIECIN -DQFKNENDTRTSFQLIRDYFHCAKDSLQEKFLAYRRKYSFDDWFSFSKASKVVLGILSLVLVGYGIYSYV -KGNPAKDSAESYEPKVASKAKVEGSNGVPEAYESKVNRKINVEGYNARDLKRKVEAYAPKVNRTVRVESS -EVIIHPNSLIEKGDDIVQSEACSDINASEQLTAVTTNNTYVMTILSKESVIRVGHCIFLKGKIAVAPGHY -LRILQRAYELDDGAILQFNHPYGKRNFFTFIGDIQFSLYKTKNISKTNDLDSRDLMHFVVDKSVVHRDIS -SYFCERLELQSVGSTRIQLPVMRWVRDVGYIFVKSGQGTSCIKNVSNVSYMTDTDPDAREIRLREAWEYS -LETISGDCGAPLFVTNSKIGPGKIIGIHTAGGHRFGVGSCFATPFYLEDVKEILSRYDYKAQSCAFELVL -RQDLEPCALPNHLDECEFILMGKVKDPPVQPSRSKISPSPLYGHITEPSSAPTWLYPRELDGQIFDPLKY -RTARLGKDSVPIRSKLINLAKMALIDDIYSVYLQKKDLLDGRFPSSLTFDQAVLGIPGEDYVNSIKRDTS -CGYPFVKEGWTRAKIFGNGDEYDMSTSGVQMLREKVQECIEAARQGKILDHYFIDTLKDERKPKHKAHKS -RMFSNGPIDYLVWSKMYFNPIVAVLSELKNVDHISVGSNVYSTDWDVIARYLKSKSHHMVAGDFEGFDAS -EQSDILYAAGEVLQELSKKIFNSTEDEMLQQRAIIHSLVNSLHINENGIVLQWCKSLPSGHYLTAIINSV -FVNLVMCLVFMEANQKYSFTTASSFFRECGIVAYGDDHVVSVPEKYLSVFNQQTLPVLMSKFGMFYTIET -KDDTEIDFLSRRLEDVSYLKRNFVYDESRQRYIAPLSLDVVLEMPMWTKSSKDIVTNVFCNLEHALKELS -LHDKELWEKWSPVLHSKSEEVLKMVSSLKFQDEVREIALGLSGYE - ->NP_620560.1 nonstructural protein precursor [Himetobi P virus] -MSQLIQTKISSYKNYVNQKIKEGRSNIHPLLRATPNFVEDVESDLKNLNEAIRTTDVFKNYVVELTLGHQ -DLDFGYFKLYNRDLENLVYSMTSMNETHLHPDLYMNLEYVMNPNKDLDLLCGENDSWDQYVLSRQLKEMR -AIWVGLQAGNVDPILDTVNPDCQIALYYMTRVLYDAKVCSRRVRRTAYALCRILNHVPEHLIPYINKDLI -ENFELCVPFRRKLVWYLLKRCLLFSDLPLEIRWKDVCYDERMYEEKIEEVQEQVFNVASQSRSDIETLVL -SWNEVCESMSVERMVAVCECAREQGLFNVSLGINDDTKGYIDSVVSRIGNGVCSLYTDTMLGLEVAASDI -LVNLKYIVGFLVAAVLVGVATYCGVKMISKLFNFFLSLVFKSDESMMNVAQEQSSGDTWNGPLMLLMSVF -GVSAGALKSARCMNAIRCISMLPRAESGIDTIFTWIKSTYTMCYRIFSKYVLGVDPGVQVSADSHPVASW -LEELGEPYKSFSNGTFSYDSATFSIIHSLFIRGLNLQRSESFRSDQIAIRTGMDCLNKILTEFRSRNIEA -GSVRNPPVVIYLHGGSGVGKSTLTNVLAASILSKIQPDMNLKKQGKNLIYSRASEQEFWDGYTGQLVTVF -DDFSQRADSAGNPNVELFDIVRAANVYPYPLHMANLSDKASTNFTSKIIICSSNLKQPKTESLNFPNALY -RRFDVCVSVSKNEKYNDVVPTHFVEDFYQFQEYDMLKKEDLGSTDWEGIVDKCVELYKHRSDFVSSLDEK -IQEILQSTQFEDVPLNVAQEQVNCDVLGFCNCDCWGETMCVMTNLHQPKWKQWLLKMKHYVTGIPKGSVY -EAFEKMRFLSQEYLNASKSRFGRWLTSIKERFPVIKDLRLIHLVVATVVMGPMVFFGVKKLFAKKNECVE -LHVSESYDVGNIKPTRTESYETPNVKPTKTESYESPNVKSVKTESYESPNVKPVRVEMSFPSGRVSGSEM -NLASLQLDNYIKEVKEQGVSDQNAAEICSKLVTKNMFKIYVENDHVSIPLGHVLFIKGRIAIMPHHFLAA -LKKFKEQHEGGVVYFRNLFLSRAFFVKLEDMIRKVKPFESPEPTESLAESRDLCSFCLDNTINFSDVSKL -FVSKSDLSYLKSSDILLPTLSTPSNGQAFAKIKIGRAASGIQRQDCRVYGSDPTDRLRLVRYCWRYVLET -EVGDCGAPLIARNVALAGRKIMGIHIAGNTDAGFSTPLYKEDIDTILSMYPLESQVANEQCQPIHMPTGC -HLPESTSFVVLDKIEKPLYASSKSVISPSPLHGILTTPKTKPCQLRDTPEFSPMQYRLEKFASPCVPVDA -RMLENSVSAVSNHLCKSILENKDLITTSDKSRYSFEEAVSGIDEEEFINSVKRSSSPGYPFVFDKEWNSK -EKIFGKGPEFDVTNEKAILLRQQVEEIISQAKLGVRQQHVFIDTLKDERKPIHKAHKTRMFSACPLDYLI -ACKMYFGGVVSLLQKSRNICGISVGTNVYSYDWTIIANTLLSKSPCMIAGDFEGFDSSQLQDILRAASQV -LLNVSRDMLGSTEEDLLVMQVLLESLLSSVHLNNNYVYMWLKGLPSGHFLTAIINSIFVLISFNSVWQIA -FGVNVKKAFEFFEVCGIVAYGDDHIVSVPEWATNVFNQYELASLFKQIGLSYTLEDKDATVNAPYRSLNE -VSYLKRKFLWDEDKRQYLAPLSLETILETPMWVKKCVDVNLQTTTELENSLKELCLHPQSVWDSHIESFK -HCAKLLGSFPLFLDREFARSFVLNENV - ->NP_620555.1 nonstructural polyprotein [Plautia stali intestine virus] -MMFSLNSLNSRTDFTDDDLMLLDLEKPVTLFDKEIFRQTLADMDGKDSYSYYSIERMIFEDLHNPYLGNV -NHVRKRNFIQPIKRWYDMYNPVCVSFDRKVQGYGVNWPHFLFLHRHDETESQYNTHHLFRSDVDLVVEYE -RNCVWFDLSARDVETYSFILGLPENIQYNCLDQILDDRFTSEDLFHLIENLQFARKLPLVVFDGKWRFQQ -PHLSLFKFIDNYISLTDLTKFRLVSHMERVSSKFLFPQRSTVSLCSDGTILVEDPYTYVQHPQTSYNVVP -PQLNLQSSGNILEDFIRKYETELRFMCGQKKLQGINITHKIDKDDLQAVINSVMATVSEQWSQVKGKVLK -LFLILVKFLTGLLLVSLGLKILKDLSALSVIKTFLFLLFGMCSLDKFFIYFEESLVCQDGLKDESQFLSL -FLLDKLFLNGCPVSMKNAKDFVWFVSQAPRFSQGITHIVSYVKDLYVHCEHFFRVKILGLPSLSYESPVC -TWIEAIQDIYEKYKKNILVLDARLLDKLFNLYKEGNRFLCTPAFKNQSQIIVKYINLTYNLIDKVPISQR -GGYKNSLRPPPVSLLLLGGTGRGKTTVTFPLTTEVATRIYLEEHEGDITDEDIASSIYARNSEQEYWDGY -TGQLITVFDDFMQRVDSASNPNLEIFEMIRASNIFPYPLHMANLEDKNNTWFRSSVILASSNLTAENLQS -KVHSLNYPVALLRRFDLVVEVEIAPGCTKPRAGQPFSKDIYKFTKVEYILDESDRVSIVRSEISYDEIVK -LMCLKYKDNMTTCQSVSANITEMIGNVRKQVMKAKEESHLTIEPSENNLLATQGWLSWFVDSYEEENDLG -YDNFFDEVVTPDQYIEKFETAVIDITPDPKPDEISADKERTTMIWRTHFSQICEEYPIVPYLATFGLVVT -ALGVGYTIYRCFFNGETTPLAKSEIVLPKFPESQEKEGVISRCKIESQEKIGSVSRVRVESQEKLGAIPH -VKIEQSVFNATEDSVNMQCNGNVENLALQNYYSKVNEMLTVEGCSDQNAAEILSKVVCRNYYALFVCRPD -GRESRLGHILFLKDKIGYMPQHFLFSLRKEMEESPDSFISLRSIFLRVNMYEIYICDFLNYNIFVPENDG -GRLVDSCLVDVETVTKHPDILTTYVSQTEVKSLLRSDVCLPFIHVPESNKYKPYATIAYGTGQSQLVKGG -EISSISTYDATFYFRQSWKYKLQTASGTCGAPVILIGAKQGPGRICGMHVMGDSQGNGYAVAITRELLCK -WINDLNPTIQSSEMEKKMIQNGVFDTLPFPGKFISLGDSPISISAASKTQLRKSVLYGEIAPVLTKPTWL -TPGTLNGEVWDPRNYRLSLFGRPRTLVKMNLLNSIKDRLVQRIYVMEYGSNYKYESRYPFETACEGIDSD -PTFNSIKRKTSAGYPLCSKVKNGKQEIFGSDGPFNFKTKLALDLRKDVEHIESLAMDGISSVHVFIDTLK -DERKAIEKAHKTRLFSASPLPYLILCRMYLQGGVSRLIRGKIVNNIAVGTNPYSDDWTRVAHHLLRNRHF -VAGDFASYDSSQEKEILRAACEVIVELCEDLSLPQSERDKHRRVRWVLLESLLNSVHYSYGKLYYWSKSL -PSGHFLTSIINSIFVNIAMCYAFVESQEKGNRSEENIRVFFNDFSIVTYGDDHVIGVPEKYVEDFNQLTL -PKLLKTLGLDYTMEDKDRICDIKSRKLEEVTFIKRSFRYVKELDRWLAPLDLNSILDCMNWQRSGEDEGL -NAQANVSFALKELSLHPEDVWDQWFPLILRACNKHGVEVEFLSRHAAFQAVRETDFFEEES - ->NP_149057.1 non-structural polyprotein [Taura syndrome virus] -MASYYLNIKTHNLRRTPVADRAFYVMNDDGENRIYSLIGTLRRAPAFKVGSRRYKSHIPYRREATFAELC -NQFHDRVLPFANPRVWKEVISENEVQPDSMLKAAFGNWEEWPKAKVCEELYSECECGYAGTCYVSVDWLR -PQATKCNDCILKVNRKVEYPYHTIGVSGNVVTNTDIVYTGYADVFKCEQCDLLMGAWAPNDIPALTHNIR -ASQCVQFKLPTENLVARNYVLLCEEIERENIPVIFQDYSEGNVFTCRIVSGDLTAVGTASNMYTARDVAS -KNLLDQLHNTPNVHMHSLHSLPYENFPCEALEFAVEQGIIPPVTFDEVFANDEYVITISCSLLVVADVGP -TQAVARERAAKRFLKMYDYSASYPSTHMFTLSTLPRRSGETLELANATLNHVNNVIDRHDEAISNVRQNV -EVKLTGVSRQVGAMLPKVETAIDDVSSTLSSFRGVLDKISAWMPSSNPKIIDLIKETFVSLFFAILTKSL -YPIIQGISSYALRNNLMANHLTALSEWLMTLKYDSPDEEEMPSTHGFMDDLTSRLPGLNVAKAQAATIYE -SIGTGLCVALSGILSFIAVMCLGITDLSAVTFNKLLTQSSLVGRALVGVRSFKDVFFGIWDYVDNQVCEI -LYGKSRKNLDLLKEYPSLDSLLSIFNYFHDTVDANVLISCNRAACELLVNADNLYQGYLDKSITLMHREI -SSRLKEARNSVKDLIAKAQVYLTCGDGSRVPPVVVYMYGDAGCGKTELSMALQDHFATKCFGEVPKKDVI -YSRKAENEFWDGVKQSHKIIAYDDVLQIVDSAQKPNPELFEFIRLNNSDPYQVHMSSVRDKANTFIAPSF -VFATSNVNPGAYVPKSIHSADAFRRRLDLCVYVDVKDEFARIVAGSKGHRKVPCEQKIWLHQNPGKTQQD -MKQEIIAGTYKITPETAVYELHVDTTLAGNAQSKVCAYDGLVSLIEQVRKLRVAAHSDKVETDVPVLPTR -LHELSQETFPNTHAGVGFQFATDWLGDFDRPVEALSYLNETLEAHFVSRSANDGSMFIPASEVADLLCQR -HNNTNLNEELVYLTWMTQITDKELASSLVYFTNNGMDKSIWKQSAERSAQAISQCKNAWTRINDFLKNHW -ISISAVIGSALLIGGVSSAVKCATKCRVRKILQDGGSIMQLVGVRSCMYACQLCKRIKNGDLRLRVRNRS -EGVTTFVPGDIRRVARHVISAADVCEVPVHHSFIQSLCDEAFTVHSDKEETFSILDFTPEAKGRNPLESA -VVESHQDYRAKTAVVESHQDFKPKGAIVESTRDTVFTESHQDVRVKLHPQVESHQDFRAKNPTVESRKPD -YQVEWTDLRTESSDDRNAQDISNRILSRNFVRLYVPGSSLYTHGLFAYGRMLLMPKHMFDMLNGSVEIVS -IADKGNTRVHVKIQSHKTVTRGGYEVDIVICEMGNSISARKDITSYFPTVKELPGLTGMISSGRMRVFST -AKFKASDSCSYLMPQDFVAKYIAAVDHITSKSPEKRSYFIRQGFEAESDSMQGDCCSPYVLFNSASRAKI -VGLHCAGFDGTARVFAQIITQEDIMAATPTTHAGRVTTEFPHTSLRDSPLPNSMAIGSVKTAPNPTKSEI -TRSPIHGCFPVLTAPATLYSPTENLLIKNAMKVTKNVELLEEDLIDACVHDVKRILNAPGVSNAEKRVLT -HEESITGIENRQYMNALNRSTSAGFPYSSRKAKGKSGKQTWLGSEEFIVDNPDLKEHVEKIVDKAKDGIV -DVSLGIFAATLKDERRPLKKVQANKTRVFAASNQGLALALRRYYLSFLDHVMTNRIDNEIGLGVNVYSYD -WTRIVNKLKRVGDKVIAGDFSNFDGSLNSQILSRVSEIVTDWYGDDAENGLIRHTLLEYLFNATWLMNGK -VFQLNHSQPSGNPLTTLINCVYNMIIFRYVYLLAQRENGFPMTLSGFTTNVACIFYGDDSLCSVSDKVSE -WFNQHVITRLMAATGHEYTDETKSGSPPPYRSLSEVTFLKREFVLRDHFWIAPLSRNTIEDMCMWSRKNI -DAQDALLQTTRIASFEASLHEKGYFLMFCDVIKIACRNAGYKEACLHELDCKSFLLAQQGRAGAHDSEFL -SQLLDLN - ->NP_066241.1 replicase polyprotein [Acute bee paralysis virus] -MNFTKQPASLKYLSSMKLITSQDQDFFNFGEVSREFILEQAYVNGYDFHMLHQDMNCIGFVLSIFDEDAR -DEYEYKDLNCEYHENLFDAVVDSEFDKIWPHLVLKYITYYPCSLNWRGMPTIPIVYVSKHFWYELYRTGF -LNKLYHCGSWTDILLLLSGDVETNPGPVETYKDLCRRKNIRKRKSRIREEIKMQQHIDKIIGQENEEYKI -INVNMQGIFSFNEEKEIIKSTAWKFNSTLDKTNSIIDNLIPQLEETLAGFRKTYSKCESKIFGTISVVDV -CVDLISALLQVSFAKPAMKIASLAVEVFRLIKKYVSNININIDKIKELLSYGKVALNNNNPIIHVTMQSN -SPILEVLLQPNIIVSAIFIALSVVFHKKFTYKKLGIEAMIKRLGDLGRAAKGCSDLNVVLNQAITNHMLE -HFGKNVLGLKQEDELKVLVEGYRNWCDEVRDLVGHKINSDGELDSKSIVENIMKDVYEIQRIENMYKKGL -EISRNIAELKLPTKLTISFNTHMRYLTEVFKSVDTSGAFGNKPRTQPIVIWLFGESGRGKSGMTWPLAID -LNNSLLDNVDEMRNFSKNIYMRNVEQEFWDNYQGQNIVCXDDFGQMRDSSSNPNPEFMELIRTANIAPYP -LHMAHLEDKRKTKFTSKVIIMTSNVFEQDVNSLTFPDAFRRRVDLCAEVKNKDEFTKMCWSKSAGKMVQR -LDKGKVKKITGDIHSTVPYIVDLIDPESGEVYKTGLEYEEFLDMCLEKTSQCRDDSAKLNDFLMDYAEKR -ANRSREIDEVCARTMDEAFVDAYDDVIDVNMQIETVDEMELIEPNKLREMIEQCSNKIVYTYEGIAVKIT -SLAFKLATLNYEEQWEQIKEMKYYVKVSSGVNYLKRVLSQGMKVCEEWMKEMINYVKEHPWMTVSLILGT -LIGILTVVGFWKWLCSGDKKKNPIKRHFINTGNVLILPDRELNTFWKNQESLDLRDMYINRVEEHIISLL -KLQHKVVLVPKVTKYILTTVENHAKISDKIILITRNRYLNYQGKFVELICGEINQFFIDPETLDTNVEAF -ASADLKTFVQRKPIVIEGPEFVEAQTSGDQITLRKQTQKVIEAFASSDAITMARKTPKFVESDDVVEVSM -QMWKDQVAQKLITNRVLTNLYKICLVKENGDMVPLLNGLFVRSNIMLAPGHLVGFLSDSDTIEIRNLFDV -VFRVPWKDVKKVDVVNAFGESKEAVLLCFPKFVCQHTDLVKHFQDSESMSKFKRCEVTLPVLRYSDKMNR -FLATLIECDKVEAYDRPYTLNDSSKGQYILRQGLEYTMPTTNGDCGAPLVINETQVIRKIAGIHVAGDAR -GKAYAESISQKDLIRAFSKIDVSMQIQLDLDQTLNFNQQQXIIPPNAEFGPEDLDFCDLPSLKMIPVGRL -SEPLFEPGKTDIRPSLVYGKISEIKTKPAILRNVIVDGKIVNIKHKNLKKCAMDTPYVSKEMTEEAFQLV -KSVWLKGMRNELKKVLTYEEAICGNDSSEFISAINRSSSPGFPWIRDRIKGTKGKQGWFGAEGEYILDED -VFEAVKTRIQNAKNGVRTPVMWVDTLKDERRPIEKVDQLKTRVFSNGPMDFSITFRMYYLGFIAHLMENR -ITNEVSIGTNVYSQDWNKTVRKLKTMGPKVIAGDFSTFDGSLNVCIMEKFADLANEFYDDGSENALIRHV -LLMDVYNSTHICGDSVYMMTHSQPSGNPATTPLNCFINSMGLRMVFELCSKKYSALNGTKCYVMKDFSKH -VSIVSYGDDNVINFSDEVSEWFNMETITEAFEKLGFTYTDELKGKNGEVPKWRTIEDVQYLKRKFRYDSK -RKVWEAPLCMDTILEMPNWCRGSLDIQEGTKVNCENAIMELSMHEEYVFDKWSKVISKAYQKATGDCLDI -STYNGYAQERFLNYYL - ->NP_046155.1 nonstructural polyprotein [Rhopalosiphum padi virus] -MSTMSCTNLPKSVQRIQQHCNSLASEPRLVTLPRKDFSTFIDSFKHLDVPRPFSVCMRWSEVCDNEFVLG -YHKSSTLYEQMFIMKYLLKFNFEPRQLSILRKRMQERLFFFYRGSRTIVPLNMVYPLFKDTLNSIRHPAN -YTRWGFEYLDGTDIRKFPFLILMFCINIERVPLRCYKFSANVNMKVALSQLPVLMAALVNKERLSDQYDF -LFVLQNFLHFFFRNLSYTNPIDLIEHSFIHELGLYCAKLVMIRPISTTEYVLGGDWDFDKPVLASKENWD -VYFRLQTVAQLGVDFNTNVQMDPAFIQALDKIADTFGEAVTSLHSVAGVAVDGIRKKFACYLSICYNLYR -LGSGGMSSQDVLMNLVTTLMQSDMPANIIPQLRSIFLTSTAQSASIDAMVITKLLALCSFSLMVSRIPTS -RDIDSFILRLDRIPKAFTGLENIWKRLDTVTNELWTWMEVTVLKRENVIPRSDILDSVSKWENDLEQLLT -LQKHREIQTNLETQHAAGRMYSEGIRLMRICKDLNLSKGNTEIIARNLPAAKLLLTEANMSGADKSKLRT -EPVIVWFSGASGNGKTGLSYPFILDMMRVYGDPPDTWQQNVYAREPETEYWDGYINQEYIVYDDFIQIKD -SQLKPNPELFEMIRLGNMFPYQCHMASLLDKNNTFAEPKLICLTSNLQRLQIESLNCPEAVSRRIDFAFN -VRIVPEYQMEYMSANGDKLYRLDAAKARQDFGDVLCFEVYRFDLFDASSRRNILTDLTYTEVVKLCQDKM -RDRANNFNDYANFLESYRNKGVAQVEKPKHETDDSYNGETLVFTSTAQVHLDDVTNLVILQPSYMKRLYW -NICKRYYSTRLWLSKTDMSAFEMLLRGDRDGAYDKCLSIVRETRCELNSMINRETEVIKNVFGNYWPLFK -ACAGAAIGAFSLYFILRKKTTPTTAFVNGNKELLTTMRKANECLDNECRNCKKCKHKNVDLCVKWYTKCH -CYALHMEKAQVNLKYYTAAAMYQEPEMKRERERCVELLTIIDQLCSCDCANCDACCDDSLTEKFENVMKV -YDMPCVCVCARLSQGFDMVELLALIKHCGKLEPTPILNPYLRKLSVKLSEDIRDFERTTDYDHLLNTLQS -QEYEGEVNTKNIRKVAIRYQSHDDDTNMRLRKILPRVKYQTEPSVDVISSVSPTKSGDSIDKIIDNVNIE -EQRAMPEMDKSVETIVNHVVYPNTVYMTANKNDGTETNIGHIIFICGQVALMPYHYKIAIEERQYQSVNL -YSRKLIGAKIPSSVFDTFVRLQGKDAMLVAFPVTVNSFKNIVSHFVDIQSYPLVPSCPGILAKYYFANAE -TEKSRVCISAIGVSERDEVDVMSVPGCMEIVRNRDFYTYTAPTRAGDCGAALCVANTCIQGKIVGIHVSG -VEGLCKGNSSAITKQMIEESLKKMPSIAQYAYPSSALTVEMDALEESGSFVLHKYLPGVSIGTTMQTAIK -KTPIHGQLIATPNKPGPLGPFKIRGEIIDPRILQRKKYGKPRPVLDQNIVNDIRDGLKSVYYQSHEYEPE -YYKYPITFDQAILGIDGDPFINSLDRNTAPGFPYSTMRKGTKGKTLWFGNGMDYDLTGPYAVALRADVDK -LETDILNGTRPEIVWTDTLKDQKIAIAKANAGKTRLFSAAPMHYAIALRKVCAPFVAHLSRMRIRNTICV -GVNPFSSEWSAVAQKLLVKGPHVIAGDYSNFDGSLPAQLVYAATEIMADWYDLNWDYVEAHKRNVVGGQV -LLKDEYLDYLRRLYYECVHHLHIMNFKQGSLMYYVRNGIPSGCPVTAPLNSIVNQMALVYCWYHIIDDPL -KRNVKEFFEHTSSVFYGDDFVMNIRADVLEQFNQITITRAMSDYLDMVMTDEAKTGECVKWRTLPEVNFL -KRAFHYNTFIQEYTAPLDLTVILDSTNWYKIGKCSAVIVARDTLKACLRELALHPEHIDSQYRSIITELG -LQLTNLIPGELFIPDTRYSTLLAIKNMESDGLGLNCDA - ->NP_044945.1 replicase polyprotein [Drosophila C virus] -MESDKSMACLNRILMNKMMFVEDKISTLKMVADYYQKEVKYDFDAVESPREAPVFRCTCRFLGYTIMTQG -IGKKNPKQEAARQMLLLLSGDVETNPGPVQSRPVYYRYNDPRYTRLEKAIERRDDKIKTLIKELRRQIKN -RKIYSQGMFDKLTKQISDGIKDGVGSEQMNGNLTRICDFLENTLPGLQANIQATVIDTTDKYVSLKEDIM -KIVLVILLVRLLMVWKKYRASLCVILIFIFKFYGFDQKLIDLIMDLKNKIFSQGALEDTVEEVVYHPWFH -TCGKIIFAVMAFLTIKKIPGKQDWDSYITRLDRIPKSIEGAKKITDYCSEYFNIANDQIKMMVLGKTKEE -LQRANGLYGEIQAWAQEVRQYLELDQRNKIDLDTETANRVEQLWIKGLKFKSEPLLSKEMSALVHTTLLP -AKQLYEYVSCSPVKGGGPRMRPICLWLVGESGVGKTEMVYPLCIDVLREMGMIKKDDFHHQVYGRQVETE -FWDGYKGQKIVIYDDAFQKKDDKTAANPEIFEVIRSCNTFPQHLHMAALHDKNTFSAAELLLYTTNDYNV -KLESITFPDAFFNRMGDMAYKVSPKKEYGIETEKGNSGKTYLKLDKSKLDKTKAIDLSVYEFQKIVRDEK -SDAGWIDSGSPLDYEDFAKLVCSKWKEAKQSSMNKLKFLEEYAIRAQVGSEENSEYGDCIDFVDDIAKRL -QKGETLEEIEFDYASDPEMFTQYYHFKSTIKPASRWQKYKDRMDICLSDCKTYLAKKYEEIKKILAEHPI -LTILGMIGVALSALAMYYWFSKSLDPVEAEVAPSGDAKTVRLPRKLVEIGASGDVKTQKIVKPVVETEWH -RNNKGEIEISCDECGMHRMSAFNNMTDEEFDNCTYEDLNKDQKRELAQWSTKDSWLGRFFLSRDRKNKVG -IWAEVGQSGDVKTNKAQIKRVEAGAEELVTVALTQGCSDDAAHNLMIDVFQKNTYRMSYFRGDKRYQLGN -CTFVRGWSFIMPYHFVQAVFARRLPPNTIISLSQQMSEDLMQIPLSHFFSAGVDNFYLTDNCVRLPFKNG -DFRDCVMVNLHSRMCTPHRDLVRHFILTSDQGKLKGSFSGAMATFHVNNMGLYRVYNWLNAVRPCDKKIE -IFHPEDGFEYPEESYIQRDCYEYNAPTRTGDCGSIIGLYNKYLERKIIGMHIAGNDAEEHGYACPLTQEC -LETAFSALVNKNKKNISSQFYYEIPNMVDPLGDSSVPEGKFYALGKSSIRVGQAVNSSIIPSRIYGKLSV -PTMKPALLKPTILNNKVHNPLLSGLKKCGVDTAVLSDDEVLSASQDVCRVMLNQYNKNLNKTKYQRILTY -EEAIRGTQDDEFMCAINRTTSPGFPYAQMKRNAPGKQQWMGFGEEFDFTSNYALALRKDVEQLIEDCASG -KISNVIFVDTLKDERRDIAKVNVGKTRVFSAGPQHFVVAFRQYFLPFAAWLMHNRISNEVAVGTNVYSSD -WERIAKRLKTKGSHVIAGDFGNFDGSLVAQILWAIFWEIFVVWLKQFIDIENSEGKRILCICLGLWSHLV -HSVHIYEDNVYMWTHSQPSGNPFTVIINCLYNSIIMRLSWIRVMEKFQPRLKSMKWFNEYVALITYGDDN -VLNIDAKVVEWFNQINISEVMTEMRHEYTDEAKTGDIVKSRKLEDIFFLKRKFRFSPELQRHVAPLKIEV -IYEMLNWSRRSIDPDEILMSNIETAFREVVYHGKEEYDKLRSAVLALKVPQELPENPQILTYNQYLHDIE -YLADPLYDF - ->AQP31138.1 non-structural polyprotein, partial [Bat cripavirus] -ETAYGKFNPMSFSEKLQFRAEKIVSGTMSSIMHPWMALDAAKNQFDEWKVGASRWNDDEHKRYLFHQLNK -VSDWFKSKKNSVQDMWSKFRKEHSYLANAAIMLTVLGTSLAFLKVFYSFSRSFSKSSDMITPEAFAESYT -PSNIKGVKAEAYTPSAIKGVKAEAYTPSNIKGVKAEASSQGVKDINAAEVLMSVARKNLYKITESTRDVV -IGHVLFLKGKVAIMPKHYLYALNQSLNNDSNATVSFEAVLLKRSFTVAIKDLLKSRVDHESPDESLGPVW -SRDLMSVVVDTSIIHADATNYFCTKSSLARVDCTDVCLPILVNNNLSNSDRAVLMVRMASGRSALQRKET -LRVGDDDVPVLRIIRDAWVYNLDTRTTECGAPLIVRNSAIAPGKICGIHVAGLEGTGKGFATPVYFEDVQ -KILSCYQDKDQFEQKIRLNMEEYPLQQCQVPGDAEFIRLGSVKTAVAQPGKTKVEPSLCYKNIREPVSKP -CLLRKSTVDGKPFDPRGYRLGRLGNFPEQIDEDLIKNAQEALIDEMSGVLSQSREALSNNIKNVYSFEEA -VTGIEGEPYVTSIKRNTSPGYPFVHMKGFEQRTAFFGNDAEYKLDSPQCEHLKLRVNQIIENAKNGVVLD -HIFMDTLKDERKPIHKAHKTRLFAAGPIDYLIACKMYFNPIVAVLQRMRNWCHVSVGTNPYSQDWDEIAR -CLLRKSEEMIAGDFEGFDASQHQRLLEAAGEVLIQLSVRFCGSTPEDVKVMRVLLVSLFNSIHITGNEIY -QWTHSLPSGHYLTAIINSIFVNIGFLCVWQLAFEKSYACARSFYDKCSIVAYGDDHIVSVSPSCLDKFNQ -MTLTALFKKIGLGYTMEEKDAVATAPSRKLENIGYLKRSFRKDELTGKWLAPLSLDTVLETPMWLHKCPD -KALQTIDNLEWALKELALHDSTVWDKWSPILKKEGEKLGHYTALRYQHDAKMACLSQTLEM ->APG78902.1 hypothetical protein, partial [Beihai picorna-like virus 97] -MMIIAIFIFAGVFVRFFDFRRFMYVVFTRPILAHLRPLQENLNAIAQPIANAANTYPQTFGEVAHLPQQI -VNFTLSDYNKVKLIELKTLCHVVYHITKGNKLSALEWASNFVVSQYDKLPQLLEFVSDNQNLIFTASAAV -FTVYGFSIAPEVYTTICQSYDSGQDVTNLVRLNAMNAFETESGSIGMFLKPLLSMFVSKEVNNLDSFSIR -EMNQKWQLINHQLRGYQEMINGAETFIVFVLKYLFGYDIHDRAQQHFNEQVLEMMNFFIDIRPEQTNIKN -DRTKLQQILVKSEEAHVLRTNPRFAGLPSYMCSYYDKLLSQFTVFAKECSDLHRGTRQRREPVCILFTGA -PETGKTTSSNFLMQALSHSLYYKQFDASMLFTFNKHSEFYEGYHNQPFVLIDDLFASEDVNDRRNEAGAL -IGMINSAPFALPMAFESKGSMFFNSDFVFCSTNAANNGINRAQLQIGMTDPNAVKRRFHWCLHATEKYVG -KNPWEIFMRVDKAPRNFQGLVGKRMTMRQFCLRLAEDFKQKSIPAVLTQQDFENIFQEEIDDEIITDDES -EPYEEPAPINKLNLMYQKHSSKKVHLDQKQSGCVSGKDISKYFDDNTFYEVDGSEEIEPIDVKKLSSSPR -GLIKDDEEVTTASQFFQEFVDFDAYKQEDVKYPEKEMEFAPGSKVENPYNGGDQTSNANLQDPFEQICQE -QDNKFFGFLDAGANKHFSYYYNVFIRAHKEVEYCLNGIPKFCTPKAAAILFSTLVLAYGSYKAYNWFNNS -VAFETESHIKRFAPTRAKTTNKLRLKRAIDATKKRFRSFKFRFIGSKFIKQALDIDASNSITKSCKGTVH -LYAAALDDKGELKTREVCTGFHLKDGIIVTVAHFLLNFRGEQDMYIMMKYKDVITEIDIDTAEFIVDLDL -CFLRMPKKTQLPPAMYKYLITEDTEHIVYDGMTLIMASTTPSGTPVFKEVTKISGPEECNYNIGDVKVVV -HEPVAYKGETSPGQSGSMLFMHDLQNRPLLIGMHCGVTFGGGDAYRIALPIWKEFIDQLLLDIGWEHDTQ -STNSVTIQTQSCSFPLEILKEVPQNLAYNHSKQSKIFRSPLWGAFGNPSFIPCKLSKFVNSKGVEIDPAL -DGLKKFRQEDFPGKISDGAFDYLKKLYPRNGTPEIFPYDKAVNGDSTLNLPSIRVSTSAGYPICLEQAKG -KSKYIQFDGDRFVVDDNFLEKVKADEEKLRRGEQIEVIWADCLKDETREREKVDAGKTRIFTACPLHYLL -LVRRYFLAFIAEVQKHCVHKPVAVGINVHSIDWQMLYQRLLKKAKSVVAGDFSRYDTSLCTAFAKLFVKF -VNWWYNDGPENCRVRELLFEHVYHAVHIFGTIMFILAMGNPSGNPLTAIYNSITNIAATYTVLVDCLGLR -EDEFEITCYGDDNVIAINRPNYRCCDLTPHYKERFGLEYTHYSKADVEPYDTLESIRYLGRKFSACEGFM -KAPLEERVVLEMIYWIRGKQAKQDKLLSTISAFAIETSHFGCERFHELKEQLFVKIKEMCPEILPTVDRN -YQSYSFYHKGMYDPQYFVKFAWYESSNSGKLKLETLMQDCDEVAYIKAIKERHYAKRAYRCESVNHPWQQ -VKWTCRTNPVNYEVREENEETRETHIPEDTQGTRIGTFADVSTLVHATPAGPVSVGPHNLLNFEEYDLSQ -SLSRVYEVAVCTWTEAQAMGTELATISFPKALFTQPFVANIIGAYTYFTGSVRVSFRMSSSKYIYGKIIA -SANPYPITSSTDADVYGMAGLPHVLISAAEASTVVMDIPFINIRRALSIQNHYADEIARVKIRVLNPLRN -TDGTTASAVLTVQAQFVNPRVSLPMSFEPQSLREANVKSEHNSVSSIYEGKKGKPFSVRMHKMSKQMAPI -LKAAGKAVAIAKTAMEVGETVATALAVVGLDKPPTMDRNSMVTCIPDLDLMTANGVGHSISVGHMVEHAV -STDPLIPGEGDVMKLTNICMVPSLVISATMVNGTLPLPLCLAGPLVDQGSVFRPAYVDWVANQFLYVSGT -MKYKIYVTAGLFQAIRIVLFLCPDQYDATLWQDCYHKVYDIQGDTEIEFSLPYMCQNVMNSTRFPDKTPC -VWVQVLSWSTPNPTVSAPIYLNVYKSGGADMQFGTLLEKTVVLESNPRADFAQDFEYFDHHMKSYETEGL -VIGEKIESVREIVHRLAPYGTIAPNSFVRPYTFTAPNNTFMHLEMWGSIFKFYRGSIRVAVVPKSCTQNS -ALVVRVPQTAGYPSYNLPFVKYGDKNMGLMQIEAPHFAAVPLLPTRQGTQNSIPHPLEVVLCGKTGDNFV -LM ->AHA86926.2 gp2 [Laverivirus UC1] -MIVNVLYSTVRFENSADTYNRPQYPETGDKLPHGLLTPVVQCREKPRINSNIENSTPIAADEAIGFRNVV -TGPLEQNPREKTGKGKSFAAPCLTPGSLAQDLVASNPERFLVRKLVTKHSTDKGSTVSLSALNDQPIDTV -DPSVFGREINYPTGDLHLLSECRKKTRMNQQLKNSPLVAADEATSSRPVVTDPSEQNPQEKTGKGKSFTA -PCLTPGSLAQSFAAPNTVPSWMMEYRYAPCPKTKKNRKAPNMDLIADYNAKWKSKKERRTIKDLLNAERN -AWIERNDFIDHLPADLQFLGFDQNILDQIWDFARGLKRIAGDVTTFIKDSWNSIVRTIKNIGRTLKNTYA -AGQTALSFLAEGSFWGLLGVLLIWLVTSFLEIELIGRMIVTFYLNMQEDPIYDIPARIFLVSSVYTTTKK -FTTPYVNVSVQNSISQPANLQSSDWSEMIRTALTCCSALIIIALGLGVSDAVNIQTSLSGLAKRLNDFTL -IKRGVDSLTDIITTVLKEVTKTLGYQVRGLDENGEVPDDISKWVNQLKTFTTTRKQSLQNDFSACAEIDD -MNTRYTNMRLKYKDNRVLSVLLDKFQGAIVNLVALAYQVNPCTAKSRVEPVVLMLKGKSGVGKSSLLYHF -ATQVLAQTNAIAPAMTDLEIQEKIQSCIYPRSSENEYWEGYANQPCTLVDDAFQIVDSTSNPNLDYMELL -RMSNPFPYPLHMATLSQKANTNFTSRVVIYTTNVDLLKPSSFVAPEAVQRRVTMPYSVHIKREFADCHGQ -LLEEFRTGQIDTEVYEFFPWDVKTGRIAMNDPRSYQDLVDELSRKMMSNETKFRSQTADLVGVARTMMSR -QVAPREPVASTSSATLQFNPLEWMRRNQVDNDGELIIHPPEPARELDDTVPNEILFEAMLRRVGENHPNP -RGNVTDERIQEMIEYRRQDRRNERYDRELRRFSDLQYFHKWCSIPKEAMKHFKSLYSQVGIFGMALGLLS -IIMLAFSLTARINSTRRVNSILWCPDKYPRRKEIMSLLEQISAPTFTWSEETQKEYDNYVTWLSNFDDEK -VEELKTFVESKLESGKSRVKKLKNLVKLEDKINLESGKSRVKKQELVRLEGWNSDNARDVCAAVQKSQKI -LTLSRNGNALSNISIIGLFVRDRWFAVNTHYIILLDKLAEQGDFQVHFHNNNDSTFSINWSDVKIRIRKT -YERAGSKSDISFIEVPNINRYPDLTHHIPRVADGLDHVGKKIVMVHKEDNIFKMKFGNVLAVHDKSYIGL -DEEIHYAQSITTSINSESGECGAIYILDTPTSRRRIVGFHFAGSQGLSHAIPLVFEDIEKAIGPSLPSMK -VEMDLNAIAPSLLQGHTLVLGKACRLGKPVHQHYPQRTKLMKTWMFNEVYESEVEPANLSSRLAENEALW -KGMMKQFGSVTAIDSEIMSKASFDYKQTLSQMYNPNGFNILTYDEAVQGIEGDDFIRGICRQTSAGFPYT -YETVLPGKTEWFGKDEWTLNSEKSIELRAKIQLQIETMEQGGDVDYIFVDSLKDETRLTSKVLSRKTRVF -AAAPLDFIVVFRMYYMDFLATMMKNRIENESAVGIVAQGADWHRLAEHLQRKDYSNKSVVAGDFSNFDGT -LNTHILWQVHGIIESFYRADPSWCHKDAMVREALWRSIVNSKHICHGWIYQLDHAQPSGNPATAITNTMY -NCLATRYVFYKIMDQEKLNYSFNNHVSMIAYGDDNVINISQAAREYFNSHSIPLGFAEIGMTYTDAHKIG -RXGFQSLEQTSFLKRSFRYCTDMGMYTAPLEISSILECFNWIHRTEDELGVIKQNFEMANLELSFYEEAF -FNSYMKKLQQAIFRTYKVVLPIKSRTDVIYQLRHQGAKSIFAQENWT ->AQY03950.1 nonstructural polyprotein [Israeli acute paralysis virus] -MFTSQQNNNITKQPAPLSYLASMRAITMDEEQEYFLTLKSVERAQILKMVYVDQIDFFILHQDINCIGFT -LTVVDKDERTKDLEYFDLNCEYYENLYDALMDSEFSKTWFTLLLKYIDYAPISMNSRGMPRSETICVSKH -WWYELYRIGFLNKLYRCGDWNSILLLLSGDIEENPGPVEISYKESCRQKRMKKRVSKTYEEIKMQQHIDR -INNEEKRAHMTKPRKLKDLIEVEMQGMFNWTEEREILKSTAFKFNHSMDRANHIMDNLIPQLEDTLSGFR -QTYAKCEAKFFGSISVIDVCIDIISALLQVSFAKPGLKLASIAVEVFRLIKKYVSGIKINTDKIKELLTF -GKQALSSSNPIIQVSMQMDMPEVDPSILLQPGVIVSAIFLVLSVVFTKTLPSKTGVEAMLKRTGELGRSA -KGIMDLNAVLNTSVTSMLEYFGVHTLGLRQEAELQVLVEGYKTWCDEVAALVGHKINMDGTFDGRSIVED -IMRDTKEIQRVENLYKRGLEISRNIADMKLPTKLTISFNTHMRYLTEVFKAVDTSGAFGNKPRTQPVVIW -LYGESGVGKSGMTWPLAVDLNNSLVDNVEEMRNFSKNIYMRNVEQEFWDNYQGQNVVVYDDFGQMRDSTS -NPNPEFMELIRTANIAPYPLHMAHLEDKRKTKFTSKVIIMTSNVFEQSVNSLTFPDAFRRRVDLCAEVRN -KDEFTKEGYSKTKGCMVRRLDKDLVKKKTGDICSTECYLVDLVNPETGEKYLTDLEYEEFLDMCLEKTQE -CRDNSAKLNEFLMNYAESRSKRPEQKHDKCPELEFEDAIEVSMQVDADSSLVPIEKSRLQEMIESCQGVV -YDAYGKTVYISKLAFELAPLEYEKQMERISEMKFYQKMASGVVYLRQVRDTSMKMLKSWMEECIQYAKDH -PWTIVAGVLGTFIGILTIVGFWKWLCSDGQKKKQAIKRHFINTGYTLIIPERELNKFWELDETLDLRRMP -VNQIEEHLALLLKPRHRVILVPKATKYIISLVDNHAKLTDKIILITANRYVFYKNQNYELVFGELNQFFE -KDPESLVNTPKVEAFASADLSTYKNRTPIVIEAQTSGDNVTLKQQKVKVIEAKTSTDEATLKQQKPIVIE -AQSSGDCVTRKQQVQRVIEAFASSDAVTLKKPTAKFVESDPNDIVDVTMQMWKDQVAQRLITNRVLTNLY -KVCLVHNDDRITPLLNGLFVRSNLMLVPGHLLGFIAEYDTIEIRNLFDVVFRVPWKDVKKIPIVNALGES -KEAALLAFPKFVCQHSDLVKHFQNAESMSKFKRCEVTLPVLRFSEKVGKFLSTLIECDRVEAYDRPYTLN -DSQKGQYILRQGLEYAMPTTNGDCGSPLIINETQVLRKIAGIHVAGATTGKAYAESITQKDLERAFTKID -VSMQIQLDLDSTLDFSKPEPKLPSGTEFGPEDLSFCDLPALKMLPVGKLSESLFEPGKTDIRPSLVHGQI -SDIKTKPAYLRNVIKDGDLVNMKHKNLMKCAMDTPYIDKDMIDEAYQLTKSVWLKGMRDELKKVLTYEEA -ICGSEVSEYISSINRSSSPGYPWIKDRTRGTKGKQGWFGSEGEYVLNEDVRLAVQRRIQAAREGKRLPVM -WVDTLKDERRPIEKVNQLKTRVFSNGPMDFSIAFRMYYLGFIAHLMENRITNEVSIGTNVYSQDWSKTVR -KLTKFGNKVIAGDFSTFDGSLNVCIMEKFADLANEFYDDGKENNLIRHVLLMDVYNSVHICNDSVYMMTH -SQPSGNPATTPLNCFINSMGLRMCFAICAKNAGIKMTMKDFGKHVSMVSYGDDNVINFSDEVCEWYNMET -IAKAFETLGFTYTDELKGVNGEVPKWRSIKDVQYLKRKFRYDEQRKVWEAPLCMDTILEMPNWCRGGLDI -QEGTKLNCENAIMELSMHEESVFNTWSKIIDRAYANATGDHLDINTYRGYAQERFLEYYM ->APG76709.1 hypothetical protein, partial [Beihai picorna-like virus 88] -MYQGVPFFNTKQRVGIFECMMESALDCVVYAQVLEHVKSVMKSPGFKMAAEARNRVKHIGDSGAASHMWD -YIDPADKPRRWVKPRRYIPGLTIKPKRAKKCEEKQKSSYDDMPPLEEVVTSYEDFVRKSGPTNQKSDLIV -SLENESFVRVLEVLSLDNEAEKKESFPLLPKSAVEFADDIQQQLNKLPSKKFSDFFPREKIIKRSLKNES -RVRVVESFSLDNENMWTAARGVKPEKQAIEPSFDELELLQSGQFWVLPVGTGVDCNFDAPKVRGPSGQRM -SHFSLFKRGSNIVMEPCYQKGDRFFLKTGKHVPFGSEICENTEDEIFEMFARWDFWHQPEFISVTSRDAL -PLGVSFLPQSLEEDYDAQRRHNAGQRKEIQSLKKQLARQSNHIQREIEKEKRRRQRKRELAREEKYVQPQ -SDEETITLLDYEMRQHVNKIRAIKRLDNMAILNDHPIPISRIREELCKLIRDGDRLSQKIHYLRLSQKDR -TVTPQNDEGIISSITSPFRSARNTIENMEALSATMRQETLPEVNSFFSTVNQIMDSISSFVNVPSGVSVM -GSITAGHCLYNAIRNSDKAQMISAGYLVAQNLGLAPTDVFCLIHSMVKSCLATFQPNVETPIQMEPLDLD -DDMFADPQSLISMLQDTVESAGEAVSENGWLAISVSCIFGFITLLLSGKMPEQSAATKWFSNFGRMSVGV -KSLRDFYYWVFDQVENIYYQYVCGMSADQVHLMAKYPQLQTLIAGSIFVATLELDPINRSAEIADQIINL -RNELTLFKMEATKSKDDRLAKIIGEYLNGFSKHYQTALSSPAKVNKVREEPFSVYVASQASGTGKTTFCE -LIQAEIYKRYIERHHVPFSDAVFVRRSGNDFWDGYACPPSGEHIVYYDDFMQQADSASKPNPEVAEVIST -KNTAPYQLHMANINEKKGVYFQSKYLLYSSNIEQPAPESIVSASALTRRMDLGVKVGVRHQYGKKVTQNG -RSFYRYDRAIADAYRQSQGHTVAPGEVSTDHYLLDVYNVESGTIVKPSLTYEQFISWMFDCIDEHQTKSS -TLKRSILRKTGVDVSIEQETDRELADRIAEKSRYDPTTVVNAVEKYYPKEQIKKIFKSMANSLGQLAEST -CSPSEAMASASNAVVRPIFSIFSRSIEISTQADESFEDAPPPTLTQQILQRQEAENARRARQPDEGWASH -LYRLCVEDMSNLFGWIKMKIISSIKWVSETAKTWWSFIKTILSGFFGAVKEKALGVLSLAGIAIFGYVMA -TLLKKKPSSCKFWTDFADVPCINQCNMCSSLHLTSEWELDLSTYAAFLSTDAGEKYLKVLGVYTNRLFHW -YIKHNLRHHSGESITVSPRNPIRVESGENKTISPRTPIRVESADTQTLRPKTPVRVESNEVKTLSPRQSV -RVEAFVKLENDLIPNRTFRTQHRDRSIVNVESDFTFKEHISGMKESQKLFAKGDLVRVEQSKHSIVHNGA -LFSFYERIPGNQLRVSHGSGIFVLGRIALVNKHTIPKDFDFLKVANPWRADSMAEIPKRAVKISFLQNVA -GDDLDAALIELPTIVASRPSLVQKFAHAHEFPLLKEGTLTMDGLRVTEGVPVVFSKTTDGFHVLDAEIET -AVEHGSLPAGHKYTRSLNYRMDTASGDSGAILSVSNSNLPCKLVGIHAGGVGKTGNGIGIALSREFLTRV -LNEHFEKHNTPLVHKIDSTIPFSNQSDVVDMPSVVLPKVGNCIHLGTAPKPFSSAKSQVSKSLICGAFGE -TTMAPAHLAPFKMDGKLIEPHENASKKLLHDRPYIDEKDLRKAMREVTNAAGRPTKEYRRVLTIEEAVAA -EGIQELTSMKRKTSKGYPSVLKGNCSNKKELLGSDGDYVIDPELRSAVNAMIETCKAGKKPDAIWMAMLK -DERRPLAKVRAGKTRQIYACWIVLLIVHRMYFGGYAAWTVKNRIKNGCCIGVNPYSLEWQQIRDNLLEMG -DRIVSGDHENYDGQNNSMMIHAILDDVDAHYFDQTKEERQVRVVLWDTIANADVIINGEVIRLDHSHPSG -HFLTTVVNCKSNYAFHVDLFNKLKYQAGKPNFQFHQHVRIQTYGDDVLFSVSAEAAEFFNYPNVRDAFFR -YYNMVYTLETKSSEDIGFRSIFEVEFLKRSFRNNEYDMIVGPLNLTSVHEMVNWVRGGRKLDATIVNVNF -AIRELYFHGEEIYNRDTEILRNACAEAGVPISIPAFEEFSDGWAYENGFLVGYEEISFKDLDKKMFDWAW -SLDCQSDQEIISAPSEQTGTDSYDDSAIGHCHWDIPNSTHSGPFTEAYTNMSEFNLINGHLVYNGEDLFN -DDMHPYLEDEFARLEERYRYWSNSAILEWIDEQDVQLREILEWSNNFDEEADSDGEEILSVSPAPSERLL -AFEESPSEISEAPSEHTELYATTLQGECVHLGRYPIMFIPLAMFLFGLIHGVMMTLLIDALTSVGWSVSR -IHSLYTSGEWIYNGLWLRNRNFWQGF ->APG77443.1 hypothetical protein 1 [Hubei picorna-like virus 23] -MNTIKDQRETAVKRELLKTQMEQTEMTPATQSCSSLSAEDVQKTITSVSDAMIPWNQNELNARAIGEIIE -QNFAQHKMTYKGILNWSNRTRDYIFYTVNRNDGSALRKNDVNVACMIALLQAHYVSHNIDWSRVNRDIEW -KIDPIIESVQELAINRLTDTEWIVSEGTISPIMLSIMIEEERLRHVPFLDWIMSKIINSSLQMRTLYMLL -GDKTFKLPGRGRFHMLRNLFLEAKTHFEIFSNLYSEEQRIQLEQLNTQAWNLYWEGENYQHLCDQMKQIL -VSNEDKWMPGRLETVTHQFVNDKFIQTECQGLFDIKIVPDKDAFMEVFQNVKQYIVEQFGETLQFAKDLV -VNVVILVILWNVVSLLWGRQYDVKWIGSFLSVLSAFVAIICAGGIAAALGKIIYQIGQLLSPRHTPPIEN -VLWANWDRSAGKDIPPEPQQDQYRQEFSNFNRFLVHRTGSDINDHWIDADTHAGGEVEPTTKVLGLLSLA -TITALTSKTKETWTPTFFVKQISALPRFTQGLECLLSCASEIFKIVHKEILVDILGYAPLAEDGTHPMIE -RFNKLMTLIIEADKKNQIQTSSVFQSYVLEAEQLGLKILQTKGLGEFRSIVTPQYAILRKLYERLGLRGV -NARGQRLAPIIIQLYGETGQGKSTIVTTLALKLLAKICEAEGIDKKHINPEDLIYARNVEQEFWDGYHGQ -LVCVFDDFAQHRDFAQTPNPELFEIIRAGNTFPYPLHMADIADKNTTTFQSRIVLLTTNARKPKIESLVA -PEAFYRRIDQSYQVRFNEEYCEVRREDYESTMNGSQGRGMSKVTVLKPEHKQQYNPNIQNFQPFEIETSK -PVGEPINLDTLVERAFNQYNQRFNFEKTRKEFDHQLARDLGFVHAENQMYPTTWWKATTTEFDQNEPEEV -TQLRGTIAQYKARYLEIQDRLKLKLQEHQSILKALGILGMAIGVFKLGKMLSSMLGGKSQDEPKSRLTAR -TESPYAPRPAPKRQSAYHPTPRSTKAAYNKLVKSTAQASDLTSRDVCAALMKRGMYTVTVDGRTLGCGTF -VSGKVFLFPRHFLACMEHSLSQNEESEVVLRSAQTQYVMKTVDILDTVEEIQDYSDAPDETYKQDCVIVI -FRTADNHKDRTDQFLSREEQKKIEKSDVLLAIMRPLGETVSHDQLICRAEGVNRVWPGEGAKVQGRTLVD -GEESYTYIRDYWRYALPTHYGDCGGLLLLNNKMSPKKILGMHVMGIASADDGFSVPIYREDLKNILKLIA -QDDPTYVTSCTDPEIKRETQCLPFEGNFHPIGITPKVNIVPSTSKIEKSEIHPDNCKFAYREAVSHPALL -KRITVRDEDNMPFPSGTTFDPMHYRLEKCGMPAKCVNQKLLDLVRRSYTTELRQICQTHKQPIYQSAYSF -EDAVLGIHGDPYVNSINRASAPGYGWTKEVGFPGKKTWFGKGDDFDLKRAQPVKERCQLIFDLARQNKRY -PHVFIDTLKDERKPKEKWWKTRVFSACSQDYYIACKQYYQGVVGLLTRHRIDTGICVGINVYSQEWHRIV -SHLQQCSNQVVAGDFENFDASLLTQVLDAARIVLNDLSSDLDGYEKEHDDIRSVLFLDLVHSTHLARDIL -YSWTHSLPSGHYLTAIVNSIYVNLIFRYLLAKVIMEQNSTISEVELDSYLRRMKLVSYGDDHVVAFPPEF -QNLINQNTLPKLFSEIGMTYTDEKKTSCEVPDTRPIEDVTFLKRGFRWESKLTRYVAPLTLDTVLETPLW -RHKSLNPRKVLEDNITWACHELALHDEAVFQEWTKKIGLVARDAINFVPNLSAQRVEYIRYLEQQWVTQY -EEPEDLDQTIRTETESHVHDCDKCLRPYIHSHPLGRNGNKHKQFPYQCPNENCQWYFGRGNAEQKCNKTE -SVPV ->YP_009345912.1 non-structural polyprotein [Bat badicivirus 2] -MGNQISSPPISPPSVQVEPHSCRLRSDGLCGHSRHNHDYRHLPRDQFDMYCRHAAGMYSPQRDAGMGSDH -DSLEPLLVSLELHGHLITCLDDLLSLIDYTTPGPISTTAFRILTMFRLCMVRRGDLICNDYVCCAAPSGH -SMMCDPEWLCPNCIYTLDEIMEFLLNRRETPSDRTPQELDPEPVPLSDYWVDYARVEWQGDEDQDPPEYE -LPPEYSTVPPLYSYQDPLLTNGFGSVMYLYIIEEEPEEVEPLMFEDMKKACSTLKEVGDQAHQILPQVHQ -AVDGITALTSELAKTSNQATNLFDAAALTIPKMEHAMSSISDAAASATGVLNGIDAIISPLANAINSSQA -WVVNILKQMSETDWNDPEAVGNTLQKLGEHTIVDVLAFVARSAYQIQRGDSCRQVIVSLFTEILGIFTQM -RACARVGMEIALDAFFRVCGFFLRSDEGTSSMSDNTVEPLVGNPENYGFMNFLADVLAVPRFGAKVCGSL -FKGLHDVIKPIANLSKDALSIVALVTALYKFLTWVYEAILQHTPIGWIFKDNEVQEFFQLLTFLEANRSV -LEQNISVRKILCEQRSTYIGLRTKITDPSCKVFNSSQTSLIVSFLKKYEELEKYIGDLGGYSSSVYRFDP -YCLWVEGAPGTGKSAFAVALLGKLREWDSAEFGGDDATWTPSHRANFDNNYRGQPYVVFDDFQQDQSSSK -CNQDYMSLFDFCTPNTTPMNLPHLDDKHRKFTSKFVYVAANFHDPTNAQVMDKDGIRRRRRLVVSMRPKM -EFGKPKERSGPMQFDHCEFDILNRMTGAVERTVSLDELYEIVRKDWFRHKAMQMDNIYAVAKTMGFSDAI -PDLKTLKSVYQEWFPVAKKDEEPEPEVVREYREADFAMRYDCTSETMELLRTTTTVQMTSMEAIWEKIRA -TVVPQWPSFVPKWASANWKLILGTLGSIVSLVGVYKGLKWLCKPMKVSGCSGVDKNIRRQEVEMKIRRIN -EAGEPKFAFSGRGSGDGKLKVRNRQNGRIYDADSLLTKVERLTSVEAVEACAPLNDTLQMLSKNMWSFIN -ENGQRMITGHHIIGNFIMVNMHFARAYSGHKFIIENGKKQRYNVLMHSELCYQIGKTDMCLFDACAVGAA -TSTYLNSLPTERELETRGVHYDALAWSQVNERTHCTPLTAKLRADWTVVSNDHTYTYARYWVASSVLYEK -GDCGTALVSRVPSMDRRVLGFLTSASASRNETYFVAISREALQKAAEEFSYLLGREGELVTAAEIPPLDL -TEPLCLHPNLEFIGHVSPQDARFATRKHDLKESPCFGEIFPATKGLSVLSKNDKRVEVPIDGEILTEALK -KFTLETKPIPPPQAEMALQVVMAEMGNLRDPFLDMPRVWTEDEAINGLFYPGGQGRVHQAPPMNMDTSAG -FPYKRPPGAEPGKKFLFSRRDHPLIPGCPLYTVSDPRLRTELDQAYEQLEKGVVPFMVWTNCLKSEKRPL -EKVKTASTRLFTAAPTAAVILFRRYFGSYCAAVRAGAPRGGLAKVGMDATGIDWHNMIMDLLDCGTRGFA -IDYSSWDARVTEQMFRIFCTAVNAWYGDSLENRRARWALCDMACHTYTLCGRTVIQKHQGNNSGSPITTE -LNCVANLSCNVAAAYDLFGPEKAANFLVDFGSVFYGDDFVITCDIEDPELPAKWKAALEAYGHSVTDAEK -SNKTPAWKDVSDLLFLKRRTHLLETGIENYFVSALDKESIEQQLNFISVNHAITDRDLLIQNMNGALREA -FRHGQEYYEHFVRKLRSWCAEHDYPATFPTFNSYRTLFIEELRQSVCRPFAASPQ ->AHA86924.1 gp1 [Micalovirus SF1] -MYRQIASKGTKMTTALSVTRHVNEKCSEQNEKSSITGGQETNEEIYVTNVWVSCLELLFNNQPQLRTKMN -QMIRLYDHDLDTRRKMKTALAAYQAKYPECTYPLYYSEWEEDFEWPPLSFEEETAVLTFFLFTYVWKDNC -VDPVVQQQASRRAVSQPPDCVDDLDPYNPKHRNMKIGFGLYGSHPFLYHTTFPRPLSYPKFDRACEWKDR -LHFESEYVKFCQLFQLRGWIWRAPGAVRFAYQRTLDTGYLVRTDSEVLGPQPSDKKWKLDKKDLRILSKA -RTFMPFVVEPFARLLRLITIKPKVCQQGPFDMFMKAKALASKVKTGVFVLDDILDYVSIKHTEFYDYLKE -KTGVELCPGTRVIVDGFIMVIIGCSLAWALRKLHSKIEYVLDVVLHFIPEMTTTSIRCLKELLLFGNIDS -RPDVVEQQSETQAQIKFISTMVEFLGSFGVIGDRGMGFVENFRWTTGNSMRTGTLLENIMNNFVQIVGLI -ASYVAPSSELAKQFNFPKEFDAVRKRIEARECQDNLFRPDSDMNKVLKEITDDHDWICTNSLKIYGMSEL -KHKVGYINQIRLAAIKHVTQARIQSGLLDNRQQPILIWLTGIPGQGKSDIIPLLRRMIVNTMSKTDDPYW -KDMKDSPALVYTKPSASDYYAGYVNQPFMLLDDWGQIQTAEALSKAVTDVITLINTAPAPLNLPDVESKG -KTFFRSRVVFITSNVNDFAVLQMADLGALGRRVSHAVKLDRVQNLPLGKDKLTIDQVNSAWAFTPQQQLG -GVRHLDVPYSVANFVAQGFDLANPRTYNVSEFSKIICDKLKSDSNTMCFADRVELQCCRKKKKIVESIDL -FDDIIAEAPPSAATCDDPTFIETAANELKKNGMLFFNCGEPTRRAIRFYFLASSFANSSWKNEGFYSSLL -RCSEEDALCVPRKLRTVYNQPNKIFFKIIIEALRMSDIFDVTARTVEQFMLPVPSMEKTQVAEAPHLQFD -KFFKPPYETDVMQYTTEHRDAYVRTIEQVLAFVETIVGLEMFSLSYVKKGSMTVHNPRALMSTPHSMISR -VWNWFGTKNVIAPDGEKEGHVLHSFFLKVLRQARRDNEESRITYAESLCGNNSFLKETFGHLLCTALAQQ -IQDRGFKGLEGPPRNTIVSSSHPYSQSHLNISGRDFLLAASAIYPQTEEVRTLCLTLSVDLAAKDKVEYV -FNTGSGDFQAFPFAGGFGDSKAVSFEKDEQFSLFLASVEKTNACGDAQLTGLLNIDIVMLKVIGALLAAR -QAYKFVKSIVEFFGGGESVLLQGRRRKFKRNHGSEDGLRAEDRDPQPYRRHEFNSRYDDDYVYQQGVSQL -SRELSFLTNSWYVLFKFVDGLETINQVLFLDEKTAFFAWHCMYGREVDALERIDIYPDHPDTPGVNRKLT -FYAHHFTIMRMGKERDLGRIHFPSTSMPGVRNKWKLVAEKSKIPTSVGSVCRLMAGLVAKNRVLVAEGNF -EARLEVNSTKMYEIVTDKGPIRDTGLTNYIVYNAQGIAGDCGMPYVIRAGTDKALLGIHGGRIGQDATVI -PIYLEDQVAVSQQAFPPGLKGFLRPSEPIEVIPGLRSICKTEGLKLFTSPPDSKFFLENKELFDSLDPVT -DVKYPAVISKQAISNRIDTTFNRAATLFVEQGKHHPSLKRGWAKGFLPARVDLQRFLTFEEALFGIPDVL -PNFNSSSRFVGPFFSKPKNALVDFVNETFDPELKLRVEAYWDLAARGKNVVPLVVQFPKDELLDKDKIDD -RLCRLICGHDYAYNIFLKMLVGGLMEAFISHPAESMVAMGIDPQSSDWARVASKAFRFGKKRVLAGDLKR -QEVSTTTDVVYAFVAMLSELYSLDEAQSKFLTHALAGLNGFYLLIRDSLYMSINGHSSGHTLTALFNCFH -VYWIHKSCFEQLRPNSEWLFEEHVALQVLGDDSLGSVSALAGTFFNMDSISKWCASVGVTYTMGTDKHAD -VVPFIRSTEATFLGRSFRKVGGLVTGPLRKVAINDLLCYSVKVTGFTPEQVRDIRTLQAFRELVAHGRHV -YDDYARRVKETQRARFGNCTKIPTYTQFKEDLDATWFKTDGNLNAFAADIVHSLY ->APG77985.1 hypothetical protein 1 [Hubei picorna-like virus 15] -MSENMSQLFQNLPSLSLTLSASEELQIRNSAHSLLGPPTKVAPLRSSSGIARAENQLFLVDSLPASPKLQ -KRYVVALISDYNRLSRKDRSKSSKIFQIPSNISYLQVLYQHLTQQLRNDQDITNCFFLFLKSLSIARKSF -QFNDSTFLPISSAYRLINASLCLLLNLPGLSNCPKITAYAYVLRLFLKNKVLNNSAILSALLLPRSYGAS -NFYASATANLKILNAEFISYATLLKQYNNSPSIKNNFIDIIYAYYTLFYSITEDADITVSGISDTLKFLN -DQYSRLIAEPQIGISINHTIDGSLDDAINKSLSLFSELTKALSDKYQTTVNDYQRKIIASITSIYNLYRF -TTHKLSLQDFCVNLCGVIGAAGLASDIITQLIITVRSLFIMPIAQTLSACNFNIIKSIFLSLYCIFVGTL -PGKHTVDEFALRMDRFPKMISGIETMWSKLDLVVGQAHSFIEEKFLGRNNKFVSSEMLDEVTAWADDVAK -YAGYMERNEINRDIETMTAASKLYPRGVRLIKECTRLKLAPANLNLIRSLLPGAIKLSDAAFKSGANKHS -LRVEPIVAWFTGSTGLGKTGMTYPFIIDMMRVFGPVPADWQQNIHARIAENEYWDGYDDQEYLIYDDFLQ -KKDSATNPNVELFEMIRVTNAFPFQLHMSSVEDKSNKFCNAKFVFLSSNLDVIKTESLNCPEAVQRRIDY -AYRVSIKPEFREYYVNASGQQCFKLDAEKARNAARILLGPNKKNLTTNNLDVYIFERFSVFDGKTLQTNM -SYKDVVEQCSSALESRFARHVDSSEYLEAYRNPQWLDETPPPDYEAPLDIIEYPLQASAEIGVGPSVAVI -AARASRVLIMQHFVSAFFFGNKDSALMDFLYAVKHGMSKILEKFNIIPRPSNIWNNESFSYYQNYALSVK -NYLSNCKQQLSDTLVSTLGAGWKYFKIALLAGIFILTTMFVKSVERKYLPKFISEDEKLSILVKEANTCL -DNDCRNCKTCSNSVSTPLNAKWNSNCACYIRRMEVSRDNIRQYCVSMYGNQRVSPDHEMTLTDMYDVIEQ -ICNCDCSVCHYCNDEELKSKLFETAKLHKTNCVCLLTRFYQGFRTESLLQFLLTLRDNLPAYSLKNKELI -RLISQHGIKEIPVLPEFTYNLTQSPHYDAKPKSVAPITKIVNHAPAIYEGRQARNACRTVIRNQAPHYDA -KMKHVTTSRIVNQSVANLTPFVDDVKTIISKADIHVRDACTISNCGRCLSEQATPSLQRNLPEQDVGAIT -IVRDVVYKNLFKFVVTKTDSGNVKTTTYYGQIFMLGGRLGLIPKHFLRAIKMDLELGFSLEFCLEDAFAV -ITSQYPVEVILDAENHIEHDSRDLAIIQLPINAGCYSQAFKHIVDEQDLFRVGHNPGILARYQTATEKDR -QKGIRHYREMFYLSTLTPEDSLVETNMRDEIITNRGSYLYHAVTVPGDCGSVLVARSTSITQKIVGIHIA -GLMGVVEGISVSITQQMIVKMMSHFKSSSQYGHAVVPFDVRSDILRENGTFQLHGTKVGVRINGSVKTAM -SRSAAFGALCVSPNKPGHLRPFVNSQGERIDPMKLQRSKYGVVRPFVSLSRVQTVYEAMTVFYHREYQNT -PEWYKQPLSLEEAIIGIDGDPFINAINRQTAPGYPYTLNKPKGTVGKQGWFGKEMEYDLTNSHCLQLLDD -VEQLKLSMLDNVRPEVIWIDTLKDAKIPIAKADIGKTRLFTACPMHYSIAFRQYFLPFIAHAMRNRVDNS -LAVGINPTSVEWTKLAQRLQRQGSNVIAGDYSNFDGTLPVQYVEVAVKIMCDWLRANWENIVKANRNVVC -GRNLTEEQFYDFLYKLGMECFNHLHIANHEEAKGALVYFVRNGIPSGCPATAILNSIVNHCVLADSWLSI -MQNEPLYENLATMSAFFEHTSSIFYGDDFIMNIRHSVIDLYNQETLTQVLKTNLDMDMTDEAKTGDIVKA -RKLADVSFLKRKFRFEESIQLWVSPIDINVLLDAPNWVRAGNASALQICVDTLSTYCLTELALHDRDVDD -YWRPKMVACGLNITRGTGIAFNPDSRRSVLAKFRNEQLNTEINF ->AMO03208.1 putative nonstructural polyprotein [Empeyrat virus] -MNTTQQNKLTIPNMMTTNAPLSLQALCKHMIKTKKEFKANDLDIQQLICYGILKKDEVDKVKTVKVFDAV -QPIVLKYHFVEPLKRSYAFSAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXXXXXXXXXXFDDGNFHSFRNVKRRISSMKVDVSPQWYDHLIKSGFPTFYKMIFYDKHVLINTLMQ -CGDVELNPGPVMSRFMRYNDSSINKKHEAQGIVDETRRLNDFLTTQLPTVIDSIKNLIDNNELSVNRNIF -YADAKIKEDLRMFNENTGNTLDKLRNMQSNILKTLVLISIIGIMTHLKWYKTAMLTGIVTLFSLFGIPEQ -LVKQIQKFFGHEAXXXXXXXXXXXXXXXXXXXXXXGKLPKDSTIENFSKKTNNISRGLSGMININRDIGK -VWKQVQEFVTKQIDPNPEGFLSMEDEMIQWMKDIEHYIDLIVKKKATIINEQIIKISGLLKQGLRLRHWA -FTNKCSPDVCRNISNYIRTAEQLYNYADKNNTLDGGQRQRPLCIVLFGESQIGKSGLIYPLAQDLCYAAG -YRKSSDIDEQIYARQPETEFWDGYKGQFIVVRDDCLAAVDDVSNPNPELHETIREMNDFPYHLHMAALED -KNSFYTSKVGIMTINDINAPIKSLTYPEAFYNRISDHMYQVTPAEQFRKTLKLEGNNEKILLDIDKVRQR -LDELSTEAGYRVPITTEIYNFIKYKKVVIAGKTQFKPDTSAKILNYDEFSALMCVQLQQKQDDFTVKKEF -MEKRLKKMVAEVGEDDDFFECYADITNIISERICKGESLLDIECDLLNSDRADEYLAFKTKSNENQNSFT -NKLKYYSETMFDNAVSSIKSWFNKCKQLCQTMMEKYPALKYIFMLGTVAVSMFAIYKCFIQEEKIPHFVT -GDIITEEEYERNSKWIGQQKDLSFNEQLAYWKANILMYVPAERENILKNTEGIATHVNEVMQSPSSGKTN -ILPKHIIEAIQSPSSGKDKSLIKHKIEAGIFHESEGSADTNAMETAFTIMKNNLYSMTYVNDKGIDVVLG -NAIALQGHNYLVPYHFVKYLLLRKMPLSTKLNLSRINYALKIYNNMSTFELRELVNADGSLNRAIQIKYG -EHELDGIIFNISTLGNSVLHRSILKHFIEKDELGRLRGNMQGLLLSYHNDNGQVAKVIKSLNDVHNYEQE -LRISVENETYIHRAGYLYNGDTMKGDCGGPLIIKSNSLTRKIVGIHISGSSGEGYSAKLYQELLQQHIDE -LSRKVADTHRVHCFLHIDEDMLKDDNVTLPEGVFNEIGTLKVPLYQASRTVLKPSLIYGEISEPITKPAY -LQPFEKDGVLIDPAYKGLEKCGGITPLIDSNYCKMARNYVQHKLFIDHKHVGYETYARVLTYEESIMGTE -DLYMSAVCRSTSPGYPFNSDIKYKTNKPGKQHWMGSGETFDFTSSSALQLRNIVQELEDNCEKGIITGVV -CADTMKDERRPIKKVDEGKTRMFSACPMHFVVLFRKYYLGFAAFIMHNRNKNGIAVGTNPYNEDWDQIVR -QISMKGKRVLAGDFSNYDGSLNTQVLWLVYEIIENFYKRYDKNYSEKDAKIRYSLWLHIVNSIHVYGDTL -YQWTHSQPSGNPFTVIINSVYNLLILVIGYLVTIKNSSLDSKQKVCLLNTMSFDKHVSPVVYGDDNILNI -SDYISDVFNQQTLTDALKFVGHEYTEETKDGKMHLYRNIDEIGFLKRRFVFDNDTFHYVAPLDKSVIYEM -LNWVRGNSVDSVFLLKSNIETALREISLHGEKEFDEFVSRLCANDKVMRLVQPYVPTYAEVRCAIENMDA -MGGFTA ->AII82236.1 RNA-dependent RNA polymerase, partial [Fesavirus 3] -YSDWMRGKVPRCPFTCNLKREIRDRERVMANKARLFTAPPHHVNLAVHRLLGEWAGGMKHQGRALCHAIG -VNVHSGAQWGNMRSYLLPHDDWLVVGPDFSGYDTSHLPEMNQKVADYICRMYPKKYHKAIHACLLSLCTY -ENWDCGKDMRCVTGLASGSQITSQINCVYTFCVYYAAALRWFKGDHAAVNRNIRFVCYGDDTVLSISPLV -QGSDKGASYLKHMIDFFWECNYEITNGDKSELTHFIPLSEVEFLKRRFRFDDTLNCVCCPLPEKQIFKSV -LYYSEDTDDKEENRRSSLRALALEMIELDPKTRRRVEMRLRDALS ->AAT81157.2 non-structural polyprotein [Taura syndrome virus] -MASYYLNIKTHNLRRTPVAHRAFYVMNDDGENRIYSLIGTLRRAPAFKVGSRRYKSHVPYRRKATFAELC -NQLHDRVLPFANPRVWKEVISENKVQPDSMLKAAFDNWEEWPKDKVCEELYSECECGYVGTCYVSVDWLR -PQATKCNDCILKMNRKVEYPYDTIGVSGNVVTNTDIVYTGYADVFKCEKCDLLMGAWAPNDIPALTHNIR -SSQCVQFKLPTENLVARNYTLLCEEIERENIHVIFQDYSEGDVFTCRIFSGDLTAVGTASNMYTARDVAS -KSLLDQLHNTPDVHMHSLHSLPYENFPCEALEFAVEQGIIPPVTFDEVFANDEYVITISCSLLVVSDVGP -TQAVARERAAKRFLKMYDYSASYPSTHMFTLSTLPQRSGETLELANATLNHVNNVIDRHDEAISNVRQNV -EVKLTDVSRQVGAMLPKVETVIDDVSSTLSSFRGILDKISAWMPSSNPKIIDLIKETFVSLFFAILTKSL -YPVIQGISSYALRNNLMANHLTALSEWLMTLEYDSPDEEEMPSTHGFMDDLTSRLPGLNSAKAQAATIYE -SIGTGLCVALSGILSFIAVMCLGITDLSAVTFNKLLTQSSLVGRALVGVRSFKDVFFGIWDYVDNQVCEI -LYGKSRKNLDLLKEYPSLDSLLSIFNYFHDTVDANVLISCNRAACELLVKADNLYQGYLDKSITLMHREI -SSRLKEARNSIKDLIAKAQVYLTCGDGSRVPPVVVYMYGDAGCGKTELSMALQDHFATKYFGEAPKKDVI -YSRKAENEFWDGVKQSHKIIAYDDVLQIVDSAQKPNPELFEFIRLNNSDPYQVHMSSVSDKANTFIAPSF -VFATSNVNPGTYVPKSIHSADAFRRRLDLCVYVDVKDEFARIVAGSKGHRKVPCEQKIWLHQNPGKTQQD -MKQEIIAGTYKITPETAVYELHVDTTLAGNAQSKVCAYDGLVSLIEQVRKLRVAAHSDKVETDVPVLPTR -LHELSQETFPNTHAGVGFQFATDWLGDFDRPVEALSYLNETLEAHFVSRSANDGSMFIPASEVADLLCQR -HNNTNLNEELVYLTWMTQITDKELASSLVYFTNNGMDKSIWKKSAERSAQAISQCKNAWTRINDFLKNHW -ISISAVIGSALLIGGVSSAVKCATKCRVRKILQDGGSIMQLVGVRSCMYACQLCKRIKNCDLRLRVRNRS -EGVTTFVPGDVRRVARHVISAADVCEVPVHHSFIQSLCDEAFTVHSDKEETFSILDFAPEAKGRNPPESA -VVESHQDYRAKTAVVESHQDFKPKGAIVESTRDTVFTESHQDVRVKLHPQIESHQDFRAKNPIVESRKPD -YQVEWTDLRTESSNDRNAQDISNRILSRNFVRLYVPGSSLYTHGLFAYGRMLLMPKHMFDMLNGSVEIVS -IADKGNTRVHVKIQSHKTATRGGYEVDIVICEMGNSISARKDITSYFPTVKELPGLTGMMSSGRMRVFST -AKFKASDSCSYLMPQDFVAKYIAAVDHITSKSPEKKSYFIRQGFEAESDSMQGDCCSPYVLFNSASRAKI -VGLHCAGFDGTARVFAQIITQEDIMAATPTTHAGCVTTEFPHTSLRDSPLPNSMAIGSVKTAPNPTKSEI -TRSPIHGCFPVRTAPATLYSPTEDLLIKNAMKVTKNVELLEEDLIDACVHDVKRILNAPGVSDAEKRVLT -HEESITGIENRQYMNALNRSTSAGFPYSSRKAKGKSGKQTWLGSEEFIVDNPDLKEHVEKIVDKAKDGIV -DVSLGIFAATLKDERRPLEKVQANKTRVFAASNQGLALAIRRYYLSFLDHVMTNRIDNEIGLGVNVYSYD -WTRIVNKLKRVGDKVIAGDFSNFDGSLNSQILSRVSEIVTDWYGDDAENGLIRHTLLEYLFNATWLMNGK -VFQLNHSQPSGNPLTTLINCVYNMIIFRYVYLLAQRENGFPMTLSGFTTNVACIFYGDDSLCSVSDKVSE -WFNQHVITRLMAATGHEYTDETKSGSPPPYRSLNEVTFLKREFVLRDHFWIAPLSRNTIEDMCMWSRKNI -DAQDALLQTTRIASFEASLHEKNYFLMFCNVIKKACRNAGYKEACLHELDCKSFLLAQQGRAGAHDSEFL -SQLLDLN ->APG78512.1 hypothetical protein 1 [Wenzhou picorna-like virus 34] -MKSTNKETITLGENHNESRKAGEGVTASILPHYSEQPGFSVLLSVYVYESLLTGEGVTASILPRIFKPST -AFWAEVRINNQGFLTCVETNPGPISQWSLLHAITLTKQYVAAIEERQPGQYAIDFIIDKLDEAYTSLCGD -FHMPSASYMLFCSPLSTTGATYRQRGLTLDETIAALMADYLNEDFTLDQMFLARDYFLTNSLRFHRMLFL -LNDVSGLMFGFRPNNQGFLVGVEPNPGPHWFNRFVLLISEHLDLLLLLCSLVFLMLLLWPNDMYGVALER -PSNVTFRPNNQGFLVGIEPNPGPKTNLLMAYLKNVDKELSPMRKKGKGSGKSSYLTRALEKKKTLTKALR -RQGVVAKMLQPESGVHLKHSLTGGAFGLSDDTKTFLTVIVESFKNMINNFKPTIKVEIDWTSCIITNIKK -IFVWARENLEWMFDFLKFVMNLIFSVLDKQWRSACEVLAEFVCFTPEAGIDLAVTSALYATTIGKHMRHG -DIFGVLRGLKALTKDSSNVTELRQSVWKLVASLISKINAWFGTNFQVSTGYDNIDSFWKRFREICARLDS -DDANRYEIAVCMYALMGEVEDAYRSCTDIDEKDQLRFLVATMRPRNQDCEANINPNCGPRCEPLGVCIGG -PTGTGKSTFTFPLLLALLAKIIKTHNPDRIEDFKRDHNGLIFYRHLINEFWDGYHRSHMAIVYDEFGQLR -DAPGSPTTDAAEVLSLINTSAFHLHYSSIADKAKHYAVPKLVWATTNREQFKFEAIISSEAVARRFKVAV -IQVPKRKYCVNPDTPDLFSRRLDIDKVREDYPEVPDDPETWFVPEVLEWVKHDFNTGRPVTGRGARTFEF -YEFVDYLFEMYTQTATKGQKILDFHNHIKNKFLGPEGGFSDGYHTPDEDRPPKTLLTFKQQDVTMLPINN -LVDSDFCDPAPSVSYIREMQARLDEFKLRFPTPLSYFSLDVVKVLKVCFASLSACLAVTKLYQWFTKPKE -ESSVLITKRRPVRRNEIAMDVKKGLEKYAPESGVTDVLTRLLLRNCYRIYVDGKFLGFATFIKEAVGLMP -LHFLDISDENYRFDFVNYYGGQIAMSVFVEDLEYETFSGSYSGVPGSDADMIIFRLSDPCRMHKDITSMM -LKRSSFKKGDCYASVLPVLRSASNDEKRENASVYILTPDVRITDSVVYGKNAYASALLSYYADTAPADCG -ALLISNDKRLGGPKILGFHTGGSTQSFFGYKTCCGVSFFRDDIEVAYSDLMNGREPILSDEELDFDIHPE -DGFLKLGSAQPPGQPNRTKIVPSPFYGKLWEVTTAPARLAPFEKDGVRISPRDLAHEKYHTPNVCNDPKS -VDVATEVVADLILKKNAVEPWAPRVFTFDEAVAGVDGVEFVDAVKRQTSAGYPHVLGKDKTKARWLGDEG -KPDVNSPGYQQLRDMVSTVIDKAKKGIRSTHFYMDCLKDERRPLDRVEAGKTRQFMACPVEYTIAVKMYF -GDFARHVSANRIDNGVAIGCNPHLEWDKLSTHLHPRPGYRHGAGDHEGFDARLLTKVMWGYMKIVEGFYG -PTSTPEDRRVRAILFLDMVNSLHITPNGKVYEFFGKNPSGNPLTSIMNSICNAIMIVAAWIYNGVDPGVI -RTKFKWITGGDDHVTGFPEEYESQAGSVALAKALKFIFGSNYTDEKKGSDLVPSKSLEEVTFYKRSFLKI -GKRVCAPLDLGVIKETLNWQKRTCTKQEFELRIDCALIELAQHGQQVFNALAPKILTLASEHGFQIRHAT -WDSAFQATSELKYAF ->APG78421.1 hypothetical protein 1 [Aphid lethal paralysis virus] -MLLTLTKQYKMSETFSTMLPRLVLQLSTPEINQLKIRDAQAHEQPRIVAQLTRSALENMNNVYIKTDSLD -AIPENTLRKQVLAYITEFNESHNGVTRPSRIAQLDSNIAYLTLYLDYLMQHLKTHSQVKDCFFLMLKTIA -IAKEHIFVKTDTFYSMAISLRLINASLATILNKPGMHKYVKLPMYCQLIREFLKNKIISNSVTLTGLLLP -RKYTIKYFEQAAVSNNIILNAEFRTYIQLIKQMKNNPFLRNSFIDMIFALYIAVFRFTTDADITESGSSE -TLQYLNTLYISKLTATAQVGLSFNHNITVDNNLEQAINKSLTMFADLTNAISDKLQETVADYQRKIVALI -TTIYNLYRFSTRKMDLQDLIVNVFGALGSAGIASSLVAQLVTSLRAYFVAPMAQIDAGSHLLILKALSLT -MFCLFVKQLPGKNTIDEFVTRLDRFPKAISGLESMWSKLDVVVKQMYTFMEEKILKKHNRFNNTDMLQDV -LDWASEVEKYLELANRNEIKRDPGTVLATSKLYPRGVRLIKECTQLKLSPANLNLIRSLLPATKQLADEA -IKSGALKQALRPEPLIVWFCGKSGMGKTGMSYPFMMDMMRVFGDIPADFQKNIYGRVPETEYWDGYTDQE -YIIYDDAFQIKDNVLKPNPELFEIIRLGNAFPVMLHMASVEEKNNTFANPKCVLLTSNLDRIKTESLNSP -EAVQRRIDFAYNVDIAEEYREYYIDQNGNEKYKLNAVKARAAARKLVGTSVSNNLDVYRFTKFSAFDGRT -IETDMTYAQVTEECSMKMASRFNQHMDFTNYLDAYRNPVYESEDIVEYPIDAEAQIGDIARMAAGNVAGF -LVTNHCLRKYLHGDDDTILMTLLRKTKRTMENILDYTGLVPRARDLWEQPSWDYHLYYARQIKQYLKDAS -TGIMETLSGFFGKYWQFVKQAVLVGVSVFSTYMCVKVSFALGDYVKGKIVPKYISDDDQVAQLVSEANAC -LDKGCSNCKLCKNAAMNQLNVKWNSSCLCYVKRMEKGKDMINKYAISLYGDQRVSNNHEMSVSDMAEIIN -QVADCDCNTCDCCNDPELLDRLATVARIHGTNCVCLLTRFYQGFKTADLYDMTKQINNTLPSIIKNPELK -RLIDSHARVYDNAVSRRATSTRIVGHGATYDARPRTRMVTKIGAQAPVYDNRVTIKKNTILAAQNLLPAF -AKIEPIEKTMDIQTVPACKGCDNCSPIDAQRSLPEQDKGSIVIARDVVYRNLFRFTVVLNENGMEKRRGF -GQIFMLGGRLGMIPKHFLSVMEHYVETFGSENCHFVLENCSNAISPLIPCSVILEQENHIKDPRRDIAIV -QLPTNVGGFAQAYKHIIDEQDLARVSDSPAILARYQNASERDRRQGTNYYREIFWLSTATPEDHLVESTV -PEANVVVQNRGSYTYHAVTFFGDCGSILIASNAAITQKIMGMHIAGITHMNKGISVALTRQIIDRLMKHF -QPISQYGHEIVPLDVNPDILKENGTFLIYGTEPGRRIMGSVKTALEKSPAYGKLIESPNKPGYLRPFTDE -NGTTIDPMTLQRNKYGVVRPYVPQQRVDAVYEAMSVFYQREYINSPAHYKLPLTREETIVGINGDPFINA -INRQTAPGYPYTYEKEGKSGKTKWFGDGMDYDLTNDACKQLMADVDELAQCMLDNVRPRIIWIDTLKDAK -IPIAKANVGKTRLFTACPLHYTILFRQYFLPFIAHAMRNRVQNSIAVGINPMSPEWDLLAKRLKRNGKHV -IAGDYSNFDGTLPVQYVEVAVKIMVDWFMRNWDQIVAADRHVINGHELEYEEFEQFLMKIGVECINHLHI -ANHSDLIGAALIYYVRNGIPSGCPATAILNSIVNHCCLADSWLDIMDGTSYATMNSFFEHTSSIFYGDDF -IMNIRPEVIDVYNQETLTPVLKKNLEMTMTDEAKTGECVKARTLEEVSFLKRKFRFESFVGLWVAPIDID -VILDAPNWVRIGNQLPLRICVDTLSGGLTELAMHDKATDAKWRTKMINLGLDLTRGTGLEFNPDSRSTTL -LKLRNEELGGDFEINY ->APG78061.1 hypothetical protein 1 [Beihai picorna-like virus 70] -MYVYLGIRALIGSDVVPDEKFYIAIMDSNKQITLYTNLTVCDHCEKGHTTKKAVVQHLANSGCTGSTICY -CGQKVCNKNAADHMLQCRFFGPLGCTLCPDRLFKSLEKANNHAWSAHGGGRWTPIIQETVDFKMQAQVGT -RFVQMQIDQLKERARNNAQKRTILKELVKESNFAASNSKFSQLVEHFGVDRVIPLFTRTQGRLRDVPLQL -ADHVITVRVNMMDPDFRMMWTRLGPVVVFRAQALFGIDINHHTDDALNGLAEQLTTLLRGFNVDMPAIKK -ITSLSCKLVAMVCAKFKTGVVAPLIIDALVTSGVSTDLAQEAWNMVKDHFRTVSQLLRGGLFAQAADFDP -IASLTTVVAIMGGTMLMKKIPRESEINECVAGVTKLGGFVRGLTFAWSGLEKLISFVLKKIFEWQTGLPA -ETKDLEQYMEGIAAWFKEIQEIVGLATADEIARDSELCARLESLYRQGLIFSQKAVEFKAPREVLGPFNT -HWAVLKNLYEKATASGAFRSGPRIEPVVIYLHGTSGVGKSGMMWPLATDLLKVDGIPTDSDGKKDPTREI -YMRNVEQEYWDGYKNQRVVIYDDFAQIVDSAGKPNPEFMELIRTGNLAPYPLHMATIEEKSKSYFNSRVI -ICTSNVDVRQIRPESIACTEAVRRRFDLVGEVQVKPQYARRGEDGQDYLDRVKVEKLTGSPKPSLDVYKI -WLRDPLTGRLACDTALSYQEFSCLALRKYRDRFTRSSTMQRFLQEYAELPLMAQALSPSEEERWLTELDT -EVKLIELQGMSGWTGQQIEEFMEVYPDIRDLIHPDTQPALDEFKILHKTWDTIQVEWSTLISEQEQLWTD -DAAQRLKQLVKRDTMLLYSVGDLLEGIRERVVRFNRKVIERLRAASAGWLDRVKEFCAAVAAKVKEHPYI -TVGLAIVPILLMAVGQYMKGTKTVAAGPPVDHHHEGLTRGERTLHRHICLWCEEIFEHTHVIKTVQESVQ -YPQLCGKCDRAGTVIRYGERNGEPGFEILRGHKMKFAPFEFATELSGSGDVHTRKKEAMRTELSGSGDAH -TKKKETLRTEITGSGDVATKKKQSLVVEIDDETGDDYEPVITEDKIEAQLLSDPNAFQVSRKILHNMYNL -EVKSDGVWKARIKICFIVGRTALTAGHLAPHLEKAEEVRIWNATVREGHVFPKSKLKWIKVESKDGTSKD -QLLIVFPRSVHDHCDITANIASSAELTRFNTVNGCLLTPADGVVMMKYGQVRSSDLVKTYTDKLGTTYKL -RSSYQYNLETKDGDCGAILMGVHTGLARKIIGIHVAGSIGIGMASPLNIDDIKRGLAAVEMDAQVSLNLD -ALLKPPVAGEKVDLPEGDFVPVGKALYKVASPTKTALRESAVHGLITEPTTAPSALKPKMVDGVRVDPMQ -QGLKKAGRIPPSLDTTRLAIAVNDVERIVNTIPEPDHVRILTDDEAVAGVEGDAFLAPINRKSSPGFPLT -REKKGMPGKMRWLGDAEYKLDPEIKAEMDRVVENAKNNVRTPTIWTDTLKDERRPLEKVRVAKTRVFAAG -PMVYTLVFRKYFLGFAAHCAKNRIDNEISIGTNVYSLDWTRTAERLRSKGDKVIAGDFSNFDGTLVLELL -AEIVEIVNKFYNDGEENAQIRRVLWKEIVNSVHVCEDNVYLWTHSQPSGCPITAILNSLYNSISMRYVWL -TVMPAEYRTMKAFNEHVAMVSYGDDNCVNIADSVIDLFNQLTIAAGYKEMGMTYTDETKSGNMIPYRSIS -EIGYLKRSFKWDEEEHQFIAPLELSVVLEMINWVKGDFDREERTIENMETSAFELSLHGREVFEHWIEKY -KQATRSFQIRPLFLTYDEYRFVEAKKYGRLAAACN ->AIM55450.1 NS, partial [Ancient Northwest Territories cripavirus] -GEGNCFILYAELAEIEKQLVIKKRYPFIFTDCLKDERRPIEKVRAGKTRAFSGAPIRLLMLVRKYFGSYT -MWFEQNKIDNGSAIGLNPYSLDWDKSAKLLLKFGKGKTNIGAGDYSKFDGSEKPEIHWAILKVINKWYGD -SLENQTMREILWLEVVNSRHIRGDVIYSWCGSLPSGHPLTTIINIMYNAFAFRYAWYRLHDNERSCLPDF -KKYVYALFMGDDNVFSVDASYSSLFTEKYLSQVLPEIGLTYTSETKGDIADSLRELTDVEFLKRQFRFED -QISRWVAPLRLDVVLEIPLWTKKRHDAIQITQGNVDVAIEELSLHGRTEFQKYTPLIAEALKDAHNLWPR -STDYKSCLTFLTERKMDFY ->APG76683.1 hypothetical protein 1 [Beihai picorna-like virus 80] -MNDNSNFSNMNRFNAIIDAIDDLERKVILLSYEFEEIRRIVRSLEIQDFHAFHNQYRNETPRVVNDTELL -NNMLNNLYVDDDSTTDSSEYSDDACVFDWHLHHPDCENSGKYLSNVRCCAGRFSEFYNYLPPSGAQPQGG -NYELVFSESENSRIKAEDINLVKDYVDELVSDLEVLTLTKRERRALKKKLRGIKLDARCDSPEPQIFESI -VNRLSDATTVPRSLDDLAGSADDIAGLLSEHSGPAIDAIRSLAAAIGDVAGEAQKFGSADYSIKMQHSLG -PITPLLDIFSKSKLLFLIFSVLAVKIIGWKRYFLCASVISLVFSKLDFKKLLESVIDFFSSTGIECQLND -GEHFKNILLAVWHYCLPDSDLASEFRTYVEDFMAASVKKKKLIDFAFPFLQDFLRWVMSKLSSSYSWMVI -FEPYPEALSLINLVDKLCVDFDCNSSSLNDAATQAVSLRRRIEIELKRHAGDTKFTTMRTSLIEARNKCD -RIENSCRSYGAGRDVTRVPPATCLIIGKPGVGKSYVLDQISIAVLVFKNRKSDAKSISEVLKNMSQYVFS -KNFADKYWEGYCNQPIVYMDEVGQSRDTAGSSLSENEYVTFIEMVNDKPSSLNMAEISNKGNTYFDSELV -LGTTNLRKFNIESINQPEAYDRRWKRKYECSIIPECSVKSDNGKSVVFNRSKAEEYYRNLILPGGGRYTE -EEVQDKIASSDFLRFKRVESLLTGDVSSDDSIGIQDLIKLILDDIRDRDQSVTDKMKHRSRLGRKWMEQL -DVQGIETKHEDCDCPVCVPVTDCYIRRMWGYFRSKFPFLPFEIPDVVPQSGMEGATCYSKFDCSSFNNFL -VDAPGAWNKFCSPIMLKCASFVSSNIVTLTSIVGIASALYWCFAPKSGERTRKSEAIAQISVHCHSLCDK -VLRRNTIRFLKNVDGELNHVGFGLGLVGRMIVVPRHYLVAWRTALAKGKELDLYISRIGEGPNGTIHSLD -VIKLLDGPVFYPNEDVDLAFIYLKDNILPWFPDITRYFTAMDSDVCKGLFPDIGDDLDLTNCFADVVVRK -VGNPDGETYYTMGADCFTNKNSFDYNYPSEIGDCGLPVFRNRKGDMGKTILGIHTAGKGGGTFGCGVPID -DRDLERAKAFFLNNGLLTEVQMACSDHSLLSAEDDSFDSLDPVPYNAVPGKLALGRSKPSAMPFVSSIIR -SPIHGDIGFEPKTKPAKLRKFDFEGVTIDPIALSTSKYSRDSLFPVGLMNQCIDDYSDIVLNMEGSIVEP -MCDRRVLTYQEAVAGIPGVPGLDGIPRKTSAGYPWCILIPKGTRGKQCFWGSEGDYEFGNDCDLELQSRV -NKIIEYAAKGIRLSHVFLDFPKDERRPIEKVNAGKTRKISGCPVDLTVAVRMYFGAFAQYYMANRIFNGS -AVGIDMYSEEVGRLVSYLSRSEAGFRPRIIAGDFGNFDGSLPYSLISSFCRIVNDYYGGDARDNRIRETL -IQEFANSRHILPDGVVYEWVGSNASGNPLTTILNSWCNNIIVRAAICKIYSKENEARSFLKSVRGCWGMI -AYGDDNLISIGSKKLSLVTQQALTTSLGEIGFEYTDENKSDKIVENRSIYEVSFLKRSFARNCVVNPNKF -VAPLALDTILESIQWTKKKDEEVFYVSNKDNVCKMIKELSLHPKSTFDEHCPKILRACRENLGFIPYPNT -YDECQRDILSSGICWE ->APG78016.1 hypothetical protein 1 [Beihai picorna-like virus 74] -MTVGEENPYFAIMDSKFDYTFEQFCECGASHRDNNQRRLYLRHLILNTRFYKPGSQLSLFSGNVVGNVRK -AKRITCFLHGKYRTFVVDDSDQRVQQRLQLYVKQNDSHKELTLVRQAARKLKALDFEAQALFGVDLNLKL -QDETLSLLFSRITQLLSSTGVKEALLYQVLEIVSKIILAFKIDLTDTVARTALVFTLCNSLGCAKMVIDK -AISFFSNIVSPREFRTQGPDFSTLYDFLCGFLSLFFFKDIPSLDLMKKIKAVGDISRSLVSVTALVEKLM -KYAFPALYQWYTGYPYEIDQLSEFFSDIKKWYSDVQALVDIQTFDEIALSEEKCREVEYLYRKGLFMVAR -CSELKVPPACMQALNLHFGVVKMTYDKVMCSGAFKGGPRSEPLVIALYGESGVGKSGMMYPLSIELLKLD -GLIDGRWAEEIYARNVEQEYWDGYKGQRVVLYDDFGQMKDSVSKPNLEYFELIRTGNLAPYPVHMANLHE -KANSFFTSKIVLLSSNTKWFAPESLSHPEAVRRRIDVFVEVFVKKEFRKNVEGKEWMLDPAKVMKKCGKV -LSTDVYEFKFIDPMTGHQSDFSKKPFSYNELVEHCAVLYQNKFHRSNKVMNILNDLARKEFMAQGPDLKY -SYEHVMQVYFDERLQQALPLETKLYIQTHSLKEFYNAYLLDEIEISFKIDVIQSIAEEPEYEGTVATLFR -KAKEVKRNIPAYITAFQQKLAEVKCSLMDFLSKAKEEMLKHPLITATCAILPLVLYFCWNRDDPEEEYST -VELTCSGDPKTARKVRFTEMAASGDPKTQKSIRRVEVAASGDPKVSKPVRKVEMAASADPKTVRPVRKVE -MAASADPKTKKEPFKVELQEDANAFNLSQKLVMNMYKIEMFNGLKSLGSVRGLFIKGTVFLTVRHIRFLL -EQSTHFTLTNAENPTGYKIPISAAKMFDVTGADGELKDQMLIQCPLTVRQHANVMGNFSSSIEMSKFIYA -KACMLTPAKTTCLLRYGEIEAVDQPWTYKGDVTYHIRRHYKYGMETTNGDCGSPLIVIGTQYARKILGIH -VAGTTGVGMASPVCVEDLNRILKSVPEVCQIEMDCEEWFAHNAFQEQGDQIVSKLKLPEGNFTSIGQPQY -KIVGSSKTQIRPSLIHGEVTENVTIPCVLGRVKVGDKIIDPMMEGLKKCAETSTVLNKDYLQACVNDVRM -NFPDDPQRQRILTDDEMVRGVEGDEFMVAISRSTSPGYPFRKDAKGPGKTDWLGKDEDFYLREDLAILIR -ERIEAAKRNERFPTIWTDTLKDERRPIIKVINGKTRVFSAGPMDYCLTFRKYFLGFAGHCAHNRNRNEIS -VGTNVYSQDWDIIANILSTHGEKVIAGDFSNFDGTLNAEILWSICDIINDWYDDGEENKRIRRVLWAEIV -NSVHVCGDAIYHWTHSQPSGNPLTAILNSVYNSIACRYVWMLLTESRPQDHSMRSFRENVSMVAYGDDNV -LNISDYAIGFYNQVSMSQAFSTFGMTYTDESKSGEMLPYRSIFEVGYLKRAFVYNKDLMKWEAPLALESV -LEIPNWTRKTMDNREATTLNIEVACAELSLHDQETFEYWTQKFRRAALKHKLRPLILTYQEYKTSEMVKY -GAITAKTD ->AGN73377.1 nonstructural protein, partial [Bat dicistrovirus] -GLESRDLCHFSLLKDCPLTHPDITSLFCTSVDLQFLKSSYCCLPTLSCPEGSEAFAKVKCTTTGQTVTRQ -ETIETHTPGLDNSRIIRNCWAYKLDSEVGDCGAPLVLRNPQLQPGKIVGIHVAGQSHGFGYSTPVYRKDI -EEILSKYSSLDKVVDQICSASLPQGHILNKASFRYIDKLNCKIPTASKSVIRPSPIYGELQTPLTKPCLL -RAKDGFDPIAYRLELFGSEGIAVDQEMVDNSIAAVLHHLKTAVLKQGEISEKKVYTFEEAIQGIDGEDYL -SSIKRKSSPGFPFCTSNLTNTKVKFFGQGPDWNLEGKNSKLLKERCQDIINSAISGERQIHPFIDTLKDE -RKPIAKAHKTRLFSACSLDYLICCKMYMMGLVSCMTKVRNFTGIAIGTNVYSNDWERLAKLLEGKSEHMV -AGDFAGFDSTQIFQLLRAACDVIIGLFKEIFTDYTEEDVLVLHVLLESLCSSVHIYEGNVYQALKNLPSG -HYLTAFINSIFVFILFCCAWQLSFGISLTSAFKFFEECGIIAYGDDHVVSIPRKYLFKFNQFTLIDLFSK -LNMKYTLEDKDAIVELPSRKLTEITFLKRKFLFDLRVHRYIAPLA ->AIS73137.1 non-structural polyprotein [Arivirus 1] -MAPLPIVFVPYKPKINVNETSHVYKVPTTRKQCKHEISKKTLPVYLSKYSKPSSELTKEYKQVMPVLQMC -QANSVHPTQSQTKSSKPFERNLPLFRHRGPERWTYVSAKYSQLLHAHCPDFNLYADLFTYDYSLFSDKLK -VIVRKTPVYTHYIPIAHYPYFLGYVRTSEFTSSPLFASQVIGDDSIAHYLSTHAGRRRFIRQHTYYHIHQ -EIFEILYPSYCYHDPAPRAAPQCGYRCFEFYQNCNWHKNMEYDARLRCNRELLYKILCHFKLNDSLDFWL -SLGLNFNDQSIFISEPDEEENTQRIISYRLRPKSVPPHLPYVFFFMTADDDPNSIRLANPKICEPEEICG -ELLPDKYLYLMYPALRRHPDAIRFSKTQIAQAKLEPTFRLRYEPVPTSPTTFTHWNCGRVFTEFREYAQH -VRDNQCTGIDMGSQDQSADAPLMFTRHYVTDKLTSLLHLARDAKDALPRWIHAHSRFIINLVCNCVSLTC -SKSWMACLASLSALTNEILSEFPQLLRDRVDALVNCLKQVLSRFFPVAQAQPTFQHDHQVANESFITSLF -SILSSLIPGVSVDAQLLKARVQRIQLMSQCLNSAKHIGEWFCMIFDKIWIWVQIYWFGATSEDLARVRSL -LASPEIQTWINDVTALEKGKSDEQGKCTPPLIVNILKDPELQARVIELRTTGENIMATLATSDKLHTSRL -MTLVQTHMKKLDRWYKMFEDVLGSSASKHEPFIIYLYGEPGVGKSYCVDYICQALAKVVGREYDPNRDKF -GKPRDSQYHDGYNNQFCYYLDDFLQVPNEQANASEISFLIDAGSRTPYHMSMAALEKKATSYFTSPLVIV -TSNKQLCAESFEQMIESFPALARRIDVSVEVRRDPSIRVSRTENFDPRGLYFELSRFRLEKSHINGGVFE -PLGRPCEWGMFLSALSVLFVKKMRHQEKLDTMATMPKQLEDTIVQYANRYSTIPADDHEIIDMLFERHSM -QLENANWNVSPNYIGHDRQEEIAGIDRFVSPVASHISSHTTLNIDSLYQMEPQEKLEEPLRLIERVQSAP -DLVEEHIRQRQVTQAGELEPGDYYCPVTNCTHVFPRDIQQRLVFRHFLVHAQERTVSGDRHDICARDMED -FLNNFVIIDEVPLNQQEEELYASAQQLFVDYKPYGSPWSISELLIKERYGFIREVLEIYQQRESTSLLTR -IYNWPMHMMRSGAAHLRRCMSNTLRHLIDMAKSSYTDMLNEARQTAEKLAERLGGLVIGGIVCTVLASVF -AFCLMRWWKSPDPKPLEEAFVSGDLRTSAKKKKIRRVEAEEYEPEAFVSGDLRTAAKKKRKQRVECRYAA -NYRVNYELLNSVTMTRVDVTEDPDLTVMWSEGKLSILWRDGEQEDHDIGASTVRRDIEFAKIIAKHFNDM -DATYTEAKTDRMELVKEQIGKYPRAEASRDAATTDLCQILSHNLARVINLHNGLVLNGVFLENSILMFPR -HLLGAEDPNGKTIKVVVHEGQSLTLTLGDTYPFVEREDKDTIFVDLRKTHIPARPSIVNKFMKESDEIRR -TAGYLLSPVISKDGLRLDFLQAKQLLDLEPIERAEYQNMARNMTYTTVGCIAYKGDSVSGDCGSLILRMD -TREPRKLLGFHVAGSTGDGTGVVWTQEQLKHEIARFQVMQAAFDLEFVPLDLDEFYDCIEPEEYEDCVGI -PLTYIEPIAMIDRALAPGCPLTTSLRPSPIYEQIIPSSSGPSTLRPFVDSSGQRKEPLKIALSKLEAPLI -NFEPELLDKCALRMRIEYKKTGRLQWYGSSHHGKLTLRENIQGVEDDEWIKPINMKTSPGYPYVLAGNKG -KYINSETAEISSILENAIRQREEMCRNGEEMPALMIDVLKDERLSNDKREKGKVRIFNVCPLDFNMLVRK -YFTRFMAQMMNDHVRGEVSVGLNPHADDWKAFHMCLHKSGDHWIAGDYSAWDKRMPYQVAMALLPLVEEF -YQQFSDYEPQDAMVREVLLRQAFQSVRLAQTQTKGLIYRVHQSMPSGIAVTAVYNSLINALLFRVIYAEL -AIKNGLSHAKAVNEYSQHVKFAAYGDDHIARVDNFAFPFFNMLTISSQMAEHNITYTAATKEDVTGPEVQ -DEDLTYLKRRFVNRAGRMDAPMDLTAILDILNWVNASNPYDVNEACEAAIKSVLIELSHHSRKDFNIWYE -KILKIATRAGLDVPVVSYDEVVNIRRNEDFDYYENFLT ->APG77930.1 hypothetical protein, partial [Beihai picorna-like virus 76] -MKMSTNTLLTAALTDPKSELVDTCIGAFYFGKDIPDFVEFVHDIKSWCFGNVDYPYENLLFQPNDRKLFK -FMNKVNWDEMHALAMYHLPYRFRGIFDDLDIWSEARIAERLLMLSGDVETNPGPVFSRPLPTRNNDPRAI -ALEKVKEKQEEKVKTLIRELRRDIKYNRIYPQGLFDTLKDSNTTVKQSMGELNSSLSRVCDFLEHSLPFL -QKNMQMGLNSIADKCLEIKDDLIKILLICLIVRLLMIWKKYRMALIVILAFVFKFYGFDQTILDLITELR -MSLITAQGINETAEEVIYHPYFAICGKIIFAVIAFVCIKKIPGKQDWDNYITRLDRIPKAVNGASKIYDY -CSEYFNLAMDQVKMMVLGKTREEFVHANGLYNEIHEWASDVHKYLDLEQRGKIDTDIIVANKVEDLYRRG -VKYQQDTLLDKEMARLVAVTLQPARELYQYVSSSPVKGGGPRMRPICLWLIGESGVGKTEMVYPLCIDVL -RAMGFMRKEAFHHQVYGRQVETEYWDGYKGQKIVIYDDAFQMKDDKTSPNPEIFEVIRSCNTFPQHLHMA -ALHDKNTFSAAELMIYTTNDANVKLESITFPDAFYNRIGEHAYRVRPKLEYSKVVEQGKSGNMIRKLDKS -KLNKDVAIDLSIYEFQKIVRDNGTDATWIEVDEPIGYEAFAKLICDEWREKKDESRNKLKFLEEYAVREP -VVQAQVAVEGFDMATLQTYDYEFFVNDIAKKIAQGEDMISIESDYAMNSELFENYLLFKNMRPQSKWDKY -RDRIQVCLDQVGSYLNRLKEASLRVLREHPFLSSLGIIGLILSAFSLYKMFEQKTFEDNNEIVDKFVEVG -CSGDEKTVRQTRKMVEIGNSGDVKTSKLHIKRVEVGVSGDTKTPKNAIKRVEGIDEQLLERIESQGCSDM -AAHSLITDVITKNTYRLSYFRNGVRKPFGNCTFLRGWVFAIPYHFLHALHARRLAPETIIYFSQSKYADI -IQIPLHHMIEVGVDTFSLTSNCVQLEHRNGEARDCVLVNLHSKMCHPHRDILKHFVRVDDQGKLQGRFSG -TLVTFHENGDDLHRAYFWLQHIRALDKPITIQLPNCDGKYEESYTQRDCYEYNAPTQVGDCGSLIGIYNN -RLERKIIGIHIAGSNETYGYACPLTQEVLEAGIKKLVQSDIKNISAQIYFEAPKEVDVVEEPDVPEGLFC -PIGKSSLRVGQAVKTSILPSRIHGLISKPFMKPALLKPKMINGQLHNPLLAGLKKCGVHTAILDDDDVYS -AASDVYQLVSTQYNSLLYKKDYQRILTYEEAVQGVEMDSMMNGVSRSTSPGYPYNLQTNGMPGKTRWMGA -NETYDFSSEAAQQLRKDVEALIEDCKLGKISNVVFIDTLKDERRDIAKVDIGKTRVFSAGPQHFVVAFRK -YFLPFAAWLMHNRIDNEVAVGTNPYSLDWERISRKLKLKGDHVIAGDFGNFDGSLVAQVLWSIFWDVYVP -WLQEFTNFETKEGLDNLKVCLGLWTHLVHSVHIYDNNVYMWTHSQPSGNPFTVIINCLYNSIIMRVTWLR -IMHKVNPKLKSMKHFRQNVSMVAYGDDNVLNISPNVIEFFNQETISVEMKTMKHEYTDETKSGDSVKFRK -LEEVSFLKRSFRFCPELQRTVAPLKIEVIYEMLNWTRNTVDPDVILMANIDTAFREIV ->AOC55056.1 nonstructural protein [Griffin dicistrovirus] -MEEIQGKQQMGWFTNLLQSKVSDVVASEETKKAMDDFFKKVSPDIQNQIQIILKKIGEDNISIGIEQTWY -KFLAEISMTMYAVSQAKDWKAITCIIIPFLMHQRISVTLCTEFTERIKDIILDEKTQQANDDDDSSFFGK -IEKIFFSVVHLIVNFRLPTLKEVSNFCRISHSWSQGVNGVRNLWNVMNTIFYKLWNWMYKKITGHSYDEN -LDDYKNWMLEIKSTPTKVNEMKDTKEAFKYVTGLLNKATDMLPIATKLNEVPLFNLQLQMLRSQLNKIDT -MSAESDMVIPPMLIYLYGESGLGKSTIIADVAAVLVKADPNIKSDLERLDILSGLDKYMYFRNAETVFFD -GLSYKQFIRVYDDIFQKVDSKGKDNPELMELIRTVSAAPMLPHMASLSEKGRYHFHDRFIICTSNVSKPL -PMESMSHPQALYNRIGAFKFHVTGNLENPKFERMNVDDDSISEKMSRKEFLSYLPELVEEWFKRQQKVKD -DRLAGRDEIIAELKAKIKLNLEVEEQQLQERKAEQQVTKFAINYDTLRYMIERCVIDKFECTCSHLLCLK -IKNHLAKVAENMVNLQQIKSVCVNILLVAEEPILHEFVNCTAPLLNTLGEGWAIKIEEEKATICNKIKKF -ADQSVLNKALVYGLGMSIAGSVAFATYLGMDKIKAYWKWLVNKVKSWFKRKDSKSAIKPDYVEFSRISTG -SSARWVFWFKDSFRKNKKLPFVAFDVDEYLEFQPNMPMIHDVEELREYLLSKQGNFVLSESGGKVVKYLE -GHGLVQERATKYDPSDVGKRERVIPLPKFMTQEACSDPNFLPIRKKFDRNTWHVVVPQGSRQSRLGNLIG -LKGKVFLTFGHLIHAIKQYTNISLFSNGNNYTIKVDFIKIIPMFNDNSDLNVDELTKVMTVKEYIMFQES -NRGDVRDAALMVIDDFPIDVVDITSYIIKHSDISVVLDNECQLYNVSTINRPESSTFMMHHGLDIRDNKG -EHSSFVMDNFFEYTAVTTGGACGSPLFSLNPTIPRKLCGIHVAGYTECNKGMATCIYIELINQALKAIPA -KQQVSCKEYVNLDFIEEFSLREGLHYMGHLPKALVKPTQTQYEKSIFYELLSSSKKSPVHLGPWMDGDVY -HDPYMDGIEKYAGISPPTLKIMDITRSFLAQISARSPYKLVNYKRTLNVYESVFGVISLPFLNPINKKSS -PGFGWEKHGLKGKKYYISGALDDKYDPPYIDGFLIKRIFERVDAAKQNKKLQTLYILTAKDEKKATGSIK -TRLFVNPPMDYTIACRMYFGSFIAWFLQNNVNNGSLIGVNMYGTSVDYIGKRIETYRNVIAGDFKNFDGH -ERADVLWQVLDVINMWYGNNIEDNKVRHILFIDLVNSEHICWDFVYKLSRSVPSGHPLTSVVNTIYNMLL -QIYVYFELAPDNLKSIRLYFMNVTGFYYGDDHVIGVKDTVKGFMTYGNFKQIYKYFGHDYTTSFKNEIDL -EQPHDSIVDVIILKRRFIYDKKLLRYIACLDKEVIEEALNWCKKKNNDLCTLLQTVDFVVREASLWEKEY -YDFVTTKIFEKLQVMNYNFVYEPYSLLRKRILTCKEVEFEEDLYLL diff --git a/seq/clusters_seq/cluster_50 b/seq/clusters_seq/cluster_50 deleted file mode 100644 index d503b5b..0000000 --- a/seq/clusters_seq/cluster_50 +++ /dev/null @@ -1,221 +0,0 @@ ->YP_009118472.1 PB1-F2 protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MEQEQDTPWTQSTEHINTQKKESGQRTQRLEHPNSIQLMDHYLRTTSRVGMHKRIVYWKQWLSLKNLTQG -SLKTRVSKRWKLFSKQEWIN - ->YP_473348.1 PB1-F2 protein [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MEQEQDTPWTQSTEHINIQKRGNGQRTQRLEHPNSIRLMDHYLRIMSRVGMHKQIVYWKQWLSLKNPTQG -SLKTRVLKRWKLFSKQEWIS - ->YP_418248.1 PB1-F2 protein [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MGQEQDTPWILSTGHISTQKRQDGQQTPKLEHRNSTRLMGHCQKTMNQVVMPKQIVYWKQWLSLRNPILV -FLKTRVLKRWRLFSKHE - ->YP_308877.1 PB1-F2 protein [Influenza A virus (A/Korea/426/1968(H2N2))] -MGQEQDTPWTQSTEHINIQKRGSGQQTRKLERPNLTQLMDHYLRTMNQVDMHKQTASWKQWLSLRNHTQE -SLKIRVLKRWKLFNKQEWTN - ->YP_308848.1 PB1-F2 protein [Influenza A virus (A/New York/392/2004(H3N2))] -MEQEQDTPWTQSTEHTNIQRRGSGRQIQKLGHPNSTQLMDHYLRIMSQVDMHKQTVSWRLWPSLKNPTQV -SLRTHALKQWKSFNKQGWTN - ->sp|Q20PL5.1|PB1F2_I79A7 RecName: Full=Protein PB1-F2 -MEQEQDTPWIQSTEHINIQKRESGQQTRKLEHPNSTQLMDHYLRIMNQVDMHKQTVSWKQWLFLKNPTQG -SLKTRVLRRWKLFNKQGWTN - ->sp|Q20NN4.1|PB1F2_I80A8 RecName: Full=Protein PB1-F2 -MEREQDTPWTQSTEHINIQKRGNGQQTQKLEHPNLTQLMDHYLRIMSQVDMHKQTVSWKQWLSLKSPTQE -SLKTRVLKRWKLFNKQEWTS - ->sp|Q0A460.1|PB1F2_I68A3 RecName: Full=Protein PB1-F2 -MEQEQDTPWIQSTGHINIQKRENGQQTLKLEHHNSIQLMDRCPKTMNRAVMLKQTVCWRQWLFLRSPTPV -SLKTRVLRRWKPSSKHGWTN - ->sp|Q0A450.1|PB1F2_I66A1 RecName: Full=Protein PB1-F2 -MEQGQDTPWTQSTEHINIQKRGNGQQTQKLGRPNLTQLMDHYLRIMNQVDMHKQTASWKQWLSLKNPTQE -SLKTRVLKRWKLSNKQGWTN - ->sp|Q0A439.1|PB1F2_I49A1 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINTRKRGSGQQTQKLERPNSIQLMDHCLRTMNQVDMHKRTASWKQWLSLKNPTPE -SLKIRVLKRWKLFNKQEWTN - ->sp|Q0A428.1|PB1F2_I56A2 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTGHINIQKKGNGQQTRKPERPNSTQLMDHYLRTMSQVDMHRQTASWKPWPSLKNPTQE -SLKTRVLKRWKLFNKQGWTS - ->sp|Q0A407.1|PB1F2_I77AF RecName: Full=Protein PB1-F2 -MEREQDTPWTQSTEHINIQKRENGQQIQKLEHPNLTQLMDHYLRIMNQVDMHKQIASWKQWLSLKNPTQE -SLKTRVLKRWRLFNKQGLTS - ->sp|Q0A3Q0.1|PB1F2_I78AC RecName: Full=Protein PB1-F2 -MEREQDTPWTRSTEHTNIQKRENGQPTQKLGRPNLIQLMDHYLRIMNQVDMHKQTAFWKQWLSLKNPTQE -SLKTRVLKRWRLFNRQGWTS - ->sp|Q0A2H8.1|PB1F2_I83A5 RecName: Full=Protein PB1-F2 -MEREQDTPWTQSTEHTNIQKEENGQQTQKLERPNSTQSMDHYLKIMNQVDMHKQTAFWKQWLSLKNPTQE -SLKTRVLKRWKLFNKQGWTS - ->sp|Q0A2F6.1|PB1F2_I83A4 RecName: Full=Protein PB1-F2 -MEQEQDIPWTQSAEHTNIQKRESGQQTQRLEHPSLTRLMDHYQRTMSQADMHRQIVSWRQWLSLKNPTQG -SLKTRVLKRWKLFSKQGWTN - ->sp|Q0A2D8.1|PB1F2_I66A0 RecName: Full=Protein PB1-F2 -MEQEQDTPWIQSTGHINIQKRENGQQTLKLEHHNSIQLMDHCPKTMNRAVMLKQTVCWRQWLFLRSPTPV -SLKTHVLRRWKSFSKHGWTN - ->sp|P0C793.1|PB1F2_I80A2 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKRGNGKQTQKLEHPNLTQLMDHCLRIMSQVDMHKQIVSWKQWLSLKSPTQE -SLKTRVLKRWKSFNKQEWTS - ->sp|A3DRP9.1|PB1F2_I96A2 RecName: Full=Protein PB1-F2 -MGQGQGTPWIQSTGHISTQKEEDGQKIPKLEHRNSTRLMGHYQKTMNQVAMPKQIVY - ->sp|A4GCI4.1|PB1F2_I83A1 RecName: Full=Protein PB1-F2 -MGQEQGTPWIQSTGHISTQKGEDGQKTPKLEHRNSTQLMGHYQKTMNQVVMPKQIVY - ->sp|A4GCK6.1|PB1F2_I80AA RecName: Full=Protein PB1-F2 -MGQEQGTPWIQSTGHISTQKGEDGQKTPKLEHRNSTRLMGHYQKTMNQVVMPKQIVY - ->sp|A4K152.1|PB1F2_I54A2 RecName: Full=Protein PB1-F2 -MGQEQGTPWILSTGHISTQKGEDGQKTPKLEHHNSTQLMGHYQKTMNQVVMPKQIVY - ->sp|A4U6W1.1|PB1F2_I45A0 RecName: Full=Protein PB1-F2 -MGQEQDIPWILSTGHISTQKGENGQQTPKLEHHNSTRLMGHCQKTMNRVVMPKQIVYWKQWLSLRNPTLV -FLKTHALKRWRLFSKHEWTS - ->sp|A4GCL7.1|PB1F2_I43A0 RecName: Full=Protein PB1-F2 -MGQEQDTPWILSTGHISTQKGEGGQQTPKLEHHNSTRLMGHCQKTMNQVVMPKQIVYWKQWLSLRNPILV -FLKTRVLRRWRLFSKHEWTN - ->sp|A4GCJ5.1|PB1F2_I36A0 RecName: Full=Protein PB1-F2 -MGQEQDTPWILSTGHISTQKGEDGQQTPKLEHCNSTRLMGHCQKTMNQVVMPKQIVYWKQWLSLRNPILV -FLKTRVLKRWRLFSKHEWTS - ->sp|A4GCM8.1|PB1F2_I35A3 RecName: Full=Protein PB1-F2 -MVQEQDTPWILSTGHINTQKGEDGQQTPKLEHRNSTRLMGHCQKTMNQVVMPKQIVYWKQWLSLRNPILV -SLKTRVLKRWRLFSKHEWTS - ->sp|A8C8K3.1|PB1F2_I07A0 RecName: Full=Protein PB1-F2 -MGQEQGTPWIQSTGHTSTQKEEDGQKIPKLEHRNSTQLMGHYQKTMNQVAMPKQIVY - ->sp|B4URE5.1|PB1F2_I06A0 RecName: Full=Protein PB1-F2 -MGQEQDTPWILSTGHISTQKREDGQQTPKLEHRNSTRLMGHFQKTMNQVVMPKQIVYWRRWLSLRNPILV -FLKTRVLKRWRLFSKHE - ->sp|P0C574.1|PB1F2_I18A0 RecName: Full=Protein PB1-F2 -MGQEQDTPWILSTGHISTQKREDGQQTPRLEHHNSTRLMDHCQKTMNQVVMPKQIVYWKQWLSLRSPTPV -SLKTRVLKRWRLFSKHEWTS - ->sp|P0C5V9.1|PB1F2_I05A1 RecName: Full=Protein PB1-F2 -MERGQDTPWTQSTEHTNIQKRGSGQQTQRLEHPNSTRLMDRYLRIMSPVGMHKQIVYWKQWLSLKIPTQG -SLKTRVLKRWKLFNKPEWIN - ->sp|P0C5V8.1|PB1F2_I03A1 RecName: Full=Protein PB1-F2 -MEQGQDTPWTQSTEHTNIQKRGSGQQTQRLEHPNSTRLMDHYLRIMSPVGMHKQIVYWKQWLSLKNPTQE -SLKTRVLKRWKLFNKQEWIN - ->sp|P0C5V7.1|PB1F2_I03A0 RecName: Full=Protein PB1-F2 -MEQGQDTPWTQSTEHTNIQKRGSGQQTQRLEHPSSTRLMDHYLRIMSPVGMHKQIVYWKQWLSLKNPTQG -SLRTRVLKRWKLFNKQEWIN - ->sp|P0C5V6.1|PB1F2_I02A7 RecName: Full=Protein PB1-F2 -MEQGQDTPWTQSTEHTNIQKRGSGQQTQRLEHPNSTRLMDHYLRIMSPVGMHKQIVYWKQWLSLKNPTQG -SLKTRVLKQWKLFNKQEWIN - ->sp|P0C5V5.1|PB1F2_I02A6 RecName: Full=Protein PB1-F2 -MEQGQDTPWTQSTEHTNIQKRGSGQQTQRLEHPNSTRLMDHYLRIMSPVGMHKQIVYWKQWLSLKNPTQG -SLKTRVLKRWKLFNKQEWIN - ->sp|P0C5V3.1|PB1F2_I02A4 RecName: Full=Protein PB1-F2 -MEQEQDTPWTRSIEHINTQRRGNGQQTQKLEHPNSIQLMDHYPRITSRADMHKQIVCWKQWLSLKNPTQG -SLKTHVLKRWKLFSKQEWTN - ->sp|P0C5V2.1|PB1F2_I02A3 RecName: Full=Protein PB1-F2 -MEQEQDTPWTRSIEHINTQRRGNGQQTPKLEHPNSIQLMDHYPRITSRADMHKQIVCWKQWLSSKNPTQG -SLKTHVLKRWKLFSKQEWTN - ->sp|P0C5U9.1|PB1F2_I01A3 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKRGNGQRTQRPEHPNSIRLMDHCLRIMSRVGMHRQIVYWKQWLSLKSPTQG -SLKTRVLKRWKLFSKQEWIN - ->sp|P0C5U8.1|PB1F2_I01A2 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKRGNGQRTQRLEHPNSIRLMDHCLRIMSRVGMHRQIVYWKQWLSLKSPTQG -SLKTRVLKRWKLFSKQEWIN - ->sp|P0C5U6.1|PB1F2_I01A0 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQNRGNGQRTQRLEHPNSIRLMDHCLRIMSRVGMHRQIVYWKQWLSLKSPTQG -SLKTRVLKRWKLFSKQEWIN - ->sp|P0C2M2.1|PB1F2_I60A0 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKRGSGQQTRKLERTNLTQLMDHYLRTMNQVDMHKQTASWKQWLSLKNPTQE -SLKTRVLKRWKLFNKQEWTN - ->sp|Q463W6.1|PB1F2_I72A3 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGSGQQTQRLGRPNLTQLMDHYLRIMSQVDMHKQTVSWKQWPSLKNPTQG -SLKTRALKRWKSFNKQGWTN - ->sp|Q3YPY6.1|PB1F2_I71A1 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGSGQQTRRLGRPNLTQLMDHYLRIMSQVDMHKQTVSWKQWLSLKNPTQG -SLKTRALKQWKLFNKQGWTD - ->sp|Q38SP9.1|PB1F2_I83A8 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGSGQQTQKLGRPNSTRLMDHYLRIMSQVDMHKQTVSWRLWLSLRNPTQG -SLRTRALKQWKSFNKQGWTN - ->sp|Q30NP2.1|PB1F2_I75A0 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQLTEHINIQKKGNGQQTQRLGRPNLTQLMDHYLRIMSQADMHKQTVSWKQWLSLKNPTQG -FLKTRALKRWKSFNKQGWTD - ->sp|Q2VNE4.1|PB1F2_I78A7 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQLTEHINIQKKGNGQQTQKLGRPNLTRLMDHYLRIMSQVDMHKQTVSWKLWLSLRNPTQG -SLKTRALKQWKSFNKQEWTD - ->sp|Q2RF97.1|PB1F2_I76A6 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGSGQQTQRLGRPNLTQLMDHYLRIMSQADMHKQTVSWKQWLSLKNPTQG -FLKTRALKRWKSFNKQGWTN - ->sp|Q2RCG7.1|PB1F2_I80A4 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGSGQQTQKLGRPNLTRLMDHYLRIMSQVDMHKQTVSWKLWLSLRNPTQG -SLRTRALKQWKSFNKQGWTN - ->sp|Q289L8.1|PB1F2_I00A1 RecName: Full=Protein PB1-F2 -MGQEQGTPWIQSTGHISTQKEEDGQKIPKLEHRNSTQLMGHYQKTMNQVAMPKQIVY - ->sp|Q288Y7.1|PB1F2_I77A4 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGSGQQTQRLGRPNSTQLMDHYLRIMSQADMHKQTVSWKQWLSLKNPTQG -FLKTRALKRWKSFNKQGWTN - ->sp|Q20P13.1|PB1F2_I56A1 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINTQKGGSGQQTRKLERPNSTQLMDHYLRTMNQVDMHKQTASWKQWLSLKDPTQE -SLKIHVLKRWKLFNKQEWTN - ->sp|Q20MH0.1|PB1F2_I33A0 RecName: Full=Protein PB1-F2 -MGQEQDTPWILSTGHISTQKGEDGQQTPKLEHRNSTRLMGHCQKTMNQVVMPKQIVYWKQWPSLRNPILV -SLKTRVLKRWRLFSKHEWTS - ->sp|Q1PUD0.1|PB1F2_I73A5 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGSGQQTQRLGRPNLTQLMDHYLRIMSQVDMHKQTVSWKQWLSLKNPTQG -SLKTRVLKRWKSFNKQGWTD - ->sp|Q0HD51.1|PB1F2_I40A0 RecName: Full=Protein PB1-F2 -MEQEQDTPWILSTGHISTQKGEGGQQTPKLEHHNSTRLMDHCQKTMNQVVMPKQIVYWKQWLSLRNPILV -FLKTRVLRQWRLFSKHEWTN - ->sp|Q0A2Q5.1|PB1F2_I85A3 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGNGQQTQRLEHLNSTQLTDHYLKIMSQADMHRQTVYWKQWLSLKNLTQG -SLKTHVLKRWKLFSRQEWIN - ->sp|Q0A2G7.1|PB1F2_I59A0 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGNGQQTQKLGRPNLTQLMDHYLRTTNQVDMHKQTASWKQWLSLKNPIQE -SLKTRALKRWKSFSKQGLTN - ->sp|Q08II4.1|PB1F2_I80A6 RecName: Full=Protein PB1-F2 -MEQEQDTPWIQSTEHTNIQKRESGQQTQRLEHPSLTRLMDPYPRTMNRADTHKQIVSWRQWLSLKNPTQG -SLKTRVSKRWKLFSKQEWTN - ->sp|P0C0U0.1|PB1F2_I97A1 RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINIQKKGGGQQTQRPEHPNSTLLMDHYLKITSRAGMHKQIVYWKQWLSLKNPTQD -SLKTRVLKRWKLSSKREWIS - ->sp|Q20NV2.1|PB1F2_I80AD RecName: Full=Protein PB1-F2 -MEQEQDTPWTQSTEHINTRKRENGQQIQRLEHPNSTRLMDHYLRTMSQVGTHRQIVS - diff --git a/seq/clusters_seq/cluster_500 b/seq/clusters_seq/cluster_500 deleted file mode 100644 index ba6ee19..0000000 --- a/seq/clusters_seq/cluster_500 +++ /dev/null @@ -1,99 +0,0 @@ ->YP_009512977.1 attachment protein [Teviot virus] -MWSTQASKHPAMVNSATNLVDIPLDHPTSARFPINRKRTGRLIYRLFSILCNLILISILISLVVIWSRSS -RDCAKSDGLSSVDNQLSSLSRSINSLITEVNQISVTTAINLPIKLSEFGKSVVDQVTQIIRQCNAACKGP -GEKPGIQNVRINIPNNFSTYSELNRTANSLNFQSRTALFARPNPYPKTCSRFPSYSVYFGIHCFSHAVTD -SSCELSDSTYYRLVIGVADKNLSDPADVKYIGETTTPVRVQTRGCSVVSSIYGCYLLCSKSNQDYQDDFR -EQGFHQMFILFLSRELKTTFFDDMVSSTTVTWNGLYPGEGSGIWHMGHLVFPLWGGIRFGTHASEGILNS -TLELPPVGPSCKRSLADNGLINKDVLFSPYFGDSVMVFAYLSCYMLSNVPTHCQVETMNSSVLGFGSRAQ -FYDLKGIVYLYIQSAGWFSYTQLFRLSLQSKGYKLSVKQIKRIPISSTSRPGTEPCDIIHNCPYTCATGL -FQAPWIVNGDSIRDRDVRNMAFVQAWSGAINTFQRPFMSICSQYSCPLSELLDSESSIMRSTTTYCFPSL -TESILQCVSFIEWGGPVGNPISINEVYSSISFRPD - ->YP_009512970.1 attachment protein [Menangle virus] -MWNSIPQLVSDHDGAKGKFTDIPLQDDTDSQQPPGSKSTCRTLFRTVLIILSLIILVLGVTSTMFSAKYS -GSCATNSQLLGVSNLINQIQKSIDSLISEVNQVSITTAVTLPVKIMDFGKSVTDQVTQMIRQCNTVCKGP -GQKPGSQNVRIMPSNNLSTFQNINMSARGIAYQDVPLTFVRPIKNPKSCSRFPSYSVSFGVHCFANAVTD -QTCELNQNTFYRVVLSVSKGNISDPSSLETKAETRTPKGTPVRTCSIISSVYGCYLLCSKATIPESEEMK -TVGFSQMFILYLAMDSKRILYDNIVSSTSAIWSGLYPGEGAGIWHMGQLFFPLWGGIPFLTPLGQKILNS -TLDIPEVGSKCKSALTTSPAKTKDMLFSPYYGENVMVFGFLTCYLLSNVPTNCHADYLNSTVLGFGSKAQ -FYDYRGIVYMYIQSAGWYPYTQIFRITLQLKQNRLQAKSIKRIEVTSTTRPGNLECSVLWNCPYICATGL -FQAPWIVNSDAITPKEVDNMVFVQAWSADFTEFRKGILSLCSQVSCPINDLLSKDNSYMRDTTTYCFPQT -VPNTLPCTSFVEWGGDSGNPINILEIHYEVIFVAS - ->YP_009094497.1 hemagglutinin-neuraminidase [Tuhoko virus 1] -MDSRSDSFTDIPLDNRIERTVTSKKTWRSIFRVTAIILLIICVVVSSISLNQHNDAPLNGAGNQATSGFM -DAIKSLEKLMSQTINELNQVVMTTSVQLPNRITKFGQDILDQVTQMVRQCNAVCRGPGVGPSIQNYVIQG -HAPTVSFDPISAEYQKFVFGITEKTLITAYHNPWECLRFPSQHLFDTTWCVSYQILTQNCSDHGPRITVI -QLGEIMIANNLSTVFRDPVIKYIRHHIWLRSCSVVAYYSQCTIFCTSTNKSEPSDYADTGYEQLFLATLQ -SDGTFTEHSMHGVNIVHQWNAIYGGVGNGVIIGRNMLIPLYGGINYYDHNTTIVQTVDLRPYPIPDSCSQ -TDNYQTNYLPSMFTNSYYGTNLVVSGYLSCRLMAGTPTSCSIRVIPIENMTMGSEGQFYLINNQLYYYKR -SSNWIRDTQVYLLSYSDKGNIIEITSAERYIFKSVTSPDEGDCVTNHGCPSNCIGGLFQAPWILNDFKLC -GSNITCPKIVTVWADQPDKRSNPMLSIAETDKLLLHKSYINYHTAVGYSTVLCFDSPKLNLKTCVVLQEL -MSDDKLLIRISYSIVSIMVE - ->YP_009094465.1 attachment protein [Achimota virus 2] -MDNSMSISTISLDAQPRIWSRHESRRTWRNIFRITSLVLLGVTVIICIWLCCEVARESELELLASPLGAL -IMAINTIKSSVVKMTTELNQVTFTTSIILPNKVDQFGQNVVSQVAQLVKQCNAVCRGHQDTPELEQFINQ -KNPTWILQPNYTTKLTNLHEIDSIIPLVDYPGFSKSCTRFPSFSEGSKFWCFTYAVVKEPCSDISSSIQV -VKYGAIKANHSDGNPYLVLGTKVLDDGKFRRGCSITSSLYGCYLLCSTANVSEVNDYAHTPAYPLTLELI -SKDGITTDLSPTYTVQLDKWSALYPGIGSGVIFKGYLMFPVYGGLPFKSPLISASWVGPGNKWPVDFSCS -EDQYSTFNFSNPYSALYSPHFSNNIVVSALFVCPLNENLPYSCEVQVLPQGNLTIGAEGRLYVIDQDLYY -YQRSTSWWPYLQLYKLNIRITNRVFRVRSLSLLPIKSTTRPGYGNCTYFKLCPHICVTGVYQSPWLISIR -DKRPHEEKNILYFIGWSPDEQIRQNPLVSLCHETACFINRSLATNKTHAGYSESHCVQSFERNKLTCTVF -YELTAKPWAEMRVQSLLFQVDFL - ->YP_009094457.1 attachment protein [Achimota virus 1] -MATNLSTITNGKFSQNSDEGSLTELPFFEHNRKVATTKRTCRFVFRSVITLCNLTILIVTVVVLFQQAGF -IKRTESNQVCETLQNDMHGVVTMSKGVITTLNNLIEITSVNLPFQMKQFGQGIVTQVTQMVRQCNAVCKG -PTIGPDIQNIVYPASYESMIKHPVNNSNILLSEIRQPLNFVPNTGKLNGCTRTPSFSVYNGFWCYTHAES -DWNCNGSSPYMQVFRVGVVTSDYDYNVIHKTLHTKTSRLANVTYQCSTISTGYECYFLCSTPNVDEITDY -KTPGIESLQIYKIDNRGTFAKFPITDQLNKELLTALYPGPGNGVLYQGRLLFPMHGGMQSSELNKVNLNN -TVLSQFNDNKGCNATEIKLESEFPGTFTSPYYSNQVMLNYILICEMIENLPGNCDLQIVAPKNMSMGSES -QLYSINNKLYLYQRSSSRWPYPLIYEVGTRLTNRQFRLRAINRFLIKSTTRPGSEGCNIYRVCPKVCVTG -VYQAPWILHVSKAGSQSIAKVLYAVAWSKDHMSRKGPLFSICDNDTCFLTKSLASEHVHSGYSITRCYLE -NSERHIICVVIMELDASPWAEMRIQSVIYNITLPS - ->YP_009094079.1 hemagglutinin-neuraminidase [Tuhoko virus 3] -MHNRTQSVSSIDTSSDVYLPRRKKAVTKFTFKKIFRVLILTLLLSIIIIIAVIFPKIDHIRETCDNSQIL -ETITNQNSEIKNLINSAITNLNVLLTSTTVDLPIKLNNFGKSIVDQVTMMVRQCNAVCRGPGDRPTQNIE -LFKGLYHTSPPSNTSTKLSMITEASNPDDIVPRPGKLLGCTRFPSFSVHYGLWCYGHMASTGNCSGSSPS -VQIIRIGSIGTNKDGTPKYVIIASASLPETTRLYHCSVTMTSIGCYILCTTPSVSETDDYSTMGIEKMSI -SFLSLDGYLTQLGQPTGLDNQNLYALYPGPGSGVIFRDFLIFPMMGGIRLMDAQKMLNRNITYRGFPPSE -TCTESELKLKQEVANMLTSPYYGEVLVLNFLYVCSLLDNIPGDCSVQLIPPDNMTLGAESRLYVLNGSLI -MYKRGSSWWPYTELYQINYRVNNRAFRVRESVRINTTSTSRPGVQGCNLEKVCPKVCVSGIYQSPGIISA -PVNPTRQEEGLLYFLVWTSSMSSRTGPLSSLCDHSTCRITYPIGDDTIFIGYTDSSCFMSSIKEGIYCIA -FLELDNQPYSMMAIRSLSYIIN - ->YP_009094066.1 hemagglutinin-neuraminidase [Tuhoko virus 2] -MPPVPTVSQSIDEGSFTDIPLSPDDIKHPLSKKTCRKLFRIVTLIGVGLISILTIISLAQQTGILRKVDS -SDFQSYVQESFKQVLNLMKQFSSNLNSLIEITSVTLPFRIDQFGTDIKTQVAQLVRQCNAVCRGPIKGPT -TQNIVYPALYETSLNKTLETKNVRIQEVRQEVDPVPGPGLSNGCTRNPSFSVYHGVWCYTHATSIGNCNG -SLGTSQLFRIGNVLEGDGGAPYHKSLATHLLTTRNVSRQCSATASYYGCYFICSEPVLTERDDYETPGIE -PITIFRLDPDGNWVVFPNINRFTEYSLKALYPGIGSGVLFQGKLIFPMYGGIDKERLSALGLGNIGLIER -RMADTCNHTEKELGRSFPGAFSSPYYHDAVMLNFLLICEMIENLPGDCDLQILNPTNMSMGSESQLSVLD -NELFLYQRSASWWPYTLIYRLNMRYTGKYLKPKSIIPMVIKSNTRPGYEGCNHERVCPKVCVTGVFQAPW -ILSIGRDHKERVSNVTYMVAWSMDKSDRTYPAVSVCGSDTCKLTVPLGDSKVHSAYSVTRCYLSRDHMSA -YCLVIFELDARPWAEMRIQSFLYKLILT - ->YP_009094033.1 hemagglutinin-neuraminidase [Sosuga virus] -MHARNSSVSSISDSIDNVFGKRNTPVIKRTGKKLFRLGSLIFLIVIISLTVKIITELSLVKSECSNRDHV -TEIINLQQKELSLMNNIITTLNTLLTTTTVDLPIKLTNFGKSIVDQVTMMVRQCNAVCRGPGDKPTQNIQ -LFNGRYAIINNSTAYPSRNSISELKVPRDFVPSPGTFHGCSRFPSYSNHYGLWCYSHTVSNDTCDGSNPS -VQILSVGKLITGDNGQPEHKTLYTQQLSQTDRLYHCSVTMTTLGCYILCSKPRVNETQDYETIGIEPMII -GMLGLDGVYTDLGNPVGISDNSLYAMYPGPGGGVMYKDFLVFPLHGGVRFSEASKMLGKNITFRGFPPSD -TCTEHEKSLTQEPANMLTSPYYGEVLVLDFLYVCTLLDNIPGECSIQLIPPDNMTMGSESKLYKLNNSLL -LYKRSSSWWPYTEVYQLSLRVSKNSMKVRESVRLNITSTTRPGVEGCNINKVCPKVCVTGVFQAPGIIRK -ALSPKESNEDLLFFQAWTSDSIARQGPLISLCRADSCVLTIPLGNSDVFIGYTDSFCLSDRDNEKIYCVA -LLELDNMPYSEMTIRSFLYLIK - ->NP_665870.1 attachment protein [Tioman virus] -MWATSESKAPIPANSTLNLVDVPLDEPQTITKHRKQKRTGRLVFRLLSLVLSLMTVILVLVILASWSQKI -NACATKEGFNSLDLQISGLVKSINSLITEVNQISITTAINLPIKLSDFGKSIVDQVTQMIRQCNAVCKGP -GEKPGIQNIRINIPNNFSTYLELNNTVKSIELQRRPALLARPNPIPKSCSRFPSYSVNFGIHCFAHAITD -QSCELSDKTYYRLAIGISDKNLSDPSDVKYIGEAFTPMGLQARGCSVISSIYGCYLLCSKSNQGYEADFQ -TQGFHQMYILFLSRDLKTTLFNDMISSTTVVWNGLYPGEGAGIWHMGYLIFPLWGGIKIGTPASTSILNS -TLDLPLVGPSCKSTLEENNLINKDVLFSPYFGESVMVFGFLSCYMLSNVPTHCQVEVLNSSVLGFGSRSQ -LMDLKGIVYLYIQSAGWYSYTQLFRLSLQSRGYKLTVKQIRRIPISSTTRPGTAPCDVVHNCPYTCATGL -FQAPWIVNGDSILDRDVRNLVFVQAWSGNFNTFQKGLISICNQYTCPLTTLLDNDNSIMRSTTTYCYPSL -SEYNLQCQSFIEWGGPVGNPIGILEVHYIIKFK - diff --git a/seq/clusters_seq/cluster_501 b/seq/clusters_seq/cluster_501 deleted file mode 100644 index f61b49c..0000000 --- a/seq/clusters_seq/cluster_501 +++ /dev/null @@ -1,109 +0,0 @@ ->YP_009508060.1 coat protein [Crimson clover cryptic virus 2] -MTTNSSNARLNKLKNNTVPLPFNATEVPEPATDLLTSMKENTSAHSATANQWTIDLFPNMIPVLIHVMSA -ASHHANAINFREHSKTSAATVAMYHMAVVYGYFLLNDLNIRLNPSAHARSWAEASWKSEFVEFLYGLPVP -EFLAPILSEYQHFVTDKTPNVHFTPSAAGFDHDQFFGRVFPLNMFAALHDSTATLPSSSTYAQVLQDLFS -RPLYTITDPAFTCYLPDLLGITLTAGENVTTLNYINSKLYQVFTTIFNPVLFRDHQRRSSLAALSFKSPT -YPNPNINAYDLLFSASVANLREIKIVLQSIYKLFDGRVACKHTLSQFICESTSPSITKHGYSTFPLPTWS -HTESDAKAIRFSGVTALVHVSEEDRAQDFCFLQRPAEAIPHVNEVPDIRYATTEDPETAVPLPANHILVR -RFPFAIRLRQEAANGFPRHDNDDLTKFSDHVHTAPRVLILDTTGDGIISAHTTTASGKIIESFELDGSTI -EMPNADKSLGMQNCLFADSAIAYKYVRPGSFYRPRTQGSVLPPLNRAPPNSRPRLPASSMLHDRTKVFLP -QLNTRINEAVDIRTLPGLTMLNNVNCINYAQSFIGFKTVDATRNDDVLDAVPGMPLNNLILWSPYTYTAY -EDETYPDPVFSNSRHYYLTNLRTIFGTDTNLIKAVHPYEAYPVS - ->YP_009293580.1 coat protein [Cannabis cryptic virus] -MSSSTITSRLDALKSKNVIIEFKEAKTPVPSADILQLFADNTAARSDSSNEWIIDVFPNFTPILMYIMLN -AIRHANATAQREHSKSSVATICMYHLSIVYGFFLINDLNVRPAPSAHARIWAESNWRSEFVKFLSTLPVP -EFLIPILSQFHAFETARTKNVFFIPSAAGYDHDQFFGRVYPLNMFAAIHDCTATLPGNSSKIDVLRDLYS -RVLYSITDPAYTCVIPDLIGITLSAADITQAQYMNSKLYQVFSSVINPVLFRDFQRRSSLAALSFKAPTF -ASANVNAYDLMFSATSANLRELKVVLQSVHAVISDDVSCKTSLGQFIADATTSTIIKHGYSTYALPTWSH -SEVTNKAQTFRAITAQQLISEDARAQDFCFLQRPAAEIPHTHNLEDVRYVRTDAPTEPVALPQNHSIIRN -FPLCLRNNANAAVFPRVDNNTLINFSEEIHTVPSVLVLDTDGDQTITAHLPLLAGKIIESFELDGTTIEM -PNAMKSLGMQNCMFADSAIPYKYVRPGSAYHPVNAGTILPPRSRATPNSTPRLPASSFLYNRLRVNLPRF -NLAINEERIGNILPGMTLLDGVTVLRYVQSFLGFNTVSRADNADNGDNVPAMPRNLLLVWSPYTYTPYES -DDLPEPALGESRHYYLTNLRTIFGTDYNLVQAKHPYESLPVV - ->YP_009177605.1 capsid protein [Rosellinia necatrix partitivirus 6] -MSSKLNLSDRAKKVSALKTETTFKAIDLPGPTANFTTAFKKGSAPKTGLANEHYVYVLPDFSNILMFIIY -HAIQFGPSLEIKNHPKVSIPVFVSYCLTLVYAHFLVSDAYINPGQPHFAMTIKNDSAYSEYLDFLLTLPV -PEFLTPLFAKFTSTTTSRRTNTWFLASAEGFMHFTHFGRFFPINLFLNMHDLAARTESRANPAEVFYDLN -MTEIFHITKYRDPSVTTDTAVTYRYHNFFASLYPTTATTKTRRSMNFFYESRINQVLEGLFNPVLLRAQQ -QRQAFSRISIEAPTFETANYNPYFALLAITKENIPELMTVMQSVADTINGSIPIKSQLNKMYDNYSGVSI -LDHGYAGFPTPTWDADSNLPDNDTTLDKFVYNNAVLKFEGIPLSNYAAAIKFKGAISSQSWTLSNNIIAR -IDRSEAVPNVTDPAPDTVIVTTTITPGSAYLSLLALATVTDRHDVASSSTFYLPNTASGPTDENDHQHMT -TGNHVLRSYSAELDNYPTVKVLQFAETDDETAYQATLFGTVIYSDELVGSIVNHPHPTSRVEEDNSLFLT -SCIQFRTTHLATNFKTNNPIASIIMKTPAPTNDAQFALSLYRSPNVTIPRITTHTAHSGYHRDTYGLHRM -TTNISVPEFSQSILSYTVRDRPSRRHQAPIHEPPSTPYYRLLLWSPYVFVTPNYNRDWEQDEFGQALLDH -HYVTNLRTIFGLDATMIEVRSGSAAMPVA - ->YP_007891055.1 coat protein [Dill cryptic virus 2] -MSSTSLTSRLNALKTKTMNLTFTDVKAPVPSADILELFRANTGARADSCNDWTIDVFPNMTPIMMYTMLM -SIRHANATQQREHSKASVATICMHHMAVIYGFFLVNDLHVRPAPSAHARSWAETSWKDDFVNFLMNVPVP -EFLTTILSQFHAFETDRTKNVFFVPSAAGYDHDQFFGRVYPLNMFATIHDCTANLPGNSSKIDVLKDLYS -KVLYSIQVPNFTCCIPDLIGVTISQVTNTTANHLNSKLFQVFNSLINPVLFRDFQRRSTLAALSFKSPTY -QTDDINAYDLLFSATPANLRELKVVMQAVSAVLADSVPCKSTLGRFIAENSSSAIIKHGYSTYALPTWSH -NENSNKSSLFAAVTTHNLVSEEXRAEDFCFLQRPSQEIPHTHELNDLRYVADTDKSTAVALPANHSIIRT -FPLCLRYNSSQKDGFPRHNNNDLIKFQDEIHTYPSVLVLDTDGDLTVTAHLPLLAGKIIESFEIDGTTIE -MPNTFKSLGMQNCMFADSAIPYKYVLPGSYYHPRTTGTVLPPLNRAPPNSKPRLPASTLLHDRLKIMLPR -FNVAIAEQNIGNTLPGMTPIAPVNVLRYIQSFLGFRTVDASSNAATLDAVPSMPTDRLMVWSPYTYTPYE -PDDYPIPDLGASRHYYLTNLRTIFGTDYNLVQTKHPYEALPVV - ->YP_007889826.1 coat protein [Hop trefoil cryptic virus 2] -MSTEETAPQTKAIKNQNVVLSFEDGKPPGPVSDFMSLFRSNSCAHTDTANQWIIDVFPNMTPILMYVMTK -AQHHSEATDYRLHSKSSVFTICMYYMSIIYGYFLLNDLHSRPTQSAHARNWVENSWKSSFAKFLMTLPVP -EFLLPILAQFHPFNTDRTKNVFFSPSAAGFDHDQFFGRVYPLNMFAVIHDCTATLPGNSTRTQVLQDLFS -KVLYTVNAPGFTCLIPDLFGLTLDQTVNTTVNYMNSRLYQVFSSLFNPVLFRDSQRRSSLAALSFTPPTY -TTNHINAYDYMFAAYPANLRELQVVLQSVSAIIKDVAECKIPLGTYVADYSSPSIIHHGYSSYALPTWSH -SETEQKVDRFENATTFNLVTEDARAQDICFLQRPTQAIPHEHNVTDLIFTAAGAPDTDIPLPANHAIVRR -FPFCLRLDAPAENGFPRHDNEDLVKFSDDTHSAPGVLVLDTIGDGVLSAHLPTLTGKIIESFELDGSTIE -MPDVRKSLGMQNCMFADSAVAYKYVRPGSYWHPRAAGSTLPPLNRAPPNSRPRLPASSLLHDRTKVMLPQ -LNVRINAALNDNALPGLTRVTPVNVVRYTQSFLGFRTVDSSNNAANLDAVPGMTEGLLMLWSPYTYTPYE -SGDYPFADLSASRHYYLTNLRTIFGTDYNLVSVKHPYEAFPVV - ->YP_007889824.1 coat protein [Red clover cryptic virus 2] -MSTEETLPPTKTIKNQNVNLIFQDTKVPIPSDNFMELLRSNAAAHTDTSNLWVIDVLPNFTPILMFIMHY -AHIHAQAADYRVHAKSSAYTLCMYYMTVVYGYFLLNDLHTRPTRSVHARVWVESSWRSDFVKFLTTLPVP -EFLKPILSQFHPFNTDRTKNVFFSPSAAGFDHDQFFGRIFPMNMFAAFHDCTATLPGNSSKVDVLRNLYT -RNLYTITAPGYTCMIPDLIGITPDQAAATTVNYMNSKFYQTFTSIFNPVLFRDSQRRASLAALSFTAPTY -PTNHINAYDYMFSATAPNLRELRIVLQSIIAITKDVFKSDQTLGNYVADYSSGSIIHHGYSTYALPTWSH -TPTTGKFDRFNTANTFNLVTEDARAEDICFLQRPAAEIPHTRLITDIVYAATTAPATSLTVPANHALYRR -WPYCLRLDEDAANGFPRHDNEDLVAFSAESHAAPRVLVLDTPGDTVTSAHLPTLTGKVIESFELDGSTIE -MPDARKSLGMQNCMFADSAIAYKYVRPGSYWRPRAAGGTLPPLNRAPPNSRPRLPASSLLHDRTKVFLPQ -LNRHVNAVPDNDALPGFTQITPVNVIRYIQSFLGFRTVDSSANEAALDAVPGMNESLLMIWSPYTYNPYE -SDDYPALAYDASRHYYLTNLRTIFGTDYNLVATKHIYESFPAV - ->YP_007889822.1 coat protein [White clover cryptic virus 2] -MSPDENPTLNKTPKNQTVELVFQDTKVPVPSDNFMESIRSNAAAHTDTANQWIIDVFPNMTPILLYVMHY -THLYAQATEYRIHAKSSVYTLCMYFMTIVYGYFLLNDIYTRPARSVHARIWIESSWRSEFVKFLTTLPVP -DILKPILSQFHPFTTARTKNVFFCPSAAGFDHDQFFGRTYPMNMFAAIHDCTATLPGNSTRTDVFRDLYS -RILYSITTPAYTCVIPDLIGATPDQTTRTTINFMNSRLYQTFNSLFNPVLFRDSQRRAALAALSFTAPTY -PTNHINAYDYLFSATAPNLRELKIVIQSVIACSKGVFDVSGTLGSYVSDYSSSSIVHHGYSTYPLPVWSH -TDDATKPQTFTAATIFQLVTEDARAQDICFLQRPAAEIAHTRRITDLTYASTATPTVPATMPANHGIFRI -WPYCLRCDNDAENGFPRHDNEDLVAYSDEIHTAPSVLVLDTPGDTVISAHMPTVVGKVIESFELDGSTIA -MPDARKSLAMQNCMFADSAIAYKYVRPGSYWRPRAAGSILPPLNRALPTARPRLPASSLLHDRTKVFLPQ -LNAHVNAIPENNLLPGFTQLTPVNVIRYVQPFFGFHTVDRTANDAALDAVPGMAEGHLMIWSPYTYNPYE -SDDYPPVALDASRHYYLTNLRTIFGTDYNLVSVKHIYESYPAV - ->YP_003104769.1 putative capsid protein [Primula malacoides virus China/Mar2007] -MSDTVLQAKTNFLKNKSPVLSVQESKRPKISRDLMPLFQSNTAARSDSSNQWTIDVFPNMVPITMYIMLT -SIAHANDVDHRTTSKVSVATICMYHLSIVYGYFLLNDMITRASASAHARPWIETSWKREFADFLLTLPVP -EFLTPFLSQFQHFTTDRTKNVFLTPSAAGFDHNIFFGRVFPLNMFSAIHDCTATLPGNTPRIQVLQDLYS -RVLYTITAPGFTCLIPDLIGVTIDQTTPTTANYMNSKLYQVYTAVFNPVLFRDFQHRSSLAALSFQSPVF -ANNHVNAYDLLFSASAANLRELKVVLQVVSTVLDGKVTTSGTLGDFLTKPSGALAIKHGYSTYALPTWSY -NTNATKSAVYSAITTLTLTSERDRAQDICFLQRPAAAFNATTEVTDVTYAATATPNQAVQLPTGHQLTRH -FPFSLLQVPNGAEPFPRHDNDDLVQFSDFVHTAPSVLVLDTDGELTISAHLPLLAGKIIESFEIDGSTIE -MPNVDKSLGLQNCLFADSAVSYRLVRPGSAFHPQPAGSINPPLNRVRPNPRPRLPASSLLYDRTEIMLPN -MNNRIVEARAPDTLPGMTRRAAVNFLRYAQSFFGFHTVDSSSNALSLDTIPGMTNGLLHLWSPYSYTPFE -NDYFPDPDLAESRHYFLSNLRTIFGTDYNLVQAKHPYEALPVV - ->YP_392481.1 putative coat protein [Rosellinia necatrix partitivirus 1-W8] -MSSSRYNAAARAATIAQKSSSEAPKESKVPDNSVDFLTSYKAASSARLSTANLFTVDVLPDFTPILMFIM -FHAIQLSSDIDNRKHPKITTPTFVVYCLSLVYGYFLLSDMYVRPSASAPAQDYFDQPYKKQFSDMLLGLY -VPKFLEPIIKNFTATMHEKMPNVVFCASAAGFNIKNHFGRFYPINAFANIHDSAARLDSRTKPNNASATI -LLQRIFTITDFHSAGNDNMHFGLHHLLGAFASTTRIDPANATNNRQFAHPLRQMFDSLFNPVLSRDYTRR -STLAPLNLESPVFKSYRLSFYDLVFGLTKYNAHELTIVLQSISSNLNGAIPLSGDLASMFKDASGDQILR -HGYAVMEQPLHHCADNMPTDEFDVFESTVTVTTRHPEQAAADHKYLVLPNKPNTGTTHHLASAVCDTDPV -HPVTVTQPSADFVLLRDVTRTFPIADQHLIPRDTEFLLYDEDRDFAPTVLVLDPTTNSTVSAYMATLTGM -VIYSHEIDASAISFPNTEDINGLHNCQFADSATPYTMVYRPSHYTLGTTAHIARKRIRVKDNRFKSALYL -VDFAKIYIPRPILTTIDTLVSHGFPGFTFRDNVTFIGATTSALAQRVVSTRSNNDALPPHCNFGTISLWS -PFSFTPKAHAFWNEKGIFGYYEEHVDAYFIANPRTLFGTTAALVEVKHYRASMPLP - diff --git a/seq/clusters_seq/cluster_502 b/seq/clusters_seq/cluster_502 deleted file mode 100644 index 3361ebb..0000000 --- a/seq/clusters_seq/cluster_502 +++ /dev/null @@ -1,134 +0,0 @@ ->YP_009507793.1 envelope precursor [Puma lentivirus 14] -MAQANPMDNAWIGPEEGEMLLDFEVVMMLHEGSNYPGINPFRDPTLTPEKKQQTAALLQPLLVRIKQNLL -QQGKVKNWGKQDWDKMRRYKAGERAWIKFVKIFGGIHNMPKEEDLGTFSGDIDMITPHSYRKVTYNWKTS -LCFFLLIGSAMLAYSLIFTIIIKQALAQAVTLALDPPWVIPLKYREDINFQCIGNHPECNLPKEVGDWKQ -NFTWVYQTPINETIGLEIYAQEIAAKLWQQVFLQCKKGPRDLDTAIKYWICFYDTAIKYLLGYEHIQLCP -LGGYLVYDNTTKEISMCTPPLSLRLLNFTLSQEKWEQEPFTDIVWFGNKALNTTVNNITQVQINVTMVCN -VIVPEQVGKKKGRFQFYNEFLGPWGGGRFQEIIVRYQDWVNISDPILDLNCSGIPGVDFNHTEGNYTCIH -NKTYQEGDICTQPEFIAPCYNSNYSIPLVVHCKLHNENITGTVLQVMRCRGMKDLDLRIAGEFVTLNLTL -VKDPFLDYLRNQVNYTCTLNGTFWVYKSNKPKWDTNETIYAPVSNFTDDRVVWGAYNSILYQFYALQKFK -LLKKPVATIMPPVREPHRRQTRELRQKRGLGLTIAIVGAVTAGMIGTTTGTAALAVSVRLKEVMLQQAHI -NEQVLGALRIVQRRLQDAERFILSLHQRVTKIERFLEIQYQLQGMCPFKDICQLDMNFNFTDYNDSWPMG -RWAEQAEKDWREFQSLIDNATRSNENLKTDLTKLEVLDWFSWFPINSVFSTIFSLIIIIIVVVLARPCLE -NCIKGFFSMLKGYRPIKLQMVEIPLEGTTQEEEGEEEDNEDGGESCQTWRSDLNNCLGKKKSLKGLNMHH - ->NP_040976.1 envelope polyprotein [Feline immunodeficiency virus] -MAEGFAANRQWIGLEEAEELLDFDIATQMSEEGPLNPGVNPFRVPGITEKEKQNYCNILQPKLQDLRNEI -QEVKLEEGNAGKFRRARFLRYSDESVLSLVHAFIGYCIYLGNRNKLGSLRHDIDIEAPQEECYNNREKGT -TDNIKYGRRCCLGTVTLYLILFIGIIIYSQTTNAQVVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLG -AMIHLKAKTNISIREGPTLGNWAREIWATLFKKATRQCRRGRIWKRWNETITGPSGCANNTCYNVSVIVP -DYQCYLDRVDTWLQGKINISLCLTGGKMLYNKVTKQLSYCTDPLQIPLINYTFGPNQTCMWNTSQIQDPE -IPKCGWWNQMAYYNSCKWEEAKVKFHCQRTQSQPGSWFRAISSWKQRNRWEWRPDFESKKVKISLQCNST -KNLTFAMRSSGDYGEVTGAWIEFGCHRNKSKLHAEARFRIRCRWNVGSNTSLIDTCGNTQKVSGANPVDC -TMYSNKMYNCSLQNGFTMKVDDLIMHFNMKKAVEMYNIAGNWSCTSDLPSSWGYMNCNCTNSSSSYSGTK -MACPSNRGILRNWYNPVAGLRQSLEQYQVVKQPDYLVVPEEVMEYKPRRKRAAIHVMLALAAVLSIAGAG -TGATAIGMVTQYHQVLATHQEAVEKVTEALKINNLRLVTLEHQVLVIGLKVEAMEKFLYTAFAMQELGCN -QNQFFCKIPPELWTRYNMTINQTIWNHGNITLGEWYNQTKDLQQKFYEIIMDIEQNNVQGKKGIQQLQKW -EDWVGWIGNIPQYLKGLLGGILGIGLGVLLLILCLPTLVDCIRNCIHKILGYTVIAMPEVEGEEIQPQME -LRRNGRQCGMSEKEEE - ->sp|Q04993.1|ENV_FIVU2 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -MAEGFAVNRQWIGPEEAEELLDFDIATQMNEEGPLNPGINLFRVPGITETEKQEYCNILQPKLQDLRNEI -QEVKLEEGNAGKFRRARFLRYSDETILSLIHLFIGYCTYLCKRNKLGTLVHNIDIEAPQEECYSNRERGT -TVNIKYSRRCCIGTTALYLLLLTGIIIYTQTTQAQVVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLG -AMIYLKASTNISIQEGPTLGNWAREIWGTLFKKATRQCRRGRIWRRWNETITGPSGCANNTCYNISVIVP -DYQCYLDRVDTWLQGKVNISLCLTGGKMLYNKDTKQLSYCTDPLQIPLINYTFGPNQTCMWNTSQIQDSD -IPKCGWWNQIAYYNSCRWEQTDVKFHCQRTQSQPGTWLRTISSWKQKNRWEWRPDFESEKVRVSLQCNTT -KNLTFAMRSSGDYGEVTGAWIEFGCHRNKSKLHSDARFRIRCRWNVGDNTSLIDTCGNDPNVSGANPVDC -TMYANRMYNCSLQNGFTMKVDDLIMHFNMTKAVEMYNIAGNWSCTSDLPSTWGYMNCNCTNSSSTDSNKM -ACPKRQGILRNWYNPVAGLRQSLEKYQVVKQPDYLVVPREVMEYKPRRKRAAIHVMLALATVLSMAGAGT -GATAIGMVTQYHQVLATHQETIEKITEALKVNNLRLVTLEHQVLVIGLKVEAIEKFLYTAFAMQELGCNQ -NQFFCKVPPELWQRYNMTINQTIWNHGNITLGEWYNQTKDLQQKFYEIIMDMEQNNVQGRKGLQQLQEWE -DWVGWLGNIPRYLKGLLGGILGIGLGVLLLILCLPTLVDCIRNCISKVLGYTVIAMPEVEEEEIQPPMEL -RRNGRQCDMSEKEEE - ->sp|Q03804.1|ENV_FIVU1 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -MAEGFVANGQWIGPEEAEELVDFEIATQMNEEGPLNPGINPFRVPGITKQEKQEYCSTMQPKLQALRNEI -QEVKLEEGNAGKFRRARFLRYSDETILSLIYLFIGYFRYLVDRKRFGSLRHDIDIEAPQEECYNNKEKGM -TENIKYGKRCLVGTAALYLILAIGIIIIIRTTDAQVVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLG -AMIHLKASTNISNTEGPTLGNWAREIWATLFKKATRQCRRGRIWKRWNETITGPIGCANNTCYNISVIVP -DYQCYIDRVDTWLQGKVNISLCLTGGKMLYNKETKQLSYCTDPLQIPLINYTFGPNQTCMWNISQIQDPE -IPKCGWWNQQAYYNNCKWERTDVKFQCQRTQSQPGSWIRAISSWKQGNRWEWRPDFESERVKVSLQCNST -RNLTFAMRSSGDYGEITGAWIEFGCHRNKSIRHNAARFRIRCRWNEGDNNSLIDTCGETQNVSGANPVDC -TMYANKMYNCSLQDGFTMKVDDLIMHFNMTKAVEMYNIAGNWSCMSDLPTEWGYMNCNCTNDTSNNNTRK -MKCPKENGILRNWYNPVAGLRQSLEKYQVVKQPDYLLVPEEVMEYKPRRKRAAIHVMLALATVLSMAGAG -TGATAIGMVTQYHQVLATQQEAIEKVTEALKITNLRLVTLEHQVLVIGLKVEAMEKFLYTAFAMQELGCN -QNQFFCKVPPELWRRYNMTINQTIWNHGNITLGEWYNQTKDLQKKFYGIIMDIEQNNVQGKKGLQQLQKW -EDWVGWIGNIPQYLKGLLGSIVGIGLGILLLILCLPTLVDCIRNCIHKILGYTVIAMPEVDGEEIQPQME -LRRNGRQCGMSEKEEE - ->sp|P16090.2|ENV_FIVPE RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -MAEGFAANRQWIGPEEAEELLDFDIATQMSEEGPLNPGVNPFRVPGITEKEKQNYCNILQPKLQDLRNEI -QEVKLEEGNAGKFRRARFLRYSDERVLSLVHAFIGYCIYLGNRNKLGSLRHDIDIEAPQEECYNNREKGT -TDNIKYGRRCCLGTVTLYLILFTGVIVYSQTAGAQVVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLG -AMIHLKAKTNISIREGPTLGNWAREIWATLFKKATRQCRRGRIWKRWNETITGPSGCANNTCYNVSVIVP -DYQCYLDRVDTWLQGKINISLCLTGGKMLYNKVTKQLSYCTDPLQIPLINYTFGPNQTCMWNTSQIQDPE -IPKCGWWNQMAYYNSCKWEEAKVKFHCQRTQSQPGSWFRAISSWKQRNRWEWRPDFKSKKVKISLPCNST -KNLTFAMRSSGDYGEVTGAWIEFGCHRNKSNLHTEARFRIRCRWNVGSDTSLIDTCGNTPNVSGANPVDC -TMYSNKMYNCSLQNGFTMKVDDLIVHFNMTKAVEMYNIAGNWSCTSDLPSSWGYMNCNCTNSSSSYSGTK -MACPSNRGILRNWYNPVAGLRQSLEQYQVVKQPDYLLVPEEVMEYKPRRKRAAIHVMLALATVLSIAGAG -TGATAIGMVTQYHQVLATHQEAIEKVTGALKINNLRLVTLEHQVLVIGLKVEAMEKFLYTAFAMQELGCN -QNQFFCKIPLELWTRYNMTINQTIWNHGNITLGEWYNQTKDLQQKFYEIIMDIEQNNVQGKTGIQQLQKW -EDWVRWIGNIPQYLKGLLGGILGIGLGVLLLILCLPTLVDCIRNCIHKILGYTVIAMPEVEGEEIQPQME -LRRNGRQCGMSEKEEE - ->sp|Q05312.1|ENV_FIVWO RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -MAEGFAANRQWIGPEEAEELLDFDIAIQMNEEGPLNPGVNPFRVPGITEAEKQEYCNILQPKLQDLKGKI -QEVKLEEGNAGKFRRARFLRYSDETVLSLIHLFIGYCPHLCRRHELGSLRHDIDIEALQEERYNDREKGI -TDNIKYGKRCLIGTAVLYLLLSLGIIIHTCKAQVVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLGAM -IHLKASTNISIQEGPTLGNWAREIWGTLFKKATRQCRRGRIWRRWNETITGPLGCANNTCYNISVIVPDY -QCYLDRVDTWLQGKVNISLCLTGGKMLYNKETKQLSYCTDPLQIPLINYTFGPNQTCMWNTSQIQDPEIP -KCGWWNQNAYYNSCRWEHTDVQFQCQRTQSQPGSWIRAISSWKQRNRWEWRPDFESEKVKVSLQCNSTKN -LTFAMRSSGDYGEVTGAWIEFGCHRTKSKYHTEARFRIRCRWNVGDNTSLIDTCGETQNVSRANPVDCTM -YANRMYNCSLQNGFTMKVDDLIMHFNKTKAVEMYNIAGNWSCKSDLPPTWGYMNCNCTNSTNSGTGIRMA -CPRNQGILRNWYNPVAGLRQSLEKYQVVKQPDYLVVPGEVMEYKPRRKRAAIHVMLALATVLSMAGAGTG -ATAIGMVTQYQQVLATHQEAIEKVTEALKINNLRLVTLEHQVLVIGLKVEAMEKFLYTAFAMQELGCNQN -QFFCKVPSALWERYNMTINQTIWNHGNITLGEWYNQTKDLQQRFYEIIMDIEQNNVQGKKGLQQLQEWED -WVGWIGNIPQYLKGLLGGILGIGLGMLLLILCLPTLVDCIRNCIHKILGYTVIAMPEVEEEEIQPQMELR -RNGRQCGMSEKEEE - ->sp|Q04995.1|ENV_FIVU8 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -MAEGFAANRQWIGPEEAEELLDFDIATQMNEEGPLNPGINPFRVPGITETEKQDYCNMLQPKLQALRNEI -QEVKLEEGNAGKFRRARFLRYSDETILSLIHLFIGYCTYLLNRKELGSLRHDIDIEAPQEECYSSREQSI -TDNIKYGKRCFIGTAGLYLLLFIGVGIYLGTAKAQVVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLG -AMIHLKASTNISIQEGPTLGNWAKEIWGTLFKKATRQCRRGRIWKRWNETITGPLGCANNTCYNISVIVP -DYQCYLDRVDTWLQGKVNVSLCLTGGKILYNKYTKQLSYCTDPLQIPLISYTFGPNQTCMWDTSQIQDPE -IPKCGWWNQIAYYNSCRWESTDVKFHCQRTQSQPGLWLRAISSWKQRNRWEWRPDFESEKAKVSLQCNST -KNLTFAMRSSGDYGEVTGAWIEFGCHRNKSKLHTEARFRIRCRWNVGDNTSLIDTCGETQNVSGANPVDC -TMYANRMYNCSLQNGFTMKVDDLIMHFNMTKAVEMYDIAGNWSCTSDLPPTWGYMNCNCTNSSSTNSVKM -ACPKNQGILRNWYNPVAGLRQSLEKYQVVKQPDYLVVPGEVMEYKPRRKRAAIHVMLALATVLSMAGAGT -GATAIGMVTQYHQVLATHQETIEKVTEALKINNLRLVTLEHQVLVIGLKVEAMEKFLYTAFAMQELGCNQ -NQFFCKVPPELWKRYNMTINQTIWNHGNITLGEWYNQTKELQQKFYEIIMNIEQNNVQVKKGLQQLQEWE -DWVGWIGNIPQYLKGLLGGILGIGIGVLLLILCLPTLVDCIRNCISKVLGYTVIAMPEIGDEEETVQMEL -RKNGRQCGMSEKEEE - ->sp|Q02282.1|ENV_FIVT2 RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -MAEGGFTHNQQWIGPEEAEELLDFDIAVQMNEEGPLNPGVNPFRVPGITSQEKDDYCKILQTKLQELKNE -VKEVKIEEGNAGKFRRARYLRYSDENVLSIVYLLIGYLRYLIDHRSLGSLRHDIDIETPQEEHYNNSEKG -TTLNIKYGRRCCISTFIMYLILFAGVGIWLGARAQVVWRLPPLVVPVDDTEIIFWDCWAPEEPACQDFLG -TMIYLKANVNISIQEGPTLGNWAREIWSTLFKKATRQCRRGRIWRRWNETITGPLGCANNTCYNISVVVP -DYQCYVDRVDTWLQGKVNISLCLTGGKMLYNKETRQLSYCTDPLQIPLINYTFGPNQTCMWNTSLIKDSE -IPKCGWWNQVAYYDTCKWEEANVTFQCHRTQSQSGSWIRTISSWKQRNRWEWRPDFESEKVKISLQCNST -KNLTFAMRSSSDYYDVQGAWIEFGCHRNKSKRHSEARFRIRCKWNEGNNISLIDTCGTNPNVTGANPVDC -TMKANTMYNCSLQDSFTMKIEDLIVHFNMTKAVELYNIAGNWSCTSDLPKGWGYMNCNCTNGTDNSETKM -ACPKNQGILRNWYNPVAGLRQALIKYQVVKQPEYLIVPEEVMQYKFKQKRAAIHIMLALATVLSMAGAGT -GATAIGMVTQYHQVLATHQQALEKITEALKINNLRLITLEHQVLVIGLRVEAIEKFLYTAFAMQELGCNQ -NQFFCKIPPSLWSMYNMTLNQTIWNHGNISLGNWYNQTRDLQNKFYEIIMDIEQNNVQGKTGIQQLQKWE -NWVGWIGKIPQYLKGLLGSVLGIGLGILLLLICLPTLVDCIRNCTNKILGYTVIAMPEIDDEEVHLSVEL -RRNGRQCGISEKEEE - ->sp|P19030.1|ENV_FIVSD RecName: Full=Envelope glycoprotein gp150; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 100; Short=gp100; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 36; Short=gp36 -MAEGFAANRQWIGPEEAEELLDFDKATQMNEEGPLNPGVNPFRVPAVTEADKQEYCKILQPRLQEIRNEI -QEVKLEEGNAGKFRRARFLRYSDESILSLIHLFIGYCTYLVNRRRLGSLRHDINIEAPQEEQYSSREQGT -TENIKYGRRCLIGTASLYLLLFIGVAIYLGTTNAQIVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLG -AMIHLKASTNISIQEGPTLGNWAREIWGTLFKKATRHCRRNKIWKRWNETITGPVGCANNTCYNISVIIP -DYQCYLDRVDTWLQGKVNISLCLTGGKMLYNRDTKQLSYCTDPLQIPLINYTFGPNQTCMWNTSQIQDPE -IPKCGWWNQIAYYNSCRWESTNVKFYCQRTQSQPGTWIRTISSWRQKNRWEWRPDFESEKVKISLQCNST -HNLTFAMRSSGDYGEVMGAWIEFGCHRNKSRFHTEARFRIRCRWNVGDNTSLIDTCGKNLNVSGANPVDC -TMYANKMYNCSLQNGFTMKVDDLIMHFNMTKAVEMYNIAGNWSCKSDLPQNWGYMNCNCTNGTSNDNKMA -CPEDKGILRNWYNPVAGLRQALEKYQVVKQPEYIVVPTEVMTYKYKQKRAAIHIMLALATVLSIAGAGTG -ATAIGMVTQYQQVLATHQEALDKITEALKINNLRLVTLEHQMLVIGLKVEAIEKFLYTAFAMQELGCNQN -QFFCEIPKELWLRYNMTLNQTIWNHGNITLGEWYNQTKYLQQKFYEIIMDIEQNNVQGKQGLQKLQNWQD -WMGWIGKIPQYLKGLLGGILGIGLGILLLILCLPTLVDCIRNCISKVLGYTVIAMPEIDDEEETVQMELR -KNGRQCGMSEKEEE - diff --git a/seq/clusters_seq/cluster_503 b/seq/clusters_seq/cluster_503 deleted file mode 100644 index ad0b5b6..0000000 --- a/seq/clusters_seq/cluster_503 +++ /dev/null @@ -1,36 +0,0 @@ ->NP_058429.1 non-structural protein 7 [Transmissible gastroenteritis virus] -MLVFLHAVFITVLILLLIGRLQLLERLLLNHSFNLKTVNDFNILYRSLAETRLLKVVLRVIFLVLLGFCC -YRLLVTLM - ->YP_009199248.1 non-structural protein 7 [Swine enteric coronavirus] -MLVLLHAVFITVLTLLLIGRLQLLEGLLLNHSFNLKTVDDFNILYRSLAETRLLKVVLCLIFLVLVGFCC -YRLLVILM - ->YP_009019187.1 non-structural protein 7a [Mink coronavirus strain WD1127] -MLVCLHVVLVLVLICLILKRIKLLEQLVLNAILNLTTLTNVLDIADSGVKVKYFQLLKPDCLDLYSLQRA -IVEVRLLRLFIRVLFFIVLFYLIVCVLI - ->YP_004070200.1 non-structural protein 7a [Feline infectious peritonitis virus] -MLVFVHAVLVTALILLLIGRIQLLERLLLSHLLNLTTVSNVLGVPDSSLRVNCLQLLKPDCLDFNILHKV -LAETRLLVVVLRVIFLVLLGFSCYTLLGALF - ->sp|Q7T6S7.1|NS7_CVCBG RecName: Full=Non-structural protein 7a; Short=ns7a; AltName: Full=11 kDa protein; AltName: Full=Accessory protein 7a; AltName: Full=X3 protein; Flags: Precursor -MLVFLHAVFVTVLILLLIGRLQLLERLLLNHSLNLKTVNNVLGVTDTGLKVNCLQLLKPDCLDFNILYRS -LAETRLLKVVLRVIFLVLLGFCCHRLLVTLF - ->sp|P36301.1|NS7_CVCAI RecName: Full=Non-structural protein 7a; Short=ns7a; AltName: Full=11 kDa protein; AltName: Full=Accessory protein 7a; AltName: Full=X3 protein; Flags: Precursor -MLVFLHAVFITVLILLLIGRLQLLERLLLNHSLNLKTVNNVLGVTHTGLKVNCLQLLKPDCLDFNILHRS -LAETRLLKVVLRVIFLVLLGFCCYRLLVTLF - ->sp|Q04703.1|NS7_CVCAK RecName: Full=Non-structural protein 7a; Short=ns7a; AltName: Full=11 kDa protein; AltName: Full=Accessory protein 7a; AltName: Full=X3 protein; Flags: Precursor -MLVFLHAVFITVLILLLIGRLQLLERLLLNHSLNLKTVNNVLGVTDTGLKVNCLQLLKPDCLDFNILHRS -LAETRLLKVVLRVIFLVLLGFCCYRLLVTLI - ->sp|P69613.1|NS7_CVPRM RecName: Full=Non-structural protein 7; Short=ns7; AltName: Full=9 kDa hydrophobic protein; Short=HP; AltName: Full=Accessory protein 7; AltName: Full=X3 protein; Flags: Precursor -MLVLLQAVCITVLTLLLIGRLQLLERLLLNHSFNLKTVDDFNILYRSLAETRLLKVVLRLIFLVLLGFCC -YRLLVILM - ->sp|P05992.1|NS7_CVPFS RecName: Full=Non-structural protein 7; Short=ns7; AltName: Full=9 kDa hydrophobic protein; Short=HP; AltName: Full=Accessory protein 7; AltName: Full=X3 protein; Flags: Precursor -MLVLLHAVFITVLTLLLLGRLQLLERLLLNHSFNLKTVADFNILYRSLAETRLLKVVLRLIFLVLLGFCC -YRLLVILM - diff --git a/seq/clusters_seq/cluster_504 b/seq/clusters_seq/cluster_504 deleted file mode 100644 index d0e9138..0000000 --- a/seq/clusters_seq/cluster_504 +++ /dev/null @@ -1,206 +0,0 @@ ->YP_009480336.1 RNA dependent RNA polymerase [Maize rough dwarf virus] -MVERKFSRSAEICLKKFESIQQFRQKKQQQETSTDKSQSQNKKDKTPGPNAPGSKKDSSTKKDEKISNHN -QNFQQAKDEYIQLLKSKDIALDMIIEKAEDIRNVFIGELPLTHEVMENNLNDIAVVLNNASDLILKLFQS -DNGILQECVVPISVIQKDIFPVLHPSEIFDYNGFGIDETNNLAISPTIRYLPNWNVQKIRSTIDLLKNDN -TVVKAKNLANDFGLISNTLKRRLLIGVDRMFNKLSIQHRFGTFESQIFSCFLMKQLLTEEQCYVNLPDCV -RKSKFQKRGIDTVCWQYLRQILLHYGCFPFYHHTHNARFGVLDSTKISIHTDLPVSCILPSVLHGLLNGI -VSEMLCDLNSDTALIYAKHLMNCSQQSTYQRQLDFKDDFRSWPKLCYDKIGYVLAVLYSSDMKPLEQRIE -HIDSEFWIDEKEKESLELSVSKLPEYMKKFVVNSISNCVKLGNNVKSKMSSNQTILLSRDLTNDEVISVR -LLASKNTEFLRWLTMTSTIVSNFGIYNKTSLLLEYEKSVGTKQDAMVAKPDCKISIVTEQGMTIPLINDW -SKVPILNEMSELFDQNWKSDLINEFENIDDFEKRFVTFLTNKSGGQKSEEPTLSKELKGISNARVIAFAL -NRNDYHDELKFIKMLMAYGKCAIRFQIDRRARVIVIVPNAIQSSELFLLLGFNALKTNKKHNEKIAVGKQ -IGNLLDARVQMCNTGDVSSVKNSGDMKGMDAHTIPNLTLFLRNKMIEVLFELDPSKNCARFFFSEDKEYT -LKERHPQFEEIYSRKLRGVVIHAAKCLFYMFSMNMYLDDHFFADTQTVSDQTFQTGFFATSAQHTLFLSL -FLLNLERKFFSVLDNKLISVMHSVMGDDVLEVIKNGVKFPEVVRKWLSLRKNDLSKLNYEEELSLSRMYG -VFLQQAALLGVYVPYPSRMSLFCDERSDTTKRHILDMIKIVMDVISAKAQRSYGIDNALSIGYSIWSCHR -SSRYIYSDFDKIKISKLVEMNKNFGFNFIVSHNVNEQSIRFIYPFVTVMCSPISWPMLTFAMPDSTDSNK -ILTYRSKAFTSLNGDGAYMLINQMFFTSNEQHMFQFVDYIKHQSKVVELKLNSNFLDWETRHKWGFTFGE -HLLRFKRLRHLAESRKSELGLGDIEIMVHNLNKYLDSNRVLMSFNSISVLKSHKIEVPYGLMYINHNRSK -IDQSLTVRTESLEERVFLDSIFLKHMLDYNLIPKDLEVLKTHALCAVKLRIYGDFEYSCEHDGVIEPSQD -AQFNILLPFLPGYHLNSAYGKLFSYSTLPTVHDRDISGTLGEITGSLGASFDVDAAIEFGAHVYNVNPSL -VDAAGTAIGIPQGLLNHYKNLVNAFVMNNFNLKYHSIFLNVKYFGLNGSLKHFAQYGDYSSRLVRFDSIN -KLDRYHNVFVRDFIFAYIHELNGRRVYLDYSIHSLLLVMSRGSIKYFTSNLSQLFNPMLTLEFD - ->YP_009333354.1 RNA-dependent RNA polymerase [Wuhan heteroptera virus 3] -MPSLTNKASIKNTSNNIFKTKIENSNLKTILLNLVKDNLGDLFSNPNPIEVPRNIEISKTNEINELYDVV -VASYNNLIEKKQNDVLELRRNVYDERKNLILETVHISKKAGTNNERIEKRKKTAKNNAKNKDSSAPRSVE -SGYHSSSSVITENTDNHDNKEKLKYKSFELKKLEEKLFKLEKWLGTICAMRDYLVNNSTLFEELITNIDV -ISKLFNNENVMSLDDLSILDRYTNILNISINCIPTLIKHFYLDLPALRECDEYMSHLLAKSLPKFKIDVY -AIDPKTLSSQPNGCKYLAHCSAFDLRDTAKSDKLAKGVLKMLEELSCQARYGTIWIQILIFLFWRQKESE -SVFYSHLPNDYCLKSTEVKNATETISFQTIVRLIRKFNITPFRVKKGKLVYNDENEKDWSTQLPLLLTAL -VNGVIFEMCGPLDERSAIAYVKMMLIGSKLSLADYLLDNKSKLRGWFKKMFFIAKSLSVLSWNNDQPSRI -WYTGPGYEIIFPDEIHDIDNQILKFPGFFQQYVNYHLQYIQSLTGTQTDAIHCTLVIRHVIMCTLIITCT -SSYNKTSPLLALDSAYATRLQHCVPTPAVTKTCIYNGTQYNLDYDFAGMGENYQSIYLKIREYVSRVYDD -KMFFDLEGEFVNYLTNRSGGIKVSESEVQKILGASNKVVQAVSQKRIINFLLSVQFFEDLTHIYKMMTDE -VKCGYRQQVDRRMRVIAVINNCFQTLEFILVHIFNQIKNNVESIAMGKQIGNIIDAITQINISGSRNGFM -SSSDVAGMDASVLPQLSKFIRHAIIDQLVEMNAPVDKFFYFHSCDCEVNIYNDNGCYDTMVKHLHMLQML -TMIVEPASEAVKLVAHDGFFVDDVDASTQSYPSGRLYTSGNHTTLLAAVLELFGQSEKWCNLGFKSLILG -DDKFVYVKGCMDNSKLIEFMQDLTEFLSTLNLKLEVFGSRVFGDFLQQSVLCGIQIPKPSRSTIQNVEKN -EAENRNPLEIFWIVVETSNVSANRIYAPENLSSFLLSVWFIIRYKKYVISEGPPILKSSYTGELFTVFNN -DILLITLPILLPSVSPMNIPPILLDVDNIESNPLTYFTPGGDFMYVYWYMVFKNRDDIAIAADYYLDSNE -QLQLNITKIKHKYFKSFINWEVRDQYGITLSEHLIGFKRTPSLSKMKKEEIGHHNLQLMEDRLLNYVNQG -KLNATREATNQLLSNNIKFDTSVTFEARNRYKVISSFTSALENNDEQKVIDINWIKYIDEYQVLPVKNIN -KYIVTGISISTDESICMKVDELEQNSRAIASAPFVILPVIPSLHLFSDRLRWILMFGFASEIASDITRSV -NKLGEDVGFGFDAEKIIEEGVKIYKTNAKAIELYTQTLGITEAQRLRIINLVKQIVKRGYSQEYKSIFPM -NKYFVENGDAFLYSDFFDKRDVLTLEPFVKSGAIPLILRDAIFAFGCSILSVHPRIVNNYVAMILNFEHD -LES - ->YP_009329904.1 RNA-dependent RNA polymerase [Hubei insect virus 2] -MFSDWHKSITTYTYYQLELPYNNDYIEHGPLFRFKRRNTKNSETIAPRTPSSKTPLSSTQNKQASDRNSA -TKRSGLEKNERVVKELTKDDEEEQERMLRDIKLQEKIQLYKMKDRLELENREYVFRHLDVIRGIHDGTSA -LTLDRLKLLDRLSQILKYNPRQIYAYFHVCEPRAELKLNADSIDKDLYYMFNPPKTLNLDWFDILPEYTC -SNQAYMRYMPNVDVRWLSDEYLQIRKNMVQKYNKIHATNKTTITLTSVMKMYKDREVSLADTLKFLIYNG -CVKMHSQLTFQVRYGSYEKFLMLFLFLRQTCCEAEYFVNITGNVKGNGCNSSDSLNTLQVIDICKSGLDL -VGIQYITRIIKHYVVLPFSFINEKIIYDNIDKPISTQLPIVVLSLLNLSMNEYLGAITKDDAIYGAKYIL -YCARESLSNTHLNVKGKSRGWYDMILRDTKHYATHTYSKEGKLSGTSYCQTDGDIQFPDDKRTFMGEISK -MDIDNPIIKFANDVYLANRAMNEQLSSLKKRSHGNISLYACYKREVSKYTEWLRYVYLAIMTITNPGIYH -KTSLAIEYYKSLGIQVVEGIRYPTILEKWNLGGADWYAHKYDWYSVSKELGMLYHEFVSTYSDTLLDRMS -NKDYNEYFLLALTHKSGGDKLSLDNKQLEALFGQVSNTRFASYALEYYKYDVVAEFCKLLLAEKKNTTRD -QNDRRVRIITIVVNAVQAGELPFLYAFNILKFLMNEIAVGKQVGNMVDALKQLYASGNPHLVCNSSDIAG -MDAHTLPVTTLLYRNAVSNILENDGSMAGGGVGTRTMNFLCMNSGLVTMQTVNGNGQRYLSAASKMIDVV -NGTESQNAILKDGMFVDELSVNKDVFASGLYGTSATHTIMLSVVHKVVKKMYDAKFPGNHLDVSVSILGD -DQFEIMDGSISEIDAWRKMKSEFMRNINYKEEAVFSRFSGVFLQQAAMFGSIVPYPARISMFDDERSENI -WRMPHESVSLLIDHVRKLAQRCYGFENYASVARSLWNSIRYTRLNLDSGVKQVAQKYVATMSKYDSDHTM -KDSLTIEGNESYFRYPYLLINCNPISLPLQLMYIDKYDRIVLPQMSTAVKGEFAKHALTKCFILSEGLNE -EINDENVFIHRRNNLLMTAIDWQERAKYGITFGEHILKYNRQGQRLAKLKQDEQKMSIINSIALDLKNKL -NTERLWKSVKAKAKLKIEFGEVFPGIGMGYEEVPKQRLLQALMTVEENPLEAVNLDFDFFMHLTNFTVIP -RQVGEYVSTLFHVTPPKNCTDAMIMSRSGLMNWELSLLPCMYRGSDMAVLLLYSEVPVNDFNAQSASRIS -KINSELTNNVEVETVVRIGMELYSKRHDSDVVIAFGEFIGLGIDIANELALLVVDTYNHGFSNTYTSIFD -KPIFFFITSTSDICYRNCNISALMPEKKQLIRFKKLLSRDFIHSYCKYYIDERFSHEYINTGDLINGNYD -EVTLKPILLKPELILSVMSQYRMQNNFFNRGMIS - ->YP_004021936.1 P1 [Southern rice black-streaked dwarf virus] -MVERKFSRSAEICLKKFNSIQKFRQNKQQQKTNRDRTISQKEKKIKDRAPPPSKKEDIPTISTPISIESQ -SNLDEQRTSYIHLLHQKDSILDAIIEDAENIRNVFIGEVPLTKEIIKNRLDDISTVLNNASDLILKLFES -DNGILNECVIPNDVIQKDIYSILHPSEIFDYNGFGIDEVNNLAISPKIRYLPNWNIQKIRSTIDLLKNDN -SPLKPVKLAHDYGLISNNLKRKLLIGVDRMFNKLSIQHRFGTFESQIFSCFLMKQLLTEEQCYVNLPNCV -LKSKFQKRGIDTVCWQYLRQIILHYNGFPFYHHTHSARFGVLDSTKISIHTDLPVSCVLPSVLHGLLNGI -VSELLCDLNSETALIYAKHLMFCSQQSTYQRQLDFKDSFRSWPKLCYDSIGYVLAVLYSSDMKPLEQRIE -HIDSEFWMDEKEKEILETSVSQLPDYVKKFVSSSIAHCTDLGNIVKSRMALNNNISLSRELTNDEVTSVR -LLASKNTEFLRWLTMTSTIISNFGIYNKTSLLLEYKKSVGTKQDVMVSKPECKISIVTKQGMNIPLINDW -SKVPILNEMSELFDQNWKSDLIKEFEHIDDFKKRFVTFLTNKSGGQKSEEPTLSKELKGISNARVIAFAL -NRNDYHDKLKFIKMLVAYGKCAIRFQIDRRARVIVIVPNAIQSSELFLLLGFNVLKTNKKHNDKIAVGKQ -IGNLLDARVQMCNTGDVSSVKNSGDMKGMDAHTIPNLTLFLRNKMIEVLFELDPSKSCSNFFFSEDKEYT -LKEKHPKFEEIYNRKLRGVVIHAAKCLFYMFSMNMYLEDHFFADSQTVSDQTFQTGFFATSAQHTLFLSL -FLLNLERKFFAKLGNKMISVMHSVMGDDVLEVIKNGVKFPDKVRSWLSLRKNDLAKLNYEEELSLSRMYG -VFLQQAALLGVYVPYPSRMSLFCDERSDTTKRHTLDMIKIVMDVISAKAQRSYGIDNGLGIGYSIWSCHR -SSRYIYSDFDKSKVSQLLEMDKTFDFNFIVSHDETEKSIRFIYPFVTVMCSPISWPMLTFVMNDISDPNK -FITYKSKAFTSLNGDGAYMLINQMFFTSNEQHMFQFVDYVKHQSKIVELKLNSNFLNWEARHHWGFTFGE -HLLRFKRLRHLTESRKNELGLGDIEVMVHNLNKYLDGNRVLLSFNSISVLKAHKIEVPYGLMYANHNRSK -IDQSLTVRTESLEERVYLDSIFLKHMLDYKTVPKDLEVLKTHALCSVRLRIYGDFDYACEKDGVIEPSSD -AQFNIILPFLPGYHLNSAYGKLFLYSTLPTVHDRDISGTLGEITGSLGASFDVDAAIEFGAHVFNINPSL -VDAAGTAIGIPQGLLNHYKNLVNAFVTNNFNLKYHSIFLNVKYFGLNGSLKHFSTFGDYSSRLVRFDLIH -KLDRYHNVFVRDFIFAYIHELNGRRVYLDYSLHSLLLVMSRGSIKYFTSHPSQLFNPMLTLEFD - ->YP_956848.1 RNA-dependent RNA polymerase [Mal de Rio Cuarto virus] -MVEQSFSRSAETCLKKFKQIQKHKTEQKLKNLIEEIKTTNGKTSQHKRPFGKVTAKEKFLDIEKLKFQVL -QNQAQSESEYASKLLLKDSAMDGIIANAEEIRNTLIGEIPLTREIIESKLKDIALVLNNASDLILKLFEN -DGGIEKSCIIPISVIEKDIFGVLHPIEIFDYNAFGIDEVNNLAINPKIRYLPNWNVQKIRDTIDKLKDDS -SYVKAKSLSKDYGIVSNSLKRQLLIGVDRMFNKLSIQHRFGTFESQMFSCFLMKQILTEEQSYVNLPECV -SKKSFQKRGVDTVCWQYLRQILLHYGTFPFYHHTHSSKFGVLDSTRISIQTDKPVSCILPSVLHGLLSGI -VSELLCDLNSETALIYAKHLMNCSNQSTYQRQLDFKDSFRSWTKLCYDKIGYVLAVSYSEDMKPLDQRIE -RIESEFWADDIEKSNLEKSVDNLPNYMKPFVKSSISNCVHLGKAVGSKMSSNHSTSLCRDLIDEEIISVR -LLASKNTDYLRWLTMTSTIVSNFGIYNKTSLLLEYEKSVGTREDMMVQRPDCKVSIVTEQGLSIPLINDW -TKVPILNEMSELFDYNWKSDLIKEFESIDDFEKRFVTFLTNKSGGQKSDEPTLSKELKGISNARVIAFAL -NRNDYHDESKFLKMLMTYGKCAIRFQIDRRARVIVIVPNAIQSSELFLLLGFNSLKKDKKHNSKIAVGKQ -IGNLLDARLQMSTTGDVSSIKNSGDMKGMDAHTIPNLTLFLRNKMIEVLYELDPSKKCTRYFFSEDKDYI -LKEKHDKFEEMYTRKLRGVVIHAAKCLYYMFSMNMFLDDHFFADSLTVSDQTFQSGFFATSAQHTLFLNL -FLLNLERKFFASQDNKMISVMHSVMGDDVLEVIKNGVKFPDIVRKWLLLRKQDLTKLNYEEELSLSRIFG -VFLQQAAILGVYVPYPTRMSLFCDERSDTTKRHVLDMMKIVMDVISAKSQRSYGIDNGLGIGYAIWACHR -SSRYIYSDSDKRNIEALLNLDKSLDFTFICSHDEKEQSIRFIYPFVSIMCSPISWPMLTFAMTDKDDPNK -IITYKSKAFTSLNGDGAYMLINQMFFTSNEQHMFQFVDCIKHQSKIVELKLNPNFLDWDERHSWGFTLGE -HLLRFKRLRHLTESRKNQLGLGDIEVMVHNLNKYLDGNRVLMSFNSIAVLRSRKIEVSFGLMYVNHNRSK -IDQSLTVRTESLEERLSLDSIFLKHVLYYKKVPSDIEVLKTHALCSVRLRIYGDFDYAVEHDGLIQPSED -SKFNVILPFLPGYHLNSSYGKLFLYSSLPTVHDRDISGTLGEITGSLGAAFDVDSAVEFGAHVYNINPSL -VDAAGAAIGIPQSLLKNYKNLVDAFITNNFNLKYHSIFQNVKYFGLNGSLKLFSSFGDYSSRLVRFDVIN -KLSKFHNVFVRDFIFAYIHELNGRRVYLDYSLHSLLLVMSRGSIKYFTSHLNQLFNPMLSLELD - ->YP_249762.1 RNA-dependent RNA polymerase [Fiji disease virus] -MIVRTVCRAKEKCLEKYKNILKYKEQLAKQDQKENETTSNNKDTSSSVPKPSNFRKTKVQNQYNRVFSID -EKVAEYEKILNDKVNMIDAIFNKAEKIRDLFIGTEPLTKELIKNELQYGAIVLNRASDLILAFADNNEPR -EPEFVLNTDMIVNDLKPLLHPTRIFDYSSFGFDDVNKLAINPKIRYLPNWSINYIRKCIQSFFTSNSNIE -VQNLHNYSNHYDNRIYEHAMPNDVKLQILIGLDKMFNKLSIQHRFGTFETQILSCFFMKQKICEYEAYMH -LPDCVNKSKKLKKGTETVCWQYLAEIIKHYEGIPFYHHTHSSKFGALDNTKISITTNKEVSCCLPSVMHG -LLNGIIGELLCDLSTETALIYAKHLMNCSATSTYQRQLEFKDSFRMWPKLCYDSIGYALAVLYSKDMKPL -EHRVEKIDSEFWFDEEEKDKLSSSLSKIPSYMKPFVNNAIQNCKKRGDYINQMICDINKIKLSREPNKFE -THDLYLESGKNTEYLRWLTMTSTIISNFGIYNKTSLLLEYEKSISTKTKVMVQQPEPKVSLITEQGLQTP -ILHDWTKVPVLNEMSSLFDSEWKSTLVKEFEDINDFEKRFVTFLTNKSGGIKSEEPTLSKELKGISNARI -IAFALNRNDYQIESKFLNMLIAHGKCAIRFQIDRRARVIVIVPNAIQSSELFLLLGFNVLKSDKRFNEKI -AVGKQIGNLLDAKAQMISSGDVLSVKNSSDMKGMDAHTLPNLTLFLRRKMIEVLYELDPTGKCARYFFAE -DKEYCLIQHHEKYHEQFVRRLRGPTIHAAKCLYYMFSMNMYLEDNFFSKGMNVSDQTFQSGFFATSAQHT -LFLSLYLLNNERKYFSKLDNRMIRLLHSVMGDDVFEVIVNGVKYPNLVKEWLKLRNQGLSKINYEEDVSL -SRLFGVFLQQAAILGVYVPYPARMSLFCDERSDTTKRHTLDMIKIVLEVLSSKSQRSYAIDNNISIGYAI -WNCHRTSRYLYTDRDKSLIRRLVDHDANLDKLFVIDLSKFENSVRLVYPFVTIMTSPISWPMLYFAHFKI -DDPNCTILTYRAKSPTSLNGDGAFLLINQMFFTSDEEHMFKFVEFVKHQDKVVRLKLSSDFLDWKERHTW -GFTLGEHLLRFKRLRHLTESRKSELGLGEIEVMVHNLNKYLDSHRVQMSLDSFDQLRKNKITVPSSLMYV -NHNRAKIEQSLTVRTETLDERASLDSTFLRHVFSYSIVPKDIEVLKTHALCAIKVRTYSDLKYALSVDGY -LPESDDSSFNVILPFLPGYHQSSSYGRLFRYTTLPTVHDRDISGTMGEIVGGLGASFDIDSAIEFGAYVY -NINRNLINAAASAIGIPQKFVSKYEQLVQAFIMNNFNLKYHSIFHNAKYFGLSGSLKKFSEYGGYSNKLI -RFDTINKMEKFHNAFTRDLVFAYIDELNGRRVYLDYSIHSLLLIMSRGSIKYFTTHLYKLFNPLLSLELD - ->NP_620452.1 hypothetical protein (P1) [Rice black streaked dwarf virus] -MVERKFSRSAEICLKKFESIQQFRQKRQQQEASTDKSHSQNEKTKSPGPRTSDSKKDSSTRQNENSSKCS -PNFQQAKDEYIQLLHSKDVALDLIIEKAEDIRNVFIGELPLTQEVIENNLNDVAVVLNNASDLIFKLFQS -DNGILQECVVPVNVIQKDIFPVLHPSEIFDYNGFGIDETNNLAISPTIRYLPNWNVQKIRSTIDLLKNDS -TVVKARNLASDFGLISNTLKRRLLIGIDRMFNKLSIQHRFGTFESQIFSCFLMKQLLTEEQCYVNLPDCV -RKSKFQKRGIDTVCWQYLRQILLHYNCFPFYHHTHNARFGVLDSTKISIHTDLPVSCILPSVLHGLLNEI -VSEMLCDLNSDTALIYAKHLMNCSQQSTYQRQLDFKDDFRSWPKLCYDKIGYVLAVLYSSDMKPLEQRIE -YIDSEFWIDEKEKDTLELSVSKLPEYMKKFVVDSINNCVKLGNEVKSKMSSNQNILLSRDLVNEEVISAR -LLASKNTEFLRWLTMTSTIVSNFGIYNKTSLLLEYEKSVGTKQDVMVSKPDCKISIVTEQGMSIPLINDW -SKVPILNEMSELFDQNWKSDLINEFENIDDFEKRFVTFLTNKSGGQKSEEPTLSKELKGISNARVIAFAL -NRNDYHDEIKFIKMLMAYGKCAIRFQIDRRARVIVIVPNAIQSSELFLLLGFNALKTNKKHNEKLQLGNK -IGNLLDARVQMCNTGDVSSVKNSGDMKGMDAHTIPNLTLFLRNKMIEVLFELDPSKNCSRFFFSEDKEYT -LKEKHPQFEEIYNRKLRGVVIHAAKCLFYMFSMNMYLDDHFFADSQTVSDQTFQTGFFATSAQHTLFLSL -FLLNLERKFFSVLDNRLVSVMHSVMGDDVLEVIKNGVKFPEVVRKWLSLRKNDLSKLNYEEELSLSRMYG -VFLQQAALLGVYVPYPSRMSLFCDERSDTTKRHTLDMIKIIMDVISAKAQRSYGIDNGLSIGYSIWSCHR -SSRYIYSNADKIKISKLIEMNKNFGFNFIVSHNVVEQSIRFIYPFVTVMCSPISWPMLTFAMPDVSDSNK -ILTYRSKAFTSLNGDGAYMLINQMFFTSNEQHMFQFVDYVKHQSKVVELKLNSNFLDWETRHKWGFTFGE -HLLRFKRLRHLTESRKNELGLGDIEVMVHNLNKYLDSNRVLMSFNSISVLKSHKIEVPYGSMYVNHNRSK -IDQSLTVRAESLEERAFLDSVFLKHILDYNVIPKDSEVLKTHALCAVKLRIYGDFDYSCERDGVIEPSQD -AQFNILLPFLPGYHLNSAYGKLFSYSTLPTVHDRDISGTLGEITGSLGASFDVDAAIEFGAHVYNVNPSL -VDAAGTAIGIPQGLLNHYKNLVNAFVMNNFNLKYHSIFLNVKYFGLNGSLKHFSQYGDYSSRLVRFDSIN -KLDRYHNVFVRDFIFAYIHELNGRRVYLDYSIHSLLLVMSRGSIKYFTSHLSQLFNPMLTLEFD - ->NP_619776.1 RNA Polymerase [Nilaparvata lugens reovirus] -MKPITIQEVRDSVNKFEIDLKRIYNDRIKKLQTKTKPTKHSEHSNKTSNKDKDEFDAQAQIKRLQNELQS -RECEIRILRARLPTVISALVQIDEYRDIISQNLYYSPSHLSLFNGIAYTSHYAGIFLPLLPKFFDQAKLD -HYKQVFNKLLSPPKIDQAYLDDNFVWLENLQVFSEGKVRYIPNISYRSLCKVIDETELIIKATASTDTNN -NDYNFRRIKRINDNGKMLKSLFKKNAGQQRFGTIQKNTLSMFYVKQRLCESEYYVNLPDDDEVNCPKSLI -KKTANNFESYLALMAIVRHYNGLPFYGKRMSETSSEDILVKKDEDCSLVLPIVCIALLNGTLAELLGDLN -DKTAEIYIDNISNSLLDHSLVQGQLALRKHLRPLSSLVYDQFRVMPYVTRSEDGHASKVTLVRTDGEFFE -SEQARSKMYSALETYPSIKMVEYIKHCLSRAEHYNRLMEQKYLELMGNDRFLGIDVKTCDLSIAFKTLEW -LRRVTMLFNTLTNFGIYNKTSLILDYRKSTGTKFVTPITRPAPAKTLITNDSRLTFTYHWQRSKFSHLYE -YFNMNWKHTIVTKFNKEKSFERFFVLNLTNRSGGDKHVDPNLPESLQNISNARIISFLINNAKYYDTAKF -LELLNAAGKCWVRHQIDRRGRIIVIVPNAIQTSDIFLLHAFNTIKNNERLGSAMAVGKQVGNILDANKQL -IASGNHMDLKNSSDMSGMDAHTIPVITCFLRYLMIECLQMLDRDRSEGYFTGHRGESVMITEGLLRTETL -EYVHPESVHVARVLQSMLPKNLILKSDINSTVLNNSDQTFMSGLFATSGQHTMFLVLLISALIQKNQLSL -GNIIVDNYVMGDDIFQNIKNGVVHDDQARVWINLMKNELESFNYEIDGNYSKYSAQFLQQVALNGVYVPL -PMRVGVFCDEKSQTLFRNPVDVIKVVTDITLTRAQRSYAIDNAMCLGPVFWNSIRVNKMKARKNQILSLV -RTMTRVDLLNHDIITPISDDSFLIMYPYFCLHTNPINWPMFGIVTTRLGPDDTVINEFNLPQSMTVLGGS -CSRSHINHIFATNRYPMGIDIKKHKPLPDCSTYIDWSARNSFGFTIAEHFNAFHRIRKLSAVRLEEVGGS -VEQMASSLKMYSNQTKLSASYASYQTLLNSGIHVPDRLCYFNAAKTKINQALESRLESLDEQIAIDLSYY -TYINNYTVIPTGEVMREHELSCVTLDPQIFRIKTDEEIENTEFIEMSIDKLINAKIPILPGYHINSDYGK -LYLYMGRPIVNDDERVLIGHITSMLSASFDIDSAVDFGIRIYNTQPNKLADVAITMGIEPDKTILFENLV -KKWSAYGYRTKYSSIFKPSLYFAISGDLDVAFSYLNETTFTTRRTSRKLTPILRAWARDMLFMYIRHLPL -TKFSILSTDFCLKEALGTKRLHYSEMALNNHFKTLLSYVIPK - ->APG79192.1 RNA-dependent RNA polymerase [Hubei reo-like virus 4] -MLSLSDVESLLNLPDQYVMKMKQKRNDFKEMVNDITDLENEADANYTSEHFSPRPYEIGTYRLLDDGTAG -HPDRKATYPVPVDVYWLQNSDINKTAINPGKSLIQMADERIASMKKEIEVMRDITTKGIEQVRWHGWIRV -VYALGMVLKDRVETGKDEKICSYGYKHLQAILQQYGDAPFIEGANGIIALRRVSATMIQNVYQFMNLTID -EITDQRRSDFNRIIAKYYTKWELTPMQDTMLKLKDLGETALLRKLLISGISGKVPIWTPEGELIGWTKNK -NRSCKELSWIEDKWKELVTDNVDEDFKKDVLHIFNSTDNTFPGLFEMYNTLTRLTNGGLYYKTNPVIGAE -KAVTPKTLTVIGRPKPSLLDPVTRQPIDYKWETSPVQGITDSYKFYNDVLKNEAKHHINLSNPMLQFRDA -LKNTSSGLNPFSSIKVRGEEITKKSRSRPIEGRAKKDIKFKDTLKARVEYNQEEDQGITRYLNNIRTLLY -AKTAHLYDVRDEWLKLYLQPNAAGVRTQIDRRLRVIVNVSPDHQIVWLVPLLAYNAIKKVDLGSALGKQY -GNMLDMIKNLYTTSTDGWFNFFGDISGMDASLQDHIQEYTYSVLVNFLSESQCPKYFFAREGVYSYIRAS -DGCEVTIPENALSRFFHEIFNLVKPLEYMIIDQVFGINTRSGGTFPSGQFNTSLNHTFLGSTIAQGAAKW -LEENMSMNFQYIKVSVLGDDIAIAVRVNAEERAKLLFQRVIDNYGSCGLKLDNTYAKVYATFLQQTALNG -RIFPKPARLSMMTVERSSEYARKSPAEKSQALNSLLDDLVYRVVNPTYLPRFQLAMWVMCFSTERLHITR -ELSEMMANPRLKKYIDVTKTEENTIARLYYPLIFAYLRQGGGCAPPPDKPQSPKLGPFYIRGTWDKIYFA -RLLCDEVMIVDPENIYPFDGRWLKWSDEKFLQTGMDVAMAIQQVSRSEEISRQRVSRIKVKDYQELIDGL -DRHVSTFALEDSRRAFFTLITSGYDIPKSIVYAEKSANLIASAMTLITTREGAFERMTISGDIMKKIIDA -VGDYKKQKAKFIKKHYPWPRNTLTSKRMEKEDFITPYFNVNISMLGEEYAQVCSTLLADAQDLNQCQEEW -IPFHKQEVYKVVTNLTSRPRARDSPLSKIGMSDSPFLTSAESETTPQTLEAAKRIIKAPPEIQSAFQRAI -GMSWKQWTRIKRYLLSENIRPTLDINREFSPRRHFIFEFDYIQMGRLRSWWMINQVTHIKLKDENKVSRH -KKTHQFKMASAIPVFNGFSRILVNDAILSLMHPLGFTPDQFARVVINHPAGDQILEA diff --git a/seq/clusters_seq/cluster_505 b/seq/clusters_seq/cluster_505 deleted file mode 100644 index 83959bf..0000000 --- a/seq/clusters_seq/cluster_505 +++ /dev/null @@ -1,249 +0,0 @@ ->YP_009345119.1 RNA-dependent RNA polymerase [Xinzhou nematode virus 3] -MARVDETPTYLHQSLTALLIHVVKSGDDSLVTRVCRMHRNHIPLRASPSDNVYWCTQVSCHNHVMTAEEM -ERMEIDEKTWETATYFAGKRARSHGAGDFIVADRIRAFLIKLLDTHSIATVYSDHDARHYMLELLIRDAT -LTRFLDEKMMSMAVKKSWDTLTGQIPYIKLTASVLNDVMKRIHVDPSDTRDLRHSFSVADACEKLLLSCR -TKHAAYNTAVIDVELESDPLLMFSAHQSKAAKQFPKFKLYRVYNVWLIVYGTALYVMDRASVDQLRTRAW -SFWMFTVYINNYRVVGGDKEMAKLFKKTATRGLEWYTSQVRACQNSGLNPMSIARGMKVGQARFLNVAWD -STESITKNVDEHSQALYSEEKSHTATMKTWSDMLIGWRAVLPDHLIYDISYLFHLAPGTDASIPKLFTDT -IARLNSERKPNSSMVELFLNYCEATFVMEFVAREGRKGRRFSAKDLESFFDTDGDYSPWESNWFRNCVHG -SRHMPPENEWGKIRLKRAFPYVHVMEHAWIDAQDVTHVNASVVDVMNEDKPWRESMIDSNEILYTLRYGR -LLSKKFTPEKWRADVINKRVRGDVVATLAAKAENTKYGPKVRETYSAADTFREIQSEMDHTAQNVANLLQ -GPVLRVSSMTVSAKMHDIARRLKDHPNATFIEIVTSQDVSSWSPKMNRQFAIRFYDMLLRLTTAPEGLSY -ATLWKDICVAISKRGVRGLEHTTTGNFMGWPGTADTVLHSLICAFAANRAKASGVMEGSEKATIMTMIDD -AVISMRLKRDGHETKIQSFMSFLCSIYDSLGFEIDQVKTIVSSTKFVFLNRVFAQGSEALCPMKIFMKCN -RELNLQLSNIYDQSISIMNSMYGASERGADPFVCYVTGLVLVHRIMMMTDRSLIHSKLPAMVHALIVLAP -RGLGGWGVPNMIAWVTKECKDDLSEWFATASTLNNALARAKVSNPEVAHMAGVTTKSVTRLICRVIRCHI -EATDPVGVLQAVRLVRLSGVTDPSRVPRSALLEAANRIVGSEEVRRALRSQHSKAFENAVNIIGTKSVMD -AAVWDLFFEATPETIVAGMLHKAQRNEVVAMLLPRRRILDLRQGIRRVELNNLRFLPGIFMANGSSAESI -VLGPGAIEAAQMRERMYAQVGLKIFNHTEPAVMDLLGKAINLTDTDKKYYGRVNSLGIRGTYVDDIRLRN -MYDGMSEGKPHVGIRTRGTVPMNTSGIRTANPVYRCIIKLVVMAQWVREQGVNPDALWELGITLWSSDFQ -ASWQELAIDIPAGISSKRLSSVIANVTHPIGAFPNTQGMIQVSLSAVGDMLSKGNFRHDFAAIVQSMRAS -ALLDRALLGWAFSDRFYGVRLESVSVSLHSGMVLPDDDEVYAAIQEVPSVFKGVEYMELVTKEACNLLQI -AIADEEPGIAPDDDMGMEAEIVTGKAISYRTIQKMSRLSSTEAVMGMLKDAAKLETRMKFGLGISGRFPD -HVTDTPCLSTNRSVAVVMRSMGQYTNAVWATFLAMCEVAAHAVPRAARIAIGHANVPLRDPPARRLLEPL -LNQTELIRPIAAARRLLTKSSLPHSVIADALNTLFGSVAYRVLYLREMSGVYYTVLVLVKTYMGTKATPV -HFLASAANQSRSAALEEYSRHQANVAVSAWKGGMIHEWAKAITRSILCHAAAVVNPDNASPKAIAQAWSA -GAREVVAELTRVLTGDPTAAESLVIPNIRYMSKESVNTIIDAVQPHIPSKVCKTVIIAMRRQYEHDLVAF -SVRVFPRDKEPIPEPVPSSSGANVPVTEPVSRDIMGSLFGRIMETAPRARVEIPRNVLAATAVEMGIVPV -INVLAIPGMVSKCLSDKRYAENILKDVDPSSLSGGTFRQHAEAMMIRFSGGETGLDAVTDT - ->YP_009342465.1 RNA-dependent RNA polymerase [Wuhan insect virus 15] -MSQVFDVPRYRDQAANKLLFLTSISGEPLDHRRFIPRLQSNVNNPGVKELFMYGLFEVLEDTGLVGDEEL -DEGELFSRFAMQNIFNNPTHENLTMCTRTGSALARILNQFESKPIWCDSDASFRIVGKYLSGEYKASGIP -SYWRKYNDARVQANDVVTAGLVSCRSGTNVTRTACTKVLTAESGSSMWVDMHNWYVALSFVRTSSVTPEN -RESHSNMKEGMDELDLENLSFIETKGGKGLGCEAAYRVVNLFVLVYDDAIYVIDHSILDQLHLIAKRWEG -NFSYAENYRVSGSFREDDGKFRIALDICRTWIHRTLKETGYSEELPRVMKQSLALLQNEFHSHAETLDVG -YRQKTESLSEAIRGILDLPVHWHDLISGLDIPDRSKLDLATLYYALPSPDCDPRALFRKASDIMQNPNKA -DKEFLKKFLDYCKSYDLCKLLVRMGPGLKYSCVEGYDPKDSSWFKACLKGKFRLPKDEDMGKAWAEGTLP -FVNTVPTWFWEASDVTRVVPSRSVYDGMQGASTVGKSEHNELLYALDHAPDICPGISPQSAFEQVVDGVP -RFDCIAAMAAKNENTKPGLKTRETWSGDAVTREMTTTYDRTGLVLSTLYDGASSRKADYVTQRSFDRICE -LTDPDGKKVIMINSLDVSGWSPSGDREAWGEHHDYVARITSIPRGLCLKNIWHDVLAVLNKRGYVNAIPV -EKGLFQGWTGTLDTLLNVRLSLYCVREAKRMGYLKGEEGAITAGLIDDAVQAVELVGTPEEQQRAADEHF -KTTERVWSQMAAKIDTVKTLYSSIKFIFLNRFFCEGSEVHCPMKVYARADKEWNRRFASIYAQMDTVMGS -FRSAMSKGADPLVCYVTAVHKCILLAFQTNGELMGYDTISFINSFFAPRSLGGWGMPHFQAWNTQENKDS -LSMYTYIMCSLRDALIDESKKDSVSKHVHGTLGQQLKQATFQDLVSNPTSVKASGVVDPTGAILGTIKNG -MKRRCKSQLFKDLLSSGEDRCVDNICLEILKSCSWDASVLELLGQSLPQEHASALVDRAYKNELVVQLFP -FRVRSGLIRRLRRANAKSLVQLKSLVLMDGQLEVCRQNAFSLASLTRERYYDENGMCVENHTLPDYSSCL -AARPAGSLSSLSVKSSKLKSGCPSEGVDYRNMYDGRVSVGKLVPGKSKGVYTFTGERMAGMSPVRRCLSK -AAVASAFVTSKGGNGRLMWGLVTTLWGCKELPPMPSVHMRIDPSASAKRISPSRSHLTHDIGCFKNVQSM -ITVESTGIGRYLKGVSSHVDFMSFVTAARSLALLEASCMASREDAESLHLSFALLPGSIPMSSPTLCTLK -ASADPAPALAMLASSVQCTLWEAVLDELAAEEVYLGDEEGEDVIGVNFQTAQSGAGQGEGVIRTAPGYVA -TVVLSSVAVPATSTRNTSRHRIMGDEASYSPIESADRSLRRYLSQTGNTQALICVMLELVLKLFPNKVNF -KVIRAQQNVASEVMATEFPDWAKHYTPILNWARRHTSRLDRAVVSKLFPQYAIWDGPVAALANLSTKEGD -CELSACTVLYRTANGRPACQESYIATAAMGAEFIARCWRVASRVREGEKLKRGVFVQGRVYHSLAIHAAA -NASRSELCGALIMGVNQAAIDLNAGINGFKAPVEVNSESRLNEFTLRATSLKLPEVEAVNRIVNGEETRI -FKESLVALMVDLDDGQNSRLTARFVDQVIYDIYAIGAVTKVADTLPELIVGQVYTESLQIAGPALLGGEM -LVEDVQMEVDELKEPSLGGIRKAVIAFRDAVNIERCMRIESGEEDYEVYDDEDVNADEIDEYRHAVLVFR -GEQDMRGDEA - ->YP_009337866.1 RNA-dependent RNA polymerase [Wenzhou qinvirus-like virus 1] -MARVFQIPRYHDQTLRRMAYFLNTPGFDMTERILLFDQESAKEYRDCADDILAGMDGDLLDISDESDSVA -CAEFQHFCQELAYNGYSDEYLSYAKNVGLVIHEILGKAAHWYSDEGAMKRLVGAVATERLQFMVPGHIER -SYSAAARMAHVCFQASAVGDKLGAGALRAAKAVQLSDPVKDTWFAAQWAWQAFRSARSEPGIQDAHEQLK -DESVNAEAFCRRNCALKTDRLQQEQLIAVYYLQGISFLLIQNKDTGITEGYALDQSAVEQVCDCIVTLAG -FQSYFRNYKLSGEHMSDTRAVNAVFYKYIEWIGKNMYLDPQSQVLAKHCKLCITIMTNEYHPHMEELETG -WRERARDLRVLLSELPTLDGDAMSILTDARIDAREALDLVYCFHIIPSCDGRMTAVFNSTVRKLSDPNPV -DEEEHARFIKYCRATLVTHAVSRHRHNAKLKLEEGYEVHDKRWYRNCMRGLADMPPEDEWGKARLDGQYH -FTPRMEHEAYTCSDVTHIFADPSRYMGMPTDSRTERHDANELLYFLRHAPYLSTDRHPTEERRNINAGMG -IDGNIAVIANKSENSKPGDAQRETLSANDVLRAWLSETDAQAIQAANLLSGPSIRASEPDMLRKVQKVKS -ATRAKTVIVSLDVKGWSPNMDREHAFRVFDVILESVTKHEGMAPDIRSAYRDLELLLSRRGVHRSAPLPN -GMVQGWTATLDTLMHSLITAYCIRTAKDRGLIPPAASSTSMAMIDDAVIVVDLPDVVTDEERERLAAAFM -SLVAETYRKLGFIVEPAKTVCSSRVATYLNRIYACGAEITVAGKTYAKIAAEHNLRFSGHLNRCKAIWAS -ARGACSRGADPVATYVHAAYIFWIEISRVLTCNLMNDHVGALNAFYAPTYLGGYDCPTIIAMLTNEVADN -LTKYTHTILSYARAVYAMRGSDPNAAEVTRKLVCTYKHVMGQQLQHIGGREFMANPFAVRVSGTQCPETV -ATTELRTKLVEITESPVFKSAFEAAALPRCSEMHADVIRSGRWDASLLACIHEYSPDAIIAPLLAKALHS -EVLAPLLTWRERKAVQDRIRSASFFQIVHLFNRLPPYMTTADAVAILDESSLDHAIMLRQNFHQHNNFEI -DNHTVPYPMAMVAHRPPGERHGVLFTVKVGHMKSIDTPFRGGDRRKYQNLYDGFTDGDIYYGSRSSSAYM -AVAPEIRTKPPLERTAIRALALCAYLDERTGEGGLVFSYILAMWGIQPAHTPRTTLMRIRYGVAPKRLAS -IMASTTHTVSLLPNARGLVTLDPKPIHRYSDETHTLFDAMSLVNCVRASVLLDTLCNKQYDRDVKFKWST -SRPAPARDELIVLRDRDDTLRKIERILFANRNLTEVSTLFLHAIQNCGVSTPAPFLEAPEADDIEPGVMF -YVPRGSAWGQIPVDVQADQVELGAIHLDVPRLRIERRIAPGYGADVMPRRHPPNLRGELEHILNLCGRFY -GPLMVACKLVEREARPMRSSDMYTSAWSEAERVAQIEFNDPVTAYDNVARDMNRQGLKVPKGVVDSLFAR -IPLATRLAIEFRPANIVSAAMKKSAVMVLLWFGQGRATTSQVYAKFASSGPKTLGRAHRFAAIRRTKLVK -PLHAKIFATSADLLEAPGAEDYDRMADSFIRTISAEVWDREVHPEIRISEDGSVPVIMNEYFRYDSCPVD -YAIRHEIVTAVGQILDDYHAMHERMLITRVPVQTAHTELVAHVVEGAEEAAVTTVVDAAEEGAGAALTEV -QKVIGMLYHMGAYVLARDVQARNTVTADAAERVRGFDLQTDDVKEAYEEFMAEHNDPGPDVDI - ->YP_009337864.1 RNA-dependent RNA polymerase [Wenzhou qinvirus-like virus 2] -MARVFEKPRYFMQSEYLLGAAPSLATEEGFDSASLSSVFGAEIFGAEWVKDPTDEEYVSQAVRRHTAAKP -MERIYREHFVLSKIRKEAILTASTVFYDDLAAYALVSAALSGTITADEGAYARLLGRPDIAKEIFLFGCI -GNHMDIRSTVDMAGFLEPVFKPLRYWRAKDKLALSCGVIAKALHDGLTDSKCRDTCRRFVNGAGTADSVV -IRADRCAAVRMVNTIGVYMYAGQYVIRFVRNGKLVACVTDRPGISQAAECLRAVWGTSLYVSTYRASVME -GQPNRAVEWMWRDIRRYMSAELQRRLYGMERPHVRCLARHMKSSYAQAMMVLGDHDWAESQALPRITADL -VSETAEHLHGGPSYFDILRPHPLNMVMDIGTAWNMLPAPDADPRKLFHKLVLAMDAPRRHIRGEFTSFIR -YACLYLSATLLAMHPDAPCEWESWDGEVVSDDTAVAHATEMATEDRDNWITECRKGRLYQAPGGFPYRVT -KIIAWHKRIEFWHHTAHDVTHVYADKSLYSTVMGVTSAGYGLESEIEYVLHYGPTLSGKFTAQDVRMAAA -SGTLPGDRVLYVAAKSENTKFGDKVRDTHSADDITRELLSEVDYNIARIADLVPGTVSRASPAKVERNME -ILVGQAGTNDVLVSLDVDGWSPNMDKRVEMGFLKMLMGFFKDTPMDDPSIWRDGMVLVVNRLGFHGTHLP -RDGSFQGFFGSTDSLLNAMAAQWAFTEARSAGLAAPSAKCRTAAMIDDIVMRVSKATENLDRLVEYIVHK -YSCLAFKISRAKTIISTSRCIFLNRVYYKRREIPTACKIFARVDVPRNTQWRTVFDDIDAIYTGLQGSSH -RGADPIASYAVACLYAIASIAPAAGWHGVETRSRSNTWAALVGFTPRSMGGWGIVPFCHFLVKCVAQAND -MSLATLFNCVYQTSVLPASSLLCEVFSRYVTELLKIPLAKRDPISVINDPFGVRHQDTESPEVAVVGAMR -ALALKMCKVPSIARAIRATDNDVYVGAVDSFLKSHHWPAPVLATFADSLPHAIGQAVTASLSACERVARK -MPPMVSKRLRIKVSKASTAAVLKRITLAKRCFTVQELRGPRARPWVMECSASKVMEATRIVSCDIAGYHF -THLAVHDPSDYLGHSVTSGLIGTSVPRKPKDGYFDPPADATFRASHTSRSIFEVVGYGTRDPHFFARAWV -VASTAFAALMALEDEGAATCLWNFWQATLVGDARHLLPLGVVVDTTNVARMCRDTARRTHMPMVPANAWS -QVGANANRIHDLWDETEHRPNWMDAVYYIKAIAVGTWLMSSKETLASYRDKTLSFIIRNPATYPLGHLQF -IMAGAVQNIDRAFAIESSLADRADAMASARAALPQIAVDPIEDTEVLLDVYARIADGVLVTQDPQRVEEL -DDRLGTKYLIDLGDVDIDIAALTPSSLDLAIARPIARADVVTGMLTAVRSTMVRAESDVIRDVVRARPAG -LQLSRDVPGEGLRVKAAETLFRIVATHAKNTNNLDQIAEDCSKEPARSAIEEWARSHATAEARPLPPWHM -TALMGRNDADFVRAIRTISASGLEDLMFHPSRVVEFVENESAKVAERMLDIDFAWYTQMDYYLSQELTMC -QLAGYRSLSRQLANDTMTWESMWRAILPHFRQWAMALAVPMYAWIANANRCRETLPDLSVVKDGYEMRAT -LSGHCGHVPRDLESNNEHLMVVAIARAGLDRIATRHRGNALNLRNAQGFAVNWVKTISFGADCRFCVRKI -RNNLEHIWKDLISKLIFKNMRTVAVAEPVEVIPAAGTFEEELFAVFGASGFSELEAAPADFHDAPGQLDI -ANMSAAEREDFAMEFWDDWCLESGVLEQYQDASEDVVEEFFEYLAENGYLVRNITDVLGA - ->YP_009337849.1 RNA-dependent RNA polymerase [Sanxia Qinvirus-like virus 1] -MARVFDKPRTTHQSMNRLFAFAAAAQSQTQYARLAALLKEQRIYSFQAETEGRLIALQALSEWVADIDDM -DVPPSDYEMIRIKSVYTNISTNPNALMEKNEKMADAVIALLDHYQPTEVYSDMPAVYKLLAAMHSEMVSF -LSLFSTSCQVLTFNYFQYHVTPDVRDEDFLCRSLVEEIVYNSAVGFKENPEAMVQHARKTFVFASRSREL -AAWTTMSEGIEQLWARNLTNHVLYSQFAAQSVRVNSKRWVFVDCNLPVTSPVYCITNFSYRKFADEWPQL -TVTERHRVRIRTFDELFPDWRVYNFCGTFIIFIGKTAYVIDVSALDILRICATSFRQFWRAAIDYRLSDR -TDREAVTYRVAALKCYTWIMDNINQLESDKLELLPRHMHLCMERLINLIGEGEARVSYGAATLDPQFHEN -INKTLDLDVSWYTLIQSLGLDERVSLDFAKIHHCLPPPGADMRELFQRVKSFQGKPKKLSARKLREFINC -SASYDLCRYLRIHRKAPNVSVDKSYKGEWLETDWAKSCLRGRLQLPPPKERGKVRISGHFKQVESIVFLN -LLLPNLVKCFLFFRFTKSADWWYLTAKDVTHVPASKFEVMSVNPNRTDAAIASNELLFALTHGEVFSNGK -TIAECREILENGDLDELGDMVVTLAAKLESTKPPGKTRETYSANDLFREFMAEVDKCAQYVCALLSGPSI -RRPPHYLKRSMKKMALRCTARNPLPAFASSTDYAAWSPSLGRALFYAHLSLVTSYTDYPSAANITKLWDR -LEVCINKRGYVDSFAAPESNFQGFPATLDTLLHSHANVWFLVRAHEEGLLQPQEYATMMQLIDDEVMVIS -LSCTRAEAPERAKQLKRLYNQVCSDLGFTVEWQKSILSSIKFVYLNELYVDGSLVTLPIATYVSPPFFTA -YMFQQISNFDLSVFQLKADHDHKRRFASCFDKTDTIFGCYSATSEKGYDPIACYVAAVWDSLKVVFKYAP -ELTSEKKAEMAVAAFCPRAMGGWGWPTLGSFVTDAPQDQLAEFHYLFFQKYKYGPPHNWAPLFWGLHKTP -FKTVRPRELLADPFHVKTTASVSLKNIVYAELKKASTSLNLAEPFATLVSSADDAGTDAAYDSLIRSSRF -DASWVSEVESCTPPAICEELLNKVEKNETVAMFIPRNTMRDLKRTVWNQSHKALVAFTSVVRSSEDPRSA -SRFSTSLASIYTHEYRSNYHKFNNADLINHTFPVAQECLAFTRSVEHDDSKKSATVTFNTLLQIRVAGSN -RVSLFDCCYEKNNYTGFKSVGVLDVDNDVYKSMDTFSRRLAYLAALMEYAFKHEWDITAYRTLVYKQWSN -EATEAYSMISAPIIKESASTKRLPRRISSRNHPLRMFPNSNAMCYVSIGAFSTYLSENATMFDKMELISF -LRAHGMLMRAIMPGQCTQGKSLFIQEYLLRSLHCIVSLPGRYHCYAWNAGRMVTHDSSPSFAGIGMDLEN -VKSADQRSPGFGAVLAELSRPSVMRAHINLLMYRPFFGMPDAEDEAAGSPETEPANDVGIAALDVPLLSK -RMWVSSPDYVGDTPELPHDRSEREILSAMNTVGEFETGCALIRQWLRRVNSSLARREANWGQHFLGKINA -SEVSLYEYVRDCGSIVGEKSDISILLNSMVFCARKRSVNELMFECFGALNITGFRHNKTIHAAAICQFFS -EDIHKAELIRALKVQLDADVPVIGGTAMVSAASVNAARKRVIESRLSDTVINIGHRIAALKKNTNLYTLD -AGYGKPKERNANRRLHLECVGAMLDSVVWGSDDCVDYLRFASMALKTISEVILKHAKVKESAIIVAFNSA -VLYGAVTNIEFLVAAVTGTLCNLCNFLPKTSYDWESIQTALVAGKDCILKSLKNIQVAPVRPPTPTRDEH -LYPDQDSRIVDLGNTRPFVVHNAPTGRPEVFEAYTNWQVVYSAAQYDMNFRQRVLEHYNVGFLAEVYDIV -KTGLPESQQELAMLVDEIDSEEWFYYDETPMLGDEEAPHGDVVD - ->YP_009337847.1 RNA-dependent RNA polymerase [Hubei qinvirus-like virus 1] -MSRVFDTPRYKHQTLSRVALTLGFREGGFDDIIPLFSNEAIRGMKHGSRAFLCGCLNLNMAFWSDPRDPD -EDEYDDEELFMRYSYLDGVQKSDAQLMGRFKGTADLLHGIITRCKPKKIYTDDEAMMRFVHGYLSGKYLA -KTSPSCVKRWNLAAVLAREIAGTSSLACRHGNNVLEVLIKKVSLPNTACKTWERMTAWAEGMEKLRMSSM -TPKVHEAHEAHREKPWNINPKDFRCTGSYAENNLGCDALYRCHGLFLLSYGEDLYIFDHSSMIQVQLLSR -AWEGTFNYATNYRKCGNLGLETDNFLRALEGAVSWLCNALVTTNFNMSLARSMKQSVALMQNELHKHSEH -LETNTEQKSANLREAIAGILPLDSYWHDHLSNAGISDRAKMDLANLYYNLPSPDADLESLWKKGAEIMCN -ASTADPEIWKLFMNYSKALDFCKLTAMIKEVPKHRKKEGYEFEESQWFKSCLKGKMRLPPDEEMGNVWIY -HHFEFQNTLSEWYWEAGDVTHVHADLANYTDQLKATSLTREDCNELLYAMDYAPLLSKKYSPSEVLDRVC -TGKKCWDSIALMAAKSENTKPGAKVRETWSGDDVTRELTSCYDRQAIPLGSMYRGMVSRKPPVKVDAMFD -RIADLTTKPREYKTIIISNDVSGWSPQGDRKAWAEHHDYVVHTSDCPEGFNLLKIWSGISSVLSRRGFLA -VEKLQTGLFQGWTGTCDTTLNIHASLFCVRAGKRAGYLDEEDVATTAGLIDDAMQGLEFKEGTTVERAQN -AADRHFETTCRMWKGLAAEIDQVKTLYSSIKVIFLNRLYCEGAEVLTPMKVYARVDRELTRRFSTVYEQV -DTILGGFRSASERGADPMVCYIMAIYRSLDLIIQSSRGCIHGNLDVMEIVNAAFAPRGLGGWGLPHMTGW -LTQESQDKLTAYLGVIFSLNEYMMESGTVTRLSSYIYKTLNQTLAEATVEGILDSPRDVRVGSLVGLSGA -VLEKVKREMAQRAKSPIFRAALNSNSSEVYRDAMMRALKSCTFDASVLEVIGSITPRAQISALVDRAHRN -DLTASLLPYRVKSSLSKVVQANNRAAIGHLFAIQTPDLAPMREYIRFEVFQTASAIRERYYEENGLSITN -HTLPDYGTLLTRSHSTHAYILALEKKGITSHCSDLGDDDHRRYRNMYDGIPEGGKMRAPRSRGVYSFDGE -TSRALTPGQRAFRKAGILGAHVDSRGGDGASVVSLCLAQWGIMNATPAVRVTVQPGTSLKRVSLKTSHRT -HSIACFPNAQGIIAVDTVPLGRYLSENSTNTDFMSFATAARALGLIEVACGVIPRDGLVYGASFGSFPLT -NDDVARVHDEETFREALRTINEDAPEWVRDEASTIVLASASHVMPGDDDVEVEYVKGGVGITHEIRGPVM -AVGSICLMLSSGIQAAPSRIATRKRKAAKVDESEGRHVRDAATSYRKYRESLGDQEGALLMFMEQILHNM -PKRVPLPLVLARWQEVGAVLEASVPNWATALENSIQCVKNLGAPLGAYAAAKITGRSYHGRILARGINED -RAEAATLCAHAYLAVHGRPSVKETYNCLAMLGFGYINRCWRVAAQRRQCRKENSSYGASSRIIGMMYAQI -SNVAGKEPGKETLMRAMAAGLETGLASETNGGNISIHVCTEEELVDEVKTKAYAVRVSSYIARHAGLDMQ -SRLVVEKDIEQVLADIRVLGTKYVLPRPALALGGGQAEDFVAALPDVSDMFVQPIQMEPIEDETADIPVD -MFNHCVEYYLYTERGAVALRTYRDTGVLPEGWRFNPGAVDLEEVNNWMEEEREYEAGQHGDEVEL - ->YP_009337852.1 RNA-dependent RNA polymerase [Shahe qinvirus-like virus 1] -MAAVFDVPTYKNQVINKINSHVCLVAENLGYDLPVIPDYPFLGKFGLDMKRFVARRHPLDCPIRMDCESD -RSLVIKGMFDSIKSLSTIKDLHIHGKFGTIMAEILIKREVWTHGSASSQHLLAKAMSGEIIFKPKSACRT -RWEKVDTILRSQAPYIAAAADQGQPFVTRMNQVLTDTTAEHSWIQQGKSFAEWCEKLLIQGASITGNIAA -QKIRENKVMPEVDEFSVHTTHNITVDQLECVYRVQGVYFILFEGYGFIFDRAHLDHLVKCANRMRDARVA -VITRRISGVRSKETSAYTRAYMRIEAEMSARYAAKFPHSNDLVAKSMKAGQSMLLNNFHKQDDFFDTGVE -KRMDLLRKGYDERNDGGACWYDFFVELQVTNRELLDLSNSYHMLPPPDVCPYILFCKTVKNMGNSNRVDE -AVWREFMGYVQAADVSRANYKAKFQPKVEIDETGDKDKDKETFAAVMKFLSYSNVNASAIAPKELWGKFR -LKKHYPYKKVEEIAYLGANDVTHIMPDLEQYDSLQEFSRENTIDKNELLYTLKYGSKLAKLLEPSEVKKL -MSEKKYKLPKIADIAAKAENTKDELKARETFSGDDVIREITSAMDQAAISLASDWPSVCLRRNERGFQKT -LNSFIKSTRMAEKGQCVFFSADVDGWSPNMSRKHMLEHHQYVLNTTCCDFEFTLEELWDGLHFGINKRGI -YTSHPCDSGDLQGWYGTMNSVLHAHVQSYAVRVLKEKGVLTRQGMGQSVVLIDDAVLKVIFPAKMTMPQR -EAASKVICETLVKTYADLGLKVSIEKTIVSTHMFTFLNRYFSGGSEISLPLKVMMKLSRDTSKRFAMTCD -QAEEIFNTGRGALIKGADPHVAYTLCYRNALDIVLQTNPDVSLLEPFELAVTSIAPRELGGWAFPTFMEF -CSKESTDAMTRVVALVRSCANTVGPLDSSANINQAKYLASAFLAILPTDFRRPNVWAWLSSPHSVSIRGV -HDPTSGLRAILRRAIEKMDICTEVRQALILDSDDRIEEMMWRILFCVEADVAVFEILGGIRPLAAYSNML -KKMLDAEVLSMFVKNTDLTKMKRRMRNADKSGLTSISRRIRTQPNSKTWKDTFIEIRNAPVCKQVYDYRK -LFYRFIGVQVVNHSDPDPVEIVCQVDKASEIIMSTFFKPPVATPRDLTREVSQIPGTWNSPGSDYSNMFD -GMARVGRRNVPSSRSAFASDDPACKSLPAVVREVARFAALCKYLDDRGLDGSALWSLGMAINGVLDVSMS -IIGGMEVSATNSTKRLSKKTKVQTHMVNIYPNSHLCVVFQEADDDKVSRLNRVCHDSGNRYSLLALESLA -VTKSLLAFAQQTDIGAPERTVLNLGCKAGTLTQTKNPLFEITDRENLEYLLSDFACLTSESPNKYINWIM -ESDNFIIASRWDKREEQDGEEREDEPDAEAEPSEDAQDEGPTYMKIMPSKISSISMTSVAVKLNIPRIKV -FTNAWKRKRYQGDLTESSKESVSHAVVSKLRQRKDLGTTAMYFLAVAQTFTKLNPTRLEFDLVNLKINDL -EDYIRTNGKDALASYRKCKTYVAAQLTVPNKHQVEKLCQSNRFSLSLSSAGPVPEDPYGAAVFIQAANGI -YLNPTLYTAAVAGDPIAMSRIHYVASRREHKTAVVRAAYQLSSNSAKAQNSFESYYNHMAAGYAERWVDC -DGGRHYEEFFPPVAETVDTILRFRNRRKIEGLKEAVEKRFFTRRPCCFSCKNARPFILECILDAAFAYSL -LVPEVVDQDEGVVVDPLKGADAVAHAGIVFDLPQLGELRVDADAIAESRPKTEDDNITLVIHYLGCSFGM -PSASRVYDQYIATGEIDPEYLIKAKEFVKNVPISDEDREMYDAITCESEYDIDVE - ->YP_009330273.1 RNA-dependent RNA polymerase [Beihai sesarmid crab virus 4] -MAKVFDTPRYKDQVQMKVKYYMECAQPSSRVRAAAMCSEFGYNYLDLETYDTVDDIGYATSAQKYAYRET -NLQKMDMRMRKNIGDTFAAIFKETEQSFFDFDAVFRLVAAIANMEIEFNRSRKDRNLDRSATSSMRDIVM -CNITDAKTGSIACDQINKLMDAAFNPTRRSVYLDAARAFCERLMVGGSPVSVNNAHDSLRSNNYVVNAEG -FVDDRSAIRKLAPGVKIYKVIGIYVLQIEKASYVLDNAAAIQLYTCVTFWSGMDVYLRSYHLQASRTCPP -LSNVFSCLARYVNTWVGKDAEVLPRHVKDCMAVMQNEYNNHLEKYDTKAHHRSVALRDIAAESACGRQNF -LDILATMHLSPRSEMDVAYWFHGLPAPDCDAELMFKTFTTKLDNSNYVNEQAFKEFMNYSKTYDLVHIIA -RHGREAEPFIVCEDGYEYCDSVWFAKCLEGKLAFPPAGEFGRAYIKKFFPFESHMDYWHFEAADVTRVIG -DERVYDDISVLRDIEQYQHNELLYALKHAPLLGSKFTPQQIRKQVIDGKIRLDSVLVVAAKSENTKFGGK -VRETYSAADHFREVTSELDRTAIPVAKLGAAAALRMPPPILDKLLGNMARDTSNKPSAITITMSLDVSGW -SPNASRRHMLAHHKYITELSDIPNGYNVNKMWSTIQLYVNKRGNFLKKSAPSGMFQGFTGTLDTTLHQHM -AYFAIRKCKDLGVLRPDEAAAAACLIDDGVLSVTLSMKRNEIDKQKTIKLFCETITRIYATIGYKIDPVK -TICSTNKFTFLNRFYADGNEVVTPMKVFSKIDREFDRKFASLRENLSTYFGSAFSAVVKGCDPVLAYCQA -VKFSLILAGKTSNRFLHWSAIRRLIMFHAPATVGGLSCPTFVDWCTPEIKDKHVRFVGFMKLLRALMDDC -NIANDLDKCVTALYTRQFKEKVTITDLAEPREIRYIGIPVPEGCAQGAVKQAIQKFCTSSTFNEALRLAY -NPEFSEAFAKIIRGVHVDASVLEELVAVAPVEVVAPLRDRAVKNSLLALMLPYRERKAIRAKVSSLDRKL -FTVFDQVVSTKTDSFEYSRYQDSSAFNWISSIRDDHNEFRGLKIYNHTLPDPHAMIARAPNNAGSTLTAT -LTKIAAPDKRDKFAGRYANAIDGICTSGRYYGVTTRGLVSLGSHQIAGADRVKSIIVRGTALVCFIAAKG -GDGMLAWNIFCALWGLPKGTIAPRFDIDPDLVASTKRLGSSFTNKYHMCCVYPNTSSGIVIDSTNLYRWL -DNESVHVDAMGVLNCLRSSLSIERAYHATGEITLPYHVALGSVKASDDTVLASDDSIQIDEYMAEAQKFV -PKGFSDKFNALVNDPDRCVEFEDPDFGERSKVRARFSVEPIDAYEPGGLADLILKPANARKHIPIVNIAG -KVVSAGDIELCKKRFAPHTVYRKLSESVEKNYAILGVLIALACRAGKTHPPDSIYTIAYRNTDTIYREIF -DDTPPQVVLAQGRKIAGELTAAWLALVFPNMPVSWRRSMAGTGKMHLPIEDYTRYSKKACVLLYMMGHGR -FFHHDLYEQIAHAVPRTIKTCWRAAFKRCKALEGIARAAGNKHSQTDRHIAGNAYTWAPNVYNSARTVKE -IVQWAHENILANAKDLVDSVKEEQFSYTDIYDKKSRRSIITGIIRSVYKVNYEKGIDAYCNVMDDCVAKL -APETQPTSSVTTLPVTSSIPQSAFNPLALMSGTSINISFGGMLDGEDQDKFDELDEFEQYIAKVYAQYGY -EHAKAMFTKGPNADRLEVGDATFEDWDEFIQGYKEEMGDNPTDIAAR - ->APG78066.1 RNA-dependent RNA polymerase, partial [Hubei qinvirus-like virus 2] -MARVFLPPHYQGADSSLMRLSDDHFFEQCLYVSFFDGKVYDDFFEEEDGQEAYVLAKNSSEDWLSFKRET -FVSAVAEIGDVPIYADYDAVMRLWDKFCTDGVAVSHSGYSLASLSVGKEVAMLGLFASFATGKSAADMCS -SLTPGLISSSMPHIKDVAGLLELPDALSTGVSLAGQAKHSYDVLHGRSDLEIDKLSMSDSWLVKAMGAKY -GFYLGSHVLVGYHSEAYLLSMPMSNMLADTVWSFAMWCITSVLSDDSCDSEDLLVYPDYVRTAVRYSLSS -GVSCESLAKQMKASYAARLAKLGSRSSSMSQEAKDLMELQVESLTAEAGEEAKGEPLYFHLLDASGDSEA -INYGTAWHLLPAPDCDPSALNEAIKAKYNAPSHFNVSEWEDFMSYASTVITSHWMYHNSDRVDTKSLVLD -DGSTVEDHDWSNACSNGFLAYAPDNSRRYLKSVMPWKRTIESWHMQADDVTHVMADASLFSSESVAVQKE -LTWVIENGSRFSTGDMPDQVSAKWEQGYLPGDSVLYAAAKSENTKYGSKVSETMSADDVLSAALSEVDEN -MSSMARFLDGMAMRAGKPKIEKEVSDVANCKDSLLLSLDVSGWSPNMVSKGEMMFIDMLMDFFDIPELQS -VGNVFRDLNVVMSRGAFFDKWKAEDGSMQGFFGTSDTIMHTMLGQWAFRNIKQKGLFPPEAHVEKVALID -DIMYAFRGCTVGPSELIRLLSAEYAKLGFKADPVKTIASETHCNFLNSVYGSNSEYLTFQKIASKADREW -ERPWVSFHEEIDSIFGSMAGAVESGLPSWLGYTVCCWRTVWSAMHMTRSKEMCDSSLSLYGAWTPSSLGG -WGLPSCLSWLTKNVDDPLSSGIATIHTLYSQFHSDDMGRILESRLNDLQNVSLKPLSDWQSLNNPHTVRV -YDAIEPSVIVSQMVLSTVIRSVVDPTIKSLMAVDADPAYRSVVTSAFEQAEYPVEVVQETMASLPHETLS -SIMSKLMCSEWASYSTPSHERLAARRKFNERNSSMVLLYTRSLPNVEEDQKSYGFTTAAAMCDNVRESQC -QVQASSVSMDGVTKPVITDMLTYLSDGGVSSMVVSMPRWEGAPESRPSLSSSVTTPPLVVTDLDGTSVSC -VLTRSYIWMASV diff --git a/seq/clusters_seq/cluster_506 b/seq/clusters_seq/cluster_506 deleted file mode 100644 index 2b5c3a2..0000000 --- a/seq/clusters_seq/cluster_506 +++ /dev/null @@ -1,145 +0,0 @@ ->YP_009336975.1 hypothetical protein 1 [Wenzhou picorna-like virus 35] -MCTASTVKEVVTNAKEAIVNLEDQASLPEVTPIPDATEKSKIFTDSQKPTEIVQVDSTLTDESNQGLPLH -TLDEILSRQVPIDTFEWSSTDPSVPVTLSRDDYIAGNITTGRLKQYDFPSAIFANSNFLSCKLDRFQYFR -ADVELEIKINANKFQQGMLLVAYNPYSHAVGKLRSASTATLPGVSSYVNKMINVKKDSSVTLRMPYIAPV -DSFDLSNSDNQFCTAGIYVISQLLGPSDDEKVTVNVLARFLNTEYRVPSVVTIPRSLAQTRKQLQDCFPG -YELVAIAQGREGKASGAWSSIANETAHIAGALSDVPVVGDVAKVVEWPARAAGKALAAFGLSKPQQYVQP -NLMINIPGYNMATFEGKDASQMLASIPDNSVDSSLATNLTIDEMALEYIFSRPYITWRKRLNWTQTTNLT -WVYNQPNTADNMEDGTICVGASSYPFLLYKYWRATIVYRIYIVKTQYHSGRMYVCYNPYSNTSSYEDNLQ -NCHGQMIDLSTPDGVDGDVDYWEFEVPYCSNKPYLSTHIGSDRNVHHTGSVCIFGVGQLRHPDTVSDHIE -ILIAKYFKDAHVAYPDTDVVAGPAVAASDAFDNWAEFILTGDYDFTKDDTQDILNLAIGSTQWNLNEGGD -SYDITQAPPFYGNGEYTSKNFQVNFTGDSTVIVYITARILASVPVNVVLHGAIPMTTTTATQDDFNVADA -PSLMAIAQSGEKRYGGNCKSSSLSSIGLTKGNPDLTRVTFGEYQKSLRSYIKRFDPVGTIISDNPSIAYS -PSAFLSDDEYGARTIGDLLYIPETSLSRVSYIYRFFCGSTRTKFFPSGIGRYSAELIAVNQVDFGKSVLQ -QGMPRIEWSSITNSSGEVAIPYYYPLRMRPVGANWESKTQVPGVRYNVSDGMEGDIFEAAADDFSYFCLV -GPPPMHYRQYDAPFAIPILNPYDDQGKYSRKVPKGLSTDRI - ->YP_009336744.1 hypothetical protein 2 [Wenling crustacean virus 3] -MTATSNTDNSSGAVSYDHDQNTNIDSTRGKLLTDVQMSVDAQPMSSATTQMALNDKTRHEIASILERPVN -LGTFEWKTADSPIPTQLSVSDYEGDTQNYLQKLNFPQAIFDKSPIVVDKLKNYQYLKADIEIEIKINAQP -FLQGALMLVYNPYYDQTGDFRRKGTRYLASQTSCPYKIVSIEEGNSLKLICPYANIYDLFDLGNADNQFG -TAFLYVFSSLLGPTGGESTKYTVFARFVNPQFFVPTHKDVMSGARDKHEIRRLEARGYRIAQADVAPVSA -PDTGEVETPGPVSKIASGITTVADVLSDVPLVGKVASTVAWVSRVVGKTAASFGWSKPTSIQPQCKAVLK -PNNTLIHTEGNDDATTLGLIQDNGIDGSTFIPETKDEMNLEYIFGRPNFFHAQAATQTTFRDRRLITAWE -VSPFSQYQYGQEEDSQTLYLGSFAYASMMGTLWRGTINYDIMVVKTPYHQGRFAVVFLPETNISEVPEKL -DNLLNTNYNVVCNLKDRQDEMGRTTFRVSVPFISNTDWRETYKRTNDIDNPGPDAKSLDTKTGCLAIYSL -VDLSNPPTVSPSVTFFIAHSGGEDYQIARPVMNLAPGFQSKYDNAVRVAQSDIGTVFIPEDENLLVPSHA -GQDVTAQTTGEYFKSLRAWMKRYGYFADLSQNTSYVGLRTRHMSEDPVSGAREMSRTNFTDKVIPTPWYM -SSFLYRFYNGSSQLKVIPFTAGMLADSFLTFDEAQANQVLTPEVLSYGQPIFQQNQQVSNSFEIRTPYYR -GVRCDVVGSNQTPVLGDVRTNIRCRNLANYGGNQQTSQLFEAAGDDFNYFFMVGPPPMCDIRNVRKTSTF -PTGTVTTLDLTTVESAIGTDDFILVGPIGIDPSIEPSTEPYVISFSSTPEITIPLADGGTTSIPITDCTI -TVAGSSTFNVKVDISKVTLDAAVSAVRALDTIRVVTNALTA - ->YP_009333604.1 hypothetical protein 2 [Beihai picorna-like virus 82] -MTEWLMIACWAVAFRAHSPFFLFCVNVCVLRTLWLPGVSVLLIRLSRCSEALGILRMGFYLEYVSQRQPR -IHGQTSLQSHWVVRQQRLRVLRERIILPADNPSSSTSSSSAPIEASARSASVVDSISTDVQNAAQAVALP -STSTQMAVTDAEMHTLMKLLSRPTLLKYMIWDSSQEALPISYGLDTYNLSTDPADFTGIMDVLEFPLAIF -AANPVVLEKASLFSYIKADIEIEVKVNASPFAAGALGLHYLPYLNEVQQLAKTSNLTLPGLTSCPYVKLV -LGQTNSIKLKVPWINEFDMFDMTTSGDYFGSVFLSCLSPLGDGSGAPTATVSLFARFINPEVRVPTNKIP -TARGPQLRKLIQKLLAQEARYNAEFFAQGPEGEHQGPISRVTEKIATVSGALSDVPVIGAIARPVSWIAR -VGNKIATVFGLSKPLNQSSIQYVDRVPARGYTNLEGFDNSVVLGGIPDNAVNPEQAVNESVDQMSIDFVK -SQSNIFETFLWRHNGTAFAQGRIASWAVHPTPYQLVGDMNCQFGSLGYLSTMASYWRGGLNFTLTFVATR -FHAGRLIVVYFPNGDTVDTPEHLGDLMSTNYHAIIDLNEINADAASASSFTFNVPYVQNQPWLHTCYPDT -DAIRIKPDDFNGVIAVYKFTDLIQPDTCEDKITVLVSMSGADDFELGMPRSVFPIGWMSRPSTTVRKVEM -CTPPYPIPEEPAVTMPVEVPAICVGTPDESPVKASPAPVCVPSDDEFVAHGSYDDINGPVHQLIENVAPQ -DGTVPCMGEYFKSLRAFAKRSVMVRPLEDIAIFRPGFFLRTASGRYDETGTPLSVSPLEQVANLFRFSSG -SVRYKVKTIGGEVITSSLTDAAYSPLGIQHESHGYINNIHEVNIPYYHPTRLKSHNSNSPRIDDKRVLFL -RNYLPRDSKTDDSVVVPAYSTSVVTFSRPKSVSIYRLGNGGVTSPYNYDLYISAQFSTPGGERLLPVYEH -GQWVMPTFNISEGQVNFTNNSASEVTIRYTTSNDTSSTAQILESAGDDWSATFMVPPSVVHTRDYQVV - ->YP_009333552.1 hypothetical protein 2 [Beihai picorna-like virus 85] -MSANETPMSSSSTPMSLNDATRHEIKSILERPVNLGTYAWSSTDAQLPILMSSVDYDAAKDYALVTLDFP -QTIFEKSPLVVDKLKNYQYLHADIEIEVKINAQPFLQGALMLVYNPYIKLVDTFRRNASKFMASQTSCPH -KIVSIEEGNSLKLTVPYANIYDLFDLANPDNQFGTIHLYVFSALVGPTGNEKANYTVFARFVNPTFHVPT -HIDVVPEIRTQHDISRLRSLGYRVAQSDTAPVASSDTGETQTPGPVSKIASGVTTIADVLSGVPVIGKVA -ASVAWVSRAIGKTAASLGWSKPTNIIPPTKAVMKPNHSLIHTEGQDDSVTLALIQDNGIDGSSFIPENKD -EMSLSYIFGRPNYFHSQTATTDMFSARKLITAWEVSPFSQYQYRDVSDSSTLSLGSFAYASMFGTLWRGT -INYDIMVIKTPYHQGRFAVVFLPETNVADVPQTLGELLNTNYNVVCNLKDRQDEMGRTQFRVSVPYISNV -PWRKTFALDTNDAPDATTFATKTGCLAIYSLVDLTNPPTVSGSVTFYIAHSGGDDYQICRPKLQLTPGFA -ARYAQGDTGTVQIPVDENLLVPSHGSMDVTAQTSGEYFTSLRALVKRFGKIFDLSQNSEYLGIKTRLFRE -DPEIGRRVCARANFSTPAYPTPWYMVSFLYRFYHGSSMLKILNPVPGSIADAFINYDDDNIQIVTENDKS -AIGNPLYKQLQQVSNIFEIRTPYYRAIRGDVVAGTADTVLGDVRTYIRSRNLAGYGGQSQSSDIFEAAGD -DFNYYFMVGPPVMSSISLLETTPPRPVGTARTADFSALGAVNIGVESGIRFLKYPVPFTPSIPNNPDFYK -ITESSVQFLPVVDTTGNTQLLEFTSAESADRASVSSGIYLPIPTSLDVDLAATQTEAAKLGTVSFVDEYP -VGEEKLTSVERAITYGSVDQIFGASDSVLIRNISISPVVPAGFTALPIVSSSPATIRITYTDASFEDVLM -TSCVISTTAVPSLVCTITSVGKEVDEAATIASLSSVTHNVVTLF - ->YP_009333503.1 hypothetical protein 2 [Beihai picorna-like virus 81] -MQQSNIENNTVEIVDISHDVQAPVDTNPMPSTLKSISNTNSHMHSLIDMLTRFSLIKTFKWNSDSTVIPL -NITPDGYINSKVNTLESFQLPQALLDSSNLIRQKINNFMLMKADIEIDVKVNANPFQQGALLAAYFPRSL -NTSKYRAQASEFLASVTSAPHRKLVLEQANSLRVRIPYAHILDWIDLTKTDNTFGVLNLYVLSPLKGETS -MEEVDVSVRMRFVDLKLEAPTNRSLLTQTKYEDMERERCLRPKPTPRIHGFHAQMAEGEKQGPVTKISSA -IATIGETLSVVPVIGNAAGLVGWFARSLANVAAVFGWSKPTMLTMPQPNVHKPAAYMGNTEGQDASCVLA -SLHDNAIDNSSMTPAKVDEMSLDHILQHPNMIGRYTIPKTSFTPNSLLFSFTASPFSELTQQTESNGQDF -CAGSFSFTSLLFKYWRGSLHYAMDLIKTQYHSARIVAVYFPNTERTQIPAELGELMTTNSHAIFDLNAKS -GDEFSLEKPLIIPYTSDEPWKRTLFKNENDLYDGSTLNTSIGCVGVYCLNELVCPPTVSQDVTFILSLKG -GIDYELGLPQIQLQGGFSNTPVSPPDVQTPLVDILNELYGTATYAVQNLSTGGSPSFYTDATLTTKVIDQ -SIEPTEWLLARQYPYEAPDGVYNADVFIDFRNGLIFEDKTYAFTVTVLDNQIVRIDSEDIRLISAAIDSD -TEMTFSVPPPARFQAQMNDGTHEQLTGQNTLADVMPHRDISLSTTGEYIKSLRPLIKRFVKTRQIQAGQP -TSLTPADFNNYDSTTPTLPVGNRSWAADGTGGLLPESWLNLVSYLFRFCSGSVRSKVFIPYNVQATTSLD -ISDNLLTEFDTEQRDPAFVTQGVINNAVETTVPYYGQFRARTVGDQIRGLTAKQRIELTGTGTHDYYEAA -GDDFSFWFMIGPPIMRPIDVLPTSVPVISTGAKR - ->YP_009333497.1 hypothetical protein 2 [Beihai picorna-like virus 84] -MTDVQAPAEGPPMADQAKAMALNDLTNHQLLDIIQRPVRIDTFEISSADIPIGPTYRYALYDAGVSSELK -SYSFPSDILSDAAPIVDKLSNFKYLRCDIEVEIKFNTNPFQQGIYMIAYTPLENETNKLRSTGPQGFAQL -TSFPHKFVNLAVENSAKMTLPYLNERDYLDLTQDPLGELGALRVYCVVRLGGPTSAERAVASVFASLKNV -DVKVPCGVGSLAPYRQKAIEREISLLQERRRKRKQMIGEADTGDEPNATGPVTKIADTVGKIADVVEDIP -VIGGIAKTVGWVARGVHKVASLFGWSKPIHAVIATDVLQRPGYSLLHGEGKDHSIALGMIPDNCLLDTGV -IPAREDEMAIAFVAKQPNMVQRYLASATDFVSGKLLFSLPVTPVQPNLMDDTEGETWFQGSLGYMSLMYQ -YWRGELIFDVWCAKTAFHSGRFVAVFQPEIIHPDEVPATLGQQLSTNYTKVCDLNPADGLDTGSRWRVRI -PYVSNEPWKNTRPTSFEDGSLFDGSTTTGFLSFYALNELVAPETVEQNVTFVIMLSGGSDFELGFPMQQF -RVGYADDPDALPMRGESLEERGDCVQDPGDIVMAVKSDDVCPSTTGEYSKSLRALIKRNELWATAKPGST -LRFSPMQSWLDARGRVAAPSNAPAYTGFYDTCMYQVSCLYRMYTGSSRVKINRCAQGGGVKAALVRTNAR -DPQSFSRISPAQKPTVFLDGKLNNLLELTIPFYSRLRARVLGPLKFSGVIPGVEVNDYGTPTAELDNGTT -TSTLTDVETDMELYISGGDDLSFFFLVGPPPIHLLPA - ->YP_009333181.1 hypothetical protein 2 [Wenling picorna-like virus 2] -MTAETTNNISYDHDQNTTVDSTRGNLLTDVQMSALETPMPSSTTALALNDTTRHEIKTILERPVNLGTFD -WTTNDPHIQIHLKPSDYATDKADYVKKFDFPQDIFKESPIVVDKLKNYQYLKADIEIEVKINAQPFLQGA -LMLVYNPYYDNTLDFRRKGTHFLASQTSCPYKIVSVEEGNSLKLTCPYANIYDLFDLSNSNNQFGTVFLY -VFSPLRGPESNESAKFTVFARFVNPEFYVPSSNDTMSEYRDAHEISRLEQRGYRVGGTPYAQSLVTPSAS -KDTGEVTTTGPVSYAAGVVATVADVLSGVPVVGSVASTVAWVSRAAQGVASVFGWSKPTSIVPQTKSVLK -PLTSLVHTEGNDDSTTLALLQDNGIDGSSFIPETHDEMALSYVFGRPNYFHKQTADHTLFSDRKLITAWE -VSPFSTYQYGLEEDPNTLFLGSFAYASMFGTLWRGTINYDVMTVKTPYHQGRFAVVFLPETTLDQVPEKL -GELLNTNYNVICNLKDRQDEMGRTTFRISVPFISNTPWRETYKRAPYGVPVASTLETSTGCCAIYSLVDL -SYPPTVSDEVSFYIAHSGGEDYQIARPVLNLSPGFESLRYAQSDVGPVFIPADENLLVPSSSSKDVTAQT -TGEYFQSLRALMKRFNPLCYIKQVDEYIGFRTRHMMEDSATGRRVASHLQFTNYVYPTSWYMVSFLYRFY -SGSSMMKLLPSAPGAMGSAYLKFTESKECVDVLSEQDSIGQPVFSQLQQVSNAFEVRTPYYRGIRCDVVS -SAQTPVLNDVRTCVRMKDGTGYGSSTSNTQVFEAAGDDFTFFFLIGPPPMMDIKAITDTTTFPNGEEIKV -DLSTIHTLEDFDSALETHPVTMTPELPLKPGTNYPIRASENLPLGAYPVHKDGGLIEFVPAQSCLISHGD -NGHYLIIPYEGGTVNNAETETALRELPVHKIIAQV - ->YP_009329823.1 structural protein [Bivalve RNA virus G5] -MIATNSNENSNGSVSYDHDQNTIVDSTRGKLLTDVQMSADAVPMPSTSTQMALNDTTRHEIMSILERPVN -LGTFEWKTSDGAIPIQLAPSDYTADTQNYLKQFNFPQDIFTNSPLVVDKLKNYQYFKADIEIEVKINAQP -FLQGALMLVYNPYYDQTGDFRRKGTRFLASQTSCPYKIVSIEEGNSLKLICPYANIYDLFDLGNSQNQFG -SAFLYVFSTLLGPNAAETAKYTVFARFINPQFFVPTQNDVISVARDAHEIKRLESKGYRVAQADVQPVSA -RDTGEVETPGPVSKVASGVTTVADVLSGIPVLGRFASTVAWVSRAVGKTAASFGWSKPTSIQPQSKAVLK -PNNTLIHSEGNDDATTLALIQDNGIDGSSFIPETKDEMSFEYIFGRPNFFHSQTAATTLFSSRKLITAWE -VSPLSQYQYGNTEDSQTMYLGSFSYLSMMGTLWRGTINYDIMVVKTPYHQGRFAVVFLPETNIADVPETL -GELLNTNYNVVCNLKDRQDEMGRTTFRVSVPFISNTDWRETYKRTSNTDNPGPDATTLDTKTGCLALYSL -VDLSNPPTVAGSVTFYVAHSGGEDYQIARPVMNLAPGFQSRYAQSDIGTVFVPEDENLLVPSHTTQDVTA -QTTGEYFKSLRAFMKRYGWFADLSQQENYVGLRTRHMTEDPVSGERTISRNNLSDKVLPTPWYMASFLYR -FYNGSSQLKVIPYTAGVVADSYLSFDESPVDQLDVSPQESYGQPLFQQNQQVSNAFEVRTPYYRGVRCDV -VGSNQTPVLGDVRTNIRCRNRANFGGNSQTSSMFEAAGDDFNYFFMIGPPPMSDIRNVRSFSTFPTGNEV -TVDLSGVTSVNEAGDFAFFIVADFSPDISITTNGNIAVSNVESVPLVTNEQGTIQVPVLSCTIFKSGPAT -GGIRVPLNGNTTAPGAAAAMAAVGSITVITDAPLA - ->YP_009329818.1 structural protein [Bivalve RNA virus G1] -MSPVDGDGSVDTTRGNLLTDIQDAESSVPMPSTATTMALNDVTKHEIKSILERPVKLGTFQWTANQTAVP -ITLSKVDYNAENVNVLKKFNFPQDIFNNSPITVDKLQNYQYFKSDLEVEVKWNAQPFLQGALMLEYNPYY -DRISKFRRIGTQFLASSTTNPRAIQTLENSTSFKITCPYANIYDLFDLENEENQFGTVFLKVISPLRGPD -STASVSYTVFARFINPEFYTPTQKDVLSNTRDVHEVTRLQKRGFDVTKIEIPGVRTAGTEYNVHNNGEDV -TFVAQGRREPVATSSTGEEAVRGPVSQAAKGIALVSDALSGIPLLGQACSMVSWVARTAQHTAASFGWSK -PISILQQEKRVIKPATTMLHTEGTDDSTTLALIQDNGIDGSSFIPEDKDEMCLKYVRGRPSYFTRKNVPS -TTFTNGALLHKWEVSPLTSYSFGTGADSQTLYLSGFSFSSIAFSTQWRGTINYDIMVVKSNYHKARFAVV -FLPETLLADVPENIGNLLTTNYNVICDFKGNQEDPGNVNFRVAVPFISNTPWRNTVAYDSFGNIDPNTIS -TITGSCAIYALNALSFPASVSPSVDFLVAHSAGEDYCTGRELLNLTPGFTSKAGIPPLPGFDETGEFVAE -GMVGPIYHPIDQNLLVPSHKSTNVNAQTVGESFLSLRSLIKRFCPFIFINTNINFSSFRSRFFVENDATG -ERKLDLGTVQTPLPVTPFYLTSFLYRFWNGSSSVKILPATPFDVCSAYVSVESDTDGYKVNGAGDATSQP -IFEQNQFCSGMFEVRTPYYKVVRGDVVGNTGEPIFGDIRTNLRVMNNSGLGDTSSTRSVYEAAGDDFSFY -FLVGPPPMKSLRPNRPVIPSVPPPVVTLNVSTLNGTRVPFSTAYGLLGGVNNITSSPAITVTGKYYGIAV -ANSNEVSQVPAPSFTATYTDGSTAVISFNDCGIIRDGASESLVWEFPEGVTLNVAATISSIQSAFAGNIL -RVFTYSPTTS - diff --git a/seq/clusters_seq/cluster_507 b/seq/clusters_seq/cluster_507 deleted file mode 100644 index 956e170..0000000 --- a/seq/clusters_seq/cluster_507 +++ /dev/null @@ -1,150 +0,0 @@ ->NP_995577.1 putative RNA dependent RNA polymerase [Sclerophthora macrospora virus A] -MPWKPGKCHLLAAGLAGVCCIAYTKRGSLRDAASALCEWLADEPAIVSDLSRDAFTTTCVDPVVAISGHT -HASAASLRTAATRYAQNVAQYCGTEVYVVGMSRSDQRKDMKGSRQWYWTKDVNAANRNDRPGHRDIRFLC -DVDYYVDMPAMLVAEAKPVLLYTVVPEEATSSANDESAFYFEADGSLRTMVAGGGGYSHCIWDYAADSFL -VVKKICGVPIRAVAYAVERKQVGKHRQMVLLAPVRAFSGLAAILAYLLLETKELRRFNPIVEAGGEKFVR -FNVMSSDGALMVTTARPGSSLCATVSCADDDAIATVARLGSTNLMLPTTASWVKDRPAAAVLTDYHRKCG -KKAKFVVYPVEQGVRAYQYKPDEFDQAARPKLQAFMSPIVHGAFAPVLNRAGEERCVEGRINSLRKPEPH -PSNFRDRCIDEFADLVVSGMLLEPVCFEVVNSKQTRSTQKLSIAKAVLTGKFRQAVLKCFGKAEAYPDVK -DPRNISQYNDADKLDMATFALALSEHMKQFKWYGPGKTPLEIANRVVEICQAADFVNISDYHRMDGTITY -TLRRVERAVCMKAFANHSACLNELLKRNVDNVGYLPHGTTFNQGSSHGSGCSATSLFQTLRAAFNAYLGF -RHTRNQAGRMFSPKEAFASLGIHLGDDGLDGNLPIGSHQWASKQTGLILEAALVYRGYRGVNFLARYYSP -EVWQGCPDSMCDFKRQISKFHTTVRLPSNVTPEQKLVEKSMSYVATDGNTPVIGQLCKRVLLLSSLRPRT -LFGLGNWWSQFDDSVQFPNNNVGGWMDVEIDHQFPEFDRNLFDKWLDSVQKAEDLLSAPLCAEPKPPTPG -CVAVVVDEEIIPPRQDVEPARSSSPPRRTPLRPTRYKVTVAREKATLLNPPKPRRATA - ->APG76202.1 hypothetical protein 1 [Beihai noda-like virus 2] -MCAYLSADPSVDAERFELDDDLLIHCFNSEQFTKSYEATRQFENICNIVRKAGRAPYVYQSSHDERKVAR -CVPYQEYTMTKPPERKPFDIVMSALNCFSAIKSAFPVPQEFDVIHSGHSGSIPCLSSDRNVLRSAQPAIA -EVDGPEVKEGYESLRVEGVVEEKPAHSSLAWYWNEDKRRGEEHNQPVLPFHVIVMNMVDPYVDWDKLAVQ -QPILFRTLRITEVCGRDTHRIFRFTSDNVLEYTTVSHSDSFSGERRLKTFKHGVWVFENGREISFGGCSY -RVHVRDPNPNSDYRFVLLMPIARIHETVEELVLGFFGVNPRYTQHTSYPGPLKSIIRSFALRARPAVVGG -QLWRYYASVDPKEPGFLIPPTLSRHYTYKRIYEAKHTDCFYRPEVAPAPPIRRLETSVKGERNFWSVLVV -HKVGTKPVVNPTYQELVNHNYERDEPLNIDETLLVQIALVGDFDYVTVSHSMFCQMLSRSKLSAADAVEQ -FQWKVPRDRALLLDFIKDTKGTVDLNDVPFVLLYEASNQRYDTVKIKGVQPLMRQLGVVTPHGPVTNSGS -NEARGVNKRIGAVMAGPNETQVTPEVVEHGKEFVGFLKARFVAATGRTRIEPKPYEEVIEHQATAYKRQT -VETSICANDGRPLKKANESFVKNEIDGGEADGKIKGQPRIISTKERDKVKASYLSYMYALKEVIMFLPCC -AVGRSVRWVEEAIKRLARGSTPGKLFEAMIHDLPHPLIEKDVEYTALRTFEGDLSRQDGRKDCPWRVIFD -MILCEFFTGSDRDNVRKLHAATIAMKMRTKTGGAKYCSGHTMGSGMPDTTVNNTLENMFISYHALRKKGY -GPDEAWEIVLSNFLFAGDDSVGKELHQAEFMGACSDLHHLGKYAAVGDDDPLGFLGRKFGPSLRVLRAVV -MSVHDPARALIKFTCCTKTLPKQEDRRRRLFDKAQAVRVTDRHSFAISTVAECITKAAEKEYGWQRYDNF -DLNYNLQVKDEMTGENATYFQEPNEWSEALYRKTWPAVDFDAMVDYFSVDRTFVEIETHPIFGQPVKCEI -DSGLTVYERPLDHSEPTEIVKHGTMKQEKLEVSDGDDTTNLDPKKQSQYKKAFHAAVKVAQQQPGAKEKR -KTPIEPPQSGSTPAGSSAVGSGASPKNEGGRKDKVRREKTVVFSEAAIAANALRTKPRNKCSRLPVSEEL -IKLRADNAKPIGENLFGIVQSNDDPPVESSRDKSQSSEKSAATSDPVVGQSTEKVAKTPRPNGKDRRRGG -NNGAGRPRPKRK ->APG76470.1 hypothetical protein [Hubei noda-like virus 3] -MSVFNLALAAFRQCFGECAGRSNYDTPLDNASSELLSNYSRSSVNRSSELRSVFSETVVAPVHPVQNHTH -GLSAANRSTAVELMHRIALDCGYDPVSIQGSASDERKGREMTRHYRWGKDLLIEPVSRDKRENDLLVMVD -VDYHLDMVSHLAHHFRPILMHTLQPSAVCKDAGEYKYHFLADNKIHYFVAGGGEYKHLLWNWSGDSVSVT -RYFLGIPITYSVFSIERRKIDDDHQVVLLAPVRKFTGVFATWLARRRVLSRQLERLKVVDRGFARLLVNT -SSGMVMHTGVVGGWASAQCSASVDTVLASAFRTGRKSMTLAAVRSKMEGSTNDRKLSSNAEMLYEYHLAG -PGNVHRVDVLEGTVRRFQWVDTSGDYDREAKVGMVAFMQPLIDLGWVPDSCYNNDKRAAEARVLALADAK -RKKRKPKKDGKTDFDGISRFDSTCMDEFIQLLLMGEKHFLSPCSVADVYEKQDRPTQRRILDRAQHENSN -SIAANFGKKEAYGKPTDPRMITTINPKDKLEYSQFIYPLADMLKKHDWYAFGKSPAETAQRVADICQSAE -FNAGITDFSRMDGNVDSRARTLEKRLMARAFKYEFIPKLLALMRKQYNLRGVTKFGFKYETGWARSSGSA -ETSAFNTILNAFIAYLGYRKTMDINKAWEMLGIYGGDDGLSKDMVVDHMSSAAARMGQTLTCEVVERSAF -GISFLSRHYGPNVWTGDTNSCCDILRQLSKFHLTVQLPSNISPAQKLLEKSYAFSLTDTNTPIIGEFVTR -ALDLWPKRLTFDNALNIWGIEENKSRQYPNTYQSWMDDLVTKQLPNFHRDNFSSWLHTTDKVSIMRCPGF -MERPAVEITSLGTVVVDGSDIITKSTSESTETDAEAESVSSASTIEEVAKRYRNRTSSSKENHSEKSGSA -KTRRGKHTPRRAKTGKRKPAS ->ADK55577.1 RNA-dependent RNA polymerase [Plasmopara halstedii virus A] -MSFGTLFSRYTLMVSSVSVVGLATPWRYVMSKTLNEFGEEWSIEPANLRSLFGDIQVVEFKRQPGHPHGA -AAADRSAGSYFIERFARDVGREVVYYQCSNSDLRNGRSGAREWYWMKDAYVQPKLFSLSSSSMVSIIDVD -QYVDMNWFLTEHFCPVLLYTFQPSVCAADRGEYSYTFNSNNEVIYRVAGGAEYVHKVWNYDTDVVTTTRL -FAGKSWTKWRNWIPFVTCAYLVDKRQMDADHQIIGLFPVKRWFGPLALLARCLKGRPLSRFKIVRGDFLR -LLNHGHNGMTISTGKVESPLCGTITAREDAALASLARTTKSGLTLMHVKKLMPDDTLGATYVYEYHSQKL -PEATVVSYSGAKKDGVRGYQFNPMNYDPLAKQSLVSFMSPIIDGGFCPDMTEDNVKQAIAGRIVDVKSVT -TMDRFLMKTIDEFAHLLLKSAGVTAQSLLPCGYEDVYERQDRPTQRRILEQAEFVVGKNIGKNFLKREAY -GKCSDPRIITTIEGSRKYRYSTFIYGYTDEVIKHQQWYAFGKTPVDVSCHVSRICSTARTISNTDFSRFD -GTISEVVRALERRCILLAFRPEYTNELLELLRDQCGIDCYITVNDESVHYNSGLARLSGSPETSTFNSLT -NAFCAYLGFRSTRLSSGGHMDATEAYSRLGMYGGDDGITPDLHPSVYMRAARKLGLKLDLQPVERGKRGV -KFLNRLYGPEVWFGDTTSMCDPVRALSKFHLCVNMDARTTNIQKLMEKSYAYYLSDKNTPVLGQFVSAVV -SHLPARYQFKNIHGIWNAQYEASVQYPNGEITDCGSEVAPDWMVEEFNHACPNFRHDIFNTWISELGNDF -TLYLSPPVNMLESLDPVTSHSVVVDGDIVNPVPSLNDTPSVVLRRNRRHTVARRRNERKREGAGGRTNRR -KPRL ->AHA86934.1 gp1 [Betegovirus SF] -MMPNYLFEAIFNRKVIMSTPNQVIDIAVHFYSRTISYPLPSKTTTLLALAATGLTMYATFTVAKHITDAV -HEAWDSFRTYLIHTASRVHKPQEIVRRTFRETQFFHKHADVNHTHGPSAADRSSASEFATNFAYSLGVTP -FFIQMSAADQRHSKRGNRTYFWSKDVHVKPSNEKPNPEDVRVLVDVDQYFDMPYLLANDPAVYLLYTFQP -HKAASTCLDYSFTFDADGRVNYQVNGGGSFRHHVWNYAKDVFTVERTMFGVPISTTTYLIDRRLTSENHE -LILLTPTCTVDFPFAFLLSQLGSNPLLRLNPIVGNFIRLDVRGVELLTSTARISEYVCCTIPRVADDRVS -EINRLTKHDLSPASVESAITPLPIEPEDTTYYREMAVVLCSFYRECTERKRDSVNPPNRDVLRVQHGRYD -PEAPETMLPFMSPLLVGAYSFDKTSDNEKQTFVGRVVEPVNNKTTTPLMERCMNEFVDKMFPNKHLLVPA -DLDCVKEHQHKPGQIAKIIEATTNPTVIRYISSFLKSETYQKAGNPRNISTSDATDKVNYSMFTYPLAEY -LKTFSWYAFGDTPHNTSLRLCAILGIVDFASFTDFSTFDGTIGQPSRTLEERILLNAYPSCYHAQLIGLH -RGTYGKMSIGKFGNRVAALLGRGSGYPDTSIMNTINNAFTMYLAFRMTRGPDGNFLDADEAWARLCKYCL -FAGDDGQSGNLRKEAIKKAADLMGFVVKVEEIQRGQRGVNFLARFYSPDVWFGSPNSMCDLKRQLSKFHT -SLVLPPQITPAQKLKEKAMSYVLTDANTPIIGVFCKRAVELTQHAKATGYNIGQFNTTRFEDAESQYPNS -NDSNWMEAELRIQLPEFDHDRFTNWINTVTTLDELMKPPLCHPELPPQNKLTVTIDGLIVDPTTGPTNQN -TDAIIANHNKKAALEAKAARKNHASGRTAAFKARLNEKSPATAPPANTQQPVVNAVTNPHAPPEPRTTPP -TSASGRPRRFSPVNNQRKTPVISLQPRAVTQSQPTNHPASGAIAPVLQPLHYLDGSDAHTSPPRPGFNSP -LFVFLNFWCVIGEELFKRIPYVGLAFAPFEMALYISKIGKTYIPVRLMTLGMHFAALSLPLPLGIALHCF -WNVSANHYDEIHDFVEFPVAARIAKILRKFSHPIVADSADCVRKFCLPWLGPPPPPTNTNNTQPPKIESL -GSFASCLSRNKPQNPQTTNPEIEHVPQPLRFNSKNVLLLTRPELASLQETSSAFVLLLTRFAPMQTVQSY -FQTPLHELLLTNSTVEARYQRMQTETHQCWCAQVTASSTALALSLAALLLTPRLEEEQLFSTQQLSELFL -GVSNFVKSPPPYLPLECYVYELLETNSELISRTSILQATTATPLRTYHFPTLTKFVLLADDWTTLSQNFL -ALARRTLRLTCLIGSPLVGEPFKFPLMEDHLARALLTLSFMSIMKSPSPTVTTWHSSQLLRFPTTLSRQQ -LLTQFTPLPVESSPPVLRRLPVSSNELLRKRLRRTSEDPQQQRWSPSQSSYSSSSSSQ ->APG76128.1 hypothetical protein [Beihai noda-like virus 4] -MRINEFYYLFLLPGIVYLASCYGYPLLRYVIETSTVFSPFPGDVFKSNLYFASHWLVAIWHSSRSPSRSL -LFNWKNCASCVLIGLLWLDHCARDGVLYDLSSLCGLCPVFCLVHCSAAHAALRIIDDISGRLVRRGRSLS -RGLVSHILSECGRPHHDNDDLRNSFRNPVHVSPGDVANHSHGNAAGVRNAGAPSLRATATTMGLNPFIYQ -MSRRDQLGGADGSREHYWAKDLNAQYRPANYSHDQALIIVDVDYYVDMPGLLLSHEGPVLLHTFTPSAAA -SNSRQDYRYTFLPNNCVEMTVCGNSAPYSHHIWDYTKDIVTVYSEPSPFWPLTGSGPRFHVYNVERNTVG -EDHEHVALIPLKIYTGLPALLARKFVTGMELTRFQPVQCIETKNGAEHWTVFKKQFPVNAECGPKVIVTL -GRAGSEACVTIPYEVHETLVNQANNAKTTGLTLSSVKTILSHYKKDPLAAAVTTAGETILQRYYSHKHDS -ALPTVMPVERASRTFQHYNDFDEQDANPCVHPFCPPILNEGFNPVHSVGNDINCVRERVEIPQSKTRSIP -AVTQFVLTAMTQLIERLVPEQEAHMAHPADEEDVWNRQKRRTQRSILEVAMFCLRTLVWVLKCFMKGEVY -DGPKAPRNISTVDPHHKLEYSQVCYTAANQLKKFDFYAFGKTPKEVAERVADTLSKTKTGANFNDFSKMD -GHINYVCRLLELMFLLRLFVVELAQSIRQMHASGRHREGVTRQGVRFRQGESRASGSPETSVLNTLVCIF -MIYLGYAYRFRDQGVLDPWEKAWQELLDKCIAGGDDSSAGDLPDEYIVRSCKSIGMVAKPNFLVRGSLGV -NFLARYYGPDVWNGDPTSCVDIRRALGKAHMSTIKDAPAVEKFYQKWKSLSLSDHNTPVFEHVCNALDRL -NVQVDVCERVAETESWWTKEYPDLEQQYPNEHQEWMDDLLAAQMPGFRVDFLEQHCARATTLEELMQFPL -CWNADDRYSIEAPVDKPVVSDVNPLATPAPTPATGVHDDNDAADIGPASALENIAEENATDPPRSEQEAK -DRVARMVAAAAAYSIALRLNKSKQYVPDGTRGTIPCATKLELHRAGAHFFMGSLWPSDFPEEFKNKLGPG -DHVYDSETIRREMEPFCQSAGRVKDNIAFVVNHVAAIEQGLNLDAELQANLKKAYEEAAATTETRAVEQS -RGADSASSTSGGGQSPKPKGNSGNARPNGKRNGKKPVRRGGKPAVARPKE ->APG76485.1 hypothetical protein [Hubei noda-like virus 2] -MFLRKGLMVAAFAGAVACSYWYVYNTDEWLSNLSDEARAEALVLKTEFQEADVRPQRAVENHTHGASAAS -RSTATFLIQRIASGGGRKAFFIQGSGADFRAGRLHSREYYWLKDVMCPATRATPGPRDVLGLVDVDYYFD -MKDLLTKRFQPYLLYTFVPSRAAKGEGEYKYRFLADQSVEYSVAGGGLYPHELWNWDGDSIRVVKRWFGI -PVGYVGYAIERRQMDPDHQLVLLVPLVQTGFWSSWVAAWKLAAAEVRRFQPVVDGFVRFYVNTKEAMKVA -TGKAGGYSDCMTLASVDDAIASTARTVSGKLTLMSVKSKMDDGADSNVKNYKGAEVLLEFHLARLPTRER -MSLLEAVRRFQWVPKGAEPDADAKPGMVAFMGPLLHGGFVPDVCRGNEERFVEERVRKLAKPDTELDPFT -LRVMEEFAEHLVPVPHLLSPVDHEEVYARQSSPSQRAILHEAEHGKPTRVTKQFIKREAYGRVNDPRGIS -TINGVDKMEYSRYIYAYVDEVLRPQPWCAIGKSNAEIAERVAGISTGAEYGDNTDYERQDGNKDNRPRVL -DRIVMTRAFHPKYHAEMLEQMRRQYNLTGKTTFGVVYQTGMAQASGSADTAASNTTLNAFIAYLTYRRQY -MSKEDAWAMLGIFLGDDGYSTGMSKRVAAECAARMGQVLDLERTYRGNVGVSFLARRYGPDVWYGDTNSC -CDIKRQLAKFHLTVNLPANISPVQKLREKAYAFSLSDSNTPVIGEFVRTVLALFPLNPADFRNDLGIWGV -EMDASRQYPNEYADWMEDIARSELADFDLDRFRGWLEGVNGATIFNPPRFADPLPADPKPGVVAVDGDLL -VNEGSPEGRGGDEQSSDEADGKPHFRPRKPKARPPKAKVKEGKPPLNPPVEPKPKL ->APG76452.1 hypothetical protein [Sanxia noda-like virus 1] -MRLSLILVVTTCVVSGGVAYVMGAEVYDEVLHQVSCALHRARTDPSTDPLVMKSAFSETPTPNVKAVVNH -THGVAAANRSAASFLIDLLGRSVARTVWFYQRSGADVRSGRAGSRTYFWVKDLATAPERCSPPADSLLAM -VDVDQYVDMPNLLVDQFKPVLIYTFQPSAVSRDTGDYNFTFDECSNVDYHVAGGGKYTGQVWNYDGDSLK -VRKTLFGVTYALATYHVERRFMDSDHQLILLAPSAYFRGFGAMLADFFLEGPELKRLNLLQGGHLRLRSM -TPSGMCVSTGKPSGFLSCTVPAAVDEAIASVARTTKSGLTLPMVKTRMGNEWKGAEILYEFHKTSSVRTM -DKVSVTAEHVRTFQYFDSNDLDEFAKPTMVPFMAPIVDGAFAPAATVNNDLRAVTKRIWEQENNTVITPH -VVKVVDEFVTLLLGDNEGTLHPVDDDFVKEKQDRPSQRRILEEAEFLEAHPLAKTFIKKEAYQAVTDPRI -ISTIDGVTKRHYSMYTYALAEVVKKASWYAFSKTPREVAERVAEICTGATTSATKSDFSRMDGRISEILR -YLEKILMVRAFRPEYRDDIVKQMGTQHNIKAVTSNGLKYVSKLARLSGSPETSLFNTVANAFITFMTFRA -MRRPDGTFYSAAEAYAALGVYGGDDGLTADIDVRKYAKTAWTCGQKLEAEPVARGSFGVDFLSRVYGPDV -WHGDTNSCCSVYRTLSKFHVTTHLPGNITALEKLNDKAAALSLTDANTPIVGDFVRKAAFKPSDEFHNLC -GAWNVAEASVQYPNERAEWMEEYVTVQLPCFNQEKFLDFMETASTTDLLEMPCFTDRPEPKIKPGRVEVD -GDIVDTRPVPTPDDNEQRQLRTRPGDKKKIKLRAEKPQVTA ->APG76184.1 hypothetical protein 1 [Beihai noda-like virus 3] -MFRTIDKRALAIVFSVVVSYKTYRALKNGSIRAKMSAVAELLSTEAEVDNDLVRDVFARTVMPEPHHIKG -HTHPSAAALRNSATNFALGCASSLGVRPYFVGMSKSDQRRGLSGSRQWYWAKDTKIENRRDPSRPNDMIY -MGDVDYYIDMPYFLNTTFNPILLYTHVPEEAAAESDDTAYHFDESGKLVVRVRGGGQYSHHIWDYACDSL -VAKEHFWGIPIGTTSYAVERRQVGHSRQLVLLTPIKRWGILSAWLANFAIEGHELKRFDPVVKTRTGDTF -IRFQVHGPNGLLWTTSRPNSTLCATVPARDDDAVATAARLGSATLQLPTVVSWLGKDTRHASAVLTDYHR -NAVPRPLPTVYPVEESIRSYQFEPAKYDPEARPKLQPFMSPLVNGAFAPDNTSSSERRCVEGRINALKKP -EPKPIKFVDECMREFADLVVGDAVLEPVCVETVLEKQTGHAQKLSLTRAFVSGKYTKPILKCFVKAEAYA -GPKDPRNISQYNDKDKLEMAQFALALAAHCKQFPWYAPGKTPLEIATRVSEICKHSDFVNVSDYTRMDGT -ISHYLRQVERVVCMKAFTHHAASLNELLKRNVNNTGILPFGTTFKQESSHGSGCSATSVFQTLRASFTSY -LGYRRLGRVPSDAFASIGIHLGDDGVDGDLTIAAHQWAAKKVGLVLESAVVPNGERGVNFLSRYYSSEVW -YGRLDSMCDVKRQLSKLHTTVRLPENVSPAHKLVEKCRGYAATDSSTPVLGQFVSSALSFTPEESYRRSL -GVASWWSKFKDSEQYPNGNEDGWMDAEFDIQFPEFDRDRFTDWLHTVSSLQEHLHPPLCVEVERPNPPAV -EVVVDGDILAPEEPPSATKEPTATLARLPRQRRTRRRKGKAECKPQETPPSEGRGKNRDGAGAKKQRSRP -RKVSSRSSEI diff --git a/seq/clusters_seq/cluster_508 b/seq/clusters_seq/cluster_508 deleted file mode 100644 index 1c4ad7a..0000000 --- a/seq/clusters_seq/cluster_508 +++ /dev/null @@ -1,31 +0,0 @@ ->YP_006491252.1 transframe fusion protein [Getah virus] -AASFAESMAYLWDENQTLFWLELATPLAAIIILVCCLKNLLCCCKPLSFLSAGEPGNSRRKILRTHRNDP -ECGGIPV - ->YP_006491250.1 transframe fusion protein [Mayaro virus] -ASFAEGMAYLWDNNQSMFWMELTGPLALLILATCCARSLLSCCKGSFLSRNEHRECRCQCLRAHGNYSEP -SGIPV - ->YP_006491254.1 transframe fusion protein [Ross River virus] -ASFAETMAYLWDENKTLFWMEXXXXXXALALLACCIKSLICCCKPFSFLSVTEPGSLRKSL - ->YP_006491242.1 transframe fusion protein [Barmah Forest virus] -DTLDDFSYLWTNNQAMFWLQLASPVAAFLCLSYCCRNLACCMKIFLRDKRPVCNCHAGLRALNHDAESGG -NTV - ->YP_006390079.1 TransFrame protein [Semliki Forest virus] -ASVAETMAYLWDQNQALFWLEFAAPVACILIITYCLRNVLCCCKSLSFLSATEPRGNRQSLRTFDSNAER -GGVPV - ->NP_819007.1 6K protein [Semliki Forest virus] -ASVAETMAYLWDQNQALFWLEFAAPVACILIITYCLRNVLCCCKSLSFLVLLSLGATARA - ->NP_819001.1 6K protein [Barmah Forest virus] -DTLDDFSYLWTNNQAMFWLQLASPVAAFLCLSYCCRNLACCMKIFLGISGLCVIATQA - ->NP_740695.1 6k protein [Mayaro virus] -ASFAEGMAYLWDNNQSMFWMELTGPLALLILATCCARSLLSCCKGSFLVAMSIGSAVASA - ->NP_740685.1 6K protein [Ross River virus] -ASFAETMAYLWDENKTLFWMEXXXXXXALALLACCIKSLICCCKPFSFLVLLSLGASAKA - diff --git a/seq/clusters_seq/cluster_509 b/seq/clusters_seq/cluster_509 deleted file mode 100644 index 9de855b..0000000 --- a/seq/clusters_seq/cluster_509 +++ /dev/null @@ -1,177 +0,0 @@ ->YP_460026.1 RNA-dependent RNA polymerase [Liao ning virus] -MDTMDYDGKFAEYMETEFLNYKAVVELQKSKLNKLFPGKRQILIVNDPTHEFDIHETGWLTDIYHRTPTQ -RLIWLGLLINRKLRPEDNLSLLTDPDLKALVEYSPAWYPFALRINQALNDGLNLNGNVEECSFDSRFINN -IKFPRPENDFLGFKFIIEADTFVLLKDDLGIEKPDVIRCWLIDTIPEEVEISGETFKLREEMVRRVADEA -PLYAFCDIVKYCLTEVDFTNIAIKQWLNYFVRKRDFFRFNGKDMVCSSSLIAHKRFEVALSHQRCSSKFS -RLDEEGFECLTEGALYIMPDPIFRSHMLRSPFGDLNQHVFARTVGPSIVWSRSDIESTNFSLSIEPVPVD -YSQNRFNYVDNENTKEVVESLRTMGMPKNARLLYNLQTAVINPTNMLKGKVNRMILAMCGYTGTHAATAL -VNQFKGTSDMGEAVTDSFAVRVADNMFDYINIGFAKDGIKDISATPDILFKGGTSSASSTNTFKSISGPV -KYYSPFLTDEDVDDKTVFGTSGSKKLVLTRISHKLRSKNANIITNPENFLNFSADALDEVVNAGSRLVRG -TRAKRIITPNYGSIYANSLCTVLPAVRLLSNRPKNVLANTLEGIVGTTYTGALPHDVMAPFMCATTNDPS -YFAAAFDFAQFDSSQYGRISDAHADGVRRFADKFTGDEVNDVKGRLDLMSLKSKFIIQSESYRRPLKYKS -KGIVAEASGVKSGELTTQLRNTATNKGHTDEVLNRFNQLSRRKIRIKSENIIGDDKNIVFSLEDGRPLDS -STAKLLIEVARDVAQENHMELSAKRTVVGNCVTEHIKIFVARGFIMQDVFLDSFASEKNSFRSMSYADRL -NTIYDIFMTMLIRFAQAKPLMDLMINDMCLMDGLKSGRVTFIPTLGNLFAIGGPEMLVGAPEIRGMARFM -HRFDSEYFSVMNDLYATLRDNSGGKKFIDDLTNSATDDLVNQFWINHFKRKNNYDVSLDTRIANKRQLQN -LIPENCKVRLLKMVLDTVKEPVIQIMNDTMSLVNIIQRGKLTKYSKPKYHYVSWFIRSYNGVKYISPYLA -ADDGVKNVHTIVGLAERNTSIPESVEPINRLLRKYPHMHPSYIGGMDILSVLSEVDSGMWRDCLLALDFR -EDIIQPLLVLVDNVMFRYLHERNVTSTSLYDNTSRTYDVSDSNLNKLVHVAPSNSNKQSLGWRFEGMKVV -LYMARYGHMVSVSHEPLVVDGDTVNSSYITAL - ->NP_694468.1 putative RNA-dependent RNA polymerase VP1 [Kadipiro virus] -MLDLEFENCLIDDLKTIDKWEKQQLSVYDELYGTETAEFVLGEGKLPNSYRTNVFKITESGDKIFLGTLI -NKLFRPQIDLSKLKDERLLRCVEYDNGWYQLCVKIQRAIDNGLEIEKADLPKYDPKFEYNIKHPTRENGF -LNYYVLKECSVEIYMKDDNGIPDPAILRGWDISTIPESIQLPSGVVFNVRKACMRRINDEAPFYLYTSIF -QYAATRIDMDDPYTRIWLKYFIGAEDFFSYNGRVIECDKSLLAHKRFEMMIAAQRAALKYDMVGNDIFKE -CMLEAFENIIRDPIYNSYRLRGAFGDLNQHVFARTDSPALNISMVGVESTSFRMREGTGITDSDSRINLI -DGESFEASLQTLRDYGLSKNADLLQRAVRFPINRVNCKLGFLNRMVLGLCGYTGSHGNSAVVKQFTGTRD -MGPNVTKEFYDEVVNEVFLTYKSALQMGDFVEPQYRLDVLMKGGTSSASSTFNHHKIKARIRYNSPFFTD -DDLDSKSDKVVKTVDGKYRIITEVATTLKSKSATILTHPEDFIYASDDMLKCRVKMGSRLVRGTRDKRII -TPNYGSTYFSMLLSSLSAVRLLSSRIPNMPALSVSGRIGTTYEGALPHVVMAPMMAALSGSTKYAAVALD -FSQYDSSLHGDISKAHAEGLRKYASLYPKGSNYDDAEIVDLTKVSPNKFCDITAKNYETPLEYESVGIVA -EAAGVKSGELTTQLRNTDPNKAHTTLTLKRYNSKYVRKMDLVHENIVGDDKYVILVMTDGKPVTKLDLKR -FIDCAVDVAAENHLVISGKRSVAGNIKGEHIKIWVIKGYITQDVFLDSLVSEKISFREMKYVDKLTTIYD -IFMSMLTRFATTGPLMELFMRDIILIEGVKMGYLNFIPTVKLISAVGGPEMCPSAPELRGMARYMHDYDK -DKFDTINRLFATLRERNGTEAFRRQILRQVREDEIVKNEPLVSPLWRIHFRRRNGIIVDNDLVADTFNDI -KKFMPEHVDIKLTEDLISTLKEPVAGRMDDNVILINLFTVGKLGKYDRPKFKYKFFLTEYKTTGVESPYL -GACEGVRMVHKIVGLADANVKVLNPAARLSALLRSRPGTHPAYLSGEDIFGVLSSRVNAQQTWVTVLELL -DFSASVIPDVIDFCSKNMHRYLTDKNVNLTAIFDNTSRTYDVSAEMIKQRVKISGSERAGMVLTRGLGLE -GMKYMLYMARRGRSVKASTTPTVVGNLTIIDDD - ->NP_694469.1 putative RNA-dependent RNA polymerase VP1 [Banna virus strain JKT-6423] -MDIQEQFEGYLREEVDLLNKFEDSHFKQLEVFYTNSQADHVINKDKAQFSDLPFHTTLYKEVNGKRVKLG -TLLNWTKAERLDTIRHESMIKDERLRRLIDFDYSWIDYAVVLQRYLDEGNVIAPADILKFDSDFINNIKH -PTEKNNFLDIKVIKECETYILMKDDNGIRDPDILRAWELDSIPDVIELDVDGEVKKFNLRKEMIKRIQDE -APVYFFASVSLCSRNLDPNISEVKLWLEYFIGSEDFFGFNGPNVVVSKSLLAAKRFEVVVNHLRKVAAFE -LDDESKEVMTEWLKYIMIDPVYRSYKNRGAFGNLNQHVFARTKSEGLSWSLIDIGTTNFELKPTKKLAGS -YVKKFNLVDDVLVEESLKDLRNEGLYKMADITRRMIDADITPENVKKGKLNRLALSYCGYTGSHSATAMV -KQFNGTKDLDPNCDPMFVDIVKDNMKVYMQEGLQKYPHGSRKINRLDILFKGGTSSASSTNEHATVNGRF -RYRSELYRERDVNSSTVFKTATAGQYRVVKKISAKLKSKNANIITHPMNFINSKVSDLDVVVNAGSRLVR -GTRAKRIITPNYGTIYAASLMTVLPAVRLLSSRASNMGALSTQGSLVDRAPHDVMAPQLAVTSSDDVSKV -CVAKDFGQFDTSQWGQISKAHADGVRSMKVHYSMGHDALVDLDLNDASFADLLEVTAMSFEKPLKYKMNG -LVCESAGVKSGELTTQTRNTTTNISHSTVALDDYNNRAYRLNLPKLELVTDNKVGDDSVEVLRVVDGSPL -TPEIAKLYVSCMQDHADRNHLEISAKRTIVGNNVAEHIKIWVFKGYLALDVFLDSVTSEKNSFSNLNYLE -QVNILYDMAMTLMIRYCSVQACMTQFCNDMKLLNGIRAGNYTFIPTPKIICAYGTPEICLRAPEIRSFGR -YLPIDKDEYSVLNDLVASLSTNKPKMEFVSQMIEQNGNKVHSLWLDHFKRKNDVNPNGGGIHISEGLKRL -MPEYCEKHLNELVYKTLDDKVIRDYTSDIIISNICKGKLSKAPKLAFYANFYLSLTNTNGVDSPYLTADE -GVKNVHRVIGLSYRNTLSTSPTSNVDRILRNNPGSAPAYLTGNDILGVLSDYPYQNWRTVVELLDITEPS -ATAIIEVATNQMHAYLADKDLNTANLFDNTSRTYDISDRTYPKFVNITSSLSNSNRRGFQIEAMKHVIYM -ARRGVAVLANTHPTKIGNTVYYDY - ->sp|Q698V5.1|RDRP_ESRV9 RecName: Full=RNA-directed RNA polymerase -MDTYGSIKRDLKYMYDVEEVFRNTIPKTYFGIDDVEDLHVDEAGISNIHNNITLGTKKCYHLYKKGHRTQ -VPIYLGSLVTRESNEYEDVSYSYDNLNTIYKDAPVWEPLLNKVSKWYDEGWSVPSSKPIPYDPNYSKCLI -LSPDKYKKYHKVVVDVDYDAFHWSIDVNSEFMDMPNVIRAWDISTIPQFVDGFPMLKHLIDRSKKEALFY -FNYRLIPYVVHNIDKDDDMWREWLSYFLHGDHFKPDRGSYDNFGSLLGHKRLEVALMYHNRIRLMPMSAT -ALDAMNEGAKFLMKDPTYHTAVMKKYNGVLTQYGMAEPLGYNRGWGYTGVTTADSPFILSNVNGADGYTV -IDREAVEEAKEIGMQYTPKLVKLQLANMTKTSSPVNLIDSLIGNAIISLTGFAGSEKVSTALDQFEKENF -ERKVPDELFTAISNVTYEMVRESFQPLERSMLDKRTRLLRLYNAGTSASSSAPPGARYIFNKKMIMYSTF -LSKSIDVTDEYAPMSFITSLNFNNKTANILTHPQNYYMYDWHDNHNRIINSGSREVRGGRATRIITPDPP -SNYMLLILGALNTVRDMGSHRTGFSNLGMNEVVGSSFLDAVSHDALAPQCLATSSSRSLCAQALDYSTWD -RQQGGRIAEAKAHGIMRVANELFPNTNTNLSDVHNLLELPMSSILYLWSESIKSSYKYKIDHSTVAVDAV -RSGELTTQFSNHVTNLAALRQYHETYNRTKVPQGFRPIEVAQINIVGDDVNVILRTADRKPFTLRDMEGY -HNHTFEKAAEYEFSISKKRSMMSNVATEHIKQYFKMGDILLDVMLSSMTSERNTFREQGYMNGINLLYDI -AVTIMARYAQNDKLFEDMMANLPFMEGVVYRHGSQKYHFHPSPCHLLGSGSPEILPSAIDLRTFARVITV -LGDYESDLYEAMSSLNATLLSTSGTTQFESQVRKHLSEELGDNIISPVWSEVFYRDEVGYEMVTKHNKLT -PEGHREYLVDRIISTLSNREKLIMNNADIIEKLLSGKLKKVKRYFQEIKFKMSNMPITGLPDRKIEGVSE -LSSPYRAADVGMRRVHKCIGLSRRNLKFPSVTERLSRLLKSYGLVTQADSAKILSAAAGLGRSSTRYRNL -GTDLGLSDMHASSFAQRLPALLTQYEIENASGSFTFYDTVSRTYDVSEASMNERVGDVSNNPIARANSST -STYYRFRGMLHCLYGARFGLSLHASVE - ->ALR84811.1 VP1 [Mangshi virus] -MDIQDRFGKSLAHEVELLNKFEDDHFNQLEIFYGKPGATVKTGGNEHKKYFHLNLEHELDGQSVRLGTLI -NWSNVSRLDPVRSGNTITDERLKRLLEFDSKWTPYAMVLQDYINKGGTFEQPRLPQYDPAFEYNVKHPTK -ENNFLDISVIKECDTYMLLKDEGGLGNPDILRGWEIESIPDTVQVSINSVMTQFPMRKHMLRRLNDEAPT -FFFCNPFRYATIHMDPNDPAVKEWLNFFIGCEDFFSFRGSNVITSKSLLAHKRFESAATHIRKRNMFAFD -EEDEAAMMQWLKYIMPDPIYLSYKNRSHFGNLNQHIFARTKSEGLSWSIADISSTNFRLRQVPKGVSGPR -FNIIDKDMVKESVDALKDEGLTMLADITQRMIDADISRRNVIKGKLNRIALSYCGYTGSHSATSMAMQFN -GTKELNPKCDPSFITSTRENMAEYMREGLAKYPQGAIKVNRMDLLFKGGTSSASSTDEHAIVSGKFRTTS -SLYTDEDVDNRSVFKTQVPGVYRVVRPIKSKLKSKNANIMTHPQNFSQFKPSDLDRVVNAGSRLVKGTRA -KRIITPNYGSIYAASLVTVLPTVRLMSSRESNMHALTTQGRVGTTYHGALPHDVMAPEMAATTTWDTSFF -AVAKDFGQFDTSQWGEISKAHADGVRSQQKSYTGQHAGLIPLSLDTASMADLLEVSASSYDRPLLYRMAG -LVCESAGVKSGELTTQVRNTTSNDAHSTMALNMYNARAEKQGLSKVQLVTANKVGDDSVEVYKVIDGRPL -TREIAGLMVECLKDAADANHLELSPKRTVVGNNVVEHIKIWVYKGYLAMDVFLDSLTSEKNSFVGLGYLE -QVNILYDMAMTMMIRYGSVDACMRQFTADMKLLNGVRAGNYVFIPTPKVICCYGTPEIALTSPEIRSMGR -YVPIDDIERKVLSKLVASLSTGKAKAQFIEQIREQNGDLLHDIWKQHFKRRNGVDPDGLGIKISNGLKRL -MPEYCERHLNEKILGTLEERSVKEATADYILTDIINGRLSKSPRPAFFCNFYLAPNTPIGVDSPYLTAHE -GVKNVHKIVGLSYRNAMASSPASSIDRILRNNPGSAPAYLTGNEILGVLPDYPMQNWGSCLTALDISEPA -LTNISEIAERQMHAYLADKDLNTANLFDNTSRTYNISNETFPHFVSLTPNISRNNVNGFNIEGMKHVVYM -ARRGISVKALPHPVKVGNETYLYD ->AGK29950.1 VP1 [Banna-like virus strain Balaton/2010/HUN] -MDIQLKFEDLMRDEVKLLNEFEQKHFDKQTVFHGESEIDLVVGKNDDYKNHYHSTLMHESDDGYTRLGTL -INWSKISKLDPVRSGDNITDARLRRLVDFDRGWIPFAVILQQYLDEGGTVGSPDLIPYQEEFINNVKHPR -AANDFLGISVIKECETFLLLDDDQGIKNPDILRCWEIDSIPEQMPMIVDGVEVQFPMRKNMLRRINDEAP -MFFFCNPFRYATLHLNPRDPAVQLWLDYFLGCEDFFGYTGSSIVTSRSLLAHKRFEAVVTHIRKRKMFAY -DAEDEAAMLQWLRYIIVDPIFHSYKNRSHFGNLNQHIFARTKTEGLSWSISDVRSTNFRLKYVQRSQSGP -RFNMVDDELVMSSVESLITEGLTLLADITLRMISADITRANVIKGKLNRIALAYCGYTGSHSATAMAMQF -NGTKDMNPRCDPTFTSCVTENMKSYMIEGTARYPQAALKVNRLDVLFKGGTSSASSTEDHAMVQGKYRVN -SILYSDKDVDNKTVFKTTQPGVYRLTRVIKSKLKSKNAYIMTHPQNFINFNPSDISRVVNAGSRLVKGTR -AKRIITPNYGSIYAASLVTVLPTVRLMSSRESNMHALTTQGRIGTTYHGALPHDVMAPELAATTTGDTSF -FAVAKDFGQFDTSQWGEISRAHAEGVRSQKKHYTGSHVGLVPLKLDEASMADLLEVTASSYDTPLLYKMV -GLVCESAGVKSGELTTQSRNTTTNDAHSTTALAIYNLRAEKEGYPKVELVTANKVGDDSVEVYHIIDGTP -LTRDVAGLFIECLKSEADNNHLELSPKRTVVGNNVVEHIKIWVYRGYLAMDVFLDSLTSEKNSFANLGYL -EQVNILYDMAMTMMIRYGSVDSCMQQFTDDMMLLTGIRAGNYTFIPNPKLICAYGTPEIALRAPEIRSFG -RYLPLPHHEHHALNRLVATMSNSRAKQAFLDQMVEQNPVLLPPMWLAHFERPNGLNPNGRGIRISNGLKK -LMPEYCSQYLNETIIGTLDERSVKEATADSILTDIITGRLAKAPKPAFHCNFYLSTTTRVGCPSPYLTAD -KGVQNVHEIIGLSYRNATASSPTANVDRILRNNPGSAPAYLTGNSIFGVLSDYPMQNWASCLEALDITEP -SLSGIIELAQTQMHAYLADKDLNTANLFDNTSRTYNVSSETFPHFVELTPNLSRNNINGFSIEAMKHVIY -MARLGIPARATPHPLQVGTTTYHS ->APG79130.1 RNA-dependent RNA polymerase [Kadipiro virus] -MLDLEFENCLIDDLKTIDKWEKQQLSVYDELYGSEASDFILGEGKPPDTYRTNVFKITESGDKVFLGTLI -NKLFKPSIDLSRIKDERLLRCVEYDNGWYQLCVKIQQAIDNGLEIERADLPKYDAKFEYNIKHPTSENGF -LNYYVLKECSVEIYMKDDNGIPDPAILRGWDISTIPESVQLPSGVTFNIRKACMRRINDEAPFYLYTSIF -QYAATRIDMDDPYTKIWLKYFIGAEDFFSYNGRVIECDKSLLAHKRFEMMITAQRAALKYDMVENSTFKE -CMLEAFENIIRDPIYNSYRLRGAFGDLNQHVFAKTDSPSLNISMVGVESTSFRMREGTGITDSDSRINLI -DGESFETSLQTLRDYGLNKNADLLQRAVRFPINRVNCKLGFLNRMVLGLCGYTGSHGNSAVVKQFTGTRD -MGPAVTKEFYDEVVNEVFLTYKSALQMGDFVEPQYRLDVLMKGGTSSASSTFNHHKIKARIRYNSPFFTD -EDLDSKSDKVVKTVDGKYRIITEVATTLKSKSATILTHPEDFIYSSDDMLKYRVKMGSRLVRGTRDKRII -TPNYGSTYFSMLLSSLSAVRLLSSRIPNMPALSVSGRIGTTYEGALPHVVMAPMMAALSGSTKYAAVALD -FSQYDSSLHGDISKAHAEGLRRYASLYPRDSNYDDAEVVDLTKVSPNKFCDITAKNYETPLEYESMGIVA -EAAGVKSGELTTQLRNTDPNKAHTTLTFRRYNAKYTRKMDLIHENIVGDDKYIILVMTDGKPVTELDLKR -FIDCAVDVAAENHLVISGKRSVAGNIKGEHIKIWVIKGYITQDVFLDSLVSEKNSFREMKYVDKLTTIYD -IFMSMLTRFATTGPLMELFVRDIILIEGVKMGYLNFIPTVKLISAIGGPEMCPSAPELRGMARYMHDYDK -DKFDTINKLFATLRERNGTEAFRRQILRQVREDEILRNEPLVSPLWRIHFKRRNGIIVDNDLVADTFNDI -KKFMPEYVDMKLTEDLISTLKEPVAGRMDDNVMLTNLFTLGKLGKYDKPKFKYKFYLTEYKTTGVESPYL -GACEGVRMVHKIVGLADANVKILNPAARLSALLRSRPGTHPAYLSGEDIFGILSSRVNAQQTWVTILELL -DFSASVIPDVIDFCSKNMHRYLTDKNVNLTAIFDNTSRTYDVSAEMIKQRVKISGSERAGMVLTRGLGLE -GMKYVLYMARRGRSVKASTTPTAVGNLTIIDDD ->APG79088.1 RNA-dependent RNA polymerase [Hubei blood fluke virus 3] -MLDYRLIVVDWFAGPVNYDHTLNAVDNFLTFTKKFEDGTYSSIQNDLSKVKLILPLDIRSLDVIYQKYKS -LISALPIRRTRKYSFLHQLWLTESPFIDMTWREENDGLLISYRGKEQFKIPWFTGNGNLLYAAPGYIDLN -LFEVAKWQLTFGHMICHPFPDSYQGCDENLLHDQRYIDSFCQAQRSKLRLFTGSNYYIESRTGEIDANVS -GVTIMQHLTGLDILYISPRLSNLRRTFSINDDPGWLRLSMLLSDIPEEEIEINYPVWKDQEIEIEYFDDE -THPRISILEGCGYVFKSLPLNVLRNWRAADIPEKYCITVGNKHVEIPLRTHVLKRLGQEAAEYGYKTVDA -AMCLIDPNSYIWRMYSYLINRSDLRYISRQTTSGLRMKYCQERILAHKMLLVATNAEISRILLRNNKHVD -LCHEILKEIFRVVVFDPIYMTARLKSPIGILCNFAFVIQKAGNPVLHWEQNLKRRMDTLQYGKWTQRREE -AVSTIDYDTVDDACQSLVSYGLTNTAGALRNLISAPITFDNVYLYVFIRSLMALSGYSGSHQLTAAVDQI -ESHYGLPDPSQKFLDLIFNEAKAVATASPDTERTKDPRNRMRLLLSARNLRSAGLIEYQSYPLTVPIQQL -RLRDVNGAKKYSVVKQDMKVTVRLNDKTSRILLLDDENMRITKPLHDMKVNAGSRQVVGGRLTRLVFPQP -MPVYIGEIISMAHILDMCAKKPKGYMVGLFESFGAGCETGIPHWTLAFPLCITGQLRNTYMAEASDFSTF -DCSQRELVKRAKLNGIREGLKKGSTLLSDSDCLLYMDRLAVFDKIQGLNDKDLSRKYVIPNEYGSVVVVH -DSLLSGRLTTYLENTIVNQAFSTLLYETFVNRARPEIQSSIQPRFKHHMGDDQLDIYSADSFRNEDAYLL -RDIISELAETNSMKISPKRLVVSTVATEHIKVKLAGGVLLPNDQIQLIAAEKSMTTLQSVSERLSAFFDL -YMLKSTRSAEVMNFIPLYFQLWPMITRISAGDVRGYITPSIIFGPTSLGLIPSTPFVRGSVNLLLEVGGL -KQRSAYWDEVTQILNRSEPISRYNTTLAHMYETIFPQSEVWKRVFTRKYGESPKVIPEDIKELTPEYGYK -HLALTTLTNKMNKILQLESAAIVVGKLSKLTQINLKPISLIKLGIIRVSTTDKLIHRNLFKFTDSPYLTA -SPELLNLHALFGLCRKGSIEDFDPPTYIQRILSNEIGEGAPHLTGELLFKVLQGKSYNYIFRVLQLADFS -ESFIHKYIGEIYSKVNSFRALQMTTVASIYDSFTASIDLLPDRIFEFCDLNYVSGQVDHRAYVHLARKLI -YNFSHNILRIIAVNDSYGYG ->APG79118.1 RNA-dependent RNA polymerase [Banna virus] -MDIQEKFEGYLREEVDLLNQFEESHFKQLELFYTKSQQDHVVNKDELSFNKLPFHTTLYKEVNNKRVKLG -TLLNWTKAERLDLIRHESMIRDDRLRRLIDFDYGWIDYAVVLQRYLDEGNSISPARLLQFEDDFINNIKH -PTQKNDFLGIKVIKECETYILMKDENGIRDPDILRAWELSSIPEVIELDVDGVITKFNLRKEMIKRIQDE -APVYFFCNPYRYAVANLDPHIPEVKLWLEYFIGSEDFFGFSGPNVKVSKSLLAAKRFEVVVNHLRKVAAF -ELDVESVDIMKEWLNYIMIDPVYRSYKNRGAFGNLNQHVFARTKSEGLSWSLIDISTTNFELKPIKKVAG -SYVNKYNLVDDALVDESLKELHAEGLHKMADITRDMIGADITPENVKKGKLNRIALSYCGYTGSHSATAM -VKQFNGTKDLDPNCDPVFIDIVKDNMKVYMQEGLQKYPQGARKDSRLDILFKGGTSSASSTNEHAVVDGR -FRYKSELYQDKDVNSSTVYKTNNAGQYRIVKKISSKLKSKNANIMTHPHNFIGFKVSDLDVVVNAGSRLV -RGTRAKRIITPNYGTIYASSLMTVLPAVRLLSSRASNMGALSTQGRIGTTYHGALPHDVMAPQLAVTSSD -DVSKVCVAKDFGQFDTSQWGQISKAHSDGVRIMKNHYSMGHDGLVDLNLRDASFADLLEVTAMSFEKPLK -YKMNGLVCESAGVKSGELTTQTRNTTTNISHSTVSLDDYNNRAYRLNLPKLELVTDNKVGDDSVEVLRVA -DGSPLTPEIAKLYVNCMQDHADKNHLEISAKRTIVGNNVAEHIKIWVFKGYLALDVFLDSVTSEKNSFSN -LNYLEQVNILYDMAMTLMIRYCSVQSCMTQFCNDMKLLNGIRAGNFTFIPNPKIICAYGTPEICLRAPEI -RSFGRYLPMDVDEYSVLNDLVASLSTNRPKMDFVSQMIEQNGSKVDKLWIEHFKRKNDINPDGSNIHISE -GLKRLMPEHCEKYLNELVYKTLDDKVIRDYTSDIIITNVCKGKLSKAPKLAFYANFYLSGNSFNGFDSPY -ITADEGVKNVHRVLGLSYRNTLSTSPTSNVDRILRNNPGSAPAYLTGNDIFGVLSDYPYQNWRTIVELLD -ITEPSATAIIEVATNQMHAYLADKDLNTANLFDNTSRTYDISDRTYPKFVNITSNLSKSNMRGFQIEAMK -HVIYMARRGVAVMANTHPQKIGNTVYYDY diff --git a/seq/clusters_seq/cluster_51 b/seq/clusters_seq/cluster_51 deleted file mode 100644 index 8bcb56d..0000000 --- a/seq/clusters_seq/cluster_51 +++ /dev/null @@ -1,3505 +0,0 @@ ->YP_009825025.1 NSP13 [Duck coronavirus] -CGVCVVCQSQTILRCGNCVRKPFLCCKCCYDHVMHTNHKNVLSINPYICTHPGCGEADVTKLYLGGMSYF -CVNHKPRLSIPLVSNGTVFGIYRANCAGSENVDDFNQLATTNWSTVEPYILANSCSDTLRRFAAETVKAT -EELHKQQYASAEVKEVLSDKELILSWEPNKARPPLNRNYVFTGFHFTRNSKVQLGDFTFEKGDGKDVVFY -KATSTAKLSVGDIFVLTSHNVVSLTAPTLCPQQTFSRLFNLHPNVMVPECFVNNIPLYHLVGKQKRTTVQ -GPPGSGKSHFAIGLAAYFSNARVVFTACSHAAVDALCEKAFKFLKIDDCTRIVPQRATVECFSKFKPNDT -GKKYIFSTINALPEVSCDILLVDEISMLTNYDLSFVNGKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDY -NVVTNLMVCVKPDIFLAKCYRCPKEIVDTVSSLVYDGKFIANNPESRQCFKVVVNKGNSDVGHESGSAYN -MTQLEFVKDFISRNKEWKGATFISPYNAMNQRAYRMLGLSVQTVDSSQGSEYDYVIFCVTADSAHALNIN -RFNVALTRAKIGILVVMRQRDELYNALRFVELDSNVALQS - ->YP_009824973.1 ORF1ab polyprotein [Wencheng Sm shrew coronavirus] -MSVSKVELLVPISDEVDATHFGTFGVAVEAYASAAPSFEGVYFVAYGLQDIVELGRNSYVMGVFGEEVLD -LAVVPFGYRDEDLRGWLLFLETPVSYVKQEFLFRLLGGEQKVVYVDNYLCGNNGKPVVPKDKWKILSKGQ -QGLLADNVYYKVVWDVKRDDVPYEKQNMSSIVSIRYLVDIPHFLADGAVREKKRPPQDYTTMLVKGFPFL -MAYDWKFVNHAVKCGKCDNHVVEAGAFESVITSCCRDEIVHQGVVTAKAGELVLTPLSNKKLILVGSTVL -RNIGTAHNLSIWFVCSSCVANVKELHDLNFSVKQVAKFSLTVASEVNLEACGIEDLEVVPDDGDLMEYLI -PSWLRNQSIVFFEEALDLIVKFLVKSVVVSLKGLVLLKEAIQHFTFRIIDGVVVCKTKCCGVLSKVFEDF -VNFVVKLLNKSKDIGSFLGVQFRTFMNVNMMNDCLFTVKNVTVNGVRSMGLTTMNVIDFFLGKKSSVVVN -EFEVAEWWNNSVSMVLCDAKPEGNGKGVVIAGQAFYNEGQFYWPLLKGTTEVAETLCFKKAGGQSVTFSG -KDDIKEVEKVYRVKYELEFDSEYELFQKLLGKLLVESEFTSWNSMAEFVFDKVDEVENTAEVLVQTLDQD -NVHVKNFMEFGIPEVYVYDVEGGADLTKPVLVSMFKIKDVEYDSDGAEMNSEDDIIEVPFDLGSENQEDD -TEEIKVEEVKDSEVQESEADLTKIQVVTSKKPKPLCDTFKGSNDIVFLKQASNNCWINTTAFVLQVLGSK -DSTIQNLYETPDDFINKVCWQNNTRWGDKDDVGNTLVKWFDNSDEVFGTMCISLKCACGLTYRDYLNIFC -GNLSKNPNLGNCGVCNQTFQCQIVSLTGKMCFAFSPQMISDDILKEFGVVAAAVYHGVVFAGHWLCSDFV -NRITIDGHGKQDLFVKSPLAVVVTNVEIKEEEKVEVEEKIVEPFLVRSHIKFFQLNCNQLLKLKPRLIVN -AANSHLRHGGGLAAGLNDVTNGELQKQSNAYVKKYGPVPVGGSAFIRCKVDNNCLDILNIVAPRKGDDDV -YGNLIKAYSNVKVEDAFVPILGCGIFGLPLIKSLRCLMKVIRGKVNVFVYLDSEVKMILDYFAKESKADV -VDNVSVIKASSKDLQSETKKDIVQKVVASKEVNVKESSLNNNRFSVLSQKDTEENNALQTEVDKVETSSS -VVAPDVSSVMNVESINDNTSTKKTTHKESVVVENLTVDIRNDVNIEVPVESEQNIHVSDIEDSKELKIIN -LNGRDSYRVDSNYEEEDADWETFYGFSNAKVFSEIDYSRYKTKFKIKHGYVALQNVANNCWVNSVGLMLQ -RADVVFNSEGLNVLWKRYLEGKNGGFIAFLYFIMNKEIGDLGDAEQVLLVLNKYIKCESKVIVSTEYECD -SCENKVVTYEGLIAPVSLIERNFQCDHGKKVTTKVLKLSGDMIFVDVNGFNPFESNGFNLQSANVIVGDE -ELCHYWYWDKQKNIVFTGKGWSDKSVKDKIAYSAFVKNDEFKKKNKMNDTVVACEKNESSDYVGKFFNYG -EKFLNIIVFFLQLFYRLVIMAKGYINKKDFRVVAIQTTSTSRFVFRSLVANLAGVMEGIKKKKKKISFCY -KTGILLFGLFQLLLLVSQLDHSYYDEYSQSNFNKKEFCGKNFWCYLTLWNVDGLEDFPHLNIQWNVFKGG -FSFTILPLFYMAIMFCFGSFYLQGFLIAMLFQWFSSVMGLSIEVLDIVNLTQFLEPVFCCFIFVKIFRFF -HHVVFGCTKSFCKYCSKAAKQKKFLVSTIVNGSSKSFYVNANGGGKFCNKHNFYCKGCESYGVGNTFINE -SVADYLSDYVKHRVVATAPASKVVDKVAFENGKWKLYVGDEFISITEDLTSSSYTIESVLKNNLMVSNVV -VVSDNSNMGVVDNACVYYSQLFCKPIFVVDRQLLFSLDIDYNTAVFDSLIKVCANSFNKDFTNCKTLEDC -KKELDFSVNTQAFVDAVKMAHKYDVLLTDRSYNNFTITYAKIGDKLSKTDQAVCLRANVGIINHNVAVKE -NISVVWYYKNFLQLSEEGKKYIVKTTKAKGVGFYITFNDIEANTQAPVTRFQKNAGFESSFSWNVLLGFF -VLTFMSLMLGFSYWNVNSLSDFNVVGFKYIENGRLKNFEGPVFCLYNNFINFDNWHYTKFNAGFKNDKNC -PIVVGTFEDGKVIPNVPANVKLVGNLLYFGLNSVFQSQDNLCFDQFGLTENCVFNSACLKLTGIVGERVY -CYSKGLVKDSLLYADILPHLAYQTVDGALLRLPKLIFSILGLKFVQLKDLLYCKMGECVNSQQGYCLGAE -SFMVYNKEYNKDKYVFCGEGFLGFIRNFYTTFNTNIFSLFLTTQVMINFLVMLIVLFGFWLVMRVRRMFG -DFTVSVCYIVVATMVNSLSYLVTGNSIGLLVYGLVYFFVTLRLNYTYIWHMCFIIAYLTIAPMWTIFLYV -FGLFYYFVPNVFKLGLAKGLYEGEVFVGTFDSASQATFLLNKDTYVKLVNSLSRDKLEYYASKYNQYKYY -QGSGKDGEYLLACKTHLAKALADFANSQVDVVYLPPTVTFTSRMQAGLRKILQPTGVVESCVVKVSYGSL -TLNGLWLGNNVYCPRHVIAEDVTKEIDYETCFMMMRKNMLEISYKNSLLKVNGVNMKGSLLVIEVDTNNV -LTPDYEFVKFKSGVSFNILVSYDGIPSGVFGVTMRSNGTCKGSFLNGSCGSPGFILRDNKVCFGYMHQME -FNSGVHVGSDMDGNIYGNYKDQPVFQIEGLDVKFADNVIAFLYSALINGDNWWLTTDECTVSAFNDWACT -NNFTQVENNFGLFENKTGVKLERILYAIRTYSKGFGNKTVLGFGSLTDEFTSDEIYSQTFGILQASKLKS -GIKNVLVTFVFCCMFLSEWLMMSAIYYFSKDLFMLLIPVGVLISFVLSLLVKHKIMFINLYLLPTLIVMM -VDNFSLYMYVANFMSDFNMSGFNLNFIVSLVSVVAVFFLHLYRFINAKKDWFNIMVALVGSLWFYMTYNE -TALLTTVLISVNPDWYIGLVTYHGVKIAFKLMGATFTYKYLALCYVSAGFVITMRFGVLYWINKFTGFTF -GKYDYKVSAREFKYIVANGLKTPTGVFETLKLNYNLIGIGGNKNIKVSTVQSKLTDIKCCNVVLLGLLNN -LGVSANSKEWNWCVSMHNKICLSNDESVVESLLALVLFFMSKCKNVDLDALLDVYFDNNSILQSVASTFA -SLPSFVAYETAREAYEKALKEEANAVLLKQLKKAMNIAKSEHEQEVAVQRKLERLAETAAAQMYKDVRAS -DKKAKVISAMHSMLFSMLRRLDMSSVDNVLNLAKDGVVPLSIVPVTSASRMIVVVPTKDVLDRIVIDNSV -IYAGVVWTIIDIKDVDNKVINLKEVMIGDDIAYPLHITVERFVKLQNNEILPGVVKQRVVKAEGGGFNVE -GKALYTNEQGKGFVYALIAEKDGLTHIKWDSNNEVITIELERASKFLVDRPNGTPKVMYLYFVKNLNTLR -RGAVLGWIGASMRLQAGRPTEFPENSNLLSICAFAVDPAKAYLDAIKSGVKPLANCIKMLSNGSGNGQAV -SYTVDAKTNQDSYGGASVCIYCRAYVEHPTVDGLCQFKGRFVQVPLGTKDPVGFCIENSICSVCKCWIGH -GCVCDRSNMQTTVVDNNYLNRARGSSGARLEPCGNGTEPDVVVRAFDICNKGNACLAKAYKRNCCRFKNV -DLHDAYYIIKRVNVESMEFEKNVFESFKGSNCVAEHDFFKFHHGRQEFGNICRKNLTKYTMMDLCFALRN -FDENHCEVLKEILVLIKCCDEKYFENKLWFDPIENEDLYKVYAKLGHIVNTALLKCVEMCDLMVAKGYIG -VLTLDNQDLNGNFYDFGDFMRSPPGMGVPVVTSYLSYMMPIMSMTDCLACERYVKSDIYGKDFKTYELLK -YDFTDFKLELFSKYFKYWDRVYHPNCVECFDDDCIIHCANFNTLFSMVLPETSFGPLCKKVYIDGVSMLT -TAGFHFKQLGVVWNKDLKLANSHLEMTDLMRFVSDPSLLIGSSDALLDFRTDCFSIAALSTGLTHQIVKP -GHFNQEFYDYLVAKGFFLEGSMLTLKHFFFVQNGQAAITDFNYYRYNKCIMLDICQALFVKQVVLKYFEC -YEGGCLAAKDVIVTNLDKSAGYPLNKYGKARLYYETMSYEEQDELYNYTKRNVVPTMTQMNLKYAISGKD -RARTVGGVNLVSTMTTRQYHQKVLKSIVNTRGATVVIGTTKFYGGWDDMLKRLIQGVENPCLMGWDYPKC -DRSLPNMIRMISAMVLGSKHVTCCTHNEKFYRLSNELAQVLTETAFVQGGLYVKPGGTTSGDASTAYANS -VFNIFQSVSSNVQRLLSVNTADIPIVSVKRIQYNLYHNIYRSCVADVNFVQEYYDYLQKHFSLMILSDDG -VCCYNKDYASMGYVSDMGAFKALLYYQNGVFMSNSKCWVEEDLEKGPHEFCSQHTLLIKGDNEVYLPYPD -PSRILSAGVFVDDVVKSDNVLALERYVSLAIDAYPLVKHENSEYQKVFWVLLDWIKNLYSKFNQGVLDSF -SIRVVDDAQSKFWEEDFYKNLYERSTILQSAGCCVVCNSQTILRCGDCIRRPLLCTKCAYDHVCGTSHKF -ILAITPYVCHTSGCVVNDVTRLYLGGMSYYCFEHKPKLSFPLVSNGHVFGLYKNSAVGSVDCDVFNRIAT -SDWSNVDDYVLANSCKESLILFAAETIKAFEESSKSSYACAILKEVIGPKEILLSWEPGKIRPPLNHNSV -FICYNMVKDTRVQIGEYVFEKVDCSSDSVYFKSNATSKLVPGMIFVLVSHNVQSLKAQTIVSQEIYSKVR -LFSTLNVKEDYVNLVPYYTMIGKQRVTTIQGPPGSGKSHCIIGLGLYYPTIKIVFTACSHAAVDSLCNKA -FKNYNIVDCSRIIPARARVECFDKFRVNDCSAKYVFSTINALPDVVADVVVVDEVSMLTNYDLSVINSRV -KYKHIVYVGDPQQLPSPRILITRGVLKSEDYNCVTRRMCLLGPDVFLNKCYRCPAEVVKTVSALVYENKF -LAVNKISGKCFKVFLKGHVQVDNGSSVNRKQLDFVKTFLELNKEWQNAVFISPYNSQNYVASKMLGLQTQ -TVDSAQGSEYDYVIYTQTSDTAHACNINRFNVAITRTKYGILCIMNNKKMYDELDFGVIDPTVLHSTGLF -KSCVRVPYEVGPAYATTFMHLTDRFKVSNDLAVALPSVNPTFEDVVNLMGFKLDVVPDGYNPLFCTKSYA -IQHVRGWIGLDVESVHCCTDNIGTNLPLQIGFSNGLNFIVKPEACVMNNNKVYWKNVKTKVPPGEQFTHL -IPLMRKTQDWSVVRKRIVSMLCDQFKGVTSEIIILTWAHGMELMTMRYFVKIGVVKRCYCGMEAKFYDSE -NVEFKCLFHGYGCDYLYNPKLVDVQQWGYVGKLADNHDMYCALHRNAHVASGDAIMTRCLAVYECFVKKV -EWNIVYPYIGEEKAINAAGRFVERHIMSVFLKLYKPEAVHDIGNPKGIRCVVYDKSWYCYDKDPVGSNVK -ELCYDYETHGQFKGLMLFWNCNVSCYPEFSLVCRFDTRVCNKYNLEGVNGGSLYVNKHAFHTDFYDRRAF -ANLKQIPFFFFDDSECEVICDVVNYVPLSDKNCITKCNIGNAICNKHAKLYQEYLEKYNLFVSNGFNLWG -PKSFDVYNLWSLLRGNNSLQALERLAYNVVNSGYDKGLDGSLPVAIFNDRVIVKKDLEDIVVFENKTALP -TNVAFELYVRRNVGFTPPLSLLRNLSVVRTDRFCLWDYDDNKPFTNYTSNFCLYTDFDFDVMTCYDNSIS -GSLERFRSANNAVLFSMTNIRGLKGFSINYAYLNGKSVFSSDGKDIKLYFYIREKGVYVENICSFFTQGR -TFDTFVPRSEMEKNFLNMETQDFIVKYGLEDYGFEHVVYGDVSKNVVGGLHLLISQVRLSKMGVLKCEHF -GGSVLNTINCCSVIYLDNPSYKHVCTYMDLLLDDFVVILKSQDLSVVSKVVNINVDLKNFRFMLWCKDKK -VSTFYPTLQNDWLCGYSMPNVYKMQNMLLERCDLPNYGERLNLPGQIVKNTIKYTQLCQYLNKTTICVPY -NMRVVHFGASGNGVSPGTAVLKRWLPQSAILLDNDCRNFASDAVFTIIGSCEVVKISPKVDLIISDMYDC -EKVRSLEENKGEDGFFRFLVGFIREKLSVGGTACIKITEFSWNKELYEEIQKFEFWTILCTSVNASSSEA -FLIGVNYLGNFCNKDIIKGDVSHSNYTFRRNSTIMKQSYNSVLDLSKFELKLKTTTVVDLKDDKVNDLVL -GLIKSGKLIIRDCGKQDFYANKFV - ->YP_009755921.1 nsp13 [Canada goose coronavirus] -CGVCVVCQSQTILRCGNCVRKPFLCCKCCYDHVMNTTHKNVLSINPYICSSPGCGEADVTKLYLGGMSYF -CALHKPKLAIPLVSNGTVFGIYRANCVGSDNVDDFNTLATTNWTTVEPYILANNCSDTLRRFAAETVKAT -EELHKQQYASAEVREVISERELVLTWEPGKARPPLNRNYVFTGFHFTKNSKVQLGDFTFEKGEGRDVVYY -KATSTAKLTPGDLFVLTSHNVVSLTAPTLCPQQTFARFINLHPNVMVPDCFVNNIPLYHLVGKQKRTTVQ -GPPGSGKSHFAIGLAAYFSNARVVYTACSHAAVDALCEKAFKFLRVDDCTRIVPQRATVDCFAKFKPNDT -AKKYIFSTINALPEVSCDILLVDEISMLTNYDLSFINAKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDY -NVVTNLMVCIKPDIFLAKCYRCPKEIVDTVSTLVYDGKFIANNPESRQCFKVIVNKGNSDIGHESGSAYN -NTQLEFVRDFICRNKEWQKATFISPYNAMNQRAYRMLGLSVQTVDSSQGSEYDYVIFCVTADSAHALNIN -RFNVALTRAKIGILVVMRQRDELYNSLKFVDITDAGLQS - ->YP_009755889.1 ORF1ab polyprotein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -MARNPITVATAAVAEISTFGFAGVAEAVQQFSCAAGDGFKQCRFVHVKDVQTIKGVNENDFVLTVTGENY -VIKIDTFSARPNLLKGWIVFSSCPCDGIVFCSLDGRGGTQIPADNYMCGRDGKPLLSGEEWEYKDYFDEN -DTFEYDGVVYFKAWNVTRTDVPYQFQTVTAITSISYVGDTVHVLPDGTTLSISKNVAKSKVVVLSEPYSS -LYEQIGSPFMNNGTNLLDMLTNPKFFHGYVKCTCGNKHWTVGDWHHGYNSVCCGVSCNPISVAAGYVEPG -DVLLTATGAGKGLKYYKGLSLKYVGDAENVSVWRIIKTHNVDGFVSDNRFQDDYVANMDSCSVENTTTLS -IGLKFTIIGGDCNSSVRSAIVDGIFDVSFKICNLFDEIFIAPKWLVKIGNLGVELWNRFKAFAKERFISS -SKLVDFIKSIANATFVVVGGAFDIVASVPKHFAEAFEYLRKLIKRCAEFFIEEFKVAGVVFKSFGDYVLF -DNALCRIVQAKIKGAKQAGLKEAKYVSLVSGPATHVSVKRVEKSDANLTLFDNVVPPSDGNAVIIGGLAF -YTDGVHYWFMADSDTVLENPVFTTGKVDCPKEFEQVDGGNIFHKFVAGVADAVEFCRSLTVTFGLEGFVV -SAAKKFKALAKALAELYNEFAASVANIVKLAGVTFTHYAFTVPVIAFNGVLFPVKESKPPAGVPVESSIK -DFMSFKNADVRVKPTRVERECCELQEVDFVPPKDGGILKVIDGYAFYNVGNNYYPASCDGIVSVMFNKKG -GGVVSFSENVTVKEIAPVRKVYIDFEFEDEVIANVLKEAVGRKIMFEGDSWEELVAIVRSLMDVIGEQLT -LPPYFIYDEEGGTDFTKPVMVSQWPIVEPSQEEAVDVVTDVDTTPEDVVACNDDLKEVNEALSFIVEKPA -LKPSVFAYEFYDFDGLKVLKQDSNNCWVASVCVHLQLLDCLEDPALDLFRVGRVAPLVQKCYEVVKLLKG -SMGDVTECLEHLLKGVKSCTITCDSCCECGNSETVITGCVFRFMPTSDFIERPCESCGFVKYCTIKSIKG -TAVFCQNPGPVNGAIVKPICSAMFVGSTSSGHYRTNLYLANKAVDGFGFHKIDNKSLHTYCVKDVDWSRP -LESVKKAAPKKVEPKVEIKPAEPEVKPKKAEPKKPTKAESKVAPFCTYKGVEFYQGDFKNLVVLEHDFVV -NAANSELKHGGGVAAAINVFTKGLLQDLSNKHIAMHGPIKVGDGVMIVCGDINVFNVVGPRKGKFASELL -VKAYKSVLSNQGTPLMPMISVGIFGIDMVTSMDAFFSVVGDRHCKCFCYTNEEVTLVTNHVKSLEPVAED -ATEFLDLVVEACSVAEPYLVEGKTAFYRDDVNAMIKLNFERYIFFVDETLSFCQLAKALDKLLQGAISAA -AKSAGKVPAGNLVTLRSEVSGAPLVTFAVVPAVGSPNYDKNLLRVVNKLSKLKENLLMTVPAPDVFAKLL -LSVNTSYWVCDETALVVTRQFVKKDVNVVITENGRDFTNISLDSSKTFEEQLGVCTVANTDVTTSVVTPV -EDVVQVAPVVDWKQFYGFEGADVFQTLDHSAYAFENKLVEGKRVLKTSDNNCWVNATCLQLQYMGAQFTT -QGLSNMWDSYIVGDVASFVHWLYWLVGLKKNDRGDSESVLLRLSTHLKQSGRFVVERVTDSECCNERRVV -NGAVVSASVLKNGSLDGFCKHGDSYTARIAAVSGTGVVVNVDKPDVVPKSMLIDGISYTTFVGDVGQGHY -AVVDKNAKLSIDGDEVKPAALSSVSVTAVVVKTTVFSRFVKPVKKDTVSGLEKLNDAANSFFSLGDVVSK -NIIMFFVWLTSMFMLLFKAFKRQDFSVIALAPERTGVIFKRSLKYNCKAAAKYISAKWTTFKLLLKVFAG -IYVAYALLFLLIRFGPLNSYMCDDHVAGYANSTFVKDDYCSGIVCKICLYGYQELKDLTHLGVQWEFVSH -PLLSSLMPACYMLFLLCFGNTFMRLFMCYFVFQYINVVGTTLGLQDSIWALHLVPFDIFCDEIVVAYVVY -VILAFLKHIFFGCEKPNCIACSKSCRMTRIAVQTIVNGSSKMVYVTASGGNKPFCKKHNFFCVNCDSYGT -GNTFINEHVARELSNVVKTSVQPTGEAYIVIDKVEFQNGFYYIYSGETFWRYNFDITEAKYGCKEVLKSC -NILSDFIVFNNNGSNVTQVHNLCVYLSQLLCKPIKLVDSALLSTLSVDFNGALHSAFVELLTNSFNKDFS -DCATMTDCKNLLGFDVSDEDFYNTVSDAHRFNVLMTDTSFNNLITTYAKPEEKLATHDAAVCMRSGAKVV -NHNVIVKENMPIVWSARDFHALSEDCRKYIVKTTKQKGINFMLSLNETRMHTTVPAVNFVCKKGGAVGAR -SWFFIIVGLVLTLYFSVGFINFTDTASSFEGFDFKYIENGHLRDFDKPLDCVYNVFDNFAAWFESKFGFV -PSHSVKCPIIVGVLDDVRTVPDVPAGVKLVGKTLVFGVKTIFGDSGNCYDLQGVANVASCLFTSACTILT -GIGGTHTYCYKDGVMDGARTYSELLYNTHYKLQDGQYVKFPEVLVKGVGFRVVRTMATTYCRVGQCVDSK -AGVCFGADRFLVYNSEAGADFVCGTGLLSLFYNIFSMFSNSFSVAALSGQIVFNMIVAALAVFICFTVVK -FKRMFGDMSRGVCVVVATVVINNVSYVVTQNSLGMVAYALVYFLATRGVTYSWIWYVGYVVAYFNIAPWW -LVTWFLVVGLTGIVPSFLKLKVTSQLFDGDRFVGTFDNAAMGTFVLDMRSYEKLVNSIPADKLKQYAANF -NRYKYYNGGANEADYRLACFSHLAKAMMDFGANHADMLYTPPTISYNSTLQAGLRKMAQPSGIVEQCIVR -VSYGNMVLNGVWLGDEVICPRHVIAESTTTLIDYDKAFSLIRLHNFSVSVGNIFLGVLSAKMRGALLYIK -VNQSNVNTPNYSFKVLKPGDSFNILTCYEGVPSGVYGVTMRTNYTIRGSFINGACGSPGYNVNNGNVEFC -YFHQLELGSGCHVGCDSNGQMYGGFEDQPTLQIEGANRLHTPNVLAFLYGALLNGCNWWLTSDRMNLEAF -NDWATSNDFTPVTSIDTYTILSAKTGVDVQRLLAAITRLTKGFGGKKVLGYSSLNDEFTIAEVIRQMYGV -SIQSSKKARFAGNLLVMGLFMFMFWSELLSYSLVFWINASVMTPIFMLLCGFSVFATGFLKHKLLFLYTF -LIPTVTLLSFINLSWGYYLRAFLANVMDYHTSIMSFDMQGVVNVIVCFVVSSLHLYRFSTSTVGSVATLF -MSLGATVYNYFYVTDYTALAMMFLLNMSNTWYLGAVVYKIATFVTPYVPVVVIATFGSTKTTLLVYVTLG -YFCCVYYGVCYWVNKLLKFPLGVYDYKVSAAEFKYMVANGLNAPRNVLDTLYLSFRLMGVGGERTIKIAS -VQSKLTDLKCANVVLLGCLSSMNVSANTKEWAYCVDLHNKINLADDPEKAQEMLLALLAFFLSKQKDFGI -DELLDSYFSNNTLLQSVAATFVNMPSYIAYENARSQYEDALNNGSTQQVVKQLKRAMNIAKSEFDKEASV -QRKINRMAEAAATQMYKEARAVNKKSKVISSLHAMLFSMLRRLDMSSIDNILTLARDGTVPLSIIPTACA -TKLTVVCSDLESFSKVVFDNCVQYAGVVWNIIDIRDGDGKVVHLREVTRDNVETLAWPLFIGCERMVKLQ -NNEIMPGKLKQKAVRAEGEGVVCDGKALYNTEGGKTFMYAFLADKPDLKYVKWEFDGGCNVIELEPPCKF -AIDTTNGTQIRYLYFVKNLNTLRRGAVLGFIGATVRLQAGKQTEIAANSGLLTLCAFSPDPAKMYLDAVK -SGIKPVGNCVKMLSNGAGNGQAITVGVEANTNQDSFGGASVCLYCRAHVEHPAMDGRCQFKGRYVQIPVG -TVDPIRFCLENKPCTVCGCWMNNGCTCDRTSLQTVDQAYLNRARGSSGARLEPCNGSDTDHVVRAFDIYN -KDVACIGKFLKVNCVRLRNLDSNDAFFVVKRCTKSVMDHEQAIYDTLKFCNALASHDFYVWKDGRSIYGN -ISRQNLTKYTMMDLAFALRNFDERNCETLKEILVLTGACDSSYFDNKNWYDPVENEDIHRVYASLGRIVA -NALLKCVQVCDAMVEKGIVGVLTLDNQDLNGDFYDFGDFSFTIAGMGVPCCTSYYSYLMPVMGMTNCLAS -ECFVKSDIFGSDFKTFELLHYDFTEHKEKLFNKYFKHWGQTYHPNCVDCHDDMCIVHCANFNTLFATTIP -ITAFGPLCRKVFIDGVPLVTTAGYHFKQLGIVWNKDLNTHSTRLSINELLQFVADPALLVASSPALVDQR -TCCFSVAALGTGMTSQTVKPGHFNREFYDFLRGQGFFEEGSDLTLKHFFFAQKGDAAIKDFDFYRYNRPT -MLDICQAKVTYNIVKRYFDIYDGGCITAREVVVVNLNKSAGYPFNKLGKAGLYYESLSYEEQDQLYAVTK -RNILPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTRNASVVIGTTKFYGGWDNML -RTLIDGVDNACLMGWDYPKCDRALPNMIRMISAMILGSKHVNCCTSSDRFYRLSNELAQVLTEVVYSNGG -FYFKPGGTTSGDATTAYANSVFNIFQAVSANINRLLGIDSNTCNNLNVKNMQRRLYDNCYRASNIDDEFV -NDYYCYLRKHFSMMILSDDGVVCYNKDYADLGYVADINAFKATLYYQNNVFMSTAKCWVEPDVNKGPHEF -CSQHTMQVVDSNGKYFLPYPDPSRILSAGVFVDDVVKTDPVILLERYVSLAIDAYPLSKHENVEYRKVFY -ALLDWVKHLHNTLNQGVLESFSVTLLEDQSAKFWDEAFYASMYEKSTALQAAGLCVVCGSQTVLRCGDCL -RRPMLCTKCAYDHVVCTSHKFILSITPYVCNASGCTVNDVTRLYLGGLSYYCVDHKPQLSFPLCSAGNVF -GLYKSSATGSPDVDTFNILATCDWSDVAHYKLANTCKDSLRLFAAETVKAXXXXVKSSYACATLREVLGP -KELLLSWEPGKARPPLNRNSVFTCFQISKDSKFQVGEFTFEKLDYDSEAVSYKASTTNKLIPGMIFVLTS -HNVMPLRAPTMANQERYSTIYKLRPAFNIGDAYANLVPYYQMIGKQRITTIQGPPGSGKSHCVVGLGLYF -PGARILYAACSHAAVDSLCVKAITAFNVDSCTRIVPARARVECFNGFKANNNSAQYIFSTVNAVPEVNVD -IVVLDEVSMCTNYDLSIVNQRVSYRHIVYVGDPQQLPAPRTMITKGILEPKDYNVVTQRMCAVGPDVFLH -KCYRCPAEIVRTVSELVYENKFQPVHPDSKECFKLYCKGSVHVDNGSSVNKRQLDVVRMFLARNQKWSKA -VFISPYNSQNYVASRVLGLQTQTVDSSQGSEYDYVIYTQTSDTAHACNVNRFNVAITRAKKGIFCIMCDK -NLFDSLKFYELKLSDLQAGETCGLFKDCYRGNDSLPPSHAPTFVSLSDSYKTDKDLAVQIGHTGPIKYEH -VISFMGFRFDVSVPGYHNLFCTRDFAMRNVRGWLGMDVEGAHVCGENVGTNVPLQIGFSNGVDFVVQPEG -CVITAEGNKITAVKARAPPGEQFTHLIPLMRKGQPWPVVRKRIVQMCCDYLAGLSDVIVFVLWAGGLELT -TMRYFVRIGPVMRCHCGKEATCYNSVAHAYYCFKHALGCDYLYNPFVIDIQQWGYTGSLSFNHHQHCNVH -HNEHVASGDAIMTRCLAVYDCFVKNVDWSIVYPFISNEHAINKSGRVVQSHVVRAALKLYNPTAIHDIGN -PKGIRCASTPISWYCYDKQPLNDNVKLMEYDYIVHGQLNGLCLFWNCNVDMYPEFSIVCRFDTRCRSVLN -LEGVNGGSLYVNNHAFHTPAYDRRAFAKLQPAPFFFYDDSACDIVQGETNYVPLRSSSCITRCNVGGAVC -SKHSNMYHAYVNAYNTFTQAGFTVWVPKSFDLFNLWQTLLDANLQGLENIAFNVVKKGSFVGAEGELPVA -IVGDRVLVRAGVADDVVFSNKTSLPTNVAFELYAKRKVGLTPPLTILRNLGVVCTYKFTLWDYEAERPLT -SFTKGVCSYTDFEEDVLTCFDESVPGSLEKFTLAKNAVLFSTRAIKKLKGFKINYGFLNGVPVCSHENKP -VCWYFYVRNDSVNLDYVDGYYTQGRTITTFQPRSQMEEDFLSMDESFFISKYGLEDYAFEHVVYGDVSKT -TLGGLHLLISQVRLGRMGVLAVDDFVSSSDSTLKSCTVTYANDPSSKMVCTYVDVLLDDFVSILKSLDLS -VVSKVHEVVLDCKVWRWMLWCKDNKVQTFYPQLQSAEWKCGYSMPALYKIQRMCLEPCNLYNYGDGLRLP -DGIMFNTVKYTQLCQYLNSTTMCVPHNMRVLHLGAGSDKGVAPGTAVLRRWLPTDAVIVDNDINDYVSDA -DMSHVGDCSSLYLTDKFDLMISDMYDGRTKAVDGENVSKDGFFTYVNGVICEKLSLGGTIAIKITEYSWN -KRLYELAQKFSYWTMFCTSVNTSSSEAFLIGVNYLGDFNDKPVVDGVTCHANYIFWRNSTMMAMSYNSVL -DLTRFDCKHKATVVVTLKEQDINEVVLGLVKNGKLLVRNTGAIYSYSSQLK - ->YP_009336483.1 ORF1ab polyprotein [Lucheng Rn rat coronavirus] -MANQYVLRIADCTNIHYDRLWSLSRAVTDYGAAAACGFTNCRFVAFGLEHLLYGYDDEHWVLILSGNQVL -NVELGQFKDRPDNICGYLVISDCTYFEETFDLFLGRSRGGIAPNPIYVDQYLCGPDGTPVKKGLEFKDYF -MNGGDIYVEPGKTYVRVWDVERKQDDLTLDGILSIKYLSDKPHKLRDGAIQGVADAVSELNDTNQMVRFI -HAFVSCPCGKSAFTVGSWMGFATVCCGKIVKTPMCVKFSGEAGSIFALPVGSRGFSANGKRSYAGAEFQF -CCELSGVEIWCTTKTFSVDGVCTVGAHLITASDPIYATLFPTNCMLGDDGLSTDYDLCYSIIAKCANDGL -SQRIIRGALNASAQLFSNTVDLFMSAKLWFMEHCTPMFSSAMKYLWDAIKKLACTTKELKRFWDHLCNAK -LYVENHILRFSITTSTKFKNIVGRFLEMLRVSFNILCIDASDRYFCCKDVTGTNLKLFKTLLVSWYESVI -NGAKEAGLTTAKYFTSVTGKLRAVTVRRTEAGGDTVLEEVDDKVDPPPSGICRLINDYAFYYGGGYYFPL -TASDQIIEGAVFKAAGSPLATDDEQPFVEKPPNVKLEFEFDNDLVMSFLRKSLGTSSKGFHNFDDFEEYM -QGKIEKLRQAVAAAEYDFEIPECYIYSCNGTYEMAHTMRISEFSFDDDPIMEELVAVSEECACDDAAEES -GANADDVDDVDSVADETDVADDCVQSDEPVTQESDSVEIDCAPLESTIADDTLYLGRSEFWKNHWNLDCK -PMVSKEVEGMKFWFPAFNEWNCTIRTLAVFVGQMFRLAGDDLKWCDMELQKLWETKSQYLWYKLAVAAEP -DKVERGNEGSYSGNGMDYNTVFRVALRKLKLSNAKACWVCKCGAQVELDGLDACVGHFSKVQCLCGAIMV -ARELKVPFELIAPGYAMGPVDIALSRACVVAAIGYMATAHTSAVVHVGARPLLIDDDNDVAFDYKPLPYY -AYAVLNRGYTVESDDVSFEGGVAQYECNISFAKKPFSKLHTKYQHVLLPGMFPCIEGTNGAKLLTDLGLQ -NLKPGIIGALHEAGSYWCSVTSGTTTYHCLGNPNGFNARDQLRTAISKCPDGSEILFPKVNIRIRDLVLV -CASKKLSFVLADYDADDFNAHVAKVHTKFPVTAIDDRGGYKHLRLRDNNCWANAVFVGLQLCDYYTKDDM -VWQCALRGANSRFLEKLYNANKTFYGSTADAAQALERLLDGDACMTVKLALSCFCGDSTVELRSSVLKFR -PINGFFDYGYCNVCETTIQALILHVEGKGFFCYTATKDSKMLFPGCVGEIVYTGPDQGGHYYIKYGGGYH -DGTGSRRSADARSQVAVYNVDGLPEPLFVRQGVRFYKADFTVMLKFKPACVVNAANSNLSHGGGIARAIN -QATNGELQKLSDRCRNKPKVGSCTAVKCRNFEVLNAVGPRDTDTNVDGLLRSAYDSVRKQGHGLVVTPLL -SVGIFNVPIATSLAQFLDAFDGFTNFYCYVYTDAEAAALYQYFENLNSLTFEAAVSSGDVEPTQITEDVT -VTFGTTDTSSIEEVLAARTNTESDDSDEEIVTVLVTEDTVNYTTTSVSTSATFGEQLGVCAIADTDVTTQ -KPTLENEGCAVVVPPAIDYESYYGFDAASFCAVSHNDYNFGCVIDDGVVALTQDRNNCWLNAVCLSLQML -KPQFKFDGIKKLWDEFCTGDVAGFCHFVYFTSNISLGSKADAEVVLRKLSGFIESDNSVVHMVCTQCVDC -KEDLVRTDGAVIVSSLSRGNVVSGKCEHGYCRNVIVQRITGDCILTSSKPCVSDQYITNVGRILYNGDES -NGHYTFYDCFRGRLYDGSVFTLQGVASVKAPVSSLVVKKQAVVQKRPPIRDVVVAKPQPVKPPIDYVKKF -EDFSVRFFSVGDYIFGNLTIFFFMMLDVFKTCLTSLRKRDIKILARIPKRSGLVFTKSLLYNSKVAASGI -YAKRRWFYIFGIVASAFYIGYSLLFTTLRFSSLCKGWTDGYANSTFIKQDYCNGSLICYECLRGYEELSD -FPHTQVKWSFTYNLSEFALFYHVLTFTIMWVFGNKYVKALLFYFVLQCWNSAMCWFDETEPIWFLNFIKF -SSISAHGFSLFCVFKIACFVKHVVFGCNDVKCLSCSRSAKYDRISCDTIVNGVKRSFYVKANGGKSFCEK -HQFFCQNCDSYGVGCTFINDHVAKEVANVTRTAVRATGPAYVEVDKVTFEDGFYYLYSGEQFWKYEFDVS -SKKFDSENCIKDLSLGADFMVYNDNGTARANALNASVYFSQLLCKPIKVVNRVLLSSLTIDHGSAMHEAY -CKVLHNSFNKDFTRCTDLQNCKSVAGIECRDSTFETGVKLAHKFDILLCNDSANNFVTTYAKAGTRIGTA -DLAVFNRESVREVSHAVLARNKTTIVWSVNVFSKLSGDTQDYIVRTTKAKGLLFLLTFNDHVNTQNLPCT -MVNSKSAGFPNPMRLWRFGLARTCCYMILAAAFAYCAVWVSSINFKPATFDSVPEYDFRYIEDGAMKFFS -KELPCVYNLYKDFPDWHKQRFGSVPTFSSSCPIIVGANDLNTNVVPNVASNVALSGRILVFTYQTLFGHS -KLCFNDAYNSNMAKISAGDCERAAIFPSACTTLQGVGGRQVYCFTDGLYAGAKLYSDIMPHLRYYSDVNN -YVMLPEVIMRGFGFKITRFLEETYCRVGECAKSNAGICVSADEWYVYDKDLGDSYVCSKDFKGLVWAFLS -VFNANIGTVLLTGQLTFNAFIACAIVGICYTFVKFKRIFGDMSTLVLMVLAATFINSMSYLLTVNFLFLM -LYTCVYFISTRKVHFPMVWDFMYVVAYVFVAPWYVIVGYVLVLLCDCMPSITKLKLSTNLLEGDKFVGSF -EHASRGTFVLNAHSCAKLVNEIGQEKLDRYASSYARYRHYSGNPNEADYRAACFAWLAKAIKDYQMSPQD -KLYCAPTVSYNSVLQSGFKKIAQPSGLVEPCVVKVTYLNSYLNGVWLGDQVYAPRHVIASDVTKIVDYDT -EQNLVRSHNFSISRGNSYLTVKGFRFEGCNVVISVVEVNPFTPEHKFDTLKPGDNFNILACYDGIPSGVY -GVTLRHNSTIKGSFVNGTCGSPGYVISNGVIKFCYLHQMELGSGAHVGSDFNGKMYGGYQDQARIQVEGA -NKLITENVIAFFYAALLNGERWWCSKDSVCVTNFNSWAADNHYTMLSTTDVFNLVASKTGVSVEQVLAAI -ISYAKGFGHRTILGYASINDEYTITEVMQQMFGVQLQSSRVKKVFEGFTLFFFFVALFWTQFLMYTSVSI -LQWEVIISVLVGLTCASAITIVFIKHKMVFLYGYVVPATLVVVFSNFLWDYVVSAIIMEHASFMSAYFSF -DVQSVFNIFMLSFVLILHLARFYCSGGALITCLFSCAYTVGLFMYFVKIDVLSAFFMFISGIQCSWAITW -SSYKIAVYAMSYVSPYYIEVFGYTKVLMVLYLCMGYLFCVYYGILYWVNRFTMNNFSMCLGYYDYCVSQA -EFKYMVANNLKCPTNPMEALYLNIKLMGVGGPKIIKLSTIQSKLTDLKCTNVVLLSCLSSMNVAVNSKEW -SYCVQLHNDINLCDDPEKATEKLLALCSFFLSKQQNFNLDALIDSYFDNKAILHSVASTFASMPSYIAYE -KAKMDYELAKQNGTSDQVVRQLLKAMNIAKSEFDLELSVQRKLNRMADNAAAQMFKDARNVDRKAKVVSS -MHGLLVGMLRRLDMSSITELMDLAKDGILPLAVIPAAASNRLIVVTPSIEAFDKIRHDNSICYAGAAWAI -TAIKDVDGTTVQLAEVCSNNDKTLNWPLHIEAERVVKLQNNEITPGKLSQRVVNTDCGTGKALYCNEQGK -GFIYALLADSPDITYIKWDNGLGDDMVIELEKPVKFSVQSPNGPQIKYLYFVKNLNTLRRGAVLGFIGAT -VRLQAGKPTEYATDCQLLTLCAFAVDPKDAYLTAVRQGHKPLSNCIKMINNGSGNGLAITHRVEANTVQD -SYGGASCCLYCRASVEHPGMNGICNLRGKYVQVPTGTTDPVRFVLENEVCSVCSCWLGHGCVCDRSSVQS -AIIDQGYLNRVRGSSDAQLEPCSEHHVVRAFDIYNKDVSCITKFPKINCVRFRNTEKHDAYYIVKKCNAR -VMDYEQSIYNKLVHSNALAVHSFFPYKEGRSIFGNITRHDLTKYTMMDLCFALRNFDEKNCDVLKEILVL -TNCCDEKYFDNPNWYDPVENEHIHVVYYKLGIVIANAMLKCVALCDAMVEKGLIGVLTLDNQDLNGNFYD -FGDFVEGPEGYGVPCVTSYYSYMMPIMGMTNCLAQECYMNSDIFGKDFKRYDLLMYDFTEHKEALFKKYF -KYWDQVYHPNCIDCVDDLCLLHCANFNTLFATTIPPTAFGPLVRKVFIDGVAVAVTAGYHFKQLGLVWNN -DINVNNSKLTFNDLLRFVTDPAILIASSPALIDQRTCCLSVAALSTGVNYQIVKPGYFNKEFYDFLLERG -FFNEGSDLTLRHFFFAQNGAAAITDFDYYRYNHTTMLDICQARFVFKVVGKYFECYEGGCIMAKDVIVTN -LDKSAGYPLNKFGKARLYYESMTYEEQDALYAMTKRNVLPTMTQLNLKYAISGKARARTVGGVSLLSTMT -TRQYHQKCLKSIVATRNATVVIGTTKFYGGWDNMLRTLIDDVENPCLMGWDYPKCDRALPNMIRMASAML -LGSKHVSCCSNMDKFYRLSNELAQVLTEVVHSNGGFYFKPGGTTSGDASTAYANSVFNIFQAVSANVNKL -LAVDSNVCRNIAVKELQRAIYDNCYRTSSISEECVDNFYHYLRKHFSMMILSDDGVVCYNKEYADLGYVA -DINAFKATLYYQNNVFMSTSKCWVEPDINVGPHEFCSQHTLQIIDGDGKYYLPYPDPSRILSAGVFVDDI -IKTDGVVLLERYVSLAIDAYPLSKHGNPEYRKVFYTMLEWVKKLSNDLNKGVLDAFAITMLEDTQAKFWS -EEFYASLYEKSSVLQSAGLCVVCQSQTVLRCGDCLRRPLLCTKCAYDHVMGTHHKFIMAVTPYVCCHAGC -NVNDVTKLYLGGMSFYCVDHRPKLSFALCSNGNVFGLYKAMATGSDEVFDFNRLATTDWSNVDVYKLANT -CSNSLKLFAAETVKAKEESVKSSYAIATLKEIVGNREIVLQWEASKTKPPLNRNSVFTGYYINKDAKFQM -GEYTFEKSDYGNDSVFYKANTTTKLIPGMIFVLTSHNVAPLRAPVIVNQERYTSICKLYPSFYIDPAYSS -LVPHYQLIGRQKITTIQGPPGSGKSHTMVGLGLYYPSARVLFTACSHAAVDSLCVKAAKTFAHEKCTRIV -PAKARVECYSGFKVNNNGAQYVFSTINALPEISVDLVVVDEVSMCTNYDLSVLNSRVAYRHIVYVGDPQQ -LPAPRTLITKGALEPADYNVVTRLMCTIGPDVFLNKCYRCPAEVVNSVSSLVYEDKFKPVNPLSNLCFKL -LFKGAVHHDSGSCVNRKQLDIVKQFLARNPDWSKAVFISPYNSQNYVAARVLGLQTQTVDSAQGSEYDYV -IYTQTSDTAHALNVNRFNVAITRAKKGIFCVMNDANLFNALEFQEITTTDLQSVLSGLFKNCIRKGHDLP -PNHARSFLDLDSRFKVTDELAVHIGSSEPTYEHVLSWMGFRFDACPKGYHTMFCTRDFAIRNVRGWLGFD -VEGAHVCGDNCGTNVPLQLGFSNGVDFVVQPEASYATQHGILVKNVKARAPPGEQFTHLVPLLRKGQPWA -VVRKRVVQMVCDHLKDLSDVVVFVLWAGGLELTTMRYFVKIGPPRNCHCGRVAQCYSSNAVAFSCLRHAV -GCDYLYNPYAFDIQQWGYTGSLSSNHHRYCNVHFNEHVASGDAIMTRCLAIYDCFVKDVHWDITYPYIAN -ESDINAAGRYVERHLMNTFVKLYNPKAIYDVGNPKGIRICDFKGSWYCYDKEPTNNNVVKLEYDYTVHGQ -FDGLCLFWNCNVDMYPGFSLVCRFDTKHRSPLSLEGVNGGCLYVNKHAFHTPAFDRRALAKLQALPFFFF -DDSDCDVVSDAESSEVDYVPLRSNVCITKCNVGGAVCKKHASLYKTYVQKYNEFTQNGFTLWGPQNFDLF -NLWQLVSKPILQGLENLSYNLLKKGTFVNMPGELPTAIINDKVFVREGVADNLVFTNNTALPTNVAFELF -VKKKLGLTPPLTLLRNLEVTAAYRFVLWDYESDRPFSNFTYECCKYTDVNHPYNLCYDGSMQGSLERFIS -CDDGVLFQTQALKGKTAIHLNFGYLNGVPVSTTDVEVDGEIVKKKVDLYVYVRKNGQFVDQFDGFYSQGR -TVSTFKPRSTMESDFLELDTDLFINKYGLQDYGFEHVVYGDFSKSTIGGLHLLISQIRLARIGYLKIDDF -GGNSDSTVKCCSVTCIENSSKVVCSYVDLLLDDFVTILKSLDLSVVSKVHDVVIDGKPWRWMLWCKDNKV -STFYPQLQSSEWKCGYSMPPLYKIQSMILAPCSLYNYGKSIKLPEGIMFNVVKYTQLCQYLNTTSMCVPH -KMRVLHLGAGSDKGVAPGTAVLRNWLPEDALLVDNDLYDYVSDADISYTGDCCSMYLDDKFDLVVSDMYD -GKTKQIDGDNVSKDGFFVYINGVITERLSLGGTVAIKVTEFSWNRKLYELIQRFEYWTVFCTSVNTSSSE -GFLIGVNYLGNFCDKPIIDGCTMHANYIFWRNSTVMALSYNSVLDVNKFRLKCKATPVLSLKDGSFTPLV -LTLIKNGKLIVRDTGVVVSFSNHLVNLTK - ->YP_009256195.1 ORF1ab polyprotein [Ferret coronavirus] -MVFKHFRILVNEDQEITPRGYENRLDCQRALKQCWLSGFNGYVFVPEYSRDLLTGCREQWYVFGVFGAND -YVIKPCMLEEQSVNLQGFIVLSDANGVEEDFYLSLSTYGGMTVYVDQYMCGADGRPVISGEMRDYFGDLD -DIVIDGIIYSHAWDVERDLDVTHDKQTFLNIKSIMYLQDKDHKLPNGAIRVRAAPVKLSSKVVLSQPFAD -MYKHFGSPYMQNGDNLHGCFTKLNFIVANVKCKCGGESSGVGDWTGFKSACCGLPGKVIGVSLGNAAVGE -AVVTSKGCGNGTKFYANAVLKHVGDADDVSIWRVMATYAKDIVATNTFDKCRLELLDHTSDSTLATSVKK -CYLTGKPNKDVVDAIVAGHINVSSNIFGVVKELFANVPWLLRSVVNCFLQHGSLFVRFLSSGGHSYVVVR -DTIKMLCSAAFTIQGLKPVFVISSAASSLVKSCISILESVFDMFTQTVEFFGVTAKFLLLGCKYILFENA -LFKLETLKLSGKRESGLKDAVFASAIVGSTIEVKPSRFEKSTANLVVVEDVVMPGEGHAVVVGDMAFYRS -GDYYFMMTSPTSVLTTTVFKAEKANVYKVDYNVPDAYKGKLVSAIGTSYSVDGSIDDAVSKLNTALQVYY -DNALCFRAFSLKETIVVEPFQHKYKMPKCLKNHTGLWEIILSGSKDLGFFDKYKALESLADIYDTNDYAN -LVCPKLLHKLDNGIMWYRCMKGVTGPIVTRAACSFVGGCVKVCLEGFDSVAARVEVCYQKLCGIKTFDLN -VAGIVFAGFKTPSGKTFLDFGDDAIELFDDEPVRCFTGATVPVNVSDFEDDVRGDVVLEECEYQPPRDEG -IVVNLGGYTFYTTDNEKFYPFGDCKVVPKLYNKAGGGKNVTFSDDVEVREVDPVFKVKVTFEFDDDTITG -VLNTVIGNTMTFEGDSWETLENLLTTQVDAVFKQLAQAGLTLPDYYIYDSCGGFDVHCPEGIMVSQYDLS -VDSNIECVEDACNLEYVEEVSDYCPSDDDVDEDGCVQTVSVFAFDNSTINGKVVLKQSQNNCWINAAGYQ -LQCLNVLSSEEFRQYCVGDVESLVRLMYDMTNRKVGDLGEAEYVLELCLGGLETAKVTLSAQCDCGIVED -VIAGCAFRMCCTDATFDYGMCGTCRVIKKTTIVKVEGTGVFLHEPKTYKPLVKPVCKAIFKGDTDGGHYM -VHDVEAKVLVDGFGLHPVKNLPFTSVCFVNANYVRRAKATKSAAKNYPWAAAVDSQEILSVPTETRNDVE -LVSTTNDVALSDVTPTEQVPTIKPFFETGLIKFYRGDVKMLVGAIKPKVLVNAANPHLQHKGGVAKAIDD -FTAGALSLESTNYLKHYKPIPSGNVVVFNDVFAGLNIANAVGPRVSEERYEQKLASVYRKLAKVEGPMLT -PLISCGIFGVPLEQSLKALIKAFKSISVSVFVYTEEEQRKVLQYFETPVTVLLEDGPSVQKVVLEPVVAI -DSQLASKVVNKNVLCDGVYPDANFDHEVLTKVDDVDWSSYYGFANADTFSALNHDEFVFESTIVDGFVVF -KQTDNNCWVNATCMLLQNLKPTWRFKGMEDLWSKFVSGNTAPFVHLLYFIIKAKRGDPNDVEFVLHKLEP -LLCESGSVTLDNFKGCDICYKPSVVTGAVVAAPLQAKGDNMVCTHGKSVTTRVTKVQGSVVLTSTCGVVS -NSIKGDGYVCFNGSASSGHYTYSSRNGTVYDADKTYTFKVDDLSVTSVLMLTGYKLPVVHAPSVPKSASN -VSKPDTMQKLDEYANMFFSFGDTLLKFCVTIFKYLLCFYFFFLERCTKSKRLKVKIKPPFALKPLDVKLR -ALNSVKLLTNTKFWFYLKFLLGLLLLYNLLYVIVSVPFIHRFACASYTKAYANSSFVKADVCTRSVLCKA -CLASYEELSDFDNLKVIWDYKSDPLWSKMLQLVYFGALMVFGNNVLRVAMLYFVAQCLNTWFSYYGLVNY -SWLLHIVNFETVAAEIVVLMVVVKGFFFLKHYWYGCDKASCLSCSKTAKQKRIPVSVIVNGSMKTIYVHT -NGGSKFCSKHNFYCKNCESNGVGNTFICHEVVRELSNIVKHTVHATDVAFKEVDKVECSEGFYRLYTGDE -YTRYNYDITDKKYSCKEVLKSLQLIDDFVVYDPTGTTPANLHNACVYWSQLLGKPIKLVNRDLIQSLTVD -FNGVLFDAHRRVISNSFNVDVADCKTLRGCYEACKTDVPYNVFEDVVNNAHKYDVLMTDISYNNIWLTYA -KPEENLSTFDIANCIKAGAKVVSHNVLIKENVPIVWYAKDFISLSNEARQLLIKTSKAKGVTIMLSFNNQ -PMSHTLPTVAIGRKSGSGFFDVYREFKQVIMLIVAVLLAWGLCCIYDGYTPARVSSAPGYDFMVIRSGKI -QSFDDSITCVHNVYNEFPSWYLGRYGKSLSYAKNCPIVVGTVFDIIDSMKPVPDVPAYVTLVGRSLVFAI -NAVFGNTDLCYDHQGVAKSRDSVFDNCVFNAACTQLVGMGGTAVYCFKDGVMLGAHKTYAELIPDTHYML -RDGNTLKLPTIIRGFGLRIVQTLATTYCRVGECTQSKQGFCVGLDNWFVYDKAFGEGYICGDSVFGFVTN -VFKLFNQNLSVVATSGYMLTNMLIALFAIAVCYAFLKFKRVFGDCTMFVSMIIVTLFINNLSYFFTHNLV -LVVVYAVAYYFLSRRLPYPGVMDAGFMLAYVGMAPWWLFVGYVLLFFYDSIPSFFKLKVSTNLFEGDKFV -GNFESAAAGTFVIDMHSYQVLVNSIPMERLRSYASTFNKYKYYTGSMGEADYRMACYAHLAKALMDYGNN -RNDMLYTPPTVSVNSTLQSGLRKIAQPSGVVEPCIVRVAYGSTVLNGLWLGDEVICPRHVIASDTSKPIN -YDTELLGVRLHNFSISKGNDFLGVIGCSYRGVNLIIKVSQNNTLTPKHKFKTVKAGESFNILACYDGKPN -GVYGVNMRTQGTIKGSFINGTCGSPGYVLDGDIVNFVYMHHLELGNGSHVGSNLEGAMYGGYEDQPSMQL -EGVNVMSTDNVVAFLYAALINGERWFVSNAAVALETYNNWAIANGFTELSSADSFSMLSAKTGVSVEKLL -DAVMRLSTSLGGKTILGYGSLSDEFTTTEVVRQMFGVNLQSNSVKSWFYPIAITVVCMFAFWTEFFLYTP -FSWFGPASIGCLLLMTVVVSALLTIFVKHKMLYFMSFLLPSVILMTVSNLVWDSLYIAAVQAKLVEVNMS -LIAVDMQSVALMVLCLLVAIVHCYRFCTQRQSIPVFVVTLCFVFYNFASQWYYYLRGLDMGVNLQFGYVN -LGMMLVCLMTKDWIVVAVAYRVAYYIVLYILSPDVVNDFGLLKCLCVVYMLLGYCSCCYYGVLYWVNRFT -HMTCGVYQFAVSAAELKYMTANNLTAPRNAYDAMVLSSKLVGIGGNRNIKIASVQSKLTDMKCTNVVLLG -LLSKMHIEANSKEWNYCVNLHNEINLSDDSDVVLNKLLALLAFFLSKHNSCDLSELIESYFDNPSILQSV -ASAYANLPSWVAYEQARDAYMEGKKNDLAAPVIKQLQKAMNIAKAEFDREASVQKKLDRMAEQAASNMFK -EARAVDRKSKIIGAMHSLLFGMLKKLDMSSVNTIMEQARNGCLPLSIIPAASATRLVVVTPNIEVFSKIR -LDNNVHYAGVVWSIVEVRDANSAVVHLKEVTQHNEQNMCWPLTVTCERVAQLQNNEIMPGKMKERAVKAS -ATMDGDATVNGKALFAAEGGKHFMYALVSSDGNLKYVKWEGNSDVITIELEQPLRFYVDGPNGPEVKHLY -FVKNLNTLRRGAVLGYIGATVRLQAGKQTEHPSNSSLLTLCAFAPEPAKAYVELVKKGMQPVNNCVKMLS -NGSGNGMAVTNGVEATPNQDSYGGASVCIYCRSHTAHPSLDGFCRFKGKFVQVPTGTVDPIRFCIENEVC -AVCACWLNNGCVCDRTSMQAAVVDQSYLNRVRGSSGARLEPCNGTNPDCVTRAFDVYNADVACIGKFLKT -NCSRFKNLDLHDAYYIVKRCRKSVMDHEQVCYNALKHSGAVASHSFFEYNEGRSIFGNVCRRDLTKYTMM -DLCYALRNFDEKNCEVLKEILVLTGCCDSSYFDNPDWYDPVENEAIHVVYAKLGRVVSNAMLKCVALCDA -MVEKGLIGVLTLDNQDLNGNFYDFGDFVFTVEGYGCACVTSYYSYMMPIMGMTSCLESENFVKSDIYGSD -YKQFDLLAYDFTAHKEMLFEKYFKYWDRPYHPNCSECIDDACLLHCANFNTLFSTTIPVTAFGPLVRKVF -IDGVPVVVTAGYHFKQLGLVWNKDVNVSNQKLSMNDLLRFVTDPALLVASSPALLDQRTVCFSIAALGTG -VTYQTVKPGHFNKEFYDFVVARGFFAEGCELTLKHYFFAQGGEAAITDFNYYRYNKTTVLDICQAQFVFQ -LVNKYFECYDGGCITAREVVVTNYDKSAGYPLNKFGKARLYYETLSYEEQDELFATTKRNVLPTMTQMNL -KYAISGKARARTVGGVSLLSTMTTRQYHQKHLKSIAAMRNATVVIGTTKFYGGWDDMLKNLMRDVDNGCL -MGWDYPKCDRALPNMIRMASAMVLGSKHVGCCTHSDRFYRLSNELAQVLTEVVHCTGGFYIKPGGTTSGD -GTTAYANSAFNIFQAVSANVNRLLSVDSNTCNNYNVKSLQRKIYDSCYRASVVDPSLVDEYYAYLRKHFS -MMILSDDGVVCYNKEYADLGYVADISAFKSVLYYQNNVFMSSSKCWVEPDLSVGPHEFCSQHTMQITTPE -GNYYLPYPDPSRILSAGVFVDDIVKTDNVIMLERYVSLAIDAYPLTKHPKPIYQKVFYTLLEWVKHLQKT -LNAGILDSFSVTMLEEGQDKFWSEEFYANLYEKSTVLQAAGMCVVCGSQTVLRCGDCLRRPLLCTKCAYD -HVMGTKHHFIMSLTPYVCSYNGCNVNDVRKLYLGGLNYYCIDHKPQLAFPLCANGNVFGLYKSSAIGSAD -VEDFNRLSMSDWSNVEDYRLANNVKESLKLYAAETIKATEESVKSQYACAVLKEIVGPKEVVLQWEASKI -KPPLNRNSVFTCYQILKDSKIQLGEFVFEQSEYGGDSVYYKSTSTTKLVPGMLFVLTSHNVGSLKAPVLL -NQEKYSTISKLYPAFNISEDYSTLVPYYQMIGKQKYTTIQGPPGSGKSHCVIGLGLYYPGARIVYTACSH -AAVDSLCEKAAKNFNIDKCSRIVPMRARVECYNGFKPNNNAAQYLFSTVNALPECSCDIVVVDEVSMCTN -YELSVINSRVSYKHIVYVGDPQQLPAPRTLINKGVLKPQDYNVITQRMCTLGPDVFLHKCYRCPAEIVNT -VSALVYDNKFKPVKGDSKQCFKMYVRGNVQIDNGSSVNRKQLEVIKLFLAKNPKWKNATFISPYNSQNYV -ARRLLGLQTQTVDSAQGSEYDYVIYAQTSDTAHAVNINRFNVAITRAKVGILCVMCDKPMYDGLNFYEIT -DVNLQSKGEGCGLFKDCSKAERYIPPAYATTYISLSDSFKTSDGLAVNVGTKPVTYASVISYMGFRFDVN -IPGYHTMFCTRDFAMRNVRAWLGFDVEGAHVCGDNIGTNVPLQLGFSNGVDFVVQPEGCVVTENGNGIVP -VKSRAPPGEQFAHLIPLMRRGQAWSIVRRRIVQMVCDYFEGLSDIVIFVLWAGGLELTTMRYFVKIGEQR -KCDCGIIAACYNSAQCTYRCMKHALGCDYLYNPFCIDIQQWGYTGSLSMNHHEICNVHKNEHVASGDAIM -TRCLAIHDCFVKRVDWSITYPFIDNEESINKAGRVVQSHVVRAAISVLNPSSIHDVGNPKGIRCVTTSLP -WFCYDKDPINSNVRCLEYDFITHGQMPGLMLFWNCNVDMYPEQSIVCRFDTRTRSKLSLEGCNGGALYVN -NHAFHTPAYDRRAFAKLKPMPFFYFDDSTCDVIDGQPNYVPLKSNVCITKCNIGGAVCRKHAALYRKYVE -DYNMFVQAGFNIWCPTNFDTYTLWHSFVNKKALQSLENIAFNVVKKGAFTGLSGDLPTAVVADKIMVREG -PTDRTLFVNKTSLPTNVAFELYAKRKLGLTPPLTILRNLGVVATYKFVLWDYESNCPFSNFTKDVCGYTD -LNDDVVVCYDNSIVGSYERFTMCKDGVLISNSAIKGLSPITLNFGYLNDLPVSTVGNKPVKWYVYVRQNN -TYVEQLDGFYSQGRTFSTFKPRSKMEEDFLAMDTTTFIQKYGLEDYGFEHVVFGDVSKTTVGGMHLLISQ -IRLANMGLFSAQDFMMNSDSTLKSCCITYADDPSSKSVCTYMDILLDDFVIIVKSLDLSVVSKVVDVVID -CKVWRWMLWCENASIKTFYPQLQSAEWKPGYSMPNLYKIQRMCLERCNLYNYGASLQLPDGITTNVIKYT -QLCQYLNTTSMCVPHKMRVLHLGAGSHDGVAPGTSVLRRWLPDDAILVDNDVRDYVSDADFSITGDCTTM -YLEDKFDLLISDMYDGTTKAIDGNNVSKDGFFPYVNGFIKEKLSLGGTVAIKLTEFSWNKELYELIQRFE -YWTMFCTSVNTSSSEAFLIGVNYLGEFSEKPIVDGNVMRANYIFWRNSTVMALSYYSVFDLTKFKCKFNN -ALVVNLKESDINVMVKGLIKGGKLLVRNNGKLLNFGNHLVNV - ->YP_006908641.2 ORF1ab polyprotein [Rousettus bat coronavirus HKU10] -MASNQLTLAFANDSEISAYGFDTVEHAVAQYSAAAANGFAQCRFVITGLQDLVVGVNDEEFVLVVTGLHQ -LTARIGKFGDQPQNLRGWLLFSNSNYFLGELDLIFGNRGGTTVFVDNFLCGANGLPACSEQEWEFKDYFG -ELDEVIINGTTFVKAWNVDRTPGPYSTQNLLSINSISWCGEIPHSLADGSIRRVAKVVKKSKSVLLGKQF -QQLYDSVGSPFVTNGKNLTDVLVKPIYCHALVKCQCGKTSWTVGDWSCYKSMCCGFNCKPLAIVNGEVVP -GDVLVTSDKVSASGTKYYNGMVLKFVDKIDNACLWRITQVQSCMDVVASGNYKGDEFIYHTDDICSPTNM -SKISHAFKLCYFTGSYTDEVKSALLHGEFDVCTNICDVSDHSIHKPWFVREMEELLGGAWDNFVKAVKSL -SVLNSQLFDLMSGLAKATIRVVNGCIEFCANIPTCFNDAFECLKSFVETLFDLTVNTVTFAGQSFKAVGD -YVLLNNAIVKFVSVKVKGLHQDGIKSTMYATTVVGKTAKVKSRRIETCNANLSVVPDQALVKDGVTKIIG -GRSFFCSGGCYRLMSDVDTVIMSPVYQAVAPTEVVFNCVKPAGFVDPVCSTLPELVSDVTKQLLPLNKPY -RFYDVKVKDGMCIVTQETTFRAPSYIANSALFVQLCEECVDVQGFDDFYVKAHEATSLSQFEPMFPAFQQ -FVKSVDCPQILKDIDGGGIWKTFIQTVSDAVEFVKSIKVDFGLDGIIITATKRFKKFAGILLNLYREFIA -SVTSVVKIGGKSFTYYLFEKPKMAISGILHNVRVLKAKDCTIAAEDILVEMTVFDSFEIPIQPSRVEVQQ -VEFEEAEFVQPQAGGVLAVVNKHVFYNKGDYYYPANETHAVPVCYKRGSGTNGTVVKFSEAVQVKEIEPV -HRVKLEYEFEDETIVEICKKVIGKRYKFTGTEWEELCSYIHSVMKTVSDHIEVPEYFVYDEEGGNDFTKV -VMVSQYSIADEQPENVGQTDVLKEEQTVEATSKSQVVQPLKQQEPVKPQKSQIEEVNEALSFMLEPVQQK -PKVNPFNFEFYDYKGIRVLKQKDNNCWVSSTLVQLQLLDCYSDPAFDLFKAGSVAPIVQKCYELTRNIVG -SAGDVSFALEQLLKDLHTMFITFDVVCGCNNGERRQSELSGCVFRFLPTSEPFAYGGCPSCDKPLMHTIT -SMQGTGVFCQDPKAVDVTTLLVQPMCASAFIGNWFNNSLGHYKTNVYDKGFCVDGNGSWPITLNTLNTIC -YKDVNYVRPLPVVSDQPIVEEKTKQDELKPFATYRNVEFYKGEISDLVQLKHDFVVNAANENLSHGGGVA -AAINNLTKGLLQTLSDHYVKKNGKIKVGQGVMLHCGKENVLNVVGPRKGKHSPQLLEKAYKFVLKQKGTP -LTPLLSVGIFKVPILESVTALKNVVGDRHVKCFCYSVAEVSEIQKCLDNDVVEPKMEVPQIESETVISVS -QNTEQQAFSHVDRRELKPCRVEGKFSFYDCPIADIAITEPERVVFFTDVKLSFCEAAKALDKHYEGALTS -CVQAYLAETPLIPAGNLLTLKCEGAIPVSFAVLPDTDAANYNKDVKRTLTKVSKLKGKIFCTIPTVDVMK -QMLKCCNTSFIVTDATKTRLSECFESAVVEVKVTHDGRDVHTVKVKTDVVLDEQVGVCSLNNCDLTGVKP -DDAIVDVVSVAPEVDWISYYGFPRADLYHTLDHKQYAYENSDVEGKRVLKFSDNNCWVNTICLQLQFAKA -IFKTEGLQAMWDEFLTGRVERFVHWLYWYQNIEKGQPGDVEYLLGKISRYLVNSGTVTISKDSVCCNSKR -TLTTPVVEASILKSGFDDGVCKHGNACVSRISAVRGTVIVIQTGKPKVYPRAALIDGDTYTTYSGSVEDG -HYTVFETKNSRVYDGDTVSTGNDLSTHAVSSVVVANKLFDDPIEVVEREASSIVNTLDTSAEKFFSFGDI -VSRNCVTFLVWLFSMFSLAFKAFRTRDVKVIAQVPQRTGLILSRSLKYNVKATNKFLQSRTKWVVLFSKM -LLVLSTLYALWFLLLRFGPLHDTVCTSYVEGYSKSNFDKNAFCENLACKTCLYGYEELSDFPHTVTTWTH -LGDPLFKTLLPLIYIAFLLIFGGVVVRGFMLYFVAQYVNQFGVVLGFQDSVWALQLVPFSIFGDEIVVLF -LVIKFMLFLKHVFFGCEKPSCVACSKSARLTRIPMQTIVNGSNRSFYVVANGGKKFCEKHKFFCVNCDSY -GQGNTFINDVVAAEVSNVVKTNVIATGPAVIDITKVEFHNGFYYLYGDDGKTFWRYNFDITEGKYSCKEV -LKNSNVLADFIVYNNVGSNLAQVKNACVYFSQLLCKPIKLVDATLLATLNVDFNGALHAAFVEVLNESFG -KDLKSCSTMADCKTALDIDADDEEFVNGVSNAHRYNTLLSDLSYNNFVTSYAKPEEKLSTHDLAACMRGG -AKVVNHNILVKENVPIVWYAKDFNMLSEEGRRYVVKTAKLKGVNFLLTFNDNRMQTQIPVVSVTTKCGSG -IRRCYNYIWWICAFILCVFLATGFVDYTSMVVSASDYDFRYIEGGKLKVFETPLKCVHNTFANFDNWYNS -KFGFTPSKDKRCPIVVGVSNEARTIPGVPSGVFLFGKTLVFALQIVFGGSHTCYDMTGPAPLDRCIFDSA -CTTLNGLGGERVYCYKNGLVEGSLLYTDLQPNSYYSLPVGGYVRLPEVITSGLGFRTVRTQQTTYCRMGE -CVDSKAGICFGANRFLVYSAESGTDFVCGTGLLSLLLNVFNMFSSSFSVLAMSGQIVFNCVLTCVIIMAC -LLVTKLKRMFGDLSLGVCTVVCVAIVNNLSYIITQNAVMMVVYAILYFLSTRTFKYGWIWHVGYCIAYLS -MAPWWLLFWYMCSALIGLVPSLLKLKVTSQLFDGDKFVGNFETAATGTFVLDMHSYQRIVNSIAPEKIKQ -HAASYNKYKYYSGAASEADYRLACYAHLAKAVLDYGTNHQDTLYTPPTISYNSTLQSGLRKMAQPSGVVE -KCVVRVCYGNMALNGLWLGDVVICPRHVIASNTNALIDYEHEISVMRLHNFSVSVGNVFLGVVSATMKGA -NLHIKVNQTNVNTPEHSFKTIKQGESFNILACYDGMPSGVYGVTMRNNYTIRGSFITGACGSPGYNVTNG -KVEFCYLHQLELGSGCHVGSNLEGVMYGCYEDQPTLQIEGVNHLVTPNVIAFLYGALLNGITWWLNSDKV -SVESFNEWALNNGFTTCGNLDCFNMLSAKTGVDVQRLLAAVQRLHNSFGGKNILGYTTLTDEFTVGEVIK -QMYGVTLQSNKVVGAFCNLAIVGLFVTMFWSELLQYTAFFWVSPGFVTPVFFALLLVSFILMCFLKHKVL -FLYTFLIPSVIIMASYNFMWDWSVAAELARIFDYHVSIVSFDIQGLLNVAVCLFVTLLHTMRFFKTNTSI -STYVLSLAFTVYGFVVGSDVLSIVMTLLFNLTSSWYVGAIVYKLAYYLQYYTSFAQILGSIKAIMLCYMG -LGYLCCVYYGFLYWINRFCKLSLGVYDFKVSANEFKFMVANGLQAPRGVFDSLALSIKLMGIGGEKTIKV -SSVQSKLTDIKCTNVVLLGCLSSMNIAANTKEWSYCVDLHNKINLCNDPEAAQEMLLALLAFFLSKHKDF -GFDDLLDSYFADNSILQSVASTFVNMPSFIAYENARQAYEDAIANDSAPQLVKQLKTAMNIAKSEFDREV -SVQKKISRMAEQAAAQMYKEARAVNRKSKVIGAMHSLLMGMLRKLDMSNVDTILNLAKDGVVPLSIIPAA -CSTKLNVVSADLDSYYKICRDGCVHYAGVIWNVIDIRDNDGKIVHAKEIAPENVENIAWPIFLNCERIVK -LQNNEIMPGKLKQRPVKAEGDGISADGMALFNNESGKTFMYAFIADKPDLKFVKWEFDNGCSVIELEPPC -RFAVQTPTGTTIKYLYFVRNLNTLRRGAVLGFIGATVRLQAGKQTELAVNSPLLTMCAFAVDPAKTYLDA -VKRGAKPVGNCIKMLANGSGTGQAVTTGVEANTNQDSYGGASVCLYCRAHVEHPSMDGYCKLKGRYVQVP -MGTIDPIRFVLENEACKVCQCWLNNGCACDRVSTSMQAFDNGYLNRARGSSAAQLEPCNGTDTDHCVRAF -DIYNKDVACLGKFLKTNCVRFRNKLHKDAYFVIKRCPKSVMEHEQSIYDILKDSGAIATHDFFVWKDGRM -IYGNISRQDLTKYTMMDLVYALRNFDEKNCETLKEILVITGACDQSYFDNKFWFDPVENEDLHRVYAILG -KIVANAMLKCVRLGDAMVKHGIVGVITLDNQDLNGNFYDFGDFAKTLPGMGVPLCTSYYSYMMPVMGMTN -CLAGECFIKSDIFGSDFKSFDLLHYDFTDHKQALFEKYFKHWDQEYHPNCVDCHDELCIVHCANFNTLFS -TTIPITAYGPLCRKVFVDGVPLVATAGYHFKQLGIVWNKDLNTHSTRLTINELLQFVTDPSLLVASSPAL -VDQRTVCFSVAALGTGMTKQTMQPGHFNKEFYDFLRKQGFFEEGSELTLKHFFFAQKGDAAIKDFDYYRY -NKPTMLDVCQARVAYKLVSRYFDIYDGGCITAKDVVVTNLNKSAGYPLNKFGKAGLYYESLTYEEQDALY -AVTKRNVLPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTRNASVVIGTTKFYGGW -DNMLNTLINGVENPCLMGWDYPKCDRALPNMIRMISAMILGSKHTTCCTTDERYYRLCNELAQVLTEVVY -SNGGFYFKPGGTTSGDASTAYANSVFNIFQAVSSNINRLLTIDSNVCNNVSVKTLQRELYDNCYRSSSVD -EQFIDKYYCYLRKHFSMMILSDDGVVCYNKDYADLGYVADISAFKATLYYQNNVFMSTSKCWVEPDITKG -PHEFCSQHTMQIVDENGKYYLPYPDPSRILSAGVFVDDVVKTDAVILLERYVSLAIDAYPLSKHPNPEYR -KVFYVLLDWVKHLHNTLNQGVLESFSVTLLEDTSSKFWDESFYANMYEKSAILQSAGLCVVCGSQTVLRC -GDCLRRPMLCTKCAYDHVIATPHKFILAITPYVCSYSGCNVNDVTQLYLGGLSYYCAEHKPRLSFPLCSA -GNVFGLYKASATGSPDVEIFNTLATSDWTDVRDYKIANEAKDSLRLFAAETIKAKEESVKSSYACATLKE -VIGPKELLLSWECGKVKPPLNRNSVFTCFHITKDSKFQVGEFVFEKVDYGSDAVSYKSTSTSKLSPGMVF -VLTSHNVQPLRAPTIANQERYSSIHKLYPSFNIADAYSTLVPYYQMIGKQRITTIQGPPGSGKSHCVIGL -GLYYPGARIVFTACSHAAVDSLCVKASTAYVNDRCSRIIPARARVDCFSGFKSNNNSAQYLFSTVNALPE -CNADIVVVDEVSMCTNYDLSVINQRLSYKHIVYVGDPQQLPAPRTMILRGVLEPKDYNVVTQRMCAVGPD -VFLHKCYRCPAEIVKTVSEMVYDNKFVPVHPESKQCFKLFCKGNVHVDNGSSINRKQLDVVKLFLAKNPS -WSKAVFISPYNSQNYVASRALGLQIQTVDSSQGSEYDYVIFTQTSDTQHACNVNRFNVAITRAKKGILCI -MCDKELFDALKFFEIKLTDLQAGEGCGLFKDCSRKPDLLPPSHATTFMSLSDNFKTSGDLAVQVGVNGVC -KYEHVISFMGFRFDTNIPGYHSLFCTRDFAMRHVRGWLGMDVEGAHVVGDNIGTNVPLQVGFSNGVDFVV -QPEGCVVNEFGTNIQPVRARAPPGEQFAHLIPLMRRGQPWVVVRKRIVQMCCDYLSGLSDVLVFVLWAGG -LELTTMRYFVKVGQVKHCHCSKESTCYNSTTHEYYCFKHALGCDYLYNPFVIDIQQWGYTGSLSLNHHEV -CNVHRNEHVASGDAIMTRCLAIHDCFVKSLDWSITYPFIANEREINSGGRVVQRMLMKTAIKLYNPKAIH -DIGNPKGIRCAVTDAKWFCYDRQPLNSNVKLFEYDYITHGQLDGLCLFWNCNVDMYPEFSIVCRFDTRCR -SSLNLEGTNGGSLYVNKHAFHTPAFDKRAFAKLKPAPFFFYDDGPCDRIQEEINYVPLRAANCITRCNIG -GAVCSTHAKQYYDYVNAYNSYTQAGFTLWVPNTFDLFNLWQTFADTKLQSLENIAYNVIKKGSFVGESGE -LPVAIVNDKVFVREGTVDNLVFVNKTSLPANVAFELFAKRKVGLTPPLSVLRNLGVVSTYKFVLWDYEAE -RPFTSFTKSTCSYTDFDEDVTTCFDNAIAGSFERFTLTRNAVLISNVAIKKLVGMKIAYGLLNGVPVSVC -ENKPVTWYIYVRKDGQFVDQYDGYYTQGRNIAEFLPRSDMEKDFLEMDMGMFINKYGLEDYAFEHVVYGD -VSKTTLGGLHLLISQVRLQKMGVLKIDEFTSSNDGTLKSCTVTYANNPSSKMVCTYMDLLLDDFVTILKN -LDLDVVSKVQEVIIDCKVWRWMLWCKGHKVQTFYPQLQSAEWKCGYSMPSIYKIQRMCLEPCNLYNYGAS -IKLPDGIMFNVVKYTQLCQYLNSTTMCVPYNMRVLHLGAGSDKGVAPGTAVLRRWLPSDAIIVDNDVEDY -VSDADYSITGDCSTVYLEDKFDLVISDMYDGKTKHCDGENVSKEGFFVYFNGVINEKLALGASVAIKVTE -YSWNKKLYELVQRFEFWTLFCTSVNTSSSEAFLVGINYLGTFSDKPVIDGNVVHANYIFWRNSTIMSMSY -NSVLDLAKFNCKHRATAVIQLKDSDINDMVLGLIKRGKILVRMNGTYMGFSNHLVSTKQR - ->YP_001718610.1 ORF1ab polyprotein [Miniopterus bat coronavirus HKU8] -MGSNHLTLAFASDSEISASGCSTREEAVSRYSEAAIYGFENCRFVASGLQEIVDGVSADDYVLCFSGVTT -LRAFVGKYGDRPRNLRGWLLFSNCNYFLEEIDVIFGFGGGDASPVYVDNYMCGANGKPVLPADVWVYQDY -FGEETELIVNGVKHVKVWHVTRTDIPYQFQSLGAIESIEWLTDIPHTLRNGSRLSAARAVKHSKNVVLSE -PLKTLYQACGSPFVTNGSTLREAVPKPVFAHAYVACKCGRKAWSVGDWSGYKSTCCGVFGKPQCVVFGEV -VPGDVFITTSSVGSGTRYYNGLTVKHVVTVDGLACWRVLKTQSVDGLTATPSFDDYSDAAFDCCVYHNNT -QLAVAYKMGLLSGNFDETVIDGVLAGAIDVGSAVLDVIDRICDAQPWFIQKFGALFESAWAAFVATLKKI -PALSSEFVQLCSALQKAILTVRDGVIDFYVENVAPKFVAAVEAFKMLVVGVFDFSVDTYKVVGETFKRVG -DYVLLGNAVAKLVTTKVKGVRQAGAKKVTFATPVIGVAKRVTSTRTEIDSVQLVACASTPLVENGCTVIV -DGIAFFKSGGVYRLMADTDLVYETPVYCQQATCKPVFKCAKPDGFPDVTSVNPDTLAHEVKKILGGIARP -YDKYTCSVINGECQVDHSYNFKAPAYITDKQQFVMLCKDFVVDAKFEEFYVKALEAKSLDGFEPYYEAFT -IFKGELKCPAELIELDDGGLFNTFIKTVNSAYDFVKNLKVSVTVKGVICTAAKRFKRWANALAKIYTEFI -TGACKTVVIGGLEFAYYCFAKPAIALGDIFVRVSKNALSAFNRPTEAGAVDALVFEGGDIETVCSRVQFD -TVALEPVQEVPVPSDGYVAVVDGYTFFTDGTHYFPTGLSRCYTTCFKRGGGTVEFSEVVEVKEIDPVYKV -RLEYEFEDENIAIVCKKAIGKIITFEGTDWSEFEQTLINAVSVVGEFVDLPDFYVYDEEGGTDLSKVVMV -SQWPLKSSPEPQNFDPSPLAAFVVSCGLECDFSGCGDPVSCFQTVEGAALCISMERSCKCGTDQAVCEGF -YVPVKPCASTDTCDECGCDRISTITSMVGTGFVDLCDNPVVPFDCVECGFGGYNGVCFVNVDVAIPPPED -LPPPPEPEPEPPVDAMQEVAEALSFIVDEPSAFVDPFKFEYYDHAGVRVLKQEVNNCWVASTLVQLQLYG -FDSDAMSLFKAGSVSPMVRRCYEAVGAIRGSLGDVSQCLEKLLSDSHTMFMTFDVKCDCGASVAEMSGAV -FRFMPTRDSFNYGACPTCRSVMVYKIKSMQGTGFFCQDPKPFNTARSLVRPVSASVYSGRTDGGHYKTNI -YDARVCVDGFGVTSITTGNVNVILLHDVSYGLVETVPAVVNDVVTTTVESVTSAATPSVEPFATFGSVEF -YQGDVKALSALPCDFVVNAANENLQHAGGVAKAINDLTGGVLQRLSDDYTRANGKVKVGCGVMLECPLRV -FNVVGPRKGKHARSLLVKCYKSVLSNPGVPLTPLVSVGIFGVPLSDSFIALTSVVGERRVLCFCYSDTER -KAILKLIEQRSSVDQPTVPIVPPTADVEVATVVQCVSETEAATVVMDVVAEPQPTEVIATQPCVPEALEV -VGSVTYYPVDVGVVGVRPDNIILHTTQDLGLCAFGKLLDEQACGALSASIDAYKQNTAVVPPGNIVTFKC -EGQPTVVLAVFPPADDAAYLKNVKRAVSKLAKLKGVSVSTFSTIDVHKRLLAFVKLFCVASMDVVGDVHT -TPSVVKVTEDGRTVKDVVVSTTTTAGDQLGPCAVEGASLTTSVVTDVVNSVVAVAPNVDWDSHYGFKDAG -NFHVLDHSAYAYDSDFVDGKRALAGSDNNCWVNATCLQLQFAGVEFLSKGVTAMWNEFLTGDVARFVHWL -YWLNGASKGDLGDVETTLNLVAKHAKPKGCVTIEKTTVDGCCVSEKRVNSLVVNASVLRQGVGDGYCQHG -NEYIIRVSRVEGTAIIVNVGDPSVKAPNLLISGTAYTAFSGPIDAGHYRVYCSATSKVFDGKSIVGGDLC -NLAVTSVVVVNKFFKAEVCNAKSSEPTAVKIMSKLDDASDKFFTAGDVVSHNIANSVVWFFTMLSILFKA -FKTRDFKVFALAPERTGIIFTRSLKYNIKAARDMLKRKQKWVFWFLKFCLLLYTAYAVLFMFIRFGPPNE -TLCKDHVDGYANSTFVKDDYCNSALCRVCLFGYQELADLPHTNVVWRHVGMPLFVNWMPLFYLAFLFIFG -GFVTKGFVLYFVAQYVNAFGVHFGMQDLFWPLHLIPFSTFGDEMVVLFLVGRFLMFVKHVCFGCDKASCV -ACSKSARLTRVPMQTIVCGANKSFYVTANGGKNFCSRHNFFCVNCDSHGPGNTFINEVVARELSNVVKSS -VKPTDVAYLEVDKVEFNDGFYYLYSGETFWRYNFDITDNKYSCKEALKSCNVLSDFIVYNDAGSNITQVR -NACVYFSQLLCKPIKLVNASLLSTLNVDFNGALHSAFVNVLSDSFSRDLSNCSTMNECKQALGFEVSDDE -FLNGVSDAHRYNVLLSDTSCNNLVTSYAKPEEKLSVHDLGTCMRFGAKVVNHNVLIKENVPVVWLASEFH -LLSEEGRKYIVKTTKAKGVTFLLTFNSNPMQLNIPSTSIVNKRAAGVGSKFFWWVCLAIVAFFLLLNASE -FGYVATSFEDFGFKYIEHGVLKDFDGPLSCVHNVFDNFNAWHEARYGVVPINRRICPIVVGTIDNIRFVP -NVPSGIVLIGKTLVFAVKAVFTETGNCFNLNGLTTLGSCIFNSACTNMDGLGGPATYCYKEGLFENSKLY -SDLVPHSHYKLEDGNFIKLPETLARGLGFVTIKTMETTYCRVGECLDSKAGVCFGLDRFFVYNTEFGGDY -ICGSGFLSFFRNVLSIFTSSFSVMALSGQVMFNCIVAAIAVVVCFLVIKFKRMFGDLSMGVCTVVAVVLI -NNVSYIITQNMLFMFLYAIFYFLAVKGLKYAWIWHIGYVVAYFTLAPWFLVAWYLGATAVDVLPAILKLK -ISTQLFEGDKFVGTFETAAYGTFVLDMRSYEKLVNSMPMDKIKQYAATYNKYKYYSGSASEADYRCACFA -HLAKALLAYADNHQDLLYTPPSVSYNSTLQSGLKKMAQPSGIVEKCVVRVCYGNMTLNGLWLGDIVVCPR -HVMASSTTNTIDYEYEYSMMRLHNFSVSVGNVFLGVNGVTMEGVNLHIKVNQSNPHTPKHTFRTLKPGDS -FNILACYDGTPAGVYGITMRPNYTIRGSFINGACGSPGYNVVNGNVEFCYLHQLELGSGCHVGSNFDGIM -YGNFQDQPSLQIEGADQLVTPNVVAFLYGALLNGVNWFVSPERLSVEAFNEWAHNNGFTDMSGAECFTML -AAKTGVDVQRVLASIQKIAKSFGGRNILGFTSLTDEFTAAEVIKQMYGVNLQSRKLPSVFNNIMLVGVFW -FMFISEMLYYTSSYWIKPDLITPIFIILFAIAVFLTAFVKHKVLFLYTFLVPGIVITATSNLAWDCYVRD -LLAKYLDYHMSIFNMDIQGVFNIAACFMVNALHTWRYMRSGYTTRVTYVASLIVSVYNYWYVGDALSLAM -MLLLNVNNNWYIGACAYRFVLIVANYMNPAVIGFLGSFKVIMFLYVAVGYLCCIYYGILYWLNKFFKCTL -GVYDFKVSAAEFKYMVANDLRAPCGVFDSLLLSFRLMGIGGEKTIKISTVQSKLTEIKCTNVVLMGVLTS -MNIEANSKDWAFCVDLHNKINLSTDAEKAMEYLLALLTFFLSRQKDFNCTELLDSYFADSSILQSVASTF -VNMPSFIAYETARQNYEDATNNGSSPQLVKQLKRAMNIAKAEFDHEAAVQRKIQRMAEQAASQMYKEARA -VNRKSKVISSMHALLFGMLRKLDMSAIDTILNLARGGVVPLNIIPAACATKLVVVASDHESFTRVYQQGA -VHYAGAVWTLSEVKDNDGKPVHVKEITKDNTALTWPLVLNCERVVKLQNNEIIPGKLKQRPVKGEGDGGV -AADGKALYNTEGGRTFMYAFVADKPDLKVVKWEFEGGRNTIELEPPCRFAVSNSNGTTSIKYLYFVKNLN -TLRRGAVLGYIGATVRLQAGTQTELVANSSLLTMCSFAVDPRKCYLDAVKNGVKPVTNCVKMLSNGSGTG -QAVTVGVEANTNQDSYGGASVCLYCRAHIDHPSMDGFCQFKGRYVQVPIGTVDPIRYCLENDICKVCHCW -LNNGCTCDRTTVVQSLDNNYLNRVRGSSAARLEPCNGTEPEHVIRAFDIYNKDVACIGKFVKVNCVRFKN -ADKHDAFYVVKRCTKSVMEHEQSIYDALKDCGAVSPHDFFVWKDGRSVYGNIARHDLTKYTMMDLVHALR -NFDEKNCETLKEILVISGACDSSYFDNKNWYDPVENEDIHRVYAKLGCVVANAMLKCVALCDAMVAKGVV -GVLTLDNQDLNGNFYDFGDFTIGIPGVGVPLATSYYSYLMPVMGMTNCLARECFVKSEIFGSDFKTYDLL -EYDFTEHKLGLFNKYFKHWDLDYHPNCSDCYDEMCVIHCANFNALFATTIPDTSFGPLCRKVFIDGVPVV -TTAGYHFKQLGLVWNKDLNTHSTRLTINELLRFVTDPALLVASSPALFDQRTVCFSVAALGTGLTKQTVK -PGHFNKEFYDFLCAQGFFDEGSELTLKHFFFAQKGDAAIRDFDFYRYNRPTVLDICQARVAYHVVKRYFD -IYEGGCIAARDVVVTNLNKSAGYPLNKFGKASLYYESLSYEEQDALYALTKRNVLPTMTQLNLKYAISGK -ERARTVGGVSLLSTMTTRQFHQKHLKSIVNTRNATVVIGTTKFYGGWDNMLRNLMDGVDNACLMGWDYPK -CDRALPNMIRMISAMILGSKHVNCCTNSDRYYRLCNELAQVLTEVVYSNGGFYMKPGGTTSGDATTAYAN -SVFNIFQAVSANINRILGINSNTCNNLAVKSLQRMLYDNCYRSSAVDPGFVDTFYGYLRKHFSMMILSDD -GVVCYNKEYASLGYVADINAFKATLYYQNNVFMSTSKCWVEEDLTKGPHEFCSQHTMQIVDGDGTYYLPY -PDPSRILSAGVFVDDVIKTDAVVLLERYVSLAIDAYPLSKHPNPEYRKVFYVLLDWVKHLNNTLNQGVLE -SFSVTLLEDASSKFWDESFYANLYEKSAVLQAAGLCVVCNSQTVLRRGDCLRRPMLCTKCAYDHVVGTNH -KFILAITPYVCNAAGCAVNDVTKLYLGGLSYYCVDRKPQLSFPLCSGGNVFGLYKNSATGSPDVEVFNTL -ATSDWTDAKDYRLANEVKDSLRLFAAETVKAKEECVTSSYAAATLKEIIGPKELLLSWEPGKIKPPLNRN -SVFTCFQVTKDSKFQVGEFTFEKLDYGSDTVCYKSTATCKLQPGMIFVLTSHNIQPLRAPTIANQERYAA -ICKLKPTFNISDAYSTLVPYYQMIGRQKITTIQGPPGSGKSHCVIGLGLYYPGARIVFAACSHAAVDSLC -VKASTTYVVEHCTRIIPARARVECFSGFKANNNSAQYIFSTVNALPECTADIVVIDEVSMCTNYDLSIVN -QRVAYKHIVYVGDPQQLPAPRTMITRGVLEPKDYNVVTQRMCAVGPDVFLHKCYRCPAEIVKTVSELVYE -NKFVPVHSESKQCFKIFCKGAVQVDNGSSINRRQLEVVKMFLAKNPSWSKAVFISPYNSQNYVASRSLGL -QIQTVDSSQGSEYDYVIFAQTSDTAHACNINRFNVAITRAKKGIFCIMCDKLLYEALKFFEVKLTDLQAG -DTCGLFKDCSKFDDPPLPPSHAPTYVALSDRFKTEGDLAVQIGSKTPCTYERVISFMGFRFDLNIPGYHT -LFCTRDFAMRHVRGWLGMDVEGAHVCGSNVGTNVPLQIGFSNGVDFVVNPEGCVMTNVNDVIAPVKARAP -PGEQFAHLIPLMRRGQPWTVIRKRIVQMCCDYVSPSSDILIFVLWAGGLELTTMRYFVKVGPRCDCHCGK -VATCYNSAEHAFYCFRHALGCDYLYNPYVIDIQQWGYTGSLSSNHHEHCNVHRNEHVASGDAIMTRCLAI -YDCFVKNVDWSITYPFIGNEAAINRSGRIVQSHAVKAALKVYNPKAIHDIGNPKGIRCAVTDASWYCYDK -NPISSNVKTLEYDYLVHGQFDGLCLFWNCNVDMYPEFSVVCRFDTRCKSAFNLEGVNGGSLYVNNHAFHT -PAFDKRAFAKLKAIPFFFYDDGECDSCQGSINYVPLHASNCVTRCNIGGAVCSKHANMYYAYVNAYNTFT -QAGFTIWVPNSFDVYNLWQTLVTPKLQSLENVAFNVVKHGSFIGVDGELPVAIVADKVFVREGTVDNVLF -VNKTTLPTNVAFELYAKRKTGNTPSLTILRNLGVTRTYKFVLWDYEAERPFTSYTKDVCGYTDFDADVCT -CYDNSIAGSFERFSMCRDGVLISTSAVKKLSAIKLNYGYLNGEPVTTSEDKPITWYFYVRKDGQFLDQCD -SIFTQGRSAENFVPRTQMETDFLELDMGLFISKYGLENFAFEHIVYGDVSKTTLGGLHLLISQVRLSKMG -ILKVEEFVHTGDSSLRCASVTYVDNPSSKMVCSYMDILLDDFVTLLKTLDLSVVSKVHEVIVDCKVYRWM -LWCKDHKVQTFYPQLQSAEWKCGYSMPSLYKIQRMCLEPCNLYNYGASIKLPDGIMFNVVKYTQLCQYLN -STTMCVPHNMRVLHLGAGSDKGVAPGTTVLRRWLPDDAVIVDNDVNDYVSDADMSVVGDCTTLYLQDKFD -LVISDMYDGRIKHIDGENVSKDGFFVYLNGVITEKLALGGSVAIKITEHSWNKRTYELIQKFAYWTLFCT -SVNTSSSEAFLIGVNYLGDLNTTPIVDGNVMHANYIFWRNSTIMAMSYNSVLDLSKFECRHKATVVIALK -DTDLSEVIVGLIRNGKLLIRKNGSVCGYGNHLVSTK - ->YP_001552234.1 ORF1ab polyprotein [Rhinolophus bat coronavirus HKU2] -MSINQLTLAVASDQEISAHGYPTMSDAVEHFSSSASHGFKDCRFVASGLQDIVIGVEPSDFVVALEGDEI -LTAYIATFGARPRCLRGWLIPSNSNYVLEEFHVIFGKRGGDVVPVDNYMCGADGKPAVPSEQWSFVEHFD -DDTDEITVNGVTFRHAWNTVRADDPYEKQGLLSIKFIEYISNVPHKLPNGSVLGVAGTPKKRKAVVLDEK -YSKLYDACGVPFVTNGKSISEVVTKPLFLHALVECKCGNESWTVGDWTGFKTTCCGVTGKVITLAVGDVT -PGDIVFTTPGAGKGTKFFCGLVLTFVDTLEGVSAWRVVKAYTVDKFVASSNFDEHNHVMSLDQCSFDNFS -PISVALKFSLLRGNCCDDVKVAVATGVIDIGLGVFDVNDTVFENVPWFVQKFEFLKPAWDALKQAIINLG -VTSKAVLSFIKSLCSAAFSVVDGVPVIVCTVAERFSKAFTDFLSYTRECFTALCDDIVVFGVKCKAVGDY -IIFNNSVVKTVKAKIKGVKEAGLKTMTYTQCLLGPTKPVKVKRVERSVAQLKVVDTAVPLKQEGECLVVG -GRAMFRSDGYYRFMADADVVLESPVFTAGSQYNVVFETDALFIAPEIGTVFEGDNIDTVVQTVANKVQAF -NNRFVVYNAHVVDGAINVFCDYKFICPAFLDGFPEWFSFCKNHFKDAGFVEFYDCIINSDSTFQTTFQPY -LDLKPSVDAYLGPEIVRAVDGGRLWRTVINGIGDAVNFCKNLRLHFEFGELKGTVVKRFKGILGTLLTVY -NEFIQTTVSVLTICGISAKYYAFDKPMLCMHGITERVTSFDITSLGKPILDGLSRCNVFARGSNAVVVDS -VDHELLDLEECDFVEPASHGVLVVSDDYGFYSCETGIYPYASDGKVLPLRFRKKAGGKNVAFDDNVTVTE -IDPIFKVKLSFEFEDEKLIELCKKVIGSKVKCSSWSQLVEIIDTALTATKSYYNVPEYFIYDEEGGNDLN -LDVMISEWPLQVDEVSELVSTDETVEEQAVEQVEPVVEDVSDIIEVNKAFAIDDSPKRAISPFGFDTNEI -NGRRVLYQSNNNCWVNAACYQLQVLGFDSPAMELYRVGGTHNLVKQCYEATGAFLGSLGDVAHCLEVLLK -DAKTAKVTVEVTCDCSSNFEELSGAFFRFLPLRSKFEYGSCFACHGTRYYRVCGIVGSAIFSQTLKPLDF -NDLICDVASASVFLGDDCGHYLINDYDKRLCVDGMGVYKIRHNTIDTIVVKDADIKPSTVAPFTEYCNVK -FYQGDFKDLAGLSHDFVVNAANCNLAHGGGVAKAIDHHTGGKLQKLSTSFVKKNGKVATGECVMIDTGKL -KVLNAVGPRKGKDAESLLNTVYTNIFARKGVPLMPLISCGIFGFDLKDSLRAFLAACGDRHVKCFVYSDS -ERESVMKFLMTPFEENAATKEVLETPVVEITTDVVAPFYTIGSHKFYDCNSVEPILGLGVKNIVLFTDQV -LSVKDFGVVVDKHLNGLLSDMSNKYVGDHKAVPFGNILSLDCGAFTVVDAVSPFDGASFEKQSGRTIRKL -ARLDGSTLCVLPNASALFDKLFALGDNFSFLVLDNVRPLFDNYLKPKDVKVKVTADGRNVNDVVVTTAET -FDAQLGPSANGVESLVGVVPTPMDSGRVVNTAPDVNWSKHFGFSDAAAFAVLDHSKFAFDSEVVDGKRAL -ADSDNNCWVNATCLALQFLKPTFKYVGWEDLWNKLRSGDVAGFVHLLYYIEGVDKGAKGDVESTLSKLDK -YIVSSGSVTVERSTLCDRCNSTVKTVTGAIAEASVILNGHADGHCPHNFEWRVQVVGVKGDIILLHSGSL -LNGPYVYGDAYVAFSGNIDNGHYTVFDNKLSKMYDGIKCVKTTLDTLVASSVVIRNGSYAVKTEHVPLIK -KLDDSAEKFFNVGDIVAHNIAYFFVWLLTTLSTLYRCWRGGNFKLLSSIPERSGIVLRRSFKYNFRALRS -NVASKQKYCLMFAKLLLLLYTIYAMLFILVRFSFFNDYICGSAVRGYASSDFDKSKFCNGSLVCKTCLFG -YQELSEFQHVGVVWSYVREPLFASVLPLCYFAVIAIFGGVVERFALCYFAAQFINNVLSFLKLQDSFWLV -QLVPFDIFGDEILVMFLSYKAICFFKHVVFGCDKPSCVACCKSAKLKRIAMDTIVNGSRRSFYVNANGGA -KLCKKHNFFCVACDSYGSGHTYINDHIANELHNVTKLHVKPTGPAFINVDRVEFSDGFYRIFSGDKFWKY -NFDITDKKFSCKEVLKNCNILDDFIVFDNNGSNLSQVQNACVYLSQLLCKPIKIIDSTLLSSLNVDFNGA -LHKAFLDVLHNSFGKDFSSCKTMHDCKELLELDVSDDDFIKVVSDAHRFDVLITDTSFNNFCTSYAKPAE -KLSSFDLAHCMRSGAKVVNHNVLIKEKMPIVWNCVDFAKLSVDARKYIVKTAKVKGVTFLLTVNNNVMET -TLPCVSVLQKQGAGKLSSMWKNFWYACGAILALFVLLNCVGFTEYASSLPGYDFKYIEDGQLKPFVNGLY -CVRNTFDTFMDWHAQKFGFKPSNSDKCPIVVGASDSGRVVPGVASDVYLLGKTLIFTLKTVFGSAGHCYD -VNGIADGDKCLFNSACTNLEGLGGTRTYCYKTGLIEGAMTYGDLQHDSYYRLSGDNYVRLPYVVVQGLGF -RAVRTQATTYCRVGECVDSKAGMCFGADRWMVYSNDIGSDFICGSSLVDLLRNILSVFNYNFSTMIMSGQ -VIFNCVLACVVVFGCYFVMKFRRVFGDMSLAVFTVCAAVVVNNLSYFVSLNYVGMVVYSFLYFISTRGLK -YCFIWDVSYVVAYCLLAPWWLLTWYICAALIGLIPNLFKLKVSTTLFEGTKFVGTFDAASVGTFVIDARS -YERLINSTSIEKIKQYASTFNKYKYYSGSANEADYRCACYAHLAKALIDFSTTRQDTLYTPPTVSINSTL -QAGLKKMAQPSGLVEPCVVRVSYGNTVLNGVWLDDKVYCPRHVLASDTTVTIDYDAVYHSMRLHNFSISK -GNVFLGVVGAVMQGANLVITVSQANVNTPSYSFRTLKAGECFNILACYDGTPAGVYGVNLRSTHTIKGSF -VNGACGSPGFVMNGYKVEFVYMHQIELGNASHVGSDMFGNIYGGFEDQPSIQLEGVATLITENVVAFLYA -ALINGERWWCSNERCTIDSFNEWALGNGFTNLVSGDGFSMLAAKTGVDVCQLLSAIQRLATGLGGKTILG -YASVTDEYTLSEVVRQMYGVNIQSTKTSSALKNLFLMGFFFLLFWSEFFMYSTILWINPGLITTFLGLFV -MLSMLLASCIKHKMLFLQLFLLPSIIIAACYNFAWDMEVTRMLATQFDYHVSFLNMDIQGAINIIVCFIG -ISLHTYRFLDTQLRSYSTYVLSMCTVLYTFYYGYDSLSLAIMLLGFGCREWYVGTAAFRLAQFIVPYCPG -LISFVGDIKAVLVLYLVFGFVATVYFGLLYWLNRVLKLTLGCYDFKVSAAEFKYMVANGYTAPRGPFDSV -LLSLRLLGVGGQKTIKVSTVQSKLTDLKCANVVLLGCLTNMNIAANSREWSYCVNLHNEINLTSDPEEAL -EKLLALVAFFLSKQQNFGVDDLIDSFFENRNVLQSVASAFANMPSFIAYEKARMNYEDAIANDAAPAVVK -QLKKAMNTAKGEFDHEASVQKKIQRMADAAAAQMYKDARAVDRKSKVVSAMHSLLFGMLRKLDMSSINQL -MELAKDGCIPMAIIPAAAATKLTVITPDLESFSKIRVDNNIYYAGAAWSITDVQDADGRVTILKEINADN -KDALVWPLHVTCERVVKLQNNEIIPGKLKQRAVKAEGDGFSTDAKALYNTEGGHCFVYALIADKPDLKVV -KWEYDGGCKTIELEPPLKFAVEAPTGVQIKYLYYVKNLNNLRRGATLGYIGATVRLQAGKQTELACNSSL -LTLCAFAVDPAKAYVSAVKQGAKPVGNCVKMLANGSGSGQAVTNGVEANMNQDSYGGASVCIYCRAHVDH -PAMDGACRFKGKYVQIPIGVNDPIRFCIENEVCKVCGCWLNNGCSCDRSSVQSTDQAYLNRARGSSAARL -EPCNGTEPEHCVRAFDVYNKDVACIGKFLKVNCVRLKNLDKHDAFFVIKRCTKSVMEHEQSMYNKLSGSN -ALAVHDFFTWKDGRSIYGNVCRQDLSKYTMMDLCYALRNFDERNCETLKEILVLTGCCDQSYFDNKVWYD -PVENEDLHRVYALLGQRVANAMLKCVKLCDEMVTKGVVGVLTLDNQDLNGNFYDFGDFVDVMPGMGIPCC -TSYYSYMMPIMTMTNCLACECFMKSDIFGSDFKTYDLLEYDFTDHKVKLFDKYFKYWGQDYHPNCSDCYD -DMCLLHCSNFNTLFSTTIPNTAFGPLCRKVFVDGVPLIATAGYHFKQLGLVWNKDINTHNSRLSMTDLLQ -FVTDPGLLIASSPALVDQRTVCFSIAALSTGITHQTVKPGHFNKEFYDYLLSQGFFDEGSELTLKHFFFA -QKGDAAVADFDYYRYNKPTMLDICMARFTYKVVQRYFECYDGGCITAREVVVTNLDKSAGYPLNRFGKAR -LFYETFSYEEQDALYAMTKRNILPTMTQLNLKYSISGKARARTVGGVSLLATMTTRQFHQKHLKSIVNTR -NAPVVIGTTKFYGGWDNMLKNLMNDVDNGALMGWDYPKCDRAMPSMIRMLAAMVLGSKHVTCCTDSDRFY -RLSNELAQVLNEVVHSNGGFYVKPGGTTSGDATTAYANSVFNIFQAVSSNINRLLSVDSNVCNNLYVKKL -QRSIYDNCYRSSAVDDNVVTDFYNYLKKHFSMMILSDDGVVCYNKEYAALGYVGDISAFKATLYYQNNVF -MSTAKCWVEEDLSVGPHEFCSQHTMQIVDSDGDYYLPYPDPSRILSAGVFVDDIVKTDPVILLERYVSLA -IDAYPLSKHPNREYRKVFYVLLDWVKHLHNTLNQGILETFSVTLLDDVQSKFWDEAFYAGMYEKSTVLQA -AGMCIVCGSQTVLRCGDCLRRPLLCTKCAYDHVVGTTHRFILSITPYVCNTSGCNVNDVTKLFLGGLNYY -CHDHKPQLSFPLCANGNIFGLYKNSAVGSLDVEVFNKLAMSDWSDVSDYKLANDVKESLRLFAAETIKAR -EESVKSSYACATLKEIIGPKELLLQWEVGKARPPLNRNSVFTCFQISKDSKWQVGEFTFEKLDYGSDTVC -YKSNVTAKLVPGMIFVLTSHNVLSLKAPTIANQERYSTIYKLYPSVNVDDAYSSLVPYYQLIGKQKITTI -QGPPGSGKSHCVIGLGLYYPSARIVFAACSHAAVDSLCHKAAKAYSVDRCSRIIPARARVECYSGFKPNN -TSAQYIFSTVNALPEVNADIVVIDEVSMCTNYDLSIVNARVAYKHIVYVGDPQQLPAPRTMITRGVLQPE -DYNVVTQRMCGVGPDVFLHKCYRCPAEVVNTVSELVYENKFKPVKDHSKQCFKMFVKGNVQIDNGSSVNK -RQLEVVKAFIAKNPKWSRAVFISPYNSQNYVAGRMLGLQTQTVDSAQGSEYDYVIYTQTSDTSHALNVNR -FNVAITRTKIGILCIMCDKALYDTLKFFEISQSDLQSTIGGCGLFKDCYKFDQDLPPAHATTYMALSDKF -KTDKELAVNIGHSDVRYEHVVSYMGFRFDMNIPNFHSLFCTRDFAMRNVRGWIGMDVEGAHVCGDNIGTN -VPLQVGFSNGVDFVVQPEGCVVTNEGNIVKPVKARAPPGEQFTHLVPLMRKGQPWYVVRRRIVQMVCDCL -NGLSDVVIFVLWAGGLELTTMKYFVKIGPSQHCDCGKEARCYNSATHAYYCLAHALGCDYLYNPFVIDIQ -QWGYTGSLSSNHHEVCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSITYPFIANENAINKSGRIVQSH -IMKAALKVYNPKAVHDIGNPKGIRCAATNVPWYCYDKQPINSNVKMLEYDYMTHGQLDGMCLFWNCNVDM -YPEFSIVCRFDTRCRSHLSLEGVNGGALYVNNHAFHTPAYDKRAFAKLKPMPFFFYDDGECDVSQGQINY -VPLRATVCITKCNIGGAVCKRHASLYRAYVEAYNTFTQNGFNIWCPNSFDVYNLWQTLVDTNLQGLENIA -YNVVKKGSFVGEPGELPVAVVNDRVSVRDGVSDNVIFVNKTSLPTNVAFELYAKRKIGLTPPLTILKNMG -VVATHGFVLWDYDADRPFSNFTKSVCKYTDFDEDVCTCFDNSIQGAFERFTLCKNGVLISNVAIKKLRGI -RLNFGYLNGVAVSSITEGETTKPVDWYIYQRKDGSFVEPVDGYYSQGRNASTFLPRSQMEKDFLELDTGL -FISKYGLEDFNFEHIVYGDVSKTTLGGLHLLISQVRLARIGVLKVEDFVDSADDTLHSCSVTYANDPSSK -SVCTYMDILLDDFVVILRNLDLSVTSKVHDVIVDCKAWRWMLWCKDSKVATFYPQLQSAEWKCGYSMPSL -YKIQNMCMDACNLYNYGASIKLPDGIMFNVVKYTQLCQFLNTTTMCVPHNMRVLHLGAGSDKGVAPGTAV -LRRWLPDDAIIVDNDVNDYVSDADFSITGDCTHVYVEDKFDLLISDMYDGKIKSIDGDNVSKDGFFTYIN -GFIREKLALGGAMAVKITEYSWNKQLYEIAQKFEYWTLFCTSVNTSSSEAFLIGINYLGDFSSASVIDGN -VMHANYIFWRNSTIMTMSYNSVLDLSKFRCKHKATVIITLKDKDITDMVLGLIKNGKLLIRNSQKLLNFS -NHLVTTK - ->YP_001351683.1 ORF1 polyprotein [Scotophilus bat coronavirus 512] -MASNHISLAFANDEEISAIGFGSVEEAVSYYSDAAVNGFDQCRFVSLGLQDAVVGVEDDDVVMLITGVTQ -LRAYLGTFGDRPLNLRGWLLFSNCNYFLEELDLVFGRCGGTTIPVDQFMCGADGAPVIQEGDWTFMDYFQ -DSNQFTLNGITYVKAWDVDRKPNDYAKQNVTCIRRITYITDHRHVLADGTTMKTARHPKVNKSVVLDSPF -DQIYKEVGSPFMGNGSTFVEMLKDPAFFHALITCECGRSEWTVGDWKGYNSLCCNIKCKPITIVTPKAVP -GAVVITKAGIGAGLKCYNNVFLKHIIDLVVPGTNLGWGVWRIAKVQSKDDVATSGNVLVDDPEDRLDPCY -FGNDGPFATKFKFQLLANSFDDEVKGAIVQGVVHVNTAICDVVKDILGLPWFVKKLGSLVTVMWDQFVAG -VQSMKICTLKVVQLAKALSCATMSVVKGVITLVAEVPEIFKRLFYTLTSALKSLCTSSCDALVVAGKSFA -KIGDYVLLPSALVRLVSSKVKGKAQSGIKQLQFATVVLGDTHKVESDRVEFSSVNLKMVDEEFPLNPVGH -TVAVGNQAFFCSDGLYRFMADRDLVITSPIFKPELELEPIFECDAIPGFPKVAASNVAELCVKVDTLLFN -YDKIYKKYSTIIKGDRCYIQCTHTFKAPSYYFDDDEFVELCTKYYKLPDFDAFYNAVHAATDMDQFCALC -TSGFEVFIPRVPDCPPILNDIDGGSIWTSFILSVRSATDFIKTLKIDLGLNGVVVFVTKKFRKAGALLQK -LYNAFLDTVTSFIKVAGVAFKYCATCVPKIVINGCYHTVTRLFAKDLQIPTEDGVADFNTFNHCVFPVNP -TRIETDSLELEEVDFVEPGVDGKLVILDDYSFYSDGTNYYPSDGKGVVASCFKKKGGGVVTISDEVQVRT -IDPVYKVRLEYEFEDETLVKVCEKAIGTKLKVTGDWSNLLETLEKAMDVVRQHLDVPDYFVYDEEGGTDL -NLTIMVSQWPLSSDSEDDFKAVDDEPNANTDETVDTFAEDVAETQNVQQDVTQDEVEAVCDLVVKATEEG -PIEHEELSEDQKEVQQALAFIEDKPVVVKPDVFAFSYASYGGLKVLNQSSNNCWVSSALVQLQLTGLLDS -DEMQLFNAGRVSPMVKRCYESQRAIFGSLGDVSACLESLLKDRDGMSITCTIDCGCGPGVRVYENAIFRF -TPLKTAFPMGRCLICSKTLMHTITQMKGTGIFCRDATALDVDTLVVKPLCAAVYVGAQDGGHYLTNMYDA -NMAVDGHGRHPIKFNTINTLCYKDVDWEVSNGSCDVKPFLTYKNIEFYQGELSALLSVNHDFVVNAANEQ -LSHGGGIAKALDDLTKGELQVLSNQYVSRNGSIKVGSGVLIKCKEHSILNVVGPRKGKHAAELLTKAYTF -VFKQKGVPLMPLLSVGIFKVPITESLAAFLACVGDRVCKCFCYTDKERLAIQNFVTSFQTEQPVEPLPVI -QEVKGVQLEKPVPDVKVENPCEPFRIEGDAKFYDLTPSMVQSLQVTRLVSFTNSDLCLGSFVRDCDGYVQ -GSLGGAIANYKKSNPVLPAGNCVTLKCDGFISFTFVILPKEGDTNYEKNFNRAIAKFLKLKGSLLVVVED -SSVFNKISHASVAGYVAKPALVDTLFEAKPVQVVVTQDQRSFHTVELSTSQTYGQQLGDCVVEDKKVTNL -KPVSKDKVVSVVPNVDWDKHYGFVDAGIFHTLDHTMFVFDNNVVNGKRVLRTSDNNCWINAVCLQLQFAN -AKFKPKGLQQLWESYCTGDVAMFVHWLYWITGVEKGEPSDAENTLNIISRFLKPQGSVEMLRATSTTCDG -TCSTKRVVSTPVVNASVLKVGLDDGNCVHGLPLVDRVVSVNGTVIITNVGDTPGKPVVATENLLLDGVSY -TVFQDSTTGVGHYTVFDKEAKLMFDGDVLKPCDLNVSPVTSVVVCNNKKIVVQDPVKRVELDASKFLDTM -NVASEKFFTFGDFVSRNIIVLIVYLFSLLAICFRALKKRDMKVMAGVPERTGIILKRSVKYNYKALKFFF -RLKFQYIKVFLKFSLVLYTLYALMFMFIRFTPVGTPICKRYTDGYANSTFDKNDYCGNVLCKICLYGYEE -LSDFTHTRVIWQHLKDPLIGNILPLFYLVFLIIFGGFFVRIGITYFIMQYINAAGVALGYQDNVWLLHLL -PFNSMGNIIVVAFIVTRILLFLKHVLFGCDKPSCIACSKSAKLTRVPLQTILQGVTKSFYVNANGGKKFC -KKHNFFCVDCDSYGYGCTFINDVIAPELSNVTKLNVIPTGPATIIIDKVEFSNGFYYLYSGSTFWKYNFD -ITEAKYACKDVLKNCNILTDFVVFNNSGSNVTQVKNACVYFSQLLCKPIKLVDSALLASLNVDFSANLHK -AFVEVLSNSFGKDLSNCSNMNECRESLGLSDVPEEEFSAAVSEAHRYDVLISDVSFNNLIVSYAKPEEKL -AVHDIANCMRVGAKVVNHNVLTKDNVPVVWLAKDFIALSEEARKYIVRTTKTKGINFMLTFNDRRMHLTI -PTISVANKKGAGLPSLFTRLYSFFWHLCVLIVVLFVATSLLDFSAQVTSDTQYDFKYIENGVLKVFEKPL -DCVHNAFVNFNEWHNAKFGSIPTNSRRCPIVVGTSDEVRYIPGVPAGVFLYGKSLIFAMSTIFGTSGLCF -DDRGLTDPDSCIFNSACTTLSGIGGRNVYCYREGVVDNAKLYSSLLPHSYYRLMDGNHIVLPEIITRGFG -IRTIKTQAMTYCRTGECIDSQAGVCVGLDRFFVYSKTPGSDYVCGTGFFSLLFNVIGMFSNSIPVTVMSG -QILLNCVVAFTAVMACFAFTKFKRLFGDMSFGVLSVGLCTVVNNLSYVVTQNSIGMLAYATLYFLCTKGV -RYSWVWHVGFAISYCFLAPWWVVLAYLICALLEFLPNLFKLKVSTQLFEGDKFVGSFESAASGTFVLDMH -SYQKLANSISTEKLKQYCASYNRYKYYSGSASEADYRLACFAHLAKAMSDFANDHMDKLYTPPTVSYNST -LQAGLRKMAQPSGIVEGCIVRVSYGNLTLNGLWLGDTVICPRHVIASNTTNVIDYDHAMSLVRLHNFSIS -SGNMFLGVISASMRGTLLHIKVNQSNVNTPNYTYKVLKPGDSFNILACYDGSAAGVYGVNMRTNYTIRGS -FISGACGSPGYNINNGVVEFCYMHHLELGSGCHVGSDMDGTMYGKYEDQPTLQIEGASNLVTENVCSWLY -GALINGDRWWLSSVSVGVDTYNEWALRNGMTALKNVDCFSLLVAKTGVDVGRLLASIQKLHGNFGGKSIL -GCTSLCDEFTLSEVVKQMYGVTLQSGKVSRAFRNASIVCCLLFLFLSEMLNHSKLFWINPGYITPVFLAI -IVASSALMLLVKHKLLFLQLYLLPSLCIVSGYNIFKDYHFYTYMLEEFDYKVPFGGFNVTGVLNISLCCF -VMGLHTFRFLQTPNKIFSYVVAVLTVLYTYYYSTDVLGLILTSMSGFTNYWFIGTATYKLATYVLPHTSL -LDSFDAIKAVVFLYLLLGYCNCVYYGSLYWINRFCKLTLGCYEFKVSAAEFKYMVANGLRAPTGVFDALI -LSLKLIGVGGRKTIKISSVQSKLTDLKCTNVVLLGCLSNMNIAANSREWAYCVDLHNKINLCNDAEAAQE -MLLALLAFFLSKNSAFGVDELLDSYFNDSSVLQSVAATYVNLPSYLAYETARQSYEDALANGSPPQLVKQ -LRHAMNVAKSEFDREASTQRKLDRMAEQAASQMYKEARAVNRKSKVVSAMHSLLFGMLRRLDMSSVDTIL -SLAKDGVVPLSIIPAVSATKLNIVVSDIESYSKIQREGCVHYAGVIWSVVDIKDNDGKPVHAKEVVTSNV -ESLAWPLFLNCERIIKLQNNEIIPSKIKQRPIKAEGEGVVADGNALYSNEGGRTFMYAFISDKPDLKVVK -WEFDGGSNAIELEPPCKFLVEAPSGPVVKYLYFVRNLNNLRRGAVLGFIGATVRLQAGKQTEQATNSSLL -TLCAFAVDPPKTYLDAVKSGHRPVGNCVKMLANGSGNGQAITNGVEASTNQDSYGGASVCLYCRAHVEHP -DMDGFCKLRGKYVQVPLGTLDPIRFVLENTVCKVCGCWQANGCTCDRAVIQSVDSGYLNRVRGSSAARLE -PLNGSDTHHVFRAFDVYNRDVACISKFLKVNCVRLKNLDKHDAFWIVKKCTKSVMEHEQSIYNLISDCGA -VAKHDFFTWKEGRSVYGNVCRQDLTEYTMMDLCYALRNFDENNCETLKKILVVVGACDESYFDNKLWFDP -VENEDVHRVYAKLGTIVARAMLKCVKYCDAMVEQGIVGVITLDNQDLNGDFYDFGDFVTSVKGMGVPICT -SYYSYMMPVMGMTNCLASECFIKSDIFGEDFRTFDLLAYDFTEHKVNLFNKYFKHWGQTYHPNCEDCHDE -SCIVHCANFNTLFATTIPITAFGPLCRKCWIDGVPLVTTAGYHFKQLGIVWNKDLNLHSSRLTINELLQF -CADPSLLIASSPALVDKRTVCFSVAALGTGMTNQTVKPGHFNREFYDFLRSQGFFEEGSELTLKHFFFAQ -KGDAAVRDFDYYRYNRTTVLDICQARVVYQIVQCYFGMYEGGCITAKEVIVNNLNKSAGYPFNKFGKAGL -YYDSLSYEEQDDLYAYTKRNIIPTMTQLNLKYAISGKDRARTVGGVSLLSTMTTRQYHQKHLKSIVNTRG -ASVVIGTTKFYGGWDNMLKTLIKDVENPHLMGWDYPKCDRALPNMIRMISAMILGSKHVNCCSSSDRYYR -LCNELAQVLTEMVYSNGGFYVKPGGTTSGDATTAYANSVFNIFQATSANVNRLLSVDSNTCNNIEVKQLQ -RKLYDCCYRSSSVDQSFVEEYFGYLRKHFSMMILSDDGVVCYNSEYAALGYVADLNAFKAVLYYQNNVFM -SASKCWIEPDINKGPHEFCSQHTMQIVDKDGTYYLPYPDPSRILSAGVFVDDIVKTDPVILLERYVSLAI -DAYPLSKHDNPEYRRVFTVMLDWVKHLYKTLNQGVLDSFSVTLLEDATAKFWDESFYASMYEQSSVLQSA -GLCVVCSSQTVLRCGDCIRRPMLCTKCAYDHVVSTSHKFILAITPYVCCSSGCGVSDVTKLYLGGLSYWC -VDHKPRLSFPLCSSGNVFGLYKNSATGSPDVDDFNTLATSDWTDVKDYKLANDVKDSLRLFAAETIKAKE -ESVKSSYACATIHEVVGPKELVLKWEVGKPRPPLSRNSVFTCYHITKNTKFQVGEFTFEKLDYDNDAVSY -KSTATTKLVPGMVFVLTSHNVQPLRAPTIINQERYSTLHKLRPAFNIHEDYSNLIPYYQLIGKQKLTTIQ -GPPGSGKSHCVIGLGLYFPGARIVFTACSHAAVDSLCVKAATAYSSDRCSRIIPQKARIECYDGFKSNNT -SAQYLFSTVNALPEVNADICVVDEVSMCTNYDLSVINQRVNYRHIVYVGDPQQLPAPRVMITRGVLVPED -YNVVTRRMCVLKPDIFLHKCYRCPAEIVNTVSEMVYENQFVPVKSESKECFKIYCRGNVQVDNGSSINRR -QLEVVRMFLAKNPKWAKAVFISPYNSQNYVAGRVLGLQIQTVDSSQGSEYDYVIYTQTSDTAHASNVNRF -NVAITRAKKGILCIMCDRELFDILKFYELKLSDLQVGDGCGLFKDCYKGEDNLPPSHAPTFMSLSDNFKT -DKDLAVQIGVNGPVKYEHVISFMGFRFDINVPNQHTLFCTRDFAMRNARGWLGFDVEGAHVIGSNVGTNV -PLQLGFSNGVDFVVRPEGCVSTEVGDVIQPVRARAPPGDQFTHLLPLLRKGQPWSVIRRRIVQMCSDYLA -NLSDTLIFVLWSGGLELTTMRYFVKLGPVQTCDCGKRATCYNSTNHTFSCFRHALGSDYIYNCYCIDIQQ -WGYTGSLSMNHHEVCNIHRNEHVASGDAAMTRCLAIHDCFVKNVDWSITYPFIANEQAINKSGRLVQSHV -MRAVLKLYNPKAIHDVGNPKGIRCVVTDASWYCYDKNPTNTNVKMLEYDYITHGQLDGLCLFWNCNVDMY -PEFSVVCRFDTRMRSTLNLEGCNGGSLYVNNHAFHTPAYDKRAFAKLKAMPFFFYDDSECEKLQDAVNYV -PLRASNCITRCNVGGAVCSKHCALYHNYVMAYNTFTTAGFTIWVPNSFDMFNLWQTFKNSNVQGLENIAY -NVVKKGSFVGVEGELPVAVVNDKVMVRDGVSDNVVFVNNTSLPTNVAFELYAKRKVGLTPPLTILKNLGV -VCTSKCVLWDYEASRPLTTFTKDVCKYTDFDGDVCTLFDNSVPGAFERFTVTKNAVLISLTAVKKLTAIK -LTYGYLNGVPVFTHEDKPFTWYIYTRKDGAFVEYPDGYFTQGRVISDFQPRSNMEEDFLNMDMGLFISKY -GLEDYGFEHVVFGDVSKTTLGGLHLLISQIRLSKIGVLKVEDFVSSSDSTLKSCTVTYVDNPSSKMVCTY -VDLLLDDFVNILKSVDLSVVSKVHEVVIDCKVWRWMLWCKDHKVQTFYPQLQSAEWKCGYSMPSIYKIQR -MCLEPCNLYNYGSGLKLPDGIMFNVVKYTQLCQYLNSTTMCVPHHMRVLHLGAGSDKGVAPGTAVLRRWL -PLDAVIVDNDVNDYVSDADFSYTGDCASMYLTDKFDLVISDMYDGRTKSCDGDNVSKEGFFPYINGVITE -KLALGGTVAIKITEFSWNKKLYELIQKFEYWTLFCTSVNTSSSEAFLIGVHFLGDFSTNAIIDGNIMHAN -YIFWRNSTIMTMSYNSVLDLSKFSCKHKATVVVNLKDSSVTDLVLGLLKNGKLLIRNNGVVCGFSNHLVN -STK - ->YP_009194637.1 polyprotein ORF1ab [Camel alphacoronavirus] -MACNRVTLAVASDTEISATGCSTIALAVRRYSEAASNGFRACRFVSFGLHDCVVGIANDDYVMGLHGNQT -LSCNIMKFSDRPFMLRGWLVFSNSNYLLEEFDVVFGKRGGGNVTYTDQYLCGADGKPVISDDLWQFVDHF -GENEEIIINGHTYVCAWLTKRKPLDYKRQNNLAIEEIEYVRGDALHTLRNGSVLEMAKEVKTSSKVVLSD -ALDKLYKVFGSPVMTNGSNILDAFIKPVFISAFVQCTCGNKSWSVGDWTGFKSTCCNVLSNKLCVVPGNV -KPGDAVVTTQQAGVGVKYFCGMTLKFVANIEGVSVWRVIAVQSVDGFVASATFVEEEHANRMDTFCFNVR -NSTTDECRLAMLGAEMTSNVRRQVAAGVIDISTGWFDVYDDIFAENKPWFVRKAEDIFGPCWSALVSVLK -QLKVTTGELMRFVKSICSSAVAVVSGTIQIVASVPDMFLPAFDVFVKAVQTVFDCAVETSTIAGKSFDKV -FDYVLLDNALVKLVTIKLKGVRASGLKTVKYATAVVGSTEEVKSSRVERSTAVLTIANNYPKLSDEGYTA -VIGDVAYFVSDGYFRLMASPNSVLTTAVYKPLFAFNVNVMGTRPEKFPTIVTCENLESAVLFVNDKITEF -QLDCSVDVIDNEIIVKPNISLCVPLYVRDYVDKWDDFCRQYSNESWFEDDYRAFISVLDVADADVKAAES -KAFIDTIIPSCPSILKIIDGGKIWSGIIKAVSSVADWLKSLKLTLTPEGLFGTCAKRFKRFLTVLLDAYN -AFLDTVASIVKIGGKAFKKYAFDKPYIVVCDIVCKVEHKTDADWVELMPRNDRIKSFSTFENAYLPIADP -THFDIEEVELLDTEFVEPGCGGILALIDDHVFYKKDDIYYPSNGTKILPVAFTKAAGGKVSFSDAVEVKD -IPPVYRVKLCFEFEDEKLVDVCEKAIGEKIKHEGDWDSFCKTIQSALSVVSSYVNLPTYYIYDEQGGTDL -SLPVMISEWPLSESDKEEEVQQEQQEDTVVPEVEVVVDQVEEVNSSFAIEAVDVKYEVSPFEMPFEELNG -LKILKQMDNNCWVNSVMLQLQLTGILDDDYAMQFFKIGRVSKMVERCYNAEQCIRGAMGDVGLCLYRLLK -DLHTGFMVMDYKCSCTSGRLEESGSVLFCTPTKKAFPYGTCLNCNAPRMCTIRQLQGTIIFVQQNPEPVN -PCAFVVKPVCASVFRGAVSSGHYQINIYPQKLCVDGFGVNKIQPWPNDALNTICIRDANYSAKVEKPVTP -GKPPAELAPIDETVVKVKLNSFLTCNNVSFYQGDIDAVVNGVDFDFIVNAANENLAHGGGLAKALDVYTK -GKLQRLSKEHIGLAGKVKVGAGVMVECDGLRIFNVVGPRKGKHERDLLIKAYNTINNEQGIPLTPILSCG -IFGVKLETSLEVLLAVCNTKEVKVFVYTDTEVCKVKDFVSGLVKVQKVEQPKIEPKSVSVTKVAPKPYKV -DGKFSYFTDDLLCVAVGKPIVLFTDSMLTLDDRGLALDNALNGVLSAAIKDCIDTNKAIPSGNLIKFDIE -SVVVYMCVVPSDQDKHLDKNVQRCTRKLNRLMCDIVCTIPAEHVLPLLLSSLTCNVSFVGELKAVESKVI -TIKVTEDGVNVHDVTVTTDKSFEQQVGVIAVKDKDLSGAVPSDLNTSELLTKAIDVDWVEFYGFGDAVTF -ATVDHSDFAYDSAVVNGFRVLKTSDNNCWVNAVCISLQYLKPHFISQGLDAAWNKFVLGDVETFVAFIYY -VAGLVKGAKGDAEDILNKLSKYLANEAQVQLEHYSSCVECEATFKNPVASVNSAIVCASVKRDGVQVGYC -AHGIKYYSRVRSVSGRAIIFSVEQLEPCSQSRLLSGVAYTAFSGPADNGHYTVYDTAKKSMYDGDRFVKH -DLSLLSVTSVVMVGGYVAPVKTVKPKPVINQLDEKAQKFFDFGDFLVHNFVTFFTWLLSMFTLCKTAVTT -CDVKIMAKAPQRTGVVLKRSLKYNLKASTAVLKSKWWLLAKFMKLLLLIYTLYSVVLLGVLFGPFNLCSE -TVNGYAKSNFVKDDYCDGSLGCKMCLFGYQELSQFSHLDVVWKHITDPLFSNMQPFIVMVLLLIFGDNYL -RCFLLYFVAQMISTVGVFLGYKETNWFLHFVPFDVICDELLVTVIVIKVISFVRHVLFGCENPDCIACSK -SARLKRFPVNTIVNGVQRSFYVNANGGSKFCKKHRFFCVDCDSYGYGNTFITPEVSRELGNITKTNVQPT -GPAYVMVDKVEFENGFYRLYSGETFWRYNFDITESKYSCKEVLKNCNVLDDFIVFNNNGTNVTQVKNASV -YFSQLLCRPIKLVDSELLSTLSVDFNGVLHKAYIDVLRNSFGKDLNANMSLAECKSALGLSISDHEFTSA -ISNAHRCDVLLSDLSFNNFVSSYAKPDEKLSAYDLACCMRAGAKVVNANVLTKDQTPIVWHAKDFNSLSA -EGRKYIVKTSKAKGLTFLLTINENQAVTQIPATSIVAKQGAGDAGHSSTWLWLLCGLVCLIQFYLCFFMP -YFDTVRSFEGYDFKYIENGQLKNFEAPLKCVRNVFENFEDWHYAKFGFIPLNKQSCPIVVGVSEIVNTVA -GIPSNVYLVGKTLIFTLQAAFGNAGVCYDIFGVTTPEKCIFTSACTRLEGLGGNNVYCYNTDLMEGSLPY -SSIQANAYYKYDNGNFIKLPEVIAQGFGFRTVRTIATKYCRVGECVDSNAGVCFGFDKWFVNDGRVDNGY -VCGTGLWNLVFNILSMFSSSFSVAAMSGQILLNCALGAFAIFCCFLVTKFRRMFGDLSVGVCTVVMAVLL -NNVSYIVTQNLVTMIAYAVLYFFATRSLRYAWIWCAAYLIAYISFAPWWLCAWYFLAMLTGLLPSLLKLK -VSTNLFEGDKFVGTFESAAAGTFVIDMRSYEKLANSISPEKLKSYAASYNRYKYYSGNANEADYRCACYA -YLAKAMLDFSRDHNDILYTPPTVSYGSTLQAGLRKMAQPSGIVEKCVVRVCYGNTVLNGLWLGDIVYCPR -HVIASNTTAAIDYDHEYSIMRLHNFSINSGTAFLGVVGATMHGATLKIKVSQTNMHTPRHSFKTLKSGEG -FNILACYDGCAQGVFGVNMRTNWTIRGSFINGACGSPGYNLKNGEVEFVYMHQIELGSGSHVGSSFDGVM -YGGFEDQPNLQVESANQMLTVNVVAFLYAAILNGCIWWLKGDKLSVEHYNEWAQANGFTAMNGEDAFSIL -AAKTGVCVERLLHAIQVLNNGFGGKNILGYSSLNDEFNINEVVKQMFGVNLQSGKTTSMFKSLSLFAGFF -IMFWAELFVYTTTVWVNPGFLTPFMILLVALSLCLTSFVKHKVLFLQVFLLPSIIVAAIQNCAWDYHVTK -VLAEKFDYNVSVMQMDIQGFVNIFICLFVALLHTWRFAKERCTHWCTYLFSLLAVLYTALYSYDYVSLLV -MLLCAISNEWYIGAIIFRICRFGVACLPVAYVAYFGSVKTVLLFYMLLGFVSCMYYGLLYWINRFCKCTL -GVYDFCVSPAEFKYMVANGLNAPDGPFDALFLSFKLMGIGGPRTIKVSTVQSKLTDLKCTNVVLMGILSN -MNIASNSKEWAYCVETHNKINLCDNPETAQELLLALLAFFLSKHSDFGLGDLVDSYFENDSILQSVASSF -VGMPSFVAYETARQEYENAVANGSSPQIIKQLKKAMNVAKAEFDRESSVQRKINRMAEQAAAAMYKEARA -VNRKSKVVSAMHSLLFGMLRRLDMSSVDTILNMARNGVVPLSVIPATSASKLVVVVPDHDSFARMMVDGF -VHYAGVVWTLQEVKDNDGKNVHLKDVTKENQETLVWPLILTCERVVKLQNNEIMPGKMKVKATKAEGDGG -ITSEGNALYNNEGGRAFMYAYVTTKPDMKYVKWEHDSGVVTVELEPPCRFVVDTPTGPQIKYLYFVKNLN -TLRRGAVLGYIGATVRLQAGKQTEFVSNSHLLTHCSFAVDPAAAYLDAVKQGAKPVGNCVKMLTNGSGSG -QAITSTIDSNTTQDTYGGASVCIYCRAHVAHPTMDGFCQYKGKWVQVPIGTNDPIRFCLENTVCKVCGCW -LNHGCTCDRTAIQSFDNSYLKRVRGSSAARLEPCNGTDIDYCVRAFDVYNKDASFIGKNLKSNCVRFKNA -DKDDAFYIVKRCIKSVMDHEQSMYNLLKGCNAVAKHDFFTWHEGRTIYGNVSRQDLTKYTMMDLCFALRN -FDEKDCEVLKEILVLTGCCGTDYFEMKNWFDPVENEDIHRVYAALGTVVANAMLKCVALCDEMVLRGVVG -VLTLDNQDLNGNFYDFGDFVLCPPGMGIPYCTSYYSYMMPVMGMTNCLASECFMKSDIFGQDFKTYDLLK -YDFTEHKEVLFNKYFKYWGQGYHPDCVDCYDEMCILHCSNFNTLFATTIPNTAFGPLCRKVFIDGVPVVA -TAGYHFKQLGLVWNKDVNTHSTRLTITELLQFVTDPALIVASSPALVDKRTVCFSVAALSTGLTSQTVKP -GHFNKEFYDFLRSQGFFDEGSELTLKHFFFTQKGDAAIKDFDYYRYNRPTMLDIGQARVAYQVASRYFDC -YEGGCITSREVVVTNLNKSAGWPLNKFGKAGLYYESISYEEQDAMFALTKRNILPTMTQLNLKYAISGKE -RARTVGGVSLLATMTTRQFHQKCLKSIVATRNATVVIGTTKFYGGWDNMLKNLIADVDDPKLMGWDYPKC -DRAMPSMIRMLSAMILGSKHVTCCTASDKFYRLSNELAQVLTEVVYSNGGFYFKPGGTTSGDATTAYANS -VFNIFQAVSSNINRILSVNSSNCNNLNVKKLQKQLYDNCYRNSNVDESFVDDFYGYLQKHFSMMILSDDG -VVCYNKIYAELGYIADISAFKATLYYQNGVFMSTAKCWTEEDLSVGPHEFCSQHTMQIVDENGKYYLPYP -DPSRIISAGVFVDDITKTDAVILLERYVSLAIDAYPLSKHPKPEYRKVFYALLDWVKYLNKTLNEGVLES -FSVTLLDEQESKFWDESFYASMYEKSTVLQAAGLCVVCGSQTVLRCGDCLRKPMLCTKCAYDHVFGTDHK -FILAITPYVCNTSGCNVNDVTKLYLGGLNYYCVDHKPHLSFPLCSAGNVFGLYKSSALGSIDVDVFNKLS -TSDWSDIRDYKLANEAKESLRLFAAETVKAKEESVKSSYAYATLKEIVGPKELLLSWESGKAKPPLNRNS -VFTCFQITKDSKFQVGEFVFEKVDYGSDTVTYKSTATTKLVPGMLFILTSHNVAPLRAPTMANQEKYSTI -YKLHPSFNVSDAYANLVPYYQLIGKQRITTIQGPPGSGKSHCSIGIGVYYPGARIVFTACSHAAVDSLCA -KAATAYSVDKCTRIIPARARVECYSGFKPNNNSAQYVFSTVNALPEVNADIVVVDEVSMCTNYDLSVINQ -RISYKHIVYVGDPQQLPAPRVLISKGVMEPIDYNVVTQRMCAIGPDVFLHKCYRCPAEIVNTVSELVYEN -KFVPVKEASKQCFKIFERGSVQVDNGSSINRRQLDVVKRFIHKNPTWSKAVFISPYNSQNYVAARLLGLQ -TQTVDSAQGSEYDYVIFAQTSDTAHACNANRFNVAITRAKKGIFCIMSDRTLFDALKFFEITMTDLQSEN -SCGLFKDCARNPIDLPPSHATTYLSLSDRFKTSGDLAVQIGSNNVCTYEHVISYMGFRFDVSMPGSHSLF -CTRDFAMRHVRGWLGMDVEGAHVTGDNVGTNVPLQVGFSNGVDFVAQPEGCVVTNTGSVVKPVRARAPPG -EQFTHLVPLLRKGQPWSVLRKRIVQMIADYLAGSSDVLVFVLWAGGLELTTMRYFVKIGAVKHCQCGTVA -TCYNSVSNDYCCFKHALGCDYVYNPYVIDIQQWGYVGSLSINHHAICNVHRNEHVASGDAIMTRCLAVYD -CFVKNVDWSITYPMIANEKAINRGGRTVQSHIMRAAIKLYNPKAIHDIGNPKGIRCAVTDAKWYCYDKDP -INSNVKTLEYDYMTHGQMDGLCLFWNCNVDMYPEFSIVCRFDTRTRSTLNLEGVNGGSLYVNNHAFHTPA -YDKRAMAKLKPAPFFYYDDGPCEVVHDQVNYVPLRATNCITKCNIGGAVCSKHANLYRAYVESYNTFTQA -GFNIWVPTTFDCYNLWQTFTEVNLQGLENIAFNVLKKGSFVCADGELPVAISGDKVFVRDGNIDNLVFVN -KTSLPTNIAFELFAKRKVGLTPPLSILKNLGVVATYKFVLWDYEAERPFTSFTKSVCGYTDFTEDVCTCY -DNSIQGSYERFTLSNNAVLFSATAVKAGGKSLPAIKLNFGMLNGNAIATVKSEDGNIKNVNWFVYVRKDG -KPVDHYDGFYTQGRNLQDFLPRSTMEEDFLNMDIGVFIQKYGLEDFNFEHVVYGDVSKTTLGGLHLLISQ -VRLSKMGILKAEEFVSASDITLKCCTVTYLNDPSYKTVCTYMDLLLDDFVAILKSLDLTVVSKVHEVIID -NKPWRWMLWCKDNAVATFYPQLQSAEWKCGYSMPGIYKTQRMCLEPCNLYNYGAGLKLPSGIMFNVVKYT -QLCQYLNSTTLCVPHNMRVLHLGAGSDYGVAPGTAVLKRWLPHDAIVVDNDVVDYVSDADFSVTGDCATV -YLEDKFDLLISDMYDGRTKAIDGENVSKEGFFTYINGVICEKLAIGGSVAIKVTEYSWNKKLYELVQKFS -FWTMFCTSVNTSSSEAFVVGINYLGDFAKGPFIDGNIIHANYVFWRNSTVMTLSYNSVLDLSKFNCKHKA -TVVVQLKDGDINEMVLSLVRNGKLLVRGNGKCLSFSNHLVSTK - ->YP_003766.2 ORF1ab polyprotein [Human coronavirus NL63] -MFYNQVTLAVASDSEISGFGFAIPSVAVRTYSEAAAQGFQACRFVAFGLQDCVTGINDDDYVIALTGTNQ -LCAKILPFSDRPLNLRGWLIFSNSNYVLQDFDVVFGHGAGSVVFVDKYMCGFDGKPVLPKNMWEFRDYFN -NNTDSIVIGGVTYQLAWDVIRKDLSYEQQNVLAIESIHYLGTTGHTLKSGCKLTNAKPPKYSSKVVLSGE -WNAVYRAFGSPFITNGMSLLDIIVKPVFFNAFVKCNCGSESWSVGAWDGYLSSCCGTPAKKLCVVPGNVV -PGDVIITSTSAGCGVKYYAGLVVKHITNITGVSLWRVTAVHSDGMFVASSSYDALLHRNSLDPFCFDVNT -LLSNQLRLAFLGASVTEDVKFAASTGVIDISAGMFGLYDDILTNNKPWFVRKASGLFDAIWDAFVAAIKL -VPTTTGVLVRFVKSIASTVLTVSNGVIIMCADVPDAFQSVYRTFTQAICAAFDFSLDVFKIGDVKFKRLG -DYVLTENALVRLTTEVVRGVRDARIKKAMFTKVVVGPTTEVKFSVIELATVNLRLVDCAPVVCPKGKIVV -IAGQAFFYSGGFYRFMVDPTTVLNDPVFTGDLFYTIKFSGFKLDGFNHQFVTASSATDAIIAVELLLLDF -KTAVFVYTCVVDGCSVIVRRDATFATHVCFKDCYNVWEQFCIDNCGEPWFLTDYNAILQSNNPQCAIVQA -SESKVLLERFLPKCPEILLSIDDGHLWNLFVEKFNFVTDWLKTLKLTLTSNGLLGNCAKRFRRVLVKLLD -VYNGFLETVCSVAYTAGVCIKYYAVNVPYVVISGFVSRVIRRERCDMTFPCVSCVTFFYEFLDTCFGVSK -PNAIDVEHLELKETVFVEPKDGGQFFVSGDYLWYVVDDIYYPASCNGVLPVAFTKLAGGKISFSDDVIVH -DVEPTHKVKLIFEFEDDVVTSLCKKSFGKSIIYTGDWEGLHEVLTSAMNVIGQHIKLPQFYIYDEEGGYD -VSKPVMISQWPISNDSNGCVVEASTDFHQLECIVDDSVREEVDIIEQPFEEVEHVLSIKQPFSFSFRDEL -GVRVLDQSDNNCWISTTLVQLQLTKLLDDSIEMQLFKVGKVDSIVQKCYELSHLISGSLGDSGKLLSELL -KEKYTCSITFEMSCDCGKKFDDQVGCLFWIMPYTKLFQKGECCICHKMQTYKLVSMKGTGVFVQDPAPID -IDAFPVKPICSSVYLGVKGSGHYQTNLYSFNKAIDGFGVFDIKNSSVNTVCFVDVDFHSVEIEAGEVKPF -AVYKNVKFYLGDISHLVNCVSFDFVVNAANENLLHGGGVARAIDILTEGQLQSLSKDYISSNGPLKVGAG -VMLECEKFNVFNVVGPRTGKHEHSLLVEAYNSILFENGIPLMPLLSCGIFGVRIENSLKALFSCDINKPL -QVFVYSSNEEQAVLKFLDGLDLTPVIDDVDVVKPFRVEGNFSFFDCGVNALDGDIYLLFTNSILMLDKQG -QLLDTKLNGILQQAALDYLATVKTVPAGNLVKLFVESCTIYMCVVPSINDLSFDKNLGRCVRKLNRLKTC -VIANVPAIDVLKKLLSSLTLTVKFVVESNVMDVNDCFKNDNVVLKITEDGINVKDVVVESSKSLGKQLGV -VSDGVDSFEGVLPINTDTVLSVAPEVDWVAFYGFEKAALFASLDVKPYGYPNDFVGGFRVLGTTDNNCWV -NATCIILQYLKPTFKSKGLNVLWNKFVTGDVGPFVSFIYFITMSSKGQKGDAEEALSKLSEYLISDSIVT -LEQYSTCDICKSTVVEVKSAIVCASVLKDGCDVGFCPHRHKLRSRVKFVNGRVVITNVGEPIISQPSKLL -NGIAYTTFSGSFDNGHYVVYDAANNAVYDGARLFSSDLSTLAVTAIVVVGGCVTSNVPTIVSEKISVMDK -LDTGAQKFFQFGDFVMNNIVLFLTWLLSMFSLLRTSIMKHDIKVIAKAPKRTGVILTRSFKYNIRSALFV -IKQKWCVIVTLFKFLLLLYAIYALVFMIVQFSPFNSLLCGDIVSGYEKSTFNKDIYCGNSMVCKMCLFSY -QEFNDLDHTSLVWKHIRDPILISLQPFVILVILLIFGNMYLRFGLLYFVAQFISTFGSFLGFHQKQWFLH -FVPFDVLCNEFLATFIVCKIVLFVRHIIVGCNNADCVACSKSARLKRVPLQTIINGMHKSFYVNANGGTC -FCNKHNFFCVNCDSFGPGNTFINGDIARELGNVVKTAVQPTAPAYVIIDKVDFVNGFYRLYSGDTFWRYD -FDITESKYSCKEVLKNCNVLENFIVYNNSGSNITQIKNACVYFSQLLCEPIKLVNSELLSTLSVDFNGVL -HKAYVDVLCNSFFKELTANMSMAECKATLGLTVSDDDFVSAVANAHRYDVLLSDLSFNNFFISYAKPEDK -LSVYDIACCMRAGSKVVNHNVLIKESIPIVWGVKDFNTLSQEGKKYLVKTTKAKGLTFLLTFNDNQAITQ -VPATSIVAKQGAGFKRTYNFLWYVCLFVVALFIGVSFIDYTTTVTSFHGYDFKYIENGQLKVFEAPLHCV -RNVFDNFNQWHEAKFGVVTTNSDKCPIVVGVSERINVVPGVPTNVYLVGKTLVFTLQAAFGNTGVCYDFD -GVTTSDKCIFNSACTRLEGLGGDNVYCYNTDLIEGSKPYSTLQPNAYYKYDAKNYVRFPEILARGFGLRT -IRTLATRYCRVGECRDSHKGVCFGFDKWYVNDGRVDDGYICGDGLIDLLVNVLSIFSSSFSVVAMSGHML -FNFLFAAFITFLCFLVTKFKRVFGDLSYGVFTVVCATLINNISYVVTQNLFFMLLYAILYFVFTRTVRYA -WIWHIAYIVAYFLLIPWWLLTWFSFAAFLELLPNVFKLKISTQLFEGDKFIGTFESAAAGTFVLDMRSYE -RLINTISPEKLKNYAASYNKYKYYSGSASEADYRCACYAHLAKAMLDYAKDHNDMLYSPPTISYNSTLQS -GLKKMAQPSGCVERCVVRVCYGSTVLNGVWLGDTVTCPRHVIAPSTTVLIDYDHAYSTMRLHNFSVSHNG -VFLGVVGVTMHGSVLRIKVSQSNVHTPKHVFKTLKPGDSFNILACYEGIASGVFGVNLRTNFTIKGSFIN -GACGSPGYNVRNDGTVEFCYLHQIELGSGAHVGSDFTGSVYGNFDDQPSLQVESANLMLSDNVVAFLYAA -LLNGCRWWLCSTRVNVDGFNEWAMANGYTSVSSVECYSILAAKTGVSVEQLLASIQHLHEGFGGKNILGY -SSLCDEFTLAEVVKQMYGVNLQSGKVIFGLKTMFLFSVFFTMFWAELFIYTNTIWINPVILTPIFCLLLF -LSLVLTMFLKHKFLFLQVFLLPTVIATALYNCVLDYYIVKFLADHFNYNVSVLQMDVQGLVNVLVCLFVV -FLHTWRFSKERFTHWFTYVCSLIAVAYTYFYSGDFLSLLVMFLCAISSDWYIGAIVFRLSRLIVFFSPES -VFSVFGDVKLTLVVYLICGYLVCTYWGILYWFNRFFKCTMGVYDFKVSAAEFKYMVANGLHAPHGPFDAL -WLSFKLLGIGGDRCIKISTVQSKLTDLKCTNVVLLGCLSSMNIAANSSEWAYCVDLHNKINLCDDPEKAQ -SMLLALLAFFLSKHSDFGLDGLIDSYFDNSSTLQSVASSFVSMPSYIAYENARQAYEDAIANGSSSQLIK -QLKRAMNIAKSEFDHEISVQKKINRMAEQAATQMYKEARSVNRKSKVISAMHSLLFGMLRRLDMSSVETV -LNLARDGVVPLSVIPATSASKLTIVSPDLESYSKIVCDGSVHYAGVVWTLNDVKDNDGRPVHVKEITKEN -VETLTWPLILNCERVVKLQNNEIMPGKLKQKPMKAEGDGGVLGDGNALYNTEGGKTFMYAYISNKADLKF -VKWEYEGGCNTIELDSPCRFMVETPNGPQVKYLYFVKNLNTLRRGAVLGFIGATIRLQAGKQTELAVNSG -LLTACAFSVDPATTYLEAVKHGAKPVSNCIKMLSNGAGNGQAITTSVDANTNQDSYGGASICLYCRAHVP -HPSMDGYCKFKGKCVQVPIGCLDPIRFCLENNVCNVCGCWLGHGCACDRTTIQSVDISYLNRARGSSAAR -LEPCNGTDIDKCVRAFDIYNKNVSFLGKCLKMNCVRFKNADLKDGYFVIKRCTKSVMEHEQSMYNLLNFS -GALAEHDFFTWKDGRVIYGNVSRHNLTKYTMMDLVYAMRNFDEQNCDVLKEVLVLTGCCDNSYFDSKGWY -DPVENEDIHRVYASLGKIVARAMLKCVALCDAMVAKGVVGVLTLDNQDLNGNFYDFGDFVVSLPNMGVPC -CTSYYSYMMPIMGLTNCLASECFVKSDIFGSDFKTFDLLKYDFTEHKENLFNKYFKHWSFDYHPNCSDCY -DDMCVIHCANFNTLFATTIPGTAFGPLCRKVFIDGVPLVTTAGYHFKQLGLVWNKDVNTHSVRLTITELL -QFVTDPSLIIASSPALVDQRTICFSVAALSTGLTNQVVKPGHFNEEFYNFLRLRGFFDEGSELTLKHFFF -AQNGDAAVKDFDFYRYNKPTILDICQARVTYKIVSRYFDIYEGGCIKACEVVVTNLNKSAGWPLNKFGKA -SLYYESISYEEQDALFALTKRNVLPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNT -RNATVVIGTTKFYGGWNNMLRTLIDGVENPMLMGWDYPKCDRALPNMIRMISAMVLGSKHVNCCTATDRF -YRLGNELAQVLTEVVYSNGGFYFKPGGTTSGDASTAYANSIFNIFQAVSSNINRLLSVPSDSCNNVNVRD -LQRRLYDNCYRLTSVEESFIDDYYGYLRKHFSMMILSDDGVVCYNKDYAELGYIADISAFKATLYYQNNV -FMSTSKCWVEEDLTKGPHEFCSQHTMQIVDKDGTYYLPYPDPSRILSAGVFVDDVVKTDAVVLLERYVSL -AIDAYPLSKHPNSEYRKVFYVLLDWVKHLNKNLNEGVLESFSVTLLDNQEDKFWCEDFYASMYENSTILQ -AAGLCVVCGSQTVLRCGDCLRKPMLCTKCAYDHVFGTDHKFILAITPYVCNASGCGVSDVKKLYLGGLNY -YCTNHKPQLSFPLCSAGNIFGLYKNSATGSLDVEVFNRLATSDWTDVRDYKLANDVKDTLRLFAAETIKA -KEESVKSSYAFATLKEVVGPKELLLSWESGKVKPPLNRNSVFTCFQISKDSKFQIGEFIFEKVEYGSDTV -TYKSTVTTKLVPGMIFVLTSHNVQPLRAPTIANQEKYSSIYKLHPAFNVSDAYANLVPYYQLIGKQKITT -IQGPPGSGKSHCSIGLGLYYPGARIVFVACAHAAVDSLCAKAMTVYSIDKCTRIIPARARVECYSGFKPN -NTSAQYIFSTVNALPECNADIVVVDEVSMCTNYDLSVINQRLSYKHIVYVGDPQQLPAPRVMITKGVMEP -VDYNVVTQRMCAIGPDVFLHKCYRCPAEIVNTVSELVYENKFVPVKPASKQCFKVFFKGNVQVDNGSSIN -RKQLEIVKLFLVKNPSWSKAVFISPYNSQNYVASRFLGLQIQTVDSSQGSEYDYVIYAQTSDTAHACNVN -RFNVAITRAKKGIFCVMCDKTLFDSLKFFEIKHADLHSSQVCGLFKNCTRTPLNLPPTHAHTFLSLSDQF -KTTGDLAVQIGSNNVCTYEHVISFMGFRFDISIPGSHSLFCTRDFAIRNVRGWLGMDVESAHVCGDNIGT -NVPLQVGFSNGVNFVVQTEGCVSTNFGDVIKPVCAKSPPGEQFRHLIPLLRKGQPWLIVRRRIVQMISDY -LSNLSDILVFVLWAGSLELTTMRYFVKIGPIKYCYCGNSATCYNSVSNEYCCFKHALGCDYVYNPYAFDI -QQWGYVGSLSQNHHTFCNIHRNEHDASGDAVMTRCLAVHDCFVKNVDWTVTYPFIANEKFINGCGRNVQG -HVVRAALKLYKPSVIHDIGNPKGVRCAVTDAKWYCYDKQPVNSNVKLLDYDYATHGQLDGLCLFWNCNVD -MYPEFSIVCRFDTRTRSVFNLEGVNGGSLYVNKHAFHTPAYDKRAFVKLKPMPFFYFDDSDCDVVQEQVN -YVPLRASSCVTRCNIGGAVCSKHANLYQKYVEAYNTFTQAGFNIWVPHSFDVYNLWQIFIETNLQSLENI -AFNVVKKGCFTGVDGELPVAVVNDKVFVRYGDVDNLVFTNKTTLPTNVAFELFAKRKMGLTPPLSILKNL -GVVATYKFVLWDYEAERPFTSYTKSVCKYTDFNEDVCVCFDNSIQGSYERFTLTTNAVLFSTVVIKNLTP -IKLNFGMLNGMPVSSIKGDKGVEKLVNWYIYVRKNGQFQDHYDGFYTQGRNLSDFTPRSDMEYDFLNMDM -GVFINKYGLEDFNFEHVVYGDVSKTTLGGLHLLISQFRLSKMGVLKADDFVTASDTTLRCCTVTYLNELS -SKVVCTYMDLLLDDFVTILKSLDLGVISKVHEVIIDNKPYRWMLWCKDNHLSTFYPQLQSAEWKCGYAMP -QIYKLQRMCLEPCNLYNYGAGIKLPSGIMLNVVKYTQLCQYLNSTTMCVPHNMRVLHYGAGSDKGVAPGT -TVLKRWLPPDAIIIDNDINDYVSDADFSITGDCATVYLEDKFDLLISDMYDGRIKFCDGENVSKDGFFTY -LNGVIREKLAIGGSVAIKITEYSWNKYLYELIQRFAFWTLFCTSVNTSSSEAFLIGINYLGDFIQGPFIA -GNTVHANYIFWRNSTIMSLSYNSVLDLSKFECKHKATVVVTLKDSDVNDMVLSLIKSGRLLLRNNGRFGG -FSNHLVSTK - ->NP_835353.1 putative coronavirus nsp10 (MB, NTPase/HEL) [Human coronavirus 229E] -AAGLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVFGTDHKFILAITPYVCNTSGCNVNDVTKLYLGGLNY -YCVDHKPHLSFPLCSAGNVFGLYKSSALGSMDIDVFNKLSTSDWSDIRDYKLANDAKESLRLFAAETVKA -KEESVKSSYAYATLKEIVGPKELLLLWESGKAKPPLNRNSVFTCFQITKDSKFQVGEFVFEKVDYGSDTV -TYKSTATTKLVPGMLFILTSHNVAPLRAPTMANQEKYSTIYKLHPSFNVSDAYANLVPYYQLIGKQRITT -IQGPPGSGKSHCSIGIGVYYPGARIVFTACSHAAVDSLCAKAVTAYSVDKCTRIIPARARVECYSGFKPN -NNSAQYVFSTVNALPEVNADIVVVDEVSMCTNYDLSVINQRISYKHIVYVGDPQQLPAPRVLISKGVMEP -IDYNVVTQRMCAIGPDVFLHKCYRCPAEIVNTVSELVYENKFVPVKEASKQCFKIFERGSVQVDNGSSIN -RRQLDVVKRFIHKNSTWSKAVFISPYNSQNYVAARLLGLQTQTVDSAQGSEYDYVIFAQTSDTAHACNAN -RFNVAITRAKKGIFCIMSDRTLFDALKFFEITMTDLQ - ->NP_073549.1 replicase polyprotein 1ab [Human coronavirus 229E] -MACNRVTLAVASDSEISANGCSTIAQAVRRYSEAASNGFRACRFVSLDLQDCIVGIADDTYVMGLHGNQT -LFCNIMKFSDRPFMLHGWLVFSNSNYLLEEFDVVFGKRGGGNVTYTDQYLCGADGKPVMSEDLWQFVDHF -GENEEIIINGHTYVCAWLTKRKPLDYKRQNNLAIEEIEYVHGDALHTLRNGSVLEMAKEVKTSSKVVLSD -ALDKLYKVFGSPVMTNGSNILEAFTKPVFISALVQCTCGTKSWSVGDWTGFKSSCCNVISNKLCVVPGNV -KPGDAVITTQQAGAGIKYFCGMTLKFVANIEGVSVWRVIALQSVDCFVASSTFVEEEHVNRMDTFCFNVR -NSVTDECRLAMLGAEMTSNVRRQVASGVIDISTGWFDVYDDIFAESKPWFVRKAEDIFGPCWSALASALK -QLKVTTGELVRFVKSICNSAVAVVGGTIQILASVPEKFLNAFDVFVTAIQTVFDCAVETCTIAGKAFDKV -FDYVLLDNALVKLVTTKLKGVRERGLNKVKYATVVVGSTEEVKSSRVERSTAVLTIANNYSKLFDEGYTV -VIGDVAYFVSDGYFRLMASPNSVLTTAVYKPLFAFNVNVMGTRPEKFPTTVTCENLESAVLFVNDKITEF -QLDYSIDVIDNEIIVKPNISLCVPLYVRDYVDKWDDFCRQYSNESWFEDDYRAFISVLDITDAAVKAAES -KAFVDTIVPPCPSILKVIDGGKIWNGVIKNVNSVRDWLKSLKLNLTQQGLLGTCAKRFKRWLGILLEAYN -AFLDTVVSTVKIGGLTFKTYAFDKPYIVIRDIVCKVENKTEAEWIELFPHNDRIKSFSTFESAYMPIADP -THFDIEEVELLDAEFVEPGCGGILAVIDEHVFYKKDGVYYPSNGTNILPVAFTKAAGGKVSFSDDVEVKD -IEPVYRVKLCFEFEDEKLVDVCEKAIGKKIKHEGDWDSFCKTIQSALSVVSCYVNLPTYYIYDEEGGNDL -SLPVMISEWPLSVQQAQQEATLPDIAEDVVDQVEEVNSIFDIETVDVKHDVSPFEMPFEELNGLKILKQL -DNNCWVNSVMLQIQLTGILDGDYAMQFFKMGRVAKMIERCYTAEQCIRGAMGDVGLCMYRLLKDLHTGFM -VMDYKCSCTSGRLEESGAVLFCTPTKKAFPYGTCLNCNAPRMCTIRQLQGTIIFVQQKPEPVNPVSFVVK -PVCSSIFRGAVSCGHYQTNIYSQNLCVDGFGVNKIQPWTNDALNTICIKDADYNAKVEISVTPIKNTVDT -TPKEEFVVKEKLNAFLVHDNVAFYQGDVDTVVNGVDFDFIVNAANENLAHGGGLAKALDVYTKGKLQRLS -KEHIGLAGKVKVGTGVMVECDSLRIFNVVGPRKGKHERDLLIKAYNTINNEQGTPLTPILSCGIFGIKLE -TSLEVLLDVCNTKEVKVFVYTDTEVCKVKDFVSGLVNVQKVEQPKIEPKPVSVIKVAPKPYRVDGKFSYF -TEDLLCVADDKPIVLFTDSMLTLDDRGLALDNALSGVLSAAIKDCVDINKAIPSGNLIKFDIGSVVVYMC -VVPSEKDKHLDNNVQRCTRKLNRLMCDIVCTIPADYILPLVLSSLTCNVSFVGELKAAEAKVITIKVTED -GVNVHDVTVTTDKSFEQQVGVIADKDKDLSGAVPSDLNTSELLTKAIDVDWVEFYGFKDAVTFATVDHSA -FAYESAVVNGIRVLKTSDNNCWVNAVCIALQYSKPHFISQGLDAAWNKFVLGDVEIFVAFVYYVARLMKG -DKGDAEDTLTKLSKYLANEAQVQLEHYSSCVECDAKFKNSVASINSAIVCASVKRDGVQVGYCVHGIKYY -SRVRSVRGRAIIVSVEQLEPCAQSRLLSGVAYTAFSGPVDKGHYTVYDTAKKSMYDGDRFVKHDLSLLSV -TSVVMVGGYVAPVNTVKPKPVINQLDEKAQKFFDFGDFLIHNFVIFFTWLLSMFTLCKTAVTTGDVKIMA -KAPQRTGVVLKRSLKYNLKASAAVLKSKWWLLAKFTKLLLLIYTLYSVVLLCVRFGPFNFCSETVNGYAK -SNFVKDDYCDGSLGCKMCLFGYQELSQFSHLDVVWKHITDPLFSNMQPFIVMVLLLIFGDNYLRCFLLYF -VAQMISTVGVFLGYKETNWFLHFIPFDVICDELLVTVIVIKVISFVRHVLFGCENPDCIACSKSARLKRF -PVNTIVNGVQRSFYVNANGGSKFCKKHRFFCVDCDSYGYGSTFITPEVSRELGNITKTNVQPTGPAYVMI -DKVEFENGFYRLYSCETFWRYNFDITESKYSCKEVFKNCNVLDDFIVFNNNGTNVTQVKNASVYFSQLLC -RPIKLVDSELLSTLSVDFNGVLHKAYIDVLRNSFGKDLNANMSLAECKRALGLSISDHEFTSAISNAHRC -DVLLSDLSFNNFVSSYAKPEEKLSAYDLACCMRAGAKVVNANVLTKDQTPIVWHAKDFNSLSAEGRKYIV -KTSKAKGLTFLLTINENQAVTQIPATSIVAKQGAGDAGHSLTWLWLLCGLVCLIQFYLCFFMPYFMYDIV -SSFEGYDFKYIENGQLKNFEAPLKCVRNVFENFEDWHYAKFGFTPLNKQSCPIVVGVSEIVNTVAGIPSN -VYLVGKTLIFTLQAAFGNAGVCYDIFGVTTPEKCIFTSACTRLEGLGGNNVYCYNTALMEGSLPYSSIQA -NAYYKYDNGNFIKLPEVIAQGFGFRTVRTIATKYCRVGECVESNAGVCFGFDKWFVNDGRVANGYVCGTG -LWNLVFNILSMFSSSFSVAAMSGQILLNCALGAFAIFCCFLVTKFRRMFGDLSVGVCTVVVAVLLNNVSY -IVTQNLVTMIAYAILYFFATRSLRYAWIWCAAYLIAYISFAPWWLCAWYFLAMLTGLLPSLLKLKVSTNL -FEGDKFVGTFESAAAGTFVIDMRSYEKLANSISPEKLKSYAASYNRYKYYSGNANEADYRCACYAYLAKA -MLDFSRDHNDILYTPPTVSYGSTLQAGLRKMAQPSGFVEKCVVRVCYGNTVLNGLWLGDIVYCPRHVIAS -NTTSAIDYDHEYSIMRLHNFSIISGTAFLGVVGATMHGVTLKIKVSQTNMHTPRHSFRTLKSGEGFNILA -CYDGCAQGVFGVNMRTNWTIRGSFINGACGSPGYNLKNGEVEFVYMHQIELGSGSHVGSSFDGVMYGGFE -DQPNLQVESANQMLTVNVVAFLYAAILNGCTWWLKGEKLFVEHYNEWAQANGFTAMNGEDAFSILAAKTG -VCVERLLHAIQVLNNGFGGKQILGYSSLNDEFSINEVVKQMFGVNLQSGKTTSMFKSISLFAGFFVMFWA -ELFVYTTTIWVNPGFLTPFMILLVALSLCLTFVVKHKVLFLQVFLLPSIIVAAIQNCAWDYHVTKVLAEK -FDYNVSVMQMDIQGFVNIFICLFVALLHTWRFAKERCTHWCTYLFSLIAVLYTALYSYDYVSLLVMLLCA -ISNEWYIGAIIFRICRFGVAFLPVEYVSYFDGVKTVLLFYMLLGFVSCMYYGLLYWINRFCKCTLGVYDF -CVSPAEFKYMVANGLNAPNGPFDALFLSFKLMGIGGPRTIKVSTVQSKLTDLKCTNVVLMGILSNMNIAS -NSKEWAYCVEMHNKINLCDDPETAQELLLALLAFFLSKHSDFGLGDLVDSYFENDSILQSVASSFVGMPS -FVAYETARQEYENAVANGSSPQIIKQLKKAMNVAKAEFDRESSVQKKINRMAEQAAAAMYKEARAVNRKS -KVVSAMHSLLFGMLRRLDMSSVDTILNMARNGVVPLSVIPATSAARLVVVVPDHDSFVKMMVDGFVHYAG -VVWTLQEVKDNDGKNVHLKDVTKENQEILVWPLILTCERVVKLQNNEIMPGKMKVKATKGEGDGGITSEG -NALYNNEGGRAFMYAYVTTKPGMKYVKWEHDSGVVTVELEPPCRFVIDTPTGPQIKYLYFVKNLNNLRRG -AVLGYIGATVRLQAGKQTEFVSNSHLLTHCSFAVDPAAAYLDAVKQGAKPVGNCVKMLTNGSGSGQAITC -TIDSNTTQDTYGGASVCIYCRAHVAHPTMDGFCQYKGKWVQVPIGTNDPIRFCLENTVCKVCGCWLNHGC -TCDRTAIQSFDNSYLNRVRGSSAARLEPCNGTDIDYCVRAFDVYNKDASFIGKNLKSNCVRFKNVDKDDA -FYIVKRCIKSVMDHEQSMYNLLKGCNAVAKHDFFTWHEGRTIYGNVSRQDLTKYTMMDLCFALRNFDEKD -CEVFKEILVLTGCCSTDYFEMKNWFDPIENEDIHRVYAALGKVVANAMLKCVAFCDEMVLKGVVGVLTLD -NQDLNGNFYDFGDFVLCPPGMGIPYCTSYYSYMMPVMGMTNCLASECFMKSDIFGQDFKTFDLLKYDFTE -HKEVLFNKYFKYWGQDYHPDCVDCHDEMCILHCSNFNTLFATTIPNTAFGPLCRKVFIDGVPVVATAGYH -FKQLGLVWNKDVNTHSTRLTITELLQFVTDPTLIVASSPALVDKRTVCFSVAALSTGLTSQTVKPGHFNK -EFYDFLRSQGFFDEGSELTLKHFFFTQKGDAAIKDFDYYRYNRPTMLDIGQARVAYQVAARYFDCYEGGC -ITSREVVVTNLNKSAGWPLNKFGKAGLYYESISYEEQDAIFSLTKRNILPTMTQLNLKYAISGKERARTV -GGVSLLATMTTRQFHQKCLKSIVATRNATVVIGTTKFYGGWDNMLKNLMADVDDPKLMGWDYPKCDRAMP -SMIRMLSAMILGSKHVTCCTASDKFYRLSNELAQVLTEVVYSNGGFYFKPGGTTSGDATTAYANSVFNIF -QAVSSNINCVLSVNSSNCNNFNVKKLQRQLYDNCYRNSNVDESFVDDFYGYLQKHFSMMILSDDSVVCYN -KTYAGLGYIADISAFKATLYYQNGVFMSTAKCWTEEDLSIGPHEFCSQHTMQIVDENGKYYLPYPDPSRI -ISAGVFVDDITKTDAVILLERYVSLAIDAYPLSKHPKPEYRKVFYALLDWVKHLNKTLNEGVLESFSVTL -LDEHESKFWDESFYASMYEKSTVLQAAGLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVFGTDHKFILAI -TPYVCNTSGCNVNDVTKLYLGGLNYYCVDHKPHLSFPLCSAGNVFGLYKSSALGSMDIDVFNKLSTSDWS -DIRDYKLANDAKESLRLFAAETVKAKEESVKSSYAYATLKEIVGPKELLLLWESGKAKPPLNRNSVFTCF -QITKDSKFQVGEFVFEKVDYGSDTVTYKSTATTKLVPGMLFILTSHNVAPLRAPTMANQEKYSTIYKLHP -SFNVSDAYANLVPYYQLIGKQRITTIQGPPGSGKSHCSIGIGVYYPGARIVFTACSHAAVDSLCAKAVTA -YSVDKCTRIIPARARVECYSGFKPNNNSAQYVFSTVNALPEVNADIVVVDEVSMCTNYDLSVINQRISYK -HIVYVGDPQQLPAPRVLISKGVMEPIDYNVVTQRMCAIGPDVFLHKCYRCPAEIVNTVSELVYENKFVPV -KEASKQCFKIFERGSVQVDNGSSINRRQLDVVKRFIHKNSTWSKAVFISPYNSQNYVAARLLGLQTQTVD -SAQGSEYDYVIFAQTSDTAHACNANRFNVAITRAKKGIFCIMSDRTLFDALKFFEITMTDLQSESSCGLF -KDCARNPIDLPPSHATTYLSLSDRFKTSGDLAVQIGNNNVCTYEHVISYMGFRFDVSMPGSHSLFCTRDF -AMRHVRGWLGMDVEGAHVTGDNVGTNVPLQVGFSNGVDFVAQPEGCVLTNTGSVVKPVRARAPPGEQFTH -IVPLLRKGQPWSVLRKRIVQMIADFLAGSSDVLVFVLWAGGLELTTMRYFVKIGAVKHCQCGTVATCYNS -VSNDYCCFKHALGCDYVYNPYVIDIQQWGYVGSLSTNHHAICNVHRNEHVASGDAIMTRCLAVYDCFVKN -VDWSITYPMIANENAINKGGRTVQSHIMRAAIKLYNPKAIHDIGNPKGIRCAVTDAKWYCYDKNPINSNV -KTLEYDYMTHGQMDGLCLFWNCNVDMYPEFSIVCRFDTRTRSTLNLEGVNGGSLYVNNHAFHTPAYDKRA -MAKLKPAPFFYYDDGSCEVVHDQVNYVPLRATNCITKCNIGGAVCSKHANLYRAYVESYNIFTQAGFNIW -VPTTFDCYNLWQTFTEVNLQGLENIAFNVVNKGSFVGADGELPVAISGDKVFVRDGNTDNLVFVNKTSLP -TNIAFELFAKRKVGLTPPLSILKNLGVVATYKFVLWDYEAERPLTSFTKSVCGYTDFAEDVCTCYDNSIQ -GSYERFTLSTNAVLFSATAVKTGGKSLPAIKLNFGMLNGNAIATVKSEDGNIKNINWFVYVRKDGKPVDH -YDGFYTQGRNLQDFLPRSTMEEDFLNMDIGVFIQKYGLEDFNFEHVVYGDVSKTTLGGLHLLISQVRLSK -MGILKAEEFVAASDITLKCCTVTYLNDPSSKTVCTYMDLLLDDFVSVLKSLDLTVVSKVHEVIIDNKPWR -WMLWCKDNAVATFYPQLQSAEWKCGYSMPGIYKTQRMCLEPCNLYNYGAGLKLPSGIMFNVVKYTQLCQY -FNSTTLCVPHNMRVLHLGAGSDYGVAPGTAVLKRWLPHDAIVVDNDVVDYVSDADFSVTGDCATVYLEDK -FDLLISDMYDGRTKAIDGENVSKEGFFTYINGFICEKLAIGGSIAIKVTEYSWNKKLYELVQRFSFWTMF -CTSVNTSSSEAFVVGINYLGDFAQGPFIDGNIIHANYVFWRNSTVMSLSYNSVLDLSKFNCKHKATVVVQ -LKDSDINEMVLSLVRSGKLLVRGNGKCLSFSNHLVSTK - ->YP_009924394.1 nsp13 [Rousettus bat coronavirus HKU9] -SVGTCVVCNSQTSLRCGGCIRRPFLCCKCCYDHVVSTTHKLVLSVTPYVCNNPSCDVADVTQLYLGGMSY -YCRDHRPPISFPLCANGQVFGLYKNICTGSPDVADFNSLATCDWSNSKDYVLANTATERLKLFAAETLRA -TEENAKQAYASAVVKEVLSDRELVLSWETGKTRPPLNRNYVFTGFHITKNSKVQLGEYIFEKGDYGDVVN -YRSSTTYKLQVGDYFVLTSHSVQPLSSPTLLPQERYTKLVGLYPAMNVPESFASNVVHYQRVGMSRYTTV -QGPPGTGKSHLSIGLALYYPSAKIVYTACSHAAVDALCEKAHKNLPINRCSRIVPAKARVECFSKFKVND -VGAQYVFSTINALPETTADILVVDEVSMCTNYDLSMINARVRAKHIVYVGDPAQLPAPRTLLTKGTLAPE -HFNSVCRLMVAVGPDIFLATCYRCPKEIVDTVSALVYDKKLKANKVTTGECYKCYYKGSVTHDSSSAINK -PQLGLVKEFLIKNPKWQSAVFISPYNSQNSVARRMLGLQTQTVDSSQGSEFDYVIYCQTSDTAHALNVNR -FNVAITRAKKGILCVMSDSTLYESLEFTPLDVNDYVKPKMQ - ->YP_009944303.1 nsp13 [Betacoronavirus England 1] -AVGSCVVCHSQTSLRCGTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCGVSDVTKLYLGGMSY -FCVDHRPVCSFPLCANGLVFGLYKNMCTGSPSIVEFNRLATCDWTESGDYTLANTTTEPLKLFAAETLRA -TEEASKQSYAIATIKEIVGERQLLLVWEAGKSKPPLNRNYVFTGYHITKNSKVQLGEYIFERIDYSDAVS -YKSSTTYKLTVGDIFVLTSHSVATLTAPTIVNQERYVKITGLYPTITVPEEFASHVANFQKSGYSKYVTV -QGPPGTGKSHFAIGLAIYYPTARVVYTACSHAAVDALCEKAFKYLNIAKCSRIIPAKARVECYDRFKVNE -TNSQYLFSTINALPETSADILVVDEVSMCTNYDLSIINARIKAKHIVYVGDPAQLPAPRTLLTRGTLEPE -NFNSVTRLMCNLGPDIFLSMCYRCPKEIVSTVSALVYNNKLLAKKELSGQCFKILYKGNVTHDASSAINR -PQLTFVKNFITANPAWSKAVFISPYNSQNAVARSMLGLTTQTVDSSQGSEYQYVIFCQTADTAHANNINR -FNVAITRAQKGILCVMTSQALFESLEFTELSFTNYKLQ - ->YP_009944350.1 nsp13 [Pipistrellus bat coronavirus HKU5] -AVGSCVVCHSQTSLRCGTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCDVADVTKLYLGGMSY -FCIDHRPVCSFPLCANGLVFGLYKNMCTGSPSVTEFNRLATCDWTESGDYTLANTTTEPLKLFAAETLRA -TEEASKQSYAIATIKEIVGERELLLVWEAGKAKPPLNRNYVFTGYHITKNSKVQLGEYVFERIDYSDAVS -YKSSTTYKLAVGDIFVLTSHSVATLQAPTIVNQERYVKITGLYPTLTVPEEFANHVANFQKAGFSKFVTV -QGPPGTGKSHFAIGLAIYYPTARVVYTACSHAAVDALCEKAFKYLNIAKCSRIIPAKARVECYDQFKVNE -TNSQYLFSTINALPETSADILVVDEVSMCTNYDLSVINARIKAKHIVYVGDPAQLPAPRTLLTRGTLEPE -NFNSVTRLMCNLGPDIFLSVCYRCPEEIVNTVSALVYNNKLVAKKPASGQCFKILYKGSVTHDASSAINR -PQLNFVKSFIAANPNWSKAVFISPYNSQNAVARSVLGLTTQTVDSSQGSEYPYVIFCQTADTAHANNINR -FNVAVTRAQKGILCVMTSQALFDSLEFAEVSLNNYKLQ - ->YP_009944321.1 nsp13 [Tylonycteris bat coronavirus HKU4] -AVGSCVVCHSQTSLRCGTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCDVSDVTKLYLGGMSY -YCNDHRPVCSFPLCANGLVFGLYKNMCTGSSSIMEFNRLATCDWSDSGDYTLANTTTEPLKLFAAETLRA -TEEASKQSYAIATIKEIVGERELILVWEVGKSKPPLNRNYVFTGYHLTKNSKVQLGEYVFERIDYSDAVS -YKSSTTYKLAVGDIFVLTSHSVATLSAPTIVNQERYLKITGIYPTITVPEEFANHVVNFQKAGFSKYVTV -QGPPGTGKSHFAIGLAIYYPTARIVYTACSHAAVDALCEKAFKYLNIAKCSRIIPAKARVECYDRFKVND -TNSQYLFSTVNALPEISVDILVVDEVSMCTNYDLSIINSRVKAKHIVYVGDPAQLPAPRTLLIRGTLEPE -NFNSVTRLMCNLGPDIFLSVCYRCPKEIVSTVSALVYNNKLSAKKDASGQCFKILFKGSVTHDASSAINR -PQLNFVKTFIAANPNWSKAVFISPYNSQNAVARSMLGLTTQTVDSSQGSEYPYVIFCQTADTAHANNLNR -FNVAVTRAQKGILCVMTSQVLFDSLEFAELSLNNYKLQ - ->YP_459942.1 nsp13 [Human coronavirus HKU1] -SVGACVVCSSQTSLRCGSCIRKPLLCCKCCYDHVMATNHKYVLSVSPYVCNAPNCDVSDVTKLYLGGMSY -YCENHKPHYSFKLVMNGMVFGLYKQSCTGSPYIDDFNKIASCKWTEVDDYVLANECIERLKLFAAETQKA -TEEAFKQSYASATIQEIVSDREVILCWETGKVKPPLNKNYVFTGYHFTSTGKTVLGEYVFDKSELTNGVY -YRATTTYKLSIGDVFVLTSHSVASLSAPTLVPQENYASIRFSSVYSVPLVFQNNVANYQHIGMKRYCTVQ -GPPGTGKSHLAIGLAVYYYTARVVYTAASHAAVDALCEKAYKFLNINDCTRIIPAKVRVDCYDKFKINDT -TCKYVFTTINALPELVTDIVVVDEVSMLTNYELSVINARIKAKHYVYIGDPAQLPAPRVLLSKGSLEPRH -FNSITKIMCCLGPDIFLGNCYRCPKEIVETVSALVYDNKLKAKNDNSSLCFKVYFKGQTTHESSSAVNIQ -QIYLISKFLKANPVWNSAVFISPYNSQNYVAKRVLGVQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRF -NVAITRAKKGIFCVMSNMQLFESLNFITLPLDKIQNQTLPRLH - ->NP_828870.1 nsp13 [SARS coronavirus Tor2] -AVGACVLCNSQTSLRCGACIRRPFLCCKCCYDHVISTSHKLVLSVNPYVCNAPGCDVTDVTQLYLGGMSY -YCKSHKPPISFPLCANGQVFGLYKNTCVGSDNVTDFNAIATCDWTNAGDYILANTCTERLKLFAAETLKA -TEETFKLSYGIATVREVLSDRELHLSWEVGKPRPPLNRNYVFTGYRVTKNSKVQIGEYTFEKGDYGDAVV -YRGTTTYKLNVGDYFVLTSHTVMPLSAPTLVPQEHYVRITGLYPTLNISDEFSSNVANYQKVGMQKYSTL -QGPPGTGKSHFAIGLALYYPSARIVYTACSHAAVDALCEKALKYLPIDKCSRIIPARARVECFDKFKVNS -TLEQYVFCTVNALPETTADIVVFDEISMATNYDLSVVNARLRAKHYVYIGDPAQLPAPRTLLTKGTLEPE -YFNSVCRLMKTIGPDMFLGTCRRCPAEIVDTVSALVYDNKLKAHKDKSAQCFKMFYKGVITHDVSSAINR -PQIGVVREFLTRNPAWRKAVFISPYNSQNAVASKILGLPTQTVDSSQGSEYDYVIFTQTTETAHSCNVNR -FNVAITRAKIGILCIMSDRDLYDKLQFTSLEIPRRNVATLQ - ->YP_009924420.1 nsp13 [Rabbit coronavirus HKU14] -SVGACVVCSSQTSLRCGSCIRKPLLCCKCCYDHVMATDHKYVLSVSPYVCNSPGCDVNDVTKLYLGGMSY -YCEDHKPQYSFKLVMNGMVFGLYKQSCTGSPYIDDFNRIASCKWTDVDDYILANECTERLKLFAAETQKA -TEEAFKQSYASATIQEIVSDRELILSWETGKVKPPLNKNYVFTGYHFTKNGKTVLGEYIFDKSELTNGVY -YRATTTYKLSVGDVFVLTSHSVANLSAPTLVPQENYSSIRFASVYSVLETFQSNVVNYQHIGMKRYCTVQ -GPPGTGKSHLAIGLAVYYCTARVVYTAASHAAVDALCEKAYKFLNINDCTRIVPAKVRVDCYDKFKINDT -SRKYVFTTINALPEMVTDIVVVDEVSMLTNYELSVINARIRAKHYVYIGDPAQLPAPRVLLSKGSLEPKY -FNTVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYDNKLKAKNENSSLCFKVYFKGVTTHESSSAVNMQ -QIYLISKFLKANPLWHNAVFISPYNSQNFAAKRVLGLQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRF -NVAITRAKKGILCVMCNMQLFEALQFTALTLDKVPSKLQ - ->YP_009915684.1 nsp13 [Murine hepatitis virus] -SVGACVVCSSQTSLRCGSCIRKPLLCCKCAYDHVMSTDHKYVLSVSPYVCNSPGCDVNDVTKLYLGGMSY -YCEDHKPQYSFKLVMNGMVFGLYKQSCTGSPYIEDFNKIASCKWTEVDDYVLANECTERLKLFAAETQKA -TEEAFKQCYASATIREIVSDRELILSWEIGKVRPPLNKNYVFTGYHFTNNGKTVLGEYVFDKSELTNGVY -YRATTTYKLSVGDVFILTSHAVSSLSAPTLVPQENYTSIRFASVYSVPETFQNNVPNYQHIGMKRYCTVQ -GPPGTGKSHLAIGLAVYYCTARVVYTAASHAAVDALCEKAHKFLNINDCTRIVPAKVRVDCYDKFKVNDT -TRKYVFTTINALPELVTDIIVVDEVSMLTNYELSVINSRVSAKHYVYIGDPAQLPAPRVLLNKGTLEPRY -FNSVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYNNKLKAKNDNSSMCFKVYYKGQTTHESSSAVNMQ -QIHLISKFLKANPSWSNAVFISPYNSQNYVAKRVLGLQTQTVDSAQGSEYDFVIYSQTAETAHSVNVNRF -NVAITRAKKGILCVMSSMQLFESLNFTTLTLDKINNPRLQ - ->YP_209240.1 nsp13; zinc-binding domain and helicase [Murine hepatitis virus strain JHM] -SVGACVVCSSQTSLRCGSCIRKPLLCCKCAYDHVMSTDHKYVLSVSPYVCNSPGCDVNDVTKLYLGGMSY -YCEAHKPQYSFKLVMNGMVFGLYKQSCTGSPYIEDFNKIASCKWTEVDDYVLANECTERLKLFAAETQKA -TEEAFKQCYASATIREIVSDRELILSWEIGKVRPPLNKNYVFTGYHFTNNGKTVLGEYVFDKSELTNGVY -YRATTTYKLSVGDVFILTSHAVSSLSAPTLVPQENYTSVRFASAYSVPETFQNNVPNYQHIGIKRYCTVQ -GPPGTGKSHLAIGHAVYYCTARVVYTAASHAAVDALCEKAHKFLNINDCARIVPAKLRVDCYDKFNVNDT -TRKYVFTTINALPELVTDIIVVDEVSMLTNYELSVINSRVRAKHYVYIGDPAQLPAPRVLLNKGTLEPRY -FNSVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYNNKLKAKNDNSAMCFKVYYKGQTTHESSSAVNMQ -QIHLISKLLKANPSWSNAVFISPYNSQNYVAKRVLGLQTQTADSAQGSAYDFVIYSQTAQTAHSVNVNRF -NVAITRAKKGILCVMSSMQLIGVFNFTTLTLDKINNPRLQ - ->YP_009555254.1 nsp10 [Human coronavirus OC43] -SVGACVVCSSQTSLRCGSCIRKPLLCCKCCYDHVMATDHKYVLSVSPYVCNAPGCDVNDVTKLYLGGMSY -YCEDHKPQYSFKLVMNGLVFGLYKQSCTGSPYIDDFNRIASCKWTDVDDYILANECTERLKLFAAETQKA -TEEAFKQSYASATIQEIVSERELILSWEIGKVKPPLNKNYVFTGYHFTKNGKTVLGEYVFDKSELTNGVY -YRATTTYKLSVGDVFVLTSHSVANLSAPTLVPQENYSSIRFASVYSVLETFQNNVVNYQHIGMKRYCTVQ -GPPGTGKSHLAIGLAVFYCTARVVYTAASHAAVDALCEKAYKFLNINDCTRIVPAKVRVECYDKFKINDT -TRKYVFTTINALPEMVTDIVVVDEVSMLTNYELSVINARIRAKHYVYIGDPAQLPAPRVLLSKGTLEPKY -FNTVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYENKLKAKNESSSLCFKVYYKGVTTHESSSAVNMQ -QIYLINKFLKANPLWHKAVFISPYNSQNFAAKRVLGLQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRF -NVAITRAKKGILCVMSNMQLFEALQFTTLTLDKVPQAVETKVQ - ->YP_009824965.1 ORF1ab protein [NL63-related bat coronavirus] -MAYNQLTLAVASDSEISGHGCSSLSEAVHSYSVAASSGFGNCRFVSFGLQDLVNGVKDDDFVLCLTGDNV -LRATIGPFGDRPCNLRGWLIFSNSNYTLQDFDVSFGGFGGNKIPVDQYMCGYDGKPVIPAGSWEFKNYFE -DGNEITLNGVVYYLAWQVERKPLPHANQNLLSITEILYLSKEQHTLLPGSILTTAAPPKRSAKVVLSDDW -KSLYEECGSPFVNNGTTLLEVVPKPVFLNAFVNCKCGNSCWSVGDWTGYKSACCGENAQRVCVVPGEVVA -GDIVVTSKAAGVGVKYYTGMTLKFVKECGNVHLWRVIAVQSSDGFVASSTYDEDAHYRKLDPFCFDVNTQ -MAKDLRLAFLGNQPSDAVKNAVCSGVIDISAGYFGLYDDIINSHKPWFVRKLSGLVDVAWNKFVTALKNL -PVTSAQLVKFLKAVGSAAIAVTNGVIRIVADVPSMFADAFELLKSAVSTIFDAASEVVTIGGQQFRAIGS -YILLNNAIVKIVTEKVKGVREHSLRTAKYANAVIGCTVDVTPTHIEPAVVSLKLVDQAPPIDKKGRIKVI -GGQAFYFCNGVYRLMATPTAVLQEPVFAGEASYNIKFKESPPSGFTQRVVTASTSADAVLEVESLVSHYR -TPFLSFVVSVEKGEIAVKREYMLCTPFYMKPVADKWEAFCVRHCLEPWFADDYGVMCNAVGVDTCKPSHA -KSQEFLGNLRPKCPTVLFQIDGGKIWDTVVNSCKALFDFVKSAQFTLTPDGLLGSCAKRFRQFMDLLLKL -YNEFLGLTCKAVKTAGVTFKFYAVEQPYVVFNGVLSRLARKKPADVDCSFDSRVGEFWAFIDATYAIDNP -KVADVEPLELSEVDFVPPTNGGRFVISDDFLWYNKDDLYYPAGLSGVLPKAFTKNAGGKITFSDEVVVHD -IEPTHKVKLIYEFEDDKIADICKKTIGKRIVHTGDWDALCNTLRTALDVLSKHVKLPECFIYDEEGGNDY -TKPVMISQWPAAASSDDESVEDVCEDPVDDSADVDESMKGEPQIQDPEPEIIIDEVQEVNDALNFIVPTE -SSYKPDPFAYPYNDFNGLKVLKQSHNNCWVNATCIQLQLTGLLSDEPAVQLFKAGRVAPLVKQCYEALST -IKGALGDVAECMDKLLKDSFTMFITCDTVCGCKVSRAEFAGSFFRFMPVATPFPYGGCSNCRKAIMHTIR -SIKGTGVFSQAKPAPFDVKDSIVPVKCAAVYLGSTEAGHYKVNIFDKKVSVDGMGVTPINNNNVNTLCVV -DVDYTSTAETPVLEVDMKPFAISGDVQFFQGEVGKVLTSVKHDFVVNAANEKLAHGGGIAKALNDFTGGE -LQVLSDRYIKKNGPVKVGAGAMIDCKAARVLNVVGPRAGKHEVSLLTKAYKTILRTPGFGLTPILSCGIF -GVKLETSLNVLLDVITGKPLSVVVYSDSEVDAVKTVLASRKSAVSEEVVPPADKPAAEEIAPVSEPADVV -DDVVVQKSGVDIQPFRVEGNFEFYNCNITDLTGPEPFVLFTSPTLKLDKHGEDLDKQLNGVLSSAISDYL -SKNKAVPAGNMIKLVVENTTVYMSVVPNELDPAFSKNVERCAHKLNRLKLSFVTNLPPSYALRGLLSSLT -VRIKFVTHTIEVVDECFKPSVVTVKVTEDGVNVKDVVVDTSQSYQSQIGVVSDGKDVLSGTLPSVQDEGQ -VLVVAPNVDWQTYYGFPEAEAFSTFDCKPYEFENDVVNGMRVMKTSDNNCWVNATCIALQYLKPSFKSEG -LRALWNKFVLGDVGQFVRFVYHIAGANKGDKGDAEDTLFKLSKFIESDAVTVLEQYSACVECADTTVTVS -GAVVCASVLRDGCDAGHCPHRCKVRARVKSVKGRAVVTNVEVPTVLPANRLLKGVAYVAFSGPVDSGHYT -VFDARGKSMYDGDRYLAHDLSTLAVSSVVMTGGYTAHNVTQSVVVKPEPTVMERIDKGAGTFFKWGDFLM -HNFVLFFTWLFTMCSLVVTTLRKKDIKIMAMAPERTGVVLRKSLKYNVRASITVVKQKWWLLSMFFKLLL -LLYTVYAAVFMSVRFGPFNSHLCDGVVSGYEESSFDKDLYCGNSPMCKMCLFGYQELNDFEHTSVIWRHL -TDPLFSSFKPVIVLGLMLIFGNMYLRFSLLYFVAQYFNKLGVFFGFQQTQWYLNVLPFDLVCDELIVSVI -VYKALMFLRHVLLGCTDPNCVACSKSARLRRVPLQTIVNGTMRSFYVSANGGAKLCKKHNFFCVNCDEFG -PGNTFINGEIARELGNVTKTSVQPTAPAYVIIDKVDFADGFYRLYAGETFWRYDFDITEPKYGCKEVLKN -CNTLVDFIVFNNSGTNVTQVKNLCVYLSQLLCKPIKLVDSELLATLSVDFNGVLHKAYVEVLCNSFAKEL -NANMSMAECKAALGVTVSDEDFVNAVANAHRHDILLSEASFNNFVTSYAKPEEKLSAYDVACCMRAGAKV -VNHNVLIKESLSIVWSARDFNTLSQEGRKYIVKTTKAKGLTFLLTFNDNAAITQVPATSIVSKQGAGFKK -TYSFIWYTCLFIVALFSLISLWDFTTYVDSFPGYDFKYIENGQLKVFDKPLGCVRNVFNDFNQWHQAKFG -SVPTNSDKCPIVVGVSERTNVVPGIPTNVYLVGKTLVFTVQAAFSDTGVCYDLYGPTTPERCIFNSACTK -LEGLGGVGVYCHEANLVDGAKLYSDLQPNTYYRYDKDNYVRLPEILMRGFGFKTIRTLATTYCRVGECID -SMRGVCFGLDKWFVYDGSTDGHVCGDGLLDLLLNVLSIFSASFSVAAMSGQIVFNFCIAALVVFMCFLVT -KFKRVFGDMSLGVCTVVCATVVNNLSYVVTQNVLFMIVYALLYFAVTRTFRYAWIWYIAYVIAYIFFAPW -WLYLWFVIVAIMDLLPNLFKLKVSTHLFDGDKFVGTFENAAAGTFVLDMHSYEKLVNSIAPEKLKSYAAS -YNKYKYYSGGANEADYRCACYAHLAKAMMDYAQNHNDMLYSPPTISYNSTLQAGLRKMAQPSGRIESCVV -RVCYGNTVLNGIWLGDTVYCPRHIIAPCTTSLIDYDHAYSIMRLHNFSISVGNVFLGVISAVMQGSVLRI -KVSQNNINTPPHSFRTLKPGESFNILACYDGVASGVFGVNLRTNYTIRGSFINGACGSPGYNVKSDGTVE -FVYLHQIELGSGCHVGSSFEGAVYGGFEDQPTLQVESASTLVTDNLLAFLYAALLNGCNWWLKGDVCTVD -SYNEWAHANGFTAVNSVDCYSILAAKTGVSVERLLSAIQRLANGFGGKNILGYTSLCDEFTLSEVIKQMY -GVSLQSGKTTSVFKTIALFALFFIMFWSELFLYTTSFWFNPVVITPVLGLLVLFSLILTGFVKHKMLFLQ -AFLLPVVMAMAIYNCAWDMHVNTLLATSFDYHVSFLQMDIQGLLNVVICLLVTFLHTWRFAKANFTSWAT -YVCSLLAVLYTYFYSGEVLSLLIMFLCAVTNEWYIGAMAYRLARLLITLLPVSLFDYLGETKVLLSVYLI -LGYLCCVYWGILYWVNRFFKCTMGIYDFKVSPAEFKYMVANGLSAPQGPFDAVVLSFKLLGIGGPRTIKI -STVQSKLTDIKCTNVVLLGCLSNMNIAANSSEWAYCVNLHNKINLCNDPEQAQEMLLALLAFFLSKHSDF -GVDELVDSYFENSSTLQSVASSFVNMPSYIAYENARQAYEDAVNNGSNPQLVKQLKRAMNIAKSEFDHEL -SVQKKIGRMAEQAAAQMYKEARAVNRKAKVISAMHAMLFGMLRRLDMSSVENVLSLARDGVVPLSIIPAA -SASRLTIVSPDFDSYVKIVFEGCVHYAGVVWNIVDVKDNDGKPVHIKEITKDNVEAIVWPIILNCERVVK -LQNNEIMPGKLKQKVARAEGDNGLSAEGKALYNTESGKTFMYAFISSKADLKCVKWEHEGGCATVELDAP -CRFMVETPNGPQVKYLYFVKNLNTLRRGAVLGFIGATIRLQAGKQTELAANSGLLTVCAFAVDPAAAYLD -AVKQGAKPVGNCVKMLTNGAGNGQAVTATVDANSNQDSYGGASVCLYCRAHVPHNTMDGYCKYKGKYVQI -PMGCMDPIRFCLENEVCKVCGCWLNNGCVCDRTTMQSFDHSYLNRARGSSAARLEPCNGTDVDVCVRAFD -IYNKGVSFLGKCLKVNCARFKNADLKDGFFVIKRCPKSVMDHEQSMYELLKGCGSLASHDFFTWREGRSV -YGNVSRQNLTKYTMMDLVFALRNFDEKDCEVLKEILVLTGCCDESYFDNKDWYDPVENEDIHRVYATLGK -IVSNAMLKCVALCDEMVLRGVVGVLTLDNQDLNGNFYDFGDFIVSLPGMGVPCCTSYYSYMMPIMGLTNC -LASECFIKSDIFGQDFKTFDLLEYDFTEHKERLFQKYFKYWGQDYHPNCSDCYDDMCVVHCANFNTLFAT -TIPNTAFGPLCRKVFIDGVPLVATAGYHFKQLGLVWNKDVNTHSTRLSINELLQFVTDPALIIASSPALV -DQRTVCFSVAALSTGMTNQTVKPGHFNQEFYDFLRSQGFFEEGSELTLKHFFFAQKGDAAVRDFDYYRYN -RPTMLDICQARVTYKVVQRYFDVYEGGCITARDVVVTNLNKSAGWPLNKFGKAGLYYESLSYEEQDALFA -LTKRNVLPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTRNASVVIGTTKFYGGWD -NMLKNLIEGVENPRLMGWDYPKCDRALPNMIRMISAMILGSKHVTCCSSEDKFYRLSNELAQVLTEVVYS -NGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANINRLLGVPSDSCNNIGVKELQRRLYDNCYRSTNVDE -SFVDDFYGYLRKHFSMMILSDDGVVCYNKDYAELGYIADIGAFKATLYYQNNVFMSTSKCWVEDDLTKGP -HEFCSQHTMQIVDKDGTYYLPYPDPSRILSAGVFVDDIVKTDAVVLLERYVSLAIDAYPLSKHSNPEYRK -VFYVLLDWVRHLNKTLNAGILESFSVTLLDNQDDKFWNEEFYASMYEKSTVLQAAGLCVVCGSQTVLRCG -DCLRRPMLCTKCAYDHVFGTDHRFILAITPYVCNASGCGVNDVTKLYLGGLNYYCVDHKPQLSFPLCSAG -NIFGLYKNSATGSLDVEVFNKLATSDWTDVRDYKLANDAKDSLRLFAAETIKAKEESVKSSYAFATLKEI -IGPKELLLSWESGKAKPPLNRNSVFTCFQISKDSKFQVGEFTFEKLDYGSDTVSYRTTATTKLVPGMIFV -LTSHNVQPLRAPTIANQERYSTIYKLHPTFNISEAYANLVPYYQLIGRQRITTIQGPPGSGKSHCSIGLG -LYYPGARIVFTACAHAAVDSLCAKAATAYSVDKCTRIIPARARVECYSGFKPNNTSAQYIFSTVNALPEC -NADIVVVDEVSMCTNYDLSVINQRLAYKHIVYVGDPQQLPAPRVMITKGVMEPADYNVVTQRMCAIGPDI -FLHKCYRCPAEIVSTVSELVYENKFVPVKPDSKQCFKIFVKGNVQVDNGSSINRKQLDVVKQFLLKNPSW -NKSVFISPYNSQNYVASRLLGLQIQTVDSSQGSEYDYVIYTQTSDTAHACNVNRFNVAITRAKKGIFCVM -CDKELYDALKFFEIKPTDLQSEQTCGLFKDCARDPVDLPPAYAHTFLSLSDRFKTNNDLAVQIGANGTCT -YEHVMSFMGFRFDVNVPGAHNLFCTRDFAIRNVRGWLGMDVEGAHVCGNNVGTNVPLQVGFSNGVDFVVQ -PEGCVSTNNCDVVKPVRARAPPGEQFTHLVPLLRKGQPWSVVRKRIVQMIADYLAGLSDNVVFVLWAGGL -ELTTMRYFVKIGPAKRCYCGKTATCYNSVTNDYCCFRHALGCDYIYNPYAFDIQQWGYVGSLSHNHHMFC -NIHRNEHVASGDAVMTRCLAVYDCFVKNVDWAITYPFISNEKAINRSGRNVQAHVVRAALRLYNPSAVHD -IGNPKGIRCAVTTAKWYCYDKQPVNSNVKTMEYDYMTHGQLEGLCLFWNCNVDMYPEFSIVCRFDTRTRS -CLNLEGVNGGSLYVNNHAFHTPAYDKRAMAKLKPMPFFFYDESECDVVHEQVNYVPLRASNCVTRCNIGG -AVCSKHANMYRAYVEAYNTFTQAGFTIWVPQSFDIYNLWQTFAETNLQSLENIAFNVVKKGSFVGAEGEL -PVAIVNDKVFVRDGTVDNLVFTNNTSLPTNVAFELYAKRKTGLTPPLSVLRNLDVVATYKFVLWDYEANR -PFTSYTKDVCGYTDFAEDVCVCFDNSIQGSFERFTLTKNAVLFSNTAIKNLIPIRLNFGMLNGLPVGTTK -DADGVVKPVSWFIYVRRNGQFQDHYDGYYTQGRTVSDFIPRSDMERDFLDMDMGVFITKYGLEEFGFEHV -VYGDVSKTTLGGLHLLISQVRLSKMGILKAEDFVTASDMTLKCCTITYLNDPSSKSVCTYMDLLLDDFVS -ILKSLDLSVVSKVHEVVIDGKPYRWMLWCKDNQVATFYPQLQSAEWKCGYSMPTLYKVQRMCLEPCNLYN -YGAGIRLPNGIMLNVVKYTQLCQYLNSTTMCVPHNMRVLHYGAGSDKGVAPGTAVLKRWLPHDAIVVDND -VNEYVSDADYSITGDCATVFLEDKFDLLISDMYDGKTKSCDGENVSKDGFFVYLNGVIQEKLSIGGTVAI -KVTEYSWNKRLYELIQRFAFWTLFCTSVNTSSSEAFLIGVNYLGEFANGPFMDGNTIHANYIFWRNSTVM -TMSYNSVLDLSKFECKHRATAVVNLKPSDVNDMVLGLVSGGKLLIRCGGKFVGFNNHLVSTK - ->YP_009755838.1 ORF1ab polyprotein [Shrew coronavirus] -MFATRHIHSPTYQRYLDGAYGLYGDCFGCFEKALEKNDSQLIFVEDPERVVLPQGSYVLFDRGDALVLGP -IEDFDNSVYKGFICFTTYEAEVNDDALVVFDCGHGFDDLEDTCVFFCPCCKSHENNHPVCAYMLKNSSGK -RNKYYVDQYLCDYKGNPVKTVSNFPFKDHFQGQKEVVIDGNTYCLAWEVERVKSPLATQTATKLRSVTPT -GYFDEAPNHIFTGLVTIAKVPKGDLRTTIDYEVFGLGFVANNFETRFLAANCQLNGSFTAIDLFTTCGFV -KKYHPCCDKWSAGVYKPCFCDKPNMLGFYDTDIVSPGTPILSECTDRKVGSVATLFGKLIKKVGVVAKVD -VWKCVAISCVTTNSDVKDVYGPKDWVKTPLNLLSHTYNAIVDGVDYCVTYPVSENMLCYKEWVKDVCITT -LVTPDWVKNLGTQFVELWQTVCDTISGVLEKVSVAVLKIVEQLSDFFSTYIVKLKDGKFIYNLAGGVSGV -VKDIVATVFDALNQFVQLCTETATDGFIKFKKIVIGKGFAQIADTLYTEEIYEMSSFVEHLQQHAPDVSV -NNAQIVETVKCFREFTTTEDDYRCANNIDCIPARHFHVQNHSYVEPAQEGTIALVTAEGVAKPYFESQNQ -DGVFYYPITDSGKIVKLCLKKCGGVTFDDNNTVHEVPRDDNKSWVRIKLVYEFDEDDVVNSSFGEACSKV -LPSVNVDNDTTYAQFVVLIYKELTPAAEILEERGLTPPDLHIYDEEGVKRIASTMIVSQWPLEDDLEDVA -VDPSSEGDCSEEEVCLSDSDDSEEEVECDDDVTINQESSSLNVEESKQTDNTVLDTTLEKENVGDLKQAD -NTVKNDFPISWAVAVEEQIKNLSNTTTPAGDDKQQDGQIVGISKVVPEKVAELVDDVQLKPQTPVKVILP -EMPSFEFKGVVFQAFDLSTSVDMSLAYFKTFDVIVNAANEKLSHGGGFAGFLNKASKGRLQTESNNVKKP -FNGRCIVTSHSGLCNKMIIHAVGPRFEEEYYKTKLQQTHKAVVEKLQCKANPGDKILYPILSSGIFGVPL -SEALNIMMNTVICAAEKYKITVPCKDVQQLEELKKLLKVQKVKVLVSEGDDNYTTTDVVLTKTFGEQFGS -CTIAGVDVSNRYPTHQDNMKAVFKVPVADWNLYYGLPDGEKFEQYRLCFKNNLVPKVIEIDGNKIVCFQQ -KDNCCWVNAVVNMLQCVKPVFSTNAIADFYAKFLMCDVNDFLAFIYYTSGTKYGEPGDAEFTFSKLMNYT -QNQTNSTWQTVCKDCGVHDVKSTDVCVYRKLATEYTEPCNNGFCDKMVCTSLVLCKGNSYVHTLAHHDKF -TTDTLFDKVYAPVKMAVSLIGNPDAGHYKTVWFADGSIYIVDADKIIKLRSVDVNMNKVKALSGVLTSVV -LGPVDYEVVTPYNTPYASSSEQVDQIETTGTIESADIKQQSDVGVETQVSDVQPLQVATEPVAKEPVKKA -PIQPLPREGISDFYTFVADTYVNGKTGQFFATSVSLCGKLYNYIEGVNIYPSLQWCYTYIMKVKQTTVTL -FAEESEVRRKTSKCFNFCWTTFFNFICWMGSIKTNVEQSGAMSVVKTSKVVGKNLVKIVVCETVKKIQRL -KYIVFWLATACIMMFLLHNTWNWWKLDMNSSFCKEYVKGYYNSSFNRPDYCEETGNVRDCLVCTAEQDSL -TNYKHLGFSWKDVSLNKYDFPQFSKTSVLFLLLLVDCNVVVKLYICYSVSVWILNLLTIYGFVTFSATWL -LWPFSTTSPVVVVFNLVCYVAKLVLHAIYGCTKPECKVCSRLRKQEKIESSIVVNGSKKLFYVHANGGTS -WCYKHNFPCSQCDSFDSGSTFMTPSVASDVSNMFKTTIKPTYPTCFINADQVDFKGGYYFVYYKDPVTGF -RSHRKYASDLRRKEHSVAECVKTMSTKEDCIVYNSDVTSYDLGKQVCAYFAQMMSKPIILLDQALLDSFS -FNMEEEVVKSKIKLVKDLYSVDKDVNTLDDLYFVIKSKVDTTLDKFKSILDVAYKWKCDLVNDSWNNFLL -SYTKLDNVSAVDCAKITSAESSFVSPAVAKNKGLRLVWNSDFCNLSDNAQRYFVNGARNKGVQFCFTLNT -TKSTQVVPCEAPKRGGSPTIWFSGLTRWFKSLWVILIVVCVLTASSLFFIQTSSVAYNNVKHPNLELKQS -NFKFSMIKDGYVVPLEKDLQCVWSPYKDFNKWYKKYYGGEVTHSRSCPIIVGPRFDDSPTFTRPNTPAPF -QYINNNIVFFLEESKLDSGVCYDENGVQHMNLTTSKCIFNSFCTTLVVDKKVDFCADVNTEYVKPYKQLQ -PHTKYPTLEKDRYIAFPRELLNTLYRVVETKAMTYCKAGICFESKQGYCVGDVDKWIVFDDNTDNFCFGG -VKDLVKLFYDTAVSPIDVVLFSGRILVLWFSVIVFVVMVFVFLKFKRVFGDWCLAVFMVIVAAVVNTIMI -VFSNNVIVLVVYAIMYKAVSNTLQNSVLLDIVYIIAHCTVTPWWLLVIYVVHTLYRVAPTLFQFNVSKAL -YCGNEFVGTFDTASMGTFLLDKHAAVKLLNSITPQLLNDYANMYNKYKNMSGSVEQSDYSSACKAALAKA -LREFQQTGHDVLYMPPTISLTSKLQAGLKKMCNPPGPLEKCVVSVSCGSTLNGLWVGDTVYCPRHVISEN -LTHVQDYDTLVLTTRPSAFTIVVKNQILTPVSVKMTGTVLQLKVKEINPHTPDNYDFVTFNPGDTFTILL -AYNGVVKGLYTVDLRSNNTIKGSFLAGSCGTVGYKTDGTKLLIGYMHHMELPGSVHVGSNLHGVMYGNLK -DQPIAQHAGLDVIYTNNVVASLYAALLNGENWFLKAVEPVTVDTYNCWAVDNGYSTFENSVECDMFATKT -GVPASILLSAIMKYSSNIGKRTVLGQSCFTDEFKFSDVGEQMLGLKLQSFKKTKTSVAFFLLWVLLSLCT -CISFTRFSIFQSMVYDLKKFCLLVCVCVSMFVSLTVKHKWTYLNTFVLPAVCVIIYDNLYFVDNVLGFLT -LRQIISVSILDILIGCFSLWVLLNSAFRCVTYSFTHVMVMLYGLYKLVDVIVYGVYDYTAIWLYVVGFST -NNLSVTSLAYKLAMIINSVYLSTDFFATAKTEMLAYMCVGWLCCSYYGIFYWLNRILRLNLGCYTYKVSM -AEFRYMVSRGLQAPTNAVEALLLNIKLAGIGGYPSISVGSMQSNLTDVKCCSVVLLGILQKCRVEARSAE -WKWCVDMHNQILLETDHDKAVSLLAAMVGYLTSKSANVDLTDLLDEYFKKDSLLQACASTFASMPEFIAY -EQANKDLQDAIQRGDSEQVIKHLKKSVNRAKNDLDHAKSVAAKLERMAQEASVNMFKEARAIDRKTKAMS -SLHHLLMSMLKKLNMSAIDNVLKLAQNNVVPLNIIPITTSSKLVVVVPDANIFKSLWDDGKIHYAGAVWT -VSNILNADDQDVNFVDVRQNSDSMVWPLTLNCTRDTALQNNEITPTKLKVKSVVCESEKGSVNAMALTGN -EAGKNWLFAVQSENPTLTVVKHGDVVVELERPARFLVDTPTGQKVMYLYFVKNLNTLRRGAVLGHLGSTL -RLQAGKSTEVPENVNILSMCAFSVDPEKTYLDYVKSGQNPLKSCVKMLTDRTGNGMAITPRPEATYNQDS -YGGASVCIYCRSYTAHPGYDGVCKLKGKFVQVPKGTVDPVRFVIENEICPVCACWKQFGCTCNRSYQIQG -KDESYLNRVTGSSGARLDPCNGESFNTHHVQRAFDLYNSKVACMGLFLKTNCARFYNPDNKLYTIVKKCT -PQNAEHEQKCYELLKCDALAEHSFFNFRDGVVTGNVVRRNLTKYTMMDLCYALRQFDEKNCDVLKEILVD -TGCCDEKYFDNKNWFDPVENEDLGRVYANLGSVVNNALLKCVQLCDLMVAKGLVGVVTLDNQDLNGKFYD -FGDFQFTANGYGVPVVTSYLSYIMPIMGITRCLDVENFVNGEPKKFDLQHYDYTDYKLKLFCKYFKYWDR -VYHPNCVDCHDDDCLLHCSNWNTLFSTVIPETSFGTLVKRVTIDGVPVITSCGYHSKQLGIVYNKDVVSS -CSNLSMTDLIKFCCDPTLLVGSSNAVLDKRTPCMAVAALGNKVTYQTVKPGNFNKEFYDFLVERGFFKEG -SNITLKHFFFAQGGDAAITDYSYYRYNKCTVLDIGMVLFVKRVVAKYFDIYDGGCISAREVVINNLDKSA -GYPLNKFGKARLYYETLSFEEQDALFEETKRNIIPTITQVNLKYAISGKARARTVCGVSLVSTMTTRHYH -QKVQKSICATRNAAVVIGTTKFYGGWDNMLKNLIKDVDNPQLMGWDYPKCDRAMPSMLRMLAAMVFGAKH -MQCCTQSDRFYRLTNEMAQVLNEVACCNNVLYMKPGGTSSGDATTAPANSVFNIFQAVSSNVNRLLSVDS -NKINNMTVLNLQRSIYQVCYRGSAITEELVTDYYNFLCKHFSMMILSDDGVVCFNKDYASVGYVADIAAF -KSLLYFQNNVFMSEAKCWTESDLKKGPHEFCSQHTIMVQDGNGDPYYLPVPDPSRILSAGVFVDDIVKSD -NMLMSERIVSLAIDAWPLTKTGDPEKAAVFYALLDWIKLMHKRLEFQIMEDFAVDLDTQSSCKFWEEEFY -SAMYSKPSVLQAAGLCIVCRSQTILRCGDCNRRPFLCCKCAYDHVMSTPHSFIIGITPYVCSVTDCNCSD -VKKLWLSGLRYFCVDHKPSLAFPICTNGFVFGLYKSTASGSDDIDVFNKLSTSNWSCVDDYVLANDAPDN -LKLFAAETIKAVEESLKLTYGSAVLEEVISPVGSVREITLNWEKGKVKPPLTRNSVFTGYIINKDAKIQL -GEFIFEKSDTTPNSVYVKTAATVKLTPGMLFVLTSHNVAKLTAPVICNQTKYNTIYKLIPSLIVSKDFTN -LVPYFQLVGKQTITTVQGPPGSGKSHLAIGIAKYYPSARILYTACSHAATDSLCCKAFRNLVITDCTRIV -PTKSRVDVFDKFVANDTSKKYIFSTINALPDVNVDIVVVDEVSMCTNYDLSVVNARVSYKHIVYIGDPQQ -LPAPRVLVTRGVLKPEDYNCVTKRMCSLGPDIFLSRCYRCPKEVVETVSELVYDNKFKAVNELSNQCFKI -FLKGRVTVEAGSSVNLQQLQFVKKFIAGNQLWKNAVLISPYNAQNSVARRMLGLETQTVDSSQGSEYDYV -IYTQTSDSLHACNLNRFNVAVTRAKKGILCVMSDEMMYNSLKFTVLDGSKIQASVGLFKDCYRNVFTLNP -AQSHTYEALSDKYKSGNLAVWFGESNLTYEHVISMLGFKLDQTVPGYHSLFCTRTFAIKHVRGWIGFDVE -AAHVCGENIGTNVPLQLGFSTGIDFIAQPEGCVVTGSGNQIIPVKARAPPGEQFTHLIPLLRKGKPWSTV -RRQIVQMCSDQLKGKSDIVIFVTWACGLELSTLRYFAKIGPHKTCFCGKTASCVCLAENKYCCFDHSFGC -DYLYNPFVVDIQTWGYSGKLSVAHDEHCNLHRNAHIASGDAIMTHCLAAHDCFVKQVNWDITYPFISCEK -RLNASLRVIQRNLMTAIIKATGVKTVYDIGNPKGIKCSEVDVVWKFFDKQPVNDNVVVFSYDYIEHKSMF -KDGLTMFWNCNVNVYPENAVVCRFDTRTRSPLSLLGPNGGCVYVNNHAFYTEPFDRRSFCHLQPMQFFFF -DDTECEKVDGSYDMVPLRSNTCFTKCNVGGAGCRKHVQMYKEYLECFNLAVEQGFTFWVPKTFDLYHLWA -LLRKPVLQSLENLAYNVVTKGCFSGDQGELPVAIVDNKVFAKVDGFDKCVFENNTPLPTNVAFELYAKRK -TGLTPALCVLKNLGVNMLYKHTLWDYVAEMPFLNMTHGVCGYTDIDLHDGMTVCYDNSVPGHYERFLGSK -NAVLYSTTRVKKLHGFYVPYGFFNGVYVRDGVKDVGFYFYVKKNGVDVVLEDNYTTQGRTLDNFKPLTQM -EEDFLDLDTGVFITKYGLEDFNFEHLVYGDVSKSVIGGLHLSIGVVRLAKYGVVDLDAFYNDSTSSVSCY -TVTYVDDPSSKRVCTMVDLLLDDFVNILKTLNLSVVSKVHTVNIDCKPVRWMLWAKNNKIQTFYPTLQGQ -WAPGYTMPSLYKCQNMCIEPCDLPNYGETVNLPPGLLGNVVKYTQLCQYLNTTTMCAPHKMRCMHFGAAG -RGVAPGSAVLKSWLPEDAIIIDNDMVDYVSDADVSVVGRCETIKVKDKFDLVVSDMYDPATKTMECNVGC -QGFFTYLCGFIKENLSLGGTIAVKITEYSWSKELYEELQRFEFWTIFCASVNTSSSEAFLIGVNYLGPYS -GKPIIDGSIMHGNYIFWRNSTIMKMSYFSILDVAKFQLKTKGSYVCNLKTLNPMVLSLIKSGKLLVRDNG -KLLISTDSLVN - ->NP_058422.1 replicase [Transmissible gastroenteritis virus] -MSSKQFKILVNEDYQVNVPSLPIRDVLQEIKYCYRNGFEGYVFVPEYCRDLVDCDRKDHYVIGVLGNGVS -DLKPVLLTEPSVMLQGFIVRANCNGVLEDFDLKIARTGRGAIYVDQYMCGADGKPVIEGDFKDYFGDEDI -IEFEGEEYHCAWTTVRDEKPLNQQTLFTIQEIQYNLDIPHKLPNCATRHVAPPVKKNSKIVLSEDYKKLY -DIFGSPFMGNGDCLSKCFDTLHFIAATLRCPCGSESSGVGDWTGFKTACCGLSGKVKGVTLGDIKPGDAV -VTSMSAGKGVKFFANCVLQYAGDVEGVSIWKVIKTFTVDETVCTPGFEGELNDFIKPESKSLVACSVKRA -FITGDIDDAVHDCIITGKLDLSTNLFGNVGLLFKKTPWFVQKCGALFVDAWKVVEELCGSLTLTYKQIYE -VVASLCTSAFTIVNYKPTFVVPDNRVKDLVDKCVKVLVKAFDVFTQIITIAGIEAKCFVLGAKYLLFNNA -LVKLVSVKILGKKQKGLECAFFATSLVGATVNVTPKRTETATISLNKVDDVVAPGEGYIVIVGDMAFYKS -GEYYFMMSSPNFVLTNNVFKAVKVPSYDIVYDVDNDTKSKMIAKLGSSFEYDGDIDAAIVKVNELLIEFR -QQSLCFRAFKDDKSIFVEAYFKKYKMPACLAKHIGLWNIIKKDSCKRGFLNLFNHLNELEDIKETNIQAI -KNILCPDPLLDLDYGAIWYNCMPGCSDPSVLGSVQLLIGNGVKVVCDGCKGFANQLSKGYNKLCNAARND -IEIGGIPFSTFKTPTNTFIEMTDAIYSVIEQGKALSFRDADVPVVDNGTISTADWSEPILLEPAEYVKPK -NNGNVIVIAGYTFYKDEDEHFYPYGFGKIVQRMYNKMGGGDKTVSFSEEVDVQEIAPVTRVKLEFEFDNE -IVTGVLERAIGTRYKFTGTTWEEFEESISEELDAIFDTLANQGVELEGYFIYDTCGGFDIKNPDGIMISQ -YDINITADEKSEVSASSEEEEVESVEEDPENEIVEASEGAEGTSSQEEVETVEVADITSTEEDVDIVEVS -AKDDPWAAAVDVQEAEQFNPSLPPFKTTNLNGKIILKQGDNNCWINACCYQLQAFDFFNNEAWEKFKKGD -VMDFVNLCYAATTLARGHSGDAEYLLELMLNDYSTAKIVLAAKCGCGEKEIVLERAVFKLTPLKESFNYG -VCGDCMQVNTCRFLSVEGSGVFVHDILSKQTPEAMFVVKPVMHAVYTGTTQNGHYMVDDIEHGYCVDGMG -IKPLKKRCYTSTLFINANVMTRAEKPKQEFKVEKVEQQPIVEENKSSIEKEEIQSPKNDDLILPFYKAGK -LSFYQGALDVLINFLEPDVIVNAANGDLKHMGGVARAIDVFTGGKLTERSKDYLKKNKSIAPGNAVFFEN -VIEHLSVLNAVGPRNGDSRVEAKLCNVYKAIAKCEGKILTPLISVGIFNVRLETSLQCLLKTVNDRGLNV -FVYTDQERQTIENFFSCSIPVNVTEDNVNHERVSVSFDKTYGEQLKGTVVIKDKDVTNQLPSAFDVGQKV -IKAIDIDWQAHYGFRDAAAFSASSHDAYKFEVVTHSNFIVHKQTDNNCWINAICLALQRLKPQWKFPGVR -GLWNEFLERKTQGFVHMLYHISGVKKGEPGDAELMLHKLGDLMDNDCEIIVTHTTACDKCAKVEKFVGPV -VAAPLAIHGTDETCVHGVSVNVKVTQIKGTVAITSLIGPIIGEVLEATGYICYSGSNRNGHYTYYDNRNG -LVVDAEKAYHFNRDLLQVTTAIASNFVVKKPQAEERPKNCAFNKVAASPKIVQEQKLLAIESGANYALTE -FGRYADMFFMAGDKILRLLLEVFKYLLVLFMCLRSTKMPKVKVKPPLAFKDFGAKVRTLNYMRQLNKPSV -WRYAKLVLLLIAIYNFFYLFVSIPVVHKLTCNGAVQAYKNSSFIKSAVCGNSILCKACLASYDELADFQH -LQVTWDFKSDPLWNRLVQLSYFAFLAVFGNNYVRCFLMYFVSQYLNLWLSYFGYVEYSWFLHVVNFESIS -AEFVIVVIVVKAVLALKHIVFACSNPSCKTCSRTARQTRIPIQVVVNGSMKTVYVHANGTGKFCKKHNFY -CKNCDSYGFENTFICDEIVRDLSNSVKQTVYATDRSHQEVTKVECSDGFYRFYVGDEFTSYDYDVKHKKY -SSQEVLKSMLLLDDFIVYSPSGSALANVRNACVYFSQLIGKPIKIVNSDLLEDLSVDFKGALFNAKKNVI -KNSFNVDVSECKNLDECYRACNLNVSFSTFEMAVNNAHRFGILITDRSFNNFWPSKVKPGSSGVSAMDIG -KCMTSDAKIVNAKVLTQRGKSVVWLSQDFAALSSTAQKVLVKTFVEEGVNFSLTFNAVGSDDDLPYERFT -ESVSPKSGSGFFDVITQLKQIVILVFVFIFICGLCSVYSVATQSYIESAEGYDYMVIKNGIVQPFDDTIS -CVHNTYKGFGDWFKAKYGFIPTFGKSCPIVVGTVFDLENMRPIPDVPAYVSIVGRSLVFAINAAFGVTNM -CYDHTGNAVSKDSYFDTCVFNTACTTLTGLGGTIVYCAKQGLVEGAKLYSDLMPDYYYEHASGNMVKLPA -IIRGLGLRFVKTQATTYCRVGECIDSKAGFCFGGDNWFVYDNEFGNGYICGNSVLGFFKNVFKLFNSNMS -VVATSGAMLVNIIIACLAIAMCYGVLKFKKIFGDCTFLIVMIIVTLVVNNVSYFVTQNTFFMIIYAIVYY -FITRKLAYPGILDAGFIIAYINMAPWYVITAYILVFLYDSLPSLFKLKVSTNLFEGDKFVGNFESAAMGT -FVIDMRSYETIVNSTSIARIKSYANSFNKYKYYTGSMGEADYRMACYAHLGKALMDYSVNRTDMLYTPPT -VSVNSTLQSGLRKMAQPSGLVEPCIVRVSYGNNVLNGLWLGDEVICPRHVIASDTTRVINYENEMSSVRL -HNFSVSKNNVFLGVVSARYKGVNLVLKVNQVNPNTPEHKFKSIKAGESFNILACYEGCPGSVYGVNMRSQ -GTIKGSFIAGTCGSVGYVLENGILYFVYMHHLELGNGSHVGSNFEGEMYGGYEDQPSMQLEGTNVMSSDN -VVAFLYAALINGERWFVTNTSMSLESYNTWAKTNSFTELSSTDAFSMLAAKTGQSVEKLLDSIVRLNKGF -GGRTILSYGSLCDEFTPTEVIRQMYGVNLQAGKVKSFFYPIMTAMTILFAFWLEFFMYTPFTWINPTFVS -IVLAVTTLISTVFVSGIKHKMLFFMSFVLPSVILVTAHNLFWDFSYYESLQSIVENTNTMFLPVDMQGVM -LTVFCFIVFVTYSVRFFTCKQSWFSLAVTTILVIFNMVKIFGTSDEPWTENQIAFCFVNMLTMIVSLTTK -DWMVVIASYRIAYYIVVCVMPSAFVSDFGFMKCISIVYMACGYLFCCYYGILYWVNRFTCMTCGVYQFTV -SAAELKYMTANNLSAPKNAYDAMILSAKLIGVGGKRNIKISTVQSKLTEMKCTNVVLLGLLSKMHVESNS -KEWNYCVGLHNEINLCDDPEIVLEKLLALIAFFLSKHNTCDLSELIESYFENTTILQSVASAYAALPSWI -ALEKARADLEEAKKNDVSPQILKQLTKAFNIAKSDFEREASVQKKLDKMAEQAAASMYKEARAVDRKSKI -VSAMHSLLFGMLKKLDMSSVNTIIDQARNGVLPLSIIPAASATRLVVITPSLEVFSKIRQENNVHYAGAI -WTIVEVKDANGSHVHLKEVTAANELNLTWPLSITCERTTKLQNNEIMPGKLKERAVRASATLDGEAFGSG -KALMASESGKSFMYAFIASDNNLKYVKWESNNDIIPIELEAPLRFYVDGANGPEVKYLYFVKNLNTLRRG -AVLGYIGATVRLQAGKPTEHPSNSSLLTLCAFSPDPAKAYVDAVKRGMQPVNNCVKMLSNGAGNGMAVTN -GVEANTQQDSYGGASVCIYCRCHVEHPAIDGLCRYKGKFVQIPTGTQDPIRFCIENEVCVVCGCWLNNGC -MCDRTSMQSFTVDQSYLFKRVRGSSAARLEPCNGTDPDHVSRAFDIYNKDVACIGKFLKTNCSRFRNLDK -HDAYYIVKRCTKTVMDHEQVCYNDLKDSGAVAEHDFFTYKEGRCEFGNVARRNLTKYTMMDLCYAIRNFD -EKNCEVLKEILVTVGACTEEFFENKDWFDPVENEAIHEVYAKLGPIVANAMLKCVAFCDAIVEKGYIGVI -TLDNQDLNGNFYDFGDFVKTAPGFGCACVTSYYSYMMPLMGMTSCLESENFVKSDIYGSDYKQYDLLAYD -FTEHKEYLFQKYFKYWDRTYHPNCSDCTSDECIIHCANFNTLFSMTIPMTAFGPLVRKVHIDGVPVVVTA -GYHFKQLGIVWNLDVKLDTMKLSMTDLLRFVTDPTLLVASSPALLDQRTVCFSIAALSTGITYQTVKPGH -FNKDFYDFITERGFFEEGSELTLKHFFFAQGGEAAMTDFNYYRYNRVTVLDICQAQFVYKIVGKYFECYD -GGCINAREVVVTNYDKSAGYPLNKFGKARLYYETLSYEEQDALFALTKRNVLPTMTQMNLKYAISGKARA -RTVGGVSLLSTMTTRQYHQKHLKSIAATRNATVVIGSTKFYGGWDNMLKNLMRDVDNGCLMGWDYPKCDR -ALPNMIRMASAMILGSKHVGCCTHNDRFYRLSNELAQVLTEVVHCTGGFYFKPGGTTSGDGTTAYANSAF -NIFQAVSANVNKLLGVDSNACNNVTVKSIQRKIYDNCYRSSSIDEEFVVEYFSYLRKHFSMMILSDDGVV -CYNKDYADLGYVADINAFKATLYYQNNVFMSTSKCWVEPDLSVGPHEFCSQHTLQIVGPDGDYYLPYPDP -SRILSAGVFVDDIVKTDNVIMLERYVSLAIDAYPLTKHPKPAYQKVFYTLLDWVKHLQKNLNAGVLDSFS -VTMLEEGQDKFWSEEFYASLYEKSTVLQAAGMCVVCGSQTVLRCGDCLRRPLLCTKCAYDHVMGTKHKFI -MSITPYVCSFNGCNVNDVTKLFLGGLSYYCMNHKPQLSFPLCANGNVFGLYKSSAVGSEAVEDFNKLAVS -DWTNVEDYKLANNVKESLKIFAAETVKAKEESVKSEYAYAVLKEVIGPKEIVLQWEASKTKPPLNRNSVF -TCFQISKDTKIQLGEFVFEQSEYGSDSVYYKSTSTYKLTPGMIFVLTSHNVSPLKAPILVNQEKYNTISK -LYPVFNIAEAYNTLVPYYQMIGKQKFTTIQGPPGSGKSHCVIGLGLYYPQARIVYTACSHAAVDALCEKA -AKNFNVDRCSRIIPQRIRVDCYTGFKPNNTNAQYLFCTVNALPEASCDIVVVDEVSMCTNYDLSVINSRL -SYKHIVYVGDPQQLPAPRTLINKGVLQPQDYNVVTKRMCTLGPDVFLHKCYRCPAEIVKTVSALVYENKF -VPVNPESKQCFKMFVKGQVQIESNSSINNKQLEVVKAFLAHNPKWRKAVFISPYNSQNYVARRLLGLQTQ -TVDSAQGSEYDYVIYTQTSDTQHATNVNRFNVAITRAKVGILCIMCDRTMYENLDFYELKDSKIGLQAKP -ETCGLFKDCSKSEQYIPPAYATTYMSLSDNFKTSDGLAVNIGTKDVKYANVISYMGFRFEANIPGYHTLF -CTRDFAMRNVRAWLGFDVEGAHVCGDNVGTNVPLQLGFSNGVDFVVQTEGCVITEKGNSIEVVKARAPPG -EQFAHLIPLMRKGQPWHIVRRRIVQMVCDYFDGLSDILIFVLWAGGLELTTMRYFVKIGRPQKCECGKSA -TCYSSSQSVYACFKHALGCDYLYNPYCIDIQQWGYTGSLSMNHHEVCNIHRNEHVASGDAIMTRCLAIHD -CFVKRVDWSIVYPFIDNEEKINKAGRIVQSHVMKAALKIFNPAAIHDVGNPKGIRCATTPIPWFCYDRDP -INNNVRCLDYDYMVHGQMNGLMLFWNCNVDMYPEFSIVCRFDTRTRSKLSLEGCNGGALYVNNHAFHTPA -YDRRAFAKLKPMPFFYYDDSNCELVDGQPNYVPLKSNVCITKCNIGGAVCKKHAALYRAYVEDYNIFMQA -GFTIWCPQNFDTYMLWHGFVNSKALQSLENVAFNVVKKGAFTGLKGDLPTAVIADKIMVRDGPTDKCIFT -NKTSLPTNVAFELYAKRKLGLTPPLTILRNLGVVATYKFVLWDYEAERPFSNFTKQVCSYTDLDSEVVTC -FDNSIAGSFERFTTTRDAVLISNNAVKGLSAIKLQYGLLNDLPVSTVGNKPVTWYIYVRKNGEYVEQIDS -YYTQGRTFETFKPRSTMEEDFLSMDTTLFIQKYGLEDYGFEHVVFGDVSKTTIGGMHLLISQVRLAKMGL -FSVQEFMNNSDSTLKSCCITYADDPSSKNVCTYMDILLDDFVTIIKSLDLNVVSKVVDVIVDCKAWRWML -WCENSHIKTFYPQLQSAEWNPGYSMPTLYKIQRMCLERCNLYNYGAQVKLPDGITTNVVKYTQLCQYLNT -TTLCVPHKMRVLHLGAAGASGVAPGSTVLRRWLPDDAILVDNDLRDYVSDADFSVTGDCTSLYIEDKFDL -LVSDLYDGSTKSIDGENTSKDGFFTYINGFIKEKLSLGGSVAIKITEFSWNKDLYELIQRFEYWTVFCTS -VNTSSSEGFLIGINYLGPYCDKAIVDGNIMHANYIFWRNSTIMALSHNSVLDTPKFKCRCNNALIVNLKE -KELNEMVIGLLRKGKLLIRNNGKLLNFGNHFVNTP - ->YP_009380520.1 orf1b polyprotein, partial [Coronavirus AcCoV-JC34] -EPCSEHHVIRAFDIYNKDVACITKFPKINCVRFRNTGMHDAYYIVKKCSARVMDYEQSVYQKLLHSNALA -SHGFFPFKESRNIYGNIVRHNLTKYTMMDLCFALRNFDEKNCDVLKEILVLTNCCDDTYFENPNWYDPVE -NEHIHVVYYKLGTVVSNAMLKCVALCDAMVEKGLIGVVTLDNQDLNGNFYDFGDFVEGPEGYGVPCVASY -YSYMMPIMGMTNCLAQECYMNSDIFGKDFKRYDLLMYDFTEHKEALFKKYFKYWDQVYHPNCIDCVDDLC -LLHCANFNTLFATTIPPTAFGPLVRKVFIDGVAVAVTAGYHFKQLGLVWNNDINVNNSKLTFNDLLRFVT -DPAILIASSPALVDQRTCCLSVAALSTGVNYQIVKPGYFNKEFYDFLLERGFFNEGSDLTLRHFFFAQNG -AAAITDFDYYRYNHTTMLDICQARFVFKVVGKYFDCYEGGCIMAKDVIVTNLDKSAGYPLNKFGKARLYY -ESMSYEEQDALYAMTKRNVLPTMTQLNLKYAISGKARARTVGGVSLLSTMTTRQYHQKCLKSIVATRNAT -VVIGTTKFYGGWDNMLRTLIDDVDNPCLMGWDYPKCDRALPNMIRMASAMILGSKHVSCCSNMDKFYRLS -NELAQVLTEVVHSNGGFYFKPGGTTSGDASTAYANSVFNIFQAVSANVNKLLAVDSNVCRNVSVKDLQRA -VYDNCYRTSSISEDCVNNFYHYLRKHFSMMILSDDGVVCYNKEYADLGYVADINAFKATLYYQNNVFMST -SKCWVEPDINVGPHEFCSQHTLQIVDGEGKYYLPYPDPSRILSAGVFVDDIIKTDGVVLLERYVSLAIDA -YPLSKHTNPEYRKVFYTMLEWVKKLSNDLNKGVLDAFAITMLEDTQAKFWSEEFYANLYEKSSVLQSAGL -CVVCQSQTVLRCGDCLRRPLLCTKCAYDHVMGTNHKFIMAVTPYVCCHSGCNVNDVTKLYLGGMSFYCVD -HRPKLSFALCSNGNVFGLYKAMATGSDEVFDFNRLATTDWSNVDVYKLANTCSNSLKLFAAETVKAKEES -VKSSYAIATLKEIVGNREIVLQWEASKVKPPLNRNSVFTGYYINKDAKFQMGEYTFEKSDYGNDSVFYKA -NTTTKLIPGMIFVLTSHNVAPLRAPVIVNQERYTSICKLYPSFYIDPAYSALVPHYQLIGRQKITTIQGP -PGSGKSHTMVGLGLYYPSARILFTACSHAAVDSLCVKAAKTFAHEKCTRIIPAKARVECYSGFKVNNNSA -QYVFSTINALPEVSVDLVVVDEVSMCTNYDLSVLNSRVAYRHIVYVGDPQQLPAPRTLITKGALEPADYN -VVTRLMCTIGPDVFLSKCYRCPAEVVNSVSSLVYENKFKPVNAPSNLCFKLLFKGSVHHDAGSCVNRKQL -DVVKQFLARNPDWSSAVFISPYNSQNYVAARILGLQTQTVDSAQGSEYDYVIYTQTSDTAHALNVNRFNV -AITRAKKGIFCVMNDVNLFNALEFKEITVTDLQSVLSGLFKNCIRNVHELPPNHARSFLDLNSKFKVNEE -LAVHIGVSEPTYEHVLSWMGFRFDACPEGYHTMFCTRDFAIRNVRGWLGFDVEGAHVCGENCGTNVPLQL -GFSNGVDFVVQPEAGYATHLGILIKGVKARAPPGEQFTHLVPLLRKGQPWVVVRKRIVQMVCDHLKDLSD -VVVFVLWAGGLELTTMRYFVKIGPPRNCHCGRIAQCYSSNASAFSCLRHAVGCDYLYNPYAFDIQQWGYV -GSLSANHHRYCNVHFNEHVASGDAIMTRCLAIYDCFVKSVCWNITYPYITNEKDINAAGRYVERHLMNSF -LKLYNPKAIYDIGNPKGIRICDFKGSWFCYDKEPTNNNVVKLEYDYTVHGQFDGLCLFWNCNVDMYPGFS -LVCRFDTKHRSPLSLEGVNGGCLYVNKHAFHTPAFDRRAFGKLQPLPFFFFDDGECDIVSDAEGPEVDYV -PLRSNVCITKCNVGGAVCKKHASLYKTYVQKYNDFTQNGFTLWGPRNFDLFNLWQLVSRPILQGLENLSY -NLLKKGTFVNMPGELPTAIINDKVFVREGTADNLVFTNNTALPTNVAFELYVKKKLGLTPPLTLLRNLDV -TAAYRFVLWDYEADRPFSNFTYECCKYTDVNHPYNLVYDGSIQGSLERFMSCDDGVLFQTQALRGKTAIH -LNFGYLNGVPVSTTDVEVDGALVTKNVDLYLYVRKNGHFVEQFDGFYSQGRTVTTFKPRSTMEIDFLELD -TDIFINKYGLQEYGFEHVVYGDFSKTTIGGLHLLISQIRLARIGYLKVEDFGGNSDSTIKCCSVTYIENS -SKVVCSYVDLLLDVFVTILKGLDLSVVSKVYEVVIDGKPWRWMLWCKDNKVATFYPQLQNSEWKCGYSMP -PLYKIQSMVLAPCSLYNYGKSIKLPDGIMFNVVKYTQLCQYLNTTSMCVPHKMRVLHLGAGSDKGVAPGT -AVLKNWLPDDAVLVDNDLYDYVSDADISYTGDCCSMYLEDKFDLVISDMYDGKTKQIDGDNVSKEGFFVY -INGVISEKLSLGGTVAIKITEFSWNRKLYELIQKFEYWTVFCTSVNTSSSEGFLIGVNYLGNFSDRPIID -GCTMHANYIFWRNSTVMALSYNSVLDVNKFRLKTKATPVLFLKEGSFTPLVLTLIKNGKLIVRDTGVVVN -FTNHLVNHFNK - ->YP_009380519.1 orf1a polyprotein [Coronavirus AcCoV-JC34] -MANQYVLRVADCTNVYYTRLWSSREAVSVYGAAAACGFTNCRFVAFGLEHLLYGYDDDHWVLILSGNQVL -NVELGQFKERPANIRGYLVLSNCTYYEEVFDLFLGSGRGTIAPNPIFVDQYLCGADGTPVKRDVEFKNYF -TDGDTYVEPGKTYKLVWNVERKPGDLTLSGILSIKYLSDKPHKLRDGVVQEVADAVSELNDTKQLVRFIH -GFVSCPCGKSAFTVGGWNGFATVCCGVTVKSPICVKFSGKAGSIFALPLGSRVFGTKRIYAGAEFQYCCD -LSGVEIWCTTKTFSVDGVCTVGAHLVTADDPVYATLFPTNCILGDDGCTSDYDLCYSIVAGCANDGLVQR -IVRGSLNVSAQLFSNAVDLFVATKIWFMEHCTPMFASAMKYLWDAIRKISCTTKELKKFWDHLCDAKLYV -ENHILRFSITTSVKFKSIVGRFLEMLRISFNILCIEASERYFHCKDVTGTNLKVFKTLLVSWCEAAITGV -KEAGLTTAKYFVSVTGKVCNVVVKRTEAISGVFLEEVDNTITPPSTGICRLINDYAFFYGGGYYFPLTAS -NEVVDGAVFKAAGSPLATDDEQPVERPPDVTLEFEFEDGLVLSFLKKSLGNTSKGFHNFDDFEEYMQQKI -EQLRQAVSASEYAFEIPDCYIYSCNGTYDMEPVMRISEFAFEDDTILQELVSVSCDASLDGTVASDTLYL -GPSTFWKERWDFDCVSMVSVDVKGSNVWFPAVNNWNASIRATAVFVGQMFNLAAGDLVWRDTELQQLWDA -KSHHLWYKLAVAAFPNKDDDNALDVDILLKYVFSKLVLSKARANWVCKCGAEVQLSGLEACTGHFAEVSC -LCGLPMVAKELNVPFEIIAPGFVMSVLQESSAHGCVVAVVDNSTQTSCVMFDGDQPLLVDGAVSNFTYPL -SKLSVVAMLKRGYVVESNDVEFSSHTRYNFSVSVACKPFGALHTKYNHVVLPGVHPKEEDTQCDQVLSAL -GLSAMKPALLNAFDNTGDYWCDVVHGTTTYHCLGSLRGFNARNNFKRALDACPDGSEVLLPKLDLCIRDV -VVVCASRKLSFVLADYDTNIVGSHVAINVNKFSTTPLVVRGGYKHLQLRDNNCWANAVFVGLQLCNYYDN -GNVEWQCALSGANSRFLEHVYDANKTFYGSTADAAQALERMLDGDTCMTVTLQCSCVCGGETVTLKASVF -KFRPVNGFFDYGVCPVCDAKIKALILHIDGKGFFCYTATRDSKLLFPGCVGEIVYTGADIGGHYYIKYDG -GYHDGTGTKHSVKARSQVAVYNVVGLPKPILVRQGIRFYRAAFIDMLKFKPRCVVNAANSNLAHGGGIAR -AIDQATGGELQRLSNVCKNKPGVGCCNVIKCKDFEVLNAVGPQVTDDNVDGLLLKAYDAVKSFSHGLTIT -PLLSVGIFRVPFDKSLSKFVTTFDGFCNFYCYVYTEAEVTSLLQYFDNAATVVELPNPVVEVSGVVESNE -ELVTVLVTEDSVNYTSTTVSTNSTFGEQLGICAVASKDVTNAQPTLADEGCAVVLPPSIDYASYYGFDAA -SFIAVGHGDYNFEIVVDDGIVALSQDRNNCWLNVVCLSLQMLKPHFKFEGLRKLWDEFCTGAVAGFCHFV -YFVSNISLGSKADAEVVLHKLNNFIESDTTVTHMTCTQCVDCKDDTCTVTGAVVNSVLSRSAVTAGNCKH -GYCKNVIVKSVSGDCILTSNKPCSADQYVSNVGRILYTGDETNGHYTFYDCTRGRLYDGSTYKVQDVASV -SASVSSLVVKIHSYAPVTQPKTVSPSVHGQIDYAKKFEDFSVRFFRFGDYVFSNIIVLFLIVLDILKNCY -ASFKKKDVKILARIPRRSGMVVTKSLLYNSKVAVDSMYERRNWFYAFGVFASFFYICYAVVSAGLRFSSL -CTEWTDGYANSTFVKQDYCNGSLICYECLRGYEELSDFAHIHVRWSFTYNLSEFFIFYHALTFTIMWVFG -SKYVKTGLFYFVLQCWNNAMCWFDNTEPIWLLNIVKFSSVSVHFFSLFCVYKLACFVKHVLFGCSKPNCL -SCSRSAKYDRVPCDTIVNGVKRSFYVTANGGRKFCDKHQFFCQNCDSLGVGCTFINEHVAKEVSNVTKTN -VRATGPAYVEIDKVTFDDGFYYLHAGDQFWKYDFDVSSKKFDSEVCIKDLSLTADFMVFNDSGTARANAV -NASVYFSQLLCKPIKLVNKVLLSSLTIDHGGAMHDAYCKVLHNSFNKDFAKCGDLQSCKRCVGVDCRDAV -FEQGVKLAHKYDVLLCNDSANNFVTTYAKAGTRVGTADLAVFNRESVREINHAVLTRNKVTVVWSVNVFS -KLSTDTQDYIVKTTKAKGLLFLLTFNDHVNTQNLPCNMVNSKNAGIPLGRFNNFGLAKCCYYMLAAACIV -YASVCLFNFNFKTATFDSVPEYDFRYIENGAMKFFNKGLPCVYNLYKEFPEWHKQRYGFVPSFSVSCPII -VGASDLNTNVVPNVPSNVVLVGRILVFSYQTYFGHSKLCFSDAYNFNMAKISDGDCARAAVFPSACTTLQ -GLGGSHVYCFMDGLYPGAKLYSDLVPHLRYYTDANNYIMLPEVVMRGFGFKVTRFLGETYCRVGECVSSN -AGVCVGADEWYVFDSNIGDNYVCSEDVRGLVWAFFSFFNANIGTLLLTGQLTLNIVIACCIVCVCYTFVK -FKRIFGDMSTLVLMVLAATVINSVSYLFTVNFVFLMLYTCVYFISTRKVYFSMVWDLMYVVAYVFVAPWY -VVVGYVIILLCDCMPSITKLKLSTNLLEGDKFVGSFEHASRGTFVLNAQSCAKLVNEIGQEKLDRYAANY -ARYRHYSGNPNEADYRAACFAWLAKAIKDYQLSPQDKLYCAPTVSYNSVLQSGFRKIAQPSGLVEPCIVR -VTYHNSYLNGVWLGDQVYAPRHVIASDTTRVVDYETEQNLVRSHNFSISRGNSFLTVKGFRFEGCNVVIN -VAEVNPLTPEYKFDTLKPGDNFNILACYDGIPSGVYGVTLRYNSTIKGSFVNGTCGSPGYVVSNGVIKFC -YLHQMELGSGAHVGSDFTGKMYGGYQDQAKVQIEGANRLITENVVAFFYAALFNGERWWCSKSSTCITNF -NSWAAENNFTQLAATDVFNLVASKTGVSVEQILAAIITYSKGFGHRTLLGYASINDEYTITEVMQQMFGV -QLQSGKLKRVFEGFTLFFFFVALFWTQFLMYTSISILHWEVVIAVLAALTCFSAMLIVFIKHKMVFLYGY -VIPATLVIVFSNFLWDYVVSAIVTEHAAFMAAYFAFDVQSVFNLFMLCFVLVLHLARFYCSGGALLACLF -SCAYTVGLFVYFVKIDVLSAFFMFISGMQCSWALTWSSYKIATYLMSYVSAYYIEVFGYTKILMVIYLGV -GYLFCAYYGILYWVNRFTMSNFSLCLGYYDYCVSQAEFKYMVANNLKCPTNPLEALYLNIKLMGVGGPKK -IKLSTIQSKLTDLKCTNVVLLSCLSSMNVAVNSKEWSYCVQLHNDINLCDDPETATEKLLALCSFFLSKQ -QNFNLDVLIDSYFDNKAILHSVASTFASMPSYIAYEKAKMDYEQAKQNGTSDQVVRQLLKAMNIAKSEFD -LELSVQRKLNRMADNAAAQMFKDARNVDRKAKVVSSMHGLLVAMLRRLDMSSINELMDLAKDGILPLAVI -PAAASNRLVVVTPSIEAFDKIRHDNSICYAGSVWTITAIKDVDGTSVQLAEVCAGCDGALNWPLHIEAER -IVKLQNNEITPGKLAQRVVNTDSGTGKALYCNEQGRGFIYALLADASDITYIKWDTGLGDDVVIELEKPV -KFSVQTPNGPQIKYLYFVKNLNTLRRGAVLGFIGATVRLQAGKPTEYATDCQILTLCAFAVNPKDAYLTA -VKQGHKPLSNCIKMINNGSGNGLAITPRVEANTVQDSYGGASCCLYCRASVEHPSMNGVCNLRGKYVQVP -TGTTDPVRFVLENEVCSVCSCWLGHGCVCDRSTVQSNIISQDYLNGCGALVMLN - ->YP_009328933.1 ORF1ab protein [NL63-related bat coronavirus] -MSYNQVTLAVASDSEISAHGCASPSVAVRAYSEAAAQEFRACRFVAFGLQDCVTGVHDDDYVIALTGTNL -LCAKIRPFSDRPRNLRGWLVFSNSNYVLQEFDVIFGDGAGDVVFVDNYMCGFDGKPVLPETIWEFKDYFG -DDVDNITINGVSYQLAWDVTRKPLSYKQQNVLAIESIRYLGSKEHTLRAGCKLFNAKQPKYSAKVVLSDE -WIEVYKAFGSPFVTNGSSLLEILTKPVFFNAFVECNCGNKGWSVGAWDGYLSPCCGTPAKKICVVPGNVV -PGDVLVTSTNAGTGTKYYAGLVVKHVVNVSGVSLWRVTAVQSDGAFVATSSYDEVLHKNSLDPFCYDVST -RLSLQLRLAFLGAPVTEDVKVAATSGVIDISAGMFGLYDDILTNNKPWFVRKASGLFDAVWEAFVAAIKL -IPTTTQCLVKLIKAVASAVLTVSKGTIVMFAEVSEKFQTAFYAFTQAICAAFDVASDAFKVGGVSFRKLG -DYVLTENALVRLTTELVRGVRDVRVKRATFANVVVGATTDVQPSVIEPAMVNLRVLDYAGSVDPKGRIAV -IAGQAFFHSGGVYRFMVSPTAVLNEPAFAGDASYNIKFSGFKLDGFKHRVVNAASDVEAIAAVELLLSDF -KNAVFVYNFSVDGCNIIVKRDVAFATPLLFKDCYSVWENFCIDYCGQPWFLVDYNSILQGTSLSDIVVQA -SESKALLEHLNPKCPDVLLNIDGGRLWKMFVQKLDSVVEWLKTLKLTLTPKGLLGNCAKRFKRILAKLLD -IYNGFLETVCSVVHTAGVAIKHYAVDVPYVVIGDFVSRVVCKKRADVPVPCDSRITSFHEFVDAVYKVGK -PTTIDVEHLELKETSFVEPKEGGQFVVSDDYFWYIMDDICYPASEDGVLPVAFSKSAGGKISFAEEVTVH -DVEPTHKVKLVFEFDDDNVARLCKKSLGKSIMYTGDWDGLCKMLTSAMNVIGQHVTLPQFYIYDEEGGED -MSKPVMISQWPIESDGCEVNDVGEPTVDPAQPDLTEEDIVLDNEVVDFVTEQTSEVEQVNKAFGPVVKSA -PVPEHPFAFPFRDELGVRVLNQAENNCWINTTLIQLQLTKLLEESVEMELFRVGRTGPIVQKCYELSNSV -RGSLGDSGELLNKLLKDKFTCFMTFETLCGCGKRRDEQAGCWFWIMPYTKPFPYGKCSICRKTQIYKLTS -MKGTGVFVQDPAPVDFDALPTMPICASAYLGSRGSGHYQTNLYNVNKAIDGFGVSDIKNGSINTVCFVDV -DYDNTQKEAVEEVRPFAVHENVSFYVGDISHLIDSVPFDFVVNAANENLAHGGGVARAIDDLTKGQLQSL -SNAYIRNKGPLKVGTGVMLKCNKFSVYNVVGPRKGKHEHTLLVKAYNSILAEEGTPLMPILSCGIFGVKL -ENSLKALFSCNIDKQLRVFVYSSKEEEAVKGFLGSLSVAPVVREEKIVAPVKLKSEDLKPFRCEGNFSFF -DASVESLSGDTYLLFTNSILMLDKQGQLLDTKLNGILQQAALDYLKKVKAVPAGNLVKLVVEGFTIYMCV -VPSAKDPSFDKNLDRCVRKLNKLKICVVANMPSIDVLKKLLSNLAIDVKFAAEGKTSDVNGCFKSDNVVL -KVTEDGVNVKDVIVDSSKSLDKQLGVVSDGVESLEGVLPTKTDTVLSVAPAVDWVNFYGFDNAALFASLD -TKPYNYPNDFVNGFRVLKTTDNNCWINATCITLQYLKPNFKSEGLNALWNKFVTGDVGPFVSFIYFITGS -TKGQKGDSEETLSKLSEYLVSDSVVTLEQYTTCDLCESNITTVKGAVVCASVLKDGCEVGFCPHRHKLRS -RVKSVRGRVVITNVDRPVVAQPSRLLNGIAYTAFSGPVDSGHYTVYDSLTKSIYDGDRFVASDLSTLSIT -AVVVAGGYMARDVTPPVQHKKSVMEKFDVSAQNFFRFGDFVMNNFILFLTWLFSMLSLLRTSIKKRDVKV -IAMAPRRTGIILTRSLKYNVKSATVVAKQKWCYISMLLKVLLLLYTMYASIFMVVQLNPFNSLFCSDTIS -GYENSTFDKDVYCGKSMRCRMCLFGYQELSDLEHTSVIWKHIRDPILAGLQPFVILVILLIFGNMYLRFG -LLYFVAQFISTFGSFVGFHQKQWFLHLVPFDVLCNEFLATFVVCKIVLFARHIIVGCNDADCIACSKSAR -LKRVPLQTIVNGMNKSFYVNANGGTHFCNKHNFFCVNCDSFGPGNTFINGDIARELGNVVKTTVQPTAPA -YVVIDKVDFANGFYRLYSGDTFWRYDFDITEPKYGCKEVLKNCNVLENFIVYNNNGSNVTQVKNACVYFS -QLLCEPIKLVDSELLSTLSVDFNGVLHKAYVDVLCNSFSKELTASMSMAECKTALGLTVSDDDFVSAVAN -AHRYDILLSDLSFNNFFTSYAKPEEKLSAYDVACCMRAGSKVVNHNVLTKESTPVVWSAKDFNTLSQEGK -KYLVKTTKAKGLSFLLTFNDNQAITQVPATSIVSKQGAGFKRTFNFLWYTCFIIVALFVGISFIDYTTTT -TSFPDYDFKYIENGQLKVFEAPLKCVRNVFDNFNQWHEAKFGVVPTNSDKCPIVVGVSERTNVVPGVPAN -VYLIGRTLVFTLQAAFGNTGVCYDFDGVTTSDKCIFNSACTKLEGLGGNNVYCYNTGLMEGSKPYSDLQP -NAYYKYDGRNYVRFPEILARGFGLRTIRTLTTRYCRVGECRDSRKGVCFGLDKWYVNDGRFDDGYICGDG -LTDLVMNVISIFSSSFSVAAMSGHMLFNLFFAALVIFLCFLVTKFKRVFGDLSYGVCTVVCATLINNVSY -VVTQNSLFMLLYALLYFAFTRTIRYAWIWHVAYIIAYALLAPWWLCAWFCFAALLELLPNVFKLKISTQL -FEGDKFIGTFESAAAGTFVLDMRSYERLINTISPEKLKSYAASYNKYKYYSGSASEADYRCACYAHLAKA -MLDYAKDHNDMLYSPPTVSYNSTLQAGLKKMAQPSGCVERCVVRVCYGNTVLNGVWLGDVVTCPRHVIAP -STTSLIDYEHAYSTMRLHNFSISYNGVFLGVVGATMHGSVLRIKVSQSNVHTPKHVFKTLKPGDSFNILA -CYEGVASGVFGVNLRTNSTIKGSFINGACGSPGYNVKSDGTVEFCYLHQIELGSGAHVGSDFSGSIYGCF -DDRPSLQVEGANLMLSDNVIAFMYAALLNGCRWWLRPERTNVDVFNDWAVANGYTSVSSVDCYSILAAKT -GVSVEQILAAIQRLCDGFGGKTILGYPSLCDEFTLAEVVKQMYGVNLQSGKVNSGLKTLLLFGVFFTMFW -AELFIYTNTIWVNPVILTPVFCILLFLSLVLTMLLKHKFLFLQAFLLPTVIATALYNCAWDYYMARFLAD -HFDYNVSVLQMDIQGLVNVLVCLLVAFLHTWRFAKERFTHWCTYVCSLIAIVYTYYYSGDFLSLLVMFLC -AISNEWYIGAIGFRLARLLVLCVSEDIVDVFGEVKFTLVIYLICGYLICVYWGILYWLNRFFKCTMGVYD -FKVSAAEFKYMVANGLRAPQGPFDALWLSFKLLGIGGDRCIKISTVQSKLTDLKCTNVVLLGCLSSMNIA -ANSNEWAYCVDLHNKINLCDDPEKAQEMLLALLAFFLSKHSDFGLDGLIDSYFDNSSTLQSVASSFVSMP -SYIAYENARQAYEDAVANGSSPQLVKQLKRAMNIAKSEFDHEISVQKKISRMAEXAATQMYKEARAVNRK -SKVISAMHSLLFGMLRRLDMSSVETVLNLARDGVVPLSIIPATSASKLTIVSPDLESYSKIVCDGSVHYA -GVVWTLNDVKDNDGRPVHVKEITKENVETLTWPLVLSCERVVKLQNNEIMPGKLKQKPMKAEGDGGVSGE -GNALYNNEGGKTFMYAYISNKADLKFVKWEYEGGCNTIELDSPCRFMVETPSGPQVKYLYFVKNLNTLRR -GAVLGFIGATIRLQAGKQTELAVNSGLLTACAFSVDPASTYLEAVKHGAKPVGNCIKMLSNGAGNGQAIT -TSVDANTNQDSYGGASVCLYCRAHVSHPSMDGYCKFKGKCVQVPLGCMDPIRFCLENKVCTVCGCWLGHG -CSCDRTTVQSFDMSYLNRARGSSAARLEPCNGTDIDKCVRAFDIYNKNVAFLGKCLKVNCVRFKNAELRD -GYFVIKRCTKSVMEHEQSMFNLLSGSNALAQHDFFTWKDGRVIYGNVSRQNLTKYTMMDLVYAMRNFDEQ -NCDVLKEILVLTGCCDNSYFDNKSWYDPVENEDIHRVYAALGKVVANAMLKCVALCDEMVVKGVVGVLTL -DNQDLNGNFYDFGDFVVSLPGMGVPCCTSYYSYMMPVMGLTNCLASECFVKSDIFGSDFKTFDLLKYDFT -EHKENLFNKYFKYWGFDYHPNCSDCYDEMCVIHCANFNTLFATTIPGTAFGPLCRKVFIDGVPLVATAGY -HFKQLGLVWNKDVNTHSTRLTITELLQFVTDPSLIIASSPALVDQRTVCFSVAALSTGLTNQVVRPGHFN -EEFYNFLRSKGFFDEGSELTLKHFFFAQKGDAAVKDFDFYRYNRPTMLDICQAKVTYKIVSRYFDIYEGG -CITAREVVVTNLNKSAGWPLNKFGKAGLYYESISYEEQDALFAMTKRNILPTMTQLNLKYAISGKDRART -VGGVSLLSTMTTRQYHQKHLKSIVNTRNATVVIGTTKFYGGWDNMLRTLMDGVENPMLMGWDYPKCDRAL -PNMIRMISAMVLGSKHVSCCTSTDRFYRLSNELAQVLTEVVYSNGGFYFKPGGTTSGDASTAYANSVFNI -FQAVSSNINRLLSVPSDSCNNINVRDLQRRLYDNCYRSTNVDESFVDDYYGYLRKHFSMMILSDDGVVCY -NKDYAELGYIADISAFKATLYYQNNVFMSTSKCWVEEDLTKGPHEFCSQHTMQIVDKEGTYYLPYPDPSR -ILSAGVFVDDVVKTDAVILLERYVSLAIDAYPLSKHPNPEYRKVFYVLLDWVKHLNKTLNEGVLESFSVT -LLDNQEDKFWSEEFYASMYEKSTVLQAAGLCVVCGSQTVLRCGDCLRKPMLCTKCAYDHVFGTDHRFILA -ITPYVCSASGCGVSDVTKLYLGGLNYYCIDHKPQLSFPLCSAGNIFGLYKNSATGSLDVEVFNKLATSDW -TDVRDYKLANDVKDSLRLFAAETIKAKEESVKSSYAFATLKEIVGPKELLLSWESGKVKPPLNRNSVFTC -FQISKDSKFQIGEFIFEKVDYGSDTVTYKSTATTKLVPGMIFILTSHNVQPLRAPTIANQERYSNIYKLH -PAFNISDAYASLVPYYQLIGKQRITTIQGPPGSGKSHCSIGLGLYYPGARIVFTACAHAAVDSLCAKAAT -AYSVDKCTRIIPARARVECYSGFKPNNTSAQYIFSTVNALPECNADIVVVDEVSMCTNYDLSVINQRLSY -KHIVYVGDPQQLPAPRVMITKGVMEPADYNVVTQRMCAIGPDVFLHKCYRCPAEIVNTVSELVYENKFVP -VKPDSKQCFKIFVKGNVQVDNGSSINRKQLDIVKLFLAKNPSWNKAVFISPYNSQNYVASRLLGLQIQTV -DSSQGSEYEYVIYAQTSDTAHACNVNRFNVAITRAKKGIFCIMCDRELFEALKFFEIKQSDLQSVDQACG -LFKDCARNPVDLPPTHAHTFLSLSDRFKTNGDLAVQIGSNNVCTYEHVMSFMGFRFDINIPGSHSLFCTR -DFAIRNVRGWLGMDVEGAHVCGDNVGTNVPLQVGFSNGVDFVVQPEGCVSTNTGDVIKPVRSRAPPGEQF -THLVPLLRKGQPWSVVRKRIVQMVADYLAGLSDNLIFVLWAGGLELTTMRYFVKIGPAKQCYCGKSATCY -NSVSNEYCCFRHALGCDYIYNPYAFDVQQWGYVGSLSQNHHAVCNIHRNEHVASGDAVMTRCLAIHDCFV -KNVDWTVTYPFIANEKSINGCGRNVQGHVVRAALKLYKPSVIHDIGNPKGIRCAVTDARWYCYDKQPVNS -NVKLLEYDYMTHGQLDGLCLFWNCNVDMYPEFSIVCRFDTRTRSVLNLEGVNGGSLYVNKHAFHTPAYDK -RALAKLKPMPFFYFDDGECDVVQEQVNYVPLRASNCVTRCNIGGAVCSKHANLYRNYVEAYNTFTQAGFN -IWVPQSFDVYNLWQTFAETNLQSLENIAFNVMKKGCFVGVEGELPVAVVNDKVFVREGDVDNLVFTNKTT -LPTNVAFELFAKRKMGLTPPLSILKNLGVVATYKFVLWDYEAERPFTSYTKGVCSYTDFAEDVCVCFDNS -IQGSYERFTLTNNAVLVSNTAINKLTPIKLNFGMLNGLPVSTTKNDKGVDKPVNWYIYVRKNGQFLDHYD -GFYTQGRNLADFLPRSVMENDFLNMDIGVFITKYGLEEFNFEHVVYGDVSKTTLGGLHLLISQVRLSKMG -ILKADDFVSTSDNTLRCCTVTYLNDPSSKVVCTYMDLLLDDFVTILRSLDLSVVSKVHEVIIDNKSYRWM -LWCKDNHLATFYPQLQSADWKCGYSMPQIYKLQRMCLEPCNLYNYGAGIKLPSGIMLNVVKYTQLCQYLN -STTMCVPHNMRVLHYGAGSDKGVAPGTAVLKRWLPPDAIIIDNDINEYVSDADFSITGDCATVYLEDKFD -LLISDMYDGKTKSCDGANVSKDGFFTYLNGVVREKLSIGGSIAIKITEYSWNKHLYELAQRFAFWTLFCT -SVNTSSSEAFLIGINYLGDFAQGPFIDGNTVHANYIFWRNSTVMSLSYNSVLDLSKFECKHKATVVVTLK -DSDVNDMVLGLIKSGRLLLRNSSGRFGGFSNHLVSTKQ - ->YP_009201729.1 ORF1ab polyprotein [BtNv-AlphaCoV/SC2013] -MARNPITVAKAAVCEISAIGFGDIGEAVLHYSNAAADGFIKCRFVPLSMADCVEGLLSDEFVVLVNNDTS -CLTVGTFGERPPDLKGWLLFSNCDVDGTAIVSFDGLAGAEVYVDNYMCDQTGKPVLAADVWTFKDYFGES -DTIVIDGVVYRKAWEVVRLDVPYKAQSVSAISSIEWLCGVQHVLPSGTVLDVAKSVSKSKVVLLSDPYAD -IYDQVGSPFMTNGKNLLEIVVKPCFLHGYVKCNCGNTHWAVGDWRAGYKSVCCGLNCNPICVAAGYVEPG -DVLFTSKGAGTGLKYYKGLTLKFVGEVENVAVWRIQKVHHVDGFVACNRFKDDFCSTMESCGVENVSATA -NALKFSLISGCCQEVTLRSIVSGQLSVGFAVFDTFEDIFSMPKWMQRLGALGSTLWSQFKSFARETFKTS -TSLVEFVNFLRDVSCVVMNGALELVANVPNHFASLFDHLRVLVASVTTLFIEEFKVAGVAFKRLGDYVLF -DNALCQLASVKLKGFKQDGLKTATYVSTVYGPSKRVKVKRVEKCDANLVLCDSAPLVDEGYACVIQGQAF -YTNGTYYWFMTDHTSVLESPVFKKDTIVCPDVLLAVDGGDIFHKFLSTVTDVVEFCASIKVHFGLEGFVI -TAAKKFKAFARTLTELYNEFAIAVSDVVKIACMNFTYYAFNKPMVAVNGTLCPVELVEPPSDVSKEALVT -DFMVFKSPDTHVKPIRIETESCELHEVDFVTPRDGGVVKIIDGYAFYESNGNYYPSSVDGVKNVTYRKKG -GGVVTFSETNSVKEVDPVHKVYLTYEFEDEVVVEVLKQTVGYKMTFEGQEWADFEATLRGVLSVVSTKLP -IPEYFVYDEEGGFDYTKPVMVSQWPIQVSDSVDHETVDDTVDTTPRSDEDEVREALSFIKEVEPQPLNPF -AFEFTDYCGLKVLKQVNNNCWVASACLHMQLLDLLDTPAMDLYKCGRVGPLVQSCYESVKQLVGSMGDVT -ECLEHMLKDVKSCVVVCDAVCDCGTKDLTIEGCVFRFMPCSEPLERWCAVCNSMAACTIKGIKGTAIFCQ -KPGPANDLYVEPYCAASFIGSVTSGHYRTNVYHTGKAVDGFGVHDIDNTNLHTYAVKNVDWTRSHTPADN -SPALVEPFLVYKNVQFFQGDFKDLVGGVQHDFVVNAANERLQHGGGVAKAINDYTNNELQHLSSAHVKKH -GKVSVGSGVMLQCSGVKVLNVVGPRHGAGEVALLENAYNCIFDQDGVPLTPLIGVGIFKVPITTSLKALL -DVVGDRQCRCFCYSDSEVAAIVAYVNNMSAVDDVQLPVCPPLTPCRVEGDVSFYMGSVDVLLQQSFDRFV -FFVSEDLQLCVLASALDVYLNGCVTEALNKSGPLPAGNIVSYKHPKGDGFVVVTFAVLPAVGSKDFDKNV -KRVFNKLSKLKGNILSTVSSVMFARLTRVWKSFWLCDSSVSVVDEHFSETSVFVVVTENGRDFETVALDT -AKTFVEQVGVCTIDNVDVTNAVVTPTDEPVSVATPSVDWDAFYGFENASVFHTLDHSGFEFESTVVEGKR -TLKSSDNNCWVNAVCLQLQYLDAKFVSEGLNAMWDSYVTGNVSKFVHWLYWITDHSKNDRNDAESVLLRL -SVYLCKSCVFTIERETSSLCCSELRTYNACVVSASVLRNGVSDGVCKHGDAYKSRVSAVDGIGIVVSVSI -PDPVPKSALLDGVSYTTFTGDVGSGHYAVVDRKLYKSFDGFDVKPASLSSVSVTGVVVKTAKFTRKVKQV -ATPTVSSGDAPLDLSTTLNTAASKFFSVGDVVARNVGMFCVWLFSMLTLLFKTFKKRDFSVLALAPQRTG -VIFRRSLKYNSKAAYKHLNSKWGTVKFVMKLLVMFYTLYMLLFLTVRFSPLNSVFCDDYVDGYYNSTFVK -SDYCDGLVCKVCLYGYQELSDFPHLDLTWEFITHPLLVSFMPLLYMAFLLCFGNKWVRFLMCYFILQYVN -AAGVVLGLQDSIWALHLVPFDIFCDEIVVCWLVYVILAFLKHVCFGCEKPSCVACSKSARLTRIPIQTVV -NGSTKVVYVAANGGNRPFCKKHNFFCVNCDSYGVGCTFINEHVARELANVTKTNVQPTGDAYIVIDKVEF -QNGFYYLYSGETFWRYNFDITSSKYSCKEVLKSCNILSDFIVFDNNGTNVSQVHNASVYLSQLLCKPIKL -VDSALLSTLDVDFNGALHSAFLEVLNNSFNKDFSGCATMSECKSLLGFEVSDEDFYNAVSDAHRFNILLT -DISFNNFITTYAKPEEKFATHDAAVCMRSGSKIVNHNVLIKENAAVVWYQRDFHALSEDCRKYIVKTSKQ -KGVNIMITFNDTRMNVAIPAVNFVCKKGGAIKPFGWLCIVFAFIFALYMSVGLFDFTESVPSYMGFDFKY -IKEGRLHDFTERLDCVYSVFDNFDVWHESKFGTVPTKSIKCPIVVGVSDSVRTLPGVPAGVMLVGKTLVF -AVKTVFGETGNCYDTQGVTSTSSCLFTSACTVLSGIGGTITYCYKDGIVEGARTYSELVPNTYYKMEDGR -HVIFPEVLARGFGFRAVRTLATTYCRAGQCVDSKAGVCFGLDRFLVYSADSGSDFVCGNGLLSLLYNVLA -IFSSSFSVAALSGQIIFNLVVAAIAVFVCFTVVKFKRMFGDMSRGVCTVGAAVLVNNLSYVVTQNTVGMI -VYAVLYFLATRGVTYSWIWYVSYVVAYSTLAPWWLLSWYLIAGLSGVIPSFIKLRVSSQLFDGDKFVGSF -EAAAMGTFVLDMHSYEKLVNSLPADKLKQYASAFNRYKYYSGSASEADYRLACFSHLAKAMMDYGTTHQD -CLYTPPTISYNSTLQAGLRKMAQPSGMVEKCIVRVAYGNMVLNGVWLGDEVYCPRHVIAENTTTLIDYDK -AFSLIRLHNFSVSVGNVFLGVVSCRMRGALLCIKVNQANVNTPNYTFKTLKPGDSFNILACYEGVASGVY -GVTLRNNSTVRGSFINGACGSPGYNLVGNTVEFCYFHQLELGSGCHVGSDANGVMYGNFDDQPTLQIEGA -SRLYTANVVSFLYGALLNGCNWWLTSDRLTLEAFNDWATSNDFTTLSSVDMYTILSAKTGVDVARVLAAI -QKLAKGFGGNKILGYSSLTDEFTAGEVIKQMYGVTLQSSKKSRFLGNLLSIGLFVFMFWSEFLSYSALFW -INANVLTPIFLCLCGFSVVLTVGLKHKLLFLYTFLIPTVVLLAAINLSWDFYLRALLSSTVGYHTSLMSF -DLQGVLNIAICAFVSGLHLYRFSPNVGSCATLLMSLGVTVYNYWFDTDYFSIIMTLLLNMSSTWYIGAIA -YKIASVMIVFVPAPLIAAFGPIKTVLMVYVCIGYVFCMYWGFCYWLNRVSKLTLGVYDFKVSSAEFKYMV -ANGLSAPRSVLDTLYLSFRLLGVGGERTIKVATVQSKLTDLKCANVVLLGCLSAMNVSANSKEWAYCVDL -HNKINLCDDPEKAQEMLLALLAFFISKQKDFGVDDLLDSYFSNSALLQSVAATFVNMPSYIAYETARQEY -ENAVNTDASQSVVKQLRKAMNVAKAEFDKEASVQRKINRMAEAAATQMYKEARAVNKKSKVISSLHAMLF -SMLRRLDMSSIDNILSLARDGTVPLSIIPTACATKLTVVCSDIESFSKVVFDNCVQYAGVVWNIIDIRDG -DGKIVHLKEVNKDNVDVLSWPLFVNCERMVKLQNNEIMPGKLKQKAVRAEGEGVHCDGKALYNNEGGKTF -MYAFLADKPDLKYVKWDFDGGCNVIELEPPCKFAVETSTGVQVRYLYFVKNLNTLRRGAVLGYIGATVRL -QAGKQTELATNSSLLTLCAFAVDPPKMYIDSVKAGIKPVGNCVKMLSNGAGNGQAITTSVEANTNQDSYG -GASVCLYCRAHVEHPGMDGRCQFKGRYVQVPLGTADPIRFCLENKVCSVCGCWFGHGCTCDRTCLQAVDT -AYLNRVRGSSAARLEPCNGSETDHVVRAFDIYNKDVACIGKFLKVNCVRMRNVDAKDAFFVVKRCVKGVM -EHEQSMYDTLKHSGVLAPHDFYLWKNGRSVYGNVSRQNLTKYTMMDLVFALRNFDERNCDVLKEILVLVG -ACDESYFENKCWYDPVENEDIHRVYAALGRIVNTAMLNCVKLCDAMVEKGIIGVLTLDNQDLNGLFYDFG -DFSFTMPGMGVPCCTSYYSYMMPVMGMTNCLACECFVKSDIFGSDFKTFDLLEYDFTEHKSLLFEKYFKY -WGQDYHPNCVDCHDDMCVVHCANFNTLFSTTIPITAFGPLCRKVFVDGVPLVTTAGYHFKQLGIVWNKDI -NTHSTRLSINELLQFVADPALLVASSPALVDQRTCCFSVAALGTGMTSQTVKPGHFNREFYDFLRGQGFF -EEGSDLTLKHFFFAQKGDAAIKDFDFYRYNRPTMLDICQARVTYQIVKRYFDIYDGGCITAREVVVVNLN -KSAGYPFNKLGKAGLYYEALSYEEQDQLYAVTKRNVMPTMTQLNLKYAISGKERARTVGGVSLLSTMTTR -QYHQKHLKSIVNTRNASVVIGTTKFYGGWDNMLRTLIDGVDNPCLMGWDYPKCDRALPNMIRMISAMILG -SKHVNCCTASDRYYRLCNELAQVLTEVVYSNGGFYFKPGGTTSGDATTAYANSVFNIFQAVSANINRLLG -VDSNACNNNTVKHLQRRLYDNCYRLSNVDDEFVNDYYGYLQKHFSMMILSDDGVVCYNKDYADLGYVADI -GAFKATLYYQNNVFMSTAKCWVEPDVNKGPHEFCSQHTMQVVDENGKYFLPYPDPSRILSAGVFVDDVVK -TDPVILLERYVSLAIDAYPLSKHENLEYRKVFYALLDWVKHLHNTLNQGILESFSVTLLEDQSAKFWSED -FYANMYEKSTALQSAGMCVVCGSQTVLRCGDCIRRPLLCTKCAYDHVVGTPHKFILSISPYVCNHSGCTV -NDVTRLYLGGLSYYCVDHKPQLAFPLCSAGNVFGLYKNSATGSVDVDVFNTLSTSDWSDVRDYRLANECK -DSLRLFAAETVKAKEECIKSSYACATLREIIGPKELLLSWEPGKPRPPLNRNSVFTGFQITKDSKMQLGE -FTFEKLDYDSDAVVYKSTTTCKLSPGVLFVLTSHNVAPLRAPTMANQEKYSTIVKLRPVFNISDAYASLI -PYYQLIGKQRITTIQGPPGSGKSHCVIGLGLYYPGARILYTACSHAAVDSLCAKAATAFNTDSCSRIIPA -RARVECFSGFKVNNTSAQYIFATVNTIPEINVDIVVLDEVSMCTNYELSLLNQRVSYRHVVYVGDPQQLP -APRTMISKGVLEPRDYNVVTQRMCAVGPDVFLHKCYRCPAEIVKTVSELVYENKFKPVHPDSKECFKLYC -KGSVHVDNGSSVNKRQLDVVRMFLGKNPRWAKAVFISPYNSQNYVASRVLGLQTQTVDSSQGSEYDYVIY -TQTSDTLHACNINRFNVAITRAKKGILCVMCDRSLFDALKFYELKMTDLQSSDGCGLFKDCHRGSDNLPP -SHAPTYMSLSDAFKTDKDLAVQIGVSGTIKYEHVISFMGFRFDVNVPGYHNLFCTRDFAMRNVRGWIGMD -VEGAHVCGDNLGTNVPLQIGFSNGVDFVVQPEGCVITECGNKVTSVRARAPPGEQFTHLIPLMRKGQPWS -VVRKRIVQMCCDCMSGMSDIIIFVLWAGGLELTTMRYFVKTGPVTHCHCGKEATCYNSGSHAFYCFRHAL -GCDYLYNPFVIDIQQWGYTGSLSFNHHQYCNIHRNEHVASGDAIMTRCLAVYDCFVKNVDWSITYPFISN -ELAINKGGRVVQSHIVRAALKLYNPKAIHDIGNPKGIRCASTNISWYCYDKQPLNSNVRMLEYDYITHGQ -MEGLCLFWNCNVDMYPEFSIVCRFDTRTRSVLNLEGVNGGSLYVNNHAFHTPAYDRRAFAKLQPAPFFFY -DDGDCDIVQGETNYVPLRSSSCITRCNVGGAVCSKHSNMYHAYVNAYNVFTQAGFTIWVPKSFELFNLWQ -TFLDTNLQGLENIAYNVVKKGSFVGASGELPVAVVNDRVLVREDLSDNVVFVNKTSLPTNVAFELYAKRA -TGLTPPLTILRNLGVVSTYKFCLWDYEAERPFTSFTKSVCSYTDFDEDVCTCYDASIPGSLERFTLANNA -VLFSRQPIKKLTGFKINYGFLNGVPVSTTEGKPVVWYFYVRSNGVNVDYVDGYYTQGRTISTFLPRSQME -EDFLNMDEGFFISKYGLEDFAFEHVVYGDVSKTTLGGLHLLISQVRLGKMGVLNVEDFVSSNDSTLKSCT -VTYANDPSSKMVCTYVDLLLDDFVCLLKSLDLSVVSKVHEVVLDCKIWRWMLWCKDNKVQTFYPQLQSAE -WKCGYSMPTLYKIQRMCLEPCNLYNYGEGVRLPEGIMFNVVKYTQLCQYLNSTTMCVPHNMRVLHLGAGS -DKGVAPGTAVLRRWLPSDAVILDNDVNTYVSDADFSHTGDCSTLYLTDKFDLVISDMYDGRIKAIDGANV -SKDGFFTYINGVICEKLALGGTAAIKITEYSWNRKLYELMQKFAYWTLFCTSVNTSSSEAFLIGVNYLGD -FSETPVIDGATCHANYIFWRNSTLMAMSYNSVLDLTRFECKHKATVVVNLKESDVNEVVLGLVKSGKLLI -RNTGVVCGYAQPPHLSHV - ->YP_009200734.1 ORF1ab polyprotein [BtRf-AlphaCoV/YN2012] -MSINQLTLAVASDQEISAHGYSTMSDAVEHFSSSASHGFKDCRFVAFGLQDIVIGVEPSDFVVALEGDEI -LTAYIATFGARPRCLRGWLIPSNSNYVLEDFQVIFGKRGGNVVFVDNYMCGADGKPAVPREQWSFEEHFD -DDTEDIIINGVTYRHAWNTIRADDPYEKQGLLSIKSIEYVSTIPHKLPNGSVLSVAGPPKKRKAVVLDEK -YNKIYNACGVPFVTNGKSISEVVTKPLFLHALVKCKCGNESWTVGDWTGFKTTCCGATGKVTTFAVGDVT -PGDIVFTTTGAGTGTKFFCGLVLTHVDTLEGVSAWRVVKAYTVDTFVASSNFDEFNHVMSLDQCSFDNFS -SISVALKFSLLRGSCCDDVKVAVATGVIDIGLGVFDVNDTVFENVPWFVQKFEFLKPAWDALKQAIINLG -VTSKAVLSFIKSLCSAAFSVVDGVPVIVCTVAERFSKAFTDFLSYTRECFTALCDDIVVFGVNCKAVGDY -IIFNNSVVKTIKAKVKGVKEAGLKNVTYTQCLLGPTKSVKVKRVESSVAQLKVVDTAVPLKQEGECLVVG -GRAMFRSDGYYRFMADADVVLESPVFTAGSQYNVVFETDALFIAPEIGTVFEGDNIDTVVQIVANKVQAF -NNRFVVYNTHIVDGAITVFCDYNFSCPAFLDGFPEWFSFCKNHFKDAGFVEFYDSIINSDSNFQTTFQPY -LDLKSSIDAYLGPEIVRAVDGGRLWRTVINGISDAVNFCKNLRLHFEFGELKGTVVKRFKGILRTLLTVY -NEFIQTTVSVLTICGISAKYYAFDKPMLCMHGITERVTSFDITNLGKPILDGLSKCNVFACGSNAVVVNS -VDHELLDLEECEFVEPASHGVLVISDDYGFYSCETGIYPYASDGKVLPLRFRKKAGGKNVAFDDNVIVTE -IDPVFKVKLNFEFDDDKLVTICEKVIGNKIKCSSWSQLVEIIETALTTIKSYYSVPIYYIYDEEGGEDLS -VPIMISEWPLDSTGTEIVVDVEDVDEPVSQIEEVNNAFAIEPSPKRDVSPFGFDSHDLNGIRVLVQSQNN -CWVNATCYQLQMLGYDDTAMELFRHGGVHNLVKRCYEVTGAFLGSLGDVSFCLEELLRDVKMAKVTVEVT -CNCSSYMDELHGTFFRFMPSKQKFEYGGCGECHGTRYYRVCGIVGSAIFAQPLKHLNLDDLVCDVASASV -FLGNDCGHYMINDYVHKICVDGMGVYKIKHNTIDTIVAKNANIKPNIIAPFTEYCNIKFYQGDFKDLAGL -SHDFVVNAANCNLAHGGGVAKAINDYTDGKLQKLSNSFVKKNGKVDVGECVMLDTGKIKVLNAVGPRKGK -DAESLLNTVYTNIFSRKGVPLMPLISCGIFGFDLKDSLRAFLDACGNRHVKCFVYSDLEREAVMKFLTTP -CDDTVVSVETVGTPLIEETTVNVVPPFRTIGTHNFYDFSGVEPILGLGVKNIVLFTDQVLSVKDFGVVVD -RHLNGLLSDMSSKYVNDHKAVPFGNILSLDCGAFTVVDAVTPFEGASFEKQSGRTIRKLTRLDGSTLCVL -PNNSALFDKLFALGDNFSFLVLDNVKPLFEDYLKPKDVRVKVTADGRNVNDVIVTTTETFDAQLGPVSNG -SESLVGVTPTVADNGKVVNTVPDVNWSKHFGFSDAAAFAVLDHSKFAFDSEIVDGKRTLVDSDNNCWINA -TCLALQFLKPTFKYVGWEDLWNKFVTGDVSGFVHLLYYIEGVDKGAKGDVESTLSKLDKYIVSSGSVTIK -RSTLCEHCDSTVKTMTGAIVEASVILNGHIDGHCQHNFDWRVQVVGVKGDIILLHSGRILEGPYLHGDAY -VAFSGDTDNGHYTVFDNKLSKMYDGTKCVKTTLDTLTASSVVIRNGSYAVKSEHVPLIKKLDDSAEKFFN -VGDIIAHNIAYFFVWLVTTLSTLYRCWRGGNFKLLSSIPERSGVVLSRSLKYNFRALRSNVVSKQKYCFM -FAKLLLLLYTIYAMLFILVRFSFFNDYICGTTVKGYTNSDFDKGKFCNGSLVCKTCLFGYQELSDLQHVG -VVWSYVREPLFSSILPLCYFAVIAIFGGVIERFALCYFAAQFINNTLIFFKLQDPFWPVQLVPFDIFGDE -ILVIFLSYKAICFFKHVVFGCDKPNCIACCKSAKLKRIAMDTIVNGSRRSFYVNANGGAKLCKKHNFFCV -ACDSYGSGHTYINDHIATELHNITKLHVKPTGPASINIDRVEFSDGFYRIFAGDKFWKYNFDITDKKFSC -REVLKNCNILDDFIVFDNNGSNLSQVQNACVYLSQLLCKPIKIIDSTLLSSLNVDFNGALHKAFLDVLHN -SFGKDFSSCKTMHDCKELLELDISDEDFVKVVSDAHRFDVLITDTSFNNFCTSYAKPSEKLSSFDLAHCM -RSGAKVVNHNVLIKEKMPIVWNCVDFAKLSIDARKYIVKTAKVKGVTFLLTINNNVMETTLPCVSVLQKQ -GAGKLSSIWKHFWYACGAILALFLLLNCLSFTEYAISLPGYDFKYIEDGQLKPFISGLYCVRNNFDTFME -WHAQKFGFRPSNSDKCPIVVGASDSGRVIPGVASDVYLLGKTLVFTLKTVFGSAGHCYDVNGIADGDKCL -FNSACTNLEGLGGTRTYCYKPGLIDGAMTYGDLEHDSYYRLSGDNYVRLPYVVVQGLGFRAVRTQATTYC -RVGECVDSKAGMCFGADRWMVYSNDIGSDFICGSSLMDLLRNVLSVFNYNFSTMIMSGQVIFNCVLACVV -VFGCYFVMKFRRVFGDMSLAVFTVCAAVVVNNLSYFISLNYVGMVVYSFLYFISTRGLKYCFIWDISYVV -AYCLLAPWWLLTWYVCAALIGLIPNLFKLKVSTTLFEGTKFVGTFDAASVGTFVIDARSYERLINSTSIE -KIKQYASTFNKYKYYSGSANEADYRCACYAHLAKALIDFSTTRQDTLYTPPTVSINSTLQAGLKKMAQPS -GLVEPCVVRVSYGNTVLNGVWLDDKVYCPRHVLASDTTVTIDYDAAYHSMRLHNFSISKGNVFLGVVGAV -MQGANLVITVSQANVNTPSYSFRTLKAGECFNILACYDGTPAGVYGVNLRSTHTIKGSFVNGACGSPGFV -MNGHKVEFVYMHQIELGNASHVGSDMFGNIYGGFDDQPSIQLEGVATLITENVIAFLYAALINGERWWCS -NERCTIDSFNEWALGNGFTNLVTGDGFSMLAAKTGIDVCQILSAIQRLATGLGGKTILGYASLTDEYTLS -EVVRQMYGVNIQSTKTSSALKNLLLMGFFFLLFWSEFFMYSTILWINPGLITTFLGLFVMLSMLLASCIK -HKMLFLQLFLLPSIIIAACYNFAWDMEVTRMLANQFDYHVSFLNMDIQGAINIIVCFIGISLHTYRFLDT -QLRSYSTYVLSMCTVLYTFYYGYDSLSLAIMLLGFGCREWYVGTAAFRLAQFLVPYCPGLITFVGDIKAV -LVLYLVFGFIATVYFGLLYWLNRVLKLTLGCYDFKVSAAEFKYMVANGYTAPRGPFDSVLLSLRLLGVGG -HKTIKVSTVQSKLTDLKCANVVLLGCLTNMNIAANSREWSYCVNLHNEINLTSDPEEALEKLLALVAFFL -SKQQNFGVDDLIDSFFENRNVLQSVASAFANMPSFIAYEKARMNYEDAIANDASPAVIKQLKKAMNTAKG -EFDHESSVQKKIQRMADAAAAQMYKDARAVDRKSKVVSAMHSLLFGMLRKLDMSSINQLMELAKDGCIPM -AIIPAAAATKLTVITPDLESFSKIRVDNNIYYAGAAWSITDVKDADGRVVILKEVNVDNKDALVWPLHVN -CERVVKLQNNEIIPGKLKQRAVKAEGDGFSTDAKALYNTEGGHHFVYALIADKPDLKVVKWEFDGGCKTI -ELEPPLKFAVEAPTGVQIKYLYYVKNLNNLRRGATLGYIGATVRLQAGKQTEMACNSSLLTICAFAVDPA -KAYVNAVKQGAKPVGNCIKMLANGSGSGQAITNGVEASMNQDSYGGASVCIYCRAHVDHPAMDGACRFKG -KYVQIPIGVNDPIGFCIENEVCKVCGCWLNNGCSCDRSSVQSTDQAYLNRARGSSAARLEPCNGTEPEHC -VRAFDVYNKDVACIGKFLKVNCVRLKNLDKHDAFFVIKRCTKSVMEHEQSMYNKLSGSNALAVHDFFTWK -DGRSIYGNVCRQDLSKYTMMDLCYALRNFDERNCETLKEILVLTGCCDQSYFDNKVWYDPVENEDLHRVY -ALLGQRVANAMLKCVKLCDEMVTKGVVGVLTLDNQDLNGNFYDFGDFVDVMPGMGIPCCTSYYSYMMPIM -TMTNCLACECFMKSDIFGSDFKTYDLLEYDFTDHKVKLFDKYFKYWGQDYHPNCSDCYDDMCLLHCSNFN -TLFSTTIPNTAFGPLCRKVFVDGVPLIATAGYHFKQLGLVWNKDINTHNSRLSMTDLLQFVTDPGLLIAS -SPALVDQRTVCFSIAALSTGITHQTVKPGHFNKEFYDYLLSQGFFDEGSELTLKHFFFAQKGDAAVADFD -YYRYNKPTMLDICMARFTYKVVQRYFECYDGGCITAREVVVTNLDKSAGYPLNRFGKARLFYETFSYEEQ -DALYAMTKRNILPTMTQLNLKYSISGKARARTVGGVSLLATMTTRQFHQKHLKSIVNTRNAPVVIGTTKF -YGGWDNMLKNLMNDVDNGALMGWDYPKCDRAMPSMIRMLAAMVLGSKHVTCCTDSDRFYRLSNELAQVLN -EVVHSNGGFYVKPGGTTSGDATTAYANSVFNIFQAVSSNINRLLSVDSNVCNNLYVKKLQRSIYDNCYRS -SAVDDNVVTEFYNYLKKHFSMMILSDDGVVCYNKEYAALGYVGDISAFKATLYYQNNVFMSTAKCWVEED -LSVGPHEFCSQHTMQIVDSDGEYYLPYPDPSRILSAGVFVDDIVKTDPVILLERYVSLAIDAYPLSKHPN -REYRKVFYVLLDWVKHLHNTLNQGILETFSVTLLDDVQSKFWDEAFYAGMYEKSTVLQAAGMCIVCGSQT -VLRCGDCLRRPLLCTKCAYDHVVGTTHRFILSITPYVCNTSGCNVNDVTKLFLGGLNYYCHDHKPQLSFP -LCANGNIFGLYKNSAVGSLDVEVFNKLAMSDWSDVSDYKLANDVKESLRLFAAETIKAREESVKSSYACA -TLKEIIGPKELLLQWEVGKARPPLNRNSVFTCFQISKDSKWQVGEFTFEKLDYGSDTVCYKSNVTAKLVP -GMIFVLTSHNVLSLKAPTIANQERYSTIYKLYPSLNVDDAYSSLVPYYQLIGKQKITTIQGPPGSGKSHC -VIGLGLYYPSARIVFAACSHAAVDSLCHKAAKAYSVDRCSRIIPARARVECYSGFKPNNTSAQYIFSTVN -ALPEVNADIVVIDEVSMCTNYDLSIVNARVAYKHIVYVGDPQQLPAPRTMITRGVLQPEDYNVVTQRMCG -VGPDVFLHKCYRCPAEVVNTVSELVYENKFKPVKDHSKQCFKMFVKGNVQIDNGSSVNKKQLEVVKAFIA -KNPKWSRAVFISPYNSQNYVAGRMLGLQTQTVDSAQGSEYDYVIYTQTSDTSHALNVNRFNVAITRTKIG -ILCIMCDKALYDTLKFFEISQSDLQSTIGGCGLFKDCYKFDQDLPPAHATTYMALSDKFKTDKELAVNIG -HSDVRYEHVVSFMGFRFDMNIPNFHSLFCTRDFAMRNVRGWIGMDVEGAHVCGDNIGTNVPLQVGFSNGV -DFVVQPEGCVVTNDGNIVKPVKARAPPGEQFTHLVPLMRKGQPWYVVRRRIVQMVCDCLNGLSDVVIFVL -WAGGLELTTMKYFVKIGPSQHCDCGKEARCYNSATHAYYCLAHALGCDYLYNPFVIDIQQWGYTGSLSSN -HHEVCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSITYPFIANENAINKSGRIVQSHIMKAALKVYNP -KAVHDIGNPKGIRCAVTNVPWYCYDKQPINSNVKMLEYDYMTHGQLDGMCLFWNCNVDMYPEFSIVCRFD -TRCRSHLSLEGVNGGALYVNNHAFHTPAYDKRAFAKLKPMPFFFYDDGECDVSQGQINYVPLRATVCITK -CNIGGAVCKRHASLYRAYVEAYNTFTQNGFNIWCPNSFDVYNLWQTLVDTNLQGLENIAFNVVKKGSFVG -EPGELPVAVVNDRVSVRDGVSDNVIFVNKTSLPTNVAFELYAKRKIGLTPPLTILKNMGVVATHGFVLWD -YDADRPFSNFTKSVCKYTDFDEDVCTCFDNSIQGAFERFTLCKNGVLISNVAIKKLRGIRLNFGYLNGVA -VSNVTEGETTKPVDWYIYQRKDGSFVEPVDGYYSQGRNASTFLPRSQMEKDFLELDTGLFISKYGLEDFN -FEHIVYGDVSKTTLGGLHLLISQVRLARIGVLKVEDFVDSADDTLHSCSVTYANDPSSKSVCTYMDILLD -DFVVILKNLDLSVTSKVHDVIVDCKAWRWMLWCKDSKVATFYPQLQSAEWKCGYSMPSLYKIQNMCMDAC -NLYNYGASIKLPDGIMFNVVKYTQLCQFLNTTTMCVPHNMRVLHLGAGSDKGVAPGTAVLRRWLPDDAII -VDNDVNDYVSDADFSITGDCTHVYVEDKFDLLISDMYDGKIKSIDGDNVSKDGFFTYINGFIREKLALGG -AMAVKITEYSWNKQLYEIAQKFEYWTLFCTSVNTSSSEAFLIGINYLGDFSSTNVIDGNVMHANYIFWRN -STIMTMSYNSVLDLSKFRCKHKATVIITLKDKDITDMVLGLIKNGKLLIRNSQKLLNFSNHLVTTK - ->YP_009199789.1 ORF1ab polyprotein [BtRf-AlphaCoV/HuB2013] -MIQRFQLLALVPSRAVSFYSEAAVGGFANCRFVSTGLQDIIEGVLDDDYVLVITGVHQLVARIGQFGDQP -SNLRGWLLFSNSNYFLGELDLVFGGRGGNTVYVDNYMCGSNGVPVLPEDQWEFVDYFGELTEVSIGDTTY -IKAWNVDRVEGPYKSQTLLSINAISYCGEVIHALADGSTMSYATAVKKNSKTVVNKTFQKLYDNIGVPFV -TNGKVLTEIIPKPVFNHGLVKCHCGKVSWTVGDWSCYKSTCCGIVCKPIAIVNGDVNPGDVVITSKQVAT -NGVKYYNGMVLKYVDTIEDVHLWRVVKLQSVKGFVASPNYDPAEYVFLADDACSPTSRLVISASYKLGLL -NGNFTDDVRVAARDGTIVVGNNVLSLIDVVLTKPWFVRKLEELLGEAWDTFVASLKNLVFTSTQLVELTK -ALSKAVLNVTKEGIEFAAEVPSCFESCFSVFKEFVTGIFDFTVNSVKIAGRSFKSVGSYVLFDNAIIKFV -AVKVKGLHQAGIKQTEYATSIIGKTTKVNSKRTESADVNLVLVNDKQPLLKDGVTKIIGGKSFFYSGGVY -RLMSDNNFVLDEPVFQEKMSVQFECEKPKGFVEPNCDNLPSAIKTTVEQLVKFNTPYRNYDVSVVNNKCV -VKCTYTFRAPAYIADTENFVKACEVCVDKEGFDEFYVQTHAVDSLVAFKPAFAEFEQFLEPVSCPELFLE -IDGGNIWNKFIKTVTDAVEFVKSIKVSFDLNGVVVKATKRFKRFAATLVNLYREFVATVTKTIKIGSQAF -TYYAFEVPKVLLSGALHSVRTVKAKELNLSVQDVVVEFNVFDGATVEVTPKRVEKEEVSLEEVEFIPPVS -NGSIAVVDGYSFYSCGDLYYPSNENAVVPLCFKRSGGGKLQFSDVVEVKEIDPVYKVKLDYEFEDENIAA -VCKKAIGSKIKYNGSDWEGFCEQIHSVMTVVGEHMELPKYYIYDEEGGNDLNKVVMISQWSCDGESNEQK -EEEQQIQNGSTDVSEVESEPAPPEVEVPQKPQYQQEQKPAVDQQAEVEAALSYMTPTAPKPTENPFAFEY -YDLNGIKVLRQCDNNCWVASALVQLQLLNVNEDYTYFFKSGRLSPLVKRCYERTGNIRGSAGDTSHCLEV -MLRDLHTMQLRFSVKCKCGTFTEEMSGCVFRFMPTAKAFPYGGCASCGKTKMYTITTMKGTGVFCQEPNP -LNISDLLVEPLCASVFKSGHYKTNIYKYNVCVDGNGRGQIKWNTVNTVCFKDVEYNKVEEVQSFATYRNI -SFYQGDIKEVKSVNHDFIVNAANEDLNHGGGIAGALNKYTKGVLQKVSNAHITANGKLKVGSGVMLECDG -TNIFNVVGPRKGKHAPELLIKAYDAIFSNPGVPLTPLISVGIFKVPIEDSLSALFASAGERVVKCFCYTS -AEKSAIIKYIDSVTNVVVDVKEPGPAPPEVKQILPFRKEGKFDFYEIEAADLALLNPDRLVIFTDTDFKL -CDFAIAFNSVVEGGLTSCIEAYRKENNVVPAGNIVTFKCEGMVAVSFAVLPIAGAASYDKNVTRTINKIT -KLKGNVFSTVPPTSVLKNMLKCCNCSFVVSQDTKASVTECFDVQEVRIKVSYNDRDVQDVVVKGDKVIDQ -QIGPCSTNNFDLTGVKPDDAINSVVNVAPEVDWISHYGFPRADVWHTLDHSAYDYESKEQDGVRVLKFSD -NNCWVNATCIQLQIAKITFRTEGIQAMWDEFLVGKVAKFVHWLYWYQNSSKGDPGDVEYTLNAISRYMAN -KSTVVISRVATTECCNDTKTIHTPVVNVSLLRSGVEDGVCQHGNKYYSRLVSVKGTVIVTSTGKPNVYPR -NALITGDSYTLYSGDVKDGHYTVFSVKGDKIFDGENVSSNNDLSLYPVTSVVVSNKVIEFEKPAKPKIAE -VMNKLDNASEKFFSFGDVVSRNFAAVFVWLFSMIGLVFKAFKTKDVKVFALAPERTGIILGRSIKYNFKA -TKQLLVSKIKWVKLFGKILLAMFAIYATSFMLVRFGPLNESLCTDYVKNYSESNFNKAAYCDGITCKTCL -YGYQELSDFPHTSTVWEHVKDPLFKKVMPMFYMAFLLIFGGTITRSCMLYFLAQYVNNIGVFLGVQDNVW -ALQFVPFDVFGDEIVVIFLVVKILLFAKHIVFGCDKPSCVACTKSARLTRVPMQTIVNGSNKSFYVAANG -GKKFCKKHNFFCVNCDSYGPGNTFINDVVAAEISNVVKTSVAPTAPATIDVTKVEFQNGFYYLYGPDNVF -WRYNFDITESKYGCKDVLKSCNILSDFIVYNNAGSNVAQVRNACVYFSQLLCKPIKLVDSTLLSTLNVDF -NGALHSAFVDVLNESFSKDLSSCTTMSDCKTALDIEADDEDFVNCVSNAHKFNVLLTDLSFNNFVTSYAK -PEEKLSTHDIAACMRGGSKVVNHNVLVKENVPIVWLAKDFNMLSEEGRKYVVKTAKIKGINFMLTFNENR -MQTTIPTVSIAGKKGSGLKQCYNFIWWSCAFILFMFFAIGLLDFSTTVTSASEYDFKYIIGGKLQSFEKP -LDCVHNVFDNFNTWFQAKFGFVPRNSRSCPIVVGVNDEARVIPGVASGVSLVDKTLVFATKSVFANSATC -YDITGPAPAERCVFNSACTTLNGLGGLATYCYKNGLVEGAKLYTDLQPNSHYSMADGGYVKLPDVLASGF -GFRTVKTQETTYCRVGQCVNSKAGVCFGANRFLVYNEDSGTDFVCGTGLMSLMYNLLSVFSSSFSVMAMS -GQIVLNCAVALFAIFACMLVTKFKRMFGDLSLGVCSVICVAIVNNLSYIVTQNAVTMVAYAVLYFLCTRT -FRYSWIYHTGYCIAYFTLAPWWLIFMYACSALIGVIPNLLKLKVTTQLFDGDKFVGNFENAAFGTFVMDM -HSYQRLVNSIAPEKLKQYAASYNKYKYYSGSASEADYRLACYAHLAKAMLDYSSNHNDILYTPPTISYNS -TLQSGLRKMAQPSGVVERCVVRVCYGNMVLNGLWLGDTVICPRHIIASSTSSIIDYEHQYSVMRLHNFSV -SVGNVFLGVVGVTMKGTNLHIKVNQTNVHTPEHTFKTLKQGDSFNILACYDGVPSGVYGVTLRTNNTIRG -SFINGACGSPGYNINNGKVEFCYLHQLELGSGCHVGSNLDGVMYGGFEDQPTLQVEGANNLVTINVIAFL -YGALLNGITWFLSNDRVTVESFNEWASVNGYTDCGSIDCFAMLAAKTNVDVQRILAAVQRLHKGFGGKNI -LGFTTLTDEFTVTEVIKQMYGVSLQSGKVSSMFYNVCVIGAFLTMFWSELLHYTAFFWISPGFITPMFFM -LLMVSVFFMCALKHKIMFLYTFLIPAVIVTSCYNFAWDWYITSVLATTFDYHVSLLSLDIQGVINVSACV -FVTLLHTFRFCKSSVNYSSVITYCCSLLFVAYGFYMGSDALSLVMMVLFNFTSNWYVGAIVYKIAFYTRD -MTVLPLLVGNVKAVMLCYIVLGYFCCVYYGILYWINRFFKLTLGVYDFKVSANEFKYMVANGLHAPRGVF -DAVALSVKLIGIGGEKTIKVSTVQSKLTDIKCTNVVLLGCLSSMNIASHTKEWSYCVELHNKINLCDDPE -KAQEMLLALLAFFLSKQKDFGVDDLLESYFSSGSILQSVASTFVNMPSFIAYENARQAYEDAINSGAAQQ -LVKQLKRAMNIAKSEFDHEASVQKKISRMAEQAAAQMYKEARAVNRKSKVISAMHSLLFGMLRRLDMSSV -DTILNLAKDGVVPLSIIPAASATKLNVVSADLESYLKICKEGCVHYAGVIWNVIDIRDNDGKLVHMKEVT -QENVENLAWPLFLNCERIVKLQNNEIMPGKLKQRAVKAEGDGFSADGKALYNNESGKTFMYAFVADKPDL -KYVKWEFDGGCNTIELEPPCKFAVESTNGTVIKYLYFVRNLNTLRRGAVLGFIGATVRLQAGKQTELATN -SPLLTMCAFSVDPAKTYLDAVKRGVKPVGNCIKMLSNGAGTGQAITVGVESNTNQDSYGGASVCLYCRAH -VEHPSMDGRCRLKGKYVQVPLGTIDPIRFCLENDTCKVCMCWLNNGCTCDRVSSVQAFDAGYLNRARGSS -AAQLEPCNGTDTDHCVRAFDIYNKEVACMGKFLKVNCVRFKNPEYNDAFFVIKKCPKSVMEHEQSIYDIL -KDSGAVASHDFFTWKDGRAIYGNISRQNLTKYTMMDLVYALRNFDEKNCETLKEILVLTGACEESYFESK -VWFDPVENEDIHRVYAILGKIVANSMIKCVRLCDAMVENGIVGVITLDNQDLNGNFYDFGDFARTLPGMG -VPLCTSYYSYMMPVMGMTNCLAGECFMKSDIFGDDFKTYDLLHYDFSEHKQALFEKYFKHWGQDYHPNCV -DCHDEMCLIHCANFNTLFSTTIPATAFGPLCRKVFVDGVPLVATAGYHFKQLGIVWNKDLNTHSSRLSIN -ELLQFVTDPSLLVASSPALVDQRTICFSVAALSTGMTKQTVEPGHFNKEFYDFLRGQGFFEEGSELTLKH -FFFAQKGDAAIKDFDYYRYNRPTVLDICQARVTYKLVSRYFEIYEGGCITAKEVVVTNLNKSAGYPLNKF -GKAGLYYDSLSYEEQDALYAVTKRNVLPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSI -VNTRNASVVIGTTKFYGGWDNMLKTLIEGVEHPCLMGWDYPKCDRALPNMIRMISAMILGSKHTTCCNTE -ERYYRLCNELAQVLTEVVYSNGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANINRLLSIDSNVCNNVG -VKALQRELYDNCYRSSTVDDSFVDKYYGYLRKHFSMMILSDDGVVCYNKDYADLGYVADIGAFKATLYYQ -NNVFMSTSKCWVENDISKGPHEFCSQHTMQIVDEAGKYYLPYPDPSRILSAGVFVDDVVKTDAVILLERY -VSLAIDAYPLTKHSNPEYRKVFYALLDWVKHLHNTLNQGVLESFSVTLLEDASSKFWDESFYASMYEKST -ILQAAGLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVISTDHKFILAITPYVCSASGCNVNDVTKLYLGG -LSYYCIDHKPSLSFPLCAAGNVFGLYKNSATGSPDVEVFNTLATSDWTDVRDYKLANEAKDSLRLFAAET -VKAKEESVKSSYACATLKEVIGPKELLLSWEVGKVKPPLNRNSVFTCFQITKDSKFQIGEFVFEKLDYGS -DAVCYKSTATNKLVPGMIFVLTSHNVQPLRAPTIANQERYSSIYKLYPSFNINEAYSSLVPYYQMIGKQR -ITTIQGPPGSGKSHCVIGLGLYYPGARIMFAACSHAAVDSLCVKAAIAYSLERCTRIIPARARVECFNGF -KPNNTSAQYLFSTINALPECNVDIVVIDEVSMCTNYDLSVVNQRVSYKHIVYVGDPQQLPAPRTMITRGV -LEPKDYNVVTQRMCAVGPDVFLHKCYRCPAEIVRTVSEMVYDNKFLPVHPDSKQCFKLMCKGNVQVDNGS -SINRKQLDVVKLFLAKNPSWSKAVFISPYNSQNYVASRALGLQIQTVDSSQGSEYDYVIYTQTSDTAHAC -NVNRFNVAITRAKKGILCVMCGKELFDALKFFEIKLTDLQVGEGCGLFKDCSRKPDLLPPSHATTFMSLA -DNFKTSGDLAVQIGSNGVCKYEHVISFMGFRFDSSIPGYHSLFCTRDFAMRHVRGWIGMDVEGAHVVGDN -VGTNVPLQVGFSNGVDFVVQPEGCISNENGNIIAPVRARAPPGEQFAHLIPLMRKGQPWSVVRKRIVQMC -CDYLSGLSDVLVFVLWAGGLELTTMRYFVKVGPVKHCHCGKEATCYNSSVHAFCCFKHALGSDYLYNPYV -IDIQQWGYTGSLGHNHHEVCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSITYPFISNERAINSGGRM -VQRHLMHAVLKLYKPEAIHDIGNPKGIRCAVSDAKWFCYDKQPLNSNVKLFEYDYITHGQLNGLCLFWNC -NVDMYPEFSVVCRFDTRCRSNLNLEGCNGGSLYVNKHAFHTPAFDKRAFAKLKPAPFFYYDDGPCERVQD -EVNYVPLRASNCITRCNIGGAVCSAHAAKYHDYVGAYNSFVQAGFTLWVPNSFDLFNLWQTFAETNLQGL -ENIAYNVVKKGSFVGEAGELPVAVVNDRVLVRDGTVDNLVFTNKTSLPTNVAFELYAKRKVGLTPPLCVL -KNLGVVSTDRFVLWDYEAERPFTSFTKNVCSYTDFNEEVNTCYDNAIPGSFERFTVAKNGVLISNVAIKK -LVGMKLSYGFLNGVPVSTCENKPVVWYIYVRKDGQFVDQFDGYYTQGRNVSEFLPRSDMEKDFLEMDMSV -FISKYGLEDYAFEHVVYGDVSKTTLGGLHLLISQVRLQKLGVLKIEDFTSSDDGTLKCCTVTFANDPSSK -MVCTYMDLLLDDFVLILKSLDLSVVSKVQEVIVDCKMWRWMLWCKDHKVQTFYPQLQSAEWKCGYSMPSL -YKIQRMCLEPCNLYNYGTGLKLPDGIMFNVVKYTQLCQYLNSTSMCVPHNMRVLHLGAGSDKGVAPGTAV -LKRWLPSDAIVVDNDVNDYVSDADYSVTGDCSTVYLEDKFDLVISDMYDGRVKQNDGENVSKEGFFTYIN -GVINEKIALGATVAIKITEYSWNKRLYELIQRFEFWTLFCTSVNTSSSEAFLIGVNYLGNFANNPILDGN -TMHANYIFWRNSTIMSMSYNSVLDLAKFNCRHKATVIVSLKDKDINDVVLGLIRNGKLLIRNNGIYMGFS -NHLVSTK - ->YP_009199608.1 ORF1ab polyprotein [BtMr-AlphaCoV/SAX2011] -MACSQYTLAVASDVEINGYGYQSIGDAVTAYSVAAADGFSGCRFVPFGLQDCVVGVNDDDYVMVLTGDHT -LYAFLDLFSATPSNIRGWLIFSNCNYVLESFDVVLGRRGGAPPIYVDNYLCGADGTPVVQESMWSYTDHF -GEDCDEITLCGITYVHAWNVQRADVPYEQQNVTSIFSIEYLLDVDHALQNGAVLNVASGVKYKKSVVLSE -GFKKLYDACGVPAVQNGNTLVDILTKPAFFHAIVSCSCGLSSYTVGDWKIGFRSLCCGKRLEPITVVVGD -VKPGDIVVTSANAGDGVRYFNGLTLQYVGDWEGVSCWRAVGVQAFNGFVASPTFDEQLHTYSLDACAYTS -NTRLFKALKLSVLSGFTNDDVTNAVIAGVVDVNSCVYNFVDAVLEQKPWFVRKLGKLCETAWSLLCDALK -ESSLLSSDLVAFVKSLTTAVLTVVDGKLKLIADIPSVFESVFDVFKSALEGIFDACANTVTIAGKAVRAA -FDYLLFDNAIVKLQSVKVSGLKQAGVKNALYASVVIGPTQNVKTTRVEVVNVKLTPITDKVPFNREGYVA -LVDGVAFFVNDGYYRLMADSDCVLEEPVFKAASGETLAGAVECPSLFVQVDGGAIWRKVVSVFDGVTDFL -KNLKIQFGLNGFVGTCAKRYRKILNTLLTMYNDFAASVRQVVVLAGVKLSYYAFETPAVALNGVLHAVKR -CSAKSLDVPCEEGAAEFNVFEGGVHLLKPTAVELASDMELLETDYVEPCAGGVLRICDDYAWYMDGDRFY -PYGDNGVIPLCYKLGGGDRVTFGEDEIKEIPARNNVKLIFEFENDVIAEACKQAIGKRISFEGDWSDLCE -MIDIAMKALSDHIEVPEYYIYDEEGGNDLDLPVMISQWPCNAEDAVASVCEAVDVCFGDDCTMDMQFCET -TDISITTCNAVDTGVAVHKALSRTVVVQTASDPQKEVEEALAYMKPEVVKPVVEKSMFDYPSTVFNGIRV -LKQVANNCWLTCATYQLQLADCYEADDYSLFKVGSVKHFVKRCYESVGAVKGSLGDTTQLLERLCKDVKT -LKVTCNVACDCGTSVKVLEGCVFHFMPVADAFPYGVCSNCKQVAMHTIMGINGTGFFCQEPAHFDVKKAL -CSIAATATYVGKQNGGHYRTNDFVHGKAVDGSGITPLNYKAPVTNCLVVNANYVAPTPPVKVELNPFRVH -GSVSFYRAEFSELVNLDYDFVVNAANENLSHGGGVAKAIDDYTKGQLQLLSKAYVKSHGRVRTGNGAMIK -CDSFDVLNVVGPKKGGKSWQKLLRFAYETIYKQGGTPLTPLLSCGIFGVPLEESLKMLLDTCNDVRTCCF -VYTPDEEAKVVAYLDSLKVQDEVPAKIEVQPYRVEGKFNFYDCSVADILATDVSSVVVFTDGKLSLTDVG -VEIDEHLSGKLLTSIKECLAENIAIPSGNAMKFDCNPTIYMAVVPAINTPGFEKNVTRTLRKVSKLEGRV -ICTTPIKQVLQMALKTFPSNYAFLVHDSAKSTVDDCFSLAQYKVQTTEDGVNVNTVIVSNAKTYGEQLGS -VTVADSDISATKPAVLDETKMLVVAPAVDWVSHYGFSDAAAFATFDHTPFAFDSDMVMGKRALAQTDNNC -WINAACLALQQLKPKFKFPGLEAAWNKYVTGDCGEFVHFLYHITRSRKGDLGDTENLLNLLDKYIVASGT -VVLERHVDCPTCNSTERTINASVVSASLSRDGSDDGVCCAHGVDYVLRVKHVKGDVIITTCEQPKCYKSS -EILMGEAYVAFTGPISGGHYLVYDRATGQVFDGNKCLPYDFDTLTISAVVVKGGYVCSMPKKIKLDRVMT -DLDNGAKKFFDFGDFILRNLASFFSIFFSLLFVGYKALKKRDVKVLAQAPQRTGIILTRSLKYNCKAAFK -FWQTRSWCIIMLFKILLLLFALFAACFMLIRFSFLNKYFCDGYVAGYANSTFVKKDFCNGGLVCDACLHG -FDQLSDFSHLNVKWDYITVPFALKVYPSVVAFLLFLFGGVYVKFMMLYVLAQYVNTFGVYFGFQDYFWVV -HIIPFDVFCDEILAIFCAVKGLLFLKHVIMGCDSPSCIACSKSARLRRVPMTTVVNGANKSFYVTANGGT -KFCTKHNFFCVDCGSYGNNSTFINDHVARELSNVVKTSVRPTGPAYIEIDRVDFSNGFYRLYSGDTFWRY -NYDITESKFSCKEVLKNCNTVDDFIVYNNTGTNVTQVRNACVYLSQLMCRPIKLVDAQLLSSLNVDFNGA -LHKAFVEVLQNSFSVDLADCNTMSECKERIGLDVSDDEFLAAVTNAHRYDVLLSEVSFNNFITSYAKPEE -KLSAFDCAQCVRAGAKIVNHNVIVKEKLSIVWLAKDFQALSAEGRKYIVKTSKVKGLNFILTLNDNAAQC -SVPVVSMMSKKGAGPKKSLWFLWGLCAFILALYYATSYITFGTMVGSFPGYDFKYIEHGQLQEFSHGLTC -VHNVFDNFMEWHFQKFGNTPSTGKACPVIVGVGDNGRTLPGVPADVHLVGQTLVFTVKSVFGNTNLCYDT -SGVVSAASCIFISACTVLEGLGGISTYCYKDGIVEGAKLYSDLQPNAHYKFDNDNFVKLPEVLARGFGMR -TVRTLDTTYCRVGECTESKAGICIGADRWLVYNAESGSDFVCGASLLQLLYNVFRVFNTDFSTAALSGQM -MFNVIVAGLAIMACFIITKFKRVFGDMSFGVFTVIITALVCNLSYFVTQNYLGLYTFSLLYYLTTRSFRY -EWIWHIGYVVSYYFLAPWWLMLMYVGALVLELVPNLLKLKVSTQLFEDGNFIGNFESAAAGTFVLDMRTY -EKLVNSIPAERLRQYAASYMKYKYYSGSPGEVDYRLACYAHLAHAMMSFGKDQRDVLYTPPTVSFNSTLQ -SGLKKMAQPSGIVEPCVVRVSYNSTVLNGLWLGDTVYCPRHIIASNTNAVIDYDHAYTVMRLHNFSISAG -NIYLGVVSATMHGANLHIKVNQSNVHTPVHSFRTVKPGEMFNILACYDGTPAGVYSVNLRTNHTIKGSFI -NGACGSPGYNIVNGTVEFVYMHQIELGSGCHVGSSFDGKIYGNYEDQPTLQIEGSANLVTDNVVAFLYGA -LLNGITWWLSPSRITVEAFNEWASVSGYTPLQSADGYSILAAKTGVEVERILSSIQKHANGFGGRNVLGY -SALTDDFTLSEVVKQMFGVNLQSSKKVSCCKSLLLVGGFFAMFWGEFLMYSSVMWVHPAVVTPILLCLGL -VSLMLSGLIKHKMMFLQTFLLPSIVVIAANNFFWDYFVTQLLVQYFDYTASLFSLDIQGLLNIAMCLFVM -FLHTYRFVSTGNNAIAYLSSLVVSAYTYLLGGDPMYCAIMVLCSLSSEWYVGAVCYKLAIRIAPYTSPII -ATVFGTPKVIVFVYLALGYFTCVYWGFLYWFNRFFKFTLGEYDFKVSPAEFKYMVANNLSAPRGPFDSLA -LSLRLVGVGGQRTIRISTVQSKLTDLKCANVVLLGCLSSMNIASNSKDWEYCVTLHNKINLCDDPEKAHE -MLLALLAFFLSKQQAFGIDGLVDSYFDSNSMLQSVANTYVNLPSFVAYESARQNYEEAVNNGSSPQIIKQ -MRRAMHLAKAEYDREVSVQRKIERMAENAAAQMYKEARAENRKSKIISSMHALLFGMLRKLDMSSVDTIL -NLAKNGVVPLSIIPATSATRLCVVCPDHEAYAKIIFGQCLHYAGVVWNIVEVKDNDGKTVHQKEIVKANE -ETLSWPLSINCERVVKLQNNEIIPSGKLKQQAVKADGDGFSSEGIALYNNEGGKTFMYAFLADKPDLKVV -RWEFDGGCNVIELEEPRRFAVETGSGTQIKYLYFVKNLNTLRRGAVLGFIGATVRLQAGKQTEQAANSSL -LTMCAFAVDPAKCYLDAVKGGVKPVGNCIKMLSNGSGNGQAITNGVESNTSQDTYGGASVCLYCRAHVDH -PSMDGFCRLKGKYVQVPLGTLDPIRYCLENTVCKVCACWLNNGCQCERTSMQSFDNGYLNRVRGSSDARL -EPLNGTDIDRCVRAFDVYNKDVACIGKFLKVNCVRFRNADKHDAFFVVKRCTKSVMEHEQSIYDELKHCN -ALAPHDFFTWKEGRAVYGNISRQNLTKYTMMDLCYALRNFDERNCDTLKEILVLTGCCSEAYFDNKCWYD -PVENEHIHKVYAQLGVIVANAMLKCVRLCDAMVEKGIVGILTLDNQDLNGDFYDFGDFCKTAPGMGVPCC -TSYYSYMMPVMGVTNCLASECFMKSSIFGEDFKTYDLLEYDFTEHKERLFKKYFKYWDQDYHPNCCDCYD -DMCVVHCANFNTLFATTIPGTAFGPLCRKVYIDGVPLVATAGYHFKQLGIVWNKDVNTHSSRLNINDLLM -FVTDPSLIVASSPALVDQRTVCFSVAALSTGMTQQVVKPGHFNKDFYDFLLKQGFFSEGSELTLKHFFFA -QKDDAAVKDFDFYRYNRPTMLDICQARVTYKIVSRYFDCYEGGCITAKEVVVTNLNKSAGWPLNKFGKAG -LYYDSLSYEEQDQLYAVTKRNILPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTR -NAAVVIGTTKFYGGWDNMLNNLISGVENPHLMGWDYPKCDRALPNMIRMISAMILGSKHTTCCSSTDRYY -RLCNELAQVLTEVVYSNGGFYMKPGGTTSGDATTAYANSVFNIFQATSANINRLLSVDSNNCNNLGVKAL -QRRLYDCCYRSSHVDDDFVTEYYNYLRKHFSLMILSDDGVVCYNSEYAALGYVADISAFKATLYYQNNVF -MSTSKCWVEPDINKGPHEFCSQHTMQIVDENGKYYLPYPDPSRILSAGVFVDDIVKTDPVILMERYVSLA -IDAYPLSKHENPEYRKVFYALLDWVKHLNKTLHAGILDAFSITMLEDSAAKFWDESFYAGLYEKSTILQA -AGLCVVCGSQTVLRCGDCIRRPMLCTKCAYDHVVGTTHKFILSVTPYVCNNSGCTVNDVTKLWLGGLSYW -CTEHRPQLAFPLCSGGNVFGLYKSAATGSPDVEVFNQLAMSDWTDVKDYKLANSAKDSLRLFAAETVKAR -EESVKSQYASATLKEIIGPKELLLSWEPGKVKPPLNRNSVFTCFQISKDSKYQVGEFTFEKADYDSDTVI -YKSTSTSKLCPGMLFVLTSHNVAPLRAPTIANQERYSSIFKLHPAFNIAEAYAQLVPYYQLIGKQRITTI -QGPPGSGKSHCVIGLGLYFPGARIAFTACSHAAVDSLCVKAFTAYARDRCSRIIPARARVDCFNGFKANN -NSAQYIFSTVNALPECNVDIVVVDEVSMCTNYDLSIINSRISYRHIVYVGDPQQLPAPRTMITKGVLEPA -DYNVVTQRMCSVGPDIFLHRCFRCPAEIVNTVSELVYENKFKPVNAESKQCFKLFERGNVHVDNGSSINR -RQLDVVKMFLAKNPTWSNAVFISPYNSQNYVAARVLGLQIQTVDSSQGSEYDYVIYTQTSDTLHACNVNR -FNVAITRAKKGIFCVMCDRTLYDSLKFFEVKLSDLQADNGCGLFKNCIRNEVILSPAYAPTYMALSDSFK -TSQELAVAIGAPRDCVRYEHVISFMGFRFDVNVPNYHTLFCTRDFAMRHVRGWIGMDVEGAHVCGDNVGT -NVPLQVGFSNGIDFVVQPEGCVSTEVGDFIKPVRARAPPGEQFTHLVPLIRKGQPWNVVRRRIVQMVCDS -LKGLSDVVVFVLWAGGLELTTMRYFVKIGIAQHCYCGKDARCYNSATHSFYCFNHATGCDYLYNPFAIDI -QQWGYTGSLSLNHHEHCNVHRNEHVASGDAIMTRCLAVYDCFVKNVDWSITYPFICNESVVNKSGRVVQS -HIMRAALVVYDPVAIHDVGNPKGIRCAVTSKPWYCYDKQPLNSNVRCLEYDYITHGQMNGLCLFWNCNVD -MYPEFSIVCRFDTRCKSALNLEGCNGGSLYVNNHAFHTPAFDKRAFAKLKVMPFFYYDTSDCDKVQEHVN -YVPLRANNCITRCNIGGAVCSKHADMYKKYVEAYNTFTQAGFTIWCPTSFDVYNLWQSFTTSNLQGLENI -AFNVVKKGGFVGADGELPVAVVNDRVMVRDGMTDTLVFTNKTALPTNVAFELYAKRKLGLTPPLTILRNL -GVVATYKHVLWDYEAERPLTPYTKSVCSYTDFDQDVCVCYDNSIPGSFERFTMAKDAVLYSTTCIKKLTC -IKVNFGYLNGNAVSTTKEVVGGETREKNVTWYIYTRKDGAFVDRYDGYYTQGRNANDFVARSQMEKDFLA -MDTGLFISKYGLEDYNFEHIVYGDVSKTTLGGLHLLISQVRLSQIGILKVNDFGGADDSTLKCCTVTYIN -DPSSKVVCTYMDLLLDDFVTILKSLDLSVVSKVHEVLVDCKIWRWMLWCKDAHVETFYPQLQSSEWKCGY -SMPSLYKIQRMCLDTCNLYNYGAGLKLPDGIMFNTLKYTQLCQYLNSTTMCVPHNMRVLHLGAGSDKGVA -PGTCVLKRWLPLDAIVVDNDINDYVSDADFSYTGDCNTLFLEDKFDLVISDMYDGRTKLCDGENVSKEGF -FPYINGVILNKLSLGGTVAIKITEYSWNKRLYEIIQKFEFWTLFCTSVNTSSSEAFLIGVNYLGDFCERP -VTDGNTMHANYIFWRNSTIMTMSYNSVLDLSKFACKHKATVVVSLKDGDINSMVIGLVKSGKLLVRNNNK -LCGFSNHLVSTK - ->YP_009199241.1 replicase polyprotein 1b, partial [Swine enteric coronavirus] -ELFKRVRGSSAARLEPCNGTDPDHVSRAFDIYNKDVACIGKFLKTNCSRFRNLDQHDAYYIVKRCTKTVM -DHEQVCYNDLKDSGAVAEHDFFTYKEGRCEFGNVARRNLTKYTMMDLCYAIRNFDEKNCEVLKEILVTVG -ACTEEFFENKDWFDPVENEAIHEVYAKLGPIVANAMLKCVAFCDAIVEKGYIGVITLDNQDLNGNFYDFG -DFVKTAPGFGCACVTSYYSYMMPLMGMTSCLESENFVKSEIYGSDYKQYDLLAYDFTEHKEYLFQKYFKY -WDRTYHPNCSDCTSDECIIHCANFNTLFSMTIPMTAFGPLVRKVHIDGVPVVVTAGYHFKQLGIVWNLDV -KLDTVKLSMTDLLRFVTDPTLLVASSPALLDQRTVCFSIAALSTGVTYQTVKPGHFNKDFYDFITERGFF -EEGSELTLKHFFFAQGGEAAMTDFNYYRYNRVTVLDICQAQFVYKIVGKYFECYDGGCISAREVVVTNYD -KSAGYPLNKFGKARLYYETLSYEEQDALFALTKRNVLPTMTQLNLKYAISGKARARTVGGVSLLSTMTTR -QYHQKHLKSIAATRNATVVIGSTKFYGGWDNMLKNLMRDVDNGCLMGWDYPKCDRALPNMIRMASAMILG -SKHVGCCTHNDRFYRLSNELAQVLTEVVHCTGGFYFKPGGTTSGDGTTAYANSVFNIFQAVSANVNKLLG -VDSNACNNVTVKSIQRKVYDNCYRSSSIDEEFVVEYFSYLRKHFSMMILSDDGVVCYNKDYADLGYVADI -NAFKATLYYQNNVFMSTSKCWVEPDLSVGPHEFCSQHTLQIVGPDGDYYLPYPDPSRILSAGVFVDDIVK -TDNVIMLERYVSLAIDAYPLTKHPKPAYQKVFYTLLDWVKHLQKNLNAGILDSFSVTMLEEGQDKFWSEE -FYASLYEKSTVLQAAGMCVVCGSQTVLRCGDCLRRPLLCTKCAYDHVMGTKHKFIMSITPYVCSFNGCNV -NDVTKLFLGGLSYYCMNHKPQLSFPLCANGNVFGLYKSSAVGSEAVEDFNKLAVSDWTNVEDYKLANNVK -ESLKIFAAETVKAKEESVKSEYAYAILKEVVGPKEIVLQWEASKTKPPLNRNSVFTCFQISKDTKIQLGE -FVFEQSEYGSDSVYYKSTSTYKLIPGMIFVLTSHNVSPLKAPILVNQEKYNTISKLYPVFNIAEAYNTLV -PYYQIIGRQKFTTIQGPPGSGKSHCVIGLGLYYPQARIVYTACSHAAVDALCEKAAKNFNVDRCSRIIPQ -RIRVDCYTGFKPNNTNAQYLFCTVNALPEVSCDIVVVDEVSMCTNYDLSVINSRLSYKHIVYVGDPQQLP -APRTLINKGVLQPQDYNVVTKRMCTLGPDVFLHKCYRCPAEIVKTVSALVYENKFVPVNPESKQCFKMFV -KGQVQIESNSSINNKQLEVVKAFLTHNSKWRKAVFISPYNSQNYVARRLLGLQTQTVDSAQGSEYDYVIY -TQTSDTQHATNVNRFNVAITRAKVGILCVMCDRTMYENLDFYELKDSKVGLQGKPETCGLFKDCSKSEQY -IPPAYATTYMSLSDSFKTSDGLAVNIGTKDVKYANVISYMGFRFEANIPGYHTLFCTRDFAMRNVRAWLG -FDVEGAHVCGDNVGTNVPLQLGFSNGVDFVVQTEGCVITEKGNSIEVVKARAPPGEQFAHLIPLMRKGQP -WHIVRRRIVQMVCDYFDGLSDILIFVLWAGGLELTTMRYFVKIGRPQKCECGKSATCYSSSQSVYACFKH -ALGCDYLYNPYCIDIQQWGYTGSLSMNHHEVCNIHRNEHVASGDAIMTRCLAIHDCFVKRVDWSIVYPFI -DNEEKINKAGRIVQSHVMKAALKIFNPVAIHDVGNPKGIRCATTPIPWFCYDRDPINNNVRCLDYDYMVH -GQMNGLMLFWNCNVDMYPEFSIVCRFDTRTRSKLSLEGCNGGALYVNNHAFHTPAYDRRAFAKLKPMPFF -YYDDSNCELVDGQPNYVPLKSNVCITKCNIGGAVCKKHAALYRAYVEDYNIFMQAGFTIWCPQNFDTYML -WHGFVNSKALQSLENVAFNVVKKGAFTGLNGDLPTAVIADKIMVRDGPTDRCIFTNKTSLPTNVAFELYA -KRKLGLTPPLTILRNLGVVATYKFVLWDYEAERPFSNFTKQVCSYTDLDSEVVTCFDNSIAGSFERFTTT -RDAVLISNNAVKGLSAIKLQYGFLNDLPVSTVGNKPVTWYIYVRKNGEYVEQIDSYYTQGRTFETFKPRS -TMEEDFLSMDTTLFIQKYGLEDYGFEHVVFGDVSKTTIGGMHLLISQVRLAKMGLFSVQEFINNSDSTLK -SCCITYADDPSSKNVCTYMDILLDDFVTIIKSLDLNVVSKVVDVIVDCKAWRWMLWCENAHIKTFYPQLQ -SAEWNPGYSMPTLYKIQRMCLERCNLYNYGAQVKLPDGITTNVVKYTQLCQYLNTTTLCVPHKMRVLHLG -AAGAAGVAPGSTVLRRWLPDDAILVDNDLRDYVSDADFSVTGDCTSLYIEDKFDLLISDLYDGSTKSIDG -ENTSKDGFFTYINGFIKEKLSLGGSVAIKITEFSWNKDLYELIQRFEYWTVFCTSVNTSSSEGFLIGINY -LGPYCDKAIVDGNIMHANYIFWRNSTIMALSHNSVLDTPKFKCRCNNALIVNLKEKELNEMVIGLLRKGK -LLIRNNGKLLNFGNHFVNTP - ->YP_009199240.1 replicase polyprotein 1a [Swine enteric coronavirus] -MSSKQFKILVNEDYQVNVPSLPIRDVLQEIKYCYRNGFEGYVFVPEYCRDLVDCDRKDHYVIGVLGNGVS -DLKPVLLTEPSVMLQGFIVRANCNGVLEDFDLKIARTGRGIIYVDQYMCGADGKPVIEGDFKDYFGDEDI -IEFEGEEYHCAWTTVRDEKPLNQQTLFTIQEIQYNMDIPHKLSNCAIRHVAPPVKKNSKIVLSEDYKKLY -EIFGSPFMGNGDCLSKCFNTLHFIVATLRCPCGSESSGVGDWTGFKTACCGLSGKVKGVTLGDIKPGDAV -VTSMSAGKGVKFFANCVLQYAGDVEGVSIWKVIKTFTVDDTVCTPGFEGELNDFIKPESKSLLACSVKRA -FITGEIDDAVHDCIITGKLDLSTNLFGNVGLLFKKTPWFVQKCGALFVDAWKLVEELCGSLTLTYKQIYE -VVASLCNSVFTIVNYKPTFVVPDNRVKDLVDKCVQVFVKAFDAFTQVITIAGIEAKCFVLGAKYLLFNNA -LVKLVSVKILGKKQKGLECAFFATSLVGATVNVTPKRTETATISLNKVDDVVAPGEGYIVIVGDMAFYKS -GEYYFMMSSPNSVLTSNVFKAVKVPSYDIVYDVDNDTKSKMIAKLGSSFEYDGDIDAAIVKVNELLTEFR -QQSLCFRALKDEKSIFVEAYFKKYKMPACLAKHIGLWNIIKKDSCKRGFLNLFNHLNELEDIKETNIQAI -KNILCPDPLLDLDYGAIWYNCMPSCSDPSVLGSVQLLIGNGVKVVCDGCKGFANQLSKGYNKLCNAARND -IEIGGIPFSTFKTPTSTFIEMTDAIYSVVEQGEALSFRDADVPVVDDGTTATVDWSEPILLEPAEYVKPK -NNGNVIVIAGYTFYKDEDEHFYPYGFGKILQRMYNKMGGGDKTVSFSDEVDVQEIAPVTSVKLEFEFDNE -IVTGVLERAIGTRYKFTGTTWEEFEESISEELDAIFDTLANQGVKLEGYFIYDTCGGFDIKNPDGIMVSQ -YDIDITVDEKSEVSVSIEKEEVDSVEENPSDEIEAFEGAEGISSQEDAETVDFADLTSTEEDVDIVEVTA -KDDPWAAAVDVQEAEQFKHSPPPFRTTNLNGKIILKQEDNNCWINACCYQLQAFDFFNNEAWEKFKKGDV -MEFVNLCYAATTLARGHSGDADYLLELMLNDYSTAKIVLAANCGCGEKEIVLERTVFKLTPLKESFSYGV -CGDCMQVNNCRFLSVEGSGVFVHDILSKQTPESMFVVKPVMHAVYTGTTQNGHYMVDDIEHGYCVDGMGI -KPLKKRFYTSTLFINANVMTRAEKPKQEFKVEKVEQQPIVEDDKSSIEKEEIQSPKNDDLILPFYKVGKL -SFYQGALDVLINFLEPDVIVNAANGDLKHMGGVARAIDVFTGGKLTERSKDYLKKNKSIAPGNAVFFENV -IEHLSVLNAVGPRNGDSRVEAKLCNVYKAIAKCEGKILTPLISVGIFNVRLETSLQCLLKTVNDKGLNVF -VYTDQERHTIENFFACSIPINVTEDNVNHERVSVSFDKTYGEQLNGTVVIKDKDVTNQLPSAFDVGQKVV -KAIDIDWQAYYGFRDAAAFSASSHDAYKFEVVTHSNFIVHKQTDNNCWINAICLALQRLKPQWKFPGVRG -LWNEFLERKTQGFVHMLYHISGVKKGEPGDAELMLHKLGDLMDNECEIIVTHTTACDKCAKVEKFVGPVV -AAPLAIHGIDETCVHGVSVNVKVTQVSGTVAITSLIGPIIGEVLEATGYICYSGSNMSGHYTYYDNRNGL -VVDAEKAYHFNRDLLQVTTVIASNFVVKKPQAGERPKNCAFKAAASPKIVQEQKLLAIESGANYALTEFG -KYADMFFMAGDKILRFLLEIFKYLLVLFMCLRSTKMPKVKVKPPFAFKDFGAKVRTLNYMRQLNKPSVWR -YAKLVLLLIAIYNFFYLFVSIPVVHKLTCNGAVQAYKNSSFIKSAVCGNSILCKACLASYDELADFQHLQ -VTWDFKSDPLWNRLVQLSYFAFLAVFGSNYVRCFLMYFVSQYLNLWLSYFGYVEYSWFLHVVNFESISAE -FVIVVIVVKAVLALKHIVFSCSNPSCKTCSRTARQTRIPIQVVVNGSMKTVYVHANGTGKFCKKHNFYCK -NCESYGFENTFICDEIVRDLSNSVKQTVYATDRSHQEVTKVECSDGFYRFYVGDEFTSYDYDVKHKKYSS -QEVLKNMLLLDDFIVYSPSGSALANVRNACVYFSQLIGKPIKIVNSDLLEDLSVDFKGALFNAKKNVIKN -SFNVDVSECKNLDECYRVCNLNVSFSTFEMAVNNAHRFGILITDRSFNNFWPSKVKPGSSGVSAMDIGKC -MTSDAKIVNAKVLTQRGKSVVWLSQDFAALSSTAQKVLVKTFVEEGVNFSLTFNAVGSDDDLPYERFTES -VSPKSGSGFFDVITQLKQIVILVFVFIFICGLCSVYSFATQSYIESAEGYDYMVIKNGIVQPFDDTISCV -HNTYKGFSDWFKAKYGFGPTFGKSCPIVVGTVFDLENMRPIPDVPAYVSIVDRSLVFAINAAFGVTNMCY -DHTGNAVSKDSYFDTCVFNTACTTLTGLGGTIVYCAKQGLVEGAKLYSDLMPDYYYEHASGNMVKLPAII -RGLGLRFVKTQATTYCRVGECIDSKAGFCFGGDNWFVYDKEFGNGYICGNSVLGFFKNVFKLFNSNMSVV -ATSGAMLVNIIIACLAIATCYGILKFKKIFGDCTFLIVMIIVTLIVNNVSYFVTQNTFFMIIYAIVYYLT -TRKIAYPGIFDAGFIIAYINMAPWYVITAYVIVFLYDSLPSLFKLKVSTNLFEGDKFVGNFESAAMGTFV -IDMRSYETIVNSTPIDRIKSYANSFNKYKYYTGSMGEADYRMACYAHLGKALMDYSVNRTDMLYTPPTVS -VNSTLQSGLRKMAQPSGLVEPCIVRVSYGNNVLNGLWLGDEVICPRHVIASDTTRVINYENEMSSVRLHN -FSVSKHNVFLGVVSARCKGVNLVLKVNQVNPNTPEHKFKSVKAGESFNILACYEGCPGSVYGVNMRSQGT -IKGSFIAGTCGSVGYVLENGILYFVYMHHLELGNGSHVGANFEGEMYGGYEDQPSMQLEGTNVMSSDNVV -AFLYAALINGERWFITNTSMSLESYNTWAKTNSFTELSSTDAFSMLAAKTGQSVEKLLDSIVRLNKGFGG -RTILSYGSLCDEFTPTEVIRQMYGVNLQVGKVKSFFYPIMTAMTILFAFWLEFFMYTPFTWINPTFVSIV -LVVTTLISTVLVSGIKHKMLFFMSFVLPSVILVTANNLCWDLSYYEGLQSIVENTNIMFLPVDMQGVMLT -VFCFIVFVTYSVRFFTCKQSWFSLAVTTIFVIFNMVKVFGTSDEPWNENQISFCFVNMLTMIVSLTTKDW -MVVVASYRVAYYIVVCVMPPAFVSDFGFMKCISIVYMACGYLFCCYYGILYWINRFTCMTCGVYQFTVSA -AELKYMTANNLSAPKNAYDAMILSAKLIGVGGKRNIKISTVQSKLTEMKCTNVVLLGLLSKMHVESNSKE -WNYCVGLHNEINLCEDPEIVLEKLLALIAFFLSKHNTCDLSELIDSYFENTTILQSVASAYAALPSWIAL -EKARADLEEAKKNDVSPQILKQLTKAFNIAKSDFEREASVQKKLDKMAEQAAASMYKEARAVDRKSKIVS -AMHSLLFGMLKKLDMSSVNTIIEQARNGVLPLSIIPAASATRLVVITPSLEVFSKIRQENNVHYAGAIWT -IVEVKDANGSHVHLKEVTAANELNLTWPLSITCERTTKLQNNEIMPGKLKERAVRASATLDGEAFGSGKA -LMAAESGKSFMYAFIASDNNLKYVKWESNNDIIPIELEAPLRFYVDGANGPEVKYLYFVKNLNTLRRGAV -LGYIGATVRLQAGKPTEHPSNSSLLTLCAFAPDPAKAYVDAVKRGMQPVNNCVKMLSNGAGNGMAVTNGV -EANTQQDSYGGASVCIYCRCHVEHPAIDGLCRYKGKFVQVPTGTQDPIRFCIENEVCVVCGCWLNNGCMC -DRTSMQSSTVDQSYLNECGVLVQLD - ->YP_009019180.1 orf1ab polyprotein [Mink coronavirus strain WD1127] -MGSKHFKILVVEDSEITAHGYKSYNDCSNALKLCWHVGFDGYVFVPEYSRDLLTGCREQEYVFGVFGAND -NVIKPCFLDVQTANLQGFIVRSSAIGVEEDFYLRINNIGGTVVYVDQFLCGADGKPVRQGEMKDYFGDLE -DIVIDDITYKHAWEVARDMDVPHAKQTALNVQSIIYLMDVDHKIVNGATRQRAAPVKISSKVCLTEPYNS -LYKQFGSPYMQNGGNLNECFSKLNFIVANVKCKCGGESSGVGDWTGFKSACCGTPGKVIGVSIGDASVGE -AVITSKGCGTGTKFYAGAVLKYVGDAEGVSVWRVMATYANDVVATTTFDKCKLALLDHDSNSLVANSVKK -CYLTGEPDATVVDAIVAGHVNLSSNIFGAIPVLFEKTPWFVKKCGALFETAWQSICKFLSAGGFTYVAIR -DAINAICSICFTIKDSKPLFIVSSAASSLVRTCVQFLESAFDIFTQTVEVVGVTCKCVVLGCKYLLFDNA -LVMLKDLKLKGKREAGLNTATFASAIIGGTAEVNPTRFENSTANLTLVNDVVQPGPGYAVVIGGMAFYCT -GDYYFMMSSPTSVITTSVFKASTFNAFCLRYDVEDPYKTKLVARFGNVYSANGCIDDAVESLNVALKEFY -SNGVCFKAYADKDIIVIEPFCRKYKMPKCLRDHTGLWDIIRSNAGEPGFFNKYKKLEALEDVYDSDDYKN -LLCPKVLFRLDNGIIWYRHIRSVKNCKITHVTCTFTGGILKLLLDGYDALAGMITRGYQQLCKAKPFKLD -IAGVTLNGFTTPIGRDFLDFGEDVVEIFCGGKTRCFSGASIPVSVEKIVEDDVWSTTILEETEYQPPKNG -GVVVVIDGYTFYTCNHEQFYPFGDSKVVQKLYNKSGGDVKNVTFNDTVDVREVDPVFQVKITYEFDDDTI -TNVLKTVIGTSVTIEGNNWELLDDTLTEKVDAVFSQLQQAGIELPSYYIYDCAGGFDVGCNDGIMISQYD -ICVDAPLENVEEVSDVEVVDDPSTNDTVSEVVEEHVVVSEDVEGVVDEIVSDVDDEPITDVMSPFAFPNS -MINGKVVLKQHQNNCWLNAAGYQLQCLKALEDESFKQYCAGDVAPFVQLMYAVTNRNIGDLGEAEYVLEQ -LLSSAQTAKVKLSALCSCGTMEELISGCVFRMRCTSATFDYGVCGVCKTVKKTTIVGIEGTGVFVHDPAN -YKPLVKPVCKAIFKGSTTGGHYMVHDVLAKVLVDGFGLHPVQNLPFTSVCFVNAKYVKEISKCAPKASED -PWAKAVDVQEKQTSVVTSFSQQACEDKPITVEQGTVQTSIECVAEQSIVVATRNQEITEDKSITVDQGTV -KPLITAKPAVEPFFVTGNIKFYRGDVKSLVENLKPKVLVNAANSHLQHKGGVAKAIDAYTNGALTRESVE -YFKQYKPIPPGNIVVFNDVWKGLNIANAVGPRVSEERCAQKLDSVYRKMTKIEGPILTPLISCGVFGVPL -EQSLRSLLAAFKQKPICVFVYTEAEERKVLDYFKTPVTVVVKDCNKVTKNTVDASATIGVQLGSSVVLND -QDYSKCVPDLSMAQATITKVVDVDWCGHYGFENAAVFSASDHSDYKFESAIVNDKIVFKQSDNNCWVNSL -CLLLQDYKPTWRFPGMEDLWSKFVSGTTEPFVHFLYFITGTTKGQPNDVELALHKLEPLLCASGSVTLDE -FSGCDCCYNRSTISGPIVAVPLQTLGDKAVCRHGVRVTTRVHKIQGSVILTSINGSVTDCLKGDGYVCFT -GSKDRGHYTYYSGVMYDADKTYPFKVSDLSVTSVLISNGYTVPVVQSPRITRDLQVVDNDLDAIQKLDKY -ANKFFKFGDTVAKTILAVFKYLLCCYFFLLENCTKSKKLKVKVKPPFVVKPLDVKLRALNSITLLTNTKF -WFYIKFLLGLLLLYNLLYVVVSVPLFHKVACSRYSSMYANSSFVKSDVCSSSVLCKACLSSYEELSDFDH -LRVVWDYKSDPLWYKLVQLFYFGTLMIFGNNIVRFCMLYFVAQLFNNWLSYYGLVNYSWFLNVVYFETVA -AELVVIMAVIKVFFFLKHYWYGCDKADCKSCSKIAKQNRIPVNVVVNGSIKSVYVHTNGGSKFCKRHNFY -CKNCDSYGSDNTFICHEIVKDLSSAVKHPVYATDVSFKEVDKVECSDGFYRFYTGDEYTRYNFDVTDKKY -SCKEVLKSLQLLDDFVIYDPNGTTPANLHNACVYWSQLLGKPIKLVNRDLIQSLTVDFNGVLLEAHKRVV -GNSFNVDVSNCKTLRECYEACKTDVPYHTFEDVVVHAHKYDVLMTDLSFNNFWLTYAKPEDNLTSFDIAN -CIRANAKAVNHSVLSKENVPIVWSATDFVKLSGEAKHLLIKTAKAKGVTIMITLNTNVMSHNLPAVAIGR -KSGSGFFDIYNECKQVLRLLLAIVLVWGLFSCYNGYTPTYVTSAVGYDFMLIKNGKIQSFDDTIDCVHNV -YKDFPLWYKGKYGRQITYSRACPIVVGTVFDLVDNMRPVPDVPAYVTLVGRSLIFAINAVFGNTDLCYDH -QGVAKSRNSIFDNCVYNAACTQLTGMGGTAIYCFKEGVMNGNILQHKTYADIAPETFYELKDGNMIKLPT -IIRGLGLRVVQTLSTTYCRVGECTQSKEGFCVGLDNWFVYDRSFGDGYICGDSVFGFATNVFRLFNQNLS -VVATSGYMITNLIIALCAIAVCYFFLKFKRIFGDCSMLVTIIVITLLCNNISYFFTRNLVLMIVYATGYY -FLSRRLPYPGVMDLGFIIAYFNMAPWWILISYLLMFFYDSLPSFFKLKVSTQLFEGDKFVGNFESAASGT -FVIDMRSYETIVNSIPMERLKGYAATFNKYKYYTGSMGESDYRMACYAHLARALLDYSANRNDILYTPPT -VSVNSTLQSGLRKIAQPSGIVEPCVVRVAYGNTVLNGLWLGDEVICPRHVIASDTTKTINYESDLLGVRL -HNFSVSKGNDFLGVIGCSYRGVNLVIKVSQVNTQTPKHKFRTVKAGDSFNILACYDGKPNGVYGVNMRTQ -GTIRGSFINGTCGSPGYVLEGDTVHFVYMHHLELGNGSHVGSDFNGVMYGGYEDQPSLQLEGANVMSTDN -VVAFLYAAIINGERWFINSGYTSLETFNNWARSNGYTELASIDVFSMLAAKTSINVERLLDAIMRLSTGL -GGRTILGYGSLSDEFTPTEVVRQMFGVNLQSTKVKSYCYPVLMICAFLFAFWSEFFLYTPFTWFSPTMIS -CILFVTVVISAIFTTFVKHKMLYCSSFLLPSIILMTCSNLVWDYFYFEAVQAKLVEINLSLVSIDMQSVA -LIILCVLIACVHCYRFVTQKQSIPVCLITLCFVCYNLVVQWYYLLRSSDVGVNLQFGYINLGMMVVCLIT -KDWVVVAVAYRLAYYTVFYLIPEQVVFDFGVIKCLCVIYMLFGYVSCCYYGVLYWINRFTHMTCGVYQFC -VSAAELKYMTANNLTAPTNAYEAMVLSAKLVGIGGNKNIKIASVQSKLTDMKCTNVVLLGLLSKMHVEAN -SKEWNYCVTLHNEINLSDDPDVVLNKLLALLAFFLSKHNNCDLSELIDSYFDNASILQSVASAYASLPSW -VAYEQARDAYMEGKKNDVAPQILKQLQKAMNIAKAEFDREASVQKKLDRMAEQAASNMFKEARAVDRKSK -IIGAMHSLLFSMLKRLDMSSVNTLIDQARNGCLPLSIIPAASATRLVVVTPNIDVFSKVRLENNVHYAGA -VWSIIEVRDSNSSIVHLKEVTQANEQNLCWPLTVTCERVSKLQNNEIMPGKMKERAVKASSSADGDACSN -GKALFAAEGGKHFMYALISNDGNLKYVKWEGNNDVITIELEQPLKFYVEGANGPEIKHLYFVKNLNTLRR -GAVLGYIGATIRLQAGKQTEHPSNSSLLTLCAFAPEPAKAYVELVKKGMQPVNNCVKMLSNGSGNGMAIT -NGVEATPNQDSYGGASVCIYCRSHTAHPSIDGMCRFKGKFVQVPAGTADPIRFCIENDICAVCACWLNNG -CVCDRTSMQAAVVDQELFKRVRGSSGARLEPCNGTSPDCVTRAFDVYNADVACIGKFLKTNCSRFKNLDA -HDAYYIVKRCRKSVMDHEQVCYNALKHSNALASHDFFEYSEGRHVFGNVCRRNLTKYTMMDLCYALRNFD -EKNCDVLKEILVLTNCCDSTFFDNPDWYDPVENEAIHVVYAKLGHIVANAMLKCVALCDAMVEKGYVGII -TLDNQDLNGNFYDFGDFVSTIGGCGCACVTSYYSYMMPIMGMTSCLESENFVKSDIYGSDYKQYDLLSYD -FTEHKEKLFNKYFKYWDRPYHPNCSDCVDDACILHCANFNTLFATTIPITAFGPLVRKVFIDGVPIVVTA -GYHFKQLGLVWNKDVNVNNQKLSMTDLLKFVTDPSLLVASSPALLDQRTVCFSIAALGTGVTYQTVKPGH -FNKEFYDFIVERGYFAEGSDLTLKHYFFAQSGEAAITDFNYYRYNRTTVLDICQAELVFQIVAKYFDCYD -GGCITAREVVVTNYDKSAGYPLNKFGKARLYYETLSYEEQDELFATTKRNVLPTMTQMNLKYAISGKARA -RTVGGVSLLSTMTTRQYHQKHLKSIAATRNATVVIGTTKFYGGWDDMLKNLMRDVDNGCLMGWDYPKCDR -ALPNMIRMASAMILGSKHVGCCTHSDRFYRLSNELAQVLTEVVHCTGGFYIKPGGTTSGDGTTAYANSAF -NIFQAVSANVNRLLSVDSNTCNNYNVKALQRKIYDNCYRSSVVDPLVIDEYYAYLRKHFSMMILSDDGVV -CYNKEYADLGYVADISAFKSVLYYQNNVFMSSAKCWVEPDLSVGPHEFCSQHTMQIVTPDGDYYLPYPDP -SRILSAGVFVDDIVKTDNVIMLERYVSLAIDAYPLTKHPKPSYQKVFYTLLEWVKHLQKTLNAGILDSFS -VTMLEDGQDKFWSEEFYANLYEKSTVLQAAGMCVVCSSQTVLRCGDCLRRPLLCTKCAYDHVMGTKHHFI -MSLTPYVCSYNGCNINDVTKLYLGGLNYYCMDHKPQLSFPLCANGNIFGLYKSSAVGSPDVEDFNRLATS -DWSNVEDYKLANVVKESLKLFAAETIKATEESVKSQYACAVLKEVVGPKEVVLQWEASKTKPPLNRNSVF -TCYQILKDSKVQLGEFVFEQTEYGGDAVYYKSTSTTKLVPGMIFVLTSHNVGALKAPVLVNQEKYSTISK -LYPTFNIAEDYANLVPYYQMVGKQKFTTIQGPPGSGKSHCVIGLGLYYPGARIVYTACSHAAVDSLCEKA -AKNFNVDKCSRIIPMRARVECYSGFKPNNNNAQYIFSTVNALPECNCDIVVVDEVSMCTNYELSVINSRV -SYKHIVYVGDPQQLPAPRTLINKGVLKPQDYNVITQRMCTLGPDVFLHKCFRCPAEIVNTVSALVYENKF -KPVKPESKQCFKMYVRGNVQIDNGSSVNRKQLEVVKLFLAKNPKWKNATFISPYNSQNYVARRLLGLQTQ -TVDSAQGSEYDFVVYTQTSDTAHAVNINRFNVAITRAKIGILCVMCDKAMYDGLNFYEIKDVNLQVKSEG -CGLFKDCAKVEHYIPPAYATTYISLSDSFKTNNGFAVDVGNKPVTYASVISYMGFRFDANIPGYHTLFCT -RDFAIRNVRAWLGFDVESAHVCGDNIGTNVPLQLGFSNGVDFVVQPEGCVVTDKGSSICPVKSRAPPGEQ -FAHLIPLMRRGQAWSIVRRRIVQMVCDYFDGLSDVVIFVLWAGGLELTTMRYFVKIGVPCKCDCGVVATC -YNSAQGTYHCMKHALGCDYLYNPYCIDIQQWGYTGSLSMNHHEVCNVHRNEHVASGDATMTRCLAVHDCF -VKRVDWSITYPFIDNEATINKAGRVVQSHVVRAAIKVLNPSAIHDVGNPKGIRCVTTPLPWFCYDKDPIN -SNVRCLDYDFITHGQMPGLMLFWNCNVDMYPECSVVCRFDTRTRSKLSLEGCNGGALYVNNHAFHTEAYD -RRAFAKLKPLPFFFFDDSTCELVDGQPNYVPLKSNVCITRCNIGGAVCKKHAALYRKYVEDYNTFVQAGF -TIWGPSNFDTYTLWQGFVNSKALQSLENVAYNVVKKGVYTGLTGDLPTAIVADKVLVRDGSTDRVLFTNK -TMLPTNVAFELYAKRKVGLTPPLTILRNLDVVATYKFVLWDYEAECPFSNFTKEVCGYTDLKDDVVVCYD -NSIVGSYERFTTSKDGVLISNSAIKGLVPIALNFGYLNNLPVSTVGNKPVKWYIYVRKNGVYVEHIDGFY -SQGRTFDTFKARSKMEEDFLSMDTTLFIQKYGLEDYGFEHVVFGDVSKTTLGGMHLLISQVRLSKMGLFS -VQEFMTNSDSTLKSCCITYADDPSSKSLCTYMDILLDDFVTIVKGLDLSVVSKVVDVVVDCKVWRWMLWC -ENATIKTFYPQLQSAEWKPGYSMPNLYKIQRMCLERCNLYNYGASLQLPDGITTNVIKYTQLCQYLNTTS -ICVPHKMRVLHLGASSHDGVAPGTSVLRRWLPDDAILVDNDVRDYISDADFSITGDCTSMYLEDKFDLLI -SDMYDSTNKSIDGNNVSKEGFFPYINGFIREKLSLGGSVAIKLTEYSWNKELYELIQRFEYWTMFCTSVN -TSSSEAFLIGVNYMGDYSEKCIIDGNVMHANYIFWRNSTVMALSYYSVFDLTKFKCKFNNALVVNLKDSD -INVMVKGLIKGGKLLVRNNGKLLNFGNHLVNV - ->NP_598309.2 Pol1 [Porcine epidemic diarrhea virus] -MASNHVTLAFANDAEISAFGFCTASEAVSYYSEAAASGFMQCRFVSLDLADTVEGLLPEDYVMVVIGTTK -LSAYVDTFGSRPRNICGWLLFSNCNYFLEELELTFGRRGGNIVPVDQYMCGADGKPVLQESEWEYTDFFA -DSEDGQLNIAGITYVKAWIVERSDVSYASQNLTSIKSITYCSTYEHTFLDGTAMKVARTPKIKKNVVLSE -PLATIYREIGSPFVDNGSDARSIIRRPVFLHAFVKCKCGSYHWTVGDWTSYVSTCCGFKCKPVLVASCSA -MPGSVVVTRAGAGTGVKYYNNMFLRHVADIDGLAFWRILKVQSKDDLACSGKFLEHHEEGFTDPCYFLND -SSLATKLKFDILSGKFSDEVKQAIIAGHVVVGSALVDIVDDALGQPWFIRKLGDLASAPWEQLKAVVRGL -GLLSDEVVLFGKRLSCATLSIVNGVFEFLADVPEKLAAAVTVFVNFLNEFFESACDCLKVGGKTFNKVGS -YVLFDNALVKLVKAKARGPRQAGICEVRYTSLVVGSTTKVVSKRVENANVNLVVVDEDVTLNTTGRTVVV -DGLAFFESDGFYRHLADADVVIEHPVYKSACELKPVFECDPIPDFPLPVAASVAELCVQTDLLLKNYNTP -YKTYSCVVRGDKCCITCTLQFKAPSYVEDAVNFVDLCTKNIGTAGFHEFYITAHEQQDLQGFLTTCCTMS -GFECFMPTIPQCPAVLEEIDGGSIWRSFITGLNTMWDFCKRLKVSFGLDGIVVTVARKFKRLGALLAEMY -NTYLSTVVENLVLAGVSFKYYATSVPKIVLGGCFHSVKSVFASVFQIPVQAGIEKFKVFLNCVHPVVPRV -IETSFVELEETTFKPPALNGGIAIVDGFAFYYDGTLYYPTDGNSVVPICFKKKGGGDVKFSDEVSVKTID -PVYKVSLEFEFESETIMAVLNKAVGNRIKVTGGWDDVVEYINVAIEVLKDHVEVPKYYIYDEEGGTDPNL -PVMVSQWPLNDDTISQDLLDVEVVTDAPIDSEGDEVDSSAPEKVADVANSEPGDDGLPVAPETNVESEVE -EVAATLSFIKDTPSTVTKDPFAFDFVSYGGLKVLRQSHNNCWVTSTLVQLQLLGIVDDPAMELFSAGRVG -PMVRKCYESQKAILGSLGDVSACLESLTKDLHTLKITCSVVCGCGTGERIYEGCAFRMTPTLEPFPYGAC -AQCAQVLMHTFKSIVGTGIFCRDTTALSLDSLVVKPLCAAAFIGKDSGHYVTNFYDAAMAIDGYGRHQIK -YDTLNTICVKDVNWTAPLVPAVDSVVEPVVKPFYSYKNVDFYQGDFSDLVKLPCDFVVNAANEKLSHGGG -IAKAIDVYTKGMLQKCSNDYIKAHGPIKVGRGVMLEALGLKVFNVVGPRKGKHAPELLVKAYKSVFANSG -VALTPLISVGIFSVPLEESLSAFLACVGDRHCKCFCYGDKEREAIIKYMDGLVDAIFKEALVDTTPVQED -VQQVSQKPVLPNFEPFRIEGAHAFYECNPEGLMSLGADKLVLFTNSNLDFCSVGKCLNDVTSGALLEAIN -VFKKSNKTVPAGNCVTLDCANMISITMVVLPFDGDANYDKNYARAVVKVSKLKGKLVLAVDDATLYSKLS -HLSVLGFVSTPDDVERFYANKSVVIKVTEDTRSVKAVKVESTATYGQQIGPCLVNDTVVTDNKPVVADVV -AKVVPNANWDSHYGFDKAGEFHMLDHTGFTFPSEVVNGRRVIKTTDNNCWVNVTCLQLQFARFRFKSAGL -QAMWESYCTGDVAMFVHWLYWLTGVDKGQPSDSENALNMLSKYIVPAGSVTIERVTHDGCCCSKRVVTAP -VVNASVLKLGVEDGLCPHGLNYIGKVVVVKGTTIVVNVGKPVVAPSHLFLKGVSYTTFLDNGNGVVGHYT -VFDHGTGMVHDGDAFVPGDLNVSPVTNVVVSEQTAVVIKDPVKKAELDATKLLDTMNYASERFFSFGDFM -SRNLITVFLYILSILGLCFRAFRKRDVKVLAGVPQRTGIILRKSMRYNAKALGVFFKLKLYWFKVLGKFS -LGIYALYALLFMTIRFTPIGSPVCDDVVAGYANSSFDKNEYCNSVICKVCLYGYQELSDFSHTQVVWQHL -RDPLIGNVMPFFYLAFLAIFGGVYVKAITLYFIFQYLNSLGVFLGLQQSIWFLQLVPFDVFGDEIVVFFI -VTRVLMFIKHVCLGCDKASCVACSKSARLKRVPVQTIFQGTSKSFYVHANGGSKFCKKHNFFCLNCDSYG -PGCTFINDVIATEVGNVVKLNVQPTGPATILIDKVEFSNGFYYLYSGDTFWKYNFDITDSKYTCKEALKN -CSIITDFIVFNNNGSNVNQVKNACVYFSQMLCKPVKLVDSALLASLSVDFGASLHSAFVSVLSNSFGKDL -SSCNDMQDCKSTLGFDDVPLDTFNAAVAEAHRYDVLLTDMSFNNFTTSYAKPEEKFPVHDIATCMRVGAK -IVNHNVLVKDSIPVVWLVRDFIALSEETRKYIIRTTKVKGITFMLTFNDCRMHTTIPTVCIANKKGAGLP -SFSKVKKFFWFLCLFIVAAFFALSFLDFSTQVSSDSDYDFKYIESGQLKTFDNPLSCVHNVFINFDQWHD -AKFGFTPVNNPSCPIVVGVSDEARTVPGIPAGVYLAGKTLVFAINTIFGTSGLCFDASGVADKGACIFNS -ACTTLSGLGGTAVYCYKNGLVEGAKLYSELAPHSYYKMVDGNAVSLPEIISRGFGIRTIRTKAMTYCRVG -QCVQSAEGVCFGADRFFVYNAESGSDFVCGTGLFTLLMNVISVFSKTVPVTVLSGQILFNCIIAFVAVAV -CFLFTKFKRMFGDMSVGVFTVGACTLLNNVSYIVTQNTLGMLGYATLYFLCTKGVRYMWIWHLGFLISYI -LIAPWWVLMVYAFSAIFEFMPNLFKLKVSTQLFEGDKFVGSFENAAAGTFVLDMHAYERLANSISTEKLR -QYASTYNKYKYYSGSASEADYRLACFAHLAKAMMDYASNHNDTLYTPPTVSYNSTLQAGLRKMAQPSGVV -EKCIVRVCYGNMALNGLWLGDIVMCPRHVIASSTTSTIDYDYALSVLRLHNFSISSGNVFLGVVSATMRG -ALLQIKVNQNNVHTPKYTYRTVRPGESFNILACYDGAAAGVYGVNMRSNYTIRGSFINGACGSPGYNINN -GTVEFCYLHQLELGSGCHVGSDLDGVMYGGYEDQPTLQVEGASSLFTENVLAFLYAALINGSTWWLSSSR -IAVDRFNEWAVHNGMTTVGNTDCFSILAAKTGVDVQRLLASIQSLHKNFGGKQILGHTSLTDEFTTGEVV -RQMYGVNLQGGYVSRACRNVLLVGSFLTFFWSELVSYTKFFWVNPGYVTPMFACLSLLSSLLMFTLKHKT -LFFQVFLIPALIVTSCINLAFDVEVYNYLAEHFDYHVSLMGFNAQGLVNIFVCFVVTILHGTYTWRFFNT -PASSVTYVVALLTAAYNYFYASDILSCAMTLFASVTGNWFVGAVCYKVAVYMALRFPTFVAIFGDIKSVM -FCYLVLGYFTCCFYGILYWFNRFFKVSVGVYDYTVSAAEFKYMVANGLRAPTGTLDSLLLSAKLIGIGGE -RNIKISSVQSKLTDIKCSNVVLLGCLSSMNVSANSTEWAYCVDLHNKINLCNDPEKAQEMLLALLAFFLS -KNSAFGLDDLLESYFNDNSMLQSVASTYVGLPSYVIYENARQQYEDAVNNGSPPQLVKQLRHAMNVAKSE -FDREASTQRKLDRMAEQAAAQMYKEARAVNRKSKVVSAMHSLLFGMLRRLDMSSVDTILNLAKDGVVPLS -VIPAVSATKLNIVTSDIDSYNRIQREGCVHYAGTIWNIIDIKDNDGKVVHVKEVTAQNAESLSWPLVLGC -ERIVKLQNNEIIPGKLKQRSIKAEGDGIVGEGKALYNNEGGRTFMYAFISDKPDLRVVKWEFDGGCNTIE -LEPPRKFLVDSPNGAQIKYLYFVRNLNTLRRGAVLGYIGATVRLQAGKQTEQAINSSLLTLCAFAVDPAK -TYIDAVKSGHKPVGNCVKMLANGSGNGQAVTNGVEASTNQDSYGGASVCLYCRAHVEHPSMDGFCRLKGK -YVQVPLGTVDPIRFVLENDVCKVCGCWLSNGCTCDRSIMQSTDMAYLNRVRGSSAARLEPCNGTDTQHVY -RAFDIYNKDVACLGKFLKVNCVRLKNLDKHDAFYVVKRCTKSAMEHEQSIYSRLEKCGAIAEHDFFTWKD -GRAIYGNVCRKDLTEYTMMDLCYALRNFDENNCDVLKSILIKVGACEESYFNNKVWFDPVENEDIHRVYA -LLGTIVARAMLKCVKFCDAMVEQGIVGVVTLDNQDLNGDFYDFGDFTCSIKGMGVPICTSYYSYMMPVMG -MTNCLASECFVKSDIFGEDFKSYDLLEYDFTEHKTALFNKYFKYWGLQYHPNCVDCSDEQCIVHCANFNT -LFSTTIPITAFGPLCRKCWIDGVPLVTTAGYHFKQLGIVWNNDLNLHSSRLSINELLQFCSDPALLIASS -PALVDQRTVCFSVAALGTGMTNQTVKPGHFNKEFYDFLLEQGFFSEGSELTLKHFFFAQKVDAAVKDFDY -YRYNRPTVLDICQARVVYQIVQRYFDIYEGGCITAKEVVVTNLNKSAGYPLNKFGKAGLYYESLSYEEQD -ELYAYTKRNILPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTRGASVVIGTTKFY -GGWDNMLKNLIDGVENPCLMGWDYPKCDRALPNMIRMISAMILGSKHTTCCSSTDRFFRLCNELAQVLTE -VVYSNGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANVNKLLSVDSNVCHNLEVKQLQRKLYECCYRST -IVDDQFVVEYYGYLRKHFSMMILSDDGVVCYNNDYASLGYVADLNAFKAVLYYQNNVFMSASKCWIEPDI -NKGPHEFCSQHTMQIVDKEGTYYLPYPDPSRILSAGVFVDDVVKTDAVVLLERYVSLAIDAYPLSKHENP -EYKKVFYVLLDWVKHLYKTLNAGVLESFSVTLLEDSTAKFWDESFYANMYEKSAVLQSAGLCVVCGSQTV -LRCGDCLRRPMLCTKCAYDHVIGTTHKFILAITPYVCCASDCGVNDVTKLYLGGLSYWCHEHKPRLAFPL -CSAGNVFGLYKNSATGSPDVEDFNRIATSDWTDVSDYRLANDVKDSLRLFAAETIKAKEESVKSSYACAT -LHEVVGPKELLLKWEVGRPKPPLNRNSVFTCYHITKNTKFQIGEFVFEKAEYDNDAVTYKTTATTKLVPG -MVFVLTSHNVQPLRAPTIANQERYSTIHKLHPAFNIPEAYSSLVPYYQLIGKQKITTIQGPPGSGKSHCV -IGLGLYYPGARIVFTACSHAAVDSLCVKASTAYSNDKCSRIIPQRARVECYDGFKSNNTSAQYLFSTVNA -LPECNADIVVVDEVSMCTNYDLSVINQRISYRHVVYVGDPQQLPAPRVMISRGTLEPKDYNVVTQRMCAL -KPDVFLHKCYRCPAEIVRTVSEMVYENQFIPVHPDSKQCFKIFCKGNVQVDNGSSINRRQLDVVRMFLAK -NPRWSKAVFISPYNSQNYVASRLLGLQIQTVDSSQGSEYDYVIYAQTSDTAHASNVNRFNVAITRAKKGI -LCIMCDRSLFDLLKFFELKLSDLQANEGCGLFKDCSRGDDLLPPSHANTFMSLADNFKTDQYLAVQIGVN -GPIKYEHVISFMGFRFDINIPNHHTLFCTRDFAMRNVRGWLGFDVEGAHVVGSNVGTNVPLQLGFSNGVD -FVVRPEGCVVTESGDYIKPVRARAPPGEQFAHLLPLLKRGQPWDVVRKRIVQMCSDYLANLSDILIFVLW -AGGLELTTMRYFVKIGPSKSCDCGKVATCYNSALHTYCCFKHALGCDYLYNPYCIDIQQWGYKGSLSLNH -HEHCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSITYPFIGNEAVINKSGRIVQSHTMRSVLKLYNPK -AIYDIGNPKGIRCAVTDAKWFCFDKNPTNSNVKTLEYDYITHGQFDGLCLFWNCNVDMYPEFSVVCRFDT -RCRSPLNLEGCNGGSLYVNNHAFHTPAFDKRAFAKLKPMPFFFYDDTECDKLQDSINYVPLRASNCITKC -NVGGAVCSKHCAMYHSYVNAYNTFTSAGFTIWVPTSFDTYNLWQTFSNNLQGLENIAFNVLKKGSFVGDE -GELPVAVVNDKVLVRDGTVDTLVFTNKTSLPTNVAFELYAKRKVGLTPPITILRNLGVVCTSKCVIWDYE -AERPLTTFTKDVCKYTDFEGDVCTLFDNSIVGSLERFSMTQNAVLMSLTAVKKLTGIKLTYGYLNGVPVN -THEDKPFTWYIYTRKNGKFEDYPDGYFTQGRTTADFSPRSDMEKDFLSMDMGLFINKYGLEDYGFEHVVY -GDVSKTTLGGLHLLISQVRLACMGVLKIDEFVSSNDSTLKSCTVTYADNPSSKMVCTYMDLLLDDFVSIL -KSLDLSVVSKVHEVMVDCKMWRWMLWCKDHKLQTFYPQLQASEWKCGYSMPSIYKIQRMCLEPCNLYNYG -AGVKLPDGIMFNVVKYTQLCQYLNSTTMCVPHHMRVLHLGAGSDKGVAPGTAVLRRWLPLDAIIVDNDSV -DYVSDADYSVTGDCSTLYLSDKFDLVISDMYDGKIKSCDGENVSKEGFFPYINGVITEKLALGGTVAIKV -TEFSWNKKLYELIQKFEYWTMFCTSVNTSSSEAFLIGVHYLGDFASGAVIDGNTMHANYIFWRNSTIMTM -SYNSVLDLSKFNCKHKATVVVNLKDSSISDVVLGLLKNGKLLVRNNDAICGFSNHLVNVNK - ->YP_004070193.2 polyprotein ab [Feline infectious peritonitis virus] -MSSKQFKILVNEDYQVNVPSLPFRDALQEIKYCYRNGFDGYVFVPEYRRDLVDCNRKDHYVIGVLGNGIS -DLKPVLLTEPSVMLQGFIVRANCNGVLEDFDLKFARTGNGAIYVDQYMCGADGKPVIEGEFKDYFGDEDV -IIYEGEEYHCAWLTVRDEKPLWQQTLLTIREIQYNLDIPHKLPNCAIREVAPPVKKNSKVVLSEEYRKLY -DIFGSPFMGNGDSLNTCFDSLHFIAATLKCPCGAESSGVGDWTGFKTACCGLHGKVKGVTLGAVKPGDAI -VTSMSAGKGVKFFANSVLQYAGDVENVSVWKVIKTFTVNETVCTTDFEGELNDFIRPESTSPVSCSIKRA -FITGEVDDAVHDCIIAGKLDLSTNLFGSANLLFKKMPWFVQKCGAIFADAWKVVEELLCSLKLTYKQIYD -VVASLCTSAFTIMDYKPVFVVSSNSVKDLVDKCVKILVKAFDVFTQTITIAGVEAKCFVLGSKYLLFNNA -LVKLVSVKILGKRQKGLDSAFFATNLIGATVNVTPQRTESAYISLNKVDDVVTPGGGHIVIIGDMAFYKS -EEYYFMMASPDSVLVNNVFKAARVPSYNIVYDVNDDTKSKMVVKIGTSFDFDGDLDAAIAKVNDLLIEFR -QEKLCFRALKDGENILVEAYLKKYKMPVCLKNHVGLWDIIRQDSGKKGFLDTFNHLNELEDVKDIKIQTI -KNIICPDLLLELDFGAIWYRCMPACSDKSILGNVKIMLGNGVKVVCDGCHSFANRLTINYNKLCDTARKD -IEIGGIPFSTFKTPSSSFIDMKDAIYSVVEYGEALSFKTASVPVTNSGIITTDDWSDPILLEPADYVEPK -DNGDVIVIAGYTFYKDEDDHFYPYGSGMVVQKMYNKMGGGDKSVSFSDNVNVREIEPVTRVRLEFEFDNE -VVTQVLEKVIGTKYKFIGTTWEEFEDSISEKLDKIFDTLAEQGVELEGYFIYDTCGGFDINNPDGVMISQ -YDLNTAADDKSDSDASVEDISLISDNEDVEQIEEDNTSTDDAEDVSSVEGETVSVVDVEDFVEQVSLVEE -NNVLTPAVNPDEQLSSVEKKDEVSAKNDPWAAAVDEQEAEQPKPSLTPFKTTNLNGKIILKQQDNNCWIN -ACCYQLQAFDFFNHDLWDGFKKDDVMPFVDFCYAALTLKQGDSGDAEYLLETMLNDYSTAKVTLSAKCGC -GVKEIVLERTVFKLTPLRNEFKYGVCGDCKQINMCKFASVEGSGVFVHDRIEKQTPVSQFIVTPTMHAVY -TGTTQSGHYMIEDCIHDYCVDGMGIKPRKHKFYTSTLFLNANVMTAKSKTMVEPPVPVEDKCVEDCQSPK -DLILPFYKAGKVSFYQGDLDVLINFLEPDVLVNAANGDLRHVGGVARAIDVFTGGKLTKRSKEYLKSSKA -IAPGNAVLFENVLEHLSVLNAVGPRNGDSRVEGKLCNVYKAIAKCDGKILTPLISVGIFKVKLEVSLQCL -LKTVTDRDLNVFVYTDQERVTIENFFNGTIPIKVTEDTVNQKRVSVALDKTYGEQLKGTVVIKDKDVTNQ -LPSVSDVGEKVVKALDVDWNAYYGFPNAAAFSASSHDAYEFDVVTHNNFIVHKQTDNNCWVNAICLALQR -LKPTWKFPGVKSLWDAFLTRKTAGFVHMLYHISGLTKGQPGDAELTLHKLVDLMSSDSAVTVTHTTACDK -CAKVETFTGPVVAAPLLVCGTDEICVHGVHVNVKVTSIRGTVAITSLIGPVVGDVIDATGYICYTGLNSR -GHYTYYDNRNGLMVDADKAYHFEKNLLQVTTAIASNFVANTPKKEIMPKTQAKESKAKESNTARVFSEVE -ENPKNIVRKEKLLAIESGVDYTITTLGKYADVFFMAGDKILRFLLEVFKYLLVVFMCLRKSKMPKVKVKP -PHVFRNLGAKVRTLNYVRQLNKPALWRYIKLVLLLIALYHFFYLFVSIPVVHKLACSGSVQAYSNSSFVK -SEVCGNSILCKACLASYDELADFDHLQVSWDYKSDPLWNRVIQLSYFIFLAVFGNNYVRCLLMYFVSQYL -NLWLSYFGYVKYSWFLHVVNFESISVEFVIIVVVFKAVLALKHIFLPCNNPSCKTCSKIARQTRIPIQVV -VNGSMKTVYVHANGTGKLCKKHNFYCKNCDSYGFDHTFICDEIVRDLSNSIKQTVYATDRSYQEVTKVEC -TDGFYRFYVGEEFTAYDYDVKHKKYSSQEVLKTMFLLDDFIVYNPSGSSLASVRNVCVYFSQLIGRPIKI -VNSELLEDLSVDFKGALFNAKKNVIKNSFNVDVSECKNLEECYKLCNLDVTFSTFEMAINNAHRFGILIT -DRSFNNFWPSKIKPGSSGVSAMDIGKCMTFDAKIVNAKVLTQRGKSVVWLSQDFSTLSSTAQKVLVKTFV -EEGVNFSLTFNAVGSDEDLPYERFTESVSAKSGSGFFDVLKQLKQLFWCLVLFITLYGLCSVYSVATQSY -IDSAEGYDYMVIKNGVVQSFDDSINCVHNTYKGFAVWFKAKHGFVPTFDKSCPIVLGTVFDLGNMRPIPD -VPAYVALVGRSLVFAINAAFGVTNVCYDHTGAAVSKNSYFDTCVFNSACTTLTGIGGTVVYCAKQGLVEG -AKLYSELLPDYYYEHASGNMVKIPAIIRSFGLRFVKTQATTYCRVGECTESQAGFCFGGDNWFVYDKEFG -DGYICGSSTLGFFKNVFALFNSNMSVVATSGAMLANIVIACLAIAVCYGVLKFKKIFGDCTLLVVMIIVT -LVVNNVSYFVTQNTFFMIVYAIIYYFTTRKLAYPGVLDAGFIIAYLNMAPWYVLVLYIMVFLYDSLPSLF -KLKVTTNLFEGDKFVGSFESAAMGTFVIDMRSYETLVNSTSLDRIKSYANSFNKYKYYTGSMGEADYRMA -CYAHLGKALMDYSVSRNDMLYTPPTVSVNSTLQSGLRKMAQPSGVVEPCIVRVAYGNNVLNGLWLGDEVI -CPRHVIASDTSRVINYENELSSVRLHNFSIAKNNAFLGVVSAKYKGVNLVLKVNQVNPNTPEHKFKSVRP -GESFNILACYEGCPGSVYGVNMRSQGTIKGSFIAGTCGSVGYVLENGTLYFVYMHHLELGNGSHVGSNLE -GEMYGGYEDQPSMQLEGTNVMSSDNVVAFLYAALINGERWFVTNTSMTLESYNAWAKTNSFTEIVSTDAF -NMLAAKTGYSVEKLLECIVRLNKGFGGRTILSYGSLCDEFTPTEVIRQMYGVNLQSGKVKSIFYPMMTAI -AILFAFWLEFFMYTPFTWINPTFVSVVLAITTLVSVLLVAGIKHKMLFFMSFVMPSVILATAHNVVWDMT -YYESLQVLVENVNTTFLPVDMQGVMLALFCVVVFVICTIRFFTCKQSWFSLFATTIFVMFNIVKLLGMIG -EPWTDDHFLLCLVNMLTMLISLTTKDWFVVFASYKVAYYIVVYVMQPAFVQDFGFVKCVSIIYMACGYLF -CCYYGILYWVNRFTCMTCGVYQFTVSPAELKYMTANNLSAPKTAYDAMILSFKLMGIGGGRNIKISTVQS -KLTEMKCTNVVLLGLLSKMHVESNSKEWNYCVGLHNEINLCDDPDAVLEKLLALIAFFLSKHNTCDLSDL -IESYFENTTILQSVASAYAALPSWIAYEKARADLEEAKKNDVSPQLLKQLTKACNIAKSEFEREASVQKK -LDKMAEQAAASMYKEARAVDRKSKIVSAMHSLLFGMLKKLDMSSVNTIIEQARNGVLPLSIIPAASATRL -IVVTPNLEVLSKVRQENNVHYAGAIWSIVEVKDANGAQVHLKEVTAANELNITWPLSITCERTTKLQNNE -ILPGKLKEKAVKASATIDGDAYGSGKALMASEGGKSFIYAFIASDSNLKYVKWESNNDVIPIELEAPLRF -YVDGVNGPEVKYLYFVKSLNTLRRGAVLGYIGATVRLQAGKPTEHPSNSGLLTLCAFAPDPAKAYVDAVK -RGMQPVTNCVKMLSNGAGNGMAITNGVESNTQQDSYGGASVCIYCRCHVEHPAIDGLCRFKGKFVQVPTG -TQDPIRFCIENEVCVVCGCWLTNGCMCDRTSIQGTTIDQSYLNRVRGSSAARLEPCNGTDPDHVSRAFDI -YNKDVACIGKFLKTNCSRFRNLDKHDAYYVVKRCTKSVMDHEQVCYNDLKDSGVVAEHDFFLYKEGRCEF -GNVARKDLTKYTMMDLCYAIRNFDEKNCEVLKEILVTLGACNESFFENKDWFDPVENEAIHEVYARLGPI -VANAMLKCVAFCDAIVEKGYIGIITLDNQDLNGNFYDFGDFVKTTPGFGCACVTSYYSYMMPLMGMTSCL -ESENFVKSDIYGADYKQYDLLAYDFTDHKEKLFHKYFKHWDRTYHPNCSDCTSDECIIHCANFNTLFSMT -IPSTAFGPLVRKVHIDGVPVVVTAGYHFKQLGIVWNLDVKLDTMKLSMTDLLRFVTDPTLLVASSPALLD -QRTVCFSIAALSTGVTYQTVKPGHFNKDFYDFITERGFFEEGSELTLKHFFFAQGGEAAMTDFNYYRYNR -VTVLDICQAQFVYKIVGKYFECYDGGCINAREVVVTNYDKSAGYPLNKFGKARLYYETLSYEEQDALFAL -TKRNVLPTMTQMNLKYAISGKARARTVGGVSLLSTMTTRQYHQKHLKSIAATRNATVVIGSTKFYGGWDN -MLKNLMRDVDNGCLMGWDYPKCDRALPNMIRMASAMILGSKHVGCCTHSDRFYRLSNELAQVLTEVVHCT -GGFYFKPGGTTSGDGTTAYANSAFNIFQAVSANVNKLLGVDSNACNNVTVKSIQRKIYDNCYRSSSIDEE -FVVEYFSYLRKHFSMMILSDDGVVCYNKDYADLGYVADINAFKATLYYQNNVFMSTSKCWVEPDLSVGPH -EFCSQHTLQIVGPDGDYYLPYPDPSRILSAGVFVDDIVKTDNVIMLERYVSLAIDAYPLTKHPKPAYQKV -FYTLLDWVKHLQKNLNAGVLDSFSVTMLEEGQDKFWSEEFYASLYEKSTVLQAAGMCVVCGSQTVLRCGD -CLRRPLLCTKCAYDHVMGTKHKFIMSITPYVCSFNGCNVNDVTKLFLGGLSYYCMDHKPQLSFPLCANGN -VFGLYKSSAVGSEDVEDFNKLAVSDWTNVEDYKLANNVKESLKIFAAETVKAKEESVKSEYAYAILKEVI -GPKEIVLQWEASKTKPPLNRNSVFTCFQISKDTKIQLGEFVFEQSEYGSDSVYYKSTSTYKLTPGMIFVL -TSHNVSPLKATILVNQEKYNTISKLYPVFNIAEAYNTLVPYYQMIGKQKFTTIQGPPGSGKSHCVIGLGL -YYPQARIVYTACSHAAVDALCEKAAKNFNVDRCSRIIPQRIRVDCYTGFKPNNTNAQYLFCTVNALPEAS -CDIVVVDEVSMCTNYDLSVINSRLSYKHIVYVGDPQQLPAPRTLINKGVLQPQDYNVVTQRVCTLGPDVF -LHKCYRCPAEIVKTVSALVYENKFVPVNPESKQCFKMFVKGQVQIESNSSINNKQLEVVKAFLAHNPKWR -KAVFISPYNSQNYVARRLLGLQTQTVDSAQGSEYDYVIYTQTSDTQHATNVNRFNVAITRAKVGILCIMC -DRTMYENLDFYELKDSKIGLQAKPETCGLFKDCSKSEQYIPPAYATTYMSLSDNFKTSDGLAVNIGTKDV -KYANVISYMGFRFEANIPGYHTLFCTRDFAMRNVRAWLGFDVEGAHVCGDNVGTNVPLQLGFSNGVDFVV -QTEGCVVTEKGNSIEVVKARAPPGEQFAHLIPLMRKGQPWHIVRRRIVQMVCDYFDGLSDILIFVLWAGG -LELTTMRYFVKIGRPQKCECGKSATCYSSSQCVYACFKHALGCDYLYNPYCIDIQQWGYTGSLSMNHHEV -CNIHRNEHVASGDAIMTRCLAIHDCFVKRVDWSIVYPFIDNEEKINKAGRIVQSHVMKAALKIFNPAAIH -DVGNPKGIRCATTPIPWFCYDRDPINNNVRCLEYDYMVHGQMNGLMLFWNCNVDMYPEFSIVCRFDTRTR -SKLSLEGCNGGALYVNNHAFHTPAYDRRAFAKLKPMPFFYYDDSNCELVDGQPNYVPLKSNVCITKCNIG -GAVCKKHAALYRAYVEDYNMFMQAGFTIWCPQNFDTYMLWHGFVNSKALQSLENVAFNVVKKGAFTGLKG -DLPTAVIADKIMVRDGPTDKCIFTNKTSLPTNVAFELYAKRKLGLTPPLTILRNLGVVATYKFVLWDYEA -ECPFSNFTKQVCSYTDLDSEVVTCFDNSIAGSFERFTTTKDAVLISNNAVKGLSAIKLQYGFLNDLPVST -VGNKPVTWYIYVRKNGEYVEQIDSYYTHGRTFETFKPRSTMEEDFLSMDTTLFIQKYGLEDYGFEHVVFG -DVSKTTIGGMHLLISQVRLAKMGLFSVQEFMTNSDSTLKSCCITYADDPSSKNVCTYMDILLDDFVTIIK -SLDLNVVSKVVDVIVDCKAWRWMLWCENSQIKTFYPQLQSAEWNPGYSMPTLYKIQRMCLERCNLYNYGA -QVRLPDGITTNVVKYTQLCQYLNTTTVCVPHKMRVLHLGAAGASGVAPGSTVLRRWLPDDAILVDNDLRD -YVSDADFSVTGDCTSLYIEDKFDLLISDLYDGSTKSIDGENTSKDGFFTYINGFIKEKLSLGGSAAIKIT -EFSWNKDLYELIQRFEYWTVFCTSVNTSSSEGFLIGINYLGPYCDKAIVDGNIMHANYIFWRNSTIMALS -HNSVLDTPKFKCRCNNALIVNLKEKELNEMVVGLLRKGKLLIRNNGKLLNFGNHLVNVP - ->YP_008439223.1 nsp13 [Bat coronavirus CDPHE15/USA/2006] -SAGLCVVCSSQTVLRCGDCLRRPMLCTKCAYDHVVSTSHKFILAITPYVCCATGCGVNDVTKLYLGGLSY -WCIDHKPRLAFPLCSAGNVFGLYKNSAVGSPDVDQFNQLATSDWTDVRDYKLANDVKDSLRLFAAETIKA -SEENIKSSYACATIREVIGPKELILSWEAGKTKPALNRNSVFTGFHITKNMKSQIGEFTFEKSDYDSDAV -IYKSTTTVKLQPGMVFVLTSHNVQSLRAPTIANQERYANLVKLRPAFNVPPDYANLVAYYQMIGHQKITT -IQGPPGSGKSHCVIGLGLYYPGARIVYTACSHAAVDSLCCKAATAFSADRCSRIIPQRARVECYSGFKPN -NTTAQYIFSTVNALPECATDIVVVDEVSMCTNYELSIINQRVSYKHIVYVGDPQQLPAPRIMITRGVLEP -SDYNVVTQRMCAIKPDIFLHKCYRCPAEIVDTVSEMVYENQFKPVNKASKQCFKIMCKGNVMVDNGSSIN -RRQLEVVKMFLAKNPSWRNAVFISPYNSQNYVASRSLGLQIQTVDSSQGSEYDYVIFTQTSDTAHASNVN -RFNVAITRAKKGILCVMCDKNLYDLLKFYELKLSDLQ - ->YP_008439200.1 replicase polyprotein 1ab [Bat coronavirus CDPHE15/USA/2006] -MASNHLTLAFASDMEVSAIGFGSINEAVSFYSDAAIDGFTQCRFVAAGLANTVEGVEPSDFVMVVTGVTQ -LRAYIDTFGSRPANLRGWLLFSNSNYFLSEMELIFGRRGGNTIYVDQYLCGADGKPVVPEEFWDFKDYFV -DDDQVVVNGTTYIKAWVVERANVAYEAQNVTAINGITYCAKIPHTLPDGSVVRVARKPKIKKSIVLSDVY -KPLLEGLGAPFVKNGDTLASILTEPVFLHALVKCTCGKTMWTVGDWSCYKSLCCGVVCKPLCNVAGIVNP -GSAVVTRTGLGSGIKYYCGMFLRHILDVDGVSVWRVIRLQSAGETVTSTNFEEFGDVCPMDTCHYSLDSR -LAVMFKLNLLSGDFCAEVRHAIASGVFSVGNFICDVTDDVLGKPWFLKKLGVLADAAWTAFVASLRKIKV -MSSQLVDLAKALACASVSVINRCLVLAADVPPIFKECFAKFVSAIAALFKSTCDTVKVARHSYPRLFDYV -LLDNHLVKMVTQRITGKKQAGLTEATFADVILGATTNVTSDRTEACNVGLVLCDYDVPVLNTGYTAIIGG -KAFFCSEGYYRFMATPNVVLDEPVFRAAAELNPVFECEKPDGFPVLVASDVAELCVKVDQLLPNYSTSYK -RYESVIRGEQCFIRCIYDFRAPSFIRKGDDAEKFVKQCEVLVSNPLFFEFYTQAHEAVDLDQYVNTACTL -EGFSHMAPAVPKPHPLFLQIDGGAIWRSVVRRVSAVADFVRNLKVSFGLEGIIVSAARKFKQWAKLLAQM -YNEFLNSVSAAIHIAGVKFIHYATTVPQLAYNGCLYALKKTYAATVGLQTEEGVDGFYAFDHCQLPVTPR -LLQVEDVNLEEADFKPPVGGGCVAVINGYSFYVEGDSYYPTDCSAIHSLRFRKLGGAGVAFSEDVAVKTI -DPVYKVRLDFEFEDDSVVALCKKTIGKSIKFNGTEWDKFVAILETALGVLAKHVTLPPYFIYDDHGGKNL -SRTVVVSQWPPADVDESCDDSDDDDDSDHEADEESSAEHDDDPESASTDEQLMGQDTAPDSASTDESHET -EPNGVSLDEDLSAKQEEALNALDNAIGSASAATEEEEVNDALSFMTESSPKPCEPTSDTFAYPFKDYNGV -KVLDQQSNNCWVNSTLLQLQLLGVIGDDSAMALFKVGRVGPMVKRCYEAVGAIKGSLGDVSQCMEVLLRD -VKTLTVHCDTVCDCGSGAKTFVGCAFRFEPTTEPFPYGCCQNCKQVLLHTITSISGTGVFCREPTPFDIS -VMPIRPLCAANYVGAVDGGHYMTNIYTNNVAVDGHGVHVIANTGLNTICVKDVDWSRAIKYEPVRVEKPK -KDVNPEPPVKPLNAKVDGLKPFVSYKGVEFYQGAFKDLLDLPFDFVVNAANEQLSHGGGVARAIDEHTGG -ELQSLSDRYVKAVGPLKVGTGAYIKCKAFDVFNVVGPRKGKHAKDLLVKSYDSVFSVPGVPLMPLLSIGI -FRVPIEDSLSALFACVGDRVCKCFCYLDAERDAILQYVAGLSVVNEEEVKQETAFTPRRMEGSCNFYDCN -PKALVDNGVTRFVVFTKPSLDFCDTTKVLDTYFKSLLTNLVKDYVSTLKGKVVPAGNCVTLRCTDSVSVT -FVVLPTDDDPNFETNAKRAFQKCLKLKGTCVYATTDADVLRRIVKHSCFGFIANDNVISAVFTDGQFRVE -VTQDQRTYSVKSLDASKTMGEQLGTCSVDNTNVSDQRPTSDISKVTVAPAVDWDVHYGFVGAASFHILNH -EAFEYPSSVQNGKRVLKTSDNNCWVNAVCLQLQFANAKFVGTGLQTLWDEYLVGNVAGFVHWLYWLAGVQ -KGDPGDAEDTLNLISKFLQSQGHVVVDRTTVDSCCSSQRTLVTPVVNGSILRMGVDDGACKHGSTFINKV -VSINGTVILVNVGPPISSAPTSFIQGLSYTSFNNTVGGVGHYTVYDSTQHGFYDGDVFVRGELAMQPVTA -LVARHSHYVVKDPVKSFEQRASAVVDSLNFASEKFFTFGDFVSRNVITMLVWFFSLLSLLLKSVRRRDFR -VLAGAPERSGVIISRSIKYNVRALRYFMRLKAKWVCMFLKACFILYTCYATCFMIIRFSFVSDIFCSGYV -DGYANSSFDKNAYCDGQLCKVCLFGYDELADFPHTTVVWEHLKDPFVINVIPLFYLGFLAIFGGTVTRIM -VLYFVSQYVNMLGAYLGVQDSVWFLQFFPFDVFGDEIVVLFLVVRVLMFLKHVLFGCDKPSCLACSKSAR -LKRVPVSTIVSGANKSFYVNANGGTSFCKKHNFYCVNCDSYGLGCTFINDVVAAEVGNVTKLNVQATGPA -FVEVDKVEFNNGFYYLYSGNTFWKYNYDITDSRYSCKEALKTCNVAADFIICNNTGSNMAQVKNACVYFS -QLLCKPIKIVDSTLVSTLGVDFSTSIHSAFVEILTDSFGKDLSNCTTMSQCRATLGFDDISDEDFTGAVA -NAHRYGLLLTDISFNNFITSYAKPEEKMSVHDLAICMRSGARVANHNVLVKENVPVVWSAEAFNALSEES -RKYVVKTSKVKGLTFMLSFNNVRMHTTIPSVAISGKKGGSTVSAFFKNLRKILWCSCTIILCLFFVTSLW -NFAESYHSGSEFGYKYIENGALKDFSGPLDCVHNIFDDFMAWHHAKYGVQPINSRRCPIVVGVDENVRTI -PGVSAGVMLVGKTLVFAVKSVYSSAGLCYDEFGAAAPEQCLFNSACTTLKGLGGTSTYCYRSGLVSDSGL -YRDLLHDSHYFLPDGNYVKFPEVISRGFGFRTVRTAATTYCRVGQCVDSKQGVCFGLDRFLVYSAESGSD -FVCGTGLMSLLYNVFGIFTRSIPVVVLSGQIMFNCVVAFLAVSCCFLFTKFKRLFGDMSFGVFTVCCCVL -VNNLSYIITQNYMGLVFYTVLYFFSTKTVRYAWIWHIGYFVAYFLVAPWWLLGMFLASALTEFLPSMFKL -KVSTQLFDGEKFVGSFETAAMGTFVLDMRTYEKLVNSTPGDKIRQYAATYNKYKYYSGGANEADYRLACF -AHLAKAMMDFGSNHQDMLYSPPTVSYNSTLQAGLKKMAQPSGVVERCIVRVSYGNMVLNGLWLGDTVYCP -RHVLAASTTTHIDYEHALAIMRLHNFSISYGNTFLGVVGCSTKGALLLIKVGQNNVHTPGSYTFRTLKPG -DSFNILACYDGTATGVYGVNLRTNHTIRGSFINGACGSPGFNIHGSTVEFCYLHQLELGSGCHVGSDMNG -AMYGGYEDQPSFQIEGVSNLVSENVVAFLYGALLNGCNWWLDQCGVTVEAYNDWAHSNGCTSLSSTDCFT -ILAAKTGVEVSRVLAAIQRLNVNFGGKAILGYTSLTDEFTVGEIIKQMFGVNLQSGIISRSVRNVLLVGL -FVLLFWSELVIYTPFFWVSPAYITPLFLIVSGVSILCMSLLKHKTLFLQMFLIPAVIAVSAYNLAYDLEI -RTWMATKLDYHASILSFNIQGIFNIMVCCVVVCLHAYRCVTRSSSVFTMVVACVTSCYSYVICGDALSAA -MAIMLNITGNWFVGAGAYRLATYIVLLNPALPALVGDVKAIVFVYVAVGYVCCVFYGILYWVNRFCKLSL -GVYDFMVSPAEFKYMVANGLRAPTGAFDSLFLSARLLGIGGQRTIKISTVQSKLTDVKCTNVVLMGCLSS -MNIQANSAEWNYCVDLHNKINLCNDLERAQEYLLALLAFFLSKNSAFGLDDLLDSYFDNNTVLQAVATTY -ANMPSYIMYENARQAYEEAISNRSSPQLIKQLKHAMNRAKGEFDHEAATQRKIDRMAEQAAAQMFKEARA -VNKKSKVTSAMHAMLFSMLRRLDMSSVDIILNLARNGTVPLSIIPALCATRLSIIVSDFESYAKLFREGC -IHYAGTIWSVADIKNNDGKPVHQKEVTSSNAENLSWPLCINAERIVKLQNNEVMPGKLKQRSVKAEGDGV -MAEGKALYNTENGRTFMYAFLADKPDLKVVKWESDDGVKVIELEPPCKFLVDTPNGPHVKFLYFVRNLNT -LRRGAVLGFVGATVRLQAGKQTEQVMNSSLLTMCAFSTDPAKTYLDAVKSGVKPIGNCVKMLANGAGNGQ -AITNGVEANTSQDSYGGASVCLWCRAYVEHPAMDGFCKYRGKYVQVPIGTVDPIRYCLENEVCKVCGCWL -NNGCSCDRTSIVQSFDSSYLNRARGSSAARLEPCNGTDTDHVYRAFDIYNKDVACIGQFLKVNCVRFRNT -DMHDAFYVIKRCTKSVMDHEQSIYDKLAKCDAVAKHDFFTWKEGRSIYGNVCRCNLTKYTMMDLCFALRN -FDERNCQTLKEILVLTGACDESYFENKLWFDPVENEDIHRVYAKLGVVVARAMLNCVKLCDAMVKAGIVG -VLTLDNQDLNGKFYDFGDFVPSLEGMGVPLCTSYYSYMMPIMGMTNCLASECFMKSDIFGEDFKSYDLLE -YDFTAHKQSLFEKYFKYWGQEYHPNCVDCHDDLCVVHCANFNTLFSTTIPNTAFGPLCRKCWIDGVPLVT -TAGYHFKQLGIVWNKDFNTHSTRLTINDLLRFVTDPALLVASSPALVDQRTVCFSVAALSTGLVNQTVKP -GHFNEEFYNFLRGHGFFEEGSELTLKHFFFAQKGDAAVKDFDFYRYNKTTMLDICQARVVYKLVSRYFEI -YEGGCITAKEVVVTNLNKSAGWPLNKIGKAGTYYESLSYEEQDELYALTKRNILPTMTQLNLKYAISGKE -RARTVGGVALLSTMTTRQFHQKHLKSIVNTRGASVVIGTTKFYGGWDAMLKTLIHGVENPHLMGWDYPKC -DRALPNMVRMISAMILGSKHVTCCTASDRFFRLANELAQVLTEVVYSNGGFYLKPGGTTSGDATTAYANS -VFNIFQAVSANVNRLLRVDSNLCNNLDVRDLQRRLYECCYRSSTVDDNFVNDYYGFLRKHFSMMILSDDG -VVCHNSEYAQLGYVADLNAFKSVLYYQNNVFMSESKCWVEPDVNKGPHEFCSQHTMQITDKDGSYFLPYP -DPSRILSAGVFVDDVVKTDPVILLERYVSLAIDAYPLSKHENPEYQRVFYVLLDWVKHLNKTLNQGVLDA -FSIEMLEDSTCKFWDEKFYSNMYERSAVLQSAGLCVVCSSQTVLRCGDCLRRPMLCTKCAYDHVVSTSHK -FILAITPYVCCATGCGVNDVTKLYLGGLSYWCIDHKPRLAFPLCSAGNVFGLYKNSAVGSPDVDQFNQLA -TSDWTDVRDYKLANDVKDSLRLFAAETIKASEENIKSSYACATIREVIGPKELILSWEAGKTKPALNRNS -VFTGFHITKNMKSQIGEFTFEKSDYDSDAVIYKSTTTVKLQPGMVFVLTSHNVQSLRAPTIANQERYANL -VKLRPAFNVPPDYANLVAYYQMIGHQKITTIQGPPGSGKSHCVIGLGLYYPGARIVYTACSHAAVDSLCC -KAATAFSADRCSRIIPQRARVECYSGFKPNNTTAQYIFSTVNALPECATDIVVVDEVSMCTNYELSIINQ -RVSYKHIVYVGDPQQLPAPRIMITRGVLEPSDYNVVTQRMCAIKPDIFLHKCYRCPAEIVDTVSEMVYEN -QFKPVNKASKQCFKIMCKGNVMVDNGSSINRRQLEVVKMFLAKNPSWRNAVFISPYNSQNYVASRSLGLQ -IQTVDSSQGSEYDYVIFTQTSDTAHASNVNRFNVAITRAKKGILCVMCDKNLYDLLKFYELKLSDLQAQT -DGCGLFKDCSRRDDMLPPSHASTYMALSDNFKTDKELAVQIGVNGPIKYEHVVSFMGFRFDVNIPNNHTL -FCTRDFAIRHVRGWLGFDVEGAHVVGENVGTNVPLQLGFSNGIDFVVRPEGCVVTDTGSAIVPVKARAPP -GEQFAHLVPLLRKGQPWSVVRKRIVQMCCDHFINLSDIVVFVLWSGGLELTTMRYFVKVGPIKHCECGKL -ATCYNSVSHSFYCFTHAFGCDYLYNPYCIDIQQWGYTGSLSSNHHQHCNLHRNEHVASGDAIMTRCLAIY -DCFVKNVDWSVTYPFIANENLINKSGRMVQSHVMRAALALYKPKAIHDIGNPKGIRCAVTDVSWYCYDKQ -PLNNNVKTLEYDYITHGQFDGLCLFWNCNVDMYPEFSIVCRFDTRQRSLLNLEGCNGGSLYVNNHAFHTP -AFDKRAFAKLKAMPFFYYDTSDCDKLHDSINYVPLKASNCITRCNVGGAVCSRHADQYHSYVAAYNNFTQ -AGFTIWVPQNFDLYNLWQTLAKPNLQGLENIAFNVVKKGSFVGAEGELPVAIVGDKVLVRENDVDNLVFT -NKTSLPTNVAFELYAKRKVGLTPPLTILRNLGVVRTYKFVLWDYEAERPFTSYTKDVCKYTDFDAGVCTC -YDNSVQGSFERFTLDPDAVLISLTAVKKLTPIKISYGYLNGVAVSQHEKKPLTWYIYTRKGGKFDDYPEG -FYTQGRTSADFKPRSTMEEDFLNMDTGLFISKYGLEDYAFEHVVYGDISKTTLGGLHLLISQVRLSKLGI -LKIDEFIAAGDSTLKSCTVTFADNPSSKSVCTYMDLLLDDFVTIMKSLDLSVVSKVHEVMVDCKMWRWML -WCKDHKVQTFYPQLQSAEWKCGYSMPALYKIQRMCLEPCNLYNYGAGIKLPDGIMFNVVKYTQLCQYLNS -TTMCVPHHMRCLHLGAGSDKGVAPGTAVLKRWLPIDAVIVDNDVNDYVSDADFSYTGDCTTLYLADKFDL -VISDMYDGKIKLCDGDNVSKEGFFTYINGVICEKLAIGGTVAIKITEHSWNKRLYELIQRFEYWTMFCTS -VNTSSSESFLIGVHYLGDYATTPVIDGNTMHANYIFWRNSTMMTMSYNSVLDLSKFACKHKATVVVNLKE -NAISDLVVSLVRSGKLLVRGSGPLVNLSNHLVNTK - ->YP_001941186.1 NSP13 [Turkey coronavirus] -CGVCVVCNSQTILRCGNCIRKPFLCCKCCYDHVMHTDHKNVLSINPYICSQPGCGEADVTKLYLGGMSYF -CGNHKPKLSIPLVSNGTVFGIYRANCAGSENVDDFNQLATTNWSTVEPYILANRCSDSLRRFAAETVKAT -EELHKQQFANAEVREVLSDRELILSWEPGKTSPPSNRNYVFTGYHFTRTSKVQLGDFIFEKGEGKDVVYY -RATSTAKLSVGDIFVLTSHNVVSLVAPTLCPQQTFSRFVNLRPNVMVPECFVNNIPLYHLLGKQKRTTVQ -GPPGSGKSHFAIGLAAYFSNARVVFTACSHAAVDALCEKAFKFLKVDDCTRIVPQRTTIDCFSKFKANDT -GKKYIFSTINALPEVSCDILLVDEVSMLTNYELSFINGKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDY -NVVTNLMVCVKPDIFLAKCYRCPKEIVDTVSTLVYDGKFIANNPESRQCFKVIVNNGNSDVGHESGSAYN -TTQLEFVKDFVCRNKEWREATFISPYNAMNQRAYRMLGLNVQTVDSSQGSEYDYVIFCVTADSQHALNIT -RFNVALTRAKRGILVVMRQRDELYSALKFTELDSETSLQG - ->YP_001718603.1 ORF1ab polyprotein [Bat coronavirus 1A] -MSSNLVTLAFASDSEISAEGFCDVSSAVYAFSVSAANGFTDCRFVAQGLEHCLVGIEADDYVLCVTGDVQ -LKAYIAKFSDRPLNLRGWIVRSNSNYFLETMDLVFGCGGGTSIPVDNYMCGANGKPVLPEDMWCFCDYFG -DDGDNITVNGQAYHKAWNVTRGDVPYQFQNASTILSIEYLADEKHVLPDGAVAKSAKPPKFSKNIVLSEK -YKALYDACGNPFVTNGTNVLEVVTNPIFAHGFVQCKCGSKHWTTGDWAGFKSVCCGIPGRVLCTVFGGVA -PGSVLLTSTRVDASPGATRYYHGLTLKHICNVDGVACWRVTKVQGVECFVASGSIEDCVGSTFDTCTYDN -YTSFAKAFKCGMLTGSFSDNVVASVINGTLDVGLAVLDVTTAVTKPWFVLKCGSLLESAWDALIMAIKQL -PVMASDVLKFFNNLTQVLIVVRNGVIDIIHSVPEAFKSAFEIFKDLVTGVFDLVVDHFKIANKKFKRAGD -YILFENALACLVSGKIKGVKQAGLKKLLYAKAIVGATVKVTVNRIESATVKLVECKPSNFVKKGSAVVIN -NIAFFHSDGVYRLMSDSDEVYEDIAFTAESVSTVKKPVFDCTKPVDFPDISSTDVEVLVREVRATLGKFS -RVYDKYNCVVKDGKCVVTHRYVFNAPSFVEDKAIFVDLCKDYVADVGFEAFYANAIVANNSDEFNPVYSA -FEVFKTKVECPEEFMNIDGGSIFGTFINTVNDAVNFVKSLKITVTATEVMINTVKRFKRFASALAKLYSE -FMNTVKNIISIGSIKCYHYGFVKPVLVIKDIFYRIYDAAVDTFNVAVEAGLNTVKTFSGGENPITFSRVE -VASVELEHAEYVKPEANGHVSVINGHTFYTCGDYYYPCDQNNCFSQCFKKVGGSAVTFSEKVAVKQIDPV -YKVKLVFEFEDDTISSVCKQAIGKYISFEGNDWSSFEETIHNAMNVVGEFVDLPDYFIYDEEGGHDLTNT -VMISQWPVFDPSALQLLVADLGVNCDFNGKSSIEECLTSVSDTVLCVSLEKSCDCGTFNAIMEGFALDFK -PCTDSDVCDNCGGFCTTTVLSMTGTGFVRSCDEPLMPFNVTFEGYGVYKNVCFVNDTVLPPPFDEEITPI -EEDLVVEDVITADEVVDVETTAQVEEVTVVSAIEEDIIKPEEVIDVSSDIEAVNHALSFMKPTETKFVDP -FKFDYYDHEGIRVLRQNNNNCWVASTLVQLQLSCLLDDDDTMALFKAGSVSPLVRKCYDAVGAIVGSLGD -ASHCLEVLLKDLHTMFITCDATCGCGSSNYELTGSVFRFMPTRDSFSYGACGVCGKTLKLKIRTMTGTGF -FCQDPKPFNTARAIVKPVCASIYQGSTTSGHYKTNVFGKRFCVDGSGVSSISNGHINTILLKDCNYGISA -IAEPKQEKVEQFVTPEDVGQVVKQKPKPFTIYRNIEFYQGDVSELVGLDFDFIVNAANENLKHAGGVAAA -IDKLTGNELQSLSNKYVKTNGKVKVGSSAMIRCKKYSVLNVVGPRKGKHAPDLLEKCYRTILKEQGVPLT -PLISVGIFGIPLATSFNALLNTSSGRTVRCFCYTDKECNEIKTLVASLNEEQVAATVEETVVAEEKPIAD -LETAVERPAEEKSVEAEKIATEEVKEPLVAEKVIVEVNEPVLKVAGVSYYNIEDSFAVGADNIVILTNSK -LELGKIGECIDKHSDGALKLAVSEYLSQTPNVPPGNVISMRCSGLATVVFAVVPSDGDVQYVRNVKRTIS -KLSKLKGSSVCSFSTLDMHKRLLNLFNKFCVDNIDDIKDIHDTKTTIKVSLDGRNVVDVDVAADQTIGEQ -LNACTTDNVIISDSVVTDVIDTIVNVAPEVDWDSFYGFPHAAEFHMLDHSAFAFDNDVVDGKRALVGTDN -NCWINAVCLQLQFAEVDFTSEGLKDMWNEFLVGNVAKFCHWIYWLVRANKGDAGDAENALNMLNKYVKAH -GTVTLTRETAEGCCVNEHRINSFVVNASVLRSGCNDGYCKHGNAYIARVSKVDGVSVIVNVDRPSVMSDN -LLLSGTSYTAFSGPMDSGHYRVFNPATSKMFDGANCIGGDLCNLAVTAVVIKNKVFKIQTADNNTPVKII -KKLDDASEKFFSFGDIVSKNVCNSIIWFFTMLSIIFRAFKTRDFKVFALAPERTGVILSRSLKYNLKAAQ -HVLRRKQTYVERFFKFSVIAYTLYALSFMFVRFSPANDYFCKDHVEGYSNSTFVKDEYCASTMCKVCLLG -FQELADLPHTKVVWKYVGFPIFVNWLPFLYLAFLFTFGGIFVKGLVCYFFAQYVNTLGVYFGMQEKFWPL -QIIPFDIFGDEIVVTFIVYKALMFIKHVCFGCEKPSCVACSKSARLNRVPMQTIVNGANKLFYVVANGGS -SYCHKHKFFCLNCDSYGPGNTFINETVARELSNVVKTNVQPTGESFIEVDKVSFENGSYYLYSGETFWRY -NFDVTEAKYGCKEVLKNCNVLSDFIVYNNTGTNVSQIRNACVYFSQMLCKPIKLVDATLLSTLNVDFNGA -LHSAFVQVLNDSFSKDLSSCASMTECKQALGFDVSDEEFVDAVSNAHRFNVLLSDNSFNNLLTSYAKPEE -QLSTHDVATCMRFNAKVVNHNVLIKENVPIVWLARDFQQLSEEGRKYLVKTTKAKGVTFLLTFNTNAMNV -KLPAISIVNKKGAGVSSSFLWWLCAAIITFFFCVGISEGLIATSLEGFGFKYIKDGVMHDFDKPLSCVHN -VFDNFNSWHEARFGSIPSNSLKCPIVVGTLDDVRNVPGVPSGILLVGKTLVFAIKAVFTDAGNCYGLNGL -TNAGACLFNSACTKLEGLGGTHVYCYKDGLFEGSKRYSDLVPHSNYKMEDGNFVKLPETLVNGFGINIIR -TMETTYCRVGECLKSKAGVCFGANRFFVYNDDFGSDYICGNGLFSFVKNLFNTFTMSVSVMALSGQVIFN -CAVAALAIFICFLVVKFKRMFGDLSYGVCSVIAAVTINNLSYVFTQNMLFMFVYATFYFLAVRNLNYAWI -WHASYVVAYFNLAPWFIIVWYVVAMLTGLLPSVLKLKISTNLFEGDKFVGTFENAAFGTFVIDMHSYEKL -VNSITPDKLKQHAAMFNKYKYYSGSASEADYRCACFAHLAKAMTDYASSHQDMLYSPPSISYNSTLQAGL -RKFAQPSGVIEHCIVRVSYGNMVLNGLWLGDEVICPRHVIASSINSAIDYDHEYTMMRLHNFSVSSGNLF -IGVVSAKMRGASLVIKVNQNNPHTPKHVFKTLRAGDAFNILACYDGVPSGVYGTILRHNKTIRGSFINGA -CGSPGFNINGDTVEFVYLHQLELGSGCHVGSNMEGVMYGGFDDQPSLQIEGADCLVTVNVIAFLYGAILN -GCTWFLSNERVSAEVFNGWAHDNNFTDVGSFDCFNILAAKTGVDVQRILASIQKLAKGFGGRNIIGYASL -TDEFTVSEVVKQMYGVSLQSKRVPSIFNNVTLVSVFWSMFLSELLYYTSSYWIKPDLITAVFVLLFGIAV -MLTLTIKHKVLFLYTFLIPSVVISACYNLAWDLYIRELLAKYFDYHMSIFSMDIQGCFNIVACILVNAIH -TWRFVKTGTATRLTYVLSLVVSVYNYWCCGDFLSLSMMVLLNINNNWYIGAIAYRFSVVVVNYMDPSVIR -MLGGVKVILFMYVTCGYLCCMYYGICYWFNRFFKCTMGLYEFKVSPAEFKYMVANDLRAPTGVFDSMSLS -LKLMGLGGERTIKISTVQSKLTDIKCTNVVLMGCLSSMNIEANSKKWSYCVDLHNKINLCDDAEKAMEYL -LALVTFFISEHADFNVSELVDSYFGDNSILQSVASTFVNMPSFIAYESARQSYEEAINNGSSPQLVKQLK -RAMNIAKAELDHESSVQRKLNRMAEQAAAQMYKEARAVNKKSKVISSLHTLLFGMLRKLDMSSVDNILSL -ARDGVVPLSIIPAACATKLTIVVSDFESFKRIFQLGNVQYAGVVWSLTEVKDNDGKPVHIKEITANNTAL -TWPLILNCERIVKLQNNEVIPGKLKVRPLKGEGEGGFTADGKALFNNEGGKTFMYAFIADKPDLKVVKWE -FDGGCNVIELEPPCRFAVVDAGGNNVVKYLYFVKNLNTLRRGAVLGFIGATVRLQAGKQTELVVNSSLLT -LCSFAVDPAKCYLDAVKSGVKPVNNCVKMLSNGSGTGQAVTVGVEANTNQDSYGGASVCLYCRAHVDHPS -IDGFCQFKGRYVQIPVGTVDPIRFCLENQVCKVCHCWLNNGCSCGRTSVIQSVDQAYLNRARGSSAARLE -PCNGTEPEHVVRAFDIYNKEVASIGKFVKVNCVRFKNLDKHDAFFIVKRCTKSVMEHEQSIYDILKYSGA -LAIHDFFLWKDGRAIYGNICRQDLTKYTMMDLVNALRNFDEKNCEVLKEILILTGACDSSYFDNKSWYDP -VENEDIHRVYAKLGDVIANAMLKCVALCDAMTEKGIVGVITLDNQDLNGNFYDFGDFVTSIPGVGVPVCT -SYYSYMMPAMGMANCLARECFIKSDIFGSDFKTFDLLEYDFTEHKLKLFDKYFKYWGQDYHPDCADCYDE -MCIVHCANFNTLFATTIPNTAFGPLCRKVFIDGVPVVTTAGYHFKQLGLVWNKDINTHSTKLSINELLRF -VSDPALLVASSPALVDQRTVCFSVAALGTGVTKQTLKPGHFNKEFYDFLREHGFFDEGSELTLKHFFFAQ -KGDAAIRDFDFYRYNRPTVLDICQARVAYHVVKKYFEIYEGGCIAARDVVVTNLNKSAGYPLNKFGKAGL -YYEALSYEEQDALYAVTKRNILPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQFHQKHLKSIVNTRN -ATVVIGTTKFYGGWDNMLRNLIDGVDNACLMGWDYPKCDRALPNMIRMISAMILGSKHENCCTNSDRYYR -LCNELAQVLTEVVYSNGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANINRILGVNSNTCNNLTVKELQ -RSLYDNCYRTSTVDPAFVDTFYGYLRKHFSMMILSDDGVVCYNKEYASLGYVADIGAFKATLYYQNNVFM -STAKCWVEEDLSKGPHEFCSQHTLQIVDGDGTYYLPYPDPSRILSAGVFVDDVVKTDAVILLERYVSLAI -DAYPLSKHPNPEYRKVFYVLLDWVKHLNNTLNEGVLESFSVTLLEDSSSKFWDEGFYASLYEKSSVLQAS -GLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVVSTPHKFILSITPYMCNTSGCTVNDVTKLYLGGLSYYC -IDHKPTLAFPLCSNGNIFGLYKNSATGSPDVEVFNTLATSEWNDAKDYRLANEVKDSLRLFAAETVKARE -ESVKSSYAAATLKEVIGPRESLLSWEVGKVKPPLNRNSVFTCYQITKDSKFQVGEYTFEKLDYDNDTVSY -RSSTTYKLAPGMIFVLTSHNVPPLRAPTIANQERYASIYKLRPVFNISDDYANLIPYYQMIGKQMITTIQ -GPPGSGKSHCVIGLGLYYPNARIVFTACSHAAVDSLCVKASKNYVVDHCSRIIPARARVECYSGFKANNN -SAQYIFSTVNALPECNADIVVVDEVSMCTNYDLSVINQRVSYRHIVYVGDPQQLPAPRTMITRGVLEPKD -YNVVTQRMCAVGPDVFLHKCYRCPAEIVNTVSELVYENKFKPVHDDSKQCFKIYCKGSVQIDNGSSINRR -QLEVVKMFLAKNPRWSKAVFITPYNSQNYVASRVLGLQIQTVDSSQGSEYDYVIYTQTSDTAHACNINRF -NVAITRAKKGIFCVMCDKALYDSLKFFEIQLTDLQSGDLCGLFKDCSRVEEPLPPAYAPTYVSLSDRFKT -SGELAVNVGAKGPCTYEHVISYMGFRFDLNVPGYHTLFCTRDFAMRNVRGWLGMDVEGAHVCGSNVGTNV -PLQVGFSNGVDFVVQPEGCVMNNVNDTITSVKAKAPPGEQFAHLIQLMRKGQPWSVVRKRIVQMCCDYIS -TSSDVIIFVLWAGGLELTTMRYFVKVGPRIDCHCSKVATCYNSVEHQYYCFKHAMGCDYIYNPYVIDIQQ -WGYTGSLSSNHHQHCNVHRNEHVASGDAIMTRCLAIYDCFVKNVDWSITYPFIGNEAAINKGGRVVQSHI -VKAAIKVYNPKVIHDIGNPKGIRCAVTNSTGYSYDKQPLNSNVKTLEYDYLIHGQMDGLCLFWNCNVDMY -PEFSVVCRFDTRCKSTFNLEGVNGGSLYVNNHAFHTPAFDKRAFAKLKQAPFFFYDDGDCDSVQGSVNYV -PLRASNCITRCNIGGAVCNKHANMYYSYVNAYNTYVQAGFTIWVPNSFDTYNLWQTLVTPQLQSLENVAF -NVVKHGSFVGVKGDLPVAIVSDKVFVRDGVVDNVIFTNKTTLPTNIAFELYAKRKIGNSPSLTVLRNLGV -TCTYKFVLWDYEADRPFTNYTKDVCAFTDFDADVCTCYDNSVEGSFERFSLCRNGVLISTTAVKKLSAIK -LNYGYLNGFPITSHDNKPVTWYYYVRKDGVFVDQCDGIFTQGRNVSIFEPRSEMESDFLNLDMGLFISKY -GLEDYAFEHIVFGDVSKNTLGGLHLLISQVRLSKMGVLKVEDFVSSADSTLKSCSVTYVNDPSSKMVCTY -MDILLDDFVNVLKSLDLSVVSKVHEVIVDCKVYRWMLWCKDYKVQTFYPQLQSAEWKCGYSMPSLYKVQR -MCLEPCNLYNYGASIKLPDGIMFNVVKYTQLCQYLNSTTMCIPHSMRVLHLGAGSDKGVAPGTSVLRRWL -PTDAVIVDNDVNDYVSDADISVTGDCTTLYLQDKFDLVISDMYDGRIKQMDGENVSKDGFFVYINGVITE -KLALGGTVAIKITEYSWNKRLYELIQKFSYWTMFCTSVNTSSSEASLIGVNYLGDFATDPIIDGNVLHAN -YIFWRNSTVMAMSYNSVLDLAKFQCRHKATVVIALKDNDISDVILGLIKNGKLFIRKNGVVCSYGNHLVS -TK - ->NP_839966.1 putative coronavirus nsp10 (MB, NTPase/HEL) [Porcine epidemic diarrhea virus] -SAGLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVIGTTHKFILAITPYVCCASDCGVNDVTKLYLGGLSY -WCHEHKPRLAFPLCSAGNVFGLYKNSATGSPDVEDFNRIATSDWTDVSDYRLANDVKDSLRLFAAETIKA -KEESVKSSYACATLHEVVGPKELLLKWEVGRPKPPLNRNSVFTCYHITKNTKFQIGEFVFEKAEYDNDAV -TYKTTATTKLVPGMVFVLTSHNVQPLRAPTIANQERYSTIHKLHPAFNIPEAYSSLVPYYQLIGKQKITT -IQGPPGSGKSHCVIGLGLYYPGARIVFTACSHAAVDSLCVKASTAYSNDKCSRIIPQRARVECYDGFKSN -NTSAQYLFSTVNALPECNADIVVVDEVSMCTNYDLSVINQRISYRHVVYVGDPQQLPAPRVMISRGTLEP -KDYNVVTQRMCALKPDVFLHKCYRCPAEIVRTVSEMVYENQFIPVHPDSKQCFKIFCKGNVQVDNGSSIN -RRQLDVVRMFLAKNPRWSKAVFISPYNSQNYVASRLLGLQIQTVDSSQGSEYDYVIYAQTSDTAHASNVN -RFNVAITRAKKGILCIMCDRSLFDLLKFFELKLSDLQ - ->NP_740630.1 coronavirus nsp10 [Infectious bronchitis virus] -SCGVCVVCNSQTILRCGNCIRKPFLCCKCCYDHVMHTDHKNVLSINPYICSQLGCGEADVTKLYLGGMSY -FCGNHKPKLSIPLVSNGTVFGIYRANCAGSENVDDFNQLATTNWSIVEPYILANRCSDSLRRFAAETVKA -TEELHKQQFASAEVREVFSDRELILSWEPGKTRPPLNRNYVFTGYHFTRTSKVQLGDFTFEKGEGKDVVY -YKATSTAKLSVGDIFVLTSHNVVSLVAPTLCPQQTFSRFVNLRPNVMVPECFVNNIPLYHLVGKQKRTTV -QGPPGSGKSHFAIGLAVYFSSARVVFTACSHAAVDALCEKAFKFLKVDDCTRIVPQRTTVDCFSKFKAND -TGKKYIFSTINALPEVSCDILLVDEVSMLTNYELSFINGKINYQYVVYVGDPAQLPAPRTLLNGSLSPKD -YNVVTNLMVCVKPDIFLAKCYRCPKEIVDTVSTLVYDGKFIANNPESRECFKVIVNNGNSDVGHESGSAY -NTTQLEFVKDFVCRNKQWREAIFISPYNAMNQRAYRMLGLNVQTVDSSQGSEYDYVIFCVTADSQHALNI -NRFNVALTRAKRGILVVMRQRDELYSALKFTELDSETSLQ - ->sp|Q9WQ76.1|R1AB_CVTMI RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12 -FNKFGKARLYYEMSLEEQDQLFESTKKNVLPTITQMNLKYAISAKNRARTVAGVSILSTMTNRQFHQKIL -KSIVNTRNAPVVIGTTKFYGGWDNMLRNLIQGVEDPILMGWDYPKCDRAMPNLLRITASLVLARKHTNCC -TWSERIYRLYNECAQVLSETVLATGGIYVKPGGTSSGDATTAYANSVFNIIQATSANVARLLSVITRDIV -YDDIKSLQYELYQQVYRRVNFDPAFVEKFYSYLCKNFSLMIFADDGVVCYNNTLAKQGLVADISGFREIL -YYQNNVYMADSKCWVEPDLEKGPHEF - ->sp|Q9WQ77.1|R1AB_CVRSD RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12 -FNKFGKARLYYEALSFEEQDEIYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKC -LKSIAATRGVPVVIGTTKFYGGWDDMLRRLIKDVDSPVLMGWDYPKCDRAMPNILRIVSSLVLARKHDSC -CSHTDRFYRLANECAQVLSEIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVSANVCSLMACNGHKI -EDLSIRELQKRLYSNVYRADHVDPAFVSEYYEFLNKHFSMMILSDDGVVCYNSEFASKGYIANISAFQQV -LYYQNNVFMSEAKCWVETDIEKGPHEF - ->AIA62270.1 ORF1ab polyprotein [Alphacoronavirus BtMs-AlphaCoV/GS2013] -MASNRISLAFANDSEISALGFGSVEEAVSFYSEAAVGGFANCRFVSTGLQDIIEGVLEDDYVLVITGVHQ -LVARIGQFGDQPSNLRGWLLFSNSNYFLGELDLVFGGRGGNTVYVDNYMCGSNGVPVLPEDQWEFVDYFG -ELTEVSIGDTTYIKAWNVDRVEGPYKSQTLLSINAISYCGEVIHALADGSTMSYATAVKKNSKTVVNKTY -QKLYDNIGVPFVTNGKVLTEIIPKPVFNHGLVKCHCGKVSWTVGDWSCYKSTCCGTVCKPIAIVNGDVNP -GDVVITSKQVATNGVKYYNGMVLKYVDTIEDVHLWRVIKLQSVKGFVASPNYDPAEYVFLADDACSPTSR -LVISASYKLGLLNGNFTDDVRVAARDGTIVVGNNVLSLIDVVLTKPWFVRKLEELLGEAWDTFVTSLKSL -VFTSAQLVELTKALSKAVLNVTKEGIEFAAEVPSCFESCFSVFKEFVTGIFDFTVNSVKIAGRSFKSIGS -YVLFDNAIIKFVAVKVKGLHQAGIKSTEYATSIIGKTTKVNSKRTESADVNLVLVNDKQPLLKDGVTKII -GGKSFFYSGGVYRLMSDNNSVLDEPVFQEKVSVQFECEQPKGFTEPDCDNLPSVVKTTVEQLVKFNTPYR -NYDVSVVNNKCVVKCTYTFRAPAYIADTENFVKACEVCVDKEGFDEFYVQTHAVDSLVAFKPAFAEFEQF -LEPVSCPELFLEIDGGNIWNKFIKTVTDAVEFVKSIKVSFDLNGVVVKATKRFKKFAATLVNLYREFVAT -VTKTIKIGSQAFTYYAFEVPKVLLSGALHSVRTVKAKELNLSVQDVIVEFNVFDGATVEVTPNRVEKEEI -SLEEVEFIPPEGNGSIAVVDGYSFYSCGDLYYPSNENAVVPLCFKRSGGGKLQFSDVVEVKEIDPVYKVK -LDYEFEDENIAAVCKKVIGTKIKYNGADWEGFCEQIHSVMTVVGEHMELPKYYIYDEEGGNDLNKVVMIS -QWSCDGESNEQKEEEQQIQNGSTDVSEVESEPAPPEVEVPQKPQYQQEQKPAVDQQAEVEAALSYMTPTA -PKPTENPFAFEFYDLNGVKVLRQCDNNCWVASALVQLQLLDIYNDYAYDFFKIGRVAPMVKRCYECTGNI -RGSAGDTSHCLEKILSGLHTMQLRFSVKCKCGTYAEEMSGCVFRFMPTAKAFPYGGCASCGKTRMYTITT -MKGTGVFCQEPTPLNISELLVEPLCASVFKSGHYKTNIYKHNVCVDGNGRGQIKWNTVNTVCFKDVEYNK -VEEIQPFATYRNISFYQGDIKEVKSVNHDFIVNAANEDLNHGGGIAGALNKFTKGVLQKVSNAHITANGK -LKVGSGVMLECDGTNIFNVVGPRKGKHAPELLTRAYDAIFSNPGVPLTPLISVGIFKVPIEDSLSALFAS -AGERVVKCFCYTSAEKSAIIKYIDGVTNVVVDVKEPEPAPPEVKQILPFRKEGKFDFYEIEAADLALLNP -DRLVIFTDTDFKLCDFAIAFNGVVEGGLTSCIEAYRKENNVVPAGNIVTFKCEGMVAVSFAVLPIAGAAS -YDKNVTRTINKITKLKGNVFSTVPPTSVLKNMLKCCNCSFVVSQDTKASVTECFDVQEVRIKVSYNDRDV -QDVVVKGDKVIDQQIGPCSTNNFDLTGVKPDDAINSVVNVAPEVDWISHYGFPRADVWHTLDHSAYDYES -KEQDGIRVLKFSDNNCWVNATCIQLQIAKTTFKNEGIQAMWDEFLVGKVAKFVHWLYWYQNSSKGDPGDV -EYTLNAISRYMANKSTVVISRVATTECCNDTKTIHTPVVNVSLLRSGVEDGVCQHGNKYYSRLVSVKGTV -IVTSTGKPNVYPRNALITGDSYTLYSGDVKDGHYTVFSVKGDKIFDGENVSSNNDLSLYPVTSVVVSNKV -IEFEKPAKPKIAEVMNKLDNASEKFFSFGDVVSRNFAVVFVWLFSMIGLVFKAFKTKDIKVFALAPERTG -IILGRSIKYNFKATKQLLVSKIKWVKLFGKILLAMFAIYATSFMLVRFGPLNESLCTDYVKNYSESSFNK -AAYCDGITCKTCLYGYQELSDFPHTSTVWEHVKDPLFKKVMPMFYMAFLLIFGGTITRSCMLYFLAQYVN -NIGVFLGVQDNVWALQFVPFDVFGDEIVVIFLVVKILLFAKHIVFGCDKPSCVACTKSARLTRVPMQTIV -NGSNKSFYVAANGGKKFCKKHNFFCVNCDSYGPGNTFINDVVAAEISNVVKTSVAPTAPATIDVTKVEFQ -NGFYYLYGPDKVFWRYNFDITESKYSCKDVLKSCNILSDFIVYNNVGSNVAQVRNACVYFSQLLCKPIKL -VDSTLLSTLNVDFNGALHSAFVDVLNESFSKDLSSCTTMSDCKTALDIEADDEDFVNCVSNAHKFNVLLT -DLSFNNFVTSYAKPEEKLSTHDIAACMRGGSKVVNHNVLVKENVPIVWLAKDFNMLSEEGRKYVVKTAKI -KGINFMLTFNENRMQTTIPTVSIASKKGSGLKQCYNFIWWSCAFILFMFFAIGLLDFSTTVTSASEYDFK -YIIGGKLQSFEKPLDCVHNVFDNFNTWFQAKFGFVPRNSRSCPIVVGVNDEARVIPGVASGVSLVDKTLV -FATKSVFANSATCYDITGPAPAERCVFNSACTTLNGLGGLATYCYKNGLVDGAKLYTDLQPNSHYSMADG -GYVKLPDVLASGFGFRTVKTQETTYCRVGQCVNSKAGVCFGANRFLVYNEDSGTDFVCGTGLMSLMYNLL -SVFSSSFSVMAMSGQIVLNCAVALFAIFACMLVTKFKRMFGDLSLGVCSVICVAIVNNLSYIVTQNAVTM -VAYAVLYFLCTRTFRYSWIYHTGYCIAYFTLAPWWLIFMYACSALIGVIPNLLKLKVTTQLFDGDKFVGN -FENAAFGTFVMDMHSYQRLVNSIAPEKLKQYAASYNKYKYYSGSASEADYRLACYAHLAKAMLDYSSNHN -DILYTPPTISYNSTLQSGLRKMAQPSGVVERCVVRVCYGNMVLNGLWLGDTVICPRHIIASSTSSIIDYE -HQYSVMRLHNFSVSVGNVFLGVVGVTMKGTNLHIKVNQTNVHTPEHTFKTLKQGDSFNILACYDGVPSGV -YGVTLRTNNTIRGSFINGACGSPGYNINNGKVEFCYLHQLELGSGCHVGSNLDGVMYGGFEDQPTLQVEG -ANNLVTINVIAFLYGALLNGITWFLSSDRVTVESFNEWASVNGYTACGSTDCFAMLAAKTNVDVQRILAA -VQRLHKGFGGKNILGFTTLTDEFTVTEVIKQMYGVSLQSGKVSSMFYNVFVIGAFLTMFWSELLHYTAFF -WISPGFITPMFFMLLMVSVFFMCALKHKIMFLYTFLIPAVIVTSCYNFAWDWYITSVLATTFDYHVSLLS -LDIQGVINVSACVFVTLLHTFRFCKSSVNYSSVITYCCSLLFVAYGFYMGSDALSLVMMVLFNFTSNWYV -GAIVYKIAFYTRDMTLLPMLVGNVKAVMLCYIVLGYFCCVYYGILYWINRFFKLTLGVYDFKVSANEFKY -MVANGLHAPRGVFDAVALSVKLIGIGGEKTIKVSTVQSKLTDIKCTNVVLLGCLSSMNIASHTKEWSYCV -ELHNKINLCDDPEKAQEMLLALLAFFLSKQKDFGVDDLLESYFSSGSILQSVASTFVNMPSFIAYENARQ -AYEDAINSGAAQQLVKQLKRAMNIAKSEFDHEASVQKKISRMAEQAAAQMYKEARAVNRKSKVISAMHSL -LFGMLRRLDMSSVDTILNLAKDGVVPLSIIPAASATKLNVVSADLESYLKICKEGCVHYAGVIWNVIDIR -DNDGKLVHMKEVTQENVENLAWPLFLNCERIVKLQNNEIMPGKLKQRAVKAEGDGFSADGKALYNNESGK -TFMYAFVADKPDLKYVKWEFDGGCNTIELEPPCKFAVESTNGTVIKYLYFVRNLNTLRRGAVLGFIGATV -RLQAGKQTELATNSPLLTMCAFSVDPAKTYLDAVKRGVKPVGNCIKMLSNGAGTGQAITVGVESNTNQDS -YGGASVCLYCRAHVEHPSMDGRCRLKGKYVQVPLGTIDPIRFCLENDTCKVCMCWLNNGCTCDRVSSVQA -FDAGYLNRARGSSAAQLEPCNGTDTDHCVRAFDIYNKEVACMGKFLKVNCVRFKNPEYSDAFFVIKKCPK -SVMEHEQSIYDILKDSGAVASHDFFTWKDGRAIYGNISRQNLTKYTMMDLVYALRNFDEKNCETLKEILV -LTGACEESYFESKVWFDPVENEDIHRVYAILGKIVANSMIKCVRLCDAMVENGIVGVITLDNQDLNGNFY -DFGDFARTLPGMGVPLCTSYYSYMMPVMGMTNCLAGECFMKSDIFGDDFKTYDLLHYDFSEHKQALFEKY -FKHWGQDYHPNCVDCHDEMCLIHCANFNTLFSTTIPATAFGPLCRKVFVDGVPLVATAGYHFKQLGIVWN -KDLNTHSSRLSINELLQFVTDPSLLVASSPALVDQRTICFSVAALSTGMTKQTVEPGHFNKEFYDFLRGQ -GFFEEGSELTLKHFFFAQKGDAAIKDFDYYRYNRPTVLDICQARVTYKLVSRYFEIYEGGCITAKEVVVT -NLNKSAGYPLNKFGKAGLYYDSLSYEEQDALYAVTKRNVLPTMTQLNLKYAISGKERARTVGGVSLLSTM -TTRQYHQKHLKSIVNTRNASVVIGTTKFYGGWDNMLKTLIEGVEHPCLMGWDYPKCDRALPNMIRMISAM -ILGSKHTTCCNTEERYYRLCNELAQVLTEVVYSNGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANINR -LLSIDSNVCNNVGVKALQRELYDNCYRSSTVDDSFVDKYYGYLRKHFSMMILSDDGVVCYNKDYADLGYV -ADIGAFKATLYYQNNVFMSTSKCWVENDISKGPHEFCSQHTMQIVDEAGKYYLPYPDPSRILSAGVFVDD -VVKTDAVILLERYVSLAIDAYPLTKHSNPEYRKVFYALLDWVKHLHNTLNQGVLESFSVTLLEDASSKFW -DESFYASMYEKSTILQAAGLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVISTDHKFILAITPYVCSASG -CNVNDVTKLYLGGLSYYCIDHKPSLSFPLCAAGNVFGLYKNSATGSPDVEVFNTLATSDWTDVRDYKLAN -ETKDSLRLFAAETVKAKEESVKSSYACATLKEVIGPKELLLSWEVGKVKPPLNRNSVFTCFQITKDSKFQ -IGEFVFEKLDYGSDAVCYKSTATNKLVPGMIFVLTSHNVQPLRAPTIANQERYSSIYKLYPSFNINEAYS -SLVPYYQMIGKQRITTIQGPPGSGKSHCVIGLGLYYPGARIMFAACSHAAVDSLCVKAATAYSLERCTRI -IPARARVECFNGFKPNNTSAQYLFSTINALPECNVDIVVIDEVSMCTNYDLSVVNQRVSYKHIVYVGDPQ -QLPAPRTMITRGVLEPKDYNVVTQRMCAVGPDVFLHKCYRCPAEIVRTVSEMVYDNKFLPVHPDSKQCFK -LMCKGNVQVDNGSSINRKQLDVVKLFLAKNPSWSKAVFISPYNSQNYVASRALGLQIQTVDSSQGSEYDY -VIYTQTSDTAHACNVNRFNVAITRAKKGILCVMCDKELFDALKFFEIKLTDLQVGEGCGLFKDCSRKPDL -LPPSHATTFMSLADNFKTSGDLAVQIGSNGVCKYEHVISFMGFRFDSSIPGYHSLFCTRDFAMRHVRGWI -GMDVEGAHVVGDNVGTNVPLQVGFSNGVDFVVQPEGCISNENGNIIAPVRARAPPGEQFAHLIPLMRKGQ -PWSVVRKRIVQMCCDYLSGLSDVLVFVLWAGGLELTTMRYFVKVGPVKHCHCGKEATCYNSSVHAFCCFK -HALGSDYLYNPYVIDIQQWGYTGSLGHNHHEVCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSITYPF -ISNERAINSGGRMVQRHLMHAVLKLYKPEAIHDIGNPKGIRCAVSDAKWFCYDKQPLNSNVKLFEYDYIT -HGQLNGLCLFWNCNVDMYPEFSVVCRFDTRCRSNLNLEGCNGGSLYVNKHAFHTPAFDKRAFAKLKPAPF -FYYDDGPCERVQDEVNYVPLRASNCITRCNIGGAVCSAHAAKYHDYVGAYNSFVQAGFTLWVPNSFDLFN -LWQTFAETNLQGLENIAYNVIKKGSFVGEAGELPVAVVNDRVLVRDGTVDNLVFTNKTSLPTNVAFELYA -KRKVGLTPPLCVLKNLGVVSTDRFVLWDYEAERPFTSFTKNVCSYTDFNEEVNTCYDNAIPGSFERFTVA -KNGVLISNVAIKKLVGMKLSYGFLNGVPVSTCENKPVVWYIYVRKDGQFVDQFDGYYTQGRNVSEFLPRS -DMEKDFLEMDMSVFISKYGLEDYAFEHVVYGDVSKTTLGGLHLLISQVRLQKLGVLKIEDFTSSDDGTLK -CCTVTFANDPSSKMVCTYMDLLLDDFVLILKNLDLSVVSKVQEVIVDCKMWRWMLWCKDHKVQTFYPQLQ -SAEWKCGYSMPSLYKIQRMCLEPCNLYNYGTGLKLPDGIMFNVVKYTQLCQYLNSTSMCVPHNMRVLHLG -AGSDKGVAPGTAVLKRWLPSDAIVVDNDVNDYVSDADYSVTGDCSTVYLEDKFDLVISDMYDGRVKQNDG -ENVSKEGFFTYINGVINEKIALGATVAIKVTEYSWNKRLYELIQRFEFWTLFCTSVNTSSSEAFLIGVNY -LGNFANNPILDGNTMHANYIFWRNSTIMSMSYNSVLDLAKFNCRHKATVIVSLKDKDINDVVLGLIRNGK -LLIRNNGIYMGFSNHLVSTK ->APD51489.1 ORF1ab protein [NL63-related bat coronavirus] -MAYNQLTLAVASDSEISGHGCSSLSEAVHSYSVAASSGFQDCRFVSFGLQDLVNGVKDDDFVLCLTGDDV -LRATIGPFGDRPCNLRGWLIFSNSNYILQDFDVSFGGFGGNKIPVDQYMCGYDGKPVIPAGSWEFKNYFE -DSNEITVNGVVYYLAWQVERKPLPHANQNLLAISEILYLSKEPHTLLPGSVLTTAAPPKRSAKVVLSDEW -KPLYDXCGSPFVNNGSTILEVIPKPVFLNAFVNCKCGNSCWXVGDWTGYKSACCGENAQRVCVVPGEVVA -GDIVVTSKAAGEGVKYYNGMTLKFVKECGNVHLWRVIAVQSSDGFVASSTYDEDAHYRKLDPFCFDVNTQ -MAKDLRLAFLGNQPSDAVKNAVCSGVIDISAGYFGLYDDIINSHTPWFVRKLSGLVDVAWDKFVTALKSL -SVTSAQLVKLLKAIGSAAIAVTNGVIRVVADVPAMFADAFELLKSAVSTIFDVASEVVTIGGQQFRAIGS -YILLNNAIVKVVTEKVKGVREHSLRTAKYANAVIGCTVDVTPTHIEPAVVNLKLVDQAPPIDKKGRIKVI -GGQAFYFCNGVYRLMATPTAVLQEPVFAGEASYNIKFKESPPSGFTQRVVTASTSADAVLEVESLVSHYR -TPFLSFVVSVEKGEIAVKREYMLCTPFYMKPVADKWEAFCVRHCLEPWFADDYGVMCNAVGVDTCKPSHA -KSQEFLGNLCPKCPAVLFQIDGGKIWDTVVNSCKALFDFVKSAQFTLTPDGLLGSCAKKFRQFMDLLLKL -YNEFLGLTCKAVKTAGVTFKFYAVEQPYVVFNGVLSRLARKKPADVDCSFDSRVGEFWAFIDATYAIDNP -KVADVEPLELSEVDFVPPTNGGRFIISDDFLWYNKDDLYYPAGLSGVLPKAFTKNAGGKITFSDEVVVHD -IEPTHKVKLIYEFEDDKIADICKKTIGKRIVHTGDWDALCNTLRTALDVLSKHVTLPECFIYDEEGGNDY -TKPVMISQWPAAASSDDESVEDVCEDPVDDSADADDSMKGEPQIQDPEPEIIVDEVQEVNDALNFIVPTE -SSYKPDPFAYPYNDFNGLKVLKQSHNNCWVNATCIQLQLTGLLSDEPAVQLFKAGRVAPLVKQCYEALST -IKGALGDVAECMDKLLKDSFTMFITCDTVCGCKVSRAEFAGSFFRFMPVATPFPYGGCSNCRKAIMHTIR -SIKGTGVFSQAKPAPFDVKDSIVPVKCAAVYLGSTEAGHYKVNIFDKKVSVDGMGVTPINNNNVNTLCVV -DVDYTSTAETPVLEVDMKPFAISGDVQFFQGEVGKVLTSVRHDFVVNAANEKLAHGGGIAKALNDFTGGE -LQVLSDRYIKKNGPVKVGAGAMIDCKAARVLNVVGPRAGKHEVSLLTKAYKTILRTPGFGLTPILSCGIF -GVKLETSLNVLLDVITGKPLSVVVYSDSEVEAVKTVLASRKKSAVSEEVVPPVDKLAAEEVAPVSEPADV -VDDVVVQKSSVDIQPFRVEGNFEFYNCNITDLTGPEPFVLFTSPTLKLDKHGEDLDKQLNGVLSSAISDY -LSKNKAVPAGNMIKLVVENTTVYMSVVPNELDPAFSKNVERCAHKLNRLKLSFVTNLPPSCALRGLLSSL -TVRIKFVTHTIEVVDECFKPSVVTVKVTEDGVNVKDVVVDISQSYQSQIGVVSDGKDVLSGTLPSVQDEG -QVLVVAPNVDWQTYYGFPEAEAFSTFDCKPYEFENDVVNGMRVMKTSDNNCWVNATCIALQYLKPSFKSE -GLRALWNKFVLGDVGQFVRFVYHIAGANKGDKGDAEDTLFKLSKFIESDAVTVLEQYSACVECADTTVTV -SGAVVCASVLRDGCDAGHCPHRCKVRARVKSVKGRAVVTNVEVPTVLPANRLLKGVAYVAFSGPVDSGHY -TVFDSRGKSMYDGDRYLAHDLSTLAVSSVVMTGGYTAHNVTQSVVVKPEPTVMERIDKGAETFFKWGDFL -MHNFVLFFTWLFTMCSLVVTTLRKKDIKIMAMAPERTGVVLRKSLKYNVRASITVVKQKWWLLSMFFKLL -LLLYTVYAAVFMSVRFGPFNSHLCDGVVSGYEESSFDKDLYCGNSPMCKMCLFGYQELNDFEHTSVIWRH -LTDPLFSSFKPVIVLGLMLIFGNMYLRFSLLYFVAQYFNKLGVFFGFQQTQWYLNVLPFDLVCDELIVSV -IVYKALMFLRHVLLGCTDPNCVACSKSARLRRVPLQTIVNGTMRSFYVSANGGAKLCKKHNFFCVNCDEF -GPGNTFINGEIARELGNVTKTSVQPTAPAYVIIDKVDFADGFYRLYAGETFWRYDFDITEPKYGCKEVLK -NCNTLVDFIVFNNSGTNVTQVKNLCVYLSQLLCKPIKLVDSELLATLSVDFNGVLHKAYVEVLCNSFAKE -LNANMSMAECKAALGVTVSDEDFVNAVANAHRHDILLSEASFNNFVTSYAKPEEKLSAYDVACCMRAGAK -VVNHNVLIKESLSIVWSARDFNTLSQEGRKYIVKTTKAKGLTFLLTFNDNAAITQVPATSIVSKQGAGFK -KTYSFIWYTCLFIVALFSLISLWDFTTYVDSFPGYDFKYIENGQLKVFDKPLGCVRNVFNDFNQWHQAKF -GSVPTNSDKCPIVVGVSERTNVVPGIPTNVYLVGKTLVFTVQAAFSDTGVCYDLYGPTTPERCIFNSACT -KLEGLGGVGVYCHEANLVDGAKLYSDLQPNTYYRYDKDNYVRLPETLMRGFGFKTIRTLATTYCRVGECI -DSMRGVCFGLDKWFVYDGSTDGHVCGDGLLDLLLNVLSIFSASFSVAAMSGQIVFNFCIAALVVFMCFLV -TKFKRVFGDMSLGVCTVVCATVVNNLSYVVTQNVLFMIVYALLYFAVTRTFRYAWIWYIAYVIAYIFFAP -WWLYLWFVIVAIMDLLPNLFKLKVSTHLFDGDKFVGTFENAAAGTFVLDMHSYEKLVNSIAPEKLKSYAA -SYNKYKYYSGGANEADYRCACYAHLAKAMMDYAQTHNDMLYSPPTISYNSTLQAGLRKMAQPSGRIESCV -VRVCYGNTVLNGIWLGDTVYCPRHIIAPCTTSLIDYDHAYSIMRLHNFSISVGNVFLGVISAVMQGSVLR -IKVSQNNINTPPHSFRTLKPGESFNILACYDGVASGVFGVNLRTNYTIRGSFINGACGSPGYNVKSDGTV -EFVYLHQIELGSGCHVGSNFEGAVYGGFEDQPTLQVESASTLVTDNLLAFLYAALLNGCNWWLKGDVCTV -DSYNEWAHANGFTAVNSVDCYSILAAKTGVSVERLLSAIQRLANGFGGKNILGYTSLCDEFTLSEVIKQM -YGVSLQSGKTTSVFKTIALFALFFIMFWSELFLYTTSFWFNPVVITPVLGLLVLFSLILTGFVKHKMLFL -QAFLLPVVMAMAIYNCAWDVHVNTLLATSFDYHVSFLQMDIQGLLNVVICLLVTFLHTWRFAKANFTSWA -TYVCSLLAVLYTYFYSGEVLSLLIMFLCAVTNEWYIGAMAYRLARLLITLLPVSLFDYLGETKVLLSVYL -ILGYLCCVYWGILYWVNRFFKCTMGIYDFKVSPAEFKYMVANGLSAPQGPFDAFVLSFKLLGIGGPRTIK -ISTVQSKLTDIKCTNVVLLGCLSNMNIAANSSEWAYCVNLHNKINLCNDPEQAQEMLLALLAFFLSKHSD -FGVDELVDSYFENSSTLQSVASSFVNMPSYIAYENARQAYEDAVNNGSNPQLVKQLKRAMNIAKSEFDHE -LSVQKKIGRMAEQAAAQMYKEARAVNRKAKVISAMHAMLFGMLRRLDMSSVENVLSLARDGVVPLSIIPA -ASASRLTIVSPDFDSYVKIVFEGCVHYAGVVWNIVDVKDNDGKPVHIKEITKDNVEAIVWPIILNCERVV -KLQNNEIMPGKLKQKVARAEGDNGLSAEGKALYNTESGKTFMYAFISSKADLKCVKWEHEGGCATVELDA -PCRFMVETPNGPQVKYLYFVKNLNTLRRGAVLGFIGATIRLQAGKQTELAANSGLLTVCAFAVDPAAAYL -DAVKQGAKPVGNCVKMLTNGAGNGQAVTTTVDANSNQDSYGGASVCLYCRAHVPHNTMDGYCKYKGKYVQ -IPMGCMDPIRFCLENEVCKVCGCWLNNGCVCDRTTMQSFDHSYLNRARGSSAARLEPCNGTDVDVCVRAF -DIYNKGVSFLGKCLKVNCARFKNADLKDGFFVIKRCPKSVMDHEQSMYELLKGCGSLASHDFFTWREGRS -VYGNVSRQNLTKYTMMDLVFALRNFDEKDCEVLKEILVLTGCCDESYFDNKDWYDPVENEDIHRVYATLG -KIVSNAMLKCVALCDEMVLRGVVGVLTLDNQDLNGNFYDFGDFIVSLPGMGVPCCTSYYSYMMPIMGLTN -CLASECFIKSDIFGQDFKTFDLLEYDFTEHKERLFQKYFKYWGQDYHPNCSDCYDDMCVVHCANFNTLFA -TTIPNTAFGPLCRKVFIDGVPLVATAGYHFKQLGLVWNKDVNTHSTRLSINELLQFVTDPALIIASSPAL -VDQRTVCFSVAALSTGMTNQTVKPGHFNQEFYDFLRSQGFFEEGSELTLKHFFFAQKGDAAVRDFDYYRY -NRPTMLDICQARVTYKVVQRYFDVYEGGCITARDVVVTNLNKSAGWPLNKFGKAGLYYESLSYEEQDALF -ALTKRNVLPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTRNASVVIGTTKFYGGW -DNMLKNLIEGVENPRLMGWDYPKCDRALPNMIRMISAMILGSKHVTCCSSEDKFYRLSNELAQVLTEVVY -SNGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANINRLLGVPSDSCNNIGVKELQRRLYDNCYRSTNVD -ESFVDDFYGYLRKHFSMMILSDDGVVCYNKDYAELGYIADIGAFKATLYYQNNVFMSTSKCWVEDDLTKG -PHEFCSQHTMQIVDKDGTYYLPYPDPSRILSAGVFVDDIVKTDAVVLLERYVSLAIDAYPLSKHSNPEYR -KVFYVLLDWVRHLNKTLNAGILESFSVTLLDNQDDKFWNEEFYASMYEKSTVLQAAGLCVVCGSQTVLRC -GDCLRRPMLCTKCAYDHVFGTDHRFILAITPYVCNASGCGVNDVTKLYLGGLNYYCVDHKPQLSFPLCSA -GNIFGLYKNSATGSLDVEVFNKLATSDWTDVRDYKLANDAKDSLRLFAAETIKAKEESVKSSYAFATLKE -IIGPKELLLSWESGKAKPPLNRNSVFTCFQISKDSKFQVGEFTFEKLDYGSDTVSYRTTATTKLVPGMIF -VLTSHNVQPLRAPTIANQERYSTIYKLHPTFNISEAYANLVPYYQLIGRQRITTIQGPPGSGKSHCSIGL -GLYYPGARIVFTACAHAAVDSLCAKAATAYSVDKCTRIIPARARVECYSGFKPNNTSAQYIFSTVNALPE -CNADIVVVDEVSMCTNYDLSVINQRLAYKHIVYVGDPQQLPAPRVMITKGVMEPADYNVVTQRMCAIGPD -IFLHKCYRCPAEIVSTVSELVYENKFVPVKPDSKQCFKIFVKGNVQVDNGSSINRKQLDVVKQFLLKNPS -WNKAVFISPYNSQNYVASRLLGLQIQTVDSSQGSEYDYVIYTQTSDTAHACNVNRFNVAITRAKKGIFCV -MCDKELYDALKFFEIKPTDLQSEQTCGLFKDCARDPVDLPPAYAHTFLSLSDRFKTNNDLAVQIGANGTC -TYEHVMSFMGFRFDVNVPGAHNLFCTRDFAIRNVRGWLGMDVEGAHVCGNNVGTNVPLQVGFSNGVDFVV -QPEGCVSTNNCDVVKPVRARAPPGEQFTHLVPLLRKGQPWSVVRKRIVQMIADYLAGLSDNVVFVLWAGG -LELTTMRYFVKIGPAKRCYCGKIATCYNSVTNDYCCFRHALGCDYIYNPYAFDIQQWGYVGSLSHNHHMF -CNIHRNEHVASGDAVMTRCLAVYDCFVKNVDWAITYPFISNEKAINRSGRNVQAHVVRAALRLYNPSAVH -DIGNPKGIRCAVTTAKWYCYDKQPVNSNVKTMEYDYMTHGQLEGLCLFWNCNVDMYPEFSIVCRFDTRTR -SCLNLEGVNGGSLYVNNHAFHTPAYDKRAMAKLKPMPFFFYDESECDVVHEQVNYVPLRASNCVTRCNIG -GAVCSKHANMYRAYVEAYNTFTQAGFTIWVPQSFDIYNLWQTFAETNLQSLENIAFNVVKKGSFVGAEGE -LPVAIVNDKVFVRDGTVDNLVFTNNTSLPTNVAFELYAKRKTGLTPPLSVLRNLDVVATYKFVLWDYEAN -RPFTSYTKDVCGYTDFAEDLCVCFDNSIQGSFERFTLTKNAVLFSNTAIKNLVPIRLNFGMLNGLPVGTT -KDADGVVKPVSWFIYVRRNGQFQDHYDGYYTQGRTVSDFIPRSDMERDFLDMDMGVFITKYGLEEFGFEH -VVYGDVSKTTLGGLHLLISQVRLSKMGILKAEDFVTASDMTLKCCTITYLNDPSSKSVCTYMDLLLDDFV -SILKSLDLSVVSKVHEVVIDGKPYRWMLWCKDNQVATFYPQLQSAEWKCGYSMPTLYKVQRMCLEPCNLY -NYGAGIKLPNGIMLNVVKYTQLCQYLNSTTMCVPHNMRVLHYGAGSDKGVAPGTAVLKRWLPHDAIVIDN -DINEYVSDADYSITGDCATVFLEDKFDLLISDMYDGRTKSCDGENVSKDGFFVYLNGVIREKLAIGGSIA -IKVTEYSWNKQLYELAQRFAFWTMFCTSVNTSSSEAFLVGINYLGDFASGPFIDGNTIHANYIFWRNSTV -MSLSYNSVMDLSKFACKHKATVVVQLKDGDINDMVLGLVKNGRLLVRCNGKFGSFTNHLVSTKQ ->AID16677.1 orf1ab polyprotein, partial [Wencheng Sm shrew coronavirus] -YNRCEIGKNFICYSYIFKRGLEIKQCWVLDLYQMNLHLMRSIPNIGILQASKLKSGFKNVMLTFVFCCMF -LSEWLMMSAVYYFSKDLFMLMVPVGVLISFILSLMIKHKIMFVYVYLLPALIVMMVENFSFYVYLTNFIS -DFNMSGFNLNFILSLCGVLFAFFLHLYRFIGAKKDWVNIIAAIGGSLWYYMTYNETAILTTVLISVNPDW -YFGIFCYHGVKAAFNLLGVVYTFKYLVLSYVGVGFIVTMRFGVLYWINKFTGFTFGKYDYKVSSREFKYM -VANGLKTPTSIFETLRLNYNLIGIGGNKNIKISTVQSKLTDIKCCNVVLLGLLNNLGVTANSKEWNWCVN -MHNKICLSNDENVVENLLALILFFMSKCKNVDLDALLDIYFDNNSVLQSVASTFANLPSFVAYETAREAY -EKALKEEANAVLLKQLKKAMNIAKSEHEQEVAVQRKLERLAETAAAQMYKDVRANDKKAKVISAMHSMLF -SMLRRLDMSSVDNVLNLAKDGVVPLSIVPVTSASRMIVVSSHYRCTCKSSHDNNIIYAGVVWTIVDIKDV -DNKVVNLKEVMLGDDVAYPLHFTVERLVKLQNNEILPGVVKQRVVKAEGTGFSIDGKALYTNEQGKGFVY -ALIAEKDGLTCIKWDSNNEVITIELERSSKFLVDGPNGTPKVMYLYFVKNLNSLRRGAVLGWLGASMRLQ -AGRPTEIPENSNLLSICAFAVDPAKAYVDAIKSGVKPLTNCIKMLSNGSGNGQAVSYTVDAKTNQDSYGG -ASVCIYCRAYVEHPTVDGLCQFKGRFVQVPLGTKDPVGFCIENNICSVCKCWIGHGCVCDRSSLQTIVID -NNYLNRARGSSGARLEPCGNGTEPDVVVRAFDVFNKSNACMAKAYKRNCSRFKNLDLHDAYYIIKRVNVE -SMEYEKKVFDSFKDSNCVAKHDFFKFHHGRQEFGNICRKNLTKYTMMDLCYALRNFDENHCDVLKEILVL -TKCCDEKYFDNKLWFDPIENEDLYRVYAKLGPIVNTALLKCVEMCDLMVSKGYIGVLTLDNQDLNGNFYD -FGDFMSGPPGMGVPVVTSYLSYMMPIMSMTDCLACERYVKSDIYGSDFKTYDLLKYDFTDYKLELFSKYF -KYWDRVYHPNCVECFDDNCIIHCANFNTLFSMVLPETSFGPLCKKVYIDGVSMLTTAGFHFKQLGVVWNK -DLKLSNSHLEMTDLMRFVSDPSLLIGSSDALLDFRTACFSIAALSTGLTHQVVKPGYFNQEFYDFLVTKG -FFLEGSSLTLKHFFFVQNGQAAITDFDYYRYNKCIMLDICQALFVKQVVLKYFECYEGGCLAAKDVVVTN -LDKSAGFPLNKYGKARLYYETMSYEEQDELYNYTKRNVVPTMTQMNLKYAISGKDRARTVGGVNLVSTMT -TRQYHQKVLKSIVNTRGATVVIGTTKFYGGWDDMLKRLIQGVENPCLMGWDYPKCDRSLPNMIRMVSAMV -LGSKHVTCCNHNEKFYRLSNELAQVLTETAFVQGGLYVKPGGTTSGDASTAYANSVFNIFQSVSSNVQRL -LSVNTADITIGSVKKLQYNLYHNIYRSCVPDVNFVQEYYDYLQKHFSLMILSDDGVCCYNKDYASMGYVS -DIGAFKALLYYQNGVFMSNSKCWVEEDLEKGPHEFCSQHTLLIKGDNEVYLPYPDPSRILSAGVFVDDVV -KSDNVLVLERYVSLAIDAYPLVKHENVEYQKVFWVLLDWIKNLYSNFNQGVLDSFSIRVVDDAQSKFWEE -DFYKNLYERSTILQSAGSCVVCSSQTILRCGDCIRRPLLCTKCAYDHVCGTNHKFILAITPYVCHTSGCV -VNDVTKLYLGGMSYYCVEHKPKLSFPLVSNGHVFGLYKNSAVGSVDTDVFNRIATSDWSNVDDYVLANSC -KESLLLFAAETIKAFEESSKSSYACAILKEVIGPREIVLSWESGKIRPPLNHNSVFVCYNMVKSTRIQVG -EYVFEKVDCSSDSVYYKSNATSKLAPGMIFVLVSHNVQSLKAQTIVSQEHYSKVRMFSTLNVREEYVNLV -PYYNIIGKQRVTTIQGPPGSGKSHCIIGLGLYYPTIKIVFTACSHAAVDSLCNKAFKNYNIVDCSRIIPA -RARVECFDKFRVNDCSSKYIFSTINALPDVVADVVVVDEVSMLNNYDLSIINSRVKYKHIVYVGDPQQLP -SPRILITRGALKPEDYNCVTRRMCLLGPDVFLNKCYRCPAEVVKTVSVLVYENKFLAVNKISGKCFKVFL -KGHVQVDNSSSVNRKQLDFVKTFLEHNKEWRNAVFISPYNSQNYVASKMLGLQTQTVDSAQGSEFDYVIY -TQTSDTAHACNINRFNVAITRTKYGILCVMNNKKMYDDLDFGVIDPTVLHSTGLFKSCVRVPYEVSPSYA -TTFMHLTDRFKVSSDLAVALPSVNPTFEDVVNLMGFKLDVVPDGYNPLFCTKNYAIQHVRGWIGFDVESV -HCCIDNVGTNLPLQIGFSNGFNFCVKPEACVMNNNKVYWKNVKTKVPPGEQFSHLIPLMRKTQDWSVVRK -RIVSMLCDQFKGVTNEIIILTWAHGMELMTMRYFVKIGVVKYCYCGVEAKFYDSESVEYKCLFHGYGCDY -LYNPRLVDVQQWGYVGKLADNHDMYCALHRNAHVASGDAIMTRCLAVYECFVEKVEWNIVYPYIGEEKVI -NAAGRFVERHVLNTFIKLYKPEAIHDIGNPKGKRCIVYDKSWYCYDKDPVNNNVRELCYDYEIHGQFKGL -MLFWNCNVSCYPGFSLVCRFDTRVCNKYNLEGVNGGSLYVNKHAFHTDFYGKRCFVNLKQIPFFFFDDSE -CEVIRDIINYVPLSDKNCITRCNIGNAICSKHAKLYQEYLEKYNLFSSNGFNLWGPKSFDVYNLWSLLRG -NNNLQALERLAYNVVSNGYDKGLEGSLPVAIINDRVIVKKDLEDIVVFENKTSLPTNVAFELYVRRNVGF -TPPLSLLRNLNVVRTDRFCLWDYEADR ->ALK43115.1 orf1ab [229E-related bat coronavirus] -MACNRVTLAVASDTEISASGCSTIAQAVRRYSEAASNGFRACRFVSFGLQDCVVGVADTDYVMGLHGNQT -LFCNIMKFSDRPFMLRGWLMFSNSNYLLEEFDVVFGKRGGGNVTYTDQYLCGADGKPVINEDLWQFADHF -GENEEIVINGHIYVCAWLTKRKPLDYKRQNNLAIEEIEYVRGDALHTLRNGSVLETAKEVKTSSKVVLSD -DLDKLYKVFGSPFMTNGSNILEAFTKPVFISALVQCTCGNKSWSVGDWTGFKSSCCNVLSNKLCVVPGNV -KPGDAVITTQQAGVGVKYFCGMTLKFVASIECVAVWRVIAVQSVDGFVASATFVEEEHANRMDTFCFNVR -NSTVDECRMAMLGAEMTSNVRRQVSAGVIDISTGWFDVYDDIFAENKPWFVRKAEDILGSCWSALVSVLK -QLKVTTGELMRFVKSICSAAVAVVSGTIKIVASVPEKFLAAFDVFVKAVQTVFDCAVETSTIAGKEFDKV -LDYVLLDNALVKLVTTKLKGVRESGINKVKYATAVVGSTAEVKSSRVERSTAVLTIANNYPKLSSEGYTV -VLGDVAYFVSDGYFRLMASPTSVLTTAVYKPLFTFNVNVVGSKPDKFPITVTCESLDAAVLVVNDKLSEF -NLDYIVDVIDNEIVVKPNVSLCAPLYVRDYVDKWDDFCRQYSNESWFEEDYKAFISALAITDAAVKAAES -KAFVELIVPPCPSILKIIDGGKIWNGVIKAVNSVRDWLKSLKLNLTPQGLLGTCAKRFKRWLGILLEAYN -AFLDTVVSTVKIGGLTFKTYAFDKPYIVIRDIVCKVENKTEAEWVELLPRNDRIRSFSTFESAYMPIADP -THFDIEEVELLDAEFVEPGCGGILALIDDHVFYKKDDVYYPSNGTNILPIAFTKAAGGKVSFSDEVEVKD -IAPVYRVKLCFEFEDEKLVDVCEKAIGKKIKHEGDWDSFCKTIQSALSVVSGYVTLPTYYIYDEEGGNDL -SLPVMISEWPLSDSDKEEESQHEQQEATVVPSVVVDVVDQIEEVNSSFDIEVVDVKREVRPFEMPFEELN -GLKILKQVDNNCWVNSVMLQLQLTGMLDEDYAMQLFKMGRVSKMVERCYVAEQCIRGSPGDVGMCLYRLL -KEVHSGFMVLHYTCLCNSGELEESGAVLFCVPTKAAFAYGVCFNCKAPRMCTIKHLKGTMVFVQYEPKPI -NCYDLVVQPICASVFRGDLSCGHYQTNVYSQKLCIDGFGVNKIQPWTNDALNTICIKDADYSAKVETSVT -PVKVETPPKEKPFVKAKLEPFLKHNNVAFYQGDVDAVVSGVEFDFIVNAANENLAHGGGLAKALDVHTKG -KLQRLSKDHISSVGKVKVGTGAMIECDGLKIFNVVGPRKGKHERDLLVKAYNTINNEQGIPLTPILSCGI -FGVKLETSLEVLLDVCVTKEVKVFVYTDTEVCKVKDFVAKNTVQCVEQHSDGQSNVEVKVTKVTPEPYKV -EGNFSYFREELFCVAENKPIVLFTDSVLTLDDHGVALDNSLNGALVAATKDCINTNKAIPAGNLIKFDIG -STVVYMCVLPSEQDKHLDKNVQRCTRKLNRLMCDIVCTIPPEYVLSLVLSSLTCNVAFVGEPRAINAKAI -TIKVTEDGVNIRDIVVTTDKSFEQQVGVVADKDVDLSGAVPSDLNTSDLLTKAIDVDWVSFYGFSDAVTF -ATVDHSAFAYESSVVKGVRVLKTSDNNCWVNAVCISLQYLKPHFISQGLDAAWNKFVLGDVKTFVAFVYH -IAGLVKGSKGDAEDTLNKLSKYLANEAQVQLENYSSCVECEAKFKNSVSSINSVIVCASVKRDGVQVGYC -AHGVKYYSRVKSVSGRAIIVGVEQIEPCAQSRLLSGVAYTAFSGPADNGHYTVYDTVKKSMYDGDRFVKH -DLSLLSVTSVVMVGGYVAPVVEIAKPKPVINQLDEKAQKFFDFGDFLVHNFVAFFTWLLSMFTLCKTAVT -TRDVKIMARAPQRTGVVLKRSFKYNLKASAAVIKSKWWVLAKFMKLMLLVYTLYSVILLGVRFGPFNDYL -CSGTVTGYAKSNFVKDDYCEGSLGCKMCLFGYQELNQFSHLDVVWKHITDPLFSNMQPFIVMVLLLIFGD -NYLRCFLLYFVAQMISTVGVFLGYKETNWFLHFVPFDVICDELLVTVIVIKVIAFVRHVLFGCDNPDCIA -CSKSARLKRFPINTIVNGVQRSFYVNANGGGKFCKKHRFFCVDCDSYGYGNTFITPEVSRELGNITKTNV -QPTGQAYIMIDKVEFADGFYRLYSGETFWRYNFDITESKYSCKEVLKNCNVLDDFIVFNNSGTNVTQVKN -ASVYFSQLLCKPIKLVDSELLSTLSVDFNGVLHKAYIDVLRNSFGKDLDANMSLAECKNALSLSISDHDF -TSAISNAHRYDVLLSDLSFNNFVSSYAKPEEKLSAYDLACCMRAGAKVVNANVLTKDQTPIVWHAKDFNS -LSAEGRKYVVKTSKAKGLTFLLTVNENQAVTQIPATSILSKQGAGKTGRYFTWFWLLCGLMCLVQFYLCF -FMPYFMYDTVRSFEGYDFKYIENGQLKNFETPLKCVRNVFENFDDWYYSKFGSIPTNKQSCPIVVGVSEV -VNIVAGIPTNVYLVGKTLVFTLQAAFGNAGVCYDIFGVTTPEKCIFTSACTRLEGLGGNNVYCYNTALME -GSLPYSSLQANAYYKYDNGNFIKLPEVIAQGFGFRTIRTVATKYCRVGECVDSNAGVCFGFDKWFVNDGS -VNNGYVCGTGLWNLILNVFSMFSLSFSVAAMSGQILFNCALGAFAIFCCFLVTKFRRMFGDLSVGVCTVV -MSVLLNNVSYIVTQNLLTMIVYAVLYFFATRNLRYAWIWCAAYLIAYISFAPWWLCTWYFLAMLTGLLPS -LLKLKVSTNLFEGDKFVGTFESAAAGTFVIDMRSYEKLANSISPEKLKSYAASYNRYKYYSGNANEADYR -CACYAYLAKAMLDFSRDHNDILYTPPTVSYGSTLQAGLRKMAQPSGIVEKCVVRVCYGNTVLNGLWLGDI -VYCPRHVIASNTTSAIDYDHEYSVMRLHNFSITSGTAFFGVVGATMHGATLKIKVSQTNMHTPRHSFRTL -KSGEGFNILACYDGCAQGVFGVNMRTNWTIRGSFINGACGSPGYNLKNGEVEFVYMHQIELGSGSHVGSS -FDGIMYGGFEDQPNLQVESANQMLTVNVVAFLYAAILNGCTWWLRGDKLSVDHYNEWAQANGFTAMNGED -AFSILAAKTGVCVERLLHAIQVLNSGFGGKQILGYSSLNDEFSINEVVKQMFGVNLQSGKTTSLFKSLGL -FAGFFIMFWAELFVYTTTVWVNPGFLTPFMVLLVALSLCLTSFVKHKVLFLQVFLLPAIIVAAIQNCAWD -YHVTKVLAEKFDYNVSVMQMDIQGFVNIFICLFVALLHTWRFAKERCTHWCTYLFSLLAVLYTALYSYDY -VSLLVMLLCAISNEWYIGAIIFRLCRFGVAFLPVEYVSYFGDVKTVLLFYMLLGFVSCMYYGLLYWINRF -CKCTLGVYDFCVSPAEFKYMVANGLNAPNGPFDALFLSFKLMGIGGPRTIKVSTVQSKLTDLKCTNVVLM -GILSNMNIASNSKEWAYCVETHNKINLCDDPETAQELLLALLAFFLSKHSDFGLGDLVDSYFENDSILQS -VASSFVGMPSFVAYETARQEYENAVANGSSPQIIKQLKKAMNVAKAEFDRESSVQKKINRMAEQAAAAMY -KEARAVNRKSKVVSAMHSLLFGMLRRLDMSSVDTILNMARNGVVPLSVIPATSASKLVVVVPDHDSFAKM -MVDGFVHYAGVVWTLQEVKDNDGKNVHLKDVTKENQETLVWPLILTCERVVKLQNNEIMPGKMKVKATKA -EGDGGVTSEGNALYNNEGGRAFMYAYVTTKPDMKYVKWEHESGVVAVELEPPCRFVVDTPNGPQIKYLYF -VKNLNNLRRGAVLGYIGATVRLQAGKQTEFVSNSHLLTHCSFAVDPAAAYLDAVKQGAKPVGNCVKMLTN -GSGSGQAITSTIDSNTTQDTYGGASVCIYCRAHVAHPTMDGFCQYKGKWVQVPIGTNDPIRFCLENTVCR -VCGCWLNHGCTCDRTAIQSFDNSYLNRVRGSSAARLEPCNGTDIDYCVRAFDVYNKDASFIGKNLKSNCV -RFKNADKDDAFYIVKRCVKSVMDHEQSMYNLLKGCNAIAKHDFFTWHEGRTIYGNVSRQDLTKYTMMDLC -FALRNFDEKDCEVLKEILVLTGCCGTDYFEMKNWFDPVENEDIHRVYAALGKVVANAMLKCVALCDEMVL -KGVVGVLTLDNQDLNGNFYDFGDFVLCPPGMGIPYCTSYYSYMMPVMGMTNCLASECFMKSDIFGQDFKT -YDLLKYDFTEHKEVLFNKYFKYWGQDYHPDCVDCYDEMCILHCSNFNTLFATTIPNTAFGPLCRKVFIDG -VPVVATAGYHFKQLGLVWNKDVNTHSARLTITELLQFVTDPALIVASSPALVDKRTVCFSVAALSTGLTS -QTVKPGHFNKEFYDFLRSQGFFDEGSELTLKHFFFTQKGDAAIKDFDYYRYNRPTMLDIGQARVAYQVAA -RYFDCYDGGCITSREVVVTNLNKSAGWPLNKFGKAGLYYESISYEEQDAMFALTKRNILPTMTQLNLKYA -ISGKERARTVGGVSLLATMTTRQFHQKFLKSIVATRNATVVIGTTKFYGGWDNMLKNLMADVDDPKLMGW -DYPKCDRAMPSMIRMLSAMILGSKHVTCCTASDKFYRLSNELAQVLTEVVYSNGGFYFKPGGTTSGDATT -AYANSVFNIFQAVSSNINRILSVNSSHCNNLNVKKLQKQLYDNCYRNSNVDESFVDDFYGYLQKHFSMMI -LSDDGVVCYNKTYAELGYIADISAFKATLYYQNSVFMSTAKCWTEEDLSIGPHEFCSQHTMQIVDEDGKY -YLPYPDPSRIISAGVFVDDITKTDAVILLERYVSLAIDAYPLSKHPKPEYRKVFYALLDWVKHLNKTLNE -GVLESFSVTLLDEQESKFWDESFYASMYEKSTVLQAAGLCVVCGSQTVLRCGDCLRKPMLCTKCAYDHVF -GTDHKFILAITPYVCNTSGCNVNDVTKLYLGGLNYYCVDHKPQLSFPLCSAGNVFGLYKSSALGSIDVDV -FNKLSTSDWSDIRDYKLANEAKESLRLFAAETVKAKEESVKSSYAYATLKEIVGPKELLLSWESGKAKPP -LNRNSVFTCFQITKDSKFQVGEFVFEKVDYGSDTVTYKSTATTKLVPGMLFILTSHNVAPLRAPTLANQE -KYSTIYKLHPSFNVSDAYANLVPYYQLIGKQRITTIQGPPGSGKSHCSIGIGVYYPGARIVFTACSHAAV -DSLCAKAATAYSIDKCTRIIPARARVECYSGFKPNNNSAQYVFSTVNALPEVNVDIVVVDEVSMCTNYDL -SVINQRISYKHIVYVGDPQQLPAPRVLISKGVMEPIDYNVVTQRMCAIGPDVFLHKCYRCPAEIVTTVSE -LVYENKFVPVKEASKQCFKIFERGSVQVDNGSSINRRQLDVVKRFIHKNPTWSKAVFISPYNSQNYVAAR -LLGLQTQTVDSAQGSEYDYVIFAQTSDTAHACNANRFNVAITRAKKGIFCIMSDRTLFDALKFFEITMTD -LQSENSCGLFKDCARNPIDLPPSHATTYLSLSDRFKTSGDLAVQIGSNNVCTYEHVISYMGFRFDVSMPG -SHSLFCTRDFAMRHVRGWLGMDVEGAHVTGDNVGTNVPLQVGFSNGVDFVVQPEGCVITNTGSVVKPVRA -RAPPGEQFTHLVPLLRKGQPWSVLRKRIVQMIADYLAGSSDVLVFVLWAGGLELTTMRYFVKIGAVKHCQ -CGTIATCYNSVSNDYCCFKHALGCDYVYNPYVIDIQQWGYVGSLSTNHHAICNVHRNEHVASGDAIMTRC -LAVYDCFVKNVDWSITYPMIANEKAINKGGRTVQSHIMRAAIKLYNPKAIHDIGNPKGIRCAVTDAKWYC -YDKDPINSNVKTLEYDYMTHGQMDGLCLFWNCNVDMYPEFSIVCRFDTRTRSTLNLEGVNGGSLYVNNHA -FHTPAYDKRAMAKLKPAPFFYYDDGPCEVVHDQVNYVPLRATNCITKCNIGGAVCSKHANLYRAYVESYN -TFTQAGFNIWVPTTFDCYNLWQTFTEVNLQGLENIAFNVVKKGSFVGADGELPVAISGDKVFVRDGNTDN -LVFVNKTSLPTNIAFELFAKRKVGLTPPLSILKNLGVVATYKFVLWDYEAERPFTSFTKAVCGYTDFTED -VCTCYDNSIQGSYERFTLSANAVLFSATAVKTGGKSLPAIKLNFGMLNGNAIATVKSDDGNIKNVNWFVY -VRRDGKPVDHYDGFYTQGRNLQDFLPRSTMEEDFLNMDTGVFIQKYGLEDFNFEHVVYGDVSKTTLGGLH -LLISQVRLSKMGILKAEEFVAASDITLKCCTVTYLNDPSSKTVCTYMDLLLDDFVAILKSLDLTVVSKVH -EVIIDNKPWRWMLWCKDNAVATFYPQLQSAEWKCGYSMPGIYKTQRMCLEPCNLYNYGAGLKLPSGIMFN -VVKYTQLCQYLNSTTLCVPHNMRVLHLGAGSDYGVAPGTAVLKRWLPHDAIVVDNDVVDYVSDADFSVTG -DCATVYLEDKFDLLISDMYDGRTKAIDGENVSKEGFFTYINGVICEKLAIGGSIAIKVTEYSWNKKLYEL -VQKFSFWTMFCTSVNTSSSEAFVVGINYLGDFAQGPFIDGNIIHANYVFWRNSTVMSLSYNSVLDLSKFN -CKHKATVVVQLKDGDINEMVLSLVKSGKLLVRSNGKCVGFSNHLVSTK ->AFU92121.1 orf1ab polyprotein [Hipposideros bat coronavirus HKU10] -MASNQLTLAFANDSEISAYGFDTVEHAVVQYSAAAANGFAQCRFVITGLQDLVVGVNDEEFVLVVTGLHQ -LTARIGKFGEQPQNLRGWLLFSNSNYFLGELDLTFGNRGGTTVFVDNFLCGANGLPACSEQEWEFKDHFG -ELDEVTINGTTFVKAWNVDRTPGPYSTQNLLSINSISWCGEIPHSLADGSIRRVAKVVKKSKSVLLGKQF -QQLYDSVGSPFVTNGKNLSDVLVKPIYCHALVKCQCGKTSWTVGDWSCYKSMCCGFNCKPLAIVNGEVVP -GDVLVTSDKVSASGTKYYNGMVLKFVDKIDNACLWRITQVQSCMDVVASGNYKGDDFIYHTDDVCSPTNM -SKTSHAFKLCYFTGSYTDEVKSALLHGEFDVCTNICDVSDHSIHKPWFVREMEELLGGAWDNFVKAVKSL -SVLNSQLFDLMSGLAKATIRVVNGCIEFCANIPTCFNDAFECLKSFVETLFDLTVNTVTFAGQSFKAVGD -YVLLNNAIVKFVSVKVKGLHQDGIKSTMYATTVVGKTAKVKSRRIETCNANLSVVPDQALVKDGVTKIIG -GRSFFCSGGCYRLMSDVDTVIMSPVYQAAAPAKVMFNCVKPVGFVDPVCNTLPELVSDVTKQLLPLNKPY -RFYDVKVRDEVCTVSQETTFRAPSYIADKDLFVRLCEECIDVQGFDDFYVKSHEATSLSQFEPVFPCFQQ -FVTVVDCPQILQDIDGGGIWKTFIQTVSDAVEFVKAIKIDFGLDGIIITATKRFKKFAGILLNLYREFIA -SVTSVVKIGGKSFTYYLFEKPKMAISGILHNVRVLKAKDCTIAAEDVLVEMTVFDSFEIPIQPSRVEVQQ -VEFEEAEFVQPQVGGVLAVVNKHVFYNKGDYYYPANETHAVPVCYKRGSGTNGTVVKFSEAVQVKEIEPV -HRVKLEYEFEDETIVEICKKVIGKRYKFTGTEWDELCSYIHSVMKTVSDHIEVPEYFIYDEEGGNDFTKV -VMVSQYSIAAEQPCENVGQVAVLKEEQTIEDTSKSQVVQPLKQQEFIKPQKSQIEEVNEALSFMLEPVQQ -KSKVNPFNFEFYDYKGIRVLKQKDNNCWVSSTLVQLQLLDCYSDPAFDLFKAGSVAPIVQKCYELTRNIV -GSAGDVSFALEQLLKDLHTMFITFDVVCGCNNGERRQSELSGCVFRFLPTSAPFVYGGCPSCDKPLMHTI -TSMQGTGVFCQDPKAVDVTTLLVQPMCASVFIGNWFNNSLGHYKTNVYDKGFCVDGNGSWPITLNTLNTI -CYKDVNYVRPLPVVSDQPIVEEKTKQGELKPFATYKNVEFYKGEISDLVQLKHDFVVNAANENLSHGGGV -AAAINNLTKGLLQTLSDHYVKKNGKIKVGQGVMLHCGKENVLNVVGPRKGKHSPQLLEKAYKFVLKQKGT -PLTPLLSVGIFKVPILESVTALKNVVGDRHVKCFCYSAAEVSEIQKCLDNDVVEPKMEVPQIESETVISV -SQNTEQQAFSHVDRRELKPCRVEGKFSFYDCPIADIAITEPERVVFFTDVKLSFCEAAKALDKHYEGALT -SCVQAYLAETPLIPAGNLLTLKCEGAIPVSFAVLPDTDAANYNKDVKRTLTKVSKLKGKIFCTIPTVDVM -KQMLKCCNTSFIVTDATKTRLSECFESAVVEVKVTHDGRDVHTVKVKTDVVLDEQVGVCSLNNCDLTGVK -PDDAIVDVVSVAPEVDWISYYGFPRADLYHTLDHKQYAYENSDVEGKRVLKFSDNNCWVNIICLQLQFAK -AIFKTEGLQAMWDEFLTGRVERFVHWLYWYQNIEKGQPGDVEYLLGKISRYLVNSGTVTISKDSVCCNSK -RTLTTPVVEASILKSGFDGVCKHGNACVSRISAVRGTVIVIQTGKPKVYPRAALIDGDTYTTYSGSVEDG -HYTVFETKNSRVYDGDTVSTGNDLSTHAVSSVVVANKLFDDPIEVVEREASSIVNTLDTSAEKFFSFGDI -VSRNCVTFFVWLFSMFSLAFKAFRTRDVKVIAQVPQRTGLILSRSLKYNVKATNKFLQSRTKWVVLFSKM -LLVLSTLYALWFLLIRFGPLHDTVCTSYVEGYSKSNFDKNAFCENLACKTCLYGYEELSDFPHTVTTWTH -LGDPLFKTLLPLVYIAFLLIFGGVVVRGFMLYFVAQYVNQFGVVLGFQDSVWALQLVPFSIFGDEIVVLF -LVVKFMLFLKHVFLGCERPSCVACSKSARLTRIPMQTIVNGSNRSFYVVANGGKKFCEKHKFFCVNCDSY -GQGNTFINDVVAAEVSNVVKTNVIATGPAVVDITKIEFHNGFYYLYGDDGKTFWRYNFDITEGKYSCKEA -LKNCNVLADFIVYNNVGSNLAQVKNACVYFSQLLCKPIKLVDATLLATLNVDFNGALHAAFVEVLNESFG -KDLKSCSTMADCKTALDIDADDDEFVNGVSNAHRYNTLLSDLSYNNFVTSYAKPEEKLSTHDLAACMRGG -AKVVNHNILVKENVPIVWYAKDFNMLSEEGRRYVVKTAKLKGVNFLLTFNDNRMQTQIPVVSVTTKCGSG -IRRCYNYIWWICAFILCVFLATGFVDYTSMVVSASDYDFRYIEGGKLKVFEIPLKCVHNTFANFDNWYNS -KFGFTPSKDKRCPIVVGVSNEARTIPGVPSGVFLFGKTLVFALQTVFGGSHTCYDMTGPAPLDRCIFDSA -CTTLNGLGGERVYCYKNGLVEGSLLYTDLQPNSYYSLPFVGYVRLPEVITSGLGFRTVRTQQTTYCRMGE -CVDSKAGICFGANRFLVYSAESGTDFVCGTGLLSLLLNVFNMFSSSFSVLAMSGQIVFNCVLTCVVIMAC -LLVTKLKRMFGDLSLGVCTVVCVAIVNNLSYIITQNAVVMVVYAILYFLSTRTFKYGWIWHVGYCVAYLS -MAPWWLLFWYMCSALIGLVPSLLKLKVTSQLFDGDKFVGNFETAATGTFVLDMHSYQRIVNSIAPEKIKQ -HAASYNKYKYYSGAASEADYRLACYAHLAKAVLDYGTNHQDTLYTPPTISYNSTLQSGLRKMAQPSGVVE -KCVVRVCYGNMALNGLWLGDVVICPRHVIASNTNALIDYEHEISVMRLHNFSVSVGNVFLGVVSATMKGA -NLHIKVNQTNVNTPEHSFKTIKQGESFNILACYDGMPSGVYGVTMRNNYTIRGSFITGACGSPGYNVTNG -KVEFCYLHQLELGSGCHVGSNLEGVMYGCYEDQPTLQIEGVNHLVTPNVIAFLYGALLNGITWWLNSDKV -SVESFNEWALNNGFTTCGNLDCFNMLSAKTGVDVQRLLAAVQRLHNSFGGKNILGYTTLTDEFTVGEVIK -QMYGVTLQSNKVVGAFCNLAIVGLFVTMFWSELLQYTAFFWVSPGFVTPVFFALLLVSFILMCFLKHKVL -FLYTFLIPSVIIMASYNFMWDWSVAAELARIFDYHVSIVSFDIQGLLNVAVCLFVTLLHTMRFFKTNTSI -STYVLSLAFTVYGFVVGSDVLSIVMTLLFNLTSSWYVGAIVYKLAYYLQYYTSFAQILGSIKAIMLCYMG -LGYLCCVYYGFLYWINRFCKLSLGVYDFKVSANEFKFMVANGLQAPRGVFDSLALSIKLMGIGGEKTIKV -SSVQSKLTDIKCTNVVLLGCLSSMNIAANTKEWSYCVDLHNKINLCNDPEAAQEMLLALLAFFLSKHKDF -GFDDLLDSYFADNSILQSVASTFVNMPSFIAYENARQAYEDAIANNSAPQLVKQLKTAMNMAKSEFDREV -SVQKKISRMAEQAAAQMYKEARAVNRKSKVIGAMHSLLMGMLRKLDMSNVDTILNLAKDGVVPLSIIPAA -CSTKLNVVSADLDSYYKICRDGCVHYAGVIWNVIDIRDNDGKIVHAKEIAPENVENIAWPIFLNCERIVK -LQNNEIMPGKLKQRPVKAEGDGISADGMALFNNESGKTFMYAFIADKPDLKFVKWEFDNGCSVIELEPPC -RFAVQTPTGTTIKYLYFVRNLNTLRRGAVLGFIGATVRLQAGKQTELAVNSPLLTMCAFAVDPAKTYLDA -VKRGAKPVGNCIKMLANGSGTGQAVTTGVEANTNQDSYGGASVCLYCRAHVEHPSMDGYCKLKGRYVQVP -MGTIDPIRFVLENEACKVCQCWLNNGCACDRVSTSMQAFDNGYLKRARGSSAAQLEPCNGTDTDHCVRAF -DIYNKDVACLGKFLKTNCVRFRNKLHKDAYFVIKRCPKSVMEHEQSIYDILKDSGAIATHDFFVWKDGRM -IYGNISRQDLTKYTMMDLVYALRNFDEKNCETLKEILVITGACDQSYFDNKFWFDPVENEDLHRVYAILG -KIVANAMLKCVRLGDAMVKHGIVGVITLDNQDLNGNFYDFGDFAKTLPGMGVPLCTSYYSYMMPVMGMTN -CLAGECFIKSDIFGSDFKSFDLLHYDFTDHKQALFEKYFKHWDQEYHPNCVDCHDELCIVHCANFNTLFS -TTIPITAYGPLCRKVFVDGVPLVATAGYHFKQLGIVWNKDLNTHSTRLTINELLQFVTDPSLLVASSPAL -VDQRTVCFSVAALGTGMTKQTMQPGHFNKEFYDFLRKQGFFEEGSELTLKHFFFAQKGDAAIKDFDYYRY -NKPTMLDVCQARVAYKLVSRYFDIYDGGCITAKDVVVTNLNKSAGYPLNKFGKAGLYYESLTYEEQDALY -AVTKRNVLPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTRNASVVIGTTKFYGGW -DNMLNTLINGVENPCLMGWDYPKCDRALPNMIRMISAMILGSKHTTCCTTDERYYRLCNELAQVLTEVVY -SNGGFYFKPGGTTSGDASTAYANSVFNIFQAVSSNINRLLTIDSNVCNNVSVKTLQRELYDNCYRSSSVD -EQFIDKYYCYLRKHFSMMILSDDGVVCYNKDYADLGYVADISAFKATLYYQNNVFMSTAKCWVEPDITKG -PHEFCSQHTMQIVDENGKYYLPYPDPSRILSAGVFVDDVVKTDAVILLERYVSLAIDAYPLSKHPNPEYR -KVFYVLLDWVKHLHNTLNQGVLESFSVTLLEDTSSKFWDESFYANMYEKSAILQSAGLCVVCGSQTVLRC -GDCLRRPMLCTKCAYDHVIATPHKFILAITPYVCSYSGCNVNDVTQLYLGGLSYYCAEHKPRLSFPLCSA -GNVFGLYKASATGSPDVEIFNTLATSDWTDVRDYKIANEAKDSLRLFAAETIKAKEESVKSSYACATLKE -VIGPKELLLSWECGKVKPPLNRNSVFTCFHITKDSKFQVGEFVFEKVDYGSDAVSYKSTSTSKLSPGMVF -VLTSHNVQPLRAPTIANQERYSSIHKLYPSFNIADAYSTLVPYYQMIGKQRITTIQGPPGSGKSHCVIGL -GLYYPGARIVFTACSHAAVDSLCVKASTAYVNDRCSRIIPARARVDCFSGFKSNNNSAQYLFSTVNALPE -CNADIVVVDEVSMCTNYDLSVINQRLSYKHIVYVGDPQQLPAPRTMILRGVLEPKDYNVVTQRMCAVGPD -VFLHKCYRCPAEIVKTVSEMVYDNKFVPVHPESKQCFKLFCKGNVHVDNGSSINRKQLDVVKLFLAKNPS -WSKAVFISPYNSQNYVASRALGLQIQTVDSSQGSEYDYVIFTQTSDTQHACNVNRFNVAITRAKKGILCI -MCDKELFDALKFFEIKLTDLQAGEGCGLFKDCSRKPDLLPPSHATTFMSLSDNFKTSGDLAVQVGVNGIC -KYEHVISFMGFRFDTNIPGYHSLFCTRDFAMRHVRGWLGMDVEGAHVVGDNIGTNVPLQVGFSNGVDFVV -QPEGCVVNEFGTNIQPVRARAPPGEQFAHLIPLMRRGQPWVVVRKRIVQMCCDYLSGLSDVLIFVLWAGG -LELTTMRYFVKVGQVKHCHCSKESTCYNSTTHEYYCFKHALGCDYLYNPFVIDIQQWGYTGSLSLNHHEV -CNVHRNEHVASGDAIMTRCLAIHDCFVKSLDWSITYPFIANEREINSGGRVVQRMLMKTAIKLYNPKAIH -DIGNPKGIRCAVTDAKWFCYDRQPLNSNVKLFEYDYITHGQLDGLCLFWNCNVDMYPEFSIVCRFDTRCR -SSLNLEGTNGGSLYVNKHAFHTPAFDKRAFAKLKPAPFFFYDDGPCDRIQEEINYVPLRAANCITRCNIG -GAVCSTHAKQYYDYVNAYNSYTQAGFTLWVPNTFDLFNLWQTFADTKLQSLENIAYNVIKKGSFVGESGE -LPVAIVNDKVFVREGTVDNLVFVNKTSLPANVAFELFAKRKVGLTPPLSVLRNLGVVSTYKFVLWDYEAE -RPFTSFTKSTCSYTDFDEDVTTCFDNAIAGSFERFTISRNAVLISNVAIKKLVGMKIAYGLLNGVPVSVC -ENKPVTWYIYVRKDGQFVDQYDGYYTQGRNIAEFLPRSDMEKDFLEMDMGMFINKYGLEDYAFEHVVYGD -VSKTTLGGLHLLISQVRLQKMGVLKIDEFTSSNDGTLKSCTVTYANNPSSKMVCTYMDLLLDDFVAILKN -LDLDVVSKVQEVIIDCKVWRWMLWCKGHKVQTFYPQLQSAEWKCGYSMPSIYKIQRMCLEPCNLYNYGAS -VKLPDGIMFNVVKYTQLCQYLNSTTMCVPYNMRVLHLGAGSDKGVAPGTAVLRRWLPSDAIIVDNDVEDY -VSDADYSITGDCSTVYLEDKFDLVISDMYDGKTKHCDGENVSKEGFFVYINGVINEKLALGASVAIKVTE -YSWNKKLYELIQRFEFWTLFCTSVNTSSSEAFLIGINYLGTFSDKPVIDGNVVHANYIFWRNSTIMSMSY -NSVLDLAKFNCKHRATAVIQLKDSDINDMVLGLIKRGKILVRMNGTYMGFSNHLVSTKQKCLR ->AID16674.1 orf1ab polyprotein, partial [Anlong Ms bat coronavirus] -CDSYGPGCTFINDVIAPEVSNVVKFNVIPTSPATIEIDRVEFTNGFYYLYSGSTFWKYNFDITESKYSCK -DALKNCNVTTDFIVYNNSGSNVAQVKNACVYFSQMLCKPIKLVDAGLLATLNVDFGASLHSAFVTVLNNS -FGKDLSSCNTMQDCRINLGFDDVSDSDFNDAVAQAHRYDVLLTDISFNNFFTSYAKPEEKLPVHDIATCM -RVGAKVVNHNVLTKENISVVWLAKDFLALSEETRKYLVRTTKVKGNTFMLTFNDRRMHTSVPATNIANKK -GAGLPSFLSKLYRFFWYFCVVVIIGFVSISLYDFTDQVASVSDYDFKYIENGVLKNFDKPLTCVHNVFSN -FGQWHFAKYGVNPINSPKCPIVVGISDEARTIPGIPAGVFLYGKTLVFAMNTIFGSSGLCFDERGLASGG -ACIFNAACTTLTGIGGTAVYCYRDGLVDGARLYSDLLPNSHYQMADGNAIVLPEVVSRGLGFKTIKTLEM -TYCRVGQCIDSQAGVCLGADRFFVYNAGAGNDFVCGSSLFSLLYNVFSIFSQSIPVTVLSGQILFNCIVA -FLAVALCFMFTKFKRMFGDMSYGVFVVGCCTVLNNVSYIITQNSLGMVAYALIYFLSTKSVKYSWIWHAG -FCISYCMIAPWWIICMYLGSALLEVMPSLLKLKVSTQLFEGDKFVGTFESAAGGTFVLDMHSYERLANSI -PNDKLKQYASTYNKYKYYCGGASEADYRLACFAHLAKAMLDFANSHQDALYTPPTVSYNSTLQAGLRKMA -QPSGVVEKCIVRVCYGNMTLNGLWLGDTVFCPRHVIASNTNTIIDYDRELSLVRPHNFSISVGNMFLGVV -GVTMRGAMLQIKVNQTNVNTPNYTYRTLRPGESFNILACYDGVPSGVYGVNMRTNYTIRGSFISGACGSP -GYNLNGSTVEFCYVHQLELGSGCHVGSDMDGAMYGGYDDQPTLQIEGASNLVTENVIAFLYGALINGCNW -WLSAARTSVDKFNEWAMHNGMTIVGSVETYNILAAKTGVDVTRILAAIQTLHRTFGGKQILGFTSLTDEF -TTGEVIKQMFGVSLQSGKVSRAFRNIFITGAFLILFWSELFTYTKYFWINPGYVTPMFLFIAAISSLLML -TIKHKLLFLQMFLLPSVVVTAVMNLMCDFEVYDYLAKNLDYHVSFMGLNAQGLINIALCLFVTVLHTVRF -AKSLNSWATYSFSLVAAAYSYFYGNDPLSSVMTLFSSLSGNWFVGAFTYKVAVLLVRFLPRLVLIFGESK -SIILCYLFLGYLVCMFYGILYWMNRFLKLSMGVYEFKVSSAEFKYMVANGIKAPRSAFDSLALSLRLMGV -GGDRCIKISSVQSKLTDIKCTNVVLMGCLTSMNIASNSAEWAHCVDLHNKINLCNDPELAQEMLLALLAF -FLSKNSAFGLEDLLDTYFSDNTMLQSVASTYLAMPSYVAYENARHNYEEAVSNGSSPQLIKQLRTAMNVA -KSDFDREASTQRKLDRMAEQAAAQMYKEARAVNRKSKVTSAMHSMLFSMLRRLDMSSVDVMLNLAKDGVV -PLSIIPAVSATRLNIVTSDYPSYIRIQRDGCVHYAGVIWTITDVKDNDGKQVHLKEICEQNAESLSWPLV -LNCERIVKLQNNEIIPGKLKQRAMKAEGEGFTADGKALYNSEGGRTFMYAFVSDKADLKVVKWEHDGGCN -VVELEPPCKFMVESPSGPVIKYLYFVRNLNTLRRGAVLGFIGATVRLQAGKQTEQAVNSSLLTLCAFAVD -PAKTYVDAVKSGHKPVGNCVKMLANGSGSGMAITNGVEATSNQDSYGGASVCLYCRAHVEHPNMDGICRL -RGKYVQVPIGTADPIRYILENEVCKVCGCWQANGCTCDRSSLQTFDHGYLNRVRGSSAARLEPCNGTDTQ -HVFRAFDVYNRNVACMEILKMTVFALKIRQTDCIWVIRNVRNQVMEHEQSIYELVSKCGAVAQHDFFQWK -EGRAHYGNICRKDLTEYTMMDLCYAMRNFDETNCDTLKRILVITGACEESYFDNKVWYDPVENEDIHRVY -ALLGKIVARAMLKCVAFCDAMVEKGIVGVVTLDNQDLNGDFYDFGDFALTVEGMGVPICTSYYSYMMPVM -GMTNCLASECFMKSDIFGEDFKTYELLKYDFTEHKVELYNKYFKHWGQTYHPNCVDCYDEQCVIHCANFN -TLFATTIPMTAFGPLCRKCWVDGVPMINTAGYHFKQLGIVWNKDFNLHSSRLTLNELLRFCADPSLLIAS -SPALVDQRTVCFSVAALGTGMTSQTVKPGHFNREFYDFLCSRGFFDEGSELTLKHFFFAQKGDAAIKDFD -YYRYNRTTVLDICQARVVYKIVERYFDIYEGGCITAKEVVVTNLNKSAGYPFNKHGKAGLYYESLSYEEQ -DELYAYTKRNILPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVNTRGATVVIGTTKF -YGGWDNMLRTLISDVECPCLMGWDYPKCDRALPNMLRMISAMILGSKHVTCCNASDRYYRLCNELAQVLT -EVIYSNGGFYVKPGGTTSGDASTAYANSVFNIYQSVSANVNRLLSVDSNTCNNLDVKCLQRKLYDNCYRS -SHVDANFVDEYFSFLRKHFSMMILSDDGVVCYNSEYASLGYVADISAFKAVLYYQNNVFMSSSKCWVEPD -ITKGPHEFCSQHTMQIVDKEGTYYMPFPDPSRIISAGVFVDDVVKTDPVILLERYVSLAIDAYPLSKHEN -PEYRRVFSTLLEWVKHLYKTLNQGVLESFSVTLLEDSTAKFWDESFYANMYERSAVLQSAGLCVVCGSQT -VLRCGDCLRRPMLCTKCAYDHVMGTNHKFILAITPYVCCASGCGVSDVTKLYLGGLSYWCVDHKPRLAFP -LCSAGNVFGLYKNSATGSPDVEDFNVLATSEWTDVRDYKLANEVKDSLRLFAAETIKAKEETVKSSYACA -TIHEVIGPKELVLKWEAGKPKPPLNRNSIFTCYHITKNTKFQIGEFTFEKLEYDNDAVSYKSTSTTKLLP -GMVFVLTSHNVQPLRAPTLVNQERFSSIHKLHPAFNIPEAYSTLIPYYQMIGKQKITTIQGPPGSGKSHC -VVGLGLYYPNARIVFTACSHAAVDSLCAKASTVFSSDRCSRIIPQRARVECYDGFKANNTNAQYLFSTVN -ALPEVNADICVVDEVSMCTNYDLSVINQRVAFRHIVYVGDPQQLPAPRVMITRGTLEPKDYNVVTQRMCA -IKPDIFLGKCYRCPAEIVRTVSEMVYENQFMPVHPDSKQCFKILCKGSVQVDNGSSINKRQLDVVRMFLA -KNPKWAKAVFISPYNSQNYVASRVLGLQTQTVDSSQGSEYDFVIYTQTSDTTHACNINRFNVAITRAKKG -ILCVMCDKELFDLLKFYELKLSDLQAGEGCGLFKDCYKGDDSLPPSHAATFMALSDNFKTDKDLAVQIGV -TGPVKYEHVVSFMGFRFDINIPNHHTLFCTRDFAMRNVRGWLGFDVEGAHVTGSNVGTNVPLQLGFSNGV -DFVVRPEGCVSTEKGDFPQPVRARAPPGDQFTHLVPLLRKGQPWSVVRRRIVQMCSDYLSNLSDILVFVL -WAGGLELTTMRYFVKIGPIKHCHCSKIATCYNSSSHDFYCFKHALGCDYLYNPYCIDIQQWGYTGSLSLN -HHEHCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSITYPFIANEDVINKSGRILQSHIMRAALKLYNP -KAVHDVGNPKGIRCVATDISWYCYDKQPTNTNVRTLEYDYITHGQLDGLCLFWNCNVDMYPEFSIVCRFD -TRCRSNLNLEGTNGGSLYVNKHAFHTLAFDKRCVAKLKAMPFFFYDESDCDKLHDAINYVPLRASNCITK -CNVGGAVCSKHCAMYHNYVNAYNAFTAAGFTMWVPTSFDTYNLWQTFSVPNLQGLENIAFNVVKKGSFVG -AEGELPVAIVNDRVMVRDGASDNVVFTNHTSLPTNVAFELFAKRKIGLTPPLTILKNLGVLCTHKCVLWD -YEANRPLTTFTKDVCKYTDFDGDVCTLYDNSVPGGFERFTMAKNAVLISLTSVKKLTAIKIRYGYLNGAP -VSTHEDKPFTWYIYTRRNGVFDDYPDGYFTQGRTVADFKPRSTMEEDFLNLDTSLFINKYGLEDYGFEHV -VYGDVAKSTLGGLHLLISQIRLSRIGLLKVEDFISSSDSTLKSCTVTYVDDPSSKMVCTYVDLLLDDFVS -ILKSLDLSVVSKVHEVIVDCKSWRWMLWCKDNKVQTFYPQLQSAEWKCGYNMPSLYKIQRMCLEPCNLYN -YGAGIKLPEGIMFNVVKYTQLCQYLNSTTMCVPHHMRVLHLGAGSDKGVAPGTAVLKRWLPLDAVIVDND -ALDYVSDADFSFTGDCSSLYLTDKFDLVISDMYDGRIKSCDGENVSKEGFFPYINGVISEKLALGGTVAI -KITEFSWNKKLYELIQKFEYWTMFCTSVNTSSSEAFLIGVHYLGDFSTNAIIDGNTMHANYIFWRNSTMM -SMSYYSVLDLSKFSCKHKATVVVNLKDSAVNDVVIGLLRNGKLLIRNSGVICGFSNHLVNTTK ->ACA52156.1 ORF1ab polyprotein [Bat coronavirus 1B] -MQSNLVTLAFANDSEISAEGFCDVETAVYAFSVSAVNGFADCRFVAQGLENCLVGVEADDYVLCVVGDVQ -LKAYIAKFSHRPFNLRGWIVRSNSNYFLETMDLVFGCGAGTSIPVDNYMCGANGKPVITEDMWYFCDYFG -DDGDKITINGQEYHKAWNVTRSDVPYQFQNAGTILSIEYVSTEAHVLPDGAIAKTAKPPKFSKNVVLSEK -CKALYDACGSPFVTNGTNVLEVVTNPIFAHGFVQCKCGSKHWTTGDWSGFKSVCCGTPGRVLCTVFGGVT -PGSILLTSTRVDATPGATRYYHGLTLKHICNVDDVACWRVVKVQAVAGFVVKGSLEECVSTFDTCTHDNF -TTVAKAFKLGMLTGSFSDDVVASVISGSLDVGLSVLDVTTAVTKPWFVLKCGSLLETAWDALIVAVKQLP -VMASEVLKFFNNLSQVLIVVRDGVIDIIHNVPEAFKSAFEVFKDLVSGVFDLVVDHFKVANKKFKRAGNY -VLFENALACIVSAKVKGVKQAGLKKLLYAKAIVGATVKVTVSRIETATVKLTECKPSKFVKKGNVAVIND -IAFFHSDGVYRLMSDSDEVYEDIAFTAEGTSPVKTTVFNCAKPDGFPDITSTDVEVLVREVKTALDSFSR -VYDKYSCAVKSGDCVVTHKYVFNVPSFVEDKTMFVDLCKDYVVDSGFEAFYVNALAATNADDFNPVYSAF -EIFKTKVECPEELKNIDGGSIFETFINTVNDAVNFVKSLKIVVTATEVMINTVKRFKRFASVLAKLYSEF -LTSVKRVISIGSVTCFHYGFVKPMLVIKDVFYCIEDAIVDTFNVATEAGLNTVKTFIGGDSSITVSRVEI -ASVELEAAQYVKPEDNGYVSVIDGHTFYTCGDYYHPCDQQNCFSQCFKKVGGSAVTFSEKVAVKQIDPVY -KVKLIFEFEDDTISSVCKQAIGKYITFEGNDWSNFEETIHNAMSVVGEFVDLPDYYIYDEEGGNDLNNSV -MISQWPMFDPSALQLLVADLGVNCDFNGKSSIEECLTSIPDTALCVSLEKSCDCGTFNAIMEGFALDFKP -CADIDTCDNCGGLCTTTVLSMTGTGFVRSCDEPLMPFNVTFEGYGVYKDVCFVNDTVLPPPIDDDLTPIE -DVTEEDIIVAEEVVDVATITAVEVTDAEPTVITSEEEVNDANEPQAEEEVKPEEVIDVSSDLDDVNKALS -FMMPQETKFVDPFKFDYFDHEGIRVLRQNNNNCWVATTLVQLQLSGLLDDDDSMALFKAGSVSPLVRKCY -NATGAIMGSLGDSSQCLEVLLKDLHSMFITCDSTCGCGSGTYELSGSVFRFMPTRDSFDYGACTVCGKVL -KLKIKTLVGTGIFCQDPKPFNTARAIVKPICASIYQGSTTSGHYKTNVYGKRFCVDGSGVSSICNGNVNT -ILLKDCNYGIPAEEPKQKEFEKFVTPDDVVQIAQPKPKPFTTYDNIEFYQGDISDLVGLDFDFIVNAANE -NLKHAGGVAAAIDKLTGNELQSLSNKYVKANGQVKVGSGVMIRCKKYSVFNVVGPRKGKNAPTLLEKCYK -TILHENGVPLTPLISVGIFGIPLATSFDALLNTSSGRTVRCFCYTDKECNEIKTLVSDRKKQVNAVTVIA -ENKPIAEAKAEKKPIAEAKVEKEPIAEAKVEKEPIAEAKVEKKPTADAKKADKKLATEKSVVAESKQVSA -VDNKSVAEVKNTPVADEKLIAEVKEPVLKVAGVSYYNIEDSFSIGVDNIVILTNSKLDLGKLGEYVNEYS -GGALKSAVSGYLSKTPNVPAGNVISMHCSSLLTVAFAVVPSDGDVQYVKNVKRTISKLSKLKGSSVCSFS -TLDMHKRLLSVFNKFCVDNIDSVKDIHDTKTTVKVSLDGRNVVDVDVAADKTIGEQLNACTTDNVIISNS -VVTDVIDTVVNVAPEADWDTFYGFPNAAEFHMLDHSAYAFDSDVVDGKRALVGTDNNCWVNAVCLQLQFA -EVDFTSEGLKDMWNEFLVGNVAKFCHWLYWLVRATKGDAGDAENALNMLAKYVKAHGTITITRETDDGCC -ANEHRISSFVVNASVLRSGCTDGYCKHGNAYTACVSKVDGVSVIVNVDRPSVMSDNLLLTGTSYTAFSGP -MDSGHYRVYNPVTSKMFDGANCVGGDLCNLAVTAVVVKNKVFKMQTSNSNTPVKIVKKLDDASEKFFSFG -DVVSKNICNSIIWFFTMLSIIFKAFKTRDFKVFALAPERTGVILSRSLKYNVKATQFLLKRKQGYVLKFL -KLSVIAYALYALSFMFVRFSPANEYFCKEHVEGYGNSTFVKDEYCASTMCKVCLFGYQELADLPHTKVVW -KYVGFPIFVNWLPFLYLAFLFIFGGIFVKGLVCYFLAQYVNNFGVYFGMQETFWPLQVIPFNVFGDEIVV -TFLVYKALMFIKHVCFGCDKPSCVACSKSARLTRVPMQTIVNGANKSFYVVANGGSSYCHKHKFFCLNCD -SYGPGNTFINETVARELSNVVKTNVQPTGDSFIEVDKVSFENGFYYLYSGETFWRYNFDVTDAKYGCKEV -LKNCNVLADFIVYNNTGSNVSQIRNACVYFSQMLCKPIKLVDATLLSTLNVDFNGALHSAFIQVLNDSFS -KDLSSCASMTECKQALGFDVSDEEFVNAVSNAHRFNVLLSDNSFNNLLTSYAKPEEQLSTHDVATCMRFN -AKVVNHNVLIKENVPIVWLARDFQQLSEEGRKYLVKTTKAKGVTFLLTFNSNAMNVKLPVISIVNKKGAG -VSSKFIWWVCAAIITFFLCLSISEGLVATSFADFGFKYIKDGVMHDFDQPLSCVHNVFDNFNSWHEARFG -SIPSNMLKCPIVVGTLDDVRNVPGVPSGIVLVGKTLVFAIKAVFTDAGNCYGLNGLTTAGACLFNSACTK -LEGLGGTHVYCYKDGLFEGSKRYFDLVPHSNYKMEDGNFVKLPETLVNGFGINIIRTMETTYCRVGECLK -SKAGVCFGANRFFVYNDDFGSDYICGNGLMSFVKNLFNTFTMSLSVMALSGQVIFNCVVAAMAIFICFLV -VKFKRMFGDLSYGVCSVIAAVTINNLSYVFTQNMLFMFVYATFYFLAVRNLNYAWIWHASYVVAYFNLAP -WFIIVWYVVTMLTGLLPSVLKLKISTNLFEGDKFVGTFENAAFGTFVIDMHSYEKLVNSITPEKLKQHAS -MFNKYKYYSGSASEADYRCACFAHLAKAMTDYAANHQDMLYSPPSISYNSTLQAGLRKFAQPSGVIEHCI -VRVSYGNMVLNGIWLGDEVICPRHVIASSTNTTIDYDHEYTMMRLHNFSVSSGNLFIGVVSAKMRGASLV -IKVNQNNPHTPKHVFKTLKAGDAFNILACYDGVPSGVYGTILRHNKTIRGSFINGACGSPGFNINGDTVE -FVYLHQLELGSGCHVGSNMEGAMYGGFEDQPSLQIEGADCLVTVNVIAFLYGAILNGCTWFLSNERITAE -VFNGWAHANNFTEVGSLDCFNILAAKTGVDIQRVLASIQKLSKGFGGRNIIGYASLTDEFTVTEVVKQMY -GVSLQSKRVPSVFNNVILVSVFWSMFLSELLYYTSSYWIKPDLITAVFVLLFGVAVMLTFTIKHKVLFLY -TFLIPSVVISACYNLAWDLYIRELLAKYFDYHMSIFSMDIQGCFNILACIFVNAIHTWRFVKTGTATRLT -YVLSLCVSVYNYWCCGDFLSLAMMVLLNINNNWYIGAFAYRFSVFVVNYMDPSVIRMLGGVKVILFMYVL -CGYLCCVYYGICYWFNRFFKCTMGLYEFKVSPAEFKYMVANDLRAPTGVFDSMSLSLKLMGLGGERTIKI -STVQSKLTDIKCTNVVLMGCLSSMNIEANSKKWSYCVDLHNKINLCDDAEKAMEYLLALVTFFISEHADF -NVSELVDSYFGDNSILQSVASTFVNMPSFMAYENARQSYEEAINNGSSPQLVKQLKRAMNIAKAELDHES -SVQRKLNRMAEQAAAQMYKEARAVNKKSKVISSLHTLLFGMLRKLDMSSVDNILSLARDGVVPLSIIPAA -CATKLTIVVSDFESFKRIFQLGNVQYAGVVWSLIEVKDNDGKPVHVKEITATNTALTWPLILNCERVVKL -QNNEVIPGKLNVRPVKGEGDGGFTADGKALFNNEGGKTFMYAFIADKPDLKVVKWEFDGGCNVIELEPPC -KFAVVDAGGNNVIKYLYFVKNLNTLRRGAVLGFIGATVRLQAGKQTELAVNSSLLTLCSFAVDPAKCYLY -AVKSGVKPINNCVKMLSNGSGTGQAVTVGVEATTNQDSYGGASVCLYCRAHVDHPSIDGFCQFKGRYVQV -PVGTVDPIRFCLENQVCKVCHCWLNNGCSCDRTAVVQSMDHAYLNRARGSSASRLEPCNGTEPEHVVRAF -DIYNKEVASIGKFVKVNCVRFKNLDKHDAFFIVNRCTKSVMEHEQSIYDTLKYSGALSVHDFFLWKDGRA -IYGNICRQDLTKYTMMDLVNALRNFDEKNCEVLKEILILTGACDASYFDNKSWYDPVENEDIHRVYAKLG -GVVANAMLKCVSLCDAMTEKGIVGVITLDNQDLNGNFYDFGDFVPSIPGVGVPVCTSYYSYMMPVMGMTN -CLACECFIKSDIFGSDFKTFDLLDYDFTEHKLKLFDKYFKYWGQDYHPDCADCYDEMCIVHCANFNTLFA -TTIPNTAFGPLCRKVFIDGVPVVTTAGYHFKQLGLVWNKDINTHSTKLSINELLRFVSDPALLVASSPAL -VDQRTVCFSVAALGTGVTKQTLKPGHLIKKFYDFLREHGFFDEGSELTLKHFFFAQKGDAAIRDFDFYRY -NRPTVLDICQARVAYHVVKKYFEVYEGGCIAARDVVVTNLNKSAGYPLNKFGKAGLYYEALSYEEQDALY -AVTKRNILPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQFHQKHLKSIVNTRNATVVIGTTKFYGGW -DNMLRNLIDGVDNAFLMGWDYPKCDRALPNMIRMISAMILGSKHENCCTNSDRYYRLCNELAQVLTEVVY -SNGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANINRILGVNSNTCNNLTVKSLQRSLYDNCYRTSTVD -PAFVDTFYGYLRKHFSMMILSDDGVVCYNKEYASLGYVADIGAFKATLYYQNNVFMSTAKCWVEEDLSKG -PHEFCSQHTLQIVDGDGTYYLPYPDPSRILSAGVFVDDIVKTDAVILLERYVSLAIDAYPLSKHPNPEYR -KVFYVLLDWVKHLNNTLNEGVLESFSVTLLEDSSSKFWDEGFYASLYEKSSVLQASGLCVVCGSQTVLRC -GDCLRRPMLCTKCAYDHVVSTPHKFILSITPYMCNTSGCTVNDVTKLYLGGLSYYCIDHKPTLAFPLCSN -GNIFGLYKNSATGSPDVEVFNTLPTSEWNDAKDYRLANEVKDSLRLFAAETVKAREESVKSSYAGATLKE -VLGPRELLLSWEVGKVKPPLNRNSVFTCYQITKDSKFQVGEYTFEKLDYDNDTVSYKSSTTYKLVPGMIF -VLTSHNVPPLRAPTIANQERYAAIHKLRPVFNISDDYANLVPYYQMIGKQMITTIQGPPGSGKSHCVIGL -GLYYPNARIVFTACSHAAVDSLCVKASKNYVVDHCSRIIPARARVECYNGFKANNNSAQYIFSTVNALPE -CNADIVVVDEVSMCTNYDLSVINQRVSYRHIVYVGDPQQLPAPRTMITRGVLEPKDYNVVTQRMCAVGPD -VFLHKCYRCPAEIVNTVSELVYENKFKPVHDDSKHCFKIYCKGTVQVDNGSSINRRQLEVVKMFLANNPR -WSKAVFISPYNSQNYVASRVLGLQIQTVDSSQGSEYDYVIYTQTSDTAHACNINRFNVAITRAKKGIFCV -MCDKTLYDSLKFFEIQLTDLQSGDLCGLFKDCSRVEEPLPPAYAPTYVSLSERFKTSGDLAVNVGAKGPC -TYEHVISYMGFRFDLNVPGYHTLFCTRDFAMRNVRGWLGMDVEGAHVCGSNVGTNVPLQVGFSNGVDFVV -QPEGCVMNNVNDTITPVKAKAPPGEQFTHLIPLMRKGQPWNVVRKRIVQMCCDYISSSSDVIIFVLWAGG -LELTTMRYFVKVGPRMDCHCSKVATCYNSVEHQYYCFKHAMGCDYIYNPYVIDIQQWGYTGSLSSNHHAH -CNVHRNEHVASGDAIMTRCLAIYDCFVKNVDWSITYPFIGNEAAINKGGRIVQSQVVKAAIKVYNPKVIH -DIGNPKGIRCAVTNASWYCYDKQPLNSNVKTLEYDYLIHGQMDGLCLFWNCNVDMYPEFSVVCRFDTRCK -SSFNLEGVNGGSLYVNNHAFHTPAFDKRAFAKLKQAPFFFYDDSDCDSVQGSVNYVPLRASNCITRCNIG -GAVCNKHANMYYSYVNSYNTYVQAGFTIWVPKSFDTYNLWQTLVTPKLQSLENVAYNVVKHGSFKGVEGD -LPVAIVSDKVFVRDGVVDNVIFTNKTTLPTNIAFELYAKRKIGNSPSLTVLRNLGVTCTYKFVLWDYEAE -RPFTNYTKEVCAFTDFDADVCTCYDNSVEGSFERFSLCRNGVLISTTAVKKLSAIKLNYGYLNGCPITSH -EDKPVTWYYYVRKDGVFVDQCDGIFTQGRNVSIFEPRSEMESDFLNLDMGLFISKYGLEDYAFEHIVFGD -VSKNTLGGLHLLISQVRLSKMGVLKVEDFVSSTDSTLKSCSVTYVNDPSSKMVCTYMDILLDDFVGILKS -LDLSVVSKVHEVIVDCKVYRWMLWCKDYKVQTFYPQLQSAEWKCGYSMPSLYKVQRMCLEPCNLYNYGAS -IKLPDGIMFNVVKYTQLCQYLNSTTMCIPHSMRVLHLGAGSDKGVAPGTSVLRRWLPTDAVIVDNDVNDY -VSDADISVTGDCTTLYLQDKFDLVISDMYDGRIKQMDGENVSKDGFFVYVNGVITEKLALGGTVAIKITE -YSWNKRLYELIQKFSYWTMFCTSVNTSSSEAFLIGVNYLGDFATDPIIDGNVLHANYIFWRNSTIMAMSY -NSVLDLSKFQCRHKATVVIALKDNDINDVILGLIKNGKLLIRKNGVVCGYGNHLVSTK ->ADX59465.1 polyprotein, partial [Chaerephon bat coronavirus/Kenya/KY41/2006] -SLDTAYLNRVRGSSAARLEPCNGTDVHHVKRAFDVYNKEVACLAQFLKVNCVRFRNLDKQDAFYIVKRCA -KSVMEHEHSIYEKLKNSGAVAEHDFFEWKDGRSVYGNVCRRDLTEYTMMDLCYALRNFDERNCDVLKKIL -VVTGACSSTYFDNEKWFDPVENEDIHRVYAKVGKIVANAMVKCVAYCDAMVSSGVVGVVTLDNQDLNGNF -YDFGDFTVSIEGMGIPLCTSYYSYMMPIMTMTNCLASECFMSSDMFSSDFKTFDLLQYDFTDHKLQLFNK -YFKHWDQEYHPNCADCYDEHCILHCANFNTLFSTTIPATAFGPLCRKCWIDGVPFVSTAGYHFMQLGIVW -NKDVQVHNSRLTLNELLRYCADPSLMISSSPALVDGRTACFSVAALGTGLTHQTVKPGHFNKEFYEFLKS -RGFFEEGSSLTLKHFFFAQKGDAAVVDFDYYRYNKPTMLDICQARFVFDVVQRYFDCYDGGCITAKDVVV -TNLNKSAGWPYNKFGKAGLYYESLSYDEQDELFAHTKRNVLPTMTQLNLKYAISGKDRARTVGGVNLLST -MTNRQFHQKCLKSIVNTRGSTIVIGTSKFYGGWDSMLRTLIDGVENASLMGWDYPKCDRAMPNMLRMIAA -MVLGNKHTTCCTFSEKFYRLSNELAQVLTEVVYSNGGFYFKPGGTTSGDATTAYANSAFNIFQAVSANVN -RLLTVDSNTCNNVNVKNLQRRLYDNCYRSVRVDPDFVNEYYGYLQKHFSMMILSDDGVVCFNTEYATLGY -IADISAFKAVLYYQNNVFMSDAKCWTESDVSKGPHEFCSQHTMQIVDKDGTYYLPYPDPSRILSAGVFVD -DVVKTDAVILLERYVSLAIDAYPLSKHENYEYRKVFYAMLDWVKHLYKTLNQGVLESFSVTLLEDATAKF -WDESFYASMYEQSAILQAAGLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVIGTTHRFILSITPYVCCAS -DCGVTDVTKLYLGGLSYWCVDHKPKLAFPLFSAGNVFGLYKNSALGSPDVESFNLLAMSDWTDVRDYKLA -NEVKDSLRLFAAETIKAKEESVKSSYACATIHEVLGPKELLLKWEVGKPRPPLNRNSVFTCYHITKNTKF -QVGEFVFEKLDYDNDAVSFRSTATTKLVPGMVFVLTSHNVQPLRSPTIVNQERFSSIVKLHPAFNIADDY -STLVPYYQLIGKQKITTIQGPPGSGKSHCVIGLGLYFPNARIVFTACSHAAVDSLCVKAAIAYSVDKCTR -IIPQRARVDCYDGFKSNNTSAQYLFSTVNALPECSADIVVVDEVSMCTNYDLSVINQRVNYRHIVYVGDP -QQLPAPRVMITRGTLEPQDYNVVTQRMCAVKPDIFLHKCYRCPAEIVNTVSELVYANQFKPVHPESKQCF -KILCKGNVQIDNGSSINRRQLDVVRMFLTKNPKWSKAVFISPYNSQNYVASRVLGLQIQTVDSSQGSEYD -YVIFAQTSDTAHACNVNRFNVAVTRAKKGIFCVMCDKSLFDALKFFELKVSDLQGSGDCCGLFKDCYKGD -DLLPPSHAPTYVALSDNFKTTNDLAVQIGTNKPIKYEHVISYMGFRFDVNVPDCHTLFCTREFAKRHVRG -WLGFDVEGAHVVGANVGTNLPLQLGFSNGVDFVVRPEGCVYNENGNAIQPVRARAPPGEQFSHLVPLLRK -GQPWNVVRKRIVQMCCDYLDGLSDVLIFVLWAGGLELTTMRYFVRVGSVKYCDCGKIATCYNSVVCSYCC -FKHALSCDYLYNPYCIDIQQWGYTGSLSLNHHEHCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSIMY -PFIANESVINKSGRIVQAHVVKAMIKLFKPKAIHDIGNPKGIRCCVADLSWYCYDKNPTNSNVKTLEYDF -MVHGQLDGLCLFWNCNVDMYPEFSVVCRFDTRVRSQLNLEGCNGGSLYVNNHAFHTPAFDKRAFAKLKPM -PFFFYDDGDCDKLCDSINYVPLRAANCVTRCNVGGAVCSRHNALYHNFVNAYNVFTTAGFTLWVPTNFDV -YNLWHTFTKPNLQSLENIAYNLVKKGSFVGAPGELPVAIVNDKVLVRDGVVDTLLFTNKTALPPNIAFEL -YAKRKVGLTPPITILRNLGVVCTSKCVLWDFDAERPLTHFTKDVCAYTDFDGDVCTLFDNSIPGAFERFT -LTRDAVLMSLTSIKKLTCIKLNYGYLNGVPVAETDGKPFTWYIYVRKNGEFIDFPDSYFTQGRTSSNFKA -RSAMERDFLTMDVDVFINRYGLEELGFKHVVYGDVSKWTLGGLHLLISQVRLANMGVFTIEEFMSTTDST -LKSCTVTYVDDPSSKNVCTYMDLLLDDFVTLLRSLDRSVVSKVHEVMVDCKVYRWMLWCKDHKISTFYPQ -LQSVEWKCGYSMPSLYKIQRMCLEPCNLYNYGSGIKLPDGIMFNVVKYTQLCQYLNSTTMCVPHNMRVLH -LGAGSDKGVAPGTAVLRRWLPVDCVIVDNDACDYVSDADFSYTGDCSTLYLQDKFDLVISDMYDGRVKGV -DGPNVSKDGFFPYINGVITEKLALGGTVAIKVTEYSWNKKLYELIQKFEYWTMFCTSVNTSSSEAFLVGV -HYLGGFSKNVVLDGNIMHANYIFWRNSTIMAMSYNSVLDLSKFSCRHKATVVVNLKDSSINDVVVGLLKG -GKLLVRGNGPVCAFNNHLVVTK ->AID56804.1 polyprotein [Porcine epidemic diarrhea virus] -MASNHVTLAFANDAEISAFGFCTASEAVSYYSEAAASGFMQCRFVSFDLADTVEGLLPEDYVMVVVGTTK -LSAYVDTFGSRPKNICGWLLFSNCNYFLEELELTFGRRGGNIVPVDQYMCGADGKPVLQESEWEYTDFFA -DSEDGQLNIAGITYVKAWIVERSDVSYASQNLTSIKSITYCSTYEHTFPDGTAMKVARTPKIKKTVVLSE -PLATIYREIGSPFVDNGSDARSIIKRPVFLHAFVKCKCGSYHWTVGDWTSYVSTCCGFKCKPVLVASCSA -TPGSVVVTRAGAGTGVKYYNNMFLRHVADIDGLAFWRILKVQSKDDLACSGKFLEHHEEGFTDPCYFLND -SSIATKLKFDILSGKFSDEVKQAIFAGHVVVGSALVDIVDDALGQPWFIRKLGDLASAAWEQLKAVVRGL -NLLSDEVVLFGKRLSCATLSIVNGVFEFIAEVPEKLAAAVTVFVNFLNELFESACDCLKVGGKTFNKVGS -YVLFDNALVKLVKAKVRGPRQAGVCEVRYTSLVIGSTTKVVSKRVENANVNLVVVDEDVTLNTTGRTVVV -DGLAFFESDGFYRHLADADVVIEHPVYKSACELKPVFECDPIPDFPMPVAASVAELCVQTDLLLKNYNTP -YKTYSCVVRGDKCCITCTLHITAPSYMEDAANFVDLCTKNIGTAGFHEFYITAHEQQDLQGFVTTCCTMS -GFECFMPIIPQCPAVLEEIDGGSIWRSFITGLNTMWDFCKHLKVSFGLDGIVVTVARKFKRLGALLAEMY -NTYLSTVVENLVLAGVSFKYYATSVPKIVLGCCFHSVKSVLASAFQIPVQAGIEKFKVFLNCVHPVVPRV -IETSFVELEETTFKPPALNGSIAIVDGFAFYYDGTLYYPTDGNSVVPICFKKKGGGDVKFSDEVSVRTID -PVYKVSLEFEFESETIMAVLNKAVGNRIKVTGGWDDVVEYINVAIEVLKDHIDVPKYYIYDEEGGTDPNL -PVMVSQWPLNDDTISQDLLDVEVVTDAPIDFEGDEVDSSDPDKVADVANSEPEDDGPNVAPETNVESEVE -EVAATLSFIKDTPSTVTKDPFAFDFASYGGLKVLRQSHNNCWVTSTLVQLQLLGIVDDPAMELFSAGRVG -PMVRKCYESQKAILGSLGDVSACLESLTKDLHTLKITCSVVCGCGTGERIYEGCAFRMTPTLEPFPYGAC -AQCAQVLMHTFKSIVGTGIFCRDTTALSLDSLVVKPLCAAAFIGKDSGHYVTNFYDAAMAIDGYGRHQIK -YDTLNTICVKDVNWTAPFVPDVEPVLEPVVKPFYSYKNVDFYQGDFSDLVKLPCDFVVNAANENLSHGGG -IAKAIDVYTKGMLQKCSNDYIKAHGPIKVGRGVMLEALGLKVFNVVGPRKGKHAPELLVKAYKSVFANSG -VALTPLISVGIFSVPLEESLSAFLACVGDRHCKCFCYSDKEREAIINYMDGLVDAIFKDALVDTTPVQED -VQQVSQKPVLPNFEPFRIEGAHAFYECNPEGLMSLGADKLVLFTNSNLDFCSVGKCLNNVTGGALLEAIN -VFKKSNKTVPAGNCVTFECADMISITMVVLPSDGDANYDKNYARAVVKVSKLKGKLLLAVGDAMLYSKLS -HLSVLGFVSTPDDVERFYANKSVVIKVTEDTRSVKTVKVESTVTYGQQIGPCLVNDTVVTDNKPVVADVV -AKVVPSANWDSHYGFDKAGEFHMLDHTGFAFPSEVVNGRRVLKTTDNNCWVNVTCLQLQFARFRFKSAGL -QAMWESYCTGDVAMFVHWLYWLTGVDKGQPSDSENALNMLSKYIVPAGSVTIERVTHDGCCCSKRVVTAP -VVNASVLKLGVEDGLCPHGLNYIDKVVVVKGTTIVVNVGKPVVAPSHLFLKGVSYTTFLDNGNGVAGHYT -VFDHDTGMVHDGDVFVPGDLNVSPVTNVVVSEQTAVVIKDPVKKVELDATKLLDTMNYASERFFSFGDFM -SRNLITVFLYILSILGLCFRAFRKRDVKVLAGVPQRTGIILRKSVRYNAKALGVFFKLKLYWFKVLGKFS -LGIYALYALLFMTIRFTPIGGPVCDDVVAGYANSSFDKNEYCNSVICKVCLYGYQELSDFSHTQVVWQHL -RDPLIGNVMPFFYLAFLAIFGGVYVKAITLYFIFHYLNILGVFLGLQQSIWFLQLVPFDVFGDEIVVFFI -VTRVLMFLKHVFLGCDKASCVACSKSARLKRVPVQTIFQGTSKSFYVHANGGSKFCKKHNFFCLNCDSYG -PGCTFINDVIATEVGNVVKLNVQPTGPATILIDKVEFSNGFYYLYSGDTFWKYNFDITDNKYTCKESLKN -CSIITDFIVFNNNGSNVNQVKNACVYFSQMLCKPVKLVDSALLASLSVDFGASLHSAFVSVLSNSFGKDL -SSCNDMQDCKSTLGFDDVPLDTFNAAVAEAHRYDVLLTDMSFNNFTTSYAKPEEKLPVHDIATCMRVGAK -IVNHNVLVKDSIPVVWLVRDFIALSEETRKYIIRTTKVKGITFMLTFNDCRMHTTIPTVCIANKKGAGLP -SFSKVKKFFWFLCLFIVAVFFALSFFDFSTQVSSDSDYDFKYIESGQLKTFDNPLSCVHNVFSNFDQWHD -AKFGFTPVNNPSCPIVVGVSDEARTVPGIPAGVYLAGKTLVFAINTIFGTSGLCFDASGVADKGACIFNS -ACTTLSGLGGTAVYCYKNGLVEGAKLYSELAPHSYYKMVDGNAVSLPEIISRGFGIRTIRTKAMTYCRVG -QCVQSAEGVCFGADRFFVYNAESGSDFVCGTGLFTLLMNVISVFSKTVPVTVLSGQILFNCIIAFAAVAV -CFLFTKFKRMFGDMSVGVFTVGACTLLNNVSYIVTQNTLGMLGYATLYFLCTKGVRYMWIWHLGFLISYI -LIAPWWVLMVYAFSAIFEFMPNLFKLKVSTQLFEGDKFVGSFENAAAGTFVLDMHAYERLANSISTEKLR -QYASTYNKYKYYSGSASEADYRLACFAHLAKAMMDYASNHNDTLYTPPTVSYNSTLQAGLRKMAQPSGVV -EKCIVRVCYGNMALNGLWLGDTVICPRHVIASSTTSTIDYDYALSVLRLHNFSISSGNVFLGVVGVTMRG -ALLQIKVNQNNVHTPKYTYRTVRPGESFNILACYDGSAAGVYGVNMRSNYTIRGSFINGACGSPGYNINN -GTVEFCYLHQLELGSGCHVGSDLDGVMYGGYEDQPTLQVEGASSLFTENVLAFLYAALINGSTWWLSSSR -IAVDRFNEWAVHNGMTTVVNTDCFSILAAKTGVDVQRLLASIQSLHKNFGGKQILGYTSLTDEFTTGEVI -RQMYGVNLQSGYVSRACRNVLLVGSFLTFFWSELVSYTKFFWVNPGYVTPMFACLSLLSSLLMFTLKHKT -LFFQVFLIPALIVTSCINLAFDVEVYNYLAEHFDYHVSLMGFNAQGLVNIFVCFVVTILHGTYTWRFFNT -PVSSVTYVVALLTAAYNYFYASDILSCAMTLFASVTGNWFVGAVCYKAAVYMALRFPTFVAIFGDIKSVM -FCYLVLGYFTCCFYGILYWFNRFFKVSVGVYDYTVSAAEFKYMVANGLRAPTGTLDSLLLSAKLIGIGGE -RNIKISSVQSKLTDIKCSNVVLLGCLSSMNVSANSTEWAYCVDLHNKINLCNDPEKAQEMLLALLAFFLS -KNSAFGLDDLLESYFNDNSMLQSVASTYVGLPSYVIYENARQQYEDAVNNGSPPQLVKQLRHAMNVAKSE -FDREASTQRKLDRMAEQAAAQMYKEARAVNRKSKVVSAMHSLLFGMLRRLDMSSVDTILNLAKDGVVPLS -VIPAVSATKLNIVTSDIDSYNRIQREGCVHYAGTIWNIIDIKDNDGKVVHVKEVTAQNAESLSWPLVLGC -ERIVKLQNNEIIPGKLKQRSIKAEGDGIVGEGKALYNNEGGRTFMYAFISDKPDLRVVKWEFDGGCNTIE -LEPPRKFLVDSPNGAQIKYLYFVRNLNTLRRGAVLGYIGATVRLQAGKQTEQAINSSLLTLCAFAVDPAK -TYIDAVKSGHKPVGNCVKMLANGSGNGQAVTNGVEASTNQDSYGGASVCLYCRAHVEHPSMDGFCRLKGK -YVQVPLGTVDPIRFVLENDVCKVCGCWLANGCTCDRSIMQSTDMAYLNEYGALVQLDYGLFKRVRGSSAA -RLEPCNGTDTQHVYRAFDIYNKDVACLGKFLKVNCVRLKNLDKHDAFYVVKRCTKSAMEHEQSIYSRLEK -CGAVAEHDFFTWKDGRAIYGNVCRKDLTEYTMMDLCYALRNFDENNCDVLKSILIKVGACEESYFNNKVW -FDPVENEDIHRVYALLGTIVSRAMLKCVKFCDAMVEQGIVGVVTLDNQDLNGDFYDFGDFTCSIKGMGIP -ICTSYYSYMMPVMGMTNCLASECFVKSDIFGEDFKSYDLLEYDFTEHKTALFNKYFKYWGLQYHPNCVDC -SDEQCIVHCANFNTLFSTTIPITAFGPLCRKCWIDGVPLVTTAGYHFKQLGIVWNNDLNLHSSRLSINEL -LQFCSDPALLIASSPALVDQRTVCFSVAALGTGMTNQTVKPGHFNKEFYDFLLEQGFFSEGSELTLKHFF -FAQKGDAAVKDFDYYRYNRPTVLDICQARVVYQIVQRYFDIYEGGCITAKEVVVTNLNKSAGYPLNKFGK -AGLYYESLSYEEQDELYAYTKRNILPTMTQLNLKYAISGKERARTVGGVSLLSTMTTRQYHQKHLKSIVN -TRGASVVIGTTKFYGGWDNMLKNLIDGVENPCLMGWDYPKCDRALPNMIRMISAMILGSKHTTCCSSTDR -FFRLCNELAQVLTEVVYSNGGFYLKPGGTTSGDATTAYANSVFNIFQAVSANVNKLLSVDSNVCHNLEVK -QLQRKLYECCYRSTTVDDQFVVEYYGYLRKHFSMMILSDDGVVCYNNDYASLGYVADLNAFKAVLYYQNN -VFMSASKCWIEPDINKGPHEFCSQHTMQIVDKDGTYYLPYPDPSRILSAGVFVDDVVKTDAVVLLERYVS -LAIDAYPLSKHENPEYKKVFYVLLDWVKHLYKTLNAGVLESFSVTLLEDSTAKFWDESFYANMYEKSAVL -QSAGLCVVCGSQTVLRCGDCLRRPMLCTKCAYDHVIGTTHKFILAITPYVCCASDCGVNDVTKLYLGGLS -YWCHDHKPRLAFPLCSAGNVFGLYKNSATGSPDVEDFNRIATSDWTDVSDYRLANDVKDSLRLFAAETIK -AKEESVKSSYACATLHEVVGPKELLLKWEVGRPKPPLNRNSVFTCYHITKNTKFQIGEFVFEKAEYDNDA -VTYKTTATTKLVPGMVFVLTSHNVQPLRAPTIANQERYSTIHKLHPAFNIPEAYSSLVPYYQLIGKQKIT -TIQGPPGSGKSHCVIGLGLYYPGARIVFTACSHAAVDSLCVKASTAYSNDKCSRIIPQRARVECYDGFKS -NNTSAQYLFSTVNALPECNADIVVVDEVSMCTNYDLSVINQRISYRHVVYVGDPQQLPAPRVMISRGTLE -PKDYNVVTQRMCALKPDVFLHKCYRCPAEIVRTVSEMVYENQFIPVHPDSKQCFKIFCKGNVQVDNGSSI -NRRQLDVVRMFLAKNPRWSKAVFISPYNSQNYVASRMLGLQIQTVDSSQGSEYDYVIYTQTSDTAHACNV -NRFNVAITRAKKGILCIMCDRSLFDVLKFFELKLSDLQANEGCGLFKDCSRGDDLLPPSHANTFMSLADN -FKTDQDLAVQIGVSGPIKYEHVISFMGFRFDINIPNHHTLFCTRDFAMRNVRGWLGFDVEGAHVVGSNVG -TNVPLQLGFSNGVDFVVRPEGCVVTESGDYIKPVRARAPPGEQFAHLLPLLKRGQPWDVVRKRIVQMCSD -YLANLSDILIFVLWAGGLELTTMRYFVKIGPSKSCDCGKVATCYNSALHTYCCFKHALGCDYLYNPYCID -IQQWGYKGSLSLNHHEHCNVHRNEHVASGDAIMTRCLAIHDCFVKNVDWSITYPFIGNEAVINKSGRIVQ -SHTMRSVLKLYNPKAIYDIGNPKGIRCAVTDAKWFCFDKNPTNSNVKTLEYDYITHGQFDGLCLFWNCNV -DMYPEFSVVCRFDTRCRSPLNLEGCNGGSLYVNNHAFHTPAFDKRAFAKLKPMPFFFYDDTECDKLQDSI -NYVPLRASNCITKCNVGGAVCSKHCAMYHSYVNAYNTFTSAGFTIWVPTSFDTYNLWQTFSNNLQGLENI -AFNVVKKGSFVGAEGELPVAVVNDKVLVRDGTVDTLVFTNKTSLPTNVAFELYAKRKVGLTPPITILRNL -GVVCTSKCVIWDYEAERPLTTFTKDVCKYTDFEGDVCTLFDNSIVGSLERFSMTQNAVLMSLTAVKKLTG -IKLTYGYLNGVPVNTHEDKPFTWYIYTRKNGKFEDHPDGYFTQGRTTADFSPRSDMEKDFLSMDMGLFIN -KYGLEDYGFEHVVYGDVSKTTLGGLHLLISQVRLACMGVLKIDEFVSSNDSTLKSCTVTYADNPSSKMVC -TYMDLLLDDFVSILKSLDLGVVSKVHEVMVDCKMWRWMLWCKDHKLQTFYPQLQASEWKCGYSMPSIYKI -QRMCLEPCNLYNYGAGIKLPDGIMFNVVKYTQLCQYLNSTTMCVPHHMRVLHLGAGSDKGVAPGTAVLRR -WLPLDAIIVDNDSVDYVSDADYSVTGDCSTLYLSDKFDLVISDMYDGKIKSCDGENVSKEGFFPYINGVI -TEKLALGGTVAIKVTEFSWNKKLYELIQRFEYWTMFCTSVNTSSSEAFLIGVHYLGDFASGAVIDGNTMH -ANYIFWRNSTIMTMSYNSVLDLSKFNCKHKATVVINLKDSSISDVVLGLLKNGKLLVRNNDAICGFSNHL -VNVNK diff --git a/seq/clusters_seq/cluster_510 b/seq/clusters_seq/cluster_510 deleted file mode 100644 index b76717d..0000000 --- a/seq/clusters_seq/cluster_510 +++ /dev/null @@ -1,81 +0,0 @@ ->NP_056663.1 neuraminidase [Influenza B virus (B/Lee/1940)] -MLPSTVQTLTLLLTSGGVLLSLYVSASLSYLLYSDVLLKFSSTKTTAPTMSLECTNASNAQTVNHSATKE -MTFPPPEPEWTYPRLSCQGSTFQKALLISPHRFGEIKGNSAPLIIREPFVACGPKECRHFALTHYAAQPG -GYYNGTRKDRNKLRHLVSVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDNDALVKIKYGEAY -TDTYHSYAHNILRTQESACNCIGGDCYLMITDGSASGISKCRFLKIREGRIIKEILPTGRVEHTEECTCG -FASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTKTYLDTPRPDDGSIAGPCESNGDKWLGGIKG -GFVHQRMASKIGRWYSRTMSKTNRMGMELYVKYDGDPWTDSDALTLSGVMVSIEEPGWYSFGFEIKDKKC -DVPCIGIEMVHDGGKDTWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|P16203.1|NRAM_INBSI RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLKFSPTKRTAPTMSLDCANVSNVQAVNRSATKE -MTFLLPEPEWTYPRLSCQGSTFQKALLISPHRFGEARGNSAPLIIREPFIACGPKECKHFALTHYAAQPG -GYYNGTRKDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDSNALIKIKYGEAY -TDTYHSYANNILRTQESACNCIGGDCYLMITDGSASGISKCRFLKIREGRIIKEIFPTGRVEHTEECTCG -FASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESNGDKGLGGIKG -GFVHQRMASKIGRWYSRTMSKTERMGMELYVKYDGDPWTDSEALAPSGVMVSMKEPGWYSFGFEIKDKKC -DVPCIGIEMVHDGGKETWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|P16199.1|NRAM_INBMF RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLRFSSKITAPTMTLDCANASNVQAVNRSATKEM -TFLLPEPEWTYPRLSCQGSTFQKALLISPHRFGEARGNSAPLIIREPFIACGPKECKHFALTHYAAQPGG -YYNGTREDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDSNALIKIKYGEAYT -DTYHSYANNILRTQESACNCIGGDCYLMITDGSASGISECRFLKIREGRIIKEIFPTGRVEHTEECTCGF -ASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESDGDKGRGGIKGG -FVHQRMASKIGRWYSRTMSKTERMGMELYVKYDGDPWTDSDALAPSGVMVSMKEPGWYSFGFEIKDKKCD -VPCIGIEMVHDGGKKTWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|P27907.1|NRAM_INBBE RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLKFSSKITAPTMTLDCANASNVQAVNRSATKEM -TFLLPEPEWTYPRLSCQGSTFQKALLISPHRFGEARGNSAPLIIREPFIACGPKECKHFALTHYAAQPGG -YYNGTREDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDSNALIKIKYGEAYT -DTYHSYANNILRTQESACNCIGGDCYLMITDGSASGISKCRFLKIREGRIIKEIFPTGRVEHTEECTCGF -ASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESNGDKGRGGIKGG -FVHQRMASKIGRWYSRTMSKTERMGMELYVRYDGDPWTDSDALAHSGVMVSMKEPGWYSFGFEIKDKKCD -VPCIGIEMVHDGGKKTWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|P67923.1|NRAM_INBLN RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLKFSPKITAPTMTLDCANASNVQAVNRSATKEM -TFLLPEPEWTYPRLSCQGSTFQKALLISPHRFGEARGNSAPLIIREPFIACGPKECKHFALTHYAAQPGG -YYNGTREDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDSNALIKIKYGEAYT -DTYHSYANNILRTQESACNCIGGDCYLMITDGSASGISECRFLKIREGRIIKEIFPTGRVEHTEECTCGF -ASNKTIECACRDNNYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESNGDKGRGGIKGG -FVHQRMASKIGRWYSRTMSKTERMGMELYVKYDGDPWTDSDALAPSGVMVSIKEPGWYSFGFEIKDKKCD -VPCIGIEMVHDGGKKTWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|P16195.1|NRAM_INBMD RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLKFSPTKRTAPTMSLECVNVSNAQAVNHSATKE -MTFLLPEPEWTYPRLSCQGSTFQKALLISPHRFGETRGNSAPLIIREPFVACGPKECRHFALTHYAAQPG -GYYNGTRKDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDSDALIKIKYGEAY -TDTYHSYAHNILRTQESACNCIGGDCYLMITDGSASGISKCRFLKIREGRIIKEIFPAGRVEHTEECTCG -FASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESNGDKGLGGIKG -GFVHQRMASKIGRWYSRTMSKTERMGMELYVKYDGDPWTDSDALAPSGVMVSIKEPGWYSFGFEIKDKKC -DVPCIGIEMVHDGGKETWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|P16191.1|NRAM_INBHK RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLKFSSTKRTAPTMSLDCANVSNVQAVNRSATKE -MTFLLPEPEWTYPRLSCQGSTFQKALLISPHRFGETRGNSAPLIIREPFVACGPKECRHFALTHYAAQPG -GYYNGTRKDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYVGVDGPDSNALIKIKYGEAY -TDTYHSYANNILRTQESACNCIGGDCYLMITDGSASGISKCRFLKIREGRIIKEIFPTGRVEHTEECTCG -FASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESNGDKGLGGIKG -GFVHQRMASKIGRWYSRTMSKTERMGMELYVKYDGDPWTDSDALAPSGVMVSMKEPGWYSFGFEIKDKKC -DVPCIGIEMVHDGGKETWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|Q90021.1|NRAM_INBYB RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLKFSPTEITAPKVPLDCANASNVQAVNRSATKG -MTLLLSEPEWTYPRLSCQGSTFQKALLISPHRFGESRGNSAPLIIREPFIACGPKECKHFALTHYAAQPG -GYYNGTREDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDSNALIKIKYGEAY -TDTYHSYANNILRTQESACNCIGGDCYLMITDGSASGISKCRFLKIREGRIIKEIFPTGRVEHTEECTCG -FASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESNGDKGRGGIKG -GFVHQRMASKIGRWYSRTMSKTERMGMELYVKYDGDPWTDSDALAPSGVMVSMKEPGWYSFGFEIKDKKC -DVPCIGIEMVHDGGKKTWHSAATAIYCLMGSGQLLWDTVTGVDMAL - ->sp|P16205.1|NRAM_INBUS RecName: Full=Neuraminidase -MLPSTIQTLTLFLTSGGVLLSLYVSASLSYLLYSDILLKFSPTKRTAQTMSLDCANASNVQAVNHSATKE -MTFLLPEPEWTYPRLSCQGSTFQKALLISPHRFGEARGNSAPLIIREPFIACGPKECKHFALTHYAAQPG -GYYNGTREDRNKLRHLISVKLGKIPTVENSIFHMAAWSGSACHDGREWTYIGVDGPDSNALIKIKYGEAY -TDTYHSYANNILRTQESACNCIGGDCYLMITDGSASGISKCRFLKIREGRIIKEIFPTGRVEHTEECTCG -FASNKTIECACRDNSYTAKRPFVKLNVETDTAEIRLMCTETYLDTPRPDDGSITGPCESNGDKGRGGIKG -GFVHQRMASKIGRWYSRTMSKTERMGMELYVKYDGDPWTDSDALAPSGVMVSMKEPGWYSFGFEIKDKKC -DVPCIGIEMVHDGGKKTWHSAATAIYCLMGSGQLLWDTVTGVDMAL - diff --git a/seq/clusters_seq/cluster_511 b/seq/clusters_seq/cluster_511 deleted file mode 100644 index 66336e7..0000000 --- a/seq/clusters_seq/cluster_511 +++ /dev/null @@ -1,36 +0,0 @@ ->NP_056662.1 NB protein [Influenza B virus (B/Lee/1940)] -MNNATFNCTNINPITHIRGSIIITICVSLIVILIVFGCIAKIFINKNNCTNNVIRVHKRIKCPDCEPFCN -KRDDISTPRAGVDIPSFILPGLNLSEGTPN - ->sp|P16204.1|VNB_INBSI RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSVIITICVSFTVILTVFGYIAKIFTNKKNCTNNVIGLRERIKCSGCEPFCN -KRDDISSPRTGVDIPSFILPGLNLSESTPN - ->sp|P16200.1|VNB_INBMF RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSVIITICVSFIVILTVFGYIAKIFIKNNCTNNDIGLRERIKCSGCEPFCNK -RDDISSPRTGVDIPSFILPGLNLSESTPN - ->sp|P67909.1|VNB_INBME RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSVIITICVSFTVILTVFGYIAKIFTKNNCTNNDIGLRERIKCSGCEPFCNK -RDDISSPRTGVDIPSFILPGLNLSESTPN - ->sp|P16208.1|VNB_INBVI RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSVIITICVSFTVILTVFGYIAKIFTKNNCTNNDIGLHERIKCSGCEPFCNK -RDDISSPRTGVDIPSFILPGLNLSESTPN - ->sp|P16202.1|VNB_INBOR RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSVIITICVSFTVILTVFGYIAKIFNNKNNCTNNVIGLRKHIKCSGCEPFCN -KRDDISSPRTGVDIPSFILPGLNLSKSTPN - ->sp|P16196.1|VNB_INBMD RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSIIITICVSFTVILIVFGHIAKIFTNKKNCTNNVIRVRERIKCSGCEPFCN -KRDDISSPRARVDIPSFILPGLNLSESTPN - ->sp|P16192.1|VNB_INBHK RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSAIITICVSFTVILTVFGYIAKIFINKKNCTNNVIGLRERIKCSGCEPFCN -KRDDISSPRAGVDIPSFILPGLNLSESTPN - ->sp|P27908.1|VNB_INBBE RecName: Full=Glycoprotein NB -MNNATFNYTNVNPISHIRGSVIITICVSFTVILTVFGYIAKIFIKNNCTNNDIGLRERIKCSGCEPLCNK -RDDISSPRTGVDIPSFILPGLNLSESTPN - diff --git a/seq/clusters_seq/cluster_512 b/seq/clusters_seq/cluster_512 deleted file mode 100644 index 5e1b8e5..0000000 --- a/seq/clusters_seq/cluster_512 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009825010.1 3b protein [Duck coronavirus] -MLNFEQIIETGDLVLQQISFNLQHISSVIETQIFDPFECCYYSSGSFYEIESADDCSDDEFTE - ->YP_009825000.1 3b protein [Infectious bronchitis virus] -MLNLEAIFETGEQEIQKISFNLQHISRVLTTEVFDPFDYCYYRGGNFWEIESAEDCSGDDEFIE - ->YP_001941168.1 3b protein [Turkey coronavirus] -MLDFEAIIETGQQIIQQISFDLQHISSVLSTELFDPFEVCVYRGGNYWELESADEFSGDDEFIE - ->NP_040833.1 3b protein [Infectious bronchitis virus] -MLNLEVIIETGEQVIQKISFNLQHISSVLNTEVFDPFDYCYYRGGNFWEIESAEDCSGDDEFIE - ->sp|P05138.1|NS3B_IBVM RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -MLNLEAIIETGEQVIQKISFNLQHISSVLNTEVFDPFDYCYYRGGNFWEIESAEDCSGDDEFIE - ->sp|P30244.1|NS3B_IBVU5 RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -MLNFEAIIETGDQVIQQISFDLQHISSVLNTELFDPFEVCCYRGGNYWELESAEEFSGDDESS - ->sp|P30243.1|NS3B_IBVU4 RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -MLDFEAIIEAGEQLIQKISFDLQHISSVLNTQVFDPFEYCYYRGGSFWEIESAEEFSGDDEFT - ->sp|P30242.1|NS3B_IBVP3 RecName: Full=Non-structural protein 3b; Short=ns3b; AltName: Full=Accessory protein 3b -MLDFAAIIETGQQIIQQISFNLQHISSVLSTELFDPFEVCVYRGGNYWELESADDCSGDDEFIE - diff --git a/seq/clusters_seq/cluster_513 b/seq/clusters_seq/cluster_513 deleted file mode 100644 index c00f68c..0000000 --- a/seq/clusters_seq/cluster_513 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009825009.1 3a protein [Duck coronavirus] -MIQNPTSFLVVLILLWLKLVLSCFKECVLALLQLIQVLLQIINSNLQSRLLLWHSLD - ->YP_009824999.1 3a protein [Infectious bronchitis virus] -MIQSPTSFLIVLIFLWCKLVLSCFREFIIALQQLIQVLLQIINSNLQPSLTLCHSLD - ->YP_001941167.1 3a protein [Turkey coronavirus] -MIQTPTSFLIVLILLWFKLVLSCFKECVLALLQLIQVLLQIINSNLQARLLLWHSLD - ->NP_040832.1 3a protein [Infectious bronchitis virus] -MIQSPTSFLIVLILLWCKLVLSCFREFIIALQQLIQVLLQIINSNLQSRLTLWHSLD - ->sp|P30240.1|NS3A_IBVU5 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -MIQSPTSFLIVLILLWCKLVISCFRECIVALQQLIQVLLQIINSNLQSRLLLWHSLD - ->sp|P30239.1|NS3A_IBVU4 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -MIQSPTSFLIVLVLLWCKLVISCFRECFVALHQLVQVLLQIINSNLQSRLLLWHSLD - ->sp|P30238.1|NS3A_IBVP3 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -MIQSPTSFLIVLILLWCKLVLSCFIECLVALQQLIHVLLQIINSNLQSRLLLWHSLD - ->sp|P05137.2|NS3A_IBVM RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -MIQSPTSFLIVLILLWCKLVLSCFREFIIALQQLIQVLLQIINSNLQPRLTLCHSLD - diff --git a/seq/clusters_seq/cluster_514 b/seq/clusters_seq/cluster_514 deleted file mode 100644 index d1c6974..0000000 --- a/seq/clusters_seq/cluster_514 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_005352849.1 NS6 protein [Sparrow coronavirus HKU17] -MCNCLLQLRELYKLCNERNITRDDVLELIDPLIKTRCFAYSLVVLANANPIALSILPRKILINGEPLLLE -YGNIYGKDFLYRPSLQVILEEEELN - ->YP_005352874.1 NS6 protein [Wigeon coronavirus HKU20] -MCKCAQYIKVFNTNIHGHSNTSLLTIDDHMLKYKCFAFALANLLVDNPIAGALLPRKMLINGKPILIEYG -KIKADKFLINPPSKVEFYDD - ->YP_005352857.1 NS6 protein [Magpie-robin coronavirus HKU18] -MCNCLLQLQSLYSYCKTHIIRPDDVFELWDPFVKPRCFAYSAVVFINANPIAYSVLPRQLLINGEPLFTE -FGSVRGADFIIRPSLQVILEEEVESN - ->YP_009513024.1 NS6 protein [Porcine coronavirus HKU15] -MCNCHLQLRDLYRLCNKRHIRREDVPELIDPLVKTRCFAYSLVVLANANPIAFSILPRKILINGEPLLLE -YGSIYGKDFIIRPSLQVILEDELN - ->YP_005352841.1 NS6 protein [White-eye coronavirus HKU16] -MCNCLLQLSSLVQYCKTHNLKIDDVVELNDPIVKVRCLAYSLVVLTNSDPIALSILPRKMLVNGEPLLIE -HGNVYGKDFLIRPSLQVILEEEI - ->YP_002308482.1 nonstructural protein [Bulbul coronavirus HKU11-934] -MCNCIKQVAALVQHCKATNIHPSDVLELNDPLVVVKCLAYTLVLVTNADPVAFSILPRKILINGEPLLIE -HGNVYGKDFLIRPSLQVILEEEVTD - ->YP_002308509.1 nonstructural protein [Munia coronavirus HKU13-3514] -MCNCIYQLQALYSYCKTHVIQPDDIFELCDTLVKPRCFAYSTVVFINANPIAFSILPRQLLINDEPLFIE -YGSVRGSDFIIRPSLQVVLEEEISSIQTVLASAGARHC - ->YP_002308500.1 nonstructural protein [Thrush coronavirus HKU12-600] -MCNCLYQVKALVEYSKTHGKTDVLELLDPLVKTRCFAYTLVVCINANPVAFSILPRKLLINGEPLLIEYG -NVYGKDFIIRPSLQVILEEEC - diff --git a/seq/clusters_seq/cluster_515 b/seq/clusters_seq/cluster_515 deleted file mode 100644 index 636337b..0000000 --- a/seq/clusters_seq/cluster_515 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_005352847.1 envelope protein [Sparrow coronavirus HKU17] -MVADDWAVTIPGHYIIAILIVVCIGVALLFINTCLACVKLTYKCYLGARYLVNPIIVYYSKPNPTPEEEF -VKVHQFPRNHYV - ->YP_005352882.1 envelope protein [Common moorhen coronavirus HKU21] -MVDNWDITIPGDYVIAALVVICVAVFLLFINTCLACIKLVYKCYKGATVLLNPFIIFSSKVDPESSEDFV -KIHQFPRNSFSV - ->YP_005352855.1 envelope protein [Magpie-robin coronavirus HKU18] -MVVEDWSITIPGQYVIATLAVLCVCVCLLFINTCLACAKLSYKCFLGAKYLVNPIIVYYSKPQTVSDTEF -VKLHQFPRNNYV - ->YP_009513022.1 envelope protein [Porcine coronavirus HKU15] -MVVDDWAVTIPGQYIIAILVVICIGVALLFINTCLACVKLFYKCYLGAAYLVRPIIVYYSKPNPVPEDEF -VKVHQFPRNTHYV - ->YP_005352839.1 envelope protein [White-eye coronavirus HKU16] -MVADDWSITIPGQYVIAALIVLSIGVMLLFINTCLACVKLSYKCFLGARYLVNPIIVYYSKPKTVSDTEF -VKIHQFPRNNYV - ->YP_002308480.1 small membrane protein [Bulbul coronavirus HKU11-934] -MVADDWSITIPGTYVIATLIVLAICVTLLFLNTCLACVKLSYKCFLGARYLVNPIIVYYSKPNPTPTDEF -VKIHQFPRNNFV - ->YP_002308507.1 small membrane protein [Munia coronavirus HKU13-3514] -MVAEDWAITIPGQYVVATLAVLSVCVCLLFINTCLACAKLSYKCFLGAKYLVNPIIVYYSKPQTVSDSEF -VKLHQFPRNNYV - ->YP_002308498.1 small membrane protein [Thrush coronavirus HKU12-600] -MVVEDWSITIPGQYVIAALVILIVCVTLLFINTCLACVKLSYKCFLGARYLVNPIIVYYSKPNPTPEEEF -VKIHQFPRNNYV - diff --git a/seq/clusters_seq/cluster_516 b/seq/clusters_seq/cluster_516 deleted file mode 100644 index 1b09879..0000000 --- a/seq/clusters_seq/cluster_516 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_008470972.1 movement protein [Ageratum latent virus 1998] -MALAPTMKALTFSADDEDSLQKAVLEALSGSVELNMGVRRCAAFPAQNNGAFLCELTTKETKSFIGKFTD -KIKGRLFIDHAVIHLMYIPVILNTTHAVANLKIKNLATGDELYGGTKVNLNEAFVLTLTWPRSLFADAVD -KHRGLYLGGSIECSSSIPRDAKIGMWYPLWSEKVSNKQLYQNTTDIVNTKALETFTRTMIHTDREMRSLL -RSRASIDMAAKHKESPVLCSNSIGLLDSQTTGIDFTVKTLEESEPGSDGNVSILGPKMVPIDSTGSGTGN -SVHVDRASRNLLGA - ->YP_009551516.1 movement protein [Cape gooseberry ilarvirus 1] -MALAPTMKALTFSADDEESLQRSVLEALSGSVELNMGVRRCAAFPAKNDGAFLCELTTKETKSFVSKMSD -KIKGRIFIDHAVIHLMYIPVILNTTHAVAYLKIKNMATGDELYGGTKVDLSEAFILTLTWPRSLFADAVA -QHKGLYLGGSVECSSSVPRNADIGMWYPLWSEKVSNKQLYSKTTDIVNTRALETFTKTMIHSDKEMRSLL -RSRASTEIAAKRREPPVMAKPTIDLMAGQITGVDFTKKTIREESLPTNPNEVTVLEPKMVPLEHAVGTEK -LDNAGLDPTGRNLLEA - ->YP_009165999.1 movement protein [Privet ringspot virus] -MALSPSYKALTFSADDESSLEKAVLEALSGSVDLNMGIRRCAAFPATNTEAFLCELTSKETKSFVGKFTD -KVRGRVFIDHAVIHMMYIPVILNTTFAVSELKIKNLATGDELYGGTKVNLNEAFVLTMTWPRSLFADAVN -NHRGLFLGGTVSCASSVPKGAKIGMWYPLWTEKVSNKQLYQKTTDIVNTRALETFTRTMISNDKEMRSLL -RSRASIDIAAKDPERPVVCSSSVNLLDRSTTGIDFTTKIVSEAPPSLTSGSSILVNKLVPAEESSDSKEQ -EAKDTSGGNHLTA - ->YP_002308572.1 movement protein [Blackberry chlorotic ringspot virus] -MALTPKMTALTFSADDEASLEKAVTEALAGSMELNMGIRRCAAFPATNTEAFLCELTTKETKTFVGKFTD -KVRGRVFVEHAVIHSMYIPVILNTTDAIAELKIKNLATGDELYGGTKVNLNEAFILTMTWPRSLFADEVN -KHKGLYLGGVVSCAPHVPKSAKIGMWYPMWTEKVSAKQLYQDTAKITNTRALEAYTRTMISSDKEMRSLL -RSRASIDIAAKTKENPVLCSQYVNLLDQRVEGVDFTVKQIKPAKADSLQIEEDSSSETVVLKPKLVSNDS -AAVDVDKPSVPASRNLLIA - ->YP_941470.1 movement protein [Strawberry necrotic shock virus] -MALTPSFKALTFSADDESSLEKAVTEALSGSVELNMGIRRCAAFAAVNTEAFLCELTTKETKGFVSKFTD -KVRGRVFVDHAVVHLMYIPVILNTTHAIADLKIKNLATGDELYGGTKVNLNEAFILTMTWPRSLFSDAVA -THRGLYLGGTVSCASSVPKGAKIGMWYPMWTEKVSNKQLYQQTTPIVNTKALETFTRTMISSDKEMRSLL -RSRASIDIAAKTKENPVICSPSVNLLDNQTSGVDFTVKRVSIPTVAAIQNNDDVEASGTSVLESKMIPIE -HSDGNVGSNSAGRNLLTV - ->YP_054424.1 3a protein [Humulus japonicus latent virus] -MASSGNNTTDTRPSTSFQVDHQALKELAKVVHDAKLESLMELETKCCYARIMKNSSDMQCLDFRSIQTRS -FLSKIKLSLNKKEDIFVDHAIIYALYVPMVLPTTSALASVKLLNLATAESIDIEDDMNAARASLFITRWP -RSIHKDQDGVHIVLRCNAPDLKPDTAIGVWYFLWDDSISFSKQRYEKVMPTLKFPIEETDATKIVKNKKM -IQSLCNRHIKAGLQGSDVRPESLCVDSTKPYKPLTVMQGAGKDMPIKVVEEKDHKEVEVKTVPGEARA - ->YP_006463.1 3a protein [Parietaria mottle virus] -MAFVPTKTALTFSAEDESGLEKAVSDALSGCVELNMGIRRCAAFPAINQDAFLCELTTKEAKGVLRSLAD -KVRGRMFVDHAVIHLMYIPVILDTTHAVADLKLKNLATGDELYGGTKVNLNEAFILTMTWPRSLFADAVN -EHKGLYLGGTISCSSSVPRHAKVGMWYPLWTEKVSNKQLYQKTVALTNTRAIETFARTMIHSDKEMRSLL -RSRASTDIASKRYENPVLCSSRIGLMDNATAGIDFTVNRLEETAVDDTDPTGSDVLVPRSTISTDSKLRV -SETLPTLGSDESN - ->NP_620773.1 movement protein [Tobacco streak virus] -MALAPTMKALTFSADDETSLEKAVTEALSGSVDLNMGLRRCAAFPAENTGAFLCELTTKETKSFIGKFSD -KVRGRVFIDHAVIHMMYIPVILNTTHAIAELKLKNLATGDELYGGTKVNLNKAFILTMTWPRSLFAEAVH -NHKGLYLGGTVSCASSVPAHAKIGMWYPIWSEKVSIKQLYQNTIDIHKTEAIETFTPTMISSDKEMRSLL -RSRASIDVAAKTREKPVICSERVSLLDQHTQGVDFTVTEIEPEKDDDAGTSILGPKMVPIEQVPSVKLSS -EAGRNLLTA - diff --git a/seq/clusters_seq/cluster_517 b/seq/clusters_seq/cluster_517 deleted file mode 100644 index e478ad7..0000000 --- a/seq/clusters_seq/cluster_517 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009508871.1 putative silencing suppressor [Tomato necrotic streak virus] -MDMSRKSNVSMDAVTRELFKKELRYRLKMAEEFKKQARDPIVDSVHTHSGNRVDAGYHISPKFFKPLTKW -GVVPVLSLVNLSAVSEARWMLVDSHQLAPPLVTPRVVSSEMAPEPEARMLIKIPDLGIDYELREFTNPAV -VIQSIYRRIIGEVPKGWYGLKSWSISSYGDVYSRLRVVRKMKVHLTIPGSDWAYTLSLSDVISGLAIPRL -PIPEKYLKMPISISFRDEM - ->YP_009507941.1 2b protein [Lilac ring mottle virus] -MMITDLGNRIDATGRCVHPGSEKFFRKGLYVMKRERILIHFILSLIITGQFVAEARLLYPQQMAIVSVGA -PEKPARMVVKIPDLNIDLEIAEFTNPAVLIQTVYRRILGELKDSWYVLSWSTVKYNSIRDRLKILKNAQV -HFSIPDSDWAFTLSLSDVVSGLSLPKIPVPKVYLSMSADSADTHDL - ->YP_002455930.1 2b protein [Asparagus virus 2] -MNAQRKVSKISHTDGARVDAGNLFPRLSPMMITGFFLMVILAPLEARQFPRLTAVTESAPTPPARLVIKI -PDLAIDFELKEFTNPAVVIQTVYRRIIGEVPKGWYGLKSWSSSNYGDVVTGLKRAARAKVHFSIPGSDWA -YTLNLSDVVSGLALPKLPIPEKYLKMPISFEMDDSVFGDRISDRVPSPWYLGQ - ->YP_001285484.1 putative 2b protein [Citrus variegation virus] -MLSRKMNAQTKVSKIKFSRDVIVDAGSSFPKLKPMIITGIFLLVILTSTEARQFPILTAVPESAPTPPAR -LIVKIPDLAIDFELKEFTNPAVVIQTVYRRIIGEVPKGWYGLKSWSSSNYGDVVTGLKRAARAKVHFSIP -DSDWAYTLNLSEVVSGFALPKLPIPEKYLRMPISYEMDVSAFGDRISDRVPSPWYLVQ - ->NP_620755.1 putative 2b protein [Tulare apple mosaic virus] -MLREINMFEDAELKLHKIKMGSAHGVNRVDVGSIFPNFQSRTVLVLFLILLLSQVSEARWMLIKSPPVPA -VVTPAVVSSEAAPEPEARLVVKIPDLAIDFELRDFTNPAVVIQDIYRRVIGEVPKGWYGLKSWSISSYRD -VYSRLCVVRKMKVHLTIPGSDWAYTLSLSDVLSGLAVPKLPIPEKYLKMPITINFRDEMR - ->NP_620679.1 putative 2b protein [Spinach latent virus] -MDAMLIKEQMIRSHGKRIVDAGSMSQRCCRLMCLFLLLINLSTVTEARRFPQPIAVMEGAPDPPARLVVK -IPNLAIDFELQEFTNPAVVIQTVYRRIIDEVPKGWYGLKSWSSSNYGDVVAGLKRAARVKVHFSIPGSDW -AYTLNLSEVVSGLALPKLPIPEKYLRMPIAITIDDTVNKIGDGFDDVPSPWYLYQ - ->NP_620071.1 putative 2b protein [Elm mottle virus] -MDALRKLTRMSNAHGSSVDAGSTSQRLKLMLITSTFLLMFLQSAEARQYPTLIAVTESAPEPPARLLIRI -PDLAINFELKEFTNPAVVIQTVYRRIIGDVPKGWYGLRSWSTSNYGDVMLGLKRGARAKVHFLFRVLIGR -YSLNLSEVVSGLALPRLPIPEKFSKMPISFEIDEVAESDL - ->NP_619712.1 putative 2b protein [Citrus leaf rugose virus] -MDMSRKSNVSMDAVTRELFKREVKYRLRKAEEFKRTARDPIRDSRHTHAGNRVDVGWHMSPKFYKPMTHL -GVFLMLSLVNLSAVSEARWVLNQIPVVVSESAPEPPARLVVKIPDLAIDFELKEFTNPAVVIRQIYRRII -AEVPEGWYELKSWSLASYGDVRSRLSLVSKAKVHLTIPGSDWAYTLSLTDVVSGLALPKLPIPERYLKMP -ISVSFDRDEM - diff --git a/seq/clusters_seq/cluster_518 b/seq/clusters_seq/cluster_518 deleted file mode 100644 index ea2c5f7..0000000 --- a/seq/clusters_seq/cluster_518 +++ /dev/null @@ -1,95 +0,0 @@ ->YP_010088072.1 glycoprotein [Actinidia emaravirus 2] -MFSKVSRMSIINSVVAIVIILLVVRSVNNHVYEPSITCECIPSIFKLNKDFIVCFHGCPITPVNSKLYNV -TCSYMEDITITLCENSKFVTTKPIIMVHGDYFWTTFLTKTWKLIASMAVWLTMILLKIPSLCIFSLLNKL -FNKIMKKTLKKCETCNTTYSLAHLECPTPGFRHRTDYNLLFYVVLIFMVLTTFAKADDNVYNYHKHGSST -EIQVLDKEHYSQDFDVNGYLYTITILNSHLEIQTVNVSEIQTPTSHRLTHQFYSCDGKEACKKQCISETN -RIPMYEIRKSHDGMTCFTTSATMCGLCESDMVTLGYKVTTTKVNPYIDVEVKHGNKTELIKIREFSQFIH -EPYFVKAIEPVIIESVDQFISGPNVYIGDICNMPSYGCFGPNYIKDGKSYQLVNPKVSDPMSYDREIILD -RCIDPGRSDVNSLQKTNSIYMNGTIIKPYEFGLLSIGIPVVGKLVGSFCEKPVVVKDVSVSGCYDCQSGI -EVNIKYERPDRCGQVKCLIGKVAYEYFVDLDSDHMVIHSFFDKEDVVVTCNKLTKSMKLDHNKDTSYYKT -NSEVHGSAAYDFNLLKHLPNLMLNPKAVIMSVLLGFVTLYMIYALIIQTFKHYKKYSIDRYTRIYKKTDM -DSATNEQSIHLTVITGEAQ - ->YP_009508087.1 glycoprotein precursor [Redbud yellow ringspot-associated emaravirus] -MKCLMNMIAAIAIIGALLASYRFGMAATKKTCQCLPKIVKISGKYLLCADDCVIKPVTDKAFNNSCSHMS -EITIIECMGKRIMASRPDSTNHDSYSWLIFISKLLKFATCLAVWLFVSLNKYPILLSASIMHRFICRFAK -GATKKCNQCNGQYLLSHIDCPVPSFKLRTDLNLVYYMVIVVVLLITAVKAEDNNVYNYYYHGHATEIQLL -DKEHYSQDFKANGLHYNFYVENSHLVYNYVEYSNITRPVAHTFGDMTWSCRGIDGCKEEFQGKFNKQTEF -AIKKVNDGFSCGFTTATICGLCTSNHIQVGVRVKVISVKPYIDIRVRKGNKTSLIVIREFNKFYQDPYYV -KPINPTSIENVDYLVSGVDVYTGQFCNQPNTDCFGPNYIKDGSHVKLHTPKVIDPLTHDREVILEYCDYP -YHADLHDLKKTAMVNHNGVIISPHDFGLISIGIPHQGELYGNFCKDEVPIHKIGIEGCYDCESGFTIEVF -YHLNEVCGTINCDVGGMIQSYFADQESNSIKFHSFFDKESVIINCNGYRHSYKLLKSTDSTVYSKMNYLD -HSPYGSGVDWQSMISLISWDYKKSILVILMVIVSCYMIYRTIKNIMSHMNTIRRYNEVGRQKKTDEPKYN -SVLVEVEIGDAD - ->YP_009507926.1 glycoprotein precursor [Actinidia chlorotic ringspot-associated virus] -MKLIINSVCAILVISIMIYKVNTKVKFSPTPCSCESHIKRYESNYLFCVDSCKLKPITDKLYNSTCSYMS -EITVVECNGNRLIASRPSGVTDSSYSWVVFMNKLVKLLTCVSVWLICYVNKAPILLLLSIFHHLSKIFIK -KTKKTIRSCEHCNSEVLFSHVDCPTPSFSMRTDLNLVYYIMMIIIIATTAVKAEDKNQYNYYNHGDVTEI -QIQDTEHFSQDFKSFGVLYNFYVENSHLEIEYTHFSNITKPVSHSFTEMTWSCYGQKDCEKEFMTKYNDK -PDFAIKKVNDGGSCVFTTATICGKCISDHIVIAERVKAVKVSPYIDIKVTVGNRTDYIKIRDFDKYIMEP -YYVKPVPVRDIETTNFIITGHHVYTGLLCMSPSESGCFGPNYIKDNTSYVYHVPKVHDPLTHDREIDLHY -CDTIIGSDLSSLELTNYANHNNTIIRPYSFGMLSIGIPKEGYLTGDFCKSQVKVTSIVADGCYDCEQGFT -IKVDYVESESCGAIICKYGLSKHEMFIDVHSNSVKFHAFTDMETLLITCNAYQESVKLSRSSETSVFFKN -NLLARSNMDPIDYLHDLVKILSFDYKKYIFLISVFFMLIYLLYRTLKSLIHHVKIIRLDRKVRYHKKFDD -VDGNNIRIQDHGEHIDLVFGPAD - ->YP_009268865.1 glycoprotein precursor [Pigeonpea sterility mosaic emaravirus 2] -MKLMSVINYMVALLVLMLGIKTVHNHTRDSGIICECKPTIIKISKDYLVCFEGCPITPVNSLLYNVTCSY -MQDITITICKGERFVSTKPNIIVHEEYVWNTLVTKAWKIIITVLVWLTIVLMKIPSLCLFSVLNSIFNRI -YEKKLKTCDVCSTKYSIGHVDCPTPGFKHRSDYNFIFYLLLIIIVVTTFAKADDNVYNYYRHGNSTEVQV -LDKEHFEQDFDVNGYLYTISVTNSHLEVDVITVSEIQAPINHRLTHEHFSCDGEDGCKKECFDRTGRESM -YSIKKAHDGISCFFTSATICGLCESDMKSIGYKVVTTKIRPYIDIHIKHGNKTEEIKIREFSQYIHEPYY -VKPIEPFMLESSEYFVNGPNVYRGQMCNMPSYGCFGPNYIKDNKTYMIIAPKVSDPMTYDREIVLHHCVD -PGNSDINSLEKTEFVYQNNTMIRPYEFGLISVGIPLSGKLIGDFCEKPVVVNDLIVKGCYDCQSGIEINV -YYSKPERCGQIKCTVGRVIYEYFADTDSDHMTIHSFYDKEDVTITCNNHKKNVKLEHNKDTNYYKTNSDV -HGSAAFDFNLIKHLPNLFYNFKAMAATILILIVTVYMIYNIAKQVAKHYFKVRLDKRIRHYKKTDVGLDP -EVGLFGQTSELIVVTGSAQ - ->YP_009237272.1 putative glycoprotein precursor [Fig mosaic emaravirus] -MMSIINSVIALLIVAILCNTVKNAVYIDEEPCDCQPSISKLGKGFIVCFKGCDVKPVNSKLYNTTCSHMK -EITITMCKGNRYVTSLPTATIHEEYTITSIIHRIWKVVITITVWLIFIVLKVPILCLLSVGNQVFNSFMK -SKLKECETCKTKYSVSHVDCPTPSFKLRTDYNLFFYIFLTLLIFVTLARADDNEFNFYHHGNQTEVQILD -KEHYKQDFDVQGYLYTVTVLNSHLEIQTINVSEVLIPTKHILTHEHFSCDGSEGCQKECQARTGFMPMYY -LKKAYDGFSCLFTSATLCGLCKSEMKTIGYKVTTTKVSPYIDIEVVHGNKTEMIKIRDFSKFIHETYYVK -PIEPVWVESVDMFVTGTDVYVGQICNMPSYGCFGPNYKKDNKTFVISAPKVKDPMTHDRELILEHCVDPG -NSDINSLQKTQSTYHDGIIIRPYEFGMLSIGFPIVGKLIGDFCEKSAEVKEILVNGCFDCQSGLEAKISY -KLTERCGKIICYFGKVKYEYFVDHDYNDISIHTCYDKKNIIIKCNDFSNTFVLDHSKDTNYYGTSNEVHG -SADIEFNILKHLPNLLFNPKVVATTLLIIAMAIYMAYCISKQLYKHYVKVRMDRSIRYHKKTDTITDESA -NEFIVVTGSAQ - ->YP_009237263.1 putative glycoprotein precursor, partial [Pigeonpea sterility mosaic emaravirus 1] -INSSKVTNISDKCVCRPKILRFNKDYIACFESCEIKPITDYLFNTSCISLSGLTIAMCKDEKYIITKPTE -SIGENYTWILLFNKLWKIAMTIMIWLSLFFAKVPFMYTMQLISHLAVKFSKNKKRCKSCDRDYIISHIDC -PPTSPMKRTDFNIVFYTITILFTMATFVNAGNPSFSDDNEYNYYAHGGQTEIQVLDKEHYKQDFSVNGYL -YTFTIENSHLELKTTDLHEILGAKSHYINGLRWSCDGHEECNKIFKKELGRDVDFSIKKSSDGLSCLFTT -ATICGTCYTNFKPLGWLKTVVTVTPYIDILVKHGESEELIQIREFNEYIHKPYYVKPIPSVEISKTLFFT -TGHDVYTGQFCTRPSIGCFGPNYKKDGLVISLRSPLVKDPMTHDREVILEHCDDPGNSDIESLEKTSYRH -HNNSIIMPYSFGMISIGVPLKGKLVGDFCGMPVDVKTITVDGCYDCQNGFSIKVDMDIKSQCGQITCLIG -RIKKSYYIDAGHKHIEINSFFDNQDIIIECNNYKKQFKLQKSTETSRYVHSNAVHGEAEFDFNIWDHLPN -LLLDLKKMGLTILAVLIVIYMIYMTGKRYIMHVFDARRKYKYIRAKKDDNFRDEGSIFIGPPT - ->YP_004327590.1 putative glycoprotein p2 [Rose rosette emaravirus] -MKMSLRNTLVAIGVLLLSCGTFVKEVKNHFHAEVDVCTCTPNIEKLAKEFVVCFPGCQIKPVNSHLFNET -CSYMEQVTVTICNGDRYISTKPSIIAHKSYVWETYLNKAWKVIVSLFVWLMLVISKTPVLCIIALLNKAL -HKIFPKRLWKCSRCDSEYLFSHLDCPTPSFKNKTDYNFLFYILLVLSVVTTIAKADDNQYNYYLHSNVTE -IQVLDKEHYQQDFDVNGYLYTITILNSHLVMEVINISDIYIPVSHKIEEVTYSCDGAVECLADLKKKTTN -DNTWYLKKVHDGFSCLTTTATVCGACTSRLHYIGTKATTAKVSPYIDIQIKHGNKTEVIEIREFSKYIHL -PYYVKPLAPILVESNEMLISDHKVFYGQFCDQPSYGCFGPNYKKDGQMYVLNSPMVKDPMTHDREVILVH -CTDPGNTDMNSLKSTDFVYQNQTIIRPFEFGMISMGIPNIGKLIGDFCEKPADVISVNVNGCYDCQLGIE -IVVKFNINTKCAQIKCDVGKVSYEYFVDSSSNTLTLHSFYDREEVFIKCNKYSGTFRLEHSKDTDYYKTS -NEVHGSATYDFNLLKHIPNLLTNFKTLILSIILVIMVVYMLISISKHTIKHFLLLKKKRKLSYNKKNDIS -EEEVIESIIIGDPDI - ->YP_003104765.1 putative glycoprotein precursor [European mountain ash ringspot-associated virus] -MLSVAQSSALFLLQAICILYITKLTIPTPVSEINLVRQSDCVCVPIISRSGTDYITCFNNCQIEPINTKL -YNSTCTKMVNITLVRCNNEVYVMTLPNLVSNRSHSWEVLINYLLRFISAIIVYLLLSISKQGIFLFFSIV -HYSFKFIKNKKSCNICGNDFYFIHIDCPKPDFTKRSDFHMMFYIILFLSLFFVVTHADDNVYNYYEHGDL -TEIQLLDKEHYSQDFVSDGFLYNFYVENSHLIYDISNISTITRPVKHNEVTSTWSCDGSSGCYKDHVGKY -NKKPDYVLKKVHDGFSCFFTTATICGTCKSEHIAIGDHVRVINVKPYIHIVVKTANKTDKIVIDEFNKFI -HEPYYIKPITQIHIDQHDFLVTGSKVYQGTFCERPSKSCFGPNYITSDKTVTLHEPKIRDTFTHDREYII -DYCDYPSNSDLESLELTDMVHHSDKIYSPYDFGLISIGIPKLGYLAGGFCESLVSVKKIEVYGCYDCQNG -VKISVTYESSDSCHTLICKHDSTTHRYFVQQHTTTLNFHSFMSKKDTIIECNQMRKALNLDESSETSVYF -ESNGVKGSAKEPVNFDFIKNLLYIDYKKIIFVFLVAIISIGIFLRSPYMLLSSILKFRKRRKVVATNRSE -QLVMDDDVDVFIGPPS - diff --git a/seq/clusters_seq/cluster_519 b/seq/clusters_seq/cluster_519 deleted file mode 100644 index 93af016..0000000 --- a/seq/clusters_seq/cluster_519 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_010088070.1 nucleocapsid protein [Actinidia emaravirus 2] -MPPKSNNLATSSSRAEQVALKDDTVILGSGSKLRALKLSNVVNGKLNNPESSELKAQLVEPVAFTSASQS -ISNFTLHRYRNYCHVDTVAAHLSKSKENKEKLQKMNLVLKTSTKDQLVVVKDLNDSNIENVVSFNKSCAI -MAAGILKHTFDEEFDWKIGKYVKTENAGKTTPNPAIVNRLAGQMGLTPNNPYYWMIVPGYEFLYELYPAE -VLAYTLIRLQFRKNLNIPDKMTDSDIVSSLVMKMNRIHKLEVTGFDEALRTIGADNVSEAYVELARDIGT -TSKTKRNDEAIIKFRELISSFMPALEADRIANSVVH - ->YP_009508085.1 putative nucleocapsid protein [Redbud yellow ringspot-associated emaravirus] -MPKNFNQKPKKGGDGSSSSFTKVDGVPVDTVKFGKKMALKTLQFTNVKDGVALSSTNSAGMVPTVFPSTA -FIGSAPGDSDFDITKYVGVGSVDICAAYLSNSQELKKEVIKSGMVEVKIATNYSLFVCNELQATSVVNAV -SFNRACAIMSFQILRHTIQEVYDWEKNSVVAIKINEPKSPNQSYINKLAGQMRLQPDNPYYWMVVPGYEF -LYDVFPIETIALTIVRLECRKVLNIPDSMTNSDIVSSLVAKINRRNNLTKHTITDVIKLLGVEQIKSMYD -SFKMNVGTTGREVRNEDAITHFMEFINSHGTTV - ->YP_009507928.1 nucleocapsid protein [Actinidia chlorotic ringspot-associated virus] -MPKPMQGDASNSGSAVPSNVKIDPNTVKFGKKNSVRTITFTEVVNNRATSSKNSSNTAVSVFKSPAFVGG -FTSDLDFDITKYSRVGSVETCASHLSNSQEIKKRLVSDGFLELPISNNYSLFLCSEIQATSIENAVSFNK -ACAIMAYQILAHTVMEKYDWEQFKAVEVAIKDRKNPSISIVNRLAGQMRLPQSSLYYWMVVPGYEFLYDV -FPIETIALTMVRLECRKALNIPETITNAEIVNSLVSKMNRKHDLTKVSISEIITKLGVNNIKDMYNEFRR -NVGTTGREIRNEHAVKEFLDFIGKYCGSST - ->YP_009268864.1 RNA3, partial [Pigeonpea sterility mosaic emaravirus 2] -MPPKRSISSTSAPKAQPGALQENSILLGSGSKLRVIKLTNVVNGKLTNAESSELEPIDVEVSPFTSTSQN -VSNFTLHNYRSCCHVDTVAAHLSKSKEIKEKLQSRNLKLATSKDDHLVVVKDMNDSTVINVVSFNKACAI -MAAGILKHTFDEEFDWKTGKYVKTDNKTKVVPNPAIINRLAGQMGLSAGNPYYWMIVPGYEFLYELYPAE -VLAYTLVRLQFRKNLNIPDKMTDADIVSSLVMKMNRIHKLEQTSFDDAINTIGRDNVSEAYVELARDIGS -TSKTKRNDEAIIKFKELISTFLPALEADRLASL - ->YP_009237281.1 putative nucleocapsid protein [Pigeonpea sterility mosaic emaravirus 1] -MPPKMPSKTPLSNMPAASKKSDIPDNEVRINVKGKVNTIKFPNVKDKKLQNTEGTSLKPATIEPKAFKSA -AMVEHDFKIKKYISYCNINAAAAYLSQSKEHKEMLKQNDSLILTVSKDQKIYVIQNIQETNIENVLSFNK -ACAVLALGILKHKFPEIFDWTSHKYVTSGWSDQNLNVEDTIINRLAGAMGLTPDNPYYWFMVPGYEFLYE -LYPAECIAYTLLRVEYREVLNIPEKISNQDIVQSLTAKMNKFHGLETSTFKDAVAVVGLENIKAAYQAMS -SSVGETGRTRRAAIVLAGFEELLKSLKE - ->YP_009237270.1 putative nucleocapsid protein [Fig mosaic emaravirus] -MAPKSKTTSASSSKITPGQMQENTVLLSSGSKLKAIKLTNVVNGKLTHPETSDLKPIDVEVQAFTSASQN -ISNFTLHKYRNICHVDTCAAHLSKSKEIKEKLQARNLRLIVSSNEFLVVVKELNDSTVDNVVSFNKACAI -MSAGILKHTFDEEFDWKLSKYVKTNNTTKVIPDVKIINRLAGQMGLSAGNPYYWMIVPGYEFLYELYPAE -VLAYTLVRLQYRKNLNIPDSMTDADIVSSLVMKMNRIHKLEQTSFDEALNLIGKDNVSEAYVELARDIGS -TSKTKRNDEAILKFKELIASFLPALEADRIASAHV - ->YP_004327591.1 putative nucleocapsid p3 [Rose rosette emaravirus] -MAPKNEFKKPSKIENPGTSTSNTLAADTVIIGADQKLRVLKLTNVQNKKLVKPESSELDPVYIEPTPFTS -EIQSPNNFSLAPYRNFCNVGIAVSYLSRSLEIKEQLQIRNVFIRTSNKDSLTIVKDLNESDVLNVVSFNK -ACAILSAGVLKHVFKEEFDWTLKKYVPTTVSSRTAPDETVVNRLAGQMGMDSKNPYYWMIVPGYEFLYEL -YPAEVLAYTLIRLEFRKNLNIPDDMTDSDIVNSLVMKMNRIHKLETTPFDDAISLIGREEFALAYVELAR -DIGTTSKSKRNDEAIGKFKELIKNFAPALAADRGAQ - ->YP_003104767.1 putative nucleocapsid protein [European mountain ash ringspot-associated virus] -MPIIPKPKSQTKGSVESSKKESRVKMETSDAKYMVGNEVKTIKFLDMRGNIATSARNSLNISPGVFAVNP -FLGETLAEDTFNILDYAGLGNVDACASHLSRSQELREQVTEKTLREVPISDSYVLKVVSNLQATTVQNVV -SFNKACAVMSFNILRHTTDEMYDWTKNEYVSLGLKEKAAKVNPNIINRLAGQINLSPQSPYYYLVTPGYE -FLYDAYPAETIAMTLVKMAYRKTMNLPDSMKDSDICSSLNAKINKRHNLAVNNIDDIIKQIGKKHIEDMY -NTLTQNIAMSGKESRNVETAQSFLALIESFKTTT - diff --git a/seq/clusters_seq/cluster_52 b/seq/clusters_seq/cluster_52 deleted file mode 100644 index fb9680b..0000000 --- a/seq/clusters_seq/cluster_52 +++ /dev/null @@ -1,1284 +0,0 @@ ->YP_010087744.1 RNA-dependent RNA polymerase [Euonymus yellow mottle associated virus] -MSAIRNVFDKFSDPSVRAALQEENYRSVRNSLNANRVVNPYALKPEVADELEDLGIATNPFAVDLHPHAG -VKAIENKLLETVGRLIPKSKPVTFLFLKTSKRNQLRRNPKIKDIFLNQHIEPRDACRYNPKTLVKDLCKI -ETEVAYISDTVHFFEPKQVADIFMNSETLETLYATMVLPPEAAHKQPSNYPLLYQLNYQFDGFQYIPGKH -GGGAYHHEFKQLEWLKMGAISAVAQVPSRAAGKFTNVEITCQFLETLGANHLCVFRRGKWSTPRVRTFCC -DDKVILPAIFHPQEKNSTKPLCKKIAMQMYLYCKSIKEVTLRDLFAKIRQLLKTVELALYSPVELTHICN -YFYFIAHLDACNCYQDILSLNIIEKWTLPIKARIGALWERLTGASKFNQLLKLLEWQTFSYSLQVKDVSV -ITHPPDWTINPQEDPGSYSDSDSEDEEHAERRRKARKREIPTLLNPDSTAADVIEYLESTHATTNTSKDV -ASSSTDGSLSHRLTHSQTAPPQKSKEASAPRPWDTWAPILEGLGFKDLDDQFDSEHQLIMPITNIKKMDK -DGFPEGVSKTLRDKLKSINREPVTTILDIKRASAFGSDVKNRRIGALLKQQSPEWAAGFALKTETIRGSI -SVIVIHGAGGSGKSQFLQEYLRTKDRKFDGITIVLPTNELRLDWEAKVPRLSRTLFKTFEKALIQPCANV -VIFDDYTKLPAGYIEAYAASHSAASLLILTGDPRQSWHHEDNTQALTYHLEPASKVFSNLCRYYINATHR -NCKEIANTLGVYSSCESPASITMSSQFVDERPILVPSMIKKTSLSELGRKAYTYAGCQGLTTPSIQIVLD -DHTPLCSSEVMYTALSRAVDKIHFVNSGPNAQDYWIKLDSTPYLKTFIEHVREQQMITAMAREDPPAECS -TKTHLPVETEKEVLGDLTEELPDKFERELFDKEHGHTNCVQTEDSLVQLFAHQQAKDEALLWKTIDARIR -TSDPEANKKELLNKKDIGDILFLNFKRAMNLPNDPVTFVPELWLSCSTEVSNTYLSKPIAMLVNGSLRQS -PDFDANAISLFLKSQWVKKTEKLGALKIKAGQTIASFMQETVMLYGTMARYLRRMREIYNPRRILINCET -DVSDVSTWVRENWDFSRPAFANDFTAFDQSQDGAMLQFEVIKAKFFNVPEEIIEGYIFVKTHAKIFLGTL -AIMRLTGEGPTFDANTECAIAYHHTKYFVPDDVHGLYAGDDTAQDAPPIPKPSFKMIEDRLELKSKELIF -SQKQGHWAEFCGWLITPHGIIKDPLKLYSSMVLAEKIGKVAECKTSYALDLRLAYSLGDTIQEVLSSDQL -KMHQLAVRKIHQWGTAHLLEV - ->YP_010087351.1 replicase protein [Cnidium virus X] -MSLVRAALDRLTDVSVKSALQESAYAQISATLRQAQTVNPFAVPADAADCLEKLGILTNPFYVAVHTHSA -AKAIENKLLEIVGHNLPKEPVNLLFLKKSKLNQLRRGPQNKDVFQNCNVEARDFARYDADTLVDNLCRIH -TRVAYISDTLHFLKPDFILDIFHNNPMLDVLYATIVLPVEAMYLHNSVQPELYSINYAHGGFQYLPGGHG -GGAYSHEFPDLNWLKYGYFRGTDKYPGTVVTCQQLESLGANHLFVYQRGELKTPRVRTFYADSFVKFPRV -FHPRHLNSTRPIKHKLAMQMFAYVKSVKAVTERDIYAKLRQLIKTDELDKFSPDEIVHIVNYFLFVSSME -GKNSYTEMIGASLWKRITAPVRNSINTLYQLITGADPFKKLLLSLQWTSFSYSLEVEEFSELHKDSWYPP -TDYIPEQWPFDEREPAETTPEASDSDCDEQPSPTKEEAPKPAPKVITPWTNILTRHGFAGDHTVLDPAGE -PISPITNVLSFEKIELPSHFPSFLAKQLKELGRLPCWYPVDKTRAQSFGSDVKNSRIGSLLKAQPADFKQ -SFAGMCEFTEKRVLLTVIHGAGGSGKSQALQDWLRASGKKFRDCVVVLPTVELRKDWEDKVMNMPRMTFK -TWEKALTQAAAKTVIFDDYGKLPAGYIDAYLATQPQCELAILTGDPNQSIYHEPNSQAATAGLSDNVTHF -AQFCRFHLNATHRNALKIASALGVYAENTIPAKITCSSQMKEGWPTLTPSLEKKACLQEMGRSSYTYAGC -QGLTTPKIQILLDTNTPLCSEKVLYTALSRAVSTIHFVNTGPNAAEFWDKLDATPYLKTFIDNARENIAN -PEMEPASDAPTEPDAPATHFPVENDACALGVFTDALPDKESREIFSKDSGHSNAVQTSDPVVQLFAHQQA -KDETLFWATVDQRITTTTPEENLKELVLKKDLGDILFENYKKAMGLPSDPIPFDQRLWDNCKAEVTNAYL -AKPMANLMNGASRQDPDFDPNAISLFLKSQWVKKTEKLGSLIKPGQTIAAFMQETVMIYGTMARYMRRMR -EVYQPSNIFINCEKTPQDLDSFIHEHWSFNTPAHGNDFSAFDQSQDGAMLQFEVLKAKFHNIPEEIIEGY -IYIKTHAKIFLGTIAIMRLSGEGPTFDANTECSIAYHHTRFQVHPSTAQVYAGDDMAQDCEPVEKPSFRA -IQDRLALTSKPERFEQTPGDYASFCGWRFTPIGIIKDPLKLYSSFELAKRTGKTADIGSSYAIDASFAYK -KGDALQEILTDDQARWHQLVIRNLHRLRNADVEMFLHQGA - ->YP_009389479.1 RNA-dependent RNA polymerase [Vanilla virus X] -MANIRAALDRFTDTSIKSALQEQAYSDVKPELRAINSLNPYAQSTSGADDLEQLGIGTNPFSIKLHTHAA -AKAVENRMLEIVGHHLPRDSCTFLWLKKAKLNLLRRNNPLDTFRNVPFEPKDFARYEEETICSSINGITD -ATVYISDALHFMKPSFVLGLFAGNPSIQQVYATLVLPPEALHKHPSAHPNLYNINYNFGGFQYIPGKHAG -GAYHHELETLEWLKIGKIFYNKGLTDQKVITVQLLESLGANHLFLFRRGDWVTPRVRTFSLDEYVTLPQI -FHPKLANASRPIRFTLANQLLLYVKSIKTATQRDIFAKLRQLIKTEDLSRYAPDELVHIANYFLFVGELS -AENCYDNLLKMGFFRKCTVPIKNAFLKLWRTLFGKLEFEQLLQSLEWKPFSYSLLVIDREYNDYTVRVEA -RKEKYHKLNSQFHSTWAIEDTEADIDDLVTRMTTELHIPLPLPSPNDDGQKGNSEEAKTQPEAPMPAAEK -GPTDDTSSPFAGGEEEPSNIADDHERVNSSCEASTSQNAPAHPGTSKERTVRNAYFLHAMRKAASDPNVF -TDINDAILGACEKMERSGCVDSPWSPWASILNACGFSGDYIQHDQRYNLIYPIQDIKAVNQCPWPITVPT -RLAAALEKMGRPATHAKIESRRAAAFASDVKNSRTGMLLPKQPIDWKQAFASKCDFADTKNFPCVVIHGA -GGSGKSRLIQNFLKTVDRRERRFCVVTPTLELRNDWLKKVPQLPLEVFKTFEKAMVQGSASIVIMDDYTK -LPAGYIEAYIMQHPNVDLLILTGDPKQSSHHEQNSQAQISHLEPAHTIFGQYAKYYINATHRNCSELANP -LGVYSENFLPLKLALSSQVSDGPILVPSQLKSEALHELGRKAYTYAGCQGLTTPSIQIMLDQNTPLCSNE -VIYTALSRAVDEITFINTGSNSTDFWRKMDCTPYLKTFLQAVREPKLPTEKCEDPQPREPIIKTHFPVEN -EKVIFEKEISELQDKFSRELHTPAHGHSNAIQTEDTIVQLFQHQQAKDQTLYWATIDKRIKLATEEANLK -EFVFKKDIGDILFLNYKEAMNLPNQPIPFDLQLYENCKSEVQNTYLKKPISQLMNGELRQSPDFHPHAIQ -LFLKSQWVKKNDCIGLLQVKAGQTIASFMQQTVMIFGTMARYMRRMRQRYQPRKIMINCETTPEDISKFL -KQEWNFKRPAYANDYSAFDQSQDGAMLQFEVIKAKYHCIPEEIIDAYIAIKLNAKIFLGTLAIMRLTGEG -PTFDANTECNIAYHFARFNMPPDTAYLFAGDDMAQDAPVEEKSSFAKIKDRLSLTSKPLTFKQGPGDYAS -FCGSLITPLGLLKEARKLAASLELANRTGNLKNVAASYAQDIFPTFVQGDRIYDIFTPEEQTHHRNSVRF -LVKSAHQNFGELVAQKLAGDSDSDDEDTGDSLMKHLS - ->YP_009389473.1 RNA-dependent RNA polymerase [Vanilla latent virus] -MYTTLVMATSVRKLLDQVSDPSTKAAYSELCFKHARDAATKASAIAPFAVSDSEALGLERMGITTSPFAT -TSHTHAADKIIENDCLSIIGHYLPKNPVTLIQLKRSKLHLLGRGANSDHFANYSYEPKDVLRYGTANPHY -CPKVTTEYAVLADTLHFMSPHQLVTLFLKNSKLQMLFATLVLPIEALHKLPSLFPEIYRLEYLKDHFVYM -PGGHGGAAYVHSYHTLQWLSTAQIGTHTNNILSIGSTDFITLEKIETKAAHHIMVVQRRRSAIPWPVPPI -WVFHASDMVKLPHIFYPAEANVQNAYPNTLIKRMQAYCFSVKAVSLRDIFAKLRQVLETSDLQKYSMPDL -VRLANYLLFTTGMQQVSDYDSFLTKGWLARLSSRIQMRIRQMLQNVVGQSSYAALLSVLDTIPMPFITKP -RAVPAVGPEWFHEEYTEGKPEHDGPENCSLEDIEMLAELDQTLTPHTQTETKEPQSPNGQITNQNTNSAA -TSSKRTNSENSSESQRRELFDEEQLIAEMWAEIETVPDLGSSSKIESSIIKTPTENGGEQMPTSDFDSRT -TEILRIHGFTALKQQSDGISPIMPVYFNDKTDQLCKSKIQTGCPFMSMATDIKRHFYDYTIDNRRGQAYM -SDVKNNLTGLVLPKLSRETLQAWVSLAENSKRTIPLLVIHGAGGAGKSQKLQETLRAIGAATEDVNIILP -TVNLLKDWKDKMNQMDTRRFMTFEKAFEREGNRIVIFDDYGKLPAGLIDAYLSIKPTVSMVILTGDQRQS -TYHSANKESQSAQLPTNIAHFRKYCDYYINATHRQPKRLANPIRVHAQRLHGGSVKKENLIKNGQTVLVP -AHRTQISLTDLGRPSLTYSGCQGLTLPHITIALDSDTPLCSDEVLYTAFSRASESITFVNTYSENPDFLA -KLDATPYLKTLLSGVREDEEAGSDLKPAEPEVREPQIKTHLPVTNTDTFLESKVEKTEDKDTRELWDGSE -KTNLAQTQDPVIQMFPHQQAKDEALFKLTIQQRIKTASPEENRKELSQTINVGNLLFEAYADFMKVPKEP -QPFDKALWINCLQTAQRTYLSKPTANLQQGANRQDPDFPDNAIALFNKSQWVKKMEKVGFKFKAGQTISA -FKQQTVLITTTMALYLRRQRERHQPGNIFIMCEKTPDQFNDFVMNNWNFDRPSYTSDYSQYDQSQNGPFL -NFELRKARHFGVPDEVLEFYQHVKCYAQTFVGNLAIMRLSGEGPTFDANTECNIAYDALRFQLNDQVNAC -YAGDDLVRDQVCPERSCWKYCHEKFNLVAKPLATNKPDFCGYRLTKYGIVKSPIQLYQSLELAIRLGKTE -EVKRSYAIDYLQAYRLGDKLFEIFDEKEMEAHQLTTRVLTKKGMKAPSEGDHMPSFHITSDRLIRNPHYA -EMQDTQSHRIYTEKPNFVENHFT - ->YP_009389419.1 RdRp protein [Euonymus yellow vein virus] -MSKVLNVLDRITDTSLKATIQEEAYQGIRQELKQIRQTNPFAASPEEAQCLEKLGIGADPYSLKQHTHAG -AKAIENQMLSIVGSILPKEKVTFLFLKRSKLRYLRRSDAPKDIFLNQNIEAKDVCRYDSDTVYESLPAIE -TRCAYMSDTLHFLDCDFLMKMFADNPLLDTFHATVVLPVEALHKHPSIYPEIYKINYDRDGFQYIPGGHG -GAAYHHEFETLKWLSVGRIQQEKNGDLGGDLCITCQLIESLGANHLLTFTRGKLKTPRLRVFQGNNLVTL -PAVFHPKRVNANRPIKRTMATQLLLYVKSIASVTSRDIYAKLRQLIKTNELEDYAPDELIHLANYFLFVA -RKESSNSYESLLGANWWEEFTIPIRAKIRGFLEKFKGKDSFDTLLDALDWKTFTYTVPTTVVFAIPECLS -DRKIWTATRAPDSDSESDDEEKPDKRENDRQEPRTKPDKRPVKPQNPNQEIKHSKMFAAELARNFTGASE -KTVRKSCTLTPEVRTNTVFVSPPEVHVCEEVNYSSLDSIANSLPQVEEGSLTTGKETESDVPETEIKAAI -REGKRPMLPEQAEGAHHKGQESRFEKPAGSLRIQEPTEESYKSKSTQETNPKSQKISSIKTPKESKEPGK -LLSSEQDRAGRAKPKTRTEYVVRAKQATTEPCQTAEAKSSPITPDNPKLPWQGWMKILNDSGFEGLSRQS -DSQGKLIFPIQHNQKLAKYPRPSSIPASLWKELERVNRLPVPHEFCQKRGAAYASDIKNGRVGMLLRQMS -PEDKNTMSVKVENAEGKTVLTSVIMGCGGCGKSKFIQDWMRTLKRDEKACTIVCPTNELRMDWENKVPKL -PRLTFKTFEKALIQPALNIVVMDDFGKLPNGYIELFAYTHPNMDLLILTGDSKQSTYHEENEQALTAQLD -SAIEVFKAKSRFYLNATHRNRRDVANALGVYSENEKQTAVTMSSQVKMGWPILAPSIVKSTAMKEIGHRA -YTYSGCQGLTTSKVQILLDSNTPLCSEPVLYTALSRAVEAIHFINTGPNAQEFWTKLDCTPYLKTFLSTV -RERKTQEVILADTKPKEPEPPRTHVPVETDSAVFEDFVETMGEKHERELFSKSEGHSNTIQTEDTTVRMF -QHQQAKDETLFWATVDARLKIATIRDNQLELLLKKDIGDVLFMNYQVAMCLPKEPIPFEWDLWESCAQEV -QSTYLAKPIHMLMNGELRQSPDFDSKKIAVFLKSQWVKKVEKIGALKVKPGQTIASFMQETVMLFGTMAR -YMRRIREAFQPPNIFINCEKDIPDLDMFVKERWDFKIRSHENDFTAFDQSQDGAMLQFEMIKAKHHSIPE -GIIEAYRQIKTEASIFLGTLAIMRLTGEGPTFDANTECSIAYHHTKYHVAPGTPQVYAGDDMAQAGLPEP -KDSFKILSNRLSLVSKEVVRTQKPGDFASFCGWLITPLGVIKDPLKLYASLELAKRTGNLKDCKTSYALD -AKFAYDLGDGIQDILSAEQLRYHQLTIRSLHLAGVGGRIVLNQ - ->YP_009362668.1 RdRP [Alfalfa virus S] -MATRVRKLLDEVTDPSTKAAYSELCFKHAREAAKQATKLAPYVVSDAEALTLERLGITTSPFATTSHTHA -SDKILENDCLSIIGHQLPKDPITFIQLKKGKLHLLNRGPGQDRLVNYCHEPKDVLRYGITHPHVCPRVDT -KYAVLADTLHFMSTKQLLHLFTQNPKLERLFATLVLPIEAVHRLPSLYPEIYYLEYYDDCFAYMPGGHAG -GAYVHSYGTLRWLEVGQIGTHTNRYISTGQTDYLTLEKIETKAAHHIMLVQRRRPNARWLLAQRWVFKAS -TFVRLPPVFYPPEANVQNTYPHDLIKRAQLYCLGVKAVSLRDIFSKFRQIIETTDLARYSMADLIRLANY -LLLTTGMQQVSDYECLLTSGLIAKLSAKIRIRFNQLLESLRGKSSYAALLTVTDVIPVPFTTKPKVYPAE -GESWFTGKTDEDSAPEIDDGSAPPEESAGGPTLEDLELIADMEKALREAESPPKGKMADEQDQAECSSKT -MTEAAHLQVDAVNGDGLSNTDAESDTTNSVDEQQRDVQFIASAEQAPNIQNTTLQVAPPQAQLPSMIANC -KCGMEVILKQLPQRYQKAKLHFEYQDQLNGREAVFFARIPDAVYEYPGGRHQSQGWPDTLDMVLQDCGQN -PESFDHCLVQRYERGAAIPFHRDNEPVYPLDNPILTVNLVGKASFELQCKRGEIKIPLSTAEYFIMPNGC -QRTHRHAVRALTHHRVSLTFRSTKRIAACLFTGEDTHMSDDSHFDRATRKHSPDQPATLNVAETKQPDDT -QAEERAATPKSNSFPPFPRATIEILQIHGFKNLRPQSDGTGPIQPVHWNPNTQKLVASEPSEEAPHCYIY -KLAERLKRKVYRYHVDNKRATSYMSDVKNNLTGLVLPKLDRATLSAWVSLCENSSRDVDVLVIHGAGGAG -KSRALQEMLRSRSTLREEVNIILPTIVLAQDWKTKMTTMDPRRFMTFEKACERESKEIAIFDDYGKLPAG -YIDAYIAIKPNVEMIILTGDQRQSVHHNANGDAQTAGLSSNIDHFSRYCDYYLNATHRQPRRLANPIQVH -AEREFGGAVMRAALLPDKATILVPSQISRMTASDLGRKAYTYAGCQGLTLPHVVIALDKDTPGCSKEVLY -TAFSRASESITFVNTFNENPAFLAKLDATPYLKTLLSGVREDEWLGRDEPPTEYEPKEPNIKTHLPVAND -ETFLDDLVEAMEDKDTRELWSKFEKTNLMQTENKIVQLFPHQQAKDEALFRLTIDKRIRLASPEENEKAM -ARTLSAGNLLFEAYADFMKVPADPQPFDRDLWEHCKQLAQRTYISKPTSNLQQGATRQDPDFPANAIALF -NKSQWVKKLEKVGFKFKPGQTISAFKQSTVLLTTTYALYLRKKREQHQPDNVFIMCEKTPNQFNDFVLTR -FDFSQPSYTSDYEQYDQSQDGAFLNFELRKARHFGVPEEVINFYRFIKLNAKTFLGNLAIMRLSGEGPTF -DANTECNIAYDALRFQLHDAVNACYAGDDLVRDHVCPERSSWMYSQHLFSLVAKPKATLKPDFCGWRLTP -FGIVKSPYQLKTSLELAIQLKKLEDMRRSYAIDYQFAYKLQDRLYQIFDEKEMEAHQLVTRVLIKHGATT -AHTGDHLPTFHITSDRLILNPHKIEMHDAAGDRAYLETPVTFDNYIQ - ->YP_009357226.1 RNA-dependent RNA polymerase [Cassava virus X] -MARVRAVLDSLTDVTVKASLQEETLSRIRPVMRAAAIINPYSVPNEAADALETMGILSDPFSVDLHPHAG -CKAIENKLLDIVGKLLRDEKVTTYFFLKKSKRNNLKRHSNKDIFQNKWLEPRDFSRYPPDSVVPRFNLVE -TPTAYISDSLHFMSQKSLLDMFDNCPVLQTVYATIVLPPEALFKHRSLEPALYSINYNYGGFQYLPGGHA -GGSYSHEFNQLDWLKVGTIRYNDDRPESTIITAQMLESMGANHLFVFSRGKLLTPRVRTFRADEMVYLPQ -IFHPKELNTTQPIKKTLAMQLLLYAKSLKTVTLTDIVAKIRQLIQTKDLANYDPDEIVHLANYFFFTAKT -SMLNSYDDILSLDCLSQIMLPIKSRLVKLKERLTGASPFRQFLKALEWTTMTYAVEVEDVVVTIPLTDHP -WPVDERPPEESDDEKEGSEDSKDEKPDRSETSKQKQDVGSSTAPPQPVVPWAEHLDILNKHGFRGNEIQL -HDGGVVIPILDINSKLPIARAPDNNHVPWPLIRKLKMINRMPTMVPILSSRAAPYASDIKNNRVGAYYRK -IPQLWRDKLSLDCEGADFEFPMSVIHGAGGSGKSQHLQEWLTTLPRDYKGVVVVLPTVELRTDWVRKVPT -LDNRVFKTFEKALERSYGTVVIFDDYGKLPAGYIEAFLYAHRCFELAILTGDPSQAVHHEPNLQASSYTT -TPGIDYFAAYSRFYINATHRNRKDLANALNVYSEKEGTTAIHYSSNVVEGQAVLCPTTIKQKALQELGHK -ALTYSSCQGLTAPKIQILLDSSTPQCSSRVMYTALSRATDSICFINTSRTTNDFWDKLNATPYLKTFIES -IKDQAAPEERAPEPEITEVMPATHFPPTNTSVILEDLIEELPEKHAREIYASSSGYSNAVQTEDRVVQLF -PHQQAKDETLLWATIAARLSISTPQQNLKELALKKDIGDILFFNYKEAMGLPSEPIPFNQDLWRAARTEV -EKTYLQKPVAMLINALTRQSPDFPRDKISLFLKSQWVKKTEKLGCIKIKPGQTIASFMQEVVLLFGTMAR -YMRRMRQAYQPPNILINCEVTPADLNEFISERWCFTRPSHENDFTAFDQSQDGAMLQFEPMKAKFHNIPE -DVIEGYKQIKTNASIFLGTLGIMRLTGEGPTFDANTECSIAYHHTRFQVTPGSAQLYAGDDMAQDSIPME -KPSFSLLRGRLALDAKPIIRNQKPGDFASFCGWRITPLGVIKDPLKQLAGLELAERTGKIKETALSYAHD -THYAYRHSDRLHEIFTEREGELHQAVVRKLHLHHVGDALAKGPT - ->YP_009328892.1 RdRp [Arachis pintoi virus] -MATSVRKLLDQVSDPSTKAAYSELCFKHAKDAATKAQRVAPFAVTDQEALTLERLGITTSPFATTSHTHA -GDKIIENDCLSLIGSYLPKAAVTMIQLKRSKIHLMNRGPNQDVFANYCHEPKDVLRYGITNPGACPRVHT -EYAVLADTLHFMSTKQLLTLFLKNPKLQRLFATLVLPIEALHRLPSLFPEIYRLEYYKEHFAYMPGGHGG -GAYVHSYATLNWLSTGQVGTHTNNVLSVGYPDYLSLEKIETKAAHHVMLIQRRRVNVPWPVAPLWVFHAS -DYVRLPQVYYPPEANVQNTYPHDLIKRMQAYCLSVKAVSLRDIFAKLRQVIETQDLKRYSMADLIRLANY -LLLTTAMEHVSDYECLLTKGWVAKLSARIQMRVRSMLESIRGKTSYSALLSVADVIPVPFTTVPIRRPST -GLGWEAGDSDQEDPKEDMPGQDAGPDLEDLELVAQFDENPAKSGADEEGHRDDHSQIVDELERALHTTSP -PSASSEDDDSREDPNKERSNCTAQLPGSTSGASLDTPTVEILKLHGFTALKPQWDGESWIQPVYFNPTNG -KLPISPLQDSSHEVAKLAHSIRRNLYQYTVDNKRAASYMSDVKNNLTGLVLPKLDRDKLSAWVSLCENAE -RHISILVIHGAGGAGKSRCLQEALRDSKELAENVNVIVPTVNLVLDWKKKMNAMDPRRFMTFEKACEREA -KEVAIFDDYGKLPAGYIDAYVAIKPTVEIVILTGDQRQSVYHNGNKDAQTANLTDNVTHFRKFCDYYVNA -THRQPRRIANPIKVHAERQFGGAVTMANLIQDKATVLVPAYRTQSALTDLGRQAYTYSGCQGLTISDVTI -ALDNDTPLCSNEVLYTAFSRASESITFVNTHSDNPDFLAKLDSTPYLKTLITGVREDERAGHAEPPAETT -PQEPEVKTHLPVTNGDVALEEKIEAVEDKDTRELWDGQEKTNLMQTQDPIIQLFPHQQAKDEPLFKLTIE -KRIRTASASENQKAIAKSCNAGDLLFEAYADFMKVPKEPQNFDRDLWLHCKQLALRTYLSKPTSNLQQGA -TRQDPDFPEAAIALFNKSQWVKKLEKVGFKFKPGQTISAFKQSTVLLTTTMALYLRKKREEHQPDNVFIM -CEKTPDQFNDFVITRWDFSRPSYTSDYEQYDQSQDAAFLNFELRKARHLGVPEEILSFYKFVKIHAKTFV -GNLAIMRLSGEGPTFDANTECNIAYDALRFRLDTNVNACYAGDDLVRDKVCDERPSWQYTQHLFSLKAKP -LATNKPDFCGWRLTKYGIIKSPIQLYQSLQLALRLNKMDEVRRSYAIDYQYAYRLQDKLFEVFDEREMDH -HQLATRLLIKKGARVPDIGNHLPMHHVTSDRLIRNPHYKEMHDAESDRIYMVAPSLTENHFT - ->YP_009186834.1 RNA-dependent RNA polymerase [Actinidia virus X] -MAKVRAALERIRDPSVQTALSEAAYTHMRPVLKEALVNCPYALTDAEADSLENLGITINPSATQTHTHAA -CKAIENRMLEVVGSHLPKEACTMLFLKRSKLRYMRRAAALKDTFVNKDVEPKDLFRYDSDTIRSKLQGIE -HSIAYISDTLHFMSRQELVQLFVDSPNLETLLATVVLPVEALHRRTTLYPTLYSVNYSAKGFEYIPGNHG -GGAYFHPYSTLEWLKVRQIHAYDYYALDPQITLTFQMVESLGANHLFIIRRADMKTPAMRTFCRDSLVTL -PKVFCPDSMNANRPLEKKRAMQLMLYCKSVKQVTERDIYAKIRQLIPTQELELYEPDEIVHLANYFYFMA -HLDSITCFEDLLSNNVWRRLTLPIRTKFRQLVEFFKGKSDFNKLLQALKWETFTYSLEPEDYSEKFSSHR -AREFAEMTDTTVGKAAETLRYVARHPEVVQPEDFASQPIPDPLENCSHYIQIDPATPKLDADASPHALSA -ETPPATAQLQLLPSNAGLCTGVPPTHHSDWQVATMEYLMEQAGGVTSDGRTRTIFHKPTPAAHTLGTTPT -TADWPEILDDIAASLPAQPDLCILEAFHTSRPGPLLPDVPLWAAQKSTVLCFGDVTWLFPCPHETTTRNG -DHFTLENTHLGPHAPKIDNCGLRSVVAHFITVGAPASHVEAPKISNATSNEELSPSHPPPPANPPAPAPA -AHRTVNNNVAPADQSKPVPWASWVPLLKNHGFDGDQEQLHPDGQLILPIQDVHKLPHAAYPSEVPIALQE -TLNNIKRYPVTIKLDKHRASSYASDIKNNRTGRLLLQMDQKWKSSFAYKMQHEDHEVVGTIIHGCAGSGK -SYSVQKWMRTLKEDQNVITVVTPTVLLRNDWHTKLPILTADTFKTFEKAIVQPCNPVVVFDDYTKLPPGM -IEAMVMHHRNISFIILTGDSRQSTYHELNDEAYISALPEAVEVFSPYCEFYLNATHRNVRDLANKLGVYS -ERPGKCKINFTSCHLHGSKIPILVPSTFKKNAMAEMGHNSMTYAGCQGLTAAKVQIVLDNHTSHCSERVI -YTCLSRAVDGIHFINTGPTSGDYWEKLGSTPYLKAFIDAYRDERTEVYNSQPADDSPTEPDAPITHFPMA -PKPLLEPLVAELPVKEDREIYSTTHGYSNAIQTDDGVVQLFQHQQAKDETLYWATIETRLAISTPEANLR -EFNLKRDVGDILFLNYAKLMGLPAEPVPFEERLWEISAAEVRNTYLSKAVGNLVNAAARQSPDFPVNRIA -LFLKSQWVKKVEKLGAIKVKSGQTIAAFMQETVMLYGTMARYLRKMRQRFQPDNIFINCETTPEDLDRFI -KTKWNFKRPAHTNDFTAFDQSQDGAMLQFEVIKAKFFNVPPEIIEGYIFIKLNAAIFLGTLGIMRLSGEG -PTFDANTECSIAYNATRFHIQPDTAQVYAGDDMALDRISIEKETFHTLERQLKLTSKPLFPTQTKGDYAE -FCGWVLTPDGLIKQPLKMHASIMLQKKIQNISQSARSYALDLRYAYKMGDTLQEHLTEEEANYHQESVRQ -MHLLHQQDVLARGSASPPKDTGHEGTSTRTQKRNQVKRRAKVRVATIAEAT - ->YP_009124988.1 putative RNA-dependent RNA polymerase [Citrus yellow vein clearing virus] -MATIRGAIERITDTTVRTTLQEEACRQIRAELKNVEHINRYAIQPDAADALEHLGIGSNPFSVALHTHGA -CKAIENQLLYVVGTLLPKERVTMLFLKKSKLNIMKRCPKFQDIFLNQHIEPRDVSRYCDFNVQSTSTSIP -TRTAYISDTLHFMDRRDLVRLFLNSPNLETLYATIVLPVEAAYKQPSRYPEIYQINYDFDGFQYIPGGHG -GGAYHHEFEQLQWLDTGHIHWRGPDCKEIRMTITAQMLESLGANHLFCFKRGKLLTPRVRTFGRDTQVLL -PKIFRPADKNFNRAIPLTLANKLLLYAKSINTVTFRDVVAKTRQLMKDKELETYTGNDLLHMANYFFAVG -ALSGVNSYDQLLGLSAWEACTVRIKNTITNLWEKIAGKKEFGKLLEALEWETFTYSRQVTEFTVTGLPAL -LPLPDISDQEEIFAQQDELDKITAGATKIRTIDIMRGQANRANPKTPINSTPAQGPQLPDTPDPIDQAAS -KDLVTKLQKNKRIYIQDDGPEYLMGHMAEVPAWYLEQDDTTTRLKNRCAWFFGPPTYRYGHNDIEYTTIE -YYPWVERIGAIFGKYNTCLAQTYDAGARIGYHADDEDCYDPDVTVVTINLTGNATFLLKTPTGTRTWKLK -PGDFIIMKPGAQRCTKHAIRDCTTNRTSLTFRWQARTCPTNLRKITNLPKATNQPQTTEWRPVTKPRPST -TASSDTQTPLVIDQERGYTTTSDVTPTIRLPAENGNNTGAGPSSALTLADLNDNQAPTTNKGKEKLEEMV -ETGPTIMERFLNTVQEPTQDLWDSASESAASYLAEGLGISAIQALPWAPHLELINALGFQGTERQYGPDN -CLIWPITHYRELPRSNNVEAPPEVLELLDCINRYPTDVPMLKTRAAAFGSDVKNLRIGALVKNQDKQWRA -SLALLCEENEHLLPTTVIHGAGGSGKSHLLQQWVASTERGNVVTILPTIELLRDWQNKCPHAPKETFKTF -EKALIQNSAPVVIMDDYSKLPPGYIEAYVSLKGQCKLLILTGDPRQSHYHEENPEALISTLDPATDYFGK -FCAYNINATHRNAKTFANALGVYSEKEIPTTITCSSYQKSGWPTLVPSILKRTALNDMGQRSLTYAGCQG -LTTPKVQIVLDNATPLCSDKVMYTALSRAVDQIHFFNTGPNHTDYWEKMNATPFLKTFIDHTREETFAEH -QPAEPTVREYAPATHFPPANENLALEPWVEPLTDKHSRELFHSALGHSNCVQTENTVVQLFPHQQAKDET -LFWKTIDARIKITTPEENIRACSIATDIGDILFLNYKEAMGLPQDPIPFEQALWDSCQAEVQLTYLSKPL -AALANAAQRQDPDFDSNKIQLFLKSQWVKKVEKMGCLRIKPGQTIASFMQQTVMLYGTMARYMRRIRTSL -CPPEIMINCETNPNQIGTWVREYWNFNTQSHENDFEAFDQSQDANMLQFELIKAKYHSIPEEIIAGYRHL -KCNAHIFLGTISIMRLSGEGPTFDANTECSIAYNHTRYFVPKGTAQLYAGDDSACASPLSEKPSFQHISP -ELSLKSKAKIRTQQKGDFATFCGWLITPKGLIKNPTQLYASWLLAKHNKDLADVARNYALDLRIAYQLKD -ELYELLSPEELDHHQLLVREMIKHKMGHLLNLPEGFKTN - ->YP_009110668.1 replicase [Garlic virus B] -MTTVQKLLDQISDPNARAAYSQDCLSAAKHAATKAMTIAPYAVTQTEALTLERIGITTSPFATTSHTHAA -DKIIENDCLDIIGRYLPKDSVTLIQLKRAKLHLLKRGPNTDVFRNYCHEPKDVLRFGLTNPHSCPVVDTP -CAVISDTLHFMSSQQVWTLFERNPRLQKLYGTLVLPIEALNKLPSLFPEIYKLEYYEKHFAYMPGGHGGG -AYIHSYGTLKWLNTAQIGTEGNHLSIEKIETKAAHHIVLIQRRRPQLSWPLPPLWVFHASDYVKLPEIFY -PSEANVQKAYSHVFIKRLQLYCFSVKAVSLRDIFAKIRQVLPTEELSRFSTADLIRLANYLLFITGMQQT -SDYQSPLMESLFGKMCLSIRARVREFLQNLMGKSSYAALLTVTDVRPVYFTTKPVRRAICGHQWFEDEEK -DEETGTTPSITGYPSPSPDDLDLLAEFERHEQANEERNQPSSENPNPKQQSTAHSSAERAPNGKFTNVGG -RAPESVHETQDHPQQVKEGNDDNMVDGQFTDCTDSDCDQSDNESDSDSECQESQQLQDDLLPDEDDLPEL -ESVPGELDTENPEAALESDETEPTAKAEDDDDGESSEDAQTSSSKPETTPGVALEPLDDETIDILKMHGF -TNYKPQHDGEFQIPPVFYNRQARFLPLHEGIVNTAQSRFLDMAQALKRNCYNYRLDAKRATAFMSDVKNN -LTGLVLPKLDRDLLTSWVALAENAKRNVGLIVIHGAGGAGKSRALQELMRNGLVDTNEVNIIVPTINLAV -DWKKKLPSVDPRRIMTFEKACEREGKSIVIMDDYGKLPAGYVDAYLAIKFNVEMVILTGDQRQSVFHNDK -KDSQIALLSSNTDHFKRYCDYYLNATHRQPRRLANPIKVHAEREIGGAIKHATLIPTNAMTLVPAFRSQS -LLTDLGRQAMTYAGCQGLTLPHLTIVLDKDTPLCSDEVLYTALSRASETITFVNTHSNNKDFLAKLDSTP -YLKTLISGVREDEQAGRDNAPPEPIVRDGPTKTHIPVANDKIQLDDKIEAMEDKDTRELWSGEEKTNLMQ -TQDPTIQLFPHQQAKDEALFKITINERIRLAAPETNRKALKDTINAGDLLFEAYASFMNVPRETQPFDKR -LWAHCRQLALRTYLSKPTSNLQQGAQRQDPDFPDNAIALFNKSQWVKKLEKVGCKFKAGQTISAFKQSTV -LLTTTMALYLRKKREGHQPDNVFVMCEKTPEQFNSFVLTKWDFSRPNYTSDYTQYDQSQDSAFLNFELRK -ARHFGLPDEVVDFYAFVKTHAKTFLGNLAVMRLSGEGPTFDANTECNIAYDALRFQLDPSVNACYAGDDL -VRDKACDERPGWKYSEPLFSLKAKPLVTNKPDFCGWRLTKYGIVKSPIQLYQSLQLALRLGKVEEVKRSY -AIDYLFAYRLGDQLYDIFDEDELAKHQLVTRTLIKKGMQPPSSGEHLPTFHVTSDRLIQDPRATKVSTFE -SDRVILPLEIIDDHFAFASKSDRNDMTTASNQQTSESNVSANQTDYGGTLLTDMFPDIAML - ->YP_009091814.1 RNA dependent RNA polymerase [Yam virus X] -MANVRAALERFTDPSIKSALQEQCFTEVRSTLRSVSNLNPYSHDVSTSDSLEKLGIATNPFSIATHTHGA -AKAVENRMLNILGAQLPKTPCTFLWIKKAKKNLLMRSSTHDTFLNMPIEPKDFARYEEETIVSKLSGITD -KTVVISDALHFLKPSFLWALFKGNPTVTDVYATMVLPAEALHKHPSMNPDIYNINYDFNGFQYIPGAHAG -GAYHHEFSSLDWLKYGHIHYDNGKTKEYITCQLIESLGANHLFLFRRGFFLTPRVRTFSLDEYVILPQIF -HPKQMNASRPIKFTLANQLLLYVKSIKSPTQRDIFAKLRQLIKTSELNRYAPDELIHISNFFLFISELSA -ESCYDNLLTMGFFKRCTVPVKNAFLELYRKLFGKLEFEQLIAALDWKTFSYSLEVIDKKIIRYSYLEEVR -KEGYTTFNTNFNGLWDIIEKPEQTDSELTAEPKPHTELREKVEEIIEEKAQASIEMKVAGNILTATERDW -NKSQMEKIYKLVNDPLSFCQLSKEMVQCLSEMALVGCSQSPWKAWETILKSLGFKANQIQLTPDNLLILP -IENIRKVNKTASFPLNFPSRLAKLLTDCKFCLTKINLNSARASAYASDLKNSRTGALLRNQPFEWKTALA -ARCENTIRSDFTGMVIHGAGGCGKSRLFQNFLKSSTKTDRLFTVVCPTVALLMDWRNKVPHLPLETFKTF -EKAMLQPSNPFVIFDDYTRLPEGFIEAFLIQHPNVEIAFLTGDPRQAEFHETNPEAYINQLSKACDVFSP -YCSYYINATHRNCRTLANALGVYSEVDTELKISHSSHVSDGIPVICPSHLKSEAIQELGRKSMTYAGCQG -LTAPKVQILLDTNTPLCSTKVMYTALSRAVDEIRFVNSGSNSTDFWNKLSCTPYLKTFINAVREPNKTNS -EAPESVIREPLCKTHFPVENTTTILEKEVSQLVDKHEREIYNDQLGHSNAIQTEDTIVQLFQHQQAKDET -LYRATIDKRIKLSSEEANLRELVLKKDIGDILFMNYKKAMNLPEDPIPFEQDLWTACEAEVQSTYLSKPV -HMLMNGQLRQSPDFDPHAIQLFLKSQWVKKVDCIGILKVKAGQTIASFMQETVMLFGTMARYMRRMRDRY -QPRNILINCEKNPVQITDWIMDGWRFDRPAYANDFTAFDQSQDGAMLQFEVIKAKFHSIPEEIIDAYIAI -KLNSKIFLGTLAIMRLTGEGPTFDANTECNIAFHFTKFQVAEDTRLMFAGDDMAQDAPAVMKSSFVELQD -KLALTSKPIVYSQAPGDFAQFCGNLITPLGLLKEPRKLNASLQLAKNLGNENNVAAAYANDLFYSYAQGD -RLFDVLSEEEMTHHAAATRFLVKGFRHTFKAPDSDDEDDGTKDLVSRLELEGHNTF - ->YP_009041465.1 polyprotein [Sclerotinia sclerotiorum debilitation-associated RNA virus 2] -MKLRNPKAPIRNDRKTQRSFHKRMSLKAQVEPTLTTQDRLELGHFSNNFIRKKLSRTDGYCYLSLLKCAF -RPFAARVLGPDPSLGLMKRKLVADHYHSWLHEYSLSVTKSSRATFAHVVRVPGGTTLADVPAECCIGSTT -PPSGRMSTVHASFLATQLPYVNGYCYLKLVQETDRFNACITLGPWPKITSFFDYMKSRVDCDDYTRHSLT -LEETQMGFFCHVVERNNQELFHTFPFPQNCRIGGSIGEIVKTLGPDKEMIERDAVTEIKKEIAVVRKYNP -YYHSLKQQSALESYGIGSDPYAVRSHTHAAEKAIENKLLDIVGMNLRRRDVITMLWQKRNKAHLMGRSNC -KDVYINTVMEAKDLVRYDNYSFDLPTIATSTGFVGDALHHMSPESVFSLFERSPNLMMLHATLVIPPETL -LKCRSSNPELYQLRYYDEKFVYIPEGHAGGSYVHELKNSNWLAISHIQRGSKFLTVKRLETLAAHHYFVI -VRGKVDTDPIRVFQSPSQVELPDIFTDRQSNVKCSLDHAFAIKMERYVHSLKKLELADVTAKTRQLLSSE -ELLQYSPADLVKIDNYFYFLAHTSRFNSSDELIGSGFFKSLISPLKQWFSELCEKFSGMNNFHKTLKALE -WKVINYDVKTVTYDMSKPWEKLHWKSDSNLVTFDLDDDSNPPNTEPIITDKVVVSSEAVELDYELILVED -DFIDIRLPGIDIPIYDSEFLASPEIIAEEVIDNVEPIKVSNVETSSNLSVDGWMSQSRKVFLPEYDDKMA -SILEKFGVSKYGQIVSNNFITPITDLKEIKFEKVGHDEFTDSLQERGFGFVSYTPDAERVAVAATDLEHG -QGVLITAEEASALFKKAMPMSVYVSVILGAGGAGKTTFVEKFVKDKPKSFTVVTPLSVLKKEWEKKGAKN -VFTYETALKRSLKKPANEYVILDDFTRFPAGWIELYMSLNTKSKYIVIGDNRQADSHSMNGAFANALVPS -IELFVPLSPFYLNWTWRMVRPVANALGHVSWKESSSDKSVISVVSEVPKDCVVLAPSTTLKIGVETVNEK -SFTYTSAQGATFDKVAILIDDNITRVCGDKAVYTALSRSKGEIVFISTVSGPDTFEKVKCTPFLRSFVDL -IREYESNQPKVREPEASVVEDVIPITNQPLVSEEFLIEELNKNSLDKYSREIFRPDLGHTDAVQQVGRIA -EQIPRQQRSDEALNLVTLDKRIHHATVDENLAELSKKKALGNILWTNFKEQYYAGLESVMLDQDLLVSCR -AEITKTYLSKTEALLKGGQMRQSPDFDKFKIADFLKTQWVRKTEKYGLPIKAGQTVTSFMQETVMATGTL -SRYMRRMFDKLCTNERVYLHREKTEEDFSNWIRSGWDFSGHSTINDCEAFDASQDGAFVEFERLHAQFLG -VPEELIDFYVDTKVKSYIWRGTIAVMRLSGEGPTYDFNTWANMAFMATKYSIPKAAMAAYSGDDFACDRV -LSIKPAFRELESKFKLKEKRFLKTQERGSYADFCGMIITPSGVIKNPRKLYLSLKGHVEIGTIDKAIVNY -YNDLRTLISLGDNIFTVLDSTETEFFAGCLKVIHDYIIKGSNYEGHSGLTLFNKPRTIKWKVEQDEIRDC -LIHMIIQDRKFIRNLLEGVENTCDERPGLSLVLRGSRNGYQKRALFNQRLNARDEYLSKVLNKSNGQNAF -RRLLETPDDDQDPTAVVQSTV - ->YP_008855206.1 replicase [Garlic virus D] -MGTVQKLLDQISDPNARAAYSQDCLSAAKAAATKAMTIAPYAVNQTEALTLERIGITTSPFATTSHTHAA -DKIIENDCLDIIGRYLPKEPVTLIQLKRAKLHLLRRGPSGDIFKNYCHEPKDVLRFGITNPHVCPQVNTT -CAVISDTLHFMSTQQVWTLFQNNPSLERLYGTLVLPIEALHRLPSLFPEIYKLEYYDKHFAYIPGRHGGG -AYVHSYGTLKWLSTAQIGPGPYPEYRSNANGRRPLLDHLSVEKIETKAAHHIVLIQRRRPELPWPLPPGW -VYHASDYVKLPEIFYPAEANVQKAYPHIFIKRLQLYCFSVKAVSLRDIFAKIRQVIPTEELARFSTADLI -RLANYLLFITGMQSTSDYQSPLMESLLGKLCLSIRARVREFFQNILGKSSYAALLTVTDVRPIYFTTTPR -RRKICGEAWFDSLEEEESNPPTRNVTGYQQPSPDDLDLLAEFEKQLTGAQDNEQRGGQTSGKVNGQNVET -TDESEPQHQQCNIEREPTKDKVLEHVNDITTRSEQEGDVTDWSDDESLAEKETHEQDAPLSDDEDLFDLP -PLENCSECGDDSTQKLPDGDDCDMPNIDEENEATETKVEPDGISPQEPHEDSEKGYVNNCDGSQTEHSPE -HEDKPDKPVAKVKLNPLDDDTVELLKIHGFTNFRAQYDGEDQIQPIFRNANAKFLTQYTTTVAGPQQCFI -DMAHGLRRNCYEYRINAKRATAFMSDVKNNLTGLVLPKLERDLLSSWVSLAEHATRKVGLIVIHGAGGAG -KSRALQELLRSQTVDTNEVNIVVPTINLAADWKKKIPAVDPRRVMTFEKACEREGKPVVIMDDYEARPAG -YVDAYLSIKSNVELVVLTGDQRQSVYHNDKRDSQIALLSSNTDHFKKYCDYYVNATHRQPRRLANPIKVH -AEREVGGAVKHATLIPETAMTLVPAFRSQSLLTDLGRQAMTYAGCQGLTLPHLTIVLDKDTPLCSDQVMY -TALSRASETITFVNTHSDNREFQAKLDSTPYLKTLISGVREDELTGGDYKPPEPIVREGPTKTHMPVAND -KIQLEEKIEAMEDKDTRELWSGEEKTNLMQTQDPIVQLFPHQQAKDEALFKITIKERVRTADANTNRKAM -HETLNAGDLLFEAYAKFMNVPQETQAFDKRLWAHCRQLALRTYLSKPTSNLQQGAQRQDPDFPDNAIALF -NKSQWVKKLEKVGCKFKAGQTISAFKQSTVLLTTTMALYLRKKREGHQPDNVFVMCEKTPEQFNSFVLTR -WNFKRPNYTSDYTQYDQSQDAAFLNFELRKARHFGIPPEIVDFYAFIKTHAKTFLGNLAIMRLSGEGPTF -DANTECNIAYDALRFQVDPTVNACYAGDDLVRDKACEERPGWKYAEPLFSLKAKPLVTNKPDFCGWRLTK -YGIVKSPVQLYQSLQLALRLGKVEEVKRSYAIDYLFAYRLGDQLYDVFDENEMEKHQLVTRTLIKKGMQP -PSSGDHLPVFHVTSDRLIRDPSAKRVSTYEYDSVSLPFELIDDHFAHNSSRPDREDMNNARDTQTQDHTS -PAGSPEGREPLLSDLFPQLISL - ->YP_008828152.1 Replicase [Donkey orchid symptomless virus] -MLSEKYSAIKDVNQQAILQTRYRAEYDNAVNETRKTNPYYFDDASAEFLTGLGIPALPRHEATQLHRHGK -NKAIENHLLANLKHKLSGPCSFCFFKPAKLQLLGYNPRTAHEFLNPHITAKDLYRFPEDNIVADVFKFNY -PTAFVQDALHYYSPGIILNIFEGNPGLRELYATVVIPVEIMHKHASFHPSLYTIEYHDDDEFSYIPESSA -AGAYTQGLACLNWLKYSNFSRGETKVSSTLLETLGAHHVLHFVRGEFLPQKRRLFQHPPLVKLPPVYCVG -RFNSHKPFPKTLVQVLLLYAHTLKEIRDVDIWAKLRQQIPKNAIDDYDVGDLTLLADYVVVTAKLSRHPN -ALAISNASLLGRLSTHAKDALRRALSPFVGPDGFEEHQRQLQLQPFNYSIKTERYVSDHLPAALHAWIQN -SDTTDLSRDELLELEACNSQVDQAPLSRREPDVPHCGANRVGDEVPPHHGVVIPPPELHDGLLPKLPSEC -NWGDSELESLLEQPIEQEPGCENHARASDIQNSAPAVHPRIIPIDDPYPRVEATAAIHIALSQHGPPSFT -PYCSRCAADLASDLYGGRVGVINRWKNNAGLEQWEYLCDGERDEADGHVDHLRGERLARTRQVHTLGIMG -TAGSGKSHSVQEYLRQHDDPQEYEVVVPTKELQADWRAKCPNMEHVHTFEQALTHRAARHLIIDEIGRYP -PGYMSLLQTIRPNTPSFTVIGDPQQCVYHALNPEAKINHLPEEVLSFGATATAYLNSSKRCPKRVCDAIG -AHCDNDRAGEISFNPVRATCNTLLTPQHTTAQALRELHPDTRTYAGSQGLTRRAISILLDTSTLLCDRRS -IYTAITRATHHIEFVYNGPSADQFGQKLDAVPLIRALLETVHETPAPEHAPTDPVPVYELQARTHLPVEN -AIGTLDDEVAELADKHDRELFNQAGYSNCVRTNDPIIQAIPHQQRSDEALSLATFDYRLRRATVKENIEE -VKTKAQAGLILYEHLAQALNLPAEPLLADDELWNQCRAETEVTYLMKPEHQISNARWRHEPDVDFSHIDL -FIKSQKVKKPEKFGRKIKPGALICSYRQDVVLRTATLARYVRKRLCPYIPEHVFINCEKTPTQMEYFVTK -HFRDGKLCLSNDYQAFDQSQDGGILNLERRVYTTLGVPAHEIDFYVDLKIHANAFTGVLGIMRLTGEGPT -FDANTIANMAYFYTKYDVPIGTPAMFAGDDMTCFDNPTIRESWQHVEPMFSLTAKEELNTRPSFTGWLLS -KHGIVKDPLKLLLTYHVAEQEKRTKDVLPSIAIDIDHAYSLGDKIFEVFDEREIRLHHHMLRRLHKNGFK -PRSVLARNRMLSDETPGTLADRSVAIDVTLPGYARRGAGSLREPLLLPSDAERSPTRSHTIIDILT - ->YP_004659200.1 replication-associated polyprotein [Blackberry virus E] -MATQVRRLLDQVSDPSTKAAYSELCFSRAKDAAAKAATLAPFAVSDAEAVTLERLGITTSPFATTSHTHA -ADKILENDCLALIGHYLPKDPVTFIQLKKSKLHLLHRGPDQDTLTNYCHEPKDVLRYGITNPHSCPKIQT -QYAVLADTLHFMSTMQLAVLFNKNPTLDRLFATLVLPIEALHRLPSLFPEVYRLEYYENHFAYMPGGHGG -GAYVHSYATLKWLSTGQVGTHGPGLISFGITDYLSIEKIETKAAHHVMLIQRRRARVPWPLPPVWVFQAS -DYVRLPHIFYPPEANVRNTYQDVFVKRLQAYCFSVKAVSLRDIFAKIRQVVETQELRRYSMADLIRLANF -LLFTTAMQQVCDYQSFLTKGFLARLSARIQARIRSLTEGLMGQSSYAALLSVADTIPVPFTTKPISRPAQ -GEDWYHDPDEEGDEPEPENDSSTPSLEDLDLLAELGENPKATAPGTHENKTSNDPALERKDIEVPSSASP -KPTRQPLLEHVISSEQDQDLTLDELEAMLKAKPPSTQDKGTVGHQMSDRVYIPHGEGTSSAPHNGTPEHG -REHAGSDEHIQFGTIGEDDLLEDLLTPTPTSEVGTTNSTAQVQAPRAGPDPKANAEAHTLPKRTVDILRL -HGFTNLNQQFSDVGAIQPVIYNTNAANLKLAPPPLSKLNKVLDTMAQKLQRRFYSYVLDNKRATAYMSDV -KNNLTGLVLPKLDRNILSAWVSLAECSKRTVSVLVIHGAGGAGKSRAIQEMLRNHGEVADNTNVVVPTVN -LVLDWKSKLPEVDPKHFMTFEKACEREGKEVVIFDDYGKLPAGYIDAYLSIKPTVETVILTGDQRQSVHH -ATNREAQTANLKTNVQHFSRYCDYYVNATHRQPQRLANPIQVHAERKTGGAVHRANLIPDTATVLVPSFT -TQQSLNGLGRRALAYSGCQGLTLPHVTIVLDNDTPLCSNEVLYTAFSRAPESITFVNTHSDHPDFLAKLD -STPYLKTLLSGVREDEQAGKDDPLPKPEVREPMIKTHLPVANLETYLEDKVEQMEDKDTRELWDGKEKTN -LMQTQDPVVQLFPHQQAKDEALFKLTIEQRIRTATPAENSKSVQHTLQAGDLLFEAYSDFMRVPKEPQTF -NPDLWEHCKQLALRTYVSKPRNNLEQGKTRQDPDFPENAIALFNKSQWVKKLEKVGFKFKAGQTISAFKQ -STVLLTTTMALYLRKKRLEHQPQNVFIMCEKTPAEFNDFVLNRWDFKTPSYTSDYTQYDQSQDAAFLNFE -LRKARHLGVPEEVLDFYKFIKIHAKTFVGNLAIMRLSGEGPTFDANTECNIAYDALRFKLAPTVNACYAG -DDLVRDQVCDERPGWQLSKHLFTLQAKPLTTTTPDFCGWRLTPHGIVKSPIQLYQSLQLALRLNKMEEVK -RSYAIDYSYAYKLGDKLHEVFNEQELDAHQLTTRIFVKKGLKIPETGDHLPQFNVVSDRLITNPHYKEMH -DSTSDRTLLSEFEIQEDYVPDYIPRIIQI - ->YP_001960940.1 RNA-dependent RNA polymerase [Lettuce virus X] -MSRIRNVLDRIRDPSVQTAICESAYTQIRPVLKEALINCPYALNDNEADALENLGISVNPYAVQTHTHAA -AKAIENQMLQIVGHHLPKSKVTFLFLKRSKLRYLRRAAALKDTFMNKEVEPKDFFRYDSDTIHSRIQEVS -TSVAYMSDTLHFMTLEELAHLFESSPRLDTLLATVVLPVEALHRKTSLHPAVYSINYNKDGFEYIPGNHG -GGAYFHPYNTLEWLKVRQLECAPRYKFGRPFTLTFQMVESLGANHLFIIRRGLFETPQYRTFCRNTFVLF -PKIFHPASSNANRPITKTKAMQMWLYVKAVKAVTERDIYAKIHQVLPTNELELYEPDELVHLANYFFFLS -NLKSYTCYEDLLSGGLIKRLTRPVRTAVTRFIEFFAGRNDFNKLMDALKWEPFTYTLEPAVYKTKVGSHV -IHQTMEATGRTESAVIRDLLAAHQNPDLLQPEDLIPTEPPTFLDRTLFQGSADSTQPRADHQRDAAPTRP -TTARTTEDRQAGGPEPEPELETTTTPAPTPSTLVTEAAGTTPSTSHPPQTEASTSTGMPHVTQDPNPMPQ -SAATDEEERHKRDPTKMCVCASGWSPEPFFDLVPGSLVTKLKTRDAFFFSTRPDITYGHDKVSYARNDWP -LVLTDFLRTVGFQANGALLQIYAKGPGIPWHKDDEPCYDGQPILTLNFGQATFEFSNGVKMPLKDGEYFV -MAGPWLKLKHRVTNCSSNRMSITFRTHTHTIFGHPLPGDSVNPKPEDKPRPAPGNQTPPAPASKETPPPQ -STHQATDDSTSPFQANTSNRTRGQAPPHPQTAGHHDGDSNELPKKNFSHSPPWNAWIPLLNAHGFNGHEQ -QLNPEGFLIIPISDVKQLPHCPFPDTVPKLLQENLKRMRRFPTPITLRAKRAAAYASDVKNNRTGKLLSS -MDLAWKASLAYKMQFDDLILPGIVIHGCGGSGKSQMIQSFMASPLAEENNVTVITPTVELRNDWATKVPN -VTAENFKTFEKAMIQPCNSVVVFDDYTKLPPGYIEALLMHHRNVDLFILTGDSRQSVYHESNSEAYISTL -SEAVEVFEPYSEFYVNATHRNVTGLANKLGVYSERQGKLRVSFSTHHLKGSRIPLLVPSNLKRNAFLDVG -HRTMTYAGCQGLTAPKVQILLDNHTEMCSERVLYTALSRAVDQIHFINTGPNSSDWWAKLESTPYLKAFI -DTYRDERTEALISAPREPTPPQVPAPKTHFPPAPKHLLEPLVASLTDKESRELFNENTGMSNAIQTEDPV -VQLFQHQQAKDETLYWATIEARLSISTPEANVKEFALKRDVGDVLFANYAKIMHLPDQPVPFDPRMWEIS -AAEVKNVYLSKPVGNLVNAATRQSPDFPSDKIALFLKSQWVKKTEKLGVLKVKPGQTIASFMQETVMLYG -TMARYLRKMRARYQPDNIFINCEKTPEDLNEFVKTRWNFNVQAHTNDFTAFDQSQDGAMLQFEVLKAKFF -NVPADIIEGYITIKLNANIFLGTLSIMRLSGEGPTFDANTECSIAYNATRFHLDDSVAQVYAGDDMALDH -KVPEKASFIRLQQKLKLTSKPLYPTQTPGDYAEFCGWSFTPDGIMKASLKMHASIELQKRINNFHESARS -YALDLKYAYDMGDLLHDHLTEAEAEYHVQSVRDMHLAHQQDVLVNGAASPPTSPVHSGSQARSSFVTKLV -GSAKTKARNASKRRAKVRVVDLSTNTFA - ->YP_001715612.1 RNA dependent RNA polymerase [Asparagus virus 3] -MAKVRASLERIRDPSVQTAISEAAYAHVRPVLKEALVNCPYALTDAEADCLENFGITVNPYATQTHTHAA -CKAIENRLLEIVGKHLPKERCTMLFLKRSKLRYLRRAAALKDDFINQDVEPKDLFRYDRDTIRTRLKDIN -TPIAYMSDTLHFMSHSELIHIFADSPKLQTMLATVVLPIEAVHRRSALYPALYSINYGPKGFEYIPGNHG -GGAYFHPYDTLDWLKVRQLQGKDYYGIDTDLTLTFQLVESLGANHLFIIQRGDLRTPLMRTFCRNALVTM -PKIFCPESMNANRPLTKTKAMQMLMYCKSVKQVSERDIYAKFRQIISTQELELYEPDEIVHLCNYFNFVA -HLDSITCYEDLLGNSLWRRLTIPIRTTITKALDFFRGQQSFSKLLGALKWESFSYSLEPIDFTVRYHSAS -ARLLSSKTGLAVPAAAAIIKDSRSDPTLFSEEDLEEAPFEDFLGRLSFPPNAPRNDPTSTDDSDGSPDTP -DDPPVEPSPAGPAPANEASTSSTSSGPPVHHSDWQSATILHMLTNLPFLQLKGRRAYFFATHPVIDYGHD -RVLYSTLAWPSTLTDIVSSLHILTNACLVQVYEEGASIPWHRDNERCYEFDPITTLNFGKATFEFEGGVA -LKLDEGDWFSMAGPLLHLRHRVTAHSPMRISLTFRLHKHNMLGVPLPDGLTLPFTPAPEEPTTPHSNDGT -SSGANDTTATKPDPPPTSFRSPIHPDPAADLTKQPVTPLPWASWVPLLQNHGFDGQQMQHDPAGNLIIPV -QDIHRLAHCAYPDEVPECLRHTLNTIKRHPVEITIDHQRASSYASDIKNCRTGKLLAQMDMKWKASFAYK -MQHESKTVTGTVIHGCGGSGKSHAIQTWMRTLPADQTIVTVITPTVLLRNDWSTKLPILPSDVFKTFEKA -IVQPCNPVVIFDDYTKLPPGSIEATVMHHSNISYIVLTGDSRQSVYHELNAEAYIATLPEAVDVFTPFCE -FYLNATHRNVQSLANKLGVYSERQGRLKINFASHHLKASRTPLLVPSTMKRNAMADMGHHSMTYAGCQGL -TAPKIQILLDSHTQFCSDRVLYTCLSRAVDSIHFINTGPTTGDYWAKLESTPYLKAFIDTYRDEKTELYN -SEPASDQPREPEAPTTHFPLAPKPLLEPLVSTLPTKEEREIFSRSTGYSDTIQTEDPEVQLFQHQQARDE -TLYWATIDARLSLATPEENLQEFNMKKDVGDILFMNYAKVMNLPAEPVEFEERLWEVSAAEVRNVYLSKP -VGNLINAAARQSPDFPKHKIALFLKSQWVKKVEKLGALKVKPGQTIASFMQETVMLYGTMARYLRKMRQR -FQPPNIFINCERTPEDLDTFVKTQWNFARPAHTNDFTAFDQSQDGAMLQFEVMKAKFFNVPSEIIEGYIY -IKLNAAIFLGTLGIMRLSGEGPTFDANTECSIAYNATRFHIDSGTAQVYAGDDMALDRICPEKSSFHRLE -RQLKLTSKPMFPKQVKGDYAEFCGWVITPAGIIKHSLKMYASIQLQKKLNNIKESARSYALDLRYAYKLG -DSLQDHLTEEEAAYHQQSVRDMHLLHQSETLAHGPSSPPHHFATTEPIRTKTQKRNATKRKQKVRLADIA -PPAY - ->YP_001655010.1 replicase protein [Phaius virus X] -MALLAAALDRFTDVSIKSVIQEEQLQNFRKALTQASTIMPYAASPAAANALEAMGIITNPFAAHVHTHAA -AKAIENQLLKVVGLALPQEPVTFYFLKRQKLNALGRNPRLKDIFNNQLIEPRDFARYTPDTWCDHLIAPT -TPIAYISDALHFLTPHFVMSMFKHNPVLKTLYATLVLPPEAMHRHPSQMPEVYTINYDYGGFQYLPGGHG -GGAYHHEFSSLEWLKAGHLTYPDPATGRRLTVTVQMQESLGANHLFIFTRGKLLTPRVRTFSQNQSVVLP -KLFHPTQLCASNPIPKTFAMQMLLYAKSVKEVTFRDIMAKIRQLIPTSELHRYQPDELIHIANYFYFVTH -RDAICANSHVLDSSWFTQGFNAMAEKLKHHWREYFGKTSFEKLLAMLDWQVFTYSLEVEEIVVESPFFPK -PGSVEDLRNDPWELPESMPEDSDSEDEAKPSTPPPQPIQSVDPDNVLPWSNCLHILKACGFQGNQRQYCG -EEPIMPIKDIRKLPDAEAPDAPEELITRLKAIRRHPTLVTMDVSRGKAYASDVKNNRVGAVLRNETVEWK -KNFATRVELGNRTLPVVVIHGAGGSGKSHVLQEFLRNQAHGYDQVGLVLPTVELRADWMAKVPKMKERNF -RTFEKAMVQPSPRTVIMDDYSKLPAGYVEAFCLFHPEVRTLILTGDPQQTSHYEANDQAMSSKLAPAVEV -FAPYCRYYLNATHRNKRDLANMLGVYGEQAGSTHITKSSTTLSGWPIIAPSLAKKTCLTELGHRAYSYAG -CQGLTTPRVQILLDNNTALCSKEAMYTALSRARDAIHFINTGPNSTEYWQKLSATPYLKAFLDLHREEVA -TAVEAPAPAEPEIVPEPKTHFPATNAGMLLEPMKEALIDKYDRELLDSRHGYTNAIQTEDSTVQLFQHQQ -AKDEALLFKTIEARIKISSVKGNELEYVMKKDIGDILFLNYKRVMKLPDHPIPFCQELWDSSKAEVQARY -LDKPIQNLINGKDRQCPDFPKQQIALFLKSQWVKKTEKIGAIPVKPGQTIASFMQETVMLYGTMARYMRR -LRRAYQPSNIFITCENTPEELDSWVKERWKFSRPAHSNDFTAFDQSQDGAMLQFEVIKAKHHSIPEDIIE -SYVRLKTNAHIFLGVIAIMRLSGEGPTFDANTECAIAYHHTKYRVSDDTSQLYAGDDMAQDNTPILKESF -SMISDKLTLTSKEVKHAQTPGEYATFCGWMITPQGILKEPKKLYASLALAKAIGKEDEVRVNYAHDLKHA -YSMGDKLHDVLTEEQASYHQATTRELHLMGCNAILNQL - ->YP_667844.1 ORF 1 [Malva mosaic virus] -MAKVRASLERIRDPSVQTALSEAAYTHVRPVLKEALVNCPYALTDAEADCLENFGITINPYATQTHTHAA -CKAIENRMLEIVGKHLPKEKVTMLFLKKSKLRYLRRAAALNDVFINKDMEPKDLFRYDRDTIRNSLQNVD -TSLAYMSDTLHFMNFNSVAQLFVDSPKLTTLLATVVLPVEALHRRSSLYPALYSINYHPQGFEYIPGNHG -GGAYFHPYDTLDWLKVRKILAKDFYHLDEKFTLTFQMVESIGANHLFIIHKADMITPGMRTFCRDSLVTL -PKIFCPDSMNANRPLSKTKAMQLMLYCKSVKQVTERDIYAKFRQIIPTIELELYEPDEIIHLCNYLNFMT -QLSSITSYEDLLGNSLWRRLTQPIKAQVKKFLDFFRGQDDFTKLLGVLKWEAFSYSLCPSDFHATFISKS -AQDFATSQEVPIDEAVETIRHCRNNPNFVSLDDFEVSSLPDFLSPPAQMEDFSTDESVDDTPTCPTTNLP -NQDVPEEHQVVIHNSDWQVDTILPIIRNLPYTQLKGRKCYFLTTSPDIDYGHDSVSYQACPWDEVLSDIL -LTTGQRANACLIQVYEQDAKIPLHRDDEKVYAGHPILTMNFGQASFSFSNGETFELTDGQWFVMSGKYLT -QYKHAAFAKSVGRISLTFRTHVKTLAGHPISSRSLEPTLNDVVEPEEATKNDVSDAPEVPKSFRNPLSSQ -ASEPIPSTTDSLPWNAWKPLLKEHGFEGDQQQLDSSGFMILPITDIKKVPHVPYPSEVPEALQKALIAIK -RYPVAITLDHKRAGSYASDIKNSRTGKLLAQKDDKWKAAFAYKMQHEDHVVVGTVIHGCGGSGKSHTLQN -WMRTLKADQSDVTVVTPTILLRNDWSTKLPILPAETFKTFEKAIVQPCNPVVIFDDYTKLPPGIIEALVM -HHRNVSFIILTGDSRQSVYHEMNPEAYISALPEAVEVFEPYCEFYVNASHRNVKQLANKLGVYSEREGKL -KVNFASHHLKASRTPILVPSTMKRNAMADMGHHSMTYAGCQGLTAPKVQILLDNHTQFCSDRVLYTCLSR -AVDTIHFINTGPTTGDYWTKLESTPYLKAFIDTYRDEKTELYNSSPADDSPTEPEAPKTHFPVAPKPLLE -PLVAELADKESREIFSRSTGYSNTIQTNDPVVQLFQHQQAKDETLYWATIEARLSISTPEENLREFKMKA -DVGDILFMNYAKLMQLPAEPVPFEERLWEISAAEVRNTYLSKPIGNLVNAATRQSPDFPANKIALFLKSQ -WVKKVEKLGAIKVKPGQTIASFHARNGDVVRYDARYIRKMRARFQPDNIFINCEATPEDLNKFVKTKWHF -NRPAHTNDFTAFDQSQDGAMLQFEVMKAKFFNIPADIIEGYIYIKLNAVIFLGTLGIMRLSGEGPTFDAN -TECSIAYNATRFHIEDDTAQVYAGDDMALDRISTEKSSFAKLAHQLKLTSKPQFPRQVRGDYAEFCGWVL -TPAGILKHSLKMQASIQLQKKINNIAQSARSYALDLRYAYQMGMNYRSTSLKKKQISTSSLSETCICCTR -RTCWLTAVLAHPETLSCLSYAVPRQHKNATI - ->YP_446992.1 replicase protein [Nerine virus X] -MSKVRAALERLNDCSLKAVLQNEAYKEIRPTLREATLINPFAIDDAAADSLESLGIITNPYAVKLHTHGA -VKAIENQMLSIVGHNLPKRPVTYMFLKKSKLRYLRRDPRISDVFQNYEIEPRDVARYPPETVLKRFTVVN -TDVAYISDTLHFLRPTFLTALFERSHNLQTLYATMVLPPEALHKLPSLEPNLYQINYSFDGFQYIPGRHG -GGAYHHEFKDLQWLRVGKIKGPTTTITCQLLESMGANHLFVFRRGDLLTPRVRTFRCDEHVTLPQIFHPT -NRNASRPIKHTLAAQLLLYCESIADLKLKDVFAKVRQLVKTNDLPQLQPTEIVHIANYFYFVGHLGAVNT -YEDVLSMSLFRKVLQPIKTRIIQIWEKLFGERKFEQLMKALNWQTFTLSVQVTCGRSYMYGWDNANIRPD -TLPDFVEDEHTPETETTERPPNPVIAPAVTGFACSPNPSSSSPPPSTHPSTSKSGHKLMYRPKVKNTPTL -TQHKSQVSNQDAATHSGNNTPEQAGQNSSTSTSANAGPAQTQATHKADKGKATLKEDIPSSSSSGLEAHI -IEDYMDTYSRNDAPPLTAEVDPFLRVNEPEPVTNSEKPDQQTESSKATCTLETDEPLLNFMSPPDWHIEA -LNRNAPHHEDDAAHTTSTPERAPEQEITEDLQVSPNNDGKPEEPLPWQPWLNILKSVGFKGNETQLDKLD -QIIMPISDVRHLPQPDFVPGLPHKLFSLLKHIQRLPVQSKISSRRAKCYASDVKNGRTGLLTRHQSDEWK -ETFSTLTEMEAPSTWVSVIHGAGGSGKSQALQEYMRSLKSDQKEITIILPTNELRIDWEGKVPHMNTYAF -KTFERALIEPVEDICVFDDYGKLPPGYIEAFCMLHVNITCLILTGDSRQSVYHETNAQALIAHLPHAIMQ -FEPLCRYYLNATHRNPRNLANALGIYSEAPGRTTITMSSRPMTGWPILSPSCAKKTCFGEMGHVAHTYAG -CQGLTAPKIQMVLDNNTPLCTTEVMYTALSRAVHNIHFINTGANSKEYWTKLDATPYLKTFLETVREKVV -TEDEPPEPKVREEPVRTHVAPDNENLILEELITELPEKHARELYDNHHGHSNAIQTEDPVIQLFQHQQAK -DETLLWATIEARIKISSPEANLAEFILKRDIGDILFENYAIAMNVPKEPLPFETTLWQACREEVEKTYLA -KPVSALINGSLRQSPDFHPDAISLFLKSQWVKKVEKLGCLHVKPGQTIASFMQETVMVYGTMSRYMRRIR -QQHQPAEIFINCEKNPEDMTQFIKQGWNFKRQAYSNDFTAFDQSQDGAMLQFEVIKAKHYGVPADIIEGY -LHIKMNAKIFLGTLAIMRLSGEGPTFDANTECSIAYHHTRFHVPSNTRRFFAGDDSAQDCPAVEKSSFQA -ISGRMSLTSKPVSYAQKPGKFAEFCGWSITPLGVIKDPLKLYASLELACRTDNKENVAQSYALDAKHAYQ -LGDALHDILTPEQFVMHQKTIRMLHELKVGNLLK - ->YP_325662.1 polyprotein [Sclerotinia sclerotiorum debilitation-associated RNA virus] -MKLRNPKAPIRNDRKAQRSFHKMLSLKAQAKLALTIQDRLELGLLSNNFIRKKLQFVDGYCYLKFLRCAE -RKTAARNLGSDPSLGLMKRKLVPSQYHSWQSNYTLLVTKSSKLTFAHVNRALGGQKLAEVPANCCIGSTI -CPFLSVPGDHSSYLVNQLPYMNGYCYLKLIRRTCRFNAVVTLGPWPLATDFFDYIIHRNLNKDLGLFKCN -LENTINGSFCHIVEADPGSQNCIFPLPQDCRIGGSISEVVKTLGPDKEMIERDAVTEIKKEIAIVRKYNP -YHHSSKQQSALESYGIGSDPYAVRSHTHAAEKAIENKLLDIVGMNLRRRSVITMLWQKRNKAHLMGRSNC -KDVYVNTIMEAKDLVRYDQFSFGLPSVATSTAFIGDALHHMTPESVFDLFERSPNLMVLHATIVIPPETL -LKCRSSNPELYSLRYYDDKFVYIPEGHAGGSYVHEVKNSNWLAISHIQRGGKFLTVKRLETLAAHHYFVI -VKGKVETDSIRVFQSPSQVELLDIFADRQSNVRCSLDHAFAIKMERYVHSLKRLELADVTAKTRQLLSSE -ELLQYSPTDLVKIDNYFYFLAHTSRFNSSEELIGSGFFESLVSPLKQWFSEICEKFLGKSNFHKTLEALE -WKVINYDVKTVIYDMSKPWEKLHWKSENNLLSFDLDSNDNPTSLVDNTDCEASYSESTKFDYELVQVEDD -FIDIRIPGIDIPIYDSEYLASEEPVEVEESPIPEVLPEIEDNLSDSMSIDGWMSQSLKTFLPEHDDKVLS -ILEKFGVSKYGQVVGKNLILPITDFKSVQFEKIGQDEFTESLQDRGFGFVSYTPDAERVAVAATDLEHGQ -GVLITSDEAGELFKKAMPMSVYTCVILGAGGAGKTTFVEKFVKDNPKSFTVVTPLSVLKKEWQRKGAKNV -FTYETALKRSLKKPANEYVILDDFTRFPAGWIELYMSLNTKSKYIVIGDSRQADSHSMSGAFANALVPAI -DLFAPLSPFYLNWTWRMTRPVANALGHVSWKLPESGKPILSVSSEVPKDCVVLAPSTTLKVGVETVNDKA -FTYTSAQGATFDKVAILIDDNITRVCGDKAVYTALSRSKGEIVFVSTVTGPDTFEKVKCTPFLRTFVELV -REYELNQPKVREPEEDFVDDVTPVTSQPKVSEEFLIEELNKNSVEKFDREIFRADLGHTDAVKEIGRVTE -QIPRQQRSDEALNLVTLDKRVHHATVEENLDELARKKALGNILWTNFKEQYYSGLESVMVDQDLLVSCRA -EITKTYLSKTEALLKGGQLRQSPDFDKFKIADFLKTQWVRKTEKYGLPIKAGQTVTSFMQETVMATGTLS -RYMRRMFDKLCTNPNVYLHREKTEQDFSTWVKNGWNFSGHATINDCEAFDASQDGAFVEFERLHAEFLGV -PRELIDFYVDTKIKSYIWRGTISVMRLSGEGPTYDFNTWANMAFMATKYSIPSVAMTAYSGDDFACDQVL -SVKPAFKELECRFKLKEKRFLKSQGRGSYADFCGMIITPNGVIKNPRKLYLSLKSHDEIGTIDKAIVNYY -NDLRTLISLGDNIFSALDATETEFFAGCLNVVHDYILRGSNYEGHQNLTLFKKPRTIKWRVERDETRDCL -IHMIIQDRKFIRNLLEGVEQTCDNKPNSNLIFRGMTNGYQKRAKFNQLLNRQDEYLDKVLHKSAGQNALR -RLTATLDDTQDSTVAEQSAV - ->YP_319827.1 replicase protein [Alstroemeria virus X] -MSKIRNVLDKIRDPSVQTAICENAYAQMRPVLKEALVNCPYALTDLEADALENMGISVNPYATQTHTHAA -CKAIENQMLQIVGHHLPKSKVTFLFLKRSKLRYMRRAAALNDHFMNKNVEPKDFFRYDSETVCANLSAVS -TSIAYISDTLHFMTFEELANLFETSPKLDTLLATVVLPVEALHKRTSLHPALYSINYNKDGFEYIPGNHG -GGAYFHPYDTLQWLKVRTLLCNSKHKGFPPFHLTFQMVESLGANHLFIIRRGKFETPLYRTFCRDTYVTF -PKIFHPSTANANKPIQKQKAMQLWLYCKSVKAVTERDIYAKIRQVLRTEELELYEPAEVAHIVNYFYFIA -NLHSITCYEDLLSGSLLKRMTVPIRTAITKFVEVFRGKNDFVKLLDALKWDNFTYSIKPEDMTTKMDSHQ -VNHLVEEYGIPIKEAEATIAQLHREPELLNDADLDSPPMVDFLGRTTYEPFNYPRTTTPTDPKPAATTAD -DSASCSKPPNSAAGTSPSPNHTQPEKLKPDAQPTQDSATIPVHVSGWEREPFDYVFHTSQSQQLRGRKAA -FLSTRQDIDYGHDKIRYDTNPWNEILTDFLRTVGFQANAVLIQVYEANAGIPWHRDDEKCYEKDAILTLN -FGTATFEFSNGTKLHLTDGCHFIMSGEWLDLKHRVTNCSADRMSLTFRVHKQDMFGHPIPKTTLVPEQQP -EVDAGVDNHNLPKETPAQEPSEASTESGPNTTKPAPFVSQRQNTRSPEEKPTVTAVPWEAWKPLLNNHGF -KADEQQLNPEGFLILPILDVHKVPHSKFPDTVPAKLQDTLTQMKRFPVDITIQHQRAAAYASDIKNNRTG -KLLSSMDNKWKASFAYKMQHEDITLTGTVIHGCGGSGKSHAIQQFIRTIPNDNNTITVVTPTVELRNDWS -TKLPLSPPEMFKTFEKAITQPCNPVVVFDDYTKMPPGYIEAVCMHHRQIEFVILTGDSKQSVYHEANTEA -YISSMIEAVEVFEPFCEFYLNATHRNVKDLANKLGVYSERQGSLKITMASHHLKQSRIPLLVPSTMKRNA -MLDIGHRCMTYAGCQGLTAPKVQILLDQHTQHCSERVLYTALSRAVDSIHFINTGPNSTDYWQKLDSTPY -LKAFIDTHRDEKTEMYNSQPADDSPTPVPAPKTHFPPAPSTLLENMVETLPDKEAREIYNKSLGYSNAIQ -TQDPVVQLFQHQQAKDETLYWATIEARLSISTPEANLREFNLKKDVGDVLFANYAQIMHLPSEPVPFDDR -MWEISETEVRNTYLSKPIGNLVNAATRQSPDFNPNKIALFLKSQWVKKPEKLGALKVKPGQTVASFMQET -VMLYGTMARYLRKQRQRFQPQNIFINCETTPDHLNEFIKSQWSFTQPAHTNDFTAFDQTQDGAMLQFEVL -KAKFFNVPPEIIEGYIYIKLNAEIFLGTLGIMRLSGEGPTFDANTECSIAYNATRFFVDDSVAQVYAGDD -MALDRVVQEKPSFKRLEHQLKLTSKPQYPSQTKGDYAEFCGWIFTPSGIMKHSLKMQASIQLQKKINNIA -QSARSYALDLKYAYDMGDALQDHLTEAECELHAQSVRDMHLLHQHDVLVNGASSPPHPTQTTSAADIPTG -SAKTKKRNLSKRKAKVAKIDSSGDFNFRCPSSPPRQ - ->YP_263303.1 replication protein [Lily virus X] -MALISQALDRFTDVSLKAVIQEEQLQNLRTTLRQANTIMPYAASTSAATALESLGILTNPYSVSLHTHAA -CKAIENQLLAIVGRLLPQSPVTFYFLKRSKLNALGRNPRLKDVFNNATIEPRDFARYEPDTLREHLVAPT -TPIVYISDTLHFLPMSFVASLFAHNPVVQTLYATVVLPPEALYKHPTQLPDIYSINYDYGGFQYIPGAHG -GGAYHHEFSTLDWLKVGHILNKGSGEYVTCQMIESLGANHLMVFRRGKLLTPRVRTFRKDEYVLLPKLFH -PKQANSSKPIAMTFAMQLLLYVKSIKEVTFRDVCAKIRQLIPTSELHRHQPDEIIHIANFFYFVGHRDAI -CHSHHLMDDGIITQGFHEIMARVKTVWEKVFGRSHFSQVIALLEWKTFTYSIEPETFTVDDKNPLAQLTN -GEADPWQLPTVDDVGDNGDDEVELIEKLPCAPTTTPVAPAATTGDELPWSQCAHILQSCGFRGDQRQYKD -GELIYPIESILQLPNEPCPKAPAGLISKLESLRRHAVLVTLSPARGHAFASDVKNNRIGMCTRNESTEWK -KNFDTRVELGQRTIPVIVIHGAGGSGKSYAIQDFLRTQSHGYDKVGLVLPTVELRADWMAKIPKMSERHF -RTYEKALIQHSPGTVVMDDYTKLPAGYIEAFCLFHPEVHTLILTGDPKQTSHHETNDQALIAHLEPAHVV -FSSHCRYYLNATHRNRQDLANMLGVYSETSGVTRITCSSLVMGGWPIIAPSLAKKTCLTELGHRAYSYAG -CQGLTTPSVQVLLDNNTPLCSPAAMYTTLSRARDAIHFINTGPDSREQWAKLDATPYLKTFLDLARGVAA -QRVTPPAASEPAPVPPPVTHFPVENRDMLLEPLIAQLPDKYDRELRDSRHGYTNAIQTDDTVVQLFQHQQ -AKDEALLFQTIEARIKLATPADNEKEMLMKRDIGDILFLNYQKAMKLPVDPIPFSKELWQACREEVQDKY -LQKPLANLINGKDRQSPDFPKDKIALFLKSQWVKKTEKIGAIKVKPGQTIASFMQDTVMVYGTMARYMRR -IRRAYQPDNIFITCENTPEELNEWAMEKWCFRGNAHSNDFTAFDQSQDGAMLQFEVLKAKHHCIPPDIIE -SYIMLKTNAHIFLGTIAIMRMSGEGPTFDANTECAIAYHHTKYQVEQGTAQLYAGDDMAQDTTPILKPSF -RLIADGIELKSKEVTHTQVPGEYATFCGWCVTPKGIIKEPRKLFASLQLAKHIGKTAEVKTNYAHDLAHA -YRLGDELQDVLTPDEAAFHQATVRDLVTMGGVDFQWHP - ->YP_224134.1 replicase [Mint virus X] -MALIRQTLDQFTDVSIKSVMQQQAYDGFKTTLARATRINPFALPSASADALERFGIATSPFAARLHTHAA -AKAVENRLLEIVGALLPKEPVTFYFLKPQKRDPMRRNPRIGDFFHNQIIEPRDVARYEPSTLRESITAPT -TSVVYISDSLHFLPFHFLVSLFAHNPVVQTVHATVVLPPEALHKHPSQNPHIYSINYDFDGFQYIPGTHG -GGAYHHEFKQLDWLKVGKLKHVLADGTTQTITCQMVESLGANHLFTFCRGDLITPRVRTFSADQYVILPK -LFHPKQLNVTRPLHSTFANQLLTYVLSLKTVTLTDVFAKIRQLIPTSELHRYQPEDVVHIANFFYFASQR -PKLCNYDQIVDDSLFNSDWRWLKSHIRYLWEEVFGQSDFKKLLKTLDWTPFTYSLEVEEHFVQSPVMFRT -TPFHNLRDDVWNLSDAGPTLDDAPCEAPDAPAPTTPPAHSSAQDGPPSTSHPTLDPETFPWSACAPLLNA -CGFRGDELQYEVSGLLIEPIRHVQSLPRLDEALLPLAPCLIAKLREMRREPVMFTFDRKRATAYGSDVKN -SRIGALLRNQTLEWKTTFSAKCEEIERTISLTVIHGAGGSGKSAFLQQWLRDQGKGNGTVSLCLPTVELR -SDWMRKVPELHSREFRTFEKALVQPSSRVVIMDDHTKFPAGYIEAFVAMHPETQALILTGDPKQSAYHED -NDQACIAHLEPASDALGAACRYYLNATHRNRRDLANKLGVYSEVDGVTRITHSAIPMQGWPILAPSHAKK -LCLSELGNRAYSYAGCQGLTTPSVQILLDSNTPLCSDAVLYTALSRARDAIHFLNTGPTSSDFWAKLDST -PYLKAFLRVDKEEPAPEPVAREPEAAPVPPPRTHFPVEPKTTLLEPMIAELTDKYEREMHDSRHGHTNCI -QTEDLTVQLFQHQQARDEALLFKTIEKRIALSTPRDNEKELLLKKDIGDILFLNYQRAMKLPADPIPFSE -ELWDSCEREVQHRFLSKPVSAIINGRDRQSPDFDEHKVALFLKSQWVKKTEKIGRLDVTAGQTIASFMQQ -TVMLFGAMARYMRRIRMIYQPDNIFITCENTPDDLNEWVLERWNFDGRAHSNDFTAFDQSQDGAMLQFGV -IKAKHHNIPESILDAYIAIKTHAHIFLGTLTIMRLSGEGPTFDANTECAIAYHHTKYDVGPEVSQLYAGD -DMAQDRPPVEKPSFQLLAGRLKLTSKEVSHKQIPGDFATFCGWNITPKGIMKDPMKLYASLQLAKSLGNT -KEVKTAYAHDLHYAYRMGDELQEVLDERGACLHQATTRELTIMGCSEILNSF - ->NP_932306.1 158kDa replicase [Botrytis virus X] -MSALRSLASDLSDPTTKAAANEIAFKHFSDGIKSTKLSFPYKVDESTAILLEKEGVLTNPFSTTLHTHAA -EKMLENRTLNEVGKKLKVHTGPFTFIQFKTGKLGHVGRGHNQGDQIINYAREAKDLSRFETLDTAVVPPV -HNRVALLHDTLHFLSPRQLAQLFHHNPNLHLLYATLVLPVEALHNLPSLNPHAYTLEYFSNGDFAYMPGG -HAGSGYYHSAETLHWLRAGQIKLGHFSLSLNKEDSFGAHHIFTVTRQFIPPAPRYLYAETELVTLHDIFY -PADSNVQRPYPATLINRMELYCRSVKAVSLRDIFAKFRQVTETAQLRHMRISDVIRLANYFLFSASLSGT -NDYPSLVGHGLFKKMRVSFQERVKEVLAPLVGHTQYRTLMSFVQPKPFTFSLTPVTFHAAQGRKWSNPLG -PMDPPPPGPAGPDEPSDPLIGPTLSLLALGIPDDDLDADLDKIAKRNTRKDELLAELTQTPTKVEVPPAP -EDAAGPQNPTTTTPTAADPPTTPADEPTPEAPKHHPTLTAVDIQLLNDLRFTDLDIQHGIDGPILPLHRN -PAELTPTLIADINCAPFVEMLSARHIPIISRPVNNARAHALASDVKNAKIGKLLTKQPQDWLKSWDARCS -TSELQLNVAVIHGAGGSGKSYSIQETLRNKRETLEHTTIVTPTVELRNDWSGKIPRMAPDRIKTYEKAML -QPGTATVILDDYGKLPPGYLDSYAVLHPNVELFILTGDHRQTTYHVSDPEANTFHLTSEIQEFRPLCAYY -INATHRQPRRLANVLGTHSSKTSGGAVLEADMIPDDATVLVPSRVEQAKLIDLNRRAMTYAGCQGLTAKN -VTIVLDRDTPLCTDETMYTALSRASESITFVNTYSKEPGFLAKLDCAPYLKTMLTGVHEEEYQPDDTPAN -DNPTEPELTKTHLPVENDNVFFEPLVEQCTDKDTRELFTAEHGKTNLAQTSSLEVQLFPHQQAKDDALMT -ETMKARITTSTRDANLRELHDTNALSGLLFEFYADFMKVPKDPMPFNPTLWAESRARAERTYLSKTAAQI -LNGANRQDPDFPDNFVALFLKSQWVKKLEKVGMQFKAGQTISSFKQQVVLLTTTLALYLRACRNSHQPDN -VFIMCEKTSNQFNDFVKDWNFERDNYTSDYTQYDKSQDGLFLNFELRKARHFGVPQAVLDAYTAIKTDAK -VFADTLKIMRLSGEGPTFDANTECNIAYDAARFQLSDVKACYAGDDLARDRNCPERASWKYISHQFTLQA -KPLVTRKPDFCGWSLTKHGIVKNPKQLWQSMQLGLKLDKLKDIVPSYSLDFHHAYALGDKVYDIFTEEEM -AYHQATVRLMHKMGVHPKIAGDHLPVFHITSDRLLKETKPIPALVPTISITPPATPPPEAEVELTTFTTH -IPDSRPIRNVHFD - ->NP_663724.1 RNA dependent RNA polymerase [Pepino mosaic virus] -MSRVRNTLEKIRDPQVQSSICEAAYQHVRPVLKESLINCPYALNDYEADTLENLGVTINPHAIQTHTHAA -AKVVENRMLEIVGHHLPKDEKVTFIFLKRSKLRYMRRAAVHKDVFVNHNIEPKDFFRYDEESTSTSFSVD -TRIAYISDSLHFMEPADVTHLFDRCQNLKTLMATVVLPVEAIHRQTSLFPAIYSINYNEEGFEYIPGSHG -GGAYFHKYETLEWLKYSRFIGHDPLTGLKYTITIQMVESLGANHLFLFQRGNFETPLYRTFQKNSFVTFP -NIFHPRHVNATKPMPRSRAIQLYLYVKSVNKVTQRDIFAKVRQLISTAELELYDPDELTHVVNYFTYVSQ -LSSINDYDNMLKSSFFKKLVAPMQHDWRCMIEFFRGKSDFNQLLTALQWKDFSYTIKTEELVITTHTAIG -QAISNAATTYKERRQLTQLVKKGTISLADFEQREPEITYTEFEPETRPQVDCVTNYNNAVKNLGLSALDE -QPQCSSSSSHIPCNEISLAMTDDDNAAAIHEIESLLSEPIIAPQLPALPHKTWASYASDTSSMKNREIEN -IIAELEISRKENNVQQTTHDYHAVFDTAQSSGDLPWKAWIPLLNAHGFKGDQLQYSPDGKVIQPIQDINN -KTPRSEYPSSIPADLVNTLRNIKRAVYAIPISHRRASAYSSDIKNNRTGKLLCSQSKEWRESFAFKMQHE -DIVKSGVVIHGCGGSGKSQALQNFLRTLGDSNDCCTVVVPTVELRNDWVNKLCKLPMEHIKTFEKAMIQP -GFPVVIFDDYTKLPPGYIEAYLFHHANTELFILTGDSRQSVYHESNNEAYIASLDEAVAYYANYCGFYLN -ATHRNVRSLANKLGVYSEKEGHLKITFASNALQKCKVPILVPSQMKKSAMQDIGHKAMTYAGCQGLTAPR -VQILLDNHTQHCSDRVLYTCLSRAVDSIHFINTGPNNSEFWDKLEATPYLKAFIDTYRDEKTEMLNSKPA -DDSPAEPEAPLTHFPVSNGNNLEKLASALPEKFARELYDKHHGYSNTIQTENPVVQLFQHQQAKDETLFW -ATIEARLSITTPEANLREFVLKKDVGDILFFNYHNVMCLPADPVDFEPRTWEICAAEVKNTYLAKPMANL -INAASRQSPDFDANKISLFLKSQWVKKVEKLGAVKSKPGQTIAAFMQQTVMLYGTMARYLRKMRQRFQPK -HIFINCETTTDNLNQFVKQGWNFNRTAQTNDFTAFDQSQDGAMLQFEVMKAKFFNIPADIIEGYINIKLN -AKIFLGTLSIMRLSGEGPTFDANTECSIAYTATRYHLDSTVKQVYAGDDMALDGVVQEKPSFKKLQNKLK -LTSKTLFPKQVKGDYAEFCGWTFTPGGIIKNPLKMHASIMLQEAIGNLHTAARSYAIDMKHSYQMGDELH -NYLTPDEAEQHFLAVRKLHKLHQGEAMRLGEKSPPKATH - ->NP_659010.1 hypothetical protein GvEgp1 [Garlic virus E] -MTTVQKLLDQISDPSARAAYSQDCLSAAKTAATKAMTIAPYAVTPTEALTLERIGITTSPFATTSHTHAA -DKIIENDCLDIIGRYLPKEPVTLIQLKRAKLHLLRRGPSGDIFKNYCHEPKDVLRFGLTNPHTCPQVDTA -CAVISDTLHFMSTHQVWTLFQNNPKLERLYGTLVLPVEALHKLPSLFPEIYKLEYYERHFAYMPGGYGGG -AYIHSYGTLKWLSTAQIGPGAYPGYRPPANGRRPLLDHLSIEKIETKAAHHIVMIQRRRPELPWPLPPIW -VFHASDYVKLPEIFYPAEANVQKAYPHTFIKRLQLYCFSVKAVSLRDIFAKIRQVIPTEELARFSTADLI -RLANYLLFITGMQSASDYQSPLMESLLGKLCLSIRARVREFFQNILGKSSYAALLTVTDVRPIHFTTKPQ -RRGICGEQWFDNPEEDEAGPPNNSLTGYPQPSPDDLDLLAEFEGHAMNPKTLSNNTRGRNDFPECPVADT -TAMNEEDTRRSHYEEHAKQATTTPNTEAPKEALTSDQEGYVTDWSDDESSSSVKPPESEGAPLEDDDLFD -LPPLEDCADPVEADAQEHPDEVSVDLPSPDSDHAHTGERTLETPEDSVTGEAQNCDGSRHIDEPEERGQP -SKQTCKVELTPLDADTVELLKLHGFTNYRAQYDGESQIQPIYRNPHAHFLRQYDAQTTTHQQQFVDMAHG -LKRRCYGYKVDAKRATAFMSDVKNNLTGLVLPKLDRDLLSSWVALAENATRTVGLIVIHGAGGAGKSRAL -QELLRNRVVDANEVNIVVPTINLAADWKKKLPALDPRRVMTFEKACEREGKSVVIMDDYGKLPAGYVDAY -LAIKSNVELIVLTGDQRQSVYHNDKRDSQIALLSPNTEHFKRYCDYYVNATHRQPRRLANPIRVHAEREV -GGAVKHATLIPTNAMTLVPAFRSQSLLTDLGRQAMTYAGCQGLTLPHLTIVLDKDTPLCSDEVLYTALSR -ASETITFVNTHSDNKEFLAKLDATPYLKTLISGVREDEQSGGDYQPPEPQVRDGPTKTHMPVANNNIQLE -EKIETMEDKDTRELWSGEEKTNLMQTQDPVVQLFPHQQSKDEALFKITIKERIRTADVGTNKKALCETLS -AGDLLFEAYAKFMNVPQETQPFDKRLWAHCKQLALRTYLSKPTTNLQQGAQRQDPDFPDNAIALFNKSQW -VKKLEKVGCKFKAGQTISAFKQSTVLLTTTMALYLRKKREGHQPDNVFVMCEKTPEQFDSFVLTKWDFSR -PNYTSDYTQYDQSQDAAFLNFELRKARHFGIPNEVVDFYAFIKTHAKTFLGNLAIMRLSGEGPTFDANTE -CNIAYDALRFQVDSTVNACYAGDDLVRDKACEERPGWKYAEPLFSLKAKPLVTNKPDFCGWRLTKFGIVK -SPVQLYQSLQLALRLGKVEEVKRSYAIDYLFAYRLGDQLYDVFDENEMEKHQLVTRTLIKKGMRPPSSGD -HLPVFHVTSDRLIRDPKAKHISTYEYDSTSLPFNIVEDHFAYNPNRADRDDMNMARDSQSHETSNASNFP -PAREPLLSDLFPQLSSL - ->NP_620715.1 RNA replication protein [White clover mosaic virus] -MAKVRAALDRITDPSVKAVLNEEAYSHIRPVLRESLTNNPYAIAPDAADTLEKYGIATNPFAVKVHSHGA -VKSIENTLLERVGFNLPKEPCIFLFLKRSKLRYLRRGPSNKDIFINLAIEPRDLQRYEEDTLVESWTRIT -TRYAYISDTLHFFTRKMLADLFFHNPALDVLYATLVLPPEALHKHPSIEPDLYTINYNFNGFQYIPGNHG -GGSYSHEFKQLEWLKVGHLKSPELSLTFQMIESIGANHLFMITRGIKITPRVRTFTKDSYVLFPQIFHPR -NLNPSKPFPKVKAMQLFTYVKSVKNPTERDIYAKIRQLIKTSELSDYHPDEIVHIVNYFVFISKLDSINS -YSDILSLPIWSKALLPIKTKITQLWEKLTGARAFNQLLDALQWKTFTYSLEVVDFSTAPSQRDCFMEDER -LETDTLEDEVSQNANNNKPTSLQNIEEAVKNNPDLPWAPWLLILQAHNADCTQKQYDPENNLILPIQEIN -TLPKHQHPDIPTDLLTLLTKLHREPTTVPLDNHRARAYGSDVKNLRIGALLKKQSKDWLASFALKTENIE -RQVLMSVIHGAGGSGKSHAIQTWMRSLNRRDRHVTIILPTTDLRNDWTTKVPNLEQANFKTFEKALCQPC -GKIIVFDDYSKLPQGYIEAFLAINQNVILAILTGDSKQSFHHESNEDAYTATLEPSINTYQPFCRYYLNI -THRNKPDLANKLGVYSCSSGTTSFTMSSQALKGMPILSPSIMKKTALGEMGQKSMTYAGCQGLTTKAVQI -LLDTNTPLCSSNVIYTALSRAVDHIHFINTGPNSTDFWEKLDSTPYLKTFLDCVREERMNEIVAVEEPPA -PVPAPTTHFPKVNPTTVIESYVHDLPEKHGREIFSETHGHSNAIQTDNPVVQLFPHQQAKDETLYWATIE -ARLQCTSSEENLKEFHLKHDIGDILFLNYKQAMNLPQDPIPFNPDLWTLCKQEIENTYLKKSAAALVNAA -TRQSPDFDSHAIALFLKSQWVKKTEKIGCLKIKAGQTIAAFMQQTVMIYGTMARYMRKFRNQYCPRKIFV -NCETTPADFNSFILDEWNFNRTCFSNDFTAFDQSQDGSILQFEVIKAKFHNIPEDIIEGYIQIKTHAKIF -LGTLSIMRLSGEGPTFDANTEANIAYTHTKFNIPCDAAQVYAGDDMSIDYVASVKPSFNMIEHLMKLKGK -PVFNTQTQGDFAEFCGWTISPKGIIKKPEKMNMSIELQKNINKFHEVKRSYALDHAFAYQLGDELHELYN -ESEAEHHQLATRSLILAGQATALDILDYGLRDLK - ->NP_620642.1 replicase [Strawberry mild yellow edge virus] -MATRVASVFSSLTDVGIKAALQDEAYKRIKSNLREAEIINPYSVDARGAEALEELAIITNPHSIRLHTHA -AAKSIENQMLNIVGHALPKEPVTFLFLKRGKLRYLSRGRIKDIFQNQEIEPRDVARYEHKTIVQKSLLLN -TRVAYISDTLHFLRPRYIIDLFSQNVFLDVLYATVVLPVEASFKHPSQNPAIYTINYNYGGFQYLPGNHG -GGAYSHEFEDLDWLKYGKFIYRWVDYRTDPISGKRVGTPKELVVTCQLVESLGANHLFIFKRGDLKTPRV -RTFAKDKSVTFPDLFYPEEENANFPVDAELATKLFLYVKTLKTVTSQDVHGKLRQLLRSDELTRFSPMQL -THMVNYFMVVAHLDSCNDYSMLLGSSVWTQLTAPIQSKLRKLTEFFKGKSSFGKFCAALKWKTATYSLEV -VDYVETRRDSFEPHPLDSLPDADDRDVNYDTDVSEDEADEKPAPKAPTSTPVPDTTPPASPAAPADAEYT -QCWAAWDTVIRKHGFKGNQAQFDDDGNLITPIAEIKSLPKDSPRCAPELIKSLQEIARTPTLVEIDSKRS -NAFGSDVKNGRIGMILKKQPNDWRLSFAAKCEHTSRKVHACVIHGAGGSGKSQRLQDWMRSLKKNSRECT -VILPTAELRTDWVNKVPKQSLDTFKTWEKGLVQPPNRVVILDDYGKLPAGYPEALCANYPNIELLILTGD -SRQSVHNEHNKQAATASLESNIEFWTQYCRFYVNATHRNVKRLANALGVYGERDEPLKVTCSSHVYDGWP -VLAPGLLKAGNLAECGRRAFTYAGCQGLTAPRVQILLDNDTPLCSQRVMYTALSRAVNEIHFVNTGPSGD -DFWTKLDCTPFLKTFLELSREIEIPEAKCQETAPAEATVKTHFPVENPNLVLEPYVEKMAEKFDRELYSK -EYGYSNAIQTEDPVIQLFPHQQAKDDTLMWATIDQRLAITTKSENETEFALKKDIGDLLFINYHRAMKLP -KNPIPFDKDLWQSCKNEVQKTYLSKDVGSIVNGVARQDPDFPINEIKLFLKSQWVKKVEKLGMVVKPGQT -IASFAQAPVMLYGTMARYMRRMREVYQPSNIFINCEKTPADLDEWAKANWNFEGLAHSNDFTAFDQSQDG -AMLQFEVIKAKFHNIPSDIINSYVELKTNAKVFLGVLKIMRLSGEGPTFDANTECSIAYHHTKYWVEPDV -AQVYAGDDSAQDRTPVPRPSFNKIKDRLGLVSKPLTHRQVPGDFATFCGWIITPKGVIKDPLKLYASLQL -AIRRGKSHEVALSYAHDAGLAYRLGDDLHSVLTFDEAHAHQCTVRDLVKLNKVEVLRPIWALD - ->NP_620648.1 replicase [Shallot virus X] -MTAVQKLFDQISDPNTKAGYSNACFEAAQRRPKKAMAIAPFSVTTPEALTLERFGITTSPFATTSHTHAA -DKIIENDCLTIIGHYLPKREAVTLIQLKRSKIHLLGRQPSQDNFQNYCHEPKDVLRYGITHPNSCPVVNT -EYAVLADTLHFMSPRQLYHLFSRNPKLERLFATLVLPIEAQHRLPSLFPDVYRLEYYKDHFAYMPGGHGG -GAYVHSYGTLKWLDTAQVGPVDYTKSSITNPWPITDYLSIEKIETKAAHHIMFIQRTRAQVDWPLPPIWV -YHASEYVKLPLIFYPPEANVQKTYPHTLIKRMQLYCFSVKAVSLRDIFAKLRQVIETQELVRYSMADLIR -LANYFLFITGMNQVSDYESPLLENLFGKMCASIRMRLRTFFQNLLGKTSYAALLTVTDVIPVHFTTQPKR -REAVGELWFQEPKWSVSTMTQPRKEHHRLQMTWTLLAWFHQLESSGSMSEPCNNSESTPQRTATSQQKAA -KLTTSQKHNRRTDQTTMNPQYPPLMLTIAPMMPRHSLMKKTIATPCRTLEEISDLDLDDFDDLPNEASNE -PPSANEQSPDNHAETTTRGVFPCECGTEITVNSFGRAIEVAGVNLTDHMKGRLAAFYSRDGQGYSYTGYS -HKSQGWLEGLDKLIEACGEKPTTYNQCLVQKYEQGSRIGFHSDEQAIYPKGNKILTVNAAGSGTFGIKCA -KGETTLNLEDGDYFQMPSGFQETHKHNVVAVTPRLSFTFRSTVVNSQKKPAEPEKLNQNNACPKPSDPSN -ASGKQHKKTHPAKGNEKSSSPNLEPLDAPTVEILKLHGFTALTPQHDGTCQIRPVYFNKDIHLRRKAVKT -DMSPPARPFFDLATSLHRGIYTHKIDNRRATAYMSDVKNNLTGLVLPKLDRDLLSSWVALAETTTREVAV -LAIHGAGGAGKSRALQELLRSSPELADSINIVVPTINLANDWKAKLPQMDPRRVMTFQKACERECKSVTI -FDDYGKLPAGFVDAYLAIKVNVELAILTGDQRQSTHHQERESQISSLQSNIAQFSKYADYYLNATHRQPR -RLANPIKVHAERQLGGAVLKANIVPDLAMVLVPAFRSQSLLTDLGRHAMTYAGCQGLTLNHLTIILDKDT -PLCSDEVLYTAFSRASESITFVNTHSDNPAFLAKLDATPYLKTLISWVREDEEAGADCPATEPLVKDVPT -KTHIPVANDKVQLEGKIEAMEDKDTRELWSGEEKTNLMQTQDPVVQLFPHQQAKDEALFKITIGERIRMA -TPEQNAKQLRHTLNAGDLLFEAYAQFMKVPKETQPFDKRLWTHCRQLALRTYLSKPTSNLQQGARQDPDF -PDNAIALFNKSQWVKKLEKVGARFKAGQTISAFKQEVVLLTTTMALYLRKKREQHQPDNVFIMCERTPEQ -FNAFVMTKWDFDRPNYTSDYTQYDQSQDAAFLNFEIRKARHLGVPEDVLSFYKFIKTHAKTFLGNLAIMR -LSAEGPTFDANTECNIAYDALRFRLGDDVRASYAGDDLVRDKACEERAGWVYSESLFSLKAKPLVTNKPD -FCGWRLTRHGIVKSPIQLYQSLQLALRLGKIDEVKRSYAIDYLFAYRLGDKIYDIFDEDELEKHQLVTRT -LIKKGMQPPESGNHLPIFHITSDRLIRDPDAVKVQSYECDRILLKQPHIIDDYIPAGTQPRNTEHPASAD -RRDMTRACNLSAEKLAFGGNTINHLFRTSWEGRSPLSN - ->NP_570726.1 RNA polymerase [Asparagus virus 3] -MARVRASLEKIRDPSVQTAISEAAYAHVRPVLKEALVNCPYALNDAEADCLENFGITVNPYATQTHTHAA -CKAIENRMLEIVGKHLPKERCTMLFLKRSKLRYLRRAAALKDDFINQDIEPKDLFRYDRDTIRTRLKDIT -TPIAYMSDTLHFMSHTELMLLFADSPPLQTMLATLVLPIEAMHRRTTLYPALYSINYGPKGFEYIPGNHG -GGAYFHPYDTLDWLKVRQLRGEDHHGIDPTITLTFQLVESLGANHLFIIQRGDLRTPLVRTFCRDTLVTM -PKIFCPDSMNANRPLTKTKAMQMLMYCKSVKQVSERDIYAKFRQMISTPELELYEPDEMVHLCNYFHFVA -HLDSITCYDDLLGNSLWATPHHPRTHQSHPILDFFRGQNNFSKLLAALKWEPFSYSLEPNDFTVSYDGHS -ARALADRTGLSLTVAAATIKDSRADPTLFTEADLEDTPFEDFLGRLTYPIPTNQEGSPRDTEPESPSISG -ATQVFHFNTSAQALTSSPESPQAQEWPEVHHSSWLAATFHHMLGELPFAQTVGRRTYLFASPPVLFSDPD -LTHHTNLAWQPILSDVVDSLGISATACFIQVYDEGLNQPWRCNYGRKPESDVISTLTLGQAELELAGGKR -LSLKEGDWFTINGPLLQRGHHISVGSPQCITLTFWLHMSPVASASPLQNWLSVQPTSPAESSRGKCAPNL -AHQPSNPVDTHYVPSRNRAQSLTTDNTPLIHKAVSTRTPLTPIPDDVATANSTCAIPWVSWVPLLQSHGF -DGTQLQHDPAGSLIIPVQDIRRLAHANYPEEVPASLQDTLNAIKRFPVTIKIDHQRASSYASDIKNCRTG -KLLTQMDLKWKSSFAYKMQHEDKQVAGTVIHGCGGSGKSHAIQKWMRTLPADQSTVTVITPTVILRNDWG -TKLPILPSETFKTFEKGIIQPCNPVVIFDDYTKLPPGSIEATVMHHSNISYIVLTGDSRQSVYHELNAEA -YIATLPEAVDVFTPFCEFYLNATHRNVQALANKLGVYSERQGRLKVNFASHHLKASRTPLLVPSTMKRNA -MADMGHHGMTYAGCQGLTAPKIQILLDNHTQFCSDRVLYTCLSRAVDSIHFINTGPTTGDYWTKLESTPY -LKAFIDTYRDEKTELYNAEPASDAPVEPEAPCTHFPPAPKPLLEPLVSELSAKEDREIFSKSAGYSDTIQ -TEDPEVQLFQHQQAKDETLYWATIDARLSLATPEDNLLEFNMKRDVGDILFMNYAKVMNLPAEPVQFEER -LWEISAAEVRNVYLSKPIGNLVNAASRQSPDFPKHKIALFLKSQWVKKVEKLGALKVKPGQTIASFMQET -VMLYGTMARYLRKMRQRFQPPNIFINCERTPDDLDVFVKTHWNFSRPAHTNDFTAFDQSQDGAMLQFEVM -KAKFFNVPSEIIEGYIYIKLNAAIFLGTLGIMRLSGEGPTFDANTECSIAYNATRFHIDSGTAQVYAGDD -MALDRICPEKSSFHRLENQLKLTSKPMFPRQTTGDYAEFCGWVFTPAGIIKHSLKMYASIQLQKKLNNIK -ESARSYALDLRYAYKLGDNLQEHLTEEEAAYHQQSVRDMHLLHQAEVLAHGSSSPPHSFSTAEPNRTKTQ -KRNATKRKSKVRIAEILPPDY - ->NP_569126.1 replicase [Garlic virus A] -MTAVQKLFDQISDPNTKAGYSNACFEAAQNASKKALAIAPFSVTNPEALTLERIGITTSPFATTSHTHAA -DKIIENDCLSIIGHYLPKKEAVTLIQLKRGKLHLLGRNPNLDRFQNYCYEPKDVLRYGLTNPNSCPKVDT -EYAVLADTLHFMSPKQLYTLFSKNPKLERLFATLVLPIEALHKLPSLFPDVYKLEYYKDHFSYMPGGHGG -GAYVHSYSTLRWLDTAQVGPVDYDKSSITNPWPITDYLSIEKIETKAAHHIMLIQRRRVQVDWPLPTLWV -FHASDYVKLPPIFYPPEANVQKTYPHVFVKRMQLYCFSVKAVSLRDIFAKLRQVIETQELIRYSMADLIR -LANYLLFITGMNQLSDYESPLLENLFGKLCASIRMRLRTFFQNLLGKTSYAALLTVTDVIPVHFTTTPKR -REAVGESWFRSTDDEPKPKSEPPQPSGPSPDDLDLLAWFHELENGSPENQQSEAPSLATTQTSETSTSET -PSPQKSSAAPEDQAATSYQGLHAARECSTSSPRRLTTSESSTPSNDRLETDSHNHTPTSNTAALRGDDET -NAPTLVIPDSNQAHDAHAPMLATPDPDQAPDAHAPVECCSTDDEDASSTASLSDHDDCESLPSLEDATDV -DSLDFEGVMPDITTDPSPDPEGGDTAAATEQHDSAQPTLDPNDQQGDELNSGTADQDADEAEVTNQADSA -NHVRPTRPRLEPLDQATIDILKLHGFTALQPQHDGTDQIQPVYFNDKVKYHRQLEAKDLCATAKPFITLA -TSLHRGIYNYRINNKRATAYMSDVKNNLTGLVLPKLDRDLLSSWVALAERTTRDVGLLVIHGAGGAGKSR -ALQELLRNSPELLEAVNIVVPTINLANDWKKKLPAMDPRRVMTFEKACERECKSVTIFDDYGKLPAGFVD -AYLAIKVNVELAILTGDQRQSTHHQDRESQIALLSSNVAHFSPYTDYYINATHRQPKRLANPIKVHAERL -IGGSVRRSDLVPDRAMVLVPAFRSQSLLTDLGRQAMTYAGCQGLTLNHLTIVLDKDTPLCSEEVLYTAFS -RASESITFVNTHSDNPEFLAKLDATPYLKTLISWVREDEQAGSSNPPPEPNVRDEPTKTHIPVTNDRVQL -DDKVETMEDKDTRELWSGEEKTNLMQTQDPIVQLFPHQQSKDEALFKITIGERIRTADPEKNAKSLQHTL -NAGDLLFEAYAQFMKVPAEPQAFDKRLWTHCRQLALRTYLSKPAANLQQGASRQDPDFPDNAIALFNKSQ -WVKKLEKVGAKFKAGQTISAFKQQVVLLTTTMALYLRKKREQHQPDNVFIMCERTPDQFNNFVLTKWDFS -RPNYTSDYTQYDQSQDAPFLNFELRKARHLGVPDEVLSFYKFIKTHAKTFVGNLAIMRLSGEGPTFDANT -ECNIAYDALRFRLDDNVRACYAGDDLVRDRACEERAGWVYSERLFSLKAKPLVTSKPDFCGWRLTPHGIV -KSPIQLYQSLQLALRLGKVEDVKRSYAIDYLFAYRLGDKLYDIFDEEELEKHQLVTRTLIKKGMQPPESG -NHLPTFHITSDRLIRDPRAIKIQSYESDRLLLHQPVLIDDYIPKNPAGDGPKQVTSVTAHQEMTVACQNG -VEDLLTARWFGRPRI - ->NP_569132.1 replicase [Garlic virus C] -MTTVQKLLDQISDPNARAAYSQECLSAAKNAATKAMTIAPYAVTQTEALTLERIGITTSPFATTSHTHAA -DKIIENDCLEIISHYLPKDPITLIQLKRSKLHLLKRGPTDDAFQNYCHEPKDVLRFGLTNPHTCPTVTTS -CAVISDTLHFMSSQQLWTLFARNPALQRLYGTLVLPVEALHKLPSLFPEIYKLEYYDKHFAYMPGGHGGG -AYIHSYGTLKWLSTAQIGSGLNHLSIEKIETKAAHHIMVIQRRRPELPWPLPPVWVYHASDYVKLPEIFY -PTEANVQRPYPHVFIKRLQLYCFSVKAVSLRDIFAKIRQVLPTEELARFSTADLIRLANYLLFITGMQQT -SDYQSPLMESLFGKLCLSIRARIREFFQNLMGKSSYAALLTVTDVHPVYFTTKPLRRPICGEQWFEAEEA -EEDPSVPSPTGYQRPSPDDLDLLAEFEQQNLLNGAEGQRERQGEHAHGPNQNQTTSTQPPPHQPSPHDAA -QSGSSSEARKTESSDEPEVSCKDEEDLGSGTSDGHVTDWSDSESDCSDDKHDQIFDSLSLFDTADLPDLE -EVEEEPDAAHTTQTPTPDVTDESSAVQHTQTPHIAESLVDSDVFVGETIDDETQQPDTVPAVQTRENSRS -TTVALEPFDEETIEILKIHGFTNLKPQHDGEFQIAPVFYNRLAKHIPTYEGITTTTQSQFIAMAQALKRN -CYRYKLDAKRATAFMSDVKNNLTGLVLPKLDRDLLTSWVALAENAKRSVGLIVIHGAGGAGKSRALQELL -RHGKMDINEVNIVVPTINLAVDWKRKLPSMDPRRIMTFEKACEREGKSIVIMDDYGKLPAGYIDAYLAIK -FNVELVILTGDQRQSVFHNDKRDSQIALLNSNTDHYKRYCDYYLNATHRQPRRLANPIKVHAEREVGGAV -KHATLIPTNAMTLVPAFRSQSLLTDLGRQAMTYAGCQGLTLPHLSIVLDKDTPLCSDEVLYTALSRASET -ITFINTHSNNKEFLDKLDSTPYLKTLISGVREDEQAGREQPPPEPSVRDSPTKTHIPVANDSVQLEGKIE -AMEDKDTRELWSGEEKTNLMQTQDPVVQLFPHQQAKDEALFKITINERIRLATPETNRKALTDTLNAGDL -LFEAYASFMNVPKETQAFDKRLWAHCRQLALRTYLSKPTANLQQGAKRQDPDFPENAIALFNKSQWVKKL -EKVGCRFKAGQTISAFKQSTVLLTTTMALYLRKKREGHQPDNVFIMCEKTPEQFNSFVLTKWDFTRPNYT -SDYTQYDQSQDAAFLNFELRKARHFGVPEEVVDFYAFIKTHAKTFLGNLAVMRLSGEGPTFDANTECNIA -YDALRFQLDSTVKACYAGDDLVRDKACDERPGWKYSEPLFSLKAKPLVTNKPDFCGWRLTKYGIVKSPVQ -LYQSLQLALRLGKVEEVKRSYAIDYLFAYRLGDQLYDIFDEDELSKHQLVTRTLIKKGMQPPSSGEHLPT -FHVTSDRLIRDPRAIKVSTFESDRIILPLDIIDDHFSKSGKTDRAEMTEESNRRAVEIGATTNVARQDPT -LTDLFPDAFK - ->NP_203553.1 putative RNA-dependent RNA polymerase RdRp [Indian citrus ringspot virus] -MATIRGAIDRITDTTVRTTLQEEACRQIRTELKNVEHVNRYAIPPDAADALEHLGIGTNPFSVKLHTHGA -CKAIENQLLYVVGTLLPKERVTMLFLKKAKLNFMKRCPKFQDIFLNQHIEPRDVSRYCDFNVQSTSTSIP -THTAYISDTLHFMDRKDLVRLFINSPNLDTLYATIVLPVEAAYRQPSRYPDLYQINYDFDGFQYIPGGHG -GGAYHHEFSHLEWLDVGHIHWRGPDNKDIQLTITAQMIESLGANHLFCFRRGNLRTPRVRTFGRDTQVLL -PKIFRPVDKNFNRAIPLTLANKMLLYAKSINTVTFRDVVAKTRQLMKDKELETYTGADLLHMANYFFVVG -ALSGVNSYDQMLGLSAWEACTMALKNTVTNLWERITGKREFGKLLEALEWETLTYSRQVTQKYVGGTPAR -LPLPDITDQEEIFAQQEALDALTSGATKITTHHLMSAAARRSTEGPTMPTPAAQVPPTQNPKHTIDEVAS -RALVTKLQKNKRVYIQDDGPEYIMGHMAEVPAWYLEQDETTTRLRNRCAWFFGPPTHRYGHNDIEYHTTE -YYPWVERIGNIFGKFNTCLAQTHDQGARIGYHADDEDCYDKDVTVATVNLTGNATFSLKTATGTRTWKLK -PGDFIVLKPGAQGCTKHAISDCTTNRTSLTFRWQARPCPSQLRRVVNLGNVNNPKRKSKAQQWTPKTSNS -DSPRLTQTPNSPTPKDVPVVSPKLADATAPTIRAVPENGAHTNMACVELTDLPETTTTHRPGKEPINDLT -DSEPETESEQTENLVLNRFIQDLPTTSTHAWASETDSICSFQAEALGPSSVEALPWHEHLELINSLGFTG -LERQYGPDNNLIWPITHYRTLPKSRTIEAPTDLVELLDTIDRHPTDVPYSKTRASAFGSDVKNLRIGALV -KNQDKQWRASLALLCEENEHVLPTTVIHGAGGSGKSHLLQQWVSSTERGNVVVILPTIELLRDWLNKCPT -TPKDSFKTFEKALVQNSAPVVIMDDYSKLPPGYIEAYVALKGQCKLLVLTGDPRQSHYHEENPEALISTL -DPATDYFSKYCTYNINATHRNATTFANALGVYSERKLPVSVTCSSYQKSGWPTLVPSILKKTALNDMGQR -SLTYAGCQGLTTPKVQIVLDNATPLCSEQVMYTALSRAVDQIHFFNTGPNHSDYWEKMNATPFLKTFIDH -TREENLKEHQPAEPTVREHTPATHFPPANEALALEPWVEPLTDKHSRELHHKALGHSNCVQTDNPVVQLF -PHQQAKDETLFWKTIDARIKITTPEENVRNFNMASDIGDILFLNYKEAMCLPADPIPFQQSLWDSCQAEV -QQTYLSKPLAALANAAQRQDPDFDSNKIQLFLKSQWVKKVEKLGCLKIKPGQTIASFMQQTVMLYGTMAR -YMRRIRISLCPSHIMINCETNPTQISSWVRENWDFSGQSHENDFEAFDQSQDANMLQFELIKAKFHSIPE -EIIAGYKHLKCHAHIFLGTIAIMRLSGEGPTFDANTECSIAYNHTRYFVPKGCAQLYAGDDSACAAPLSE -KPSFQHISPELSLKSKAKIRSQTKGDYATFCGWLITPKGFIKNPTQLYASWLLAKHNKDLQDVARNYALD -LRIAYQLKDELYELLSPEELDHHQLLVREMVKHKMGHLLNLPEGFKQT - ->NP_068549.1 replicase [Botrytis virus F] -MAVPLERAFASVTQSFHKDATQGPTTNEYLVARAETQRYAPYAVRKGPAALLAQVGINTIHDSPLSHSHP -ACYALNAFHFLKVIPRYLHGKAEVWGTKDNWFRKLQAQIDSQTTTLTHRNYAITARDHVRYPGTVVDHPG -SCTAGTLFMHDALQYMTPLDVYTLFATSPEMHSLVATAVIPPESVDRLPAFWPELYQLAYYEDHLCYAPD -GNFADAYNQPLAAHQWMTMKSLHGPDFTLSVDVPASRYSHHIFVISKRPGLPDTHRDFMCPDLVELPKDF -FPGANKADKLLPRTLVNQMVEYAASVKRATIKDATSRTRAYVKDQKYAAVTPVQQMYLSWFGSGLSQMQF -PDRPVTPLDNFATALWYRLLPSRLYRLPATIRNFQLKAFVDQLRTPQYLLHFKLDEYHLSSNDKYSDFYR -NESRQASSKDMLSAFEAICFGGAPELEKKILGNNNPAPFATVPNPPSQTALGLATITAAIAFILPNRAAR -LTRLVARYAIRAVKEIVNPSFLTIPRFGLLSHSIASWLQTDGAVITYGLAPKIASWSAPIWYNAALLAPT -DMRSCQVLVDIANDLRFDYRYGTMRLAVTLGLTLLNPFLRRLVLLPFKVLWHSYLPSIKNKLDSMVLMSI -STLPDNISVPLLTLRGNLNRQRCPDNKDPTPIHESDDNSTADDKCLHCPIHCLKSKLSEPAASPDTVPED -NPLQEGDLTEEVYAAALAKKDTPPPYPTRNDCLLVALADGLLTKRILWFSCIKMFGNTACWVIHDTGKAF -DWMHLKMLAEQLKLNVSIEVAAEHDHHWTGLAKRVGPIDGRKIALRWYPYHWELSDRGREDYGPPPPPPP -PSTTEPPPPPNPPAASPYHSPTVEDEPLEEIPLANSNGEFSTFAFDDMAADDLNPAQPLSSLDLDEEPSA -KQEPLEPAAIKTSEPEPLVPESEEVFFRPSPTPTPSDATPTPAARAPSSVSNENAQRPRHPLPPVPTGGA -SAPSNDKLNSAAKQYARLVAGKTRQMTVAQPRSKAYLRDLKRGNIGTIPTAEAEQLDAYIDSWAVNGFNR -SVHVIYFCGLPGTGKSRRCMKMTERLLKENKYLAQTVRIVTPTDNLRNSVARQLKPPTESSYSVQTYETP -IRQPSLGPVLIVDEYGKMPAGWLETVLFLNPRVQMVIFTADPTQGIFRTRIPDAYCCRIPSSTEAIASYA -HEYRRVSDRPAPGVARALGLPTTSRRPGQIETSTELNVDWITVRPEGERAAWHNTWGGKVYTYATCQGET -FHRAYQMVINGNTRFWDDRDLFAALTRGSGTLRLIYETQSSKPLPRSTSKLYNALLDFRTDPHKLPLAIE -EHVNRYIPSHLRNVNQAVPRRNAASNPDALPSQRILPGGQSTTAEPXQSQASALPTGGEAIDVPALDRQD -PQRFPQLKVLPHLCGYIGDYSEASYPEPEHPKTQMLDATFPTYLARNRGWNDPALTDAVTANYKAPEHRD -IWVEAVGETTRQVHGSGDDRDVFLEHRGDDKATANITYAKRLRFSQRAANERSIAATKVAGSELFEAFTR -AIPLPQETFNDSLLEECRTENDTVHLTSKPLATLINNAERSDPSWSLNMIKLFIKGQTVKKLEKMGSDAT -AGQSIASFRAEVLLAWGPYARYIDRRIRALLPPHVYIHSRRTNEDFEKFVAAHWDHTRESTDGDYTAYDA -SQDATFVNFETLLMRRLDFPLDIIEAYVEMKASITSHFGPLAIMRFSGEVWTYLFNTLGNIAFTYAKYEV -PSVAQVYGGDDKSINSPITVRTGWSQLVGKFNLVEKPVVGYEPTFCGWRIVPGGIVKDPQLLFWRTRYAR -IRYDAALWAPGYYDELVLSLKTSDRLMDHMSPNDLAYLQALVRFYTKLSRRLPSLADRRRCNPLPADSPS -VVLSRD - ->NP_054025.1 RNA dependent RNA polymerase [Cymbidium mosaic virus] -MARVRDTLDRLRDPSVLTSINEEAHRHIRPVLASALVNCPYALTEEEADCLENLGVTVNPFAIQTHTHAA -AKTVENRMLEIVGTHLPKEPSTFIFLKRSKLRYLRRAANNKDIFRNQHIEPKDLLRYDDESCEVMPECST -STAYISDALHFLSYAQLGKIFQNSPKLKILLATLVLPVEALHRHPSLYPAIYTLNYHKDGFEYIPGNHAG -GAYFHEYSTLQWLTLGKLIINDPLKVKKPLTLTVQLIESLGANHLLLITRGDLRTPKLRTFAKDTHVLLP -QIFHPKGMNANKPLSKRRAMQLWLYAKSVKEVSERDLYAKVRQLIPTSELDLFDPVEVTHLVNYLLFISH -LSSVSSYDDILSSNIFQHFTIPIKNKIRELVQLFTGADQFNQLLKALDWQTFSYSMPVETIHTRAANYQV -AKTVRMCRDLPCDEYDRVKDVLRQLPDGVTLFEENDKQDPSSSEAEDSEDDTDSVDFNLPPTHDLPPNFD -PLDKGKSIIVDTDNPSTSTAPAVTFAAGINSSASTNISFGSFTPEAEATPPPPMEKLPWDLWIPLLEQHG -FKGKSKLYKPTGELICPITEIKTVPHCPFPDKVPDGCVLALKSIKRFATKMTMLSSRASAYTSDIKNSRT -GKLLPAMNMPWKASLAYVTQHGDREIPGVVIHGAGGCGKSYAIQKWLRSCSDPCAATVVCPTLGRRNDWL -NKIGSYEQTNIKTFEKALIQPVNDVVIFDDYTKLPPGYIETMVYHHHNLDLIILTGDPMQSAYHETNRDA -YISLIPDASASFSEYCEFNINATHRNVAELACLLGVYSERQGKLAVSFSTAPLSKGKVPILVPSRMKQEA -FADVGNRCMTYAGCQGLTAPKIQILIDNHTAFCSEQTLYTCLSRAVDQIHFINTGPNSQAFWTKLESTPY -LKAFLDNYREEQTERLTSTAPEREVREPAPPKTHIPVENTSGLRISALDLPEKHSREIFNKAHGFSNAIQ -GEGVAPMFQHQQAKDETLFKATIDARLSITHPDENKREFAMKKDTGDVLFVNYKAIMNLPHEPVPFEPRL -WNICKAEVQNTYLAKPIANLINGTLRQSPDFPANKIALFLKSQWVKKIEKIGAIPVKPGQTIASFMQETV -MLYGTMARYLRKMRQRYQPAHIFINCEKTPEDFNKFVLEHWSHKQVAHTNDFTAFDQSQDAAMLQFEVIK -ARYFNIPEDVIEGYIQIKLTAEIFLGTLSIMRLSGEGPTFDANTECSIAYNATRYHINEDVTQVYAGDDM -AMDHVCPEKKSFKALEKKLKLTSKPLYPKQKPGDWADFCGWTITPYGIIENPKKLDACLQLHTQLGDADK -VARSYALDAKYAYDLGDRIHEILNADEMTSHFNVIRQLHKLHQQDVLVPPETTVATAVKSQPDMEDLWLR -ALSFPDWTDRAQLLKRG - ->NP_044571.1 replicase [Garlic virus X] -MTTVQKLLDQISDPNARAAYSQDCLSAAKNAATKAMTIAPYAVTQTEALTLERIGITTSPFATTSNTHAA -DKIIENDCLEIIGRYLPKEPVTLIQLKRAKLHLLKRGPNTDIFQNYCHEPKDVLRFGLTNPHVCPVVNTS -CAVISDTLHFMSSQQVWTLFKRNPKLQRLYGTLVLPVEALNKLPSLFPEIYKLEYYDNHFAYMPGGHGGG -AYIHSYGTLKWLSTAQIGTESNHLSIEKIETKAAHHIMLIQRRRPELPWPLPPLWVFHASDYVRLPEIFY -PSEANVQRAYPHVFIKRLQLYCFSVKAVSLRDIFAKIRQVIPTEDLSRFSTADLIRLANYLLFITGMQQT -SDYQSPLMESLLGKLCLSIRARVREFFQNLLGKSSYAALLTVTDVRPVYFTTKPIRRPICGQPWFDEAEV -ESKPATPTITGYPNPSPDDLDLLAEFEQHERAMMEENCFTSKTSATANRAQNPQQNRERVTPEKLAHSSD -ESHTQITSSQGVNDHTWPTEQSQPTNTCKEITDDENMMDGHVTDWTDSDSDHSDEDIETQPETNLSQSIH -DDLLPDESDLPDLESVADEQSDAEDNGDSTIDQANLCNTTCDAETDKSAQTPAEAKSSPKSASRVDLTPL -DSDTVEILRLHGFTNYKPQHDGDFQIAPVFYNHQACNLPLYDGITNSAQSKFIEMAHALKRNCYNYILEP -RRATAFMSDVKNNLTGLVLPKLDRDLLTSWVALSENACRKVGLIVIHGAGGAGKSRALQEILRSNSIDTN -QVNIIVPTINLAVDWKKKLPKIDPRRVMTFEKACEREGKSVVIMDDYGKLPAGYIDAYLAIKFNVEIVIL -TGDQRQSVFHNDRKDSQIALLTSNTAHFKRYCDYYLNATHRQPRRLANPIRVHAEREVGGAIKHSTLIPT -HAMTLVPAFRSQSLLTDLGRQAMTYAGCQGLTLPHLTIVLDKDTPLCSDEVLYTALSRASETITFVNTYS -NNKDFLDKLDSTPYLKTLISGVREDEQVGWENPPPEPEVRDAPTKTHIPVANNNVQLESKIETMEDKDTR -ELWSGEEKTNLMQTQDPVIQLFPHQQAKDEALFKITINERIKLASPETNCKALKETLNTGNLLFEAYADF -MNVPRETQPFDKRLWAHCRQLALRTYLSKPTTNLQQGAMQDPDFPENAIALFNKSQWVKKLEKVGCKFKA -GQTISAFKQSTVLLTTTMALYLRKKRESHQPDNVFVMCEKTPEQFNSFVLTRWDFTRPNYTSDYTQYDQS -QDSAFLNFELRKAKHLGLPDEVVDFYAFIKTHAKTFLGNLAVMRLSGEGPTFDANTECNIAYDALRFQLD -STVNASYAGDDLVRDRARSERPGWKYAEPLFSLKAKPLVTSKPDFCGWRLTKYGIVKSPVQLYQSLQLAL -RLGKVEEVKRSYAIDYLFAYRLGDQLYDIFDEDELAKHQLVTRTLIKKGMQPPSSGEHLPTFHVTSDRLI -RDPKAIQVLSYESDRIMVPTDVIEDHFTLASRTDRDDMTAESNRRASGLCTPINPGDSTGALLTDMFPSI -ATL - ->NP_040778.1 replicase [Narcissus mosaic virus] -MAKVRAALERIRDPSVQTALSEAAYTHVRPVLKESLVNCPYALSNDEADCLESFGITVNPYANQTHTHAA -CKVIENRMLEIVGMHLPKHSCTMLFLKRSKLRYMRRAAILKDVFLNKDVEPKDLFRYDRDTIRSRLQDID -TKIAYMSDTLHFMSRREIVQLFEDSPKLETLLATVVLPVEALHKRTSLYPSLYSINYSAKGFEYIPGNHG -GGSYFHPYTTLEWLKVRMINAEDFHKLSTGFILTFQLVESLGANHLFIVQKAKLLTPQMRTFCRDSLVTL -PQVFCPAAMNANRPLSKTKAMQMLLYCKSVKQVTERDIYAKIRQIIPTSELELYDPDEIVHLANYFFFVS -SLDSITCYEDLLSSDIWMRLTRPLRTAVRKFVELFKGKQDFDKLLIALKWQPFSYSLEPVDFTAYFVSRR -VRTLAKMEDISWHQAAELARRLESEPDLLSWEDLCSKPIADPLASVSTPPLATLTSPAISESRVTDTSSP -KLTNISVESILHPTSSNHATIAESLNPKFCGWTRGDFHHMIVNQPSDRLKGCRSWSYTTSPDVDLMLENL -LLTPIPWESRLSDILLTLNTPANACCIQILDCSAASAWVDWSVQPIKTFPVAFVGMGETTLTFEDDSTLP -LKEGEFVFFPPEWLARHKYQIKTASNLHLCATFLVLDTTLLGETLANNCIEPEPLRPTASRKSCQPTPSV -DKSGADSPPEKQIVTPIVDAAVLSCRPKLQDVTKPTKVLMAAETSDSVADSLPWASWVNLLQKHGFKGNQ -QQIAQDGQLIIPISDIRKLPHIPFPEEVPETLRETLKNIKRFPVEITMQHKRAGSYDSDIKNNRTGKLLS -QMDNKWKAAFAYKLQQEDRKVCGTIIHGCGGSGKSFAIQEWMRSLKEDQSVVTVVTPTVLLRNDWQTKLP -ILPADVFKTFEKSVIQPCNPILVFDDYTKLPPGLIESVVMHHQNVVFIILTGDNRQSVYHETNPEAYIAA -LPEAVEIFSPYCEFYLNATHRNVKDLANKLGVYSEREGKLKVNFASHHLKASRIPMLVPSTMKRNAMFDM -GHHSMTYAGCQGLTAPKIQILLDNHTQFCSERVLYTCLSRAVDRIHFINTGPTTGDYWAKLESTPYLKAF -IDTYRDEKTEVYNSQPASAEPTEPEAPATHFPTAPKPLLEPLVEKLTDKEAREIFSPAFGHSNAIQTEDS -VVQLFQHQQAKDETLYWATIDTRLAISTPEANLREFNMKRDIGDILFMNYAKLMCLPPEPVPFEERLWKI -SADEVRNTYISKPIGNLVNAASRQSPDFPKNKIALFLKSQWVKKTEKLGTLKVKPGQTIASFMQETVMLY -GTMARYLRKMRRRFQPDNIFINCETTPEDLDKFIKSQWDFSRPAHTNDFTAFDQSQDGAMLQFEVIKAKF -FNIPAEIIEGYIYIKLNAAIFLGTLGIMRLSGEGPTFDANTECSIAYNATRFHITDDTAQVYAGDDMALD -RVSIEKDSFNRLEKQLKLTSKPMFPKQVKGDYAEFCGWVMTPAGIIKHSLKMHASIQLQKKINNIKESAR -SYALDLRYAYKLGDELQEHLNEVEADYHQQSVRDMHLLHQQDVLNKGSASPPHVFEKTADANTAGSSKTH -KRNALKKKKQTRIAEILPSPDATGLSSLPFRFF - ->sp|P15402.1|RDRP_WCMVO RecName: Full=RNA replication protein; AltName: Full=147 kDa protein; AltName: Full=ORF1 protein; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=Helicase -MAKVRAALDRITDPSVKAVLNEEAYSHIRPVLRESLTNNPYAIAPDAADTLEKYGIATNPFAVKVHSHGA -VKSIENTLLERVGFNLPKEPCTFLFLKRSKLRYLRRGPSNNDIFINLAIEPRDLQRYEEDTLVESWTRIT -TRYAYISDTFHFFTRKMLADLFFHNPALDVLYATLVLPPEALHKHPSIEPDLYTINYNFNGFQYIPGNHG -GGSYSHEFKQLEWLKVGHLKSPELCLTFQMIESIGANHLFMITRGIKITPRVRTFTKDSYVLFPQIFHPR -NLNPSKPFPKVKAMQLFTYVKSVKNPTERDIYAKIRQLIKTSELSDYHPDEIVHIVNYFVFISKLDSINS -YSDILSLPIWSKALLPIKTKITQLWEKLTGARAFNQLLDALQWKTFTYPLEVVDSPQPLQTRDCFIEDER -LEIDTLEDEIPPNPNDNTSMSPQSIEEAVKNNPDLPWAPWLLILQAHNADCTEKQYDPENNLILPIQEIN -TLPKHQHPDIPTDLLTLLTKLHREPTTVSLDNHRARAYGSDVKNLRIGALLKKQSKDWLASFALKTENIE -REVLMSVIHGAGGSGKSHAIQTWMRSLNRRDRHVTIILPTTDLRNDWTNKVPNLEQANFKTFEKALCQPC -GKIIVFDDYSKLPQGYIEAFLAINQNVILAILTGDSKQSFHHESNEDAYTATLEPSIITYQPFCRYYLNI -THRNKPDLANKLGVYSCSSGTTSFTMSSQALKGMPILSPSIMKKTALGEMGQKSMTYAGCQGLTTKAVQI -LLDTNTPLCSSNVIYTALSRAVDHIHFINTGPNSTDFWEKLDSTPYLKTFLDCVREEKMNEIIAAEEPPT -PVQAPTTHFPKVNPTTVIESYVHDLPEKHDREIFSETHGHSNAIQTDNPVVQLFPHQQAKDETLYWATIE -ARLQCTSSEENLKEFHLKHDIGDILFLNYKQAMNLPQDPIPFNPDLWTLCRQEIENTYLKKSAAALVNAA -TRQSPDFDSHAIALFLKSQWVKKTEKIGCLKIKAGQTIAAFMQQTVMIYGTMARYMRKFRNQYCPRKIFV -NCETTPADFNSFILDEWNFNRTCFSNDFTAFDQSQDGSILQFEVIKAKFHNIPEDVIEGYIQIKTHAKIF -LGTLSIMRLSGEGPTFDANTEANIAYTHTKFNIPCDAAQVYAGDDMSIDYVASVKPSFNMIEHLMKLKGK -PVFNTQTQGDFAEFCGWTISPKGIIKKPEKMNMSIELQKNINKFHEVKRSYALDHAFAYQLGDELHELYN -ENEAEHHQLATRSLILAGQATALDILDYGLRDLK - ->APG31855.1 RdRp [Arachis pintoi virus] -MATSVRKLLDQVSDPSTKAAYSELCFKHAKDAATKAQRVAPFAVTDQEALTLERLGITTSPFATTSHTHA -GDKIIENDCLSLIGSYLPKTAVTMIQLKRSKIHLMNRGPNQDVFANYCHEPKDVLRYGITNPGSCPRVHT -EYAVLADTLHFMSTKQLLALFLKNPKLQKLFATLVLPIEALHRLPSLFPEIYRLEYYKEHFAYMPGGHGG -GAYVHSYATLNWLSTGQVGTHTNNVISVGYPDYLSLEKIETKAAHHVMLIQRRRTNVPWPVSPLWVFHAS -DYVRLPQVYYPPEANVQNTYPHDLIKRMQAYCLSVKAVSLRDIFAKLRQVIETQDLKRYSMADLIRLANY -LLLTTAMEHVSDYECLLTKGWVAKLSARIQMRVRGMLESIRGKTSYSALLSVADVIPVPFTTIPIRRPST -GLDWEVEEANQEEPREDMPGPETGPNLEDLELVAQFEENPARNSAAEDGHGKDHSQVVDELEKALYAEKP -PSSSSEDDNPPENPNGEQSDHTAQPSESANVASLDKPTVEILKLHGFTALTPQWDGESWIQPVYFNPSNG -KLPVSPLQDSNHDVAKLAHSIKRNLYQYAVDNKRAASYMSDVKNNLTGLVLPKLDRDKLSAWVSLCENAE -RHVSILVIHGAGGAGKSRCLQEALRNSKELAENVNVIVPTVNLVLDWKKKMNSMDPRRFMTFEKACEREA -KEVAVFDDYGKLPAGYVDAYIAIKPTVEIVILTGDQRQSVYHNGNKDAQTANLTDNVTHFRKFCDYYVNA -THRQPRRIANPIKVHAERQFGGAVTMANLIQDKATVLVPAYRTQSALTDLGRQAYTYSGCQGLTISDVTI -ALDNDTPLCSNEVLYTAFSRASESITFVNTHSDNPDFLAKLDSTPYLKTLITGVREDEKAGHAEPPAEAA -PQEPEVKTHLPVANGNVALEEKIEAVEDKDTRELWDGQEKTNLMQTQDPVIQLFPHQQAKDEPLFKLTIE -KRIRTASASENQRAIIKSCNAGDLLFEAYADFMKVPKEPQNFDRDLWLHCKQLALRTYLSKPTSNLQQGA -TRQDPDFPEAAIALFNKSQWVKKLEKVGFKFKPGQTISAFKQSTVLLTTTMALYLRKKREEHQPDNVFIM -CEKTPDQFNDFVMTRWDFSRPSYTSDYEQYDQSQDAAFLNFELRKARHLGVPEEILSFYKFVKIHAKTFV -GNLAIMRLSGEGPTFDANTECNIAYDALRFHLDNNVNACYAGDDLVRDRVCDERPSWQYTQHLFSLRAKP -LATNKPDFCGWRLTKYGIIKSPVQLYQSLQLALRLNKMDEVRRSYAIDYQYAYRLQDKLFEVFDEREMDH -HQLATRLLIKKGAQVPDIGNHLPMHHVTSDRLIKNPHYREMHDAESDRIYMVAPSLTENHFT ->AGO03686.1 RNA dependent RNA polymerase, partial [Actinidia virus X] -LSEAAYTHMRPVLKEALVNCPYALTDAEADSLENLGITINPSATQTHTHAACKAIENRMLEIVGSHLPKE -ACTMLFLKRSKLRYMRRAAALKDTFVNKDVEPKDLFRYDSDTIRSKLQGIEHRIAYISDTLHFMSRQELV -QLFVDSPNLETLLATVVLPVEALHRRTTLYPTLYSVNYSPKGFEYIPGNHGGGAYFHPYSTLEWLKVRQI -HAYDYYALDPQITLTFQMVESLGANHLFIIRRADMKTPAMRTFCRDSLVTLPKVFCPDSMNANRPLEKKR -AMQLMLYCKSVKQVTERDALWRERAMQLMLYCKSVKQVTERDIYAKIRQLIPTQELELYEPDEIVHLANY -FYFMAHLDSITCFEDMLSNNVWRRLTLPIRTNFRQLVEFFKGKSDFDKLLQALKWETFTYSLEPEDYAEK -FSSHRAREFAEMTDTTVGKAAETLRYVARHPELVQPEDFASQPIPDPLENCSHYIQIDPATPKLEVENSP -VSPRADAPPPVNQAPPQSLATRPCTGTPSTHNSDWQVATIEYLMEQAGGTTSDGRTRVIFHKSTPAAHTL -GTTPTTADWPEMLDDIAISLPAQPDVCIIEAFHTSRPGRLLPDIPLWAAQQSTVLCFGDLTWSFPCPHEI -RTKNGDHFTLVDTHLGPHAPTIENCGLRSIVAHFITMGTNLPQTDTPTVWYTTGSDEASTSHPPPPTNAP -TPAPALHRTVNNNVAPADQSRSVPWVSRVPLLKNHGLDGDQEQLQHDGQIILPIQDVHKLPHAAYPREVP -HAIQDTMNNIKRYPVAIKLDKHRASSYASDIKNNRTGRLLLQMDQKWKASFANKMQHEDQEVVALHPRGA -HEVVGTVIHGCAGSGKSYSVQKWMRTLKEDQNVITVVTPTVLLRNDWHTKLPILTADTFKTFEKAIVQPC -NPIVVFDDYTKLPPGMIEAMVMHHXNISFIILTGDSRQSTYHELNDEAYISALPEAVEVFAPYCEFYLNA -THRNVRDLANKLGVYSERPGKCKINFTSCHLKASKIPILVPSTFKKNAMAEMGHNSMTYAGCQGLTAAKV -QIVLDNHTSQCSERVIYTCLSRAVDGIHFINTGPTSGDYWEKLGSTPYLKAFIDSYRDERTEVYNSQPAD -DSPTEPDAPLTHFPMAPKPLLEPLVAELPVKEDREIYSATHGFSNAIQTEDGVVQLFQHQQAKDETLYWA -TIETRLAISTPEANLREFNLKKDVGDILFLNYAKLMGLPAEPVPFEERLWEISAAEVRNTYLSKAVGNLV -NAAARQSPDFPINRISLFLKSQWVKKVEKLGAIKVKPGQTIAAFMQETVMLYGTMARYLRKMRQRFQPDN -IFINCETTPEDLDRFIKTKWNFKRPAHTNDFTAFDQSHDGAMLQFEVIKAKFFNVPPEIIEGYIFIKLNA -AIFLGTLGIMRLSGEGPTFDANTECSIAYNATRFHIQPDTAQVYAGDDMALDRISIEKATFYTLEKQLKL -TSKPLFPTQTKGDYAEFCGWVLTPDGLIKQPLKMHASIMLQKKIQNISQSARSYALDLRYAYKMGDTLQE -HLTEDEANYHQDSVRQMHLLHQQDVLARGSASPPRETGQEGTSTRTQRRNQTKRRAKVRVATIEEAA ->ALK24278.1 replicase [Garlic virus D] -MTTVQKLLDQISDPNARAAYSQDCLSAAKNAATRAMTIAPYAVNQTEALTLERIGITTSPFATTSHTHAA -DKIIENDCLDIIGRYLPKEPVTLIQLKRAKLHLLRRGPSGDIFKNYCHEPKDVLRFGITNPHVCPQVNTT -CAVISDTLHFMSTQQVWTLFQNNPSLERLYGTLVLPIEALHKLPSLFPEIYKLEYYDKHFAYMPGGHGGG -AYVHSYGTLKWLSTAQIGPGPYPEYRSTANGRRPLLDHLSIEKIETKAAHHIVLIQRRRPELPWPLPPVW -VYHASDYVKLPEIFYPAEANVQKAYPHIFIKRLQLYCFSVKAVSLRDIFAKIRQVIPTEELARFSTADLI -RLANYLLFITGMQSTSDYQSPLMESLLGKLCLSIRARVREFFQNILGKSSYAALLTVTDVRPIYFTTTPR -RRRICGEAWFDNIEDEESSPLNRNVTGYQQPSPDDLDLLAEFEKQLTSVPDTEQRGGQTSRRVTSQNVEA -TDESGSQHQQCNIEREPTEDKVLEHVNDVTTRSEQEGDVTDWSDDESLAEKETHEQEAPLSDDEDLFDLP -PLENCSECGENSTQELPDGNDCDMPNIDEENEAAENKIEPDGISPQEPHEDPEKDYVNNCDGSKTEHSPE -HEDKPDKPVAKVKLNPLDDDTVELLKIHGFTNYKAQYDGEDQIQPIFRNANARFLTHYTTTVAGPQQCFI -DMAHGLRRHCYEYRINAKRATAFMSDVKNNLTGLVLPKLERDLLSSWVSLAEHATRKVGLIVIHGAGGAG -KSRALQELLRSQTVDTNEVNIVVPTINLAADWKKKIPAVDPRRVMTFEKACEREGKPVVIMDDYGKLPAG -YVDAYLSIKSNVELVVLTGDQRQSVYHNDKRDSQIALLSSNTDHFKKYCDYYVNATHRQPRRLANPIKVH -AEREVGGAVKHATLIPETAMTLVPAFRSQSLLTDLGRQAMTYAGCQGLTLPHLTIVLDKDTPLCSDQVMY -TALSRASETITFVNTHSDNREFQAKLDSTPYLKTLISGVREDELTGGDYKPPEPIVREGPTKTHMPVAND -KIQLEDKIEAMEDKDTRELWSGEEKTNLMQTQDPIVQLFPHQQAKDEALFKITIKERVRTADANTNRKAM -HETLNAGDLLFEAYAKFMNVPQETQAFDKRLWAHCRQLALRTYLSKPTSNLQQGAQRQDPDFPDNAIALF -NKSQWVKKLEKVGCKFKAGQTISAFKQSTVLLTTTMALYLRKKREGHQPANVFVMCEKTPEQFNSFVLTR -WNFKRPNYTSDYTQYDQSQDAAFLNFELRKARHFGIPPEIVDFYAFIKTHAKTFLGNLAIMRLSGEGPTF -DANTECNIAYDALRFQVDPTVNACYAGDDLVRDKACEERPGWKYAEPLFSLKAKPLVTNKPDFCGWRLTK -YGIVKSPVQLYQSLQLALRLGKVEEVKRSYAIDYLFAYRLGDQLYEVFDENEMEKHQLVTRTLIKKGMQP -PTSGDHLPVFHVTSDRLIRDPTAKRVSTYEYDSVSLPFELIDDHFSSNPSRADKEDMNRVRDTQTQDHIN -SSGPPEGREPLLSDLFPQLASL ->ABY27302.1 RNA-dependent RNA polymerase [Cymbidium mosaic virus] -MARVRDTLDRLRDPSVLTSINEEAHRHIRPVLASALVNCPYALTEEEADCLENLGVTVNPFAIQTHTHAA -AKTIENRMLEIVGTHLPKEPSTFIFLKRSKLRYLRRAANNKDIFQNQHIEPKDLLRYDDESCEVMPECST -STAYISDALHFLSYAQLGKIFQDSPKLKILLATLVLPVEALHRHPSLHPAIYTLNYHEDGFEYILGNHAG -GAYFHEYSTLQWLTLGKLIINDPLKVKKPLTLTVQLIESLGANHLLLITRGDLRTPKLRTFAKDTHVLLP -QIFHPKGMNANKPLSKRRAMQLWLYAKSVKEVSERDLYAKVRQLIPTSELDLFDPVEVTHLVNYLLFISH -LSSVSSYDDILSSNIFQHFTIPIKNKIRELAQLFTGADQFNQLLKALDWQTFSYSMPVETIHTRAANYQV -AKTLRMCRDLPYDEYDRVKDVLRQLPDGVTLFEENDKQDPSSSEAENSEDDSDSVDFNLPPTHDLPPNFD -PLNKGKSIIVDTDNPSTSMAPAVIFPAGINSSASTNITFGSFTPETEATPPPPMEKLPWDLWIPLLEQHG -FKGKSKLYKPTGELICPITEIKTVPHCPFPDKAPDGCVLALKSIKRFATKMTMLSSRASAYTSDIKNSRT -GKLLPAMNMPWKASLAYVTQHGDREIPGVVIHGAGGCGKSYAIQKWLRSCSDPCAATVVCPTLELRNDWL -NKIGSYEQTNIKTFEKALIQPVNNVVIFDDYTKLPPGYIETMVYHHHNLDLIILTGDPMQSAYHETNRDA -YISLIPDASAIFREYWEFNINATHRNVAELACLLGVYSERQGKLTVSFSTAPLSKGKVPILVPSRMKQEA -FADVGNRCMTYAGCQGLTAPKVQILIDNHTAFCSEQTLYTCLSRAVGQIHFINTGPNSQAFWTKLESTPY -LKAFLDNYREEQTERLTSTAPEPEVREPAAPKTHIPVENTSGLRISALDLPEKHSREIFNKAHGFSNAIQ -GDGVAPMFQHQQAKDETLFKATIDARLSITHPNENKREFAMKKDTGDVLFVNYKAIMNLPHEPVPFEPRL -WNICKAEVQNTYLAKPIANLINGTLRQSPDFPANKIALFLKSQWVKKIEKIGAIPVKPGQTIASFMQETV -MLYGTMARYLRKMQQRYQPAHIFINCEKTPEDFNKFILEHWSHKQVAHTNDFTAFDQSQDAAMLQFEVIK -ARYFNIPEDVIEGYIQIKLTAEIFLGTLSIMRLSGEGPTFDANTECSIAYNAARYHINEDVTQVYAGDDM -AMDHVCPEKKSFKALEKKLELTSKPLYPKQKPGDWADFCGWTITPYGIIKNPKKLDACLQLHTQLGDAYK -VARSYALDAKYAYDLGDRIHEVLNADEMTSHFNVIRQLHKLHQQDVLVPPETTVATAVKSQPDAEGLWLR -ALSFPDWTDRAQLLKRG ->ALI93575.1 replicase [Strawberry mild yellow edge virus] -MATRVASVFSSLTDVGIKAALQDEAYKRIKSNLREAEIINPYSVDARGAEALEELAIITNPHSIRLHTHA -AAKSIENQMLHIVGHALPKEPVTFLFLKRGKLRYLSRGRIKDIFQNQEIEPRDVARYEHKTIVQKSLLLN -TRVAYISDTLHFLRPRYIIDLFSQNVFLDVLYATVVLPVEASFKHPSQNPAIYTINYNYGGFQYLPGNHG -GGAYSHEFEDLDWLKYGKFIYRWVDYRTDPISGKKVGTPKELVVTCQLVESLGANHLFIFKRGDLKTPRV -RTFAKDKSVTFPDLFYPEEENANFPVDAELATKLFLYVKTLKTVTSQDVHGKLRQLLRSDELTRFSPMQL -THMVNYFMVVAHLDSCNDYSMLLGSDVWTQLTAPIQSKLRKFAEFFKGKSSFGKFCAALKWKTATYSLEV -KDYVETRRDSFEPHPLDNIPDADDRDVNYDTDVDTDETEEKPAPNAQASTPVPDTTPPASPAAPADADYV -QCWSAWDTVIRKHGFKGNQAQFDDEGNLITPIAEIKSLPKDSPRCAPELIKSLQEIARTPTMVEICTKRS -AAFGSDVKNGRIGMILKKQPNDWRLSFAAKCEHTSRKVHACVIHGAGGSGKSQRLQDWMRTLKKNSRECT -VILPTAELRADWVNKVPKQSLDTFKTWEKGLVQPPNRVVILDDYGKLPAGYPEALCANYPNIELLILTGD -SRQSVHNEHNKQAATASLESNVDFWTQYCRFYVNATHRNVKRLANALGVYGERDEPLKVTCSSHVYEGWP -VLAPGLLKAGNLAECGRRAFTYAGCQGLTTPKVQILLDNDTPLCSQRVMYTALSRAVNEIHFVNTGPSGD -DFWTKLDCTPFLKTFLELSREIEVPEAKCQETTPAESTVKTHFPVENPNMVLEPYVEKMAEKFDRELYSK -EYGYSNAIQTEDPVIQLFPHQQAKDETLMWATIDQRLAITTKSDNETEFALKKDIGDLLFINYHRAMKLP -KNPIPFDKDLWQSCKNEVQKTYLSKDVSSIVNGIARQDPDFPINEIKLFLKSQWVKKVEKLGMVVKPGQT -IASFAQAPVMLYGTMARYMRRMREIYQPSNIFINCEKTPADLDEWAKANWNFDGLAHSNDFTAFDQSQDG -AMLQFEVIKAKFHNVPSDIIHSYVELKTNAKVFLGVLKIMRLSGEGPTFDANTECSIAYHHTKYWVDPDV -AQVYAGDDSAQDRTPVPRPSFNKIKDRLGLVSKPLTHQQVPGDYATFCGWIITPKGVIKDPLKLYASLQL -AIRRGKSHEVALSYAHDAGLAYRLGDDLHSVLTFDEAHAHQCTVRDLVKLNKVEVLRPIWALD ->AJD23381.1 putative RNA-dependent RNA polymerase [Yam virus X] -MSQVNAVLERLTDSSVRAVLQEGAYRNIRPILAKARAVNPYVQTSNSADVLEKLGILTNPYNINVHTHAA -CKAIENRLLEILGSILPQDPVTFLFLKRSKLGMMHRDPNKNRDLFYNQHLEPRDLSRYAFEDVYKTIPQI -QTPVAYISDTLHFWEPEDLCCIFRSNPMLDTLYATLVLPPEAYFKHPSAEPHLYQISYNYGGFQYIPGGH -GGGSYHHEFKSLQWLEYGKLHYSYQQRRHYITCQMIESLGANHLFVFQRGDLLTPRVRVFKADEFVSLPK -IFSPSDKNCSRPIKKIFAMQMLLYIKSIKSPSERDIYAKIRQLIQTHKLDEFDPQEIVHIANYFYFISQL -SSINSYQELLSCSWFKKNMWYPVRDWIVNRWENTFGMREFAQLLKALEWETFTYSIEVREYRNQHHIYNP -NLGHIWDLPANQVENNGVDLFKNVLEGVPATQTKIDIIEECKAQDEQMEKIFENSNRLEELKQLPWFSWL -KILNAAGFKGDQEQYNPADHSLIYPISDINTLPKVEGFFNLFEEVPMKLINILCDAHRSPVKVNFDHHRA -SMYASDVKNHRIGAHLKQMSTAWKAEFSLRCEQDDVSLYTSVIHGAGGSGKSNLIQNYMRSLPRNSELCT -VVVPTNELRIDWESKVPLLNRTCFKTFEKALVQGCGPVVIFDDYSKLPAGCIEAHIMTHPNIELVILTGD -SRQSFHHESNTEALITHLPPATEIYSPHCRYYLNATHRNRRDLANILGVYSEVEGTTAITMSSQIQQGIP -ILCPSTVKKDSLNDLGRSSMTYAGCQGLTAKKIQILLDTNTYLCSNNVMYTALSRAVDSIHFINTGPNSQ -EYWTKLDATPYLKTFLELFREEKFNDVVAEEPVVTEPPPPQTHLPVDNAHILLDKMVEEQGEKYDRELFA -SSSGHTNCIQTNDPIVQIFQHQQARDETLFWKTMDVRVSNSTPIKNEIEFVLKKDIGDILFLNYQHAMNL -PKEPIPFDKELWTASAVEVQNKYLEKPIHALINANLRQSPDFDAEGIMIFLKSQWVKKTEKLGILNVKPG -QTIAAFMQETVMLYGTMARYMRKMRNRYNPRNIFINCEKNPEELSSFIKDSWNFNNSAHSNDFTAFDQSQ -DGAMLQFEVIKAKHHCIPEPIIEGYIHLKTHAKIFTGTLAIMRLTGEGPTFDANTECAIAYHFTKYHHNP -NDSYLFAGDDSAMDNKPIVKISFDKLSSRLKLTAKEKIYKQKPGDYAEFCGNLITPAGLIKSPLKLYASL -ELAERTGNLKNCVKSYAEDCSLAYKLGDDIHNVLDTEEMIYHQSTVRKLVKHHQSEVLQPGLSSHDSDYE -LDHAF ->ADI70508.1 replicase, partial [White ash mosaic virus] -STDTVIFDDYGKMPPGFIDAYLSIKPNVANAILTGDQRQSTYHLQKSDAMTAEASDNVSAFTKYSDYYIN -ATHRQPRRFANALGVHAERDFGGHVKETSLIPQGEPVLVPSHKEMMTLADLGHKVYTYSGCQGLTVPRAT -FVIDRDTPACSDNVLYTALSRASETVTFVNTYSDDAGFQSKLDALPYIKTFLSGVKECGNPPDHPPTEEE -PPEAHAKTHLPVENPNLLLDELIEHCEDKDTREIFVDGEKTNLAQTQDLTIQLFPHQQAKDEALFKETIK -ERIRFANPEENNKELAATVRIGDLLFEAYADYMNVPTEASPFLEQEWLDARQEAEKKYLEKTLAQLTNGA -PRQDPDFPDNFIALFNKSQWVKKLDKVGAKFKAGQTISSFKQEVVLLFITMALYLRKQRVKHQPDYVFIM -CEKNPSAFNEFIQGWDFSTPSYTSDYKQYDQSQDAVFLNFELRKAKHFGVPKFIRDCYKFLKCNARTFAG -KLAIMRLTGEGPTFDANTECNIAYDALRFKLTKGKKACYAGDDLAREECAEERSSWAYLNPSFKLTAKPI -ETTRPDFCGWRITKEGIVKQPRQLYQSLVLGFLRGNIASMIPSYKIDFTYAYKMGDKLFEIFDEDDLSCH -QATVRLLHKKGVKLDISTDDEHVSNEEPPSDRLLSFEVEESKPIRLHTSPLEAWLSKQSHL ->AKH39765.1 Replicase [Donkey orchid symptomless virus] -MLSEQYSAIKDVNQQAILQTRYRAEYDEAVRETRKTNPYYFDDASVAILTELGIPTLPRHEATQLHRHGK -NKAIETHLLCSLRGKLRGPCSFCFLKPAKLQHLGYDTHTAHEVLNPHLTAKDLYRFPEATAPDNIFTFKH -PVAFVQDALHYYSPGLVLDIFEHNPGLRELYATLVIPIEIMHKHASFHPGLYTIEYHDDDEFSYIPESSA -AGAYTQNLNCLSWLKYSSFARGQVRVSSTLLETLGAHHLLHFVRGDFLPQKRRLFQHPPLVKLPPVYCVG -RHNTTRPFPKTLVQVLLLYAHTLKEIRDVDIWAKLRQQIPKNAIDDYDIDSLSLLADYVLVTASLSRHPD -SLAIADASILGRMSSHCMDLLKRALAPFAGPDGFEEHQRLLQLQPFNYTIKTERFVSDHLPAFVHVALQG -GNEPDLADDELLEISCQVPAVGPSPKPGNSVPIPNCGTDRVGDQVRAPDAMVLPPPSLHADLFPQLPPIS -QWGDSGLDTVLEQPDQPLQGDHNSEGLGVLPAETRQQNPRTLPIEDAYPRVEAKADIHISLSHHGPPSLT -PFCSRCAADLASDLYGNRVGIIGRWKTNAGLEQWEHLCTGERDDLDNHDAHLSGARLTRMRRVHSLGIMG -TAGSGKSHAVQDYLRNHREPQRYEVIVPTKELQADWRAKCRNVEHIYTYEQAMTNRAARHLIIDEIGRYP -PGYMSLIQVIRPNTPSFTILGDPQQCVFHALNSEAKVNLLPEEVLSFGATATIYLNASKRCPRRVCDAIG -AFCDNDRLGAISFNPVRATCNTLLTPQHATAQALRELHPDTRTYAGSQGLTRRAISILLDTSTLLCDRRS -LYTAITRATDHIEFVYNGPSAEQFGQKLDAVPLIRALLETVPEKPAPEHAPTDPEPAYDVQPRTHLPVEN -EVGTLNDEVQELEEKLDRELFNAAGYSNCVRTDDELIQAIPHQQRSDEALSLATFEYRLRHATIAENIEE -VKAKAQAGLILYEHLAHELDLPNDPLPVDTELWNQCRAETESTYLQKPEHQITNARWRHEPDVDFSHIDL -FIKSQKVKKPEKFGRKIKPGALICSYRQDVVLRTATLARYVRKRLNNYVPPHLFINCEKTPTQMEFFVTK -YFHEGRACLSNDYQAFDQSQDGGILNLERRVYVTLGVPQEEIDYYVDLKIHASAFTGVLGIMRLTGEGPT -FDANTIANMAYFFTKYDVPKGTPAMFAGDDMTCFGNPPLRESWQFVESMFSLTAKEEINDRPSFTGWLLS -KHGIVKDPLKLLLTYHVAAREGRVKDVLPSIAIDIDHAYSLGDNIFDVFDEREIRLHHHMLRRLHKNGYK -PRSVLARKRLLSDETPAELATRSVALADTLPGYARRGAGDLREPLLFPPRRMDMDSRRHAPTPSG ->AHB87051.1 putative RNA replicase, partial [Escobaria virus] -TPMPSKLQRCDQIAHIVHPALHTLAQELMRSYYTYRNNQARATAYMSDVKNGLTGLLLPKLDRDKLAAWL -SLCEQADREVALLVIHGAGGAGKSRALQQMLRDNPDLAENTNVVVPTVGLVMDWKAKMTTIDPRRFMTFE -KACEREGKEVVIFDDYGKLPAGFIDAYLSIKPSTRVVILTGDQRQSVYHTTNREAQSATLESNTTFFAKW -CDYYLNATHRQPRRLANPIKVHAEKQEGGAVRHSTLIGDRDTVLVPAHRSQVALTDLGRKAYTYSGCQGL -TLPHITIVLDNDTPLCSTEVLYTVFSRASESITFVNTYSDNPDFLSKLDATPYLKTLISGVREDENAGKT -QKIEEPEVAEPPVKTHLPVENQDVFLEEKIEVMEDKDTRELWDGEEKTNLMQTENPIVQLFPHQQAKDEA -LFKITIEQRIRTASPEENVKQLVDKLRVGDLLFEAYADFMKVPREPQNFDLELWRHCRQLAERTYLSKPK -NNLVQGAARQDPDFPDNAIALFNKSQWVKKLDKVGFKFKAGQTISAFKQQTVLLTTTLALYLRKKREQHQ -PDNVFIMCEKTPEQFNEFVINRWNFDRPAYTSDYTQYDQSQDACFLNFELRKARHLGVPEEVVNFYRFIK -TNAKTFVGNLAVMRLSGEGPTFDANTECNIAYDALRFQLNDDVQACYAGDDIVRDQACPERPSWRYTQHA -FQLQAKPLVTSRPDFCGWRLTPYGIVKSPIQLYQSLQLALQLGKMEDVKRSYAIDYAYAYQLGDRLYEVF -DEKEMAAHQLVTRIMVKKGLKLASAGTHIPAFHVTSDRLINNPHALRNEHVVEMHDTTSHRVYDTLPEIR -EDHFIPRCEVA ->ADD65542.1 replicase, partial [Cassia mild mosaic virus] -RATAYMSDVKNNLTGLVLPKLDRDRLSAWVSLCEHSSRIVNLLVIHGAGGAGKSRALQELLRGLGDEAND -VNIVVPTVNLVMDWKHKMNQMDPKRFMTFEKACERESKTVTIFDDYGKLPAGYIDAYLAIKPNVETVILT -GDQRQSTYHNNNRDAQTANIPDNVAHFRKFCDYYVNATHRQPRRLANPIKVHAERQLGGTVHRAHLIDEK -ATVLVPSQRTQTSLCDLGRKALTYSGCQGLTLPHVTIALDNDTPLCSREVLYTAFSRASESITFVNTHSD -HPDFLAKLEATPYLKTLLSGVREDEEAGRDYKPPEPQVVEPTLKTHLPVGNAENFLEEKIEAMEDKDTRE -LWDGEEKTNLMQTHNPIIQLFPHQQAKDEALFNLTIQQRIKIATPAENEKAIADTLKTGDLLFEAYADFM -KVPKEPSAFDSNLWEHCRQSALRTYLSKPKSNLVQGTTRQDPDFPENAIALFNKSQWVKKLEKVGFKFKA -GQTISAFKQSSVLLTTTMALYLRRKREEHQPFHVFIMCEKTPDEFNDFVLNKWDFSRPSYTSDYTQYDQS -QDATFLNFELRKAKHFGVPEEVLSFYKFLKTHAKTFVGNLSVMRLSGEGPTFDANTECNIAYDALRFQLS -DKVRACYAGDDLVRDAVCPERPSWKYCQHSFKLQAKPLSTNRPDFCGWRLTQYGIVKSPVQLY ->AHA91819.1 replicase [Cassava Colombian symptomless virus] -MLESFGANHLLVFQRGKLLTPRVRTFRSDEMVYLPQIFHPAELNQVQPLKKTLAMQLLLYSKSLKTVTLT -DIIAKIRQLIQTKELANYDPDEILHMANYFYFTSQAPALNTYDDILSLDIFERLTLPLKSRIVALYEKLT -GASAFRQLLQALQWRTMTYSIEVEHFYEGIYPEQWPVDEREYSDSDEEDGESRPNRKEEINDLSPPSPIP -ASTSNAASSSNNPNPQTGSVKLPWQEHLHILNKHGFRGTELQRYCNGLISPILEVRDLPVHVFNAHERMP -PALLNRLKTLGREPVRVPILSSRAAPYASDIKNNRVGAYYRKLSQAWRDKLSLDCENGDITIPMSVIHGA -GGSGKSQFLQEWMTTLKRDHKDVVVVLPTTELRADWVRKVPTIDNRVFKTFEKALERSYGTIVIFDDYGK -LPAGYIETFLFAHRGFQLAILTGDSCQAVHHEPNHQASSYTTIPAVEHFGAYSRFYLNATHRNRKDLANA -LNVYSEKEGVTNIRHSSSIFEGTPVLCPTTIKQTALRELGHKSLTYSSCQGLTAPNIQILLDSSTPQSSN -RVMYTALSRATDAITFVSTAKCSNDMWDKLSATPYLKTFIERIKEEPAASSSVPEIEELEPQIPTHFPST -NNSFTLEDLVEELPEKHQREMFSPSFGYSNAIQTQDKVVQLFQHQQAKDDTLLWATIDARLTIGTAESNL -KEMFMKKDIGDILFLNYQQAMQLPKERIPFNIDLWRACQEEVSSTYLSKPIGQLVNSAMRQSPDFPTNKI -SLFLKSQWVKKTDKLGCLVVKPGQTIASFMQQTVMTYGVMARYMRRMRQAFQPDNILINCEISPRGLNDF -VLEKWDFHRDSHENDFTAFDQSQGGAMLQFELIKAKHHCIPEDIIEGYRQVKLNAHIFLGTLSIMRLTGE -GPTFDANTECSIAYHHTRFHVPAGSAQLYAGDDMAQDSTPTEKASFSLLKEKLKLTSKTIRRKQKVGDFA -SFCGWRITPLGVVKDPLKLLAGLELAERLGKVQDTALAYAFDAHHAYKHGDRLHEIFTEKEADYHQALVR -KLHLHHQADALIRGPT ->AGC67029.1 replicase [Shallot virus X] -MTAVQKLFDQISDPNTKAGYSNACFEAAQAASKKATAIAPFSVTNPEALTLERLGITTSPFATTSHTHAA -DKIIENDCLTIIGHYLPKREAVTLIQLKRSKIHLLGRQPSQDNFQNYCHEPKDVLRYGITHPNSCPVVNT -EYAVLADTLHFMSPRQLYHLFSRNPKLERLFATLVLPIEAQHRLPSLFPDVYRLEYYNDHFAYMPGGHGG -GAYVHSYGTLKWLDTAQVGPVDYTKSSITNPWPITDYLSIEKIETKAAHHIMFIQRRRAQVDWPLPPIWV -YHASEYVKLPLIFYPPEANVQKTYPHTLIKRMQLYCFSVKAVSLRDIFAKLRQVIETQELVRYSMADLIR -LANYFLFITGMNQVSDYESPLLENLFGKMCASIRMRLRTFFQNLLGKTSYAALLTVTDVIPVHFTTQPKR -REAVGELWFQEPKSESKHDDPTPKRTSPSPDDLDLLAWFHQLESSGSMSEPCNNSESTPQPHGNQPTEGG -QVNDLAETQQADGPNDDEPTIPPADVDDCADDASTFSDEEDDCDSMPALEEISDLDLDDVDDLPNEASNE -PPSANEQTPDNRVETTTRGVFSCECGTEITVNSFGRAIEVAGVNLTDHMKGRLAAFYSRDGQGYSYTGYS -HKSQGWLDGLDKLIKACGEETTTYNQCLVQKYEQGSRIGFHSDDEAIYPKGNKILTVNAAGSGTFGIKCA -KGETTLNLEDGDYFLMPSGFQETHKHNVVAVTPRLSFTFRSTVVNSQRKPAEPEKLNQNNACPKPSDPSN -TSGKQHKKTRPAKSNEKSSSPNLEPLDAPTVEILKLHGFTALTPQHDGTCQIRPVYFNKDIHLRRKAVKT -DMSPPARPFFDLATSLHRGIYTHKIDNRRATAYMSDVKNNLTGLVLPKLDRDLLSSWVALAETTTREVAV -LAIHGAGGAGKSRALQELLRSSPELADSINIVVPTINLANDWKAKLPQMDPRRVMTFEKACERECKSVTI -FDDYGKLPAGFVDAYLAIKVNVELAILTGDQRQSTHHQERESQISSLQSNIAQFSKYADYYLNATHRQPR -RLANPIKVHAERQLGGAVLKANIVPDLAMVLVPAFRSQSLLTDLGRHAMTYAGCQGLTLNHLTIILDKDT -PLCSDEVLYTAFSRASESITFVNTHSDNPAFLAKLDATPYLKTLISWVREDEQAGADCPATEPHVEDVPT -KTHIPVANDKVQLEGKIEAMEDKDTRELWSGEEKTNLMQTQDPVVQLFPHQQAKDEALFKITIGERIRMA -TPEQNIKQLRHTLNAGDLLFEAYAQFMKVPKETQPFDKRLWTHCRQLALRTYLSKPTSNLQQGATRQDPD -FPDNAIALFNKSQWVKKLEKVGARFKAGQTISAFKQEVVLLTTTMALYLRKKREQHQPDNVFIMCERTPE -QFNAFVMTKWDFDRPNYTSDYTQYDQSQDAAFLNFEIRKARHLGVPEDVLSFYKFIKTHAKTFLGNLAIM -RLSGEGPTFDANTECNIAYDALRFRLGDDVRACYAGDDLVRDKACEERAGWVYSESLFSLKAKPLVTNKP -DFCGWRLTRHGIVKSPIQLYQSLQLALRLGKIDEVKRSYAIDYLFAYRLGDKIYDIFDEDELEKHQLVTR -TLIKKGMQPPESGNHLPIFHITSDRLIRDPDAVKVQSYECDRILLKQPHIIDDYIPAGTQPRNTEHPASA -DRRDMTSACNLSAEKLAFGGNTINHLFRTSWEGHSPPSN ->prf||2012194A 187K protein -MSRLKNVLEQIRDPSVITAINESAYEAIRPVLKEALVNCPYALTKEEGDELEGMGISINPYASQVHTHAA -AKAIENRMLEVVGHHLPKEPVTLMSLKRSKLRFLRRGPQMNDHFVNPIVEAKDLTRYENADRAESYYYCS -TSVAYLSDSLHFLSLEELAHIFTSSNKLETLLATVVLPVEACQKRPSLYPTVYTINYSDDGFCYLPGNHA -GGAYYHNYSTLQWLKVDSIECVDKLAYAEPFTLTFQLIESLGANHLFIISKKNLITPKIRSFKKDSHVLL -PQIFHPKQRNANKPIKKTQAMQLYLYCKSVKEATMRDIFAKIRQIVPTQELDLYDPDELTHIANYFYVVS -HLSCVNDHQSLLKAGFFQKLFIPIKNYLRELSETVNGKTEFSQVLAALSWTTLLTTWSLRRCLKYANCRS -VAIARHLGTTAFHQHKRNRQRLHGVELVGPEDETALVVRENQTVELNSDNQSVSSMASTELAEVRSVAST -LTFPTSTSATSLVLDDQLWAELLLRKAGQKRVVNVNNLGVPFERDRKKHAAQWVPTGMENLMNEVANCLQ -NPINLGFCQELPANYSSSWHTYQNLLITSDLVCVISDAPAMLELKTRKKKKQTVMPIFPGQCNLISGLQI -RDCRFRFVSNAACRIVELMFVESDVPRKNRSWEVTTTESDSDAQSDQPKQEPIDEVDFLNGILGNNVNSG -PTLSEPNATEDTVNQSDTQRKMVAASQIAVEVTPNPSFRRHTVIAENAGDVKSPASLAVTDSTTLPWAAW -LDVLKQHGFRGLDLQKDPYDKIIYPVDKVQILPHAEWDTVPDLNLRVALQQLKRFPIKIKVNHQRAAAYG -SDIKNKRTGKLLLDQPKQWRESFAYKMINRDLERVVVVIHGAGGCGKSRFLQEWLNNHEDMNSVCTIVCP -TIELRNDWSKKIPKLEPTNIKTFEKAMLQNAKKIVIFDDYSKLPAGFIEAYMIHHNSVDLFILTGDTKQS -EHHERNREAYISTLEPATEVYSQHCEYYLNCTHRNVKALANKLGVYSEVEGDLIVHVKKVPLKGKRLPML -VPSNDKSDALVDLGNVSMTYAGCQGLTCQMIQVLIDNHTQFCSEQVLYTCLSRAVNEIAFINTGPNSEDF -WSKLDSTPYLKAFIDNYRDEKTERFLSTPAPAEPQEPELPKTHLPVAPNHLLENHISNLREKHDREIFNK -NFGYSNAIQGAGVTELFQHQQAKDESLLWATIEARLAISSPENNWKEFVLKKDIGDILFFNYHALMELPD -ESPPFEPRLWAVCKAEVTNTYMAKPMANLINAAQRQSPDFHPEKIALFLKSQWVKKVEKLGCIKVKPGQT -IAAFMQETVMVYGTMARYLRKKRQEFQPRRVFINCEKTPEDFGAFIKDNWNFDRKAHTNDFTAFDQSQDG -AMLQFEVAKCRFFNIPEDVIEGYIHIKLNAHIFLGTLSIMRLSGEGPTFDANTECSIAYTATRFHIPKDS -THVYAGDDMAVDCDLVEKKSFAKLAKELKLTSKTIKPNQIKGDWAEFCSWMITPKGIVKNPVKLNASLEL -AAALKKSNEVARSYALECKFAFDLRDDVYSIFTEQEMEHHWSCVRQLLFLKQSEVLNTHKDMLTLKPSFD -DPPQEARLLKEGRPRKGRRESLRFSHPTHSRRLLSYL ->AAP51012.1 186K protein [Narcissus mosaic virus strain New Zealand] -MAKVRAALERIRDPSVQTALSEAAYTHVRPVLKESLVNCPYALSNDEADCLESFGITVNPYANQTHTHAA -CKVIENRMLEIVGMHLPKHSCTMLFLKRSKLRYMRRAAALKDVFLNKDVEPKDLFRYDRDTIRSRLQDID -TKIAYMSDTLHFMSRREIVQLFEDSPKLETLLATVVLPVEALHKRTSLYPSLYSINYSAKGFEYIPGNHG -GGSYFHPYTTLEWLKVRMINAEDFHKLSTGFILTFQLVESLGANHLFIVQKAKLLTPQMRTFCRDSLVTL -PQVFCPAAMNANRPLSKTKAMQMLLYCKSVKQVTERDIYAKIRQIIPTSELELYDPDEIVHLANYFFFVS -NLDSTTCYEDLLSSDIWMRLTRPLRTAVRKFVEFFKGKQDFDKLLIALKWQPFSYSLEPVDFTAYFVSRR -VRTLARMEDISWHQAAELARRLESEPDLLSWEDLCSKPIADPLASVSTPPLATLTSPAVSESRVTDTSST -KLTNISVESILHPTISNHTTIAESLNPKFCGWTRGDFHHMIVNQPSDRLKGCRSWFYTTSPDVDLMLENL -LLTPIPWESRLSDILLTLNTPANACCIQILDCSAASAWVDWSVRPIKIFPVAFVGMGETTLTFEDDSTLL -LKEGEFVVFPPEWLARHKYQIKTASNLHLCATFLVLDTTLLGETLANNCIEPEPLRPTTSRKSCQPTPSV -DKSGADSLPEKQIVTPIVDAAVLSCRPKLQDVSKPTKVLMAAETSDSVADSLPWASWVNLLQKHGFKGNQ -QQIAQDGQLIIPISDIRKLPHIPFPEEVPETLRETLKNIKRFPVEITMQHKRAGSYASDIKNNRTGKLLP -QMDNKWKAAFAYKLQQEDRKVCGTIIHGCGGSGKSFAIQEWMRSLKEDQSVVTVVTPTVLLRNDWQTKLP -ILPADVFKTFEKSVIQPCNPILVFDDYTKLPPGLIESVVMHHQNVVFIILTGDNRQSVYHETNSEAYIAA -LPEATEIFSPYCEFYLNATHRNVKDLANKLGVYSEREGKLKVNFASHHLKASRIPMLVPSTMKRNAMFDM -GHHSMTYAGCQGLTAPKIQILLDNHTQFCSERVLYTCLSRAVDTIHFINTGPTTGDYWAKLESTPYLKAF -IDTYRDEKTEVYNSQPASAEPTEPEAPATHFPTAPKPLLEPLVEKLTDKEAREIFSPAFGYSNAIQTEDS -VVQLFQHQQAKDETLYWATIDTRLAISTPEANLREFNMKRDIGDILFMNYSKLMCLPPEPVPFEERLWKI -SADEVRNTYISKPIGNLVNAAARQSPDFPKNKIALFLKSQWVKKTEKLGTLKVKPGQTIASFMQETVMLY -GTMARYLRKMRRRFQPDNIFINCETTPEDLDKFIKSQWDFSRPAHTNDFTAFDQSQDGAMLQFEVIKAKF -FNIPAEIIEGYIYIKLNAAIFLGTLGIMRLSGEGPTFDANTECSIAYNATRFHITDDTAQVYAGDDMALD -RVSIEKDSFNRLEKQLKLTSKPMFPKQVKGDYAEFCGWVLTPAGIIKHSLKMHASIQLQKKINNIKESAR -SYALDLRYAYKLGDELQEHLNEVEADYHQQSVRDMHLLHQQDVLNKGNASPPHIFEKTADANTAGSSKTH -KRNALKKKKQTRIAEILPSPDATGLSSLPFRFF ->APG77761.1 hypothetical protein [Hubei alphaflexi-like virus] -MTVAMQLLMYAKSIKEVTLRDLYAKLRQLIHTRELDNYKPDEITHIANYFLYVASLSGVNSYTEMIGASL -YTQLTAPVRYAISAMYEKLFGARDFAKLMDALEWKTFTYSIETVEYKATSRLFRQSNYSTWPQDERDSTD -ESVSDAHPPSTSRPPQAPVADGDQDHQPSTSAMASHSTIHADLPWSDWLDILALQNFKGTEKQYDPIGNL -IYPIKDVRHLPIHEVEYLSNLNIPLNRLARKPTLCTLSQDRAHAYGSDIKNSRIGCLLPKQPHDWKAAFA -GKCDTAEVTLPITVIHGAGGSGKSRAIQQWLNSQGVGYSDAVIICPTTELRADWESKVPRCPRQIFKTFE -KALVQASAPIVIMDDYTKLPAGYIEAYCAYFTNIELLILTGDPMQSSYHEENFQAATAQLPPAAEIFTPY -CRYYLNATHRNVKTLANALGVYSEVDKPLQVTCTSSFQEGWPVLAPSMIKKAALADLGRKAHTYAGCQGL -TTSKVQILLDTNTPLCSNQVMYTALSRAVDTIHFINTGPTSDDFWSKLNCTPYLKTFLDTTRRQSVKEDL -DVDLFPEEVPAPTTHFPVENNVCHLEDFIEALPEKYARELFSNNSGHSNTIQTEDAVVQLFQHQQAKDET -LLWATIEQRLAISTVEDNLKEMLLKKDIGDILFLNYCKAMCLPTSPIPFEPQLWESSRCEVERTYLSKPT -AALINGMPRQSPDFDVNAISLFLKSQWVKKVEKLGAIKVKPGQTIASFMQETVMTFGTMARYMRRIRQCY -QPRRIYINCETDPDHFHTWVMENWDFRGEAHSNDFTAFDQSQDGAMLQFEILKAKHHNIPQEIIDAYIMI -KTHAKIFLGTLAIMRLSGEGPTFDANTECSIAYHYTRFHISPNTALVFAGDDMAQDTLPVEKPSFALIQD -KLKLVSKTVRHKQKPGDFATFCGWCITPIGPIKDPLKLYSSLQLAKRIGKEKEVAESYAIDASYAYRKGD -GLHNVLTEQQGRWHSSLIRELHHMGGWDIPRLLSSN ->AEM23890.1 replicase protein [Nerine virus X] -MSKVRAALERLNDCSLKAVLQNEAYKEIRPTLREATLINPFAIDDAAADSLESLGIITNPYAVKLHTHGA -VKAIENQMLSIVGHNLPKRPVTYMFLKKSKLRYLRRDPRISDVFQNYEIEPRDVARYPPETVLKRFTVVN -TDVAYISDTLHFLRPTFLTALFERSHNLQTLYATMVLPPEALHKLPSLEPNLYQINYNFDGFQYIPGRHG -GGAYHHEFKDLQWLRVGKIKGPTTTITCQLLESMGANHLFVFRRGDLLTPRVRTFRCDEHVTLPQIFHPT -NRNASRPIKHTLAAQLLLYCESIADLKLKDVFAKVRQLVKTNDLPQLQPTEIVHIANYFYFVGHLGAVNT -YEDILSMSLFRKVLQPIKTRIIQIWEKLFGERKFEQLMKALNWQTFTLSVQVTCGRSYMYGWDNANIRPD -TLPDFVEDEHTPETETTERPPNPVIAPAVTGFACSPNPSSSSPPPSTHPSTSKSGYKVMYRPKVKNTPTL -TQHKSQVSNQDAATHSGNNTPEQAGQNSSTSTSANAGPAQIQATHKADKGKATLKEDTPSSSSSGLEAHI -IEDYMDTYSRNDAPPLTAEVDPFLRVNEPEPVTNSEKPDQQTESSKATCTLETDEPLLNFMSPPDWHIEA -LNRNVPHHEDDAAHTTSTPERAPEQETTEDLQVSPNNDGKPEEPLPWQPWLNILKSVGFKGNETQRDKLD -QIIMPISDVRLLTRQEFVPGLPHKLFSLLKHIQRLPVQSKISSRRAKCYASDVKNGRTGLLTRHQSDEWK -ETFSTLTEMEAPSIWVSVIHGAGGSGKSQALQEYMRSLKSDQKEITIILPTNELRIDWEGKVPHMNTYAF -KTFERALIEPVEDICVFDDYGKLPPGYIEAFCMLHVNITCLILTGDSRQSVYHETNAQALIAHLPHAIMQ -FEPLCRYYLNATHRNPRNLANALGIYSEAPGRTTITMSSRPMTGWPILSPSCAKKICFGEMGHVAHTYAG -CQGLTAPKIQMVLDNNTPLCTTEVMYTALSRAVHNIHFINTGANSKEYWTKLDATPYLKTFLETVREKVV -TEDEPPEPKVREEPVRTHVAPDNENLILEELIXELPEKHARELYDNHHGHXNAIQTEDPVIQLFQHQQAK -DETLLWATIEARIKISSPEANLAEFILKRDXGDILFENYAIAMNVPKEPLPFETTLWQACREEVEKTYLA -KPVSALINGSLRQSPDFHPDAISLFLKSQWMKKVEKLGCLHVKPGQTIASFMQETVMVYGTMSRYMRRIR -QQHQPAEIFINCEKNPEDMTQFIKQGWNFQRQAYSNDFTAFDQSQDGAMLQFEVIKAKHYGVPADIIEGY -LHIKMNAKIFLGTLAIMRLSGEGPTFDANTECSIAYHHTRFHVPSNTRRFFAGDDSAQDCPAVEKSSFQA -ISGRMSLTSKPVSYAQKPGKFAEFCGWSITPLGAIKDPLKLYASLELACRTDNKENVAQSYALDAKHAYQ -LGDALHDILTPEQFVMHQKTIRMLHELKVGNLLK diff --git a/seq/clusters_seq/cluster_520 b/seq/clusters_seq/cluster_520 deleted file mode 100644 index 456e86b..0000000 --- a/seq/clusters_seq/cluster_520 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_010086220.1 nonstructural protein [Karimabad virus] -MSTKYMYDKLHVIEEKGQQLKAVYLAHNRFSTHDIAVYDDMEFELVKYKLSRDFRSCLHEFYSVGELPHR -WGPTMWYSRVTSEELLCFTDLIKELLALEPQDIKGGKFPNVKEALSWPTGHPTLAFLKLVSPSHFRSLNH -QKSRAATMILRAGGKSPSLEESIVSLHKKITMEAVIRGFQPNQFPGRNMIKEVASLQCVRLMSGSCFDTV -HNLYPSKLLDTLAKYRSIYSKITNKLLGNLKWIPVQETYFDEPEASIDFDSDDE - ->YP_010086204.1 nucleocapsid [Ntepes virus] -MKMTTRFLYDRLYVMQLEGQKLQAVFLAHNNFVPNDVSSYDHMEVVLSKYRQSFDYRESLSDFYSQGELP -FRWGSVMWCSRVTGEEYLSFMALMMDLVKIDSSELKGNEHPNIREALSWPTGSPTMGFIKLNCLGSTWSL -NYQKSRVATLLLRAGGSKDGLEEAIVKTHKKILMESSIRGFDPKHFPGLDLIREVACLQCVRLMNASCFD -SVHTAYPSRLLDVLSMHRSTYTMISSKLLGNRKWTPVQDTHFDEPEATYDFSSDSE - ->YP_010086130.1 nonstructural protein [Ixcanal virus] -MTSYLFDTPVIVRPNGYFDREVYVTYMAHNKYCDHPVSVHNCMEIPVKNFGVSLLHKESLSDFYILGLLP -FRWGDKMGNSRVTRDSFPFMRDLLNDINDMHDSEFFISFLPNVKKALSWPLGYPTLDFIRMTRSELDIPH -FREISSNATLIMQMGQPAIDLDQGFVNAHKRIVMESVTRGFGHKDFPGKNMIFEVASLQCVRLLNAVPAD -LLYCQVTNSLTSTVMKHRSMLEDHPQHPLGNQKWTPDPDSWLLDDQAATLHEDFALILSESDDDED - ->YP_010086127.1 nonstructural protein [Durania virus] -MTSYLFDTPVIVRPNGYFDREVYVTYMAHNKYCEHTVSVHNCMEIPVRNFGVSMLHKESFSDFYILGLFP -FRWGDKMGNSRVTRESFPFMKELLADICKMHDSEFFRSFLPNIKKALSWPLGYPSLDFIRMTDPDNSMPH -FREISNNATLIMQMGQPAIDLDQGFVNAHKRIVMESITRGFGHKDFPGKNLIFEVASLQCVRMLNAVPAD -LLYCQITNSLTSTLMKHRAEMESHPQGPLGNQKWIPDPDSWLLDDQSSTLHEEFNLIISDSEDEDE - ->YP_010086090.1 nonstructural protein [Gabek Forest virus] -MTTRFLYDRLYIMQSEGQKLQAVFLAHNNFVGDDVSSYDHMEVVLSKYKQSFDYRESLSDFYSRGELPFR -WGSIMWCSRVTGEEYLSFMALMMDLLKLDSSELKGDEHPNIREALSWPTGSPTLGFIKLNCRSSDWSINF -QKSRTATLLLRAGGSDEGLEEAIVKTHKKIIMESSIRGFDPKFFPGLDLIREVACLQCVRLMNASCFDTV -HTAYPSRLLDLLAMHRSTYTMISSKLLGNRKWTPVQGTHFDEPDATYSFSSDSE - ->YP_009346031.1 nonstructural protein [Ambe virus] -MNKFYLYDKMSIIRPGGLYCSLPRVTFEAFNAYQENPISRYSDIEFPLPAGFRVSSKTRATLSDYYMNRE -FPMHWGCSQQSYALAPYITVFDEMIECLSHFKEHEISAECHPNLHEALSWPTGGPNLDYMRFYSNKPDCM -YERKCREATLILRASRPNWWFAESLYNSHKNAFHESVVRGLDPRFFNGSDVIKEICIVQCVRLLNAVLFD -GIYSRVPNKFYMAVEYFRNKLEHLGPAILGNRKWIPHVNTRFDLLNKCIDEEFCTDSVYESDLDLAKEFE -DILKDVTEL - ->YP_009666334.1 nonstructural protein [Mukawa virus] -MSSERVLYFSTLNWRCAVRKKKNCKMGRSHISAVHDASMSLCDADHFFMYNIYPNFGFSLKVEQRTVVGC -ENTVYKFLDHGVMPARVCAWESSGSCVIPRRSWLDCGISWQFCNWTIENLMNNGEVEVLRALSWPTGRPT -LQFIREFCRSKSFTTSGFRSVRERVAKLIFKAAKSEEGESLGEAITKCWRKVRSEAMRLGVPEFDVPGVD -LIRDISLIQIERAYMRINKCGSKWDNLPATGWDEPGCSMYEGVIPFFNLSQKLSMKCASKVRNEPESRVW -MRRKLKGKKVESKSQLIDLQEGITNIWMLDISDHFNMFPDLINRVLDKSFGKDWPALGAP - ->YP_004414704.1 nonstructural protein [Aguacate virus] -MTSYLFDTPVIVRPNGYFDREVYVTYMAHNKYCEHPVSVHNCMEIPVKNFGVSLLHKESLSDFYILGLLP -FRWGDRMGNSRVTRESFPFMKDLLKDINDMHDSEFFRSFLPNVKKALSWPLGYPTLEFIRMTRSDLDLPH -FKEISANATLIMQMGQPALDLDQGFVNAHKRIVMESITRGFGHKDFPGKNIIFEVASLQCVRLLNAVPAD -LLYCQVPNSLTSTVMKHRSILEDHPQQPLGNQKWTPDPDSWLLDDQAATLHEEFALILSESEDDED - diff --git a/seq/clusters_seq/cluster_521 b/seq/clusters_seq/cluster_521 deleted file mode 100644 index 7af8c05..0000000 --- a/seq/clusters_seq/cluster_521 +++ /dev/null @@ -1,64 +0,0 @@ ->YP_010086032.1 VP6 [Rotavirus J] -MDLIETVNAVVSLQKRILKLSPTTQIDQNGQTTVNDYNNIASRTNGTTYQLLDPIAQRSPYEIRAPIIPL -TVVLSTDDFEAMSSGIESILEALAAAIRSEGSRRNRAIVQKAQDPEVARLITTIGTRSQYSENQFANLQV -IDTARMEQTLLPSSAVFRDQRIRVLNVNGVAGNTGGGYISAIGRVSGRRLTCTTMLGRPGNVVFDVRFRA -PTAGTSSVTFLPAPGFIQLPRTLLAAGGIPTVAQCCDVSDDMNPDDLRIEYLLGNQIVHATRGTTTNSFP -QCDGLRITVTPWDNNKNQNNNAAFNNWQNNGAQMQPTVSFMLTVEDSVSLVDYDIYSSESAPASFLMGSI -FNRDSFLATPQVDWSFNALLAHTANGESHWARKIATMIAAYSVKV - ->YP_009130678.1 VP6 [Rotavirus I] -MDLVECINGIITLKERVRKLAPNAPLTQEGLATLNDYNAVASSSNGTTVIVRDVLGQLSPFTINAPLTPI -TNVLSTDVFDDIKSGLESMLEALAGAARSEGSRRTKAIITKAQDPEVKKLIGILSIKSQLSNNVYANTME -FDTARAENPIVEIDNPFFNENIQHSNVQGVPNAHGGGYISVVGRASGNQMTATCIAGRDDAVEWDIGLRV -PTSGSMAINFLPTPGRILLPRGNRGPNDIPTIADCVDVSADADGDDITVQFMLNNQIVFTREAPGQFSFP -MCDSIHFIIRPWTVNKNNNPNALFVNWDQGGAQAQPTLSLLVSITNASAVLDAMVSNTDAAKPNYLMNTI -FTQDSFVNTPNINWTFLSLLNDQDPQTAPWSRKIASLIAAFAAQN - ->YP_008136240.1 VP6 [Rotavirus G chicken/03V0567/DEU/2003] -MDLIETVNAVVDLQKRIYELSSNTNLSSKGQQVVNDYNALASRVNGKTYALHDQTAVLTPYIINMNLISI -STRISTDDYEAMKEGMNGLLDVIAAAIRTECSRRVRAIEQRVLEPVVKMLIEDIRLRAQVSKIAIANMSA -YDTAKLEPQIVNIENPLRPGIVDDVLQRPNFTQRGGGIRYTTGRWSGNKGIVTCVSGTDGVHTIEIQLRT -TLTGILNLLYVPAPGKIVQSRGRAQGVPVTLRCSDVSPDMARGDIVIEFLRNGAVVDAEGGSGTFQFTQC -DTIRIRVEPWSIVKNLNPTPDFANWNQNQANSQPTVLIMFEIRQAYTQLENDEMSADAPKVQYYLDTIFT -QDSFVRHPNVLWRTQDLANAQNNTAWARKVVTSVAAFAAKI - ->YP_008126846.1 inner capsid protein [Human rotavirus B] -MDLIETVNACVKLQKRVLGLAPNTNLNTAGQSVLNDYNALASRVNGKTYALLNQIAVYTPYTINAPIISL -AVRISTDDYDDMRSGIESILDVLAAAIRTEGSRPTRVIERRVLEPNVKQLIDDLRLKSLISDLSIANLAA -VDTAMIQPEVIETENPLYADIIEQVVHRPNIGMTGGNIRATLGRWSGNKGVVTCMSGMDSEHRFTVELKT -RTCGIINIVYIPTSGTILIPMPTGRNREGDLIDVSAEMMADDFAIDFMDDDNIIQTETGVGVYSFPMCNR -IRFRINPWNNQKDDDNLGTVHMVNWAQGTAPKQPAISFMFETRRTFTEGDYQHLSRCAPKAQYMMDTQFN -DVSFTNRPAVDWNIQSLLTSNTQRVWCQKIAMLIASFAAKI - ->YP_392495.1 VP6 [Adult diarrheal rotavirus strain J19] -MDLIETINAVVELQHRVRNLSPNTNIYQDGQTTINDYNAIASRCNGKVYNLRDQIAQLSPFQVHMPVIPI -STILSTDDHETMSAGIESLFDVLAAAIRTEGSRQNRAVVTKSIEPEVLKAVLDIGIRSQFSENPYANMLQ -VDTAKMEQQLEPIDDPLASQRINMLAAGGATNNTGGGYHALVGRATGKTGIITIIQGRPGAVTFNLNMKV -PCSGVLSLTLLPAPGVIQLSLGNQPPNNIPVHAECVDVSTVFTEGDIVIRFELAGRVVGNANKLGTLNFP -LCDRISITIEPWNANKQNNANANFNNWPAGTAQRQPTISLFVNIINASSLIDYETHSKYLAGATYLMGTT -FSEDSFIASPPNVVWTMSSLLSGAPPQYIHWTRKIACMIAAFSCKI - ->sp|Q01754.1|VP6_ROTGI RecName: Full=Intermediate capsid protein VP6 -MDLIETVNACVGLQKRVLKLAPNTNLNTAGQSVLNDYNALASRVNGRTYALLDQTAVYTPYTVNAPIISL -AVRISTDDYDDMRSGIDSILDILAAAIRTEGSRPTRVIERRVIEPNVKQLVEDLKLKSLTSEISIANMAA -VDTALIQPEIIETENPLFADIIEQVIHRPNASMTGGNIRATLGRWSGNKGIVTCMSGMDSEHRFTVDFKT -RTCGIINVVYAPTAGVIMIPMPTGRNREGHLIDVSAEMMAENFAIDFMDDDDIIQTETGVGVFSFPMCNR -IRFRINPWDMQKHNDNLWTVNLANWPQGTSPRQPAISFLFETRRTFTEGDYQHLSRCAPKVQYMMDTIFP -ETAFTNRPVVDWNVQSLLTSSSQKTWCQKIAMLIAAYAAKI - ->sp|Q0H8C4.1|VP6_ROTB2 RecName: Full=Intermediate capsid protein VP6 -MDLIETINAVVELQHRVRNLSPNTNIYQDGQTTINDYNAIASRCNGKVYNLRDQIAQLSPFQVHMPVIPI -STILSTDDHETMSAGIESLFDVLAAAIRTEGSRQNRAVVTKSIEPEVLKAVLDIGIRSQFSENPYANMLQ -VDTAKMEQQLEPIDDPLASQKINMLAAGGATNNTGGGYHALVGRATGKTGVVTIIQGRPGAVTFNLNMKV -PCSGVLSLTLLPAPGVIQLSLGNQPPNNIPVHAECVDVSTVFAEGDIVIRFELAGRVVGNANKLGTLNFP -LCDRISITIEPWNANKQNNANANFNNWPAGTAQRQPTVSLFVNIINASSLIDYETHSKYLAGATYLMGTT -FSEDSFIASPPNVVWTMSSLLSGAPPQYIHWTRKIACMIAAFSCKI - ->sp|P26015.1|VP6_ROTGA RecName: Full=Intermediate capsid protein VP6 -MDLIETVNACVKLQKRVLGLAPNTNLNTAEQSVLNDYNALPSRVNGKTYALLNQIAVYTPYTINAPIISL -AVRISTDDYDDMRSGIESILDVLAAAIRTEGSRPTRVIERRVLEQNVKQLVDDLRLKSLISDLSIANLAA -VDTAMIQPEVIETENPLYADIIEQIVHRPNIGMNGGNIRATLGRWSGNKGVVTCMSGMDSEHRFTVELKT -RTCGIINIVYIPTAGTILIPMPAGRNREGDLIDVSAEMMADDFAIDFMDDDKIIQTETGVGVYSFPMCNR -IRFRINPWNTQKDDDNLGTVHMINWAQGTAPKQPAISFMFETRRTFTEGNYQHLSRCAPKAQYMMDTQFN -DVSFTNRPAVDWNIQSLLTSNTQRVWCQKIAMLIAAFAAKI - diff --git a/seq/clusters_seq/cluster_522 b/seq/clusters_seq/cluster_522 deleted file mode 100644 index 22939aa..0000000 --- a/seq/clusters_seq/cluster_522 +++ /dev/null @@ -1,131 +0,0 @@ ->YP_010086026.1 VP2 [Rotavirus J] -MESITLFTAKLDEVKPLKEKADIQQLYDEIVQKWDEIEIVDLNEDDYKELLRLVKSLESVFKVKGINVNT -LRNETQQKQKQWDEKIKKENESEKEAQNTDNDRNEEETQNSNSDNTPDLMDKILKYSAQGNTNPFTNDVL -QIRSILSKTLFVDTDNESYSVYVPEASTYKVSPIEITFKTIDTFDPKTKITRKQTIILSNNNQVADALGP -ADVLYTSSFFDDMTSTSIKELDLYFLDKALGLKKELPNLNYISKLDKEVNPMNVANTVTTSFNQKEYYDM -VMDRQDRSLDARRQAIEFDNVVVDAQRRTVRFPVRLHPFDNQLIDIAANYAVETQDLANAMREYAMLGAD -GYVITPKVRVDRDQRLIYNRRSQVLNRLCELSGLVYRTRILHSMRLMTPLWRTNVFQTSLEDEITRIYSA -AEVSMVAIDATVSALSSINIGVAKQTLDALLNMSFFRCELELVGSQSSFGAALSAAIALLVLPTDQDHMD -EEVFDILCNLVYNELIAWPSDLPSFVRRNGATNAFRQYVNAGVNREIAAYMRHVLLRRPWLPLIQSNDIR -RQCHVLVPNIDLANVNDPTYVALNGLLNGIINASRRNPNPGRSINANSFRKLLKNLRDICVNKLMPAVRL -LRYNVERVARIFQFLPYSADLFDANPNMRDERLRIKFPISGVLSLLMGITKAPDAFDWAALLNFADDIRK -LDYAEAEATEDAATIAVLKNDINRSVSRKDVWNAEVKPPSPTIASVAKIPSASLSAILSDRQLINLVRNT -HSFRMITEIVNALRAAFENSPTSQHGIGKGALLNPVPTPFGRSSQYVRRDNVIFQRPPNVQMFTIQQLMQ -GQHFAGLVAQIRARRPIFIQGPVQLRVANARDVEQVTMAYLTMNSPYDAFINPIDLRQQRMIEPREVDLF -IDDELNRIEDDFDNVMAQTSVYVLDAQRLLVPIQAQLRNFDYHDIMITDSVTKHLTMTVAQPPDLQLFNG -LLVFEQ - ->YP_009130675.1 VP2 [Rotavirus I] -MSLIGTLEKYLSALEEIKTKGGLQKIHDDLLHELDMFIDDIDIKEEKETKILFEKISRLLKKKGIKTTPI -ENEFNSKKFTEKNGTAETDNMSKRTEETMTEKENGNANTTQHNVKSDGEKRHDDNSNINSYIQNVINIRD -ILSKTLFVDTDADDYAIYLPSEVPESIKPIQIDVIPINMFETFANMRKINTINISNNDLVSDTYGPAEVL -YDSIFFSDMDLEKYGNIEKYFLYKASNVSRKLPNVNYMTECTKIPNPYNNDNTITTMFGQGTYYDMLMDR -TDKSLTGRRTDAQYDDISVDAINRQISISLRIHPVDDQLLNIAINNCIQTQPLQPVLNEYIMIAADGYVA -SPKKRYDRNTLTISNVFSPVFNRLCVLSGTTYRARTLQSMTFMSRLWKTNVFKTSLEDDIAKMYAGAEIS -MTTIDGTTASLATINISAAEQALIGILNASFFRFDFNLTGPQNSLGAAISALIALIVLPIDQDTMDNDTY -DMLCNTVFNEMMANAMNLPAFVRRAGDENAFRRYVNGPIPREASAFIRFILLRRGWLLFQRSDDRTIHCD -ILVPNCDTQNVNDQPYVLLSDFFAAILESSRRNPNPGKNTSANSFRRLIRGLRDIVCNKIMPAMRLIRYN -VERIARIQSMLPYSADLALVNPSLRDERLRANIPLSGFLSLLMGISKAPDAFDWTTVLTFCDSMRKLNYA -ETISVEEALTVAISSHDIDKSVSKKDIIKDVLHPPTSAVAAITKVPSASLSALLSDTVLINLVKNSKPFR -KITDIVKVLKAAFQHSPTASYGVVKGALLMSSPQNFRRSSQYVKRDNVIHNVVDGIQKFKAEDLVKGNHF -PGLINSIKNGDDIYIEGPLPVRTSHSSEVATASFAFLTMNSPYDAFIDPTDLQHQRLIKIRAVDHFSDSS -IDMIPSKFDNLLAKTSVFVHDAQSLMVQSKSTVRRFNYHESLLHIDIANLINFSVALPTELQLFDGTLVY -DV - ->YP_008136231.1 VP2 [Rotavirus G chicken/03V0567/DEU/2003] -MDPEGLISDAIKAIDEAKNDKKKIIVIAKQLVNDIKDTVEDLDIDLLKKLKVQFSAKRIATKEIDVLIKN -IEDKKKESKNVSDKKEESGKNDDNQNQEKGKDNESGKKEKINNSKGDEGGLRDNVLGNSDPDSKLTKDIL -TIRQINSKILFVDTENDTYSVYIPEQTTTLKPIEIEFKPIQDYKPIADLRMEKMIFLSNRDQVSDQEGPE -EVLYTSDFFKDIKNEDIKYFRNYFLEKAMVLRKQMPNVNYMSGLNKETNPLNIQNTICTSFDQMKYYNIV -VDRTNRAFDNRRRDVEFDNVTIDGVNRRATVSLRLHPVDDQILAAVDMNTYETQNLADVMTRYQMIAADG -YAVAPKIRVDRDHTVIADVRSRVLARICVLSPYVYRSRILNSVSMVNRLWRTNVFSESIENAKDAIYRSA -EIQFTVADATTSALSTINVASAQQTLSAILNMTIFRMDIELTGNQSSFGAAISAAIALIILPTDDGMMSG -MVFDDLCNLVFNELIAWATERPTFVKRTGATNAFEAHVNLGGGNLNRDIINYMRYVLLRRPWAIFQRTQT -VDYQTDIMLPNIDPVNVNDQAYMAMNSLLSGISAAAQRNPNPGRQIAANSFRKLIKSMKDMCSNNIMPLI -RLIQFNVERVARIMEFLPYSADIGQLNQNMRDERLRVKIPVSGFLSIIMGINKAPDNFDWGAMLAFADEV -RRVNYAEREAIENVTTYVVLKNDGNRSVSKKDTFLEKVETPTETIKAITKIPSASLTTILSDRLLVNEIR -NTRTYALVNRLIDILRTAFDNVPTSHHGIGKGALLLPYPRRFGRSSVYVRKDNIIFNRPQGVNRYNVDDL -LHGRFYQGLMGQVQNMQPVFIDGPMKLRTSDPTAIESITSAYLTMSAPYDAYIHPMDLKHNKVIEPREVD -FFVDDKPTKPHEQFEEMMSKTSVFILDAQRLIVQSGATNYNFDYHDMLITDKVVDKLQFSTVLPPDVTLF -NGVLVYEAKPS - ->YP_008126847.1 inner capsid protein [Human rotavirus B] -MDSTALVESAKTNIHGVDSKTEKQTIFDQLISDIKSQNDGQIPDEVLPDLLQLAEINGLTFEYKPKEKLS -IMDRPDPTSVLSQDVFQIRTILSKTLFVDVENEDYSVYIPNETTKLTPVSIDARPIQTYHPKALMYKDTA -ILPSHRDEISDQYGTDEILFDSHMFNDISQAQIRDFDTYILDKSIQIQNTLPNLEFISALEKEVNPFNIH -NTLCLNFGQKEYYNIIADRTNLSFQQRRHSVQFDNVVVDGVARTARVSLRLHPFDSQLLDIVRFNVIQDQ -PLADILMEYQLVAADGFVATPKFRVDRDARLIADVRSPVMARLCELSPYFHRTRILSSMTDFTPLWKVNV -FSSSIDNAKDAIYRMAEISFTVADATTSALASVNVASAQQTLLTLLNLSLFRFEIDPTGSQSNFGSAVSA -ALMLIVFPTDEQSMSNITFDNLCNLVFNELIAWTVDRPTFVKRTGMTNAFEANVNIGGGNMTRDIIAYMR -FVLLRRPWAVFQRAYDDRYVSDIMVPNIDEANVNDQCYVAINNLFNGLIQAAQRNPNPGRQIAATSFRKL -LKSMKDSCCNRMIPLIRLLKYNIERIARVYRFFPYTADLVHIIPAFRDERLRVKVPVSGMLSIALGINKA -PDSFDWYNLLKFADVVRTKNFAERQSLESIMVHALIRNDINPARSKKDYIQQNIKPATNVVASLSKLPSA -TFTTILADRMLNNEIRRTQSYVVTNRIRDAVRAAFEHVPTAEHGIAKGALLLPYPQNFQRSSVYVRKDNI -LYDPPVGVDRFNLSDLLDGRFYQGLINRVQNMAPFVISGPLQVKPSDASAIESVTSAYLTMSSPYDACVR -PEDLRHNRVVHPPTVDYFSDASIARPNTQFEQLMSKTSVFVIDAPRLIVQNDATVYTFDYKDIQLTTSVV -DKLEFTSVKTPDVTLFNGMLVYED - ->YP_392491.1 VP2 [Adult diarrheal rotavirus strain J19] -MEVIEKLETIRETLNDTKDKKDFQKIHDELVQYLDGIDPLTIDDDKWNEILKLFKIIIVKLKNSTIKTTS -LENELTQHEKKRTVKEVEKVEEDMKVDVANDNSKPTLMDKVLQVSSQPNNPYSADVLQIRTILSKTLFVD -TDSEAYSLYVPESQKLDVSPITIELTTIEKYQPKVNILKQAVIVPSQNPLLADTYGAPEILFSTDFFDDI -TSNSSEGLQLYFFDKAYKLKKELPNLPFLSSLDKDVNPLNPLNSVCKSFGQEKYYDMVMDRTDRGLDARR -AAMQFDNVIVDAQNRTVQFNVRMHPFDLQLLRISQQFAEPMQDLAPVVREYMMLGADGYVLTQKTRLDRD -QQLIANRRSVVFDRMCELSGPLYRSRIVHSMRMMSKLWRTNVFRTSLEDEITKIYAAAEVSMVSIDATTS -ALSTINIASAEQTLNALLNMSFFRCELDLIGSQSSFGAAMSAMIALMILPTDQENMDDEVFDVLCNLVYN -ELIAWAADRPVFVRRAGATNAFRQFVNAGLNRDITNYMRFVLLRRPWLPLYNSRDVRRNAHVLVPNVDLA -NINDQVYVAINSFLNGIIEASRRNPNPNKTISANSFRKLMKNMRDICVNRLMPVIRLIRYNVERIGMILH -MLPYSADIFDINRNLRDERLRIKIPMSGFLSLVMGITKAPDAFDWSQVLNFADDVRKMDYAEAISIEDSA -SVAIMRNDANRATSKKEIFISEVKPPTPTVASIQKIPSATLTAMFSDRQLINLIRDTHSFRVIREIAVAL -QAAFDNSPTSQHGVGKGAVLHPVPQNFGRSSQFVRRDNILLQRPAGIQQFTIEDLKQGRYFQGLMAQIRA -RQPIIVNGPIPLRISDAAEIEQVTLAFLTMNSPYDAYIDPRDLKQQKLLTDREVDLFIDQNPARPNDEFD -NVMARTSVFIIDAPRAIVPINPQRLNFPYHDIMVTDSVTKFIEFTVALTPDLQLFNGLLVFEQ - ->sp|A9Q1K8.1|VP2_ROTB2 RecName: Full=Inner capsid protein VP2 -MEVIEKLETIRETLNDTKDKKDFQKIHDELVQYLDGVDSLTIDDDKWNEILKLFKTIIIKLKSSTIKTTP -LENELLQHEKKRTVKEVEKVEEDIKTDVTNDTSKPTLMDKVLQVSNQPNNPYSADVLQIRTILSKTLFVD -TDSEAYSLYVPESQKLDVSPITIELTTIEKYQPKVNILKQAVIVPSQNPLLADTYGAPEILFSTDFFDDI -TSNSSEGLQLYFFDKAYKLKKELPNLPFLSSLDKDVNPLNPLNSVCKSFGQEKYYDMVMDRTDRGLDARR -AAMQFDNVIVDAQNRTVQFNVRMHPFDLQLLRISQQFAEPMQDLAPVVREYMMLGADGYVLTQKIRLDRD -QQLIANRRSVVFDRMCELSGPLYRSRIIHSMRMMSKLWRTNVFRTSLEDEITKIYAAAEVSMISIDATTS -ALSTINIASAEQTLNALLNMSFFRCELDLIGSQSSFGAAMSAMIALMILPTDQENMDDEVFDVLCNLVYN -ELIAWAADRPVFVRRAGATNAFRQFVNAGLNRDITNYMRFVLLRRPWLPLYNSRDVRRNAHVLVPNVDLA -NINDQVYVAINSFLNGIIEASRRNPNPNKTISANSFRKLMKNMRDICVNRLMPVIRLIRYNVERIGMILH -MLPYSADIFDINRNLRDERLRIKIPMSGFLSLVMGITKAPDAFDWSQILNFADDVRKMDYAEAISIEDSA -SVAIMRNDANRATSKKEIFISEVRPPTPTVASIQKIPSATLTAIFSDRQLINLIRDTHSFRVIREIAVAL -QAAFDNSPTSQHGVGKGAVLHPVPQNFGRSSQFVRRDNILLQRPAGIQQFTIEDLKQGRYFQGLMAQIRA -RQPIIVNGPIPLRISDAAEIEQVTLAFLTMNSPYDAYIDPRDLKQQKLLTDREVDLFIDQSPARPNDEFD -NVMARTSVFIIDAPRAIVPINPQRLNFPYHDIMVTDSVTKFIEFTVALTPDLQLFNGLLVFEQ - ->sp|Q86108.1|VP2_ROTGI RecName: Full=Inner capsid protein VP2 -MDFPNLVLSAKNSIQNVDSKDEKQKIIDQLISDIRGQNDGVIPDEVLSEIQQVAEINGLSFNYEKPVKQE -LLEQPDPTSVLSQEVFQIRTILSKTLFVDVEAEDYSVYIPDETSNLSPVQIDARPIQTYQPKALMYKDTA -ILPSNRDEVSDQYGADEILFDSHMFNDISQAQIRDFETYVLDKAMQIQSSLPNLDFVSSLDKEVNPFNVH -NTLCLNFGQREYYNIIADRTNQSFQQRRQSVQFDNVVVDGVARRARVSLRLHPFDSQLLDIIRFNTIQDQ -PLADTMAEYQLVAADGFVATPRFRTDRDARLIADVRSRVMARLCELSPYFHRTRILSSMTDFNSLWKVNV -FSSSIDNAKDAIYRMAEISFTVADATTSALSSVNIASAQQTLLVLLNMSLFRFEIEPVGSQSNFGAAVSA -ALMLVVFPTDEASMSNVTFDNLCNLVFNELIAWTVDRPTFVKRTGMTNAFEANVNIGGGNMTRDITAYMR -FVLLRRPWAVFQRTYDDQYVADIMVPNIDEANVNDQSYMAINNLFSGLIQAAQRNPNPGRQIAATSFRKL -LKSMKDSCCNRIMPLIRLMKYNVERIARIYRFFPYTADLVQRIPAFRDERIRIKVPVSGMLSIALGINKS -PDVFDWYNLLRFADVIRTKNFAERQSLESIMVQALIRNDINPARSRKEYIQQNIKPATNVVASITKVPSA -TFTTILSDRMLNNEIRRTQSYIVVNRIRDAVRAAFEHVPTAEHGIAKGALLLPYPQNFQRSSVYVRKDNI -LYNAPVGVDRFSLDDLLNGRFYQGMVNRIQNMSPFVIAGPLQVRASNASAIDSVTSAYLTMSSPYDACVK -PEDLRHNRIVQPPIVDFFSDSSITRPNTQFEQLMSKTSVFVIDAPKLIVQSDATVYNFDYRDIQLTTSVV -DKLEFTSVKTPDVTLFNGMLVFED - ->sp|Q86195.1|VP2_ROTGA RecName: Full=Inner capsid protein VP2 -MDSTVLVESAKTNIHGVDSKAEKQTIFDQLISDIKSQTDGQIPDEVLPDLQQLAEINGLTFEYKPKEKLS -IMDHPDPTSVLSQDVFQIRTILSKTLFVDVENEDYSVYIPNDTAKLTPVLIDARPIQTYQPKALMHKDTA -ILPSHRDEISDQYGTDEILFDSHMFNDISQAQIRDFDTYILDKSIQIQNTLPNLEFISSLEKEVNPFNIH -NTLCLNFGQKEYYNIIADRTNLSFQQRRQSVQFDNVIVDGVARTARVSLRLHPFDSQLLDIVRFNVIQDQ -PLADTLMEYQLVAADGFVATPKFRVDRDARLIADVRSPVMARLCELSPFFHRTRILSSMTDFTPLWKVNV -FSSSIDNAKDAIYRMAEISFTVADATTSALASVNVASAQQTLLTLLNLSLFRFEIDPTGSQSNFGSAVSA -ALMLIVFPTDEQSMSNITFDNLCNLVFNELIAWTVDRPTFVKRTGMTNAFEANVNIGGGNMTRDIIAYMR -FVLLRRPWAVFQRTYDDRYVSDIMVPNIDEANVNDQCYVAINNLFNGLIQAAQRNPNPGRQIAATSFRKL -LKSMKDSCCNRIIPLIRLLKYNIERIARVYRFFPYTADLVHVIPAFRDERLRVKVPVSGMLSIALGINKA -PDSFDWYNLLKFADVVRTKNFADQSLESIMVHALIRNDINPARSKKDYIQQNIKPATNVVASLSKLPSAT -FTTILADRMLNNEIRRTQSYVVTNRIRDAVRAAFEHVPTAEHGIAKGALLLPIPQNFQRSSVYVRKDNIL -YDPPVGVDRFNLSDLLDGRFYQGLINRVQNMAPFVISGPLQVKPSDASAIESVTSAYLTMSSPYDACVRP -EDLRHNRVVHPPTVDYFSDASITRPNTQFEQLMSKTSVFVIDAPRLIVQNDATVYTFDYKDIQLTTSVVD -KLEFTSVKTPDVTLFNGMLVYED - diff --git a/seq/clusters_seq/cluster_523 b/seq/clusters_seq/cluster_523 deleted file mode 100644 index 06fa277..0000000 --- a/seq/clusters_seq/cluster_523 +++ /dev/null @@ -1,109 +0,0 @@ ->YP_010086025.1 VP4 [Rotavirus J] -MALRTLFSQTYYVDETYVRQENGDTTTQSSKNNLPEKEILSDEKYYCFKNTKPTETRMYLEDFNPNGAPQ -RTEQEINGKKIAQYTIKKGNNYTKPLSNKIIEDLKNQGNYLVLTFSPNDREVEWRSKPHGGEYSDVKPHK -GSAWLCIKKIQSKKIILDTNIYNNKMPFIDAVLQMLGQKNTADGINFSFEITIDGMLYIYSYPDDTQNNI -EITATQSSITFIPKRDIKIARYAEVNSKLRTKPTERRLQNRATITETQDGFWKVVTENFRIKVKMSIEGW -GIMGGPFGNWLVDSGFKTVEEFYTYERDGKTVNALTITTPHPTKQVGKWDPGIGPIWYTGKLMTLARGDI -VNVWYTETEWNLANSIYAKNFTTDLQQTFDITSKWESFLFRQNKAGDLGKIVNHPGMSRLRFKNGGFGQI -DSSKYTGLAVYLRFEVINPEYKTAWEIKVPKSDPFYDSFSAVDVPAWREDPSDENAIIVVGNGTLVHSGF -MSGYPKIEKAFDASITYTALLPSDPEFTTGGWEYNESITAPLYNDLRTLTNQVNEFIAKNNISDITSSVM -SVFSLATSFPSMFSGVVDALKSAVSFLKKVKKNKPKVALPRIKIGKTRGYSVDDLNITTPTLLTSVTRNT -PDPIFSNILSDDELFTALHGVSNAVRKQSVGRNEIIESITETIPLDPNVTARLAYSTPPVLTRKNAIKKK -HIETLREKIPQIDDAEIVEFNQIRNTVSTINNRGIIASYAINEDLLDEIISQMGNGHARTLFSLQMRKRI -IESKKKDGMVKWDTNKIFLDLLNDKELFDVTGGLTTELTIELWNEFAQLLHNALSV - ->YP_009130677.1 VP4 [Rotavirus I] -MLRSLLTESAEMQLSAQEVANNTTNIRGYTYKLYNNDNTVEKQEVCYTNYMPVDIEYRRGIDHIGISSGA -DTQAVYDNYFWKHEGQIDKDLITSQRNQLIKYCDDNNMYIIIKIQFLEDDTILAVNVNGSITSLTGATYY -SGDSGIIKIIRTSNNVIVYNQLEKMPLKNKDPCLFLATALFAKDDTYVHTSKKVILQLGYSREKNPEEMN -DTLPTTLITYDVENRMRTRTVSTRDFNPSLPSHQILQQKVEGFWKIVSEVFNIKLKITIDSRGIMGGPFG -DWLVDNSFVTVENKYTYQRDDETINAITITTCYPSAQTGTEKPWAWASDFKGHAVALIPGDIVEVRYTED -KWTLANSLYAKDFDTDSQASFTVPSTREEAKNDPSDIKFYMNYIPAFAYIKNSTGMSHFKFHSGGFTQID -AKGYTGLAMVFRFKAQEFDKWNSNIINPEYGHMFSNIGDHGLNTQYNPAPGAQPGFQACYAARNYDIDMR -VAYTALTPSDPEFTNGSTNFVSTVTLDIEKTIDSLQQHINKLQAEVNIGQVTTGIFELLTNLYNLPQTLQ -GFMSTFRDIRSAIKRFSNKRKEMLKGRNREAINSKYSLENLLSTTDTASLNDDQLLSLMSKTSNIAMKAD -NVNVLQPVVAATILESTPLLQTTVTSRVRNKFVKMQSRVIPEEGSLLEIDFNRQRLTFASHSGISTIPID -YETIDEILGSMSHGFTRSLFSLQMRKNVFNGSGIGKHTLDDIINDKKLLDVTGHLTPDLQRQFFIDFINQ -YAAAMKL - ->YP_008136232.1 VP4 [Rotavirus G chicken/03V0567/DEU/2003] -MLSYLRKEYATFGGTSDTSKSDQSEATSRSINEKEIKEREKPVKSGDYFCYRQQQNRTIWNSDAFGFVLG -ENIEPKEDSGNIIYRSTLQGDLKFIYNEVCDPKLKIKIYMESGGQLSVTIDGIQKQIDTMDVDVIFNDDG -TITATQDDVKDINEDQKFIIIKLLDINSHSYTSNAILEMTYIQNVRTTRPLKITTDHLQITKCSQDNGPP -PIVLRGLNHEETFDVKFNGIENSRTIISEEMDGYWKITQETVAIKLKFGIEAKGVMGGAFKNWLVDSYFV -KKQLDYTYTRDGATVSAKTVTYVSPTGKGGIAQPSRPATDYNGQFTVLQPGDIIEVWYAEDQWQINNAIY -AKNFQSDTMASGWIGPVDSSKLIYRMNYIPSLAKITNYSGKVQYKYESGGFAQMDAVGYTGLAVIFRFRC -VGDKWYNESWQSWYDRDNSRIAYIGERYTDSTGWIYRPGATYGFGAGYPLQEYTHDITLSYTVLKPSDPE -FVTGGDNYQESITSDLYEKVRDLQDQINTIKAELNVSGVTSGIFEALTNIGNLPQMFSNFVEVFSKVKVA -ILGMRKKNVKPKPIEAIQIVSKVDVQKPLIQSIAKQMPEEIELGIIYNSIRNKRLRERQIHEIDKFAIAT -EMELPMIQRTETLKPKFKKYLRERGMLPENAHAIEFDAANNKFSVLLKEKADILSYKIDKELAHEVLSEM -STSASRSIFSLNVRKQINLDNNFSSPTYADLVNKILDDGELLDVLGRIDKHRLENYVEEFVTRIEDMLQK -IA - ->YP_008126845.1 outer capsid protein [Human rotavirus B] -MLTYLRREWQSFGETVTIKNTFNAQEDNSQSIRKDDDRPVKTKDRYCYKAELNRSKYYHDVQGFSLGQSD -LHIDPTQFIMYSGTISNGISYVNQAPSCGTVLSLKFTPGNSSLIENLHIEPYKVEVLKIEHVGNVSRATL -LSDIVSLSIAQKKLLLYGFTKLGVQGLTGDVVSVETKRIPTPTQTNLLTIEDSMQCFAWDMNCANARSTN -QDSRLIIYEQEDGFWKIVTETLSIKLKPYFKAYGTMGGAFKNWLVDSGFEKYQYDYVYMRDGMTVNAHTI -TYVNPSGKAGLQQDWRPATDYNGQITVLQPGDGFSVWYYEDKWQINQAIYAKNFQSDTQAQGCLENFGPL -KFKMNYIPAFAEIRNKPGKVNYAYLNGGFAQVDASGYTGMAIILNFVCTGEKFYASDNNTRVDDKITPFI -SYIGDYYTLSGGDFYRQGCCAGFAAGYDDVSPEHDITVSYTVMKPSDPDFVTGGENYGESITSDLEVSIR -NLQDQINSIIAEMNIQQVSSAVFTAITNLGELPGLFSNITKVFSKTKEALSKLESRKKTSPMPIAATSII -DKTTVDVPNLTIVNKMPEEYELGIIYNSMRTKKLIEQKKHDFSTFTIATEVKLPYISKATNFSDQFMTSI -NSRGITIGKSDIIQYDPMHNIFSAMNRKNAHIINYKIDPDLAHEVLSQMSTNSTRSLFSLNVRKQLHVNN -SFDTPTYGQLVERILDDGQLLDILGKLNPNSVEELFGEFLHRIQHQLREY - ->YP_392492.1 VP4 [Adult diarrheal rotavirus strain J19] -MSLRSLLITTEAVGETTQTSDHQTSFSTRTYNEINDRPSLRIEKDGEKAYCFKNLDPVRYDTRMGEYPFD -YGGQSTENNQLQFDLFTKDLKADTDIDLSDDVKDDLKRQIMEYYQQGYRAIFLVRPQNQEQHYIASYSST -NLNFTSQPSIGVNLSILNKIQENKLYIYSTQPHIPSVGCEMIAKIFRTDIDNENSLINYSAPVTVTISVT -KATFGDTFVCNHPNMNYQDLIPTMTKNSIYHDVKRITKIHEYINSKKKKKNSTSKIGGIQIAESKDGFWK -ILTKNYQIKLKFGVEGYGVMGGTFGNWLIDSGFKTVETNYEYQRNGKTINATTVASVKPSRKCGTRSPVF -GQLQFSGEMMVLSHNDILTVFYTEREWALSNAIYAKNFATDFKRQFEITAQSDELLVRTNVVPHTIKNTP -GKALMEYSHGGFGQIDTSDYTGMALTFRFRCISEDLPEGYYDRDKALAFANVGLTSFQDRQEANGTYWVY -NTSTVGFGSCYPKKEFEYDINVTYTTLLPSDPEFTTGGTNYAQSVTAVLEESFINLQNQVNEMLTRMNIS -DLTSGVMSVFSVATSFPQILDGISDLLKAASSAFKKVKGKVGSVAKRLRGKRYVRLFDENVSIEETPRFL -DSIRSSRRPSILSNMFNDDETFTALHTLASRTNSVASDVTYLQPIITTRIANSTPPVIAPASSVTYAKLK -DISKIINAEIDPKSIMEFNQISNTISILDSTKKLAQYAVDPDIIDGILNKMVGGHARSLFSLKVRKHLLD -AVEKDAFVKYNYHDLMGKLLNDRELLDITNNLSSQKQFELAKEFRDLLINALA - ->sp|A9Q1L0.1|VP4_ROTB2 RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MSLRSLLITTEAVGETTQTSDHQTSFSTRTYNEINDRPSLRVEKDGEKAYCFKNLDPVRYDTRMGEYPFD -YGGQSTENNQLQFDLFTKDLMADTDIGLSDDVRDDLKRQIKEYYQQGYRAIFLIRPQNQEQQYIASYSST -NLNFTSQLSVGVNLSVLNKIQENKLHIYSTQPHIPSVGCEMITKIFRTDVDNENSLINYSVPVTVTISVT -KATFEDTFVWNQNNDYPNMNYKDLIPAVTKNSIYHDVKRITKIHEYINSKKKKNGVGKIGGIQIAESKDG -FWKILTKNYQIKLKFGIEGYGVMGGTFGNWLIDSGFKTVETNYEYQRNGKTINATTVASVKPSRKCGTRS -PVFGQLQFSGEMMVLSHNDILTVFYTEREWALSNAIYAKNFATDFKRQFEVTAQSDELLVRTNVVPHTIK -NTPGKALMEYSHGGFGQIDTSDYTGMALTFRFRCVSEDLPEGYYDKDKALTFANVGLTSFQDRQETNGTY -WVYNTSTVGFGSCYPKKEFEYDINVTYTTLLPSDPEFTTGGTNYAQSVTAVLEESFINLQNQVNEMLTRM -NISDLTSGVMSVFSVATSFPQILDGISDLLKAASSAFKKVKGKVGNVAKRLRGKRYVRLFDEDISIEETP -RFLDSIRSSRRPSILSNMFNDDETFTALHTLASRTNSVASDVTYIQPIITTRIANSTPPVIAPASSVTYA -KLKDISKIINAEIDPKSIMEFNQVSNTISILDSTKKLAQYAVDPDVIDGILNKMVGGHARSLFSLKVRKH -LLDAVEKDAFVKYNYHDLMGKLLNDRELLDITNNLSSQKQFELAKEFRDLLINALA - ->sp|P15155.1|VP4_ROTGI RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MLAYLRREWQSYGETVIAEGTFNSTSSDSSQSEKPIKTDGRYCYQAEIGRNAYSMDARGFMLGESDRHVD -TTQFLPYTGYITDGIKYCNIEPPCGTLLRMHFDVSGDVSVDMHRVASIYVEVDSVTYDGSQYTIRGYRDR -NLTATETQKKLIFYGFRKLGMIGMTGNGRVMLTSTIIQKISYKHQFTFQMHSESYVWGPCSGRIKTRVQG -NDSRIIIYEQEDGFWKILKETLWIKLKPYFKPYGTMGGAFKNWLIDSGFEKHEYTYSYERDGQVVNATTV -TYVKPTGKAGINQSWRPATDYNGQFTVLQPEDEFSVWYFEDKWQISQAIYAKNFQSDSQVEGELTNNGAL -IFKMNYIPSLAGITNKGGKVKYRYISGGFAQIDTSRHTGLAIILNFKCYGKKFYADNNNYPVDNALNPYI -CYIGDSYTLSGGTHYRQGACAGFAAGYDDEITEHDMTISYTVMKPSDPDFVTGGDNYGQTVTSDIERSIR -DLQDQINSILAEMNIQQVTSAVFTAITNLGELPSLFSNITKVFNRAKDNIKKLRSRSNSDISPIGATKII -DKTTLETPQLSVINRMPEEYELGIIYNSMRTRKLIDERKHDFDTFAVATEMELPYISKVNTLTKEFKDYL -KKPGLLSNDDVAVQIDPMNNRLSVLRRKYADIIEYKIDPELAHEVLSNMSNSATRSLFSLNVRKQIAMNN -SFSEPTFSQIIDRMFDDGQLIDVLNNLNRETATELFDEFLTRIKSMLVKMS - ->sp|Q04916.1|VP4_ROTGA RecName: Full=Outer capsid protein VP4; AltName: Full=Hemagglutinin; Contains: RecName: Full=Outer capsid protein VP8*; Contains: RecName: Full=Outer capsid protein VP5* -MLTYLRREWQSFGETVTIKNTFNAQEDNNQSGRKTDNRPVKTEGRYCYKADVNRSKYYHDVQGFSLGQSD -LHIDPTQFIMYSGTISNGISYVNQAPSCVQLSLKFTPGNSSLIEDLHIEPYKVEVLKIEHVGNVSRATLL -SDIVSLSIAQKKLLLYGFTQLGIQGLTGDVVSVETKRIPTPTQTNLLTIEDSMQCFTWDMNCANVRSTKQ -DSRLIIYEQEDGFWKIVTETLSIKVKPYFKAYGTMGGAFKNWLVDSGFEKYQHDLAYVRDGVTVNAHTIT -YVNPSGKAGLQQDWRPATDYNGQITVLQPGDGFSVWYYEDKWQINQAIYAKNFQSDTRAQGYLENVGTLK -FKMNYIPAFAEIRNKPGKVNYAYLNGGFAQVDASGYTGMSIILNFVCTGERFYASDNNSRVDNKITPFIS -YIGDYYTLSGGDFYRQGCCAGFAAGYDDVSPEHGITVSYTVMKPSDPDFITGGENYGESITSDLEVSIRN -LQDQINSIIAEMNIQQVTSAVFTAITNLGELPGLFSNITKVFSKTKEALSKLKSRKKTSPMPIAATSIID -KTTVDVPNLTIVNKMPEEYELGIIYNSMRTKKLIEQKKHDFSTFTVATEVKLPYISKATNFSDQFMTSIS -SRGITIGKSDIIQYDPMNNILSAMNRKNAQIINYKIDPDLAHEVLSQMSTNATRSLFSLNVRKQLHINNS -FDTPTYGQLVERILDDGQLLDILGKLNPNSVEELFSEFLHRIQHQLREY - diff --git a/seq/clusters_seq/cluster_524 b/seq/clusters_seq/cluster_524 deleted file mode 100644 index 01a03be..0000000 --- a/seq/clusters_seq/cluster_524 +++ /dev/null @@ -1,259 +0,0 @@ ->YP_010085075.1 RNA-dependent RNA polymerase [Sanxia Water Strider Virus 2] -MTYQANIEGLDYELPDFSDPILCAEIYWKYINLRSMVAERYVHDHFSIYDRDASVHDTQVNEYLYKKGLN -TVQTNQTPDVMVMIGHKLYVGDVTVTVNVRERQASKTEKYLELMEKIRQANNNLEVEFVVFAFEEDGSNV -DLILDKINLITSAVRHAPNVITGQAEKELVRELKRINDYCKNIKNNVQDKETFINEMETQQGIIDNYEIG -TGRHVERTKPLFTERAITLNLFKKVDKTNTTTKKTRDVDLNAIRQELAEKNDETFARRQPSQALPFLMNF -NNDETEMNLNLLKSYALDIKSDSEMFSLFPHGEQLKNMIDLFNDLNIGKISESNLGKTKDEILENAKEIY -GQTSPYYCKENALRQRNGFTSTYSYYKEKINKMNEPKPPESIHSCDYKMCISNTNKLIDLLGSQCNSTST -NLTYDKNMRADRYNREKMNIDDVDDIFQQINKTIGVNLASVMRKFVTDIAYIPKRFKKGIYYSIPVQKSG -IVLTFTDTNFRARNPTIYFASITRVSNKINGSKNPDIALFQENYFSQNIIDIYKSENYTYFASKLYRYDL -ETLTKLVNADKELRTAIMCIATQLPEGDEFTRKLEVEKKKKLVKKISGVFTLLHLDLHQKSSIILDLMKY -LTAMPMSEYSRLDILLKDKLNIMLKTNLDVWLVNRIFGYLKENIRLQQYRRKNNIIRVGGELSSTSFNIR -GEFALFCDLEYKTDSVMLYLTEAQLLFQSRPKKLYKSQFINKAAVKVAKNNKEMALQEGKNPGWVIKGED -VNLRKIWSFANRVVKMNKPFQDVSQLSKQERLEQSEAAADLQRGYKLIDDPDSFSFPYESGFAWSKDVVY -YMQQLNNSTHADRISPNKHTIYKKLGELFIYNLSLRGSCIIPGSELDKRFNKNFNGENIDERKSKDDGKA -RRVKNIKIKRSTTAMLNGLMSMKDHIESDNTESSRIHKILTDNLTRQMYFNMSEKEQRGDGRPIGSPDFF -TKQKLYAIEMAYQIIGKNDPANLMARGVHRGAKISNMTRGMIKDSLDSNRRYLFYIVMDQSQFSEGDNVA -KFEEYIRNTADIPYNIKRDMMDVMLGMQNRIQFFPKLPDQLKQNYSSEIVQNGVKGVAGWVQGMFNIIST -DFHASLARWLVHCFNKFYYEHYQEDYNRDMDLYGSNLKLGETLTPIMYDQAVNSDDSLIAISSYNIKIIE -RFNEFFVLGKRLGCLEQNIKKSYTSSMIAEVIQKYAVNGTTVNIWAKDATALYANIRGLDLSKDISAAIG -SLQTISRNGCPENICTYIRAELRNMIFRLYNLEKFNNLARIGIDINMLPVELGGWPGHLSTFELLMAGSQ -AQSNYCRKEFLKEDNNSIEKHICATSVYLNLLSNLNKNESEGVLVFKKDKPKEELITGKDIEMEFKQTID -EIDNSEFDYYSNDVVELAKNTQLEYEEKNIEIAYKSLGFSDNVDNYCRTLINCMSYIIKVPVKVSDTVVE -IKKYKGKESGLSGLLKIRSSIISAVSDVAENLNVMLTSLSDANFSKDIKAMSAAVSYVAFNRCIVISGLK -DRHTLIGAYKILKEIATVKRNKAVIIDSYKKAIQALTDQSDRSVYAELAITNTVLTGDFTTSGNIVVNKI -PRTKDALNLQNDLQLVLSDILKPSILEAEGYKLKYPLQMRLDRQAILHQYEDWFKLSADKKKMCQTIYYY -YLNIKRSRYRISFFVPDDNLSGYTNSCYLNIRDMKTKLIGNYESTVREKLLVKDEETKKIYSTIRTYYEI -FMRFCIRERLTDIETFVDSVDIEIDNRIGNYRIHKDKLNYLSDIDQDLAEIVGVLNLLIDNDQKLLDRNL -TTDMFRTEWVKEQNKTYNRRTGKVTWSGDFHVRLMKKNTIVVLIGAPGCISSLYSNTEDTKAIRELLYLF -RVNNRFEGYSVNIRYKSIFYDGFFDISPVALATNDYIIEERPGIGMVIVKNPYKKLNFNTTTLNKIPFEF -RSYRIEKLEKMRYIDYKVEFDNNKLQLKGGLNETKTIIDDKGRSVKVVGLVWKHIKNIVSFVHRFYPEQL -MFKENLKIMGFPLNELKSNGSLLEFVRNKLYNLNIGQLLNLLKYIKDDNTIVLETIMNLIELTYNENDMF -SRASNLTEAINTIRVDVGNQIKIMDQIDQEDQFADPDYMNEYIDVTDTQFSRTESIILALNSKQFDKMNY -YKDLISGYVLSGFDDYISNLDFVKKDGTNFKGEKTEFYRDDTVDWADEVESDHFIKEHNNNVLLSTVGNA -LSLYFDNIKTKGTNRAYKKLSFLIEASLKYTSFMPESFNEEVYKEHYETMIEYNDKPVGDDNDLTDGFNI -MNLILSILVEGAPSLDFDYKEKENQQDESESSFF - ->YP_009551602.1 RNA-dependent RNA polymerase [Saesbyeol virus] -MIEDSNPHIRVVECNFIFDRELSTLGDIMQFVQNTFMCGWTSAETTKFRKDIQLLNDRILDIERNVTDKD -QYLMYKDQQNSPNHTDGLLESLLDKTPETGSDYCPVSTEFDLFIDAYRDSQSLSRDTVMMTEIKDLSDIR -ETLNTVNDTEFAPRTPRSPLQYVFNLDAKEDLKGLALLESYHDDIAFNASSSDPERELMLSILPHSRQIK -TMKAIDSEYRSRKTLLRKETTEGKFTDIIKKKYGQKMPYYSPQNAMASCGPCSKSLLTEYVLKSRRINEK -KQPESIPSEYHEEAKQDTESLIKYLSMQTKSNSTSSNLGFDVPSGTRRDRELESIGVIKPIYDTINKSRG -AILASCIVKWINDIVRIKIGASHGIYYSVPIQCNMIFCTLTGRSMYGNNPHYNYFSIARFRRSNDDEEMF -EDMTRTEINTLFKGQVRCTCETRDYIYVVSKLNKTALDKLEKIITVDHEFRTHAMSMAAIFIQSNTMTNR -IIDAGNEYHPSNFTNTAEYIDAMSKSTGISKVKFGLEDYLEKYIGGSSLIMLDLHQKPSELLDLLKYLSG -INFSEISNIRNLLNDKMAIMLKTSLDVWLRDRMLDYCSDNISLARYCKPSKVLMSEKGILPSSFNVTGLF -TSFWAPGCITDSLTFYYSEAQLVFQSRPKKLYNDQYMAKSCLKVLENNKKMREEELDGQPTNGVHDPRSF -DFSSKFGYSKDAVWYAQDIMNRESMRLKDRHRAKILGKLEELAVSNVSMRGACKLEENIKQGEPKSQTSL -YSCLEYLEKDIMEGNTDNCRLVNVVRNSQSRTQAFNMSAKEQRGGGRPIGSPDYPSKQQLYLNESVYKIL -SSEQNENMLVKGVNRSAKIASINRKVVTDAYSKGYKHIRHIVMDQSQFSEGDNVNKFIDAVKFNSNIPPK -VKTIMIKSEEMHMARHQYWPVMPNDAEDNFGSDILSNNGTLGKAGWVQGMKNIASTYLHIASVKWIIKSF -NDFYFEMPGNEVYSGNKIMCEQIVNSDDSYCIVAHSHVKPIHDFYYYMQSAKRYFRLEQNKKKSYATRTI -GEIIQKYVANGTVINIWAKLAVSSFRNNMGVDLCRDVSNSVAQLGNLLREGAPENICVYVRAELKNQIFK -LYNIGDGKFNDLKRHDIDITLLPCELGGWPGRISTFELCISGTQAQLEHCKSLYKLRVGSNEEKIVRTSI -TLNMKRVRNKETWAARMELLKTQNPSDIDPAREDLDDIKLSAKLYQDIEMIEKELDNDAENELINQLREM -HLEPELVVANSTEITVTSEGLSSFDGSFARSTINSINWIINVPKKISRTLTMLDRFSSLTPSGLAGLFKE -RNSIQTAIADLKDQSNSMIIMLSELNYTRSLRQKAAANAYAATQKCCTVGGIPKKLSITGAYTVLLRLHE -RLSQVHNINISSDFLERVLSDPTGRAKIAHYIITNYVAESRIDSEGSIANEIPKSEDDIDVSNDIQSILV -EIARPGYLKTQGYTFKNEARMKSDCETILNIYIDWFNAGTDILNVVRTIYFHHINVRRNRYIIAPPLNRR -TQMEYITSVYEKCQFLGVQIIGCLSTSGCMPVSTISKTVSDAKDITNNLMGALEVVLFMNKCYGMDMRET -FADIKCDGIQIASMVDSVRFGEVFNHADSYSKRYLATLAHLATGTDVYIREVTKLLDFNIHWIKEQERRK -LPDGKNEYFGPFEVMISKGLDALVIKGSPGDIETVTTNTLKVNKIVELLHYFITRTPFPGYRKPSSFESW -GNSTFWNSRNSGSKLRLIYGRNNTTRIEKSGNPFKEVAHKGGFSLTEDDAGTILLRAMTSERDIVTYIPL -EYSYNMETPSSSHYQYTRYEVEGNKCYGHRHETRIFIETDPLMGTKKQTKRFESVRVLLFNFKDAHHTTN -YRRLSFSYLTLDGLSINALSSYGLLKKVILGELVKSSRSTVLKFIMDEVPPSKIILNYAGLLITRMDNRV -KLASEAITSALSTVEIGLALGPEPFEGLEEPVDQEDYVDQIEDEYDIGVNIKIAQKDITNILIELSTLTL -DPKRARYFITATLRSRFTQSLLSIIHAVTTASQEGHEVDNDARSMLECMYEAPIDNLTVESRGRNRRLLK -SVISCIVKYGLMAKNIWECKSIRTLMDEHRKTGWEADDASDGSEEDDEIVFMTESTTSFFNMLYKCKIGN -VAGRDSESDDDSQTSEDTSSSSSNLIFA - ->YP_009664550.1 RNA-dependent RNA polymerase [Ferak virus] -MSHIEELLVEQEDLDDPHKAVEKSQWFLDERSKAAEGYIRNYLIILDPEGSFHDSEVNQFLIHRELNPIP -TTNQQSRKTPDLMCLYKNILIVGDVAVSRSPEETSVRKEIKYRMLIDAIKLANPQLFIRFEAFVFKDDGS -NVETVQDRLRNLITEYTPTMGWKFGSLEIKLRQDIITWNNRLNFIARGVKDKITYIHLYQQLMMEEDDEV -LLGSMTQQEQYIPKVTERDIAKSIFDKMRSSGPSMKTTKDIDVMKLMDDIEKKRDEDYEEAEIKHCIPYL -FNSGDDSELMDLELLDDYRYDLAIHGKNLSTLFPHGDQLSKMKQIKDEFNEQRINKENKDRRTFMKTLKE -KYGQATPYYCPKSGLTDRASEEDNIKEWYFSKVNQINDAKKPGSIKSSEYNTCDKQISSLINVMNMKGKS -RSTNIEFDMPDRGDKIRRESQLHEVVKPRFDDINKKVGTCLLSCVRKFVNDVAHMPLNLKNGIYYSIPIQ -KNMILLVFTQTNLTKRNPSVYFMTITRFDKSCSNDLMLFGAQAKLSKYCEETTKYHYIASKLHKYELEKL -SKLCNIDAEFRVHYMSMMSMSDLDEEIEKRYKSSYVGLTCLCLLDLHQKPSEMLDLMKYLVAMPMSSHSS -LDLLLSDKLSLMMKTNLDVYLHRNIFRFLEKNIKLNKTRSLNPLRIQKNGYVLPESFNITGQFAMFCDTE -VITENLLFYISESQLIFHARPKKLYNSQFIDKCAYKVADYNDKMKKDEEANPGWTTKGFNEMDNGLFNYP -FESDFCYSRDAMYYAQLDEDSELARFIPSMLRTNNKKVADLFPNNLSMRGACIIPGSTLDNTREIKKKIK -NHDEVIKMLESVNKTKREKPLEELFKVRRSTTAMLSGLVMMERYINEDDTEKCRVGQIMSDHKDDEMYFN -MSEKEQRGGGRPIGSADFFTKQRLYCIEMIYQRIGQAQGENLMAKKVNRSAKLSDVSRNRINQAIRNDKK -VLSYIVMDQSQFSESDNMNKFTANIVDNRCIPENLKGDMIDSLNKMKTRTQFFPKIPDQVIKEYPEYLTK -DGGIRGVAGWVQGMLNISSTHIHIIAVKWLTKIFNKYYKEFSNKEYDQVEVDHLVNSDDSFAVICSKYSH -MVTDFYDFFLLGKRMFCLKQNKKKSYMSSLIGEVIQKYVANGSTINIWAKDAVSIFNSMRGLDMYKDIST -AIGSLQTLSRNGAPEDACCYVRAECKTKILNMFNVNKGKINDISSLGIDIGKLPIELMGWPKYITTFELC -VSGSFAQANYCVGNFKRSANEKGDWTTKEAMVTIAAVMVNMSNKINDNSDIEIYDKEVDQDSNEMVVRNI -RRIRDLLEAEDYTDDLNLTVEEIQADEKHREKNLIAYKSLGMSIIGGNFTKSLVNPFCFCHPFSSKVTET -VKFLRNISGEGSGLAGLLKVRTSIRQSVVDIKENVSTLLMQLSESGFNNDVRMIASASSIVASSRSVTIS -GSNKRHTIRQCYKILLDISYKMSGREFSRKSASQIPSILRDPTFRADMADEILPNMQDSGTEAKKPTVVT -LMPEMESSLGIANPLQLVLAEIVKPGIIVKEGYILNYPDQLSLDINIVKSKFGDWLSVTSNKLQVACGIY -YHYLNARRGRYVVGPPLDKSDMMSFLISWYKEKFSQDFSIVSQFVGDTVRRSEHFSDYAPHALMSAVEMY -MKLCVISKTIEKELFINNLFLNLRGAKYSLKQLAHSELVDILQDEVDVSSKQLLGAMLLDITGDDKWIRR -FVRAETTRADWVKEQDKRYNPISKRVTWAGEFIVDIKKGDDVVRIEGEPGNMKIIKSTTANTRKISDMML -FLRKNNRFDNYEIKKDIKTLYNDKFYSTMNEVNILTVDEVLDPSSKYLTVRSNPIKEGKDISYFTEKGLI -PFKLVQPSELTSAKSDMEEYIRFELDVGKYNFLVGVNTSSVLDRDKASGVERYVSRETKTRICKLDQHYN -KFTVTGMESKILMLAGISMQIFIEDNELKNIIRNQVNMISSTRLEKYVKWLVPKSEIMDSVFRILCSHHC -GFAKHENELYSDLKMIDVDITATELNYEQDIDKLTEEQEELGMLEASYVTESEGNMVSKMINAYSSRNIE -PQKIVELCELLASTQMSNILQTLRDKVGQGEGSDWADEVDREQKERELLTNTAAIFQMLFITEMEEMEAF -IEEEEEEADPLNIDFKEKTLSTFDDEMFSKGKTKEQCKSILANVNEALECMLLNRLFQYDTFLPLLKKTG -STDFNMKNKNVLLGRLIASCSRRAISQMQSI - ->YP_009507846.1 RNA-dependent RNA polymerase [Jonchet virus] -MKSYKISLAEINELIVVEDVHDVFQAYDRWAQTIKLRADASEKLVENVIRSSPTVPTHSIGDAPVNDFLS -MINANQITEHKRKSPDLLIFIQGSNTLYLGDVAVTRSARRVRSIKSEKYEPLRQEISNKNSWLRVEELNV -IFDEANTNVEAEIRQVSDLVGTPWDQVNIRDYTDCVDKSKVAVDRLFSTVSNVSELQELIAIGGQDQEHV -DFFAGTEQMQIPKLSTLRHDEEYFANMIFRRMAQDANPDGSDQTQTISKKDTLKAISDAADANYDPCMEK -VNHNLQFFVNCDEIKSDQTTIDILASMCIDLDVAQNSKIREMLPSSQELIHMKSMENEKKTLKETRYLNT -DELASARDRITLKYGKSTPYYCAYKEMAKKGPRPYAEVYLNAIKEKAQDKKADKLNAIMTETPFIMPCIP -AGKWEEAEKLMRNELSYYAGDADEINAASLKACKIVTGDHVNQRDKRTDSIISEIEDVIYKTRAYWLLKA -VTKFVVDVNRMDPRLSNGIYYSNSLNSDTIILLHCNNSGFKTNQYFDFQCLTRHRKGTKGNEHALKTHSG -QGNAQVLHVESTAKYTYILTKMVKYDIDTLDQIKDNDKTIPMLVINLTLEYFKDTYNDSSQFKMNSLVRS -FITENMAFYTLLSVDLHQKPSVIMDLMKYVVSMPFSERCNFKMLLKDLLPFKTKFDVFLFKRMVSYFDWN -RINAKTFRSKTFMLVNGQLSSAPGLSNKFTTFVNGTTHNNSYYHFMEGQMLNQIRPKKLYNEQFLDQACT -DIIERNIEFEEEKLKYGSWTQGHSDGKDYPFEAKYCFSRDVVVQASDKLNRERAGHAMGMKLSIAGKLQE -LAINHLSMRKGCISRDEIVERVTKRQKIQEMLDQELSKGMFKSKHRVMLLRKELSKAKGGKSKSALLAGL -EALEPYIKANDTTSCRSINVMKANHDKISQYNTSSKQQRGKGRQIASADFYTKNGLHCIEEAYKSQSAKD -ETNLLRSGVNRARAVSQTCEKAINEGIVHGYEHFYYLVEDQTKWSESDNVGKMKVMIECDIGIPAGLKLP -LIRELSKMERRMVFSNKPLGQQPIRKMKQEYAPYITENGAILGRIGWMQGMLNFTSTDCAKRCTLWAVQT -FNKYYKGYRNNDEGDIIVKSSLNSDDSFHAVAGPSKRKILDFRNYLYFAKKLFCMKLNIKKSYISSNFGE -MIQLYVDGNSVLNIWMKQMYSGFGSLMGVSYEKDMTHAVSHCSTLIKQGADEMNVRVWWLTAKRAVLKSY -NMNKGGINDMTRIDVDPSMLPISLFGYPTKLSQYSLNMYGVRAHNDYVNTALSTSSVSNEKLVIMMSLLA -KVEKNNTGYLARNNFTINDELVKSSQLAIQCTIEPGDDANPTKHEDDLLLLELDDDNADTDFGAKQLSIV -STRLPIKHKQAEVLKKLETLTAGVDPSGVQGLIRNNNNIRTCLRDRLETSQKEMIELSESNFTTNPKLKA -LCNSYLASRKCFFIKGFPKTSFTALGLINHLLVMGRSAKDTMDPSIISGAYNSVSEILKDSTLLVNMIKE -IERIESNNTIVMSAVKRSKVVNSYPTEIGDIDFENDISHILCEIVKPGALSQMDITMKSPHKMEAEKLIV -ENLYKSWFAIMKSSDGSLLKAALDIYHNELSKRISRTIISSHLDSRTKGKFFRDVYLNCYYPGYKTVSEA -VLPPGVYRNPNDKVESDVNTLLALFEIYAAMSGTGDIANQFPKICYYSELTGSRKLATSENLAADITVLL -SQSTKMESRRKLAVFYERLGYGTGEIAKTIRAMSYSMTWHKEQRSRVVAGRKEWYGDFRVTITKGDLAVT -IEGEPGNISKIITNDDDVVTVIKAMQYLTSYASFPGFNKTTMHKYEDWGRNPFWSSYDTAGAPNGLFYQP -QGKTAILPIAPLDSLMGRIPGRPGLIREGQKLQYIPLFTTTRSVTIDEARIDARYRSPNNRLIKVDMEAG -KIYEDPGQLDGKMKVVGTFRVKSWFADYSKLSVNPGDVVTLDGLDFAMAISRGWAEDIIKGTTKKLEKSS -VKMLMRTVLGDSQVVANTMINLFGKWCDANGHAVSVESRMELKGIPEVVININEEEDDEDAPNIETLSFT -MGNTGDVIKDLLVRLVTNSTNYQRGVESASQHVFKTAEFTSYMQSLLERCEGWDGTNAIDKIIVDSTKKS -RLSKTMLDALLEFGFFTRQDAFLMDALSSVPAATDIDYEMAASTYGVRYSDNKAVSFEDTEPTKEADALT -DIIEYMSQGDDEEGWY - ->YP_009270651.1 RNA-dependent RNA polymerase [Wuhan Insect virus 2] -MDNYLNVVDYSDAQACSDEVSSLLFKRHEVAGEYVNIALARVVISLVTDDVNINEWILDNDLNPLSKNYK -KTPDLIFIYGRSIFIGDIAVTRTVKSVEAIKSKKYDELRTLIQSANPHMTVEELNLIFDENGTNISDISR -KLRSWFTGGWSERAAMEFKSTLCMCTDKISEIEANVRDYPLFLQYRNEQNSNNPNLIDSMLDKSLADEDI -YDKKYQPVYSELDLFNMAFEHSKGVTSSMDKMSEIKDLSDTRKELDTIIMESYDKRDIRSPLQYVFNLEN -EETQSGIDLIESYYHDITMGCDPNDQNRLRFLSVLPHGTQIDKMKNIDNEYKTRRLVFKEETMEGNFANK -MKEKYGQKHAYYAPETGLAFNNRGEKNMLRMFIEKSKTVNFNTRPKSIYPEDYQEAIKNTKELISYLSRE -NGKGHTSTNLTFDVPSGRRSTRELENIQKILPIYETINKRNGVILLTSIVKWIQDIVRIKIGNNTGIYYS -VPIQKNMIMCTITGNSMYGNNPHYNYFSMTRFEYNETIDNSKLEILNFINSVFNNMICCHVVSKQYIYIM -SKLQKTTLDKLEKIVTVDYEMRSHAMAMGILFNETTVLDYDKMFNNKYYDINQFNNTDEFVKKAKSVYDS -TNNELSKKNIINLSDYFNEYIGANALIMLDLHQKPSEILDLMKYLSGINFSELSNIRTLLKDKLTMMRKT -SLDVFLIEKMLAFCERNIRIQSYCRPCKILMSDTGIIPSSFNVTGIFSSFWHEELYTSSLNFYYSEAQLI -FQARPKKLYNEQYMAKACLKVLENNKKFELEEKEAPGSCSKGMIYRKFNFNSSFNYSRDAIYYAQELMNK -TYRKFAKSQKMKIAGKLQEIGISNVSMRGSCKLEENMRAGQGKSQTSLYSCLEYLSDMILRNDTKSTKLI -ELVKGSKHRNQCYNMSSKEQRGGGRPIGSPDFPSKQELYLVESVYKILSIVQNENLLVKGVNRSAKIASI -NRTVISKAYSERYKEIRHIVMDQSQFSEGDNVNKFCDFIWFNENIPSRLKRIMIESEKKHMNRRQYWPLL -PLDAEKNYPGMVLPLNGTVGKAGWVQGMKNIISTYAHIAAVTWIIDIFNKYYFTLPGNDMYGEHKGLLCD -QIVNSDDSYIIVAFKHIKPIHDFYSFMISAKRLFRLEQNTKKSYITGTIGEIIQKYVANGTIVNIWSKSA -VSSFRNNMGVDMARDVSNSVSALGSLMREGAPETMCTYIRAELKNQIFRLYNIGKGRFNDLSEVGIENYR -LPCELGGWPTQVTTYELCVAGLQAQLDYCKEYYKQNQDCNEYKIVSCSIQLNMMRFTERDIWQRIKASIG -VSNTRDLISQLPSLKVSNIDMSKSDDEGKALKLLQHMMFLDYKYLEKENKVFDLIKPQIKGQDNGDATVS -NEFNISNEGLTSYDSSFARSTINCINWIINVPERVTKTLEIMKRFEHLKPSGLAGLYKERMSIQTGVADL -IDQSNSMIIKLSEMNYTKSIRQKAAANAFAATQRCCSISGIPYKMSIVGVYFVLLGLSDRLGSIFNKNIS -AEFVIRVMTDPTSRADIARDIVQNYEKETEAESDSYVANKLPRSEDDIILHNDIQSVLVEMVKPGHMKDQ -GYIIRYEARLNADVETIKLIYKDWIEISKNMVNTVRTIYFHYISVRRSRYIIAPQLETKNMNDALLSIFE -KCQNIATKNIGVYRKPKSNNYSVKRTEETRTIINNLMGGLEVVMFLEKNYNVPATESMKEIEMHIGLESG -CLSDLVLNQDLFGMYYNLSTPYEKKYLALLSHTAGDSTYLSDATKHNEFNIEWEKEQNFIVSAEGRKIYV -GHFRVLISKGNDTVAVDGEPGNIKCVYTTTYNIKFITDALFYFLKKTPFDGYPRPVEKKQWGNSTFWNSK -NSTTSLKLMYSRFGETRIERNEENTIDRKMGKRLVYKPEQNSLQIAVDTPTNYVTYIPLEYNHNLNGSVG -FGVTYNEFLVSDNKVFGLKYKTDLRSRTDPITGKRYTDNNFKREKCFLFGYKDAHKSSSYSMLDFTNIMI -DTIKLSSLAKYGMLKNLILGELIKSSRNQIVKFMTNEVNPSLVVVETIKRAISAVSGVDIPSTLDTSTNL -SVETIGISLDNDIMDNIKDLDDDEEIIEDIILEEDEEEYGGVNFGLNLAKSIPSILAELSMITVDVSKAR -MVVDTFFGSEISNLLTDNIIEIENKVNNISGIEVDDWAESVEAYNDKDITLSKIDSRLYNILAPIIDLEH -TSSDSIKRENMNTLIVAILSSGLLSKETWHVKDIKKKVDTYHYAIKSNTRYVKQEYTRDAGIELYFEYQI -IKTLLDRIYKNRMSDFDPDAPPDFGSSSGSGSTHSTRGNIADQFSASRRSSSGSIEIWS - ->APG79271.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 10] -MAFTNGGKDNMFNDFLSKSNRVNNTKKPASIHPCDYDEAIENTIELCKYLSKENENNHTSTNLTFDIPGG -RRSTREMENVSMIEPLFETINKRNGVILLSSIVKWINDIVRIKIGHKRGIYYSIPIQRNMIMCTLTGNSM -HGSNPHYNYFSIARFRYPIDDSGKAIEILDKITKLFSNMIVSTLISENYVYIMSKLQKTTLDKLEKIITV -DHEMRTHAVSMGVLFNETIMMNYKDMFKNNYYDINKFNDVTEYIKSVKHVYNSLEKGENVKNLITVEDYF -NSYIGANTLIMLDLHQKPSEILDLMKYLSGINFSELSNIRKLLIDKLAMMRKTNLDVFLIDKLLKFCERN -IGIQSHCKPSKILMSTTGILPSSFNVTGIFSSFWHDDLYTSSINFYYSEAQLIFQARPKKLYNEQYMAKA -SLKVLENNRKYDQEELQKNGTCSEGRIYGTFNFDSSFNYSVDAVFYAQTLMNLNYRKFATSHKLKIAGKL -QEIGISNVSMRGSCKLEENMKPGIGKSQTSLYSCLEYLEPIITSGNTNASRLIELVKRSRHRTQAYNMSS -KEQRGGGRPIGSPDFPTKQELYLVESVYKILSLVQNENLLVKGVNRSAKIAAINRTLISRAYSVKYKEVR -HIVMDQSQFSEGDNVNKFCDFIRFNDNIPSRLKRIMIECERKHMSRHQYWPLLPLDAEVNYPNMILPLNG -TVGRAGWVQGMKNIISTYAHIAAVTWIVEVFNKYYFSLEENKGLYGEHVGLIYEQIVNSDDSYIIVAFKN -VKPIHDFYAFMISAKRLFRLEQNFKKSYITGTIGEIIQKYVANGTIVNIWAKQAVSAFRNNMGVDMSRDI -SNSISSLGSLLREGAPETMCTYLRAELKNQIYRLYNIGSGKFNDMTTIGIKNYNLPCEMGGWPTQVTTYE -LCVAGLQAQLDYCKHYYKHNPESTEFKVVSTSIQLNMLRFTDSNLWHEIKRRYKIKNINDITSELDEVDI -SGVDLTHTDPIRGACKLLYYMISLDNEYVRKKKEDQHVRKIMINGKDEDTFSSANEFNISNEGLSSYDSS -FARSTINCINWIINVPKRITRTLEMINKFKHLKPSGLAGLYQERMCIQTAVADLIDQSNSMIIKLSEMNY -TKSTRQKASSNAFAATQRCCMISGVPYRMSILGTYYVLLGLNSLLLSVFNQKISPSFVHRVLSDPTSRAN -IAREIVQNYEKELTVESDGYIANKLPRSEDDITLNNDIQSVLVEMVRPGYMKSQGYIIRYEARLNADIET -IRKIYKDWLDISKDMVNTVRTIYFHYISVRRSRYMIAPALDTKNMNDALLSIYEKCQCISTKNIGVYRRT -KTEMPSVKRTEETRLMIDNLMGSLEIVLYLSRNYNMTFEEIMSSISILADDAHIKLDNFILENSGFGVFY -NLATPYEKKYLALLAHYAGDSSFLTDATKHNEFNIEWEREQDSIINPEGYKIYTGPFRVLISKGNDTVCI -DGEPGDIKCIYTTTYNIRFIEDALFYFIRKVPFDGYNRPTEKIKWSNTPFWNSRNTDSSLKLMYTIYGDT -KIEKNDKQVITYKKRAAISTDSLKKTIQVSLDADTKYVTYLPLEYNHNLNGSTKKGISYTRFKISNNKVY -GLVYKTDNRERTDPITGKKYIKGEFAVESDFLFSYKDAHKSSSYSLLHFSKIFVDGIKLESLSKYGMLKN -LLLSELIKTPRAQIMNFMLNEVSPSFLFVEFVKRLIGCITGTTLPSNLDTTSSLATEYIGIDIGGSSADQ -MKAFNDLSEENDMFDAEEDDDDLYGGVNFGNDLSKSVTSVLVELSMITIDVSKAKLLINCFVNSKIFTEI -TELCVMLFNATRVPTLDEDWSEMVENINLTEVIGSIESTIYNRFGQLLEVHKTDDNSNIKDEMNTLLSCV -LQSGLLSKTTWTIKSILTRANELSADINRKAMPKKILYKRSDSINQYYESTLITKYLDYLYKNRLSKYNI -DDAPGDDDFISGSGSNGRRLSTRSSSSLVSGKTDNDSLDIWIK ->AJG39247.1 RNA-dependent RNA polymerase [Shayang Spider Virus 2] -MDWFERTADLKVENDEEMEVPTINAKKQIELILASKEKAKRKGEVVDETEEELDVSVLTTLKETLTANLT -GINAAQVMETLEFFRHNRFRDILLKGIKQPDKIIAKNDSKIRGILRDMYIEKFGNKIRPTWWNQTPDALI -MRNGKYFVLEVAVSGSPNIVRRKKIEKYSQMTNDLNIDLIVKVHQDGNEMVDLDGQVVEDEYYMSILKTM -DEVNEIIDGQGLRAQYERSRNSVRPMRKRDINIPDVFTELRPSMGGAVKEEELMMEIMRKSEDEQIFKKT -TARRIQDGYSKASKKLREECDTNKPKNNSLNFLFSTERFGEGEKESEQVDRFLNMMDRSVNPQLKELASI -ARLCREEKIKRVTPREARICAPIMRKAKVKGFWEKDEEVKKKVGDRLKDPDLRKHMSWDPMNEDHIKKAM -DVTENLTKMDKEYFTKNPILCPNADDASEVMKVGCDFANSILLQAQKTAGIGMCRFASQMGLKTLHSKGN -QRYKLECWTGGFSANMFIKLPCEQPRVESSTIPFLSLSICREERNLIDLTDIMYKENIILDGEKVTLYIS -NPQRLVLRQMEQWINADLEAINLIALHSKPVENFQEDVKLFPIGHVTMFCLNQHLSLSYLLESLKNIGQS -SLSDYSRIEEFIEDKLSAALKSPYEVLLWRNSLAWAIKLHSLKPKSRSVIISNDENEQILRSSTINIKFP -SLFAIGEMYDLADIFNQISAPFMMRKKNQHDPIQGLTTLYGDVTKLQLDWDNGDKDVKVKDMTTKSKFTY -DSRYIKAATNKAISDFYERQSTQIMMNYEDSGTFDSHGLIATNRGSRKPKPDQAGFLVSSTNIEESLERE -HKTRVVDVAVEAVDEPPRFEFAQKEQKGKDRAIGITTFPYRCMLKCAEDLAKAHSKVLPNEAMNKGGELK -IKIAQSKISQLIKNRIDDIEAGKATLLMLSADNTKHSEHDAVEKYILSWAMHRHLNSRERGLVRMVLEKM -KERMIHLPKRIWNHYRKMIDDPFEENKEIAEFWKGGPAKRMPGGWVQGMFNNLSTKIHAEAQLYAIEVIR -YALQRDVQMVGDVHSDDSWLALLISIEDGDNAEELHARALNVYYWCMQGAGFRLNLKKTVASVNTMEFLS -RFYILGDQVSTYVKSGISIISPLPCRGPGIDMPSGLGKVMQYLRDGGWMCMTYMMCALVSEQINRLYSMG -PGQINDPCRNGAAKRTNIPLGHGGFYSGTPLALMMWGPKIHNLGLLYRFERLTQLEKRFLFSALPLYKGD -FTNIKDAIGRSIDGGWRGPVNNPNARRSVEKVKTKFKITDIEMAKKIIEDHPVLRYEKPRTHSLLMRYLR -AMILDPDFAMGLEKATGIKTWLRIAQSVRGENWRTGNVVYDEKLEKERPEMVTLEKWFIEAYKTRVDPEI -IDSFRTCFNNSGGRLMLLQEQTITFQGTSETERVPHSLKVIQPVPGEKAVTAGIGSLLMFRYDNKLYHED -GRINHLNPDWSGQLELLEEVVSALPEGLPVDQEIGVVQSILTQDKTYKIYASLPYYRTQSPTELLRVMYT -YSFFPNLLTSVEFTSIPSSSYVSPTFRMHRRSDLRRACGYVIGLHKWFISSNEPEEFLLEKMREIQYKTD -DGPMYLEDLIEEGLNSSALTRAMKDDLMVVKAYLENGIETPFTDEEIAQRFTQVQTNILDVEYSQVRLRN -GDWEGYGRFSISMEPNLYTALELDGLIRYNRRKEYSGSLINGARIVSNHKNEAAVNIAINIFRNYLGRMS -TQDFLHAFGVADCLDGLQLIGEVFHRRTFDENDKAKLIVWEYNPQEQAMIKRSKIINYHIDKNFNLIGTY -PDSKRSDKLRKITVRRTWTWLQLPTQGISDWTNDDGEIVMRTYGSLMINFKELEIRKGIHQLAASPSIDF -RDLLECVLLRGDYDEIKEGIMKKFTEGISNMRQMTDELKGGQLLMTVSQKREENDDLSDFMAKVQAIKEA -SPFDVNLYMKNNPVDSIEIEDEEDEPDLKAGTMVVIRYKGGQSLAYSMTLGFRMMFATSLNVYVKEDVVD -SWPLLVALWRWIENKELELGDNGWERTEGEEYIFLNYFKTLVTMLECTTSFTYDDAPGKEDYLRAVESST -IVDEISETTKEGKIDKVGGIIERATRERKLLLYSEINMLIRKLQRHYESLRVSYASNNDMFNIFG ->ANW72256.1 putative glycoprotein, partial [Terena virus] -SLDIIDIDTGRFKKNLTVDDIVRRIDYNDAHLCAYATYLITKKRSDIAEIFLLKCLKRVKDLKHVSVGDV -KTTQWLSRIGLKPIDSNQTPDIHFLSGNYIYIGDVSVTRNVRNTMATKQNKYEKLKSAVTQANDKFIVAD -FNFVIDSKLNGLRSWLKDFYDAYGVVVPKQDQMDFRREVTLINDVMESIESGVVDRAAYEKQLESYSNRS -EIRLVPDISIIKEELPNEDPYRPVHTEEELFNMIIEDSMNHTGTDDMGKLGNVNKVYEQLKINNDTNYPI -DREPRTPLQYVFNLDCDEMNRDLSLLRDYVTDIYFVENCFIASILPSLSQISKMEAIKAEASKRASIARI -QVQTGEFWKGIKEKYGQKSIYFSSSKNLMRQREKLYNLFEEYMEKSSRINVTPEQLDAMTKAAVADPKLK -QKLKYYKLKKPVSSIHSKHWGTSISKCEALSSYLSKPSKGAMGSTNLHFDIPDGIRGVREKENVEMILPL -YERVNKSRGALLAACIRKWANDCARLDKKSGIGIQYSIPIQGNMIFCTMTNTNLVKNDPDYNFFTITRYK -LPATDEERNELDLLIDSLFNGIIREKTRSENYVYITSKLFKVNLDLLSKMRNIDAELRSHCMVMGILVGT -NDIVDQKLVDRAYTTGNNDVDILLDLNKRKGKPTVGASTVTMNYLDHYIGPTALLMTDIHQAPSLILDLM -KYIAGINFSMKSSLTSLLLDKLQLMLKTNLDIFIIHKMFKFINNNMRLERFFRCAKLKMAASGVSDSSFT -YNGLFNSFWNEDFIFSDVSLYLSECQLMTQMRPKKLYGAQFMDKACFKVGNNNLKMENEEKLYPGTTRGV -ITGKFMFQNEFSYSTDAVYYAQCLSNTSDDKARAKYNKRIVGSLHELGIGNLSMRGSCKLAEDMKAGKGL -SETSLYNGLMYLTKYIEEGDTESCKVYNIAHNAINRIEHYNMAQKDQRFGGRAIGSPDYPTKMSLFLNET -IFKIISSPQNENLLVKGVNRAQKITAIHRKILKSAYDHNYEHVVHIVMDQSQFSEGDNTNKFIDFIRFNT -DIPDAVKPIMIAIEKKHQRRVQHWPTISEKTKRDLGMYLTSFNGIIGIAGWVQGMKNIMSTYCHIASVKW -LHKLFVDYYKRNGKKVITDNKPLLMEQIVNSDDSYILISHNDMSVIKDFHSFLISGKKMLRLVENVKKSY -MSKNIGEIIQKYVVNGCVENIYGKIVVNCFNNNMGINLSKDILSSIGSLQSMHKEGAPEILITYMRAELK -NQVFTMYNLGSGKFNDLSKIGCNISKLPCELGGWPGNISTFELVCAGTYSQLSYCNEYYKANDNSPECAI -VKSSIAFAV diff --git a/seq/clusters_seq/cluster_525 b/seq/clusters_seq/cluster_525 deleted file mode 100644 index dc5fa14..0000000 --- a/seq/clusters_seq/cluster_525 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_009342271.1 hypothetical protein 3 [Wenzhou tombus-like virus 18] -MTGSNRRANAGRKTQPKPQRKPRAPRRPKVQNAPRIQQGGGPVPLLESNSNMRQMHNGMTRVVGSDYLGV -VSVAGNPADAAAKVRKVLSVSPSSFPGTRLTQMSDLWERYVFRQFRVRYVPSVPNTLACQVMVYQDTDPQ -DDPTAIKDADALLRQATAQTGSQQWNFNSAKVIHLAKRSDNQLYYTGPVKENPRFNQQGVVYFIQVSQAL -DMNGKPLTADMECGSLYVDWVIDFQTPQVNPSAVEARLPSAGFFTRQILVNDKLTFAAQASLTVALTALS -ATPKVWLEGPTRVDLATLGGPGGNLVQIELTRAQPGDYTVKFVGCDSVTLVSSAPIA - ->YP_009337754.1 hypothetical protein 3 [Wenzhou crab virus 4] -MTGNNRSANAGRRKATAHSQKPKRAPRRVTRRMQAQAVQPGGVLAPLMESNANYREMKNGFTRVAGSDFL -GTVSVTGSPADAASRIRKVLSISPSAYPGTRITQMADLWERFIFRKFRLRYVPSVPNTLACQVMIYQDTD -PQDDPTVITDPDALVRQATAQTGSQQWNFNSAKAVHLAKRSDGQLYYTGPVKENPRFNQQGVAYLIQVSE -ALNFNGEKLSGDLECGSLYVDWEVDFQTPQINPSAVVSPPTPPPGKDICPVHTLFVDDLAGVKIVPDKVG -IFGTAKVWVQRVNFMTQSSAATPFTVKLGTDKIVDFQGNGNVDIQETELPLEGALLVTTELDRPTSITLG -SNATLRKVA - ->YP_009337116.1 hypothetical protein 3 [Wenling tombus-like virus 4] -MSKNKKPNGSRSAQKKRSQQSQRQASRPRQTGKVTLNQRVTPLQQSNANYRPMNNKVCTLKGSDLLSTVS -VVPSPTDVDSMIRKTLAISPSAYPGTRLTQLADMWERYRFRKFHIRYVPSVPNTLSCQMLLYQDTDPQDD -PKDIGTTDALLRQATAQTGSQQWNFNSAKSFDLASRADKELYYTGEQKENRRFNLQGIAYLIQVSDALDF -NGAPIKDSMTCGSLYVDWEIELQTPQMNPSATTRSAGSVREQHVNVTIDNVDTLTVVARDTGYVAPTRTV -IPKGITPDKNTMLTTVHINGQERAANYFRKVGGDGRAIYGLNSGVDTYVEKGDVITFARGAMEAGITVTW -TISYGGKLQSGPGYLVAPDPFFWVGTYEVYEGDAPTDLIAQGDGCITVVDLMGSGDGAVIAAVRITDDGP -AHLVTTRATGGFDLNGGTPVEFKRGDMVHLWAPPDADPYSGLTFSMTQLVY - ->YP_009336951.1 hypothetical protein 1 [Beihai tombus-like virus 18] -MPTNKGNTKKANGNANMKKMMAKSSSKSGPRSGITPKVNLGKQKSSVKWGNDRCSVSGEDFIHTLQLNEL -PNSRNFAGAVLFPQYVNPWRLPGTRLTQFANLFQRFKFTRFTVKYIPAVPATVAGQIIMCTDTDATWAPI -GDTQDVIRMMMAHKDRIMFHVFDNAKAVIPGTSKPEYMCDAQGQDVRVNNQGVFWAALVSPVVKQDGAEW -TGAVGSFVLEWEVIFTAARILTPQRITSQSTAKAQWTGDDDYGASLAQVTGAEPNTQTCVAIFRDVGQAI -GMTSGTPYFLGSRPLSTRVVPSEYERAYNVYGTLRGAQQLDKLDLIPVEGILTAVDFIWWPIGPEHGTRN -STIDAKVQMSGLSNTTDTGLLNVDYNNTDPRRQADEYFVRATGALPEDNADDPSDLNGVALIYTDENDVT -RTVEQNQMLIYRKTTPRALATAMGWTDVQFQNIYGFSVNEKAFVGVLITALGHFVRAVSILAKAARIALA -IIREVKEYESEFFKRINFSAASELERANGLHSGHTLSLVKNPPRQIPSGGFRREKASNVXILGTGDSTSL -QLVEPCPEPGVFVFREVNDEHQGNLSEPDDSDSESIASSDPLNEHFVFRRNDDVCYVVVNIVNNYIFECD -THFDFPPGSVWVPDLTVFGIEPNPGPVCHNCGKNGHIARECHAPKAKRETRKTVNKNLGPRRDGQNKLAA -SVREGLAAVAGASDAAKEKDAEAIDRLKEVIKEVVKTQKDEEEKDVKTYTPLPGNFTSVIEHKYFDHPDF -IPTYKVTSPVRILYHTLILTLKLCKYYPLFGSAVVYLLLSLLMKRINSIMPTGPAFMKPISSLFPLMAKC -FVTLPATFWSLGFSYGFAFTYVLRHLKFRTTYETYCKVLYQEAEGEMRPERMHHQDLIKYSDPKTVKVKV -TDKLICQDDLFKIDEEVVEYERKRQLNVSLELFSQFSGYTMITAPTKEFYMGLQRDIKRTCSIGFDRMDM -ARRNIFNDTATYITAWKLSEMTKRDSHEQPLN - ->YP_009336809.1 putative capsid protein [Changjiang astro-like virus] -MSKKKGKKKVVVEEVVVASSPTTEKKKRRRQKKRQKRTAIADAQAKPQKLPVITAYSTKTVQISTRTNKN -GDIVMSGRDRLEVVTFPNIVTAETCVLNEFLVSPKYFKMNSRLSKVAANWEKYRFVKFTVDYVPSVPSTI -SGQLIMSFDPDPEGTVAGGDYQTVAEFCMNRQRSVMFSINEHKRVSLNCDPKLGINDWFVDLESNGEIKL -TAQGRFDLVVSTPLISGSQITQSLVVGTLFADWEVILKQPQRAQNTGAVTSRSLSEYLNWEYWAPLLELS -ADVTYFNCKSTYAVTNGFKFINNSKGVCETKNVGYVANANNGNTKAGVISDMMAGRVYVCRGSFFPIGEQ -WRTYTLKGITVTALTRNTYIFFMNNFSTVDNSNPLRDINVDTDSGCRDIVMGVRFLNTQAGNMQIGYYTD -ASIQSDSYTRQVTKCGDALQGQILRLLARASMWSADSEEAPRLSKTQIPTLEDRLRKIEETLDVNTSRYE -GEFGC - ->YP_009337263.1 hypothetical protein 3 [Wenling tombus-like virus 5] -MPGAKPKPQRVTRTPRRNAQQRSVTQQLQSTRQVVNLVASNANHRPVDNSTHRLNGSDYLGEVTTATPLT -AKNTVRLSMQLSPTGFKGTRVSALGELYEKYRYRRAVVRYVPALPATVGGQLLAYVDQDPKDDPREVQDL -KALRAMASSSSGAQAWNLPLAKSITMPGTNENKWYYTGHQEENPRLSVQGVLHLLQMTDAVGTDGQPLAA -NSVAGTLYLDWVLEFKTPQMNPELVAAAKGYVPDVPIGPPGGGKHVTLDTRKLGDQASSWIPIPSEDGFI -TVRNIVGCQPNDKLMEHKGNGFWIGVYWSETGSYSDDPLANPIWRVKYINHPLSGDMWTSWFYLADDDSS -MSPFIPPANAKYVTLIESTDFPSGSEVPVDPVDTPLYTGCRVDIMWTHVKEETDLLVRR - ->YP_004207809.1 capsid protein [Penaeus vannamei nodavirus] -MKRKPNSNQNNNNNRGNGNGLRRVRGGRVSRRRVVINQSNQSMPVTSNGAPLQALTSYSRPNVNKISRLG -PDSDFLTSVVAKASTSIVTPADRILVKQPLSASSFPGTRITGLSSYWERYKWLSAVARYVPAVPNTVACQ -FVMYIDTDPLDDPSNISDDNQIVRQAVSQAGSNQFNFNTSKTVPLIVRADNQYYYTGVDKQNLRFSLQGI -LYIIQVTDLINFNGELITQDLTCGSLFLDWLVNFSIPQINPTSLTDVRVDKAVNFIKPEVSGVAEIQTVT -GLSPSTSYLLTPAFLEQNFQSEAGIYILSATPVEGEGTISINMDPTVTTVSGFIKVKTDTFGTFDLSVVL -TTASKKQTTGFNIIAATS - ->NP_919038.1 capsid protein [Macrobrachium rosenbergii nodavirus] -MARGKQNSNQIQNNSNANGKRRKRNRRNRNPQTVPNFNPIVAKPTVAPLQTNIRSARSDVNAITVLNGSD -FLTTVKVRGSNNLTDSKSRILVKQPISASSFLGTRISGLSQFWERYRWHKAAVRYVPAVPNTLACQLIGY -IDTDPLDDPNVILDVDQLLRQATSQVGARQWNFSDTTTIPLIVRRDDQLYYTGQDKENVRFSQQGVFYLL -QVTTLLNISGEAITNDLISGSLYLDWVCGFSMPQINPTPVEVSQLTYNADTIGNWVPPTELKQTYTQDIT -GLKPNSKFIIVPYMDRVSSEVLQKCTITCNEVDAVGSISYFDTSAIKCDGYISFQANSIGEATFTLVTDY -QGAVDPKPYQYRIIRAIVGNN - diff --git a/seq/clusters_seq/cluster_526 b/seq/clusters_seq/cluster_526 deleted file mode 100644 index 1eb1410..0000000 --- a/seq/clusters_seq/cluster_526 +++ /dev/null @@ -1,98 +0,0 @@ ->YP_010086050.1 hypothetical protein KM557_s4gp1 [Penicillium brevicompactum tetramycovirus 1] -MADLTRLASIALNVDSPAATLAAVVYYTGKVTPGAEYVEPAVLRDVLGWIAASPMLSIGNVVNEEAVAYV -RLYNGSDKLNNSVLDDASYYLRHFGPLGGSYTKDARTVRVAEIINHASIHQGEATTGPVETALLESVSVA -GYEGLAGALGAVSRLHLQQVIDKPDVVVTQLDRAVFTASTVAREATGEHRFLATPPCVSRQSAIACLAVM -LSVTHRRLVTDRIREKATTVTSMAARIDLEAVRDIVYPARELITNYIFDPAELVLRDQNGRVRERATSRS -PAAIVAFAFLTSRGSSDVLMDLANRRVDAVVDKDSLVPHVQEYYDNSRTIYSSLLLFLRALEGTRWERAN -NGRGEDVNKGPCLGYMAAGRTRRDRNTAAAVNRLSEMVVENRELVPEAGRILYILDWNGVYDRSVVLGAA -SLMKIDVALDMGASGHDVGGVANPDKASQTRHYTVLLPALPGRSMPTCSTVEYQPGDGTASRIRILVDAI -GGFNDAYSYIYGGTPADKTITPAVSVDEAQARVFAVSGSSVGIPNALSTVDILLPPSCYHWPATPVDTMV -DTFGDVDESCMSCQDSVRALRRTAELLSVVGARLVKTRSAFGHNAQFDIEVSPAIRDGASDTGVTLDATA -MLNHMRNKDWGGDTFRPGGVVASTNQYTAEVDEECHAILTHIRMLTYEDLAGIGEAAGPLPSSDFDDVAR -SLG - ->YP_010086047.1 hypothetical protein KM556_s2gp1 [Magnaporthe oryzae polymycovirus 1] -MADLTRLRSILLDTATPAAQIGMALYHMFPAPDASALLDSQSVRQAVLWLSSAPVALYGGVVGAAGAQPS -DFARLLDKLDDSTAARAATIAHAMVARDREWDRAVRDGYQTQVSVHGPGSARVDAQTSVEFAALDALTDA -GYAGIDDAVAAFADLRRVVAKSTCVIRSTQLARSRHAVIARFPLSDGTVRLVFTPWCLSRRSALAVAGLL -VSDVRRGVLHDRVVKRALTVTTFAARTSLQAVRDLTGPALALLTRYAYDPVRMALVGDDGVEQQTVGSRL -PHVTVAFAAIFSSGSADPLIALARARFELANGLPNLNMNASARQYFEYAEDPYSDVVLFSAALAQARQQR -EKSEHGHRVNKGAMLGYVARGRTALDRNTSACVNRIEETVVTLRARGHEPSSYIMVVEWGGAINIATVLA -SAAVAGIDVALDVADSGIDLPGADIYGDNDDPAHHYQLYLARASSMNLPRMPTVEYTKGTPLVVKLESVL -SAVKAAGDKRLVYVHGGIARERQVPISTAIDCNNRLDALSHVIADPPPLLYTSEILLPPFCVHSYQCTAE -ALLETGGLVDDTCDQCELVSRACAVLSSILDRPGIRLVKARSMYAHNSHFAVEVFPGEVDLSHDTATTTD -ACVAANVLRNHTYNTPPPEGDASKDITSPELMELTRPIIEMVSAAMSGGPVAKVSAERADQVAASIA - ->YP_010086042.1 hypothetical protein KM555_s2gp1 [Fusarium redolens polymycovirus 1] -MADLAHLRHMLLTADHRVFSLAGMCHHLLPDPTSFEFCDFDSVPRIRGWVCSAPLPVFGPSFPLVKNSFP -DFVEQLQSLSSDAVDASVALLRAMRVEDKAFDKSTRNATTGLTAQSAPGASRFSAQSHIEFALYDCITEA -GYASAKDAERQLQAFIVATKAKYFRVSRQFSRGRTAALFQFRPVEKGSRMVTLHVPYLTSAEATRIYLAV -VLRQFIYGLGVLTVKKKAHTVLGYAARTSLTALRRITYPAHRIIEEYEFDRLRLAFLDSRGEVAYDVHDR -SPSVVVAFARVLSLGSCDVNVEGSDLRLGIDVPGGPRGWARKYFEADTDLSGVVMGVGFALAEARVERDA -HPGGQDLNKDEGLSYFARDRSARDRNTASCITRIEEVSCLMRYRQRDVTDATILIEWGGQLNHDLILAAA -AMTGATVALDVGRASFQLGKGSAAPDLNDGDEATGSYICVVPHAEARGLHRIPVIQYPVSASINTRVERV -AQSLGVRSSDDLIYICGGATAERIPGAEITQLSTSKMHLLLESTFKPGFFCSDILIPDLCAHKFVAAEES -FVDPTVGGDCPSCQEFQYVLAVLEESLSVPGTSLVKPRASYAHNMHYSLEWDPSEDPEYAGVRTAMTLDA -TVAANVARNAEWATYVPGKGSRRARHREYSEEALKVIRSLYGSMVYNTEIGVGETRTVVDSIVNDKLD - ->YP_009352876.1 hypothetical protein B7L81_sORF2gp1 [Beauveria bassiana polymycovirus 1] -MADLTRLSAIAVDVGSPAPAVAAVLDLFGVSDPTGQCLSSAQVMSVVEWIAGFPLQSAPGLMPAYVFEYV -KSKAGSLVASAGVCDDVAYFLRHFEAPDEHYTKSGRSARVAQVALNKPLKGDGAHLGAVELALLDAVTRV -GYVGPAGALASVARLRTMEVLRHYAVDVRQRACAQYRVTCQVCLPGRDSYPLITPWCVSRQSATICAGVI -LASVGGEAATKAVQRRAVTVASHAARIGLDAVRAIVAPACRLVAEYSFDPVRLVLTDRYGRVRERITSRS -PAATVAFAYLTSRGSADPLLAMASERVERMGPVELLPQCVQDYWNQSEDIVGMLSLFCRALHLTRVEREE -EERGRDVNKGPCLGYLAVGRKKRDRNTASCINRLCELMCEVRALGQSPEDLLFVVEWGGQIDSAAVPAFC -AMARVDCAFDVGASGVDIGGVADPGKSLEVHHYTAVLTHRVGRTLSVCCEVPYAKDSGVNDRIRTVVDAV -GEGVKGFVYVSGGIPAVASMSAEQSVNDAHSDIYAALVGDAPLKCILFSTDLIIPPACPHCETVDLDHFL -ASRGVLSEDCRECTVFGRALRLMSELMSTPGVRLVKTRSAFAHNSQFDLEYSSLLRNTLTDSSSAVDSAA -FSTGARNRTWGGGMPVGSDDLVAAQAIGGTVDGEFYDRMVGIRRNVYALLAGGAQPRPALADDTFSDVVR -SVGA - ->YP_009052471.1 hypothetical protein JT07_s2gp1 [Cladosporium cladosporioides virus 1] -MADLARLRHMLLDPTESAVLLASMCHHLIPDPTSVDLFDYSFPPRARDWIISAPFPLFGPAIPASKSSFE -AILEPLRAMEYNSLDMAAVLMRSMRVADNPFAKVTRGETTGDVAQSRAGAAQHAAQSPIEFAIYDVLRDA -GYTSVSDALSKLQSFLTSTKAFVHPITRQFSRHRSAALFLINKPGARGPTAFLTPFFTSKEATKLFAAVV -FRSDILTSLSRLNAARGVTVLGYAARASLEAVRRIVEPAHAIVNEYSFDPQTLSFIDPAGDPTYHITDRT -PSVVVAFGRVLSGGSVDACSKASDLRTSLDIPGGLPDVAREYFSSTGNVEDTIRSAATALSDFRSFRDSH -PGGHELNKDSGLSFFSRDRATRDRNTAACITRVEEVACLLRSQGRDIARMAFVVEWGGPLNNDSILAALA -LTGATACVDVGPGAFKVGDSSEELATENDKGAYVCLIPRASTRGLPRMPIVGYESGDSVTQRLDRLLSFI -SGPDNYPPVAYITGGSTTSGAAPADIIGITQARAALLTDYLASGSFFCGDILIPDVCRHPPDGTDDECLA -CANFDYSVFTVGDVCQLDGFSLVKPRASYAHNLHLSLEWIHGAAREFSESRTLLALDSVVATNVARNADW -GDFVPRDGPRRPHHPSYGLKVKLIIEDVYRSMAEGAEEGIGATKTIVESLA - ->YP_009551551.1 hypothetical protein [Penicillium digitatum polymycoviruses 1] -MAGNLARLRSLVLSAHADTLLVASTIFHCFPDPTAHQDITRPEVEAYINWMASSPYPAYGRVVGHVIEKR -EELVEVFMRLEPERYEDVADLVNAMSTADAAWDKSARASATNDLVRNTASAAQLAGHSAVEFVALESLVS -AGYDNISLATTSIDLLRKTGFIDRMVDDGLQLRRSRRAVAASWVEEQTGVRRFFVSPWCLSKQACLALLA -HYVREPNRARLEAVAVRRGQTALTYEARAGLQAVRAILEPAIAILHSHRYDPLQLAFVDRHGRRAYDIAT -RTKHVLVAFSYVHCRGSEDTLLELARVRSALAAPDITACREYAEFRDAEEVDETGLLVLFARTLLATRDH -RDDIGFGDGYNKPPCLGLVMRARGDEERNTLACVARCQETVAYLRHREVDLGKQLLAVHWEGDLNPDVVI -AAMAVSKVDIAVDIGTASVDIPGAAPIGASDSSYLVLLASAEARGLPRIIRLPYPTGLSISDRVELLYEF -YTASGQGAVCYVGGGSPFSGKPSTQTCADANSVARALAPHVLSGALTFGTADFILPNLCAAHARRSEVAH -AIECNGAFEYTCHNCVGFAHGLRDTVELVGMAGARLVKTRSAYAHNGNFSVELFGAGDPDFEDTVQTIET -TAFMTAVRNADWEGELVIPERGDPYHRAFMDAMSVATRRAYDYLSGGEVLPVPEADLASVARSIT - ->YP_009551545.1 protease [Aspergillus fumigatus polymycovirus 1] -MADLTRLRNIVLSGDMKVALTAAILYHLSPNPSAITDYGVDEVKSVVSWLVSSPGMFYGDVVAATGITPD -ILSTFLPALDDQACADAASLANAMATPDAEWDREHRNRFTSALAHHSADAARLAAQSSAEFAAVDAMEEA -GYENQDHARTAVNELNRVYGNTYRVFTRQHARSRVAIAASFKIDDHGTLFHVLTPWCLSRTSAMIYVAVI -ARHVRRRHIEERASRLARTALTYAARAGLEAVRAIIEPAIAIVTSFRYDPAKIAFVDDFDRVRESITTRS -PPTLVAFACVHMCGNQDVVSLAARLRMESLPQLHETPPAVVEYTDHVDDEQSALLMFSRVLKRLRHERDT -GVHGPRLNKDPMLGYIARGVRRSERNTMACINRVEEAVSFLRSKGVDTLNTLFVIEWGGEFDYPTVMAAL -AVARLDVCVDIGPSGIDLPGADAKAEDGTEEYNYSLLLASAEERRMPRMPRVPYPSDEPLEAKLRRVAQF -YSLSGSANIAYISGGVTQNEGVPVNICIDTANRLNAIRNVTPDVNVVYACAEALLPPLCGHGLELGPDDY -LQCASLVDEDCPACNAHYRATAVLSAACADRQVRIVKPRSAYAHNGHLSLELVPGHPTCVDDTLLTVDSM -VAANVLRNHDWGDEPVTPPAGGNPTSPTLARVTAENMRTVYSALNNGAVGPFDYDDMASIAASIAP - ->YP_009342447.1 hypothetical protein [Botryosphaeria dothidea virus 1] -MADLTRLREIALSGDLNTTFVGAALFHLSESPTASPHYTAEAAQAAVEFLSTAPVPVMGEIVGPLSQGAL -HFQRVKQGMTMSDYARAATLANAMATPDSEWDRAGRNTFASRLVEHRPDAAQHAAQSSAEFAALDALTDA -GYENMQHAANELNLVRRTKAHYFKHHVRQFARSRHAVSCRFDMSPGVIGAILSPWCLSRQSAYAYVAVML -AHIRKDVRTQRAARIAAGTVSSYSARSSLEAVRRVVEPAIYILSTYTFDPRRLAFCADDGRVMETIQTRS -PHVLAAFAAVCAAGTSDVVMDLAAMRSASVPADRPEPQVLTEYEERLDNEESAMLLFSRALRHYRAERDA -SVPGPRLNKDPTLGYVVRAIKRIERNTQACIQRILEVVSTARHRGVDMTNTLVFVEWGGGIDYAQVIGAI -ALAKIDVAVDVGQSGTDVPGMDVVGEDDDPTCSIQLLLASAGRRNLPRMPIVSYAASDTLESKISGLSEL -YTGEGAGIIYVSGGVVRDEGTPVSVCADASARLNAVFVASERSNVLMGVAEALLPPLCDHAADVSVDDYL -DSLSQVEEDCKACEAHYRSVVLLSTLCVRDGVRVVKPRSSYGHNGHVSMEFIRGEGRLFEDTAATFDSMV -ACNVLRNYPWVEDPITPVGGGNPTSPPYSALMADVITHIYRLLSGGRAAPLDLLDLESVAQSIT - diff --git a/seq/clusters_seq/cluster_527 b/seq/clusters_seq/cluster_527 deleted file mode 100644 index 23ee71f..0000000 --- a/seq/clusters_seq/cluster_527 +++ /dev/null @@ -1,80 +0,0 @@ ->YP_010087862.1 HSP90h [Malus domestica virus A] -MELSRNALFRRVFEALHFKSKVDKEFDEYIKFLKSDIVRLNRQSFVSSQGGLRKKYESKFYLKDGLIMEG -DLSIDDVIFLMCDYFSNFNVDYKVKSPYPIANVIGFYSHPETTEVLEVYKDKSLEQIAADNPTLGCRYTK -AQVLEKYPELSGASLEFTYRLSNSLGRLVKPSELSSGDLKVFEVKRFSQKVSEISEDPVLGKLCEYIDDY -ITVESSEADQKLFGNMITMCSLIYIQLDPKKRDVLYQNEVFRGLLYKLIRRFKNSVVNGETRFNLLNVFN -AEFADDVKTLFNCDIIVDHIKAIRSPEISSREIFDVAILRDIAFKTKMSMQHKDLSHCLSERSDVVLLQI -FCDYFNEIFSISSKELVEALVLVFFSFLSTSNKILDRNEEFSFQYKADNGATRDLTLNSKHFLSYVEGRK -DALDARDVKRNIYRLFCSKRANYAIMVNEQFGFKPNLFSVCKLIQGHMRIDFWKGLRVEFLTQEEEKSYL -LLKAITEYHSRRDEKSKNNYYKLIGII - ->YP_009666142.1 60.6 kDa protein [Cordyline virus 2] -MELGRDTYFLNFLRAVTGKKDVNDLCDDIVNFTLKNDNILRNSSETASSGSGVQKIYKPSYTIRDEKVYI -NNNNFDKSMYLLLKYLSLGIKNYSDYSSYPPANLNVYYDENLFNRSLENAGLSLPETLLIDDTIGCIFPL -SQIRKTFPNHSKSEQEFNYRISNSLGEIFDLRATNHEKLNIFKPKSFYGEKVSDITSSKTLNKAIHYVEV -YLEITSKELMNVLFTNLLCFADIVKVKLYNQFGNTGVNNMITLGALFEICKIFEFRKCDLNEKMKFADIY -NKEYENTIERLFRKGSIVTNKDIAKYPNATFGEKFSKPSIFSEIVKQYKPSGTRNETHLKLQDVSDDQLM -KIFIEYFEKFYSIKDEFLVEAIVLVFFAFMSTSPYIRSRDETFEHKIFIGESEKDLKINPKHFLNFVDSR -ANRLLPSDTKRNYLRLFCSARSNYAIDLFNHIGYKPTMFPNRNKILDHMRIEFWKGLDMNRLTNSEQMSV -NVLKRLTEYHSKRDDQSRRRYLEIIGL - ->YP_009664828.1 61.2 kDa protein [Cordyline virus 4] -MESISSQNLIDVLKFVTFKSDFSNFYNSLLTFVKSDISKINSSSLIGIVGSGQKTEFYGKFTINGDDIRS -VTDDMSSYIYLVAYYFKYGIKDYDSLSSYPPENLFAAFTDKTVDQYRKYVDKTIDYLADEYINAGCTYSM -LDIKNRFPDYSEADLQFCFKLSNSLGTITSLDSIKSEDLRIFRKDSVDLTAKSKIFSNKLLDVIEDFTNV -FFSVSANELMANLYNNLMCFATVPSSTVIAQVGKENFNTPLMKGIFYSILKDYEFKVCNFQTKYDFIENF -NSNYSSLIDRLYNIKSYIKDSDIVNDNSTKIEEKIQLPKLLTYIVNVKKLNKAKYTSHLKLRDINDATVS -RIFMKYFNEKHSIFDEDLIEAIVLFFFAFTSTSPNAFSKDEVFNMVVPRYNSNYRLVINTKDFISYFNKS -KHLITEDDVNRNIFRLYCSKRANYAILLFKHLNYTTPVLFSKYPKVLSHLRVDFWKGLDMERLTRDEIKS -INLIRLITEYHSSSDSNPQIKRYNKQRLFEALELY - ->YP_009664818.1 60.2 kDa protein [Cordyline virus 3] -MDLEKSENFKNLVKFITLKSNNNSLISKIIDYTRKNLKSISNLRYTGESGSGVKTDFNCFVYESQGRILI -SDDKVTSLLVLVFHYLQSDDVNISKFSSYPPENLFVYITPELVRSYDFIVDKSMIDFCEIYPDLGCTFPK -EQIAKAFPELKGADLEFTYRLSNSIGKIQSISNVSKDELKIFRKDSVEQSRASAKILSSSLLSKAENYVD -TFLSIGSNELLKSLYDKLLIFADLVTPRIDKTISGDYKSEPIILGALYTLLREFEFKILNFNRQYEFLTK -FNERLNGPLSILYNADFKSSVEDVLENKRITSINKFTNLNLQPYFLKRTKIECVASTTHLKLSEPGDTAM -CHIFNEYFYKHFGISEFDVVEALVLLFFAFMSTSPYIRQREETFTTSILYEDHPLKITIKSKPFIKYIDS -CAKLLPEKDAKRNYIRLFCSYRADYAIQLYKLLGYRPSLYNNCPKILDHLRIDFWKGLNKKLLTKEEQIS -INILMCLTEYHSRRDESSKLRYYEALGLV - ->YP_009506348.1 p61 [Cordyline virus 1] -MDLKGDRYFEKFLKAVTGLSDIQNIRQNIIDLVIEFDSTIKFSSEVGQSGDGTVKTYKASYRIKDGEVFI -DDNDFDKSMYIFLKYLTIGVKDIKDYTSYPPVNLNVHYNKSLFERSLAIAGLSLPTLLLQNDKLGCTFSL -SEIRRLFPNHSVSEQEFNYRISNSIGKIFDLREAKSEDLEVFKPKSYYESKVSDVKTNKTLDRANEFVET -YLDINSKELTKILYNNLLCFADIVRQKILQTFGETAVNNIITLGALYEICKSFEFKKCNLSERTKFCDIY -NENYENIFKRLYLKSSKISRREIADYPNASLAEKYSEVSIFNELLNKYKPIGTRNDTHLKLNIASDEELL -NIFIEYFKEYLGISDRFIIETLVLVFFAFLSTSPYIRARDETFVHTFYLDDSEKTIKIKSKHFLNYVDSR -KHRLLTSDARRNYIRLFCSARANFAIELFQHINYKPNMFSNCPKILAHMRIDFWKGLDMKRLTNEEIMSV -NVLSRLTEYHSNRDEKSRERYLEVLGLTV - ->YP_009140436.1 p60 protein [Areca palm velarivirus 1] -MALKNPDYVNLLTFSSQSNVKQTILAYCKERQINNLRRNYTGTAPGNKNKIFSCDLHISNLQSFDTLSEN -SAIAVICFYIAEVCKAASTLRSPYPPANLYVYHNDDLVRVLAPFLDKHVEDICRENPSLGCLYSYRQIDE -KYKDHSTLDKKLLFQLNNSLRQEVDLQKLKPTSLNTFKVQFSPKVATTLITSIDLINTTKRFVEKYFEVR -DNLQLQAVLRSFKPFEIRMKQLVVKESLDLFNTSLIVQGAVYCVFLNYKYQDPDLYLFNECVDRFNTLYA -PILSKLFKKDFIVRISNVRDIAEFDNTNFMLSADLLSQVIKELNLDPTSFVSDSRFLSPDIDALIRHTMY -EFFFTRFDLRDPFENLCVGIITFIYLTTSPGIFTHNEPIDFKFTNNGKTFHIKFQSFQLLNTLSNCLTDL -KNLKKGKNYIRLFCSARADLAMKLIQIFRIEPPLFREYPNILPYMRFDFWKGITVKNLNEEEMISLSLLQ -EITDYHSSGSIKAKDLIYKKLGI - ->YP_004935923.1 p64 gene product [Grapevine leafroll-associated virus 7] -MDVLKSKQFYRCFSTLMMRSNIDDVLVNFKTHIGSLGQSTLNLNYNGVSGPNKRKFSSIFEVSGETLIKP -TIDDVDVLTLFIYYLKVVEKDKYNQCCPYPPENLLVLREKISELKKNQSYIDKTVTQICDEDDKLGCKFP -MSLVKSELDGESLNYQLLSYKFWNSLGEKKDLRSISKSDLNIFKVMRDVSSPDTREKSQSECIKAAINYV -DFYYEILEDQLFQSVLLSKQKFLYGLRSCLQDEVLNLFNSSVICKGAEMCLLNIYKYFKMTDVNLKNFLV -LYNLEFAPVLEKLFKRDMKARLSELIEKSVVDTSMVLNDIDLLKIQLDRLNNDVAIRSSPYNLSKISDKI -IFDRICKWFEMENMIQDKNLIEAVTLMFFSYLSTSPNIRSRDERFQMSFTYNSKRYNIDIDSKKFLSWID -GCKNQLSEGDRNRNYVRIFCAHRANKAIRINELFNFTPRLFSNLIDVPNHIRIDFYKGLDHTKLTKQELI -GLEKIRSVTEYRSKNDEHSRQRYADFVFSEYLD - ->NP_045005.1 hypothetical protein [Little cherry virus 1] -MEIESKNFSRILSALIFKHDISEEIRGFKNILSKDKIKNKVFHGVSGNDNEEIKFFGNIQDNKLVIKDDD -ILSIIFLCCIYFTEFDRRFVDKSAYPIENILCFYDHPLDTIELENFKGESLLSYCDKHPNVGCKFTIDDI -KEKFSSLNDSDLYFSYRLSNCLGRLTSVLDAKSTDFSVLRKIPTLLSKKSYVDQSDTLIRCMKYMDHYFE -ISSSESLLPLYSQSLTMCSLIYLLLPVDERDKLYNNEIFLGCLYSLIGDYINEKDSLKIRISILNDFIDD -HLGTLKKLFSFGFETDFKKVIKSEEFSARQLFEINELRQLMLEESAKDVNAKSYAQSLSDQSDLVMENIV -SDYFRIYFNISHPELAVALTLVFFSFMSTSPNIRKRTEEFKFNYISDDGREKIGKIRSNHFVNYIDSRKN -ELTFRDRDRNYIRLFCSKRANLAIRVNEKFKFQPTVMDKCPKVLPYMKIDFYKGLKATLLTKEENESLAH -LKLYTDYVSDNSKFSKDKYFDYLMKPT - diff --git a/seq/clusters_seq/cluster_528 b/seq/clusters_seq/cluster_528 deleted file mode 100644 index 8d4ed30..0000000 --- a/seq/clusters_seq/cluster_528 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_003815434.1 matrix protein [Bundibugyo ebolavirus] -MRRAILPTAPPEYIEAVYPMRTVSTSINSTASGPNFPAPDVMMSDTPSNSLRPIADDNIDHPSHTPTSVS -SAFILEAMVNVISGPKVLMKQIPIWLPLGVADQKTYSFDSTTAAIMLASYTITHFGKTSNPLVRINRLGP -GIPDHPLRLLRIGNQAFLQEFVLPPVQLPQYFTFDLTALKLITQPLPAATWTDDTPTGPTGILRPGISFH -PKLRPILLPGKTGKRGSSSDLTSPDKIQAIMNFLQDLKLVPIDPAKNIMGIEVPELLVHRLTGKKITTKN -GQPIIPILLPKYIGMDPISQGDLTMVITQDCDTCHSPASLPPVSEK - ->YP_009513276.1 matrix protein [Bombali ebolavirus] -MRRTVIPTAPPDYTEALYPQRTNSIGSASTSTNQQYYPTDVPGGDIPSNSLRPVADDNIDHTNHTPDNVS -SAFILEAMVNVISGPKVLMKQIPIWLPLGVADQKKYSFDSTTAAIMLASYTITHFGKTSNPLVRVNRLGP -GIPDHPLRLLRLGNQAFLQEFVLPPVQLPQYFTFDLTALKLITQPLPAATWTDEVLLTSPNMLRPGLSFH -PKLRPILLPGKPGKKGANLGLTAPDKIHAIMDHLQDLKVVPIDSSKNIVGIEVPDALVQKLTGKKPHAKN -GQSIIPVLLPKYIGPDPVSASDLTMVITQDCDTCNSPASFPIASEK - ->YP_004928137.1 matrix protein [Lloviu cuevavirus] -MFSKHVTLPPPPYNPSSPEGLYYNPDAGKKHGNPHSHPVPHTEHSRASNTIRPTADFSLDYDSSSASGAI -SAFMLEAYVNVISNNKVLLKLVPLWLPLGVAGQDLYSFDSTASALLIASYTITHFGMTTRPLVRVNRLGP -GIPDHPLHLLRLGNQAFLQELVLPPLQLPQFFTFELTELRLYTHLMPQTIWIDSAHGDRHELLRPGLSVN -PRLRPILLPCKTGKKVSQHEIASPDKIKSVANYIKELKLVTLDATKGVYALEIPEPLFEKLTGKKSPQKG -SSTLIPILVPRLMSKDDLGGRDLVMSTKGSCENCYYPGASPTQPGGEQS - ->YP_003815425.1 matrix protein [Tai Forest ebolavirus] -MRRIILPTAPPEYMEAVYPMRTMNSGADNTASGPNYTTTGVMTNDTPSNSLRPVADDNIDHPSHTPNSVA -SAFILEAMVNVISGPKVLMKQIPIWLPLGVSDQKTYSFDSTTAAIMLASYTITHFGKTSNPLVRINRLGP -GIPDHPLRLLRIGNQAFLQEFVLPPVQLPQYFTFDLTALKLITQPLPAATWTDETPAVSTGTLRPGISFH -PKLRPILLPGRAGKKGSNSDLTSPDKIQAIMNFLQDLKIVPIDPTKNIMGIEVPELLVHRLTGKKTTTKN -GQPIIPILLPKYIGLDPLSQGDLTMVITQDCDSCHSPASLPPVNEK - ->YP_138522.1 matrix protein [Sudan ebolavirus] -MRRVTVPTAPPAYADIGYPMSMLPIKSSRAVSGIQQKQEVLPGMDTPSNSMRPVADDNIDHTSHTPNGVA -SAFILEATVNVISGPKVLMKQIPIWLPLGIADQKTYSFDSTTAAIMLASYTITHFGKANNPLVRVNRLGQ -GIPDHPLRLLRMGNQAFLQEFVLPPVQLPQYFTFDLTALKLVTQPLPAATWTDETPSNLSGALRPGLSFH -PKLRPVLLPGKTGKKGHVSDLTAPDKIQTIVNLMQDFKIVPIDPAKSIIGIEVPELLVHKLTGKKMSQKN -GQPIIPVLLPKYIGLDPISPGDLTMVITPDYDDCHSPASCSYLSEK - ->NP_690582.1 matrix protein [Reston ebolavirus] -MRRGVLPTAPPAYNDIAYPMSILPTRPSVIVNETKSDVLAVPGADVPSNSMRPVADDNIDHSSHTPSGVA -SAFILEATVNVISGTKVLMKQIPIWLPLGVADQKIYSFDSTTAAIMLASYTVTHFGKISNPLVRVNRLGP -GIPDHPLRLLRLGNQAFLQEFVLPPVQLPQYFTFDLTALKLITQPLPAATWTDETPAGAVNALRPGLSLH -PKLRPILLPGKTGKKGHASDLTSPDKIQTIMNAIPDLKIVPIDPTKNIVGIEVPELLVQRLTGKKPQPKN -GQPIIPVLLPKYVGLDPISPGDLTMVITQDCDSCHSPASHPYHMDKQNSYQ - ->NP_066245.1 matrix protein [Zaire ebolavirus] -MRRVILPTAPPEYMEAIYPVRSNSTIARGGNSNTGFLTPESVNGDTPSNPLRPIADDTIDHASHTPGSVS -SAFILEAMVNVISGPKVLMKQIPIWLPLGVADQKTYSFDSTTAAIMLASYTITHFGKATNPLVRVNRLGP -GIPDHPLRLLRIGNQAFLQEFVLPPVQLPQYFTFDLTALKLITQPLPAATWTDDTPTGSNGALRPGISFH -PKLRPILLPNKSGKKGNSADLTSPEKIQAIMTSLQDFKIVPIDPTKNIMGIEVPETLVHKLTGKKVTSKN -GQPIIPVLLPKYIGLDPVAPGDLTMVITQDCDTCHSPASLPAVIEK - ->sp|Q91DD9.1|VP40_EBORE RecName: Full=Matrix protein VP40; AltName: Full=Ebola VP40; Short=eVP40; AltName: Full=Membrane-associated protein VP40 -MRRGVLPTAPPAYNDIAYSMSILPTRPSVIVNETKSDVLAVPGADVPSNSMRPVADDNIDHSSHTPSGVA -SAFILEAKVNVISGTKVLMKQIPIWLPLGVADQKIYSFDSTTAAIMLASYTVTHFGKISNPLVRVNRLGP -GIPDHPLRLLRLGNQAFLQEFVLPPVQLPQYFTFDLTALKLITQPLPAATWTDETPAGAVNALRPGLSLH -PKLRPILLPGKIGKKGHASDLTSPDKIQTIMNAIPDLKIVPIDPIKNIVGIEVPELLVQRLTGKKPQPKN -GQPIIPVLLPKYVGLDPISPGDLTMVITQDCDSCHSPASHPYHMDKQDSYQ - diff --git a/seq/clusters_seq/cluster_529 b/seq/clusters_seq/cluster_529 deleted file mode 100644 index 7b259d3..0000000 --- a/seq/clusters_seq/cluster_529 +++ /dev/null @@ -1,62 +0,0 @@ ->YP_010086804.1 coat protein [Pistachio ampelovirus A] -MATYIRNRRVVRATPITGESNTFRTPQDIDVVLQTGFTDAGALTAEDANLPELPTIAYVRRVVEVPDRNE -PTPGDRVQGYVISRVAPVAGQPAEYRTPSDVVVTLVSGWRQVRQATVADSNLPLAPTDAYVVNVNASISA -STTGLTTTTDISDIFNSPNISKVLDLVTLGAPSMTVSNYPGLVASEDAIAASNSLREVCHRVTGSDGTEV -LTPFLLGVLQLALTYSTVREATPKSTQVVVLATPRSDRLGYVDVRTGLYKTLDPKGYENPMRQYLRLFSA -TTVQALINGKLAPNEKVAMQHGVTKKFLPYSFDFLRPSYDFMTGNSALAWQLAQKVAFSRKQKSNQQEIH -NTIELGSVQQI - ->YP_009664798.1 coat protein [Pineapple mealybug wilt-associated virus 2] -MAQNYVAVVEGTILESLTAPPKRFRVATSDVGKYYDSSKYRSVTGVATAERDRLPAIEETELLATIPTEA -STDKGVIPETVKRSSNKPEIVDDVSTLLLNPRKNVVLNIGSVKTVPKVVNQPGLISREIAIRIGEALKEH -CKQVMGSDSSTDLATYFIHLIQLAITFSTSKNSEYKEFDYIETETQKKIYIKDVSEVVERAAMNSGYENP -FRQYMRYFTSSSITLTLNGKITPNERTMAHHGVPKQFFAYTYDFIDPDYSLMNHSAINAYNLTRIQAFKN -KIASVNNTMHNTYQLNQGAVSG - ->YP_009241370.1 putative coat protein [Grapevine leafroll-associated virus 13] -MATPTGPQGITVTQNQVVNQAGVLYIVKRAGESRLPFGYTFVRNGTAADASLPGVPDAAYVVSSSTPAPV -REEVEDKGVVFEEKMTKVSDPKDLFLKPSLNQVLDMTKFGSMLPLPTVEPGLMADEDAHIVSEELMKLQQ -SIMHDTSNEAIAAFVLACFQVVVTYSTSEETELKEQYGAVIGYGDKPVLTLKALYSTIRTAVSGKNYENP -VRQYWRKFSPSIISASSVGKIKPNQKVLAQHGVVKRFASYCLDVLRPSYAYYNVRQIRAWQLAQREAFSA -VASSNATTLHNTSELKSG - ->YP_008411015.1 major coat protein [Blackberry vein banding-associated virus] -MAGTTFKVKVNGLYEVINENNRKVVALPRQGDSYSTTELLRYIKDATPAEQGTYRELPANTIFSTEAVAA -ETLSVRGNGPTDVRVDPNSEARPGGRPVVEKDPSKFLDMMSKNTILEIGDNAFKPKVVAEPGMLSQEVAN -KVNAELKVLCQKLLGANDPQTLTNFVLLILQMAATFYTSRSSEYKEFDYLETPDGKKIYVEEVFNTIKHA -CVSTGYENPVRQYLAWFTPTIVTAMLNGKLTPNEKVMAQHGVPPKFFAYTLDCVRPSYNLFNNSAILAWN -LARREAFKSKTASSDNTLHNVYQMLNK - ->YP_004940648.1 CPd2 gene product [Grapevine leafroll-associated virus 1] -MEIDEAVDSRNAIRIYDVKRALLSLSKSMVLRSRVRFVRRAGFRSLYQVWIGRGKNVLELGVDFGGTHGA -KLRPFVYYGGSYYYNEQMVPTDISEDVLDYVNVEISLTLSKKRVVTAALINGIDVPCLGYALKNGTLRFG -HEIIFKVDDDSLHYLLDKSTRNKRIEGLYTELVVKVDGGDVLLGDVDMAGTPLDRAYSNKTGIEPSIHVA -NVGDWKSLFVLSASDTRTGVEQPYTENAMLYYEVVDRNLEDVAKTCGYFEIPTATVAGLTMVDFEGMEKV -TLSVSGESTYLSKDVAKRITLALLRLPLTVDDGSVLTDKRLLLVLIIQGAVTYGTRADFVEKGPSNVCVS -YKGKSVRLDFMYVRSVIIANCDSIYITNPIRKYMRWWSTATIQLIKLGIVKPNPIVAAKRGLSSNNIWLS -FDYILLDARFNDRKEKILLR - ->YP_004940647.1 CPd1 gene product [Grapevine leafroll-associated virus 1] -MTTALVAPIVGDKGEYLSLLRNLPNDNSQESWLPNAGRMNDDFFKIGEAYKLQNLSIGYTINVSVSIKSI -PKETMGVLRVIIEPRDGDMLIHTLRFYRDKNLIEQVYTQRKGGVGSVLKSRPVDSSIVNFHNERKETMTS -MVNKQNRLMEVFTNGSSLGSSQIKVPVDSLITVIVSLESKKVSCDGLSELVPNDVGSIVKFDGTVDYKEI -NRERKYIFPYKTFRDSKYREILTEAIAARNETAAAQPETETVPVHPIANVTYVTPPEGVSITNAAIPETK -PIDEVATLLTAIENVTDLPIGRSKLNVSELDVIELTGYYNPSVMEADDVRSVNAKLLDYYSRLYGRSESS -NRALAIGMIQGALTWSTSANLKDGEIRKVDVSLNGRKFTVDFNELRHIIQSSVPQSKYENPVRQYMRWFS -TTTISLIKSGVVVPNYHVMARHGVTSQFIPYGFDYCILLPSYNRRDDKVAAALARAQAIALANKRRAGKT -LYNFSELEKS - ->YP_004940646.1 CP gene product [Grapevine leafroll-associated virus 1] -MASVISQNDDDYNVVRGGNIVVPRTPTLNGFGTSAFTIPAGEATAYVLKTQYSKPEAGTPEANHPVIGVL -PDEYVFVKGPGGYTLRPSRPSTGQRSGDSEVAKREMGDKLKRTFNIAEIFTNPEMNIIFEPPKDMEVSVV -VPTGPGLVTPAVATAISTELKNLCAEVMGNTDQKSLTDFFLAMLQLMLTFSTSPDTESKEEYFVNLYSNG -ERKLTYEKVKGAVVKGAEGSTFENPMRQYARLFSATAVHLILNGKLRPNEKVAMQHGVPKRFLPYTFDFC -RPSYSQFSNDAIRAWQLAAESAFGRKSNVTSSVLRNTSELKV - ->NP_813801.1 35 kDa coat protein [Grapevine leafroll-associated virus 3] -MAFELKLGQIYEVVPENNLRVRVGDAAQGKFSKASFLKYVKDGTQAELTGIAVVPEKYVFATAALATAAQ -EPPRQPPAQVAEPQETDIGVVPESETLTPNKLVFEKDPDKFLKTMGKGIALDLAGVTHKPKVINEPGKVS -VEVAMKINAALMELCKKVMGADDAATKTEFFLYVMQIACTFFTSSSTEFKEFDYIETDDGKKIYAVWVYD -CIKQAAASTGYENPVRQYLAYFTPTFITATLNGKLVMNEKVMAQHGVPPKFFPYTIDCVRPTYDLFNNDA -ILAWNLARQQAFRNKTVTADNTLHNVFQLLQKK - diff --git a/seq/clusters_seq/cluster_53 b/seq/clusters_seq/cluster_53 deleted file mode 100644 index 90c0beb..0000000 --- a/seq/clusters_seq/cluster_53 +++ /dev/null @@ -1,336 +0,0 @@ ->YP_009121769.1 PA-X protein [Influenza A virus (A/California/07/2009(H1N1))] -MEDFVRQCFNPMIVELAXKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRIMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRSLWDSFVSPKEAKRQLKKNLRLQEL -CASLPTKVSHRTSPALKTLEPM - ->YP_009118474.1 PA-X protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFASICTHLEVCFMYSDFHFIDERGESTIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSLKEAKKQLKKDLKSQEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLRCQKK - ->YP_006575868.1 PA-X protein [Influenza A virus (A/New York/392/2004(H3N2))] -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEIATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFVSPKEAKKQLKKNLKSQEL -CVGLPTKVSHRNSPALRILEPMWMDSNRTAALRASFLKCPKK - ->YP_006495803.1 PA-X protein [Influenza A virus (A/Korea/426/1968(H2N2))] -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIMVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFVSPKEAKKQLKKDLKSQGQ -CAGLPTKVSRRTSPALRILEPMWMDSNRTATLRASFLKCPKK - ->YP_006495800.1 PA-X protein [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESTIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQGL -CAGLPTKVSHLISPALKNLEPMWMDSNRTAALRASFLKCRKK - ->YP_006495785.1 PA-X protein [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MEDFVRQCFNPMIVELAEKTMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREEKRQLKKGLKSQEQ -CASLPTKVSRRTSPALKILEPMWMDSNRTATLRASCLKCPKK - ->sp|P0DJV7.1|PAX_I80A8 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPKEAKRQLKKDLKSQEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0DJV2.1|PAX_I61A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKTMKEYGENPKIETNKFAAICTHMEVCFMYSDFHFINERGESIIVEPGDSNA -LLKHRFEIIEGRDRNVAWTVVNSICNTTGVGKPKFLPDLYDYKEDRFIEIGVTRREVHVYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLRSQGQ -CEGLPTKVSHQTSPVLKTLEPMWMDSSRMATLRASFPKCLEK - ->sp|P0DJW8.1|PAX_I33A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFIDEQGESIVVELGDPNA -LLKHRFEIIEGRDRTIAWTVINSICNTTGAEKPKFLPDLYDYKKNRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEQ -CASLPTKVSRQTSPALKILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0DJR4.1|PAX_I80AD RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKLQEP -CADSQTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0CK78.1|PAX_I83A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVEPEDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKKQLKKDLKSQEQ -CAGSLTKVSRRTSPALRILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0CK81.1|PAX_I56A2 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVEPGDPNA -LLKHRFEIIEGRDRTVAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVNPREAKRQLKKDLRSQEP -CAGSPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0CK82.1|PAX_I49A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTRVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEP -CAGSPTKVSHRTSPALKTLEPMWMDSSRTAALRASFLKCPKK - ->sp|P0DJW2.1|PAX_I45A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKVETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTIVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEQ -CASSPTKVSRRTSPALKILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0DJW3.1|PAX_I43A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEQ -CASSPTKVSRRTSPALKILEPMWMDSSRTATLRASFLKCPKK - ->sp|P0CK88.1|PAX_I47A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGRSIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKEDRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVNPREAKRQLKKNLKSQEQ -CATSPTKVSRRTSPALRILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0DJR6.1|PAX_I40A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKLAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKNLKSQEQ -CASSPTKVSRRTSPALRILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0DJV9.1|PAX_I66A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKVKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEQ -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJR8.1|PAX_I97A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKTMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRAMAWTVVNSICNTTGVDKPKFLPDLYDYKENRFTEIGVTRREVHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQGP -CVGLPTKVSHLTSPALKTLEPMWMDLNRTAALRASFLKCRKK - ->sp|P0CK70.1|PAX_I97A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKTMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRAMAWTVVNSICNTTGVDKPKFLPDLYDYKENRFTEIGVTRREIHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQGP -CVGLPTKVSHLTSPALKTLEPMWMDSNRTAALRASFLKCRKK - ->sp|P0CK79.1|PAX_I96A3 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVEPEDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPKEAKKQLKKDLKSQEQ -CAGSLTKAFRRTSPALRILEPMWMDLNRTATLRASFLKCPKK - ->sp|P0DJS2.1|PAX_I93A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFVSPKEAKKQLKKNLKSQEL -CAGLPTKVSRRTSPALRILEPMWMDSNRTAALRASFLKCPKK - ->sp|P0CK87.1|PAX_I86A3 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFINELGESVIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTRAEKPKFLPDLYDYKENRFVEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQGR -CAGLPITVSHRTSPALKILESMWMDSNRTAALRVSFLKCPKK - ->sp|P0DJU1.1|PAX_I85A7 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPKEVKRQLKKDLRSQEP -CAGLQTKVSRRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0CK77.1|PAX_I85A3 RecName: Full=Protein PA-X -MEDFVRQCFNPIIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFVEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKKQLKKDLKLQEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJU3.1|PAX_I80A2 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFVDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGIEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVNPREAKRQLKKDLKLQEP -CAGSPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPRK - ->sp|P0DJT8.1|PAX_I79A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIMEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPKEAKRQLKKDLKSQEL -CAGLPTKVSHRTSPALKTLEPMWMDSSRTAALRASFLKCQKK - ->sp|P0CK67.1|PAX_I77AA RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKKQLKKDLKSQEQ -CAGSPTKVSRRTSPALRILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0CK86.1|PAX_I73A4 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFINELGESVIIESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTRAEKPKFLPDLYDYKENRFVEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQGQ -CAGLPITVSHRTSPALKILEPMWMDSNRTAALRASFLKCQKK - ->sp|P0DJV3.1|PAX_I67A2 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKTMKEYGENPKIETNKFAAICTHMEVCFMYSDFHFINERGESIIVEPGDSNA -LLKHRFEIIEGRDRNMAWTVVNSICNTTGVGKPRFLPDLYDYKEDRFIEIGVTRREIHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREARRQLKKDLRSQGQ -CEGLPTKVSHQTSPVLITLEPMWMDSSRMATLRASFPKCLEK - ->sp|P0CK65.1|PAX_I60A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFISPKEAKKQLKKDLKSQGQ -CAGSPTKVSRRTSPALRILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0CK76.1|PAX_I59A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYRENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEP -CVGLPIKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJS4.1|PAX_I57A2 RecName: Full=Protein PA-X -MEEFVRQCFNPMIVELAEKAMKEYGEDRKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPKEAKKQLKKDLKSQGQ -CAGSPTKVSRRTSPALRILEPMWMDSNPTATLRASFLKCPKK - ->sp|P0CK80.1|PAX_I56A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIIELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARVKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSEEP -CVGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJW5.1|PAX_I35A3 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKTMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVELGDPNA -LLKHRFEIIEGRDRTVAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKTKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREVKRQLKKDLKSQEQ -CASLPTKVSRRTSPALKILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0CK68.1|PAX_I18A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEQ -CAGLPTKVSHRTSPALKTLEPMWMDSNRTATLRASFLKCPKK - ->sp|P0DJW6.1|PAX_I07A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIIVEPEDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPKEAKKQLKKGLKSQGQ -CAGSLIKAFRRTSPALRILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0CK90.1|PAX_I05A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERSESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKEDRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVNPREAKRQLKKNLKSLEP -CADLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKR - ->sp|P0CK74.1|PAX_I02A6 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERSESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFIPDLYDYKENRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVNPREAKRQLKKNLKSLEP -CADLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0CK92.1|PAX_I02A1 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSQREAKRQLKKDLKLQEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0CK72.1|PAX_I01A3 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESTIVESSDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLYTIRQEMASRGLWDSFVNPREAKRQLKKDLKSLEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0DJU4.1|PAX_I01A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESTIVESSDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHTYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLYTIRQEMASRGLWDSFVNPKEAKRQLKKDLKSQEL -CAGLPTKVSHLISPALKTLEPMWMDSNRTAVLRASFLKCQKK - ->sp|P0DJU9.1|PAX_I78A9 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEICFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKLLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0CK84.1|PAX_I00A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERSESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVNPREAKRQLKKDLKSLEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0CK75.1|PAX_I83A5 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGDSIIVEVGDPNA -LLKHRFEIIEGRDRNMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFVEIGVTRREIHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKLQEP -CAGSPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJV5.1|PAX_I83A4 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEACFMYSDFHFIDERGESTIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEP -CVGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKK - ->sp|P0DJV8.1|PAX_I68A3 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKGNRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEQ -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALKASFLKCPKK - ->sp|P0CK89.1|PAX_I34A0 RecName: Full=Protein PA-X -MEEFVRQCFNPMIVELAEKTMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESKIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEP -CAGLPNKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJV6.1|PAX_I78AC RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVINSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKADYTLDDESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKLQEP -CAGSPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJV1.1|PAX_I77AD RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKTMKEYGENPKIETNKFAAICTHMEVCFMYSDFHFINERGESIIIEPGDSNA -LLKHRFEIIEGRDRNMAWTVVNSICNTTGVGKPRFLPDLYDYKEDRFIKIGVTRREVHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREAKRQLKKDLKSKGQ -CEGLLTKVSHQTSQVSTTLEPMWMDSSRMATLRASFPKCLEK - ->sp|P0DJU7.1|PAX_I77A4 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEVCFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKKNRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMANRGLWDSFVSPKEAKKQLKKDLKSQEL -CAGLPTKVFRRTSSALRILEPMWMDSNRTVALRASFLKCPKK - ->sp|P0DJW0.1|PAX_I72A2 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDLKIETNKFAAICTHLEICFMYSDFHFINEQGESIVVELDDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -ENTHIHIFSFTGEEMATKADYTLDDESRARIKTRLFTIRQEMANRGLWDSFVSPKEAKKQLKKDLKSQEL -CAGLPTKVSRRTSPALRILEPMWMDSNRTAALRASFLKCPKK - ->sp|P0DJR5.1|PAX_I36A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKTMKEYGENLKIETNKFAAICTHLEVCFMYSDFHFINEQGESTIVELGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGAEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKTDYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPREEKRQLKKGLKSQEQ -CASLPTKVSRRTSPALKILEPMWMDSNRTATLRASFLKCPKK - ->sp|P0DJV0.1|PAX_I30A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPRIETNKFAAICTHMEVSFMYSDFHFINERGESIIVESGDPNA -LLKHRFEIIEGRDRAMAWTVVNSICNTTGVGKPKFLPDLYDYKEDRFIEIGVTRREVHIYYLEKANKIKS -EETHIHIFSFTGEEMATKADYTLDEESRARIKTRLFTIRQEMASRGLWDSFASPREAKRQLKKDLKSQGQ -CAGLPTKVSHRISPVLKTLGHMWMDSSRTATLRASFLKCPKK - ->sp|P0DJU5.1|PAX_I02A4 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKIETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESGDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEIGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKSDYTLDEESRARIKTRLFTIRQEMASRGLWDSFVSPKEAKRQLKKDLKLQEP -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCQKR - ->sp|P0CK69.1|PAX_I02A0 RecName: Full=Protein PA-X -MEDFVRQCFNPMIVELAEKAMKEYGEDPKLETNKFAAICTHLEVCFMYSDFHFIDERGESIIVESSDPNA -LLKHRFEIIEGRDRTMAWTVVNSICNTTGVEKPKFLPDLYDYKENRFIEVGVTRREVHIYYLEKANKIKS -EKTHIHIFSFTGEEMATKEDYTLDEESRARIKTRLYTIRQEMASRGLWDSFVSPREAKRQLKKDLKSQEL -CAGLPTKVSHRTSPALKTLEPMWMDSNRTAALRASFLKCPKK - diff --git a/seq/clusters_seq/cluster_530 b/seq/clusters_seq/cluster_530 deleted file mode 100644 index 86f1931..0000000 --- a/seq/clusters_seq/cluster_530 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_009553542.1 ORF2 protein [Cacao swollen shoot Ghana K virus] -MTDSPAYQQAIRKAEEVDPPALGLTSTTGITAVNGIRTLVKQNNVQILLLAEIADKLNEFAVKQTQAHRK -EAKTTLPEELIEKLQKLTLQDTGSSQKTKTRERKGTLYGFKDPYVILAEEKAKLTPPTKKDESKGKATAS -DA - ->YP_009553537.1 ORF2 protein [Cacao swollen shoot Ghana J virus] -MTDSSSYQRAIQAAERVDPPAVGITTTTGITAVNGVRTIVKQNNVQILLLAEIAEKLEDLLAIQKQSKKQ -ESSGTIPEDLIEKLQKLTIQDAGSSQKTTRGREGQGTLYGFRDPYRILAEEKAKLSPIPKKKDESKGKAT -ASDA - ->YP_009553040.1 ORF2 protein [Cacao swollen shoot CE virus] -MTDSSAYQRAIKEAEKIDPPALGLTSTSGITAVNGVRTIVKQNNVQILLLAEIADRLNSLVEAQKQANRK -EVKTTLPEDLIDKLQKLSIQDQVSSGSQQPRKVKEGKGILYGFKDPYTILAEEKAKLTPPNKKDESKGKT -TASET - ->YP_009552692.1 ORF2 protein [Cacao swollen shoot Ghana N virus] -MNSRAYQQALIEAEKVDPPAVGITTSTGTSASQGFKTIIKQNNVQLLLLAQIADRLEELAADQKKARQEK -AKEVSVPEDLISKLQSLSIKEPGEGSKPKREGKGILYGFKDPYKILAEEKAKLRK - ->YP_009551939.1 ORF2 protein [Cacao swollen shoot Ghana L virus] -MTDSPAYQKAIRAAERVDPPALGLTTTEGITAINGVRTIVKQNNVQILLLAEIADKLDDLLSKQKQQTKT -EVKTTLPEDLIDKLQRLTIQEGGSQKTKQREGKGTLYGFKDPYKILEEERAKLGLPSSKKDESKGKGAAS -ET - ->YP_009666825.1 ORF2 protein [Cacao swollen shoot Ghana M virus] -MTEESRSYQQALKEAEKSDSPAVGLTTSSGVTATQGFRILIKQNNVQICLLAQIADRLEELAFDQKKARQ -EKAKEVTVPEDLITQLQNLSLKDEGRSTPTTKKREGKGILYGFKDPYKILAEEKAKLSTSTPKKESKKDE -SSSDNQ - ->YP_009506250.1 hypothetical protein [Cacao swollen shoot CD virus] -MTDSPSYQQALKEAEKVDPPALGLTTTEGVTALNGLRTVIKQNNLQICLLADIADKLADLLTEQKKSRKE -KAKEVAIPEDLISKLQGLSIQERGDEKVTRRKETKGNLYGFKDPYKILAAEVAKITPKPPTTEKEDESN - ->NP_041733.1 hypothetical protein CSSVgp2 [Cacao swollen shoot virus] -MTDSPAYQEALKEAEKVDPPAVGITTSTGVTAVQGFKTVIKQNNVQICLLAVIADKLEELIQDQKKARKD -KAKEVAIPEDLITKLQGLSIQEKGEAKVTRKPEPKGTLFGFKDPYKILAAEKAKITLKPVKE - diff --git a/seq/clusters_seq/cluster_531 b/seq/clusters_seq/cluster_531 deleted file mode 100644 index a2f02de..0000000 --- a/seq/clusters_seq/cluster_531 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_010085057.1 ORF5 [Air potato virus 1] -MTTPSVAEKPQTSSTPATSSGLPSELEERYEALVKKSEVSISEFLKKPSPTSVFDFTKIKMPKQIDPIIP -GVVIPEHTILVFESLKRWGEAAGLKNTDADLCALVATTVQNLVSFSTSKKSEPKARNFNVIRGASKAGIK -LSHADTKQIIGSVLSAFGYENEERQFGRSISSAIISMTSGGVVSPNHKVCISHGIPPQHYPYGADCILVD -PRIHGYSASLASELGKMVAVNRSQTGNKQIYNLYENTTAAPQIFTGNPQAGGR - ->YP_009666007.1 28.8 kDa putative coat protein [Pineapple mealybug wilt-associated virus 3] -MSTIPVPPPPSAPSTPVQPQLPKTDEEQLQEIENLPLPGGRTTVNTFTDLISSNNAVLDFTKVEVPRMIN -ITIPGIVAKEHRVKGAKALWELGKSKGISESDKHMIQFLMQSFQSFVTHSTSPKVSGASNRTITAKYDNK -DVTVSHEELKTTLDNSLASYGYENTMRQFGRAFTTAIVQGLSSGKMEVNTRICSSHGIPPNYYPYSPDCL -HVDARIQGYDAALANELGKMVALNKNAGGKRTQHNLFEETDISPQIFTGNRR - ->YP_004935379.1 unnamed protein product [Grapevine leafroll-associated virus 6] -MSGTELPAVTGVPTPQPSTESEANRKAREEKERKDLEEIESLPVVQGRTTVDTFEALINSANGVLDISKV -EIPRLFNVTIPGVVSGKHKVLGAKAIWNLGKAKGISESDKHQIQFLMQTFQDFITHSTSPKVSSASNRTI -TGKYDGKEVTVAHDEIKTALDNSIGSFGYEDTPRQFGRAFTAAIVQGISSGKLEVNTKICASHGVPPNYY -PYSPDCLHVDARLFGYDASLAAELGKMVAINKPSNGSKITHNLYEDTKVAPEIFLGNRK - ->YP_004935373.1 unnamed protein product [Grapevine leafroll-associated virus 4] -MANLSGNAQLPNANPPVTPPTTETEEQRRAREAQEKKDLEEINALPMATGKVTVDTFDALINSTNGVLDL -TKLEIPRLFNVAIPGIVSGKHKVMGARALWALGKAKGISESDKHQVQFMMQVFQDFITHSTSPKVSSASN -RTITGKYDGKEVTVTHDEIKTALDNSIGSFGYENTLRQFGRAFTAAIVQGISSGKLEVNTKICASHGIPP -NYYPYSPDCLHVDARLFGYDASLAAELGKMVAINKPSNGSKATHNLYEDTRVAPDIFLGNRR - ->YP_004901691.1 CP gene product [Grapevine leafroll-associated virus 5] -MSGVSQNVAPALPTTSPTHETEAERKAREDKEKADLEEIQSLPVIQGRTTVDTFEALINSSNGVLDLSRL -ELPRLFNVTIPGVVSGKHKVIGARALWNLGKSKGISESDKHQVQFLMQSFQDFITHSTSPKVSSSSNRTI -TGKYDGKEVTVAHDEIKTALDNSIGSFGYENTPRQFGRAFTAAIVQGISSGKLEVNTKICASHGVPPNYY -PYSPDCLHVDARLFGYDASLAAELGKMVAINKPSNSNRATHNLYEDTRVAPDIFLGNRR - ->YP_002364307.1 putative coat protein [Grapevine leafroll-associated virus 10] -MTERVTASNPPTGVAQPTVPERGETPEQKKAREDREKAEIDEINSLPVAQGRVTVETFESLINGNNTVLN -LTTLNIPRLFNVAIPGVVAGKHKVIGAKALWELGKAKGISESDRHQVQFLMQVFQDFITHSTSPKVSGSS -NRSITGRYDGKDVVVAHDEIKTTLDNSIAAFGYENTLRQFGRAFTAAIVQGISSGKLEVNTKICASHGVP -PNYYPYSPDCLHVDARLFGYDASLAAELAKMVAINKPSNGSKATHNLYEDTRVAPDIFLGNRK - ->YP_001642341.1 putative coat protein [Pineapple mealybug wilt-associated virus 1] -MADSSKQKQPEHADSGPKTVEDQVKEIMNLPVPGGRTTVSTFEDLIAAENAIIDFTKVDVPRMINVPIPG -IVTNAHKVIGSKALWELGKSKGISESAKHMIQFLMQSFQDMCTFSTSPKVSATQNYSTTAKYDGKDVNVT -HEEIRIALSNSLSNLGYDNPMRQFGRGFTSTIVQGLSSGKLVVNTRICTKNGVPRNYYSFYPDCLHVEAR -VHGDDAALVSELARMVAINRANSSGSGEHNVFEKTAVSPHIFMGGRK - ->YP_001552328.1 major capsid protein [Plum bark necrosis stem pitting-associated virus] -MATADRQSMPATTPIDEWTNTEFPGATFLKRKVANDLELDKLLTNKSITEDQRRIYAEARVKRSRLTLLN -EAEKEAVRQVEAARTLPGLPATPSGVKNVVATMKSFMNNPSETAMFDWTKMKIPKMVDVRTPGVVTTEHS -IEVYDALRLWALSKGLQDTDEDMASLVTTGFQNALSFSTVKTAAPAGHTDNKLVGSSKPDMELPHNEFKG -VVESAIQSYGYENPLRQWMRKNSAAVIQMTSAGFLQPNIKKLNENGIPPQYYPFGGDFLVVDSRVFGYSA -ALASQLGRMVALNRKRNGNKEIHNLYEQTTAAPQIFTGGNQSGGR - diff --git a/seq/clusters_seq/cluster_532 b/seq/clusters_seq/cluster_532 deleted file mode 100644 index ed84ab7..0000000 --- a/seq/clusters_seq/cluster_532 +++ /dev/null @@ -1,80 +0,0 @@ ->YP_010085056.1 ORF4 [Air potato virus 1] -MATQSTSGYVSVNKTDGDLVRVFRRFYCVSDVSAYIDEVIQDVVLNPSKYTVDITGPSFSYRTRFRVVNG -AVQAWSDAQGYAPVLLLRSLQLRGIFKNSYYSFEVQVPYILHLNAQQISEINGWIDKSVGEVSPFAYKIT -EEQCRSFVMREQLDIAWYLPLSLRVANFLGRMPVRDELLGHVSLPTSSIKGTVTVLEAAEKFTENERFYA -EENTLAMVAKRDPVDGLLWIKYEKLLPDVVRLMLPVKVIQAYGHVPLLTFQIAKSLKATSRNIRCYEDRL -TWLGEAAQHVEPALKRWLFDVKQLTPHLLVETIKRQPDEAIAGSWGFLRANLPDGRIENFLLNPHSPLNE -ELFRDVTYNLIARVCLDNPSLTNSFVEVFLMIIQILARTRTNTVRYVERAGYHKFLVDGRIVLLNTTGIT -KVFEQYLHLVPNIERSYGGCVADICYDVMKVTGGNSPIWPDIPEIPPHMNFDFVTYVTPLKLTQEEQIML -CKIINRFRTKTVRLGGSSVGNRAPHPMDAWVEKVVPAQSRGTFYELLGTTTQTPRKL - ->YP_009666006.1 61.0 kDa protein [Pineapple mealybug wilt-associated virus 3] -MALSATSAYVLAVETDKQYLDLLRTFYGKSDVAVEAKEIFEYLKQNYPRISGRLSIGTYVANTWWQISPG -GMTAGSDSEGWARHLLGNYIVSKNLLAHMYYNLQTEIRAALATLMSVFHMKTALVDVPVTQSTVFNYKVT -RTAARSLARELPGEETHLTDLIFCIGNYLGHLPNRSQVIGEVPLPVAAVRRTVNPLEISNEYLSNEVKLG -VLNMMGKTKIGDPIEGVRYKLEAVRIKTICETLFLPEDIDLCSKLPAVQYIIAQALDSTDTPMDTFEDRV -ESDVLVGAKVRSKLQGLLRFREEEDLNVLLAKAAIRPNEMTRGDGVVVRARYEKNVAGRSLLRVGSPFSQ -EQYSLLTARLVRALLLKNPTVDMNYAEAVITLLQRYIHYRTNPLRFVNLPVKIQMIFKGKIELIDYSAVD -RVFAQLQNSFPEVERAWCAPLATVAYFILRDSGGSFAKWRDLCDVPPVLNFDFVGYVDPRVLSNSERKHL -ARIVHRFRTANTPVRGFKIDNRLINPIDYIYDGINMSTSSRSMHRSLLNR - ->YP_004935378.1 unnamed protein product [Grapevine leafroll-associated virus 6] -MALSATSTYVSVSKTDENFLNLLRTFTGKNDVVSFADEVLEFLNMNFSRISGSFSLGNYSCNTWYNGVSG -AVGAWPDSEGWGRHLLANFIVSKNLLAHMYYPLASEITAAVATPKSVFTDKLSRVGKPVTETSSFPYKVS -RSDAKKRAEEFGKPTKHTEDLIFSIGNYLGRLPTEDEVMGVKSLPIAAVRKGNVLLDVGSDVTLNERKLA -TLNLRGKNKLGDPVEGVFFKTKAKEIEAVAFFMFDERIITDALKLPGVQWAILSCIKKYPFQADSFEDRI -EGLVYVQAQPRKMLEGLIPMQGVLDLDTALSKGSLLEGEVFRGDAKVVAPRFQKNTVGGLLMKIGSRFSV -KEYSELTRKLVGALLNKNLTLDVTYTQAVLMLLQRYIHYRTNALRFVNLPVKLDYLIKSEVKKVDFTGVD -EVFSKYQNTIPEIERAWCAPLADVAYMLLKSTGGSFAKWKDLQDVPAHMNFDFVGYVDPKLLSVNELKFQ -TQLLARFRSKNTPVRGFLLGARQGNPVDYLIDSAGIGGVERSMVKRLLG - ->YP_004935372.1 unnamed protein product [Grapevine leafroll-associated virus 4] -MALSATSLYVRVSGTDEQFLNLLRTFKGEMTFASFATEVLNYLNLRFSLISGRFSLGSYTCNTWYNGVSG -PVNAWSDSEGWGRHLLANFIVSNGVLSHLYYPLASEITSAVATPKSVFTDKLSRVGKPVTETSVFPYKVS -RAEAEMKAREFGKPTKHTQDLIFCIGNYLGRTPTKDEVMGVKSLPIAAVRKGSLVLDVGSDVTINERRLA -TLNLRGKNKQGDPVEGVFFKTRQKEIEGVASFIFESKIIEEVIKLPGVQWVILSCLKKYPIVADTFEDRV -DGLIYVQAQVRKILEGIIPFKKECDLDEALLKGVTLPGEVFRGDAKVLGPRFQRNTVGGLLMKIGSRFST -AEYSELTRKLVAALLNKNLGLEISYTQAVLMLLQRYIHYRTNALRYVNLPVRLDYMIKGVVRSVDFSGVD -NVFSMYQSTIPEVERAWCAPLADVAYMLLKDTGGSFAKWKDMQDVPAHMNFDFVGYVDPKLLTNIELRFQ -TQLLDRFRSKGTPVRGFQLGARKTNPIDLLIESAGTGGVEKTMLQKLLN - ->YP_004901690.1 p60 gene product [Grapevine leafroll-associated virus 5] -MALSATSTYVRVSKSDEGFVNLLRTFKGENDVDAFADEVLNYLDLRFSAISGRFSLGNYSCNTWYNGVSG -AVGAWPDSEGWGRHLLANYIVSNNALAHLYYPLASEIKSAVATPMSVFTDKLSRVGKPVTETSKFPFKVS -RVEAEKKAAEFGKPTKHTQDLIFCIGNYLGRLPTKDEVMGMTSLPVAAVRKGSLLLDVGSDVTSNERKLA -TLNLRGKNKQGDPVEGVFFKTRQTEIETVASFIFDNKIVKETLSLPGVQWTILSCLKKYPVFADSFEDRI -DSLVYVQAQVRKLLDGLIPFKEDIDLDAALSSGTLLKGEVFRGDAKVLTPRFQRNTTGGLLMRIGSRFSV -SEYSELTRKLVGALLNRNLSMDVTYTQAVLILLQRYIHYRTNALRYVNLPAKLDYMIKNEVKQVDFSGVD -EVFSKYQGTIPEIERAWCAPLADVAYMFLKDTGGSFAKWKDVQDVPAHMNFDFVGFVDPKLLSDHELKCQ -TRLLDRFRSKDTPVRGFLLGARKGNPVDYLASSAGIGGIEKAMVKKLIG - ->YP_002364306.1 60kDa protein [Grapevine leafroll-associated virus 10] -MALSATSSYVKISSVDEDFRSLLRSFKGEDDVDAFADDIFSFLNQRFSSISGKFTLGDYSCSTWYSGSPG -AVNAWPDSEGWGRHLFANYIVAEGLLSHMYYPLSSEITSAVATPKSVLVDKLQRVGKPVTETSPFPYKVS -RVDAKRCAAQFGVPTRHTEDLVFCVGNYLGRLPEKEEILGEKALPVAAVRKGSLVLDVGADVSSNERRLA -LMNLRGKNKQGDPVEGVYFKTRAEEIKTVASFIFEESVLEDALSLPGVTWCILSCLKKYPVFADTFEDRI -DGLVYVQTRVREILEGLIPFKTEVELDIVLSRSSTLKGEVFRGDAKTLTPRFVRNTVGGVLMRVGSRFSV -QEYSELTRKLVAALLNKNLNLDVSYTQAILIILQRYIHYRTNALRFVNLPVKLEFLIKGGLQIIDFSEVD -VVFGRYQSTIPEIERAWCAPLADVAYMLLKDTGGSFAKWKDLQDIPAHMNFDFVGYVDPKLMSSSDLKYQ -TLLLDRFRSKDTPVRGFQLGARGGNPVDHLLGSSGARGLEKGMLRRLIG - ->YP_001642340.1 p46 [Pineapple mealybug wilt-associated virus 1] -MALRATSDYVSADVNDSGFLDLLRTFYGKSEVAVEAAEIFSYLRRNYGAISGRFAVGTYVANTWWQRSGA -NMMAWSDSEGWSRHLLGNFIVSRNLLGHLYYPLATEIRAALATPETLLRAKLALVDVPVTQSSNFVYKVS -KVTARDMARSLPGEEEHLTDLIFCVGNYLGELPTRNQIMGEVALPVAAVRSVGSVISVSDTDLSNENKLA -VLNMLAKSKMADPIEGVKFVLEKQKIDDICKHLFKSEDLLLCSSLPAVRYTILKALESTDGSTSTFEDRV -ETAVVVGIKVRKDLQGLLRYKDEESLSTLLAKTAINSGEMVRGDAVVMHSKYEDNLMGKTLMRVASPFTQ -QQYSDLTARIVREFLLNNMHVDFNFAEAILAILQRYIHYRTNPVRFTNLPTKLGMVHKHKTYVVNYSGVD -RVFAEYQNAIPEVERAWCAPLATVAYFILRDTGGSYAKWRDMMDIPPNLNFDFVGYVDPRVLYEHENVHL -VRLAHRFRTANTPVRGFKLSNRLVNPIDFMYDQLPLPETVKNHHKTLLKHTQ - ->YP_001552327.1 P61 [Plum bark necrosis stem pitting-associated virus] -MALSSTSTYVEVNKSDRELIGLFRRFFCKSDVSEEIDRVYDLVKGNIRMYSVATLYGSLRVATWFENRGA -LYAWSDSNGYARALLAAYINTYGISRNSYYEMSTSMKDLLLVEQRYFDQALGWVDKKVTQIQEFSYTVDR -SVVKTFLQRLNRDSTFYSNVAFALSNYLGRICTEGELFGQVTLPVSSRHVRTDLVFEGLGLNAKEIALGR -LSVKCMTQEKDVVLGVKFKRLASQVASLQNIIMTSDTSLSFSNVPAIKLLIVEALESTEISTTTFEARLR -WLEVAAAVAVKSLKKYFPSVKAVTPNSLFRVISRLPGESFEGSVSFLTHNLPDVAKCNLVYSIGSPLGAD -LNFELTSRLISTLMVDNPDLVMSFQEWIVLLIERFCYHNTNTVRYVDIPKFSEVEYDDSLYLVDFRGADK -IFSEYSSRIPNVQRLWAGGYATEAYTLLKRIGGMLPKWPDVMNLPSYMNFDFVGYVNPLILSEEERVLLA -ELLNRFRTVETKIGGFTLGSRGKDPSDYMFEDLVGSGNMGMVKELTGASNQLLRRG - diff --git a/seq/clusters_seq/cluster_533 b/seq/clusters_seq/cluster_533 deleted file mode 100644 index f8c530d..0000000 --- a/seq/clusters_seq/cluster_533 +++ /dev/null @@ -1,233 +0,0 @@ ->YP_009666274.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum negative-stranded RNA virus 4] -MEFDDDFDTVYMNRVKTFGDILDSPILTTIKRDIFNSLSLARGLSAPISKTYSQDGSASGRLIELALIKA -LCQKQGVHRLGHLDRSCVSLACRIVKEQAPVIDLDVATRFQILNALTESSNPSFSDPKKAVFEAGRLYDT -VRDGIGIQLNSNATPLMKRKGPRLEPLEIYSRYSRADQLLEMIIHNRQEQGLQNRQGSINCIVHHDWLSQ -IWIEGDVVLFKTEGALYSTSWDDFLCIRSMAIYRRNSFLLIAIDHSIPATATSSLLKLLQWQEHCIFSYG -NPGYELAKAVESVFKARLMQLGDGQCVGDAFELMIVKQMEKEAKLTRDKTSPLVERLRDLALSVKLPREA -AELFGCLKFSGHPHIDPEIASQSARSHGTAKGNPGFGETMRMRAEFCDMLLKGYIKKHARWPPLIHSPGR -KTKLQRLHEKRVLVFGPHDYDYQDWYYSAWPKLLDFDYNIDYLDMMDDKSTGLDPQDAWKAWDSCKRDAP -SLAHIPNERSKKLIIRILSMKEFDPKAICEQIRVLNMSLADISMSLYPKEREFKLEARLFVMLEFSVRVF -LTLAEKNFKRLLKDYLPDQSMTKGRKGTMQHLEGMAARQSNPDIDTVFIEVDLSRWNLLWRGVVVDPVSN -IADSIFGLPGAFSKGHEIFENSTVVVRVSTETPDGVVPGSFPREWPESKYVWRNHLGGFEGIMQAQWTAC -TQAEIKAVMRDLDVVSYKLLGQGDNQILEVSYNRDYNKNQMVQALEVSARCTEELSRRFSRLNQVIKPDE -CLASRSTVTYSKICWQDGVLIPTTLKHAATVAPVGTSNIPGLVVGLSAISSGCRASADAFIDPSMGYLYF -LILFREYLPRASRTLPSKQLLNYTWSQDQLDSASTIPGDLGGLPIQIPTDFCFGGTSDRLSSSVAALVCL -SHVNRNSQQYLGYLETSLPWKPDPDPATLLEDPFSVPILPSVGADVQVDQAIKTVVPSITQNIDLKQIMS -TSVDEFGKSLSSFLTRLKPFYPLLMADLVELSVIGVKKKVFKKFTGTRTIQQLVRNNAPINYGHAVIYAD -YKRVTRLRTFMSQSSEAGLTGSFPSRSIFSRVVKYRERWFPDGSNKLEGVTVLHPLEAIADSGLKLQSPD -YIEFTSHVPWGAMMSTKGPHPGRWGDKTWEHRRVTGVEVIGTQKAALAAKRLLMMESQLTAGGELKKAIR -GVLRQRTTVDERDLEIFMPTVIGGVAAHRWDSTVEEKAFAWLGPISLTQHSTVQTDSMSSLSGGVKDYSF -CFQEHSFFGLQCMRASPDAFNGNPTLRLHYDISPEMLITSVPVTAEGKAPELSLARDLQKNPLVCASNIL -YQALSDEMPATIAPIWQTPPSCSTEVGIRLMIHHFLDQLENPVLSEAAVDAKDAPSGLSLDVGSLIGVGL -QPMMVAAGRAVFLRSIEMSLSETGLMDRVILSAYIDALSGVAALPLARFANNPQVRQQAWVQASGVLIAP -GRHGSSVLKSRLSSYIRDEAHRCYRDIRNLSNSRMILSSYAERATPSRVLGCYVACSIVLLGLRGSVDDC -RKIYRRHLNGLRNLVRETDRITHHVTILNLLSNPEFEESSVLATEILEGRVLTRTAMSFEDSKRYLRTGP -RSNASQRLITSPSPPTIVAWQIGVAGEFPLSSPVSKPLLTLDRRSDSLRLVSRNLGWSIGGSSISRLSYR -ILSVISSALRQGPVLCVGVGNGAMARDAFALGATCVIGVDLLSDLPSVSSLGTGYLPPEIPVVDPTLNWR -WAKGVFNHGGDWFDEKVHSDVLSEQPGVICIDIQPGRRMIWEDILPILKSMIRTIVVTRRELTPPEASLF -YQECRGTFSSFCMFKSTINETEYWLLARTSGCQSVSRPTSAVEPVFLRLDPLDSPPLDSPFYPNQFELNR -TTLVKGRVPPGVSLGVAKDYLLTFVDWSMSKRSHRRGREVTEDIVLALYLLSRLNSMFEHGPLTKEELTE -QLADLAQSSAPLEIQGHQVPAVRQAAIFNMASRVLPRLMRESRNRWSLQL - ->YP_009336595.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 4] -MPAPSFINTFLDSPILIGGRARDFSAYSCWLESPKIKVPRRSLGKFRQWASKTKDYELDILDPGMYPALY -DILPDCGIRYTIKDATDLSDWLSTEMSKALGVYGMVGVDMGNPIDLASFPEWGLKALKILPFFKGYVDAL -STAKGSGRSSTVSHRESNWELLGDEFTSLLVYHKGKIAYMCSYEQILMWKDMLWGRFNVAVACQVLYPGS -ILITDVGRCLDWCFRCLEVYGNSGYELLKNIESLAKTNLVYLNDPVFGSGGSHDLMIEVVREKEISLGGE -KTPLTWELVDILSSSRPLKESVELFGLLKLSGHPCVDPSNGGRKVRKIACKQKIYSAADCSRVRNNFCRM -YVEGYVRKKSRWPPLDFPKHRRGTRLYQLYTLQETRINQGSYPLDDWEEVRFRKHHDFEYYPNFTDLMDD -KAISYYRDQISYTWRRGKIPRSNKRLLIEMLSIPEISVRSIIDRVRTGSLPFEWFVVSLYPKEREFKLEP -RMFAMMVFEMRVFFTATEANIADNIFPYLPPQTMTLSKQEIVERFHEVTWDPDNVDLARLYGEFDIESWN -NNFQAPLVDPIARDMGDMHGEDNIFQVIHHFFTKCAVLVRVADNEPEYIEAAQSPDFDLSSHSSDLLWTD -HLAGVEGIAQKEWTAVTYAIIDLAMQKFPISYHLIGQADNQIFVALLDCTGQEDRNSYIKTTARAIVEEV -DQECRRVGHSTKKEECILSTTTVTYSKDVYIRGVEYYTSLKALSRIFPHSASDFPSINNSVGAISSQCIA -ASEKMKNPLAAYRIWSFHCAWYLLSLRAEDVLEVLHLGKESRMALVPDLVKDLLITPSDLGGLTILPITA -LLYKGGGDPLSKSYASLALLSRTNRRARRLLSVLHEGRWFWKQPELASLLDDPYSLPLLRSKTPEMSVQR -EGLNAIRARVVNRDIGELCNERVDAYEKELTESLLAVRPFNPALLADIKSDSVVGVKRQVARMFITTRTV -QSLLQQQSEVNTCFSILNTGAGYAGATMKKMMMLPPTEFMSTSIYNEVERLRSFWRLPDGAKIVGLTVYT -PFDGTINFHLNATSSDGVKALRIGTIAGDMEHSRGPNRPYLGRPTIEKRSVHGYRIVASSSPERAVARLS -RVATQPGVGRTMEHLIGNVCQTRANVDFSLLIAKLGRSYGGTLDHRYTSLMGQRGAGFIGGPAFPSLCLL -SSDMANPISGGADDYPVMVQEWMVMALSCLYHSSRSLHSEKLCTIGMSHLNMELISDSTMEAPQLPKGGV -VSLSTNTMVYADTILLQRLLSTGTTPLIGQMRADALLDSRSSFHLRHMIRTSLTRSRSAAAVADKGAGTI -HLSLDLMELRGFGLRKVFDAAALEIARFAIESLYSRSKEEMRWSPIPLVMSLGEGIARALQTVCHHTLFQ -QDEFIVTYGLSSPLQYTIAGSSAVTRIRNYITDKSIISMVDPSSQLYSDQGFLFGDDKDGEALSEILSSI -TLAYHQSLLYGELSLEHVYSVLRRKLREELRGAEDEAGQIKRLEVIVGRILIWANAHNCVCLSRHMTSLS -RGKSILKTEMPVREAIREARNYPPPPAWISPVLSETFPVFPDSSPIITPIPLPHSQAPLSQASWSNPVSR -SDIDAFSFLRMRGRRYGKESSVGYSYTGITDLTQNTVVLQVGCGYGSGSAVMLLAGASMVVGIDLDSDLT -GEAVLAGQLNPPAVAHVGKCSSFVRYPPGLPGGGNFFDSRVVKKCREIAGQSSFVVVDVPLITRDVIVSL -LSSLSGFSQKVHCAIRVIHRVQAIADLYSSLVPSCESIRLRTVFSANGLGEAWIILSARRTLTLDPFKAA -EVRDLPYIPVEPEALRCLGGGRRYLKQMVLSPIGLGTVGEADNYRMRIAHLLSASVGEQEHRYTFRQWTE -VLYAFAVNEVLSADDPYKECTLIKENSEYLVQFGSAAIPILATRRLLHMLTRLAPRLLD - ->YP_009129259.1 gp5 [Sclerotinia sclerotiorum negative-stranded RNA virus 3] -MNFSEAFEFDSVRERRSAAPAEKHLRSPILSSLMDRLRLLYDEILHYKEQNRFAKSKFPYIKSDVPLIIK -NICKHNVLHSYSGLERVATPWLLDLIGTSTAPQIVTPDQYPDLFTRTLIARESLKTSLAESSELYRSELC -AFKKWGTLPEVQTFIENEEKFIPEAKEDTYLHYESYRYWDFLVEKYRQRKQKKQFGKQGLTIKDSIFFFY -DGFVMEQIGFSKMITDENGKPKKLAPIRRLYSFEQLQMIQDVCLARFNAFLALDANMHNSNQQLREFLRK -LLLWQEAVLKMYGNKGYELVKGPESVTKSYLTSLTQGDVLPLSSFVRTCAKLQEKEKKLSKTNECPLTSQ -LIELIESTNDISIVTELFGCTKMSGHPFVYAEISSISVKKEACPTGNLDLLAIRDYHAHFKRLVLDRYLK -KNQVWPAFTDKDGPKKNTKLYNLWKSGITKINDASYPLSDLYDVEFPKFMEFDYSPDYLDMIDDKAICPG -ADKSSGFWFKQSGESYRRLLESLIKRADVDTFQIVERMRKGKFHIDERIVELTQKEREFKTSARCFCKLT -FEVRLFFVLTETNLKRFMGGDSGDNGYLPQQTMTMTNTKLKKRLYDLTAVNNRTNTCLVEVDFSRWNLRW -RACSVNPISRSLEKIFGLPGVFSQAHSFFTQSTIVMTDKNTLPPGVTPNSHASTWPESNLVWRNHLGGFE -GIQQTLWTICTLAMMYYAIQDEQCSFQMAGQGDNQVFFLSFNTKKQSLSLALQSFLYSVERRCERLNHEV -KPEECIDSRTVLTYGKEIYIRGVHTMYSLKFSSRAFSRLDYTIPSLSKEISGVVANSVAVAGTLHNSFRA -VWWKHIQVILLLRRRLASPLYSLEHKGILRLLRSATSRKVLLIPGSLGGLPMMPWTRYFSKGESDDLSFD -VAATYYLSQTEPIVRNYMWLLRTQEFVPHKIDATNLINDPHSIPIEKPNDASHLISNAVSKALPGIVNNK -DIKQLISNDLRQQGENYKSLLTKMKPLHPQIAADLFELTPAGLYNKTIKRFSMTRTLEKIIPGINFIDKI -SQANSTILSVLLDRWVLAAKKSGGRHPPPFDMATELRTHWNLGLDNTSIGVYTPFDFEIGYFKPGIPLIS -ATTENRKDILTTCGRAPPNFGTSTKQKLSDHGYRIVSTNSTMKDLKNAVLTYSELQGDLSLKPLFQSIIS -SRSPWTLEKLIPIFPESIGGTAVHRHKSTSHVFSVLGSSSVPTHIIFSSDQAGILSGGDADYPVVFQTLY -MTLTNLYQILSTGNTILPPNICYYIPSQLREINTSVSKIPSEQAIEIKWPDLTSNKLAWVGEIFASEIPE -IPLPSVIPHISSPPSDLDLIYSYIESCVSSSADQKKPYDGILGTADIFDFKEISRVDPNIVEHAICWNII -TDAYYAIFVSNSPDKSLPLFKKILKTKATYYSGEWVRIRLHPMFSTTHYNQHRRINLQPTQSGYKRPVDY -MATQLLRMAHTLLSTRGMSSIPRLILFSNWKESSARLGRRRLILAHAIATYPIQSLTNLRKSVLSIEPPM -ELLKQDPATYISVVTRKISRKISNLEYTLPDMPCLFLHRTDKEAMRMLRDREKYRDKKNTITHPLKFSNH -GICKVEYTEHYGSLEPNDIVLKQDRSIMDRLRILHRRTVGIYSPLYSDWNAIFEILFDRGLSKQRKFHVI -GVGRGATSRALVDRHVGVIGYDLISSFPSISHRSASYKPPELIMSANTENFSWSNHTYSDDGDVLKGELD -CFKELRPMLVIDLDISFQRLKTLLLRLPIDSEVIIRYVGHEDEIRCLISMIHPTLIISLCISDNKVSDIV -LYATQLGSIGTGNYQSVEFRTKNEINYSYGSTELVSQFWNVEPNVSRHFQLDVTDTVLSLRTKLSALYEA -RRTEVSEIYTHLWDIMHTNLTNTNMTGKDLRCRAVCDNIFKYDN - ->YP_009094317.1 large polymerase [Sclerotinia sclerotiorum negative-stranded RNA virus 1] -MNFSEAFEFDSIRERRSAAPAEKHLRSPILSSLMDRLRLLYNEVLHYKEKTRFPQSKFPYIKSDVPQIVK -AICKHNLIHSYSGLERVATPWLFDLIGTSTSPYIVTPDQYPELFTRTLISRESLKISLAESSELYRSELC -AFKKWGTLPEVQNFIENEEKFIPEAKEDTYLHYESYRYWDFLVEKYRQRKQKKQFGKQGLTIGESIFFFY -DGFIMEQIGFSTMVTDENGKPKKIAPIRRMYSFEQLQMIQDVCLARFNAFLALDANMHNSNQQLRTFLKK -LLLWQEAVLKEYGNKGYELVKGPESVTKSYLTSLTQGDVLPLSSFVRTCAKLQEKERKLSKTKTCPLTSQ -LIDLIESTNDISIVTELFGCTKMSGHPFVYAETSSISVKQEACPTGNLDLLAIRDYHAHFKRLVLDRYLK -KNLVWPAFTDKDGPKKNTKLYNLWKSGITKINDSSYPLSDLYDVEFPKFMEFDYSPDYLDMIDDKAICPG -ADKSSGFWFKQSGESYRRLLESLIKRADVDTFQIVERMRKGKFHIDERIVELTQKEREFKTSARCFCKLT -FEVRLFFVLTETNLKRFMGGDSGDNGYLPQQTMTMTNTKLKKRLYDLTAINNRDNTCLVEVDFSRWNLRW -RACSVNPISRSLEKIFGLPGVFSQAHSFFSQSTIVMTDKNTLPPGVTPNSHASTWPESDLVWRNHLGGFE -GIQQTLWTICTLAMMYYAIQDEQCSFQMAGQGDNQVFFLSFNTKKQSLSLALQSFLYSVERRCERLNHEV -KPEECIDSRTVLTYGKEIYIRGVHTMYSLKFSSRAFSRLDYTIPSLSKEISGVVANSVAVAGTLHNSFRA -VWWKHIQVILLLRRRLASPLYALEHRGLSRLLRSTTSRKVLLIPGSLGGLPMMPWTRYFSKGESDDLSFD -VAATYYLSQTEPIVKNYMWLLRTQEFVPHKIDATNLINDPHSIPIDKPNDASHLISNAVSKALPSLVNNK -DIKQLISNDLRQQGENYKLLLTKMKPLHPQIAADLFELTPAGLYNKTIKRFSMTRTIEKIIPGINFIDRI -SQANSTILSVLLDRWVLAAKKPGGRHPVPFEMATELRTHWNLGLDNTSIGVYTPFDFEIGYFKPGIPLIS -ATTSTRKDILTTCGNAPPNFGTSTKQKLSDHGYRIVSTNSTMKDLKNAVLTYSELQGDPSLKPLFQSIIS -ARSPWTLEKLIPIFPESIGGTAVHRHKSTSHVFSVLGSSSVPTHIIFSSDQAGILSGGDADYPVVFQTLY -MTLTNLYQILSTTDKLLPPNMCYYIPNKLREINTSISKIPPEQLTEIKWPDLTANKLAWVGEIFASEIPE -IPLPSVIPHILSPSSDLDLIYSYIESCISPGADQKKPYDGILGTVDIFDFKEISRIDPITVEHAICWNII -TDAYYSIFVSNAPDKSLPLFKKILKTKATYYSGEWVRIRLHPMFENSQYNQLRRINLQPTRSGYKRPVDY -MATQLIRMAHTLLSTRGMSSIPRLILFSNWRESSARLGRRRLILAHAIATYPMQSLTSLRRSVLSIEPPT -ELLKQDPATYISIVTRKISRKISNLEYTLPDMPCLFLHRTDKEAMRMLRDRPRYEDKKETVNHALKFANH -GICKVDYSNYYGALEPTDPPIHNERNSNDRLRILRRRTIGIYSPLYSDWNAIFEILFERGLRRQQKFHVL -GVGRGATSRALVDRHVGVVGYDLISSLPSISHRSASYKPPELIMSANTGNFSWSNHTYMDDGDVLKGHLD -CFDESKPLLVIDLDISFQLLKTLMLRLPIDSEIILRYVGSEDEIKCLISMIRPTLVFSLCVSENQVSDVV -LYTTQLGSLGNGNYQSIEFKTKNEINYSYASTELVSQFWNVEPNISRHFQLDVTDTVLSLRSKLKSLYDA -RRTEVSEVYIHLWDIMHASLNPISLTGKDLRCRAICDNIFKYDS - ->ALM62220.1 RNA-dependent RNA polymerase [Soybean leaf-associated negative-stranded RNA virus 1] -MDFSELFDPGDEGPVRSSAAAEKHLRSPILASLLNRIREFASEVMIEQEKDRGGAGVKYIPREVSHLIQK -VARKSSKFSYSSLPRLVEPWLKDLPLVSQVPEIVTPDVYPDLFSRTLGSTIALEESWKEAFELYHKELDA -FAKWGELPEIKTYIEKERNHVPILSKSTYLSYEQYRYWDLIVERYRFQKKNEKFGANPFKVGDSRFFFYD -GFIMEQIGVPILETKEGRVVKRRAPERRLYTFEQIQMLQDSCLARFNAYLAINNQMHNSAEDMESLLTRL -LKWQESVLRIYGNLGYELVKGPESVAKAYLTKITDGDVMDVGSFERTVNKLKTKERKLAKKRHSDNPPSG -LRGLAKTPLTDELAQIIVDSSDIWSCAELFGCTKLSGHPFVYAEVSAESVRSEGCPQANYDLLAITEHHR -HFMHIVLERYLSKHKTWPPFFKNQHPKEGTKLRHLYDHDVKHVPKGSYPLSDWDDVEFGKFMEFDFSPDY -LDMIDDKAICPGASKSAGFWYKNEPGSYRRLLEALIKKKDIDTVAIVERMRKGMFYLEERIIELTQKERE -FKTSARCFCKLVFEVRIFFVLTEANLKRFMGGDTGDNGYMPQQTMTMSNSKLRKRLYDLTSNKKRSNTCL -VEVDFTRWNLRWRAASVNRIARSLEKIFGLIGVFSQAHTFFASSTVVLTDKHSCPEGVKSGMPAHLWPES -DLVWRNHVGGFEGIQQTLWTVCTIAMMYYALSEEDCSFQMAGQGDNQVFYVSFDLSRTTLKDALSKFLNN -MERRCERLNHEVKPEECVDSTTVLTYGKEIYVNGVHVLYSLKFSSRAFARLDNSIPSLSKEIAGVVANSV -AVSGTLRNTFRAVWWKFIQVLLLLRRRMNSPIYVAEKPAIKRLLESRVSRETLLIPGSLGGLPMMPWTRY -FSKGETDDVSFDVAATYYLSKSVSLIRNYMSLLIQGEFTAREVDQANLINDPHSIPIERPNDASHLVADI -VGKELPKIVINSDLKPLVQPSLKNKGESFKRELLKMKPLYPEIASDLFALTPAGLYQKTVKRFSMTRTIE -KIVPGTDVSSEILSANCLQLKVLLSRVAGSSKAIGYAHPKPYEAAEKLRELWGVELKNSSIGIYTPFDFE -LCRYSPRYPTISAHAKYGTRLLDEHGSCPPNFGTQTKQKVSDHGYRIVNCNSTMRDLKQAALIYSELQAD -PSTKPFVEAIVSARSPWNLTQLIPLFPTVYGGTAVHRHAASRHSFAMLGSCSLSTHVTLSSDNAGILSGG -EDDYPVIFQTIFLTLTNLYQLISAQDIDSPNSIAIRIPDVLSQIDSQPVTVPNSLDVPTWPALRGNRLAW -VEEMFASEVPTIPDPELIRRIYDIENDVDLIYSYLESEVAPNLSSTKVWDGIMQPRDIFDFKEISRVDPY -DVEQALCWCAITEIFNEVLSYDVGEAVTGHLSSILKRVVTILAGMWVRIRLHPMFAESNYNHRRHISLQP -GTNGYRRPVEYMASYLRREIKTILEDKTTISIPRLVLFANWKETTQTTASRRLVLLHILALYPTVDLGKL -KECVQLSKPPSALLQRDPASYLHVSTRTISRKVRHLDYQLPYSECRFLNMSSKEAMRLLRSRSERDNPKS -IRKPEFKISNHGFLKWKTIPMSGIMRPPPDVSRISDSSRLRVLARRVVGPYSPLYSDWNVVLSNIIRREK -IQDVTSHLFGVGRGATARVMCELDIRCVGYDLLSSFPDLAHRSAGYKPPELQYTDRGNLFTWSSHTFLKD -GNVMENDLDISIEPYDEVISVVDLDLPYSQVLDLISRLPPRSKCMARIKGSELEIRYCLSVLNPSDVIAL -TNDNGEIKDTVFIIKSVQAIGLGNYETVEFSKRQELTYTYNRADTLMQLWNVYPELTRYLEIRESDSLIL -VKEKLLDIRDGSPGPEDVLNRTRLILRDIRPKDIPVGRYFRIKAVLDRIIK ->ALM62228.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated negative-stranded RNA virus 3] -GAIARPPDKNRQLTLRFVASTTMAFDDSFEDEFFANRIKTMDDILDSPILTTIRRDIYHYVSSMAPLARL -AIRGCSQGGTFDREVVKREVLRRFFNNKVPRSLSHLVHSALELVLKEDLTKAPISLLDCDQRYEILSDLR -LYNESFVEDMNNNLVRAKSIYDRAREGIRTQLQSKLTPLADPGLYDQRALPFYYQYAHADAILEKIIHSR -QTQGLDNLSGSVKVRYSRGNALVEIITSGDIVVFRTAASNLITSWDDFLGIRAMSIYRRNCFLLLALDST -LPRQLLESFPAMLDWQEKCILLYGNRGFELMKATESIFKSRLMQINDGPEVGDAFSLMVMKQREKEKKLI -RLRQTTSSSATPLVDALERLARSVKDSREAAELFGAFKYSGHPYIDPSLASQSSREHGTSPGKASFIETM -KMRAEYCDMILRGYIIKHGRWPSMIFGTRPTTLESLFLKKITNFSTSDYPFTDWYDARFPKFQEFDYNVD -FLDLMDDKSAGLDPQDAWKAWDSLKRENYKDSFIPDTRSKKLMIRILSMEKFDPEAICNEIRKMDLDTAE -LSMALYPKEREFKLEARLFVMLEFSVRVFLTLAEKNFKRLMKDYLPEQSMTKGRKGTMQYLESLSVGRRD -ETVDTCFIEIDLTRWNLLWRGDVVNPVSRVNDQIFGLPGAFSRGHKIFEKSTVVVRVPSETPKGVTAGST -PSQWPEGDFVWRNHVGGFEGIIQGQWTACTQAMIRLIMSTFDIISYRLLGQGDNQVLAVTYRRDDKIPKM -RQALDVSSAITKELERRFGRINQIVKPEECLVSLTTVTYSKICFVNGVQIPTTLKHAATVAPVGTATIPA -LAQGLSAISSGARATADSFTDPSRAYLYFLILFRDYLARARKTLPSADVMRDLDWSDPMIDLACVIPSDL -GGLPIQIPTDFLFGGCSDRLSASIAAMVSMAHTDPRVERYLGYLDSDLPWKEKPDPASLLEDPFGAPIRP -APGADVKIDTAIKAVVPSITKNLYVRQIMSVSSDSFETDLKKFLVSQRPFYPLLMADLVELSVSGVKRKI -FTKFTGTRTIQQILRRRAPINYHREIVKSDHRRILRCFSMIQESEEKKLRSKFSTPVIFQRAESYRARWF -PGEKEVLQGVTTIHPFEARTDPGLVHDTKDYLEFVTKCDWKTMMNQAGPHAGRWGDKTWEHRKVTGVEVI -GRQKATLAAKRLLLMESQLAAGREMKACIRTILKQRTDVDGDTLEKQTSKIAGGVGAHRWDSTIEEKAFA -WLGAISPTQHCSVQSDTMTTLSGGVKDYSFCFQEHIFFGMQLLRSNPEVFDKFLTLRLHYSIGEEHEIVN -TPIEGNGWKTRQPPPNLSGNPLVCAGSILYQTLSEELPLQIAPLKTLPEECSEEMAERLMVHSFLDQIEH -PVLSEALRDNKEAPSGLSIDVGSLVGAGLKALINAAGTACFMRAIEMVYSETIHMDRILFNVYVDRLSSV -CAMPLARFANTPSVRKQHWVRSTGILIAPGRFGGRALQSRIAARIREIAAERLRDVFKFDKYKIVLSSYA -ERATPSKILGCYVAIALFQLNPSSMEEGRRLYRRHLNNLRRLEVERERVAEHIRILHDLSNDEFDDTAFF -VKEILSGRVIRRTSIGLDDTKRFLRSGKVHETTRTALVRLPPVPHLEIGGVTTGTVREFDLSLPRLPQNR -FLDAVRLIIRNTSWVAGSSSISRDSLRVFSLARKLIKQGPCIQVGVGNGAMARDLFAIGATHVVGVDLVS -DLPQLSSLGSAYVPPELPTLERGQKWAWAASVFTDGGNWYDPRVSAQVLSLQPSVICVDIQPGVRPIWDD -ILPILASRQKLTVIFRRELTAAELSKFLSGCTATFRSTKVVRTSYNPNEYYFVCRTSGVSSPRFDDSPLT -EPIPSLDPGFNLTNSYYYPSSFNQVRSSLWRGRSIPESKPAESIDYLLNYFRGQKPRDFKEITEDIALAA -YSASELSRFNSLHLNDPELLIGMLAELAITPSPEFLHGVKIPSLRRSSLFTQFARIAPKLLSYTRDGWQF -AL ->ALM62227.1 RNA-dependent RNA polymerase [Soybean leaf-associated negative-stranded RNA virus 2] -MARLDFLVDDGDSPSNARSYAPPEKHLQSPITSSLLDRLLELYREIIADNAKLTSGTNKIPSIPANVTHT -LNSICRKNVEYSYSNLERVARPFLEDLVNTAKPPKILTPDLYPRWFKRTITSSQSLLESLKEAETLYKSE -VKAYNTWAALPEMDQMLQKESDLTVSPQEDTYRRYECYRHWDLVVERYRSKKKQKKFGRDHFLLAGVKYY -FYDGYIMEQHGNPTKIHDSDGKVIRRIAPDRYIYTFEQLQMIQDALLARFNAFLAIDAGMHNGNTDTRDY -LARLLIWQEKVLVAHGNLGYELVKGPESVAKSYLTSLSQGDVMPISSFVRTVAKLQEKEKKLSKTDKHDL -TDELVSIITSCTDYGTTAELFGCTKLSGHPFVYANISAASVRDEGCPLGNVNYPAVMEYHRHFKHMVLER -YLEKHKVWPPFSERNKPRAGTRLSDLWTREVHKINDNSYELTDWDNVEFGKFMEFDYSPDYLDMIDDKAI -CPGAQYASDFWFKSQQNSPRRLLESLIKRKEIDTVAIVDRMRKGRFFLDERIIELTQKEREFKTSARCFC -KLTFEVRLCFILTEANLKRFMGGESGDNGYLPQQTMTMSNSKMRKRLYDMTSSAIRHNSCVVEVDFSRWN -LRWRGYTVNPISRTLEKIFGLPGVFSQAHPFFQSATVVLTDKHTLPRGVKSGVHASLWPESDLVWRNHRG -GFEGIQQTLWTICTLSMMYFSLRDENCSFKMAGQGDNQVFYLTFNKGVNNISPLLLKLLTSIERECERLN -HEVKPEECIDSRTVLTYGKEIYISGVHVLYSLKFSSRAFARADYSTPSLTKEVASIVANSISVAGTLNNT -FRAVWWKHIQVLLLLRRRLSSPLYRREHNGIKRLLLNENSRNLLLIPGSLGGLPMMPWTRYFSKGETDDV -SFDCAATYYLSRHVPIIRNYISLLLEGEFLPAKVDITNLINDPHSIPIDRPNDATHLIAAAVGKALPSAV -KNVDIHQLVSPALRTSGEKYKEILTSMRPLHPVVASDLFELTPAGLYNKTVKRFSMTRTIERIVPGLDLT -DEISQASARILSVLLDRMVKSARHRGRQHPRPFQTASLLRAQWNCDLKNSSVGIYTPFDFELGYFTTRKP -TISAFVSPDSKLMQTCGKLPPNFGTTTRQKISDHGYRIANCNSTMRDLKSAIMIYSELQGDDSIKPMIDS -IVQARSPWCTEQLLPIFPSQYGGSQVHRHAANKHHFGVLGSCSVSTHITLSSDRSGILSGGELDYPVVFQ -TLYLTLNNIFQNLSIARVDIPSSLAYIIPHTLESIDDSPSLFPTTIVPPKWPSLDKNRLAYVDDMFATEV -PTIPDRNMIPHILRPSSATSLIFSYLDAVVSPSMDSFRAWDGILSARDIFDFKEISRVNPYHVETAFKWI -LIVDTYHEILGSIIAGKEANMTSVLNRRSLIYAGAWVRIRLHPMFSNTDYNKIRRIALQPGKDGYKRPVE -YMATFLRRVARETLDNRDTSSLPNLILFNNWKDTTALAAKRRMITSHLLATYPEVDIESLSNSIMLSMPP -KEMRSRDPATFMFIATRPRSRRIAHRDYELPEVPCCYLNLSPEESMRQLRSNNPELEPMRTTMPRPRVTN -YGLVSYTDIGLGGTLKPIPTNEYLRLEDRLRTLRRRKVGKFSPLFSDWNAVLLHIRNVLPSCISEVHILG -VGRGALARFFASVNMEMVGYDLQHMLPVVAHRSSSYKPPELLASNNSHLFRWSDHTYTTNGDVLEGRLDF -TGGPNQMCVIDLDVNLDKLLAVLARLPVASRLIARFAGSSDEIRYLISILRPEKIYCLLLVDHLPRDVIM -YVGSSPSVGSGNYESISYNKLHELTYTYVSNELSDQFWDMQHSIARTERILVDDSIESIADFLRQLRSLA -SRQVADKHAYLWDILNTDITTTPLIGKKLRVYAICRNILDLVPAV ->ALM62229.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated negative-stranded RNA virus 4] -MTSIDEASEHDARDQSLLQRLARNLFGSEDDFEDDEVDDEGFGAFRPDLVAQSLKNPLIDTPLLKVQAVL -EKRIPDPNVRSKAGHFRETRFVQWMKTTFPNCSYRPWTAETTVKLIIWIEENSKGHEMASNTVPVSIWKS -FMKNWAGNVTDDTFSVSDIDPLTKSAWNAWREIQLAWNIRRADSMKGNPDPFTRSIAGKTFLVTSKLVAF -ERSEGVVAYGSSDMFLAVHDSLQARFGAHLALDILRGPTFPEQLTHSLYIAQCKWQEQVILKYKNPGYEV -AKAPESLWKCYSSRLAGGSYEENDAYARMVAKTKHKETAIPLPESAITGEFLTDRLDEIIRSVTDVTTAV -EMSGLIKNVGHPIIDPISSGLSTKTYGRARDKAIPSVRNKCVYVACHLILKNYIAKEGKWPPLYFERSSN -VEPSRLETLRNAAWLDLTDGAYPLEDWQHAQFGKFREFNYHEDYLPLIKDSSCAPPLSMLKNFYDGKGAK -RSMRRLLLRIIESDDIDTRKLVSDVSQNIIDPDDLLILLYPKECEFKLSARMFCMLSLRLRLFFSIVSEN -TKEGIYPYLPYTSMVMSNAELQETLLKMTGPAGDETLFIEVDLSRWNLCFRDSHMKPLGERFDAIFGVEN -VFGRAHEIFSKSLLCTLVPDSRVDALEDPVDFSRESDNCYPHHEGGLEGIDQPGWTSKTIAELYWAMWGE -KVRFKLLGQGDNQTLAIVRAPGDPEPMSDFSNRIMAKIEKTFADMNHVAKPEEFVNSTKQLTYGKVFYVS -GKCYPMTLKYASKISTVTSSDIVTFSDASGSIFSSSVGSAMNSRDPLRVWLLSMIHANQFFTDCMKGDSF -FGFGHTVNNLTRSDDMMTLFLTIPSVLGGLPISTATNFICRNEPDPLTSALAFLQCLDLPVTSKYLMALT -KDVLYSPKPKIETLIMDPFALPLISPVSPATLMHEAALPLVRGAANRDISQLVSWSTSQESSLLREALVS -TRPFFPVVLRDVYDVSALGKAEELQKMFTLTRTFIQAAKNHPVETDMMSADQSFVSALSVRFHNALRQNK -GSLPRRSSLKFAQELRTRWGVEKGVIQGIEAEHPLDHVATRTKTGGSGVVAQFVGDIANWVSKRGPARIY -LGGKTQERRVKSGYELKPVPALSALKKLVLATTAGEMTEDVWKVFRYVCSTRTPHTLENLCTVMPRTGGG -VIAHRYDDMQSSGMIGPVGNLSHGAYIVFSSDRVEGISGGKVDYPVAFQQFFSYLSALGRQSLFSDDPSP -DSIIIPLSAVDMEPLVQERLELTKPVSLPALNTELERNRFCYVPEVEIILGTNMYRGRIVETSKALSRPA -LFLAGAIITEQLASSLSRAKLEMTEDERPTYAKLDVAVFSALGSKVIHTALTISGAWIATFNYFRLMGDA -PTRYSSTSLVYRIARNLSNYMTANVLKDPEAAEWINREDLFSVRGGVSGFSMATEAYERNLAENILNLLF -SPLLSGKNVEPILPAVGKGLDKVMVARLFFSRALWIVSVQFSETREGTAKRAFREISRIPK diff --git a/seq/clusters_seq/cluster_534 b/seq/clusters_seq/cluster_534 deleted file mode 100644 index 6657bd9..0000000 --- a/seq/clusters_seq/cluster_534 +++ /dev/null @@ -1,80 +0,0 @@ ->YP_010087180.1 glycoprotein [Cuiaba virus] -MSFYGFILLTLGALQAHSRYVLYRPSEENLHWHPVNHSSLQCPLRGSELYPSPNAGFRASLPHSIGGIKT -DGYSCHKTEWVSECTESWYWTSDIKQYVRALPVSHRECNDIIAKKRSGDDETPFFPAPHCQWANTIRQSK -TFLKANTKKVTIDPYTSEYVDPIFVGGRCKDPPCQTIQSGVIWLPGLRESTSHMWQEVYLRYAPPNGNMS -QLKIWGPGFPITKMESACKMTYITRKVIRFPSGMGVSVDESAFKDQKFKTWLSEMKECEPGTTLKVPNAH -ESVAEHQVEIDDIVYTLRCMDIVSRFRDTNTISIMDLSFFGPDHEGMGNVYRLRNGFLEATTAHYVKCIR -SARDNSALCMDDDDKTIFSPQWVSSGVKGVYSGFNGVYKKDGKIFDAGGHLSENMLQDMDTMRLDIQVIK -HPIQLVLKDKYNDTTLFADETGERGNLDIPLFHDLKEIWNKTIHWVAGAGVLVISLIIGTALCRCFKPGK -RQKKRGNEHEMREF - ->YP_009362272.1 glycoprotein [Chaco virus] -MQKYGFILLALGAIQVTHGNLFYFPVEKDIHWHPINYTSIRCPIRAGDQYPGYSANVQFRVPYSDSSNII -NGHSCHKTQWVSECTETWYWTTDVKQYIRVLPVSLNECLEEKEKRGQGKSIAPFFEAPVCQWANTVRKEN -SFVILNEKRVQLDPYNGNVVDPLFPNGRCSTPVKGCPTIQDNVLWFTTEDPIKGVHMRTINLKYAPPRGD -MKELSIWGEGVPVTKMSKSCKMSYANEEGVRFESGLWGIPIPGSDGKFTQWKDNLENCPEGTLLKLPNAH -EEISEHQIEIEDLVLSLTCFEMINNFRDTGKISFTDLALLTPDHPGLGNVYRINKGVLEASSAFYQQCKI -KPNKNDVICYGGNDFNTENKWKRWVDSGTPGTLSGYNGVYKMGGKIINAHENLMTNRIIDEDILKRDLKT -VRHPIEVSVRKNSSHPIYFFDWTGERGNPVEDVFEGLNNFWRKAIEWIAIVSFTFLLCIAIFILFKLLGM -FRSNKKDRLAGSGEHEMSLFR - ->YP_009362216.1 glycoprotein [Sripur virus] -MKTSYGIILLICGVISPAFNYVFHYPLEKNIHWYPANHSSLRCPVRSARILDTPTGGITLQLPGNPSNHD -IPGYSCHKTEWVSECVETWYWSTDVKEYIRSVPVTFEECQRALQDKSIGNEVSPFFTAPTCQWSNTVRKV -NTFVIVHSKDAKLDPYNLDRVDPIFPGGRCKSKEKYCPTIQAGVIWLQKVSQRITIEWQTIKAKYKRIGN -NMEDWKLWGGGMPTSTFRGACKMDFAAKTGIRASNGFWFHVPNISDSAFKDAFNAMTQCPQNTEVKFPSA -HEEVAEHEMEIQDLILTLRCRDIIDKFAETGQISFMDLSLFDPDNEGPAHVYRIRNGKLETGIVNYGECR -VGNSGDKDPANSICIKIADDGIRAAIFYDDWVSTGEKGIQSAFNGLYRENGVIRHAGYNLFANKLTEKDI -EKQDLQQVHHPIDLVIEKFMPGLNLTFDTTGQRGEVYDLFPDIKGFWRSVLEYSVIGFFVLITIIFIWIV -VKCCGCFRMKRHNQIDDYYD - ->YP_009362108.1 glycoprotein [Sena Madureira virus] -MKEYGLILLAIGAIQIANGSLFYFPSEKDLHWHPINHTSIRCPIRSGDKYPGDSANVQFSVPYRDPKHLL -NGYSCHKTKWVSECTESWYWTTDIKQYIRVLPVSKEECVNELRNREEGQSLSPFFEAPVCQWANTVRKEK -DFVILNKKRMQLDPYSLEVVDPLLLTGRCKTSLDGCKTIQDGVLWFSEENPKKAMEMRVLGLKYSPKGGD -MKDLVIWGEGIPLTKMSKACKMQYANTEGVRFESGFWGVPLAGTDQKFTNWKENLQDCPEGSIVKLPSAH -EEIAEHQIEIDDLVLSLQCIDVINNFKNTNQISFTDLALLTPDHAGLANAYRINRGILEATTAYYYQCKL -KSNVDNVICYGGQDFNIPVKWNGWVDSGTPGTASAFNGVYRKEGKIINANENLMMNRIMDEDIMMRDIQP -VRHPIEIVANKNQSLPQYFFDWTGERGNPVEDVFEGVSKFWRKVIEWIAIGFFSIIGVLFFTIFVKIIWS -FKRGNRKSKNSDQELNLFR - ->YP_009337011.1 putative glycoprotein [Hubei dimarhabdovirus virus 3] -MKKTNKNHKMKRLNRIRSLLILLIIGERTSSILLPSYEPKQWERVNPIDLSCPRIESVKTEVVDISPSQC -SRVSRALTRQESGFWCIGVVMITKCTESCFGGTDVVRVSRDNPVSYTECSDALQDFLKGQLSFPAFPPAQ -CSWCSSNSEEAKSILIVKEDIPYEPLTNLYPLGGIDPTSCDNHHCLTNSRSRMWMRWTTNSESCFLQPEN -FLLLRNRTGSYLRDSVHRTYSLLSACLTDYCHRPTLYLSGRELLSCESVLLDQLKLKKCPKQIRYEIDPA -NQDVLAEDQFIQRELVQVKCEDSRERVIKGGSIAPSDLYLMSKKGPGEGYVYFVDNAGVLKRGLTNYREV -VAAESMVNLTSSLSSSETIKWSLWTKLTPPGLFMGPNGIVWDETSERLSFPSLFYDRVLNLDVKLANMTQ -TLSDGADHQTHPGLGSLLKNMILQHDHSKNILDVVATAGGDLVTSTILTVTKCVLYILGLIIFIQVTACV -LRKVLCSPKKGKTPQGYDLVRYVNGPQAAVSISKASK - ->YP_009094470.1 G [Niakha virus] -MKHYLTCFLIDTMKKTTSVIMSQGYGLLFLVSGVLSLTAGYVFHYPIEKDIHWYPANHSSLRCPIRSASI -TDTPTGGVTISIPSNPSNNDLPGFSCHKTEWISECTETWYWSTDVKQYIRPVSVTADECKKAQRDKEVGT -EITPFFTAPVCQWSNTVRKVNSFVITNKKNVKFDPYNLDFIDPILVGGRCKGNQESCPTIQAGVIWLPRL -QPTKATSWTNIYAKYKRVGPHMGDWKFWGGGMPTSTFKDACKMEFRGKEGIRVSSGFWFHIPQMDDVEFK -TEYGKLAHCVSSKEIKFPSAHEEVAEHEMEIQDLILTLRCRDIIDKYEETGSISFMDLALFDPDNEGPAH -IYRINKGKLEAGLVNYGECKVSKKGDPAESACVKVMDNGQRSPIFFQDWVPTGIKGIQSGFNGLYRENGE -IKHAGYNLFQNKLTESDIQRMELTPIHHPVLLSLSDVAPGLNVTFDQTGERGELDLDLLPGITGIWRKFV -EYLSMAALILTLIVSIFVVWKCCISNHLGPSKKTSEMEYFE - ->YP_009094370.1 virion transmembrane glycoprotein G [Almpiwar virus] -MDFKYGIFILLCLLGSTNCNYVLHWPTEKVDGWHPASHTQLRCPIRTASHPITERRGSVSFLVPTFAYST -HVDGYSCHKTEWVSKCTETWYWTTDISQIIRTAPVSKEECLEAITKKESGISQTPFFENPVCQWVNTVEK -SHFFVIVNKKKVKIDPYNLDAIDSLFPGGRCSQDEDGAYNCPTIQAGVKWMTKDPDDYKIRWSMIRADYS -YEESTKKFVLWGGGLPTTYFNGSCQMTFQKQTGVRFPNGFWAMPPERKWTDTDFVTMWNNLPACKEGTEV -YYPNAHEEFSEHGMEIDDLILSLKCLDIIREFDETGKISFLDLSFFSPDKPSFGHVYRLNEGKLEVATTN -YGSCVMKSGHEYSGNELCHDTNDRVISYRDWVPSGIQGRMSAFNGVYKDNGKIRHAGYQLWANRLTESDI -QRYQMARVSHPIENVISKLVPGLNVTFDATGQRGELVDDFLSGVSEGWRKFLKYGAMTLILLAVLLLFLF -MCNLLPKFKPKRPALERYAMTEF - ->YP_009094345.1 glycoprotein [Scophthalmus maximus rhabdovirus] -MGFSMTLIALAFSLAYSEVLYMPDSRNIRWVKARPSVLKCPRKFTYEPSDTTNETDIEVQIPLLRNAHQP -GHFCHKTKWVTTCSEGFFGSRTITHAVRHQRVSSAECAVAVKELTSGLAPVPAFPPENCGWLATNTEEQS -FVVATPHEVKVDPYNLQFLDKQFLGGVCKGKFCETITDSLMWYSENGALASCPSKKTTLRVYPESTGRWG -KFSTVWSDSFVRTNFVDACKIHVCYKDGVRFKHGSAFMYGDNIDSAVKKLIDSHPNCEADQGIIFQDVHT -EESMAILNMADSLAVLECIDAVDIAKATGSITQMTLNHMMPDHARIGPGYRLNNGYLEMATVNYIPITVH -PRSYSEEVLGTDEEVNNYAWSDWVPSGVKGVESGINGLVKVDGKIHLPWMTIKYQLAEATFDQVMEAQIV -HHPAIEYVSNTQNLTDEQLDQHYNGGDLIETVTHMFGTLGQTIGAWIGIFALFVVVVLILVAAICCCAKC -SCKRSAPVRPVMYRAPDPLMGDEEGMIKLNPWASV - diff --git a/seq/clusters_seq/cluster_535 b/seq/clusters_seq/cluster_535 deleted file mode 100644 index 2ff46d6..0000000 --- a/seq/clusters_seq/cluster_535 +++ /dev/null @@ -1,41 +0,0 @@ ->YP_009709875.1 nonstructural protein NS2 [Hepacivirus P] -TLQDCCLASLSLVLTLIWLGYASSYLPFLALTHSYIRTRVECWMNEWSRRESLFVLCLLFPSAVRVSLAV -FWSIYLTCLAISALLLTLCCPNTKFGMYKILTTTSRIGKQILAMCRPILVWACAEKGIFWYEHLDGKLDL -NWEYRDPYFPVKTQVIEAEDVGRKLACGDALKGHPVFCRAGTTVRAGIAQLAKGWKRT - ->YP_007905988.1 NS2 protein [Rodent hepacivirus] -ISLPDVAAALASVTFLIAWAGFFSRFIPAVMMLQSYLRVRLEATCHRWFDRSITFVFVLLFPNAVWNTCL -TLWIVWLLLVVGGQAIVCILGPHDKIGFHRLLQTLSRLNRFRDSALRFAVWVAGERGLFWFKHKDGELPG -QWEYQEPYSMFPTEVAVAEDVGMKLACGDSIRGLPVYARCGKSVRAGIASLPKGWKYT - ->YP_009684358.1 nonstructural protein NS2 [Guereza hepacivirus] -FDDLGYIFAVGGCVLFCGLVLWLRYSVNDFHARLVALQGYLNFRAFHWLYNLEGYNMERLLLLTVLLPGA -ASTVVIFGLCACVVFNLTVDYLTSPRGRSTYQSWFRLTKNLGRATPYVQRALLRIAGSHGHYFYQHLQQT -YHLAAETVSTLVSMDPAYPHTTNRRVVYARGQALACGDVVDGLPVVARLNDFVLLGVGDLPVGWSMLN - ->YP_009325406.1 nonstructural protein NS2 [Norway rat hepacivirus 2] -QFDALCFACLVYGVVVALTGSSLLLALRLRVLTSYLMTRVDLSTASAHKTTLYLCVLLFPKATWYCCMWF -WTMYIIGFAITKMLPELLGIHSRTGLVLFLRKTRKLKSAMMRLFKRVVVWACGENGWFAYNHIDGDLGLD -WENQEPYFPFETLLVQETDKAHNLACGDTLRGLPVFARRGDEILAGVGKLPAGWQLT - ->YP_009678998.1 nonstructural protein NS2 [Hepacivirus F] -SLNDVLLAVSATSLFAGWVGLLSPYIPWLMLTQSYLRVRLEAFCHQWIDRSVLLLCVLVIPHAVWNACLA -AWISWAGLVIGGQLIVQVLGPKDKVSLKLTLERLDRCWRWVANLMRPVIIWAAGERGVFWYEHLDGQLGG -EWKFRDPYYPFETEVIRAQDVGLKLACGDQIRGLPVSARLGTTVQAGIAQIPQGWERT - ->YP_009678988.1 nonstructural protein NS2 [Hepacivirus B] -FDTEIIGGLTIPPVVALVVMSRFGFFAHLLPRCALVNSYLWQRWENWFWNVTLRPERFLLVLVCFPGATY -DTLVTFCVCHVALLCLTSSAASFFGTDSRVRAHRMLVRLGKCHAWYSHYVLKFFLLVFGENGVFFYKHLH -GDVLPNDFASKLPLQEPFFPFEGKARVYRNEGRRLACGDTVDGLPVVARLGDLVFAGLAMPPDGWAIT - ->YP_009679018.1 nonstructural protein NS2 [Hepacivirus L] -ASLDTDAVWGMGISLLLFFCVASQVGLLAPLLPRMALLRSYLHTRIEAWVEHHSARLTEFLLVSCWFWPG -LLLELCCLLLVAWFCFIAVCSVIIALLTPTTYPAVCRALNRAAKLGGWLGELTQKLIIYCCGEKGTFFYQ -HMGQELPITPEVRASLVTSDPYSVFQTQARVVEDRARKLACGDVVAGLPVMARLDGMVMAGCVPLPKGWE -RN - ->YP_009325396.1 nonstructural protein NS2 [Norway rat hepacivirus 1] -EGGELRFAGALVIFATLLSGLLSTLVPRLVLTLSYLRWRLRFFGIYLADRRIVLLGVLLAPNAVAFCCWT -FWFCYLGLVCLQQVVVHCLGIRTRQGFFTTIKKLEGSANWLRRFLLKVAIWAGAEEGNFWYNHLHGDLRI -NWQFQDPYFPFQTEVETAEDTGFKLACGDTLKGLPVYARLGKTVRAGISSLPRGWRFT - diff --git a/seq/clusters_seq/cluster_536 b/seq/clusters_seq/cluster_536 deleted file mode 100644 index 3c8f494..0000000 --- a/seq/clusters_seq/cluster_536 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009709872.1 envelope protein E1 [Hepacivirus P] -FTPLTNCCNDSQVVYCTEMTCVHDSGCVICQEDFCWEPQGPLVSRHPNYTGVDPFLTHHIDFVAGVIFVC -DLINMHEFCGGVTLVSSLVLDALPKPITLNQTGDCYLEIYSGVDPGFWGFLGWLASEAQALAAVAEFILK -VPAAIAHAVTENHFLAMASIAGLAMNGNVPKALALVVLYVESAVA - ->YP_007905985.1 E1 protein [Rodent hepacivirus] -VSNCCTADQVVYCTDVTCLHESGCAICQATDNGTVCWEPQGIMLSHHPDYTGVDPFLTNHIDFVAGTVFV -CDLAGIKEVCGTAVLAANWALNFLPMPIVLNTSGDCFLLVESGINPLFGSFFTWLAEEFNTITAIVDFIG -KIPVALTHAFTQSHFIAMCSIAGLALNGNMVKALALLVLYIEAAA - ->YP_009325403.1 envelope protein E1 [Norway rat hepacivirus 2] -NLLKNVIDYLQIQRYNFSDGLPEHQTFGLVPLTNCCNYSQVQYCSEKSCLHDAGCTICEQTDEGVRCWLN -HGPMVSRHPDYEGVDPQVAEHIDAICGLVFLCDLSGINEICGAVALVSSWWFEFAPIAIQLNTTAECYLL -EATGADPGFLGFLGWVASEAKAFTAVLDFVVKLPAAVAAAFGSGHMIALASIIGMAMNGHSIKATALLVL -YVESAV - ->YP_009679025.1 envelope protein E1 [Hepacivirus I] -KPLRRYQAEGMNNSLAVTNCCSRDQVVYCTELMCVHEPGCVICTPGKCWLVSSPMTSYHPDHAGQDPVLA -SHIDFLTGAAIACDAFGAAEICGVAIVLTEWVSTNLPLRVNLQDSDCYLIVDSGAEPGLIGFFHWLTSEF -SAVSLMVASIVKIPQAVVNLFADIHFGVLAGVLYFAAQGNWVKVIIVVASYVEASVA - ->YP_009678995.1 envelope protein E1 [Hepacivirus F] -VTNCCSPEQVTYCTEVTCVHESGCAICQLDGNQTICWEPQGLMVSHHPNYTGVDTFLSHHIDLVAGTVFV -CDLAGVKEVCGTAVLLSSYALTLFPRQITLNPDADCFLLVESGVNPLFNSFFYWVAEEFNTITALIDFVG -KIPVALAHAFTQSHFITMCSIAGLALNGNVVKA - ->YP_009678985.1 envelope protein E1 [Hepacivirus B] -ARVTDPDTNTTILTNCCQRNQVIYCSPSTCLHEPGCVICADECWVPANPYISHPSNWTGTDSFLADHIDF -VMGALVTCDALDIGELCGACVLVGDWLVRHWLIHIDLNETGTCYLEVPTGIDPGFLGFIGWMAGKVEAVI -FLTKLASQVPYAIATMFSSVHYLAVGALIYYASRGKWYQLLLALMLYIEATS - ->YP_009679015.1 envelope protein E1 [Hepacivirus L] -VPAIRFKAEQEHTWYALTNCCPPESVRYCTFHTCLHDSGCAICERAGDGNVTCWIPDGVFSSHPPGYEGV -DPWLANHIEYVSAAVLLCDWLEVGEICSMTVWAVDWSLGHMYHHIDLTQNATCWLSKPTGIDPGIVSWLG -WVKSELGLIAYFIGWLSKLPVAVVHLVVNMHYFTLASFLYYFSQGKPVKVALVFFVYVEAAAA - ->YP_009325393.1 envelope protein E1 [Norway rat hepacivirus 1] -GIFPYLPGPLDEFATSTFGTIARLSYDLLTATEETPTVSPTVPSVGGRQSYPQLVPLTNCCNHSQVSYCT -ELSCMHDTGCVICEQVGNASLCWVPQGPMVSRSPHYQGADPFLAHHIDFVAGMIYMCDLAAMHELCGAMV -LLARAGIATVPVAIQLNTTADCYLEVQSGVDPSILGWVGWLKDEFVSVTALFSFASKIPSALAFAFGKSH -YITLAAICGLALNGHVPKAVALTVLYVEAAVAA - diff --git a/seq/clusters_seq/cluster_537 b/seq/clusters_seq/cluster_537 deleted file mode 100644 index cb61dfc..0000000 --- a/seq/clusters_seq/cluster_537 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009518851.1 nonstructural protein 2 [Human orthopneumovirus] -MDTTHNDNTPQRLMITDMRPLSLETIITSLTRDIITHKFIYLINHECIVRKLDEKQATFTFLVNYEMKLL -HKVGSTKYKKYTEYNTKYGTFPMPIFINHDGFLECIGIKPTKHTPIIYKYDLNP - ->YP_009505449.1 nonstructural protein 2 [Bovine respiratory syncytial virus ATCC51908] -MSTPNPETTAQRLIVNDMRPLSIETEIISLTKDIITHTFIYLINHECIVRKLDERQATFTFLVNYEMKLL -HKVGSTKYNKYTEYNRKYGTFPMPIFINHDGFLECIGIKPTRNTPIIYKYDLNP - ->NP_056857.1 nonstructural protein 2 [Human orthopneumovirus] -MSTTNDNTTMQRLMITDMRPLSMDSIITSLTKEIITHKFIYLINNECIVRKLDERQATFTFLVNYEMKLL -HKVGSTKYKKYTEYNTKYGTFPMPIFINHGGFLECIGIKPTKHTPIIYKYDLNP - ->NP_044590.1 non-structural protein 2 (1B) [Respiratory syncytial virus] -MDTTHNDTTPQRLMITDMRPLSLETIIISLTRDIITHRFIYLINHECIVRKLDERQATFTFLVNYEMKLL -HKVGSTKYKKYTEYNTKYGTFPMPIFINHDGFLECIGIKPTKHTPIIYKYDLNP - ->sp|Q86305.1|NS2_HRSVL RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B -MDTTHNDTTPQRLMITDMRPLSLETTITSLTRDIITHRFIYLINHECIVRKLDERQATFTFLVNYEMKLL -HKVGSTKYKKYTEYNTKYGTFPMPIFINHDGFLECIGIKPTKHTPIIYKYDLNP - ->sp|Q65707.1|NS2_ORSVW RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B -MSTPNCKITTQRLVVNDMKPLSIETEIISLTKEIITHTFIYLINHECIVRKLNEQQATFTFLVNYEMKLL -HKVGSTKYNRYTEYNSKYGTFPMPIFINHAGFLECIGIKPTRNTPVIYKYDLNP - ->sp|Q65695.1|NS2_BRSVA RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B -MSTPNPETTAQRLIVNDIRPLSIETEIISLTKDIITHTFIYLINHECIVRKLDERQATFTFLVNYEMKLL -HKVGSTKYNKYTEYNRKYGTLPMPIFINHDGFLECIGIKPTRHTPIIYKYDLNP - ->sp|P24569.1|NS2_HRSV1 RecName: Full=Non-structural protein 2; Short=NS2; AltName: Full=Non-structural protein 1B -MSTTNDNTTMQRLMITDMRPLSMESIITSLTKEIITHKFIYLINNECIVRKLDERQATFTFLVNYEMKLL -HKVGSTIYKKYTEYNTKYGTFPMPIFINHDGFLECIGIKPTKHTPIIYKYDLNP - diff --git a/seq/clusters_seq/cluster_538 b/seq/clusters_seq/cluster_538 deleted file mode 100644 index ff23215..0000000 --- a/seq/clusters_seq/cluster_538 +++ /dev/null @@ -1,369 +0,0 @@ ->YP_009552078.1 replicase polyprotein [Brassica napus RNA virus 1] -MSSEPQICVDNLNRISTLGGIIFSLGECDHRFPFSGDSVVSCNVCNFLLRLNKKQQKNSTLVSRLLFEQV -AGCSFARAYQLSRVLCGVDKVDHKFLDNAKSAINCKLGYKYGCALYAGIGTSSQATNYSDSWNMCDNAEH -LFECFSLSDQTRGRLFSVPGESVGWHTTCAKCGASCSFAGPREALMIILFLRILRVRYDGNKYLVSSVAG -EKPVHCSRKMATVILIAQDCAIDLHKMEIVNDDPQLEELKSDVYRDECSADHFTYGTNIVERYAKNDFIK -TSNGCLHQFYLVKCPGVQLKMSHDMMRVLMMLLPCSGYLNALIKGENGMLRQVMNASGLLSAGGFVGVNI -RCNEGFVQFHQEFYRGSFRSPVTETPLLIDEENFVAAEPIVHVCTKQSSGGYDSSQDEEDEGFGEDDVDA -MQEKFDAFLSEPKSLLEVEDVSRVQGRGLGDLKKRVGGLLKGVTNCVKACHAVWDWPLDTALNLVGKTGD -WLEENKEYISKDVWSCTVCGELQKDMSAGAEEQKKINQLFKAMLERLAKTVDEVTAMNNKNFAKLEEKLP -SDDQNLVVLRKKMELMQDELTRHAGDIHAVKTEVERMDSNLGPFNGEACILSIAEIKVDMKEMKERLNQL -EREPKGSKLPTSIPPPRDRPRPTPTSSDAGEQLPIPRMSVMDGSNVRQPPPGMSKSRQARWFEKQMLMRQ -SGSTEFVGTEMQAEVVESSRVVARGSTEEHNPFLSKLYLGSVSWSVSDGEGTVLQDFDIPSAVWGSNSRL -ADILSYFQYYTVDGMDFYVTLTSIGMQGGTLMLVWDALSCATRQKTASVFQLSNLPKAFIHASESAEQVF -SIDSPSIQHQMCTSGSEGSLGNLGTLKICIANVLNASSETSQSASVNVWLKFRNPRMSLYTRKHELVMSQ -ACMDVEETHGLESCEAMIATGKWSTTSSTNLMELTVHPTACHLERGMLSQTSLSVIASMFGRWRGSLRFK -FVFGASMFVKGKVAVSAIPIAFRKEKLSVAQLLSFPSVICDLNTANKEFIFDVPYNSIGEDSYVTRDSLY -DMSCYNGDFVVSRLHMVVLDPLVMNANASNSISFFVMMGPGDDFTLSQLLGVKSEYVNRNLKQAFGRSLN -SGGLNGDGFSRWCGVPSVLAKFELNADKKNALHFMVSPYYRRFPPCVTSLSWLSQIFVEWRGSLQYTLRA -HSQDRAKGSLVRIWHDANGSTISREEFEFLSDVDPPSGMRVLYWNPVLSDTYTFTVPYRARTKKLIIMKA -RYEPHEYDWVRCYNGSVVIDFEGPGEMSLELSVSAGSDFEMFEQTVAPRCGNVTKAFTVLSYKDKLCDIT -VFPRNTGRLGGPVNRADVTPLKYQPVHEVETPPRVSDRRRDAEGRELGPLNKSPVEGDRAFTKEGDPIIF -RNGQWDYEEDDVTSQMNCFPRGLKGLKESADLFETRDTCSKLADVIDFSHETVTEKGGMLDKLRIAAESL -GPLMEKAERAMGTMEEKMDFLTSCKDKVMGVLSSLFTESVPGTVLSMVENQQYTWATLLTIVGGASLLWT -SKSEMKFYKKLSVFAMIVWSPFLASKTWTLGKWVKTKFTNFFHRENIDEETCRKHSMAGAFEEVKKYLGN -FSEWFSDNWMSTIQALLSLLCTVASLIVWGTMPDTKQLNSFTEKFKVAGEKGRSFSNIFSGFNSITKMSN -EMSSAFMKWTMQGMKDSLPNADSALQTLVTFDIREWIKETRVMALQENRFQGFGGEEHLVRVRHLYDKSQ -AIEQALASGVKVDTQLSMIIKDCKEKCTELKNESYTFKGMKKPRIDPLHVCMLGKPGVGKSTITHVVINN -LLDHRGEPETDRIYTRCCADAYWSNYHQEPVVLYDDLGAIKSSLKLSDYAEIMGVKTNDPFSVPMAGVED -KGKHCTSRYVFSCTNVLELDDSGDVVSKLAYYRRRNILVEVERDERIPRDESNPTAGLVFTVLGYHIVDE -RSGRVAFGIKETWEEPFLRSIDTSQWRFERVPYPVFLRFLCVYTDAYMESQENLLTGITCYRPDMDEIPT -LDEIFPVVAQAGGALCTLGDVIEKFDKRGLSGRDLCASFQKRGSKYFPPDAWGTSKSMSFNKLILTLCEC -SDQGVCNFDIMMSQIRELSVQKDVKRIVKAIRVHKLKADPLETEMMVNSNGVEGLFEDVDALTFFSMLAC -WYRWSLPGYMCVYRINQQKSAQRFAEKCRDEVENEDRIPNCVEIKVEDGNSFVWDKAMKFFPSCLSAIGC -VPLFDGCEYYLASTSLSMQRLEERRSKIWELIWANGVEISCDPLSLLPRRERLTIAGIVNGISSFGTAEE -PSGEVKQVYQSIKENYGLGNIYLTTIILVAQAHSDRVKEMEMTKNNAKKEKVFSAYEKLQRYEKSVVEGI -SGKMKVFLSIAGGIAATGTIVGLFFLVKKLFSPKSEEVGAEEIEVEDAEAEMSGAHESGMFKTAHIKTRS -FPVRVSRAKAEMMGAHESGAFRTEHVRRIQRPYVQKAQAHVRGGVHYDEVSELKRDLVIEKRKKKRNLAI -KAASQAVKKDKRSVRTRDSKDAIDLWQNSLIQKGMLASNGEMEDVEKSLIERKILREEKHSAEGSYITGD -PEFRVHYEIVEELEKTRKAVLRRSKELIENGMHVTVEKQSTIGSFGMNRDENMVRLLRSHVSKMSCTILI -KRGSFYQSFMVLRLKGTMVLSPAHYFEEIEEKDEMYFICPHKVVRIQLEMSRVALVSAHQDLVVWDLGKS -APPSIDYMSHIPTRKDWEAYRPCSGALAFTEYTQDMTLQMVSALDTIEMTTTNVEVPTAEYEMLESTHTV -ILGLRYRVHCMVGFCGAAVVRADAKAIRKIIGMHVAGNVSRGVGYAEMLIKETIQTAIDALSKEVVQKAM -DEPAMKVCEKQCATIEGKGNIGQIGMIEDKLLPKMPSRTTICKSLIHGLIGDVVSEPSILSKWDRRLGEK -RGTWDPVEDAVKKYGIMVVPFPREEVKEVEEHLTRVFAKRHNSLNKREVNTLEIGINGIDQTPFHSPIEM -KTSAGYPYVLRTPSGASGKKWLFKEVGQYPSGRARYEMEDPGLIASYDEMLGQIKRGVAPTFITVEHPKD -ERRKLKKIYEVPATRTFTILPPEVNILFRQYFGDFAAMVMENRFDTFSQVGINPESLEWSELMNSLMKKG -KRGFAGDYAKFDGIGSPEIYHSIVSVVNAWYDDGEENALARHALISSIVHRDGIAGAHLLRYSQGMPSGF -SMTVIFNSFVNYYYMALAWMYIVSRSELSPQADLGSFDRFTKIIVYGDDNVVAVNDAFLDVYNLQSVACY -LSLFGITYTDDAKNPIHLSEPHVPIDSVTFLKRSFVKLDKSGSLWKAPLDKASIEERCNWIRECEEPEEA -LNQNLESALYEASVHGEGYFNDLKTRVDGALERVMLPTRSESFKSCQVRWWSNMTGAMLSQPSLASLVEL -SNKNHIDLGFKFKNMALDGAETTLGQALGMAKNSPFIYYDV - ->YP_009165498.1 polyprotein [Bellflower vein chlorosis virus] -MNTLQSNAISNNGFTCSGGIFLTRNIICTHKRAFSSISSSSCNYCNFLFRLFTFYKKNKCMLNRSNIVKL -CDLSFDELFNKSCFVFNLPKVSCGYIDNAARVINNKFSSQYDCSVSVHPGSNPEVTSHSDCWSVCNNAQH -LFECVSFSDQTRGEWFPVLGKSNNWHTTCSGCGASCAFATPREGVILIIFLLNLKLRYNGERYFVALNCT -EQWVHCSKEVALLVMHVGGSFIDMKGIEVKNVNPQSVAVYSNVFLGQCSMRQIFYGGNYVTRFAKDDDVL -QTHGECAHSFYLTSCHGLQLKLTSEMTRYMLMLMPSCGYLTGLVSEPEAARDPKACGLLAVGGLAGVNLR -CNSEFQELHEEFYKGPFREPEEELIVINAEAQAKRSSGYDPNDDEYNQTLQDQQEDDEEDMDDELQDHEE -ISTDWDAVLKDFLESDNNMMDVEDEVHSRVATRGLGMGLTKRLGGLVKGITNCVKKLHAVWDYPLDKALD -IADQFGNWMDDNKKHTSEDVYMCASCPALEANYRVAFEEQKKVNDMLMASVKKLSETLDSYARQCKDNFV -KIEERFNSPADRSNFELMNKKISMLADMVKEDVAKLQDEKAEDIRRLDSLIVELMNDIHASKSKMEEKPS -KAKPTPSVGEQMPIPRMKIGRPSNPISLPQPIRKFGISATKQSGDTTFISDTTQEQVVPGSVVVTGGRSE -EHNVILSKIYLGATTWSVSDGEGRVIKDVVLPDAIWDANDRLRGIVSCFQYYTCDGLRFHVTTTSVGMQG -GTLMLSWDAMSCATKQKIDSVLQLSNLPSAYIGASDSVNQVFEVSSPSIQHIMCTSGSEGSIGGLGTLKI -SVANVLNASTDTSQKVQVNMWVSFINPKLSFVTVPHIPLVSQSSATLSNLAGIESFESIVAADKWSTTSP -TNLLELTVHPTACHVKDGLVTQTSLSVVSSLFNRWRGSIKYRIIFGASMFVKGKMAVSAVPVVFRNRKMS -VEEICAFPCLICDLSSQNREFTFEVPYVSIGTDSYVVRDALYDTSSYSAKFVVSRLHFVVLDPLVMNANA -SNSVSFIVTQSPGKDFQLSQLSGVKAEFVDRRLKPQGFGRTLTCNGLMGGGFNDWCEIPSVLWKFTLDAG -LKNALHLMVAPSYRSMPPCTTSLSWLAQLFVEWSGSLVYTLRAHSQAKQITSFVRIWYDSNGSTQSENES -EFLSNVDPPAGVKVHYWRPGEQDKIVITVPFCARTPKLLLPKSRYEPTVDDWFQFYNGSLVIDLEGKKEV -DIEMSISAGPNFEMYEQTVAPKCGNVTTSFTFLSYQKHLQDITRFPMNVGRLGGPVNKAQVTPNPFTPKE -PVASPSGKRKMAGPKDGDRAFDQDSGKPIIFVDGNWEFEEEVTAQAGCFGLGAVGETVKEFKERGTCGKI -ADIVDKGHGSLFSQDGGMKKLMDATDLIVPILESAQRLTGSIEEKMSYFDGFREKILGIVKSFLGESIPG -LITSAIKNENYVWATLLTLLGGTSLLWFCKSKKSYIKKLSIFCMIVWGPFLAHSVWKFGSWIKKNAFGMM -KKKFMNETCQKHSMAGMFEGVKETFGNFTDWFSSNWIVAIQGLLSLLGVVASLIIWGSIPDDKELNSFSA -KFKAAGDKGRSFTNIFSGFSNINKTSSEWSQKFVSWIMSISGTSLPKADSALQKILDFNIKEWVEEVRQM -ALQENRFKGFGADEHLIKVRRLYDRSMKIQTAIMDGCKIDIQLGHIIKECKDKCNELMNESYSFKGMKKP -RIDPLHVCMIGKPGVGKSTITHVMINNLLDHRGEPDVDRIYTRCCADSYWSNYHQEPVVLYDDLGAVKSN -LKLSDYAEIMGIKTNDPFSVPMAGVEDKGKHCTSRYVFSCTNVLELDDTGDVVTKLAYYRRRNVLVEVDR -NFDIPRDESDPTKGLVFTVLGYNVTGVNNDRVNFGVKDVWDESFLRDVNTDNWRFERVSYKTFLRFLCTY -TDAYMSSQEKLLSGIKNFKCNPFEDDGVEAQSGETSVESVGREIVSVFKNYDTITLGEAIAKFDERMVVP -NTFASFFKGTRFMAPEKWQSRKVASFSTLLANLCGCSTGNHCNFDLYFKHLIEVCKAKGVHQNFVLGQLS -LVPEETTLVIKKADFFSDLRDIDIFVALASYYRFSFRSDLCFFHFISKPSNKAVSHVGALDLDFDERLPL -ESLDHPNGDAFVWPSVRLVFPTLIDTIGCVPLCVNGKFVFLVEKVDSKFVPVPSCAKWKDVWSKGFEQNV -NPLSLLPQAERDIINCSLKNICAFGSFDEPSQEVKEAIDQISRLYGEGGVLYTFVLLLAQENARRTAFFS -RENEFQTKRNAFRASETFKEYEKAIAGNLSTNAKIALAIGAGITASGILIGLVFGLKSLFNLIKGDDDEE -DNAEKEASGAHESDMFQTNFVKTQRMKPQIRIVNLEPQTSGAHESDQFQTSYMKKQRAQPRVRLQAEKGL -GTSYGDSDDLDNCLYVERRKSFRKSVAQAVRHKIGNSKKKVPDVVVGIDKWQDKVKQLGILPGESESKGP -LSSIAKGNMVAGESQAAAGELLVGDPDFLRNSEVQDMLSKMICIDTDEFSALIQDGTQNVVTKQAHVGDY -GLTRDVNMVELLQTHVSKMSCTILRVNEDKCVSYGVLRLKGTVVLAPAHYFEEFSEEDKLYFVCPNKVVQ -IPFVPANVSLVSDIQDLVVWNLGNTVPPSVDFTCHIPTTEDWKHFRKCSGALSLTKYNQKMALQVIHALD -TIELTSADVEVPTGSYAMYGSTHAVIMGLRYRVHCMPGFCGSAIVRADTRAIRKVIGMHVAGHKQKGVGY -AETLSLEPILEAIKRVCPTVVERSPVNNGIEKCEKQCVVLEGKGNLGILGRVVQSAVPNVPTKTTIAKSL -IHGLIGEVRTEPSILSKWDKRLGDKRGEWDPVMEAVKKYGVATVPFPPNEIQEVETHLCGVFQNFENSLR -KREVNDVEVGINGIDRSDFWSPIEMKTSAGYPYVLKKPPGATGKKWLFNSVGCYESGREKFEMKEEQFKT -SFEAMRTQILNGVVPNIMTMECPKDERRKLGKIYDNPATRTFTILPPEINILFRMFFGDFAAMVMTTRFD -HFSQVGINAESMEWSEMMNGLLAKGKRGFAGDYAKFDGVGSAEIYHSIVNIVNSWYGDGEVNARARHCLI -SSIVHRDGLAGDVLLRYSQGMPSGFSMTVIFNSFVNYYYMALSWINIIANSPLSPQADLVSFDYYTKIVV -YGDDNVVAVGDEFLDFYNLRTVASYLSSYGITYTDDAKNPIHLSEPHVDITSVTFLKRAFKRVDNTGCLW -KAPLDRTSIEERCNWIRECEVPQEALYQNIESALFEASIHGKDYFMDLKNRLDFALDRVMLPKTKESFKQ -CQARWWSDMTGAILSQPALTSLVELSKKNQIDLNLQVKDHLVGTSMSLGDALSRAKHSPLVLFEV - ->NP_619716.1 polyprotein [Maize chlorotic dwarf virus] -MMSCEQSKNNNNQQSTALENSEIRYPGGYYIPLGDGGIRVPVEAIYRPGEPQNWVPICGNDFHLSQDDPC -SECDAIEGSSERAAIAISDSYVASDPHFTVDARSLSRRDHTCTHRGCFSICSSYRFCSFCLFLFNLDKFQ -KNTKYFHSKRSLSRLVHCSAEQLISNAILFSSNRIIDAEVVADNRVSCEYAKLLLSNARVGVQVTPPACD -WVVCNNVEHLFECFGISDAQRGHITGFNDENAYWNASCAKCGACCQGANARSAIPIVLLLKFITIRKEQD -IWLASHMHHDNDFVEINSITAQIIAKINNIPNVDEPAVGYMGSKLENWISYRDTDFTEEDWTLKHPCSGP -LESEECDHDFIIRNQYGFELYLNHAMLLNFAALCLYHGRLYNSDKSVGILVTFGGMIGVNIACNEAFMEF -HKRFYSGTLRISPMNMYLRRERCQAQSDFNDEEFQRLMAEEGDAEIQSVSNWVSEYLEIEDVIDIVDEAE -SKKTRGLGLNQVLGGLLKGVSHCVDSLHKVFDWPIDLAIDAAKGTADWLEGNKSSVDDSKICAGCPEIQK -DMQDFQKETKMGIEILRDSIKKLSEGIDKITRMNQTNFERIVDRIRPIESKLKELEKIKPDAGGSKDSEA -MRQLVQAIKDIKLIKQAMMELNDRIKDLEDSKQHQEDSKPDDDTAGEQKPIPKINKIRVKAKRVEKQSGT -NIVNNEIEQAFQDEEKRTVDPNISDMYNAIKSEYLVKSFSWKVSDGQDKVLSNINIPEDLWNTNSRLNDI -MSYFQYYKATGLTFRISTTCIPMHGGTLFAAWDACGCATRQGIATAVQLTGLPGIMIEAHSSSLTTFSVE -DPLTQSTVCLSGSEHSFGRIGILKICCLNVLNAPQAATQSVSVNVWVKFDGVKFHFYSLKKQPVVSQMLV -DKLTNLGEMGCVVATGTWSTTSSLNLLQLNVHPTACFISDGLVTQTPLSVIAHAFARWRGSLKFTITFGA -SMFTRGRVLVAAIPVAKRKETLTIEEISGYHNVMCLLNGERTSFELEVPYHSVGEDSYVCRDALFDVSSY -AQNFMITRLHMVVIDTLVMSSNASNTISYCVMMGPGKDLELRYLNGVHAQRNVRELKAQVSLGFSLQSGR -NIGVGFSDLLKRWAHLLTLHFDENNEKSEEKVGSYIVTVAPSYRAFPQHNTLLSWFSQLFVQWQGSLCYR -LHVDSQERRYGGYLRIWHDPNGSLDEGVEFAMSTNLEPPPGAFVKYWNYNEQSEFEFVVPYTARTPRLFV -PKAMIPTDSKSWILNYNGTLNFDYRGVDDFNVTVDISAGDNFEFSVRTVAPKAGKVNESFTKLSYSNELV -DIKKPLTAAGRLKGPFNLNTLKTAVPKETPKESSDDKDKSNQKRKGAMDSLLNAVAQMETINSDANGCFS -LGGLKSTAKMLDSRKTCEKFADIMDFTHDTLGVKDGPAAQRLAAAVAQIAPIIESVSRTTESVESKLTCL -DKYKDGILGILQSLCKETIPGLAIVDFKKGKYMWATLLTLIAGAALFWACKSQKSFLKRFSVVVMIIWSP -FLAGKVWSLGQWIVQKWCHLWPKSDSCRQHSLAGLFESAKTKVRGFPDWFRSGGMNIVTQVCSVLLTIVS -LITLGTIPSAKKSKSLADRFIEFGNMNRAATSIAAGYKSISELCSKFTHFVATHFLGATVDDNVFKDLVT -FNVKDWVEQVKVASLEENKFKSFGSPEQLTRVRHMYDKSLEITNKLLDRNKVPVAMLPVIRDTCKKCEEL -LNDSYSYKGMKTPRIDPFYICLTGPPGVGKSTVASIIINDLLDYMGEPKTDRIYTRCCADSYWSNYHHEP -VIIYDDLGAISKVASLSDYAEIMGIKSNRPYSLPMAAVEEKGRHCLSKYLVACTNLTHLDDTGDVKTKEA -YYRRINLPVTVERDLAMPMSPEDPASGLLFTIGDIHENGRNVSVVESRLLNGRVPFRAGDLRNMSYNYFM -EFVRIYATIYMENQQQLVAKLSGDDYESSSSSFPENEELEFDFLAQAHNGVYLTIEEVVAKFESMKFSGK -QLNAEIEKFERIGVDGWRTNKALSFNDLVKRFCGCCLGDDCNFDFHYRTLFKVLIENKQIPAYKCMVLHK -VNPDRMKTQIKMVNGYTLETMFKTLNPLTIFLYLVFVLKCGISADNVCLSYQLFAMNDAEQVEFEIEDSL -RLDEQVQIGQYSCYVWPSVGKFYPEILAKRGCIAVNDGTTFYIFVSSSQIDKIHPEAAWSDMLQGVGRRG -VDILSIAGPTKTKFLIKHVESCYETLKSPEDWKAKCKEYYESISLYEYILLLMAVGSRAGIETQRMSKYQ -ARKNKIRMPEVLEKYIEVEKATIGKLSKPAKTCLAIGAGVAIFGVLAGLGVGLYKLITHFSKTDSEDNDI -EIDDLVPEMSGAHASDENVTTYAVRRQVPKVRLAKQFKVRSSPSPSDNEQPKVDILVPEMTGCHASDEHL -TKHFTKRRVTMKRVGAVKESHIVTYDENTPHVRLIRNLRRTRLARAIKQMAQLGELPDTLSEIQVWQQYV -VDKGIRPAEHTTDFRLFSAIADQEQEDPEEINMASGETMKFDENKYNEIVQVVKGISPTKSDIVTMTTKG -AHHTAIKQVRIGYKSLDKDPNMVSILSNQLTKISCVILNVTPGRTAYLNVMRLCGTFVVCPAHYLEALEE -DDTIYFISFSVCIKLRFQPDRVTLVNTHQDLVVWDLGNSVPPAIDVLSMIPTVADWDKFQDGPGAFGVTK -YNARYPTNYINTLDMIERIRADTQNPTGIYKMLNSDHTITTGLRYQMYSLEGFCGGLILRACTRMVRKIV -GLHVAASANHAMGYAECLVQEDLKHAINKLSPDARSLIIGHLNPKVETATKQCGIVRSLGSLGCHGKVTS -EDVAMTATKTTIRKSRIYGLVGDIKTEPSILHAHDPRLPEDQIGKWDPVFEAALKYGTRIEPFPIEEILE -VEDHLSIILKGMDNTLKKRNVNNLEVGINGIDQSDYWLQIETNTSPGWPYTKRKPKGAEGKKWLFKEVGN -YPSGKPILEMEDSGLIESYNKMLRDAKQGVAPIVVTVECPKDERRKLSKIYEQPATRTFTILPPEINILF -RQYFGDFAAMIMTNRSKLFCQVGINPENMEWSDLMHEFLHKSTHGFAGDYSKFDGIGDPQIYHSITQVVN -NWYDDGEENARTRHALISSIIHREGIVKEYLFQYCQGMPSGFAMTVIFNSFVNYYYLAMAWMNLISHSPL -SPQSTVRDFDNYCKVVVYGDDNIVSVDLNFLEYYNLRTVAAYLSQFGVTYTDDAKNPIEKSVPFVEITSV -SFLKRRWVPLGGRLSTIYKAPLDKTSIEERLHWIRECDNDIEALNQNIESALYEASIHGKIYFGDLLQRI -RIACDAVMIPVPSVTFKDCHKRWWASMTGGALDPASLSRLYLAAENQLVDTRKVWKDRFLGEDRSLIDML -KSARAVPLAAYHV - ->NP_042507.1 polyprotein [Rice tungro spherical virus] -MQSFLLSSKNQAKLLHAGLEFVGGVRCAHQGWVSGKAVVFCNYCNFAHRLYRFYTKNHCVLNKELLKISV -EGLLCHCIEQAFLFRRFYDRRFAWQRKYAKGFLFDNLSIPFDDCALCPNAGTRLSQTGVSHDHFVCNYVE -HLFECASFSRETGGKFFRACSEGWHWNATCTTCGASCRFANPRENIVIAIFMNFLRVMYDGNKYYVSLHC -DTEWIPVHPLFARLVLMVRGFAPLDNSHVIEEDEMDICGHSSEVTYEDPSKFAFTHQHVTRGVGMGHLAF -CRDANGVDRGEHKFYLHGPFDLKMTHAMFRVFMILLNCHGYVQSEFRDEFPDIKDRSLCGLLSVAGLRGV -NVSCNEEFIHLHSQFHNGSFRSQRPIPMVYAEPEMYPPLGYVHLTESWVPRGRLLIDDLPSLMSRVYAES -SQAQAGEIYEETFDEDDLFELDGEEGTSTRGLLDLGRRLGGLLLGATKCVKGLHSVIEWPVDVLTKEAED -LGTWLADNKKYVSESTWSCQVCPEVQDALEKSMREQAKLNAQMISGIKKLATTMDSATLKLRDNLKELEQ -RISVLEQGADDTQQVRITNLENFCEDAAKAFEALRNDIEALKKKPAQSVTPLPSPSGNSGTAGEQRPPPR -RRRRPPVVEMSEAQAGETVIVGGDEEQEAHQDSSVAAAGPADEHNAMLQKIYLGSFKWKVSDGGGSILKT -FSLPSDIWAANDRMKNFLSYFQYYTCEGMTFTLTITSIGLHGGTLLVAWDALSSATRRGIVSMIQLSNLP -SMTLHASGSSIGTLTVTSPAIQHQICTSGSEGSLANLGSLVISVANVLCADSASAQELNVNAWVQFNKPK -LSYWTAQHSIAQSGGFEESQDLGDLQAIIATGKWSTTSDKNLMEIIVHPTACYVSEKLIYQTNLSVVAHM -FAKWSGSMRYTFVFGASMFDRGKIMVSAVPVQFRNSKLTLSQMAAFPSMVCDLSMETREFTFEVPYISIG -KMSLVCKDYLFDISSYNADLVVSRLHVMILDPLVKTGNASNSIGFYVVAGPGKGFKLHQMCGVKSQFAHD -VLTAQDFGRSLSCSRLLGNGFKEWCSRESLLMRVPLKSGKKRAFKYAVTPRMRTLPPEATSLSWLSQIFV -EWRGSLTYTIHVQSGSAIQHSYMRIWYDPNGKTDEKEVKFLDSAHPPAGIKVYHWDLKIGDSFRFTVPYC -ARTEKLQIPKAYASTPYEWLTMYNGAVTFDLRSGADMELFVSIAGGDDFEMFEQTVPPKCGSVSDSYTVL -SYADDVKSVTEVPNKTTYLADEQPTTSAPRTSIVNTEDDPPTEGEIARTTNGTLVQYRGGAWKPMVERTP -TMSKKQVGPELTVSDPQMYKCIKNMNKNVKILTDRQCTAKLANIVDSAQELVGSNSTFVEDLAVGAKQIR -KFGESLDVFEGSMSAAKTAELIDNTHAAFSGPADGSPISNVVQLLLPMLSSIKGMSGKMESKMASLTAMF -QPCKKAITHLIERSFPYLACKGFKTDKWIWAALASILVGAALLHYYRSDLKFVKKWSVMCMIIWAPLLAE -KAYHLGTWIKEKFLKSLPRTRTIKDSCRKHSLAGAFECLASASCAYIKDNWAKTMSSLLTILSVVASLVM -WGKIPDDKEITSFADKFHSIGKKGRSITNIIGGFEKITSVCKKWSETLVSWIVSNVSGGIPKEDLAMTAY -LGFKIHDWVRETRDMALMENRFRGFGGDEHLVKVRRLYGHSLKIDNALMEKQIVPDMQLSLIIKECRQKC -LELMNESYTYKGMKQSRIDPLHVCMLGAPGVGKSTIAHVVINHLLDHRGEPEVDRIYTRSCADAYWSNYH -QEPVILYDDLGAIKSNLRLSDYAEIMGIKTNDPFSVPMAAVEDKGKHCTSKYVFSCTNVLNLDDTGDVVT -KMAYYRRRNVLVKVERDPDVPKNEANPTEGLVFTVLGHDQNCQGDPQFVVKENWDEPFLREVDTEGWRFE -RVEYRTFLRFLCMYTDAYMYSQEQVLQGIKTFKMNPFAPEPEFAQAQNGEAAECEIVEEMQEVPGEAPQE -AKELVKIETAPNMDELVEAFNKLRVTPGHLNDILRDGSGCYIDEWAIAGPRWLSFHELLPFTCGCHHTRV -CDFNIVYNNMCKAVRSQSVHFKYRANQAIKYAYTHKLHSQCRYSIDFEKLRECNPLDVFVCVLSKYTADD -HSFERRCPKKMNVVRMQRPPVFELKMRPPSDSVVVEDEQGQRIFEWPHLYIFLRYRAIEFKDDKGSLTVR -EDAGADVCPWNEFLKLPWLDGDQLKSVLPAHLHRMVQARLEQVEIMEENGNYSGEMRNAIAEIKEYLDQD -HQWVAALVLVACAVKERRRMTHDKLHRKSFNALDKLDAWYTTTAPKTSKKMKILLAIGASVAVAGVAVGA -VILLQKTNLFGSKEDEEIEGEEGETQASGAHESDGIVTQHLKRDIRPKMRVTYTDHHVAEAHEEKDAEKP -RKSGNPTRKSYLGLSPGFAERGMGVTYEEHTPLKDALLDESNKVFRRKIVASVESAVKQGGKASKDTVLS -QIGDWQDKVKATGVIAARQLEASGSLKKIHNLNSRRTSSHVMPGLVVHDGAFERSDEVDAELHRITIDEV -KSCPKMIKEGVSTLSVKKASVGMLALQKAESQLSFPFTSRAGVDRDLSMTNLIDTHMAGMSCIIISELGN -VFRTFGVLRLCGTYVCMPAHYLDEITSEHTLYFVCPSKITQIQLERHRVCLVNGFQETVVWDLGPSVPPS -RNYIDFIANADDWKNYKATSGALVMSKYSVDSMLQCVHFLDSIELTEANVSVPTSYYEANGGIHTIISGL -RYRVHCMPGFCGRAIMRADATCFRKIIGMHVSGLRNKCMGYAETLTQEHLMQAIETLKETGLLKHIPKGA -IGAGEEKLPEHSKKQSLSLEGKGNLGIVGQLTAQLVPTSVTKTTICKSMIHGLIGEIKTEPSVLSAWDRR -LPFPPGEWDPMKDAVKKYGSYILPFPTEEIQEVENFLIKKFRRKENSRRTRNVNSLEVGINGIDGSDFWS -PIEMKTSPGYPYILKRPSGAQGKKYLFEELEPYPSGRPKYAMKDPELIENYERIKEEVTSGVKPSIMTME -CLKDERRKLAKIYEKPATRTFTILSPEVNILFRQYFGDFAAMVMSTRREHFSQVGINPESMEWSDLINSL -LRVNTKGFAGDYSKFDGIGSPAIYHSIVNVVNAWYNDGEVNARARHSLISSIVHRDGICGDLILRYSQGM -PSGFAMTVIFNSFVNYYFMALAWMSTVGSSLLSPQGSCKDFDTYCKIVAYGDDNVVSVHEEFLDVYNLQT -VAAYLSHFGVTYTDGDKNPIHMSKPYEDITKMSFLKRGFERVESSGFLWKAPLDKTSIEERLNWIRDCPT -PVEALEQNIESALHEAAIHGRDYFDDLVRRLNSALTRVMLPPTDISFEECQARWWASVTGALRAADYTSL -VRRASSGHVEFNKKYRDMFRQQDLPLKEILMKSKPVALLDLEV - ->sp|Q91PP5.1|POLG_RTSVT RecName: Full=Genome polyprotein; Contains: RecName: Full=Putative leader protein; Contains: RecName: Full=Capsid protein 1; Short=CP-1; AltName: Full=22.5 kDa protein; AltName: Full=Coat protein 1; Contains: RecName: Full=Capsid protein 2; Short=CP-2; AltName: Full=26 kDa protein; AltName: Full=Coat protein 2; Contains: RecName: Full=Capsid protein 3; Short=CP-3; AltName: Full=31 kDa protein; AltName: Full=Coat protein 3; Contains: RecName: Full=Putative helicase; AltName: Full=Putative NTP-binding protein; Contains: RecName: Full=Probable picornain 3C-like protease; Short=3C-like protease; Contains: RecName: Full=Probable RNA-directed RNA polymerase -MQSFLLSSKNQAKLLHAGLEFVGGVRCAHQGWVSGKAVVFCNYCNFAHRLYRFYTKNHCVLNKETIENLC -GRSFVSLYRAGLLLDDFTIDDLLGKGKYAKGSIDNLSIPFDDCALCPNAGTRLSQTGVSHDHFVCNYVEH -LFECASFSRETGGKFIRACSKGWHWNATCTTCGASCRFANPRENVVIAIFMNFLRVMYDGNKYYVSLHCD -TEWIPVHPLFARLVLMVRGFAPLDNSHVIEEDEMDICGHPSEVTYDDPSNYAFSHQHVTRGVGMGHLAFC -RDANGVDRGEHKFYLHGPFDLKMTHAMFRVFMILLNCHGYVQSEFREEHPAVKDRSLCALLSVAGLRGVN -IACNEEFIHLHSQFHNGSFRSQRPIPMVYAEPEMYPPLEYVRLTESWVPRGRVMIDDLPSLLSRVYAESS -QPHAGEIYEEIFDEDDLFELGDDEGTSTRGLLDLGRRLGGLLLGATKCVKGLHAVIEWPVDVLTKEAEDL -GTWLADNKKYVSESTWSCQVCPEVQDALEKSMREQAKLNAQVIGGIKKLATTMDSATSKLKDSLKELERR -ISVLEQGVDETQQARITNLENFCEDAAKAFDALRADIDALKKKPAQSVTPLPSPSGNSGTAGEQRPPPRR -RPPVVEMSEAQAGETVIVGGDEEQEAHQDSSVAAAGPTDEHNAMLQKIYLGSFKWKVSDGGGSILKTFSL -PSDIWAANDRMKNFLSYFQYYTCEGMTFTLTITSIGLHGGTLLVAWDALSSATRRGIVSMIQLSNLPSMT -LHASGSSIGTLTVTSPAIQHQICTSGSEGSIANLGSLVISVANVLCADSASAQELNVNAWVQFDKPKLSY -WTAQHTIAQSGGFEESQDLGDLQAIIATGKWSTTSDKNLMEIIVHPTACYVSEKLIYQTNLSVVAHMFAK -WSGSMKYTFVFGASMFDRGKIMVSAVPVQFRNSKLTLSQMAAFPSMVCDLSVETREFTFEVPYISIGKMS -LVCKDYLFDISSYNADLVVSRLHVMILDPLVKTGNASNSIGFYVVAGPGKDFKLHQMCGVKSQFAHDVLT -AQDFGRSLSCSRLLGNGFKEWCSRESLLMRIPLKNGKKRAFKYAVTPRMRTLPPEATSLSWLSQIFVEWR -GSLTYTIHVQSGSAIQHSYMRIWYDPNGKTDEKEIKFLDSAHPPAGIKVYHWDLKIGDSFRFTVPYCART -EKLQIPKAYASTPYEWLTMYNGAVTIDLRSGADMELFVSIAGGDDFEMFEQTVPPKCGSVSDSYTVLSYA -DDIKSVTEVPNKTTYLADEQPTTSAPRTSTVDTEEDPPTEGEIARTSNGTLVQYRGGAWKPMVERTPTMS -KKQVGPELVASDSHMYKCIKNMNKNVKILTDRQCTAKLADIVDSTQGLVGSNSTFVEDLAVGAKQIRKFG -ESLEVFEGSMSAAKTAELIDNTHAAFSGPADGSPISNVVQLLLPMLSSIKGMSGKMESKMASLTAMFQPC -KKAITHLIERSFPYLACKGFKTDKWIWAALASILVGAALLHYYRSDLKFVKKWSVMCMIIWAPLLAEKAY -HLGTWIKEKFLKSLPRTRTIKDSCRKHSLAGAFECLASASCAYIKDNWAKTMSSLLTILSVVASLVMWGK -IPDDKEITSFADKFHSIGKKGRSITNIIGGFEKITSVCKKWSETLVGWIVSNVSGGIPKEDLAMTAYLGF -KIHDWVRETRDMALMENRFQGFGGDEHLVRVRRLYGHSLKIDNALMEKQIVPDMQLSLIIKECRQKCLEL -MNESYTYKGMKQSRIDPLHVCMLGAPGVGKSTIAHVVINNLLDHRGEPEVDRIYTRCCADAYWSNYHQEP -VILYDDLGAIKSNLRLSDYAEIMGIKTNDPFSVPMAAVEDKGKHCTSKYVFSCTNVLNLDDTGDVVTKMA -YYRRRNVLVKVERDPDVPKNEANPTEGLVFTVLGHDQNCQGDPQFVVKENWDEPFLREVDTEGWRFERVE -YRTFLRFLCMYTDAYMYSQEQVLQGIKTFKMNPFAPEPEFAQAQSGEAAECEIVEETQEIPGEAPQEVKE -LAKIETAPNMDELVEAFNKLRVTPGHLNEILRDGSGCYIDEWAIAGPRWLSFHELLPFTCGCHHTRVCDF -NIVYNNMCKAVRSQSVHFKYRANQAIKYAYTHKLHSQCRYSIDFEKLRECNPLDVFVCVLSKYTADDHSF -ERRCPKKMNVVRMQRPPVFELKMRPPSDSVVVEDDQGQRAFEWPHLYTFLRYRAIEFKDDKGSLTVREDA -SADVCPWNEFLKLPWLDGDQLKSVLPAHLHRMVQARLEQVEIMEENGNYSGEMRNAIAEIKEYLDQDHQW -VAALVLVACAVKERRKMTHDKLHRKSFNALDRLDKWYTTTAPKTSKKMKILLAIGASVAVAGVAVGAVIL -LQKTNLFGSKEDEEIEGEEGETQASGAHESDGIVTQHLKRDIRPKMRVTYTDHHVAEAHEEKSTEKPRKP -GNPTRKNFLGLSPGFAERGMGVTYEEHTPLKDALLDESNKVFRRKIVASVESAVKQGGKASKDSVLSQIS -EWQDKVRATGVIAARQLEASGSLKKIHNLNSRRTSSHVMPGLVVHDGTFERSDEVDAELHRITIDEVKSC -PKMIKEGVSTLSVKKASVGVLALQKAESQLSFPFTSRAGVDRDLSMTNLIDTHMAGMSCIIISELGNVFR -TFGVLRLCGTYVCMPAHYLDEITSEHTLYFVCPSKITQIQLERHRVCLVNGFQETVVWDLGPSVPPSRNY -IDFTAKADDWKNYKATSGALVMSKYLVDSMLQCVHFLDSIELTEANVSVPTSYYEANGGIHTIISGLRYR -VHCMPGFCGAAIMRADATCYRKIIGMHVSGLRNKCMGYAETLTQEHLMRAIETLKETGLLKHIPRGAIGA -GEEKLPEHSKKQSLSLEGKGNLGIVGQLPAQLVPTSVTKTTICKSMIHGLIGEIKTEPSVLSAWDRRLPF -PPGEWDPMKDAVKKYGSYILPFPTEEIQEVENFLIKKFRRKENSRRTRNVNSLEVGINGIDGSDFWSPIE -MKTSPGYPYILKRPSGAQGKKYLFEELEPYPSGRPKYAMKDPELIENYERIKEEVTSGVKPSIMTMECLK -DERRKLAKIYEKPATRTFTILSPEVNILFRQYFGDFAAMVMSTRREHFSQVGINPESMEWSDLINSLLRV -NTKGFAGDYSKFDGIGSPAIYHSIVNVVNAWYDDGEVNARARHSLISSIVHRDGICGDLILRYSQGMPSG -FAMTVIFNSFVNYYFMALAWMSTVGSSLLSPQGSCKDFDTYCKIVAYGDDNVVSVHEEFLDVYNLQTVAA -YLSHFGVTYTDGDKNPIHMSKPYEDITKMSFLKRGFERVESSGFLWKAPLDKTSIEERLNWIRDCPTPVE -ALEQNIESALHEAAIHGRDYFDDLVQRLNSALKRVMLPPTDISFEECQARWWASVTGDALRAADYSSLVR -RASSGHVEFNKKYRDMFRQQDLPLKEILMKSKPVALLDLEV - ->AAV86083.1 polyprotein [Maize chlorotic dwarf virus] -MMSSDSALNNNRPASSVSGGESDLKFPGGYFLSLGETGIRIPVEGLFKQSEEQNWVPICDNDFHLNKDDP -CPLCDECKRSSDVVPSSDSYLDSDPHYTSDISCQTRTGFACPHRGCFSVCSSYRFCSFCLFLFNIDKFYK -RNKYFLTRRSLSRLAHCSVEELLSLSILFTSNTRIDAEVVASHRVSCEYVKLLSTNVRLSSQTPFASCDW -IVCDNVEHLFECFSISDSDRGYIIGRNDENAYWNASCSRCDACCQGTNARTAIPVILLMRFLTIRKEGNI -WLASHIHDADDFVEINERTAQLIGRVNNIVEPGCFQTQGSKNSLETKVILDESRLTHMDWTIKHPKCDPL -CEESCDHQLVIRNQYGVELPLNRSMFLTFMALSTYHGRMSSEDGEINAVVSYGGLIGVNIMCNKAFMKMH -ANFYSGTFRVKNSNLCESVRIYDCVAQSGFNDEEFRRLMEEEDDAEVKSVSNWVSEYLETEDVIDIVEEA -EPSKTRGLSLNQALGGLLKGVSHCVDSLHKVFDWPIDLAIDAAKGTAAWLEDNKMAVDDSKICAGCPEIQ -KDMQDFQKETKTGMELLRDSIKKLSEGIDKITKMNQTNFERIVNRLKPIESKLSELEKFKAESSNNKDGE -AVKQLVQAIKDIKTIKEALLNLNDRVKDLEEGKSGPVDVEKPGDGIAGEQQPIPKLNKIRAKAVQVKKQS -GTTIVNNEIEQGFDVKEKEVVDPNISDMYNAVKSEYLVKSFTWKVSDGQDKVLSNVSIPEDLWNTNSRLN -DIMSYFQYYKATGLTFRISTTCIPTHGGTLFAAWDACGCATRQGIATAVQLTGLPGTIIEAHSSSLTTFS -VDDPLTQSTVCLSGSEHSFGRIGILKICCLNVLNAPQAATQSVSVNVWVKFDGVKFHFYSLKKQPVVSQM -FVDKLTDLGELGCVVATGTWSTTSSSNLLQLNVHPTACSIGDGLITQTPLSIVAHAFSRWRGTLKFTITF -GASMFTRGRVLVAAIPVAKRKDSLTIDEISGYHNVMCLLNGERTTFELEVPYYSIGEDSFVCRDALFDVS -SYAQNFMITRLHMVVIDSLVMSSNASNTISYCVMMGPGKDLEFRYLSGIHAQRNVRELIANVSLGFSLHS -GKNIGLGFSDLLKRWSHLLTLNFDMKSEEDDKLIGSYIITVAPSYRSFPQHNTLLSWFSQLFVQWQGGLC -YRLHTDSQERRWGGYIRIWHDPNGSLDEGVEFAISRNLEPPPGAFVRYWNYNEQSEIEFVVPFTARTSRL -FVPKAMIATDSKSWILNYNGTLNLDFRGVDDLNVTVDICAADNFEFSVRTVAPKAGKVNDSFTKLSYNDK -LVDIKKPLTAAGRLDGPFNLNKIVAAVPKTTPSEKTGDIREEKTKSARSERNNAFNALVNSVAQMETFNS -DANGCFSFGNIRSTAKLLNERKTCEKLADIMDFTHETLGVSGGPSAQRLAEAVAQIAPIIESVGRTTASV -ESKITALDKYKGKVMKILECLSRESIPGLAVAEFKKGKYMWAALMTMIAGAALVWACTSKKSFLKRFSIV -AMIIWSPFLAGKVWSLGQWIINKWSHLWPQSDSCRQHSLAGLFENVKMKVKAFPDWFQSGGISVVTQVCT -VLLTIVSLITLGTIPSAKKSKSMAERFTEFGNMNRAVTSISAGYKSVSEICSKFTSFIATTFLGATIDDS -VFKDLVSFDVKKWVAEVKEASLEENKFKAFGTDKHLTKVRHMYDRSVEITKKLLDKNRVPVPMLPIIRDT -CKKCEELLNDSYSYKGMKSPRIDPFYICLTGPPGVGKSTVASIIINELLDHMGEPKVDRIYTRCCADSYW -SNYHHEPVIIYDDLGAISKMASLSDYAEIMGIKSNRPYALPMAAVEEKGRHCLSRYLVACTNLTHLDDTG -DVKTKEAYYRRINLPVTVERDNSVPMSPTDPSAGLVFTIGDICENGRYVSVVESRLLAGRVPFRAGDLRN -MTYSYFMEFLKIYAVIYMENQQLLVSKLSGEDEETPTNSSDYEENDELEFNFLATSQAACYVSVEEIVAK -FNSLQLRGKDLNAEIERVGALGVSGWQTKKVLSFDELVRRFCGCNAGEDCNFEFWYQKYHRELMNARKVP -AYKCMVLHKPNKERMQTQVKLVNGHTLESMFKTLEPMTIFLYLVFLVKVGLSNDSVCLSYRMLISDHVEE -TDYDVEDAMCLSKKVMIGQHECYVWPKIGEFYPDILAKRACVAVNDGTNFYIFVSSDKIPSIDPEGAWTD -LLQGIGRKRVGIMHLAGPSKTQFLIPHVEECYKALKDQFIWKERCNEYRDSLSLHEYLLVLLAVGSKAGA -ETRKMNKPSAKRLNLNMSKIYEDYLKQEERVLGKISKPAKACLAVGAGVAIFGVLAGIGFGLYKVISQFT -QPQSSEEDDVVYDELVPEMSGSHESSGITTRFTMRRQPPKIRLHKQRRSSPITTDIECDDLVPEMSGAHV -SDEHVTRHLTRRRIVTREKRERAKAELSGCHESDEHKTRRFQRKRIPMKRTALTRESYVVTYDEHTPYVK -VIRRLRRNRLAKAIKQMACQEDFPDTLAEIHTWQKFVVEEKGVRQSKHTTDPRVFSALMVDEIEDPDNVN -MASGDTMTFDEEKYNQTVERVSAIMPGKTELQKMVKDGPHHTAIKQIRVNYKSLDKDPNMVSLLSNSLAK -ISCVVLNMTPGRCAYLNVMRLCGTFVVCPAHYLEALEEDDELYFISFSICIKFRFQADRVTLVNTHQDLI -VWDLGNTIPPGINVIDQIPTIADWDRYQDGPGAFGVTKYNARFPTNYINTLDMIERIRADTQNPTGIYKM -LNSDHTITTGLRYQMYSLEGFCGGLIVRASTKMVRKIVGIHVAASSNHAMGYAECLVQEDLRHAIASLAP -DARNTVIGHLVPKVDVAMMQCGLERSLGSLGCHGKVRAEDIAMTSTKTTVRKSRIYGLIGEVKTEPSILH -SQDPRLPPGLRGSWDPVFEAALKYGSRIDPFPYDEILEVEEHLTNMLSKMENSLTKRNVNNLEIGINGID -QSDYWLQIETNTSPGWPYTKRKPKGAEGKKWLFREVGNYPSGKPILEMDDEGLIDSYESMLKEAKAGIAP -RVVTVECPKDERRKLNKIYDNPATRTFTILPPEINILFRQYFGDFAAMVMTNRAKLFCQVGINPENMEWS -DLMHGFLSKSSKGFAGDYSKFDGIGDPQIYHSITQVVNNWYNDGEENARTRHALISSIIHREGIVKEYLF -QYCQGMPSGFAMTVIFNSFVNYYYLAMAWMNLISSSPLSPQSTLRDFDEYCKVVVYGDDNIVSVSEEFIP -YYNLRMVAAYLSQFGVTYTDDAKNPIEKSVPWVDIRTVSFLKRRWTSIGGQLSTIYKAPLETTSIEERLH -WIRECDDDIEALNQNIESALYEASIHGPIYFGNLKARIDEACDAVMIPESTTTYKDCQRRWWTSMTGGSL -DPSQLSRLIRLADSGRVDVRKVWKDRFLGEEKTITDLLKTARAVPLATYHV ->AIZ76646.1 polyprotein, partial [Blackcurrant waikavirus A] -GNQDLMDNIEEMNRCILSGITPSFTTIECAKDERRKLSKIYDTPATRTFTILPCEVNILFRMYFGDYAAM -IMSNRHKSFCQVGIDPNTAEWSDLMNSFLKASNKGFAGDYAKFEGIGPAPIYHSIVNCVNDWYDDGPVNA -RARHCLLSSIVHRKGLVGNWLLQYSQGMPSGFAMTVIFNSFVNYYFMGLAWINLIERSPLCHQSNLRDFD -TYCRIVVYGDDNVVAVGEHFQPYYNLRTVAQFLGSHGITYTDDQKNPIHLSEPLVDITSVTFLKREFVKC -DKSGMLWKAPLSKVSIEEQCNWIRQCEDPVAALNQNVYGALFEACIHGKEYFDDFEGRLKEAYDRAMISY -EGVSFMECKKRWWSNMTGAALSQAKLSELAKLSTSNSINLRKSYLDVWLNESKTLGDMLEEAKYAPLGVF -EP ->AAR14150.1 polyprotein [Maize chlorotic dwarf virus] -MMQTNNNQNPTQGSIPENSSQDRNLGVPAGYSLSVEDPFGNRSDFRIPVHQIIREEIDRPNWVPICSNDF -HLNSEDYCEECESERIKNFEIFRSQNLIDQHLNLCTDSKDCDHFSCFSTSTSCRFCPFCLFIFNLDKFYK -RNLYLISRQALVRLFHGSAEELLSRAIFFTYNICIDAEVVANNRIGCEYVKLFHPDLRPSITSPPYASDW -VMCDNAKHLFECLGLGDTTRGHLYGLISENAYWNATCSKCGACCQGANARTAIPIVMALQYCKVDVYYSE -YYLYHIYAPEERMKIDKQTAHLLHSIIRGAPAVDCSELSQEPIHRMVMDSSKLVALDSTIRHPKSQGSLL -DSECDHEFILRTSHGIKIPMSKSLFISFLTMGAYHGYAHDDQQEQNAIISFGGMPGVNLACNKNFLRMHK -SFYSGSFRRRPLFMSQIPSTNATAQSGFNDEEFERLMAEEGVHVKVERPIAERFDYEDVIDIYDETDHDR -TRALGLGQVFGGLLKGISHCVDSLHKVFDFPLDLAIEAAQKTGDWLEGNKAAVDETKICVGCPEIQKDMI -SFQNETKEAFELIRSSIKKLSEGIDKITKMNATNFERILDRIKPIESRLTELENKAPASDSKAMEALVQA -VKDLKIMKEAMLDLNRRLSKLEGKKSDGQTAEGTAGEQQPIPKTPTRVKARPVVKQSGTIMVNEESTETF -RDNESRVTDPNRSDMFAAVTAEYLVKSFTWKVSDGQDKVLADLDLPQDLWKSNSRLSDIMGYFQYYDATG -ITFRITTTCVPMHGGTLCAAWDANGCATRQGIATTVQLTGLPKTFIEAHSSSETIIVVKNSNIQSAICLS -GSEHSFGRMGILKICCLNTLNAPKEATQQVAVNVWIKFDGVKFHVYSLRKNPVVSQLQVASLTDIGELSS -VVATGSWSTTSATNLMELNIHPTSCAIQNGLITQTPLSVLAHAFARWRGSLKISIIFGASLFTRGRILAA -AVPVAKRKGTMSLDEISGYHNVCCLLNGQQTTFELEIPYYSVGQDSFVYRDALFDISAHDGNFMITRLHL -VILDKLVMSANASSSINFSVTLGPGSDLELKYLAGVHGQRIVRELKMQVSLGRSFENGVLIGSGFDDLLQ -RWSHLVSMPFNAKGDSDEIQVFGYIMTVAPAYRSLPVHCTLLSWFSQLFVQWKGGIKYRLHIDSEERRWG -GFIKVWHDPNGSLDEGKEFAKADILSPPAGAMVRYWNYLNGDLEFTVPFCARTSTLFIPKAMIATDSKSW -ILNYNGTLNFAYQGVDDFTITVETSAADDFEFHVRTVAPRAGKVNEAFAKLEYASDLKDIKESLTSSTRL -KGPHYKTKITSIEPNKIDENESSRGKDNKSNLKFEDLLNATAQMDFDRATANVGCVPFSIAKTAKVLSER -ETCKKMADVLDFTHSCLNLDSQPAAARLAAAISQIAPIMESIGRTTQSVEEKLASVDTFRDKIMALISNV -LGDTLPGLAIADFKKGKYVWASFLTMIAACVVAWAATSKKSFLKRFAVVAMIIWSPFLASKIWALGTWIR -KSWSKLWPKSDSCRQHSLAGLCESVFTSFKDFPDWFKSGGITIVTQVCTVLLTIVSLITLGTIPSTKQNA -TFADKFKEFGNMSRATTSIAAGYKTISELCSKFTNYLAVTFFGAQVDDDAFKGLVAFNVKEWILEVKNLS -LEENKFSGFGGDERLVKVRHLYDKSVEITYKLLQKNRVPIAMLPIIRDTCKKCEDLLNESYTYKGMKTPR -VDPFYICLFGAPGVGKSTVASMIVDDLLDAMGEPKVDRIYTRCCSDQYWSNYHHEPVICYDDLGAISRPA -SLSDYGEIMGIKSNRPYSLPMAAVDEKGRHCLSRYLIACTNLTHLDDTGDVKTKDAYYRRINVPVTVTRE -VTAMMNPEDPTDGLRFTVDQVLDGGRWINVTESRLLNGRMPFRAEDLMNMNYSYFMEFLKMYAALYMENQ -NMLVAKLRGTEIPELRSSENEELEFDYLATAQMDHTVTFGELVTKFNSYKLTGKQWNKRLCELGWTSLDG -WNTNKIMRFDDLVAGFCGCSRNENCNFDFYHQRLQACLNKKGFAPAYQYFNLHKLNSDTQKTELKLKCGT -TAEDLFRQADLMVIFSYLLFVARIGVSGSHVCLSYNMLNVKDVKDFEICRENVLDLSRKTTIDGEECYIW -NFISDIFPRIVAKYNCVVLNDGEKRYIFVTDSAPTRIFPDLAWSDLISGKQVVSPNIIKVAGETKSKTIA -PLLADSYKAFKDPKAWLERNKELKAALEIEEYIALLFAVACEAGRFTQILDKPPSRRKILNMSERYNAYI -EQEKGLIGRLSKPAKICLAIGTGVAIFGALAGIGVGLFKLIAHFNKDEEEVDEIEFDILSPEMSGSHESG -QHTTRYVTKERVPSKPARRQHEFDLMFDNLPTPQVEELKSEMTCASASDEHKTQYVKRRVGPVSKRKDAS -VAEISGAHASDQHHTEYLKARVPLMKRIATKESYVVTYDDEPSSHISLARRIRRTRLARAIKQMAVLEDF -PSTLEEIRLWRQNAANKGVIVPKYSTSGKFFSGLLDDEEEEPQNVNMLNEEDIEVDKRMFEKISEVISVI -QPRKNELERMIEEGVHHKVVKQARVNDKGLAKDPNMVTILTDKLINISAVIVNLTPTRRAYMNVVRLIGT -IVVCPAHYLEALEEGDELYFICFSLVIKLTFDPSRVTLVNSQQDLMVWDLGNMVPPSIDTLKMIPTLEDW -DHFQDGPGAFAVTKYNSKFPTNYINTLTMIERIRANTQNPTGCYSMMGSQHTITTGLRYQMFSLDGFCGG -LILRASTNMVRKVVGIHVAGSQNHAMGYAECLIAEDLRAAVARLALDPRSTIQASLKGRIDAVSKQCGLD -RALGTIGCHGKVASEDITSAATKTSIRKSRIHGLVGEIRTEPSILHAHDPRLPKDKIGKWDPVIEASMKY -GSRITPFPVDQILEVEDHLSKMLANCENSKNKRQVNNLEIGINGIDQSDYWQQIEMDTSSGWPYAKRKPV -GAAGKKWLFEQDGTYPSGKPRYVFGDAGLIESYNSMLGEAKQGISPTVITIECAKDERRKLNKIYEKPAT -RTFTILPPEINILFRQYFGDFAAMVMTCRAKLFCQVGINPESMEWGDLMLGLKEKSTKGFAGDYSKFDGI -GDPQIYHSITQVVNNWYNDGEENATIRHALISSIIHRRGIVKEYLFQYCQGMPSGFAMTVIFNSFMNYYY -LSLAWMNLISASPLSPQASLRYFDEYCKVIVYGDDNIVAVNEEFLEYYNLRLVAGYLSQFGVSYTDDAKN -PIEKSERYVKIEDVTFLKRRWVSLGGRASMLYKAPLDKVSIEERLNWIRECDDGELALVQNIESALYEAS -IHGHTYFGELKDKIAKACDAVMITMPNIRYIDCQRRWWTSMTGGYLEPSDVTKLVRLVEKGLLDPKSVWK -DPLYRTNKLLFDLLREVKAAPLAAFVV diff --git a/seq/clusters_seq/cluster_539 b/seq/clusters_seq/cluster_539 deleted file mode 100644 index 400f2f9..0000000 --- a/seq/clusters_seq/cluster_539 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009505558.1 ORF2a [Kibale red-tailed guenon virus 1] -MYIKPLLVMLVLPVTYSLDFFALRHHLQYYDQQHIKWLFDDLYKDCHTTLAPTVKHPFGILGRSQFREIY -QGWLRRIYAAPDIALGRSNWVQYFHDNPKCTAKRHAEPITAGIPDPPSRLVGMLQLYDLMENSICLRIIK -HKLALAQLEKQHTSFNVTDVSLTINIGPSSIKWLHGGFYVSLQHASAFATLCAPITLLIILVIRHPRLFA -FFFR - ->YP_009362007.1 minor glycoprotein [Kibale red colobus virus 2] -MASFCRLLFSLLLFQRTHAIFFDLWRPVYTYVHGGERELNVAYLKLMKFCTRNIAPWSKHPFGIIDREAF -HKAYTEWNNRIYHGLTSLTMHHHFGVKNGDYWSHWYVNPACESLPSDENKLEQSLPIGFVKMLRLYGYRE -SMICKDVAGIIKHLAHFKGLEFNLTDDTYTVDFHVKPVHKSWDPLYFTTLYHASAFSTCFTPVVLTIILL -IKHPKLFAFFFRL - ->YP_009344808.1 minor glycoprotein [Kibale red colobus virus 1] -MSLQLGSRYLRRSLLWLFFLSSASPYSLFSHFVQRYYKPANEKELHYLFTSLVDHCKSNLAPWVKHPLGI -IGAGEFHRAYTDWISRIYSPQEMIGIGQPGWTHYYTSGHCEQPHLDVEKKEVISLENTLIGAFRTYSTME -LNLCQDLARILPSLYQNFHASFGNFSITNDTFTVNMYLGPNHKVWLASYSIQAYHASAFSVVITPLTLVV -ILLIRHPSLFAFTCLPATKSKS - ->YP_009221996.1 ORF2a' protein [Kafue kinda chacma baboon virus] -MGLTVIVLLLSASSSEAILSRFFNFWTPFVSYTQGNGQTIHNAYYKLIQYCSHPLVPGAKHPFGIIGRTA -FEQAYKQWTDSIYHRMTSLQLHHRFGTKQAIYWSTWTIHPECERYRDLTPQIEAPLPNLMSLLRLYATKE -AQICRDVAGMLAHFDESAVAKFNVTDNVIKITPKAHPLPWHPVYMSDLYHASVFSTLFAPVVLTLILLIR -HPRVFAFFFR - ->YP_009140478.1 ORF2a' protein [Pebjah virus] -MGPTTVNVILLLSLSFSNTVASRFFDFSLTPRFRVYDGYKLHLTLEALSKQCDHGVIPWANHPMSLVDLQ -LFQKAYLSWLNRVYHEDLAITVEQDLFWPFTHFKGYSERRCRDFSGYDSFADPTNAIKLPISKLLQYYSL -MELKICRTVAGLVSIPHDISNQSIVFTDTAFNITFEPHPRLWNIRYLSAYQSASSFATVFTFFGLLTILL -IRHPRLFAFAFRG - ->YP_009121774.1 ORF2a' protein [DeBrazza's monkey arterivirus] -MFLPVLLSILFCPSVLSFSFFHLTPTFRRFEHSDLNYFFRELLSHCEHKIAPWSKHPWGIVQANKFQQAY -NAWVHRVYSAQPVDLGQDGWIHSYHTDFKCDKRSLKPHQGSLLNELPDMVTMLQAYALKEHSICVRAAQL -LAHSIHLQAHETFNVTEGEILFNIPIKPHTIPWFHTGFYVQLYSASAFATLVAPLTLTVVLAIRHPRLFA -FFFR - ->YP_009067065.1 ORF2a' protein [Southwest baboon virus 1] -MAHIVVVLLLLTHLHSVEPLLSRFFNFWTPFISYSHGSGKTLHQAYFKFIQYCSHPLVPGAKHPFGIIAR -TAFEQAYTHWTNEVYHRMTKLQLHHRFGTKDAIYWSTWTIHPECERYKDLKPQIEAPMPRMMTLLRHYAV -REAQICRDVAGIIAHFNEDAVANFNVSDNMITITPTAQVRPWHPSYMADLYHASVFSTLFAPVVLTIILL -IRHPMVFAFFCR - ->YP_009067052.1 ORF2a' protein [Mikumi yellow baboon virus 1] -MAFTVVALLLLTHLHPVEPLLSRFFNFWTPFVSYSHGSGKTLHQAYFKMIQYCSHPLVPGAKHPFGIIAR -TAFEQAYTHWTNEVYHRMTSLQLHHRFGTKDAIYWSTWTIHPECERYKDLKPQIEAPLPPLMTLLRYYAV -REAQICRDAAGIIAHFNESAVANFNVSNNVITIQPTAHHRPWHPSYMADLYHASVFSTLFAPVVLTIILL -IRHPRVFVFFFR - diff --git a/seq/clusters_seq/cluster_54 b/seq/clusters_seq/cluster_54 deleted file mode 100644 index dac0270..0000000 --- a/seq/clusters_seq/cluster_54 +++ /dev/null @@ -1,224 +0,0 @@ ->YP_009118622.1 matrix protein 2 [Influenza A virus (A/California/07/2009(H1N1))] -MSLLTEVETPTRSEWECRCSDSSDPLVIAANIIGILHLILWITDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYQQEQQSAVDVDDGHFVNIELE - ->YP_009118477.1 matrix protein 2 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MSLLTEVETPTRTGWECNCSGSSEPLVVAANIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGMPE -SMREEYRQEQQNAVDVDDGHFVNIELK - ->YP_308670.1 matrix protein 2 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MSLLTEVETPTKNEWECKCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->NP_859035.1 matrix protein 2 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MSLLTEVETLTRNGWECKCRDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q67210.1|M2_I80A8 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q77ZJ9.1|M2_I77A9 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P05778.1|M2_I000F RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECSCSDSSDPLVIAASIIGILHFILWILDRLFFKCIYRRLKYGLKRGPSTEGVPK -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q20NW0.1|M2_I80AD RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETHTRSGWECRCNDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYQQEKQSAVDVDDGHFVNIELE - ->sp|P0C5T6.1|M2_I05A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECRCSDSSDPLIVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTAGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P0C5T4.1|M2_I02A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECRCSGSSDPLVVAANIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTKGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P0C5T3.1|M2_I01A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECRCSGSSDPLVVASSIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P0C5T2.1|M2_I01A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETYVLPTRNEWECRCSGSSDPLVVASSIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEG -VPESMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P0C5T1.1|M2_I01A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECRCSGSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|P0C5T0.1|M2_I01A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECKCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P0C575.1|M2_I00A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECRCSGSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q2VC90.1|M2_I80A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNGWECKCSDSSDPLVIAASIIGILHLILWILDHLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDSHFVNIELE - ->sp|Q0A2H5.1|M2_I59A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECRCSDSSDPLVIAASIIGILHLILWILDCLFFKCIYRRLKYGLKGGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q6DPU1.1|M2_I02A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRTGWECKCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTGGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q6DPT9.1|M2_I02A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETLTRNGWECRCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q6DPT5.1|M2_I02A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECRCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q6DPQ1.1|M2_I03A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWECRCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTAGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q77XR9.1|M2_I97A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETLTRNGWGCRCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q8BAC4.1|M2_I18A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNEWGCRCNDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRKEQQSAVDVDDGHFVNIELE - ->sp|Q67207.1|M2_I61A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRSEWGCRCNDSSDPLVAAASIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQKQQSAVDVDDGHFVNIELE - ->sp|Q67206.1|M2_I37A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVAAASIIGILHLILWILDRLFFKCIYRRLEYGLKRGPSTEGVPE -SMREEYRQKQQSAVDVDDGHFVNIELE - ->sp|Q67205.1|M2_I77AC RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRSEWGCRCNDSGDPLVAAASIIGILHLILWILDRLFFKCIHRRFKYGLKRGPSTEGVPE -SMREEYRQKQQSAVDVDDGHFVNIALE - ->sp|Q67201.1|M2_I85A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWGCRFSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRLLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q67186.1|M2_I88A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCKCNDSSDPLVAVASIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQKQQSAVDVDDGHFVNIELE - ->sp|Q67172.1|M2_I86A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSGSSDPLVIAASIIGILHLILWILDRLFFKFIYRRLKYGLKGGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q67170.1|M2_I56A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIKSGWECRCNDSSDLLVAIASITGILHLILWIFDRLFFKCAYRRFRHGLKRGPSTGGIPE -SMREEYRQEQQSDVNVDNGHFVNIELE - ->sp|Q67168.1|M2_I86A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSGSSDPLVIAASIIGILHLILWILDRLFFKFIYRLLKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q67166.1|M2_I56A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECRYSGSSDPLVIAASIIGILHLILWILDRLFFKCIYRCLKHGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q67162.1|M2_I85A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFANIELE - ->sp|Q67160.1|M2_I77AG RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTKNGWECKCSDSSDPLIIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSVVDVDDGHFVNIELE - ->sp|Q04261.1|M2_I89A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCDDSSDPLVIAASIIGILHLILWILDRLSFKCIYRRLKYGLKRGPSTEGVPE -SMREEYQQEQQNAVDVDDGHFVNIELE - ->sp|Q77ZL5.1|M2_I79A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILWILDRLFFKFIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|Q76V06.1|M2_I82A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRLLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P36348.1|M2_I02A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECRCSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQNAVDVDDGHFVNIELE - ->sp|P05779.1|M2_I30A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLPTEVETPTRNEWGCRCNDSSDHITIAAKFIGILHLILWILDRLFFKCIYRRLKYGPKRGPSTEGVPD -SMREEYRQKQQNAADVDDGHFVNIELE - ->sp|Q20P03.1|M2_I68A3 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVVAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q0A458.1|M2_I66A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRIKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q0A447.1|M2_I49A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECRCSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRIKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDSHFVNIELE - ->sp|Q0A436.1|M2_I56A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNGWECRCSDSSDPLVIAASIIGILHLILWILDRLFFKCISRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q0A415.1|M2_I77AF RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWECRCSDSSDPLVIAASIIGILHLILWILDRLLFKFIYRRFKYGLKRGPSMEGVPE -SMREEYRQEQQSAVDVDDSHFVNIELE - ->sp|Q0A2I6.1|M2_I83A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETLTRNGWECKCSDSSDPLIIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGAPE -SMREEYRQEQQSAVDVDDVHFVNIELE - ->sp|Q0A2G4.1|M2_I83A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILWILDRLFFRCVYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q0A2E4.1|M2_I66A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKCSDSSDPLVIAASIIGILHLILCILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q0A2D6.1|M2_I83A6 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETLTRNGWECKCSDSSDPLIIAASIIGILHLILWIFNRLFFKCIYRRLKYGLKRGPSTEGAPE -SMREEYRQEQQSAVDVDDVHFVNIELE - ->sp|Q67176.1|M2_I78AF RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETHTRSGWECRCNDSSDPLVIAASIIGILHLILWIFDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYQQEKQSAVDVDDGHFVNIELE - ->sp|Q67146.1|M2_I72A5 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECKYSDSSDPLVIAASIIGILHLILWILDRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P03492.1|M2_I34A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPTRNGWECRCNDSSDPLIIAASIIGILHLILWILNRLFFKCIYRRLKYGLKRGPSTEGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|P0C5T5.1|M2_I03A0 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETHTRNEWECRCSDSSDPLVVAANIIGILHLILWILDRLFFKCIYRRLKYGLKRGPATAGVPE -SMREEYRQEQQSAVDVDDGHFVNIELE - ->sp|Q89687.1|M2_I54A1 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRSEWGCRCNDSSDPLVAAASIIGILHLILWILDRLFFKCIYRRLEYGLKRGPSTEGLPE -SMREEYRQKQQSAVDVDDGHFVNIELE - ->sp|Q67211.1|M2_I88A7 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCKCNDSSDPLVAAASIIGILHLILWILDRLFLKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQKQQSAVDVDDGHFVNIVLE - ->sp|Q67203.1|M2_I81A4 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRNEWGCRCNDSSDPLVAAASIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQKQQSAVDVDDGHFVNIVLE - ->sp|A8C8W4.1|M2_I67A2 RecName: Full=Matrix protein 2; AltName: Full=Proton channel protein M2 -MSLLTEVETPIRSEWECRCNDSSDPLVAAASIIGILHLILWILDRLFFKCIYRRFKYGLKRGPSTEGVPE -SMREEYRQKQQNAVDVDDGHFVNIVLE - diff --git a/seq/clusters_seq/cluster_540 b/seq/clusters_seq/cluster_540 deleted file mode 100644 index e31a28a..0000000 --- a/seq/clusters_seq/cluster_540 +++ /dev/null @@ -1,46 +0,0 @@ ->YP_009700104.1 VP2 [Norovirus GI] -MAQAIFGAIAATAAGSAVGAGIQAGTEAALQYQRYQQDLTLQQNSFKHDERMLGLQIEGSNALLQKNLST -RYNLLLNSGMSSADAARTIVGAPASKVVDWNGVRIAAPTSTATTLRSGNFMNIPVFQKTKQQSSSVLSSG -YSNPNYDSSTLVSRTTQWVESQNSSRSLPPFHPSALRTTWVTPPGSTSTSTYSSVSSAPRFFNTERLPLF -ANNGRK - ->YP_009700098.1 VP2 [Norovirus GI] -MAQAVIGAIAASAAGSILGAGIQAGAEAGLQAQRYQQDLQLQQNSFKHDREMLGYQVQASNALLAKNLNT -RYALLQAGGLSSADAARAVAGAPVTRIVDWNGTRIAAPTSSTTTLRSGGFMAVPIPLSSKTKQPVMSGQD -NPNYAASSISRTASWVQSQNSMRSVSPFHSDALRTVWVTPPGSSSTSSVQSSFYGVFNTDRLPLFANRR - ->YP_009700095.1 VP2 [Norovirus GI] -MAQAVIGAIAASAAGSILGAGIQAGAEAGLQAQRYQQDLQLQQNSFKHDREMLGYQVQASNALLAKNLNT -RYALLQAGGLSSADAARAVAGAPVTRIVDWNGTRIAAPTSSATTLRSGGFMAVPIPLSSKAKQPVMSGQD -NPNYAASSISRTASWVQSQNSIRSVSPFHSDALRTVWVTPPGSSSTSSVQSSFYGVFNTDRLPLFANRR - ->YP_009237902.1 VP2 [Norovirus GIII] -MASELFSSLPGGVASAAISAGAQAALQDQAYRQSLELQARSFSHDSAMLQQQVQATQMARSQWFDFQRAA -LQGAGFSDADATRLVLGASPTTLVDWNGTRLAAPQARVTTSFSGGFLPSMPQAGAQRSQSPEPSAPGAPT -RVSSWLSSIEPFHPSALQTVWVTPPGSTATSQVSSQRPSRSSASSVASSASYTSSFNQGWFNTDRMPLFA -NLGRRF - ->YP_009538342.1 VP2 [Norovirus GI/Hu/JP/2007/GI.P3_GI.3/Shimizu/KK2866] -MAQAILGAIAATAAGSAVGAGIQAGTEAALQHQRFQQDLTLQKNSFIHDKEMMGLQVDASTALLQNSLGT -RYNMLTKAGMTSADAARMVVGAPATRVIDWNGTRIAAPMSTATTLRSGGFMTVPTVYRGGKNKQSIGGGF -SNVNYDPSVSSSRTSQWVSSQNSMRSTLEPFHPGALRTTWVTPPGSTSTSTISTVSTVPKFFNTERLPLF -ANRGK - ->YP_009305196.1 VP2 [Primate norovirus] -MAQAIIGAIAATAAGSAVGAGIQAGTEAALQHQRFQQDLTLQSNTFKHDKEMLGLQVGASTALLQNSLST -RYNMLTNAGLSSSDAARMVVGAPATRVVDWNGTRISAPRSTATTLRSGGFMTIPTLYKGKQQQKAPAEIG -LSNPNYGSSVSSRVADWVSSQNSSRSSLGPYHPNALQTTWVTPPGSSSTSTISSVSTAPRYFNTDRLPLF -ANMRK - ->NP_056822.1 VP2 [Norovirus GI] -MAQAIIGAIAASTAGSALGAGIQVGGEAALQSQRYQQNLQLQENSFKHDREMIGYQVEASNQLLAKNLAT -RYSLLRAGGLTSADAARSVAGAPVTRIVDWNGVRVSAPESSATTLRSGGFMSVPIPFASKQKQVQSSGIS -NPNYSPSSISRTTSWVESQNSSRFGNLSPYHAEALNTVWLTPPGSTASSTLSSVPRGYFNTDRLPLFANN -RR - ->sp|Q04550.1|VP2_SOUV3 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MAQAIIGAIAASAAGSALGAGIQAGAEAALQSQRYQQDLALQRNTFEHDKDMLSYQVQASNALLAKNLNT -RYSMLIAGGLSSADASRAVAGAPVTRLIDWNGTRVAAPRSSATTLRSGGFMAVPMPVQPKSKTPQSSGFS -NPAYDMSTVSSRTSSWVQSQNSLRSVSPFHRQALQTVWVTPPGSTSSSSVSSTPYGVFNTDRMPLFANLR -R - diff --git a/seq/clusters_seq/cluster_541 b/seq/clusters_seq/cluster_541 deleted file mode 100644 index 78041e5..0000000 --- a/seq/clusters_seq/cluster_541 +++ /dev/null @@ -1,198 +0,0 @@ ->YP_009553211.1 polyprotein [Sogatella furcifera hepe-like virus] -MNRQRRQTRETARLAAALTLPFCVSDITINTLERLYPERRFAPGQSAAPAHPVMTSHLHIATQRLHHVAS -EFPGHLIEIGPNMRAFGELRQRKLGMAHACTKTSPRDIVRHTKALSYPGVRCNLDVYRATAALAAGQPHH -PIACVGGFDACVYQAETAVAIHSLYDITPSELLIGMEMHGTKIIFAAMHFPTPLLQCSEFHDPDEGYYAR -LDRSGPPGFLRAEFGFLGDASFPYSHNWDTWSSYFTKSGFTDGYRSVSIEKTERHGVQFFLTITSVPTVI -DCPFLLPTTAPSHVLVPDLVHAASYAFFPDYRPIANITPANAPPKMQWIAADKRKVSDLMDHLLTRLDKS -FDLPAAWAYARTQRRSLYLDHELLEEAWDADCSELGRIVVSVFLLAMVERQRGHAACSVARTDVAGGAPG -TLLSRLGAGARSILDLAVHNLIPLFRSKNEAARDRFLNLLTDRRHAGDTNLPDLLTANASFLAYCSLIPF -SACSCGGALRITDAPPPEPIDVEALVIPAPTHHPPEVRVVEVADLAEAYEVVEELPEPLTLYERLTPVTV -QNAVLSCARRVIPTIMVAAAQTAVALTAPNFNVDTLTVDIEVLTHPCGPVMMANQDDLTQLRAAFHMAGA -GILESFSDAIDNMFRDHPELNVHRTTDHLWFKFAPSSYAGHAFYRSGGLLQHVAPNWSPATGNLDPFMPR -INPFQRDFFTFFTEWTASNAPASLAVTGLDDFAVIDCHHTLNRAPFAFAQNLWDIATTVSRNMSPYTRIT -HATQVARLMEWSDVEPCILPGFGAAIPCSVPEPMSEFGAFIHDRPPLGDVVACAFGRVVDRIRPHMPLAY -PYFPPIMPDDSTVISPPTTFLQNLNRLARGLPWQYAEPDRPYSLQIPWASTFTQHEELEMAASTATAPMR -AGISTVRIPLYFTTLRFNPTSLVIGGALFVFRTATLFTVCYVAIRAASAVRRRLLWLAPRRPPKRGGVIT -RYVPLQPEGDDTSHFEDALDDLGEAAAPPPRFPVLPPSFPEPDVRELERFIASTLASVGAADPVEIAELK -RKCADVVSRVGPNIPLSLGRYRLLTGPPGSGKSKTVAETLPKNTVVVCPTRDLAREWDQRGHRGITTHLA -IIQSENFPDHIVIDECFAFPAGYIFFISRKCSKVTLLGDPHQITFIDFEQTFPGALLIDSYPILTYRLNA -THRCPKDIVDSPLFQSLYPGCVSHSRVDTSITNDDADVPAGAHIITLSQESKAAMRLAYPLHHVNTAHEV -QGRTFDEVLFAVRNNDSDRRLLQSNKHVFVAFTRHTSKLILSGEDLAQALGRAAEPGATALNFVDDIAIV -LEHAGCGQPQQMLELNRPFTFEASLPKTIPPDGASDAETAISAMNRMFPSLRRNFDVDLLSTNHLPSTGD -VKVRLRPNLLQSAMAQHDRTRDTFRHLPTAPMGRHSHNTLASAELAGAIHRLCKGVKNLGDEAAYRLASN -LYEHLNRYVDFKPISSVDGALDTACVEMIRKIHERRQTGDHFAQVAHDITVRAKLHAKAQFKADLTPLAE -IKNKAAQGITAWSKQNNITVAAWARALELQFLAYARPNFIFSSRLTDLETLSLLDLHRDPELRHLSNDFT -EFDSAQNNVEKHLIRIILTEIGCPAHVINHYENLRSRRLVYGSAARFVLHDKRDSGEPFTLIGNTAFNAA -VVLRLVLGDVRFAIFKGDDSYVNGYDVRWDEATISSFKQNCNWHLKPDIGASAEFTTFIIGPDGSAINIP -RVMARLSGKLLYDEEAWAEYCQSLHDRLRPALNSVAVAERWCAVNAHHFDKPPNTMRAVLDFLLLCARGA -IPYSHLITSRRATHLASAETPQAR - ->YP_009552769.1 nonstructural polyprotein [Culex Bastrovirus-like virus] -MLQSKANQLTRENHDNAVLTQLYRNGLKVRVALDPEHYQLLSEAYSPMIIIPGNQQPPSGSHQLAAAHQS -IAAVALAKFVENLDTFIEIGPNAASFARVAIGKQNPHACTLRSARDQGRHLSSAMSNEVRGYRPTYTQTL -AVQSGGISHKQMWEDIQHLASGIPTETFCLNGWQNCIATAQVAISNHSLYDISFQDLAVGMRNHGTHRIK -AFIHFPTEAMDVQEWTSYEKGYHFKHDLKTGKITFGWNGDTAFSYTHDYKTWMSYLTTGGFSTPFGFNVI -IEKVAYHGSQFELNIYRSTAPGNFSFTIPNALSDLIRVPNFIMLAARNFCKRNFDPKDHSNYIITDGAKV -RKLLDFINARAEKGFSLDVVKAYARTLVSEIRLGSRTVEQRWHCTSSEFSDICVSVYILSAYQRRFDAHI -INAAFAHMDKIGAERSLFGEIYGWICQTFNILHHHAKDAKAAQSTSNLFHRCTLSFFEDYHRHDTFKECG -FNEEVFFSYNVETLPEAEQTLNEIVQAQEHQLAPETPLGRITPDWALKFNKPVNVDPGIGPVYLAEEQHE -ILVRECLQGSEKAEAKPLKIALKSAHDELVLRKPTRLHLENMFALTGVPGGAKTGTIINEIIPATIPSGP -VLVLCPTRALADKYEKELNAPSQAATMHTGLRALKKQKWALVIIEEAFTLPIAYINFIAAEHQVLIVGDP -KQIQHVDFSGLWRGVTMLEALLPAIPRHHITTTKRCPQDIAALPIIRAAYPGISSVSKRNASITHVNANF -QNDQAVNVCFTQLCKSQIEQFAGKNAFTVHECQGQTFSSVILHYSGTHAEEQLIRKSPNHLIVGLTRHTT -NLFIKDSSPNGDLTTFINDKAPLNITADQSNVDLQAVDAAPMPKGVVMEETAPKVTPYSFCKSEVGTACL -VLDKYYPAVAPREEISVTSTQLETGKDAKGVIRLAALGDEEQFGSKSHKVYRFKAPQRVMVTRGHQSHLL -LRTNLERLTQSTKNLPDEACKPLAQELFERVEDHFNWELPQNAHHQCFLEAIEKMQERGHDISKLKEIDS -WTDQSVNLVKSFLKAQQKPMLGKDPLEADKAGQGISAWQKTLNLIMAPWTRLLEQVLVNQSKGTVRILSQ -MSDTQVMAILLKDTVEGERYIDNDWTKFDSNQNNLTREILKRALIRIGCPAKLVSYFCEQLKTRPLSAAQ -SSLVVNDKKDSGAPHTLVDNCLFNLAICLDVMTDFDKLYIKGDDSLARGPNVAFNMERLNRYNKQCGFQF -KPNSSAVGQFVSFLVSPRGVALDLARIAAKITSRAYNNKEDYDNYASALAGTLKPIDIDAGNNMCVVNSL -HHWNNTRVTSDFDVLLSFIVRFSRGEIPFNELSQHEAIFYKTPGNNKLAHTQGKHTRDGKRMFKRGLTAI -ASTLFLGSLTFYTPQLRTNMPRKISMPTLQSRKQPGSKPKRPAQPQRAMRKPMQQKRVRVPRPNMSEVHF -RDTERLLTVTIAPSSTPGQLLAQIPVNPLSPPRLQSVARQFDSWRGTMALEAETTGNAFSKNYVIIRHLP -NGDPAQIPAQAEALLNTVEARGRPSESQRLQLDSNRRAVVFASWASSYNKNKPIVDLDANDANNGLFLLV -SNGSPGTEPVDVVLRLRYNIRFFGPIAKPLIPDTSLNVASTTGSLTTPWVGASVQGPGTNYIQWDEPTAT -LTVPKGKYLLSVRASGTGLTALGNAVATNCTIHTATNNVSPTLLSRVSLLYVPVSGTLRLEQPVTGTALT -NISLLLAPFNA - ->YP_009336840.1 replicase [Hubei hepe-like virus 1] -MLGLNPASNKTIIDFEKNKAFEFHKKLNGKAIRLPFSLKAQDHSFLSSLYAPQPIELGTARPPSHPVVAA -LVRIQRDRMNSIARHSGHNVLFFDSSLSPLTDNAAFIANGGNFHLCLNTEDPRYHQRLANALFDHHNQPG -FVKDVVQAARLGINTELVCHRGLHHCNYTSPIAFAPNTISNIDLTTIAKLFYSHGIHVLHAFQIFPALGL -VQNRHHYIDYQYTFEIDNDECLIGNVDDPSYGYRYNWTTFKSHFINSGIVTPFGFNILIEKTRNHGIMWE -LKYTRVNGTVDFPFRLPIIPDDTMRFPDICGVIQKGFYLSGDVVSKYSFSGFDFPPNFPFIVTSKRKCEQ -LYQFIVTRVEKSFDLETTAAYARSLLRRTIVGDHVIEEAWRIDTTELQHLIVSAYILAMTSRQVSNQRVS -AAVRLLQSRSSIYSGVFNQIGTEILNLLGSTGARLFPGIPFSFVDRFTRYTEAISGRSNNIFFKLIENMQ -SFFDIDHQIHYSAAFDVPFIDKYLLPYPARKIVHGLFHHSRTLATTMMRRFRTLADTTIYHYRQFRLEAT -LRCIDIEEQWIGRLLQSYANIFPPNHPKVVGWRLRSQQWQQRRQVAQDLIARNRKLLISGPNKPLVNLRD -PKTRLIDSPPVHPTVITVPLLDQHSKLLSASDKLAQAVDDRLTDISNNASEIFGSTCDPSFLPQYTHNCD -HTIYHQVDVPGDGMCAFHTMAHFLGVNATELREQIRDSDIAPQQVVDLLSDPDAWGDYPCFAVCAQIYGV -RFCIEFVDSRDKIFFLTPGNGPIYHVRLEHNHFSPLETDIDFPIEGSNVPGIFNLVSSASKPLPSFDYLD -PLYRELKGQNTQLANSITARLNRLKTAKFAKGEIYLGPPGSCKTRACIKKLAGTGKRVLVSCPTRALAAD -WENKTSTEDFAVLTYQAALVHGFAEQFDTIVVDECFTIPYSYILAFAALARVRLILLGDPNQITSIDFSE -SKCHTGTPRLEDNLDCYDLYECAATSRIPEDIVETDLFQRTYPGISTTNHRKSLHIHDPTAPLPANHQVL -CFTQEAKAQLCQFYPQVKTVHEAQGQTFDDVVLVVGSSPGELGLAYESYNHVIVACSRHTQNLVLSIDDV -ATFLQRTGLQPEPLVYVNDDVELHTRDNIDDTPRIVPADYVPFSPPAVESFADNPPSFIKDVDPSSVREV -FDIYFPVREKQLEDCYYATTTSYNTEGSGRATVQFEKVAENSLQEMRTFTRSTFSIDPRGRYTTSRSRIQ -EVHTALQRLLKFTMNPPRAYVLEHSIRLVNQLNDLIPFCPVQTRNPELIHQAYVEYASKMTERGQGIEDI -TEKTIIDPGTVSLFLKQQFKQDYTSGEGALRDKSGQPISAWDKRTNFIFSVWARILEFYLLDSPTPRFKF -FSRVSEPVLLKCLDSFPKDGISYFCNDFTEYDSCQNELEHEILAEVLRRIGAPAALIEHYLHIRRNRVAY -SQTVKCKVKNKRDSGEPFTLIGNTIFTSCIVLDIMDDFDFLLVKGDDSAAGGYNISVRHEKIAHYFQTNR -YVMKPNVSDSCEFVGYITNVNGTCLDIVRLCARLVGRKFKDEEDFNDYVISVRDRVRSFASVDLMHRSIA -VISLHYKMAPEHVEWLYNYLLNFAYNKIPFDALMSSKQSIWNLISNIPTRF - ->YP_009333175.1 structural polyprotein [Bastrovirus-like_virus/VietNam/Bat/17819_21] -MSSCRSFSASPAAKSRSVSSRSMKPYTTRLPATTNSSTLRANTILASGRTSPAVYLTLLPLSSKGFINLL -YSNPIAHATSHLAHSVMPRRNPPVQSRGMAGPRPIRQRRPATPRPPPRNPARPQRKRARVPRPNMSEVHF -QDIERLLTVTVSPSSTPGQLLAQVPVNPLSPPRLESVARQFDSWRGTMSLEAETTGNAFSKNYVILRHLP -NGDPDQIPAQAQALLNTVEACGRPSESQRLQLDSNRKAVVVANWSRSYNKNKPIVDPDANDANNGIFLLV -SNGSPGTESVDVVLRLRYNVRFFGPVAKPLVPDTSFQLLSTTGTPADPWSNAILSGPGQNYIQWDQATTT -LSFPKGKYLLSLRISGAGLTTCGAPVPINCTVSSSFTLPSAGVIVRTYLLTVPVSGTLRVDQPVTGTSLT -STSLFCAPFNA - ->YP_009333174.1 non-structural polyprotein [Bastrovirus-like_virus/VietNam/Bat/17819_21] -MLQSKANQLTRENHDNATLVNLYRNGLKVRVALDPEHYQLLSEAYSPMIIIPGNQQPPSGSHQLAAAHQS -IAAVALAKFVENLDSFIEIGPNAASFARIAIGKYNPHACTLRSARDQGRHLSSAMSNEVRGYRPTATQTL -AIQAGGLSHRQVYEDIQCLASGIPTETFCLNGWQNCDARAQVAISNHSLYDISFRDLAVGMRNHGTHRIK -AFIHFPTEAMDVNEWTSYEKGYHFKHDTKTGKIVFGWNGDTAFSYQHDYKTWMSYLTTGGFSTPFGFNVI -IEKVAFHGSQFELNIYRATAAGDFCFTIPNALSDLIKVPNFRVLAANNFCKRNFNPKDPSNYIITDGSKV -RKLLDFINARADKGFSLEVVKAYARTLVSEIRLGARTVEQRWHCTSSEFSDICVSVYILSAYQRRLDTHI -INAAFSHMDQIGAERSLFGELYGWICHTFGILHHHSPDKKAAQTTSNLFHRCALSFFEDYHRHDSFKECG -YNEEVFFDYNIETFPDIEPTKNEILAAQANLPKSEDPQGRVTPEWALMFNLPVNVDPELEPVYMAEEQHK -TLIQECLQGSEQAEAKALKAVLKSAHDELISRHPKRLHLENMFALTGVPGGAKTSTIIDRIIPTVIPTGP -VLVLCPTRALADKYEKQLHAPSAAATIHAGLRQLKKQKWALVIVEEAFTLPIAYINFIAEEHKTLLVGDP -RQIQHVDFSGLWSRTMMLETLLPAIPRHHINCTRRCPQDVVALPIIRSAYPGISSASKCSSSIVHVNAKF -TNDKAVNVCFTQLAKSQIEAFSGRNACTVHECQGQTFESVILHYSGTRAEEELIRKSPNHLIVGLTRHTT -NLFIRDTSENGDITTFINDKTPLNMIADSSRIDLQAVDAAPLPKAATFEQAVPPTTPYAFCKSEVGTASL -VLDRYYPAVPPREEIAVSSTHLEPGGDAKGVIRLAALGDEELFESKPHTVYRFKAPQRVMVTRGHQSHML -LRTNLERLTHSTKNLQDTVCKPLADRLFSQVEDHFNWELPENAHHQCFLEAIQRMQERGHDITKLKEIDK -WTDQSANLVKSFLKAQQKPMLGKDPLEADKAGQGISAWDKTLNLIMAPWTRLLEQVLVNQSKGTVRILSQ -MSDSQVMAILEQDTVEGEKYIDNDWTKFDSNQNNLTREILRRALLRIGAPPILVSYFIEQLKTRRICAAQ -SSLVVNDKKDSGAPHTLVDNCLFNLAICLDVMQDFDKLYIKGDDSLARGPDVSFNLPRLNHYNKTCGFQF -KPNTSSVGQFVSFLVSPRGVALDLARIAAKVTSRAYTNKEDYDNYALALAGTLKPIDVDAGNNMCVVNSL -FHHSNSRMTSDFDVLLSFLFRFSRREIPFSELTKHEAIYYKTPGNNKLQHTQGKHNPSVRKNISRGLSNI -VASFI - ->YP_025094.1 putative RNA-dependent RNA polymerase [Dendrolimus punctatus virus] -MYAKVAETQRAYQAADAAYANVLQRSAFKLDFAPPLKAYETLQRLYYPLRFKGGVGIPTQHPVLAGHQRV -AEAALHGFATRQASILEIGPSLHSAARLSHLRYHGCTKTGTRDQARHIAALHSSFVRGVSPQFQADATLL -AAGIPSETFCVDGVGACAFQAQLGISNHSLYDVELEELANAFNNHGLHMVKAFMHIPEELLYMDEVVNDE -LGYRFKVVDDDFRLRDVEYTLGDVRARAPKLQFLPESQRRRIERLVGRGSYSRRCVIFSGNDDWADAYCH -DYHTWLAYLLVRNYPTPFGFSLHIEVQRRHGSSVELRITRADGGDRIFAVVPRTSQGLCRVPNIFAAADD -SGTERKTILTSQHKVNMLLNFMQTRPEKELADFTVLMSFARARLRAIIVATEVAESSWHITPSDLVRVVA -SVYVIHLVERERIKFAVKAAKDDIFSNTSFWESFRNALASCCGIRGRSRVFSADVTEKYRVMSLTDIICD -VQLTPEEVGWLPARVPPARVYHDKEALAVLREAGIYRVPEPSVPPLEPETTQDAGVWAAASAALPDYRAC -LSAGVARTDIKYLKITLENALKETEGLVLKPVKGLELYTGPPGSGKTRKLLAAVEAVSTRMLFIAPTSEL -RSEMEKSIKPPSDAATQHNALSMLRRATAASRPYELVVIDECFMLPLVYVSIVAAISPESKIVLVGDENQ -IGFIDFEGLMPGTPTVKDILKECVIHRYDKTHRSPADVVSSAFYQRLYPGVTTTSSNTHSIEYVPASYVN -SGAVTLCFTQEEKSRCASEGAATVAEVQGKTFASVILHYNGTAPEQQLIAADKHLLVGITRHTNHLYIRD -HTGDIERKLAHSPKVELLMDQEMPLEMTAIKPNVEVHRAEPSAPIPPQRAVPAGAISILRSAFGDQPECG -CVALARTGYECFGGRAKINLEIAEPDHAPKPFRAFQEGVQWVKVTNASNKHQALQTLLARYTKRAKSLNP -HEAASDAKRMMASMDKHWCWDVTADARERAVVDTLARFTQRGGTLEDLTDPDDPHIRDVDFLMKTQQKVS -TKPMNSGKVGQGIAAHSKSLNFVLAVWVRILDEVLRTGSTTVRYSNGLPDEEEAMLLEAAVNKVPNASFT -SADWTEFDTAHNNVSEIFFAELLARVGTPAAAVTLFRQRCGKRTLRAKGIGSVEVDGLLDSGAVWTLTRN -TTFSAGVMLTLFRGVKFAAFKGDDSLLVGSGPLTFTPARLHMGEHYAKAHLKVETEVVVPYIGLLVSAEQ -VVPDPVRVALKVFGRCYSTHTLYGKYVDAVADLVSSWADAANSQRIMYMAAAYYAIDVASIAYVMDAVRR -FAYGDFPYESLTVVRAHVQAPDAYATAYPHPASIRESVFEPRSLSAPTAGNVPQNQCVTTPRSTLLPSPG -VSATTLNHVATGSAPLASTWVVPAANNCSDSLTPATPCTSSSSLPSSSSPPTSSGASPSVGATAKNTGVT -SARKTASRGRSSPPRCPEKREGAIAPTLTAPCVRARSSFSLRSVAPPTIAPSASAASLPSATPSCATPSA -AEPITQTTASTATTRSAPAVVPLYVPPTPVVQSRFQDWQPSRPRRLATEDVALAPIVAGLVKSRFPNRKN -SLRKSEGCSAEQRQCVLTGRACGCEVNCYALAGNVDRND - ->NP_049235.1 RNA-dependent RNA polymerase [Helicoverpa armigera stunt virus] -MYAKATDVARVYAAADVAYANVLQQRAVKLDFAPPLKALETLHRLYYPLRFKGGTLPPTQHPILAGHQRV -AEEVLHNFARGRSTVLEIGPSLHSALKLHGAPNAPVADYHGCTKYGTRDGSRHITALESRSVATGRPEFK -ADASLLANGIASRTFCVDGVGSCAFKSRVGIANHSLYDVTLEELANAFENHGLHMVRAFMHMPEELLYMD -NVVNAELGYRFHVIEEPMAVKDCAFQGGDLRLHFPELDFINESQERRIERLAARGSYSRRAVIFSGDDDW -GDAYLHDFHTWLAYLLVRNYPTPFGFSLHIEVQRRHGSSIELRITRAPPGDRMLAVVPRTSQGLCRIPNI -FYYADASGTEHKTILTSQHKVNMLLNFMQTRPEKELVDMTVLMSFARARLRAIVVASEVTESSWNISPAD -LVRTVVSLYVLHIIERRRAAVAVKTAKDDVFGETSFWESLKHVLGSCCGLRNLKGTDVVFTKRVVDKYRV -HSLGDIICDVRLSPEQVGFLPSRVPPARVFHDREELEVLREAGCYNERPVPSTPPVEEPQGFDADLWHAT -AASLPEYRATLQAGLNTDVKQLKITLENALKTIDGLTLSPVRGLEMYEGPPGSGKTGTLIAALEAAGGKA -LYVAPTRELREAMDRRIKPPSASRTQHVALAILRRATAEGAPFATVVIDECFMFPLVYVAIVHALSPSSR -IVLVGDVHQIGFIDFQGTSANMPLVRDVVKQCRRRTFNQTKRCPADVVATTFFQSLYPGCTTTSGCVASI -SHVAPDYRNSQAQTLCFTQEEKSRHGAEGAMTVHEAQGRTFASVILHYNGSTAEQKLLAEKSHLLVGITR -HTNHLYIRDPTGDIERQLNHSAKAEVFTDIPAPLEITTVKPSEEVQRNEVMATIPPQSPTPHGAIHLLRK -NFGDQPDCGCVALAKTGYEVFGGRAKINVELAEPDATPKPHRAFQEGVQWVKVTNASNKHQALQTLLSRY -TKRSADLPLHEAKEDVKRMLNSLDRHWDWTVTEDARDRAVFETQLKFTQRGGTVEDLLEPDDPYIRDIDF -LMKTQQKVSPKPINTGKVGQGIAAHSKSLNFVLAAWIRILEEILRTGSRTVRYSNGLPDEEEAMLLEAKI -NQVPHATFVSADWTEFDTAHNNTSELLFAALLERIGTPAAAVNLFRERCGKRTLRAKGLGSVEVDGLLDS -GAAWTPCRNTIFSAAVMLTLFRGVKFAAFKGDDSLLCGSHYLRFDASRLHMGERYKTKHLKVEVQKIVPY -IGLLVSAEQVVLDPVRSALKIFGRCYTSELLYSKYVEAVRDITKGWSDARYHSLLCHMSACYYNYAPESA -AYIIDAVVRFGRGDFPFEQLRVVRAHVQAPDAYSSTYPANVRASCLDHVFEPRQAAAPAGFVATCAKPET -PSSLTAKAGVSATTSHVATGTAPPESPWDAPAANSFSELLTPETPSTSSSAVIVFIGLLYIVWKVAQWWR -HRKRTEDLNSRKPPSQDRQSRSSECLDRSGERTGSSLTAPTAPSPSFSFSERARLATGPTVAAATSPSAT -PSCATDQVAARTTPDFAPFLGSQSARAVSKPYRPPTTARWKEVTPLHAWKGVTGDRPEVREDPETAAVVQ -ALISGRYPQKTKLSSDASKGYSRTKGCSQSTSFPAPSADYQARDCQTVRVCRAAAEMARSCIHEPLASSA -ASADLKRIRSTSDSVPDVKISKSA - ->NP_048059.1 RNA-dependent RNA polymerase [Nudaurelia capensis beta virus] -MEDASKQLRVLDAQERAKAAFQLDFIASVETLEDAQEKYEGMMFRSGTKLPSTHIKLAIDLRVAEKDLRR -HVKNVPTVLEIGPSVESVRYAVQTRDKERVHGCTFSDARDNLRHNKIGYEAHYDRKIGPDAALLAAGIPT -DTFCVDGFSNCEYQSPLAIACHSLYPDGESNSIMDVAKGMALHGTHVIYAWMHLPVELLTLTDADNIFEG -YSIRFEETGALPCTKRRKAIFSGYNDFGSAYVHDAHHWAGWLKHRGVDTPYGFSILIDIQQRFGMHTKLK -ITRGHSSGSITTVFPLSKLGLIWVPNIVKIMYPKAKHEPEYIVTDKKKYEGVCVYVGTRVQSSGKSITLA -EIVQYIRTRLTRIILNGTVHEKTWTIAEQDIERLAVSIMFRKNVERAVSEKALMRAQKKCKSAEKQALLP -VWMRRIANWFQDKFQIDEEVVRKRYLECLKAQPWIHADKVVNCETKRYNPTVAEVGPKNHLLATTGLREL -QREIPSANEPQDRGAKAWHSAHADLDIYAEGLRLDSAKEAAAGKQSLAITLQQAFQVLGKTKCEGCNNIE -IEYWTGPPGSGKSRAAKPRFADLQGGVLYCAPTRTLRDALDESVVHPSRVCTYHNALHVAAKESGNRPFD -VIVIDEAETTPACYVGTMHHASPSSRIVCLGDPHQIGYIDFSDRKDDLKPFSIIAAECRTRRFNTTYRCP -QDVLNLPIFKTLYPDAISFSKQLTSIRYLTRARSVTRTRHAQTLTQDQKPHSEPPVTAHEPQARRTDVIV -HYAGTLPERALLEKVRHINVALTRHTNALYIRDESEKGELVPSLMTPPSWSTYRCTPVDKQMVPDPVAVE -RENGSSGPCDSHHIGAITILQELGKLTDTKGVRVFESEAVPTAHRRVVLDGNLDSGPDRYPMYQFTNLRG -TKYTNIKDNQQALHTLVGRYARKINSSSREDAEFDVKRITARLKEWIPFRTAEPEQVDSCFADAMQKIAE -RGHGVDDIEDFWSNEGQRISYHLKGQQKVMDPTKLKLGQGISAHEKCANIALSAWVRIIQDQMSTSEKFI -FANGQSDRDTMSIIEARLQEKAREFKSIDIKEFDTVHNWVSILVFSWRCDRGCPEHLIEYFEKRSKSRTL -SSRIGSVDVSFMLDSGAVWTIARNTLFASGLMLALFVGVDFIAAKGDDVFLAGNNLYLDAERLRMGSYLA -ANNLKIEKTAVVSFIGFIVSQAAVTADVVRLATRTYGRSYKNADDLAKYKIAIADHCKLFRSPRTRLMTA -INCATLYGTSKECINYLMDALDAFGHTKMSDLHLDPGFVMRVTPMKVDERVYSGQDGCQRADKTREKQPE -PGQPGPQQQQQASTQEAGSKTSPRSRTDYQPRPDGRTREPREHPGQPRSDTREGVKASDDGESHGSDIRG -MDSRLSRPGRRIQDEPGRRENSRRRDTSVNMRSISRDRGRQIPGTEFYDATAGWRDLASTSDASPVLQAS -VVVHHHHQQHGSRSDERRSGCVRERLEQQDGLDRSDVPKLGASRERVLHGRPDRSADGRTTPDSTGCIRV -TRELPSDIERRHSVLQRTHSRESGSGGDRAVPTGQRTPEGEPGHSSRDHPNGRNVTARRFRAELHIDDDD -RGPGRVRGRSNPATHGVDGADAGVGAAGVPDCEPDIRRRKHNHHHDHAATRVGDGNVAIHSQQRDGHRDR -GRGSATVRVRSEFGRLGTESAGHQLNQDSTNEHEPNDAGNAQDHSVPTQRNEGLLYAPEGVPTRVRNDNG -DVLWTGAMEDTEDNCGRLPPGNWWTPGYHRQQLRDRRCRDDRYVYINRTLLQGVPTLRSDTGGGEPLGPL -RQCDTSEGRRGANSGSNLDRSAPIRIPGTIQRIRGPIRDGGQDHSPDTSLCAISSRSGECGDGLHRERDR -ECSLEFHLGEAATKSETCWRNRSRSPQSCGPHREP - diff --git a/seq/clusters_seq/cluster_542 b/seq/clusters_seq/cluster_542 deleted file mode 100644 index 614f1e8..0000000 --- a/seq/clusters_seq/cluster_542 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009553664.1 CP [Blackcurrant leafroll-associated virus 1] -MADDANKKKGFDAATVLKNLDVNSIEQYSSEVFNTKENTEINNKIFAKIKELNHANDGDKPIHLLILLTR -MATRTTSNKVKDNEKGYIEYTINGVKYELRDSDIFPFIKQLPQIASQPNGLRKWGATNETGLVFMASKKP -QLFESRRCTRAGTPMSKGYLSADFLTGASPDFTELDRAIMRRSQEVNLDRVTTDLSGGLISLSDLGNQSI -R - ->YP_009553645.1 CPm [Blackcurrant-associated closterovirus 1] -MADDAAKKKGFDAATILKNLDVNSIEQYSSEVFNTKENTEINNKIFAKIKELNHANDGDKPIHLLVLLTR -LATRTTSRKVKDDEKGYIEYSINGVKYELRDSDIFPYIKQLPQIASQPNGLRKWGATNETGLVFMASKKP -QLFESRRCTRAGTPMSKGYLSADFLTGASPDFTELDRAILRRSQEVNLDRVTTDLSGGLISLSDLGNQVT -R - ->YP_009553250.1 CP [Blackcurrant leafroll-associated virus 1] -MANDGEKLKGFNAANILNGLDVDSIEQYSTEVFNTSENTEINNKVFAKIKELNHANDADKAAHILAVLTR -LATRSTSRKVRDDGKGYIRYPINGVKYEIKDSDIFPYMLQLPLIASVPNGLRKWGATNEAGVVFVAMKKP -KLFESRRSTRAGTPMSKGYLSTDFLTGSLPEYSELDRATMRRSQEVNLDRVTTDLSGSLISLSDLGNQRC -R - ->YP_009552061.1 major coat protein [Blackcurrant leafroll-associated virus 1] -MAGGEDKKKGFDAASILKGLDVESIEQYSSEVFNTSENTEINDKVFAKVKELNHANDADKPAHILAVLVR -LAARTSSRKVRDDMKGYIRYPVNGVKYEIRDSDIFPFILQLPLIQSVPNGLRKWGATNEAGVVFIASKKP -KLFESRRCTRAGTPMSKGYLSIDFLTGSLPEYSELDRAVMRRSQEVNLDRVTTDLSGSLISLGDLGSQCC -K - ->YP_009058934.1 CPm [Rose leaf rosette-associated virus] -MSSALGDSKTLIPFVATPSDESAKTLAGAFDINSVEENLDERYTQAEVTEVLHRLETFLVTTYKIDTKHF -AHHLAAIIKRAATLTTSTKVVYRDRVGISYKVEGVRYELNDMKVFGFIRAAFTTATNPNPLRKLCCTFQD -LLLWMASERPEMFDSRRTTKLGAPKGKSYLATDFLSGNSKYNSERDRAIIIRCAEVALTAPQSVEHGELV -SLRDIGRYI - ->YP_874190.1 CPm [Raspberry leaf mottle virus] -MTLVPVKVNDSPVSPADAAAIITSSRQDPTSFGGILARLNVASISETQTDRFSDKQLLEVITKLSSFLKE -TYHTLDKDLIIHITAIVKRAFTLSTSTKVKYSNTGCITYSLNDNTEYTLYDKDVFPFITNTFGGVDTPNA -IRKFCCSFELCHTALANLKPSLYEGKRFTRLGTPKGKAYLGADFLTGTLPSYSEHDRAITLRCSETALTK -TPGEDFAIVSLRDLGRRSLV - ->YP_762627.1 CPm [Strawberry chlorotic fleck-associated virus] -MALVNSEVSALQSNELSTNNNAIPNSLDSVLVLSSISESSIDHYTSEEIPKVLNDLQTFLITKYSIRPEH -ILSHAAMIVKRAATISTSMEARLVPGSHISYDLKTSDGTVLHYKLEDTVVFGYIRTWKDEVSNPNPVRKL -RCTLEILHEWLAHKRPEIYETKRTTKLGLPKGKAYLGADFLSGNVSTLSEHDRAILLRSSEVALNRPSKS -PKVSLTSLYDMVKEF - ->NP_042866.1 27-kDa protein [Citrus tristeza virus] -MAGYTVLPKTDDKEMDPVSAAVPGKYPDVIEKFVANRSVDALIEGVISKLDTNSIYEDSTEKFTGEHLKY -VMVTMDTFLLENYKTKTEDLLVHLTMIQKRLYTISTSTKTKFRDKGCISYVQGGLRYKLLDKVVFPFIIS -KFTDRETPNALRKFACTFEELHLCMARLRPDLYENKRTTRAGTPHLKGYLSADFLSGSLPGYSEHERGII -LRASESMLARRQGYEEATELLNLRDLGKYL - diff --git a/seq/clusters_seq/cluster_543 b/seq/clusters_seq/cluster_543 deleted file mode 100644 index 517ef35..0000000 --- a/seq/clusters_seq/cluster_543 +++ /dev/null @@ -1,177 +0,0 @@ ->YP_009553632.1 hypothetical protein [Trichoderma asperellum dsRNA virus 1] -MAFSGNTERQTRLESSASQPVVAQQATIRRGSNLDSSAVSAGPESTAAFTXGALRQLGITTDMTGKLTVI -LEKLKDGSRLELAASDSGERALASQVRNGQCFINAVVPEDRYKLSHISDWPTRTAFINALIETGARLEMA -RCLSLQLGGKWARKMSHPHKLEYGHLVPGGELNGVXILCLPLPGHFRLGGELPPVSRNSITAAVWSLLLS -YLVTAITFVSTEFSSLALQTDYAYGHTIRPRLAYVGQIHPLAFKEQTCLWEYFLGCGLDAFQLAMLGITP -LTSRLSTAQASALSTGTNGFFIAYLRVGKTGLTNHISTSPFKCSKLTRLPIDFLTKVHVFGEELQASGSV -TAPAIQALGGVRQVITSLINGRLSGEAGKGWDWSLWPTDMLRQRPTWILTNNNNDIVSTRDSEFMRYFPE -DTERTHLSQRFSETLKSVFAFRASEAAPLGNTIKDVAYTRSFIPSTLNRVLRTRYMALAPIMEGKTDKEA -FTNAQQLLSQHRFFGSKGKRMIGDWDALEMSRNQEIYELAGRARVREYRELAYRLWSKYLLANQTESMMR -TLQGGTPTVINTAARCVITHMNAHYIPANPTAVPPLPVLNPEAPFWDPAAQLELTEGYKQFFDVSGMSKE -QIAALIGCLDSTDLDRVPRLHIDNGAGGHRVYWFGNGKFKFNNGVNEVFLHYGMGNLPSPADQAWIAAHA -HDFPSSAVLASIIRIVAGKLXIAEDYQTGLDLALHHGVVYRNADIYNRLPNAPNQHNLIYASGNDELFLP -RNVSVDGYFVPFFEPGVLQDSQPEMLAPNRRDDIIHVQSLISHARAVSLNWAAKAVTLLGQHWSAAAATP -AAGLNMWLRNRIDAWIRDYYNSYSNPWSEMHINACATQFGFSITQRAAIMEAGTVQAQWPAWQAPYLANH -YCELWMMKMIPSFQVLPYYDREARTSKFIVNDDYGSAVPSLQTFNASRELKVSREINAIPGRNYFGDGGS -EYNGQFLAAQGGGIGGAGHWRFENAANAFRVLDPCWSETPYAYQLGQAWNRVQTVYMAAPGNNFADFALP -GTMRTFQEIDNKVLSWGFRESQTAHNRMTAEQISRVHKLGCGLPHQSLMVNYINPYAIRSQVDQLADYSI -VILSSGAKFAGMALQPGHFTAVTADDRLNRERPQQSTFTHAAADPSRGRDLAPVRIAARVAPNTSLKTGQ -PAGKVHFQSKYPVWRDDIPRIPRIDVEVTPQDIEVTAVDLPPEEPPQTVQDDEGGDGLTGHYTTDADDTP -TPVRSNFMTDEEIARLLKKADSQGMVNSRALDFYRTQAMEEVSGKIDAEFNELLAELNARAALKQQELQS -YQGLRKRVAHVQRTNTLPHRRSFSVNNVKSATAIRPPRQSPRQSKSVAFSAQASGLDVGTKAEFNDAAAA -VTQNNAQIQAAAIKTHFSPRPVVKLPPSPTLEEIKANARENGFGSLTPKTPDQAFMSGAVPISYSEEEDS -RAASISDAKDFSEAFNLGTGDELSRERALLPKN - ->YP_009342054.1 putative structural/gag protein [Trichoderma atroviride mycovirus] -MASFNNTTTTTTSTTPTTSNTKQDEANIYKNSIQAAVQAAVFVSKETAGEFDTLNECVFRVRGAGQRHVD -ITYCPQPFPSTHKCKCLFNSKLGRGNCSQPPEGFPVLNDATVSASDGLCYLLAWTPEYRYCVLSLLGFVR -RVGMIRDLYQRSHKGAKRPSAKIFFTRVCATTPRGGHYHHISAATPAQKRDKSCDTVQSIFTNLDTSHNS -CLLGLAARVTAARASDSDVEVAKRVKDSGLKPAFSGTTPPISALGGVREVPTSLIVPRLGDGYNRKWSTE -LFATQMIIEDVDWTLSQNDHDVHFNRESEFVYHFPEELQNPRLSRHFTDNATFKWWVSAQDGTPDRWLPT -NYTRYFEPSQTDRIVLEPFSAIGKMLSGELSLDVLKEADSSAAFGRAMGSRGQRLIPGWEAGLTTRWQRF -RDFGTRQRKISQHLEFCYRLITRYIISRVTAQALNRIQRYAPNVGDTHVHADIVFINAATIVPAPPAPGV -PPALPVNGEDAFWAPGAQQALLEGRAQFLDCEGMERSEIAQLLGCLDQSTDNNLPVLSRDDMNVLQVPVI -GRHTFPNGVQTIFVHTGNQPVPSPADQQWIRDNAHSYPDHTIIGPLIRSLCMRHDLSTQFTDAIDMAVYR -CVGYSFEDCFGTSNDRRTDDIIDGSGNSELFIPHNNTAGDYFDIFFTPAPIDREVEVFLSLQTKQLTHSA -SLAVHTRTVAYNWAAKAGSMLGDIWAAPLAGAPNDYVRNHATKWLRNYYSDINIWSGLAANAHATQYGFC -ASPLTRRTELGRLPNWWRAYVAPYITNHYLVLWCMQVMPTFQILPYFDQNAQTSHVRWAEGTPDQSESRI -SFRNNLNVRLAREFEAYPGHTWIGDGGSEFNSQFYAAQGNDGQFAYEGALTKVGLCRWDGIYARQLPAAP -VAGRAITLGAAGSPFSDFILPGSLASYQFGNDRIQNWAVQPNPRRPLTNQEASRWWAASKGQSNVSLMVN -YVSPIAEHIEIDSLADYSVVIWENQSGFAGLTYMNNYESFSNPDLPLSRLLPDQSPFTVPFDSAPKPYMQ -NQPTRVTSKPGTRQTADQDTKPLGSNTSVSVADAFNKRIAATGSISYETKYPLFEDELPSFKTAEVSSDQ -AGLHFTTTPLGGRTPKGRLERIRELELARDAEYEEYVRESQLKEASARAEANARKAATPAYHTIVTKRPP -KTKGGASAVANSLSHMNFQRDRAPLPSSISVSTKGYKPKINLPPPPQGYQWTTTPTNTHPPVFSEEPNPL -SSVKSARSVRFSGDAKAFPENVEPNDSAAAVVQERADFLSTASPVGLNRNPATIIAGSRRSDRPPPFSPH -PGQNSPYMSGARSLAEELSEHQADFDEKDGDGSENTGEVSSDESRVSRQNINPKENFRRNVRFGDLDFTN -DPHGSEDIAIQRLGEAFAQADATTRTPKN - ->YP_009272908.1 hypothetical protein [Fusarium poae mycovirus 1] -MLNTYASARAVNSTAYASTCASGAASNVTGGSMNEAVGHVDSHLSSVNSVFSARGDDVQSCLFLRRFCKI -SALLSFVSRWFCFLLSFPFSFFALALMRCFDLPGLFVMQDLSSSLKWERVTPTLLTRNFAYGRPHHDLLS -EMTTCIPLALYDFNIISFSQALRLRYVTSFSELPRPIRNSLARSRHSRLLFRLYPGHIESSLRPILAFNY -KLVTLGQMLHSTTTILATPYSQKEATPSMELTSPTLPMLGGVRSVLTQLATSYRAPNLNDRWHPTLFPRQ -ATDVHNVYEFAHRRVNVVRRHNYIRSFPANHPNARQPEHFEDKSYTAILAREAPYSNYAHSVPTSFNRNW -MRAPITDRILEQTHAGLANILDGSDYTRASFQAAEQAVGMNRFLDTRGNKTVPRWEADAWNRWSGLTNLF -QIGKADRSYYQTAYRLLSRYYAALVAQEFPEFRLAPTAIGTNVVLTPLSTQLPPVPFGAPAPQVQNPEAP -LFAPGAFEGLKNGTKQFIDAEGLSEVEVVELLSAIVPQSRPQRLQFSRINDQGQREEYFSGPTRYTFGNS -VNEVFIHYGNNPIPNNMAQIAAQVHGTPNPNQILSVLRYLLMRHGAGSDIEDAAELLISRIALYSTGSGL -RGLRNNAPNQEYINADGHYELHLPHSKTASAYFDCFFVPCFDTGTLGFFSSLNPPELINQGVLFGNARAV -SLNWAATAWSMVGRSWTNLPGMEGNPFIRNHIDVWLRRYSSDILNLWSSCHNNTLALQYGFGVDARVRAT -EAGRVVNWWSDHQAPYMVNPYHELWLAEKLPSHQTLPYDDANAPSTVSWPAQTPFPISDMYSFTQNTRVE -LARDVPVETARSWMVDGGPTVNAQHYLAVGNCLGYRFEQAANTPSVSLARWRHRHPYQFPQAPANQNVVW -MDAGGSPFADFALPGSLPTVNLEQNVSYTHGLQLQNNCSQAERNYLSQLWFDTARQTPRRSLMINYVSPF -PDRREFSTLQDYSIVVWEKGNTYAGMSLVPVDFAPTSIADYHPNPQLPMPNVTRPSAADVSDVTKGRVAR -VRNTRKPRVASNVVADRLREFQSEREHTEPKVSGALSYESKYPVEQSELPPMQNYTAHVNGPEVTLRDSD -TGAFTRLQKREMLQALQSNMELMAKLQASPLLASPDREDLVQDSAARRAAYEAQQVRIADRVAKAKVPTS -PKPRVKLPPTAPTPQPPVVRALSPIPEHTVQVTGKLNEEILNHSPVEVNDAAAPQVQIQAQKFSTPINRA -SGYGAFPSGTHAKGKSPMLERYHNNGQAESDVVRPITPPTQVFAPDDRDTLNAAPADGQAVSSLAEN - ->YP_009253994.1 hypothetical protein [Fusarium poae dsRNA virus 2] -MDYPRNTGRQSSEEFSASRSVMAQLNTIGKDGNLDSQTINDSATGNDKCRPVVTPLTSARILNRADNAHD -RLSKNRASKRGFPFTATTVNQNGFNGVTAPLLARYRASDPHNSAPVMEGQVLGGRDGTSRSRFLLWSFFL -FVSPVLFPAGIAASVVLCVCWILLNLFFDSNQLVEALFQFNTIKWRFAYMQESHSTCLWKSLRSLGFTTE -RLLDMGIAPWMTSLQRSTKLKLPGSLPLYYTLPFNSRLGHVSTKYFPNSKFGFPLRLAMKYQFGATPGKE -NEIKTSFLSNPIPQLGGVKITLSQLPTETKAPDFDTKWTPSFFSPSIVDRPLKHAYSQEGELITERESEF -YRHYPQGQRVERLPSRFTETLKSVRWAPWTLGNYTLNIPVSYNQKSWISPASHRLPATDFLDLAGLFSGA -TDPATLKVAQDRVAHLRFLGSRGDRIINGWQADNIPRGLRFSAFVRQAMRNHDYREIAFRLWSRLFLVDE -FSALREWNGTLDLPYADMRLENPNGGTPIALTFINAEAPAANPPVNPEQPMWEDIAQAGLRAGSKQFVDA -SDLSEQEVIELLSAMVPTEPGQSVRLRAADPPRVNGALPQEGPCNELDFVHPLQRYSFPNGVDEVFIHTG -ANAKFDLPAQQRIRASVCGVPSASAIITLLRVLSVRHNASDHVDFGLELAMARNSIFSMRDFLSRRDNCP -RHSYTHSDLNNEIHLPRMYTAGAYVDAFLTPGTVSAQTDLALELKPCEVVNNAALIGFFRTTAINWAAYS -LSAYGRVWNRRPSNEPDRRISSFMSVLTRTFSFDKITAWSTVHNNALAYQYGFGLSPSVRSTEAGWLLDA -HRDWVTPYVHNHYLELWAMKFIPTFQVLPYFDPEGSSSHVGWPSSLPNPIPAYESFNDQVRLGRDMPPFL -KQAWKQDGGFNRNAQFFAATGLNGRYRHEGAAINVSLMRWSGDRIDQIPAPAGAQPVQWLAPAGSNFSDF -ILPGSVVNYNHSRNRSYSFGFRNNAQVDGETHRRWFDAAKQEPHVSLMVNYIHPTKDSRQLDNLLDYSTI -ILEQGNNYTGMVVVPHHLPDYEIDSELNPARLLDFGGRGEAPPSAEPVALDKPTNLRVAAARTGAPVARF -HSRPRPPPVNRSSDPDLTQGQALDISYSPNNPELVSDLPTLGRYEAQVTDDGIQIPQRPSAKCEPSEYMA -GANSGYDAQLEADRNRELDEQFREFLRQSTNQLNEFRQARVARTTPPQRQSSSWVPRSPGPRPIRHHSTE -SQVVRNRRSKMPQHRPVYGTFPTPPPSEPVVSNDVDARHTQSMWLKHGDYNTPNPLASDAIAHGNHQPGS -KPTPVVRLPPVRPESQARGGYHTTAQGRTNHDPPTGMTPVVPKHERDLHYSGPTPTHQLPPIEEINETVN -SSGVPPQDGFSHESDLRSRVFETDGVNSDPNYVFQSEN - ->YP_009253996.1 hypothetical protein [Fusarium poae dsRNA virus 3] -MLNTYASVRAVNSTAYASTCASGAASNVTGGTANEAVGHVDSHSHSYAELVPSARDFSSPPSASSMKRTP -LSALFRSFWRWWFLYISSFFSALSSFFAISLLRCFDLPGFFLMHDLSCSLKWERVTPTLLTRNFAFGSSH -HDLLAERTTCIPLALYDFNIISFSQALRLKHVTSFAQLPRPIKESLARSRHSRLLFRLYPGHIESSLRPI -LNFNYRLVTLRQMLTTTSTILATPYTQKEATPSMELTSPTLPMLGGVRSVLTQLATSYRAPNLNDRWHPT -LFPRQATDVRNVYEFSHRRINVVRRHNYIRSFPANHPNARQPEHFEDRSLTAILAREAPRAAHAHSVPTG -FNRNWMRSPITDRILEQTHAGLANILDGSDYTRASFQAAEQAVGMNRFLDTRGNKTVPRWEADAWNRWSG -LTNLYQIGRADRSYYQIAYRLLSRYYAALVAQEFPDFQLAPVAIGTGVALTPLSTQLPPVPFGAPAPQVQ -NPEAPLFAPGAFEGLKNGTKQFIDAEGLSESEVIELLSAIVPQGRQQRLQFSKVNDQGQREEYFCGPTRY -TYDNRVNEVFIHYGNNPIPLNMAQLAAQVHRTPQPNQILSVLRYLLMRHGAGTDIDDAAELLISRIALYS -TSSGLRGLRNNAPNQEYINADGHYELHLPLSKTASAYFDCFFVPCLDSGTLGYFSSFSAPELINQGVLFG -NARVVALNWAATAWSMVGRSWTNLPGTENNPFIRNHIDVWLRRYSSDILNLWSSCHNNTLALQYGFGVDS -RVRATEAGRVVNWWSDHQAPYLANPYHELWLAEKLPSHQTLPYDDSNAPSTVSWPSQTPFPISDMYSFSQ -NTRVELARDVPIETARSWMVDGGPTVNAQHYLAVGRPTGYRFEGAANTPNVSLARWRQRHPYQFPQAPAN -QNVVWMDAGGSPFADFALPGSIPTVNLEQNVAYTHGLQLANDCPQADRTYLSQLWFDTARQTPRRSLMIN -YVSPFPDRREFSTLQDYSTVVWEKGNTYAGMSLIPVDFAPTSIADYHPNPQLPMPNVTRPSAADVSDVTK -GRVARVRNTHKPRVRSNVVVDRLREYHQELSEPEQRISGALSYEPKYPVEQSEVPPMQNYTAHVNGPEVS -ITDADTGAFTRLQKREMLQALQTNMALMAKLQASPLLASPDSEELVQDSAARRASYEAQQNRIAQRLAQA -KATTSPKPRVKLPPPPARPAHSSPPTSPAQPVKTVQVVGQLNEDILRHKPVEVNDAAAPEVQIQAQKFAK -PLDRASGLGSLPSGTYAKGKVSVMSPEGSHDQGPDVVLPAPPPAQTFAPDDRDSLNAAPADGLAVSSLAE -N - ->YP_009115497.1 hypothetical protein [Botrytis cinerea RNA virus 1] -MMSSYGIKTVNQTATVAVDASAENGAQINRGGNLDSSFSAGVNYSGISSSASKADLLVHARHSKNERFSF -VFLSSALQRVYTAMLAFFTSFVALFEREIYSVFLSPYLLILRSNIAQQTVSPALYNFPSRHAYSMLSYRW -SCIPLLLYSYGFLSFSQAEHFRNVQKFSDLPLSLRMSILRHRRSAILVRVSFQHVENLLAAPTTGPYELI -SLRILLNSTADYLATPYSTAESKPTMEFSTPSVPALGGARAVLTQLPTSARAAGLSKKWSPHMYPTQAVD -QRVVFDVTARGIKSLRENNFVRSFPENLPQPRQPTHFSELLTSILAGRLSTSTASAANTVPTQFNRSWQR -QPIVDRTLAPQHAGLASVLEGIDYSKQSLAAAEQAVSINRFLDTRGNRIIPRWEADQWNRWSGITSLYSL -GQSDRSYLQIAYRLLSRYYFSLLAEELSELTPLVTTAGTATTLTGLTTLLAPPTPPIPGQPIPLPVNPEA -ALFTQAAFSGLKTGTAQLVDAEGLSEDELIELISAIVPLESANIPLLSTAADPAETFFAGPTRYTYDNTV -NEVFIHFGNNAIPDLAQIATQVHRVPQGAQILSVLRYLAMRHGAAQDIDDALEILMARIVLYTNSTQLRG -LRNNAPVGEYINSDGHYEMHLPLAKTGSAYFDSFMVPHAMTGDLPAFASLPARSVINNGVLFAQARAAAL -NWAAAAWSMSGRSWVNSPGRENNSYVRNHIDVFLRKYSSDILNLWTSNHNNALAFHVGWSLSATARSTEA -NVVVNWWNDYHSPTLTNAYLELWQVECLPSHQVLPWDDRETPSTVSWPSNTPFPVHDAYSFSSHLHVQVA -RDTPAEVGRTFMGDGGAIANAQHFAAVGTIGGFRYEDAANAPKISIARWRQRHAFQFPVAPASQAPVWMA -EPGSPFADFLSPGSMNSHNVEANVAYSYGLTTADDITALDRRYTAQLWFDAARQVPKRSLMVNYVSPFPD -RREFSSLQDYSIIVWEKENAYAGMSLVPVDFSPVSIADYKPAANLTFPSLTRPSAVDTSDIAKNPRVASS -KRAPRAAASDILARLKEFNSPPPPPPSPEPKHREPAAELSYEAKYPIEEAQVPSDFRFYQPVVEEDRVHV -EPADAPDAYDRLSKQQLKLAIENNMQLLAKIQNSPILGELDLDAERKRREDFQKVESARNERIQRRASFV -PFGPTRPRPVLTPRAPAVKRPQVHGKLPPTELRHAPVEINDAAAEQVQRQISQLGRPASPRSTTDPFGAL -KADKAVPGTYYQKSTEHVDYDSLGKEQLPQENFGHTGADVSVQDGIATDSLAKN - ->YP_006331064.1 hypothetical protein [Sclerotinia sclerotiorum dsRNA mycovirus-L] -MSSYGITKVNKTATVAVDASALNGAQINRGCNLDSYTTSFASKADLSAFEPALLDKNEQFSFVISFLTYL -QNTKNILSYFAARFECYISSIFLTPYILVSHSNLAQQTVSPALYSFPQRMAYDLLGYRWNCIPLLLYSYG -FISLAQAERFRNIRKFSDLPLSLRASILRSRRSAILVRVFPKHVESLLAPNTSNAHCLSISLRELLNSPT -EYLSTPYSTAEPNPTMEFSTPSVPALGGARAVLTQLPTSARAAGLNKKWAPTLYPTQAVDQRVVFETTAR -TIKTLRESNYVRSYPENLPQPRQPEHFSELLGSIVAARLTNSQAAGAHSVPASFNRSWQRHPIIDRTLSP -QHAGLASVLEGVDYSKQALASAEQAISVNRFLDTRGNRIVPRWEADQWNRWSGITSLYTLGQSDRNYFQI -CYRLLARYYFSLLSEKLPGMTPVVNRQGTQAALTGLTTLLAPPATPVPGQPIPLPVNPEAALFTQAAFSG -LKTGTKQFVDAEGLSEDELVELLSAIVPVEHANIPLLRTAAPHNEDYFVGPTRYTYANGVDEVLIHFGNN -AVPDLAQIGALIHRAPQGAKILSVLRYLAMRHGAADDIDNALETLISRIVMYTNSTQLRGLRTNAPTGVY -INSDGHYELHLPIAKTAPAYFDSFMVPHAQTGDLPAFASLPARSVINNGVLFAQARAAALNWAGAAWSMA -GRSWVNTPGTENNSYVRNHIDVFLRKYSSDILNLWTSNHNNAMAFHVGWSLSAVARSTEANVVVNWWADY -HVPTLTNAYLELWQVENLPSHQVLPWEDKETPSTVSWPSNTPFPIHDAYSFAAHLHVQVARDTPAEVGRT -FMGDGGSTANAQHFAAIGTLNGYRFESAANTPAISLARWRQRHAYQFPVAPATQAPVWLAEPGTPFADFL -SPGSLNSHNVEANVSYSYGVTVNPNVSALDRRFTSQLWFDAARQVPKRSLMINYVSPFPDRREFASLQDY -SIIVWEKENAYAGMSLVPVDFSPVSMADYKPASNLTFPSLTRPSAVDVSDVARNPRVASSKRAPRAAASD -ILNRFKEFNTPPSPEPAPTQHVNDTPAAQLSYEAKYPVEEAQVPADFKYYQPVVAEDRVYVEPADAPDAY -DRLSKQQLKLAIENNMQLLAKIQNSPILGELDLDAERKRQDEFRQLEAARNARIQRRSSYVPFGPTRPRP -VLSPRSTPNKPPLVHGKLSENEMKHAPVEVNDAAAERVQREVRSLGRPVPPLTTTDPFATLAGDKRRPGT -YYQKNETPLNFDDLVKEQLPATNFGHTGADVNVQDGIATDSLAKN - ->YP_003288788.1 hypothetical protein [Fusarium graminearum dsRNA mycovirus-3] -MAYSKYQGRHASEEFSAPRTAPVQQSSIGKDGNLDNQASHLNDSAVPSRPAFSDKLSASFSWCSFPFSFV -VLRFLFFLSFTVSSFFSFRLRYFISFVTCASPLSSRNSRPRRASALAFGRSDSINFLAHYTTCIPLLLTS -YGLISPSQALHLSYVTKFTDLPKSLRDGILRDSRSHLLVRVYNRHVQSLLAQPLKGKYELAPLRCLLTSA -QEFLTTPYTEAELKVSSAYSSPAIPALGGIRGVLTQLVTGSKATGISNRWHPGHYPAKAISQRLNYRQVG -TEIYTERPNDYIRSFPDHISPPRLPEHFSDSLKSVIAGRTRDGNSPAAQAVPVDFTRNWAPRPLNDRVLS -ARAEGLSGILQGIDYSKSSLRNADEALSINRYLDTRGAKIVPRWEGDQWNRWSGITSLFTVAARDRNYLS -IAYRLLARHYYATLVADNDWFTPTVMDESTPITLTPLTAEIPPLQLPIPGQPPQVVGNPEAPLFTAAAFN -GLRNGTKQFIDAEGLSLEELRELLAAIVPCDEANIPHVRYSRPADLVQEIKPGSSAYHRYFFGPTRYKYL -NGVDEVFIHFGNNPAPTPAEMADLSAHVHAPPSAPAIMSVLRYLIMRHGASEDVDTAMELLIARIVMYHP -NVGLRGLRNNVPQDRYINADGHYSFHLPRAKTASAYFDAQFLPADDTTRLNEFLSFSSRELVNSGVLFAQ -ARATALNWATAAYSMYGRQWTNSPGTETNTYVKMHVDTWLRKYAAEPLNVWASAHNNALAFLVDWALSSK -ALATEANVVVNWWSDFQAPYLTNPYHELWQCNMLPSHQLLPYHDVDNPATITWPDNCPLPISDAHSFANH -LDVELAKDFPAEAGRYWMGDGGSTANAQFFAATGLSSGFRFQGHHNAALALLRWRNRSLSQLPQAPAAQE -PSWMGDLSTPFSDFLLPGSIATANISQNTAYSHAITAKAGTSQADQNHLARLWFNTARQLPRSSLAISYV -SPYPERRELRTLQEYSIVVWENGQNAFSGMSLMPANFSVQHMDAFLPSKDLKLPAFSRPVAADISDSSKS -ARVTRSTPQPRARAANVLEKINAFRRADPVNRSPDPDGIEGVTASLSHEAKYPVEMSQLPPNMNNFSTKV -EDGRISVETSPSHEASLAALRDELKRSAAANAALLERIERSQLVPDFSLEHMRTQRPVEAVASYPNRGLT -RSPPRPQRHTPRSPPRPEGNRNHLRPASPSQPQAAHCLLFPVAQVPTPDPNAHFGVPLRAHLQPEFLAQK -PVLPNDSAAYEVQRRVPKYGVPSFPAHDPFKELSEYDPSAEHPQGLEVSRGHTQGQASTSELRLTGSSSR -TNDLPPGTGTRITTPYGNNTTDQNAVAQDGLALTELAKN - diff --git a/seq/clusters_seq/cluster_544 b/seq/clusters_seq/cluster_544 deleted file mode 100644 index 482b84d..0000000 --- a/seq/clusters_seq/cluster_544 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009552722.1 nucleic acid-binding protein [Blackberry virus A] -MESYLLGESKSAAKRRARRWGVCYCHGRLERANKQTNAQVEVERALRGPATRYQSKVGQCYVTNAIQHCL -DDMHKRGDHTFDNKFKINGPAYGSKSPEETPWAYNWTNT - ->YP_009552543.1 nucleic acid binding protein [Grapevine virus G] -MNRLGESKSAAKRRAKRYGVCYNCAKSVCVCVRNNCSPFDRTDWKCYNAIRLPATRYLIESRGSYLHKAS -QLALDDLEAIGIKGYEKYIRYNKEQKGCRSPEETPEFYSFNNERGHA - ->YP_009551950.1 RNA-binding protein [Grapevine virus G] -MQRLGESKSAAKRRAKRYGVCYKCAKSVCVCEKNRASSFDRTDWKCYNAIRMPATRYLIESRGTYLHKAS -QLALSDLEAIGVLGYEKYVRYNKSTRGCKSPEETPEITISINKEGTCLAFPLSV - ->YP_009465949.1 nucleic acid binding protein [Grapevine virus I] -MSGLLNSRFLGESRSAAKRRAKRYGRCFCCGRLDCNNNSRTTISQDQVKLAIRTPATRFLTENGGQYVNA -AIQLALDEAEYRLGVTEYAQLFKYNKAPMGMRSPEETPEFYTFSEPDFDAG - ->YP_009373232.1 putative nucleic acid-binding protein [Agave tequilana leaf virus] -MNKSSNNPWLLGESRSAAKRRAKRWGVCFCHGRVECANKQTNSQADVRAALMEPATRWLSKTGTRYVTNA -IQHCLDDMEARGDYSFHKNFKSGNEPNGGARSPEETPWAYNWNQCLEPVRDGKESP - ->YP_009352886.1 putative nucleic-acid binding protein [Arracacha virus V] -MGEPFLGNSKSSAKRRARRHDRCLKCGAISHLSKCKQLPSASQMECQSYLVSGPNRFKTERPHWSNVDWL -CLRDAQAAGVEYHREWLLNKHSGNGLKIKSPEETPEYYNY - ->YP_002117779.1 putative nucleic acid binding protein [Grapevine virus E] -MDNKYLGESRSAAKRRAKRYGRCYCCGRIECNGDVRTTKSQDQVKQAIRTPAIRFLTENEGNYINAAMNL -ALTDMVKLGKSEYPFNFKYNKAPFGMRSPEETPEYYNW - ->NP_619658.1 putative RNA binding protein [Grapevine virus B] -MSKYLGESRSAAKRRATRYGRCYCCGRVECNKGERTTRSQDEVKECIRTPAIRFLTENGGRYVTNAISLA -LGDMERIGKPEYQSLFRQNKAPAGMRSPEETPEFYNWGTDFNAGSSVFADRPY - diff --git a/seq/clusters_seq/cluster_545 b/seq/clusters_seq/cluster_545 deleted file mode 100644 index c0c0326..0000000 --- a/seq/clusters_seq/cluster_545 +++ /dev/null @@ -1,257 +0,0 @@ ->YP_009337367.1 hypothetical protein 2 [Hubei picorna-like virus 66] -MDFTLPLMKIVGPKSTVTLLLLLQAACLYFNTHNTLVFGSLWCIYFSYLYTVYFGEWMLKHIDNLFDKIV -SRFRGAIVESATIVRDQLQETSVSLSGGTTLSGIMNNFAKHKVGVTLCAKTAITATTPGQVIEETVKTAS -MLGLEHSVINAALGKLSALSSEVITGALNGNLNEHGIEDIENLIPLASAVAAMADHEFTDFQLNGKMDKI -AKNIKNAETIVQTFRKCAETAGVLKPKNWGLLEELSTITTGLRDDFEWMVTLLATQGAEFTKAPVYDRVR -KFKEKVKLARTRFKQVDIPSIRNNQIVTECNSVLSKCEDYFNQIEIIRANSGSRVVPVGICIKGDSHIGK -STLVPLLCEKVKARLHKDRAIVGDSTMWSRWDANQREEFDSGYCGQEIVYMDDAFQDKTNKDHLMWYTYI -SPTCVGTLQGIAEQKGLPFRAVMCLTTCNSFPTTSVAVSCITALHNRFPITITATKREGISIKKTYDPQF -SHLKFNVNTMVEAVAGKEGDEMTLDQVADMIAARIVNEHIVHMDHVKAIRNIPVIENGEEDGEEIDELSD -LWSFIDSKANVLETIREEGDVDEEPVVFDNTDETEVAIAAFIGEEYTRLNAVTQSFVVDEADWITMGDKA -IEALQTLLRAEENYHSIEHVGPWTDWLERKEGDRMVEFDPSLYRQEDGLFSFLNSLGSWQIKSDATKIFY -EEFFKQPPLSVEGAFGGQYIWAPYLSGGKSLILLDPDSRQIVLEKLLPWWRKHRTCKLRAKALVLKLYNH -PFGRSFFRHMALIPTYSLLPHFPLMHQAHITSLFGYAWGRVPFVFRLEGYSRWRLLGLNTMHVINWPVLV -MSRGFDYLDQIVRRLTSGLRGIVIKCLEYLGINVTEFWREIADLSVRLVNDSITAVLASVIVYLLWKLFN -VLFRKNPPLKEHSYGGHAQKAKAERNRKYQQRKKLKLRSLTAHGEEEALVCEDECPEEDLCVEKDGEVWH -KIGKCNQKDSIYDPMFLTFIVEQSEYFDEGAAYKADVVCLQNTHCSIRLSEADDFTVEETKFVKAFKRSD -VQNSKEVLIFEMEMDICDNDYESKYKQILANFKEKKICDWRAEVFVRIVDKVMLKIKLECLTTTIQGSPG -RFVHNNLKDLKEIAEDLKGIKARSDVTFEQVLTVHGQEDAIELVNFITDNHQVWMSRVPLEELDSERYGK -STHGLGHKDYIIFNAHNYVMGDIVRFWRFKNKGERLYNVCKVVYLNKVRDVGIAKIMSQKELKDHLISKQ -VFMPVVNVSSVKEVFRSLETKVTTGEIWKELTSDQTCLSFLPMSKGFSKGRVNVLGVRSYLINEEQQSRE -YLEVSQLGITNDLARPGDCGGVIVSCNDRKTNKIIGFHAGAFGRNWVAAILTREDLAIVEQHGNEDGWLK -LVVEGEPTDLPKGPCVKFIGKYKTTTRPTNPQSINRWKAAPWAEQFEEQLQPAPLDADDERILVELPTNM -KGKKSLLLDKNSKMCEQLPMMDSSILKTCIDHISKEMALKIGHIHKVGDDLDKVIEIGLNGHPDNQHVKA -IDDRKSCGEPWCQLNNCLKKSDFLDNNDGYLTLKKDMAGSCLETRIKRKLLGAQKSERNMSFYVSKLKDE -LLKISKVQSGGTRVFANVPIDKIICDAALFGNFKEAYCRNFINLNHAVGVNPHGLGWKLIKQHLDKHPNC -FDLDFGNFDKRLSETLLHGAFEIIRRVIQIRAPDNWDEARRILENESINSYMIDYDTVYMTERGNKSGEY -LTTVINCICNDVLSYYSWICTVGIEDLAVFRDNVSIITFGDDKCESVSDRYASQYNYFTVKEVMKLIGHE -ITPGNKDGVERDFCGIDQLQFLKRTFVDRDDMVVAPLLQRSIESPFVWTQTPSSDHTVWKNLIEASLYEA -QLHGEEYYNEFLEKMRRCDDDDLLSHVSTVLCNTFEEIKQKYSDVWHGNNVHLDE - ->YP_009337739.1 hypothetical protein 1 [Hubei odonate virus 7] -MSSRYPNPSSLPLTFDASHLFYLPSGDIDKARCLEEWSYLLPKMKKIDPENASLFLFILSRFVGLDSEGK -IISYRNVIIPQCNDPTCRDFHTSNLSMHRFAAATHCHRIVGTTSIDNYFGGLHPELPDVIRQLMVIGRSS -YTAPPLDILSKTIYRKTNKGFSAGQIKPKEELRVQPKEKVVVAPKTSEPKKPVSEETEKNPKPEKESQVQ -SKQPVPPFRGLESRKLFPSKPDRPKPLPRTKVPIRDVPIPALRNLPVYTNDEMLAAEGLLELSRAEAINP -VPEFRFLDLSGVKPKEVMRINNILKTSKCGTLESVLSLKRARVIISDKKEKSTSPVRKIAKTIILPSPGP -SKVNQKGKKDSRRALNHREFKDTPSGVPVPLERKSNRVYKIVKDDSPKDPVKRKPQIIKKNEVVCKIPLS -LIKEVPVTDGFTPAEFRKLRRAKLEELRLQRQKIRLEKERKQEEEKLKKKEENARKAFFYALRLQGRLRK -LIKKTGQSNKDLLKQCPDVEKNPGPVVKDVLNWRNYSQETTASLSTGLLAFLYNLNSDDDVPTTHLIKTS -VLAATTVGCLTYGTCKYINQNLSDLQDKMEGNFYRMGEKIRAGLFGEEKSSWERLIKNASTGSVGLAACC -LSLIQAHGMVDILVEIPKIASILQLDKTILENLWSRINNQSRPQSLNPSNMINVAAILATLLGLDFTKKF -EKLGRVRTGVNTAVGDITTLLTECGLIQDSDAELFNEYHGKLENIRIAFDFYTRLYASSPAEILDPRMSK -TWERLRGEIDELLNLCERTGISKIKHSGMAAALQKLRSDVNKLDANFTQVRFGNNIRPVTLGVALGGKSQ -IGKTHFMRHLVEQIKNRLYEESQRHPDLYAFRDAKQWTCWSENVRDDYEQGYVGQQICTTDDAFALKDNT -DHPVWLNRFTGDTCLTKQADLVDKGRPYRARVHFVSYNVFPSSSQTIKNLNALHERFPIHVWCSTKLGKE -PPRGSTHFDSSFDWLDLHVDRMATTCAKPESGCDVKVSLMRDEDDKPIPTLNHDSSAQYLEPNEVLEKIV -AELVIRERAYQSTRAQYGLPAYDPTHGIELPDVPLFEDINQVRMTPQEITMCRIQLVPENEELLDTFSHI -FREVARNDEWEDIFIQRISLYLEHHRRDLPQLPLIRIQQFRENWETVLECDLRNEYLPSNFQETPESFIR -RIENVLRLVFQQSKFKFGRKIKVARRAIEKLWLVPCGSYLRALGGAEPVCSIDDPRIAWIGTLKDQGGIL -LRDYLNLAPNRTILSYIRLLSYAADTEDNQRIVNHIWSTNEPLLRININDEEKSFIWGPILDGCKKIIQC -PFFWTDAERSQETEYWDNFVSYFSSVNFEIKSSLISWMPFPISLANCLYYYPSSIRKCNKPAPWSLYYTG -TKNPYLPYYCYGNRWGEFAGLILDVMRAPSNLLQRFWYYFSKITVFFSDPIQRAIYAVCSWFGYDPSDWF -KKIITGTMDVITSGGIILLLVAMTFLMYKYLSSTSKAEPENYNPVRAQRPQRAKLVESLKSQVAIVEANS -ELSNLDLDNIIKSFQEQFDYLLDGDDVASIDINFSSLDDEYKIDVYSEPLNPVPRVRFIKLNREEKCVRL -EVTAPITKRKELCEKVEEIAQQLGVKDWEMYLSFDEENLFLSIAFTTTTLEDGSTGPITRKKIAQVRGLF -ESFKAGSDSFMPVSSLIGGSLSTIENVPTTSRVKTSVENKKQTPVNNAESSDDVAVNVSVSVTTNHCVRL -VRMSPFKTDISDNWETYQGASAHGLIEGQLIWGCSHYGFKGDYFRVYPRGRSYGRVSPTTQFLVAKLEFE -DTKTETCFLKIIQYPEWCDLLRSWNLPLPIHMHQPHFEGPTGVYAKLITNDNLELIRDGGECLEVLPQSG -VTDHARFKYMCRDMTYSDYRGISSYTVKDQEFFECRGISVPKTLSRPGDCGGVVVVLNKRLSNKIIGIHN -SSNNSAGAASWMTKEQWNRIVSKINVQKVESTYGMADNDPFLSLIQKGDCVECPPGGLPLGVVNPPCPPA -GFGRENKWFKSHFYGAFGAQRFPTVLDVRDQRIKEPLVLNNDGLPSLTYKTLSNFCKPSPSLNLSIEMHI -THQLTRYWTSRFINDDLSVSNDVEELLERGINPRSDWRFLSGLKVDSSPGYIWTALGFKKKSDIIDVDPS -TGVRTWKPEGEIVKERVRYTLQRANEGKRTIAFVTAKLKDELTTKKKVETGNTRVFLVVPCESIITSLCL -FGPYREAYLRKRFTMFHAVGMNVHSVDAHLLLKHLSVFNNFVAWDYHKFDSSQHPNLRRLMNHVKSCIYE -KQCNDKWNLARKVVMDRKITSQILVDSSVFQVEKGEKSGEDTTTEDNNEINLAIVYYCFCDLYGERNNSY -PEFEYFLDNVRLVTFGDDAIMSVSDRVVDWFNFVTIHKTMERIGMEITPTNKESEIIDPVIPLSEVSFLK -RKFSTLSGRTVMPLEKASIEGTFGYTTNTISEVEIWKQILKTQLQEACLHGEEYYLWFVSRLESCLFTNR -SIPDDHREEYAFILNKGYKNEVDQYLIQYEKNKNLL - ->YP_009337218.1 hypothetical protein 2 [Hubei odonate virus 6] -MLVYLIAFILFFLFDGKISSFLEGYMLNKIRLVVVIGPFIYGYFQLSRRMKVHSAINALIPSDPFADMYY -YAFCTLVLYVLYTFVKMYIRNKFEEVVLLPYWTIQRKFTQLKSWVSDSINARVERSKQQKASSVIENVTN -SLASNLLGISACVYSLTRARDWKDVASEAVKLFHLVKFTKDISPSTVVEKLVTTTANGKLPEGVEQSLGL -IEQHLTNDIKDCEQQVSLNHAIALSGAALAVTGKEFKFQTDFEPMLHRTAFNIKNMNTVWTEIKGLARSL -GLLQGHGYSLLLEISGELSDMKTDLMWIKTTMMSNASELLTVSGRNKIQSLKLRNEEVLKRIALVTDKEI -KSAPLYSDCLALQRELSTYVEKADRIKAISNYRAKPVGVCIKGTEQIGKTTLVPWLTKQVGKKLEELSSE -FQGAADWYTWGMSPRDEFDSGYFGQEITYSDDAFQDKTNKDHLKWYSFISSTAVGTNQADLKDKDLPYRS -RLVVVSCNQYPTTSLTVHHLVSLWERFPITLEVVCKPGQGPPRDGNFSTTFDWLEFYMDTMKGWISEGTR -SETRKVTINQVIDRIARQILYEEKFFQSTQSMLRSVETGVQQADEIDPVNLNEQEKRLISGPQRSLLNAL -LVSDNTEEDQDQPPKVMLETLLKSIAEEAKDKEIALTTIKSKKLDNLVVRFKTTELYRQLYEASLNHFAP -TPIKFGTWAACRDLEIYQVSNITMHGAMLVLSEHDYQDRAMQRTNEPFKIYIVNGKKVFWSPLINYGKSL -IVITPALEAYLARRGSVPSRFDDLTYLLNDEMVGEFLAQLTVLQSVYRFVAVDNVDYIYSMFKYDYAEDS -SRGVMMLKKMITSESTSELTIPQTGLWKNLEAANEKMVTSGLGTLFSVLEFCGLPMNEHWKASLVSKSIL -VSGPAIGMITGALLYLLYKAIKIKVGEQQSYGEKHPKIKLQSKLSKLKAKPVEQQAIDSVGDMEFLDRLE -KPSVPVLADTLTEFIPKGKGALSVCTFLKWMDGVRQAPNGATLYDFDSEVITPNIKNYFQGRKVQIRRGK -VHCGGNNEKVSIQVDVKVCCSQDNIEEEILRFVEYIFHAIPHEEYTLDISMHVHSNFVYLYLQHEALNAF -ERGVSREAITRRNYSDIRNRLLHKLELGDFYVDQDTYIKAVIARDTALAAEQHSVSSNIDFLKSMHISHA -CSVHVCDINLLDTSSYVASSYAVGHEDYVIFNNHLLLAICNNSLQVVKVRRVNTSDTGYHIAKVIFSDNN -RDIAIAKLLSPSDAQMVTEKINGSPVQLRGLSPGLLRFPNIKQKLLTQEQIALICNGITTLHYLPKTDTF -VTGHTVGRNSSPIRMGDIIENRDLCEVIVAGDSVLSQNISQKGDCGGLVVVAEGKQQQKIIGFHSLILKG -EDKWCIAFVTKNDLDMIETNHGMAVQQFEMSNKDPFINFINYGEPATEMPVGPCVKPVGKYVVKTTAATN -VDLNHWRPSPFFNQFEEQLQPGPLSPFDERINVDYLAKNVSGKPSLLATAQQDIAKEITEPDSDLLAWIE -EQLTKEYQLKLSGLLKPVPNDIDEVLTLALNGHPSNKYVTGIEVNAAAGLPWSHKGFHKKCDLIDVDEET -GHRSFKPVGQELKNRLTEKLTKGRQGIRLLSFINSKLKDTCIKRDYVEIGKTRVFECVPIDVILFESALY -GNFKEAYTSAGLELHHAVGINPHSMDWTELARHLLKHPNHFDADYKNYDKVLHKTVLKTISNLKRKVIQK -LCPDSWDKAREVLNEELTEAYMVVLDSVFQTERGNKSGRFETTVDNCIANDIYGIYTWCKVTGIRDLSLF -RDNVAEVSFGDDKCSAVSDAFADQYNFITYKRELEKLGHTITDGTKSSEENKFTTFDKLIFLKRNFVQRD -QVFVAPILKRSLEAPFVWTTLLPSQHAIWYELIRAQMAEAYLHGEQYYNSFMNCLSNCEDKDLKKHIVNL -LLRSYEGEECYYWKQYYGFVSRSVGARHDAC - ->YP_009047190.1 VP2 [Drosophila immigrans Nora virus] -MIFESFINTLLESFGVMTPFHELKRNPIIYIIVFILLWVLSTGIAFTNQYAFQHTVGANILKNTGIEELF -NPFHIFIKFGLYISVAYYVIMYIKKNVHIVWNKFEIIRNFMANPTGTTGRRGVLGRCFEQVVEYPIVFSG -LVYELQQVDSRAALLRKIAMLSNALKLPLGIWESTVGSFLNRPAIQGAEEMLEEVLPIVAMGLTATKTEI -GDISVENFIVNCDRNQRACENIIKRIQPILIKAGIMKDSSYETILSIAKEVNELSTEETWMKTTLKINPN -EFLQTEGSVRVADLRVKVTNLRNKLNTLQSKELRSDKVVTECQKHLASLEVLLIEAAVLEKANQNRVKPV -GVAIQGEKQIGKTNLVQILTKKVCEYVKSNGGVAFRQAPKWTTWSRQCRDEFDTGYTGQEITYVDDAFQQ -KDNKDHLMWFTFISNTAVGTNQADLKQKGLPYRSKLVFTTCNKLPDKSVTIEDIEALHARFPHTISMVRN -KNKMPHKGAIDESYKWVDFYYGPMSRAVAATGSNSTSTMRKVTLDDVVKFIGDDLIIQDRFYNSIIQKQQ -GIEGQEESLEINYVEEEPVDAYMAQADPLLYKFQAVITNSLGMDMAGASTQEVVQFIKDNLLSFRAWNLI -SSMQKPQVKKFDEWLDEYMSNSVEGVLDSTSLLTQEKFKTTSLKGLKLCAAKELLSLNKYVELDGVPMAS -EKEYKQVLDDVQEFIQYELDIFDTDIVDVALAKILLSQLKTIQRTRRESTWMDVGDWIFALKNKITGQEF -LEYIEQYPMTLEQFLCDLDSWQVAKPDLFKCIYRQKVICLKIQNNLYIWSPLLKRGTRFAKFDCELMEML -MEAGNQVVWETHRYVGPVINTPIGPIRGRELYERTDHRLQNGFPILQKVHSKLIELIDQSVYRQNTLFSH -QKIEILWYALKLRQPSEDLQLRAEKERLQQGIPKFGTVCKTVSDVIARDVEERYSTFKNYYNRLTKDKMH -QVLSVLSRMGVPINDYWNDLLTDNAPAITASIVGIITGLLIIVIVKTFQYGIAGEEQSKGEKRAKQKKIA -TTKMQKLRFNRGQEQAEGDVIKKVEKASAETDSEVIEDLCDYISDYQNIGVFGMNLIQNNTKASLYSVFE -ETYDFSFTTPQKPEWKKVVSNREDGKRTIEFDIRGEGTVDVALDEIEHLIKVSKTYPGGEWIFEAYLARD -GDIVSYHVVLILLNALTQAGLVEWTRAETKNLKNVEIQLNRGSPCDLKAVILGQPQASTQAHDTMEAITN -KNFVKVSCLPMESINLLALKGLQVYAIASEKTLILPAHAVREYKWIRFNRIGSTTHFGVAKVDERKVSYQ -RDIAVANILTRGEAEEIMSKTQRIALTNICNEKFVFPSVAKYLLTNEQSEVEWLDCVTLHYFAKNRTFGL -GRTKTYQVDEYECGTEYISKKLVACVQGLQSQVELSQKGDCGSPIVLSTGKRAGKLIGFHSYYSKQKQTW -YGSVLTLEDLGIINGQEQHFDDPWNKLIVPGLPTDLPNGPEVSYIGNLVRPSLPVTNSSLDHWHKSPFAD -QFEEQLAPGRLDPYDSYIESELPKNREGRKSLVLGPNSEMAKTLPELDQALIDWCVDELVTEQAALFKNQ -ALLTKVSDDIEEAIDYALNGLPDNSYVRGMEINKAAGLPWSFTAPKKSDYIEIEEITGRRSFKNDVLGTA -LKQRVVLKLQQAKMGNRVLSFSSSKLKDQPIKIAQAKSGRTRVFHCIPVDLIIFTGALYGPYKEAYTKAG -LASYHAVGIDPKSVGWMELAAYMTKHPNYFDADYKNYDKYLHRQIFKAVRKIQRTVIQRVQPDKWDTARA -CEELDAIDTYVVDFQTVYKTNRGNKSGSYTTTIDNCLANDLYGLYAWVKTTGMKSLWEYRQNVSSVAFGD -DIIKSVSDTYKDKYNYCTYRDVLNATGHIMTPGSKDGEEKPFTAFENLQFLKRGFKLENGMALAPLLQRS -IEGPFVWTDIREDQVTVWVNLIQEQMIEAALWGEEYYTEFCNKLKCGTNRVLNQTLAVLLNTSWEITFQK -FCNRYYGSKARDI - ->YP_009047186.1 VP2 [Drosophila subobscura Nora virus] -MNFESFINSLLESVGIMTPFHELRAKPWVLLLIAVPLWFIAKAGCVAKELVFRMTIAENVLRDTGVESLF -NPLGVMVKYFLYFSILYAFVKYIQNNMNIVTDKVEIIRQFVVNPTGTTGRRGVLGRCMEQILEYPAFFLT -MIYELQQVKSKTDLVSKITMISSILKLPQGIWESTVSKMFDRPAIEGTEEMLDDVLPMVAMGLTITKTEI -GDVSVENFLVNVDRNQRACENIIRRMQPLMIKFGMIKDNAYDTILQIAKEVNELSESETWMKTVLKLNPN -EFLQTNGSIRVSEIREKVTMLRNKLNTLQTKELRSDKVVTECQKHLASLEILLIEVKVLEVGNQTRVKPV -GVTLQGEKQIGKSNLVAILSRKVCEYVRTKGGITFRNAEKWTTWSRQCRDEFDTGYTGQEITYVDDAFQQ -KDNKDHLMWFTFISNTAVGTNQADLKQKGLPYRSKLVFTTCNKLPDKSITIEEIEALHARFPHTICMRRN -KNKMPKKGAISEDYNWVDFYYGPMHKAVAATGSNSTSTLKKMTMKEIVEIIGDDLIIQDNFYNSTIKQQA -IEGEEQMVPAFQQFRNEFPEFDEAYQAPSRPYTVDSEMKMIRDVLDEETMYNGSIDPLVTNFDNVIIRSL -DGHDVESREYGVEPLNILNHVRSNMLSYRAWNLINSMCLNKTETFEQWLTGYIEDSVEGIKEDSIFAKTK -IRVTPFTGLELAAAKQLLKENKFVEMDEIPSTSSDTYENVYEQLKKFVNSELSLMETDIVDLALAKICLS -QLRGKINRSTWLEVGDWVTALKHKISGLSFTDHMDLHPCSLDQFLVTLKDWQVVDDIRFKSIYKQKILFI -KSSFNLYCWSPFITRGTRFVKVNARFRELVEQLDTGILFHELKHITSGIRWMQGQRVRMHDHSGQYAKKC -FPQNGMPINERLHREWIQMVQDSTYRCHTLIGEEKINILWNLIRLKPQQQVENFSGYLEQLQASPPKTGT -ICKQVAEEIRAEVTESYRTFTDYYTKLTKDGMHTLLSMLSRIGIPINAYWNDILVDRAPAITAITVGAIT -SLAIIAIVKTFQYGIAGEEQSKGEKRAKQKKLATTKMQKLKFHQGKEQAEGDVLQQINKGNPEIQFEAIE -NLFDHIDDNMNLAILGMNLMRCKAEDKCAFYAAIEETYDFSFSEPQPPQWKKVVTGKEDGKRYINLELRG -EDTEDNILDEIQHAIKVSHCLPYAEWIFESWFKKEGTENIQYFIRLSLLSAKTQGGIVDWTRAETKNIKD -IEIQLNRGKPIDVKSVVIGAPQASTQAYDTMDVLVNKHLVKVHCLDCDSINNLAINGTQVYALASDKVLI -VPAHAIRQHKWIRFSRATQTGHYGVAKIDERKVDFTRDIAVANILSRAEAEQKLCDLDKSISLTNISKEN -FYFPTITKYLLTADQSEVEWLNCTTLHHFGKNKTVGLGRTKTFQVDEFLCGDKYITKKLVACVQGLQSEV -ELSQRGDCGSPIVLASGKKAGRLIGFHSYLSPNQQTWYGAMLTVEDLGIIKGQEEHFDDPWAQLITKGLP -TDLPNGPEVEFIGSLVRPSLPVTKDTLDHWHKSPFADQFEEQLAPGRLNPYDPYIEGELPRNKEGRKSLI -LGPNSEMAKTLPELDQGLIDWIVDQLVVEQAATFKANNLLTPVSDSIDDMLEYALNGHIDNSYVRGMEIN -KAAGLPWSLSGFPKKSDFIEIDEISGKRSFKANINGDALKNRVELKLQQAKIGNRILSLSSSKLKDQPIK -IAQAKSGRTRVFHCIPVDLILFSGALYGPYKEAYTKAGLKCYHAVGIDPKSVGWQQLATYMTKHPNYFDA -DYKNYDKYLHRQIFKAVRKIQRRVIQEVCPDKWDTARACEELDAIDTYVVDYQTVYKTNRGNKSGSYTTT -IDNCLANDIYGLYAWVKTTGHKSLWDYRQNVSSVAFGDDIIKSVSEEYKDKYNYCTYRDVLNATGHIMTP -GSKDGEEIPFTLFENLQFLKRGFKMQPGMVLAPLLKRSIEGPFVWTDIREDQTTVWVNLIQEQLIEAALW -GEEYYNELCQKLKCGTNRTLNEVLAVLLNTSWKVTFQKFCDRYYGLKGGNI - ->YP_004849308.1 replication polyprotein [Nora virus] -MLIEAFINSLLQNLGIMMSFRDLVASPWILLVIAIPLCAFASSASMVREMLFRHKITENILKGTGVEELF -NPFGIIIKYFLYFAILYAFIKYIRNNINVITEKVNFIRRVVSNPTGTTGRRGVLGRCVEQIIEYPTFFIT -MIYELQQIKNKKDLISKITMISSILKLPLGIWESTVGRMLDRPAIEGTEEMLEDVLPIVAMGLTITKTQI -GDVPVESFLVNLDRNQKACENIIKRMQPLMIKMGMMKDSSYDTILQVAKEVNELSEAETWMKTTLKLNPN -EFLQTQGAVRVGEIREKVATLRNKLNTLQTKELRSDKVVTECQKHLASLEVLLIEVKVLENSNQTRVKPV -GVTIQGEKQIGKTNLVAILSRKICEYVQEHGDISFRNATKWTTWSRQCRDEFDTGYTGQEITYVDDAFQQ -KDNKDHLMWFTFISNTAVGTNQADLKQKGLPYRSKLVFTTCNKLPDKSVTIEDIEALHARFPHTICLRRN -KNKMPKRGAIDESYDWVDFYYGPMSKAVSAIGSNTTSTLKTMSLSEIVKIIGDDLIIQNNFYNSTIKDVG -ITGQEQMDGAQLERRQRMRELRDHLLRIRSGDENMPFLDETFELNSRPIQTDEKFIPLKDNLDEEVMYGG -ISDQLLTRFDNIIERSLEGYDVESRELGVEPLTTLNHVRSNMLSYRAWNLINSMCINKTETFSAWLGRYI -TECVEGVAENLVTTKVKIRINPFTGLQLIAAKRMLQENKLIDMDEIPSTSANSYETVYDQIKNFVNDELS -LMETDIVDLALAKISLSQIRGNIKRSTWLDVNDWILALKHKISGKSFAKHMDLYPSSLDSFLLTLKDWEV -EDRIKFNSIYKQKVLFVQSRFSLYCWSPFISRGTRFVKVTSRFRELVDKLETGILFHEIKSVTNGIRWLG -GAGNNGHVGERVRVIAHTAQFPKKSYPQNGFPINEELHREWIQLVINSDYKYHSLIGEEKVNILWNLIRL -QPQHEVENFKVYLEDLQASPPKTGTICAKVVNDIKAEVTSSYRQFNNYYTRLTKDGMHTLLSMLSRIGVP -ISDYWNDLLVDKAPAITAVTVGAITSLAIITIVKTFQYAIAGEEQSKGEKRAKQKNIATTKLQKLKFTLG -KEQAEGDSIEHVKEFDGDVKFETIEKLFDHIDEHPNLNIVGLNLIAPENPIAIYAAREESYDFSFSEPRP -PQWKKVVTFKEDSKRIISLQLRGEDTEDNILDEIEHAIKVSHGMPYAEWIFNGWFKKESNDNILYCVELD -LVTAKTQSGPVGWTRAQTKNLKDLEIQLNKGKPIDVKSVVLGAPQASTQATDTMDVLVNKHLVKVHCLSC -ENLNNLALNGTQVFALASDNILIVPAHAARQNKWIRFSRATQTGHYGVAKVDERRIDFTRDIAIAIILTR -AEAEQKLCELDYSIQLTNISKEKFHFPLITKYLLTADQSEVEWMNCTTLHYFAKNRTVGLGRTTSFQVSE -FLCGNEYISKKLVACAQGLQSSVELSRLGDCGSPIVLASGKKAGKLIGFHGYHSPNLQTWYGAMLTVEDL -GIINGVEEHFDDPWAKLITQGLPVDLPIGPEVEYVGNLIRPSLPVTNDSLDHWHKSPFADQFEEQLAPGR -LNPYDSYIEGDLPTNLEGRKSLILGPNSEMAKTLPELDQGIIDWIVDQLVVEQVATFKAENLLTKVSDDI -DEMLDYALNGNVDNTYVRGMEVNKASGLPWSLSGSPKKSDFIDVDEATGVRSFKVNANGDALKNRVILKL -QQAKMGNRILSFSSSKLKDQPIKIAQAKSGRTRVFHCIPVDLILFSGALYGPYKEAYTKAGLKCYHAVGI -DPKSVGWQQLATYMTKHPNYFDADYKNYDKYLHRQVFKAVRKIQRSVIQQMCPDKWDKARAVEELDAIDT -YVVDYQTVYKTNRGNKSGSYTTTIDNCLANDIYGLYAWVKTTGLRSLWDYRQNVSSVAFGDDIIKSVSDE -YKDKYNYCTYRDVLNATGHIMTPGSKDGEEKPFTSFENLQFLKRGFKLENGMVLAPLLQRSIEGPFVWTD -IREDQITVWVNLVQEQLIEAALWGEEYYNELCQKLKCGTNRTLNGALAVLLNTSWEVTFQKFCNRYYGIK -RGDF - ->AHX00963.1 ORF2 protein [Spodoptera exigua virus AKJ-2014] -MSITKRIINQCVLINQTVLYALQKKEYALLGVVLILLGLVFNVPLTNTFMEMFLYTMVVRFLMGSPMEVI -TTFFTQLTNYSETFVTQVKEVTVEAVSEGLRDIRDAATPDIVSFKNLSTNFLDHKIGIATCVASASQATS -AVQVGSEIIKVGSMLGLEKSLLGNVVNAVVHRGADLVIPRIDEHGLEDCVPLVATAAAMAGTEFLDFNIE -TTLKRAAQNTKAMETLVAHTRKVCEQSGIIQRPGYTELMKINERIEKLRDETLWITQMLGINGSVFNTPD -GKKRVTEYKHEIDSLMRELKNIGQSTVKDTSLYTELHYHLVKSMDMLSQISAIQASSGLRMVPVGINIFG -DSQIGKSMLVQEINKRVQQQLLAEHVNLPRVGQWQIWHMQQRDEFDTNYYSQEVAYCDDGWSDKTNKDHQ -QWLNFISPAPIGTVQAQLNQKGAPFQANMCIVTSNTLPRTSIAINNINALHARFPVCVKATRIGRPSSTY -DPDFKHLKFSVGTMTMQLNPETSNCVELDLNGITKMIVRHLKKNLELYQSQTTIISQAQDIVDQAPATLE -QHVDRHELPAVRSQGMPRLEGTRLKEILGLKREWRTARNQPPIIGLNQFGRWTQYLRHRTTNQTVAEWVA -GSPDLTAFEFISALGIWIVPPEHIEAFKVAFAEQPIIRVEDHYETPYYWGPRIEGGSLLVVHVPAVDVII -DNFLTSTGMEQEIREFHRAIASMAITLGVQVFLGCVDANVALELLGTAVSVATWSPMLRETPWYQAFRHS -AGLVAAKTLAAVFSPFTALYQRIHSRISQITIRIGDILVSMSISLLELIGVEVTPTTETWLVGASTVAIE -MLTAAVVVVIGYLLIQLYKKMTAPPVDQVIEHGRSYEGKVKKEKLREKTKSGKMMKIRQHAESKNVQSYS -QEHIQKDVDWKEHLLDMMDEGLSAGTDALYYSFTPQDRTVVFEHFKNDVNMTGTDYLKFERMRVPIDDPD -PELVQLVSAVCYEFEKSGTQTEVENYLVNILDKISGLVGQTNIKLVVSTEVTDDDMYRIQCFICIPRREE -KGKQITHTRKHLKEAEAFVAVLQGCEPTQLVDSIIQHGLHVDIKSVRNRFEVAVTGIVSPTSVCHGFGLG -SGTTVICNAHITCKDGIVLVRRRGETSGRYQVAQVTSFDNLRDLAFCRILPVDQAGAPVSTLRPEVLIPH -HKNLTYQPFPDLTPHLMSFKDLMDLNGSAVMVVAPRSDLVITGTLGYSEGWFSAGLQQFERKHITITGLA -VTLELPQKGDSGGVMMATTRKMNNGIIGIYTGTSKRTLLGAYLVREDFVELHGLHQEDTWMQLIAPMKTH -NVPDGSAVTAIGEYRYDNVPISRPQHVKWVRAPWGFTVTTAPPPLSPHDERIEVELPKNSLGEPSLLMKQ -VLPLAEAIPDVNQQHLDQYVKYRVEQLRVLMQIADTPEDLDAVFEYGINGHPTNEHVKGIYTNSSSGLPW -TSSSDRQLKKDMLDTDPLTGHVSVNDKGHALRKRVYTILERANNGVRSVVLVASKLKDQCIKLKHVKNGK -VRVFHAVPVEKIIADSSLFGNFKEAYMNLGLRLDHAIGIDPNSPQWGEIVKHLKHDLYLDMDFTQYDKRM -HSQCMYAFYSIIRGLIKDKWSNARDVLGDMSVRSWVVDYKSVYETSRGNKSGEFLTTVINSEVNRMYSWL -AWVAITGRSDYTEWQENVSLVTFGDDKLEGVSETFSGIYHYQALKEFYSGIGHTITSDTLDDSGQRFSKL -DELKFLKRKFVSVDGVITAPLEVESIENPFVWTQMMDFDFGLWKPLIAESLLEASLHGREYYEFFVNMLK -KCKSRVLLREIIDLLLSEYDDSKRKMMERYVGHRIW ->AKH67623.1 replication polyprotein [Nora virus] -MLIEAFINSLLQNLGIMMSFRDLVASPWILLVIAIPLCAFASSVSMVREMFFRHKITENILKGTGVEELF -NPFGIIIKYFLYFAILYAFIKYIRNNINVISEKMNFIRRIVSNPTGTTGRRGVLGRCVEQIIEYPTFFIT -MVYELQQIKNKKDLISKITMISSILKLPLGIWESTVGRMLDRPAIEGTEEMLEDVLPMVAMGLTITKTQI -GDVPVESFLVNLDRNQKACENIIKRMQPLMIKMGMMKDSSYDTILHVAKEVNELSEAETWMKTTLKLNPN -EFLQTQGAVRVGEIREKVATLRNKLNTLQTKELRSDKVVTECQKHLASLEVLLIEVKVLENSNQTRVKPV -GVTIQGEKQIGKTNLVAILSRKICEYVQEHGDISFRNATKWTTWSRQCRDEFDTGYTGQEITYVDDAFQQ -KDNKDHLMWFTFISNTAVGTNQADLKQKGLPYRSKLVFTTCNKLPDKSVTIEDIEALHARFPHTICLRRN -KNKMPKRGAIDESYDWVDFHYGPMSKAVSAIGSNTTSTLKTMSLSEIVKIIGDDLIIQNNFYNSTIKDVG -ITGQEQMDGAQLERRQRMRELRDHLLRIRPGDENMPFLDETFELNSRPIQTDEKFIPLKDNLDEEVMYGG -ISDQLLTRFDNIIERSLEGYDVESRELGVEPLTTLNHVRSNMLSYRAWNLINSMCINKTETFSAWLGRYI -TECVEGAAENLVTTKVKIRVNPFTGLQLIAAKRMLQENKLIDMDEIPSTSANSYETVYDQIKNFVNDELS -LMETDIVDLALAKISLSQIRSNIKRSTWLDVNDWILALKHKISGKSFAKHMDLYPSSLDSFLLTLKDWEV -EDRIKFNSIYKQKVLFVQSRFSLYCWSPFISRGTRFVKVTSRFRELVDKLETGILFHEIKSVTNGIRWLG -GAGNNGHVGERVRIIAHTAQFPKKSYPQNGFPINEELHREWIQLVMNSDYKYHSLIGEEKVNILWNLIRL -QPQHEVENFKVYLEDLQASPPKTGTICAKVVNDIKTEVTSSYRQFNNYYTRLTKDGMHTLLSMLSRIGVP -ISDYWNDLLVDKAPAITAVTVGAITSLAIITIVKTFQYAIAGEEQSKGEKRAKQKNIATTKLQKLKFTLG -KEQAEGDSIEHVKEFDGDVKFETIEKLFDHIDEHPNLNIVGLNLVAPENPIAIYAAREESYDFSFSEPRP -PQWKKVVTFKEDSKRIISLQLRGEDTEDNILDEIEHAIKVSHGMPYAEWIFNGWFKKESNDNILYCVELD -LVTAKTQSGPVGWTRAQTKNLKDFEIQLNKGKPIDVKSVVLGAPQASTQATDTMDVLVNKHLVKVHCLSC -ENLNNLALNGTQVFALASDNILIVPAHAVRQNKWIRFSRATQTGHYGVAKVDERRIDFTRDIAIAVILTR -AEAEQKLCELDYSIQLTNISKEKFHFPLITKYLLTADQSEVEWMNCTTLHYFAKNRTVGLGRTTSFQVSE -FLCGNEYISKKLVACAQGLQSSVELSRLGDCGSPIVLASGKKAGKLIGFHGYHSPNLQTWYGAMLTVEDL -GIINGVEEHFDDPWAKLITQGLPVDLPIGPEVEYVGNLIRPSLPVTNDSLDHWHKSPFADQFKEQLAPGR -LNPYDSYIEGDLLTNLEGRKSLILGPNSEMAKTLPELDQGILDWIVDQLMVEQVATFKAENLLTKVSDDI -DEMLDYALNGNVDNTYVRGMEVNKASGLPWSLSGSPKKSDFIDVDEATGVRSFKVNANGDALKNRVILKL -QQAKMGNRILSFSSSKLKDQPIKIAQAKSGRTRVFHCIPVDLILFSGALYGPYKEAYTKAGLKCYHAVGI -DPKSVGWQQLATYMTKHPNYFDADYKNYDKYLHRQVFKAVRKIQRSVIQQVCPDKWDKARAVEELDAIDT -YVVDYQTVYKTNRGNKSGSYTTTIDNXXXXXXXXXXXXXXXXXXXXXXDYRQNVSSVAFGDDIIKSVSDE -YKDKYNYCTYRDVLNATGHIMTPGSKDGEEKPFTSFENLQFLKRGFKLENGMVLAPLLQRSIEGPFVWTD -IREDQITVWVNLVQEQLIEAALWGEEYYNEICQKLKCGTNRTLNGALAVLLNTSWEVTFQKFCNRYYGIK -RGDL diff --git a/seq/clusters_seq/cluster_546 b/seq/clusters_seq/cluster_546 deleted file mode 100644 index 3dba631..0000000 --- a/seq/clusters_seq/cluster_546 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009130655.1 movement protein [Plumeria mosaic virus] -MALVELKEPKQLKVNDFVKMSFADKLLPKSMTRLRTVSISETNVVKLTGLGSTVNLDILKGIVLHPESKY -VSVRGVVVSGVWMVPEGCSGGATVTLMDRRMKGFKSGLVAEFKTRASARNFQFKFIPNYSLCVDDVKRSP -WELFFKLVGVPIEDGYYPLAIEIATLVEQSRTIINHGLRATILKRCDDISDLDLPSVDIDESLSLISNKN -IISKRKTYKKKKVNKIQKTESESSEFARVPVARGEELSESGDNSDF - ->YP_003915155.1 28 kDa movement protein [Frangipani mosaic virus] -MALVELKEPKQLKVNDFVKMSFADKILPRSLTRLRTVSISETNVVKLSGLGSTVNLNILKGVVLNSESKY -VTIRGVVISGVWMVPEGCGGGATVTLMDRRMKGFKNGLVAEFKTRASSRDFQFKFIPNYSMCVDDVKRAP -WELFFKLVGVPIEDGYYPLAIEIATLVEQSRTIINHGLRATILKRCDDISDLELPSVDLDESIELISNSN -IVSKRKTHKKGKKRKNTKTESESSEFARVPNFRGNDLSESGDNEDI - ->YP_908762.1 28 kDa movement protein [Cucumber mottle virus] -MSISNLSVESQLKPSNFVKLSWVDKLLPDYFSILRFLSVTDHSVIKAREYESFLPVELLRGVDLTKHKYV -TLLGVVISGVWTIPEGCSGGATVGLVDTRMERVVEGTVCKFSVPASVREFNVRFIPNYSITAADAARHPW -SLFVRLKGVNIKDSFSPLTLEIAALVATTNSIIKKSLKAIVSDVVVGSDAAVAIADRDSQVNSFFDSVPI -TKSVVNFDKSYKSRVPKKDSSGGSGKVKRSTGTSGPADTEFSDDGLLSNHSD - ->NP_624338.1 28 kDa movement protein [Zucchini green mottle mosaic virus] -MSVSKLGVKNVLKPQEFVKLNWVDKVLPDMFTVFRYLSVTDYSVIKSRDSECLIPVDLLRGVDLSKSKYV -TLVGVVISGVWTIPENCAGGATVALVDTRMSMVDEGTICKFSVAASARDFMVKLIPNYYVTATDASSKPW -SIFVRVSGVRIKEGFSPLTLEIASLVATTNSILKKGLRVSVLESVVGSDASVNLESASEKVQPFFDSVPI -TAAVISRDRSYVSKSGFNRAVRSKPPSKGGKKFGDSAESLSEDSASELPGL - ->NP_619686.1 28 kDa movement protein [Kyuri green mottle mosaic virus] -MSVSSVGVKNALKPQEFVKLSWVDKVLPDMFTVFRYLSVTDYSVIKSRDSECLIPVDLLRGVDLSKSKYV -TLVGVVISGVWTIPENCAGGATVALVDTRMSMVDEGTICKFSVAASTRDFMVKLIPNYYVTASDASSKPW -SIFVRVSGVRIKEGFSPLTLEIASLVATTNSILKKGLRVSVLESVVGSDASINLDSVSDKVQPFFDSVPI -TAAVIARDRSYVSKSGPSRAVRPRPQSKSGKKGDANPAESMSDGDAYEPPRL - ->NP_072163.1 unnamed protein product [Cucumber fruit mottle mosaic virus] -MSISKVGVRNALKPEEFVKITWVDKLLPDAFTILKYLSITDYSVVQSKDYEHLIPVDLLRGVDFSKSKYV -TLVGVVISGVWTIPENCAGGATVALVDTRMSLVSEGTICKFSVSAASRDFTVKLIPNYYVTAADASSKPW -SLFVRISGVRIKDGFSPLTLEIASLVATTNSILKKGLRVSVIESVVGSDASVSLDTLSEKVQPFFDSVPI -TASVVSRDRSYVSKGRPPSRSGPVSRKSKSKSEAESFSDSGASEPLSS - ->NP_044579.1 movement protein [Cucumber green mottle mosaic virus] -MSLSKVSVENSLKPEKFVKISWVDKLLPNYFSILKYLSITDFSVVKAQSYESLVPVKLLRGVDLTKHLYV -TLLGVVVSGVWNVPESCRGGATVALVDTRMHSVAEGTICKFSAPATVREFSVRFIPNYPVVAADALRDPW -SLFVRLSNVGIKDGFHPLTLEVACLVATTNSIIKKGLRASVVESVVSSDQSIVLDSLSEKVEPFFDKVPI -SAAVMARDPSYRSRSQSVGGRGKRHSKPPNRRLDSASEESSSVSFEDGLQSDHT - ->sp|P19522.1|MVP_CGMVW RecName: Full=Movement protein; AltName: Full=28.8 kDa protein; AltName: Full=Cell-to-cell transport protein -MSLSKVSVENSLKPEKFVKISWVDKLLPNYFSILKYLSITDFSVVKAQSYESLVPVKLLRGVDLTKHLYV -TLLGVVVSGVWNVPESCRGGATVALVDTRMHSVAEGTICKFSAPATVREFSVRFIPNYSVVAADALRDPW -SLFVRLSNVGIKDGFHPLTLEVACLVATTNSIIKKGLRASVVESVVSSDQSIVLDSLSEKVEPFFDKVPI -SAAVMARDPSYRSRSQSVSGRGKRHSKPPNRRLDSASEESSSVSFDDGLQSDHT - diff --git a/seq/clusters_seq/cluster_547 b/seq/clusters_seq/cluster_547 deleted file mode 100644 index 980df9c..0000000 --- a/seq/clusters_seq/cluster_547 +++ /dev/null @@ -1,90 +0,0 @@ ->YP_009094082.1 phosphoprotein P [Cedar virus] -MDKLQLIEDGLSTINFIQENKEKLQHSYGRSSIREPPTSVRVEEWEKFIRKIASGPEQVQGGGSETEITG -DNGDRGNFTNPDQGGGVTGQFEERYQKWGSQDSELQLDPMVVHDFFYDERRENPDNGKYDRSSKKRDNIR -EGTRQDKYNNQSTDELLSCLQPSSKNDVIKNESTSVSNLHVTGNKLNPDAKPFEPTSQSKEHPTTTQHNK -NDHQTDDDYKNRRSSENNVISDHATTMEDNNNFIPATKRKNALSEPIYVQVLPSNTEGFSGKDYPLLKDN -SVKKRAEPVILETANHPAGSADQDTNQIEENMQFNLPKLLTEDTDDEPEDNNDSMPLEEDIREIGSMLKD -GTKDIKTRMNEIDDAIKKINKKSKNRSLDLESDGKDQGRRDPSVDLGIKKRKEGLKAAMQKTKEQLSIKV -EREIGLNDRICQNSKMSTEKKLIYAGMEMEYGQTSTGSGGPQGSKDGTSDDVQVDEDYDEGEDYEAMPSD -RFYTTLSGEQKDRFDLDANQMSQYDLEAQVDELTRMNLILYSRLETTNKLLIDILDLAKEMPKLVRKVDN -LERQMGNLNMLTSTLEGHLSSVMIMIPGKDKSEKEIPKNPDLRPILGRSNTSLTDVIDLDHYPDKGSKGI -KPSGSGDRQYIGSLESKFSINDEYNFAPYPIRDELLLPGLRDDKTNASSFIPDDTDRSPMVLKIIIRQNI -HDEEVKDELLSILEQHNTVEELNEIWNTVNDYLDGNI - ->YP_009091834.1 phosphoprotein [Ghana virus] -MDKESLVDNGIKIIEFIQKNKDEIQKTYGRSQIQEPRTRERAAAWELFIRGENPRPEGDRMAGVDQQDPT -ERRDDDGRPNTLARDGEIGESGPNYISWSSGGDDIQLGPMVQDFEIDDNGIKLPNSRGSKSAPRCRSVDK -SRASDWERSPASNIDHLSNLSGGGKDLGNYIKNVGVMVYPPTGAGVDRNSLTKPVSGVRQSRLSKLTWTK -ESPLDQPETVPETLNDAYVIKEATSKKEVTPEDGISILNPNAASFTPRSTPAPKSVEKEPNQRQPAGGHP -EDKGTPNRSENPTTKSTAIKNSKVITKAMVHTSPNEINQSARSMNIEFEPKSNPSTLTLETVQPSNQQTN -NTPASHPSGKTTRELPKGAQPQSGTSRAANIKDPTTAPNKTSIQTKPARNASGGDGTNPQMASPPPPPVV -TNPPLKKRVPKLSEPNTRDHLSHPTDEKRDHGGPAVKSKTQAHRGLNADNTQNCTDQPTPSSNKAQPPKT -PKPENPQAEPKPKKHVSFPNLDLTIEEEGGTAVDIMVNETREGVIDVHLIKEDNKILNMDQDNPCSNQIT -SQQETGVTKRGIGENSSCIGTKEDSRQLSGAIQSAQKSRLSQRQENASAESARPGVTSVPSDDPESEEVK -TYRASDAIIEQLNNDEAQDYYSFLDLMENTDDDDLICEETKFSLLNTARLISLNSRIEKIEEQIKKIPAM -EKKLSDIEKLLLKTNTALSTIEGHITSMMIMVPGKTVNEGEIQINEQLKPVIGRKDGVYDKMILPRVDKK -ISLVTDRTPLCDDFKEDPYVLNEKLILDPINPSVTNASAFIPSYDNISPAVLRSLIRSNVEDRETRVELI -ELVNQARNDSELNEILALVNDIIDSNQSGV - ->NP_047107.2 phosphoprotein P [Hendra henipavirus] -MDKLDLVNDGLDIIDFIQKNQKEIQKTYGRSSIQQPSTKDRTRAWEDFLQSTSGEHEQAEGGMPKNDGGT -EGRNVEDLSSVTSSDGTIGQRVSNTRAWAEDPDDIQLDPMVTDVVYHDHGGECTGHGPSSSPERGWSYHM -SGTHDGNVRAVPDTKVLPNAPKTTVPEEVREIDLIGLEDKFASAGLNPAAVPFVPKNQSTPTEEPPVIPE -YYYGSGRRGDLSKSPPRGNVNLDSIKIYTSDDEDENQLEYEDEFAKSSSEVVIDTTPEDNDSINQEEVVG -DPSDQGLEHPFPLGKFPEKEETPDVRRKDSLMQDSCKRGGVPKRLPMLSEEFECSGSDDPIIQELEREGS -HPGGSLRLREPPQSSGNSRNQPDRQLKTGDAASPGGVQRPGTPMPKSRIMPIKKGTDAKSQYVGTEDVPG -SKSGATRYVRGLPPNQESKSVTAENVQLSAPSAVTRNEGHDQEVTSNEDSLDDKYIMPSDDFANTFLPHD -TDRLNYHADHLNDYDLETLCEESVLMGIVNAIKLINIDMRLNHIEEQMKEIPKIINKIDSIDRVLAKTNT -ALSTIEGHLVSMMIMIPGKGKGERKGKTNPELKPVIGRNILEQQELFSFDNLKNFRDGSLTDEPYGGVAR -IRDDLILPELNFSETNASQFVPLADDASKDVVRTMIRTHIKDRELRSELMDYLNRAETDEEVQEVANTVN -DIIDGNI - ->NP_112023.1 V protein [Nipah henipavirus] -MDKLELVNDGLNIIDFIQKNQKEIQKTYGRSSIQQPSIKDQTKAWEDFLQCTSGESEQVEGGMSKDDGDV -ERRNLEDLSSTSPTDGTIGKRVSNTRDWAEGSDDIQLDPVVTDVVYHDHGGECTGYGFTSSPERGWSDYT -SGANNGNVCLVSDAKMLSYAPEIAVSKEDRETDLVHLENKLSTTGLNPTAVPFTLRNLSDPAKDSPVIAE -HYYGLGVKEQNVGPQTSRNVNLDSIKLYTSDDEEADQLEFEDEFAGSSSEVIVGISPEDEEPSSVGGKPN -ESIGRTIEGQSIRDNLQAKDNKSTDVPGAGPKDSAVKEEPPQKRLPMLAEEFECSGSEDPIIRELLKENS -LINCQQGKDAQPPYHWSIERSISPDKTEIVNGAVQTADRQRPGTPMPKSRGIPIKKGHRREISICWDGKR -AWVEEWCNPACSRITPLPRRQECQCGECPTECFHCG - ->NP_112022.1 P phosphoprotein [Nipah henipavirus] -MDKLELVNDGLNIIDFIQKNQKEIQKTYGRSSIQQPSIKDQTKAWEDFLQCTSGESEQVEGGMSKDDGDV -ERRNLEDLSSTSPTDGTIGKRVSNTRDWAEGSDDIQLDPVVTDVVYHDHGGECTGYGFTSSPERGWSDYT -SGANNGNVCLVSDAKMLSYAPEIAVSKEDRETDLVHLENKLSTTGLNPTAVPFTLRNLSDPAKDSPVIAE -HYYGLGVKEQNVGPQTSRNVNLDSIKLYTSDDEEADQLEFEDEFAGSSSEVIVGISPEDEEPSSVGGKPN -ESIGRTIEGQSIRDNLQAKDNKSTDVPGAGPKDSAVKEEPPQKRLPMLAEEFECSGSEDPIIRELLKENS -LINCQQGKDAQPPYHWSIERSISPDKTEIVNGAVQTADRQRPGTPMPKSRGIPIKKGTDAKYPSAGTENV -PGSKSGATRHVRGSPPYQEGKSVNAENVQLNASTAVKETDKSEVNPVDDNDSLDDKYIMPSDDFSNTFFP -HDTDRLNYHADHLGDYDLETLCEESVLMGVINSIKLINLDMRLNHIEEQVKEIPKIINKLESIDRVLAKT -NTALSTIEGHLVSMMIMIPGKGKGERKGKNNPELKPVIGRDILEQQSLFSFDNVKNFRDGSLTNEPYGAA -VQLREDLILPELNFEETNASQFVPMADDSSRDVIKTLIRTHIKDRELRSELIGYLNKAENDEEIQEIANT -VNDIIDGNI - ->NP_047108.1 nonstructural protein V [Hendra henipavirus] -MDKLDLVNDGLDIIDFIQKNQKEIQKTYGRSSIQQPSTKDRTRAWEDFLQSTSGEHEQAEGGMPKNDGGT -EGRNVEDLSSVTSSDGTIGQRVSNTRAWAEDPDDIQLDPMVTDVVYHDHGGECTGHGPSSSPERGWSYHM -SGTHDGNVRAVPDTKVLPNAPKTTVPEEVREIDLIGLEDKFASAGLNPAAVPFVPKNQSTPTEEPPVIPE -YYYGSGRRGDLSKSPPRGNVNLDSIKIYTSDDEDENQLEYEDEFAKSSSEVVIDTTPEDNDSINQEEVVG -DPSDQGLEHPFPLGKFPEKEETPDVRRKDSLMQDSCKRGGVPKRLPMLSEEFECSGSDDPIIQELEREGS -HPGGSLRLREPPQSSGNSRNQPDRQLKTGDAASPGGVQRPGTPMPKSRIMPIKKGHRREVSICWDGRRAW -VEEWCNPVCSRITPQPRKQECYCGECPTECSQCCHEE - ->sp|P0C1C6.1|W_HENDH RecName: Full=Protein W -MDKLDLVNDGLDIIDFIQKNQKEIQKTYGRSSIQQPSTKDRTRAWEDFLQSTSGEHEQAEGGMPKNDGGT -EGRNVEDLSSVTSSDGTIGQRVSNTRAWAEDPDDIQLDPMVTDVVYHDHGGECTGHGPSSSPERGWSYHM -SGTHDGNVRAVPDTKVLPNAPKTTVPEEVREIDLIGLEDKFASAGLNPAAVPFVPKNQSTPTEEPPVIPE -YYYGSGRRGDLSKSPPRGNVNLDSIKIYTSDDEDENQLEYEDEFAKSSSEVVIDTTPEDNDSINQEEVVG -DPSDQGLEHPFPLGKFPEKEETPDVRRKDSLMQDSCKRGGVPKRLPMLSEEFECSGSDDPIIQELEREGS -HPGGSLRLREPPQSSGNSRNQPDRQLKTGDAASPGGVQRPGTPMPKSRIMPIKKGAQTRSLNMLGRKTCL -GRRVVQPGMFADYPPTKKARVLLRRMSN - ->sp|P0C1C7.1|W_NIPAV RecName: Full=Protein W -MDKLELVNDGLNIIDFIQKNQKEIQKTYGRSSIQQPSIKDQTKAWEDFLQCTSGESEQVEGGMSKDDGDV -ERRNLEDLSSTSPTDGTIGKRVSNTRDWAEGSDDIQLDPVVTDVVYHDHGGECTGYGFTSSPERGWSDYT -SGANNGNVCLVSDAKMLSYAPEIAVSKEDRETDLVHLENKLSTTGLNPTAVPFTLRNLSDPAKDSPVIAE -HYYGLGVKEQNVGPQTSRNVNLDSIKLYTSDDEEADQLEFEDEFAGSSSEVIVGISPEDEEPSSVGGKPN -ESIGRTIEGQSIRDNLQAKDNKSTDVPGAGPKDSAVKEEPPQKRLPMLAEEFECSGSEDPIIRELLKENS -LINCQQGKDAQPPYHWSIERSISPDKTEIVNGAVQTADRQRPGTPMPKSRGIPIKKGAQTRNIHLLGRKT -CLGRRVVQPGMFEDHPPTKKARVSMRRMSN - diff --git a/seq/clusters_seq/cluster_548 b/seq/clusters_seq/cluster_548 deleted file mode 100644 index 83ee5c6..0000000 --- a/seq/clusters_seq/cluster_548 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009664867.1 putative movement protein P4 [Barley yellow dwarf virus SGV] -MSEDAIEQFGQWLWSKPPEPGEDEEMVDVQQEDGQIIYRDQQAGLRYSLFQSTTSKATPTGSSNSAPAFR -NVLHYQTEYLSPTTTTRSQMSRYSIDRTPAPKLRAHSLLNSTTRAHNQPWLATLTHSPSQVPDRRPSRRA -LLTGPR - ->YP_009664864.1 movement protein P4 [Barley yellow dwarf virus kerIII] -MSENQNPNQNLEQFGQWLWSSPMEQDPEDEITDAQEEEGQILYLDQQAGLRYSFSQSTALRPTQVGSSSS -APVYRNAQRFQVEYLSPTTVTRSQTSRFSISHTRPLLQPAQSILNSTLRAHNQPWVAQLTHSPSTNPAPK -RSTRSKSMERITGKPR - ->YP_008083728.1 movement protein P4 [Barley yellow dwarf virus kerII] -MSEPGAIEQFGQWLWSGPIQMDEDVEPLDAQEEEGQILYLDQQAGLRYSYSQSTILKPIPQGSSNSAPIF -HNVQRFQAEYLSPTTVTRSQMSRSSLSHTRPPLQSALCLLNSTPRAHNQPWVATLTHSPSPSQEPKRLMP -SKSLAKSSGRRR - ->NP_840016.1 putative movement protein P4 [Barley yellow dwarf virus PAV] -MAQEGGAVEQFGQWLWSNPIEQDPDDEMVDAREEEGQILYLDQQAGLRYSYSQLTTLKPTPPGQSNSAPV -YRNAQRFQTEYSSPTIVTRSQVSELSLSHTRPPIRQALSLLSSTPRASNQPWVATLIPSPSARPPPRPSG -QRQLMGRNSRNQR - ->NP_813793.1 movement protein P4 [Barley yellow dwarf virus GAV] -MAQGEQGALAQFGEWLWSNPIEPDQNEELVDAQEEEGQILYLDQQAGLRYSYSQSTTLRPTPQGQSSSVP -TFRNAQRFQVEYSSPTTVTRSQTSRLSLSHTRPPLQSAQCLLNSTLRAHNQPWVATLTHSPSQNQQPKTS -PPNRLTGRNSGRAR - ->NP_620068.1 movement protein [Barley yellow dwarf virus MAV] -MAQGEQGALAQFGEWLWSNPIEPDQNDELVDAQEEEGQILYLDQQAGLRYSYSQSTTLRPTPQGQSSSVP -TFRNAQRFQVEYSSPTTFTRSQTSRLSLSHTRPPLQSAQCLLNSTLGAHNQPWVATLTHSPSQNQQPKPS -PPNRLTGRNSGRVR - ->NP_037639.1 movement protein P4 [Barley yellow dwarf virus PAS] -MAQEGGAVEQFGQWLWSNPIEQDPDDEMVDAQEEEGQILYLDQQAGLRYSYSQSTTLKPTLPGQSNSAPV -YRNAQRFQTEYLSPTTVTRSQVSVLSFSHTRPPLRRALSLLNSTPRASNQPWVATLIPSPSAKLPPSPSE -PRRLMGRNSKNQR - ->sp|P29047.1|MVP_BYDVR RecName: Full=Movement protein; Short=MP; AltName: Full=17 kDa protein -MAQEGGAVEQFGQWLWSNPIEQDPDDEMVDAREEEGQILYLDQQAGLRYSYSQSTTLKPTPPGQSNSAPV -YRNAQRFQTEYLSPTTVTRSQVSVLSLSHTRPQLRPALSLLNSTPRANNQPWVATLIPSQSAGPPQRSSE -PKRLTGRNSRNQR - diff --git a/seq/clusters_seq/cluster_549 b/seq/clusters_seq/cluster_549 deleted file mode 100644 index c486d10..0000000 --- a/seq/clusters_seq/cluster_549 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009664743.1 triple gene block protein 3 [Helleborus mosaic virus] -MRVEVAFFILSLAIVYALLLLTERRTLNDCIVVVTGESVTIRGCSFTTEFIEFAKGLKPYSHRV - ->YP_009224937.1 triple gene block protein 3 [Elderberry carlavirus B] -MWTLPNEGLIAILIVTLICLIFSALNYITTDKGASCTVVISGESIVIRGCEFTEQFIEYAKGLRVANHKL -TP - ->YP_009224931.1 triple gene block protein 3 [Elderberry carlavirus A] -MRQLSTYELTVLFALSFALLSLSYYYSPGTWPERTCSVIITGESITIRACEFTKDFVDYAKSLKIPNHNL -SP - ->YP_004936162.1 TGB-3 gene product [Garlic common latent virus] -MREITRLTIYTCSGLLLTLVILSLFSVHRRAESSCTIIITGESLTIRGCEFTPDFIEYAKTLEVAKHW - ->YP_002574617.1 triple gene block protein 3 [Helleborus net necrosis virus] -MQSLSCFIIAAAGSCILGYLLLERLFAVNDPCTVHISGESVRIIGCAFTPDFIEYARTLKPFDHGFV - ->YP_001661449.1 triple gene block protein [Ligustrum necrotic ringspot virus] -MRQSALNVCIALLSFLGMYLLMPRERNSCSIVISGEAITVSGCELTAEIIQAISQLRVQKLDL - ->NP_958174.1 triple block protein 3 [Poplar mosaic virus] -MWSDSLVSRVCVPIIVVCTSIALLNVVSFRSECSCVVHITGESIDIRGCSFTPDFIEYAKTLRVFNHRYQ -E - ->sp|Q02122.1|TGB3_POPMV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MWSDSLVSRICVPIIVVCTSIALLNVVSFRSECSCVVHISGAAIDIRGCSFTPDFIEYAKTLRVFNHRYQ -E - diff --git a/seq/clusters_seq/cluster_55 b/seq/clusters_seq/cluster_55 deleted file mode 100644 index 6691084..0000000 --- a/seq/clusters_seq/cluster_55 +++ /dev/null @@ -1,2116 +0,0 @@ ->YP_009824957.1 ORF1ab polyprotein, partial [Rodent arterivirus] -TRCLAARPLLPFHKQTEELGVLGLFYAPKEPIRWSCPRPFPTQECTPAGCCWLATIFPLARMTSGNNNFQ -QRLERVAAVLYHEGALTPAALHALQVHERGCAWYPIVGPVPGIAVYANRLHVSDAPFPGATHVLTNLPLP -QRPLPQPFCPFERAHANVWAYGDKVIYMTEGKWSWAPKGDCKTEFDVVPPEHRRSAHYLTSSFPPHHIVS -LDKYIFKSSGGYSYDVARTSGHLDGDKKQLPDGYCWAAVFASLGEEVKQREIRTATQFGYQTKHGVPGKY -IQRRLQINGLRAVIDDEGPISVQAYTTKTSWIRHLDVDFTSHPDFVELCRIRIEPNTHPLDNPGEAIFRF -GAYKWYGAGKRQRVKKAAKEDRTPSPVERSLSPRELAQAKLHEVIGANKAKHMNFYSPPADGSCGWHCLS -AVANRMMGKEFKSTLPVVHRPSSDWATDADLVDAILIGHLPVGLNRNGACVDAKYVLTLDGSHWTVACRP -GQTPKMLPEACVHGVCEHVGSQIGGNPLPVEGFNPAFNDVLQRVIYLPSVAIADALATMLVNPAEMAADG -QGGRRDVTEECVDSAFPSDDSELRCDHSGDVLSLVAAFHAVPGTGSDVVEDVAEEAVSPVTAPVDLTVKS -PSKRCETSTPEERGEGRRDVTGGGNPATCPVAAPVGPLSTLENEPLEDTSIQWTTSQILNRPGLAADSLF -LDLVTVFEAAFAPAGASQAEVHAAIDATINGAKSYSECLQRLLPVAQGLKVTKDKVSLEAYFPKYVPVNS -CRAIVPVPAVEYVKDRVLGKGKWEVVGKPVLLTLENVMNCSFPGSYETYSAICSAKQRIQNALRDKYGYG -KVNPADKWYPDMPDSLVDVVLQAEDDFLKVVGAQGTLERMTWMAQTFPATEWADRYVWIADEQPVAVQQP -PKKNRRAAQPAVAAEAAPPAQEVVEEVPESWEELADPVDLSSRSCGHLPPLLFGAPTVASNEQPDDQPLD -LSAAGSIKFGPPPSQDEVEVCDFESVLSDPVVAQPLDLSGSSVTEFRAPVAKASSAPSTTSTVAKRPAKS -AQALIDAKGPLSGHLQQIKDNVRKICIAATDPNFETHPQTQAWLDAMWNRVDLLTWKNTSKYQAAYQLAP -VSSYLPKMIMETPPPYPCPIVLTPPDPPKSETESVDLTIRSRVSSKPATPTPPPQMEEVPLCEDPEDLQQ -SSKPPDPPGEESKAQADQPNQPKESLATKAGTWAGKMFDKMCGQVFNVTSHLPAFLAAAFHPGGGYTPAD -WCFAGFTLCCLLLCYSYPAFGCAPLVGVFSGSARRVRMGCFGVWLAFAVLLFKPTDDPVGSACDSDTPEC -RQLLLAFEQRQPWEPVRGLVVGPIGLTASILGKLLGGARSVWWILLRLCFVADVVCAGAYVIGQGRCKKC -WGRCIRTAPSEVAFNVFPFTRGTRQSLVDLCDRFCKPKGMDPIQLACGWSGCWSGESPIEQPSSKPISYQ -ALDEKKISASTVVAQPYDPNQAIKCLKVLQAGGAMVAIKVPTVTKVNTVPFLAPFLPKCPVNPDVKIVVD -PDTFTTAIRCGYDVSSFVLGEGDFAAANGLKVQQMQRPSGGGYVMPAIHVAVWMAVHMLLGLWITSVDSC -GVGTRDPWCSSPFSVPVFGSGTLCTSNLCISPAGLTLPLASLVKDFGAREVGIIGLVLASVACLAHKLAV -KTDALLVLASILCHAHPMLAWVVCLYPLALRWCSVSPLTMLWVHFFLVVCNPAAGILSVVLVIMFWTLGR -FTHVAGLITPYDIHAYTNGPRGASALVTAPEGTYLAAVRRAALTGRTVLYSPSNVGSLLEGAFRTAKPCL -NTCNVVGSSMGSGGVFTYQGKKVCVTASHVLAGNSARVTGPGYNKMLEFTTKGDFAIANCEDWLGPAPAA -KSVPASWTGKAFWMTSTGVEPGIVGNGFAFCFTKCGDSGSPVITESGDLVGIHTGSNKQGGGIITRPDGT -TCSIEKVKLTELSKFFAGPSVPLGDVKVGDQIIVDTHDVPSDLCALLASKPGLEGGLSTVQLLCVFFLLW -RMMGHVYTPVVAILFFLLNEVLPAVLVRSVFSFGMTVLAWFTPWSGQVLMIRLLTAALNRNKWSLAFYAL -GGLVGCLADFAVSRDLPIQVALTMSTYHFLPRLMAISSAVPLACAAAVHFVAVVLWLFKWRSLHNLLVGD -GSFSSAFFLRYFAEGKLREGVSQSCGMNHESLTGALAVRLNDDDLSFLTRLTDFKCFVSASNMRNAANQY -IEAAYAKALRIELSQLVQVDKMRGVLAKLEAFADTTVPSLNIGDIVVLLGHTPIGQVIEIMVGSVKHAVQ -ILETRTIGGSRMSVARVVDPKPQLPPAPVPVNVPTACLEWDARGEAPDEAELRRKDKGRRYQKIGEHTID -GEQYAKYWDKTTGDVFYSKRDHRDDGAGYKSTVIGKGGILVKDNRTEVYEGAGPYQAPDMSKLEFVKCGT -IKGQPHNWYKDPETKQIWVLPEQTQSSHLEAARLSVEQALTQMGAGTDLTAAEVEKLKRIIDQLQSMTRE -QCLNLLTASGLHRCGRGGLLTTPTAVKIVRYHQRTFSLGDVNLKVTSEVDARESEKYGHVVVARPTDGGA -VLLRPSPPTLIDVLIGGADADQGIVPNHGPGNTGIHGELWDFESPPTKEEIELSAQIIAACDLRRGDAPS -LKLPYKLHPVRGDPYREKGVLKNSRFGDVPYKTPADTGSPIHVAACYSVNTTPVSDGKSIIATTLPAGFE -LYVPTIPSSVLDYLDSRPDCPKHLTEHGSPQAAERDLSKYDLSTQGFVLPGVFRMVRKYLHGHIGKCPPI -HRPSTYPAKNSQAGVNGARFPTKDVQGMENIDELCAQAVRECWQTVTPCTLKKQYCSKKKTRTILGTNNF -IALAHRAALSGVTQGFMKKGLNSPIALGKNKFKELSTDVCGRCLEADLASCDRSTPATVRWFTANLLYEL -ACEPEALNSYVLNCCHDVVATQTGCVSKRGGLSSGDPVTSISNTIYSLIIYAQHMVLTFLKTGHHYGLLY -LQDQLKFEQLLEIQPLLVYSDDLVLHSESSSLPNYHWWVEHLDLLLGFKTDPAKTAITDAPSFLGCRIMN -KRQLVPNRDRILAALAYHMKATNVSEYYASAAAILMDSCACIDYDPDWFEDLVVGIAQCAKKDGYSFPGP -PFFMSMWEKLRSNYEGKAVKICGICGATAAYATSCGLDVCAYHTHFHPHCPVIIWCGHPAGSATCNDCSS -PVAPGKSELDTILLRLPYKPPKTVVMKVESGLTLLDPGRYQTRRGLVAVRRGIRGNEVELQDGDYQCTPL -LPTCKDINLVAVRRNVMISKFIIGPPGSGKTHWLLSQVKDGDVIYTPTHQTMLDIILALKVCRFNVPAGT -ILQFPAPSRTGPWVRILAGGYCPGKTSYLDEAAYCNHLDVLRVLSRTPLICLGDFKQLHPVGFDSPCYVF -YDMPHVQLTSIWRFGQNICQAIQSEYHHKLESKARPTRVVFVDRPVAYGQVLTPYHKDREFGAITIDSSQ -GATFDVITVHLPTKDSLTRQRALVAITRARHAVYIYDPHKQLEEFFPLKELDTPCNVAFLRDNAVVVLNR -HSEEISPAQALGNGDRFRVTDKRVEQALRAVCADFEGSSSPLPKVAHNLGFYFSPDLTQFAKLPRELACH -WPVVTCENNEKWPDRLVASLRPIHKLSRACLGAGYQVGPSVFLGVPGTISYYLTLFLKAEAQPLPETIFS -TGRIEVDCREFLDQAERDAAERYPHAFIGDTKGTTVGGCHHITSQYLPRFIPSESVATVGVSSPGKAAKS -KCTLTDVYLPDLDRFLAPDTESKCYKVKVDFKDVRLMVWKDKTAYFQLEGKYFSWFELAAYSQYITLPHQ -AIVYCDPCMGPVEVNRPVVGSTEWCADLAITPYDYGAATILSTAGVFDIPPGFKLIGAAGFRCNDPVWDV -DVKFAHLYRRVPGDWSEHNEQAFACLSNKAYNTRVCAARYNFALGPQPRALLGEVCENGSTG - ->YP_009824946.1 ORF1b [Zambian malbrouck virus 1] -MLTTSGGTSDDRSGLAITLDYAKFVDHHQNTRAFGPIDIKIVTSAEATRTLRLDVHGSIVVAELADGHYA -LLRKHPPSLIDVITKGHDAARQPVLHGPGDTGIDGTLWDFESPASKRELFLTEQILSACAIRRGDAPNCL -PYKLHPVRGDPYREGHVLKNTRFGDISAKIAADGEPWLLTTALNKNGTPVFSDGKLIGTTTPMGCEVYIP -TIPEPVLDYLDSRPDMPTYYTCHGTENAALNDLSKFDLSTQGFILPQVFHMVRNYVIDVVGYSPAIYPPS -TIPSNDSHAGVNGIIFNTKLYQSIPGIDQLVERMISEKWQSVTPVTLKKQYCSKPKTRTILGTNGLIALG -LRSVLSGVTKNFQMAGKGPICLGKSKFDPLDREITGACLETDLASCDRSTPAIVRFFTTHLLFELARRPD -CIPLYVLNCCHDLLVSQTTACTKRGGLSSGDPCTSIANTIYSLVLYTQHMVLSAFKAGHPLALKFLDGKL -TVHDLIKVQDFIVYSDDLVLLNESSELPNFRYWVPHLELALGFKVDPKKTEITNDPGFLGCKLRNKYLIS -QRTRVLAALGYHMHAKTPKDYFESAVAILCDASAMAFFDTEWYNELVMGMAEAARQTGFAIPGIPYYRDF -FTRVSGYQPEKLDLECGVCGVKAGTVASCGLALCPFCAHCHTHCAVPSPFCTHNVGEAACSMCELPIKSR -DTNFDKLLEDCPYEPPTSVVVNVRNGIADCQPGRYVYHKHHYMIKKTREGCTLDFPDGTYNMKRLSGSCA -GINIKKAEHNAARSTFIIGPPGAGKTTYITKVVGPEDVIYCPTHATLQGLSKVLPACRFVIPPTADPSNY -GTPADHGPVLVLLAAGYHPGMNHFLDEACYANPLDFLKLITKTPLTCVGDPKQLPPVKFDSIVYLFDMMK -RRQLTTIYRFSQNICDAIQCEYDDKLVSAAEYQTEIIVQKTFQPRGLVLTPYHKDRVGDAITIDSAQGVT -RDVVTVYLPTPKSLTRPRALVAITRARRRLYVYDPHQQIEQYFKLTPTSGPTRPHAVVVDGQAKVIMGNQ -THPAEQFPGMCVTARPRTALEKKILEESPLIVDFESGIISPLPQVGRNLGYYYSPDLPRFFPIPEKLCVH -WPVITNKNNPEWPNRLVISLSPICNKSLGAESAGYYVGDSLFLGTPKVISYYITRYVENKPQELEPSLFS -TGRIALDCRNYLDKAERDFALKHAHAFIGETKGQTVGGCHHITSCYLPPVIPDGDVVKIGVSAPGRAKKA -VCTLTDVYLPLLEPYTSPPTASQCYKVNVDQRPVRLMVWKDATMYFQEGMSADALVQYANDFLRIAPGTP -VYVAPELMPALVNRRTTVNLHDRAPVAITPWSVDAELLISTSDPFDVGDGFRPVAAAVYYKESILGQQGT -HVFAYLRDPDYKPTGNYPPEVAQVFAACSKFRLKDTNSNLRLNSPSCCCSWQFSCYSAQVCPHNCSS - ->YP_009824945.1 1a replicase protein [Zambian malbrouck virus 1] -MICTCDRNTYVVRRSGTYICIGCSRPRMYKTPKPDDFHFFGHITGYMARPNAALYADMGENTCRLEMVTM -ICNASRCWNDLPQVIEILKTACHIQGNDYAPLMRHMPAQFKFVRVCGPEPTAYGFVSPTHCSVDYFPGAT -LALVPQYQYRGMERTYLPTKFDFGACMYNYGNYTVYLTVDHVEWLRGCLATEPAPADKVDLCKAAIETLP -EHFPAPIGWLNPTPTGRSMTASEAGHLKFVHGLCWTQLFSDPENEVKVAGCFGYLMPWGAQGAYISRRLQ -VDGSKLVRDPQGKYVLYTLGFGSWIGHIAEASWNPPERFVLKARFSVVPYNEPSSLPLYKLPKSLWIGGT -ASSKQYMPPPPPGYKDWGRAGMCWVKLFHPDDRHAEARTAIMNCQVTAFGVTGSYLSYRLIKARLTAEID -PYGDWFITHPVGDPFHRHIQECEPEDDDTVVIGRISIVPLGTRAFPAFDFGHGYYYGRRHKRGGGKKGPT -PPVSYGAPPVTESPSPIPTGTEFSFVNETSDVVKAKAPPKPKPRTKPALKLKLTPSEPSQAATPAEGSTS -ENERPEAAVVEMAAPRVSPYGALVKKCTGDQQTCVSLGKLVQIKQLPDVPADGSCGIHIFEQIMAHMRGR -PPNYLKFDRRYDDWATTLDVADLATAARLPVGGSNCVHMRYRVTLFDNHFRVEVNEDGSTGFSRQCSSFA -CDPSVPVDILNCDSVDITGWYEHHNGASSASDAMKLACQLCNYLLEEPGAPPPRRATVLKFVGAALKSEP -AQLFGQKKKPTPKLVATPASVVTSEAPASDPPATSAQSASSNADTTPLLSAQEQPAADDPAPSPKLVQEI -EGTVARPKSRFRPPDWQALRRYITTTCAHYCHVGRAPDFSRFLPYLLSLWNSSRKTLTGVDLAAAAFLLL -IGLLLAVLPTVVFIVPALILAIRARPHWVSYAGPLGMGVAYVGSVLLGPPPNACIVDDGRCDTALRALST -RFSAGHGHSYTLGPVSFVHVLFKYFVHLEADLHLLSSVLCMLDLLLCVLWLFRRRYCWRCFAPCIRTAPA -EIPLRAVPLTRVSSTTLLDICDNFSRPPVDIIKMATGYKGCYCGQLPCMTEGIKPVSYSKLDTKKVSPRT -VIPVPTTPNEAVKAIAVLNARGVMARSAHTVERVDKLPCKNPFFPYDLNLKRIVVVDAETMDLFSDLGVD -VSHLVVGDGDFFKVMGVARPPANVAIKGGANLDFVLIFAWVVVWCLAGNYLQVPTPCGFGTPNPFCRGTF -VYPTTHTHGVCRYGFCMSNAGVSAQGLLDLPRFGIGGFFVGVLIAGILGITWAPLDALVMSTLLVLPSGS -LFTALRVVIIVLAAKFMNFRHICLGLALVAPFDPYAAVTGLIILGCCVLSARYTGFGGLVTPYDIHAYTT -NPRQAIALANAREGTYLASVRQAALTGKPAWFVPSNFGIMVEGALRTGKPHFATCGVTGSSTGTGAIFDH -KGKQVAVTATHVLSEDKTAFVNHSGLTKCISFKTKGDFAIGEVVPPFPGDFPPYVPTEAYEGRAYWLCAG -GIDVGYLSKQGAVVFTGPGDSGSAIITASGQVLGVHTGSDSNGCGAYTTPSGTTTVGSLKLSVIAKHYEG -DLVPVETVPKNVQADVTMIPRPLANIFKNSLHLQGGLNTIQLFISAVVLWQIFTTARNIPFIVAFFLLNE -LLPKCLVRGIYNYVLFALACFTPLAPKILYIRLLTAALNRNFSAMILHILIAAVTVAADTLLINDFAQAL -DVTSFYIVPHTTDPMVTLVCGAGIILLSIVLEVSGYPGLGNLLSCNGSFDPAFFMRYVNEGLRDGVGAKI -GVEGLTAALAKNFSADELAFLDACAPAKCFTCAANLATTINQFIQSAEVKNLRAALSSVSTLSAGQQALA -RLDAFITGRPVDLCPGDVVVHLGLVGSDIFETYVGDKCFLAAPVQTALVAGTKCTLCKIIGQPESSVTTN -GKYLMQNGKLLADAPVAKLECDGTKTQSEKRDRETRLAESQVVDVVKVGGKQYKKMWDTVTGDTFYVEEG -DIKVDKSDAIKALCLDCSLSEKDIKKLRALITRLQDMTKDEALNC - ->YP_009755872.1 1ab protein [Chinese broad-headed pond turtle arterivirus] -MGAGLSSCFEEEKFIISYPSSQDDDRVTTYRVVGDRRCYIRCGSIQDSGYFRFVSNVAEAHRPVVYFNGL -PEEAIQQYGAGVIPHLLSESTKLQPGSDLKLGSLEPRYKLVINAKWGENTNILPSYSPTTWCPLEYSGFQ -DLDLEEDLNIQSWSSEIHNGEFVVISVHDDAWSWEISRDLYEQQFMHPLHMGPCAALALLSCYSPSVVVG -FSGGSFVVPDSYYSGVPRFSISGKHVQYNCSGSYVASHSKEGIPFDAVSGEKIYLRHPLSFDAFDAIVAA -TGELIPIKNFTKSNSVRNIDELDEVILYEELPCNKARCIVVIGHNLLDLRTNTEELEDVYYPSCDDIYPS -PRDTHGRREQFVSQRQFQKLLTKSTKFNPPGDGDCGRHVLRYCAASTGTNVDDMATYIGEWGSGWVSFED -MYKYCMMKKLPLGFNEEIRPGTGTWLLHNNHYYMLSGEFNIGEALSSDSIRPPTSTNPAGSTRYYLDGLE -CTQKEFEDWEEADWESEESDTASTCSTGSSQSETEGSSDTSSNTEGLIPAPQSKPLVTPQPIISAEEKAV -SSPIDTVVSPIPPVVTATTSTNSSVKPVASFCDPPPDPKYWQYFNDTIKEHKHVLGPGTHIHSAMLRAST -FYNKFVKGTTNFRPKLSYDKAKYELGLSLPLLLVLLFGVLQAPFSPVGGAIIIGVGSKSRNVIFKALFSI -IGAFTIYQCTLERRVGHEPAAFGPISFLLATLYHIHLHTILLDVVCLALSCLVVLSLTFTKVVILGTQNI -QIPLLTRIRIGCRFRRVLRSSMYLFGDEDPIVYVTESEGIAWHSFDIKKYTPHTVMSTPSTTEQWDSCIY -LAYISGAPVTVIANSVEYCLPSYGPEKPDNLPSYWPKFKPGPRLVIDMGLKKATENCSPTFLSTVSYTVT -KSTYCLAEVCGEFPWDRNLWTSSFYHGSVDGISEDQRDIMSSKGVVCLRRSHVDKTTLVDRIHQKITLVL -SSMPPAVCLTYIFCYCLLIPLNFVTCGVGTFDPFCYNPFAEVSSVNVTCSFISCGETNNGIVKTSLGFQR -IITNVNIPSWVLYFLIWSLQLLTYGPGMRVGLVRAVCLIKLLTSWFSPEAYLFSCVFEVLCMGVGSSPHV -ILGLIVYLTDGNLRLLLLVIVMILLAIPLLTLSLHPSAPTVVTPWTSCSLMLKYGVSSSEMCALSLSSPF -IKAALDCSTRGTFSLYKPPTIIPEAAEASRPHNSAWVGSVYGANGNGICFVSGKKIYSTRHALTNGAVCT -FKDFSVEANNVIYSGETACFTPDVDCCMPMGPKFVDQRAYSGRVSVLGALEHRGVIVNGGYFVQSDPGDS -GSPVLATDLPTDVVIGVHYGSNKSGFASYSNLAGELNSFNGCSLSDLNQRSAGNLVPLPSSFVLPSGITR -DTNIIATDLLSCFTRVTPESCDLTLIIVILAVLRAFGPHGPVASLGAFSILVLAPKCIRRLLDILVWTPI -LVLDPTSCVWLLISRVFSCCIVKKVNVIFVIVLSVAFLLFVNQEELYIRLACSFCLSAIINFAIREQVVF -SVKPETEEAKPEVPKQEEKPTPKRLYNVPLSNVLSSQGNNVDTAVFMECEAQELTGDDKLTLARLNECKS -CIKAYNIEKANFDFMSAQLKRLQKNIAASQCASQSKSDLEKTQTCLSMFEPNSTVVPGDVIITPIGKTEE -IKDKYPNALIRGEVFLFAGEVYSIFDVVTPETSESIVSVIKTGDVMVFKCGKARFGAITGGIIFPFSHCG -QNYGFSSPPSEAVKSFVRNNFITEEWKYSKMSEEDYSKFEDLVFGKYGEKAPAKTLSSDAAKAVNALRNN -PLNLDQGGASLSFVNSSDKGLITNKDLTIVRGDPAVRLKLCVNRYPCPTTRESIVNLRGCNYFVSDAQDP -TVLDCFISYIVGSEVTIPSFTPNYMHEVGDYYLDGSCVDHDSPLGEVSYFSQQVTKAFNRAFNYVQEHSI -SHTWPEDKLSLIEKILEVYTPTRPEKQIMWTESKITNSPLGSFPQTETSDRLNYCAVPETPYVYDDGESI -LRTPPFTSPRPTYHFCIEMAQKLGCPTRYQLCGDYALASEDVVKCFLNGTGCFLDCEIKAAVLSLMRELE -KGVDTMELPSYRHSRSGVGSLDTKVLSTLYTPEEFDLGAPFNTTTTMLVKNQCISKKKTRTVMAGTATNL -IYSRLHNYENFVRFKSLAKDPTSPFCVGINKDSDDFRNKHATKFGPRAFCSDFASCDRTQSCLLRTIIAA -ITTSGTQEWIRSLYSEVWDTCFFRSVFIKPGGTSSGDSSTSFVNSCYTYLCYFIYKIRVLMVKGDPRAVE -LYCNGTPFDLLEEERPWLIFSDDGLSYDPDFTPVQWRSFIASSCGFYGDVHKSFWSDTPEFIGCDVINDN -LIPQEDRFISSLVHTQCRTVTALVEHVQGVLISNVGISKTNPALFNTAYSVFQELCSKYGMEDFGKIWSK -ENIMSIHPAVTEESSGTCTFCGAYCSTYCATCAAHITMCVGCLAHHCKANNHTGYYELSCDCGRVSGLSM -TQKGVRCDECNEDNLYALEDLFFLRQHEKCSDKVLTFMTGEVTPEEYYCHGLAVFNQEVIESEKIVSIQI -SQGSVRDPRIRGNRYSWKHCNMVIRADGTVVSSCKIPDGIYDCKPMKPSNRGCFRTFNKALREGRVSLII -GPPGTGKTYHIVNNLYSELDAIISVTNACSNEHVSCLNQAGKGCIPKRPKLQLVDMIPSDKNEGPLVSTL -NCYPYDTSRRVVLDECFMGSPASICSLIGHSSKTILVGDPNQLSNVVVGAPSACVWPAGLQIEQLNVCYR -FGNNMVAAIQHLYKSPITSPRPDLKINFLEKPGNSGLVMCAYQRERRAGHCTIDSCQGLTVEEATVNLYT -KNSFTCNKSRILVAITRAKSVLNIYDPQGCIEAAGFHLTAPETSISYACVDFEFGKIRVSSTKHKNVAIQ -VGCINDTDVLSGPIILPSHDLGSVLSNKGFEKAHHIYKKASLNVRTRNTVRLAEMLEHRVVRSYSSSDVP -SLLELHTEMGNCVVCSAYVGTSDGEVIICRSHGKPILKTHFHIDVITPSGPLEKLHNEHCSEFHGLAHEP -LSDAIMLACVTRTPLEQVKFAPGLIFKPNVTHSSYPTNKPGNCPTTPGFLCLSCGVTPETNLPTPLPVVL -RNLGIGFSDTFPILPIIPEEARDYVPILSNKPMENGLMVSKTRHDENSFEMKNCGYAVGNSVFVADNTVF -YITRFVDGRTAILPKSILSTGRIETNFKKVLPGEDDYVGNPKFGHAVSGWPKKGTIMGCHHVLSPYLPDK -LDDVVGVSDGCGSTKAICSLTDIHLKDWFEIIPEEGVSKVITATINFRPVRFMNWINHTSYVIPETDMET -YRRGLYTMLNAFTFTTCPTIGGDCLGLPNDTDVDVYVTSEEARLPCLTNPMVVLLSPLGCPPVISRLTSV -FRLGCVRYYLYSDLPKRWGPVDIIRMKSQKHPGSRLANYLGPRVLVTEKLLTRLNAGVLKAHIEASKLSV -CLTLAYLNKVS - ->YP_009755862.1 1ab protein [Guangdong greater green snake arterivirus] -MEYLTSKLADLRIWSENQEKEPHKRLPLPSQVFSPKHEISDKHQRWMNRHVIWQSNNLQNPTDPACHRAR -IPLTLQNGFYYHSTWDLPKFCDEPGRCGSFCFNDWAYCICCGAPVGVFVAGPYGCFWRSVFPAVASRLFA -TEDPRVLAKMLKSALYCTGSSLYSAATSAQVAICMAGQGWIRNVSFGNCSNRTADSRGMTCYPFNPKTQK -TPIPGAVAYFNYLHISTTPFDEASYCLANDDTFVLASDIDHGILSFQCSWNGLPETLHSSYAKRVPVTDR -NPGPPSPRCPRKAAPWMVAPFPKPTNEENMLAFHAPLSHFAAQKWLKIPYIDGPNNGIVETREGYWVQPG -KIDPKVNAAMLMSARAHGIMTTGFIKRSTLVQAARGSFILTPCDTSFASFDAGIAPLFLGRGISSWCEPD -LRKIGGIPARTTACMHVNQFNWLTYKNQILCCPHASEAFYHPEGTTGSCFLRFAPIVYAMGGVPTAAGFN -KSGCDIPTFSDAACFKLTKRVWDKNDTDTPICSIQGRHAVEPTPGLPSLFRGGFWDRQFDGNLGGRRNGR -RSRHHGKPINWVSKQQKENITQSIRAGGKITLPIDGEERVLFETISDLTQSSSDELEVNPQTNPEINDWQ -MADDLLANSISVQDLPSSLEFSRESGLIDEPTPKEVMAAITILRSMKKDTVEGSRTPPIPKPRKRTLKPD -ANARAVKLPQEETPLACSELTLLTATEKESLLKTCSDNELRLESEKQMTMLTSRSLPASAPCTPLELTSD -QSESSPSASEESDVSSTSTDAGVVLHRDQWFAADVISACPLRFEDPTACRCVDVLVTTRRKSPAQRWVKT -DSSFEPYVKLGSTLPTGDYNWNLSKTGFVAYDNFPNGDCSAFQKVDYSACPDGTHLTLMLQEKDHVYPLQ -LSKRPCKRAMYTTSYPGTIMEVQHLPPPYQWYNPKMDGWCGYQCIRAHLKLTDTWDSMKFVLRCCNLNPS -HFEPYWGSELPFDLWLCVGHFAPGEKANISLMTPEALQALGITLALSCTNCFVPLNCTSVMYLTGNHFNI -FIWPYSTSARLLSDAKFGFRTPGSIARDTLSSPPLVIKPSPIPYDSAESTLSDTQSECSSTLKTLITTSN -KLLESVTSRITKHFTTTDDDSPKTAPTASTQKVSLKKQLRARRQAQAASNSHEDSDSGSDCSAKVKISAS -GYLPLSVAAKVDGFCRLPPADCSCGDQAAIAVNGESYCWNCTTHLSPSTLVKKHHKKKAEFKLSKVCDFE -LKPTFTKRWHKKWSLKPDPFDTSLVSFLILIYWALFHMTGLYLVPFLLAIFGTFCLPFRSRLADPLHLLG -TVIVTSLEVKRKQYLCHDYLSCLEAYGVDPGSLYSSALLLPLGPFSVTGWLISMLVNARGSYVTFHWFLV -LTDLITYGFITKTFNPHFWCFGRCYKALPKTHNVFTACGPFPVSEALLDSLCEKYTCFGDIIWNAFHKRG -HYFGDENPITVISAPGRPWAGYDPKLAKSTTVFEYPTTCTQWATAVRHLCTVGGPVRLSCPKTPSHDDLS -DSYPNWMAGIDLPDFMPDLPVDEDVETLTVDAVTGAKLLEIPFFKRAEDKIRIVNTQYTLAEENNFYPDI -LNRADTCRYIRREMLKPDMTNSLTAEEIQVLADSKYRVGGFTPLIALTVLALICSTVVLFWSLPCGYGTA -DPFCVNPYRSFHPRLHDDSSYCSNNPYISLCISTKGLSTPTIFAVARNNVFPTAFAIVISCAILYEVLFG -KVMITLVTGFIFFTSVALASLFPLAYPLVHVTLAVIAWKRGLRTGDLLIAMIGLVACSSLHYFLINAGLY -IAIFLVLTFSNVSFGFSGTAFRLHGFGLLPCVVTPNTIVQYSNATNSSLQSVMTRAASAPNGTILAACLD -AHINNTNYLYLPRDQGVIIKESGLRSSIPSKFVFKVISDTTTSTGFMAKDRNGNVTLYTTKHALASDRLI -LKFPSGDITCSRSQWKVEGENASLKYVGPDADKAAMMEWDNPSNGLVTLHTCTGTDTAVWCSRTYVSQST -AGDSGSPVTQGGKLVGIHYGSNKAGYAVMSDQYGSLSSTNLVRLSEVDKFFSGPMIATPSFSSLAIEIDT -EMIHSDTAQALTRHAEQSAHTTVVMVYMIIVAYCLRFVQHGPAYFAGFLFAYSVIPAWLSDTVMILASVG -FELLHPQTYYLSILRCVHIVVGGSFSQIFTMWISTCAYNVACWFYLNEVPYFSLYSSFDLEIKCVIFGAM -VALQLLTMFMGKDVFPWGPPLTGTHRTLRRVMIDLLKESQTRLLKAFCGPNFPDAVVTNSQLPCPSILTP -EQKKLVEASPECRTLLKMLGLLTEDPVDNVLTVETPVVNGWANGDTDEKVEYVPYEHKHPPASTHIQISQ -EVVLLDDIEWLKKTASDPKIVKDLNWLSNLAQKQTADFRKALRRVIVPQTTVPKDLSISALVDAIQPPLG -TPKRIVFIDCDDLVYNGSRYQAIETRSVAGRSFVIADRIDEECTQFDTVSFEGDFIFVTHRGRSNVLITR -GMFHRRLFGDKEFGFKDSCSKAWAQTAWDLVQAKIKEEATQSGNKQSSALLRQFDVEATQSGNKQSSTLL -RQFDVEKSNLPKPVTVKTLCETLTSEDMTDTERLERLLKFISAQMPKNLSRGPLPSGPQQHLGNPSYINV -VDKGFVMRKDNTVIDSGMEFKTWKSDDEYENTRCKLGYSCSTVKQIDQCKVSRSFKFSAAGAVLERFLFG -DQPDIKLCPIHYFKSWGDSGCNESCWDWEHDDNWNDDWEQTTAYSLRFAIDMFNDEPLFDTHWMAKHAKH -PVTKFIIENWHPPKPRHSSDVIWDYSEEKQKCFGVLRDSWIGDIHYKTWEMTGNVHHKASALAAKGIPTS -KGERYPISEPGTLLPAWSYNDLSDLESLPYLPQRYLAPINFSSLRDDVLKYSFVRNGFFPFHWIDRALQQ -LDHYFGEFENYFVPPSEIPTNSSSIGVNGTLYTQKDMMSVITQEELIQALDEVWMCPVAITPKIQFCASY -KVRTIFGSPGLSSCAARWAFFALNTHLHEAVHKPNNPICVGLNKFGPQIESKIPPRFDKIMSVDFESCDR -STTHLMRAIQQTWFCDKIGKMDWLKFLIVNTTNEKCVFMGTDFTKPGGVSSGDANTTLANTVQSVVYATA -YVLFLAEVYAPDWRASDWDPEKLYKLAPYLCYSDDTAFFLDSSNEFHKLITPKSFSAFMSGISGLKFNEK -KTTISKPEDAEFLGCSLRKVDGQWRLVPKPERLAASIYYGMTGSSPQTRFASSVAACLDACALSVAEPKL -FQKFLDVLSKIKERHHYDCDLSLERFQLAYYEHQGGSVHVNPEAIRNCCFCTTPRTCNCDCGLSFCTLHG -IEHSLACSSHECTSMLVTCCHPPKYISYNGEFFCSFCTGNPTIAPTATPRYNEHYKFSGNSFWNLVTFAF -SHYHWQSLQPKEVVVNVTKGTTPNGYYGMHCKIGRNRYVLAKSDGGLFRLIPELPDGQYMAQTIPPSMQG -INFFHAATNHAKSYEIVGPPGTGKTYQIANTLANQVNAILTQTNACSDEHAAVLNAFNVPFTMCRPQNSA -HGKFIQPNKVGTLLISTLAAYTGSGTVVIDEVYQACPISILKCLTTNIVYALGDRMQLPVVTDSKHQAFQ -MFRKLKQLDVCYRFGQNMVDIIQHLYDDKITSACSRDLEIVVSQVPSEEGQVITPLHRHRRGNALTVDSS -QGKTFDCVSLNLYTASGLTLNRALVGITRAKSKLVIFDPGRSLLRHFPKLSSFYPQNAQPVVEERLDRVG -LPGYPNSRAYVDFEFVHYHGRDPPHPIEPCSAGVVISHFGKIQRSHSFNFKLPKYPGRFVWKPPGFKHLP -LDGEDSVQDFFDVLLYVDEIVVYNGEQDIKLLNILAERHKFPLSAKLIFNLKGPALSKLVDYELHTAIED -AFSLCKFMESTDDIACPLDSVCNNLGFFFTSCRHLASRGLTFLPEKFQEFWPVVSTKKLPNCLLLSKDPI -DKNSVKPRYAGWRIGASVFVPDSELAYPDWFTLVEDGKTQPLPKTLFSTGRLESNIRELIPGEKPDSHAY -GCDTKSKGQLGGCHHITCPGLPPKLPEGGAVRKMGVSYGGKSKFLCTVSDVYSPLLPTTTHNGKSEVYKL -NVDYLQYRFMVWEGHTSYFQLDEESVANPGMVRAISKTLGCLPFPKGPMVRCDVPGLKFSPNVKFSDVDY -DLLVTQQLPIEIQTPTCFVTTETPNLRLKHLNLIGYKCVNFERQVYSCVLLDKGAQSSKQILKSFLLSYE -ACKLRSQDAYVTFDSNLVSLQGFLPRSICPKFDPLWSSKEDVLEPKDLELVKLCLM - ->YP_009755856.1 1ab protein [Hainan oligodon formosanus arterivirus] -MSYTNFFKPSVAERLHDRFRGKTITALEKGQFPTGFSIDEPLSRGTTTKKNDKPCTHAARTYQTGSHLVC -AGCNRRVRTPHFNEGCCGAEIGVPSIAFYCLGNIFKAAEATTGGLRRIDIVSHLTIDHILGEWPFLNASW -THSPPKICGPGVGVWVVPVSQEIDGDHFYASRTHCSMQFFADAEWKICRNKPIPTPIAMDFQFNWRGKPK -VTRFQILPKTYHGKNYTSFTIEHTFDKIRWKHNPEVTTDHRLALREEEFEMGVSACHSTPPEEWIQRSAL -KPVTKCGPVHYISNDAGLRHNVFGYRTNSEMKTFQGLASDTSIFPKGTYAGRVITNVGLRHTTEGIVGGT -CYLHLFKRERQRRVLEETVDVLRQKGIPSSTLRTQLRKHGLKICRFNSRCRQLPETTFGVQVMGRRAHID -PSQCHFATYPSDTDLEEVNLLDVVDYVGSTVGWAEEVDAQEKVTEKPKEEDTAKIPAQPVTTSDQNTSGP -SVQIPERQQPSLRKRKQTKNQPSLGNARIHLISDAGVIKKHNLENSSPAKYQSRPSDGGCIVPQTSCVNQ -NTAVLFSSGKGKNKHLKRVVVDGHIHGEEKGRVYFLTNSQSICAEPTFVEVDKDSITREVISPARDGWCG -YTVAAMANGLIQKNQGESILFHDEAFGRVLDAIIAEDYFDASQVDAIMDHFNNMEDLPFDLWLEDDIVSK -LSGLLVVNGDQTKLIPAGMDNVLVYKSNHWHLNQHCFVLDVAPNAAADGFEHMAHVLQPSLSVKRNYIPA -IQDQADCSEDRCCAPACHYSGEPLCTAHLISRGGNPKTATRMTPKKQGFFRRFARDVADVGVDCLTPASA -EDFFIMIILTVCAVLLSYSSFLSFIFLLSLTVAAFFYKQRPAGYILPGAITICALFQHIYLALKAKPDCL -TWSPDCERYYGPQDQKEHPSFSLVFGILGTFMKLTAGPDRQMTTMLMAVSAVGDLIIVLCICLMYKVCRR -CLNTCHRVKHKGMNGSFGQAVTGPSTIQYAPETITTFGDIFKKSECTWADLAFGVPVCYAGKQDQFVYVN -QPSNKNFEDWTEKDKTSDDLVFAMPNTQLAYCHYVRYLMKCGTAKITLPSSTRSTLPKNQVYPQSWENFK -LPSFMPNRPFRSRGINLKVDPLTFAALEASNFPGLEQVECVPDTADYFPSTVGATDIVLDPNGIPFIQGA -HKLYSLTGEQIVKLQEAGYNFGVDLRQRAMQYTLLALQFLLFLVDRLRQRPQCGRGTEDPFCRNPFATPK -YHLPLIPVNSKCDGSVCSTEEGLYRRAVVTGIDFLNLRTGIAIMVVFSIIITKAYKRSSTSYYALSCLSL -LVVQGVLTPEQGFFWFFFGAAADVITMRPAAQDCILSASLYFLLLPAPASFAAATPYLILLIIILNPFAA -IHHGFDGAIVKSGLLSKDPRCPVYVTPTTLSEISAANGVTLTTAITKSAADPEDSLSNCAFRCMMDGKAR -IFIPKVRNMVRFLQESKARSQTKTQSTVMLTSGISVGSGALFTASGSQIRHLFGKLGFQVPGFVTTASDM -YILLLTASHNLQGSIRVTLSEGSSFKIMENQFFKKNDLAVSILEPEHSNIVTFNIPRLTQTVDYTGPAFW -STRTGVEPGFTARSKEGNLFFCFTDPGDSGSAVIDSSGGLIGIHSGSNQRGRCVVTDLCGRMAPLNDIYL -SEVAPHFRGPLVPVPELPPGLVKDVNLIPSLLATMLTENNVRPEASGDPFFLLCASILSMFYSSNGFGCL -PLAILCCLLTFLPRKGGRIFIECIYCIMSLLDPRVVPVVTACKLASSCLSCSKSVMLAQTCLAAASTFIE -MACDTAVTGYIIPSSLLQSEFGPLAITTYLLILAILAMLANETPFDLISGSTELSAKAALCRILRRENHP -VEEHVVGGGLDQVVSDPAPKPTKVVVTQEASTEVVAKAFTENELDVLKKISGLKIFTSAMNMQKAFAQKL -AADLRRKAVEIAAVTTLNLKNGFNHLSLAKFFSSTDVSTGETAVLITDDSHEKGDVVPIGSATFLINAKY -NLLGCLAYNATCIAQESDIVNGCTYLGRHLFKVGKYIHRMVEEGPHCKFVVNGKEYGFARPVSETDSKLL -VSTATQMLEGVKKKVSSMKVEKNAPEAVEAVTAAIIEHYQESDTPKKRPAELPMTVWGYPGYEEAAKLSK -EQRFALAKKLMDMEDEDESLNLIRGQDHQCPRTAFCDSADVGFTEVHTHSCTDGCIEIKIASEQEVANCL -ERKDDPRQHLLAIVKAASGLTLLIRPYLKTFAQQASDILKGVYPTQEDVGAPCPVGNPGDTGFAGYKDLE -SLNSPRSVKLSIDFYNAFSLANGFIPKARDCFSNLCLPLRNVYNRFSHNCKLPIIRDYDSDTLVNTLYGD -IKFTRATNYEGVIALSEIHPNKPKIIAGGKPICTASFIVPRLFLPTAPKEIYNWIKEEDHKMYLPLATPN -NLADDLEKYWMSCYGVLLPGVAEMIWNFFLSHYGVLKSTEKASTIKSRDGKAGPSAEIVSVKKLQSCDNI -DEICDLVIARKVQFTTVVCPKTQFLQKNKIRTICGTNSLVCLALRARLAGVVGGIHCAGVCHCPIALGFN -KFEFGVDAPYDESFYFCADMASCDRSTPLIYRYFTLEVITSLAADPELLPSMILNTLHDQLELSGHIFTK -PGGLSSGDPVTSLSNSIYSLIIIYSFMFLCALKEQDREIIEKYFSSNLNLDDFSEHIRPLVYSDDICVIV -NKKSPYAKYFTSKKWAAAYQGFTGIKADVNKSFGSDTVGEFLGGYLRRVGSKIVWHPSPSRVRHSLMTVW -NATSLDDYYVKAHAILCDACNGFSDGCPDFAVFVDMLQRLRESARINSPGLEDLFTLTSIEQFGDGFNLE -SYRQEKKQLFCGHCMQFPIAFCSCGFKCCPKHLVNHTSKSHLLSPYVGFCCHGGEFLGIGKDSVVCCACE -NGDPFITVFPELDNSEIWTKINSKYELDNANMAGVEVEVFDQGKVKSPVTGRGVISRSTCLIRKDRDAQY -LTSNRVIKPGKYVFYPTRSSLENIHIPTAKANLAKSEFILGPPGTGKTYSLLQMAPPASSVYFATHCCLD -GAITCAKEMRKKVTFKQPSLSIYEYRNQEDSKPPGMDVHLAIGLGFEPKRKALFDEYTLQSNIIIARAAS -SSPIVCAGDPNQLSAVDNEHFKISNFGRVSQLNTCYRFGQNLCNIIQPVYQDKITSNRPHTKISFLTQNT -PPAEDFVCLTIYHRDKREGWHTVDSCQGSTFDKVFLSLPSICKGKTKNRLLVGLTRCRIELAVFDPFNQL -SKAGFTADVKAEAGPLPPVAMDLEFLFNPHFFAAEVSVKEIGGQWLLKDALLPNPSGTSPPFREHESTPG -LLRKWRKEHFGRKISNASKLREIVDTRKCYVFNGHNDVKALKLLGFNLNNIISLKTKESLKKICEDLGWE -PEREHTSSSGTQILHDLLCFGMEKSKENFQHPGPLPRVCMNLGFHFATKEGYGLPVLPEPLRHLWPVVTD -DPGLDGLLVTRARHDAFSTPAIWAGSYLNGAIFVPKDSEPAYYLTLRSEGKSHSTPETLFSTGRFETNFR -EYLEGEEQFAKKWAHASIGEIKKTTIGGSHHITSKYLPDNVLQGSVAFLPVSKPGRASKLLCSVTDVHLA -QFEQHTSIEGISSVKKIRIDFEDQRLMVWKDATFYIQEAFTCIPALVQFTNGLKFPSNYLWYNVDLETIS -INTLLTTKKSKANVVISHAPQELSDGQFGICLIAPTTKFKPIKILKAHCSTYYGAGPVSVVLYQKSEVPD -NEPLVRAIERAKFCPTRIMSSKMSISPFTFSPSLQDQVQWP - ->YP_009551703.1 ORF1ab polyprotein [Rodent arterivirus] -MSGMFDRCVCTPNARVFMAGGQVYCTRCLSARNLLPLPQQSQSLGVLGLFYAPKEPLPWTLPQGYPTSEC -SPAGCCWLAAIFPLARMTSGNSNFERRMEIVASTLYRDGVLKSRHLDSLQVYDRGCSWYPITGPVPGVAV -YANSMHVSDAPFPGATHVLTNLPLPQRKCRPGFCPFEEAHAAVYEFGNSKVIYVTEGKMSWAPRGDSNMR -FDPVPKELQAVAVRVVENFPPHHIVSTDQFSFVSHQVGISYRPDKTSGSLDPECVPDGYCWVEVFASLPL -ETQAHEIKLATQFGYQTKHGVPGKYIQRRLQVRGLRAVIADDGPLFVSAFSCKESWIRHLSLDDDTPGFV -PLCRIRVEPNTAPLECESEKIFRFGQHKWYGSGKKARKAGGNPAEKPLTKSQMAKVTAHESSGKRAAELL -NTYSPPAEGNCGWHCLAAVINRMKNDVFKTFLAERVRNSDDWATDGQLADAILIMGLPVGFDRGRVCPNA -KYVMKLQGEHWTVSVRPGMTPKSLPLVCVQGCCSSVPHEAAPLTFSPDCDRALEDAMMLPSSCVPAGLSD -WLNRASPVLEKWTVAQIYARYECCKSDKSYGSFLSYHQLLQNTMCGDPKKGRVTEEELNDAIDQLIDGSG -DIKECLARLTKVRAPSLFASSVKLNDFFPDYVPAASHTAQAPPVVEQQNVCMALVPVKPTGSIVREAAPA -ERLGCKDRLKNVSVPLSVQSVMDFTYPNCHDVHYRITEVLRRAEWCLLARYGQVYQYAPTSFRDMIDECK -SELLAIADRQCTLEMMANVLEDIDITAFTAKYKWTPENEPAPRLVETRQQRRRPQTLRSTASEPAKKKVE -EVPECWESLADSEPCDLSAVSKGGQRAKFANVSTEENDVEIPVVEQPLCLKAGTTLGPASAAPPATLRPQ -HEVSAPTDASSDWTTTSASSALQDEPLDLSGSSQTEWMIVSQPTFVPKTRPNTPVADEVFDDVPLDLSSK -PGSSASSVVSEPVVMRPKNSAQAIIDANGPLSSHLAAIKRNVRKVCQQACDPNSLNEPETKDWLDHMWER -VDMLTWHNTSRYQASYQLASMDYLPKMILETPPPKPCEVVFAPADTPSQSLQSESDITLNDLGSMSGSIC -SVTPSQLHEKLAQMEQAPPGPSKDLAAPKASEDPPPPGKIKGRFEKIVNSACDQVFALCTHLPSFFAQVF -RPGGGYTSGDWAFAAFTLCCLLIAYSYPAFGCTPLVGVFSGSARRVRMGVFGCWLAFAVVLFQVDSEPVG -AACSSDTPECRDLLLAFEQRQLWNPVRSLILGPWGAVAAILGRILGGPRYFWHCLLRFGFLADVLFYCFY -IVSQGRCKRCWGQCIRTAPSEVPFNVFPFTRATRQSLVTLCDRFSRPKGMDPIHLATGWHGCWTGQSPIE -QPCDKPITYANLDEKKVSAQTVVAQPYDPNQAVKCLRVLQSGGAMVAEAVPKVVKVQTVPFLAPFFPKVR -VDADTKIVVDPPTFSAALRSGYSTSALIIGEGDFAKINGVKVGCYVAPSGGAPYLSALVHVAISIALHLI -AGVYLTSVSSCGTGTNDPWCTSPFSVPVYGPGQLCSSKLCISDKGLTLPLIFAASNLGWSEAGYIALVFA -SLLFVANRLALKADLILVLCAMACYVNPMLAWIVCLYPLALRHFTLHPLTILWVQFFLVVCNAPAAMLAF -VLLVCLWVLGKYTQVAGLVTPYDIHAYTGTPRGAASVASAPDGTFLAAVRKSALTGRTMMFAPSTTGAIL -EGAFRSQKPSLNTVNVVGSSMGSGGVFEYKGKKICVTATHVLSGHSARVTGPGFNRMVEFKVTGDYAIAE -LPDWQGVAPKCDFAPAKWSGRAFWLTSSGVEPGVIGTNFAFCFTNCGDSGSPVLTDGGDLIGIHTGSNKV -GGGIVTRPDGSTVTIQNVKLSELSKFFAGPAVPLGDIKVGPHVVVDSAYVPSDLAAMLASSPTAEGGLST -VQLICVFFLLWRMMGHAWTPLMAIGFFCLNEILPAVLVRSCFSLGLVMLSWFTPWSGQVLLIRLLTAALN -RNRWSLLFYMVGGIAGFAADLATTKGHVMNVVLNYSTYLFAPRALVMLSPIPVAVAIAVHLLAVLLWLFK -YRMLHNVLVGDGIFSSAFFLRYFAEGKLRHGVSASCGMNHESLTAALAVRLSEDDLEFLKKLTDIKCFVS -ASNMRNAANQFIEAAYAKALRIELAQLVQVDAVKGVLAKLEAFADTAVPSLSPGDVVVLLGNTPVGAMID -ISVGATKHTVRVIETRVLAGSKMTVAQVAELKPSEKLAAVPVTVPVSNLENGPEFAGEDADYIPGKKKHR -RCERVGRFQLDGEEYVKFWDRTTGDVFYAAARDDHGRDVVVRNGRVSYESSLPEPAPLSQCQPAGTVTIA -GVSYRVYKAPDGRRVLAPESASCLEAARINIEQALTHMGVDQSLTAAEVEKLKKIINQLQGLTASQALNL -LTASGLTRCGRGGLVTTSTAVKIVKYHNRTFSLGSVNLKVAKQVEAKQSELHGHPVVAQLQDSHVVLLRP -TVPSLIDVLINGADTQPQIQAEHGAGDQGVDGTVWDFEACPDKPAVELADQIIKACDVRRGDAPHIGLPY -RLHPVRGDPYRESGVLKNTRFGDITYLTPSDTGNPVHAVTCHTPDGTPVLDGKNVVCTTLPAGFELYVPT -IPAKVLEYLDGRPDCPLMFTRHGSAAAATEDLAKYNLSTQGFVLPGVLRLVRRYLFAHVGKCPPIHTPST -FPAKNSMAGINGIRFPTRDIQSLPDIDALCAQAVREHWQTVTPVTLKKQYCSKKKTRTILGTNNFIGLAL -RSALSGVTQGFMKKGVASPIALGKNKFKELHTDVCGRCLEADLASCDRSTPAIVRWFAANLLFELACAPE -CLESYVLNCCHDLLSTQVGSVTKRGGLSSGDPITSISNTIYSLIIYAQHMVLSFFKTGHPYGLRFLDEQL -KFEEILEVQPLLVYSDDLVLHQESTLVPNYHWWVEHLDLLLGFKTDPAKTCITNTPSFLGCRILNRRQLV -PNRDRVLAALAYHMKASNVSEYYASAAAILMDSCACVEYDPEWFEELVVGIANCARADGFSFPGPPFFLS -MWEKLRSNYEGKKSKLCGICSAPAQHASACGLDLCLYHTHFHPHCPVVIWCNHPAGSQQCNQCQSPVGAG -SSDLDKVLLEVPYKPPKTVMMDVTQGLTPLDPGRYQTRRGLVAVRRGIKGNEVELPDGSYQCTQILPTCR -DINMVNVASNILLSRFIIGPPGSGKTHWLLSQVRDGDVVYTPTHLTMLDMVKALGTCRFTVPSGTVLQFP -APSRTGPWVRILAAGHIPGKVSYLDEAAYCNHLDVLRLLSKTPLVCLGDTKQLHPVGFSAHCYAFDLMPQ -TQLTNIWRFGNNVCRAIQPEYRNKLVAMVPNTRIVRVPKPVAYGKVITPYHKDREGDAITIDSSQGATFD -VVTIHLPTPNSLSRPRALVAITRARHAVFIYDPHRQLDEFYDLPDEMTPVNIALLRDGQLLVIDRNNKES -SIASALGNGDKFKATEAATVAALRTVCADLEGSSSPLPKVAHNLGFYFSPDLPQFAKLPEELAPHWPVVT -CRNETKWPDRLVASLRPINSHSRACVAAGYMVGPSIFLGVPGVTSYWLTMFIKGEAQVLPQTLFSTGRIE -VDCREYLDDAERQAAERLPHAFIGETTGTTVGGCHHVTSRYLPRYLPRESVSIVGVSSPGKAAKALCSIT -DVYLPDLESYLQPETQSKCWKVNLDFKPVRLMVWKNKTAYFLEGKPFTWFELASFASYIKFPTKGIVCLD -PCMGPAEVNRPVTGNTQWGAHLSITPYDYGGQNILTTASPYDMPPCYKLLACAEFYAYDPITAFCDSAEV -GTAFLYCYKSGEDWADWNERAYGAQKRRPYKATANTLKFLFPPGPAVEPVLGVIDAATLGDSTL - ->YP_009337022.1 ORF1ab polyprotein [Rat arterivirus 1] -MSGMFDRCVCTPNARVFMAEGQVYCTRCLAARPLLSLQKQDKNLGVLGLFYAPKEPLSWTCPQGYPTPEC -SPAGCCWLSAIFPIARMTSGNGNFFQRLERVAQVIYAEGALTPRALDHLYVYDRGCKWYPITGPVPGVAV -FANSMHVSDRPFPGATHVLTNLPLPQRPKAQPFCPFERANANVWAWGDYVVYETEGKWSWARRGDCSVQF -DPVPKEHRLAVYHLIENFPEHHIVTLANYTFDHSGVSYRPDRHEGHLRPGSVPDGLCWRHVFGSLDAKKQ -AAEIRTAVQFGYQTKHGVPGKYIQRRLQVNGLRAVIDRDGPIAVEAFSTATSWIRHLNVSSPTTPDFVEI -CRIRVEPNTAPLESSDEKIFRFGQHKWYGAGKKQRAKRAAKEEKEMSPPPLTARQLADAKKTEIIGQRLH -ARLNAYSPPGDGNCGWHCLSAVANRMISNVFESSLPTRFRDSHDWASDDDLVSVISAAGLPVGLNRCGYC -CDAKYVLHLTDDHWTVTCNPGVAPKQLPLACIHGVCQHVGRQVGGSPPPVDKIHPGFNSMLESLMSLPSS -AIALGMQELLAVPADTESARSVRRSDVSMMLPNNIDLQLPAVPGEQVSALDLSRSDVGTPTDSVRETWTV -SQALARLDTNDAKKFCDIRDLLVGLFAASGVTQQEVDDAINASIASCSNLSECCAVLEKSLCRTAVTKSK -CDLTAYFPEYRPANPCRAVVPVKPVKTVTKETGWTPHGLDVRPQQVSVPLSAETVMNFTYPGCADAHYAI -TGVCRRISLGIREKYGFGKRHPTDPWYPDTPQDLTDLIDKAEEDFTQMAGAQLSLENMIWFCQEFDVERH -VASYTWTPCDAPPEVPPDSQVQRRRHTVDAPTGSVAVVAAPAVEVPDSWEDLCDGGASQPLPLDFTLGTL -SSVSAEPVQCEPLDLSTSGSVKFGPPASQCAGITSADLGPLAPPECGSVLDLSGSSTTVFDLTQRNESPT -PSSPASSASSAVLPVERPPKSAQALIDAQGDMCRTLTEIKFRARNMCLAACDPTRLTDPATEAWVNCMWD -RLDLLTWKNKSKYQAAFQLGDLSMLPGMILETPPPYPCPVMLPLGSPPRSATPESDVTLRTLSEHPPCPP -GACTEKDASAPALADSEDSPPPAPAGSDPVPPPGDSQAPSRSTFCGGIFASAASTAAGLCDGFSRQVFAV -ASHLPAFFARAFHSGGGYTSGDWCFAAFVLCCLLLCYSYPPVGCAPLLGVFSGSGRRVRIGVFGVWLAFA -IILFRPTPDPIAAACDSDSPDCRRVLLDFERQQPWDPVRSLVVGPLGLGTAILGRLLGGARYFWFVLLRL -CFLGDLLLAGAYIVSQGRCKRCWGRCVRMAPSEIPLNVFPFTRATRTSLVDLCNRYCAPKGIDPIFVASG -WRGCYSGDSPIEQPSSTPISYVNLDEKKISSRTVVSPPYDPSQAIKCLKVLQAGGAMAACRVPAVVKLTQ -VPFLAPFLPKLPVNPDARIVVDSETFTCALRSGYDTSNFILGEGNFAELNGLKIQQLQRPKGGFTYGMAS -LHAVVWVVAHMILGIYVTRPSQCGTGTSDPWCSDPFSVPVFGSGTLCTSNLCISPSGLTLPLATALRDFG -AREASIVGLVLLAVACVAHRLAVKADALAVLFSLVAYVYPLASWALACFPVLMRWLPLHPFTALWVHFFL -VACNPPAGGLALAFSFTCWILGRYTQVAGLVTPYDIHSHTSGPRGAAAILTAPEGTYLAAVRRAALTGKT -IMFCPSGVGSLLEGAFRTSKPCLNTVNVVGSSMGSGGVFTYQGKKVCVTATHVLSGNTARVTGPGYNRLL -EFTTNGDFAYADVPDWVGPAPEAKAAPPTWCGRAFWLTASGVEPGVIGDGYAFCFTACGDSGSPVLTEGG -DLVGIHTGSNKQGGGLVTRPDGQTCSIRGVKLSELSKHFAGPHVPLGDLKLGPHIETDVSTLPADLCALL -SAKPTLEGGLSTVQLLCVFFLLWRMMGYAYTPFIAVGFFCLNEVLPAVLVRSCFSFGMFVLAWLTPWSAQ -VLMIRLLTAALNRNRWSLLFYSTGAIVGFVSDFATTKGYFLGQVLSFSTYCFAPRALVMTSVSPVVIVGL -AHFMAVVLWLFKYRTLHNLLVGDGVFSAAFFLRYFAEGKLRDGVSASCGFNCESLTGALACKLSDDDLSF -LTRLTDFKCFVSASNMRNAANQYIEAAYAKALRLELSQLVQVEKMKGVLARLDAFASTTVPSVTVGDVVV -VLGSTPVGQVFELMVGSTKHAIQSIETRVLAGTKFTVGRVVDPKPAPALRSVPVPVPTSHLEWGPASDDR -VLKGKKSRKYEKISDHVIDGRKYEKWWDKASGDVFYRSSHDDDCHQTIIGGNGMVTRVGYEGCAPMDAPD -LSKCKLIKIKDIDGRRYQHWYDPQTKVTWLIECEGRPAVTDYSTALEAARLNVDQALTSMGAGQELTAAE -VEKLKRIIDQLQSLTKEQCLNLLTASGLSRCGRGGLVVSPTAVKIVRYHSRTFSLGDVNLKVTTEAEASE -SERHGHVVVARPSDGGAVLLRPSPPTLIDVLIQHADTVPGIQPDHGPGNTGVDGSVWDFETNPTKEELEL -SRQIIAACDLRRGDAPALGLPYLLHPVRGDPYRAFGVLKNTRFGDINYLTPADTNNPVHVAACYTTGTTP -VTDGKSVVATTLPAGFELYVPTIPASVLEYLDSRHDCPIMYTEHGSAQAAAKDLSKYDLSTQGFVLPGVL -RLVRRYLHSHIGRCPPIHRPSNYPAKNSQAGVNGARFPTRDIQGIPDIDLLCAQAVREHWQTVTPCTLKK -QYCSKKKTRTILGTNNFIALAHRAALSGVTQGFMKKGLNSPIALGKNKFKELTQDVCGRCLEADLASCDR -STPAVIRWFTAHVLYELACSEEALLSYVLNCCHDVVSTQTGTITKRGGLSSGDPITSVSNTVYSLVIYAQ -HMVLSFLKSGHHYGLLYLQDQLKFEDMLQVQPLLVYSDDLVLHSESSLVPNYHWWVEHLDLMLGFKTDPA -KTCITDSPSFLGCRILNKRQLVPNRDRVLAALAYHMKATNVSEYYASAAAILMDSCACVEYDPDWFEDLV -VGMAQCAKKDGYSFPGPPFFMSMWEKLRTCYEGKKHRLCGICGAVAPYASACGLDLCSYHTHFHQHCPVI -IWCGHAAGSRTCEQCSNPTASGKSELDQVLKQVPYKPPRTVVMRVESGLTVLDPGRYQTRRGLIAVRRGI -RGNEVDLPDGDHQCTPLLPTCKDINMVAVARNVLLSKFVIGPPGSGKTSWLLRQVQEGDVVYTPTHQTML -DIIKALGTCRFNIPAGTNLVFPPPSRSGPWVRVLAGGWCPGRNSYLDEAAYCNHLDVLRLLSKTPLTCLG -DFRQLTPVGFESHCFAFDLMPRTQLTTIWRFGQNICQAIQSEYEKELLSHARHTRVVHVQKPVWYGQVLT -PYHKDRENGAITIDSSQGATFDVVTIHLPTPGSLNRPRALVALTRARHAVFIYDPHDQMGQFFSVPAEQT -PCNIVVPRDGNLVVLDRHNKECTVAEALGNGDKFRVTDKFAVDTLRTVCADLEGSASPLPKVARNLGFYF -SPDLPQFAKLPEDLAPHWPVVTCRNEPRWPDRLVASMRPLCSQSRACVGAGYMVGPSTFLGIPGVVSYYL -THYAKGEPQQLPDTIFSTGRLEIDCREYLDEREKEIAALFPHAFIGETTGTQVGGCHHVTSKYLPRNLPR -ESVAIVGVSSPGKAAKALCTLTDRVLPDLLPYLSPETQSKCWKLSLDFKPCRLMVWKDKTAYFQLEGKYF -SWYELAAYAQYIQVPPKATVFLDPCMGPAEVNRAVVGSTDWNCQLSITPYDYGARAVLTSCDVWDMPPCY -RLVGCAGFVCNDPVLGDSNVRFAHLYFRTENDWEDFNQEAFSAQVNTSYRTRLNEPRYLFPSGDCIRPVL -GATRD - ->YP_009214659.1 ORF1ab polyprotein [Rat arterivirus 1] -MSGILDRCTCTPNARVFVAAGQVYCTRCLAARSLLPLSKQDDKLGVLGLFYAPKEPLSWTCPRGWPTLEC -SPAGCCWLTAIFPIARMTSGNGNFQQRMERVAQAIYHEGALTPAVLNRLQVYDRGCDWYPIVGPVPGVAL -YANTLHVSDQPFPGATHVLTNLPLPQRPKRQPFCPFEKANANVWAFGPNVVYETEGKWSWAPRGDCQTQF -DPVPYDLRLRAKFLIDNFPAHHIVTLSAYTHHPRGVSFRVENQDGHLDPDEIPDGTCWQALFYGLPEGDF -EREVRLAVQFGYQTKHGVPGKYIQRRLQINGLRAVVDPKGPLQIQAFSTATSWIRHIHVDGVDPLSGPDF -VDICRIRVEPNTAPLANRDEQIFRFGQHKWYGKGKRLRDKIRAQEEQPDERALSPRELAQVKKHETIGRN -IATRLNRYSPPGDGNCGWHCLSAVCNRMLVGAFQSSLTAAARPSQDWATDEDLVQAAQRLGLPVGLYRNG -ACPDAKYVLSLKNDHWIVTCKPGMAPKRLPPECAHGVCQHIGSQIGGAAEPCIIRNDTAALLQHAIHLPS -SAIPDALVHMMMPNHNKLKVLTPADVARRSDVAASAAAVPASLSGAPTTSVQPAVPGETCADSAPVDLTR -RSDVATPVAAVPAGPPSVADDVLDLSRSDVGSVSSEVVEHWTVSQILSRTGLPDCDKFASVVDLAHALFT -MTGATPEEINAAIDATIVKCASVEECCAAVQKCLKDVAITKQGFALGNYFPDYQPKNTCTAIVPVADKVK -IKEFVPGSTLREAMAGIGTYVEKEVPVTVDRVRHGLCPGGEQADWAMGDICRKIRDEMRNTYGYGWDPVY -RWYPDAPADLLDIIEKAETDFLAMCDAQASLAGMKWFADNFDVAAHVANYSWVPQIVTKQPKVVKAKQPD -RRRTPTPVAPAPPAEPEVPDSWEDLATEDQPVDLSPAPAMVAGGNPQFAPLTFGAKEASWGSECESIDQP -LDLSVKGSIKFGPPSSQNSVCLTDMESVVLEDPAPALLADVPLDLSCSSVTVFDAAASNSSPAQSPPESV -FGDEEKQVIRPPKSAQALIDAGGDLAKHLIIIKKRAMDMCRQACDPNYVDHPSCVIWCESMWNRLDMLTW -HNKSKYQASYQLATFEQFLPRMIQETPPPHPCPIMWEPASPPRSKTPSVDLTIRSGVTTPRSRASSLRQE -EQKIDAAGVADVAPTSEDEEKLAPEPQLAQPATLAGKWRNIFRRDPAAQAEKKSEEVTPPPETTKPPDEG -GTPPGNDTTWSRIGAKCGEFTDKLCGKVFEITSHLPAFFARAFHSGGGYTAGDWCFAAFVLCCLLLCYSY -SAFGCAPLLGVFSGSPRRTRIGVFGVWMAFAALLFRPLQDPVGSACDSDSPECRRLLLAFEQLQPWKPVR -GLVVGPFGLTASLLGKLLGGPRNFWAILLRLMFMADVIAAGAYIVGQGRCKKCWGRCIRTAPQEVAMNVF -PFTRATRQSLVDICNRFCSPKLIDPIFVATGWRGCYIGQSPIEQPSSKPFTYQNLDEKKISATTIVTPPY -DPTQAIKCLKVLQAGGAIAATKVPEVTKIDSVPFLAPFLPKLPVNPDVKIVVDTETFTCAIRSGYDTSAL -ILGEGDFAKENGMKIQQLQRPSGGATYVMAAVHVAVWMVLHMLAGIYVMQVDQCGAGTRDPWCSNPYSVP -VFGSGTLCSRDLCISPSGLTLPLSTIIKDFGAREAGVIGLVIASLAVLAHKMSMKSDAVFVLASLFCHVH -PLLAWVVALFPLTLKWFSAHPFTIVWVIFFLVTCNPAAGILAGVFLVLLWILGRFTHVAGVVTPYDIHAY -TNGPKGASALLTAPEGTYLAAVRRAALTGRTVMFCPSNVGSLLEGAFRTQKPCLNTVNVVGSSMGSGGVF -TYKGRKVCVTATHVLSGNAARVTGPGYNRMLEFETFGDFAIAQCDDWQGTAPKADAVPKNWTGRAFWLTA -TGVEPGVIGKGYAFCFTACGDSGSPVLTESGDLIGVHTGSNKQGGGIVTRPDGTTCNIENVALSELSKHF -AGPLVPLGDIKVGPHIIVDTHDVPSDLCALLASKPTLEGGLSTVQLLCVFFLLWRMMGYVYTPIIAVLFF -CLNEILPAVLCRSVFSFGMTALAWLSPWSAQVLMIRLLTAALNRNKLSLLFYVIGAIAGFVSDLSVTGLN -TDITAAMSTYCFLPRYLCLETPVPLAVAAAVHFVAVVLWLFKYRTLHNVLVGDGHFSAAFFLRYFAEGKL -RQGVSQSCGMTNESLTGALACNLSEDDLAFLTRLTDFKCFVSASNMRNAAQQYIEAAYAKALRIELSQLV -QVDKMRGVLAKLEAFADTATPSLNVGDVVVLIGSTPVGEVFETMVGSVKHAVQAIETRTLAGTKMTVCKV -VDPKPVLPERKVALPVPATMLENDSGNRAGGRGDDEDDPDRYHNLLRQKRRAWTKVSEHDIDGVRVYKMW -DKNTGDTVYCRAPAHDDRFNTTVIGKHGYVEGASPKTLPHPSKLKVVRTKWIAGEELATCRDIDTGDMWS -IPVDELPSGVKWEICEGSPLEAARLNIEQALTNMGTGKDLTAQEVEKLKRIIEQLQGLTKEQALNLLTAS -GLTRCGRGGLTISKAAMKIVKYHSRTFSLGDVNLKVTTKLEAVRAKPHGHLVVAELQDDAVVLLRPSPPS -LIDVLIAGQDTQPSLCANHGPGNSGVDGSLWDFETPPTKEELELSKQIIQACDARRGDAPQLPLPYVLHP -VRGDPVRVNGVLKNTRFGDLEYKTPMDTKDPVHAAACYTLNTTPVLDGKSVVATTIPAGFELYVPTIPSS -VLDYLDKRPDCPLMLTEHGSAAAAAKDLAKYDLSTQGFVLPGVLRLVRNYLHGHVGKCPPIHRPSNYPAK -NSQAGINGNRFPTRDIQGIPNIDELCAQAVREQWQTVTPCTLKKQYCSKKKTRTILGTNNFIALAHRAAL -SGVTQGFMKKGLNSPIALGKNKFKELSQDVCGRCLEADLASCDRSTPAIIRWFTANLLYELACSPEALNS -YVLNCCHDVVSTQSGAVTKRGGLSSGDPITSISNTVYSLVIYAQHMILSFLKSGHSFGLLYLQGQLKFED -MLQVQPLLVYSDDLVLHSESTVMPNYHWWVEHLDLMLGFKTDPKKTCVTDSPSFLGCRILNKRQLVPNRD -RILAALGYHMKATNVSEYYASAAAILMDACACIEYDPDWFEDLVVGIAQCAKKDGYSFPGPSFFMSMWEK -LRSNYEGKKGRVCGICGAVAPYASACGLDLCTYHTHFHQHCPVTIWCGHPAGSKTCDQCLNPTAPGRSDL -DKVLREVPYKPPRTVVMKVESGLTLLDPGRYQTRRGLLAVRRGVRGNEVDLPDGEYQCTPLLPTCKDIDM -VAVHRNVMTSKFVIGPPGSGKTHWLLSQVQDGDVIYTPTHQTMLDIIRALGTCRFNVPAGTTLQFPAPAR -HGPWVRILAGGWCPGKKSYLDEAAYCNHLDVLRILSKTSLTCLGDFRQLTPVGFEKHCFVFDMMPHTQLT -TIWRFGQNICSAIQCEYEKELLSNARHTRVVFVSRPVAYGQVLTPYHRDREDGAITIDSSQGATYDVVTV -HLPTPGSLNRPRALVAITRARHALFIYDPHKQMEKFFPLKEQGTPCNIVVTRDDALVVLDRNNKECTVAQ -ALGNGDKFRATDMRAVDALRAVCADLEGSSSPLPKVARNLGFYFSPDLTQFAKLPEELAPHWPVVTCKND -PNWPDRLVASLRPIDKLSCACLGAGYMVGPSVFLGIPGTISYYLTMYIKGEPQPLPKTIFSTGRIEIDCR -EFLDDKEREVAAKMPHAFIGETVGTTVGGCHHITSKYLPRNLPQDSVAIVGVSAPGKAAKALCTLTDVYL -PDLEQYLEPETQSKCWKVSVDFKPSRLMVWRGKTAYFQNEGKYFTFHALAAYAQYIQVPSKAVVYADPCL -GPIEVNRKTIGDSNWGADLAITPYDYGAPVVLTCTDADDMPARFKLIGMAGFGVEDPVCTATRTDYAHLY -QYLPGDWKDHNQRAEALLIRKTYHSRVSSLICNFPPGAVIKPVLGSTENLDLTRAQVYSWLTVH - ->YP_009505569.1 ORF1b polyprotein, partial [Simian hemorrhagic encephalitis virus] -LLTTSGGTSDDRGGLVITLAYSKFITHHQNTRAFGTIDFKIVTSAEATRTVRLDTHGSLVVAHLRDDHCV -LLRKHPPSLIDVITKGLDAERQAALHGPGDTGIDGTLWDYEGPASKRELFLTEQILHACAIRRGDAPNCL -PYKLHPVRGDPYREGGILRNTRFGDISAKIAADGEPWLLTATLNKNGTPVFSDGKLVGTTTPVGCEVYIP -TIPEAVLNYLDERPDMPTYYTAHGTESAALDDLAKFNLSTQGFFLPAVFSIVRDYILAEVGYSPSIFPPS -TIPSNDSHAGINGIMFNTKLYQSIPGIDTLVERMIEEKWQSVTPVTLKKQYCSKPKTRTILGTNGLIALG -LRSVLSGVTKNFQLAGKGPICLGKSKFSPLDREITGACLETDLASCDRSTPAIVRFFATHLLFELARRPD -CIPLYVLNCCHDLLVSQTTACTKRGGLSSGDPCTSIANTIYSLVLYTQHMILSTFRNGHPLSNKFLDGKL -TLYDLIAVQDFIVYSDDLVLLNEPDDFPNFRYWVPHLELALGFKVDPKKTVITNDPGFLGCRLRNRYLVS -QRERVLAAVGYHMHAKTPKEYFEAVVAILSDASALSFFDEEWFSELVQGLSKAAVETGFAIPGVPYYRDF -FTRVSGYQPEKMSLECGICGVKAETVASCGLALCPFCAHGHTHCPVPSPFCNHNVGESLCSMCDLPVKCR -NTDFDKLLEECPYEPPQMVTVVVTNGIADCTPGRYVYHKHHYMIKKQREGCVLDFPDGKYIMKRLSGSCA -GINIKKAEMNAARSTFVVGPPGAGKTTYIANNVGPEDVIYCPTHATLQGLSKALPACRFVIPSGADVELY -GTPSDYGPVLVLLAAGYHPGAKHYLDEACYANPLDFLKLLTKTPLTCVGDPKQLPPVKYDSIVYLFNLMK -RMQLSTIYRFAQNICDIISGEYDEPLKSAATHTTAVIFQKTFQPRGLVLTPYHKDRIGDAITIDSAQGVT -KDVVTLYLPSPKSLTRPRALVGITRARRALYIFDPHHQLDQFFKITPTDLPNKPHAVVVDGQARVIMGNA -MHPAEQFPGMSVSARPRTAAEKKVLEETNLLIDYESGTISPLPQVARNLGYYYSPDLPNFFPIPEKLCVH -WPVVTNKNNPDWPNRLVVSLSSLCSQSLGAECAGYYVGQSLFIGTPKVTSYYLTRYVDNKPQAIEPSLFS -TGRIALDCRNYLSKEEREFALKHAHAFIGEVKGQTVGGCHHITSCYLPPEIPSGDVVKIGVSAPGRAKKA -LCTLTDVYLPLLEQYANPPTASKCYSVSVDCRPVRLMVWKDATMYFQEASNYDALVQYANEFVGIAPSTP -VYIAPELMPALANRRITTKEADAAPVAITPWSVNADLLITLSDPFEMPHGYRPVAAALYFRDTILGQHST -HVFAYLRDPGYTPTGTYPPEVAAVFSACSKFRSKDTKFNLRFNSPVCMCPWDFSCYSAKLCPHNCS - ->YP_009505568.1 ORF1a polyprotein [Simian hemorrhagic encephalitis virus] -MSCACDRNNLIVRRSGTYYCIACGGIRPYKAPRPDDFYHFGPITAYMERPMAALYANMGENTCRLEMVVM -ICNARRCWNDIEEVVKIIKTACHIEGNDYAPLMKMVPDTHAFVKCYGPEPAALGFVSMTHCSVDYFPGAC -LAFVPRFQYRGDARTYLPTKFDFGATMINYGSYTVMLSGDHVSWLRGCIATEPAPQELVPLCKAAVDTLP -EQFPAPKNWLAASPTGKSLTANLEGYLKFDHGLCWTQLFSDPENEVKVAGCFGYLMPWGAQGAYISRRLQ -IDGSKLVRNPDGKYALYTLGYGSWIGHVATADWNPPEKFVFKARFDVVPYNEPSPYPLYKLPKTLWIGGS -AQSHPYVCADSSPPPGYRDWSRAGMCWVKLFHPDDRASEARLAIINCQITAYGVTGSYLQYRLIKRGLSL -EVDDYGEWWITCPRGDPLHRHIQEFEPEDDNTQVIARVSVVPLGCRANPAFNLGFGFYYGRRSKKGGGKK -ANALSLPLPPAEPTPVSFGAPEVVTSPDPTPAGDQFSFVNEVDAPSGREEKVEALPAPPKPKPRTKPALK -LQLTPTSPPAKANETGEAVTSENKSSEAPSCVTMVTSSPYGALVKKCTGDLQVSISLGKLEAVKRLPDVP -ADGSCGIHVFEQINAAMNNRPPAYIGVGRAYEDXASTLDVADLATAARLPVGGESCVHMRYXIMLRDSHF -AVQENPSGSLGFSRQCASFACDSSVPVEIVNSDYVDLRGWYEHHNGVTNLTSYFKLGIKLCNQLLKEPGA -VPPRRADVLKAVSASINQKPIRSLEPAVKPSAPTPAVQPTAPAHDGKKEHNEKTPLFSAEASTPATDQAT -PAACDTTPAAPPAADIGLSDVGKPRARLRVDWTSFRRKATQACAHYCHVARGRDFSRCLPYLLSFWNTTR -ASLHGVRLAVASLLLLVGLLLAVMPTMVFVVPALLLIYWTRPHWVSYAGPAGMGAIYLMSLLLGPSPNAC -STDSGHCDTALHALAARFANRRAGRHSLGPTSTLFVLYEYFVYMEADLSLISSLLCVFDVVLCCAWLLRR -RYCWRCFAPCIRTAPSEVVLRTVPLSRVSLSTLLDICDNCARPPVDVIKMATGYSGCYCGNLPTVTAGTK -PISYSKLDLKKLSPRTVIPIPSTPAEAVKAIHVLNARGVMTPLVHLVEKVDKLPCKNPFFPYDLNNKSVV -AVDPDTYSLFSELGLDLSHLVIGEGDFFKAMGVKRPASSAVVRGGSYLEYVIVTAWIICWSLIGNFLQVP -VPCGYGTPNPFCRGSFVYPTTHTHGICRYGYCMSDLGVSQQGLFPMPTLSLGVFFYGVLIVLILCVTLVP -IDGLVVSALLVLPPSSYTTLIRICVILLGSKFMTFRNLGICLAVVTPLDPYASLTGAIILACCYLSSAFT -GFGGLVTPYDIHRFSGNPRQAIALANAKEGTYLAAVRQAALTGKPAWFIPSNFGVMVEGALRSGKTHFAT -CGVTGSSTGTGAIFKRDGEQVVVTATHVLTEDNTAFVNHGGVTKSVKFNIKGDFAIGSVNPPFPGDFLPY -KPTACHEGRAYWLCANGIDVGYLSPKGAVVFTGPGDSGSAIINASGEFLGVHTGSDTKGCGAYTTPSGDT -VVGTLKLSVLARHYEGNLVPVETVPNNVECDVNTIPQPLADILRNAVRLQGGLNTIQLFVTALVLWQIFT -TPRNMPWVVLFFLLNELLPKCFVRGIYNYVLFLLSCFTPLSMKILFIRLLTAALNRNLGALLVHAAVACI -TVAADFLLVNDFRLALDRSSFYLVPHTTEPLPTALVGAGVIILSIVLEISGRPGLGNLISCNGAFDPAFF -MRYVNEGLREGVGSRIGVEGLTAALAKTFTTEELNFLNAAAPCKSFTCASNLASTIDQYIKSAEVKNLRA -ALSAVSELSAGNTALARLDSFVTGQAVQLSPGDVVVHLGLAGTDAFETYVGGKGYLATPIQTSKVAGTKC -TLCKILGPLESAVLSDGKYLLVNGKRLADAPVTKLECDGTKAAVERRDKEARLAESEKVDVLKIGGKQYA -KMWDKVTGDIYYVEEGLPPVEKDEAIKALCLDCSLTDKDITKLNALIQRLQGLSREEALNS - ->YP_009505557.1 ORF1b [Kibale red-tailed guenon virus 1] -MLTAAGCTSADRSGLVVTLDKAVIVDHHSRTRAFNGCDLKAVSPEEANRTLRLSPTPQPVVARLADGYLL -MRKHPPSLLDVITKGFDAQHTIAQHGPGDQGIDGYLWDFEAPHSKDLIKFSAEIIAACSARRGDAPAYPL -PYKMHPVRGDPYRVGNKLLNTRFGDITTTTVSESSDPWLLTTAICKGHVAPVVSDGKQIATTQPLGGEIY -IPTLPKTVLDYLDDRPDCPTYYTMHGTEIGALSDLAKFNLSTQGFILPGVLAIVRNYIIGKIGYRPAIFT -PATVPSNDSHAGINGLRFSTKMLQALPDINEICARAAKEVWQSVTPVTLKKQFCSKFKTRTILGTNALIS -LAIRAGLSGVTQAFQLAGKDTPICLGKSKYNPMQVYPQGVCMETDLASCDRSTPAVVRWFATELLFELGC -CSHLKPLYIANCCHDLLVTQTSACTKRGGLSSGDPVTSISNTIYSLILYTQHMILSALKEGHKVALMYIE -GRLTLEDLIAVQPFVVYSDDLVLMQESPGLPNFKYWNAHLDLALGFKTDPSKTVITSKPSFLGCTFYGPW -LVPNKDRVLAALAYHMGAKDTEQYYQNAVAILNDASALSVFERDWFEELVLGLAECARKDGYVFPGPPTF -RDFYMRVSGYQLEGKQDMCSICMSTAATTANCGLLLCAYCAHRHVHPQCSVKSPFCDHPIGSKTCKCCSI -DMVPSNDDFSKLLQEHPYDDVQFTTVNVIDGMTDAAPGRYTFQKKYIVLRREPRGCPVDLPDGNYVMKKL -PSTCSGIIVPKALKNAALSTFIVGPPGSGKTTAISKLLTDDSVVYCPTHASLMAYSKSLPAARFVIPKNQ -DESLYGTPSNSGPTLRLLSCGYIPAAQAFVDEACYANPFDLLKVLTHTPITAIGDPFQLKPVGFEQVNFV -FSLMKKNQLNVIYRFGPNITEAIAHLYRDKLSTHRTTPTEVIYQSKFEPRGLVLTPYHRDRIGDAITIDS -AQGMTRSVVTVYLPSRKSLTAPRALVAITRATDRLYIYDPHQQLGEFFKLPPCSLGLKPHAFVVDGKVLV -RINDKVTASAQDFPGLLVTANPRTPEDKNQLLNSPLNVDCLESGSLGPLPRVAHNLGFYFSPDIPQFYKL -PEALAPHWPVVTNKNRTDWPNRLVVSVTQLSPLSQRATCAGYYVGNSLFLGSPGVVSYWMTQFLDGEAVP -IEPSLFSTGRFELDVRHYLDEAEREFALTHPHAFIGDTKGTTVGGCHHITSRYLPRELPRDAVVKVGVSA -PGKAFKSCCTVTDVYLPFLADYTTPSTQSKVYKVNIDNKMCRLMVWKDATMYFQESLNPLALVEASTRLG -GVSGKGLVYLGEDVTPANCNRRFTFKAHEHSDLGVTKWDCNSNLLVSTSYPDDMSDKWLLLNAVTYMEES -LLGTSRTFVYFYKQLAEPHSYGDYPVNERVALARIPRYNLHVGTANFHFSPTACGCAASLTDTFGKRVCD -CSWSEADFMKQCELLAKPTCT - ->YP_009505556.1 ORF1a [Kibale red-tailed guenon virus 1] -MLCECKATMPVGLLSGRVVCLMCGCARRPQPTPRDVVNACGPISQYVDARIAPIYAGLGANTCSLEFVTL -CALEAGTSNDPRDLEIKIREACRAGGIGPLNIRKFLPGFLAFVKVCGPTFGAVGYCSPLHCSRDFFEGAT -HAIVRPPLYRGSERVDERFPYNFVLGGNMYQYGTNTITETEQCVMWTPGVVAGVAMVGADRIEFADAVIK -SIPANFIAYKSWLGSVGHSLRVECQDHLGLAFDHGDCWTQLFPDPLNEKRIAQTFGYQLTIGVQGKYISR -RAQINGMKFIYDTDGPFSVLLFHKGSWLGHVQEASKPIPEGMHLLARISVVPYNEFSPFPLFKFPGKVYF -GGSAKSKTISPDTPITIDPNLPGLCWLHLLPPLSRTQEAQRAMLALQLTTDGVTGTYLHWRLLQNHLQVE -EHPEGPYYIYHDRFDVTQRHISCGPTNIRGLVLLARIAVMPLDYTREIYQLGFGVRYGKKKKKGGGLKPP -STPDLSDDWDKSIKAQEASLTEQPQAQPKVTFGAPPPDKDIFKPNPAIRDVLCKKSTRRGKAIRVPNTPS -LPSETFVPPPDGGCGVHCVAAIQHHVINKCWPAQSPKVNWGIQQWTDSDDLGEFLITSGTPAAIAPCNHA -RYVIRLVDNHFVVDHYPQRPALLSPVCCRGFCISVVGSVPGVEKEDCTFDITGSYSLLNRFNSAKEFFNV -TTDVIKNRSSDVQLLGIVDRQGNPSASVPHSYVTAVTPNSEADFIKFSKPAPAPRKRKAATPLAAVVTED -QPQSSTAPPEQPKESSTAQPAKAEKVNDPSPPPSDEKDAHGIKSRYKTARTWCARACRDAISALNDPSGR -VFGLLPHILAFFHCQTQPVSLWRLLVAYLSVALALVFTYHRSILATIFMVIPLLVCPKSARTRLISVVCG -IIFLLNLFLGSERALCESDDDQCLRAIDHLRLRFSTTAPVYVTPGLLTVGFALLRNFIYTGAASVYIHCL -LLLADIVLILALMFLNGICLRCFGRCIRTAPHEVHLCTIPASRVSRATLLDICDTFSAPPIDIIRMATGY -AGCYQGCINPTGAAATIECARVDPKKVTPSTCASFPSCASEAVKAIHVLSARGTIGPFNNAKVEKVEKLP -FKNPLFPYDVDNKKVVVVDPTTYTLFSELGCDVSHLVLGSGDFFKAMNTPRPDAFTILKLKATRRLGGGI -VPRAALAAAYVIACVCLGVYLQSPSHCGITTSDPFCKSSFGVPIIQSQGICRGDICLSPNGVSRSVFGAL -DLTPVAPYIIVLLLLVFVLWYHFPTLIEAGFTLLVALLPGSHAVNVLRVGLALAFAPFVSIKVLVFHICT -TMLLSPICAFVILVVLLVAWYVGNQTGTLGLVTPYDIHRVVKSPRDSVAIANAPPNTYFGAVRRAALTGK -PVLFMADNTGFIFEGAFRNSNCAPNSVSVHGAASGSGGLFNRNGKTVCVTAAHVCGTGPAVVSFASQNYN -ATFTCCGDYAEAEVNVPGQFPDYVSAPKDYIGRAYWYCANGVETGFVTPTGCIVFSGPGDSGSPITTPEG -RLVGVHTGSDAAGTGAYSRSDGSLVSGGVSLCLAAQHYDGSLVGVPTTLPRGAVRDTEQVPSALANLLSQ -SLMYEGSLSTLQLLVVAAVLWKYCILPSVIPFVVLFFLINEIAPRCIMRALFNFCLFALAILTPLAGKVF -FIRLLICALNRNTTALLVHVVFGIAAFINDYLIVGNVDLALRDCSFYVMGVNHDPVIGLSIGCVVSLACI -ILDIFGHTKLGSIISGTGSFDPTFLARYVHEGIRQGVSTGYATESLSACLATSLSKDELAFVEQLVDCKA -VVAAVNTQRALDDYILSTNARRLRSHLTSVHATAAAQRALACLEDFLVGTSKPLKPGDPVILLGAAPGTI -SPAYCGDKEYVVRPIRSQTVAGTLCTLCQVEVVVEASLLSTTEHNGKKYLTVNGKTCFDHPQFKPENDAR -ISKGTRDNEEKKRDSEKLGTITISGTHYDKYWDKVSGDVWYEPITKESAIPSVQNLTPVDIASAATMIGL -STDLSEADKRRLQTIIGKLNGLASQQALNC - ->YP_009118960.1 ORF1ab [African pouched rat arterivirus] -MWQAVFLLHSPESLCLIAMNLPRDQVCGCSRSVYVFAHSGNVFCTHCLGRRAWAPPPAGLPYAPSALGPL -GFYWTPRILPPWANSGVNPSDISGHCWLGAIFPIARSTAGNGGSFLHRLATVATACYLEGCLSAAALERL -RVYEYSCRWFACDGPIPGVAVYANAWHVSESWFTEATHVLSNLPLKGPRSTTPPFERAYAPRVFQAGRLV -FTLDFNNLSWAEQTIGSDADFEEVPGSMAELFQNFARLIPPHHPIPISWFGGRTCGSEDLLTMLTPRALG -YVSPAAVALKLQPASCWQKLFDCLSPDKFSRLVTYVEAHGEQTRWGVKSALLNRLLFNHGLRIWRHAEGR -YTLSTLCTGSWQCHIRSKDEPSDYNYPVVLWSFDVREFTDPNETGKACRLPGGHKYIGGAAAAVSHDIYY -SPPKDGWCGLHCLSAVANSTHGAPLRSTYNPCTELAVAHWLDNFTLSEISQEFQLPICIEVAGVSCPSAA -YRMRFNNSHWEVARVPGIKRRRLSAVCIQGICQGNCPPQPPPSVGLKLRPADVHQAAPAIGAGVNWLAAL -RAAVNCDDRVADSEGSCDEEYRGSTGLVFRRIRYDEFRPKHAVVPPFVPPGAPKPCRPPPPPPSTPGWST -CSSAASTDSVDEFVLVSPNSPVTDNQPELEEVDLSSPITFGAPAVDELQGARVSVYNDDQVRELAELYVS -SVKQGLKICLRDSQVQLVESYLSAKASEGQVVGGEEPKCGTPCPQPEKLSKWPSETGTCSSPTPGVDSSD -TVPLTQNRFEVPKQRPTPMPRGIRTGVGSSKPVPAPRTKLPRAAPESSRPCITPLVQASPSDGAAEQVTC -GSEQPVVEEAKAAPVDLLETAGATSKTLLERIKALRVSAALRLSHDGQRPAADAGDANKGGAHDSDCSGN -ARRAFLSCKPEDCDQTRPDVHGKSSKEPTKAESVGNGEAVGEQKWLIRTHNPFAGAVRGVMRHVYNYMPA -VFRVVPRLLQCYNGILHGSVGISRAGACALFCCLAVSMVLVAVSPVFALLPLVLLCTRVRGRRLCFVISG -MALARWIQLANTEAAVIQSCHTGAPECLELRSFIAGNILDGPVSVATIGPFGVLGSFLAGVLGGDRYGWT -LVLRAAFAVDLALVIIGFISQNRCKRCLGNCIRTVKTAEIPTFTVPSTKVATAALVNYCDVVSKEYPDLV -FMLTGVRGCMCGSRTLSPTSSTSYKNLDPVKVGPRTVLPWPSNVTQATAALKSVLNGASICSRTPLKVTP -AKTVPFKHETFPDLPVDPDQEIIVDLPTYHLLSTQKCKMDKVVIGEGDFAAVNGILPGNGKIWANNVITP -ERAQIPGINLVALRNLGYAAGAIVTAVCSVEMLVSYLLGLFAQRARVCGVNTNDPFCQSPYGVPVVLSQG -YCDSGACISAAGYSVEAPAIFVDSTLLTCCTLGFMAILLFCAEIVTLFDIFAPLLSIGALWYSPLQFIAA -FTPVLLRFGGHRVVVLANGVFLLLVSRPAALIYWAFLVVSYLQKFVASPAIHLITPHTLGEITNNPLAAM -AVANAKPGSYQHTAKTAALTGRCYLHIPSHASVLLEGKLRSKQRSANTVICSGSSCGVGTIWKRNNKHVL -LTATHLAAEDKTGKYVLVDVESLTMRVDLTCKGDYAEGEIQTRLGNVYPALQLATGYQGRAYWLTASGVD -VGIVTDKLAVCYTNPGDSGSAVVCPEGKFIGVHTGSNQRGFGIVTCPDGKVRGGQGKLSELVQYYDGPLV -PRPASLPVNVVPDVEMIPKTLATVLDGVVVNEGALGTVNLVVAAFALWKLAHFTYAAPLTLVFFLLNETL -PKIVVRSLFSCLLNVLTLTGPHGYFVLLIRLLGAALNRNQASLLFYGIGAVVSMVVEFPLLMNCTYLFVP -RALMDHQHGVEVLYCVAVVHLTSVVLALCGMSYPAYVLNVDGVFDRTFFLRYFVEGAEPEGNVREAVSKS -LGLAHESLTAALAINLSDADLDFLSTLVDARAVRSAKNMRTAAMDFANVSYAKALTNALHGVASFVHGHG -LLARLDDFVNDVTTKLVPGDSCVVLGRMAQDEVIPFEQDGEKLLVRCQAFRNVAGVQVSICDVLAAEGVP -DIKTIVHNNRKYLTIKGEVLGDFPKPECGPRQKRAELCEEDEDHISRDKWNAKMHKLAQADWRDSVRATA -YGTTKGWNKAQHKIDNQWGGYGKMAEFEGLDEDSVKDVMQLVPKALTVDQAIVAMGCDQKLSESDVRKLK -SLIERLQGVLTANQALNLLMSGGMSSTSRHGVVCTDCSIKIIEHHSHTRALGPFDIKIVNHKEALGAVDM -PGHALVHVGPGYCALLRHHPPSLADRLYGYHHVEEMNVFSSPGDTGIDGFIWDLDDYPTEAAHRLSVEIY -EAARNFQGHAHSVTKFKLHPVKGDPYRKDGVLHNTRWGDIPYVTPAETKHVLHYISCFAPRCALVTDGSR -MLGTVLPAADELYVPTIAQGALDYLDSREDAPKYYTMHGTEKAMLADLQKYDLSTQGFVLPCVFAIVEEY -LVRTIGYHDAIYTASNIPSNNSKAGINGRFLTTKVLQGYPCVDQVCTLAMKERWQTVTPCTAKKQFCSKV -KTRTILGTCNVAALPLRAVLSGVTKAFLKAGKGSPILLGKNKFHAMHDRIAGRCLEADLASCDRSTPAVV -RHFVANLLFQLAKAEECIPFYVLNCCHDLVSTQSTSFTKRGGLSSGDPVTSICNTIYSLLLYAQHMLLTA -LKLGHPLGMRMIMRKASFEDLLVLQPLVVYSDDLVLHSESASFPNYQLWNEHLTLALGFVTDPSKTVITY -TPCLLGCRVMGDGYHLVPCRDRILAALCYHLKAKSALDYYASASAILMDACACVEFDRAWYEQLVDGMLL -AASTDGISFPPITFFDMMWSRLKMSEEGGKHRICAYCGVGAVTTSSCGVRLCCFHAYSHRHCPVKSPFCA -HNAGSQSCPLCSFAVTQETHPLDYLFPTAWKKPVPVELKVDGGKVVSDTAPGRYVHRSLGTVVVRKGVDL -ICNLPDGEYKVTPTEQDLSDLAYTRMVLNLQTSTIHYGPPGCGKSTKIKAMIGPNDVVYTPTYKTMHDMV -MSLMPCRFVVPIHAADLVFPTPARTGPMVRLLAQGYHEGKNHFVDEVYYCNPVDLSLLVSRTPIQAFGDD -RQLSPVGFTGMFKLLQLAPSSVSKKTYRCGPEVVRLIQPYYNYTIEPADHTTEVIFDDTFVAEGVVMTPY -HRDRVGDMVTVDSCQGLTVDVATLYLPTAHSLTPNRLVVALSRARYRLRIWDPHKQVARLEGKVIRIAQD -NLGQLCFKHLYQDTIVGVGPYSSKAQSCRMEMEPNLSGENMRVAWADHHAPLVKTEGRSGLSPLPHVAHN -LGFYYSPDLPKFMQIPRELCEHWPVVTAENNASWPTRLVCSLVPLCKESMGAECAGYYVGESLFVGKPNV -TSFYLTMYLDGKPTALQCDLWSTGRIESNDRSYLDEEERAVALRHPHALIGEDHSKTLGGCHHITSKYLP -EQLPETKAVVRVGVSSVGKSAKALCTVTDVYLPRLEPFTQITGVSKVVPICVDCSTQRLMVWRDATFYFQ -EGMSKALTEASKYIGLPAKMRVRVQPCGHPFWVDRVVSDVAPFNVGSPFGTHDFHLTTYHPSDVGPGFEL -VSAFRVVDPRDLFHRVEYAFLYRDHHDDVWYEELNLRRLASLRCNIRCSTDGIHLKFQTTPTVTYPSPWD -QCGV - ->YP_009130631.2 replicase polyprotein 1ab [Wobbly possum disease virus] -MSSAQGSTSYVNHIIAQYSWNLEQLDEISRLMLPSSRYAEMRVPGVVVRDATVSTVEIDLMGHKLTFGFK -DMTPSEYKDFKMDETDGYCYRPFTGLDGGKHPSTRFFNTFGGFDYCPSSPCWLSFTCDEGVIKYHFGKLQ -TGYLPLMPVVPGKKNNLLHCPVGLVGSAADALKKRYGISFPVANKNGPFSPDLTSTCNFVYHYDSMTQTM -IPGDGAIVAYSPVPHEVCDYMELSYNREAEILNGSLGTNFNYVSGMPFIMASAVPVPGYKPVTMYVKDNM -CVLNDGTAAPVIYVLNSSCNGYCYLKFRVPPVGPWPTLDECLGGDAGLTYGTDYSLIGAGNWVHITDYDK -RTGVGISLAVGWNPAFAHLKKARVGGMIVDPQLGSTSGPKQCAVPEFGPQTAGGCASFVRQDIMTPTTGF -VTIVDDHFATLKSNPPPDSFMASGVPPAIATNAASPFFEDIDVEADGWCGYSCLAKLNGFSGSTVKDSAI -WVARFATLMKTELLDYIGRDLPADLWLTDDMIVKHTPYAVVTRDQMHCANVLGVMINNRGHWKCRLPTYR -VAPETACSGLGCVHVEPRIERFPTIKYDWVFQNSARIESQVSEQDLLNEAFIGGKVITDQCLLSEITLTP -RSSPPPQEPRKRKKRSKQKPVADPPAPKKLTDQVPEIKQPVASPVPAPRLHKPQPIKPVVPPVPAPRTKK -QRPVPAPRKLPDLVEKATPVAPAKARFAHVTNRTAAAVFEATVGWSNSLKAYVAKLPRTCPAWYSLSMFL -LMALPPGLGSVLSFVLGAVFLFLTVSPVPLVISVTLFSWFVLTRPHFIQCSSWDRECLATNGLPMPESIV -VMNRGSAGLIGLVIHLFAYAGMSRRIFATLRVVSVAVDTLALYAAYVLDGVLCFKCFHQCARTQKKLHSS -EKAKNIVVNNTMILQFMDTYAPPPVDLVKLATGVNGCHCGSKSFIQWSTARPVAYSRYDPTKSSAETVLP -LPKNAEQALRVISHALEHGVVVFHMGHTGVDVKRIEAYPASISPLPDFFPDLPYTSTEATLVVDVNLKAA -LSACGYPDMDKIVVGEGDWLLENEVYPIGVDQKRTCRYLSHHVSPGLWKNLSASDRATLKDLNYRPTSFG -FSVSLCRVFALVQIVAGLASYFVPVFDCGIGTYDKWCEVPFNSPVKGKHSLAVCSGATCVSPDGVFTRDP -VFPVAICGLYISALAAAAIVISKLRISVGAIVTVIGSVLNYCFFPEYCYAGPLIFLAQLFMPWTIQSLAT -AALSAFLWDPTATIVVIILVATFMVWSSCGGSMASVNINGPVVSPLLLMSNGTAPSVVTPTTLACAAQSA -GVPFASLVARAAVSRPGTVLKAAYDSAKDGKVRIYIPTGTGVVQEGAMRCNRVPSNVGVATGATTGTASG -WSYGENSRIITATHVTGTPTFVTIGTQTFTIPQFNTNGDFAYVDINGNYGWPEYKTGQFEGPCFWLTRTG -VETGAVVGKAAVAFTHPGDSGSPVVTKDNVILGVHSASNQRGLAVITDCSGVEIGYQPVQLSKMVKLFSG -PLTRAPAVMPANIVRDIESVPETLANALNGQLNYEGGLPILVEICVAVVMIMLAKEPVVVLSGCFIFLLS -NMLPRMVARACYNVLLAWLSVTPGYHYALALRCAEIGMAGNFGSALLYSLTTVAAVVLNGRLALYPVYGY -TTVALVFAFNMLCVALHYYRVLTPLSDAVFVRCRFNVLRLVRHLMEGSPESIMPVTEAPVLPLSAVLTST -FSTEELDFLAQHDHVFVKASNLAHNEALKRQAVVRRAINLWNSNVVPTKHRVRGLASLKDYTTVPVVEVG -DTVHVLGGVIGLNACGPYDVEVTKITNFFGQDVGTGIVRAVKEGSGNPAVDRAMMLDEADRTIDRKLNDE -QAMVGGKVVTLSPTGTHSRVDGKGFTTPVSAVEAAVARKLLVQESDTNTEVLDTTSGKYMKRVNAKGDVF -YELIEEGLQEKDVAKLRKAIQRLQALLPDLNRARGTSNISPEVPLGFVDGRDITIVPRSRTFICGAFNIK -VLPTKEEINNTPAGSYDLVEGCMIRPHYPSLIDKLLAAIHGSCYVTPTVPVILKNPGDTDGCGHEWDFEE -LTVPRSRVFAQDIATALRNEAGLMTIGYPYRMTPMNPAGSNRRYVPTLKGEGAFVGGYYPAVGVSFPMHA -FGLSARSSIDGELTIDANGTILGIAPRLKSTEKLPTVPSSIAREFSEVTAYLPRVDAKNCLADLLKYRYN -NYGRVFPSVLQIVRKYMLRYAAGPIYKASDIPSKDSHAGLAATRGLTTKALQALPDIDHKVYMFNLDSNA -SVTPVSPKIQYIGKVKVRTILGTCAIPALWLRASMSGVLAKLKPEPPLMLGENKFLPIPKLRRYRASVDV -VSCDRTTPACIRDFCASLFDEMCGAPDFYINYHRHCVSEFIAFDGKIFVKPGGLSSGDPVTTLSNCVYSL -SIYVQHVILSALRLNYRPIAEKYLEEELTVEDCMDWVPALIYGDDVVLHTDCVTGRESFKLWREHFIFFS -GVYTREEAVEAETPEFLGCSVVTDDDKSRLVPQRERVLRALAFNLSATDVDTYYQRAEAILLDASAASDQ -EWFSSILDRVWMCAYVDGVDFPSLSFFQDFYKRVSSEAIFSCSICRGNAIAFCTCGFKLCVKHINIHYYP -PEHDPRLPCGHRLSQRNGRFDCIGCGGVSNDRWSPVEPANPNLCEVLGIWLATEYTHSQRVSRAVEAVFD -GATWEPQLPPGRYVTGNTRFAVLRSCAGFETVPVLSCGTHVVRSVCIDLHGIRLQEVVEHLAASSYTCGP -PGSGKSRLLSAKAQERQSTIVTPSHVCCDEYIRNLDCQYVNSKFYQYSKPSNPNARIHVALASSSPTAYG -ALLVDEVFMIHPSDLFRWVTMRPIFCVGDHNQLAAITHSAMPVKLHTLFGKTSVLDTIHRFSGPLVDVIS -HLYSTKLTGAGPNCEIKFASTQPPGSLQITPYHRDRAPDGKTVDSCQGSTHDHIYLHVPRRFSLNKNRAL -VALTRARYSITINDPHNELSRVGFNIPRSEGQTVPLPLPAVCHNAGFYYSDVPGYLLPLPVELRDLWPIV -TTGPVTHNCLSISITERPGYHPAICAGYVVGDDTFKGEPNVASYYYAIFRDGVNVTPRSIFSSGRLLLND -RTAYPGEQEAMDTWPHVFKGDIKGHTIGGCHHVTSPNLPQDLAPAMFRAVGSSLPGKAAKACTSVFDCYA -PYLVDIANTVTGKSQVVKVTYDLAEKRLMVWKGATFYFQGVCYRVLKELAGQILLPSDLPLCLATCDDIE -TSGPVTTVCKPEAVHMFHYAKSGYSVVSVPITQPLPKCIAARARFTASGPVCYVFLDNTGALNETCSIGE -DLRRPCAPCMPSCSFAPRRIRLTHPISTSTPISPAALKFFNS - ->YP_009388590.1 ORF1ab [Olivier's shrew virus 1] -MESMTCSCDRRILVFSEDGVIHCVNCLLPRAREVIPDELLDFGPIAGYIADPGRFLNPSKMRRKADLCWL -SAIFPLARSTSGNFNFRPRIRTVAEFLFNQWEFNIIAWDKLECYAKGMKIFPCVGPVPGVALYFNRAHCS -DRYFPGAMWVTTNLPLSGPIYFPSGVHCGSDLAGALLAHNGFWKLRTSIIKIEGTDLTVLSPGISNKLGL -QLVKPLPTSLRPYALRVRSAWWPDTPFPVDWADKLCKTGLSFGTGGYGIDFDHGLCWLPPFGKLAPQMAS -YALNHGNLTREGIEGGLLNRFAFLAGIRFVACDQASAEYVLSTVEAGHWQHHLGAVEAPRLPLTVCYLRV -EPNFGDMLDGGSFRFESHWRIGSRKVFRITTGKFSPPGDGGCGLNCLQLCANDVLGLPHSWCSFPLDSKD -WLDDNDMALLAQWYELGGSVARNGKGCPKARYWFHSNNGHWTVQVRPVKRCCLDYTCSMGICNGDCKKPA -VSVTPSELSAVTCLTFQHIYYKIKAGATVSSLLSDSVVMQLAPGPREGPPPPPPPPADCHCSASFPLDFG -DIKVNPQVFHTRASQPKCLATSMESRPASPVQSGTVQELAKTGSTTPGKSDNTSQSSTGATPPTGEPAVL -PVTDCTASTNSSAPSGASKRGCCDPAAIVLSAEGEQPVDTEALRDLLIEDQEHPSNILSNLGKYLWKGSK -TPDYSRLVEDERCEVKSCPDFDPGDPPDESSRFDILKEWLKRRMVFAKTPRSLASVPQAFMLLSRPGTVP -LNTHDWIGLSLLAFACLGSMVVDWFWLCALLAALLHTPRGVLYAFVGLLGFAASLLAGRRSLDLSACDAG -LETCRPILQGFVLHRASGLDLVPTVGVVGVLVSIIARLVGGSTLVYHLLLRGGVIVDMLLLGVGYYFSGV -CTKCWRPCIRTAHRDVNLVCVPAAKVSRLTLTTICDLYCAPPVDFIKLATGYHGCYTGTVSCTTQGKAIP -YAKLDKNKIGNGTLIPLPVTPNQAKDAINVILNGGSFATSNLPPVIKVFSVPFRSVYFPNLPVDPSKPIV -VDQSIFARLSAEQRNQVILGSGDFVAVNKLMPPELDPVHGVLWAEKVLTPARTSWLSASQTQKLCDAGYA -GGIASVWIFWYSVICLAAGLVLQQPSVCGVGTNDRFCRDPFARPTEAYQGYCHAGYCLSSAGLSTAGSLM -PQGLIGLVQTSLLFVLALAAGAKLLRLSMVELGLLLLPFCTAVHPTLHFVTLLGPVSLWWGVNTISYLVF -LVIVSFVSPVGLLGNLAFCLVAALLRFVPGAPVVITPWDLARFVSNPKNAASVANATPGSYVHALRTSAL -TGRNMIYIPTTQGITLEGLRRKPLVPCNVTTVVGSATGVGSLWTIAGKRSIITASHLLEDDDTAVVTLGA -DASTVKFQRRGDFAIGASPFLGFVHPDAEFGKPTGPAYWLTTSGTELGFVNDTICVCYTDCGDSGSPVLN -NERRILGVHTGSNKRGLGVITMQDGSQLGYGKTNLSALVPHFGGELVTVSDAEVPVNLVRDVEMIPKGLY -DAISSRMNLEGALPVVSLLVAFLVLWRVTLTPYGVLVMAGFFLINEFFPKDIVRGCFGLLLDVVQLAFFQ -AGPVFSIRLLTASLHHNSVSLVFWVCVGLANFYIDGHDTTFLVPNQVPGDLALVGLLCLHLFLLLCRFFL -PALPRIYYGEGAFSRAFLARIMAEGSPVEPEQPKRDPPPPPPTSVSPGNIRKGVSKSTFASEECLAAVLA -REFTDDELDYLRSVVDAKVIKSASNVRTAAANYIETSFAKALMTALSGVQCRVDASPFLARLSDFACGVT -AVPEPGDKVLLLGEHPNCVILETVFSGQVRRIQLTQTKIIAGTKVTEGVCVALDEGSAITTVEVNGKKTL -AINGCPIGLERQKGQYNPKDIKKVQDDVTINGEKYCKFWNTFTGDVYYVKSSQQAGAHNSDTIIGKQSDV -SILDRMLNDYEGKVTASQVKRLSLDEALRAMGLEAECTNEDRDKLSRLIERLEVIRDNKKALNLLRCVCE -RGDRRTCVFVNGTIALTAHHSRSFRLGSFSLKFTSINEIERTPAHQPVIYYEDGFGIILRRWCPTLLDCL -FEELEVTMTEPPNCSPGDISGNGYQWDFEEEPCPEIVAFARQVWEACCARQGLAPPSYPYPMAVVSGDPY -RENGILKNTRFGDLKYSVPDDTGEPLHYAMCFGNGPIIGDGNKTIGRAIGGVDSWLIPTIPKSVLDEFDG -DAPPYYTKHLTEQAAQQDLEKYNLSTQGTIFPCVLHCVRNYVLEHIGVHPADQKPSEIIIKDSAAGINGT -KFRTKAVQRMPHFDDLAERMIHETWQTITPVTLKGQYCSKVKTRTILGTANGVALPIRATLRNVTTAWMK -AGKDSPIYLGKNKFLPCGTWKAPYLNADLASCDRSTPAIVRHFATNLLFEQACSPRCIPLYVVNCCHDVL -ATNVSGCTKRGGLSSGDPVTSISNTIYSLVLFCQHLFLSYLRTGNVKAVKLLKGELKLQEMLEDVSAAIY -SDDVVLHSARFMTYQWWNDDLTLALGFATDPKKTVIGLEAEFLGCRYIQGHLVPQRERVLAALCYHVGAR -TPLEYYESAAAILMDASACCVWDEDWYRGIVARVRKCADSDGFDFPDFSWFLEFFNTVSEGSTDTCAMCS -STIVAKTACGMKLCAYHAFCHNHCSVWLKACGHNIHGDERCEVCNLPSFPNPDEEILALEQAYPFDRVSE -TVEVVGGITTAAAGYYRTVHGKRVILKKGPSGVATDLADGAYKLTRIPCDWSRINFPKVKRNAVYSTYYQ -GPPGCGKSFWIMSVIQPCDTLLVPTHALFDEYASTGKFNHHGDGPIDPSGPFLSLLTTRRTAGKIYVDEG -CFCNPLDLARVLTQGPVVLVGDHQQLPPVGSKGAFFAVSLMQRRTLNSIYRYSEAITRLLRPHYSFPIKS -VCEHETVIEFSKVLLDGVDGPTITPYHRDRDDGAITIDSTQGCTYNEVQIYLPSPNSLTLHRAIVAVSRA -RKRVVVVDPHDQLREFFPNIHDEGIPVCDGTEWGKWFCPLYDSCGFYGRVDLTSLPDGSIVLAKKGVDLP -PNVSPVFMEGSQQLSPLPQVGQNLGYWFSPDLPKFHKIVPELCPYWPVITADNNPSWPDRLVVSLKPLNK -LSIPALSAGYYVGDSLFIGTPDVPSFYLTKYVRGTAEALPTSLFSTGRLLANRRGFLSDIEREVAFQNYH -PFVGEDHKSVVGGAHHVTSNFLPEELPTGSVVVVGTSSPGKSAKACTSVFDVYLPELLPYLKPDTPSKVY -KIHIDCRVYRLMVWKDKTCYVQMEGKDALLTYLRTQTFPTGTTFMFDLDGVRTSAPVSNRPDVYVGHYGD -STGAKISICTEPLPNSQYKLIDAKAYDTCNVYRYHLVGGDNLYHNIWQLHKLEQQPLLRERPGQDFAVVN -FDG - ->YP_009362006.1 polyprotein, partial [Kibale red colobus virus 2] -LLTASGLTSDDRGGLVILPDRAKFITHHSHTRAWGDIDFKIVSPQEFDRTQRLSKSPQPCVAELSDGNKV -IIRRHPPSLVDVICKGLDAVRQPAVHGPGDTGIDGYLWDFATPHSKEATFLSQQIITACEYRRGDAPNSL -YPIHPVRGDPYREGSMLCNTRFGDIKTTTIADSKDPWLLTTAVNDKGYKVMSGDKYVANTLPFGAEVYVP -TIPTTVLDYLDSRPDCPTYFTRHGVEEAALQDLAKFDLSTQGFVFPETLKIVRDYLIRVVGYQPAIYKPS -NIPSNDSHAGVNGIKFSTKTYQSIPNIDQLCDRIVAEVWQSVTPVTLKKQYCSKQKTRTILGTNGLIALA -IRAKLSGVTKAFQLAGEGSPICLGKSKFKRMDIKLGSKCLETDLASCDRSTPGIIRWFTANLLCELGCCP -EILPLYVANCCHDLLVTQTTAITKRGGLSSGDPVTSTSNTIYSLALYVQHMILSALKEGHPLSMKFLQGK -LKFEDLLEVQPFIVYSDDLVLLEENPDLPNFKYWTEHLSLMLGFKVDEKKTVITSDPGFLGCHIVDGWLV -SQRDRVLAALAYHMNAKDCQGYYQNAVAILSDASAMSVHDAEWFEELVLGLHDCATKDGYTFPGPPYFLD -FFQRVSGYTPEAAKPCGVCGSTSSTVSSCGLRLCDLCSHRHDHCPVPSPFCKHIVGSKTCTQCSIDVVPG -KTEFDELLREDEYQPPYIVSVEVKDHYTNAEPGRYVFRKAFYMLKKDKQGCYLPLPDGVYPMKKMPTTCN -DINIPVAVSNAKNSKFVIGPPGAGKTTYIRSLLGDDDVVYCPTHCSLQAYTKAVERARFTIPPGQDSSAY -GVPSDSGPRLVLISMGYQPGRNHYVDEACYANPFDFLRLLSRTVVTCIGDPAQLAPVKFQKPIYLFHYMK -QEHLSKIYRFGQNICDAIQPFYKFKLNSVKSEPTDVIYQSKFQPRGLVITPFHKDRTDDCKTIDSVQGIT -ADIVTLYLPTPKSLTARRALVAISRAKHRLYIYDPHQQIEQFFNLPPLHTQILPVATVKQGAVEVHLNDD -TVVPAISVPGMLVRAAPGSSADRALLEQSPLIVDFERSQISPLPRVGHNLGYYYSPDLTKFLPIPRELCP -HWPVITAENNEAWSDRLVVSISRIHPLSVPAVNAGYYIGNSLFVGVPRVASYYLTRYVDGSVVEIAPSIY -STGRFEANLREYLDDEERQFANRHQHAFIGDTKGTTVGGCHHITSRYLPRDIPADAVVKIGVSKPGAAAK -ACCTITDVYLPVLEPYSTPPTTSKVYKINVDNKPCRLMVWANATMYFQEHLDLTALYDASRFIQASNSAV -VTLHPASLPCKINRAITTDPDLPTTIGITPWESNSDLLVSLAGPDSEFTRHFRLIKCIKYIQRDFLGTVY -SNLYVYVKSNQSNTLTEQARILRNAPIFSPVKTSPIFHFNTTPCGCPWRVSVGSFSHCCCSSEPMPSSLI -CGDQCTRMCMEENES - ->YP_009362004.1 polyprotein [Kibale red colobus virus 2] -MLCQCRYSIPVVTIAGRVTCLACSQPRRPKPTPCEDRAKYGPMTQYISPELYGIYGKLAKNNCQLEFISI -LCNARRLWNDMIVSSAMIRLLAEQNGMDRNLWEQFTLTVPELGWVEIAGPTSGAYGYMSYTHVSTDYFEG -ARFACVWRNEQRCQGRFTRFPNVNPAGKLVMLGTNTITFTADSAVWTPGVTPGTSLVETADLEFATAVVN -TMPRDYVAMRSWISDKPTGYGFNDPSTGWLKFENGTCWTALFQDGHRELLVARQMGYQLPYGVQGRYLAR -RLQCSGLKLVRKSDGVYHAYTFHQGGWLGHIGYSSEPIPEGCHVIATFDVVPYNEYSPHPLYKLPGKVWF -GGQAHSAPLEPLQERDRHMPGFCWALLFNNRTWQEEVRMAVGAGIQQNDGVPGPYLMHRLAAHGLGLKEC -DYGKFFIFEDPPSGVKHIALTPFGQSGVYLGRYNVIPGPTQVWITGLVGKRKGRRRSRASSSSESESDSE -QPKPKITFGAPCEEATEVVVVSDAVPGESQPAVVDASTADEKSVVVNNGDCNSDAWPIKPLPVPKSTKFS -ALATLKVGTNTPVSVIPKGSYTLLTPVPSDGSCGYHIICQIISHMLGREPAYVKYSRDRAQWMDNFNLFK -IVQSIRLPVGFNNCPHMKYVIRLTNDHWEVYHNQSVREAYSQGCASGYCLEVKCPINAIENSNWLDISGL -YNEHPNFDSPDEFGKVVQSLAAHFIDQGDEKVPKIRAKTLLDIMKRGRQLKGEENQPLLPQPAEEEKPKP -TPRLRSQASDKSTSSSQSANATITSEGPAVTSGEEIKTVLDDLLNSSQPRGKLATLKEDIQKGLTQVGDQ -VSRFQPHLLAFLCSPSSRVSMPVLVFSHFLLLLACFFALAPSIWAVPITAGAWVLAGRHRSVRAITALVL -CFLCFSTVFPEAESVCESDGPDCRDRLTALANRFRGAVVRYVGAGPGTCILLFARSLYYFESAASMLYAL -LFIFDLALLCCILSHNRICLRCYGCCIRKAPEEVVLKTIPLSRISRSTLLDICDSFSRPPVDIILQATGW -RGCYTGCFNPVVDSASPIPVSRVDPKKITSNTICTLPVCPAEAVKAIHVLHNRGQLAFDRTAKVEKVETL -PCKNPFFPYDVMSRKIVVVDSATFELFTQLGLDTSMLVLGQGDFFEAMGVARPTKLAAAALRVRGGKLCQ -TAFVTAWIIFFVTLGYWLQLATPCGYGTGDPFCRSAYGYLVSYTQGVCYDGYCASSLGYSRQTLFIPPIP -GTFTIAVIICAIVAVNLLSKMPFDYFLSAVFLLLPTGTYYTLCRIAVFIFGMNHVSCFTVASWLAIGLIL -DINAFFVCAAVAVLGWIVSNTGCCGLVTPYDIHRIVKTSRDAVNVYNAPAGTYLHAVKMAALTNSNKFFI -ASNAGYVLEGALRSKGRTDNAVGVFGTSVGSGGLFNRNGKTIVVTASHVIGDRNEGYVDLAGIRTRVQFT -KKGDYAEGEIDVPGTYPDFKPVTNYVGRAYWLCQNGVETGFIAPTGCVVFTGPGDSGSPIITPDGSFIGV -HTGSDAKGCGAFTTASGETIHGVVPLSVIAPHYDGVSTTVKHLPKNVVADVTTVPHSLANILEKSINLEG -SLGTIQLLVVAIVLWRACTTPAAVPFVVLFFVLNELLPKSLFRGCYNYVLFALAVCTNLSPKILLIRLLT -AALNRNIWSLILHIGFAAIAIINDTLILGNYQLAIQQCSFYVVGINHETHISLAIGAILVVSSIILEIFG -HPELGNLLSGSGYFDATFFMRYVNEGIRTGVSTGIGKEGLTAALATTLTEEELGFLTAIMPIKAFTSSMN -LQNALKDYAISQKAKNLQNALRSVHALASGQQALAKLSDFITNTKQTASVGEMVVHLGNPSGPVFQTFVG -DKEFVAEPIVTQIVAGTSCTLARLVAVAEGGLVTDGKYLKHNGKVLADHPGFVVENDARGARETRDRDQK -LAESKLVDTVTVRGVKYDKMWDTVTGDTYYVLHESAPRPTITPEALADAQQEIVHAAQVLNQSVDVSDVE -KKKLLTIISKLQKLTTTQALNS - ->YP_009344807.1 polyprotein, partial [Kibale red colobus virus 1] -LLIASGGTGNTRSGLVILPDKAKIVDYHSRTVAFADIDLKIVDANELDRTNRLSIEPQPVVATTTDGKFV -ILRKHPPSLLDVLTKGLDAEWQPALHGPGNTGIDGYLWDFEEPASKEELFLTKQIVDACALRRGDAPACL -PYRIEPVRGDPYRKGRVLVNTRFGDITTTTIVESNNPWLKTTAFNPSGVPVLGDDGVVCTTVPFGLELYI -PTIPTTVLDYLDERPDTPVYLTKHGTEKAALEDLAKFDLSTQGFILPEVYNIVRAYLIKVIGYSPPIHTP -ATVPSNDSHAGINGMRFSTRLLQSIPNINSICEQAVNEVWQSVTPVTLKKQYCSKPKTRTILGTNGLISL -GLRALLSGVTARFQLAGKDSPICLGKSKFQRSDIRITTRCLETDLASCDRSTPALVRFFSTRLLFELACA -ERAIPLYVANCCHDLLVTQTSAVTKRGGLSSGDPITSIANTIYSLLLYTQHMVLSAFKIGHPIALAFMQR -RLTMEDLIKVQRFVVYSDDLVLVNEPEDFPNFMYWSDHLDLALGFKTCRSKTVITTNPGFLGCRFVDGWL -VPQRERVLAALAYHMSAKDVQQYYINAVAILSDASAMSVFDADWFGELVLGIANCAKTDGFTFPGVPYFL -DFFTRVSGFKPESAHVCGICASTASTSSRCGLYLCNLCAHRHQHCPVASPFCAHDVGSKNCDGCSLDVLP -GNTELDKLLLDSPYTGPVMTEVTVINGYTNAEPGRYVWQHKPIMLRRDRDGCAIALPDGTYAMKKLPSTC -SGINLVAAKRNAYASTFVNGPPGSGKTTHIASQLTDGDVVYCPTHESLKAYSLLLPRCRFVVPRAANPAE -YGTPSDSGPTLTLVAAGYVPGSRHFIDEACYANPLDTLRILSKTPVTAIGDPHQLSPVKYTSCLFLFKYM -KEQYLETVHRFSQNIADAIQPYYKQKLVSSRPGVTQVIYQTKFEPRGLVLTPYHRDRHGNAITIDSSQGL -TQDIATIYLPSPHSINRERAIVAITRARARLYIFDPHKQLEEFFNLPATDLPGTSPHAYVSGDQVKVRLP -EGVDVLASSVPGLACTAKPRDARDAAILRESPLILDMLEGATVSPLPRVATNLGFFYSPDLPKFFPIPKD -LCVHWPVVTAINNPQWPHRLVVSLTRIHPKSSPATCAGYYVGESLFIGVPQVVSYYLTLYENNQVKDLPP -SLYSTGRFEAGLRDYLDLKERRFAEEHAHAFIGDVKGTVVGGCHHITSRFLPPEIAPGAVTRVGVSSVGK -AAKSLCTVTDIYLPYLQPYTAPVTASKVYLVNVDQRASRLMVWRDKTMYFQESSSHDAIVDASRFVSLSN -KAIVAVDPVLLPRHINRAITTNLTERSDVAFTPFDANCELLVTTTPPDCIDPKFKLLSCIKVFTDTIFGQ -VSDYVYYYSERSPCALSGVVYHDSRETACRVRKRAVDCFHFSSPPCLCNWALVIFGDRFCGCSS - ->YP_009344805.1 polyprotein [Kibale red colobus virus 1] -MICTCSNDNFVVRIAGEYVCVRCTHTRPEHPIRRGSNRELEPYIRYLSPEVAAIYAQVPGECWLEFVGAL -VNALDAWTKPGLAESYVREIVAAGALTPDYVRLQTELVSAIWVECCGPVAGAICYASPNHISVPFFPAAR -LALVRRCTYRGLARIVPFPNWHVGSDVYHFNGNTFFETENTVMWKRGVTPGTAPAPADRVDLAVRVRFTI -PEDYLAYKSWIGREIGSGTLNEPEGSLAFENGTCWAELFPDVRNELSIARTFGYLLPWGAQGGYIMRRVA -INGLKLVKNPEGQRVAYTFHAGSWLGHIGWASDPLPEGAAVVSRFDVVHFNEISPYPAFRLPKRVYFGGN -NVSSVPYIPEDPKFADYLQPGFCWVLLFPPYMRKEEVRRAVLAGQLSSCGVSGSYLKHRLASRLLTAVAD -PYGSWFVTARPGDDLQRHISALPPGPDDVELGRISVVPINSNSPEYNFGFGYRYGKRKGGKKLQPITFGA -APDVTVPNVDDRGVSPPSEQSAPESVPTSENVTATQPLPMPRPVPAPRTKIGLKPLIIPTPPVNEAVTAP -PQTSGPSKVTHVAAPTFGGLRVVASNAERCTKVHGKVTSGELLPAVPSDGGCGVHVIHQLLCHIKGLTPS -YPKMQWAYAQWLDNDQMGQVICSLKLPVGQGSCVHCQYVVNIVDQHWELRYHKDRAFVHSPLCAHGICHT -VVGPIECVENPRYVDISGLLEFSDKFSSGTEFTKLASALGENGHCKRVSPRRKWYLELLSRKTATDVPLV -ESSGPSAPPLIEGPAVLPISTSSNANNSNSSASVPAQDSACPEQQDKDGSSTAPADDSGTVPVRLKDRVK -HWAFDKYVDFNRQVSHIKPHLLHFLHGSNRAVHPGTYCSSLLLMCICMLLCLHAQFVGIALLAVPLYLCH -ARQSIRVLSLFAVFLYVAALFLSDEHHLCAVDDHQCLQFLHDLQRRFSTSPPRFLTPGPITAGAVFVNYF -WGAVVLGYFLYYLGFLIDIGLVGLVAGHNRICWRCFRPCIRTAPEELVLLTVPASRIHRATVLDVCDNYS -NPPVDIVKQATGYAGCYRGQLPSVASVCCNVPAAKVDVKKVSAKTVCSIPTSPSEAVKALQVLNARGQLG -YENHAVEAVAVLPCANPFFPYDTSSSKIVTVCAYTYNLFTELGVDTSLLTIGDGDFFKAMGVEKPKSFCL -AALKKRVGGRKTALLAALAWVLFWVLLGAFLQSPVACGHGTNDRFCVNAYGVPVIGNHGVCAYGYCADPQ -GVMRQGILNVPLSSITLPVIVFLAVVFAVFWKFHLLGYVYSLLPFFLPNLPLVVPLRVLICIAGSLRVPL -HFNIIHLAATFYMDPAGGVLTAVIAAAAWALGRFTGVGGLVTPYDIHRVVKSSRDAVALANAPHGTYLAA -VRDAALSGHNKLFLSNSIGYMLEGALRTGTPATNTRRVCGEKVGVCGVFQRGVDHIVITASHVLGNGDLG -VVEIEGQRREVTFKKTGDFAVGNITGLKGAYPTYREAPNYTGRAYWLCANGVESGFVGADGCVVYTGPGD -SGSPVVTPSGDLIGIHTGSDTLGCGAISKSDGQVIVGPVKLSEMARYYAGPLQKTPTKMPRNVVGDCENV -PLTLARVIADSVKPEGKLGTIQLVVASMVLWRLCVTPLAVPLVIAFFVVNELAPRSIVRGTYGFILFALS -MLPGFGPKILLIRALTAALNRNQTSLAFHLGTAVIAFLNDWLVSGDHIFALHECSFYVFGYNQDRFVVLA -IGGVVFLTAAILEAFGYEKVSLLLSGNGSFDPAFFVRYVQEGVREGVASTIATESLSGALAVNLSSEELE -FLNAIVPCKAFASASNLSKAMGDFQQSQAAKQLRRALAGVQATANTTAALATLDQFLSTKVRGPRTGDTV -VYLGKPRGEIFDGYVGETQVILKPVRTQTVAGVTCTICEVTVPTEAMSKHPVPEDAVTPIALENDARKLA -RQDRDREEVKRDSEKIGVVTVSGKQYIKYWDKVTGDVWYSDEAAFPESPAQAAMALNVSTDLTTAEKERL -GQLIQKLAKLRSEEALNS - ->YP_009249810.1 1ab replicase protein [Free State vervet virus] -MLTTSGGTSDDRSGLAITLDYAKFIDHHQNTRAFGPVDFKVVTSAEAQRTLRLDVHGSIVVADLADSHHV -LLRKHPPSLVDVITKGLDAERMPILHGPGDTGIDGTLWDFEAPASKRELFLTEQILNACAIRRGDAPNCL -PYKLHPVRGDPYREKGVLKNTRFGDISAKIAADGEPWLLTATVNNKGTPVFSDGTLVGTTTPPGLEVYIP -TIPEAVLNYLDSRPDMPTYYTCHGTESAALADLAKFDLSTQGFILPAVFHIVRDYLIETVGYSPSIYPPS -TIPSNDSHAGVNGTIFNTHLYQSIPGIDRLVDRMIEERWQAVTPVTLKKQYCSKPKTRTILGTNGLVALG -LRSVLSGVTKGFQLAGKGPICLGKSKFAPLDREIKGPCLETDLASCDRSTPAIVRFFSTNLLFELARRPD -CIPLYVLNCCHDLLVTQTTGCTKRGGLSSGDPCTSIANTIYSLVLYTQHMILSAFRQGHPLALKFLDGKL -TMHDLLKVQDFIVYSDDLVLLNEAEELPNFRYWVQHLELALGFKVDPAKTVITSDPGFLGCKLRNHYLIS -QRERVLAALGYHMHANNPKAYFEAVVSILSDASAMAIFDEEWFTELVLGMAEAAKQSGFAIPGVAYYRDF -FTRVSGYVPEKACLECGVCGVKAETVASCGLALCPFCAHCHAHCPVPSPFCSHRVGENLCSMCEIPVRSR -DTNFDKLLEECPYEPPVTVVVNVVNGVADCQPGRYVYHKHHYMIKKQREGCILDFPDGRYIMKRLSGSCV -GINIKKAELNATRSTFIVGPPGAGKTTYIAKNVGPEDVIYCPTHATLQGLSKALPACRFVIPANADPYDY -GTPSDHGPVLVLLAAGYHPGVKHFLDEACYANPLDFLKLMSKTPLTCVGDPQQLPPVKFDSIVYLFDLMK -RQQLTTIYRFSQNICDVIKGDYEHPLISAADWDTEVIIQKQFQPRGLVLTPYHKDRVGNAITIDSAQGVT -KDVVTLYLPTPKSLTRPRALVAITRARRSLYIYDPHHQLEQFYKLIPTQNPKRPHAVVVDGQAKVIMGNN -THPAEMFPGMCVTARPRTAEEKQVLEASSLVVDYESGSISPLPQVGRNLGYYYSPDLSHFFPIPEKLCPH -WPIITNKNNPDWPNRLVVSLTSLCSKSAPAECAGYYVGDSLFLGSPKVVSYYLTRYVENKPVEIEPSLFS -TGRINLNCRNYLGKEERDFALRHAHAFIGDVKGSTVGGCHHITSMYLPPEIPTGDVVKIGVSAPGRAKKA -LCTLTDVYLPLLEPYTNPPTASKCYQVNVDCRAVRLMVWKDATMYFQESSCYDALIQYANEFLSVAQNTP -VYIAPELMPVLANRQLTVNESDRALVAITPWSANADLVISCTDPFDMGDGYRPVAAAVYFRDTILGQQGT -HVFAYLKDPDYKPTGNYPPEVAQVFNVCSKFRLKGKSNIRLNSPTCYCPWQFSCYSAQVCPRNCN - ->YP_009249808.1 1a replicase protein [Free State vervet virus] -MSCSCDRNTLIVRRSKVYYCIGCGGVRPYKAPKPDDYYHFGHITAYMARPMAALYAPLGENTCRLEMVTM -ICNARRCWNDITETTAIVKAACRIEDNDYAPLMKYVPAGFKFVKCDGPEPSAFGFVSMTHCSVDYFPGAT -LAFVPEYQYRGDGRTYLPTKFDFGASMMNYGSYTVFLTNDHAEWLRGCLATEPAPADKVDLCKAVVATLP -EHFPAPIGWLSNKPTGKSLTSNDEGHLKFDHGLCWTQLFSDPENEVKVAACFGYLMPWGAQGAYISRRLQ -LDGSKLVRNPDGKYALYTLGFGSWIGHIAPADWNPPERFILKACFDVVPYNEPSPLPLYKLPKALWVGGS -AHSRPFIPAPPPGYTDWSRPGMCWVKLFHPDDRHAEARTAIMNCQVTAFGVTGSYLQYRLIKLRLCAEVD -RYGDWFITHPRGDPLHRHIQEYEPEDDDTVVLSRISIVPLGCRAGPAFNFGFGFYYGRRSRRGGGKATQS -SAPEVTFGAPECSENPSPVPTGSVFSFVNETEKPEVKTEAASTPPKPKPRTKPNLTLKIPPTPPPQAKDT -AETPISENKRDEAAGPEMVTASPYGALVKRVVGDQQKFMSLGKLTEVTKLPDVPADGSCGLHIFEQIMAH -MNSREPNYRGCQRAYENWATTLDVADLATAARIPAGGMNCVHMRYRITLHDSHFRVEVNKSGSLGFSRQC -AGFACDPSVPVDICNSDSVDLTGWYEHHNGTVSATEYCRLGVQLCNFLLKEPGAPPPRRQNVLKAVSAAI -NNKPTHLGIIKPNPAALTGKAESKAKTANPPPPKEKDSDKVPLLSAEASSPTESDTTPEVKVEQAPDPVL -NDLATPKPRFWPPDWTKFRRDVTTACANYCHVARAPNFSRFLPYLLSLWNTTRTTLSGVDLAVACVLLFV -GLLLSVLPTVVFVVPAVLLCYWTRPHWISYVGPLGMAALFVGTVLLGPSPNACAVDDGYCDSALLVLAQR -FSAGRPVRYSLGSLSFVFILYEYFVYVETDLSLLSTLLCLCDLVLCTLWVVRRCHCWRCFAPCIRCAPGE -IPLRTVPISRVSNSTLLDICDNFSSPPVDIIRMATGYKGCYRGSLPCVTEGAKPISYSKLDMKKLSPRTV -VPFPTTPAEAVKAIHVLNARGVMARSPHTVERVDKLPCKNPFFPYDVNSRRIVAVDAETYSLFKDLGLDL -SHLVIGEGDFFKCMGVVRPPAQNAVSGGSHLDYIAIVAWIAFWCILGNYLQAPSPCGYGTPNPFCRGSYV -HPVTANQGVCHHGMCMSDSGISRHSLFTLPRLSINGFLLSALIAVVFFVTCVPLDGVVISTLLLLPVNPY -CTVFRIVLLVASSAFISFKNLGIGLALIAPLDPTAACAGLIILGCCYFTGRYTGFGGLITPYDIHAHTSN -PRQAIAVANAKDGTYLAAVRQAALTGKPAWFIPSNFGVMVEGALRTGKPHFSTCGVTGSSTGTGAILNRE -GKQVVVTATHVLSEDKSAFISHSGLTKSVKFNTKGDFAIGEVENPFPGDFPQYITATKYEGRAYWLCAGG -IDVGYLSAEGAVVFTGPGDSGSAIINASGQLLGIHTGSDANGCGAYTTPTGDTIVGALKLSLLAKHYEGD -LVPVEQVPKNVICDVNLIPQPLADILRNSIRLQGGMNTIQLLVTAVVLWQLLTTPRHLPFVVLFFVLNEL -LPKCIVRGCYNLVLFCLACFTPLAPRILFIRLLTAALNRNVSALFVHIFVGCVTVCADALLTNDIRVALD -VSSFYIVPYNTDPFVTFCCGLGVILLSILLEISGYPGLGNLISCNGHFDPAFFMRYVNEGLRDGVGAKIG -VEGLTAALAKTFTTEELSFLQACVPAKIFTCATNLSSTLDQYIKSADMKNLRTALSTVSELAAGNAALAR -LDAFLTGQSIKLNPGDVVVHLGLAGTDIFETYVGGRIYLASPIQTSMVAGTKCTLCKIIGAAESSVTTDG -KYLLQNGKRLHDAPLVTTLECDATKSAGERRDRETRLAESEVVGDVTVGGKKYKKMWDKVTGDTYYVEEG -ATSVGKEEAIKALCLDCSLSEKDIAKLQALITRLQGLTKDEALNC - ->YP_009221993.1 putative 1b protein [Kafue kinda chacma baboon virus] -MICQCEFRGHVVMVSNRMVCIYCGHLRQPTPRSRELLAFYGPVIQYVDITVAGDYINIKDKLCLTSLVAI -LRGATRGFIKRDGPQMIRTLSAVHGDLSREGLFKEFGMLCYGVVRAYGPVLGAVGYLSPGHMSTDHFDGS -THAIVAKDRWTGDERPDDIPHYAYAYMMYQYGTNTIHLGNGSAMWTRGVTPGVEIAPMSMVEQFDKIVKA -FPESMLCPLSWIGEKQGSLNFLSAGESCLAFQHGDCWTQLFEDPSNEFRIASTFGYQLANIGVPGKYIAR -RLQINGLKLVHDANGKFCAWRVIKGSWIGHIGPHTESPPEGFALIARFSILPYNQYSSNPLFKLPGKVYF -GGNASTKFAVSDYNGHLQYSDALTPGFCWLQLFPPLERTGEASRAILAGQVNANGVTGTYLNYRLSCLGL -SAVECDYGEHFVYHAASDPSVLHISPIPLHDAYHIFVTRLTVTRLCAQGYDFGFGVRYGRRKRRGGGKAS -EDEWAKAVDQQEGKTVPEPAPKVPSITFGAPPDELPRSIVSVESGLVNKPTIPTDSCHLPNSPNAPIVEI -YSPPPDGGCGIHALAAVAHHLRHKSWPKIEPMVNWGYQQWADSEIMGELACDLGLPLGFESCTHQPYIIA -LVDNHFVVRHYPSRTPTHSPTCQHGCCHTLVGTATVPTYGQAGDFNVFHKLLPRFKDAAEFIRMARALLC -QNRVCHKPIPPVRKTALVKLMATTPSTAAKVPPSEVRSILKPQRPTPDPRTKSTKAAPAPPPAPASPPET -PPDPDLSDVELGQNSAAGVPDECAPPLASETPEVAAPPQSKIRRTVADAHYFMTTSLNNAFIAAHDKATT -QVSRVMPHLLSAISFSGGQRPRGMVLFGSLFLWFLAYLICLLGNPCGIPLGFAAFYLAPKLKSSIFFSIL -LPVVLLYQRLLSPSYMVCEAATPECDDYLRSLVDVFSNPPPKFVTPGPCTLALAIVRSYTRIPSGVYFLH -ILALTCDLLIFCLLMYYNSICHKCFSFCIRKAPEEIQLCTVPSSRVSKHTLLDICNNYQRPPVDVVQMAT -GYRGCYTGVLNSTINSNSSIPCTKIDPKKVTNSTVCTMPSCASEAARAICVLSARGHLSRGGLAKVVKVE -KLPCKNPFFPYDLASSTPVVVDNYTFELLSDLGVNVSLLTVGEGDFFAAMGVARPSFFEKAQLKLLRGGG -FIRKEKIAAIVQAIFCALLGASVQSSRLCGIGTSDPFCADSFATPIYQQQGVCNYGYCASPQGISSSLVS -LIFTSDYLAYIVFALGLVFLAIHYVPNVVVVAALMLNTLTPVTPFTSFIRVLVMVCSSGYVEFRVLVFAI -ISSALLDPTASIVILILLSLTWAIGKWTGLGGLVTPYDIHLVSKTPRDAIAVANAPPNSYLGAVRMAALT -NTNRFYVGSNTGTVLEGLLREKSCADNTCRVFGVTSGTGGLYSRDGKVVCVTASHVCGEQPAVIRYQDEQ -HTAVFERKGDYAEATVNIPGTFPSYKLAKDYCGRAYWLTSTGIETGFVTPSGAVVFSGPGDSGSPIITPT -GELIGVHTGSDSRGSGAYTNSSGTLVTGPVSLSEMSSHYDGKKTTVAGRLPRNVVVDATEIPSALATILS -NSVNLEGALGSLQLVVVSMVLWRYFVTPQYIPFVTLFFVLNEILPKCLLRGLYDYILFVLATCLGLGPKV -FFIRIVTAALNRNVSSLAFHIGTGLLATFVDYCTFGNFHQALDNSSFYLVGSTVPRTTVLAVGAIVVLCS -ILLDVFGYRQLSLLISGNGSFDPAFLARYFHEGVKTGVSNGFVSESLTGALAVNLSQDDLQFLNSLVNVK -AFVSAQNLSSSLGEYIESRNARALRAQIAAVHASAAADNALSALDRFLTGAEPKLAAGDPVVLLGTTNKE -LVSAYAAGKEMIVQPVRSHKIGGTHCTLCTIVSFVEGGKLVTVFDKRPYLTVNGNVLADHPGYQAENDGR -LPRRDDDEAARRNRSKNLGTVDINGHTFIKFWDTETGDAWYEPVIEEGDPTNVLDLSSAATLIGVDQSLS -EKEIARLEDIIAKLKGLTKKQALNLLTAAGLSSASRSGLALALNYAKIVSEHSNTRAYNDVDFKVVDADE -LLRTARLSLHPQPAVARLADDTFVIMRRHPPSVLDVITKGLDAVWQPALHSPGDTGIDGYLWDFEAPHSA -EAKFLTDQIVRACAARRGDAPSGYPYKLHPVRGDPYRTKGMLRNTRFGDIKITTLAASDNPWLKVASFNP -SGTPVLCDGELVGSTTPIGSEIYIPTLPPAVLEYFETRQDVPTYYTQHGTEQAALKDLEKFNLSTQGFIL -PQVLHMVRNYLIKHIGYRPPIYKPSDVPSNDSHAGVNGLQFSTKMLQALPNIDQLAQTMQDEVWQTVTPT -SLKKQYCSKPKTRTILGTSSLVSLALRAALSGVTAAFQKAGKDSPICLGKSKFEPMNVEITGKCLETDLA -SCDRSTPAVVRWFTTHLLFELACAPQALNLYIANCCHDLLVTQTAATTKRGGLSSGDPVTSIANTVYSLV -LYTQHMVLSSLKLGHPLSLKFLRKSLTLEDLLAIQPIVVYSDDMVLLNEPTDFPSFEFWCDHLQLALGFQ -VDRKKTVLTANPGFLGCQFHGRWLIPQRERVLAALAYHMNAKDNHEYFVNAVAILSDASALSVFDRDWFL -ELVEGLAKAAHEHGFTFPGPSYFSDFFVRVSGYKEEGESLPCAVCMSTSAVKATCGMQLCAHCAHTHIHP -GCSVPSPFCKHNVGSSECHCCSIQPGKAKDELSRLLAQDNFKPPQFMPMEVVNGHTQIAPGRYKLHGTIL -VLRKGPEGCPVPLPDGVYNVKHLPNTCAGIIGPKALFNAALSKLIVGPPGTGKTTTIRNMLTDDAVVYCP -THISVMAYSKTLPCARFSIPVGQDPTQYGTPSNSGPRLQLLSAGYIPGSVHYLDEACYANPFDILKLLTH -TPIVAIGDPHQLCPVGFDDPCYVFKYMKREQLQTVYRFGPNICEAIQSCYEQKLTAHSSTPTEVIYQTKF -QPRGQVLTPFHRDRVGGCITIDSAQGSTYPVVTLYLPSRKSLTRPRALVALTRVSSRLYIYDPHNQLEEF -FTLKPCTLTERPHAMVVDDKVVVRLNRDTTVDAADVPGLLCTGSPSSEREKSKLLSSGLTMDMLESGSLS -PLPRVAYNLGFYYSPDIPQFLKLPEQLAEHWPIVTNSNNPKWPNRLVVSATRLSPLSQPAMQAGYYVGSS -LFVGSPGIPSYWLTQFLDGKAVSMDSSVYSTGRFELDIRCYLDAKERDFALKNAHAFIGDTKGTTVGGCH -HITSRYLPKELPKDSVIKVGVSKCGVAHKACCTVTDIYLPDLEPYTNPSTASKVYKVNVDHRPSRLMVWK -DATMYFQEGADPLALVEAIKLCRVAPTANVRYSDNLCPLQSNRMILNSRAPETADLSISSWDDYNTKFLV -TTVDPFDIDPKFKLVNAAEYRRETILGSHKTLVYYYQVLEDRPPTPDGSGVPQSTFSILNRIPCYQLKAS -RFCFTFAAPHCTCRSVLMTKDGPYCDCTSSKCQQL - ->YP_009221995.1 putative nsp2F protein [Kafue kinda chacma baboon virus] -MICQCEFRGHVVMVSNRMVCIYCGHLRQPTPRSRELLAFYGPVIQYVDITVAGDYINIKDKLCLTSLVAI -LRGATRGFIKRDGPQMIRTLSAVHGDLSREGLFKEFGMLCYGVVRAYGPVLGAVGYLSPGHMSTDHFDGS -THAIVAKDRWTGDERPDDIPHYAYAYMMYQYGTNTIHLGNGSAMWTRGVTPGVEIAPMSMVEQFDKIVKA -FPESMLCPLSWIGEKQGSLNFLSAGESCLAFQHGDCWTQLFEDPSNEFRIASTFGYQLANIGVPGKYIAR -RLQINGLKLVHDANGKFCAWRVIKGSWIGHIGPHTESPPEGFALIARFSILPYNQYSSNPLFKLPGKVYF -GGNASTKFAVSDYNGHLQYSDALTPGFCWLQLFPPLERTGEASRAILAGQVNANGVTGTYLNYRLSCLGL -SAVECDYGEHFVYHAASDPSVLHISPIPLHDAYHIFVTRLTVTRLCAQGYDFGFGVRYGRRKRRGGGKAS -EDEWAKAVDQQEGKTVPEPAPKVPSITFGAPPDELPRSIVSVESGLVNKPTIPTDSCHLPNSPNAPIVEI -YSPPPDGGCGIHALAAVAHHLRHKSWPKIEPMVNWGYQQWADSEIMGELACDLGLPLGFESCTHQPYIIA -LVDNHFVVRHYPSRTPTHSPTCQHGCCHTLVGTATVPTYGQAGDFNVFHKLLPRFKDAAEFIRMARALLC -QNRVCHKPIPPVRKTALVKLMATTPSTAAKVPPSEVRSILKPQRPTPDPRTKSTKAAPAPPPAPASPPET -PPDPDLSDVELGQNSAAGVPDECAPPLASETPEVAAPPQSKIRRTVADAHYFMTTSLNNAFIAAHDKATT -QVSLVSCPISFLLSLFRVDNALEVWCYLVLYSCGSLLISFAYLATHAGFHWVLRHSIWLPSLSHLSFSAY -CCLSCFFINACFHQVTWYVKLLRRSVMIIFVLLWTCFLILLQSLLLLGLAPLHLQLCGLILEFPQVFTFS -TFWLLLVIYLSSAYSCIITVFVTNASVFAFGKPLKRYSFAQCLQAVCLSTRYWISATIISVHPWTWCRWQ -RATEVATPEC - ->YP_009172490.1 ORF1aTF polyprotein [Simian hemorrhagic fever virus] -MFCECPRSNLVVMCSGAFCCVLCGHRRRPRPASESDRAKYGPIVQYVEARVAHVYSGLEGRYCALEMIPI -TYGNKFPYCKPLPVSFVIKTLAGVQGDLTRLEETPLPGGYGVIPCWGPHLAAVGYLSPAHVGRDWFEGAT -HAIVHIGSYGGHERPTTIPFNTTGGDVYQLGTCTIVETIDHVEWHAGVKPGTAICPLDRIDFAQKVITAF -PEGFLANKAWLGDKRGTLKVEADPETAALSFEHGRCWLKLFPDPACELTTASTFGYQLNCGVQGKYIARR -LQTNGLKLVQNQEGKFIAYTFHRGSWLGHIGHADESVPPDCQIIARFDVLPYNEWSPLPLLKLPGKTYFG -GNASSVSWPEWKYDEQLLYADSLTAGFCWLQLFPPLSRKSEAQRAILAQQVNNYGVTGTYLEYRLRQYGI -VLAECDYGEHYIYAAASDSSIRHISPVPIHDRHHVFVTRLTARFGAFDEGFDLGFGTRYGRRRGGGKKSG -QSSGVRAPGRTTPDLAGDWGKAVDDQEKTASKVTTDKAMSTSEPAVVQVGCETKPVADAAAVPASVNSTG -CALLPVQADPCCTAGVAAKESEPKAVAAPSIPITFGAPAGETLPVAASPLVVKKDKRCISVKLTAKKALP -KETFIPPPDGGCGVHAFAAIQYHINTGHWPEQKPVVNWAYEAWTTNEDIGHMICSTETPAALEPCLHARY -VVRLDSDHWVVDHYPNRPMCFVEACAHGWCSSLLSEPTGEEGEHLVDCSALYDCLGKFRNGTEFADTVLG -LSKTAHCCNKRVPTPRKQAIMSLLNRPNCVPCIAPPSQVRTVDPSQPAAPLPPVPRPRKRKAAAQQVSKV -PSEQDPSLAHDPPEKPDSVRPPKLGYLDRAWNNMLARTHKLHNLQQRVFLACTPSSFPCCSHLVLALLPL -ACWAATSLWLSLCSFYFWVHPSSSYVQYLLELSPQAPGTPKFYAVAWSLSTYVLCLLMRYPLSATMMTLT -VVLSSVIWVIGTPLISLFISRPDPQHSSLLYLAIFLLSRWPCFLYTCFSLWLMFYLSLVYCAWMAIVSGA -SPDVLGRLQRRYPSLRYPSLVCRAAFYWIYVISTPLHPLISFAWRLDSTVVFEETTPLLDQVPV - ->YP_009109556.3 viral nonstructural polyprotein [Simian hemorrhagic fever virus] -MFCECPRSNLVVMCSGAFCCVLCGHRRRPRPASESDRAKYGPIVQYVEARVAHVYSGLEGRYCALEMIPI -TYGNKFPYCKPLPVSFVIKTLAGVQGDLTRLEETPLPGGYGVIPCWGPHLAAVGYLSPAHVGRDWFEGAT -HAIVHIGSYGGHERPTTIPFNTTGGDVYQLGTCTIVETIDHVEWHAGVKPGTAICPLDRIDFAQKVITAF -PEGFLANKAWLGDKRGTLKVEADPETAALSFEHGRCWLKLFPDPACELTTASTFGYQLNCGVQGKYIARR -LQTNGLKLVQNQEGKFIAYTFHRGSWLGHIGHADESVPPDCQIIARFDVLPYNEWSPLPLLKLPGKTYFG -GNASSVSWPEWKYDEQLLYADSLTAGFCWLQLFPPLSRKSEAQRAILAQQVNNYGVTGTYLEYRLRQYGI -VLAECDYGEHYIYAAASDSSIRHISPVPIHDRHHVFVTRLTARFGAFDEGFDLGFGTRYGRRRGGGKKSG -QSSGVRAPGRTTPDLAGDWGKAVDDQEKTASKVTTDKAMSTSEPAVVQVGCETKPVADAAAVPASVNSTG -CALLPVQADPCCTAGVAAKESEPKAVAAPSIPITFGAPAGETLPVAASPLVVKKDKRCISVKLTAKKALP -KETFIPPPDGGCGVHAFAAIQYHINTGHWPEQKPVVNWAYEAWTTNEDIGHMICSTETPAALEPCLHARY -VVRLDSDHWVVDHYPNRPMCFVEACAHGWCSSLLSEPTGEEGEHLVDCSALYDCLGKFRNGTEFADTVLG -LSKTAHCCNKRVPTPRKQAIMSLLNRPNCVPCIAPPSQVRTVDPSQPAAPLPPVPRPRKRKAAAQQVSKV -PSEQDPSLAHDPPEKPDSVRPPKLGYLDRAWNNMLARTHKLHNLQQRVFGLYPQLLSMLLPSGARPSTPR -LLGCYFSMAVAMFFLFLGSPLFILCAVLAGVIAPSARYPKILCCCLVVVYICTLFADAISSVCDNDDADC -RAFLSDLGDRYSTNQPVYITPGPATFFLAVSRNFFVVSVALFPLHLLLLMVDVLLVIGVLCMDGYCFRCF -SRCVRKAPEEVSLLTIPQSRVSRRFLLDICDFYSAPPVDIIRLATGLNGCFRGDYSPIGSSTSVITADKI -DVKKVSCRTVCSFPSCPSEAVKVLHVLSVRGQMCAHNEQKVEKVDALPCKNPLFPYDLSSKKIVPVDSGT -YEILSSIGCDMSHLVIGDGDFFKVMGVPRPSPFTVMRLRACRVVGGGRIFRTALAAAWVLFFVCAGYWVQ -MSTPCGIGTNDPFCKSSFGVPTYVNQGVCHGQYCASSKGVSRATSILTVRNPAVAPYIVLAACLVYLASV -YVPGIIEVSLLVLNALLPAGPAISALRTLVMIIAAPHLSMKYIAFFCCTTAFVDFTSVVVVLTALLVGWI -LARYTGIGGFVTPYDIHDVVKSQRDGVAVANAPPNTYLGAVRRAALTGKPAFFVANNTGIVLEGLLREKT -RASNSVSVYGVTCGSGGLFSDGNNTVCLTATHVCGNNKAVVDYQGTRYEAVFTTKGDYASAVVPIPGAFP -PLKFAPQSYTGRAYWYANTGVETGFVGTTGCLVFSGPGDSGSPIITPDGLIVGVHTGSDSKGSGAYTTPN -GLTVSGPLSLKEMGAHYEGPIVDVPTRLPRNVHNDTKSVPQPLARLLESSINLEGGLGTIQLIIVAVVLW -KYAVDPLSIPFVVAFFLLNEILPKCLIRCFYNYSLFCLAAFSPLASRIFFIRLLTAALNRNPTALICHAC -FAGIAVLNDFIILGDIRLALRFTSFYVVGVNHDAIAIAVIGALVCVAACCLELFGLPQMASVIGCHGSFD -PTFLSRYVHEGIRQGVSSGFGTESLSTALACALSEDELNFLAQAVDHKAIVSAIHVHKTLQDYILSKNAK -ILRASLASVHANHNASKALASLDKFLQGTSTQLKPGDPVILLGSTSAELVSVFSGDSEYIAEPIRSHPVA -GTICTLCVVQAKCEGGLVTQVNGKFSPAKYLAVAGKVLADHPDYKLENDGRFPRTREDRVKDSVQVDTVD -IGSHTFKKMWNKTTGDVWYDIIMPESAANPLAVHDLDSAVAAIGMSKEIPEKDMNRLRAIISKLQGLVSS -EALNLLTAAGCTSADRSGLVITLDYAKIITHHARTRAFSSIDFKVVSPDEAMRTARLSPSPQPIIASFSD -DKFLLLRRHPPSLLDVLTKGLDATCREPLHSPGDQGIDGYLWDFEAPHSKEAIWLSNQIISACAARRGDA -PGCYPYKLHPVRGDPYRVGNVLKNTRFGDVTYTAVSDSDSPWLKVASINSGGCPVVTDRVLGSTIPVGSE -IYLPTLPESVLDYLDSRPDCPTYYTQHGCEAAALQDLKKFNLSTQGFILPEVLNIVRNYLLGTIGYRPAI -YKPSTVPSNDSHAGINGLSFSTKTLQALPDIDELCEKAIAEVWQTVTPVTLKKQFCSKAKTRTILGTNAM -ASLALRALLSGVTQGFQLAGKNSPICLGKSKFDPCTFEVKGRCLETDLASCDRSTPAIVRHFATKLLFEM -ACAERALPLYVVNCCHDLIVTQTSAATKRGGLSSGDPVTSIANTIYSLVLYVQHMVLTLLENGHPLSLKF -LSGKLNFQDLYKLQAFIVYSDDLILLNESDDLPNFERWVPHLELALGFKVDPKKTVITSNPGFLGCEYRH -GWLVPQKQRVLAALAYHVNAKDVHTYYINATAILNDASALSAFEPDWFDDLVIGLADCARKDGYSFPGPA -AFREFFSRVSGYQFEGKEVQVCSICCSTARTTSLCGMALCDFCAHRHYHPGCHVLSSFCKHVIGSNTCKM -CSIPILKDRTKFAELLASDQYRSVCTVEVTVVDGYTDAAPGRYSYQKKQYMLRKERRGCPLDLPDGKYSM -KLLPNSCSGICVPKAQENATLSNFVVGPPGSGKTTFISNLLDDDAVVYCPTHVSLIAYSKSLPAARFSVP -RGQDPAEYGTPALSGPTLQLLSAGYVPGAKHYLDEACYANPFDVFKLLSKTPITAIGDPAQLTPVGFDTP -LYVFELMKKNALHAIYRFGQNICNAIQPCYSTKLVSQRQGDTEVIFQTKFAPRGKVLTPYHRDRVGAAVT -IDSSQGSTYDVVTLYLPTKGSLTLARGLVGITRARERLYVYDPHHQLAKYFNLQPSSTTIRPHAVVIDGK -ARVMLSDKCYAAPEDFPGMLCTARPATAADRKILEETCLKLDFLESGSLSPLPRVCYNLGFYYSPDITKL -LPIPSELAKHWPVATNRNNPEWPNRLVVSATRLSPLSHPAVCAGYYVGDSLFVGTPNVTSYWLTKFLDGR -AVPMEDSVYSTGRFEMDIRDYLDSAERDFAAKHPHAFIGDTKGTTVGGCHHITSQYLPHVLPADSVVKVG -VSKPGVAHKALCTVTDIYLPMLGSYTSPPTQSKVYKVNVDHKACKLMVWRDQTMYFQEGFDYHTLVDALR -FVRLSSDGVYRVAPELTPMIGNRRLDLGAKPLRPVDLAITPWDDPKCEFLVTHASPFDMSDEFLLVNAFD -FIKEDLLGKSVTPVYFYKRLSEPLHFDQNLPPHVGAILSKAPRFISLAKVFNFCFTPTACHCKVSVKTAT -GDHMCKCSLSSDEFLSRFNPTVGTP - ->YP_009140475.1 putative 1b protein [Pebjah virus] -MVCACPRTNPVVMISGRMVCWFCGNQRLPKPVDAADRLLYGPISQYVEGPTRRLYEIYQGRECMLELAGI -LYQLIGPCARNNSPSVMISCVGALNGCLRDLDRVPGSGLVRFVRTWGPVLGAHGYVSPLHVARDYFEGAT -YAIVTPTQYRGEERPLDSLPYDDVAGHVYQYSTNTITETEKHVMWTPGVTPGTEMCPLDKISFAASVLAA -FPANLVARKSWIGARRGTLRVETNPEDCTLSFEHGKCWAQLFPDAKNELSIASCFGYQLPIGVQGKYIAR -RLQINGLKLVLADGGQWTAYTFHKGSWLGHIQPSHEPLPDEVHALATFNVVPYNEPSRLPLYKLPGRVYF -GGSRYSCMASDPSWQDFLLPGFCWTQLFPMRYRVEEARLAIQRQQQSSEGVTGTYLMGRLLVRGIKAVES -PTGTLYVYQSIAWPSVRHISIIPSNHSGVLVARLEITSLADPRDLFGFGPHWYGKRKRGGGSKSSDPAAC -DWGKAVEEQEKQLPQPQGEARPPSERIASSVAPAVPQRKAKKSKSSPPESANVTFGAPDDAKEKTTPAQP -SNIREALVRPVVGTDNRIQISSKPGLPNQVFVPPPDGGCGLHAVQAIACHYRTGKWPEQSAKVCWDEKKW -TDSDQLAELIGALHLPAGLDMGSGCSHCRYVISLESDHWVVFYYDNRPAAFSSCCAAGACASAVGTLAGM -ESPTWYDPSGVYDLLPCIESPEEYCNVVCGLVPRASLTKPRPVLRQRVLASLAVRPANEPAKVPCSYVRE -IHSKSDLNDCIPPKPNEAPCPASTDAIEKAQAAQEIARDTVAPDKPVGRAPFLLRATSYLQQHAAAASSR -VFQYKPHLLAALSTSSGSARSPMVYVGFGLFSLGFLLVGLSPLASILLGCCGLLFCFTSRTGITMFASLV -CVSILNLVLRSSSPLCENRDDDCVAYLHQLRDRYDDPPSVYLTPGPFTAFFAFIRWLRFVSLRPLLVHCI -LLLLDSLLLLLILLSHKVCRHCWRRCIRLAPEEIVLPTIPSSRTSRAVLLDIADAFAPPPVDVIRLATGY -SGCFRGVLPAVGVGSGVISCDAIDTKKVRANTLCSMPSTPAEAVKAIHVLSARGQLAYQSNCKVEKVEKL -PCRNPIFEYDVNSRNIVTVDAKTFELLRDLGCDTSHLIIGSGDFFEVMGVKRPDRLGVALMQARRISGGG -ILHFRPLLALAWVLFFIFLGLWFQSSHACGVGTSDPFCKGSFGVPIVQQQDICDSGFCGSPFGVSRSLVH -YLTPDVSVAPYLVLAITVAYLLLSYVPGLLETVCLLINAVLPTSPLVTVIRVICFQVALPHLTQRGIVIF -TVTCALIDIPSLVTICILAISAWVLGKYTGVGGLVTPYDIRNVVKTARDSVAVANAPPNTYLGAVRRAAL -TGGCVYYIADNTGIVLEGLLREKTPAPNAVRVFGKTTGTGALLRKGGKTVCISAQHVTGEGKAIIRHNGV -EYEAQFATVGDFAEAEVQIPGSFPEYKLAPPTYSGRAYWLCNDGVEVGFVTPQGCVVFSGPGDSGSAIIT -PESQLIGIHTGSDSKGCGAYTTPDGSTITGAVSLSHMTACYEGPSVSVPERLPRNVTRDVDSVPQPLATI -LQSSINLEGSLGTIQLVVLCCVLWRYFTNPMFVPFVAAFFVLNEILPKCLTRGIYNCALWLLAVFTPLGS -KVLFIRLTTAALNRNATALFCHLGFAGIALLADFIILGDYELALSKCSFYILPVNAPSMHSVVIILAVTV -VVCLLDVFGYRRPAVVMSCSGSFDPVFLSRYIHEGVKNGVSATIATESLSTALATTLSADDLKFLDAIAP -CKAIVSAINVNQALNDYVLSNNSKRLRAALSAVHAHAGATKALASLDKFLTGHSTKLSASDPVLLLGNPK -GDVVPIFTADAEYIAKPIRTHRVAGTNVTLCEVVAQVESSPVTLNNKYLTVAGKLLPDHPDFKAHLKPEN -DAKISQMRDDMDKKLHSKKIDIITVGGRTYDKYWNTVTGDVWYEVVSPEGDSSVSTSSQLYDLDSAASAM -GLKKDLSAREIARLQDIIGKLQALTKTEALNLLTASGCTSADRSGLVISLDSAQLISHHDSTRAYNGIDF -KIVDQAEFDRTVRLSPDPQPAVARLKDGHIVIFRKHPPSLCDVLTKGMDAEYQVPIHGPGDTGIDGYLWD -FAHPPSKEGVELSAQIIAACAARRGAAPACYPYRLEPVAGDPYREGNVLKNTRFGDVQTTTVADNGDPWL -KICAMANDGCPVVSDGKVVATTLPLGSEVYLPTLPESVLEYLDSRPDCPTYFTQHGTEAAALKDLQKFNL -STQGFILPGVLAIVRKYLLKNIGYQPAIYTPATVPSNDSHAGINGLTMSTKMLQALPDINELCERACSEV -WQAVTPVTLKKQYCGKMKTRTILGTNALISLALRAALSGVTKAFQLAGKGSPICLGKSKFHPMHTPVRGR -CLETDLASCDRSTPAIVRHFATELLFELGCCPRMKKLYIANCCHDLLVTQTTACTKRGGLSSGDPVTSIA -NTIYSLVLYTQHMVLSCLENGRPEALRYLQHRLTLEELLAIQPVIVYSDDLVLIDEPENFPNFSAWVPHL -ELALGFKVDPKKTVVTTNPGFLGCHVYDERWLVPQKERVLAALAYHMNATTATEYYSNAVSILCDASALS -FFEQAWFNDLVVGLAECAIKDGHTFPGPSYFYDFFQRVSGYTPEGDARVCGVCLSTAQTTSDCGLDLCAL -CAHRHVHGQCTVKSPFCGHKIGSKQCRGCSLDVVPLNTPFGKLLEQDDYHPAQPTVVDVLNGFTSAPPGR -YQYQKKIYMLKRERDGCPLDLPDGEYLMKRLPNACSGIKVPTAQKNACLSTFIVGPPGAGKTTAICRLLD -NDSVVYCPTHVSFINYSKALPAARFTVPRGQDPALYGTPSDHGPRLQLLSSGYIHASKHFLDEACYSNPF -DMLKLLSLTPITAIGDPRQLSPVGFDGPAYVFDLMKRQQLTTIYRFGPNVCSAIQKFYESPLQSARQEDT -AVIYQEKFSPRGLVITPYHRDRVGDAVTVDSAQGATKAVVTLYLPSRNSLTPARALVAITRATDRLYIYD -PHNQLQKFVDLPSSQPTRTPHAFVRNGDVVVSLAPGVELSAMDLPGLCCTANPKSDEDRQILEKSQLKLD -IRKESGSLCPLPRVAHNLGFYYSPDLPKLLHLPEELAPHWPVCTAKNREDWPNRLVVTINRLCPLSQPAT -CAGYYVGDSLFCGSPGVVSYWLTQFLDGKAVPIPDSLFSTGRIEQNLRCYLSDEERRFAEAHPHAFIGDT -KGTTVGGCHHITSQYLPDQIPAGGVVKVGVSSPGKAFKSCCTLTDVYLPLLSPYTEPPTQSKVYQVRIDN -KLERLMVWRDRTMYFQEGRDPLALADAVASVRVQAGLPIRVESSCSPTTANRPLAIDRNDEHVSLAIGVY -GGCNADMEVSLSDPFEMPSDKLCVNAVSYLSETIIGSSLTTVYYYIQAESHDRDPKNLPDNVAYVLRSLP -RYRRRATKFSFVLSPPACHCQWAIQLANGTYHCKCNSTALPQFLEHCRKQIL - ->YP_009140477.1 putative nsp2F protein [Pebjah virus] -MVCACPRTNPVVMISGRMVCWFCGNQRLPKPVDAADRLLYGPISQYVEGPTRRLYEIYQGRECMLELAGI -LYQLIGPCARNNSPSVMISCVGALNGCLRDLDRVPGSGLVRFVRTWGPVLGAHGYVSPLHVARDYFEGAT -YAIVTPTQYRGEERPLDSLPYDDVAGHVYQYSTNTITETEKHVMWTPGVTPGTEMCPLDKISFAASVLAA -FPANLVARKSWIGARRGTLRVETNPEDCTLSFEHGKCWAQLFPDAKNELSIASCFGYQLPIGVQGKYIAR -RLQINGLKLVLADGGQWTAYTFHKGSWLGHIQPSHEPLPDEVHALATFNVVPYNEPSRLPLYKLPGRVYF -GGSRYSCMASDPSWQDFLLPGFCWTQLFPMRYRVEEARLAIQRQQQSSEGVTGTYLMGRLLVRGIKAVES -PTGTLYVYQSIAWPSVRHISIIPSNHSGVLVARLEITSLADPRDLFGFGPHWYGKRKRGGGSKSSDPAAC -DWGKAVEEQEKQLPQPQGEARPPSERIASSVAPAVPQRKAKKSKSSPPESANVTFGAPDDAKEKTTPAQP -SNIREALVRPVVGTDNRIQISSKPGLPNQVFVPPPDGGCGLHAVQAIACHYRTGKWPEQSAKVCWDEKKW -TDSDQLAELIGALHLPAGLDMGSGCSHCRYVISLESDHWVVFYYDNRPAAFSSCCAAGACASAVGTLAGM -ESPTWYDPSGVYDLLPCIESPEEYCNVVCGLVPRASLTKPRPVLRQRVLASLAVRPANEPAKVPCSYVRE -IHSKSDLNDCIPPKPNEAPCPASTDAIEKAQAAQEIARDTVAPDKPVGRAPFLLRATSYLQQHAAAASSR -VFSTNPTSLLRSPRAQALLAALWCMLALVSFHLVFYSWAYPPWPQSFWDAVDYYFALRPELVSLCLLLWY -VSLYLTWSFGHLPLFAKIETTIAWLTFISSVTVTMTLHLFISRRDLSQLSSLSFAGFVLYRYGLFWSTVY -FFFSTLYSSFLYCYLTRYAVIAGVDASDWRQRRLSCPPFLLAGLQGLYYWTLQMLSPLLQSMSFVWQQVT -QDVSEACYLPLVSEVA - ->YP_009121772.1 ORF1ab [DeBrazza's monkey arterivirus] -MPLTMKCECPRTNLVLVVSGKVCCINCGLVRSPAPTPAGIRAKFGPLSQYVDPTRASVYENLSVGSCSLE -VLAVLMTECGMTNKPLETAVSIMAVARQGGISRENFNRLPGSELFGFVSCTGPVWGAKAYISPLHASRDF -FEGATHAMIKPLDYRGLGRVLREFPFETPPCGEVYQYGTNTITETSTHVSWVQGVTPGTQMCPLDKLEFA -EAVVRSFPAGFVANKKWLGTKRGSLRVETVDPYCLSFDHGTCWTQIFPDPENELKVACTFGYQLGIGVQG -KYISRRLQIAGCKLVYDSAGPFVAYTFHKGSWLGHIQHASEPLPEECCVTARFSVIPYNQYSPLPLCKLS -GRVWYGGSAGSSLRYESPRISYIDATVPGFCWLQLLPPQSRADEAARAIMACQVDGNGVSGSYLSYRLLQ -HHLQVEACDHGEYFIYRHRHDVMVRHISPVPLADTGMIFMGRVTVRPLNRTAPSFSLGFGTRYGKRKRGG -GLKQTADASALSGDWGKAVDEQEKQAENLGSPAPPTAASPPKAPPRASKKKVTVSFGAPSDAASAPVPQT -SVRDALVRTTKERGVPTISHGKAQLPASTFIPPPDGACGVHCLAAIQHHIANGVWPTQQPVVDWAYEQWL -DSDSLGDMIVATGTPAAIAPCDHARYVIALVDSHWIVRFYPDRELFRASACQRGFCISAVGPVGGVTVKQ -PKSVAVGLYSLLGRFQSGEEFGRVLVALSGGKWRGVAASISDSELLRIVDSAAATPAKVPASYVTTLPVK -DEKDMAQNAIPTTQHKDTAPSVAEVKVPDAAPSVETQPPQTPATASNAAAPLAAENTNNNAAPGTGPPPK -RKLTWRERGNNYLARLHNVIADPAGRVFHLYPQLLALLAPRQNRYPLSRLVCAYSLFALALVCLSFGSWF -CFLFGAAALGCIWSSRHARALFGILVVCFVLRLFADESSSLCEHPDDRCHEYLDSVRGRLSASFRTFITP -GLLTVFLAFFRGLYPITSALFVLHYFLLLLDLVFILALLFVRRICLRCWGRCIRTAPEEVPLLTIPSSRV -TRAFLLDIANTFSSPQVDVIRMATGYAGCYDGCVCPTGTSANIAVGKVDVKKVTHKTSCSVPTCPTEAVK -ALHVLASRGTIAPLNNNKVKKVDALPCRNPLFPFDIKNKVITCVDSDTYSLLSELGCDLSHLIIGTGDFF -QEMGVPRPDYFTVLRLKAARIMGGGVAVRTVAAAAYIVVCVMLGSYLQLPTTCGISTRDPFCMSSFGVPV -VASQGVCRGGYCASPLGISRNTPDLLSLAPAVAPYIAILLLICFLLWQYVPTVVEAIAVLIAAAMPSTPL -VDAIRVILFFLALPRLSTKVIGFYLASTVLISPAAACISAIGMACAWIVGAFTGTVGLVTPFDIHRLSRS -SRDAVAIANAPANTFLGAVRKAALTGKPTYFLADNTGIVLEGLLRHSKPADSSVSVFGVACGSGGLFSDK -GKTVVVTATHVCGQQPAIVKTGGEERSVTFQTIGDFAHAEIDLPGSFPAFKIAPPTYMGRAYWYCNNGVE -TGFVTPHGCVVFSGPGDSGSPIVTPDGQLIGVHTGSDSNGCGAYTRPDGTLVSGGIQLSVAAPHYDGDLV -DVPSRLPKNVVADAQKIPATLARLLSQSVLLEGALGTIQLLVVAAVMWKYFVDPTMIPFAVLFFLLNEML -PRCVMRGVYNLALFCLAAFTPLASRILLIRLLTAALNRNLTALLIHTGFAAVAVLNDYLILGNLQLALRT -CSFYVSGVNHDPMIVFAIGVCVVLTCILLELFGYPTLSNLISGSGTFDPAFFARYVHEGIRTGVSTGLVS -ESLSASLACNLSEEDLRFLDSLVDAKAVVAAVNTQAALKDYILSQNAKRLRSALATVHANANANKALASL -DKFLAGTDTLLAPGDPVVLLGCTNQELITAYAGNKEYIVTPVRSHKVAGTVCTLCKVQATVECGLISVAR -SSSGKTYQLVNGKPLADSPDFKPENDARFNRASEDEERKLRRSEKVGQVTINGHTFDKMWDKATGDTWYS -FVNESATDSEIQARQQFDIKSAAAALNLDTTLSESDLQRLQTLITKLQGLTGSTALNLLTAAGCTSADRS -GLAVSLDGAKIVEHHERTRAFNGIDFKFVQDAELERTTRLSITPQPVVATLSDGYLIARRHPASLLDVIT -KGFDAEYQPAIHGPGDTGIDGYLWDFEAPHAKDVVALSREIIAACAVRRGDAPSLGLPYDLHPVRGDPYR -EGSKLLNTRFGNFRTTTIADSSDPWLLTTAVTPAGAKVVSGDKVIATTLPPGSEIYVPTIPETVLDYLDG -RPDCPTFYTKHGTEEALLSDLEKFNLSTQGFILPGVLHVVRNYLVKTIGYRPALYTPATVPSNDSHAGIN -GLSFSTKMLQALPEVNQLCERAAKEVWQSVTPVTLKKQYCSKRKTRTILGTNALISLALRAALSGVTKGF -QLAGKGSPICLGKSKFNPMEVSVTGTCMETDLASCDRSTPAIIRWFTTNLLFELGCCKHMLPLYVVNCCH -DLLVSQSTAVTKRGGLSSGDPVTSVANTIYSLCLYTQHMVLSAFREGHPLTLKYMSGSLTMEDLIAIQGF -VVYSDDLVLLQEAADLPNFKYWNLHLDLALGFKTDPSKTVITTNPGFLGCNFVHGKWLAPQRDRVLAALA -YHLNAKDAQTYYENAVAILNDASALSVFDPDWFTDLVIGLADCARKDGYTMPGPQHYRDFFSRVAGYTPE -ASVECSMCLSTAVTTSACGLMLCMFCAHRHSHPECVVKSPFCKHPVGSKSCQQCSIDVVPAQDAFSKLLA -DHPFSNVTFVNVTVVNGYTQADPGRYLFHKHQYTLKRDPKGCALNLPDGEYCMKKLPNTCSGIVLPKALK -NAALSTFIVGPPGSGKTTTITKMLDDDCVVYCPTHMSLIAYSKSLPAARFTVPKDQDQAEYGTPASHGPR -LQLLSLGYLPGKQHFVDEACYANPLDLLKVLTRTPITAIGDPAQLPPVGFDKVLFVFKMMRQKQLNTIYR -FGPNLVESIQRYYTHPLKSAKDSPTEIIFQTKFQPRGLVLTPYHRDRVAGAITIDSAQGMTRSVVTVYLP -SPNSISASRALVACTRATDRLYIYDPHGQLASFLDLKPFSLGEKPHAYVLGDRVVVRLNDKTLADPKDFP -GLLCTARPRTPADKEMLEATPLKLDYLESGSLSPLPRVAHNLGFYYSPDIPQFLPIPEELAVHWPVVTNK -NNPDWPDRLVVSATQLSPLSQRATCAGYYVGKSLFLGVPRVVSYWMTQFLGGKAVPIESSLFSTGRIDLD -IRSYLDEEERDFAIAHPHAFIGDTKGTTVGGCHHITSRYLPKVIPSDSVVKVGVSAPGKAHKACCTLTDV -YLPYLREFDSPPTQSKVYKVRIDNKECRLMVWRDQTMYFQESNNPLALVEAATRHGFLSGTGTFYLEKSL -TPAVANRQFTSDAEIATDLGVTPWDSNSKFLVSTSSPYDVSDNWLLINSQSYAVETLLGKSVTNVYFYKQ -LGTPYRSERALPEHVQVVLANVPRFKLHTRAKNFHFSPPSCGCKVAVVDTFGEHVCDCRLTYLGEFLNRC -CKLIQANPDLGCSSQSC - ->YP_009067063.1 putative 1b protein [Southwest baboon virus 1] -MICKCEFRGYVISLGGRMVCCGCGHQRSPRPVPSDAREAFGPIIQYVDARLAMDYYGIRDKLCTTAIIAI -LRGDSQGLLKVEAAKYIRLLSKAKGKLCDPELFKEFGWHDYRIVPTAGPMINARGYLSPFHVDQYHFEGA -THAIVLRSRYVGEVTPQPIPDWPFCFMIYQYGTNTVQIGEDYVCWTRGVQSGTDMIPVDMVDLAHQIVGA -LPENLVCPKSWLGNKRGSLNYLTTGESYLSFEHGSCWTQLFSDPINEMSIATTFGYQLGSLGVQGKYISR -RAQINGIKFVHDTQGKYAAWRVIKGAWLGHIGLYTDAPPEGFHLIARFSVIPYYEYSAFPLFKLPGKVYF -GGNGYSTTSTRDYTALNYYDALQAGFCWLQLIPPLERRAEAQRAILAQQVDNNGVTGTYLEYRLNCLGIS -VVEDSYGEHFIYAAASDSSVRHISPIPLFDHDHVFVTRVTTYNYPRVDSFNFGFGTRYGRRKKGGGKKPA -HADAWAIAVDKQEEIAAVKSLSFGAPPDPSPNEPPKTINPVAGLVTKPVVHQATHHLFSYEEAAVTEVYS -PPADGGCGVHCLYAIAEHVATGKWPSIESMVNWGYEAWADDATMGDTACALALPVGFEGCKHQPYIIRLE -SSHFVVDYYPARVRSYSAHCAHRTCHTLIGSTMVLEATPGRFTAFHQLLPRYTCAQDFLKHLQGLAGTKT -VCHKSQAAPRAKTLCSIMDTHPQKPADVSASKLITVDAITKITAQPPQQAKIAPVPPPRKARRVSELATQ -GQSDSSSPATLEVESETQPQQDLDKGNSPTSAQNEGPPQQDAPKSSAPATWHDRMLQGALKRTTAAMTSA -VSRVQPQLLAYLDMRDTVVSSTTSYRASCALWVCALIFLSISPIIGLPLAILAFALHPASRTSRISSILY -PLLLLCKLLLLDEHLVCENDDASCRDFLHDLSVRYANSPSRFVTPGPTTAGFAVLRNYVNFSVGVEYMHT -LLLVLDVCILILCLFHRCLCFKCYGRCIRRAPDEIQGRCVPSARLSRVSLVDICDNYKAPPCDIIKMATG -YAGCYTGSLSCIKACSSALPVAHIDPKKVSNTTSCTFPTCASEAVKALSVLSSRGTLSLGKPPRVVKVEK -LPCKNPFFAHDLTLTTPVVVDSATYELFSELGINLSHLVIGEGDFFQAMGVKRPSFYEKARLKLTRGGGR -LHMDSLLTSLVVLICVIIGAYFQRASICGIGTSDPFCCNTFGVPLTAKQGVCDYGYCASPQGISSSVTSL -LTQHEYLPYIAAAITFILLAYWYVPQVFVIGAVLLNALAPTTPVTSVLRVCAFFTLSTRIDVKLLILTIL -TTALVDMPASLVSFILFGSFWILGKCTGVGGLVTPYDIHLCAKTPRDSIAIANAAPNTYLGAVRMAALSG -TNKFFIGSNAGIILEGLLREKTKADNTCSVFGVTAGTGGLYSRDGKVICITASHVCGDGEAMIKLGDTTT -YATFKRCGDFAEAEVNIPGCFPAYVPIEGYQGRAYWLTSTGVETGFVTNQGAVVFSGPGDSGSPIITPSG -EIIGVHTGSDSKGSGAYSKSNGHLVTGPVKLSDMAAYYEGPLVPVETRLPANVSKDVESVPKTLATLLSS -SIHLEGSLGTLQLLVVAMVMWKYLVEPQAMPYIAVFFILNELLPRALVRGLYNYALFCAALVPGFGPRVF -FIRLITSVVNRNCPAMAFHLASAVVAVFIDFCVVGDLQRALNVSGFYFVAHSVPVLTTMSVGLVCFIVVA -LLEAFGHRSLPNMVSGNGSFDPAFLARYFHEGIKTGVSSGIVSESLTGALATQLSSEELAFVNSLTEIKA -FVAAQNLHNAVNDYVASRQARALRAQLASVHASAAADHTLATLDKFLTSTDISLKPGDPVVLLGPASKEI -IPVFSGADEYMATPVRSQRVAGTVCTICKIIGAVEGGKLTTFQDKLPYIKVNGKVLADHPNYKLENDGRL -PRERDDQDLNKKNRSTALGQVDVNGHTFTKYWDKTTGDVWYEPYIDEGAPTAILGIEEAAKLLGVDTHLT -EKEVVRLNEIIGKLKALTGKQALNLLTAAGFSSADRSGLVLALTSAKFVDEHACTRAWNGIDFKLVTPTE -LLRTARLSVTPQPAVAHLADDTFLIMRRHPPSLIDVITKGLDAERQPVLHSPGDTGIDGYLWDFEAPHSK -EAQMLTGEIVDACAARRGDAPGSYPYNMSPVRGDPYREGSKLCNTRFGDIQTTTTADSDNPWLRVLAINP -RGTKVVSNGKILGTTTPMGSEIYIPTLPEPVLEYLDSRPDCPTYRTQHGTEEAALKDLAKFDLSTQGFIL -PQVLHIVRNYLIKHIGYRPPIYSPHDVPSNDSHAGVNGLQFSTKMLQSLPDVDKLCETIIKEVWQSVTPV -SLKKQYCSKAKTRTILGTSSLVSLALRATLSGVTKAFMKAGKGSPICLGKSKFEPLQLSVSGRCLETDLA -SCDRSTPALVRYFTTKLLFELACSPAAEPLYVANCCHDLLSSQTTCTTKRGGLSSGDPATSIANTVYSLI -LYTQHMVLSAFKIGHPIAMQFLRRELTLEALLQVQPIVVYSDDLVLLNEPDDFPSFRYWCDHLQLALGFK -VDRSKTVITDAPGFLGCTLRGSWLVPQRDRVLAALAYHMNAKDAHEYYVNAVAILSDASALSYFDRDWFD -DLVVGLCNAATTSGFSFPGPAYFRDFFEMVSGYKPESKVAPAQCAICMSTSCVTADCGMALCGHCAHRHI -HPGCTVPSPFCKHTVGSNSCHFCSIQPLKANDELSKLLADDEFQPKHQVEVEVLNGYTSAAPGRYMYHKK -TLMLKKGPLGCPVDLPDGRYPFQFLPTGCAHIIGPKALHNAALSKLVVGPPGTGKTTTIKKLLTQDSVVY -CPTHASMMAYSKSLPAAQFTVPAGQDPEEYGTPARYGPKLQLLSAGYIPGDVHYVDEACYANPFDLLRLL -TKTPITAIGDPNQLCPVGFDKPCYVFNYMKKQQLTVVYRFGDNICKAIQSVYPDQLSAHSQHDTEIIYQK -VFEPRGQVLTPFHRDRIDGAITIDSAQGLTFPVVTLYLPSKKSLTQPRALVAITRVQSRLYIYDPHCQLE -EFFKLDPYRAAEPPHAQVVDDKVVVRLAGNVTVDAATVPGLVCTARPSTDEQKTLLKSSGLVIDSLESGT -LSPLPRVAYNLGYYYSPDIPKFLTLPPELAKHWPVVTNKNSPEWPNRLVVSPTRISPLSQPAMSAGYYVG -SSLFIGTPGIPSYWLTQFLDGKAVPMEQSIFSTGRFELDIRGYLNEEERSFALKHPHAFIGDTKGTTVGG -CHHITSKYLPKEIPVGSVVKVGVSKTGVAHKSCCTLTDVYLPDLEPYTNPPTASKVYKVNVDYRPSRLMV -WKDSTMYFQEGADPLALVDAVRNVRLSATATVRYSSNLSPMQSNRKITAARTDDPVELAVSSWDDLNCEY -LVSTTDPFDIDSRYELVNATEYRKETILGGHRTLVYYYKVHKEPQPRPVDGSGTPPACYGILRRIPCYPQ -KASWFNFLYTAPSCTCPVAISTGNGAHCRCSAPSNTLALS - ->YP_009067050.1 putative 1b protein [Mikumi yellow baboon virus 1] -MICKCEFRGYVISLGGRMVCCSCGHQRSPRPVPTEAREAFGPIVQYVDARLAMDYFGIRDKICTTAIIGI -LRGDSQGMLKVEAGKYIRLLSKARGKLCDPDLFHELGWHAYGIVPTAGPLLNARGYLSPFHVDQYWFDGA -THAIVLKDRYVGVERPESIPDWPYCFMIFQYGTNTVQIGEKFVCWTRGVQPGTDLIPVDMTDLAHRIVAA -LPEGMVCPRSWLGDKRGSLNYQTIGESCLSFEHGDCWAQLFDDPTNEMRIALTFGYQLGTLGAQGKYISR -RAQINGIKFVHDANGQYAAWRVIKGAWLGHIGPYTDAPPEGFHLIARFSVIPYNQYSPLPLFKLPGKVYF -GGTGYSSLNKFQPAEHLTYSDALQPGFCWLQLFPPHERQTEAQRAVLAGQVNNEGVTGTYLEYRLNCLGI -SVVEDSYGEHFIYGAASDPSVRHISPIPLFDRHHVFIARVTTYGHPVCDSFKFGFGTRYGRRKRGGGKKP -ASSDAWAAAVDKQEQDATISSFSFGAPKDTTPDTPKPPMNPAAGLVTKPIITQATHHLFQYADAALTETY -SPPPDGGCGVHCLYAISEHRATKQWPSIKPMVDWAYESWADDTQMGDVACALALPVGFEGCTHQPYIIRL -VDAHFVVDYYPARVASYSPHCAHRTCMSLVGSTMVLEATPGRFSDFHKLLPRYKKAENFLAHLQGLAGTK -AVCHHSRPTPRAATLCKVIDTPPCKPANVSASKLISIDKIATVDAIKPQPAKIAPVPPPRKARKVSADAS -PNTEADSQPVTPQLGGVNNETSDLPVPPDTTNPPQATATSPQEPTKSSPITWHDRLLQGTLKNAAAKATN -VVSRLHPQLLAYLDMRDVKAGNTTSYYISSGLWALTLLLLSISPFLGLALGILAFVCCPNSRTSRVSSLL -YPLLLFCKLVLSEQSLVCESDDSGCRDFLLSVSLRYASAPPRFVTPGPFTAGFAVLRNFVIVTTLVQYAH -FLLLVFDVCILLLCMCHRSFCLKCYGRCIRLAPEEIQGRCVPSSRLSRVSLVDICDVYKAPPCDIIKMAT -GYAGCYTGSLSCVKACSSALPVAHIDPKKVSNTTSCTFPTCASEAVKALAVLSARGTLSLGKPPRVVKVE -KFPCKNPFFPYDLSLTTPVVVDCATYELFAELGLNVSHLVIGEGDIFQVMNVKRPTFYEKARLKLTKGGG -RLPVDLLLTGIVSFVSICIGAFFQRSSICGIGTSDPFCRNTFGVPLIAKQGVCDHGYCASPQGISASVAS -LLTQYEYLPYITLFITVVLLAYWYVPQVFVIGIIFLNALAPTTPLTSVMRVCAFFVLSTKIDIKLLLLTV -LTTSLIDLPASIICFILFGSAWVIGKCTGVGGLVTPYDIHLCAKTPRDSIAIANAAPNTYLGAVRMAALS -GTNRFFVGSNAGIVLEGLLREKTKADNTCSVFGVTAGTGGLYTRNGKVICITASHVCGDGEAMVKLGDTS -AYATFKRCGDFAEAEVMIPGCFPAYVPAENYQGRAYWLTSTGVETGFVTDLGAVVFSGPGDSGSPIITPT -GEVIGVHTGSDSKGSGAYSKSNGHLVTGPVKLSDMAAYYEGPLVPVEARLPANVSKDVESIPKTLATLLS -NSIHLEGSLGTLQLLVVAMVMWKYLVEPQAMPYIAVFFILNEILPRALVRGLYNYALFCAALVPGFGPRV -LFIRLVTAVVNRNCSALLFHLASAVLAVFIDFCVTGDFQSALHVSGFYFVSHSVPILTSMSVGLVCFIVV -ALMEAFGHRTLPNIVSGNGSFDPTFLARYFHEGIKTGVSSGIVSESLTGALATQLSGEELTFLNSLTEIK -AFVSAQNLQNAVNDYIASRQARALRAQLASVHASAAADHTLATLDKFITSTEVTLKPGDPVVLLGPASKE -IIPVFSGATEYIATPVRSQKVAGTVCTICKIVSSVEGAKLTTFQDKLPYMRVNGKVLADHPDYTLENDGR -LPRERDDQDLNKKHRSTALGQVDVNGHTFTKYWDKTTGDVWYEPYVDEGAPTAILNIEEAAKLLGVDTHL -TDKDISKLNDIIVKLKGLTGKQALNLLTAVGFSSADRSGMVLALTSAKFVDDHACTRAWNGIDFKVVTPT -ELLRTARLSIAPQPAVAHLADDTFLIMRRHPPSLIDVITKGLDAERQPVLHSPGDTGIDGYLWDFEAPHS -KEAQMLTGEIVDACAARRGDAPGSYPYNMAPVRGDPYREGSKLCNTRFGQIQTTTVADTDNPWLRVLTIN -PRGTKVISDGKVLGSTTPLGSEVYIPTLPEPVLEYLDSRPDCPTYRTQHGTEEAALKDLAKFDLSTQGFI -LPQVLHIVRNYLVKHIGYHPPLYKPHDVPSNDSHAGVNGLQFSTKMLQALPDVDKLCETIIKEVWQSVTP -VSLKKQYCSKAKTRTILGTSSLVSLALRATLSGVTKAFMKAGKGSPICLGKSKFEPLQQTVSGRCLETDL -ASCDRSTPAIVRYFTTKLLFELACSPDAEPLYVANCCHDLLSSQTTCFTKRGGLSSGDPVTSIANTVYSL -ILYTQHMVLSAFKLGHPISMKFLLRDLTLEDLLKIQPIVVYSDDLVLLNEPDDFQSFKFWCDHLQLALGF -VVDRNKTVITNEPGFLGCTLRGSWLVPQRDRVLAALAYHMNAKDAHEYYVNAVAILSDASALSYFDREWF -DDLIVGMCNAATTSGYSFPGPAYFRDFFQMVSGYKPESKIAPALCAICMSTSCTTADCGMSLCGHCAHRH -IHPGCTVPSPFCKHTVGSSSCHFCSIKPLPANDELSKLLAVDEFQPKHQVEIEVLNGYTNANPGRYMYHK -KMIMLKKGPLGCPIDLPDGRYPFQFLPTGCANIIGPKALHNAALSKLVVGPPGTGKTTTIKKLLTPDSVV -YCPTHASMMAYSKSLPAAQFTVPAGQNPEEYGTPAKYGPKLQLLSAGYIPGDVHYVDEACYANPFDLLRL -LTKTPITAIGDPNQLCPVGFDKPCYVFHYMKKQQLTVVYRFGDNICKAIQCVYPDQLKAHSNHNTEIIYQ -KVFEPRGQVLTPFHRDRIDGALTIDSAQGSTFPVVTLYLPSKKSLTQPRALVAITRVQSRLYIFDPHNQL -QEFFKLEPYRAAEPPHAQVVDDKVVVRLSGNVVVDAATVPGLVCTARPATDEQKALLSTSGLVIDSLESG -SLSPLPRVAYNLGYYYSPDIPTFLKLPEELAKHWPVVTNKNSPEWPNRLVVSPTRISPLSQPAMSAGYYV -GSSLFIGSPGIPSYWLTQFLDGKVVPMEQSIFSTGRFELDIRGYLNEEERSFALAHPHAFIGDTKGTTVG -GCHHITSKYLPKEIPAGSVVKVGVSKTGVAHKSCCTLTDVYLPDLQPYTNPPTASKVYKVNVDYRPSRLM -VWKDSTMYFQEGADPLALVDAVRHVRLSPTATVRYSSNLSPMNSNRKITAARTDDPVDLAVSTWDDLNCE -YLVSTTDPFDIDSRYELVNATEYRKETILGGQRTLVYYYKVHKEPQPRPIDGSGTPPAYYQILRRIPCYP -QKASWFNFLYTAPTCTCSVAIATGNGIHCRCAAPSNALASS - ->YP_001008394.2 polyprotein 1ab [Lactate dehydrogenase-elevating virus] -MQSGFDRCLCTPNARVFWEHGQVYCTRCLAARPLLPLSQQNPRLGALGLFYRPATPLTWEAPITYPTKEC -RPGGLCWLSGIYPIARMTSGNHNFQARLNFVASVVYRDGKLTSKHLEEEFEVYSRGCRWYPITGPVPGIA -LYANAVHVSDEPFPGCTHVLSNLPLPQQPLRKGLCPFSDARAEVWRYKGNTIFVSEQGYLWTTGSNDSVP -EPWGEARRLCEKIIASLPADHLVKIEFSNYPFDYSFTGGDGAGYVLFPCKKNDTKFSKCWEKVFEDHSSW -KVACEEADLADRMGYRTPAGVAGPYLARRLQYRGLRAVVKPEQNDYVVWALGVPESYIRHISRAGEPVEN -FFVRVGEFSIVSNCVATPYPKFRFQTRKYYGYSPPGDGACGLHCISAIINDIFGDALCTKLTNCSRDSSE -WLSDQDMYQLVMTARLPATLGHCPSATYKLDCVNQHWTVTKRKGDRALGGLSPECVRGVCGGECKFVPTY -PREINLELAAKSPISALAFSLGVEPYCDCWNFTNSVLVNDSLAVETARAGEAYRSAMGIPKDDWVLLAEL -MTENCLTRREVLDKLQRGLRLHATSKPGSPASVSPASSIDFSAAGLLLDGTESDKEAVVAVNNDCYTVLG -FDKNSATKSEQELATGLFSELVEPMETSTSKHESRKILEAASRALKSAKPKRKRNKKKKTSSPTPTPPET -PTREVPGAIEVVSGDEEAGACESATIVPDKAQARPPPRPKRQALKKAEQGFILKDIIWNPTESGVKCLTI -VEDVRAFLKSITPPGGALGTRARITAHIVEQFHVIRESTPELVLAHAEHQAKNMHELLLSEKAKLILGIG -EDTLKKLVSSQRSLPRSIGFGAWLSDQQKTADSCGEREFVEVPLKSGAEPTPSKRDLGVSLGDQLSQDGA -PRLSSSTACEIKERVPPIKDSGGGLGQKFMAWLNHQVFLLSSHLLAMWSVVLGSRQKLNWADYVYTLFCL -CCVLLCFHFPAIGFIPLAGCVFGSPWRVRLSVFSVWLCVAVVVFQEVLPEPGSVCSSASAECAAALERYS -GNGVHRPVNHIGVGLVGTVAGFVARVVGGPRHYWFYFLRLMVVLDLGLVFLAVALRGRCKKCFCKCVRVA -PHEVHLRVFPLTKVARPTLEAVCDMYSAPRVDPILVATGIKGCWQGKVSPHQVTDKPVSYSNLEEKKISN -KTVVPPPTDPQQAVKCLKVLQCGGSIQDVGVPEVKKVSKVPYKAPFFPNVSIDPECYIVVDPVTYSAAMR -GGYGVSHLIVGTGDFAEVNGLRFVSGGHVADFVCLGLYVMLNFLISAWLSSPVSCGRGTNDPWCKNPFSY -PVVGQGVMCNSHLCISEDGLTSPMVLSYSLIDWALMIAVIATVAIFIAKVSLLVDVICVFLCLLMYVFPP -LSVIAFAFPFALCKVHLHPVTLVWVQFFLLAVNFWAGVAVAVILISSWFLARATSSTGLVTPYDVHLVTS -TPRGASSLASAPEGTYLAAVRRSALTGRCCMFVPTNFGSVLEGSLRTRGCAKNVVSVFGSASGSGGVFTI -HGNPVVVTATHLLSDGKARVSCVGFSQCLTFKSVGDYAFARVAEWKGDAPKVELSDRRGRAYCSPQVEWS -LVLLGPNTAFCFTKCGDSGSPVVDEDGNLIGVHTGSNKRGSGMITTHNGKTLGMSNVKLSEMCQHYGGSG -VPVSTVRLPKHLIVDVEAVASDLVAVVESLPTPEGALSSVQLLCVFFFLWRLIHVPFVPVIAVAFFFLNE -ILPVVLARLMFSFALSLFSVFTGFSVQVLLLRLVIAALNRSAVSFGSFLLGQLFHCCLMPSHLETLGPVP -GYFYPSTTEVASKEIFVTLLAIHVLALLLSLFKRPMLADVLVGNGSFDAAFFLKYFAEGNLRDGVSDSCN -MTPEGLTAALAITLSDDDLEFLQRHSEFKCFVSASNMRNGAKEFIESAYARALRAQLAATDKIKASKSIL -AKLESFAGGVVTKVEPGDVVVVLGKKIVGDLVEITINDVKHVIRVIETRVMAGTQFSVGTICGDLENACE -DPSGLVKTSKKQRRRQKRTGLGTEVVGTVEIDGVSYNKVWHKATGDVTYEGFLVSENSRLRTLGTSAIGR -FQEFIRKHGSKVKTSVEKYPVGKNKHIEFAVTTYNLDGEEFDVPDHEPLEWTITIGDSDLEAERLTVDQA -LRHMGHDSLLTPKEKEKLARIIESLNGLQQSSALNLLTTSGLERCSRGGVTVSKDAVKIVKYHSRTFSIG -DVNLKVMSFDEYRRTMGKPGHLLVAKLTDGVVVMRKHEPSLVDVILTGEDAEFFPRTHGPGNTGIHRFVW -DFESPPVDLELELSEQIITACSMRRGDAPALDLPYKLHPVRGDPYRHRGVLFNTRFGDITYLIPEKTKEP -LHAAACYNKGVPVSDSETLVATTLPHGFELYVPTLPPSVLEYLDSRPDTPRMLTKHGCASAAEKDLQKFD -LSRQGFVLPGVLYMVRRYLSRLIGVRRRLFMPSTYPAKNSMAGINGGRFPLTWLQSHPDIDALCKRACEE -HWQTVTPCTLKKQYCSKSKTRTILGTNNFVALGLRSALSGVTQGFMRKGIGTPICLGKNKFTPLPVRIGG -RCLEADLASCDRSTPAIIRWFTTNLLFELAGAEEWIPSYVLNCCHDVVSTMSGCFDKRGGLSSGDPVTSI -SNTVYSLIIYAQHMVLSAFRCGHKIGGLFLQDSLEMEQLFELQPLLVYSDDVVFYNESDELPNYHFFVDH -LDLMLGFKTDRSKTVITSEPKLPGCRISGGRVLVPQRDRIVAALAYQMKASCVGEYFASAAAILMDACAC -CDHDESWYFDLVCGIAECAGSPWFRFPGPSFFLDMWNRLSAEEKKKCRTCAHCGAPATLVSSCGLNLCDY -HGHGHPHCPVVLPCGHAVGSGVCEQCSSSAMNLNTELDILLMCVPYHPPKVELLSVNDKVSSLPPGAYQA -RGGVVSVRRDILGNVVDLPDGDYQVMKVAQTCADISMVSVNSNILRSQFVTGAPGTGKTTYLLSVVRDDD -VIYTPTHRTMLDVVKALKVCRFDPPKDTPLEFPVPGRTGPTVRLIGAGFVPGRVSYLDEAAYCNPLDVLK -VLSKTPLVCVGDLNQLPPVGFNGPCFAFSLMPGRQLIEVFRFGPAVVNSIKKFYKEELVPRGPDTGVKFL -KQYQPYGQVLTPYHRDRVDGAITIDSSQGCTYDVVTVYLPTPKSLNSARALVALTRARHYVFIYDPYDQL -QQYLQVFEHEPADAWAFWCGDQPKMIVGGVVKQLAGHSRTTDLKLQQLMGLEGTASPLPQVGHNLGFYYS -PDLIQFAKIPPELCKHWPVVTAQNRTEWPDRLVCGMNKMDKNSRAVFCAGYYVGPSIFLGVPGVVSYYLT -KYLKGESVPLPDSIMSTGRIRLNVREYLDENEIEFAKKCPQPFIGEVKGSNVGGCHHVTSRFLPPVLVPG -SVVKVGVSCPGKAAKGLCTVTDVYLPELDSYLHPPSKSMDYKLLVDFQPVKLMVWKDATAYFHEGIRPME -AMSRFLKVPEGEGVFFDLDEFVTNAKVSKLPCKYSVSAHQFLTEVVLSMTPTSEAPPDYELLFARAYCVP -GLDVGTLNAYIYKRGPSTYTTSNFARLVKDTAVPVGCKGSGYMFPK - ->YP_006950436.1 1aTF polyprotein [Porcine reproductive and respiratory syndrome virus] -MSGILDRCTCTPNARVFVAEGQVYCTRCLSARSLLPLNLQVSELGVLGLFYRPEEPLRWTLPRAFPTVEC -SPAGACWLSAIFPIARMTSGNLNFQQRMVRVAAEIYRAGQLTPAVLKALQVYERGCRWYPIVGPVPGVAV -FANSLHVSDKPFPGATHVLTNLPLPQRPKPEDFCPFECAMATVYDIGHDAVMYVAEGKISWAPRGGDEVK -FEAVPGELKLIANRLRTSFPPHHAVDMSKFAFTAPGCGVSMRVERQHGCLPADTVPEGNCWWSLFDLLPL -EVQDKEIRHANQFGYQTKHGVSGKYLQRRLQVNGLRAVTDSNGPIVVQYFSVKESWIRHLKLAGEPSYSG -FEDLLRIRVEPNTSPLANTEGKIFRFGSHKWYGAGKRARKARSCATATVAGRALSVRETRQAKEHEVAGA -DKAEHLKHYSPPAEGNCGWHCISAIANRMVNSIFETTLPERVRPPDDWATDDDLANAIQILRLPAALDRN -GACTSAKYVLKLEGEHWTVTVTPGMSPSLLPLECVQGCCEHKGGLGSPDAIEVSGFDPACLDWLAEVMHL -PSSAIPAALAEMSGDSDRSASPVTTVWTVSQFFARHSGGNHPDQVRLGKIISLCQVIEDCCCSQNKTNRV -TPEEVAAKIDLYLRGATNLEECLARLEKARPPRVIDTSFDWDVVLPGVEAATQTNKLPQVNQCRALVPVV -TQKSLDNNSVPLTAFSLANYYYRAQGDEVRHRERLTAVLSKLEEVVREEYGLMPTEPGPRPTLPRGLDEL -KDQMEEDLLRLANAQATSDMMAWAVEQVDLKTWVKNYPRWTPPPPPPKVQPRKTKPVKSLPERKPVPAPR -RKVGPDCGSPVSLGGDVPNSWEDLAVSSPLDLPTPPEPATLSSELVIVSSPQCIFRPATPLSEPAPIPAP -RGTVSRPVTPLSEPIPVPAPRRKFQQVKRLSSAAAVPLHQNEPLDLSASSQTEYEASPSAPPQSGGVLGV -EGHEAEETLSEISDMSGNIKPASVSSSSSLSSVEITRPKYSAQAIIDSGGPCSGHLQGVKETCLSVMREA -CDATKLDDPATQEWLSRMWDRVDMLTWRNTSVCQAIRTLDGRLKFLPKMILETPPPYPCEFVMMPHTPAP -SVGAESDLTIGSVATEDVPRILEKIENVGEMANQEPSAFSEDKPVDDQLVNDPRISSRRPDESTAAPSAG -TGGAGSFTDLPSSDGADADGGGPFRTAKRKAERLFDQLSRQVFLTSSPISLFSSHAFSTLAVVILRVIGV -LQLLLYCASFYVTVTQPLALLPSWVCFLGLLGAFEWGFLAAGWLLLLVCSSLCPTQSALLVSLTRQSVEI -SFFLLSFSNLGTLFAALLWAPSVSVLPFLAGYWAGHAASGTFCLGLALLQTVSWLELTCFLKAGVKSAGD -LV - ->YP_006950434.1 1aTF polyprotein [Lactate dehydrogenase-elevating virus] -MQSGFDRCLCTPNARVFWEHGQVYCTRCLAARPLLPLSQQNPRLGALGLFYRPATPLTWEAPITYPTKEC -RPGGLCWLSGIYPIARMTSGNHNFQARLNFVASVVYRDGKLTSKHLEEEFEVYSRGCRWYPITGPVPGIA -LYANAVHVSDEPFPGCTHVLSNLPLPQQPLRKGLCPFSDARAEVWRYKGNTIFVSEQGYLWTTGSNDSVP -EPWGEARRLCEKIIASLPADHLVKIEFSNYPFDYSFTGGDGAGYVLFPCKKNDTKFSKCWEKVFEDHSSW -KVACEEADLADRMGYRTPAGVAGPYLARRLQYRGLRAVVKPEQNDYVVWALGVPESYIRHISRAGEPVEN -FFVRVGEFSIVSNCVATPYPKFRFQTRKYYGYSPPGDGACGLHCISAIINDIFGDALCTKLTNCSRDSSE -WLSDQDMYQLVMTARLPATLGHCPSATYKLDCVNQHWTVTKRKGDRALGGLSPECVRGVCGGECKFVPTY -PREINLELAAKSPISALAFSLGVEPYCDCWNFTNSVLVNDSLAVETARAGEAYRSAMGIPKDDWVLLAEL -MTENCLTRREVLDKLQRGLRLHATSKPGSPASVSPASSIDFSAAGLLLDGTESDKEAVVAVNNDCYTVLG -FDKNSATKSEQELATGLFSELVEPMETSTSKHESRKILEAASRALKSAKPKRKRNKKKKTSSPTPTPPET -PTREVPGAIEVVSGDEEAGACESATIVPDKAQARPPPRPKRQALKKAEQGFILKDIIWNPTESGVKCLTI -VEDVRAFLKSITPPGGALGTRARITAHIVEQFHVIRESTPELVLAHAEHQAKNMHELLLSEKAKLILGIG -EDTLKKLVSSQRSLPRSIGFGAWLSDQQKTADSCGEREFVEVPLKSGAEPTPSKRDLGVSLGDQLSQDGA -PRLSSSTACEIKERVPPIKDSGGGLGQKFMAWLNHQVFFSCPPISSPCGLSCSDLAKSLIGLIMFTHCFA -YVVCCYAFTSQPLDSSPLPVVFLGLLGEFAYLFFLFGFVLQWWCSRRSYLNLGLSVPVLRLSVRQLLSGI -PVMVFTDLLTILVLVWWAPWQDLLLAWWAAHGIIGFTSFALWWFWTWDSCFLPWLCVEGAKSVSASV - ->NP_740604.1 nsp11 [Porcine reproductive and respiratory syndrome virus] -GSSSPLPKVAHNLGFYFSPDLTQFAKLPVELAPHWPVVTTQNNEKWPDRLVASLRPIHKYSRACIGAGYM -VGPSVFLGTPGVVSYYLTKFVKGEAQLLPETVFSTGRIEVDCREYLDDREREVAASLPHAFIGDVKGTTV -GGCHHVTSRYLPRVLPKESVAVVGVSSPGKAAKALCTLTDVYLPDLEAYLHPETQSKCWKMMLDFKEVRL -MVWRDKTAYFQLE - ->NP_740601.1 nsp7 [Porcine reproductive and respiratory syndrome virus] -SLTGALAMRLNDEDLDFLMKWTDFKCFVSASNMRNAAGQFIEAAYAKALRVELAQLVQVDKVRGVLAKLE -AFADTVAPQLSPGDIVVALGHTPVGSIFDLKVGSTKHTLQAIETRVLAGSKMTVARVVDPTPTPPPAPVP -IPLPPKVLENGPNAWGDEDRLNKKKRRRMEALGIYVMGGKKYQKFWDKNSGDVFYEEVHNNTDEWECLRV -GDPADFDPEKGTLCGHVTIENKAYHVYISPSGKKFLVPVNPENGRVQWE - ->NP_740597.1 nsp3 (hydrophobic protein) [Porcine reproductive and respiratory syndrome virus] -GGPHLMAALHVACSMALHMLTGIYVTAVGSCGTGTNDPWCANPFAVPGYGPGSLCTSRLCISQHGLTLPL -TALVAGFGIQEIALVVLIFVSIGGMAHRLSCKADMLCILLAIASYVWVPLTWLLCVFPCWLRCFSLHPLT -ILWLVFFLISVNMPSGILAMVLLVSLWLLGRYTNVAGLVTPYDIHHYTSGPRGVAALATAPDGTYLAAVR -RAALTGRTMLFTPSQLGSLLE - ->NP_705592.1 nsp11 [Equine arteritis virus] -SNKISCLPRVAQNLGYHYSPDLPGFCPIPKELAEHWPVVSNDRYPNCLQITLQQVCELSKPCSAGYMVGQ -SVFVQTPGVTSYWLTEWVDGKARALPDSLFSSGRFETNSRAFLDEAEEKFAAAHPHACLGEINKSTVGGS -HFIFSQYLPPLLPADAVALVGASLAGKAAKAACSVVDVYAPSFEPYLHPETLSRVYKIMIDFKPCRLMVW -RNATFYVQE - ->NP_127506.1 replicase ORF1ab polyprotein [Equine arteritis virus] -MATFSATGFGGSFVRDWSLDLPDACEHGAGLCCEVDGSTLCAECFRGCEGMEQCPGLFMGLLKLASPVPV -GHKFLIGWYRAAKVTGRYNFLELLQHPAFAQLRVVDARLAIEEASVFISTDHASAKRFPGARFALTPVYA -NAWVVSPAANSLIVTTDQEQDGFCWLKLLPPDRREAGLRLYYNHYREQRTGWLSKTGLRLWLGDLGLGIN -ASSGGLKFHIMRGSPQRAWHITTRSCKLKSYYVCDISEADWSCLPAGNYGGYNPPGDGACGYRCLAFMNG -ATVVSAGCSSDLWCDDELAYRVFQLSPTFTVTIPGGRVCPNAKYAMICDKQHWRVKRAKGVGLCLDESCF -RGICNCQRMSGPPPAPVSAAVLDHILEAATFGNVRVVTPEGQPRPVPAPRVRPSANSSGDVKDPAPVPPV -PKPRTKLATPNPTQAPIPAPRTRLQGASTQEPLASAGVASDSAPKWRVAKTVYSSAERFRTELVQRARSV -GDVLVQALPLKTPAVQRYTMTLKMMRSRFSWHCDVWYPLAVIACLLPIWPSLALLLSFAIGLIPSVGNNV -VLTALLVSSANYVASMDHQCEGAACLALLEEEHYYRAVRWRPITGALSLVLNLLGQVGYVARSTFDAAYV -PCTVFDLCSFAILYLCRNRCWRCFGRCVRVGPATHVLGSTGQRVSKLALIDLCDHFSKPTIDVVGMATGW -SGCYTGTAAMERQCASTVDPHSFDQKKAGATVYLTPPVNSGSALQCLNVMWKRPIGSTVLGEQTGAVVTA -VKSISFSPPCCVSTTLPTRPGVTVVDHALYNRLTASGVDPALLRVGQGDFLKLNPGFRLIGGWIYGICYF -VLVVVSTFTCLPIKCGIGTRDPFCRRVFSVPVTKTQEHCHAGMCASAEGISLDSLGLTQLQSYWIAAVTS -GLVILLVCHRLAISALDLLTLASPLVLLVFPWASVGLLLACSLAGAAVKIQLLATLFVNLFFPQATLVTM -GYWACVAALAVYSLMGLRVKVNVPMCVTPAHFLLLARSAGQSREQMLRVSAAAPTNSLLGVARDCYVTGT -TRLYIPKEGGMVFEGLFRSPKARGNVGFVAGSSYGTGSVWTRNNEVVVLTASHVVGRANMATLKIGDAML -TLTFKKNGDFAEAVTTQSELPGNWPQLHFAQPTTGPASWCTATGDEEGLLSGEVCLAWTTSGDSGSAVVQ -GDAVVGVHTGSNTSGVAYVTTPSGKLLGADTVTLSSLSKHFTGPLTSIPKDIPDNIIADVDAVPRSLAML -IDGLSNRESSLSGPQLLLIACFMWSYLNQPAYLPYVLGFFAANFFLPKSVGRPVVTGLLWLCCLFTPLSM -RLCLFHLVCATVTGNVISLWFYITAAGTSYLSEMWFGGYPTMLFVPRFLVYQFPGWAIGTVLAVCSITML -AAALGHTLLLDVFSASGRFDRTFMMKYFLEGGVKESVTASVTRAYGKPITQESLTATLAALTDDDFQFLS -DVLDCRAVRSAMNLRAALTSFQVAQYRNILNASLQVDRDAARSRRLMAKLADFAVEQEVTAGDRVVVIDG -LDRMAHFKDDLVLVPLTTKVVGGSRCTICDVVKEEANDTPVKPMPSRRRRKGLPKGAQLEWDRHQEEKRN -AGDDDFAVSNDYVKRVPKYWDPSDTRGTTVKIAGTTYQKVVDYSGNVHYVEHQEDLLDYVLGKGSYEGLD -QDKVLDLTNMLKVDPTELSSKDKAKARQLAHLLLDLANPVEAVNQLNLRAPHIFPGDVGRRTFADSKDKG -FVALHSRTMFLAARDFLFNIKFVCDEEFTKTPKDTLLGYVRACPGYWFIFRRTHRSLIDAYWDSMECVYA -LPTISDFDVSPGDVAVTGERWDFESPGGGRAKRLTADLVHAFQGFHGASYSYDDKVAAAVSGDPYRSDGV -LYNTRWGNIPYSVPTNALEATACYRAGCEAVTDGTNVIATIGPFPEQQPIPDIPKSVLDNCADISCDAFI -APAAETALCGDLEKYNLSTQGFVLPSVFSMVRAYLKEEIGDAPPLYLPSTVPSKNSQAGINGAEFPTKSL -QSYCLIDDMVSQSMKSNLQTATMATCKRQYCSKYKIRSILGTNNYIGLGLRACLSGVTAAFQKAGKDGSP -IYLGKSKFDPIPAPDKYCLETDLESCDRSTPALVRWFATNLIFELAGQPELVHSYVLNCCHDLVVAGSVA -FTKRGGLSSGDPITSISNTIYSLVLYTQHMLLCGLEGYFPEIAEKYLDGSLELRDMFKYVRVYIYSDDVV -LTTPNQHYAASFDRWVPHLQALLGFKVDPKKTVNTSSPSFLGCRFKQVDGKCYLASLQDRVTRSLLYHIG -AKNPSEYYEAAVSIFKDSIICCDEDWWTDLHRRISGAARTDGVEFPTIEMLTSFRTKQYESAVCTVCGAA -PVAKSACGGWFCGNCVPYHAGHCHTTSLFANCGHDIMYRSTYCTMCEGSPKQMVPKVPHPILDHLLCHID -YGSKEELTLVVADGRTTSPPGRYKVGHKVVAVVADVGGNIVFGCGPGSHIAVPLQDTLKGVVVNKALKNA -AASEYVEGPPGSGKTFHLVKDVLAVVGSATLVVPTHASMLDCINKLKQAGADPYFVVPKYTVLDFPRPGS -GNITVRLPQVGTSEGETFVDEVAYFSPVDLARILTQGRVKGYGDLNQLGCVGPASVPRNLWLRHFVSLEP -LRVCHRFGAAVCDLIKGIYPYYEPAPHTTKVVFVPNPDFEKGVVITAYHKDRGLGHRTIDSIQGCTFPVV -TLRLPTPQSLTRPRAVVAVTRASQELYIYDPFDQLSGLLKFTKEAEAQDLIHGPPTACHLGQEIDLWSNE -GLEYYKEVNLLYTHVPIKDGVIHSYPNCGPACGWEKQSNKISCLPRVAQNLGYHYSPDLPGFCPIPKELA -EHWPVVSNDRYPNCLQITLQQVCELSKPCSAGYMVGQSVFVQTPGVTSYWLTEWVDGKARALPDSLFSSG -RFETNSRAFLDEAEEKFAAAHPHACLGEINKSTVGGSHFIFSQYLPPLLPADAVALVGASLAGKAAKAAC -SVVDVYAPSFEPYLHPETLSRVYKIMIDFKPCRLMVWRNATFYVQEGVDAVTSALAAVSKLIKVPANEPV -SFHVASGYRTNALVAPQAKISIGAYAAEWALSTEPPPAGYAIVRRYIVKRLLSSTEVFLCRRGVVSSTSV -QTICALEGCKPLFNFLQIGSVIGPV - ->sp|P0DJY0.1|1ATF_PRRSS RecName: Full=Truncated polyprotein 1aTF; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2TF -MSGTFSRCMCTPAARVFWNAGQVFCTRCLSARPLLSPELQDTDLGVVGLFYKPKDKIHWKVPIGIPQVEC -TPSGCCWLSAVFPLARMTSGNHNFLQRLVKVADVLYRDGCLAPRHLRELQVYERGCSWYPITGPVPGMGL -FANSMHVSDQPFPGATHVLTNSPLPQRACRQPFCPFEEAHSDVYRWKKFVIFTDSSPNGRFRMMWTPESD -DSAALEVLPPELERQVEILTRSFPAHHPINLADWELTESPENGFSFGTSHSCGHIVQNPNVFDGKCWLTC -FLGQSAEVCYHEEHLANALGYQTKWGVHGKYLQRRLQVRGMRAVVDPDGPIHVEALSCSQSWVRHLTLNN -DVTPGFVRLTSIRIVSNTEPTAFRIFRFGAHKWYGAAGKRARAKRATKSGKDSALAPKIAPPVPTCGITT -YSPPTDGSCGWHVLAAIVNRMINGDFTSPLPQYNRPEDDWASDYDLAQAIQCLQLPATVVRNRACPNAKY -LIKLNGVHWEVEVRSGMAPRSLSRECVVGVCSEGCVAPPYPADGLPKRALEALASAYRLPSDCVSSGIAD -FLADPPPQEFWTLDKMLTSPSPERSGFSSLYKLLLEVVPQKCGATEGAFVYAVERMLKDCPSPEQAMALL -AKIKVPSSKAPSVSLDECFPAGVPADFEPAFQERPRSPGAAVALCSPDAKGFEGTASEEAQESGHKAVHA -VPLAEGPNNEQVQVVAGEQLELGGCGLAIGSAQSSSDSKRENMHNSREDEPLDLSHPAPAATTTLVGEQT -PDNPGSDASALPIAVRGFVPTGPILRHVEHCGTESGDSSSPLDLSFAQTLDQPLDLSLAAWPVKATASDP -GWVRGRCEPVFLKPRKAFSDGDSALQFGELSESSSVIEFDQTKDTLVADAPVDLTTSNEALSAVDPSEFV -ELRRPRHSAQALIDRGGPLADVHAKIKNRVYEQCLQACEPGSRATPATREWLDKMWDRVDMKTWRCTSQF -QAGRILASLKFLPDMIQDTPPPVPRKNRASDNAGLKQLVARWDKKLSVTPPPKSAGLVLDQTVPPPTDIQ -QEDATPSDGLSHASDFSSRVSTSWSWKGLMLSGTRLAGSAGQRLMTWVFLKFTPISQLLYSHFSRRGALW -LQAIGCLQVLFYLLSCSVVLTQYSDAFPYWVSFLVLCGVFVWVFLVLGWLLLYFYSRLHPTQSVLLVTTI -RRNVMLSFWLLSSANFGNLCAALWLAPQVSYVSSLASYSVGHVISGMLSYVYACLQIWPFLLFMWCPKGV -VTSVGESV - ->sp|A0MD28.2|RPOA_PRRSS RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=Serine protease nsp4; Short=3CLSP; AltName: Full=3C-like serine proteinase; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase nsp10; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -MSGTFSRCMCTPAARVFWNAGQVFCTRCLSARPLLSPELQDTDLGVVGLFYKPKDKIHWKVPIGIPQVEC -TPSGCCWLSAVFPLARMTSGNHNFLQRLVKVADVLYRDGCLAPRHLRELQVYERGCSWYPITGPVPGMGL -FANSMHVSDQPFPGATHVLTNSPLPQRACRQPFCPFEEAHSDVYRWKKFVIFTDSSPNGRFRMMWTPESD -DSAALEVLPPELERQVEILTRSFPAHHPINLADWELTESPENGFSFGTSHSCGHIVQNPNVFDGKCWLTC -FLGQSAEVCYHEEHLANALGYQTKWGVHGKYLQRRLQVRGMRAVVDPDGPIHVEALSCSQSWVRHLTLNN -DVTPGFVRLTSIRIVSNTEPTAFRIFRFGAHKWYGAAGKRARAKRATKSGKDSALAPKIAPPVPTCGITT -YSPPTDGSCGWHVLAAIVNRMINGDFTSPLPQYNRPEDDWASDYDLAQAIQCLQLPATVVRNRACPNAKY -LIKLNGVHWEVEVRSGMAPRSLSRECVVGVCSEGCVAPPYPADGLPKRALEALASAYRLPSDCVSSGIAD -FLADPPPQEFWTLDKMLTSPSPERSGFSSLYKLLLEVVPQKCGATEGAFVYAVERMLKDCPSPEQAMALL -AKIKVPSSKAPSVSLDECFPAGVPADFEPAFQERPRSPGAAVALCSPDAKGFEGTASEEAQESGHKAVHA -VPLAEGPNNEQVQVVAGEQLELGGCGLAIGSAQSSSDSKRENMHNSREDEPLDLSHPAPAATTTLVGEQT -PDNPGSDASALPIAVRGFVPTGPILRHVEHCGTESGDSSSPLDLSFAQTLDQPLDLSLAAWPVKATASDP -GWVRGRCEPVFLKPRKAFSDGDSALQFGELSESSSVIEFDQTKDTLVADAPVDLTTSNEALSAVDPSEFV -ELRRPRHSAQALIDRGGPLADVHAKIKNRVYEQCLQACEPGSRATPATREWLDKMWDRVDMKTWRCTSQF -QAGRILASLKFLPDMIQDTPPPVPRKNRASDNAGLKQLVARWDKKLSVTPPPKSAGLVLDQTVPPPTDIQ -QEDATPSDGLSHASDFSSRVSTSWSWKGLMLSGTRLAGSAGQRLMTWVFEVYSHLPAFILTLFSPRGSMA -PGDWLFAGVVLLALLLCRSYPILGCLPLLGVFSGSLRRVRLGVFGSWMAFAVFLFSTPSNPVGSSCDHDS -PECHAELLALEQRQLWEPVRGLVVGPSGLLCVILGKLLGGSRHLWHVILRLCMLTDLALSLVYVVSQGRC -HKCWGKCIRTAPAEVALNVFPFSRATRNSLTSLCDRFQTPKGVDPVHLATGWRGCWRGESPIHQPHQKPI -AYANLDEKKISAQTVVAVPYDPSQAIKCLKVLQAGGAIVDQPTPEVVRVSEIPFSAPFFPKVPVNPDCRI -VVDSDTFVAAVRCGYSTAQLVLGRGNFAKLNQTPLRDSASTKTTGGASYTLAVAQVSVWTLVHFILGLWF -TSPQVCGRGTADPWCSNPFSYPAYGPGVVCSSRLCVSADGVTLPLFSAVAQLSGREVGIFILVLVSLTAL -AHRLALKADMLVVFSAFCAYAWPMSSWLICFFPILLKWVTLHPLTMLWVHSFLVFCMPAAGILSLGITGL -LWAVGRFTQVAGIITPYDIHQYTSGPRGAAAVATAPEGTYMAAVRRAALTGRTLIFTPSAVGSLLEGAFR -THKPCLNTVNVVGSSLGSGGVFTIDGRKTVVTAAHVLNGDTARVTGDSYNRMHTFKTSGDYAWSHADDWQ -GVAPVVKVAKGYRGRAYWQTSTGVEPGVIGEGFAFCFTNCGDSGSPVISESGDLIGIHTGSNKLGSGLVT -TPEGETCAIKETKLSDLSRHFAGPSVPLGDIKLSPAIVPDVTSIPSDLASLLASVPVMEGGLSTVQLLCV -FFLLWRMMGHAWTPIVAVGFFLLNEILPAVLVRAVFSFALFILAWATPWSAQVLMIRLLTASLNRNKLSL -AFYALGGVVGLAAEIGAFAGRLPELSQALSTYCFLPRVLAMASYVPIIIIGGLHALGVILWLFKYRCLHN -MLVGDGSFSSAFFLRYFAEGNLRKGVSQSCGMSNESLTAALACKLSQADLDFLSSLTNFKCFVSASNMKN -AAGQYIEAAYAKALRQELASLVQVDKMKGILSKLEAFAETATPSLDAGDVVVLLGQHPHGSILDINVGTE -RKTVSVQETRSLGGSKFSVCTVVSNTPVDALTGIPLQTPTPLFENGPRHRGEEDDLRVERMKKHCVSLGF -HNINGKVYCKIWDKSTGDTFYTDDSRYTQDLAFQDRSADYRDRDYEGVQTAPQQGFDPKSETPIGTVVIG -GITYNRYLIKGKEVLVPKPDNCLEAAKLSLEQALAGMGQTCDLTAAEVEKLRRIISQLQGLTTEQALNCL -LAASGLTRCGRGGLVVTETAVKIVKYHSRTFTLGPLDLKVTSEAEVKKSTEQGHAVVANLCSGVILMRPH -PPSLVDVLLKPGLDTKPGIQPGHGAGNMGVDGSTWDFETAPTKAELELSKQIIQACEVRRGDAPNLQLPY -KLYPVRGDPERHGGRLINTRFGDLSYKTPQDTKSAIHAACCLHPNGAPVSDGKSTLGTTLQHGFELYVPT -VPYSVMEYLDSRPDTPFMCTKHGTSKAAAEDLQKYDLSTQGFVLPGVLRLVRRFIFGHIGKAPPLFLPST -YPAKNSMAGINGQRFPTKDVQSIPEIDEMCARAVKENWQTVTPCTLKKQYCSKPKTRTILGTNNFIALAH -RSALSGVTQAFMKKAWKSPIALGKNKFKELHCTVAGRCLEADLASCDRSTPAIVRWFVANLLYELAGCEE -YLPSYVLNCCHDLVATQDGAFTKRGGLSSGDPVTSVSNTVYSLIIYAQHMVLSALKMGHEIGLKFLEEQL -KFEDLLEIQPMLVYSDDLVLYAERPTFPNYHWWVEHLDLMLGFRTDPKKTVITDKPSFLGCRIEAGRQLV -PNRDRILAALAYHMKAQNASEYYASAAAILMDSCACIDHDPEWYEDLICGIARCARQDGYSFPGPAFFMS -MWEKLRSHNEGKKFRHCGICDAKADHASACGLDLCLFHSHFHQHCPVTLSCGHHAGSRECSQCQSPVGAG -RSPLDAVLKQIPYKPPRTVIMKVGNKTTALDPGRYQSRRGLVAVKRGIAGNEVDLPDGDYQVVPLLPTCK -DINMVKVACNVLLSKFIVGPPGSGKTTWLLSQVQDDDVIYTPTHQTMFDIVSALKVCRYSIPGASGLPFP -PPARSGPWVRLVASGHVPGRTSYLDEAGYCNHLDILRLLSKTPLVCLGDLQQLHPVGFDSYCYVFDQMPQ -KQLTTIYRFGPNICAAIQPCYREKLESKARNTRVVFTTWPVAFGQVLTPYHKDRIGSAITIDSSQGATFD -IVTLHLPSPKSLNKSRALVAITRARHGLFIYDPHNQLQEFFNLIPERTDCNLVFSRGDDLVVLSADNAVT -TVAKALGTGPSRFRVSDPRCKSLLAACSASLEGSCMPLPQVAHNLGFYFSPDSPAFAPLPKELAPHWPVV -THQNNRAWPDRLVASMRPIDARYSKPMVGAGYVVGPSTFLGTPGVVSYYLTLYIRGEPQALPETLVSTGR -IATDCREYLDAAEEEAAKELPHAFIGDVKGTTVGGCHHITSKYLPRTLPKDSVAVVGVSSPGRAAKAMCT -LTDVYLPELRPYLQPETASKCWKLKLDFRDVRLMVWKGATAYFQLEGLTWSALPDYARFIQLPKDAVVYI -DPCIGPATANRKVVRTTDWRADLAVTPYDYGAQNILTTAWFEDLGPQWKILGLQPFRRAFGFENTEDWAI -LARRMSDGKDYTDYNWDCVRERPHAIYGRARDHTYHFAPGTELQVELGKPRLPPGREP - ->sp|Q04561.3|RPOA_PRRSL RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=Serine protease nsp4; Short=3CLSP; AltName: Full=3C-like serine proteinase; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase nsp10; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -MSGTFSRCMCTPAARVFWNAGQVFCTRCLSARSLLSPELQDTDLGAVGLFYKPRDKLHWKVPIGIPQVEC -TPSGCCWLSAVFPLARMTSGNHNFLQRLVKVADVLYRDGCLAPRHLRELQVYERGCNWYPITGPVPGMGL -FANSMHVSDQPFPGATHVLTNSPLPQQACRQPFCPFEEAHSSVYRWKKFVVFTDSSLNGRSRMMWTPESD -DSAALEVLPPELERQVEILIRSFPAHHPVDLADWELTESPENGFSFNTSHSCGHLVQNPDVFDGKCWLSC -FLGQSVEVRCHEEHLADAFGYQTKWGVHGKYLQRRLQVRGIRAVVDPDGPIHVEALSCPQSWIRHLTLDD -DVTPGFVRLTSLRIVPNTEPTTSRIFRFGAHKWYGAAGKRARAKRAAKSEKDSAPTPKVALPVPTCGITT -YSPPTDGSCGWHVLAAIMNRMINGDFTSPLTQYNRPEDDWASDYDLVQAIQCLRLPATVVRNRACPNAKY -LIKLNGVHWEVEVRSGMAPRSLSRECVVGVCSEGCVAPPYPADGLPKRALEALASAYRLPSDCVSSGIAD -FLANPPPQEFWTLDKMLTSPSPERSGFSSLYKLLLEVVPQKCGATEGAFIYAVERMLKDCPSSKQAMALL -AKIKVPSSKAPSVSLDECFPTDVLADFEPASQERPQSSGAAVVLCSPDAKEFEEAAPEEVQESGHKAVHS -ALLAEGPNNEQVQVVAGEQLKLGGCGLAVGNAHEGALVSAGLINLVGGNLSPSDPMKENMLNSREDEPLD -LSQPAPASTTTLVREQTPDNPGSDAGALPVTVREFVPTGPILCHVEHCGTESGDSSSPLDLSDAQTLDQP -LNLSLAAWPVRATASDPGWVHGRREPVFVKPRNAFSDGDSALQFGELSESSSVIEFDRTKDAPVVDAPVD -LTTSNEALSVVDPFEFAELKRPRFSAQALIDRGGPLADVHAKIKNRVYEQCLQACEPGSRATPATREWLD -KMWDRVDMKTWRCTSQFQAGRILASLKFLPDMIQDTPPPVPRKNRASDNAGLKQLVAQWDRKLSVTPPPK -PVGPVLDQIVPPPTDIQQEDVTPSDGPPHAPDFPSRVSTGGSWKGLMLSGTRLAGSISQRLMTWVFEVFS -HLPAFMLTLFSPRGSMAPGDWLFAGVVLLALLLCRSYPILGCLPLLGVFSGSLRRVRLGVFGSWMAFAVF -LFSTPSNPVGSSCDHDSPECHAELLALEQRQLWEPVRGLVVGPSGLLCVILGKLLGGSRYLWHVLLRLCM -LADLALSLVYVVSQGRCHKCWGKCIRTAPAEVALNVFPFSRATRVSLVSLCDRFQTPKGVDPVHLATGWR -GCWRGESPIHQPHQKPIAYANLDEKKMSAQTVVAVPYDPSQAIKCLKVLQAGGAIVDQPTPEVVRVSEIP -FSAPFFPKVPVNPDCRVVVDSDTFVAAVRCGYSTAQLVLGRGNFAKLNQTPPRNSISTKTTGGASYTLAV -AQVSAWTLVHFILGLWFTSPQVCGRGTADPWCSNPFSYPTYGPGVVCSSRLCVSADGVTLPLFSAVAQLS -GREVGIFILVLVSLTALAHRMALKADMLVVFSAFCAYAWPMSSWLICFFPILLKWVTLHPLTMLWVHSFL -VFCLPAAGILSLGITGLLWAIGRFTQVAGIITPYDIHQYTSGPRGAAAVATAPEGTYMAAVRRAALTGRT -LIFTPSAVGSLLEGAFRTHKPCLNTVNVVGSSLGSGGVFTIDGRRTVVTAAHVLNGDTARVTGDSYNRMH -TFKTNGDYAWSHADDWQGVAPVVKVAKGYRGRAYWQTSTGVEPGIIGEGFAFCFTNCGDSGSPVISESGD -LIGIHTGSNKLGSGLVTTPEGETCTIKETKLSDLSRHFAGPSVPLGDIKLSPAIIPDVTSIPSDLASLLA -SVPVVEGGLSTVQLLCVFFLLWRMMGHAWTPIVAVGFFLLNEILPAVLVRAVFSFALFVLAWATPWSAQV -LMIRLLTASLNRNKLSLAFYALGGVVGLAAEIGTFAGRLSELSQALSTYCFLPRVLAMTSCVPTIIIGGL -HTLGVILWLFKYRCLHNMLVGDGSFSSAFFLRYFAEGNLRKGVSQSCGMNNESLTAALACKLSQADLDFL -SSLTNFKCFVSASNMKNAAGQYIEAAYAKALRQELASLVQIDKMKGVLSKLEAFAETATPSLDIGDVIVL -LGQHPHGSILDINVGTERKTVSVQETRSLGGSKFSVCTVVSNTPVDALTGIPLQTPTPLFENGPRHRSEE -DDLKVERMKKHCVSLGFHNINGKVYCKIWDKSTGDTFYTDDSRYTQDHAFQDRSADYRDRDYEGVQTTPQ -QGFDPKSETPVGTVVIGGITYNRYLIKGKEVLVPKPDNCLEAAKLSLEQALAGMGQTCDLTAAEVEKLKR -IISQLQGLTTEQALNCLLAASGLTRCGRGGLVVTETAVKIIKYHSRTFTLGPLDLKVTSEVEVKKSTEQG -HAVVANLCSGVILMRPHPPSLVDVLLKPGLDTIPGIQPGHGAGNMGVDGSIWDFETAPTKAELELSKQII -QACEVRRGDAPNLQLPYKLYPVRGDPERHKGRLINTRFGDLPYKTPQDTKSAIHAACCLHPNGAPVSDGK -STLGTTLQHGFELYVPTVPYSVMEYLDSRPDTPFMCTKHGTSKAAAEDLQKYDLSTQGFVLPGVLRLVRR -FIFGHIGKAPPLFLPSTYPAKNSMAGINGQRFPTKDVQSIPEIDEMCARAVKENWQTVTPCTLKKQYCSK -PKTRTILGTNNFIALAHRSALSGVTQAFMKKAWKSPIALGKNKFKELHCTVAGRCLEADLASCDRSTPAI -VRWFVANLLYELAGCEEYLPSYVLNCCHDLVATQDGAFTKRGGLSSGDPVTSVSNTVYSLVIYAQHMVLS -ALKMGHEIGLKFLEEQLKFEDLLEIQPMLVYSDDLVLYAERPTFPNYHWWVEHLDLMLGFRTDPKKTVIT -DKPSFLGCRIEAGRQLVPNRDRILAALAYHMKAQNASEYYASAAAILMDSCACIDHDPEWYEDLICGIAR -CARQDGYSFPGPAFFMSMWEKLRSHNEGKKFRHCGICDAKADYASACGLDLCLFHSHFHQHCPVTLSCGH -HAGSKECSQCQSPVGAGRSPLDAVLKQIPYKPPRTVIMKVGNKTTALDPGRYQSRRGLVAVKRGIAGNEV -DLSDGDYQVVPLLPTCKDINMVKVACNVLLSKFIVGPPGSGKTTWLLSQVQDDDVIYTPTHQTMFDIVSA -LKVCRYSIPGASGLPFPPPARSGPWVRLIASGHVPGRVSYLDEAGYCNHLDILRLLSKTPLVCLGDLQQL -HPVGFDSYCYVFDQMPQKQLTTIYRFGPNICAAIQPCYREKLESKARNTRVVFTTRPVAFGQVLTPYHKD -RIGSAITIDSSQGATFDIVTLHLPSPKSLNKSRALVAITRARHGLFIYDPHNQLQEFFNLTPERTDCNLV -FSRGDELVVLNADNAVTTVAKALETGPSRFRVSDPRCKSLLAACSASLEGSCMPLPQVAHNLGFYFSPDS -PTFAPLPKELAPHWPVVTHQNNRAWPDRLVASMRPIDARYSKPMVGAGYVVGPSTFLGTPGVVSYYLTLY -IRGEPQALPETLVSTGRIATDCREYLDAAEEEAAKELPHAFIGDVKGTTVGGCHHITSKYLPRSLPKDSV -AVVGVSSPGRAAKAVCTLTDVYLPELRPYLQPETASKCWKLKLDFRDVRLMVWKGATAYFQLEGLTWSAL -PDYARFIQLPKDAVVYIDPCIGPATANRKVVRTTDWRADLAVTPYDYGAQNILTTAWFEDLGPQWKILGL -QPFRRAFGFENTEDWAILARRMNDGKDYTDYNWNCVRERPHAIYGRARDHTYHFAPGTELQVELGKPRLP -PGQVP - ->sp|Q8B912.3|RPOA_PRRSB RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -MSGILDRCTCTPNARVFVAEGQVYCTRCLSARSLLPLNLQVPELGVLGLFYRPEEPLRWTLPRAFPTVEC -SPTGACWLSAIFPIARMTSGNLNFQQRMVRVAGEIYRAGQLTPTVLKTIQVYERGCRWYPIVGPVPGVGV -YANSLHVSDKPFPGATHVLTNLPLPQRPKPEDFCPFECAMADVYDIGRGAVMYVAGGKVSWAPRGGDEVK -FEPVPKELKLVANRLHTSFPPHHVVDMSKFTFMTPGSGVSMRVEYQYGCLPADTVPEGNCWWRLFDLLPP -EVQNKEIRHANQFGYQTKHGVPGKYLQRRLQVNGLRAVTDTHGPIVIQYFSVKESWIRHLKPVEEPSLPG -FEDLLRIRVEPNTSPLAGKNEKIFRFGSHKWYGAGKRARKARSGATTMVAHRASSAHETRQATKHEGAGA -NKAEHLKLYSPPAEGNCGWHCISAIVNRMVNSNFETTLPERVRPPDDWATDEDLVNTIQILRLPAALDRN -GACGGAKYVLKLEGEHWTVSVNPGMSPSLLPLECVQGCCEHKGGLGSPDAVEVSGFDPACLDRLLQVMHL -PSSTIPAALAELSDDSNRPVSPAAATWTVSQSYARHRGGNHHDQVCLGKIISLCQVIEDCCCHQNKTNRA -TPEEVAAKIDQYLRGATSLEECLAKLERVSPPGAADTSFDWNVVLPGVEAAHQTTEQLHVNPCRTLVPPV -TQEPLGKDSVPLTAFSLSNCYYPAQGNEVRHRERLNSVLSKLEEVVLEEYGLMSTGLGPRPVLPSGLDEL -KDQMEEDLLKLANTQATSEMMAWAAEQVDLKAWVKSYPRWTPPPPPPRVQPRKTKSVKSLPEDKPVPAPR -RKVRSGCGSPVLMGDNVPNGSEDLTVGGPLNFPTPSEPMTPMSEPVLTPALQRVPKLMTPLDGSAPVPAP -RRTVSRPMTPLSEPIFLSAPRHKFQQVEEANPATTTLTHQNEPLDLSASSQTEYEASPLASSQNMSILEA -GGQEAEEVLSEISDILNDTSPAPVSSSSSLSSVKITRPKYSAQAIIDSGGPCSGHLQKEKEACLSIMREA -CDASKLSDPATQEWLSRMWDRVDMLTWRNTSAYQAFRTLNGRFEFLPKMILETPPPHPCGFVMLPHTPAP -SVSAESDLTIGSVATEDVPRILGKIGDTGELLNQGPSAPFKGGPVCDQPAKNSRMSPRESDESIIAPPAD -TGGAGSFTDLPSSDSVDANGGGPLRTVKTKAGRLLDQLSCQVFSLVSHLPVFFSHLFKSDSGYSPGDWGF -AAFTLFCLFLCYSYPFFGFAPLLGVFSGSSRRVRMGVFGCWLAFAVGLFKPVSDPVGTACEFDSPECRNV -LHSFELLKPWDPVRSLVVGPVGLGLAILGRLLGGARYVWHFLLRFGIVADCILAGAYVLSQGRCKKCWGS -CVRTAPNEIAFNVFPFTRATRSSLIDLCDRFCAPKGMDPIFLATVWRGCWTGRSPIEQPSEKPIAFAQLD -EKRITARTVVAQPYDPNQAVKCLRVLQAGGAMVAEAVPKVVKVSAIPFRAPFFPAGVKVDPECRIVVDPD -TFTTALRSGYSTTNLVLGMGDFAQLNGLKIRQISKPSGGGSHLVAALHVACSMALHMLAGVYVTAVGSCG -TGTNDPWCTNPFAAPGYGPGSLCTSRLCISQHGLTLPLTALVAGFGLQEIALVVLIFVSMGGMAHRLSCK -ADMLCILLAIASYVWVPLTWLLCVFPCWLRWFSLHPLTILWLVFFLISVNIPSGILAVVLLVSLWLLGRY -TNIAGLVTPYDIHHYTSGPRGVAALATAPDGTYLAAVRRAALTGRTMLFTPSQLGSLLEGAFRTQKPSLN -TVNVVGSSMGSGGVFTIDGKIKCVTAAHVLTGNSARVSGVGFNQMLDFDVKGDFAIADCPNWQGAAPKAQ -FCEDGWTGRAYWLTSSGVEPGVIGNGFAFCFTACGDSGSPVITEAGELVGVHTGSNKQGGGIVTRPSGQF -CNVTPIKLSELSEFFAGPKVPLGDVKIGSHIIKDTCEVPSDLCALLAAKPELEGGLSTVQLLCVFFLLWR -MMGHAWTPLVAVGFFILNEILPAVLVRSVFSFGMFVLSWLTPWSAQVLMIRLLTAALNRNRLSLGFYSLG -AVTSFVADLAVTQGHPLQVVMNLSTYAFLPRMMVVTSPVPVIACGVVHLLAIILYLFKYRCLHYVLVGDG -VFSSAFFLRYFAEGKLREGVSQSCGMSHESLTGALAMRLTDEDLDFLTKWTDFKCFVSASNMRNAAGQFI -EAAYAKALRIELAQLVQVDKVRGTLAKLEAFADTVAPQLSPGDIVVALGHTPVGSIFDLKVGSTKHTLQA -IETRVLAGSKMTVARVVDPTPAPPPVPVPIPLPPKVLENGPNAWGDEDRLNKKKRRRMEAVGIFVMDGKK -YQKFWDKNSGDVFYEEVHNSTDEWECLRAGDPADFDPETGVQCGHITIEDRVYNVFTSPSGRKFLVPANP -ENRRAQWEAAKLSVEQALGMMNVDGELTAKELEKLKGIIDKLQGLTKEQCLNCLLAASGLTRCGRGGLVV -TETAVKIVKFHNRTFTLGPVNLKVASEVELKDAVEHNQHPVARPVDGGVVLLRSAVPSLIDVLISGADAS -PKLLARHGPGNTGIDGTLWDFEAEATKEEVALSAQIIQACDIRRGDAPEIGLPYKLYPVRGNPERVKGVL -QNTRFGDIPYKTPSDTGSPVHAAACLTPNATPVTDGRSVLATTMPSGFELYVPTIPASVLDYLDSRPDCP -KQLTEHGCEDAALRDLSKYDLVTQGFVLPGVLRLVRKYLFAHVGKCPPVHRPSTYPAKNSMAGINGNRFP -TKDIQSVPEIDVLCAQAVRENWQTVTPCTLKKQYCGKKKTRTILGTNNFIALAHRAALSGVTQGFMKKAF -NSPIALGKNKFKELQTPVLGRCLEADLASCDRSTPAIVRWFAANLLYELACAEEHLPSYVLNCCHDLLVT -QSGAVTKRGGLSSGDPITSVSNTIYSLVIYAQHMVLSYFKSGHPHGLLFLQDQLKFEDMLKVQPLIVYSD -DLVLYAESPSMPNYHWWVEHLNLMLGFQTDPKKTAITDSPTFLGCRIINGRQLVPNRDRILAALAYHMKA -SNVSEYYASAAAILMDSCACLEYDPEWFEELVVGIAQCARKDGYSFPGPPFFLSMWEKLRSNHEGKKSRM -CGYCMAPAPYATACGLDVCVYHTHFHQHCPVIIWCGHPAGSGSCGECEPPLGKGTSPLDEVLEQVPYKPP -RTVIMHVEQGLTPLDPGRYQTRRGLVSVRRGIRGNEVDLPDGDYASTALLPTCKEINMVAVAPNVLRSRF -IIGPPGAGKTHWLLQQVQDGDVIYTPTHQTMLDMIRALGTCRFNVPAGTTLQFPAPSRTGPWVRILAGGW -CPGKNSFLDEAAYCNHLDVLRLLSKTTLTCLGDFKQLHPVGFDSHCYVFDIMPQTQLKTIWRFGQNICDA -IQPDYRDKLVSMVNTTRVTYVEKPVRYGQVLTPYHRDREDGAITIDSSQGATFDVVTLHLPTKDSLNRQR -ALVAITRARHAIFVYDPHRQLQSMFDLPAKGTPVNLAVHRDEQLIVLDRNNKEITVAQALGNGDKFRATD -KRVVDSLRAICADLEGSSSPLPKVAHNLGFYFSPDLTQFAKLPAELAPHWPVVTTQNNERWPDRLVASLR -PIHKYSRACIGAGYMVGPSVFLGTPGVVSYYLTKFVRGEAQVLPETVFSTGRIEVDCREYLDDREREVAE -SLPHAFIGDVKGTTVGGCHHVTSKYLPRFLPKESVAVVGVSSPGEAAKAFCTLTDVYLPDLEAYLHPETQ -SKCWKVMLDFKEVRLMVWKGKTAYFQLEGRHFTWYQLASYTSYIRVPVNSTVYLDPCMGPALCNRRVVGS -THWGADLAVTPYDYGAKIILSSAYHGEMPPGYKILACAEFSLDDPVRYKHTWGFESDTAYLYEFTGNGED -WEDYNGAFRARQKGKIYKATATSMKFHFPPGPVIEPTLGLN - ->sp|Q9YN02.3|RPOA_PRRS1 RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -MSGILDRCTCTPNARVFVAEGQVYCTRCLSARSLLPLNLQVSELGVLGLFYRPEEPLRWTLPRAFPTVEC -SPAGACWLSAIFPIARMTSGNLNFQQRMVRVAAEIYRAGQLTPAVLKALQVYERGCRWYPIVGPVPGVAV -FANSLHVSDKPFPGATHVLTNLPLPQRPKPEDFCPFECAMATVYDIGHDAVMYVAEGKISWAPRGGDEVK -FEAVPGELKLIANRLRTSFPPHHAVDMSKFAFTAPGCGVSMRVERQHGCLPADTVPEGNCWWSLFDLLPL -EVQDKEIRHANQFGYQTKHGVSGKYLQRRLQVNGLRAVTDSNGPIVVQYFSVKESWIRHLKLAGEPSYSG -FEDLLRIRVEPNTSPLANTEGKIFRFGSHKWYGAGKRARKARSCATATVAGRALSVRETRQAKEHEVAGA -DKAEHLKHYSPPAEGNCGWHCISAIANRMVNSIFETTLPERVRPPDDWATDDDLANAIQILRLPAALDRN -GACTSAKYVLKLEGEHWTVTVTPGMSPSLLPLECVQGCCEHKGGLGSPDAIEVSGFDPACLDWLAEVMHL -PSSAIPAALAEMSGDSDRSASPVTTVWTVSQFFARHSGGNHPDQVRLGKIISLCQVIEDCCCSQNKTNRV -TPEEVAAKIDLYLRGATNLEECLARLEKARPPRVIDTSFDWDVVLPGVEAATQTNKLPQVNQCRALVPVV -TQKSLDNNSVPLTAFSLANYYYRAQGDEVRHRERLTAVLSKLEEVVREEYGLMPTEPGPRPTLPRGLDEL -KDQMEEDLLRLANAQATSDMMAWAVEQVDLKTWVKNYPRWTPPPPPPKVQPRKTKPVKSLPERKPVPAPR -RKVGPDCGSPVSLGGDVPNSWEDLAVSSPLDLPTPPEPATLSSELVIVSSPQCIFRPATPLSEPAPIPAP -RGTVSRPVTPLSEPIPVPAPRRKFQQVKRLSSAAAVPLHQNEPLDLSASSQTEYEASPSAPPQSGGVLGV -EGHEAEETLSEISDMSGNIKPASVSSSSSLSSVEITRPKYSAQAIIDSGGPCSGHLQGVKETCLSVMREA -CDATKLDDPATQEWLSRMWDRVDMLTWRNTSVCQAIRTLDGRLKFLPKMILETPPPYPCEFVMMPHTPAP -SVGAESDLTIGSVATEDVPRILEKIENVGEMANQEPSAFSEDKPVDDQLVNDPRISSRRPDESTAAPSAG -TGGAGSFTDLPSSDGADADGGGPFRTAKRKAERLFDQLSRQVFDLVSHLPVFFSRLFHPGGGYSTGDWGF -AAFTLLCLFLCYSYPAFGIAPLLGVFSGTSRRVRMGVFGCWLAFAVGLFKPVSDPVGAACEFDSPECRNI -LLSFELLKPWDPVRSLVVGPVGLGLAILGRLLGGARCIWHFLLRLGIVADCILAGAYVLSQGRCKKCWGS -CIRTAPNEVAFNVFPFTRATRSSLIDLCDRFCAPKGMDPIFLATGWRGCWAGRSPIEQPSEKPIAFAQLD -EKKITARTVVAQPYDPNQAVKCLRVLQAGGAMVAEAVPKVVKVSAVPFRAPFFPTGVKVDPDCRVVVDPD -TFTAALRSGYSTTNLVLGVGDFAQLNGLKIRQISKPSGGGPHLMAALHVACSMALHMLTGIYVTAVGSCG -TGTNDPWCANPFAVPGYGPGSLCTSRLCISQHGLTLPLTALVAGFGIQEIALVVLIFVSIGGMAHRLSCK -ADMLCILLAIASYVWVPLTWLLCVFPCWLRCFSLHPLTILWLVFFLISVNMPSGILAMVLLVSLWLLGRY -TNVAGLVTPYDIHHYTSGPRGVAALATAPDGTYLAAVRRAALTGRTMLFTPSQLGSLLEGAFRTRKPSLN -TVNVIGSSMGSGGVFTIDGKVKCVTAAHVLTGNSARVSGVGFNQMLDFDVKGDFAIADCPNWQGAAPKAQ -FCADGWTGRAYWLTSSGVEPGVIGKGFAFCFTACGDSGSPVITEAGELVGVHTGSNKQGGGIVTRPSGQF -CNVAPIKLSELSEFFAGPKVPLGDVKVGSHIIKDISEVPSDLCALLAAKPELEGGLSTVQLLCVFFLLWR -MMGHAWTPLVAVSFFILNEVLPAVLVRSVFSFGMFVLSWLTPWSAQILMIRLLTAALNRNRWSLAFFSLG -AVTGFVADLAATQGHPLQAVMNLSTYAFLPRMMVVTSPVPVITCGVVHLLAIILYLFKYRGLHQILVGDG -VFSAAFFLRYFAEGKLREGVSQSCGMNHESLTGALAMRLNDEDLDFLMKWTDFKCFVSASNMRNAAGQFI -EAAYAKALRVELAQLVQVDKVRGVLAKLEAFADTVAPQLSPGDIVVALGHTPVGSIFDLKVGSTKHTLQA -IETRVLAGSKMTVARVVDPTPTPPPAPVPIPLPPKVLENGPNAWGDEDRLNKKKRRRMEALGIYVMGGKK -YQKFWDKNSGDVFYEEVHNNTDEWECLRVGDPADFDPEKGTLCGHVTIENKAYHVYISPSGKKFLVPVNP -ENGRVQWEAAKLSMEQALGMMNVDGELTAKELEKLKRIIDKLQGLTKEQCLNCLLAASGLTRCGRGGLVV -TETAVKIVKFHNRTFTLGPVNLKVASEVELKDAVEHNQHPVARPIDGGVVLLRSAVPSLIDVLISGADAS -PKLLAHHGPGNTGIDGTLWDFESEATKEEVALSAQIIQACDIRRGDAPKIGLPYKLYPVRGNPERVKGVL -QNTRFGDIPYKTPSDTGSPVHAAACLTPNATPVTDGRSVLATTMPPGFELYVPTIPASVLDYLDSRPDCP -KQLTEHGCEDAALKDLSKYDLSTQGFVLPGVLRLVRKYLFAHVGKCPPVHRPSTYPAKNSMAGINGNRFP -TKDIQSVPEIDVLCAQAVRENWQTVTPCTLKKQYCGKKKTRTILGTNNFIALAHRAALSGVTQGFMKKAF -NSPIALGKNKFKELQTSVLGRCLEADLASCDRSTPAIVRWFAANLLYELACAEEHLPSYVLNCCHDLLVT -QSGAVTKRGGLSSGDPITSVSNTIYSLVIYAQHMVLSYFKSGHPHGLLFLQDQLKFEDMLKVQPLIVYSD -DLVLYAESPTMPNYHWWVEHLNLMLGFQTDPKKTAITDSPSFLGCRIINGRQLVPNRDRILAALAYHMKA -SNVSEYYASAAAILMDSCACLEYDPEWFEELVVGIAQCARKDGYSFPGTPFFMSMWEKLRSNYEGKKSRV -CGYCGAPAPYATACGLDVCIYHTHFHQHCPVTIWCGHPAGSGSCSECKSPVGKGTSPLDEVLEQVPYKPP -RTVIMHVEQGLTPLDPGRYQTRRGLVSVRRGIRGNEVELPDGDYASTALLPTCKEINMVAVASNVLRSRF -IIGPPGAGKTYWLLQQVQDGDVIYTPTHQTMLDMIRALGTCRFNVPAGTTLQFPVPSRTGPWVRILAGGW -CPGKNSFLDEAAYCNHLDVLRLLSKTTLTCLGDFKQLHPVGFDSHCYVFDIMPQTQLKTIWRFGQNICDA -IQPDYRDKLMSMVNTTRVTYVEKPVRYGQVLTPYHRDREDDAITIDSSQGATFDVVTLHLPTKDSLNRQR -ALVAITRARHAIFVYDPHRQLQGLFDLPAKGTPVNLAVHRDGQLIVLDRNNKECTVAQALGNGDKFRATD -KRVVDSLRAICADLEGSSSPLPKVAHNLGFYFSPDLTQFAKLPVELAPHWPVVTTQNNEKWPDRLVASLR -PIHKYSRACIGAGYMVGPSVFLGTPGVVSYYLTKFVKGEAQLLPETVFSTGRIEVDCREYLDDREREVAA -SLPHAFIGDVKGTTVGGCHHVTSRYLPRVLPKESVAVVGVSSPGKAAKALCTLTDVYLPDLEAYLHPETQ -SKCWKMMLDFKEVRLMVWRDKTAYFQLEGRYFTWYQLASYASYIRVPVNSTVYLDPCMGPALCNRRVVGS -THWGADLAVTPYDYGAKIILSSAYHGEMPPGYKILACAEFSLDDPVRYKHTWGFESDTAYLYEFTGNGED -WEDYNDAFRARQEGKIYKATATSLKFHFPPGPVIEPTLGLN - ->sp|Q9WJB2.2|RPOA_PRRSR RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=Serine protease nsp4; Short=3CLSP; AltName: Full=3C-like serine proteinase; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase nsp10; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -MSGILDRCTCTPNARVFMAEGQVYCTRCLSARSLLPLNLQVSELGVLGLFYRPEEPLRWTLPRAFPTVEC -SPAGACWLSAIFPIARMTSGNLNFQQRMVRVAAELYRAGQLTPAVLKALQVYERGCRWYPIVGPVPGVAV -FANSLHVSDKPFPGATHVLTNLPLPQRPKPEDFCPFECAMATVYDIGHDAVMYVAERKVSWAPRGGDEVK -FEAVPGELKLIANRLRTSFPPHHTVDMSKFAFTAPGCGVSMRVERQHGCLPADTVPEGNCWWSLFDLLPL -EVQNKEIRHANQFGYQTKHGVSGKYLQRRLQVNGLRAVTDLNGPIVVQYFSVKESWIRHLKLAGEPSYSG -FEDLLRIRVEPNTSPLADKEEKIFRFGSHKWYGAGKRARKARSCATATVAGRALSVRETRQAKEHEVAGA -NKAEHLKHYSPPAEGNCGWHCISAIANRMVNSKFETTLPERVRPPDDWATDEDLVNAIQILRLPAALDRN -GACTSAKYVLKLEGEHWTVTVTPGMSPSLLPLECVQGCCGHKGGLGSPDAVEVSGFDPACLDRLAEVMHL -PSSAIPAALAEMSGDSDRSASPVTTVWTVSQFFARHSGGNHPDQVRLGKIISLCQVIEDCCCSQNKTNRV -TPEEVAAKIDLYLRGATNLEECLARLEKARPPRVIDTSFDWDVVLPGVEAATQTIKLPQVNQCRALVPVV -TQKSLDNNSVPLTAFSLANYYYRAQGDEVRHRERLTAVLSKLEKVVREEYGLMPTEPGPRPTLPRGLDEL -KDQMEEDLLKLANAQTTSDMMAWAVEQVDLKTWVKNYPRWTPPPPPPKVQPRKTKPVKSLPERKPVPAPR -RKVGSDCGSPVSLGGDVPNSWEDLAVSSPFDLPTPPEPATPSSELVIVSSPQCIFRPATPLSEPAPIPAP -RGTVSRPVTPLSEPIPVPAPRRKFQQVKRLSSAAAIPPYQDEPLDLSASSQTEYEASPPAPPQSGGVLGV -EGHEAEETLSEISDMSGNIKPASVSSSSSLSSVRITRPKYSAQAIIDSGGPCSGHLQEVKETCLSVMREA -CDATKLDDPATQEWLSRMWDRVDMLTWRNTSVYQAICTLDGRLKFLPKMILETPPPYPCEFVMMPHTPAP -SVGAESDLTIGSVATEDVPRILEKIENVGEMANQGPLAFSEDKPVDDQLVNDPRISSRRPDESTSAPSAG -TGGAGSFTDLPPSDGADADGGGPFRTVKRKAERLFDQLSRQVFDLVSHLPVFFSRLFYPGGGYSPGDWGF -AAFTLLCLFLCYSYPAFGIAPLLGVFSGSSRRVRMGVFGCWLAFAVGLFKPVSDPVGAACEFDSPECRNI -LHSFELLKPWDPVRSLVVGPVGLGLAILGRLLGGARCIWHFLLRLGIVADCILAGAYVLSQGRCKKCWGS -CIRTAPNEVAFNVFPFTRATRSSLIDLCDRFCAPKGMDPIFLATGWRGCWAGRSPIEQPSEKPIAFAQLD -EKKITARTVVAQPYDPNQAVKCLRVLQSGGAMVAKAVPKVVKVSAVPFRAPFFPTGVKVDPDCRVVVDPD -TFTAALRSGYSTTNLVLGVGDFAQLNGLKIRQISKPSGGGPHLMAALHVACSMALHMLAGIYVTAVGSCG -TGTNDPWCANPFAVPGYGPGSLCTSRLCISQHGLTLPLTALVAGFGIQEIALVVLIFVSIGGMAHRLSCK -ADMLCVLLAIASYVWVPLTWLLCVFPCWLRCFSLHPLTILWLVFFLISVNMPSGILAMVLLVSLWLLGRY -TNVAGLVTPYDIHHYTSGPRGVAALATAPDGTYLAAVRRAALTGRTMLFTPSQLGSLLEGAFRTRKPSLN -TVNVIGSSMGSGGVFTIDGKVKCVTAAHVLTGNSARVSGVGFNQMLDFDVKGDFAIADCPNWQGAAPKTQ -FCTDGWTGRAYWLTSSGVEPGVIGKGFAFCFTACGDSGSPVITEAGELVGVHTGSNKQGGGIVTRPSGQF -CNVAPIKLSELSEFFAGPKVPLGDVKVGSHIIKDISEVPSDLCALLAAKPELEGGLSTVQLLCVFFLLWR -MMGHAWTPLVAVSFFILNEVLPAVLVRSVFSFGMFVLSWLTPWSAQVLMIRLLTAALNRNRWSLAFFSLG -AVTGFVADLAATQGHPLQAVMNLSTYAFLPRMMVVTSPVPVITCGVVHLLAIILYLFKYRGPHHILVGDG -VFSAAFFLRYFAEGKLREGVSQSCGMNHESLTGALAMRLNDEDLDFLMKWTDFKCFVSASNMRNAAGQFI -EAAYAKALRVELAQLVQVDKVRGTLAKLEAFADTVAPQLSPGDIVVALGHTPVGSIFDLKVGSTKHTLQA -IETRVLAGSKMTVARVVDPTPTPPPAPVPIPLPPKVLENGPNAWGDEDRLNKKKRRRMEALGIYVMGGKK -YQKFWDKNSGDVFYEEVHNNTDEWECLRVGDPADFDPEKGTLCGHVTIENKAYHVYTSPSGKKFLVPVNP -ENGRVQWEAAKLSVEQALGMMNVDGELTAKELEKLKRIIDKLQGLTKEQCLNCLAASDLTRCGRGGLVVT -ETAVKIVKFHNRTFTLGPVNLKVASEVELKDAVEHNQHPVARPIDGGVVLLRSAVPSLIDVLISGADASP -KLLAHHGPGNTGIDGTLWDFESEATKEEVALSAQIIQACDIRRGDAPEIGLPYKLYPVRGNPERVKGVLQ -NTRFGDIPYKTPSDTGSPVHAAACLTPNATPVTDGRSVLATTMPPGFELYVPTIPASVLDYLDSRPDCPK -QLTEHGCEDAALKDLSKYDLSTQGFVLPGVLRLVRKYLFAHVGKCPPVHRPSTYPAKNSMAGINGNRFPT -KDIQSVPEIDVLCAQAVRENWQTVTPCTLKKQYCGKKKTRTILGTNNFIALAHRAVLSGVTQGFMKKAFN -SPIALGKNKFKELQTPVLGRCLEADLASCDRSTPAIVRWFAANLLYELACAEEHLPSYVLNCCHDLLVTQ -SGAVTKRGGLSSGDPITSVSNTIYSLVIYAQHMVLSYFKSGHPHGLLFLQDQLKFEDMLKVQPLIVYSDD -LVLYAESPTMPNYHWWVEHLNLMLGFQTDPKKTAITDSPSFLGCRIINGRQLVPNRDRILAALAYHMKAS -NVSEYYASAAAILMDSCACLEYDPEWFEELVVGIAQCARKDGYSFPGTPFFMSMWEKLRSNYEGKKSRVC -GYCGAPAPYATACGLDVCIYHTHFHQHCPVTIWCGHPAGSGSCSECKSPVGKGTSPLDEVLEQVPYKPPR -TVIMHVEQGLTPLDPGRYQTRRGLVSVRRGIRGNEVGLPDGDYASTALLPTCKEINMVAVASNVLRSRFI -IGPPGAGKTYWLLQQVQDGDVIYTPTHQTMLDMIRALGTCRFNVPAGTTLQFPVPSRTGPWVRILAGGWC -PGKNSFLDEAAYCNHLDVLRLLSKTTLTCLGDFKQLHPVGFDSHCYVFDIMPQTQLKTIWRFGQNICDAI -QPDYRDKLMSMVNTTRVTYVEKPVRYGQVLTPYHRDREDDAITIDSSQGATFDVVTLHLPTKDSLNRQRA -LVAITRARHAIFVYDPHRQLQGLFDLPAKGTPVNLAVHCDGQLIVLDRNNKECTVAQALGNGDKFRATDK -RVVDSLRAICADLEGSSSPLPKVAHNLGFYFSPDLTQFAKLPVELAPHWPVVSTQNNEKWPDRLVASLRP -IHKYSRACIGAGYMVGPSVFLGTPGVVSYYLTKFVKGGAQVLPETVFSTGRIEVDCREYLDDREREVAAS -LPHGFIGDVKGTTVGGCHHVTSRYLPRVLPKESVAVVGVSSPGKAAKALCTLTDVYLPDLEAYLHPETQS -KCWKMMLDFKEVRLMVWKDKTAYFQLEGRYFTWYQLASYASYIRVPVNSTVYLDPCMGPALCNRRVVGST -HWGADLAVTPYDYGAKIILSSAYHGEMPPGYKILACAEFSLDDPVKYKHTWGFESDTAYLYEFTGNGEDW -EDYNDAFRARQEGKIYKATATSLKFYFPPGPVIEPTLGLN - ->sp|Q06502.2|RPOA_LDVC RecName: Full=Replicase polyprotein 1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2 cysteine proteinase; AltName: Full=CP2; Short=CP; Contains: RecName: Full=Non-structural protein 3; Short=Nsp3; Contains: RecName: Full=3C-like serine proteinase; Short=3CLSP; AltName: Full=Nsp4; Contains: RecName: Full=Non-structural protein 5-6-7; Short=Nsp5-6-7; Contains: RecName: Full=Non-structural protein 5; Short=Nsp5; Contains: RecName: Full=Non-structural protein 6; Short=Nsp6; Contains: RecName: Full=Non-structural protein 7-alpha; Short=Nsp7-alpha; Contains: RecName: Full=Non-structural protein 7-beta; Short=Nsp7-beta; Contains: RecName: Full=Non-structural protein 8; Short=Nsp8; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=Nsp9; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=Nsp10; Contains: RecName: Full=Uridylate-specific endoribonuclease nsp11; AltName: Full=Non-structural protein 11; Short=Nsp11; Contains: RecName: Full=Non-structural protein 12; Short=Nsp12 -MQSGFDRCLCTPNARVFWERGQVYCTRCLAARPLLPLSQQHPRLGALGLFYRPASPLSWEAPVTYPTKEC -RPGGMCWLSSIYPIARMTSGNHNFQARLNFIASVVYRDGKLTSKHLEEDFEVYSRGCRWYPITGPVPGIA -LYANAVHVSDESFPGATHVLSNLPLPQQPLRKGLCPFADARANVWRYKGNTVFVSPQGYLWTTGSNDSVP -EPWGEDRRLCEKIISSLPADHLVKINFSNYPFDYSFTGGDGAGFVVFPCKERDTKFSKCWEKIFEDHSGW -MAACEEADLADRMGYRTPAGVAGPYLARRLQVRGLRAVVKPENNDYIVWALGVPESYIRHVSRAGEPVEE -FFVKVGEFSIVSNCVVTPHPKFRFQTRKYYGYSPPGDGACGLHCISAMLNDIFGDSFTTRLGKCSRDSSE -WLSDQDLYQLVMTANLPATIGHCPSAIYKLDCVNQHWTVTKRKGDRAVGRLAPDCLRGVCGECEMGIHIG -ADTDLSPIVELQLAQDVSPRPGALLWFLELHELCVVDDDFAHAIARAGEEYRRAMGIPRDDWVILAELMT -ENCRTRHQVLEKLQRGLQLQASSRPSSPASVSPASSVDLSAAGLLLSGTESDKEAVVAVNDGCYTVLGFD -KNEATKSEQDLATDLFCDLVKPMETSTTKLESRKILEAAAKALESCKPKRKRSRKKKTRTPSPTCSVDAA -VAEPTSVNSLGNQDTRETCASEKKAEKCPTPTPPPRPKRAALKNSNSGCVLKDIIWNQTGPGVKCLTIVE -DVRAFLKGITPPGGVLSTRSRITKHIVDHFHSICEQTPELVLAHAEHQAKNLHELLASETAKLILGIGED -PLKKLVGSQRSLPRRLGFGAWLGGQQKTSGGCGEREFKDVGRKSGAERTPSKRDLGVSLGDQLSQDGARR -LSSSTACEIKESVPPIIDSGGGLSQKFMAWLNHQVFVLSSHLLAVWSFIFGSRQVLGVFDYVYTLFCLCC -VLLCFYLPAIGFMTLVGCVFGSPWRVRLSVFSVWLCVAVVVFQEVLPEPGAVCTSASAERAAALERYTSN -GVHRPVNHLSVGLVGTVAGFVARSVGGPRRYWFYFLRLMVLLDLGLVFLAVALRGSCKKCFCKCVRTASH -EVQLRVFPSTKVARTTLEAICDMYSAPRVDPIFIATGVRGCWTGSVSPHQVTEKPVSYSNLDDKKISNKT -VVPPPTDPQQAVRCLKVLQCGGSIQDVSVPEVKKVTKVPFKAPFFPNVTIDPECYIVVDPVTYSAAMRGG -YGVSHLIVGLGDFAEVNGLRFVSGGQIADFVCLGLYVLLNFLLSAWLSSPVSCGRGTNDPWCRNPFSYPV -VGQGVMCNSHLCVAEDGLTSPMTLSYSLIDWALMVAIMATVAIFFAKISLLVDVVCVFCCLLMYAFPSLS -IAAFGFPFVLCKVSLHPITLVWVQFFLLAVNVWAGVASVVVLISSWFLARATSSLGLITPYDVHMITATP -RGASSLASAPEGTYLAAVRRSALTGRCCMFVPTNFGSVLEGSLRTRGCAKNVVSVFGSASGSGGVFTING -NPVVVTASHLLSDGKARVSCVGFSQCLDFKCAGDYAFARVANWKGDAPKAELSHRRGRAYCSPLVGLSLD -LLGKNSAFCFTKCGDSGSPVVDEDGNLLGIHTGSNKRGSGMVTTHGGKTLGMANVKLSEMCPHYSGPGVP -VSTVKLPKHLVVDVETVSSDLVAVVESLPALEGALSSMQLLCVFFFLWRLIHVPDVPVIRIAFFFLNEIL -PVMLARLMFSFALSLFFCVHWLFCSSVAVAFGDCCSKSVTGYSVQVLLLRLVIAALNRPCGPFGFSLLGQ -LSQCCLMLCLLDIELQLLGCLYLGQLLMWPPKEIFFHPTGQFMFLPLFLSLFKRNALADMLVGNGCFDAA -FFLKYFAEGNLRDGVSDSCNMTPEGLTAALAITLSDDDLEFLQRHSEFKCFVSASNMRNGAKEFIESAYA -RALRAQLAATDKIKASKSILAKLESFAGGVVTQVEPGDVVVVLGKKVIGDLVEVVINDAKHVIRVIETRT -MAGTQFSVGTICGDLENACEDPSGLVKTSKKQARRQKRTGLGTEVVGTVVIDGVSYNKVWHIATGDVTYE -GCLVTENPQLRPLGMTTIGRFQEFIRKHGEKVKTSVEKYPVGKKKSVEFNITTYLLDGEEYDVPDHEPLE -WTITIGESDLEAERLTVDQALRHMGHDSLLTAKEKEKLARIIESLNGLQQASALNCLATSGLDRCTRGGL -TVSGDAVKLVRYHSRTFSIGDVNLKVMGREEYGRTVGKQGHCLVANLVDGVVVMRKHEPSLVDVLLTGED -ADLISPTHGPGNTGVHGFTWDFEAPPTDLELELSEQIITACSIRRGDAPSLDLPYKLHPVRGNPYRDRGV -LYNTRFGDIKYLTPQKTKEPLHAAACFNPKGVPVSDSETLVATTLPHGFELYVPTIPQSVLEYLDSRPMH -RKCCVRAVVRGLAECDLQKFDLSRQGFVLPGVLYMVRRYLCRLVGIRRRLFLPSTYPAKNSMAGINGNRF -PTHVVQSHPDIDALCERACKEHWQTVTPCTLKKQYCSKAKTRTILGTNNFVALGLRSALSGVTQGFMRKG -IGSPICLGKNKFTPLPTKVSGRCLEADLASCDRSTPAIIRWFTTNLLFELAGPEEWIPSYVLNCCHDAVS -TMSGCFDKRGGLSSGDPVTSVSNTVYSLVIYAQHMVLSAFRCGHKVGGLFLRDSLEMEQLFELQPLLVYS -DDVVLYDESSELPNYHFFVDHLDLMLGFKTDRSKTVITSDPQFPGCRIAAGRVLVPQRDRILAALAYHMK -ASCVSDYFASAAAILMDACACCDYDEDWYFDLVCGIADCARKEGFRFPGPSFYVDMWKRLSVEEKKKCRT -CAHCGAPSTLVSSCGLNLCDYHGHGHPHCPVVLPCGHAVGSGVCDGCSSPVMSLNTELDKLLACVPYHPP -KVELLSVNDGVSSLPPGRYQARGGVVSVRRDILGNVVDLPDGDYQVMKVAQTCADICMVSINSHILRSQF -ITGAPGTGKTTYLLSVVRDDDVIYTPTHRTMLDVVKALGTCRFDPPKDTPLEFPVPSRTGPCVRLIRAGF -IPGRVSYLDEAAYCNPLDVLKILSKTPLVCVGDLNQLPPVDFIGPCYAFALMLGRQLIEVFRFGPSIVNP -IKKFYREELVSRGPDTGVKFLKSYQPYGQVLTPYHRDRVDGAITIDSSQGCTYDVITVYLPTPKSLNSAR -ALVAITRARFYVFVYDPHNQLEQYLNMSEHEPAGAVAFWCGEQPMMISEGRVQRLSGPAQTTDPKLQQLM -GLEGTASPLPQVAHNLGFYYSPDLVQFARIPSELCKHWPVVTAQNRTDWPDRLVCSMSKIDKCSRAIFCA -GYHVGPSVFLGVPGVVSYYLTKFLKGKPVPLPDSLMSTGRIALNVREYLDEKEMEFSSRCPHAFIGEVKG -SNVGGCHHVTSRYLPPVLVPGSVVKIGVSCPGKAAKELCTVTDVYLPELDPYLNPPTKSMDYKLLVDFQP -VKLMVWKDATAYFHEGIRPMESMSRFLKVPQEEGVFFDLDEFVTNAKVSKLPCKYSVSANQFLTDVVLSM -THPSLAPPDYELLFARAYCVPGLDVGTLNAYIYRRGPSTYTTSNIARLVKDICCPVGCKGSGYMFPK - ->sp|P0DJZ9.1|RPOTF_PRRSL RecName: Full=Replicase polyprotein 1TF; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Nsp1; Contains: RecName: Full=Nsp1-alpha papain-like cysteine proteinase; AltName: Full=PCP1-alpha; Contains: RecName: Full=Nsp1-beta papain-like cysteine proteinase; AltName: Full=PCP1-beta; Contains: RecName: Full=Nsp2TF -MSGTFSRCMCTPAARVFWNAGQVFCTRCLSARSLLSPELQDTDLGAVGLFYKPRDKLHWKVPIGIPQVEC -TPSGCCWLSAVFPLARMTSGNHNFLQRLVKVADVLYRDGCLAPRHLRELQVYERGCNWYPITGPVPGMGL -FANSMHVSDQPFPGATHVLTNSPLPQQACRQPFCPFEEAHSSVYRWKKFVVFTDSSLNGRSRMMWTPESD -DSAALEVLPPELERQVEILIRSFPAHHPVDLADWELTESPENGFSFNTSHSCGHLVQNPDVFDGKCWLSC -FLGQSVEVRCHEEHLADAFGYQTKWGVHGKYLQRRLQVRGIRAVVDPDGPIHVEALSCPQSWIRHLTLDD -DVTPGFVRLTSLRIVPNTEPTTSRIFRFGAHKWYGAAGKRARAKRAAKSEKDSAPTPKVALPVPTCGITT -YSPPTDGSCGWHVLAAIMNRMINGDFTSPLTQYNRPEDDWASDYDLVQAIQCLRLPATVVRNRACPNAKY -LIKLNGVHWEVEVRSGMAPRSLSRECVVGVCSEGCVAPPYPADGLPKRALEALASAYRLPSDCVSSGIAD -FLANPPPQEFWTLDKMLTSPSPERSGFSSLYKLLLEVVPQKCGATEGAFIYAVERMLKDCPSSKQAMALL -AKIKVPSSKAPSVSLDECFPTDVLADFEPASQERPQSSGAAVVLCSPDAKEFEEAAPEEVQESGHKAVHS -ALLAEGPNNEQVQVVAGEQLKLGGCGLAVGNAHEGALVSAGLINLVGGNLSPSDPMKENMLNSREDEPLD -LSQPAPASTTTLVREQTPDNPGSDAGALPVTVREFVPTGPILCHVEHCGTESGDSSSPLDLSDAQTLDQP -LNLSLAAWPVRATASDPGWVHGRREPVFVKPRNAFSDGDSALQFGELSESSSVIEFDRTKDAPVVDAPVD -LTTSNEALSVVDPFEFAELKRPRFSAQALIDRGGPLADVHAKIKNRVYEQCLQACEPGSRATPATREWLD -KMWDRVDMKTWRCTSQFQAGRILASLKFLPDMIQDTPPPVPRKNRASDNAGLKQLVAQWDRKLSVTPPPK -PVGPVLDQIVPPPTDIQQEDVTPSDGPPHAPDFPSRVSTGGSWKGLMLSGTRLAGSISQRLMTWVFLKFS -PTSQLLCSHFSRRGALWLQVIGCLQVSFYLLSCSVVLTRYSDAFPYWVSFLVLCGVFVWVFLVLGWLLLY -FYSRLHPTQSVLLVTTIRRSVMLSFWLLSSANFGNLCAALWSAPQASYVSFLASYSVGHVISGMFSYVYA -CLQIWPFLLFMWCPRGVVTSVGESV - ->AGA19078.1 ORF1b [Simian hemorrhagic fever virus] -MLTAAGCTSADRSGLAVSLDKAAIVEHHSRTRAFNGCDLKAVSPAEADRTVRLSPTPQPVVAQLSDGYLI -MRKHPPSLLDVITKGFDAQYQVAQHGPGDQGIDGYLWDFEAPHSKDLVKFSAEIIAACSARRGDAPRYPL -PYAIHPVRGDPYREGNKLVNTRFGDITTTTVADSDDPWLLTTAVCKGNVAPVVTDGKQIATTQPLGGEIY -IPTLPKSVLDYLDGREDCPTYFTMHGTEIGALADLSKFNLSTQGFILPGVLTLVRNYIIRKIGYRPPIHT -PATVPSNDSHAGINGLRFSTKMLQALPDINEICDRAAKEVWQSVTPVTLKKQFCSKWKTRTILGTNALIS -LAIRAGLSGVTQAFQLAGKDSPICLGKSKFNPMQVYPEGVCMETDLASCDRSTPAVVRWFTTELLFEIGC -CSHLKPLYIANCCHDLLVTQTTACTKRGGLSSGDPVTSISNTIYSLILYAQHMVLSALREGHKIALSYIE -GKLTLEDLIAVQPFVVYSDDLVLIKEAHDLPNFKYWNAHLDLALGFKTDPSKTVVTTKPNFLGCTFYGPW -LVPCKDRVLAALAYHMGAKDAEQYYQNAVAILNDASALSVFEPEWFEELVLGLAECARKDGYTFPGPATF -RDFYTRVSGYKLEGKNEVCSICMSTATTTSNCGLSLCSYCAHRHIHPNCSVKSPFCDHPIASKSCACCSI -DMVPSKDDFSQLLQDHPYDDIQFVNVNVIDGIADAAPGRYTCQKKYIVLRREPQGCPVDLPDGAYTMKKL -PSTCSGIIVPKAIKNAAISTFIVGPPGSGKTTAVSKLLTDDSVVYCPTHASLIAYSKSLPAARFVIPKNQ -DESLYGTPSDSGPTLRLLSCGYIPAAQAFVDEACYANPFDLLKILTHTPITAIGDPYQLKPVGFEKVNFI -FNLMKRQQLNVIYRFGPNITEAISHLYHDRLVTQKTTPTEVIYQTKFQPRGLVLTPYHRDRIGDAITIDS -AQGMTRPIVTVYLPSRKSLTAPRALVAITRATDRLYIYDPHEQLSEFFKLPPCSLGMKPHAFVVDGKVLV -RINDKVTASALDFPGLLVTANPRTPEDKQTLLNSPLNVDCLESGALSPLPRVAHNLGFYFSPDIPHFYKL -PEQLAPHWPVVTNKNRSDWPNRLVVSVTQLSPLSQRATCAGYYVGDSLFLGVPGVVSYWMTQFLDGKAVP -IEPSLFSTGRFELDVRSYLDEKEREFALSHPHAFIGDTKGTTVGGCHHITSCYLPRELPRDAVVKVGVSA -PGKAHKSCCTVTDIYLPFLADYTTPSTQSKVYKVNIDNKSCRLMVWRDATMYFQESYNPLALVEAATRLG -GVSGKGLVYLGEDVTPAVCNRRFTFKAQEPSDLGVTKWDSNSKLLVSTSYPDDMSDNWLLLNAVTYMEEN -LLGTSRTFVYFYKQLAEPHAYGQFPINEKVALARIPRYNLHVSTANFHFSPTACGCTVSLTDTFGKRVCD -CSWSEKDFIKQCELLHKPTCTSTCAP ->ALS54322.1 1ab replicase protein [Free State vervet virus] -MLTTSGGTSDGRSGLVITLDYAKFIDHHQHTRAFGAIDMKIVTSAEALNTRRLDVHGSVVVATLADEHYV -LLRKHPPSLVDVITRGQDAVRQPVLHGPGDTGIDGTLWDFEGPASKREKFLTEQILNACAIRRGDAPNCL -PYKLHPVRGDPYRKKNVLMNTRFGTISAKVAADGEPWLLTATVNNKGTPVFSDGVLVGTTTPPGLEVYIP -TIPDAVLQYLDSRPDMPTYYTCHGTESAALADLAKFDLSTQGFFLPAVFHIVRDYIIETVGYSPSIFPPS -TIPSNDSHAGVNGTIFNTHLYQSIPGIDQLVERMIKENWQSVTPVTLKKQYCSKPKTRTILGTNGLVALG -LRSVLSGVTKNFQLAGKGPICLGKSKFAPLDRNITGPCLETDLASCDRSTPAIVRFFSTHLLFELARRPD -CVPLYVLNCCHDLLVSNTTACTKRGGLSSGDPCTSIANTIYSLVLYTQHMILSAFREGHPLSLKFLDGTL -KFQDLLKVQDFIVYSDDLVLLNESEELPNFRYWVPHLELALGFKVDPKKTVITNDPGFLGCQLRNKFLVS -QRERVLAALGYHMNAKTAKQYFEAVVSILSDASAMAYFDEEWFTELVLGMAEAARQTGFAIPGVSYYKDF -FTRVSGYAPEKSSLECGVCGVKAETVASCGLALCPFCAHCHDHCPVPSPFCSHHVGEKLCSMCEIPIKSR -DTNFDRLLKDCPYEPPTMVTVNVVNGIADCEPGRYVYHKHHYMIKKQREGCALDFPDGRYVMKRLSGSCV -GINIKKAELNASRSTFIVGPPGAGKTTYISKEVGPEDVIYCPTHATLQGLSKTLPACRFTIPATADASDY -GTPSDHGPVLVLLAAGYHPGVKHFLDEACYANPLDFLKLISKTPLTCVGDPKQLPPVKFDSIVYLFTMMK -RRQLTTIYRFSQNICDVIQGDYDDPLVSAVDHITEVIYQKKFEPRGLVLTPYHKDRVGNAITIDSAQGVT -KDVVTLYLPTPKSLTRPRALVAITRARRYLYIYDPHGQLSQFYNLLPTSNPKRPHAVVIDGQAKVVMGNT -AHPAEYFPGMCVTARPRTAEEKSVLDASSLIVDYESGSISPLPQVGRNLGYYYSPDLAHFFPIPEKLCPH -WPVITNKNNPDWPNRLVISLTSLCSHSSPAECAGYYVGDSLFLGSPGVISYYLTRYVENKPVPIEPSLFS -TGRIALNCRNYFGKKERDFALRHAHAFIGDVKGSTVGGCHHITSQYLPPEIPLGDVVKIGVSAPGRAKKA -LCTLTDVYLPLLEPYTNPPTASKCYQVYVDCRPVRLMVWKDATMYFQESSCYDALVQYANDFLSVAPGTP -VYIAPELMPVLANRQLTVNERDRASVAITPWSVDADLVITSTDPFDMGDGFRPVAAAVYFKDTILGQQST -HVFAYLKDPSYKPTGNYPPEVAQVYSACSKFRLKSSGSNIRLNSPSCYCPWQFSCYSAQVCPRNCQ ->ALI16786.1 ORF1ab polyprotein, partial [Rat arterivirus 4] -WSLLLRCFVVVDIFLCLAFLVFRQRCHRCFGNCIRTAPFEVSCNVFPLVKVTRQSLIDLCDNFSPPPVDV -VKMLTGYSGCYRGSVSPVVSSSKVISYQQLEDKKIGPGTVVNTPADSTQAYKCVKVLQVGGSIRYAPVPQ -VVKVATVPFSAPFLPALPVDPAVPIIVDSPTYVAAVRCGYDTTQLILGEGNFAALNPVSGSKSTGGGSLY -GGLCVFVYMLVCFLPGTFLQMQTTRGVGTLDPWCRSPYAVPVYGSGIVCLDNLCMSVDGLTVPYLAVRNL -GLTTWVVVVLLLVSVGVVLQRHSCLSDFICVLLTICTYPFPVLGWIVCLYPCFLTRISLHPLVMLWSTAF -LCFNNLFGGVVCLVLLSAMRLLRYLTPVCGLVTPYDIHSYTGTPRLAAALSTAPEGTHLAAVRRAALTGR -CNFFVASGVGAVLEGSLRTRRPAANVVSVFGSSLGTGGVFTINGERVVITATHVLEDGKARVTAGPFTAL -VEFRTNGDFAIGPLTNWPGEAPKATFAKFTGRAFWLTASGVEPGVLGDGWALCFTGCGDSGSPVVDGNGR -IAGVHTGSNKRGAGLVTFHDGASCSFAQVRLSQLAKHFAGPPVPVGACKIPAHITVDVGEIPSDLHLLLT -TELAPEGGLSTIQVLCVFVLLWRLMYIWWAPIVGMLFFVLNEILPPTLLRLIFSWTLSVLSWFTPWSVHV -CMIRLLTAALNRSRLSLAFYLVGAVLGLVSEIWSGNFSPRDMVLNSTFFFVPRWLALDESAALVLLLAIS -LVHFVALLGSIFRRSVLTDILCGNGYFDKAFFLKYFSEGNLRKGVSMSCGMNTESLTGALAVTLSDSDLQ -FLSNLTDFKCFVSASNMKNAAGAYIEAAYAKALRLELARLAKVGACRTVLAKLEAFASSTPIELSPGDLA -VVLGSKPHGAVFDLAVGDKVVTLVVEETRVIGGSPFTVCKVVDNLESGPTLSKRGARKQREKTRAFDPSK -DDRYQSQGEVIIGSDAYVKFWDTKTGNVFYSKVEEGTLLTQRDDPKQLGLGPIGLKQKEIRKHGKLLGEC -KAPYKYKGKIRQQDCEIWSYQGDEYIIPASEPFELSATVYEAEKMTVEQAINIIGGGTALSEKEVAKLQR -IIDQLSGLTQDQALNLLASAEFDRCNRGGICLNDAAVKIITHHRRTFSIGDVDFKVMGPDEWQATVNNEG -HVCVQHLSDGIVVMRRHPPSLIDVLINGADTKVGLCPDWGPGNMGVDGFCWDFETEPQSVELELSKQIID -AASVRRGEAPVLPLPYKLHPVVGDPYREKGQLRNTRFGDVSYVVPSESDDPLHFASCFASGGCVVSDSEK -LVGSTMPDGFELYYPTLPYSVLEYLDSRPDCPVMFTRHGTVCGMLDDLKKYDMSTQGFVFPVVLAMVRRW -FWNHVGAAPPTHRPSTFPARDSMAGINGNRFPTKAVQSIADIDERCARAVSERWQTVTPCTLKKQFCSKK -KTRTILGTNNFVALALRSALSGVTQAFMKKAVRSPIALGINKFKELPTSVNGRCLEADLASCDRSTPAVV -RWFTANLLFELAGCQDCLDSYVLNCCHDLVSTPCGMFTKRGGLSSGDPVTSVSNTVYSVIIYTQHMFLSA -LRLGHPLGKACLEGRASVDDFLDLQPILIYSDDVVLHSETATFPNYHWWVPHLELLLGFKTDPAKTVVTY -QPSFLGCRILERVQLVPERDRILASLAYYMRAKDAGDYYAGAAAILLDSCSCLEYDTDWFVELICGIAGC -ARSDGYCFPGPSFFHMMWERVRTQEGSSRSCGICATAAETVTSCGLDVCYFHAHYHAHCPTALWCGHSAG -SKECEFCQSPVQQGDTELDQLLVYVPYKPVKPEMMKVTDGFVASDPGRYQTRRGVVAVRRDIGGNRVQLP -DGEYQVSKIQQTTKGINMVKVSTNVLLSRFVVGPPGTGKTRWLLSRVTASDVIWTPTHRTMLDIVLQLKE -CRFVPPKDVDLDFPHPSRRGPWVRLIASSYVPGEVAYLDEAAYCNPLDVLKLLSKTPLVCLGDPNQLSPV -GFPGPAFVFDLMPKTQLTTVYRFGPSITKAIAPLYKQPIESRGPPTAVVYQKEFVPFGQVITPYHRDRQP -GFGTVDSSQGLTHDIVTLYLPTKRSLNANRALVAITRARYALYIYDPHDQVAEFFDLPACETGVGVLTSS -PNGPVVVLDGKEYSACDAIRQNIKFTASPGVLRMSDLVGLEAAASPLPQVANNLGFYYSPDLSQFPSIPK -DLCPHWPIVTGLNNPVWPDRLVCSHSPLHGLSKPMFCAGYYVGASLFIGIPGVVSYWLTLYQRGEPVALP -DTLVSTGRIAANVREYLDDTEKDFASRYPHAFIGEDTKSVVGGAHHITSRFLPRFIAPGSVCVVGVSSPG -KSSKAHCTITDVYLPESEPYMKPPTSSKVYKLMIDFRTVRLMVWRDNTAYFQEGTPLFELAGLAQFVPVP -SGAAVWFDPCLPNFKANVLITTALASCYLAVSPQFVDADRLLTTTPPASMPPGFKLVLARSFFQSDLSQR -VVRNAYFYLKEPCDLEVNLEVAASQCRYSISPRVRSLTFNFAGWAPC ->ADI58994.1 replicase polyprotein 1ab [Equine arteritis virus] -MATFSATGFGGSFVRDWSLDLPAACEHGAGLCCEVDGSTLCAECLRGCEGVEQCPGLFMGLLKLASPVPV -GHKFLIGWYRAAKVTGRYNFLELLQHPAFAQLRVVDARLAIEEASVFISTDHASAKRFPGARFALTPVYA -NPWVVSPAANSLIVTIDQEQDGFCWLKLLPPDRREAGLRLYYNHYREQRTGWLSKTGLRLWLGDLGLGIN -SSSGVLKFHIMRSSPQRAWHITTRSCKLKSYYVCDISEADWSCLPAGNYGGYNPPGDGACGYRCLAFMNG -ATAVSAGCSSDLWCDDELAYRVFQLSPTFTVTIPGGRVCPNARYVMICDKQHWRVKRAKGVGLCLDEKCF -RGTCDCQRRSGPPPAPVSASVLDHILEAATFGNVRVVATEEQQRPVPAPRARHSVSPPGDVKDTATVPPV -PKPRTKLAKPSPVQAPTPAPRTQPQDAVHSGLPKPEPPTGTAAAPASAPRWRVAKTVYSSAERIRTELIH -RAQSIGDSLVQALPLKAPAVQRYTMTLKMMRSRFSWHCDTWYPLAVIACLLPIWPSLALLVSFVIGLVPS -VGNNVVLTALLVSSANYVAAMDHQCEGAACLALLEEEHYYRAVRWRPITGVLSLVLNLLGQVGYVARSTF -DAAYVPCTVFDLCSFAILYLCRNRCWRCFGRCVRVGPATHVLGSTGQRVSKLALIDLCDHFSKPSVDVVG -MATGWSGCYTGSAAMERQCASTVDPHSFDQKKAGALVYLTPPVNSGSALQCLNVMWKRPIGSTVLGEQTG -AVVTAVKSISFSPPCCVSTTLPTRPGVTVVDHALYNRLTASGVDPALLRVGQGDFLKLNPGFRLIGGWIY -GMCYFVLVVVSTFTCLPIKCGIGTRDPFCRRVFSVPVTKTQEHCHAGMCASAEGISLDSLGLTQLQSYWI -AAVTCGLVVLLVCHRLAISALDLLTLASPLVLLVFPWAAVGLLLACSLAGASLKMQLLATLFVNLFFPQA -TLVTMGYWACVAALAVYSLMGLRVKVNVPMCVTPAHFLLLARTAGQSREQMLRVSAAAPANSLLGVARDC -YVTGTTRLYIPKEGGMVFEGLFRSPKARGNVGFVAGSSYGTGSVWTRDNEVVVLTASHVVGRANMATLKI -GDTMLTLTFKKNGDFAEAVTTQSELPGNWPQLTYAQPTTGPASWCTATGDEEGLLSGEVCLAWTTSGDSG -SAVVQGDAVVGVHTGSNTSGVAYVTTPSGKLLGADTVTLSSLSKHFTGPLSPIPKDIPDNIIADVDAVPR -SLAMLIDGLSNRESSLSGPQLLLIACFMWSYLNQPAYLPYVLGFFAANFFLPKSIGRPVVTGLLWLCCLF -TPLSMRLCLFHLVCATVTGNVVSLWFYITAAGSSYLAEMWFGGYPTMLFVPRFLVYQFPGWTIGMVLVVC -SITMLAAALGHTLLLDVFSASGRFDRTFMMKYFLEGGVRESVTASVTRAYGKPITQESLTATLAALTDDD -FQFLSDVLDCRAVRSAMNLRAALTSFQVAQYRNILNASLQVDRDAARSRRLMAKLADFAVEQEVTAGDRV -VVIDGLDHMAHFKGDLVLVPLTTKVVGGSRCTICDVVKEEANDTPAKPVPSRRRRKGLPKGAQLEWDRHQ -EEKRSAGDDDFAVSNDYVKKVPKYWDPHDTRGTTVKIAGTTYQKVVDYSGNVHYVEHQEDLVDYVLGKGS -YEGLDQDKVLDLTNMLKVDPTELSTKDKAKARQLAHLLLDLANPVEAVNQLNLRAPHIFPGDVGRRTFAD -SKDKGFVALHSRTMFLAARDFLFNIKFVCDEEFTKTPKDTLLGYVRACPGYWFIFRRTHRSLIDAYWDSM -ECVYARPTITDFDVSPGDVAVTGERWDFESPGGGRAKRLTADLVHAFQGFHGASYAYDDKVAAAVSGDPY -RSNGVLYNTRWGNIPYSVPTTALEATACYRAGCEAVTDGTNVIATIGPFPEQQPIPDIPKSVLDNCADIS -CDAYIAPAAEAALCGDLEKYNLSTQGFVLPSVFSMVRAYLKEEIGDAPPLYLPSTVPSKNSQAGINGAEF -PTKSLQSYCLIDDMVSQSMNNNLQTATMATCKRQYCSKYKIRSILGTNNYIGLGLRACLSGITAAFQKAG -KDGSPIYLGKSKFDPIPAPDKYCLETDLESCDRSTPALVRWFATNLIFELAGQPELVHSYVLNCCHDLVV -AGSVAFTKRGGLSSGDPITSISNTIYSLVLYTQHMLLCGLEGYFPEIAEKYLDGSLELRDMFKYVRVYIY -SDDVVLTTPNQHYAASFDRWVPHLQALLGFKVDPKKTVNTSSPSFLGCRFKQVDDKCYLASLQDRVTRSL -LYHIGAKNPSEYYEAAVSIFKDSIICCDEDWWTDLYRRISDAARTDGVDFPTIAALTSFRTKQYESAVCT -VCGAAPVAKSACGGWFCGNCVPYHVGHCHTTSLFANCGHDIMYRSTYCTMCEGSPKQMVPKVPHPILDHL -LCHIDYGNKEELTLVVADGRTSSPPGRYKVGHKVVAVVADVGGNIVFGCGSGSHSAVPLQDTLKGVVVNK -ALKNAAASEYVEGPPGSGKTFHLVKDVLAVVGSATLVVPTHASMLDCINKLRQAGADPYFVVPKYTVLDF -PRPGSGNITVRLPQVGTSEGETFVDEVAYFSPVDLARILTQSRVKGYGDLNQLGCVGPASVPRDLWLRHF -VSLEPLSVCHRFGEAVCDLIKGIYPYYEPAPHTTKVVFVPNPDFEKGVVITAYHKDRGLGHRTIDSIQGC -TFPVVTLRLPTPQSLTRPRAVVAVTRASQELYIYDPFDQLSGLLKFAKEAEAQDLIHGPPTACHLGQEID -LWSNEGLEYYKEVNLLYTHVPIKDGVIHSYPNCGPACGWEKQSNKVSCLPRVAQNLGYHYSPDLPGFYPI -PKELAEHWPVVSNDRYPNCLQVTLQQVSELSKPCSAGYMXGRSTFVQSPGVTSYWLTEWVDGEARALPDS -LFSSGRFETNCRDFLDEAEEKFAASHPHACLGEIKKSTVGGSHFIFSQYLPPLLPVDAVALVGASLAGKA -AKAACSVVDVYAPSFEPYLHPETQSRVYKIMIDFKPCRLMVWRDATFYVQEGVDAVTSALAAVSKLIKVP -ASDPVSFHLASGFRTNALVAPQAKISIGAYAAEWALSTEPPPAGYAIVRRYIVKRLLSSMEVFLCRRGAI -SSTSVQTVCALEGCKPLFNFLQIGSTIGPV ->AHH54035.1 polyprotein [Kibale red colobus virus 2] -MLTASGLTSDDRGGLVILPDRAKFITHHSHTRAWGDIDFKIVSPQEFDRTQRLSKSPQPCVAELSDGNKV -IIRRHPPSLVDVICKGLDAVRQPAVHGPGDTGIDGYLWDFASPHSKEATFLSQQIITACEYRRGDAPNSL -YPIHPVRGDPYREGSVLRNTRFGDIKTTTIADSNDPWLLTTAVNDKGYKVMSGEKYIANTLPFGAEVYVP -TIPTTVLDYLDSRPDCPTYFTRHGTEEAALQDLAKFDLSTQGFVFPETLKIVRDYLIRVVGYQPAIYKPS -NIPSNDSHAGVNGIKFSTKTYQSIPNIDQLCDRIVAEVWQSVTPVTLKKQYCSKQKTRTILGTNGLIALA -IRAKLSGVTKAFQLAGEGSPICLGKSKFKRMDVKLGSKCLETDLASCDRSTPGLVRWFTANLLCELGCCP -EILPLYVANCCHDLLVTQTTATTKRGGLSSGDPVTSTSNTVYSLILYVQHMILSALKEGHPLSMKFLQGK -LKFEDLLEVQPFIVYSDDLVLLEENPDLPNFKYWTEHLSLVLGFKVDEKKTVITSDPGFLGCHIIDGWLV -SQRDRVLAALAYHMNAKDCQGYYQNAVAILSDASAMSVHDAEWFEELVLGLHDCATRDGYTFPGPPYFLD -FFQKVSGYTPEAAKPCGVCGSTSSTVSSCGLRLCDLCSHRHDHCPVPSPFCKHIVGSKTCTQCSIDVVPG -KTEFDELLREDEYQPPYIVSVEVKDHYTSAEPGRYVFRKAFYMLKKDKQGCYLPLPDGVYPMKKMPTTCN -DINVPVAVSNAKNSKFVIGPPGAGKTTYIRSLLGDDDVVYCPTHCSLQAYTKAVERARFTIPPGQDASAY -GVPSDSGPRLVLISMGYQPGRNHYVDEACYANPFDFLRLLSRTAVTCIGDPAQLAPVKFQKPIYLFHYMK -QEHLSKIYRFGQNICDAIQPFYKFKLVSAKSEPTDVIYQSKFAPRGLVITPFHKDRTDDCKTIDSVQGIT -ADIVTLYLPTPKSLTARRALVAISRAKHRLYIYDPHQQIEQFFNLPPLHTQILPVATVKQGAVEVHLNND -TVVPAISVPGMLVRAAPGSSAEKTLLEQSPLIVDFERSQISPLPRVGHNLGYYYSPDLVKFLPIPRELCP -HWPVITAENNEAWSDRLVVSISRIHPLSVPAVNAGYYIGNSLFVGVPRVASYFLTRYVDGSVVEIAPTLF -STGRFEANLREYLDDDERQFANKHQHAFVGDTKGTTVGGCHHITSRYLPKEVPADAVVKIGVSKPGVAAK -ACCTITDIYLPALEPYSNPPTTSKVYKINVDNKPCRLMVWANATMYFQEHLDLTALYDASRFIQASNSAV -VTLHPASLPCKINRAITTDPDLPTTIGITPWESNSDLLVSLAGPEPEFTRHFRLIKCIKYIQRDFLGTVY -SNLFVYVKSNQSNTLTEQARILRNAPIFSPVKTSPIFHFNTTPCGCPWRVSVGSFSHCCCSSDPMPSSLI -CGDQCTRMCMEENEN ->APP93322.1 polyprotein, partial [Kibale red colobus virus 1] -KLLIASGGTGNSRSGLVILPDKAKIVDYHSRTVAFADIDLKIVDANELDRTNRLSIEPQPVVATTTDGKF -VILRKHPPSLLDVLTKGLDAEWQPALHGPGNTGIDGYLWDFEEPASKEELFLTKQIVDACALRRGDAPAC -LPYRIEPVRGDPYRKGRMLMNTRFGDIATTTIVESNNPWLRTTAFNPSGVPVLGDDGVVCSTVPFGLELY -IPTIPTTVLDYLDERPDTPVYLTKHGTEKAALEDLAKFDLSTQGFILPEVYSIVRSYLIKVIGYSPPIHT -PATVPSNDSHAGINGMRFSTRLLQSIPNINSICEQAVSEVWQSVTPVTLKKQYCSKPKTRTILGTNGLIS -LGLRALLSGVTARFQLAGKDSPICLGKSKFQRSDIRITTRCLETDLASCDRSTPALVRYFSTRLLFELAC -AERAIPLYVANCCHDLLVTQTSAVTKRGGLSSGDPITSIANTIYSLLLYTQHMVLSAFKIGHPIALAFMQ -RRLTMEDLIKVQRFVVYSDDLVLLNEPEDFPNFVYWSDHLDLALGFKTCRSKTVITTNPGFLGCRFVDGW -LVPQRERVLAALAYHMSAKDVQQYYINAVAILSDASAMSVFDADWFGELVLGIANCAKTDGFTFPGVPYF -LDFFTRVSGFKPESAHVCGICASTASTSSRCGLYLCNLCAHRHQHCPVSSPFCAHDVGSKSCDGCSLDVL -PGNTELDKLLLDSPYTGPIMTEVTVVDGFTNAEPGRYVWQHRPIMLRRDRNGCAIGLPDGTYAMKKLPST -CSGINLVAAKRNAYASVFVNGPPGSGKTTHIASQLTDGDVVYCPTHESLKAYSLLLPRCRFVVPRSADPS -EYGSPSDSGPTLTLVAAGYVPGSRHFIDEACYANPLDTLRILSKTPVTAIGDPHQLSPVKYTSCLFLFKY -MKEQYLETVHRFSQNIADAIQPYYKQKLVSSRPGVTQVIYQTKFEPRGLVLTPYHRDRHGNAITIDSSQG -LTQDIATIYLPSPRSINRERAIVAITRARARLYIFDPHKQLAEFFNLPATDLPGTSPHAYVSGDQVKVRL -PEGVDVLASSVPGLACTAKPRDARDAAILRESPLILDMLEGATISPLPRVATNLGFFYSPDLPKFFPIPK -DLCAHWPVVTAINNPQWPHRLVVSLTRIHPKSSPATCAGYYVGDSLFIGVPSVVSYYLTLYENNQVKDLP -PSIYSTGRFEAGLRDYLDLKERQFAEEHAHAFIGDVKGIVVGGCHHITSRFLPPEIAPGAVTRVGVSSVG -KAAKSLCTVTDVYLPYLQPYTAPVTASKVYLVNVDQRACRLMVWRDKTMYFQESSSYEAIVDASRFVSLS -NKAVVAVDPVLLPRHINRAVTTNLTERSDVAFTPFDANCDLLVTTTSPDCIDPRFKLLSCIKVFTDTIFG -QVSDYVYYYSERTPCALSGVVYHDSRDTACRVRKRAVDCFHFSSPPCLCNWALIVFGDRFCGCSS ->AIP91298.1 putative 1b protein [Mikumi yellow baboon virus 1] -MICKCEFRGYVISLGGRMVCCGCGHQRSPRPVPTEAREAFGPIVQYVDARLAMDYYGIRDKICTTAIIGI -LRGDSQGMLKVEAAKYIRLLSKAKGRLCDSELFHELGWHAYGIVPTAGPMINARGYISPFHVDQYWFEGA -THAIVLNGRYVGVDKPEPIPDWPYCFMYFQYGNNTVQIGDKFVCWTRGVQPGTDLIPLDMADLARSIVAA -LPEGMVCPRSWLGDKRGSLNFQTIGESCLAFEHGDCWTQLFDDPTNEMRIALTFGYQLGTLGVQGKYISR -RAQINGIKFVHDNEGHYAAWRVIKGAWLGHIGPYTDTPPEGFHLIARFSVIPYNQYSPLALFKLPGKVFF -GGSGYSTAAHYHDAPLNYYDALQPGFCWLQLFPPLERRAEAQRAILAGQVNNEGVTGTYLEYRLNCQGIS -VVEDSFGEHFIYAAASDPTVRHISPVPLYDRAHIFVARVTTYSHPVCDSFKFGFGTRYGRKKRGGGKKSA -CNDEWASAVDKQEESAMVASLSFGAPKDTTPSEPTPPVNPVAGLVTKPIIHQATHHLFSYEEAPQIATYS -PPPDGGCGIHCLHAIAEHHMTKQWPKIEPMVDWGYDAWADDAMMGDAICALALPAGFEGCTHQPYIIRLV -ESHFVVDYYPARVPSFSPHCAHRTCMSLVGATMVLEAKAGHFGNLHKLLPRYKDAKQFLIHLQGLSGAKA -VCHTSKPTPRARAICAIIDTTPCKPADVSESKLISIDKIVSVAPSPAQPAKIAPVPPPRKARKVSAPTPA -PPTQADQPATMEVDSPSQLDTSKQQAAEGIVAATTTSTSEQSQEAKASGSRVTWHDRLLQSSLKKATAAV -ADTVSRFQPQLLAYLDMRDDKRAATPSYYASAALWALALVLLSLSPVAGLCVAILAFACNPSSRTSRISG -LLYPLLLFCKLLLSEQYLVCEVDSPDCHDYLHGLSLRYASSPPRFLTPGPLTASLAVLRSFTYFTHAVQY -AHIGLLVLDVCVLLVCLFHRGICLKCYGRCVRLAPEEIQGRCVPSTRLSRVSLVDICDTYCSPPCDIVKM -ATGYTGCYTGSLSCVKACSGALPVAHVDPKKVSNTTSCTFPTCASEAVKALAVLSARGTLSLGKPPRVVK -VEKLPCKNPFFCYDLSLTTPVVVDLATYELFADLGVNLSHLVIGEGDFFQAMGVKRPNFYEKARLKLTRG -GGRLSVDLVLTIIVVVLSICVGAYFQRASICGIGTPDPFCTNTFGVPLIAKQGVCDHGYCASPQGISASI -TSLFVQYDYLPYISLFLTVTLLAYWYVPQVFVIGIILLNALAPTLPFVSVLRVCAFFVLSTKIDVKLLLL -TVLTTSLVDLPASLVCFILFGSAWIIGKCTGVGGLVTPYDIHLCAKTPRDSIAIANAAPNTYLGAVRMAA -LSGTNKFFVGSNAGIILEGLLREKTKADNTCSVFGVTAGTGGLYTRDGKVVCITASHVCGDGEAMIKLGD -TSTYATFKRCGDFAEAEVNIPGCFPAYVPAQNYQGRAYWLTSTGVETGFVTNHGAVVFSGPGDSGSPIIT -PTGEIIGVHTGSDSKGSGAYSKSNGHLVTGPVKLSDMAAYYEGPLVPVETRLPANVSKDVESIPKTLATL -LSNSIHLEGSLGTLQLLVVAMVMWKYLVEPQAMPYIAVFFILNEILPRALVRGLYNYALFCASLVPGFGP -RVLFIRLVTAVVNRNCSALVFHLASAVLAVFIDFCVTGDLKSALHISGFYFVSHSVPVLTSMSVGLVCFI -VVALLEAFGHRTLPNIVSGNGSFDPTFLARYFHEGIKTGVSSGIVSESLTGALATQLTTEELTFLNSLTE -IKAFVSAQNLQNAVNDYIASRQARALRAQLASVHASAAADHTLATLDKFLTSTEVTLKPGDPVVLLGPAS -KEIIPVFSGAEEYIATPVRSQKVAGTVCTICKIISSAEGAKLTTFQDKLPYIKINGKVLADHPDYKFEND -GRLPRERDDQDLNKKNRSTALGQVDVNGHTFTKYWDKTTGDVWYEPYVDEGAPTALLGIEEAAKLLGVDT -HLNEKDIARLTDIIGKLKALTGKQALNLLTAAGFSSADRSGLVLALTSAKFVDEHACTRAWNGIDFKLVT -PTELLRTARLSISPQPAVAHLADDTFLIMRRHPPSLIDVITKGLDAERQPVLHSPGDTGIDGYLWDFEAP -HSKEAQMLTGEIVDACAARRGDAPGSYPYNMLPVRGDPYREGSKLCNTRFGDIKTTTVADTDNPWLRVLT -INPRGTKAISDGKILGTTTPLGSEIYIPTLPEPVLEYLDSRPDCPTYRTQHGTEEAALKDLAKFDLSTQG -FIFPQVLHIVRNYLVKHIGYHPPIYKPHDVPSNDSHAGVNGLQFSTKMIQALPDVDKLCETVIKEVWQSV -TPVSLKKQYCSKAKTRTILGTSSLVSLALRATLSGVTKAFMKAGKGSPICLGKSKFEPLQQSVSGRCLET -DLASCDRSTPAVVRYFTTKLLFELACSPDAEPLYVANCCHDLLSSQTTCFTKRGGLSSGDPVTSIANTVY -SLILYTQHMVLSSFKLGHPISMKFLQRTLTMEDLLKVQPIVVYSDDLVLLNEPDDFASFKFWCDHLQLAL -GFVVDRSKTVTTDAPGFLGCTLRGSWLVPQRDRVLAALAYHMNAKDAHEYYVNAVAILSDASALSYFDRE -WFDDLVVGMCNAATTSGFSFPGPAYFRDFFEMVSGYKPESKVAPAPCAICMSTSCITADCGMSLCGHCAH -RHIHPGCTVPSPFCKHKVGSNDCHFCSIQPLQANDELSKLLAVDEFQPKHQVEIEVINGYTNASPGRYMY -HKKMLMLKKGPLGCPIDLPDGRYPLQFLPTGCAHIIGPKALNNAALSKLVVGPPGTGKTTTIKKLLTQDS -VVYCPTHASMMAYSKSLPAAQFTVPAGQNPEEYGTPARYGPKLQLLSAGFVPGDVHYVDEACYANPFDLL -RLLTKTPITAIGDPNQLSPVGFDKPCYVFRYMKKQQLTVVYRFGDNICKAIQKVYPDQLTAHSNHNTEII -YQKVFEPRGQVLTPYHRDRVDGAMTIDSAQGSTFPVVTLYLPSKKSLTQPRALVAITRVQSRLYIYDPHD -QLSEFFTLDPYRAAEPPHAQVVDDKVVVRLAGNVMVDAATVPGLVCTARPTTDEQKALLSTSGLVIDSLE -SGSLSPLPRVAYNLGYYYSPDIPTFLKLPAELAKHWPVVTNKNSPEWPNRLVVSPTRISPLSQPAMSAGY -YVGSSLFIGSPGIPSYWLTQFLDGKAVPMEQSIFSTGRFELDIRGYLNEEERSFALAHPHAFIGDTKGTT -VGGCHHITSRYLPKEIPTGSVVKVGVSKTGVAHKSCCTLTDIYLPDLQPYTNPPTASKVYKVNVDYRPSR -LMVWKDSTMYFQEGADPLALVDAVRNVRLSPTATVRYSSNLSPMNSNRKICAARTDDPVNLAVSTWDDLN -CEYLVSTTDPFDIDSRYELVNATEHRKETILGGHRTLVYYYKVHKEPQPRPIDGSGTPPAYYNILRRIPC -YPQKASWFNFLYTAPSCTCSVAIATGNGTRCHCAAPSNALASS ->AGW31683.1 ORF1ab polyprotein [Porcine reproductive and respiratory syndrome virus] -MSGILDRCTCTPNARVFVAEGQVYCTRCLSARSLLPLNLQVPELGVLGLFYRPEEPLRWTLPRAFPTVEC -SPAGACWLSAIFPIARMTSGNLNFQQRMVRVAAEIYRAGQLTPAVLKALQVYERGCRWYPIVGPVPGVAV -FANSLHVSDKPFPGATHVLTNLPLPQRPKPEDFCPFECAMATVYDIGQDAVMYVAERKVSWAPRGGDEVK -FEAVPEELKLIANRLRTSFPPHHPVDMSKFAFTAPGRGFSMRADCQHGCLPAGTVPEGNCWWSLFDLLPV -NDQDKEIRHANQFGYQTKHGVAGKYLQRRLQINGLRAVTDSNGPIVVQYFSVKESWIRHLKLVGEPSYPG -FEDLLRIRVEPNTTPLAGEEEKIFRFGCHKWYGAGKRARKARSCAAATVVGRTSSVRETRQTKERKAAGA -DKAENLEYYSPPAEGNCGWHCISAIVNRMVNSKFETALPERVRPPDDWATDEDLVNTIQILRLPAALDRN -GACASAKYVLKLDGEHWTVTVTPGISSSLLPLECVQGCCEHKGGPGSPDAAEISGFNPACLNWLAEVMHL -PSCAIPAALAEMSGYISRSVPLADPVWTVSQFLARYSGGKDPDQLCLGKIIDLCQILESCCCSQNKTNRA -TPEEVAAKINLYLSGAASLEECLVRLERAHPPSVMDTSFNWNVVLPGVEAITQTTEPSQVNQCYALVPAA -TREHSDNKSVPLTAFSLSNCYYPAQGEEVRHRERLNAVLSKLEGAIREEYGLTPAEPGLRPALPHGLDEL -KDRMEEDLLKQVDAQATSELMAWAAEQVDLKVWVKNYPRWTSPPSPSRVQPRKARSAENLPVSRPTPAPR -RRVRSGCDSLIPLGDNVSDHCGDLVVGPPFDLTTPPDPTSPSSGLAPVSAPRRTSGSATPLSEPAPVPAP -RMTASRPVTLLSGSTPVPAPRRISRQAVKTTPTAVTLTCQDESLDLSASSQTEFEASPQIPLRNRCVLGV -EGLEAEETLSDISNVSDDIKSAPASSSSSLSSVRITRPKCSAQAIIDSGGPCSGHLQKIKETYLKIMQEA -CDETKLDDPATREWLSRMWDRVDMLTWRNTSVYQASNTLTGRLELLPKMINETPPPYPCGFVMTPHTPAP -SVGAESDLTLGSVATADIPYILGEIKDAGETTDQKPFVLFEDELANNQSTEDTRALPQRFDESPAALSLG -KDGTGLVLDPGVEKLDSCEAGPTKENERPLVSNGGVGTSAPAFTSLPPSDGTCASGGGLLQKARKKAERF -FDRLSRQVFGLVSHLPVFFSRLLQSGGNYSPGDWGFAAFTLLCLFLCYSFPTFGVAPLLGVFSGSPRRVR -MGVFGCWLAFAIGLFKPVSDSVGAACEFDTPECRNVLHSFELLKPWDPVRSLVVGPVGLCLAILGRLLGG -TRCIWQFLLRLGIAADCVLAGAYVLSQGRCKKCWGSCIRTAPSEVAFNVFPFTRATRGSLIDLCNRFCAP -KGMDPIFLATGWRGCWTGQSPIEQPSDKPIAFAQLDEKKITARTVVAQPYDPNQAVKCLRVLQAGGAMVA -EAVPRVVKVSAVPFRAPFFPTGVKVDPDCRIVVDSDTFTTALRSGYSTASLVLGVGDFARLNGLKIGQIS -KPSGGGPHLMAALHVAFSVVLHMLTGIYVTAVGTCGTGTNDPWCANPFAVPGYGPGTLCTSRLCISQHGL -TLPLTSLVAGFGAQEIALVVLIFVSIGGLAHRLSCKADVLCVLLAIASYVWIPLTWLICTFPCWLHYTSL -HPFTILWLVFFLISVNMPSGILALVLLVSLWLLGRYTNVAGLVTPYDIHHYTNGPRGVAALATAPDGTYL -AAVRRAALTGRTMLFTPSQLGSLLEGAFRTQKPSLNTVNVVGSSMGSGGVFTIDGKIKCVTAAHVLTGNS -ARVSGVGFNQMLDFDVNGDFAIADCPNWQGAAPKTRFCEDGWTGRAYWLTSSGVEPGVIGRGFAFCFTAC -GDSGSPVITEAGELVGVHTGSNKQGGGIVTRPSGQFCNVTPTKLSELSEFFAGPKVPLGDIKIGSHIIKD -TNEVPSDLCALLAAKPELEGGLSTVQLLCVFFLLWRMMGHAWTPLVAVGFFILNEVLPAVLVRSVFSFGM -FGLSWFTPWSAQVLMIRLLTAALNRNRSSLAFYSLGAVTGFVADLATTRGHPLQTVMNLSTYAFLPRVMV -VTSPVPVIACGVVHLLAIILYLFKHRGLHTILVGDGVFSKAFFLRYFAEGKLREGVSQSCGMNHESLTGA -LAMRLDDEDLNFLTKLTDFKCFVSASNMRNAAGQFIEAAYAKALRVELAQLVQVDKVRGVLAKLEAFADT -VAPQLSPGDIVVALGHTPVGSIFDLRVGNTKHTLQAIETRVLAGSKMTVARVVDPTPAPPPAPVPLPLPP -KILENGPNAWGDEDRLNKKKRRKMEAVGIYVMGGKKYQKFWDKSSGDVFYEEVHANTDEWECLRTCDPTD -FDPERGTLCGHVTIDNVPYNVYASPSGKKFLIPVNPENRRAQWEAAKLSVEQALGMMNVDGELTAKELEK -LKRIIDKLQGLTKEQCLNCLAASGLTRCGRGGLVVSETAVKIVKFHGRTFTLGPVNLKVTSEVELKDAVE -HNQHPVARPTDGGVVLLRSAVPSLIDVLISGADATPKILARHGPGNTGIDGTLWDFESEATKEEVALSAQ -IIQACDMRRGDAPSIGLPYELYPVRGDPERVKGVLKNTRFGDIPYKTPGDTGSPVHAAACFTPSATPVTD -GRSVLATTMPSGFELYLPTIPASVLDYLDSRPDCPKQLTEHGCEDAALKDLSKYDLSTQGFVLPGVLRLV -RKYLFTHVGKCPPVHRPSTYPAKNSMAGINGNRFPTKDIQSVPEIDVLCAQAVRENWQTATPCTLKKQYC -GKKKTRTILGTNNFIALAHRAALSGVTQGFMKKAFNSPIALGKNKFKELQTPVLGRCLEADLASCDRSTP -AIVRWFAANLLYELACAEEHLPSYVLNCCHDLLVTQSGAVTKRGGLSSGDPITSVSNTIYSLVIYAQHMV -LSYFKSGHPHGLLFLQDQLKFEDMLKVQPLIVYSDDLVLYAESPTMPNYHWWVEHLDLMLGFKTDPRKTA -ITDSPSFLGCRIMNGRQLVPNRDRILAALAYHMKASNVSEYYASAAAVLMDSCACLEYDPEWFEELVVGM -AQCARKDGYSFPGPPFFLSMWEKLRSNYEGKKARVCGYCGAPAPYATACGLDVCIYHTHFHQHCPVTIWC -GHPAGSGFCSECKSPVGKGTSPLDEVLRQVPYKPPRTVIMHVEQGLTPLDPGRYQTRRGLVSVRRGIRGN -EVDLPDGDYASTALLPTCKEINMVAVASNVLRSRFIIGPPGAGKTYWLLQQVQDGDVIYTPTHQTMLDMI -KALGTCRFNVPAGTTLQFPAPSRTGPWVRILAGGWCPGKNSFLDEAAYCNHLDVLRLLSKTTLTCLGDFK -QLHPVGFDSHCYVFDIMPQTQLKTIWRFGQNICNAIQPEYKDKLMSMVNATRVTYVEKPVSYGQVLTPFH -KDREDGAITIDSSQGATFDVVTLHLPTRDSLNRQRALVAITRARHAIFVYDPHRQLQSLFDLPAKSTPVN -LAVHRDGQLIVLDRNNKECTVAQALGNGDKFRATDKRVVDSLRAICADLEGSSSPLPKVAHNLGFYFSPD -LTQFAKLPAELAPHWPVVTAQNNEKWPDRLVASLRPIHKHSRACVGAGYMVGPSVFLGTPGVVSYYLTKF -VKGEAQVLPETVFSTGRIEVDCREYLDDREREVAASLPHAFIGDVKGTTVGGCHHVTSKYLPRFLPKESV -AVVGVSSPGKAAKAVCTLTDVYLPDLEAYLHPETQSRCWKLMLDFKEVRLMVWRDKTAYFQLEGRHFTWY -QLASYSSYIRVPVNSTVYLDPCMGPALCNRKVVGSTDWGADLAVTPYDYGARIILSSAYHGEMPPGYKIL -ACAEFSFDDPVRYKHTWGFESDTAYLYEFTGLEADWEDYNDAFRARQKGKIYKATATSMRFHFPPGPAIE -PTLGLD diff --git a/seq/clusters_seq/cluster_550 b/seq/clusters_seq/cluster_550 deleted file mode 100644 index 4600e76..0000000 --- a/seq/clusters_seq/cluster_550 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009664737.1 triple gene block protein 3 [Cucumber vein-clearing virus] -MLINVNFADVRTISGILIILISYLCLIFSILRSERACEIVLTGESIAISSCKLDSNFISALESLKMLNSC -PTF - ->YP_004035881.1 triple gene block 3 [Cowpea mild mottle virus] -MFAAGVTAFVIILSTLILALISLGFYNYLSSSCVLYITGESFSISNCPVNSDLANLVREQRELLSCGLK - ->YP_002332932.1 8K protein [Potato virus X] -MEVNTYLNAIILVLVVTIIAVISTSLVRTEPCVIKITGESITVLACKLDAETIKAIADLKPLSVERLSFH - ->YP_001429588.1 triple block protein 3 [Potato virus P] -MRQATLNVLAVAAGGCLGLWILLQVQRENCILKITGESVTLSNCPLTAELLQAITKIKPLKLDL - ->YP_319830.1 triple gene block protein 3 [Alstroemeria virus X] -MAPKLSLTFALLTLSPTLINGWPSHQSSPSASSFGYVLNFLLVIGGLYLLYALTAPPTKAGCIVRVTGES -VTIDNCPDTALIMEKFNLAPWNGVKFPKE - ->sp|P68833.1|TGB3_PVXXC RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MEAGAYLNAIIFVLVATIIAVISRGLTRTEPCTIRITGESITVHACHIDSETIKALANLKPLSLERLSFQ - ->sp|P07698.2|TGB3_PVX RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MEANTYLNAIILVLVVTIIAVISTSLVRTEPCVIKITGESITVLACKLDAETIRAIADLKPLSVERLSFH - ->sp|Q07631.1|TGB3_PVXHB RecName: Full=Movement protein TGBp3; AltName: Full=9.7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MEAGAYLNAIIFVLVATIIAVISVGLTQTEPCTIRITGESITVHACHLDSETIKALATLKPLSLERLSFH -Q - diff --git a/seq/clusters_seq/cluster_551 b/seq/clusters_seq/cluster_551 deleted file mode 100644 index 8ce818a..0000000 --- a/seq/clusters_seq/cluster_551 +++ /dev/null @@ -1,122 +0,0 @@ ->YP_009143529.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum megabirnavirus 1] -MLANATALGEQMLVIGDELGRESGDAEPRGKRTLRDFPMLEFSNAVHAVMADVELTSWDAVCDQHTKNTP -QTLLVDSPLLFSMAGQLLADDFARAGVMIEGRQFLLLGRNGHTPVRMDTGTYASIKTSLLRHASPRRLRA -ARRLFENVFAMNVPDFLAVLEAQHDCGPEMDSFFSMFSGDGRSLVVEGAPTPCVGKFLYKQLDGGEWVAT -DVSAKTASEALRNAMVLRKLHCDGEMGWEQVLGLVERSLISRDVHLLSDCIAYLFPYTERSLYQRSRMTF -PLLSRIILRSIDLGHSTEGDILSLMRHMSGLGHQASSAFFLYSLWLPDGAGRHVVDFFCRKGWFNGGYYA -VEKRLKALHSLARRCRYVPKELRGYNVRPTDLMYLTSVLGRFYLDFAADDSAVSDRTEYYGEHLSYDGVL -GIYSTDAHKQGMMRFLLDRSRVAGRLWQQSEVSSLGDWMMRYVMYGSSGSAGGHSGDEFNVDHSVSKRLW -LSNRDEDYAAAYVYEEPAIASSVTVVKREAGKLRQLLPARIPHWLTESLLLSEIESGILRSMPLSLEMSA -DKAMEGVLQRRKAMMSGETVACVDWADFNITHTLSDMAAYFRMLGKQALETCTEPNYWNGISKGQFLHDV -AEHCATTLYNLWIRNGATADSHFEHAVRGLWSGWRSTQFFNSSFNVAYCETNFDAMHALFQSPLPNRADH -AGDDFFGTYNDPLDAMRFVLSMPLAQHDVNPTRQLVDGDVGEFLRNEYMRDGIVHGSFQRSIGSFVGSDL -QSPELFSSITQAQGSNVRRGADLSVIEEYRYTIVQYWATVYSPESKTSATPSVDLCQLDPTCGGLGCPRY -GQQSTIATGSINTMPVQRRVPLDVIMPRNAAVLLQRFKQRLWSYNITSTNLEGLRDDVMIATYGSDFPAE -IKKRLDRELRETQVQWILEANKRVAVMRKAVLPAVPWWVDDYIQTTIQRVLANEELDGNSADLNSMAMVV -RSMALAEFSPLDSALSHLQTTAAQITGLPAIVKLSRGRVNPILSRMRVYLSDPMVEALVEHRWNLPTSTG -GVIPSELRAVIYNVLNYALYQVRYINQSFESDARWLTMYATSVCQRLSNSWRQKCGTMFEIQDEISY - ->YP_009227124.1 RNA-dependent RNA polymerase [Rosellinia necatrix megabirnavirus 2-W8] -MDVDSFFDHTKVGDDIGETLLVAAGADLQGGTLDRLEVVELDRKMKKIYKNKQFKTWLEAYSHWDSETTG -QLLIDNAPALRIAGSVLAADFRDAGINENGAVYLLMQPIDSTPIRMSSWAYSSIKRSLHRNPRPDRLAAG -RRLLENAFAGNVPDYLAVLEAAHEVGPEFDDFRTLFIDQDFFCQGRERDLSGTFWYEDGDDGPIVAQPAE -IRKRIDATAGKGMIVMADGPALRARMAIALEVGEAQVLADTLLLLFPYSERSLYDRSRMTMPLAARVMLK -NIADGHTTKEAILQMATAMAGMGHQAFISFYLYMVWLPHGRGAAVMDFLCQRGWFNQGFKVAEKRLKGLH -SLARRSRWVPRPLRHAGVRPTDLMYLNVVLGRFYLDYAANDSTVDDRVDYYGEHLAFNGVKLQYDVETHS -QRMREFFLARAQVAGRAWSSSEISTLDQWMLRYVQFGSSGSAGGHSGDEFGVSSSVSKRLWLANRDSDYI -AAYVLEMPTIASTVTIVKREAGKLRQLLPARIPHWLVESMLIGEVESAILRELPLSLELSAEESLREMLV -RRKGMMDGLSVSCVDWADFNITHTLKDMSEYFDALGDAAAATCTEGNYYDGISKGEFLRLSAKWCSATLY -NMWLRNGADKNSKHEHAARGLWSGWRTTQFFNSSFNVAYCQSNLASMRALFGMRDPDVANHAGDDFFGLF -SDPLFSMQFVLSMPLAQHDVNPTKQLADGEVGEFLRNEYFRDGKIHASLQRSIGSFTGSDLQAPDLYSGV -AQAQGANEALNLQIRRGADRGRIEAIRYTIVQYWTTIKDPVAGTSATPSVALLQLDPTCGGLGCPRYGEQ -SLIMRSTIPPMPSVRRVPASVLMPVQAKKLLAAFAKKLHSYGLRNIQPESLRDDILIASYGSSWPDDVKR -EVDNEMRASQVQWILMANKRVQCMRLERLPAVPWWVDDYIKEVCSRLLRNDEPEGSSADLNSMATAVRAM -ALAEFSSLDSALANLQAAAARVTGLSGMVKLSRGRCNPLLQRMRVYLPEVLVEALVEHRWNMPTSTGGVV -GSEFRAVVFNVLNYAMYDARATYPSSVSDMRWATAYCTAVCQRLSRYWQQHLSPELQL - ->YP_003288763.1 RNA-dependent RNA polymerase [Rosellinia necatrix megabirnavirus 1/W779] -MDGRHDPDAALFAQDNVYFSSVADVERATWSDIVAKARADGWQGRDVLQEFGGGQTLARLVRNSAIPDDF -RNSIVTAKGAEWLLLRSVAHVALRLSHNSYKKLKTSILTKRGCNGSRRLQAVRSVMEAAFAGNVPDWLAE -MEMLERCGPEYAAVTRIVGDDEFDPLGTISAVAACEGGLNLFVFDGPAEGGSGHEAVVVEGDPGEAGAYV -ERRLKLEKRSLAKGVINVELKKVITSSFLLSCEVRDLDYAKHCLRILFPITDMNLYLRARLNYGCLIRVI -FKLLDDRILDLSHLSSVCTNAAGLGHQAFACLILTLASGAERRNCAVVMLQQGIYRGGMKSVEKRLKEIH -TAARRARWLPSYLAGVCTDPSVMLYWNIALGRFYLDYAANDDTVKDRTMYMGEHVAYDAASRMYTSDQHA -ANMQRFFNARKQDAQKVWSSSEVDTLDSWLLRYVQFGSSGSAGGHSGDEFDIDHAVSKRLWLSNRDTETI -AWYVLKQPAGASTVTIVKREAGKLRQLLPGRIPHWIVESLLINEIESAIYRSMPMELELDAQSEYTSVME -RRRRMMLGESVACVDWADFNITHTLKDMSEYFLALGEAAQRACVENNFVTYGGVNYGKGEFLSLCANWCS -KALYNMWLKNGADKDSDYEAHARGLWSGWRTTSFINCSFNVAYCESNFDSMHHIFNSDMPLYRVHAGDDF -FGTYAEEIDAMRFVSSMPLAKHEVNAQKQLVGGEMGEFLRHEYFRDGTVRGSVMRSIGSFVGSDLQAPQL -YSGVAQCQGANEAINLLIRRGFDLRAAESIRYTIVQYWGTVKEPGGSSATPSVKLLALSAHSGGLGCPRF -GELATVTRERLHGIPVMRDVLSKLAAPRNMERLLHAFQAKIRRFGYIEEGFTALRNAAYNATYGSDLPRE -IKLEADGELRRAQVAWINECNLKVPEMTVAWYPSVPSKVLEYLERLIACAEKGLEPEYPMHDLNGMAVAA -RATALGAFSALDSGLNFLGDEAGRVAGFDAVVAMSRGRAGTILNRMRLYLPEIAVRALVEHRWNVPTSTG -GVVPAQLRGIVFSGLNYALWYAKNWMPVNAGSLDWLTSYCDAVNIRIANLYKSSLSKMMKM - ->ANR02705.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani megabirnavirus 1] -LSYGASGSATRVGREEVGQERSVSKAFWLAARQGNVFEKLYTEEPSNTTATIIKREAGKIRQLLASDINA -WFVESVVLNEIESTIMRGAPEIPLELNANEDCQRVLERFRQVANLGDAAVTDADYADFNITHTLASFARY -FEKLAELSMRYIPDDGTEFMPGVTKRQLYHDAALWAANALMKLRVKEGADINAEYQVLNRGLWTGWRSTQ -FFNSTMNVLYCRIARTSLRASFGEEALISTENCGDDSHAIARSVFHSLAFTSTLTEQGHELAAAKQLVGA -RVSEFLRVTYYPDGIAVGALCRSIAGFVGSDLQRPVNRRGRDFTQ ->AJE29745.1 putative RNA-dependent RNA polymerase [Rhizoctonia fumigata mycovirus] -MILHGVLRFYDMHQYSDSFSKQLLLRTKFERLKKHTSSADVPPNQICANKLFSARCMIDSYLTSKNKKNK -KIDHSNAVLPKGVVETGANTTCQAALNDPQSFSTALRHPTLYAVDAGHQPILAHLSWAVAANLISIEEME -AFCAYVFNGAIPDVVFTLRALSGQHYELPILPTEPDFTYAGLHMFDDETALGPKLPAAPPRWHAIFSPPG -TGKSYSAEHELLGLAIDVDWTYSYAELIKHFSHWTDPGWLPMRISMMQDWTRKHRVDGKVLLCFGEEEAL -AVGARPLGVVVLDGPEWLERDFDRGRIEAGDANKAALIAYAERTGCPLIRIQTRKEYAAAVRSLLPAGTS -SFTPADAKAFSRRSDAEKRNNVNILLEVARRRHNPRIMAEVVNSTVADILNPDVGEHTRSRLLLKLFPTS -NHDLMSRTRMNHAATSRFITHLLKRRVLSEIDFLKTILLRTSGAGLNCFSSLLLAGTFRVPVRAWLTTLA -NAGTFEHGISRIAKSLKEVHNLVRRAGVVPAAWQVHPDLRYTDLMYGDQLAGRYFSYKLALDDTVESRTT -PIEHTAFDGEKNSATEHTRLLWEALRKPLQSFAAGVATKMKDNQADLKSFAASFIAVGASGSASTGKSEL -RHVDLDVLPNKRFWLGEITTDQILSVVQRDPLTLTTCVIKTELGKLRQLLPGPIWHWLAESIVLWAGEDK -LYRDSEEIMLEKTPARRMFNVLRRMADHEAATLGELVICALDYADFNITHSFNDMKGIYAAIAHVCRELA -QPGDWGGTNYAGALSTIATWLVRCFESMYARAEGGDGVYHKMERGLWSGWRSTMFFNILFNYLYAEVLNM -QGQAWFDGRLLSRFESMGDDVHGTSSSLVRGLMAAALLVEGRHELQGAKQLMGFRAEFLRIMYDQFGAAG -SYVRSICGFCSSDLQAPEAQNGLDFVKGTSEAMHVIARRGGDVATVDDYRTPILAFFARIKDGKHNHELT -NTEWLYVEEADGGYGATRFGQLPATVTNPIPWERHSGHARVKGSRGHGASALGSHVARALHSKGLDPSIA -SEIITRARDMVETPLAPRDVRTAWKREARYLESKHIRMLNATKPKCTRELLHPIPGLRFALTNAIGRGGH -NWAEVCYRGEGAAANDHEVPTRESGYIDLEDGCERLINAALGKASIAPYVLRELRDLHGRRLPVHEALAR -LTPPSMAGLRAALVQAWPEWWVTAVLDGRVHDYRNTAGVIAGEFQPLVSYAHYWVIHNTALLPKGGITQG -ELLHVLRRVNQTIIQWSFDTNFHLHYGM ->ANR02704.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mycovirus 3] -ISVGASGSASTGKRELRHVELDKLPTKRFWLGELEARQIFDILKRDPTTATSCVIKTELGKLRQLLPGPI -WHWLAESIVLWQGEDTLFREVSEIMLEKTPARRLYNVMLRRGEVKAAERGLLVIAALDYADFNITHSYAD -MKGIYGCIRDALAEVSAGRGDWVSTDYAGFGAELCKWLISCFDHMYGRAEGGDGVYHKMEKGLWTGWRST -MFFNILFNYLYAKVLNEQGRRHFDGEFLSRFESMGDDVHATADDLVQAMMAAACLAENGHVLQGSKQLMG -DRAEFLRNMYTPAQTSGSLVRTIGGFCSSDLQAAPIRNGLDFVK ->ALO50147.1 122 kDa protein [Pleosporales megabirnavirus 1] -MSIDSLGAISTNESCYITPLIATYTATFGTDFELEHDDIAIASYWATMRGTRQWPAGLEFTALQGKATGD -IISGTFGSEGLYLDRHTYVRVKESVVTACCNARLNAHKRGHAHGALQVGRAIYSNAFSGNVPDWLAVLEV -EFECGPDLAAHQELMDKSADPGTHAIYGAKRSFVYSTADRLVAHSMKRSEADTRLEHRGYDVNDYDVFET -QEQALRHNNACVSAVLRALHEGEQALADVLYYYFPCMDRSLYLRSRFSYRLLSRLIRFTVSNGTLAEDHL -ALLCRTFVNLGHQAFSCFLMLTLTTTHGRALIEFLVDGGYFFGGFKEVEKKLKSLHTIARRNRWVPRRLR -AFNVEPGSLMYLTSLLGRFYLDTAANDSTVEDRCAYFGEHVSHDSVTGTHTAEKHWERMEGFFAARAKSA -AAAWRGSDVDSLTEWLDRYIGFAASGSAGTHTGDSFGVEHSVSKRLWLSDQEEGTVAWYVLEQPVGASTT -TVVKREAGKLRQLLPAGVPHWMVEVQLIKEIEGRIYRDMPLSLEMTAYDEWFGVMDRRKRMVDGEAIACI -DWADFNITHTLRDMSRYFWWLGEAALKACPEPNFAGNMTKGEYLKQAAEWCSATLYNLWLRNGAEKDAEF -EHAARGLWSGWQTTSFINSSFNVGYCEDVFASIKDMWGEFEMSCRYHAGDDFHGNVAHEIDGLRLIAAMA -WAKHDLNPSKQLAAGDVGEFLRNEYLRDGRVLGSINRSIAGFVGSDMQSPEIHSGLEQAQGTNESLHMMI -RRGADAAMVERIRYLIVGFWSTVKAPRTGRVVQPSIEVMARSARSGGMGCSRYGVLPDEYNDTMPRMLVM -RDVPLEIRVPRNASRIMQGLVSRLHAFGFTHCRTELLRNDVLHATYGADWPSDVKNDLISNLREAQADWV -LAANEHQQQSVRALLPDVPREVSEFIDRCVQTSFDRKEMEGGCSDLNGIADGMRAISLGKFAAADASLGY -LAQGASRVAGFDAVLAMSEGRCAAQLATLRKYLSEECYRQLVEHKWHLPTNTAGVIAPAVRAVTFAVVNY -ALSWMKYHWAGAWHVPDTVSRYVVAVLQRLANAWRKDQKFINM ->YP_009158860.1 putative RNA-dependent RNA polymerase [Rhizoctonia solani RNA virus HN008] -MFGEKRLFYKGRGNVLGIKSEITGSRIADGFWRNEVDRLVDAVRKLKARTTSLFAAAIPSFEGKTTLCQL -FPDIFTDGDIALRRSGRTNFEALLKKAEETGEWEAVQQVHRDNSEEFPGQLCYLTWNKGAIGKSHKWIGS -YLLSTAAYNAPVGRPNSANRDAILAWDTEVFVAKTHDQRNAILLRNLIENIEREIQVEESVCENFRSLLR -QLEVVCSELGASSVKEVLRGNCTEFDYVDVTIGTMCNNFIRVNGILGLMLLLKVGYRRANPLKTITHEVY -ELLRQANLNRRMSEFFASKISALYEGHIPDWLVRRVYLYNTSYYTDFVSQCRKKYAVETDFGLWCYDRVT -CVGERVEPSLALRASAAIARGDVSGLGDLLNKLFPPTEATLRARERLNPRSMACVLVEWHKRRILTSAKW -HEIAVETRGLGWQGHAIVVLWYALTAAKWRDPIFMMMKKWHIFSSGVQYAVKLLKEIHDKSRRSQAVHPE -LPSVPWTQLVYLNMAVGRFWHLNVLDYNTVPSRAEPLPPVQGYNRRQGWCNEAFCTIRDMYYDELMATVD -REMSSSGLTHIDNWLLRYLQYGSSGSAGKLSKEIDFGGSYGAPDRAVAKRVWLAYQKPTDVYKLLFLTKP -VMEGDTADKRELGKVRALLPSKVPHWLAESICLNEIEPALFKQFDEIVLERTPDAELRFVNRRRRALRQG -KRVMDTDYADFNYTHRHEDMIEFYNRLEQCFSKNAVMLNTPFGLGLSKSAWYKQAAYWCRESFSESWLAD -SGRTLSTGVRQPIKQRQGLWSGWRSTQFFNTLFNLLYFKVAIDAHKEMCFPSSFTNQFEVCGDDSDAQHE -NGLDCLLGAALLTRQGHELNAGKQLIDGDVAELLRVFYFRDGTMLNSLNRSLGSFVGGDLQTPVAYSSPE -YVSGTNMACSGLLNRGANAHATEVMRLVLCRFWGTFDCEKYGRVVPSDAVLCASLSSGGFGITLNSRTPE -DVPGKHWPEPEEIKGLEKPYGVGQLISYIDKRVHFVGMILTERERVEDALVTATIGSDAPVAIRQDLTNR -INEKWAEHVLKMNARLMFKPDKPVKAEVSVSPATFLEDIVNAVDYYSDYNPEQLANTIRALALGYASSGD -VALNNIAARSLGMQKPEMIVALASLSDANKAVNTYAKLRSAVGFHSDRLLQHKLHISFDTANRVPAALRV -YMHAYVRAVVVPLMHKDEKILEGAIKEALNVFSREYVKKCSSLFRL diff --git a/seq/clusters_seq/cluster_552 b/seq/clusters_seq/cluster_552 deleted file mode 100644 index 6dd288a..0000000 --- a/seq/clusters_seq/cluster_552 +++ /dev/null @@ -1,118 +0,0 @@ ->YP_009179405.1 NS5-like protein [Wuhan cricket virus] -MDDDELLMTRVYTGVLCILALIIPPWLWMEMNSGNHNSGLDAMISRLISKLVTTELPRWAGMISDFSKQL -GSQQYDKFKLRGINIAKKTKTLASRQGFAKLQDVLMQSRLHPSGFCLNLAAGAGGWGQLLCGLPTVTLVE -SFTLGKGPGHEGHEQHRMISYEGREKHVFHYADIKGLPPMKCDWLFFDGGEEKKTPEETRDKFNDLLIRG -VLPHVGFHLKGFIIRVLTPTDTYTLQVLEDIQKLTGRGNFVRSTYSRNTTQELYFVSGQIGALKHQAHYL -LIDMFKRSLISTKPTRIVDLAVRRVREVVGMERFVEPLTPLDMSASIEELLRPIHVSKREFRHWESLGVY -PVGSKGSKATQRNPYAIKVTSRLRDTLTEYEDWKATDTTPEGFMQVFNTKIDTTPIENSPYDEMLFHVYN -WKAKHYLKKGIRLKPLSWDEVRELANKQGAAGHTDFGIRNMADFFMNPKWKEECEKVENELLGEDPRPIK -AIFNTMGKREKKKSKGVKGSRMVAYLPIPMRLIELKYLYRCMEMTKMKNNPFAVGGLGLHDLGERIREVW -KGAATSSDIAGFDTRIGIRIRELEHHLVQNLMRDESVQSQQIVRNLFRVYAYPHLLIPYPGENVRSELIH -GRGQRMSGEFGTYSLNTETRSNLAAIQFLVTMGIDGSSPNLKERIYQLMDHLYHSGKYGGGVSGDDEFFT -SDEKFIEHFRRQSGCLDIMGFPRKDVPPTMHSVMHTAIDEVSFCSHNYTKVSYRDDYNGTTTTRYMPIRD -MGEIVAKTTIWLGHSGEGLDALAWLSAQGNNLLVNYAHLRTARQLGLAYKAIPPPNLTIVGTRPGFLPKP -WMRDGEILDVINDVLFGESTNYPVPGFRVRKFHHLGYVSMQIEMRFDRNFHHKGRVDFRRGLIKLVNAII -IGENTGGDITIMVHARKDHNIYQGPEGLGLINL - ->YP_009179403.1 NS5-like protein [Wuhan flea virus] -MDLIAVVALAILLLGFILELKGTGQNADSGLSALIKIHDLWTATPLERWGEKYKETLKNLGSTAFNSFKV -RGIRRVEKDPLTVSRGFDKLRELMLMTKIIPSGHVLSLCCGRGGWEQAYASSPGVTRVTAVTLGAGPGHE -GHENYTQNSFPGREKVKVTYADATTYPTTPHDTLLFDGGESHSDYEHEARRFNRLFSMTVMRQIYPGTKS -FILKVLTPTHPETIKMLKEIQSVTGKGALYRVAASKNTTLELYFVSTKIGALDATVKALLKATILRAIEG -RKLKPRKIGPEYTYYREPVQPTEIKILEPMDMEESVKQLGPRVPEQGRNYNHWENMGVYPVGIEGNAGMK -YNRYGLKCAHRLIPSLRGFDDWKLTDTTPKGFIEVFNRKVDVPPKENHKYHRELRTIYQGLAKYFRKIGY -VHKEMGWEELMKNANPAGAPGCIDKAQSVKEFMEQPGWCKEVEKIRKSLREGKPVGCVFNTMGKREKKES -KHVKGSRMIAFLPIATRLLEMKIFGNLLKLTKPTVNRFGVGGMGLHDLGERIAETWLGKGVSNDIAGFDT -RVGLVIQSLECEFIRELCNNENLKEDVENLYRIYAYPHILIPIPSEFRRSELLAGRGQRMSGTNPTYSMN -TITRLAIFLLELGVSLGERITVDWVVDVMQGSKGWNKRIAGCISGDDATFTTQSDQQQLSMTGEILEEVG -FPRKNMHAGQRAQIADRIEEVDFCSHHYERISFMDATTGDVRNRWMPTRNVTEIVSKSLIRVGGQDRDLD -EQAWLSAQGNNLLVNYPHLRTTRALGFAYKAIVHPNAILRDTGGFLRPKPWMREGDLLDVFNEVMFGEST -HYPMRGFRVRSWSHVGYLPPKREIVYDPETFGKKRSYWRAKLLHDVELAVYELGTRGDTTILSNWRVKRY -D - ->YP_009179401.1 NS5-like protein [Shuangao insect virus 7] -MIIYIILVGIVGIILEILDRRGANRESPLEVLLRLSDIFAKPDVTRWGGEYKEALRGLGARAFNAFKNRG -VRRVDKDPHVASRGYDKLLEISIAARVKPRGAVLSLCCGRGGWEQLYAASPDVTRITAVTLGKGPGHEGH -EDFTDNYFPGREKVCLRFADATSYPITAHDTLLFDGGESHPDFSVEANRFNQLFAGAVMRQITPNTKTFI -LKILTPTSPETIRMMQAIQTMTGRGSLYRASVSRNSTLELYFVSTAPTNIRNQIRELMQDMARRGIENRK -LEARRAGPQYLYAREEIQETCVPLLATPDMSDSIAGLGEPLPEVGRAYNHWESLGVYPMGVSGSKGMKYN -KYGMACATRMLSSIDGFDQWKLTDTTPEGFVSVFNTKIDKPPTENHPYEKRLWAVYKGMSSHFQARGFRL -RELSYDEIEKQVNNAGAPGYADMMEGVNDIKDYMSRPDWRKKVEKLRQALLSGRPKEAIFNTMGKREKKK -SGGKGSRMIAYMSIGMRLLEMKLFGNLMKLTKPEYMHFGVGGFGLHDLGERLRRVWKGEGSSDDIAGFDT -RVSAKTLAFEAAFVQELGGNTGHREMYEVYASPHILIPVPSPHRRVQLLVGRGQRMSGCQVTYPMNTLTR -VALFLVQASLAEGVDENLVQAWVMRVMRGKEDIGGAVSGDDAVFTSSKKMKEIINHSDVLEDMGFPRKNM -ARGVRSPLMHDVREVEFCSHRYEPVTFMDTHTGKIVTKYMPTRDFGEIAAKSLIRTGGDVSDLDALAWIS -AQGNNLLVNYPHLRSARALGFAFKSVAPPNLVPDNKGGFMRPRPWMQPGDILTVFNDVHFGNSSKYPIPG -FEVRAWRNVGYLKPKIETLYDPPSFTRRRSIWRDNLHRDVTKAIYDFGTGGNLIPLDLWRERRLD - ->YP_009179388.1 NS5-like protein [Wuhan aphid virus 1] -MIIEAIVVLGLLFYLLMESPCYGNNRAGVITTLTNIQDAFASSDISDWGPRYKQALNNLGKKAFDAFKMR -GVRPTLRSFNKASRGYDKLMDIMRQTKIKPSGRVLSLCCGRGGWEQVIASNPSITHITSVTLGPGPGHEG -HEAFTKIHFPGREKIRVLYGDARQFPIASHDTLLFDGGESHSDADIEEARFYSLFYNVVMRQINSQTKHF -VLKVLTPTSPRIQKLLEEIQRMTGRGAFYRSCHSRLSTMELYFVSTGIAPVKGRAYSLLQSVMIQGRENK -VLKPRNYDLGFTFSREKIEPKTIPLLKPLDLTDSINELGPQLPEQGLEFNHWETAGVYPFGSQGSTAMKY -NRYAMCVLRRLLSTVPGFDHWKTTDTTPTGFLGVFNKKVDTAPKENHKYEQHLVQIYEGLAHHFLKMGFS -FRELDWTEVMHQANKQGAPGIIDTQFENVGQFLSQPNWVKKVIYTRQALEKGRPVGGVFNTIGKREKKLS -PHEMKGSRMVAYLPIATRLLELKLFGKLLELTKPAINHFGVGGLGLHDLGMRVEEIWQGHAVSDDIAGFD -TRIGLFFLSLENHFIRLLGGNETHTLMYRLYAYPHILVPLASEFTRSQLLKGRGQRMSGTNVTYSMNTIT -RICICLLQYAVANNIPVQELHQWTIKMMKQNAELQGVVSGDDASFSGPQDKITALSNTAHVLEEVGMPRK -DIPLNIPSPVVDKIEDVDFCSHHYEKITYYDAETGRTTHRYMPTRDLTQIIAKSTIKIGGKDASLDDMAW -LSAQGNNLLVNYAHLRTARAVGFGYKAIVNPNALLCDTGGFLRPTPWMQPGDILNVTNKILFGESTHYPV -EGFRVHSWKHVGFLKPKREMVYDQDTFSAGRAYWRSKLRYDVANSISELNTGGDITVLDNWRRQELT - ->YP_009179378.1 NS5-like protein [Wuhan aphid virus 2] -MWFEVCMIAVIAVFIFEKWKEYGEHASSPSAVMENIIADFKRHALAKWLEKYKDKMKELDARGFALFKMM -GVRPVLKHKAKASRGYDKLMEIMSMTHVKISGHVLSLCCGRGGWEQAYAYFPPVTKITAVTYGAGPGHEG -HENYTDKAFPGKEKVRVVYSDARGYPETKHDTLLFDGGESSNNPAFEESRFFDLFNGVVMRQINEETKNF -VLKVLTPTSPMVQKSLKEIQRITGKGALYRVSQSRASTLELYFVSTDIADVEVSTKMLLMRTFERGLLKE -ELRPRIYELGYSFYREPLTTKKFEILEPLDMSRSIEELGPKLPEQGLEYNHWESLGVYPVGKTGSTAQRY -NRYALKVLTRLIPSLQGFDHWKTTDTTPSGFQRVFHAKVDTPPKENHQYENKLLSIYEGMAAHFVAEGFV -LKELTWEEVYEQANKQGAAGTIDMFENVGEFLSNPNWIEKVKMVRKCLDEGKPIHGVFNTIGKREKKKCG -EKQKGSRMVAYLPIAMRLLELKLFGNLLKLTKPELNHFGVGGLGLHDLGMRINEVWQEHGVSDDIAGFDT -RVGLTTLSLENRFIKLLGGNLTHQKMYRLYGHPLILVPICSEYNRSELLRGRGQRMSGSNPTYSMNTITR -IAVGLLQLSVVEEIPGDELLTWTMEQMIYDKSKMKGCVSGDDATFMSDEHTAKKLACGYDVLDEIGMPRK -DIPRGVPTPIRYRIEDVDFCSHHYEKVTFYDADTSTVTERHMPTRDVDEIIAKSSIRVGGVNRDLDDMGW -ISAQGNNLLVNYSHLRTVRAVGMAYKAIANPNVLLNDTGGFIKPTPWMTPEDVLDVANRVLFGESTRYPV -AGFRLRTWSHYGYLPGRREESYDPGVRLRTRTYWRDNLRKDVRLAIDDLDTGGDIGVLDNWRKITLD - ->APG76081.1 NS5-like protein [Changjiang Jingmen-like virus] -MENEEVEKLPPWPGERCNTQIGCFFDQLGWLCIVIKIIFKRFMRWIIPFIGHNSESVLRKINDIKTKWKY -APTRDWLAIYKDVVSTMTKGEFTEFKLRGLIEYRRNRTMASRGMIKLVDLLNQSKVHVYGNVLNLCSGAG -GWDQELARRPEVVKIRSVTYGATATTPGHEVFSTLPFAGREKIDLRYGDAREEAEGDYQWVLFDGGESYP -DPVREADKFYALLTTVTRKHIKPTTNFILKILTPTDERVSKYLKEIQEITGTGALYRSSQSRTTSTELYF -ISTARTSVDTSTRNLLQSVLSRAEAFEGMRVGIDKREDMLNRNDTKIPGIEKLKKPNYERSIAMLGSKVA -ESGRAYLHWDSRGVYPFGISGSRSQPPMKITGHLTNELKEILPGMNQWVLTDTTPRGFAKVFNQKVDNTP -IEDHKYIEYMKIVYSELAERMPSISVLTDDEILKQANQQGAPGATDTVQNIKEFFATDWRKIVMECEEAL -YQGKPIHAIWSTMGKREKKKTPGAPKGSRMIAYLPIAMRIVELKYLGQVINFTKPENNPMGVGGIGLHDL -GERMNELWMEAAMSDDIAGWDTKVSAKMLEMEHDFIQRKFQGDARSKEIIKNLFKIYKHPLILIPMESDY -VRSELVEGFGQRLSGSIITYGMNTISRQAVLLTTVGISESMTPSEIVDNVFGKKIRGMISGDDCVLLASK -EVVRRASRAFDILNEMGMVRKDLPLLSPTPIQYRIEDVSFCSHSYERISYYDEVRDKTVYRYMPTRDTAE -ILGKASTWLGMRGGDEGELAWITTQGSNLLACYHHMRLPRLMGIIYKSIAPSNLLLVSEGPMWKKTPWLR -HGDMLDIINRTLFGESTIYPVPDFEVRRFSHLGYLPQYRENIYNNLSKEQSRLIRRWKVKAMNKAYSIGR -TWGGDLEYLEQSNLFQKLRFTVS ->AKH40309.1 putative polymerase, partial [Charvil virus] -IKAVTFGPGPGHEGHEPFTNKEFPGRDKISLVYGDAREYPKSDHDTLLFDGGESSNDPEKEVVRFNDLFR -RAVMRQIYPGTKCFILKVLTPTDDDTLKMLAEIQDITGKGALFRVSSSRNSTLEMYFVSTPRANLGSRVK -TILKTVMERARQGRKLTPRKISPEYTYYRPEIKTSAVRVLKPLDMSASIDELGPTVPEEGRNYNHWENKG -VYPTGVEGSTGMKRNEYGLRCANRLLPSLPEFDEWKLTNTTPEGFIEVFNRKVDTTPKEDHAHNDKMREV -YLGLADHFKRKGYQHRELSYDELSAQANRSGAPCHNDDYQSIGEFLDSPDWRREVEKIRKGFLREKPIKA -VYNTMGKREKKKMGKIQGSRMIAFLPIPMRMLEMKIFGSLLKLTKPEVNRFGVGGLGLHDLGSRIEEVWK -GFGVSNDIAGFDTRVGVVIQSLESMFVQELTDDEELKKMVELMYRMYAXPHILVPIPSNFRRSELLAGRG -QRMSGSNPTYSMNTITRLAIFCTEMVVGKGVPLDKIREEVRRIMAGTHGWGDIGGTISGDDASFTSEEKD -VDLLRNTGEVLEEIGFPRKDMAPTQRAEVAESIEEIDFCSHHYERITYYDSETCRLVHKYAPTSRSSRNS -GKITYSSGRPG ->AKL90443.1 NSP1 [Guaico Culex virus] -MHIFILILILLAPFFFYFPIPRIGWNSRGVYRVGHASIEALALQLGRLSQLFSTSDLHLTYQRYKEFLNS -LNYAQFNAMKMFGVTATRRRFNKASRGYDKLFDLLIISRLKIYGKVLSTCCGRGGWEQVIAPNPSVESIH -AITFGSGPGRLGHENFTQKPFAGMHKVKLTYGDARSMAPQQPDFLLFDGGESHPNPNKEAQRFHELLVSV -TQKHLHPQLKGFIWKILVPTYPPTIKYLTEIQAKYGMGALYRSAHSRTSNIEMYFISTTPIDISRSIRTI -ASHIFMKASTKQTEPSREYTDVNTFVRPEISTKLNLLKPLNLNKSISELGSRVTEVGRNFAQWKSHGVYP -VGNRGSSNTNIPALAAQLTKGLVDNLPGLTSWLATDTTPRGFSKTFLQKIDIAPGESNPYENELTEIYQA -KADYYKSIGFKYREYSWEEVQQLANKQGAAHYIDIHYSNISDFFKNPDWISICEKVRSDLQSGTPTQAIF -SAIGKREKKLSPHGPKGSRMVAFLPIAMRLLELKIFGNLSEITHPEMNHFAVGGLGLHDLGMRIEERWVA -AAESTDIAAFDTRIGLVWQSLECMFLMRLGLPNIVKSMYRLYAFPHVLIPYPGVYTRSELVEGRGQRMSG -SKVTYDMNTETRNNITILRWVKALNIPTSEISMFVHEIMKPNNIWNIHGVTSGDDETSMFPHELAAKFSG -TFNIFHEIGFPRKDIPASMPNPIITEITDVEFCSHSYERITYYQESTGETAYRYMPTRSVHEIIGKARIW -LGGAHTGLESEAWISAQGNNLLVNYHHLRTCRALGMAYKAISNPKLILTANGSSFLKREWMREGEILDVI -NAILFGESTRYPKPSFSVEKLRHLGYLHVHRETGYDPDSMKRSRANWRDRLKPLCQEIITKFDTGGSMEI -FSDWRQNTLVLM diff --git a/seq/clusters_seq/cluster_553 b/seq/clusters_seq/cluster_553 deleted file mode 100644 index a76dc49..0000000 --- a/seq/clusters_seq/cluster_553 +++ /dev/null @@ -1,34 +0,0 @@ ->YP_009305096.1 protein F [Hepatitis C virus genotype 7] -MSTNPKPQRLPKETPSVAHRTLSSRVAGRSLAESTCCRAGALDWVCAALGRVRSDRSPGEDANVSPKLPL -HRVKPGASPGTLGPCMVTRAVAGQGGSCPPAALDLLGAPXTPGTARETSVR - ->YP_009272658.1 protein F [Hepatitis C virus genotype 6] -MSTLPKPQRKPKETPTVAQWTSSSRAAARSLVEFTCCRAGAHDWVCAPQERHPSDPSPEVGANLYPRRAH -LRAGPGVSLATPGPCMGMRAAGGQAGLCLPAALALLGAQTTPGVGPVIWVRSSIP - ->YP_009272646.1 protein F [Hepatitis C virus genotype 5] -MSTNPKPQRKPKETPTAAHRTSSSRAVVRSLVEFTCCRAGALNWVCARLGRIRNGRNPVDGASLFPRRAD -PRAGPGVNPGTLGPFTPMKASGGQGGCSPPEALGLIGAPMTPGGNPPIWVGSSIP - ->YP_009272634.1 protein F [Hepatitis C virus genotype 4] -MSTNPKPQRKPNVTPTAAQWTLSSRVVARSLAEFTCCRAGAPDWVCARLGRLRSGRNLVEDANLSPRRVD -PREGPGHNQDIHGLFTVMRVVGGQDGSCPPVALDRLGAQMIPAGGPAIWVRSSIP - ->YP_009272631.1 protein F [Hepatitis C virus genotype 3] -MSTLPKPQRKPKETPSVAHRTSSSRVADRSLVEYTCCRAGAHDWVCARRVKLLNGHSLADDDSLSPRRVG -AKAGPGLSPGTLGPSMVTRAAGGQGGSCPHAAPVHPGAQMTPGGGPAIWVKSSIP - ->YP_009272619.1 protein F [Hepatitis C virus genotype 2] -MSTNPKPQRKPKETPTVAHKTLSFRAAARSLAEYTCCRAGAPGWVCARQGRLRSGPSHVEGASPSLKIGA -PLANPGENQDTPGPYTGMRDSAGQDGSCPPEVPVPLGAPMTPGIGRATWVRSSIP - ->sp|P0C045.2|F_HCV77 RecName: Full=F protein; AltName: Full=Alternate reading frame protein/F-protein; Short=ARFP/F; AltName: Full=Frameshifted protein; AltName: Full=p16; AltName: Full=p17 -MSTNPKPQRKKPNVTPTVAHRTSSSRVAVRSLVEFTCCRAGALDWVCARRGRLPSGRNLEVDVSLSPRHV -GPRAGPGLSPGTLGPSMAMRVAGGRDGSCLPVALGLAGAPQTPGVGRAIWVRSSIPLRAASPTSWGTYRS -SAPLLEALPGPWRMASGFWKTA - ->sp|P0C044.2|F_HCV1 RecName: Full=F protein; AltName: Full=Alternate reading frame protein/F-protein; Short=ARFP/F; AltName: Full=Frameshifted protein; AltName: Full=p16; AltName: Full=p17 -MSTNPKPQKKKTNVTPTVAHRTSSSRVAVRSLVEFTCCRAGALDWVCARRERLPSGRNLEVDVSLSPRLV -GPRAGPGLSPGTLGPSMAMRAAGGRDGSCLPVALGLAGAPQTPGVGRAIWVRSSIPLRAASPTSWGTYRS -SAPLLEALPGPWRMASGFWKTA - diff --git a/seq/clusters_seq/cluster_554 b/seq/clusters_seq/cluster_554 deleted file mode 100644 index 587801b..0000000 --- a/seq/clusters_seq/cluster_554 +++ /dev/null @@ -1,86 +0,0 @@ ->YP_009508081.1 64-kDa readthrough protein, partial [Japanese soil-borne wheat mosaic virus] -RDGVSGKLRAQIVEDFQNRLVLADDLGVFPRRNLDGEVIRDEPEIIYAELEDKLLSFESSVYHPKAVTVE -TEVAVLPKMQMDLELGVVADDVEMTVVTEVLIDEESSYFWLYLLLLLLSTFLSCFIVLSRSFIHFLGNRS -GRGGPFCRKIATLLWGARLWLLRRAARRYGSLGIVRKRYTRAGMRKYLRDYNFQLDEWESAVDCSPGEAL -IVADQRMFVRAVNKVVDLEGDFDTVESVKRVFRIYNVRNYKVEKWLDKHTIRSALVVLNNVVKDDNLTLG -EGMLSLERASFDDVLGCDVEAQRNGMYFKDIIALQFAFRLVGTPEFSDFVIAYKGNLYPCYVDAIRRHSL -ILEKHHVDEDQKKRNEKALEWLLMAGQGAFVLSAVVSTGFAAVKIHKWIKSRMLLRALQMLPSVGGGGND -GGGGFSEEALQRFAVGRNFEERLAALHTGLDMAAENLESFSPEDMQVEIQHVIQAHVEGSYHAADLPFLG -NVPSAASVVVDSVADSSSVGSDVCSADTSISLGVASGARRRAVASNTRMYPSAGVVSYGNFESPRVSGRS -GIGSMKMKIASGPMAS - ->YP_009508080.1 capsid protein [Japanese soil-borne wheat mosaic virus] -MAVKNGYTGYNKELNLMASIHPFIRLSTLISQIEGWQATRASILTHLGVVLNGVSKLGERNFFSRQKRFG -THTQDGDEIFCDLGGEAVMQTIARLTVALQSARGEGSQTRNAKRGTAPTTNQVEGEEQGQTDQTLAISNA -LAEFMAFIHTKDFTMNECYTQSSFEAKFNLKWEGTS - ->NP_659546.1 hypothetical protein [Sorghum chlorotic spot virus] -MEKLKGQIESKQRGTISEVQPQKSVTVSIQMAVEAGYDTWNAEINRIAVRHAFIRYNTLLATVQDWTKTR -TSLVAHVGTVLAALSTLGTRDFFSRKKRFAFAEADGDIIFCDLSDEGTQQAMNRLKTVLMAVKGEGLKAR -NAGRASGTGTQAQETEDTSGNDTALAIANALVEFQTHIDSDLFLKNHCFTQDQFEARYNLKWSGK - ->NP_659023.2 CP readthrough protein, partial [Sorghum chlorotic spot virus] -MAVEAGYDTWNAEINRIAVRHAFIRYNTLLATVQDWTKTRTSLVAHVGTVLAALSTLGTRDFFSRKKRFA -FAEADGDIIFCDLSDEGTQQAMNRLKTVLMAVKGEGLKARNAGRASGTGTQAQETEDTSGNDTALAIANA -LVEFQTHIDSDLFLKNHCFTQDQFEARYNLKWSGKXWGGVLSASRESVVENAVLRRLTAKDLGLLPRRDT -NGTVLQDGPDILYEELEDALLASNTSVYNPHAAIEEYEIDTGDGEQLRHEVSHTEDSDYRILYLFLILCA -ILMTLAMMLSRAFVNFLYNRRDHRRFGGPCERCARRLWRFRLWLVGLAMRRYGDLQVTRKRHTRSAMRQY -LNSYEDQTDLWQSYEGVTPEEALIVADQHLFVDAVDQLASGGGDLQCVRTAQTISERYGVKNPALQDKLD -EFARRLAIQVRFEDTDATPGFLDLRNASMQEVLDCDLSEQTINPQYNQICALQHMFAMVGKPAFTDFVIA -YKGTYYPCVIEAQRKHAEMLGTDPDDKWGPLEWMYCVIMVGSLASFGFATVKGIVKLSQMVKNWLRLRAL -RALPSVTHGGDGGDGGLPFFEMEDFTARLRVLNEFVANMGEQVVDTSVEDLRRDLVSVLTAHSGSEPPAV -DRPYLEGVGIGREVDELPASTEIEGEQGESLFDPGLRIKRWETEAAVPKGVGGFSSFIRTSGSVSGRARM -SAQNSVSRVKLRNASMMKSKRLMAA - ->NP_059485.1 coat protein readthrough [Oat golden stripe virus] -MPVTPGYTGYNKELNVMANTHAFIRMSTLMNQIEGWQSTRASVLSHLGIMLNAVSKLGERNFFSRNKRFG -THTQDGDEIFCDLGGEAVGQVLGRLTVALQPAKGEGTQTRNSKRGVPPAAGQVEGEEQGQSDQTLQIANA -VSDLMNYVRTKDYTMNECYTQDSFEAKYNLKWEGSAXRGGVSEKTRVQVVEELADRIVLADDLGIFPRRG -ENGFIIVDEPEVLYAELEDKILSFEHSVYHPRAITVETEVQPLTVKTADSENLVMMDEEDSIIVTEVLVD -DESDYFWLYLLLLLVSVLISLFILLSRPFILSLGNRREVFGGDICYKIAEWLWKVRMWLLKRAMYRFGNL -GVVRKNHTKSAMRRYLRDYNFQLEGWETAINCSPGEALIAADQHVLVQEVRELLDLEGNLMSVEKIRKIF -ENYNVNNYKITKWLDKQVIRHALLAVNETLKNDEITLEEGMLNLACATFDQILECDVETQRGSMYFGDLI -ALQFAFRLIGTPEFSDFVVAYKGNLYPCYVEAIKRHASKLELQHAEDDDRKRSEKALEWLLMAGQGAFAL -SSAMETFTPAEFQDEMRQVVQAYADTTFHEADRPLLSGLGASSIGDVASEFVTGDISAGTDVETSSSLSI -GTASRARKRSIARNIRMYPGGAVAHGGVKAPRVSGTSGVGGMKMKFTSKPMAS - ->NP_059482.1 coat protein readthrough [Chinese wheat mosaic virus] -MAVKSGYTVFNRELNVMANTHAYIRLSALLSQVETWQSTRTSVLSHLGIMLNGVSKLGERNFFSRSKRFG -THTLDGDEIFCDLGGEGVSQVLTRLTVALGAAKGEGAQSRNAKRGAPPAAGQIETEEQGQTDQSLAISNA -LGELMTYVSSKEYTMNECYTQDSFEAKYNLKWEGSSXRDGVSDKSRVQVVEDLSNRLTLADDLGILPRRD -NMGMIIKDEPEVVYSELEDRLLSFEHSVYHPCAITVEREISTTSDLLVVPDTEDVEFVENDSVIVTEVMV -DDESNYYWLYAVLLIISFLITGFIALSRSFIHFLGDGRQGPGGQTRYRIASALWAVRLWLLRHATLRFCG -LGVVRKTHTRSSMRRYLRDYNYQLDMWEAAASCSPSEALIVADQHIFVSGVMRLLDYEGDLTCVGDIRKV -MTNYGMKNFSFEKWLDKQTVSCSLVVLNDKVKNDDVHLDENMLSLENASIEEVLACVIETQRGSLYFNDI -IALQFAFKLIGTPEFSDFVLAYKGPLYPCYVEAIKRHGDELAKWRVDEDRNRQSEKAIEWLLLAGQGAFV -VSSGVTVGVLIYRIHKWLKKRLLLRALQSLPSVGGSSGGGGGALPMSVLNQFGQALTFDERLAALQHHLD -LGEDNLDYCSSTDLKQEVANVLATRADDAFHVADVPYVVDVVRSVGSVSTNLGGSVVGGVSARVSDGASS -SLVLSNARSTKSRRRSVSKKDRVFPGGYSVYSDMLPSKIGGKSGVSSLKMKIASKPLAI - ->NP_059146.1 coat protein readthrough [Soil-borne cereal mosaic virus] -MDKLTRLKGEVDRSLQKAADKVTAESTESLQSKVVVQLPQMAVKNGYTGYNKELNLMASIHPFIRLGTLI -SQIEGWQATRASILTHLGVMLNGVSKLGERNFFSRQKRFGTHTQDGDEIFCDLGGEAVMQIITRLTVSLQ -SAKGEGSQTRNAMIGTTPTTNQFEGEEQGQTDQTLAISNALAEFMTFIHTKDFTTNECYTQDSFEAKFNL -KWEGTSXRDGVSGKLRARIVEDFQNRLVLADDLGVFPRRNLSGEVIRDEPEIIYAELEDKLLSFESSVYH -PKAVTMETEVSVLPKLQTDLETGNAEEDIETTVVTEVLIDEESSYFWLYLVFLLLSILISCFIALSRWFI -CFLGNRGGRGGPFFRRIATALWSARLWLLSKAARRYGNLGIVRKRYTRAGVRRYLRDYNFQFDEWESVVD -CAPSEALIVADQRMFVRAVNKIVDLKGDFDAIEIVKKTFLNYGVRNYKVERWLNRHTIRSALGVLSDVTR -REVLTLTDGMLSLENATLDELLGCDVESQRNSMYFKDIVALQFAFRLIGAPEFSDFVMAYKGTLYRCYVE -ATKRHTSMLEQFHVSEDQKRRNEKALEWLLMLGQGAFVFSAAVTAGVTIFKIHKWIKSRMLLRALQMLPS -VGGGGNEGGGRFSEEALNRFAASQTPEERIEALHTDFDTAADDMESFLPEDMQAEIRHVIQAHVDGTYHA -ADLPFLGDMSDAVVPAMADSVVDLSNVSSDVGSVDTSVSSVTSKVRRRAVASNTRIYPSVGNVRYSDIKS -PRVSGKSGISSMKMKITSRPMLAS - ->NP_049338.1 84 kDa readthrough protein [Soil-borne wheat mosaic virus] -MAVNKGYTGYNKELNAMAATHAYIRLSTLMSQIESWQATRASVLTHLGVMLNGVSKLGERSFFSRTKRFG -AHTSDGDEIFCDLGGEAVTQILSRLTVALQSARGEGAQTRNAKRGAAPGTSQVENEEQGQTDQTLAISNA -VAELMIFVRTKDFTMNECYTQDSFEAKYNLKWEGSSXRDGVSGKLRAQIVEEFQNRLIIADDLGIFPRRD -VDGVIIRNEPEVVYSELEDKLLSFESSVYHPKAVTVEFEVPVATGTTTTDDEITTEDVEMVIVTEVLVDD -ESDYYWLYFVLLLTSFLITCFILLSRRFIHFLGNRGGPGAPLCRRFATRLWSIRLWLLRKATRRYGNLGV -VRKTHSQTTMRKYLRDYNFQLEEWETAIGCTPREALIVADQHILVRAVQRIVDSVGDVDSVDAVRKVFNG -YKVRNFKIEKWLNKQTVNSALAVLNDSTVPENVVLSEGMLSLQTATFEEVLRCNVESQRESAYFNELIAL -QFAFRLVGTPEFSDFVLAYKGPAYPCYVEAINRHGTCIIQHHVDEDQKRKNDRAIEWLLMAGQGAFVVSS -VVTTGVVVFKIHKWLKQRLLLRALSMLPSVGGGGNGGGGGSLPPQALELFDRAGTFEERLAALQNGLDLS -PENMEVFTPEELKTEIRHVVQAYADSSYHVADEPYLRGVGVTVVTGLPEAVGEITTTGSEVSSVDTSISL -GVPGRARRRAVSKNTRMYPSTSGQSYNSYKSPRVSGKSGVGSMKMKIASKPMAS - diff --git a/seq/clusters_seq/cluster_555 b/seq/clusters_seq/cluster_555 deleted file mode 100644 index 93cb7c5..0000000 --- a/seq/clusters_seq/cluster_555 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009507901.1 NS4 [Maize stripe tenuivirus] -MALMKLFSRSNGKVLVDDLSEEGQKRLDLANNKKKLLSARPLTKGRMSIDQAATVLGLEPFSFADIKVNK -YDMFTAKQDYSIKANRVATFCIAVDPFWFHKPLTYYPFFRIATFAMVWIGVKGRAAGTTTLKIIDKSYVD -PQDQVEVEVTYPICKNFAVLGSLPNFLALEDKTNLRVSVSIQGATVQNCVISRALWFWGIERTDLPVSMK -TTDTVMFEFEPLEDFNVNHLSSFSKFTTNVVQKAVGGAFVTKSFPELDSQKEFGVVKQPKKIPIMKPKRS -IFD - ->YP_009508263.1 putative [Echinochloa hoja blanca tenuivirus] -MSPARYNPFKKSTLLTDDLSEKAEKKYEQKNKKKLALKTRPLTKGRLTIDTAATVLGLEPFSFADVRANS -YDMFVAKQDYSVCANRRTHFTIDSSPLFFRKPLQTFPFFRIATFAIIWLGIKGRANGTVTFRIIDRSYKD -PVKQVEVGICYPMAKTFAVLGSLPNFMSYEDSEKMQVEIVVKDDSVENCVISRSLWFWGIERTDFPVHME -PQKTVMFEFEPLPDRTINHLSKFKNFTTDVVQRAVTTAFTTKQALEEEPGIEFGVMKQPGVPLVQNKRVM -IEA - ->YP_009507914.1 unknown [Urochloa hoja blanca tenuivirus] -MSLTRYNPFKKSTLLTDDLSEKAEEKYERKNKRKLAIKNRPLTKGRMTIDAAATVLGLEPFSFADVRANS -YDMFVAKQDYSVCANRRTHFTIDSSPLFFRKPLQTFPFFRIATFAIIWLGIKGRANGTVTFRIIDRSYKD -PIKQVEVEVCYPMAKTFAVLGSLPNFMSYEDKEKMPVEIVVKDDSVENCVISRSLWFWGIERTDFPVPME -AQRTVMFEFEPLPDRTINHLSKFKNFTTDVVQRAVTTAFTTKQALEDEPGIEFGVMKQPGVPLVQKKRVM -IEA - ->YP_009507895.1 pc4 protein [Iranian wheat stripe tenuivirus] -MSLAKFTSFGKSTLLTDDLTEKAAEKHERSNKKKQAMSSRPLNKGRLTIDTAATVLGLEPFSFADVRANS -YDMFVAKQDYSICANRKAHFIIDSSPLMFRKPFQTFPFFRVATFAVVWIGIKGRATGTVTFRIIDKSYKD -PTKQVEVEVCYPMSKNFAVLGSLPNFLSYEDADKMQVEILVKDDSVENCVIARSLWFWGIERTDFPVPME -PQKTVMFEFEPLPDRTINHLGKFKNFTTDVVQRAVTTAFTTKQISEIDPGVEFGVTKQPGLVPLIKKRQP -ELEI - ->YP_009449444.1 movement protein NS4 [Rice hoja blanca tenuivirus] -MSISRYNPFKKSVILTDDLSERAAEKFEKKNKRKLALKNRPLTKGRMTIDAAATVLGLEPFSFADVRANS -YDMFVAKQDYSVCANRRTHFTIDSSPLFFRKPLQTFPFFRIATFAVIWLGIKGRANGTVTFRIIDRSYTD -PERQVEVEICYPMAKTFAVLGSLPNFMSYEDADKMQVEIVIKDDSVQNCIISRSLWFWGIERTDFPVPME -SQKTVMFEFEPLPDRTVNHLSKFKNFTTDVVQRAVTTAFTTREALEDKPGIEFGVVKQPGVPLVQKKRVM -IEA - ->NP_620519.1 32K protein [Rice stripe tenuivirus] -MALSRLLSTLKSKVLYDDLSEESQKRVDNKNRKSLALSKRPLNQGRVTIDQAATMLGLEPFSFSDVKVNK -YDMFIAKQDYSVKAHRKATFNILVDPYWFHQPLTHYPFFRVETFAMVWIGIKGRASGITTLRIIDKSYVN -PSDQVEVEVRYPISKNFAVLGSLANFLALEDKHNLQVSVSVDDSSVQNCVISRTLWFWGIERTDLPVSMK -TNDTVMFEFEPLEDKAINHLSSFSNFTTNVVQKAVGGAFTSKSFPELDTEKEFGVVKQPKKIPITKKSKS -EVSVIM - ->NP_058538.1 36.4K protein [Rice grassy stunt tenuivirus] -MALLQKLGSSKVSSKRMSPAMIPLDSINQDLVDPQQEKDAKNKKEGKKKDLDVSMDPLTGKLPLGKKKQV -DTGGIAYLENALMQLDLHDFSFDSIRPRTKTFHMKRQHFKISTVNSRFRLDVEKTGLFSKTLKYSRICTL -CLAFLGIKNRAQGTISFTFRDLSYLSENDQIDFKVKNRISKSFSAIASFPAPIFNDDLGNLICDFEIENA -SVNGVVIGDLLVLLGIEQSDLPVCYEPQKAKIFEYKPLTEKGLNKISNFAGYVDNVLKAAINHREGEDDG -FSTEGLGVLVHPRVKQIDNSIPIKSLENKPQKMLMRDGSYLDVNP - ->sp|P34961.1|MVP_RSVM RecName: Full=Movement protein; AltName: Full=Non-structural protein 4; Short=NS4; AltName: Full=Protein pc4 -MALSRLLSTSKSKVLYDDLSEESQKRVDNKNRKSLALSKRPLNQGRVTIDQAATMLGLEPFSFSDVKVNK -YDMFIAKQDYSVKAHRRATFNILVDPYWFHQPLTHYPFFRVATFAMVWIGIKGRASGITTLRIIDKSYVN -SSDQVEVEVRYPISKNFAVLGSLANFLALEDKHNLQVSVSVDDSSVQNCVISRTLWFWGIERTDLPVSMK -TNDTVMFEFEPLEDKAINHLSSFSNFTTNVVQKAVGGAFTSKSFPELDTEKEFGVVKQPKKIPITKKSKS -EVSVIM - diff --git a/seq/clusters_seq/cluster_556 b/seq/clusters_seq/cluster_556 deleted file mode 100644 index de8ba85..0000000 --- a/seq/clusters_seq/cluster_556 +++ /dev/null @@ -1,53 +0,0 @@ ->YP_009513004.1 phosphoprotein [Jurona vesiculovirus] -MSALKNVLKSYPNLKQTFDELNEMEENGSVLQNPTNEDVSVEHPPLYHSLDILSESSEEDTEEESEVEDL -GEMENVSVDDEKDQSEEIDDDNFHVSFNEKLPWTAMTQKTVNGQLRVNMSAPEGLNELQFEQWVSSIENL -MSLSKSLRLHSAELSIIEDGIQIDEKLNSCLSRTSCFKALPEFKDPAGEKKGSENLTIASSPSGSCESES -SDLSGLPSLHQEEIKNMIEEKFILRSEDKKCKEYHTSFAELFGSKEAALAFLNGNPVGIDELVCAGLKRR -GIFNRMRIKYVLKPIFK - ->YP_009505533.1 phosphoprotein [Piry virus] -MSSRGRAIQKALANYPDFNQTLSALNEMEEQTEKSFSTFTTLSASNGSSPEYFLGSMLKESDESESESVD -DDESVNDDLSPENAVEPYKGSEGEDSFGDKDETVFFEEDLPWSAMVQKTVNGKLVAELSAPQGLTPKQLS -QWTDSVLALMDLSKNIRLSSAKIDYLASGLKITEHMSSCFSSTAPPLLKEFQPVTLSHRDTSPERGPSSR -PSRPTVMPPARTLILENTPSTPTPESTSSASGSPLNLPEIKPPKDWASIAIREFSLNPLSGDGPQYKGTL -ARLFGSLESALQYANGGNPSTKDMLIAGLRRKGIFNKIRIKYFLDPIYD - ->YP_009094385.1 phosphoprotein [Perinet vesiculovirus] -MSRLLETFKNYPNLEKTMEEINDIEDSTSQEEKKVTLVLSEEPSYFLAHMLPSEEDETDEEGGGQVDVNP -YEDAESSDLNEDEFEVNFDKKPWTALTEKVVKGNIRIDMMHPEGLTDAQFTQWVQGIQALLDISHHVDLN -RLKLGQTSDGIVLIEEKPKRPSSPSLKLELKEFVSPDSRFGTPTDNSSESSLGLPPTPEKVNWKQTVEII -LEVKALDPDLPSYKTTLSKLFGSQEAALQYCNSGSPMLKDAFIAGLKRKGIFNRMRTKYILDPTLPM - ->YP_009094175.1 phosphoprotein [Malpais Spring vesiculovirus] -MSKIVELLKNYPNIMNTMEDIESLEGEVNPKAASVVPGSSKEEPTPSYFLADMLPEEEGEDPKGGVEAGE -EEGSTAGDYDDYAVQFEDREWVAGTEISHDGTKHYVITNPVKSNRELTKKWTAGIVGLLKHIEEGAGIKI -NCEEVEQGIKCQMVTSCPPHDANDTSSSSGDSETCSSPTSDKSKNSTTISSVPDFDHPSIIDLIDRDITL -PSLDDSKPGFVLQLPRLFGSREAAINCCKEGEVSIKSAVVAGLRRKGIYNKIRIKFDLDKIQF - ->YP_008767240.1 phosphoprotein P [American bat vesiculovirus TFFN-2013] -MDPAKRLEHYSNIQESLSDMEKIAEMENPSSVPTDNVEHDYRSEDYLYGIPADIDPEEDSPEPDNTDPDE -EYKVHFEGDLXTYMFKFPGNLSSHQTTVLSASMDGMVDWLKKVQSFLLTYQFKPGIGILLTKSDAASNTE -DNVDPAEVPGPSGAETDLDQKDYIDKTFRLENIKGGPPFCSTVSDLFGSREAALTSLQTTKSIDMTIVEG -LKRKRLFNQARVKYRMAPVWKD - ->YP_007641383.1 phosphoprotein [Isfahan virus] -MSRLNQILKDYPLLEATTSEIESMESSLADDVITSNDDEIQSVSPQYYLRDMFKASITEGPDDDFPPVPE -VENDIILDDDEEYDGYKVDFAEARPWTALTQKNIDGRMNLELMAPENLTDAQYKQWVESVSSIMTISRQI -RLHQAEIMDTSSGLLIIENMIPSIGRTSEFKSIPEHIPPSPTSDHTTPPSSLRSDTPSQTSSSSMGLPDV -SSASDWSGMINKKIRIPPVVSSKSPYEFTLSDLYGSNQAALDYLSGSGMDLRTAVCSGLKQRGIYNRIRI -QYKITPEFV - ->YP_007641378.1 phosphoprotein [Chandipura virus] -MEDSQLYQALKNYPKLQDTLDSIENLEDDTKSEPSECGSPTERGIPSYYLAEELDECEEEDSEDDDDNLP -TEIPDPPTVDMLEAIMEDEIDDTAYQVHFEAKQTWKPVIETGGNERGKFTLSVPQNLSALQLLQWETGIH -ALAERLGGCRLLQISTRGTRDGIEFTVRETPCVSPASDPIPSTSRSSSIASNVSTRQTESPGSKSNTSLG -IPEVPANLIDIGAIDKEFILAAISPSDPPYKNTLRNLFGSGDSFEQYNQTGIYSLKELVVAGLKRKGIYN -RIRIRCHLEPQFN - ->sp|P16380.1|PHOSP_CHAV RecName: Full=Phosphoprotein; Short=Protein P; AltName: Full=Protein M1 -MEDSQLYQALKNYPKLQDTLDSIENLEDDTKSEPSECGSPTERGIPSYYLAEELDECEEEDSEEDDDNLP -TEIPDPPTVDMLEAIMEDEIDDTAYQVHFEAKQTWKPVIETGGNERGKFTLSVPQNLSALQLLQWETGIH -ALAERLGGCRLLQISTRGTRDGIEFTVRETPCVSPASDPIPSTSRSSSIASNVSTRQTESPGSKSNTSLG -IPEAPANLIDMGAIDKEFILAAISPSDPPYKNTLRNLFGSGDSFEQYNQTGIYSLKELVIAGLKRKGIYN -RIRIRCHLEPQFN - diff --git a/seq/clusters_seq/cluster_557 b/seq/clusters_seq/cluster_557 deleted file mode 100644 index 92a476f..0000000 --- a/seq/clusters_seq/cluster_557 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009508874.1 coat protein [Tomato necrotic streak virus] -MSNTSNAIEVNGKWYSPMNNAPANRGGRRKPTARSRNWAQQQRSQPSDMMVGSMPIGLPTWKSFPGEQWH -EVSGFEFPTSWGSGDIAYASMRTELGKIKTLHDTTKVYSVMYGFICKADGYAGFKDDFDPSNATGPNAPN -RIRVKAGKYCARQMVFQPGTTVSDVKSSYNFVWQFDAAPAANAPNMVKVVKFYVSTTPLPGVKPPSNFLV -CEE - ->YP_009507939.1 coat protein [Lilac ring mottle virus] -MSTAVVRVLPRGVGKPTQRSRNFAAQRQRSNAMQATQPMIGSIPVALGYSLPVQFPGSEWHKISGYSFGS -TSTNYVYYQTFEAELKKFPDLIHANTVVYSVLIGFTVKADGYPGFDEAFDSTALKEPEAPNRHRFLSSKY -CGLEKVFPAGTVASDLNGSHAILWVIDAAFPSTMNANSGIKVHSIWWQTAKLPPMKPPQNFLQCEK - ->YP_002455927.1 putative coat protein [Asparagus virus 2] -MSGNAIEVNGRWYTPAPNNAPTRGRGGRRQPTARSRQWAQGQANARRSQPQALMIGSVPTSLPTWKSFPG -EQWHEVSGYSFPNSWGSGTLAWLTMANELNKIKTLHDTTKVYSVMLGFTCNYDGYAGFVEGFSTSNQIHP -IAPDRIRVKKGKYGARQQVFPTGTTVSEVRSNWTFVWNFDAAPPTGSKDAITVTKFYIATSPLPGVKPPS -NFLVVEE - ->YP_001285481.1 coat protein [Citrus variegation virus] -MSGNAIEINGRWYQPAPNSAPTRGRGGRRQPTARSRQWAQGLANVRRSQPQQLLVGSMPTNLPTWKSFPG -EQWHEVSGYSFPDRWGSGTIAYMTLRSELSKIRTLHDTTKVYSVMIGFVCKSDGYAGFMDGFDVNNATGP -VAPDRIRVKRGKYCAKQQVFPTGTTVSEVKANWNLVWDFDTAPATGAVHEISITKFYVSTTPLPGVKPPS -NFLVVEE - ->NP_620757.1 coat protein [Tulare apple mosaic virus] -MSNFSNAIEVNGKWYSPVNAPPNRGGRRKPTARSRNWAVQQRSQPPNMVVGSMPINLPTWKSFPGEQWHE -VSGFEFPASWISGDIAYASMRTELGKIKALHDSTKVYSVMYGFITKAGWLCRLKDDFDPSNATGPNAPNR -TRVKAGKYSAKQLVFPPGTTVAEVKSAYNFVWQFDSAPASGTANVVKVVKFYVSTTPLPGVKPPANFLVC -EE - ->NP_620681.1 putative coat protein [Spinach latent virus] -MSGNAIEVNGQWYSPVTNNNAPSRGRGRGRGPTARSRNWAQSRANAARSRPQTLVIGTMPTNLPTWKSFP -GEQWHVVSGFSFPDRWNNGNIAYASMKTELGKIKTLHEITKVYSVMYGFICKSDGHAGFITGFDTNNPTG -PVAPDRVKVKKNAYCAKQQVFPAGTTVSELKNDWSFIWEFDAAPVVGTEKQVTVTQFWVSTTPLPGVKPP -SNFLVCED - ->NP_619578.1 coat protein [Elm mottle virus] -MSGNAIEVNGRWYQPAPNNAPTGSRGGRRQPTARSRQWAQQASVRRSQPPLSVNSVPALTWRSFPGEQWH -EVSGYSFPDKWSAGTLAYMTLRAELGKIKTLHDTTKVYSVMIGFVSKSDGYAGFMDNFDANHATGPVAPD -RIRVKKGKYCAKQQVFPTGTTVSEVKANWNMVWDFDTAPATGSEREIFITRFYVSTTPLPGVKPPANFLV -VEE - ->NP_613280.1 coat protein [Citrus leaf rugose virus] -MANRSNAIEVNGVWYNRADNAPVANARGRRRPTNRSRNWAQGQRSQPQRMVVGSMPIDTITWKSFPGEQW -HEFSGFSFPDAWGSAKIAYASMRTELGKIKSLHHTTKVYSVMYGFTCKADGYAGFMDNFDSANATGPVAP -NRIRVKAAKYCARQLVLPPGSTVADLRDNYNFVWEFDAAPAAGTANIISVTKFYVSTVPLPGIKPPPNFL -VCEMDDK - diff --git a/seq/clusters_seq/cluster_558 b/seq/clusters_seq/cluster_558 deleted file mode 100644 index 5ab6784..0000000 --- a/seq/clusters_seq/cluster_558 +++ /dev/null @@ -1,97 +0,0 @@ ->YP_009507784.1 nonstructural protein [Pulau reovirus] -MSLSWGDRPIAISTSSQSAATVRAASASFMASSTPMSTQRRSVLFKFVSGGDLVMTSGHLSPMDDWLADH -QAALNSAAALLSDRDSLARLEHPEKYLLRREHQPSEIVNRIRLYMSDVMKKPLSPETIPELVAHISTPAT -VVDAPSSAQTQVSDVVVTGPVPITVSETPARYDEEEYNAARGKFLTHGVADLSTDTPGLSSFIREYWETV -PTSTNGQWDSASKTGLLIRAPEDPLDSQTLFSLSWEKDLLLLSVSGVTVASFDVRDVSVLAPEHQRNGDN -SEYARLTSTKHSVVFFTPRAIRWMIDNDCSDSMVSPRYIRVCLGFDPVFARWTGDGVSECAMLMDDRLKS -IGKQRMVKRCLGYLRDSPSLLLVGACLGGAADRFINQYSPSAVDRCGYSLAPLPHSTPVPSEEIQRLNDE -IQLLKAKLSASAEMIKSSSQVASTPSKLLSRISELTRQNKELLLRQSDFERSGSAQLLSYLEAHVCVNAK -PFECDLLTKVGLDSMDVTRIRTEREMNRVRFERRLSSAAIAEMKPEMDSLKAQLETQQSELEEVIDQCLF -KDKTISDLESQVTALKEELRVMSNRAVALNAENHRLSVTTKTDAGWATPTDQPAYETPTRPPSKFDLVDE -L - ->YP_009246468.1 mu NS [Mahlapitsi orthoreovirus] -MLKEKRVLSLPRRVQSTSKPLVARALSSESEESSSASLPPVAFQSSMAGKTLPRAERGSRITINYLDSEG -KSVSVSDAVPPSLSFLLSHQTLLSSLVEHLSNPSRRWKASLKETCLIRRDMQLSEMINRVQRYVHGMYQI -KLTESNMSRIQQHLDDEQLKMLSETGELPLNSPVEQPSDTSVEEQSVQVAIERSLEDAVLKSYTAQSPGR -YPAEQYNQARGHFLTHTLHDMKGENPAAEDYIDKYYAIVPVNIKGQWQTSRDVGMLLYVPNERLDLQTVF -LTEMTTSQLTLKADDVAVARYDLFDVTQLAPEHKQLVQAGRRGESAYNHLSARAMVIFVTPAAISWMMEN -DCTDSMLNGQHIRPCVGFDPFYTRLTYHGVVEAAILLDDKYTPLSKQRMVKRVLDYAPGNPARDIFLRFY -RLNWRSFADQYSPVVTGAQQNWGLSQLVWKEDHDTLQAQVESLRDQNSSLVVQLAETSERCRPVPAPRKC -NLKPKIDGSNQLNVYLDEHKCINATKNEHNLLTALNVEPSKVVHLFDVRKRVHAEVTEKYRVMGTHEAYE -NIRNDTTVTDQLNAQLRELADRCRALEEAIIQSDLDRQRLENDLDATERENIKLQHALAVSAKKLHQISH -ATQRAETEGERFETPHLIVDPLPPPTMNLNELADDL - ->YP_009110700.1 nonstructural protein [Cangyuan orthoreovirus] -MSLSWGDRPIAISTSSQSAATVRAASASFMASSTPMSTQRRSVLFKFVSGGDLVMTSGHLSPMDDWLADH -QAALNSAAALLSDRDSLAHLEHPEKYLLRREHQPSEIVNRIRLYMSDVMKKSLSPETIPELVAHISTPAT -VVDAPSSVQTQVSDVIVTGPVPITVSETPARYDEEEYNAARGKFLTHGVADLSTDTPGLSSFIREYWETV -PTSTNGQWDSASKTGLLIRAPEDPLDSQTLFSLSWEKDLLLLSVSGVTVASFDVRDVSVLAPEHQRNGDN -SEYARLTSTKHSVVFFTPRAIRWMIDNDCSDSMVSPRHIRVCLGFDPVFARWTGDGVSECAMLMDDRLKS -IGKQRMVKRCLGYLRDSPSLLLVGACLGGAADRFINQYSPSAVDRCGYSLAPLPHSTPAPSEEVQRLNDE -IQLLKAKLSASAEMIKSSSQAASTPSKLLSRISELTRQNKELLLRQSDFERSGSAQLLSYLEAHVCVNAK -PFECDLLTKVGLDSMDVTRIRXEREMNRVRFERRLSSAAIAEMKPEMDSLKAQLETQQSELEEVIDQCLF -KDKTISDLESQVTSLKEELRVMSNRAVALNAENHRLSVTTKTDAGWATPTDQPAYETPTRLPSKFDLVDE -L - ->YP_009020575.1 muNS [Reptilian orthoreovirus] -MSGSRRLATLSRQKVDSSTKKKEKDIPSVPGALQFLKANKQTLAPHSSADGDSVPSNLSSQTTKASHIPS -IPAAAFLKYQEDSLVDPTMDLAIGFKYKTTDDKIVCVTGKLPPSKAYLTLHTSFLSQFATYLCDENKQWL -ANMKSKCEIKPNMPLEEILNRMNQYAVTAYQLKLSEQNLPRIQDQLDKEATELMEMNMRDTMDGPLVLSK -DSSSHVELDDLELEMLSKQEEDAEMMKCLALSAAEDRLKKSVKLAPLDVKLGEDEPVFKLRSTKSISKFF -KSPTSDANDTDIPQRYQQVPVPTPRRVHVSDLPGRYDAEEYNTARAAFMTHRVYDLKSDNSASKDFISRY -FGIMPRSRNGLWQSPTYTGVVFHAPGEDLSLQTVFIPSYEDGVMSLMADSTVVARFRIFDIADLIPEHAS -SLKEDGTRDSALERLLASNTVPCFTANALRWMMDDGRTDSIINKLSIRACIGFDPFYTRSTHDGLTEAAI -LMDDKWTLKSKQRMAARVAKLCAPSPAATLFHMSLKGRWEKVQSEFDRSGYVLGVLAMYLSQEQTQACSS -IAVEKLNVEASQRETAAALQRANDQTHRDLESAVSSVKTLKEAIRRQATAQVDLEDEVARWKGVLMTYID -KHECLNTNKSEAELMITSGYPRSNVRNVMQARAALKQEVISKYKDMGADEALEMASCEQMKLRDQIDQLT -AERTALEDDLARVIHELELSREERTRLENDYLALEHSLCMSAAKTHQIAHSTKLEHKDGDQWQTDALDID -PLPAPCETAAAVDLNEFCSDEW - ->YP_007507321.1 nonstructural protein [Melaka orthoreovirus] -MSLSWGDRPIAISTSSQSAATVRAASASFMASSTPMSTQRRSVLFKFVSGGDLVMTSGHLSPMDDWLADH -QAALNSAAALLSDRESLAHLEHPEKYLLRREHQPSEIVNRIRLYMSDVMKKSLSPETIPELVTHISMSAT -VVDAPSSTQTQVSDVVVTGPVPITVSETPARYDEEEYNAARGKFLTHGVADLSTDTPGLSSFIREYWETV -PTSTNGQWDSASKTGLLIRAPEDPLDSQTLFSLSWEKDLLLLSVSGVTVASFDVRDVSVLAPEHQRNGDN -SEYARLTSTKHSVVFFTPRAIRWMIDNDCSDSMVSPRHIRVCLGFDPVFARWTVDGVSECAMLMDGRLKS -IGKQRMVKRCLGYLRDSPSLLLVGACPGGAADRFINQYSPSAVDRCGYSLAPLPHSTPAPSEEIQRLNDE -IQLLKAKLSASAEMIKSSSQAASTPSKLLSRISELTRQNKELLLRQSDFERSGSTQLLSYLEAHVCVNAK -PFECDLLTKVGLDSMDVTRIRTEREMNRIRFERRLSSAAIAEMKPEMDSLKAQLETQQSELEEVIDQCLF -KDKTISDLESQVTSLKEELRVMSNRAVALNAENHRLSVTTKTDAGWATPTDQPAYETPTRLPSKFDLVDE -L - ->YP_004769552.1 major nonstructural protein [Baboon orthoreovirus] -MSGRVVKAPSRRLSSMSVSGNVSDGNNQFFQFSYRNTDGSVVEVGGRLLPSQAYLQFHKNLVMDLLQCIV -DNSLNLSEAQLAICKIKPGLPFQEIINRLQRFVMIKFNLKMSEDTMLTIKGKLAQNDKRESQPSETASAL -PSPKDKEEEEMHLQIAIKNSIETLNSDERYSPQDEVIRPVPKPRTFVHKSFSPGRFDPEIYNASRCAFMT -HNYEMLEADNDASTDFLSTYLQIIPRHNGDSWIKHAYIGQLIIHPHEVLDVQTTFNLEYDHSQIVLMSDK -LEVARYDTHDVSDLIREHNQFVIEGNPTESKYGKLCAKHKIIFFTPMALRYIIDKNIADSVVNGQHIRVC -VGFDPYYTQMTQDGVNDCAYLMDDKHTKISHGRMLRRVWNNAPINLANRWFQDNCLGKSECLSQYYQLNC -KVSDSYDVICEAHQIEKHHATMDEKLDQYLENHTCVNTNGREIKLLQKMMVADDVIDRIKSRRSYKHKEI -TEKYIQIGREMIADTGTDFKAMYEDLEAIYSDLMKTVEEQTELIETLQARVETLEIDKNQLEHSNAVMAK -KYYQISTSTRRPSSDSLEYETPRLDVDPLPDLDDHDYLEDDEI - ->YP_004226526.1 mu-NS protein [Avian orthoreovirus] -MASTKWGDKPMSLSMSHDGSSIRSAASQFLSVPLSHSTPIPPQRKTVLLKFMIGDDLVTVQGALAPFDEY -WYDNQPLLAQAVEMLASEDRLRQFEHYEKFLLKKGHQIAEIMNRLRLFFTDVLKVKMEAEALPALAQYLM -VGTLEAVSTAHSPDACVPVTSKVVTKQQTIAKSPGRLDEEEYNVIRSRFLTHEVFDLTSDLPGVQPFMDM -YYATVPRADSTGWCVYRRKGLLIHSPDEQFSDLTIFSTRLTASHELQLVAGDVVVACFDLMDVSDIAPSH -HASVQEERTLGTSKYSNITANDHPLVFFSPSALRWAIDHACTDSLVSTRNIRVCVGIDPLVTRWTRDGVQ -EAAILMDDKLPSAGRARMALRTLLLARRSPMPSFLLGALKQSGGQLLEHYRCDAANRYGSPTVPMSHPPP -CSKCPELKEQITKLSSSPTPKIDSTTGPAALLSKISDLQRANRELSLKLVDMQPAREDHLLSYLNEHVCV -NARDHEKGLLSRCNVSNESISSILDQRMKNRERFETRLRHEASAEWEPRVEALNQELAKARVEQQDMMTQ -SLQYLNERDELLHEVDELKRELTTLRAANVRLNADNHRMSRATRVGDAFVSDIEPLPSGIPGESKPSMEE -LVDDL - ->YP_003717776.1 mu-class non-structural protein [Broome virus] -MSKEKRVLKLPRRGQTSGSVKTEARVARSVAEPFVSAFDTDQSLIMHPEERLTLAYNLSDGGEVLIDDVL -PISYGYLKNHQTVINSLASWLSDPNREWRKGQKDVCLIRKGMTLSEIINRIRRYVNSAYGIKMSDESMLA -ISDALNQEMLDYVVHSSASDVPIEETLTEEESISVAIERSLNSVEGRESCPDVERSVILDASSGDVKVLV -SSNFEGPSAGRYNQEDYNESRGAFLGHVVHTLPEGHPPSIDFLARYLAIVPTSIAGEWRETNHIGELIYH -PQDVFDCQTTFNTLVHNETLTLLAGDIKVAKYRLHDVTSILSGHAVARAAKEQSEYDRLSAKAMVLFFTP -RAVEWAMQSGLTDSVFNGLHVRVCIGFDPFYTRMTQNGVILAATLLDDKFNLKSKQRMVRRMIPSRGNMF -ADDCFRRYYKGNWMRCGDFYSQAFLVREADEHGMAVLDVNYNHDERITNLKQRISELMTVEKPTPKPRSS -VKNVLLQSYIEAHKCVNVTTEEQEKLRRVLPDLEVFNATLERRYAEYKRVVAKYESIGESRAQPTTDGLQ -SSVMNLEHSLSIYEHERMELKSMIDQMRMVNEMLESRNNELEKEIVSYEHALAVNAKKLHQVASSSARPG -VDGNYYTSGELLVDPPDFADVSTTIPAMDEI - diff --git a/seq/clusters_seq/cluster_559 b/seq/clusters_seq/cluster_559 deleted file mode 100644 index 3dd8249..0000000 --- a/seq/clusters_seq/cluster_559 +++ /dev/null @@ -1,64 +0,0 @@ ->YP_009507776.1 major outer capsid protein sigma 2 [Pulau reovirus] -MEVRTPNFHSFVESAYSSYLTRPDTWNPSTLWETGQFVTPDVIRLGNAYCCAQCCGVLYYGYRPINLYPF -PHHRCHQLHRRETSPLLKVVRVGRTTDMVREHYAVWLQSLVDHLSDEKNIQDKEPMSSRVASEVLRNDVA -ISGDFWRGTLDLSPHDSAQSIHDSMDKLSEVAGTHGLLPDCLLNVATHDHSALKMTAPVLNIYDQVDNAR -RLIFSDTVTQMLKETADAHKLQRHVNKEVAGVALLKPEHVIVTPIMAGLPVLDRSHQPSMRVGMPIVEST -QKASLYRSMPHQLVNGYHFDTFRTMFGLSANEAKSRMIGHHRIMLCTLPAIETSSTAVARATTSPLSIVS -SDKVTMHECSG - ->YP_009246473.1 Sigma B [Mahlapitsi orthoreovirus] -MEVSPLLQHSIAEAIRDAYVDLTPSYSTQYGWITDEFHFPDVIKVGKAYACTRCCGVLHYGSHYGKLPFP -HHKCRNTYHVDDSPLLTLVRISRTTRHLYDAFIAAFETALKTTIKEDNNNAQAEGKDFWTEIQNAPLPSN -WLNPDLPVQSHDLILKVDNELTSAKVDVCDFWMRPFAVHKIGDDTVPARIYLKKLIEATVDRMKQTHIYI -GILLPCLYKPPKRAPMTITAYDLAMRTLCRGPYDFSATTYKFNEKDTPNWVGHAGATQTVFNAASMWIPP -LAGNVLMFMESLAEQASLPHPVLPYKQMMKPFVTFLHAVYKGWPKDKIQVACPRGIHEHQATFNAQSHWF -LFSRERKECETSQRIQPDIKVRPANNGAYHYNVTKVKAQPDRE - ->YP_009110705.1 major outer capsid [Cangyuan orthoreovirus] -MEVRTPNFHSFVESAYSSYLTRPDTWNPSTLWETGQFVTPDVIRLGNAYCCAQCCGVLYYGYRPINLYPF -PHHRCHQLHRRETSPLLKVVRVGRTTDMVREHYAVWLQSLVDHLSDEKNIQDKEPMSSRVASEVLRNDVA -ISGDFWRGTLDLSPHDSAQSIHDSMDKLSEVAGTHGLLPDCLLNVATHDHTALRMTAPVLNIYDQLDNAR -RSVLSATVTQMPKETADEHKLQGHVTKEVAAAALLKPEHVIVTPIMAGLPVLARSHQPSLRVGMPLVEST -QKASLYRSLPHQLAHGYHSDTFRTMFGLSANEAESRLIGHHRTMLCTLPAIETSSTAVARATTSPLSIVS -SDKVTMHECSG - ->YP_009020576.1 sigma B [Bush viper reovirus] -MELPLIQHHSVIEGIRHSYDNIIPSFRTGEGWIVDTYKDPEIVKVGQAYCCSQCCGVLHYGTPPKEGEEF -YHHKCHARYGPSNTPLVAFSRTGRITRHIFDSHCAALTHHIQDIADVEGSEGDELVHSYSLPDGLELRLV -DGDYDTEVPTKCHPLLEPYVDVDFWKQPFMKHHVSGDDILANDKIVHLTKRLGEILDQGQIWSSMLSPPE -TLITDVVRGTQLFATPYDWQRTGNTASSIGGRGGFIFSEGKSTVFSFKKNALSVGDSLKLDSHCLSPMPA -GVMVQLRAMMDGPPAPKLYICDRDKATHYRQVMKGMLQGWHAAAKSVAFPLGVDRHEQMLHDQAHEYFGG -HWRNIVDLKTPGAIQTMSRHRLKAPQQNLVNIVRRAE - ->YP_007507324.1 sigma 2 [Melaka orthoreovirus] -MEVRTPNFHSFVESAYSSYLTRPDTWNPSTLWETGQFVTPDVIRLGNAYCCAQCCGVLYYGYRPINLYPF -PHHRCHQLHRRETSPLLKVVRVGRTTDMVREHYAVWLQSLVDHLSDEKNIQDKEPMSSRVASEVLRNDVA -ISGDFWRGTLDLSPHDSARSIHDSMDKLSEVAGTHGLLPDCLLNVATHDHTALKMTAPVLNIYDQLDNAR -RSVLSATVTQMPKETADAHKLQGHVTKEVAAAALLKPEHVIVTPIMAGLPVLARSHQPSLRVGMPLVEST -QKASLYRSLPHQLAHGYHSDTFRTMFGLSANEAESRLIGHHRTMLCTLPAIETSPTAVARATTSPLSIVS -SDKVTMHECSG - ->YP_004769554.1 major outer capsid protein sigma 2 [Baboon orthoreovirus] -MEVPLVHAHSVLEGLSSALHDVIPAYSSTYGWLGDEFAYPDVVKLGNAYVCTRCCGVLHYGEMHGGNPFI -RHICKQTHSYDTSPICDLYKLSRITRRIYDAHVNILTWIANNIITDKTNENSATTPGLEIGSEIPDGYKH -KSRLTDGKNFVELPLPSFPYASTSEHQYGTQVWNERFEQFFVQRELVSPRRKIRTLLRFFINVLSDEKRY -YPVFIPGGEGVPKWSFDTNLYEFGLKRVNQPMPSMIPQLFNVRGTSPGNDTFFSEHHGDIKKYLLGTDLI -VAPLAGNVPLIIGPLDDRYSRPMPYVRPETQKALCNNLLVRITKGWSQDHVQYTCPMGVIATEKWFSSVL -PRTLYLPPMKRLMKQTDESKYSLLVRGKWEEIKASWDVQNKETSSS - ->YP_004226529.1 sigma-B protein [Avian orthoreovirus] -MEVRVPNFHSFVEGITSSYLQTPACWNAQTAWDTVTFHVPDVIRVGNAYCCSQCCGVLYYGTLPSDGNYF -PHHKCHQQQFRTDTPLLRYVRIGRTTEHLLDQYAVALESIAEHYDEISQRMVDEPENDEVTPLDIVTRTE -SIRSDKAVDPDFWTYPLERRSDDSRRDIASACWKMIDASSRSLTLPNCLVSPSVHSRSVFGQMQTTTTIY -DVAASGKAVKFSPMVATLAQRDAGPVTLANADPADGVYSFWTSHFAFSPLIGGVGITGQYARESYHHVGH -PVIGSGKKASHYRNLFMEAWRGWSKSAFACATGMEPAECESRLRGHARTMLGRSLPNVCDDDVAQQSGAV -LASLQKTTKFTVVECGW - ->YP_003717778.1 major outer capsid protein [Broome virus] -MEVRPLHLHCVAEGIYQSYDDLIPNYSTIEGWAGIGQYVPPEVIRVGRAYVCTQCGGMLYYDRPDERDYY -FPHHVCHQRYDRFNSPFQLLVRTARVTALLKRRTAVMLREGMNAVLNANGQSGKDILTDDVSTNTKVTLT -GDSGKTGEVEWSDVNISGALIGGMETDPDFWQRPIDELMSNGHVIQPAARIRHLFEVFGDVMKKKEVFYT -LGVPCNYSSANVDHFLGSSAYDLAESKFSVNINMQPRSYLLPVDDSKVLQWPFGTKDASKAFSARPINIA -PLVGNVSLLLNTTDSARGELTIRMDTSRKMRYFREFLTRIYDGWHRNHLAVVVPNGIACAQSLMEREIYH -YLKSPGDIACERQVSHKLFITGLSGVKKIAAYQFKDA - diff --git a/seq/clusters_seq/cluster_56 b/seq/clusters_seq/cluster_56 deleted file mode 100644 index 2544eee..0000000 --- a/seq/clusters_seq/cluster_56 +++ /dev/null @@ -1,822 +0,0 @@ ->YP_009665181.1 polymerase, partial [Chick syncytial virus] -IQNVTLLEQWKREIPKVWAEINPPGLASTQAPIHVQLLSTALPVRVRQYPITLEAKRSLRETIRKFRAAG -ILRPVHSPWNTPLLPVRKSGTSEYRMVQDLREVNKRVETIHPTVPNPYTLLSLLPPDRIWYSVLDLKDAF -FCIPLAPESQLIFAFEWADAEEGESGQLTWTRLPQGFKNSPTLFDEALNRDLQGFRLDHPFVSLLQYVDD -LLIAADTQAACLSATRDLLMTLAELGYRVSGKKAQLCQEEVTYLGFKIHKGSRTLSNSRTQAILQIPVPR -TKRQVREFLGTIGYCRLWIPGFAELAQPLYAATRGGNDPLVWGEKEEEAFQSLKLALTQPPALALPSLDK -PFQLFVEETGGAAKGVLTQALGPWKRPVAYLSKRLDPVAAGWPRCLRAIAARALLTREASKLTFGQ - ->YP_009665180.1 pol polyprotein, partial [Walleye epidermal hyperplasia virus 2] -DVDCQGAQVYANQDAEVMVTLNGTQIPMLVDTGACLTAIGGAATKVPELTLTHKTAYAMGISAEPVEHIK -ANKIRLQIDDASCSIQPWYNKNQTFHILGRDTLSKMRAIIHFHPDGEMEVVFPAKYHQLSLRYDTPEEKL -LLQFPDSLWASSPTDIGKMKIPPIKIEVLPLPCPRIRQYPLPKEKVNGLRPMIESLLAQGVLAECHSSCN -TPIFPIQKPGRQEYRMIHDLRAINEIVAPLTAVVASPTTVLANLSPDMKWFTVIDLSNAFFSVPVHPDSQ -YLFAFTFEGRQYTWTVLPQGFIHSPTLFSQALFSSLSKIKDSLTSEVCIYMDDVLIASTTKDINIKDTVT -LLHHLADEGHKVSQKKLQLCKTEVIYLGQLLSDRGREILPDRKETVSQFSSPTTVRQVRAFLGLAGYCRH -WIPDYSEQSKYLEELLKKEVEEPFSLTEKQTEAFQKLKQSLITAPVLAIPDYKKDFELYTSHTEHVAIAV -LSQKQGGRSRPIAYLSAKLDAIERGLPPCLRACASIHKNLTQADSFLLGRPVKIYTTHSICTLLQRDRSQ -LVTASRFSKWEADLLRPELTFVTCTAVSPAHLLATATSGDTPPHDCVLLTHTMSRPRPDLSDVPLPHPDL -ILFTDGSYSKGEGGGAVVEYVPSTDTFKTVSACSGFTSAQTAELCALTSACLHAKSKSVNIYTDSRYAFG -VLHDFGHLWQHRGFVTSAGTPIKNHNEIQSLLEAVMMPEQISVMKCPAHTKGMTLEIRGNAAADEAAKKA -VSCRQGVLREDAPEETMPSQFLSSAPKGRNSCRYKPQNQGINWHDTSINAVLKNQEKDDVTTHTITLTYS -HEDQNFIGGRGHGSGVYWNGDKMIPPVAMLTDIMRAIHGVSHTHKGGMLAYFEKLWWHPLASKSIDEIMA -KCAVCLKHNPKYKRRKGGHRPLPNGPFSHLQIDFVHMSDKKPMYALVIVDVFSKWPEVFSCNNEEAKTVC -NILMQDIIPRWGLPEQIDSDQGTHFTSKITQELASSVGVSWKLHCPGHPQSSGMVERLNRTIKTKIEKAK -THLQLDKWYKVLPFVLMELRAIPKKNKLSPYEIVMGRPMKLDTLSNMSPLWASDTLITYMNKLTHDLSAY -HTQVAEAWPTTILPPGPEPGSWCLIKSLTKKPTVWEGPYIVLLSTPTAVKVDGKPSWIHLDYCKLLPSSS -VQQDEPEEPSPHSSTDLERKPLQPTVPPVSRISAPSPGSSMPRRSTRILERTKKSL - ->YP_009665179.1 pol polyprotein, partial [Walleye epidermal hyperplasia virus 1] -SVGCQGAQVCTNREAEILVKVNNTIIPMLVDTGACLTAIGGSAPIVPKLKLTDTTAYAVGISSDPVEHIK -TEQVKVKVKDASCNIEPWFNKDQTFHILGRDTLTKMRAMIAFLPCGRMEVVFPARYHQNVITDGAEAKWS -LQQFPESLWASSPTDIGKMKITPIHIEVLPVPCPSIRQYPLPKEKVEGLRPMIHSLLAQGVLTECHSSCN -TPIFPIKKPGREEYRMIHDLRAINEIVAPLTAVVASPTTVLANLSPDMTCFTVIDLSNAFFSVPIHPDSQ -YLFAFTFEGRQYTWTVLPQGFIHSPTLFSQALFSSLSKIKDSLTSEICIYMDDVLIASKDEETNYKDTAT -MLHHLADEGHKVSKKKLQLCKSEVVYLGQLISKAGRDILPERKKTVSQFAAPTTVRQVRAFLGLAGYCRH -WIADYSENSKHLEELLKKEVIEPFTLTGEQLRAFEQLKSTLLSAPVLAIPDYRKDFELYTSHTDHVAVAV -LAQKQAGRTRPIAFLSAKLDAIEQGLPPCLRACASIHKNLTQADSFLLGRPVKIYTTHSICTLLQRDRSQ -LVTASRFSKWEADLLRPELTFVTCTAVSPAHLLATATSGDPPHDCVLLTHTMSRPRSDLSDVPLEKPELI -LFTDGSYSKGEGGCAVVQYAPEKDTFLTVAACTGFTSAQTAELAAITLACQYAEDKSVNIYTDSRYAFGV -LHDFGHLWQHRGFVTSAGTPIKNHEMIQKLLEAVQLPSKISLMKCPAHTKGVTLEIRGNAAADEAAKKAV -SMRQGVLKEDALEETMPSLSMCYDLIDEKYCEDRPQGQGVFWHEDLIIPPVAMLPSIMRAIHGVSHTHKG -GMMAYFKKLWFHPLAAQAIDEVIAGCVVCLKHNPKYRKRKDKQGHRPLPGRPFSHLQVDFVHMSDKKPMY -ALVIVDVLSKWPEVFSCNKEDATTVCDILMTDILPRWGLPDQIDSDQGTHFTSKITQQLANSIGVAWKLH -CPGHPQSSGMVERLNRTIKTKIEKVKTHLQIDAWHKALPYVLMELRAIPKKNYLSPYEIVMGRPMKLDTL -SNVSPLWASDTLVTYMNKLTHALSEYHTQVANQWPTSVLPPGPEPGTWCMIKSFTKKPTVWEGPHLILLS -TPTAVKVEGKPTWVHLDFCKLLPSSFSSPRDEPEQGSTPNTTALERKPHTPSPRPSSSDSAPSPGCTMPR -RSTRLYNKKK - ->YP_009509092.1 gag p12 protein (70 aa) [Feline sarcoma virus (STRAIN HARDY-ZUCKERMAN 4)] -PVLPKTDPPKPPVLPPDPSSPLIDLLTEEPPPYPGGHGPLPSGPRTPTASPIASRLRERRENPAEESQAL - ->YP_009508243.1 unnamed protein product [Feline sarcoma virus (STRAIN HARDY-ZUCKERMAN 4)] -MSGASSGTAIGAHLFGVSPECRVLIGDEGAGPSKSLSEVSFSVWYQSRAARLVIFCLVASFLVPCLTFLI -AETVMGQTIATPLSLTLDHWSEVRARAHNQGVEVRKKKWVTLCEAEWVMMNVGWPREGTFSLDNISQVEK -KIFAPGPYGHPDQVPYITTWRSLATDPPSWVRPFLPPPKPPTPLPQPLSPQPSAPLTSSLYPVLPKTDPP -KPPVLPPDPSSPLIDLLTEEPPPYPGGHGPLPSGPRTPTASPIASRLRERRENPAEESQALPLREGPNNR -PQYWPFSASDLYNWKSHNPPFSQDPVALTNLIESILVTHQPTWDDCQQLLQALLTGEERQRVLLEARKQV -PGEDGRPTQLPNVIDETFPLTRPNWDFATPAGREHLRLYRQLLLAGLRGAARRPTNLAQVKQVVEEINGN -NYIDPTQLPYDHKWEFPRNRLSFGKTLGAGAFGKVVEATAYGLIKSDAAMTVAVKMLKPSAHLTEREALM -SELKVLSYLGNHMNIVNLLGACTVGGPTLVITEYCCYGDLLNFLRRKRDSFICSKQEDHAEVALYKNLLQ -SKESSCNDSTNEYMDMKPGVSYVVPTKADKRRSARIGSYIERDVTPAIMEDGELALDLEDLLSFSYQVAK -GMAFLASKNCIHRDLAARNILLTHGRITKICDFGLARDIKNDSNYVVKGNARLPVKWMAPESIFNCVYTF -ESDVWSYGIFLWELFSLGSSPYPGMPVDSKFYKMIKEGFRMLSPEHAPAEMYDIMKTCWDADPLKRPTFK -QIVQLIEKQKNKNETNRLTH - ->NP_041237.1 gag-fos fusion protein [Finkel-Biskis-Jinkins murine sarcoma virus] -MGQTVTTPLSLTLEHWGDVQRIASNQSVDVKKRRWVTFCSAEWPTFDVGWPQDGTFNLDIILQVKSKVFS -PGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLSPSPTAPILPSGPSTQPPPRSALYPALTPSIKPRP -SKPQVLSDDGGPLIDLLTEDPPPYGEQGPSSSDGDGDREEATSTSEIPAPSPMVSRLRGKRDPPAADSTT -SRAFPLRLGGNGQKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTGEEKQRVLLEARKAVR -GNDGRPTQMPNEVNAAFPLERPDWDYTTPEDSLSYYHSPADSFSSMGSPVNTQDFCADLSVSSANFIPTE -TAISTSPDLQWLVQPTLVSSVAPSQTRAPHPYGLPTQSAGAYARAGMVKTVSGGRAQSIGRRGKVEQLSP -EEEVKRRIRRERNKMAAAKCRNRRRELTDTLQAETDQLEDEKSALQTEIANLLKEKEKLEFILAAHRPAC -KIPDDLGFPEEMSVASLDLTGGLLPLLNDPEPKPSLEPVKSSFDDFLFPASSGHSGFISMAGWQ - ->YP_001165469.3 hypothetical protein WMSV_gp1, partial [Woolly monkey sarcoma virus] -VASRRVRGAHRPVILGDVPGSGEDQGRLVDPSAEDHCVLIPPPRLERRALPSDSFSCLYATRSRRRFWFL -FVLFLISLCVGVSLFEIFEMGQNNSTPLSLTLGHWKDVRTRAHNLSVKIRKEKWQTFCSSEWPTFGVGWP -PEGTFNLSVIFAVKRIVFQETGGHPDQVPYIVVWQDLAQSPPPWVPPSAKIAVVSSPENTQGPSAGRPSA -PPRPPIYPATDDLLLLSEPPPYPAALPPPLAPPAVGPAPGQAPDSSDPEGPAAGTRSRRARSPADDSGPD -STVILPLRAIGPPAEPNGLVPLQYWPFSSADLYNWKSNHPSFSENPAGLTGLLESLMFSHQPTWDDCQQL -LQILFTTEERERILLEARKNVLGDNGAPTQLENLINEAFPLNRPQWDYNTAAGRELLLVYRRTLVAGLKG -AARRPTNLAKVREVLQGPAEPPSVFLERLMEAYRRYTPFDPSEEGQQAAVATAFTGQSAPDIKKKLQRLE -GLQDYSLQDLVREAEKVYHKRETEEERQEREKKEAEERERRRDRRQEKNLTRILAAVVSEGGSGDRRTGN -LGNRARKTPRDGRPPLDKDQCAYCKEKGHWDEEIAPACTGR - ->YP_009243643.1 truncated gag-pol polyprotein [Mus musculus mobilized endogenous polytropic provirus] -MGQTVTTPLSLTLQHWGDVQRIASNQSVDVRKRRWITFCSAEWPTFNVGWPQDGTFNLSIISQVKSRVFC -PGPHGHPDQVPYIVTWEALAYDPPPWVKPFVSPKLPPLPTAPVLPPGPSAQPPSRSALYPALTPSIKSKP -PKPQVLPDSGGPLIDLLTEDPPPYRAQPSRSGLHHLPGISTPHGGRWPASVLAVFLFGFIQLEK - ->NP_045937.2 Pr gag-pro-pol [Walleye dermal sarcoma virus] -MGNSSSTPPPSALKNSDLFKTMLRTQYSGSVKTRRINQDIKKQYPLWPDQGTCATKHWEQAVLIPLDSVS -EETAKVLNFLRVKIQARKGETARQMTAHTIKKLIVGTIDKNKQQTEILQKTDESDEEMDTTNTMLFIARN -KRERIAQQQQADLAAQQQVLLLQREQQREQREKDIKKRDEKKKKLLPDTTQKVEQTDIGEASSSDASAQK -PISTDNNPDLKVDGVLTRSQHTTVPSNITIKKDGTSVQYQHPIRNYPTGEGNLTAQVRNPFRPLELQQLR -KDCPALPEGIPQLAEWLTQTMAIYNCDEADVEQLARVIFPTPVRQIAGVINGHAAANTAAKIQNYVTACR -QHYPAVCDWGTIQAFTYKPPQTAHEYVKHAEIIFKNNSGLEWQHATVPFINMVVQGLPPKVTRSLMSGNP -DWSTKTIPQIIPLMQHYLNLQSRQDAKIKQTPLVLQLAMPAQTMNGNKGYVGSYPTNEPYYSFQQQQRPA -PRAPPGNVPSNTCFFCKQPGHWKADCPNKTRNLRNMGNMGRGGRMGGPPYRSQPYPAFIQPPQNHQNQYN -GRMDRSQLQASAQEWLPGTYPAXDPIDCPYEKSGTKTTQDVITTKNAEIMVTVNHTKIPMLVDTGACLTA -IGGAATVVPDLKLTNTEIIAVGISAEPVPHVLAKPTKIQIENTNIDISPWYNPDQTFHILGRDTLSKMRA -IVSFEKNGEMTVLLPPTYHKQLSCQTKNTLNIDEYLLQFPDQLWASLPTDIGRMLVPPITIKIKDNASLP -SIRQYPLPKDKTEGLRPLISSLENQGILIKCHSPCNTPIFPIKKAGRDEYRMIHDLRAINNIVAPLTAVV -ASPTTVLSNLAPSLHWFTVIDLSNAFFSVPIHKDSQYLFAFTFEGHQYTWTVLPQGFIHSPTLFSQALYQ -SLHKIKFKISSEICIYMDDVLIASKDRDTNLKDTAVMLQHLASEGHKVSKKKLQLCQQEVVYLGQLLTPE -GRKILPDRKVTVSQFQQPTTIRQIRAFLGLVGYCRHWIPEFSIHSKFLEKQLKKDTAEPFQLDDQQVEAF -NKLKHAITTAPVLVVPDPAKPFQLYTSHSEHASIAVLTQKHAGRTRPIAFLSSKFDAIESGLPPCLKACA -SIHRSLTQADSFILGAPLIIYTTHAICTLLQRDRSQLVTASRFSKWEADLLRPELTFVACSAVSPAHLYM -QSCENNIPPHDCVLLTHTISRPRPDLSDLPIPDPDMTLFSDGSYTTGRGGAAVVMHRPVTDDFIIIHQQP -GGASAQTAELLALAAACHLATDKTVNIYTDSRYAYGVVHDFGHLWMHRGFVTSAGTPIKNHKEIEYLLKQ -IMKPKQVSVIKIEAHTKGVSMEVRGNAAADEAAKNAVFLVQRVLKKGDALASTDLVMEYSETDEKFTAGA -ELHDGVFMRGDLIVPPLEMLHAILLAIHGVSHTHKGGIMSYFSKFWTHPKASQTIDLILGHCQICLKHNP -KYKSRLQGHRPLPSRPFAHLQIDFVQMCVKKPMYALVIIDVFSKWPEIIPCNKEDAKTVCDILMKDIIPR -WGLPDQIDSDQGTHFTAKISQELTHSIGVAWKLHCPGHPRSSGIVERTNRTLKSKIIKAQEQLQLSKWTE -VLPYVLLEMRATPKKHGLSPHEIVMGRPMKTTYLSDMSPLWATDTLVTYMNKLTRQLSAYHQQVVDQWPS -TSLPPGPEPGSWCMLRNPKKSSNWEGPFLILLSTPTAVKVEGRPTWIHLDHCKLLRSSLSSSLGGPVNQL -LS - ->YP_009109699.1 p10 protein [Baboon endogenous virus strain M7] -AAVVTEKRAGKSGETRRRPKVDKDQCAYCKERGHWIKDCPKRPRDQKKPAPVL - ->YP_009109696.1 p12 protein [Baboon endogenous virus strain M7] -MGQTLTTPLSLTLTHFSDVRARAHNLSVGVRKGRWQTFCSSEWPTLHVGWPRDGTFDLSVILQVKTKVMD -PGPHGHPDQVAYIITWEDLVRNPPPWVKPFLHTPSTSKSTLL - ->YP_009109689.1 gag-pol precursor polyprotein [Baboon endogenous virus strain M7] -MGQTLTTPLSLTLTHFSDVRARAHNLSVGVRKGRWQTFCSSEWPTLHVGWPRDGTFDLSVILQVKTKVMD -PGPHGHPDQVAYIITWEDLVRNPPPWVKPFLHTPSTSKSTLLALEVPKNRTLDPPKPVLPDESQQDLLFQ -DPLPHPPHNPLLEPPPYNSPSPPVLSPVSPTTPSAPTPSSLVSSSTPPSSPAPPELTPRTPPQTPRLRLR -RAEGQDGPSTWQSSLFPLRTVNRTIQYWPFSASDLYNWKTHNPSFSQDPQALTSLIESILLTHQPTWDDC -QQLLQVLLTTEERQRVLLEARKNVPGPGGLPTQLPNEIDEGFPLTRPDWDYETAPGRESLRIYRQALLAG -LKGAGKRPTNLAKVRTITQGKDESPAAFMERLLEGFRMYTPFDPEAPEHKATVAMSFIDQAALDIKGKLQ -RLDGIQTHGLQELVREAEKVYNKRETPEEREARLIKEQEEREDRRDRKRDKHLTKILAAVVTEKRAGKSG -ETRRRPKVDKDQCAYCKERGHWIKDCPKRPRDQKKPAPVLTLGEDSEQGCQGSGAPPEPRLTLSVGGHPT -TFLVDTGAQHSVLTKANGPLSSRTSWVQGATGRKMHKWTNRRTVNLGQGMVTHSFLVVPECPYPLLGRDL -LTKLGAQIHFSEAGAQVLDRDGQPIQILTVSLQDEHRLFDIPVTTSLPDVWLQDFPQAWAETGGLGRAKC -QAPIIIDLKPTAVPVSIKQYPMSLEAHMGIRQHIIKFLELGVLRPCRSPWNTPLLPVKKPGTQDYRPVQD -LREINKRTVDIHPTVPNPYNLLSTLKPDYSWYTVLDLKDAFFCLPLAPQSQELFAFEWKDPERGISGQLT -WTRLPQGFKNSPTLFDEALHRDLTDFRTQHPEVTLLQYVDDLLLAAPTKKACTQGTRHLLQELGEKGYRA -SAKKAQICQTKVTYLGYILSEGKRWLTPGRIETVARIPPPRNPREVREFLGTAGFCRLWIPGFAELAAPL -YALTKESTPFTWQTEHQLAFEALKKALLSAPALGLPDTSKPFTLFLDERQGIAKGVLTQKLGPWKRPVAY -LSKKLDPVAAGWPPCLRIMAATAMLVKDSAKLTLGQPLTVITPHTLEAIVRQPPDRWITNARLTHYQALL -LDTDRVQFGPPVTLNPATLLPVPENQPSPHDCRQVLAETHGTREDLKDQELPDADHTWYTDGSSYLDSGT -RRAGAAVVDGHNTIWAQSLPPGTSAQKAELIALTKALELSKGKKANIYTDSRYAFATAHTHGSIYERRGL -LTSEGKEIKNKAEIIALLKALFLPQEVAIIHCPGHQKGQDPVAVGNRQADRVARQAAMAEVLTLATEPDN -TSHITIEHTYTSEDQEEARAIGATENKDTRNWEKEGKIVLPQKEALAMIQQMHAWTHLGNRKLKLLIEKT -DFLIPRASTLIEQVTSACKVCQQVNAGATRVPAGKRTRGNRPGVYWEIDFTEVKPHYAGYKYLLVFVDTF -SGWVEAFPTRQETAHIVAKKILEEIFPRFGLPKVIGSDNGPAFVSQVSQGLARILGINWKLHCAYRPQSS -GQVERMNRTIKETLTKLTLETGLKDWRRLLSLALLRARNTPNRFGLTPYEILYGGPPPLSTLLNSFSPSN -SKTDLQARLKGLQAVQAQIWAPLAELYRPGHSQTSHPFQVGDSVYVRRHRSQGLEPRWKGPYIVLLTTPT -AIKVDGIATWIHASHAKAAPGTPGPTSSGTWRLRRSEDPLKIRLSRT - ->YP_004680223.1 truncated gag-pro-pol [PreXMRV-1] -MGQTVTTPLSLTLEHWGDVQRIASNQSVDVKKRRWVTFCSAEWPTFDVGWPQDGTFNLDIILQVKSKVFS -PGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLPLSPTAPILPSGPLTQPPPRSALYPALTPSMKPRP -SKPQVLSDNDGPLIDLLTEDPPPYGEQGPSSSDGDGDREEATSTSEIPAPSPMVSRLRGKRDPPAADSTT -SRALPLRLGGNDQWQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTGE -EKQRVLLEARKAVQGDDGRPTQLPNEVNSAFPLERPLLKVGTT - ->YP_001497148.1 gag-pol precursor polyprotein [RD114 retrovirus] -MGQTLTTPLTLTLTHFSDVQARAHNLSLEVRKGRWRTYCSSEWPTLSVGWPRDGTFDLSIILQVKTKVMD -PGPRGHPDQIAYILTWEDLIRNPPAWVKPFLPSCPLSQSTLLPLKTSKDRASTQPSAPPKPPKPVLPDES -QKDPPLLDALSSPPHNPLLQPPPYNPPLAPALTPVGPTSPPASSSSSLSPTSSPACTSAPSSTPAPPDLT -PQTPPQTPRLRLRRLDDPNGPPTWQSSLFPLRTVNRTVQYWPFSASDLYNWKTHNPSFSQEPQALTSLIE -SILLTHQPTWDDCQQLLQVLLTTEERQRVLLEARKNVPGPGGFPTQLPNEIDEGFPLTRPDWDYETAPGR -ESLRIYRQALLAGLKGAGKRPTNLAKVRTIIQGKEESPAAFMERLLEGFRMYTPFTPEAPEHKATVAMSF -IDQAASDIKGKLQRLDGIQTYGLQELVREAEKVYNKRETPEEKEARLAKEQEAREERRDRKRDKHLTKIL -AAVVTENRTGKSGETKRRPKIEKDQCAYCKERGHWIKDCPKRPRDSKKSTPVLTLGEESEEGRQGSGAPP -EPRLTLSVGGHPTTFLVDTGAQHSVLTKANGPLSSRTSWVQGATGRKIHKWTNRRTVDLGQGTVTHSFLV -VPECPYPLLGRDLLTKLGAQIHFSETGAQVLNRDGQPIQVLTVSLHDEHRLFETPVTTNLLEAWLQDFPQ -AWAETGGLGRAKCQAPIIIDLKPTAMPVSIRQYPMSKEAHMGIQPHITRFLELGVLRPCRSPWNTPLLPV -KKPGTRDYRPVQDLREVNKRTMDIHPTVPNPYNLLSTLSPDRTWYTVLDLKDAFFCLPLAPQSQELFAFE -WRDPERGISGQLTWTRLPQGFKNSPTLFDEALHRDLTDFRTQHPEVTLLQYVDDLLLAAPTEEACTRGTK -HLLRELGDKGYRASAKKAQICQTKVTYLGYILSEGKRWLTPGRIETVARIPPPQSPREVREFLGTAGFCR -LWIPGFAELAAPLYALTKESAPFTWQEKHQSAFEALKEALLSAPALGLPDTSKPFTLFIDEKQGIAKGVL -TQKLGPWKRPVAYLSKKLDPVAAGWPPCLRIMAATAMLVKDSAKLTLGQPLTVITPHALEAIVRQPPDRW -ITNARLTHYQALLLDTDRVQFGPPVTLNPATLLPAPKDQQSAHDCRQVLAETHGTREDLKDQELPDADHS -WYTDGSSYIDSGTRRAGAAVVDGHHIIWAQSLPPGTSAQKAELIALTKALELSEGKKANIYTDSRYAFAT -AHTHGSIYERRGLLTSEGKEIKNKAEIIALLKALFLPRRVAIIHCPGHQKGQDPIATGNRQADQVARQVA -VAETLTLTKLEETNLTTNKYAYTPEDQEEAKAIGAILNQDTKDWEKEGKIVLPRKEALAMIQQMHAWTHL -SNRKLKSLIEKTDFLIPKAGTLIEQVTSACKVCQQVNAGATRVPEGKRTRGNRPGVYWEIDFTEVKPHYA -GYKYLLVFVDTFSGWVEAYPTRQETAHVVAKKILEEIFPRFGLPKVIGSDNGPAFVSQVSQGLARTLGIN -WKLHCAYRPQSSGQVERMNRTIKETLTKLTLETGLKDWRRLLSLALLRARNTPNRFGLTPYEILYGGPPP -LSTLLNSFSPSDPKTDLQARLKGLQAVQAQIWTPLAELYRPGHPQTSHPFQVGDSVYVRRHRSQGLEPRW -KGPYIVLLTTPTAIKVDGIAAWIHASHAKAAPKTPGPETPKTWKLRRSENPLKIRLSRV - ->YP_001165470.1 hypothetical protein WMSV_gp2, partial [Woolly monkey sarcoma virus] -GSLGRGDRPGVYWEVDFTEVKPGRYGNRYLLVFIDTFSGWVEAFPTKTETALTVCKRNSTPLRIPKVLGS -DNGPAFVAQVSQGLATQLGINWKLHCAYRPQSSGQVERMNRTIKETLTKLALETGGKDWVALLPLALLRA -KNTPSRFGLTPYEILYGGPPPILESGGTLGPDDNFLPVLFTHLKALEVVRTQIWDQIKEVYKPGTVAIPH -PFQVGDQVLVRRHRPGSLEPRWKGPYLVLLTTPTAVKVDGIAAWVHASHLKPAPPSAPDESWELEKADHP -LKLRIRRRRNESAK - ->YP_443922.1 polyprotein [Atlantic salmon swim bladder sarcoma virus] -MASSQPLKMKFSEYLDQRMQDRAGGKVQWKDHKKVWERLKLRWTQEGYLAGGAPTRVQLSTMEGELREGK -QRAKDSEVDLNRQHVFRKEGTKQREKAEEELRIGTWAIEETRRFIMLRTPAVMGIATDMKEGGVPTISPA -TPAENQTPTQRLYPDLPEDSRPPPYSPSASPSPTVQAPVLTVRGGVIKGEVHLVEGTIDVENSEPPQRSM -DTDDLGPAGGRSTRGPDSLEEGSMRTQSSKRTRNPFLDQPFSSPPPSGSLSYRPLPQSLPSPLSRAVTTP -HSYTGEHQQLRQNDIDREYLTSYNMPQEEDENDPAPTQEQIWTAAEQLNREQFPSGGGGPYQLRGTFLGE -VQDLQTELSQPGPRRSQRLIEQRNRTHLQAPLRLCATGRGEQYSPWKLTDLTSMMEQLPSLHGGASAWLL -QLQTLTSGFRLGLGDMRALLARATDHVTMNVIMKTAGLDHLPGPTPPEVYTGPLWAELRRNYPTERDYAA -LSSFLMNPGEGPAEYLDRAKTTWRTVHGEPHDASETSLNMWKEVVINGTPAPVKAKLRQTVGLCSKSKAE -FCSHVHHHISQHHKDAGGAESEIKALQVQLLKLQLKDAQKTAQPKKQMVEGAVPLPQLVHETVKQMLATP -SPNPAPYPQPPYQPPPPPLSPQYPQAYPGYGYPPRGPPQAGGHWGSRYMNNGACYNCGQPGHQARNCPLP -QTDSQRRFLLNRSQGQAGRGRGQPRGPLRAPQPIPAPYSPAGPSLPFQGMYDDNTPWEXRCPPPPEAEVQ -RYSVYTEPTVSCQIEGVNHRFLVDTGCTYSAIKTMQPLSMDSIQVVGVSGRPETQLKTQPLLFRWGPSTV -RHQFLYCPNCPINLLGRDLLCRLGCFINLTEDGVEVSINSPKSGRVLLLPSLPVPPLSLTQEVYWLKCTQ -TGPSTPAIQFQFNTWRRLIYALHPYKTPLPEVHCTMNVTDDEDNPYTEDWDENMSHQTPSIRCLSIVCGP -EGVAAPVILPSHLKPWYQLAPDSSPHVTLAVGNGFEARSLGPMIRRASKLDWVPTATPGIMKASTEQMWR -IVLVDTTEICKPERLSLPRHHGKPYSDHPSATALLDSIDEAIWTTSPFDVGRLAVPPVRVQLTHQGQLPV -YRSQYRLKYEQIEGIRPTVEGLLGADCIYATSSPWNTPILPVPKADGKTYRMVQDFRAVNDVTTGVDLPV -PDPHVSLSNLSPKHQYFTVVDLANAFFSIPLHVDSQPLFAFTYDNQQYTYSVLPQGYRCSPGIFNRVLKD -HLKEIVIPDGVVLIQYVDDLLLAACSSDQCLAATKILLDFLATKGYKVKRSKVQTCRRTVLFLGREVSAE -GAGLSTAHRNSILHHPRPTTVSGLLSFLGLTGYSRTHIPDYTCRTEPLREIVRQAGARNLHASLIWTPEA -TCAFGLLKTDLSVAAALAAPDYSKPFHLDVSEKEGFASAILFQKQEGERRVLMYHSSKLDHIETGQTTCS -RYVAAVAKAIEKTAHLVMCHKMEIHTHHGVAAYLTAKEFTFSADRKNKIQNKCTQSHITFVNTDKNMADA -LNADEGSPHVCAERAAQELKLRPDLGNDPLPQADLWLYTDGCCYRGKDGNIAAYAVVQQDSNGNHSTLES -GVIPQPASAQLAEIIGLTRALTLAEGKTVNIYTDSAYAHGAVHIDGPQWLRRNFTTTGNLPIKHKTQMEV -LISAVALPKKVAIMKCKGHQVLNSRISKGNDAADQAAKKAGGYSPGQMVLRVDPPSTELTVEHIKELQQT -AGPYEHSVWKQKGASQGPEGLWRCHDGRLVAPANLCPELIREAHGLTHEGKLKTLQRVSYTWWHPYMKEM -TDLFCDNCTICGNYNPKKPYQTPMGHYPVPNACFQDISIDYTDMGADQVRGGKRYLLVMVDRFSRWVEAI -PTAKEDAKSVIKWLQTELIPRYGVPRQIRSDNGSHFSNQHLRQVEERFGIVHKFGSVYKPQSQGLVERCN -QTLKAKIAKVCAGTKLTWVEALPLALMAMRSSPGAGTHLSPHEIMTGRVMPGPPREGGHMPALDVHQIGM -TDYVRKLTELSAALSIQIQRVAEGELTDDQDQPRVKVGDWVRIKVHKRKWADPRWTGPYEVKEVTSHSVQ -VKGKSGAPWHHLTHCTPAPAPDRTLTEVRSDLIASNLAINSESPDN - ->YP_223871.1 protease/polymerase, partial [Reticuloendotheliosis virus] -GRQGSSALREPRLKVKVGGQIIDFLVDTGATHSVVQKPVGPMSKESVAIIGATGNIRNYPKSEGRLVDLG -RGLVTHSFLVIPECPDPLLGRDLLQKLRATISFTGGGPPEIRTEGKLLVTAPLEEEYRLFLEAPIQNVTL -LEQWKRGIPKVWAEINPPGLASTQAPIHVQLLSTALPVRVRQYPITLEAKRSLRETIHKFRAAGILRPVH -SPWNTPLLPVRKSGTSEYRMVQDLREVNKRVETIHPTVPNPYTLLSLLPPDRIWYSVLDLKDAFFCIPLA -PESQLIFAFEWADAEEGESGQLTWTRLPQGFKNSPTLFDVALNRDLQGFRLDHPSVSLLQYVDDLLIAAD -TQAACLSATRDLLMTLAELGYRVSGKKAQLCQEEVTYLGFKIHKGSRSLSNSRTQAILQIPVPKTKRQVR -EFLGTIGYCRLWIPGFAELAQPLYAATRGGNDPLVWGEKEEEAFQSLKLALTQPPALALPSLDKPFQLFV -EETSGAAKGVLTQALGPWKRPVAYLSKRLDPVAAGWPRCLRAIAAAALLTREASKLTFGQDIEITSSHNL -ESLLRSPPDKWLTNARITQYQVLLLDPPRVRFKQTAALNPATLLPETDDTLPIHHCLDTLDSLTSTRPDL -TDQPLAQAEATLFTDGSSYVRDGKRYAGAAVVTLDSVIWAEPLPIGTSAQKAELIALTKALEWSKDKSVN -IYTDSRYAFATLHVHGMIYRERGLLTAGGKAIKNAPEILALLTAVWLPKRVAVMHCKGHQKDDAPTSTGN -RRADEVAREVAIRPLSTQATISDAPDMPDTETPQYSNVEEALGHRLRGTKDPAGWWHLPDGRLLLPRAVG -RKVLEQTHRATHLGESKLTELVRKHYLICGIYRAARDITTRCVACAQVNPGAAPVEKGLNSRIRGAAPGE -HWEADFTEMITAKGGYKYLLVLVDTFSGWVEAYPAKRETSQVVIKHLIHDIIPRFGLPVQIGSDNGPAFV -AKVTQQLCEALNVSWKLHCAYRPQSSGQVERMNRTLKETIAKLRKETGGDWVSLLPQALLRARCTPGREG -LSPFEILYGLKPPVVPRVGCDKLASITNQTLLKSLQALQATRSLARATLRDQLPQKEAQQDRTPLFQPGD -LVFVKKHDFQQLGPRWDGPYTVVLSTPTAVKVAGKTPWIHYSRLKKAPDNQEEWTVSPTSDPLRVKLTRR -AKP - ->YP_223870.1 gag protein [Reticuloendotheliosis virus] -MGQAGSKGLLTPLECILKNFSDFKKRAGDYGGDVDSFTLRKLCESEWPTLGVGWPKEGTLDFGVVVAVRN -IVFGKHEGHPDQVIYITVWTDITIERPKYLKDCGCKPTGPSKVLLASQKVNPRRPVLPSAPESPPRTRRA -QFLDERPLSPAPAPPPPYPEVPAIAEEGEEGQQPDSTVMASPPHTRSGLEFGAQGPSGMYPLRETGERDM -GGRPMRTYVPFTTSDLYNWKNQNPSFSQAPDEVISLLESVFYTHQPTWDDCQQLLRTLFTTEERERVRTE -SRREVRNDQGVQVTDEREIEAQFPATRPDWDPNTGRGNDNLERYRQILLRGLRAAARKPTNLSKITEVRQ -GADESPTAYLERLYQAYRTWSPIDPRAPENQAAIVIQFVSQSAPDIRKKIQKIDGFQGKSLSELVAIAQK -VFDQREDPAKATHELTRKMAKVLLAQESRAERGSKKTPPGKGRPPLGKNQCAYCKEEGHWKKNCPKLVSG -ATPVLVEELQ - ->NP_955594.1 CA [Abelson murine leukemia virus] -PALTPSLGAKPKPQVLSDSGGPLIDLLTEDPPPYRDPRPPPSDRDGNGGEATPAGEAPDPSPMASRLRGR -REPPVADSTTSQAFP - ->NP_955586.1 p10 NC [Moloney murine leukemia virus] -ATVVSGQKQDRQGGERRRSQLDRDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLL - ->NP_955575.1 virion core structural peptide p10 [Feline leukemia virus] -ATVVAQNRDKDREESKLGDQRKIPLGKDQCAYCKEKGHWVRDCPKRPRKKPANSTLL - ->NP_598371.2 pol polyprotein fragment [Moloney murine sarcoma virus] -MVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQALLLDTDRVQFRPVVALNPA -TLLPLPEKGLQHNCLDILAEAHGTRPDLTDQPLPDADHTWYTDGSSLLQEGQRKAGAAVTTETEKPSQPR -KKTAKVVNIFPRFGMLQVLGTDNGPAFVSKVSQTVADLLGIDWKLHCAYRPQSSGQVERINRTIKETLTK -LTLATGSRDWVLLLPLALYRARNTPGPHGLTPYEILCGAPPPLVNFPDPDMTRVTNSPSLQAHIQALYLV -QHEVWRPLAAAYQEQLDHPLD - ->NP_579907.1 gag polyprotein fragment [Spleen focus-forming virus] -MRNPGRKREKERDRRRHREMSKLLAAVVSGQRQDRQGGERRRPQLDHDQCAYCKEKGHWAKDCPKKPRGP -RGPRPQASLLTLDD - ->NP_047255.1 Gag-Pro-Pol precursor polyprotein gPr80 [Feline leukemia virus] -MSGASSGTAIGAHLFGVSPEYRVLIGDEGAGPSKSLSEVSFSVWYRSRAARLVIFCLVASFLVPCLTFLI -AETVMGQTITTPLSLTLDHWSEVRARAHNQGVEVRKKKWITLCEAEWVMMNVGWPREGTFSLDNISQVEK -KIFAPGPYGHPDQVPYITTWRSLATDPPSWVRPFLPPPKPPTPLPQPLSPQPSAPLTSSLYPVLPKSDPP -KPPVLPPDPSSPLIDLLTEEPPPYPGGHGPPPSGPRTPTASPIASRLRERRENPAEESQALPLREGPNNR -PQYWPFSASDLYNWKSHNPPFSQDPVALTNLIESILVTHQPTWDDCQQLLQALLTGEERQRVLLEARKQV -PGEDGRPTQLPNVIDETFPLTRPNWDFATPAGREHLRLYRQLLLAGLRGAARRPTNLAQVKQVVQGKEET -PAAFLERLKEAYRMYTPYDPEDPGQAASVILSFIYQSSPDIRNKLQRLEGLQGFTLSDLLKEAEKIYNKR -ETPEEREERLWQRQEERDKKRHKEMTKVLATVVAQNRDKDREESKLGDQRKIPLGKDQCAYCKEKGHWVR -DCPKRPRKKPANSTLLNLGDQESQGQDPPPEPRITLKIGGQPVTFLVDTGAQHSVLTRPDGPLSDRTALV -QGATGSKNYRWTTDRRVQLATGKVTHSFLYVPECPYPLLGRDLLTKLKAQIHFTGEGANVVGPKGLPLQV -LTLQLEEEYRLFEPESTQKQEMDIWLKNFPQAWAETGGMGTAHCQAPVLIQLKATATPISIRQYPMPHEA -YQGIKPHIRRMLDQGILKPCQSPWNTPLLPVKKPGTEDYRPVQDLREVNKRVEDIHPTVPNPYNLLSTLP -PSHPWYTVLDLKDAFFCLRLHSESQLLFAFEWRDPEIGLSGQLTWTRLPQGFKNSPTLFDEALHSDLADF -RVRYPALVLLQYVDDLLLAAATRTECLEGTKALLETLGNKGYRASAKKAQICLQEVTYLGYSLKDGQRWL -TKARKEAILSIPVPKNSRQVREFLGTAGYCRLWIPGFAELAAPLYPLTRPGTLFQWGTEQQLAFEDIKKA -LLSSPALGLPDITKPFELFIDENSGFAKGVLVQKLGPWKRPVAYLSKKLDTVASGWPPCLRMVAAIAILV -KDAGKLTLGQPLTILTSHPVEALVRQPPNKWLSNARMTHYQAMLLDAERVHFGPTVSLNPATLLPLPSGG -NHHDCLQILAETHGTRPDLTDQPLPDADLTWYTDGSSFIRNGEREAGAAVTTESEVIWAAPLPPGTSAQR -AELIALTQALKMAEGKKLTVYTDSRYAFATTHVHGEIYRRRGLLTSEGKEIKNKNEILALLEALFLPKRL -SIIHCPGHQKGDSPQAKGNRLADDTAKKAATETHSSLTVLPTELIEGPKRPPWEYDDSDLDLVQKLEAHY -EPKRGTWEYRGKTIMPEKYAKELISHLHKLTHLSARKMKTLLEREETGFYLPNRDLHLRQVTESCRACAQ -INAGKIKFGPDVRARGRRPGTHWEVDFTEIKPGMYGYKYLLVFIDTFSGWAEAYPAKHETAKVVAKKLLE -EIFPRYGIPQVLGSDNGPAFISQVSQSVATLLGINWKLHCAYRPQSSGQVERMNRSIKETLTKLTLETGS -KDWVLLLPLVLYRVRNTPGPHGLTPFEILYGAPPPMAHFFDTDISSFATSPTMQAHLRALQLVQEEIQRP -LAAAYREKLETPVVPHPFKPGDSVWVRRHQTKNLEPRWKGPHIVLLTTPTALKVDGVAAWIHASHVKAAG -PTTNQDLSDSPSSDDPSRWKVQRTQNPLKIRLSRGT - ->NP_043518.1 hypothetical protein [Murine type C retrovirus] -MGQTVTTPLSLTLEHWGDVQRIASNQSVDVKKRRWVTFCSAEWPTFGVGWPQDGTFNLDIILQVKSKVFS -PGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLSLSPTAPILPSGPSTQPPPRSALYPALTPSIKPRP -SKPQVLSDNGGPLIDLLTEDPPPYGEQGPSSSDGDGDREEATSTPEIPAPSPMVSRLRGKRDPPRGSFHH -LSGFPHSVWGVMVSCSTGRFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTG -EEKQRVLLEARKAVRGDDGRPTQLPNEIEAAFPLERPDWDYTTLRGRNHLVLYRQLLLAGLQNAGRSPTN -LAKVKGITQGSNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKNKTL -GDLVREAERIFNKRETPEEREERIKRETEEKEERRRAEDEQKEKERDRRRQREMSKLLATVVTGQRQDRQ -GGERRRPQLDKDQCAYCKEKGHWARDCPKKPRGPRGPRPQTSLLTLDD - ->NP_057858.1 Pr65 [Moloney murine sarcoma virus] -MGQTVTTPLSLTLDHWKDVERLAHNQSVDVKKRRWVTFCSAEWPTFNVGWPRDGTFNRDLITQVKIKVFS -PGPHGHPDQVPYIVTWEALAFDPPPWVKPFVHPKPPPPLLPSAPSLPLEPPLSTPPQSSLYPALTPSLGA -KPKPQVLSDSGGPLIDLLTEDPPPYRDPRPPPSDRDGDSGEATPAGEAPDPSPMASRLRGRREPPVADST -TSQAFPLRTGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLITHQPTWDDCQQLLGTLLTG -EEKQRVLLEARKAVRGDDGRPTQLPNEVDAAFPLERPDWEYTTQAGRNHLVHYRQLLIAGLQNAGRSPTN -LAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLRNKTL -GDLVREAERIFNKRETPEEREERIRREREEKEERRRTEDEQKEKERDRRRHREMSRLLATVVSGQRQDRQ -EGERRRSQLDCDQCTYCEEQGHWAKDCPKRPRGPRGPRPQTSLLTLDD - ->NP_041217.1 precursor protein PR-gag [Spleen focus-forming virus] -MGQTVTTPLSLTLEHWEDVQRTASNQSVDVKKRRWVTFCSAEWPTFGVGWPQDGTFNLDIILQVKSKVFS -PGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLSPSPTAPILPSGPSTQPPPRSALYPALTPSIKPGP -SPIMADLSLTFSQKTLRRTEDRDRPPLTEMATEKRPPPLLRFLPPLP - ->sp|P03355.5|POL_MLVMS RecName: Full=Gag-Pol polyprotein; Short=Pr180gag-pol; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Short=PR; AltName: Full=p14; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=p80; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p46 -MGQTVTTPLSLTLGHWKDVERIAHNQSVDVKKRRWVTFCSAEWPTFNVGWPRDGTFNRDLITQVKIKVFS -PGPHGHPDQVPYIVTWEALAFDPPPWVKPFVHPKPPPPLPPSAPSLPLEPPRSTPPRSSLYPALTPSLGA -KPKPQVLSDSGGPLIDLLTEDPPPYRDPRPPPSDRDGNGGEATPAGEAPDPSPMASRLRGRREPPVADST -TSQAFPLRAGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLITHQPTWDDCQQLLGTLLTG -EEKQRVLLEARKAVRGDDGRPTQLPNEVDAAFPLERPDWDYTTQAGRNHLVHYRQLLLAGLQNAGRSPTN -LAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKNKTL -GDLVREAEKIFNKRETPEEREERIRRETEEKEERRRTEDEQKEKERDRRRHREMSKLLATVVSGQKQDRQ -GGERRRSQLDRDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLDDQGGQGQEPPPEPRITLKVGGQP -VTFLVDTGAQHSVLTQNPGPLSDKSAWVQGATGGKRYRWTTDRKVHLATGKVTHSFLHVPDCPYPLLGRD -LLTKLKAQIHFEGSGAQVMGPMGQPLQVLTLNIEDEHRLHETSKEPDVSLGSTWLSDFPQAWAETGGMGL -AVRQAPLIIPLKATSTPVSIKQYPMSQEARLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRP -VQDLREVNKRVEDIHPTVPNPYNLLSGLPPSHQWYTVLDLKDAFFCLRLHPTSQPLFAFEWRDPEMGISG -QLTWTRLPQGFKNSPTLFDEALHRDLADFRIQHPDLILLQYVDDLLLAATSELDCQQGTRALLQTLGNLG -YRASAKKAQICQKQVKYLGYLLKEGQRWLTEARKETVMGQPTPKTPRQLREFLGTAGFCRLWIPGFAEMA -APLYPLTKTGTLFNWGPDQQKAYQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRP -VAYLSKKLDPVAAGWPPCLRMVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQ -ALLLDTDRVQFGPVVALNPATLLPLPEEGLQHNCLDILAEAHGTRPDLTDQPLPDADHTWYTDGSSLLQE -GQRKAGAAVTTETEVIWAKALPAGTSAQRAELIALTQALKMAEGKKLNVYTDSRYAFATAHIHGEIYRRR -GLLTSEGKEIKNKDEILALLKALFLPKRLSIIHCPGHQKGHSAEARGNRMADQAARKAAITETPDTSTLL -IENSSPYTSEHFHYTVTDIKDLTKLGAIYDKTKKYWVYQGKPVMPDQFTFELLDFLHQLTHLSFSKMKAL -LERSHSPYYMLNRDRTLKNITETCKACAQVNASKSAVKQGTRVRGHRPGTHWEIDFTEIKPGLYGYKYLL -VFIDTFSGWIEAFPTKKETAKVVTKKLLEEIFPRFGMPQVLGTDNGPAFVSKVSQTVADLLGIDWKLHCA -YRPQSSGQVERMNRTIKETLTKLTLATGSRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFPD -PDMTRVTNSPSLQAHLQALYLVQHEVWRPLAAAYQEQLDRPVVPHPYRVGDTVWVRRHQTKNLEPRWKGP -YTVLLTTPTALKVDGIAAWIHAAHVKAADPGGGPSSRLTWRVQRSQNPLKIRLTREAP - ->sp|P03356.3|POL_MLVAV RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQTVTTPLSLTLEHWEDVQRIASNQSVDVKKRRWVTFCSAEWPTFGVGWPQDGTFNLDIILQVKSKVFS -PGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLSPSPTAPILPSGPSTQPPPRSALYPALTPSIKPRP -SKPQVLSDNGGPLIDLLSEDPPPYGGQGLSSSDGDGDREEATSTSEIPAPSPIVSRLRGKRDPPAADSTT -SRAFPLRLGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTGE -EKQRVLLEARKAVRGNDGRPTQLPNEVDAAFPLERPDWDYTTQRGRNHLVLYRQLLLAGLQNAGRSPTNL -AKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKSKTLG -DLVREAERIFNKRETPEEREERVRRETEEKEERRRAEEEQKEKERDRRRHREMSKLLATVVSGQRQDRQG -GERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLDDQGGQGQEPPPEPRITLTVGGQPV -TFLVDTGAQHSVLTQNPGPLSDRSAWVQGATGGKRYRWTTDRKVHLATGKVTHSFLHVPDCPYPLLGRDL -LTKLKAQIHFEGSGAQVVGPKGQPLQVLTLNLEDEYRLYETSAEPEVSPGSTWLSDFPQAWAETGGMGLA -VRQAPLIIPLKATSTPVSIKQYPMSQEAKLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRPV -QDLREVNKRVEDIHPTVPNPYNLLSGLPPSHRWYTVLDLKDAFFCLRLHPTSQPLFAFEWRDPGMGISGQ -LTWTRLPQGFKNSPTLFDEALHRDLADFRIQHPDLILLQYVDDILLAATSELDCQQGTRALLLTLGNLGY -RASAKKAQLCQKQVKYLGYLLKEGQRWLTEARKETVMGQPTPKTPRQLREFLGTAGFCRLWIPGFAEMAA -PLYPLTKTGTLFNWGPDQQKAYQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRPV -AYLSKKLDPVAAGWPPCLRMVAAIAVLRKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQA -MLLDTDRVQFGPVVALNPATLLPLPEEGAPHDCLEILAETHGTRPDLTDQPIPDADHTWYTDGSSFLQEG -QRKAGAAVTTETEVIWARALPAGTSAQRAELIALTQALKMAEGKRLNVYTDSRYAFATAHIHGEIYRRRG -LLTSEGREIKNKSEILALLKALFLPKRLSIIHCLGHQKGDSAEARGNRLADQAAREAAIKTPPDTSTLLI -EDSTPYTPAYFHYTETDLKKLRELGATYNQSKGYWVFQGKPVMPDQFVFELLDSLHRLTHLGYQKMKALL -DRGESPYYMLNRDKTLQYVADSCTVCAQVNASKAKIGAGVRVRGHRPGSHWEIDFTEVKPGLYGYKYLLV -FVDTFSGWVEAFPTKRETARVVSKKLLEEIFPRFGMPQVLGSDNGPAFTSQVSQSVADLLGIDWKLHCAY -RPQSSGQVERMNRTIKETLTKLTLAAGTRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFHDP -DMSELTNSPSLQAHLQALQTVQREIWKPLAEAYRDQLDQPVIPHPFRIGDSVWVRRHQTKNLEPRWKGPY -TVLLTTPTALKVDGISAWIHAAHVKAATTPPIKPSWRVQRSQNPLKIRLTRGAP - ->sp|P03359.2|POL_WMSV RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Short=PR; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=p80; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p46 -MGQNNSTPLSLTLDHWKDVRTRAHNLSVKIRKGKWQTFCSSEWPTFGVGWPPEGTFNLSVIFAVKRIVFQ -ETGGHPDQVPYIVVWQDLAQSPPPWVPPSAKIAVVSSPENTRGPSAGRPSAPPRPPIYPATDDLLLLSEP -PPYPAALPPPLAPPAVGPAPGQAPDSSDPEGPAAGTRSRRARSPADDSGPDSTVILPLRAIGPPAEPNGL -VPLQYWPFSSADLYNWKSNHPSFSENPAGLTGLLESLMFSHQPTWDDCQQLLQILFTTEERERILLEARK -NVLGDNGAPTQLENLINEAFPLNRPQWDYNTAAGRERLLVYRRTLVAGLKGAARRPTNLAKVREVLQGPA -EPPSVFLERLMEAYRRYTPFDPSEEGQQAAVAMAFIGQSAPDIKKKLQRLEGLQDYSLQDLVREAEKVYH -KRETEEERQEREKKEAEERERRRDRRQEKNLTRILAAVVSERGSRDRQTGNLSNRARKTPRDGRPPLDKD -QCAYCKEKGHWARECPQKKNVREAKVLALDDQGSRGSDPLPEPRVTLTVEGTPIEFLVDTGAEHSVLTQP -MGKVGSRRTVVEGATGSKVYPWTTKRLLKIGHKQVTHSFLVIPECPAPLLGRDLLTKLKAQIQFSAEGPQ -VTWEDRPTMCLVLNLEEEYRLHEKPVPSSIDPSWLQLFPTVWAERAGMGLANQVPPVVVELRSGASPVAV -RQYPMSKEAREGIRPHIQRFLDLGVLVPCQSPWNTPLLPVKKPGTNDYRPVQDLREINKRVQDIHPTVPN -PYNLLSSLPPSHTWYSVLDLKDAFFCLKLHPNSQPLFAFEWRDPEKGNTGQLTWTRLPQGFKNSPTLFDE -ALHRDLAPFRALNPQVVLLQYVDDLLVAAPTYRDCKEGTQKLLQELSKLGYRVSAKKAQLCQKEVTYLGY -LLKEGKRWLTPARKATVMKIPPPTTPRQVREFLGTAGFCRLWIPGFASLAAPLYPLTKESIPFIWTEEHQ -KAFDRIKEALLSAPALALPDLTKPFTLYVDERAGVARGVLTQTLGPWRRPVAYLSKKLDPVASGWPTCLK -AVAAVALLLKDADKLTLGQNVTVIASHSLESIVRQPPDRWMTNARMTHYQSLLLNERVSFAPPAVLNPAT -LLPVESEATPVHRCSEILAEETGTRRDLKDQPLPGVPAWYTDGSSFIAEGKRRAGAAIVDGKRTVWASSL -PEGTSAQKAELVALTQALRLAEGKDINIYTDSRYAFATAHIHGAIYKQRGLLTSAGKDIKNKEEILALLE -AIHLPKRVAIIHCPGHQKGNDPVATGNRRADEAAKQAALSTRVLAETTKPQELIXPAQVKTRPGELTPDR -GKEFIQRLHQLTHLGPEKLLQLVNRTSLLIPNLQSAVREVTSQCQACAMTNAVTTYRETGKRQRGDRPGV -YWEVDFTEVKPGRYGNRYLLVFIDTFSGWVEAFPTKTETALTVCKKILEEILPRFGIPKVLGSDNGPAFV -AQVSQGLATQLGINWKLHCAYRPQSSGQVERMNRTIKETLTKLALETGXKDWVALLPLALLRARNTPGRF -GLTPYEILYGGPPPILESGGTLGPDDNFLPVLFTHLKALEVVRTQIWDQIKEVYKPGTVAIPHPFQVGDQ -VLVRRHRPGSLEPRWKGPYLVLLTTPTAVKVDGIAAWVHASHLKPAPPSAPDESWELEKADHPLKLRIRR -RRNESAK - ->sp|P11227.2|POL_MLVRD RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQTVTTPLSLTLEHWGDVQRIASNQSVEVKKRRRVTFCPAEWPTFDVGWPQDGTFNLDIILQVKSKVFS -PGPHGHPDQVPYIVTWEAIAYEPPSWVKPFVSPKLSLSPTAPILPSGPSTQPPPRSALYPALTPSIKPRP -SKPQVLSDNGGPLIDLLTEDPPPYGEQGPSSPDGDGDREEATYTSEIPAPSPMVSRLRGKRDPPAADSTT -SRAFPLRLGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTGE -EKQRVLLEARKAVRGNDGRPTQLPNEVNSAFPLERPDWDYTTPEGRNHLVLYRQLLLAGLQNAGRSPTNL -AKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDHGQETSVSMSFIWQSAPDIGRKLERLEDLKSKTLR -DLVREAEKIFNKRETPEEREERFRRETEENEERRRAEDEQREKERDRRRQREMSKLLATVVTGQRQDRQG -GERKRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLDDQGGQGQEPPPEPRITLKVGGQPV -TFLVDTGAQHSVLTQNPGPLSDRSAWVQGATGGKRYRWTTDRKVHLATGKVTHSFLHVPDCPYPLLGRDL -LTKLKAQIHFKGSGAQIVGPMGQPLQVLTLNIEDEYRLHEISTEPDVSPGSTWLSDFPQAWAETGGMGLA -VRQAPLIIPLKATSTPVSIKQYPMSQEAKLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRPV -QGLREVNKRVEDIHPTVPNPYNLLSGLPTSHRWYTVLDLKDAFFCLRLHPTSQPLFASEWRDPGMGISGQ -LTWTRLPQGFKNSPTLFDEALHRGLADFRIQHPDLILLQYVDDLLLAATSELDCQQGTRALLKTLGNLGY -RASAKKAQICQKQVKYLGYLLREGQRWLTEARKETVMGQPTPKTPRQLREFLGTAGFCRLWIPRFAEMAA -PLYPLTKTGTLFNWGPDQQKAYHEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRPV -AYLSKKLDPVAAGWPPCLRMVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQA -MLLDTDRVQFGPVVALNPATLLPLPEEGAPHDCLEILAETHGTEPDLTDQPIPDADHTWYTDGSSFLQEG -QRKAGAAVTTETEVIWARALPAGTSAQRAELIALTQALKMAEGKRLNVYTDSRYAFATAHIHGEIYKRRG -LLTSEGREIKNKSEILALLKALFLPKRLSIIHCLGHQKGDSAEARGNRLADQAAREAAIKTPPDTSTLLI -EDSTPYTPAYFHYTETDLKKLRELGATYNQSKGYWVFQGKPVMPDQFVFELLDSLHRLTHLGYQKMKALL -DRGESPYYMLNRDKTLQYVADSCTVCAQVNASKAKIGAGVRVRGHRPGTHWEIDFTEVKPGLYGYKYLLV -FVDTFSGWVEAFPTKHETAKIVTKKLLEEIFPRFGMPQVLGTDNGPAFVSQVSQSVAKLLGIDWKLHCAY -RPQSSGQVERMNRTIKETLTKLTLATGTRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFHDP -EMSKFTNSPSLQAHLQALQAVQREVWKPLAAAYQDQLDQPVIPHPFRVGDTVWVRRHQTKNLEPRWKGPY -TVLLTTPTALKVDGISAWIHAAHVKAATTPPIRPSWRVQRSQNPLKIRLTRGAP - ->sp|P26808.2|POL_MLVFP RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQTATTPLSLTLDHWKDVERTAHNQSVEVRKRRWVTFCSAEWPTFNVGWPRDGTFNPDIITQVKIKVFS -PGPHGHPDQVPYIVTWEALAVDPPPWVKPFVHPKPPLLLPPSAPSLPPEPPLSTPPQSSLYPALTSPLNT -KPRPQVLPDSGGPLIDLLTEDPPPYRDPGPPSPDGKGDSGEVAPTEGAPDSSPMVSRLRGRREPPVADST -TSQAFPLRLGGNGQFQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLLTHQPTWDDCQQLLGTLLTG -EEKQRVLLEARKAVRGEDGRPTQLPNDINDAFPLERPDWDYNTQRGRNHLVHYRQLLLAGLQNAGRSPTN -LAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKNKTL -GDLVREAEKIFNKRETPEEREERVRRETEEKEERRRAEDERREKERDRRRHREMSKLLATVVSGQRQDRQ -GGERRRPQLDHDQCAYCKEKGHWARDCPKKPRGPRGPRPQASLLTLDDQGGQGQEPPPEPRITLKVGGQP -VTFLVDTGAQHSVLTQNPGPLSDKSAWVQGATGGKRYRWTTDRRVHLATGKVTHSFLHVPDCPYPLLGRD -LLTKLKAQIHFEGSGAQVVGPMGQPLQVLTLNIEDEYRLHETSKGPDVPLGSTWLSDFPQAWAETGGMGL -AVRQAPLIIPLRAASTPVSIKQYPMSREARLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRP -VQDLREVNKRVEDIHPTVPNPYNLLSGLPPSHQWYTVLDLKDAFFCLRLHPTSQSLFAFEWRDPEMGISG -QLTWTRLPQGFKNSPTLFDEALHRDLADFRIQHPDLILLQYVDDLLLAATSELDCQQGTRALLQTLGDLG -YRASAKKAQICQKQVKYLGYLLKEGQRWLTEARKETVMGQPTPKTPRQLREFLGTAGFCRLWIPGFAEMA -APLYPLTKTGTLFKWGPDQQKAYQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRP -VAYLSKKLDPVAAGWPPCLRMVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQ -ALLLDTDRVQFGPIVTLNPATLLPLPEEGLQHDCLDILAEAHGTRPDLTDQPLPDADHTWYTDGSSFLQE -GQRKAGAAVTTETEVIWAKALPAGTSAQRAELIALTQALKMAEGKKLNVYTDSRYAFATAHIHGEIYRRR -GLLTSEGKEIKNKEEILALLKALFLPKRLSIIHCPGHQKGNRAEARGNRMADQAAREVATRETPETSTLL -IENSAPYTREHFHYTVTDIKDLTKLGATYDNAQKCWVYQGKPVMPDQFTFELLDFLHQLTHLSFSKTKAL -LERSYSPYYMLNRDRTLKDITETCKACAQVNASKSAVKQGTRVRGHRPGTHWEIDFTEVKPGLYGYKYLL -VFVDTFSGWVEAFPTKKETAKVVTKKLLEEIFPRFGMPQVLGTDNGPAFVSKVSQTVADLLGVDWKLHCA -YRPQSSGQVERMNRTIKETLTKLTLATGSRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFPD -PDMAKVTHNPSLQAHLQALYLVQHEVWRPLAAAYQEQLDRPVVPHPFRVGDTVWVRRHQTKNLEPRWKGP -YTVLLTTPTALKVDGIAAWIHAAHVKAADTKIEPPSESTWRVQRSQNPLKIRLTRGTS - ->sp|P26809.2|POL_MLVFF RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQAVTTPLSLTLDHWKDVERTAHNLSVEVRKRRWVTFCSAEWPTFNVGWPRDGTFNPDIITQVKIKVFS -PGPHGHPDQVPYIVTWEAIAVDPPPWVRPFVHPKPPLSLPPSAPSLPPEPPLSTPPQSSLYPALTSPLNT -KPRPQVLPDSGGPLIDLLTEDPPPYRDPGPPSPDGNGDSGEVAPTEGAPDPSPMVSRLRGRKEPPVADST -TSQAFPLRLGGNGQYQYWPFSSSDLYNWKNNNPSFSEDPAKLTALIESVLLTHQPTWDDCQQLLGTLLTG -EEKQRVLLEARKAVRGEDGRPTQLPNDINDAFPLERPDWDYNTQRGRNHLVHYRQLLLAGLQNAGRSPTN -LAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVAMSFIWQSAPDIGRKLERLEDLKSKTL -GDLVREAEKIFNKRETPEEREERIRRETEEKEERRRAEDVQREKERDRRRHREMSKLLATVVSGQRQDRQ -GGERRRPQLDHDQCAYCKEKGHWARDCPKKPRGPRGPRPQASLLTLDDQGGQGQEPPPEPRITLRVGGQP -VTFLVDTGAQHSVLTQNPGPLSDKSAWVQGATGGKRYRWTTDRRVHLATGKVTHSFLHVPDCPYPLLGRD -LLTKLKAQIHFEGSGAQVVGPMGQPLQVLTLNIEDEYRLHETSKGPDVPLGSTWLSDFPQAWAETGGMGL -AVRQAPLIIPLKATSTPVSIKQYPMSQEARLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRP -VQDLREVNKRVEDIHPTVPNPYNLLSGLPPSHQWYTVLDLKDAFFCLRLHPTSQSLFAFEWRDPEMGISG -QLTWTRLPQGFKNSPTLFDEALHRDLADFRIQHPDLILLQYVDDLLLAATSELDCQQGTRALLQTLGDLG -YRASAKKAQICQKQVKYLGYLLKEGQRWLTEARKETVMGQPTPKTPRQLREFLGTAGFCRLWIPGFAEMA -APLYPLTKTGTLFEWGPDQQKAYQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRP -VAYLSKKLDPVAAGWPPCLRMVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQ -ALLLDTDRVQFGPIVALNPATLLPLPEEGLQHDCLDILAEAHGTRPDLTDQPLPDADHTWYTDGSSFLQE -GQRKAGAAVTTETEVVWAKALPAGTSAQRAELIALTQALKMAEGKKLNVYTDSRYAFATAHIHGEIYRRR -GLLTSEGKEIKNKDEILALLKALFLPKRLSIIHCPGHQKGNRAEARGNRMADQAAREVATRETPETSTLL -IENSAPYTHEHFHYTVTDIKDLTKLGATYDDAKKCWVYQGKPVMPDQFTFELLDFLHQLTHLSFSKTKAL -LERNYCPYYMLNRDRTLKDITETCQACAQVNASKSAVKQGTRVRGHRPGTHWEIDFTEVKPGLYGYKYLL -VFIDTFSGWVEAFPTKKETAKVVTKKLLEEIFPRFGMPQVLGTDNGPAFVSKVSQTVADLLGVDWKLHCA -YRPQSSGQVERMNRTIKETLTKLTLATGSRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFPD -PDMAKVTHNPSLQAHLQALYLVQHEVWRPLAAAYQEQLDRPVVPHPFRVGDTVWVRRHQTKNLEPRWKGP -YTVLLTTPTALKVDGIAAWIHAAHVKAADTRIEPPSESTWRVQRSQNPLKIRLTRGTS - ->sp|P26810.2|POL_MLVF5 RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQTVTTPLSLTLDHWKDVERTAHNQSVEIRKRRWVTLCSAEWPTFNVGWPRDGTFNPDIITQVKIKVFS -SGPHGHPDQVPYIVTWEALAADPPPWVKPFVHPKPPPLLLPPSAPSLPPEPPFPTPPQSSLYPALTSPLN -TKPRPQVLPDSGGPLIDLLTEDPPPYRDPGPSSSDGNGGSGEVAPTEGAPDSSPMVSRLRGRREPPVADS -TTSQAFPLRQGGNGQFQYWPFSSSDLYNWKNNNPSFSEDPAKLTALIESVLLTHQPTWDDCQQLLGTLLT -GEEKQRVLLEARKAVRGEDGRPTQLPNDINDAFPLERPDWDYNTQRGRNHLVHYRQLLLAGLQNAGRSPT -NLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVAMSFIWQSAPDIGRKLERLEDLKSKT -LGDLVREAEKIFNKRETPEEREERIRRETEEKEERRRAEDEQREKERDRRRHREMSKLLATVISGQRQDR -QGGERRRPQLDHDQCAYCKEKGHWARDCPKKPRGPRGPRPQASLLTLDDQGGQGQEPPPEPRITLKVGGQ -PVTFLVDTGAQHSVLTQNPGPLSDKSAWVQGATGGKRYRWTTDRRVHLATGKVTHSFLHVPDCPYPLLGR -HLLTKLKAQIHFEGSGAQVVGPMGQPLQVLTLNIEDEYRLHETSKGPDVPLGSTWLSDFPQAWAETGGMG -LAFRQAPLIISLKATSTPVSIKQYPMSQEARLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYR -PVQDLREVNKRVEDIHPTVPNPYNLLSGLPPSHQWYTVLDLKDAFFCLRLHPTSQSLFAFEWKDPEMGIS -GQLTWTRLPQGFKNSPTLFDEALHRDLADFRIQHPDLILLQYVDDLLLAATSELDCQQGTRALLQTLGDL -GYRASAKKAQICQKQVKYLGYLLKEGQRWLTEARKETVMGQPTPKTPRQLREFLGTAGLCRLWIPGFAEM -AAPLYPLTKTGTLFKWGPDQQKAYQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRR -PVAYLSKKLDPVAAGWPPCLRMVAAIAVLTKDVGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHY -QALLLDTDRVQFGPIVALNPATLLPLPEEGLQHDCLDILAEAHGTRPDLTDQPLPDADHTWYTDGSSFLQ -EGQRRAGAAVTTETEVIWAKALPAGTSAQRAELIALTQALKMAAGKKLNVYTDSRYAFATAHIHGEIYRR -RGLLTSEGKEIKNKDEILALLKALFLPKRLSIIHCPGHQKGNHAEARGNRMADQAAREVATRETPETSTL -LIENSAPYTREHFHYTVTDIKDLTKLGATYDDAKKCWVYQGKPVMPDQFTFELLDFLHQLTHLSFSKTKA -LLERSYSPSYMLNRDRTLKDITETCKACAQVNASKSAVKQGTRVRGHRPGTHWEIDFTEVKPGLYGYKYL -LVFVDTFSGWVEAFPTKKETAKVVTKKLLEEIFPRFGMPQVLGTDNGPAFVSKVSQTVADLLGVDWKLHC -AYRPQSSGQVERMNRTIKETLTKLTLATGSRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFP -DPDMAKVTHNPSLQAHLQALYLVQHEVWRPLAAAYQEQLDRPVVPHPFRVGDTVWVRRHQTKNLEPRWKG -PYTVLLTTPTALKVDGIAAWIHAAHVKAADTRIEPPAESTWRVQRSQNPLKIRLTRGTS - ->sp|P08361.2|POL_MLVCB RecName: Full=Gag-Pol polyprotein; Short=Pr180gag-pol; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQTVTTPLSLTLDHWKDVERTAHNQSVDVKKRRWVTFCSVEWPTFNVGWPQDGTFNRDIITQVKIKVFS -PGPHGHPDQVPYIVTWEALAFDPPPWVKPFVHPKPPLPPSAPSLLPEPPLSTSPRSSLYPALTPSLGAKP -KPQVLPDSGGPLIDLLTEDPPPYRDPGPPPSDRDRDDGEAAPAGEAPDPSPMASRLRGRRELPVADSTTS -QAFPLRSGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLLTHQPTWDDCQQLLGTLLTGEE -KQRVLLEARKAVRGEDGRPTQLPNEINDAFPLERPDWDYNTQRGRNHLVLYRQLLLAGLQNAGRSPTNLA -KVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKSKTLGD -LVREAEKIFNKRETPEEREERIKRETEEKEERRRAEDEQKEKERDRRRHREMSKLLATVVSGQKQDRQGG -ERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLALDDQGGRGQEPPPEPRITLKVGGQPVT -FLVDTGAQHSVLTQNPGPLSDKSAWVQGATGGKRYRWTTDRKVHLATGKVTHSFLHVPDCPYPLLGRDLL -TKLNAQIHFEGSGAQVVGPRGQPLQVLTLNIEDEYRLHETSKEPDVSLGSTWLSDFPQAWAETGGMGLAV -RQAPLIIPLKATSTPVSIKQYPMSQEARLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRPVQ -DLREVNKRVEDIHPTVPNPYNLLSGLPPSHQWYTVLDLKDAFFCLRLHPTSQPLFAFEWRDPEMGISGQL -TWTRLPQGFKNSPTLFDEALHRDLAGFRIQHPDLILLQYVDDLLLAATSELDCQQGTRALLQTLGDLGYR -ASAKKAQICQKQVKYLGYLLKEGQRWLTEARKETVMGQPIPKTPRQLREFLGTAGFCRLWIPGFAEMAAP -LYPLTKTGTLFNWGPDQQKAFQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRPVA -YLSKKLDPVAAGWPPCLRMVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQAL -LLDTDRVQFGPVVALNPATLLPLPEEGLQHDCLDILAEAHGTRSDLMDQPLPDADHTWYTDGSSFLQEGQ -RKAGAAVTTETEVIWARALPAGTSAQRAELIALTQALKMAEGKKLNVYTDSRYAFATAHIHGEIYRRRGL -LTSEGKEIKNKDEILALLKALFLPKRLSIIHCPGHQKGNSAEARGNRMADQAAREVATRETPETSTLLIE -NSTPYTHEHFHYTVTDTKDLTKLGATYDSAKKYWVYQGKPVMPDQFTFELLDFLHQLTHLSFSKTKALLE -RSPSPYYMLNRDRTLKNITETCKACAQVNASKSAVKQGTRVRGHRPGTHWEIDFTEVKPGLYGYKYLLVF -VDTFSGWIEAFPTKKETAKVVTKKLLEEIFPRFGMPQVLGTDNGPAFVSKVSQTVADLLGIDWKLHCAYR -PQSSGQVERMNRTIKETLTKLTLATGSRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFPDPD -MTRVTNSPSLQAHLQALYLVQHEVWRPLAAAYQEQLDRPVVPHPYRVGDTVWVRRHQTKNLEPRWKGPYT -VLLTTPTALKVDGISAWVHAAHVKAATTSPARTAWKVQRSQNPLKIRLSREPS - ->sp|Q9TTC1.2|POL_KORV RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr125Pol; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease/Reverse transcriptase/ribonuclease H; AltName: Full=p87; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=p42 -MGQGESTPLSLTLDHWKDVKTRAHNLSVEIRKGKWQTFCSSEWPTFEVGWPPEGTFNPSIISAVKRIVFQ -ETGGHPDQVPYIIVWQDLSNSPPPWVPPLAKIAVASGQDNGRKSAGGRPSAPSRLPIYPETDSLFLLSEP -PPYPTSPPPPPAPHAARPAPGLMAEGLGSEGPAAGTRSRRPRSPTGDTGPDSTVALPLRAVGPPAEPNGL -VPLQYWPFSSADLYNWKSNHPSFSENPTGLTGLLESLMFSHQPTWDDCQQLLQVLFTTEERERILLEARK -NVLGVNGAPTQLENLINEAFPLNRPQWDHNTAEGRERLLVYRRTLVAGLKGAARRPTNLAKVREVLQGPT -EPPSVFLERLMEAYRRYTPFDPSSEGQKAAVAMSFIGQSAPDIKKKLQRLEGLQDHSLQDLIKEAEKVYH -KRETEEEKQEREKKETEERERRRDRRQEKNLTKILAAVVSEKGFRGRQAGNLSNRAMRAPREGRPPLDKD -QCAYCKERGHWARECPRKKNARETNVLTLGDQGSRGSDPLPEPRVTLTVEGIPTEFLVDTGAEHSVLTKP -MGKMGSKRTVVAGATGSKVYPWTTKRLLKIGQKQVTHSFLVIPECPAPLLGRDLLTKLKAQIQFSTEGPQ -VTWEDRPAMCLVLNLEEEYRLHEKPVPPSIDPSWLQLFPMVWAEKAGMGLANQVPPVVVELKSDASPVAV -RQYPMSKEAREGIRPHIQRFLDLGILVPCQSPWNTPLLPVKKPGTNDYRPVQDLREVNKRVQDIHPTVPN -PYNLLSSLPPSHTWYSVLDLKDAFFCLKLHPNSQPLFAFEWRDPEKGNTGQLTWTRLPQGFKNSPTLFDE -ALHRDLASFRALNPQVVMLQYVDDLLVAAPTYRDCKEGTRRLLQELSKLGYRVSAKKAQLCREEVTYLGY -LLKGGKRWLTPARKATVMKIPTPTTPRQVREFLGTAGFCRLWIPGFASLAAPLYPLTREKVPFTWTEAHQ -EAFGRIKEALLSAPALALPDLTKPFALYVDEKEGVARGVLTQTLGPWRRPVAYLSKKLDPVASGWPTCLK -AIAAVALLLKDADKLTLGQNVLVIAPHNLESIVRQPPDRWMTNARMTHYQSLLLNERVSFAPPAILNPAT -LLPVESDDTPIHICSEILAEETGTRPDLRDQPLPGVPAWYTDGSSFIMDGRRQAGAAIVDNKRTVWASNL -PEGTSAQKAELIALTQALRLAEGKSINIYTDSRYAFATAHVHGAIYKQRGLLTSAGKDIKNKEEILALLE -AIHLPKRVAIIHCPGHQRGTDPVATGNRKADEAAKQAAQSTRILTETTKNQEHFEPTRGKIKPRELTPDQ -GREFIQRLHQLTHLGPDKLLQLVGRTSFHIPNLQSVVREITSKCQVCAVTNAVTTYREPGRRQRGDRPGV -YWEVDFTEVKPGRYGNRYLLVFIDTFSGWVEAFPTKTETALTVCKKILEEILPRFGIPKVLGSDNGPAFV -AQVSQGLATQLGIDWKLHCAYRPQSSGQVERMNRTIKETLTKLALETGGKDWVTLLPLALLRARNTPGQF -GLTPYEILHGGPPPVLASGEVVGSNGDFFPVLFTHLKALEVVRTQIWDQIKEAYRPGTVAIPHPFQVGDR -VLVRRHRSGSLEPRWKGPYLVLLTTPTAVKVDGIAAWVHASHLKPAPPGAPDESWELEKTDHPLKLRVRR -RRNESTA - ->sp|Q7SVK7.2|POL_MLVBM RecName: Full=Gag-pol polyprotein; Contains: RecName: Full=Matrix protein p15; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQTVTTPLSLTLEHWGDVQRIASNQSVGVKKRRWVTFCSAEWPTFGVGWPQDGTFNLDIILQVKSKVFS -PGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLSLSPTAPILPSGPSTQPPPRSALYPAFTPSIKPRP -SKPQVLSDDGGPLIDLLTEDPPPYGEQGPSSPDGDGDREEATSTSEIPAPSPMVSRLRGKRDPPAADSTT -SRAFPLRLGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTGE -EKQRVLLEARKAVRGNDGRPTQLPNEVNSAFPLERPDWDYTTPEGRNHLVLYRQLLLAGLQNAGRSPTNL -AKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPAIGRKLERLEDLKSKTLG -DLVREAEKIFNKRETPEEREERIRRETEEKEERRRAGDEQREKERDRRRQREMSKLLATVVTGQRQDRQG -GERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLDDQGGQGQEPPPEPRITLTVGGQPV -TFLVDTGAQHSVLTQNPGPLSDRSAWVQGATGGKRYRWTTDRKVHLATGKVTHSFLHVPDCPYPLLGRDL -LTKLKAQIHFEGSGAQVVGPKGQPLQVLTLGIEDEYRLHETSTEPDVSLGSTWLSDFPQAWAETGGMGLA -VRQAPLIIPLKATSTPVSIQQYPMSHEARLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRPV -QDLREVNKRVEDIHPTVPNPYNLLSGLPPSHQWYTVLDLKDAFFCLRLHPTSQPLFAFEWRDPGMGISGQ -LTWTRLPQGFKNSPTLFDEALHRDLADFRIQHPDLILLQYVDDILLAATSELDCQQGTRALLQTLGDLGY -RASAKKAQICQKQVKYLGYLLREGQRWLTEARKETVMGQPVPKTPRQLREFLGTAGFCRLWIPGFAEMAA -PLYPLTKTGTLFSWGPDQQKAYQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRPV -AYLSKKLDPVAAGWPPCLRMVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQA -MLLDTDRVQFGPVVALNPATLLPLPEEGAPHDCLEILAETHGTRPDLTDQPIPDADHTWYTDGSSFLQEG -QRKAGAAVTTETEVIWAGALPAGTSAQRAELIALTQALKMAEGKRLNVYTDSRYAFATAHIHGEIYRRRG -LLTSEGREIKNKSEILALLKALFLPKRLSIIHCLGHQKGDSAEARGNRLADQAAREAAIKTPPDTSTLLI -EDSTPYTPAYFHYTETDLKKLRDLGATYNQSKGYWVFQGKPVMPDQFVFELLDSLHRLTHLGYQKMKALL -DRGESPYYMLNRDKTLQYVADSCTVCAQVNASKAKIGAGVRVRGHRPGTHWEIDFTEVKPGLYGYKYLLV -FVDTFSGWVEAFPTKRETARVVSKKLLEEIFPRFGMPQVLGSDNGPAFTSQVSQSVADLLGIDWKLHCAY -RPQSSGQVERINRTIKETLTKLTLAAGTRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFHDP -DMSELTNSPSLQAHLQALQTVQREIWKPLAEAYRDRLDQPVIPHPFRIGDSVWVRRHQTKNLEPRWKGPY -TVLLTTPTALKVDGISAWIHAAHVKAATTPPIKPSWRVQRSQNPLKIRLTRGAP - ->sp|A1Z651.1|POL_XMRV6 RecName: Full=Gag-Pol polyprotein; Short=Pr180gag-pol; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-pol; Contains: RecName: Full=Protease p14; Short=PR; Contains: RecName: Full=Reverse transcriptase/ribonuclease H p80; Short=RT; Contains: RecName: Full=Integrase p46; Short=IN -MGQTVTTPLSLTLQHWGDVQRIASNQSVDVKKRRWVTFCSAEWPTFNVGWPQDGTFNLGVISQVKSRVFC -PGPHGHPDQVPYIVTWEALAYDPPPWVKPFVSPKPPPLPTAPVLPPGPSAQPPSRSALYPALTPSIKSKP -PKPQVLPDSGGPLIDLLTEDPPPYGAQPSSSARENNEEEAATTSEVSPPSPMVSRLRGRRDPPAADSTTS -QAFPLRMGGDGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLITHQPTWDDCQQLLGTLLTGEE -KQRVLLEARKAVRGNDGRPTQLPNEVNAAFPLERPDWDYTTTEGRNHLVLYRQLLLAGLQNAGRSPTNLA -KVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKSKTLGD -LVREAEKIFNKRETPEEREERIRREIEEKEERRRAEDEQRERERDRRRHREMSKLLATVVIGQRQDRQGG -ERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLGDXGGQGQEPPPEPRITLKVGGQPVT -FLVDTGAQHSVLTQNPGPLSDKSAWVQGATGGKRYRWTTDRKVHLATGKVTHSFLHVPDCPYPLLGRDLL -TKLKAQIHFEGSGAQVVGPMGQPLQVLTLNIEDEYRLHETSKEPDVPLGSTWLSDFPQAWAETGGMGLAV -RQAPLIIPLKATSTPVSIKQYPMSQEARLGIKPHIQRLLDQGILVPCQSPWNTPLLPVKKPGTNDYRPVQ -DLREVNKRVEDIHPTVPNPYNLLSGLPPSHQWYTVLDLKDAFFCLRLHPTSQPLFAFEWRDPEMGISGQL -TWTRLPQGFKNSPTLFDEALHRDLADFRIQHPDLILLQYVDDLLLAATSEQDCQRGTRALLQTLGNLGYR -ASAKKAQICQKQVKYLGYLLKEGQRWLTEARKETVMGQPTPKTPRQLREFLGTAGFCRLWIPGFAEMAAP -LYPLTKTGTLFNWGPDQQKAYQEIKQALLTAPALGLPDLTKPFELFVDEKQGYAKGVLTQKLGPWRRPVA -YLSKKLDPVAAGWPPCLRMVAAIAVLTKDAGKLTMGQPLVILAPHAVEALVKQPPDRWLSNARMTHYQAM -LLDTDRVQFGPVVALNPATLLPLPEKEAPHDCLEILAETHGTRPDLTDQPIPDADYTWYTDGSSFLQEGQ -RRAGAAVTTETEVIWARALPAGTSAQRAELIALTQALKMAEGKKLNVYTDSRYAFATAHVHGEIYRRRGL -LTSEGREIKNKNEILALLKALFLPKRLSIIHCPGHQKGNSAEARGNRMADQAAREAAMKAVLETSTLLIE -DSTPYTPPHFHYTETDLKRLRELGATYNQTKGYWVLQGKPVMPDQSVFELLDSLHRLTHLSPQKMKALLD -REESPYYMLNRDRTIQYVTETCTACAQVNASKAKIGAGVRVRGHRPGTHWEVDFTEVKPGLYGYKYLLVF -VDTFSGWVEAFPTKRETAKVVSKKLLEDIFPRFGMPQVLGSDNGPAFASQVSQSVADLLGIDWKLHCAYR -PQSSGQVERMNRTIKETLTKLTLASGTRDWVLLLPLALYRARNTPGPHGLTPYEILYGAPPPLVNFHDPE -MSKLTNSPSLQAHLQALQAVQQEVWKPLAAAYQDQLDQPVIPHPFRVGDAVWVRRHQTKNLEPRWKGPYT -VLLTTPTALKVDGISAWIHAAHVKAATTPPAGTAWKVQRSQNPLKIRLTRGAP - ->sp|P31792.1|POL_FENV1 RecName: Full=Pol polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -LQDFPQAWAETGGLGRAKCQVPIIIDLKPTAMPVSIRQYPMSKEAHMGIQPHITRFLELGVLRPCRSPWN -TPLLPVKKPGTRDYRPVQDLREVNKRTMDIHPTVPNPYNLLSTLSPDRTWYTVLDLKDAFFCLPLAPQSQ -ELFAFEWRDPERGISGQLTWTRLPQGFKNSPTLFDEALHRDLTDFRTQHPEVTLLQYVDDLLLAAPTKEA -CIRGTKHLLRELGDKGYRASAKKAQICQTKVTYLGYILSEGKRWLTPGRIETVAHIPPPQNPREVREFLG -TAGFCRLWIPGFAELAAPLYALTKESAPFTWQEKHQSAFEALKEALLSAPALGLPDTSKPFTLFIDEKQG -IAKGVLTQKLGPWKRPVAYLSKKLDPVAAGWPPCLRIMAATAMLVKDSAKLTLGQPLTVITPHALEAIVR -QTPDRWITNARLTHYQALLLDTDRIQFGPPVTLNPATLLPAPEDQQSAHDCRQVLAETHGTREDLKDQEL -PDADHSWYTDGSSYIDSGTRRAGAAVVDGHHIIWAQSLPPGTSAQKAELIALTKALELSEGKKANIYTDS -RYAFATAHTHGSIYERRGLLTSEGKEIKNKAEIIALLKALFLPRKVAIIHCPGHQKGQDPIATGNRQADQ -VARQVAVAETLTLTTKLEETNLTTNKYAYTPEDQEEAKAIGAILNQDTKDWEKEGKIVLPRKEALAMIQQ -MHAWTHLSNQKLKLLIEKTDFLIPKAGTLIEQVTSACKVCQQVNAGATRVPEGKRTRGNRPGVYWEIDFT -EVKPHYAGYKYLLVFVDTFSGWVEAYPTRQETAHMVAKKILEEIFPRFGLPKVIGSDNGPAFVSQVSQGL -ARTLGINWKLHCAYRPQSSGQVERMNRTIKETLTKLTLETGLKDWRRLLSLALLRARNTPNRFGLTPYEI -LYGGPPPLSTLLNSFSPSDPKTDLQARLKGLQAVQAQIWTPLAELYRPGHPQTSYPFQVGDSVYVRWHRS -QGLEPRWKGPYIVLLTTPTAIKVDGIAAWIHASHAKAAPKTPGPETPKTWKLHRSENPLKIRLSRV - ->sp|P21414.2|POL_GALV RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10-Pol; Short=NC-pol; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQDNSTPISLTLNHWRDVRTRAHNLSVEIKKGKWQTFCSSEWPTFGVGWPPEGTFNLSVIFAVKKIVFQ -ENGGHPDQVPYIVVWQDLAQNPPPWVPASAKVAVVSDTRRPVAGRPSAPPRPPIYPATDDLLLLSEPTPP -PYPAALPPPLAPQAIGPPSGQMPDSSDPEGPAAGTRSRRARSPADNSGPDSTVILPLRAIGPPAEPNGLV -PLQYWPFSSADLYNWKSNHPSFSENPAGLTGLLESLMFSHQPTWDDCQQLLQILFTTEERERILLEARKN -VLGDNGAPTQLENLINEAFPLNRPHWDYNTAAGRERLLVYRRTLVAGLKGAARRPTNLAKVREVLQGPAE -PPSVFLERLMEAYRRYTPFDPSSEGQQAAVAMAFIGQSAPDIKKKLQRLEGLQDYSLQDLVKEAEKVYHK -RETEEERQEREKKEAEEKERRRDRPKKKNLTKILAAVVSREGSTGRQTGNLSNQAKKTPRDGRPPLDKDQ -CAYCKEKGHWARECPRKKHVREAKVLALDNXGSQGSDPLPEPRVTLTVEGTPIEFLVDTGAEHSVLTQPM -GKVGSRRTVVEGATGSKVYPWTTKRLLKIGHKQVTHSFLVIPECPAPLLGRDLLTKLKAQIQFSAEGPQV -TWGERPTMCLVLNLEEEYRLHEKPVPSSIDPSWLQLFPTVWAERAGMGLANQVPPVVVELRSGASPVAVR -QYPMSKEAREGIRPHIQKFLDLGVLVPCRSPWNTPLLPVKKPGTNDYRPVQDLREINKRVQDIHPTVPNP -YNLLSSLPPSYTWYSVLDLKDAFFCLRLHPNSQPLFAFEWKDPEKGNTGQLTWTRLPQGFKNSPTLFDEA -LHRDLAPFRALNPQVVLLQYVDDLLVAAPTYEDCKKGTQKLLQELSKLGYRVSAKKAQLCQREVTYLGYL -LKEGKRWLTPARKATVMKIPVPTTPRQVREFLGTAGFCRLWIPGFASLAAPLYPLTKESIPFIWTEEHQQ -AFDHIKKALLSAPALALPDLTKPFTLYIDERAGVARGVLTQTLGPWRRPVAYLSKKLDPVASGWPTCLKA -VAAVALLLKDADKLTLGQNVTVIASHSLESIVRQPPDRWMTNARMTHYQSLLLNERVSFAPPAVLNPATL -LPVESEATPVHRCSEILAEETGTRRDLEDQPLPGVPTWYTDGSSFITEGKRRAGAPIVDGKRTVWASSLP -EGTSAQKAELVALTQALRLAEGKNINIYTDSRYAFATAHIHGAIYKQRGLLTSAGKDIKNKEEILALLEA -IHLPRRVAIIHCPGHQRGSNPVATGNRRADEAAKQAALSTRVLAGTTKPQEPIEPAQEKTRPRELTPDRG -KEFIKRLHQLTHLGPEKLLQLVNRTSLLIPNLQSAVREVTSQCQACAMTNAVTTYRETGKRQRGDRPGVY -WEVDFTEIKPGRYGNKYLLVFIDTFSGWVEAFPTKTETALIVCKKILEEILPRFGIPKVLGSDNGPAFVA -QVSQGLATQLGINWKLHCAYRPQSSGQVERMNRTIKETLTKLALETGGKDWVTLLPLALLRARNTPGRFG -LTPYEILYGGPPPILESGETLGPDDRFLPVLFTHLKALEIVRTQIWDQIKEVYKPGTVTIPHPFQVGDQV -LVRRHRPSSLEPRWKGPYLVLLTTPTAVKVDGIAAWVHASHLKPAPPSAPDESWELEKTDHPLKLRIRRR -RDESAK - ->sp|P0DOH0.1|GGAG_MLVF5 RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -LGDVPRTSGAIFVARPESNHPDRFGLFGAPPLEEGYVVLVGDGRLKQFPPPSEFLLSVWNRSRAARLVCC -SIVLCCLCLTVFLYLSENMGQTVTTPLSLTLDHWKDVERTAHNQSVEIRKRRWVTLCSAEWPTFNVGWPR -DGTFNPDIITQVKIKVFSSGPHGHPDQVPYIVTWEALAADPPPWVKPFVHPKPPPLLLPPSAPSLPPEPP -FPTPPQSSLYPALTSPLNTKPRPQVLPDSGGPLIDLLTEDPPPYRDPGPSSSDGNGGSGEVAPTEGAPDS -SPMVSRLRGRREPPVADSTTSQAFPLRQGGNGQFQYWPFSSSDLYNWKNNNPSFSEDPAKLTALIESVLL -THQPTWDDCQQLLGTLLTGEEKQRVLLEARKAVRGEDGRPTQLPNDINDAFPLERPDWDYNTQRGRNHLV -HYRQLLLAGLQNAGRSPTNLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVAMSFIWQS -APDIGRKLERLEDLKSKTLGDLVREAEKIFNKRETPEEREERIRRETEEKEERRRAEDEQREKERDRRRH -REMSKLLATVISGQRQDRQGGERRRPQLDHDQCAYCKEKGHWARDCPKKPRGPRGPRPQASLLTLDD - ->sp|P0DOH2.1|GGAG_FSVMD RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -MSGASSGTATGARLFGISSVLGEYRVLIGDEGAGPSRSPSEVSFSVWYRSRAARLVILCLVASFLVPCLT -FLIAETVMGQTVTTPPSLTLDHWSEVRTRAHNQGIEVRKKKWITLCEAEWVMMNVGWPREGTPPLDNTSQ -VEKRIFAPGPHGHPDQVPYITTWRSLATDPPSWVRPFLPPPKPPTPLPQPLSPQPSAPPTSSLYPVLPKT -NPPKPPVLPPDPSSPLIDLLTEEPPPYPGGHGPPPSGLRTPAASPIASRLRERRENPAEESQALPLREGP -NNRPQYWPFSASDLYNWKLHNPPFSQDPVALTNLIESILVTHQPTWDDCQQLLQALLTAEERQRVLLEAR -KQVPGEDGRPTQLPNVIDEAFPLTRPNWDFATPAGREHLRLYRQLLLAGLRGAARRPTNLAQVKQVVQGK -EETPASFLERLKEAYRMYTPYDPEDPGQAASVILSFIYQSSPDIRNKLQRLEGLQGFTLSDLLKEAEKIY -NKRETPEEREERLWQRQEERDKKRHKEMTKVLATVVTQNRNKDREE - ->sp|P0DOH5.1|GGAG_MLVFF RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -LGDVPGTSGAIFVARPESNHPDRFGLFGAPPLEEGYVVLVGDRGLKRFPPPSEFLLSVWNRSRAARLVCC -SIVLCCLCLTVFLYLSENMGQAVTTPLSLTLDHWKDVERTAHNLSVEVRKRRWVTFCSAEWPTFNVGWPR -DGTFNPDIITQVKIKVFSPGPHGHPDQVPYIVTWEAIAVDPPPWVRPFVHPKPPLSLPPSAPSLPPEPPL -STPPQSSLYPALTSPLNTKPRPQVLPDSGGPLIDLLTEDPPPYRDPGPPSPDGNGDSGEVAPTEGAPDPS -PMVSRLRGRKEPPVADSTTSQAFPLRLGGNGQYQYWPFSSSDLYNWKNNNPSFSEDPAKLTALIESVLLT -HQPTWDDCQQLLGTLLTGEEKQRVLLEARKAVRGEDGRPTQLPNDINDAFPLERPDWDYNTQRGRNHLVH -YRQLLLAGLQNAGRSPTNLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVAMSFIWQSA -PDIGRKLERLEDLKSKTLGDLVREAEKIFNKRETPEEREERIRRETEEKEERRRAEDVQREKERDRRRHR -EMSKLLATVVSGQRQDRQGGERRRPQLDHDQCAYCKEKGHWARDCPKKPRGPRGPRPQASLLTLDD - ->sp|P0DOG9.1|GGAG_FSVST RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -MSGASSGTAIGAHLFGVSPEYRVLIGDEGAGPSKSLSEVSFSVWYRSRAARLVILCLVASFLVPCLTFLI -AEAVMGQTVTTPLSLTLDHWSEVRARAHNQGVEVRKKKWITLCKAEWVMMNVGWPREGTFSLDNISQVKK -KIFAPGPHGHPDQVPYITTWRSLATDPPSWVRPFLPPPKPPTPLPQPLSPQPSAPLTSSLYPVVPKPDPP -KPPVLPPDPSSPLIDLLTEEPPPYPGGHGPPPSGPRTPAASPIVSRLRERRENPAEESQALPLREGPNNR -PQYWPFSASDLYNWKSHNPPFSQDPVALTNLIESILVTHQPTWDDCQQLLQALLTGEERQRVLLEARKQV -PGEDGRPTQLPNVIDETFPLT - ->sp|P0DOH3.1|GGAG_FLV RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -MSGASSGTAIGAHLFGVSPEYRVLIGDGGAGPSKSLSEVSFSVWYRSRAARLVILCLVASFLVPCLTFLI -AEAVMGQTVTTPLSLTLDHWSEVRARAHNQGVEVRKKKWITLCEAEWVMMNVGWPREGTFSLDNISQVEK -KIFAPGPHGHPDQVPYITTWRSLATDPPSWVRPFLPPPKPPTPLPQPLSPQPSAPLTSSLYPVVPKPDPP -KPPVLPPDPSSPLIDLLTEEPPPYPGGHGPPPSGPRTPAASPIASRLRERRENPAEESQALPLREGPNNR -PQYWPFSASDLYNWKSHNPPFSQDPVALTNLIESILVTHQPTWDDCQQLLQALLTGEERQRVLLEARKQV -PGEDGRPTQLPNVIDETFPLTRPNWDFATPAGREHLRLYRQLLLAGLRGAARRPTNLAQVKQVVQGKEET -PAAFLERLKEAYRMYTPYDPEDPGQAASVILSFIYQSSPDIRNKLQRLEGLQGFTLSDLLKEAEKIYNKR -ETPEEREERLWQRQEERDKKRHKEMTKVLATVVAQNRDKDREENKLGDQRKIPLGKDQCAYCKEKGHWVR -DCPKRPRKKPANSTLLNLED - ->sp|P0DOH6.1|GGAG_MLVFP RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -LGDVPGTSGAIFVARPESNYPDRFGLFGAPPLEEGYVILVGDGRLKRFPPPSEFLLSVWSRSRAARPVCC -SIVLCCFCLTVFLYLSENMGQTATTPLSLTLDHWKDVERTAHNQSVEVRKRRWVTFCSAEWPTFNVGWPR -DGTFNPDIITQVKIKVFSPGPHGHPDQVPYIVTWEALAVDPPPWVKPFVHPKPPLLLPPSAPSLPPEPPL -STPPQSSLYPALTSPLNTKPRPQVLPDSGGPLIDLLTEDPPPYRDPGPPSPDGKGDSGEVAPTEGAPDSS -PMVSRLRGRREPPVADSTTSQAFPLRLGGNGQFQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLLT -HQPTWDDCQQLLGTLLTGEEKQRVLLEARKAVRGEDGRPTQLPNDINDAFPLERPDWDYNTQRGRNHLVH -YRQLLLAGLQNAGRSPTNLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSA -PDIGRKLERLEDLKNKTLGDLVREAEKIFNKRETPEEREERVRRETEEKEERRRAEDERREKERDRRRHR -EMSKLLATVVSGQRQDRQGGERRRPQLDHDQCAYCKEKGHWARDCPKKPRGPRGPRPQASLLTLDD - ->sp|P0DOG8.1|GGAG_MLVAV RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -LGDVSEASGARWVAQSVSPSPDRFGLFGAPPLSEGYVVLLGDERSKPSPPPSEFLLSVFRRNRAARLVCL -SIVLSFVCSLLFWTASKNMGQTVTTPLSLTLEHWEDVQRIASNQSVDVKKRRWVTFCSAEWPTFGVGWPQ -DGTFNLDIILQVKSKVFSPGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLSPSPTAPILPSGPSTQP -PPRSALYPALTPSIKPRPSKPQVLSDNGGPLIDLLSEDPPPYGGQGLSSSDGDGDREEATSTSEIPAPSP -IVSRLRGKRDPPAADSTTSRAFPLRLGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTH -QPTWDDCQQLLGTLLTGEEKQRVLLEARKAVRGNDGRPTQLPNEVDAAFPLERPDWDYTTQRGRNHLVLY -RQLLLAGLQNAGRSPTNLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAP -DIGRKLERLEDLKSKTLGDLVREAERIFNKRETPEEREERVRRETEEKEERRRAEEEQKEKERDRRRHRE -MSKLLATVVSGQRQDRQGGERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLDD - ->sp|P0DOH1.1|GGAG_FSVGA RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -MSRASSGTATGARLFGISSVLGEYRVLIGDEGAGPSRSPSEVSFSVWYRSRAARLVIVCLVASFLVPCLT -FLIAETVMGQTITTPLSLTLDHWSEVRARAHNQGVEVRKKKWITLCEAEWVMMNVGWPREGTFSLDNISQ -VEKKIFAPGPYGHPDQVPYITTWRSLATDPPSWVRPFLPPPKPPTSLPQPLSPQPSAPLTSSLYPVLPKS -DPPKPPVLPPDPSSPLIDLLTEEPPPYPGGHGPPPSGPRTPTASPIASRLRERRENPAEESQALPLREGP -NNRPQYWPFSASDLYNWKSHNPPFSQDPVALTNLIESILVTHQPTWDDCQQLLQALLTGEERQRVLLEAR -KQVPGEDGRPTQLPNVIDETFPLTRPNWDFATPAGREHLRLYRQLLLAGLRGAARRPTNLAQVKQVVQGK -EETPA - ->sp|P0DOH4.1|GGAG_MLVCB RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80 -LGDVPGTSGAVFVARPESKSPDRFGLFGAPPLEEGYVILVGDENLKQFPPPSKFLPSVWNRSRAARLVCC -SIVLCCLCLAVFLYWSENMGQTVTTPLSLTLDHWKDVERTAHNQSVDVKKRRWVTFCSVEWPTFNVGWPQ -DGTFNRDIITQVKIKVFSPGPHGHPDQVPYIVTWEALAFDPPPWVKPFVHPKPPLPPSAPSLLPEPPLST -SPRSSLYPALTPSLGAKPKPQVLPDSGGPLIDLLTEDPPPYRDPGPPPSDRDRDDGEAAPAGEAPDPSPM -ASRLRGRRELPVADSTTSQAFPLRSGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLLTHQ -PTWDDCQQLLGTLLTGEEKQRVLLEARKAVRGEDGRPTQLPNEINDAFPLERPDWDYNTQRGRNHLVLYR -QLLLAGLQNAGRSPTNLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPD -IGRKLERLEDLKSKTLGDLVREAEKIFNKRETPEEREERIKRETEEKEERRRAEDEQKEKERDRRRHREM -SKLLATVVSGQKQDRQGGERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLALDD - ->sp|Q8UN02.2|GGAG_MLVMN RecName: Full=Glyco-Gag protein; AltName: Full=Gross cell surface antigen; AltName: Full=glycosylated Pr80 gag; Short=gPr80 Gag; Short=gag-gPr80; Contains: RecName: Full=Nextended-MA-p12; Contains: RecName: Full=CA-NC -LGDVPGTSGAVFVARPESKNPDRFGLFGAPPLEEGYVVLVGDENLKQFPPPSEFLLSVWNRSRAARLVCC -SIVLCCLCLTVFLYLSENMGQTVTTPLSLTLDHWKDVERIAHNQSVDVKKRRWVTFCSAEWPTFNVGWPR -DGTFNRDLITQVKIKVFSPGPHGHPDQVPYIVTWEALAFDPPPWAKPFVHPKPPPPLPPSAPSLPLEPPL -STPSRSSLYPALTPSLGAKPKPQVLSDSEGPLIDLLTEDPPPYRDPRPPPSDGDGNSGEATPAGEAPDPS -PMASRLRGRREPPVADSTTSQAFPLRTGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLIT -HQPTWDDCQQLLGTLLTGEEKQRVLLEARKAVRGDDGRPTQLPNEVDAAFPLERPDWEYTTQAGRNHLVQ -YRQLLLAGLQNAGRSPTNLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVAMSFIWQSA -PDIGRKLERLEDLKNKTLGDLVREAERIFNKRETPEEREERIRRETEEKEERRRTEDEQKEKERDRRRHR -EMSKLLATVVSGQRQDRQGGERRRSQLDRDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLDD - ->sp|P32594.3|GAG_MSVMT RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA -MGQTVTTPLSLTLDHWKDVERIAHNQSVDVKKRRWVTFCSAEWPTFNVGWPRDGTFNRDLITQVKIKVFS -PGPHGHPDQVPYIVTWEALAFDPPPWVKPFVHPKPPPPLLPSAPSLPLEPPLSTPPQSSLYPALTPSLGA -KPKPQVLSDSGGPLIDLLTEDPPPYRDPRPPPSDRDGDSGEATPAGEAPDPSPMASRLRGRREPPVADST -TSQAFPLRTGGNGQLQYWPFSSSDLYNWKSNNPSFSEDPGKLTALIESVLITHQPTWDDCQQLLGTLLTG -EEKQRVLLEARKAVRGDDGRPTQLPNEVDAAFPLERPDWEYTTQAGRNHLVHYRQLLIAGLQNAGRSPTN -LAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLRNKTL -GDLVREAERIFNKRETPEEREERIRREREEKEERHAPKLPWLFLIISP - ->sp|P21435.3|GAG_MLVHO RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-gag -MGQTITTPLSLTLDHWRDVQRIASNQSVDVKKRRWVTFCSAEWPTFNVGWPQDGTFNKDIITQVKIKVFS -PGPHGHPDQVPYIVTWEAIAYDPPPWAKPFVHPQLSVSPSAPSAFSHEVSGPPTRSSLYPALTPTKSPSP -KTQVLSDDGGPLIDLLSDDPPPYRGPENQPPAGRPTTTMQEMPRLPLKVPQEPSPMASRLRGRREHPAAD -STTSQAFPLRTGGNGQLQYWPFSSADLYNWKNNNPSFSEDPGKLTALIESVLITHQPTWDDCQQLLGTLL -TGEEKQRVLLEARKAVRGNDGRPTQLPNEINDAFPLERPDWDYTTPAGRNHLVLYRQLLLAGLQHAGRSP -TNLAKVKGITQGPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKSK -TLGDLVREAERIFNKRETPEEREERIRRETEEKEERRRAENEQREKERDRRRHREMSKLLATVVSGQRQD -RQGGERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLDD - ->sp|P23090.3|GAG_MLVDU RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-gag -MGQTVTTPLSLTLDHWKDVQCIASNQSVDVKRRRWVTFCSVEWPSFDVGWPLDGTFNLDIILQVKSKVTC -PGPHGHPDQVPYIVTWEALVYHPPPWVKPFVSPKPFPLSTLPFSPPGPSAHPPSRSDLYTALIPSKPPKS -RVLPPNGGPLIDLLTENLPNLPPLSKGPVKKRRPPPPRYSPPNPMESRVRGRRDPPAADSTSSQAFPLRM -GGDGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTGEEKQRVLLE -ARKAVRGNDGRPTQLPNEVNSAFPLERPDWNYSTPEGRNHLVLYRQLLLAGLHNAGRSPTNLAKVKRITQ -GPNESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKSKTLGDLVREAEK -IFNKRETPEEREERIRRETEEKEERRRAEDEQREKERDRRRHREMSKFLATVVTGQRQDRQGGERRRPQL -DEDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLGD - ->sp|P29168.3|GAG_MLVDE RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA; Contains: RecName: Full=Nucleocapsid protein p10; Short=NC-gag -MGQTITTPLSLTLEHWRDVQCIASNQSVDVKRRRWVTFCSVEWPSFDVGWPLDGTFNLDIILQVKSKVFC -PGPHGHPDQVPYIVTWEALAYHPPPWVKPFVSPKPFPLSTLPFSPPGPSAHPPSRSDLYTALIPSIKTKP -PKSRVLPTNGGPLIDLLTENPPNLGEQGPPLPKGPVKKRRPPPPRYSPPGPMVSRLRGNRDPPAADSTTS -RAFPLRLGGNGQLQYWPFSSSDLYNWKNNNPSFSEDPGKLTALIESVLTTHQPTWDDCQQLLGTLLTGEE -KQRVLLEARKAVRGNDGRPTQLPNEVNSAFPLERPDWDYTTPEGRNHLVLYRQLLLAGLQNAGRSPTNLA -KVKGITQGPSESPSAFLERLKEAYRRYTPYDPEDPGQETNVSMSFIWQSAPDIGRKLERLEDLKSKTLGD -LVREAEKIFNKRETPEEREERIRRETEEKEERRRAEDEQREKERDRRRHREMSKFLATVVTGQRQDRQGG -ERRRPQLDKDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLLTLGD - ->sp|P03342.1|GAG_AVISN RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA -MGQAGSKGLLTPLECILKNFSDFKKRAGDYGEDVDSFALRKLCELEWPTFGVGWPKEGTLDFKVVAAVRN -IVFGNPGHPDQVIYITVWTDITIERPKYLKSCGCKPHRTSKVLLASQKVNPRRPVLPSAPESPPRIRRAQ -FLDERPLSPAPAPPPPYPEVSAIVEDTREGQQPDSTVMTSPPHTRSGLEFGAQGPSGMYPLRETGERDMT -GRPMRTYVPFTTSDLYNWKNQNPSSFSQAPDQVISLLESVFYTHQPTWDDCQQLLRTLFTTEERERVRTE -SRREVRNDQGVQVTDEREIEAQFPATRPDWVGS - diff --git a/seq/clusters_seq/cluster_560 b/seq/clusters_seq/cluster_560 deleted file mode 100644 index e2ee473..0000000 --- a/seq/clusters_seq/cluster_560 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009508924.1 2B [Turkey avisivirus] -CVTKVMMTLFSKQVKTIVVKMVIKFFCRLCCYLVLYCHSPNLVNTAMLTILLTLDVFDTEIDEISGKVAK -ALVSGDFKAAGRAMMEAADRKCEDFKCEGKRTLQSE - ->YP_009104393.1 2B protein [Crohivirus A] -SPDETEASVIQKATSWFSSMFTNVMEADLVKFVAMCVARLTCYLCLYAHAPNLLNTVALGALIFMDVKTI -GVVSSDAKALLSCLVEGDVKGLCTAIAEKMDGMSDTDEERAEEMRETMSYAKLLLDEEDKPMENQ - ->YP_009055019.1 2B [Chicken picornavirus 3] -SGFMDGVTKCLINLFSKQVKSAMIRMAIKFVCRLVCYLILYCHSPNILNTGVLTTLLLMDVFELEVDEGL -DKLAHALIEGDFKGLGKFLKKRTGRDCDDFEPGDDHRPIFRAE - ->YP_009055008.1 2B [Chicken picornavirus 2] -DVNVMGSITSVIVSLFSKQIKTAVVRLAIKFVLRLVCYLVLYCHSPNLINTAMLSALLVMDVFDNELDEA -ISKFAQLCIKGDFKGLGRWVKGKVGSDCQDFDCPENRPIFNSE - ->YP_008119839.1 2B protein [Sebokele virus 1] -SLDGDAEGLTLLSQRCMTFFSNAMVETFNNDLVKFIIKILIRLLCYIVLYCHAPNLLTTLCLGTLLVMDI -TTCEVLSASTKALFQSLLDGDVKGLVTRIAESMTFARDTKEQAEEMSATFNYATEMVDFARRPMENQ - ->YP_007969878.1 protein 2B [Duck hepatitis A virus 1] -SFPGKDAIHTVLTKISNFIFGGLENEVVRIVIRTVIRIVCYLILYIHSPNIVTTGTLVALLALDATSMSL -DQGLKTLCMSLVDGDFGKFCSALLEKIQTVDEADLKKTIPKFNDMLEDQ - ->NP_740732.1 2b protein [Parechovirus A] -AIEGDEQGLSLLVQKCTTFFSSAATELLDNDLVKFIIKILVRILCYMVLYCHKPNILTTACLSTLLVMDV -TSSSVLSPSCKALMQCLMDGDVKKLAEVVAESMSNTDDDEIKEQICDTVKYTKQILSNQ - ->NP_705879.1 2B [Ljungan virus] -SIEGDASGLTLLSQRCMTFFSNTMCETFNNDLVKFIIKILVRLLCYIVLYCHAPNMLTTMCLGTLLVLDI -TTCEILSANTKALFQALVDGDVKSLVWKIAENMQFAQSKDEQAEDMAATFNFASDMVNFVPMEQMRQE - diff --git a/seq/clusters_seq/cluster_561 b/seq/clusters_seq/cluster_561 deleted file mode 100644 index 7bba913..0000000 --- a/seq/clusters_seq/cluster_561 +++ /dev/null @@ -1,82 +0,0 @@ ->YP_009505436.1 D protein [Simian Agent 10] -MESDAKNYQIMDSWEEESRDKSTNISSALNIIEFILSTDPQEDLSENDTINTRTQQLSATICQPEIKPTE -TSEKDSGSTDKNRQSGSSYECTTEAKDRNIDQETVQRGPGRRSSSDSRAEAVVSGGISRSITDSKNGTQN -TEDIDLNEIRKMDKDSIEGKMRQPANVPSEISGSDVIFTTEQSRNSDHGRSLESISTPDTRSISVVTAAT -PDDEEEILMKNSRMKKSSSTHQEDDKRIKKGGEKGKTGLRNQKILTTRHQHQTTDPHQKGKRKSQRQQPP -TPTQRGKQKYRQNHQKHNSHHGISSSTTTPTEPNRQAQPPQQQLPDQLIQKNQPEQTLNPNPRHKRQMER -KGRIQKRAIDLQRGQLLYCRILV - ->YP_009505435.1 phosphoprotein [Simian Agent 10] -MESDAKNYQIMDSWEEESRDKSTNISSALNIIEFILSTDPQEDLSENDTINTRTQQLSATICQPEIKPTE -TSEKDSGSTDKNRQSGSSYECTTEAKDRNIDQETVQRGPGRRSSSDSRAEAVVSGGISRSITDSKNGTQN -TEDIDLNEIRKMDKDSIEGKMRQPANVPSEISGSDVIFTTEQSRNSDHGRSLESISTPDTRSISVVTAAT -PDDEEEILMKNSRMKKSSSTHQEDDKRIKKGGKGKDWFKKSKDTDNQTSTSDYRSTSKGQKKISKTTTTN -TDTKGQTEIQTESSETQLSSWNLIIDNNTDRTEQTSTTPPTTTPRSTYTKESTRTNSESKPKTQKTNGKE -RKDTEESNRFTERAITLLQNLGVIQSTSKLDLYQDKRVVCVANVLNNVDTASKIDFLAGLVIGVSMDNDT -KLIQIQNEMLNLKADLKKMDESHRRLIENQREQLSLITSLISNLKIMTERGGKKDQNESNERVSMIKTKL -KEEKIKKTRFDPLMEAQGIDKNIPDLYRHAGNTLENDVQVKSEILSSYNESNATRLIPKKVSSTMRSLVA -VINNSNLSQSTKQSYINELKHCKSDEEVSELMDMFNEDVNNC - ->YP_009179208.1 phosphoprotein [Caprine parainfluenza virus 3] -MDNNVEDNKIMDSWEERAENRSADISSALDIIEFILNNDTQENANIENRIETGEVDLSATIPYTKSQTTE -PYKKDSRSTDKNRWSRTSHKYTTKTESGDVDQEVVQRRDRRGSSTDNRIEIMGIGRLPRSIADPEHGSQI -QENTDHDEVRKVDKDPLEREIRQLEDVPIKISGGDTIPSAEQGGDSNDGGSLEFVSAPYSRGADVITIAT -PSDEEELLAKNTRQRKNPPEYQQDNQEIKKGKREEKKWSKGERREGQQRREEGEKREREEKIETGRGIDP -VPPLAIQSPQIGASRENQTVSESQTKRTDPTKTTGGNQRERPTPLDPCTKGQHTQAHRFSHGAQRSTGDQ -SGTFRPKVSTQGSQVESRKKSGEEKENTEESTQYTEKAITLLQSLGVIQSAAKLDLYQDKRIVYAANVLN -NVDIASKIDFLAGLMIGVSIDNDNKLNQIQNEIMDLKNDLKRVDESHRRLIENQKEQLSLIISLISNLKI -ITERGGRKDQTELSDRMPMVRTKTKEEKAKKVRFDPLMESQGDDRNIPDLYRNTEKAPENDQQIRSDIHL -SNNDSNATRLVPKRTNNTMRSLAIIINNSNLSATSKQSYINELKLCKSDEEVSELMELFNEDINSQ - ->NP_599250.1 D protein [Human respirovirus 3] -MESDAKNYQIMDSWEEESRDKSTNISSALNIIEFILSTDPQEDLSENDTINTRTQQLSATIYQPKIKPTE -TSEKDSGSTDKNRQSGSSHECTTEAKDRTIDQETVQRGPGRRSSSDSRAETVVSGGISRSITNSKNGTQN -TEDIDLNEIRKMDKDSIEGKVRQSADVPSEISGSDVIFTTEQSRNSDHGRSLESISTPDTRSISVVTAAT -PDDEEEILMKNSRTKKSSSIHQEDDKRIKKGGEKGKTGLRNQKILTTRYQHQTTDPHQKGRRKSQKQQPS -TPTQRGKQKYRQNHQEHNPHHGISPLITTQIEPNRQTQLPQQQPPDQLIQKNQSEQTLDPNPRHKRQMER -KGRIQKRAIDLQRGQLLYCRILV - ->NP_067149.1 phosphoprotein [Human respirovirus 3] -MESDAKNYQIMDSWEEESRDKSTNISSALNIIEFILSTDPQEDLSENDTINTRTQQLSATIYQPKIKPTE -TSEKDSGSTDKNRQSGSSHECTTEAKDRTIDQETVQRGPGRRSSSDSRAETVVSGGISRSITNSKNGTQN -TEDIDLNEIRKMDKDSIEGKVRQSADVPSEISGSDVIFTTEQSRNSDHGRSLESISTPDTRSISVVTAAT -PDDEEEILMKNSRTKKSSSIHQEDDKRIKKGGKGKDWFKKSKDTDNQIPTSDYRSTSKGQKKISKTTTIN -TDTKGQTEIQTESSGTQSSSWNLTIDNNTDRTEQTNTTPPTTTSGSTYTKESIRTNSGSKPKTQKTNGKE -RKDTEESNRFTERAITLLQNLGVIQSTSKLDLYQDKRVVCVANVLNNVDTASKIDFLAGLVIGVSMDNDT -KLTQIQNEMLNLKADLKKMDESHRRLIENQREQLSLITSLISNLKIMTERGGKKDQNESNERVSMIKTKL -KEEKIKKTRFDPLMETQGIDKNIPDLYRHAGNTLENDVQVKSEILSSYNESNATRLIPKKVSSTMRSLVA -VISNSNLSQSTKQSYINELKHCKNDEEVSELMDMFNEDVNNCQ - ->NP_037642.1 phosphoprotein P [Bovine respirovirus 3] -MEDNVQNNQIMDSWEEGSGDKSSDISSALDIIEFILSTDSQENTADSNEINTGTTRLSTTIYQPESKTTE -TSKENSGPANKNRQFGASHERATETKDRNVNQETVQGGYRRGSSPDSRTETMVTRRISRSSPDPNNGTQI -QEDIDYNEVGEMDKDSTKREMRQFKDVPVKVSGSDAIPPTKQDGDGDDGRGLESISTFDSGYTSIVTAAT -LDDEEELLMKNNRPRKYQSTPQNSDKGIKKGVGRPKDTDKQSSILDYELNFKGSKKSQKILKASTNTGEP -TRPQNGSQGKRITSWNILNSESGNRTESTNQTHQTSTSGQNHTMGPSRTTSEPRIKTQKTDGKEREDTEE -STRFTERAITLLQNLGVIQSAAKLDLYQDKRVVCVANVLNNADTASKIDFLAGLMIGVSMDHDTKLNQIQ -NEILSLKTDLKKMDESHRRLIENQKEQLSLITSLISNLKIMTERGGKKDQPEPSGRTSMIKTKAKEEKIK -KVRFDPLMETQGIEKNIPDLYRSIEKTPENDTQIKSEINRLNDESNATRLVPRRISSTMRSLIIIINNSN -LSSKAKQSYINELKLCKSDEEVSELMDMFNEDVSSQ - ->sp|P06162.1|PHOSP_PI3H4 RecName: Full=Phosphoprotein; Short=Protein P -MESDAKNYQIMDSWEEEPRDKSTNISSALNIIEFILSTDPQEDLSENDTINTRTQQLSATICQPEIKPTE -TSEKVSGSTDKNRQSGSSHECTTEAKDRNIDQETVQGGSGRRSSSDSRAETVVSGGISGSITDSKNGTQN -TENIDLNEIRKMDKDSIERKMRQSADVPSEISGSDVIFTTEQSRNSDHGRSLEPISTPDTRSMSVVTAAT -PDDEEEILMKNSRMKKSSSTHQEDDKRIKKGGGGKGKDWFKKSRDTDNQTSTSDHKPTSKGQKKISKTTT -TNTDTKGQTETQTESSETQSPSWNPIIDNNTDRTEQTSTTPPTTTPRSTRTKESIRTNSESKPKTQKTIG -KERKDTEESNRFTERAITLLQNLGVIQSTSKLDLYQDKRVVCVANVLNNVDTASKIDFLAGLVIGVSMDN -DTKLIQIQNEMLNLKADLKRMDESHRRLIENQREQLSLITSLISNLKIMTERGGKKDQNESNERVSMIKT -KLKEEKIKKTRFDPLMEAQGIDKNIPDLYRHAGNTLENDVQVKSEILSSYNESNATRLIPRKVSSTMRSL -VAVINNSNLPQSTKQSYINELKHCKSDEEVSELMDMFNEDVNNC - ->sp|P06163.1|PHOSP_PI3B RecName: Full=Phosphoprotein; Short=Protein P -MENNAKDNQIMDSWEEGSGDKSSDISSALDIIEFILSTDSQENTADSNEVNTGNKRLSTTIYQLESKTTE -TSKENSGSVNENRQLGASHERATETKNRNVNQETIQGGNRGRSSSDSRAEIMVTRGISRSSPDPNNGTQI -QESIDYNEVGEMDKDSAKREMRQSKDVPVKVSRSDAIPPTKQDGNGDDGRSMESISTFDSGYTSIVTAAT -LDDEEELLMKNTRPKRYQSTPQEDDKGIKKGVGKPEDTNKQSPILDYELNSKGSKRNQKTLKISTTTGES -TRPQSGSQGKRITSWNILNSESGSRTESTSQNSQIPTSGKSNTVGPGRTTLESRIKTQKTDGKEREDTEE -STRFTERAITLLQNLGVIQSAAKLDLYQDKRVVCVANVLNNADTASKIDFLAGLMIGVSMDHDTKLNQIQ -NEILSLKTDLKKMDESHRRLIENQKEQLSLITSLISNLKIMTERGGKKDQPENSGRTPMIKTKAKEEKIK -KVRFDPLMETQGIEKNIPDLYRSIEKTPENDIQIKSDINRSNDESNATRLVPKRTSNTMRSLIIIINNSN -LSSRAKQSYINELKLCKSDEEVSELMDMFNEDVSSQ - diff --git a/seq/clusters_seq/cluster_562 b/seq/clusters_seq/cluster_562 deleted file mode 100644 index 3a0d1e0..0000000 --- a/seq/clusters_seq/cluster_562 +++ /dev/null @@ -1,118 +0,0 @@ ->YP_009408180.1 ORF1 [Lake Sinai virus 2] -MKVVFLVSFAVFLICQVPPVSYWLEDLLDEYNTMCSYEYAAAEAYNNFVHKHRVAAYAAGVRILRYRTPW -YCFASRSVPVVHPFSWLMGQYDATIDRVGTLMQRLEIANVSISDGIRTVADTALKAYFYYQIIWFQLFSI -IMLVGFFSAVICSSRVRVVRIRNRVRGYSVTELRSDFEESMSAILAPLSRGHSCLNFQRRVVESWAIDQL -LRYFRCFRSVASSQGRWAEVGHRLHRCSPVVLDGAFVPEYDQRFSACRRHPSVCPDRFDIPAAILSHVDY -YMTPDQLAAAVTGPTFIVNHDYSSIDTLSVAEVSLRSAGGLVTASVRDGPTFGPHPYYHWSDEGVVVASS -GAFQYFRIGRLFDTTLYYAFPTSGTYARDDPSALRRSTSGDLHYYSPHEKRFVSYTADDTHYHVFGVSVP -RSLADYCAATFCRAVRDDKFYDSLRSYYQNRCRAIGFSDARDTLMLDFIIHLCDDASLRTFGFSRLSSAP -SSWSAYCLSWILVKVNHMMPLALTSYVLNVLHRFFGAKAAPWNWATIHLPTYDMVTSPFRLRLFGRNPTV -FNLERFRAEATVAGSPDRGQSAEGASQDHHEYDIKSCDASVASRSSSTPLPGDSSTSGSVLLLDDTAGRV -HEDDSSARSTPHRGSGILSNKSKARRKSHRPSHDNSDTDHGYSVCHPKRTTHPLCPDPTASCGPHFFMSV -CESNESVPTLFHAHSVGGEDITHVVDPALGASISQRFSASQLRLLGWSIDGIFNTLSGAATSSFVESTLL -CLSRFMREVPPTQPVTEARRSLLFCRPRERFKGYDTFDIGFMGIAVPSSKTKGIEACLREVARQHSRADL -PNEGA - ->YP_009408177.1 ORF1 [Lake Sinai virus 1] -MVKLAFAAFVSLYIICQVPPISYWIEDLVDDFDTMCSYEYAAADAYNSFVHRHRVAAYAAGVRIHRYRTP -WYCAVSRSVPVVHPLNWLMSQYDLTTGHVRELLDRLEVVNVTLRDGLRTVADTAFTAYMYYQIMWCQLAL -IVLLVGLFSAIICSSRVRVVRVRNRVRGYSIADLRNEFDESTSDITLPLSRGHSCLNFQRRVAESWCLDQ -LLRYFHGLRFVSASRGRWAELGHRIHWCSPVVMDGSFVPEATHHGVLCRRQPSACRDRFEIPACVISHAD -YYMSPDQLASTVTGPTFIINHDYLAPDSLSVAEATTRVANGHVTSSVREGPIYGPHPYYLWNNEGVVVSS -TGAFRYYRVGRLFDTSVYYAFPAAGTYSCDDPTNLRRSTVGDLHYYSPHEKKFVSYSADDTNYHVFGTSV -PRSLADYCAATFCRSARDDKFYDGLRSYYQNRCRAIGFNDARDTLILDFIIHLCDEASLKTFGFSRLSVA -PSSWTAYCLSWFLVKVNHMMPLALTSFVVSALHRFFGARSAPWNWASIHLPTYDMVTSPFRLRMFGRNPT -TFNLERFRAEASTVGAPSPGQSAEGAGENGDQHDFKLGDPSPPPSSVPSLSSGDSIEASDHLLFDNRSRP -ASVDSRSTNTQASRSSGILSNKGKTRRKSHRPSHDNSDTDHGHSVCHPKRTTYPLCPDPTASCGPHFFMS -VCEHDESTPTVFHAHSVGGQDITHDIDSGLGAIISKRFSASQLRLLSWSIDGIFNTLSRAATSSFVESSL -LSLLRFMQQVPAVESSAETRRCLLFGGPRERFKGYDTFDLGFMGVAVPSDKTKGIEACLREVARQHARAD -GPHEGA - ->YP_009388501.1 ORF1 [Lake Sinai Virus TO] -MKVVFLVSFAVFLICQVPPVSYWLEDLLDEYNTMCSYEYAAAEAYNEFVHRHRVAAYAAGVRVLRYRAPW -YCFASRSVPVVHPFSWLMGQYDATIDRVGTLMQRLEIANVSISDGLRTVADTALKAYFYYQIIWFQLFSI -IMLVGFFSAVICSSRVRVVRIRNRVRGYSVTELRSDFEESMSAILAPLSRGHSCLNFQRRVVESWAIDQL -LRYFRCFRSVASSQGRWAEVGHRLHRCSPVVMDGAFVPEHDQRFSACRRHPSVCPDRFDIPAAILSHVDY -YMTLDQLAAAVTGPTFIVNHDYSSIDTLSVAEVSLRSAGGLVTASVRDGPTFGPHPYYHWSDEGVVVASS -GAFQYFRIGRLFDTTLYYAFPTSGTYARDDPSALRRSTSGDLHYYSPHEKRFVSYTADDTHYHVFGVSVP -RSLADYCAATFCRAVRDDKFYDSLRSYYQNRCRAIGFSDARDTLMLDFIIHLCDDASLRTFGFSRLSSAP -SSWSAYCLSWILVKVNHMMPLALTSYVLNVLHRFFGAKAAPWNWATIHLPTYDMVTSPFRLRLFGRNPTV -FNLERFRAEATVAGSPNRGQFAEGAGQDHHEYDIESCDTGVASRSSSTPLPGDSSTSGSVLLLDDTADRV -HEDDSSARSTSCRGSRLRVNKSHSGLKPRRSADHNSGSDNQIDVPHPKRTTHPLCPDPTASCGPHFFMSV -CESNESVPTLFHAHSVGGEDVTHVVDPALGASISQRFSASQLRLLGWSIDGIFNTLSGAATSSFVESTLL -CLSRFMREVPPTQPVTEARRSLLFCRPRERFKGYDTFDIGFMGIAVPSGKTKGIKACLREVARQHSRADL -PNEGAQFH - ->YP_009388494.1 ORF1 [Lake Sinai Virus NE] -MVIGRRSSHTMVKLAVVVFLLLCLISQVPPISYWIEDLVDDFDTMCSYEYAAADAYNSFVHAHRVAAYAA -GVRVYRYRVPWYCVASRSVPVVHPLSWLLAQYESTTSHVGELLDRLEIVNVTLREGLRTVAETAFTAYMY -YQIMWCQLAVIVLLVGVFSAVLCSSRVRVVRVRNRVRGYSISDLRAEFESATSDIMAPPSRGHSCLNFQR -RVVESWCLDQLMRYFHSLRFVSASQTRWSELGHRVHRCSPVVMDNSFVPEGCDRGAACHRHPSACPDRYD -IPACLISHADYYMSPEQLASIVSGPTFIVNHDYAVPDTLSVAETTIRTADGLVTSTVRDGPVYGPHPYYS -WSSEGVVVSSTGAFRYYRVGRLFDTTLYYAFPVAGTYSCDDPNNLRRSTVGDMHYYSPHEKRFVSYSANS -THYQVFGVSVPRSLADYCAATFCRATRDDKFYDSLRSYYQNRCRAIGFTDARDTLMLDFIIHLCDEASLK -TFGFSRLSAAPSSWTAYCLSWVLVKVNHMMPLALTSFVVNALHKFFGAKSAPWNWASIHLPTYDMVTSPF -RLKMFGRNPTTFNLERFRAEATIAGAPSPGQSTAGTSENSYKHDLESCHSSSPPSTITSSTFGDTTETSS -DLLLDNQSDLVHTNSGAVESEASRSTRVLPNKGKTRRKSNRPQRDNTRTHAEHSFHHPKRTTYPLCPDPT -VSCGPHFFMSVCEQDESIPTLFHAHAVGGEDITHAIEPDVGEAISKRFNASQLRLLSWSIDGILNTLSSA -ATSSFIESSLLSLLRFMQQVPTTQPTAEARRCLLFGGPRQSFKGYDTFDLGFMGVAVPSDKTKGIEACLR -EVARQHARADSPHEGSKFH - ->YP_009388490.1 ORF1 [Lake Sinai Virus SA2] -MKGYVLGVFSLLIVCNLPPVSHWIEDVIDDYNTMCSYEYAAADAYNTFIHRHRVAAYAAGVRVRKHRAPW -YCFASRSVPVVHPFSWLMSQYDESTTAIRSLLGSLGAVNATLSEGVRAVADVAFKAYIYYQIVWAQLFTI -VIVVGFFITLICSSRVRVVRIRNRVRGYDVQALCREFEAVGDSVSLPISRGHSCLNFQRRVVESWCADQL -LRYFNRFRLISGASHRLVECDAEAHRCAPTMQGGSFVPDELSRPASCRRAPAACPERYEIPAALLSHVDY -YMTTDQLAAVVTGPTFIVNHDYGAIGSLSVAEVRTSCASGLVQVDVRDGPTFGPHAYYNWADEGTVVART -GAFKYHRVGRVFDTSVYYAFPLAGTYSDDDPSALRRSTDGDLHYYSPHEKRFVSYTVGPSHYRVFGVDLP -RALADYCAATFCRSVRDDKFYDSLRSYYQNRCRALGFSDARDTLMLDFIIHLCDEASLRTFGFSRLSCAP -SSYVAYWLSWILVKINHVMPLALTTYCLAALHRFFGAKSAPWNWATIHLPTYDMVTTPFRLKLFGRNPTL -FNLERFRAEASIAGAPDLGQSAEGARQNHVEYGVESGDASSSSRSTTPPTSGSSFSEASQLLLNCESYSD -SRDSVATSAPSDRGSGLQVNSRKAGRKSRRLGGDNTNPDHVDSVFDPKRTTHDACPDPTASCGPHFFMSV -CDDDESIPTLFHAHTDDGQDITFAVDDSMGKTISKRYSASQLRLLSWAVDGVFNVISRSASRRFVEESLL -SLLRFLLEVPAPQSCAETRRCLLFGSPRQRFSGYDTFDIGFMGIAVPHGKTKGVEACLREVARQHARADC -PN - ->YP_009388487.1 ORF1 [Lake Sinai Virus SA1] -MKVAFIISIALFGVCQVPPVSHWIEDVIDEYNTMCSYEYAAASAYNDFVYRHRVAAFAAGVRVHKYRAPW -YCFASRPVPIVHPLSWLMNQYESTVDRVGDLLGRLEIANVSITDGLRTVADTAVRAYMYYQIIWIQLTSI -VVLIGCFSAIICSSRVRIVRIRNRVRGYSISELRSEFEEAMGSILAPVSRGHSCLNFQRRVVESWAIDQL -LRYFRRLRLLSSSPGRWNDIAHRLHRCAPVVLDGSFVPECDQRNNNCCRHPSVCPERFELPASLISHVDY -YLSLDQLAAVVTGPTFIVNHDYSAVDTLSVAEASSRVAGGLVTTSVKDGPTYGPHPYYHWSDEGVVVAST -GAFKYYRVGRLFDSTLYYAFPASGTYSHDDPAALRRSTSGDQHYYSPHEKRFVSYTADATHYHVFGVAVP -RSLADYCAATFCRSVRDDRFYDSLRSYYQNRCRAIGFSDARDTLMLDFIIHLCDDASLRTFGFSRLSAAP -SSWTAYCLSWVLVKVNHMMPLALTSYVLDALHRFFGSKAAPWNWATIHLPTYDMVTSPFRLRLFGRNPTV -FNLERFRAEATVVGAPDLGQSPEGASENHNEYDIQSGYSSAAPCTTPSPLLSYSSSEGSSLLLDDVSDLV -PEYVVPTSTTSDRGAGVRPNKSKAGRKSRRSANRDSITDNENDFPHPKRTTYNLCPDPTASCGPHFFMSV -CEQNESIPTLFHAHAVGGEDITHVVDPTLGETISKRFSASQLRLLGWSIDGIFSTLSGAATSSFVESTLL -SLSRFMREIPTTQPVTEARRSLLFCGPRERIKGYDTFDIGFMGIAVPSGKTKGVEACLREVAGQHARADS -PYESP - ->YP_009336559.1 hypothetical protein 1 [Hubei tombus-like virus 40] -MLSYYEGRWIVLISVGVCIMVHAEYVSAVWAVAGYTVMGYTYSVARTWGRYVVRVRSGTQFGRWNEIADM -FRQYVGTLDRPVHQHGHHNILAWERRVAEEFVVNVYLGVQERIRDVGGSRTRHRALGDRKHVCGPTLQAL -DNMREDKDRDAVFSNCRKSGGDCPLRELIPVAMLSHTDYYCTNEELCSIVTGPTFIINHDFDSTTELGVY -EDEDEQRCEARATITGGQVTMQPEAGSPYGPHRYHLWKSEGVVVSRTGAFTYRKLGTIGSTTVLLGYPCR -GIYRSDDSCQLAFADQRAGARLSERGDVYGKGGMYYVDGENIIFTETSDRLGPTGDADCLPLAVLEGVAL -QLATANRDEKYLSHLISYTTAKLHAQRIRFRRGEAIARWVAKRADELALGLVQNCSLPIGDPIHISTFGV -IYYRVIRWVLDRLNKFNVAEYLHECLYKNLSTHYALPHMWVAREIPAYNCEVDAIRVRLGRLPRVNRPFR -HEGPRADARANIGPGHRPRQEPAEHDNGARNARPEFGAQNQPPAGAFGRGGNYRGRGRGRGAPAVVRQPF -RRPALPRIPRNPNGPRVAPAEAVRDDGGPAAGDGQIRAADPVQPEEGVEDGDIVPEWDLVAWSIPERDRL -YLEVAENDRQRCRVLLHNRRQVEFYNRAAPFDQHAGRALLHRVATTRGRITRRTIEGYCNDALQEERQPA -QGRGQGVRPHRGDGVVRGRGRARPFQRVG - ->YP_009333193.1 hypothetical protein 1 [Lake Sinai virus] -MVKLVFAAFIALYLVCQVPPISHWIEDWIDDFDTMCSYEYAAADAYNSFVHKHRVAAYAAGVRVYRYRTP -WYCVASRTVPVVHPFGWLMAKYESTTYHVSELLDRLGIANATLSDGLRAVAETAFTAYMYYQIVWFQLVF -IILLTGSFIAIVCSSRVRVVRVRNRVRGYSVAELRAEFEDAISDVNAPPSRGHSGLTFQRKVVESWCLDQ -LLRYFRHVRFVSATCDRWAELGHRIHRCAPVVMDGSFVPETHHGGVGCRRAPSNCPDRYDIPACVLSHVD -YYMSPDQLASTVVGPTFIVNHDYSCVDSLSVAEANIRAAGGLITSSVRDGPVYGPHPYHLWDNEGVVVSS -TGAFRYYRVGRLYDTTLYYAFPVAGTYTRDDPTNLRCSTVGDFHYYSPHEKRFISYSVDGNSYHVFGVSV -PRSLADYCAATFCRSARDDKFYDSLRSYYQNRCRAIGFTDARDTLILDFIIHLCDEASLKTFGFSRLSTA -PSSWTAYCLSWVLVKFNHIMPLALTSFTVNALHRFFGAKAAPWNWASIHLPTYDMVTSPFRLKMFGRNPT -TFNLERFRAEATVVGAPNLGQSAAGASQDSDKHDIQLGNPGAAAGSVSSSTFSDSASASDDVLLDHESGS -ILDDSFCSSSPTYGSSGVLSNKGKARRKPHRPTNRDPDRDQEHNICHPKRTTYADCPDPTASCGPHFFMS -VCERDESVPTLFHAHAVGGEDITHVVEPDLGIAISERFSASQLRLLSWSIDGVFNTLSRAATSSFVESSL -LSLLRFMQQVPTTQPSAEARRCLLFGGPRQRFKGYDTFDLGFMGISVPTSKTAGVASCLREVARQHACAD -SPHEGPKLH - diff --git a/seq/clusters_seq/cluster_563 b/seq/clusters_seq/cluster_563 deleted file mode 100644 index ca81049..0000000 --- a/seq/clusters_seq/cluster_563 +++ /dev/null @@ -1,156 +0,0 @@ ->YP_009389545.1 polyprotein [Motherwort yellow mottle virus] -MIQNKTQSLHLHNNKSFQKNPIKISSNRGREMATSTNTAANQATTQEIQAFMDAVVNAPANSKRKGMGCF -TVVRGHSTELRGAELMERDDQEKVRSLWDIIRRKKVDNPDSMLQYYHLQGVVFIMVPHVSTRDQGEVTIS -LHNGNDQMDTLASKTFALAEGPAVAVMSAPMCIPLVEDQLMFYYKVTCTGSNAIIPCSVMAMWKQTITTS -CAVYQEEETIAWALEKLRHPQIVKSSKAAAQLLSTYYNSGDRSADLNPKAFLGFNSRIKSMDIPAILNAD -NQVRETRRPIIESKRFGAVPVQHLQEEDDGESLHSAHLYSKLEAQIINNHDKAFFNLMSGFCGDQQNDSI -LGAEVLKIKSWILEEQAIQKEFNGCITPFDIPDEVYYEIGILPRFYSFLNRVFNEERDIDELRTLGYQEE -VDEFVRLSRTETLDLLGKREELWLQELDNALRCVRVVVDCSSTECECGVFYHDAKENFSECALLEAQAGD -VSQQNLVEEQNNFLAMADPEDGEIQYLDASSELFDFSDSVVDEQFQELEISQPVVVSSRNTFEVGVFEFE -WKTSQAICQQLLQIPLPQAFNQKNNLRPAGVELLKFYDAGVLEFEAEVNLSASHAVTGELILIWDEGDII -GEWGARINQASLLAASGMKISAGQPDTSKLKFAPTGVGEFIPFDSTITSSRLGSLRLFVLYPIICAEVTT -TFPGHVHLRARMLSSNIMQAPRLSPQVSGGMPIGEASIAEIACAQTLFSSTWSNTATIGESFAYTFSPAS -VFEQDGILQPSLLCNLFRNCKWWTGECEFELHFDRSVFHSGSLGIGFGTIASNLFTSYDIFNTTHVIANI -SESATFRFSVSMRSWNGKNLFATGRKSSLPKMSHQALLRIFATVIKPLVTTNPALPSVNFYLMLRRIKNL -VVGGSTPIKPVFGHWKLGKSGTDFFYSESDGPQDKLLAEMLKQNLPPTAQPRSILEAQLSLRQKMQGSVR -QYIIPALDGEKRYLVIPVAPWSYEFPGRKIVASSVNPHIDMCSSFLYWRGSLRYTLIFHRSQNSASIGGV -VTVCFESSGYPIEPGLHVGKQPIATGGGKHWNLAVGSQSFIHSFVVQDDNFFRRRHTRYRKFDDTKSRID -TLTDRLGNLIIYLPSASVFNQVEIQVALGSDFQFSHKRVPTPAEEKTIGDMETHAYHLTKDDFVNMEPTT -SIFKK - ->YP_009389543.1 polyprotein [Lettuce necrotic leaf curl virus] -MSLAKAGKRTRRAPPTNPQITMPSSSRGLGMGTSTNSHSTQHLSAQVQEFFDAVNNVSNANKKNGMGCFT -IVRADSAEPVAALLAEERAKQSVMEKWNVLKSYVTSNADAYNQYFHLHGVIFIMVPHVMDTDPGEVQIDL -FSGNTPYTPIDTHTFKLGDGPAVAIMNSPFCVPIIPKMTPFYYVVRCKGSEALVPCSVMAMWKQDITTKV -AAYHQDTGISWALERLNHPKLVKSSEAAAKLISNYYSSGNLQNDKTPEAFLGLSKSTKHMDVGALLHNEE -QNKRAATREIAKVVSKRHPDLYVPAGPCILHSDPDEEDDGLSLRSANVHTNLRAQTGGRHDNALFNVAMG -FCGRQHDETMYGEGLLAKNPWMIEAANLQRCLDGKVVPFEVDDDDYHEVGVFTKWEHFVYLVLKEEEDPD -DLRSEYPDEFEKFKNIVDATMPHEMEVVQGMLERIVDRARRAADVVVGCDAGNCTCETFFFEVEDPTPVE -CPLEAQAGNVTLHDQANDVTKGNTFLTTISHDEEAEDIFLDASSELFDFTSSVVDMPFKELEVAQPAMVQ -SNAFFEVGVFEFTWSASNEHCEQLLEIPLPDAFNKKNTYHPAGVELIRFFDAGIMEFSAEVNLSASFAVT -GKLVLVWDEGDVLGARKDNINQASLLTTGFMLVGATQATTAQLTFKPTGIGKFVPFDSEITSSKLGSLRL -YVLYPIICADPTTTFPGHVHLRARMISTNIMQPPRIKAQMAGGMPIGQAQMEEIPCSQIIASSIWPSSSV -MGESFVFTFSPASVFEQDGILQPSLLCNLFRNCRWWTGECEFELHFDKSVFHSGSLGIGFGSIASEFKTA -GDIYNTTHVIANLGDHDTFRFSLSMNAWNGKNLFSTGRKSSLPKLEHRANMRIFITVIKPLVTTNQALPS -VNFYLRLRRIKNLVLGGSTPIRPVFGHWKQGKSGTDFFYSESDGPQADLLAELLKKNLPAVIKPRGLGEP -KVQKKTELEAQLTMRQKLQGSVRQYLVPAIDDEKRYLVIPVAPWSYNFKDRSVVASEVNPLIDICSSFLY -WSGSIRYTLIFHRRQSSANIGGIVTVCYEASGYPIEPGLHAGKQPISSGGGRHWNLVLGPDKLVHSFVVQ -DDKWFQRRYTLYKRFDDTKSRNDTLDDRLGNLVIYLPSSKVVLQVEIQISLGDDFQFSHARVPTSASEKV -VGDMTSHIYRLDRDHFNPVEGTAGVLASSKNP - ->YP_009389538.1 polyprotein [Squash chlorotic leaf spot virus] -MANSTLAHSNRGPPIPQQDKSVTEAINKLHDDLIAGKIASARAAGFGCMVLARAEAGKAVHTRLFQDELQ -KGLAKRWNDIKATFGYSKDHASLYFHLHGVLFMVVPHLGPSSSGTLTIELNCSNDILNPTVASKSLDLKL -GPQAVFMAPDFTIPFTIDQTFFYYSIRVSETTATIPCSVMAFWKQSIDRKTACYDPQQTRSWFVKRLASR -GMLKSKAQAEALIAASYGTSEPVPKLESPIGNGPLQIKESQEEEPVATNVVSVSTGRNGSILLPDARSSS -MRVQPHFNSVEDGSLSRSNTFDFREKQPERRNINNFFSGMIAQSGEPSKTTVAAEVINMPIRSGGGVSVE -GKDFGAMATHSSQAFSNSGLGFSSEMFDFTFGQEDLETLDLVLEQPAIVSSNAPFIAAKKVFKWRVMDHA -TKEVCSILLPQHLNTKESNFTIGPNMLAYFDAAIIEFSAYVVAPQTVGANGELLLLWDEGNFLDGSVDKN -QATLLGYPSIRISAFTMAQEGNRKALTFTPKGLGTFLPLDAGHEGAEIGTLRVFVNFPLRVGSTVQEFEC -SLYVYAKVLSTNIMQPPRMIAQTRTGMRPTSAFFPVIPVNQLLLSTMWDSTMSEGKGFLLTFSPSSVFMK -QDIYQPSSLCNLAANCHWWTGDCVFELHVNKTAYHSGVLVAGFGSINSVIKEPKEIFSLTHAVCNINKAQ -TFTIRVRFESWNGKNFLSAGRKESLPRPDHKVRQRIYLAVSEALQSTLPGLKSVGVTLVLKSIENCEIGG -SVPMKPIFGHVAGGSSGKDFFFSETSRFDTTQNPVTKDLQPACEDKILPPNPAKTLMTAQVLVPLREKFT -YYVPQYIWRSSEAGRVLVLPCAPWSCSFKKEEPVQAVITNPWVGLCSNFVYWRGSIAYRLIFYKKEDFFG -SSLVQVLLESTGFPKEPGLYAGSEPLSTGGGLSWSFNLGPNQSVFDFIVEDDEYFARRYTRLRELKSAAS -RMSTLSDRHGNLVFYLPPPEAYYTAELHVAAGPDMNFSVSHPPVATTKKSSGPMEGNVYELLPASTGVYT -EVTK - ->YP_009165995.1 polyprotein [Tomato necrotic dwarf virus] -MTSSQVGLKVGPSNRQQEEASERLISQITAAVEAGNKNLLRKLGMGSYGVLYGSQEKKAMELFDPDDVSK -ITSLWSTFKHKFVESRDHANLFFHLYGVLFFMVPHVHSGEGRVKISLCSSNDPLSPVIQEKTLSLADGAQ -AVLMSPSITLPFLKRGPMFYYTLECQNTRAQIPCSVVAIWKQKIDTRSAVYSQQETMSWAIEALNRPQFF -QDRQEAAQYIASVYSSGQSTQMALENRAFVGEQLGGTRMDVMNESSMIRSSSLKIPTLKVQSKRFPSMEL -PAVSTSTLLSTREETAHDEDDCGGLFPPKKKGQAFNIGAIWDNLGIESFSHIDFPDDWTERTIAQQVQFI -LFYEAERGNVIVPKHVLKRDLHNINKEHITPDNYEAILKGYGVTDVQGLARTENWYQMSLKERVVELVHQ -RDHAFFIHGLSNNPLPPFDCYDGLTLEARHYARIKQAFQAGKEMKAQVGTSGIAESRPSETVADSFVTTT -NLEDPTTPNRIDIVAESSEAETQPGDVIFDFGAEMDTTTAIELEMQQPVCVASNDFFNVGVFEFVWEKAA -NVAEQVMSLSLPAALFSKRKETSMGAQMLKYYDAALIMYKVVLYVSGVGAISGQLALVWDECNVLNRKKE -FINIATLYASKHTLVSASQQNSEEFCFTPTGIGKFVPLDEGTGATDLGSVRVFVTHPLSSATELSSVPCH -LHLQCKVLSTNILQPPRMIAQAQYGMKAGQAYFPRFPTNQVLLHYNWGTSATMGTTLVSIFSPSGIYESD -GTLQPSLLGNIARNCKWWTGTCVFEICIEKTLFHSGSLAIGLGTLNTKMANAHDIFNMPHVVCNLEMGRK -FRFKCTITNWNGKNLLSTGRKSSLPRPHHFSHLRLFATVMKPLVSTSVHLDSVGVTVQLKCLENLTLGGT -VSVKPVYGHWTKGKSAVDFLFSEMDLTQRKEIEKLRRENIEEYQEKGKDPPKKGQSLLTIREKFSYGAVQ -YFCMGWKDDERLLVIPCAPWSIRFEGHSPVKEAITCPFIDWCTSFCYWSGSLHYSIIVHRVQSSSNVGGV -LNVAFDASGYPFPAGLNKGNYIVSAGGGAKWDFSYGVTSNTFSFTVQDDEFFPRRHTRMREFSSKQSRIM -SLQDRLGNLIINLPPAAIVSSIEILISPGPDFKLELAQPPSANHEKYLGNMQTHTYQYTSDFSELRDFVI - ->YP_009104371.1 polyprotein [Carrot torradovirus 1] -MAAMIPSSSRGLTQGMQTNMSKNEQLTNSVREFFDAVSHASENSKKNGMGCFTILRANSEDWVAAELLDP -NDKALIINKWSVLKAFKLNSNKKDSMLQYYHLHGVVFLMVPHVMATDQGEVIISLHSGNDPLEPISQKKI -SLSNGPAAIVMNAPICLPLVPEQATFYYQVKCSGSSASIPCSVMAMWKQEISTKVAMYEDEDVVSWILEK -LRHPALLKSSHAAAQLISAYYSSGDREADLNPKPQLGFSRSLKSMDVYATLKQGEKRGKAPVLIQSKRHT -TPLYLPDYSVDEIPIKEDDEGVSSESAHLKGDIKAQALDNLGRLKFNIIYGFCDIGYFPRVLLEEEEWMI -EEISIQKTLFFGKVEALGVPDELYLQYGIMPPDLIAYQRLLQGDSVDAACPIVKRMEDAESDDMQAIEDE -YIARLKVCTEVLEAMEEEFSDAQSAFEEDFIEAQGGTFQMETGEETKIDANSFEAIHSAEELTEMKILSN -SQSLFDFTKTTGDRDFVELEMMQPMIASSKDSFEVGLYEFEWKMEETYCTQILEIPLPSAIWNNLNSAGS -RLLSYFDAAIIQFEAEVEISIQFAVTGELMLVWDECDVLGPMKDRCNQATLLSMGHCIIPAVEAQTSKLI -FSPTGVGEFVPLDPAVQAQKLGSLRLFVLYPLVCEDPTKTIPGHVHLRAKVLSTNIMQVPRLNAQSMGGT -EVEEAIIPEIDCSQVLFSTKWMESAKAGETIMTTFSPASVFEQDGILQPSLLCNLFRNCKWWTGDCEFEL -HIDKSPFHSGSLGIGFGSITSEVRSAYDILNTSHVVVDIKRASTFRFKSNVRSWNGKNLFSTGRKSSLPR -MDHMAMLRIFVTVMKPLVSSTSKLPSVNFYLMVRKITNLVVGGSTPIKPVFGHWKKGRSGVDFLYSESDG -PQRGLLAEMLKQNLQGVQPRGIQPQISLREKFGGFVKQYVLPKIDSAKRYLVLPVAPWSYEFPVSSGVLH -SPVNPLIDLCGAFLYWSGSLRFKIVVHRKQSSSNIGGLMTVCYEASGYPIELGLHTGTQPLATGGGKHWN -FTFGTTSLEYVFTIPDDHFFKRRYTHLNKFDATKSKLTLMDRLGHLLIYLPSPELVNQIEIHVALGNDMN -FSQVRAPTPAAEKDVGDMTSHVYVLEDSSYEAREGVVDQINNNSKGA - ->YP_003097231.1 polyprotein [Tomato chocolate spot virus] -MISSQVGQKMGPSNRAQEEASERLITQITAAVEAGNKNLLKKLGMGSYGVLYGSQEKRAMELFDPDDVTR -ITSLWSQFKHRFVESKDHANLFFHLYGVLFFMVPHVHSGEGRVKISLCSSNDPISPVIQEKSLSLADGAQ -AVLMSPSITLPFLKRGPMFYYTLECENTRAQIPCSVVAIWKQKIDTRSAVYSQQETMSWAIEALNRPQFF -QDRQEAAQYIASVYSSGQSSQMALENRAFVGERLGNTRMDVLSESSMTRSSSLRIPTLKVQSRRFPSMEL -PDVPNTSRLTMREETAHDEDTGGLFPVKKAQGFNMGVIWDNLGIDSFTHIDFPDDWTERTIAQQVQYILF -DEASRGNVIVPKHVSKREQHNIYKEHITEDNYVDILAAYGITKVGNLSRSLDWYDMSLHERVIALVHQRD -HQVYIMGDFTNPLPSFDCYDGLTIGERKLAEIKANFARQLSLESKKETAPKLAQVAGAGIAESKPSESVG -DSFVSTTSMKDNGEESPITITAEHIEDDTTPGDVIFDFGSDMDSNPAIDLEMQQPVCVASNEFFNVGVFE -FVWEKSKNVAEQVMSLALPAALFAKKKETSMGAQMLKYYDAALIMYKVILYVSGVGAISGQLALVWDECN -VLNRKKEFINIATLYASKHTLVSASQQQSEEFCFTPTGIGKYVPLDEGTGATDLGSIRVFVTHPLSSATE -LSNVPCHIHLQCKVLSTNILQPPRLIAQSQFGMKPGQTYFPRFPTNQVLLHYNWGTAAAMGTTLVSIFSP -SGIYESDGVLQPSLLGNVARNCKWWTGTCVFEICIEKTLFHSGSLAIGLGTLNTKMSNAHDIFNMPHVVC -NLEMGRKFRFKCTVTNWNGKNLLSTGRKSSLPRPGHFSHLRLFATVMKPLVSTSVHLDSVGVTVQLKCLE -NLVLGGTVSVKPIYGHWTKGKSAVDFLFSEMDMTQRKEIEKLRKDNVEQYDAKGKQPVKQSQVNLSIREK -FSYGAVQYFCMGWKDEERLLVIPCAPWSVRFEAQSAVKEAITCPFIDWCTSFCYWSGSLNYSIVVHRVQS -SPNVGGILNVALDSSGYPFPAGLSKGNYVVSAGGGSKWNFSYGVTTNIFSFTVQDDEFFCRRHTRMREFS -KTQSRIMSLQDRLGNLIINLPPVGLVSSIEILISPGPDFKLEVAQPPSANHEKFLGNMQTHTYQYTSDFS -ELRDFEV - ->YP_001976149.1 polyprotein [Tomato marchitez virus] -MTSSQVGLKVGPSNHQQEEASERLISQITAAVEAGNKNLLRKLGMGSYGVLYGSQEKRAMELFDPDDVSK -ITSLWSTFKHKFVESKDHANLFFHLYGVLFFMVPHVHSGEGRVKISLCSSNDPLSPVIQEKTLSLADGAQ -AVLMSPSITLPFLKRGPMFYYTLECQNTRAQIPCSVVAIWKQKIDTRSAVYSQQETMSWAIEALNRPQFF -QDRQEAAQYIASVYSSGQSTQMALENKAFVGEQLGGTRMDVMNESTMIRSSSLRVPTLKVQSKRFPSMEL -PAVSTSSLLSTREETVHDEDDCGGLFPAPKKKGQAFNMGAIWDNLGMESFAHIDFPDDWTERTIAQQVQF -ILFSEAKRGNVIVPRHVAKRHLHNINREHITEDNYVEILEGYGVTNIQGLTRTFNWYAMSLKERVVELVH -QRDHSFYIQGQTNNPMPNFDCYDGLTLKERQLIVEEQVAQRRSERQAQVTARGIAESQPEDRVTDSFVST -TTMEDPTKPDKIEIVAEGAEEETQPGDVIFDFGPEMDTSMAVELDMQQPVCVASNDFFNVGVFEFVWEKS -ANVAEQVMSLALPAALFSKSKETSMGAQMLKYYDAALIMYKIILYVSGVGAISGQLALVWDECNVLNRKK -EFINIATLYASKHTLVSASQQNSEEFCFTPTGIGKYVPLDEGTGATDLGSVRVFVTHPLSSATELNSVPC -HLHLQCKVLSTNILQPPRMIAQAQYGMKAGQTYFPRFPTNQVLLHYNWGTSSPMGTTLVSIFSPSGIYES -DGTLQPSLLGNIARNCKWWTGTCVFEICIEKTLFHSGSLAIGLGTLNTKMTNAHDIFNMPHVVCNLEMGR -KFRFRCSITNWNGKNLLSTGRKSSLPRPQHFSHLRLFATVMKPLVSTSIHLDSVGVTVQLKCLENLTLGG -TVSVKPIYGHWTKGKSSVDFLFSEMDLSQRKEIEKLRKDNIEEYQEKGKDPPKKAQSILSIREKFSYGAV -QYFCMGWKDDERLLVIPCAPWSIRFEGHSPVKEAITCPFIDWCTSFCYWSGSLNYSIVIHRVQSSPNVGG -VLNVAFDASGYPFPAGLNKGNYVVSAGGGTKWDFSYGVATNTFSFTVQDDEFFPRRHTRMREFSSKQSRI -MSLQDRLGNLIINLPPSAIVSSIEILISPGLDFKLELAQPPSANHEKYLGNMQTHTYQYTSDFSELRDFA -I - ->YP_001040018.1 polyprotein [Tomato torrado virus] -MMMSSVAGQRKGPSDKSAAVSEQLIAQITAAVEAGNKNLLRKLGMGSYGILYGAQEKKAMELFDPEDVHN -ITSLWSSFKKTFTSSRDHGNLFFHLYGVMFFMVPHVHGGEGSVKISLCSSNDPTNPVLQEKVLYFSGGAQ -AVLMSPTITLPFVKRGPMFYYTMECLGTRAQIPCSVVAIWKQKIDIRSAIYSKQETMSWAIEALHRPQFF -QDRQEAAQYISSVYSNATSSATDSVLPFVGAQLGDTKMNVPSEARMIRSSSLRVPMLKVQSKRFSSMEIP -STSTAHLLGTTRDETVIQEESRYEEEGDDGVLFPVKKAQGLNYSHVWDNLGIESFVDVELPENWDELSVR -QQVAAAMIAFANKGVCLVPKHIINRDKHNIHLENITEHNYLVILERYGIVNAGSLARTENWYNLTLAQRV -EELIYQRDDAYFMFGDNTNPYPPFDCYDGLTLKVRSELERVAKEQARQRFYKEAARAQVKNKVAQTSVEE -IPSTSFATKVAMESGSVDSMKIAIQAEAANEAVRPNEVMFEFGQEMNNEGATELELQQPACVASNSFFNV -GVFEFAWKKSSSVAAEVLSLALPAALFGKSKEMSMGSQMLRYYDAALIMYKVILYISGMGAISGQLALVW -DECNVLNRKKEFINIASLYASKHRLVSASEQSSGEFCFTPTGIGKFVPLDPASGAYDLGSIRVFVTHPLA -SATELESIPCHIHLQCKVLSTNIMQPPRLRAQAQFGMKPDQTHFPRFPTNQVLLHYNWGVAASMGTTLVS -IFSPSGIYESDGTLQPSLLGNIARNCKWWTGTCVFEICIEKTQFHSGSLAIGLGTLNTSMSTPHDILNMP -HVICNLEMGRKFYFRCTITNWNGKNLLTTGRKSSLPRPKHMSHMRLFATVLKPLVSTSIHLDTVGVTVQL -KCIEDLVLGGTVSVKPIYGHWTKGKNAVDFLFSEMDLSQRKEIEKLRKENVETFDEKGKKQPQVQVPLRD -KFSYGAVQYFVMNWKDEERLLVLPCAPWSVRFPQGALVQEAITCPFIDWCSSFCYWSGSLEYTIIVHRVQ -TSNNIGGVLNITLDSSGYPFPLGISKGTYVVSAGGGAKWAFTYGMSDNIFSFVVHDDEFFPRRHTKARAI -DPNASRIMTLQDRLGNLIINLPAKDVISSLEILVKPGPDFKLQLAQAPSANHEKHLGDMQTHTYLYTPDF -SELRSFEN - diff --git a/seq/clusters_seq/cluster_564 b/seq/clusters_seq/cluster_564 deleted file mode 100644 index be84573..0000000 --- a/seq/clusters_seq/cluster_564 +++ /dev/null @@ -1,69 +0,0 @@ ->YP_009345120.1 hypothetical protein [Xinzhou nematode virus 3] -MSRSINDILSHVLNANGIGFAGLAGGTEVGLPLNARVPVPRNILKANGSSGDDIIRVASWSYNQYIRNNT -PNTWESQSVILAWMTGCVALNEGIEESEIFREAVVWDAEVHFVDGEVTGLGTPLNDDELATMLAPISQRP -VREAILTVVVACKMTWWTTNHHLGVRASTGVTSRTHHGFIEKAVRSLEILGLDWDSEEDRTRIYHMAHFW -SSKRVMAIIFESKQFRRDLKPAIPMPKPRSKPRNGAFAVPVIAQDVIDRTTTFGAGYAALADIRAAIAAA -INHPLAWVMPGWEKIPSILREYATVRTNPFQYGIASTYLSKTTISARFAKEDYQDLIAGLASWLSVYAEA -SSLRRAQIFSGPPSAIPGYSSTYLNACTNFHRASAHESIFRPEVVSSLVTMSGAASMVRIDDTLMHDATE -AGIHINRAAVTGYQTVLDASFVQPQAPPADAPAAPHIAQP - ->YP_009342457.1 hypothetical protein [Wuhan insect virus 15] -MTTTLRIASPVVNGNLEVGLAVGLDSGLPESEHLPILREKSLTEALQNVVNWLATQFPASSLTEFRLQYA -GAYAIMASGLLCTRNSGGNDVPDGDWAYTSTATAPPANASQAIKDAVVNPLSSVEVADVLIGILSTKVNW -WQTNHHTGQGKIQGYAAKVLTAKWPNQSQATMTTLFHRMGHWTSTIAVLRLAGIGSLKATTTVFTPSITP -ILSSDVKLRFDSAPAGTHKTAVAKAGAARLVRSAAAVFCPGISEFGVLVGKMRAVMADPARHHIGAAYLT -GERGADFADSEAEAFLGRIGSFLRTFAPKSTLCQSPHLEAGRVSSYQDYDADFDNSCKNMKTQQIRPEVV -QRAMERAAHGGNTDEELGEIRQVFATGGRD - ->YP_009337867.1 hypothetical protein [Wenzhou qinvirus-like virus 1] -MALPPIEVNLPLLNGQFEVGIAAAGDQSLPDAAKLHVNTSLDVALAATQAAQYASTTAADALGVNSDALR -KWLGIGAYAIVRCGLIRNHNGRPDGDWTHTDAPPVGELAHRGDINVENIGKVVTAVVATKAGFWLMNHHT -GQHQMQGYPRKVADMMWSAQELTAANKATLMHTIGHWASTLYILGKARIPGILPTDPVAEDYPDCVSLSA -DALLRFESSPAGTAGLEVAYQSIRKMLPHPIGLMCPEPATLVQAARVRKNMMMTASKARYHMGAFYLTGQ -NRLGTDGVGDDCLGRSASFMSQIYPQSTLYKSPKLSQLQGNVRRSKAVDYDDYDSRWEQLCKNYRTIMRR -QNIAAGSALETYARSQCTLMTGEVNADLFDAVYEEAQANAYKERANQHAALIHAAIQALPHDAARGDGAG -GQAGGADDDDQAEE - ->YP_009337865.1 hypothetical protein [Wenzhou qinvirus-like virus 2] -MTANTFELALTSALNGVKEVGLAASVDLAPSEDRRLCVAPRINNRLLMDWCYEKSVGELAVANVMATMAF -AVRTGVLWGGPASDAYKAHVTEDPAALKEGAMRYPNFSEEVLRAVVANEGRMRAALTMLFATKVLWWKSN -HHTGQGSPAQYVTKVAQALFPELFDDTAAEETRAAFWNVVHTAGHWVSTAAFLKAYVARDPGSQMAMGGT -CDPATDDLDISRWPTISDDLRLRVQSSPAGTAPLFVCREVVRKWVRGMMAPLMPVDIRAFATTTAAAADE -VLANPIAYHISSGKFSATARATRVNVAVDEEVISSCRSYCEVVIPGSTLAKSPTLARFDADEDLKAVLAG -VRREILKDSSAMGKQLALVKIHMMATGASHSDLSSVYAALSVQAPAGNVNAMEAYQLAADIGRSLEEYDR -LGLLKDLLAIVTDTTVLDKREAARALIVAAAQSPPRHRAETERAPGGQLVP - ->YP_009337850.1 hypothetical protein [Sanxia Qinvirus-like virus 1] -MSADHTQNPSAGGSSTSSRNDPPVLNPLEIPLNYPNEPDYTTINIPLINGDWEGGLAADGDLDLDGDDRL -VILVRKGASDQQTMGRTVAATCLNLMQQGNYLDKTLRERCLMLSSVMVLLNCGLICTDNRSKANDGGWVE -DKAKRVPVDAVNDESQKAIEEASSNVSKRIAATIIAATKVSYWKENHHTGSFTSKEMTYIKRVLRAVYHD -DMASDPAMVATVHRIGHWASTKRTLHDLGVNGILGVTPIIHNLARLTPAEDIRKRISSTPAGTARTAIAY -EISKRLLSSALGALCPNTMDFMPLKTTIDQILARPVRYHMGAHYLTGKGRDSMFRDEDSDRLLGRCGTFA -NYFLKGSTIMNSPHLKDWRTKEDFSESFAQVCANFQIAARKRAKNTLSAYATTGASFNESYQATCEAWGL -RPNMDIVAAGPSVKRFKRDESDDEDLDDLDN - ->YP_009337848.1 hypothetical protein [Hubei qinvirus-like virus 1] -MAATWHLPNVNGAFEVGLVATSDSALSDDFKLRVGSEGVRSSNERMTNMLQSAAQIAGKIAPGSNIVRQL -MVSAAYACATAALLRKSADGRADGDWVELNFQAGENEPPNPAEGITADMTKQMATVIIATKVQWWSTNHH -TTQGQGPIPGYVGKVVQTIPAVRDLGNEVQCRVVHTVGHWASTRYILSACGVKNIAPTNPLPYLSDTVLA -AGKDIELRISSMPAGTHRHAVGRAAWTRLRNSDLVAYVGDTGSFLSLLQRSALVDVDPAGHHIGARYLTG -REPPKFSDSDAEDCLGRLGSYVLNVLGRSTLAKSPHFARARIQGYPDYSDAFEQTCARFVQSAAVIQQGQ -FPFEKLTAEQIRVKVAEARALGEDPN - ->YP_009337853.1 hypothetical protein [Shahe qinvirus-like virus 1] -MAINFNLPVFNGEIYAGLAAATDLSLPAAEKLSYIADADVGRAAIMTAASCLSRVPAASQPWIRELTANA -AYAIVFYGLTGQIGVAPNQRVASDFIVGAVAEVTQELVTEAQNHVTQQQVIVAVTLIFATKINWWATNHH -IGQATMSPYMAKVTKALLSIKSVDLDMIKKIVHQIGHWASTHRVLNLLHIRNDVTFAPLQAVAAGARITL -TDDLFLRVSAMPAGTARHALCHAIMTKYGGNKIFMFSSKLAELAALKQEVDAVIEAGRRNVTLSRAADAA -ALAVDPRLEYHMGATYLLGGNTARAEFGTVEMTGHLGSVLYHLFRESTLTRSPHITTPSGKDLRKHYDQS -DSYTQNWDDLCLGVATAMIVTNSDLVNAVCGVGDDARADRETWSQFQVMNGIYADIDLAHAAFDSFNDIF -AKYGAGGPKGAKGGRGKEEEEPKQGGSGTGKKQKHRKGKGGAKDDSGDEM - ->YP_009330275.1 hypothetical protein [Beihai sesarmid crab virus 4] -MSVNDILKKITTNGSVFVGVSTGVDRALPIDTKLRHLDKRYDYKSAEHIITAISHVDQSLLDNAEFGKLI -VGAIIFIYTAKLVDISSDDVVKVSGSGGSTFTGTIDESDISEAGTVVIATKITFFQTNHHVGTGQFTGFA -AKVFNTIFAPRNVDVDMARTVFHTIGHWASTHAVLAALGYRNLKHVNLVGGKITVGLSEDVKMRLGSYPA -GTAKIGLAVACLRKAVTHPIFPLIYDKHQIELLKEMSDAIKGNPVAHHMGAFFLLDGDPAEIDEDAIGVL -RDKLFTFIIKVYPKSTLAKSPIIPRDPSNLENYDDIFAMACQQYVTNVNNVVTKEIKDIIDSIKPSAQNV -DANVRRDVAAMFRN - diff --git a/seq/clusters_seq/cluster_565 b/seq/clusters_seq/cluster_565 deleted file mode 100644 index b6f7c62..0000000 --- a/seq/clusters_seq/cluster_565 +++ /dev/null @@ -1,269 +0,0 @@ ->YP_009345021.1 hypothetical protein 1 [Wuhan spider virus 4] -MSSQKKNTSVDASSNTVEVVPQEQPAPVSKLDQKAEGQVNICRGEGVGKIYAHALAFPPCFGRKQSDYSV -MKILEYWSTHSYNASVPYKSFGNQVLHDLMSGKMFNFALGDVNRELSMLVNSSGNVYIVSDSQLEIDFRK -IMMPALSLANTVREDASTLGIAQYKTICDIVGCLYDPERTYMVAAIELYISVKLQHIAATTSTQNTRFIL -SALKMNNTVALVHQIFCAPTLIAKLTGVYRLLELHDLAFTSCDWCTLDTLAKFVYQVWAWIMEKTELAKE -FLANGFKWKSTDSEATPEEIKDSEVVEGVKKDQSKEDSQALRDIRFPAQELVAPLPCNVCKQPSEVGCSL -CGMHLCKGCMPKNHLCNCYNAEPFFHESLVCSCARNMDCWWEAVAANLNLSTPTVKRIVWRWLLRPDASD -FYIALLNMSGTALVPGVNDYISFATRVAEFLQKPVINDGLEAAIVTCLAFNARVQFNRLEAGNYERTYAV -AYGKPKAYININYFPEGAGHYAHCVEPMVDPPTHDVWGAEFVSFDHYEQLQHSHIQKMNEIYRYAESCRG -SFKDMSDFEFLAEESLSISASSSRQSTPSPSLKDISKIVDNETHAALNSAQIATSVASSLNESEITTSSV -SQVDSLKELIRNFQSYDVSGVTQNDNTDESTKKEKVDVDQLVETPPEGSFWELLKDCQWSAIGKRIKEWF -KNISTEILLWFEENKIFAGLISLVCGIASFVGINIGTFAGGRASAFLKKFSDAQRTMYYTERSFGSLSSV -FSQTVDVAKEVLGISPCPEVDDFKEDLVQTLNIALEMQKQATVSPGTFVNDGKKFLEFAEKYKSLAKIYE -KISKFRDSKYLTVLTPVWTQLNTVYHSLQLAYNKVYVSIKSRPEVVCIYIYGESNIGKTHMAERIVDMIN -EYTGRSLSVYTVSKGNDYWNGYAQQDIIRIDDMNAVVGNEQDIDSVNVYNICSSAQFNPNQAALEDKNIM -LNAKFLIICSNHPTVPSNSLVTYRYAWERRRNLFIKAEWPEHEKCARGIMNCEHIKARDARTEALKGKSD -YAHLRLRLCNPVVSYKPDETERPVQTLRRGKVTDWAVISESQLDAQGVVTDIDTIVRGALWWENKYRVEY -ERKLLATPQGQLYKEQALSWEKKPFIMLAGDPGTGKSYVLQRISEKYQGNILQINTESDFKDFHKNNFKA -DNYDLVVINDLSAYALNTDTTALRDFVQYIRTTYDENKVPSYLLVCAANIGLLESFLNTVDPTNEMFQLF -IRRFNRITCQFKRRPNPKLTKIGNCIGLKLPTHYIEKDIVDKSKTPEFVEYYCDGNLLSQESLVSAICFY -KPKVVEVKQKFGLDIKDKIIPDTVITFPLSTDDFLNMINKTNISQILKVITSGKVKTYSKKGMTLSNLAS -KLQKIVKGCGDLKGSLILGLDDLLLQAWNKNLLKEFMGSNIVMHFSDRMYYIEANVEKEEDITCGQLTCQ -AEIIQKVVEEVEYLKEVVNMTTVFNEDRAFLPHWVILASEIFSASLGVAVLSVSSVLSVMDQNETFKARE -EALAMRSVFEEAVEKVKRDTTTYIGETITGASPYNVNLLRPGPKARDADQYSHEDVDPIGYPDLANELET -PKGKNNGQFNSGKGSRYGFKRRDHVSWEQEVKHQSGRDDFVLNRDYLKSLPVMEQVKFECARGKPIQKSL -IEQVSHDPQTKTIVEKLLKNVCELHTISGERLCSGIFIRGRTVRTVSHLLNEAAITQLRVFTQDKKAYPV -TLLRNDRTHHILDLKVDDIHCPQYPDITQHFPDNSATIHEGSKSILVTPKIDPLTGKLEILLRPMFVKCL -TYKRWTDGDHNVAWHYRGHKIGYSATGSQTEYGDCGSLLIVCDPTITTGKCIGMHFAATQETALASEFRK -DQYEDTLKYQCLAIRENEYMIPDSDPDIPSYVGRTKHRVFLPSKTKLSRNWYPVGPELVEPSVLDRRDPR -GPPKDMLYDECEKWLQEPPQIPAGHLDIARGVAEELAHEVADMFEEEGVKLRKLTSKEALNKLRECDKSE -PVNMHTSAGFPYNIMTKQKGKAAFLEVDDQGIRHFSKKPENQKYVQLIQTKIAQIEDDKKSAEEQLGLVV -FQIFLKDEVVKKKKIYTEEKKTRTIGAVDLPFSIVYRKYFHCAQAYMLEYWQKLWHAVGINPLSLDWHYL -YTKLAKNSVMGMDLDFKDWDFSHAPVLWDIISTFWIILYQRLDPNCTEKDTRIRKKLYKFLTFALLLIGT -EFHVIRKGLISGYPGTSIDGSNINVFILVTAWIIIMVSVNPRIANYTGFKEDVAVYVYSDDWYISMSQYV -SKFFNGITIAKIYREFGFNPQSADKETEIQAYKPLNQCTFLAREFHLWNGFWIGPLKELNLNKSTHFCND -RVSHYFWESPDRVCQSPDIVESVYRSLLPNAALRGKQYYETYRLIYNKVVAVTGGPKAPSWQDQMAEMFP -IPKEVKFPIGFEIVHVPQIIEGWQHPLPHNYKAYHNRTSYHYGPNYRYNGGPESNKMTKQFKSVLELVNK -IFKKDYNSVLVNKYPPGGKIPWHKDNEPCLDLTSGIVGITMCGDGKMHFKDTEREFQFYQNPGMAYVLTE -ENLYKYAHMRDCHTVETYTFTFRRVVPRESSSPPQEHN - ->YP_009345015.1 hypothetical protein 1 [Wuhan spider virus 5] -MFHYCAELVTQVTLAGIDVVSDFTKKKPDDPDVIKIKDIRIFSNYSPKISAHALIFPPGWGLEKRHYDPE -NIMDYWLIKEHRNVLLNTLVTYGEFVSSKLIQHTPLPIDDRSISKILSSKVEPRTYTPGKIVHADSDLNW -ESIIFNIRRVIFETRFFKVSKIEQYTTISDILAAMPSHERDYLKKFLDMFMEVPLEHLPAMVDLGNMRYI -LRKLKPANVVPLLYETFASPTWSGKLTAIIKLIEIFEIDYYDVVAKSVDSIASLILKVASFVMEKTELSK -TLAKEGFNWVFCKSDEEKKNEEDVVLQSSNVCAHALCSNAVTVGCSLCCKHYCSNDHMKCDCGSDFHHTI -QNCECSNDNDCFFRALAKNSKLTKVRVKKAIHKFLKNSGTPKILDGLIERYALQDYYSTEDIIYNATVSL -QIEQMAEVDTLLVSALALNCNLMIYNDDNSTTHYFEFSPNSKTIFMRFFSSHYEACEDRKLPAQEPIIGP -ADLNWNHADLIFPQPVVENLQKKKDTPPSSVTSEESVIVNEPIFERVSIDLASEISEVNSLTERYIETQH -AFVEQHKNIYGDSNFNRFEKKIENKSNSILSFFKSESLFETFSSIGNFFKDCSVDLLTWLDDNPIVTGIV -TIIMGIGSFFGLFVNLPTSKEGFSGIIEKFNSATRTMHYAQSGFRGLATAFTDVIGECKSYLGIAKDKEI -EDFKAEVGQMLVMSKELLLLAQTRPGEFVNNSQKCLEFQKSFALVNKQYQTLSKMKADKQLTVINPIWFA -LNRANETLMKIYAKFVNCMQCRQVPVCLFVWGPTDVGKSTFATHVVNLINKKLNKEYSTFTISKGTKHWN -NFSGHAVIRIDDLNAIVGPDGDTDSVHFFNLVTDAPFNPEQAGLEDKPIMANPEFVVVCSNHPTVPSNTM -VSDVTAWERRRHFFVHASFPEHERDCTPGSRSCDHFKDKDPTNFDHLEFRIADPCISKYVDDKKGYKRKF -VTSADGVVLENSELISVDDLVNAMIERRQRHEDEYNQKLAAATAKPIQLQSQACTWEENPTVVLSGPPGT -GKTHIFNILNDTLASQPDSKVLRILDNESLKTYFTLLEKIDMPYTHVIVDDVSAHMSEPNLIKRLFAEIQ -LRYNRHDVKLNHVLYVGLNRQVLDSADLAFSNEYLDVVFRRCEMLETRFKKRLLTRKPYTHQDVSSNVSK -INDYVQYIYKGENYLQASIPGVLAKLQPKEKILTTYTSIPIKPLSFFQGTCIAKSTLSNIDLMRAVNESS -FMNLVSLVNSMTIKKVKQSKLDHSKLSMEIGKLVKRCKNQSGTTFDSIEDVFIQSYNSGFLGFLQGEFAI -LQLKDLIIGIDATSPDHIEMGRMENVNPEMETLFADLGDVVDYSTNNVLDAISSNIFPPWVFLAGSSIMS -IIQFAATGFAIGSSLNHLTVLHKAYKEMNARELTYEEVRKEVSNRADRDALNALGLVPGPGYPGMPQYGE -YSAIRNAFEASGIEFEEVSPPNRKSKAERSADVRREEVSPPKNKSKAERSTIRHEEVSPPNKKSKAERSA -GIRKENVTMQVIANEYLKSTMPMSPLTHGPIMEKKHEVQLQYSGDSKTVENAVMEVVTDPSLYPTMHMAM -ANMCEIVTLDGKRLCQGLFVRGNLIRTVYHLLIQNDVQNLRCRTLDGKVWPVEEVSVDPIIDCLHLRVPD -KNFPAKRSIVAHFPRKANIIRPGQEAILVCLNRTIVPNSNTFTIRRYRLNTTQMHVFDKLKTQYLAIDYR -GHRLGYSIDDNVQTTFGDCGGILILSDATVSHGKVIGMHFAASTVTGYASPLWNEQYDEIKLQTKQLEIS -YDKEYIVPHTDEDEPSIIGQLKKRVFIPNETRLYRNLIPIGPKMYEPAVLCSEDPRSLSTTVLYDQSIKW -CNPDRPVLDIKTKERLYSCMVDIAKHTTEILRSEGVSLCVLTNTQAINKYKYSCHSKPIDIHTSSGYPYC -LMAKGPGKTDYITVLDDDGSRRFNKKNPSLVNKLQTGIDRIIKESPGEKQKVVPFQVFLKDEPVQMKKIY -DETRTRTIAAAPLDFSIAYRRYFHTAHCAMMDFHHKLPFKPGIDPQSADWHQLYMSLASNSDLALDWDFK -GWDFSADPYIIWLLTVFYEILFSNLDPNYEEDHQRIRENIYSHVYKFKLIIGSYLYQSTGGIPSGYPGTT -PDNCIINFLLSYYAYCELAEPVNPKLVNLYSFLEEVVVADYGDDKLESVKPYTLEFYNGVTVPPVLAKIG -FNVTPADKESEFQISKPLKSCIFLSRTFRFESGLWLGPLIMIHLCKPSWWIRDSRKHLYWQSPDEPCRNL -ESITSSYESMLYEAALHGKEIFNEFYQVALQIYDYCRIRPPPNYNECMQRMYGLYVKPEEIEDFALCSQE -DLLPYVKSLSMPHLYRKFDSRVSWSYGVDYSYSGSNVKSNKMPDFLKQLLDVINERFKTEHNSILVNHYP -PGGGIPWHKDNEPELDLDNGVSCLTVQGDGIVEFRGVDRKAYYMKPGMFYHMGKECLLKYYHRRTNHNSD -TYSLTFRKIN - ->YP_009337174.1 hypothetical protein 1 [Hubei picorna-like virus 71] -MFSKSNAHVQYSKPGNYEYANGNKTISLGSVVLPSTITPDHFEAVLQSPNALPVVYENLSFASNVKWLTL -RELLVYRCLDISILNHPDSLVITKISQCFTPAPLLVYKKQENSFDKIPTRNYDQPQHIMYDPRGFKNNDH -ELFVAAKAVGHVLYMYKNKSEIETYQEISKILSEISYRNQDYILNALKFKKKGDIRRLAATVTNSNILYI -MSKLKLSASLPLIYTFATSPSIMGKLVAIWQFLEIHELIYLQVPWGGIQSFIATLYRIGEWLFEKTRLMA -DLMQEGYEWVKAKVLKTDTDPEIPVSMSTLEKLCEEEPAHKQVRRMAMDETSFTEEPPKISFPCSVCGTP -SFLHCSCGEKLCDLHTQTVYCCDAGTSHLATDKSCQCTARLDLSSDSESDSGSSEKSQEYCRRQLEQIAE -FVTENTPEPTPTLQAQQEKEKKEESIFDFVNMLKIDEFGKSVADWLEEFFKKAVNWFDVNPIFTGILSLA -AAVITALGFTLPQFSADYEIKGFFNKIQNGLKTTYYANKGTEGILSAFTGCMNSIRETLGISKDQAVTDF -KKEVADMYEFAEKLLHEVNVTPGKFINNQQNFGEFKKKMSRMAELYKTLVAQQSQQTLQVLAPIWNGLNK -LWHELNTKYDLFLSSTQERPVPVLVWLWGGTDLGKSTLLGYLADELNRRMQRQMQTFTISCGPEYWNGYA -QQDIIKIDDFGSWNGPEGSVDALAVFNLITPAPYNPNMAALQDKNTNATPQFVFVASNYPTVPLNTGVAN -IEAFERRRHVFCHVSWEGHEGVCHPGDKTCEHWQQVFERNKDTISDFSHLTFKICDPLVTKVSGQTMAST -SKDNTRAKVVYTATEIDSKKISENGHKLNNIDVLIDIILTHHQNHAKIYEATLRRKNMSMLASKQSKVDY -WRNHPCVAFVGEPGTGKTYFAESAFEAKYGKDWKTKVKCIASMEDLKLLKSSDWKVPQNYEAVFFEDLTN -LTKNMDLFKCLCDAIAEKYDRREETQIWYMCVNDTIIYPKMEDIHGASGAEYYMRRVQKIKFNFRSYRNF -KKFQFMTRVYSAEDVKAEHDIMGRDFDIDKYVDRIVEGKSYSFDQARTYIRNYEPVIEDEERHDELLKYK -EFNPNTKVRINMPIGEFKNLCNSESPLAVGMKLVNGKTQVQSDVITVKDLGRMLLTCIQRAGSVVSYVDD -IDTLFVEAWNRGYFETFRDYNICVAFSDEVYIIEGVNVPNVKVGKLVNPGAAMEEIDSILKESMKQISMS -DILSVGTDILPNWLTLTGDIIATIAKVSLTAVISASAVLSERKKNKALEVYNKTETIVQEYTDQQLEAVP -TSLGSALFPSKVTSVKEPRHSSLDVDNPYKERDTDPTKIQPKSARGWKQRDTDPTKIQPKALRGNVRQRQ -KAKDEDMRMEDPIHAPNGRESTSIPPKEEITLQVDATKIDNHAIKEASTDPQVPLIIAAVAKNCVSLFDS -ANCFLCYGVMVKGRLGTTVSHIKEGNVKDKVMVKIYTGQVYKAKFTTQDTTIDRIDFKIEDNTCQEFPDI -THHFNSVEGAISYKAEGTLMTLCHDMKVGFPVLKLRSYRIEGMKVVKFQEDPTAFYHIQYIGHKVGVAMT -SVQTYNGDCGSLLIINDPNWKYGKIVGMHVGAGSNVGYARLLHKEQYLHTQVQHTPVKQTYKPPAYLDPK -YTFEVQDRDDGCIGMTKTTMYIPEKTKLYRNLEPVGKREYEPAVLGHKDPRKPGANVLRDEAMRWCKERT -ELSAKDRARFVEVRDQMADYYISVLAREGVELTTLTRMEAINKLRGCSKSEPINIKTSPGFPYSSITRQH -GKRDFLYVDENGIHRLAKDHPNFIELKRGMDAYHNELHGRGVAPCIFKICLKDEPLKLGKQTRTIAAAPL -DFQIVYRQYMHAAQAFVAENWHELPGKIGINPLSKDWNTLFHKLASNSLTGLDVDFSGWDFSPHPFLVSL -LSGFWNRIYKALDKNWCEEHDRMRDILYSKVLNFQMLIGCSIYEATGGIPSGYPGTSPDNTVINDIVNCA -VYVKLMEEKNPGCATVWAWFQDVVSATYGDDRLMTISPWLYKQLDLKYYKKQLEEWGFTATGADKSSDLK -YKPLTECVFLSRGFKELHGFMVGPLNKSRMYKTTWWVHGNPAYYYNPDAERYADRAENIFNAYLCVLQEA -AIHGEDEYEKIMKACIRVSERMQMPERWPTYKEMMARLFGIIISHNPSHGVSFHEEDEILDELDLMPLRI -PQHAKIMPNRTAYSYGPAYAYKGVEHEARPIPEKLQRLLDYVNQKYDREWNSVLINRYMRGGSIPFHKDN -EDVVDASQGVGCITLCGDGVLRFKDNHGTETQHMVGRGSFYIMEEENLKRYQHARTDHYAERTISITFRK -LGVLNLECRPHQYDVPTPNLTLLYVCDE - ->YP_009337104.1 hypothetical protein 1 [Hubei picorna-like virus 69] -MDEMSTGLMQEKSEVQKVPERGVSLDDIRRTEDDQVPFAAVLVPDPDADLCHSIPISVQEYWPKREISGL -YPLITYVEHITDLFLDINYRSTMSDHEMSIFFQTLTSRDKIPYKISQSHHSYDFFKLRNAAVEITIVLDG -TESTPDLQKYINIVKILKKLPAAERMMVQSRLEKKIGPIVALASNVNLQNVYWFLGKLKPNNSFPLIDQI -FTSPTILGKMNAIWKLLELYDILWQGIDWSMVQSIVQFLYKVLDWLMEKCNLAKEFCKTRFKWIKAKKFT -DGIEKQAFDDPTAPELQINDCLYTALAPQFNSSPQRFKKEFLAWLKNFSQEEYEDLCALNGSVSRAEQSL -EEVKNIADSELMLTDIHVLLISQFKQTNILVKNGNEEVLRDNAAQRLSSAEPKYLYHWVAPEHWDASLPC -GGRTISILGTGNEYVHKPKTCECTKPVTCICIICGTRKCLNCVATCCGSIISKTPSLESLISTDDEAQPV -KPVATVTPVKPVVQEPSTPSTVERTTSTLQETWHTIVSFFESLDFNEFATRVKRFLENLFTNIYEWLQSN -PLALGLASCIAAISTLLGFSIPVLSNEGDKRSIITKLSDATRSIYYFQRSTTGIVENFKEFGEAMASVLG -ISTDAQVTHFKDKVLEITQQAEKMLELSNTNPAHFVNSSEQMHSLEVELANIDKVYSDMIKLSSKANMST -INPVWQALNKVRHSLQEVNARLKTCTSKRQEPVVLWLWGPTNIGKSRLLNYITEELNRRMGTEMSVFTVS -KGPEYWNSFCGQSIIKIDDFGSWVGAEGNTDALVIFNLKTTAAYNPNLAALSEKTTMAAPKFVIVASNHP -TVSLNSGVTDLTAWERRRDFFVRVDWPGHELCAQEGDCEHLKKVRQEYADTKRENFSHLKLTECLPNITQ -IHNVRGKMEGVVKPLDEVKAQECSIDSLITKMITKEQIFREQFEVSFNGEILKQSIVSQFVDYPNYMIAG -PPGTGKSFILGSVKTSRAGCFMIETKDEFENFANAGFVAPDATSMVILDDLSTHVSSEKFDDLLQKIKER -SDQQDPKTIPWVMGVNLQILKPRVEQLFGGADNEEAWDMFLRRNNLIETKIKKTLTRLFKRPTPQVIADH -IKKGKPIEEYVAYNIGSEKKTQHQVIDLLSNIQVKVVDIASDDVLKEEPLRKPDAYIKMDITSDELVELV -NRTVVSKIMQLLSSDKITISPGKHSTRTILLKVKNAISNAGSHFGIEFDTADEFMLECNNSRFLQEFGDQ -HYYLILLDKIYSIHREGGDVKAFLVNEPVSAIGKFTNDCAELAKVVKAVDFVSMTASEFSPWICFAGDLI -AMISKIGLSALSISHSVSSEREASSALRILNKAKSNAHLALEREASKLANLFSDTHLDSNTNRGGNLYSI -SMPGKDKYTSLKETESPVTRSNRAPVRPSVPPKRETSADARRAKDVDKVIKGDLEREITGSQKGSSTDIL -YNLKTEYDSVGGRHSGHKTVVKQSVLTTEEFSELVKEDVIPVAQSCADPDLLPSIDKISRNCVRILNRNG -KHICHGLVIKDFIMTTVHHIRSMYQIEDLIVEDIHNNRYTCTFYQEIPGEDMLDLKLHPVNSAAITFSDI -TRHLASPEYTDYEQMSAVLLTVSRMSSKEKNPLIILRTYTVQRMLSLYDDDVGTMIRLIKYMGYKTGMYT -TDVITRPGDCGSVLLVSDPNYHGGKILGLHSRATDVEAFIRPIFNTWYINPIKEILLPGKFMKEIIPVEN -EEHIAVTSFNVHNSGKTKLFRNICPIGEKKYEPSLLTPQDTRNPGHDMLEVEAKKWLIKPPTMSAKDKNL -YRTCVIDEAKRFIDVFSREHKKIAVLTKTEALNKYRFAVHSEPIPTRTSPGYPWNFYSKSIGKSDYIKVN -EKTGVRCFKKSPQVDKLHAAIDRLKNHCIKGEDCEIPLFQVVLKDEAVKLKNVYDTPKTRTIAACPLHMS -ILMRQYTHAGISSATDCHMSLPPAVGIDPTSAHWDMMWRQATNVGKDTVAMDYKGWDFTVLPFEIEMLAL -FWNTLYHAMDPNWKQEDDKVRSEIYKILSEAYIIVHNKVYQIAQGMMSGIPSTSYDNSLINTFRKRFSWK -KIFLKSRPSIVGSDYFNRYVYLKCYGDDFFMVVMKSLVGEYNALTIRDVEESVFGVTLTSPDKEKEIVST -MPLHDVTFMSRHFKIIGDRCFGALEWVRVLKPTWWVHDFRSHQVWKDPDTLVSNLTNAFSAYESALMESV -VYGVEKFNSIREPAQREFSRLGFPKTLPDYETMYAELQGVPPPDSLTHGIEIVQNVPFLAKAKIKKPKIP -EDAKNFGNRISWNYGPAYSYRGVDHPEKKIPSQYEHLLRCANHYFGRCWNSLLFNYYPPGGCIPAHKDDE -PCVDQTQGVGCLTVYGDGTLMLTREGYPPLEVLLNPNDFYIMDENCLKLWKHRRYDHSLPTMSITFRRIV -PSHLLASQ - ->YP_009336576.1 hypothetical protein 1 [Hubei picorna-like virus 70] -MSGLLDSKIVDRLLDIGIFKLGTFGPVSRYVQALRTRGIDGLVDIHNDELKSRDCEQAAVPFGLALTVSM -IRGDMMFSLEEFERWYAMRFAKDYTPAIICPGFEHSFVFPKKFFVLCTVISVILKDEHSSDIQLYTKIAE -VLSKEWFATQKVMLLAIENYLGKSVTSLASAVTNENINFIMSTFKFSVNLPLVYEFCTASTWHSKFLKLW -QFLEVNDLLWKSIPWSSIQTCLTTIYKILEWLFTKTKLVGDLFQDGVSWVEAKVLGEKTPNSTVNTIVQN -MAYNVETETLAQHQAGGTGESSNETGESVEMNLLPPKSQKTIDFIEKHMPVNNVRCTFCLDFAISGCAHT -LHYCAQHLNTQPCMICETSQYHTVPCECVLTTDSDISSSRGSSVCSEKLCLEVESEKYTVLDKTKMFIKN -LKLEQLGNEIIEFLQQVFTDVVTFFDNYPFFAGLVALATSFASLCGFTLPFFLNQKESISWIKRLTEGMR -SAYYCNRGTESLMGTASGLFTTLREMCGVSQHKQIDEFKKSVAAIYLRATEMRNLAISNPGKFINNAQEF -AKFKAEMKEVNRVYVDLSKQYTSAQLQVITPIWNQLGKVFFEISRQFQKFVSCLSERPVPVTVWLWGATG -IGKSFVLSYICDRINQILGTCMQVMTLCKGPEFWNTYAQQDIIKIDDFGSWIDPTGDKDALAVMNLVTPA -AYNPNMAALEDKNTMATPKFLLIAANFPTIPLNSGIQDIQAFERRRHFLVHVSWPEHEAVCPPGCEDCDL -FKAMKEKNAGNISDFSHLTFKMCNRICSEPVGADTHISRNRNYEKSEVKMRELNFEKIITNKTVSLEELI -NMIIGKHREHEQTFQDTINRKIRMGVSLQAPLQSWKQCPTILLNGPPGTGKTTLLEQTCALMKEKSIKHR -TITTMLEFDTWFENKDFNHEFVCFSDATPLVSSRYFKQFIDMVCERYDQKDNEIPIWIICANEEILVEAI -EESFNEEKVDVFFRRCEVIQFMFKKKNLFQKYTYKDTKQRTASIDKMIRRVHNDDDYSSGQLIELLIGYK -PNIDSREIINSLEEITEYEPDTVVNLHMSVDQFVCLASSAKPLSIAKTLVNGKHQFSSNRFTFSQIARKL -YTAVRQSGASEGIVLTLDALLIDAINNDTLSAFKDCKILVNFLDKSYALDGSKEFVTVKIYRPVGVKAQD -CVDSLNAAIKTIGNADLISVTENLIPPWYAFTADLIMTVLKVATTGYVTMGAVHEISRNKMAIEATNLLH -DNINSKVKETMTNVMNSIVNESDSEKYSTNSFIYNRYRQHESDSEKYSTNSYIYNRFRQVEQKESDSDKY -SVKDPRRQVEYVDHLPPDYKEMEIPLKDLHDGIIKQMSGDPGLPQVIDAILPNLVEIISEKNAHLVYGLM -YEGLKGTTVYHITDLLRDGTLYVRTTSKIVYKAKILHSDPATDRLDFEIVDKTCPAFTTITQHISDGDGV -PPYHCQAVLLTFKPNLLSIPVLKIRSYRIEGMEIVKFDQDDAAYHHIKYVGHQAGIIMNDVNTYSGDCGS -ILLISDTTWHRGKIIGMHVGAGTKEAYARLMCRKDHVTTPNKEFLEELNSFSANCFDPEYVIAATDDRNP -AKKGITRKTQNSPYMTNLYRNVAPIGPRTHEPGILSAKDPRSDGESILHKEAAKYYKQRKPLSGHHRRII -RQATEQLADHFADVLARENVQLSVLTRTGALNKLPGCSKSEPINIKTSPGFPYSDISSRAGKQDYISVDK -NGIHRFNKSFAPARNALIKGMNAYHAEAQGGPTAPCVFKMFLKDEPRKVEKLHKTRTILAADLALQVEYR -RYLHSAQAFCAEYWHLLPPKIGINPLSLDSNTLYHKLRKVGTKCLAEDFKGWDFSPHPHLMAMLPIFWNR -IYKRLDPNWEPYHDKMRRVLYAKIICFFFLVGNTIMEATGGVPSGYPGTSPDNSVLNAIIHAACYIAFML -KHHPNLANVFNWLIDVCFADYGDDVIQTFSDWFAKIFDLQFLVDLFKEWGFEVTGASKDEKVKLQPLVEC -SFLSRSFRFHNGMVLMPLNFDRLWKMTWWVHDSPPYEYVRNKEKVVRRAENSLGAYRSLLFEACLHGVEV -FNTAYTAADAARRHFGVNETFPSYAECLQHIFGANGNLNFDPAGFIKEDIDISKVKFRKTYQNQNSVVMK -NRIATHFGPAYWYSGVKHKPSDIPTHLQELMDYLNLKYNKKWNSVLINEYPRSGGIPKHKDDEPGLDINE -GVGCLTVQGDGTLRLYSSEGNFLEYVCSSGMFYIMERAILQNWSHSRVDHYSPKTISLTFRKLDT - ->YP_009333542.1 hypothetical protein 1 [Beihai picorna-like virus 118] -MHSSTSISTTLLEEFKFETTFNKMTDEWHSAISDILHTRALSSSHSHQPRGWYYSPPTLPLKPEFTNFDF -NSTKGNMFPCHHFPLMSDYKFELDPERPLDKKANTVQAQCPNEFSFDLASIFNVIKPATLSLILGNIYLV -RNLAHLVLTVTSFIEVYLPHMVSVMEYLVPIFRDLFLGLPQALHECEWLQSLFNKLGFELPKGADKKADE -LQAQADNKYPFWICSAFALCAILIPSATKLNSLFSIPSVMKDAAATLKACDTITESLPAYETFVRKVATF -FGFTFEEKELTIFEQKLDEMLKWNQKCTQVLIDLSLDPAVYFDRSAELIDFCVDGDAWEKWFMEQSKITS -MAAHAHLLTTLKSERTKLRRLLQSYQKSISVKVEPTTLHIFGEPGLGKSHSASTIVSKLSAALKRPLTTY -TRNDSDQYWSGYLGQDVVIYDDFASNAKGTSCSELMSIYTENVTLINKAALEEKGTAFTSPFVILLSNRF -LPAKGDITNPDALARRRDVVVKIEDKTLHQLRNVEGRSIDDDAVQQHLSTRIEPDFILHHQILKDTALWP -ETDSRGQPIHMSTDAIVDKLVSLHRRKVANYQKKARTAFLSHFPDVPTPDKCADIQPGHPLTLKDMNPIG -SPPPQITSALERIAGALHLQGGVEDHKLNIFGIGGDAGLGKTFFINRLRSHYEENEAYFYDDVAFSQEKF -DEFIPKLHSHYEKGELVFFTYNPTTFKARLHELDADKQAAIKRRFNKISFEKKLLARTRGLVLEARDGKS -ASRFDQVVKVQFNGKPIHIEALFGNLSKITPSSEMINYDEIDSELTVEDCDEIIRIKSPINVFFTHPIAI -MQVASRHSIGRILALVCSRVGDIRELELNQQIKAINATNTPLKDLRIVAFQFDDCALVAMSRNGVLNIKY -LQSVSDEDIDDLAFASDVKIDTIQPTRDISQYLKPFFAIAYTLVTFGLSIKAFKRTEHRHYYDCSDNTSA -YDEGLIPPCPSEVHYESKRTPKRSAYSDDVFHPEYPKTVKVKRQGCPTPESWEKYGQKNNSDGLDNYDEE -EEKRRPPSYFVQTTRLNKTTKRQTRENLRHLNDDYSWGNSPDPDDYEGEQEWDALPDWESRKLTFPQKQF -CVYDNHRCRNGTKHYKNLHLLSTERIGKDDTDEDKMQAACLILSNHIMKCKNCSIKSADILTPASHPLSQ -AWKKYKSLILHEVDSSFDKPQLQATVNPSLRPILQLIHANTIDLGMCKGLMLFDNFGVTTKHGIPGDVGD -AFSVTEHAFKICALHPKKDLLFFQLKNKRSYRDIRKHIKSDDEVSESRNCILSIKTDAGHYLTPIRTTKI -YDWSVKKSPLGETIHYTPVNRNQKLSFMLTMNGDCGSVGVTTGEGMSPKICLLHNAATTTCGYGVNILQS -DLEVLHEAVGFPKEPQAEALKIQSQVLPCKEETDFEIYPARFQQFAPCNIPTEGHWTQVGTLLTPEGKVF -KPFVASKTDLRPSPLGTFDDTGFVASLSKKDFQPAPLDRNDPRNPDKISILGKCVGKWDVQRDPINENPV -NTAAIELGTWFAKIFKQEGYGLRTLTEHEVINGIAGHKASHSLNFKTSSGFPWKTRPGLTTKEGFFDKLP -NGSRKLKDNSAGRDLRDAVNHIEAQARLGKQTGVVYCGQLKDEPRPRHKCEVLGTRSIAGGPICFQMAKR -KKCHHFFANLAATRGLHPIKIGIVPSSYEWHELYTDINACSPDVFEYDSSMYDQSIKEEIGRGAGVIVDT -AYRILDPDWTPTDSMIRDTYYRTVISGLYSIGSKVYRSRGGMPSGEPDTSGDDSLYHVLMSYIAFKIAMK -RANRPDLSTPEQWYNLARLACYGDDGLQAVHPSIREMYGPVLFTEIWTHVFGMKVTRADKEPGDIPYIKV -EDVEFLKRKFVPYRGLMLGGLISDSFSKMLTLTRVRKGAKYTDPMPMEYDPETISATIDSSIWEACLRGK -DFYDKMVAHCSRIIDEYNLEPKEFLTHDAMVYRVLNSDEATAKRLEQSKILKF - ->YP_009272817.1 RNA-dependent RNA polymerase [Duwamo virus] -MKWCAPPSNLNLDVEKINETFAVLGDYYGQKLFVAGIQTSILTKKSALNSCRMYSHSKPIPIGTSAGYPF -NQMVYNGKQHLIHVDKETGERRFTNDPELKNLLHQTIDHVLQTAKEDKLADVAFIVFPKDEPLKKDKLKT -KVRTIASAPIHLSIALRMYFHTLDAALAELWPVLPLKVGIAANSLDWHALALYMLEVGDEGFSLDQADFD -FNVPQEVNRGVVHTKNAIAKWTDPKWKPEHDKMRIALRENVVKFKIIVGPLVYESQRGVASGQPSTATDN -THISVFFAYDTFKDLAPSWVDNSLTAFFALVRIAAVGDDLFVMVNILIRDWYNLQTVAEHLTKKYGLKIT -PSDKSGTFYKTKPLEELDFLSRHFVKDGNYWKGPLKMERLLKPLHYCHGFRAHHWFEEPDKETDDMDTAI -AAASSALQEMYFHGKEEYESLRKHIVTINSQVLCNTQEYFPPYEVEHQKYFNMQNYSEKGLYEYVFPTDH -TIVSKLTKTKGWIKYRNRRSLIFGNYHWDTKTTGKGLDIPGYLLTLIEQVNNLLLTDFNSVLVNEYQPGG -LIPWHKDDEPELDQTEGVACITIIGDGVMEWAEKKDDSMHQKITMLCFPGHCYHMCGKYVTDYYHRRSHH -ERYTVSLTFRKLLGSSV ->YP_009272708.1 polyprotein [Wabat virus] -MAVSGYSRVSARVNAISLICPFVPTNVSQINIETIIRHWNENGSVETDKTVGDYVVDLMLDPAFVCDVSD -VVLNGLFSLVVESDGAAYMPGQAYHGYTGNNIRRTCCQLVNIMGYSVGTPDLQKYEEIVVALAPHPDYEQ -RFIRYAMERRYGEISALASRVSQENINYFMNKIKITNSLPLLHQIFRAPSIRGKLNAIWKLLELTGTLWQ -GLPWLKIQDFVQAFYSLLAWFMEKLDMAKLFIAEGMEWVSAKCVRSEEDGPVQKQVEDVTDLLTMVENES -AMDCLYESVAPQIGLSKKELKISFVKWMNNVSQEEMNTLFLLSTRDGAHAEEDIDVVKRLCAGAEQKDER -VMCLLSTFLNRNIVCLRHGQLLDCASQRHTKPLFVHLHPGTVTNKVGHFVATGYTPGISFPYWGGDATRF -VDALVCNLCKAEHCNDCPVCQKPVCIDCYLKCCPKAAPVEVTKTEIPVVSMPECSSSESEVSIPPVTQQP -PEPMPRTVFPKIENKPPDIQVQQNPNPGPHIMPPTKPVENIEKPEPTVEDLTTTIGRSVGNFFEKTDEIC -SSFFEALSNGETMKKVKEFLSTLFIDTYGWVKANPVLVGLVGFASMIASFLGITIPTICNPLDKRNFIAK -FSDATRCVYNAQRAGSSMLDSFKEFGDALATTFGISTDSSINDFKDRLVAVNEKAMKLLAIAQSDPGKFV -NSSEEMSEFESAMKDTMKVYAELSKFSSKANLSIVTPIWHQLNKTSMQIQQFWIKFKNCASDRQEPVVLW -LWGATNLGKSKLLNHIALRLNEEMKTEHKVFTISKGPDYWNSFTGQKIIKIDDFGSYVGPEGHTDALAVF -NLKTCAAYNPSMAAIEDKNFMASPLFLLVASNHPTIPMNAGVTDLKAFERRRDFFVRVTWPDHEGKCNEQ -SNCKCIKDLIQAKKDNGGKETYDHLTLEILDPCMSRVGIKMKDDKHLILPSDPRLGGLGKKGINVDQLVK -EMIKLQNDFKYNFESSFNAVVSKQDSIAECVTERWSEYPTVALLGPPGTGKSTIFKQFATKHATETLHIL -DQTEFDKFAEGKWAVKSGIKYVIFDDSSSWVTSPHIGDFLCKIRDRANDITARTTLWFVGINKFILNPHI -YETFVKNSIDPAERRESEELFYRRMTCIEAVFRPKNNKTNRLLFKKYRKLYTRDDVDADKQNIDNYVRYR -LPSGGETVQAQVSCFLEKFQPEVVEIRNMVALPLARMTTPDVSLEIELTSKEFIELLMTQSITRLLCLLQ -SDRVKFRTRSLQMKKICEMIMRTVESAATHLGADFDDLEEFLIECNNRRFLAHFEGFTAYLILKDHAYSI -ERGTFEFPMVHSLTFSTTELDTIKTSISEVATSITTADVLQMSTTNFSPWFCFIGDLLATTAKIGISALS -VSASIKEQEQAREAIQVFDYVQHCSNRYVKSEIEDVGNKMAKKLYINDQKREKKGYFHVNEDNEPYAEYY -TDSDESQLNEDESEAELVGGAISKEEGEQKESKSHKRNRAQRRRLRVKKEMLEEQLEAVEATLESKSHKK -NRHQRKRLRMKKEAAIYDGDHQRNRKAKKYYEPINVEVQDFVVPDCQTDTLMDIHGVRNEYAEDTCRRSG -KKKKYRHTAVIPPGDLPKDGPPVMQASCDPGLTPIIYSVSSNMLELKTKNGYHVCYALAIKGDLATTVQH -LTEKLKAEELIAEDSEGNTYGVEYLKEVYGSDRLDIKLIGKNVSFKDISKHLASMTYKDLDNRNAVLVHL -SKEVSSKMPVLVIRSYRVKGLTYCPKTSDKETVSLISFEGHRMGYNSVDVLTRNGDCGSILFILDANVTS -GKIVGMHSRASKFTGYCRRICREDYVDIHKQIIETEAFTKPLPVLNQYNFAEEDSSPDDPDMIGYATIRT -FTPDKTKLYRNVCPIGEKFFEPAILGPSDQRNEGHQMLRVEAKKWLGKPPELSIEDQNLLLEATHELALD -MIDVIKQHGSTVMVLSKKAAINKYRHADHSEPIPLATSPGYPYAYQTGSAGKRAFITLDEKTGCRNFTDA -PHTKVMFERIQNILDSAVGKSHLIEPIFNIHLKDEPLKLKKIYSVPPATRTISACPLHFTVVHRMYTHAG -VANLMDVHPLSPIAVGMDPLSNEWNFMFKEATHVGTKVVALDYKGWDFTVHPFMIGTVLPAFWNTIYQAL -DVKWREEDDDVRNLLYKTMSKGLILAAGRIYRIGRGILSGVATTAPDNSIVNCIMKIFDWKKIMSKHHPH -LTGYDHFKRETFGKYYGDDFFLVVSDYVCDVFNGLTIRDIEEKTFGVKLTAADKESEIVAWQSFKEITFL -SRAFVVKNDCCYGRLEWHRVCKPTWWVHDSRSHRIWEEMDEEVHDLTHAHAAYESALLEAVLHGKKKFDS -IRIPAQAALTRAMDEHGPLPTFETLYAELMGTPPPPDIQVGASFHRLEEEHMFRPSIPDHAHHFNNRVSW -SYGAGYTYPGARHPPKLLPPKYKSLLDYVNHRFKRKWNSVLVNLYPVGGEIPWHRDNEPELDPEEGVGCL -TVRGNGTLHIKSTTSQDHRFALLPGDFYIMEEECLTGFRHKRDGHTEQTISITFRKLDSDVPHQ diff --git a/seq/clusters_seq/cluster_566 b/seq/clusters_seq/cluster_566 deleted file mode 100644 index 1f2ea16..0000000 --- a/seq/clusters_seq/cluster_566 +++ /dev/null @@ -1,76 +0,0 @@ ->YP_009345013.1 hypothetical protein 1 [Wuhan insect virus 34] -MVFEFCSDYSSAIMTSLRRELAEMIRPSQPLVSLAELPPIPEAPLVEGAVRLTVEAKRSAAGVLWSGLRR -GVSSLMHASLDGAKVAKECFDSLPSSVKVGGLIVTTAVATHLIGKHKRDIDAKVAKFRDMFRPEMKVVMA -VGSTAKILESKRAGSEESDMTAYKGQCQIGCYDGSKFVVTGAALRFASNYLVGPDHVLGASNLIAKGRQG -RVPLDGKERIPLATDLVAILLTDAEFANLGVSEVKIGVFESSIYAQVVGPLGKGTTGALKDDNSCFGRVI -YEGTTLPGYSGSAYSSGSYCYGMHQCGGQVNGGFSASFIWCLLRIHLRRRDEDTADHLMREFSKGKGIYW -STSHTDPSEVIVRINGRYEYHSTDTMYDVFGNDWMNKTELKRRGRDFQDFKDVEFESAPVSGESRSLKSG -GSGILESPQDSLPLSHQSLMKEYQKLSKDSKMKFRKSLGMWMEGPTTSGRVKSGNPTS - ->YP_009342463.1 hypothetical protein 1 [Wuhan house centipede virus 5] -MASYCQFSLARVLDMLQAPVLAPEVTGNKWGTLFSGLGKGTLAFVNGTRFGMTCARNTYEALPDWARQII -LCGGVSAASIYAYQRRERIKDRIALLRTMCKRKIRTIVPTPSSKVYMESVRKGSEERPMTSCAAQCTIGR -IDVAGDFIVIGNALRFDEDWLVGPDHVLAEDAVYAKGRQSKVSLKGFERVKLATDLVAIRLKSDDWARIG -VAVLKLGHVEGSEFVSICGPAGTGTCGSMSLDHERFGWTVYEGTTMPGYSGAAYQKGPMAVSMHVHGGVV -NAGYAAGYIWVLLKIHMKMYDEDTESYLREQHRRGTITRFRSSPWGGDEVIFEINGRFEFHRERNLAAHF -GENWEQVLRPRGGRQYSRHYRDEVPEGANLVKAKEEPEESGECKCSECLGASNANVVTQGCQQQRLLALT -QEYRKLSRASRTKFRSSLGILKNQPITSGLQDKSPAS - ->YP_009342441.1 hypothetical protein 1 [Wuhan insect virus 17] -MDPLDCGIMAGVAEAPVDVQRAVADALAQAIAAHQLRYPGVLAAVAEIGGCVGGVVKAAAKAVGGAVLSM -RSPTLVEAGLAVALVGVGAKAYVPALKKRVRGWRKPAVKEPEPEQPPAIVPPPEIAELLREEYVPESTVA -GSEFIPMERPTHQVCFGIHEWDAKLGRLGFKVMGAGCLVKWTLGANGSAHYYVIVHEHTLVHSSHMVGHN -RYPLDYKVELMRNSLQHLDLKCLPATVFHQDHVAIELTQSQVSQLGLAPVRRIGVLDKRTSVAIVGPNGL -GSSGDAEMISDGSIGQMSYTGSTIGGFSGCAYVSGSAVFGIHQAGGARNTGYTLSYLLKKLMLELGFCKR -ARVERVAIYVCGHPVYTPPCGDLSVPESSDQYFKDLYMEKVPIKWETWGVDEVLIEIRGRTSVHDVGTLR -RKFGRAWLENLSKPVGQRHARDFDDSIPECAAEPLEIPSQSGESRSPGALSESASSQGVQACQLAVLTAT -FATLSPELKAAFLTQCAQSMTSCSSPVRQETGSTQA - ->YP_009337868.1 hypothetical protein 1 [Wenzhou shrimp virus 9] -MGVFKKILLKTIKEVLEEEELSTRKNPLTIGNVGKSWLAAVGTYKKHLVVGALVGAGALAYRYWKNRKRP -SRVVRDSFFRAAITGDVVPESKVAGSPEVNLLAPNSQCRILLKNSDGDWVLTGCAVRVDLPIGPFLVFPQ -HLCAGELAAMSSRDEVIPLSLDGLEPIAADLSMLPCPSKLTSTGLASPTIGVVRPGQRCVVSVVGVDGLG -TTGPLQTSPVFGRLIYGATTNTGYSGAAYASARTVYGVHTNGGKLNGGFALSYVYAMMKVLKGVRDESSE -NWIHSVMADKSTRFLEMDYERVADSIVMRGDDGFYHAISGPAAEGLVKKLDKYKYDAGMVDFRSKTGHTW -SDIDPNHWESANPETAQVLASADTVPQGFHLDPSSRESPYIEELASQIRMFEDRLKSSLVDTALKKQIMD -TFQQTLEPSRSLTLSLCRPELQHRLAKVMFGLH - ->YP_009336756.1 hypothetical protein 1 [Beihai sobemo-like virus 27] -MEFVAKARAFLARMCLYFVGFVVTITNEHLVALFGAILRAVVALFECSMRGVHHMVGALLPEALNPPNRK -NDLLRQYMEANEAEERSRSATDIIYGTSELRELHMDLGYQAGFQHASEMIISMLALGCLAAWLFSNMPSM -NGIGARLRGCLKWRPTKAVRLTDVDAKAAAFATILPETAIPGSNELSMPMTKSQVVMFLRETDAQGKNVD -TVLGNGIRFTATRLVFPSHLGCESFGEVWLSSKNGGEPFCLKLGLKGDYQAVAFSNDMSFVELPTTVWAG -LGVKVATIGALSTVGDNVTVVGARGKGTTGVLIAGYRMGDVIYNSTTLSGYSGAPYHSGPMTLGVHTHGG -KQNGGVAATYIRARLAIYDALELDIVAESSEDFMRKVFDSGKRAHRTEDFGDFMVVEYHGNHHTVSKQLY -DRIKSQTYHPSHLSRRTGLSSSEDSEYDSESEMDPPPQLESALNGPVATMAEPAVPGYDDGSGLPANIPS -SKPPSAPPKKKKSKKSGDSQRATERPTTSRKSEKTSSGGSQSRKSNPSRHKAVKRDIMTKFSELTPTHRA -RLLTQMAQSLAKP - ->YP_009336745.1 hypothetical protein 1 [Beihai sobemo-like virus 22] -MKNVLLVLLVVRVVVCPNLTLDDLRPIPEQLMNMTVDAWKVYMAYYRSPAFNVVRCYGPWVTFMITVGAC -AIALLKEREAREAKAKLERLFKTMHTVNGSLRCPVLTPESLRQGSEISRNVKKVPGTFAVAMKLAGELTV -VGYGMRLENAAVFPLHLCGDSEDGTITIMNNGKNGLKTATLEYSAHVEVDTDVGVWQKTTDFWSQLEVGK -ANIGILSAPAMAQAIAGGKMSMGTLKNDPEVVGRLEYAGSTEAGFSGGAYLVATQIVGMHFSGGSVNKGF -ALMYLYSLIRSVFNQEAGSDYSWMNALVKRKVKVRARRHPTNRDEVIMQYEGQYHLVPYEEFSMRYGALG -AGETDFVGREVVPEVYTGHTDNIAGDLAFNTAVPLNYDNPQVTPGGPHRTKNAENVEKTLQMNALELQLS -KLREKYEAIVRATEKFVAQYQPDPKAKEEDALLRGKMKQAAQEITAEIHKEMEAVSKSLTEVRRNTDEEI -RNRRRAKKTVRKLASNEDVVRNSLQRVPDFVQCHFGDLPTELRDRLKDSTTSILKLKVPTTSTSSQRSPE -NDTPLPSAGTPLSPPS - ->YP_009330081.1 hypothetical protein 1 [Hubei sobemo-like virus 49] -MACFSDMLIGFDIEPVERRSLSGVVMDGTHFVYESAKDLVTTVAQTRTKGEIVLGTMVFGGLVYKCYEYS -VPTKILRCVSSVVPGYRWVKARLGKYDVVSAAPRRMDSVLESRRTGSDETPMTPPRHQAVVAIRDGDIMR -VLGCAVRFNNTLVGPDHVLGGTPDVEKFVKGSQSWVALASRERIPLDTDLVAIEMSDKDFASIGISACKV -APMGNQTEFAQIVGPDAKGTVGQLKHDAICFGRLVYTGTTIQGYSGAAYTMGPKVMGIHQMGGAVNGGYS -AEYINNLLLVHFKQRYESSPEWLLSQYEAGRTMSWKRTGDPSTYQLKVGGRYALVDRDDMDAAFGEHWRD -SEVIQNKRDVRHRDYESTGAVANFLSNSAVSAPSSSGEANGSRNLGALSVVDDSQGLEMSGPQKLMAEYA -KLSNAQRKRFRQLSNLSPRPIEATAGQARQTNSQS - ->YP_009330079.1 hypothetical protein 1 [Hubei sobemo-like virus 48] -MSWKDYLLVSGGVYGLWMGHKIGVGSKISAVAGMVIPGFRKLKWAVGRAEVVSQPAASNHLRLESRKDGS -EEVSLTAPRYQVIVCEKKDGRLVKLGCAVRFDGNFLVGPDHVLGEADLPKYAVGRQKFVCLDKKERIPLD -TDLVAIRMSPSELSTIGVSVAKIGLVSAKGVYSQIVGVDSKGTTGVLKMDRVAFGRTVYDGTTLPGYSGA -AYTSGAFCSAIHQSGGAVNGGYASSYIWMLLKDHLRVEDVVEPESKKNWNSDTPEWLLSQFKAGKKLKWK -RTGDPDLIELMLDDGKFSRVSPASMHKAFGPTWHDHDVIEKGFDRSYRDVPRESILESVPCTSGSGEDHG -SKLPGALSLLEPDQAWARLSLQQEMREFFNLSKRQQEDIRKCSQRQMSQNLASSGQAKTMATKNS - diff --git a/seq/clusters_seq/cluster_567 b/seq/clusters_seq/cluster_567 deleted file mode 100644 index a7ca140..0000000 --- a/seq/clusters_seq/cluster_567 +++ /dev/null @@ -1,129 +0,0 @@ ->YP_009342328.1 hypothetical protein 2 [Wuhan insect virus 11] -MAVWNLFCTNRNTKPADSNNSTQESTTSAANTQLAATTTENSIEHVEITAFHDVEAPQVSTIPMAQDDSD -ASNMDDTHTIIQFLQRPVKLEHFQVHPSEDTPLHAVVFNYTTTGQQKPVREYQLPSSLMKQGNKLEKMDN -HQYFKADIHIKVVLNANPFISGRYYLTYSPYEDLVTNARKQKFASRAGVTAYPGVEIDVQLDNSVEIIIP -FASYKEAYVLTSEQPEDFVKLYLFCISPCRTGTSAMPESVAIDFTIYGWFENITLNVPTLKSIPALEEKY -RAKYGGKATTRVERQIVYQPDPLTQQLEQLKASNLQAYTRVMSLLAQKSRVPRSLEENWEIPVSMQIQAE -AQASGPISGIASGISVAADAIGNIPIIKDIPIINEVAPIVGWAADIVGGLASIFGWSKPQRMEPVLELAN -LPGKNYAHTTNIDNSVSLSLSNQNELERPTNIFPSAVDEMSLEYVCQNPAIKYVIPWKVGVAQQRNGVRN -STERTALRVIPVGIAPFERYTDPTSQPKLSRFTQPISSEIAGVSLNGVFPQIVDLESIINFKYQVSNTVL -DTAPCEYVSQLFSYWRATICFKISVVKTAFHVGRLEIFFDPGKFNFNSPQSYDDADTANNYKYILDLTND -SEITIKIPFVSEQLFKSTVGANDTSGEIAPPINIQNIMDSAIGALVIRPVSDLLAPDTVSDYVDIIVWKW -AENVVLSTPKPATEGDILVYHPNINPGTYELTKEDVINAFNTNPPSGNETEDFMTASIYAAIDGVPHECA -NQVRANMQINIGNKAEGNVEIFLDTKNGVQDAMNACKNAVGERIINLRPLLRAFRYIGGATLGPQIPLIL -NWQKDIQDVQKTGRFYQGFTTTHPDYATVLSYMYRFFRGGTRYKVFTDTIQKVVTQDNTPVKVNRIISTL -VKQTQDTTRIAKNIHTGPTHQTFMDLNPVHEISVPFYSKFRKLPISLLDANVHMPAIQASTQCEVDILRA -GNDDLTFGWLMGTPQLSVGTSGVQILSWNASKYQDPNTCRMVQNQTVKATMQGHFSDPTPDEPPNSSQIE -VNPQLDKQTTLKLRTMELLGRFKNEYTQLNWDQKLIAIHAMAKFHKDAWDQGDAGFDPLQLQNWMMQAQS -WIWDSWDVELTPTQRCQAIGVFAALYESFRIANA - ->YP_009337655.1 hypothetical protein 2 [Hubei picorna-like virus 25] -MVHASWILKRTTPAETNESQNSNGGVISSGDDSVQNVIYTEPTMTTSQTYGDNQFSTLVDQIDETYSIKK -ILEMPSRISTWQFKTDSLTLFDSPLPRFTLDFPKDALTTSKKQKFYNFAYTKFDVKLKFLVSAPKTTSGI -FWICYSPYEKQLESRYKIENRDIAGVTQYPGVKLNLAESTSAELVIPYVDYREAYNILSDSDSSVTLNVY -LLAPIRNVTTSSQNITMTVEASLTNISLTCPVNLLPAENSIWKMQGLGEGGRRGVISEATGMISGIANTV -SNIPVVGAIAKPVGFVSDLVGGVASLLGFSKPITFEQQQNVSNLPGRGYAHSKGVDNSVMLGMSQETELA -LVTDEFPSKEDEMDIDTILRTPGLANTSEWVDGETYTIWHVPFLFKWEDTGNDQVQKLTNTSYFEYLAMM -FKYFRADIVYTFEISKTAFHAGKLELYYCSGTNGYSSTDPSTTYRVVWDISESNTLTFKIPFTYNKAFMP -VAVDNSEYGSLIIRNVTPLMYNEGVEGKVDILCWKHYENVNFMCPSPNYVQFSSTSPTPNEPNDGQTYKA -AGTLIYDLFRGNITGYRYNEDQTLNIWFDSEGGNHHIIKFDEETTALINRPTEFGKTRYYDSTVQYGATM -DDTIIVIINLIELVIYDALFVPPAANWRSQILIEEEGNKYSVSTLETSQNSISVLTQVGGEIVKNLRYIT -RSHRPTQLFDLAANQQISLNFYSNDNIIEVDTLTYFSYMYRMMRGGIRYKVFNTSRQGYSFSALSDSIKP -GLISNLTYNDLNPVHEVEVPYYNQNRRFIVGLEQPRDVPQGAVYATSETSPGTLITQAGSDDFTFGLRVG -PPKIFRLKNAPEVKTPKVRPFTTLNPAVGSNACRRSVPLPRIEEERTPTA - ->YP_009336558.1 hypothetical protein 2 [Hubei orthoptera virus 1] -MAQNTTSIAHFDNTFNIKEFLRRPIKLWSDQISVTGKQPLQEFVEDTPQSATMSWDLPHAVLAGGHKIEK -VTNHQYFRADVHMKLVVNSSPFISGRFYLTYSPYESQISDARRQIWASRAGVTAYPGVEVDIQLDNSVEI -VIPFASYKESYVLTTEIENFVTVNLFPLTEVRGVAGAYVDMAMYAWFDNIELNIPTLKSATGAEPQVIER -PLNDFEKRKIEIGRVVERLKHSVDPRTREAYNTVMQYVRGNMQIQKENLTQSGPIGSIASTVKDIAGIVG -TAPIPIVNEIATGVSWVADIVGGIANIFGWSKPNSYAQVTPLQNVPGKFYTHFDSEDQSVSLSLTAKNEL -DKLDNIFPSAADEMNLSYVAANPAVKHIIPWVSRAKAGQAFTNEVLAVIPVGIGSFTAKTIKAAEFFTDT -SILDPSAMYLCPGDNCAEADGGFIPYMAFNAFLSGSGKNVYRSELRARGQVNRFLLATAPCEYVSQLFKH -WRATICFKISIVKTAFHTGRLEIFFDPGMYENVDKSDFIDPDKDKYKDIDTTNNYKYILDLTNDTEITIK -IPFVSEKLFLSTKGLNGGAAMPTVEQVADSIIGSLVIRPVSNLMRPDTVSDTVDIVVWKWAEDVVLTCPI -NAGGSNLTIYDKNSSDIVYPDTKVPEIDHDILRNLPVEFNGTKYTMQCGAKRSIREVGDSPFSGLVVDGS -MQINIGNKAEGNVITFFNTTSVEAENMNACKNASGERLVNLRPLLRVFRDYKEVKTLDITIDAQEEDNKN -NPDYLSYLSYMYRFFRGGFRYKVISDGTQVRSRLLENENNTGKLTPSHITFPQINPMHEVSIPYYSRYRK -LPISSSKDGIMKLNIKGDNTTPAILLRAGNDDLTFGWLMGTPQLVPGNLGVKWLSIEPIENVGDSVPLCE -AAVKRLTTGEKGKPVQPPKK - ->YP_008888536.1 structural protein [Formica exsecta virus 1] -MDDTHSIIQFLQRPVLIDNIEIVAGTTNDSNKPLNRYVLARTNAQPMIKSWTLPSTVLKAGGKAQKLANF -KYLRCDVKVKIVLNTNPFIAGRLYLAYSPYDDKVATARRVQNTSRAGVTGYPGIELDFQLDNSVEMTIPY -ASFQEAYDIVSGTEDFVQLYLFTITPILGPTSATAQSKVDLSVYMWLDNISLVIPTYRVSDNLLPKVTSR -TVTEFVPNPTNSEAQTIKDTLAKLKTQSKASYDYIISALTNINPEMKNVTMQANAPGPSKKQSKPTSENP -KIGPISEVASGVKTAANGIERIPVVGEICKPVTSAVKWFADIVGSVAAIFGWSKPRNQNQVTPYQNVPGW -GMSLYKGIDMSVPLAFDPNNELGDLNDVFPSGVDEMAIGYVCGNPAVKHVLTWKITDLVQSPIANGDDWG -GVIPVGLPCYSKTIRSVKGTTTTTKTEVMDAAPCEFVANMFSYWRATMCYRITVVKTAFHTGRLEIFFEP -GQIPITTTADNILPDLTQLTKTTAPSDNNYKYILDLTNDSEITIKVPYVSNKMFMKTTGIYGANSENNYA -FAESFTGFLCIRPVTKLMAPDTVSDNVSIVVWKWAEDVVVVEPKPLTSGSTRVFDVPATASDSIINVDMQ -INLRNKTDENIISFFDSTSANTQNTNVLMKGCGEQIVNLRPLLRTFRAISDNWELKAETKTPITNLTNKA -DTVGRDYISYLSYLYRFYRGGRRYKFFNTAPLKQSQTCYLQSYLCPRNYTSDEINIDGPTHITYPVINPV -HEVEVPFYSQYRKIPIASTTDKGYDSSLMFYTNVSTTQKVFRAGNDDFSFGWLIGPPQLQGITKETTP - ->YP_001040003.2 ORF2 [Israeli acute paralysis virus] -GDSQQESNTPNVHNTELASSTSENSVETQEITTFHDVETPNRIDTPMAQDTSSARNMDDTHSIIQFLQRP -VLIDNIEIIAGTTADANKPLSRYVLDQQNSQKYVRSWTLPSTVLKAGGKAQKLANFKYLRCDVQVKLVLN -ANPFVAGRMYLAYSPYDDKVDTARSVLQTSRAGVTGYPGVELDFQLDNSVEMTIPYASFQEAYDLVTGTE -DFVQLYLFPITPVLGPKSESESSKVDISVYMWLSNISLVIPTYRMNPDIVKQGASRMVTEFVPNPLEKDA -KTIADALKKVQKNNPSGYKYIMHVLTGYEPEVKNVTMQVNAPKTKTSQKSTSENPKVGPISEVASGVKTT -ANGIERIPVIGEIAKPVTTAVKWFADVVGTVAAIFGWSKPRNQQQVCPFKMCLHGDILSIKAYDMSVPLA -YDPNNELGDLKDVFPSAVDEMATGYVCGNPAVKHVLTWKTTDVIQKPIANGDDWGGVIPVGMPCYSKSIR -ITSISETENRETEVIDAAPCEYVANMFSYWRATMCYRITVVKTAFHTGRLEIFFEPGVIPVKPTVNNIGP -DQDQLTGTAAPSDNNYKYILDLTNDTEVTVRVPFVSNKMFLKTAGIYGANSENNWNFHESFSGFLCIRPV -TKLMAPDTVSDNVSIVVWKWAEDVVVVEPKPLTSGPTQVYRPPPTASTAVEVLNVELQINIGNKTNENVI -SFFDSTDAETQNHNALMKGCGEFIVNLRTLLRTFRTITDNWILQANTKTPITDLTNTTDAQGRDYMSYLS -YLYRFYRGGRRYKFFNTTPLKQSQTCYIRSFLIPRNYSADEINVDGPSHITYPVINPVHEVEVPFYSQYR -KIPIASTSDKGYDSSLMYFSNTATTQIVARAGNDDFTFGWMIGPPQLQGESRSVAP - ->NP_851404.2 structural polyprotein, partial [Kashmir bee virus] -ADNQENDSTNVHNTKLASTSAENAIEKEQITTFHDVETPNRIDTPMAQDTSSARSMDDTHSIIQFLQRPV -LIDNIEIVAGTTADNNTALSRYVLDRTNPQKYIKQWTLPSTVLKAGGKAQKLANFKYLRCDVQVKIVLNA -NPFIAGRLYLAYSPYDDKVAPERRIIYTSRAGVTGYPGVELDFQLDNSVEMTIPYASFQEAYDLVSGNED -FVQLYLFTIAPVLGPSAESANSKVDLSVYMWLDNISLVIPTYRLNPNLPTGQTLTRIVQNSDSDKLKEAL -KIAKSKNPSGYKYIMGVLEQYNPSVKQVSMQIATPNKSKSTKPTSENPKIGPISEVASGVKTAANGIERI -PVLGEIAKPVTAAVKWFADIVGGVAAIFGWSKPRNQNQVMPYQNVPGWGYSLYKGIDMSVPLAYDPNNEL -GDLRDVFPSAVDEMAIGYVCGNPAIKHVLTWSTTDVVQNPISNGDDWGGVIPVGMPCYSKTIRAVKGATS -TSKTEVMDPAPCEYVANLFSYWRATMCYRITVVKTAFHTGRLEIFFEPGSIPTVRTADNLGPDQTQLNGT -IAPSDNNYKYILDLTNDTEVTIKVPYVSNKMFMKTVGIYGAHDEDNWNFDESFTGFLCIRPITKLMAPDT -VSQKVSIVVWKWAEDVVVVEPKPLTSGPTQVYNPPAVARDLVKQIDVSMQINLSNKTDENTISFFDSGDP -ERMNNEALMRGCGEQIVNLRPLLRTFRTINDNWSLAANTKTPITDLTNTADAEGRDYMSYLSFLYRFYRG -GRRYKFFNTTPLKQSQTCYVRSFLIPRNYTADEINTDGPSHITYPVINPVHEVEVPFYSQYRKIPIASTS -DKGYDSSLMYYTNVGTQQIVARAGNDDFTFGWMIGTPQLQGITKEVAN - ->YP_164441.1 orf2 [Solenopsis invicta virus 1] -MSFWRSEIPKPAQSNNANTFETKTATTSASHAQSELSETTPENSLTRQELTVFHDVEQPRVALPIAPQTT -SSLAKLDSTATIVDFLSRTVVLDQFELVQGESNDNHKPLNAATFKDPQPAIRQYSLPGDILKLGGKLDKA -NNHQYFKADCHIKLVLNTNPMVAGRFWLTYSPYEHKVDKARRQQYNSRAGVTAYPGIEMDVQINDSAEMV -IPFASYKEAYDLNTPTPEDFVTLSLFGITDLLAKNGNNYAVGITILAWFENITINLPTIKNIPYRQLPHT -NTNTKKIEIDRKLAKLEKKNPSAYKYITNILDIRPATMQTAWGAPSQLLIKDILDLAPVLNELQAVLSDV -CGSIRNRDFSLRPLYKVRIHAMQDLINDSLKRMFDTYEALDETDLMSEDTPDNAFPTMVLYLDSLKKINK -SKSEYVEMQLDAYDARDIDGMLNAYDQLKEFNHHTARKEMVSMMHLGYQYSQRRHRRDVTAARAIADMIL -VDERDATMQVQAEVGGQGLITDIASTVSAVAGAVSGIPVIREIASTVGWVSDIVGGISSIFGWSRPNDME -KVTSLANVPGKYYSHVKAIDNSVALALSNENELLPLSDIFPSAVDEMDLAYVCANPGVKEVITRSKTDPM -NRTLALMEVGLPSFNRYQDKAIDCDSEPTPYNICNKXLIKPNGNIILSPGDLVQMKGSLAATILDTVPCE -YVSQLFQYWRATICFKISVVKTGFHTGRLEIFFDPGEYLTNPKADWHNYVDLSAYDKVDTANSYKYILDL -TNDSEITIRVPFISDRLALSTIGANSYGEDGVMGPPNLNDIFDSMIGSLIIRPLTKLMAPDTVSDQVKIV -IWKWAEDVQLLVPKESNQLEIVPYEFERTPGLTCKKQKISDEDMKVFIAHWEKDGKWICTSDPTTSMVFS -WGQYPLCETRNATMQINISNEASGNSIDIFQDNNAGVSPNAVMGKIAGERLVNLRPLLRCFRSLGGITLD -RAGQILSERVYWNHKDYVSILSYLYRFSRGGYRYKFFADDNEQGQVMSTLVKNYYKDHATSTGPSHMTYN -NINPVHEIMIPYYSQYRKIPISGEVELIKGKIQTPVEKGIKGELYRSGNDDLTYGWIVGSPQLYVGAAQR -WSCWTVTKPTQLVTKET - ->NP_066242.1 capsid protein [Acute bee paralysis virus] -MNAAFRNIIPADQETNTSNVHNTQLASTSEENSVETEQITTFHDVETPNRINTPMAQDTSSARSMDDTHS -IIQFLQRPVLIDHIEVIAGSTADDNKPLNRYVLNRQNPQPFVKSWTLPSVVLSAGGKGQKLANFKYLRCD -VKVKIVLNANPFIAGRLYLAYSPYDDRVDPARSILNTSRAGVTGYPGIEIDFQLDNSVEMTIPYASFQEA -YDLVTGTEDFVKLYLFTITPILSPTSTSASSKVDLSVYMWLDNISLVIPTYRVNTSIVPNVGTVVQTVQN -MTTRDSETIRKAMVALRKNNKSTYDYIVQALSSAVPEVKNVTMQINSKKNNSNKMATPVKEKTKNIPKPK -TENPKIGPISELATGVNKVANGIERIPVIGEMAKPVTSTIKWVADKIGSVAAIFGWSKPRNLEQVNLYQN -VPGWGYSLYKGIDNSVPLAFDPNNELGDLRDVFPSGVDEMAIGYVCGNPAVKHVLSWNTTDKVQAPISNG -DDWGGVIPVGMPCYSKIIRTTENDTTRTNTEIMDPAPCEYVCNMFSYWRATMCYRIAIVKTAFHTGRLGI -FFGPGKIPITTTKDNISPDLTQLDGIKAPSDNNYKYILDLTNDTEITIRVPFVSNKMFMKSTGIYGGNSE -NNWDFSESFTGFLCIRPITKFMCPETVSNNVSIVVWKWAEDVVVVEPKPLLSGPTQVFQPPVTSADSINT -IDASMQINLANKADENVVTFFDSDDAEERNMEALLKGSGEQIMNLRSLLRTFRTISENWNLPPNTKTAIT -DLTDVADKEGRDYMSYLSYIYRFYRGGRRYKFFNTTALKQSQTCYVRSFLIPRYYTADNTNNDGPSHITY -PVLNPVHEVEVPYYCQYRKLPVASTTDKGYDASLMYYSNVGTNQIVARAGNDDFTFGWLIGTPQTQGITR -TETK - diff --git a/seq/clusters_seq/cluster_568 b/seq/clusters_seq/cluster_568 deleted file mode 100644 index 73cdc9d..0000000 --- a/seq/clusters_seq/cluster_568 +++ /dev/null @@ -1,349 +0,0 @@ ->YP_009336786.1 hypothetical protein [Changping earthworm virus 1] -MPKRTCVKNQVASAFTHCTTRIQSLLESEVPAWCGCTASPTTVTTGIGGCPQNRKFLISIMATTTCTENF -SCQTREEFIASHVADLRKRSRPQVPQAPKECLPIKKRKTCCNGGALYHPCDFSQMPIYPESLRPKRFGRI -HGRDSTIYPIELTQGGRVQPTYEKSDGSANHIVKLLKDRQIQTTKIESLCSCVSTGVSCPGVYSADEIRL -RFSQTKMKHEFLVLINSLSYLMRTEAEEEFVYFGAITSHRKVVHLMDTICYFLKEMTDLCMKFVKNFPNS -KFRSRSYPVGRPFYAEPEFDDVNEYEIGGGRAFYSYATNAESLRYTCECVSQPGDDCEVKTGFQEWQRVC -KKFTGHLVNAEIALDALLQCTQHAHCACGKFFCLDATTTLSSLFHLLDAVNYRIVATMNSLKYLLSAFNK -PIVIKQYNFPYRNIRQIFDDHDCLANHGLTRHETPDEPTELDLRYFKVLDWARRMPAVYYDDSDLEMELR -WVQEDLVADQVVPNMVLQAGDETTEKPDEGKQPAATSPTDGLTTTAKEVAGPAKVDPVAKSTADNEVTGT -TKQPGPVCSKTDVSCSPNKVTFEKALKVAPSMDTIFGREYYLDSFPWAIGAGPGTIIFRLTLPNELFTLL -DSAMKAIVEYHLYARYQIELRVVANPTPFHAGALRVWVDPSQTVATPLSATTIRPHVTLNLGFANEAVIS -VPFLEPRVFLEKPKINSAAFNTTVWAQVFNTLRTGAATTQSVSVGVYARFREITVGPKDLPSILQGGDTH -GSVLDEVLEHIPIVSDLVGAIGGFSPNHDSPSVTKHDSANLAVVDVPRVVNKLFFKKDDRPQPCEFRPIT -HNFLITAPYRLLVVPWTAAHAKKTNIMNFRTNINVTAGANFITNSPLVNIARHTTYYRGQLDFIVEIIAT -KSHQGRLMLVYSPYSNDPLTFDAARTLPSYTMDIQETNRVRIRVPFIYHQEAKETAQRAYGFLNAFVQIP -LTHPDMVANQVEFNIYYCGAPDLKLYVPGKSALTVEGGLNRVCKKKVDESSCTDKDDEILWEPFGDSVTN -PIDYMSSVTIYDLLRRPVKIFPKTEIPELAQPVRLFRLPIAPVHVVTGGTASTLDIWPFQAYLRSGSSRY -IFHSDCEKATKAKIYFYLTRIRSVEVVPGVPSHNALYIDDGSAAMPFNTTREALAIWQPQQSPTFEIEIP -HYGNNSKLYLHTETYDYVNNDAVFPQVEVYVEQKIFNGVGFNLELFWADGDDAKYMIPVAPEAFTLNDDV -PIPTIVEFKETAKKNAPILTADRYEEVMKGIWSNSKEMKLEAGDQGSIMTNIYDFFTGIKSFFFALKDKA -LNNFASVRDGIKAKWDTLKTNFSTLSSTIDLAHKVMYWSIRFLAFLLPAICTLFTIHKGGLAMRCVGVLC -FTTLVSSFLDGDSENFSDSLNSLLLEDGDDLEEVDSETGKTILDSIHKCVAKVLESLSCIGGEKYHKYMR -RVVAEKENTFSYFGTYLIETVKYLFLGNSLNEQYDYEKKTELVDLHSKFNAHLAAGHFVGTALDDVYNGK -TGYEHLLEIHRKTQAIKKEAADVTYPPFLAACLNDVEKTYCEVQRKRRICCAQAEPVGILIAGTPGIGKS -FVMSDRLPKAVLGEAGLLKTDYKRQIYNIPCGEHKFMDNYNQQPYVYFDDFLQDKDGADQSLMIRMISTG -KMPVNMASLNEKGIEYKSPFVAVSTNQTNLNLVQQIHEKTALVRRFKFAYVMELKDEYASISHVGIRTLN -MTKLVSDLKGKSTEAVSVILDRVWSFTPLDLIRGEKVQNSVRHTFVYTFSQIVHQISLEYAERQVNFAEL -GQLQAGDDPAVLDVKPSGSGLPPPAEDLNSLWEDDSEAGSESEFTEPQGKLAVANNNFDYEMYEFWKPSS -LEYISPVFESSLADIVREHHSSGKNDAVATMKLLHYCMKHYMSDSDYKDFVAASKTDHNPYTLYSQYFTG -VNHQVLEQIDSIHQCAGKQNAHSKKRNQFLGFLAILASLGLASGVLYVSAKAIIERLHFLKPILQGYSSG -KPKVAKAAKTVTAVQTLASLLQGGDIEDEKFEKIRRNIRVITYIKNDGVRGREHGIFVDDRTLLVPYHTF -KNVVTARYCDINNEIQHLAVEHNLQQITDSDFYRDCAVLYLSHRPASGIRNIKHFFFPDFPKFREYLSNR -KERIGLLLNEESTIEGSVPVVAELECVEQFTHPDMPRCHYLGGAISQDGNGCHVGLTQSGDCGRPYVSGR -GTEFPILGIHSMRQANGMTIGFAPVTQQGITKAVNEIVERCGLQLKPHIEYELQGLETSPIEWAEWYTEN -LHVGRLHNIDLVVHSSDKTNYVPYTVGGRNFFHPEWECDHKPVRTHYGNYGGETFYPPARAAQKYSSAAY -VAIGNVTYQTVLQHYLTKFPKGIGGKTTMFDAINGNDNGLEPLNMKTGCGYLAHYGWKKGKTQFFDQLPT -PVDCRQIYGFSDKANTCRIQILGNKTFTEHLDYCDEEIQNARTFTHLWVSTTKDELRHEDKVEKGKVRIF -EQPSLEYVLLFRKYFGDFLGWYKQRPGFKFYHGIGIDKEAVWGHFAQGFLSVGSEAHAFDYSNWDGSVAP -EGFEFFLDVTDHFYGSDTAERNARHTLVRMLRDSYHIFGGRLYRTTQGNKSGNPATDVFNSIVNSFVMMT -TFVTCQKLDQRQADLSEFDEKVRMLTYGDDLALAVRPDVAGYFHGKQIAALTEVMGYKITSADKKSEIPK -TIPFEEVTFLKTPFVYSEEDDLWLAPLPECEIYKELKYAPKNVIGDLNDLKQRVKVTQRFFAHHGKKRFQ -KFQNELREMGIPSSWLDYRGAIIADIREKQRQAVIT - ->YP_009336718.1 hypothetical protein [Wenling picorna-like virus 8] -MATTKRDMSSSDNSVTGETLGLSQGQQTNVLIPKTRLTEKRGPITTTFANARECDSANRTVQNIRAASVT -GYQEADMYTQTNRRVQVGQFEWSGTMPRGTVIKVLTSQLIMDKILSFTRNRDFYRYSRSSIELQIGVSGS -ALIPGALLVTWVPQRAGLSIPAVMADKFQNLLCLFPHQILQPQNQVGSKVLGPFMEERRVFDHLTNYPEA -ERVSGTFFFSVFNTLKTTGAVSVTIFANLVGLMLRFYTSPTVEGDLAALATRTDLKPEQRRKILEALQVE -EAGIEDDTHKPTVTTEASMSNQATEQEHIRDEVVTDVTGDADDDKVTEPRQTERPVGGVDTGQAVGWNKR -AYGDLALMLARPMKLATFPQTKWTEGNSLMLFSISASDLPKLFPTSWSFMFWSGGMRIVYVSTATYATPV -LLECRYSTNFQVLNLSENRQGSFMIPYYNQFSAQATRTQAIHPMYPVELHVSVAPGQTMPADLKIDFYLC -VADDFRYQQPVPNTEVLTFERAYAQFYQPHYHFAPNGVVDSVEQAGISDVVKGVVDTVSSLAPLVEEGVT -MFGSMHKPDQWIGQNFTAVDLPHTGNTLAFWKDEVVPVDQTVSQFTDNVRYSTVEGYATHMLRAWTYSWP -MTADPGQVLGTFPINTAGLPALDNLPRTPLMWRGTIQVSIQAVKAVTQKGMLSVVIHPRGLVVTQDNYFN -FMSYQFDISNQQSLDVDVPFAADADYLLKGEYRTEISLMITNRLQTAGGTMGSEVDLNVFMAAGSNFEMK -FLPPQVGLIQLEVPNPKKRVLGCADPVGFPGGGDACGEEEDAPESIKLLPDKGHLEYSKLKCKPLTCCDT -RVAVTTTVEAMKLAGAVQNKFKFQRRIVLDVGEKNHLHYHDDTHCFKVELADDDNVNVAAALLADHMVHL -KYIKPWKVRLFKNKNLRVTTDRPVRKVHREGRVHFYTVKDGTVFTTEVLPGVKHAEERFQAAVDVKQKGW -DFGFTDYFVKKFSSQVSEKTMHHVEGATEKVKKFITALENKLRIPFDWKKFAMAIAVKIPAYLVDISQLD -STTRLVGLLLHVVGDVLAATGGWYIMNQVAKAVGDGLQELIDGMTMPEETADGDPEEEPTGWLGAVYAFA -KKVTTSIVGYVPCAWSGFLTICRSVGLIGLAVRGTQAIFTAIKACLKMVGVGLDSQEKSLLDLTKLVQSG -EVTGNLKAMREFALISPHMAMSDAKKKQRIDKLRKEALLYIEKGSWCKEPEIAGMVQTSRQFVRWAESIP -RQTPGDGTFEPVFVYIYGDPGTGKSIMANRMGASVLNKIGHVGERPYSMVAGSAFFTGYYAQQVVIMDDL -YASTDGKDAAQIVQIVSPAPFPLPMADIMSKFTIFNAKMVIATSNMTGAMRDWVTCPAAHQRRYKNTCYK -AHQDGYYTKQTIDAQGVFVDVRRMTSDEVIQDSYKELVRKWKVHLAIAAVNLCALEDDDVMSKMRAQHIS -DLGMVPEQAGIEQDIDAMLEQEFLSQAERKQMATWLTHQEQWKKDHPSDRVDAEAATREHLVEAALRPYG -YAAAGFGIEPRMEADPEKEPEPIPETTSNSHDSKQIVSLPVWKKDEVGEIQWSTLPDVLDQNILLECMAT -GPTKFAAKLKSSTGWNLEWTGKDETAMALMCGYIDPTPLTTQAEFENASRHRTAHAVYYCRRRLQAQGVE -LADVAADACWIRKLWVKLKAKITATRLLKYTLVFVGSLIGVGAVFYAGRKLYNYVKQPTEAATTYTVTHN -KPVRSIQIPVQKGLEEKASVVEKKIWRWERHRGDKVLTVNCMVIGGGYALVPAHAVSFDAEHFLYVPHGG -GVVSREPVIVHEKYTQQGITSTGHTDIMLVWLGATLARTRDLTNYFMTDKQWNAMSRFQGCALLRNPKTG -KIRETHGLVDYEIAVPIRRTVGQVNTDAFVMPGHVIKGECGSPLFNTTESFDDRIVGVVVGGCSVLGYYA -AVTQEWIRTAKDTISQANNIPQLQELEESMVVVEKGDATLYSKSGSPVPYPDEQPQCIPLALDDDTKGHV -YLKTAYKQIEHPLVHQASFAQEGVFADVRQPSVEALKVSRDTVVKDVKMDGTIFTKKRTQPHPDSTVVAV -TEEAMNLLLRGSMTDCRLLTEHQIVNGYVDVNAKGIVVTANAINRQSAAGVDMDKKFGKKKRGEHLKDTA -LGYKLKKEVKDYFDFVEFELIEGRVPVLWTEMCMKDELRGRLKIREGRVRWFYIQSMALYFLQAKYFGDF -LNQFRAAGLALHHTLGLDPPQIWNRLGSMFEGEAGVIDGDVSGWDTCFPRWLYETFRRLVERFYATATMG -ERRVRLCLLDQAMNTPCLVGPQQLLVFGHKSGLFGTTEISTLCHVFLHLMVQVKHIGFIGREMPMQRVLD -ITSVSNGDDFLLKNENRMMESVITTYEEAGFKLTSSQKGEELSARDIQDATYLKRRFTLVGGHMKAYVPD -IAIETLAGLINWKRTTASVRDNMLDALSFLRQGTNYRFFFSLLHIFHEITHEKDLSWDTFGDRYNQTVFD -SMREPDYEMEGVYASVDHNVIERYVNRGANVDGWNMRFDLLRDPHLGRTWVIKTYRMHFGLHYASLREML -NAVSYMICRWGALCFSRIECVPLTYLAAAEPGGLSGDEVRRILHNTPAELTFHKVAKCFYHSPFKSGLCL -ELREDMLRRLGLKESDQKPVAKSKWQLVH - ->YP_009336671.1 hypothetical protein [Wenzhou picorna-like virus 48] -MPKHSIKALAEQWILDANTPYQDDERETDNIQWTTVVGKNAARRRRKSMVASQKQSTFKKEKYRLKVCKH -GSACHFAKTGSCYFWHPSREEKVCKTLCSKVNCKDTTCLYTHLPKLTHKKSKSVCWYGSRCLRQACPFMH -VGDNVRAAVYNLPGAKAYTKKCRYGGDCVNDMCFFAHSRKELRDSHRRKEFKEVPSLDLSSDSEMTKKEV -PSLDKSSESEMTKLREKEVPPHASGKTTNLNIVNGFQCTAGQFISTAHNQTVEPDFVLDPDIAVYQKTGT -PAPVRVIKHLTKGIIKVGNLVTTGSGYATPVVCSTGQAELKMFEGRTLLMYSFLPTEMGTCGTPVYMDGQ -IIGLHVISNASGPIKSNFAIPVGSIDQRIVEREVQGQLQIVGKLQSDVKREDSAPSQEPDVLSSLATLPS -LITEVGGAVSGLSAAASGIAGIFDTPAIIPPTPQQIYTSDLPREVYTVGFKKDDYVGDQSDCVSSASMMG -TSFRERCMIPSRIGVNEWTTTQVDGTLLASYPVSPMLSENFLTIGSYDYYDTTMLAGAAVNYTYWRGAIR -FHIEAFPTSFHQGQLYVCFNPNPQPDTINFRECWTLGGVSIDLSVSNSTTYEIPYVFPKDYCHVHDVVHD -EDFLNASNQTKNTCCTGYVYIFVQNRLIASSDKVPDRIDVNVSISGGADFDCKVPTRIYHDQSYYARGVW -QHYTIDPENVTPDDELEDDQGNSNNNSNREGSTFMKVKTNVPAYYQAKTSEDVSVIGSVPTATTENIFQD -YYLVDNGVVISKTQPRGTKVASCSLPGCFFLVENAPSGIANYHALYRSDFQVKLKLSTTQFQCGCARLVF -EPIQGILDNLSVPAGTDLGTWASFNASSQLPHADINFNGQTECEFIIPWASVCRAIAKMDSAQQNPSEIG -VISIYVWNQLRTTGSASIYGSLWIKAIDPYFSVRRIAGVLQGEDPPASSEQRTVNVSALDNRSSGVEKYY -VNDHFSPIELMRRLDYRTSQLIECNEKRQSRVNPGMNPMDLYYAMKIPLDGGFSNTFIESMYAFNSGSKR -LTLITNLTPLHPVLFWVKYEYWESRVNQIFHSDFRYNAATAKTNPNVIRARRQRINSHFGQPCVVYQPAH -TPIKTIEFPQYLGIPIIQSHGVVKSYQYMVAEGEDRGCVHLSYQWNYIHKYRGMTFTVHAATSVGDDYML -YYPQPPPVIRQPKHVANTFDFVEDAGPSCYDRNNHCNILLSGDIEENPGPKDVLMQFNEWGFQFHKPPTI -TYSQMDGSWYCWIELFLGEERRMFYGVGPSKKIAKRNAVATIPDFSEQASHRSVDEHLVSPDSTASGTKI -QEQVLPNFGITDKIVGALSSGARSLFQLLGSALKETLWINLDEHKEFFYDVLKDVAILVMFFDNVVLAHL -QGKTIIYKLPLYIMALRKNPLVVHLFNLITRTGEQALTDGYVQKIFRSCIQALGRVIGCEFSDVTVNRAM -YDFTRPSITEMGKKFYAYITNLLQRLMANEMPLDQAVATMTKIRTLYNNKREIFTTDLLFKNRLEFMEIY -DQVKICQPILEKYGKPGFNFFMKEVNERYTALAKIAIGSGPRCEPVGIMLSGAAGQGKSVFQTILARAMA -KYLLPEGERTSDAIDKNIYALRPGEIEYMDGYCMQDVVTVDDALADADYKTVIPFIQLISTAPTPVAMAD -LADKGRYFTSKALVISSNFETVQGYNEIKYPEAINRRFELCYHIVCIKPRDNKLDIESLLSDFSNQGVKV -WDVLDEHMAFHKYNFGETAYIKKFMKPSEVYRECMNRLKTKINTFGNFESLYSRLDVEDFNNSAESRICD -KCETIHDFGCPLDDEIESLYDVTVLDQLHAAYQRGELEKVLADQNYKKVKVPLDISLMSDEESIYDQLTF -AQITDVDVVCTVYWCLLHKYKYGFKEGFKEIQKWICDTVSSFIVFIRKHLTKILTAALAIVSAIGAYYIS -KKVKLGERLTNLSLYFEEWYAQSQNKKRLGEIIASAPRHQYGAEMLKGLLNGEIKPYKGKTEIPHWAFLE -AEAMEECKEAFRKCNMEVDEEESDYWKRVISLLRRAGALDEQSLKEGFRKPPKKIQRREHLADFECHGLT -NNCVPLYSSPLEDTVVGYGFLVDNVNLLMPKHYLSAYKYLYLSVGSDQTRQEIYLPNSRSIPGKDLMVVN -VPPQQSVRKRVKMFLPKNTLYSEYAGYGHRVVGKLSLTTGEEDSHGVLKLCEIREEGAVVGTEFMSLRVT -KETRVGICGTPYMCGSFVMGLHVNGAGFDAGMAIVTREELEEVLFPGFVEYELPECEQYVEENWTGNNVG -FKHLGAYYGEQGDLLSTNINMSTEKVHSDMYNPVDFPDEFDVSPKNYEVLFKRANKYGFHQPLGSHTAQE -TSFAFSLYETLLAGQDRDISLLTDEVILNGDHEIMPIKIDSSAGHWSCISNKKKAFIDVQETPEGNWFTW -SDDYYNKKHPVLGKSLFEVIQERINLGEKGIRAESFWVTTLKDELLLKEKVEAGKTRVFEAPPLDTTILF -KKYFGSFANWYRHNAGPVLSHTIGVEKEKVWGSLYYHLKRNSDFGIAADYSQFDGTIPPSAFSFFQKVVR -LYYEGAPEEEHKVRDVLIHELQHTTQLIGSGLYQSGKGNKSGNYLTDVFNSITNVWAWMTSFHRVFTNEL -GKMPTLDDWFENVVLFTHGDDCILSLKRVITPQKLLDEIRALGFLITSADKSGEDIKYENVDGLTYLKSG -FRRSQGIVWPPMPMATCYREVNWCKRSMRYNSTVRKTQISEGRRFAAYHGKEQLAAFDHAYRKNVHKEIG -LLLDPVIPETYDSIEIDVRLKQLMQEMCPDNVFNASYLDCFISLLSCGDIESNPGPLSKKFLLLRDILFE -HDFCFSAVPFVIQSHYYETVEDTNFWEWMKNHWWERLCYSWHPKEPLDDGETKEQVMLDAIEAIALGCWE -HQVIVVDERLDGRHTMLDTRFDYFTGVTAVIGPYRAISRASELMSLGEPLERQYPLFEYFVRCNLRGIPP -SVQHDTMFQHRDKWFRKDRSSFPLPPPKPIQGLTQRFESTLLLGGDIEENPGPFYFSLVEYPDEFVGTPP -YYEKLFARAVDNKLHESLITLFFQFICFYFVFHAIGRRIHGEEEWNRVINEACDCFYACLIYICSFFRSD -HGRGT - ->YP_009333585.1 hypothetical protein [Beihai picorna-like virus 122] -MGRKNQTNKRYRQEGYLAKLDYENYFFSYEEDKNDVCDYFDLNPRNLKSKAPMWTRERSGGSGISREEFQ -RRVRVYNSYGEVQSEINSLFAQIDYRHLRFSKIESVYAQFHFLFHRIRKVSTTWGAVSRFNSMLAGFRKR -FDQNFGAFLEELKACRFRNPSKFDAKFDTERITELRARIVKKSFSSLVKGSTFNSLVIGRDMANKASTVQ -SSTETSFQSKDKIAKESVDSKNTDGHQFVKDVVADVKNVAGAITPLMGMGSLIGLFDRPSEQEDPGLNMS -VSDVKLPVQSMGFRYADYRPDASGITQSETWDGNLSKLLQVPNRIAVGSWTTTIGAGEQLFSIPVSPVFC -DEEVNGTEVIRNNTVLSYYSDMYNLWRGSLVYEVEVIASAFHQGQLFLCYTPGTTEYKDLPTVTNMQSVT -MDIGRERSVKFTVPWLTCTDYKCCIDTAKFDFLDADKPYVNGVFNVFVQNPLSIQSETIVNNVEVNIYIT -AGPDFELKDPRVPTCDSVFIDTREVLNEDLAYHAKTTFQSDDGAHFQKTEVQQSENFSDVSVNTASLGKK -NIGGKQMVTNEFAGRTFRLGTGEISINDARLASLQNFDIPNSLLTDRNLSISRVADVAYLANFDVKITLK -IQPTQFHQGSLIVWFQPYGYGSLFDNSISPASYQSFKHARLNLGGDTECSFVIPFASTCHAFEKNFGSAD -QGGSLYVDVWNQMKAASTSSSTLTFTIWFELVDPEFKVATVPTEWQSFEEEAEVTHVIDSDSTKGFLQED -HMNLYRYLDRPSRVKGFLINSTEDYLIGTDFDGFSHLYATAAFGYHSGSHRITLIGNASSFERWNFFCHY -LTQGSNGYLDVSNIGDWDFVPSAIFKKLRSGKMCIWRPQEKNSLCMDLYQYSAFPTLNTKFISGPLKYAS -RSGYVVVQPRNVPTDQELQVDVYHTRGDDFRVYYPCGVRKTKVTRPVTTPDSSDPQDFHKEAGTEFQDSK -YPRRKKRSCKLVTCDHEGLPIGGRRQHAHLCDQCGDLIIHTHRIVNSPSFNLCEHCKTSFQDGAPGFVEV -VWSFLKTALEHCKEGMDYMIKIVKDGIHSLKSFLIDVLARFVWDCVKDKVKSTLAEMATSIKNGFQFVLE -SLLEFVKNFFSWILNKITGTIFQDKSSLFSTIMSFVPKSFKTLFEGFIRTIGAKFASAIDIQGWVDYAWE -WFFPSERLQTFNGIIEKMQLKDYITEYYFRYGANALGSPARYTNCDSEDFVFSDVKFSKMTGEQFLAKGK -LLVSIIMEYQDVIKNGSGSKRYLEFKTHFERNFSSHVKNQTESARAEPVGIIFSSDECGIGKTTLLTEVL -PHLFLAHKYTREQVDSEIYSAPMNKDQKYFDGYKGQEWFYQDDMGSLRDGSDLLFMLQLISTSQTPVPMA -DIDEKGTLFTSKVVCGSTNYRQLNANDLKGSKPFLRRFPFTFTIGLKKDRFDKVKFFEELNSHESLSQKL -SVLDSWYTLRKFNMETGRIEDESDFFTFYRQFRTLYDRNSQVQKLGSVQVDFQSGEKARGDWKGFVPYDS -PKLASYYLYYTNKAVVWGRSWLNKNQLCEEDKKKLVEAAQWFGYDIKELDANQIRADFRKWSRRAHPDKG -GDPTEYKKVSAYVEIIEKYLNLDTLDKPEWDFIDVPWDYVFEIDPETKRFTEDARAAYHVLIACKKENRK -FYEHFVSTHPFISMYADILDGEEGSMAFRNILNFAMGVILWLLIRFLVKKVTSMGMAWLTGTTDEKKEEQ -ESSFQNQYDGSKKKAKPVPKNLETIFQDYKDDGMYKFIENNNLYTIFSYSDGTQAFGYVLAIDQTHILMM -RHYDRHVHKRLVSMRVQCCGVSRQVKFENLTYIKEQDYIIVETTTILQGVRDIRKRMLTKEQTGNLKIGT -ALGFYHDEEVHTGMILERNVVAEYIFARVKLDFTTEAGMCGRPYLWKNPTDGRVYLAGLHSGVNKMNPVF -CVLSAEDLDFPRQAQLPEIETGTIFMNGNQVQAMTATKTSIVKSAIHDKEIFCEDFAPADLKPDILLKRM -AVYEREPDHILPQRHFDYALGVMQAQLKKCEFDGKESELLDEDQILNHYDSLRPFDKASSAGWWNKVSKK -KHGIIEYVEEENLNVLKETPKHPWTGTTFREHIEEQEQNLKDGEPMLTFWTATLKDELRSEAKRKLGKTR -IFQAGGFDHSYLMKKYFGNFRRFYLRNRKLLYHAIGIDKPTHWAELKSYLTSKSGGKNCFDADGKDFGAR -ITPQAFTFFMKFVDLICGSEHKIERQGLMNAVLSSRHIVLNQVREVFGGNKDGFYLTDVFNSVCNCMYAI -SSYSISFESKFGFMPEISSFDDDVALLTYGDDIVSEVAENCDYFNRNSLCEIYTALGMLMQPGDKRIGAA -DNYDPIENITFLKSHFVQEDDVVLAPMPDSVIYKQLNWHKKTVLDEPRIFVDIIQGVLKDSSHKGELFYN -NIVSALRSKLADTIFSDQVTFPSYVAQINDIRLLQDSVKEEATTFQDGKLGYHNGAIAPLFGVL - ->YP_009333538.1 hypothetical protein [Beihai picorna-like virus 123] -MASTRAPSYKACPRAFTPNETVDVDTAMYLNNMSSLFHSKELVSMNGRFIRDLIRALVDPYLVLCEKMIE -DIQFHHPIYYPDWFKELDGMCEAELARKLSRIVEPRRKNIFRAVDMHFEDESLRIKFYVQLLEHLCRILD -VRLDITKKIDEYFLQVADEEPVKVGREHVIKCVKRLIKKFAANPLSYLAFVTPVDIMREILKCCETKWVA -ENLKVLIFENRRTLRLWEEAPNNELDYLLWLEEAEETDPLYWVDLKYKYNTWYYTRIRQITHESEFACGS -VTAHAGSSFTTIIRTTLQMLECMQSFDYGPGCFKELDRLMKGTAKRHRDSSDMMKMEQEIYKLRNQLEAA -ETKAGFYEQMSVRYDLFDVEKLEFAALRSLIRKMEQLEKDNKELRHAYLHNPDRNKWVGCYSKYTPLDEQ -AMKVVFEKGVKYATGLKQERDHYAHLCDVLNEERNMMMQLIADLRADNEQKAAVIAVMQDMVNDSAMTDG -DLENKIDELERQVYELTVENEAWKTKYETTQMYQDMFMEVDPVESQVQCHGAFIDMEFYENLGQYDFGMG -YDEPPDDFFEYDEYDKFSLEDGWSDNTDGVDVWPPDYSLDHGDDIHVSGAAGLWSPDYDFPYCGDDVYYS -ESQSYAMDVVDIPPELTVADQPIQSGTSQVVKEVTNVQAVTERQMFSEEYVIAGTNWTASASQFDVLLDL -DFPKELLDSVIPPHGVSVLHSVYRSDFEVKLRMNTNAFVQGKLLMVCGPADAFNGSRSLRSLTQLPHVFL -DANSTPEAILRVPYSHYLQYMPIGPSQFSTVKVRVYVWNVFRTAGSINNLKLTVKARAIDPHIAVRRYAK -DFTVPSFTDTIRENAISNETLLAERDSQKLFRKIKKNPYAILCNEVQSVEGVVGAIAAQAVTGLANSVMG -DGMKYDQPTVPVFEPGDCSTTDIPKESAILGFSKKDIMVKDQGHVVSSKALQGDLLERLREPALLTTFTL -TTSSTGVVQCLPVFPFACLTKDHSREVIYFPLGPTLDKKYNVVWTECSHTPLSYFSQFFEYWRGELEFTV -EVVCTSLHSGQLYLCFAPYLGYDGNTIATVDNAKNLGNIFMDISENKKVTYRVPFVYPYTWAPLRNHDAN -SYKNQIKPIELTQGGRDPGVVQGLTREGMTGKLLILVANQLHCNSNAVATSVDVNVYVAAGRDFQFAVPS -NMSTRMCFPSDDAFSDMLAQWKPSGPGKACELHDNPNEYAEVPAIVDEAVRRSSAKAKGFEIGDQRFDFP -EHATEEKVTKYLGDLTELSQLQSLDVASEREQITDRPFPASSIEKPSYNFEHMNVYDYLKLPERVTELKI -TRAGNCLSGSPFGGKKHRAIVDSYLFKSGSDRLTIIAGQPMDDPGFVQVMYDPVFQTKEWKEMKFSLEQS -HGSACTRNVYWRPAMEPIKVFDFPQYTPFSLLQASSYASLMEGVNRGTVYIHKDDGAALNFQINHSVGDD -FKLYGYGPVAETQYLVSCSLANSDESNRIPGSNPPTHGMGLPLPPLRDTIESEAQGSLFSSLKTKVQSVC -KCADCCECDTKVELDFVDYPTLDDEIEESELQSGCSVSILNELSQSTGTKVKVDFMREGNMWHCTFSWLK -ITRVACGVTKAVAKEEAATKIVDFLRHIMSKERALKYIAEHFVSQIVGEDTQSQDGDQNVFQIVWGVIKS -ILGVLQVPFQTLWQCFDDWVVAPLRSAFTAVLKRMGVHVGDQVVSTCMEKLVSVSKFVMVYLGFFLVLNE -LREWWAGNQSLSLLLAKVAVYLSAAAFSGETILSKCAMSHNNDITQVQALDTTMCEGLSTLFCALCTAMG -VRFCGIDKCESPFVRIVFSSIVGVTIRELWISIKKVMTQLLGWFMSGQLTEDFLKRQEKLRKSPEIFTEV -YDEFVLRNTAGCFQNNEYFNLHDDGNARFAKLTNEKFLLLCVVFIREYRILSTIVGETPIDKALMAKFSD -KVIEMTTTFAKNLSVAKRRSPPVGVLLSGLPGCGKSKLVSGYLVNLLSKAMGWEGEYGEIVFDCPMDPNQ -VFFDGYMSQPITIMDDIGMQPDGEDWFKIINFISSSACALNMASLVEKGSHFDSKLVIATTNTKDLMGAT -GVNCPEALVRRFADNAWYMCVSEQFSVPDETVPKWSPTKRKSCQLNAAAFQVHMEMHENKLIALDDVFLF -YKLNPHGGITEKSPVKFSDFFNALVLDLRKHADIAARELADIKKSFVGKSQNEIEFDKVKSSMMDIPLLE -DTDDSSLEGFSWLFGNAPVLKLTDGKIKMIKVMSEALKTGKDTRTILGHGMKELMLAEYKVADISKALLA -MAKELNENLHLVKFIPRSTQSVYADCLIFLYDSYGDIVVSEPHYVALLYVMLAKNDKGDDTELRCTLDKE -IEDTQDRNLVQKWYGMAQDMVSSVPFGVRMLFCFGTVAISYTYLKRACVWLIDRVFEHFTIQDEVVDSET -QAYSRDIRVTAPRATRVVSSQAHDAQSAVMSDRPAESEDNHLTRIINNTVALFYVDPRIPVDRITEMDVK -PAARGVFINNRFIIVPTHVVSNALVEGNRLFFKFLSPLTGEHVVYKEIPLRDRVPIRDPCLETATEVCVL -KLPYAVPNVRNILHHILTRGDFDKYIESPVGYKAFFRNEDGSLRVCSGVMSTQTVYRSNIGSPNSLVRSS -GYTSMLIKSEPGQSVQGDCGSPYVLGKQVARRFFGIHTGMEKTVKKEYFLGFGLLVREEIVAAMDSLGDD -FINDLVVESFPVPMVSVDKEWSDSFECLGVVQHPKNIPNSTKKVHSKLRNTEKWPDHHGVPPLGIGVLKK -RARKFAYKDKVQFAPSKKYTDFARDVYISNMREVAEHDPYDPRVLDFEDCLNGIVDEMSEVNLDTSAGIW -STPDAKGKRGLIDVVELPDGKFRYRFSERAYTEVHPIFQKTFYAFLQDADQKLRKGERFDDLFVSALKDE -LRPEEKVKEGKTRVIECCSLIMLILMKRYLGALGNWFRRNGGLKLMHGVGLDKEAYWSTLVRGLLINGDN -TLGIDGDYKEYDGTIPDWAYPFFQEISDEFYGNHAEERNARHVLFFMLCMSFHLIGDVLAISYKGNSSGQ -WLTDLINSWANILYLLMSYAMLCVNNTRRFPTKEDVRKYLRLITYGDDFLGAVSAAVVRWYNRASISALL -KNYGIILTSAQKTDEMTPYDRVVDLSFLKSSFRKDGDMWMPAMPMYVSYRELNWIKKSRMDDLLVKKCMI -GDALRFAAYHGEGVYNNLLQEIKDEIDTKKDMDLYLEPPIYEDYLTIRNDIKEKQNEFLLKSRNFCL - ->YP_009333341.1 hypothetical protein [Beihai paphia shell virus 3] -MSLSTLAYQQQLKDVEDWYLLSEVAERQWNLSRKRIGRRPVMGGKNFFPCHDYEFYTMDDALEYTYVCPE -AKPKRESHKPRMHVFSCPRDERNVYRGHLRSHKVRKPKVRLESTLICSRSRVTQQERTTPLRVQIMYRLK -ILTPRGFRSDIVSSLDNLPIHLDIHGIEKCEVLQGSILSISYPLDHLFDNTDGKRRWISDRHSFHALAIN -RLDFSHGELIRVSRIHEDGQFVENRVLWMSPMLTNQGMHAGNGNIASYLLGNKGAHIYNGNTDTLSDVAD -VVTTIADTAAAVIGPLSQIGAVAGVFDSPTNVSDRSKSNAVGSGAVNAINMNMVPNDMSTDYSDHFGSEP -ITGDISQIIGKPFWIDSFKFGHSHSTGDVLYSVSVCPFQQYNRFPFLTSGSQAFTVTPLAYYSQCFSKWR -GGLEFTFELVNAEMQKGHIVVAFDPTPEYSGPNQYTPKTYKKMRNFSTDLCNFDVIGNKTIVRVPFVSNT -DYKNVPNDITLNTTDFRTIRSRSETGRLVVYVANVFGYTSDAVADEVEINVYIRACDDFEFINPCRPRIC -ALDDFLTHPDVSTNIYKSPSDEDDGTEFRGDIPDENVPSTNPQNVSKPDSYSPCFSDITPFDARFEQEFI -VESWAQNTFPTTSYVKSYDFPQSLFSDNDLATFLSASMNAYYKGRVKFTLKCAATGFHQGMIFVCWIPSG -LTTSFFDDDLKHCKCSMQMFPHAFLSVNGNSQCELEVPFSHVYSCLTKMNGDETNVPRHSGSLRIYFWNK -VDTGSSTSANIGLTLTAKFDSTELTVPTDIAQFAGDPPTDTTVGIASEVIDIVPNSVPKKKYVITQRHDN -IYSMMQRPDVFYEGEIGDKSGYDRLVFPAFIGYTHRYISKMFCSRSGGDIIRIYNFNDMATDGSLIVNRV -DAEEPKVTVRSTMAVDPGAAYFVNGSLSNSMGVHRGNSAILRLAGFDNADLAFFNNGRSRILNNMPYLKS -DGAYQINASDYWCPNVVSADMRNVPADSVFHMYHYVPDDFRFYQPRAVPLLVSPRNDGQFSNIVAKKSFN -YSHDPATTLGSDRFFYELDPSKDGVHIKREIPVSEEANLKGPREEDDDNGLSMFNRFMEGGASARSFVSL -IADTFKQGVDSLMRLVALVSKGNKFFCHSRLGRFIAKCFGIDTKSLETCVHVLIIVVKLVISLFLLYHYV -KYILPNSVLAFFVAVATLPIMSDICDLCMFAITRLSSSAREVYDAELPSLLGDDPTNKSAIVGIVTSVLV -GAGMFGAAGINGKSQVNDLYKSTTRGFMSKVVSSTYSAIETGISYAICYFKNGTIEILDETIVERAKTLN -LHELSVKYQVLQSSGHFEDNQLYIEDIGWDSKAFTGLRFHEYVFSVFSEFAPYFHVLKSSKYGYVDFNAF -WIKLNESHTKILNSVKYRRDRMEPIGIMLRSEPGIGKSMCSKTFLPLYILSKTGKIKNIQELASNVYAGP -TDRNEKYWNAYSGQPWVNFDDWGSNADGSDAVDSITLISSNPKTCTMAALEDKGKCVVSDFMCASTNLES -FHHPTIAKAITNPEALVRRWKSYTLKFRGGLTGSNSDKFKALTDKLGKATSFDQYFSILDEYYVLAPYDM -MNVGNNRIQNFMSFTMFCNTIVDLYKDKCSVHDNISSNAFSFDLPTFRGGDEIAQTQDKLAAVGNTVRLS -ADLHQAKKVVKVAKNSVFTPVGTYAQFKQACCVNDSGVDDSSRIIFHASTFSCYLACGCPGVTFDTDIFV -GKDKVAAGQVAKLCSCWNIDWSGIAFCVLVAGEVLGILTLVCTIFMIIAQLIKRRRDRVDVKGYDEDHDK -KQKKRLPKKKHVEISKDVDNVFLGDDQHYSKLSKNHFTLYKTVDMLNFEDIGHVFFVDRRHFVINNHYVE -RCKRNGHKLYIKHHGDWMHLPVDGTHSELMYDEHFTELLVFRFPGFLAGVKDMTSNLCSKGDISSYLDGQ -SKRVKFYAYGNPIDECSSIEYSPTLVPVSGHKEKYFGYNVHRYSCYGSCGSLFLKPYDANPRFVLGMLFA -GTKKTSSFGPLCLEEYMLCRDSLDESCGKKYAFEDPEIEGDVSFKGNLKIVDNPKINGESVKVSYCMTSQ -KVKTPIHSQELFPDNHTPAHLNFDILHKRKLVYDSSSDIPAQIPSEQHRNYAINVMNSYVSTVPYSLEKR -VLTDYEIMNYYGSNHPFDLDSSAGIWSQISKKKRGIITSTLGDTGNVYSLTEEASTVKHPLFNKSFVDFV -RDSEKSYLEGKIPLDLFQGTLKDELRPLYKVKQGKTRFFTAASLSTNWLYKKYFGAYADWVRTHPGFKLK -HGIGNDKESCWRHYADCINEVSGSFKFFDYSDFGVSIPVFIVDMFIDHINCFYDDEGSDAYKIRQGLLEF -LCTSGVIVGDCVIFPSHGSRDGFYMTELINSFTNSVVMIMCFSILYEKSYGVLPPLGAFDRLVRIITYGD -DVVGKTESCADDYYTNEFIVKVASKMGLKMTSAIKTEPVRDDYEFSDITFLKSHFRKEGKVCFAPYPREV -LYKIVNWCKKSVLNDDLIRANNYNTAIRMSAYESKSFFKEFKSNVISQCEKFDPHVLPHLDVSMTYESIY -KDILVKQDTYDRTHRVIDGSYLIFDTHKGDAERNKVRDSYVDFLNERDISCTVYSSSSMGEFRSFLNVVD -LSRFKKIVLFGGDGILNSFVNTYTDLYGFLPSMPLFYIPTGSACSFGRKHFSSLFDGENFDYPRIFHRSV -MCVRSKFHLIKIIGPGFSYYAVDHLSFGAPANVVSIAESSAMKGNARYVTAALSTVVDKAKSFKVNTNKE -DFDSTDLFVSIGDIKSPSFPLGLTGVDSPFNVSSLNVKGRVRRLFSSYKVFVSNNSSAFSHYDVSFISLK -TDCFLVDGNYISLGKDVPVRVSLMRNALVF - ->YP_009333309.1 hypothetical protein [Beihai octopus virus 2] -MQTTIRKLRIMPSRTQYLIVKNAVCQEIFRLERLDEMLKSAGDTLSSVAVARLQFLRKFARWEWSVGHAT -FVNDIGHTENHYVIRSHAHEGLPRGKRVSHMHECEQCGELYVHEHTIKTTRESYEYAQVCKACEDLVKTA -EIVIGTVKAEENEVLDDADYMCRMQTAHETCNEECELLKCEFQGITDQDDRRNMAQIGQPKVDSQIDAAR -GDLVEHSKLDIKPFSGDIGDIVSIPSRVYVHNWPTTDSQGKLLFDLPIDPTFCKKETVSTDIINYSTNLS -YFSDLFTMWRGNLKFDVEIVSTQYHQGQLMVVWVPVNSAVPGTLDGARNLPSTRIDISEMPNTAVEIPYI -SNTPYKGIAPSGTSDDKLGGEYTGLGRLAVYVLSPLTNIDTIDNNIDINVYLSAGKDFELKDPERPTCTS -FTVSATEEVDIKHINYQSQTETNEFREDREVKPENHFILTNPGTNMHGPELSTDSQMQRQFFFKKGSVAV -GDKPGAVIQSIDSLHDEIFKDETLAPHHMMSVNKYVKTDLEVELRIPPTRFHQGMLVMYFVPTAYEDDGV -GSFSPEAILNFPNCKLNLSGQTSAKLTIPYCGITQAWSNGSPYNLEGALHVVVWNQMKAATGSSTSIDYT -LWIRMCNTTVTQAIPYAKWQGEEETQPLANAAPSTDYGIGKHDSLYNLLKKPDFLGSILTDRTKQNRICV -GGSDLHSYITAPFCYTSGGHKYHMVADGNRADNNRILVNTSCATGVDYDPTVKTRSSIKEESASAIAPSK -PVYWLPQENNQLDIAVPQYHRYGLVPTNNDVDASLCVPAQILVKPLQETKPLHLDVYHSVGDDFRVHMLG -APRITKKSTVPPPSDEPILPSGSIPKVIISAGHSYALNITNYSYKSESGGSFVVARDGVDIDLRQFPVVD -VAVPVVGKKGKFDFNRYSENNVLQGAYFKSYSDFNALPKQIEVEPTVYYTFDPSVSRWTNGRRAFEVDSS -SGNIVSLGDAAGSVKIDSSTLTLDPHDSSGWIRFKEVSPSDSIATVDVDIDEEVNDFEKILDSSVWDTIF -QGDCPEIVPSVGSSNVDSDSGFHSGDDSSGEEDSGDSDDEDDSFQNRKFILDRKTHRIRYKQQFEFQGDD -DPSTLTCILKAVWAFISSTGCSAMDLIVRGKDFIVQKIQDFLDTIIIKYVVNRVKTELRKLFSVNLLKYI -TSAVSLAACVYTLLRRPDLVFLPLGVLLATTYSTEIYGLVKPLCDKFINAMNGAHAGTTDQPNSVGGMNN -LLWQSADDDVSGQESGVTFTDTVKTGLKSAYERVMDTGSKFTPEQIKSLVKIVGMGILSISTTFAGVCLP -RGVLQSVLQNVAGRSTFDSLSEGIKYAQNFISDFFDDKTDVAELDTYLIREKVFDDVVEFYKRRDQGHFR -PENLCSKIDDSKLSGAEFLIRCKGHLIELDSHLLVLHKHAKSTILRRFLDDLRPMLERMSRNSQMTQSRV -EPVGVWMAGGAGCGKSLLLSQLIPAAILQKIGVCDGNNFTKHVYSMPMNPDQKYYDGYNNQMYTYYDDFS -AVSDGTDYGDVLQFISTGVAPLNMASLEDKGRVFTSDFVCVSSNESKVSTKAFKFKDPFKRRFPFAFEVE -VDDAYAHTEMIQGVPHKKLNAEKLFSDMGELKDGKSDDDVEDIVDLLDNVWSFHEISLTDGRRIGPYHSF -SSVVGDILGEYEKRRKGGRILANILSSVPIGDHIDVDFQGIGDRLKNWWSGSRGSDEAWLAFYNQVRVVP -ASQWTHQKFEEAAQYIQIDPDERIQLEDVRKWFKSEDPESLPVHAHPEHDSWRRAVLYLALVKLALNTNS -YSLQSTEPCGMRHMECGLSCSDGKCKLNNFRYMMYQFPEKVERRWVGFGDWLRNCKSWLLYNVIAITRWS -LIIVGICFLLNAFLKQIGIDTAAYRSTSGNKPKIVKASKVLFQGGEDHGRSKLRSNIVQIYDNDGDKRGM -GVLLDQYHVVMNKHVFEQATRSDNVIKVQHLRSVMHVPTRESTLRCTFDGHETDTMIVRLPKAMPRAKKI -TDFIPSESQLLNLPNMNNPEVKHQTKIGERTGYLQAFSDVQGMRFLMCKGFDAESYKGECGTPYDVPYRV -CTKFFWGIHSAEAGSRMFGKCWLSSPITKEMVDRASAVLSFSVKHRHVEHVTLQGDELVHPGYQDLNTIN -DLGHVSMNGTQVKNNTPTGSSKVKSVIHSQDFWPDDHAPAPITKEILFDRFKVYDREDVQNVPRDTYEWC -LEEYWRSVDSVKYDRKRRVLTEEEVLNFNDSYPSLNVFDRNSSAGWWNKVSEKKKGLLNVEERDSGNYFT -LADSEKHPYLGKTFKEHIRDQEESMKNGNGMLTLWNATLKDELRPMAKVIIKRTRQFQSGGFDHSFLCKK -YFGAFGDFYRSNPGFKLMHGIGNDKESCWGYYYKTMKSRNSQGFDIDSKDYGVSIGDQPSQFMQDIAEKW -YAKDAAYSEESAQVRAGLLEAVRHSLHVVGDHVMEVEQGNNDGFWLTDMYNSLTNIFYMMACFAESYRRK -TGVLPPQDYFLDNVLMLTYGDDVIVVPSAEVSGFYNRVPVMEYLSMMGITATSAKKDDTVVPLDDLSELT -FLKSAFEVEGSVIRAPMPKEVIYKELNWYKSTVLSEPLIRRDIINGALADSFHRGREFYDRIASQIRNRL -KECDPGIADMTFLTYDQQYRRVEEKQSAYEKLLDSKIVFDNPKGDGFPTDKLEFVNSKENPYATLKGILA -FDESAVKEGEQLKTQVLAETGKFGSVFQMVALMIFRTLGTDVWAFLVTKLADLYNQKKGTPEAQCGRLEW -YFRCSAYTCVAPALEEWTDSWKAKVIFAYIEYLHSIYQLLEKGHSLKSAMMLRIPPLLMHLTNALILAKM -PTNSYTLRVGIHMLYNICAVETQYRKYVKAVTP - ->YP_009315867.1 polyprotein [Corey virus] -MHFERFIRRKRRFSNLKLIMATTNYINAKKTYISNDLKCHSTELRKTITQAMYMAKAQLQIALGDLYDYQ -ITLADSLIGEIDQVFVAFTLKYWKINFRITPDHLPLDKELTMSKLINASASIKDLNRAINDGALPDVKTD -MFATTTKVATNLVRLKSILNEWNPPIKNWVDQMDKDEKMQERKKERLLKRAERKGKVQEITKPLIQTYRL -NQEFHAHKDKHRKTMDQRIEEWSENKTLKPRSYINHYAYSEKAIQAFIFPKFKGEIERLEDMAFRTTGNQ -TDWWISAQIINWARLEHDTLKEQKFLPANNQKLEVARKKMDAVPRLAGKLGIPLVEAEEFLHQLDKAKTD -YRAFFRISEDDIIESWIRCQNLDLDFINMKPIVTTVDEFPGEERLRALNNSPVTDVDEEELFNNYYVVGK -EVDAAKNPTTGKLRRTSKTKVKLSLTDFWHARCAAYNGDEAKAFAEEEDYDIPDFKELKVVNEEVVCHDD -DGGEFQMEQTILNTLLPIVARQGEAFLGSAIQSIFKTSKKKDSAPVISIDEGDWAHGDVPRRLGELALKK -GFITPDQNHIVQQQGSPDYDIIERCRVRSYLGTVDWKPEQGPGSNIQFYPVTPSQSTPYQLGIRSPTALG -YYATLFRYWRGEIEIEVEVIATQMHQGQLFLSFQPGVSTPSVYSKAVNTLCATLDIGEQNSTIFSVPYVT -TSDYMPTGTALAEPTSASSIGILAIYVQNPLTSPPSVGANVEINFWIRAGKNFEFIFPSITSGEISTGGD -WQMNKEVISNDETIAPVGQNMSGITSSNPIRSVNVIETAMTDNIVGRDYLLPENITWGAKDAPLSILKQL -VVPSKFLSQETSIRGLLNYHYLYRSGFELTFKIAASKFYHGLLCIYFDPTSTQLPSMSSITQLPHTFLNV -GYQTEAKLKVPWSLITRLSNAQVPEDFGTVFLYIVNSLHYPPNGVSDLTMSVWYRPENPYIGVKVAPTME -SMCVWKSKDVIEQMERSPITATTGNESTATTSATTEGTAVEERPWADGINPAGYVGKQTNILDLLKRPGY -AGQKPIDFNTLTGEVVKVCNVPLLQTRYHLRLTRTYRFFKGGVRMHLASTIPITAPGFALLVFDWKRTAP -LARDKHFVAEKDELGAEPWKYGGVQHWKPQTQPTITVEIPFYNPTPVAYCYNTGAMDNTFIDKTGPGSLA -IYWCGAKFDGVFGFHVWESVADDFELYMPIALPVIAPRYTGAALKKAAPVKMKTQWIRDLTREGVEPNPG -PNIFSRFIRSIAIRTVEPDIDAARSAYDEIQRRADQLTRELGKGVLPAIVWIMDFILNIYTLCSQAAFAA -KSLAIAAIAGKLIGLGTFGEKLVKQLKELFHPVTNFLGGEWQAAKFSAKHVVLTATALVTGVVSVLGYSL -SKRDTAILQRQGETRFGEMCRTASNLGGAARGVQSLWTVVREGVILAIEWVFGGNPDEEWYEEEKSNLQK -WQMKFDQMYAAGDFSNVKMFSGIKGDRPFDKLSEFGRVARQVRKRGPNIKYFPQQFARTAQQVQDLISAS -TVTSENLTPRFEPVGVLISGGPGTGKSFIATRLLPTAVLCELNIAINAEQAEERVFSFPRSKDQKHFDGY -LGQEWATIDDFGQGTSDEDFENIIHYISSSNMPLSMARLEDKSTQFKTPFVCADTNLTSFHNINTIKSAA -AAARRFPIAIHMTKIDRSKKMIHFIEEMKGCTTRDELDQLVDRTWKIVRINPSGAATALEATEEMTWRDV -VEAIKDEYREKKTGLSSFRSALKGVYQMAKYPAPPGLVRERGIDKHEYTATYSNGKASLYYKEDVVAEED -QDEVLLHETTSSYVWDGPLAPIDDGDEEDIFVDAETKPHTTWQGLRESIGVALLGLGLGAGLYFLIKWTV -DLFKKYVCSTIPAAELQGPEYDARFYQKGKNVKVNPKKGIFQNEQLEVNLMKNLRYIYFEADGIKNGLYA -IALNPKYIIIPKHYLATYKDALKTAKEPNKVFHQIEVKNRNGTLLGWKVISITPTNTEQLHFADSEIDSV -IVQLVNANVDHARDIRKYILTAKEWSTVSQGHKKVNMPTVDNRKEHTGIMDAIRAHTLKGLRFMNVDLMN -GTKTVVGDCGRPYFLENPLGQKRFVGTHSYLCANGSTLLAPIVLDEIDIAINVLAARNYTPKVIVEDEAT -FQAERIEREYKPLPADIEQHGACHQNLIPLERFTPEKTDFIKSPLQHKEWTDEYAPSCKRMFKLGGIWQH -PLELGSNKWDPRGTKPLAPDALAYAINLYGTNVPHQPDSRKLTWFETINGYGNMPQIVMKTSAGYWSKYV -SHGKLAFFQPVPQIVEEGIAEQQQYIFSEKAANLKFAIHQKSFVDHLTECEEKISEGVTFNTYWVATLKD -ELRKKEKVRLGKSRIFEQPGLEYTLLMRRYFGHFINWFKANYGFHLMHSIGVDKEKVWRGFYEELKSRGG -KAFDVDFSNYDGSVTQAAFDFFLAITDKYYGETDKLARHALINNLRCAQVILGVHLVETSQGNKSGNPMT -DVFNSVTNCFFILLCYKEGCAQLGFDGSDFYAKVSCLTYGDDIIVAIDPECEERLNRRICQGVAEAIGMK -VTAASKKEGELDNFDVLEDCTFLKSRFVFDGSVCLAPLPLEVIHRELRWERRSTRGDMIILQQRITCALD -MAVHHGADVYHDLKRQLEECGYSEYVKFHSYQAKYNEILLKQDECAIPTARPKLAPQYREDNGAEFRHYE -EDLVIFEGEKVSEPFDPGEECQAIPGVFHNTVFPIESNNLEDTVMMDELAKEDNWENYQWQVGTSRKKEV -GSWPWSKRFNPRTLKLVDGKYRFSWAVVAV diff --git a/seq/clusters_seq/cluster_569 b/seq/clusters_seq/cluster_569 deleted file mode 100644 index fda6f2f..0000000 --- a/seq/clusters_seq/cluster_569 +++ /dev/null @@ -1,64 +0,0 @@ ->NP_919030.1 nucleocapsid protein [Hirame novirhabdovirus] -MANLKEEFAGLRGVKGGALEDSGTEYDPTKINLTLYGTDKLYTLAIIKRAVSQVGGSQTNKALGILCAFV -TSENNPDMTDAAVKLLVDMRFKVDVVPVDDRLGDNLDDPNSKLAEVLTEENMVDLVKGLLFTCALMVKYD -VDKMATYCQQKLERLANSQGLNELTLISTSRAVLARIGAAVRPGQKLTKAIYGIILINLMDPATAARAKA -LCAMRLSGTGMTMVGLFNQASKNLGAPPADLLEDLCMKSIIDSARRIVKLMRIVADVEDMTAKYAIMMSR -MLGDGYFKSYGINENSRITCILMNINEQYDEGTTGGLAGVRVSPPFRKLATEIARLLVKKYDGNGSAGPG -ASDLVRQAEQAAQETEGEDDKYDEEGEEDGGEGEGGEDEEYY - ->NP_050580.1 nucleocapsid protein [Snakehead virus] -MAFQKEFFGLRDVKVDLSAGEGLDFDPSEVELTVYRTGADTDGNTIIKALAAVGGPKTNEALSVLLAFVT -LGTDQDEYETRIKILKEIGFSVKEVPMAKDASSGIEAPLENVAALVKPETVYQVIRGVLYTCALFVKYNV -EKMQKYIKNKLPALATSYGVPELEEFPTESSALKKLASCIRPGQRITNALYAFLLVEMARPETQQGARAL -AAMRINGTGMTMVGLFTQAAKNLGATPSDLLEDLCMRSLVDSARRIVRLMVQVSQAETIQARYAVMMSRM -LNENYFKAYGLNDNSRISAILVAVNGHFSEDTIEALEGIKVSAEFADLARRIAIALIEKYDNASDGGEGA -SEIIKSAVRGSSGAFKGSRGKPQGRRQEASGEGDLDSEDDDDQDYSKYA - ->NP_049545.1 nucleoprotein [Viral hemorrhagic septicemia virus Fil3] -MEGGLRAAFSGLNEVRIDPTGGEGRVLVPGDVELIVYVGGFGEEDRKVIVDALSALGGPQTVQALSVLLS -YVLQGNTQEDLETKCKVLTDMGFKVTQAVRATSIEAGIMMPMRELALTVNDDNLMEIVKGTLMTCSLLTK -YSVDKMIKYITKKLGELADTQGVGELQHFTADKAAIRKLAGCVRPGQKITKALYAFILTEIADPTTQSRA -RAMGALRLNGTGMTMIGLFTQAANNLGIAPAKLLEDLCMESLVESARRIIQLMRQVSEAKSIQERYAIMM -SRMLGESYYKSYGLNDNSKISYILSQISGKYAVDSLEGLEGIKVTEKFREFAELVAEVLVDKYERIGEDS -TEVSDVIKEATRQHARRTSAKPEPKARNFRSSTGRGKEQETGESDDDDYPEDSD - ->NP_042676.1 nucleocapsid protein [Infectious hematopoietic necrosis virus] -MTSALRETFTGLRDIKGGVLEDPETEYRPSTITLPLFFSKTDLDLEMIKRAVSQVGGEGTRKALSLLCAF -VIAETVPSEAGTVAELLEALGFVLESLDTGAPPDATFADPNNKLAETIVKENVLEVVTGLLFTCALLTKY -DVDKMATYCQNKLERLATSQGIGELVNFNANRGVLAKIGAVLRPGQKLTKAIYGIILINLSDPAIAARAK -ALCAMRLSGTGMTMVGLFNQAAKNLGALPADLLEDLCMKSVVESARRIVRLMRIVAEAPGVAAKYGVMMS -RMLGEGYFKAYGINENARITCILMNINDRYDDGTSRGLTGIKVSDPFRKLAREIARLLVLKYDGDGSTGE -GASELIRRAEMASRGPDMGEEEEEDEEDDDSSEPGDSDSFH - ->sp|P24378.1|NCAP_VHSV0 RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MEGGIRAAFSGLNDVRIDPTGGEGRVLVPGEVELIVYVGEFGEEDRKVIVDALSALGGPQTVQALSVLLS -YVLQGNTQEDLETKCKVLTDMGFKVTQAVRATSIEAGIMMPMRELALTVNDDNLMEIVKGTLMTCSLLTK -YSVDKMIKYITKKLGELADTQGVGELQHFTADKAAIRKLAGCVRPGQKITKALYAFILTEIADPTTQSRV -PSMGALRLNGTGMTMIGLFTQAANNLGIAPAKLLEDLCMESLVESARRIIQLMRQVSEAKSIQERYAIMM -SRMLGESYYKSYGLNDNSKISYILSQISGKYAVDSLEGLEGIKVTEKFREFAELVAEVLVDKYERIGEDS -TEVSDVIREAARQHARRTSAKPEPKARNFRSSTGRGREQETGESDDDDYPEDSD - ->sp|P19691.2|NCAP_IHNVR RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MTSALRETFTGLRDIKGGVLEDPETEYRPGTITLPLFFSKADFDLEMIKRAVSHVGGEGTRRALGLLCAF -VIAETVPSGRGTVAELLEALGFLLESLETGAPLEVTFADPNNKLAETIVKENVLEVVTGLLFTCALLTKY -DVDKMATYCQNKLERLATSQGIGELVNFNANRGVLARIGAVLRPGQKLTKAIYGIILINLSDPATAARAK -ALCAMRLSGTGMTMVGLFNQAAKNLGALPADLLEDLCMKSVVESARRIVRLMRIVAEAPGVAAKYGVMMS -RMLGVGYFKAYGINENARITCILMNINDRYDDGTSGGLTGLKVSDPFRKLAREIARLLVLKYDGDGSTGE -GASDLIRRAEMASRGPDMGEEEEEDEEDDDSSEPGDSDSFL - ->sp|Q08449.1|NCAP_IHNVO RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MTSALRETFTGLRDIKGGVLEDAETEYRPGTITLPLFFSKTDFDLEMIKRAVSQVGGEGTRRALGLLCAF -VIAETVPSEAGTVAELLEALGFVLESLDTGAPPDATFADPNNKLAETIVKENVLEVVTGLLFTCALLTKY -DVDKMATYCQNKLERLATSQGIGELVNFNANRGVLARIGSVLRPGQKLTKAIYGIILINLSDPAIAARAK -ALCAMRLSGTGMTMVGLFNQAAKNLGALPADLLEDLCMKSVVESARRIVRLMRIVAEAPGVAAKYGIMMS -RMLGEGYFKAYGINENARITCILMNINDRYDDGTSRGLTGIKVSDPFRKLAREIARLLVLKYDGDGSTGE -GASELIRRAEMASRGPDMGEEEEEDEEDDYSSEPGDSDSFR - ->sp|P27371.1|NCAP_VHSVM RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MEGGIRAAFSGLNDVRIDPTGGEGRVLVPGEVELIVYAGPFGTDDGKVIVDALAALGGPQTVQALSVLLS -YVLQGSAQGDLEAKCKILTDMGFKVTQSPRATGIEAGILMPMRELAQTVNNDNLMDIVKGALMTCSLLDK -YSVDKMIKYITKKLGELGSTQGVGELQHLSADKAAIRKLAGCVRPGQKITKALYAFILTEIADPTTQSRV -QSMGALRLNGTGMTMIGLFTQAANNLGIPPAKLLEDLCMESLVESARRIIQLMRQVSEARSIQERYAIMM -SRMLGESYYKSYRLNDNSKISYILSQISGKYAVDSLEGLEGIKVTEKFREFTELVAEVLVDKYERIGEDS -TEVSDVIREAARQHARKASDKPEPKARNFRSSTGRGKEQEKEESDDDDYPGDSD - diff --git a/seq/clusters_seq/cluster_57 b/seq/clusters_seq/cluster_57 deleted file mode 100644 index a6f012f..0000000 --- a/seq/clusters_seq/cluster_57 +++ /dev/null @@ -1,704 +0,0 @@ ->YP_010087762.1 ORF2b [Physalis rugose mosaic virus] -MPEGSSVFRAALRELEAIEWTEPEIISTTGMPFNRVGRSNCVFREGAKRATSQHVLAAIPYFPEIEQLGW -PSRGSVAERDSLLLQASKFRATRAPGNLKEAVDSLLKLYPKSRAKLCFRRGTFLHTDLLKQQIKETAQSS -QINKKASPGSPWARLGKTNQEVLSQHFDFLQERVFRRLHKLAGYDTEELMRMTPAELVREGLCDPVRLFV -KQEPHTEKKLHERRFRLISSVSLPDQLIERMLFGPQNELEIASWARIPSKPGMGLSESSQAQSIWRELSY -HHLSCPAAEADISGFDWSVQEWELWADLSIRVDLCMDMHDGLRKLMVARFYCFMNSVFQLSNGELFEQKL -PGLMKSGSYCTSSTNSRIRCLMGHIIGSPWIIAMGDDSVEGFVENATEKYHSLGHTCKEYSLCEIDRDGD -LARVNFCSHEIKLNSFHLTSWPKTLYRFLSSPVESFDELQAELHSCPAWPRIVDYLRLVGRVPDKSTEES -NGSQENDHGAISPSSQEYDGVEHPPFRRSEEKTQKEASTEYHWVEPNDSSPYGFRCDLQDCQASSWWPPS -QSPYRACGASVDSSTGAIYFCGGYNQLGASQLQLADPDGCSIL - ->YP_010087761.1 ORF2a [Physalis rugose mosaic virus] -MSPIEITVMSLALLQVPWTFALAAVTQNQAWSWTCLAMCMIVLECVLRPLRYSVSYLRIKVSPEPSAEEM -CVLTGLPQFDPLNGIFGVGVYKGKEVKVLVQPNWWPLLPSPAINRGDGKECAIGGSNFSQVAAGAEPRSL -VTLYTKSDIQVGFGSRVSWNGEEYLLTAYHVWEGLKDGFKLSKNGLMVPGDGAKLHIGCRHKTLDFALVA -MPPASWSKLGVGKSQLRSFKGSNAYIKVFGGKSSTTLVSSSGNATRLSTPVSIAHSASTAPGWSGSPLYQ -DGIVVGIHTGYIHYGVSNEAVDVAGFLETINAKETAYADVGMREIEVDEMLTRTGQFFEFELEGDLPLRG -YMTGHEIAIARQARDFKGKHGVSWADMVEEDEELEYKECSTEFLDSTRPLNCQRAAPFSELPFENLRPSS -GQSQKSSPQPACPSTEWVGRIVSLERGLSELQASMSSQLFLISQKLSSLGGPQEDLSQKETPSCCRPQNS -EPLAHLETSKRQSTRCSSSIPRVEPSCASGEGPSSTPTSSNNKSRRRRNRRRSTRKPAQALRGQDSGKQT -KKSCPNISTSSKKECSADYISWRDMTRRS - ->YP_009344992.1 polyprotein P2a [Solanum nodiflorum mottle virus] -MLSQIVHLVLLCQMWAAVIATMILDPKFGWMITSLISLTCLELTLKPIRSLIRYVKVEVGPEPESVEFGR -LVGQPTLDPVRGITSKCIYKNMEFQLVIQPNWWPLVYPAAVSQASGIKESAVIGSSFSVVRENAEPASLV -TIYNGDSRVGMGSRVSYNKENYLLTAHHVWKLLDGANFRMAKTGKSVEVKGASTFIAAPHSKLDFALIKI -PNKYWSSLGVGVSTLIPLKGSESIVTVYGGPSTELASSFGKVSRDSSNYLRLLHTASTAPGWSGSPLYNS -KGFVVGLHTGVQEFGVENEGVDVAALLPYILKKETVYSDIGLTQIDVDEVPSRGFQFDDFEIHGEYEFKG -KMAKGEIALYKPYTPLKGGKAWADYSDDDSLPDLDLPHKESLPDMSLNCQRAAPIDSELPLSNLRRSSGP -VSQPLEKAECSSTALESRLVNLERVLSTLVEEMSRQRKESLVSSTSMDGQREAREPKSTPSSSKPADIAE -SQNQRASKKPAENSRSCTPGVEPSNVSGSKPSSTTSSSSKRSRRRRSRQKLTTKPVPDLLGPNLQKETAC -SSTRLATSSLRPSDKEYLS - ->YP_009344991.1 polyprotein P2a2b [Solanum nodiflorum mottle virus] -MLSQIVHLVLLCQMWAAVIATMILDPKFGWMITSLISLTCLELTLKPIRSLIRYVKVEVGPEPESVEFGR -LVGQPTLDPVRGITSKCIYKNMEFQLVIQPNWWPLVYPAAVSQASGIKESAVIGSSFSVVRENAEPASLV -TIYNGDSRVGMGSRVSYNKENYLLTAHHVWKLLDGANFRMAKTGKSVEVKGASTFIAAPHSKLDFALIKI -PNKYWSSLGVGVSTLIPLKGSESIVTVYGGPSTELASSFGKVSRDSSNYLRLLHTASTAPGWSGSPLYNS -KGFVVGLHTGVQEFGVENEGVDVAALLPYILKKETVYSDIGLTQIDVDEVPSRGFQFDDFEIHGEYEFKG -KMAKGEIALYKPYTPLKGGKAWADYSDDDSLPDLDLPHKESLPDMSLNLPEGSSDRFRAALKQLEEIEWT -RESTIGESGMLFNSVGISTCKFREGSKHASRGDVAAAQRVFSELNEYGWPERGSRAEKHSLFLQASRHRR -VPKPKGLEEACRELEKLYPRSRAKQCFRKQTFLDDELLLKAIKETAFSPEINDKASPGSPWSKLAERNGL -LLNSFGDFVVEAVRQRILKLAAWDPTDLLMLKPSAMVRMGLVDPARVFVKQEPHTNKKLSEGRYRLISSV -SIVDQIIERLLFGPQNQLEIATWSTIPSKPGMGLSLRSQAELLWNDLKHKHRLSPASEADISGFDWSVQE -WELWSDLSMRVNLCDDMHEGLKRLMINRFRCFMFSVFQLSNGELLEQTSPGIMKSGSYCTSSTNSRIRCL -MGVLIGSPWIVAMGDDSVEGWVTGAKEKYLELGHVCKEYRPCETTRDGELSKVNFCSHELSEGRFWLTSW -AKTLYKFLDSPNESHVELERELSSGPMWPRVKRYLCQVGLIPDKTLEERKDGEEATKVETTHRDGAGNRK -ESPSSEEPASASQEGRSDHYGDCPDRWYHGLPTEPYLNEWASGDHSVPLGGCAGCTEYGHCLFCYVGHPR -P - ->YP_009337751.1 hypothetical protein [Wenzhou sobemo-like virus 1] -MESRARVVEYITYATGGSFVVTAARVSAALSFAYLAHRSVVFRSGTLRFVASEEGFVQALEPFEAVRLTF -RQACFLLAGVAVVLTHPFFWVAWSSFVAFVPAYTFVPALVVVLFKLCSFLLVTFRLTLSRFFASLAETLG -SIGMVAVVTEYSTSTLPSFDTVQLPLVHEGAVPGSSLALRDATMSRSIGLLYYGERWVGMCGRVGNRVVT -AAHLFHVDGELVSELTVVPAHSKPGDPKRSAVLRLSDAKVLCSKPINSADEHKLCDVLVWRVADSWPVLK -RVLSAPAVGRGNVYTFRVDDALSPTGGLCMHSGPVRAIRSGVLAYHSDTHHGSSGSLVFQYRGSSVRAVA -VHIGQYNTLNFGYSLAAFTLNLENSNVDEPLAADPEPQYYSEVPVSAVDGFRSAVPRVRLRQVKTLEQLY -QDLYGDQDVSWADGSGFTFESTPPRSPVNRRCEAYKAYLATFDVVEEPSESCGVGLFELVRSGTMALPFS -GRSRAIPADVPVCDRLNNICPYAGVYQYPPKDAGSQFASLLKHSSLAKGGSVDADLLKKAIERVSEELAV -EFSEYPLVSRCASGEGHGFTDPADARAFLLSLDLKLDSTPGVLFHKRGHLTKGDVVQEMPIELLDVVASR -LYRLANGYVDLDPIKVFIKEEPHKISKIRDERYRIISSISLVDDVCERLIVGPMNAAIKAQHRVLPVRVG -MGNTEQDVSPFFSYLGKDGSANTIVTSDISAHDWSLQEVWFRALSEVRARIFGQSIAGDGVVCTSHATLY -HRLNMAIISSPFVLSDGAVYTQRVPGIQRSGRFDTSVGNSIIRTLAQYVARPDTIRSVSMGDDNVSSYHG -EINKAKLIRSFAKIGFSMKNVEVVDASKSVVEVEFCSHRYSRVSSGSVTLYAIKPANPSKAMAKFAFTAR -GRQIPDNVRIEMARSVCNFLQSPGNLPALVDYAFTGRNDLPESDCDDADIPGLKYPGLTLHSASGGAKRM -AKKKKNPVAVAKQVVRPAKPQQQPPKRKAPTISLVREPSSFGFQMGRSSYSMRTVSPNHVRVTGHEILGV -VSSFTGAAGEIAYVFDANPACWTTSRLSLVARAWEKYRYNTARLHFVPAVGTNSAGSIVCAVETDADEKI -PGGDVNAITRAMNGSFSVLTPVWQYACSEYKRDPDDKTWYVATAYGSGSRAESTQFISYGVTDTSLTGAF -GRVVIDYDIEFLYPELEFVDGGSQYTRSVLSFNSAAINTPVLASMTVPNAQIIEIRNLGTTILQSTYQRI -NDYFDFAPGSVAYLALQGAYWVLCRTLDAAKSGNGLTWTSAVASTIPISVGYRVIKGVYDGA - ->YP_009337083.1 hypothetical protein 2 [Changjiang sobemo-like virus 1] -MIREAFAKMNGTAVPGTPYAFTYPRKSDWIEKDLECLVDMVLIRLCLLEYSSSSTVEALYNTTLIDLGYQ -DPVSVFVKDEPHTIEKIKQGRYRLIANCTLVEEAIKRLLFAEQNDADKNDCYNECGSAGGWDWTTDEAAA -RCFECIRPWLPNAATSDVSAWDWSVKEWMFMAELEIRRRLNGASTKSSWYKISYNVYKSFCRSVYVLTDG -SAYTLEHPGVQKSGDYNTTTTNGRIRNLIAVLVGSDKSKSAGDDNISTWVDDAVQKYAKYGIRVTDYERV -KDGKVKFCSATFTESKAIPDSAMKSLYKLLWSQPSYMLLDTFRNEFRHAPDLERAEAAILRSGYLADLA - ->YP_009337082.1 hypothetical protein 1 [Changjiang sobemo-like virus 1] -MTLLQRLSVIYDQLLYELVFFDDEGVPHLNLFASVGFAVAVSLASIVLTNIVRGFYGYCVAKIQQYQNSK -RGLWLLKLRSLFYREKDVEAIRIVSAVPRYQISSLYATGDMPVAVVETPWGQIEIELGAGPYLNMLTYIM -SNIPTRTSGDVKRVEEAAIPGSIKRPCHMPDFMVRMVCMNGSFYGFGFRYKLNLVVPAHVVRGLVNAKTD -IYIEGRCSKIKLREEIGAARYPMRDLALFTLQNKEWGAIGVASAKLGNLRKNSTVKVYSPGISPGSINVA -TGQTMTGGKYLEFYHTCSTTEGSSGSPVLDVNNNVVGIHLGSENAGTINFGLAITILKKPPVISLPPRPV -KTVTDGIPTYFDQKPQGDKREESSERDRNEMWEYEFDAEQAEVHYRAIAAKYGKQAGADFLEDYYEYFER -YNELYADEDDDQSVYSRGSDPERFLIRDSFTSLLKWRDFDWETLRGSMEEANKPVILHAINQLNQPVLDY -NKVTRVPSEELKFEIQRAQALEAENKQIAAKLSEMLSANEALQKSLDEARNDKLKEAQELRLAEAKRLAE -NEAKMKLLKQRKKELKDMAAKLLEEEKAVNEELLVAGKDAKRVKFADPEPILLKEDPLEPELLAKADAAL -KEVYVARSKVESISEKDSSCLKASESTSGSAPLITVPEKSASIDGTIPSKSLTTSSKSEEAAKGQEAKLS -KKQRKKLLPKGESVLPAMPALN - ->YP_009333507.1 RdRp [Beihai sobemo-like virus 1] -MPPRGEAAVLDSIVMQAARYQVGAEPTRDLRQKLLDRALREWPHVSVPSHWVPDSEGAIQWDLVRERIVN -ILRYEIKSDASPGFPLSNIGDNTKVIETMGEDFIVSVVISRLKLLLCNDCLGTPMSLVDESLCDAVSLFV -KNEPHPEKKFLSKRWRLISSVSLVDQVIDRLLHTRQNKVEIFLWHKLPSLPGMGLTTETDYKRILRAANR -RAEKHGTGIACADVSGFDWSVKEWQIMLDAEIRTKLMRGCPSYVRDLMIRRARCLCRSLYSTPQGKLISQ -DVLGVVKSGTFNTSSTNSRIRVLMAWAVGAEWCVAMGDDSLEAPVECAVEKYAELGHRVTDYEVAEDTFE -FCSHTFHMDGSGWYPTDPVKSLYGSGVKGFRPEADQALRNYVQRHPHRDKYLEVLDLIERHHSPETVPAG -NNAQQENPGDTQGRAARPASRPAQAQ - ->YP_009333506.1 hypothetical protein 1 [Beihai sobemo-like virus 1] -MGPMEMAVPRLEGDTILIDAGGEIYEFSMTVTEFSDLVLKQRGRMLFCERAQELAKPVIFDPSPQYRRVD -VKESTLPGSKHRILHSLPNSCVQIHAEPGAHRLTGVHGGGVRVVYGKKTYLYTAYHVIEHLLSQDGVDTI -CLRANGNDVQMEKDLRFVAFSRALDFVVIDVPERAWSKLQVKAAKLAKNWPSGAAVRAIHCDGAGKASMA -HGYLQSMEKGLLTHTASTRKGSSGFPLMNLGGNLVYGVHVSGASTLNKCSPAFFPFGGRRGASKKSADGV -LESSRDDYPDDELIREFTYEEWKDIERYHIAREHLGEDDWDDFYDEQLGGDDPYANDFDNREEVADYYNA -LDDRYNEAILRFFGDRFVADRSGEMTGRESLNPAQYAASVLANRARHVYGFDEDEFESNGRTIIVHDSKI -SGDRALRRQENERMADIAAQRKALLRTRDQIASERRELERQTRSYRENLQRKREALKEAQIEAQRRLKEL -KEAKTAFLKEHEAKAAELDRAINAMSSSLPSESKDHTHEDFRRRLSPGQPEPESPVKGKKVTFQSQGQHD -TKNSKPIETTQESTGSKAPPSSSEPKRPPLKHHSPGSETGKLPRRRKRRRAASNRKKQ - ->YP_009330041.1 hypothetical protein 2 [Hubei sobemo-like virus 2] -MIVRAMDMVPKDSSPGYPYALLAADNQQLFRDHSAMVIQLVKERLAVLDGGPLAEGPNMAVRLVQAGHCD -PLKVFIKDEVHKEAKIAEGRLRLIMSNSVIDQIVERLLFSEQNSVEIANWLTCPSKPGIGLTSDAQTTAL -FDSVKEHLLRLWESDASGWDWHYQLWMYVLDLKRRALLNSADPNSSWMRIATAVIQCASYSVFVTSHGRF -FAQTLPGLMKSGKYITSSANSAARNGCAYIVGADYTIAMGDDCNETSDKTEAELKEAYYALGQDMKMFRK -CKNNSFEFCSHDFYADKAIPNNPNKGLVKLLYAKPCEQKLAQFSDEYRHVPDIKETLAFIRSLPGWGREK -NAN - ->YP_009329980.1 hypothetical protein [Beihai sobemo-like virus 24] -MEPIEMITTVLGTLVLWEIVRSLFRLLAQRFRRVNVTGKTLEDYQLIGEDVACRRVYKMTKSDFQFESIR -KNSKLEETKSIHQDQVALLDKEGTFIGYGIRFEAGLVLPHHVWQCARNGFLIGPTGKQVAMEGKLTIIST -DLAIVDIPNKSWSTLGVKVTKPIPVAGNTFAEASGNPLLDDGLVRLQGHRSFGYLEPASFGMLHYGGSTR -RGMSGCGYYVGDKLAGLHIGGGLVNHGYSAAFIQAITSHGESTEDYLLDLVKRRKRGLDYRRVPGLLGEV -AVCLPGGRFTIMEEEDALELGILGDELFKSPEGAVSYEDQVLKMKNVEIPSDPTEAHFVKEVLDAGGEAE -TIGDLDSVFQKRLGDQAQKLRDELKAIQEQRAKESVRPKVQPSDTTLLESQISSLKEQLKAIRLEDQQQR -EKQKQEVQADREYQQSLLEKKKQLEKELASVSVLASSRNYLTKQSKSSLKREKARAKKMQEEAQHAKVAK -ELEELRKLVPTLGTKS - ->YP_009142785.1 polyprotein P2a [Rottboellia yellow mottle virus] -MKWLEIILTLISLGGFLWITETTSEPGSNRVLGMRSVVLGYLMLLVWKGLSAWLDSRRVRVESEPQAASE -SWLEIQGQPQFSPEKGVYADVLVDGRTHHVVIQPNFWPYLPTVKQEREEASVYASPMSSIKPGEEPGSLV -CIQDQAGHVVGMGARVHCGSDSLLLTSFHVVQNGKLNDLYLAKYSVASKEGLRVSIDREWNVEYVSPTKD -ADIIAIRVPASVWSRLGVKAAKVGAVKGRLPVVAYGAETTQKVFSSTGFAYAKEDSKKFAGCHSCSTKRG -WSGTPLYYKDLVVGVHRRWEVIGEENSFTTLSPFHEQNESSEYEGDAWREVEGEEMEARDDVDDIHVYGR -GRYKISGAEYAWESADDALALNKRLRESGTSWADMVEAEEDMWDQRLETIQSLNCQEAVSACSPPYSTCL -ESSTENPGFSGRTECPSLRSEDRLSNLEKLVEAQFQSSAKMQEQFSLLSQVLIGLKEDLKQKREACSIKQ -AGSDPSPPRATLSAPAASSSRTTPESASASVSKEAAGIQRKSRGKSKKSRLANRSTETPAPASH - ->YP_009142784.1 polyprotein P2a2b [Rottboellia yellow mottle virus] -MKWLEIILTLISLGGFLWITETTSEPGSNRVLGMRSVVLGYLMLLVWKGLSAWLDSRRVRVESEPQAASE -SWLEIQGQPQFSPEKGVYADVLVDGRTHHVVIQPNFWPYLPTVKQEREEASVYASPMSSIKPGEEPGSLV -CIQDQAGHVVGMGARVHCGSDSLLLTSFHVVQNGKLNDLYLAKYSVASKEGLRVSIDREWNVEYVSPTKD -ADIIAIRVPASVWSRLGVKAAKVGAVKGRLPVVAYGAETTQKVFSSTGFAYAKEDSKKFAGCHSCSTKRG -WSGTPLYYKDLVVGVHRRWEVIGEENSFTTLSPFHEQNESSEYEGDAWREVEGEEMEARDDVDDIHVYGR -GRYKISGAEYAWESADDALALNKRLRESGTSWADMVEAEEDMWDQRLETIQSLNLPGGGERVLAAVLDMS -GIKYGEPRFLRTDGMPLIEIGRSSVKFRETGRSPISKLCEDARAVFPSLAGFDWPERGSQAEKGSLLYQA -GRFRPVPPPSNLKRASSELIKDYPRIRQRFCLQGSSWDPAEIKGKIQEVALSKSLNRDASPGVPLSLIGS -TNGVVLDRSMSLVVEAVYARLEALSRADPGSDPTPVSLIEMGLCDPVRLFVKQEPHPSRKIREGRFRLIS -SVSLIDQLVERMLFGYQNRLEISRWKSCPSKPGMGLSQAHQAEAVWEYAAYRATKSPAAEADISGFDWSV -QHWELMEDCEMRIKLGDFGPLAAKAARNRFTCLANSVFQLSDGTLIAQGLPGLMKSGSYCTSSSNSRIRC -LMAKLIGSEWCMAMGDDSVEGFVPDAQEKYRTLGHECKEYLPCETTLSGELKKFNFCSHELSRDKCFLTT -WEKTLFRYLNSKDPQFRELEAELEGSPQWPRIHRFLRGVGLAPHKRNDQEADKSPEEGYQDLQPNTKREA -DHGVWDSFGEPCVSSRYGRGSHLL - ->YP_009140473.1 polyprotein P2a [Cymbidium chlorotic mosaic virus] -MRTTIMLMLLSISGIGLLEVLSRGAYLGPLVTLACQAALVGLILLELLVLIALFIWRVWLRWNVKLSPVP -VKPENFASVLNVRLDPTRGIIMDVLRDDVVIPVIVNPNYWQFLPSPAISRVDGNEASILGNMMNKVNPGS -EPASLVSISNGTDVVGMGARVNYNGTTWLLTASHVWNGTSPVLYLAKGGLQTEVSTEWPIGLSCTHRTAD -FVMVKVPDRVWSRLGVKSAPLSAMAKTSIVTIFSDSNGTMLSSSGRAVKGEYSHDIQHTCSTTNGWSGSP -LYYKGAVVGIHCGLKDFGVSNRGVNVGVLLTASAGLETVYSEISNTLISPEEADERDYEFIDLDIVGGTR -LGMGKGEYFRQSLASWESNKKFIAEVKASGRKTWAELTEDEHAGSLETTASHLNFKRAETVKPSPPSFLL -QTTSGTEVINSAEECPSITLENRVCNLEKLVEKLFQQESSKLLKSSPSSQISVGQNVGRKLSEDHSSSKP -ENSKPRKNRQASLKPAGACGVNTRVQNQLPVCEGSNGTTKASRRRLRRRAKATST - ->YP_009140472.1 polyprotein P2a-P2b [Cymbidium chlorotic mosaic virus] -MRTTIMLMLLSISGIGLLEVLSRGAYLGPLVTLACQAALVGLILLELLVLIALFIWRVWLRWNVKLSPVP -VKPENFASVLNVRLDPTRGIIMDVLRDDVVIPVIVNPNYWQFLPSPAISRVDGNEASILGNMMNKVNPGS -EPASLVSISNGTDVVGMGARVNYNGTTWLLTASHVWNGTSPVLYLAKGGLQTEVSTEWPIGLSCTHRTAD -FVMVKVPDRVWSRLGVKSAPLSAMAKTSIVTIFSDSNGTMLSSSGRAVKGEYSHDIQHTCSTTNGWSGSP -LYYKGAVVGIHCGLKDFGVSNRGVNVGVLLTASAGLETVYSEISNTLISPEEADERDYEFIDLDIVGGTR -LGMGKGEYFRQSLASWESNKKFIAEVKASGRKTWAELTEDEHAGSLETTASHLNLQAGGDRKTLSALISF -ADYQWYGGDQLCGGMPLYHVGKSSVQFRETSRKALSARIIEAVKEFPELSDLSWPERGSKAERGSLLLQA -GKFKATKEPPGVAEACRRLWSKYPRSKPTACLRGEQWDYQSLAKTIAETCESNVNLKASPGVPLSIFSST -NGGVLATHRELVINSVIERIELLSEQSLINCGLTPRELVAKGFCDPVRVFVKQEPHAMRKIREGRYRLIS -SVSLIDQLVERLIFGPQNQLEIQRWRNIPSKPGMGLSLYEQAQSIWSELSTYHDRFPAAEADISGFDWSV -QDWELWADLYMRIELGSFGPRLRRAAESRFYCFMNSVFQLSDGTLIEQGLPGLMKSGSYCTSSTNSRIRC -LMAELIGAEWCIAMGDDSVEAYVPRAAELYSALGHICKDYVPCDTDSDGKLRSVNFCSHVLKEGEFWLTS -WPKTLFRFLSAEGDLDDLQAELWSCPQWKRIMAYVGVETPANKVNGEAQIEATAGEGDGNFGRGSDQPIT -CQAPVVDESLNRVEAEPTCDYASGGWYSLW - ->YP_008869286.1 polyprotein P2ab [Turnip rosette virus] -MLSLRSIVKLIVAALNVMFVVTIGVCARVLAPERPVNWNFVALLLTPVLALIAFELLTELRKWMVYTVKE -EDLPAPLSLDSTPRFDPIHGITSTVTVDGKVYQVVIQPEYWHLVSPNRSQDGNKETVCIDRMSTVTPAGK -EPPSLVTLKVGDRVVGMGSRVSWGGNTYLLTAAHVCALHKDIYIYKNAIGTPLGAGWTRRYGATHKTADF -TLIEVPPTVWAKLGVKAASLQPLNKLSVVTVYSANSSTVITSSSSRAVTQEFRHVIIHSCNTTAGTSGSP -LYSGDNVVGVHLGTEVTMHSNRACNVGLVLGAFHESIISQGTLSEISADEAADRDYDFVDFSVEGLGRLS -MGKGEFYLRNDRGITIEEIRKKGRKIWTEDFDEESDDGIFETLPVSSLNLPAGERRDCLLALLEFGNYQW -KQGHVTEDGIGLKEVGKSGVTFSEPKKKGTKAITEAFSREIPEVANYAWPQRGSKAERKSLYLQASRFRR -TEEPNGIGEVVERLVKEYPTTNCPPEFKGRWDYQDIFEYVTDVARSSDINGKASPGVPLSSIAAKNEVLV -TRHLDFLVHAVVQRLFHLSDEILPEKPSPEWLVSQGYCDPVRVFVKQEPHPLRKLDEGRVRLISSVSLVD -QLVERVLFGRQNRKEITQWKSIPSKPGMGLSLTEQMKSVFEQVSKLAASREAAEADISGFDWSVQEWELE -MDLEVRLRLGNFPPKLELAARNRFKCFMNSVFQLSNGELISQVSPGLMKSGSYCTSSTNSRIRVAMAYLI -GSPWCIAMGDDSVEGYVTNAREKYESLGHICKDYLVCQKKKGELDGFNFCSHWISRSHSYLDSVGKTLYR -FLESSNEELEILEAELGTHPRWREIVSKLELVGRIRAKHNGERKQEAHKEPEAENPQEEFPIWEDQFSGY -TLMGPSFDWDRNAGWSTVN - ->YP_008869287.1 polyprotein P2a [Turnip rosette virus] -MLSLRSIVKLIVAALNVMFVVTIGVCARVLAPERPVNWNFVALLLTPVLALIAFELLTELRKWMVYTVKE -EDLPAPLSLDSTPRFDPIHGITSTVTVDGKVYQVVIQPEYWHLVSPNRSQDGNKETVCIDRMSTVTPAGK -EPPSLVTLKVGDRVVGMGSRVSWGGNTYLLTAAHVCALHKDIYIYKNAIGTPLGAGWTRRYGATHKTADF -TLIEVPPTVWAKLGVKAASLQPLNKLSVVTVYSANSSTVITSSSSRAVTQEFRHVIIHSCNTTAGTSGSP -LYSGDNVVGVHLGTEVTMHSNRACNVGLVLGAFHESIISQGTLSEISADEAADRDYDFVDFSVEGLGRLS -MGKGEFYLRNDRGITIEEIRKKGRKIWTEDFDEESDDGIFETLPVSSLNCQRASDEIVCSPSSSLEITNG -NKATSQKTESASKKLGSPGLLSRNQRRKERKRLQKLSQEKSPKSQTTPGPKEDQRPKGSPSTSKRVGSEE -PKSQMESERLLRDLSKSIQQLTVLLNSREGGITKTSSSTSQTWRARQT - ->NP_066393.4 polyprotein P2ab [Sesbania mosaic virus] -MYHPSCKGYVLLLANMASFAILVDTFLMGVHNPSHVVPVVALMTLCATPLWLSASYVIYLLRYMLVRLSP -EAKQDRVYYTATTAPYFDPALGVMMQFAPSSGGPSIEVQINPSWISLLGSSLKLNVGDASNESAVLGSFY -SPVKAGDEPASLVAIKSGPTTIGFGCRTKIDGEDCLLTAHHVWCNSMRPTGLAKAGKQVSVEDWEISMSS -SDKMLDFAIVRVPTHVWSKLGVKSTPLVCPSSKDVITCYGGSSSDCLMSGVGSSSTSEFTWKLTHTCPTA -AGWSGTPLYSSRGVVGMHVGFEEIGKLNRGVNMFYVANYLLRSNETLPPELSIIEIPFDDVETRSYEFIE -VEIKGRGKAKLGKREFAWIPESGKYWADEDEDELPPPPKLVGGKLVWENAQETVAVENLNLPAGGRVKAL -AALFELACYEFREGEVASSKGMPLRFAGRTSCKFRELCRKDTPEDVLRAVPTFPELSDYSWPERGSKAEL -GSLLLQAGKFNPSRVPTNLEGACQNLLERYPASKPCCCLRSEAWSFDAVFEEVCKKAQSAEINEKASPGV -PLSRLASTNKDLLKRHLQLVALCVTERLFLLSEAPDLHYLSPTQLVELGYCDPVRIFVKQEPHASRKVRE -GRFRLISSVSLVDQLVERMLFGPQNQLEIAEWERIPSKPGMGLSLDRQARSLFGDLRVKHSRCPAAEADI -SGFDWSVQDWELWADVEMRVVLGGFGQTLARAARNRFSCFMNSVFQLSDGTLIEQLQPGIMKSGSYCTSS -TNSRIRCLMAELIGSPWCIAMGDDSVEGWVDGAKDKYMRLGHVCKDYKPCATTPEGDLYEVEFCSHVIRA -NRCWLASWPKTLFKYLSEAKWFFEDIERELETSPHWPRIRHYLVGNTPSPHKIGKSSPPYGEKAFETTVS -QGYSEHSGDATPAESWAEAKPEEAAFCCAAASAYPGWNIHGPVCSGCYGADS - ->NP_066392.4 polyprotein P2a [Sesbania mosaic virus] -MYHPSCKGYVLLLANMASFAILVDTFLMGVHNPSHVVPVVALMTLCATPLWLSASYVIYLLRYMLVRLSP -EAKQDRVYYTATTAPYFDPALGVMMQFAPSSGGPSIEVQINPSWISLLGSSLKLNVGDASNESAVLGSFY -SPVKAGDEPASLVAIKSGPTTIGFGCRTKIDGEDCLLTAHHVWCNSMRPTGLAKAGKQVSVEDWEISMSS -SDKMLDFAIVRVPTHVWSKLGVKSTPLVCPSSKDVITCYGGSSSDCLMSGVGSSSTSEFTWKLTHTCPTA -AGWSGTPLYSSRGVVGMHVGFEEIGKLNRGVNMFYVANYLLRSNETLPPELSIIEIPFDDVETRSYEFIE -VEIKGRGKAKLGKREFAWIPESGKYWADEDEDELPPPPKLVGGKLVWENAQETVAVENLNCQRAAGSRPL -PPSLNLHATSSAKEKSPLPKACHSDLLGERLASLESCVEKILQKMSCEQYLPSQSSQTTLGQSEAPKLSL -APCYYKQESLILPESQQTLKELVKTSLSATPPPNPVAALDQKPGPSTQSLKKSVRRRNRRKSTKKPAQGS -PSPASPPPTKTS - ->YP_007438858.2 polyprotein P2ab [Southern bean mosaic virus] -MYHPGRSPSFLITLANVICAAILYDIRMGGYQPGSLVPIVAWMTPFVTLLWLSASFVTYLYRYARTRLLP -EEKVARVYYTAQSAPYFDPALGVMMQFAPSHGGASIEVQVNPSWISLLGGSLKINGDDASNESAVLGSFY -SSVKPGDEPASLVAIKSGPQTIGFGCRTKIDGDDCLFTDNHVWNNSMRPTALAKAGKQVAIEDWDTPLSC -DHKMLDFVVVRVPKHVWSKLGVKATQLVCPSDKDAVTCYGGSSSDSLLSGTGVCSKVDFSWKLTHSCPTA -AGWSGTPIYSSRGVVGMHVGFEDIGKLNRGVNAFYVSNYLLRSQETLPPDLSVIEIPFEDVETRSYEFIE -VEIKGRGKAKLGKREFAWIPESGKYWADDDDDSLPPPPKVVDGKMVWTSAQETVAEPLNLPEGGRVKALA -ALSQLAGYNFKEGEAASTRGMPLRFVGQSACKFRELCRKDTPDEVLRATRVFPELSDFSWPERGSKAELH -SLLLQAGKFNPTAIPRNLEGACQNLLERYPASKSCYCLRGEAWSFDAVYEEVCKKAQSAEINEKASPGVP -LSRLASTNKDLLKRHLELVALCVTERLFLLSEAEDLHNKSPVDLVQMGLCDPVRLFVKQEPHASRKVKEG -RFRLISSVSLVDQLVERMLFGPQNQLEIAEWEHIPSKPGMGLSLQRQAKSLFDDLRVKHSRCPAAEADIS -GFDWSVQDWELWADVEMRIVLGGFGQKLSIAARNRFSCFMNSVFQLSDGTLIEQQLPGIMKSGSYCTSST -NSRIRCLMAELIGSPWCIAMGDDSVEGWVDGAKDKYMRLGHTCKDYKPCATSISGRLYEVEFCSHVIRED -RCWLASWPKTLYKYLSEGKWFFEDLERELGSSPHWPRIRHYVVGNTPSPDKTRLENSSPSYGEEADKTTV -SQGYSEHSGSPGHSIEEAQEPETAPFCCKAASVYPGWGIHGPYCSGGYGSLT - ->YP_007438857.2 polyprotein P2a [Southern bean mosaic virus] -MYHPGRSPSFLITLANVICAAILYDIRMGGYQPGSLVPIVAWMTPFVTLLWLSASFVTYLYRYARTRLLP -EEKVARVYYTAQSAPYFDPALGVMMQFAPSHGGASIEVQVNPSWISLLGGSLKINGDDASNESAVLGSFY -SSVKPGDEPASLVAIKSGPQTIGFGCRTKIDGDDCLFTDNHVWNNSMRPTALAKAGKQVAIEDWDTPLSC -DHKMLDFVVVRVPKHVWSKLGVKATQLVCPSDKDAVTCYGGSSSDSLLSGTGVCSKVDFSWKLTHSCPTA -AGWSGTPIYSSRGVVGMHVGFEDIGKLNRGVNAFYVSNYLLRSQETLPPDLSVIEIPFEDVETRSYEFIE -VEIKGRGKAKLGKREFAWIPESGKYWADDDDDSLPPPPKVVDGKMVWTSAQETVAEPLNYQRAAGSRPLP -PFLNLQATTSKKEKQPLQEECPLDLLGSRLASLESCVEKILQMKSLELLESSRNCQTSPGLSEAPKQSFT -PCYSKQESLIPPQSQGILKELVKTSLSATPPPNPVTAFVEKPGPSTQSTKKSARRRNRRKSTRKPVQGSP -SPVSPPPTKTS - ->NP_042302.3 polyprotein P2ab [Southern cowpea mosaic virus] -MYRPSCLSYVLLVANMWSFAVCANAFIYGSYDPSHNIPIVALMTLCATGLWLSTSVVSFGIRYVRVRVSP -EKTQNRTIYVSSGLPHFDPVYGVVKKCEPMGGGPAIELQVNPSWIHLLPTSPAINKVEVGQESAILGSTY -SVVETGGEPKSLVAVKSGDSTLGFGARVYHEGMDVLMVPHHVWYNDKPHTALAKNGRSVDTEDWEVEAAC -ADPRIDFVLVKVPTAVWAKLAVRSTKVLAPVHGTAVQTFGGQDSKQLFSGLGKAKALDNAWEFTHTAPTA -KGWSGTPLYTRDGIVGMHTGYVDIGTSNRAINMHFIMSCLVSKMETLPPELGYREISLEDVGLRSFEFLE -VEIENRGKVKLGKREFAWVPKGKAWADMLDDDDLPLPPKMVNGNLVWADAQESFDGALPLNLLAGGRTQC -LAAQIELGDYKFSCGPTHETGGMPFRNCGSSTCKFREVSRKPVADAVTAATKVFPELSELGWPERGSGAE -IGSLLLQAGKFVPTKAPSNLEQAYNNLLSRYPRSKPLACFRQGTWSFDAIFEQVVSKATSAEINQKASPG -VPLSRLATTNKDLMAQHMQFVAACVTGRVPLLASFEDIHALSPTEMVEMGLCDPVRLFVKQEPHPSRKLK -EGRYRLISSVSIVDQLVERMLFGAQNELEIAEWQSIPSKPGMGLSVIHQADAIFRDLRVKHTVCPAAEAD -ISGFDWSVQDWELWADVEMRIVLGSFPPMMARAARNRFSCFMNSVLQLSNGQLLQQELPGIMKSGSYCTS -STNSRIRCLMAELIGSPWCIAMGDDSVEGFVEGAREKYAGLGHLCKDYKPCATTPTGQLYAVEFCSHVIK -RNKAFLTSWPKTLYRFLSTPRETLEDLERELASSPMWHKIQSYVRSIPSPDKTARDKSICNGYPLDQEAI -STSYSEYSSKSASAEATREAACCAGAQAYPSWGIHGPYCSGDHGEA - ->YP_006589925.2 polyprotein P2ab [Papaya lethal yellowing virus] -MTFIDFLLVCLVWNVGALLVTQNTNWLPTWTVPLAVIIQLALICICMLLLSVLYVWRWLTLRSIKVDSAN -YHQTYAEVIGCRLVPEKGLVMDILDGEKLTPVLVNPNYWHLLPDCAISNKYGTECPVMGVSLSHVAPGKE -PSSLVAISNGSEIIGFGSRVKFNGVTYLLTANHVWNGRYTALKIVKGSQEVSVDASMYTAKYFCEDLRVD -FAMVPIPEPIWTKLGVKASNLSTMSRTSLINVYGGADPTKLKCSSAKAVKAEYSHQIVHYATTGGGWSGT -PLYYNGAVVGMHTGSIKLGESNRGVNVAMLLNLACETVFSEITYTEIDSDLALDRDYGFIEVEIHGKGKF -ALGKGEWYAVDEFVRNKRLRGEKLWADMAEEDEPEELELYHDTIETVLPSPLNRGWGGVGQTISALIYFG -GYEWTQGMCIRCSGRCNCYSKGGMPLTYVGRSGVKFRETGRSPIDQRVTNALKHLPELSEFGWPERGSEA -ELKSLKIQAERFRQTGSPEGLEEACRRLKDRYPPARPRRCLRGEWDERETATEVAKICESSVNQRASPGV -PLSVFGSSNGAVLDKHRDLVVTAVVARLNLLSEKIIDYDPVQLVQFGYCDPVRLFVKQEPHSLKKITEGR -FRLISSVSLVDQLVERMIFGPQNELEITRWRNIPSKPGMGLSLEEQAQTIWSDLSYKHLKHPAAEADISG -FDWSVQDWELWADLKMRIELGNMEGRLKIAAINRFTCFMNSVFQLSDGSLIAQGKPGLMKSGSYCTSSTN -SRIRCLMAELIGAPWCIAMGDDSVEGYVPNARRKYEELGHVCKDYMPCKVDSEGNLEKVNFCSHELSEGK -FWLTSWPKTVFRYLNSKAPEIGDLKAELWGNPHWGRIYEVVGVEDRANKILELNDFSRSSEAANYQECCS -GGSESCRSTGSACSTGSAERYECETTEGLAEEDASKYSECTDQTGHRTYCKECAGDTGYAYSAFKELCDW -YNSYGVQPACVSESYPHGYGG - ->YP_006331061.2 polyprotein 2ab [Artemisia virus A] -MKLSNLMTLTMLAGLVSVMIDMYQSPQWSLAYWLSLSLWIAALMLQFAWLSWPRVCLEPEPVKTELWGEM -LGDPEFDPAKGIVASVLYGTETIKVVIQPKWWQYFSSDVLRSEKEGACIGSPVSLIEPGKEPNYLVVIQD -ENGFTRGMASRVQIGRNDVMLTAFHVIDTAEKLYMAKFSNNERTGRRVEIDFQNWKLDFASRDARIDVAS -VFVPQKVWSSLGVKSAKVKIPTAERKPVQVFGADSSSAFKSSVGLGTFVSEFTGEHSATTTKGWSGSPVI -SNGCVIGVHRGVDLDKVNSNKFTIIHQSFFPPGLETMYDYGHIRELDEEQFETRENEFAEAYLNGRGKIF -FSENEFYLDSSKRPQYVPKGRDWREAELEEDDDFFEDKAFLQSVKRDFGAESGGGPPDKVDDLPFGFSVA -RTRRDAEGYSLNRRTGGESPILSALYSLGGYSFTGGRPIGTTGMPLVDVGKSGVKFREASRTALSERAIS -ARNSFPELEDFDWPERGSAAELDSLLFQATRFKATPEPDNIREAVEQLVKEYPRAPQRNCFKGDWDSAAV -KAKIEKIAKTEVKRDSSPGVPFACLGITNGSVIDNHMQLVVESVYARLELLSKVELSDELTAVDLVRLGL -CDPVRLFVKQEPHPKRKIETRRFRLISSVSLVDQLVERLLFGYQNQLEIAMWKTCPSKPGMGLSEGSQAD -AIWEQVAYKHTTCPAVEADISGFDWSVQEWELRADVEMRIFLGGFHEKVARAARNRFKCLSLSVFQLSNG -TLIAQAIPGLMKSGSYCTSSSNSRIRCLMAKLIGSPWCIAMGDDSVEGYVPGAQEAYRKLGHDCKDYQLC -EASYQKTGTKLISFNFCSHYLSKRKFYLTSWPKTFLRYLDSENPQYLNLFAELQSSPIWPKIQRYIRRKN -LASDKIFIEEDDEEEADEXQQWKRAATATSHPAHSAAQWSGIGIRC - ->YP_004869651.2 polyprotein P2ab [Soybean yellow common mosaic virus] -MRHPGLFAYTLLVVNMASFAILLDSFMLGAYRSDHMVPVVALMTLCATVLWLSLSLVSFLYGYVRVRLVP -EAKQERKYYVAHSAPYFDPTLGVMMKFTPNHGGPSIEVQVNPSWISLLDRSLKINGDEHSNESAILGSFY -SAVKPGDEPASLVAIKSGPHTIGFGCRTKIDGEDALLTANHVWNGAAKPDALAKNGKQVAVEDWAVPVSS -DHQMLDFVAVRVPSCVWSKLGVKSTPLVCPSAKDAVTCYGGPSSDELLSSVGNCSPTEFAWKVTHNCPTA -AGWSGTPLYSSRGVVGMHTGFENIGKMNRGVNMFYVANYLLRSQETLPPELSVIEIPFEDVETRSYEFLE -VEIVGRGKAKLGKREFAWIPESGKYWADQDEDELPPPPKMQGGKLVWADAQETLPWLEEPLNLPAGGRVA -TLAALYEIAGYHFTEGEVASSGGMPLRFVGQSSCQFRELCRKPTSEDVTRATATFPELSDYSWPDRGSKA -ELRSLLLQAGKFNPTRVPSNLEGACQNLLERYPASKPCSSLRSEAWSFDAVFEEVCKKAQSAEINEKASP -GVPLARLASTNKDIIRKHLQFVALCVTERLFLLSEVEGLENITPVEMVELGLCDPVRLFVKQEPHASRKV -KEGRFRLISSVSLVDQLVERMLFGKQNQLEIAEWENIPSKPGMGLSLERQARSLFDDLRIKHSRCPAAEA -DISGFDWSVQDWELWADVEMRIVLGGFGDKLSRAARNRFSCFMNSVFQLSDGTLIEQQQPGIMKSGSYCT -SSTNSRIRCLMAELIGSPWCIAMGDDSVEGWVESAKDKYKELGHVCKDYKPCQTDIEGSLYEVEFCSHVV -RQSRCWLNSWPKTLFKYLSEGKWFYEDLERELWSSPHWPRIRQYVVDNTPSVHKTIKPSPSYGEEANQTA -ASQGHSEHFGTTFRSSTPEEEEPKQASFCCDAASAYPGWGIHGPYCSGDYGASS - ->YP_003896039.2 polyprotein P2ab [Velvet tobacco mottle virus] -MLSELVQLCLSATMTLALVVSMMLDPSYGWLITMSVMLVSLELTIRPFRRSLDYMKIVVRDEPNEPEVAR -IVGAPTYHPIHGVVCKCLWNSKEFNVVVQPSLWPLAFPPNISQGVVKEGAMIGVPFTSLPSGAEPKSLVV -LYNDGVRIGMGSRVNWQGADYLLTASHVWSLVTGDFQMAKASKMVSVKDAKCYVEAAHAKLDFALIKVPN -KYWSSIGVGSAKLLWHKPGQVVKVYGGRSDELVSSVGRAEKDPDLSLRLTHNASTAPGWSGSPLYNSENF -VVGLHTGFSAAEQRNEAVDVAKLLHLALRTKETTFSEIGVSLIDEDEIESRGYQFDDFELRGEVNVKGKM -ARNEISLIASKNKGKPCYLQEEGDDEFYDSIREKDFLARFREQTGKETVGNLNLPEGGPNIGAALRELEA -VRWEEPRAIQTGGMGFNYVGVSSCKFREGPKHAARGAISSAEQVFPELKQYDWPERGSKAEFDSLLLQAS -RFRRTSCPEQTERKCEVLAEKYPKSRAHRCFRRENFCQRQLLREQIEATTTSPEINDKASPGSPWSRLEK -TNGELISRFKDLLIEAVLRRVLLLASTPTSEILCMSASDLVRANLVDPVRLFVKQEPHTKKKLNERRFRL -ISSVSIVDQIIERLLFGPQNRLEIALWHQIPSKPGMGLSARTQADLLWNELFAKSEIAPAAEADISGFDW -SVQEWELWADLSMRISLCEDMHDGLRRLMVNRYRCFMLSCFQLSNGELYEQVEPGLMKSGSYCTSSSNSR -IRCLMGYLIGAPWIIAMGDDSVEGYVRDAKGKYEELGHTCKEYELCDVDSDGALRSVNFCSHLISRNKFW -LTSWPKTLYRFLDSPSENFHDLERELGSCPKWAKIKDYCCQVGLVPDKTYWEEDHPADYVEETHQESGQA -DDTGNLAERADFCSVPTTETPKVDAARAEFYSYGPNGWSCDIPEATHVNQWPLWGYSSSFGGGFGSPIWD -Y - ->YP_007506946.1 polyprotein P2ab [Imperata yellow mottle virus] -MASFVAGQLRKGMRMMHLPGHIFLLLGIWLAGLCSDQLPVTSWWWAIPLTIIVNWIASQAAEDFNRLCAG -LKPEPLAKRKFGSMGNPRFDPQVGYVVDVSHGSDVVTVPLPFSLLSGVAGSALYRKTVTEAYAGGSMPTP -VAPDKLPGCVVTLYHGETFLGFGSRVRTPNGQDLLMTNHHIALLEPNAIAKGGDMVECDFGPMKYACHDQ -RMDCCFYNVSPKIWSLLKVSSAPLKPMLRPMACNLFGGVSSTSISTSLAFVRPESNPWFLQHNATTGRGW -SGTPLIHKGAVYGLHIGALGSVNVASNPALYFLTYRREVEVESPELWKSVPNEITNEEYESRRSQGIHFY -ELDFGGDRIKVSDREWVRHSARRAQKTKQLEGGRDWGDVEDDEEWEVTVEAAVSVPPVIGEPPTPSLQSA -SSLQSLHTAPTRKTRRRRKPTATVQVEGEPLNLPAGGPPTGESALGRLIQLGNYQWIDGQLLTASVSGMP -LTAVGRSDCRFREGTQKPPGPRVSAAVRVFPELADYAWPARGSEAELESLRVQASRFKRTLPPPSLQEAV -NALQGRYPNSQVRKCFRQEPWDFQALCEEVQRICQTGELNGTSSPGVPLANIENQNAAVLNLAPELVHLA -VAERLVALAAVDPRQHNWTPKELVQRGLVDPVRLFVKQEPHTMRKIRERRFRLISSVSLVDQLVERMLFG -PQNATEISMWHLCPSKPGMGMSTPSQVEMLWKDVAHKHSLHQAAEADISAFDWSVQDWELWADLAIRLQL -GSFPDLMRRAAISRFYCFMNSVFQLSDGTLIEQNLPGLMKSGSYCTSSTNSRIRCLMAELIGSPWCIAMG -DDSVEGWVEGAQEKYAALGHTCKEYVACPSKQGRLGKELLSFNFCSHEFTNPGLPRAELLTWAKCLYRFL -SSNRETVDDLWVELNTSRQWGRIQKYLAGIGEVSQLNSADGEIKAEEIQEDAAGCGGQEPAASAFEEARE -IWIEPTPAQQWDNNIGPHGYEWDWPFSESWSPWGRDHS - ->YP_002158813.2 polyprotein P2ab [Sowbane mosaic virus] -MHRSPFGYLLLLISLPAGAVTYDCIRGDCQAGPNSLMMALMTLLTTGMWWVVSLSLFWIERQRYRSAEEK -ISRPKRLRLIGDPYLDPCDGIVGKILDDCTGLVQEVVIQPKWWRFISMSSPTINQDEDNECAILGNSYSQ -VVPRSEPGSFVLLKVNEEVVGAGCRVIYDGGDYLLTAHHVWSQAPNHIAKGGKTVEISMEMKPYLSSKNK -VLDFCLVPVPAAVWSNLGVKSSKIASLHQRSNVTVYGGTASTMLLSSFGIAEADDNPLRIIHKASTARAW -SGSPLYNSNGLVLGVHLGYDQLGSTNRAVNIGYVLRTTSSNETAPPDLNFVEITEDEAVDRPSFDEYEIE -GFGKIRTRGREYYIPRNKDWNKYDDEDDDAFFDVPVALWLNSNETVEKPLNLQRGRKFTALAALIELGNY -SWESGGHYPQGVGLQFVGRSTCLFRESSRKALSERFKLASETFPELLDYNWPARGSKAELGSLLLQAERF -RCTEPPENLASCCDKLASRYPVTRPRCCFRTDKWSWSAVEEEVKRLAAQGREVCKDSSPGSPLASLCKRN -QDVLAAHLDFVVKAVTERLFLLAETELHGLSPVELINQGCCDPVRLFVKQEPHTLKKINEGRYRLISSVS -LVDQIVERMLFGPQNRAEIALWDTIPSKPGMGLTLKSQARKIFGDLLVKHTHCPAYEADISGFDWTVQDW -ELWADVEIRIKLCSAGVNLAQCMRNRFYCFMNSVFQLSDGTLIQQCSPGVMKSGSYCTSSTNSRVRCLMA -EIIGSPWCIAMGDDSVEGYVEGARDKYDALGHKCKDYQVCDSDGLLLRSVGFCSHHIDSSGAYLTSWAKT -LFKHLHSKDEDFQDIEIELRNSPMWPSIRRYLVQETPSLDKRYEPNEEEEVQTTEQAVKSTAQQVHGASC -FTTCCERNCYGQGYACVQW - ->NP_715627.2 polyprotein P2ab [Subterranean clover mottle virus] -MNTDTIRAIFLYWMSLALSMAAVVQLETKVDLSNHQSLVMTTAILLPALSVLFGLRVWRRWKVVIVKEVN -PREVINLVGEPFLDPVRGVLMNGISTSGGTFEVLIEPKWWHLFPRSAISKDGEKECAIFNAGYSSVLPGT -EPTSLVMLKAKDLAVGFGARVRFNGCSDYLLTAYHVIKPHEKLNLCKGGYMVEDVDLAVTCGSDHDAVDF -ALIKVPPAVWSKLKVGVGKLEPMTKKTHITVYGGSDSTRLLSSSGPAYKGKAGYAIIHEASTTKGWSGTP -LYSGNTIVGVHTGSGQVGYSNRAVNVKLLLTAVSKFETIFSEISYGELDEDNYLLRNRDDFVEVEILGKG -KFLLGDSSFVDITGKSLGWEKEKRARGEALWHDASDDDFYEDANFLADFYKDSKETVDDIMLEHLNLPAG -GHNFKSCVATLIELASYEWKNLSESISSRGMPLADVGRSSCKFRETARKELGAAVTAASFEFPELQGYSW -PDRGSKAERGSLLYQAGRFKPTPPPGKLYEAVVKLAPEYPETVPRACLRREQWDKEEIAKEAKEIGQKKV -NPKASPGVPLSILGKTNKEVLDRHGDLVYIAVAERICMLAEADLADAPDPVDLVKAGYCDPIRLFVKQEP -HPLKKVVEGRFRLISSVSLVDQLVERLLFGPQNETEIDLWQSVPSKPGMGLSQPWQVSALWNDLEHKHKL -SPAAEADISGFDWSVQSWEILADVCIRIDRGGFKGNLRKAALNRFKCFSNAVFQLSDGTLISQGLPGLMK -SGSYCTSSTNSRIRCLMAKIIGAPWCIAMGDDSVEGYVEGAREMYDSLGHTCKDYIPCKADLDKLEEVNF -CSHTIRKDSYYLQSWAKTLFRFLSQPEDVNELAVELKGCPEWPRISKYLRRIGKISDKTSEGEGKQNDRP -QGEKIKEEIRSRDHHPAEPTEIWWETIPEPNDSGQEREDPYGFNSSHREIFPCNPL - ->NP_941957.2 polyprotein P2ab [Cocksfoot mottle virus] -MGCSVVGNCKSVMLMSRMSWSKLALLVSVAMAAAMTDSPPTLICMGILVSVVLNWIVCAVWQEASELILG -VSLEATRPSPARVIGEPVFDPRYGYVAPAIYDGKSFDVILPISALSSASTRKETVEMAVENSRLQPLESS -QTPKSLVALYSQDLLSGWGSRIKGPDGQEYLLTALHVWETNISHLCKDGKKVPISGCPIVASSADSDLDF -VLVSVPRNAWSVLGVGVARLELLKRRTVVTVYGGLDSKTTYCATGVAELENPFRIVTKVTTTGGWSGSPL -YHKDAIVGLHLGARPSAGVNRACNVAMAFRVVRKFVTVENSELYPDQSSGPARELDAETYTERLEQGIAF -TEYNISGITVKTSDREWTTAEALRVARYKPLGGGKAWGDSDDEDTQETAIRPLNLPAGGLPTGQSALGQL -IEYAGYVWRDEGIINSNGMPFRSAGKSSCRFREAVCRAVHRDVRAAETEFPELKELAWPSRGSKAEIGSL -LFQAGRFERVEAPANLQLAITNLQAQYPRSRPRSCFRREPWCREDFVAEIEKIAHSGEINLKASPGVPLA -EIGVSNQQVIDVAWPLVCEAVVERLHALASVDPRQHDWSPEELVKRGLCDPVRLFVKQEPHSRQKIEQGR -FRLISSVSLVDQLVERMLFGPQNTTEIALWHSNPSKPGMGLSKASQVALLWEDLARKHQTHPGAMADISG -FDWSVQDWELWADVSMRIELGSFPALMAKAAISRFYCLMNATFQLTNGELLAQELPGLMKSGSYCTSSSN -SRIRCLMAELIGSPWCIAMGDDSVEGWVDDAPRKYSALGHLCKEYEACPVLPNGDLKEVSFCSHLISKGR -AELETWPKCLFRYLSGPHDVESLEMELSSSRRWGQIVRYLRRIGRVSGNDGEERSSNESPATTKTQGSAA -AWGPPQEAWPVDGASLSTFEPSSSGWFHLEGW - ->YP_007500964.1 polyprotein P2ab [Rice yellow mottle virus] -MGSSVVGRFLTRTKETTRVDSHLLIVLAGLIAAAIVSERLPVTASLWAIPSAIIANWIVLSAHESFSRFV -EGVEIEPMSTLRYGKVQSAPRFDPSRGYVVDVSYNGHVIPVILDFTTTTALSVPQRVNPGVSMEASRGGL -PPTSVKLEDVPPSVVVLYHDSVRLGLGTRVRTPTGRDLLMTNHHIAALEPNGIAYKGHLKKVALDAPVIA -CDHPHIDCAFYEVPPKIWSLLGVKSASLKPLVKQTAVSLFGGSSSTDFSSCVGIAQIGDNPFLIRHQSTT -CSGWSGSPLYHKGCVVGLHIGAADGYNVASNVAWYFHTFKKEVIVESPFEIYGKFREANSEEYDESLRHG -VQYAEYDFSGDTIRASSNTWVRERERYHAEERRKSGQPSWADRFGDDSGEDVDIETSHPVAPSIPRTRRK -RSKRVEQFVDAVSECSFSFESAREGIVPETSAYDHVPLNLPGGGLEPSGESALGRLIQLGEYRWDSLGDS -LPSDGMPFSYVGKSGVIFGEHAGKSVCAAVKDAISVFPDLEGFGWPERGSKAELDSLILQAGRFNRTVCP -SGLAQAVQSLQERYPKVPPRRCLRDEWRFDDIFDEVERILCETGEVNSASSPGVPLAGLANSNGEVRGLA -RDLVCLAVVERLNALASVDPRQHNWTPRELVEKGLCDPVRLFVKNEPHPRRKLLERRFRLISSVSLVDQL -VERMLFGPQNNTEISTWWQWPSKPGMGLLTPEQIRLVWDDVFQKHQAHPAAEADISGFDWSVQDWELWSD -LAIRINRGNFQGNLRRAAISRYYCFMNSVFQLSDGTLIQQELPGLMKSGSYCTSSTNSRIRCLMAELIGS -PWCIAMGDDSVEGWIEGAQSKYAALGHTCKEYYPCKTRGRELLEFNFCSHLIRRGHAELTSWPKACFRFL -SSKHEDFEDLWVELHTCGVRSRIERYLRGIGRVSHKDGQEGQENQLQPGAARKEEGPTPTWTVGGAPAST -GSSGSGLPDIWDGSWSTIF - ->NP_042301.2 polyprotein P2a [Southern cowpea mosaic virus] -MYRPSCLSYVLLVANMWSFAVCANAFIYGSYDPSHNIPIVALMTLCATGLWLSTSVVSFGIRYVRVRVSP -EKTQNRTIYVSSGLPHFDPVYGVVKKCEPMGGGPAIELQVNPSWIHLLPTSPAINKVEVGQESAILGSTY -SVVETGGEPKSLVAVKSGDSTLGFGARVYHEGMDVLMVPHHVWYNDKPHTALAKNGRSVDTEDWEVEAAC -ADPRIDFVLVKVPTAVWAKLAVRSTKVLAPVHGTAVQTFGGQDSKQLFSGLGKAKALDNAWEFTHTAPTA -KGWSGTPLYTRDGIVGMHTGYVDIGTSNRAINMHFIMSCLVSKMETLPPELGYREISLEDVGLRSFEFLE -VEIENRGKVKLGKREFAWVPKGKAWADMLDDDDLPLPPKMVNGNLVWADAQESFDGALPLNCLRAAGRNV -LPPKLNLVTINSPVDPPTKQVACPSEIVDHRLASLEKCLENLLQTLSQPQQKFSQNSLSSGGLKGDQELK -LAPCYSKQESLFPPKPRATSSKPITTSSPGTPGRSPLPVSGKELGPSTQSSSKLSRKQRRRRSTKRPVQG -SPSPASPPPTRT - ->YP_007438854.1 polyprotein P2a [Ryegrass mottle virus] -MYGSIVSMVVQAALAFLLSKDPESRTAVRGLLASLTGTALILGWQLLCVLRAWLATSLEKEPEAPEDSWI -DLCGPPRFDPSKGVYGEVLIDGKQHRVVIQPDFWPLLRSAINIDRDEAAVANSIVSSVAPGKEPGSLVCI -QAKDGKVIGMGARVHCGPATVLVTAGHVLKKGMIADLYLAKYSVSSKEGKRVLMDPTWKIEYGSLNKEAD -VISVQVPAAVWSRLGVTAARVRKPTVKVPVLAYGGEASGLLQSSQGFATPDGNMSVAHSCSTRPGWSGTP -LYAGSDIVAIHRRWEDIGVKNLATNLSIFHANCESSENGEQGAREIDAEEWISREVTPTDVYIAGRGKYR -VAGDEFSHSSYDPLAFSKYKKERGEMTWADMVEGDLDWDAREESTGNDIPLNCQQAASKCSSPCVTCPES -SGVTEKSSPQQACPSLTVEDRVSNLEKLLERVLTSSAETQSNISVISQTLVGLKEARKQKELVCSSRQAD -SAPQKPPTTSTARAENLSENTPGPSSEPAFGGKLGTQKASKKRSKKSRKGTSSGTPVQGSPSA - ->YP_007438853.1 polyprotein P2ab [Ryegrass mottle virus] -MYGSIVSMVVQAALAFLLSKDPESRTAVRGLLASLTGTALILGWQLLCVLRAWLATSLEKEPEAPEDSWI -DLCGPPRFDPSKGVYGEVLIDGKQHRVVIQPDFWPLLRSAINIDRDEAAVANSIVSSVAPGKEPGSLVCI -QAKDGKVIGMGARVHCGPATVLVTAGHVLKKGMIADLYLAKYSVSSKEGKRVLMDPTWKIEYGSLNKEAD -VISVQVPAAVWSRLGVTAARVRKPTVKVPVLAYGGEASGLLQSSQGFATPDGNMSVAHSCSTRPGWSGTP -LYAGSDIVAIHRRWEDIGVKNLATNLSIFHANCESSENGEQGAREIDAEEWISREVTPTDVYIAGRGKYR -VAGDEFSHSSYDPLAFSKYKKERGEMTWADMVEGDLDWDAREESTGNDIPLNLPAGGEQVLVAVRDMSGI -VWSDGEILTTTGMPLIDCGRSRVKFRETARTSTNELCRNAEQHFGDLADLSWPERGSKAERVSLLFQAGR -FRPTEAPDNLDSACRELEREYPRSKQRACLWGETWNSESLKEKIQEIAQRDIKRDSSPGVPLSLIGTTNG -AVLDSSMTLVVEAVWARLEALSRIELSPDVTPPELIQLGLCDPVRLFIKQEPHPLRKVRTGRLRLISSVS -LLDQLVERVLFGFQNNLEISRWKQCPSKPGMGLTSKEQSDALWDELKFKSTLAPAAEADISGFDWSVQHW -ELMADVEMRIRLGDFSNLAARAARNRFTCLSNSVFQLSDGTLISQGLPGLMKSGSYCTSSSNSRIRCLMA -KIIGSRWCIAMGDDSVEGWVDNAVEKYHALGHECKEYSACARTYVSGSGEQTLQTVNFCSHELSEGKCHL -TTWSKTLFRFFHSTAPCIRSLEMELAGSPQWPKIYRYLRRVGLAPDKDGKEEGQIGQPSNRFEGEIPEET -TEKQRPTTHQTGYTGFSAGRDGDPDYLSGTPSCNSVW - ->YP_007438849.1 polyprotein P2ab [Lucerne transient streak virus] -MTLKLWEALKVLVVVSSSSIAASLLLTSQVAAGGLDPMLQSPLALCVISMLVLIVRYAWQNMLLNSVEVR -DETPPGMFINLVGEPKLIPEKGIVMDGVGEDGKVCKVIVNPQWWRFLPGSAISKNGEDEAAVLDSVYSSV -LTGTEPASLVLLKSGSQTVGFGARVSYEGCSDYLLTAYHVIEPHEKLDLCKRGKVIPDLDLATTYDCEDK -FAEFAMIKVPSNYWSRLGVGVAKLSALSKKSTVSLYGGTSSTGLTCSSGFAYKGKSGYAIVHEASTTKGW -SGTPLYVGNNIVGVHTGCGKAGETNRGTNVRVLLDLSSGYESDFSEISYGEIDLDNFRLRPNRQEYLPVT -IKGKGRYLLGDTDFVAMTEARVKRIDDWEALKDAEGPGRVKWSDWADEETSWIGRKMNNIYKAGVETIDL -WKGTDEPVESETTSQLLRHLNLPRGGHTGRCCTALINLAEYRWEQPIPISSRGMPLSEVGRSNCKFREAS -GKATGDAVIAAGEIFPELKQYSWPKRGSEAERGSLLFQAGRFRPTSPPANLAESVRKLVSKYPATTVYKV -LRGAEWSKEEIAKEARRIGETEVNPKASPGVPLSVLGQSNAEVLSRHGDLVYIAVAERLIALSEADLEAH -PKPSDLVRLGLCDPVRLFVKNEPHPANKVREGRFRLISSVSLVDQLVERLLFGPQNKAEIALWQSVPSKP -GMGLSQKWQFEALWKDLQIKHASAPAAEADISGFDWSVQKWELEADVCMRIERGNFPARMRKAALNRFKC -FANAVFQLSNGELIEQGLPGLMKSGSYCTSSTNSRIRCLMAEIIQAPWCIAMGDDSVEGYVEGARELYGE -LGHTCKDYIPCAAEGEILKEVNFCSHSIAADRCYLQSWAKTLFRYLEHPDDFEELAVELQGCPQWPRIYK -YLRRIGRVSDKIPEPREENGREDEKSKQKEEEEQQHTEEDYGTQQAASYCSEGHDPSRYFGGGEEVFPCN -PL - ->YP_007438850.1 polyprotein P2a [Lucerne transient streak virus] -MTLKLWEALKVLVVVSSSSIAASLLLTSQVAAGGLDPMLQSPLALCVISMLVLIVRYAWQNMLLNSVEVR -DETPPGMFINLVGEPKLIPEKGIVMDGVGEDGKVCKVIVNPQWWRFLPGSAISKNGEDEAAVLDSVYSSV -LTGTEPASLVLLKSGSQTVGFGARVSYEGCSDYLLTAYHVIEPHEKLDLCKRGKVIPDLDLATTYDCEDK -FAEFAMIKVPSNYWSRLGVGVAKLSALSKKSTVSLYGGTSSTGLTCSSGFAYKGKSGYAIVHEASTTKGW -SGTPLYVGNNIVGVHTGCGKAGETNRGTNVRVLLDLSSGYESDFSEISYGEIDLDNFRLRPNRQEYLPVT -IKGKGRYLLGDTDFVAMTEARVKRIDDWEALKDAEGPGRVKWSDWADEETSWIGRKMNNIYKAGVETIDL -WKGTDEPVESETTSQLLRHLNCQGAGTPEGVAPPSSTLQSTDGSNPSLSPHGVCLFPKLEDRIVNLEKLV -EKLLETLSSQQVKSSQSSNSTAGPSEVVRQKEDPSSSKPDGSDRPAPPPTSPSQSESSSQNTQPQQSTKS -SGGQSGAKKKSQRRRGESEKQKSTQKPPQASRSPSWVKATPKS - ->YP_007438861.1 polyprotein P2a [Rice yellow mottle virus] -MGSSVVGRFLTRTKETTRVDSHLLIVLAGLIAAAIVSERLPVTASLWAIPSAIIANWIVLSAHESFSRFV -EGVEIEPMSTLRYGKVQSAPRFDPSRGYVVDVSYNGHVIPVILDFTTTTALSVPQRVNPGVSMEASRGGL -PPTSVKLEDVPPSVVVLYHDSVRLGLGTRVRTPTGRDLLMTNHHIAALEPNGIAYKGHLKKVALDAPVIA -CDHPHIDCAFYEVPPKIWSLLGVKSASLKPLVKQTAVSLFGGSSSTDFSSCVGIAQIGDNPFLIRHQSTT -CSGWSGSPLYHKGCVVGLHIGAADGYNVASNVAWYFHTFKKEVIVESPFEIYGKFREANSEEYDESLRHG -VQYAEYDFSGDTIRASSNTWVRERERYHAEERRKSGQPSWADRFGDDSGEDVDIETSHPVAPSIPRTRRK -RSKRVEQFVDAVSECSFSFESAREGIVPETSAYDHVPLNCQGAGSSLRASPPLDGLSNSENTAGTPSVIP -SLPTECPSATLENRVSSLENMLGKVSAQLSKTQSQYSQILKDLAGLRGEVKQSLTPSSSKPAGSTERYVP -PGSRKQSNHSKKGTQKSPPGDASGTSGGSTTSSMKSKESSAKPAK - ->YP_006589926.1 polyprotein P2a [Papaya lethal yellowing virus] -MTFIDFLLVCLVWNVGALLVTQNTNWLPTWTVPLAVIIQLALICICMLLLSVLYVWRWLTLRSIKVDSAN -YHQTYAEVIGCRLVPEKGLVMDILDGEKLTPVLVNPNYWHLLPDCAISNKYGTECPVMGVSLSHVAPGKE -PSSLVAISNGSEIIGFGSRVKFNGVTYLLTANHVWNGRYTALKIVKGSQEVSVDASMYTAKYFCEDLRVD -FAMVPIPEPIWTKLGVKASNLSTMSRTSLINVYGGADPTKLKCSSAKAVKAEYSHQIVHYATTGGGWSGT -PLYYNGAVVGMHTGSIKLGESNRGVNVAMLLNLACETVFSEITYTEIDSDLALDRDYGFIEVEIHGKGKF -ALGKGEWYAVDEFVRNKRLRGEKLWADMAEEDEPEELELYHDTIETVLPSPLNVDGAESVKRSPPSSILA -GTSGPKECVLGAPEGATATPKVGCLLPTLADRVLNLERLVEVLLTKESQMPSNTSQNSPSLVGRKEALKQ -SSNRSKSKPKGLDKPVVRKDSKKPVEGSKTGIHQPDQGDASEGSGMNAKQRRKLRRSAKAVSTSVHPPVS -H - ->YP_006331062.1 polyprotein P2a [Artemisia virus A] -MKLSNLMTLTMLAGLVSVMIDMYQSPQWSLAYWLSLSLWIAALMLQFAWLSWPRVCLEPEPVKTELWGEM -LGDPEFDPAKGIVASVLYGTETIKVVIQPKWWQYFSSDVLRSEKEGACIGSPVSLIEPGKEPNYLVVIQD -ENGFTRGMASRVQIGRNDVMLTAFHVIDTAEKLYMAKFSNNERTGRRVEIDFQNWKLDFASRDARIDVAS -VFVPQKVWSSLGVKSAKVKIPTAERKPVQVFGADSSSAFKSSVGLGTFVSEFTGEHSATTTKGWSGSPVI -SNGCVIGVHRGVDLDKVNSNKFTIIHQSFFPPGLETMYDYGHIRELDEEQFETRENEFAEAYLNGRGKIF -FSENEFYLDSSKRPQYVPKGRDWREAELEEDDDFFEDKAFLQSVKRDFGAESGGGPPDKVDDLPFGFSVA -RTRRDAEGYSLNGERAGKVQSSPPCTPSVATPSQVDDQSEQLGCPSLTLENRVSNLEKLLEPLLVSVQSL -QEIASLNSKILTGLNAEALRNSIPSCSKPPASKPPPSPTTSGKQSSNLSKSTPEHPKETASKETGTQQPS -KPKSKRSRRRKSKGTPPQGSPLPA - ->YP_004869650.1 polyprotein P2a [Soybean yellow common mosaic virus] -MRHPGLFAYTLLVVNMASFAILLDSFMLGAYRSDHMVPVVALMTLCATVLWLSLSLVSFLYGYVRVRLVP -EAKQERKYYVAHSAPYFDPTLGVMMKFTPNHGGPSIEVQVNPSWISLLDRSLKINGDEHSNESAILGSFY -SAVKPGDEPASLVAIKSGPHTIGFGCRTKIDGEDALLTANHVWNGAAKPDALAKNGKQVAVEDWAVPVSS -DHQMLDFVAVRVPSCVWSKLGVKSTPLVCPSAKDAVTCYGGPSSDELLSSVGNCSPTEFAWKVTHNCPTA -AGWSGTPLYSSRGVVGMHTGFENIGKMNRGVNMFYVANYLLRSQETLPPELSVIEIPFEDVETRSYEFLE -VEIVGRGKAKLGKREFAWIPESGKYWADQDEDELPPPPKMQGGKLVWADAQETLPWLEEPLNCQRAAGLR -PLPPSMRLQATTSQREKLPRLEECPSDLLVSRLASLESCVENLLQKMSLEPPQHSQSSPTTLGPIEAQKQ -SFAPSYCKQESLIPPGSQAILKELVKTSLSATPPPNPVQALDQKPGPSTQSSKKSARRRNRRKSTKRQAQ -GSPSPASLPPTKTS - ->YP_003896038.1 polyprotein P2a [Velvet tobacco mottle virus] -MLSELVQLCLSATMTLALVVSMMLDPSYGWLITMSVMLVSLELTIRPFRRSLDYMKIVVRDEPNEPEVAR -IVGAPTYHPIHGVVCKCLWNSKEFNVVVQPSLWPLAFPPNISQGVVKEGAMIGVPFTSLPSGAEPKSLVV -LYNDGVRIGMGSRVNWQGADYLLTASHVWSLVTGDFQMAKASKMVSVKDAKCYVEAAHAKLDFALIKVPN -KYWSSIGVGSAKLLWHKPGQVVKVYGGRSDELVSSVGRAEKDPDLSLRLTHNASTAPGWSGSPLYNSENF -VVGLHTGFSAAEQRNEAVDVAKLLHLALRTKETTFSEIGVSLIDEDEIESRGYQFDDFELRGEVNVKGKM -ARNEISLIASKNKGKPCYLQEEGDDEFYDSIREKDFLARFREQTGKETVGNLNCQRAAQTLEPPFENLRP -CDGKNPELFKPAGWDSTMLESRLASLERALSTLLAEQSVLLSKFSQNSNSMIGQKEALKPSSIPSSSKPA -VSGEPPVQSKPSVNAKSSLRSTPKVEPTVASGEKTSVNASSSGNKSKRRRRRRKSTTKPAPAPPGQDLKR -PMVSSSPVSKTS - ->YP_002308435.1 polyprotein P2a [Imperata yellow mottle virus] -MASFVAGQLRKGMRMMHLPGHIFLLLGIWLAGLCSDQLPVTSWWWAIPLTIIVNWIASQAAEDFNRLCAG -LKPEPLAKRKFGSMGNPRFDPQVGYVVDVSHGSDVVTVPLPFSLLSGVAGSALYRKTVTEAYAGGSMPTP -VAPDKLPGCVVTLYHGETFLGFGSRVRTPNGQDLLMTNHHIALLEPNAIAKGGDMVECDFGPMKYACHDQ -RMDCCFYNVSPKIWSLLKVSSAPLKPMLRPMACNLFGGVSSTSISTSLAFVRPESNPWFLQHNATTGRGW -SGTPLIHKGAVYGLHIGALGSVNVASNPALYFLTYRREVEVESPELWKSVPNEITNEEYESRRSQGIHFY -ELDFGGDRIKVSDREWVRHSARRAQKTKQLEGGRDWGDVEDDEEWEVTVEAAVSVPPVIGEPPTPSLQSA -SSLQSLHTAPTRKTRRRRKPTATVQVEGEPLNYQRADLLRESPPLEGLFSLGTTNGSTDSFSQPPSPVCP -SQQLEEVIAGLGKELRSLRDLVSQQRLEFSQNLQTMRGQLEDLKQNSNLSESKQAGSSARYRPPHSRKQS -TPSKDDTQTAKSGNVSDKSRGTSKRYVKKSNGSAKQES - ->YP_002158814.1 polyprotein P2a [Sowbane mosaic virus] -MHRSPFGYLLLLISLPAGAVTYDCIRGDCQAGPNSLMMALMTLLTTGMWWVVSLSLFWIERQRYRSAEEK -ISRPKRLRLIGDPYLDPCDGIVGKILDDCTGLVQEVVIQPKWWRFISMSSPTINQDEDNECAILGNSYSQ -VVPRSEPGSFVLLKVNEEVVGAGCRVIYDGGDYLLTAHHVWSQAPNHIAKGGKTVEISMEMKPYLSSKNK -VLDFCLVPVPAAVWSNLGVKSSKIASLHQRSNVTVYGGTASTMLLSSFGIAEADDNPLRIIHKASTARAW -SGSPLYNSNGLVLGVHLGYDQLGSTNRAVNIGYVLRTTSSNETAPPDLNFVEITEDEAVDRPSFDEYEIE -GFGKIRTRGREYYIPRNKDWNKYDDEDDDAFFDVPVALWLNSNETVEKPLNFKGAASSPRLPPLLSSGIT -PGKAVDTIRKESDYNLLVGRLVSLERALEKLSQSVLSLQVKPSQSCSTTIGQPEDQKLSLARFSSKQSGS -DAPSPQKTSPAVVTNSPAGTQLPGPVAASEPINGLGQQSKKKSRGSRRKGEKSAKTPAPVAH - ->NP_941376.1 polyprotein P2a [Cocksfoot mottle virus] -MGCSVVGNCKSVMLMSRMSWSKLALLVSVAMAAAMTDSPPTLICMGILVSVVLNWIVCAVWQEASELILG -VSLEATRPSPARVIGEPVFDPRYGYVAPAIYDGKSFDVILPISALSSASTRKETVEMAVENSRLQPLESS -QTPKSLVALYSQDLLSGWGSRIKGPDGQEYLLTALHVWETNISHLCKDGKKVPISGCPIVASSADSDLDF -VLVSVPRNAWSVLGVGVARLELLKRRTVVTVYGGLDSKTTYCATGVAELENPFRIVTKVTTTGGWSGSPL -YHKDAIVGLHLGARPSAGVNRACNVAMAFRVVRKFVTVENSELYPDQSSGPARELDAETYTERLEQGIAF -TEYNISGITVKTSDREWTTAEALRVARYKPLGGGKAWGDSDDEDTQETAIRPLNYQRAGSLRGSPPLANL -SSTRATSGVTKESSIPTACLSDPLESRVAGLEKLCAERFTEMFELLRQSSQNSKSSPGQAADQKQKSDRS -SSKPAGLRESKRPPICNWQSLTSKPSTRGPDPAPASAESPGVAKTSSQKSKRSRTRGKSTSKQVPASPSP -KSGSATSK - ->NP_736593.1 RNA-dependent RNA polymerase [Sesbania mosaic virus] -TVAVENLNCQRAAGSRPLPPSLNLHATSSAKEKSPLPKACHSDLLGERLASLESCVEKILQKMSCEQYLP -SQSSQTTLGQSEAPKLSLAPCYYKQESLILPESQQTLKELVKTSLSATPPPNPVAALDQKPGPSTQSLKK -SVRRRNRRKSTEKASPGVPLSRLASTNKDLLKRHLQLVALCVTERLFLLSEAPDLHYLSPTQLVELGYCD -PVRIFVKQEPHASRKVREGRFRLISSVSLVDQLVERMLFGPQNQLEIAEWERIPSKPGMGLSLDRQARSL -FGDLRVKHSRCPAAEADISGFDWSVQDWELWADVEMRVVLGGFGQTLARAARNRFSCFMNSVFQLSDGTL -IEQLQPGIMKSGSYCTSSTNSRIRCLMAELIGSPWCIAMGDDSVEGWVDGAKDKYMRLGHVCKDYKPCAT -TPEGDLYEVEFCSHVIRANRCWLASWPKTLFKYLSEAKWFFEDIERELETSPHWPRIRHYLVGNTPSPHK -IGKSSPPYGEKAFETTVSQGYSEHSGDATPAESWAEAKPEEAAFCCAAASAYPGWNIHGPVCSGCYGADS - ->NP_715628.1 polyprotein P2a [Subterranean clover mottle virus] -MNTDTIRAIFLYWMSLALSMAAVVQLETKVDLSNHQSLVMTTAILLPALSVLFGLRVWRRWKVVIVKEVN -PREVINLVGEPFLDPVRGVLMNGISTSGGTFEVLIEPKWWHLFPRSAISKDGEKECAIFNAGYSSVLPGT -EPTSLVMLKAKDLAVGFGARVRFNGCSDYLLTAYHVIKPHEKLNLCKGGYMVEDVDLAVTCGSDHDAVDF -ALIKVPPAVWSKLKVGVGKLEPMTKKTHITVYGGSDSTRLLSSSGPAYKGKAGYAIIHEASTTKGWSGTP -LYSGNTIVGVHTGSGQVGYSNRAVNVKLLLTAVSKFETIFSEISYGELDEDNYLLRNRDDFVEVEILGKG -KFLLGDSSFVDITGKSLGWEKEKRARGEALWHDASDDDFYEDANFLADFYKDSKETVDDIMLEHLNCQRV -ATTSKVVSPPSLSLRPMSGRTSLRVSPHEGCPLPTLDDRVASLEKLLERSLEQLLQLQVSSSQNSKDIAG -LTEVQKQSVALSSIKREGSNQPPRQENSTKQSSSLPQSTQKQSPEPASGESSGIKKKSRRKLRKSDKRKS -TPKPPPASHSPSWVKQTKKC - ->sp|Q89504.2|P2A_CFMVN RecName: Full=Polyprotein P2A; Contains: RecName: Full=N-terminal protein; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg; Contains: RecName: Full=Putative protein p10; Contains: RecName: Full=Putative protein p8 -MGCSVVGNCKSVMLMSRMSWSKLALLISVAMAAAMTDSPPTLICMGILVSVVLNWIVCAVCEEASELILG -VSLETTRPSPARVIGEPVFDPRYGYVAPAIYDGKSFDVILPISALSSASTRKETVEMAVENSRLQPLESS -QTPKSLVALYSQDLLSGWGSRIKGPDGQEYLLTALHVWETNISHLCKDGKKVPISGCPIVASSADSDLDF -VLVSVPKNAWSVLGVGVARLELLKRRTVVTVYGGLDSKTTYCATGVAELENPFRIVTKVTTTGGWSGSPL -YHKDAIVGLHLGARPSAGVNRACNVAMAFRVVRKFVTVENSELYPDQSSGPARELDAETYTERLEQGIAF -TEYNISGITVKTSDREWTTAEALRVARYKPLGGGKAWGDSDDEDTQETAIRPLNYQRAGSLRGSPPLANL -SSTRATSGVTKESSIPTACLSDPLESRVAGLEKLCAERFTEMFELLRQSSQNSKSSLGQAADRKQKSDRS -SSKPEGLKESKRPPICNWQSLTSKPSTRGPDPAPVSAESPGVVKTSSQKSKRSRTRGKSTSRQVPASPSP -KSGSATSK - ->sp|O73564.2|P2A_SBMVA RecName: Full=Polyprotein P2A; Contains: RecName: Full=N-terminal protein; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg; Contains: RecName: Full=Putative protein p10; Contains: RecName: Full=Putative protein p8 -MYHPGRSPSFLITLANVICAAILFDIHTGGYQPGSLIPIVAWMTPFVTLLWLSASFATYLYKYVRTRLLP -EEKVARVYYTAQSAPYFDPALGVMMQFAPSHGGASIEVQVNPSWISLLGGSLKINGDDASNESAVLGSFY -SSVKPGDEPASLVAIKSGPQTIGFGCRTKIDGDDCLFTANHVWNNSMRPTALAKRGKQVAIEDWETPLSC -DHKMLDFVVVRVPKHVWSKLGVKATQLVCPSDKDAVTCYGGSSSDNLLSGTGVCSKVDFSWKLTHSCPTA -AGWSGTPIYSSRGVVGMHVGFEDIGKLNRGVNAFYVSNYLLRSQETLPPELSVIEIPFEDVETRSYEFIE -VEIKGRGKAKLGKREFAWIPESGKYWADDDDDSLPPPPKVVDGKMVWSSAQETVAEPLNYQRAAGSRPLP -PFLNLQATTSKKEKQPLQEECPLDLLGSRLASLESCVEKILQMKSLELLGSSQNCQTSPGPSEAPKQSFT -PCYSKQESLIPLESQGILKELVKTSLSATPPPNPVTVSVEKPGPSTQSTKKSARRRNRRKSTRKPVQESP -SPASPQPTKTSLRGI - ->sp|O72157.2|RDRP_SBMVA RecName: Full=Replicase polyprotein P2AB; Contains: RecName: Full=N-terminal protein; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=RdRp -MYHPGRSPSFLITLANVICAAILFDIHTGGYQPGSLIPIVAWMTPFVTLLWLSASFATYLYKYVRTRLLP -EEKVARVYYTAQSAPYFDPALGVMMQFAPSHGGASIEVQVNPSWISLLGGSLKINGDDASNESAVLGSFY -SSVKPGDEPASLVAIKSGPQTIGFGCRTKIDGDDCLFTANHVWNNSMRPTALAKRGKQVAIEDWETPLSC -DHKMLDFVVVRVPKHVWSKLGVKATQLVCPSDKDAVTCYGGSSSDNLLSGTGVCSKVDFSWKLTHSCPTA -AGWSGTPIYSSRGVVGMHVGFEDIGKLNRGVNAFYVSNYLLRSQETLPPELSVIEIPFEDVETRSYEFIE -VEIKGRGKAKLGKREFAWIPESGKYWADDDDDSLPPPPKVVDGKMVWSSAQETVAEPLNLPAGGRVKALA -ALSQLAGYDFKEGEAASTRGMPLRFVGQSACKFRELCRKDTPDEVLRATRVFPELSDFSWPERGSKAELH -SLLLQAGKFNPTGIPRNLEGACQNLLERYPASKSCYCLRGEAWSFDAVYEEVCKKAQSAEINEKASPGVP -LSRLASTNKDLLKRHLELVALCVTERLFLLSEAEDLLDESPVDLVRRGLCDPVRLFVKQEPHASRKVREG -RFRLISSVSLVDQLVERMLFGPQNQLEIAEWEHIPSKPGMGLSLRQQAKSLFDDLRVKHSRCPAAEADIS -GFDWSVQDWELWADVEMRIVLGGFGHKLAKAAQNRFSCFMNSVFQLSDGTLIEQQLPGIMKSGSYCTSST -NSRIRCLMAELIGSPWCIAMGDDSVEGWVDGAKDKYMRLGHTCKDYKPCATTISGRLYEVEFCSHVIRED -RCWLASWPKTLFKYLSEGKWFFEDLERDVSSSPHWPRIRHYVVGNTPSPHKTNLQNQSPRYGEEVDKTTV -NQGYSEHSGSPGHSIEEAQEPEAAPFCCEAASVYPGWGVHGPYCSGDYGSLT - ->AAA64287.1 unknown [Rice yellow mottle virus] -MGSSVVGRFLTRTKETTRVDSNLLIVLAGLIAAAIVSERLPVTASLWAIPSAIIANWIVLSAHESFSRFV -EGVEIEPMSTLRYGKVQSAPRFDPSRGYVVDVSYNGHVIPVILDFTTTTALSVPQRVNPGVSMEASRGGL -PPTSVKLEDVPPSVAVLYHDSVRLGLGTRVRTPTGRDLLMTNHHIAALEPNGIAYKGHLKKVALDAPVIA -CDHPHIDCAFYEVPPKIWSLLGVKSASLKPLVKQTAVSLFGGSSSTDFSSCVGIAQIGDNPFLIRHQSTT -CSGWSGSPLYHKGCVVGLHIGAADGYNVASNVAWYFHTFKKEVVAESPFEIYGKFREANSEEYDESLKHG -VEYAEYDFSGDTIRASSNTWVRERERYHAEERRKSGQPSWADRFGDDSGEDVDIETSHPIAPSISRTRRK -RSKRVEQFVDAVSECSFSFESAHEGITPETSAYDHVPLNCQGAGSSLRASPPLDGLSNSENTAGTPSVTP -SLPTECPSATLESRVSSLENMLGKVSAQLSKTQSQYSQILKDLSVLRGEVKQSLTSLILQAGRFNRTVCP -SGLAQAVQSLQERYPKVPPRRCLRDEWRFDDIFDEVERILCETGEVNSASSPGVPLAGLANSNGEVRGLA -RDLVCLAVVERLNPLPSVDPPQHNWTPRELVEKGLCDPVRLFVKNEPHPRKKLLERRFRLISSVSLVDQL -VERMLFGPQNNTEISTWWQWPSKPGMGLLTPEQIRLVWDDVFQKHQAHPAAEADISGFDWSVQDWELWSD -LAIRINRGNFQGNLRRAAISRYYCFMNSVFQLSDGTLIQQELPGLMKSGSYCTSSTNSRIRCLMGELIGS -PWCIAMGDDSVEGWIEGGQSKYAALGHTCKEYYPCKTRGRELLEFNFCSHLIRRGHAELTSWPKALFRFL -SSKHEDFEDLWVELHTCGVWGRIERYLRGIGRVSHKDSQEGQENQPQPGAARKEEEPASTWAFGGTPAST -GSSGSGLPDIWDGSWSTIF ->AAA66950.1 p96 protein [Southern bean mosaic virus] -MYHPGRSPSFLITLANVICAAILFDIHRGVTNPAHYPIVAWIPRSLLCLVERVIRDIPYKYVRTRLLQRR -RLPGYIAQSAVFVPLVYTDLIARMSETAWTTGTGYQLMSTGIRNADIVDTSTGSFTESADGYQQSRSVLC -RHWPEERLRLAVYSDSEPRSIGPPRTVMMRLMVILLFTGHHVWNNSMAAPTALAKAGKQVADSTDWQTPL -SCDHRCLICSGACAKTVWSKLGVKATQLVCPSDKDAVTCYGGSSSDNLLSGTGVCSKVDFSSKLTHSCPT -AAWSEWNTDLLCRGVVGMHVGFEDIGKLNRGVNAFYVSNYLLRSQETLPPELSVIEIPFEDVETRSYEFI -EVEIKGRGKAKLGKREFAWIPESGKYWADDDDDSLPPPPKVVDGKMVWSSAQETVADALNYQRAAGSRPL -PPFSTCRLRLQRRRSSLYKRNALRFVGQSACKFRVCRKILQMKSLELLGSSQNCQTSPGPSEAPKQSFTP -CYAKQESLIPLESQGILKELVKTSLKAATPPPNPVTVSVEKLVLRQQSTRSLQEERNRRKSTRKSSPGVP -PLPPRLNQQRPPLRHLELVALCVTERLFLLSEAEDLLDESPVDLVRRGLCDPVRLFVKQEPHASRKVREG -RFRLISSVSLVDQLVERMLFGPQNQLEIAEWEHIPSKPGMGLSLRQQAKSLFDDLRVKHSRCPAAEADIS -GFDWSVQDWELWADVEMIIVLGGFGHKLAKAARNRFSCFMNSVFQLSDGTLIEQQLPGIMKRSLDLLTNS -RIRCLMAELIGSPWCIAMGDDSVEGWVDGAKDKYMRLDTRADYKPCATTISGRLYEVEFCSHVIRKIDVG -WRRGLKLCLNTCLRASGSLRT ->AAA46565.1 ORF [Southern cowpea mosaic virus] -MYRPSCLSYVLLVANMWSFAVCANAFIYGSYDPSHNIPIVALMTLCATGLWLSTSVVSFGIRYVRVRVSP -EKTQNRTIYVSSGLPHFDPVYGVVKKCEPMGGGPAIELQVNPSWIHLLPTSPAINKVEVGQESAILGSTY -SVVETGGEPKSLVAVKSGDSTLGFGARVYHEGMDVLMVPHHVWYNDKPHTALAKNGRSVDTEDWEVEAAC -ADPRIDFVLVKVPTAVWAKLAVRSTKVLAPVHGTAVQTFGGQDSKQLFSGLGKAKALDNAWEFTHTAPTA -KGWSGTPLYTRDGIVGMHTGYVDIGTSNRAINMHFIMSCLVSKMETLPPELGYREISLEDVGLRSFEFLE -VEIENRGKVKLGKREFAWVPKGKAWADMLDDDDLPLPPKMVNGNLVWADAQESFDGALPLNCLRAAGRNV -LPPKLNLVTINSPVDPPTKQVACPSEIVDHRLASLEKCLENLLQTLSQPQQKFSQNSLSSGGLKGDQELK -LAPCYSKQESLFPPKPRATSSKPITTSSPGTPGRSPLPVSGKELGPSTQSSSKLSRKQRRRRSTEKASPG -VPLSRLATTNKDLMAQHMQFVAACVTGRVPLLASFEDIHALSPTEMVEMGLCDPVRLFVKQEPHPSRKLK -EGRYRLISSVSIVDQLVERMLFGAQNELEIAEWQSIPSKPGMGLSVIHQADAIFRDLRVKHTVCPAAEAD -ISGFDWSVQDWELWADVEMRIVLGSFPPMMARAARNRFSCFMNSVLQLSNGQLLQQELPGIMKSGSYCTS -STNSRIRCLMAELIGSPWCIAMGDDSVEGFVEGAREKYAGLGHLCKDYKPCATTPTGQLYAVEFCSHVIK -RNKAFLTSWPKTLYRFLSTPRETLEDLERELASSPMWHKIQSYVRSIPSPDKTARDKSICNGYPLDQEAI -STSYSEYSSKSASAEATREAACCAGAQAYPSWGIHGPYCSGDHGEA ->ALD89112.1 RNA-dependent RNA polymerase [Rhizoctonia solani barnavirus 1] -MPCPRGVERRIYDKLSNWRWPRKENAAVKKSLEIHAEGLRREEGRRVPSRTEREAVLKVIREGYEYPNTR -VPAGFSRGEVEGWAEGRYEVTVEDFESVKDSIQRDLNKDSTPGYPYVALGGKNKQVMENYGSFIWNTVAQ -SFNNALRLGDKVFSMSPSELVKAGVCDVVKVFIKDEPHSLKKIESGKLRLISSVSLVDQIKTRLLCRTQN -LNEIESWESCPSKPGLGLHDDGLQVIAANIKRFLSQGVVAEADVSGWDWSVQDWELEFDAECRAALAGAD -PKGVFAFLLRVHAYCVANSVYVLPNGEMYEQTVPGAQLSGDYNTSSTNSRMRVCASLFSRLWAGKPLLVD -GRIPVSAMGDDSFELDFPELQENMRSIGHNVRFVKRSTSLQGVEFCSQVFDEDGFAAPADPSKTVYRFLS -HKVTFSEYPELWAQLSWYLRHQKKGDERDIISGLGFARIELANKLNGFTSTEER ->AGP50166.1 polyprotein P2ab [Turnip rosette virus] -MLSLRSIVKLIVAVLNIMFVATIGVCTRMLAPEMPINWNLVALLLAPVLVLTASELLTELRKRMVHTVKE -EDLPVPLSLDSTPRFDPIYGITSTVTVDGKVHQVVIQPEYWHLVSPNRSQDGNKETVCIDRMSTVTPAGK -EPPSLVTLKVGDRVVGMGSRVSWGGNTYLLTAAHVCALHKEIYIYKNAVGTPLGVGWTRRYGATHKTADF -TLIEVPPTVWAKLGVKAASLQPLNKLSVVTVYSANSSTVITSSSSRAVTQEYRHVIIHSCNTTAGTSGSP -LYSGDNVVGVHLGTEVTMQSNRASNVGLILGAFHESIISQGTLSEISVDEAADRDYDFMDFNVEGLGRLS -MGKGEFYLQNNRGTTIEEIRKKGRKIWTEDFDSDSDDGIFETLPVSSLNLPAGERRDCLLALLEFGNYQW -KQGHVTEDGIGLKEVGKSGVTFSEPKKKGTKAITEAFSREVPEVANYAWPQRGSKAERKSLYLQASRFRR -TEEPSGIGEVVERLLTEYPATNCPPEFKGRWDYQDIYEFVTNVARSSDINGKASPGVPLSSIAAKNEVLV -TRHLDFLVHAVVQRLFHLSDEILPEKPSPEWLVSQGYCDPVRVFVKQEPHPMRKLDEGRVRLISSVSLVD -QLVERVLFGRQNRKEITQWKSIPSKPGMGLSLTEQMKSVFEQVSSLAASREAAEADISGFDWSVQEWELN -LDLEVRLRLGNFPPKLELAARNRFKCFMNSVFQLSNGELISQVSPGLMKSGSYCTSSTNSRIRVAMAYLI -GSPWCIAMGDDSVEGYVTNAREKYESLGHICKDYLVCQKKKGELDGFNFCSHWISRSHSYLDSVGKTLYR -FLESSNEDLEILEAELGTHPRWGEIVSKLELVGRIRAKQNEERGQGPNEEPTAKGPKEENSIWQDECSGY -THMGSGFDWYRNAGWSTVN diff --git a/seq/clusters_seq/cluster_570 b/seq/clusters_seq/cluster_570 deleted file mode 100644 index 4e06db4..0000000 --- a/seq/clusters_seq/cluster_570 +++ /dev/null @@ -1,32 +0,0 @@ ->NP_041252.1 tat protein [Ovine lentivirus] -MEEAPRRRPGGSREAEGIFRYYEDWECWDHVSQRVPNEVLQRWLAMLTNNQLRRKVIREAQIWMWKNANA -PIRRNCGCRLCNPGWGSQVRDVEL - ->NP_040941.1 protein S [Caprine arthritis encephalitis virus] -MSEELPQRRETHPEELVRNVRERERDTWQWTSIRVPAEILQRWLAMLRSGRNRKKVYREMQKWMWIHPKA -PVIRACGCRLCNPGWGT - ->NP_040842.1 tat protein [Visna-maedi virus] -MEEVPRRQPGGLVEVEGVFQFYEDWECWDYVSQRVPGERLQRWLAMLTNNQLRRQVIREAQIWMWKHKGA -AVRRNCGCRLCNPGWGSQVRNVEL - ->sp|P21124.1|VPRL_CAEVC RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -MSEELPQRRETHPEELVRNVRERERDTWQWTSIRVPEEILQRWLAMLRSGRNRKKVYREMQKWMWIHPKG -PVIRACGCRLCNPGWGT - ->sp|P23429.1|VPRL_VILV2 RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -MEEVPRRQPGGLVEAEGVFQVYEDWECWDYVSQRVPDERLQRWLAMLTNNQLRRQVIREAQIWMWKHKGA -AVRRNCGCRLCNPGWGSQVRNVEL - ->sp|P23428.1|VPRL_VILV1 RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -MEEVPRRQPGGLVEAEGVFQVYEDWECWDYVSQRVPDERLQRWLAMLTNNQLRRQVIREAQIWIWKHKGA -AVRRNCGCRLCNPGWGSQVRNVEL - ->sp|P03408.1|VPRL_VILV RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -MEEVPRRQPGGLVEVEGVFQFYEDWECWDYVSQRVSDERLQRWLAMLTNNQLRRQVIREAQIWMWKHKGA -AVRRNCGCRLCNPGWGSQVRNVEL - ->sp|P21125.1|VPRL_CAEVG RecName: Full=Probable Vpr-like protein; AltName: Full=Protein S; AltName: Full=Protein Tat -MSERLPQRREVHPEERVRNIWERERDTWQWTSIRVPEEILQRWLAMLRSGRNRNKVYREMQKWMSIHPKA -PVIRPCGCRLCNPGWET - diff --git a/seq/clusters_seq/cluster_571 b/seq/clusters_seq/cluster_571 deleted file mode 100644 index 9f6e62d..0000000 --- a/seq/clusters_seq/cluster_571 +++ /dev/null @@ -1,66 +0,0 @@ ->YP_224078.1 N [Taro vein chlorosis virus] -MSYINIPDDVVSKYSDDLKTFTQKAGEIPSSKSLIPQTAYTIAALKTKLKFWEVTAKDDPTIASDWAGVC -TAITAGTFSATNLKTVCELAFNLRKPHETGNVFIHTVPSDWTSSISTDSVDTTPIPATESDATLSTVSAA -VQSGAAEDAATKAKAISFLCCALIRLSVKEPSHIMTAITSIRQRFGSLYGLASATLNAITFTRQQLSRIK -QGIETYSLARGTIFYYVRYADTTYGSSDKSYGVCRFLLFQHLELEGMHIYKMILALLTEWSTVPIGLLLT -WIRNPKSALAVVEIKNIITNFDKAGVDKSWKYSRMIDNTFFLNISSRRNVYMCALLASLNKRHVPQGVGD -YADPRNIAVIKAMDAAVKNQVAIDVTLVERIYEKYLISAGSTDAGTAYTLSRGTKRPNPAVFMSHQQAEG -HPTKKRTWKSMVPPPPTLQLEDQQQRRLSPELCEDCFSELLRGKHHRILPPPVIPSSSSEVLFSKSRIME -ISGGCSFDPIGF - ->YP_010087196.1 nucleocapsid protein [Morogoro maize-associated virus] -MSDINIPDDVVNKYSDDLKQYTQKAGEIPSSKSLIPQTAYTVDTLKTKLKFWEVTHKEAATIATDWNTVT -TSLNGGSFSAANLKTLCELAFNLRKPHEAGDVFVHTIPSDWSGGLTTEAVDTSPIPATENDVTVSTVSAS -TPSGSTEDAEIKAKAISFLCCALLRLSVKEPSHIMSAITSIRQRFGSLYGLSSNMLNTVQFTRQQLSRIK -QGIETYPAARGTIFYYIRYADTQYSNSDKSYGVCRFLLFQHLELEGMHIYKMLLALLTEWSTVPIGLLLT -WIRNPKSALAVAEIKNIVTNYDKPSLDKSWKYARMVDNTFFLNIASRRNVYMCALLASLNKKHVPQGSGD -YADPRNIAVIKTMDAAIKNQVAIDVTLVERIYEKYLVSAGSGEAGTAYSLARGVKRSSPAAAQPSTSAGG -SGDGQREQMEVDQPAGNTNNEQGGSAAKKARSGNL - ->YP_010086812.1 nucleocapsid protein [Wheat yellow striate virus] -MDTSLSGSQKYLGPRKRRHIPNHGKNITHRQGASCSSISHFKNPNNNFTGTMAQNPNVANYANAAPLPRF -EGLGDRENLAPIGNEAVEIPYQKEAYLAWINEGRVFQVNQLTDEQMIQMWETVKTSMQGNTFSEQHMRDI -VQMACNLKGVDPATKPLYRQYEMPENGRWADAPSQDPIFSGQQVAGVIVPLQEAQPLVEDVSGKARAIGF -ICGFLLRFIVKTEEHLNNSLANLKLQFSRIYGVQSATINQWNPTTTWASRIKLAFDTYLTLRATVALHVA -LADGNLNADNVNFGLCRMLVFQHLELSGLQLYKMTMTLISHLNLISPAKFLSWVYDPLAEKPITQIYTIA -TTHDTRDRQDQKHWKYAKLARGQYWLDTTVKRNQFFAYVLADLEVRYGLGGKTEYSNPKRMKALDGTPVE -TRNDAESVAAAFQQMYRVIEDEKRREAGAAFRLARGMPPPPNPPPAAGGQGGGVGAQGLGNVQAPPGAGG -QVIPPGNLPPPPAAPPAGAAGQAPGQQDQQINPPGQVPMDLDQRARDAAALGLV - ->YP_009444708.1 nucleocapsid protein [Maize Iranian mosaic nucleorhabdovirus] -MASITIPVEQVTKFSDDVKSLTQKASEIPSSKSIIPQTEFSDAELKKTLKFWEVTAMDDATMVTQWSGVQ -SALAGGTFSAQNLKELCQLAFNLRKPHEPGHVFIHNIPDSWKAYLEAATPDGTAIPATENESTMSTIGAA -AATGSADETAPNKAAAIAFLSCTLMRLAIKEPKHIMDAMTNIRSRYGSLYGRSSPFLNSVTFNLSQLSRI -KQGLETYAVARGTLFYYTRHADSTYTYTTPSYGICRYLLFQHLELEGMHIYKMLLALQTEWATVPMRLLL -TWIRNPRSKLAVLEIVKILTNWDKANVDKGWKYARLVNNTFFLNLSSRRNTYLCAVLAALNKKFVPQGTG -DYANPNNIAVIKNMDSAVKKQVSTDVQIVERIYDIFLASSGSDDAGTAFTLSRGVKRTSTQQSRDENAMD -TDTGAGEGSGEPKAKKGKQNPGGQL - ->YP_052850.1 N [Maize mosaic nucleorhabdovirus] -MANINIPDDLVSKYSEDVKDLTQKAGSIPSSKSLIPQTAFTPDELRRSLKFWQVTTKVAATVASDWTNLA -TALTNGTFSATHLRTLCELAFNLKAPTGTGTIFIHEIADPWKGCIDANAPDTVAIPATDSDVNMSTVSSG -STASGTEDAEVKMKAISFLCCTLLRLSVKEPSHIMQAINSIRQRFGSLYGVSSPTLNSVTFNRSQLSRIK -QGIETYPSARGTVFYYTRYADATHGYTTKEYGICRFLLFQHLELEGMHIYKMLLALLGEWSTVPIGLLLT -WIRNPRSKLAINEIVKIVKELDKPEVDKGWKYARMVNNTFFLDLSSRRNTYLCAVLASLNKKNVPQGSGE -YADPTNIAVIKNMDQSVKSQVATDVTLIERIYEKYLVSAGGDEAGTAYALSRGVKRSSPPSQEGGQSGQS -GGTPMEVDGASGRGAAGPAPKKTRSGL - ->YP_052843.1 N [Maize fine streak nucleorhabdovirus] -MNYNRLKFEDAFLTPSADYAAFKTPLPYPDLTTGPTEVPYTKEKLITASYPYWTMTKVNSGDIKTLGKTV -LTKLSDKKITEKTLFDMCRIALSLKAPNGQDVFKIPTVTFAGAKTGGQDDTNLVPVPSDTSKTTEYLQTV -TSKSSTSQATQKKSLSTYVDNDANYETAIPYFLCSFLRLIVKQPESWRRAFGDIKEQYGKFYGKTSNLIT -NAEDDLSIASVVKVAFDTFKPIVNFIAYVAGETDKGLSPNTKEHGMFTYFVGQHLSFVGMHVYPMVAELM -QKCKGIKQDLFLTFLDVDETKESVKEICRIMTTYDAPSQTDRASRDFLWKYARVIDEGFFLKLQNKKNKE -FLYGLALIHEKMGLVRSVSYAKPTNMAILQNQDGLRADAEEYANLFVFLYKKATGQKEGASPMDQLRSQL -AGERVTATIAEASAPKRSSDGTGNVSKKKSRKDDTGIASMEF - ->NP_620496.1 nucleocapsid protein [Rice yellow stunt nucleorhabdovirus] -MANDNVSDYANAAPFARFANLQNRETLNPIGNEAKEIPYNRDQYLTWLAEGKLFQIGALTDAEIVAAWTT -IKTAMGNNTFSETHMRSIVKIACNLRGITPGSTPLLVTYNPPQSATWAPAPSTDAIYSGTPVAGVIIPQN -TGAGGEDTETEASKARAIAFICCYLLRFIVKTEEHLTNSLGNLKLQYSRLYSAQSATLSNWNPSNTWASR -VKLGFDTYLTLRATVAYNIASADALLVPENVNYGLCRMLVFQHLELSGLQLYKMAMTLIAHFKLIEPNKF -LSWIYDPLSEASIDQIYKIAVNYDNVNSKTHKHWKYAKLARGQYWLNTTVKRNQFLAYILADLELKYGLA -GKSDYSSPKRMKALSGMPVERMTEAETISKAVEQMYTAIESAKRVDAGAAYRLAKKLGPPRANAHSRRKE -PNNSRQHRDKQPNSKQQGRDKRNKHQVLGRHSKPQAQGPPNKQQDLGPHNNKPKEASRPPQQDRQQLAQP -WRLTRRQRGARGHRTQTLSGMFCKGTCQCIQ - diff --git a/seq/clusters_seq/cluster_572 b/seq/clusters_seq/cluster_572 deleted file mode 100644 index 98cdb0a..0000000 --- a/seq/clusters_seq/cluster_572 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009825015.1 5b protein [Duck coronavirus] -MNNSKDNPFSTATARKARVFIRGGLNSVFFLNEKGQPEVCPFCTALVARGQLSQEHLFNNNILSWQAVKQ -LESQTPPRQSSN - ->YP_009755907.1 ORF8b [Canada goose coronavirus] -MNNPFATATARKARVVCLNRGARSVYFLNKQGLPVPCPHCTTLVVRGLLCEEHQFDDNIYIGWHPEGTLE -HARTRVGNRMLQHRF - ->YP_009825004.1 5b protein [Infectious bronchitis virus] -MNNSKDNPFRGAIARKARIYLREGLDCVYFLNKAGQAESCPACTSLVFQGKTCEEHKYNNNLLSWQAVRQ -LERQTPQRQSSN - ->YP_001941173.1 5b protein [Turkey coronavirus] -MNNSKDNPFRGAIARKARIYLREGLDCVYFLNKAGQAEPCSACTSLVFQGKTCEEHINNNDLLSWQAVRQ -LARQMPQRRSSN - ->NP_040837.1 5b protein [Infectious bronchitis virus] -MNNSKDNPFRGAIARKARIYLREGLDCVYFLNKAGQAEPCPACTSLVFQGKTCEEHIHNNNLLSWQAVKQ -LEKQTPQRQSLN - ->sp|Q80RZ3.1|NS5B_IBVM RecName: Full=Host translation inhibitor 5b; Short=ns5b; AltName: Full=Accessory protein 5b -MNNSKDNPFCGAIARKARIYLREGLDCVYFLNKAGQAESCPACTSLVFQGKTCEEHKYNNNLLSWQAVRQ -LERQMPQLQSSN - ->sp|P19745.1|NS5B_IBVK RecName: Full=Host translation inhibitor 5b; Short=ns5b; AltName: Full=Accessory protein 5b -MNNSKDNPFRGAIARKARIYLREGLDCVYFLNKAGQAEPCPACTSLVFQGKTCEEHIHNNNLLSWQVVRQ -LERQTPQRQSSN - diff --git a/seq/clusters_seq/cluster_573 b/seq/clusters_seq/cluster_573 deleted file mode 100644 index af35047..0000000 --- a/seq/clusters_seq/cluster_573 +++ /dev/null @@ -1,38 +0,0 @@ ->YP_009256203.1 nsp 7b protein [Ferret coronavirus] -MLILTLTVLSLFSNSLGQEDGKHQHPTYNWERLEYFEGSYIEVDKSVVLSLPLDAKLHCGLVDGVLCKFP -GLEAAYDDHVDYYLDVDSPFYKFVDTFYVAKFTDGKFDNRATLKFLPRNSKNKMLVIGCSLNDPSLDLPF -GTKIYDDMDMTLEVDHVPCTNRRYFVKYCPGGPNHFCFKDKLVVRRFRAFFPVSNKIEHDDL - ->YP_009019189.1 hypothetical protein orf7b [Mink coronavirus strain WD1127] -MQAQVVVILSLLALALGTNDHVHPTYDWKTLEMFYGRTLFIAESTTLSLPLDAHLHCGVISGKRCVFPGY -IYQAKDHVDYRFDIQSPFYMFVNEFTITRDNSGDVVYVKLLTTVSKVKMLNVGCNRLAPMLNLPFGTKIY -HDKDRQLEVEGRAVPCTNRKYFIEFCPSGPNDFCFYDVLRIFDLDTFKGRKGRANVLLSLKDEL - ->YP_004070201.1 non-structural protein 7b [Feline infectious peritonitis virus] -MIVVILVCIFLANGIKATAVQNDLHEHPVLTWDLLQHFIGHTLYITTHQVLALPLGSRVECEGIEGFNCT -WPGFQDPAHDHIDFYFDLSNPFYSFVDNFYIVSEGNQRINLRLVGAVPKQKRLNVGCHTSFAVDLPFGIQ -IYHDRDFQHPVDGRHLDCTHRVYFVKYCPHNLHGYCFNERLKVYDLKQFRSKKVFDKINQHHKTEL - ->sp|Q7T6S6.1|NS7B_CVCBG RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor -MKFLIIVLCLSLVNGYGIKRNVQEHDLKDPHEHPTMTWEILERFVGNTLYITTPQVLSLPLGAEVRCDDI -EGFSCSWPGYKDYAHDHIDFHFNPSNPFYSFVDTFYVSLGDRVDKIYLRVISATSREKMLNVGCHTSFSV -NLPIGIQIYHDKDMKLLVEGRHLECAHRVYFVKYCPYHAHGYCFDDKLKVYDLKRIKSRKAFEKVSQHQK -SEL - ->sp|P36302.1|NS7B_CVCAI RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor -MKFVILVLCLSFVNGYGIKRNVQEHDLKDSHEHPTMTWELLEKFVGNTLYITTPQVLALPLGAQIYCDEI -EGFQCSWPGYKNYAHDHTDFHFNPSNPFYSFVDTFYVSLGDSADKIYLRVISATSREKMLNIGCHTSFSV -NLPIGTQIYHDKDMKLLVEGRHLECAHRIYFVKYCPYHTHGYCFDDKLKVYDLKRVKSRKDFEKISQYQK -SEL - ->sp|P33467.1|NS7B_CVFE3 RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor -MIVVVLVCIFLANGIKATAVQPDLHEHPVLTWDLLQHFVGNTLYITTHQVLALPLGSRVECESVDGFNCT -RPGFQNSAHDHIDFYFDLSNPFYSFVDNFYIVVEGNQKINLRLVGAVPKQKRLKLSYKATRCLKLVFPRN -YWSSRCLLLYRMVSTCNGRYKQPHCILGSLDLIWQC - ->sp|Q04704.1|NS7B_CVCAK RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b; Flags: Precursor -MKFLIFVLCLSLVNGYGIRRSIQEYDPKESHEHPTMTWELLEKFVGSTLYITTNQILSLPLGAEIYCDEI -EGFPCSWPGYKAYAYNHIDYHFDLSSPFYSFVDKFYISLGDREEKIHLRVVGATPKDKRLTVGCRTSFSV -NLPIGTQIYHDKDMQHLVEGRHLECAHRVYFVKYCPSHSHGYCFKDKLKVYDLKRVKSRKCFEKINQQQK -SEL - diff --git a/seq/clusters_seq/cluster_574 b/seq/clusters_seq/cluster_574 deleted file mode 100644 index b414033..0000000 --- a/seq/clusters_seq/cluster_574 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_008470973.1 coat protein [Ageratum latent virus 1998] -MNTLNKSPDLPSNAMSTSRSFNNRNCQVCFDELDALANNCPRHGKVNQPSNRQRRNARRAANYRNQTAMV -RPVPVVPVSRPKTTASLKLPGNQVWVTRKASEWAAKTVDTNDAIPLKTIMDGIPEITEESKIYRLLIGFV -AVSTGTFGIVDGVTGDVIPDPPVVGRLGFQKNTYRCRDINLEGKTPSQLDGKAIVWCLDSNKRDAKRVML -ANYWLAISKPAPLMPPEDFLVESSD - ->YP_009551517.1 coat protein [Cape gooseberry ilarvirus 1] -MSTSRSRNNNQCPTCFDELDAAANNCSRHGGVNSPSNRQRRNARRAAQYRNMQRVATQSVPLPVPVAPVI -RSRRVNFRLPNSQVWVTRKASEWAAKTTDTNDAISLRTILNGIPEISDETKVFRLLIGFVAVSDGTFGLV -DGVTGDVIPDPPVVGRLGFQKNKYRCRDFDLEGKTPPNLADKAIVWCLDSGRRDAKRVSLANYWLAISRP -TPLMPPSDFLVESD - ->YP_009166000.1 coat protein [Privet ringspot virus] -MSARGNNNNHVCQHRFDELDAASSRCEICHPVSNRQRRNQRRAAAFSNAITNNNASQNVRRPVPVIPVGN -SRPTFRLPGNQVWIRLTASSWAAKTVDTNDVLPLKNIFNGINEIDSETKIFRLLIGFVAMSAGTFGLVDG -VTSTSVPDPPIVGRVGFEKNTYRSRDFDLGGKTPLQLDGKAVVWCLEEHRRDEKRVQLADYWVAISRPQP -LMPPEDFLVNSQ - ->YP_002308573.1 coat protein [Blackberry chlorotic ringspot virus] -MSTLTKNPDQPSNAMSANRGRNGISNSNRGCPNCFDNLDAAASNCTRCNPAVSNRQRRNARRAANFRNQR -KFDGLRALQAPVPLPVVPVPQPATQRNLRLPNGQVWVTRKPTDWAAKVNDANDAMLLKTIFDGITEIKPD -TKVFRVLIGFVAMSDGTFGLVDGVTGDTVPELPIIGRWSFQRDVYRSRDIGLDGQPADQLSEKAVVWCLN -TNKRAEKRVRLADFWVAIAKPKPLMPPPDFLVEDN - ->YP_941471.1 coat protein [Strawberry necrotic shock virus] -MSVNRGKNVLNNNSNGCPTCFDKLDAAATNCARCMRNGGVSNRQRRNARRAANFRNQRPVPVVPVSAPTT -SKSLKLPGNQVWVTRKPSDWAAKTVDTNDAITLKTIFNGIPEINDDTKIFRVLIGFVAVSDGTFGLVDGV -VGGSIPDPPIIGRLGFKKNTYRSRDFRFDGKLTPQVADKAIVWCLDSNRRDAKRVRLADFWIAISRPNPL -MPPDEFLSGDSD - ->YP_006464.1 coat protein [Parietaria mottle virus] -MYELFNHSLQPNQLTPCLRTRANGGQVSNRQSRNVRRAAAFRNSQQTSARIPVPVPVIPVSRPNGPKASL -KLPNNQVWVYKVASELAAKTSDANDAISLTTMLSGISDVKPETKLYRLVFGFVAESDGSFGVVEDENVSG -NVVPDPPVVGRAGFKKHTNKCRDVNLEGKTPDELKNMAVVWCLDENRKVAKRIAFTHFWFAISRPSPLMP -PENILVDGNQ - ->NP_620774.1 coat protein [Tobacco streak virus] -MNTLIQGPDHPSNAMSSRANNRSNNSRCPTCIDELDAMARNCPAHNTVNTVSRRQRRNAARAAAYRNANA -RVPLPLPVVSVSRPQAKASLRLPNNQVWVTRKASEWSAKTVDTNDAIPFKTIVEGIPEIGAETKFFRLLI -GFVAVSDGTFGMVDGVTGDVIPDPPVVGRLGFKKNTYRSRDFDLGGKLLNQLDDRAVVWCLDERRREAKR -VQLAGYWIAISKPAPLMPPEDFLVNQD - diff --git a/seq/clusters_seq/cluster_575 b/seq/clusters_seq/cluster_575 deleted file mode 100644 index 404a7ab..0000000 --- a/seq/clusters_seq/cluster_575 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_008470971.1 2b protein [Ageratum latent virus 1998] -MMFIPILLLVLTHGLNAELMMPTVQTDRGNVNPGREEKPEPEVVLNPEETVKITAGSVPTSQYPMSQTLE -ERSPPGKEGSNCIDCAVRNLPEAQFSVKVPKLNINFEISEFPSARLLFANLADRVKMLPFVKSLNVPNDL -QRLQLRSMGDVDVHISIPKFGWVQVLKLSDVITGFDLPKIPTIAPKLESCVGDCLTTNKS - ->YP_009551514.1 ORF2b [Cape gooseberry ilarvirus 1] -MMSILILFLTLILGVQSELMSQNLNDVDQPQKQEIPKESPRVRLNPEESVTVVADSDAVAQFPHGSPMEE -RSPPGKVEANCIDCAIKSLPETLFSVKVPKLNINFEVSEFPSSRLLFTSLASRVKSLPFMKSFSVPNDFQ -RLQLKSIGEAEVHISIPKFGWNQILKLSDVITGFNVPKLPTIVPKLESCVGECVSTN - ->YP_009165998.1 putative protein 2b [Privet ringspot virus] -MKTIIFCVMLLFPAMYAELMQVENPPIASNVPNPNLDLRPNEQSVNLNPDETLHVSATGQDNAQIPTDFT -MEEKSPPGRLGSLCIDCAVENLPEAAFLVKVPKLNINFEVQSFPSSRLIFSNLAEKVRSIPFVRSFGIPN -VEQRMQLSSLGKVDVHISIPKFGWSQTLKLSDVVAGFNFPKIPTINPKVDSCVGECSDQ - ->YP_002308571.1 p2b protein [Blackberry chlorotic ringspot virus] -MMFIPILLMLLSPCVLADLMPHPEDPRFVPETRKSESVPETPDVVPGERNTEPEIKLRPRESVKVSTDGE -RESPVQTLNEFRLEERSSPGKVATNCLDCAITHLPEVAFSVKVPKLNINFEVSDFPSSRLIFASLASRIK -DLPFVRSLRYPTDHQRIQLRSIGDVEVHIHIPKFGWKQVLKMSDVISGFELPKIPTIAPKVESCVGECLT -H - ->YP_941473.1 2b protein [Strawberry necrotic shock virus] -MMFIPILLLLLSPCVLADLIPHPEIPGNLPEEKISKSIRETPETIPGERNPRPEIKLKPQESVKVSTDVG -GEAPMQFPSEIKLEEKSPPGKVVTNCIDCAIQHLPEVAFSVKVPKLNIDFEVTDFPSSRLIFAKLASRIR -DLPFVRSLRIPSDHQRLQLRSIGDVEVHIHIPKFGWKQVLKMSDVISGFELPKIPTIAPNVESCVGECLT -H - ->YP_006448.1 2b protein [Parietaria mottle virus] -MMLIPILLLAMSLGLNADVMQPDPIPSTAPGKVSQPEPPKNGVGRGSATQDVLLGERESVKVTAASSTEQ -VPIELTMEERSPPGREGSKCIDCAVDHLPEVAFSVKVPKLNIDFEVKDFPSSKLIFANLAKRIRSIPFVR -SLSVSNDLQRMQLKSLGNIDVHIEIPKFGWNQVLKLSDVVAGFNIPKIPSIAPKLESCEGGCSTT - ->NP_620769.1 putative 2b protein [Tobacco streak virus] -MFIPILFLTLTLASADVMTLMESEPQPSTTESRPSMPPINSGKPSVTEKPGVELNPGETVKVKAEQFNTV -NPVELKLEKRIPPGRVGSNCIDCAISNLPKAMFSVKVPKLNINFEVSDFPSSRLIFATLAQRVKSIPFIE -SLSFPSDIQRMQLRALGDVEVLIFIPKFGWKQILKLSDVVSGFDIPKIPSIAPKVESCVGDCLNS - diff --git a/seq/clusters_seq/cluster_576 b/seq/clusters_seq/cluster_576 deleted file mode 100644 index e749478..0000000 --- a/seq/clusters_seq/cluster_576 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_010088073.1 movement protein [Actinidia emaravirus 2] -MFSAMIMLLVVCMMPGTGGMKPTNVNNHDFTTSGPNGIESITEVTWNNIKSMNVKVKLPIKAGTVELPIS -VYHETYKLWMATKQSMTRIASTAFMWTPTSSKFNEMATLLVIDNRFKNDGIKSGKKALMKTGKLNIDLVG -TTITAVTFDPNFQQFITGSLDFATDTMDINKIKFYISFPDTRMAETGSTAGFLDISWKTMPDDNGVYEQV -QWDVFTFEKQLPAEVALMSGKNNFQKIKNYLDKKYNDRKDALRKLEDFSNALVYGTDQGLNKVKNHLSEE -TSSSQALVRDSSRRIEAIRLKQIQTELSDAKSKLTEVLAGNDIEAIKIAKDALIQVFKKHNMPIPVEEVK -DEGYILIGEAQ - ->YP_009508084.1 p4 [Redbud yellow ringspot-associated emaravirus] -MLIPKAYYCFLIKYVIFGVYFQMMPRSSSMGSQATKVVTKHHEEFNPADGETMIDEIVYGGIRDMVVKST -VTVTANNQQLPIQFYSAAYKLYKGLRYSAVRIASVAMLWTPTAINFEGYVTVMAMDERFTSDRMSKISLK -KATHDMIAGKLIGAVTFDPNFQQLVFGSLNFATAVQDIDKVKFYLMFENLNMPKSVAGFMTLSWKTVGSN -GEVYNEVQWDVFKFPRRDVQEVYSKSGKSMFEQLKLGMKTQYDEQKKKMESLDKLRFQLTHATDGGLSKM -NEAANSYTIELGKLVVDSHKHEKAIAADEKRKILVGLINKFREAMNSRNADQIKAIRAEVLETCKSKNYE -LPIEFGSLDAGSGSGVVQFGIPAADE - ->YP_009507927.1 membrane-located protein [Actinidia chlorotic ringspot-associated virus] -MLLYQLMKFYSFDLFFFSLMFEMFPGSSSSSGQTTKVTTKEHEGFEPAEGDLVIDEIVWGNMRDMSVKDT -IKVKAKSMELPIQIYSKVYKNLQSLRHNAVRLSSIAMLWMPTSIHFEGFVTIMVMDERFTNDRRSKLTMK -DIKPEVVHGKLIAAVTFDPNYQQLISGSINFATAVSDINKIKFYMMFDNLDMPTSTAGFLSLSWKTIGSG -GAIFNEVQWDVFRFPRVNVQEVDNSYGKNLFERLKIDMKAKYEVQQKKMEELEKLRFDLAYSNDAGVSKI -TDTANKYELELGKLLVDTDKHLQAIAMHSKKELLLKLLADFRVAINTRNPAKIQEKRLEIIKTCKDNNIE -IPKDLDNKFEVNTGYVTVANKDHVYLPAD - ->YP_009268866.1 RNA4 [Pigeonpea sterility mosaic emaravirus 2] -MFSSMIMLLIVSMMPSTSGMKPTNVNVHEYSNGELNGIESITEVTWNSIKSMNVKVKLPVKAETSELPIS -VYHDMYKYWMATKHSMIRIASVAILWTPTSSKFNEMATLIVIDERFKNDGIKAGRKELLSTGKLNINLAG -STVTAVPFDPNFQQFITGSLDFATDTLDINKIKFYISFPDTRMSNTGSTAGFFDISWKTMPDSNGVYERI -QWDVFTFERMLPAEVAIMSGKNNFQKIKNYLDRKYNERKEALRQLEDFSNALVYGTDQGLNKMKAKLSED -TNASHTLIRDSSRRIRAIELKTIQDELSVAKSKLTDALAGSDINAISLARDNLEAVFKKYNMPVPVRENT -EEGYIVIGEAQ - ->YP_009237271.1 P4 protein [Fig mosaic emaravirus] -MFISSILLLAVCMFPSTSGMKPTDVNNHEFTKDGLNSIESMTEITWNSIKSMNVKVRLPVKAETCELPIS -VYHDLWKRWMATKQAMTRIANVAVLWTPTSSMFIEMATLIVIDGRFKDNGIKAGKKELLKTGKINVDLVG -NTITAVPFDPNFQQFITGSLDFATDTMDINKIRFYISFPDTRMSQTDSTAGFLDISWKTLPDDNGVYEKV -HWDVFTFEKQLPAEVALMSGSNNFQKIKSYLDKKYNERKDALRQLEDFSNALIWGTDQGLNKMKNKLSED -TSSSNLLLRDSAKRVEAIKLKQIQSEMSDAKSKLTEALAGNDITTIESAKAALVAVFNKYNIPLPVSENT -EEGYVIIGNAQ - ->YP_009237264.1 putative movement protein [Pigeonpea sterility mosaic emaravirus 1] -MHVFLFLIILTKMAGTSGDVRQKQTETLLHNYANGDISADRIDEIVWKNILSAQVKTSLKVGTKPALLPI -SIYNTFYRRYMSVKQRQTRLSSISFFWTPTSKSFSGNAVLYIVDGRNPTEGKKRWDKNAEVNSQISVDFQ -GSILTVVTFDPNFQQFITGSLDIATATIDIHKIEFYIHVCHETMSKEYVAGFLDMSWKTVPDEAGVYEKV -KFDVFTLPLTLPPEIKMQQGKTSFEKMKNYLKGKYDRQMRNLKQIEDITNEIAYGNDGGLTKEQKDHSET -VNTIGELLNSSSLRIRALDLKSKNDEVNAKIRKLKDVMANGSIDEIRDATDDLKSTCIKYGIDIPDDIKS -QDGYAKIGEAT - ->YP_004327592.1 p4 [Rose rosette emaravirus] -MAFSSILFFLIAMAINVDSMKPTKISNFDVTTGEFEGIESITELTWNTFKKMGVKTKLTLEAKTQLLPIS -VYNEMYKFYMHLKQPMTRIASVALFWTPTSKRFNEMATLILMDERFRDDGIKQGKKELLAQGKLNLDLMG -TVITAVPFDPNFQQFVTGSLDFATDTKDINKIKFYISFPDTRMGETQSTAGFIDLSWKTLPDENGVYENI -QWNVFTFPLSLPPEVSMMSGKSNFLKVKNYLDRKYNERKEALLQLNEISNQLIWGSDQGLNKVRAKLKED -TNTSTQLLIDSEKREEAIKLRLIQDEISEAKAELSSALSGIDLNAINAAKTKLAAVLRMHNLAENIPQEM -DYGYIKIGEAN - diff --git a/seq/clusters_seq/cluster_577 b/seq/clusters_seq/cluster_577 deleted file mode 100644 index c5b7f77..0000000 --- a/seq/clusters_seq/cluster_577 +++ /dev/null @@ -1,88 +0,0 @@ ->YP_010088048.1 MAG: hypothetical protein KM765_s4gp1 [Coniothyrium diplodiella chrysovirus 1] -MAIAMDPEHPWRSMAFKHIPVTVITPPTISLPRTMSDKPGEYGSSQADVHEWLDYNADYRVPHSLAQVSM -HEKTLGVVTEVLDISGHPTVDGSIVRVDDLEYDFQTKATNVEYSHEGQHMSVQPQLNEAAVRSMTHSLSV -EDFAKTAGFKLPRVTAPNGPQHVSPLGPTDGEGGGMLINRFEELRELARNRASSDDYGARLHNLARIVCH -AVVSESMGSKHQPTKASSWTRTRSILTAETPLLGQREMQTNSWWYIGSESAASFRAFLLMGARGLHYYVS -PGSESVYSKLRSEPEVLSDRGVVFVRLTGSIPNPHPQPNDFLDVLSHSQMALDYYFAYAASLGIGHQATE -ILAQCAVAPFIYSERAALPYKRNSPVLDACPYVLRPVLEQKVMSVDSVQDLIFSAPVIAARTMAGLGAII -TSFANGSRVATADVIAQLIGVLGNPDTARELVKQCHAAQSAGSIGLEWMSPFTDVTEGYARCVNAYRRSG -ALLCQNRRSIVKELAPIWSGVDMKNAMFGAKMIRGSKYVELVMMQLAGGKALSCMSEKFVQNALDEDDYT -GLAMMRSWKAAHVFSRYNVAIHKLPEVDTEALSYRSSASARSASESSAVESSIGFLPPALLTSEAKSEKS -GSATVRAASTKHAKTASIGDDRGKRHSGSSSDTSVSVGRLAGA - ->YP_010088027.1 hypothetical protein KM761_s4gp1 [Aspergillus thermomutatus chrysovirus 1] -MAFVRDTQYPWRTQALAGFPVRFAEYKFGSRSKEVLPASGVDASPSGINSFIEHERPYLVPTEVAAGAAR -SGKLRSVAEVVDVSGPKGLDGAVAIHADIDYDLFQKDTAAQLTTEGTSFRVSPGVDESKRQAMEISESVE -DFAKAVGIRLPRMVSTGTTPVQAMGPGVSYNQFEALRWAVARDAGQSDYGLRLHNLARIVAHAHVWRLRN -QAVRATPSMGRTKFQAIMSAELPQIDSQRFLNDCWLYVGDETDPKYKAFLLMGVQGLQYYVDGAQQTVYS -RLVSDPELVDQNVTFVLRSGQMTYPTIASYREVLQSPELCLAYYYAYAASLGIGVGATHVLLQTILAPHI -YNDAAVLPYRSRHPRLDAATYLLRTGGGTVSQVVGGCARLIYSAPVIATRYLAGVGAVLGGFARGVGISQ -PDVVAQVVGAVSDANTAREVSACVWSCVTGGYVALEWLDPFRPDPNDGIVNAISCYRQYLHLLGQARRAP -AEALRGVFFDGVDMSNAIPGVAFQGSGEDRFKETLVYQLAAGTALKCRAEPDTPALFGSNPAALQLLRTW -RAVVHWVRYNLVATEEATPARALSPPPRVDTPVGPVLTFAHAVPPTDTLPERGRSPSVVSQTTVRPLVLD -SGKVPSGPGSSTASKARPPGGITAATPSTRGTLPAASGGGPTTPRQPAPVRGEAPRQSPTGSSQKGSPQS -SDTVSVRGVGP - ->YP_009553285.1 hypothetical protein [Alternaria alternata chrysovirus 1] -MSFVSDPARPWCSQALSKLRTQVIKVEVPLRGRAEQGPSTHGDSVTGLSAFLEGEGPYMLPEELVPHAIA -DGSIASVASLSDAKAAMGLDGAATLVATLPYDLLVKDTRAALITEGRFFRVAPSVHQDALSTMMGCNSVE -AFAKSVDMKLPRITSAAMPATGVGVAYYNQFEQLRWAVAKDAGQSDYGVRLHNLARVVCHAAVRRHVQGA -PKRTSLMGKGNMRSLVTAEMPTITATEFLTDCWLYVMDEMDPRYRAFLTMGARGLHHYVAAGQETVYSRL -QSEPEVLTQRITFVRKCGEFSPVAPPVEAYLDLLSSFPLATSYYYAYANSLGLGHQATQVLLQTALAPHV -WGATATLPYRASHPRLDAATYLLRPSEVAPDVQCPTALGRVVDYMPLFACRVMAGLGALLTGFTAGRMVA -LTEVLSQVAGAISEQGQARALMQQVLRYSSSGYAALEWVSPFSADPADGFRRVVAAYRVGLQLIGQTRAA -PISALSPLFDKGVDMADAMLASPFKAGGMVGYVESLVYQLLAGVPVKCASERDSAQSYGPNPAALGIVRS -WRAVVTWVRYRRVRRSRRASPASVKSKTPPEVAREVVKQASLSFLQQAPDTSERHSVSDRSEAVLPSPIY -SPPQRPASSGGSSFNATVRAAIPPGKPARLPVRASSARPATPTPSARAQVEAATESPTPNSGGRPPNTRH -REGQASRPIPAPKPPTQADELESDEEEVARVKPVDYSTEEEESSDEEEEEKVTKRSDSAIDGPKPISPNT -LGVA - ->YP_009551632.1 hypothetical protein [Colletotrichum fructicola chrysovirus 1] -MSFTVDPAHPWRTIAFARIPVSHVSFKFSPAQLAEAELVEEEDSAMGVRAWLEHEEPYMLPDDVARAAYN -GEQLQAQSEMTDLGHPQGLASAAKVGTTLPYDYNSKVTGCRTRTDGRHMQVRAAVNEAVIDRMNSAMSVD -AMAKDVGLKLPRLVQNAQSKPGDVTYNQLEALRYDILQAARQDDYALRLFNAARVVAHSCVLHQQRKKPA -KTEAMGRPARYRSLLTAELPNITTQELQEDSWWYVGDDLSEDYRAFLAMAARGLQHFTSAQTDTVYSNCV -TEAEVIEQQITFVRKNGTVPSPQPGGGAFLKVLSSPDLAAAYYYTYAASLGIGHSATQILAHACIGPHLW -ASEAILPYRCEAPKLDAGIYLVRETAVVDQLQLADVQALVNHSAVFARKALAGLGAVITSYRNSKKTDVQ -QTMQRMVGVLAAPEQSRSLLRRVHSCLNPGYLGLEWLDPFRPSVERGWERCVEAYRLAHTLLSQFHRPPT -RTLKSLFTTGVAMSGVVPGSKAEVASYAELVVYQVLAGEAISCESELVRNDFVDDFEPLAMYSHWHALLR -FVRYHTKPVAVPKPGSGTPPKPLVPVPQSPQLSSLHRVPQTRSEDGFSRPHTRSTSGTTATSDGANTITR -TVGPPVNVESGKSSPDGKSSSSGRVTVAGGAI - ->YP_009667017.1 ORF4, partial [Penicillium janczewskii chrysovirus 2] -MAFIASSSRPWLSQGLSQLANSKMSVSRGTRGRTEMEPAEADNSATGLLAFLEHERPYLLPDHLLCAAIQ -NEKVTSVGEVSPTTGPKGLDGAHGIASTIPYDLYGDGEACDVDLRSDSVNFRVAPGIDEASLRSMSDANS -VEEFAKAVGIRLPRIIAGPLAAPPGSSTTTPPTYNHFEALRWAVARDAGKADYGLRLHNLARIVCHAYVF -RTKSEAARRTSAMGYPRTMVSNLLTAELPTVSLPDYQAKCWVYIADELDPRYRAFLWMGVRGMHHYVADD -QHSMYSSLESGPEALTEEVCFVRQSGDSPAPPTADDFLAVLSNPELALAYYYAYASSVGVNHASTQVLLQ -TVHMPYLSCDGENYVLPYCSRSPKLDGATYLLRPTGAIPPSQVLLNAGRVVYSAPLVCQRFWTGVGALLG -GFAGGRGVSTPDVIAQAVGIMSDRVTSREVLRSVWACVSGGYAALEWMDPFASSVNGAYELAIEAYRKHV -HLLAQARTTPGRVLAPVFTTGVDMTDAIPGLGAHGSGEARYLESVVYGLIAAEPVRCWFEPSAAVSQQAA -AASQLARSWRAVVTWVRYSAEEIAKPKPRRSSSQARGPAPEITRVDTPTVPVLSFAHIVPPTTSGQWPAD -TASQASAPTVKPTPQRPSTPTTTAG - ->YP_009353028.1 hypothetical protein [Botryosphaeria dothidea chrysovirus 1] -MSFTRDPNHSWRSMAFAGVPVARAHVTQTVRGRTDLAPADTDNTAATLEAWIDHQRPYLLPDELAGPAMA -TGVLASVSEVSSADHSVGLDGAARIVTGIGYNLRTKTTHAVLATGGAHMQVTPYLDPVNVASMTRANSVE -AFARQAEIKLPRVLAPGQPAANQQAQGATYNQLEALRWEIAKHAAQADYGARLHGLARVVCHTHVLRQAG -LKAKRTRHMGVLAMGSCLTAETPNLAINDLNERCWLYLCDELDPRYRAFLAMGVRGLQHYADPASSTIYS -ELRSEPENDADNQGITFVRKSGDLRQPHPDPADYERVLAAPDLCLAYYYAYAGSLGIGHQATQVLLQTML -GPHVWGENARLPYRASFPKLDAATYLLRSTDTAPPSAVVAHFGRLLATGPVIAQRFLASLGSVVSGFAGG -KKVSLADVMAQVVGVLADPDQARSLLRLVWSHASDGYAALEWVNPFWSGVSEAFDACIAAYRLNAHLISQ -CRATPSQSIRPVFNGGVDMRDAIIGSDLRGEPDARYCESVIYQLVAGVPLTCQCEPPSGAMYGPAPERLG -VLRSWRAVVTWVRYGLEPAKKLALEQEEPPRRESKSSESSAGVVPALTFTHKIPATTTEQTKPLSDPGLQ -PKSLFDSASRWQSPATPTVDHAKRASIGSLGSGNRRDFLRSPPPAPPPATHRSPPRSDAPTIVALPAEAP -PAPPSTSDEMSMRGVLP - ->YP_009182334.1 70 kDa protein [Penicillium janczewskii chrysovirus 1] -MSFEADPKAPWRSTMFSAIPVRHVVLPDSPRLYEPVTPSDDVPAGVDGIRDWLATTERWAPARGEARVGY -TSSKMVGVYEVGDSRDVSALGSEMIVEGALDYEYYGKKTRLVATTDGYHYRAEPDLNGAAVNSMLSAQSV -EEYAKTAGLKLPRITAMDSISTPDPLGEQAADNGMLVNRFEALRGLVGEHASQDDYGFRLHSLARIVLHS -MVVKESAKRNGKRKAMDERKHMRSVLTASLPMVRPDSLRNSSWWYVGSELSPLYRAFLVMGARGLQHYNT -IDTVYAGLLSEPEVALLESTDIVFTRKTGQMNDADVAPRVDDYMRVLDNPELALSFYNAYAQSIGLVHEA -AEVMLHTAVGPFIWGEVATLPYRAASPKMDGAAYLLTENTSKAHLNILSVESLVSRAALVGKGYLAGAAA -LVGSFKRTSRVLTSDVLARVVGALSDSVQARTLGAEIWANLSGASASLEWVHPFDNVQAGLTRAMSAFRS -AGWLLAWYNSSPAVALAGVFDRGVTMSGSVIGEGDYRGDHYRELLIYQLARGRPLQLMSESYAQAALTPM -EYQTMGAMKAWNAVCRPVRLMSRDKDMPATLPKVERPKPAGEPYHEEISASLQFLRAIPATDSGSAGGTT -VRQAAHEQQGGGKPPEQASPKSARSSGTRTSQAPSHNSGHNRSSPSQASMSLNGKNL - diff --git a/seq/clusters_seq/cluster_578 b/seq/clusters_seq/cluster_578 deleted file mode 100644 index 0c0bf17..0000000 --- a/seq/clusters_seq/cluster_578 +++ /dev/null @@ -1,96 +0,0 @@ ->YP_010088046.1 MAG: putative coat protein [Coniothyrium diplodiella chrysovirus 1] -MENSEIFSFLKMHGSMGMPDSLLADKNSSEPKEQRLDMTELVLNKSLAEELLSPAMWGLFNQVGASGSGP -VVMTYSLDRRHGDVTTPALSKVSVPYESVPKTARAYVYYEGKVANAYVSSMIEGESSLSSYAKTVRGPVL -LGHGVEAAHLRMERRGCEIRALMVRMWMLHLDVVSGSELKIPVDHIRAHKSLISCRDVEEECDYAKTVRG -VRVHVNAMDNTGRALLMASAGQSDVQGMSTRASRYTWPKVNVTLYGGMLPRSFDMTLTDASVTARAIVSF -ADAYGQREECGTALQTALMLYGTHLTPSKLVLKLPLPDVHQHTHQARVMRPELKRCRELASPGMVGVALF -AGLGWAQAASHTLRATLMGMGVNDVDGAKEYFVRTHETLVHKAARQLALVGCAKNYYTYVNDEEYLRRLV -KPLWATRGVMHALSMGLVIKNSLIEQVTMPIMLTTHLDTDLTDAPDQGSKHKEALGKWMLASALRRSGGQ -ELCCSLWALADDVRDNTRIHSDIQLHAGNEVQFRLVSIGDEISVHTLIKSGFGFQPPLPAEDIADTFEAN -NVLVSDMKRAPDAQKAADWVDDLLALRGPAATTTLRKRPNTYNAKPATIDTSVANVEVREVAPDATQTFA -QIAARTWGVVPTSGEGLMCGARALKLSMDALHAAENLPAPPFDEVVDVLTGAIVTDDERERAEAAGVHLD -TNNFTVDQMSIAAQRMGYRLGVIDSDVNGSHRVWLNPAEGSTDGDPVLYVHNEHGHWSGIGPSDRPIRSV -DTPA - ->YP_010088025.1 capsid protein [Aspergillus thermomutatus chrysovirus 1] -MRVGRHAGWHANYYKTPFVFLQQPPAFSENYSNTGISSARFWNHFANHHLSLAMGDATAVLARALEVQSG -LGQPSQLRIPGPSVRNASPRAIDFHEARVPLSAAQALLSPGDWMLFQHLGPEDGDSVDVHYYVEREGADL -SSPNLRRTTVRYPGPVANGNTVIAYRGEQVSLNPQRPDEAKRALFDYASGNHFSVSPGLGGESLHWQVAT -EHRRLEALFTTMWLIHLDVVSGGAPVVAINPPDMRQGLVGVRDPADECEASKHLPGVKLHVNSCNATQRA -MIVAACNPGAITGLGRRAGRYQWARVAVTLYGGTLPAYQQVALGNADATARAIVGLAERFGAMSEASAGL -NTALVMYGVEYAGTRLALSCGTPALHEDTHRRALGGSGLLQMRELAGGALTALALFLGRAYTQSAAMALR -GAVRSTGSRDVPGSELYLRTNQEGLMRDAGNYLEAEWKATRPNYMHHATYTLVNTKRLWQQTGIVHCLIA -GVVAAGSVADEVTQPLRLGPLHNAQVTDIPAYGADRVKEMVSWLTLSSLLEDAGETLNGNMDTMGRQLSG -AQRLHPDTRAYAGTTARFVLAGLGAPVLLRTAPDYSMEFEPSRQACEKDGDIGASGPMQVTQTLIERAEP -AKESDWVEALLEQRGPLASTIMRRGKAPAYRRAPDGGGAEPVTVSTAAPDAGPSLTRASGLGWGPQPTSG -EGMLCGARAIHQSLVSVAAADGEQAPLFDEVHRALINALTPEQQELATAAGVATGDSNFTVDQLTAGLSH -LGEYRLGVIHLGERDNPVRLYGGGTGEIILIAHDGHGHWSGVGPNTTSPLRYNNT - ->YP_009553288.1 hypothetical protein [Alternaria alternata chrysovirus 1] -MDLSRPDDFLAAMLEVSPGFGQPDAFHRGGGGGVHGVEDTSFFVGAPGTTPAAQDLLSAGDWALLQLLSP -QDVDSVSFQYSLERLGADLSSPNLRTAQVVYPAPTARVDCMIVARGAQAALLNYTGPEARRGLREYALQR -STNVAPGVGGEHHHVQTTLAGKDLRMLLTLMWLIHLDVAARADVSVKIDPQSVRNGLQRCTNAADECEVA -KALSGVRLHVNSCSQVLKALLMAATDRSSLAGLSQRVKRYQWPTTPLVLYGGTLPQAQRVQLHDANATAR -AIVAFSMKMGAMGESGAALSTALLMYGVEEPGSRVALDCALPAVHEDSHARVEVSPGLVRVRELAGQEVV -ALSKFISRAYLQSAAGIARAAVHSVGAKDLPGAEDYVMRNGELLQKEAALKLGESWEVLRPNYLSHETYL -HVNFKTVWGHTGVAHALVAGVIPEGSAASMVSQPLQLGALNDTRTTDDASLGRSRAEEAVLWQVVSELLD -NLGENLTASPAAMRKMIRGSARLHHETRNYSQSRVQLVIAGIGAPVLLATEDNSGCYYDPRPEEVGDQIE -SSGGLETTLKHLEEQEADGDDWVQGLLGRRGPVASTILKRAKGPIPTPAHFRAPSEQPILVDPVQDDVRE -AVLDIALRGWGPEPTSGEGLLCGARALHQSLVARSSLANEPGPLLDQVLTAVQHALTPEQQEFVEQHGEA -AGMSDFTVDQLCAGLRQLGDFRLGVIFSDGGVQVHGPGDGDIVMVHHEASHWSGVGPGAMRTVRCRPRPA -T - ->YP_009551635.1 putative coat protein [Colletotrichum fructicola chrysovirus 1] -MADELFASMVDAQPSLGMHDTFRADDVGNAYSASPDFDLSGDGAASQAVAAELLGPLGWELYQSLGPDNT -THVTVQYYLEQEGVRYTSPNLRSVDVTYSEPTGREVYFAYRAKRMAPFTVSQEAATHSLRKYAVESMTGV -PLGAGVEQHHYRVLQTGLHIESLLTTMWLIHLDVVAGADAKVNIDQGVRQALAPGLNLEQERDYAKTLPG -VRVHINSLDNVAKALIVAACDRNTLVGASARTARYQWARVPLTMYGGQLPGAMQVALNSPDATARAIVNF -ADHYGSRVACGMALRTACMLYGITLNNSKVVLNFAEPSLHEGTHANGDVMYGLNRCRELAGRELVALAVY -LGHTLQQTSGQAIRGAVLGLGAKDVPNVENTIARSQSLLLQNCGRRLHEWWQGACGTYLDVAEYVRLNLK -HTTAQRGVIHALSVGFVAEGTMLETVSQPITLRSAADVAVGDDPYGSAERTKASAQWYVVSGLLTDGGES -LQHSVGALSRTLGPHVKLHPHIRHFAGSTVRFVIAGFTAPMHLSMATVRDVVHQPVRTDDVMDVPIPEGA -PEIIKEVVDQLEPETDSNWLEDMLDQRGPVATTTMRARRTQQTTAQKGYTRGTPITVSPAPSGDGADELR -ELTDRGWGPEHTSGEGLLCGARAVKQSLDNVAQLDGARGPLLEHVLESMRHCMTPDQQAMASLAEVRLDN -ENFTVDQLALALHHDRFMMQCGGDRGPLLEHVLESMRHCMTPDQQAMASLAEVRLDNENFTVDQLALGLR -QLGDYRLGVVHRSEGGARASVHGPGDGQVVYVYNDGAGHWQSVGPGCEISLRGGQ - ->YP_009667015.1 ORF2 [Penicillium janczewskii chrysovirus 2] -MTTVEHPDALMSRLFEAAPGFGQPASLLAGSQARTDGKRRLVDLHGSANALSAAQTLLTPGDWHLLRMLA -PDDTSTIDIQYYVERAGAQFTSRDARHVSVKYPDPVRPKAGRCAIMCGGGAVGLLPQPIDEAKVALRDYG -IATDFDIPPGRAGESLHWQVRGGQKELGSLLTVMWLLHLDVAAGSEPRVRVDNQQVRRGLVRSRGITQEC -EEAKALPGIRMHVHSGNSTLRALLSAACDRTTVLGGLSLRAERYDWPHVSLTLYGGILPASTEETLNSPD -ATARAILSFAESFGAIPEASAALSTALVLYGVEHPGTRAVLDVGLPALHEQTHRVTGGAAGLVRVRELAN -PSLHALAMFIGRAYSQSAAMVLRSAIRATGANDIPGAEVYLRQCQQKLMMDCGAAFSREWNALRPTLLNH -ADYIYVNARRLWLQSGIVHAVLGGIMAQGSIAEEACQPLKLGMLSDANPSDIPAAGKDRVDDMISWQLLS -HLISDAGENLVGSRDAMKRGLGGGRIPLHRDVRLFEQSTAQLVFAGIGSPVFVNPAAETKSELRPTREAC -SKDTSMTSQPSVDVTEQMQAELPKPKPAEWVQDLVNQRGPAASTTMRRGRRSVVVAPNETDKQPVFVYAV -EEEAMDAMQLATEHSWGPQATDGTGMLCGARALHQSLGAVKAVEGERAPTFDHVLATVRNALTPEQQETA -AQAGVEVGAENFTVDQLAAGLALLGPYRLGVVNRVEGATHVRVWGADDGGQVVLVDHDGINHWSGVGPRS -TSRYLPAAPPLTS - ->YP_009353029.1 putative coat protein [Botryosphaeria dothidea chrysovirus 1] -MTSADNFFASMLEAGAGFGQPDAFKSQTAKGDRGLIDFDFTGGGQNAKSMAQQLLSPGSWTLLQQLSGEE -VHQVAVYYSLEREGADLSSPNLRQTTVVYPEPSSRKETALACRGGTSRVESIGVDVAERALANYTRSVST -NSAPGAGSAQHHAHLTQMHKHVESLLVVMWLIHLDVAAGAEPVVALDQMGVHAGLSNVTDPANECEEAKS -IDGVRLHVNSCDNTTKALLAAACDYNAIANLGRRAERYKWTPSRLTLYGGLMSASVNVPLTNPNATARAI -ISFGHRFASPSEMSAALETALLLYGLEDGGTRVVLDVPAPQLHEDSHRLPMTTSGLARTRELAGSAIVAL -SLFLGRAYMQVAGAVLRSAVLTTGAKDLHGAEHFLRRNHELLQVAAGAHLAQTWGQLHPNYIHHGTMLHV -NFVRAWQQLGVLHALMAGCVTDGSIFETVTNALELGTALDTTVTDSPSLGQSRADEMVTWYILSELLRDG -GEHLNGSRAAIKRSLGASTRLHAETRLYAGTRARFIISGLGAPLLLRTVDNSTLALDPTPQEAAESNVAE -VDTGNDTALGQLADRPALRGEDWVNALVTQRGPIASTVMQRAKPPVYKAGRSNGTQIVIERLPEDARLSV -GRASRLGWGPEPTPGDGMLCGARAITQSLRAVAALRNEPEPLYDTVRTAVQFAMTDEQRQLAEVAGVATG -IDNFTVDQLTAGLQQLGDYRLGVVTSVNGQGNVVVYGPGDGEIVLVHHDGRSHWSSIGPRGIRSLGLA - ->YP_009182337.1 84 kDa protein [Penicillium janczewskii chrysovirus 1] -MPRDIMSVLGIDPNLGINEEFVPKRVGTKLESSGGTFDAMRTDAEKRPAAQELLGDSLWSLLQQVGDAGT -ERLVVKYLVERVGYAPSSADYRNSSFDYRPVKKNQRVYVLDEGEGVATCMTSQLEALESLRLYAGHSNSR -VTLGLANETHHLALLRSGPNLRQVLLRMWLLHLDVLAGADVSVRVDNTQVRQQLPTNLTLEEECEYAKRL -TGVRVHVNSLDDTARALLCYACGLSQGDGLSDRAARYIWEPIDLTFYGGVLPVAREVDLRDPAGTSRCIV -AFAEKYGVVLECGEALRMALHMYGSVNPANKLSLSLGVTRLHQQTHQKQMVRANYRCHELGGRELVALAM -LIGEGIDSALGHTLHSAVRKMGLYDTPNMYPYLEPRARLLKDAADSLRVNEWLSNFFVADAYVRRNVRYL -YSKEGVLHAMCLGIVVAGSLLEDATQPFEVPSRGAGQCTDDVLTASQASDDASRWLLLSAMLLDGLGCES -GTRAAMAKVADPDEALDDDCPMYAGARVKMGIVCFGGTTVLRRLAKTNFAFQPTAPEVHTDNTVRSDELV -HQDRMAEQPANADAKDWVSAVLEQRGPLASTTTRRANRSIDAAAELDEAPEVLIDEIPDQLHDAVEQVIE -RGWAEQPTSGMRLECGANALHQSLRAHHEIHGSQAPTRDEVLTALKASLTPAERQRSEDSGVPIEDNNFT -ADQMAAALQRFGPYALGVIGGESERAHAMVVGDGEPIFVRNSAGHWSGIGPGHKRIGRYEPRGQGGGTAN - diff --git a/seq/clusters_seq/cluster_579 b/seq/clusters_seq/cluster_579 deleted file mode 100644 index 355b0a3..0000000 --- a/seq/clusters_seq/cluster_579 +++ /dev/null @@ -1,93 +0,0 @@ ->YP_010088047.1 MAG: hypothetical protein KM765_s3gp1 [Coniothyrium diplodiella chrysovirus 1] -MAEYAQFYSPLSMNQERMEAVRNMSFVHFSGEDVVYDDADLVDVEDDPVRPLSLHTTTLDASPPPAYSVV -KSTRAPEYSKTRGEERITTRLRRDMFIEDVLSVAGLHMGLPYGPGVRAMTWKDADIAGGSMSKHDINELG -SMFTRFFATGAKSLRKVVRDGASVVVEISEGEFDVTGASGGERCGVISVDGTVLIAAFYAGEGEDVAVID -VVLSTYCEYIVELDETQRRQLVSLLAAMQVALVADNLFDDFTLLQESGLKAIKACTRRDEHTVFVRDRDA -ARSVACAAVLTSASSVLEEKADIRVDVAYSHGTVAHGTMNAWDVPPSMVVLLGSNGGKSITGSVMVVRSQ -KTTNVYLYTTSCTAGVTIDRLQAEHKMPPMVRDGLLSPLCCAAMHAVGDGNVRVKVGDTVIDQPTIWSSY -ETSSEVTEKYIVTRDVESTIRGLYDIAMLNRMEPQIRQNSVCTESCKVTVLYGPTTGPRWLNLLEGVDYT -PNELSMSEHFMDHGCSGLGRFMLRKYLKHGRLYNCNRLTLPRKGYFSEFRKEAILKKDALSTSRVQQTTD -YVVDFEYYTFKRGDKSYSAPYAVGLAKFSGGAYVSSYAAMVPEIELANARASGRMTRRTGEEAALLAATN -IRRTRLDSILELISALQGQEGVRMYAKGRDNDAMFTEGVYHSDIEAYSRKEQGGTFLRELGAFSPRVEAL -ARMKNYSTVHNPAKECVLFAMEVGICCEDVAEDLVGEDNWPALRFLLARPTV - ->YP_010088026.1 hypothetical protein KM761_s3gp1 [Aspergillus thermomutatus chrysovirus 1] -MEDNVTRLDAEGVLRRAIEARQRTTADHSTPSDLSAPAPKRREILNWADDVEEAILRGELYHADSVDASA -PMVQRLDIELQARDAVSRRTKAAGLGTTSILRGPAGAEALAQGSATDQALQHICGLPSGTGVTSSTWTDA -DIRGYATEAQLDKIEKAIWSALDKSVGRAQLPSGCSLDVCEYSEQNLFIPASRTGRWYACYVRPEVLVLV -YARGRYVHDVAVNTHLTRLREHDTTAYRDVLAVLAAIQVGVVYGRFICPFVLLQDAAIKDILKSAQRSGH -SSIVRPDHYFGGGPLQVADSHGSLTHDQALGRDRRSPVTFWHPDGVAAQGVVGVADVPASLVVGLGANGG -KALTASTVAVRVAGTGEVCLVSTTATANAPLDMLRTAIKTPPCVRDGLYSPACTAALYGDGAGSVYVSVD -GKRIGVETCLTLLRPGVEVVVRGRDTLSLAQMLLGLVALQQWTSEIGGGGEIHVTKGGEPWGTLKVRPGV -DLLPRWAAALRTPAAIRGHASELWMDHGCSGLGRYLMQDYIKHGRFVGFQQFRVNKRGPFREAVRETLQQ -KVQRPHGGITLPVSFVLDTEFFSRRTTTGHVERYVYAVGVARFYAGEYQGSLTVVDNSPELGTFMETNGS -AVRRYKDNWQTLVDGGCPQGNPADVLAKLRELSDLPGVRIFAKGADAEAEILASDVDGATRLFRRQGKLR -APVRELGHVAAKYEEYARGADWDETHDPGREAVLFGYACGLCERLPDPSEIGGDPARLLLWGPEAADV - ->YP_009553289.1 hypothetical protein [Alternaria alternata chrysovirus 1] -MADQSEDLPPSYSLTPNTTSSSPSARPFERMMEALTVRSAAVTTWSEQVEAEAEEGLQQEVSVGGTSLKL -ARLDYGMARDPVPPQERLQYQRGLRGTTLLRTAASRAAVPCSDCEISRVCAGVPYGPGVKSLGWTKAEQK -ASLVVLDTVASMLVRGFRKGVSKMTLPKGSRVTLSEWPEQNLYHTEVGYTWWSAVLVAPSDNIIRVMMHV -DGTTLLDIAGGDEMLEARHRDPDLHSDLLVLLAGVQHAILADELSASYHLLQDASLATMRQHVSTTSAGS -TDQGGYAGGGPNHALWSEGEYLSDDLVQDRRVPTAYYDANLDLTAYGLASAGDLPPAVLVALGANGGKSI -TGSVLTLRNSSAAGGFFLAVTSATANAKPYALEKSLKLGATVRDGLFSPACVGALCSRAPGLVGVVVDNE -YIAMQTVLVRLDPEDPLVLYDRDPYRLYEYVWDLCGVMGWRIEAAAGYAMEVYSGDTRLGDVAVRYGAAV -GPRWLRLLTLAAIEPRKSRWSPELLLDYGASGLGRYLLREYILAGRFAGFKSLMMHTEGYFAEAAKSIAS -PKPNAPAAKLPVSYVIDTEYLTRKLPDGSIERFVYALGLAKFYAGNYVGSALVVDQSPELEQFIQDNEHL -AGTKPMRMLASIREEIAPRASLCNGNPSALLAELRIAARSPEVRLYAKGADAERELLSSKLARGTRLFRR -ANLAAPLRVPLHELGAMVPRYEELAAKHDWDPSHNPAKECVLFGIEAGLGDALPTERAVGLDDMYTLLPQ -MSTQVV - ->YP_009551631.1 hypothetical protein [Colletotrichum fructicola chrysovirus 1] -MSTPYFGVNIDARLMELDDAYKFRRISARQLIEHVEPLLPLLEGPVPQETRDSVRNAERELGEVTRLHEC -GLGTADCATERGGGEELVVEVARVYSDAAAAATKHHNEQSEWGRNRDTRIMRRGHHDVTPINRNLISMSY -GLPKGADVRALAWTDADVSRPRLLNTLVAGASSLHKLWRLQACSVTLPSGRVLMSEAYPGRGLGGMDMYG -FNLHEVALYLTISEDLLVDVSVAGKPEGLRVTNRERFDEFLDLCSIVQFWIVDRMVPISGLNVLEGYTLA -QLKTLTTRTQQACTPSGHMVRGPIGALVSLGEWEADDSEKIGVSHCAADGTVSRGTLSPNNIPPGLLTVY -ATSGGKTVTGGTLTLRGEEGVYTVTSSVTANMTIGHIKELSKCAPTVRDGLFSPTAAGALLTDGDGGIYV -DVNHEHVVVPTIFSGVPDGSEIEVVARNCSAMLGYLEDVAALQGWSYSVELGGTQFNIDPSEDGAWARIV -VKIRYGPEVRPQWATIVAGELDELAVDSELRLDYGVSGLGRYLLSRFLVGGTFIPGTSLKINKRGRFGAI -HGVLKENKAALMLTGSLPTPTNYVVDVKTLARTRGSETQYYAYAIGVAKFIRNQYVGSACCVDDSPELDE -FLSANRDELPDEVGALLANPEVPSRDVTTVLSELRALESPPTCVCSLRDVDTSTQCYMESMSAGPVYSGG -RIITVLY - ->YP_009667016.1 ORF3 [Penicillium janczewskii chrysovirus 2] -MSSPLASPSAILGRDVPSLISSWADEVEEAYASGEMATVEDGRGAAGAASLVRADAILSGTTAWGPESAA -RSGLGATTLARATGLRRTTGAVFPPECEDGGHLCGIPFGPRVFAATWVDADIAYGSSEPMRRCSDNAIRS -LLRTLDGSEGAFTLPSETRCQVYRSMDQHMYLQPDEGFFWVTFQVRVEAQLMVYGHPDGRIRDVAVARDL -RAMRSADVSAYRDMLVVLAGIQQAIVFGELGCVLTVLHDCPLGEIKQSVHRHPGGGVVTAGHTGSPVQIL -DTSGTWLPTPAQLHHQRVSAATSFPEGTVAHGVVSASEVMPTLVVGLGANGGKSLTGSVLCVRVLEAGFA -GARNLVTTSTTANAPLPMFKRGMALAPCARDGLLSHTCAAALYGDGLGGVFVYPDGVRVAVDTVLSKLRS -DGHAWVYARDVVATKQYVLDLISLQQGWDRQLDNDGAITVLDSRREIIGSVYVLPGAGSQPRWATVLEGV -TMPTKCGSELWLDHGVSGLGRYLLANHITNGRFTSALSRLAFNWKGPFQASTAAVSAAKTLGVSAGLQLP -ISYVVDTEFISRKGPDGNLDRYVFAVGVARFHDGQYQGSMTVVDTSAEFHEFAAANRSKLGRHMASWEVL -QRGAKHGDPTVVLERLREVAADTNVRVYAKGYDAESEILVSEPVGATRLFRRQAGNRAPLRELGLLTRGY -DELAREIGWPTDHDPGRECTLFGYTAGLCDSLPPLREIGNDSIHAVLGSMSQAGIL - ->YP_009353027.1 hypothetical protein [Botryosphaeria dothidea chrysovirus 1] -MTSYEQLASSLPMSRVTNWADAMNDELDGLGLDQVARITDPDTFSPAMLDDSLRVDYSRVKSQIPAAERA -KLENGLGCTAIMRRGTKNRSTVPPGYEMSRTCCGLPYGPDVASIAWTDADLHIGTDDQVSKTAYALVTAF -EREVGRVGLMSEHPAQVYKAPCQDLYISGIGLTWYCIQLRGELTLYVCLRKCRDRHEILDVATNSDLSVV -REYDRAMYCDLMLALSALQFACVEGQLVLNWPLLQDAPLKGMAKLSRRTGAGAVSVEYGTGGPTQVFDSQ -GRTLSQKQVVERRVPITYITHSYAGCAQVCHGVMSAGDVPASLAVALASGNGKSLSGTAMAVRRFTGGFF -IAATTATSNMSVYGLRTGYKERPMTRDGLLSPACTAALYGTGYGGVYVTINRTHVALNTALERLSPTTNL -VVADREPYKLQQYVLDVCSLQGWTAVPTHESNVDVYGEEDRFLGRVEVRYGYNSGPRWAALLPRWDFALD -CGSELPMDYGCSGLGRFLLAPNIRKGQFVGIQKMALNRKGPFAMLAQDVFKEKRLSGSLPMPTCYVVDTE -YMQRKRGEHLERFVYAVGIAKFSNGRYHGSCCVVDDSRELQQFVAANPREAEKQLPNWQKLLRQAKPGDP -ATVLQELAALAKHPDVRLYAKGADVESELLASDYSGATRLFTRQNRRGFALGELGHLVEQYDTLARKVSW -PIDHNPAREAVLFGHMAGLSDDLPPIDEIGQDRWVVLRHCAMRQLV - ->YP_009182333.1 80 kDa protein [Penicillium janczewskii chrysovirus 1] -MSNHRAVSWADEMESEGTFSPPEIEYANTSAGYLAGLAALGDASYDEEDEEIAAPWLARRVGRTPTPRPL -HEAVGDGEEELPTYVHDPPSYEDDVYDDEAADVGTPILDALYGLTLRRLDDNSAGAQEGTRLQRSQAAPP -SCMVAQTPHDKWWQRESYGQGTHACLNSAPLHSGVPYGDSVRGANWTDSQLKVKALSEQNRYHSAFRKGS -EARGAQDVNGADGVVTCWWKPNDTRIRLRTEFYFCQADAECSVYVDWERRDLRIVTDIYVSCSLDTMRAR -RPDLAERMNLVLSAFCVAVMEEDISVESVLQLDNSSLKDMKKLVVRTQHGSKLQKRSSTVAHALSSTSED -LSGIPSEQHVDFSLWQRGRGVYYGRLRASDVPPAQLVLLAANGGLSVSGSLLVVRAVGTNDISLVCSSSV -ANYTFRPAMVAHKHAPCVRDGLMSPIACVALHGDASGVLSVTVDGERTAVETILTDAMSEPDRRPICTLD -LNGTRTALRDLGLLCGYAMTERTIDGEVKLFFSGHGREFDIRLLYGTGTLTRWENLLGVTECMEVDDHIA -PQTDSEIGLDWGASGLGRYMLAAYTQHGIIYGKKIMVAKRGPFSALSYDDRGRRRIIAPSAEVYNYVIDT -EYINVKRGDELLTYVYAVGIAKFSQGAYVGSWVAMDLEENSKAFLSTLSAQEAKGYSDAINKLREEEVPG -VDMVEVLLAIRERLLTNPNTRIYAKGREAEQRLMTSSVSGGTRMFRAQAKAAGALIRELGPLLPKYDSAA -RAVGWSTTHNPAREATLFGYLAGLCAALPGEQLVGRDGLGTIMPSLGGTQM - diff --git a/seq/clusters_seq/cluster_58 b/seq/clusters_seq/cluster_58 deleted file mode 100644 index e204145..0000000 --- a/seq/clusters_seq/cluster_58 +++ /dev/null @@ -1,1119 +0,0 @@ ->YP_010086221.1 membrane glycoprotein [Karimabad virus] -MHKIVRILAVLKGAEAAFELLTISKSGSTMDCFSSSTNAAFLAHFWDDAVGKLGDDVLSCKVNGVFSPIS -KNEIHNLIKEVSDPTSSNVLLCGNRIGDEIEISSDGKLENSEPAHVSCDEERRVEKNIVRVAFRVEDREP -GEGKGDEGKSQGGGKERKGLVRKYSSSEVEEKVKDAVNRAVGEVESEKIKSLNDLKREIEASREEHERRI -GELTLQKTMHSDEIHNLKRELEKMKKNSTFSARMIMEKGELIKVLEEENKKLQKESLRELSQVQASERDL -VRINQQIMNEVESLKRGLKERDQELEGLRKEVEGKGTKEKDMEEIRASLQRKMIENKRLHDEMNEARELA -SKREEEIRRLRDRVREEERRANLASKQTRENDEKFKVTSSTTIKSILLLSAITSVASSSGVFDENRNKCP -HVKNRPGSGKYVVDGITDASCSPVDYGVSCAGFNLLMNTEKFPFFNAHMHHRSLLEAYHDKLVEKDAGRF -CIVNDTSTPAECSGELMHFYSKCPENIRGVHYLTNDGKIAGMTCKEGHEVSGNCKFCVKLKDSASLTTAS -MPLQDMVCQAGTSPYNGPIMKLKGVCAIGGRMYKHCTNHRQDYEKVPFILLNGKGKQYLDTLVIRNKEQH -NPDAFLCHTYNRIIGESSSIDTNEKIWRRVKVRDCKIVDDSKTKKCTGDAVFCNHYHCDKEFAEAYCSVS -PGAGPIEVLYGGSWVKPQCIGYEETSVMREVDSRDDRGTSECSTCVTECGKDEIVVRSIGFKMISAVACS -HGSCITSVQKPDTEIRVEYPGLSASTGGRIGIHISHDDPTISAHVTVVCPPRDPCEVHDCIICAHGLINY -QCHTVASAFMVIMMLTMAGSLGIFIIIRILRILKIIPKRLASPLVWSKHLMLWIVRKVRHSIHHNMENIN -NEIGWRDVEADRNVRQRRGDARIDMRPIPRYAFKIGLMMALIGVCCGCSETVIASSKITRCANVNDKMTC -TYGGTVTLKAGTIGSETCLILRGPSGETKKYISIKTLASELTCREGQSYWTGQFSPKCLSSRRCHLVAEC -QKTRCLEWRDSDLSSEFSGMGNNSVMNENKCFEQSGGVGYGCFNINPSCLFVHSYLKSTKPEGLKVFSCV -DWVHRLKFEVKNPSGNVETVVMSGMSTKFTEWGSITLGLDAESITGSNSMSFMKSSKNEFALIDEEFSMI -PREGFIGEIRCSSESAVIAAHSSCLRAPNLIKYRPMLDTVECTTSLIDPFSVFYRGALPQTRNGKTYTGS -MDRTTVQAISSAIVQAELTLVLDGYDVEFEVETAKCSASFLNISGCYSCNEGARVCFKIKSDKDGVLTAH -NKDMSVNFLTHVSTGTKDYCKTMHFGRPEIEEPLMYGCGGDESPIVIKGTLIAFNPFDDRREDGGKSTVV -NPRVGDWSFFGWADGLFSWMGGPVRAILTLIGYVIGAIVVMIIIMTLIKMLIHHLMDMRRMKDR - ->YP_010086175.1 polyprotein [Tehran virus] -MFYPTILILLICFVQQAYLKFVIISEFANSTKSSICYSSKTELGQFLEAWENATNILGLERGLCKMGTLK -SESCNKDLMSNYVSSVHSDLYGLAAMMFNRDQKVRIEVQNDRIPDSLRPGSHNCSLLEKKPFWTGHLDNP -NDLKIIDSPKPAVMSVLPQTDEDYAKKLKVVTDEMDKVQRILIEERRERERLISESKARMKELEDKMRKE -WEDEKLQKAALSDVLERERQMKAEAEMRAHELDKALKLKIRELEEERVEKKDLRSTTRRSITMSTPATII -LTSILASSLSVTAELQNRDTNHMLNRPGRGAYWFSGDGFAVGQCAINYSQECNSWEHQLDPRAYPFFSSN -FDKYSMLESAFEDPPIIGKEASNCILQYSSSGQRTCAKEAGIIKKHCSDDMRAFFFISLEGKLTIVGCQT -GNILSKDCTSCTTKSGSGNKIIYKPVQDVVCQRGGSDEIPPVRYSKDICAIGLMKIKSCRKGNSKFERMG -FVVTATKKVYIEEMKMRSRQEYASDQFFCYKIKKEATVKYEAYNVSLCKGFETPAVSDSKDCNGDEYYCG -KYPCHVTNPEAHCMLRKHSAVIEVNIGGMWVRPKCIGYEKVLVKRTSLKVANATTRDCTTCLWECGRGEI -VVRTHGPKVVYAIACSHGSCKSVMQEPSTFIHIPYPGNSEIVGGNVGIHMTEETSPSNIHLVVHCSPRDS -CDISDCLFCIHGLLNYQCHTAYSALLVSTVAMALISMIFYVILKSKSVIKLLIPASLTPFCWLSVFIVWL -VKKWRERVKKAVRETNNAIGWTSRREIEREPERVQYSSGAPGAKYSFYGTVILAMIGSAYSCSESVIAES -RIMQCNSQTEKTSCKATGTVVLKLGPIGSESCLLLKGLRDSEKQFISIRTDSSELVCREGESFWTSLYSP -VCLSSRRCHLMGECTGDLCLKWRSNKTSLEFTGKTHDDVIHENRCFEQSGGMGYGCFNVNPSCLYSHAYL -KSVYRNGFKVFKCAAWNHRVRLTVNTHSRTFKITLMAMSTQPTDWGSIGLILDSEGITGSNSYSFMRLGS -GSFAIVDEPYSMEPRKGFLGEVRCPTEEAAMKASSICKIAPNLIEYQPEMDSVECVTNMIDPMTVFNRGS -LPQVRDRMTFTQSMEKSTVQAMTTGEIRASVRLVLDDYEIEYESNPFDCDATFKNLTGCYSCDEGARMCV -QVKTGGNTVFHFSSEEEAINVMFKAYSTETVYCTILHFSKPVIVVEGQYDCGSTRKPMIVKGTLIAIAPH -DDRSIEGGSSIVVNPKRGSVDLLGWLSGMSSWFGGPLKTFFIILAFLVIGLVLVVITIMIIKCGLKQALS -KKMI - ->YP_010086169.1 glycoprotein precursor complex [Dashli virus] -MFEITILLIILATIAVLVVGNLRLDSKMGNSLASTCFSQNTGSSIIEKYWGFEMAKMPGFDLHCRFNDEG -APKYMTHENAAKQLKVVSTAPDTMKFSCENDVGTLGLSITNDGTNNMMEPAVIYCDTKEFIRSVAAPEQP -QRENPESLRKAAEEKDNMIQRKTKELEEVRAALDAKIQVAHHEIQQKENEVQRLRVELREASKRGHDQES -LKFRLEESDGRIKGLKEELKQLTFKIFDHQATKDELQRAKSEMQSLRRKIKDMETASQARKPTNSSIPLV -TTAMLSLLSTSVAVAPEAGMNSATHINNRPGMGKFTLLAIEGNEPCTKIDYGVVCSRFDHLKSFDRYPFF -NSHYHHRAILEAHYDGIIEMSPTTSCNETQVKAKDARCSKEVRKLAYKCPRGVTGMLYIDSEGKLGNIHC -KKGEELMDNCIQCRKAQKGTPQKGLLMQLQDAVCQPNSVDYTGPKQVLKGYCKIGMTNFRQCDHFADIEE -VVPFVVFKNKGKLYMDSMRIRNKDVLEKENFICYGPKANADTDTSNHGGRTAIKITECKNVDPAKEKICS -GDNTFCSKFACDNELPDVHCEVAPGAGPIEVYYGGVWLQPKCIGYERAVVRREMPPPVITSEDSCDSCYS -ECLDDHILVRSTGFMISGAVACSHGACTSLTISPATEIFIPYPGMASSIGGDIGIHLSHDEVQVSSHYKV -HCEPKDPCIAHSCIICAEGIINYQCHTALSAFVVVTIIVVFMLVAIMILRKCLKLFRVAPGVILVPFSWM -VRLTCWLSKKLKLSTERRMARINEEIGWRPVEARAVYRARDRDRRPIPRSAIYLAVLFTLSVASACSDHT -IASSKIVKCITRDSKDICTISGVVNVKAGPIGSETCVTLRGPDSTDKKFLTIKTVASELTCSEGQSYWTT -QYGVECLSSRRCHGVAECTGDSCQQWNNTMVSKEFFGMTNNSVISENKCIEQCGGMGCACFSVYASCLFV -HARLRPTKREAIRVFNCVDWSHRLVLEVTDFNGNKEKVTMTGMTTKFFSWGSITLALEFEGITGTNSFSF -LRSSSGSFALVDEAISMEPRKGFLGEVRCSSEAAALTAHRSCAVAPDIVRYKPMTDIVDCSTSLIDPFAV -FLRGALPQTRNGKTFSSSIDKKTVQAFTSGVVHASMSLSFDNFEVEFEEERTPCQASFVNITGCYSCNEG -ARVCIQATAAKNTTLHVHSPDNSLTIVMNVASPKSTDCKVVHLSSPQVKEDVVYGCDGYYKAMTISGTLV -AMNPFDDRRHEETNSVVVNPKAGRWDITSWAAGLVDWLGGPFRTAGIIIGYVILAIIFLIVVALCVPKIV -ALIKSAILKKKL - ->YP_010086145.1 polyprotein [Salanga virus] -MYGRFLFTALFGIAACMFKLETESQRYTNQICFSSSTPVKDMIRYWDSEIRRVPGTDYQCRFNTDPFART -TSQGSRELLVSITSRTAENKYECLSQFNDSRIEFSSDGYSEEFEPAAMMCDEEKVDFLIQPVVDQGAPAV -DLESELAKLKQQAKDDMNTLISEHNKAIQELNNLRSADVRKLREVETLLRLAEKGILSGNTTQLRLRQEV -SSLRSQINDVNQLLEKERKEKISTRKSLEELEQDAQENVEFKESIITDLRKQLAESDSKMKQLYENLTMI -RLNHNRLMQEKQNDGVLTQLRIEMDKQVSENNYANEVIREQGQLIEELRKNSSIQVSVKSSTPLVPLLIL -TSLLCNLTCGDRTHIDNRIVDKVYIINGDSGSGCTKIDYGSDCPSWIAQKEIEQYPFFNSHFHQRSLIEA -VNDGILSKEDKTVCMLTTNNSRKTQKCIKGMINMKPSCKTKAKSAYYINDSGKVSGMMCDTSYALASDCK -FCVKVTGSVDTSIPLQDVFCQKNHSNYDGPSERLTGICSVGYQKFKSCPGVVSKYETMPFIVFDSKKVYL -SVLQMRNTEEAMKENFRCYRHKNQHGISGSDSNKGDFVRVLPSDCKIFDSSKSKKCTGDDIFCSHFECDN -DFPDTICKVAPGAGPIEVKYAGVWTRPRCVGFEVVAVKRDIPTIKEKLIGSCPSCVAVCEKNGIHVTGHG -FLMTSAIACSHGSCVSSHQDPKTDIWIPYPGMSQVSGGRVGLHISSSDTIGDVHLTVDCEPQDGCSIDNC -VLCWHGFINYHCHTFISALVAMTLLTSFITLLAVGIRFFLKMAKSTPGRLIIPFMWAYLAVKWVSCKLRA -CIAARTRILNQAIGWPNRDNFNVRREPGRPWVPRYHLAMAILGILFTPGLCCTENVIATSKVNRCSSVGS -GVICKLSGLVTLRAGPIGSESCLIINGPNPDQTQYISIRTDSSDLVCVEGDHFWTSHYSSRCLSSRRCHG -VAECVDTACSSWNVSTVSMEFRNLKDSPLMSENKCFEQCGAIGCGCFNINPSCLFVHSTLYPTRRESFKV -FKCVNWIHRLGLTLKSPGIPEKHLLISGMTTKVTDFGSITLTIDAEGIKGTNSYSFMKSEVGNFAIVDEP -YSDIPRKGYLGEVRCTSQAAASSAHSTCQRAPDLISYRAQLDSAECNTALVDPAVIFKRGQLPKSREGIT -FTSAIDRSTVQALTSGFINAEFTLNFDGFDVQFISDTPNCEVSFLNVTGCYSCDEGAKVCIRAKSDKRGI -LSAQDASLSNSIHREVAAGQQDICSILHFNKPELKEEMGYSCGGERRPLIIKGTLIAVGTHDDRTSLLSH -STVVNPSSGSWSAVSWFRGFLDWMENPLKAVGLILLYVILSVVVLFVIMLIIRALMARVLKLWAKKRM - ->YP_010086097.1 Glycoprotein precursor [Cacao virus] -MKFYNLLIPQLLSGCLCSYLLLVSTINRDAKICLSNTTPLRSLIEYWSRISEGLSMEDSTCSLGDSSYVR -MSNKTIMNSIKLVQDSVTEIKLQCNMANNSNALLHFDGLSDSFDRPQILNCESKESLGDINYNPEYEIHN -KDIKTELKSSDSILRDRVAELEERLRDETKLLNAERIIRDMEMNDHSERYYSNDVRMREHEEQVKNLDKM -LVEKESYIARLQNNITSMISAIKPTKKAATLITAISLLSSAYPSPEMPHLRNRFGKGLYKTEEADESNCR -PIDYGTACAGFEYIYYKGDYPFMKAHYHHRTLLEAYNDNLISLKSSNGLCKLDNTKGKACFDERAFIKAS -CPPGLNSAHYVDSKGKMRIVKCEDGNELTEDCVHCKKPLTGKQVSKSSVALQDAVCQVNSTQYNGPSIVP -LGVCSIGNMKFKSCENGVTQVESMPFAIFQEQGKIYLDSLVVRNTDMVTKDSFLCYDRVGQVDGSDTSQT -TTNRMKKFDISKCKNVVRTGDKHCAGDEVFCSQYNCEGDYPAAQCVFAKGSGPIELFMSGVWVRPICLGY -EKVSVRREIKPQILKSQSECLNCVADCKIRGIVVRSTGFRITSAIACSHGSCVSKTQEPNTEILVPYPGM -SASTGGDIGIHLSHDDGTISNRLRVFCEPRDLCEAHSCMFCLEALINYQCHTFLSGLMVAMFVVSMIAVL -VSGVAVILKVFRISPRILALPIVWLSMIFRWIATGAYIRLSTKLRNLNNEIGWNDNNIEGVIVQGGNPRF -LNNNARNMNRHLNRYMYTIGILLFMICSVHPCSEIALASSKMTKCTSEKSKTNCKVSGSLLLKAGIIGSD -TCLLIKGRSDTQQTLISIKTESSEIVCRQGQDFWTGQFSPKCMSSRRCHGVGECIDQICQAWTDNKTSQE -FSGISKNQKMVENKCIEQCGGFGCGCFNINPSCLFVHAEYVSNRKEAIRVFNCIDWVHRLTLKVTDSQGD -SEIITLGSMETKFFKWGSLTLSLDAEAITGSSNLLFMQNHAGGFSLADEQFSDIPREGFIGEIRCGSEAA -VTSAHSSCKKAPNLIKYKPVLDYVECSTNLIDPFTMFLRGSLPQTRNGKTFTSSKDQKTVQALANAQVNA -QISLTIDDYEVEFKEEGPSCEATFLNLTGCYSCNHGARVCLRVSIISDGIFSSHNEDNSLNMAFHVKNNM -KEYCQILHFDTPHVDEQMTYTCGSDERPINIRGSLISIMPFDFRNQSDTVSTIVNPVSTGWSLSNWGKGL -MNWLGGPLKTMGLIILYIGLSILGLTLLIYIFKVIILSYIKKIASRKSK - ->YP_010086096.1 Glycoprotein precursor [Itaporanga virus] -MFLRAIIILTIISEAASLLSLKVFSDSGESGVCFSDETDQSVLTDYLYREILAKRVVVELCYLSRGESFK -NLNSTAVGTIIRTILHTELSTVQCLSDDFETSGLIVKKEPPFIPGPSSIIDCTDHRLLSLLITNLGPRSQ -RGSHRVLGVITEKPKAKPTTPSVEEEEEEEEEEVSNTTSVTPVPTTTTTRAPLLRKMGSPPLIATIMLLF -QLSGLKEVLIDLKSHNRNRPGSGTNTLETSDDACKRTSYSMPCFNFDILQTELFPFTQSFISHYTLLEAQ -ADKVVTKVDSSPCTIPNSSDSDSCRTGMIPYPNKCPFGFNGFHFIDNVGKLFAAKCAADHAISPDCKFCY -KPKTGAQDIVVKGSSFSFQDAVCQKTSDEGPRAQPKLRGFCKIADKVIKTCKAPARMVEKMAFVSFTGKK -YYLENLIIRQRQNSSGENFRCYIHTGEATGDVIAQGHRITHADPTKCKAVDSSQAILCSGDSIFCSHYNC -EMNKPQAHCFYDPGSGPVEILIGGAWMMPNCFGYEYASVERENPPMPDPVQEGCPSCVTNCEVDGITIRT -TGPQISSATACHHGFCRSIAQKSSTTIKMPYPGMSASRGGDIGVHISHEGSTPSAHIKVHCPPRDSCEAH -NCIVCYRGLINYQCHTLLSFFVVSSLLVSASWVALYVTWRVLKVAKLMPARFKSPIVWLSFLIRWIFRSL -GFLIKKSFQKLSERIGWDGQAHRNDVEAGPAVVYHGGQVGLRPIQRTFFLIAVLLISSCDSCANTELASS -KISSCLLKGSNVVCSLRGSVILKAGPIGSESCLLVKNTASTQVKHISIKTVSSELVCREGQSFWTGLYTP -KCISSHRCHLVSDCTGFRCREWNNRTISKEFAGVAESDGLTDNQCFESCGGIGCGCFNINPSCIFVHSKL -YPVKGKALRVFDCVDWVHRLNLEVRYPSGNTEKVVLNSLGTKITEWGSLSLELDSEGMKASDSISFIDGK -ELGFGIVDEQISRVPRSGFLGEVRCASEAAAVSAHSSCITVPDLVRYKAGGSKVECTTNMVNPFTVLEKG -ALPQTRGKLTYTSSMDKTTVQAHNSGNVNALLHLELDDYEISFESTVSTCSLSLVNISGCYSCNPGAQLC -FRSTCSPKGLIYVNDDSSNLHLVVPSDTSPIESCVIGHFNSPEIDIRAEYSCGGESKPVDIKGTLISHFD -VDDRVTQGGSSVSVNSKESEWSFGEWSFGLLSWLGGPLKAILKIGFFILISIVAVYVCYRVALLIIDKAT -KRSKSQ - ->YP_010086080.1 polyprotein G1G2 [Rio Grande virus] -MFLRTVTLIALLSGADSIIKIFSIHPEGSSKVCLSNQSPAEVISYYWSLQMDKLAKENYMCSIGDSIKEN -MDVNKIRRLVKQVGESPTEISFQCQVADVEKSGMGVSYTFNGIDDTVPGTHLVDCDNGTKSIGVARATGP -QINRLVGPPPVLVEVPRLEPKVRGDLAELSRRVSEAKEKEELMTKEREALKSQADLMQVKSSAKFKELEE -QIAELKEDIIDREFENNAIKKEMRASEAESVALREEINGLHEILKLEHEAKKEMNRSLEEAKSRIQSGYN -MRNQPLRASLGNTKYGVRMTTVLPVLTAFSLLSAGAIASGVNDDPHFFNRQFSAVHKLEESDEESCKKIN -YGTTCRAFRHLSNITAYPFFNSHHHLMTPLEARAKNIISLEANGVCQLGAQGHSKDCWSEAYKIKAYCPN -GHSSAYYLDQEGKIRGAKCEQDHELSEDCSFCRKIKANDQIKLEKGSIQMQDVVCQEGSIDYNGPKVVPK -GFCGIGPHAYKKCFQSTHTIENVPFVIFQNKGKMYLDSLILRNPQKNSITAFLCYEHKGQPGGSIKEEQE -RRELSSVLPTECKQHDSSKTRTCIGDPSFCSVYNCAADNPSIWCQIAENGGALEVLLKGVWVKPKCVGYE -RTYVRKEVKPKVITHEETCETCVHQCRSDGIIIRSTGFKITSAVACAQGSCVSSHQQPHSEILVPYPGSS -QTVGGEIGVHMAHEDEKISSKVRVYCGPQDPCVIHSCILCAHGLINYHCHTALSAFIAFVLLTSCSMIIF -LVLWYALRAFRVVPSYMKNPFKWSYALLRWMGLKFMNSMRNRLNEVNENIGWDVEAANGGAQRQARQIRP -IPRYATGFLFLSLIMVSHACTDSVIASSKIVSCRLEGGKTLCRVNGVVTIKAGVIGGEACVILKGHTDGQ -RRHLSIKTLSSEMTCREGQSFWTGQFTPECFSSRRCRLVGECKDDVCQEWNSSAISKEFSSMTDNEYMTE -NKCFDQCGGWGCSCFNFNPSCLFVHARFVSNRKEAVRVFNCVDWVHKLHLLVTDAQQNQEEVTLASMGSK -FFSWGAMSLSLDAESVTSTNSLSFLQSGKGLFALHDEALTDIPREGFIGEIRCASEAAVTTAHTSCKRAP -NLIKYRPMMDQAECVSNLVDPFSVFLRGALPQSRNGMTFTSSLDGTGVQAMNSGSIRAQITLNFDDYDIE -FETEMTKCEASFLNVTGCYSCNVGARICVKVKSSGSGSFIASSKDKDLVFTAPVSQGTRDICSIVHFSKP -EIDEEVFYGCGGEDKMIVIRGTLSAVNPFDDRNQTGGNSIIVNPDDSSWSLLSWFSGFMKWMGGPLKTIL -LILLYVFLSLIFLFTVLVAFKYLIKVGLTKLIKKEN - ->YP_010086069.1 polyprotein [Punique virus] -MFYAKFVIILCLVQGFSLKYTIISKLGSGTTRQVCLSHSTDLDKVLEISNMEMDAINLLGGFCTLGELRR -EDCSPNVFSNYVVNVHGTIHSSNCKFVDIVGDRSIEVLNDATPDSFTPGAHNCSSFEKPPFWTDLVPKKN -DTDPSPSLLSVLPAAAELDFNLKLKEANDKVEAIQRELVAEKARSGRIEDEARTKMRELEDELRRGWIAE -REAKELAHKNLEEERVSKLEIERRMHDLDKKLRQSENDADRLREQYNKKRKSEEEVKTPKASTLNATTTL -ATVVLTSLLATGLAAIEQIEERNTNHILNRPGTGVYMASPTLTQTECSLGYGKECKSWELQVSPLHYPFF -TSNVDKYSILESITQEPTLLIKNNNSCELTTSPGSQKQCQKESSTIKKYCTDDARAYFFIDLGGNLSIVH -CSNNFVLSEDCNFCISKSGGVGQKIFMPIQDAFCQRGGSESPPVVRYSKDLCSIGLFKIKSCHKSTSRYE -RMGFIVAGQKKLYLEELKMRYRQEYDEDQFFCYKVKSNSPLQYEKVSPSKCKGVGSQDQKCNGDEYFCNR -YPCDTNNPDAHCSLRRHAAVVEVNVGGVWIKPKCVGYEKVLVKRGGLKTEDLSVRECTSCLWECERNKVV -VKTHGPKIVSATACSHGSCKSVMQKPSTFLEIPYPGNSEIIGGDIGIHMSERGSPSNIHVVVHCKPKDSC -DISDCIFCKHGILNYQCHSVASAVLLSTMIAACLALVGVIVSRSKHAVKFLASVVAIPFKWVSLLTVWVV -KNWKLRITRVIRATNDAIGWENNLEQVRVENAARRPQPAVRYAFYGTTILSILSTGLCCSESLIAESSIM -QCTTEDSSTVCKATGTVVLKLGPIGSESCLILKGLRDNEKQFISIKTISSELTCREGESFWTSLYTPVCL -SSRRCHLMGECTGDICLKWKTNKTSAEFTGKTHSEVIHENKCFEQSGGMGYGCFNVNPSCLMVHSYLKPI -YKNAFKVFRCVAWNHRVRLGITTHKRNFEITLMAMSTQQTDWGSIGLILDSEGITGTNSYSFMKHGLGSF -AIIDEPYVTEPRKGYLGEVRCPTEETAVKASPSCKVAPGLIEYQPEMDVVECTTNMMDPMAIFNRGSLPQ -VRDGKTFTQSIEKSSVQALTTGEIHASVRLVLDEYEVEYKVVNNDCDSTFVNITGCYSCDEGARLCVKVK -ASSDVIYHFTDSDNSMNVLFKVSSNIQDYCIVLHFSKPVVNVEGKYDCGRSKKPMLIKGTLIAMAPHDDR -VTNGGSSIIINPKGGGVDFLGWLSGLSSWFGGPLKTFLIIAGFLIVGIIIVIIIIILARLGIQQALAKKL -K - ->YP_010086245.1 polyprotein [Viola virus] -MFKKLVVLTLALGQGDCLYKITSLNQGARHELCMSNPTSFESVIDYWGSMDRALGEGDRECDIGGGVKSY -TTASTTKKLVRTVVDSMTALNMSCSIKDSPDKLIHLMFDAEYDGHLAPMIVSCIDGSAQGPLRIAGSHST -NKIIHFPKGASVEEGTSPPEVSEKPDDLSDEISYRIKSLTSGNNDPGSLVHVISSKDHELSTLKEEINSL -KSRLEHEREDRDRLRAEHKAQIGHGDAERKLLEDRLRAREQDIHNDRAELQQLKAKLRSLENARVPVTTI -APKAITTALTVVSLLSAVGADLNNWTHAANRPGIGTFLFDGGDNDCASVTYGTKCSSFLTLLDDNKYPLF -NTFVHKYSSLEAVTNNIIQKDDNGVCKTDAERSNKKCFDEMKFMKMSCPNHMRSVHYIDSVGKLRGMICA -DEHEVTEDCLQCRKLTQKDAITKGSVSLQDVVCQKGSSNYEGTQPKIKGVCRIGEQDIKTCKRWTTNYET -VPFAVFSHSSGMKKLYLPHLKVKSVEVIDNDSFVCYQHVGQSSGNKKDATDTRLMLRVAMTDCKNVDSTK -TKKCTGDEVFCSHFKCTQEYPDTYCLSAPGGGPLYVDIKGSWIMPACVGYETLLVYREVLPLTVKSTQEC -QSCYYECRQDGIMIRSTGFNILSATACSHGSCRSASQKSGTEITIPYPGLSKSQGGEIGVHLAHDDTDVS -SKLTISCKPRDQCEAHSCIFCWEVFINYQCHSFLSSFVICCLVIAVTGLALKALFYTMKKVKSVRKCVVY -PIKWTSHLLIFLLAATRKGLQRATGIVNERIGWEDERPLAVRRRVVPIQKYALPLLMALVTGSYACTEMA -IASSKMIKCQTEGGSTNCKASGSVLLKAGPIGSEACLVLKGSQEDQKKFISVKTLSSELICLEGQDFWTG -LYIPKCLSSRRCHLVGGCTGDSCQKWNNDHVSGEFKNLVDNERMYENRCFEQCGGLGCGCFNIQASCLFV -HAELESVKKEAIRAFSCVDWVHRLTLEVKDTQGNVEKVVLNDMNTKMFPWGSITLALDAEGMTSSNPFTF -LQNREGGFALVDEPFPNVPRRGQIGEIRCSNEAAALSAHKSCVRIQDTINYRPHMDSVECTANLIDPFTA -FLKGSLPQTRGGMTFASSIDGKTVQAMASRSVKAQVSLSLDEYDFSFLSTVKDCTASFANMSGCYSCNEG -AKVCIRAKVTQSTTYIAHSKDFSINMALELRPSTEDYCQILHFSKPLIEETMSYTCGGPDKTMVISGHLI -AVDVTTGTNTTGGDSTVVNPSEDSWSLFGWFSGLVDWLGGPFKALIKIGGYILGAVIVIALLALALRLSV -PLIIKSFRKKTN - ->YP_010086205.1 glycoprotein precursor [Ntepes virus] -MSNIIKVLTFLKGAEAAFELLSISKTGNSMDCFTSSTTADQLTHYWDDVLGRLSDDVLSCKVDGVHTPMS -KHEIHAKIKTLHDGEGDNVLACLSRNGEDIELSNDLQSDTIKVPALITCDQRREYIRDLISVGSPKPKTK -LPKYTSEELDEKISTAVKEAQEIANTEKLKALESLRKELEASREEHRSRLNEINQQKADFAEEISRLKAE -MKLIKERKEESSKDAMVKEQLIRILEEENKRLTDETRKEVHSLKQGEKELKHINSEITREIENLRKLLNL -KEVEIEDIKKTKELSKEKMEEIKHFKAELEKKMVENKRIHDEIEEARALAVQKDAEIQRLRDQIEIERST -KLTTTKRPRVAATTVSSLILLSTALGLASPVAGVGANLNPDPHLKNRPGTGKYSVDGITDAKCNLIDYSS -VCPGFNLLSDMAKFPFFNSHAHHRSILEAMHDNIIEKDNAKVCTVNDSNTPAACIGDLHSFNERCPENIR -GVHYITTEGKYASMTCKDGHEVSENCKFCVKLKNPGSLTTASMPLQDAVCQISNTQYRGPIMKLKGVCSI -GSRVYKRCQNNRQSYEKVPFVVLKGKGKHYLDTLSLRNKEQHAANAFLCHTYNRLIGETNSIDVAEKVLK -RVKVSECKIVDTTKSKKCTGDAVFCNHYSCVRDFAEAYCTVAPGAGPIEVLYSGSWVTPVCVGYEMTTVI -RETEPRRDTSSRDCLTCVTECSKDDIIIRSTGFGITSAVACSHGSCVSATQKASTEIRVRYPGLTASTGG -SIGVHLSHDDPTTNTHVVVNCPPRDPCLVHNCIICTHGLINYQCHTAISAFVVVLLLTSSSLVALYATYK -VLRILKIIPKKALSPLSWIYYLIMWIVRRIKSGWNRQMESLNREIGWNDPERGIVRPHRQPPIPRYAFKI -GLFLSILTVASPCSETVVASSKITKCSTVDGRMVCTYSGTVTLRAGSIGSESCLILRGPSGETKKYISIK -TVASELTCREGQTYWTGQFSPKCLSSRRCHLVAECKKTRCLEWRDDQLSNEFSGMGNNSVMNENKCFEQS -GGIGYGCFNLNPSCLFVHAYFKSTKAEGLKVFSCVDWVHRIKFDVKNPAGNKETIIMGSMSTRFMEWGSM -TLGLDAESISGSNSFSFMRNSRGEYAIVDEEFSTIPREGFIGEIRCNSESAVITAHKSCLRAPNLIKYRP -MLDLAECTTSLVDPFTVFKRGALPQTRNGKTFAGSIDRTTVQAMSNAVIQAEITLVLDGFDVEFETTTAI -CSASFLNISGCYSCNEGAQVCLKIKSDKQGVFTAHNKDMTVNFMTHVTPGTEEVCKILHFGQPEIRESLL -YSCGGEESPVIIKGTLIAYNPFDDRSESGGSSTIVNPKGGDWDIMGWASGLFSWLGGPIKAVLMIIGYII -LALLTLVIILALGRMLITHLIDLRRSKNK - ->YP_010086202.1 glycoprotein precursor [Tres Almendras virus] -MKILLYVKQMVLTLAVYTLSSWSIEGTTRLCMSNESPLEGLVYYWEDKMKKNKLTIDKLSGCRIGEGDLQ -EMVNKTIIKMIEEVQLSMSPLRFSCHQSLNESGISIDFNGLDDTDSGYHIVDCNNHKIYEDLGVLVGDGT -AWESRYNKSFNEEIAVKTNQLRLALSDLDRLTDLRVDDQNEINSLIRENQMLQHKISNITGVAYNYNNSL -QALLDDLHKRDVEYELMKIREQELKNLLDEQNRTMDKLKAVRTPADKYVMTTTPKTILMTTLAVSLLSSS -SAITHPSLLNPYPHARNRIGSGLYRFDSEDDSTCRGLDYNTNCLGFDHMLRPDRYPFFNSFVMHMTPLEA -YADDIIEKEGESCEMGKNKDSKCLDGRRFMKASCPQGINGVYYINDKGKLSHSRCKDSEYEITEDCIFCR -KIKKKGSKSIMKTSVSVQDAICQNSQEEYRGPKVPFKGVCEVGSVVFKSCTKSVQAYENVPFITFKNYGK -MYIDRLITKNVELVNSVSFICYEHKGQDGTEVETRELKRVKIGECKNVNSSKSKHCTGDQVFCEKYGCSG -TYPEVTCITAPGSGPVLVNVLGSWLKPQCLGYEKVLVKREIKTSLITFNQECETCVFRCEGEGVRITSTG -FRITTAVSCSHGLCISTHQSPQTSILVPYPGLSSASGGPIGVHISHTEDSVSMHLTVHCDPQDICKTLHC -FFCINGLMNYQCHSVISSITTSVAISLFIYIVLVLIGKCLYMCKLIPKKLRSPFAWLGLLSSWFIRMIRA -SLHRLSERINETIGWTNTDNRRVGNQLREVRVGRALPRYATTAFIIMLTIPLAFSCSETLVSNSKQTKCV -QSSGNIKCSVSATVTMKAGVIGAESCFIIKGPMDNQHKTIRVRTISSEVVCREGNSFWTSHYVPNCLSSR -RCHLVGECTGNRCQSWSDEEISMEFKNTKDNMVMNENKCFEQCGAIGCGCFNINPSCLFVHTTMRAVRPE -AIRVFSCVDWVHRLTLEVVGPDNEKERITLGSLGTKFLSWGTVSLSLDAEGISGTNSISFLESSKGGFAL -YDESFSEIPREGFLGEVRCSSESAAVAAHSSCIRAPNLIKYKPMTDLVECTASLIDPFAAFTKGSLPQVR -NGMTYTSSIDKKTVQAFNSGSIKALITITMDDHEIQFLSESKKCDATFLNITGCYSCNFGSRVCVRIKVE -GNANFLAVNTKESFYLSFNVWSGTRDYCQIMHFNSPEVDLTTTYSCGGDSRILHIKGLLISMSLDYGRNK -TGGSSTMVNPSEQSWSILNWLSGLXTWLGGTWIAVGKIIGFLLLGVVILLILISFLSALGKSLLKKTKLT - ->YP_010086196.1 glycoprotein precursor [Mona Grita virus] -MNSKNIIYLALLSCSDAALRIRGRKELGRSEVCFSDQSPDDAVMVYWLSELEKVYSEDFVCSYSGSDYIS -MNKQGINNRVEELFVENKRNVSVSLACLGKDRAIEIEVINLITEGKPMIVNCNTGEFIAEIRTIHGKKRV -AIDKTPEMVSAVMSEIESRGEDFKSLYDNILEQYNKVTEELLNAQKDKLNSDAQKISEIEKLKDTTDMLE -GVIERLRADMLKEKSLSTRLENELAILIDSQNEKKKEAHHSESVSLSQPGGDIKDNKIHKVSQQLATSRH -PLTTTMLPIMMVTLLTTSSAAAAINTQDEVFNRPGKSNWFLGEDSSNHPCLKIDYGSSCKTLDHILSSAD -YKFFQSHSQHLSIVEAFNEGVVEISQGQYCNNSNGNAVNNNCNQEQGVLSFHCPSGFQSAVYIGLDGKMK -GTQCPKDHEITEDCLFCRKLKDTGSGVNGHSLMIQDAFCQTGSQTFSGPMIPIPNVCSVGLRKMRECSHS -TSSYEMMPFMSFKNNGKYYLPELILKNTEEVNEGNFACYTHKSQFSGSSGSDSGVSTSEKSKLKADPSIC -KTGVNMNKCSGDNVFCKHYSCSSGKPTAFCHVRSGAGPIRVRYGGAWLAPSCIGYERVHVTRPIASAALT -PEGDCSTCVSLCKEDGIHVRSTGFLISSAVACQYGYCSSSHQTPSTQIVIPYPGSSQSVGGEIGIHLSHD -GEEVGDRLHVFCESKDPCEVHSCLICAHGIINYQCHTTLSAFVVVLTIVSMLYIMMCILWKILRMLKLIP -NAAKSPIKWMLLVLKWVVKKIETYFQRRRDEINRQIGWRDPEIQVPNRIFIPGGQAVRYSTYSLLFLIFV -SHSESCSENVIAGSSITKCRSESGKDVCTLSGTVYLKAGTIGSESCVVIKGISDKQKEYVSIKTESSELL -CREGADFWTSLYRPKCLSSRRCHLVSECKGNNCQSWTDDKLSTEFSLLGNSTNMNENKCFEQCGGIGCGC -FNINPSCLFVHTTLEAVKPEAVRVFSCVDWVHRLTLSISSPGHQKLLLSLGALTTHSTGWGSISLGLDAE -GITGSNSISFMQSRTAGFSLVDEPFPLEPRKGFIGEIRCSSEAAAIGASSSCERAPDLIRYKPMTDLVEC -TSQLMDPFVVFRRGALPQVRNGMTFSISKNKDGVQALTTGVVRATLSVTFDNYEVEFLSEAQDCKASFIN -ITGCYSCNSGARVCLSISSTKQCTFIAENLQSGSMLSFRVNPSKREYCQVVHFNRPRIDEPMEYSCGSKM -RPILISGSLIALHPFNDRNTTGGDSIVVNPKTGTWSFYNWFSDLVSWLGGPLKAAGIMILFILACIVVLF -ITLFLLKIFVKKLLEGRQKKTL - ->YP_010086192.1 glycoprotein precursor [La Gloria virus] -MARIFLIKTTETLVLIALLLGAVDSKYYLRSKNGDQGSSICLSNDSPVRVLRHYWVTMKSALGSDAMRCQ -IGTSGDAYTTEKNALNLVADIQRSTTYLSLFCHFENDNAKNINLTFDGLSDDLEGSHVVDCDTGISIESP -RLRIDEPIPKKVIGIPANPMSSIVDNLISKEESAISEEISRMGKSQIRTLQDALAIERASRDKLIRDLEM -EKLQTNSIRGDMAALREKLSRESTKAQLELEEEKAKLSTIQRLLLQEQKRIANMEQAKKDLDIKYAIELR -ESERTRKEAQKVSEEPDVSSSELFSVRNSKSMTLDGRVKDRHENPWPHVRNRPGRQVFTNELLASSSIEE -TGCKTLGYHDTCAGFELLIDISRYPFFNSHSHHYSLPEAVGSGLLKYNKSGSCKIESESTQDAECHKARA -FIRAKCPHNFQLSYFLDDEGSFRHYACKDGYELTEDCLFCRKSPLPANKKPIKSGVSLQDAVCQSTEYEY -QGPKIVPRGFCSIGHKIYKNCQNTESSIQSIPFVIFKNTGXLYLDKLTLKNTDQSSAQAFVCYNHRTQIG -QSSSSPEVRSLVPINVTDCKTVTPSKTRLCTGDEFFCSIFSCDSAHPDTFCELSPGSGPILAYIQGEWTM -PLCVGFERVLVKRDLKLPMLIDQRECRNCHYECGPSDVRIRSPGFEILGAVCCSSGECSSVTQAPSTEIV -IPYPGITQSTGGKLGVHLTTNREGVNDHIVVECPPQDICKSKTCNFCLDSILNFQCHTTQSALIIGVLTS -VVLILTGSLLRLLSNKIPVLKQSLQWPGLLIIALIARSIKVFKTLYIKLKNQADNMILENVEVQRVPINR -IDRLRPINRYALIPAMTLLLLTLTDACSHTIIASSKIMKCKSIDDSTSCRVSGTVSISAGSIGSESCITF -SPPGSQERKFLSIRTMASESICREGNDFWTGQFSAKCFSSRRCHLMGECKKDTCQEWESNRVSKEFSGFE -DSEFITDNKCFEQCGGMGCSCFNINPSCLFVHTKIESVRNEALRVFNCVDWANRLKLRITDEKGDFQDIM -LGSMSTKFMPWGSITLTLNGATQFGSNSMMFLQSSKGGFALVDENFSEVPREGFIGEIRCSSQSAVLSAH -RSCKMAPNLIKYKPMQDAAECNTNLIDPFSAFAQGALPQSRNNRVFTSSMDKKTVQSLSSGSVEALITLN -FDDYDISFDEEGSECDAVFINMTGCYSCNHGALICLKIHSESNDTFYGRSDKTSIDINFRITSGSDTYCQ -IVHVASPIVEEGLFYSCGQEERRIEIKALLAKLPLQDWRNSSEVRSITVNPRGEGFNFIEWLKSLMTWSG -GPLSLLLRFSLYAVGCVLMAFILLQIVKKFLMSKLVIRSKTL - ->YP_010086224.1 Glycoprotein precursor [Icoaraci virus] -MNFYYFLISLVLAGRVNPLIRLSSRKSSYLGKVCFSPQTPSDGVMVYWLSEISKLSPGPLDCSRGEGDYR -KMDRKEMSSQMVGVVESAAQGLDDSFGCLGENEGIEIISDQIRSEPKPMIVNCDSGLFQEEVRVIGLSPR -EKPKIVVNPKDSVIAVNNDTKEKIADMLKQDHNKKEKLLAEALEEIKKIRSSHGLENSKKEDELDRLLGE -KTRLEGDLNREKSLSTRLENELAIMKDEIFKREERARAEKRKADMQKEIDVSKKNPKFLRPPSAGFTTIA -PAIMIGLLSASVVSPQMLAHVNNRPDKGKFTLDNEEDTGKQCTSVDYGSDCKTLTTLLQPGKYPFFMAHS -QHQSLIESINEDIVSVSSDGFCNHSNGAAVNEKCNEERAHLRHECPPGFQSMIFLGSDGMVKGTKCPKDY -EVTADCQFCKKLKSDDAAKANGYVLHLQDVFCQTGGQSYTLTPPAPKGYCTIGEKAYKRCVDYESHYERI -PFITMPVAGKIYLDTLEMKNTEEDNRGNFLCYSHIGQHQTSGSITDTESGVSQLKKVNPDACSSSTSKCV -GDAVYCTRHKCVEHKPLAYCMVRSGAGPIRVRMGGEWVSPSCVGYETVLVTKPLVSSPIKPVGRCSACIS -ECQKDGILIRTSDSKVVSAVACSGGHCVSSHQKPDMEIKIEYPGMLQSTGGSVGVHLSYEGQAPSDHITV -HCNPVDPCIAHDCYICAHGLINYQCHTTASAFVVVTLIVGTLWMVVSISLVLLRYLGRTPGKLKSPAKWV -GLLIMWVYGKIRKAISDHQTELNRRIGWEGDVERGPNRPDVVVRNPNRQARIMPGGGLVRYSTYMAMIMV -LIPGAMSCSETVLASSKIKRCFTESNKDRCILSGSVLMRAGPIGSSSCLLIQGLSENQKEFVSIRTASSE -LVCREGQGFWTTLYKPKCMSSRRCHLVGECHGNTCQSWNETRLSSEFSGLDNNKVMQENKCFEQCGGIGC -GCFNINPSCLMVHTELSAVKPEAIRVFSCSDWIHKVELQIKVPDLPVQTVSLGALSTVSTPWGSIGLGLD -AEGITGSNSYSFMKSSSGEFALLDEGLSMIPRRGFIGEIRCPNEAATLAASSSCVRADDLIKYRPMTDTI -DCTSSLVDPFLLFNLGMLPQSRNGKTFTKSKDGTTVQAMSSSVVEASLSLNFDNLEVEFVVNQPDCTATF -LNISGCYSCNAGARVCLQIKTNKQGTFIANNEDNTVHFMSNVVGNKEIYCSVLHFSRPRVEENMEYTCGR -DKKPLIIRGNLIALDLITGRNQTGGSSVVINPKTGSWSLGNWVMDFSDWMGGPLRTIAKAAIMVLLSVIA -LILIWNILKLIIQRMLENKWRKDR - ->YP_010086218.1 glycoprotein precursor complex [Massilia virus] -MFYAKFVIILCLVQGFSLKYTIISKSGSGTIRQICLSHSTDIDKVLELSSGEMDTINIQGGLCTFGELKR -EDCSPDVFSNYIANMYGTTHPSSCRFLDIIGDRSIEVMNDASPDSFTPGAHNCSSFEKAPFWSDLIPAKN -GSGPSPSVVSVLPSATESDFSLKVKKANERLEVIQRELAAEKARSGRLEDEARTKMRALEDELRNGWLAE -REAKELAKSDLEKERVNKLEVERKLHDLNKKLRQSEDEAERLREYHNKRRKESDEKMKMTKTVAPNVSTT -LATVVLTSLLATGLAAIEPIEDRNTNHILNRPGTGVYMASPTLTENDCSLDYGKECKAWELQVSPLHYPF -FTSNVDKYSLLESITQASPILIKNNNSCELTTSPGSQKQCQKESSTIKKYCTDDARAYFFVDLEGNLSIV -HCSNNNILSEDCNFCISKSGVTGQKIFMPIQDAFCQRGGSESLPVIRYSKDICSIGLFKIKSCHKSTSRY -ERMGFIVVGRKKLYLEELKMRYRQEYDEDQFYCYKAKTTSQLQYQKVSPSKCKGVGSKDQKCNGDEYFCN -RFPCETNNPDAHCSLRRHAAVVEVNVGGAWVKPKCVGYEKVLVKRGGLKTEDLSVRECTSCLWECEKNKI -VIKTHGPKIVSATACSHGSCKSVMQKPSTFLDLPYPGNSEIIGGDIGIHMSERGSPSNIHITIHCPPKDS -CDVSDCIFCRHGILNYQCHSVASAILLSTVVATILALTGVIISRSKFAVRFLASAVAIPFRWVSLLVVWV -MKKWKSRIATAIRATNNAIGWDNGLEQVRVENAARRPQPAVRYAFYATTILSILGSGLCCTESLIAESSI -MQCTTEDSSTTCKATGTVVLKLGPIGSESCLILKGLRDNEKQFISIKTISSELTCREGESFWTSLYTPVC -LSSRRCHLMGECTGDICLKWKSNKTSSEFTGKAHSEVIHENKCFEQSGGIGYGCFNVNPSCLMVHSYLKP -IYKNAFRVFRCIAWNHRVRLGVTTHKRKFEITLMAMSTQQTDWGSIGLILDSEGIEGTNAYSFMKHGLGS -FAIVDEPYSVEPRKGYLGEVRCPTEETAIKASPSCKVAPGLIEYQPEMDTVECTTNMMDPMAIFNRGSLP -QVREGKTFTQSIEKNSVQALTTGEIHASVRLVLDEYEIEYKVTSNDCDSTFVNITGCYSCDEGARLCVKV -KSSSEAIYHFTDSSFSVNVLFKVTPSVHDYCTILHFSRPVISIEGKYDCGKNKKPMLIKGTLIAMAPHDD -RVINGGSSTVVNPKGHGVDFLGWLSGLSSWFGGPLKTFLMVMGFLMIGVIIVIVTIILIRIGLQQALAKK -FK - ->YP_010086181.1 M protein [Buenaventura virus] -MYKLITNILKLSMVSAMYSIMFWDPTGISKLCLSNNSPLEGLVYYWENTMREGEFKREKRKKCRLGISEP -APVSNQTIVSLIEEVQKSPAEMILICETSGNATGSSLSFDGLSDNEVKPSIVDCATGERTSDLRVLVGKG -TFEKKMSMSNEIDRVVSLEDHLQRERELVQTFQDLHHNESEKNKLLEHELENVRQQLRRERESNKVKVWT -TKKPEVTTIGLTTTIATILLASQSIASSVPMPGQLNTWPHAKNRVGGGVFKANDDDEDSCKLIDYGIACH -GFDYLLRTDIYPFFNAHVSHRSMLEASNEGIILKDEASCEIETNKDLKCYEERAFLKWSCPHLVNSAHFI -DSKGKLRIIKCKAEYEITEDCTYCRRIKKKAAQQKQVYKSSIALQDAVCQENSDYYDGPRITFTGVCKIG -SMEYKKCKHKSSSFENVGFITIRDKGKYYIENMRIKNIEMVSNVSFICYNHNGQDTEKDIRLLKRVKPSD -CKVVDDSKSKHCTGDHIFCDKYDCTKSYPEASCMHAPGSGPILVLVMGVWFKPQCVGYERVLVEREVKVP -VLTQEKDCDTCVFECQEDKILIKSTGFKMISAVACSHGSCVSSHQSPSTFLYIDYPGLTASVGGEIGLHL -SHTDDSISVHKKIHCPARDPCEAHNCVICYHGLINYQCHTFLSYSVVSFLFISVLYLTFFIVSRLLLFLK -IMPKRLKNPISWLTLLIRWVLQTLITILRLSFKKLSETIGWTTNDNRQHVDVEANRRPLRRYRTTFILTL -LFIGLASGCSNTAVSNSKQTKCLQSGGSVKCSITATITLKAGIIGAESCFIIKGPSENQQRVIRVKTISS -ETVCREGSSFWTGLFTPSCVSSRRCHLVGDCVGNRCQSWRDEVVSREFGNMKDNNAMSENKCFEQCGAAG -CGCFNINPSCLYVHSVFKSVRREAIRVFKCNDWVHRLTFEVSGPSGEKDRIVLNSLSTKFLQWGTISLSL -DAEGISGTNSYSFLESNKGGFAIHDEEFSEIPREGYLGEIRCSSESAVIAAHKSCIRAPNLIKYKPMTDQ -IDCTASLVDPFAIFLKGSLPQSRSGYTFTSSTDKKTVQAFNSGAIKALISINLDDHEIEFLSDTADCDAT -FLNISGCYSCNYGAQVCIKVRSSKSSIFSSNNNDNSIVINFDAEQGTRDYCRIIHFNGPLVDETLHYSCG -GESKLLQMKGSLITVGPYDFRNTTGGKSTVVNPHEESWNLFSWFSGLLDWLGGPLRAILKIVLIVIIGII -LFIVVVFLMRLAILNVLLKSKKN - ->YP_010086173.1 glycoprotein precursor complex [Medjerda Valley virus] -MIVTTFLFLQCLVLVELRVSLTISSPEYSSQNCFNSAVDPKFLQRKWATEASKMGDFDYQCSYDTDTPVS -STKENAQGLLTFTVLSQYPSQFSCVDETRKMRISIQNNGEKEDGEAAYLDCEKNTLIHLISTAEPFPDHK -LDAELAQEHENLKRRFKDLQTKFSSDRERLTEENERLKSELSSLHTTRELLKDQKAMQDRNLTMLNAVIH -NLNKRVKEGESALSEALNQARRDKEALIFLEEDTDKKLENNESIIKSLQEELRKVSLPRIPLLPAVTTIA -TISLLSSSLVVADNRVHIDNRPGNGKYAPKNGNADTGCDIILYASKCKAWGLQKDSTKYPFFNAHYHKYS -LIESMHATILAEKEKGICKVLNNSAQKYTECVKDLMPMKLSCPEGYKSAYYLNSKGMIAGIECDTNYQLS -SDCKMCVKSSTVVKGVMPLQDVFCQKGAVDYTGPVMSLRGVCAIGSKQLRECKRVSTSYEKVPFITFDKK -QKLYLDSLTLRNTESATPEHFICYELKGLMGSSDHNHGDASMKKVDPKDCKNVNESKNKLCTGDAVFCSI -YQCFKDYPDTMCEVAPGSGIVEAYYGGIWTRPTCIGYENIMVTRESMKVSTPKETPCTACVWTCEKDGIR -VVSHGYKMFSAVACAKGSCVSAHQEGSTEILVPYPGLSKMSGGKIGIHISHDDQSTSAHLIVRCHPKPAC -EVDGCILCFHGMINYQCHTAVSSLFISVLLIFLLLCCFWMLLKISKALKIAPSILRKPLLWVSLLVRWFV -NLCKKCFRTRVEGINNAIGWNGEVRVVPQRRDNNRVRPVQYYLYANALLLLLAPLALCCTENVVASSKIS -RCSTQSGKSTCRLSGVITLKAGTIGSEACLTIKGPSDDQVAFLSIKTVASDLVCHEGDSYWTNHYTPKCL -SSRRCHLVSECVGNACQLWNRSVVSKEFEHMTDNSLMTDNLCFEQCGAAGCSCFNINPSCLFVHSYLMPT -RSEAVRVFECVSWSHRLVLEVSGPKVNTRRITLSALSTQIAEWGSITLNIDSEVMNLGTPISFMRTSSGA -MAIVDESFSRSPRKGYLGEVRCNSESHAARGDNSCLRAPDLIKYRPQLDSVECTSSLVDPYAILLRSSLP -QKRGNHIFTQSIDGTSVQAMTSGAINAEFSLLLDNYEVDFISNTVTCDAAFVNITGCYSCNEGAEVCVKV -VSTGSGSFFAISDESSQAIQFQVQQGESLRCRILHFSRPEVEEQFSYSCGGDRKPLIVRGTLIAVGPHDD -RVAGGKSIVVNPKKGTWSIGGWFSGLVSWLGGPLRTAGMVVLYIVISIVVIIIVWALLKVVLTRALLARR -KMV - ->YP_010086162.1 polyprotein [Alcube virus] -MFVLIVIIIQGVVLAESKISLTITSPDYSSHSCFSSTVAPIIIQRKWTAEISKMGEFDKSCYYGNDTPSP -SSSSNSRKLMLFVAQSKTPLTFSCVGDEGNKLVVVHNDAVDDGMEPAYVDCDNGAMIHLISTSEEDTPVE -QNEDFEREHANLRTRFDELNDKYSKDVTRLNSENKDLLQRLKDLHESRDLLKEQKALQEGNLTQLNAIIH -DLTKKVNDGEGTVARLLDQARRDKMTLIQLEEDTDKKLEEKSRVIGNLYKQLKNQARTPAPSVMAVTTAI -AVSLLSSAMLVSGTCEHIDNRPGSGKYHSKQSTFDDNCNLILYDSKCKGWQYQKNETKFPFFNSHCHKYS -LVEAIHAPLLTMSTTGVCKILNVSAQKYTDCVKGLMPMELDCPDGYKYAYYLNNKGTVSGIECDTNYQLT -RDCKMCVKVSTDVKGVLALQDVVCQSGSINYSGPVMSLKGVCSVGTKKLKDCTQTSSSIEKVPFITFNNQ -QKLYLDALVMRNLEMKSPENFICYELKGQMGSTSSHHTEAPMKAIDPKECKSVTSGKQRICTGDIAFCSA -YTCYKDYPDTTCKLAPGAGPLEVFYGGIWTRPTCIGYEKTLVVREAVKTVTPKETACSACVWSCEKNGIR -VTSHGFNMFSAVACAKGSCVSTHQSGSTEILVPYPGLTKMSGGRIGIHISHDDQSVSAHLVVHCEPKAAC -EVNDCIFCFHGVINYQCHTVISSLFVSIMVVSLILLILILVSKLLGCLKRFPLVSRKPAIWLGLLFKWFA -RSFSRVFTNRIDGINNAIGWNPELERVQVHGRRARPVQYYLYANAILCLLISPALSCTENVIASSKISKC -VNEGQKVTCKLSGVVTLKAGSIGSEACLTIKGPSDDQIEFLSIKTVSSDLVCHEGDSFWTNHYTPRCLSS -RRCHLVSECTGDNCQRWNVSVVSREFDHMTDNSLMTENVCYEQCGAVGCGCFNVNPSCLFGHAYLMPTRS -EAVRVFECVTWTHRVVLEISGPNINTRQIVLSALSTQIAEWGSITLNLDAEVMNLGNPITFMRTSSGAMA -IVDEPFSRSPRKGFIGEVRCSSEAHAARGDSSCSRAPDLIKYRPQLDILSCSAALIDPYAILLRSSLPQR -RGNHVFTTSKDGHSVQAMTSGSVNAEFSILLDSYEVEFKSDSVTCDAAFINITGCYSCNEGSEVCVKVCA -TGSGSFAAVDDDFGQAIQFKVQSGDNVKCKILHFSRPEVEEKFLYSCGGEKKPLIVRGTLIAVGPHDDRV -GGGTSTVVNPRAGGWSIGGWLSGFVSWLGGPLKAFGYVLLYIVLSLLCIIIIVVLAKTLIQRTILARQKM -L - ->YP_010086149.1 membrane glycoprotein [Gordil virus] -MGQWWLFYFGPNLFDVTCHLLYFQTCAPWKIQSDPLLYPFFVNNYDQYSILEATKDTTQIITEETSVCEL -STSSSTLQTCGQQSTFIKKDCSGDIRAIFYINLNAKLEVVTCKKNEVLAKTCNFCIKKSGEVSPVLYKPV -QDAFCQQGGSSKVISVRYSKDLCAIGGFRIKSCDRSFSRFEKMPFVVLGQKKIYLESLKMRSRQELLESQ -FACFKVKESGQNGEFERVSPSMCKGVTTASTKKCSGDEYFCNHFPCETANPEAHCFIRKHSATVEVNING -LWIKPKCIGYEMVAVKRINLRVEEISQRECTSCLWECNKNEILIKTHGPKITSGVACSHGSCKSVSQQPS -TFFKIDYPGNSNIIGGKIGIHMTEETSPSNIHLTIQCEKRESCEASDCLFCRHGLLNYQCHTVASALILS -VLLSGVLASVIFMLSRSARAVRIMTSALTTPLRWVCLVIKWVMAKWKASIQQRVRRTNEAIGWERRDVEA -APVRRGVPNRYVFYGATILSLLTVVDGCSESVIADSQIMSCTTDGSTTNCKASGISVLQLGPIGSESCII -LKGLQSSEKHFISIKTISSELVCKEGESFWTTLYTPVCLSSRRCHLMGDCTGDNCLKWKHNETSMEFAGR -THAEVINENKCFEQGGGWGYGCFNVNPSCLFVHSYLKPVYKHGFKVFKCSAWNHRIKLHIRTPKQDYDLS -LMALSTQPTEWGTIGLVLEAEGITGTNSYSFMRHGSGSYAIIDEEYSMEPRKGFLGEVRCPTEESAVRAM -NFCKVAPNLIEYQPETDIVECRTSMIDPLTVFNRGSLPQVRGRYTFSQSIEKTTVQAMTTGVIRASVRLN -FDEYDIQFVSTKTNCDSTFNSLTGCYSCDEGAKLCVKIKTAASAIYHLVSNDGSINMIKKVEKDANNLCS -VFHFNKPVVEIEASYDCGGESKPMMIKGTLVAIAPHDDRITEGGSSIVINPKSKGIDLMAWLSGLSSWMG -GPIKTILTIIGLLLLGFVICVLSAYLIKFILWQALNHKKKN - ->YP_010086143.1 glycoprotein [Odrenisrou virus] -MHQITVISGPTEVSSCFGGLYPLGTLKSMMGNAMKNLEGKIFCSVGGRSIEEDEDGVLSLIDSFKEDPQD -QYISCHGTPKLKVVEYKKHGSRARGEKHAVDCVTGTQIGGSRRRGDLNALADRVQSEITTSPAPTVKTTV -KAIVLAALLATAVVRGDPHLDNRPGSGTYGTDSANSGTNCSPLKYDSNCASWELQKDKELYPFFNTFPYK -YSLIEAIPNSLVHIDEANVCKVTTSSPANTVECLKSLRSIKFSCPSGFKSAYFVHSDGKVRGIKCDTQHQ -LTPDCMFCQKTEDNSQPKDIISLQDAVCQAIGTEYNGPIMRIPGYCKIGDTVLRDCSQKDVMYERMAFLV -MRNKKLYVPSLIIQSLDSISLDHIRCYRHKKQHGVGDSSSDVKDLISIKPTECKQMDSTKVKKCTGDEIF -CSHFECDKERPDTYCFYANGSGIIQAQYNGVWVHPVCLGYETVLVEKQRLVEPVLRATDCASCVVKCREE -EIEVNSNGFLITSAIACYHGECLTKTQAPRQVVKILKSPSLKVHGGEVGIHLSTEGEEPSYHVTAKCEAM -DPCKAYSCFFCWENALNFHCHTAISSLILALSIGSISSVIIGSLYKLSKGTVFAVKYGRNPILWAIRFVV -WLFYKLKTKVFMRFKKLNEKIEHDVEMQNFTIQQPSRPKLKSLKVETGASSGPTRVKPINYYLYGATIML -GLIQNSYCCSENVIASSKISSCFIESGKHVCKLSGVINLRVGTIGSESCLMVKGPLEGQVEAIRIKTKSS -ELVCQEGSSFWTNHFAPTCYSSRRCHLVGECVGSKCLEWNSSVVSQEFRSMTEPHLMVENVCFEQCGGIG -CSCFNVNPSCLFGLTILKPTYKKAVKVFQCASWSHRISLEISAPRLPTKTLTLSSLSTQIAEWGSITLSV -DADSMVDNNNLSFLKTHDGEYALIEDVMTTSPTKGHLGEVRCQTEQQATVGSSSCLRADKLVDYRPQYNI -LSCTSKLIDPHAILKRNGLPQKRGKYMYTPSIGLDTIQAISQGVIEATMTLTLDNYEVSFLSDKAECSAS -FINITGCYSCYSGANLCFRVSASTSASFVARSKDETKVFSKTIPHGLSEHCSLMHYSAPVVDEVFEYSCG -SEWKKIQVHGNLVLLSLTDDHIEGGSSIIVSPSSGSFSMLGWFNGLLSWLGGPLKAFLLILLYVVITIVL -LVTVITVLKFGLRSLILKKHN - ->YP_010086129.1 glycoprotein [Ixcanal virus] -MKIIQYFCYISFVVSRLVLHYSTPTYSNKICFSSGSPEPIVTDYWMEVMESFPPGHQKCELGDGQFSAVV -LDDMPKFIKAMTTSDQALRLTCIGQNDSYISELTTDGDVIPGEFHSEVDCKNGAIIKELSRVNVAPAGVH -ARDLLLESAETDAILKQRDQLIAELREKLRDSKVREDAAMQRNKVNTELLSETKDRADKLQRRADELILH -LESERVEGKISRNRSKWLEHELKDAKEDISRLRLDIGRTTTRKPKGLISLTTTMIPLLLLMTSEATKISK -VRAKCSHARNRIGSGKNTLPGVEDSTCSIINYETRCDSLESLMVEDLYPFASSHIHKQTLLEAYNDNFIT -KDSDGVCDLQSGRNAGCYNKRSKMRPFCPNGFRAAHYIDDEGKLRGVYCPSGMEMSEDCLNCRKMKTSTV -RHGSIQMQDAMCQEVREQYSGPLPAPRGYCRIGNKEYKKCKAFHTEPRHMPFISLKGAGKVYLDGLVLRN -DEEAEGNSFICYKHKGQYATNDGADARIYEVAKLSDCSVVDSSKQGKCTGDHVFCSKFRCEQDYPEVSCV -VAPGSGPVLVRFAGGWVKPVCFGYENVIVDIEVPDYGMTKEDECEACVFSCDDDGIRIRTTGFKVNAVIA -CSSGHCSTYSQEPSTNVFFKYPGVSYSDGSKIGVHLSHDDDTISSHMVLQCEARDSCSINSCILCSHTLI -NYQCHTFLSALACTIFLTSCLLLIVFVVKRAIGCVKTVPSAVMGPMKWLRALFCWVAMRFKQCFARQVQN -INAEIGWRPNNRVVPIPRYTGFMAIMLSLFCICSACSETALSDSKISKCSVSDGKTICTLNGAVVMKVGT -IGTESCFMIKSPNGQKSHISLKTVSSELVCREGDSFWTSDYSPKCLSSRRCYWVGECHSTNCQSWDSGTI -STEFLRFGNETRLSENKCFEQCGGVSCGCFNMNPSCLFSHASLESVSKKAVRAFSCVDWTHRISFEIVDT -KGQKEKFSLSDTGTKFTPWGSLSLGIDAESITGTNSMMFLQDPLVGFALIDEELSSNPRAGFIGEVRCSS -EITAISAHKSCKWAPNLIKYRPVTDFVECSSDLINPFTLFRRGFLPQYRNGKMFTQSIDKKSVQAVSSLS -IRATVRLLFEGLQVEFIEEENDCKAAQRNVTGCYLCNEGARVCLSIASKLNGTFYAESLNGMHMAVQVTQ -GTSDYCSYIHFDSPSVSEIIHYSCGGTEKELHITGTLISVEPHDDRNPQSSGSIVVNPREAPWSLMSWIR -GFISFFGGPLKTAILVIGLILLALIIISVAILVARSGALKSMIQKAKIL - ->YP_010086126.1 glycoprotein [Durania virus] -MKNLQIIYLIGLVASRLVLHYSTPDSKVQICFSSGTPEVFVTDYWMEAMSLFPADNKKCELGDGLFSSVT -LDDMPKFMKAMTTSDQALRFTCIGSNDSFISELRTDGDVIPGEHHSVIDCKSGDQIRKLSDVNIAPAGLK -IQNLMDIEKEERDILRQRDQLIEELRTKLRDSKVRENTAKLRGSEANVELKSALEDADKFKRRADELILH -LESERAEGQISRNKSRWLEHELRDAKEDIIRLQNDMAEVSRKKKEVHSSATTRRTGVFSIATTILPILLF -ASAESIKQPKMRAKCSHARNRIGSGKNVLTGITSPTCSAIDYELRCDSLEILMQEDAYPFSSSHIHKQTV -LEALADGFLEQASEGICQLDSSRPTKCYEKRSKMRPHCPNGFRASHYIDDDGKVRGLYCNENSEITEDCL -KCRKLKTKPVKQGSVQMQDVMCQNVTVAYNGPLPQPRGYCKIGLKKYKDCDVYHTEVKHAPFIILKGVGK -IYLDSLILKNNEESDLSSFVCFKHKGQYDTGEGTEVRVYKSVKISECSNIDAKKSIKCTGDHVFCSKFRC -EDAYPEANCIVAPGAGPVLVRYAGGWVKPVCFGYENVIVEMDLHTNTPAKEEECESCVFSCDNDGVRIRT -TGFKVGSLVACSSGHCSSYTQAPSTNVFFQYPGMSYSDGSPIGVHLSHDDDTVSSHMVVHCEPKDPCEIN -TCIICSHTLINYQCHTFLSAFVCTLLIVTIFILLAVIIKKSIRASRLLPSMLVAPLHWLKLLLRWIIIKF -RSCFQRQVNTINAEIGWAQRPQRVAPIPRYTGFMAVMLCLLSLCSACSENVVSDSKITKCSAVDSTTKCV -VSGSVVMKAGSIGTESCLIVKSPDGLKSHISIKTMSSELVCREGDSYWTSDYAPRCLSSRRCHLVGECHS -TNCQAWHDDLVSSEFLKFGNETRLSENKCYEQCGGVSCGCFSMSPSCLFVHSSLESVSKRAIRAFSCSDW -SHRITFEITNIDKSKETFTLFDTGSKFFQWGSISLGIDAEAITGTNAMSFLEDPASGFALVDEEFSENPR -AGFIGEVRCSSEIAAVAAHKSCKWAPNLIRYRPVTDFVECSSSLINPFILFRRGFLPQSRNGKTFTQSID -KKTVQAISSLSIKATIRMLIEGLEVSFEDSRVRCRASIRNVTGCYSCNEGAKLCLSVNSNLNSTLYAEGS -TGIHIALPIFAGTRDYCSVLHFNSPSVDEIIQYSCGIDSKELHITGTLVSIQAHDDRNTQSSGSIVVNPR -EVPWNFFGWFSGLMKFLGGPLRTAGIILLLIILAIIVIGLVFLCVRSGLLTNLFHKAKSL - ->YP_010086123.1 polyprotein [Maldonado virus] -MKILAFFANIMVAYAAYSISNWGLDGSETVCLSNESPLEGLVHYWENGIKKRKMDRKIKNCKIGSEPLKT -MDNRTVMSIIEQVQLSITNLRLTCASESGLEGVYVDFNGLDDTEAGRNIVDCENFKIISELGIDIGDGYS -WVAQYNGSYAKEIREKDEIIKKLREGQENADILMRDDKNEILSLIRENQMLQHRISNLTGVVYNFNNSLR -ALENDLALREQEHRKVRAEAEKNHVRKGSQEKGSHSDFIKLKSVLTSALVATTVLPMVTASSQTNQINTL -NPYIHAKNRVHGGVFRYETDEDETCRGLNYGAKCVSFDHMLKPYYYPFFNSHVMHMTPLEAYVENELTED -ENSSCEMGKGKSNKCLEGRSYMRGHCPIGITGVHYINDKGKIVLSKCSGENHEITEDCMFCRQIKRNSGE -KGVVKTSVSLQDAICQKDSMEYSGPRISIKGVCSIGNMEYKKCSAFTQNYENVPFVVFKGKGKYYLEKLI -INNVELVGNVSFICHKHKGQDNESQHREYKKTKLSDCGNVETGKTEVCTGDHVFCQKYTCSTASPSAKCF -VAPGSGPVFVNIMGSWVKPQCVGYENVLVRRESKTSRPFSISQCRTCVYECGSSDITITSTGFEITSAVS -CSHGSCVSTHQSPSTSVKIPYPGLVASTGGDIGIHLSHTGDTAGVHMIVHCPSRDVCETHHCFFCLKGIL -NYQCHTILTSIISSTMISLLFYVAFSLVGKLLYFFHLIPKKLRSPLMWIWLLTSYIVSTIYKSYCGLKRR -INVSIGWSDRPNQVALSEVRVGRAIPRFDRTMFIILLLLPLALSCSESLIANSKQIRCVQDKSSLKCSVT -ATVTLKAGVIGAESCFILKGPIEGQHKTIMVKTISSEVVCREGNSFWTSHYMPVCLSSRRCHLVGECHKN -RCQSWADREVSREFKGVSDNGIMSENKCFEQCGALGCGCFNINPSCLFVHSYLKSTRNEAVRVFSCADWV -HRLNLEVTGPDGEKDIVVLGSLGTKFLSWGTISLSLDAEGITGTNAISFLESSKGGFALYDEALSEMPRE -GFLGEIRCSSESAAIMAHSSCLRAPNLIKYKPMTDIIDCTASLVDPFAAFTKGSLPQVRNGMTFTSSMDK -KTVQAFNSGSIKAMITINMDDHEIQFLSDFGRCESSFINITGCYSCDYGARVCVRVNADKPSVYLARQEN -NEFFISLKVEQGTNDYCQILHFNSPNVEEKMKYSCGAEEKLLNIKGILISLGVSDLRNQTGGHSTVVNPR -ETNWNLGGWASGLFSWLGGTWTGILKILGFLLLGLIIILLVVSLSKRLIFSTFIKTKVK - ->YP_010086120.1 polyprotein [Echarate virus] -MKVLLILFHFIVAKASYSLRSWDMRGQNEMCFSNDTPLEGLFYYWMEQSKKYMKGHEKDEKNCAIGSGDV -AMISNKTMFSIIEEVQKSLSSLSITCYESLNERGESLSFNGLDDTESGAFISDCETHKYKSDLGVRVSNG -TDKNDILRETLDAAAANEEAEKKIAALNEITQKINDDNRKEAERLEFDLKRKEREMSDLQSELELLRLRK -EEDENRINSKMLSINSSWLDHIKLKSALITPLILTTTITNVIANPDASNPINPWPHSKNRPGKGLFKFEE -GEMDSCRPLDYDINCPSFNYMLRLDKYPFFNSFVTHFTPLEAYSEGFLETESSVCEVGTNKEYKCFEERA -YMKGHCPNGISGVHFLNEKGKLKLIKCKENMEITEDCTFCRKIKKKSSTSVHKTSVSIQDAICQKHSEAY -NGPKITVKSVCKIGHTRYKDCNLKTSGFEVMPFVVFENQGKMYLDNLILKNIEVITNMTFLCYTHKGQDD -TGDGADTRGLKRFKSEDCKNINNSKEKICGGDHVFCERYDCTGSYPDVHCFHAPGSGPVYVNIYGSWVKP -KCLGYERVLVERESKPGVERTQTECDTCISECQDDGVLVRSTGFLITSGVICSHGSCISRHQDPKTEILI -PYPGMTAALGGELGVYLSHTEDSLSLHMKVHCDPRDVCETHHCFFCLYGVINYQCHTTLSLTIVSTILSC -GIYIILCLLGKILYILKIIPKKLRNPFAWLALLITWMISLLREIFCKLKSSINTRIGWYSNQIDIEAQPL -RRRRLERFPAALLIVALLLPAAFCCSETLVSNSKQTKCVQDGAKVRCSVTATITMKAGVIGGESCFMIKG -PSENQQKTIKVKTISSEIICREGPSFWTSHFVPSCLSSRRCHLVGGCTGNKCQSWRDDMLSGEFAGVKDN -SVMNENKCFEQCGAAGCGCFNINPSCLFVHTTLKSARNEAIRVFKCADWVHRITFEVNGPSDEKDLIVLS -GLGTKFLPWGTISLSLDAESVAGSNDISFLESSNGGFALYDESYSEMPREGFLGEIRCSSASAAINAHKS -CIRAPNLIKYKPMTDQIDCTASLVDPFAVFVRGSLPQVRKGMTYTSSKDKKTVQAFNSGSIQAFITINIE -DHDVIFTSDIITCDATFQNITGCYSCNFGSRVCLKIKSSGNGEFWARSEDASLNLFFEVAEGTSEYCQTI -HFQKPLVEENLKYSCGSAEKLIVIKGLLVSVGLIDYRNETGGSSVVVNPASQGWSLSNWFNGFISWLGGP -LKGLLKILGFLLLGLAIGYILYLIFRYLILHALSRKKTI - ->YP_010086108.1 polyprotein [Alenquer virus] -MKILFIIKRIAFALAAYTLTGWSMEGQTKICLSNESPLEGIVYYWEDLKRKRKKQVKGDSTNHLDCRIGE -GDPMLMSNSSMMKMIEQVQLSITPLKLTCSGNLENSGVSVNFNGLDDTEPGYNIVDCDNFRVFSDLGIIV -GDGKSWVEKYNASYDQKLLSANQKVLDLESQLEDAKNVRLDDKNEIQSLIRENQMLLHKISNLTGIAYNT -NNSLRALQSELFSAKDHHKIIIDEKNKLSEENKRMREKLSMIEKSNTSKSNVSSVSSILKTAVLLTTTIP -FLDIVELADASTHVALQNPYIHVKNRIGGGLYRFDSEDDATCKGLTYGLACAGFDYMIRPDKYPFFNSYV -MHLTPLEAYAEGIVEKEGDSCEMGKSKDPKCLEGRQFIKASCPQGVNGVYYLNDKGKLSHSRCKEDEYEI -TEDCAFCRKMKKKGSKGVMKTSVSIQDAFCQKDAEEYSGPKITVQGICEIGTTVYKLCSNHAQHYENIPF -VIFKNGGKIYLERLITKNLELIADVSFICYEHKGQDGTETETRELKRVKVGDCKNVNSSKSKHCTGDHVF -CQKYGCSGSYPEVKCITAPGSGPVLVNILGSWVKPKCLGYEKVLVKREVKRSFVVPQAECETCISSCEDD -GIRVTSTGFKITSAVSCSHGSCVSTHQDPSTTIVVPYPGLSIASGGLIGVQLSHNDDSTSLHLVVNCPPR -DVCETLHCFFCIRGIINYQCHTILSSLLLSSILSMMIYFLLFSIGKMLYFFKVIPKRLRSPFMWIFMLLC -WLIQLVKKGLRSMSLRINNSIGWTNHAELQEVINHRPIAQRRPIPRFQATMFILFSIFSLGLSCSETTLS -NSKQTKCVQSGGNVKCTISATITMKAGIIGGESCFIIKGPMDNQQKTVRIKTVSSEIVCREGNSFWTSHY -TPYCLSSRRCHLVGDCTGNRCQSWTDDLVSTEFKNSNDNLQMNENKCFEQCGAIGCGCFNINPSCLFVHT -VLKSVKPEAIRVFSCVDWVHRLTLLITGPDGEKEKVILSSMGTKFLSWGTVSLTLDAETISGTNSYSFLE -SSRGGFAIYDEAFSEVPREGFLGEIRCSSESAAVTAHSSCIRAPNLIKYKPMTDIIECTASLIDPFAAFV -KGSLPQVRNGMTYTSSMDKKTVQAFNSGSIRALLTITMDDHEIEFLSNAEKCDATFINITGCYSCNYGAR -VCVRIKAQGNSNFLAVNEKESFYMSIGAWTGTRDYCQVMHFSTPEVDITTQYSCGGESRPLRVKGLLISL -GVSDLRNNTGGSSVVVNPSETRWNLSGWLSGLTSWLGGTWAAVMKIILFLFFGFLMLIVLLLFLRSLGMS -LFKKIKLT - ->YP_010086104.1 glycoprotein [Chagres virus] -MYIEIVSVMLLASTVYCAYILTASRPKGTTKICLSNLTPYNSLTSYWNNLNSDLENGDRQCSVGTSGMSY -TTNSTIMSLIREVQISQAHITLTCKPLEAEDEKISMRFDGLADDFHYPSVLNCENSSLSLGPIPNIVPDM -GDLIREDANDKIGFLRDRLASMEERLVSETKLLEAEREIRNQELNDHSERYHFNDVRLKAHEDDIKDKDD -KISEQKHIIAMLSKNITILHDKLNKMSINPRAEMKVIPTVVSVALLSSSLTSAALSPHIRNRIGDGKYKL -EDTDEESCRPVTYGSICPGFDLLTRSPHYPFFKSHYFHRSMLEALNDNIITKSDSAICTINSSKGVNCHE -EKQYLKMMCPNQFRSAHYLDLKGKIRAVKCEEGYELTEDCFHCRKIKPDTGKPLWKSSIALQDVVCQQGA -STYSGPIVSPKEYCSIGGKKYKKCDSHSTKIETVPFVTFQSVGKMYLESLQVRNTETMSKESFICYDHMG -QVNGQSTGQADSRLLKKFDISKCKNVDDTKSDICSGDELFCSAFQCSGENPVAQCIIAPGSGPLEVNING -VWLKPICVGFEKTIVKKENKRQVINQQSSCSNCLTSCLQEGILVRSTGFKMISAVACSHGSCSSVAQQPS -TEIMIPYPGMMASAGGTVGIHVSHDDNSVSAKLSASCPPQDQCKAHSCSFCVEAIINYQCHSLISGFMVF -CFVFSLLLMVVILIINILKALRVSPKVLKKPLLWVLALLRWMASRILLFFHVRLVDLNQQIGWNGEVVVE -QARPGRITRYMYTVGLLMSLITLVTPCSEVAVASSKMTRCSTKGSKTTCKVSGSVLLRAGVIGSEACLLI -KGHSDTQKTLLSIRTESSEIVCREGQSFWTGQFVSKCMSSRRCHGVGECIDQMCQEWKQNVTSSEFSSIS -KNERMSENVCIEQCGGLGCSCFNINPSCLFVHAELMSTRKEAVRVFNCIDWVHKLNFVVTDAQGQSEKVS -LGSMSSKMFKWGTMTLSLDAEAITGSNSLLFMQNHNGGFALSDEQFSDIAREGYIGEIRCSSESAVISAH -SSCKRAPNLIKYRPILDIAECSTNLIDPFSMFLRGSLPQTRNGKTFTATKDSKSVQALANAQINAQISLN -IDDYDIEFKEDAPSCEAVFLNVSGCYSCNLGAQVCIKVSISEQGVFHAHSADNVVQIGFHVLASRKEYCQ -IVHFDSPHIDISLMYSCGLDERPIELKGSLIAIMPYDLRNNTVGASTVVNPRQGSWSFSNWAGGLISWIG -GPLKSIFLIMVYIVASILGILVFLYISKRLISSAIMKLIARKTK - ->YP_010086092.1 membrane glycoprotein [Gabek Forest virus] -MSNIIKVLAFLKGAEAAFELLSISKTGNSMDCFTSSTTAAQLTHYWEDVLGRLADDVLSCKVDGVHSPMS -KHEVHRKIKDLHDKKGDNVLACLNKNGEDIELSNDLQTDTISTPALITCDQRRDFIRELINTGTPKPKTK -PPRYTSEELEEKISEAVREAQEVANTEKLKALESLRKELEASRDEHRARLNELNQQKSDFAEEVSRLKEE -MKVIRERKEESTKAAMAKEQLIKMLEEENARLTEESKKEVHSLKQGERELKHINSEITREIENLRKLLSM -KEVEIEGILKTKELSKEKAGEINHFKEELEKKMIENKRIHDEMEEARAIAAQKDEEIQRLREQIEEERKT -RIKATKRPSISTSTISSLILLSTALGVASAAGLNENQNPDPHLKNRPGSGKYSVDGITDAKCNQIDYPTS -CPGFTLLSDMVRFPFFNSHAHHRSIIEAMHDNIIEKDNSKVCTINDSNTPATCIGDLHSFNVKCPENIRG -VHYITTEGKYASITCKEGHEISENCRFCVKLKNSGSLTSASMPLQDAVCQISNTQYRGPIMKLKGVCSIG -NKVYKKCINNRQSYEKVPFVVLKGKGKQYLDTLVLRNKEQHAANAFLCHTYNRIIGETGSIDTNDKVLKR -VKVSECKIVDTTKSKKCTGDAVFCNHYNCVKDFAEAYCTVSPGAGPIEVMYSGSWIMPVCVGYEMTTVIR -ETEPRRDTSSTDCLTCVTECSKDDIVIRSTGFGITSAVACSHGSCVSTTQKASTEIRVRYPGLTASTGGS -IGIHLSHDDPTTNTHVVVNCPPRDPCLVHNCIICTHGLINYQCHTAVSAFVVILLLTSSSIVGLYIMYRI -LRLLKIIPKKAISPLSWIYYLFLWVVRKLKRGWSRQLENINREIGWNDPELGVVRPQRRAPIPRYAFKIG -LLLSLLTLVSPCSETVVASSKITKCSTIDGKMVCTYSGTVTLRAGSIGSESCLILRGPSGETKKYISIKT -VASELTCREGQTYWTGQFSPKCLSSRRCHLVAECKKTRCLQWRDDELSNEFSGMGNNSVMNENKCFEQSG -GIGYGCFNLNPSCLFVHAYFKSTKAEGLKVFTCVDWVHRIKFDVKNPAGNKETIIMGSMSTRFMEWGSMT -LGLDAESISGSNSFSFMRNSRGEYAIVDEEFSTIPREGFIGEIRCNSESAVIAAHKSCLRAPNLIKYRPM -LDLAECTTSLVDPFTVFKRGALPQTRNGKTFAGSIDRTTVQAMSNAVIQAEITLVLDGFDVEFETTVAIC -SASFLNISGCYSCNEGAQVCLKIKSDKPGVFTAHNKDMSVNFMTHVTPGTEEVCKILHFNQPEIRESLLY -SCGGEESPVIIKGTLIAYNPFDDRTESGGSSTIVNPKGGDWDIFGWASGLFSWLGGPVKAILMIIGYIIL -AIITIIILLALGRMLISHLIELRRSKNK - ->YP_010086088.1 polyprotein [Turuna virus] -MKLILFFERIIAALAAYTISGWGIEGDNSICFSNESPLEGLVHYWEEMIRKRRLDSELKSCKIGEGDLKE -MNNRTVMTLIEEVQLSISPLRLTCQKDLMKQGVSIDFNGLDDTEPGKNIVDCNSKKFVEEIGIFAGDGHQ -WESRFNKSFVEELEKKSSSLRKALGDVENLRVTGIDDKNEIASLIRENQMLQHRISNLSGTAFNVNNSLR -ALEEELREKDDMHAGLQNQIRIEKDKAHQMHLDMIKLKAGLMTPLLLTTVLPITIAASQKGTVHPVFMNP -YPHVRNRIGNGNYKFSADDDATCRPIDYNTNCAGFDHMVRPEKYPFFNSYVMHLTPLEALEEGIIEKEGE -SCEMGSGKDAKCLDNRRFIRGSCPNGITGVYFIDDKGKLQHSKCKSDDFEITEDCMFCRKIKKKAGASKL -SMKTSVSLQDAICQKESTPYNGPKLVIKGVCSIGSYNYKRCANAAQSYENVPFVTFTNQGKMYLDRLMVK -NVELISNISFICYEHKGQDGTESDTRELKRVKPDECKNINTSKSKICTGDHIFCEKYGCTGTYPVAKCLA -APGSGPILVNIHGTWTKPRCVGYENVLVRREVKLLDSLREESCETCNYECAEDGVKVTSTGFKITSAVSC -SHGSCVSAHQEPSTTIIVPYPGMSAAMGGEIGLHLSHTDDSISLKLKVTCEARDICETHHCFFCTNGIIN -YQCHTIASGLILSTLISFSLYVVFMLLGKLFYFFRLIPKKLRSPFMWIALLLKWFLRILKSSWQRLSSRL -NRNIGWNHEDVEMAAPIRNRRVIPRFNATLFLIFVLVPGVLCCSESLLSNSKQTKCTQSDGKVKCSVTAT -VTVKAGIIGAETCFIIKGPMDNQQKTVRIKTMSSEVICREGNSFWTSHYTPSCLSSRRCHLVGECQGNRC -QSWNDNEVSKEFKGVNDNMLMNENKCFEQCGAAGCGCFNINPSCLFVHTVLRSVRAEAVRVFSCVDWIHR -ITFLVTGPEGEKEEVILGSLGTKFLSWGTISLSLDAEGVSGSNSFSFLESSRGGFAIYDEAFSEVPREGF -LGEIRCSSESAAVAAHSSCIRAPNLIKYKPMTDQIDCTASLIDPFAAFVKGSLPQVRNGMTYTSSKDKKT -VQAFNNGAIKALVTINMEDHEIEFLSEVPNCEASFVNITGCYSCNYGARVCVKIKSDGNANFIASEEKGT -YHISFNVWRGTRDYCQIMHFDTPNVDSITHYSCGGNEKLLNIRGLLISVGPQDLRNTTGGASVVVNPSES -SWSLGKWAGGLFSWLGGSWTAILKIIGFLLLGFLIVVLLLLLVGSVGMSYLKKTKII - ->YP_010086083.1 polyprotein [Nique virus] -MKILLYVKQVVLTLAVYTMSGWSLEGTNRLCMSNESPLEGLVYYWEDQMKKKGMTIDGLSGCRIGEGELK -EMSNKTIMKMIEEVQLSISPLRFSCHRSLNESGVSIEFNGLDDTDPGYHIVDCDNHKIYEDLGVLVGDGS -VWESRYNKSFNEEIKLKSDQLRSAMADLDRLSDLRVDDQNEINSLIRENQMLMHKISNITGVAYNYNNSL -QALLAEALKRDTEFDLMKKREEQLKKDLREHQERIKTIDNGNIKNNDKAMKKEAHTTTTPKALLMTTVAI -SLLSPSYAITHPSLLNPYPHVKNRIGSGLYRFDTEDDSTCRGLDYNTNCLGFDHMLRPDRYPFFNSFVMH -LTPLEAYADNILEKEGDSCEMGKNKDSKCLDGRRFMKASCPQGINGVYYINDKGKLSHSRCKESEYEITE -DCVFCRKIKKKGSKSIMKTSVSIQDAICQASQEEYRGPKVPFKGVCEVGSVSFKSCTKFVQGYENVPFVT -FKNYGKMYIDRMITKNLELVNSVSFICYEHKGQDGTEIELRELKRVKMSECKNVNSSKTKHCTGDQTFCE -KYGCSGTYPEVTCLTAPGSGPVLVNILGSWLKPQCLGYEKVLVKREIKTSLITFNQECETCVYRCEEEGI -RVTSTGFRITTAVSCSHGLCLSTHQSPQTTILIPYPGLSSASGGPIGVHISHTEDSVSLHLTVYCEPQDI -CKTLHCFFCLNGLINYQCHSVLSSVITSMMISLSIYVVLVVIGKLLYMCKLIPKKLRSPFTWLRLLGKWI -ITLVKLSLVKLTRKINQTIGWDSNNQRPEVHLREVRVRRALPRYATTAFVIFLLLPLSLGCSETLISNSK -QTKCVQSSGTIKCSVSATITLKAGVIGAESCFIIKGPMDNQHKTIRVRTISSEVVCREGNSFWTSHYVPN -CLSSRRCHLVGECTGTRCQSWNDDEISMEFKNTKDNMVMNENKCFEQCGAIGCGCFNINPSCLFVHTTMR -AVRPEAIRVFSCADWIHRLTLEVVGPDGEKERFTLGSLGTKFLSWGTVSLSLDAEGISGTNSLSFLESSK -GGFAIYDESFSEVPREGFLGEVRCSSESAAIAAHSSCLRAPNLIKYKPMTDLVECTASLIDPFAAFTKGS -LPQVRNGMTYTSSIDKKTVQAFNSGSIKALITMTMDDHEIQFLSESKKCDATFLNISGCYSCNYGSRVCV -RIKVDGNANFLASNPQENFYLSFNLWSGTRDYCQLMHFNSPEVDLTTTYSCGGDGRILHIKGLLISMSLD -YGRNKTGGSSTLVNPSEQGWNILNWISGLTTWLGGTWVAIGKILGFLLLGVVILLILISFLSLLGKSLLK -KTKLM - ->YP_010086073.1 polyprotein [Itaituba virus] -MRILAFLTNIMVAYAAYSISNWGLDGSDKVCLSNESPLEGLVHYWENGVKKRKLDKKIKNCKIGSEPLKE -MDNRTVMSIIEQVQLSITNLRLTCTSESGIEGVYVDFNGLDDTEAGRNIVDCDNFKIISELGIDVGDGYS -WVSQYNGSYAKEIREKDEIIRKLREGQENADILMRDDKNEILSLIRENQMLQHRISNLTGVVYNYNNSLR -ALEDDLALREEEHKKVKLEAEKSKMHLQQREHNASMDIIKLKSVLATALIATTVLPVIGASPQSNQVNAM -NTYIHAKNRVHGGVFRYETDEDETCRGLNYGAKCISFDHMLKPHYYPFFNSHVMHMTPLEAYVENELTAD -ENSSCEMGKGKSNKCLEGRSYIRGHCPIGITGVHYINDKGKIVLSKCSEQNYEITEDCMFCRQIKRNSGE -KGVVKTSVSLQDVVCQKDSMEYSGPRISIKGVCSIGNIEYKKCTSYSQNYENVPFVVFKGKGKYYLDKLI -INNVELVGNVSFICHKHKGHDNESQQREYKKTKLSDCSNVETGKSEVCTGDHIFCQKYTCSTASPSAKCF -VAPGSGPILVNIMGSWIKPQCVGYENVLVRRENRATRPYSVSECRTCVYECGLSDISITSTGFEITSAVS -CSHGSCVSTHQKPSTSIRIPYPGLLASTGGEVGIHLSHTGDSAGVHMKVHCPSRDVCETHHCFFCLKGII -NYQCHTIVTSIVSSSIISLLFYVAFSILGKLLYFFHLIPKKLRSPLMWVWLLISYILCTIYRSYTGLKRK -INMSIGWSNRPNQTPLSEVRVRRAIPRFDRTVFIILLLLPLALSCSESLISNSKQVRCTQDKSSLKCSVT -ATVTLKAGVIGAESCFVLKGPMEGQHKTIMIKTVSSEVICREGNSFWTSHYMPVCLSSRRCHLVGECHKN -RCQSWADREVSKEFKGVSDNGIMSENKCFEQCGAMGCGCFNINPSCLFVHSYLKSTRNEAIRVFSCADWV -HRLTLEVSGPDGEKDVVVLGSLGTKFLSWGTISLSLDAEGISGTNAISFLESSNGGFALYDEALSEMPRE -GFLGEIRCSSESAAIMAHSSCLRAPNIIKYKPMTDIIDCTAALVDPFAAFTKGSLPQVRNGMTFTSSIDK -KTVQAFNSGSIKAMITINMDDHEIQFLSDFGRCESSFVNITGCYSCDYGARVCVRVNADKSSIYLARQDN -NEFFMSFQVDQGVKDYCQILHFNSPNVEERMKYSCGAEEKLLNIKGVLISLGVSDLRNQTGGHSIVVNPR -ETSWNLGGWASGLFSWLGGTWSGILKILAFLLLGLLIILLTISLLKRVLLSAFIKTKVK - ->YP_010086072.1 polyprotein [Oriximina virus] -MNLISFILNVVVANAAYTIKNWGLDGSESTCLSNESPLEGLIYYWEQGAKKRGLVNDFKSCKIGSEPLKE -MRNKTVMRMIEEVQLSMTNLRFTCSSNMGSHGVYVDFNGLDDTEPGKNIVDCEKFHTVSELGIEVSDGHL -WDSRYNESYAAEIRRREMDLEKANSEKKNMEIMMRDDKNEILSLIRENQMLQHKISNLTGVMFNYNNSLD -ALAREMSAREEENANLLMDMREKMKEKDVIIRKQNDSISLKSVALTALVATTIIPLVSGSSQTAHINMLN -SYPHAKNRIGGGLYRYDESEDEDTCKGLDYGLSCLGFDHMLKPHYYPFFNSHVMHLTPLEAFADKIIESE -NVSCEMGKDKNNKCIEGREFIRGHCPNGINGVYYINDKGKLSHSKCKEEGHEITEDCMFCRKIKKRQSSA -KQVMKTSVSLQDAICQKESNRYEGPKIVVKGVCSIGNFVYKRCESSAQSYENVPFVTFEGKGKFYLEKLI -LSNVELMNNVSFVCYEHKWQDGVEVDNREWKRVNIKDCKAVDNTKQKICAGDNIFCQKYTCSAVNPSARC -FMAPGSGPILVNILGSWVKPQCVGYETVLVTREVKSLQPQASIECDSCIYECGPEYIRLTSTGFEITSAV -SCSHGSCISTHQAPSTMIDIPYPGMSAAMGGDIGIYVSHTSDSVSLHVKAHCNPRDSCEVHHCTLCVHGL -LNYQCHSIASSLFLSTLMSMVIYFLLSLVGKLLYFFKMIPKKLRSPFMWIWLLISHCIHALRSILSKGFS -RLNNTIGWREDIDRAPLREVRVGRAIPRFGTTAFLVLILLPLALGCSETLISNSKQVKCVQYGGGVKCSV -SATISLKAGVIGGESCFVMKGPMEGQQKTIRIKTIASEMICREGTSFWTSHYTPQCMSSRRCHLVGECQK -TRCQSWSDREVSKEFKGVSDNGIMSENKCFEQCGAIGCGCFNINPSCLFVHTQLKSTRNEAIRVFSCVDW -VHRLTLEVHGPEGERDILVLGSLGTKFLNWGTVSLSLDAEGITGTNSISFLESSKGGFALYDEAISEIPR -EGFLGEVRCSSESAAIMAHASCLRAPNLIKYKPMTDVIDCTASLVDPFAAFYKGSLPQVRNGLTYTSSID -KKTVQAFNSGSIKAIITINMEDHEIQFMTSVIRCDATFINVTGCYSCNYGAKVCLKIKSSGNGEFLAREE -NGLFHMSLSVREGTDDYCQIQHFNKPEINERTRYTCGGEEKLLNIKGILISLGLEDLRNKTGGSSIVVNP -SDASWSLSGWVSGLFSWLGGTWMAVLKILGFLLLGFLLLVLIISIIRFSVRSMFLKHKTK - ->YP_010086061.1 polyprotein [Salehabad virus] -MIELILLFVQCVVLVEPRISLTITSPDYSSLSCFNSAAGPKFLQRKWKTEVSKMGEFDQLCYYNNDIPKR -STPENAEGLVTFAMLSESPSTFSCINEDRSKTAVVHSNGIREDGDAAYVDCEKGTMIHLISSAGDIAPST -DPLKMEHQALRERFDELQRKFSDDKAALNDENRRLRSELEELHKARESLKLVRANQDQNITALNAVIHGL -TKKVQDGEVALIGALNQARRDKEALVYLEEDTDRKLEESQSVIESLQKALRNATLSIPRPALVTTMATIT -LLSSTIILADPRTHIDNRPGISQYGARSSGGAVVADTGCNLILYDSTCTSWALQKNATMFPFFNAHYHKY -SLIESIHTTILAEETGSICKILNNSAHKSTKCVKDLMPMKLYCPAGYRYAYYLNSKGMVSGIECDKDYQL -SPDCKMCISASPEAKGVMPLQDVFCQTGAVDYNGPVMKLRGVCSIGSKQIRSCKRPTTSYEKVPFITFGK -GQKLYLDSLTLRNVESATPEHFVCYELKGLHGVSAGAHGDAPLKKVDPKKCKCINDTETKLCTGDAVFCS -IYQCFKDYPDTMCEVAPGAGIVEAYYGGVWTRPSCIGYENIMVTRESIKTSTPRETACSACVWSCEKEGI -KITSHGFKMFSAVACARGSCVSTHQEGSTEILVPYPGLSKMSGGKVGIHISHDDQSTSAHLIVTCDPKPA -CEVDDCFFCFHGLINYQCHTVTSSLLVSTLSVLVIVATMWLIVRTLQVLKLLSMVTKTPLIWVSLLMKWT -LNVFRRCFQTRFENLNEVIGWTPGPRAELRGNVENRRDRGRSVQYYLYATAILLLTAPGSLCCTENVVAS -SKISRCGMDGQKSLCSLSGVVTLKAGTIGSEACLTIKGPSEDQVGFLSIKTVSSDLVCHEGDSFWTNHFT -PKCMSSRRCHLVSECVSNDCQLWNDTVVSKEFVHMVDNTLMTNNKCFEQCGAAGCGCFNVNPSCLFVHSY -LMPTRSEAVKVFECVSWSHRLTLKVSGPKINTRRITLSALSTQIAEWGSITLNIDSEAMNLGNPVSFMRT -SSGAMALIDDPFSRIPRKGYLGEVRCSSEAHASRGDRSCLRAPDLIKYRPQLDIVECTSALVDPYAILMR -SSLPQKRGNYIFTPSMDGHNVQAMTSGSINAEFSLLLDNYEVEFQASSTTCNAAFVNITGCYSCNEGSEV -CVKVVASADGSFFAISEESGQAIQFPITRGDNYRCRILHFSRPEVEEQFSYSCGGDKKPLIVRGTLIAVG -PHDDRVLGGKSIVVNPKKGTWSIGGWASGLMSWLGGPLKTFGMILGYILLSILIIIVLWLLFKTLVARSI -AARQKMM - ->YP_009346033.1 polyprotein [Anhanga virus] -MNYFKIIILTSLAGLSESLIKVGSIHSGGSSKVCLSNRTPAETVLSYWESQFSQLPQGQYLCQVGSERKE -EMDSRKIRRLVKEVGESITAIFFQCQYKENEGYGINVMFDGIDNTGEGTYIADCDDGRKKISDVRLTPLI -SRSQGTGDDEVTEPDISRNRVRGDLRALQEKVNRMHAEHAKQTLENEVLKEEMRKEGLLSSSRIQQLQER -LDEAIDDIEDRKLERDKAIEDHKRTLNEAVQLRIEINHLHKLIEVEGNLKKEMQRELEEKHKQMINLKNA -ISASSQGKGLGSFVTMAIPIITTISLLGSASAMEQAFNPGKHVYNRIYNGKYQLETGDSNECQSINYGSK -CQAFKHLTNVRKYPFFNSHYHMMSMLEAKSEGELSINNLCQLGQSNDEKKCWDEKHKIQFKCPNGFSSAH -FIDKDGKLSGVKCQENMELSEDCSFCRKIKVGGNYLVEKSSIPLQDVVCQKNSVDYEGPKIIVKGYCSIG -DTVYKQCKESLNTIENIPFVLFKNKGKIYVEKLTLRNTQHNSPDAFICYDHKGQVKGTVTDKQSERSLNS -VKATECKQITTSKDKNCIGDPIFCSMYDCGVSSASVYCMLAPSGGTLEVLLMGSWLRPKCMGYEKIYVRK -EVKSKVIHEETECTTCVSECKDDGIHIRSTGFKITVGVACAHGSCKSAHQSPTSEIVIPYPGYSEASGGD -VGVHLSHADEKLSSKIRVHCPPKDPCSVNQCLLCSHSLINYQCHTVLSAFIVSLLVTTLLMIAIKIIWKM -LRAFKLVPTYIKSPFMWLMFLMQWVSTSLSRKIKNYFISVNREIGWDIEAQEDDRPRRRIRPIPRYVYAL -SLLSIITVFTDACSDAIIASSKITSCRIDGSKTVCRINGIVTIKAGVIGGEACIILKGHQDGQRKHLSIR -TLSSEMVCREGQSFWTSQYAPECFSSRRCRLMGECSGDNCLRWNQTKLSSEFSSIHDNEFMTENRCFEQC -GGLGCSCFNVNPSCLFVHSKLIPARKEAVRVFSCTDWIHRLRLEVTDAQQRKERVVMGSLGSKFFNWGAM -SLSLDAESITGTTALSFLQSGKGNFALHDEAISDIPREGFLGEVRCSSESAAITAHKSCIRAPGLIKYRP -MLDQVECVSNLIDPFSVFLRGALPQTRNGMTFAASKDGDGVQAMNSGSIRAQLTINFDDYDIEFDQNINN -CECSFINITGCYSCNEGAKVCFRIKSTVSGSIILRNSDDSLTIAFPVSTDTTDLCSIAHFGEPEVDEEMH -YSCGSDERTIKIKGSLLSQNPFDDRNNTGGNSIIVNPSENGWSFWGWVKGLTSWMGGPLKTIVVILLYIS -CSLIVILLVITVAKNLLTNGLKTILSKTR - ->YP_009346027.1 polyprotein [Urucuri virus] -MKISIYSFFVSFALSSYILTNLRPGGSSKVCLSNTTSDKTLIEYWERLESPSGEHINRTRSCRLGDAPYS -FSTSRNIRNLIKEVQKSPSEMTLECNMNEEGTETISLRFDALSGSDHIQPSSIDCDTGEVIAPVRSTYRA -VEKKIPDQDSSTPAAAVMTVPAVDLEYERKIKDLEVQLANVKIELLSEREQVESIKANLQNQVGLSDNAI -RSADDIIRKKNEEIRSLQLSTRNLDHEINKLKNSHGRLEEDLREERRAKHEMNRSLQASFMTTVIPVVTT -IALLSDVALAARNDWIHSKNRPGGSSFKLQETDEQGCKSMDYASSCPGFEYMLMLEKYPFFNSHIHHYSL -LEAYNEKIITKADDGICTLNDSKSSKCHEEKVFMRAQCPNSMQSAHYIDSKGKLRAVVCPDGAELSEDCN -HCRKTKKKSGGSIVHKSSVSMQDAICQKNTEDYTGPKIIYKSFCKVGTQKLRDCKSTSKNTESVPFIVFN -GRGKMYLESLIIRNNDGMGAESFICYSYKGQIDGSDKTEQEYRLLKSEKASECKNVNSQKTNPCTGDPVF -CDRYTCTGEYPEVKCLYAPGSGPVEVMVMGEWVKPMCLGYERIVVWRDLKTQIITPEEPCVNCISECRDD -GVMIRSPGFLITSAVACSHGSCSSVAQDPSTQIVLDYPGITASAGGTIGVHMSHDDKSVSSSIQVSCKPR -DQCDAHHCWFCLTSIVNYQCHTVMSTVITVSGIILLSYAGLRLVLMVLYKLKVLPKQLSSPLKWLLVLGR -WMVKVLRWSIISRVNSLNARIGWINEQDNRQQNHRIVRRQDLEEVVVPRLRPLVPILRYGPLSILFFMMI -LSGAESCSQNEIANSKMVRCRLEGGKTKCTISGSVVMKAGVIGSESCLEITGRGTDQKTLISVKTVSSEL -VCREGTSFWTGQYTPECLSSRRCHLVSECKSNNCQSWTDDKVSLEFGNLKDSDFMTENKCIEQCGGIGCG -CFNVNPSCLFVHTRLVPTRKEAIKVFNCIEWTHRITLEIRDSNHQTFKVVLSDLNTKFLPWGSISLSIDS -ESVISTNSLTFLYSSSGGYAMLDENFSEIPREGFIGEIRCNSEQAAMSAHKSCLSAPNLVKYKPLTDQVE -CTSSLVDPFAVFLRGALPQHRNGMTFTSSIDKRSVQAFSNGQIRANIQLLLDDYEVVFNEEVIECDAVFL -NLTGCYSCNSGALVCLKVDTKKSAILRASAEGSDLKLGLHVTPEIKEYCQVLHFKTPGVDENLMYSCGSV -EKPIRIVGTLIYISQTTGRNTTGGLSTVINPTESGWSITSWLSGFISWMGGPLKAILMTLLYVAVSAVII -FILVVGVRFFILKTLSKLKKNN - ->YP_009346025.1 polyprotein [Uriurana virus] -MKYLTILTLTTLLSTAYCSYKLLAVHSKGSSEICLSNLASLSALKRYWVDLDQEISAGDKHCSLGSSGMS -FSTNKTIMSLIAEVQNSPSELALYCTTIDSADSIGLSFDGLSDNFNKAKILNCKDPSKSLGDIEGSGNKN -LNMISDQIRGYRERIAEMEERLISETKLLEAEREIRNMELNDHSDRYYSNDVKLRAHEEIINKRDMTISK -QSGIIMSLQKNLTETMRLLNNIATHKPKLTTVLPVITAISLLSSSMAELPIPTHAKNRLGSGSFKISEDD -EEGCRPLSYGVNCPAFDLMLRSDHYPFFRAHYSHRSILEAMNDKLITKDKNAVCTLNDSKNADCYDDKSF -IKTMCPNGFNSAHYLDNKGKFRAIKCENSHELTEDCTHCRKMKSNVAKPIHKSSLSLQDAVCQPNSDQYN -GPSILLKGVCSVGNKKIKRCESGVSKTESMAFVVFSGKGKLYLDNLMLRNLEVISKESFICYDREGQQDG -QSKNEVETRKLKRFDVSECKNVDETKSKHCAGDEVFCTKFSCTGSYPVAQCIVAQGSGPIEVNINGVWLK -PLCVGFEKVVVRREIRRPIITRQSECVNCIYECRNKDIILRSTGFRMISAVACSHGSCISITQSPSTDIH -IPYPGMSASSGDPIGVHMSHDDSSVSSRITLKCPPVDQCVAHSCNFCFEALLNYQCHSIISGFSVFFILA -LMLFILCLILVWFLKLFRVSPKILRKPFSWSHALLKWVVMKGVLCVSTRLQNLNDEIGWNGEVVVQRPQI -APRRLTRFTYTIGILFLILSVASGCSEFAVASSKMTKCVSKESKINCRVSGSVLLKAGVIGSETCLLIKG -HTDSQKTLISVKTVSSEIVCREGQSFWTGQFTPKCVSSRRCHGVGECYDDLCQSWNDNKTSIEFSGVSKN -EKMSENKCLEQCGGIGCGCFNINPSCLFVHCELQSTRKEAIRVFSCVDWVHKLTLLVTDSQGSSEKVSLS -SMGTKFFKWGSLTLSLDAEVISGSNSLLFMQNGEGGFSIADEQFSDIPREGYIGEVRCSSEAAVISAHSS -CKRAPNLIKYKPIMDMAECTTNLVDPFTMFIKGSLPQTRNGKTFTSTTDQKSVQALANAQISAQISLNLD -DYDVEFKEDSVSCESTFLNISGCYSCDLGARVCVRVVTSDQGVFTCHNEDNSLILAFHVSSSAKEYCQIV -HYNSPHVEESMMYSCGGEERPMVIKGSLIAMMPYDFRNSTGGQSTVVNPRSGGWSFKGWASGFMNWIGGP -IKTILLILLYICLSIVGILLLIWLIKKFTIIGISSILNRKRK - ->YP_009346022.1 polyprotein [Tapara virus] -MKYFIVLTILGLVDATFKLATMTPNRKQEVCIDSGATLNQMQSIWDDLNNSLATGTRSCTLEEGTFSFVN -ERDYKTLLQAVFSSDVPLKLDCVLKDDYKQQISFETDGDLIPKEHPSIVNCKTRAYISDIRPRVEHPEER -TEKVAASGHSKRGMTDDERALEEELRALLTKKEIYIMNLQEEKNKWRLLGEYEDVKEKLTSSRNNEEKIK -KMIADKDELILHLESEKNENRISKISHDEMRHKIKKLENSLNREKEQNERDMVRLKSSGGIVTTILPIIS -AVTLLASPALAIDLRHKNSHARNRVGSGNNVFPGLTDDTCSLVDYNVKCYAFEVLLNRNMYPFSSSHIHK -RTVLEAFNDKIIEKNEGRICEMKDTKRTSKCDEEKSKIKAHCPNNFMGAHYLDSDGKLSGIYCESDKEIT -EDCKFCRSVKSGSTTIRKATVQMQDAMCQEGDETYDGPYLKPKGFCKIGVKTFKECTNYHSEFLSVPFFT -TKNKGKIYLDKLILRNDDASTAEGFICYDHLGQVDGERKQETETRQFHRISVKRCNSDNETQKRKCSGDE -FFCKTFDCTTNYPDTYCMAAPGSGDVLLHYAGTWIKPVCFGYENALVSREVTAPLNLTEEECIGCSTQCL -KHGISVSSTGFLINSAIACSHGHCITKAQTPSTLIEMNYPGMSKFHGGDIGIHLSHNDDTVSSHLVVHCE -SRDSCGVNECLLCYHSFINYHCHTVLSGLIVFVFLSGASLLIIKMLIILSHVIKMLPSRITYPVSWLFTC -CSWLTRKMKSKARDMRRRINDEIGWDRNVDVEAQAVNPARFVRVRGPLPRYGKFMTLMCLITVASSCSEL -VMSNSKMTKCLHKNGKTTCSVSGTVILRAGSIGSEACFMIKGPSENQKKHISITTLASELTCREGDTYWT -SHYSPECFSSRRCHLVSECVSNQCQSWDSNVISNEFSKFANETAMSENRCFEQCGGWACGCFSIQPSCLF -VHSRLNSVKKEALRVFNCIDWVHKITFSITSIDGSKEKFSLNDMGSKFFQWGTFSLGLQAEGISATNPIS -FMQHGKSGFALLDEEFSRIPRAGFIGEVRCSSEASAILAHKSCLRAPQLIKYRPMTDRVDCTSALVDPFI -AFKRGSLPQERFGKMFTPSVDKTTVQAVTKSVIEAEIRLTFEGYEVEFENEVSECEASFLNITGCYSCNE -GARVCLKIKSESKGTLISHSNDNSMNVIMRIEEGITESCQIIHLSNPEVDEELRYTCGELERSLRIKGTL -VAVSPYDDRVTGGGESSVVNPKTSDWSILGWITGFSSWMGGPLKAIGLIILYVAVSILILFFMAFLIRNF -ITSWVKNKLGKKE - ->YP_009346018.1 polyprotein [Ambe virus] -MKVNLKNLLKAFAVLLTQSVIAEGLFMLKSFKPLSSAQVCFSSRTPLDGIGVYWISEMDKLVNPTKLCSL -GGSPPSLMTKTSAFMATRAVILSEEPSELVCESEDRSEGISLSNNGEEEDLGPAIINCRTREKQEDIRVR -VSALEENDIKRIIEMTTEYPATESPRKILRTEIRKMETSKEELNDEIAKLTARHEALVNALRETMTDRDR -ENNRLLSEKVEMENEKREIEHKIKSLNNLLESEKLTVKYLREELKRLQHQSNLEKDKLKEEMETFNRRHH -EDHDHPHVRNTSVFSYVSTAVPLLLATNLILAANAQGTKNPYFHSKNRPGTGTYQLSGENDDNCKKVDYG -VVCPAFEYLLLTNLFPFFNSHVHKFSVLEAFADHIIKGQSENGICKIEVNGSSQGNCNSEKKFIISSCPS -LFKQIHFITSEGKVQIIQCPDKYEFSPDCNFCKKVTTKQEKLIMKSSYPLQDSFCQLPNNTEAIQEPKYK -GICQIGSRKIKECDKFYVSEEVMPFITRKDEKKIYLETLNTRNLEVLSKNAFICYDYIGQHTGDSVNESD -QKKMRTKHVDECKSVNSQKTNKCTGDALFCSTFTCEENSPDVFCEVAPGAGVVEVLVNGQWVKPDCIGFE -KVHVRRELFSPLIEEERECSTCIFKCKESGVSIHSTGFMITSAVICSNGICESVHQKPSTHVLIKYPGLM -ASVGGQAGVHLSHDDASISSKMSIYCEPKDPCLVHDCIICSHGVINYQCHTFVSALTLSVLLVGIITASC -FMISKFSKLALKILSASKRPSYWLMRLLMWLVRNFKVSIGNRVNTINEQIGWNDVETGQFRENRVQLRLR -PQETITVIPRFSTFLIILILLPLALSCSENFISSSKMTRCETVSKKRLCHYTGTVNIRAGTIGSESCLTF -KGHSDLDRKVLSIKTISSELTCREGQSFWTSLYTPRCMSSRRCHLVAECKGTTCQEWTDNRLSAEFKNAG -NKSTIQENKCFEQCGGFGCDCFNVNPSCLFVHSYLTTTRKEALRVFNCVDWVHKVTFEIKAIKGDPEVVT -LGNLNTHFASWGTLSLSLDAESISGTNSYSFMSSPGTGFALIDEELSQVPRLGFIGEVRCGSEAAAISAH -STCKVAPGLIKYKPMTDKVECYTTMMDPFSMFKKGSLPQTRGGKTFSPSKDRKSIQAFSGSRIEALLTLN -FDDLEVEFELDNSECESSFVNITGCYSCNEGAKVCIRVKSETDGTYQAYNSDNTIMLSFHVQDGSHDYCQ -VIHFSQPSVSEHLEYTCGSEPKRITIQGILIGENPFDFRNKSGMTSTIINAKTSGWNFFSWLSGLTDWVG -GPLKLIGNILLFVFLSIIILIGLALIIKSLKAASAGLSLMSIRKTK - ->YP_009666331.1 glycoprotein precursor [Mukawa virus] -MWKLMQILLLLSGALTELCPHLKNRRLMGNHSISPTLHHLSESCTAIDYDKTCAGFEWTSDPTRYPLFYS -KHHMLNIAEAMNQKLISLKSNGGCSNTGGKVSCKEMFLREHVNCASNPTSILGMGKDGGFHLWECPPKHV -FSQDCMQCEDRKENQTQNLIFLDDAVCQKVDPDQNAIHPPPVPRDVCAIGKILYRQCEYPVASIERVHYM -LLGLPDTPAFLFDFNAHVTEDGDPHEFICTDQAGKSCSIKQCRGSSSTECSGDTTFCNHYTCKAMTAKCS -CQKVSGTGTLRVNAAGRWYTPICFGSKMARVLRNAPIQKDRAIRSCPTCITKCETSEITVHTDGLPIESG -HLCCSGSCIVKVQEPSTTVKFTRPDACKLNGGPFVIVMDDSKGSPAIKVKGTCEPVPKCLETDCFWCWAN -WLNFHCHSSAKMALIWITLSLLLVLAGSIIGYLRGVTMLLKAAWGPVRWTYLLLKWVACVLTGRVRRAKR -ELDSKIARGSPRDEEAQAMEVRSKTDDGYPRLKGVPIYMYVVAGLLVIGSCTACSENHLLSTDQITCVPS -GSHLVCSVKGEALIKAGPLGSEACIRYGPSTSKQRSVIKIKTLGSSLKCRKGGSYWTASFVPKCMSSRRC -HLVSECTGSNCESWRSNQVSSEFAHMTNEAKLSENGCLEQCGGAGCGCFNIHASCLFYRNEFHRADGSTY -EVFQCAEWVHEIQLSVSQTSHQPVELTMIDGETKQLDWGTISIAIDGETVTSSNAFHFIKSGSKFAIVDE -EFSDIPRKGFLGEIRCPSQASAETVSSACLKAEGLTRYVGQLDSIRCESRMIDPGLIFRRGKLPQSRAGV -CFTTKPGSSVVEALTSKPIKVILKASFDGTGLQFDVDPPECSASFMNLTGCYSCNTGTQLCVKAGMGQSG -ATGTMVLKCPSGIESAFGVDSNVKAHCFVAHTDRQVINEDCSYSCGRDEKKLHVYGTLQYVEPHDDRVAN -ESSAPIINPKSGVFSWGSWFSGLGDLGGGWLKTILISLLMALAGVMVVLVMLRLLRTVLASALSARWRKL -D - ->YP_009665188.1 polyprotein, partial [Frijoles virus VP-161A] -TALSAMIVVFLLTSCIYVIIWVIWRVLRALHVIPAAAKSPIRWLCLLMKWLIRRCKDYLKKRRNQINQQI -GWEDAEAQGQPRVRLMVPGAQVVRYSSYLLLLALIITPADSCSENIIASSSISKCRSESGKDVCVLSGTV -YLKAGTIGSESCIIVKGTTDNQREFISIKTESSELLCREGADFWTSLYRPK - ->YP_009512940.1 M protein [Punta Toro virus] -MIFTILNVLTRAMLVMSMYSLTTWDSSSRNDICFSNDSPLEGLVYYWETHSKKHDYKKQGSQKCRVGDSD -KKMISNVTIISLISEIQKSISELSLSCGNNDNSTGQVLTFNGLEDTIRGDYIVDCVTGLYQSDIGVGVGL -GRINHDHQQVKNKAVVIDEKEKMISLLETQQSENDIKTQVLMSEIEQLKNQLSKRRNERGQEKRDAEKLM -SDLMARNSDLRNHNVILTDEISQIKNNITIHRNRNMMSTTVVPAILSVALLSSSVGPITAAPPDSTMINP -WPHAKNRVGTGMYKYDENDESGCRPIRYGVSCIGFDFMLKMDKYPFFNAFIGHKTPLESFADKIIEKEEE -TCEIGTNKEFKCFEERAYIKGTCPTNINAVHYIDNKGKLRYVKCKENLEMTEDCAFCRKIKKKAGQSVQV -QKTSVPLQDAICQENSDIYSGPKIPFKGVCKIGLIKYKECKFKTSSYETISFITLKGKGKIYIEHLMLKN -IEVVTNVSFVCYEHAGQDEQEVEHRALKRVSVNDCKIVDNSKQKICTGDHIFCEKYDCSTSYPDVTCIHT -PGSGPLYINLMGSWIKPQCVGYERVLVDREVKQPLLVPEQNCDTCVSECLDEGVHIKSTGFEITSAVACS -HGSCISAHQEASTSVIIPYPGLLASVGGRIGIHLSHTSDSASVHMVVVCPPRDSCAAHNCLLCYHGILNY -QCHSTLSAILTSFLLILFIYTAFSVTTNVLYVLRLIPKQLKSPVGWLKLFVNWLLTALRIKTRRVMGRIN -QRIGWVDHHDVERPRHREPMRRFKTTLLLTLIMVTGGNACSNTVVANSKQTRCVQEGSNTKCSITATITL -RAGVIGAESCFIIKGPMENQQKTISIKTISSETVCREGSSFWTSLYIPSCLSSRRCHLVGDCVGNKCQSW -RDDQLSREFSGVKDNHIMNENKCFEQCGAIGCGCFNINPSCLYVHAYLKSARNEAVRVFSCSDWVHRVSF -EVKGPDGEMELVTLGSLGTKFLNWGTLSLSLDAEGISGTNSISFLESSKGGFALYDEGYSEIPREGFLGE -IRCSSESAAISAHKSCIRAPGLIKYKPMTDQIECTASLVDPFAIFLKGSLPQTRNGQTFTSTKDKKTVQA -FTNGAIKALLSINLDDHEIIFINKVKSCDATFLNVSGCYSCDYGAHVCVKVKSSESADFFAESEDKTTVL -SFPIQSGTRDYCQVLHFQKPLVDERLSYSCGSEPKLIVIKGTLVYMGVYDFRNKTGGSSTVINPSEGTWS -ISNWFSGLLDWLGGPMKAILKILGFIAIGIVCFILFMILIRIAVNSINIKKKN - ->YP_009480530.1 glycoprotein precursor [Arrabida virus] -MFYAKFILLLCFVQGALLKYTIISKVGSSTYKRVCLSHTTDLDTLLDMTSREMSNIKLTGGSCSLGDLTK -PDCSPDFFSNYVANIQSSNTKITGKFQDIIGEREMEVTNDVVPDSFGPGPHDCVSFDKKPFWSDLLPTSV -SKEEPKPIISTLSVLPAAPPIKDFSHKVVEAEEMVKEIEGELMKEKERNSAKEEEARQRMRELEDDLRKQ -WAAERMAKQNMSSVLERERQEKQSLERRMHEVDKKLRASEDRAQKYQERAEQAEKSHSKLLSRSGAATTM -ATVVFTSLLASGLAVVTELEKRDTNHLLNRPGNGVYMANADSLIQTECTLNYGQRCLAWELQITPVRYPF -FTSNVDKYSLLESITETPSILEKNNESCQLATTSGSLKTCAKEASTIKKHCTDDVRAFFFINSVGKLTIV -RCADNHILSEDCNFCISKARNSNQNMFMPVQDAFCQRQGSDTAPAIRYSKDICSIGAIKIKQCHKATSKF -ERMGFISVGQKKLYIEELKLRYRQEYDPDQFMCYKIKSSSPLKYEKVSSKKCKEVDTGGNQKCSGDEHFC -NRYPCDTANPEAHCLTRKHSAIAEVNIGGVWIRPKCVGYEMVLVKRSGLKSEDLSIRDCPSCLWECKKSR -ITIKTHGPKIVSATACSHGSCKSVMQKPSTFVEIPYPGNSEIIGGDIGVHMTERGSPSNIHVRTHCPPRD -SCDVSDCMFCVHGILNYQCHTLASALTIATIVSAVLVVVYFLLARSKRAVKFITSLLIVPFKWLTLLSIW -VVKNWKRKMSKLARATNNAIGWDQDVERGRPRAAAGHQNQVARYTFYGATILSLMTSGLCCTESVIAESN -IMQCTTSDSTTTCKASGTIILRLGPIGSESCLILKGLRDSEKQFISIRTVSSELTCREGDSFWTSLYTPI -CLSSRRCHLMGECTGDNCLKWQDNKTSSEFTGRTHSEVLHENKCFEQSGGIGYGCFNVNPSCLMVHSYLK -PIYKNAFKVFRCVAWNHRVKLAITTHKKSFDVTLMAMSTQPTDWGSIGLILDSEGITGTNSYSFMKYGVG -SFAIIDEPFSIEPRKGFLGEVRCPTEETAVKASPSCKAAPQIIEYHPEMDTVECITNMMDPMAIFNRGSL -PQVRDGVTFAQSIEKNSVQALTTGEIKASVRLVLDDYEVEYKVSENDCDSTFINITGCYSCDEGARLCVR -IRTLGDSIYHFTDSEDMMNVMFKVNSSVTEYCTVLHFSKPVVNFEGKYDCGKSRKPMIIKGTLIAMAPHD -DRVHSGGSSIVVNPKSKGVDFLGWLSGLSSWLGGPLKTVLTVLGFLILGLVFVIVVIFLVRVGIRQALLK -KMK - ->YP_009480527.1 glycoprotein precursor complex [Toros virus] -MSEIFLIVLVVASALLLALADVRLDSISSGKEESICFSQNTKVLWIESYWGYEMAKMPGFDLYCRYNDDG -DYKFMTNEKARQQIPLVSGAPDSMRFSCGDKNGEFSVTLTNDGRNNMMEGALIKCGTKEFIKSLPDSVSV -PTTEASVSIVNGTWEKELEKAENARKQGARLHEEVKASLEGKLSALKHELEKKENDLERTKSELESVRMS -IGRKMEAEDKLQDAQYIIEQLREKVASLTSRVANSETDREDLLRAKNEMKELRRQVKTMEDETNEIRRAF -MQRPETTKSSPLIATTMLALLSTATIAGGTEPGQRFNSDPHINNRPGLGSFKLFDNDSDATCSRINYGLV -CAKFDYLKSLTRYPFFNSHFHHRPILEAYHDSIITKSDKTFCDSAKFSSKDPKCVKEIRRMAYKCPRGVP -SIHYIDSEGKIGSLTCPTDEELMDNCMHCRKALKKPVTKFSMQLQDVVCQPDSSEYSGPMENLKGYCRIG -MKQFKSCEKSMEKEEVVPFIVIKNKGKLYLSTLRLRNREEMENDNFMCYEAKPNAGSDTNTNHGGKQALN -IKECKVVDSQKTKICTGDGTFCSKYACDNEIPDAHCEVAPGSGPLEVMYGGVWIKPMCVGFEKAIVRREL -PPDVNTNEVECDSCYSECREDGILVRSTGFMISAAVACSHGSCVSTHNDASTEILIPYPGMSASVGGDIG -IHLSHDDVQVSSHYKVHCEPRDPCVMHSCLICAEGLINYQCHTALSAFVVCTVLVSASMIIILILKKILR -CLRIAPAVLLTPALWIIRLAGWMGRSIRRKTGEKIEKLNKEMGWQANTELPARPTGVNRERRPIPRSAVY -MALILVISPAFGCSDNIIASSKIVKCVNRDSKDVCTVSGVVNVKAGPIGSETCVVVRGPTDSDKKFLTIK -TVASELTCVKGQSYWTSQYGVTCLSSRRCHLVAECKKDNCLAWNDTMISAEFAGMTNNTVISENKCFEQC -GGIGCGCFNVYPSCLFVHSQLKATKREAIEVFSCVDWNHRLILEVSDFQENKEKVIMSGMTTKFTGWGSL -TLALDFDAITGTNSLSFLRSSSGAFSLVDEALSTEPRAGYIGEVRCSSEAAALSAHKSCKVAPGIIHYKP -MTDIIECSTSLMDPFAIFLRGALPQTRNGKTFTSSIDKKTVQALTSGVVKASMTLNFDNHDIEFEVSKTT -CFASFINATGCYSCNEGARICIQTAAVKNTTLYVHNPDNSLVLIMEVVAPQSTSCRTIHVSKPQLKEDVV -YTCDGTERAMTITGTLIALNPFDDRKTEASKSIVVNPKSGDWSLWSWSSGLMNWLGGPLRTAGIIVGYIL -LAIALVLIFAAVVPRISSIVMATIIKKEK - ->YP_009480524.1 glycoprotein precursor complex [Zerdali virus] -MFYPSILILLTCFVQQAYLKFVIISEFANSTKSSICYSSKTELGQFLEAWENATNTLGLEEGLCKMGTLK -SESCSKDLMSNYVSSVHSDLYGLAAMMFNRDQKVRIEVQNDRIPDSLRPGSHNCSLLEKKPFWTGLLDNP -NNISTVVSPEPVVMSIFPQTDEDYAIKLKVVTDEMDKVQKALIEEKREREKLLSESRAKMRELEDRMRKE -WEDERSQKATLSDRLDKERQMKAEAEMKAHELDKALKLKIRELEAERADRRESKPTTKRPIVMSTPAAII -LTSILASSLSVTAELQNRDTNHMLNRPGRGAYWFSRDGFAVGQCAINYSQECNSWEHQLDPRAYPFFSSN -YDKYSMLESAFEDPPIIGKEASNCILQYSSSGQRTCAKEAGVIKKHCSDDMRAFFFISQEAKLTIVGCQT -GNILSEDCTSCITKSGSNNKIIYKPIQDVVCQKGGSDEIPPVRYSKDICAIGLMKIKSCRKGNSKFERMG -FVVTATKKVYIEEMKMRSRQEYSSDQFLCYKIKKEATVKYEAYNVSMCKGFETPAVSDSKDCNGDEYYCG -KYPCHVTNPEAHCMLRKHGAVIEVNIGGMWVKPKCVGYEKVLVKRTSLKVANATVRDCTTCLWECGKGEI -VIKTHGPKVVYAIACSHGSCKSVMQDPSTFIHIPYPGNSEIVGGNIGIHMTEETSPSNIHLVVHCLPRDS -CDISDCLFCVHGLLNYQCHTAYSALLVSTVVMALVSLIFYVLLKSRSVLKLLIPASLTPFCWLSVFIVWL -ARKWKERVKKAVKKTNNAIGWTSRREIEREPERAQYSSGAPGAKYSFYGTVILALVGSAYSCSESVIAES -RIMQCNSQTEKTSCKATGTVVLKLGPIGSESCLLLKGLRDNEKQFISIRTDSSELVCREGESFWTSLYSP -ICLSSRRCHLMGECTGDLCLKWKSNKTSLEFTGKTHDDVIHENKCFEQSGGMGYGCFNVNPSCLYSHAYL -KSVYRNGFKVFKCAAWNHRVRLTVNTHSRTFKITLMAMSTQPTDWGSIGLILDSEGITGSNSYSFMKLGS -GSFAIVDEPYSMEPRKGFLGEVRCPTEEAAMKASSVCKVAPNLIEYQPEMDSIECITNMIDPMTIFNRGS -LPQVRDKMTFTQSMEKSTVQAMTTGEIKASVRLVLDDYEVEYESNPFDCDATFKNLTGCYSCDEGARMCV -QVKTGGNTIFHFSSEEEAINIMFKAYSTETIYCSILHFSKPVIMIEGQYDCGSSRKPMIVKGTLVAIAPH -DDRSVEGGSSIVVNPKRGSVDLLGWLSGMSSWFGGPLKTFFIILGFLGIGLVLVVVVIMIIKCGLNQAVS -KKMI - ->YP_009346034.1 glycoprotein [Munguba virus] -MFVGIFILLVTIVTESESLYKIQTSSERGKTEICLSNDVPTETLAYYWGLSVDESLRLDCSIGSPDNYKA -TSTKEIKNMIRLVQDSVSKLKFSCKNDDMGFTSSMAFDGLANTDSGTLIVSCKDGSTSGFVRVIGAPENK -AVITALESDKRDEGAAERISELMQQIDSLRGELKNTLSNQDGLRESEQRAVKELEDLKEELKMKENLKSE -DLAEYTKKIESQEALISKLEREISDLKKVRGDLRALSQKHKDSLQGRVESESRILDTSKKEREVLVSEMR -ILQEQKKAIEMELERARADSGRHQKASESLKERITQLEEDLKIETNGRNLKSLSQREDWGQSKERVASSS -AYSTSTILPIITSVLLLASAVSAEFNQWEHAYNRPGEKRNYKLDSSDDASCQNIDYGSACAGFKYLLNQT -QFPLFNSHIHKYNFLEAVDNQIISKDTSGICTLNNGAGVQKCVEYKAHIKSYCPQGFRGAHYIDNSGKLR -GVMCPDQHELSDDCNFCLKITGSNVKKSSISLQDGFCQKGGEQLRGKEPVSKVYCRIGRKTIRQCSKFQT -HFESVPFVIFDAGNKIYLDNLIMKNDEVLNDSAFLCYDYKGQLAGTNSAASSERAKASVKISECKTVDPS -KTRICTGDAVFCTRHSCINEYPNAFCSVAPGSGPVRVYINGAWQTPKCVGFEVVKVLKEVKPPIQTSTQA -CAECLVDCLSGGISVKTTGKLISTATACSHGYCTSMTQEPSPDAIIPYPGGSHVVGGDISIHISNSAQEP -GMNVKTHCPAHDGCSVIDCFFCYENLLNFHCHTIASSLILSVTVVGALSICFFILWKVLIALRIIPKYAK -NPIMWIIKLLVWLLRRFQSYAIRKLNWINENINNDVEAQVAAPLGRIDLRPIPRNVLKSAMILSLLACAT -ACSQNQIASSKITRCRAEGGKTICKYTGLINLKAGIIGSESCVVLKGNTDGQQSIIRIKTVSSQSLCREG -SSFWTGQFSPICHSSRRCRLVGECKGDNCQSWNSSVTSKEFRSIINPEVMSENRCFEQCGGIGCSCFNVY -PSCLFVRAEFRQVRKEAVKVFRCADWSHKIGFEIRSPGGAVEKIELGSMESKFFPWGSISLNLDADSETA -HIPVTFLRSTNGGFALIDEVFPEQARKGFIGEIRCSSESAVASAHKSCLRAPDLIHYSPRMDVADCTTSL -VDPFAAFLRGALPQSRNGKTYVSSIDKQTVQALSYSVVKADFVLNLDDFEVEFPEAQATCEVAFVNLTGC -YSCNSGALACFSVQSSSETDVVLTSKEGGMMFGFSASTLRSVKCQIQHFDAPVIDSMLEYNCGGVSKTIR -MKGHLVAQRMRSDLIKTGTSIIVNPVDTGMSVWGIFSGFTSWIGGPLKALGTTAAYVIISIIIILTMIAV -IKRLFSAIISGILRKKTS - ->YP_009227128.1 glycoprotein precursor complex [Adana virus] -MYQLIVLILQRIVLAESKVSLTITSPDYSSLSCFNSAVSAKFVQRKWATEVSKMGDFDQFCYYNNDIPKR -STGENSQGIMTFVVLSDVPYTFSCVSEDRSKLAIVHNDGVPSDGEPAYIDCEKGSMIHLLSSSDPDVPKS -NPALEEEHELLKERFDDLQLKFNDNVRRLEAENQDLKVRLDQLHSSRESLKLQKSEQEGNLTQLNAIIHD -LTKKVRDGENAVLNLLSEARRDKEALIQLEEDTDRRLEENDRLIASLKARAHNIIRAPTTVKPLITTAIA -VTLLSSALLVAADREHIDNRPGNGKYHSKQNTYDDNCNLILYNSKCGGWNLQKNQTKYPFFNSHYHKYSL -VEAMHNTILALSNKGVCKILNDSAQRYTECVKDLMPMELECPEGYRYGYYLNNKGTISGIECDTRYQLSR -DCKMCIKTTSEVKGVLPLQDVFCQTGAINYSGPVMTLRGVCSIGTKRFRECSQVSSSIEKVPFITFDKHQ -KLYLDSLVMRNVETKSPDNFLCFERKGQFGAQDQHHTEHATMRRIDPKECKSVTENKQRSCTGDIAFCSA -YTCYREYPDTTCEVAPGSGPVEVFYGGVWTRPSCIGYENTMVTREAVKTLTPRETPCTACVWSCEKEGIK -VTSHGFKMFSAVACAKGSCISTHQEGSTEILIPYPGLTKMSGGKIGIHISHDDQSVSAHLIVRCKPKPAC -EVDECTFCFHGLINYQCHTAVSSLIVTTIVVGLIIMIMAIITKMLKIMKLLPSFTRKPVVWVSLLAKWLV -RVCRRVFTARIEGINNAIGWNQDVERPPEVAVRERGRARPVQYYLYANAILCLLTTPALCCTENVVASSK -ISKCVNQGVKTTCRLSGVVTLRAGSIGSEACLTIKGPSDDQVEFLTIRTVSSDLVCHEGDSFWTNHFTPK -CLSSRRCHLVSECTGDNCQKWDNRTVSKEFEHMTDNSLMTENVCFEQCGAAGCGCFNVNPSCLFGHTYLM -PTRSEAVKIFECVSWTHRIVLEVSGPNINTREIVLSALSTQIAEWGSITLNLDAEVMNLGNPITFMRTSS -GAMAIVDEPFSRSPRKGFIGEVRCSSEAHAARGDSSCLRAPDLIKYKPQLDMLGCTSSLIDPYAILLRSS -LPQKRGNHVFTTTKDGHSVQAMTSGSVNAEFSILLDSYEVEFKSDQTSCDAAFVNITGCYSCNEGAEVCV -KVVTTGAGSFFAISDESNQAIQFKVQTGETSRCKILHFNKPEVEESFTYSCGGDRKPMIVRGTLIAVGPH -DDRVAGGTSVVVNPRASSWSASGWFSGFISWLGGPLRAFGMIVLYITIAVIIIIIIVLLIRALMIRAAIA -RAKMQ - ->YP_009010955.1 glycoprotein [Arumowot virus] -MHQITVVSGPTEVSTCFGSLHPFQSLKPVMANALGVLEGKMFCSIGGRSLEEDDEGVLAVVQGLQDSQQE -EEISCHGTPKGKLVKYKRHPRKASGKKGAVDCVSGKELKPQKKRGDLAHISEHFQADEVRSSSVNISTTL -KTILVAALLTSALVNGDPHIDNRPGKGDYARDASRSGNNCSPLKYGAACKAWTYQKDVSTYPFFNTFPYK -YSLIEALSEGFIQMDEQNTCKLTTTSPVTSESCLKSLRGIKHTCPPGFRSALFAHSTGKVRGIICDNQYQ -VTPDCKFCQSTENNAEVKNEIVIQDIVCQQNGTEYNGPIIKIPGYCGIEDVKYRDCSDKDVSFEKVAFAL -MKNKKLYLPSMVLKYVDTVSADHFRCFRHKKAHGVTGSNTDATDYVRVKPRECKQLDSSKTTKCTGDEVF -CSYYNCDKERSDAFCFHANGSGIIQVQYGGSWLQPSCVGFETVMVTKPRLSLPLSANLNCPSCVAKCHQN -SLEINSNGFLITSAIACSHGECKTKTQKPRHSIIMQKPSSLKISGGEVGVHLSTEGEEPSYKLSIHCDPI -NQCDAYSCTFCWENVLNFHCHTLISSFVLALMIGSVASCALAIMVKISKGTKSVILKSRNPVLWVIKLVK -WLWLQIWKALNKGMTTLSRKINDQTDLEVGSNQSFKNGIPLREVVVQKRTGGTRIKPVNYYLYGSTIVLG -LITGSFSCTENLIASSKISSCFIESGRHVCKLSGVVNLRVGTIGSESCLIVKGPLDGQTQALKIRTKSSE -LVCQEGQSFWTNHFTPKCYSSRRCHLVGECQASNCLEWNASTVSQEFRSQYEPGMIVENVCFEQCGGIGC -SCFNVNPSCLFGMTILKPTYDKAIKVFQCASWTHRITLEFEGPGITAKQVSLTSMSTQVAEWGSITLSVD -ADSTIDNPNISFLKTREGEYALIEESITTSPQKGRLGEIRCQTEQQAAIGSPSCLQADKLVDYRPQYDTL -ECISKLIDPRAILKRNGLPQSRGKYLYTPSLGSDTVQAVSRNTIEATMTLTLDNYEVSFLSEKTECLASF -VNISGCYSCHSGASICFKVTSKTPASIYIRDEKETKIISKSITAGEKVICSVLHYSKPIVDEIFEYTCGS -EWKKIQVHGSLILLSLIDDHKEGGSTIVVGPSSSSFSVGDWTTGLLSWFGGPIKTLTLLIIYVVATIIVI -ALVVALIKLALKTMILKKNV - ->YP_004414702.1 glycoprotein [Aguacate virus] -MKNYNILFLLSIVAARLVLHYSTPHLNNKICFSSGTPELHITNYWMEAMEKFPVDNKKCELGDGVFSSVT -LDDMPPFIKAMTTSDEALRFTCIGSNDSYIAELTTDGDVIPGESHSIIDCKTGETEVVLSPVNVAPAGLK -VQDLVDHDREVDAVLLQRDQLIEELRTRLRDSKIREESAKEKSKQLTEELDTMKKDIDKFKGRTDELILH -LESERLESRISRNKSRWLEHELKDAKEDVIRLQNDMLREPKKKSSSERTTKASLKTVLLYTTTMIPLLLG -QTDATKALGKTRAKCSHARNRIGSGKNSITGITSPTCSSIDYELRCDSLEILMMDDIYPFASSHIHKQTI -LEAVNDGFIEQVNDGVCQLDNDRPPKCFENRSKMRPHCPNGFRASHYLDDEGKLRGLYCKEDSEVTEDCL -NCRKLKSKPQKQGSIQMQDAMCQNVTVPYKGPLPAPRGYCKVGLKKYKSCKTSHTEVRHVPFMILKGSGK -VYLDSLILKNNEESDISSFLCYKNKGQYNTGDGVEARVYKSVKITECSNVDSSKTTKCTGDHVFCSKFKC -EETYPEVQCIVAPGAGPVMVRYAGGWVLPVCFGYENTIVELDIQTGSQLTEEECDSCVYSCDPDGVRIRT -TGFKVDAVIACASGHCSTYSQKPSTNVFFQYPGMSYGDGSTIGVHLSHDDDTISSHMIVQCEAKDPCMIN -TCIICTHTLINYQCHTFLSAFVCTLLLLSIALIMLLIIKRTTKTVKSLPRMMTAPIHWLRLLIKWVSNRV -RQCFRRQVENINAEIGWRGPPQRVVPIPRYTGFMAIILFLIVVVDGCSETVISDSKITKCSSVGSVTTCI -LTGSVVLQAGTIGTESCLIVKTPSGQKSHMSIKTVSSELVCREGDSYWTSNYVPKCLSSRRCYWVGECHS -TNCQAWSNDMVSSEFLKFGNETKLSENRCFEQCGGISCGCFNMNPSCLFVHSNLESISRKALRAFSCSDW -SHRVTFEITDIKGLKEKFTLFDTGSKFFSWGSVSLGLDAESITGSNSFSFLHDPSSGFALIDEEFSTTPR -SGFVGEVRCSSELAAVSAHKSCKWAPDLIKYRPVTDYVECSSNLIDPFVLFKRGFLPQSRNGKMYTQSID -KKSVQAVSALSIRATLRLLMEGLEVHFEERVANCKAAMRNITGCYSCNEGAKICLSISTDQNSSLYAESS -SGIHIAFPVSTGVSDYCSIVHFNDPSVEEILKYSCGGTDKELHISGTLLSVEPHNDRNKQSSGSVVVNPR -EVSWNFFSWVSGLIKFLGGPLKTAMIVIGLIILSCIVIAIIIFAARSGLLSGLFSKAKAL - ->YP_004382742.1 polyprotein [Sandfly fever Turkey virus] -MFEITILLIVLATLFILVVGNLKLESKMGNSLTSTCFSQNTGPGIIERYWGFEMAKMPGFDLHCRFNEEG -DSRYMTHENAMKQIKIVTTAPDTMKFSCRDETESLGLSITNDGTKNMMGPAIIYCDTKEFIRNITTGEQP -PRVDYEKLKKNAEEKDATIQRKTKEMEEVRAVLDSRIQIAHHEIQQKENEIQKLRNDLRDAIKKGQEHES -MKLRVEESDARVRGLKEELKQLTYKIFDHQATKDELQRAKSEMQSLRKKIKDFESVPQAKKPSNSSVALV -TTAVLSLLGSSMAASPEAGMNAAIHINNRPGLGKFALLTTGTEDEHCKKIDYGVTCSRFDHLKSLDRYPF -FNSHYHHRALLEAHYDNIVKVSSTTSCNETKFKDAECSKEIRKLAYKCPRGVSGIIYADSKGEIGGIYCK -ENEELMENCIQCRKVQRKKPQKGLLMQLQDMVCQPDSIDYTGPKQILKGYCKIGMVNYRHCEHFASMEEV -VPFAIFKNKGKLYMDSMRIRNKDVLEKENFICYGAKENADADSSNHGGKVSVKVTECKNVDPSQSKICSG -DSTFCSKFACDNELPEVHCEVAPGAGPIEVYYGGVWIQPMCLGYERAVVLREMPPPVETSEDTCDSCYSE -CLDSHILVRSTGFMISGAVACSHGACTSLTIMPSTEIMIPYPGMASSIGGDIGIHLSHDEVQVSSHYRVH -CEPKDPCVAHSCVICAEGVINYQCHTALSAFVVVTLVVLVVLMSLIVLKRCLVLFRVAPAVLLIPFSWII -KLACWTSRKLKLSTERRIARINEEIGWRPEEARATHRMRDRDRRPIPRSAVYLAILFLISVTSACSDHTI -ASSKIVKCVAKGSKSVCTISGLVNVKAGPIGSETCVTLKGPDSADKKFLTIKTIASELICSEGQSYWTSQ -YGVECLSSRRCHGVAECKGDACQRWNNTLVSREFQGITNNSVISENRCIEQCGGVGCACFSVYASCLFVH -ARLRATKREAIKVFNCIDWSHRLVLEITDFNGKKEKVSMTGMTTQFFSWGSMTLALDFEGITGTNSYSFL -RSSSGAFSLVDEAMSMEPRRGFLGEIRCSSEAAALTAHKSCIVAPDIIRYKPMTDIVDCSTSLIDPFAVF -LRGALPQTRNGKTFSSSIDKKTIQAFTSGIVHASMSLSFDNFEVEFEEERVSCLASFVNITGCYSCNEGA -RVCIQAAADKNTTLHVHTLDNSLTIVMDVFSPKSTDCRVVHLSTPQVKMDVVYSCDGYYKAMSIVGTLVA -MNPFDDRRHEETNSVVVNPKTGRWDFSNWASGLVDWLGGPLRTAGVILGYIILAIVFLVVLVLCVPKLVG -LIRTALLKKKL - ->YP_004347992.1 polyprotein [Chandiru virus] -MKILHLLTNIMYALGAYIITGWSMEGTTRVCLSNESPLEGIVYYWETERKRRGMESSSNLDCRVGEGELK -RISNKTLIRLIEEVQLSTYELKVSCEKSSNDTGLSIDFNGLDDTEPGHHIVDCEKMRYVSDLGVTVGDGL -AWDSRYNESYKQELLRKDEKLREFENELSHLKLTGIDDKNEIMSLIRENQMLQHRISNLTGSNENKNNSL -RILKNELMQAESHRDNLEREILNHKDRHAKLEGDFIKLKSSMFSVQVVTTVLPLLSLVGTSLAATPIMLQ -NPYPHVKNRVGSGLYRFTENEDDPTCAKLDYGHACAGFDYMIRPDKYPFFNSHVMHHTPLEAYVDKILES -SGESCEIAAGKDIKCLEQRKYMKGHCPNGINGVYFINDKGKLSHARCKAEDHEITEDCTFCRRMKKKNNK -SVVMKTSVSLQDAFCQNNSESYTGPQIPFKGVCSIGSFEYKRCEQSTSNFMTIPFVVFKNKGKIYLEKLI -TKNIEVVESIPFICFTHKGQDNQEVEISSLKRVQMSECKNINNSKEKYCTGDHTFCEKYGCSGTYPEYKC -IMAPGAGPVLVNILGNWVKPQCIGYETVLVKKEVKVKRVEAPTECETCIYNCEPDGLKITSTGFQITSGV -SCSHGSCISQHQEPSTSIKIPYPGMFSAMGGHIGIHLSHTDDSLSLHMRAYCDPQDVCETHHCFFCVNGI -VNYQCHSILSSLIVSILLSIIIYFVLIIIGKMLYFFKVIPKKLRSPFMWLALLFRWVIDLVRSTLSKLKT -RLNRSIGWDGQDRGNELREVRVRRPIPRFAATLSIICLLTTGALACSETLISNSKQTKCVQSSDKVKCSV -SATVTLKAGIIGAESCFILKGPMENQRKTIRIKTLSSELVCREGNSFWTSHYSPTCLSSRRCHLMSDCTG -NRCQRWTDEEVSQEFKGVNDNMVMNENKCFEQCGAAGCGCFNINPSCLFVHSSFRSVRPEAIRVFSCADW -VHRLSFLVYGPNREREEISLGSLGTKFLSWGTISLSLDAEGITGTNSISFLESSKGGFALHDEAFSEIPR -EGFLGEIRCSSESAAISAHSSCIRAPNLIKYKPMTDQIDCTASLVDPFAAFVKGSLPQVRNGMTFTSSKD -KKTIQAFNSGSIKAMVTINLDDYEIEFLSDIAKCEATFVNLTGCYSCNYGARVCVRVKTDRDADFVATQV -DQEFHLAFAVWNGVQDYCQTIHFNKPEIDSITKYSCGGEERMLHIKGLLISLGVQDLRNSTGGASIVVNP -SDSSWSLTGWASGLISWLGGSWMAVLKIIGFLLLGFLCAFIMLSLIKFLGISYLRKKKLT - ->YP_003848705.1 glycoprotein [Rift Valley fever virus] -MYVLLTILISVLVCEAVIRVSLSSTREETCFGDSTNPEMIEGAWDSLREEEMPEELSCSISGIREVKTSS -QELYRALKAIIAADGLNNITCHGKDPEDKISLIKGPPHKKRVGIVRCERRRDAKQIGRETMAGIAMTVLP -ALAVFALAPVVFAEDPHLRNRPGKGHNYIDGMTQEDATCKPVTYAGACSSFDVLLEKGKFPLFQSYAHHR -TLLEAVHDTIIAKADPPSCDLLSAHGNPCMKEKLVMKTHCPNDYQSAHYLNNDGKMASVKCPPKYELTED -CNFCRQMTGASLKKGSYPLQDLFCQSSEDDGSKLKTKMKGVCEVGVQALKKCDGQLSTAHEVVPFAVFKN -SKKVYLDKLDLKTEENLLPDSFVCFEHKGQYKGTMDSGQTKRELKSFDISQCPKIGGHGSKKCTGDAAFC -SAYECTAQYANAYCSHANGSGIVQIQVSGVWKKPLCVGYERVVVKRELSAKPIQRVEPCTTCITKCEPHG -LVVRSTGFKISSAVACASGVCVTGSQSPSTEITLKYPGISQSSGGDIGVHMAHDDQSVSSKIVAHCPPQD -PCLVHDCIVCAHGLINYQCHTALSAFVVVFVFSSIAIICLAILYRVLKCLKIAPRKVLNPLMWITAFIRW -IYKKMVARVADNINQVNREIGWMEGGQLVLGNPAPIPRHAPIPRYSTYLMLLLIVSYASACSELIQASSR -ITTCSTEGVNTKCRLSGTALIRAGSVGAEACLMLKGVKEDQTKFLKIKTVSSELSCREGQSYWTGSFSPK -CLSSRRCHLVGECHVNRCLSWRDNETSAEFSFVGESTTMRENKCFEQCGGWGCGCFNVNPSCLFVHTYLQ -SVRKEALRVFNCIDWVHKLTLEITDFDGSVSTIDLGASSSRFTNWGSVSLSLDAEGISGSNSFSFIESPG -KGYAIVDEPFSEIPRQGFLGEIRCNSESSVLSAHESCLRAPNLISYKPMIDQLECTTNLIDPFVVFERGS -LPQTRNDKTFAASKGNRGVQAFSKGSVQADLTLMFDNFEVDFVGAAVSCDAAFLNLTGCYSCNAGARVCL -SITSTGTGSLSAHNKDGSLHIVLPSENGTKDQCQILHFTVPEVEEEFMYSCDGDERPLLVKGTLIAIDPF -DDRREAGGESTVVNPKSGSWNFFDWFSGLMSWFGGPLKTILLICLYVALSIGLFFLLIYLGRTGLSKMWL -AATKKAS - ->YP_089671.1 Glycoproteins G1 and G2 precursor [Sandfly fever Naples virus] -MFIAKLLLISCLVQQAYLKYTIISEIGNGTRTSFCYSSRTSLGSLLEAWDNITESIGLTSGTCKVGSLEN -RDCKRDIMSNYVAEVHSNLHGLGAMMHNYGDDKRVEVLNDVIPDELNPGRHNCSDLDRKPFWTEFINVAK -PANKIAPISVIPPPIVKPIPIAESTIKKTIPFDKLLSEAEKELNQTKIRLETERRSNAEEAARERARMRE -IEDKLRKNWELERERKDAVADDLAKEIARRREIERKAHELDKMLKKKEREDQVAIERVSEDMRNHVRRPN -TTIAPAPTIITTLLMASAVMAGPLENRETNHLLNRPGNGAYTLSDFAESTCTLAYGSKCKSWEHQLDELS -FPFFHSNLDKYSMLEAATETIPILNKSSAVCTISPSTHSSNACGREASLIKKKCGNNMSAFFYVNLAGQI -TVVKCDTNHVLSNDCGNCISKTLSGQKIYTPVQDMFCQRGWTESIPSTRYSKDLCSIGLHTVKECKTGTT -NFERVGFIVVKSRKMYIEQMKMRSRQEFSEDQFLCYKSESSSGSSVKLKKVKVESCKGVTTSSASKCSGD -EYFCSRYPCETANVEAHCILRRHSAVIEVNVNGVWVVPRCIGYEEVLVRRTSLKMEDTSSRECDTCLWEC -GKNKLIVKTHGPKIVYATACSHGSCKSVMQKPATFVYLPYPGNSEIVGGDIGVHMTEESSPSNIHMVAHC -PAKDSCEVSSCLFCVHGLLNYQCHTLFSALLISTTVMSILTLLLLLVKGAKDLVKKLFYWLITPLCWLSV -FCGWMIKSWKKKVGSAISRTNDTIGWRDNRRYGRDVERAQYTGGAPGAKYSFYGVMILGLLGNVHSCSES -VIADSKVMQCTTSGSSTLCKASGTVIMKLGPIGSESCLILKGLKDNEKQFISIKTISSELTCREGESFWT -TLYTPVCLSSRRCHLMGECCSDRCLKWKTNETSAEFTGKAHGEVMHENRCFEQSGGIGYGCFNVNPSCLY -VHSILKSVYKNGFKIFRCVAWNHRIRLEVTTHSRKFPMVLMAMSTQPTDWGSIGLILDSEGITGTNAYSF -MKHGSGSLAIIDDPYSPEPRKGFLGEVRCPTEETAIRASPSCKMAPNLIEYQPEMDTAECTTNMIDPMAI -FNRGSLPQVRDGMTFTQSIEKNTVQALTTGEVRASIRLTLDDYDVVYHNSQSDCSATFKNLTGCYSCDEG -SRMCYQVKAEGETIFHFVNEDESINVINKVSPDVSDYCTVLHFSRPVISIEGRYDCGLSKSPMVIKGTLI -ATAPHDDRKHEGGSSLVVNPKGGTVDFFGWVSGLTSWLGGPLKTFLTILGFLALGLLLVVIIITIARTGV -EQALKKKNK - ->sp|P03518.2|GP_RVFV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=NSm-Gn protein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MYVLLTILISVLVCEAVIRVSLSSTREETCFGDSTNPEMIEGAWDSLREEEMPEELSCSISGIREVKTSS -QELYRALKAIIAADGLNNITCHGKDPEDKISLIKGPPHKKRVGIVRCERRRDAKQIGRETMAGIAMTVLP -ALAVFALAPVVFAEDPHLRNRPGKGHNYIDGMTQEDATCKPVTYAGACSSFDVLLEKGKFPLFQSYAHHR -TLLEAVHDTIIAKADPPSCDLQSAHGNPCMKEKLVMKTHCPNDYQSAHYLNNDGKMASVKCPPKYGLTED -CNFCRQMTGASLKKGSYPLQDLFCQSSEDDGSKLKTKMKGVCEVGVQAHKKCDGQLSTAHEVVPFAVFKN -SKKVYLDKLDLKTEENLLPDSFVCFEHKGQYKGTMDSGQTKRELKSFDISQCPKIGGHGSKKCTGDAAFC -SAYECTAQYANAYCSHANGSGIVQIQVSGVWKKPLCVGYERVVVKRELSAKPIQRVEPCTTCITKCEPHG -LVVRSTGFKISSAVACASGVCVTGSQSPSTEITLKYPGISQSSGGDIGVHMAHDDQSVSSKIVAHCPPQD -PCLVHGCIVCAHGLINYQCHTALSAFVVVFVFSSIAIICLAVLYRVLKCLKIAPRKVLNPLMWITAFIRW -IYKKMVARVAHNINQVNREIGWMEGGQLVLGNPAPIPRHAPIPRYSTYLMLLLIVSYASACSELIQASSR -ITTCSTEGVNTKCRLSGTALIRAGSVGAEACLMLKGVKEDQTKFLKIKTVSSELSCREGQSYWTGSISPK -CLSSRRCHLVGECHVNRCLSWRDNETSAEFSFVGESTTMRENKCFEQCGGWGCGCFNVNPSCLFVHTYLQ -SVRKEALRVFNCIDWVHKLTLEITDFDGSVSTIDLGASSSRFTNWGSVSLSLDAEGISGSNSFSFIESPS -KGYAIVDEPFSEIPRQGFLGEIRCNSESSVLSAHESCLRAPNLISYKPMIDQLECTTNLIDPFVVFERGS -LPQTRNDKTFAASKGNRGVQAFSKGSVQADLTLMFDNFEVDFVGAAVSCDAAFLNLTGCYSCNAGARVCL -SITSTGTGSLSAHNKDGSLHIVLPSENGTKDQCQILHFTVPEVEEEFMYSCDGDERPLLVKGTLIAIDPF -DDRREAGGESTVVNPKSGSWNFFDWFSGLMSWFGGPLKLYSSFACMLHYQLGSFSSLYILEEQASLKCGL -LPLRRPHRSVRVKVIC - ->sp|P03517.1|GP_PTPV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Non-structural protein NSm; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MIFTILNVLTRAMLVMSMYSLTTWDSTSRNDMCFSNDSPLEGLVYYWETHSKRHDYKKQESQRCRVGDSD -KKMITNVTIISLISEIQKSISELSLSCVNDDNSTGQVLTFNGLEDTIRGDYIVDCVTGLYQSDIGVGVGL -GRTHHGHQQMKNKAVVIDEKERMISLLETQQSENDIKMQVLMSEIEQLKNQLSKKRNERGQEKRDAEKVM -SDLMARNSDLRKHNDILTAEISQMKNKNTIQRNKNTVSTTVVPAILSVALLSSSVAPIIAAPPDSPMINP -WPHAKNRVGTGMYKYDENDDSGCRPIRYGVSCIGFDFMLKMDKYPFFNAFIGHKTPLESFADKIIEKEEE -TCEIGTNKEFKCFEERAYIKGTCPTNINAVHYIDNKGKLRYVKCKENLEMTEDCAFCRKIKKKAGQSVQV -QKTSVPLQDAICQENSDTYSGPKIPFKGVCKIGLIKYKECKFKTSSYETVSFITLKEKGKIYIEHLMLKN -IEVVTNVSFVCYEHVGQDEQEVEHRALKRVSVNDCKIVDNSKQKICTGDHVFCEKYDCSTSYPDVTCIHA -PGSGPLYINLMGSWIKPQCVGYERVLVDREVKQPLLAPEQNCDTCVSECLDEGVHIKSTGFEITSAVACS -HGSCISAHQEPSTSVIVPYPGLLASVGGRIGIHLSHTSDSASVHMVVVCPPRDSCAAHNCLLCYHGILNY -QCHSTLSAILTSFLLILFIYTVFSVTTNILYVLRLIPKQLKSPVGWLKLFINWLLTALRIKTRNVMRRIN -QRIGWVDHHDVERPRHREPMRRFKTTLLLTLIMMTGGNACSNTVVANSKQTRCVQEGSNTKCSITATITL -RAGVIGAESCFIIKGPMENQQKTISIKTISSETVCREGSSFWTSLYIPSCLSSRRCHLVGDCVGNKCQSW -RDDQLSREFSGVKDNHIMNENKCFEQCGAIGCGCFNINPSCLYVHAYLKSARNEAVRVFSCSDWVHRVSF -EVKGPDGETELVTLGSPGTKFLNWGTLSLSLDAEGISGTNSISFLESSKGGFALYDEGYNEIPREGFLGE -IRCSSESAAISAHKSCIRAPGLIKYKPMTDQIECTASLVDPFAIFLKGSLPQTRNGQTFTSTKDKKTVQA -FTNGAIKALLSINLDDHEIVFINKVKNCDATFLNVSGCYSCDYGAHVCVKVKSSESADFFAESEDKTTVL -SFPIQSGTHDYCQVLHFQKPLVDERLSYSCGSEPKLIVIKGTLVCMGVYDFRNKTGGSSTVVNPSEGAWS -ISNWFSGLLDWLGGPMKAILKILGFIAIGIVCFVLFMILIRIAVNSINIKKKN - diff --git a/seq/clusters_seq/cluster_580 b/seq/clusters_seq/cluster_580 deleted file mode 100644 index cf1ba90..0000000 --- a/seq/clusters_seq/cluster_580 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_010086178.1 non-structural protein [Tehran virus] -MQSRVVFLKPKLNSGVKKSLPRFYVDLIEEAFNPNFDYCLIEGEYPIYITKNKVAHDIRPTLSHFLIDGE -FPAMLGFGYISSKRTKLYDGVMRELYHECALSTTWGRDWPTPAETVLMSSKEKKREKKLTKWLPKSPDYP -PL - ->YP_010086067.1 nucleoprotein [Punique virus] -MISRIFFLKQKSNRKRTNRRFYVDADVDFRGLSYPFSLYGSDMPFTVSQNKVKYDSRPTLNHYLSKGEFP -AMLSRIMITATSTTLYNQIMLELFSESTHQIKRYNKDFLISALRWPTGLASLEFIEFYYADHVFLSDAYT -YVTNKMLKLFIKASGLMSADIEAQIKIIYRKVLLEGSKYGLTCYDLTGADLIEDICIVQCARVARLAFRK -GKTDRLDTCKLIYQSLSPYYVKCKPKQEKSEVNTLQTMSCFDSFEYFMAIDLEFRSCALSTSWTRDWPTA -KEAYELVREESKRRSKKHALMLPVSPDYPPLN - ->YP_010086216.1 nonstructural protein [Massilia virus] -MLSRVVYLRKRSSDRRKGRTQRRFFVDSFLNEAALFGPFSLAGGEVPFTVYKNSVVFDSRPTLNHYLLKN -EFPAILSGEMINLQSTTLYDPIMRELYHESIHQIKRSNRDYLVSALRWPTGLASLEYIDFYFEDFIFLSV -TDSYATNKLLKLLMKASGDQSCDIEKQIKIIYRKILLEGSKFGMNCYHLTGADIIRDICIIQSARVAKLA -QKTRKSPSRDVVRMIYQSLSPNDLLAPSKQPSADTEASKLAAMSAEESFNFFMAENAEFRAMALSTFWTR -DWPTAAETLTSLRQKSKRGSGNTQLHCQFPLITHPSNYPPN - ->YP_010086150.1 nonstructural protein [Gordil virus] -MFANLRFLKPKQKWNGTYGSNSRYHVSAEMAPLEVANDYTYIDGFIPVEINKFNIAFDLRPTLNHFLIKR -EFPVILGPDCIEAKRTRVFDPIARELFAESIHQLKRSRCEFILSALRWPTGIPSLRFIEFFFEDHVFLDI -RGSEMDTYLKLLLQASGDPYLSVEDQMIVIYRKILVEAKKLGLTAMDLPGYDLIGDICVVQAARVAKMAA -KTINKSDIRLMIYFSLAPAEYIQKFLAKTFCILDRPKVLSYSEMFNSFLETSDSFRRNYLSVSWSKDWPT -PLESKRLGEQQKKYKKDKRVTKWLPVFPHFP - ->YP_009480531.1 nonstrutural protein [Arrabida virus] -MLSRVVYLRKRSSDRKKGRLQKRFFVDSFLNEAALFGPFSLAGGEVPFTVYKNSVVFDSRPTLNHYLIKN -EFPAILSGEMINLPSTTLYNPIMRELYQESIHQIKRSNRDYLVSALRWPTGLASLEFIDFYFEDFIFLSV -TDSYATNKLLKLLMKASGDQSCDIEKQIKIIYRKILLEGSKFGMNCYHLTGADIIRDICIIQSARVARLA -QKTQKSPSRDVVRMIYQSLSPNDLLVSPKHSGVSTEAPKLAEMSAEESFNYFMAEDVEFRTMALSTFWTR -DWPTAAETVASLRQKSRRRVKKHASALPVSPDYPPL - ->YP_009480525.1 viral sRNA Nonstructural protein [Zerdali virus] -MQLRVVFLKPKFNSGVKKTLPRFYVDLLDEVFDPSFDFCLIEGEYPIYLIKNKVAHDTRPTLSHFLIDGE -FPTMLGFGYISSKRTKAYDMVMKELYHESIHRLKKDKKSGFLSALRWPTGVASLEFIDFYYEEMIFFSSH -DRSTVTRYLKLLVKASGMYYATIEDQIVEVHRRTLLEGQKYGLTKYDLPGNDIIADICVVQAARVTKKVS -KLYLTKRDDFRLLIYYSISPFEPAIKRLLKVLRDVDSCYFTMTCEEIYDKFMREDVSFRECALSTSWGRD -WPTPIEASKMSRKEKKKEMKLAKWLPRSPDYPPL - ->YP_089667.1 viral sRNA NS protein [Sandfly fever Naples virus] -MQSRAVILKYRSGSGHKRSLPRFYIDCDLDTFDFEKDCSLIENEFPIYINNYKVVYKSKPTLSHFLIEKE -FPAVLGPGMISAVRTRLYEPTMRELYQESIHQLKRSNKKYLLSALRWPTGIPTLEFIDYYFEELLFLSEF -DPGSIQRYLKLLVKASGLYNSTNEEQIVEIHRRVLIEGKKHGLTAFDLPGNDILGDICVVQAARVTRLVA -KTFSKMTRDTHLMIYFSISPVELVLSKLDKKGDKRAKAKGLMSMSAARSYDYFMRTDLGFRETALSTFWA -KDWPTPQETILSDKRCLKEDMRVTKWLPSPPHYPPL - diff --git a/seq/clusters_seq/cluster_581 b/seq/clusters_seq/cluster_581 deleted file mode 100644 index 0e944cd..0000000 --- a/seq/clusters_seq/cluster_581 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_010086027.1 NSP2 [Rotavirus J] -MALKASLADFVVKTDDGYIPSDTSCEALDRFNTKEEKALKDAYYKQGADRAEIRKRMFVTPGCSRRMTQH -GLVPVKELRSGSAIPSALKKLITKWLLDMLNDEDNGETAEKYIIDKFPDVLISADKLSRLAQRLEDDSDL -IHESVGFQTLAAAACAIPSTVATEGKCEIVRATEDAIIARFDPVPEHLNMARHRGTFFKAFPVNKNEPMV -YGVKALSGLSNRDFIMFHGHGHLRTVPYNEIPDAIKSFSRKQKEEITKIMSDPIANNAGDRFLSMCNMIL -QSEKIETIIQKTMKPEKKQ - ->YP_009130670.1 NSP2 [Rotavirus I] -MALKLSLSDFVTRNDDGNFIPSDLSGEPLSRYMTKDEKSVRDDLKAEKGPRGKIRVKLFLMQSCKLRRTQ -KGIVPLNELKSGNNPTSTLKALFTPWLLNLLNDEETQEQVIAYMEDKFEDIFVSSDKLARVCLRLEDKDD -VIHTDPELAVKTFFCMCNAMNSTIATEGKCEIIRATEDGIIVKFDPLPENFTVGKSKGTFYKMFPVNKDT -IPVQAFKALSYVSGRDIIMYHGRGHVRTVPFNEILGCVYGLSNKSKDDLIKIKSDPLCSASGEKMVAIAD -MLISGEKPQEILRRVTVRTQK - ->YP_008136236.1 NSP2 [Rotavirus G chicken/03V0567/DEU/2003] -MTQSVSLADFIVKTDDGYMPSDRECKVLDRFLSREQKAIREEYKSRTGVKCDLRKKMFMLAAPSRRFTQE -GVVPMKELRSKTDIPSAVKRLITDWLLKTLEDDDVCEVFEDVFENKFPDIFASSDKISRFAMRLENENDL -IHKNVSKAMNAFAACFHDIKPSFATEGKCTVARACEDSIILEFPVIPEHLRIGQVRGVFYKLYPLSDDLP -TQGFLALKHVSNNQFQMYHGHGHVRTVPFSEVPEAVRSFAKKQKDELEKIAKDQLAVQCGQKFIKMIDDL -RAGRKIEEVISDVMKFDKKQ - ->YP_008126852.1 nonstructural protein [Human rotavirus B] -MTQSVSLSDFIVKTEDGYMPSDRECVALDRYLSKEQKELRETFKDGKNDRSALRIKMFLSPSPSRRFTQH -GVVPMREIKTNTDIPSTLWTLVTDWLLNLLQDEENQEMFEDFISSKFPDVLASADKLARFAQRLEDRKDV -LHKNFSKAMNAFGACFWAIKPTFATEGKCNVVRATDDSMILEFQPIPEYFRCGRSKATFYKLYPLSDEQP -VNGMLALKAVAGNQFFMYHGHGHIRTVPYHELADAIKSFARKDKETLESISKSPLAAQCGSKFLDMLDGI -RSKQKIEDVILKAKIFEKKRS - ->YP_392496.1 NSP2 [Adult diarrheal rotavirus strain J19] -MVSIKVSLADFIVKTEEGWIPSDNCPALDRFKTKTEKELLDSIKKEGADRASIRKQLFLTSISNKRLTQL -GGVPVRDIRTSTTIPSSTRNLITDWLLNIFNDEESGEEVESAIASKYPDIFCSADKISRVAQRLENRRDR -VHEDGFRILSATMLAIDSDIATEGKCEIVRATEDAIIAKFEPVSEHLCIGNPRGVFYKAFPIKKEQPMVY -GVKALLGISNRDFIMNHGHGHLRTVPYSEINNAVRSFAKKNEAEIKRIRSDSLSPNAGEKFINMCDMLLQ -KEKIETVIAKIMKSDKN - ->sp|Q86197.1|NSP2_ROTGA RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MTQSVSLSDFIVKTEDGYMPSDRECIALDRYLSKEQKELRETFKDGKNDRAALRIKMFLCPSPSRRFTQH -GVVPMREIKTNTDMPSTLWTLVTDWLLNLLQDEENQEMFEDFISSKFPDVLASADKLARFAQRLEDRKDV -LRKNFGKAMNAFGACFWAIKPTFATEGKCNVVRASDDSIILEFQPVPEYFRCGKSKATFYKLYPLSDEQP -VNGMLALKAVAGNQFFMYHGHGHIRTVPYHELLTLSNHSLVKIKKRSKTFLNHHSQLNVVVNFSICSME - ->sp|Q0H8C2.1|NSP2_ROTB2 RecName: Full=Non-structural protein 2; Short=NSP2; AltName: Full=NCVP3; AltName: Full=Non-structural RNA-binding protein 35; Short=NS35 -MVSIKVSLADFIVKTDEGWIPSDNCPALDRFKTKTEKELLDSIKREGADRASIRKQLFLTPISNKRLTQI -GGVPVRDIRTSTTIPSSTRNLITDWLLNIFNDEESGEEVENAIASKYPDIFCSADKISRVAQRLENRRDR -VHEDGFRILSATMLAIDSDIATEGKCEIVRATEDAIIAKFEPVSEHLCIGNPRGVFYKAFPIKKEQPMVY -GIKALLGISNRDFIMNHGHGHLRTVPYSEINNAIRSFAKKNEAEIKRIRSDSLSPNAGEKFINMCDMLLQ -KEKIETIIAKIMKNDKN - diff --git a/seq/clusters_seq/cluster_582 b/seq/clusters_seq/cluster_582 deleted file mode 100644 index 07c3c84..0000000 --- a/seq/clusters_seq/cluster_582 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009553482.1 MP2 [Adonis mosaic virus] -MPSANQNLTVITGVIGLLWLMRSRSILHSIFEAAKPPPLLTLSQIIVFSFCGLLLNCISRAEREVVITNN -HDSSKQQHITVNTPSK - ->YP_459963.1 p10 [Angelonia flower break virus] -MLSANLNLTVLSGVIGLLLLTRSTLNLPSIYDLVPFSLPRLVKLDQIIAFAFLGLLLNLLNCSQTVISHR -YSNDNSKTQYVTISTPSR - ->YP_009352893.1 movement protein 2 [Carnation mottle virus] -MPSANLHLIVLTGVIGLMLLIRLRCTFTSTFSLPPLVTLNQIIALSFCGLLLNSISRAERACYYNYSVDS -SKQQHISISTPNGK - ->YP_008378654.1 P9 [Calibrachoa mottle virus] -MNKHVVVFTGVVSLMLLGRLRWRSISIFDFFPPVNIPNINQSIALALCGLILNCIGKAEPSYSYYSTHDS -SKTQYIKINTPDG - ->YP_001039886.1 MP2 [Nootka lupine vein clearing virus] -MRLWIKMHLRVIVGVVTFLLLTRWKFAIRSFSISEILPPLITLNQIITLSFLILFVNCLCRGGDTIFLDP -LHR - ->NP_044387.1 SCVP9 [Saguaro cactus virus] -MLCGNKHLALLMGVIGLLLLIRWRFILPSTFSLPPLVTLEQIIVLSFCGLLISCACKADTTLVWHYSDNN -SKNQHITISTPNGR - ->sp|P0C778.1|MP2_CARMS RecName: Full=Double gene block protein 2; Short=DGBp2; AltName: Full=Movement protein P9 -MPSANLHPIVLTGVIGLMLLIRLRCTFTSTFSLPPLVTLNQIIALSFCGLLLNSISRAERACYYNYSVDS -SKQQHISISTPNGK - diff --git a/seq/clusters_seq/cluster_583 b/seq/clusters_seq/cluster_583 deleted file mode 100644 index 603bcb7..0000000 --- a/seq/clusters_seq/cluster_583 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_010087863.1 CP [Malus domestica virus A] -MSVDHASIVGVFSKLTGGRTNYERIKKLPNKDKSQSEKDVEQDFENTFRLYLHDVALLTKESLKQYGLNP -MPVGLPQDLIDEYKTLVEKISATVEQPTEQKHDSAKTAGSEQKFDSMKWGSTTSSQGKPSDLSFKSKMKI -KVQAANELTPSQVGIFKDVVYDFFRTAVFNLKTDENIPMEMWSAAFASYLASLVEQSTSRQNISNINLLN -SFEVNEKIYEWNRADIMRAIYAKFDEMKIPNAERRFMRAEYENNQRILANAGFVPSQRLAAQWGVAGPYR -SNVSDCTPLYKSMASAKEQTAQMAATEYATTKGEESSDVVHVSQIMGSRRRR - ->YP_009666143.1 coat protein [Cordyline virus 2] -MAQTSLATLFGRADYLKGEAAKPSSSRLPSYASDLAKLAADFKTFLDSNPSLTNDQKRSFASTYDYSSIL -PESTLEQLRQLLTTTNKDGSTQETEIKRFNISDLIKKGVNLPRFKTQPQPANELTSEQVQWFYDSLEEYF -KYQVYNKSESDTLSDDEIIAFLASYFASLVEQSTSKENANNKNLLNTFTLGDNEYVWDRAKFIRYIDNKF -SEKGFKVENIERRFGRSETERITTILQKVDYKPSERLPTQWGVLDNTRAQISDFIAVYKSTATPKSQSAQ -VAASEYATSKQGKNNSAVHVSQVLGKNNMQRY - ->YP_009664829.1 coat protein [Cordyline virus 4] -MSNVNELVKNIQSRLDDAKTIFQKKDSLRSLSEIKIVQEIAKDLGVLTTNFQDIDEDSKVIISGIKLSAD -VPEDIKKYIVTLQERLKFKTNNMEEPKSKINLGNPILNMLSKSTSIQNIKSYSQPANELTKNEVNLFYDS -IKEFFATVVFKKPTDELSDDMFLACLVSYFTSLLEQSTSVENINNQNLLNSFTFDNVSYEWDRAKIMQFI -KSKFSINIDHNIENIERRFARAETTTIDQVLGAANYNSSNRLATQWGVLGSNRSHISDCRATYKSTVTPS -SQSAQVAATDYATSKRGQDPQAVHVTQVLGKTRRNNN - ->YP_009664819.1 coat protein [Cordyline virus 3] -MENQIRNAQNEINSIATILLKAPAIRTTTEAGLVSNIENSVTNILNNYQDLSDSQKQRVRELVLPSGFSP -AIVQRLNSIKATDDQSAQRKDKENAYTKQKPENRISQLLGGNLAIPKVTLFAQPANELNAEQVNYVYECL -TEYFKTEIFQKSSEESLTDEEFAACMASYFASMKEQSTSVENVNNNNLVNNFTLNDKVYTWDRAKVVKFL -IAKFGIKKDRVQNIERRFGRSESNNIDALLQKLGYENSERLGTQWGVLDSTRGHINDFNALYKSTNTPRT -LSAQVAATEYATTKGNKDQNPIHVTQVLGPRSQRRN - ->YP_009506349.1 CP [Cordyline virus 1] -MAHRTLSVLFTQANNLYKESLKPAESRVGTYNDGVTSLINALRQILDTNPTFTAEQKRSLVTSAIYPDFL -PTDIVSRLKNLLTDSRSVNTRDIDKKKNFSISDLIQKGVKLPTFKTQPQAANELTKEQVEFFYASLEEYF -KYQVYNKGESEDLTDDENIAFIASYFASLIEQSTSRENANNKNLLNTFTLNDIEYTWDRAKFLRFIDAKF -SEKGYKVENIERRFGRSEFDKITAILQKVDYKPSERLPTQWGVLDNTRAQISDFAAVYKSTSTPKSQSAQ -VAASEYATSRRGDNNTAVHVSQVLGRNNLQRR - ->YP_004935924.1 CP gene product [Grapevine leafroll-associated virus 7] -MENIVKNFEEIKKIVTKNVGNRTQSEITTLDKFKTNLVNYTEKSDEIPSNVKTELLKYKDMEGLDIETSN -RLKEFVLKLEVRQPQGNESNVASSSIFDDLEVPTNIVIHTQAANEMTPSQCEEFAKVLNEFIKKFMFKLP -TESKLTKKQLTTGMYAFFTAANEQSTSKGNGSNKNLSNNFTLDGVEYEWNFSHAFPFFDEAFIKEKIQNP -IRRYMRAKSQEIDKLLITMGYVPSGRLAAQWGVVDGLRDMIGDAVPLYKKAMTADQFTSQLAATEQATNK -KGQASNVVHVSQVLGRTLNRR - ->NP_045006.1 putative viral coat protein [Little cherry virus 1] -MAEAAFKKSFDRLVQLLPIVKPIWLIPNPSRSLQQKSDVGEFDATIKSIINAHIIYGKEDLNKIADLDVG -TSAPDEVKKLLAEVRKCRGKVDISYTNVVKNFRDYVRLISKPVADRNTDEINLINKFEQDLTLLENVKST -LVETELKFLSSFNITNIPENERNQFKNILKEFVDSDEREIEEENVRHSDEPVSRLNFDKLDFSQVKNIKC -QASNELTDELTDEFLKLLEEHFRDIVFKKSSGVQLTPAEWTSALVSYFTSLYEQSTTTKLADSKDALNSF -SVGKIKYEWQRGPVTKKLTEHFRSKHGVENAERRFAKKEYNAIQNALAAAGYVSSERLAAKWGAAPNKRG -KVSDATPMHKAHMTFDEQSVQLASTDYATDTDTSSSKGALHVSQVLGPRRGRRN - diff --git a/seq/clusters_seq/cluster_584 b/seq/clusters_seq/cluster_584 deleted file mode 100644 index c458803..0000000 --- a/seq/clusters_seq/cluster_584 +++ /dev/null @@ -1,64 +0,0 @@ ->YP_010087158.1 nucleocapsid [Yerba mate chlorosis-associated virus] -MAKIPGKNAPFAPLPSNLIFSAAPGISYSDENIQTASWYNDKVDVSINQSASTLRAFLGGNDFSNKFIGH -FLLHLASELESPIVTDKREKIVGPMKGLIAWPKLALASSSVSATQQIASKGVEGGINLFGDGDPEKNQGS -QTSSSITTTNNTGLDEEFIRSSKFVAAFLMRLLIKTSDSVIKAWVKLPERYHSFYGEEISSCYKEKIKKE -ALEAIKMKLTSNPLFASTWVFCFNYTEQNFSAKSPEYNIINYLAIIPLGFAGMHALKLFLAYHNVTLCDE -TWLLNVLRAPENNPALMEIDTIMRKWFPKENNIGTGLFKYARLVDSSYFQCLQTKNCPSLVFLLVTLLSN -HSALPAGADPNLIVGISNVSQTVRDEMTLAASLIHKSIPKSNAEAYSEEMKEAKTGKKDTTTKKDDGSFS -LF - ->YP_010087150.1 nucleocapsid protein [Papaya cytorhabdovirus] -MPKTYKDNSAYAKEIFTPITKEISHGRIQKQTWTDQVFEEMQVYKINKYTAGDLERYGMYMVDSISKKEV -SMDTCYAILILACHLHKIAPSKDFTLFELPLKGTLPLPKMEVFAAWNKEQAEEGGEEDGKGSEESEDDSE -HEEISVDPSKGGKEKTEDPQSATAIDKERAANCVRFFCFCAAFYLRLCIKDIQDLSTPYTRMKERYTRFY -QGVIPTSGEDIPPSESVKSLKTFFAGDRIISRTWVKLFVPWHDKAEVGSPQDGMVIYLAVLPFAFSGMHA -LKLMDDVIEMTHLKDTDLIYQMMHPRHNSALASISEIYAKHYPEEGSQKNTYVKYARVVGPQFFPALQTK -KCKGLVCVLANLVVLHQKTTEETGDPRSIAGIDDISDELMKFGKRMAKIMMKNAPKTASYMYSSTAKEAE -EGGDSTDEESEEDEEDIDPELLKVGKRIYKP - ->YP_010086409.1 N protein [Maize yellow striate virus] -MATQTQDDHGLDKSISNMFDEVPDNISTSLIPGVVFSDEEFDKVPAYTTPRPNDSQLVRASSKLLDSLGK -KNCPSGMQYFILVLAENLAKPQRGQFETFLTDDLPSQGSQLDESIKTKIYASVELTAAQREGKNETEVAK -LKADTIFGADSTSPLKTKNDEELVKNATFIAAFLLRILTKSVDNVTAAWTGMKSRFTNFYGDPMMTEVKT -PGRDYLSDLKNLLASDPKIGHTWVKVVASAEHRLDVSENTAGMIRFLAVLPLSLTGMHAYKLFLEIKRQS -NLESKWILQELITPRTLPALIEIKKILVNFEDRTTEKKSGKFRYARLMSPAFFQELQTKNCPDLVYVEVC -ILNRYEAFPSTQDPNKIIGIEKVPESMKERLKNAAYNIFVAAPQRNAGKYSTSMKKAFLPTEKAQSARTE -VKKTTADNIFT - ->YP_009553363.1 nucleocapsid protein [Rice stripe mosaic virus] -MATDKSFEEKLSLVPENTKLYSISPEAYSDDKFDKANCYKLEKRSEYELTRLYKGLVRDLGNSSPSTYAV -ERLLVLASHLYETKKGSSNFFLTDYLPKTTSTANLDAGFLAKLKETPKASDPDVSDVTEVKTAKATLDSA -TTDADTKKAAYEAIGDEDSKKAEKATANTAWIAAQEAQKKAQSAYDKAVSNAKKASRKTTSGKSLFGDAG -ETVTDESKVVEEVGEGKKKFGPFLAAYLMRLLTKIASNVTESWEHMKGMYKNFYGYDAPSDLNCPEAGFL -EQLKSELNKDRRAATSWVKIVAEADNKLDQSTAEAGILRYVAVLPLAYSGMHAMKLFMDVKMLTKLTSNY -LIGAMRSPLTKDALDAIMDILISFESTTKTKKSEKFRFARIVSTQFFQSLQTKNCKELVYLMVQIIAEYR -KAEGVRDPMNIAGLDDISSRNKKKLNKAVRIILAEAPKASAGEYSSAMKKAFLDDEEDDTAKTRSIFQTK -A - ->YP_009362275.1 nucleocapsid protein [Colocasia bobone disease-associated virus] -MAQHTIDAKITALFDGIPEDVCLGPVTGVKFTNDAFDALTCYRLEAVADAKVRYMAEKAMSEIFQSAATC -KDYHPYMILTLASQLYTPGKSATTKMVVDYFPTSQTGIPTATSDEWKLPTKNTSDTDTTQIDDEAAVFTG -RTAKAGNATVKEVCFASAFLLRGLVKTVTNITKAFEKLGGRFVSLYNLPKDDTFVCPPAAWLTSYKEFLT -ADPMIARTWIKIVAAAEQGLDAGSNDMGVLRFLACQPLSYSGMHAMKLYLTIKDKTKLSHKWLLEKMVMP -ATTPALTEIANLLKNFESTESAKKPTKFRYARLGSPVYFQKLQTKNCLELVFLEVCILNHFTTFAEDYQN -PTKIVGVERIPEAMKTKLKAAANAIVSRAPVLNPSLYSDIMSGVFLSKTEASTSGTAAQTSGTKLTEQAI -FG - ->YP_009506253.1 nucleocapsid protein [Cacao swollen shoot Togo A virus] -MANEHKSPLDKRVTDLFKDIPTDISTHLITGETFSNESFQAIPVYTLTNVTVKQAVHLSYKLFESMKTTK -CAAGYQYAVYLLAQRLLTPAAGSNDLVFKDYIPQGGADLPQEIKNKIYVTTELSDSQTAGKSEAEKKKAT -ADLIFGDNTDHDLQQVRSQDDETIIRFGSFISAFLMKLIVKQQSNVVDGWNGMLERYTNFYGESPITAVP -KPNADWLAGLKNYLISDPKIGHTWVRVISAAENALSVGDKSFQMVRYLASLPLSLTGMHAYKLFLEVQKQ -SNLGMQWLLEEMVSPKTLPALEGIAKILKYFESRTSTRKPPYFRYARIMSPAYFQELQTKNCPELVYLLV -CLLQKYEAFGAGQEPTKIVGIERVPANIRAEMSRAAGYIFSVAPQRNMGMYSDSMRKALVHQEKASTSRA -GKEKADEVFGM - ->YP_009177222.1 N protein [Barley yellow striate mosaic cytorhabdovirus] -MAKEDHGLDRENYDLYEDVPEDISTSLIPGIEFSDDEFDRIPVYTPAELNDNQLVAAATKFLESLGKKNC -PAGMQYYILVLAQRLSKTERGQYESFLAEDRPTGGSDLDNSIKSHIYANVTVADSEKEGKSTEEVAKLRA -DKIFGAANTSPLRTQKDEDLVKNATFIAAYLLRALTKSADNVGAAWTGMKARFLSFYGMSLLQSITTLDR -NYVVDLKNLLASDPKVGHTWVRTVASAEHRLSVNDNTAGMIRFLGVLPLSMTGMHAYKLFLEIKRQSNLS -SKWLLRELTSPRTKPGLTEIRKILINFEDRVNEKKSGKFKYARLMSPAFFQHLQTKSCPDLVYVEICILN -KYEAFPANQDPNKIIGIEKVPESMKDQLKEVANNIVTAAPQRNAGMYSTSMKKVFLPTDKKSETKSAAKK -NADQIFS - diff --git a/seq/clusters_seq/cluster_585 b/seq/clusters_seq/cluster_585 deleted file mode 100644 index abccffe..0000000 --- a/seq/clusters_seq/cluster_585 +++ /dev/null @@ -1,236 +0,0 @@ ->YP_010084250.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 5] -MTNPRKNRFLYTRHLDSPLTLSKLKFYKSYPACWDKRYPGFSHQYIDIFSNGFSNGTNVFPWLLTHVKTS -SISLISNWSSRWDLVKRVTSKSLTVQLAAMYSDFPGLNPNSFSTLIDQSLSSSDLLSAFINKGIVLQIHQ -DLLQISQINTYPATLPSLPSIQVHSDMVLLTEFPSRPLIIPYNFFLGVLDRLESELAYKVYINLTVNATD -KGKFSFDTLSNTIDNQLDNIYKSLGNEAIRVFKLMEPITIGVCLKLLPEESNNSEFLDIILDSVRIDKPN -IYPYVLQLVDTLQNYAHTYGPSGIPYIMEQYGHEKRHFYPIIDEEEGLIKMYRFGTAHIKVDEPFVQEVA -GHFIREYILSYYNKEGHLPPIRWNDDLHPSIKAIYIQGKINSKHDCLKIPPKYWLEIIFANHRKFEYCED -ALDLLDDKAITPHLDHVNQLFAYDVLYVLSQRPPKDRETTRLVLKILSEPEIDIRKFYEVVEKLGYIPPN -WSIIQLLPKERELKIDARMFSILVYEIRMMCSVAERNIATGILPLFTQQTITDSGPALKSKVDFLTTLKE -DKDYIWVSFNSDLEQWNYTFRQSLQIYFTNILNQIYGVKHFFTVTKIFLESWITGANKFIHWDISNIFCN -WENHLGGNQGIFQKLWTLITFIYLDLVLSNSSYQFHIIGSGDNQNIFVRFKKGMGLKDDIVNLREQLSEG -FSKLGLTLKKEETWYSSSLFAYQRKFYYKGQQCENGIKQVIRGYSGGSDVACGMSDLVMTAMNAGMNISE -TTCSPLVGPVFSYLEALSILSLYPPDKKGFFQNEYNLLLCTFLTTDFGYLPFMQLTGFLFSGHKDTLTDS -FALVKRIWNTNPELQSIIAVFMQWRRCSDSVSAFSSLVENPLALYLDTPPSYLSIIRSRVEDYLQNPLNV -KNIYIQSLIKASTGQHKQEFINHLTPIRPINTSLLNMLLETSHIGQVAGMVNRFNRITSLVSTVNMNRVE -EGNSSFQDIIVNQDSIYINHLARRINVRRQAQTTWWETIVFPYIEEYTAFCTQHQYHLDCSFSVRLFLTS -FTHHVYPETVSGPYTPSPIEQTNVSLNIDPRLIDRSIILSPAYNIPDNSWLTNSTRGPFGLLIGSKTENP -VKTIRLSSISGVELGKSIRELLKLYAWLKDVTDDERLLKLVQEQLGIKAPVIRESIDLIVGGTSGGTFEH -RFEALGIVTGAFLSSASLQSTWIRLSTNKASTLQRGEEDRYIFFQAIFQHVIAGTRFCNLNPEQWMISIP -LDHCSYLVPKTKFTYTDYVEYTPEQLIKEFQLSSSILESIREELQHTLAIRQLFVTNHGTPAQLLASYIG -FTFASLLKTHVLGKTEVADRTGRGLAPQSTYNISILRKISLTDILLSCCLHSLYFRVFNNSNHPVRAFNF -VKSCLGKPSTIQNVGPYKQLIDAIITSGKLEELAHIAKIGVKWVEGNIELSSLYLLLEGLLWGFQNLLMI -ETPPVLLIETKRACFSWAPVLSLFSKINSDFRFWLLANPQASFSTALFKYLDCNPKIFYHVTLERGLVLQ -KARQAYEDWANISGYQPITDFTSVIRRSPAITIPSPLYLPFITSLSPAQSNYHISTLQRSIHNHPLISFT -SLQYIGRWGSISSGARSKLAEILSTFPPLTNNPSGIICLAEGTGSYLSLLLHQYEFSFGFYNSLLPPEQL -SHGFASHFIPPECICKCGITNRMMVLPTTTTTYGDLTNNITWDHMIEAIYSKDLTIDILTMDLDPFTGGR -SVVFFELVKFLREVHPNYCIIKLFISDFKEPMDKSLKEIFDLYDNLYLHKPSASEILSPEIFLICFGVPN -SSSLNQLPPMTDLCYQWSKILSGLTLKSYFLSHIEQARAWRKSSYCPQIYPSTLQSTINDSHPIVNTLHR -IIYSLYHLAVSPLILKAHIDKPTMHMLESQSRGAVSTYEDYYSQLIVINWYLLAIKFVGDNLTIQERDHN -LKNIPHDDLLTLIKSLEAVDHDLPVNLGFKLLGEIVSTGLLLDGSVVSMYIILLGNIFHSMPSSWRLRSL -GNVMHPIFFTPLSPTLLQCMSSWSGILFTQIGKRNINIISSIIYNHFQEMEVGCISYPNYLKYYLSVAGD -LFPTIVSHTPRLVIIDSLYYSILDVIPESTQLILGINVSEEQAFGSDFEIVKSIKGNSQLNIPTLTLYSH -IDIIEF - ->YP_010084249.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 6] -MDRQKRTYHQYSRHVTNALAPDKIEFYRNHPKYIKKRFPTLSPSWFIDLRSGIHNKVTIFPWIEAYIRAH -EPVIDRLFSDAWKKIWMTVGSSLELQMCSLTNGLSNQSFDMYTNILNQLSVSEDLQLAAKTKAFIINLHR -EIEVESEKGAHGFVLPSLPNIEFIGDLIVLHEFPGGPIIITYQMLVNCMDKLEARFSWLFYILYRNIHPS -QDKFNTLALFNNIYHILEDAYLKAGNESIRIFKLLEPLLVGISLQHLDPETHDHDFGELIRVSIKDDYPQ -LQIYIESVWRIGHDYLNKVGPQGIPYLIEQYGQEKLHNYPIVSISGGLHKMHKYGTSIRISADQAIRDIA -SNFKREYFVAYYEKHKVLPKVIDNWRLHPKIRHLINRGKPGSIRECYRIPNEAWFALEFDKNHDFNYYPQ -ISDLLDDKAITPHLDHIYQLFAADALEVMNLRKPYTQENTRLILEILSRPIIDIKAFYDEVERLGHIPKN -WAIIQLMAKERELKIEARTFSILTFECRMMASACERNIGDNILPLFKQQSMTLSGSELKHKMDILSTLPD -TETHTWIVFHHDLQQWNYTFRSYQQSHIINVLCDLFGVNHYRYLMNIFTDSMLFSANKFTPPGFPGEFTT -WDTHAGGNQGILQKLWTLITIVVIRNVMHLMDLDHRLTGSGDNQVLFVRLIKNPQLSEMINLIKIRLKEA -FEDIGLALKLEETWTSRDLVCYQRKYYYKGILVPGGIKVSARAFSGSGDIQAGINSVVTTAINGGMGLTD -QHSDPILGPAFAYIEIFTNLLMHKDWSKYIPREYQSLTVLSMLSSDFGFLPFIQLTGFLYAGHQDTLSES -LALLKFIWDKKPEWRTWIVGALHFDIGSQDLTSKLQLVLDPTSINIDRPKLPEALVKDKVEEYLLDPSNV -RNQQLRLMFSCSKKEDQLALAKELLNIRPLNLALIHSLFECSHIGSLLGTFSRFNKISSLVRLIGLNKTG -NLESCFTHQVRRMDKANLLYFSRRLKQRVIYRDNFIDELVSQDPTAYNRFCIVNGLHHQCTFSARLFLIS -LTYGLGTEFVTGPYTPAPLEQLEFHDGGIPEEHSSCLIVNPSYNIPDSLLEMEQNRGAYNLYIGSRTADP -VRSIKLTTLDGVEAGTAIKTLLKILAWMKSTSSDTNIQEFIVSQLSSRMTGLDKLIPALVPGTAGGCIDH -RFGSPGTVMWAFSNSTSILSTWYQITSNHATALQRGEEDRFVFFQQLYHHIYSVLRFCTPYKNRFGVRIR -LDHCSYLIPKSQFHSPPLYLPGQASLIDGLVLDNERKNLLQKEATHYLSVMSNTILYKSHGEDILTSVIG -HSVAHQISLYSMGLEELNQESHRVEKNQTSINLTLMRKVPLNKLLTSIALHLASAGYFGRRITYRRLAQA -LHSRSEMGPSGFSIDPFYDVLQAIITSGKLERLIMYSRTSWNWNSKTSLHSLIVPFFKAMSRALDDWIYD -NYSILVLVEVRQSQYEYKSLFQFLYYWDKDFKSLIQLNRSLEAVKIIEELNDMDNRLKVLLVTDVGIATE -YGRRVLPDAPIQDSVNQIGRPDTPKSSPMDPPSSPPWILIKPDCSSNPETMREAAVELIDGRHTWNLSSK -KLFHIAKWNKSSSSGKYKLNELLKRASLTLPDHSGVITLAEGAGSFLSHLLHILPKSLGIYNSKVLPDET -PPAMAGLYKPPDLLCPCNISERVINLPEMSSTYGDLCYRRTWAELNTYVKIIATSRPISLLTLDMEYKSG -ESIIVLQHLIDWVPIWEPANVIVKITLEMLLDEINTWFSMICGYFREHLWLKPSFSNPYSTEVYLILTSY -SKSASEERPKSLSTLISAWKLLPVSLTSPRIGHSIVEMLTSNVGRSFCPGYIGEVEWQAISKSTPVLHLL -RPKLTYYFNAVYRRFNMQEQLDRTEQIILHSQSFQSQAIRRDFACITRAIILYIRLRGLSPSYMAHQSLL -DDIRSHPENLHSLIEGNYPEITTEGDDRHVIQQLGLLLSYKDSLSDPLTVKTLIYWFSISIHDDKFFVFP -SSTYQERSRLLQELMSHQLNQQLDTFSFIFKPASFYLINVTLVDYLEMIGWDVCRICAREENLTSLLHDL -QWHPRLRGETDNQLVLVSLEDLLIERETRYNHLNHIIIRIIDNNENNLLEYGELALSRSFHEVKVEIYVL -HL - ->YP_010084247.1 RNA-dependent RNA polymerase [Hubei rhabdo-like virus 8] -MIRQYEELCQQHLFITSELCRLQLTALGREMPNFIMDDHLKYIERLKTDQIYRELFIKRMKVLQIHAELK -DWSLSPSMKRELNSLPGVIVDKDWLLVPLLNNGPTIISYGMFLNVLDKIEAQFTTYLTALLYDTHESLSD -INYMDLYLKFDYILEEGYRTHGNDFTDLLKSLEGIIVGFILSKLPPATNDTTYGTSMRLEFQKKRPSQII -VWDQLIEVLENVYCKYGDRILPYLYQLYTHEKLHFFPMVTSTLGIAKMKDFGTKITPVNNAIVVKAAGMF -VVEYIYGYHAKYKFLPPVQLGTISNAQILEYYVQQKLPTKKAALKLPLEDWAELRFSKHVDFLYHADISD -WLDDKSTAPYYDQVFHKYAPDVRKIYGGRGKGEMPTNRMILKLLANENIDVKLYFQIVENLKEIPEAWRT -IVLVAKEMERKLYARMFSILTLECRMMASVCEKNLADSILPYFDEQTMTDSGSEVKSKIDLLTTMIPPPH -HKWVSLNMDFEQWNYTIRDYAVAPLCNVLNSLFGVEHYHSIQWIFRDGILVSGDPYLPINHPEFLTAYKG -HLGGNQGIFQKLWTILTICLIKMTMLSFPYQYKQLGAGDNQILLVCLRDDTTIHDKIITIQQHLEAIFFG -AGMKLNQSECWFSDQLFLYQRKGYLKGVPCPLVLKMASRASSGIADVDCGFEAIVSTSTNAGITINEVSP -SVLIGYIFALLENQMATSLSYPWTRLKELTETQRVIFYMLPADMGYPSNLPLMNYLYSGHKDTTADVLAL -LKIIWDMYPSYRSDIASALTMEVGYLDDESRCQLLESPHALNIKSPPSMIALIKRHVEEFLRTSGFVKNQ -TLRTMFSQDVKSQKLELMKALMTLNPLNTEVCHTLVNESAFGQIESFMSKFNSITSIVKLTGKNRIAEGE -ESFSNMVMRVDTELISFIIRKLKKPQFYSDDFIQSIAYGFYPQYLDYCSIHSLNPRCTFSLRLYLIIYTY -DLPPIQLEGSYVPSAIELLRIPPLMDLALKDQSFFIIPSNKLPRNRALLEVQRGPLRRYLGSRTKDTITH -IRLNRTESKSLDISMRSLANVYAWFIESHQPANIINIPKQLLLQRLPKLEPILTAFSAGSMGGCLQHRFS -HVSTVMGAYFNGPPMITTHYDISTNTARKLNPVDGDRMIFYQALLLHLYNLLRFCEPVNHQVQVIVDIDC -CAPLLNAIPYNSPLDPFPVPHYDLNEVQILDDKYLSDITPFSKSLDLLTLARSSYIPGDDGLVAPLVLRL -IQIYHNTRIGRFASSLELSEDTVTTSVFNVTLLRRISLQAFFRMFVAVATVNKTIGNKSSMKRLLMELKS -LRLYAKSSFHYAVLKDFLYALVMADRLPDLLTYANCYNSWNGASDLSSLIEVFLIACIKGIDEILYTDVD -VFIPITIDLKKTTESMLQQWIKRLNIGPYRPLRDFESQSAECILHHLNSFSSRFHFRFVYTIDHALQVAR -SWLRQHPLNELITHRSGDTVSYSDLTSGTTNEIMIKTTSTIIYDQQIYQSLLPTISNIVQMTQSYVDLLA -DGFNWKSTCSRSARKLLDIEQFIHHLVTPPELIITLAEGHGGFLSTLLHLYPSARGIYNSLLPVDNVPLA -LVGKYYPSLTICQHNIHTRINEHHNFCPNNGDLTNQSTWMFIHQQIITYQYIHRWITLDLPLKAPNRLQI -LQYLNTYITLWMPDVIIIKTSLMELLPELFITVLSNHVHYAVKFLLKPPNSRLSSLECYLVCCNYSSTII -NERCPSVVDYVDQLLKQLSAHPTNRQMETWLKGIQIEESTPWCFNRYQCLIKEMYFNHSMMCSISILEAL -WQLYEHRESHFVHNDSLMSQLLSMKTAVGFRNQQTVEQYLIGLFSLIYITNSDNEEISKRSPYIVFDPLR -TLKLWQYLKHPTSNTDRTFTKDIIQLLSYLSSDVRDVSPDHLLSNVWFLWKMYLISYPPLTHRPLLISLL -EDMDSTLKCRTILLYQNPIYEVELLTMLIEFIDHSATQLMLPDYTLLIYRSTLGSLLGYLKLSKKYVITS -TNIIQICGVEELKSQTYVGAISQYICCVARYGTQIDIIPRSLLYERSCNNFQFLFFSKH - ->YP_009505433.1 putative RNA-dependent RNA polymerase [Pteromalus puparum negative-strand RNA virus 1] -MQHQYSRHLSGALQTDKIDFYRKYPQYVDKRFRTLKVEWFKDLNSGFVDATPVLPWLEGYVRQAIATYQH -NIEDIWPIVWNTMHTSLRIQIEHLTQGLSHADPTASLRILVDLCNSKELKECGRDKIYIINVHNEITKQS -ERMQHSFVLSSLPQVELVGDLVVLHAFPTGPVIITYQMLVSVLDKIESKFSWLFYVLYADKVPLRAPFQT -AQLFKAVYDICENAYKALGNEAIRVFKCLEPICVGVVLRLHDPRMNDHQFLNEILAAIMEKGEALHYYAD -QIATLLTDYLTVHGDRGAPFVLEQYGQEKLHHYPITSGELGMMKMFKYGTAYRPSSEQAIRELSADFKRE -YLVAYYEKHKSLPRAHTSMRMDPRIKEMYKEGRPKSLRECWKIPKAAWAELEFQQNHDFNYYPQISDLLD -DKAITPDLEHIWQLFAADALDILGKVKPRQKQHTRLILEILNREVIDIREFYHRVEALGYIPKNWAVIQL -MAKERELKIEARVFSILTFECRMMASACERNLGEQILPLFKQQSMTLSGAQLRQKMDVLSTLPETDTHVW -IRFNMDLEQWNYTFRTFQQSFILDTLCQLFGVQHFRYMTQIFTDSLLISANKFSPPGMEGVFTHWDCHAG -GNQGILQKLWTLITILVIRKVMYAQDLEHRLTGSGDNQVLFVKLEKDNQIQDRIVSIKRQLSEAFADVGL -ALKLEETWVSSNLTCYQRTYYLNGVKIINGLKQAGRAFSGSGDINAGINAIVTTAVNGGAGLTENQSDPV -LGPAFTLLEILITLLGDVNYREVVPKDPHRLVILTFLSSDFGFLPMQQLPNYLYAGHQDTLSESLSLLRF -VWERYPEYRALISGAIKFRKGDHDLESKLQLVLEPTALNISRPKLPEALIRTRVEEYLTNPAAVKNNQLK -RMFDASQKTDQLRLAQELLKIRPINTSLVHSLFEYSHIGSLLGTLSRFNRISSIVKLVGMNKPDESKVCF -SEQVRNLDLNNLKYFFRRIAVTNWTSQDFITEIVSVSPIEFQRFCQKHQVSPRCIFSVRLFLISFTYGLE -NEFITGPYTPPPSEQLVFVDNPSHCLRESDLIISPSYNIPDTLAELEESRGCYNLYIGSRTADPVRAIKL -TALDGVEVGTAIKTLLKTLAWVKSTGSDQTVSDFIKEQLGSRMDGLEPLLDQLVPGTAGGNINHRFGGPG -AIMWAFANSTTLISTWYMITSNRATALQRGEEDRFVFFQQLFHHIYGALRFCNPYKRKIYATIRLDHCSY -LIPEARYSAPPIHMPGRDKLFGGLILDPTRKEQLVREAEHFTSVMSKSLLTLLTGEEILSAVIGQEISYS -VLQHRIGGVTLEQEDHRLTNAGTDINLTVLRKVPLTRLLLSFVVHLALHGHFGPKITPHRLSRLLRRRSA -LGLMGQSATPLVNFLNALLTAGMIGPLLRLSGSWWDWDGGKSVISLLSPLLKGVAVCLDQWIKHPYRIVV -LVEIKNSMHSYKQLIKFLKNWSPKLKKTLDQNRFLDALGQIDMVNHTNYPLRVLMVTDSGIATEYGRRVF -NQETIDRLPPLTIPTTPLNPPAFQSIVGPNWFSIDTRSPALAPWHEEMLVAPEISWNPRHYLTKNFTRMT -RWNCGPSMGAPKIANILETEGMVLQDKSLVITLAEGLGSYLSYILHLYPTVYGVYNSRVLPDHTPTALAG -MYIPSCLLCPCDVIARVINLPETWASYGDLTQPATWQELRTVTSKSGYRVSLLTMDMEHQPGVSVDVFAL -VDCFIQEYHPDNCIIKTTMTAALGECEAPLRLIAQQYGESKIVKPVMSSPSSEEIFLVCKGWGPQLGSDN -VNVRGAILAGIQHHEAHCKGHRLGQVLVESIASGWETQYCPGYITPSLRLSAENKGVCYAVLAPYFFQLF -DLTYRRYYKGWDLDITEQIVTRSSSAQSASTGANIWAVLAAMSFYVAQFGGHLGGQGLESAMLSLEKDPG -PLLELLDRREQTSPSPKAWKLTIQLLCALVAGPCADMVDTDLILLEAFMRLLRTDDRFGLVSEEQLANND -LAWSIIQKSSCWKENLGVTNLLVPGNFMVADKLLTKLMAQLGWDKCCLKCSLAEFTDLLQELPWTIPLHP -SKAPVVVITMSISNDLLDIKCRNELLLIHFDFMNKIQPRSGGAWTHFTGEELDGVPLRLWYYLLSH - ->YP_009333449.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 2] -MVFKPRVKFLYPRHLDEALLLDKILFYQSHPEKWEKRYPGIPHSSLTNFKSGFARGINVFHWVEREILSS -IGPFGKEVGNKWAHLLSVVSVALKEQVYACFSQFPQYRDQDLTSYLRVLANNTDIRFSFIKKGLVTRIHA -ELNTISSQNEYPVVLPSVPGVVMFKDLVFLERFPRGGLTIPFSIFLGALDKIESSFAYQVYIHLASHSAQ -KEGLPYVELCTFVYNTLEQCHLELGCKSADLFKALEPLMVGLILESKDQQTNNSMFLEETLEDLRSSKPG -IFPWALVLVNRLRQYIHQYGERILPSIMEQYGQEKMHYYPIIDNEEGALKMYRFGTATLPLDYRQVLTTA -GFFNMYYIVKYYQKNHTLPPIVKDDSLHPLILEIYRTGNPQSMDHCRKVPFEFWRQIVFRPHLPFNYFPE -TLDLMDDKQTSPHREYVDQLFAADALEDVGRKPPPTRETTKLVWAILEREEIDVKSFFDQVETSGRFPEE -WAVVQLKGKERELKTVAREFSIMTIEVRLMASVVEKNITDKILEFFEDQTMTDSGSQLKDRIDNIITMPT -KPGHKWVMFHSDLEQWNYTFRPLLMHPFTNILNQLFGVNHFYTITKVFCESVIFTADKFVPPGTPGVFTQ -WNTHAGGNQGIFQKLWTLITICIIRVTMDQYQYRYHLIGSGDNQVLGVELPVTEETPRRIEEIRNALADN -FSAVGLTFKAAETWYSSSLFCYQRKYYMDGIPLENGIKQATRAYAGGSDVYSGLNSIIMTAMNGGTVIAQ -STPDPFVGPVFAYMEAYATILLHPDTKANQLPPVPFLALLSTLNTDFGLFPFQQFHSFLYSGHKDPLTDC -LALLDKIWQQSPHLRPTIAQLVNWEQKTVDDVSNLKLVTEPQSLNIKSPPTTEAFLRGTIEEYLLTPGRV -KNRHIAEVFKAYNKKDQQVFATSLLSIRPVNTGFLHSMLDNSHVGQIARTINRFTKVSSVVHTVNLNLVR -KDHQSFQERVGAYDRRWMQALHQKARRPVQVAVSFLHQLTGRTHPLFLEFCKTNHLSPECTFSCRLFLTS -WTFGFYPQLVLGPYQPSPVEQIQVKTRTTDLEGECSILITPAHDLPSTHRDLSTQRGPFPLLVGSKTDDP -VKTIELHSLKGTESGKSVRENLRLLAWMRGNTTDHAMIEMLLSQLKARMPTIGDIIPILMGGTSGGNFQH -RHMSPGEVMGSFLNSASLTSTWYQLSTNHAKRLQRGEEDRFVFFQQLFQHIMAGLRLCDPVPNRVYAIVE -MRHCSYLIPENDFHLASTEMVVSLIDIPPILLSPSVIKELENEAEHCRRLLAMQKVAMNNPRSALAGIVA -LEFARSLRIHQLGKDQIRDRGVRGGAPQSLYNVTVLRNIDLPTLIRSIAFQCVLHQVFLNSRNYRNVIRH -LAVVALKPSGLQDSYPYRALIEALITAGHLPELVRLAGGPQVWMESGSASVGLRVFLLALQKGLSGVFQH -HLTTPLVIEAKNPQFHWGSLWRILGQLSNDYLHWSSTYSTTMIEAHLLKAASALPWLTITPTSDTGLVLE -HARRMLKLQPDQYPSIPKTVNPTHEALPMSIPPTTNKILVVNCELMIPRGDSLPPSPVREVRSPEFQTPK -MLHHIGRWAASSSGGKVKMVEIISRVVPKEMNPLMGVCLAEGAGSYASVLLHMFPQLQLVYNSLLKPERL -PHCHASSFTPSEVLCLCNAHTRCHYDLLSDTCHGDLSKRQTWDHIEMELKVLDLPLDLLTWDLEGSGPST -DMAFTSLHAFILKHKPKICIIKTYLSELIGPHAAILDSWCTVYDKVQLIKPSASEVLSEEVFVVLSHPMF -ALLVDKSASIQGILDWWSGLMSRATAENALTEQICLAQWWTSMSPCMIASPSTGKFGMTQEHPLIINLRA -LCGLVLLYSGGRVSGESALDKATQHMVHSQSRGALSTLEDIQILYSSCIAYLQISNFYQGIRETGTVRTL -PFQTVETFMPIFNHHLEKFDLPASQIRFARLLGEMIAVDTLPESQVVGVLLLVLAEELERKALPHHKGQE -PMSLPTWIIKEMSSFKLEVWPFLLKIKSSISVLSGWDTVVWDLREKTGAPTVQVSGATLGHYEWLNLWSG -IPIFSPNSALTCKLIHVHPKKSEYSVSATEDFVIILAGNTKIERPSDYFPIRCVHLPGEKKYSCFYVLQK -KGKF - ->YP_009333443.1 RNA-dependent RNA polymerase [Beihai rhabdo-like virus 1] -MGSHSPQAKFLYQRHLDSPLVTNKIDYYRAHPERWSNRYRFLPHEALQDVTSGFCYNTPIFPWIESQIHS -VANDKIKVSRQDWQKTLRIVKDAITLQAKASFGKFPNFSIATLDQYCNSLVNNLKVINSYFLKGQVLGIH -HELNLISRNNIYPASLPSLPNVLIDTDMVYFTKFPGGGLIVPFTFFLSVLDKCEAQFAFHIYCSLATLTK -SKEGLDFYSFSLDLYNRLDAIYYELGNQAIDVFKMLEPITLGVCLEALPSSTNDCDFLNRQLEDLQAEKP -LIHSYVRVLTDFFREYRNAHGERGFNCILEQYGQEKLHFYPIVDIEGGLIKMFRYGTAQLPVDISFTNAL -VGSFIKCYIVSYYNLEGHLPLILWLPDYHPVILSILTTGNPQSMTYCNQVPDDEWAKIVFRKHYEYNYYP -NILELLDDKSISPHRHFVDQLFAHDALQELGRRALSIRENTRLVKEILTRSEISIQDYFNTVESLGHFPP -EWSIIQLLPKERELKIFARMFSVLAFEPRMMCSSTEHNLSESILKYFKQQTMTASGPELQHRVDNLSQFV -ETSEYIWVSFVIDLEQWNYAHRQGLQLPFLKILNQMFGVRHFHTVSQLFMESTIFSGDKFCPPGTEGQFH -QWNSHVGGNQGIFQKFWTLITISIIRQVMLRLDFEHILIGSGDNQVVSVKIRKNTDIVNSVDLIRTELSE -SFTKSGLTLKLSETWHSSQIFCYQRKYYFKGVQIATGIKQGNRAFAGGSDVDSGISSTISTAMNSGLNIA -QTTTSPYIGVLFAYLESYAQLMLDPNVNRILPFDTRVMSLLSCVNTSFGYLPFMQLPSFSYTGTKDVLVE -SFSILKNIWILMPGYRSAIACLIRWDRAALTDINVTQLVLDPQNINIIKSKNVETYIRQAVEEHLNSPGI -VKNTQIRNLLNSAQAVDRTKYMKHLLNMRPIHSSLIHQILETSTVGQLLSIMAKFDRISTLVQWVGLNHT -KKVGVSFQDKVKEFDVNMIRYITRRTGRGSSLQPSFEQQLLGGTWPTYIDFCTQHQLEPHCTFSCRLFLT -SYTHGMLPEMIHGPFSPSPIEQLDPILAPSQDELCQAIHVTPVLLCEDNIPDLDDTRGPCPLYLGSATAD -PIRSLRLTSVNVLPIGRALQTLIKMYTWLRELNSNYLALSLITTQIKSRAPSLLPYLEFMQGGSAGGTFL -HRFESTGQIVGAYRSSASMISTWYSLSTNAATSLHRGEEDRYIFFQALFHHIYAAMRFCLPITNKYYCIV -NFNHCGYLLHNLQFTHDQVLVQQDPMHLEFLAITDSQAKLIETEARHNSLLKGLSNVENIDPANLLCATV -AHDFAVNLHSYQMSQKIGAESRQNYTATSGVYNITVLRKVSTHNLLSHIFVHICLLGALGSNRNPSRVAR -ILRIISGKQSGIQDIVPYRNLLDALITAGHLDELIRLSRSNYSCQSSNVFFPLLGIFLKALSNVGRQVLI -KHPPLCLLIEAKRSAFNWDSLWSFLTYWSSRYSQWKAKTPCISIALHLAEFNKYCDFLSICILADKGVAV -EVGRRNLQLMEGPQQDQQDTIHTSIREITRIVCPFPAVSVTSHHDNVESIDMSQLQFIQKYLDDVKGSFI -EEELQVSHWYSTSSTASLKLLSILSDLLLDKTVISLVVSLGEGDGSFLSLLLHQFPKSRGVYASLIGASD -LPQCYSGNFVPPATVCQCNIQSKVQHSVNTARKSGDLSYTESWEELTQFYNDEDSKTGILTMDCQVDQIN -RATLLDYFVHHCENHQFDLVVLKTSVLELQSPSALTLFRLCQLYHHARLMKPHGSNMVSLEIYLVLQRRS -VTNMVSHSFDFLLLTQKWINCVNNQRIQGSIQYQCQLSSWVVKSNLCNVVYPSTGTINPPSTHPITHVIF -SCIELLQLVLGLSFHQKSKMDKTTLHMIHSISSGADITLGTSATLIELALLILLCLSFTGGSLRSNQITE -LLLTKSKLMESYFNQSLHDKENLVLIKHGYQFIGEVFSSTQDLDGGVTGSGLWYLKVLLDTAPILVLKPF -SKIIQMIEGSPLLINFNYLQDHIYWGLFDIQSIYDSFYFFCHLINSRVVGQEFGLDCDMSLYIHLIKQLP -LPISWNDKSDNRILIINTGLDQIDINSIIVYKYAIVFRPQAPVNIVNCFKCIATKEFDYYKGFSFICLYV -NRK - ->YP_009333185.1 RNA-dependent RNA polymerase [Beihai barnacle virus 8] -MATRTKDVRQLLKEASTGFRRPDSFRHEQHRVGETWLDTSEKAWRVQSARSFPRHLDSALTVHKLNFFRA -NPSQMKLHYPFVDPDCLQPISNGQCAGISIFPWLERHLLGSGRALSTQSAQLLNETAVLAAESVKLQATA -LYEFSEEFTLHDLHQRASALVRDQRVQSAARGKMFVCELHAILQELGLHPAHAYLIQGIPSVTFHADLVV -LHSFPSGPLGITFDMFLNVLDKIESQFHFEMYTQLAGSSPVFASTPYSAMQREIYDALDAIAEELGSAAA -KVMKSLEPLVVGYVLRGQDPASNDTKFLESLFNDLEEEDLAVYNACIEIHRILTSHGTIRSREEISMIMD -TYGQEKLHFYPQIEEEKGSVKMYSYGSSTRPHDTGASCDLGGIFTRELVQAYYHKEGVLPDIYDDATLPD -SLRAIWKSGILPSAPRCAEIPASSWNKIRFLENKKFDYHPDILDLIEDKACAPRRSRLWEVYAGPVRACM -GQKTEEKPDHRRLIEWVFAQPEIDIEAMFTRANEHGHLDPEDTLILLKEKERENKTGARMFSILHPHTRL -MASVLEKNIAENIYPYFPQQTMTRGGAELEQLVDSFALKAKERRDHWVYFNLDLEQWNYTFRSVILTDFL -QKLDQFQGVRNHRWSMRFFSEAQFMSADGFCAPGLFDQWQAWRDYPGGNQGICQKMWTLATVLLIKETMQ -QLGWDHELMGSGDNQVLAVRFNRTEDLRDNVLQVKVKLQETFSRFGLLVKLEESWHSDKLLAYQRQYHWE -GDPLALGLKSCARFAAGASEGGSSLAEHTSTAMGAGLTVAGRLQDPVMGPLLGLAEWYYGVLVNPVWRKD -FPTDKLSRVTLSLLPSDLTGLPVLQLHGFMYAGHKDAMCESLALLRVIYDRYPAYRTSIVQGIGFPTTWP -SQGSLLGLIQNPASPNLRAPPRAEAIMKRAVSAHLTQDAEIKNKRVKEALIGLKADVKEALIQELVKMRP -LDLRIARALYDASPVGLVEGLAAKFLGMRSLRSIIHRKKSTVIQTLQSEGLGVDTPASEGEFPTDYERLL -RSADGNQLRHLSRKFRARVVTPPSFFRAVTGPHHTAYVKWCRQKGWHPDCTLSLRVYWVAASYRVIDYNI -DGPYSPAPSEQTQIHDSMEAIDDGRTIVISPAHSLPRSSILLETRRGPFALYIGSSTREPVPGLPGVSVL -GQDTGSAVRTLVKLLSWLQSNQSSQEVRTFTKDLLGKRVTGLDEVADFFERRPAGGCRAHRMTLPGESAG -AFGSTRTMISTWIKLSTDKASLVQRGEEDRMVFFQQIFHHIYAALRYHRPTVSQKTAKLTLNHCSYLVDE -SSITGQAMQVPRSLNLPPELAVPAAQQAAVLQSLEEQRSVRALNLFHAPREEEGLAASLAYLAARHAVRY -TSGHNKEGTSLQGVAGPQSLLNVTLVRRTTAGVLLAATAMALSYHGVFEDSCRPDRLRRLLEALLVPRHV -AVDVEVLRPLLQAAAVAGMSASLSKLAHLPPSVTKGGASGGRLRALLTAMCAVIKQVQAEKMTAALLICT -RSKSPHTQRIHRFMRAWSSSYRRQTTARDPEKGFELLLQKGGDFPPVRVLIADDVNTVIEDSRAQHDPER -PLPPEPALRPPPPLMAGTGNIRPPSPWVAVGSTVQEEPMPVNALPREQADPPPDPGLMPARAFQLLRWAS -ASSGARVKLCEMLPFYQLEGEAPHLFVTLAEGTGSMASTLLHRFPNSTLIFNTLMCPEDQSGGPTLDHVP -SDLVCSCELLSRVPNLPANDRDHGDLTVRAVWDRLDLAIHSQKKKITLLTWDMEASGLEYLAAVELLAEY -LVTWAPHAVLIKGFVGDWESLWVRLLDSKLCQAGEWAFIKPLSSNLFSNEIYLLGRRLTAPPRGARPAVL -VDPLAALLASARALPPTQQKNRLAHALTWTRTQIPCVYTPAIPPTDPHPALHRLTDVEAILGAALNLVEV -LHQSYHPIERCVPRGLQHMVGSRALGAGVITQDLLLLCYGALLLHQANLDRPLVLDAHGSRVTQTRFVIE -SMISGEVWCLEKQRQLHEHTARSRAKGQRLHPPSGTTETRSEDPAASQTVDQLWRIVGAGLQLPAPNSSE -VTQSLLGLLIHRLNALPPEWTIQQVRRLIAPWVPLTFRCLGVLQPNLTPSLLDHPEGLRAAIGVARDLVT -KKTPRYRWEVIQPLRIRAGIIQQDWPGDPRADGDWRASVVTGLGCSVMSGVLERSSLVIITDWMPAPARW -VPRDSDDWLSCTVSDNSIRTKIWYSTTR - diff --git a/seq/clusters_seq/cluster_586 b/seq/clusters_seq/cluster_586 deleted file mode 100644 index 0e5fa39..0000000 --- a/seq/clusters_seq/cluster_586 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_010087349.1 p9K [Jasmine virus H] -MAWLNPHLLILSGLILSQLLITLPSLSAYTFDLRLAPPNLLICIVLCIFFCSILSPATSVSYYYHSSSTS -DKFITVSVGNGSQ - ->YP_009345603.1 MP-2 [Clematis chlorotic mottle virus] -MGNLSLTIWSVLILSQLLIKLNSQSIFISRPTLWSVPPNFLLTIVLCVFFSTAFRSHSDTYIVSNSYNPT -SGQDKYIKVSVGDGG - ->YP_009116642.1 putative movement protein 2 [Pelargonium ringspot virus] -MASPKVPIQVLLVLFSLLWLKRWSLSLLSISKPSFDNPSLCISIVLGLFFASVLTPGNYSYYYYSANSTS -DKFISVAVGNGQQ - ->YP_009116637.1 putative movement protein 2 [Elderberry latent virus] -MASAREHILTLLVLCLSLLLIKSHFTLPSISRPSFDSPNLLVSIVLGLFFASVLTPGNYVYSYYSANSTS -DKYISVAVGNGQ - ->YP_009026986.1 p9.7 [Pelargonium line pattern virus] -MEYPRVHLAILSVLISSQLLIKWNLWSISISDFLPQPHSLHPNLLVCIVLCIFFSSVLSQGQSYSYSYFS -TSTSDKFISVAVGNGGQG - ->YP_052927.2 putative movement protein 2 [Pelargonium chlorotic ring pattern virus] -MESAKHLTAISLVLISLLWLKLSTSRCTLTFDWGLASPNLLLFITFNFFLVSVFSVGDRYSYYYQANSTA -DKFISVAVGK - ->YP_007501037.1 p12 [Rosa rugosa leaf distortion virus] -MDNQPPSRDLSVDRTQKRGKRKNNLDVAHSGVAKSASASLVGASFVTVAETASFVVTLNFEVDLRLAPPN -LLVAIVLCIFFSSVLSNGNSVSYYYQANSTSDKFITVSVGNGSSG - diff --git a/seq/clusters_seq/cluster_587 b/seq/clusters_seq/cluster_587 deleted file mode 100644 index 68f59cf..0000000 --- a/seq/clusters_seq/cluster_587 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009110673.1 NABP [Garlic virus B] -MHPYDFNFLACLQFAQPNLPSDIRTNIYILSSVSRKLGRKSQQNKPFTGTSKCAARRRAKRYNRCFDCGA -SLNTDHVCKLFTTRASTDCLHVIHEGPAKLYAERNFRKSSFAEQLILDDLELMKLYE - ->YP_008855211.1 NTBP [Garlic virus D] -MHPHDFNLLCCLHFSQPPLPKDLKEFIFSRCVDCCKLVRRTNQNKPFQGVSKCAKRRRAKRYNRCFDCGA -FLVDGHRCKVFVSKAHSDVLAVIHEGPAKLYAERSYRPNSDAAQLIENDILYIRALKL - ->NP_659017.1 nucleic acid binding protein [Garlic virus E] -MHPHDFNLLCCLHFSPNHLPKDLQILIFSRVTTECKLRRLSENKPFRGRSKFAMRRRAKRYNRCFDCGAV -LIDDHQCKVLTSRAQSDVLTVIREGPAKLYAERTYRPNSDAARLIEDDILYIKTLKL - ->NP_620653.1 nucleic acid binding protein [Shallot virus X] -MHPHDLNLLCCLHFSKPSLPNDLKTLLFRACETSCKLNRRLLDNKPFQGTSKCAKRRRAKRYNRCFDCGA -YLYDDHVCKRFTSRSNSDCLSVIHQGPAKLYAEGAYRANSDAEQLIMNDMLLIKSLKL - ->NP_569131.1 15kDa protein (NABP) [Garlic virus A] -MIPQDFNILCCLHFAKPFIPQDLKAHLFFTCVNECKLVRIARENKPFLGTSKCAQRRRAKRYNRCFECGA -YLLDNHKCRIFVSRAQSDVLAVIHEGPAKLHAERTYRPNSDAALLIESDLQYIKLFQNRKA - ->NP_569137.1 15kDa protein [Garlic virus C] -MHPYNLNLLCCLHFSKPTLPLELRLYIYNLAAPNLLLGRKMLQNKPFQGTSKCAARRRAKRYNRCFDCGG -YLLNNHVCKQFPTRASTSCFNVIHEGPAKLYAEGSFRRDSFAEQLILNDLELMKLHNN - ->NP_044576.1 nucleic acid binding protein [Garlic virus X] -MHSYDFNLLACLQFSKPTLPSDVRIIIYNLTFDSRKLGRKAQQNKPFTGTSKCAARRRAKRYGRCFDCGA -LLEANHVCKLFTTRSNSDCLSVIHEGPAKLYAERSFRKSSFAEQLIRNDLQLMKLYK - diff --git a/seq/clusters_seq/cluster_588 b/seq/clusters_seq/cluster_588 deleted file mode 100644 index dc509bd..0000000 --- a/seq/clusters_seq/cluster_588 +++ /dev/null @@ -1,70 +0,0 @@ ->NP_919033.1 glycoprotein [Hirame novirhabdovirus] -MDPRIMYYTVLLTTAARVYGQTIKPGVDSVSDQPTWANPLFTYPVDCPAAKLSKVSPSQLRCPRIFDDEN -RGLVAYPAVIRSLSVGNNLGDIHTQGEYVHKVLYRTTCSTGFFGGQTIEKALVEMKLAPREVGVYDTTTA -SALYFPAPRCQWYTDNVHNDLTFYYTTAKSVLRDPYTLGFLDSDFIEGKCSKSPCQTHWSNVVWKGDSGV -AACDTGSEIKGHIFVDKTSHHVVKATSYGHHPWGLHRACMITFCGKPWIRTDLGDLIAIEYNGGATLLAF -PACKDTTVGMRGSLDDFAYLDDLVKSSESREECLEAHAEIIATNSVTPYLLSKFRSPHPGINDVYAMHDG -SIYHGKCMTVAIDEVSKDRRTYRAHQTSAFVAWGHPFGDEWGGFHGLHGNDTPVIPDLEKYVAQYKVSMM -DKMDIRPVPHPSVQILYNDTDTADITIRKIDSFDLQSLNWSFWPSLSALGGVPILLALVFFLYCCMNRRP -SMPAAPQEIPMYHLASRG - ->NP_050583.1 transmembrane envelope protein [Snakehead virus] -MTLPNMKPKRIVLFLVFLNAWVSNAQVTHKPRPDSIVEYSEEWENPIYTTPSHCFEDTFAPVKPEKLRCP -HIFDDQNLGLTASKAKILHMDLKPEDTHFEAKGRLLHKVTYQVLCSTGFFGGRTVTRKVLETPMGDNEAQ -AYKAVDREFPYFPEPLCFWLRDNVAAERVFHFSTPKTVTVDLYSRKYISPDFVGGQCAKSPCPTHWPNVY -WVGETQSPECPSIDTEGGHIFTKKDTHRITKAVVHGHHPWGLTKACQIQFCNEQWIRTDLGDLIRIEPND -GTSSLTLPKCQDNVVQMRGNLDDFSYLNHAIVNMAQRSECLEAHSSIVAQQKVSPYLLSKFRPPHPGLGK -AHYLQNNTIMRGDCIYEGVAEISENRTTYRNLKGEWKKWSLSRGGEGYDGMTVGTKIVIPDLEKYQSIYD -NGMFIPKLLGEVPHPSIVITYNQTDSIETGIFTDGKLLNMGVNWTLWPSLSGISLFTVASLILIWYCCCR -VTPQALNYSIPMHTITSRGVEI - ->NP_049548.1 glycoprotein [Viral hemorrhagic septicemia virus Fil3] -MEWNTFFLVILIIIIKSTTPQITQRPPVENISTYHADWDTPLYTHPSNCRDDSFVPIRPAQLRCPHEFED -INKGLVSVPTKIIHLPLSVTSVSAVASGHYLHRVTYRVTCSTSFFGGQTIEKTILEAKLSRQEATDEASK -DHEYPFFPEPSCIWMKNNVHKDITHYYKTPKTVSVDLYSRKFLNPDFIEGVCTTSPCQTHWQGVYWVGAT -PKAHCPTSETLEGHLFTRTHDHRVVKAIVAGHHPWGLTMACTVTFCGAEWIKTDLGDLIQVTGPGGTGKL -TPKKCVNADVQMRGATDDFSYLNHLITNMAQRTECLDAHSDITASGKISSFLLSKFRPSHPGPGKAHYLL -NGQIMRGDCDYEAVVSINYNSAQYKTVNNTWKSWKRVDNNTDGYDGMIFGDKLIIPDIEKYQSVYDSGML -VQRNLVEVPHLSIVFVSNTSDLSTNHIHTNLIPSDWSFHWSIWPSLSGMGVVGGAFLLLVLCCCCKASPP -IPNYGIPMQQFSRSQTV - ->NP_042679.1 glycoprotein [Infectious hematopoietic necrosis virus] -MDTMITTPLILILITCGANSQTVKPDTASESDQPTWSNPLFTYPEGCTLDKLSKVNASQLRCPRIFDDEN -RGLIAYPTSIRSLSVGNDLGDIHTQGNHIHKVLYRTICSTGFFGGQTIEKALVKMKLSTKEAGAYDTTTA -AALYFPAPRCQWYTDNVQNDLIFYYTTQKSVLRDPYTRDFLDSDFIGGKCTKSPCQTHWSNVVWMGDAGI -PACDSSQEIKAHLFVDKISNRVVKATSYGHHPWGLHQACMIEFCGQQWIRTDLGDLISVVYNSGSEILSF -PKCEDKTVGMRGNLDDFAYLDDLVKASESREECLEAHAEIISTNSVTPYLLSKFRSPHPGINDVYAMHKG -SIYHGMCMTVAVDEVSKDRTTYRAHRATSFTKWERPFGDEWEGFHGLHGNNTTIIPDLEKYVAQYKMSMM -EPMSIKSVPHPSILALYNETDVSGISIRKLDSFDLQSLHWSFWPTISALGGIPFVLLLAVAACCCWSGRP -PTPSVPQSIPMYHLANRS - ->sp|P07923.2|GLYCO_IHNVR RecName: Full=Glycoprotein; AltName: Full=Spike glycoprotein; Flags: Precursor -MDTMITTPLILILITCGANSQTVKPDTASESDQPTWSNPLFTYPEGCTLDKLSKVNASQLRCPRIFDDEN -RGLIAYPTSIRSLSVGNDLGEIHTQGNHIHKVLYRTICSTGFFGGQTIEKALVEMKLSTKEAGAYDTTTA -AALYFPAPRCQWYTDNVQNDLIFYYTTQKSVLRDPYTRDFLDSDFIGGKCTKSPCQTHWSNVVWMGDAGI -PACDSSQEIKAHLFVDKISNRVVKATSYGHHPWGLHRACMIEFCGKQWIRTDLGDLISVEYNSGAEILSF -PKCEDKTMGMRGNLDDFAYLDDLVKASESREECLEAHAEIISTNSVTPYLLSKFRSPHPGINDVYAMHKG -SIYHGMCMTVAVDEVSKDRTTYRAHRATSFTKWERPFGDEWEGFHGLHGNNTTIIPDLEKYVAQYKTSMM -EPMSIKSVPHPSILAFYNETDLSGISIRKLDSFDLQSLHWSFWPTISALGGIPLVLLLAVAACCCWSGRP -PTPSAPQSIPMYHLANRS - ->sp|Q82706.1|GLYCO_IHNVO RecName: Full=Glycoprotein; AltName: Full=Spike glycoprotein; Flags: Precursor -MDTTITTPLILILITCGANSQTVKPDTASESDQPTWSNPLFTYPEGCTLDKLSKVNASQLRCPRIFDDEN -RGLIAYPTSIRSLSVGNDLGDIHTQGNHIHKVLYRTICSTGFFGGQTIEKALVEMKLSTKEAGAYDTTTA -AALYFPAPRCQWYTDNVQNDLIFYYTTQKSVLRDPYTRDFLDSDFIGGKCTKSPCQTHWSNVVWMGDAGI -PACDSSQEIKGHLFVDKISNRVVKATSYGHHPWGLHQACMIEFCGKQWIRTDLGDLISVEYNSGAEILSF -PKCEDKTVGMRGNLDDFAYLDDLVKASESREECLEAHAEIISTNSVTPYLLSKFRSPHPGINDVYAMHKG -SIYHGMCMTVAVDEVSKDRTTYRAHRATSFTKWERPFGDEWEGFHGLHGNNTTIIPDLEKYVAQYKTSMM -EPMSIKSVPHPSILALYNETDVSGISIRKLDSFDLQSLHWSFWPTISALGGIPFALLLAVAACCCWSGRP -PTPSASQSIPMYHLANRS - ->sp|P27662.1|GLYCO_VHSV0 RecName: Full=Spike glycoprotein; Flags: Precursor -MEWNTFFLVILIIIIKSTTPQITQRPPVENISTYHADWDTPLYTHPSNCRDDSFVPIRPAQLRCPHEFED -INKGLVSVPTRIIHLPLSVTSVSAVASGHYLHRVTYRVTCSTSFFGGQTIEKTILEAKLSRQEATDEASK -DHEYPFFPEPSCIWMKNNVHKDITHYYKTPKTVSVDLYSRKFLNPDFIEGVCTTSPCQTHWQGVYWVGAT -PKAHCPTSETLEGHLFTRTHDHRVVKAIVAGHHPWGLTMACTVTFCGTEWIKTDLGDLIQVTGPGGTRKL -TPNKCVNTDIQMRGATDDFSYLNHLITNMAQRTECLDAHSDITASGKVSSFLLSKFRPSHPGPGKAHYLL -DGQIMRGDCDYEAVVSINYNRAQYKTMNNTWKSWKRVDNNTDGYDGMIFGDKLIIPDIEKYQSVYDSGML -VQRNLVEVPHLSIVFVSNTSDLSTNHIHTNLIPSDWSFNWSLWPSLSGMGVVGGAFLLLVLCCCCKASPP -IPNYGIPMQQFSRSQTV - diff --git a/seq/clusters_seq/cluster_589 b/seq/clusters_seq/cluster_589 deleted file mode 100644 index 7e6c055..0000000 --- a/seq/clusters_seq/cluster_589 +++ /dev/null @@ -1,72 +0,0 @@ ->YP_009505490.1 glycoprotein [Drosophila ananassae sigmavirus] -MEIVIPILNLTAWSIVDPFNLTCPQMLDYNHSPSDISVPITIKYPAHNEGKRKVPGFLCSCFSLTTRCTE -AWTWSTEVSYHSSTIECDLMSCKAEIEKFKAGKLDVKMYPREDCVYARTNEVEAKYIQITPHSSFMDPYN -GYICDEIFLTGRTPGNKSETIYSGTLWVLDDGWAKESLCEDWTEISGKLILPNETVEQDLLHSKGTVWAH -EMPIHKLSEACSIKYCGTVGLVFPDGMWMNIEMQRDIDSRLRMFLYGGKTCEKDQMIKLPTSNHDLVYTE -MNTLNAMYLMKCHECISKIRMGAQVTNYELSFLSRSYPGIGPIYRISEHGIIQAVGLYKKIKTDSRNGKQ -NILGLDKDGSSVTFKDWVTIRNVTYGIGGTIKDRNGEIIFPSDIVTRTQVSSELMMSTHLHQIYHPLDDH -LRRRLNLSTPIRLRHDGSTNVIQEAGKAILKTGNEFTAWISKTWEGFRMYVYSVIGAILILIYTKFKKTI -NRDKTQGDESQGLPLSVNPRREAQVPMQWRT - ->YP_009505484.1 glycoprotein [Drosophila affinis sigmavirus] -MNPRHISFNPLPRCPWFLVLSLILVLSLGSPELNPGGYIPLKHHVKDMRDQKKSSNIFLVFPVYDECKWA -PVDHKTLRCPIGSQTFPGLDNPKTFKVPVSRPYYQESGTIPGHLCTKYKLTTICDSNWAAVEEVTHTTHL -QDIKLSECLAKVNARASGSDPEFLEHPIKQCTWTKTETSTIERVVVEPHPVIYDPYRGKYVDPIFPGGSY -FGPGTITNSKSKAWIPAMSDKPEACKHEQSINSIVYIPNTSDHSAVNFKEHGKIWTDITREKSFTDACRM -TFCDKEGVRFPDGELFFIGKATYIEGQFILMINSLPICAEETIVKLQDPFEEGHFSSSLGMAQIFLMKCH -ETISKLRNRDPVSQVDISYLSQSYPGHGLAYKWYEGTLTSCLVNYVIGALVDKNKKIVLGHGGTKSHVAG -GYIYRDWSDSPVPGTLIGPNGILNISGTLEIPFDNMFREFIHSELTQETGYHNVSHHELKPIIDSLPDDI -DWHEHPNNSIIPSDKPGIIGYVKGSWHTWQMYLYIWGLLMIIGGVIYIFVAYPGVWEFFKITFLCLFGWI -YYVPKLFCLCCCKITSVITDRTKREGRRHESNHYQTDNERGLNIPLQQVPISTNRALMRVPNFH - ->YP_009337294.1 putative glycoprotein [Hubei diptera virus 10] -MESTLIYVIYFVMLPVVDSRLVVIPTTKPKHMIPVDPGTIRCPYGYIHSIPEQYYKFSVTINRPEPIIDH -KVAGTACYKFKLTTKCDEDLLFSKTIKNLKANSPISKEECLAAIRKSKTTPSIVEHLPASCSWMQTIEVS -IEYIVTRDHPVGFDPYSSQFIDSLFVGGKTDLLETTTVFDSVYWIADEDSIGINCPPMEKVSGYVLAPDT -WNERNMFDETVTLWSSLFRTKSFSNACRMKFCNVTGIRFSDGEWIDLEFTQDKDKGKAMWIKAIKICSPD -TTISLASPYELEHHSVQTVLAIFFYSKCQDTVSKLRNKFSISPLDISYLAQSYPGPGPVYQITDHGLMTY -YSFYKLCNISMYTVGSDKQTLLGYSLDGKTVESPEWTESGGLTHGPNGLILKNKQLLFPSLTDLRAEIEA -ELTQTIEIHEIKHLTLESFKNTINTSTVEYHHNPDRINVLEVTRTGIAQFSHWLSDTWGSMFHSTFNFTI -VGFIILTLILLGYRLYKHKRRFNRPADIEILELQQIPQVIPRNRNRPIQRLNKRSDSNMYEDEENRMQHQ -EYSNYFG - ->YP_009337138.1 putative glycoprotein [Hubei diptera virus 9] -MLKLIFIGFTITSINCHMIVLPSRFNNSWHIAHFDQIQCPFGELDVPPELGEKIGVEIMKPSSEIISDIR -GFLCHKISLLTTCSFSFWGTREVEYATKTVSISKTECESAIFNYKIKGPEIFEHPSSLCIWMDKNTVEKE -VITVVDHPVHLDPYNMELVDTIFINGHTNIFEPLFIHDSSYWISESPIQISQSCPDFEIYTGVLYNTNNA -KIDGFRPTTRLWTNHFRTFSFQDVCKMNFCNKTGIKFPNNEWLKIRISNSISQNYQSWTHSLPTCGTPEE -INLPKYRDVEQHTVESILGIFFHSKCVETVSRLRNKQSITPFDLSFLAQSHPGKGLAYRLGPHGLESQWT -NYIRARPLQNHTDKNVIGETTSGELIKFHDWYTIGNIIHGPNGIIQKGNVTLFPPHQSLRSLIDQDITHT -IDHSRIHVKIPRYVQNHQDISVLQTHGPTDRVNVGEKITEKITDGFQHVSNDWQNYLFYGIITLTLLCII -VPLLKLIVMRFFDYLVCSAQPPDQRSIPLTHSSENRISRPTAQSWF - ->YP_009304979.1 glycoprotein [Wuhan House Fly Virus 1] -MHSLSILILSSIIFQIIQNSNLVVVPKSLNLKWTAIHPELLKCPATKIHFYPLAEYSYNITITRPTFDEQ -QRAEGILCHKFELTTTCYVGWLGSQVVTKSITASKIKISECQEAYRKYAEGDYESGEHPAADCSYFSTTP -VTKIHIKVTPHPVHVDPYSDMLIDPIFPSGRIGFNQHTTIHDSAIWFMTSDINRDICKSLDPIEGILYFP -SELAEPRHLHDGAIIWSDEFPEKTFKDACRIKFCNHVGIRFNDGEWLMLNFTDAVDQSKNIWWSNLPACR -PDVVLRTASVISDIRHRFDSALELLLNLQCINTIQKLRQRQPITVSELAYLIPLNPGPGNVYQLTPQGLL -VGQGLYVGSRRPLVDYIKLTQNYSKWHAVEDVLIGPNGLTKSRNGTVRLPEVLTLRTEVHADLSVPVHFH -SYNHNPSIENTSFVNESINYLFHNPSLKFNTSFISTEFGELWHSLSNPFKTIYSKVLYGIVISVLLIIVF -KSRKEIWNLLFNRTNRKNHSAPVNEMPLAVLPSRADPWND - ->YP_009304655.1 glycoprotein [Wuhan Fly Virus 2] -MKKINTREVKITKMYAKLLIANALLIVTILCDILYPTDFSHNLLPVVPEKVLCPVGHLHRYPEAVNQFKV -SYSRLAGFSEKKVKGKLCHKFILTTTCDEDILWSKSITYTMQNAKIDPSECYSAVKKAGDVLDTVVEHPP -PACSWAQTISMSSEFVQVKDHPVSYDPYSGNLVDAIFPEGKTFDTEHHTIYDSGYWVIGESLDPEKYTQF -EHGFGVIYFPDDWNPRELLIENARFWSERFRERDFVGACRLKFRGEEGVRFRNGEWFSFSFVEERHKSYF -VWWSNLSICRSAESRVKIADTYENEHHTVESLAALMFYDRCQSSLSKLRNNMALTPLDVSYLAQTYPGVG -PAYIITNTGLKMFLSHYELIKKYSTYDGQSIGKTSAEKNVIFGNWTVRSNITHGPNGLILKGNQLIFPAF -SEMRSQIETELTQEITLEEVHITEIVNGTHKIMTSFDTIHRNPDQIDVLHVVQTGVSSISRWIGSIGAKI -VTYTIVIVVLSLTAYLAGLLAKRYCRIRSQTNSQVLIPLSTIRPQIRTNSDTPMWFN - ->YP_009300668.1 glycoprotein [Shayang Fly Virus 2] -MRAIFYMSCVVFMVVVFGDIIYPVDFSHQLLPVVPDNVLCPVGHLHSYPEARNQYKIAYSRLSGFGEFKI -KGKLCHKFILTTICDEDILWSKSITYTIQNARIDSSECFIAIRKAGEVLETIVEHPPPSCSWAQTVSVSK -EFIQVKDHPVSYDPYSGNLIDGIFPDGKTEETHHETIYDSGYWAASEDIDSDKFTQMEHGYGVLYFPDNW -DPRDLIIPEARFWSERFRERDFVGACRLKFRRTDGIRFRNGEWFAFNFIEEAHKSYFIWWSRLDVCRGED -LKLKIADPYESEHHTVESLAALMFYDRCQSSVSKLRNNMALTPLDVSYLAQTYPGVGPAYIISESGLKTF -MTQYELIKKSVVYERGVIGTTSANKEVRFTNWTERSNMTHGPNGLVLKGNKLIFPAFAEMRSQIETELTQ -EISLEELHRSEMINGTHRILTSFDIIHRNPDQMDVVHVVETGIKSAKKWAGAVGTKIITYIIGCISILCI -TYLVGILIQRYYRSRSPRSDSPNLIPMSSFHTERNSPNPNIWFH - diff --git a/seq/clusters_seq/cluster_59 b/seq/clusters_seq/cluster_59 deleted file mode 100644 index d0095d5..0000000 --- a/seq/clusters_seq/cluster_59 +++ /dev/null @@ -1,530 +0,0 @@ ->YP_009118629.1 nucleocapsid protein [Influenza A virus (A/California/07/2009(H1N1))] -MASQGTKRSYEQMETGGERQDATEIRASVGRMIGGIGRFYIQMCTELKLSDYDGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVDGKWMRELILYDKXEIRRVWRLANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTIAMELIRMIKRGINDRNFWRGE -NGRRTRVAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFKLLQNSQVVSLMRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGK -KVIPRGKLSTRGVQIASNENVETMDSNTLELRSRYWAIRTRSGGNTNQQKASAGQISVQPTFSVQRNLPF -ERATVMAAFSGNNEGRTSDMRTEVIRMMESAKPEDLSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDS - ->YP_009118476.1 nucleocapsid protein [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MASQGTKRSYEQMETGGERQNATEIRASVGRMVSGIGRFYIQMCTELKLSDNEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RMVPRGQLSTRGVQIASNENMEAMDSNTLELRSRYWAIRTRSGGNTNQQRASAGQVSVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYDN - ->YP_581749.1 nucleoprotein [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYVQMCTELKLSDQEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAIKGVGTMVMELIRMIKRGINDRNFWRGD -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVIPRGQLSTRGVQIASNENVEAMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERPTIMAAFKGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->YP_308667.1 nucleocapsid protein [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVAPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESSRPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P15678.1|NCAP_I85A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGGMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKKRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLVVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -KVVPRGQLSTRGVQIASNENMETMDSSTLELRSKYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -EKATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26061.1|NCAP_I27A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELVRMIKRGINDRNFWRGE -NGRRTRVAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSNTLELRSRYWAIRTRSGGSTNQQKSSAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRISDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P15670.2|NCAP_I80AD RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNANDIRASVGRMVGGIGRFYIQMCTELKLSDNEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSTGRDPKKTGGPIYRRRDGKWVRELVLYDKEELRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGVNDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGAVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVLPRGQLSTRGVQIASNENMETMNSSTLELRSKYWAIRTRSGGNTNQQRASAGQVSVQPTFSVQRNLPF -ERATIMAAFTGNPEGRTSDMRTEIIRMMENSRPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|O90385.1|NCAP_I80A6 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTKIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q809S5.2|NCAP_I01A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITMERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RIVPRGQLSTRGVQIASNENMDTMDSNTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESAKPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEFEN - ->sp|Q6DPC7.2|NCAP_I03A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVSGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMEAMDSNTLELRSRYWAIRTRSGGNTNQQKASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYDN - ->sp|Q0A2H2.1|NCAP_I59A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGDRQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRNGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSKYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|O92784.2|NCAP_I97A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDQEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAIKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQKAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPKENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVIPRGQLSTRGVQIASNENVEAMDSTTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERVTIMAAFKGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q6DPE7.1|NCAP_I02A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVSGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAIKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMDTMDSNTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDLSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEFES - ->sp|Q67356.1|NCAP_I67A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQDATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSVGKDPKKTGGPIYRRIDGKWMRELILYDKEEIRRVWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTIVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFKLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSGFIRGK -KVVPRGKLSTRGVQIASNENVEAMDSSTLELRSRYWAIRTRSGGNTNQQKASAGQISVQPTFSVQRNLPF -ERATVMAAFVGNNEGRTSDMRTEIIRMMESAKPEDLSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYDN - ->sp|Q5UEW0.1|NCAP_I18A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETDGERQNATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRIDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFRLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGKLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATSPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q8QPJ5.1|NCAP_I00A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRPYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGATVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVAPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYEN - ->sp|Q809S7.1|NCAP_I01A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MALQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNRYLEENPSAGKDPKKTGGPIYKRREGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMIKRGINDRNFWRGD -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRSNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVIPRGQLSTRGVQIASNENMETIDSSTLELRSRYWAIRTRSGGNTNQHRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENAKPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q6TXC0.1|NCAP_I85A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYVQMCTELKLNDHEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRKDGKWMRELILHDKEEIMRIWRQANNGEDATAGLTHMMIWH -SNLNDTTYQRTRALVRAGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLTRSALILRGSVAHKSCLPACV -YGLAVASGYDFEKEGYSLVGIDPFKLLQNSQIFSLIRPKENPAHKSQLVWMACHSAAFEDLRVLNFIRGT -KVIPRGQLATRGVQIASNENMETIDSSTLELRSRYWAIRTRSGGNTSQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARSEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEFDS - ->sp|P68043.1|NCAP_I88A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQDATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVDGKWMRELILYDKEEIRRVWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTIAMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFKLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGK -KVVPRGKLSTRGVQIASNENVEAMDSSTLELRSRYWAIRTRSGGNTNQQKASAGQISVQPTFSVQRNLPF -ERATVMAAFSGNNEGRTSDMRTEVIRMMESAKPEDLSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P15677.2|NCAP_I30A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRIDGKWIRELILYDKEEIRRIWRQANNGEDTTAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTVVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGK -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATVMAAFTGNTEGRTSDMRTEIIRIMESARPEDVSFQGRGVFELSDEKATSPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26087.1|NCAP_I81A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQDATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVDGKWMRELILYDKEEIRRVWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTIAMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFKLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSGFIRGK -KVVPRGKLSTRGVQIASNENVEAMDSSTLELRSRYWAIRTRSGGNTNQQKASAGQISVQPTFSVQRNLPF -ERATVMAAFIGNNEGRTSDMRIEIIRMMESAKPEDLSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYDN - ->sp|P26076.1|NCAP_I35A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRKIDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPTCV -YGLAVASGHDFEREGYSLVGIDPFRLLQNSQIFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGK -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATVMAAFIGNTEGRTSDMRTEIIRMMESAKPEDVSFQGRGVFELSDEKATSPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26081.1|NCAP_I54A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRIDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELVRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRISGFIRGK -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQKASAGQISVQPTFSVQRNLPF -ERATIMAAFIGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATSPIVPSFDMNNEGSYFFG -DNAEEYDN - ->sp|P26092.1|NCAP_I89A6 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELQLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKKRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLVVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPVHKSQLVWMACHSAAFEDLRVSSFIRGT -KVVPRGQLSTRGVQIASNENMETMDSITLELRSKYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYGN - ->sp|P26086.1|NCAP_I81A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQDATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRIDGKWTRELILYDKEEIRRVWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTIAMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFKLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSGFIRGK -KVVPRGKLSTRGVQIASNENVEAMDSNTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATVMAAFIGNNEGRTSDMRTEIIRMMESAKPEDLSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYDN - ->sp|P26079.1|NCAP_I46A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNTTEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKRTGGPIYRRIDGKWIRELILYDKEEISRIWRQANNGEDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQKAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGK -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATVMAAFTGNTEGRTSDMRTEIIRIMESARPEDVSFQGRGVFELSDEKATSPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P16987.1|NCAP_I82A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQDATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSVGKDPKKTGGPIYRRIDGKWMRELILYDKEEIRRVWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMNMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQKAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFKLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSGFIRGK -KVIPRGKLSTRGVQIASNENVETMDSSTLELRSRYWAIRTRSGGNTNQQKASAGQISVQPTFSVQRNLPF -ERATVMAAFIGNNEGRTSDMRTEIIRMMESAKPEDLSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYDN - ->sp|P16985.1|NCAP_I81A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGGMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLVVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSKYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPVVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26089.1|NCAP_I35A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MATQGTKRSYEQMETDGERQNATEIRASVGKMISGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVDGKWMRELILYDKEEIRRIWRHANNGDDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMVDQVRESRNPGNAEVEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFRLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -KVVPRGKLSTRGVQIASNENMETMESSTLELRSRYWAIRTKSGGNTNQQRASAGQISIQPTFSVQRNLPF -DRSTIMAAFTGNTEGRTSDMRTEIIRLMESARPEDVSFQGRGVFELSDEKAASPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26077.1|NCAP_I37A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRIDGKWMRELILYDKEEIRRIWRQANNGEYATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGK -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATVMAAFIGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATSPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P15682.1|NCAP_I33A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MATKGTKRSYEQMETDGERQNATEIRASVGKMIGGIGRFYIQMCTELKLSDYEGRLIQNSLTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRVDGKWMRELILYDKEEIRRIWRQANNGDDATAGLTHMMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMVDQVRESRNPGNAEFEDLIFLARSALILRGSVAHKSCLPACV -YGPAVASGYDFEREGYSLVGIDPFRLLQNSQVYSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -KVVPRGKLSTRGVQIASNENMETMESSTLELRSRYWAIRTRSGGNTNQQRASSGQISIQPTFSVQRNLPF -DRPTIMAAFTGNTEGRTSDMRTEIIRLMESARPEDVSFQGRGVFELSDEKAASPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26060.1|NCAP_I81A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEVEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDSFRMLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIKMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26066.1|NCAP_I80A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSMTIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWIRELILYDKEEIRRTWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELVRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26053.1|NCAP_I80A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLSVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGA -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYNN - ->sp|P15676.1|NCAP_I85A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVLELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENASPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DSAEEYDN - ->sp|P26074.1|NCAP_I76A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQDATEIRASVGRMIGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRIDGKWMRELILYDKEEIRRVWRQANNGEDATAGLTHIMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTIAMELIGMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGHDFEREGYSLVGIDPFKLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSGFIRGK -KVVPRGRLSTRGVQIASNENVEAMDSSTLELRSRYWAIRTRSGGNTNQQKASADQISVQPTFSVQRNLPF -ERATVMAAFIGDNEGRTSDMRTEIIRMMESAKPEDLSFQGRGVFELSDEKATNPIVPSFDMNNEGSYFFG -DNAEEYDN - ->sp|P16983.1|NCAP_I84A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDHEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLAWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNNNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDLSFQGRGVFELSDENATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P06826.1|NCAP_I78A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEVRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSPAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P16980.2|NCAP_I56A3 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRPYEQMETGGERQNATEIRASVGKMVGGIGRFYIQMCTELKLNDYEGRLIQNSITIEKMVLSA -FDERRNKYLEEHPNTGKDPKKTGGPIYRKREGKWIRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMIKRGINDRNFWRGE -NGRKTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLIVASGYDFEREGYSLVGVDPFKLLQNSQIFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -KVIPRGQLSTRGIQIASNENMETIDSNTLELRSRYWAIRTKSGGNTSQQKASAGQISVQPTFSVQRNLPF -ERTTIMAAFTGNNEGRTSDMRTEIIRMMENAKPDDVSFQGRGVFELSDEKATNPIVPSFDMSKEGSYFFG -DNAEEFDN - ->sp|P16979.1|NCAP_I63A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYVQMCTELKLSDHEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIMRIWRQANNGEDATAGLTHLMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIQMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLTVASGYDFEREGYSLIGIDPFKLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSNFIRGT -KVVPRGQLATRGVQIASNENMETMDSCTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARSEDVSFQGRGVFELSDEKATNPIVPSLDMSNEGSYFFG -DNAEEYDN - ->sp|P15673.1|NCAP_I73A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYVQMCTELKLNDHEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILHDKEEIRRIWRQANNGEDATAGLTHMMIWH -SNLNDTTYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVREGRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEKEGYSLVGIDPFKLLQNSQIFSLIRPKENPAHKSQLVWMACHSAAFEDLRVSNFIRGT -KVIPRGQLATRGVQIASNENMETIDSSTLELRSRYWAIRTRSGGNTSQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARSEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEFDS - ->sp|P26068.1|NCAP_I89A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLGDHEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RMVPRGKLSTRGVQIASNENMETMDSNTLELRSKYWAIRTRSGGNTNKQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDASFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P15660.1|NCAP_I77AG RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTEIKLSDYEGRLIQNSITIERMVLSA -FEERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAIKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRTAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RLVPRGQLSTRGVQIASNENMEAMDSNTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNNEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26056.2|NCAP_I66A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQANNGENAAAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRSNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIKMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P12604.4|NCAP_I34A0 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVSGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATSPIVPSLDMSNEGSYFFG -DNAEEYDN - ->sp|P15661.2|NCAP_I83A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDHEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGIGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTASQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMDAIDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P15671.2|NCAP_I79A7 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRSSVGRMVGGIGRFYIQMCTEFKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWIRELILCDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEKEGYSLVGIDPFRLLQNSQVFSLIRPNENSAHKSQLVWMACHSAAFEDLRVSSFIRGT -KVVPRGKLSTRGVQIASNENMETMDSTTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|Q3SBF2.1|NCAP_I66A1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWIRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRLTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLVRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P21931.1|NCAP_I72A5 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDHEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWMRELILYDKEEIRRIWRQRNNGDDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVAGGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSNFIRGT -RVVPRGKLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P15668.1|NCAP_I84A4 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDNEGRLIQNSITIERMVLSA -FDERRNRYLEEHPSAGRDPKKTGGPIYRRREGKWVRELVLYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGVNDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRSPGNAEIEDLIFLARSALILRGAVAHKSCLPACV -HGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGA -RVLPRGQLSTRGVQIASNENMETMSSSTLELRSKYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNAEGRTSDMRTEIIRMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSKEGSYFFG -DNAEEFDS - ->sp|P15665.1|NCAP_I77AF RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGDRQNANEIRASVGRMVGGIGRFYIQMCTELKLSDNEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSTGRDPKKTGGPIYRRRDGKWVRELVLYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGVNDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGAVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGT -RVLPRGQLSTRGVQIASNENMETMNSSTLELRSKYWAIRTRSGGNTNQQRASAGQVSVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENSRPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEFDS - ->sp|P26059.1|NCAP_I77AE RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYVQMCTELKLNDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYKRRDGKWMRELILYDKDEIRRIWRQANNGEDAPAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMVMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVSSFIRGA -KVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIKTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMENARPEDVSFQGRGVFELSDEKATNPIVPSFDMSNEGSYFFG -DNAEEYDN - ->sp|P26062.1|NCAP_I56A2 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MASQGTKRSYEQMETGGERQNATEIRASVGRMVGGIGRFYIQMCTELKLSDYEGRLIQNSITIERMVLSA -FDERRNKYLEEHPSAGKDPKKTGGPIYRRRDGKWVRELILYDKEEIRRIWRQANNGEDATAGLTHLMIWH -SNLNDATYQRTRALVRTGMDPRMCSLMQGSTLPRRSGAAGAAVKGVGTMIMELIRMIKRGINDRNFWRGE -NGRRTRIAYERMCNILKGKFQTAAQRAMMDQVRESRNPGNAEIEDLIFLARSALILRGSVAHKSCLPACV -YGLAVASGYDFEREGYSLVGIDPFRLLQNSQVFSLIRPNENPAHKSQLVWMACHSAAFEDLRVLSFIRGT -RVVPRGQLSTRGVQIASNENMETMDSSTLELRSRYWAIRTRSGGNTNQQRASAGQISVQPTFSVQRNLPF -ERATIMAAFTGNTEGRTSDMRTEIIRMMESARPEDVSFQGRGVFELSDEKATNPIVPSFDMSKEGSYFFG -DNAEEYDN - diff --git a/seq/clusters_seq/cluster_590 b/seq/clusters_seq/cluster_590 deleted file mode 100644 index 06a7b0e..0000000 --- a/seq/clusters_seq/cluster_590 +++ /dev/null @@ -1,82 +0,0 @@ ->YP_009664717.1 G protein [Bas-Congo tibrovirus] -MTRLSHAITKLLLLFCLTAIHAIVINYPTACHTYQEVLYQGLECPEPAISYKLDNNETVAYGQICRPQLA -SKDILEGYLCYKDTYISSCEETWYFTSQVKQTIVHEHVSDAECIESLAYYKSGIVETPMFLNVDCYWNAI -NSIKKSYLIIVYHPVPFDPYTNSIKDAVVKNSEDVNSWIRDTHYPFTKWIRDFNGTAEEKCDAQHWECFK -VNLYKGWIYSPPHTKNTIGSSTQTGLILESDIYSHTLIRDLCRFQFCGIHGFVFQDQSWWDLQLNVSLSS -LISTEHLSGAPDGHCKKVNEIGHAELEPNWEKILSVDDYDIRHQLCLDTLASVLGGGFLTARDLLKFAPM -RPGLGPAYFLFNPNKRERAVHVWTAGATTSSILWKSTCKYELIDIPQLNDTGIITYEKLDNIIGKILRND -VGVSFKDLGFTENELTDDDVSQSQLNSSLGIYHRNTSMKGIPWKRHRASTPKLKMGPNGILHDLNAKIIH -LPQASSSVFKLPPHLYEGHRVVFFNHITKKKIYEDLSKREGNDPYNVDIGDLIGRHLNRTTIPDQLHDWV -SGIKRHIFSVFEQFGSLIKVVVFIIMLVLCIKIINLIYRFYKVRKSNHKKLASRKEKLHLSDPFSVNSK - ->YP_009508533.1 virion transmembrane glycoprotein G [Beatrice Hill virus] -MFIKNLPVALILNCLGLTLSQLLYNYPFNCNPGPEMTLDGLTCPLDFNTFNLDNKDTIEAGTMCRPNPLS -KDVEDGFLCYKDTWVTACEETWYFSKTVKNHIIHEHITKDECVEALATYKLGKHVEPFFPAPSCYWSAVN -EERATFINIQPHGVILDPYSGKIKDPLIDPETCDNDFCITRSHQTHWLRNLKPDIMERCNNETWECHPIN -IYYGWVSKRKIQETSTTFNYVQTGLVIESQYIGHILMTDLCHMKFCGRDGYLFPDGSWWEIKYSVLHALT -KDHTILHNVYNCDDRTHGDYLTDVQKSKKVGYEDLEINLEGLEMRQKSRSINMMCLNRLAEIRNTHHINV -LDMSYLTPKHPGKGLAYYFSQDHNNASKYYVKTLKCDYKLIHIHDADIRGFVNISKYPEPNVTILGLQDN -LTFANLGIARCHDFTQLNDSRNVSCEDSPGPFFNNNSRLSNGKRFWTRHSFGGANFHEHPGVRIGVNGIM -YDLKKQILRFPSTSNLLWDLPSYYSTKHKVHFFKHPTKHNIRKNFTGSDKQDIDVLDDLINRHINRTDFP -TRIRNWIGNIEDTVEHFFSNVGGTIKTMISLALFIIGTLVSIKIWRKCRKQPKNTPIIKQIKLNDYEKPH -SRAEQTQDANEDLYENIETRGEIYSPFHV - ->YP_009505517.1 G protein [Ekpoma virus 2] -MQTMKKTHLLAFTIFGQILLASSLVVNLPLRCNGRKDLLVNSLKCPLPSTEVKVDGKVKVYEGDICRPQI -NAKDVEAGYLCHKDIYKAICDETWYFSATVKHEIEHAPISDIECIEGLTELKLGIVPNPQFPSVDCYWNA -RTEEKRTYIILTQHDPALDPYSNKIKDNVVDPDCDFNLCKTNFINTKWIRDKNTTEIERCDAKNWDCHPY -KIYQGWISKSEMIGWGDPTQSYSYTGLVLDSHIYGHIPMSKLCHKTFCGKEGYLFPDKSWWQIRSKTPAS -PLFRELTLNGSRSAFPDCETIKTYGYAEVEEDESSEIIRESAEIRHEMCLETLSTLASGYEASFRDLMKF -IPQRPGPGKAYSLNSNGKPSYYNYHWAGHPASSASIQEQDCYYYLVDIPKIQDDGILNITGIGNTDVCGK -LLVNGSSMTLNSLGFKIDHHYDDHIVETGTDVHDEMNIKERMVWIKPDKIHPLLWVGPNGIVIDHQHKQI -HFPVFSRGVDRIPHYWTQKHRVVKYRHATQLKIYKQYLDNPEKSNPYDFNAWTGRHVNRTEIPVAISNWF -SGVKDTVFDKISKIGSWLKWSFYLCFIFVLFKGGLLVWNKYKTLRHQTKRTPKGKNSQDPEKLDIFGQTV - ->YP_009505509.1 G protein [Ekpoma virus 1] -MKKTTRRSSSETMILLIHLPVILTTLTKLISGDLINFPFHCTNLENIKYSNLSCPTVWETFKIKTGDKVE -RGSMCRPSLHTHDLEEGYLCYKDTWTTTCDESWYFSTEVKYKIIHEEVHDIDCLDALIEYKVGKLKAPFF -PVATCYWASSTTESITFMMIKPHNAPLDPYSNRIVDPIIQADSGDNLKIYRTTFPKTRWIREVNTTLEER -CNVATWECHDMTLYSGWLTHPSGAFKTSLRTGLVVDSQIMGHILLRDTCKMDFCGRRGFRFPDGGWWRLT -TENEVSLQDFELNDTVVPKCDDRSRNHVGYTDLDYNPEKIALEQKSLLKTTMCREKLAELGQGKGMSLYD -TTYLIPNAPGRYPAYYIYPVGLNKTLETQILKEKTISNPLTAKRKEHMPIMLYMAQCHYTLIEFPNLDST -GTLRYTSLEDPVGTILESGKNVSLADLGFEDINLDNTTCKGNDSDCFNTTTPKEPLLDRKFNMTNHTLPW -RRYSKRELHHRVTYNGITHSPVGHWVQIPYGASLTANLPEHLIEKHSTHFFDHVTKQSIFERELQNGEIS -IDDLEQLIGRKTNHTDLPKKVRNWVQNAKESVVGIFREFGHTIRLGLSIVSFLIGLIISFKVWKKCRKNK -KETQQQSRSSPIYRPQNIYELEEGPISPPPLARQREHDNSNIFRKTDPRNPFYSR - ->YP_009362249.1 glycoprotein [Sweetwater Branch virus] -MALNKLIFVILINEISTQLLYNYPFNCKNEVKLTLDGLQCPLDYNTFNLRSDELSEVGTMCRPNPLAKDL -EDGFLCYKDTWVTKCSESWYFSKTISNHIVHEPISKSECIEALATYKMGKHVEPFFPAPSCYWAADNEET -VTFINIKEHPVILDPYSGKIKDPLIDNNLCDDDFCPTRAHQTHWLRNLKPNIMERCNNDTWECHPIKVYY -GWVAKKRPTNVGHDLNYVQTGLIIESQYIGHIVMSDLCTIKFCSKLGYLFPDGSWWELKFPLDHLLLKNH -QLLENSGSCSDRSHGDTLTKDQRGNKIGYEDLEVDLEGLELRQKSRNLNLICLDKVANIRNRKEVNILDI -SYLTPKHPGHGTAYYLYEDTSNASITHVKAYSCNYKLIQIHGADMNGRVNMSNSEDLNITILDSGDNMTI -ADLGISKCKDLSHLTVNQTRNISCEKNVGFKYPEQVKLSNGKVVWTSRSFGGANFHRLPGVRFGVNGITY -DLKQQMLRFPTVNNLLWDLPSYYSTNHKVHFYTHPTKHEIRKNFTGERTEDIDFLDDLIHRKLNRTDFPT -KVRNWIGDIENKVVHFFSNVGGTIKTAISLIFFVIGTLISIKIWRKCKKCKGQSKRSESEWVAKKQYTAK -HEMKSFPSNFKTDNIYEDVKSELDSTYSPFHV - ->YP_009094410.1 virion transmembrane glycoprotein G [Coastal Plains virus] -MSSRILSVINLIAMFISASLSQLIYNYPFNCKQEINLLPFDSIQCPMDYNTFNLKSRSSVEEGTMCRPNP -LATDLEEGYMCYKDRWVTRCEENWYFSKTVTNHIVHEPVSKDECVEALALFKMGQTKDPFFPAPSCYWSA -ANEESVTFLMIKKHPVVIDPYSGKIKDPLIDNDLCKDGFCKTRAHQTHWMRDYKTDIVERCDDKTWECHP -IKVYYGWVPKIKNGTSSVTTSYVETGLVIESQYLGHVLVTDLCQKTFCQQDGYLFPDGSWWQIKISLTKL -LLKNHNALEKAKTCGDRDHGSELTDEQRSGKIGFEDLEINLENIEMKQKSQTLNLMCLEKVAKMRNSQSV -NFLDISYLTPKKPGPGLAYYIVEEPLMSGQTKVKVSNCQYKFVEILGSDKSGIVNVTEIGSQQIFKLLET -GQVFNMSELGIPICEDHTQDNETRNITCETDFGISANRGVKGLSGKPLWTVRSFKGSNISNLRGLRIGSN -GITYDMTKKILRFPQAGNMHWDIPSYYSTEHKVHFFQHPTKHEIYKNFTGDKKSDIDFLEDLIHRKLNRT -DFPTRIKNWIGNIEDKVEHFFTNLSGTVRTAISLVIFVIGTLLSIKVWRRCKKQKPKTRKIDRSNQAKEE -NSFALDLHQNVYEDIEPNMRGHNPFHRS - ->YP_007641373.1 virion transmembrane glycoprotein G [Tibrogargan virus] -MEAITIEIIIIILTISYPILVAPQLLYNYPFNCKKGPKMTLDGLTCPLDFNTFNLDSKDNMEAGTMCRPN -PLSKDIEDGFLCYKDTWVTTCEETWYFSKTVKNHIIHEHITKDECFEALATYKLGKHVEPFFPAPSCYWS -ATNEERATFVNIQPHGVLLDPYSGKIKDPLIDSDNCDNDFCVTRSHQTHWLRNRKPDIMERCNNETWECH -PIKIYYGWVSKKKNQETSTTFNYVQTGLVIESQYIGHVLMADLCIMTFCNRDGYLFPDGSWWEIKYSLYH -AFTKDHTVLNNAHKCGDRTHGDHLTEFQRDKKVGYEDLEINLEGLEMRQKSRSINMMCLNRLAEIRNTHH -INVLDMSYLTPKHPGRGLAYYFSQDQKNSSKYHVKVLDCDYKLIHIHDADIKGFVNITKYPEPNVTILGL -KDNLTFADLGISRCQDLTPLNGSRNISCEESSGPLHSDDSRLSNGKRFWTRHSFQGANFHEHPGVRIGVN -GITYDIRKQILRFPSTSNLLWDLPSYYSTKHRVHFFQHPTKHEIRKNFTGSDSRDIDVLDDLINRHINRT -DFPTRIRNWIGNIEDKVEHFFSNVGGTIKTIISLVLFVIGTLISIKVWKKCKRHPQKTKKVAQLKLNDYE -KTYNQRDTSNNNNDDLYETIENGGTVYSPFHV - diff --git a/seq/clusters_seq/cluster_591 b/seq/clusters_seq/cluster_591 deleted file mode 100644 index 15c9399..0000000 --- a/seq/clusters_seq/cluster_591 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_009507904.1 nucleocapsid protein [Maize stripe tenuivirus] -MATNKPANLNDLQKAINDISKDALKYLTDNKASVTTFHDQIGYAGYDAATLIGILKDKGGATLAQDVVKM -IVMRYVRGTGFVKDVTKKTKATAGSEEAAALVARYGLVSSVGSNANAITLGRVAQLFPNVSFEVTKQFTG -LKMAIDSSDLSMSGTDSLLWDFVPQYITLDSSSAPYCTTKSVAHILFSIHVIHAFLVTKKTMPEAKKKER -GLLKDIDIIKYTTGLLVITCQSKNLNEAKKKSGRTKVCEPYCVNEKFKESFLALLASFGKNVVCSYGTQV -KQFLAEQCSLMKTIVDNSSKTQDEMKALIIEFFEEE - ->YP_009508261.1 viral nucleoprotein [Echinochloa hoja blanca tenuivirus] -MSVADIQTEIERVTALALKYIADHKDTLVTFVGQIEYNGYDAGKLLQILKKKAEGRDFGKDLGFLLVVRY -TRGTGFVRDVRKKIKTAAGGDTAHEIVTHYGVVQSVGDNADAITLGRLASLFPAVSMSIVKNVSSGAKLA -VDSSDLGTSGLDILLWDFVPQFITLDSVTAPYCTGKGMNVILFSLHVLHGALTTRKTMPDLKKKARSLVT -DFELVKYTTELLVITCNAKNLKAERKTAYRKSLMSSFQEGAGGDLGFKESFWTSLAKVSTGCVKKMKKDA -QAYLSERTSLLKLFVENCNKTEAEVTEAIKSYFVTDA - ->YP_009507912.1 nucleoprotein [Urochloa hoja blanca tenuivirus] -MAMSVADVQTEIERVTALALKYITDHKDTLVTFVGQIEYNGYDAGKLLQILKKKAEGRDFGKDLCYLLVM -RYTRGTGFVRDVRKKIKTAAGGDTAHEIVTHYGVVHSVGDNADAITLGRLASLFPAVSMNIVRNVSTGAK -LAVDSSDLGSSGLDLLLWDFVPQFITLDSVTAPYCSGKGMNIILFSLHVLHGALTTKKTMPDQKKKARNL -VTDFELVKYTTELLVITCNAKNLKAEKKTSYRESLMRPFQEGAGDALGFKETFWTSLAKVSNGCVRKMKK -DAQTYLSERTSLLKVFVDNCNKAEAEVTEAIKAYFVTES - ->YP_009507897.1 N-protein [Iranian wheat stripe tenuivirus] -MSMSVAEIQAEIERVSTLALKYITEHKDILVAFAGQIDYNGYDAGKLLKILQDKSKNRDFGKDLCHLLVM -RYTRGTGFVRDVRKKIKVAAGGETSHEIVTHYGIVQSVGDNADAITLGRLAALFPNVSMTIVKSVSTGAK -LAIDSADMGTSGIDILLWDFVPQFISLDSETAPFCNKKNANNVLLSLQILQGALTTKKTMPDQKKKLRNL -ITDYDFVKYTSELMVITCSAKNLTDEKKQTYRRKLVSAFQTDDSGYKQEFWDALGQVSVGCVRKLKKDAQ -NYLKDRTTVLKVMVENCTKTEAEAIEAIKAFFAPEDV - ->YP_009449448.1 nucleoprotein [Rice hoja blanca tenuivirus] -MTMPVADVQTEIERVTTLALKYITDHKDTLVTFVGQIEYNGYDAGKLLQILKKKAEGRDFGKDLCYLLVM -RYTRGTGFVRDVRKKIKTAAGGDTAHEIVTHYGVVQSVGDNADAITLGRLASLFPAVSMNIVRNVSTGAK -LAVDSSDLGSSGIDLLLWDFVPQFITLDSLTAPYCTGKGMNTILFSLHVLHGALTTKKTMPDQKKKARSL -VTDFELVKYTTELLVITCNAKNLKVEKKTIYRESLMRPFQEGVGDALGFKETFWTSLAKVSTGCVKKMKK -DAQAYLSERTPLLKVFVENCNKSEDEVAEAIKSYFVTGA - ->NP_620548.1 coat protein [Rice stripe tenuivirus] -MGTNKPATLADLQKAINDISKDALSYLTAHKADVVTFAGQIEYAGYDAATLIGILKDKGGDTLAKDMTMC -ITMRYVRGTGFVRDVTKKVKVAAGSTEASTLVSRYGIVSSVGTNANAITLGRLAQLFPNVSHEVVRQISG -VKMAVDSSDLGLTGCDNLLWDYVPQYIKLESETAPYCSTHSLSHILFVVHIIHSFQITKKTMPEGKKKER -GLTKDIDMMKYTTGLLVITCKSKNLSDKKKEEGRKKVLDEFITNGKVKTTIFDALAGMSVNTISTYGNQT -RLYLAQQSKLMKILAENTSKTATEVSGLVKEFFEDEAEGADD - ->sp|P84254.1|NCAP_WYHV RecName: Full=Nucleoprotein; AltName: Full=Coat protein; Short=CP; AltName: Full=Nucleocapsid protein; Short=Protein N; AltName: Full=Protein pc3 -MTSMVEIQNEIERVTALAVKYISENKDSLVVFVGQIDYNGYDAGKLLSILKEKAKGRDFGRDLCYLLVMR -YTRGTGFVRDVRKKIKVAAGADTAYEIVTHYGVVQSVGDNADAITLGRLAALFPYVSMNIVKSVSTGAKL -ALDTSDLGTSGLDILLWDFVPQFINLDSVDAPYCNKKNTSNILFSLHLLQGALTTRKTMPDQKKKKDNLT -TDFDLLKYTAELLVITCSAKNLTDNKKSTYRKKLVEPFRENEDYKADFWTALGKLSTGCLKKMKKDAQNY -LKDRTTVLKLMVDNCSGTDDEAAKAIKDYLTVDD - diff --git a/seq/clusters_seq/cluster_592 b/seq/clusters_seq/cluster_592 deleted file mode 100644 index ad82feb..0000000 --- a/seq/clusters_seq/cluster_592 +++ /dev/null @@ -1,46 +0,0 @@ ->YP_009944269.1 Leader protein [Human coronavirus HKU1] -MIKTSKYGLGFKWAPEFRWLLPDAAEELASPMKSDEGGLCPSTGQAMESVGFVYDNHVKIDCRCILGQEW -HVQSNLIRDIFVHEDLHVVEVLTKTAVKSGTAILIKSPLHSLGGFPKGYVMGLFRSYKTKRYVVHHLSMT -TSTTNFGEDFLGWIVPFGFMPSYVHKWFQFCRLYIEESDLIISNFKFDDYDFSVEDAYAEVHAEPKGKYS -QKAYALLRQYRG - ->YP_009944254.1 nsp1 [Rabbit coronavirus HKU14] -MPKINKYGLELQWAPEFPWMFEDTEEKLDYPSSSEVGMVCPTTAQKLGSSGIFLKNHVMVDCRRLVKYEC -CVQSNLIREINMNTGPDAMDAVIQEALQSRSAVLVTPPQRMSLDMYYKLGCCPKGWTMGLFRRHCQCRTG -NCNVETHVANQLYMIDPEGVCLGAGTFIGWFVPLFALPECVRDKTFPWVLYLRKHGEKGAHSKGHGDTFY -VDYDFDVEDAYEEVHDNPKGKYSKKAYALLRGYRG - ->YP_009915688.1 nsp1 [Murine hepatitis virus] -MAKMGKYGLGFKWAPEFPWMLPNASEKLGNPERSEEDGFCPSAAQEPKVKGKTLVNHVRVNCSRLPALEC -CVQSAIIRDIFVDEDPQKVEASTMMALQFGSAVLVKPSKRLSIQAWTNLGVLPKTAAMGLFKRVCLCNTR -ECSCDAHVAFHLFTVQPDGVCLGNGRFIGWFVPVTAIPEYAKQWLQPWSILLRKGGNKGSVTSGHFRRAV -TMPVYDFNVEDACEEVHLNPKGKYSCKAYALLKGYRG - ->YP_009924368.1 nsp1 [Rat coronavirus Parker] -MAKMGKYGLGFKWAPEFPWMLPNASEKLGNPERSEEDGFCPSAAQEPKVKGRTLVNHVRVDCSRLPALEC -CVQSAIIRDIFVDKDPQKVEASTMMALQFGSAVLIMPSKRLSIQAWANLGVLPRTPAMGLFKRVCLCNTR -GCSCDVHVAFQLFTVQPDGVCLGNGRFIGWFVPVTAIPEYAKQWLQPWSILLRKGGNKGSVTSGHRRAVT -MPVYDFNVEDACEEVHLNPKGKYSRKAYTLLKGYRG - ->YP_209244.2 nsp1 or p28 [Murine hepatitis virus strain JHM] -MAKMGKYGLGFKWAPEFPWMLPNASEKLGNPERSEEDGFCPSAAQEPKVKGKTLVNHVRVDCSRLPALEC -CVQSAIIRDIFVDEDPQKVEASTMMALQFGSAVLVKPSKRLSVQAWAKLGVLPKTPAMGLFKRFCLCNTR -ECVCDAHVAFQLFTVQPDGVCLGNGRFIGWFVPVTAIPEYAKQWLQPWSILLRKGGNKGSVTSGHFRRAV -TMPVYDFNVEDACEEVHLNPRGKYSCKAYALLRGYRG - ->YP_009924319.1 nsp1 [Human coronavirus OC43] -MSKINKYGLELHWAPEFPWMFEDAEEKLDNPSSSEVDMICSTTAQKLETDGICPENHVMVDCRRLLKQEC -CVQSSLIREIVMNASPYDLEVLLQDALQSREAVLVTTPLGMSLEACYVRGCNPKGWTMGLFRRRSVCNTG -RCTVNKHVAYQLYMIDPAGVCLGAGQFVGWVIPLAFMPVQSRKFIVPWVMYLRKRGEKGAYNKDHGRGGF -GHVYDFKVEDAYDQVHDEPKGKFSKKAYALIRGYRG - ->sp|P26627.1|R1AB_CVMJD RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Non-structural protein 1-non-structural protein 3 fusion; Short=nsp1-nsp3 fusion -MAKMGKYGLGFKWAPEFPWMLPNASEKLGNPERSEEDGFCPSAAQEPKVKGKTLVNHVRVDCSRLPALEC -CVQSAIIRDIFVDEDPQKVEASTMMALQFGSAVLVKPSKRLSVQAWAKLGVLPKTPAMGLFKRFCLCNTR -ECVCDAHVAFQLFTVQPDGVCLGNGRFIGWFVPVTAIPEYAKQWLQPWSILLRKGGNKGSVTSGHSRRAV -TMPVYDFNATDVVYADENQDDDADDPVVLVADTQEEDGVAKEQVDSADSEICVAHTVGQEMTEPDAVGSQ -TPIASAEETEVGEACDREGIAEVKATVCADALDACPDQVEAFDIEKVEDSILSELQTELNAPADKTYEDV -LAFDAIYSETLSAFYAVPSDETHFKVCGFYSPAIERTNCWLRSTLIVMQSLPLEFKDLGMQKLWLSYKAG -YDQCFVDKLVKSAPKSIILPQGGYVADFAYFFLSQCSFKVHANWRCLKCGMELKLQGLDAVFFYGDVVSH -MCKCSFKAYF - diff --git a/seq/clusters_seq/cluster_593 b/seq/clusters_seq/cluster_593 deleted file mode 100644 index e4faf01..0000000 --- a/seq/clusters_seq/cluster_593 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009055051.1 2A [gallivirus A1] -NGVIECDDDSPVYVIRDPKVTYVHWAIRKGDQQISLTKRGIQAVVSYEPVSGDVWATATDQSWVMAKQLI -GSPLPYHAFQNCTHFVSALTGYNLQNSGFGLALGLGAAAAATASVGVAKTLLDAHFRQIPKRQ - ->YP_009167362.1 2A [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -GGVHSQDDLPPLTSPYVRVYIVRCQRPTYVHWAIRAVHPDGAEQQISLSRNGLHAVIAYEEPEGELREEV -PPGCWTTAVSLVGEPWEYNAEHNCTHLIQNITGVPLPNTGISLIFGIGALALVAAGTAQALKGAFVQRQ - ->YP_009167351.1 2A [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -CQDRECPSLLNPDSRIYIVKAQRPTYVHWAIRAIHPDGSSEQISLSRSGIGCVIAYEECDGEPYQEVSPG -CWVTATCLVGEPWEYNAENNCTTFVSNVTGVSLPNTGYSLVFGVFALSAVSLVAAQALQGRQAQRQ - ->YP_009001374.1 2A [Caprine kobuvirus] -RPTYVHWALRAVHPNGVQQISLSRWRLTAVVAYEDPVGVVYQEVEPCHWAVAEAMVGERWNYSADNNCTH -FVSNITGVSLPNTGFSLCLGLGALAVLTGFAAVQAAKGGIVRQ - ->YP_003853292.1 2A [Passerivirus A1] -AGGILRTSPEPCFVIRNQRLTYVHWALRSGNKQVSIQRSGLGGLTPVIGYEDLEGEVYAEVPPHIFALAE -AQVGSEYPYSATNNCTTWVETLTNLSLPNTGNSLALGLGAIGVGAAAALAVKTAEAKKQ - ->NP_859022.1 2A [Aichivirus B] -CAGESLFQPCLCSRVYIVRAQRMTYTHWALRCVDSDGTRQISLSRFKLSAVVAYEDPEGEVYREVEPFHW -SLAVAMVGSPWDYSASNNCTHFVSNITGVELPNTGFSLALGIGALAIGAGVMAVQTAKGRITRQ - ->NP_740438.1 2A [Aichi virus 1] -VHWAIRKVAPDGSAKQISLSRSGIQALVALEPPEGEPYLEILPSHWTLAELQLGNKWEYSATNNCTHFVS -SITGESLPNTGFSLALGIGALTAIAASAAVAVKALPGIRRQ - diff --git a/seq/clusters_seq/cluster_594 b/seq/clusters_seq/cluster_594 deleted file mode 100644 index d42788b..0000000 --- a/seq/clusters_seq/cluster_594 +++ /dev/null @@ -1,21 +0,0 @@ ->NP_150079.1 4.8 kDa non-structural protein [Bovine coronavirus] -MPMATTIEGADYTNIMPITVLTTVYLGVSIGIDTSTTGFTCFSWY - ->sp|Q8V6W4.2|NS48_CVBQ RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -MPMATTIDGTDYTNIMPSTVSTRVYLGCSIGIDTSTTGFTCFSWY - ->sp|P0C2R9.1|NS48_CVBON RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -MPMATTIEVADYTNIMPITVSITVYLGVSIGIDTSTTGFTCFSRY - ->sp|P0C2R8.1|NS48_CVBOK RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -MPMATTIDGTDYTNIMPITVFTTVYLGVFIGIDTSTTGFTCFSRY - ->sp|P0C2R2.1|NS48_CVBLU RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -MPMATTIEGADYTNIMPITVLTTVYLGVSIGIDTSTTGFTCFSRY - ->sp|Q9QAS0.1|NS48_CVBLY RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -MPMATTIDGTDYTNIMPSTVSTTVYLGGSIGIDTSTTGFTCFSWY - ->sp|P22052.1|NS48_CVBM RecName: Full=Non-structural protein of 4.8 kDa; Short=ns4.8; AltName: Full=4.8 kDa accessory protein -MPMATTIDGTDYTNIMPSTVSTTVYLGCSIGIDTSTTGFTCFSRY - diff --git a/seq/clusters_seq/cluster_595 b/seq/clusters_seq/cluster_595 deleted file mode 100644 index 58f449e..0000000 --- a/seq/clusters_seq/cluster_595 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_003208110.1 coat protein [Melandrium yellow fleck virus] -MSTPGTVKMTRAQRRAAARRAAKPQINKRIQPVIVEPPTSGLGRAIKALGGHTITKWETSCPEIKAKSSI -QITIPLPSELSSERNKVLKVGRVLLWLGLLPSINGQVKACVTQTNPVPGAAFQSALAIADSSKEVVSAMY -VDIFKGITIEDFVNDLAIYVYSAVDVAAKDIIVHLEVEHVRPVLDDYFTPLH - ->YP_233104.1 coat protein [Cassia yellow blotch virus] -MSQTGTGKSTRAQRRKIAREARKALKLSNPRPVVLEPVSSGIGRPLRARQGCVISAWSSSNSACDAKTTS -QISITLPTELSSERGKLLKVNRVMLWMGLLPSVSGTVKSCVTPTQSTPAAAFQSALAVADSSKDVGVAFY -AEAFKGLTLEQLTNDLSIYLYSAEALSAGDVVVHLEVEHDEPVLNRFFTGLL - ->YP_009551512.1 3b protein [Sambucus virus S] -MSATGTVKMTRAQRRAAARKAGGTIRAKVQPVIVEPLAHGQGRPTKAANGYSVTKWDAPSAEIVAKATVA -MNITLPSDLSSEKNKQLKVGRVLLWLGLKPSVTGAVKACVTETQKDPASAFQIALAIADSSKEVSAAMYM -QAFRGVPLEDFVKDLTIYLYSEAALKAGDVVVHLEVEHVKPLFDDFFTPVF - ->NP_689395.1 coat protein [Spring beauty latent virus] -MSTSGAGKTTRAQRRAAARKNRRSATKVVQPVIVESPASGIGRPTRARMGYSVTKWSVDCPAVTNAKSTT -EISVKLPDDLSSEKNKQLKVGRILIWLGLVPSISGSVKACLTEKQENPSHSFQVALAYADNSKDVAAAMY -SEDFKGITLEQVSEHLKIYLYSGDVVAAKSIVVHLEVEHVKPTFDEAFTPVF - ->NP_658998.1 coat protein [Broad bean mottle virus] -MTTSATGKALNRKQRRALNRSNRLRKEFQPVIVEPLASGQAVSLKTRTGYCVTQFVSNNPEVKAKEVVSV -SVKLPDHLAVEANRALKVGRISILLGLLPTVAGTVKVCLTEKQDSPAESFKRALAVADSSKEVASAFYVD -GFKDVSLGDLEKDLSIYLYSEAALAANSIRIRMEVEHVMPKFITRFSPFA - ->NP_613277.1 coat protein [Cowpea chlorotic mottle virus] -MSTVGTGKLTRAQRRAAARKNKRNTRVVQPVIVEPIASGQGKAIKAWTGYSVSKWTASCAAAEAKVTSAI -TISLPNELSSERNKQLKVGRVLLWLGLLPSVSGTVKSCVTETQTTAAASFQVALAVADNSKDVVAAMYPE -AFKGITLEQLTADLTIYLYSSAALTEGDVIVHLEVEHVRPTFDDSFTPVY - ->NP_041199.1 hypothetical protein BMVs3gp2 [Brome mosaic virus] -MSTSGTGKMTRAQRRAAARRNRWTARVQPVIVEPLAAGQGKAIKAIAGYSISKWEASSDAITAKATNAMS -ITLPHELSSEKNKELKVGRVLLWLGLLPSVAGRIKACVAEKQAQAEAAFQVALAVADSSKEVVAAMYTDA -FRGATLGDLLNLQIYLYASEAVPAKAVVVHLEVEHVRPTFDDFFTPVYR - diff --git a/seq/clusters_seq/cluster_596 b/seq/clusters_seq/cluster_596 deleted file mode 100644 index 7a9f809..0000000 --- a/seq/clusters_seq/cluster_596 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009824958.1 GP2 protein [Rodent arterivirus] -MRKWEHWVVRSPSCSWTPSRNFWCPLLISSYFWAFCLASQSQGGFWSFSSDWFAPRVSVRALPFTLPNYR -RSYEGLLPSCRPDVPKFAAKHPLGMFWHMQVSHLIDEMVTRRIYRTMEHSGQAAWKQVVSEATLTKLSNL -DVVAHFQHLAAVEADSCRFLASRLVMLKNLSVGNVTILYNTTLDRVEAIFPTPTSRPKLTDFRQWLVSVH -ASIFASVCSSVTLFIVLWLRIPMLRSVFGFHWLMAMCRSH - ->YP_009667149.1 ORF2 [Lelystad virus] -MQWGHCGVKSASCSWTPSLSSLLVWLILPFSLPYCLGSPSQDGYWSFFSEWFAPRFSVRALPFTLPNYRR -SYEGLLPNCRPDVPQFAVKHPLGMFWHMRVSHLIDEMVSRRIYQTMEHSGQAAWKQVVGEATLTKLSGLD -IVTHFQHLAAVEADSCRFLSSRLVMLKNLAVGNVSLQYNTTLDRVELIFPTPGTRPKLTDFRQWLISVHA -SIFSSVASSVTLFIVLWLRIPALRYVFGFHWPTATHHSS - ->YP_009337025.1 GP2 [Rat arterivirus 1] -MPSQSSWFLLLILSFSWEYCLASPLPDGFWSFASDWFAPRFSVRALPFTLPNYRRSYEGLLPNCRPDIPK -FSQKHPLGMFWHMRVSHLIDEMVSRRIYQTMEHSGQAAWRQAVSEATLTKLSSLDVVAHFQHLAAVEADS -CRFLASRLVMLKNLAIGNVTLVYNTTLDRVEAIFPTSGSRPKLTDFRQWLVSVHSSIYASVVSSVSLFWF -FGFEYHCYALFLVSIGSWQCVVLPHHKLYHLSCVPHQAGCRRIL - ->YP_009214660.1 GP2 protein [Rat arterivirus 1] -MKWVAFGPRLASSLLMPSQSSWSLLLTLSFFLEFFSGSLSQAGFWSFSSDWFSPRFSVRALPFTLPNYRR -SYDGLLPNCKPDIPRFASKHPLGMFWHGQVSRLIDEMVSRRIYRTMEQAGQAAWKQAVSEATLTRISSLD -VVAHFQHLAAVEADSCRFLASRLVMLKNLAIGNVTILYNTTLDRVEAIFPTPESRPKLTDFRQWLVSVHA -SIFASVASSVTLFVVLWLRIPQLRSVFGFHWLTAT - ->YP_009505549.1 GP2 envelope protein [Porcine reproductive and respiratory syndrome virus 2] -MKWGPCKAFLTKLANFLWMLSRSSWCPLLISLYFWPFCLASPSPVGWWSFASDWFAPRYSVRALPFTLSN -YRRSYEAFLSQCQVDIPTWGTKHPLGMLWHHKVSTLIDEMVSRRMYRIMEKAGQAAWKQVVSEATLSRIS -SLDVVAHFQHLAAIEAETCKYLASRLPMLHNLRMTGSNVTIVYNSTLNQVFAIFPTPGSRPKLHDFQQWL -IAVHSSIFSSVAASCTLFVVLWLRVPILRTVFGFRWLGAIFLSNSQ - ->NP_042574.1 glycoprotein GP2 [Lactate dehydrogenase-elevating virus] -MHLFSSLFPSLIFWFSWAFFLGLPSPAGCWFGVSDWFSPRYSVRVERLTSLTYRRYFRDAIKLCQPDAIH -YGKGFGMLWHMKIATMLDDVIHSRLMSHMSHQGRETWGRVFTQKALENIGRTQVVSHLQQIAGLEREACT -YLMSRLPAIQAASAVYNVTIKFSNETIAISFGPSNTSLTVAEHLWLVSMQSSVFSSVAAAFALWIVMLLR -LPRSVFGFLSMRRVRRT - ->sp|A0MD30.1|GP2A_PRRSS RecName: Full=Glycoprotein 2a; Short=Protein GP2a; AltName: Full=GP2; Flags: Precursor -MQWGHCGVKSASCSWMPSLSFLSVWLILSFSLPYCLGSPSQDGYWSFFSEWFAPRFSVRALPFTLPNYRR -SYESLLPNCRPDVPQFAFKHPLGILWHMRVSHLIDEMVSRRIYQTMEHSGQAAWKYVVGEATLTKLSKLD -IVTHFQHLAAVEADSCRFLSSRLVMLKNLAVGNVSLQYNTTLDRVELIFPTPGTRPKLTDFRQWLISVHA -SIFSSVASSVTLFIVLWLRIPALRYVFGFHWPTATHHSS - diff --git a/seq/clusters_seq/cluster_597 b/seq/clusters_seq/cluster_597 deleted file mode 100644 index c12c2a8..0000000 --- a/seq/clusters_seq/cluster_597 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_009362008.1 minor glycoprotein [Kibale red colobus virus 2] -MGPPLLYYTVPCICFLYLFHPCSANNHTSNQTSQAFCFLLPAVKTNNFSLQLTAMYCNQEGDITLEYDQD -RRGDDTCEEYTFKPKGSTNSHWGGFLHKLTTTFNLSLDAHPSHVFVGILLSYTLTFYPEVFNFTTDHKRA -FNITQNGTSWRFCVNQTTDLPVTNRSLESSLMSEFGPGWDVYTLELLRPFLLSLLLIGIAEM - ->YP_009344809.1 minor glycoprotein [Kibale red colobus virus 1] -MACFLLYSGLSCFCLLCCYHTAYASGNITNSSSVAFCFYVPTSDKIQVLMRLDAMVCSPNNTHGVLPYGR -STGSADGCTAYSSCSRSGIEDGSCSGFWVYSPLTMAPRHVGMEYKLNLSLHPQHESMAMLMGFILTYMPE -SFGLDPNVTRSFNYSTVSCVSPWGAHHKCYRFCVNQNVTLQKYSGPFGFYFDRPQGWDIYFSELLRPFLL -SLLVLGVSQI - ->YP_009221997.1 ORF3' [Kafue kinda chacma baboon virus] -MASGLHVRLISCLCVFYLVRSSGANINSTDTAPEGICFLLPVKHNVLVNISLHTLFCTNDGAISMEVDEN -HFGNDDCPLSGFKPHGSTTGKYGSFLHMSDINFPLNLTTDPSHVYITILLTYLMANFPQVLIPNHNTSLP -LALNATVTNTTWQFCINSTNIPSVGSGPIVDLYTTGPPWGLYYMELLRPFLLSLLMLGLSHI - ->YP_009121775.1 ORF3' protein [DeBrazza's monkey arterivirus] -MVSHWVLCTALLCFSLCYPCCSLDSNSSLSNQAPSSFCFLLPVGNVSIDLNLTALVCKPENVNATNTPTT -HVFIPRGRASVDNKMGCAILRSTKGYWTYPKVSENARLESMHYPIRLALQESHDHVVALLTAVVQVFPET -FGLDRNESRHFNFSLVHQNQSVYYRVCITGTPVLSNSSLAQYIIMAQPSLDLYFVELLRPFLLSLVVLAM -SNV - ->YP_009067067.1 ORF3' protein [Southwest baboon virus 1] -MAPLLYGRLVSCLSVFYLICSCSANNNTANTTPDGVCFLLPVRHNVTINITLSTLFCTNDGEVNMEVDEN -HFGDDECPLSGFKPHGSTKGKYGSFLHSLDQSFPLKLSSNPSHVYISILLTYIVSQYPSVLFDNTTGRRY -AINFTETSNEWRFCVNGSDLHVNGTGVVTDLFTTGPPWDLYYVELLRPFLLSLLMLGLSRI - ->YP_009067053.1 ORF3' protein [Mikumi yellow baboon virus 1] -MASLLHGRLVSCLCLFYAVCSCCANNNTTDKAPPSVCFLLPMKHNITINITLSTLFCTNDGSVEMEVDQN -HFGDDECPLSGFKPHGSTTGKYGSFLHHLDQSFPLNLASNPSHVYITILLTYIVSQYPSVLFENTTGRVY -AVNATETDTEWRFCVNATDILTPNGSLPITDLFTTGPPWDLYYVELLRPFLLSLLMLGLSRM - ->NP_203545.1 minor glycoprotein [Simian hemorrhagic fever virus] -MVSIWFLLAGLSCFQFCHVYCTLNFTNSSSDSQTPVACFHLPSGNVSFSINLTAVVCTPSWNKTGPIILT -YGRLSASTHECTSIRASSGGLWADLSIWERPRSVTGIFNFTDQLAESHQHVYALFIAALTFHPELFGLSR -NYTRSLTIKQSWNETMMCVNGTVVLTNATAAEYYIRTGVDSPMYFVELLRPFLLCLLILMLSDV - diff --git a/seq/clusters_seq/cluster_598 b/seq/clusters_seq/cluster_598 deleted file mode 100644 index 665331d..0000000 --- a/seq/clusters_seq/cluster_598 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009709873.1 envelope protein E2 [Hepacivirus P] -APVVSTGSADSFALACSPLVVPEKCSLFHNRTGVKEAKCYNPLTGVVYDDTGLVSESSASLLSEFAEYNR -KVHRGWGCTYTYHNGSVRCCRLRDTPDYCKGCSSDCSWQDKRLSYEVCGTTHVLTTACWPYNQSTGQCSA -KTVASLLPVRGWKQSKTRFGRRYMTFWYDESALKQLPPAHWARLPGWPDTYKGVWMLVPVGLYSEVRDIS -TGLISKDKTHKDYQVLYSATGALRLAGITKHAVIFAVLAAFGARWCLFLYALWLWVPEALA - ->YP_009684356.1 envelope protein E2 [Guereza hepacivirus] -TSLPRSTEHHSCSAIKPPMHCNNTMPWDESKNFLLYCFDPHFVSVTRYANGFVGIPWRVRGCVWLETNRN -QTVTRCCARRVWPCPHCSSDCSWNVTDPKQTYELCGWGPWFTTVWYGGGPLRAAILDYPGRRYHFPDTYH -WATANVVWGNQRLTYAYNKTLLDGLPPERWGRLPGVPNLARSRWTEVPRGLYSDLPDLTTGLISKDKDYP -DYQLFLTADSMSIVVAAEAWVLSVLLGALMGGKFVPILVACFAVFQQAAA - ->YP_009325404.1 envelope protein E2 [Norway rat hepacivirus 2] -AVPVPSMNPQLSVCSPHVLPDPCGVITANDTIEFFCFNPYRGKPLRQKVLTTPDAQNGTIDLGQYKWSWG -CFAWKLDGNFTCCSNRKLPSYCKCATDCSWMDKRNTYERCGALPIVSTACDPYNATSDTCVPRVVAGLDL -PGFSSYYTTYHWPTLRVLTMEGKGITIRYDSKTLEKLPPARWARLPFRPVLSHGSWMVVPKGFYSSYTDL -STGLITKDKHHEDYQLHYTASGALSMEGVTYHIIVIALLAALGAKWCLVIYATLLMAGVSEA - ->YP_009679026.1 envelope protein E2 [Hepacivirus I] -APVGSLHWQCSSWLAPKPCPLFIEDRELVSAYCYTPLVVPVPIPSTYQVTLPQRRWGCAFEWRNGTITCC -SDRKVDRRCVACSSDCSWHDPLLTYERCGRIPVLTTASLDGPPGCQNRVSGAIIAPSHIVHRLAGVWKQL -TLRDDEVSFTIWYNASFADNPPYVWARLPYAPQVWRSYWASVPAGFYSEVRDLSTGLVSKDRNQDYQVIY -SAAAFVNLHGLATRVLVALLLALVGSRWVLLLYCLGLHISYSYAQ - ->YP_009678986.1 envelope protein E2 [Hepacivirus B] -GNPIRVPTGCSIAEFCSPLMIPCPCHSYLSENVSEVICYSPKWTRPVTLEYNNSISWYPYTIPGARGCMV -KFKNNTWGCCRIRNVPSYCTMGTDAVWNDTRNTYEACGVTPWLTTAWHNGSALKLAILQYPGSKEMFKPH -NWMSGHLYFEGSDTPIVYFYDPVNSTLLPPERWARLPGTPPVVRGSWLQVPQGFYSDVKDLATGLITKDK -AWKNYQVLYSATGALSLTGVTTKAVVLILLGLCGSKYLILAYLCYLSLCFGRASG - ->YP_009679016.1 envelope protein E2 [Hepacivirus L] -MPVNCSWFATQNELDLWCSPLVQPCRCSHLNLAENVSEAVCFNPFSVPTKIIGSYVELPPKAWGCVIKFH -NGSAKCCAARRVPDYCKGCSSDCSWQDPRQTFENCGTTPWVSTVRTPEGGVSKVLVLAHDNIPTILGVPY -SWPSYQTQWPEARNRLLYLKYNNSWSDLDPHPQHWGRIPGWPESYRSWWIWVPKGLYADTRDMSTGLLTK -DAKYPEYQLVMSATGSLSLASISTTIVVAAIMAFLGGRWSLLLFCLAQMLEGAWG - ->YP_009325394.1 envelope protein E2 [Norway rat hepacivirus 1] -PVSMPGLDCPWNAQPPPCNFSAGWTNLACYGQKGPFLPPPIARFASDIIGTLVANGVNASRAEEAVTSRK -AYHVGGFGCIGTLGGNRTCCSLRRVPSLCDACASDCSWMGKELTYERCGTTPWLTTGCDLQNGTCRGEVL -AGFNLPATFPPGVAQWTTIRFQDGHPVMVWYKVWDKPASQWARLPGTPEQYRGSWMKVPKGYYSSRRDLS -TGLISKDSNYPDYQLFYSASGSLQIAGITTHLVIVACLAALGARWCLVAYALFNSMLPVGA - diff --git a/seq/clusters_seq/cluster_599 b/seq/clusters_seq/cluster_599 deleted file mode 100644 index 4cd796f..0000000 --- a/seq/clusters_seq/cluster_599 +++ /dev/null @@ -1,233 +0,0 @@ ->YP_009333580.1 hypothetical protein [Beihai picorna-like virus 99] -MEAVDAIEEVIMEGNLSTTLRVQITKFQEYRRRLYQLKKQGVALKKKLESVGQNSFYLKLLNERMKKLDK -ILSQNTGAYVGSQRKFKPHVIYLYGPPKVGKSVLVDHLYSDLFQVLGEEEYLPGVDRHTLNPMDEFYDSY -QYNRVVDIQDLFQMKNEEARNQELYSLIHMADETPYSLKIAECSGKGSTFFCSEHMMLTSNFDLANQQYA -IESLVTSYDAVYRRINVYAEVTRDMVDAPRFDRDLMHFDVRQNEGVVRMDWYQFVQHCARSVIQNRIRSE -GVMDLSKDENVNLRLSLLKTTVHEEDYLAQALEIKKTFKEKIEALIAYLHPTYSCKLSAYINAVCAKVGW -GSQLAADLAVAKIELQSMFALSIGKVMNFAVDWLEVFALGAIACASFSKMYYCPSGALPYAPHQSCAVGA -HDFVSSEGGIANLSAGVREVVARNKKQKLFRTEGGFGNLSGGVKDMTVAKKQQKRFRTEGKDLKSFLAEG -TLSSNMQQVRGVCTRNLGRVCGVSMATHCFGLFEHIVALPEHVVQNPDDSLRFTVFNNVSFTARLSDLSY -LVLSAHHLIIVDLSAYLVNYPPFSDVYRRVWKAEVQASTQGLLITSRTGMTGFDFWGIALESVKMLDSTV -AIRMKNGKRFCFSKGLCAFGATENGDCGAPWILDSVEGAYIVGLHVGGTQQQKCSAALINKDLILYLKKY -FQDKNMEPVEYEAAGTEFDPPEGVNTVDVKGRTDTYVFQPRKTKIHPSPFMGLIPQRTAPAILKPVVIQD -ELGKFMKVSPLVLNFEKNFINKKQFSASQVNKGLNLLADWYLPAKLPRNKRMVLTLEQAIFGDETDLRND -SINGKAGIGWPWMEKFQSRKQLVDLSTKFIHPDLRLECDKLMTLEGCDQNFLFVEMLKDERLPLEKVNAL -KTRVFTMLPLHVNIVLKRLFGHFVMYLMEKHDVCPAKIGISQKPDDWSKLYTFLDNGGQYIAGDYSAFDK -CMHYELMEGIGRMANEFYDDEYSHLRLKLLKKVFCSYRLVDGVVFQTFGGMPSGCYLTASFNSMVNALYW -YIFLAEHVDDTERHKDWFRLATFGDDHVVAVYNQPHINQVTFSEWLKRYGLSYTTPIKGTIVEEYIPFEQ -VTFLKRSFRFDGVRYWGPLDLDTILDSAQWYRVSSSTKALTPEELCNEIYSNVLLELVHHGKEVYDEWTQ -KMKDFVRYDLTISHRSFVKTQEIFLNSDPNTLLPITMNATDQDYQIFQALSKACAKELEIEYEAQGDNDI -APPKEKETVLGTAVVENARQGLTHFTEQVDSSIAQTVPTLNTPIDDMFEIPSDFFKMCNRWLSVGKQAVV -DGDPTGGPILRIDPMNFLIEDSFIREKIASTTFVRYTMEVQLKVVATKFHYGAYIVVWRPYYAAGQSALA -FMTATDKSDEIIICAPMPTGKRQYSMYDTIFTASQCPHTVLSLTAKNTVKIPIPWNIPFQYVPTAKMTDP -RYHPGYLDVYKITPTGPANVDAPEFAIFAAFTEVRGWGYKSEANPPRKLVYVPTSDGTWATVVKSSWNVP -LPVLPSISLDPVSWTKNDPAQNIVDTFDAQAIDTKVEMERQTTGSWLMDTAHWILDTSRSILTLFAPLGP -ILGFFGLSRPADATPATRMLLTSLPMSNALGPDCCYTTAMNPYNLLEADKTPEEKLISTVAGIPTYLGWF -KLSSTDPDKRVFSVSPIHTHTVGSFKAIMPAGYILNRFRFWRSSIKMHIRFFSSSFVSVRVAVYITYNDV -GGRVGLVPTRVVDVNGDNECDIELPFMFQTPWYDDAYGFDSANMFNVTIEQITDIVSDEATEAKPVFVTM -WASFPGLQVAGPCAMRKGDVTYTYKPAMSYDAQGVQEYHAQAEDLPGLGEPSASNGVKWAMNDIPASLYH -LAKRYQPSNAVTVRPYLLPSTRGKSGSGANYIYVNDAPDYQYYAVLYRFFRCSINVISKNSKCIENINPF -GESFRKGANDTSMFMNTDAYSVATMGVTNVRVPYRASTNYLPSPTFGFDALHVITPAKGQIVSYSVTGAQ -WSNPLEQTSYGAAIGTNGILAPEQEKGSFHLAAADDLDYHTYIGTPLVSPINLVNWYKSFKKITS - ->YP_009333602.1 hypothetical protein [Beihai sesarmid crab virus 2] -MTEQQSTEAASWGARFEILKQRVQQLGVDLPGVSNMYNEANRTADAAVSMLGKAHKDCVKLLADVTAVTI -NMATNITVCNHCVATLVDSMLTGKPFLVARDEVIRAHLDRYAIPFEMMDFSVFLGLYVLLQIIRDGKVQL -GFDTGMYGKAQRQIISLFGKFPFVSFGKDAAKSDVLVDDIEAGEHIVVEGDDMIEGQGANICSSFFSALK -TLIPMDVPPQVFQAGIRDARDCTIYLTFLERAYAFFSTILQFVVAKINCMVSLPPGEIKEWADEVEKFDV -LYRVDGGYQTLKKKLATYVDDRHKLFDLYKKGREFLQKLGAIDRNSPLIKVVTEKVATLRSIITSNAGVF -VGSEGKIPPLVLLFFGKPGVGKTLLCDHFYHDLFALLDMPEYSPSCDKYSYNTLSQYHDTYNYQSVFEIQ -DLMQMQDKPTLLNEVVNLMKIADTSSYPMNIAECGSKGQIFFASKFVYLTSNVDPRRSTALLRSVMADPD -AFLRRIDQYVEVTNERPPVEDRFDRSVYRFTTDGRTYDYESFVVHIARLYKKHHARGQAMANLTSEGSEA -MIQRMKERLDGEEEFLDVDEDPEPEIDKALMGKTSEQRMFVVRCDQCCYQDNVCSACTRQLYQHPVSVGK -WFRRGNRLVDSRGVLCSCHKCNGKVIAPTKTSPSKKTRFGPKMAGLFSRPVRQIAPESSGSSTESHVTED -TTVPIAGQAGEESTVSENPWKYNGPTICVRSGSKTYVVSDENSYDIDTVVNHVAGKPFSAQLNHYLETRG -VEPIPFDEPLRMPTSSCGSVIEYQLALRSLQIRFVPPLGFGFVEGESECVMGNGGARHVLKVTYDPVQQV -FSFDQYKPTITEHAANLLEALTDTMAKAKDRLVEVSSQFGGWLCSLANRIRAWLWKPAQDFVSKYVRILG -YAVAGIAALAGALVGIMIFAKNSVINICGEGGIANISGGAPTVKRIPRRVTMKRPTKITAQAGLLESGQR -VFGTVFQNIGLVTCNGMTVRCVALKNLLVMAPLHIFSKPYDKTDVKIVFPGRFAVTFKVSDVDAAICEEN -HSMILNLACLPALRTVRMFPSIERHLATDAQIQAFDLGVLLLVHVDCGIWKEVQMVVTDVATKKEADLLD -FKKTTYEINEMVSYLCTTTSGDCGSPLFVMVGDQWKIASTHIGSREGGFGYGGRITKEWVAEVCQELLKS -SSPIVAQAGYDLPEFECLDAPVSVLGSTTEAFAHQPTKTKVIHSPLWDESMECMTAPAPLRGKYESNYGM -VSPLTKAFMKWDRSASRVYVSDFKDGLYYMHRYYSRERDSTKILSLEEAVFGVEGDQYLRSIDPKAGVGF -PWCVRGVSRSQLINLSARTIHPDLVEACDKLVEDLKAGDIEPVIGIDMLKDERLPLEKVEQGKARIFTIL -PLEFNLVLKRFFGSYCAMVMKRHDRAPCKVGLSVKHESVNNLARYLGLHTESFDYLCGDISASDRVIPYE -VFMGIVALVNSWYGDQYANERYILATALFSPIHVVGRDFVQTFQGMPSGCYLTAVFNSLAYTVILAQALH -NIKPDLQFDCRIATFGDDNVIVVPRSEVTMQQVAEQLKKIGIEYTDPEKRAVMPSCYAAKDVVFLQRTFV -QRPYWTMPMPVEKIVENSCWIMNTKDVRSWSKRVVVNELMIAACTELAQHDEETWFHFMEKFDQKLKRFG -LVYDRKTYMNQYFEVDETESPVTERFMKMIIGEAGEEFVPPCAHEQQQTVLGVEPTSTTQGLVNYEDTTA -KTTTTIKEVMPTHVDGAFFWGAEYCKSLERVFVLDNFTLPHTDPSFLPFKRYCPASFLLTRDYIWTRISW -AKYIRFEVVVNIRVVATKFHYGALFALLRPMYLSAQAGTITESSDGGDPPTKAWNVVSFGSWDTIFSAST -CLGKVISLTGNTTTELVCEWVYPYQMLETGSVSRWGFDMAALDLYQLTAALPSDLDAPEVFIMANLRNVS -LHGYESGRKALPAHIEARVGLPNQTVAVTTDNNIIAQAGPAAEIAEKQSGTWERVGQSVGSLVDGAVTLV -TPFLSLLDFFGLSRPRDPELPMVIAQNCLPIANAVGIDPSVSVGFDRTAVLNRVEKESKLCLIDRIAARP -MYLGFKTMSNKDDVWKLSLSPLMTEYLTWSGSPARSPEAVMSPAGFIASGFTLWRSDCVFYLSVYASSMI -SSRIQVSLAYGFTNQAGFDSTLPSWVVEGTGDFVRCFHFPYLAAIPFSRHGYALWNVKITLVTDIVSVEA -TESRPITLCAWVGFPGLQVQVQGPQLIANAFYVPLPVVAGYADMPARMKRGADETLPITGEAGELVAGDQ -VEVSVDGKTEVAFHDELKPPMEVAKLEQPATYWLQGDIPTSIYHICKRPGFYFASDKTVSLRCDTGWPFK -HQNATTKKPRYLAAGSQFFHFSHLFLYYRSSFDVITSRRREAVAPTNPPTGTFYVTELDDDFRNYTYQSA -LVDGDARSIHVPFRAATPFTFCNTFHQQTDTSTPLKVEPSNVLAYHSLAQNYPVTVVSSWGFTSCPADDL -EFFVWRGVPAQVWALQTTIPRTVN - ->YP_009333235.1 hypothetical protein [Beihai barnacle virus 4] -MNFDTITTTSNLDFETKQDQIFEFIAEQGPKAIQALVSLRDVLTDHLSSVIQNVAEYLGVKMNKQTRALL -TKYAQMIVENDSPERRQRELLAFGSPTVRSLFGTKVNKVLAVVYLIEALYGFYAVGKSFVGFSSRVVNLV -HGDDEDELDTYWNSLVKWLGKTFPIFRYGEWSRKSVTKACADFNTTTTSFEKLVKLIESLVKWIRSKYQE -WFYDIPRQLQKWISKVDEHQVVYDRSGAFTTERSELSKSLQKRRELYELLREGKRLLAMIETDPSEVVNQ -RRISERLKIVERILSGSLASFVGSEGKLKPWTVLFYGPPKQGKTTMINHFYADVYGIVTPDVPYLPSQDR -YTINVATPYFDTYSYQKVVDLQDFLQLKNKVDRQVQVNNIMTMADESCCPLNAAEVTSKGVLYFASEHLA -VTSNVEPTTWDFHLNGVLEEVEALTRRFDVMVRVTRAETEKTAFDKTAMQFEVTGGRLPNGRYNWYEFVK -AFTRGWIEARQSSQTLETINEDVMMLKQIRSELGLEVDVNEVEGEEEQHDTDECHYTVGAILPTLAAVLS -LCLSGRALWKAVKCVIRSVKGYVLIKMRGSVHDWVVRQCSTYFVKERIFKVILAALGTVVSFYGVYKLMR -KKFCGDVVQGGLPIVSGSYAEVKRKSYDAERNQLRRKRRYAMRVLSALDPSASVEGEATTRQELMESLLA -RNIVRVKAGRAAMNGFFLTEHVLVVPLHAFLDLRTDNLVLNIDGIGQIAVYMGDVETKRIVVCDELHLVA -IDLSKNLITVPRFPSLLRFFQKNEQREAQWGKLVGRRFVDTSRTKMMVTDFPASEIILDPNTMSTSNESQ -TATWYIEGVYVYDSAVTKGDCGAVLILEGANTVWLGGFHVSSFGQVRKAATPLTRDMMDDILTALGRSSA -VPALINHTHGDVEVVLPSEDNGNVVIGQLEETQPIPFHMRSTKLRNSEFVGITPPLTAPAVLRKTEVDGT -KIIPSVKALLKHVGRTTAISRDRLQYAIEMVTAAYDRESNWSGCRRILTLEESVFGIPGKLNSFSFSGGI -GYPWCLDYRRSDLFSLEPPSISPELRMGVDALMEQLRQGPVEVVVVDTLKDERRPLDKVKKADTRIFTIF -PIHVNIVTKMVFGAYVSHVQDLHNVGPVAVGTQIHPDEWQKMVEYLHLPERKAIAGDYSGWDRLVGFELM -AAVTEMANEWYQDGNEDLRRALIQSVMCPIHLNGRLVYQSFRGMSSGSYLTAIFNSLANCLILATAVNEL -SFQRMQYSMKVLGDDHVVTVGDPENGVNQKTLAAWLKEFGLKYTTADKREVDQEFTTMVDLRFLKRAFVK -RGLRWYAPMDTELLYDQMQWYRTSNAVKLETRSTLRKRIFDTIIEELVHHSKREYDSVVGKMKEYLAEQK -IPSPSTPSYDFALQKMLTQPYAAEFVNCLMKNNMVEGDEGPDQMPQGSQEVTVLSDQLLTSEFGLTRFHD -LGKRDQLDTTTTVSAQPLDLPFELPTQYLNMVERPLFVDTFSLSSVTLNMFPIKRYDVVGKLARNGYVAS -KLATAVYVRYSLNIQIRVVATKFHYGLLMAVWKPNYLAGLAAYNTTLRPLSYTLPESTNPLHLATGYSAY -DHVTTASTTTPTYISITGNTTTSIHVPWCLPYQFVPTADMCTPRYNFGVLDIYALTDIGPGDIDPASVVV -FANLCDVRGCGYVDDSSVTISTPAQPILPNPALQVGIPQPVKPDLWEFGIRVHNVVEGDEEVCHVVCGFV -KPSPTPLYCEVCNVGVTSDKQMEAHKAGKRHGKRVKFEASRFSCPICKVNCVDSTALQQHLDGFRHFQMI -NHLKNKNMGCVVEGEVEQNENPESVVLRSVASVGKVIGNVAKQATSVLAPFTSALAAIGLSRPPSDNQVK -PLAPIVPNIMASAGTDPSMGFTFSANTLLPDSQSKLEHTIASISERTAFMGTLTTTTSARLNVDLSIDPR -WSLNVSKAASDYPSPAVGFPAGAFVDGRFKYFRCRARVGIRFFSSTFVCSRFSVSLSYSSNSNTYSQAMS -YCPTKIVDVQGDTEVDLEIPFLRETPWIDTEDSRPLCIVNIKQLTDIVSPEVTESRPIYLAVFAGFPDMQ -VSGPAIGVSGDVAYAKCTFVGMGTYDVTNTAEGEELPLVKESDRTREWAMNDIPLTLGHIAKRHLLTEAR -PTSAEVFFSPWCPGYFKSERKNGALMIGYVVEMPFGLYPQIAEMFRWYRTSICLFNVASPLNAIGGLWTS -GFRFGSAGSPAAWDYVAHSCPGRVKLCLSMVRLPYCANTLYLPTPRTYWSGVTEAALNVSSSYTNTQLGR -VIRPQVYHTKTWTGTYVAAAEDFDVQWFIGVPMFYWVSVNKLYG - ->APG77935.1 hypothetical protein, partial [Beihai picorna-like virus 98] -MQNLSKVSKVENEVSVCASLPRLPEITGVSGSYPHDSQRLNLQPTMIISNLSADDCKIYFEIETTFSDDE -ELWDISEFIIDEDDDINPPTSPTVRSKSLTTVVEEDADITSSTSATVRSKSLTTVAEEEEELSDEKSDEN -ELEIDWDEEDREYSASFACLPAPIIIPCADLINYTCCCEEHTDDTDGDADQQPVIRTYASVVGQVYGQGK -TVTRITGVNNNTKKSLWLPFGEVRDCNVIVDAPEVIVCSPSKVNTYVPNDDIPVQVENACLNMSVGWKEN -NCWVYKNGLVRKAEGKRCRCGGIDMHKIAVLDAPCVCDKGLYHSANFKPQDVADYKLVASNGFRRRAFDA -WKDIKGKPMKCGFVGRYVVDHVAKDGWILETVAINGPAGFTFASAAFEELMCLLPDFAARVGGIHPNICL -DLAIMMATQGNARVLLSKMLRKQKHNVSESFLQAPLLLAFAPSPLKVVGECFGEWRKRNLPSWWNEVEAQ -GIVEDGLNIAQTVVEVIEEATSNACEAVLDCPLWQKIYEIFGGVGKIPRLDAEFLVMSTPNWFVGNNLGS -QLQQNVMYAVLQRKIQPATRNVHRLLSIFQLIWFLLDRIANRKDQSILEVEAQGITHAAYSAIQLLLPGI -DLDEDTQKKLGNELRNQAIARQTIKDYWNFVKIAMEWLLNFFHALAVKTPDEVKTWMDEVDKMDEIFMSG -DSATTLKVELTKFQQQRRKLYWLMKTGKDHKKKLEAFGQNSFLLKLVNERCKKIENIVSRNTGAYVGSEG -KLKPHVIYFWGDSKAGKTSLIDHFYSDVFDVLGEDPYNPGVDRYTVNPLDEFFDSYKYNRVVDYQDFFQL -TDPEARNQEIYSLIHWGDEGPAPLKIAECSGKGAVYFCSEHLALTSNFDLSHREKDIVSLVESYEAVRRR -IDLYVHVHRNTNVVTNTYDRSQMRFEVDGEMYDWYEFVELAAKNILANRAKSMGTTSLEKTEEIKKRLEL -IKNTVNAQGFKDRVKEKIEELRQLYTVPWTIKTGLKLGSAFGLGYISGRYRTACAIAEWQDRFINTASIV -AKFLVNWSEIILLVGGATVLIYKLWPQKPSWVSIGEGEAEGMVARSGAEPVIQVRKKNPRSVRSEGQFTH -HHKCTWCGKIYVHDHDYNYANHAQFKYQCPNIHCESYYGKGKKGLNTTNSVLVGEDQNDGEGAVVRYTRC -DSANCVKLHEHRFIAGKWEALDSRCISVENEGMVARSGAEPLVQVRRAPQKAKKLIAEGALAPNMAQVRQ -LTMRNLGMVRGGRAATHCFGLFERVVAIPQHVFMDVYGDRLLFTVYSNVSFEVNMKEVKPSDVKLMPELH -MVFLNLRDYLNAYPSFSDITRRVVECADAVTYSNGVMFTTRADEKTKAECWAIPIEDLKLTVGRTRIMIR -NEEFFMDTGVRGFGKSENGDCGSPWIMDTPIGALIVGLHVGGNSSYVMTGSLITKELLMSLKKCFGSKAD -QPVNVLPQGSMFDPPDYVKGVEVLGITTDVNMHMPRKTKLVESPFYGIRDPLTAPARLSRFVVPGDEKCE -KISPLLLNFKKNYVNKKHIPIRTMKKATELLKDWYFPHGKSRTERRVLTLDEAVFGDPNDWRNKSVNPNT -SVGWPWCERYSSRKALIDLQERTIHPELRSSCDTLLDDIHGTETFLFLDMLKDERLPLEKVETGKTRVFT -ILPIHVNLVLKQLFGHFVMFLQEKKDVAPVKLGISQTPEDWGLLFKFLQNGGKFIAGDYSAFDKNLHYEL -MKAVLDMVSDFYDDEFDAIRESLLDRVFCGYRLIDGVVYQTFGGMPSGCYLTAAFNSLCNVMYWFCFLVE -SGEDLIDHLEWFRPAVFGDDHLIAVCDHPEINQRTFAVWLGNLGLAYTTATKGAVNDEYTEEVDISFLKR -GFRWEGGYCWAPMPENVIMDMIQWYRRTAFTAGMSRKDLVHSLVSELELEAVHYGKKDYDRLLDELQTYV -PYDLTVVRKSYEKTRAIFLAPQFDTQLLSMNANIMDN ->AII82258.1 polyprotein [Fesavirus 1] -MLANILKDADPGLQPLLIEDHFPIPGPSRPTQLRDILPQAEIQKIMKEDQMEVQSGNMFEDYDISGFSDY -SWILKPLPAPSVAIRFAAGELPPYLVLDQKAALYISWYKKQQIAIKALKFLCGISSALAGLAVFKSILQW -AITPRNAECAQAVITTSGGTYETKPTRRTLQPRRPDKFRRMELLEASDSYGWQSSNGPLVQSKIVKNISI -VRNGSRQVNGMFVFGHVIMLPLHIFDAVDTDTLAIVLPNSITFQLHMQDLTQDEVMVCENRHLVFINLAR -QLTYYHAFSDLRCYFLDFKQDTDYIARLGYMCTMRPDSEYTAMLYQIPLSDIQPDCNEASIKDQKFQHVY -TIIKSLRAHVRSAKGDCGSLWVLDRGSNGGAKIAGVHIAGEPTLELGRAAILTRKMIDHVAQYFRHGEPK -LPKINHSEQTLLPNIEQLQSYDAIDILGTADIKFHQSSRTDLRPSLWDGIRPHTTAPAKLKGFLHPNGEY -LIPQIVSFKRYAGERRGIPDAILRRATSYLSDWYPSAIANERRVLSIEEAIFGEADGLNPSIKTDTSLGY -PWNLSYKKRTQLFTLQPQWISDELRQACQKVMDTGELDMIIIDSLKDERVSMEKAMKGDTRIFMILPFHV -NIVLKQLYGDFIHYLQKRWPISPVKIGISPLPDDWTTLTHWLIDNEGELIAGDYGGWDRKVHYEVMMAIV -DWINEWYADDKQHLRKKICQQIFQAYHFLYGTIYRSDGGMPSGCYLTTPFNSLANVLYWYVFMLTTLPEE -KMVGHTYWFRPAVYGDDHVISVRDHPTINAQTFGTWITSLGLRYTDALKNIPEKPYQKLGEISFLKRAFV -VRQNRVWAPLEEKQLYEMVQWYRDSPATRILSRRELARQILETVLSEAYFHGREFFNSFHKELIDYVNNR -AALDLTDTPFSYDFFEKRFMGDEVNVTNWTSFGTWSLVPRLCNERLEANDTELPNESPQQALSHVETSVS -PDLDVSSGLQATPSDPVSNARVVADTLISDPQAVVETSALSQYRDAQRVDTLNLSSSIVPVVDANFVFPR -GYFEMAERSIPITTLIIPMTTPTPYPLYRLDPLNVILGSNIIKNKLNYARYLRFEMEIQIKILATNFHYG -QLMAVFRPAYFPFLKLQRVYDEGDHFTHCKVLKDNWNATGPYDTVFTASQLPHTVIPITAGNSVTIHCPW -ALNFQYAPVSELLSPRFHLGILDIYSITEVAPTDADSPTLQIFARLANIQGFGYQSAGDVCLPPPAALIT -TNKYMMEDGTEDSFFRIPIHMPSAGNIMNRIQARESLARLSATILSSTVVARWNGSSVHTLTQQYHMEMK -KQFGSDESKWTPMRKWLDKYENKDLTDKKERLEAGELSEILNRESSTPIHGLFSTIDSFIGGAKQLLGLS -KPLSDSPMNRVINVAPPIANAVGEDFTLSTSYHSQCEVHKPRKLHSDKTQLSTLAATPTFVGYVKFTTTD -RIASFSIAPHTIITNAWKDAYVMMPAGYIASRFAYWRGALKYWLHFSSSSFINARFQITVAFRNVRTQPG -IVPTQYVEIKGDAVAKGIIPFVWQTQWADFMSEDYEWTINIRLQDGTPVAWKKDEATPIMCSVWFAFQDF -QVAQPALNLCQGIPWGFAKYFEGTHPVDFSNQTRYIPRPPLHDLISKKPLPKALPIPPRPFKEELQSLDL -PGTIPVKESFDVGMNDIPSSVYHIAKLSFTGMVPGKSRLWSSSLNGRGGIGRALGKGFLEIRS ->APG78569.1 hypothetical protein, partial [Wenzhou picorna-like virus 38] -MKVNEQDRSTPELLQSGISAGSDLILKGFGKVVEAEVKIVAEVVRMLLCGLQSDCICEKCFTLVSEALIY -PSNIALVWNRVLSHHIIFGKDGSSKKIGPTKIESNQFLLVVVLGEFLRNQFDPVGVRKSLLRLLPKSFSF -TICQFFPRDIPYESHAQSNYIWEGLCSLIKTWNPMTTISREDFHEAATFAKDMSSIQSFTQRTLLFVIDL -AMRLTKVLVRSTLNVTPEVATWIQRVEDLGLEPVSFEKMGEPDGVFLGLPKRMATWPKLREEVYTLYKEG -RSLEHKYSLINSRAPELTLLLKYNAQLKKVIAQNTSSYLGSEGKTKPVFIVLYGEPGQGKTVCVDHIMRD -LFASRGESKYNPSLDKYSYNPVSEYFEGYNYQKVFEVSDFMQIREKQSRINELMNILHCADESTYCLNVA -FEQKGTMYFHSQYIMLTTNLDPHIRVNAIRECIEFPEAFYRRINIMAKVENTVTSGKFSKDTMKFTVSED -ARNPAALNTTMSWDEFVAHISNVTDEINEESMNIRDLDDAFSEERVENIRKLREKKPEFKQAPTPIDEYE -LKVTCINNGDGKCTGCAKFLAKHKGVFTGEWKLKPDHSGTMFSNGHQCGDRCRYALARHTSIAQSTEKVF -LLDEEKTKKSITEMLDGVSITVYENAVENKVFDEIAREFMIPVFTDEGLSYFPKKVKYKGYLLISAKRVK -DSRGFVTVSVECEYKWYNQDYVTAFVENAIEKIISFKTMVLQIIDSIVVSPLNTLWEYFSPNLLKMKIRS -LVFKSTIDNVIEFFKYVVLPAAIGLLSILGIIKLWSWVFESSPDSYNEYLEDRFIASDNQRVGHRPRLPP -RRAVTTRRPRVAATLFDTDAQSVLHTFGGQKIIASQMVATAMEIAIHNIKPNIGLISTSAGTTNAVVLRE -KFVLVPEHVVPESGQDHITMSFPGGRSFSFDFSEVNAVYSTANHFIVIDLGSLPKFKRMPAFSSIIRYMS -TDVRTEVPDGFLFVGAQSGTTLCSIMKLATHIQGPAPTVVRTADRRFEVPECYSYRCPTKSGDCGAPLIT -VIDSQPIILGFHISMFLPEQLAYAGAVSKGWWEDVVMREMNAQAQIKPVVTDFASTVTYLDEPVPRTFLP -RVSKLVKSDLWTEECMFKPSPLKGDFNGKTPAQRSFEKSLRPIVHPDEEEFLEAVDVLKQVYARPDYYRN -EILTVEEAIFGVGDIPSFATKGGIGYPWNLRYKREDLFSYEISSISTELHDKCLQNMHQLRKGQKLEYIV -VDMLKDEKLPVAKADEGKCRLFFVLPIDINITCKQIFGRFAQFVINLHNVGPVKVGHGTEAAEVRQLAEY -LCLERDDLKYLTPDTAASDRSIPYAIFMQVVEVANTWYGDDNNQIRGVLAASIFCCVHVLDGVKYETRAG -MPSGFYLTSVFNSLAYFIAYHSCMRQLRVVIVAIAAFGDDIVIAIDKREPVTEQQVVAKIKDYGLDITGV -KKDEPLQYCAPSEVVFLQRTFVKRGGIWFSPMDLQRLQHQMMWYRRTAETRTWSNGRIHEEIFRNAFHEF -YQHGRQVFEQMVIKYHPLIDFYELNIGDYDYYHDCYMGGSKQHIVRDDEFSPTYGCHDDYFSEAQIKTHR -RTVIKCSNQVNRLKKENQMLKFENLKLRILSTAENETTAQGDTAVRQDQREQFLIRHWDKPACNEFVPKP -PTKTEFMNAVEKAGRKENESTLDYVRRCKQMRTEAAGKKEEEKPGVVCNTKTQSGIISRCWRWLKDKCRR -FYKFLKDKFTAFLRWARSTFTVEDASIAKKFDDLREKVATLHTEEDLTKYQAILTEVEELQKQALERAAE -LDNYFDEDVDGKKQTWYRWMATKLRNFFRRIYGRWAFLNLQKDAHNIKEKKVTEKTAFEILLTARSIQSQ -CYVLEYMRKWGVGTYVCKTVKILHCAVLAGLGAGRIVESLPTQFLVHPGMWVTMPLALVVLCSADQVIAR -VFNLKQSCVESAISCILKCFGTNWYNRFGDFASCLPYKYTLPFLQLGLSCNSWLALRPIITILGPLIEEI -GFERPSHKLTFGIFEFLWQVNHGASVLWRLPALLHHLVLAYGASKGMSLCQRVGIHSAFNIIASQIEVWL -RPSETKQLIKDMLFECFSTYGTKTQASYIRQATALLFTDIKDQVSLINLGIGLIVATVVSSGVYVLNKIR -RKEELRTPATGSLVPEIASFVAKCCAIVPMTFLTTLPFAVIKTCLGFLLDWGLPFSFYATFDPTAVIAGP -ICEEYLFSTPISKICFAIFEYVFGVARGHSPVVRLVPLVAHIFLGITCFPLPVRILLHMLVNLVTVIRCA -MVATPAELDNYKQTMLTGLRIAGTKTQYERINRVVIDGLSAGQSSVVIEEIVTPKDFNHHLESVKKIMKE -DKADLPTEVTTEAVVHAEDIFLSDEAFNKIKAGGKEDKSIVHETEAQMDSTLAAQTNIGEVEPTSADVNL -TRFVEITPAETGCVPSSEYPVMDSGFEWESAYCRELERVVKIADFPLDDTPRATPYRFNGAVALLNEPYI -ANRFYQAVGARFDIEYQIRVVVTKFHYGQIMALVRPAMHQNQANLVGVHTKTSVNFSQDDWCGYDSVYTA -SQCDNVVIPLTHGPPVTLCSKWHYPFNWICCMLPSYDAKPAGENVPWYRYTNLLKFGVLDIYNLTGAHPS -GMTDPRVCVWARFKNLRLFGYNSHIPVITPIKITYPTHLSVGQSGEAEELAQDSWSSTIMGVADVVHGFV -RTFTNVLAFFGLSNPRREGGPTPMVSMQYPTATSYGDSPAISLSYRLDDKQPSLLDESGKNELLLSTLSA -KTTYFGCEFLTNTDREFAFLISMDAAHPYGNGHVISPG ->AQP31140.1 non-structural polyprotein, partial [Bat felisavirus] -TKKFSQSKKGSSLYSSQSKQSSSSLYSSRFTVFDYYEEGEECFDCEEYEEDPFFDCENFFVPPPEGVANF -ISDPLLPYCDEACTLGDQSPESKIIKTHSIGMRPVSDVCANDSYSSDPHTSEDVSLLLYPELGYIYEEDF -KKPSDVLMNMYIEPRNSPYKHPFNYLSLPEFYKYSPIFDDPIIDLFYVSEISLEERIPIQVNFSNLDIVK -SFINTIASKNSIDIPLVVFDWLRPFHSINGFCLTVFDEVSQHLCKKCGGIVPFSHFIGVYNPCVCHNFSP -KYVKFNKDMSEEQLSMAIFLNCFPGFQHSPHHVQIEILKEHGLGWSCGVPSALNIMRVGKPLLLYNIFRV -AGLSCFRCYDKDFLYLLAAIFTNINYSKPFITIPQVFYHEIIVNLNKYGFVKHFYVMLHKLCKYKFNNDL -LICEQSKLDYHAIHGDFTKIGKISKNRWVAQSGSIWTPDGLPSVNLNLSDPMHYINHLTSLDIKFSRLVT -DFVTCDEIWNPLLESLKTLFPQAHVLSHGFRFSVSSLIVYNIFDNFSINYHYTMLFFKQSMSYFEVQLFE -FLDSIFVFVRLLNKLMLKNLNKPSNREIAEAFDQDCHDHDGVLLKTLDSILKILIPCNSNHLLNLITSKL -RLLSLLKTFSKDVYSLFTWVLNQIVEFYYSRVLGICDATRNFIEKVDEVNEVYMDEMGGTHTLRNELTKS -KTSRLQVLSLLSQGHQLLRDLERSNVEKRKLDALKVRVRKLEKIISGNQASFVGCEGKVKPYTIYFWGEP -GCGKTSMIPHLCNDVCEILGEPEFDKSRDIYVMSSNTAYHDQYSYQRFAICNDVFQMRDPEYRNNEIFDL -IQMTDESPYPLNIAECSGKGTIYFSSPFVFLTSNFALDKKSVEIASRMEEPEALKRRMNLFVQVHRPQLE -KKKGFQRDAMKFTISTNSQTIGTFDWWELLSFLKKDISINFSMSDSLNNLSLSTSDYEKLKKICNEDIDM -EDEPQREEAQLTFSLIDKLNINPTASFPSPSLSMRIAAGRYFPYICFSREAELYCALYEKFEKSWYWISL -ISKFVATGGILWSMVRLTKTLFAKDSCEGFISTSGGAYETPQRVLPKRQEKLKRMEIVESDETDNFGWQA -KNGAIVMSRIQQNMCIVRQGTRRVNGVFICGHIVCIPLHFFSDPDNDQFILTFRGCTSILIDMSTIDSSK -VVILPNRHLVFLNLDFLLKMYPIFVDLRSYFIQFEGDDINSLTCEKGYMTVLRPDGIANSVIQLMIDNIA -PNFGVVNIDDPMNKSTFTVNRTIRGRVSSCRGDCGALWVLDHGDNGGAKIAGMHIASMSGSYMGRATIIS -YRLIKEIVNDHFKYGLPKTKVSKSDTQTLLPTWKEAEEFQAIEILGETDLDVYQVTKSDLTLSVWDGVRP -HVTAPAKLKSFLSPSGRIFPHLVNFEKFRGLRRHIPLNDINQALKHVSDWYCIPERKFRRVLTIEQAIFG -EADGMNPSIKSEASIGYPWKLKYSSRRQLLTLDPQWIHPELREACERLIKGEDDGILILDNLKDERLPLK -KSNEGNTRIFSILPIHYNIVLKQLFGDFVHYIQSSFSFSPVKIGISPLPSDWTDLYNYLTENGGDIIAGD -MSGWDRKAHYEVFMSLCQWVNYWYDDDYQQVRLQICQRVFGAEHLLYGNIYRTMAGMPSGCYLTTPFNSL -ALLVYWYMYAINRNDGADLDQNHIFWFKPAIYGDDHIISVYGHPELNQQDFAEWIQPLGLKYTDAWKEKP -KKPYEDVKDMVFLKRTFQHHHNMVWGCLDKEQLYEMVQWYRKTSSMKKLSNKERSEMILDTVLSEAFFHG -EEFYNEFRNELLDYAQTHAALDLSGSAMSYKRKWDQFIESPLLASAWDYFTSWAACGD diff --git a/seq/clusters_seq/cluster_6 b/seq/clusters_seq/cluster_6 deleted file mode 100644 index 5f2c3f7..0000000 --- a/seq/clusters_seq/cluster_6 +++ /dev/null @@ -1,4681 +0,0 @@ ->YP_009709862.1 E1 protein [Hepatitis C virus (isolate H77)] -YQVRNSSGLYHVTNDCPNSSIVYEAADAILHTPGCVPCVREGNASRCWVAVTPTVATRDGKLPTTQLRRH -IDLLVGSATLCSALYVGDLCGSVFLVGQLFTFSPRRHWTTQDCNCSIYPGHITGHRMAWDMMMNWSPTAA -LVVAQLLRIPQAIMDMIAGAHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDA - ->YP_009709861.1 core protein [Hepatitis C virus (isolate H77)] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASA - ->YP_009506357.1 polyprotein [Hepatitis C virus (isolate H77)] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNSSGLYHVTNDCPNS -SIVYEAADAILHTPGCVPCVREGNASRCWVAVTPTVATRDGKLPTTQLRRHIDLLVGSATLCSALYVGDL -CGSVFLVGQLFTFSPRRHWTTQDCNCSIYPGHITGHRMAWDMMMNWSPTAALVVAQLLRIPQAIMDMIAG -AHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDAETHVTGGNAGRTTAGLVGLLTPGAKQNIQLINTNGSW -HINSTALNCNESLNTGWLAGLFYQHKFNSSGCPERLASCRRLTDFAQGWGPISYANGSGLDERPYCWHYP -PRPCGIVPAKSVCGPVYCFTPSPVVVGTTDRSGAPTYSWGANDTDVFVLNNTRPPLGNWFGCTWMNSTGF -TKVCGAPPCVIGGVGNNTLLCPTDCFRKHPEATYSRCGSGPWITPRCMVDYPYRLWHYPCTINYTIFKVR -MYVGGVEHRLEAACNWTRGERCDLEDRDRSELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSSIASWAIKWEYVVLLFLLLADARVCSCLWMMLLISQAEAALENLVILNAASLAGTHGLVSFLV -FFCFAWYLKGRWVPGAVYALYGMWPLLLLLLALPQRAYALDTEVAASCGGVVLVGLMALTLSPYYKRYIS -WCMWWLQYFLTRVEAQLHVWVPPLNVRGGRDAVILLMCVVHPTLVFDITKLLLAIFGPLWILQASLLKVP -YFVRVQGLLRICALARKIAGGHYVQMAIIKLGALTGTYVYNHLTPLRDWAHNGLRDLAVAVEPVVFSRME -TKLITWGADTAACGDIINGLPVSARRGQEILLGPADGMVSKGWRLLAPITAYAQQTRGLLGCIITSLTGR -DKNQVEGEVQIVSTATQTFLATCINGVCWTVYHGAGTRTIASPKGPVIQMYTNVDQDLVGWPAPQGSRSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPAGHAVGLFRAAVCTRGVA -KAVDFIPVENLGTTMRSPVFTDNSSPPAVPQSFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGVDPNIRTGVRTITTGSPITYSTYGKFLADGGCSGGAYDIIICDECHSTDATSILGIG -TVLDQAETAGARLVVLATATPPGSVTVSHPNIEEVALSTTGEIPFYGKAIPLEVIKGGRHLIFCHSKKKC -DELAAKLVALGINAVAYYRGLDVSVIPTSGDVVVVSTDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTLPQDAVSRTQRRGRTGRGKPGIYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTPAETTVRLR -AYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQSGENFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMTCMSADLEVVTSTWVLVGGVLAALAAYCLSTGCV -VIVGRIVLSGKPAIIPDREVLYQEFDEMEECSQHLPYIEQGMMLAEQFKQKALGLLQTASRHAEVITPAV -QTNWQKLEVFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTGQTLLFNILGGWVAAQL -AAPGAATAFVGAGLAGAAIGSVGLGKVLVDILAGYGAGVAGALVAFKIMSGEVPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLRRL -HQWISSECTTPCSGSWLRDIWDWICEVLSDFKTWLKAKLMPQLPGIPFVSCQRGYRGVWRGDGIMHTRCH -CGAEITGHVKNGTMRIVGPRTCRNMWSGTFPINAYTTGPCTPLPAPNYKFALWRVSAEEYVEIRRVGDFH -YVSGMTTDNLKCPCQIPSPEFFTELDGVRLHRFAPPCKPLLREEVSFRVGLHEYPVGSQLPCEPEPDVAV -LTSMLTDPSHITAEAAGRRLARGSPPSMASSSASQLSAPSLKATCTANHDSPDAELIEANLLWRQEMGGN -ITRVESENKVVILDSFDPLVAEEDEREVSVPAEILRKSRRFARALPVWARPDYNPPLVETWKKPDYEPPV -VHGCPLPPPRSPPVPPPRKKRTVVLTESTLSTALAELATKSFGSSSTSGITGDNTTTSSEPAPSGCPPDS -DVESYSSMPPLEGEPGDPDLSDGSWSTVSSGADTEDVVCCSMSYSWTGALVTPCAAEEQKLPINALSNSL -LRHHNLVYSTTSRSACQRQKKVTFDRLQVLDSHYQDVLKEVKAAASKVKANLLSVEEACSLTPPHSAKSK -FGYGAKDVRCHARKAVAHINSVWKDLLEDSVTPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVC -EKMALYDVVSKLPLAVMGSSYGFQYSPGQRVEFLVQAWKSKKTPMGFSYDTRCFDSTVTESDIRTEEAIY -QCCDLDPQARVAIKSLTERLYVGGPLTNSRGENCGYRRCRASGVLTTSCGNTLTCYIKARAACRAAGLQD -CTMLVCGDDLVVICESAGVQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDGAGKR -VYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARMILMTHFFSVLIARDQLEQALNCEIYGA -CYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRARLLSRGGRAAI -CGKYLFNWAVRTKLKLTPIAAAGRLDLSGWFTAGYSGGDIYHSVSHARPRWFWFCLLLLAAGVGIYLLPN -R - ->YP_009553586.1 polyprotein [Hepacivirus P] -MMPKMSSKQQKPKMRGRVVGGVYVVNAKKSTEAGSRRPRRRRRDQGGWRKTPLGQGDVYVQRLVQTIAPT -HAYGPNDPRRRSRFLGHIVDGSLGWAADLLHQVPLVGPLLGHPARLICRVIRAGEDSVNSLTGIAGVHLF -ILCLILSLSPGLAFTPLTNCCNDSQVVYCTEMTCVHDSGCVICQEDFCWEPQGPLVSRHPNYTGVDPFLT -HHIDFVAGVIFVCDLINMHEFCGGVTLVSSLVLDALPKPITLNQTGDCYLEIYSGVDPGFWGFLGWLASE -AQALAAVAEFILKVPAAIAHAVTENHFLAMASIAGLAMNGNVPKALALVVLYVESAVAAPVVSTGSADSF -ALACSPLVVPEKCSLFHNRTGVKEAKCYNPLTGVVYDDTGLVSESSASLLSEFAEYNRKVHRGWGCTYTY -HNGSVRCCRLRDTPDYCKGCSSDCSWQDKRLSYEVCGTTHVLTTACWPYNQSTGQCSAKTVASLLPVRGW -KQSKTRFGRRYMTFWYDESALKQLPPAHWARLPGWPDTYKGVWMLVPVGLYSEVRDISTGLISKDKTHKD -YQVLYSATGALRLAGITKHAVIFAVLAAFGARWCLFLYALWLWVPEALAVPTEILAATAASPWDDVVVRA -CVFLVVCKWRTLSPLLSGSAFAFLVCAYQVAVVDAYTLQDCCLASLSLVLTLIWLGYASSYLPFLALTHS -YIRTRVECWMNEWSRRESLFVLCLLFPSAVRVSLAVFWSIYLTCLAISALLLTLCCPNTKFGMYKILTTT -SRIGKQILAMCRPILVWACAEKGIFWYEHLDGKLDLNWEYRDPYFPVKTQVIEAEDVGRKLACGDALKGH -PVFCRAGTTVRAGIAQLAKGWKRTAPFSLKTVMTRSELTSLAVCVTGSDRTTKKGSVVIMGTPLKSWMGF -AYQGALYTCHHGSRGRHLAMENSSPPVMVCKDRDVVVYPLPPGMVCLKPCNCQADTAYLCTRLGNIVPVL -EIDNNWVNTAPLTLREAKGSSGAPVICACGGVKGMFLACRSTRGAVSSIRVAKLDTVAEMNDDRVPVEDE -ATPPLVPSNGKEIRNLVAPTGSGKTTKIPMHYYNAGYKVLVLNPSVATTLSMGPYMRREYKVNPNIRCGD -VNLQKGSRLTYMTYGMFLATGAPVEVDVIICDECHATDATTVLGIGRCLSAFEDSPNGKLLILATATPPG -CTMTKHQNITTITLDKEGEIPFHGAKLKLDQLKKGRHLIFQTSKKHCEELAATLVSQGIKAVYYYRGMDI -SVIPTEGDVVVVATDALMTGYTGNFDSVVDCCLQVEQQLSVTMSPTFEVQLKTSPANVVVRMQRRGRTGR -GSPGVYYQVTDQCAISGIVPDATVYECFDSGLAWYGLTTAEVATALDFYKQQPITPSFNCHIMEVQQVFD -TLGYVPHADVARMKNRASEFVYLHAAQYSHCRDAKAPGPSDAEVWKGLSGSNKCPLLYVLGPFDESRVEK -SPLADRIAACYEEYFASTTITLAGVGLAVAATYVAIDSFGNIVIKRCFEVTTDSTASLASQPIDDPLTSG -LEECSLDALAEAANQVSNWLATKAISIGVRMGGKFELQPILKPFIPHILASIQYLAGLTIMRDAPGLGSV -LGFVGGALSPLPVKVNLFLTCLGGAFATRLTGQKAAAAFAVAGGLGAMVGAYSLSSFFASLFTTYTSFTS -TALVVLKLLDGQMPDFQEWTTLLFNISNPGGCVAGAAFAATAAFLTKVENNVWMNRLLAMLARGTTCDEY -FVSVTTVRQKLISVLEKLNIWELFTQFANWLNNPDEDFCSPRAVWEDLLAAVGRLLRLIVEFGRGVCRRV -VNIPSVPFLKCTRGYKGLWMGSGVIRVTCDCGDQQVWNIEEGKVSAICVSRMCRSYFTHAIPINPTYEGA -PRPSPASWTKMAVNTGFSTYVVYERKGEKVYITGVSSPDTLVDAVTPEPLAAVMIDDIQIKPLGGDGWKQ -CGPFRVRVRTSNGVKLQNLPLDVSNFEPPADELECPERIPVSLYRDLERSMRLLAGEDGVCHSPPPKKVT -RVMTPEPMLKRPSTPDSFRPIEIPPFKPKPKQSGKDEGGAPLVKPVPIKLEPDQIQIHKVEEKSPTPEPT -PSTSAKCKADLEQFMLGVSLREQADAKDAAETKPRKAGASKKVKLATPKAILTTKGKLKLGKVTKKDDEV -SLLSSSWESFESDCSYSYVWKVKNLVYSASKRVMSAVSTYTSGLMKYKHLAYNTAPNSINERVKKVTIKR -ESLHLPQLDEVLAEALQRASTVVGRPWSLDEALAATQNKTAKSAVTGATARTLKDGNTATVVEVHDQLLS -EIKSPWNQVNIMPKDEIFVKTPQKMTKKPARIIAYPHLEMRVAEKMVLGDIGPKVVKAVCGDAYGFQYTP -QERVGKLLSMWRSMSEPMGFTCDTVCFDSTITPEDVARECSLYCAAQSDPATRKRIQVLHDQLYAGGPMI -MQGVEVGTRNCRASGVFTTSSSNTMTCYLKXNAAARVAGLKNPKWLICGDDCVCISESRGPKIDQEALGI -FASAMKQMGAPQGEVPTALYSLELLDSCSSNVSAAQTPRGIYHYLTRDPRIPLARSAVEGRRFNPLGTWL -GYIISNYPALWVSRILCVQFLINLMAQDDVEKIEFEWYGNHVSVPIEKIPYIIEHLHGRECWHIVSYTTR -EVNRVGQALSDITYKPLRYWKRTARSVLSTCRRRRGKLRFLANTLLSWVHHDSVKLDPAKVKAAKVYNPF -DPYTPPQEEWVGRKDWLLILIPLAIVAIIIGSKF - ->YP_009325330.1 polyprotein [Bat hepacivirus] -MNTNSRLPSRQTRPSNLRGTARLSRGQGARFVPPVPRGKPRPPRQTRGVKGVPKSSEKGSRRPRRPPPRQ -DQAWTTRVANAWGRPGTRWPIIDPAIDWVSWLATPGALHRWPNDPRSRSRNLGRLVDGVFCGIADIAQAL -PLVGRPAGYLGRGAARLVRGVEDLFNFASGWTGFTIFILALLSLSGVDASFASHSCQVGNDVIVTNACNS -DEIYFCSEDICWHAGGCVPCEGGKCWERIGVTLSIRNESVRLTSMLPHIDGLLMLCAACDALGIGEVCGV -GVLVFETTYHLHSVSRNFSCNCDCHLLETPKSASAISFSVVSSYFKDLTWVTSLFAEVPGAVLQLVGGGH -LGVLFALLYYGLGPAPLRAVLVLLLFLTASQAAEHTRVAGADDFGSCGIRPPGPLWSSLWLNYFWKTNTT -TAPTLGTTPQHPPSGHLVVQPWPNTTWGDGRGTRTHSPYRIFPRCKPFIPDGNVCGPVTCFTPWPFDLER -DKNKSGYHLPQGSRSAPDHFYGCVWLNRTGFLLGCGPPPCLIGRYACARDCFEVNPRATFTLCGQGPWIS -PTALIKYPMAHVHWPQVAEYGEYTIRFSSSLHSGNLPLLAKRTNNSEPVTKGRWYRVPGNPNLYDTVRMQ -VPPNHFFPIPAMASAYISKDPFYTDVQIFSSSPQTSLIPLVSLKMAVLMLLLLMNARVVLVLWVLFWAYA -AEGAMENAITAVAVASWSMEWWVFGVCVYFLFYKLEYFRLKGLAILCSGRFALGAAVLLLPDWVGGGPVE -VACAGVPVVAWALLLLSITPAGWRLQLRIKWTVQYVFTRFELWAWSWNRALRPDHQAGAFLLFCSFFYPS -LVLEVAFYLFMSITVFCNIFIFFFNLCSNKQAAALMVLKNITVIGGKTAAYVQAAVIWLLGLCGIYIYDH -LTPLKWWAAPGLRKLLLSVEPCTASPMEERIIRCSAESVACGDEKHGLPVSARLGELIHLGPTNVLPTGW -RHCSPITAQLKPIRGWWTGLAVSLTGRDKLPHKCQVCVLATPARTFCGTAVGASFYTVYHGAGNKGIAGP -HGAVYPISADPAEDITVYPSLSGMSGLEVCRCSTTDYYLITRTSDVRPVYHDKGKDRYLLTCPCPVRNLK -GSSGCPVVCAKGHCLGIFRAASTVRGVARGIKLVQVADRQATVQNIAGSDLTEPPVVPNTYSVKFLHAPT -GSGKSTKMPAAYVGQGYRTLVCNPSVATTRSMGPYMAKAYNINPSVRTGDYVIETGEKLTYSTYGKLLAD -GVNGLAGFDVVVCDECHSTDSTSVLGIGHILDTAESAGVKLVILATATPPGAPTVPHPNVQEVQLSMKGD -IKFYGKMIESSNLHHGRHLIFCHSKKKCDELAAKLNQMGITAVSYYRGKNLSVIPVDGDVVVVATDALMT -GYTGNFDSVYDCNVATEMVFEMDYSPTFSLNLSTRPSDSVQRTQRRGRTGRGKQGVYYFCDKGESPSGIC -DAAVMLECYDSGLAWFDLSPNQVTVLLAAYANQPGLPVLSGSTELLQSIVEALVEVDAHVLSQYKQLGES -YPYLCAAQVTVCHKARAAPPSCEDRWKPCKKGKTVTPLLYKIGKTHDQWTDSHPITKAICACLDAEVTTP -TSAWILTGAAIAAVCVLTETTASIAIVGEICLNDGKIFLSPDKDHLYGWFEEMEECADYADLVSSCRDYA -VYAAEQITNAYNKLTATAGAATLSDPTFASKLECFISENWWSLLSGLQYVAGVATLPYNPPVASLMAFVA -GLCSPLPTNTSIFLSVLGGWAASRLAPPQAAVGFVGATIGGVLFQSVGIGTALADLLCGYSAGLAGATII -FKLLQGQTPNMEECLAALTCFFSPGAMVVGCIAGYLLHAYSGGSNVEWMNRLIAFCSKANHVSPQHYCPS -DSTRDKILQTLENLSLISLIKNLLRFLSSEGDRNCSCSDWFWSLIDWLSGIIGEWIVRIKQFFKPAFPGL -PWVSCDRCYKGPWRGEGELKVTCACGKELIYYVRDTVAKLCTPAKTCRAGMGGVPINASLRGAPEPDPSE -TWNTALVRVGFDDFIEIQQRGLDYFLTGASTTTVRCALEVPEPQFCDYIDGVQIRRFAPPPKPMFKTTKI -RLNGVPTQLPLRLSYIRDYEEDIASIRNMLLATKIGYSSAAREKHRLETGQWPASDASSSESQSIEFDGR -PGLQRFFGSRSPSAPSVFSPHSSGASSEELALTLHNLDASPPSESTATIEPITDVAREMLAGAGRARPAS -PASTASSMPPLESSEDEAESVKSVEQAPKRKMSFDVKQMMSKLVALPGASLSRPMKGRPASPESILMEKI -KKSPENSPEPELQDFATSITSVCNPCWDGDEEYPQARSDEVYLGSMVPPLELATVEEEDQSWETVSNDSP -CSASYLWNGIPLTPSKPPPKLAPVAAVTGNLAKARNLIYYTDPKRVGERMKKVTVWRDRQPDGALDKAIE -IARRRASGVKGRECTWEEVAVMTASRTARSSTGLTGRDIKQMSSKTRAILTKEWENLTRQDYPIPTTCMP -KVEVFCALKEKSYTKKPPRLIMYPDLITRAVEKKVLGDIGPKVVKAVLKEEYGFQYTPQQRVDRMVSMWM -RKKSPAGFTCDTQCFDSTITPEDVAVECSIYCSAQMPDITRQRITALHDRLYQGGPILDQRGREVGHRQC -RASGVFTTSSSNCLTAWLKVRASAEKAGMRGLSLLVSGDDVFGVFESVDPHTDAEKIGIFERCMLAYGAP -QGSVQHSYNLEELTCCSQNVSLCATREGKPYYYLTRDPRVPLARSMVETSLNNPTNTWLGFIIGNYPALW -ARVVLITQLLSLVVADGTLDRKVSFELYGSTYQIKLTQLPEILNSIYGPDVFQLHRYTPKETSRIGAGLE -ELGSRPLRHWIRKAQHLNSVLNGMGDDGKKLAKYLLAFARKDRRPLPPLKGKYDVSDFFSAYSGHEGDVP -DLELEPVKPSIWLPVTIVVTAVICLLLVGAK - ->YP_007905733.1 polyprotein [Rodent hepacivirus] -MAFNLLNIFTLLKLIDPELCNLNIGTGRPRGTVRGGVYIVHPKKTTDRGVRRKRRQRRDQGGWRRSAIGP -MDPYVRMLTQTALPSAAYPSRDPRRQSRFLGHVIDGTLGWAADILHHVPVVGPLVGHPARVICRVVRAGE -NAINALTGTVGIHLFLIALLSCLAPATAVSNCCTADQVVYCTDVTCLHESGCAICQATDNGTVCWEPQGI -MLSHHPDYTGVDPFLTNHIDFVAGTVFVCDLAGIKEVCGTAVLAANWALNFLPMPIVLNTSGDCFLLVES -GINPLFGSFFTWLAEEFNTITAIVDFIGKIPVALTHAFTQSHFIAMCSIAGLALNGNMVKALALLVLYIE -AAAAAPAGLTTHHVVPWNGSCPLMSTVGRCGNLTELSRHGTGYCFSPRTGFRPLSEQTPVDGWFCVWVSM -GNDRGRAFSSEPACCTLRRLAPFCNCTSDCSWMDKRMTFEACGASPVLTTACSTARFDSLAKVLPRLNGS -TAPSCFPTPMAYLAIPGVVWPGFRFGTITLVHGSQVGYWYNEKELAELPPERWARLPGTPPTYKGSWMLV -PKGMYSTRRDISSGLIMKDRHHQDYQLLFSGVGAFILPGITAHIVVIALLAALGARWCLLLYATINILPA -AYAFTPEVVAATAAAPWEDIVMRAIVYCATLKYRLLSVPFAASWVALFLSLIDAAYAISLPDVAAALASV -TFLIAWAGFFSRFIPAVMMLQSYLRVRLEATCHRWFDRSITFVFVLLFPNAVWNTCLTLWIVWLLLVVGG -QAIVCILGPHDKIGFHRLLQTLSRLNRFRDSALRFAVWVAGERGLFWFKHKDGELPGQWEYQEPYSMFPT -EVAVAEDVGMKLACGDSIRGLPVYARCGKSVRAGIASLPKGWKYTIPFSVRTTSCRNSLKCLALCLTGHD -GADYKGSVCIIGTPLRSWMGFGCKGQLYTAHHGARARNLASSGGPRPPTLVNKEKDLTTYPLPKGMSSLP -VCNCSCREFYLITRLGNLVPCVVCGDRFIPTGPLTLKEAKGSSGAPIVCKCQSVKAMFLSCRSSRGVVSS -FGVVHITAEQEEDTRTPVASELLPPPIPKAGKSIQHLVAPTGYGKTTKLPMHYYNLGYSVLVLNPSVATT -RSVPKYMESEYKVCPNIRTGDYCNHTGSRLTYSTYGMFCTRPTIDADVVICDEVHATDGTTVLGIGSALR -AFENSAKGRLLILATATPPGAAMAAHPNINTVNLTDEGDIPFHGKKIKSELLKRGRHLIFTPGKKHCDSL -ANDLQNAGINAVSYYRGKDANCIPPEGDCVVVATDALMTGYTGNFDSVFDSCLSVVPTFDITMNPTFEVG -IQTRNADSVTRMQRRGRTGRGKPGTYYQVTPHCITHGSVPTACVVEAFDSGLAYFGMTPAEVATALSFYK -DEALTPSVDCNLFEIQGIFQALGYVEHVHVERMKQLAENYTYLYAAQYQLAKQEKACAPNNNKIWQGLQG -SNKFPVLYDLEEYENSKVVEAGAAAHIAACFEEFFASGYVTLAGVGLSMAAVAVAIDLLGNCCLKRTWEF -TTDTTAARVVQPPEDDITENLEECYNWDGFAASAQQAVNWLGDRLVEWGVAAGGQHPTLRVLENYIPHIL -AAVQYFAGLACLQDAPGLGSVLGFVGGVLSPLPLKASLFLAALGGAVAARLTTQRGAAVFAVAGALGAVA -GASGVGAMVAQALTTYGGATATCLVVLKLIDGQMPELSEIASLAFNIASPGACLVGAAAAVMVAYCTRSE -SQAWMNRLLAILNRGTSCEDYFVASTTLRASVIKVLESANLWAVFKWLANWLNSQDEDLCTPRGAFFDFL -DSVGRVLRMIIEAARGALGRVLAIPGVPILRCDKGYGGEWHGSGMATVMCNCGAESTWNIKDGKAQWVAG -SKTCSSWWTGRVPINCKFVSCARPRPVTWTTMAVNTGFSTWITYERRGEDVWCTGISAPSTLVEAVVPPL -LSAVAVDGVQVQPFGGDGWKKCGPFIARFRRFGKVCELQVPFKIEPCKEPYVPKHCPAPTTVALVGTSER -CTGMIKTYKASSTDGYKPRKLDLSSDEETGYDIMANLAKNAEKLKALTGGLPCAGMEVPSSSTSSSPLEA -CAAVASPPEQVVRPKILKIPKKKVKLVKGAKPLPNIPPRGQPPSEPAYSLHSSSWETTESAEHSCSMSYT -WYLPELVYKGLKRTAAAVSSYTAGLIRYKPLVYATTPASINERVRKVTIPRSRETTEEFQKVLAAAKARV -RGLQQVEMTLEEALAITSNKTAKSAITGCTAADLKSGSTAAVHEIYSALSEGHIDKPWNEVNIMPKSEVF -VETPSKRTHKPSRIIAYPHLEMRVVEKMVLGNIGPATVKAVLGEGYGFVPPGERVSRLLAMWQSKKRPGG -FTCDTVCFDSTITPEDVAVECELYQTATACEATRQRIKVLHDQLYAGGPMVMQGVAVGERHCRASGVFTT -SSSNTMTCYLKVSTAARIAGLKRPSWLICGDDTVCIFESESEAEDKRRLALFACAMKQMGAPQGEVPRPH -YSLELLDSCSSNVSVAHTTKGLFHYMTRDPRIPFGRISIEGKGFNPLGSMLGYILANYPAVWVSRIIAVK -FLEELFAQPDITKVTFDWYGNNYTVPIGKIPYIIQALHGPQAWGVRQYTSREVSRVALALRDNTMKPMRY -WKRQARALYARARMRGGTYAFLAKTLLSWVTQVEPKLDPRKVAKAREFNFFEPYAFEPELEDRRWTVGKT -WFLLGFAAVAVVLSFLIK - ->YP_009325369.1 polyprotein [Guereza hepacivirus] -MASIPYYPSSPSLTTGLVSTKLRPRHGNGGRRKRKHKGRYTVQPKKTTEAGTRKKVQSKADQSGYFTSRN -FWRPGYRNVLHEPSVKLAGLVLPSGGRSSWGPDDPRHRSRNLGPLLDYPLGWAADVLSLVPVVGPFAGST -GRALCRIVRGLEDGINFGTKWSGLTLFILLCLLFPTAMGASCVVDRTEADNSTRNGTLTSRSIFRRVSNC -CDASQIFHCTDHWCTHQPGCVPCGLENGNATCWIPYSRLVSHHPEHVGVDMGLGRHVEYLALASTLCELL -EIGELCAAASMVGTYVYANMEVRGNWTCDADCFLLVSSGYDPGFMAFLHWVGSQLNWFTVILDLASRIPA -AIWHTSGQAATIISAVTAVNLLSGKYVKAIAFLAFYVEAVTSTSLPRSTEHHSCSAIKPPMHCNNTMPWD -ESKNFLLYCFDPHFVSVTRYANGFVGIPWRVRGCVWLETNRNQTVTRCCARRVWPCPHCSSDCSWNVTDP -KQTYELCGWGPWFTTVWYGGGPLRAAILDYPGRRYHFPDTYHWATANVVWGNQRLTYAYNKTLLDGLPPE -RWGRLPGVPNLARSRWTEVPRGLYSDLPDLTTGLISKDKDYPDYQLFLTADSMSIVVAAEAWVLSVLLGA -LMGGKFVPILVACFAVFQQAAAAAMTRFVDCVIVSTAYDVPWWLIVLLFMLAHRWRRGMYPLLLGNPILL -CFVVLVHRVCPVEARSAVGFDDLGYIFAVGGCVLFCGLVLWLRYSVNDFHARLVALQGYLNFRAFHWLYN -LEGYNMERLLLLTVLLPGAASTVVIFGLCACVVFNLTVDYLTSPRGRSTYQSWFRLTKNLGRATPYVQRA -LLRIAGSHGHYFYQHLQQTYHLAAETVSTLVSMDPAYPHTTNRRVVYARGQALACGDVVDGLPVVARLND -FVLLGVGDLPVGWSMLNPFSVHRVYSRRELTCAVVSLTGVDQNSHQGNLFKLGSMLRQWMGFGFEGRLWT -CHHGPRGRRLASHRGPVVPHTDSAEIDMASYPLPKGARCFEPCYCSNVASGYLIARDLNVYEFVKGEGEM -WTPISHFPLNIAKGTSGSPLLCKQGHVVGMFIAAQTCRGAITRVRIRQIASSAVVSRDVEVSDPTSNPPL -VPKDDYRVEMLVAPTGSGKSTKLPMTYYNAGHRVLVLNPSVATTLSMLPYIKSLFGIRPNIYAGTHTTKT -GSRLTYSTYGRFLASPLPMGHYDVIICDECHAIDATSVLGIGRVLDTQQSLKTRLVILATATPPGCPVKP -HPNIQEIALDDQGEVPFHGCKLPVAALRTGRHLVFQCSKRHCEAMVEDLTSRGIKAVAYYRGLPTTVIPL -TGDVVVVATDALMTGYTGNFDSVFDCNLMVTPTFEVDMDPTFTLGIRTMPQDSINRMQRRGRTGRGRPGQ -YYYVSKEAVVSGLVPEANIIECFDSGIAYYGLTPAEIAAALSIYRDEAGLGTFKMNLNFCIELFGHINPT -HGDVSIAKDCADNYVLLTAYQRGICRVNKGQKPSDSPRWRGLKPGDGWYNIYNLDGKSPGGAPSHPDIDV -IALLLADVENDCSLVNTLIGLGAGFAASWIALDAFGATFIREVCSVTVGETQAERAQVMEDFIGDLIETE -ECSFGVLPELYASLRSRIQGIGEAAVKWFAQNPDVPAAVFVRAHAASLLSLLQYSAGLLTLDSNPICASA -MAFFSGILCPLPLPTKLFLAVLGGAFASKIGNERSAILFVGASAIGALADTSGMSGVLTSLLTGYAAASS -TASVVFQLLCKKLPSALDLAGLATVVTNPGGALLGAIVAGLTFSLTTQGADVWPNRLLAMLTRGNALPDN -YFLETRDLRESLCNLFKNSTPLSLLTRVVGWLNQPVIAQCDGGVRGFLIDLWHNICRLAAVAREMVVGAT -QRVWTPPGVPLYSCTKPYKGRWQGTGQVKVICGCGRQGIWSIQLGRAEPVSISKLCRAYWGGVPINNTLV -GTARPYLTDWKTMTVPIGYDSYVQYLKKGEDIFVVATSGDITVPSASPYVAAAVAVDGVCVSPWAGDYAT -PWTQEVTYNGVKTSLPFQIVGLEEPASGSVAPKFTLRWAWQACGFPKQFVEQHPDWISFMRAWNDDPDSN -GGRRVRDPNHCFYCFCPLEGEVRTQFGHGNSLYCSLFCSRLAKKEEREVPTFAGVQQDSDSEGSVFASPC -ANVDITADEIVERSTYQLALDSVDRAVQLAPDLPKAVDPTAFGGAILASLGVKTPPKGVSLPPQSSYPVV -GFPGITPMGPASGASEPTFFEVKAPGVVKRLDDPPAGNILTKPSLVQQAAALVFRQPGSKILPQFPLDDT -VDVPPTTVRSDDGEEKSSSESPSCSSLCSPASSFRSTRLRRRKREKRGVDNKGFELPVSTQPTRRQQLMS -TSQGPTRPVVAAESAQSATGSVGERAGKSGEHPPPDSSATPSSPTRIETVPPSSAPSGARRLAPTYPDVD -YTTGGWLLPLDYTDEACAEVFKPTAASEPCGAVSPCPEQRVDVASDSKAIRPSSLPLSGFGGARPKVKGD -LVFSVPPGSKPCDERITLNTLTPLDYQQEALAVFAGTQTSQWSHATTSSEPVDKLTTEGDHHSTASTGKQ -VSFQEICAEPSGRLLIGRNTNVTLGLENTWDPFDALADSLGLDFKPPPPMVKDDPTMDIPSPQGLWVKAD -QVESILQQITIAPPAQPLSAITVEAGERLCEQEADASSEDSWVTTSSSHVSTHQDKPTLGTIKEDPPTDP -EMPALEGEEAKCASWSYSWLNVSAAAKAFAHRVLDPVASVANNLVRKRGLIYATEPADITSRAAKVTVIR -QATYGHHHSKWLNRAIKLAAQLNVEEMTWDEAASVTSNKTARSRVTGLTGREVKAGHPTAVQEVSRIVNA -LRDGDIPEPYDQVTIMPKSEVFVRRRAKYSTKPPRIIAYPHLEMRFAEKLILGKLAPAVAKAVCGEEYGF -QYNPQQRVDRLVKMWRQKKTPAVFTSDIVCFDSTITPADVDHERLIYRSATKKDETKAAIDALHRRLYAG -GPMISQQGEHLGRRNCRASGVFTTSSSNTITAWLKVHAACDMAGIKSPSLLVCGDDVVCVFESTQDDASK -MVLFAKAMASMGAPLGATPKALYDLELAEACSSNVTTIRYNDKVDYILTRDPAIPFARCTAEGKGFNPEG -AWIGNLVGYSRTLWSRVIAVQLMETLINLEEIPKMVEMDWYGKKWNLPIADLPEIMQSLHGPNTWSKGYY -TNREIQRVGAVLMELGMPKYRWYRLQARKLRSLAIRRGGVLKKLATYLLGFAAAVPVQPLDDKVVARYSD -VNFHDHYDDKTIDAGDVEIRSSPKYWIPLISILLISLIVLKFH - ->YP_009109558.1 polyprotein [Norway rat hepacivirus 2] -MSSSIKSVPKPRNGTVSGRLPVRPGRRLRAYVVNVRKSSERGSRPPRRKRRDLGYRYPTVAKGARAINGV -TRDAMQFLLPSAAYPPGDPRNKSRFLGHIIDGTLGWTTDLVHHVPIVGSILGHPCRWLCRGIRALEDGVN -MVTSPAGVYLFLLCCLTLMAPAAEANLLKNVIDYLQIQRYNFSDGLPEHQTFGLVPLTNCCNYSQVQYCS -EKSCLHDAGCTICEQTDEGVRCWLNHGPMVSRHPDYEGVDPQVAEHIDAICGLVFLCDLSGINEICGAVA -LVSSWWFEFAPIAIQLNTTAECYLLEATGADPGFLGFLGWVASEAKAFTAVLDFVVKLPAAVAAAFGSGH -MIALASIIGMAMNGHSIKATALLVLYVESAVAVPVPSMNPQLSVCSPHVLPDPCGVITANDTIEFFCFNP -YRGKPLRQKVLTTPDAQNGTIDLGQYKWSWGCFAWKLDGNFTCCSNRKLPSYCKCATDCSWMDKRNTYER -CGALPIVSTACDPYNATSDTCVPRVVAGLDLPGFSSYYTTYHWPTLRVLTMEGKGITIRYDSKTLEKLPP -ARWARLPFRPVLSHGSWMVVPKGFYSSYTDLSTGLITKDKHHEDYQLHYTASGALSMEGVTYHIIVIALL -AALGAKWCLVIYATLLMAGVSEAVPTAVYAATVASAYGDVLWRAIVYLVICKWPKLRTFLSPTLHCLLLM -YFAGFVRAEQFDALCFACLVYGVVVALTGSSLLLALRLRVLTSYLMTRVDLSTASAHKTTLYLCVLLFPK -ATWYCCMWFWTMYIIGFAITKMLPELLGIHSRTGLVLFLRKTRKLKSAMMRLFKRVVVWACGENGWFAYN -HIDGDLGLDWENQEPYFPFETLLVQETDKAHNLACGDTLRGLPVFARRGDEILAGVGKLPAGWQLTKPFI -ARLTHNRGELKTLAISLTGSDKAQIDATIVLMSTPLRTWMGFGYGTWLQTCFHGSRGRMLATDKGAQPPL -AVSRAADSVRYQLPQGMKAFKHCCCGSAEGYLITKGGLVTPVVKVNDNWIPTQPLTLREAKGSSGAPLVC -KCRGVKGMFLAARTARGMVTSVRVLEDLGIKPDERPQSGSFVVPPPVGKQKEIIPFVAPTGSGKTTKLPK -SYYDKGYHVLVLNPSVATTISVGKYMKDEFGISPNVRCGDYTSQTGSRLSYMTYGMFLATQCPMDYDVII -CDECHSTDGTTVLGIGGALAAFADVERPKLLLLATATPPGTGMVDHPNIKTIALTDEGDFPFHGKKIKLD -RLRRGRHLIFEASKKHCDELARDLEMAGITAVSYYRGKSCSAIPPEGDVVVVATDALMTGYSGNFDSVYD -CCLAVEGSVEVDMCPTFTVGIQTKPACSIKRMQRRGRTGRGREGFYYPVTEACTPGGIVPDASIYEAFDS -GRAYFGLTVAEVARYLDYYKNQTFLPIWHCETEDVENLYATLPHPKPSTLDHCKEHAENFPYLHAVQLDH -ASTNGCMAPNSDPVWRPLKGKEKFFMLYRLGKYEEGKTIESYLTEEIEKVFCNYYCGLVATISGIGLSCA -AIYLAIDMFGHITIKRGWQITDDTTAAKGAEPXPLEQEMDTAGMEECANEPIIDAAHKIWERLQATWNTL -LNGANPTANQMIPHLLAGMQWLAGLWALGDAPGVGVVLGCIGGYMSPFPLASNLFITGIGAAFATKIAPP -RAAAAFGVAGALGAAAAVAGWGSVLCSILSAYSASTSACLVVIKLLNGQLPTVAEAASAFFALASPGGAL -MGAATAMLIIWLTRAEDNTWMNRLLAMLHKGTSCDNYFTQATTMRQTVITFLENANIWAVFNRLATWFNT -SEEEICDGSTIRGRLHDIAEALGRFMRFIVESVRGLIARGLPRPILPLMGCDKSYKGPWMGSGPVRSTCG -CGLEGTWMISEGKARQVAVSKRCKAYWTGGVPINNTTQAGPTPAPMGWETMVIRVGYDDYRQYHRKDGEV -WLMAVSHQDCYVKPDAPLLENAVVVDGVQIVPTAGDGWKQCGTYKVRVLEGDKVTEVKPPFKLSDYKIVE -DKGHPSEDQKARLAAFITTAEGYASTLRKLNQLDKQDVVSETSSMADYAIRRKRADIKRKALGSEGGLGK -KAADDLPPLPKKEELVVTSEMWHAARGVRERLARSFPTKEAISPTCFDTLKGPVPKECFVTFGAPASTES -VRSIAKTPQEPDDQKSAGDLSPAPLIQGRPAPVEKTKPSPQTLVVADVHRRSATPSVAPSANSVQSGVGS -DSWETMDSEELCSDSWLNKCHTTTARPANPGWSSCSKSYTWLLPRLVYKATRRVFSAVSTFTSGMMENKY -QAYATTPESVNERIKKVTISRSRVETDHLREVIQEAREAVSGLGLAEMTLGEALSITANKTAKSQITGAT -AATLKAGYTDDVWRVYDALTLGNVPEPWNQVCVMPKSEVFVRTPEKPTQKPARIIAYPHLEMRVVEKMVL -GHIGPATVKKVCGPAYGFQYNPKERVSRLLSMWYSKERPMGFSCDTVCFDSTITPEDMDVECELYCLAAA -DNTTRCRIRALHDHLYKGGPMVMQGTHVGQRHCRASGVFTTSSSNTITAYLKVKAAARAAGIQNPSWLVC -GDDVICICEQSADDKGKLERFALEMRRMGAPQGEIPVPAYSLELIDSCSSNVSVAQLKNGKQYHYLTRSP -EIPLARASVEGKRFNPLGTWLGYIIANYPAVWVSRLLCVIFLQQLSLQDDLNSIEFEWYGNHYTVPLAKI -PYVIEHLHGEECWDIKSYTAREITRTGSRLQAIKMKPLRFWKRLGRSIYASCVRRRGVHAFLAKTLLSWV -PGKHPNLDKSKVRAVQLRFYDPYYKFTEEEKSVKYWFAAALLFTLAAAVALFTLH - ->YP_009506363.1 polyprotein [Hepacivirus N] -MEVSVSSSTQTRSRSRSRRRRASRSRSRRRPGVTVVVPTSTDGGRRRRRGRNRDYAWPYIDTGLSYVVGA -LTPVGSPSHDPYRRSQNIGRLIDGPLSWAADVCRKFPLVGPPLGWCARVVGRAVRVCEDFINGLTRSTVG -MSIFVLCLCSVAVSGYRQVKIGSHYQLTNCCQERDILYSTQEFAYHLPGCVVCVGTSCWDPVTVFLSVKP -NGSTYDHHLRNHVLALGVGAAVCDMLDVNDQCGMLAVSLISLFDILPTSLTLNTTSTCYLEVDYSPFGTI -VGWVNWAVNEAGVVTWALSIVTRIPYAVFHLMELSSTPFLIAVVWFAIQGQWARLLLLAVLVVEATTTEC -SRHDDISRNVTAKWGHMTSCSLQMIPCKCRNVTYSVEDMWCFGDAVVNVPIYRDRAGGKNVSLARLPYLP -GLRGCEYKVNGTWSCCSLMDRDPICKQHHCPTDCTWKDPALTWERCGVISCITSYHAGNGSALCAAWIVR -AAMWDTFAISFDDKNWINIRYNKSMSNNITTAARLPGARRLYSGMWVFSPNGTYFPLGDLATGLISKAKH -DPNMQIIYSGGGSLAVPHLETTLFLALVAGVFRSKSTLLWILFISLWKSATAALLAPWVTAVAIASTWDD -WYIRLLIYLFLCKSRRPYRFLLCNKFPLTIFLLLMNVLGVTALDFDYATHAPLAFVTFLVLVGSGLFATA -APSVSFTCHYLLEWLSCISYSLESNHFIFMLALAVSPTLMLDISMGLLAIHCSVWIFAVTVPNFMLPKHR -STYEILLRFAKVLPAGRWIQRIILFVAGEAGVVWYRHLGQHLDLLPGVDQLNGDPYGAIRSRLDYFEDAG -HRYACGDLVRGRPVLGRQGSLVAFGWSTLPAGFAPCSPIGVRQVSRRGELKTWAVSLTGIDTALWEGNIF -TMGTMSRRYMGFTCGGLLHTVCHGAGHRSLASTSGALPPVVFDDGADYAMHMAPRGAKDLDVCTCAATSG -FMVTRVGTVIPLKLSAQNLWAVQAPLALSICKGSSGAPVLCSAGHVIAMVQRCRAVSGSVAYVCTTPVRH -TAVGKPAPTRTDLSAPPAIGTSWEVQTVYAPTGSGKTTLLPMHYVRKGYSVLVLNPSVATTMSMPAYMKD -SFGINPNLKAAEMTLNTGARLTYSTYGRFLADGKNNINFDVVICDECHATDSTTILGIGAVLNLTPNTKC -KLVLLATATPPGQPVLPHPNIQEVELDDVGDINFHGRKLKLATYKTGRHLIFQNSKKHCEALAADLRSRG -LRAVAYYRGLPISTIPTEGDCIVVATDALMTGYTGNFDSVTDCNLATIEDVEVDFDPTITVALKTVPANS -VTRAQRRGRTGRGAPGVYYYVTQDTPLSGTVSEASVFGAFDSGMAWFGMSPAEVLEHLDHYRVTPGLPIL -KGVLSEISAFYAALGWVTPAFLAKARIHSTSFTWLNAAQRQICYENGCNPPDPQSPIWKGVRVTGEPCRI -LCHLEGHQPLQGPVPEIVNQLQLAFTELDVWGAGVAASAASVFALGLALDYFGSVAITSAMILDSGEPPN -APEPADDDDGFEECWGFPEFTEPVVTRCRIWVERVQLWAAGMAKSGAVNDINNWFATNLITIASALQLGA -GILISPDNPLLAGLLSFSGAVTLPLSRSLQAIVITLGAILTARLTTAEGAVFIAGATLAGFQLSGTPLLS -LIFSVLGTYASFVPAFGVVFKLLDGQLPSTVELASLINCAFAPGAAVAAIAVAVGAIALTQGTGVVWMNR -LLSMVAKSNVISPDYFVEARDIRLTIKTLFEKIHPWNVVKTAIKFLTTPTDVPCGFNIFDCFYSVWHVLC -KWAKALYEALIGGMKRALSLPGVPVAGCQAGYTGPWKGEGMITTRCGCGRELVYAIEYGTAKVISGSKLC -RNYWTSAVPVNNTTSGCAKPAPSSWKTMTVQVGFGFVEYRMDGDTVSAVATSSPDVTIPRSIPRVFSAAA -INGRRTDPYSGEPNTPWRGEVWRDTGSGRERVKLPYVLRDYATDCRIARAFETGGESPNEDPFTTDVGVV -HIQQAVSNERAEDILEGPSDNPLDPPDVAAERDKKDLEVAQRSDVGTDGLQCPESYAWGGLEPFRQLQMF -DTPPPPYTKPHSVSFVSLSSPGAPVATSHPSDDGATRVVLSGRITPPDRAPSVVSYSDMPSLEPSVSGTE -HSCSGWRDASLPAPSEDSLSALGAAAPKECSYSYVWDGLPYVAHLAKRSFSAVAAITHGLVSRNLVYVTS -PENVIERIKKVTRFRPPATPNPHLDNLTKQAIKLVSTLDMQPMTYWEASAITSSRTARSAVTGHTGHDVK -SGAARKDVEQLYATLERESLQRPYNEVVVMPKSEVFMRNKPTEKPPRIIAYPHLETRVTEKMVLGNIGPG -TVKKVLGKAYGFVPPKDRINRLLTMWRRPNPMAFACDVITFDSTITPEDVDREARLYAAATKDSKTRARI -WNLHKFYASGPMVDQRGNYLGTRNCRASGVFTTSSSNTMTAYLKVKAAAMTAGFARPEFIVAGDDVVVVT -QAEPVPDRDKKKLAIFANAMKDMGAPIELPSPKYSLEDVTSCSSNVTTGFTRDGRQVFFLTRDPSVPFAR -CSAEGEGFNPMGAWVGNLLWHFPCLWVRIISTHMLETYLESDFPEEISTDWYGRQVSLKIQDLPYILTAM -HGNDGFDVVRFTPYEMNRVANTLKACHMKPLRWWRKRARTIRAACYRKGGLHKFLAYHLLSFASNAVRQP -LDPKAVSRFSVELGADVEEPIVIGKPPRPPWIGAIVLSAVALLFFFLKH - ->YP_009506361.1 polyprotein [Hepacivirus K] -MDKSTPKTRRPPGRSARKPLGRVVRGVYIPNKKGAPAPKRRVPRGVPGVPKSGERGGGQPRPRRLPARNK -SFWDRHPYLGTWGTPGRPWTGFDPVADWVTWFGIPGSSSRWPSDPRSRARNLGRVVDGVFCGLADILHVL -PIVGRPAGFLGRGLARGVRILEDAINFGTRWTGATIFLLALLSCLQCGEASYASHTCQVGSDVVFTNACN -PDEIYFCTDYGCWHAGGCVPCVDGECWHRLSPSFSLKNDSLESLGLIPHIDALMMLCATCDALYIGEACG -MAVLGFEWIFHLFHSSYEFTCECDCYLLLEAPSSIKVSFDVFQSYFSGLQWLGAVLAEVPGALLGLVTGR -HLGVLFAVAYYAMGTAPLRAVGVILLYLTAAQANPIPTRVANASDISACSPIQPPGPLWGLSWLGGVWHR -QITDAPVLLAKPPNYTALRNFPIHQKPHPYGMYGRSDTHGPYRIFPRCKKRLYDHEVCGVVTCFNPWPHD -LVRQRGPNGTGWFNLPQTNRGPPDHNWGCLWLNLTNALKGCGPPPCQSGAYVCGRDCFEVNPRMRFEACG -QAPWLTDKLIIDYPMRPIHYPQTTDWGIYQLRVSFPILDGDLAAAAFLNRSEPVSAGRWRRALGRPKLWT -ATKIAVPPGHAYEMPALASGFISKDPFHQDVQTFINGPGFALGPLVSIKIAVLLTLLLMGSRIVLVGWII -IWAYWADAALTRVNAAVIAASASQEWWLYGILAYLAFYNIHQIKLKALFVAMCGRPGMAVLMLLLPDWAV -GGPYEVAFAGVPIVLWASLLLAITPAGRRLQLRIRWAAIYLRTRLEINMRDIRHEFNPELPGGALLILLA -VFYPDLVLQMSMAVYSIVVIIAQVLELCLRLGDNRTAAAIRMLRWAGGMGRVTGPIAQKVGVWFFQLTGT -YIYDHLTPLADWAAPGLKEMLDSIEPCTIGPVESKIIEDNAMTLACGDEIDGLPVAARMGDLVRLGPVKV -LPTGWRHCSPITAAVDPQRGWWSSLCVSLTGRDKSTHPGQVVIMSTPLKTFCGTCVDGALYTVYHGAGSH -TLAGPDGPIYARCTRVEDDLVVFPVPSGMSTLSRCNCGTVDGFLVTRHGEVKPCFFDTRNERYALASPCP -VRTLKGSSGCPLVCAQGHCLGIFRATVGSRGIARAIKIIKLDATVVMKEAAEVSDLNTPPVVGNKFAIKL -LHAPTGAGKSTKMPKAYVDLGYSVLVLNPSVATTIAMGPYMASAYNISPNIRSGEKSITMGSKLTYSTYG -KLLADGNAGLQDYDVIICDECHAQDSTSVLGIGFVLDRAESAGAKLVVLATATPPGVPTAPHPNIEEKQL -DAMGDIRFYGRALTSANYREGRHLIFCHSKAKCEELEAKFAELNIKAVTYYRGKPASVIPDKGSIVVIAT -DALMTGYTGNFDSVTDCNTAVEQCLTISYDPTFTIDIHSKPADSITRTQRRGRTGRGRRGIYYYCDPGES -ASGIIDQSVVVECYDSGLAWFDLSPAETTVLISAYRNQPGLPTIPHGPELWESIFDIFTDVDPAILSQTK -QQGESYPFLTAAQITACHRCIAMKPSGDPRWKPCRPGRALTPLFYRLGKVRDEITDNHPQTRAILACLDA -GVEDDTSTGWVVAGASIAAICLLTEASASICITGIIRINDGKIFISQDRDNLYTILDEMEECLSYADFVE -GATQYVNWAKQNIVTAYQAYVGSNPPPVVLTNWQKFEGFMNQHWWSIMSGVQYVAGLSTVGSNPALATLM -AFSASLCSPFSTVTTIMLNILGGWIATRIGNPRSATAFVLAGGAGAAVAATSLGQVIVDVLTGYYAGLSG -AAVAFKLCEGQMPAWDEAMGVLCGAFSPGALVVGAISGLLLHRFSGGSNAEWLNRLIAFCSRGNHVGPGH -YVQAEDQKQAILDMLDLLSPMKLAMRLIQWISGETTSECAFFDPFWRLWEWLCNLFRDTYHKISLFFQPN -ILQIPLYSCEKPYRGLWNTDGELRVTCGCGAQLLYVVRHGVAHRIHGPRSCRSWFGGVPINITLKGGPRP -APCEDWKVGLLQVGLDGMIEIEARGDQYWLTGVSSTNMRFDPACPATDHFAYLDGVRVNPYAPPPPPFFR -RLEVLVNGQPRLLPYCIPGAIYTETEEVRALRAMFLQSGAKPGWTGNDKIEPCTCPDAKVYCYGNHNHGQ -HPRDHDEAAEDPDGCWYVGTLGGPKLRRFWNSGSLSSETGTSQTSSTRVATAVQAKEMLEKLAEQRHDES -AAQIHVISLPAEVATDKKTEEIELNTITNPMYMEQDQVQDTLLFPRMCMQAARDAEKQVLVDDIDRTDDE -HTSCFTRGARRRKGVKRKVRKKLDIEVGSPPPELDSDEFPELKGEINPVFEPDNISGSFKMEEVEVETDP -EMPPLEGDESSVSSDPANPVGGMRVADDGDLSSIGLSSSGWEDLPSGEESDECSASYAWNGLPISGGKPP -PRPVPVASVTGSLARFRNIVYYTDRRRAGERAAKVTVEREQTFDGAHLDMVRLAKKRAQGVSAKRLSWDE -VAKLTPARTARSTTGVTGPDIRVLTAKARRHLNGCWDSLPKSETPIPVTCMAKEEIFCAMREKQYTRKPP -RLIMFPDLIVRATEKAVLGDLAPKVVKAVLKDEYGFAYTPKERVEKILTMWHQTKNPVAFTCDTVCFDST -VTPEDIDIERDIYLSASMPSDVRERTRALHDRLYKGGPIHDTNGKEIGWRNCRASGVYTTSSSNCLTAWI -KVHAAARKAGMGGLKLLVTGDDVFGVFESSDPTTDREKLAMFEKNMLQYGAPQGECQLAYNLEELTCCSS -NISVCCTNKGVPYYYLTRDPRTPFARSMVESTVRNPTNTWIGNIIAMFPALWVRTVLVVQLLSIAMQTGT -FDNDYQFEMYGVSYTIKLKQLPEIIAAIHGPEVFRLHGYTPREVSRIAQALREVGSPSIKVWKSRAKHLR -AQMIRKGGAARHVATYLLAYASEDKRSLAPLHKSKFDPKHWFMAHGSSVAEANIPVTVSEVGPSKLITAI -GVLAVAILALFAVR - ->YP_009506359.1 polyprotein [Hepacivirus I] -MTFKRVVTNKKNKNKSNNPRNVPRSRPRAKKLGVVVNGVYRPLYPVGPRISGPSGRRRPTRDYRYLGSNR -GGRIPIIDPLLGAASELVFPRLNMVPNDPRLRSRNLGHVVDGALGLVGDVLQAVPIVGPLVGGLGHGIAR -GVRLVEDGVNFFTRPFGLVFFLILCLPVAVEPKPLRRYQAEGMNNSLAVTNCCSRDQVVYCTELMCVHEP -GCVICTPGKCWLVSSPMTSYHPDHAGQDPVLASHIDFLTGAAIACDAFGAAEICGVAIVLTEWVSTNLPL -RVNLQDSDCYLIVDSGAEPGLIGFFHWLTSEFSAVSLMVASIVKIPQAVVNLFADIHFGVLAGVLYFAAQ -GNWVKVIIVVASYVEASVAAPVGSLHWQCSSWLAPKPCPLFIEDRELVSAYCYTPLVVPVPIPSTYQVTL -PQRRWGCAFEWRNGTITCCSDRKVDRRCVACSSDCSWHDPLLTYERCGRIPVLTTASLDGPPGCQNRVSG -AIIAPSHIVHRLAGVWKQLTLRDDEVSFTIWYNASFADNPPYVWARLPYAPQVWRSYWASVPAGFYSEVR -DLSTGLVSKDRNQDYQVIYSAAAFVNLHGLATRVLVALLLALVGSRWVLLLYCLGLHISYSYAQPPLLYA -SLSICNTTWEAIPRFLMGFRFGKVAAFLLCPNVVTFLLLLPINPVEAFSSECALVAVTGALGLVASGSLA -FSAPKISYTLGFFSETVLAFGEMVFKKHSRIVFATLFLWPTLLYEVANCYCVVVLSAYALSKLCYFLLPI -HTSRDLAWWITKASLLGRWLGSKLQALLLVLGADREMWLYKHLGDLAEDPEPTAVPYVDPYFPLEVQVEF -HYDHARQHACRSIINGKPVFCRMGDLVAAGVGPVPPGFQLSSPVAAYRVSSRGWVSTLKCCITGVDSQGG -SGQVHIFSGPTRTWMGFTYGDKTITANHGARGRRMCGPMGPQGPISTFPDLDIAIYPKVHTSTNLEPCTC -SPTEAFLVLKDGSVKPVVKVNDQRWAPLGHLPLNLCRGSSGAPLLCSQSHVVGIFLAVHKVMSTVSGIKV -QPMDPRFIARAAEAPPSQLAEAPPVPKEQKIVNLVAPTGSGKSTKIPLEYMRKGWNVLVLNPSVATVLAM -EPYMTSEFKTPPNIMAGETTISRGSRLTYCTYGRFLAGESLARYDVMMCDECHSNDSTSILGIGKVLSML -PTTKVKLCILATATPPGCAVTPHPNVDETPLPEEGDLELVKGRWIVFDTLRTGRHLIFMPSKARCEDIAK -ALNAANIKAVAYYRGVNLGVIPREGNVVVVATDALMTGYTGNFDSVIDSCVSVSEVINFDFDPTFVVSLC -STQSTAITRIQRRGRTGRGKPGIYRYAAPPPGGSDEVPLSSVVAAYDAGIAYFGLTPAEISVYLTAFQQQ -AGTGTFHASLGNWCDFFASLPPPSHADLHRAKIQADNWEYLTAVAYSLMREARAQPPDDSPRWKGLTGKG -EAFLLYRLDGPSNHCKEHPNCERLRACFDEELASWTGGLLGASAGLLLLYAATDTFGYFACTSSFNVVCR -PELRLNETIDEPAIELEECALDWAADYLPYCQSKLAEWRVGLQRGWRAFSEKEHVSLLNDLSESNLASIL -GCLQYFSGLFTLSANPVVASAMSFTGMFVTPLPMLVKSFLALLGGVLAARIAPMRSSTCFVASSLLGCAV -SSFTLPAMVADLLSGYTAASHSAVCAMKVLSGTMPTSDEVVGLLAAVVNPTAAAGGIAVGALCYYFSKDA -NNAWQNRMLSMLSKQTVCNNYFLESQDLRETLTNLLASLTPWALLKRLMDWIQEPSDEPCTDSIFGEMLV -SAYNFLRFLVEALRGLVSGLLSIPAVPYVSCSNTWKGLFTCDGQITVTCGCGAETLWQVRDSQAKPISVP -RKCCSWWSGGVPINPTYSGAPRPLANNPKKFVIPRGYYQYIEYESRGNEIWAIATTSPETPISLSVPKVS -AAVKVDGVLINPWAGHCKTDWKGVVKFKGRMLHLPICISNPAISHPETLQPLPDAMPEIPGIAPAQPDPR -KNMRLEDRRQVVARALCTNKVQTENGVVDVRVATHAELDSVTAPGFGNTPAGLSITHIWPPVLTPPQPQP -QPPPKEGKKGLTLEEIEALCERAAAAGTADMMMMSSGMEHLGPSDGKSDDSGTSMPPLEDSEEELTALSR -PIISPLTGGNPMIGEDGSWETMETCTYSYVWANFTPSTRVPRLASAIAVATRGLAQVRSKAYATRPEEIT -LRIGKVTKWRERKKDETLERWYQVARSKARNVRSREMTFSEMASLTRAKCARSHLTGLTGKEVKDETARA -KELVMAVYNNLPEISEDHRTVTIMPKVEVFAITPEKPTRKPPRIIAYPPLETRCVEKLVLGHIAPKVVKA -VCGKAYGFDYTPQTRVKRMVQMWQKFKNPVGFTCDTVCFDSTITPEDVKEEEEIYCSAQIGDKTRERIRA -LSTLYAGGPMRTQDGQYAGVRNCRASGVFTTSSSNTITCWVKANAALTRAGFKEWDLLVNGDDCVIVAES -DGLEVDVNRCKSFSMWMKAYGCPQDETPEPQYSLEQLTSCSSNVSVALLKDGSQMYYLTRDCRIPLARCI -MEGKGSNPLGAWIGFLIQHAPSLWASRVIAVHFLRYLLYCDPLPRFLEFDWWGNTWALPLEHLPEVLEAL -HGPELWSVSTYTPLEVARVSKALQDMTSPPLRWWRKSAREVRVNCMRRGGLLKRLAMRLLWWDHHVNMPP -LDKDIISKYKTFNYNDFYVDPEDFYKKKNINLIATYCISMAALIATIGFLI - ->YP_009506358.1 polyprotein [Hepacivirus F] -MVGKGRPRGKVVKGVYVVHPKKTVDDGARRKPRVRRDQGGWKRSAIGPLDPYARLGMQALLPSPAYPSRD -PRRQSRFLGHIIDGTLGWATDVIHHIPLVGPLLGHPCRVVCRVVRAGENAVNAITGTVGVHLFILALLSA -LLVPGGAVTNCCSPEQVTYCTEVTCVHESGCAICQLDGNQTICWEPQGLMVSHHPNYTGVDTFLSHHIDL -VAGTVFVCDLAGVKEVCGTAVLLSSYALTLFPRQITLNPDADCFLLVESGVNPLFNSFFYWVAEEFNTIT -ALIDFVGKIPVALAHAFTQSHFITMCSIAGLALNGNVVKALALTALYIEAAAAASLKPVDPPIPWNGTCP -MNGTIPVCGNITAMASHGDGYCFNPRTGFRPLRAAVPIDGWFCVWVAKGKDTIRTEPACCTLRARPSFCQ -CSTDCSWWDERQTFEACGASVILSIACSGKAHKALASVLPTIPPTELGGPVPTCWPTPVAMLDVPGQYWG -PQWRRSSIILSHGFQIGYWFNEELLGELAPSHWARLPGTPLSYKGSWMLVPRGMYSSRKDISSGLIMKDK -NHQDYQLLYSGVGAYILPGITAHVVIIALLAALGARWCLLFYALVNLVPQAFAFTPEIVAATASSPWPNP -VVRLFVYLASLYREPLALPLSGSVTGLFLSLIHLTSAYSLNDVLLAVSATSLFAGWVGLLSPYIPWLMLT -QSYLRVRLEAFCHQWIDRSVLLLCVLVIPHAVWNACLAAWISWAGLVIGGQLIVQVLGPKDKVSLKLTLE -RLDRCWRWVANLMRPVIIWAAGERGVFWYEHLDGQLGGEWKFRDPYYPFETEVIRAQDVGLKLACGDQIR -GLPVSARLGTTVQAGIAQIPQGWERTAPFSFKTSHHRSQLRALAMCVTGADQSTYKGSVAIMGTPLRSWM -GFGCNGALYTVFHGCRGRNLAGKDGPMAPRLVDATKDLVKYPLPTGFTNLECGSCGCTEFFLLTKAGRLV -PCVRAESRFVNTGALTLREAKGSSGAPILCKCGKVKAMFLSCRSARGIVSSLGVLTINPDSEVDTRTPVA -DSMEAPTVPKQGKEVKRLVAPTGSGKTTKLPMQYYKDGYTVLVLNPSVATTRSVPKYMKETYNVSPNILT -GDHCVRTGSRLTYSTYGMFLTSPHIEADVVICDEVHSVDSTTILGIGSVLRALESSAKCKLVILATATPP -GTSMQPHSNITTVDLSDEGEFPFHGKKIPLDNIKSGRHLIFTPSKAHCDNMAKDLKDAGINAVSYYRGKD -AQCIPDTGDIVVVSTDALMTGYTGNFDSVYDSCLSIMPKYEVTMNPTFEVGIQTVNSDTVTRMQRRGRTG -RGKPGTYYQVTPHAQALGTVPPANVLEAFDSGLSYFGMTPAEVATALSFYKEEPLTPSIEVSLEEMTSLF -ITVGFVEPAYIEIMKQRAENYTYLYAAQYQLAKRADAQAPNDKPIWRGLRGRNKFPILYDLEEYDSEKVV -TSNLAERLAACYEEYFASTTLTLAGVGLASAAVFAAVDLLGNIIIKHVWEKTTDSTAARVVEFEPLDTEE -VLEECYQWDGFAEAVNRASSWLGDKIVELGIHAGGAHPWQRTAQAVLPHLLAGIQYFAGLCCLQDAPGLG -SVLGFVGGVLSPLPLKASLFLAALGGAFASRLTTQRGAAAFALAGALGAGAGALGIGSLLASTLTTYGGA -TATCLVVLKLIDGQLPEVSELASLAFNLACPGAVIVGAASAVMIAYCTRTESQAWMNRLLAMLNKGTSCE -DYFVAATTLRKSVIHLLEKANLWAVFTEIASWLNRTDEEDCSCRGAFLAFYDAVGRLLRLIVEFARGFVR -RVLKVPSIPYATCDKGYSGPWAGSGIVNTTCQCGAEQVWNIIEGKAQWVGGSKLCSSWLTGRVPVNSALT -GCARPRPASWTRMAVNTGFNSYVVYERRHLDVYVIGCSQIDQVVAHTVPDLLSAVMVDGVQVKPFGGTDW -KKAGPYRCRLQTPTGVQQVQIPFKLEPHKDPYKEEYTPPPSAMGQLAQTERCFSLTRARRLSDADRPSKK -ESLLAESDDENTGAGLIRGLASGWQKLMNQHPEATRVRAEPTPAPQPEVRQRKKALEAPVTGIELAKEIT -PPQPITSQPVVRPKVRTDARNPPSGESKPTSPITFGPPKLDQMVPVGFIDPFFPAGCILEEEVALFRLKK -ALAGAPHGFIDPFWPVGRVLSVSKFGKKLLSLEVARLQAEGKSDLNPAAPIFVPKKKVNIVAGARPLPKT -PPKGTPANEADTFSLHESSWDTEESQEHECSSWSYTWSIPTLVYKGMRRAVAAVSTYTSGIMRYKHLAYA -TEPSSINERIRKVTIMRSRQAFPELEEAVRAAKARARRVQGCELTIAEALDITANKTAKSGITGMSAKDL -KAGKTGQVIEIYDLLGKSIIEQPWNQVNIMPKSEIFVKTPQKPSKKPARIIAYPHLEMRVAEKMVLGHIG -PATVKAVCGDAYGFVPPKERVQKLLKMWDSKSQPAGFTCDTVCFDSTITPEDVAVERDIYCEAASHESTK -VRIRTLHDGLYSGGPMVMQGVQVGERHCRASGVFTTSSSNTMTCFLKVSAAAKKAGIKKPSWLICGDDTV -CVFESQGLEDDTRRLGLFAAYMGQMGAPQGEVPRPHYSLELLDSCSSNISSAQTRYGLYHYMTRDPRIPY -ARISLEGKGFNPLGSMLGYILANYPAIWVSRVIAVKFMQELLALDKPSSITFDWYGNNYTVPVSKIPYII -QSLHGKQAWAITQYTSREVSRVAQSLKDNTMRPLRYYKRTARSLVASARRRGGVLAFLANTLLSWVHGTH -VNLEPKKVEAASSFNFFEPYSQDIYDQEPRRWGLPIYLGLFAGIAGLLALCLHLV - ->NP_042692.1 polypeptide [Hepacivirus B] -MPVISTQTSPVPAPRTRKNKQTQASYPVSIKTSVERGQRAKRKVQRDARPRNYKIAGIHDGLQTLAQAAL -PAHGWGRQDPRHKSRNLGILLDYPLGWIGDVTTHTPLVGPLVAGAVVRPVCQIVRLLEDGVNWATGWFGV -HLFVVCLLSLACPCSGARVTDPDTNTTILTNCCQRNQVIYCSPSTCLHEPGCVICADECWVPANPYISHP -SNWTGTDSFLADHIDFVMGALVTCDALDIGELCGACVLVGDWLVRHWLIHIDLNETGTCYLEVPTGIDPG -FLGFIGWMAGKVEAVIFLTKLASQVPYAIATMFSSVHYLAVGALIYYASRGKWYQLLLALMLYIEATSGN -PIRVPTGCSIAEFCSPLMIPCPCHSYLSENVSEVICYSPKWTRPVTLEYNNSISWYPYTIPGARGCMVKF -KNNTWGCCRIRNVPSYCTMGTDAVWNDTRNTYEACGVTPWLTTAWHNGSALKLAILQYPGSKEMFKPHNW -MSGHLYFEGSDTPIVYFYDPVNSTLLPPERWARLPGTPPVVRGSWLQVPQGFYSDVKDLATGLITKDKAW -KNYQVLYSATGALSLTGVTTKAVVLILLGLCGSKYLILAYLCYLSLCFGRASGYPLRPVLPSQSYLQAGW -DVLSKAQVAPFALIFFICCYLRCRLRYAALLGFVPMAAGLPLTFFVAAAAAQPDYDWWVRLLVAGLVLWA -GRDRGPRIALLVGPWPLVALLTLLHLATPASAFDTEIIGGLTIPPVVALVVMSRFGFFAHLLPRCALVNS -YLWQRWENWFWNVTLRPERFLLVLVCFPGATYDTLVTFCVCHVALLCLTSSAASFFGTDSRVRAHRMLVR -LGKCHAWYSHYVLKFFLLVFGENGVFFYKHLHGDVLPNDFASKLPLQEPFFPFEGKARVYRNEGRRLACG -DTVDGLPVVARLGDLVFAGLAMPPDGWAITAPFTLQCLSERGTLSAMAVVMTGIDPRTWTGTIFRLGSLA -TSYMGFVCDNVLYTAHHGSKGRRLAHPTGSIHPITVDAANDQDIYQPPCGAGSLTRCSCGETKGYLVTRL -GSLVEVNKSDDPYWCVCGALPMAVAKGSSGAPILCSSGHVIGMFTAARNSGGSVSQIRVRPLVCAGYHPQ -YTAHATLDTKPTVPNEYSVQILIAPTGSGKSTKLPLSYMQEKYEVLVLNPSVATTASMPKYMHATYGVNP -NCYFNGKCTNTGASLTYSTYGMYLTGACSRNYDVIICDECHATDATTVLGIGKVLTEAPSKNVRLVVLAT -ATPPGVIPTPHANITEIQLTDEGTIPFHGKKIKEENLKKGRHLIFEATKKHCDELANELARKGITAVSYY -RGCDISKIPEGDCVVVATDALCTGYTGDFDSVYDCSLMVEGTCHVDLDPTFTMGVRVCGVSAIVKGQRRG -RTGRGRAGIYYYVDGSCTPSGMVPECNIVEAFDAAKAWYGLSSTEAQTILDTYRTQPGLPAIGANLDEWA -DLFSMVNPEPSFVNTAKRTADNYVLLTAAQLQLCHQYGYAAPNDAPRWQGARLGKKPCGVLWRLDGADAC -PGPEPSEVTRYQMCFTEVNTSGTAALAVGVGVAMAYLAIDTFGATCVRRCWSITSVPTGATVAPVVDEEE -IVEECASFIPLEAMVAAIDKLKSTITTTSPFTLETALEKLNTFLGPHAATILAIIEYCCGLVTLPDNPFA -SCVFAFIAGITTPLPHKIKMFLSLFGGAIASKLTDARGALAFMMAGAAGTALGTWTSVGFVFDMLGGYAA -ASSTACLTFKCLMGEWPTMDQLAGLVYSAFNPAAGVVGVLSACAMFALTTAGPDHWPNRLLTMLARSNTV -CNEYFIATRDIRRKILGILEASTPWSVISACIRWLHTPTEDDCGLIAWGLEIWQYVCNFFVICFNVLKAG -VQSMVNIPGCPFYSCQKGYKGPWIGSGMLQARCPCGAELIFSVENGFAKLYKGPRTCSNYWRGAVPVNAR -LCGSARPDPTDWTSLVVNYGVRDYCKYEKLGDHIFVTAVSSPNVCFTQVPPTLRAAVAVDGVQVQCYLGE -PKTPWTTSACCYGPDGKGKTVKLPFRVDGHTPGVRMQLNLRDALETNDCNSINNTPSDEAAVSALVFKQE -LRRTNQLLEAISAGVDTTKLPAPSIEEVVVRKRQFRARTGSLTLPPPPRSVPGVSCPESLQRSDPLEGPS -NLPSSPPVLQLAMPMPLLGAGECNPFTAIGCAMTETGGGPDDLPSYPPKKEVSEWSDGSWSTTTTASSYV -TGPPYPKIRGKDSTQSAPAKRPTKKKLGKSEFSCSMSYTWTDVISFKTASKVLSATRAITSGFLKQRSLV -YVTEPRDAELRKQKVTINRQPLFPPSYHKQVRLAKEKASKVVGVMWDYDEVAAHTPSKSAKSHITGLRGT -DVRSGAARKAVLDLQKCVEAGEIPSHYRQTVIVPKEEVFVKTPQKPTKKPPRLISYPHLEMRCVEKMYYG -QVAPDVVKAVMGDAYGFVDPRTRVKRLLSMWSPDAVGATCDTVCFDSTITPEDIMVETDIYSAAKLSDQH -RAGIHTIARQLYAGGPMIAYDGREIGYRRCRSSGVYTTSSSNSLTCWLKVNAAAEQAGMKNPRFLICGDD -CTVIWKSAGADADKQAMRVFASWMKVMGAPQDCVPQPKYSLEELTSCSSNVTSGITKSGKPYYFLTRDPR -IPLGRCSAEGLGYNPSAAWIGYLIHHYPCLWVSRVLAVHFMEQMLFEDKLPETVTFDWYGKNYTVPVEDL -PSIIAGVHGIEAFSVVRYTNAEILRVSQSLTDMTMPPLRAWRKKARAVLASAKRRGGAHAKLARFLLWHA -TSRPLPDLDKTSVARYTTFNYCDVYSPEGDVFVTPQRRLQKFLVKYLAVIVFALGLIAVGLAIS - ->YP_009322132.1 polyprotein [Hepacivirus L] -MPVASSNRNTKKRARPLGRVVGGVYVVNTKKSSEAGRPNRRPQRDARKRKGNWGHAYKPIPGVDPLSRVV -GRTVFPSDHWAPGDPRWRSRNLGHLIDTPLGWVADIGGAIPLVGPVVGPVCRGVCKAVRAAEDGINWGSS -WVGLTFFIIMLLGFCTTPAESVPAIRFKAEQEHTWYALTNCCPPESVRYCTFHTCLHDSGCAICERAGDG -NVTCWIPDGVFSSHPPGYEGVDPWLANHIEYVSAAVLLCDWLEVGEICSMTVWAVDWSLGHMYHHIDLTQ -NATCWLSKPTGIDPGIVSWLGWVKSELGLIAYFIGWLSKLPVAVVHLVVNMHYFTLASFLYYFSQGKPVK -VALVFFVYVEAAAAMPVNCSWFATQNELDLWCSPLVQPCRCSHLNLAENVSEAVCFNPFSVPTKIIGSYV -ELPPKAWGCVIKFHNGSAKCCAARRVPDYCKGCSSDCSWQDPRQTFENCGTTPWVSTVRTPEGGVSKVLV -LAHDNIPTILGVPYSWPSYQTQWPEARNRLLYLKYNNSWSDLDPHPQHWGRIPGWPESYRSWWIWVPKGL -YADTRDMSTGLLTKDAKYPEYQLVMSATGSLSLASISTTIVVAAIMAFLGGRWSLLLFCLAQMLEGAWGW -PASLVAAMTASTYDDWFFRIAVFVLVWKLEGRLWWCLARSWKVVFLLSVLAQVQGAQAASLDTDAVWGMG -ISLLLFFCVASQVGLLAPLLPRMALLRSYLHTRIEAWVEHHSARLTEFLLVSCWFWPGLLLELCCLLLVA -WFCFIAVCSVIIALLTPTTYPAVCRALNRAAKLGGWLGELTQKLIIYCCGEKGTFFYQHMGQELPITPEV -RASLVTSDPYSVFQTQARVVEDRARKLACGDVVAGLPVMARLDGMVMAGCVPLPKGWERNAPMSLVRVSS -RGELRSWAVSLLGVDTRSWDGSIFQVGTALRGWMGFTCGGLLHTCHHGARGRRFATSRGPLPMVGGDVEQ -DYVTYPAPAGSVMLDECTCAPLHAWLPTRIGTLVPVTKHCEPELWNVAAPLPINIAKGSSGSPLLCAQGH -VIGMFVSGMTARGAVYKIRVKPVRSGASLASTRPSTDMDAPPTVPKDEQIVRMLVAPTGSGKSTRLPAHY -VRNGYSVLVLNPSVATTLNFPAYMKAEYGLSPNIRSGDVTITTGSKLTYSTYGRFLASDISTVTQDVIIC -DECHATDPTSVLGIGRMLEACPQLKAKLVILATATPPGSPVTPHPNIEEIELDKEGEIPFHGKSLRIAQL -SSGRHLIFQASKAHCDALANDLAQRGIKAVAYYRGLDVSVIPDSGDVVVVATDALMTGYTGNFDSVTDCC -LSVEASVTVDMDPTFTCHVRTLPSSNVVRMQRRGRTGRGTKGIYRYVSNNATMSGRVPEATIVEAFDSGI -AWFGMTSQEVLSALDTYKQTPGLPCPVGNLVDWAAVYSQLTWVSPGSVSRAKKQADNYALLTAAQMDYCK -SHRVDPPDDNPRWQGLVRYGEKPVVLWHLDGPNSEARADPEFCEKIRECFADHEAEYSAGGLALGVGFGV -AMSVIAFDLMGSFSIRHAWKVTRGSTTLEDADMPAYLTDMGELEECMQTPWDVIIQIKDQISETLSPAVS -KVVAALQATNGLPLSPETQEVLNKTIADCLPAILSSVQYAAGLLTIGDNPVLASAMAYTSGLLCPLDLGA -KTFLSLLGGAFAARLGTMKGAMMFVGAGLLGAATAATSMSAILASLLSGYTSASACAVVVFKLLCREMPT -PVEWAGLLTCFLNPGAAIAGAAVAGMAFHLTTPGPDHWPNRLLAMLTRGNVLPDSYFLQSKDLRKDLAAF -FAKSTLWSLITRLSDYLNTPSEAECDGMTPPRVLLATWWQAVCKVLRAAYEACCGVVRRITDIPGVPLFS -CQPCYKGPWYGSGMVTVKCKCGQDNSWFVEHGVATPVAVSRKCRAWWGGVPINNTLVGNPRPAPRDWTEA -IVLTGFNNYLKFRKEKDKVWLVAVSDPTVKVLAFIPKVGGCSEVDGVRVDPWCGEPKATWTGVITFAGER -RPLPICVSDEMDAEKVAAKPGTQKSMLQHLETLKPEVLQSLADISYQMKDNEKALRELKEVKSEVPDAVK -SAVEAMKSTADLLKDMEKANSMVSVDEDSDSTTSSVREALRQMEEKKICKPESPKVRRRKKSKSSKGSVP -PSVDSVKEEDERELSPPLPKLPDEWLQNEGVRCSYRAVTGAPWPSTISYSDMPPLEGEEVEEEKDESLAL -VRYSPLNPEAPPFELPSLSWDPTGVPAIEAPPPAETDQGVVSAEEEAEDEGSWESCSEKSESKMSRSYVW -TGARILAAKAVAKAASATAVMTRGLMKYRNLVYSSDPSMVVERVKKVTITRHSITPPEYLEAVIQARKAA -SQVSCEMWTPVEVGHHTPSKSARSQISGLTAADVRCWSRKAQVEVRAVLDQIEEGRLSGDYAQVVVVPKI -ETFVKTPKKPTMKPPRLIAYPHLEVRVAEKMYLGDVAQRVAKAVVGEAYGFQYSPKQRVDYLLRAWRSKA -SPFGFTFDTHCFDSNVTPDDIATEASIFTSAQMSDKQRDGIRVLSKCLYETSDMVNIRGEKVGERFCRAS -GTYTTSAGNTITCFLKAKAAAKMAGIKRASFLIHGDDCLVIAESDSPSVDARKVGRFAAAMKAMGCVPGD -IPVARYSLELLDTCSSNVSVATTKSSRPYYYLTRDPSIPLARASAEGQGYNTSGSWVGYLVANYPAIWSS -RVLGVHLCDILLNTEDLPETMDFDWYGNKWSVPLNDLPEIIAALHGKQAFETVTYSPFEVSRISAALRDL -GMGPLRHWKRRARQVRAECMRRGGALRRLASRLLWFAGRDTPQLDEDTIARYASFRIFDVYSDPESIKLP -SKREVWRKRVLKAMLVMAGAFVALLLLKLAT - ->YP_009130616.1 polyprotein [Bovine hepacivirus] -MEVSVSSSTQTRSRSRSRRRRASRSRSRRRPGVTVVVPTSTDGGRRRRRNRQRDYAWPYIDTGLSYFVGA -VTPVGSPSHDPYRRSQNIGRLIDGPLSWAADCLRKIPVLGPPVGWCARVVGRAVRVCEDFVNGLTRSTVG -MSIFILCLFSVCVSGYRLVKVGSHYQLTNCCQEGDVLYSTKEFAYHLPGCVICTDDQCWDPVTVFLSVKP -NGSTYDHHLRNHVLGLGVGAAVCDMLDINDQCGMLAVSLISLFDILPTHIQLNTTAACYLEVDYSPFGTI -VGWVNWAVNEAGVVTWALSIVTRIPYAVFHLMELSSTPFLIAVVWFAIQGQWARLLLLAVLVVEATTTEC -SRHDEISRNATTKWGHMTSCSLQMIPCKCRNVTYSVEDMWCFGDAVVNVPIYRDRSGGKNVSLARLPYLP -GLRGCEYKVNGTWSCCSLMDRDPVCKQHHCPTDCTWKDPSLTWERCGVVSCITSYHSGNGSAACAAWIVR -AAMWDTFAISFDDKNWINVRYNKSMSNNITTAARLPGARRLYSGMWVFSPKGTYFPLGDLATGLISKARH -DPNMQIIYSGGGSLAVPHLETTLFLALVAGVFRSRSTLLWILFVSLWKSATAALLAPWVTAVAIASTWDE -WYLRLLIYLFLCKSRRPYRFMLCNKFPLTMLLLLLNVLGVTALDVDYTTHIPLAYVTFVALIGSGLFATT -APSVSFTCHYLLEWLSCISYSLESNHSIFMLGLVLSPSLMLDITMGLLAIHCSVWIIAVTVPNFMLPKHR -GTYEILLKFAKGLPAGRWIQRIILYVAGEAGVLWYQHLGQQLDLLPGVDQLNGDPYGAIRSRLEYFEDAG -HRYACGDLVRGRPVLGRQGSLVAFGWSTLPTGFAPCAPIGVRQVSRRGELKTWAVSLTGIDTALWEGNIF -TMGTMSRRYMGFTCGGLLHTVCHGAGHRSLASTSGALPPVVFDDGADYAMHMAPRGAKDLDVCTCAATSG -FMVTRVGTVIPLKLSAQNLWAVQAPLALSICKGSSGAPVLCSAGHVIAMVQRCRAVSGSVAYVCTTPVRH -TAVGKPTPTRTDMSAPPAVGTSWEVQTVYAPTGSGKTTLLPMHYVRKGYNVLVLNPSVATTMSMPAYMKD -AFGINPNLKAAEMTLNTGARLTYSTYGRFLADGKNNINFDVVICDECHATDSTTILGIGAVLSITPNTKC -KLVLLATATPPGQPVLPHPNIQELELDDVGDINFHGRKLKLASYKTGRHLIFQNSKKHCEALAADLRSRG -IRAVAYYRGLPISTIPTEGDCVVVATDALMTGYTGNFDSVTDCNLATVEDIEIDFDPTVSITLKTVPANS -VTRAQRRGRTGRGSPGVYYYVTQDTPLSGTVSEASVFGAFDSGMAWFGMSPAEVLEHLDHYRVTPGLPIL -KGVLSEISAFYGCLGWVTPAFLAKARIHSTSFTWLNAAQRQICYENGCNPPDPQSPIWKGVRVTGEPCRI -LCHLEGHQPMQGPCPDVVTQLQLAFTELDVWGAGVAASAASVFALGLALDYFGSVAITSAMVIDSGEPPN -APEPADDDDGFEECWGLPEFSEPIVTRCRIWVERVQLWAAGMAKSGAVNDINNWFASNLITIASALQLGA -GILISPDNPLLAGLLSFSGAVTLPLSRSLQAIVITLGAILTARLTTAEGAVFIAGATLAGFQLSGTPLLS -LIFSILGTYASFVPAFGVVFKLLDGQLPSTVELASLINCAFAPGAAVAAIAVAVGAIALTQGTGVVWMNR -LLSMVAKSNVISPDYFVEARDIRXTIKTLFEKIHPWNVVKTAIKFLTTPTDVPCGFNIFDCFYSVWHVLC -KWAKALYEALIGGVKRAISLPGVPVAGCQAGYTGPWKGEGMITTRCGCGRELVYSVEFGTAKVISGSKLC -RNYWTSAVPINNTTSGVAKPAPSSWKTMTVQVGFGFVEYRMDGDVISVVATSSPDVTVPRVIPRVFSAAA -INGRRTDPYSGEPNTPWRGEVWRDTGSGRERIKLPYALRDYQTDSTVARSFEMGGESPKEDPFTTDIGVV -HIQQAVSNERAEDILEGPSDTPLDPPDVAAERSRLDREAAQRADVGTDGFWAGLQPFGQLQVFETPPPPY -TKPHSVSFVSLSSPGAPVSTSQPTDEDGSTRVVLSGRLTPPDRPPSQISYSSMPSLEPSATGTEHSCSGW -RDATLPAPSEDSLSALGAAAPKECSYSYIWDGLPYVAHLAKRSFSAVAAITHGLVSRNLVYVTKPENVLE -RMKKVTRFRPPATPNPHLEALTKQAVRLVSTLDMQPMTYWEAAAITSARTARSAVTGHTGGDVKSGAARK -DVEQLYRTLESESLQRPYNEVVVMPKSEVFMRNKATEKPPRIIAYPHLETRVAEKMVLGNIGPGTVKKVL -GKAYGFVPPKDRINRLLTMWRRPNPMAFACDVITFDSTITPEDVDREARLYASATKDSATRARIWNLHKF -YASGPMVDQRGNYLGTRNCRASGVFTTSSSNTMTAFLKVKAAAMTAGFVRPEFIVAGDDVVVVTQAEPVP -DRDKKKLAVFANAMKDMGAPIELPSPKYSLEDVTSCSSNVTTGFTRDGRQVYFLTRDPSVPFARCSAEGE -GFNPMGAWVGNLLWHFPCLWVRIISTHMLETYLESEFPDEITCDWYGRQVSLKIQDLPYILTAMHGNDGF -DVVRFTPYEMNRVAATLKACHMKPLRWWRKRARTIRAACFRKGGLHKFLAYHLLSFASNSVRQPLNPKAV -SRFSVELGADVEEPIVIGKPPGPPWIGAIALSVLALLFFFLKH - ->YP_009109557.1 flavivirus polyprotein [Norway rat hepacivirus 1] -MSHSEPGITGGRFPAYSLIGSCGGPRRFRCKPSMACNLFFNFPSTKKNQTKSVLHLWWSLCVCVCGVVMS -KPVRPGQYIVTTKRRSDPGAKRRRRHRRDQGGWRRSPMGPVDPYVRQGLQILLPSAAYPVRDPRRKSRFL -GHIIDGTLGWTADLLHHVPLVGPLVGHPARLICRAVRACEDGINSFTGIAGVHLFLICWAHMLSPASAGI -FPYLPGPLDEFATSTFGTIARLSYDLLTATEETPTVSPTVPSVGGRQSYPQLVPLTNCCNHSQVSYCTEL -SCMHDTGCVICEQVGNASLCWVPQGPMVSRSPHYQGADPFLAHHIDFVAGMIYMCDLAAMHELCGAMVLL -ARAGIATVPVAIQLNTTADCYLEVQSGVDPSILGWVGWLKDEFVSVTALFSFASKIPSALAFAFGKSHYI -TLAAICGLALNGHVPKAVALTVLYVEAAVAAPVSMPGLDCPWNAQPPPCNFSAGWTNLACYGQKGPFLPP -PIARFASDIIGTLVANGVNASRAEEAVTSRKAYHVGGFGCIGTLGGNRTCCSLRRVPSLCDACASDCSWM -GKELTYERCGTTPWLTTGCDLQNGTCRGEVLAGFNLPATFPPGVAQWTTIRFQDGHPVMVWYKVWDKPAS -QWARLPGTPEQYRGSWMKVPKGYYSSRRDLSTGLISKDSNYPDYQLFYSASGSLQIAGITTHLVIVACLA -ALGARWCLVAYALFNSMLPVGALEVVKAATAASTHDLWIVRAVVYIVCLRWSMLAKLLTKQSCFFILLSL -ADVVEAYEGGELRFAGALVIFATLLSGLLSTLVPRLVLTLSYLRWRLRFFGIYLADRRIVLLGVLLAPNA -VAFCCWTFWFCYLGLVCLQQVVVHCLGIRTRQGFFTTIKKLEGSANWLRRFLLKVAIWAGAEEGNFWYNH -LHGDLRINWQFQDPYFPFQTEVETAEDTGFKLACGDTLKGLPVYARLGKTVRAGISSLPRGWRFTAPFNL -RTVQSRRELSHLALCLTGHDSAVYNGSICVIGTPLSRFMGFGCNGVLYTAAHGTNGRHLALDGGSRPPIV -YDKVKDFATYPLPKGMKCLEAGSCSCTEFYLATRLGNLVPCVKIDGSYVNTTPLTLKEAKGSSGAPIICK -CRYVHAIFLRCRSSKGVVSSLTGLPIDSAKVGAQVPEAADLGKLPPVLKEEQSIRMIVAPTGSGKSTKIP -MEYYKQGYKVLVLNPSVATTLNFEEYMAKQYGVRPNIHCGDTHHDNGSRLTYMTYGMFLAKNLLDADVII -CDECHAVDATTVLGIGAALHKFENSPVAKLLLLATATPPGTPVTPHPNVETIDLDQDGEIPFHGKKIKIG -NIQKGRHLIFQTSKSHCDNLANDLRAAGLNAVSYYRGKDISCIPSSGDCVVVATDALMTGYTGNFDSVYD -CCLMVEPTLEVDMMPTFKLGLRTKAADSIVKMQRRGRTGRGKPGTYYQVCPQADTSGIVPDACIYEAFDS -GLAYFGRTPAEVATHLSFYHNQVGLPTIKVIIPEVQAIFMQIGYVQSNYLEMMKNRVDSYTYLYAAQYQL -AKAEGAMAPNDNPAWRGLSGKAKFPLLYHLEEYDLDKVQAHSIASSIQGCYEEYFAETVMTLAGVGLAVS -CVFMAIDLFGNCAILRAWDLTGDSSAALNPSPEMSILEEVEECSTQWGSEALAEGAQKAAQWLGDKMTEL -GGKLGGKTALERKITEFLPHILAGVQYFAGLTCLREAPGVGAVLGFVGSSLSPLPLKVNLFLSALGGAFA -TKLTTQTGAAVFGAAGAIGALVGAANLGAMVGHAFLTYGSATSACLVVLKLIDGQWPDFSEWASLAMSVA -SPGSFIVGAGAAIMVAFCTRSESQVWMNRLLAMLHRGTSCDEYFVQATTLRQTIIKWLETASIWSAFRQL -ADWIMRADEDVCTSPRAAWAAFMMAVGGICRTLVEMARGVCRHLFKIPGCPLYACRPGYKGPWKGSGMVK -STCECGTEGLWNISEGKAIYVSSTAWCRCWWSGGVPVNNSFTGVPRPAPVGWTSMAVRDGYSAYCVYERR -GEDIYLVGVSYPGQVVDAGVPDFSAAVAVDGVQVKPFGGTDWRKCHKFAVRYGSDNEEKQLPLKVSGSPP -PKEEKKAAKAGLLAEEIPLRRLCRDVDNRAIGLSKRQSRAARDCVDLPYDTEETSFVTFGAPKPSAPSCD -TDSMLTAGTYDVVNMCTHERHLTYCGDCQRPIAEEYETAPSSVQSIPPTVVTRSTASKASRAPSPARQIM -TSVGVDNPTFLWDEVEEPPAPITPPPEAFRVRTPPRLEIPMEILREYETSNDHVPKEDSWNRQVVVAEVH -PVPESISDGSSWSTSSSLDPEAPEFTPDPPMSGLPEVVPLPSRPPVRQPKTKPLKIKGKVKLAKGAKPLP -KTKPTDPDTYSLHSSSWETQSSGSWTDCSWSYSWSVPQLVYKGFQRVRAAIHTYTFGLMRNPNLVYSTTH -ASANERVRKVTIQRTREETPELRYQIAMARARVQTLMASELTLEEALSLTSNTTAKSAVTGMTAKDLKSG -KTEIVKTLYSKLEEGIQSPWNQVCVMPKIETFVETPEKKSHKPARLIAYPHLEMRVVEKMVLGQIGPKTV -KAVCGDAYGFVTPQERVRKLVSMWQSKQKPAGFTCDTVCFDSTITPADVAVESSLYEAATTDERTRRRIR -SLHDNLYAGGPMVMQGAEVGYRRCRASGVFTTSSSNTMTCFLKVSAAAKAAGIASPSWLICGDDTVCIFE -SSGEETDKQKCAQFAVAMKRMGAPQGEVPKPYYHLELLDSCSSNVSSANTKLGLYYYMTRDPRIPLARSS -IEGKGYNPLGTWLGYILANYPAVWVCRVLCVQFLQQLLTQESVKEITFDWYGNNYKIPVAKIPYIIESLH -GKQCWQIQAYTPREIQRVSQALHDNTIRPLRYYKRAARQVFAACMQRKGTLRFLAKTLLWWVHQVKVELD -PRKVAMVKEFSPYDPYSNPDILEEKPSINWMYLGMGIIALCALCLANFKIW - ->YP_009664165.1 envelope protein E2 [Hepacivirus M] -AEHTRVAGAEDFGSCGIRPPGPLWSSLWLNYFWKTNITTAPTLGTTPQHPPSGHLTVQPWPNTTWGDGRG -TRTHSPYRIFPRCKPFIPDGNVCGPVTCFTPWPFDLERDKNKSGYHLPQGSRSAPDHFYGCVWLNRTGFL -LGCGPPPCLIGRYACARDCFEVNPRATFTLCGQGPWISPTALIKYPMAHVHWPQVAEYGEYTIRFSSSLH -SGNLPLLAKRTNNSEPVTKGRWYRVPGNPNLYDTVRMQVPPNHFFPIPAMASAYISKDPFFTDVQIFSSS -PQTSLIPLVSLKMAVLMLLLLMNARVVLVLWVLFWAYAAEG - ->YP_009506356.1 polyprotein [Non-primate hepacivirus NZP1] -MSNKSKNQKPKPQRGPRGRVRGQSRSGPVVFPSGAVLVGGRYIPPPKKAIRGPRRGLVQAPKSSERTSPR -KKRQPPPQTDSSWRKYFSKFWGDRGYPWPYVDPVLQWGAWGSSPGAYRTRWGPRDPRHKSRNLGRVIDTL -TCGVADLAGYVPVLGAPAGALCRGAAHLVRFVEDGANFITGNIPGMGFSIFLLALFSAVSFGEASVVRNG -GHVVSNDCNSSQILWASSDWAIHEVGCIPCVGGVCWVPLTSSISVSNESVIVRGLGSHIDVLSAMASVCS -TLGIGEACGAATLTYITFLSRFFMPLNLTNDCECFLYPGAISTFEFTMRALQSMMPNLSGFLSMFSGLPN -TLFTIFTNGHWGVILALCLYGTTNNYFKLCLLLLAYSGLVSCDNYLNVSLSCNFTVKEMWGWTFFPKWAL -LNGQRLNCTEGSPYNPKCKGPFDFNVTTDPYIAYSGTRSHPPCPYHVSRPCSVLDASRVCGKPTCFGPAP -IEVGVTDRDGKLASWNDSGQFFFDLRSPHRPPRGRWYGCVWLNSTGWVKQCGAPPCNMRLMSNKSKPFVC -PTDCFRQNPKATYQLCGQGPWITHSCLIDYTDRYLHFPCTENFTVYPVRMILGDGARDVRVACKFNRSVS -CRTEDRLRASIVSLLYSVTTAAVPPCHFSPLPAFTTGLIHLDRNLSDVQYVWAMTPSAVNIFLRLEWAVF -FLLLLMDAKVCAILWFCLCLALQAEAYLSDTMRLIALSYIADDSLLWALVFYCVIYFTPSRVPPFCVFVY -YWKFALAFMVLALPHRAWAFDNASAVTAAFSIALFCLYITCLSCYKKLFMLVKWWLEYWDVRIECAWRYL -GPRVNPRDEKLAFALLFSFFHPSLFRCIYLPLAVICGSFSMINKRVQKISYLRRAEVLVRVLSICRDVYG -SKWVQWCILWLASHLGTFLYDHLTPIDTWAAPGLRDLMHSLEPITLSPMERKVVKWGARKIACGDILRGL -PVSARLGREICLGPADKLTSKGWRLLSPITATVTKTRGIPSAIVCCLTGRDKYPHRGHCYILTSLTKTFM -GTVCKGVLWSVHHGGGTATLASDKSSLLQVLCSPGDDLVAWPAPAGSKSFQPCTCGSADVFLVTRTGQVV -PARKTSEKDASLISPLPISSLKGSSGGPVLCKDGDLVGIFCSASVTRGVAKRIHFADMRTRSVSSCPPKY -TDLDSPPAVPSSYQVSFLHAPTGSGKSTKMPLSYVELGYHVLVLNPSVASTLSFGPYMDKTYGECPNIRT -GASCKTTGSKLTYSTYGKFLADGGVSAGAYDIIICDECHSTDSTSVLGIGSVLDGAESKGVKLVVLATAT -PPGSQTVPHPNIDEEALTQSGDIPFYGKMLKSSLLLSGRHLIFCHSKKKCEEVALLLRKAGANAVTYYRG -LDVSVIPNEGNVVVVATDALMTGYSGNFDTVTDCNTAVELDIEFSLDPTFSMVTTPKPSDAVCRTQRRGR -TGRGRRGTYYYVNSGERPSGVLSSSVLCECYDSGLAWFGLSPAQVTVLLQAYLKQPGLPTGLDHTEFWES -VFIGLPTVDAFFLSQLKQQGVTFPYLTAIQATVCLNAQAKAPSKDERWKVLSRYITTNRTPTPLLYRLED -THDDLTFTHPVTKYIQACMEAEIDTQTNAWVIAGGCVAALVAVAALTGSVAIIAEVHVNEKVVVVPHKGV -LYADFDEMEECFDHHQYIQQGYEWASRAAQKIREVAASIDPPTGQAQPLLSAVEKFWNQHMWNILSGVQY -LAGLTTLPYNPSVACLMGFVSGLTTGLPRPAMAFLTILGGWAASMVAPPQAASTFVGAGLAGIAIGAVGF -TDVIVGLLAGYGAGVAGALTAFKILSGVTPSGEDLINLLPSLLNPGALAVGVGAAFILKRYTGGSEGLVA -WVNRLIAFCSRGNHVSPDHYVQQQQVVRDVIACLESLTLTRLVKTIHNFVTSENDQNCDFTAIYFFIQWL -MKILYDCFTWAKGIILPHLPGFPIISCDSGYSGRWAGDGLVSTRCGCGNLITGNVRNERIRITGSRKCRN -VWLNTFPINSTTTGGPRPNPYDVWKTAVLRITSTEYVEFKREGTAVRVTGATADKLRIPCQVPEPDLMTF -IDGVRIHRLAPNPKPMLRDEVVVLIGNHTYPVGATLPCTPEPDVDTVSSLLTDPGHVTAETAARRLRRGR -TVDVESSSGSELSAVSRGAASRVSEEHEMAGGPVRPLTGEDELAWIRSFYGRSVTIEVDDKVINFDSWTI -NSGSEGEHSRESVHAPDDDRVVVAAPPPPPGPAWMRKDYVPALVSGCPIKPGSATPEPSEPSATESAPVE -EKEEPKVDDKGEETDPDMPPLEGEEPEEGDDSQWETTSEKGESCSLSYSWTGALVTATRREERRHPIGPL -SNTLITKHNLVYQTTTASASARMAKVTIDREQVLDKFYFDTVTQVKKKASEVTADLLTWDEVARLTPKNT -ARAKSGLSGSDIRQLTRAARRELQSTWQDLLSTSDEPIPTTIMAKNEVFVSSPTSRKPARLIVYPDLPVR -ACEKRAMYDLFQKLPYAVMGKAYGFQYTPRQRVDRLLDMWRHFRNPMGFSYDTKCFDSTVTPHDIDTERD -IFLSANLPDEAKTVIKNLTSRLYRGSPMYNSRGDLVGRRECRASGVFPTSMGNTLTNFIKASAAAKAAGF -ADPQFLICGDDLVCVTSSKGVEEDEQALREFTNAMTKYSAIPGDFPKPYYDLEQITSCSSNVTVAQDRNG -RPYYFLTRDPTTPLARASWETISHSPVNSWLGNIIAFAPTVWVRLVFLTHFFGLLLQQDAVDRNYEFEMY -GSTYSVNPLDLPAIIYKLHGPEAFDLTNYSPYEVQRVAAALQKLGSPPLRAWKRRAKLVRSKLRVRGGRY -SVVADYLFGFASAYKPKRPAPPGVNTIDVSGWFSIGDDSIGDIYRQLPLVTGRWIPLLLLLPLLAAILYF -NK - ->YP_009058898.1 polyprotein [Equine hepacivirus JPN3/JAPAN/2013] -MGNKSKNQKPQPQRGPRNRVKGQSRSGPVVFPSGAVLVGGRYIPPPKKAIRGPRRGLVQAPKSSERTAPR -KRRQPPPQTDSSWRKYFPKFWGDKGYPWPYVDPVLQWGAWGSSPGAYRTRWGPRDPRHRSRNLGRVIDTL -TCGVADLAGYVPVLGAPAGALCRGAAHLVRFVEDGANFITGNIPGMGFSIFLLALFSAVSFGEASVVRNG -GHVVSNDCNRSQILWAASDWAIHEVGCVPCVDSTCWVPLTSSISVRNESVIVSGLGSHIDVLAAMASVCS -TLGIGEACGTATLTYITFLSRFFMGLNLTGDCECFLYPGAISTFEFTMRALQSMMPNLSGFVSMLSGVPN -TLFTIFTNGHWGVILALCLYGTTNNYFKLCLLLLAYSGLVSCTDNYINVSLSCNFTVKQMWGWTFFPKWA -LLNGQRLNCTEGSPYNPKCKGPMDFNITTDPVVFHSGRRSHPPCPYHVSRPCSVLNASRVCGKPTCFGPA -PIEVGVTDRDGNMASWNDTGQFYFDLRSPHRPPRGRWYGCVWLNSTGWVKQCGAPPCNMRLMSNKSKTFV -CPSDCFRQNPKATYQLCGQGPWITYNCLIDYTDRYLHFPCTENFTVYPVRMILGDGARDVRVACKFNRSV -SCRTEDRLRASIVSLLYSVTTAAVPPCHFSPLPAFTTGLIHLDRNLSDVQYVWAMTPSAVNLFLRLEWAV -FFLLLLMDAKVCAILWFCLCLALQAEAYLSDTMRLIALSYIADDSLLWALVFYCVIYFTPSRVPPFCVFV -YYWKFALAFMVLALPHRAWAFDNASAVTAAFSMALFCLYITCLSCYKRLFMLVKWWLEYWDVRVECAWRY -LGPRVNPRDEKLAFALIFTFFYPSLFRCIYLPLAVVCGSFSMINKRVQKITYLRRAEVLIKILTFCRDFY -GSKWVQWCVLWVASYFGTFLYNHLTPIDTWAAPGLRDLMHSLEPITLSPMERKVVKWGARKVACGDILHG -LPVSARLGREICLGPADKLSSKGWRLLSPITATVTKTRGIPSAIVCCLTGRDKYPHRGHCYILTSLTKTF -MGTVCKGVLWSVHHGGGTATLASDKSSLLQVLCSPGDDLVAWPAPAGSKSFQPCTCGSADVFLVTRTGQV -VPARKTSEKDASLISPLPISSLKGSSGGPVLCKDGDLVGIFCSASVTRGVAKRIHFADMRTRSVSSCPPK -YTDLDTPPAVPSSYQVSFLHAPTGSGKSTKMPLSYVELGYHVLVLNPSVASTLSFGPYMDKTYGECPNIR -TGASCKTTGSKLTYSTYGKFLADGGVSAGAYDIIICDECHSTDSTSVLGIGSVLDGAESKGVKLVVLATA -TPPGSQTVPHPNIDEEALTQSGDIPFYGKMLKSSMLLSGRHLIFCHSKKKCEEVALLLRKAGANAVTYYR -GLDVSVIPNEGNVVVVATDALMTGYSGNFDTVTDCNTAVELDIEFSLDPTFTMVTTPKPSDAVCRTQRRG -RTGRGRRGTYYYVNSGERPSGVLSSSVLCECYDSGLAWFGLSPSQVTVLLQAYLKQPGLPTGLDHTEFWE -SVFIGLPTVDAFFLSQLKQQGVTFPYLTAIQATVCLNAQAKAPSKDERWKVLSRYITTNRTPTPLLYRLE -DTHDDLTFTHPVTKYIQACMEAEIDTQTNAWVIAGGCVAALVAVAALTGSVAIIAEVHVNERVVVVPHKG -VLYADFDELEECFDHHQYIQQGYEWASRAAQKIREVASSIDPPTGQAQPVLSAIEKFWNQHMWNILSGVQ -YLAGLTTLPYNPSVACLMGFVSGLTTGLPRPAMAFLTILGGWAASMVAPPQAASTFVGAGLAGIAIGAVG -FTDVIVGLLAGYGAGVAGALTAFKILSGVTPSGEDLINLLPSLLNPGALAVGVGAAFILKRYTGGSEGLV -AWVNRLIAFCSRGNHVSPDHYVQQQQVVKDVIACLESLTLTRLVKTIHNFVTSENDQNCDFTAIYFFIQW -LMKALYDCFTWAKGIILPHLPGLPIISCDYGYSGRWAGDGIITTRCGCGNMITGCVRNERIRITGSRKCR -NLWLNTFPINSTTTGGPRPNPYDTWKTAVLRITSTEYVEFKREGNAVRVIGATADKLRIPCQVPEPDLMT -FIDGVRIHRLAPSPKPMLRDEVVVLIGNHTYPVGATLPCTPEPDVDTVSSLLTDPGHVTAETAARRLRRG -RTVDVESSSGSELSAVSRGAASRVSEEHEMAGGPVRPLTGEDELAWIRSFYGRSVTIEVDDKVINFDSWT -INSGSEGEHSRESVDAPDDDRVVVAEPPPPPGPAWMRKDYVPALVSGCPIKPGSATPEPSEPSATESAPV -EEPEEPKVDEKGEETDPDMPPLEGEEPEEDDDSQWETTSDKAESCSLSYSWTGALVTATRREEKRHPIGP -LSNTLITRHNLVYQTTTASASARMAKVTIDREQVLDKHYFDTVTQVKNRAREVTANLLSWDEVARLTPKN -TARAKSGLSGSDVRKLTRAARRELNSTWQDLLSSSDEPIPTTVMAKNEVFVSSPTARKPARLIVYPDLPV -RACEKRAMYDLFQKLPYAVMGKAYGFQYTPRQRVSRLLDMWRTFKNPMGFSYDTKCFDSTVTPHDIDTER -DIFLSANLPDEAQIVIKNLTSRLYRGSPMYNSRGDLVGRRECRASGVFPTSMGNTLTNYIKATAAAKAAG -FADPQFLICGDDLVCVTSSKGVEEDEQALRDFTNAMTKYSAIPGDLPKPYYDLEQITSCSSNVTVAQDRN -GRPYYFLTRDPTTPLARASWETISHSPVNSWLGNIIAFAPTLWVRLVFLTHFFGLLLQQDAVDQNYEFEM -YGSTYSVNPLDLPAIIYKLHGPEAFDLTNYSPYEVQRVAAALQKLGSPPLRAWKRRAKLVRSKLKVRGGR -YSVVADYLFGFASAYRPKRPAPPGVNSIDVSGWFSIGDDSIGDIYRRLPIVAGRWIPLLLLLPLLAAILY -FNK - ->YP_009272536.1 polyprotein [Hepatitis C virus genotype 7] -MSTNPKPQRLTKRNTVRRPQNVKFPGGGQIVGGVYLLPRRGPRLGVRGTRKSSERSQPRGRRQRIPKAAS -SQGKAWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPTDPRHRSRNLGKVIDTMTCGFADLMGYIPVL -GAPLGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYEVRNSSGVYHLTNDCPNA -SIVYETDNAILHEPGCVPCVREGNTSRCWEPVAPTLAVRYRGALTDDLRTHIDLVVASATLCSALYVGDI -CGAIFIASQAVLWKPGGGRIVQDCNCSIYPGHVTGHRMAWDMMQNWAPALSMVAAYAVRVPGVIITTVAG -GHWGVLFGLAYFGMAGNWAKVILIMLLMSGVDAETMAVGARAAHTTGALVSLLNPGPSQRLQLINTNGSW -HINRTALNCNDSLQTGFIAALFYTHRFNSSGCPERMASCKPLSDFDQGWGPLWYNSTERPSDQRPYCWHY -APSPCGIVPAKDVCGPVYCFTPSPVVVGTTDRRGVPTYTWGENESDVFLLNSTRPPQGSWFGCSWMNTTG -FTKTCGGPPCKIRPQGAQSNTSLTCPTDCFRKHPRATYSACGSGPWLTPRCMVHYPYRLWHYPCTVNFTI -HKVRLYIGGVEHRLDAACNWTRGERCDLEDRDRVDMSPLLHSTTELAILPCSFVPLPALSTGLIHLHQNI -VDAQYLYGLSPAIISWAIRWEWVVLVFLLLADARICACLWMMMLMAQAEAALENLIHLNAASLAGTHGIW -WLLLVFCASWHLRGRVVPLVTYGICGMWPFFLMLLSLPPRAYALDREVSAALGTGMLAIILLVTLGPHYK -RLLALILWWVTYFLTRCEAALQTWVPPLNPRGGRDGFILCVLLCYPGLVFDITKWLLVMMCPLYLLQLCL -VRTPYFVRAQALIRVCSLFKTLAGGRYVQAALLTIGRWTGTYIYNHLAPLETWAAGGLRDLAVAVEPVIF -SPMEKKIIVWGAETTACGDILCGLPVSARLGREVLLGPADDYRSMGWQLLAPISAYAQQTRGLISTLVVS -LTGRDKNETAGEVQVLSTSTQTFLGTNVGGVMWGPYHGAGTRTVAGRGGPVLQMYTSVSDDLVGWPAPPG -SKSLEPCSCGSADLYLVTRNADVLPLRRKGDGTASLLSPRPVSSLKGSSGGPVLCPQSHCVGIFRAAVCT -RGVAKAVQFVPIEKMQVAQRSPSFSDNSTPPAVPSTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNP -SVAATLGFGAYMSKAYGIDPSVRTGARTVTTGAPITYSTYGKFLADGGCSGGAYDIIICDECHAIDATTV -VGIGTVLDQAETSGVRLVVLATATPPGSVTVPHPNIEEVALGNDGEIPFYGKAIPLQHIKGGRHLIFCHS -KKKCDELAGKLTSLGLTAVAYYRGLDVSVIPTSGDVVVVATDALMTGFTGDFDSVIDCNVAVTQTVDFSL -DPTFTIETTTVPQDSVSRSQRRGRTGRGRLGIYRYVSSGERPSGMFDTSVLCECYDLGCSWYELTPSETT -TRLRAYLNCPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQEGQNYAYLTAYQATVCARAKAPPPSWDV -QWKCLQRLKPLLVGPTPLLYRLGSVTNEVTFTHPITKYIATCMAADLEVTTSTWVIVGGVLAAVAAYCMS -TGSVVVVGRVVLGSNVVTAPDREVLYQHFDEMEECSKAPELLKHAQTIGGMFKDKALAVLDTLKPAAQAA -VPIVETNFQKVEKLWNQHMWNFISGIQYLAGLSTLPGNPTVASLMAFTASVTSPLATSTTLLVNILGGWF -ASQLAPPSAATTFVVSGLAGAAVGSVGLGKVLVDVLAGYGAGIAGALVAFKIMSGEVPSTEDLANLLPAI -LSPGALVVGVVCAAIIKRHTGTSEGVTQWMNRLIAFASRGNHVSPTHYIQDDDASKRVMGILSSLTITSL -IKRVLAWAQTDYSAPCAGSWLREVWDWVCMVLSDFASWLKAKVLPSLPGIPFLSCQKGYKGEWRNDGIMN -TKCPCGALIAGHVKNGSMRIVGPKTCRNTWWGTFPINSHTTGPSSPVPSHCYQRALWRVSATEYVEILRH -NDQHYVVGVTAEDLKCPCQVPSPEFFSFVDGVRIHRFAPEPKPMIREEAAFVVGLHSYVVGSQLPCEPEP -DVQTVSQLLTDPSHITAETAARRLRRGSPPSNASSSASQLSAPSLKATHTTLPQHPDAELIEANLMWEHK -VGAIRRMETDTKVIILDSFDSASSVEDDMEPSTAAECLRTRKVFPPAMPIWARPDYNPPVVENWKDPEYA -PPQVSGCALPPAQTPPVPPPRRKRAVIQLTESAVSTALAELAERSFPKEEAPPSDSAISLDSPAANDPPS -DCDQGSEISFSSMPPLEGEPGDPDLSDGSWSTVSTRSDVICCSMSYSWTGALVTPSGPEEERLPINALSN -TMLRHYNMVYSTTSRSASQRAKKVTFDRLQVLDDHYKRALADVKADASTVKAQLLSVEEAAALTPAHSAR -SKFGYGAKEVRSLAPKAMSHIKEVWKDLLQDMTTPIPTTIMAKNEVFCVNPAKGGKKPARLIVYPDLGVR -VCEKRALYDIAQKLPKAIMGQAYGFQYSPSQRVEYLVKTWKSKRTPMGFSYDTRCFDSTVTEQDIRTESE -IYQCCNLDPEARTIINALTERLYVGGPMFNSKGQRVGYRRCRASGVFPTSMGNTMTCYIKAKAAAAAAGL -ESTDFLVCGDDLVVICESKGVERDRADLQAFAAAMTRYSAPPGDMPQPAYDLEHIDSCSSNVSVARDNSG -KRVYYLTRDPTNPLSRAAWETARHSPVNSWVGNIIMFAPTIWVRMVLMTHFFALLLNEERLNDPVSFEMY -GATYTVCPTDLPDIIQRLHGLRAFELHTYSPAELTRVAATLRKLGVPPLRTWRQRARKVRAGLIGQGGRA -RICGLYLFNWAVRTKIKLTPLAGAGRLDLSSWFSVCAGEADVDHSTPRAHPRPLLLCLLLLAVGVGIFLL -PAR - ->YP_009506360.1 polyprotein [Hepacivirus J] -MPPKRIQGAGAPRGVPKSRERRGGRKPQPQKRRFVVPPKSKRPPRRKGETWRQWWARWTRGRPIPILDPV -CSWTSYFLAPTSTPWPSDPRRRSRNVGHLVDGVTGWVADVAGHVPVVGNAARPVVRIAANIVRGAEDIVN -MVTSPLGFSLFILSLLSVVGAVSHWCPDTEQVTNCCVGDEISFCTESLCWHQHGCVPCTAEGCWTPVGVT -WSVKNATDRVDLWAHIDIVAGAIYACDVLDLGEVCAGAAVLAEATMETLQYHHDFTCNQTCYLFVTPTEK -TLWFFEFVSADWTWLRMALSVVEGAPRLLSHMLSGGPTISALVLLAYALNGHTTKAIVLLFLLSYAEGLP -FFHFPNRTCSTVNDFEGTALCFTPVPFIVQEGHFLPKHTRGCVWTKDQTVKRGYNWTNPYGKPIVGGGFN -WKAFADALEALFGQLSLSGGFPNDTLQDVAAVVNVTGLIAGAPRERDSCYVPTRRRRAGGCTGWYGNMSA -GETWEECGTGMWLTRCAVVWTVFTNSSWPSYPAWAHGLRYRVAVNPSDYCKTLNWTLRLPGEPEVESFYY -AVRPANHHFAIGEAASGYLQFTTDGTRQVISGGGVLSPFPHVHDSVLLFCFCVLVRSKYITLLLGIYLCF -TTANALVLESVAPALVTAQSPWLAPLAILCHDIGATILLLAMVPWLAQGGCLHYVGVALLVLVSRRSEAL -AYTGEVASYSTAWVVLAVVWLLYPLQKRHRASAFWTVRYLRARLYHHVEGYGLRRLDRRLCICACLFPEA -TYQVLTSVVLACAVLETCLMGLEDLVLCKSTPRRVSVLLTNLYRLFGGRAIPVMLWLLQRAGDRGIWLYK -HLNDLDQSVLSAIEEFAVWSDPLMLHETEVKIISDSAGEMACGDCYNGLPVIARLGELVMCGWNGKTNMK -GWRLTAPFRADMSLHTGWFKTVALSIHGTDPRTHAGQIAILGTGLKSSMGFGFSGALVTTYHSSKGKQLA -STSGQLMPLAINATDDTAVYPLPAGMTCLEACNCGAVEAWVLDRHGGLHRGELKGGEVVLTAPVPLSHMR -GASGSPVMCKMTHVIGVLRSVKHIRGSAGRVCFTPIDKTTALKMVVPDSQTALPPAVPKNYEVRVLHAPT -GTGKTTKVPMGYVHEGYKVLVLNPSVATTLSMGPYMQKAYNIAPSVYTGETSIGTGTKLTYATYGKAAAM -DTSLLTGYDVVICDECHDVTATTILGIGHVLTKAESCGVKLVILATATPPGCSTTPHPNITEVELGPSGE -VQFYGKRLELAHYLKGRHLIFCASKQVCDTLASLLRQHGITAVAYYRGESVSKIPDAGDVVVVATDALMT -GYTGNFDSVTDCNLAVVQDLTVDLNPTFSVAVRTVQADAVTRIQRRGRTGRGKPGIYRYVDKGEACSGIV -SEAAVVEAYDQAYAWLRAAPAETKAMLAAYSRQPGLPVINADLDMWEQFYQCLHPDPGLLEKIKARADSF -ATITAMQWTLSSNNHAPLPGTEGRWQGGRYKTGKCPLICHLDCDKAVEWSDEDPFIQQLSQCLGLDHEEM -TDGWSVVIAGGVMMGLAVLIDSTAALVVTGHVAINSEFVNTLPPEVLFELEPTEAEECGFDLVTAKTNFT -ELVTKLREQANNYLVMARTSAQPVAAPTYWEGILNTLTTWAADICAAGGVALGLATIRNNAPLACVHAFT -AGLVSSLPLAGKTILALAAGAVASTLTTSKPSCAFTVSAIVGGGVAALSVGSILSSIFTGYAGATAGANV -AFQLLQGKLPSLEDLTGLLAGVFNPGSVVAGVVAAVILKKGIADRNADWMNRLLAMLSKTNVVPTNYFLD -SQPMMEKIEKMLKAVTPIQLFKALTDWLERPEAAECAGGFHLGGLLRALTTLTYRLLSGIVCWIKSHLPY -PSIGMLSCDVPYRGEWSGKGTVITKCGCGKKNTYRIDSGYVTPAAVAKTCWSFWTGGVPINGSTVFKGCA -PTPSHWSEADVCVQWGDWYKVERRMGSYYIVGVHPQVLEVDLRPPRPTDISYADGSRCAQHAGERPSYIK -DYATICGVDVTLPITIEKLATLVKQKKEDAAKNVCEKKVFGLTLTPFCEHEENSILKEMMKFDQEQNPTG -PAQLMKPTPGVHAKPEVAGSASSGFGGSLNEFIHETLPEPPRHVDVVGVVKGAAEKVAEWIGYAPTDAAA -VVLGRHSPDEFLRSDGVLWRAVSGKEINQSKVTFKPLTSDYSTPSVPSQQSSTSTPKSSHSSTPAVDFSD -LPPLEGEEVEITFGADNASLASELTLHSKDGAVVGHHAAALTTAAPVETRSATQVEGFYFNPAFVPDPFP -LPTGEVEAPEPEPKFQCSCGKEFLTIAGKVACSLSHPQQAVQVLTRESEVFYSRGRMVRHTTVSVQPKVR -VPLMPLQEDVDFANDLFADEEGWETSSETSCNYSYIWNNIPISTRQIGKKPLPIRYLSSGLGRFRQLVYW -SEPAQAEERKAKVTYFREAEVDAVLEKVRDFAIRRASRVREGGLSFDDAAQLTPPHSATSCLSGLTASQV -RARTSKARKLCEEAYQSIGDPTSKYCMVTVMPKVEIFVKTPEKPTTKPPRLIAYPPLEMRVAEKMVLGKV -GPAAVKAVLGPAYGFQYTPWERARKLVEWWEARKSPMAFACDTICFDSTVTAADVAFESKVYAAACKDPN -LAGKIFGLGNTLYTSSPMYSQTGQLLGVRQCRASGVFTTSSSNCLTAYTKARAAAVHAGISNPQFLVHGD -DIICICDASPTVEEDRQRLATFAVWMKKYGCPQGQVPYPCYSLEEVESCSSNVSSAKDLLTGKPYYYLTR -DPVVPLGRAMAEAVDRTVSQTWIGNLLLHYPALWASRVLMVHLLDQIDTLNDVTDLTINIWGTEYTIDLT -HLPYLIDKLHGEGARRLAYFTPAEVARVSARLKTLGYPPLRAWKAKAKIVRVRLLRRGGKFAYLARHLLW -FAAGKLPPPLDPAVKNRIDFKLPSFSSPDGYIRQSADLVSISGNMFAVASSLLVVSVLLINSPKLPRLLS -GLTS - ->YP_001469631.1 polyprotein [Hepatitis C virus genotype 3] -MSTLPKPQRKTKRNTIRRPQDVKFPGGGQIVGGVYVLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINFATGNLPGCSFSIFLLALFSCLIHPAASLEWRNTSGLYVLTNDCSNS -SIVYEADDVILHTPGCVPCVQDGNTSTCWTPVTPTVAVRYVGATTASIRSHVDLLVGAATMCSALYVGDM -CGAVFLVGQAFTFRPRRHQTVQTCNCSLYPGHLSGHRMAWDMMMNWSPAVGMVVAHVLRLPQTLFDIMAG -AHWGILAGLAYYSMQGNWAKVAIIMVMFSGVDAHTYTTGGTASRHTQAFAGLFDIGPQQKLQLVNTNGSW -HINSTALNCNESINTGFIAGLFYYHKFNSTGCPQRLSSCKPITFFRQGWGPLTDANITGPSDDRPYCWHY -APRPCDIVPASSVCGPVYCFTPSPVVVGTTDARGVPTYTWGENEKDVFLLKSQRPPSGRWFGCSWMNSTG -FLKTCGAPPCNIYGGEGNPHNESDLFCPTDCFRKHPETTYSRCGAGPWLTPRCMVDYPYRLWHYPCTVDF -RLFKVRMFVGGFEHRFTAACNWTRGERCDIEDRDRSEQHPLLHSTTELAILPCSFTPMPALSTGLIHLHQ -NIVDVQYLYGVGSGMVGWALKWEFVILVFLLLADARVCVALWLMLMISQTEAALENLVTLNAVAAAGTHG -IGWYLVAFCAAWYVRGKLVPLVTYSLTGLWSLALLVLLLPQRAYAWSGEDSATLGAGVLVLFGFFTLSPW -YKHWIGRLMWWNQYTICRCESALHVWVPPLLARGSRDGVILLTSLLYPSLIFDITKLLMAVLGPLYLIQA -TITTTPYFVRAHVLVRLCMLVRSVIGGKYFQMIILSIGRWFNTYLYDHLAPMQHWAAAGLKDLAVATEPV -IFSPMEIKVITWGADTAACGDILCGLPVSARLGREVLLGPADDYREMGWRLLAPITAYAQQTRGLLGTIV -TSLTGRDKNVVTGEVQVLSTATQTFLGTTVGGVIWTVYHGAGSRTLAGAKHPALQMYTNVDQDLVGWPAP -PGAKSLEPCACGSSDLYLVTRDADVIPARRRGDSTASLLSPRPLACLKGSSGGPVMCPSGHVAGIFRAAV -CTRGVAKSLQFIPVETLSTQARSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYNVLVL -NPSVAATLGFGSFMSRAYGIDPNIRTGNRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQDAT -SILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALGSEGEIPFYGKAIPIALLKGGRHLIFC -HSKKKCDEIASKLRGMGLNAVAYYRGLDVSVIPTTGDVVVCATDALMTGFTGDFDSVIDCNVAVEQYVDF -SLDPTFSIETRTAPQDAVSRSQRRGRTGRGRLGTYRYVASGERPSGMFDSVVLCECYDAGCSWYDLQPAE -TTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFSYLTAYQATVCARAQAPPPSW -DEMWKCLVRLKPTLHGPTPLLYRLGPVQNETCLTHPITKYLMACMSADLEVTTSTWVLLGGVLAALAAYC -LSVGCVVIVGHIELEGKPALVPDKEVLYQQYDEMEECSQAAPYIEQAQVIAHQFKEKILGLLQRATQQQA -VIEPIVTTNWQKLEAFWHKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNILGG -WVATHLAGPQSSSAFVVSGLAGAAIGGIGLGRVLLDILAGYGAGVSGALVAFKIMGGECPTAEDMVNLLP -AILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTALLSSLTVT -SLLRRLHQWINEDYPSPCSDDWLRTIWDWVCSVLADFKAWLSAKIMPALPGLPFISCQKGYKGVWRGDGV -MSTRCPCGAAITGHVKNGSMRLAGPRTCANMWHGTFPINEYTTGPSTPCPSPNYTRALWRVAANSYVEVR -RVGDFHYITGATEDELKCPCQVPAAEFFTEVDGVRLHRYAPPCKPLLRDDITFMVGLHSYTIGSQLPCEP -EPDVSVLTSMLRDPSHITAETAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHPDAELVDANLLWR -QEMGSNITRVESETKVVVLDSFEPLRAETDDVEPSVAAECFKKPPKYPPALPIWARPDYNPPLLDRWKAP -DYVPPTVHGCALPPRGAPPVPPPRRKRTIQLDGSNVSAALAALAEKSFPSSKPQEENSSSSGVDTQSSTT -SKVPPSPGGESDSESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTGALITPCSAEEEK -LPISPLSNSLLRHHNLVYSTSSRSASQRQKKVTFDRLQVLDDHYKTALKEVKERASRVKARMLTIEEACA -LVPPHSARSKFGYSAKDVRSLSSRAINQIRSVWEDLLEDTTTPIPTTIMAKNEVFCVDPAKGGRKPARLI -VYPDLGVRVCEKRALYDVIQKLSIETMGPAYGFQYSPQQRVERLLKMWTSKKTPLGFSYDTRCFDSTVTE -QDIRVEEEIYQCCNLEPEARKVISSLTERLYCGGPMFNSKGAQCGYRRCRASGVLPTSFGNTITCYIKAT -AAAKAANLRNPDFLVCGDDLVVVAESDGVDEDRAALRAFTEAMTRYSAPPGDAPQATYDLELITSCSSNV -SVARDDKGRRYYYLTRDATTPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFSILQSQEILD -RPLDFEMYGATYSVTPLDLPAIIERLHGLSAFTLHSYSPVELNRVAGTLRKLGCPPLRAWRHRARAVRAK -LIAQGGKAKICGLYLFNWAVRTKTNLTPLPAAGQLDLSSWFTVGVGGNDIYHSVSRARTRHLLLCLLLLT -VGVGIFLLPAR - ->YP_001469630.1 polyprotein [Hepatitis C virus genotype 2] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPNDPRHRSRNVGKVIDTLTCGFADLMGYIPVV -GAPLGGVARALAHGVRVLEDGVNFATGNLPGCSFSIFLLALLSCITTPVSAAEVKNISTGYMVTNDCTND -SITWQLQAAVLHVPGCVPCEKVGNASQCWIPVSPNVAVQRPGALTQGLRTHIDMVVMSATLCSALYVGDL -CGGVMLAAQMFIVSPQHHWFVQDCNCSIYPGTITGHRMAWDMMMNWSPTATMILAYAMRVPEVIIDIISG -AHWGVMFGLAYFSMQGAWAKVVVILLLAAGVDARTHTVGGSAAQTTGRLTSLFDMGPRQKIQLVNTNGSW -HINRTALNCNDSLHTGFIASLFYTHSFNSSGCPERMSACRSIEAFRVGWGALQYEDNVTNPEDMRPYCWH -YPPRQCGVVSAKTVCGPVYCFTPSPVVVGTTDRLGAPTYTWGENETDVFLLNSTRPPLGSWFGCTWMNSS -GYTKTCGAPPCRTRADFNASTDLLCPTDCFRKHPDTTYLKCGSGPWLTPRCLIDYPYRLWHYPCTVNYTI -FKIRMYVGGVEHRLTAACNFTRGDRCNLEDRDRSQLSPLLHSTTEWAILPCSYSDLPALSTGLLHLHQNI -VDVQFMYGLSPALTKYIVRWEWVILLFLLLADARVCACLWMLILLGQAEAALEKLVILHAASAASCNGFL -YFVIFFVAAWYIKGRVVPLATYSLTGLWSFSLLLLALPQQAYAYDASVHGQIGAALLVMITLFTLTPGYK -TLLSRFLWWLCYLLTLGEAMVQEWAPPMQVRGGRDGIIWAVAIFYPGVVFDITKWLLAVLGPAYLLKGAL -TRVPYFVRAHALLRMCTMARHLAGGRYVQMALLALGRWTGTYIYDHLTPMSDWAASGLRDLAVAVEPIIF -SPMEKKVIVWGAETAACGDILHGLPVSARLGREVLLGPADGYTSKGWSLLAPITAYAQQTRGLLGTIVVS -MTGRDKTEQAGEIQVLSTVTQSFLGTSISGVLWTVYHGAGNKTLAGSRGPVTQMYSSAEGDLVGWPSPPG -TKSLEPCTCGAVDLYLVTRNADVIPARRRGDKRGALLSPRPLSTLKGSSGGPVLCPRGHAVGVFRAAVCS -RGVAKSIDFIPVETLDIVTRSPTFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPVAYAAQGYKVLVLNP -SVAATLGFGAYLSKAHGINPNIRTGVRTVTTGAPITYSTYGKFLADGGCAGGAYDIIICDECHAVDSTTI -LGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPNIEEVALGQEGEIPFYGRAIPLSYIKGGRHLIFCHS -KKKCDELAAALRGMGLNSVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQVVDFSL -DPTFTITTQIVPQDAVSRSQRRGRTGRGRLGIYRYVSTGERASGMFDSVVLCECYDAGAAWYELTPSETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQSGENFAYLTAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLVGPTPLLYRLGSVTNEVTLTHPVTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCLA -TGCVCIIGRLHINQRAVVAPDKEVLYEAFDEMEECASRAALIEEGQRIAEMLKSKIQGLLQQASKQAQDI -QPTVQASWPKVEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNILGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKVLVDILAGYGAGISGALVAFKIMSGEKPSMEDVVNLLPGI -LSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVTESDASQRVTQLLGSLTITSL -LRRLHNWITEDCPIPCGGSWLRDVWDWVCTILTDFKNWLTSKLFPKMPGLPFVSCQKGYKGVWAGTGIMT -TRCPCGANISGNVRLGSMRITGPKTCMNIWQGTFPINCYTEGQCVPKPAPNFKVAIWRVAASEYAEVTQH -GSYHYITGLTTDNLKVPCQLPSPEFFSWVDGVQIHRFAPTPKPFFRDEVSFCVGLNSFVVGSQLPCDPEP -DTDVLMSMLTDPSHITAETAARRLARGSPPSEASSSASQLSAPSLRATCTTHGKAYDVDMVDANLFMGGD -VTRIESGSKVVVLDSLDPMVEERSDLEPSIPSEYMLPKKRFPPALPAWARPDYNPPLVESWKRPDYQPAT -VAGCALPPPRKTPTPPPRRRRTVGLSEDSIGDALQQLAIKSFGQPPPSGDSGLSTGAGAADSGSQTPPDE -LALSETGSISSMPPLEGELGDPDLEPEQVEPQPPPQGGVAAPGSDSGSWSTCSEEDDSVVCCSMSYSWTG -ALITPCSPEEEKLPINPLSNSLLRYHNKVYCTTTKSASLRAKKVTFDRMQVLDSYYDSVLKDIKLAASKV -TARLLTMEEACQLTPPHSARSKYGFGAKEVRSLSGRAVNHIKSVWKDLLEDSETPIPTTIMAKNEVFCVD -PTKGGKKAARLIVYPDLGVRVCEKMALYDITQKLPQAVMGASYGFQYSPAQRVEFLLKAWAEKKDPMGFS -YDTRCFDSTVTERDIRTEESIYRACSLPEEAHTAIHSLTERLYVGGPMFNSKGQTCGYRRCRASGVLTTS -MGNTITCYVKALAACKAAGIIAPTMLVCGDDLVVISESQGTEEDERNLRAFTEAMTRYSAPPGDPPRPEY -DLELITSCSSNVSVALGPQGRRRYYLTRDPTTPIARAAWETVRHSPVNSWLGNIIQYAPTIWARMVLMTH -FFSILMAQDTLDQNLNFEMYGAVYSVSPLDLPAIIERLHGLDAFSLHTYTPHELTRVASALRKLGAPPLR -AWKSRARAVRASLISRGGRAAVCGRYLFNWAVKTKLKLTPLPEARLLDLSSWFTVGAGGGDIYHSVSRAR -PRLLLFGLLLLFVGVGLFLLPAR - ->YP_001469633.1 polyprotein [Hepatitis C virus genotype 5] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPKLGVRATRKNSERSQPRGRRQPIPKARR -PTGRSWGQPGYPWPLYANEGLGWAGWLLSPRSSRPNWGPNDPRRKSPNLGRVIHTLTCGFPHLMGYIPLV -GGPVGGVSRALAHGVKVLEDGINYATGNLPGCPFSIFVLALLWCLTVPASAVPYRNASGVYHVTNDCPNS -SIVYEADNLILHAPGCVPCVLEDNVSRCWVQITPTLSAPSFGAVTALLRRAVDYLAGGAAFCSALYVGDA -CGALSLVGQMFTYKPRQHTTVQDCNCSIYSGHITGHRMAWDMMMKWSPTTALLMAQLLRIPQVVIDIIAG -GHWGVLLAAAYFASTANWAKVILVLFLFAGVDGRTHTVGGTVGQGLKSLTSFFNPGPQRQLQFVNTNGSW -HINSTALNCNDSLQTGFIAGLMYAHKFNSSGCPERMSSCRPLAAFDQGWGTISYATISGPSDDKPYCWHY -PPRPCGVVPARDVCGPVYCFTPSPVVVGTTDRRGCPTYNWGSNETDILLLNNIRPPAGNWFGCTWMNSTG -FVKNCGAPPCNLGPTGNNSLKCPTDCFRKHPDATYTRCGSGPWLTPRCLVHYPYRLWHYPCTVNYTIFKV -RMFIGGLEHRLEAACNWTYGERCDLEDRDRAELSPLLHTTTQWAILPCSFTPTPALSTGLIHLHQNIVDT -QYLYGLSSSIVSWAVKWEYIMLVFLLLADARICTCLLILLLICQAEATCKNVIVLNAAAAAGNHGFFWGL -LVVCLAWHVKGRLVPGATYLCLGVWPLLLVRLLRPHRALALDSSDGGTVGCLVLIVLTIFTLTPGYKKKV -VLVMWWLQYFIARVEAIIHVWVPPLQVKGGRDAVIMLTCLFHPALGFEITKILFGILGPLYLLQHSLTKV -PYFLRARALLRLCLLAKHLVYGKYVQAALLHLGRLTGTYIYDHLAPMKDWAASGLRELTVATEPIVFSAM -ETKVITWGADTAACGNILAVLPVSARRGREIFLGPADDIKTSGWRLLAPITAYAQQTRGVLGAIVLSLTG -RDKNEAEGEVQFLSTATQTFLGICINGVMWTLFHGAGSKTLAGPKGPVVQMYTNVDKDLVGWPSPPGKGS -LTRCTCGSADLYLVTRHADVIPARRRGDTRASLLSPRPISYLKGSSGGPIMCPSGHVVGVFRAAVCTRGV -AKALEFVPVENLETTMRSPVFTDNSTPPAVPHEFQVGHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATFGFGAYMSRAYGVDPNIRTGVRTVTTGAGITYSTYGKFFADGGCSGGAYDVIICDECHSQDATTILGI -GTVLDQAETAGARLVVLATAIPPGSVTTPHPNIEEVALPSEGEIPFYGRAIPLVLIKGGRHLIFCHSKKK -CDELAKQLTSLGVNAVAYYRGLDVAVIPATGDVVVCSTDALMTGFTGDFDSVIDCNSAVTQTVDFSLDPT -FTIETTTVPQDAVSRSQRRGRTGRGRHGIYRYVSSGERPSGIFDSVVLCECYDAGCAWYDLTPAETTVRL -RAYLNTPGLPVCQEHLEFWEGVFTGLTNIDAHMLSQAKQGGENFPYLVAYQATVCVRAKAPPPSWDTMWK -CMICLKPTLTGPTPLLYRLGAVQNEITLTHPITKYIMACMSADLEVITSTWVLVGGVVAALAAYCLTVGS -VAIVGRIILSGRPAITPDREVLYQQFDEMEECSASLPYVDEARAIAGQFKEKVLGLIGTAGQKAETLKPA -ATSMWSKAEQFWAKHMWNFVSGIQYLAGLSTLPGNPAVATLMSFTAAVTSPLTTHQTLLFNILGGWVASQ -IAPPTAATAFVVSGMAGAAVGNIGLGRVLIDILAGYGTGVAGALVAFKIMCGERPTAEELVNLLPSILCP -GALVVGVICAAVLRRHIGPGEGAVQWMNRLIAFASRGNHGSPTHYVPETDASAKVTQLLSSLTVTSLLKR -LHTWIGEDYSTPCDGTWLRAIWDWVCTALTDFKAWLQAKLLPQLPGVPFFSCQKGYKGVWRGDGVNSTKC -PCGATISGHVKNGTMRIVGPKLCSNTWQGTFPINATTTGPSVPAPAPNYKFALWRVGAADYAEVRRVGDY -HYITGVTQDNLKCPCQVPSPEFFTELDGVRIHRFAPPCNPLLREEVTFSVGLHSYVVGSQLPCEPEPDVT -VLTSMLSDPAHITAETAKRRLNRGSPPSLANSSASQLSAPSLKATCTIQGHHPDADLIKANLLWRQCMGG -NITRVEAENKVEILDCFKPLKEEEDDREISVSADCFKKGPAFPPALPVWARPGYDPPLLETWKRPDYDPP -QVWGCPIPPAGPPPVPLPRRKRKPMELSDSTVSQVMADLADARFKVDTPSIEGQDSALGTSSQHDSGPEE -KRDDNSDAASYSSMPPLEGEPGDPDLSSGSWSTVSGEDNVVCCSMSYTWTGALITPCSAEEEKLPINPLS -NTLLRHHNLVYSTSSRSAGLRQKKVTFDRLQVLDDHYREVVDEMKRLASKVKARLLPLEEACGLTPPHSA -RSKYGYGAKEVRSLDKKALKHIEGVWQDLLDDSDTPLPTTIMAKNEVFAVEPSKGGKKPARLIVYPDLGV -RVCEKRALYDVAQKLPTALMGPSYGFQYSPAQRVDFLLKAWKSKKIPMAFSYDTRCFDSTITEHDIMTEE -SIYQSCDLQPEARVAIRSLTQRLYCGGPMYNSKGQQCGYRRCRASGVFTTSMGNTMTCYIKALASCRAAK -LRDCTLLVCGDDLVAICESQGTHEDEASLRAFTEAMTRYSAPPGDPPVPAYDLELVTSCSSNVSVARDAS -GNRIYYLTRDPQVPLAKAAWETAKHSPVNSWLGNIIMYAPTLWARIVLMTHFFSVLQSQEQLEKTLAFEM -YGSVYSVTPLDLPAIIQRLHGLSAFSLHSYSPSEINRVASCLRKLGVPPLRAWRHRARAVRAKLIAQGGR -AAICGIYLFNWAVKTKRKLTPLADADRLDLSSWFTVGAGGGDIYHSMSRARPRNLLLCLLLLSVGVGIFL -LPAR - ->YP_001469632.1 polyprotein [Hepatitis C virus genotype 4] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRGRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGSVARALAHGVRALEDGINYATGNLPGCSFSIFLLALLSCLTVPASAVNYRNVSGIYHVTNDCPNS -SIVYEADHHIMHLPGCVPCVREGNQSRCWVALTPTVAAPYIGAPLESLRSHVDLMVGAATVCSGLYIGDL -CGGLFLVGQMFSFRPRRHWTTQDCNCSIYTGHITGHRMAWDMMMNWSPTTTLVLAQVMRIPTTLVDLLSG -GHWGVLVGVAYFSMQANWAKVILVLFLFAGVDAETHVSGAAVGRSTAGLANLFSSGSKQNLQLINSNGSW -HINRTALNCNDSLNTGFLASLFYTHKFNSSGCSERLACCKSLDSYGQGWGPLGVANISGSSDDRPYCWHY -APRPCGIVPASSVCGPVYCFTPSPVVVGTTDHVGVPTYTWGENETDVFLLNSTRPPHGAWFGCVWMNSTG -FTKTCGAPPCEVNTNNGTWHCPTDCFRKHPETTYAKCGSGPWITPRCLIDYPYRLWHFPCTANFSVFNIR -TFVGGIEHRMQAACNWTRGEVCGLEHRDRVELSPLLLTTTAWQILPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSAVVSWALKWEYVVLAFLLLADARVSAYLWMMFMVSQVEAALSNLININAASAAGAQGFWYAIL -FICIVWHVKGRFPAAAAYAACGLWPCFLLLLMLPERAYAYDQEVAGSLGGAIVVMLTILTLSPHYKLWLA -RGLWWIQYFIARTEAVLHVYIPSFNVRGPRDSVIVLAVLVCPDLVFDITKYLLAILGPLHILQASLLRIP -YFVRAQALVKICSLLRGVVYGKYFQMVVLKSRGLTGTYIYDHLTPMSDWPPYGLRDLAVALEPVVFTPME -KKVIVWGADTAACGDIIRGLPVSARLGNEILLGPADTETSKGWRLLAPITAYAQQTRGLFSTIVTSLTGR -DTNENCGEVQVLSTATQSFLGTAVNGVMWTVYHGAGAKTISGPKGPVNQMYTNVDQDLVGWPAPPGVRSL -APCTCGSADLYLVTRHADVIPVRRRGDTRGALLSPRPISILKGSSGGPLLCPMGHRAGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFTDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAHAAQGYKVLVLNPSVAA -TLGFGVYMSKAYGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECYSTDSTTILGIG -TVLDQAETAGVRLTVLATATPPGSVTTPHSNIEEVALPTTGEIPFYGKAIPLELIKGGRHLIFCHSKKKC -DELARQLTSLGLNAVAYYRGLDVSVIPTSGDVVVCATDALMTGFTGDFDSVIDCNTSVIQTVDFSLDPTF -SIEITTVPQDAVSRSQRRGRTGRGRLGTYRYVTPGERPSGMFDTAELCECYDAGCAWYELTPAETTTRLK -AYFDTPGLPVCQDHLEFWESVFTGLTHIDGHFLSQTKQSGENFPYLVAYQATVSAKVWLAPPSWDTMWKC -LIRLKPTLHGPTPLLYRLGSVQNEVVLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLSVGSV -VIVGRVVLSGQPAVIPDREVLYQQFDEMEECSKHLPLVEHGLQLAEQFKQKALGLLNFAGKQAQEATPVI -QSNFAKLEQFWANDMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -RDSDASTAFVVSGLAGAAVGSVGLGKILVDILPGYGAGVRGAVVTFKIMSGEMPSTEDLVNLLPAILSPG -ALVVEVVCPAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAARRVTTILSSLTVTSLLRRL -HKWINEDCSTPCAESWLWEVWDWVLHVLSDFKTCLKAKFVPLMPGIPLLSWPRGYKGEWRGDGVMHTTCP -CGADLAGHIKNGSMRITGPKTCSNTWHGTFPINAYTTGPGVPIPAPNYKFALWRVSAEDYVEVRRVGDFH -YVTGVTQDNIKFPCQVPAPELFTEVDGIRIHRHAPKCKPLLRDEVSFSVGLNSFVVGSQLPCEPEPDVAV -LTSMLTDPSHITAESARRRLARGSRPSLASSSASQLSPRLLQATCTAPHDSPGTDLLEANLLWGSTATRV -ETDEKVIILDSFESCVAEQNDDREVSVAAEILRPTKKFPPALPIWARPDYNPPLTETWKQQDYQAPTVHG -CALPPAKQPPVPSPRRKRTVQLTESVVSTALAELAAKTFGQSEPSSDRDTDLTTPTETTDSGPIVVDDAS -DDGSYSSMPPLEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPCAAEESKLPISPLSNSLLRH -HNMVYATTTRSAVTRQKKVTFDRLQVVDSTYNEVLKEIKARASRVKPRLLTTEEACDLTPPHSARSKFGY -GKKDVRSHSRKAINHISSVWKDLLDDNNTPIPTTIMAKNEVFAVNPAKGGRKPARLIVYPDLGSRVCEKR -ALHDVIKKTALAVMGAAYGFQYSPAQRVEFLLTAWKSKNDPMGFSYDTRCFDSTVTEKDIRVEEEVYQCC -DLEPEARKVITALTDRLYVGGPMHNSKGDLCGYRRCRATGVYTTSFGNTLTCYLKATAAIRAAALRDCTM -LVCGDDLVVIAESDGVEEDNRALRAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDVTGKKVYY -LTRDPETPLARAVWETVRHTPVNSWLGNIIVYAPTIWVRMILMTHFFSILQSQEALEKALDFDMYGVTYS -ITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGALRKLGVPPLRAWRHRARAVRAKLIAQGGRAKICGI -YLFNWAVKTKLKLTPLPAAAKLDLSGWFTVGAGGGDIYHSMSHARPRYLLLCLLILTVGVGIFLLPAR - ->YP_009179227.1 flavivirus polyprotein [Wenling shark virus] -MAEQWGTPPSPRLLRAVRRLVRWRRPRLLHSLIQKARTNGEYLFEHLGHLPKEFAALGHFVSLDPVTYVP -DSGFCCFKDKARVAWCGDSVRVQGDSGVIRVPVTHRSDLDYVFAGVAGQQLPSSAWMAGGRPRGRSRTRE -RALVVWQPPRAPSRRRSRSRSRQGPRGRSRRRSRTPSRSRSRSSRPSRNWFARKAYGGPIPGVDWAMHSL -QRLAAPKSGGWSDDPRHRSRNLGRVIDGVTGWAADLGMSLPIVWPVTGYGLRFLAHCVRGLEDVLNAGTG -VAGVQLFIIMLCLLPVPMDSAPTKACRLPDRTWTLSNVCTQADLRYCTSDMCWHSPGCYVATDICWTQYS -PTLSHHPDRASPLTLFADHLDIFSVVVYGCEVSGAGEVCGVTLVILDLLVEYFPRHVETNCSMSCGLSVD -AFTITTALDGLLESNAFLDLMAMVLKQVPWLIGMLFTEFHMGILFVSMAYLIEGKAFRVVMLLGLYATLH -SAVAAPCDLTLRYHSCFTPHLQHVFNKTPVARMRGKCINPEGQPCDIGKQPCVGMGDNFTVSPKLTLAAC -HFGRNLSRCVSATNTSAGEKPDGKIRFKRIPLPVTCICKPDRLSRMPGSPYYQRRKFPWFPLWGVPVIAG -RDHIEAFVCPGHAFAIGDATQGRLALASETSQVFTGLPKSHLFSLFERSVFLFCLLQFMGARLVGLVYLL -WVMGFAEASVDFSAPVALATAPLIDLAPWLAVAVWVLLMYGSRPLSLALGVVLQVFYGQRLSALLAVAVL -LLTRNSALGDDGSYNTPAINCWAAVGFIFLLTWVPFWRFRVWRVKLAWVVFYLREVFYATLEALFKSPPT -TLVYPVYALLVWCAGCAGYAPYCLYAITCCSVVDALFYCALHYLLPVDRNRLIEWCCKFCIKLPLLLERA -VGTLLVWRLSAYRVYVYDHLTPLGPAMRTLALDIRAATDPFVFPTAEVKYIHDSAVRYACGDCVGGLPVV -ARRGASVLLGVPLDGIPPHYNRCAPVTTKVMGDDGIFTRLKVCITGRDSTTHSGQVCILKTMLSSSMGYG -CNGILFTTSHGSRARSMAAEGGARNPLSDDSQSDITCYRLPKGMSCLQQCTCMCRTGFLVAKDGGTYPVT -HREDEVWALDSPTPLSLLRGSSGGPVVCPQGHAIGTFRAAGVRGGVGVAAHVVPVRMPEGAPGPMPTPEN -CITPTPTAQFSIKELVAPTGSGKSTRVPADYISAGHTVLVLNPSVATTAAMPGYMHSKYGLSPNWHCGNT -SVITGSPLTYSTYGKMLAIGKPMFEGVNIIICDECHATDATTVLGIAVVLSEAESMGVKTVILATATPPG -THMAPHPQITEVPLGTDGDIPFYGSSLKSTNYKSGRHLVFCDTKKQCVAIADSFTALGIEAVTFWRGKDV -SVIKAEGDIVVVATNALMTGYTGNFQTVTDCCTEVVSNAEIDFQPTITISVRSQLASVVTRLQRRGRTGR -GAPGTYFFALKQHGQAGIVPLSTVMEVYDSGMAWYTLAPARITQLLGAYALQPLLPCITTELTPVQDFYT -SMIRAVTSPNVARAKQQEVNYPLLTGSQVDFAQERGYTLPVCDRYKGYGVPLADKDAILLYNLDGPTQHF -NSDNTRVLELMGALGVVQLDMSLVAGLALAAGLCSVAVIADSLGSIMVTHTFHVCMQGQRAPVHEDPGLS -LQECVEGVDLSGALEITKPLYDRIWEAASQHATAASTAVGAAAAPHIDLLKTKTAEIADIAAKHGAAAAA -YCKLHGTKALDWLKELRAGSVPPVPELPLPPPPPPVPFGTQVLGFLAEHGPKIMAAAQVLGGFTILGTSP -AMGGAMLGTGFFLLPRSLPGRFFMAMLAGGLGTWLSTPAGGFAAALGSFAGIALADSGFCSIFQQALTTY -MGATTAASIVFDLLGGKLPTAQEAVGLFTLIINPGAAVVGCVLAGLLHAYATRGSSEWLNRMLAMAVRGN -VLPPGYFVEGETPREKISTLLRSMTPAALLRATLSWTRTTNTVVMADQPHHWLFDYIWGILCGIGRWART -TIATFTQSLEPKIPMFSCTKPYTAGLAGTGKINTLCFCGAALSYSVVDGNPVCEFSSRATCRSYWCKGVP -LGPQSVLTGTVSLAPEYPYEAEYPCGLRDRVLIRHVLEGFTVLKSSSQCVYHPISYVPNLRRAIKVNGAP -VTHNESNVRNVADMQWTAGATIRFNHEEIKLPHTVIFNTNYRDEGTGEIPEVEEPPERADVCSEKGGSWS -EVSVPHHPRSWGSEDLKPCSPPRSVDPDFCVNRWLNQPITAEFPTEYPSRTNSDPGEGERGRTSPYTGSP -APPSEGIAVIDITSVEQPKKRGGPAIINAAYVQGPPNPIRKSILSLPGSVKRLVRSISSDTPTAIPLVTR -QVSDAGDSRGRHVHYGRATGAEDRCHCTFAETGSPAGTVHSKSSLCSPTPSVKRVTFHDNKAFDPGPEEI -PHPLDPGKTTDVHGLRIRRFEKTNETWSPMSHSYVWNGTPPLTAWVRKAMNPVAEAAFHLGTKRGALYAT -DPERIGERIQKVTVWRDSFTETPEYRYFVNLARDRAMGVRERTMTLEEACAATPPRSARSCVTGLTALDI -RAVTPDARQAVYDAYAAVCHGVDKDKWAFTTLMPKVEWFVRRPGGSMKAPRLIMYPPLEVRVAEKLLLGR -IAPAVCKAVLREEYGFDCTPQERASRLVKWWNTTNNPMVFTADAFCYDSQITPHDMRVEAQIFADGTRQP -DAKKDIHGITENLYAWSPVERTDRVVIGARACRASGVLTTSTGNTLTCWIKMKAAMREAGISDPHMIVCG -DDCIVVARSEGPECDKDRLEVLSNRLSNLGLRQGDPILPAYSLEAVTTCSAYVTTAYPKDQRNPDYFLSP -DPVRTLGRCMVESRDRTAPFTWLSAIVSYYPTYFASRILCVAWLREIINAKKYDADSTLAFEYYGNVIEA -PLKMLPYILVHLHGRNVFSYTRYSAKHLGDVSHALERLGYKPIRAWRNEARRVWALCLKEGGTLYFLARH -LLWHASGVPPVNSLDRYYLKKVECNSMTQLLPFSSVHVDYGEGPLGRGPSRISWQMIGAAVLVLTALFAA -LWPLRG - ->sp|O92972.2|POLG_HCVJ4 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKASERSQPRGRRQPIPKARR -PEGRAWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVRNVSGIYHVTNDCSNS -SIVYEAADVIMHTPGCVPCVREGNSSRCWVALTPTLAARNASVPTTTIRRHVDLLVGTAAFCSAMYVGDL -CGSIFLVSQLFTFSPRRHETVQDCNCSIYPGHVSGHRMAWDMMMNWSPTTALVVSQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMVGNWAKVLIVALLFAGVDGETHTTGRVAGHTTSGFTSLFSSGASQKIQLVNTNGSW -HINRTALNCNDSLQTGFFAALFYAHKFNSSGCPERMASCRPIDWFAQGWGPITYTKPNSSDQRPYCWHYA -PRPCGVVPASQVCGPVYCFTPSPVVVGTTDRSGVPTYSWGENETDVMLLNNTRPPQGNWFGCTWMNSTGF -TKTCGGPPCNIGGVGNRTLICPTDCFRKHPEATYTKCGSGPWLTPRCLVDYPYRLWHYPCTLNFSIFKVR -MYVGGVEHRLNAACNWTRGERCNLEDRDRSELSPLLLSTTEWQILPCAFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSAFVSFAIKWEYILLLFLLLADARVCACLWMMLLIAQAEAALENLVVLNAASVAGAHGILSFLV -FFCAAWYIKGRLAPGAAYAFYGVWPLLLLLLALPPRAYALDREMAASCGGAVLVGLVFLTLSPYYKVFLT -RLIWWLQYFITRAEAHMQVWVPPLNVRGGRDAIILLTCAVHPELIFDITKLLLAILGPLMVLQAGITRVP -YFVRAQGLIRACMLVRKVAGGHYVQMAFMKLGALTGTYVYNHLTPLRDWAHAGLRDLAVAVEPVVFSAME -TKVITWGADTAACGDIILGLPVSARRGKEIFLGPADSLEGQGWRLLAPITAYSQQTRGVLGCIITSLTGR -DKNQVEGEVQVVSTATQSFLATCINGVCWTVYHGAGSKTLAGPKGPITQMYTNVDLDLVGWQAPPGARSM -TPCSCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPVSYLKGSSGGPLLCPSGHVVGVFRAAVCTRGVA -KAVDFIPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNIRTGVRTITTGGSITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEIGLSNNGEIPFYGKAIPIEAIKGGRHLIFCHSKKKC -DELAAKLTGLGLNAVAYYRGLDVSVIPPIGDVVVVATDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTVPQDAVSRSQRRGRTGRGRSGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVILTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGSV -VIVGRIILSGKPAVVPDREVLYQEFDEMEECASQLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPVV -ESKWRALETFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQNTLLFNILGGWVAAQL -APPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGEVPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTITQLLKRL -HQWINEDCSTPCSGSWLRDVWDWICTVLTDFKTWLQSKLLPRLPGVPFLSCQRGYKGVWRGDGIMQTTCP -CGAQIAGHVKNGSMRIVGPRTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEVTRVGDFH -YVTGMTTDNVKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLREDVTFQVGLNQYLVGSQLPCEPEPDVTV -LTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTHHDSPDADLIEANLLWRQEMGGN -ITRVESENKVVILDSFEPLHAEGDEREISVAAEILRKSRKFPSALPIWARPDYNPPLLESWKDPDYVPPV -VHGCPLPPTKAPPIPPPRRKRTVVLTESNVSSALAELATKTFGSSGSSAVDSGTATALPDLASDDGDKGS -DVESYSSMPPLEGEPGDPDLSDGSWSTVSEEASEDVVCCSMSYTWTGALITPCAAEESKLPINPLSNSLL -RHHNMVYATTSRSASLRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKAKLLSIEEACKLTPPHSAKSKF -GYGAKDVRNLSSRAVNHIRSVWEDLLEDTETPIDTTIMAKSEVFCVQPEKGGRKPARLIVFPDLGVRVCE -KMALYDVVSTLPQAVMGSSYGFQYSPKQRVEFLVNTWKSKKCPMGFSYDTRCFDSTVTESDIRVEESIYQ -CCDLAPEARQAIRSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKATAACRAAKLQDC -TMLVNGDDLVVICESAGTQEDAAALRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDASGKRV -YYLTRDPTTPLARAAWETARHTPINSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGAC -YSIEPLDLPQIIERLHGLSAFTLHSYSPGEINRVASCLRKLGVPPLRTWRHRARSVRAKLLSQGGRAATC -GRYLFNWAVRTKLKLTPIPAASQLDLSGWFVAGYSGGDIYHSLSRARPRWFPLCLLLLSVGVGIYLLPNR - ->sp|Q01404.4|POLG_HCVH4 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLTRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRAWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGASRALAHGVRVLEDGVNYATGNLPGCSFSIFLSALMSCLTAPASAYEVRNVSGIYHVTNDCSNS -SIAYEAAGMIMHTPGCVPCVREDNSSRCWVALTPTLAARNASVPTTTIRRHVDLLVGAATLCSAMYVGDL -CGSVFLVSQLITFSPRRYETVQDCNCSLYPGHVSGHRMAWDMMMNWSPTAALVVSQLLRIPQAVVDIVAG -AHWGVLAGLAYYPMVGNWAKVLIVMLLFAGVDGANTHTVGGTEGFTTQRFTSLFTLGPSQKIQLINTNGS -WHINRTALNCNDSFKTGFLAALFYVHKFNASGCPEHMASCRPIDKFDQGWGPVTYAEPSISEQRPYCWHY -APRPCGTIPASEVCGPVYCFTPSPVVVGTTDRF - ->sp|Q03463.1|POLG_HCVJ1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTIPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKVRR -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNSTGLYHVTNDCPNS -SIVYEAHDAILHTPGCVPCVREGNVSRCWVAMTPTVATRDGKLPATQLRRHIDLLVGSATLCSALYVGDL -CGSVFLIGQLFTFSPRRHWTTQGCNCSIYPGHITGHRMAWDMMMNWSPTAALVMAQLLRIPQAILDMIAG -AHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDAETIVSGGQAARAMSGLVSLFTPGAKQNIQLINTNGSW -HINSTALNCNESLNTGWLAGLIYQHKFNSSGCPERLASCRRLTDFDQGWGPISHANGSGPDQRPYCWHYP -PKPCGIVPAKSVCGPVYCFTPSPVVVGTTDRSGAPTYNWGANDTDVFVLNNTRPPLGNWFGCTWMNSTGF -TKVCGAPPCVIGGGGNNTLHCPTDCFRKHPEATYSRCGSGPWITPRCLVDYPYRLWHYPCTINYTIFKVR -MYVGGVEHRLDAACNWTRGERCDLEDRDRSELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSSIASWAIKWEYVVLLFLLLADARVCSCLWMMLLISQAEAALENLVILNAASLAGTRGLVSFLV -FFCFAWYLKGRWVPGAAYALYGMWPLLLLLLALPQRAYALDTEVAASCGGVVLVGLMALTLSPYYKRCIS -WCLWWLQYFLTRVEAQLHVWVPPLNVRGGRDAVILLMCVVHPTLVFDITKLLLAVLGPLWILQASLLKVP -YFVRVQGLLRICALARKMVGGHYVQMAIIKLGALTGTYVYNHLTPLRDWAHNGLRDLAVAVEPVVFSQME -TKLITWGADTAACGDIINGLPVSARKGREILLGPADGMVSKGWRLLAPITAYAQQTRGLLGCIITSLTGR -DKNQVEGEVQIVSTAAQTFLATCINGVCWTVYHGAGTRTIASPKGPVIQMYTNVDQDLVGWPAPQGARSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPAGHVVGIFRAAVCTRGVA -KAVDFIPVESLETTMRSPVFTDNSSPPAVPQSFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNIRTGVRTITTGSPITYSTYGKFLADGGCSGGAYDIIICDECHSTDATSVLGIG -TVLDQAETAGARLVVLATATPPGSITVPHANIEEVALSTTGEIPFYGKAIPLEAIKGGRHLIFCHSKKKC -DELAAKLVALGVNAVAYYRGLDVSVIPTSGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTLPQDAVSRTQRRGRTGRGKPGIYRFVAPGERPSGMFDSSILCECYDTGCAWYELTPAETTVRLR -AYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQGGENFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQGEVTLTHPVTKYIMTCMSADLEVVTSTWVLVGGVLAALAAYCLSTGCV -VIVGRIVLSGRPAIIPDREVLYREFDEMEECSQHLPYIEQGMMLAEQFKQKALGLLQTASRQAEVIAPTV -QTNWQKLEAFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTSQTLLFNILGGWVAAQL -AAPGAATAFVGSGLAGAAVGSVGLGRVLVDILAGYGAGVAGALVAFKIMSGELPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLRRL -HQWLSSESTTPCSGSWLRDIWDWICEVLSDFKTWLKTKLMPHLPGIPFVSCQHGYKGVWRGDGIMHTRCH -CGAEITGHVKNGTMRIVGPKTCRNMWSGTFPINAYTTGPCTPLPAPNYTFALWRVSAEEYVEIRRVGDFH -YVTGMTTDNLKCPCQVPSPEFFTELDGVRLHRFAPPCKPLLREEVSFRVGLHDYPVGSQLPCEPEPDVAV -LTSMLTDPSHITAAAAGRRLARGSPPSEASSSASQLSAPSLKATCTINHDSPDAELIEANLLWRQEMGGN -ITRVESENKVVILDSFDPLVAEEDEREISVPAEILRKSRRFTQALPIWARPDYNPPLIETWKKPNYEPPV -VHGCPLPPPQSPPVPPPRKKRTVVLTESTLSTALAELAAKSFGSSSTSGITGDNTTTSSEPAPSGCSPDS -DAESYSSMPPLEGEPGDPDLSDGSWSTVSSEAGTEDVVCCSMSYTWTGALITPCAAEEQKLPINALSNSL -LRHHNLVYSTTSRSACQRQKKVTFDRLQVLDSHYQDVLKEVKAAASKVKANLLSVEEACSLTPPHSAKSK -FGYGAKDVRCHARKAVNHINSVWKDLLEDSVTPIQTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVC -EKMALYDVVSKLPPAVMGSSYGFQYSPGQRVEFLVQAWKSKRTPMGFSYDTRCFDSTVTESDIRTEEAIY -QCCDLDPQARVAIRSLTERLYVGGPLTNSRGENCGYRRCRASGVLTTSCGNTLTCYIKARAACRAAGLQD -CTMLVCGDDLVVICESAGVQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDGTGKR -VYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARMILMTHFFSVLIARDQLEQALDCEIYGA -CYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRARLLSRGGRAAI -CGKYLFNWAVRTKLKLTPIAAAGRLDLSGWFTAGYSGGDIYHSVSHARPRWFWFCLLLLAAGVGIYLLPN -R - ->sp|Q9QAX1.3|POLG_HCVVA RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -SAGKSWGRPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCMSVPVSAVEVKNTSQIYMATNDCSNN -SITWQLEGAVLHVPGCVPCESTGNISRCWIPVTPNVAVRERGALTKGLRTHIDLIVVSATFCSALYIGDV -CGAIMIAAQATIISPQHHTFVQDCNCSIYPGHVTGHRMAWDMMMNWSPATTMIMAYFMRVPEVVLDIITG -AHWGVMFGLAYFSMQGAWAKVVVILLLTAGVDAQTHTISGHAARTTHGLVSLFTPGSQQNIQLVNTNGSW -HINRTALNCNDSLKTGFIAALFYSHKFNSSGCPQRMSSCRSIEEFRIGWGNLEYEENVTNDDNMRPYCWH -YPPRPCGIVPAQTVCGPVYCFTPSPVVVGTTDRRGVPTYTWGENDTDVFLLNSTRPPRGAWFGCTWMNST -GFTKTCGAPPCRIRPDFNSSEDLLCPTDCFRKHSEATYTRCGAGPWLTPKCLFHYPYRLWHYPCTINFTI -HKIRMFIGGVEHRLEAACNFTRGDRCNLEDRDRSQLSPLLHSTTEWAILPCTFSDMPALSTGLLHLHQNI -VDVQYLYGLSPAITKYIVKWEWVVLLFLLLADARVCACLWMLLLLGQAEAALEKLVILHAASAASSHGML -CFIIFFIAAWYIKGRVTPLVTYSYLGMWSFSLLLLALPQQAYALDTTEQGQIGLVLLVVISVFTLSPAYK -ILLCRSLWWLSYLLVRAEALIQDWVPPWQARGGRDGIIWAATIFCPGVLFDITNWLLAILGPGYLLRSVL -TSTPYFVRAQALLRICAAVRHLSGGKYVQMMLLTLGKWTGTYIYDHLSPMSGWAASGLRDLAVAVEPIVF -SPMEKKVIVWGAETAACGDILHGLPVSARLGQEVLLGPADEYTSKGWKLLAPITAYAQQTRGLLGTIVVS -MTGRDKTEQAGEIQVLSTVTQSFLGTTISGILWTVFHGAGNKTLAGSRGPVTQMYSSAEGDLVGWPSPPG -TRSLDPCTCGAVDLYLVTRNADVIPARRQGDRRGALLSPRPLSSLKGSSGGPVLCPRGHAVGIFRAAICT -RGAAKSIDFIPIESLDVIIRSPNFTDNSSPPAVPQTYQVGYLHAPTGSGKSTKVPASYAAQGYKVLVLNP -SVAATLGFGAYMSKAHGINPNIRTGVRTVTTGESITYSTYGKFLADGGCSGGAYDVIICDECHSVDATTI -LGIGTVLDQAETAGARLTVLATATPPGSVTTPHPNIEEVALGHEGEIPFYGKAIPLSQIKGGRHLIFCHS -KKKCDELAAALRGMGLNAVAYYRGLDVSVIPTQGDVVVVATDALMTGFTGDFDSVVDCNVAVTQTVDFSL -DPTFTVTTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSSGERASGMFDSVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGENFPYLVAYQATVCARAKAPPPSWDV -MWKCLIRLKPTLTGPTPLLYRLGPVTNETTLTHPVTKYIATCMQADLEIMTSTWVLAGGVLAAIAAYCLA -TGCVVCIGRVNINQKTIVAPDKEVLYEAFDEMEECASRALLLEEGQRIAEMLKSKIQGLLQQATKQAQDI -QPAVQATWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVAAMMAFSAALTSPLPTSTTILLNIMGGWL -ASQIAPAAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPGI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVTQLLGSLTITSL -LRRLHTWITEDCPVPCAGSWLRDIWDWACTILTDFKNWLSTKLLPKMPGLPFISCQRGHKGAWTGTGIMT -TRCPCGAVVSGNVRHGSMRITGPKTCMNTWQGTFPINCYTEGQCAPQPTHNYKTAIWKVAAAEYAEVTRH -GSYAYVTGLTNDNLKVPCQLPAPEFFSWVDGVQIHRFAPTPKPFIRDEVSFTVGLNSFVVGSQLPCEPEP -DTEVLASMLTDPSHITAEAAARRLARGSPPSEASSSASQLSAPSLRATCTAHAKNYAVEMVDANFFMGSD -VTRIESETKVLILDSLDPSVEEEDEREPSVPSEYLLPKKKFPQALPVWARPDYNPPVVETWKRPDYDPPT -VSGCALPPRVTAPTPPPRRRRALVLSQSNVGEALQALAIKSFGQLPPSCDSGRSTGMDTTDATDQPALKE -STDSEAGSDSSMPPLEGEPGDPDLESGSVEYHPSSQEGEAAPDLDSGSWSTCSEEGGSEVCCSMSYSWTG -ALITPCGPEEEKLPINPLSNSLLRYHNKVYSTTSRSASQRAKKVTFDRVQLLDSHYDQVLKDIKLAASKV -SANLLSIEEACALTPPHSARSKYGFGAKEVRSLSRKAVDHIKSVWKDLLEDQQTPIPTTIMAKNEVFCID -PTKGGKKAARLIVFPDLGVRVCEKMALYDITQKLPQAVMGASYGFQYSPAQRVDFLLRAWKEKKDPMGFS -YDTRCFDSTVTERDIRTEESIYLACSLPEEARVAIHSLTERLYVGGPMMNSKGQSCGYRRCRASGVLTTS -MGNTITCYVKALAACKAAGIVAPTMLVCGDDLVVISESQGAEEDERNLRVFTEAMTRYSAPPGDPPKPEY -DLELITSCSSNVSVALDQHGRRMYYLTRDPSTPLARAAWETARHSPVNSWLGNIIQYAPTIWVRMVLMTH -FFSVLMAQETLDQDLNFEMYGAVYSVNPLDLPAIIERLHGLEAFSLHGYSPTELTRVAAALRKLGAPPLR -AWKSRARAVRASLISQGGRAATCGFYLFNWAVRTKRKLTPLPAARRLDLSGWFTVGAGGGDIYHSVSRAR -PRFLLLCLLLLSVGVGIFLLPAR - ->sp|Q9DHD6.3|POLG_HCVJP RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPSDPRHRSRNLGRVIDTITCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATRNLPGCSFSIFLLALLSCVTVPVSSVEIRNISTSYYATNDCSNN -SITWQLTNAVLHLPGCVPCENDNGTLRCWIQVTPNVAVKHRGALTHNLRAHVDVIVMAATVCSALYVGDV -CGAVMIVSQALIVSPERHNFTQECNCSIYQGHITGQRMAWDMMLNWSPTLTMILAYAARVPELVLEIVFG -GHWGVVFGLAYFSMQGAWAKVIAILLLVAGVDATTYSTGATVGRTVGSFAGLFKLGAQQNVQLINTNGSW -HINRTALNCNDSLHTGFMAALFYANKFNSSGCPERLSSCRGLDDFRIGWGTLEYETNVTNVEDMRPYCWH -YPPKPCGIVPAQSVCGPVYCFTPSPVVVGTTDRQGVPTYNWGDNETDVFLLNSTRPPRGAWFGCTWMNGT -GFTKTCGAPPCRIRKDFNSTLDLLCPTDCFRKHPDATYVKCGAGPWLTPRCLIDYPYRLWHYPCTVNFTI -FKVRMYVGGVEHRFSAACNFTRGDRCRLEDRDRGQQSPLLHSTTEWAVLPCSFSDLPALSTGLLHLHQNI -VDVQYLYGLSPAVTKYIVKWEWVVLLFLLLADARICACLWMLIILGQAEAALEKLIILHSASAASANGPL -WFFIFFTAAWYLKGRVVPAATYSVLGLWSFLLLVLALPQQAYALDAAEQGELGLVILMIISIFTLTPAYK -ILLSRSVWWLSYMLVLAEAQVQQWVPPLEARGGRDGIIWVAVILHPHLVFEVTKWLLAILGSAYLLKASL -LRVPYFVRAHALLRVCTLVRHLAGARYIQMLLITMGRWTGTYIYDHLSPLSTWAAQGLRDLAVAVEPVVF -SPMEKKVIVWGAETVACGDILHGLPVSARLGREVLLGPADGYTSKGWKLLAPITAYTQQTRGLLGAIVVS -LTGRDKNEQAGQVQVLSSVTQSFLGTSISGVLWTVYHGAGNKTLASPRGPVTQMYTSAEGDLVGWPSPPG -TKSLDPCTCGAVDLYLVTRNADVIPVRRKDDRRGALLSPRPLSTLKGSSGGPVLCPRGHAVGLFRAAVCA -RGVAKSIDFIPVESLDIARRTPSFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYTSQGYKVLVLNP -SVAATLGFGAYMSKAHGINPNIRTGVRTVTTGDSITYSTYGKFLADGGCSAGAYDIIICDECHSVDATTI -LGIGTVLDQAETAGVRLVVLATATPPGTVTTPHANIEEVALGHEGEIPFYGKAIPLASIKGGRHLIFCHS -KKKCDELAAALRGMGVNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQIVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGTYRYVSSGERPSGMFDSVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGDNFAYLTAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLTGPTPLLYRLGAVTNEITLTHPVTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCLA -TGCISIIGRIHLNDQVVVAPDKEILYEAFDEMEECASKAALIEEGQRMAEMLKSKILGLLQQATKQAQDI -QPAMQSSWPKIEQFWARHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLPTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPAI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASLRVTQVLSSLTITSL -LRRLHAWITEDCPVPCSGSWLRDIWEWVCSILTDFKNWLSAKLLPKMPGLPFISCQKGYRGVWAGTGVMT -TRCSCGANISGHVRLGTMKITGPKTCLNMWQGTFPINCYTEGPCVPKPPPNYKTAIWRVAASEYVEVTQH -GSFSYVTGLTSDNLKVPCQVPAPEFFSWVDGVQIHRFAPTPGPFFRDEVTFTVGLNSLVVGSQLPCDPEP -DTEVLASMLTDPSHITAETAARRLARGSPPSQASSSASQLSAPSLKATCTTHKTAYDCDMVDANLFMGGD -VTRIESDSKVIVLDSLDSMTEVEDDREPSVPSEYLTRRRKFPPALPPWARPDYNPPVIETWKRPDYEPPT -VLGCALPPTPQAPVPPPRRRRARVLTQDNVEGVLREMADKVLSPLQDTNDSGHSTGADTGGDSVQQPSGE -TAASDAGSLSSMPPLEGEPGDPDLEFEPARSAPPSEGECEVIDSDSKSWSTVSDQEDSVICCSMSYSWTG -ALITPCGPEEEKLPISPLSNSLMRFHNKVYSTTSRSASLRAKKVTFDRVQVLDAHYDSVLQDVKRAASKV -SARLLSVEEACALTPPHSAKSRYGFGAKEVRSLSRGAVNHIRSVWEDLLEDQHTPIDTTAMAKNEVFCID -PAKGGKKPARLIVYPDLGVRVCEKMALYDIAQKLPKAIMGPSYGFQYSPAERVDFLLKAWGSKKDPMGFS -YDTRCFDSTVTERDIRTEESIYQACSLPQEARTVIHSITERLYVGGPMTNSKGQSCGYRRCRASGVFTTS -MGNTMTCYIKALAACKAAGIVDPTMLVCGDDLVVISESQGNEEDERNLRAFTEAMTRYSAPPGDLPRPEY -DLELITSCSSNVSVALDSRGRRRYFLTRDPTTPITRAAWETVRHSPVNSWLGNIIQYAPTIWVRMVIMTH -FFSILLAQDTLNQNLNFEMYGAVYSVNPLDLPAIIERLHGLDAFSLHTYSPHELSRVAATLRKLGAPPLR -AWKSRARAVRASLIIQGGRAATCGRYLFNWAVKTKLKLTPLPEASRLDLSGWFTVGAGGGDIFHSVSHAR -PRLLLLCLLLLSVGVGIFLLPAR - ->sp|Q81754.3|POLG_HCVH9 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRVGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPSDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAVGVRNSSGVYHVTNDCPNA -SVVYETENLIMHLPGCVPYVREGNASRCWVSLSPTVAARDSRVPVSEVRRRVDSIVGAAAFCSAMYVGDL -CGSIFLVGQIFTFSPRHHWTTQDCNCSIYPGHVTGHRMAWDMMMNWSPTGALVVAQLLRIPQAIVDMIAG -AHWGVLAGLAYYSMVGNWAKVVVVLLLFAGVDAETRVTGGAAGHTAFGFASFLAPGAKQKIQLINTNGSW -HINRTALNCNESLDTGWLAGLLYYHKFNSSGCPERMASCQPLTAFDQGWGPITHEGNASDDQRPYCWHYA -LRPCGIVPAKKVCGPVYCFTPSPVVVGTTDRAGVPTYRWGANETDVLLLNNSRPPMGNWFGCTWMNSSGF -TKTCGAPACNIGGSGNNTLLCPTDCFRKHPDATYSRCGSGPWLTPRCLVDYPYRLWHYPCTVNYTIFKIR -MFVGGVEHRLDAACNWTRGERCDLDDRDRAELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGLSSAVTSWVIKWEYVVLLFLLLADARICACLWMMLLISQVEAALENLIVLNAASLVGTHGIVPFFI -FFCAAWYLKGKWAPGLAYSVYGMWPLLLLLLALPQRAYALDQELAASCGATVFICLAVLTLSPYYKQYMA -RGIWWLQYMLTRAEALLQVWVPPLNARGGRDGVVLLTCVLHPHLLFEITKIMLAILGPLWILQASLLKVP -YFVRAHGLIRLCMLVRKTAGGQYVQMALLKLGAFAGTYIYNHLSPLQDWAHSGLRDLAVATEPVIFSRME -IKTITWGADTAACGDIINGLPVSARRGREVLLGPADALTDKGWRLLAPITAYAQQTRGLLGCIITSLTGR -DKNQVEGEVQIVSTATQTFLATCVNGVCWTVYHGAGSRTIASASGPVIQMYTNVDQDLVGWPAPQGARSL -TPCTCGASDLYLVTRHADVIPVRRRGDNRGSLLSPRPISYLKGSSGGPLLCPMGHAVGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFTDNSSPPTVPQSYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNVRTGVRTITTGSPITHSTYGKFLADGGCSGGAYDIIICDECHSVDATSILGIG -TVLDQAETAGVRLTILATATPPGSVTVPHSNIEEVALSTEGEIPFYGKAIPLNYIKGGRHLIFCHSKKKC -DELAAKLVGLGVNAVAFYRGLDVSVIPTTGDVVVVATDALMTGYTGDFDSVIDCNTCVVQTVDFSLDPTF -SIETSTVPQDAVSRSQRRGRTGRGKHGIYRYVSPGERPSGMFDSVVLCECYDAGCAWYELTPAETTVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQSGENFPYLVAYQATVCARAKAPPPSWDQMWKC -LIRLKPTLTGATPLLYRLGGVQNEITLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLSTGSV -VIVGRIILSGKPAVIPDREVLYREFDEMEECAAHIPYLEQGMHLAEQFKQKALGLLQTASKQAETITPAV -HTNWQKLESFWAKHMWNFVSGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVAAQL -AAPAAATAFVGAGITGAVIGSVGLGKVLVDILAGYGAGVAGALVAFKIMSGEAPTAEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDASVRVTHILTSLTVTQLLKRL -HVWISSDCTAPCAGSWLKDVWDWICEVLSDFKSWLKAKLMPQLPGIPFVSCQRGYRGVWRGEGIMHARCP -CGADITGHVKNGSMRIVGPKTCSNTWRGSFPINAHTTGPCTPSPAPNYTFALWRVSAEEYVEVRRLGDFH -YITGVTTDKIKCPCQVPSPEFFTEVDGVRLHRYAPPCKPLLRDEVTFSIGLNEYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAARRLNRGSPPSLASSSASQLSAPSLKATCTTHHDSPDADLITANLLWRQEMGGN -ITRVESENKIVILDSFDPLVAEEDDREISVPAEILLKSKKFPPAMPIWARPDYNPPLVEPWKRPDYEPPL -VHGCPLPPPKPTPVPPPRRKRTVVLDESTVSSALAELATKTFGSSTTSGVTSGEAAESSPAPSCDGELDS -EAESYSSMPPLEGEPGDPDLSDGSWSTVSSDGGTEDVVCCSMSYSWTGALITPCAAEETKLPINALSNSL -LRHHNLVYSTTSRSAGQRQKKVTFDRLQVLDDHYRDVLKEAKAKASTVKAKLLSVEEACSLTPPHSARSK -FGYGAKDVRSHSSKAIRHINSVWQDLLEDNTTPIDTTIMAKNEVFCVKPEKGGRKPARLIVYPDLGVRVC -EKRALYDVVKQLPIAVMGTSYGFQYSPAQRVDFLLNAWKSKKNPMGFSYDTRCFDSTVTEADIRTEEDLY -QSCDLVPEARAAIRSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTITCYLKASAACRAAKLRD -CTMLVCGDDLVVICESAGVQEDAANLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDGAGKR -VYYLTRDPETPLARAAWETARHTPVNSWLGNIIMFAPTLWVRMVLMTHFFSILIAQEHLEKALDCEIYGA -VHSVQPLDLPEIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRATLLSQGGRAAI -CGKYLFNWAVKTKLKLTPLPSASQLDLSNWFTGGYSGGDIYHSVSHVRPRWFFWCLLLLSVGVGIYLLPN -R - ->sp|Q81487.3|POLG_HCVTR RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPKRQTKRNTLRRPKNVKFPAGGQIVGEVYVLPRRGPQLGVREVRKTSERSQPRGRRQPTPKARP -REGRSWAQPGYPWPLYGNEGCGWAGWLLPPRGSRPSWGQNDPRRRSRNLGKVIDTLTCGFADLMGYIPLI -GAPVGGVARALAHGVRALEDGVNYATGNLPGCSFSIFLLALFSCLTCPASSLEYRNASGLYLLTNDCSNR -SIVYEADDVILHLPGCVPCVETDNNNTSCWTPISPTVAVKHPGVTTASIRNHVNMLVAPPTLCSALYVED -AFGAVSLVGQAFTFRPRQHKTVQTCNCSIYPGHVSGHRMAWDMMMNWSPAIGLVISHLMRLPQTFFDLVV -GAHWGVMAGLAYFSMQGNWAKVVIVLIMFSGVDATTHTTGGSAAQATAGFTSFFTRGPSQNLQLVNSNGS -WHINSTALNCNDSLNTGFIAGLFYYHKFNSSGCPERMSSCKPITYFNQGWGPLTDANINGPSEDRPYCWH -YPPRPCNITKPLNVCGPVYCFTPSPVVVGTTDIKGLPTYRFGVNESDVFLLTSLRPPQGRWFGCVWMNST -GFVKTCGAPPCNIYGGMKDIEANQTHLKCPTDCFRKHHDATFTRCGSGPWLTPRCLVDYPYRLWHYPCTV -NFSIFKVRMFVGGHEHRFSAACNWTRGERCDLEDRDRSEQQPLLHSTTDSLILPCSFTPMRRLSTGLIHL -HQNIVDVQYLYGVGSAVVGWALKWEFVVLVFLLLADARVCVALWMMLLISQAEAAMENLVMLNALSAAGQ -QGYVWYLVAFCAAWHIRGKLVPLITYGLTGLWPLALLDLLLPQRAYAWTGEDDATIGAGVLLLLGFFTLS -PWYKHWIGRLIWWNQYAICRGEAALQVWVPPLLVRGSRDSVILLASLLYPSLIFDITKLLIAVLGPLYLI -QAALTSTPYFVRAHVLIRICMLVRSAMGGKYVQMAVLTVGRWFNTYLYDHLSPIQDWAAEGLKGLAVATE -PVIFSPMEIKVITWGADTAACGDILCGLPVSARLGRELLLGPADDYKKMGWRLLSPISAYAQQTRGLFGT -IVTSLTGRDKNVVTGEVQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLAGNKRPALQMYTNVDQDLVGWP -APAGTKSLDPCTCGSSDLYLVTREADVLPARRRGDSTASLLSTRPLSCLKGSSGGPVMCPSGHVVGIFRA -AVCTRGVAKALQFIPVETLSTQVRSPSFSDNSTPPAVPESYQVGYLHAPTGSGKSTKVPAAYVAQGYSVL -VLNPSVAATLGFGTYMSKAYGIDPNIRTGTRTITTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQD -ATSILGIGTVLDQAETAGVRLTVLATATPPGSITVPHPNIEEVGLTSDGEIPFYGKALPLAMIKGGRHLV -FCHSKEKCDELASKLRGMGVNAVAFYRGLDVSVIPVSGDVVVCATDALMTGYTGDFDTVIDCNVAVEQYV -DFSLDPTFSIETRTVPQDAVSRSQRRGRTGRGRPGIYRFVTPGERPSGMFDSVVLCECYDAGCSWYDLQP -AETTVRLRAYLSTPGLPVCQDHLDFWERVFTGLTHIDAHFLSQAKQQGLNFAYLVAYQATVCARAKASPP -CWDEMWKCLIRLKPTLQGPTPLLYRLGAIQNDICMTHPITKYIMACMSADLEVTTSAWVLVGGVLAALAA -YCLSVGCVVIVGHIELGGKPALVPDRQVLYQQYDEMEECSQSAPYIEQAQAIAQQFKDKVLGLLQRASQQ -EAEIRPIVQSQWQKAEAFWQQHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNIL -GGWVATHLAGPAASSAFVVSGLAGAAVGGIGIGRVLLDVLAGYGAGVSGALVAFKIMGGELPTTEDMVNL -LPAILSPGALVVGVICAAVLRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAAKVTALLSSLT -VTRLLRRLHQWINEDYPSPCNGDWLHDIWDWVCIVLSDFKTWLSAKIMPKVPGIPFLSCQKGYKGVWRGD -GVMTTRCPCGEDFTGHVRNGSMRIAGSGLCANMWHGTFPINEYTTGPSTPVPAHNYSRALWRVTSDSYVE -VRRVGDTHYVVGATNDGLKIPCQVPAPEFFTELDGVRLHRYAPPCKPLLRDEITFSVGLHSYANGSQLSC -EPEPDVAVLTSMLRDPAHITAATAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHPDAELIDANLL -WRQEMGSNITRVESETKVVILDSFEPLRAEEDDTELSIPAECFKKPPKYPPALPIWARPDYNPPLLPSWK -DPTYEPPAVHGCALPPTRPAPVPPPRRKRTIKLDGSNVSAALLALAERSFPSTKPEGTGTSSSGVGTEST -AESGDSPETGEESDVESYSSMPPLEGEPGDPDLDADSWSTVSDSEEQSVVCCSMSYSWTGAIITPCSAEE -EKLPISPLSNSLLRHHNLVYSTSSRSAAARQKKVTFDRLQVLDDHYKNVLKEVKERASGVKGRLLSFEEA -CSLVPPHSGRSKYGYSAKDVRSLSSKAMNQIRSVWEDLLEDNSTPIPTTIMAKNEVFSVNPAKGGRKPAR -LIVYPDLGVRVCEKRALYDVIQKLSIATMGPAYGFQYSPKQRVEHLLKMWTSKKTPLGFSYDTRCFDSTV -TEHDIRTEEGIYQCCDLEPEARKAISALTERLYIGGPMYNSKGLQCGYRRCRASGVLPTSFGNTITCYIK -ATAASRAAGLKNPSFLVCGDDLVVISESCGVEEDRTALRAFTEAMTRYSAPPGDAPQPTYDLELISSCSS -NVSVACDGAGKRYYYLTRDPETPLARAAWETARHTPVNSWLGNIIMFAPTIWVRMVLITHFFSILQAQEQ -LERALDFEMYGATYSVTPLDLPAIIERLHGLSAFSLHGYSPTELNRVAGALRKLGIPPLRAWRHRARAVR -AKLIAQGGKARICGLYLFNWAVRTKTKLTPLPTAGQLDLSSWFTVGVGGNDIYHSVSRARTRHLLLCLLL -LTVGVGIFLLPAR - ->sp|Q99IB8.3|POLG_HCVJF RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPEDVKFPGGGQIVGGVYLLPRRGPRLGVRTTRKTSERSQPRGRRQPIPKDRR -STGKAWGKPGRPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNVGKVIDTLTCGFADLMGYIPVV -GAPLSGAARAVAHGVRVLEDGVNYATGNLPGFPFSIFLLALLSCITVPVSAAQVKNTSSSYMVTNDCSND -SITWQLEAAVLHVPGCVPCERVGNTSRCWVPVSPNMAVRQPGALTQGLRTHIDMVVMSATFCSALYVGDL -CGGVMLAAQVFIVSPQYHWFVQECNCSIYPGTITGHRMAWDMMMNWSPTATMILAYVMRVPEVIIDIVSG -AHWGVMFGLAYFSMQGAWAKVIVILLLAAGVDAGTTTVGGAVARSTNVIAGVFSHGPQQNIQLINTNGSW -HINRTALNCNDSLNTGFLAALFYTNRFNSSGCPGRLSACRNIEAFRIGWGTLQYEDNVTNPEDMRPYCWH -YPPKPCGVVPARSVCGPVYCFTPSPVVVGTTDRRGVPTYTWGENETDVFLLNSTRPPQGSWFGCTWMNST -GFTKTCGAPPCRTRADFNASTDLLCPTDCFRKHPDATYIKCGSGPWLTPKCLVHYPYRLWHYPCTVNFTI -FKIRMYVGGVEHRLTAACNFTRGDRCDLEDRDRSQLSPLLHSTTEWAILPCTYSDLPALSTGLLHLHQNI -VDVQYMYGLSPAITKYVVRWEWVVLLFLLLADARVCACLWMLILLGQAEAALEKLVVLHAASAANCHGLL -YFAIFFVAAWHIRGRVVPLTTYCLTGLWPFCLLLMALPRQAYAYDAPVHGQIGVGLLILITLFTLTPGYK -TLLGQCLWWLCYLLTLGEAMIQEWVPPMQVRGGRDGIAWAVTIFCPGVVFDITKWLLALLGPAYLLRAAL -THVPYFVRAHALIRVCALVKQLAGGRYVQVALLALGRWTGTYIYDHLTPMSDWAASGLRDLAVAVEPIIF -SPMEKKVIVWGAETAACGDILHGLPVSARLGQEILLGPADGYTSKGWKLLAPITAYAQQTRGLLGAIVVS -MTGRDRTEQAGEVQILSTVSQSFLGTTISGVLWTVYHGAGNKTLAGLRGPVTQMYSSAEGDLVGWPSPPG -TKSLEPCKCGAVDLYLVTRNADVIPARRRGDKRGALLSPRPISTLKGSSGGPVLCPRGHVVGLFRAAVCS -RGVAKSIDFIPVETLDVVTRSPTFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPVAYAAQGYKVLVLNP -SVAATLGFGAYLSKAHGINPNIRTGVRTVMTGEAITYSTYGKFLADGGCASGAYDIIICDECHAVDATSI -LGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPDIEEVGLGREGEIPFYGRAIPLSCIKGGRHLIFCHS -KKKCDELAAALRGMGLNAVAYYRGLDVSIIPAQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQAVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRQGTYRYVSTGERASGMFDSVVLCECYDAGAAWYDLTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGENFAYLVAYQATVCARAKAPPPSWDA -MWKCLARLKPTLAGPTPLLYRLGPITNEVTLTHPGTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCLA -TGCVSIIGRLHVNQRVVVAPDKEVLYEAFDEMEECASRAALIEEGQRIAEMLKSKIQGLLQQASKQAQDI -QPAMQASWPKVEQFWARHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKVLVDILAGYGAGISGALVAFKIMSGEKPSMEDVINLLPGI -LSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVTESDASQRVTQLLGSLTITSL -LRRLHNWITEDCPIPCSGSWLRDVWDWVCTILTDFKNWLTSKLFPKLPGLPFISCQKGYKGVWAGTGIMT -TRCPCGANISGNVRLGSMRITGPKTCMNTWQGTFPINCYTEGQCAPKPPTNYKTAIWRVAASEYAEVTQH -GSYSYVTGLTTDNLKIPCQLPSPEFFSWVDGVQIHRFAPTPKPFFRDEVSFCVGLNSYAVGSQLPCEPEP -DADVLRSMLTDPPHITAETAARRLARGSPPSEASSSVSQLSAPSLRATCTTHSNTYDVDMVDANLLMEGG -VAQTEPESRVPVLDFLEPMAEEESDLEPSIPSECMLPRSGFPRALPAWARPDYNPPLVESWRRPDYQPPT -VAGCALPPPKKAPTPPPRRRRTVGLSESTISEALQQLAIKTFGQPPSSGDAGSSTGAGAAESGGPTSPGE -PAPSETGSASSMPPLEGEPGDPDLESDQVELQPPPQGGGVAPGSGSGSWSTCSEEDDTTVCCSMSYSWTG -ALITPCSPEEEKLPINPLSNSLLRYHNKVYCTTSKSASQRAKKVTFDRTQVLDAHYDSVLKDIKLAASKV -SARLLTLEEACQLTPPHSARSKYGFGAKEVRSLSGRAVNHIKSVWKDLLEDPQTPIPTTIMAKNEVFCVD -PAKGGKKPARLIVYPDLGVRVCEKMALYDITQKLPQAVMGASYGFQYSPAQRVEYLLKAWAEKKDPMGFS -YDTRCFDSTVTERDIRTEESIYQACSLPEEARTAIHSLTERLYVGGPMFNSKGQTCGYRRCRASGVLTTS -MGNTITCYVKALAACKAAGIVAPTMLVCGDDLVVISESQGTEEDERNLRAFTEAMTRYSAPPGDPPRPEY -DLELITSCSSNVSVALGPRGRRRYYLTRDPTTPLARAAWETVRHSPINSWLGNIIQYAPTIWVRMVLMTH -FFSILMVQDTLDQNLNFEMYGSVYSVNPLDLPAIIERLHGLDAFSMHTYSHHELTRVASALRKLGAPPLR -VWKSRARAVRASLISRGGKAAVCGRYLFNWAVKTKLKLTPLPEARLLDLSSWFTVGAGGGDIFHSVSRAR -PRSLLFGLLLLFVGVGLFLLPAR - ->sp|Q913V3.1|POLG_HCVR6 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRAWAQPGYPWPLYGNEGMGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGVARALAHGVRVVEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVRNVSGIYHVTNDCSNS -SIVYEAADMIMHTPGCVPCVREGNSSRCWVALTPTLAARNASVPTTAIRRHVDLLVGAAAFCSAMYVGDL -CGSVFLVSQLFTFSPRRHETIQDCNCSIYPGHVSGHRMAWDMMMNWSPTTALVVSQLLRIPQAIVDMVAG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGETRVTGGQIARNAYSLTTLFSSGSAQNIQLINTNGSW -HINRTALNCNDSLNTGFLAALFYTHKFNASGCPERLASCRPIDKFDQGWGPITYAEQGGQDQRPYCWHYA -PKPCGIVSASKVCGPVYCFTPSPVVVGTTDRFGVPTYSWGENETDVLLLNNTRPPQGNWFGCTWMNGTGF -TKTCGGPPCNIGGGGNNTLTCPTDCFRKHPAATYTKCGSGPWLTPRCLVDYPYRLWHYPCTANFTIFKVR -MYVGGVEHRLDAACNWTRGERCNLEDRDRLELSPLLLSTTEWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGIGSAVVSFAIKWDYIVILFLLLADARVCACLWMMLLIAQAEAALENLVVLNAASVAGAHGILSFLV -FFCAAWYIKGKLVPGAAYAFYGVWPLLLLLLALPPRAYAMEREMAASCGGAVFVGLVLLTLSPYYKEFLA -RLIWWLQYFITRAEAHLQVWIPPLNIRGGRDAIILLACVVHPELIFDITKLLLAILGPLMVLQASITQVP -YFVRAQGLIRACMLVRKVAGGHYVQMAFVKLTALTGTYVYDHLTPLRDWAHAGLRDLAVAVEPVVFSDME -TKVITWGADTAACGDIILGLPVSARRGREILLGPADSLEGQGWRLLAPITAYSQQTRGLLGCIITSLTGR -DKNQVEGEVQVVSTATQSFLATCVNGACWTVFHGAGSKTLAGPKGPITQMYTNVDLDLVGWQAPPGSRSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPVSYLKGSSGGPLLCPSRHAVGIFRAAVCTRGVA -KAVDFIPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNIRTGVRAITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSNAGEIPFYGKAIPIEVIKGGRHLIFCHSKKKY -DELAAKLSALGLNAVAYYRGLDVSVIPTNGDVVVVATDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTVPQDAVARSQRRGRTGRGRRGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRLR -AYLNTPGLPVCQDHLEFWESVSTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEITLTHPMTKFIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGSV -VIVGRIILSGRPAVIPDREVLYREFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPVV -ESKWRALETFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLSTQNTLLFNIWGGWVAAQL -APPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKIMSGEVPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPAHYVPESDAAARVTQILSGLTITQLLKRL -HHWINEDCSTPCSGSWLRDVWDWICTVLTDFKTWLQSKLLPRLPGVPFFSCQRGYKGVWRGDGIMQTTCP -CGAQITGHVKNGSMRIVGPKTCSSTWHGTFPINAYTTGPCAPSPAPNYSRALWRVAAEEYVEVTRVGDFH -YVTGMTTDNVKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLREEVTFQVGLNQYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTHHDSPDVDLIEANLLWRQEMGGN -ITRVESENKVVILDSFDPLRAEEDEREPSVAAEILRKTKRFPPAMPIWARPDYNPPLLESWKDPDYVPPV -VHGCPLPPTKAPPIPPPRRKRTVVLTESTVSSALAELATKTFGSSGSSAVDSGTATAPPDQASDDGDQGS -DVESYSSMPPLEGEPGDPDLSDGSWSTVSEEAGEDVICCSMSYTWTGALITPCAAEESKLPINPLSNSLL -RHHNMVYATTSRSAGLRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKAKLLSIEEACKLTPPHSARSKF -GYGAKDVRNLSSKAVNHIRSVWKDLLEDTETPIDTTVMAKSEVFCVQPEKGGRKPARLIVFPDLGVRVCE -KMALYDVVSTLPQAVMGSSYGFQYSPGQRVEFLVNAWKSKKCPMGFSYDTRCFDSTVTESDIRVEESIYQ -CCDLAPEARQAIKSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKASAACRAAKLRDC -TMLVNGDDLVVICESAGTQEDEANLRVFTEAMTRYSAPPGDPPRPEYDLELITSCSSNVSVAHDASGKRV -YYLTRDPSTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGAC -YSIEPLDLPQIIERLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRVWRHRARSVRAKLLSQGGRAATC -GKYLFNWAVRTKLKLTPIPAASQLDLSSWFVAGYSGGDIYHSLSRARPRWFMLCLLLLSVGVGIYLLPNR - ->sp|Q913D4.3|POLG_HCVIN RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQNVKFPGGGQIVGGVCLLPRRGPRVGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSRGPSDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAVEVRNSSGIYHVTNDCPNA -SVVYETDSLIIHLPGCVPCVREGNASRCWVSLSPTVAAKDPGVPVNEIRRHVDLIVGAAAFCSAMYVGDL -CGSIFLVGQLFTLSPRRHWTTQDCNCSIYPGHVTGHRMAWDMMMNWSPTGALVVAQLLRIPQAVLDMIAG -AHWGVLAGPAYYSMVGNWAKVLVVLLLFAGVDATTQVTGGTAGRNAYRLASLFSTGPSQNIQLINSNGSW -HINRTALNCNDSLHTGWVAALFYSHKFNSSGRPERMASCRPLTAFDQGWGPITYGGKASNDQRPYCWHYA -PRPCGIVPAKEVCGPVYCFTPSPVVVGTTDKYGVPTYTWGENETDVLLLNNSRPPIGNWFGCTWMNSTGF -TKTCGAPACNVGGSETNTLSCPTDCFRRHPDATYAKCGSGPWLNPRCMVDYPYRLWHYPCTVNYTIFKIR -MFVGGIEHRLTAACNWTRGERCDLDDRDRAELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGLSSVVTSWAIRWEYVVLLFLLLADARICACLWMMLLISQVEAALENLIVLNAASLAGTHGIVPFFI -FFCAAWYLKGKWAPGLVYSVYGMWPLLLLLLALPQRAYALDQELAASCGAVVFISLAVLTLSPYYKQYMA -RGIWWLQYMLTRAEALLHVWVPSLNARGGRDGAILLMCVLHPHLLFDITKIMLAILGPLWILQASLLRVP -YFVRAHGLIRLCMLVRKTAGGHYVQMALLKLGALTGTYIYNHLSPLQDWAHGSLRDLAVATEPVIFSRME -IKTITWGADTAACGDIINGLPVSARRGREVLLGPADALTDKGWRLLAPITAYAQQTRGLLGCIVTSLTGR -DKNQVEGEIQIVSTATQTFLATCINGACWTVYHGAGSRTIASASGPVVRMYTNVDQDLVGWPAPQGARSL -TPCTCGASDLYLVTRHADVIPVRRRGDNRGSLLSPRPISYLKGSSGGPLLCPMGHVAGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFTDNSSPPTVPQSYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNVRTGVRTITTGSPITYSTYGKFLADGGCPGGAYDIIICDECHSVDATSILGIG -TVLDQAETAGVRLTVLATATPPGLVTVPHSNIEEVALSADGEKPFYGKAIPLNYIKGGRHLIFCHSKKKC -DELAAKLVGLGVNAVAFYRGLDVSVIPTTGDVVVVATDALMTGFTGDFDSVIDCNTCVVQTVDFSLDPIF -SIETSTVPQDAVSRSQRRGRTGRGKHGIYRYVSPGERPSGMFDSVVLCECYDAGCAWYELTPAETTVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQSGENFPYLVAYQATVCARARAPPPSWDQMWKC -LIRLKPTLTGATPLLYRLGSVQNEITLTHPITQYIMACMSADLEVVTSTWVLVGGVLAALAAYCLSTGSV -VIVGRIILGGKPAVIPDREVLYREFDEMEECAAHVPYLEQGMHLAGQFKQKALGLLQTASKQAETITPTV -RTNWQKLESFWAKHMWNFVSGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLFFNILGGWVAAQL -ASPAAATAFVGAGITGAVVGSVGLGKVLVDIIAGYGAGVAGALVAFKIMSGETPTTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDASARVTQILTSLTVTQLLKRL -HVWISSDCIAPCASSWLKDVWDWICEVLSDFKNWLKAKLVPQLPGIPFVSCQRGYRGVWRGEGIVHTRCP -CGANITGHVKNGSMRIVGPKTCSNTWRGSFPINAYTTGPCTPSPAPNYTFALWRVSAEEYVEVRRLGDFH -YVTGVTTDKLKCPCQVPSPEFFTEVDGVRLHRYAPPCKPLLREEVTFSIGLNEYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAARRLKRGSPPSLASSSASQLSAPSLKATCTTHHDSPDADLIEANLLWRQEMGGN -ITRVESENKIVVLDSFDPLVAEEDDREISIPAEILRKFKQFPPAMPIWARPDYNPPLVEPWKRPDYEPPL -VHGCPLPPPKPTPVPPPRRKRTVVLDESTVSSALAELATKTFGSSTTSGVTSGEATESSPAPSCGGELDS -EAESYSSMPPLEGEPGDPDLSDGSWSTVSSDGGTEDVVCCSMSYSWTGALITPCASEEAKLPINALSNSL -LRHHNLVYSTTSRSAGQRQKKVTFDRVQVLDDHYRDVLKEAKAKASTVKARLLSVEEACSLTPPHSARSK -FGYGAKDVRSHSSKAIRHINSVWQDLLEDNTTPIDTTIMAKNEVFCVKPEKGGRKPARLIVYPDLGVRVC -EKRALYDVVKQLPIAVMGASYGFQYSPAQRVDFLLKAWKSKKVPMGFSYDTRCFDSTVTEADIRTEEDLY -QSCDLAPEARIAIRSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTITCFLKASAACRAAKLQD -CTMLVCGDDLVVICESAGVQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVARDGAGKR -VYYLTRDPETPLARAAWETARHTPVNSWLGNIIMFAPTLWVRMVLMTHFFSILIAQEHLGKALDCEIYGA -VHSVQPLDLPEIIQRLHSLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRATLLSQGGKAAI -CGKYLFNWAVKTKLKLTPLPSMSQLDLSNWFTGGYSGGDIYHSVSHARPRLFLWCLLLLSVGVGIYLLPN -R - ->sp|Q68801.3|POLG_HCVJK RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPQRITKRNINRRPQDVKFPGGGQIVGGVYVLPRRGPKLGVRAVRKTSERSQPRSRRQPIPRARR -TEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINFATGNLPGCSFSIFLLALLSCLLTPTAGLEYRNASGLYTVTNDCSNG -SIVYEAGDVILHLPGCIPCVRLNNASKCWTPVSPTVAVSRPGAATASLRTHVDMMVGAATLCSALYVGDL -CGALFLVGQGFSWRHRQHWTVQDCNCSIYPGHLTGHRMAWDMMMNWSPAMTLIVSQVLRLPQTMFDLVIG -AHWGVMAGVAYYSMQGNWAKVFLVLCLFSGVDASTTITGGVAASGAFTITSLFSTGAKQPLHLVNTNGSW -HINRTALNCNDSLNTGFIAGLLYYHKFNSSGCVERMSACSPLDRFAQGWGPLGPANISGPSSEKPYCWHY -APRPCDTVPAQSVCGPVYCFTPSPVVVGATDKRGAPTYTWGENESDVFLLESARPPTEPWFGCTWMNGSG -YVKTCGAPPCHIYGGREGKSNNSLVCPTDCFRKHPDATYNRCGAGPWLTPRCLVDYPYRLWHYPCTVNYT -IFKVRMFVGGLEHRFNAACNWTRGERCNLEDRDRSEMYPLLHSTTEQAILPCSFVPIPALSTGLIHLHQN -IVDVQYLYGISSGLVGWAIKWEFVILIFLLLADARVCVVLWMMMLISQAEAALENLIVLNAISAAGTHGI -WWSLVAFCVAWHVRGRIFPIAVYSIVGLWPLLLLVLMLPYRAYAWTGTDTSTLGAGVLSLFALFTLSPWY -KHWIARLIWWNQYTIARCEAALQIWVPPLLARGARDGIILLAGLFYPALVFDITKLLLAILGPLYILQAS -LVRVPYFVRAHAVVRLCILVRNITGGKYVQMVLLALARGFNTYLYDHLSPMTDWAAEGLKDLAVAVEPVI -FSPMEVKVITWGADTTACGDILCGLPVSARLGKEVLLGPADDYRSMGWRLLAPITAHAQQTRGLFGTIVT -SLTGRDKNIVTGEIQVLSTSTQTFLGTSVGGVMWTVYHGAGSRTLAGNKRPALQMYTNVDQDLVGWPSPP -GAKSLVPCTCGSADLYLITRDADVLPARRRGDSTASLLSPRPLACLKGSSGGPIMCPSGHVAGIFRAAVC -TRGVAKALQFIPVESLSAQTRSPSFSDNSTPPAVPQTFQVGYLHAPTGSGESTKVPASYVAQGYTVLVLN -PSVAATLGFGRFMSHAYGIDPNVRTGTRTITTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQDATS -ILGIGTVLDQAETAGARLVVLATATPPGSITVPHSNIEEVALTGEGEIPFYGRAIPLGVIKGGRHLIFCH -SKKKCDELAKQLTSLGVNAVAFYRGLDVSVIPTQGDVVVCATDALITGYTGDFDSVIDCNVAVEQYVDFS -LDPTFSIETHTVPQDAVSRSQRRGRTGRGKSGTYRYVSPGERPSGMFDSVVLCECYDAGCAWYELTPSET -TVRLRAYLSTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQQGLNFPYLTAYQATVCARAAALPPSWD -ETWKCLIRLKPTLHGPTPLLYRLGAVQNEICTTHPVTKYIATCMAADLEVATSAWVLLGGVMAALTAYCL -SVGSVVIVGHLVLGGKPALVPDKEVLYQQYDEMEECSRAAPYIEQAQGIAQQFKEKVIGLLQQADQKAAD -IKPIATPYWQKLETFWSKHMWNFVSGIQYLAGLSTLPGNPAIASLMAFTASVTSPLTTNQTLLFNIMGGW -VASNLAPPPASTAFVVSGLAGAAVGSIGLGKVLLDILAGYGAGVAGALVAFKIMGGEMPSTEDMVNLLPA -ILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVPESDAAAKVTALLSSLTVTQ -LLRRLHQWINEDYPTPCDGNWLYDIWNWVCTVLADFKLWLGAKILPKMPGIPFLSCQKGYRGTWRGDGVV -STRCPCGALLSGHVKNGTMRLVGPRWCANTWHGTFPINGYTTGPSTPAPSYAYSRALWRVASDSYVEVRK -VGDFHYVTGTTDDGLKCPCQVPLPEFFTELDGVRLHRYAPVCRPLLRDDVTFTVGLNSYVIGSQLPCEPE -PDVAVVTSMLQDPSHITVETAKRRLDRGSPPSLASSSASQLSAPSRKATCTTHGRHPDAELITANLLWRQ -EMGSNITRVESESKVVILDSFEPLRACDDEDELSVAAECFKKPPKYPPALPIWARPDYNPPLVEPWKDPD -YVPPTVHGCALPPQKLPPVPPPRRKRTIVLSESTVSKALASLAEKSFPQPTCSAEDESTSGVGTQSGSLT -GPVQLDDDDSDNESHSSMPPLEGEPGDPDLSSGSWSTVSGEEQSVVCCSMSYSWTGALITPCAAEEEKLP -ISPLSNSLLRHHNLVYSTSSRSAAQRQKKVTFDRLQVLDDHYNTTLKEIKELASGVKAELLSVEEACRLV -PSHSARSKFGYGAKEVRSLSSKAINHINSVWEDLLEDNTTPIPTTIMAKNEVFAVAPHKGGRKPARLIVY -PDLGVRICEKRALYDVIQKLPSAIMGSAYGFQYSPKQRVEYLLKMWNSKKTPLGFSYDTRCFDSTVTEQD -IRVEESIYQACDLKDEARRVITSLTERLYCGGPMFNSKGQHCGYRRCRASGVLPTSFGNTVTCYLKAKAA -TKAAGIKDPSFLVCGDDLVVIAESAGIDEDKSALRAFTEAMTRYSAPPGDPPQPTYDLELITSCSSNVSV -AHDGAGKRYYYLTRDPETPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVIMTHFFSILQAQEQLEKA -LDFEMYGAVYSVTPLDLPAIIERLHGLSAFSLHSYSPVELNRVAGALRKLGIPPLRAWRHRARAVRAKLI -SQGGKAKICGLYLFNWAVRTKAKLTPLPQAGLLDLSRWFTVGAGGNDIYHSVSRARSRHLLLGLLLLTVG -VGIFLLPAR - ->sp|Q68749.3|POLG_HCVBB RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRAARKTSERSQPRGRRQPIPKDRR -STGKSWGRPGYPWPLYRNEGLGWAGWLLSPRGSRPSWGPSDPRHKSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCISVPVSAVEVRNTSSSYMATNDCSNS -SIVWQLEGAVLHTPGCVPCEKTGNKSRCWVPVTPNIAINQPGALTKGLRAHIDVIVMSATLCSALYVGDV -CGALMIAAQVVVVSPQHHHFVQECNCSIYPGKITGHRMAWDMMMNWSPTTTMLLAYLVRIPEVVLDIITG -GHWGVMFGLAYFSMQGAWAKVVVILLLTAGVEASTYTTGAVVGRSTHLFTSMFSLGSQQRVQLIHTNGSW -HINRTALNCNDSLETGFLAALFYTSSFNSSGCPERLAACRSIESFRIGWGSLEYEESVTNDADMRPYCWH -YPPRPCGIVPARTVCGPVYCFTPSPVVVGTTDRAGAPTYNWGENETDVFLLNSTRPPKGAWFGCTWMNGT -GFTKTCGAPPCRIRKDFNASEDLLCPTDCFRKHPGATYIKCGAGPWLTPRCLVDYPYRLWHYPCTVNYTI -YKVRMFVGGIEHRLQAACNFTRGDRCNLEDRDRSQLSPLLHSTTEWAILPCSYTDLPALSTGLLHLHQNI -VDVQYLYGLSPAITKYVVKWEWVVLLFLLLADARVCACLWMLLLLGQAEAALEKLVILHAASAASSNGLL -YFILFFVAAWCIKGRAVPMVTYTLLGCWSFVLLLMALPHQAYALDAAEQGQIGMALLIAITAFTITPAYK -ILLSRCLWWTCYMLVLAEALIQDWIPPLQARGGRDGVIWAMTMFYPGVVFDITKWLLAILGPGYLFRAAV -MRTPYFVRANALLRMCALVKQLAGGKYVQVALITLGKWTGTYIYDHLSPMSDWAADGLRDLAVAVEPIVF -SPMERKVIVWGAETTACGDIIHGLPVSARLGQEVLLGPADGYTSKGWRLLAPITAYAQQTRGLLSAIVVS -MTGRDKTDQAGEIQVLSTVTQSFLGTSISGVLWTVFHGAGNKTLAGSRGPVTQMYSSAEGDLVGWPSPPG -TRSLEPCTCGAVDLYLVTRNADVIPARRRGDRRGALLSPRPLSSLKGSSGGPVLCPRGHAVGIFRAAVCS -RGVAKSIDFIPVESLDVVTRSPNFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNP -SVAATLGFGAYMSKAYGINPNIRTGVRTVTTGDAITYSTYGKFLADGGCSGGAYDVIICDECHSVDSTTI -LGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPNIEEVALGHEGEIPFYGKAIPLSAIKGGRHLIFCHS -KKKCDELAVALRGMGLNAVAYYRGLDVSIIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQVVDFSL -DPTFTITTQTVPQDSVSRSQRRGRTGRGRLGIYRYVSSGERASGMFDTVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGEGFPYLVAYQATVCARAKAPPPSWDV -MWKCLIRLKPTLVGPTPLLYRLGSVTNEVTLTHPVTKYIATCMQADLEIMTSTWVLAGGVLAAVAAYCLA -TGCVSIIGRIHVNQKTIIAPDKEVLYEAFDEMEECASRTALIEEGHRIAEMLKSKIQGLMQQASKQAQGV -QPAVQATWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMSFSAALTSPLSTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPAI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVTQLLGSLTITSL -LRRLHQWITEDCPVPCSGSWLRDVWDWVCSILIDFKNWLSAKLFPRLPGIPFISCQKGYRGTWAGTGIMT -TRCPCGANITGNVRLGTMRISGPKTCLNTWQGTFPINCYTEGSCVPKPAPNFKTAIWRVAASEYAEVTQH -DSHAYVTGLTADNLKVPCQLPCPEFFSWVDGVQIHRFAPTPKAFMRDEVSFSVGLNSYVVGSQLPCEPEP -DTEVLASMLTDPSHITAEAAARRLARGSPPSAASSSASQLSAPSLRATCTTHAKCPDIDMVDANLFCWCT -MGGNMTRIESESKVLMVDSFDPVVDKEDEREPSIPSEYLLPKSRFPPALPPWARPDYNPPLLETWKRPDY -QPPVVAGCALPPPGTTPVPPPRRRRAVVLDQSNVGEALKELAIKSFGCPPPSGDPGHSTGGGTTGETSKS -PPDEPDDSEAGSVSSMPPLEGEPGDPDLEPEQVEHPAPPQEGGAAPGSDSGSWSTCSDVDDSVVCCSMSY -SWTGALITPCSPEEEKLPINPLSNSLLRYHNKVYCTTSRSASQRAKKVTFDRVQLLDSHYESVLKDVKQA -ATKVSAKLLSIEEACALTPPHSARSKYGFGAKEVRSLSRRAVDHIKSVWEDLLEDHCSPIDTTIMAKNEV -FCVDPTKGGKKPARLIVYPDLGVRVCEKMALYDITQKLPVAVMGQSYGFQYSPAQRVDFLLQAWKEKKTP -MGFSYDTRCFDSTVTERDIRTEESIYLSCSLPEEARTAIHSLTERLYVGGPMTNSKGQSCGYRRCRASGV -LTTSMGNTLTCYVKAKAACNAAGIVAPTMLVCGDDLVVISESQGVEEDERNLRVFTEAMTRYSAPPGDPP -KAEYDLELITSCSSNVSVALDPRGRRRYYLTRDPTTPLARAAWETARHSPVNSWLGNIIQYAPTVWVRMV -LMTHFFSVLMAQDTLDQDLNFEMYGAVYSVSPLDLPAIIERLHGLEAFSLHSYSPHELTRVAAALRKLGA -PPLRAWKSRARAVRASLISRGGSAATCGRYLFNWAVRTKLKLTPLPAARLLDLSSWFTVSAGGGDIYHSV -SRARPRLLLLGLLLLCVGVGIFLLPAR - ->sp|O91936.3|POLG_HCVSA RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PTGRSWGQPGYPWPLYANEGLGWAGWLLSPRGSRPNWGPNDPRRKSRNLGKVIDTLTCGFADLMGYIPLV -GGPVGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFILALLSCLTVPTSAVPYRNASGVYHVTNDCPNS -SIVYEAEDLILHAPGCVPCVRQGNVSRCWVQITPTLSAPSLGAVTAPLRRAVDYLAGGAALCSALYVGDA -CGAVFLVGQMFTYSPRRHNVVQDCNCSIYSGHITGHRMAWDMMMNWSPTTALVMAQLLRIPQVVIDIIAG -AHWGVLFAAAYYASAANWAKVVLVLFLFAGVDANTRTVGGSAAQGARGLASLFTPGPQQNLQLINTNGSW -HINRTALNCNDSLQTGFVAGLLYYHKFNSTGCPQRMASCRPLAAFDQGWGTISYAAVSGPSDDKPYCWHY -PPRPCGIVPARGVCGPVYCFTPSPVVVGTTDRKGNPTYSWGENETDIFLLNNTRPPTGNWFGCTWMNSTG -FVKTCGAPPCNLGPTGNNSLKCPTDCFRKHPDATYTKCGSGPWLTPRCLVHYPYRLWHYPCTLNYTIFKV -RMYIGGLEHRLEVACNWTRGERCDLEDRDRAELSPLLHTTTQWAILPCSFTPTPALSTGLIHLHQNIVDT -QYLYGLSSSIVSWAVKWEYIVLAFLLLADARICTCLWIMLLVCQAEAALENVIVLNAAAAAGTHGFFWGL -LVICFAWHFKGRLVPGATYLCLGIWPLLLLLFLLPQRALALDSSDGGTVGCLVLTILTIFTLTPGYKKMV -VLVIWWLQYFIARVEAFIHVWVPPLQVRGGRDAIIMLTCLFHPALGFEVTKILLGILGPLYLLQYSLIKL -PYFIRARALLRACLLAKHLACGRYVQAALLHLGRLTGTYIYDHLAPMKDWAASGLRDLAVATEPIIFSPM -ETKVITWGADTAACGDILAGLPVSARRGHEIFLGPADDIREAGWRLLAPITAYAQQTRGVLGAIIVSLTG -RDKNEAEGEVQVLSTATQTFLGTCINGVMWTVFHGAGAKTLAGPKGPVVQMYTNVDKDLVGWPTPPGTRS -LTPCTCGSADLYLVTRHADVVPARRRGDTRASLLSPRPISYLKGSSGGPVMCPSGHVVGVFRAAVCTRGV -AKALDFIPVENLETTMRSPVFTDNSTPPAVPHEFQVGHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSRAYGVDPNIRTGVRTVTTGAAITYSTYGKFLADGGCSGGAYDVIICDECHSQDATTILGI -GTVLDQAETAGARLVVLATATPPGSVTTPHPNIEEVALPSEGEIPFYGRAIPLALIKGGRHLIFCHSKKK -CDELAKQLTSQGVNAVAYYRGLDVAVIPATGDVVVCSTDALMTGFTGDFDSVIDCNTTVTQTVDFSLDPT -FTIETTTVPQDAVSRSQRRGRTGRGRHGIYRYVSSGERPSGIFDSVVLCECYDAGCAWYDLTPAETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTNIDAHMLSQTKQGGENFPYLVAYQATVCVRAKAPPPSWDTMWK -CMLRLKPTLTGPTPLLYRLGAVQNEITLTHPITKYIMACMSADLEVITSTWVLVGGVVAALAAYCLTVGS -VAIVGRIILSGRPAIIPDREVLYQQFDEMEECSASLPYMDEARAIAEQFKEKVLGLIGTAGQKAETLKPA -ATSMWNRAEQFWAKHMWNFVSGIQYLAGLSTLPGNPAVATLMSFTAAVTSPLTTQQTLLFNILGGWVASQ -IAPPTAATAFVVSGMAGAAVGSIGLGRVLIDILAGYGAGVAGALVAFKIMCGEKPTAEDLVNLLPSILCP -GALVVGVICAAVLRRHIGPGEGAVQWMNRLIAFASRGNHVSPTHYVPETDASAKVTQLLSSLTVTSLLKR -LHTWIGEDYSTPCDGTWLRAIWDWVCTALTDFKAWLQAKLLPQLPGVPFLSCQRGYRGVWRGDGVNSTKC -PCGATISGHVKNGTMRIVGPKLCSNTWHGTFPINATTTGPSVPAPAPNYKFALWRVGAADYAEVRRVGDY -HYITGVTQDNLKCPCQVPSPEFFTELDGVRIHRYAPPCNPLLREEVCFSVGLHSFVVGSQLPCEPEPDVT -VLTSMLSDPAHITAETAKRRLDRGSPPSLASSSASQLSAPSLKATCTTQGHHPDADLIEANLLWRQCMGG -NITRVEAENKVVILDSFEPLKADDDDREISVSADCFRRGPAFPPALPIWARPGYDPPLLETWKQPDYDPP -QVSGCPLPPAGLPPVPPPRRKRKPVVLSDSNVSQVLADLAHARFKADTQSIEGQDSAVGTSSQPDSGPEE -KRDDDSDAASYSSMPPLEGEPGDPDLSSGSWSTVSDEDSVVCCSMSYSWTGALITPCSAEEEKLPINPLS -NTLLRHHNLVYSTSSRSAGQRQKKVTFDRLQVLDDHYREVVDEMKRLASKVKARLLPLEEACGLTPPHSA -RSKYGYGAKEVRSLDKKALNHIKGVWQDLLDDSDTPLPTTIMAKNEVFAVEPSKGGKKPARLIVYPDLGV -RVCEKRALYDIAQKLPTALMGPSYGFQYSPAQRVEFLLKTWRSKKTPMAFSYDTRCFDSTVTEHDIMTEE -SIYQSCDLQPEARAAIRSLTQRLYCGGPMYNSKGQQCGYRRCRASGVFTTSMGNTMTCYIKALASCRAAK -LRDCTLLVCGDDLVAICESQGTHEDEASLRAFTEAMTRYSAPPGDPPVPAYDLELVTSCSSNVSVAHDAS -GNRVYYLTRDPQVPLARAAWETAKHSPVNSWLGNIIMYAPTLWARIVLMTHFFSVLQSQEQLEKALAFEM -YGSVYSVTPLDLPAIIQRLHGLSAFTLHSYSPSEINRVSSCLRKLGVPPLRAWRHRARAVRAKLIAQGGK -AAICGIYLFNWAVKTKRKLTPLADADRLDLSSWFTVGAGGGDIYHSMSRARPRCILLCLLLLTVGVGIFL -LPAR - ->sp|Q81495.3|POLG_HCVK3 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTLPKPQRKTKRNTIRRPQDVKFPGGGVIYVGVYVLPRRGPRLGVRATRKTSERSQPRGRRKPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWAPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRALEDGINFATGNLPGCSFSIFLLALFSCLIHPAASLEWRNTSGLYVLTNDCSNS -SIVYEADDVILHTPGCIPCVQDGNTSTCWTPVTPTVAVRYVGATTASIRSHVDLLVGAGTMCSALYVGDM -CGPVFLVGQAFTFRPRRHRTVQTCNCSLYPGHLSGQRMAWDMMMNWSPAVGMVVAHILRLPQTLFDVVAG -AHWGIIAGLAYYSMQGNWAKVAIIMVMFSGVDASTHVTAGQAARNAYGITSLFSVGAKQNLQLINTNGSW -HINRTALNCNESINTGFIAGLFYYHKFNSTGCPQRLSSCKPITFFKQGWGPLTDANITGPSDDKPYCWHY -APRPCGIVPALNVCGPVYCFTPSPVVVGTTDAKGAPTYTWGANKTDVFLLESLRPPSGRWFGCTWMNSTG -FVKTCGAPPCNIYGDGRDAQNESDLFCPTDCFRKHPEATYSRCGAGPWLTPRCLVDYPYRLWHYPCTVNF -TLFKVRMFVGGFEHRFTAACNWTRGERCDIEDRDRSEQHPLLHSTTELAILPCSFTPMPALSTGLIHLHQ -NIVDVQYLYGIGSGMVGWALKWEFVILIFLLLADARVCVALWLILTISQAEAALENLVTLNAVAAAGTHG -IGWYLVAFCAAWYVRGKLVPLVTYSLTGLWSLALLVLLLPQRAYAWSGEDSATLGAGILVLFGFFTLSPW -YKHWIARLIWWNQYTICRCESALHVWVPPLLARGGRDGVILLTSLLYPSLIFDITKLLIAALGPLYLIQA -TITATPYFVRAHVLVRLCMLVRSVMGGKYFQMIILSLADGSNTYLYDHLAPMQHWAAAGLKDLAVATEPV -IFSPMEIKVITWGADTAACGDILCGLPVSARLGREVLLGPADDYREMGWRLLAPITAYAQQTRGLLGTIV -TSLTGRDKNVVAGEVQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLAGVKHPALQMYTNVDQDLVGWPAP -PGAKSLEPCTCGSADLYLVTRDADVIPARRRGDSTASLLSPRPLARLKGSSGGPVMCPSGHVAGIFRAAV -CTRGVAKALQFIPVETLSTQARSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYNVLVL -NPSVAATLGFGSFMSRAYGIDPNIRTGNRTVTTGAKLTYSTYGKFLAGGGCSGGAYDVIICDDCHAQDAT -SILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALGSEGEIPFYGKAIPIACIKGGRHLIFC -HSKKKCDKMASKLRGMGLNAVAYYRGLDVSVIPTTGDVVVCATDALMTGFTGDFDSVIDCNVAVEQYVDF -SLDPTFSIETCTAPQDAVSRSQRRGRTGRGRLGTYRYVTPGERPSGMFDSVVLCECYDAGCSWYDLQPAE -TTVRLRAYLSTPGLPVCQDHLDLWESVFTGLTHIDAHFLSQTKQAGLNFSYLTAYQATVCARAQAPPPSW -DETWKCLVRLKPTLHGPTPLLYRLGPVQNEICLTHPITKYVMACMSADLEVTTSTWVLLGGVLAAVAAYC -LSVGCVVIVGHIELGGKPALVPDKEVLYQQYDEMEECSQARPYIEQAQVIAHQFKEKVLGLLQRATQQQA -VIEPIVVSNWQKLEVLWHKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNILGG -WVATHLAGPQASSAFVVSGLAGAAIGGIGLGRVLLDILAGYGAGVSGALVAFKIMGGEPPTTEDMVNLLP -AILSPGALVVGVICAAILRRHVGPGEGPVQWMNRLIAFASRGNHVSPAHYVPESDAAARVTALLSSLTVT -SLLRRLHQWINEDYPSPCSGDWLRIIWDWVCSVVSDFKTWLSAKIMPALPGLPFISCQKGYKGVWRGDGV -MSTRCPCGASIAGHVKNGSMRLAGPRTCANMCHGTFPINEYTTGPSTPCPPPNYTRALWRVAANSYVEVR -RVGDFHYITGATEDGLKCPCQVPATEFFTEVDGVRIHRYAPPCRPLLRDEITFMVGLNSYAIGSQLPCEP -EPDVSVLTSMLRDPSHITAETAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHPDAELVDANLLWR -QEMGSNITRVESETKVVILDSFEPLRAETDDAELSAAAECFKKPPKYPPALPIWARPDYNPPLLDRWKSP -DYVPPTVHGCALPPKGAPPVPPPRRKRTIQLDGSNVSAALAALAEKSFPSSKPQEENSSSSGVDTQSSTA -SKVLPSPGEESDSESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTGALITPCSAEEEK -LPISPLSNSLLRHHNLVYSTSSRSASQRQKKVTFDRLQVLDDHYKTALQEVKERASRVKARMLSIEEACA -LVPPHSARSKFGYSAKDVRSLSSKAINQIRSVWEDLLEDTTTPIPTTIMAKNEVFCVDPAKGGRKAARLI -VYPDLGVRVCEKRALYDVIQRLSIETMGSAYGFQYSPRQRVERLLKMWTSKKTPLGFSYDTRCFDSTVTG -QDIRVEEAVYQCCNLEPEPGQAISSLTERLYCGGPMNNSKGAQCGYLRCRASGVLPTSFGNTITCYIKAT -AAARAAGLRNPDFLVCGDDLVVVAESDGVDEDRATLRAFTEAMTRYSAPPGDAPQPTYDLELITSCSSNV -SVARDDKGKRYYYLTRDATTPLARAAWETARHTPVNSWLGSIIMYAPTIWVRMVMMTHFFSILQSQEILD -RPLDFEMYGATYSVTPLDLPAIIERLHGLSAFSVHSYSPVELNRVAGTLRKLGCPPLRAWRHRARAVRAK -LIAQGGRAKICGLYLFNWAVRTKTKLTPLPAAGQLDLSSWFTVGVGGNDIYHSVSRARTRYLLLCLLLLT -VGVGIFLLPAR - ->sp|Q5EG65.3|POLG_HCVGL RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PKGRNWAQPGYPWPLYGNEGCGWAGWLPSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFVDLMGYIPLV -GAPLRGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNSTGLYHVTNDCPNS -SIVYEAVDAILHTPGCVPCVREGNASRCWVAMTPTVATRDGRLPTTQLRRHIDLLVGSATLCSALYVGDL -CGSVFLVGQLFTFSPRRHWTTQGCNCSIYPGHITGHRMAWDMMMNWSPTTALVVAQLLRIPQAILDMIAG -AHWGVLAGMAYFSMVGNWAKVLAVLLLFAGVDAETHVTGGAAARSTLQLAGLFQPGAKQNVQLINTNGSW -HVNRTALNCNDSLNTGWIAGLFYYHGFNSSGCSERLASCRSLTDFDQGWGPISYAGGGGPDHRPYCWHYP -PKPCGIVPAKSVCGPVYCFTPSPVVVGTTDRSGAPTYSWGADDTDVFVLNNTRPPLGNWFGCTWMNSTGF -TKVCGAPPCVIGGVGNNTLHCPTDCFRKHPEATYSRCGSGPWLTPRCLVDYPYRLWHYPCTINHSIFKVR -MYVGGVEHRLDAACNWTRGERCDLEDRDRSELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSSIASWAIKWEYVVLLFLLLADARVCSCLWMMLLISQAEAALENLVVLNAASLAGTHGLVSFLV -FFCFAWFLRGKWVPGAVYALYGMWPLLLLLLALPQRAYALDTEVAASCGGVVLVGLMAL - ->sp|Q9WMX2.3|POLG_HCVCO RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRAWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVRNVSGVYHVTNDCSNA -SIVYEAADMIMHTPGCVPCVRENNSSRCWVALTPTLAARNASVPTTTIRRHVDLLVGAAALCSAMYVGDL -CGSVFLVAQLFTFSPRRHETVQDCNCSIYPGHVTGHRMAWDMMMNWSPTAALVVSQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGGTYVTGGTMAKNTLGITSLFSPGSSQKIQLVNTNGSW -HINRTALNCNDSLNTGFLAALFYVHKFNSSGCPERMASCSPIDAFAQGWGPITYNESHSSDQRPYCWHYA -PRPCGIVPAAQVCGPVYCFTPSPVVVGTTDRFGVPTYSWGENETDVLLLNNTRPPQGNWFGCTWMNSTGF -TKTCGGPPCNIGGIGNKTLTCPTDCFRKHPEATYTKCGSGPWLTPRCLVHYPYRLWHYPCTVNFTIFKVR -MYVGGVEHRLEAACNWTRGERCNLEDRDRSELSPLLLSTTEWQVLPCSFTTLPALSTGLIHLHQNVVDVQ -YLYGIGSAVVSFAIKWEYVLLLFLLLADARVCACLWMMLLIAQAEAALENLVVLNAASVAGAHGILSFLV -FFCAAWYIKGRLVPGAAYALYGVWPLLLLLLALPPRAYAMDREMAASCGGAVFVGLILLTLSPHYKLFLA -RLIWWLQYFITRAEAHLQVWIPPLNVRGGRDAVILLTCAIHPELIFTITKILLAILGPLMVLQAGITKVP -YFVRAHGLIRACMLVRKVAGGHYVQMALMKLAALTGTYVYDHLTPLRDWAHAGLRDLAVAVEPVVFSDME -TKVITWGADTAACGDIILGLPVSARRGREIHLGPADSLEGQGWRLLAPITAYSQQTRGLLGCIITSLTGR -DRNQVEGEVQVVSTATQSFLATCVNGVCWTVYHGAGSKTLAGPKGPITQMYTNVDQDLVGWQAPPGARSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPVSYLKGSSGGPLLCPSGHAVGIFRAAVCTRGVA -KAVDFVPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSSTGEIPFYGKAIPIETIKGGRHLIFCHSKKKC -DELAAKLSGLGLNAVAYYRGLDVSVIPTSGDVIVVATDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTVPQDAVSRSQRRGRTGRGRMGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVTTTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGSV -VIVGRIILSGKPAIIPDREVLYREFDEMEECASHLPYIEQGMQLAEQFKQKAIGLLQTATKQAEAAAPVV -ESKWRTLEAFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQHTLLFNILGGWVAAQL -APPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGEMPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTITQLLKRL -HQWINEDCSTPCSGSWLRDVWDWICTVLTDFKTWLQSKLLPRLPGVPFFSCQRGYKGVWRGDGIMQTTCP -CGAQITGHVKNGSMRIVGPRTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEVTRVGDFH -YVTGMTTDNVKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLREEVTFLVGLNQYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTRHDSPDADLIEANLLWRQEMGGN -ITRVESENKVVILDSFEPLQAEEDEREVSVPAEILRRSRKFPRAMPIWARPDYNPPLLESWKDPDYVPPV -VHGCPLPPAKAPPIPPPRRKRTVVLSESTVSSALAELATKTFGSSESSAVDSGTATASPDQPSDDGDAGS -DVESYSSMPPLEGEPGDPDLSDGSWSTVSEEASEDVVCCSMSYTWTGALITPCAAEETKLPINALSNSLL -RHHNLVYATTSRSASLRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKAKLLSVEEACKLTPPHSARSKF -GYGAKDVRNLSSKAVNHIRSVWKDLLEDTETPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVCE -KMALYDVVSTLPQAVMGSSYGFQYSPGQRVEFLVNAWKAKKCPMGFAYDTRCFDSTVTENDIRVEESIYQ -CCDLAPEARQAIRSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKAAAACRAAKLQDC -TMLVCGDDLVVICESAGTQEDEASLRAFTEAMTRYSAPPGDPPKPEYDLELITSCSSNVSVAHDASGKRV -YYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGAC -YSIEPLDLPQIIQRLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRVWRHRARSVRARLLSQGGRAATC -GKYLFNWAVRTKLKLTPIPAASQLDLSSWFVAGYSGGDIYHSLSRARPRWFMWCLLLLSVGVGIYLLPNR - ->sp|Q01403.3|POLG_HCVHK RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLTRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRAWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGASRALAHGVRVLEDGVNYATGNLPGCSFSIFLSALMSCLTTPASAYEVRNVSGIYHVTNDCSNS -SIAYEAAGMIMHTPGCVPCVRENNSSRCWVALTPTLAARNASVPTTTIRRHVDLLVGAATLCSAMYVGDL -CGSVFLVSQLFTFSPRRYETVQDCNCSIYPGHVSGHRMAWDMMMNWSPTAALVVSQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGANTHTVGGTEGFATQRLTSLFALGPSQKIQLINTNGS -WHINRTALNCNDSFKTGFLAALFYVHKFNASGCPEHMASCRPIDKFDQGWGPVTYAEPSISEQRPYCWHY -APRPCGTIPASEVCGPVYCFTPSPVVVGTT - ->sp|P29846.3|POLG_HCVTW RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNGKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTWERSQPRGRRQPIPKARQ -PEGRAWAQPGYPWPLYGNEGLGWAGWLVSPRGSRPNWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVHNVSGIYHVTNDCSNS -SIVYEAADMIMHTPGCVPCVRENNSSRCWVALTPTLAARNNSVPTATIRRHVDLLVGAAAFCSAMYVGDL -CGSVFLVSQLFTFSPRRYETVQDCNCSIYPGHVTGHRMAWDMMMNWSPTTALVVSQLLRIPQAVVDMVGG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGSTIVSGGTVARTTHSLASLFTQGASQKIQLINTNGSW -HINRTALNCNDSLQTGFLASLFYAHRFNASGCPERMASCRSIDKFDQGWGPITYTEADIQDQRPYCWHYA -PRPCGIVPASQVCGPVYCFTPSPVVVGTTDRFGAPTYSWGENETDVLILNNTRPPQGNWFGCTWMNSTGF -TKTCGGPPCNIGGGGNNTLVCPTDCFRKHPEATYTKCGSGPWLTPRCMVDYPYRLWHYPCTVNFTIFKVR -MYVGGVEHRLNAACNWTRGERCDLEDRDRSELSPLLLSTTEWQILPCSFTGLPALSTGLIHLHQNVVDVQ -YLYGIGSAVVSFAIKWEYILLLFLLLADARVCACLWMMLLIAQAEAALENLVVFNAASVAGMHGTLSFLV -FFCAAWYIKGRLVPGAAYALYGVWPLLLLLLALPPRAYAMDREMAASCGGAVFVGLVLLTLSPHYKMFLA -RLIWWLQYFITRAEAHLQVWIPPLNVRGGRDAIILLTCAAYPELIFDITKILLAILGPLMVLQAGLTRIP -YFVRAQGLIRACMLVRKAAGGHYVQMALMKLAALTGTYVYDHLTPLQDWAHTGLRDLAVAVEPVVFSDME -TKIITWGADTAACGDIILGLPVSARRGREILLGPADSLEGRGWRLLAPITAYAQQTRGLFGCIITSLTGR -DKNQVEGEVQVVSTATQSFLATCINGVCWTVYHGAGSKTLAGPKGPITQMYTNVDQDLVGWHAPQGARSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPSGHVVGIFRAAVCTRGVA -KAVDFVPVESMETTMRSPVFTDNSSPPAVPQAFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGVDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIMCDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEIALSNTGEIPFYGKAIPIETIKGGRHLIFCHSKKKC -DELAAKLSALGIHAVAYYRGLDVSVIPASGNVVVVATDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTMPQDAVSRSQRRGRTSRGRRGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWKC -LTRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGSV -VIVGRIILSGKPAVVPDREVLYQEFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPVV -ESKWRTLEAFWANDMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQSTLLFNILGGWVAAQL -APPGAASAFVGAGIAGAAVGSIGLGKVLVDMVAGYGAGVAGALVAFKVMSGEMPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVDPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSGLTITQLLRRL -HQWINEDCSTPCSGSWLRDVWDWICTVLADFKTWLQSKLLPRLPGVPFFSCQRGYKGVWRGDGIMQTTCP -CGAQLTGHVKNGSMRIWGPKTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEVRRVGDFH -YVTGMTTDNVKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLREEVSFQVGLNQYVVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSALSLKAACTTRHTPPDADLIEANLLWRQEMGGN -ITRVESENKVVILDSFDPLRAEEDEREVSVPAEILRKSRKFPPALPVWARPDYNPPLLEPWKDPDYVPPV -VHGCPLPPVKAPPIPPPRRKRTVVLTESTVSSALAELATKTFGSSESSAAGSGTATAPPDQPSDDGDAGS -DVESCSSMPPLEGEPGDPDLSDGSWSTVSEEDGEGVICCSMSYTWTGALITPCAAEESKLPINALSNSLL -RHHNMVYATTSRSASQRQKKVTIDRLQVLDDHYRDVLKEMKAKASTVKAKLLSVEEACKLTPPHSARSKF -GYGAKDVRNLSGKAINHIRSVWKDLLEDTETPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVCE -KMALYDVVSTLPQAVMGSSYGFQYSPGQRVEFLVNAWKSKKCPMGFSYDTRCFDSTVTESDIRVEESIYQ -CCDLAPEARQAIRSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKASAACRAAKLQDC -TMLVCGDDLVVICESAGTQEDAASLRVFTEAMTRYSAPPGDLPQPEYDQELITSCSSNVSVAHDASGKRV -YYLTRDPTTPLARAAWATARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGAC -YSIEPLDLPQIIERLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRAWRHRARSVRAKLLSQGGRAATC -GRYLFNWAVKTKLKLTPIPAASQLDLSKWFVAGYGGGDIYHSLSRARPRWFMLCLLLLSVGVGIYLLPNR - ->sp|Q00269.3|POLG_HCVJT RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTYRRPQDVKFPGGGQIVGGVYVLPRRGPTLGVRATRKTSERSQPRGRRQPIPKARR -PEGRAWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYQVRNASGLYHVTNDCSNS -SIVYEAAGMIMHTPGCVPCVRENNASRCWVALTPTLAARNTSIPTTTIRRHVDLLVGAAAFCSAMYVGDL -CGSVFLVSQLFTFSPRRYETVQDCNCSIYPGHVSGHRMAWDMMMNWSPTTALVVSQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGVTYTTGGSQARHTQSVTSFFTQGPAQRIQLINTNGSW -HINRTALNCNESLNTGFFAALFYAHKFNSSGCPERMASCSSIDKFAQGWGPITYTEPRDLDQRPYCWHYA -PRQCGIVPASQVCGPVYCFTPSPVVVGTTDRSGAPTYNWGANETDVLLLNNTRPPQGNWFGCTWMNSTGF -TKTCGGPPCNIGGVGNLTLTCPTDCFRKHPEATYTKCGSGPWLTPRCIVDYPYRLWHYPCTVNFTIFKVR -MYVGGVEHRLSAACNWTRGERCDLEDRDRSELSPLLLSTTEWQTLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGIGSAVVSFVIKWEYIVLLFLLLADARVCACLWMMLLIAQAEAALENLVVLNAASLAGADGILSFLV -FFCAAWYIKGRLVPGAAYALYGVWPLLLLLLALPPRAYAMDREMAASCGGVVFVGLILLTLSPHYKVFLA -RLIWWLQYFITRAEAHLCVWVPPLNVRGGRDAIILLTCAAHPELIFDITKLLLAILGPLMVLQAAITAMP -YFVRAQGLIRACMLVRKVAGGHYVQMAFMKLAALTGTYVYDHLTPLQDWAHAGLRDLAVAVEPVVFSDME -TKIITWGADTAACGDIILGLPVSARRGREILLGPADSIEGQGWRLLAPITAYAQQTRGLLGCIVTSLTGR -DKNQVEGEVQVVSTATQSFLATCVNGVCWTVFHGAGSKTLAGPKGPITQMYTNVDQDLVGWHAPPGARSL -TPCTCGSSDLYLVTRHADVIPVRRRGDGRGSLLSPRPVSYLKGSSGGPLLCPSGHAVGIFRAAVCTRGVA -KAVDFIPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGTDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSNTGEIPFYGKAIPLEAIKGGRHLIFCHSKKKC -DELAAKLSGLGINAVAYYRGLDVSVIPTSGDVVIVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTVPQDAVSRSQRRGRTGRGRGGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETTVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEITLTHPITKFIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGSV -VIVGRIILSGRPAVVPDREVLYREFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPVV -ESRWRALEAFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQNTLLFNILGGWVAAQL -APPSAASAFVGAGIAGAAIGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGEAPSAEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTITQLLKRL -HQWINEDCSTPCSGSWLKDVWDWICTVLTDFKTWLQSKLLPKLPGVPFFSCQRGYKGVWRGDGIMQTTCP -CGAQITGHVKNGSMRIVGPKTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEITRVGDFH -YVTGMTTDNVKCPCQVPAPEFFTELDGVRLHRYAPACRPLLREDVTFQVGLNQYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTHHDSPDADLIEANLLWRQEMGGN -ITRVESENKVVILDSFDPLRAEEDEREVSVAAEILRKSKKFPPALPIWARPDYNPPLLESWKSPDYVPPA -VHGCPLPPTTGPPIPPPRKKRTVVLTESTVSSALAELATKTFGSSGSSAVDSGTATAPPDQTSDDGDKES -DVESYSSMPPLEGEPGDPDLSDGSWSTVSGEASDDIVCCSMSYTWTGALITPCAAEESKLPINALSNSLL -RHHNMVYATTSRSASLRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKAKLLSVEEACKLTPPHSAKSKF -GYGAKDVRNLSSKAINHIRSVWKDLLEDTETPIDTTIMAKSEVFCVQPEKGGRKPARLIVFPDLGVRVCE -KMALYDVVSTLPQAVMGSSYGFQYSPGQRVEFLVNAWKSKKSPMGFSYDTRCFDSTVTESDIRVEESIYQ -CCDLAPEARQAIKSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKATAACRAAKLQDC -TMLVNGDDLVVICESAGTQEDAASLRVFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDASGKRV -YYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGAC -YSIEPLDLPQIIQRLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRVWRHRARSVRARLLSQGGRAATC -GKYLFNWAVRTKLKLTPIPAASQLDLSSWFVAGYSGGDIYHSLSRARPRWFMWCLLLLSVGVGIYLLPNR - ->sp|P26662.3|POLG_HCVJA RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRTWAQPGYPWPLYGNEGMGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVRNVSGIYHVTNDCSNS -SIVYEAADMIMHTPGCVPCVRESNFSRCWVALTPTLAARNSSIPTTTIRRHVDLLVGAAALCSAMYVGDL -CGSVFLVSQLFTFSPRRYETVQDCNCSIYPGHVSGHRMAWDMMMNWSPTTALVVSQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGHTHVTGGRVASSTQSLVSWLSQGPSQKIQLVNTNGSW -HINRTALNCNDSLQTGFIAALFYAHRFNASGCPERMASCRPIDEFAQGWGPITHDMPESSDQRPYCWHYA -PRPCGIVPASQVCGPVYCFTPSPVVVGTTDRFGAPTYSWGENETDVLLLSNTRPPQGNWFGCTWMNSTGF -TKTCGGPPCNIGGVGNNTLVCPTDCFRKHPEATYTKCGSGPWLTPRCMVDYPYRLWHYPCTVNFTVFKVR -MYVGGVEHRLNAACNWTRGERCDLEDRDRSELSPLLLSTTEWQILPCSFTTLPALSTGLIHLHRNIVDVQ -YLYGIGSAVVSFAIKWEYILLLFLLLADARVCACLWMMLLIAQAEATLENLVVLNAASVAGAHGLLSFLV -FFCAAWYIKGRLVPGAAYALYGVWPLLLLLLALPPRAYAMDREMAASCGGAVFVGLVLLTLSPYYKVFLA -RLIWWLQYFITRAEAHLQVWVPPLNVRGGRDAIILLTCAVHPELIFDITKLLLAILGPLMVLQAGITRVP -YFVRAQGLIRACMLVRKVAGGHYVQMAFMKLAALTGTYVYDHLTPLRDWAHAGLRDLAVAVEPVVFSDME -TKLITWGADTAACGDIISGLPVSARRGKEILLGPADSFGEQGWRLLAPITAYSQQTRGLLGCIITSLTGR -DKNQVDGEVQVLSTATQSFLATCVNGVCWTVYHGAGSKTLAGPKGPITQMYTNVDQDLVGWPAPPGARSM -TPCTCGSSDLYLVTRHADVVPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPSGHVVGIFRAAVCTRGVA -KAVDFIPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIEPNIRTGVRTITTGGPITYSTYCKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSITVPHPNIEEVALSNTGEIPFYGKAIPIEAIKGGRHLIFCHSKKKC -DELAAKLTGLGLNAVAYYRGLDVSVIPTSGDVVVVATDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTLPQDAVSRAQRRGRTGRGRSGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNLPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGSV -VIVGRIILSGRPAVIPDREVLYQEFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPVV -ESKWRALEVFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQNTLLFNILGGWVAAQL -APPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGEMPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTITQLLKRL -HQWINEDCSTPCSGSWLKDVWDWICTVLSDFKTWLQSKLLPRLPGLPFLSCQRGYKGVWRGDGIMQTTCP -CGAQITGHVKNGSMRIVGPKTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEVTRVGDFH -YVTGMTTDNVKCPCQVPAPEFFTEVDGVRLHRYAPVCKPLLREEVVFQVGLNQYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTHHDSPDADLIEANLLWRQEMGGN -ITRVESENKVVILDSFDPIRAVEDEREISVPAEILRKPRKFPPALPIWARPDYNPPLLESWKDPDYVPPV -VHGCPLPSTKAPPIPPPRRKRTVVLTESTVSSALAELATKTFGSSGSSAVDSGTATGPPDQASDDGDKGS -DVESYSSMPPLEGEPGDPDLSDGSWSTVSGEAGEDVVCCSMSYTWTGALITPCAAEESKLPINPLSNSLL -RHHSMVYSTTSRSASLRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKARLLSIEEACKLTPPHSAKSKF -GYGAKDVRSLSSRAVNHIRSVWEDLLEDTETPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVCE -KMALYDVVSTLPQAVMGPSYGFQYSPGQRVEFLVNTWKSKKCPMGFSYDTRCFDSTVTENDIRTEESIYQ -CCDLAPEARQAIRSLTERLYVGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKATAACRAAKLQDC -TMLVNGDDLVVICESAGTQEDAAALRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDASGKRV -YYLTRDPTTPLARAAWETVRHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGAC -YSIEPLDLPQIIERLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRVWRHRARSVRAKLLSQGGRAATC -GKYLFNWAVKTKLKLTPIPAASQLDLSGWFVAGYNGGDIYHSLSRARPRWFMLCLLLLSVGVGIYLLPNR - ->sp|P26661.3|POLG_HCVJ8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPTDPRHRSRNLGRVIDTITCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCVTVPVSAVEVRNISSSYYATNDCSNN -SITWQLTDAVLHLPGCVPCENDNGTLHCWIQVTPNVAVKHRGALTRSLRTHVDMIVMAATACSALYVGDV -CGAVMILSQAFMVSPQRHNFTQECNCSIYQGHITGHRMAWDMMLSWSPTLTMILAYAARVPELVLEIIFG -GHWGVVFGLAYFSMQGAWAKVIAILLLVAGVDATTYSSGQEAGRTVAGFAGLFTTGAKQNLYLINTNGSW -HINRTALNCNDSLQTGFLASLFYTHKFNSSGCPERLSSCRGLDDFRIGWGTLEYETNVTNDGDMRPYCWH -YPPRPCGIVPARTVCGPVYCFTPSPVVVGTTDKQGVPTYTWGENETDVFLLNSTRPPRGAWFGCTWMNGT -GFTKTCGAPPCRIRKDYNSTIDLLCPTDCFRKHPDATYLKCGAGPWLTPRCLVDYPYRLWHYPCTVNFTI -FKARMYVGGVEHRFSAACNFTRGDRCRLEDRDRGQQSPLLHSTTEWAVLPCSFSDLPALSTGLLHLHQNI -VDVQYLYGLSPALTRYIVKWEWVILLFLLLADARICACLWMLIILGQAEAALEKLIILHSASAASANGPL -WFFIFFTAAWYLKGRVVPVATYSVLGLWSFLLLVLALPQQAYALDAAEQGELGLAILVIISIFTLTPAYK -ILLSRSVWWLSYMLVLAEAQIQQWVPPLEVRGGRDGIIWVAVILHPRLVFEVTKWLLAILGPAYLLKASL -LRIPYFVRAHALLRVCTLVKHLAGARYIQMLLITIGRWTGTYIYDHLSPLSTWAAQGLRDLAIAVEPVVF -SPMEKKVIVWGAETVACGDILHGLPVSARLGREVLLGPADGYTSKGWKLLAPITAYTQQTRGLLGAIVVS -LTGRDKNEQAGQVQVLSSVTQTFLGTSISGVLWTVYHGAGNKTLAGPKGPVTQMYTSAEGDLVGWPSPPG -TKSLDPCTCGAVDLYLVTRNADVIPVRRKDDRRGALLSPRPLSTLKGSSGGPVLCSRGHAVGLFRAAVCA -RGVAKSIDFIPVESLDVATRTPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNP -SVAATLGFGAYMSKAHGINPNIRTGVRTVTTGDSITYSTYGKFIADGGCAAGAYDIIICDECHSVDATTI -LGIGTVLDQAETAGVRLVVLATATPPGTVTTPHSNIEEVALGHEGEIPFYGKAIPLAFIKGGRHLIFCHS -KKKCDELAAALRGMGVNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVSQIVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGVYRYVSSGERPSGMFDSVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGENFAYLTAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLTGPTPLLYRLGAVTNEVTLTHPVTKYIATCMQADLEIMTSSWVLAGGVLAAVAAYCLA -TGCISIIGRLHLNDRVVVAPDKEILYEAFDEMEECASKAALIEEGQRMAEMLKSKIQGLLQQATRQAQDI -QPAIQSSWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLPTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPTVEDVVNLLPAI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVVESDASQRVTQVLSSLTITSL -LRRLHAWITEDCPVPCSGSWLQDIWDWVCSILTDFKNWLSSKLLPKMPGIPFISCQKGYKGVWAGTGVMT -TRCPCGANISGHVRMGTMKITGPKTCLNLWQGTFPINCYTEGPCVPKPPPNYKTAIWRVAASEYVEVTQH -GSFSYVTGLTSDNLKVPCQVPAPEFFSWVDGVQIHRFAPVPGPFFRDEVTFTVGLNSFVVGSQLPCDPEP -DTEVLASMLTDPSHITAEAAARRLARGSPPSQASSSASQLSAPSLKATCTTHKTAYDCDMVDANLFMGGD -VTRIESDSKVIVLDSLDSMTEVEDDREPSVPSEYLIKRRKFPPALPPWARPDYNPVLIETWKRPGYEPPT -VLGCALPPTPQTPVPPPRRRRAKVLTQDNVEGVLREMADKVLSPLQDNNDSGHSTGADTGGDIVQQPSDE -TAASEAGSLSSMPPLEGEPGDPDLEFEPVGSAPPSEGECEVIDSDSKSWSTVSDQEDSVICCSMSYSWTG -ALITPCGPEEEKLPINPLSNSLMRFHNKVYSTTSRSASLRAKKVTFDRVQVLDAHYDSVLQDVKRAASKV -SARLLTVEEACALTPPHSAKSRYGFGAKEVRSLSRRAVNHIRSVWEDLLEDQHTPIDTTIMAKNEVFCID -PTKGGKKPARLIVYPDLGVRVCEKMALYDIAQKLPKAIMGPSYGFQYSPAERVDFLLKAWGSKKDPMGFS -YDTRCFDSTVTERDIRTEESIYQACSLPQEARTVIHSLTERLYVGGPMTNSKGQSCGYRRCRASGVFTTS -MGNTMTCYIKALAACKAAGIVDPVMLVCGDDLVVISESQGNEEDERNLRAFTEAMTRYSAPPGDLPRPEY -DLELITSCSSNVSVALDSRGRRRYFLTRDPTTPITRAAWETVRHSPVNSWLGNIIQYAPTIWVRMVIMTH -FFSILLAQDTLNQNLNFEMYGAVYSVNPLDLPAIIERLHGLEAFSLHTYSPHELSRVAATLRKLGAPPLR -AWKSRARAVRASLIAQGARAAICGRYLFNWAVKTKLKLTPLPEASRLDLSGWFTVGAGGGDIYHSVSHAR -PRLLLLCLLLLSVGVGIFLLPAR - ->sp|P27961.3|POLG_HCVJ7 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPTDPRHRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCVTVPVSAVEVRNISSSYYATNDCSNN -SITWQLTNAVLHLPGCVPCENDNGTLRCWIQVTPNVAVKHRGALTHNLRTHVDMIVMAATVCSALYVGDI -CGAVMIASQAFIISPERHNFTQECNCSIYQGHITGHRMAWDMMLNWSPTLTMILAYAARVPELVLEVVFG -GHWGVVFGLAYFSMQGAWAKVIAILLLVAGVDASTQVTGGQAAHTVRGVASIFSPGSRQDISLINTNGSW -HINRTALNCNDSLQTGFFAALFYVRRFNSSGCPERLSSCRKLDDFRIGWGTLEYETNVTNEEDMRPYCWH -YPPKPCGIVSAKTVCGPVYCFTPSPVVVGTTDRQGVPTYSWGENETDVFLLNSTRPPRGAWFGCTWMNGT -GFTKTCGAPPCRIRRDYNGTLDLLCPTDCFRKHPDTTYLKCGAGPWLTPRCLVDYPYRLWHYPCTVNFTI -FKVRMYVGGVEHRLDAACNFTRGDRCRLEDRDRSQQSPLLHSTTEWAVLPCSYSDLPALSTGLLHLHQNI -VDVQYLYGLSPAITRHIVKWEWVILLFLLLADARVCA - ->sp|P26660.3|POLG_HCVJ6 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPNDPRHRSRNVGKVIDTLTCGFADLMGYIPVV -GAPLGGVARALAHGVRVLEDGVNFATGNLPGCSFSIFLLALLSCITTPVSAAEVKNISTGYMVTNDCTND -SITWQLQAAVLHVPGCVPCEKVGNTSRCWIPVSPNVAVQQPGALTQGLRTHIDMVVMSATLCSALYVGDL -CGGVMLAAQMFIVSPQHHWFVQDCNCSIYPGTITGHRMAWDMMMNWSPTATMILAYAMRVPEVIIDIIGG -AHWGVMFGLAYFSMQGAWAKVVVILLLAAGVDAQTHTVGGSTAHNARTLTGMFSLGARQKIQLINTNGSW -HINRTALNCNDSLHTGFLASLFYTHSFNSSGCPERMSACRSIEAFRVGWGALQYEDNVTNPEDMRPYCWH -YPPRQCGVVSASSVCGPVYCFTPSPVVVGTTDRLGAPTYTWGENETDVFLLNSTRPPQGSWFGCTWMNST -GYTKTCGAPPCRIRADFNASMDLLCPTDCFRKHPDTTYIKCGSGPWLTPRCLIDYPYRLWHYPCTVNYTI -FKIRMYVGGVEHRLTAACNFTRGDRCNLEDRDRSQLSPLLHSTTEWAILPCTYSDLPALSTGLLHLHQNI -VDVQFMYGLSPALTKYIVRWEWVVLLFLLLADARVCACLWMLILLGQAEAALEKLVVLHAASAASCNGFL -YFVIFFVAAWYIKGRVVPLATYSLTGLWSFGLLLLALPQQAYAYDASVHGQIGAALLVLITLFTLTPGYK -TLLSRFLWWLCYLLTLAEAMVQEWAPPMQVRGGRDGIIWAVAIFCPGVVFDITKWLLAVLGPAYLLKGAL -TRVPYFVRAHALLRMCTMVRHLAGGRYVQMVLLALGRWTGTYIYDHLTPMSDWAANGLRDLAVAVEPIIF -SPMEKKVIVWGAETAACGDILHGLPVSARLGREVLLGPADGYTSKGWSLLAPITAYAQQTRGLLGTIVVS -MTGRDKTEQAGEIQVLSTVTQSFLGTTISGVLWTVYHGAGNKTLAGSRGPVTQMYSSAEGDLVGWPSPPG -TKSLEPCTCGAVDLYLVTRNADVIPARRRGDKRGALLSPRPLSTLKGSSGGPVLCPRGHAVGVFRAAVCS -RGVAKSIDFIPVETLDIVTRSPTFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPVAYAAQGYKVLVLNP -SVAATLGFGAYLSKAHGINPNIRTGVRTVTTGAPITYSTYGKFLADGGCAGGAYDIIICDECHAVDSTTI -LGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPNIEEVALGQEGEIPFYGRAIPLSYIKGGRHLIFCHS -KKKCDELAAALRGMGLNAVAYYRGLDVSVIPTQGDVVVVATDALMTGFTGDFDSVIDCNVAVTQVVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSTGERASGMFDSVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQSGENFAYLTAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLVGPTPLLYRLGSVTNEVTLTHPVTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCLA -TGCVCIIGRLHVNQRAVVAPDKEVLYEAFDEMEECASRAALIEEGQRIAEMLKSKIQGLLQQASKQAQDI -QPAVQASWPKVEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNILGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKVLVDILAGYGAGISGALVAFKIMSGEKPSMEDVVNLLPGI -LSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVTESDASQRVTQLLGSLTITSL -LRRLHNWITEDCPIPCSGSWLRDVWDWVCTILTDFKNWLTSKLFPKMPGLPFISCQKGYKGVWAGTGIMT -TRCPCGANISGNVRLGSMRITGPKTCMNIWQGTFPINCYTEGQCVPKPAPNFKIAIWRVAASEYAEVTQH -GSYHYITGLTTDNLKVPCQLPSPEFFSWVDGVQIHRFAPIPKPFFRDEVSFCVGLNSFVVGSQLPCDPEP -DTDVLTSMLTDPSHITAETAARRLARGSPPSEASSSASQLSAPSLRATCTTHGKAYDVDMVDANLFMGGD -VTRIESESKVVVLDSLDPMVEERSDLEPSIPSEYMLPKKRFPPALPAWARPDYNPPLVESWKRPDYQPAT -VAGCALPPPKKTPTPPPRRRRTVGLSESSIADALQQLAIKSFGQPPPSGDSGLSTGADAADSGSRTPPDE -LALSETGSISSMPPLEGEPGDPDLEPEQVELQPPPQGGVVTPGSGSGSWSTCSEEDDSVVCCSMSYSWTG -ALITPCSPEEEKLPINPLSNSLLRYHNKVYCTTSKSASLRAKKVTFDRMQALDAHYDSVLKDIKLAASKV -TARLLTLEEACQLTPPHSARSKYGFGAKEVRSLSGRAVNHIKSVWKDLLEDTQTPIPTTIMAKNEVFCVD -PTKGGKKAARLIVYPDLGVRVCEKMALYDITQKLPQAVMGASYGFQYSPAQRVEFLLKAWAEKKDPMGFS -YDTRCFDSTVTERDIRTEESIYRACSLPEEAHTAIHSLTERLYVGGPMFNSKGQTCGYRRCRASGVLTTS -MGNTITCYVKALAACKAAGIIAPTMLVCGDDLVVISESQGTEEDERNLRAFTEAMTRYSAPPGDPPRPEY -DLELITSCSSNVSVALGPQGRRRYYLTRDPTTPIARAAWETVRHSPVNSWLGNIIQYAPTIWARMVLMTH -FFSILMAQDTLDQNLNFEMYGAVYSVSPLDLPAIIERLHGLDAFSLHTYTPHELTRVASALRKLGAPPLR -AWKSRARAVRASLISRGGRAAVCGRYLFNWAVKTKLKLTPLPEARLLDLSSWFTVGAGGGDIYHSVSRAR -PRLLLLGLLLLFVGVGLFLLPAR - ->sp|P27960.3|POLG_HCVJ5 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPNDPRHRSRNVGKVIDTLTCGFADLMGYIPVV -GAPLGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCITVPVSAVQVKNTSNSYMVTNDCSND -SITWQLQGAVLHVPGCVPCEKVGNMSRCWIPVSPNVAVRQPGALTQGLRTHIDMVVVSATLCSALYVGDL -CGGVMLAAQMFIVSPQHHWFVQECNCSIYPGAITGHRMAWDMMMNWSPTATMILAYAMRVPEVIIDIISG -AHWGVMFGLAYFSMQGAWAKVVVILLLAAGVDANTRTVAGSAAATTRGFTSMFSSGSKQNLQLINTNGSW -HINRTALNCNDSLNTGFIASLFYVNRFNSSGCPHRLSVCRSIEAFRIGWGTLQYEDNVTNPEDMRPYCWH -YPPKPCGIVPARSVCGPVYCFTPSPVVVGTTDARGVPTYTWGENETDVFLLNSTRPPRGSWFGCTWMNST -GFTKTCGAPPCRIRADFNASTDLLCPTDCFRKHSDATYIKCGSGPWLTPKCMVDYPYRLWHYPCTVNYSI -FKIRMYVGGVEHRLTAACNFTRGDPCNLEDRDRSQLSPLLHSTTEWAILPCTYSDLPALSTGLLHLHQNI -VDVQYMYGLSPALTKYVVRWEWVVLLFLLLADARVCA - ->sp|P27959.3|POLG_HCVJ2 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRAWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDSVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVRNVSGVYHVTNDCSNS -SIVYEAADLIMHAPGCVPCVRENNSSRCWVALTPTLAARNTSIPTTTIRRHVDLLVGAATFCSAMYVGDL -CGSVFLVSQLFTFSPRRHETLQDCNCSIYPGHLSGHRMAWDMMMNWSPTTALVVSQLLRIPQTVVDMVTG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGTTHVTGGATGHTTSGIASLFLPGASQKIQLINTNGSW -HINRTALNCNDSLNTGFLAALFYTHKFNASGCPERLASCRSIDGFDQGWGPITYTEPGDSDQKPYCWHYA -PQRCSVVSAADVCGPVYCFTPSP - ->sp|P27958.3|POLG_HCV77 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNSSGLYHVTNDCPNS -SVVYEAADAILHTPGCVPCVREGNASRCWVAVTPTVATRDGKLPTTQLRRHIDLLVGSATLCSALYVGDL -CGSVFLVGQLFTFSPRHHWTTQDCNCSIYPGHITGHRMAWNMMMNWSPTAALVVAQLLRIPQAIMDMIAG -AHWGVLAGIKYFSMVGNWAKVLVVLLLFAGVDAETHVTGGNAGRTTAGLVGLLTPGAKQNIQLINTNGSW -HINSTALNCNESLNTGWLAGLFYQHKFNSSGCPERLASCRRLTDFAQGWGPISYANGSGLDERPYCWHYP -PRPCGIVPAKSVCGPVYCFTPSPVVVGTTDRSGAPTYSWGANDTDVFVLNNTRPPLGNWFGCTWMNSTGF -TKVCGAPPCVIGGVGNNTLLCPTDCFRKYPEATYSRCGSGPRITPRCMVDYPYRLWHYPCTINYTIFKVR -MYVGGVEHRLEAACNWTRGERCDLEDRDRSELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSSIASWAIKWEYVVLLFLLLADARVCSCLWMMLLISQAEAALENLVILNAASLAGTHGLVSFLV -FFCFAWYLKGRWVPGAVYALYGMWPLLLLLLALPQRAYALDTEVAASCGGVVLVGLMALTLSPYYKRYIS -WCMWWLQYFLTRVEAQLHVWVPPLNVRGGRDAVILLTCVVHPALVFDITKLLLAIFGPLWILQASLLKVP -YFVRVQGLLRICALARKIAGGHYVQMAIIKLGALTGTCVYNHLAPLRDWAHNGLRDLAVAVEPVVFSRME -TKLITWGADTAACGDIINGLPVSARRGQEILLGPADGMVSKGWRLLAPITAYAQQTRGLLGCIITSLTGR -DKNQVEGEVQIVSTATQTFLATCINGVCWTVYHGAGTRTIASPKGPVIQTYTNVDQDLVGWPAPQGSRSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPTGHAVGLFRAAVCTRGVA -KAVDFIPVENLETTMRSPVFTDNSSPPAVPQSFQVAHLHAPTGSGKSTKVPAAYAAKGYKVLVLNPSVAA -TLGFGAYMSKAHGVDPNIRTGVRTITTGSPITYSTYGKFLADAGCSGGAYDIIICDECHSTDATSISGIG -TVLDQAETAGARLVVLATATPPGSVTVSHPNIEEVALSTTGEIPFYGKAIPLEVIKGGRHLIFCHSKKKC -DELAAKLVALGINAVAYYRGLDVSVIPTSGDVVVVSTDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTLPQDAVSRTQRRGRTGRGKPGIYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTPAETTVRLR -AYMNTPGLPVCQDHLGFWEGVFTGLTHIDAHFLSQTKQSGENFPYLVAYQATVCARAQAPPPSWDQMRKC -LIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMTCMSADLEVVTSTWVLVGGVLAALAAYCLSTGCV -VIVGRIVLSGKPAIIPDREVLYQEFDEMEECSQHLPYIEQGMMLAEQFKQKALGLLQTASRHAEVITPAV -QTNWQKLEVFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTGQTLLFNILGGWVAAQL -AAPGAATAFVGAGLAGAALDSVGLGKVLVDILAGYGAGVAGALVAFKIMSGEVPSTEDLVNLLPAILSPG -ALAVGVVFASILRRRVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLRRL -HQWISSECTTPCSGSWLRDIWDWICEVLSDFKTWLKAKLMPQLPGIPFVSCQRGYRGVWRGDGIMHTRCH -CGAEITGHVKNGTMRIVGPRTCKNMWSGTFFINAYTTGPCTPLPAPNYKFALWRVSAEEYVEIRRVGDFH -YVSGMTTDNLKCPCQIPSPEFFTELDGVRLHRFAPPCKPLLREEVSFRVGLHEYPVGSQLPCEPEPDVAV -LTSMLTDPSHITAEAAGRRLARGSPPSMASSSASQLSAPSLKATCTANHDSPDAELIEANLLWRQEMGGN -ITRVESENKVVILDSFDPLVAEEDEREVSVPAEILRKSRRFAPALPVWARPDYNPLLVETWKKPDYEPPV -VHGCPLPPPRSPPVPPPRKKRTVVLTESTLPTALAELATKSFGSSSTSGITGDNTTTSSEPAPSGCPPDS -DVESYSSMPPLEGEPGDPDLSDGSWSTVSSGADTEDVVCCSMSYSWTGALVTPCAAEEQKLPINALSNSL -LRHHNLVYSTTSRSACQRKKKVTFDRLQVLDSHYQDVLKEVKAAASKVKANLLSVEEACSLAPPHSAKSK -FGYGAKDVRCHARKAVAHINSVWKDLLEDSVTPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVC -EKMALYDVVSKLPLAVMGSSYGFQYSPGQRVEFLVQAWKSKKTPMGLSYDTRCFDSTVTESDIRTEEAIY -QCCDLDPQARVAIKSLTERLYVGGPLTNSRGENCGYRRCRASRVLTTSCGNTLTRYIKARAACRAAGLQD -CTMLVCGDDLVVICESAGVQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDGAGKR -VYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARMILMTHFFSVLIARDQLEQALNCEIYGA -CYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRAWSVRARLLARGGKAAI -CGKYLFNWAVRTKLKLTPITAAGRLDLSGWFTAGYSGGDIYHSVSHARPRWFWFCLLLLAAGVGIYLLPN -R - ->sp|P26663.3|POLG_HCVBK RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protp26663ein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRAPRKTSERSQPRGRRQPIPKARR -PEGRTWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTTPASAYEVHNVSGIYHVTNDCSNA -SIVYEAADLIMHTPGCVPCVREGNSSRCWVALTPTLAARNVTIPTTTIRRHVDLLVGAAAFCSAMYVGDL -CGSVFLVSQLFTFSPRRHVTLQDCNCSIYPGHVSGHRMAWDMMMNWSPTTALVVSQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMAGNWAKVLIVMLLFAGVDGDTHVTGGAQAKTTNRLVSMFASGPSQKIQLINTNGSW -HINRTALNCNDSLQTGFLAALFYTHSFNSSGCPERMAQCRTIDKFDQGWGPITYAESSRSDQRPYCWHYP -PPQCTIVPASEVCGPVYCFTPSPVVVGTTDRFGVPTYRWGENETDVLLLNNTRPPQGNWFGCTWMNSTGF -TKTCGGPPCNIGGVGNNTLTCPTDCFRKHPEATYTKCGSGPWLTPRCMVDYPYRLWHYPCTVNFTIFKVR -MYVGGVEHRLNAACNWTRGERCDLEDRDRPELSPLLLSTTEWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGIGSAVVSFAIKWEYVLLLFLLLADARVCACLWMMLLIAQAEAALENLVVLNSASVAGAHGILSFLV -FFCAAWYIKGRLVPGATYALYGVWPLLLLLLALPPRAYAMDREMAASCGGAVFVGLVLLTLSPYYKVFLA -RLIWWLQYFTTRAEADLHVWIPPLNARGGRDAIILLMCAVHPELIFDITKLLIAILGPLMVLQAGITRVP -YFVRAQGLIHACMLVRKVAGGHYVQMAFMKLGALTGTYIYNHLTPLRDWPRAGLRDLAVAVEPVVFSDME -TKIITWGADTAACGDIILGLPVSARRGKEILLGPADSLEGRGLRLLAPITAYSQQTRGLLGCIITSLTGR -DKNQVEGEVQVVSTATQSFLATCVNGVCWTVYHGAGSKTLAAPKGPITQMYTNVDQDLVGWPKPPGARSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPVSYLKGSSGGPLLCPFGHAVGIFRAAVCTRGVA -KAVDFVPVESMETTMRSPVFTDNSSPPAVPQSFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNIRTGVRTITTGAPVTYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSNTGEIPFYGKAIPIEAIRGGRHLIFCHSKKKC -DELAAKLSGLGINAVAYYRGLDVSVIPTIGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTVPQDAVSRSQRRGRTGRGRRGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGSV -VIVGRIILSGRPAIVPDRELLYQEFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPVV -ESKWRALETFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQSTLLFNILGGWVAAQL -APPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGEMPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTITQLLKRL -HQWINEDCSTPCSGSWLRDVWDWICTVLTDFKTWLQSKLLPQLPGVPFFSCQRGYKGVWRGDGIMQTTCP -CGAQITGHVKNGSMRIVGPKTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEVTRVGDFH -YVTGMTTDNVKCPCQVPAPEFFSEVDGVRLHRYAPACRPLLREEVTFQVGLNQYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTHHVSPDADLIEANLLWRQEMGGN -ITRVESENKVVVLDSFDPLRAEEDEREVSVPAEILRKSKKFPAAMPIWARPDYNPPLLESWKDPDYVPPV -VHGCPLPPIKAPPIPPPRRKRTVVLTESSVSSALAELATKTFGSSESSAVDSGTATALPDQASDDGDKGS -DVESYSSMPPLEGEPGDPDLSDGSWSTVSEEASEDVVCCSMSYTWTGALITPCAAEESKLPINALSNSLL -RHHNMVYATTSRSAGLRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKAKLLSVEEACKLTPPHSAKSKF -GYGAKDVRNLSSKAVNHIHSVWKDLLEDTVTPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVCE -KMALYDVVSTLPQVVMGSSYGFQYSPGQRVEFLVNTWKSKKNPMGFSYDTRCFDSTVTENDIRVEESIYQ -CCDLAPEARQAIKSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKASAACRAAKLQDC -TMLVNGDDLVVICESAGTQEDAASLRVFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDASGKRV -YYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGAC -YSIEPLDLPQIIERLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRVWRHRARSVRARLLSQGGRAATC -GKYLFNWAVKTKLKLTPIPAASRLDLSGWFVAGYSGGDIYHSLSRARPRWFMLCLLLLSVGVGIYLLPNR - ->sp|P26664.3|POLG_HCV1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70; Contains: RecName: Full=Viroporin p7; Contains: RecName: Full=Protease NS2; Short=p23; AltName: Full=Non-structural protein 2; Short=NS2; Contains: RecName: Full=Serine protease/helicase NS3; AltName: Full=Hepacivirin; AltName: Full=NS3 helicase; AltName: Full=NS3 protease; AltName: Full=NS3P; AltName: Full=Viroporin p70; Contains: RecName: Full=Non-structural protein 4A; Short=NS4A; AltName: Full=p8; Contains: RecName: Full=Non-structural protein 4B; Short=NS4B; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 5A; Short=NS5A; AltName: Full=p56/58; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=NS5B; AltName: Full=p68 -MSTNPKPQKKNKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNSTGLYHVTNDCPNS -SIVYEAADAILHTPGCVPCVREGNASRCWVAMTPTVATRDGKLPATQLRRHIDLLVGSATLCSALYVGDL -CGSVFLVGQLFTFSPRRHWTTQGCNCSIYPGHITGHRMAWDMMMNWSPTTALVMAQLLRIPQAILDMIAG -AHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDAETHVTGGSAGHTVSGFVSLLAPGAKQNVQLINTNGSW -HLNSTALNCNDSLNTGWLAGLFYHHKFNSSGCPERLASCRPLTDFDQGWGPISYANGSGPDQRPYCWHYP -PKPCGIVPAKSVCGPVYCFTPSPVVVGTTDRSGAPTYSWGENDTDVFVLNNTRPPLGNWFGCTWMNSTGF -TKVCGAPPCVIGGAGNNTLHCPTDCFRKHPDATYSRCGSGPWITPRCLVDYPYRLWHYPCTINYTIFKIR -MYVGGVEHRLEAACNWTRGERCDLEDRDRSELSPLLLTTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSSIASWAIKWEYVVLLFLLLADARVCSCLWMMLLISQAEAALENLVILNAASLAGTHGLVSFLV -FFCFAWYLKGKWVPGAVYTFYGMWPLLLLLLALPQRAYALDTEVAASCGGVVLVGLMALTLSPYYKRYIS -WCLWWLQYFLTRVEAQLHVWIPPLNVRGGRDAVILLMCAVHPTLVFDITKLLLAVFGPLWILQASLLKVP -YFVRVQGLLRFCALARKMIGGHYVQMVIIKLGALTGTYVYNHLTPLRDWAHNGLRDLAVAVEPVVFSQME -TKLITWGADTAACGDIINGLPVSARRGREILLGPADGMVSKGWRLLAPITAYAQQTRGLLGCIITSLTGR -DKNQVEGEVQIVSTAAQTFLATCINGVCWTVYHGAGTRTIASPKGPVIQMYTNVDQDLVGWPAPQGSRSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPAGHAVGIFRAAVCTRGVA -KAVDFIPVENLETTMRSPVFTDNSSPPVVPQSFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNIRTGVRTITTGSPITYSTYGKFLADGGCSGGAYDIIICDECHSTDATSILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSTTGEIPFYGKAIPLEVIKGGRHLIFCHSKKKC -DELAAKLVALGINAVAYYRGLDVSVIPTSGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETITLPQDAVSRTQRRGRTGRGKPGIYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTPAETTVRLR -AYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQSGENLPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEITLTHPVTKYIMTCMSADLEVVTSTWVLVGGVLAALAAYCLSTGCV -VIVGRVVLSGKPAIIPDREVLYREFDEMEECSQHLPYIEQGMMLAEQFKQKALGLLQTASRQAEVIAPAV -QTNWQKLETFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTSQTLLFNILGGWVAAQL -AAPGAATAFVGAGLAGAAIGSVGLGKVLIDILAGYGAGVAGALVAFKIMSGEVPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLRRL -HQWISSECTTPCSGSWLRDIWDWICEVLSDFKTWLKAKLMPQLPGIPFVSCQRGYKGVWRVDGIMHTRCH -CGAEITGHVKNGTMRIVGPRTCRNMWSGTFPINAYTTGPCTPLPAPNYTFALWRVSAEEYVEIRQVGDFH -YVTGMTTDNLKCPCQVPSPEFFTELDGVRLHRFAPPCKPLLREEVSFRVGLHEYPVGSQLPCEPEPDVAV -LTSMLTDPSHITAEAAGRRLARGSPPSVASSSASQLSAPSLKATCTANHDSPDAELIEANLLWRQEMGGN -ITRVESENKVVILDSFDPLVAEEDEREISVPAEILRKSRRFAQALPVWARPDYNPPLVETWKKPDYEPPV -VHGCPLPPPKSPPVPPPRKKRTVVLTESTLSTALAELATRSFGSSSTSGITGDNTTTSSEPAPSGCPPDS -DAESYSSMPPLEGEPGDPDLSDGSWSTVSSEANAEDVVCCSMSYSWTGALVTPCAAEEQKLPINALSNSL -LRHHNLVYSTTSRSACQRQKKVTFDRLQVLDSHYQDVLKEVKAAASKVKANLLSVEEACSLTPPHSAKSK -FGYGAKDVRCHARKAVTHINSVWKDLLEDNVTPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVC -EKMALYDVVTKLPLAVMGSSYGFQYSPGQRVEFLVQAWKSKKTPMGFSYDTRCFDSTVTESDIRTEEAIY -QCCDLDPQARVAIKSLTERLYVGGPLTNSRGENCGYRRCRASGVLTTSCGNTLTCYIKARAACRAAGLQD -CTMLVCGDDLVVICESAGVQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDGAGKR -VYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARMILMTHFFSVLIARDQLEQALDCEIYGA -CYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRARLLARGGRAAI -CGKYLFNWAVRTKLKLTPIAAAGQLDLSGWFTAGYSGGDIYHSVSHARPRWIWFCLLLLAAGVGIYLLPN -R - ->sp|P27956.1|POLG_HCVH8 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -RNLGKVIDTLTCGFADLMGYIPLVGAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLT -VPASAHQVRNSTGLYHVTNDCPNSSIVYEAADAILHTPGCVPCVHEGNVSRCWVAVTPTVATRDGKLPTT -QLRRHIDLLVGSATLCSALYVGDLCGSVFLVGQLFTFSPRRHWTTQGCNCSIYPGHITGHRMAWDMMMNW -SPTAALVMAQLLRIPQAIMDMIAGAHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDAETYTSGGNAGHTM -TGIVRFFAPGPKQNVHLINTNGSWHINSTALNCNDSLNTGW - ->sp|P27955.1|POLG_HCVH7 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -GFADLMGYIPLVGAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNSS -GIYHVTNDCPNSSIVYETADTILHSPGCVPCVREGNASKCWVPVAPTVATRDGNLPATQLRRHIDLLVGS -ATLCSALYVGDLCGSVFLVGQLFTFSPRRHWTTQDCNCSIYPGHITGHRMAWDMMMNWSPTAALVMAQLL -RIPQAILDMIAGAHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDATTYTTGGNAARTTQALTSFFSPGAK -QDIQLINTNGSWHINRTALNCNASLDTGW - ->sp|P27954.1|POLG_HCVE1 RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35 -RNLGKVIDTLTCGFADLMGYIPLVGAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLT -VPASAYQVRNSSGLYHVTNDCPNSSIVYEAADAILHTPGCVPCVHEGNVSRCWVAMTPTVATRDGKLPTT -QLRRHIDLLVGSATLCSALYVGDLCGSVFLVGQLFTFSPRRHWTTQGCNCSI - ->sp|P27953.1|POLG_HCVE0 RecName: Full=Genome polyprotein; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -TTQGCNCSIYPGHITGHRMAWDMMMNWSPTTALVVAQLLRIPQAILDMIAGAHWGVLAGIAYFSMVGNWA -KVLAVLLLFAGVDAETHVTGGIAAKTTASLTGLFNLGAKQNIQLINTNGSWHINRTALNCNDSLNTGW - ->sp|P27957.1|POLG_HCVTH RecName: Full=Genome polyprotein; Contains: RecName: Full=Core protein precursor; AltName: Full=Capsid protein C; AltName: Full=p23; Contains: RecName: Full=Mature core protein; AltName: Full=p21; Contains: RecName: Full=Envelope glycoprotein E1; AltName: Full=gp32; AltName: Full=gp35; Contains: RecName: Full=Envelope glycoprotein E2; AltName: Full=NS1; AltName: Full=gp68; AltName: Full=gp70 -RNLGKVIDTLTCGFADLMGYIPLVGAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSLFLLALLSCLT -VPASAYQVRNSTGLYHVTNDCPNSSIVYEAADAILHAPGCVPCVREGNASRCWVAMTPTVATRDGRLPTT -QLRRHIDLLVGSATLCSALYVGDLCGSIFLVGQLFTFSPRRHWTTQGCNCSIYPGHITGHRMAWDMMMNW -SPTTALVVAQLLRIPQAILDMIAGAHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDAETTVTGGSAAHGA -LGIASLFNQGARQNIQLINTNGSWHINSTALNCNDSLNTGW - ->BAK61664.1 polyprotein [Hepatitis C virus subtype 2b] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGGRQPIPKDRR -STGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPTDPRHRSRNLGKVIDTITCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCVTVPVSAVEVRNISSSYYATNDCSNS -SITWQLADAVLHLPGCVPCENDNGTLRCWIQVTPNVAVKHRGALTQNLRTHVDVIVMAATVCSALYVGDV -CGAVMIMSQALIVSPERHHFTQECNCSIYQGHITGHRMAWDMMLNWSPTLTMILAYAARIPELVLEVIFG -GHWGVMFGLAYFSLQGAWAKVIVILLLVAGVDATTYHSGAQVARGAYGIANLFSSGAQQKIHLVKTNGSW -HINRTALNCNDSLQTGFIASLFYTYKFNSSGCPERLSSCRRLDDFRIGWGTLEYEANVTNDEDMRPYCWH -YPPKPCGVVPARTVCGPVYCFTPSPVVVGTTDKEGVPTYTWGENETDVFLLNSTRPPRGAWFGCTWMNGT -GFTKTCGAPPCRIRRDYNSTIDLLCPTDCFRKHPDATYIKCGAGPWLTPKCLVEYPYRLWHYPCTVNFTI -FKVRMYVGGVEHRLSAACNFTRGDRCRLEDRDRGQQSPLLHSTTEWAVLPCSFSDLPALSTGLLHLHQNI -VDVQYLYGLTPAITRYIVKWEWVVLLFLLLADARICACFWMLIILGQAEAALEKLIILHSASAASANGPL -WFFIFFIAAWYLKGRVVPVATYSVLGLWSFLLLVLALPQQAYALDATEQGELGLGILVIISIFTLTPAYK -TLLSRSVWWLSYMLVLAEAQIQQWVPPLEARGGRDGIIWLAAILHPHLVFEITKWLLAILGPAYLLKASL -LRVPYFVRAHALLRVCTLVRHLAGARYIQMLLLTIGRWTGTYIYDHLSPLSTWAAQGLQDLAVAVEPVVF -SPMEKKVIVWGAETVACGDILHGLPVSARLGREVLLGPADSYTSKGWKLLAPITAYTQQTRGLLGAIGVS -LTGRDKNEQAGQVQVLSSVTQSFLGTSISGVLWTVYHGAGNKTLAGPKGPVTQMYTSAEGDLVGWPNPPG -TKSLDPCTCGAVDLYLVTRNADVIPVRRKDDRRGALLSPRPLSTLKGSSGGPVLCSRGHAVGLFRAAVCA -RGVAKSIDFIPVESLAIATRTPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNP -SVAATLGFGAYMSKAHGVNPNIRTGVRTVTTGDPITYSTYGKFLADGGCSAGAYDVIICDECHSVDATTI -LGIGTVLDQAETAGARLVVLATATPPGTVTTPHANIEEVALGHEGEIPFYGKAIPLAFIKGGRHLIFCHS -KKKCDELAAALRGLGVNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQIVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSSGERPSGMFDSVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGDNFAYLTAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLTGPTPLLYRLGAVTNEVTLTHPVTKYIATCMQADLEIMTSTWVLAGGVLAAVAAYCLA -TGCISIIGRIHLNEHVVVAPDKEILYEAFDEMEECASKAALIEEGQRIAEMLKSKIQGLLQQATRQAQDI -QPAIQSSWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLPTSTTILLNIMGGWL -ASQIAPPTGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPTVEDVVNLLPAI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVTQLLSSLTITSL -LRRLHTWITEDCPTPCSGSWLQDIWDWVCSILTDFKNWLSSKLLPKMPGLPFISCQKGYRGVWAGTGVMT -TRCPCGANISGHVRMGTMKITGPKTCMNLWQGTFPINCYTEGPCVPKPPPNYKTAIWRVAASEYVEVTQH -GSFSYVTGLTSDNLKVPCQVPAPEFFSWVDGVQIHRFAPTPGPFFRDEVTFTVGLNSFVVGSQLPCDPEP -DTEVLASMLTDPSHITAEAAARRLARGSPPSEASSSASQLSAPSLKATCTTHKMAYDCDMVDANLFMGGD -VTRIESDSKVIVLDSLDSMTEVEDDREPSVPSEYLIRRRRFPPALPPWARPDYNPPVIETWKRPGYEPPT -VLGCALPPTPQAPVPPPRRRRAKVLTQDNVAGVLREMADKVLSPLQDHNDSGHSTGADTGEDSAQQPPDE -TAASEAGSLSSMPPLEGEPGDPDLDFEPAGSAPPSEGECEVIDSDSKSWSTVSDQEDSVICCSMSYSWTG -ALITPCGPEEEKLPINPLSNSLMRFHNKVYSTTSRSASLRAKKVTFDRVQVLDAHYDSVLQDIKQAASKV -SARLLSVEEACALTPPHSAKSRYGFGAKEVRSLSRRAVNHIRSVWKDLLEDQHTPIDTTTIMAKNEVFCV -DPAKGGKKPARLIVYPDLGVRVCEKMALYDIAQKLPKAIMGSSYGFQYSPAERVDFLLKAWGSKKDPMGF -SYDTRCFDSTVTERDIRTEESIYQACSLPQEARIAIHSLTERLYVGGPMTNSKGQSCGYRRCRASGVFTT -SMGNTMTCYIKALAACKAAGILDPIMLVCGDDLVVISESQGNEEDERNLRAFTEAMTRYSAPPGDLPRPE -YDLELITSCSSNVSVALDSRGRRRYFLTRDPTTPLTRAAWETARHSPVNSWLGNIIQYAPTIWVRMVIMT -HFFSILLAQDTLNQNLNFEMYGAVYSVNPLDLPAIIERLHGLEAFSLHTYSPHELSRVAATLRKLGAPPL -RAWKSRARAVRASLITQGGRAAICGRYLFNWAVKTKLKLTPLPEASHLDLSRWFTVGAGGSDIFHSVSRA -RPRLLLLCLLLLSVGVGIFLLPAR ->AIW39810.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -PTGKSWGKPGYPWPMYGNEGCGWAGWLLSPRGSRPTWGPTDPRHRSRNLGRVIDTITCGFAVLMGYIPVV -GAPVGGVARALAHGVRVLEDTVNYATGNLPGCSFSIFLLALLSCVTVPVSAVEVRNTSSSYYATNDCSNS -SITWQLTNAVLHLPGCVPCENDNGTLRCWIQVTPNVAVKYRGALTHNLRTHVDMIVMAATVCSALYVGDA -CGAVMIVSQAFIVSPKHHNFTQECNCSIYQGHITGHRMAWDMMLNWSPTLTMVFAYAARVPELVLEIVFG -GHWGVVFGLAYFSMQGAWAKVFAILLLVAGVDAATTYSSGAQVGRGVQGLTGLSSLGPQQKLSLINTNGS -WHINRTALNCNDSLQTGFISSLFYTRQFNSSGCPERLSACPRLDDFRIGWGTLEYETDVTNDEDMRPYCW -HYPPKPGGIVPARTVCGPVYCFTPNPVVVGTTDKQGLPTYTWGENETDVFLLNSTRPPRGSWFGCTWMNG -TGFTKTCGAPPCRIRGDFNSTLDLLCPTDCFRKHPDTTYLKCGAGPWLTPRCLVHYPYRLWHYPCTVNFT -IFKVRMYVGGLEHRLEAACNFTRGDPCGLEDRDRGQQSPLLHSTTEWAVMPCSFSDLPALSTGLLHLHQN -IVDVQYLYGLSPAVTRYLVKWEWVILLFLLLADARICACLWMLIILGQAEAALEKLVILHSASAASANGP -LWFFIFFAAAWYLKGKVVPAATYSILGLWSFLLLVLALPQQAYALDAAEQGELGLVILVIMSIFTLTPAY -KTLLSRSLWWLSYMLVLAEAQIQQWVPPLEARGGRDGIIWVAVILHPRLVFEITKWLLAVLGPSYLLRAT -LLRVPYFVRAHALLRVCALVKHLAGARYIQMLLITIGRWTGTYIYDHLSPLSTWAAQGLRDLAVAVEPVV -FSPMEKKVIVWGAETVACGDILHGLPVSARLGREVLLGPADDYTSKGWKLLAPITAYAQQTRGLLGAIVV -SLTGRDKNEQAGQVQVLSSVTQSFLGTSISGVLWTVYHGAGNKTLAGPKGPVTQMYSSAEGDLVGWPSPP -GTKSLDPCTCGAVDLYLVTRNADVIPARRKGDRRGALLSPRPLSTLKGSSGGPVLCPRGHAVGLFRAAVC -TRGVAKSIDFIPVESLDIAARSPSFSDNSTPPAVPQTYRVGYLHAPTGSGKSTKVPAAYASQGYKVLVLN -PSVAATLGFGAYMSKAHGINPNIRTGVRTVTTGDSITYSTYGKFLADGGCSAGAYDVIICDECHAVDATT -ILGIGTVLDQAETAGARLVVLATATPPGTVTTPHSNIEEVALGHEGEIPFYGKAIPLSSIKGGRHLIFCH -SKKKCDELAAALRGMGVNAVAYYRGLDVSVIPLQGDVVVVATDALMTGFTGDFDSVIDCNVAVTQIVDFS -LDPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSSGERPSGMFDSVVLRECYDAGASWYELTPAET -TVRLRAYLNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGDNFAYLTAYQATVCARAKAPPPSWD -VMWKCLTRLKPTLTGPTPLLYRLGAVTNEVTLTHPVTKYIATCMQADLEIMTSTWVLAGGVLAAVAAYCL -ATGCVSIIGRLHLNDRVVVTPDKEILYEAFDEMEECASKAALIEEGQRMAEMLKSKIQGLLQQATKQAQD -IQPAIQSSWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLPTSTTILLNIMGGW -LASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPTVEDVVNLLPA -ILSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVMQVLGSLTITS -LLRRLHAWITEDCPVPCSGSWLRDIWDWVCSILTDFKNWLSSKLLPKLPGLPFISCQKGYRGVWAGTGVM -TTRCPCGANISGHVRMGTMKITGPKTCLNLWHGTFPINCYTEGPCVPKPPPNYKTAIWRVAASEYVEVTQ -HGSFSYVTGLTSDNLKVPCQVPAPEFFSWVDGVQIHRFAPTPGPFFRDEVTFTVGLNSFVVGSQLPCDPE -PDTEVLASMLTDPSHITAEAAARRLARGSPPSQASSSASQLSAPSLKATCTTHKMAYDCDMVDANLLMGG -DVTRIESDSKVIVLDSLDSMTEVEDDREPSIPSEYLIKRRKFPPALPPWARPDYNPPVIETWKRPGYEPP -TVLGCALPPTPQAPVPPPRRRRARALTQDNVEGILREMADKVLSPLQDCNDSGHSTGADTGGDSVQQPPD -EAAASEEGSLSSMPPLEGEPGDPDLEFEPAESAPPSEGECEIIESDSKSWSTVSDQEDSVICCSMSYSWT -GALITPCGPEEEKLPINPLSNSLMRFHNKVYSTTSKSATLRAKKVTFDRVQVLDAHYDSVLQDIKLAASK -VSARLLSIEEACALTPPHSAKSRYGFGAKEVRSLSRRAINHIRSVWEDLLEDQHTPIDTTIMAKMRCSVS -IPLKAGKSQLASLYTPTLGSGCAKRWPSMTLHKSFPRQIMGPSYGFQYSPAERVDFLLKAWRGKKDPMGF -SYDTRCFDSTVTERDIRTEESIYQACSLPEEARTVIHSLTERLYVGGPMTNSKGQSCGYRRCRASGVFTT -SMGNTMTCYIKALAACKAAGIVDPVMLVCGDDLVVISESQGNEEDERNLRAFTEAMTRYSAPPGDLPRPE -YDLELITSCSSNVSVALDPQGRRRYYLTRDPTTPISRAAWETVRHSPVNSCLGNIIQYAPTIWVRMVIMT -HFFSILLAQDTLNQNLNFEMYGAVYSVNPLDLPAIIERLHGLDAFSLHTYSPHELSRVAATLRKLGAPPL -RAWKSRARAVRASLIAQGGRAAICGRYLFNWAVRTKLKLTPLPEARLLDLSSWFTVGAGGGDIYHSVSRA -RPRLLLFGLLLLFVGVGLFLLPAR ->AFD18585.1 polyprotein precursor [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRALEDGINYATGNLPGCSFSIFLLALLSCLTVPASAIHYRNESGIYHITNDCPNS -SIVYETDHQILHLPGCIPCVKSGNSSRCWVALTPTVAAPYLNAPLDSLRNHVDLMVGAATACSAFYVGDL -CGAIFMVGQLFTFRPRRHWTTQDCNCSIYTGHITGHRMAWDMMMNWSPTATLVLAQVMRIPTVIIDLITG -GHWGILVGVAYFSMQTNWAKVILVLFLFAGVDASTYTTGGAAGVTTRGIANLFXLGPRQKLQLIHTNGSW -HINRTALNCNDSLNTGFIASLFYASNFNSSGCPERVASCKRLGDFPQGHGQLKAATINGSSDDRPYCWHY -APRPCGVVPASTVCGPVYCFTPSPVVVGTTDHRGHPTYNWGENETDVLILNSTRPPAGAWFGCAWMNGTG -FTKTCGAPPCMVQTNNESWPCPTDCFRKHPETTYIKCGSGPWLTPRCLVHYPYRLWHFPCTVNYTIFKIR -MYIGGIEHRLEAACNWTRGERCELEDRDRAELSPLLLSTTQWQVLPCSFTPMPALSTGLIHLHQNIVDVQ -YLYGVGSAVVSWALKWEYIVLAFLLLADARVCACLWMMLMVSQVEAALANLITINAASAASTHGFFFAIL -FICAAWHVKGKFPAVATYAACGMWPLLLLLLMLPERAYAFDRELAGSLGGGIAILLTVITLSPYYKLWLA -RGVWWLQYFIARAEALLHMYVPSLQVRGPRDSIIILAILVHPHLIFEITKLLLAILGPAYVLQASLLRIP -YFVRAHALVKICSLARGVVCGKYCQMALLKVGSWTGTYIYNHLTPLSDWAADGLSDLAVALEPVIFTPXE -KKVITWGADTAACGDILRGLPVSARLGNEILLGPADAETEKGWRLLAPITAYAQQTRGLVSAIITSLTGR -DKNENCGEVQVLSTVTQSFLGTAVNGVMWTVYHGAGSKTICGPKGPVNQMYTNVDQDLVGWPAPPGVKSL -APCNCGASDLFLVTRNADVIPVRRRGDSRGALLSPRPISTLKGSSGGPLLCPLSHAVGIFRAAVCTRGVA -KAVDFIPVESLETSMRSPVFTDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAYGTDPNIRSGVRTITTGAPITYSTYGKFLADGGCGGGAYDIIICDECHSTDATTILGIG -TVLDQAETAGARLVVLATATPPGSVSTPHPNIEEVALPTTGEIPFYGRAIPLSCIKGGRHLIFCHSKKKC -DELAKQLTSLGLNAVAFYRGLDVSVIPTSGDVVVCATDALMTGFTGDFDSVIDCNTSVVQTVDFSLDPTF -SIETTTLPQDAVSRSQRRGRTGRGRLGTYRFVTPGERPSGIFDTSVLCECYDTGCAWYELTPAETTVRLR -AYFNTPGLPVCQDHLEFWESVFTGLTHIDSHFLSQTKQAGENFPYLTAYQATVCARALAPPPSWDTMWKC -LVRLKPTLHGPTPLLYRLGAVQNEVSLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLSVGSV -VITGRVVLSGQPAVIPDREALYQQFDEMEECSKHLPIVEHGLQLAEQFKQKALGILSIAGKQAQEAAPVV -KTNFERLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGXAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGEVPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTTILSSLTVTSLLRRL -HKWINEDCSTPCDASWLRDIWDWVCTVLSDFKTWLKAKLLPRMPGIPFLSCQRGYKGEWRGDGVMHTTCS -CGAEIAGHIKNGSMRIVGPKTCSNTWHGTFPINAYTTGPGVPIPAPDYQFALWRVSAEEYVEIRRVGDYH -YITGVTQDAIKCPCQVPAPEFFTEVDGVRLHRYAPPRKPLLREEVTFSVGLNSFVVGSQLPCEPEPDVSV -LTSMLTDPSHITAETAGRRLARGSPPSLASSSASQLSAPSLKATHAAHDSPGNDLLEANLLWGVGATRVE -TGTKVMILDSFEPLVAEPDDREISVAAEILTPQKRFPPALPIWARPDYNPPLVEKWKQPEYEPPVVHGCA -LPPSKPTPVPPPRRKRTVVLTESTVSQALANLADKTFGQAGRGSDSGADLISPTESSDQSSPAVDDVSDT -ASFSSMPPLEGEPGDPDLTLEASGQDPLIVDDMSDNASYSSVPPSEGGLGDPNPTSDSWSTVSESEDVVC -CSMSYSWTGALVTPCAAEETKLPISPLSNSLLRHHNMVYATTSRSAVTRQKKVTFDRVQLLDNHYNEVLK -EIKARASTVKARTLSVEEACDLTPPHSAKSKFGYGAKDVRSHARKAINHINSVWEDLLEDTNTPISTTIM -AKNEVFSVKPEKGGRKPARLIVYPDLSVRVCEKRALHDAVKNLSTAVMGASYGFQYSPAQRVDYLLNAWR -SKRVPMGFSYDTRCFDSTVTERDIRTEGEIYQCCDLEPEARKVIEALTERLYVGGPMYNSKGDLCGYRRC -RASGVFTTSFGNTLTCYLKATAATRAAGLRDCTMLVCGDDLVVIAESGGVEEDRRALIAFTEAMTRYSAP -PGDAPQPAYDLEHITSCSSNVSVAHDATGKRVYYLTRDPETPLARAAWETTRHTPVNSWLGNIILYAPTI -WARMVLMTHFFSILQSQEALEKALDFDMYGVTYSITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGTL -RKLGVPPLRAWRHRARAVRAKLIAQGGRAKICGIYLFNWAVKTKLKLTPLAAAGRLDLSSWFVVGAGGGD -IYHSVSRARPRYLLLCLLLLSVGVGIFLLPAR ->AGR53444.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPSDPRRRSRNLGKVIDTLTCGFAELMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALFSCLTVPASAAEVRNVSGIYQVTNDCPNS -SIVYEMDGMILHLPGCVPCVREGNTSRCWVSLTPTLAARDTTVPVQVIRRHVDVMVGAAAFCSAMYVGDL -CGSIFLVGQLFTFSPRTHTTEQTCNCSIYPGHISGHRMAWDMMMNWSPTLALVTAQILRIPQAIVDMIVG -AHWGILAGLAYYSMVGNWAKVMVVLLLFAGVDGQSRGTRVGSDVSRSTFGLTALFSPGAQQQLQLVNSNG -SWHINRTALNCFDSIQTGFLAALFALRSRVNTTGCVERLSPCHPITHFDQGYGPVTYNYTAPNTDEEPDR -RPYCWHYHPPRCGIVPARNVCGPVFCFTPSPVVVGVTDVTGMPTYTSGANETDFLLLNNTRPPLGNWFGC -TWMDSEGYTRTCGAPPCNIGGSGNKPLRCTTDCFRKHPEATYARCGTGPWVTPRCLVDYPYRLWHYPCTV -NYTVTKVRTYVGGIEHRFDVACNWTRGDPCALEDRDRSVLSPLLLTTTQWQVLPCTFTPMPALSTGLIHL -HQNIVDVQYIYGLGSTVVSWAIKWEYVVLLFLLLADARICACLWMVLLISQVEAALENLIVLNAASLAGT -HGVWPFLVFFCAAWYLKGKWAPGAVYALYGAWPLLLLLLALPQRAYAMDRETAASCGGIVLVCLVVLTVT -PAYRTLIAKTIWWLQYLITRTEALLQVWVPPLDVRGGRDAIILLTCLAHPHLIFDISKQLIAFLGPLWLL -QSCLTRVPYFVRAHVLLRICMLVRRAAGGQYVQMAFLKLGAITGTYLYNHLTPLADWAHNGLKDLTVAVE -PVIFSSMETKVITYGADTAACGDILHGLPVSARLGREILLGPADAMTSKGWRLLAPITAYAQQTRGLLGC -IITSLTGRDKNQVEGEVQIVSTATQTFLATCVNGACWTVYHGAGSRYLAGPKGPVTQMYTNVDQDLVGWP -APPGARSLAPCTCGAADMFLVTRHADVIPVRRRGDTRGALLSPRPISYLKGSSGGPLLCSSGHVVGLFRA -AVCTRGVAKAVDFVPVEALETSMRSPVFSDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVL -VLNPSVAATLGFGAYMSKAYGIDPNIRTGVRTITTGAALTYSTYGKFLADGGCSGGAYDIIICDECHSTD -STSILGIGTVLDQAETAGARLVVLATATPPGSVTVPHSNIEEVALSSTGEIPFYGKAIPLDVIKGGRHLI -FCHSKKKCDELAARLVSMGVNAVAYYRGLDVSVIPTSGDVVVVATDALMTGYTGDFDSVIDCNTSVTQTV -DFSLDPTFTIETTTLPQDAVNRTQRRGRTGRGKPGIYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTP -AETTVRLRAYFNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFPYLVAYQATVCARAQAPPP -SWDQQWKCLIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMTCMSADLEIVTSTWVLVGGVLAALAA -YCLSTGCVVIVGRITLSGKPAIIPDREVLYREFDEMEECGAQLPYIEHGLQMAEQFKQKALGLLQTASKQ -AEVAAPTVQSCWQKAEAFWARHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTQQTLLFNIL -GGWVAAQLAAPSAASAFVGAGIVGAAVGSVGIGKMLVDILAGYGAGVAGALVAFKVMSGEIPTTEDLINL -LPAILSPGALVVGVVCAAVLRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTHVLSSLT -VTQLLKRLHKWINEDCSTPCAGSWLRDIWDWVCEVLGDFKRWLGAKLAPKIPGIPFLSCQRGYRGVWRGD -GVMNTVCPCGAQIAGHVKNGTMRISGPRTCSNTWHGTFPINAYTTGPSVPVPAPNYSRALWRVSAEEYVE -IRRVGEHHYVTGMTTDNIKCPCQVPSPEFFTEVDGVRLHRYAPDCKPLLRDEVSFQVGLHSYVVGSQLPC -DPEPDVAVLTSMLTDPSHITAEAAGRRLARGSPPSLASSSASQLSAPSLRATCTTNHNSPDAELIEANLL -WRQEMGGNITRVESENKVVVLDSFEPLVAEPNDDREISVPAEILRKTKKFPLALPVWASPSYNPPLLEVW -KKPDYTPPVVHGCPLPPQGQPPIPPPRRKKTVVLTESSVSNALAQLATKTFGSSDTSGVASDAGPLPSEP -PSCDDGTGSDAESWSSMPPLEGEPGDPDLSDGSWSTMSSEGGTEDVVCCSMSYSWTGALVTPCAPEETSL -PINALSNSLLRHRNMVYSTTSRSASQRQKKVTFDRLQVLDDHYRDVLKEAKAKASTVKANMLTVEEACDL -TPPHSARSKFGYGAKDVRGHAPKAIRHINSVWQDLLEDSVTPIDTTIMAKNEVFCVAPEKGGKKPARLIV -YPDLGVRVCEKRALYDVVKKLPIAVMGSAYGFQYSPGQRVEFLVNTWKSKKTPMGFSYDTRCFDSTVTEA -DIRVEESLYQCCDLAPEARVAIRSLTERLYVGGPLTNTRGENCGYRRCRASGVLTTSCGNTLTCYLKAAA -ACRAAGLRDCTMLVCGDDLVVICESDGVQEDAANLRAFTEAMTRYSAPPGDPPQPEYDLEPITSCSSNVS -VAHDAAGKRVYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMVLMTHFFSILIAQEQLHK -ALDCEIYGATYSIQPLELPQIIERLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRVWRHRARSVRARL -IALGGKSATCGKYLFNWAVRTKLKLTPIPDAANLDLSSWFTAGYSGGDIYHSVSRARPRWFLGLLLLLSV -GVGIYLLPNR ->ACL68402.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVNYRNASGVYHITNDCPNS -SIVYETEHQIMHLPGCVPCVRTGNXSRCWVPLTPTVAAPYPNAPLESMRRHVDLMVGAATMCSALYVGDL -CGGIFLVGQMFSFQPRRHWTTQDCNCSIYSGHVTGHRMAWDMMMNWSPTTTLVLAQFMRLPATLGDMFAG -GHWGVLAGLAFFSMQGNWAKVILVLFLFAGVDGSTHVTGGSAAFGTRGLVSVFSPGAKQNXQLIYTNGSW -HVNRTALNCNDSLNTGFIASLFYHSKFNSSGCPQRLASCKRLSDFDQGWGSLSGSNISGPSKDKPYCWHY -APRPCGVVPARXVCGPVYCFTPSPVVVGTTDRLGHPTYSWGENETDVIILNSTRPPNGGWFGCTWMNSTG -FVKTCGAPPCHVKTSNKSWECPTDCFRKHPDATYAKCGSGPWLTPRCMVDYPYRLWHYPCTVNYTIFKVR -MYVGGIEHRLDAACNWTRGEPCGLEDRDRTELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGIGSSVVSWAIKWEYVVLAFLLLADARVCACLWMMLLVSQVEAAMANLININAMSAAGTYGLPYALL -FFCVAWYIRGRLPAAAAYAACGMWPLLLLLLALPERAYAWDAEVAGSLGGAVICLLTILTLSPWYKRWLA -WMIWWLQYHLTRAEALLXVYXPPLEVRGGRDSLIILAALLHPGLVFEITKHLLAILGPLYVLQASLLRIP -YFVRAHALIKICGFVRGVVHGKFIQMAMLKLGALTGTYVYDHLTPLSDWAADGLKDLAVAVEPVIFTPME -KKVITWGADTAACGDIIRGLPVSARLGNEILLGPADGERAKGWRLLAPITAYAQQTRGLLGTIVTSLTGR -DTNEICGEIQVLSTTTQSFLGTSINGVLWTVYHGAGSKTICGPKGPVNQMYTNVDQDLVGWPAPSGXKSL -VPCSCGASDXYLVTRNADVIPVRRRGDTRGALLSPRPISSLKGSSGGPLLCPLGHAVGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFSDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGSYMSKAHGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCGGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSITTPHSNIEEIALPTTGEVPFYGKAIPLEVIKGGRHLIFCHSKKKC -DELAKQLSSLGLNAVAYYRGLDVSVIPASGDVVVCATDALMTGYTGNFDSVIDCNTSVIQTVDFSLDPTF -SIETTTVPQDAVSRSQRRGRTGRGRLGIYRYVTPGERPSGMFDTSVLCECYDAGCAWYELTPAETTTRLR -AYFNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENYPYLVAYQATVCARATAPPPSWDTMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVIVGGVVAALAAYCLTVGSV -VVVGRVILSGQPAIIPDREVLYQQFDEMEECSRYIPVVEHGMQLAEQFKQKALGVLQVASARAQEATPVV -QSNIAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGEVPTTEDLVNLLPAVLSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPETDAAARVTTILSSLTVTSLLRRL -HRWINEDCSTPCDASWLREIWDWVCTVLSDFKSWLKAKLMPSMPGIPFLSCQRGYRGVWRGDCVMHTKCP -CGAEIAGHIKNGSMRIVGPKTCSNTWHGTFPINASTTGAGVPIPAPNYKFALWRVSAEEYVEIRRVGEFH -YVTGMTQDNIKCPCQVPAPEFFTEVDGVRLHRYAPPCKPLLRDEVQFTVGLNTFVVGSQLPCEPEPDVQV -LTSMLTDPSHVTAEAAARRLARGSPPSEASSSASQLSAPSLKATCTTNHHSPDADLIEANLLWRQEMGGN -ITRVESENKVVVLDSFEPLVAEQDSGEVSVAAEILRRSKRFPSPLPIWARPDYNPPLIQPWKQPDYIPPV -VHGCALPPSKPTPVAPPRRKRAIALTESNVSSALADLAAKAFSQPSADVDSGTGLTPPSETSTPDPPIAD -DRSDDGSFSSMPPLEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPCAAEEPKLPISPLSNSL -LRHHNMVYATTSRSATARQKKVTFDRLQVVDQHYMTVLKEIKARASGVKAPTLSVEEACALTPPHSAKSK -FGYGAKDVRSLARKAVNHINSVWEDLLEDNVTPIATTIMAKSEVFSVKPEKGGRKPARLIVYPDLGVRVC -EKRALYNVVQNLPQAVMGEAYGFQYSPAQRVDRLLKSWNSKRVPMGFXYDTRCFDSTVTERDIQVEEEVY -QCCDLDPEARKVISSLTERLYKGGPMFNSKGDLCGYRRCRASGVFTTSFGNTLTCYLKATAAARAAGLRG -YDMLVCGDDLVIIAESDGVEEDKQALRAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDAAGKR -VYYLTRDPEIPLARAAWETVRHTPVNSWLGNIILYAPTIWVRMVLMTHFFSILQSQEALERALDFDMYGV -TYSITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGSLRKLGVPPLRVWRHRARAVRAKLIAQGGRARI -CGIYLFNWAVKTKLKLTPLLAASKLDLSGWFTVGAGGGDIYHSVSHARPRYLLLCLLLLSVGVGIFLLPA -R ->AHK22794.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPEDVKFPGGGQIVGGVYLLPRRGPRLGVRTTRKTSERSQPRGRRQPIPKDRR -STGKAWGKPGRPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNVGKVIDTLTCGFADLMGYIPVV -GAPLSGAARAVAHGVRVLEDGVNYATGNLPGFPFSIFLLALLSCITVPVSAAQVKNTSSSYMVTNDCSND -SITWQLEAAVLHVPGCVPCERVGNTSRCWVPVSPNMAVRQPGALTQGLRTHIDMVVMSATFCSALYVGDL -CGGVMLAAQVFIVSPQYHWFVQECNCSIYPGTITGHRMAWDMMMNWSPTATMILAYVMRVPEVIIDIVSG -AHWGVMFGLAYFSMQGAWAKVIVILLLAAGVDAGTTTVGGAVARSTNVIAGVFSHGPQQNIQLINTNGSW -HINRTALNCNDSLNTGFLAALFYTNRFNSSGCPGRLSACRNIEAFRIGWGTLQYEDNVTNPEDMRPYCWH -YPPKPCGVVPARSVCGPVYCFTPSPVVVGTTDRRGVPTYTWGENETDVFLLNSTRPPQGSWFGCTWMNST -GFTKTCGAPPCRTRADFNASTDLLCPTDCFRKHPDATYIKCGSGPWLTPKCLVHYPYRLWHYPCTVNFTI -FKIRMYVGGVEHRLTAACNFTRGDRCGLEDRDRSQLSPLLHSTTEWAILPCTYSDLPALSTGLLHLHQNI -VDVQYMYGLSPAITKYVVRWEWVVLLFLLLADARVCACLWMLILLGQAEAALEKLVVLHAASAANCHGLL -YFAIFFVAAWYIRGRVVPLTTYCLTGLWPFCLLLMALPRQAYAYDAPVHGQIGVGLLILITLFTLTPGYK -TLLGQCLWWLCYLLTLGEAMIQEWVPPMQVRGGRDGIAWAVTIFCPGVVFDITKWLLALLGPAYLLRAAL -THVPYFVRAHALIRVCALVKQLAGGRYVQVALLALGRWTGTYIYDHLTPMSDWAASGLRDLAVAVEPIIF -SPMEKKVIVWGAETAACGDILHGLPVSARLGQEILLGPADGYTSKGWKLLAPITAYAQQTRGLLGAIVVS -MTGRDRTEQAGEVQILSTVSQSFLGTTISGVLWTVYHGAGNKTLAGLRGPVTQMYSSAEGDLVGWPSPPG -TKSLEPCKCGAVDLYLVTRNADVIPARRRGDKRGALLSPRPISTLKGSSGGPVLCPRGHVVGLFRAAVCS -RGVAKSIDFIPVETLDVVTRSPTFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPVAYAAQGYKVLVLNP -SVAATLGFGAYLSKAHGINPNIRTGVRTVMTGEAITYSTYGKFLADGGCASGAYDIIICDECHAVDATSI -LGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPDIEEVGLGREGEIPFYGRAIPLSCIKGGRHLIFCHS -KKKCDELAAALRGMGLNAVAYYRGLDVSIIPAQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQAVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRQGTYRYVSTGERASGMFDSVVLCECYDAGAAWYDLTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGENFAYLVAYQATVCARAKAPPPSWDA -MWKCLARLKPTLAGPTPLLYRLGPITNEVTLTHPGTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCLA -TGCVSIIGRLHVNQRVVVAPDKEVLYEAFDEMEECASRAALIEEGQRIAEMLKSKIQGLLQQASKQAQDI -QPAMQASWPKVEQFWARHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKVLVDILAGYGAGISGALVAFKIMSGEKPSMEDVINLLPGI -LSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGSHVAPTHYVTESDASQRVTQLLGSLTITSL -LRRLHNWITEDCPIPCSGSWLRDVWDWVCTILTDFKNWLTSKLFPKLPGLPFISCQKGYKGVWAGTGIMT -TRCPCGANISGNVRLGSMRITGPKTCMNTWQGTFPINCYTEGQCAPKPPTNYKTAIWRVAASEYAEVTQH -GSYSYVTGLTTDNLKIPCQLPSPEFFSWVDGVQIHRFAPTPKPFFRDEVSFCVGLNSYAVGSQLPCEPEP -DADVLRSMLTDPPHITAETAARRLARGSPPSEASSSVSQLSAPSLRATCTTHSNTYDVDMVDANLLMEGG -VAQTEPESRVPVLDFLEPMAEEESDLEPSIPSERMLPRSGFPRALPAWARPDYNPPLVESWRRPDYQPPT -VAGCALPPPKKAPTPPPRRRRTVGLSESTTSEALQQLAIKTFGQTRVSKGEELFTGVVPILVELDGDVNG -HKFSVSGEGEGDATYGKLTLKFICTTGKLPVPWPTLVTTLTYGVQCFSRYPDHMKQHDFFKSAMPEGYVQ -ERTIFFKDDGNYKTRAEVKFEGDTLVNRIELKGIDFKEDGNILGHKLEYNYNSHNVYIMADKQKNGIKVN -FKIRHNIEDGSVQLADHYQQNTPIGDGPVLLPDNHYLSTQSALSKDPNEKRDHMVLLEFVTAAGITLGMD -ELYKGTRSETGSASSMPPLEGEPGDPDLESDQVELQPPPQGGGVAPGSGSGSWSTCSEEDDTTLCCSMSY -SWTGALITPCSPEEEKLPINPLSNSLLRYHNKVYCTTSKSASQRAKKVTFDRTQVLDAHYDSVLKDIKLA -ASKVSARLLTLEEACQLTPPHSARSKYGFGAKEVRSLSGRAVNHIKSVWKDLLEDPQTPIPTTIMAKNEV -FCVDPAKGGKKPARLIVYPDLGVRVCEKMALYDITQKLPQAVMGASYGFQYSPAQRVEYLLKAWAEKKDP -MGFSYDTRCFDSTVTERDIRTEESIYQACSLPEEARTAIHSLTERLYVGGPMFNSKGQTCGYRRCRASGV -LTTSMGNTITCYVKALAACKAAGIVAPTMLVCGDDLVVISESQGTEEDERNLRAFTEAMTRYSAPPGDPP -RPEYDLELITSCSSNVSVALGPRGRRRYYLTRDPTTPLARAAWETVRHSPINSWLGNIIQYAPTIWVRMV -LMTHFFSILMVQDTLDQNLNFEMYGSVYSVNPLDLPAIIERLHGLDAFSMHTYSHHELTRVASALRKLGA -PPLRVWKSRARAVRASLISRGGKAAVCGRYLFNWAVKTKLKLTPLPEARLLDLSSWFTVGAGGGDIFHSV -SRARPRSLLFGLLLLFVGVGLFLLPAR ->ADE10208.1 polyprotein [Hepatitis C virus subtype 3a] -MSTLPKPQRKTKRNTIRRPQDVKFPGGGQIVGGVYVLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKIIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGVNFATGNLPGCSFSIFLLALLSCLIHPAASLEWRNTSGLYVLTNDCPNS -SIVYEADEVILHTPGCVPCVQTGNTSTCWTPVTPTVAVKYVGATTASIRSHVDLLVGAATMCSALYVGDM -CGAVFLVGQAFTFRPRRHQTVQTCNCSLYPGHLTGHRMAWDMMMNWSPAVGMVVAHVLRLPQTLFDIIAG -AHWGVLAGLAYYSMQGNWAKVAIIMVMFSGVDASTHTTAGAVAYNVKGFTALFTPGAKQNLQLVNTNGSW -HINSTALNCNDSINTGFIAGLFYYHKFNSTGCPQMLNSCRPITHFNQGWGPLTNANITGPSDERPYCWHY -APRPCTTVPALNVCGPVYCFTPSPVVVGTTDVKGAPTYNWGENETDVFLLESLRPPSGRGFGCVWMNSTG -FVKTCGAPPCNIYGGDKTRETNRSESDLFCPTDCFRKHPAATYSRCGAGPWLTPRCLVDYPYRLWHYPCT -VNFTLFKVRMFVGGFEHRFSAACNWTRGERCDIEDRDRSEQHPLLHSTTEFAILPCSFTPMPALSTGLIH -LHQNIVDVQYLYGVGAGMVGWALRWEFVILVFLLLADARVCVALWLMMMVSQAEAALENLVTLNAVAAAG -THGIGWYLVAFCAAWYVRGKLVPLVTYGLTGLWSLALLVLLLPQRAYAWSGEDSATLGAGILTLFGFFTL -SPWYKHWIGRLMWWNQYTICRCEAALQVWVPPLLARGGRDGVILLASLLYPSLIFDITKLLIAVLGPLYL -IQAAITTTPYFVRAHALVRLCMLVRSVTGGKYFQMVILSIGRWFNTYLYDHLAPMQHWAAAGLKDLAVAT -EPVIFSPMEIKVITWGADTAACGDILCGLPVSARLGREVLLGPADDYREMGWRLLAPITAYAQQTRGLFG -TIVTSLTGRDKNVVTGEIQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLAGVKHPALQMYTNVDQDLVGW -PAPPGAKSLEPCTCGSTDLYLVTREADVIPARRRGDSTASLLSPRPLACLKGSSGGPVMCPSGHVAGIFR -AAVCTRGVAKALQFIPVETLSTQARSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYTV -LVLNPSVAATLGFGSFMSRAYGIDPNIRTGNRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQ -DATSILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALGSEGEIPFYGKAIPIAFIKGGRHL -IFCHSKKKCDELASKLRGMGLNAVAYYRGLDVSVIPTAGDVVVCATDALMTGFTGDFDSVIDCNVAVEQY -VDFSLDPTFSIETRTAPQDAVSRSQRRGRTGRGRPGTYRYVAPGERPSGMFDSVVLCECYDAGCSWYDLQ -PAETTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFSYLAAYQATVCARAQAPP -PSWDEMWKCLLRLKPTLHGPTPLLYRLGPVQNETCLTHPVTKYIMACMSADLEVTTSTWVLLGGVLAALA -AYCLSVGSVVIVGHIELGGKPALVPDKEVLYQQYDEMEECSQAAPYIEQAQVIAHQFKEKVLGLLQRATQ -QQAVIEPIVTTNWQKLEAFWHKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNI -LGGWVATHLAGPQSSSAFVVSGLAGAAIGGIGLGRVLLDILAGYGAGVSGALVAFKIMGGELPTTEDMVN -LLPAILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAAKVTALLSSL -TVTSLLRRLHKWINEDYPSPCSGDWLRTIWDWVCTTLSDFKTWLSAKIMPALPGLPFISCQKGYKGVWRG -DGVMSTRCSCGATIAGHVKNGSMRLAGPRTCANMWHGTFPINEYTTGPSTPCPSPNYTRALWRVAANSYV -EVRRVGDYHYITGATEDELKCPCQVPAPEFFTEVDGVRLHRYAPPCKPLLRDEITFMVGMNSYAIGSQLP -CEPEPDVSVLTSMLRDPSHITAETAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHPDAELVDANL -LWRQEMGSNITRVESETKVVILDSFEPLRAETDDAELSVAAECFKKPPKYPPALPIWARPDYNPPLLDRW -KAPDYVPPTVHGCALPPRGAPPVPPPRRKRTVQLDSSNVSAALAALAEKTFPPSKPQEESSSSSGVDTQS -SATSKVPPSPEEESDAESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTGALVTPCSAE -EEKLPISPLSNSLLRHHNLVYSTSSRSASQRQKKVTFDRLQVLDDHYKTALKEVKERASRVKARMLTIEE -ACALVPPHSARSKFGYSAKDVRSLSSKAVNQIRSVWEDLLEDTTTPIPTTIMAKNEVFCVDPIKGGRKPA -RLIVYPDLGVRVCEKRALYDVIQKLSTETMGSAYGFQYSPQQRVERLLKMWTSKKTPLGFSYDTRCFDST -VTEQDIRTEEEIYQCCDLEPEARKVISSLTERLYCGGPMFNSKGLHCGYRRCRASGVLPTSFGNTITCYI -KATAAAKAAGLRNPDFLVCGDDLVVVAESDGVEEDRAALRAFTEAMTRYSAPPGDAPQPTYDLELITSCS -SNVSVARDGKGKRYYYLTRDATTPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFSILQSQE -ILDRPLDFEMYGATYSVTPLDLPAIIERLHGLGAFTLHSYSPVELNRVAGTLRKLGCPPLRAWRHRARAV -RAKLIAQGGKAKICGLYLFNWAVRTKINLTPLPAASQLDLSSWFTVGVGGNDIYHSVSRARTRHLLLCLL -LLTVGVGIFLLPAR ->AII25855.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTVRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARP -SGGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINFATGNLPGCSFSIFLLALLSCLLCPAVGLEVRNASGLYMLTNDCSNS -SIVYEAEDVILHMPGCVPCVQNGNTSECWTPATPTVAVRYAGATTASVRGHVDLLVGSATLCSALYVGDL -CGAVFLVGQAFTFRPRRHTTVQTCNCSLYPGHITGHRMAWDMMMNWSPAAGLALAHLLRVPQTIFDVLAG -AHWGVMAGLAYYSMQGNWAKVIVILVMFAGVDAHTNVIGSNAGSTLFSIQRIFSPGAAQRIQLINTNGSW -HVNSTALNCNDSLQTGFIAGLFYSYKFNATGCPERLSSCKSIXYFDQGWGPLTDANITDPSEDRPYCWHY -PPKPCKIVPAKSVCGPVYCFTPSPVVVGTTDKRGVPTYTFGENETDVLLLESQRPPSGGWFGCAWMNSTG -FVKTCGAPPCNIYGGVRGSKNTSLICPTDCFRKHPGATYSRCGSGPWLTPRCLVDYPYRLWHYPCTVNFT -IHKVRMYVAGAEHRFNAACNWTRGERCDIEDRDRSEIHPLLHSTTEFAILPCSFAPMPALATGLIHLHQN -IVDVQFLYGIGSGIVGWAVKWEIVILVFLLLADARVCVALWMMLLIAQAEAAMENLIILNTVSAAGTHGL -IWYMLAFCAAWHVRGKLVPXVTYGLTGLWSLALLVLLLPQRAYAWTGDDTATLGAGLLALFGFFTLSPWY -KHWISRLIWWNQYAICRSEAALQVWVPPLLARGGRDGAILLMSLLYPSLVFDISKILIAVLGPLYLIQAA -LSRTPYFVRAHALIRMCMLVRSVTGGKYFQMVVLSIGRWFNTYLYDHLTPLRDWAASGLKDLAVAVEPVV -FSPMETKVITWGADTAACGDILCGLPVSARLGREILLGPADDYRQMGWRLLAPISAYAQQTRGLLGTIVT -SLTGRDRNEVTGEVQVLSTATQSFLGTTVGGVLWTVYHGAGSKTLAGVKHPALQMYTNVDQDLVGWPAPP -GAKSLEPCTCGSTDLYLITRDADVLPARRRGDSTASLLSPRPLSCLKGSSGGPIMCPSGHVAGLFRAAVC -TRGVAKGLQFIPVETLSTQTRSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYNVLVLN -PSVAATLGFGMYMSKAYGIDPNVRTGGRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQDATS -ILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALTNDGEIPFYGKAIPIALLKGGRHLIFCH -SKKKCDELASKLRGMGLNAVEYYRGLDVSVIPATGDVVICATDALMTGFTGDFDSVIDCNVAVEQYVDFS -LDPTFSIETRTAPQDAVSRSQRRGRTGRGRLGTYRYVTPGERPSGMFDSVVLCECYDAGCAWYNLQPAET -TVRLKAYLSTPGLPVCQDHTEFWESVFTGLTHIDAHFLSQTKQQGLNFPYLTAYQATVCARAQALPPSWD -EMWKCLVRLKPTLHGPTPLLYRLGPVQHDTCLTHPVTKYIMASMSADLEVTTSTWVLLGGVLAALAAYCL -SVGCVVIIGRIELGGKPALVPDKEVLYQQYDEMEECAQATPYIEQAQAIAHQFKEKILGLLQRAAQHQAD -IQPVVTSHWQKLEVFWHKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNILGGW -VATHLAGPQASSAFVVSGLAGAAIGGIGLGRILLDILAGYGAGVSGALVAFKIMGGEMPSTEDMVNMLPA -ILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPAHYVPESDAAAKITSLLSSLTVTQ -LLRRLHRWINEDYPSQCSGGWLRDIWDWVCTVLYDFKTWLSAKIMPKLPGIPFLSCQKGYKGVWRGDGIM -STRCPCGAVISGHVKNGSMRIVGPRMCANMWHGTFPINEYTTGPCTPCPSHTYSRALWRVAADSYVEVRR -VGDFHYITGATEDGLKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLRDEITFAVGLHNYVIGSQLPCEPE -PDVTVLASMLRDPSHITAAAAARRLARGSPPSEASSSASQLSAPSLKATCQSHGVHPDTELQDACCLRWR -HDMGGNITRVESETKVVILDSFEPLKAGPSDVEPSTAAECFKKPPKYPPALPIWARPDYNPPLIEQWKKP -DYVPPSVHGCPLPPQRPTPIPPPRRKRTIHLDGSNVSAALAALAEKSFPSSPSQEASSPSLGVDVQSTQV -VTAVVPAGGESDAESCSSMPPLEGEPGDPDLSCDSWSTVSDDAGQNVVCCSMSYSWTGALITPCSAEEEK -LPISPLSNSLLRHHNLVYSTSSKSAALRQKKVTFDRLQVLDDHYKTVLKEVKGRASGVKARMLSVEEACA -LVPPHSARSTFGYSARDVRSLSGKAINQINSVWEDLLEDSTTPIPTTIMAKNEVFAVNPSKGGRKPARLI -VYPDLGVRVCEKRALYDVISKLSTAIMGDAYGFQYSPKQRVERLLKMWTSKKVPLGFSYDTRCFDSTVTE -QDIRTEADIYLCCDLEPEAKKVIGSLTERLYCGGPMFNSKGLSCGYRRCRASGVLPTSFGNTVTCYIKAM -AASRAANLRNPDFLVCGDDLVVVAESGGVEEDKAALRAFTEAMTRYSAPPGEQPQATYDLELISSCSSNV -SVACDGAGKRYYYLTRDPEIPLARAAWETARHTPVNSWLGNIIMFAPTIWVRMVLMTHFFSILQAQEQLG -KVVDFEMYGATYAVTPLDLPAIIERLHGLNAFSLHSYSPTELNRVAGALRKLGCPPLRAWRHRARAVRAK -LIAQGGKAKICGLYLFNWAVRTKIKLTPLPAAGKLDLSSWFTVGVGGNDIYHSVSRARSRYLLLCLLLLT -VGVGIFLLPAR ->AFJ20707.1 polyprotein, partial [Hepacivirus AK-2012] -MGNNSKNQKPKPQRGPRNRVRGQSRSGPVVFPSGAVLVGGRYIPPPKKAIRGPRKGLVQAPKSSERGSPR -KKRQPPPQTDSSWRKYFPKFWGDKGYPWPYVDPVLQWSAWGTSPGAYRTRWGPRDPRHKSRNLGRVIDTL -TCGVADLAGYVPVLGAPAGALCRGAAHLVRFVEDGANFITGNIPGMGFSIFILALLSVLSFGEASVVRAG -GHIVSNDCNSSQILWAASDWAIHEVGCVPCVESTCWVPLTSSISVKNESVIVRGLGSHIDVLAAMASVCS -TLGIGEACGAATLGYITFLSRFFMSLNLTNDCECFLYPGAISTFEFTMRALQSMMPNLSGFVSMFSGVPN -TLFTIFTNGHWGVILALCLYGTTNNYFKLCLLLLAYSGLVSCESDYLNVSLSCNFTVKQMWGWTFFPKWA -ILNDQRLNCTEGSPYNPKCKGPMDFNITADPVIGYSGTRSHPPCPYHVSRPCSILDASRVCGKPTCFGPA -PIEVGVTDQDGNLVSWNDSGKFFFDLRSPHRPPRGRWYGCVWLNSTGWVKQCGAPPCNMALMSGKGKTFV -CPSDCFRQNPKATYQLCGQGPWISYNCLIDYTDRYLHFPCTENFTVYPVRMVLGDGARDVRVACKYNRSE -RCRTEDRLRASIVSLLYSVTTAAVPPCHFSPLPAFTTGLIHLDRNLSDVQYVWAMTPSAVNVFLRLEWAV -FFLLLLMDAKVCAILWFCLCLALQAEAYLSHTMRLIALSYIADDSLLYALLFYCVIYYTESRVPPFCVFM -YYWKFSLAFLVLALPHRAWAFDNASAVTAAFSIALFCLYVTCLSCYKKLFMLVKWWLEYWDVRVECAWRH -LGPGVSPHSERFAFILVFAFLYPPLFRAVYLPLAVVCGSFSMINKRVQKIQYLRRAEVLVRVLTICRDVY -GSKWVQWCVLWVASHFGTFLYDHLTPIDTWAAPGLRDLMHSLEPITLSPMERMVVKWGARKIACGDILHG -LPVSARLGREICLGPADRLTSKGWRLLSPITATVTKTRGIPSAIVCCLTGRDKYPHRGHCYILTSLTKTF -MGTVCKGVLWSVHHGGGTATLASDKSSLLQVLCSPGDDLVAWPAPAGSKSFQPCTCGSADVYLVTRTGQV -VPARKTSEKDASLISPLPISSLKGSSGGPVLCKDGDLVGIFCSASVTRGVAKRIHFADMRTRSVSSCPPK -YTDLDSPPAVPSSYQVSFLHAPTGSGKSTKMPLSYVELGYHVLVLNPSVASTLSFGPYMDKTYGECPNIR -TGASCKTTGSKLTYSTYGKFLADGGVSAGAYDIIICDECHSTDSTSVLGIGSVLDGAESKGVKLVVLATA -TPPGSQTVPHPNIDEEALTQNGDIPFYGKMLKSSLLLSGRHLIFCHSKKKCEEVALLLRKAGANAVTYYR -GLEVSVIPNEGNVVVVATDALMTGYSGNFDTVTDCNTAVELDIEFSLDPTFSMVTTPKPSDAVCRTQRRG -RTGRGRRGTYYYVNSGERPSGVLSSSVMCECYDSGLAWFGLSPAQVTVLLQAYLKQPGLPTGLDHTEFWE -SVFIGLPTVDAFFLSQLKQQGVTFPYLTAIQATVCLNAQAKAPSKDERWKVLSRYITTNRTPTPLLYRLE -DTHDDLTFTHPVTKYIQACMEAEIDTQTNAWVIAGGCVAALVAVAALTGSVAIIAEVHVNEKVVVVPHKG -VLYADFDELEECFDHHQYIQQGYEWASRAAQKIREVATSIEPPTGQTQPMLSAIEKFWNQHMWNILSGVQ -YLAGLTTLPYNPSVACLMGFVSGLTTGLPRPAMAFLTILGGWAASMVAPPQAASTFVGAGLAGIAIGAVG -FTDVIVGLLAGYGAGVAGALTAFKILSGVTPNGEDLINLLPSLLNPGALAVGVGAAFILKRYTGGSEGLV -AWVNRLIAFCSRGNHVSPDHYVQQQQVVKDVIACLESLTLTRLVKTIHNFVTSENDQNCDFTAIYFFIQW -LMKALYDCFTWAKGIILPHLPGFPLISCDTGYSGRWAGEGLVTTRCGCGNMITGNVRNERIRITGSRKCR -NLWLNAFPINSTTTGGPRPNPYDTWKTAVLRITSTEYVEFERKGTAVRVIGATADKLRIPCQVPEPDLMT -YIDGVRIHRLAPTPKPMLRDEVVVLIGNHTYPVGATLPCTPEPDVDTVSSLLTDPGHVTAETAARRLRRG -RTVDVESSSGSELSAVSRGAVSRVSEEHEMQGGPVRPLTGEDELAWIRSFYGRSVTIEVDDKVINFDSWT -INSGSEGGHSRESVVAPDNDQVVVAEPPPPPGPAWMRKDYVPALVSGCPIKPGSATPEPSEPSATESARV -EEKEEPKVDEDGAETDPEMPPLEGEEPEGDDDGEWETDPSGSEAPAVDANCSLSYSWTGALVTATRREER -RHPIGPLSNTLITKHNLVYQTTTASASARMAKVTIDREQIFDKHYFDTVTAVKKRASEVAADLLTWDEVA -RLTPKNTAKAKSGLSGSDVRKLTRAARRELNSMWQDLLSDSEEPIPTTVMAKNEVFVSSPTARKPARLIV -YPDLPVRACEKRAMYDLFQKLPYAIMGKAYGFQYTPRQRVERLLDMWRHFKNPMGFSYDTKCFDSTVTPH -DIDTERDIFLSANLPDEAKIVIKNLTSRLYRGSPMYNSRGDLVGKRECRASGVFPTSMGNTLTNYIKAAA -AAKAAGLSDPQFLICGDDLVCITSSKGVEEDEQALRDFTSAMTKYSAIPGDLPKPYYDLEQITSCSSNVT -VAQDRNGRPYYFLTRDPTTPLARASWETISHSPVNSWLGNIIAFAPTVWVRLVFLTHFFGLLLQQDAVDR -NYEFEMYGSTYSVNPLDLPAIIYKLHGPEAFDLTNYSPYEVQRVAAALQKLGSPPLRAWKRRAKLVRSKL -KVRGGRYAVVADYLFGFASAYRPKRPAPPGVNSIDVSGWFSIGDDSIGDIYRQLPVVAGKWIPLLLLLPL -LAAILY ->ACJ37238.1 polyprotein [hepatitis C virus genotype 1a] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTAPASAYQVRNSSGLYHLTNDCPNS -SIVYETADAILHSPGCVPCVREGNASRCWVAVAPTVATRDGRLPATQLRRHVDLLVGSATLCSALYVGDL -CGSVFLVSQLFTFSPRRHWTTQECNCSIYPGHVTGHRMAWDMMMNWSPTTALVVAQLLRIPQAILDMIAG -AHWGVLAGIAYFSMAGNWAKVLIVLLLFAGVDANTQISGGTAAHSLFGLTSMFSLGPRQKIELVNVNDSW -HINSTALNCNESLNTGFVAGLLYYHKFNSTGCPARMASCRPLADFDQGWGPISYANGSGPEHRPYCWHYP -PKPCGIVPARSVCGPVYCFTPSPVVIGTTDKSGAPTYNWGENDTDVFILNNTRPPLGNWFGCTWMNSTGF -TKVCGAPPCVIGGVGNNTLHCPTDCFRKHPGATYSRCGSGPWITPRCLVHYAYRLWHYPCTVNYTVFKVR -MYVGGVEHRLEVACNWTRGERCNLDDRDRSELSPLLLSTTQWQILPCSFTTLPALTTGLIHLHQNIVDVQ -YLYGVGSSVVSWAIKWEYVILLFLLLADARICSCLWMMLLISQAEAALENLVLLNAASLAGTHGLASFLV -FFCFAWYLKGRWVPGTAYAVYGMWPLFLLLLALPQRAYALDSEVAASCGGAVLGSEMAASCGGVVLVGLM -ALTLSPYYKCYVSWCLWWLQYFLTRAEAQLHVWVPPLDVRGGRDAVILIMCVVHPALVFDITKLLLAVFG -PLWVLQTSLLKVPYFVRVQGLLRFCALARKVAGGHYVQMAILKVGALTGTYVYNHLTPLRDWAHNGLRDL -AVAVEPVVFSQMETKLITWGADTAACGDIIDGLPVSARRGREILLGPADGMVSKGWRLLAPITAYAQQTR -GLLGCIITSLTGRDKNQVEGEIQIVSTAAQTFLATCINGVCWTVYHGAGTRTIASPKGPVIQMYTNVDRD -LIGWPAPQGASSLTPCTCGSSDLYLVTRHADVIPVRRRGDGRGSLLSPRPISYLKGSSGGPLLCPAGHAV -GIFRAAVCTRGVAKAVDFIPVESLETTMRSPVFTDNSSPPAVPQSFQVAHLHAPTGSGKSTKVPAAYAAQ -GYKVLVLNPSVAATLGFGAYMSKAHGVDPNIRTGVRTITTGSPITYSTYGKFLADGGCSGGAYDIIICDE -CHSTDATSILGIGTVLDQAETAGARLVVLATATPPGSITVPHPNIEEVALSTTGEIPFYGKAIPLEAIKG -GRHLIFCHSKKKCDELAAKLVALGINAVAYYRGLDVSIIPTSGDVVVVATDALMTGYTGDFDSVIDCNTC -VTQTVDFSLDPTFTIETTTLPQDAVSRTQRRGRTGRGKPGIYRFVAPGERPSGMFDSSVLCECYDAGCAW -YELTPAETTVRLRAYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQSGENLPYLVAYQATVCARA -QAPPPSWDQMWKCLVRLKPTLHGPTPLLYRLGAVQNEVTLTHPVTKYIMTCMSADLEVVTSTWVLVGGVL -AALAAYCLSTGCVVIVGRVVLSGKPAVIPDREVLYREFDEMEECSQHLPYIEQGMMLAEQFKQKALGLLQ -TASRQAEVIAPTVQTNWQKLETFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTSQTF -LFNILGGWVAAQLAAPGAATAFVGAGLTGAAIGSVGLGKVLVDILAGYGAGVAGALVAFKIMSGEVPSTE -DLVNLLPAILSPGALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAI -LSSLTVTQLLRRLHQWVSSECTTPCSDCWLRDIWDWVCEVLSDFKTWLKAKLMPQLPGIPFVSCQRGYKG -IWRGDGIMHTRCHCGAEITGHVKNGTMRIVGPKTCRNMWSGTFPINAYTTGPCTPLPAPNYKFALWRVSA -EEYVEIRQVGDFHYVTGMTTDNLKCPCQVPAPEFFTELDGVRLHRYAPPCKPLIRDEVSFRVGLHDYPVG -SQLPCEPEPDVAVLTSMLTDPSHITAETAGRRLARGSPPSVASSSASQLSAPSLKATCATNHESPDSELI -ESNLLWWREMGGNITRVESENKVVILDSFDPLVAEEDEREVSVAAEILRKSRKFPMALPIWARPDYNPPL -LEAWKKPDYEPPVVHGCPLPPPQSPPVPPPRKKRTVVLTDSTVSAALAELATKSFGSSSTPGIKDDGTTA -PSEPAPSVCPPDSDAESCSSMPPLEGEPGDPDLSDGSWSTVSGADVEDVVCCSMSYSWTGALITPCAAEE -QKLPINALSNSLLRHHNLVYSTTSRSACLRQKKVTFDRRQVLDSHYQDVLKEVKAAASKVKAKLLSVEEA -CSLTPPHSARSKFGYGAKDVRCHARKAVNHINSVWKDLLEDNVTPIDTTIMAKNEVFCVQPEKGGRKPAR -LIVFPDLGVRVCEKMALYDVVSKLPPAVMGSSYGFQYSPGQRVEFLVQAWKSKKTPMGFSYDTRCFDSTV -TESDIRTEEAIYQCCDLDPQARVAIKSLTERLYVGGPLTNSRGENCGYRRCRASGVLTTSCGNTLTCYIK -AQAACRAAGLRDCTMLVCGDDLVVICESQGVQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSS -NVSVAVDGTGKRVYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARVILLTHFFSVLIARDQ -LEQALDCEIYGACYSIEPLDLPLIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVR -AKLLSRGGRAATCGKYLFNWAVRTKLKLTPIAAAGQLDLSGWFTAGYSGGDIYHSVSRARPRWFWFCLLL -LAAGVGVYLLPNR ->ACS29435.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTVPASAIHYRNASGVYHITNDCPNS -SIVYEADHHILHLPGCLPCVRNGNQSHCWVALTPTLAASHPGAPFESLRRHVDLMVGAATVCSALYIGDL -CGGAFLVGQMFSFQPRRHWTTQDCNCSIYAGHITGHRMAWDMMMNWSPTTTLILAQLMRVPSTLVDLLXG -GHWGVLVGLAYFSMQANWAKVILVLFLFAGVDAXTTVTGGAXGRAAYTJTXLFTPGAKQNIQLINTNGSW -HINRTALNCDDSLNTGFLASLFYTHKFNSSGCPERLASCRRLDDFXQGWGPLSPANLSGSSDDRPYCWHY -APRPCVTVPAAKVCGPVYCFTPSPVVVGTTDPRGVPTYTWGENKTDVFLLGSARPPHGAWFGCVWMNSTG -FVKTCGAPPCTVTTSNGSWHCPTDCFRKHPETTYAKCGSGPWLTPRCLVDYPYRLWHFPCTVNYTIFKIR -MYVGGIEHRLTAACNWTRGEPCGLEHRDRSELSPLLLTTTQWQILPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGIGSTVVSWALKWEYVVLAFLLLADARVCACLWMMLLVSQVEAALSNLITINAASVASTHGFYHAIL -FICVAWHVRGRFPAAATYAACQMWPLLLLVLLLPERAYAFDREVAGSLGGGVVIALALLTLSPHYKQWLA -RGIWWLQYFIARAEALLHVYVPSLEVRGPRDSVIIITILVFPHLTFDITKYLLAILGPLYILQASLLRIP -YFVRAHALIKICSLVRGVVYGKYCQMALLKVGAFTGTYVYNHLTPLADWAADGLSDLAVALEPVVFTPME -KKVIVWGADTAACGDIIRGLPVSARLGNEILLGPADSETAKGWRLLAPITAYAQQTRGLLSTIVTSLTGR -DTNENCGEVQVLSTATQSFLGTAVNGVMWTVYHGAGSKTICGPKGPVNQMYTNVDQDLVGWPAPPGVKSL -APCTCGASDLFLVTRHADVVPVRRRGDTRGSLLSPRPISTLKGSSGGPLLCPMGHAVGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFTDNSTPPAVPQTYQVAHLHAPTGSGKSSKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAYGIDPNIRTGVRTITTGASITYSTYGKFLADGGCGGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTTPHSNIEEIALPTTGEIPFYGKAIPLALIKGGRHLIFCHSKKKC -DELAKQLSGLGLNAVAYYRGLDVSVIPTSGDVVVVATDALMTGFTGDFDSVIDCNTSVTQTVDFSLDPTF -SIETTTVPQDAVSRSQRRGRTGRGRLGIYRFVTPGERPSGIFDTAVLCECYDAGCAWYELTPAETTTRLR -AYFNTPGLPVCQDHLEFWESVFTGLTHIDGHFLSQTKQAGENFPYLVAYQATVCAKALAPPPSWDTMWKC -LLRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLSVGSV -VIVGRVILSGHPAIIPDREALYQQFDEMEECSKHLPLVEQGLQMAEQFKQKALGVLNLAGKQAQEATPVI -QSNFAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMCGEMPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVPESDAAARVTQILSSLTVTSLLRRL -HKWINEDCSTPCDASWLHDIWDWVCTVLSDFKTWLKAKLLPRMPGIPFLSCQRGYRGEWRGDGVMHTTCP -CGAQLAGHIKNGSMRITGPKTCSNTWHGTFPINAYTTGPGIPIPAPNYKFALWRVSAEEYVEVRRVGDFH -YITGVTQDNIKCPCQVPAPEFFTEVDGIRIHRHAPACKPLLREEVTFSVGLNSFVVGSQLPCEPEPDVAV -LTSMLTDPSHITAEAARRRLTRGSPPSLASSSASQLSAPSLKATCAAHDSPGTDLIEANLLWGSNATRIE -TDNKVVILDSFEPLVAETDDRETSVAAEILRPTKRFPPALPVWAQPSYNPPLVEKWKQPDYDPPVVHGCA -LPPSGQAPIPPPRRKRVVALDGSNISNALADLAVKTFGQSGLGSDSGADLTTPTETTDSGPVVVDDQSDD -GSYSSMPPLEGEPGDPDLTSSMAPSEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPCAAEES -KLPISPLSNSLLRHHNMVYATTTRSATTRQKKVTFDRMQVVDNHYNEVLKEIKARASKVKARLLTVEEAC -DLTPPHSARSKFGYGAKDVRSHSRKAVNHINSVWEDLLEDNTTPIPTTIMAKNEVFAVKPEKGGRKPARL -IVYPDLGVRVCEKRALHDAVKQLPEAVMGAAYGFQYSPAQRVEYLLSSWKAKKTPMGFSYDTRCFDSTVT -EKDIRVEEEVYQCCDLEPEARKAITALTERLYVGGPMHNSKGDLCGYRRCRASGVYTTSFGNTLTCYLKA -SAAIRAAGLRDCTMLVCGDDLVVIAESDGVEEDKRALGAFTEAMTRYSAPPGDVPQPAYDLEHITSCSSN -VSVAHDATGKKVYYLTRDPETPLARAAWETVRHTPVNSWLGNIIIYAPTIWVRMVLMTHFFSILQAQEAL -EKALDFDMYGVTYSITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGSLRKLGVPPLRAWRHRARAVRA -KLIAQGGRARICGIYLFNWAVKTKLKLTPLPAAAKLDLSSWFTVGAGGGDIYHSMSHARPRYLLLCLLLL -SVGVGIFLLPAR ->AGR53445.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASALEVRNVSGIYQVTNDCSNS -SIVYEMEDMILHLPGCVPCVREGNSSRCWVSLTPTLAARDTTVPIQTIRDHVDLLVGAAAFCSAMYVGDL -CGSVFLVGQLFTFTPRRYQTEQSCNCSIYPGHVTGHRMAWDMMMNWSPTLALVTAQVLRIPQAIVDMIAG -SHWGVLAGLAYYSMVGNWAKVAVVLLLFAGVDASTVTMGDTTSHTAKGVASLFSQGAQQRLQLVNTNGSW -HLNRTALNCFDSLHTGFLAALFAARPKVNSTGCLERLASCHPITHFAQGYGPITYASHSSRVANDSERRP -YCWHYSPPPCGIVPARNVCGPVFCFTPSPVVVGVTDIRGNPTFNSGANDTDFFLLNNTRPPLGNWFGCSW -MSNEGYTKTCGAPACNIGGSGNNILQCPTDCFRKHPGATYTKCGSGPWLTPKCLVDYPYRLWHYPCTVNY -TVTKVRMFVGGIEHRFEAACNWTRGDPCALDDRDRAELSPLLLTTTQWQILPCSFTPLPALSTGLIHLHQ -NIVDIQYLYGVGSVVTSWVIKWEYVVLLFLLLADARVCACLWMVLLISQAEAALENLIVLNAASLAGAHG -VGAFLVFFCVAWYLKGRWAPGAVYALYGAWPLLLLLLALPQRAYAMDREMAASCGGLVLACLMFLTLSPA -YKTIITKIIWWLQYFLTKTEAALQVWVPPLNVRGGRDAVILLACAVHPHLIFDITKLLLAVVGPMWLLQA -SLIRVPYFVRAHALLRACMLMRRMAGGQYAQMVLLKLGAATGTYIYNHLTPLTDWAHNSLQDLAVAVEPV -IFSPMETKVILYGADTAACGDILEGLPVSARLGREVLLGPADSMVSKGWRLLAPITAYAQQTRGLLGCII -TSLTGRDKNQVEGEVQIVSTATQTFLATCVNGACWTVYHGAGSRNLAGPKGPIIQMYTNVDLDLVGWQAP -QGARSLVPCTCGAADMYLVTRHADVIPVRRRGDSRGALLSPRPLSYLKGSSGGPLLCPSGHVVGLFRAAV -CTRGVAKAVDFVPVEALETTMRSPVFTDNSTPPAVPQTFQVAHLHAPTGSGKSTKVPSAYAAQGYKVLVL -NPSVAATLGFGAYMSKAYGIDPNIRTGVRTVTTGAAITYSTYGKFLADGGCGAGAYDIIICDECHSTDST -TILGIGTVLDQAETAGARLVVLATATPPGSITVPHPNIEEVALSNTGEVPFYGKAIPLEVIKGGRHLIFC -HSKKKCDELAAKLTGLGLNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDF -SLDPTFTIETTTLPQDAVSRTQRRGRTGRGRQGIYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTPAE -TTVRLRAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGDNFPYLTAYQATVCAKALAPPPSW -DQQWKCLIRLKPTLHGPTPLLYRLGAVQNDITLTHPITKYIMTCMSADLEVVTSTWVLVGGVVAALAAYC -LSMGCVVIVGRIVLSGKPAILPDREVLYREFDEMEECASQLPYIEQGMQLAEQFKQKALGLLQTASKQAE -VAAPIVQTHWQKLESFWAKHMWNFISGVQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTQQTLLFNILGG -WVAAQLATPSAASAFVGAGIVGAAVGSVGLGKMLVDILAGYGAGVAGALVAFKVMSGEMPTTEDLVNLLP -AILSPGALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQVLASLTVT -QLLKRLHKWINEDCSTPCAGSWLRDIWDWVCEVLSDFKRWLGAKLMPQMPGIPFFSCQRGYRGVWRGDGV -MNTTCPCGAXIAGHVKNGTMRISGPKTCSNTWHGTFPINAYTTGPCVPVPTPDYSRALWRVSAEEYVEIR -RVGDFHYVTGMTTDNIKCPCQVPSPEFFTEVDGVRLHRYAPPCKPLLREEVCFQVGLHSYLVGSQLPCEP -EPDVAVLTSMLTDPSHITAEAAKRRLARGSPPSLASSSASQLSAPSLKATCTTAHDTPDAELIEANLLWR -QEMGGNITRVESENKVVILDSFDPLKAEDDDREVSVPAEILRKTKKFAPALPVWASPSYNPPLLEVWKRP -DYEPPVVHGCALPPPSRPPVPPPRRKKTITLTDSNVSSALAELAVKTFGGSDTSGVASGTEPPPSDAPPC -DDDTGSDAGSWSSMPPLEGEPGDPDLSEGSWSTVSSESAGEDVVCCSMSYTWTGALITPCAAEEASLPIN -ALSNSLLRHRNLVYSTTSRSAGQRQKKVTFDRLQVLDDHYRDVLKEAKAKASTVKANMLSIEEACYLTPP -HSARSKFGYGAKDVRSLAPKAIRHIKSVWQDLLEDNQTPLDTTIMAKNEVFCVAPEKGGKKPARLIVYPD -LGVRVCEKVALYDVVKKLPIAVMGAAYGFQYSPSQRVEFLVKAWKSKKTPMGFSYDTRCFDSTVTEADIR -TEESLYQCCDLAPEARVAIKSLTERLYVGGPLTNSKGENCGYRRCRASGVLTTSCGNTLTCYLKAAAACR -AAKLQDCTMLVCGDDLVVICESGGVQEDEASLRAFTEAMTRYSAPPGDPPRPEYDLEPITSCSSNVSVAH -DAAGKRVYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMVLMTHFFSILIAQEQLHKALD -CEIYGACYSIQPLDLPQIIERLHGLSAFTLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRAKLLSQ -GGKAAICGKYLFNWAVRTKLRLTPIPGAANLDLSNWFTAGYSGGDIYHSVSHARPRWFLFCLLLLSVGVG -IYLLPNR ->AQW44491.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTVRRPQDVKFPGGGQIVGGVYVLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINFATGNLPGCSFSIFLLALFSCLIHPTASLEWRNASGLYILTNDCSNS -SIVYEADDVILHTPGCVPCVQVGNASTCWAPVTPTVAVRYVGATTASIRSHVDLLVGAATMCSALYVGDM -CGAVFLVGQAFTFRPRRHQTVQTCNCSLYPGHLSGHRMAWDMMMNWSPAVGMVVAHVLRLPQTLFNILAG -AHWGILAGLAYYSMQGNWAKVAIVMVMFSGVDASTYTTAGSAAQTTQSFTRLFNVGAKQNLQLVNTNGSW -HINRTALNCNESINTGFLAGLFYYHKFNSTGCPERLSSCKPITYFKQGEGPLRSANITGSSNDKPYCWHY -PPRPCGVVNASSVCGPVYCFTPSPVVVGTTDARGMPTYTWGENETDVFLLDSLRPPSGRWFGCSWMNSTG -FLKTCGAPPCNIYPDWMDADESNDTLLRCPTDCFRKHPEATYGRCGAGPWLTPRCMVDYPYRLWHYPCTV -NFTLFKVRMFVGGFEHRFSAACNWTRGERCEIEDRDRSEIHPLLHSTTELAILPCSFTPLPALSTGLIHL -HQNIVDVQYLYGVGSGMVGWALKWEFVILVFLLLADARVCVALWLMLMISQAEAALENLVTLNAVAAAGT -HGIGWYLVAFCAAWYVRGRLVPLVTYSLTGLWSLALLVLLLPQRAYAWSGEDSATLGAGVLALFGIFTLS -PWYKHWIGRLMWWNQYTICRCESALQVWVPPLLARGGRDGVILLTSLLHPSLIFDITKLLIAVLGPLYLI -QAAITATPYFVRAHVLIRLCMLVRSVMGGKYFQMIILSIGRWFNTYLYDHLAPMQYWAAAGLKDLAVATE -PVIFSPMEIKVITWGADTAACGDILCGLPVSARLGREVLLGPADDYREMGWSLLAPITAYAQQTRGLLGT -IVTSLTGRDKNVVTGEVQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLAGAKHPALQMYTNVDQDLVGWP -APPGAKSLEPCACGSADLYLVTREADVIPARRRGDSTASLLSPRPLACLKGSSGGPVMCPSGHVAGIFRA -AVCTRGVAKALQFIPVETLSTQARSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYSVL -VLNPSVAATLGFGSFMSRAYGIDPNIRTGNRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQD -ATSILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALGSEGEIPFYGKAIPLALLKGGRHLI -FCHSKKKCDEIASKLRGMGLNAVAYYRGLDVSVIPTTGDVVVCATDALMTGFTGDFDSVIDCNVAVEQYV -DFSLDPTFSIETRTAPQDAVSRSQRRGRTGRGRLGTYRYVAPGERPSGMFDSVVLCECYDAGCSWYDLQP -AETTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFSYLTAYQATVCARAQAPPP -SWDETWKCLVRLKPTLHGPTPLLYRLGSVQNEICLTHPVTKYIMACMSADLEVTTSTWVLLGGVLAALAA -YCLSVGCVVIVGHIELGGKPALVPDKEVLYQQYDEMEECSQAAPYIEQAQVIAHQFKEKVLGLLQRATQQ -QAVIEPIVVTNWQKLEAFWHKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNIL -GGWVATHLAGPQSSSAFVVSGLAGAAIGGIGLGRVLLDILAGYGAGVSGALVAFKIMGGQLPTTEDMVNL -LPAILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAAKVTALLSSLT -VTSLLRRLHQWINEDYPSPCSGDWLRDIWDWVCMVLSDFKTWLSAKIMPALPGLPFISCQKGYKGVWRGD -GVMSTRCPCGALITGHVKNGSMRLAGPRTCANMWYGTFPINEYTTGPGTPCPSPNYTRALWRVAASSYVE -VRRVGDFHYITGATEDGLKCPCQVPAAEFFTEVDGVRLHRYAPTCKPLLRDEITFMVGLNSYTIGSQLPC -EPELDVSVLTSMLRDPSHITAETAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHPDAELVDANLL -WRQEMGSNITRVESETKVVILDSFEPLRAETDDAELSVAAECFRKPPKYPPALPIWARPDYNPPLLDRWK -APDYVPPTVHGCALPPRGAPPVPPPRRKRAIQLDDSNVSAALAALAEKSFPSSKPQEESSSSSGVDTQAS -TNSEVPPPPGGESDSESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTGALITPCSAEE -EKLPISPLSNSLLRHHNLIYSTSSRSASQRQKKVTFDRLQVLDDHYKNALKEVKERASRVKARMLTIEEA -CALVPPHSARSKFGYSARDVRSLSSKAINQIRSVWEDLLEDTTTPIPTTIMAKNEVFCVDPAKGGRKPAR -LIVYPDLGVRVCEKRALYDVIQKLSIGTMGPAYGFQYSPQQRVERLLKMWTSKKTPLGFSYDTRCFDSTV -TEQDIRVEEEIYQCCDLEPEARKVISSLTERLYCGGPMFNSKGAQCGYRRCRASGVLPTSFGNTITCYIK -ATAAAKAAGLQDPDFLVCGDDLVVVAESGGVDEDKAALRAFTEAMTRYSAPPGDAPQPTYDLELITSCSS -NVSVARDNKGKRYYYLTRDATTPLSRAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFSILQSQEI -LDRPLDFEMYGATYSVTPLDLPAIIERLHGLSAFTLHSYSPVELSRVAGTLRKLGCPPLRAWRHRARAVR -AKLIAQGGKAKTCGLYLFNWAVRTKITLTPLPTAGQLDLSSWFTVGVGGNDIYHSVSRARTRHLLLCLLL -LTVGVGIFLLPAR ->AID60264.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRAARKTSERSQPRGKRQPIPKARR -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPSDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAIEVRNASGIYHITNDCSNS -SIIYEADGVIMHMPGCVPCVREGNTSRCWLQLTPTVAARDISSSTVALRRHVDLLVGGAVFCSAMYVGDL -CGAVFLVGQLFTFSPRRHWTTQDCNCSIYPGHVTGHRMAWDMMMNWSPTAALVMSQVLRIPQAIVDIVAG -AHWGILAGLAYYSMVANWAKVLIVLLLFTGVDAHTTTIGGQAARTTSAFTGFFAPGARQNIQLVNTNGSW -HINRTALNCNDSLNTGFIAALFYTHRFNSSGCPERMASCRPITDFAQGSGPITHADVNGSDHRPYCWHYP -PQRCGIVPASKVCGPVYCFTPSPVVVGTTDRAGVPTYTWGENETDVLLLNNTRPPAGNWFGCTWMNATGF -TKTCGAPPCNIGGGNGNNTLICPTDCFRKHPEATYSKCGSGPWLTPRCLVDYPYRLWHYPCTVNFTTFKV -RMYVGGVEHRLTAACNWTRGERCDLEDRDRSELSPLLLSTTEWQILPCSFTTLPALSTGLIHLHQNIVDI -QYLYGIGSAVVNFAIKWEYVVLLFLLLADARVCACLWMMLLVSQVEAALENLVVLNAASLAGVHGVISFL -VFFCAAWYVKGRWVPGATYALYGAWPLFLLLLVLPQRAYAADREVAASCGGAVLVCLMVLTLSPYYKQII -TKIIWWLQYFLTRSEAEXRVWVPSLEVRGGRDAIILLACLVHPGLMFDITKLLLAVLGPLWLLQHSLIRV -PYFVRAQGLIRMCMLVRKLVGGHYIQMALVRLGALTGTYIYDHLTPLQDWAHRGLRDLAVAVEPVVFSQM -ETKVITWGADTAACGDIIAGLPVSARRGRELYLGPADGMTSKGWRLLAPITAYAQQTRGLFGCIITSLTG -RDKNQVEGEIQIVSTATQTFLATCVNGVCWTVYHGAGARSIAGQKGPILQMYTNVDQDLVGWPAPPGARS -LTPCTCGSADLYLVTRHADVIPVRRRGDSRGSLLSPRPVSYLKGSSGGPLLCPSGHAVGIFRAAVCTRGV -AKAVDFVPVESMETTMRSPIFTDNSSPPVVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSKAHGIDPNIRTGVRTITTGSAITYSTYGKFLADGGCSGGAYDIIICDECHSTDATSVLGI -GTVLDQAETAGARLTVLATATPPGSVTVPHPNIEEVALGSTGEIPFYGKGIPLEHIKGGRHLIFCHSKKK -CDELAAKLSSMGVNAVAYYRGLDVSVIPSSGDVVVVSTDALMTGFTGDFDSVIDCNVCVTQTVDFSLDPT -FTIETTTLPQDAVSRSQRRGRTGRGRPGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRL -RAYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGENFPYLTAYQATVCARAAAPPPSWDQMWK -CLIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGS -VVIVGRIVLSGKPAVIPDREVLYQQFDEMEECATHLPYIEQGMHLAEQFKQKALGLLQTASKQAEAAAPI -VQTKWQSLEAFWAKHMWNFISGIQYLAGLSTLPGNPAIAALMAFTSAVTSPLSTQQTLLFNILGGWVAAQ -LAAPPAATAFVGAGLVGAAVGSVGIGKVLVDILAGYGAGVAGALVAFKVMSGEVPTTEDLVNLLPAILSP -GALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLKR -LHQWITEDCSTPCSGSWLRDIWDWICEVLTDFKTWLQAKLLPRLPGLPFVSCQRGYKGVWRGDGIMNTQC -PCGANITGHVKNGSMRIAGPKTCSNTWHGTFPINAYTTGPCTPSPAHNYSRALWRVSAEEYVEVTRVGDY -HYVTGMTADNLKCPCQVPSPEFFTELDGVRLHRFAPPCKAMLRDDVTFQVGLHSYVVGSQLPCEPEPDVA -VLTSMLTDPSHITAEAAKRRLDRGSPPSLASSSASQLSAPSLKATCTTHHQSPDADLIEANLLWRQEMGG -NITRVESENKVVILDSFDPLVAEEDTPEVSVPAEILRKTKRFPPAMPVWATPDYNPPLLEPWKRDDYVPP -VVHGCPLPPSDSPPVPPPRRKKRTIILTESTVSSALAELASKTFSDSSTSGVDSGTTAPPPDPPSAEGDT -GSDAGSYSSMPPLEGEPGDPDLSDGSWSTVSDGASEDVVCCSMSYSWTGSLITPCAAEEEKLPINALSNT -LLRHRNMVYATTSRSASQRQKKVTFDRMQVLDNHYQDVLKEAKAKASTVKARLLSVEEACRLTPPHSARS -KFGYGAKDVRDLTSKAVRHINSVWQDLLEDNHTPIDTTIMAKNEVFCVDPSKGGRKPARLIVFPDLGVRV -CEKMALYDVISKLPQAVMGASYGFQYSPGQRVEFLVKTWKSKANPMGFSYDTRCFDSTVTEHDIRVEESI -YQSCDLDPDARKAIASLTERLYIGGPLTNSRGENCGYRRCRASGVLTTSCGNTLTCYLKAMAACRAAKLR -DVSMLVCGDDLVVICESDGTQEDVANLRVFTEAMTRYSAPPGDPPQPEYDLERISSCSSNVSVAHDATGK -RVYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWVRMVLMTHFFSVLIAQDQLEKALDFEIYG -ANYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRTWRHRARNVRAKLLSQGGRAA -ICGKYLFNWAVKTKMKLTPISAARRFDLSNWFVAGYSGGDIYHSVSHARPRWLMFCLLLLSVGVGIYLLP -NR ->AGV23522.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNANRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPNDPRHRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCVTVPVSAVEIRNTSSSYMVTNDCSNG -SITWQLKDAVLHVPGCVPCEKDDSSNQSRCWIPVTPNLAVKHTGALTRNIRTHIDVIVAAATLCSALYVG -DVCGAVMIASQVVMVSPQHHHFTQDCNCSIYQGHLTGHRMAWDMMMSWSPTITMLTAYAMRIPEMIVDVV -FDGHWGVIFGLAYFSMQGAWAKVAVILMLTAGVQAETYITGAATGSQVSTFARIFSTGPSQNIMLMKTNG -SWHINRTALNCNDSLHTGFLTSLFYRVKFNSSGCPERLASCRGLDSFRIGWGSLEYENNVTNDEDMRPYC -WHYPPKPCGMVGARTVCGPVYCFTPSPVVVGTTDKQGVPAYTWGENETDVFLLNSTRPPQGAWFGCTWMN -GTGYTKTCGAPPCRIRKDFNSTIDLLCPTDCFRKHPDTTYLKCGAGPWLTPKCLVHYPYRLWHYPCTVNF -TIFKVRMYVGGVEHRFEAACNFSRGDRCALEDRDRSQQSPLLHSTTEWAVLPCSFSDLPALSTGLLHLHQ -NIVDVQYLYGLTPAITKYAVKWEWVVLLFLLLADARVCACFWMLIILGQAEAALEKLVILHSASAASANG -LPCFIIFFIAAWYFKGRIVPMTTYFLTNLWAFLLLVLALPQRAYALGTDGQAQLGLALLVIISIFTLTPA -YKSFLSRLVWWLCYMLVLAEALVQEWVPPLEARGGRDGIIWAAVMFHPRLIFDITKWLLAILGPAMLLKE -SLLKVPYFVRAHALLRICAVVRNIAGARYVQAAVLTLGRWTGTYIYDHLSPMTSWAAQGLRDLAVAVEPV -IFSPMEKKVIIWGAETVACGDILHGLPVSARLGREVLLGPADDYTSKGWKLLAPITAYVQQTRGMLGTIV -VSLTGRDKNEVSGEVQVLSSVTQSFLGTSISGVLWTVFHGAGNKTLAGPKGPVTQMYSSAEGDLVGWPSP -PGGKSLDPCTCGAVDLYLVTRNADVVPARRRGDRRAALLSPRPLSTLKGSSGGPVLCTRGHAVGIFRAAV -CSRGVAKAIDFIPIESLDVVARSPSFTDNGTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVL -NPSVAATLGFGAYMSKAHGINPNIRTGVRTVTTGDPITYSTYGKFLADGGCSSGAYDVIICDECHSVDST -TILGIGTVLDQAETAGIRLVVLATATPPGSVTTPHPNIEESALGHEGEIPFYGKAIPLAHIKGGRHLIFC -HSRKKCDELAPALRGMGLNAVAYYRGLDVSVIPPQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQTVDF -SLDPTFTITTQTVPQDSVSRSQRRGRTGRGRLGIYRFVSASERPSGMFDSVVLCECYDAGAAWYELTPAE -TTVRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGENFPYLVAYQATVCARAKAPPPSW -DVMWKCLTRLKPTLTGPTPLLYRLGAVSNEVTLTHPVTKYIATCMQADLEIMTSSWVLAGGVLAAVAAYC -LATGCVVIIGRVCVNQRTVVAPDKEVLYEAFDEMEECASKAALIEEGQRIAEMLKSKIQGLLQQASKQAQ -DIQPAIQSSWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLPTSTTILLNIMGG -WLASQIAPPAGPTGFVVSALVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPTVEDVVNLLP -SILSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVVESDASRRVTDVLSSLTIT -SLLRRLHTWITEDCPTPCSGSWLRDIWDWICSILADFKNWLSAKLLPKLPGIPFISCQKGYKGVWAGTGV -MTTRCPCGATLSGHVRMGTMRITGPKTCMNMWQGTFPINCYTEGACVPKPAPNYRTAVWRVAATEYAEIT -QYNSNAYVTGLTTDNLNVPCQLPAPEFFSWVDGVQIHRFAPRPGPFFRDVVTFCVGLNSYEVGSQLPCEP -EPDPEVLASMLTGPSHITAEAAARRLARGSPPSEASSSASQLSAPSLKATCTSHKGTYDIDMVDASLFMG -GDVTRIESASKTVILDSLGPMADQEDDREPSIPSEYLLRRRAFPPALPVWARPDYNPPTVETWKRDGFQP -PTVMGCALPPPSKAPVPPPRRRRTVVLSESNVGGVLKDLADKAFGTSQDCGDSGHSTGADTGGDSAQRPA -EEDAGSTTGSLSSMPPLEGEPGDPDLELEAAGHGPPSDGGSVVVNSDSNSWSTCSDQGDSVICCSMSYSW -TGALITPSSPEEEKLPINPLSNSLMRYHNKVYSTTSRSAALRAKKVTFDRVQLLDMHYDSVLKDIKQAAS -TVTAKLLSVEDACALTPPHSARSKFGFGAKEVRSLSRRAVNHIKSVWEDLLEDQITPIPTTIMAKNEVFC -VDPLKGGKKPARLIVYPDLGVRVCEKMALYDLAQKLPKAVMGASYGFQYSPAQRVEFLLQAWRNKKEPMG -FSYDTRCFDSTVTERDIRTEESIYLSCSLPPEARAAIHSLTERLYVGGPMLNSKGQSCGYRRCRASGVFT -TSMGNTITCYIKALSACKAAGIADPTMLVCGDDLVVISESQGTEVDEQNLRAFTEAMTRYSAPPGDPPKP -EYDLELITSCSSNVSVALDSRGRRRYYLTRDPTTPIARAAWETAKHSPVNSWLGNIISYAPTIWVRMVFM -THFFSTLLAQDILDQNLNFEMYGAVYSVSPLDLPAIIERLHGLEAFSLHTYSPHELTRVAAALRKLGAPP -LRAWKSRARAVRASLIAQGGRAAICGRYLFNWAVKTKLKLTPLPEARRLDLSSWFTVAPAGAIFYHSVSH -ARPRLLLLGLLLLTVGVGIFLLPAR ->BAK61626.1 polyprotein [Hepatitis C virus subtype 2b] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRHKSRNLGKVIDTVTCGFADLMGYIPVI -GAPVGGVARALAHGVRILEDGVNFATGNLPGCSFSIFLLALLSCATVPVSAVEVRNISSSYYATNDCSNS -SITWQLTNAVLHLPGCVPCENDNGTLRCWIQVTPNVAVKHHGALTQNLRTHVDMIVVAATVCSALYVGDV -CGAVMIVSQAFIISPERHNFTQECNCSIYQGHITGHRMAWDMMLNWSPTLTMILAYAARVPELVLEVIFG -GHWGVMFGLAYFSMQGAWAKVIAILLLVAGVDAGTRVVGGSVAQTARGLTGMFSSGPSQSIQLVNTNGSW -HINRTALNCNDSLNTGFFAALFYAHKFNSSGCPERLSSCRRLDDFRIGWGTLEYEDNVTNDEDMRPYCWH -YPPKPCGTVPARTVCGPVYCFTPSPVVVGTTDRQGAPTYGWGENETDVFLLNSTRPPRGAWFGCTWMNGT -GFTKTCGAPPCRIKRDFNSTLDLLCPTDCFRKHPGTTYLKCGAGPWLTPRCLVDYPYRLWHYPCTVNFTI -FKARMYVGGVEHRFSAACNFTRGDRCRLEDRDRGQQSPLLHSTTEWAVLPCSFSDLPALSTGLLHLHQNI -VDVQYLYGLSPALTRYIVKWEWVILLFLLLADARVCACLWMLIILGQAEAALEKLIILHSASAASANGPL -WFFIFFIAAWYLKGRVVPVATYSVLGLWSFLLLVLALPQQAYAMDTTEQGELGLVILVVISIFTLTPAYK -ILLSRSLWWLSYMLVLAEAQIQEWVPPLESRGGRDGIIWAAVILRPRLVFEVTKWLLAILGPAHLLRVSL -LRVPYFVRAHALLRVCTLVRHLAGAKYIQVLLLTVGRWTGTYIYDHLSPLSTWAAQGLRDLAVAVEPVVF -SPMEKKVIVWGAETVACGDILHGLPVSARLGREVLLGPADSYTSKGWKLLAPITAYAQQTRGLLGAIVVS -LTGRDKNEQAGQVQVLSSVTQSFLGTSISGVLWTVYHGAGNKTLASPKGPVTQMYTSAEGDLVGWPSPPG -TKSLDPCSCGAVDLYLVTRNADVIPVRRKDDRRGALLSPRPLSTLKGSSGGPVLCSRGHAVGLFRAAVCA -RGVAKSIDFIPVESLDIATRTPSFSDNSTPPAVPQHYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNP -SVAATLGFGAYMSKAYGINPNIRTGVRTVTTGDSITYSTYGKFIADGGCSAGAYDVIICDECHSVDATTI -LGIGTVLDQAETAGARLVVLATATPPGTVTTPHSNIEEVALGHEGEIPFYGKAIPLAYIKGGRHLIFCHS -KKKCDELAAALRGMGVNAVAYYRGLDVSVIPAQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQVVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSSGERPSGMFDSVVLCECYDAGAAWYELTPAETT -GRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGDNFAYLVAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLTGPTPLLYRLGAVTNEVTLTHPVTKYIATCMQADLEIMTSTWVLAGGVLAAVAAYCLA -TGCISIIGRIHLNDQVVVAPDKEILYEAFDEMEECASKAALIEEGQRMAEMLKSKIQGLLQQATRQAQDI -QPAIQSSWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPAI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVTQLLSSLTITSL -LRRIHTRITEDCPVPCSGSWLQDIWNWVCSILTDFKNWLSSKLLPKMPGLPFISCQKGYRGVWAGTGVMT -TRCPCGANISGHVRMGTMKITGPKTCLNLWQGTFPINCYTEGPCVPKPPPNYKTAIWRVAASEYVEVTQH -GSFSYVTGLTSDNLKVPCQVPAPEFFSWVDGVQIHRFAPTPGPFFRDEVTFTVGLNSFVVGSQLPCDPEP -DTEVLASMLTDPSHITAETAARRLARGSPPSQASSSASQLSAPSLKATCTTHKTAYDCDMVDANLFMGGD -VTRIESDSKVVVLDSLDSMIEVEDDREPSVPSEYLVRRRKFPPALPPWARPDYNPPTIETWKRPDYEPPT -VLGCALPPTPQAPVPPPRRRRAKVPIPVKVEGVLREMADKVLSPLQDYNDSGHSTGVDTGGGSVQQLSDE -TASSDTGSLSSMPPLEGEPGDPDLEFEPAGSAPPSEGECEVIDSDSKSWSTVSDQEDSVICCSMSYSWTG -ALITPCGPEEEKLPINPLSNSLMRFHNKVYSTTSRSASLRAKKVTFDRVQVLDAHYDSVLQDVKRAASKV -SARLLSIEEACALTPPHSAKSRYGFGAKEVRSLSRRAVNHIRSVWEDLLEDQHTPIDTTIMAKNEVFCID -PTKGGKKPARLIVYPDLGVRVCEKMALYDIAQKLPKAIMGASYGFQYSPAERVDFLLKAWGSKKDPMGFS -YDTRCFDSTVTERDIRTEESIYQACSLPQEARIAIHSLTERLYVGGPMTNSKGQSCGYRRCRASGVFTTS -MGNTMTCYIKALAACKAAGIVDPSMLVCGDDLVVISESQGNEEDERNLRVFTEAMTRYSAPPGDLPRPEY -DLELITSCSSNVSVALDSRGRRRYFLTRDPTTPITRAAWETVRHSPVNSWGCPERLSSCRRLDDFRIGWG -TLEYEDNVTNDEDMRPYCWHYPPKPCGTVPARTVCGPVYCFTPSPVVVGTTDRQGAPTYGWGENETDVFL -LNSTRPPRGAWFGCTWMNGTGFTKTCGAPPCRIKRDFNLGNIIQYAPTIWVRMVIMTHFFSILLAQDTLN -QNLNFEMYGAVYSVNPLDLPAIIERLHGLEAFSLHTYSPHELSRVAATLRKLGAPPLRAWKSRARAVRAS -LIAQGGRAATCGRYLFNWAVKTKLKLTPLPEASRLDLSGWFTVGAGGGDIFHSVSHARPRLLLLCLLLLS -VGVGIFLLPAR ->AGW83698.1 polyprotein [HCV/GBV-B chimeric virus] -MSTNPKPQRKTKRNTNRRPQGVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGKTWAQPGYPWPLYGNEGMGWAGWLQSPRGSRPSWGPSDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGVARALAHGVRVLEDSVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVRNASGVYHVTNDCSNS -SIVYEAADMIMHTPGCVPCVRENNSSRCWVALTPTLAARNSSVPTKTIRRHVDLLVGAAAFCSAMYVGDL -CGSVFLVSQLFTFSPRRHETVQDCNCSLYPGHVSGHRMAWDMMMNWSPTAALVVSQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMAGNWAKVLIVMLLFAGVDGSTHVTGGAQGHSIWRLTSLFSLGPTQRIQLVNTNGSW -HINRTALNCNDSLQTGFIAALFYANKFNSSGCPERLASCRPIDKFAQGWGPITYAEPGSSDQRPYCWHYA -PRPCGIVPASEVCGPVYCFTPSPVVVGTTDRSGVPTYTWGENETDVLLLNNTRPPQGNWFGCTWMNGTGF -TKTCGGPPCNIGGVGNNTLTCPTDCFRKHPEATYAKCGSGPWLTPRCMVDYPYRLWHYPCTVNFTIFKVR -MYVGGVEHRLNAACNWTRGERCDLEDRDRSELSPLLLSTTEWQILPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSAVVSFVIKWEYVLLLFLLLADARVCACLWMMLLIAQAEAALENLVVLNAASVAAGHGVLSFLV -FFCAAWFIKGRLVPGAAYALYGVWPLLLLLLALPPRAYAFDTEIIGGLTIPPVVALVVMSRFGFFAHLLP -RCALVNSYLWQRWENWFWNVTLRPERFFLVLVCFPGATYDALVTFCVCHVALLCLTSSAASFFGTDSRVR -AHRMLVRLGKCHAWYSHYVLKFFLLVFGENGVFFYKHLHGDVLPNDFASKLPLQEPFFPFEGKARVYRNE -GRRLACGDTVDGLPVVARLGDLVFAGLAMPPDGWAITAPFTLQCLSERGTLSAMAVVMTGIDPRTWTGTI -FRLGSLATSYMGFVCDNVLYTAHHGSKGRRLAHPTGSIHPITVDAANDQDIYQPPCGAGSLTRCSCGETK -GYLVTRLGSLVEVNKSDDPYWCVCGALPMAVAKGSSGAPILCSSGHVIGMFTAARNSGGSVSQIRVRPLV -CAGYHPQYTAHATLDTKPTVPNEYSVQILIAPTGSGKSTKLPLSYMQEKYEVLVLNPSVATTASMPKYMH -ATYGVNPNCYFNGKCTNTGASLTYSTYGMYLTGACSRNYDVIICDECHATDATTVLGIGKVLTEAPSKNV -RLVVLATATPPGVIPTPHANITEIQLTDEGTIPFHGKKIKEENLKKGRHLIFEATKKHCDELANELARKG -ITAVSYYRGCDISKIPEGDCVVVATDALCTGYTGDFDSVYDCSLMVEGTCHVDLDPTFTMGVRVCGVSAI -VKGQRRGRTGRGRAGIYYYVDGSCTPSGMVPECNIVEAFDAAKAWYGLSSTEAQTILDTYRTQPGLPAIG -ANLDEWADLFSMVNPEPSFVNTAKRTADNYVLLTAAQLQLCHQYGYAAPNDAPRWQGARLGKKPCGVLWR -LDGADACPGPEPSEVTRYQMCFTEVNTSGTAALAVGVGVAMAYLAIDTFGATCVRRCWSITSVPTGATVA -PVVDEEEIVEECASFIPLEAMVAAIDKLKSTITTTSPFTLETALEKLNTFLGPHAATILAIIEYCCGLVT -LPDNPFASCVFAFIAGITTPLPHKIKMFLSLFGGAIASKLTDARGALAFMMAGAAGTALGTWTSVGFVFD -MLGGYAAASSTACLTFKCLMGEWPTMDQLAGLVYSAFNPAAGVVGVLSACAMFALTTAGPDHWPNRLLTM -LARSNTVCNEYFIATRDIRRKILGILEASTPWSVISACIRWLHTPTEDDCGLIAWGLEIWQYVCNFFVIC -FNVLKAGVQSMVNIPGCPFYSCQKGYKGPWIGSGMLQARCPCGAELIFSVENGFAKLYKGPRTCSNYWRG -AVPVNARLCGSARPDPTDWTSLVVNYGVRDYCKYEKMGDHIFVTAVSSPNVCFTQVPPTLRAAVAVDGVQ -VQCYLGEPKTPWTTSACCYGPDGKGKTVKLPFRVDGHTPGVRMQLNLRDALETNDCNSTNNTPSDEAAVS -ALVFKQELRRTNQLLEAISAGVDTTKLPAPSIEEVVVRKRQFRARTGSLTLPPPPRSVPGVSCPESLQRS -DPLEGPSNLPPSPPVLQLAMPMPLLGAGECNPFTAIGCAMTETGGGPDDLPSYPPKKEVSEWSDESWSTA -TTASSYVTGPPYPKIRGKDSTQSAPAKRPTKKKLGKSEFSCSMSYTWTDVISFKTASKVLSATRAITSGF -LKQRSLVYVTEPRDAELRKQKVTINRQPLFPPSYHKQVRLAKEKASKVVGVMWDYDEVAAHTPSKSAKSH -ITGLRGTDVRSGAARKAVLDLQKCVEAGEIPSHYRQTVIVPKEEVFVKTPQKPTKKPPRLISYPHLEMRC -VEKMYYGQVAPDVVKAVMGDAYGFVDPRTRVKRLLSMWSPDAVGATCDTVCFDSTITPEDIMVETDIYSA -AKLSDQHRAGIHTIARQLYAGGPMIAYDGREIGYRRCRSSGVYTTSSSNSLTCWLKVNAAAEQAGMKNPR -FLICGDDCTVIWKSAGADADKQAMRVFASWMKVMGAPQDCVPQPKYSLEELTSCSSNVTSGITKSGKPYY -FLTRDPRIPLGRCSAEGLGYNPSAAWIGFLIHHYPCLWVSRVLAVHFMEQMLFEDKLPETVTFDWYGKNY -TVPVEDLPSIIAGVHGIEAFSVVRYTNAEILRVSQSLTDMTMPPLRAWRKKARAVLASAKRRGGAHAKLA -RFLLWHATSRPLPDLDKTSVARYTTFNYCDVYSPEGDVFITPQRRLQKFLVKYLAVIVFALGLIAVGLAI -S ->ACH97857.1 RNA-dependent RNA polymerase, partial [Hepacivirus C] -PIDTTIMAKNEVFCVEPEKGGRKPARLIVFPDLSVRVCEKMALYDVVSKLPQAVMGSSYGFQYSPGQRVE -FLVQAWKSKKTPMGFXYDTRCFDSTVTESDIRTEEAIYQCCDLDPQARVAIRSLTERLYVGGPLTNSRGE -NCGYRRCRASGVLTTSCGNTLTCYIKAQAACRAAGLRDCTMLVCGDDLVVICESAGVQEDAASLRAFTEA -MTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDGAGKRVYYLTRDPTTPLARAAWETARHTPVNSWLGNI -IMFAPTLWARMILMSHFFSVLIARDQLEQALDCEIYGACYSIEPLDLPLIIQRLHGLSAFSLHSYSPGEI -NRVAVCLRKLGVPPLRAWRHRARSVRAKLLSRGGRAAICGXYLFNWAVKTKL ->AGV23520.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTTRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPNWGPTDPRHRSRNLGKVIDTLTCGLADLMGYIPIV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCLTVPASAVEVKNNSDSYMVTNDCSNR -SITWQLHEAVLHVPGCVPCENHNGTFRCWIPVTPNVAVRRRGALTKGLRTHIDTIVMSATLCSALYVGDV -CGAVMIAAQAFIASPTRHTFVQNCNCSIYPGHITGHRMAWDMMMNWSPTIALIAAYAARVPEVVLEIIFG -GHWGVLFGLAYFSMQGAWAKVVAILLLTAGVDAQTRTVGGQAASVVSSLTSMFSPGPQQNLHLINTNGSW -HINRTALNCNDSLKTGFIASLFYVHRFNSSGCQERMSSCRSLDSFRKGWGPLDHEHNVTNDGDMRPYCWH -YPPKPCGVVDARTVCGPVYCFTPSPVVVGTTDKFGAPTYNWGENETDVFLLNSTRPPGGAWFGCTWMNGT -GFTKTCGAPPCRIRKDFNASEDLLCPTDCFRKHPEATYIKCGAGPWLTPNCMVHYPYRLWHYPCTVNFTI -FKIRMFVGGVEHRLEAACNFTRGQRCNLEDRDRSQLNPLLHSTTEWAILPCTFSDLPALSTGLVHLHQNI -VDVQYLYGLTPAITSFLVKWEWVVLLFLLLADARVCACFWMIILLGQAEAALEKLVVLHSASAASANSFF -SFVIFFIAAWYFKGRVVPATTYSLLGLWSFLLLVLALPQRAYALELQEQGQLGVIMLIAITIFTLTPAYK -TLLSKFLWWLCYMLALAEALIQEWAPPLVVRGGRDGVIWAMVMLRPGVTFEITKWLLATLGPAYLLKYTL -MQVPYFVRAQALLRVCALVKNLAGGKYVQMALLTLGRWTGTYIYDHLSPMSTWASQGLRDLAIAVEPITF -SPMERKIIVWGAETVACGDILQGLPVSARLGREILLGPADSYTSKGWRLLAPITAYAQQTRGLLGTIVVS -LTGRDKTDQAGEVQVLSSVTQSFLGTTISGVLWTVFHGAGNKTLAGPRGPVTQMYTSAEGDLVGWPSPPG -TKSLDPCPCGASDLYLVTRNADVIPVRRRGDRRGALLSPRPLSSLKGSSGGPVLCPRGHAVGIFRAAVCS -RGVAKSIDFIPVESLNIVTRSPSFSDNSTPPAAPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNP -SVAATLGFGAYMSKAHGINPNIRTGVRTVTTGDPITYSTYGKFLADGGCSPGAYDVIMCDECHSVDSTTI -LGIGTVLDQAETAGAKLVVLATATPPGSVTTPHPNIEEVALGQEGEIPFYGKAIPLAYIKGGRHLIFCHS -KKKCDELAAALRNMGLNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQIVDFSL -DPTFTITTQTVPQDSVSRSQRRGRTGRGRLGTYRYVSQGERPSGMFDSVVICECYDSGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQSGENFAYLVAYQATVCARAQAPPPSWDT -MWKCLIRLKPTLVGPTPLLYRIGSVTNEVTLTHPITKYIATCMQADLEIMTSTWVLAGGVLAAVAAYFLA -TGCFVVIGRLHINERTVIAPNKEVLYEAFDEMEECASKAAFIEEAQKIADMLKSKIQSVLQQATKQAQDI -QPVVQASWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASMMAFSAALTSPLSTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPAI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVTQLLGSLTITSL -LRRLHTWISEDCPIPCSGSWLRDVWDWVCTILTDFKNWLSCKLLPKLPGLPFVSCQRGYRGVWAGTGIMT -TRCPCGANISGNVRMGTMKITGPKTCMDTWQGAFLINCYTVGHCVPKPAPNYKTAIWRVSATDYAEVTRH -GSSSYVTGLTTDNLKVPCQVPMPEFFSWVDGVQIHRFAPPPGPFFRDEVTFSVGLNSYVVGSQLPCEPEP -DTEVLASMLTDPSHITAEAARRRLARGSPPSEASSSASQLSAPSLKATCSAHGRNMDTDMVDANLFMGAS -VVRAESEDKVVIFDSLDSVVEAEDEREPSVPSEYMLPRKRFPPALPAWAAPEYNPPLVENWKKSDYQAPT -VMGCALPPSDRVPVPPPRRRRAIVLTQSNVGEVLKDLADKAFSQPSRPTDSGNSTGVNTEPDSTQQASDE -DLRSETGSHSSMPPLEGEPGDPDLEPEPVEDPIVITDGPEDPGSDSNSWSTCSDDQESMVCCSMSYSWTG -ALITPCNPEEEKLPVNPLSNSLMRYHNKVYSTTSKSASARAKKVTFDRMQVLDTHYEAVLKDIKLAASKV -SARALSVEEACKLTPPHSARSKYGFGAKEVRSLSRRAVNHIKSVWEDLLEDQQTPISTTIMAKNEVFCVD -PAKGGKKPARLIVFPDLGVRVCEKMALYDIAQKLPRAVMGDAYGFQYSPDQRVEFLLNAWRNKREPMGFS -YDTRCFDSTVTERDIRTEESIYQSCSLTEEVRAAIHSLTERLYVGGPMLNSKGQSCGYRRCRASGVFTTS -MGNTITCYLKAQAACRAAGINNPTMLVCGDDLVVISESQGTEEDERNLRVFAEAMTRYSAPPGDPPQPEY -DLELITSCSSNVSVALDHRGRRRYYLTRDPTTPLARAAWETVRHSPVNSWLGNIILYAPTIWVRMVFLTH -FFAILLAQDTLNQNLNFEMYGAVYSVTPLDLPAIIERLHGLEAFSLHSYSPTELTRVAAALRKLGAPPLR -AWKSRARAVRASLISQGGRAAICGRYLFNWAVKTKLKLTPLPEARRLDLSSWFTVGAGGGDIYHSVSHAR -PRLLLLGLLLLTVGVGIFLLPAR ->AKH10571.1 polyprotein [Bovine hepacivirus] -MEVSVSSSTQTRSRSRSRRRRASRSRSRRRPGVTVVVPTSTDGGRRRRRGRNRDYAWPYIDTGLSYVVGA -LTPVGSPSHDPYRRSQNIGRLIDGPLSWAADVCRKFPLVGPPLGWCARVVGRAVRVCEDFVNGLTRSTVG -MSIFVLCLCSVAVSGYRQVKIGAYYQLTNCCQDSDVLYSTQEFAYHLPGCVVCVGTDCWDPVTVFLSVKP -NGSTYDHHLRNHVLALGVGAAVCDMLDVNDQCGMLAVSLISLFDILPTHLTLNTTSTCYLEVDYSPFGTI -VGWVNWAVNEAGVVTWALSIVTRIPYAVFHLMELSSTPFLIAVVWFAIQGQWARLLLLAVLVVEATTTEC -SRHDDTSRNATAKWGHMTSCSLQMIPCKCRNVTYSVEDMWCFGDAVVNVPIYRDQAGGKNVSLARLPYLP -GLRGCEYKVGGTWSCCSLMDRDPICKQHHCPTDCTWKDPALTWERCGVVSCITSYHAGDGSASCAAWIVR -AAMWDTFAISFDDKNWINVRYNKSMSNNITTAARLPGARRLYSGMWVFSPNGTYFPLGDLATGLISKAKH -DPNMQIIYSGGGSLAVPHLETTLFLALVAGVFRSKSTLLWILFISLWKSATAALLAPWVTAVAIASTWDD -WYVRLLIYLFLCKSRRPYRFLLCNKFPLTIFLLLMNVLGVTALDSDYTTHVPLAFVTFLALVGSGLFATT -APSVSFTCHYLLEWLSCISYSLESNHFIFMLALAVSPTLMLDVSMGLLAIHCSVWIFAVTVPNFMLPKHR -STYEILLKFAKVLPAGRWIQRIVLFVAGEAGVVWYRHLGQHLDLLPGVDQLNGDPYGAIRSRLDYFEDAG -HRYACGDLVRGRPVLGRQGSLVAFGWSTLPAGFAPCSPIGVRQVSRRGELKTWAVSLTGIDTALWEGNIF -TMGTMSRRYMGFTCGGLLHTVCHGAGHRSLASTSGALPPVVFDDGADYAMHMAPRGAKDLDVCTCTATSG -FMVTRVGTVIPLKLSAQNLWAVQAPLALSICKGSSGAPVLCSAGHVIAMVQRCRAVSGSVAYVCTTPVRH -TAVGKPAPTRTDLSAPPTIGTSWEVQTVYAPTGSGKTTLLPMHYVRKGYSVLVLNPSVATTMSMPAYMKD -SFGINPNLKAAEMTLNTGARLTYSTYGRFLADGKNNINFDVVICDECHATDSTTILGIGAVLNLTPNTKC -KLVLLATATPPGQPVLPHPNIQEVELDDVGDINFHGRKLKLATYKTGRHLIFQNSKKHCEALAADLRSRG -LRAVAYYRGLPISTIPTEGDCIVVATDALMTGYTGNFDSVTDCNLATIEDVEVDFDPTITVALKTVPANS -VTRAQRRGRTGRGAPGVYYYVTQDTPLSGTVSEASVFGAFDSGMAWFGMSPAEVLEHLDHYRVTPGLPIL -KGVLSEISAFYAALGWVTPAFLAKARIHSTSFTWLNAAQRQICYENGCNPPDPQSPIWKGVRVTGEPCRI -LCHLEGHQPLQGPVPEIVNQLQLAFTELDVWGAGIAASAASVFALGLALDYFGSVAITSAMILDSGEPPN -APEPADDDDGFEECWGLPEFAEPVVTRCRIWVERVQLWAAGMAKSGAVNDINNWFATNLITIASALQLGA -GILISPDNPLLAGLLSFSGAVTLPLSRSLQAIVITLGAILTARLTTAEGAVFIAGATLAGFQLSGTPLLS -LLFSVLGTYASFVPAFGVVFKLLDGQLPSTVELASLINCAFAPGAAVAAIAVAVGAIALTQGTGVVWMNR -LLSMVAKSNVISPDYFVEARDIRLTIKMLFEKIHPWNVVKTAIKFLTTPTDVPCGFNIFDCFYSVWHVLC -KWAKALYEALVGGMKRALSLPGVPVAGCQAGYTGPWKGEGMITTRCGCGRELVYAIEYGTAKVISGSKLC -RNYWTSAVPVNNTTSGCAKPAPSSWKTMTVQVGFGFVEYRMDGDTVSVVATSSPDVTIPRTIPRVFSAAA -INGRRTDPYSGEPNTPWRGEVWRDTGSGRERVKLPYALRDYETDCRIARAFEMGGESPNEDPFTTDVGVV -HIQQAVSNERPEDILEGPSDNPLDPPDVAAERDKKDREVAQRSDVGTDGLQVAESYAWGGLEPFRQLQIF -DTPPPPYTKPHSVSFVSLSSPGAPVATTHPSDDGATKIVLSGRLTPPDRAPSVVSYSDMPSLEPSVSGTE -HSCSGWRDASLPAPSEDSLSALGAAAPKECSYSYVWDGLPYVAHLAKRSFSAVAAITHGLVSRNLVYVTS -PENVIERIKKVTRFRPPATPNPHLDNLTKQAVKLVSTLDMQPMTYWEASAITSSRTARSAVTGHTGHDVK -SGAARRDVEQLYATLEKESLQRPYNEVVVMPKSEVFMRNKPTEKPPRIIAYPHLETRVAEKMVLGNIGPG -TVKKVLGKAYGFVPPKDRINRLLTMWRRPNPMAFACDVITFDSTITPEDVDREARLYAAATKDSATRARI -WNLHKFYASGPMVDQRGNYLGTRNCRASGVFTTSSSNTMTAYLKVKAAAMTAGFTRPEFIVAGDDVVVVT -QAEPVPDRDKRKLAIFANAMKDMGAPIELPSPKYSLEDVTSCSSNVTTGFTRDGRQVFFLTRDPSVPFAR -CSAEGEGFNPMGAWVGNLLWHFPCLWVRIISTHMLETYLESDFPPEITTDWYGRQVSLKIQDLPYILTAM -HGNDGFDVVRFTPYEMNRVANTLKACHMKPLRWWRKRARTIRAACFRKGGLHKFLAYHLLSFASNAVRQP -LDPKAVSRFSVELGADVEEPIVIGKPPRPPWIGAIVLSAVALLFFLLKH ->AFD18573.1 polyprotein precursor [Hepacivirus C] -MSTNPKPQRITKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -TTGKSWGRPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCITVPTSAAEVRNISGLYTVTNDCSTN -NIVWQLKEAVLHAPGCVPCEDRDNNLRCWIPITPNVAVSQPGAXTRGLRTHIDTIVMSATLCSALYVGDV -CGAVMIAAQVFIASPEHHKFVQGCNCSIYPGHLTGHRMAWDMMMNWSPTVTMAAAYLMRVPEVLLEIIGG -MHWGVMFGLAYFAMQGAWAKVIVILLLTSGVDAATTLSGSAVGSSTSGFVKLFTPGPSQNLQLIKTNGSW -HINRTALNCNDSLNTGFIASLFHYGKFNSSGCPERMASCRSLEAFRIGWGPLRYEESVTNDEDMRPYCWH -YPPKPCGTVPARSVCGPVYCFTPSPVVVGTTDKFGAPTYTWGENETDVFVLNSTRPPRGAWFGCTWMNGT -GFTKTCGAPPCRIRPDFNTSVDLLCPTDCFRKHPDATYIRCGAGPWLTPKCLIDYPYRLWHYPCTVNYTT -FKIRMYVGGVEHRVNAACNFTRGDRCNLEDRDRALVSPLLHSTTEWAILPCTYADLPALSSGLIHLHQNI -VDVQYLYGLSPAITHYIVRWEWVVLLFLLLADARVCACLWMLILLGQAEAALEKLVVLHAASAASSNGVL -YFILFFVAAWYIKGRAVPLVTYSFLHLWPFVLLLLALPRQAYASDAVEQGQIGLVLPAVISSSSGIAYSI -LFFVTARYITGRAVLLAAHXPLHLWPFVLLLLTLPQQAHALDVAEQGQIGLVLLAVISAFTLTPAYKALL -SRSLWWLCYMLVLAEALIQEWVPPLQAGGGRDGIIWAAAMLYPGVVFDITKWLLALLGPGYLLKGVLARV -PYFVRAQALLRVCALVKHLAGGKYVQLMLLYLGRWTGTYIYDHLSPMSDWAASGLRDLAVAVEPIIFSPM -EKRIITWGAETAACGDVIHGLPVSARLGREVLLGPADKYTSRGWKLLAPITAYAQQTRGLLGTIVVSLTG -RDRTEQAGEVQVLSTVTQSFLGTSISGVLWAVFHGAGNKTLAGSRGPVTQMYSSAEGDLVGWPSPPGTKS -LEPCTCGAVDLYLVTRNADVIPARRKGDRRGALLSPRPLSSLKGSSGGPVLCPRGHAVGIFRAAVCTRGV -AKSIDFIPVESLDTITRSPSFTDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGSYMSKAHGINPNIRTGVRTVTTGEPITYSTYGKFLADGGCSAGAYDIIICDECHAVDATTILGI -GTVLDQAETAGVRLTVLATATPPGSVTTPHSNIEEVALGHEGEIPFYGKAIPLSNIKGGRHLIFCHSKKK -CDELAAALRGMGLNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQVVDFSLDPT -FTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSSGERASGMFDSVVLCECYDSGASWYELTPAETTVRL -RAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGDNFAYLAAYQATVCARAKAPPPSWDTMWK -CLIRLKPTLTGPTPLLYRLGPVTNDVTLTHPVTKYIATCMQADLEIMTSSWVLAGGVLAAVAAYCLATGC -VSIIGRIHINQRSVVVPDREVLYEAFDEMEECASRAALVEEGQRIAEMLKSKIQGLLQQATKQAQDVQPA -VQTAWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNVMGGWLASQ -IAPPAGATGFVVSGLVGAAVGSIGLGKILVDILAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPGILSP -GALVVGIICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVQESDASRRVMDALGSLTITNLLRR -LHHWITEDCPVPCSGSWLRDVWDWICTILADFKNWLSAKLFPKMPGIPFISCQKGYRGVWAGTGIMTTRC -SCGANISGHVRFGSMRITGPKTCMNTWQGTFPINSYTEGQCVPKPSPNFKTAIWRVAATEYAEVTQHGQY -AYVTGLTADNLKVPCQIPQPEFFSWVDGVQIHRHAPQPKPFFRDEVSFSVGLNTFVVGSQLPCDPEPDTE -VLASMLTDPSHITAEAAARRLARGSPPSEASSSASQLSAPSLRANCATHAKACDLDMVDANLFCWCSMGG -DITRVESESKVLILDSLDPVVEKESELEPSIPSEYLLPKKKRFPPALPPWARPDYNPPLLETWKRPDYKP -PVVAGCALPPPKQAPVPPPRRRRAVVLDQSTVGEVLRELAIKSFGQPAPSGDSGHSPGPSTAGITGQTHS -DGSADSETGSDISMPPLEGEPGDPDLESAAVERPPPPSEEEDVPDQNSGSWSTCSDQSDSIVCCSMSYSW -TGALVTPCSPEEEKLPINALSNSLLRFHNKVYCTTSKSAVQRMKKVTFDRVQQLDTYYDSVLKDVKLAAS -KVSANLLSVEDACALTPPHSARSKYGYGAKEVRSLSRRAINHIKSVWEDLLEDHDTPIPTTIMAKNEVFC -VDPSKGGKKAARLIVYPDLSVRVCEKMALYDITQKLPKAVMGXSYGFQYSPNQRVEFLLRAWKDKKSPMG -FSYDTRCFDSTVTERDIKTEESIYLSCSLPEQARTAIRSLTQRLYVGGPMLNSKGQSCGYRRCRASGVLT -TSLGNTLTCYVKALAACKAAGIVSPTLLVCGDDLVVISESQGTEEDERNLRAFTEAMTRYSAPPGDPPKP -EYDLELITSCSSNVSVAFDPRGCRRYYLTRDPITPFARAAWETVKHSPVNSWLGNIIQYAPTIWVRMVLM -THFFSVLSAQDTLNQSLDFEMYGAVYSVSPLDLPAIIERLHGLDAFSLHTYSPHELTRVAAALRKLGAPP -LRAWKSRARAVRASLIVRGGKAAICGRYLFNWAVRTKLKLTPLPEARLLDLSSWFTVGAGGGDIYHSVSR -ARPRILLLGLLLLSVGVGLFLLPAR ->AID60273.1 polyprotein [Hepacivirus C] -MSTNPKPQRQTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAAKALAHGVRVLEDGVNYATGNIPGCSFSIFLLALLSCLTVPASAYEVRNSSGLYHVTNDCPNA -SIVYEAGDAILHLPGCVPCVREGNTSRCWVPVTPTVATKDGGLPTTQLRRHVDLLVGSATLCSALYVGDF -CGAVFLVGQLFSFTPRQHWTTQECNCSIYTGHVTGHRMAWDMMMNWSPTVALVTAQVLRIPQTVMGIITG -AHWGVLAGLAYFSMVGNWAKVLVVLLLFSGVDAVTTTTGGAAAHTANTLTSLFTRGSQQNIQLVNTNGSW -HINRTALNCNDSLNTGFIAALFYTHKFNSSGCVERMASCLPLAHFAQGSGPISYADERPSDQRPYCWHYP -PRRCGIVPAQSVCGPVYCFTPSPVVVGTTDKRGVPTYSWGENETDVFILNTTRPPAGNWFGCTWMNSTGF -TKTCGAPPCSIGGAGNNTLLCPTDCFRKHPEATYSKCGSGPWLTPRCLVDYPYRLWHYPCTVNYTLFKIR -MYVGGVEHRLTAACNWTRGERCDLEDRDRSELSPLLLSTTQWQILPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSAIVSWAIKWEYIVLLFLLLADARVCACLWMMMLVAQAEAALENLVVLNAASLAGAHGIVPFLV -FFCCAWYLKGRWAPGAAYAFYGVWPLLLLLLALPQRAYAMEAETAASCGGAVLVCLIVLTLSPEYKRCIF -LCLWWLQYFLARAEAALHTWVPPLIARGGRDGVILLMCVIHPRLVFDITKLLLAVLGPLWVFQASLLRVP -YFVRAHALLRACALVRKVVGGHYVQMAILKIGALTGTYIYNHLTPLQDWAHSGLRDFATAVEPVTFSQME -TKLITWGADTVACGDIINGLPVSARRGKEILLGPADGMVSKGWRLLAPITAYAQQTRGLLGCIVTSLTGR -DKNQVEGEVQIVSTASQTFLATTINGVLWTVYHGAGSKTLATARGPAIQMYTNVDKDLVGWAAPGGAHSL -VPCTCGSSDLYLVTRHADVIPVRRRGDGRGSLLSPRPISYLKGSSGGPLLCPSGHAVGLFRAAVCTRGVA -KAVDFIPVEALETAQRSPVFTDNSSPPAVPQTYQVAYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSRAHGIDPNIRTGVRTITTGSSITYSTYGKFLADGGCGGGAYDIIICDECHSTDATTILGIG -TVLDQAETAGARLTVLATATPPGSVTVPHPNIEEVALSTTGEIPFYGKAIPLEVIKGGRHLIFCHSKKKC -DELAAKLVGLGVNAVAYYRGLDVSIIPTSGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTLPQDAVSRTQRRGRTGRGRPGIYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTPAETTVRLR -AYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGGVQNEVTLTHPITKYIMTCMSADLEVVTSTWVLVGGVPAALAAYCLSTGCV -VIVGRIVLSGKPAVIPDREVLYREFDEMEECSTHLPYIEQGMALAEQFKQKALGLLQTASRQAETIAPAA -QTTWQKLETFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTSQTLLFNILGGWVAAQL -AAPGAATTFVGAGLAGAAVGSVGLGKILVDILAGYGAGVAGALVAFKIMSGEVPSTEDLINLIPSILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDASARVTAILSSLTVTQLLKRL -HQWISSDCTTPCSGSWLRDIWDWVCEVLSDFKXWLKAKILPQMPGIPLLSCQRGYRGIWRGDGIMNTRCP -CGADISGHVKNGSMRIVGPKTCSNTWRGSFPINAYTTGPCTPLPAPNYRFALWRVSAEEYVEVRQVGDYH -YVTGMTTDNLKCPCQVPAPEFFTELDGVRLHRYAPPCKPLLRDEVSFQVGLHSYPVGSQLPCEPEPDVSV -VTSMLTDPSHITAEAAGRRLRRGSPPSLASSSASQLSAPSLKATCSANHNSPDTDLVEANLLWWQEMGGN -ITRVESENKVVILDSFEPLKTEEPEREVSVPAEILRRSKRFTAALPVWASPDYNPPLLETWKRPDYEPPV -VHGCPLPPKPIPPVPPPRKKRTVVLTESTVSSALAELATKTFGGPXSSGVASDATPSSSEPAPQDRGQES -DAESYSSMPPLEGEPGDPDLSDGSWSTVSGESNAEDVVCCSMSYTWTGALVTPCAAEESKLPINALSNSL -LRHHNLVYSTTSRSASQRQKKVTFDRLQVLDQHYQDVLKEVKAKASTVKAKLLSVEEACSLTPPHSARSK -FGYGAKDVRSHARKAVNHIRSVWQDLLEDNETPIDTTIMAKNEVFCVAPEKGGRKPARLIVFPDLGVRVC -EKMALYDVVKTLPTKVMGEAYGFQYSPTQRVDFLVKAWKSKRTPMGFSYDTRCFDSTVTEHDIRTEEEIY -QCCDLDPQARVAIKSLTERLYVGGPLTNSKGENCGYRRCRASGVLTTSCGNTLTCYIKARAACRAAGLRD -CTMLVCGDDLVVICESVGIQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLESITSCSSNVSVAHDGTGKR -VYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARMILMTHFFSILIAQDTLEQALDCEIYGT -CYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRARLLSRGGRAAI -CGRYLFNWAVKTKLKLTPIAAASRLDLSGWFTAGFSGGDIYHSVSRARPRWLYCSLLLLVVGVGIYLLPN -R ->AFN53805.1 polyprotein [Hepatitis C virus subtype 4n] -MSTNPKPQRKTKRNTNRRPTDVKFPGGGQIVGGVYLLPRRGPRLGVRAPRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGSNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTVPASAVHYRNSSGIYHITNDCPNS -SIVYEADHHILHLPGCVPCVRIGNVSRCWVSLTPTVAAPHLNAPLQSLRRHVDVMVGAATMCSALYIGDL -CGGLFLIGQAFTFQPRRHWTTQDCNCSIYSGHITGHRMAWDMMMNWSPTATLVIAQIMRIPQVILDLLSG -GHWGVLVGVAYFSMQANWAKVILVLFLFAGVDADTMVTGGQAGSMTRHVTGLFTPGARQNLQLVNSNGSW -HINRTALNCNDSLNTGFLAGLFYTHKFNSSGCPERLASCKPLGGFPQGWGTLGVANNNGSSEDRPYCWHY -PPRQCGVVSASTVCGPVYCFTPSPVVVGTTDRRGVPTYTWGENETDVFILNSTRPPGGAWFGCVWMNGTG -FTKTCGAPPCVVRTSNNTWQCPTDCFRKHPETTYLKCGSGPWLTPRCLVDYPYRLWHYPCTINYTIFKIR -MYVGGIEHRLNAACNWTRGEACDLEHRDRAEISPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSAVVSWALKWEYVVLAFLLLADARVCACLWMMLLVSQVEAALTNLININAMSAAGTHGIVYAVL -FVCVAWHIKGRLSAAFTYASCGMWPLLLLLLLLPERAYALDGEVAGFLGGTMVILLAVLTLSPYYKRWLA -RVVWWLQYFIARIEAAVHVYIPSLEVRGSRDSLIILVALFHPHLAFEVTKHLLAIVGPLYLLQTSLLRVP -YFVRAHALIKICSLVRGLVYGKYVQMVLLRLGALTGTYIYDHLTPISSWAAGGLKDLAVALEPVIFTPME -KKVIVWGADTAACGDIIRGLPVSARLGNEILLGPADSETSKGWRLLAPITAYAQQTRGVCSTIVTSLTGR -DTNDNCGEVQVLSTATQSFLGTSVNGVMWTVYHGAGSKTLCGPKGPVCQMYTNVDQDLVGWPSPPGVKSL -SPCTCGASDLFLVTRHADVIPVRRRGDTRGALLCPKPISTLKGSSGGPLLCPLGHAVGIFRAAVCTRGVA -KAVDFVPVESLETSMRSPVFSDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAYGIDPNIRSGVRTVTTGAPITYSTYGKFLADGGCGGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGARLVVLATATPPGSVTTPHSNIEEVALPTTGEIPFYGKAIPLDVIKGGRHLIFCHSKKKC -DELQKQLSSLGLNAVAYYRGLDVSVIPTSGDVVVCATDALMTGFTGDFDSVIDCNTSVIQTVDFSLDPTF -TIETTTLPQDAVSRSQRRGRTGRGRLGIYRFVTPGERPSGIFDSSVLCECYDAGCAWYELTPAETTVRLR -AYFNTPGLPVCQDHLEFWEGVFTGLTHVDAHFLSQAKQAGENYPYLVACQATVCARALAPPPSWDTMWKC -LIRLKPTLHGPTPLLYRLGSVQNEVTLTHPVTKYIMACMSADLEVVTSTWVLVGGLLAALAAYCLSVGSV -VIVGRVVLSGQPAIVPDREVLYRQFDEMEECSKHLPLVEHGLLLAEQFKQKALGVLNIAGKQAQEAAPAV -KTNLEKLEQFWCKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLSTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGEMPTTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTTILSSLTVTSLLRRL -HKWINEDCSTPCDTSWLREIWDWVCTVLSDFKTWLKAKLLPRMPGVPFLSCQKGYKGEWRGDGVMHTTCT -CGAEIAGHIKNGSMRIVGPSTCSNTWHGTFPINAYTTGPGVPTPAPNYKFAKWRVSAEEYVEVRRVGDYH -YITGVTQDNIKCPCQVPAPEFFTELDGVRLHRYAPPCKPLLRDEVTFSVGLNTYAVGSQLPCEPEPDVSV -LTSMLTDPSHITAETAGRRLARGSPPSLASSSASQLSAPSLKATCATHPDSPGTDLIESILFWGTGTTRV -ESEGKVMVLDSFEPLVAETDDREVSTAAEILRPSRKFPPALPIWARPDYNPPLVERWKQPSYDPPVVHGC -ALPPEKPTPVPPPRRKRTVVLSESTVSSALADLAEKTFGPAPPDSDSGAGLTTPADTSEPNPIIVDDRSE -DGSFSSMPPLEGEPGDPDLTADSWSTVSESEDVVCCSMSYSWTGALVTPCAAEETKLPISPLSNSLLRHH -NMVYATTSRSATMRQKKVTFDRLQVVDSHYYDVLKEIKIRASTVKARLLSVEEACDLTPPHSAKSKFGYG -AKDVRSHSSKAVNHINSVWKDLLDDQVTPIPTTIMAKNEVFCVKPEKGGRKPARLIVYPDLGVRVCEKRA -LYDPVRQLSTAIMGRSYGFQYSPAQRVDYLLSAWASKKVPMGFSYDTRCFDSTVTEKDVRVEEEVYQCCD -LEPEARKVITALTERLYVGGPMYNSKGDLCGNRRCRASGVFTTSFGNTLTCFLKASAAIRAAGLRDCTML -VCGDDLVVIAESEGVEEDCRALRAFTEAMTRYSAPPGDAPQPAYDLEHITSCSSNVSVAHDATGKRVYYL -TRDPETPLARAAWETVRHTPVNSWLGNIILYAPTIWVRMILMTHFFSILQSQEALERAIDFDMYGVTYSI -TPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGCLRKLGVPPLRAWRHRARAVRAKLIAQGGKARICGLY -LFNWAVKTKLKLTPLPAAAKLDLSSWFTVGAGGGDIYHGVSHARPRYFLLCLLLLTVGVGIFLLPAR ->AJF96714.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRXRRQPIPKDRR -TTGKSWGRPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNLGKVIDTLTCGFADLMGYIPVI -GAPVGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCISXPVSAIQVKNTSESYMVTNDCSNS -SIVWQLQDAVLHVPGCVPCERWGNASRCWIPVTPNIAISQPGALTKGLRTHIDTIVVSATLCSALYVGDA -CGAVMIAAQLFIVSPRYHTFVQDCNCSIYPGHITGQRMAWDMMMNWSPTSAMALAYLLRIPETVLEIITG -GHWGVMFGLAYFSMQGAWAKVIVILLLTAGVEATTNVVGGXTAQXXRSIXGLFSPGAQQNIQLIHSNGSW -HINRTALNCNDSLHTGFIASLFYTHKFNSSGCPERLASCRRLEDFRIGWGALEYEDNVTNSGDMRPYCWH -YPPKPCGIVPARSVCGPVYCFTPSPVVVGTTDRRGVPTYNWGENETDVFLLNSTRPPQGAWFGCTWMNGT -GFTKTCGAPPCRVRGDFNASVDLLCPTDCFRKHPEATYLKCGAGPWLTPKCLVDYPYRLWHYPCTVNFTI -FKIRMYVGGVEHRLSAACNFTRGDRCELQDRDRSQLSPLLHSTTEWAVLPCTFSDLPALSTGLLHLHQNI -VDVQYMYGLSPAITKYVVKWEWVVLLFLLLADARVCACLWMLLLLGQVEAALEKLITLHAASAASSHGLL -YFFLFFVTAWXIKGRVVPFTTYSLLGMWPFALLLLALPQQAYALNAEEQGQIGLVLLAIISXVTLTPAYK -ILICRCLWWLSYMLVLAEALIQQWXPPLEVRGGRDGMIWAATMFCPGVVFDITKWLLAILGPGYLLRHVL -TXTPYFVRARALLRICAAVKHLAGGKYIQMALIAIGNWTGTYIYDHLSPMAXWAATGLRDLAIAVEPIVF -SPMEKKVIIWGAETAACGDIIYGLPVSARLGREVLLGPADEYVSKGWKLLAPITAYAQQTRGLLGAIVVS -LTGRDKTECAGEVQVLSTVTQSFLGTSISGALWTVFHGAGNKTLAGSRGPVTQMYTSAEGDLVGWPSPPG -TKSFEPCTCGAVDLYLVTRNADVIXARRRGDRRGALLSPRPLSSLKGSSGGPVLCXRGHAVGIFRAAVCS -RGVAKSIDFIPVETLDIVARSPSFTDNSTPPAVPQXYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNP -SVAATLGFGAYMSKAYGINPNIRTGVRTVTTGXSITYSTYGKFLADGGCSGGAYDIIICDECHAVDSTTI -LGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPNIEEVALGHEGEIPFYGKAIPLANIKGGRHLIFCHS -KKKCDELAAALRGMGLNAVAYYRGLDVSIIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQVVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSAGERASGMFDSVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHMDAHFLSQTKQSGENFPYLVAYQATVCARAKAPPPSWDT -MWKCLIRLKPTLVGPTPLLYRLGAVSNEVTLTHPVTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCLA -TGCVVIIGRVCVNXRTVIAPDKEVLYEAFDEMEECASRAVLIEEGQRIAEMLKSKIQGLVQQASRQAQDI -QPTVQSAWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAIGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPGI -LSPGALVVGVICAXILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVTQMLSSLTITXL -LRXXHQWITEDCPVPCSGSWLRDVWDWICXILVDFKNWLSSKLFPKMPGIPFISCQRGYKGVWSGTGIMT -TRCPCGAAISGNVRLGSMRITGPKTCMNTWQGTFPINCYTEGQCVPKPACNFKTAIWRVAASEYAEITQH -GPYAYVTGLTTDNLKLPCQIPAPEFFSWVDGVQIHRFAPTPKPLIRDEVSFSVGLNSFVVGSQLPCDPEP -DTEVLASMLTDPSHITAEAARRRLARGSPPSEASSSASQLSAPSLRATCTXHGEGPDVDMVDVXLFMGGD -VTRVESDXKVLILDSLDSMVEEEDEREPSVPSEYLLPKXRFPPALPPWARPDYNPPLVENWKRPDYQPPT -VAGCALPPSQQAPVPPPRRRRPVVLDQSTVGEALKELAIKSFGQPPPSGDSGHSTGAHTTDSTNQPIPEE -SCDSETGSLSSMPPLEGEPGDPDLEHETVERXPPSXEGEAAPDSXSXSWSTCSEEXXSXICCSMSYSWTG -ALITPSAPEEEKLPINPLSNSLLRFHNKVYCTTSKSASLRAKKVTFDRVQLLDSYYDSTLKDIKLAASKV -SARLLTIEEACALTPPHSARSKYGFGAKEVRSLAKRAVNHIKSVWEDLLADSDTPIPTTIMAKNEVFCVD -PAKGGKKPARLIVYPDLGVRVCEKMALYDITQKLPQAVMGESYGFQYSPAQRVDFLLKAWKQRKSPMGFS -YDTRCFDSTVTESDIRTEEAIYLACSLPEEARKAIHSLTERLYVGGPMLNSKGQSCGYRRCRASGVLTTS -MGNTITCYVKALAACKAAGIVAPTMLVCGDDLVVISESQGAEEDERNLRVFTEAMTRYSAPPGDPPKAEY -DLELITSCSSNVSVALDAHGRRRYYLTRDPTTPLARAAWETAKHSPVNSWLGNIIQYAPTVWARMVLMPH -FFSILMAQDILDQNLNFEMYGAVYSVTPLDLPAIIERLHGLEAFSLHTYSPHELTRVAAVLRKLGAPPLR -AWKSRARAVRASLIVRGGRAAICGRYLFNWAVRTKLKLTPLPEASRLDLSSWFTVGAGGGDIYHSVSRAR -PRLLLFXLLLLLAGVGIFLLPAR ->AFD18577.1 polyprotein precursor [Hepacivirus C] -MSTLPKPQRKTKRNTVRRPQNVKFPGGGQIVGGVYVLPRRGPTLGVRAVRKTSERSQPRGRRQPIPKARP -REGRSWAQPGHPWPLYGNEGCGWAGWLLSPRGSRPTWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRVLEDGINYATGNIPGCSFSIFLLAILSCLTVPASGLEYRNSSGLYVVTNDCSNA -SIIYEAGDVMLHMPGCVPCVRKGNTSQCWIPLSPTVSVSGPGVVTASLRSHVDLMVGAATVCSALYVGDL -CGGLFLVGQAFTFRQRRHWTTQDCNCSMYPGHVTGHRMAWDMMQNWSPAAALVVAQLLRLPQVVFDLLTG -AHWGVLAGLAYFSMQGNWAKVVLVLCLFAGVDANTYATGGRAGYTLYGITSIFSQGPQQKLELVKSNGSW -HINRTALNCNDSLQTGFIAALFYRNNVNTSGCLEMMSSCNPLNWFEQGYGKVRPANITETEDARHHCWHH -APAPCRVVPASHVCGPVYCFTPSPVVVGTTDXLGYPTFTWGANESDVFLLESNRPPQGAWFGCTWMNATG -FVKTCGAPPCNIYDGGXAGNRSLNCPTDCFRKHPEATYLKCGSGPWLTPRCIIHYPYRLWHYPCTVNFTV -FKVRMYVGGVEHRLEAACNWTRGERCDLSDRDRSEISPLLHSTTELAILPCSFAPIPALSTGLIHLHQNI -VDVQYFYGIGSSVTGWVIKWEFVVLVFLLLSDARVCVVFWMLLLVTQAEAALENLVVLNAVSAAGTHGLA -WSLLVFILAWHFKGKIVPLGVYAATGIWPLFLLVAMLPTRAYAWTGEDSATLGCGILLLFGLFTLSPWYK -HWIARLIWWNQYTIARCEASLHVWVPPLLARGGRDGVILATAFLYPTLMFDITKLLLGPLGALYLLQAAL -TRTPYFVRAHVLLRVCALVRNIVGGKYVQMALLTLGRWCGTYVYDHLTPLQDWAATGLADLAVATEPVIF -SPMETKIITWGADTAACGDILCGLPVSARLGREVLLGPADDYRTMGWRLLAPITAYAQQTRGLLGTIVTS -LTGRDKNDATGHVQVLSTTTQTFLGTPVSGVMWSVYHGAGSRTLAGKKTPALQMYTNVDQDLVGWPAPPG -TKSLDPCTCGSSDLYLVTRDADVIPARRRGDSTASLLSPRPVSCLKGSSGGPLLCPMGHVAGIFRAAVCT -RGVAKSLQFVPVETLATQSRSPSFTDNSVPPAVPQTYQVGYLHAPTGSGKSTKVPAAYVAQGYNVLVLNP -SVAATLGFGAYMSQAHGIDPNIRTGTRTVNTGARLTYSTYGKFLADGGCSGGAYDVIICDECHAQDATSI -LGIGTVLDQAETAGVRLTVLATATPPGSVTVPHSNIEEIALSSEGEIPFYGRAIPLAHIKGGRHLIFCHS -RKKCDELASKLAAQGIHAVSYYRGLDVSVIPSTGDVVVCATDALMTGFTGDFDSVIDCNVAVEQYVDFSL -DPTFTIETRTAPQDAVSRSQRRGRTGRGRLGTYRYVSQGERPSGMFDSVVLCECYDVGCAWYELTPAETT -VRLRAYLNTPGLPVCQDHLEFWEGVFVGLTHIDAHFLSQTKQQGVNFPYLVAYQATVCARAHAPPPSWDE -MWKCLVRLKPTLHGPTPLLYRIGAVQNEITLTHPITKYIMTCMSADLEVTTSTWVLVGGLLAALAAYCLS -VGSVVIVGRIELEGRPVLVPDKEVLYQQYDEMEECSAASPYIEQAQIIAQQFKDKVIGLLQYAGKQADEI -QPIAQPYWHKVETFWSKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTASITSPLSTQQTLLFNILGGWV -ATQLASPTASTAFVVSGMAGAAVGGIGLGRVLVDILAGYGAGVSGALVAFKIMSGECPSTEDLVNLLPAI -LSPGALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAAQVTSLLSSMTVTAL -LRRLHQWINDDYPTPCAGGWLYEVWNWICIVLSDFKTWLSSKLMPSLPGIPFLSCQKGFKGTWRGDGVMT -TRCPCGATISGHVKNGSMRLVGPRKCANLWHGTFPVNEYTTGPCTPIPAENYTRALWRVSAGDYAEITKV -GDFHYVTGVTTDNLKCPCQVPAPEFFTEVDGVRVHRYAPACKPLIRDEVSFTVGLHSYVIGSQLPCEPEP -DVTVVTSMLRDPSHITAETARRRLDRGSPPSLASSSASQLSAPSLRATCHTHGGLPDSELVDANLLWRQE -MGSNITRVESETKVVILDSFEPLRAEEDEGEISVPADCFKKPPHYPPALPIWARPDYNPPLIEPWKDSSY -VAPVVHGCALPSGPAPPIPPPRKKRTIALSESTVASELARLATTVLSEQGGTEKLPSSSGLGTLSDATPP -PPLDVDAASDAGSWSSMPPLEGEPGDPDLSAGSWSTVSDEESPSDSVVCCSMSYSWTGALITPCAAEEEK -LPISPLSNSLIRHHNLVYSTSSRSAGLRQKKVTFDRMQVLDDHYKAVLKEVRELASGVQADLLSVEEACS -LTPPHSARSKYGYGAKEVRSLARKAVNHINSVWEDLLADTTTPIPTTIMAKNEVFSVNPQKGGRKPARLI -VYPDLSVRVCEKRCLYDVIRKLPEAIMGPAYGFQYSPAQRVERLLAMWRSKKTPLGFSYDTRCFDSTVTE -QDIRVEESIYQCCQLKPEARKAIKALTERLYCGGPMFNSKGEKCGYRRCRASGVLPTSFGNTITCYIKAM -AACRAAKLQSPCLLVCGDDLVVVAESAGVNEDRAALRAFTEAMTRYSAPPGDEPQPIYDLELITSCSSNV -SVAHDSTGKRVYYLTRDCDIPLARAAWETARHTPVNSWLGNIIMYAPTLWVRMVLMTHFFSILQAQEQLE -KALDFQMYGATYSVTPLDLPAIIQRLHGLSAFTLHSYSPHELNRVAGALRKLGAPPLRAWRHRARAVRAK -LIAQGGKAAICGLYLFNWAVRTKAKLTPLPQASHLDLSSWFSVSGGGGDIYHSMSIARPRYLLLCLLLLS -VGVGIFLLPAR ->BAK61607.1 polyprotein [Hepatitis C virus subtype 2b] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPTDPRHRSRNLGRVIDTITCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCVTVPVSAVEIRNISTSYYATNDCSNN -SITWQLSNAVLHLPGCVPCENDNGTLRCWIQVTPNVAVKHRGALTHNLRTHVDMIVMAATVCSALYVGDV -CGAVMIVSQALIVSPERHNFTQECNCSIYQGHITGHRMAWDMMLNWSPTLTMILAYAARVPELVLEVVFG -GHWGVVFGLAYFSMQGAWAKVIAILLLVAGVDASTQVTGGETGQTAYGLTSLFTFGPKQNIQLINSNGSW -HINRTALNCNDSLQTGFIASLFYSRNFNSSGCPERLSSCRGLDDFRIGWGTLEYEANVTNDEDMRPYCWH -YPPKPCGIVSAQTVCGPVYCFTPSPVVVGTTDRQGAPTYNWGENETDVFLLNSTRPPEGAWFGCTWMNGT -GFTKTCGAPPCRIRKDFNSSIDLLCPTDCFRKHPDATYIKCGAGPWLTPKCLIDYPYRLWHYPCTVNFTI -FKVRMYVGGVEHRLSAACNFTRGDRCRLEDRDRGQQSPLLHSTTEWAVLPCTYSDLPALSTGLLHLHQNI -VDVQYLYGLTPAITRYIVKWEWVILLFLLLADARVCACLWMLIILGQAEAALEKLIILHSASAASANGPL -WFFIFFIAAWYLKGRVVPVATYSVLGLWSFLLLVLALPQQAYALDAAEQGELGLAILAIISIFTLTPAYK -ILLSRSVWWLSYMLVLAEAQIQQWVPPLEARGGRDGIIWVAIILHPRLVFEVTKWLLAILGPAYLLKESL -LRVPYFVRAHALLRVCTLVRHLAGARYIQMLLITIGRWTGTYIYDHLSPLSTWAAQGLRDLAVAVEPVVF -SPMEKKIIVWGAETVACGDILHGLPVSARLGREVLLGPADSYTSKGWRLLAPITAYTQQTRGLLGAIVVS -LTGRDKNEQAGQVQVLSSVTQSFLGTSISGVLWTVYHGAGNKTLAGPKGPVTQMYTSAEGDLVGWPSPPG -TKSLDPCTCGAVDLYLVTRNADVIPVRRKDDRRGALLSPRPLSTLKGSSGGPVLCSRGHVVGLFRAAVCA -RGVAKSIDFIPVESLAIATRTPSFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYASQGYKVLVLNP -SVAATLGFGAYMSKAHGINPNIRTGVRTVTTGDSITYSTYGKFIADGGCAAGAYDVIICDECHSVDATTI -LGIGTVLDQAETAGARLVVLATATPPGTVTTPHSNIEEVALGHEGEIPFYGKAIPLASIKGGRHLIFCHS -KKKCDELAAALRGMGVNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQIVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGTYRYVSSGERPSGMFDSVVLCECYDAGAAWYELTPAETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQGGDNFAYLTAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLTGPTPLLYRLGAVTNEVTLTHPVTKYIATCMQADLEIMTSTWVLAGGVLAAVAAYCLA -TGCVSIIGRIHLNDQVVVAPDKEILYEAFDEMEECASKAALIEEGHRMAEMLKSKIQGLLQQATRQAQDI -QPAIQSSWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLPTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMSGEKPSVEDVVNLLPAI -LSPGALVVGVICAAILRRHIGQGEGAVQWMNRLIAFASRGNHVAPTHYVAESDASQRVTQVLSSLTITSL -LRRLHAWITEDCPVPCSGSWLRDIWEWVCSILTDFKNWLSSKLLPKMPGLPFISCQKGYRGVWAGTGVMT -TRCTCGANISGHVRMGTMKITGPKTCLNLWQGTFPINSYTEGPCVPKPPPNYKTAIWRVAASEYAEVTQH -GSYSYITGLTSDNLKVPCQIPAPEFFSWVDGVQIHRFAPTPGPFFRDEVTFTVGLNSFVVGSQLPCDPEP -DTEVLASMLTDPSHITAEAAARRLARGSPPSQASSSASQLSAPSLKATCTTHKTAYDCDMVDANLFMGGD -VTRIESDSKVILLDSLDSMTEVEDDREPSVPSEYLIRRRKFPPALPPWARPDYNPPVIETWKRPGYEPPT -VLGCALPPTPQAPVPPPRRRRARVLTQDNVEGVLREMADKALSPLQDYNDSGHSTGADTGGDSVQQPSDE -TAASEAGSLSSMPPLEGEPGDPDLDFEPAASAPPSEGECEVIDSDSKSWSTVSDQEDSVICCSMSYSWTG -ALITPCGPEEEKLPINPLSNSLMRFHNKVYSTTSRSASLRAKKVTFDRVQMLDTHYDSVLQDVKQAASKV -SARLLSVEEACALTPPHSAKSRYGFGAKEVRSLSRRAVNHIRSVWEDLVESEQSPIDTTIMAKNEVFCID -PTKGGKKPARLVVYPDLGVRVCEKMALYDIAQKLPMTNSKGQSCGYRRCRASGVFTIIMGNTMTCYIKAL -AACKAAGIVDPTMLVCGDDLVDIGHTCFLKARTVIHSLTERLYVGGPMTNSKGQSCGYRRCRASGVFTTS -MGNTMTCYIKALAACKAAGIVDPTMLVCGDDLVVISESQGNEEDERNLRAFTEAMTRYSAPPGDLPRPEY -DLKLITSCSSNVSVALDSRGRRRYFLTRDPTTPITRAAWETARHSPVNSWLGNIIQYAPTIWVRMVIMTH -FFSILLAQDTLNQNLNFEMYGAVYSVNPLDLPAIIERLHGLDAFSLHTYSPHELSRVAATLRKLGAPPLR -AWKSRARAVRASLIAQGGRAAICGRFLFNWAVKTKLKLTPLPEASRLDLSGWFTVGAGGGDIFHSVSHAR -PRLLLLCLLLLSVGVGIFLLPAE ->ACJ37237.1 polyprotein [hepatitis C virus genotype 1a] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRTWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNSSGLYHVTNDCPNS -SIVYEAADAILHTPGCVPCVREGNVSRCWVAVTPTVATRDGKLPTTQLRRHIDLLVGSATLCSALYVGDL -CGSVFLVGQLFTFSPRHHWTTQDCNCSIYPGHITGHRMAWDMMMNWSPTTALVVAQLLRIPQAIMDMIAG -AHWGVLAGIAYFSMVGNWAKVLVVLLLFAGVDAQTHVSGGSVSRAAAGLTSLFTQGPQQKIQLVNTNGSW -HVNSTALNCNDSLHTGWIAGLFYSNQFNSSGCSERLASCRRLTDFAQGWGPISHANGSGPDERPYCWHYP -PRPCGIVSAKSVCGPVYCFTPSPVVVGTTDRSGAPTYNWGENETDVFVLNNTRPPMGNWFGCTWMNSTGF -TKVCGAPPCVIGGVGNKTLRCPTDCFRKHPEATYSRCGSGPWITPRCMVHYPYRLWHYPCTINYTIFKVR -MYVGGVEHRLEAACNWTRGERCDLDDRDRSELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSSIASWAIKWEYVVLLFLLLADARVCSCLWMMLLISQAEAALENLVILNAASLAGTHGLASFLV -FFCFAWYLKGKWVPGAVYAFYGMWPLLLLLLALPQRAYALDTEVAASCGGVVLVGLMALTLSPYYKRYIS -WCLWWLQYFLTRVEAQLHVWVPPLNVRGGRDAVILLMCAVHPTLVFDITKLLLAVFGPLWILQASLLKVP -YFVRVQGLLRFCALARKMAGGHYVQMAIIKLGALTGTYVYNHLTPLRDWAHNGLQDLATAVEPVIFSRME -TKLITWGADTAACGDIINGLPVSARRGREILLGPADGMVSKGWRLLAPITAYAQQTRGLLGCIITSLTGR -DKNQVEGEVQIVSTAAQTFLATCINGVCWTVYHGAGTRTIASPKGPVIQMYTNVDQDLVGWPAPQGSRSL -TPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPAGHAVGIFRAAVCTRGVA -KAVDFIPVENLETTMRSPVFTDNSSPPAVPQSFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGVDPNIRTGVRTITTGSPITYSTYGKFLADGGCSGGAYDIIICDECHSTDATSILGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSTTGEIPFYGKAIPLEVIKGGRHLIFCHSKKKC -DELAAKLVALGINAVAYYRGLDVSVIPTSGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTLPQDAVSRTQRRGRTGRGKPGTYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTPAETTVRLR -AYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQSGENLPYLVAYQATVCARAQAPPPSWDQMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMTCMSADLEVVTSTWVLVGGVLAALAAYCLSTGCV -VIVGRVVLSGKPAVIPDREVLYREFDEMEECSQHLPYIEHGMMLAEQFKQKALGLLQTASRQAEVITPTV -QTNWQKLEGFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTSQTLLFNILGGWVAAQL -AAPGAATAFVGAGLAGAAIGSVGLGKVLVDILAGYGAGVAGALVAFKIMSGEVPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLRRL -HQWISSECTTPCSGSWLRDIWDWICEVLSDFKTWLKAKLMPQLPGIPFVSCQRGYRGVWRGDGIMHTRCH -CGAEITGHVKNGTMRIVGPRTCRNMWSGTFPINAYTTGPCTPLPAPNYKFALWRVSAEEYVEIRQVGDFH -YVTGMTTDNLKCPCQVPSPEFFTELDGVRLHRFAPPCKPLLREEVSFRVGLHEYPVGSQLPCEPEPDVAV -LTSMLTDPSHITAEAAGRRLARGSPPSLASSSASQLSAPSLKATCKKCPFTANHDSPDVELIEANLLWRQ -EMGGNITRVESENKVVILDSFDPLVAEEDEREISVPAEILRRSRKFAPALPVWARPDYNPPLLETWKKPD -YEPPVVHGCPLPPPRSPPVPPPRRKRTVVLTESTLPTALAELATKSFGSSSTSGITGDDTTASPESASSG -CPPGSDAESYSSMPPLEGEPGDPDLSDGSWSTVSSGANAENVVCCSMSYSWTGALVTPCAAEEQKLPINA -LSNSLLRHHNLVYSTTSRSACQRQKKVTFDRLQVLDNHYQDVLKEVKAAASKVKANLLSVEEACSLTPPH -SAKSKFGYGAKDVRCHARKAIAHINSVWEDLLEDSVTPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDL -GVRVCEKMALYDVVSKLPLAVMGSSYGFQYSPGQRVEFLVKAWKSKKSPMGFSYDTRCFDSTVTESDIRT -EEAIYQCCDLDPQARVAIKSLTERLYVGGPLTNSRGENCGYRRCRASGVLTTSCGNTLTCYIKARAACRA -AGLQDCTMLVCGDDLVVICESAGVQEDAASLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHD -GAGKRVYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARMILMTHFFSVLIARDQLEQALDC -EIYGACYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRARLLSRG -GRAAICGKYLFNWAVRTKLKLTPIAAAGRLDLSGWFTAGYSGGDIYHSVSHARPRWFWFCLLLLAAGVGI -YLLPNR ->AFN53811.1 polyprotein [Hepatitis C virus subtype 4r] -MSTNPKPQRKTKRNINRRPTDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTVPASAEHYRNASGIYHITNDCPNS -SIVYETDHHILHLPGCVPCVRTGNMSRCWTPVTPTVAIEYAGAPLESFRRHVDLMVGAATLCSALYVGDL -CGGAFLVGQVFTFKPRRHWTTQDCNCSIYTGHITGHRMAWDMMMNWSPTTTLLLAQIMRIPATLLDMVAG -GHWGVLAGLAFFSMQGNWAKVFLVVFLFAGVDATTRVTGGTAGHTAFSLANMFTPGARQNLQLINSNGSW -HINRTALNCNDSLETGFIAGLFYYNKFNSSGCPERLASCKRLEDFLQGWGRLGAANISGPSDDKPYCWHY -PPRPCGVVPARQVCGPVYCFTPSPVVVGTTDMLGAPTYSWGENETDVFILNSTRPPSGGWFGCAWMNSTG -FTKVCGAPPCQVQTSNGSWPCPTDCFRKHPGTTYAKCGAGPWLTPRCMIDYPYRLWHYPCTVNYTRFKTR -MYIGGIEHRVDVACNWTRGEPCGLEHRDRADLSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGIGSAVVSWAIKWEYVVLAFLLLADARICACLWMMLLVAQVEAALANLVTINAISAVGTHGFHYAIL -FICVAWYVKGKLPAAAAYAACGMWPLLLLLLAMPERAFAFDGEVAGSLGGGIVVLLTILTLSPHYKRWLS -RIIWWLQYFIARIEAVAHCYVPSLRVRGPRDSVVFLMALFHPHLMFDITKYLLALIGPLYLMQASFLCVP -YYVRAHALIRACTLVRGLLYGKYIQMALLKVGACTGTYIYDHLAPMSTWASDGLRDLAVAIEPVIFTPME -KKVITWGADTAACGDIIGGLPVSARLGNEILLGPADTVAGGGWRLLAPITAYAQQTRGLLGTIVTSLTGR -DKNENCGEVQVLSTATQTFLGTGINGVMWTVYHGAGSKTICGPKGPVSQMYTNVDQDLVGWPAPPGVKSL -TPCTCGASDLFLITRHADVIPVRRRGDTRGALLSPRPLSILKGSSGGPLLCPMGHAVGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFTDNSTPPVVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGSYMSKAHGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCGGGAYDIIICDECHSTDATTILGIG -TVLDQAETAGARLVVLATATPPGSVTTPHANIEEVALPTTGEIPFYGRAIPLEVIKGGRHLIFCHSKKKC -DELAKQLSSLGLNAVAYYRGLDVSVIPLSGDVVVCATDALMTGFTGDFDSVIDCNTSVTQTVDFSLDPTF -SIETTTLPQDSVSRSQRRGRTGRGRLGIYRYVTPGERPSGMFDTAVLCECYDTGCSWYELTPAETTTRLR -AYFNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFAYLVAYQATVCARAKAPPPAWDNMWKC -LIRLKPTLHGATPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGIVAALAAYCLSVGSV -VITGRIILSGQPAVIPDREVLYRQFDEMEECSKHTPLVEHGLQLAEQFKQKALGILQTAGRQAQEAAPVV -QSNFAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGEVPTTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVPENDAAARVTAILTSLTVTSLLRRL -HKWINEDCSAPCDSSWLREVWEWVCTVLTDFKTWLKAKLMPRLPGIPFLSCQRGFRGEWRGDGVMHTVCP -CGASLAGHVKNGSMRIVGPKTCSNVWHGTFPINAYTTGPGVPIPAPNYKFALWRVSAEEYVEVRRVGEYH -YVTGVTQDNIKCPCQVPSPEFFTEVDGVALHRYAPPCKPLLRDEVSFSVGLNSFVVGSQLPCEPEPDVSV -LTSMLTDPSHITAEAAKRRLDRGSPPSLASSSASQLSAPSLKATCTIHHDSPDADLIEANLLWRQEMGGN -ITRVESENKVVVLDSFEPLVAEPDDREISTAADALCRVKRKFPPALPIWARPDYNPPLLETWKRQDYDPP -VVHGCALPPGKPPPVPPPRRKRTVVLTESSVSDALAELAVKSFGRSEPDTDSGTGLTSPTDISQPGPSTT -DGDTDGESYSSMPPLEGEPGDPDLTADSWSTVSSSDDVVCCSMSYSWTGALVTPCAAEETKLPINPLSNS -LLRHHNLVYATTSRSATARQKKVTFDRLQVVDDHYHAVLKEIKQRASGVKARTLSTEEACALTPPHSARS -KFGYGAKDVRSLSRKAVNHINSVWEDLLEDSVTPIPTTIMAKNEVFAVRPEKGGRKPARLIVYPDLGVRV -CEKRALYDVVQELPKAIMGDAYGFQYSPAQRVEFLLKSWNSKRVPMGFSYDTRCFDSTVTERDIRVEEEI -YQCCDLDADARKVITALTERLYVGGPMHNSRGDLCGYRRCRASGVYTTSFGNTVTCYLKATAATRAAGLK -GCTMLVHGDDLIVIAESAGVEEDARALRAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDAQGK -RYYYLTRDPEIPLARAAWETARHTPVNSWLGNIILYAPTIWARMILMTHFFSILQSQEALEKALDFDMYG -VTYSITPLDLPDIIQRLHGLSAFTLHGYSPGELNRVAATLRKLGVPPLRAWRHRARAVRAKLIAQGGRAR -ICGMYLFNWAVKTKLKLTPLSSAARLDLSGWFVVGGAGGDIYHSVSRARPRYLLLCLLLLSVGVGIFLLP -AR ->AEV46286.1 polyprotein [Hepatitis C virus genotype 3] -MSTLPKPQRKTKRNTIRRPQDVKFPGGGQIVGGVYVLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARAFAHGVRALEDGINFATGNLPGCSFSIFLLALLSCLVHPAAGFEWRNTSGLYVLTNDCPNS -SIVYEADDVILHTPGCVPCVHADNTSTCWTPVTPTVAVRYPGATTASVRSHVDLLVGAATMCSALYVGDM -CGAVFLVGQAFTFKPRRHQTVQTCNCSLYPGHITGHRMAWDMMMNWSPAVGLVVAHVLRLPQTLLDIIAG -AHWGILAGLAYYSMQGNWAKVAIIMVMFSGVDAGTHTTGGAVAYKTNLFASLFNAGSKQNVQLINTNGSW -HINRTALNCNDSINTGFLAGLFYYHKFNCTGCPQKLSSCEPITSFNQGWGPLKDANITGHNSTEKPYCWH -YAPNPCDTVVAKNVCGPVYCFTPSPVVVGTTDKRGVPTYTWGENETDVFLLRSLRPPSGQWFGCVWMDSM -GFLKTCGAPPCDIYGGMKAWKDRDRSDLFCPTDCFRKHPGATYSRCGAGPWLTPRCMVDYPYRLWHYPCT -VNYTLFKVRMYVGGIEHRFSAACNWTRGERSDIEDRDRSEQHPLLHSTTELAMLPSLPALLTGLIHLHQN -IVDVQYLYGVGAGTVGWALKWEFVILVFLLLADARVCVALWLMLMISQAEAALENLVTLNAIAAAGTHGA -GWYLVAFCAAWYVRGKLVPLVTYSLTGLWSLALLVLLLPQRAYAWSGEDSATLGAGVLVLFGFFTLSPWY -KHWIGRLMWWNQYAICRCEAALHVWVPPQLARGSRDGVILLTSLFYPSLIFDITKLLIAILGPLYLIQAA -ITATPYFVRAHVLVRLCMLVRSVMGGKYFQMVILSIGRWFNTYLYDHLAPMQHWAASGLRDLAVATEPVI -FSPMEIKVITWGADTAACGDILCGLPVSARLGHEVLLGPADNYREMGWRLLAPITAYAQQTRGLLGTIVT -SLTGRDKNVVTGEVQVLSTTTQTFLGTTVGGVMWTVYHGAGSRTLAGVKHPALQMYTNVDQDLVGWPAPP -GAKSLEPCACGSADLYLVTRDADVIPARRRGDSTASLLSPRPLACLKGSSGGPVMCPSGHVAGIFRAAVC -TRGVAKALQFIPVETLSTQARSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYNVLALN -PSVAATLGFGSFMSRAYGIDPNIRTGTRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQDATS -ILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALGSEGEIPFYGKAIPMALLKGGRHLVFCH -SKKKCDEIASKLRGMGLNAVAYYRGLDVSVIPTTGDVVVCATDALMTGYTGGFDSVIDCNVAVEQYVDFS -LLDPTFSIETRTAPQDAVSRSQRRGRTGRGRLGTYRYVAPGERPSGMFDSVVLCECYDAGCSWYDLQPAE -TTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFSYLTAYQATVCARAQAPPPSW -DETWKCLVRLKPTLHGPTPLLYRLGPIQNEICLTHPITKYIMACMSADLEVTTSTWVLLGGVLAALAAYC -LSVGCVVIVGHIELGGMPALVPDKEVLYQQYDEMEECSQAVPYIEQAQVIAHQFREKVLGLLQRATQQQA -VIEPIVATNWQKLEAFWHKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNILGG -WVATHLAGPQSSSAFVVSGLAGAAIGGIGLGRVLLDILAGYGAGVSGALAAFKIMGGDLPTTEDMVNLLP -AILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDVAARITALLSSLTVT -RLLRRLHQWINEDYPSPCSGDWLRTIWDWVCMVLSDFKTWLSSKIMPALPGLPFISCQEGYKGVWRGDGV -MSTRCPCGASITGHVKNGSMRLAGPRICANMWHGTFPINEYTTGPSTPCPSPNYTRALWRVAANSYVEVR -RVGDFHYITGATEDELKCPCQVPAAEFFTEVDGVRIHRYAPPCKPLLRDEITFTVWGCIPMRSQLPCEPE -PDVSVLTSMLRDPSHITAETAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHPDAELVDANLLWRQ -EMGSNITRVESETKVVVLDSFEPLRAETDDVEPSVAAECFKKPPKYPPALPIWARPDYNPPLLDRWKAPD -YVPPTVHGCALPPRGAPPVPPPRRKRTIQLDGSNVSAALAALAEKSFPSSKPQEENSSSSGVDTQSSTTS -KVPPSPGGESDSESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTDALITPCSAEGEKL -PISPLSNSLERHHNLIYSTSSRIASQRQKKVTFDRLQVLDDHYKTALKEIKERASRVKARMLTIEEACAL -VPPHSARSKFGYSAKDARSLSSKAINQIRSVWEDLLEDTTTPIPTTIMAKSEVFCVDPTKGGRFFARLIV -YPDLGVRICEKRALYGVIQKWGVGTMGPAYGFQYSPQQRVERLLKMWTSKKAQLGFSYGTRCFGSTATGQ -DIRVEEEIYQCWSLGPEARKVISSLTERLYCGGPMFNSKGAQCGYRRCRASGVLPTSFGNTITCYIKATA -AAKAANLRKPGFLFGEDGSWSYYLRTECDGVDEDRATLRAFTEAMTRYSAPPGDAPQATYDLELITSCSS -NVSVARDDKGRRYYYLTRDATTPLARAAWETARHTPVTSWLGNIIMYAPTIWVRMVMMTHFFSILQSQEI -LDRPLDFEMYGATYSVTPLDLPAIIGRLHGLRAFTLHIYSPAELNTVAGTLRKLGCPPLRAWRHRARAVR -AMLIAQGGKARICGLYHFNWAVRTKTTLTPLPAAGQLDLSIWFTVGVGGNDILAACHAPEPAICCFAYSL -LTVGVGIFLLPAR ->AAD44718.2 polyprotein [Hepatitis C virus subtype 1b] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRAIRKTSERSQPRGRRQPIPKARR -PEGRAWAQPGYPWPLYGNEGMGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGLADLMGYIPLV -GGPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAHEVRNASGVYHVTNDCSNS -SIVFEAADLIMHTPGCVPCVREGNSSRCWVALTPTLAARNATIPTTTIRHHVDLLVGAAALCSAMYVGDL -CGSVFLVSQLFTFSPRRHATLQDCNCSIYPGHASGHRMAWDMMMNWSPTTALVVSQLLRIPQAVIDMVAG -AHWGVLAGLAYYSMAGNWAKVLIVMLLFAGVDGHTLTTGGHAARLTSGFAGLFTPGPSQRIQLINTNGSW -HINRTALNCNDSLQTGFLAALFYAHRFNSSGCPERMASCRSIDKFDQGWGPITYAEPTKDPDQRPYCWHY -PPQQCGIVPASQVCGPVYCFTPSPVVVGTTDRLGNPTYSWGENDTDVLLLNNTRPPQGNWFGCTWMNSTG -FTKTCGAPPCNIGGVGNNTLTCPTDCFRKHPEATYSKCGSGPWLTPRCMVDYPYRLWHYPCTVNFSIFKV -RMYVGGVEHRLNAACNWTRGERCNLDDRDRSELSPLLLSTTEWQVLPCSFTTLPALSTGLIHLHQNIVDV -QYLYGIGSAVVSFAIKWEYVVLLFLLLADARVCACLWMMLLIAQAEAALENLVALNAASVAGAHGILSFL -VFFCAAWYIKGRLVPGAAYAFYGAWPLLLLLLTLPPRAYAMDREMAASCGGAVFVGLALLTLSPYYKVFL -ARLLWWLQYLITRAEAHLHVWVPPLNVRGGRDAIILLTCAVHPELIFDITKLLIAILGPLMVLQAGITRV -PYFVRAQGLIRACMLVRKVAGGHYVQMAFMRLGALTGTYVYNHLTPLRDWAHAGLRDLAVAVEPVVFSDM -ETKIITWGADTAACGDIILGLPVSARRGREILLGPADSLVGQGWRLLAPITAYSQQTRGLLGCIITSLTG -RDKNQVEGEVQVVSTATQSFLATCVNGVCWTVYHGAGSKTLAGPKGPIAQMYTNVDQDLVGWPAPPGARS -LTPCTCGSSDLYLVTRHADVIPVRRRGDNRGSLLSPRPVSYLKGSSGGPLLCPSGHAVGVFRAAVCTRGV -AKAVDFVPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTRVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSKAHGTDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIMCDECHSTDSTTILGI -GTVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSNTGEIPFYGKAIPIEAIKGGRHLIFCHSKKK -CDELAAKLSGLGINAVAYYRGLDVSVIPTSGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPT -FTIETTTVPQDAVSRSQRRGRTGRGRGGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRL -RAYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWK -CLIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGS -VVIVGRIILSGRPAVVPDREVLYREFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPV -VESKWRALETFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQNTLLFNILGGWVAAQ -LAPPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGEAPSAEDLINLLPAILSP -GALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQVLSSLTITQLLKR -LHQWINEDCSTPCSGSWLRDVWDWVCTVLSDFKTWLQSKLLPRLPGVPFLSCQRGYKGVWRGDGIMHTTC -PCGAQIAGHVKNGSMRIIGPKTCSNTWHGTFPINAYTTGPCTPSPAPNYSKALWRVAAEEYVEVTRVGDF -HYVTGITTDNVKCPCQVPAPEFFTEVDGVRLHRYAPVCKPLLRDEVVFQVGLNQYLVGSQLPCEPEPDVA -VLTSMLTDPSHITAEAAKRRLARGSPPSLASSSASQLSAPSLRATCTTHSSYNLDSPDVDLIEANLLWRQ -EMGGNITRVESENKVVVLDSFEPLRAEGDENEISIAAEILRKSKKFPAAIPIWARPDYNPPLLESWKNPD -YVPPVVHGCPLPPVKAPPIPPPRRKRTVVLTDSTVSSVLAELATKTFGSSELSAADSGTATAPPDQTSDN -GGKDSDAESCSSMPPLEGEPGDPDLSDGSWSTVSEEAGESVVCCSMSYTWTGALITPCAAEESKLPINAL -SNSLLRHHNMVYATTSRSAGLRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKAKLLSIEEACRLTPPHS -AKSKFGYGAKDVRNLSSRAINHIRSVWEDLLEDTVTPIDTTVMAKNEVFCVQPEKGGRKPARLIVFPDLG -VRVCEKMALYDVVSTLPQAVMGSSYGFQYSPGQRVEFLVKAWKSKKNPMGFSYDTRCFDSTVTENDIRVE -ESIYQCCDLAPEARQAIKSLTERLYIGGPLTNSKGQSCGYRRCRASGVLTTSCGNTLTCYLKASAACRAA -KLQDCTMLVNGDDLVVICESAGTQEDAASLRVFTEAMTRYSAPPGDLPQPEYDLELITSCSSNVSVAHDA -SGKRVYYLTRDPTTPIARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQ -IYGACYSIEPLDLPQIIERLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRVWRHRARSVRAKLLSQGG -RAATCGKYLFNWAVKTKLKLTPIPAASQLDLSGWFVAGYSGGDIYHSLSRARPRWFMLCLLLLSVGVGIY -LLPNR ->ACB45490.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -TEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTVPASAVHYHNTSGIYHITNDCPNS -SIVFEAEHHILHLPGCVPCVRTGNRSQCWVALTPTLAAPNIGAPLQSMRRHVDLMVGTATLCSALYVGDL -CGGIFLVGQMFTFRPRLHWTTQDCNCSIYPGHITGHRMAWDMMMNWSPTTALVLAQIMRIPATLVDMLAG -GHWGVLAGIAFFSMQANWAKVILILFIFAGVDAHTHVTGGTIASGAAGLAGLFNAGSRQNLQLINTNGSW -HINRTALNCNDSLQTGFIASLFYRNKFNSSGCPERLSSCARLTDFNQGWGKLGVANITGPSNDRPYCWHY -PPRPCGVVPATTVCGPVYCFTPSPVVVGTTDRLGVPTYNWGENETDVIILNSTRPPQGSWFGCTWMNSTG -FTKTCGAPPCQVQTTPANVSWSCPTDCFRKHPETTYTKCGSGPWLTPRCLVHYPYRLWHYPCTVNFTVFK -VRMYVGGVEHRLEAACNWTRGEPCGLEHRDRSELSPLLLSTTQWQVLPCSFTPLPALSTGLIHLHQNIVD -VQYIYGVGSAVASWALKWEYVVLAFLLLADARICACLWMMLLVSQVEAALANLITINAAAAAGTHGFFYA -ILFICVVWYIKGRVPAAATYAACGMWPLLLLLLALPERAYAHNQETAAALGGGVVLLLIILTLSPHYKRW -LCRIMWWLQYFIARAEALLHVYVPSFEPRGPRDSVIILLVLFRPHLAFDITKYILALLGPLYILQASLMR -VPYFVRAHALIKICSLVRGVVHGRYCQMALLKLGALTGTYIYDHLAPLSDWAADGLKDLAVALEPVIFTP -MEKKVIVWGADTAACGDIINGLPVSARLGNEILLGPADDEVSGGWRLLAPITAYAQQTRGLLGTIVTSLT -GRDTNENCGEIQVLSTATQSFLGTAVNGVMWTVYHGAGSKTLSGPKGPVNQMYTNVDQDLVGWPAPPGVR -SLVPCTCGASDLYLVTRHADVVPVRRRGDTRGALLSPRPISTLKGSSGGPLLCPSGHAVGIFRAAVCTRG -VAKAVDFVPVESLETTMRSPVFSDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSV -AATLGFGAYMSKAHGIDPNIRSGVRTISTGAPITYSTYGKFLADGGCSGGAYDIIICDECHATDSTTILG -VGTVLDQAETAGVRLVVLATATPPGSVTTPHPNIEEVALPTTGEIPFYGRAIPLAVVKGGRHLIFCHSKK -KCDELAKHLSALGLNAVAYYRGLDVSVIPTSGDVVVCATDALMTGYTGDFDSVIDCNTSVIQTVDFSLDP -TFSIETTTVPQDAVSRSQRRGRTGRGRLGIYRFVTPGERPSGMFDTAVLCECYDAGCAWYELTPAETTTR -LRAYFNTPGLPVCQDHLEFWESVFTGLTNIDAHFLSQTKQAGDNYPYLVAYQSTVCARALAPPPSWDTMW -KCLIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVIVGGVLAAVAAYCLTVG -SVTIVGRVVLSGQPAIIPDREALYQQFDEMEECSKHLPLVEHGLQLAEQFKQKALGVLSVASKQAKEATP -IVQSNLQKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVAS -QIATPTASTAFVVSGLAGAAVGSVGLGKVLVDILAGYGAGVAGAVVTFKIMSGEMPSTEDLVNLLPAILS -PGALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTSLLR -RLHKWINEDCPTPCDSSWLWEIWDWVCTVLSDFKHWLKAKLLPRMPGIPFYSCQKGYKGEWRGDGVMHTI -CPCGAELAGHIKNGSMRLVGPKTCSNTWHGTFPINAYTTGPGVPIPAPNYSFALWRVSAEEYVQVRRVGD -FHYITGVTQDNIKCPCQVPAPEFFTEVDGVRLHRHAPACKPLLTEDVTFSVGLNSFVVGSQLPCEPEPDV -AVLTSMLTDPSHITAETAKRRLGRGSPPSLASSSASQLSAPSLKATCTAHHSSPGQDLIEANLLWGANAT -RVELGDKVVVLDSFEPLAAEGDKEVSIAAEILRPVKRFPPAIPIWARPDYNPPLVESWKQPDYEPPVVHG -CALPPSNQPPVPPPRRKKKTVVLSESTVSEALADLAAKSFGRPESESDSGAGLTTPTATSDSGPIIADDK -SDDGSYSSMPPLEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPNAAEESKLPINPLSNSLLR -HHNMVYSTTSKSAVTRQKKVTFDRIQVLDNHYHETLKEIKARASRVKARTLPVEEACDLTPPHSAKSKFG -YGAKDVRSHSRKAINHINSVWEDLLEDNTTPIPTTIMAKNEVFSVNPQKGGRKPARLIVYPDLGVRVCEK -RALHDVVKQLPEAVMGAAYGFQYSPAQRVEYLLKAWASKKVPMGFSYDTRCFDSTVTERDIRVEEEVYQC -CDLEPETRKAISALTERLYVGGPMYNSRGDLCGYRRCRASGVYTTSFGNTLTCFLKATAAAKAAGLKDCT -MLVNGDDLVVIAESDGVDEDRRALGAFTEAMTRYSAPPGDLPQPAYDLEHITSCSSNVSVAHDATGRRVY -YMTRDPETPLARAAWETARHTPVNSWLGNIIVYAPTIWVRMVLMTHFFSVLQTQEALEKALDFDMYGVTY -SITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGALRKLGVPPLRAWRHRARAVRAKLIAQGGRAKICG -VYLFNWATKTKIRLTPLPAASQLDLSSWFTVGAGGGDIYHSVSRARPRYLLLCLLLLSVGVGIFLLPAR ->ABF60957.1 polyprotein [Hepatitis C virus subtype 4a] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPIV -GAPVGGVARALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTVPASAVNYRNTSGIYHVTNDCPNS -SIVYEADHHILHLPGCVPCVRAGNQSRCWVALTPTVAALHIGAPLESLRSHVDLMVGAATVCSALYIGDL -CGGLFLAGQMFSFRPRRHWTTQDCNCSIYTGHITGHRMAWDMMMNWSPTTTLVLSQLMRIPSTLVDLLSG -GHWGVLVGVAYFSMQANWAKVILVLFLFAGVDAETQVSGGSVARAANGLANLFTPGAQQNLQLINSNGSW -HINRTALNCNDSLNTGFFASLFYTNKFNSSGCPERLATCKSLGTFNQGWGPLRVANINGSSEDRPYCWHY -PPRPCGIVPALSVCGPVYCFTPSPIVVGTTDRLGVPTYTWGENESDVFLLNSTRPPXGAWFGCVWMNSTG -XTKACGAPPCEVQTNNGTWSCPTDCFRKHPETTYAKCGSGPWITPRCLVHYPYRLWHFPCTVNFTIFKVR -TFIGGIEHRMEAACNWTRGEVCGLEHRDRAELSPLLLSTTTWQILPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVIAAVVSWALKWEYVVLVFLLLADARVSACLWMMFMVSQVEAALSNLININAASAAGNHGFWYAIF -FICIAWHVKGRLPAAATYVACGMWPLLLLLLMLPERAYAFDQEVAGSLGGVIIVALTILTLSPHYKSWLA -RVLWWIQYFIARAEALVHVYIPSFDVRGPRDSLIILAVLACPHLIFDITKYLLAILGPLYILQASLTRVP -YFVRAHALIKICSLLRGIVHGKYCQMAVLKMGALTGTYIYDHLTPMSDWAAEGLRDLAVALEPVVFTAME -KKVIIWGADTAACGDIIGGLPVSARLGNEILLGPADSETSKGWRLLAPITAYAQQTRGLFSTIITSLTGR -DTNENCGEVQVLSTATQSFLGTAVNGVMWTVYHGAGSKTISGPKGPVNQMYTNVDQDLVGWPAPPGVKSL -TPCTCGASDLYLVTRHADVVPVRRRGDTRGALLSPRPISTLKGSSGGPLLCPMGHAAGLFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFTDNSTPPAVPQAYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAYGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGVRLVVLATATPPGSVTTPHSNIEEVALPTTGEVPFYGKAIPLELIKGGRHLIFCHSKKKC -DELAKQLTSLGLNAVAYYRGLDVSVIPTSGDVVVCATDALMTGFTGDFDSVIDCNTSVIQTVDFSLDPTF -SIETTTVPQDAVSRSQRRGRTGRGRLGIYRYVTPGERPSGIFDTSVICECYDAGCAWYELTPAETTTRLR -AYFNTPGLPVCQDHLEFWESVFTGLTQIDGHFLPQTKQSGENFPYLVAYQATVCARALAPPPSWDTMWKC -LIRLKPTLHGPTPLLYRLGSVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLSVGSV -VIVGRVVLSGQPAIIPDREVLYQQFDEMEECSNHLPLVEKRVHLAEQFKQKALGLLSSAGKQAQEATPVV -QSNLAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGEMPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTVTSLLRRL -HKWINEDCSTPCAGSWLWEVWDWVXTVLSDFKTWLKAKLLPLMPGIPFLSCQRGYRGEWRGDGVMHTMCP -CGAELAGHIKNGSMRITGPKTCSNTWHGTFPINAYTTGPGVPIPAPNYKFALWRVSAEEYVEVRRVGDSH -YVTGVTQDNIKCPCQVPAPEFFTEVDGIRIHRYAPKCKPLLRDEVSFSVGLNSFVVGSQLPCEPEPDVAV -LTSMLTDPSHITAETASRRLARGSPPSLASSSASQLSAPSLKATCTARHDFPGTDLLEANLLWGSTATRV -ETDEKVIILDSFEPRVAESDDDREVSVAAEILRPTKRYPPALPIWARPDYNPPLTETWKQQDYKPPTVHG -CALPPGKQPPVPPPRRKRTVQLTESVVSTALAELAAKTFGQSELGSDXGADLATPTETTDSGPILVDDAS -EDGFYLSMPXLEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPCAAEESKLPISPLSNSLLRH -HNMVYATTTRSAVTRQKXVTFDRLQVVDNHYNETLKEIKARASRVKARLLTTEEACDLTPPHSARSKFGY -GAKDVRSHSRKAVNHINSVWEDLLEDNNTPIPTTIMAKNEVFAVNPAKGGRKPARLIVYPDLGGPGFARR -EHFTTSSAQLPKAVMGAAYGFQYSPAQRVEFLLTSWKSKNTPMGFSYDTRCFDSTVTEKDIRTEEEVYQC -CDLEPEARKVIAALTERLYVGGPMHNSKGDLCGYRRCRASGVYTTSFGNTLTCYLKATAAIKAGRAEDCT -MLVCGDDLVVIAESGGVDEDNRALRAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDATGKKVY -YLTRDPETPLARAAWETVRHTPVNSWLGNIIVYAPTIWVRMVLMTHFFSILQSQEALEKALDFDMYGVTY -SITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGSLRKLGVPPLRAWRHRARAVRAKLIAQGGRAKICG -IYLFNWAVKTKLKLTPLPAAANLDLSSWFTVGAGGGDIYHSVSRARPRYLLLCLLLLSVGVGIFLLPAR ->ACL68400.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRVGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRAVEDGINYATGNLPGCSFSIFLLALLSCLTTPASAVHYRNASGIYHLTNDCPNS -SIVYETDHHIMHLPGCVPCVKTGNVSRCWVALTPTVAAPRLNAPLESLRRHVDLMVGAATLCSALYVGDL -CGGVFLVGQMFSFQPRRHWTTQDCNCSIYTGHITGHRMAWDMMMNWSPTTTLLLAQLMRIPATLGELLAG -GHWGVLAGLAYFSMQANWAKVVLVLFLFAGVEASTFITGGTAARTTQSFASIFTPGPQQKLQLINSNGSW -HINRTSLNCNDSLQTGFIAGLFYSHRFNSSGCPQRLAACKRLEDFQQGWGKLGVSDVSGPSEHRPYCWHY -PPRPCGVVPAAKVCGPVYCFTPSPVVVGTTDRLGAPTYSWGENETDVIILNSTRPPDGGWFGCTWMNSTG -FVKTCGAPSCKVSTFNGTWDCPTDCFRKHPETTYTKCGAGPWLTPRCLIHYPYRLWHYPCTVNFTIFKVR -MFVGGIEHRLDAACNWTRGEPCGLHHRDRTELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YIYGIGSAVVSWALKWEYIVLAFLLLADARVCACLWMMLLVSQAEAALANLINLNAMSAAGSHGAGYAFA -FFCITWYIKGRLPAATAYAFCGLWPLLLLLLALPERAYASSGEVAGSLGAGVIVFIMMLTLSPWYKRWLA -WGIWWLQYYLARVEALLHVYVPPLEVRGSRDAIIILAALFHPRLVFEITKHLLALIGPLYVLQASLLRIP -YFVRAHALIKVCGLLRGMTHGRYFQMALLKLGALTGTYVYDHLAPLSDWAADGLKDLAVALEPVVFTPME -KKVIVWGADTAACGDIVKGLPVSARLGNEILLGPADGASEKGWRLLAPITAYAQQTRGLMGTIVTSLTGR -DANEICGEIQVLSTATQSFLGTSVNGVLWTVYHGAGSKTISGPKGPVNQMYTNVDQDLVGWPSPPGAKSL -VPCTCGASDLFLVTRRADVIPVRRRGDTRGALLSPRPIATLKGSSGGPLLCPLGHAVGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFSDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGSYMSKAHGIDPNIRSGARTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETSGVRLVVLATATPPGSITTPHSNIEEVALPTTGEIPFYGKAIPLDLIKGGRHLIFCHSKKKC -DELVKQLSAQGINAVAYYRGLDVSVIPAAGDVVVCATDALMTGYTGNFDSVIXCNTSVIQTVDFGLDPTF -SIETTTVPQDAVSRSQRRGRTGRGRLGIYRYVTPGERPSGMFDTSVLCECYDAGCAWYELTPAETTVRLR -AYFNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQQGENFPYLVAYQATVCARASAPPPSWDVMWKC -LIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVVAALAAYCLTIGSV -VVVGRVILSGQPAIIPDREALYQQFDEMEECAKHIPLVEHGMQLAEQFKQKAIGVLQLAGSRAREATPVV -ESNFAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGEVPTTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPETDAAARVTAILSSLTVTSLLKRL -HKWINEDCSTPCDTSWLREIWDWVCTVLSDFKTWLKAKLLPAMPGLPFLSCQRGYKGEWRGDGVMHTKCP -CGADIAGHIKNGSMRIVGPRTCSNTWHGTFPINATTTGSGVPVPAPNYKFALWRVSAEEYVEVRRVGDFH -YITGMTQDNIKCPCQVPAPEFFTEVDGVRLHRYAPDCKPLLREEVSFSVGLNTFVVGSQLPCEPEPDVHV -LTSMLTDPSHLTAETARRRLARGSPPSLASSSASQLSAPSLKATCTAHHDSPDADLIEANLLWRQEMGSN -ITRVESENKVVVLDSFEPLVAEPDDVEISTAAEVMRRVKKFPPALPIWARPDYNPPLLEPWKQPDYIPPV -VHGCALPPNKPAPVPPPRRKRVVALSESNVSSALAMLAAKTFGQPDDADSGTGLTSPTETTNPDPSIADD -RSDDGSFSSMPPLEGEPGDPDLTSDSWSTVSGSDDVVCCSMSYSWTGALVTPCAAEEPKLPISPLSNSLL -RHHNMVYATTSRSATARQKKVTFDRLQVVDDHYHATLKEIKARASGVKAPALSVEEACSLTPPHSARSKF -GYGAKDVRSLSRKAIDHINSVWEDLREDSVTPIATTIMAKNEVFSVKPEKGGRKPARLIVFPDLGVRVCE -KRALYNVIQKLPQAIMGEAYGFQYSPAQRVEYLLKSWNSKKVPMGFSYDTRCFDSTVTERDIQVEEEIYQ -CCDLDPETRKVISSLTERLYKGGPMYNSKGDLCGYRRCRASGVLTTSFGNTLTCYLKATAAAKAAGLKDY -SMLVCGDDLVIISESGGVEEDSRALRAFTEAMTRYSAPPGDVPQPAYDLELITSCSSNVSVAHDATGKRV -YYLTRDPETPLARAAWETVRHTPVNSWLGNIIVYAPTIWARMILMTHFFSILQSQEALEKALDFDMYGVT -YSITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAACLRKLGVPPLRAWRHRARAVRAKLIAQGGRAKIC -GIYLFNWAVKTKLKLTPLPAAGQLDLSSWFTVGAGEGDVYHSASLARPRYFLLCLLLLTVGVGIFLLPAR ->AQW44760.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTIRRPQDVKFPGGGQIVGGVYVLPRRGPRLGVRATRKTSERSQPRDRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINFATGNLPGCSFSIFLLALLSCLIHPAASLEWRNTSGLYVLTNDCSNS -SIVYEADEVILHTPGCVPCVQTGNTSTCWTPVTPTVAVKYAGATTASIRSHVDLLVGAATMCSALYVGDM -CGAVFLVGQAFTFRPRRHQTVQTCNCSLYPGHLTGHRMAWDMMMNWSPAVGMVVAHVLRLPQTLFDIIAG -AHWGVLAGLAYYSMQGNWAKVAIIMVMFSGVDADTYVTGGTVAHNVRGFAGLFSVGAQQKLQLVHTNGSW -HINSTALNCNESINTGFIAGLFYYHKFNSTGCPGMLSSCKPITYFNQGWGSLTDANITGPSDDRPYCWHY -APRLCTTVRALNVCGPVYCFTPSPVVVGTTDAKGAPTYTWGENETDVFLLESLRPPSGRWFGCVWMNSTG -FVKTCGAPPCNIYGGDKNRETNRNESDLFCPTDCFRKHPAATYSRCGAGPWLTPRCLVDYPYRLWHYPCT -VNFTLFKVRMFVGGFEHRFTAACNWTRGERCDIEDRDRSEQHPLLHSTTELAILPCSFTPMPALSTGLIH -LHQNIVDVQYLYGVGAGMVGWALKWEFVILVFLLLADARVCVALWLMLMISQTEAALENLVTLNAVAAAG -THGIGWYLVAFCAAWYVRGKLVPLVTYSLTGLWSLALLVLLLPQRAYAWSGEDSATLGAGILALFGFLTL -SPWYKHWIGRLMWWNQYTICRCEAALQVWVPPLLARGSRDGVILLTSLLYPSLIFDITKLLIAVLGPLYL -IQAAITTTPYFVRAHVLVRLCMFVRSVTGGKYFQMVILSIGRWFNTYLYDHLAPMQHWAAAGLKDLAVAT -EPVIFSPMEIKIITWGADTAACGDILCGLPVSARLGHEVLLGPADDYRQMGWRLLAPITAYAQQTRGLFG -TIVTSLTGRDKNVVTGEVQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLAGVKHPALQMYTNVDQDLVGW -PAPPGAKSLEPCTCGSADLYLVTREADVIPARRRGDSTAGLLSPRPLACLKGSSGGPVMCPSGHVAGIFR -AAVCTRGVAKALQFIPVETLSTQARSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYNV -LVLNPSVAATLGFGSFMSRAYGIDPNIRTGNRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQ -DATSILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALGSEGEIPFYGKAIPIALIKGGRHL -VFCHSKKKCDELASKLRGMGLNAVAYYRGLDVSVIPSAGDVVVCATDALMTGFTGDFDSVIDCNVAVEQY -VDFSLDPTFSIETRTAPQDAVSRSQRRGRTGRGRPGTYRYVAPGERPSGMFDSVVLCECYDAGCSWYDLQ -PAETTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFSYLTAYQATVCARAQAPP -PSWDEMWKCLLRLKPTLHGPTPLLYRLGPVQNETCLTHPVTKYIMACMSADLEVTTSTWVLLGGVLAALA -AYCLSVGCVVIVGHIELGGKPALVPDKEVLYQQYDEMEECSQAAPYIEQAQVIAHQFKEKVLGLLQRATQ -QQAAIEPIVTTNWQKLEAFWHKHMWNFVSGIQYLAGLSTLPGNPAIASLMAFTASVTSPLTTNQTMFFNI -LGGWVATHLAGPQSSSAFVVSGLAGAAIGGIGLGRVLLDILAGYGAGVSGALVAFKIMGGELPTTEDMVN -LLPAILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTALLSSL -TVTSLLRRLHKWINEDYPSPCSGDWLRTIWDWVCMTLSDFKTWLSAKIMPALPGLPFISCQKGYKGVWRG -DGVMSTRCPCGASISGHVKNGSMRLAGPRTCANMWHGTFPINEYTTGPSTPCPSPNYTRALWRVAANSYV -EVRRVGDFHYITGATEDELKCPCQVPAAEFFTEVDGVRLHRFAPPCKPLLRDEITFMVGMNSYVIGSQLP -CEPEPDVSVLTSMLRDPSHITAETAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHPDAELVDANL -LWRQEMGSNITRVESETKVVILDSFEPLRAETDDTELSVAAECFKKPPKYPPALPIWARPDYNPPLLDRW -KAPDYVPPTVHGCALPPRGAPPVPPPRRKRTVQLDSSNVSAALAALAEKTFPSSKPQEESSSSSGVDTQS -SAASKAPPSPEEESDAESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTGALITPCSAE -EEKLPISPLSNSLLRHHNLVYSTSSRSASQRQKKVTFDRLQVLDDHYKTALKEVKERASKVKARMLTIEE -ACALVPPHSARSKFGYSAKDVRSLSSKAVNQIRSVWEDLLEDTTTPIPTTIMAKNEVFCVDPVKGGRKPA -RLIVYPDLGVRVCEKRALYDVIQKLSIETMGSAYGFQYSPQQRVERLLKMWTSKKTPMGFSYDTRCFDST -ITEQDIRTEEDIYQCCDLEPEARKVISSLTERLYCGGPMFNSKGVQCGYRRCRASGVLPTSFGNTITCYI -KATAAAKAAGLRNPDFLVCGDDLVVVAESDGVEEDRAALRVFTEAMTRYSAPPGDAPQPTYDLELVTSCS -SNVSVALDGKGKRYYYLTRDATTPLARAAWETARHTPVNSWLGNIIMFAPTLWVRMVMMTHFFSILQSQE -ILDRSLDFEMYGATYSVTPLDLPAIIERLHGLSAFTLHSYSPVELNRVGGTLRKLGCPPLRAWRHRARAV -RAKLIAQGGKAKICGLYLFNWAVRTKANLTPLPAASQLDLSSWFTVGVGGNDIYHSVSRARTRHLLLCLL -LLTVGVGIFLLPAR ->ANF99567.1 polyprotein [Hepacivirus C] -MSTSPKPQRKTKRNTNRRPQDVRFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKAKP -SXGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPTDPRHRSRNLGKVIDTXTCGFADLMGYIPVL -GAPLGGVARAVAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAVEVRNASGLYIVTNDCSNS -SIVFESQAAILHTPGCVPCVXDPGENRSRCWEPVTPTLAVKYRGALTDDIKRHADXVVAAATVCSALYVG -DFCGAIFIIGQGIIWTPRVHWTVQDCNCSIYPGHITGQRMAWDMMQNWSPTVTWFTAFALRVPGVVFSII -SGGHWGVLLGLAFFSMAGNWAKVVLILLLMAGVDAGTHISGSSTGHTTRGITSLFSPGPSQHINLINTNG -SWHINSTALNCNDTLETGFLKALFYSRHINDTGCPERMSHCKTIRDFAQGWGPLRWERNVTTDPSRRPYC -WHYAPPPCGIVPARGVCGPVYCFTPTPVVVGTTDRRGVPTFTWGENETDVFLLNSTRPPRGPWYGCVWMN -STGYTKACGAPPCVIGSGNDTWFCPTDCFRKHPEATYERCGSGPWITPRCLVHFPYRLWMFPCTINFTIH -KMRVFVGGVEHRLDVACNWTRGERCDLDDRDRVVMSPLLFSTTQMSILPCSFTPLPAIASGLLHLHQNIV -DVQYLYGVSPSIVGFVIKWEWVVLAFLLLADARICACLWMMLMMAQVEAALENLVVLNATSLAGTYGVSW -FIVAFCAAWLFRGKIVPLSIYGACGTWPFLLVVLALPQRAYALTTEETSTLGVALLAVLIIVTLSPGYKR -LLMYIIWWLTYLLARVEACLQTWIPPLNPRGGRDGFILCFALVYXSLVFEVTKWILVLVCPLYLXRHSLL -TVPYFVRAQALLRACGMVRTMLGGRYVQAALLTLGRWTGTYIYDHLAPLDTWAADGLKDLAVALEPVVFS -PMEKKVIVWGAETAACGDILDGLPVTARRGREILLGPADDYRGAGWQLLAPITAYAQQTRGIXSTIVVSL -TGRDXNEASGEIQVLSTATQTFLGTTISGVLWTAYHGAGHKTLAGGAGPVLQMYTSVDDDLVGWPAPPGA -KSLDPCSCGSSDLYLVTRHADVVPLRRRGDKVAALLSPRPISYLKGSSGGPVMCPSGHAVGIFRAAVCTR -GVAKAVQFVPVENLSVVQRSPSFTDNSSPPAVPSTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNPS -VAATLGFGAYMSKAHGIDPNVRTGVRTVTTGGAITYSTYGKFLADGGCSGGAYDIIICDECHATDATTVL -GIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNIEEIALSGEGEVPFYGKAIPLNVIKGGRHLIFCHSK -KKCDELATKLRSSGLNAVAYYRGLDVSVIPSTGDVVVVATDALMTGYTGDFDSVIDCNQAVVQTIDFSLD -PTFTVETQTIPQDAVSRSQRRGRTGRGKHGIYRFVSQGERPSGMFDTSVLCECYDTGCAWYELTPGETTV -RLRAYXNTPGLPVCQDHLEFWEGVYTGLTHIDAHFLSQTKQGGENFPYLVAYQATVCARAKAPPPSWDQM -WKCLIRLKPMLTGPTPLLYRLGPVTNETTLTHPVTKYISACMSADLEITTSTWVLVGGVIAALAAYCLST -GCVVIVGRVTINGRKVLAPDKEVLYQQFDEMEECADVAGXLARGQEIATMFKDKALSVLESMRPAAEAAK -PVIESNITKLEQFWARHMWNFISGIQYLAGLTTLPGNPAVASMMAFTASVTSPLTTSTTLLLNILGGWLA -SQLAPPAAATSFVVSGIAGAAVGGVGLGKVLVDVLAGYGAGIAGALVAFKIMSGETPTTEDLANLLPAIL -SPGALVVGVVCAAILRRHVGGGEGAAQWMNRLIAFASRGNHVSPTHYVPETDASQKVTQILSSLTITSLV -KKLVSWVQTDYATPCAGDWLXAXYDWVLQVTHDFWAWLKAKTLPLLPGIPLFSCQKGYRGAWRGDGVMTT -KCPCGANLSGHXKNGSMRLVGPKTCANTWRGTFPINAHTTGPCTPQPSXNYTRALWRVSASEYVEVLRHG -DSHYXVGVTXENLKVPCQVPMPEFFTYIDGVRLHRYAPEPKMLLRDEVDFTIGLHSYAVGSQLPCEPELD -XQVVTSLLXDPSHITAEAAARRLARGSPPSEASSSASQLSAPSLKATRSGRAPNPDVELIEANLMWDHTA -GPITRVETTNKVVVVDSFEPLVAEEDDREPSIAAECLTRRKRFPLALPIWARPDYNPPLVESWKNPDYQP -PTVSGCALPPARAAPIPPPXXXXXXXXXDESGASQILLELAKARFPEEPAQSSSSGVDTGEAQTNFEASL -ESDRQSEDSCSSMPPLEGEPGDPDLSDGSWSTVSTHSGVVCCSMSYSWTGALITPMGPEEERLPISSLSN -TMLRHHNMIYSTSSKSATQRIKKVTFDRLQVVDQYYNKVCEEVKAQASKVEARLLTLEEACALTPPHSAK -SRFGYGAKDVRAGSSKALNHIKSVWADLLDDSTTPIPTTIMAKNEVFCIDPSKGGRKPARLIVFPDLGVR -VCEKIALYDPIKKLAEAVMGSAYGFQYSPSQRVEVLLKAWKSKRTPLGFSYDTRCFDSTVTERDIRFECE -VYQSCKLDPDARKAITSLTERLYVGGPMINSRGERCGYRRCRASGVLPTSFGNTLTCYIKAKXAATAAGL -ENLDMLVCGDDLVIICESKGVEEDKAALAAFTEAMTRYSAPPGDPPXPAYDLELITSCSSNVSVALDGAG -KRKYFLTRDPTTPLSRAAWETARRSPVNSWLGNIIAYAPTLWVRTVFMTHFFSLLLSEERLSEPCQFELY -GATYTVVPTDLPAIIERLHGLSAFSLHSYTPLEVSRVASTLRKLGVPPIRVWKQRARRVRAGLIAQGGKA -RICGIYLFNWAVKTKLKLTPLPGAGRLDLSSWFSFSAGGGDVIHSTPGARPRYLLLCLLLLTVGVGIFLL -PAR ->AID60274.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARP -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPSDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYQVRNASGIYHVTNDCPNS -SIVYEAGDAILHTPGCVPCVREGNVSRCWVAVTPTVATKSGGLPTTQLRRHIDLLVGGATLCSALYVGDL -CGGIFLVGQLFTFSPRRHWTTQDCNCSIYPGHITGHRMAWDMMMNWSPTLALVTSQLLRVPQAIVDMISG -AHWGVLAGLAYFSMVGNWAKVMAVLLLFTGVDASTHVTGGAVAHSTRSLTGLFSPGAKQNIQLINTNGSW -HINRTSLNCNDSLQTGFLAGLFYAYKFNSTGCPERLASCRSLSDFAQGWGPITLANINTSEHRPYCWHYA -PRPCGVVPAKQVCGPVYCFTPSPVVVGTTDYKGNPTYRWGENETDVIILNNTRPPLGNWFGCVWMNSTGF -TKTCGAPPCNIGGSGNNTLLCPTDCFRKHPEATYAKCGSGPWLTPRCLVDYPYRLWHYPCTINYTLFQVR -MYVGGVEHRLTAACNWTRGERCELEDRDRSELSPLLLTTTQWQILPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGVGSSIVSWAIKWEYVILLFLLLADARICACLWMMLLISQAEAALENLVLLNAASLAGTHGILPFLV -FFCFAWYLKGRWTPGAVYALYGPWPLLLLLLALPQRAYALDTEVAATCGGAVLICLTVVTLSPYYKHCIA -RIIWWLQYLLTRSEALLQVWIPPLNVRGGRDAIILLMCAIHPNMLFDITKLLLAILGPLWVLQTSLLSVP -YFVRVQGLLRLCALVRKVVGGHYVQMAIIKLGALTGTYIYNHLTPLQDWAHNGLRDLATAVEPVIFSQME -TKLITWGADTAACGDIINGLPVSARRGKEILLGPADRMVSKGWRLLAPITAYAQQTRGLLGCIITSLTGR -DKNQVEGEIQIVSTATQTFLATCLNGVCWTVYHGAGTRTFATSKGPVNQMYTNVDQDLVGWPAPAGSRSL -QPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPISYLKGSSGGPLLCPLGHAVGLFRAAVCTRGVA -KAVDFIPVESMETTMRSPVFTDNSSPPVVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIEPNIRTGVRTITTGSPVTYSTYGKFLADGGCSGGAYDIIICDECHSTDATSILGIG -TVLDQAETAGARLVVLATATPPGSITVPHANIEEVALSTTGEIPFYGKAIPLECIKGGRHLIFCHSKKKC -DELAAKLVGLGVNAVAYYRGLDVSVIPTSGDVVVVATDALMTGYTGDFDSVIDCNTCVTQTVDFSLDPTF -TIETTTLPQDAVSRSQRRGRTGRGKTGIYRFVAPGERPSGMFDSSVLCECYDAGCAWYELTPAETTVRLR -AYMNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQSGENFPYLVAYQATVCARAQAPPPSWDQMWKC -LTRLKPTLHGPTPLLYGLGAVQNEITLTHPITKYIMTCMSADLEVVTSSWVLVGGVLAALAAYCLSTGCV -VIVGRIVLSGKPAVIPDREVLYREFDEREECSAHLPYIEHGMALAEQFRQKALGLLQTASRQAEVVAPTV -QTSWEKLEIFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTAAVTSPLTTSQTLLFNILGGWVAAQL -ASPGASTSFVGAGIAGAVVGSVGLGKILVDILAGYGAGVAGALVAFKIMSGEVPTTEDLVNLLPSILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLRRL -HQWISEESTTPCSGSWLRDIWDWICEVLSDFKTWLKAKLMPQLPGIPLISCQKGYRGVWRGDGIMNTRCP -CGADITGHVKNGTMRIVGPKTCRNTWNGTFPINAYTTGPSTPLPAPNYNRALWRVSAEEYVEVTRVGDFH -YVTGMTTDNLKCPCQVPSPEFFTELDGVRLHRFAPTCKPLLRDEVSFRVGLHEYPVGSQLPCEPEPDVAV -LTSMLTDPSHITAEAAARRLGRGSPPSLASSSASQLSAPSLKATCTTHHDSPDVDLIEANLLWRQEMGGN -ITRVESENKVVILDSFEPLKAEEDEREVSVPAEILRKGRKFPAAMPIWASPSYNPPLLEPWKRPDYVPPV -VHGCPLPPPKPAPVPPPRKKRTVVLTESTVSSALAELATKTFGSSSTSGIESSDATAPSEPSTADQTPES -DAESYSSMPPLEGEPGDPDLSDGSWSTVSSEADKEDVVCCSMSYSWTGALITPCAAEETKLPVNALSNSL -LRHHNMVYSTSSRSASQRQKKVTFDRLQVLDDHYQGVLKEVKAKASKVKARLLSVEEACDLTPPHSAKSK -FGYGAKDVRSHARKAVNHINSVWEDLLEDSVTPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVC -EKMALYDVVKNLPPAVMGEAYGFQYSPAQRVEFLVNTWKSKKTPMGFSYDTRCFDSTVTEADIRTEEAIY -QSCDLDPQARIAIRSLTERLYVGGPLTNSKGESCGYRRCRASGVLTTSCGNTLTCYLKATAACRASGLRD -CTMLVCGDDLIVICESEGVREDEANLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDAAGKR -VYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMFAPTLWARMILMTHFFSILMARDQLEQALDCEIYGA -CYSINPLDIPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRVWRHRARNVRAKLLSRGGKAAT -CGKYLFNWAVKTKLKLTPIPGASQLDLSGWFTAGYSGGDIYHSVSHARPRWLLFSLLLLSVGVGIYLLPN -R ->ACE63642.1 polyprotein [Hepatitis C virus subtype 1b] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRAWAQPGYPWPLYGNEGMGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYEVRNVSGMYHVTNDCSNA -SIVYEAADLIMHTPGCVPCVRESNFSRCWVALTPTLAARNASVPTTAIRRHVDLLVGAAAFCSAMYVGDL -CGSVFLVSQLFTFSPRRHETVQDCNCSIYPGHVSGHRMAWDMMMNWSPTTALVVSQLLRIPQAVVDMVAG -AHWGILAGLAYYSMVGNWAKVLIVMLLFAGVDGRTHTVGSVQGSGAHTLTSLFSSGSSQNIQLINTNGSW -HINRTALNCNDSLNTGFLAALFYKHKFNASGCPERMASCRSIGEFDQGWGPITYAESHSKTKTPPDQRPY -CWHYAPPPCGIVPAAQVCGPVYCFTPSPVVVGTTDRFGVPTYSWGENETDVLLLNNTRPPQGNWFGCTWM -NGTGFTKACGGPPCNIGGVGNNTLTCPTDCFRKHPEATYAKCGSGPWLTPRCLVDYPYRLWHYPCTVNFT -IFKVRMYVGGVEHRLQAACNWTRGERCDLEDRDRSELSPLLLSTTEWQILPCSFTTLPALSTGLIHLHQN -IVDVQYLYGIGSAVVSFAIKWEYVLLLFLLLADARVCACLWMMLLIAQAEAALENLVVLNAASVAGAHGI -LSFLVFFCAAWYIKGRLVPGAAYALYGVWPLLLLLLALPPRAYAMDREMAASCGGAVFVGLMLLTLSPHY -KVFLAKLIWWLQYFITRAEALLQVWIPPLNVRGGRDAIILLTCAIHPELIFTITKILLAILGPLMVLQAG -ITRVPYFVRAHGLIRACMLVRKVAGGHYVQMAFMKLAALTGTYVYDHLTPLRDWAHAGLRDLAVAVEPVI -FSDMETKVITWGADTAACGDIILGLPVSARRGREIHLGPADSLEGQGWRLLAPITAYSQQTRGLLGCIIT -SLTGRDKNQVEGEVQVVSTATQSFLATCVNGVCWTVYHGAGSKTLAGPKGPITQMYTNVDQDLVGWQAPP -GARSLTPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPVSYLKGSSGGPLLCPSGHAVGIFRAAVC -TRGVAKAVDFVPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLN -PSVAATLGFGAYMSKAHGVDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTT -ILGIGTVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSSIGEIPFYGKAIPIETIKGGRHLIFCH -SKKKCDELAAKLSGLGLNAVAYYRGLDVSVIPTSGDVVVVATDALMTGFTGDFDSVIDCNTCVTQTVDFS -LDPTFTIETTTMPQDAVSRSQRRGRTGRGRMGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAET -SVRLRAYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWD -QMWKCLIRLKPTLHGPTPLLYRLGAVQNEVTLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCL -TTGSVVIVGRIILSGKPAIIPDREVLYQEFDEMEECASHLPYIEQGMQLAEQFKQKAIGLLQTATKQAEA -AAPVVESKWRTIEAFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQHTLLFNILGGW -VAAQLAPPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGEMPSTEDLVNLLPA -ILSPGALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTITQ -LLKRLHQWINEDCSTPCSGSWLRDVWDWICTVLTDFKTWLQSKLLPRLPGVPFLSCQRGYKGVWRGDGIM -QTTCPCGAQIAGHVKNGSMRIVGPKTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEVTR -VGDFHYVTGMTTDNVKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLREEVTFQVGLNQYLVGSQLPCEPE -PDVAVLTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTRHDSPDADLIEANLLWRQ -EMGGNITRVESENKVVILDSFEPLQAEEDEREVSVPAEILRKSRKFPRAMPIWARPDYNPPLLESWKDPD -YVPPVVHGCPLPPTKAPPIPPPRRKKTVVLTESTVSSALAELATKTFGSSESSAVDSGTATAPPDQPSDD -GDAGSDVGSYSSMPPLEGEPGDPDLSDGSWSTVSEEASEDVVCCSMSYTWTGALITPCAAEETKLPINAL -SNSLLRHHNLVYATTSRSASQRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKARLLSVEEACKLTPPHS -AKSKFGYGAKDVRNLSGKAVNHIRSVWKDLLEDTETPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLG -VRVCEKMALYDVVSTLPQAVMGSSYGFQYSPGQRVEFLVNAWKAKKCPMGFAYDTRCFDSTVTENDIRVE -ESIYQCCDLAPEARQAIRSLTERLYVGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKASAACRAA -KLQDCTMLVCGDDLVVICESAGTQEDEASLRVFTEAMTRYSAPPGDPPKPEYDLELITSCSSNVSVAHDA -SGKRVYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQ -IYGACYSIEPLDLPQIIQRLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRAWRHRARSVRARLLSQGG -RAAICGKYLFNWAVRTKLKLTPIPAASQLDLSGWFVAGYSGGDIYHSLSRARPRWFMWCLLLLSVGVGIY -LLPNR ->ABK91835.1 polyprotein, partial [Hepacivirus C] -MSTNPKPQRKTNRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKHRR -PTGKSWGHPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCISIPVSALEVRNTSQIYMVTNDCSNS -SIVWQLENAVLHIPGCVPCERAGNLSRCWTPVTPNVAVSQPGALTRGLRAHIDVIVMSATLCSALYVGDV -CGAVMLAAQVVIVSPQHHTFVQDCNCSIYPGHVTGHRMAWDMMMNWSPTITMTLAYLARIPEVILEIIAG -AHWGVMFGLAYFAMQGAWAKVIAILLLTAGVDAATYVTGGNAGRQTSIFTGFFRPGAQQNLQLINTSGSW -HINRTALNCNDSLNTGFIAALFYSHRFNSSGCPQRLAACRSIESFRIGWGALEYEENVTNVEDMKPYCWH -YPPKQCGVVPATTVCGPVYCFTPSPVVVGTTDKLGIPTYTWGGNESDVFLLNSTRPPKGAWFGCAWMNST -GFTKTCGAPPCRIRRDFNASEDLLCPTDCFRKHPDSSYVKCGAGPWLTPKCLVDYPYRLWHYPCTVNYTI -FKIRLFVGGVEHRLQAACNFTRGDRCGLEDRDRSQLSPLLHSTTEMAILPCTFVEVPALSTGLIHLHQNI -VDVQYLYGLSLAITKYMVKWEWVVLLFLLLADARVCACLWMLILLGQAEAALEKLVILHAASAASSNGPL -YFILFFIAAWYIKGRITPMTAYGLLDLWPFVLLLLALPQQAYALDAVEQGQIGLALLMIITAFTLTPAYR -LLLSRSLWWLCYMLVLAEAQIQDWVPPLEARGGRDGIIWAATMLYPRVVFDVTKWLLAILGPGYLLREVL -MGVPYFVRAHAILRACAMVRNIVGGKYVQMALITLGKWTGTYIYDHLSPMTDWAANGLRDLAVAVEPIIF -SPMERKVIVWGAETAACGDIIHGLPVSARLGREILLGPADDHVSAGWRLLAPITAYAQQTRGVMGAIVVS -LTGRDKNEVEGEVQVLSTTTQSFLGTCINGVLWTVYHGAGPRTIAGPKGPVIQMYTNVDKDLVGWPCPPG -ARSLVPCTCGSSDLYLVTRHADVIPARRRGDSRAALLSPRPISYLKGSSGGPILCPSGHAVGLFRAAVCT -RGVAKALDFIPVEGLEASMRSPIFTDNSVPPAVPQEFQIGHLHAPTGSGKSTKVPAAYAAQGYKVLVLNP -SVAATLGFGAYMSKAYGIDPNIRTGVRTITTGGAITYSTYGKFLADGGCSGGAYDVIICDECHSQDATTI -LGIGTVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALPTEGEIPFYGRAIPISYVKGGRHLIFCHS -KKKCDELAKQLTGLGINAVAFYRGLDVSVIPSAGDVVVCSTDALMTGYTGDFDSVIDCNTAVTQVVDFSL -DPTFTIETTTVPQDSVSRSQRRGRTGRGKHGIYRFVSPGERPSGIFDSVVLCECYDAGCAWYELTPAETT -VRLRAYLNTPGLPVCQDHLEFWEGVFTGLTNIDAHMLSQTKQGGENFPYLVAYQATVCVRVQAPPPSWDV -MWKCLVRLKPTLHGPTPLLYRLGAVQNEITLTHPITKYIMACMSADLEVITSTWVLVGGVLAAVAAYCLT -VGSVCIIGRVVLSGKPAVIPDREAMYQQFDEMEECSSRIPYMSEAQAIAEQFKEKVVGLIGLASRQAEEI -KPVATSAWTKAEQFWNKHMWNFVSGIQYLAGLSTLPGNPAVATLMSFTAAVTSPLSTQQTLLFNILGGWV -ASQIAPPTAATAFVVSGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKIMSGERPTTEDLVNLLPAI -LSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPETDASAKVTQLLSSLTITSL -LRRLHQWISEDYSTPCDSTWLREIWDWVCTILTDFKNWLKAKITPSLPGVPFLSCQRGYKGEWRGDGINT -TKCPCGALISGHVKNGTMRIVGPKMCSNTWHGTFPINGSTTGPSVPVPAPNYKFALWRVSAEEYVEVRRV -GEHHYITGMTQDNLKCPCQVPSPEFFTELDGVRLHRYAPPCKPLIREETCFSVGLHSYVVGSQLPCEPEP -DVTVLTSLLTDPSHITAEAAKRRLDRGSPPSLASSSASQLSAPSLKATCTTHRNTPDADLIEANLLWRQC -MGGNITRVEAENKVVVLDSFEPLRPEEDDREISVSADCFRRGPKFPPALPIWARPDYNPPLLESWKRPDY -DPPQVSGCPLPPKALPPIPPPRRKRKQVELSESTVSQVLKDLADARFSGLAPSNDAADSAVGTSTDAASL -AEEEGDSGSEVDSFSSMPPLEGEPGDPDLSDGSWSTMSDEPDSVVCCSMSYSWTGALITPCNAEEEKLPI -SPLSNSLLRHHNLVYCTSSRSAGLRQKKVTFDRLQALDAHYTTVVAEMKEKASSVKADLLSFEAACRLTP -PHSARSKYGYGAKEVRSLSRKALTHIEGVWQDLLEDSETPIPTTVMAKNEVFTVVPSKGGKKPARLIVFP -DLGVRVCEKRALYDLAQKLPTALMGPSYGFQYSPSQRVEFLVKTWKQKKVPMAFSYDTRCFDSTVTEHDI -RTEESIYQSCDLPSEARRVISSLTNRLYCGGPMFNSKGERCGYRRCRASGVFPTSLGNTMTCYIKAVASC -RAAGLRDCTLLVCGDDLVVICESQGVTEDEACLRVFTEAMTRYSAPPGDVPKAAYDLELVTSCSSNVTVA -RDAAGNRVYFLTRDPEIPLARAAWETAKHSPVNSWLGNIIMYAPTLWVRIVMMTHFFSILQSQETLEKAL -AFEMYGSVYSVTPLDLPAIIQRLHGLSAFSLHTYSPCEINRVAACLRKLGVPPLRAWRHRARAVRARLIA -QGGKAAICGKYLFNWAVKTKLKLTPLPDAGRLDLSS ->AQW44516.1 polyprotein [Hepacivirus C] -MSTLPKPQRKTKRNTIRRPQDVKFPGGGQIVGGVYVLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINFATGNLPGCSFSIFLLALFSCLIHPAASFEWRNTSGLYVLTNDCSNS -SIVYEADDVILHKPGCVPCVQDGNTSMCWIPVTPTVAVKYVGATTASVRSHVDLLVGAATMCSALYVGDM -CGAVFLVGQAFTFRPRRHHTVQTCNCSLYPGHLSGHRMAWDMMMNWSPAVGMVVSHILRLPQTLFDVLAG -AHWGILAGLAYYSMQGNWAKVTIIMIMFSGVDASTRTIAGSVAHSTLNFTGLFSQGAQQNLQLVNTNGSW -HINRTALNCNDSINTGFLAGLFYYHKFNSTGCPQRLSSCKPITFFKQGWGSLTDANITGSSDDRPYCWHY -APKPCDVVSASTVCGPVYCFTPSPVVVGTTDKRGVPTYDWGENETDVFLLASLRPPSGRWFGCTWMNSTG -FTKTCGAPPCDIYGGEGNRRNDSDLFCPTDCFRKHPDATYSRCGAGPWLTPRCLVDYPYRLWHYPCTVNF -TLFKVRMFVGGFEHRLTAACNWTRGERCDIEDRDRSEQHPLLHSTTELAILPCSFTPMPALSTGLIHLHQ -NIVDVQYLYGVGSGMVGWALKWEFVILVFLLLADARVCVALWLMLMVSQAEAALENLVALNAISAAGTHG -IGWYLVAFCAAWHVRGKLVPLVTYGLTGLWSLALLVLLLPQRAYAWSGEDSATIGAGILVLFGFFTLSPW -YKHWIGRLMWWNQYAICRCEAALQVWVPPLLARGSRDGVILLTSLLYPSLIFDITKLLIAVLGPLYLIQA -ALTTTPYFVRAHVLVRLCMLVRSVMGGKYFQMVILSIGRWFNTYLYDHLAPMQHWAAAGLKDLAIATEPV -IFSPMEIKVITWGADTAACGDILCGLPVSARLGREVLLGPADDYREMGWRLLAPITAYTQQTRGLLGTIV -TSLTGRDKNVVTGEVQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLAGAKHPALQMYTNVDQDLVGWPAP -PGAKSLEPCTCGSADLYLVTRDADVIPARRRGDSTASLLSPRPLACLKGSSGGPVMCPSGHVAGIFRAAV -CTRGVAKALQFIPVETLSTQARSPSFSDNSTPPAVPQSYQVGYLHAPTGSGKSTKVPAAYVAQGYNVLVL -NPSVAATLGFGSYMSRAHGIDPNIRTGNRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQDAT -SILGIGTVLDQAETAGVRLTVLATATPPGSITVPHPNIEEVALGSEGEIPFYGKAIPLALLKGGRHLIFC -HSKKKCDEIATKLRGMGLNAVAYYRGLDVSVIPTAGDVVVCATDALMTGFTGDFDSVIDCNVAVEQYVDF -SLDPTFSIETRTAPQDAVSRSQRRGRTGRGRLGTYRYVTPGERPSGMFDSVVLCECYDAGCSWYDLQPAE -TTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFSYLTAYQATVCARAQAPPPSW -DEMWKCLVRLKPTLHGPTPLLYRLGPVQNETCLTHPITKYVMACMSADLEVTTSTWVLLGGVLAALAAYC -LSVGCVVIVGHIELGGKPALVPDKEVLYQQYDEMEECSQAAPYIEQAQAIAHQFKEKVLGLLQRATQQQA -VIEPIVTSNWQKLETFWYKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNILGG -WVATHLAGPQSSSAFVVSGLAGAAIGGIGLGRVLLDIWQDTDLAGLSTLPGNPAVASLMAFTASVTSPLT -TNQTMFFNILGGWVATHLAGPQSSSAFVVSGLAGAAIGGIGLGRVLLDILAGYGAGVSGALVAFKIMGGE -LPTTEDMVNLLPAILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAA -RVTALLSSLTVTSLLRRLHQWINEDYPSPCSGDWLRTIWDWVSAVLTDFKTWLSAKIMPALPGLPFISCQ -KGYKGVWRGDGVMSTRCPCGASIAGHVKNGSMRLAGPRTCANMWYGTFPINEYTTGPSTPCPSPNYTRAL -WRVAASSYVEVRRVGDFHYITGATEDELKCPCQVPAAEFFTEVDGVRIHRYAPPCKPLLRDEITFTVGLN -SYAIGSQLPCEPEPDVSVLTSMLRDPSHITAETAARRLARGSPPSEASSSASQLSAPSLKATCQTHRPHP -DAELVDANLLWRQEMGSNITRVESETKVVILDSFEPLRAEPDDAELSVAAECFKKPPKYPPALPIWARPD -YNPPLVDRWKAPDYVPPTVHGCALPPRSTPPVPPPRRKRVIQLDGSNVSAALAALAEKSFPSSNPQEENS -SSSGVDTQSSTTSKVPPSPGGESDSESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTG -ALITPCSAEEEKLPISPLSNSLLRHHNLVYSTSSRSASQRQRKVTFDRLQVLDDHYKTALKEVKERASRV -KARMLTIEEACALVPPHSARSKFGYSAKDVRSLSSRAVNQIRSVWEDLLEDTTTPIPTTIMAKSEVFCVD -PAKGGRKPARLIVYPDLGVRVCEKRALYDVIQKLSIATMGSAYGFQYSPQQRVERLLEMWTSKKTPLGFS -YDTRCFDSTVTEQDIRTEEEIYQCCTLEPEARKVISSLTERLYCGGPMFNSKGAQCGYRRCRASGVLPTS -FGNTITCYIKATAAARAAGLRNPDFLVCGDDLVVVAESDGVDEDRAALRAFTEAMTRYSAPPGDAPQPTY -DLELITSCSSNVSVARDNKGKRYYYLTRDATTPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTH -FFSILQSQEILDRPLDFEMYGATYSVTPLDLPAIIERLHGLSAFTLHSYSPVELNRVAGTLRKLGCPPLR -AWRHRARAVRAKLIAQGGKAKICGLYLFNWAVRTKTNLTPLPAAGQLDLSSWFTVGVGGNDIYHSVSRAR -TRHLLLCLLLLTVGVGIFLLPAR ->ACS29436.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRLLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYNYRNSSGVYHVTNDCPNS -SIVYEADHHILHLPGCVPCVRVGNKSTCWVSLTPTVAAPYLNAPLESLRRHVDLMVGAATLCSALYIGDV -CGGAFLVGQLFTFQPRRHWTTQDCNCSIYTGHITGHRMAWDMMMNWSPTTTLVLAQLMRIPSAMVDLLAG -GHWGILVGIAYFSMQANWATVILVLFLFAGVDAETIVSGGQAGRTMFGFTSLLNLGPSQKLQLINTNGSW -HINRTALNCNDSLNTGLIASLFYAHRFNSSGCPERLASCRSLDSFQQGWGPLGIYQANQSDTRPYCWNYT -PQPCWTVPASTVCGPVYCFTPSPVVVGTTDRLGVPTYTWGENETDVFLLNSTRPPRGAWFGCTWMNGTGF -TKSCGGPPCRITTINNTWGCPTDCFRKHPEATYIKCGSGPWLTPRCLVHYPYRLWHYPCTVNYTIFKIRM -YVGGIEHRLDVACNWTRGEPCDLEHRDRAEISPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQY -LYGVGSAVVSWALKWEYIVLAFLLLADARLCACLWMMLMVSQVEAALANLITINAVSVAGIHGFWYAIFV -ICIAWHVKGRFPAAVTYAACGLWPLLLLVLMLPERAYAFDREIAGSAGGGVLVLLTLLTLSSHYKQWLAR -GIWWLQYFIARAEAITHVYVPSLDVRGPRDSIIILTALAFPHVAFETTKHLLAILGPLYILQASLLCVPY -FVRAHALVKLCSLVRGVMCGKYCQMALLKIGALTGTYVYNHLTPLSDWAAEGLNDLAVALEPVVFTAMEK -KIITWGADTAACGDILQGLPVSARLGNEILLGPADAHATRGWRLLAPITAYAQQTRGTLGTIITSLTGRD -TNENCGEIQVLSTATQSFLGSAINGVMWTVYHGAGSKTISGPKGPVNQMYTNVDQDLVGWPAPPGVKSLA -PCTCGSSDLFLVTRHADVVPVRRRGDTRGALLSPRPISTLKGSSGGPLLCPLGHVAGIFRAAVCTRGVAK -AVDFVPVESLETTMRSPVFSDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAAT -LGFGAYMSKAHGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCAGGAYDIIICDECHSTDATTVLGIGT -VLDQAETAGARLVVLATATPPGSVTTPHSNIEEVALPTTGEIPFYGKAVPLSLVKGGRHLIFCHSKKKCD -ELAKQLSSLGLNAVAYYRGLDVSVIPLSGDVVVCATDALMTGFTGDFDSVIDCNTSVIQTVDFSLDPTFT -IETTTVPQDAVSRSQRRGRTGRGRLGIYRYVTPGERPSGIFDTSVLCECYDAGCAWYELTPAETTIRLRA -YFNTPGLPVCQDHLEFWESVFTGLTHIDGHFLSQTKQAGDNYPYLVAYQATVCAKALAPPPSWDTMWKCL -LRLKPTLRGPTPLLYRLGSVQNEVVLTHPITKYIMACMSADLEVVTSTWVLVGGLLAALAAYCLSVGSVV -IVGRVVISGQPAVIPDREVLYRQFDEMEECSKHVPFVEHGLQLAEQFKQKAIGLMSIAGKQAQEAAPVVQ -SNFAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPTIASLMAFTAAVTSPLTTQQTLLFNILGGWVASQIA -TPTASTAFVISGIAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGETPSTEDLVNLLPAILSPGA -LVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVPESDAAARVTVILSSLTVTSLLRRLH -KWINEDCSTPCDRSWLWEIWDWVCTVLSDFKTWLKAKLLPRMPGIPFLSCQRGYRGVWRGDGVMHTTCTC -GAELAGHVKNGSMRIVGPKTCSNTWHGTFPINAYTTGPSVPIPAPNYKFALWRVSAEEYVEVRRVGEFHY -ITGVTQDNIKCPCQVPAPEFFTEVDGVRLHRHAPACKPILRDDVSFTVGLNTFVVGSQLPCEPEPDVAVL -TSMLTDPSHITAEAARRRLGRGSPPSLASSSASQLSAPSLKATCTDHKDSPGVDLIEANLLWGANATRVE -SEDKVLILDSFEPLVAETDDREISVSAEILRTSKKFPRAMPIWAQPAYNPPLIEXWKQPDYEPPVVHGCA -LPPDKPTPVPPPRRKRAVALSESNISAALASLADKTFXQPAVSSDSGAAFSTPTETSEPDPIIVDDKSDD -GSYSSMPPLEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPCAAEETKLPINPLSNSLLRHHN -MVYSTTSRSAATRQKKVTFDRMQVVDSHYNXVLKEIKAQASTVKARLLTVEEACNLTPPHSARSKFGYGA -KEVRSHTRKAINHINSVWEDLREDNTTPIPTTIMAKNEVFSVTPEKGGKKSARLIVYPDLGVRVCEKRAL -YDAVKQLSLAVMGTSYGFQYSPSQRVEFLLNAWRSKKTPMGFSYDTRCFDSTVTERDIRVEEEVYQCCDL -EPEARKVISALTERLYVGGPMYNSRGDLCGIRRCRASGVFTTSFGNTLTCYLKANAAIRAAGLKDCTMLV -CGDDLVVIAESDGVEEDKRALGAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDGTGKRVYYLT -RNPETPLARAAWETARHTPVNSWLGNIIIYAPTIWVRMVLMTHFFSILQSQEALEKALDFDMYGVTYSIT -PLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGSLRKLGVPPLRAWRHRARAVRAKLIAQGGRARICGIYL -FNWAVKTKAKLTPLPAAAKLDLSSWFTVGAGGGDIYHSVSHARPRYLLLCLLLLSVGVGIFLLPAR ->AEI00315.1 polyprotein, partial [Hepacivirus C] -MSTNPKPQRQTXRNNNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPVL -GAPLGGVARVLAHGVRVLEDGVNYATGNIPGCSFSIFLLALLSCLTVPASAYEVRNSSGIYHVTNDCPNS -SIVYEMENAILHLPGCVPCVREGNHSRCWTPLTPTVASANASFPVQSFRRHVDLMVGAATLCSALYVGDL -CGSVFLAAQLITFSPRRHITVQDCNCSIYSGHVTGHRMAWDMMMNWSPTGALVVAQLLRIPQAIVDMVAG -AHWGVLAGLAYYSMAGNWAKAVIVLLLFSGVDATTQVTGGETAKHTSXLVXFFSLGPQQKLELVNTNGSW -HINRTALNCNDSLNTGFIAALXYTHXFNSSGCPERMSSCRPITTYSQGWGTITYDGRPASXQRPYCWHYA -PPLCGIVDARTVCGPVYCFTPSPVVVGTTDQRGVPTYNWGENETDVFLLNNTRPPTGNWFGCTWMNGTGF -TKTCGAPPCNINGEPNNTLLCPTDCFRKHPEATYTKCGSGPWLTPRCLVDYPYRLWHYPCTVNYTIFKVR -MYVGGIEHRLSVACNWTRGERCDLEDRDRSELSPLLLSTTQWQVLPCSFTTMPALSTGLIHLHQNIVDVQ -YLYGIGSVVVSWALKWEYVVLLFLLLADARVCACLWMMLLISQAEAALENLVVLNAAALCGVHGVPAFLL -FFCAAWYLKGRWAPGAAYALYGMWPFLLLLLMLPHRAYALNQDVAASTGTGLLXCLTILTLSPYYKEWLT -RLMWWLQYFLTRAEAALQVWVPPLRVRGDRDAVILIACAFHPRLIFDXTKYLLAILGPLWVLQAALXKVP -YFVRAQVLIRLCLLVRRLTGGQYAQMVLIKMGAYCGTYIYDHLSPLRDWAHSSFRDLTTAVEPVVFSPME -TKVILYGADTAACGDIICGLPVSARRGRELFLGPADGMTSKGWRLLAPITAYAQPTRGLLGCIVTSLTGR -DKNQVEGEIQIMSTASQTFLATCINGACWTVYHGAGSKTLAGPKGPICQMYTNVDLDLVGWPSPQGSRSL -TPCTCGASDLYLVTRHADVIPVRRRGDGRAALLSPRPLSYLKGSSGGPLLCPSGHAAGLFRAAVCTRGVA -KAVDFIPVEALETTMRSPVFTDNSTPPAVPQTFQVAHLHAPTGSGKSTKVPAAYVAQGYSVLVLNPSVAA -TLGFGAYMSKSHGLDPNIRTGVRTVTTGASLTYSTYGKFLADGGCSAGAYDVIICDECHSTDATSVLGIG -TVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSNTGEIPFYGKAIPLANIKGGRHLIFCHSKKKC -DELAAKLTGLGLNAVAYYRGLDVSVIPTNGDVVVVATDALMTGYTGDFDSVIDCNTSVIQTVDFSLDPTF -SIDTSTVPQDAVSRSQRRGRTGRGKPGIYRFVSPGERPSGMFDSVTLCECYDAGCAWYELTPAETTVRLR -AYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGENFPYLVAYQATVCARAQAPPPSWDQMWKC -LTRLKPTLHGPTPLLYRLGAVQNEITLTHPITKYIMTCMSADLEVVTSTWVLVGGLLAALAAYCLSTGCV -VIVGRIVLNGKPAVIPDREVLYQAFDEMEECSATTTYLQQGAQIAEQFKQKVLGLMQTAGQQAEVLTPTI -QSNWQKLESFWAKHMWNFISGVQYLAGLSTLPGNPAVASLMAFTAAVTSPLTTQQTLLFNILGGWVASQL -APPSAATAFVGAGLVGAAVGGVGLGKVLVDILAGYGAGVAGALVAFKIMSGETPSVEDLVNLLPSILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTISQLLRRL -HRWINEDCSTPCSNTWLRDIWDWVCEVLSDFKCWLKAKLFPQMPGIPFLSCQRGYRGVWRGDGIMNTVCP -CGAQIAGHVKNGSMRITGPRTCSNTWHGTFPINAYTTGPSVPVPTPDYSRALWRVTAEEYVEIRRVGDFH -YVTGMTTDNIKCPCQVPSPEFFTEVDGVRLHRFAPPCKPLIREEANFQVGLHSYVVGSQLPCEPEPDVAV -LTSMLTDPSHITAEAARRRLGRGSPPSLASSSASQLSAPSLKATCTTHHDSPDADLIEANLLWRQEMGSN -ITRVESESKVVILDSFDPLVAETDDREVSVPAEILRKSKKFPVALPVWASPDYNPPLLEVWKAPDYTPPV -VHGCALPPSKQPPIPPPRRKRVVVLDESSVSTALADLAKKTFGGSITTTNDSDSSPAPPQSVTDNSDQAS -EADSFSSMPPLEGEPGDPDLSDGSWSTMSSEEASEDVVCCSMSYSWTGALVTPCAAEESKLPINALSNSL -LRHHNMVYATTSRSASQRQKKVTFDRMQILDDHYKAVVKEVKAKASTVKARLLSIEEACDLTPPHSARSK -YGFGAKDVRDHTPKALRHIRGVWQDLLEDNETPIDTTIMAKSEVFCVAPEKGGRKPARLIVYPDLGVRVC -EKMALYDITQKLPLATMGASYGFQYSPSERVDFLVKAWKGKKTPMGFSYDTRCFDSTVTEADIRMEESIY -QCCDLPPEGRTAIKSLTERLYVGGPLTNSRGQSCGYRRCRASGVLTTSLGNTLTCYLKASAACRAAGLRD -CTMLVCGDDLVVICESDGVQEDAANLRVFTEAMTRYSAPPGDLPQPEYDLELITSCSSNVSVAYDGANKR -TYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLSQEQLEKALDCEIYGA -HYSIKPLDLPLIIERLHGLGAFSLHSYSPGEINRVAACLRKLGVPPLRVWRHRARSVRARLLSRGGRAAT -CGKYLFNWAVKTKLKLTPNPAAAMLDLSSWFTVGYSGGDIYHSV ->AFD18574.1 polyprotein precursor [Hepacivirus C] -MSTLPKPQRKTKRNTIRRPQNVKFPGGGQIVGGVYVLPRRGPTLGVRAVRKTSERSQPRGRRQPIPKARR -NEGRTWAQPGYAWPLYGNEGCGWAGWLLSPRGSRPHWGPNDPRRRSRNLGKIIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGVNYATGNLPGCSFSIFLLAILSCLTVPASGLVYRNNSGLYTVTNDCPNN -SIVYEAEDVILHSPGCVPCIKSDNISRCWIPVTPTVAVRAPGVITASIRSHVDLMVGSATLCSALYVGDL -CGAVFLVGQAFRFRQRQHWTTQDCNCSIYPGHLTGHRMAWDMMQNWSPAVSLVVAQVLRLPQVAIDLLVG -SHWGVLAGLAYFSMQGNWAKVLLVLCLFAGVDADTHVTGGTAAYSTRSIVGLFTPGAQQKIQLINSNGSW -HINRTALNCNDSLHTGFLAALFYTHKLNSSGCPDMMSSCRPLDTFPQGWGTLKDANITDTMDIRPYCWHY -APKPCDIVPAQSMCGPVYCFTPSPVVVGTTDRRGVPTYTWGENETDVFLLKSPRPPAGLWFGCTWMNATG -YVKACGGPPCDIYGGVPQINNTMLRCPTDCFRKHPDATYSRCGSGPWLTPRCIIDYPYRLWHYPCTVNYT -IFKVRMYVGGIEHRLNAACNWTRGERCDLSDRDRSEIQPLLHSTTELAILPCSFTSMPALSTGLIHLHQN -IVDVQYLYGIGSSICGWAIKWEFVILIFLLLADARVCVCVWMLLLVTQAEAALENLIVLNAVSAAGVRGF -PWALVAFCCAWYYKGRVVPLATYTLTGLWPLALLVLMLPTRAYAWTGEDTATIGSGLLLLIGLLTLSPNY -KSWLARVIWWNQYAIARCEAALQVWVPPLLVRGGRDGJILLAALFHPGLVFDITKLLLGPLGCMYLLQAA -LTRTPYFVRAHALVRLCILARKAAGGKYVQMAILTIGRWCNTYLYDHLTPLKDWAAEGLADLAMATEPVV -FSQMETKVITWGADTIACGDILSGLPVSARLGKEVLLGPADNYKHMGWRLLAPITAYAQQTRGIFSTIVT -SLTGRDNNEVTGHVQVLSTSTQTFLGTTVGGVMWTVYHGAGNKSLAGKKRPWLQSYTNVDQDLVGWPAXP -GARSSDPCTCGSSDLYLVTRDADVIPARRRGDSTASLLSPRPLSTLKGSSGGPLLCPAGHVVGVFRAAIV -TRGVAKSLQFIPVETLSTQARSPSFTDNSIPPAVPQSYQVSYLHAPTGSGKSTKVPAAYVAQGYSVLVLN -PSVAATLGFGAYMSKACGIDPNIRTGTRTVTTGSPLTYSTYGKFLADGGCSGGAYDIIICDECHAQDATS -ILGIGTVLDQAETAGARLVVLATATPPGSVTVPHPNIEEIGLSNEGEIPFYGKAIPLSAIKGGRHLIFCH -SKKKCDELATKLRSTGLNAVAYYRGLDVSVIPTTGDVVVCSTDALMTGFTGDFDSVIDCNTAVEQYVDFS -LDPTFTIETRTVPQDAVSRSQRRGRTGRGRHGIYRFVSQGERPSGMFDSVVICECYDAGCSWYELTPAET -TTRLRAYFNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQAKQQGMSFPYLVAYQATVCARAHAPPPSWD -ETWKCLIRLKPTLHGPTPLLYRIGAVSNEVTLTHPVTKYIMTCMSADLEVTTSTWVLVGGVLAALAAYCL -SVGSVVIVGRIELGNRPAVIPDREVLYQQYDEMEECAAYAPYIEQAQVIAQQFKEKVVGLLQHAGKQAED -IRPAVEPCWRKLEGFWHKHMWNFVSGIQYLAGLSTLPGNPAIASLMAFTASVTSPLSTHQTLLFNILGGW -VATQLASPTASTAFVVSGLAGAAVGGIGLGRVLVDILAGYGAGVAGALVAFKIMSGEAPTTEDLVNLLPA -ILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAAKVTALLNSLTVTS -LMRRLHTWITDDYATPCAGEWLLDIWSWICTVLSDFKTWLSAKLMPALPGIPFLSCQKGFKGIWRGDGVM -TTRCPCGVMISGHVKNGSMRLVGPRRCANLWHGTFPINEYTVGPCVPIPTENYSRALWRVAAEDYVEIKR -VGDCHYVVGTTSDGLKCPCQVPTAEFFTEVDGVRLHRYAPPCKPLMRDEVTFTVGMHTYIIGAQLPCEPE -PDVTVLTSMLRDPSHITAEAAARRLARGSPPSLASSSASQLSAPSLHATCNTHGKLPDAELVDANLLWRQ -EMGSNITRVESETKVVILDSFEPLRAVHDEHEISEAADCFKKPPNYPPALPIWARPDYNPPLHEPWKDPG -YKAPVVHGCPLPPTPTAPIPPPRKKRTIQLDGSRVALELARLADKTFGGASSSSEAPSTSGLGSSDTDAP -PPPLQDDAGSDAGSYSSMPPLEGEPGDPDLSSGSWSTVSGXEDGVVCCSMSYSWTGALITPCTAEEEKLP -ISPLSNSLLRHHNLVYSTSSRSAGLRQKKVTFDRLQVLDDHYKAVVKEIKEKASEVKADLLSVEEACRLT -PPHSARSKYGYGAKEVRSLAGKAVNHIHSVWEDLLADSTTPIPTTIMAKNEVFAVNPSKGGRKPARLIVF -PDLSVRVCEKRALYDVTQKLPTAVMGPAYGFQYSPAQRVERLLDMWKSKKVPLGFSYDTRCFDSTVTEQD -IRVEESIYQSCQLTPEAKVAIKALTERLYIGGPMFNSKGEKCGYRRCRASGVLPTSLGNTITCYIKARAA -CRAAKLQDPSFLVCGDDLVVVAESSGVEEDKAALRAFTEAMTRYSAPPGDEPQPTYDLELITSCSSNVSV -ALDAAGKRYYYLTRDPEIPLARAAWETARHTPVNSWLGNIIMYAPTLWVRIVMLTHFFSILQSQEQLGKA -LDFEMYGATYSVTPLDLPAIIQRLHGLSAFSLHSYSPHELNRVAGALRKLGAPPLRAWKHRARQVRARLV -TQGGRAAVCGIYLFNWAVRTKAKLTPLSDASRLDLSSWFTVGAGGGDIYHSVSQARPRLLLLGLLLLTVG -VGIFLLPAR ->AGV23521.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCISVPVSAVQVKNTTTSYMATNDCQNS -SITWQLQDAVLHVPGCVPCERVDNRSQCWIPVTPNVAVKRRGALTSGLRAHIDTIVVAATTCSALYIGDF -CGAVMIAAQLVMASPQRHNFVQDCNCSIYPGHITGHRMAWDMMMNWSPTVTMIVAYAMRVPEVIIDIIAG -GHWGVMFGMHYFAMQGAWAKVVVIIMLTAGVDANTRLVAGQPAQTASALVSWFSPGPQQRLSLVNSNGSW -HINRTALNCNDSLNTGFIASLFYVRNFNSSGCPERMAACRSLDSFRIGWGSIEHETNVTNDEDTRPYCWH -YPPKPCGIVPARSVCGPVYCFTPSPVVVGTTDRARVPTYSWGENETDVFLLNNTRPPKGPWFGCSWMNSP -GFTKTCGAPPCRIRKDFNASEDLLCPTDCFRKHPEATYTKCGAGPWLTPRCLVHYPYRLWHYPCTVNFTI -FKIRMYVGGVEHRLEAACNFTRGDRCNLEDRDRGQLSPLLHSTTEWAILPCTFSDLPALSSGLLHLHQNI -VDVQYLYGLSPAITKYIVKWEWVVLLFLLLADARICACLWMLILLGQAEAALEKLIILHSASAASANGVH -WFGIFFIAAWYIKGRLVPAATYSLLGLWSFLFLVLALPQQAYAFDAAEQGQLGLALLVLITVFTITPAYK -VLLQRSTWWLCYMLILAEAQIQQWVPPLEARGGRDGVVWAAVLFCPHIIFDITKWLLAVLGPLWLLRDVL -FQVPYLVRAHAILRACTLVKGIMGGKYVQMAIITFGRWTGTYIYDHLSPMSTWAAQGLRDLAVAVEPVVF -SPMERRVIQCGAETIACGDILHGLPVSARLGREVLLGPADEYTSKGWRLLAPITAYAQQTRGLLGAIVVS -MTGRDKTEYAGEVQVLSSVTQTFLGTSISGTLWTVYHSAGNKTLAGPKGPVTQMYTSAEGDLVGWPAPPG -TKSLDVCTCGASDLYLVTRNAEVIPVRRRGDTRGALLSPRPLSTLKGSSGGPVLCPRGHAVGIFRAAVCS -RGVAKSIDFIPVESLDIVTRSPSFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPAAYAAQGYKVLVLNP -SVAATLGFGAYMAKAHGINPNIRTGVRTVTTGDPITYSTYGKFLADGGCSAGAYDVIICDECHVTDSTSI -LGIGTVLDQAETAGVRLVVLATATPPGSVTTPHPNIEEVALGHEGEIPFYGKAIPLAHIKGGRHLIFCHS -RKKCDELASALRSMGLNAVAYYRGLDVSVIPAQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQIVDFSL -DPTFTITTQTVPQDSVARSQRRGRTGRGRLGVYRYVSAGERPSGMFDSVVLCECYDAGAAWYELTPSETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQSGENFAYLTAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLVGPTPLLYRIGPVTNDVTLTHPVTKYIATCMQADLEIMTSTWVLAGGVLAAVAAYCLA -TGCVSIIGRLCINHRTVIAPDKEVLYEAFDEMEECASKAVLIEEGARIAEMLKSKIQGLLQQATRQAQDI -QPAVQASWPKLEQFWAKHMWNFISGIQYLAGLTTLPGNPAVASMMAFSAALTSPLSTSTTILLNIMGGWL -ASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDILAGYGAGISGALVAFKIMSGEKPSIEDLVNLLPGI -LSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFTSKGNHVAPTHYVTESDASQRVTQLLGSLTITSL -LKRLHAWVSGDYPVPCAGSWLREVWDWVCHILTDFKNWLSSKLFPKMPGIPFISCQKGYRGVWAGTGIMT -TRCPCGADISGNVRMGTMKITGPKTCMNTWQGTFPINCYTEGHCVPKPAPNYKTAIWRVAAAEYAEVTRQ -GSSSFITGLTTDNLKVPCQLPSPEFFSWVDGVQIHRFAPTPKPFFRDEVSFSVGLNDYVVGSQLLCEPEP -DTEVLASMLTDPSHITAEAAARRLARGSPPSEASSSASQLSAPSLKATCTTHAKTFDIDMVNANLFMGGD -VTRIESETKVVMLDSLDSMTEEEDEREPSVPSECLLPRKRFPPAIPVWARPDYNPPLVETWKRPDYKPPT -VFGCALPPSSQTPVPPPRRRRAVVLTESNVGEVLKGLADKSFGQPPDSGDSGHSTGKDAMDDSAKPSQDG -DSGSETGSLSSMPPLEGEPGDPDLESAPIEASPPEAGESEPLGSDSGSWSTCSEEDDSIICCSMSYSWTG -ALVTPCGPEEEKLPINPLSNSLLRYHNKVCSTTSRSASLRAKKVTFDRVQILDKHYESALEDVRAAASKV -SARLLSMEEACALTPPHSARSKYGYGAKEVRSLSRRAVNHIQSVWEDLLEDQQTPIDTTIMAKNEVFCVD -PAKGGKKSARLIVFPDLSVRVCEKMALYDITQKLPQAVMGEAYGFQYSPAQRVDFLLKAWKSKKTPMGFS -YDTRCFDSTVTERDIRTEESIYQACSLSKETRTVIHSLTERLYVGGPMLNSKGQACGYRRCRASGVLTTS -MGNTITCYIKASAACKAAGIIKPTMLVCGDDLVVISESQGIEEDERNLRVFTEAMTRYSAPPGDPPKPEY -DLELITSCSSNVTVALDPQGRRKYFLTRDPTTPLARAAWETAKHSPVNSWLGNIIQYAPTIWARMVLMTH -FFAILQAQDTLGQDLNFEMYGAVYSVSPLDLPAIIQRLHGLDAFSLHTYSPQELTRVAATLRKLGAPPLR -AWKSRARAVRASLIARGGRAAVCGQYLFNWAVRTKLKLTPLPEASRLDLSSWFTTGAGGGDIFHSLSRAR -PRMLLLCLLLLSVGVGIFLLPAR ->AGQ22075.1 polyprotein [Guereza hepacivirus] -MASIPYYPSSPSLTTGLVSTKLRPRHGNGGRRKRKHQGRYTVQPKKTTEAGARKKAQSKADQSGYFSSRN -FWRPGNRNVLHEPSVTLAGLVLPSGGRASWGPDDPRHRSRNLGPLLDYPLGWAADVLSLVPVVGPFAGST -GRALCRIVRGLEDGINFGTKWSGLTLFIVLCFLFPTAMGASCVVDRTGADNATRNGTLTAPSVFRRVSNC -CDASQIFHCTDHWCTHQPGCVPCSLESGNATCWIPYSRLVSHHPDHIGIDMGLGRHVEYLALASTLCELL -EIGELCAAASMVGTYVYANMEVRGNWTCDADCFLLVSSGYDPGFMAFLHWVGSQLNWFTVILDLASRVPA -AIWHTSGQAATIISAVTAVNLLSGKYVKAIAFLAFYVEAVTSASLPVSVEHHTCSAIKPPGYCNNSMPWD -ESKNYLLYCFDPHFVSVTRYSNGYVGIPWRVRGCVWLERETNNHTVTKCCARRVWPCPHCSSDCSWNVTD -PKQTYELCGWGPWFTTVWYYGSPKRVAILDYPGRRYHFPDTYYWATANVVWGNQRLTYAYNKSLLDSLPP -ERWGRLPGVPNLARSRWTEVPKGLYSDLPDLTTGLISKDKDYPDYQLFLTADSMSVVVAMEAWVLSVLLG -ALMGGKFVPILVACFAVFQQAAAAALTRFVDCVIVSTAYDVPWWLIVLLFMLVHRWRKGMYPLLLGNPML -LCLVVLTHRVCPVEARSAVGFDDLGYIFAVGGCVLFCGLVLWLRYSVSNLHSKLVALQGYLNFRAFHWLY -NLEGYNMERLLLLTVLLPNAASTIVVFGLCACVIFNLTIDYLTSPRGRSTYQSWLRLTKNLGRATPYIQR -ALLRVAGSHGHYFYQHLQQTYHLAADTVSTLVSMDPAYPHTTNRRVVYARGQALACGDVVDGLPVVARLN -DFVLLGVGDLPVGWSMLNPFSVHRVYSRRELTCAVVSLTGVDQNSHQGNLFKLGSMLRQWMGFGFEGRLW -TCHHGPRGRRLASHRGPVVPHTDSAEIDMASYPLPKGARCFEPCYCSNVAGGYLVARDLNVYEFVKGEGE -MWTPISHFPLNIAKGTSGSPLLCKQGHVVGMFIAAQTCRGAITRIRIRQIASSAVVSRDVEVSDPTSNPP -LVPKDDYRVEMLVAPTGSGKSTKLPMTYYNAGHRVLVLNPSVATTLSMLPYIKSLFGIRPNIYAGTHTTK -TGSRLTYSTYGRFLASPLPMGHYDVIICDECHAIDATSVLGIGRVLDTQQTLKTRLVILATATPPGCPVK -PHPNIQEIALDDQGEVPFHGCKLPVAALRTGRHLVFQCSKRHCEAMVEDLTSRGIKAVAYYRGLPTTVIP -LTGDVVVVATDALMTGYTGNFDSVFDCNLMVTPTFEVDMDPTFTLGIRTMPQDSINRMQRRGRTGRGRPG -QYYYVSKEAVVSGLVPEANIIECFDSGIAYYGLTPAEIAAALSIYRDEAGLGTFKMNLNFCIELFGHINP -THGDVSIAKDCADNYVLLTAYQRGICRVNKGQKPSDSPRWRGLKPGDGWYNIYNLDGKSPGGAPSHPDID -VIALLLADVEADCSLVNTLIGLGAGFCASWIALDAFGATFIREVCSVTVGETQAERAQVMEDFIGDLIET -EECSFGVLPELYASLRSRIQGLGEAAVKWFAQNPDVPAAVFIRAHAASLLSLLQYSAGLLTLDANPICAS -AMAFFSGILCPLPLPTKLFLAVLGGAFASKIGNERSAILFVGASAVGALTDTSGMSGVLTSLLTGYAAAS -STASVVFQLLCKKLPSALDLAGLATVVTNPGGALLGAIVAGLAFSLTTQGADVWPNRLLAMLTRGNALPD -NYFLETRDLRESLCNLFKNSTPLSLLTRVVGWLNQPVIAQCDGGVRGFLIDLWHNICRLAAVAREMVVGA -TQRVWSPPGVPLYSCTKPYKGRWQGTGQVKAICGCGKQGIWSIQLGRAEPISVSKLCRAYWGGVPINNTL -VGTARPYITDWKTMTVPIGYDSYVQYLKKGDDIFVVATSGDITVPSASPYIAAAVAVDGVCVSPWAGDYT -TPWTQEVTYNGVKTSLPFQIVGLEEPASGSVAPKFTLRWAWQACGFPKQFVEQYPDWISFMRAWNEDPNS -NGGSRVRDPNHCFYCFCPLEGETRTQFGHGNSLYCSLFCSRLARKEEREVPTFAGVTHESDSEESVFASP -CANVDITADEIVERSTYQLALDSVDRAVRVAPDIPKAVDPTAFGGAILASLGVKDPPTGVTLPPQSLHPV -VGFPGITPMGPASGASEPTFFEVKAPGVVKRIDDKPASNILTKPSLVQQAAALVFRQPGSKILPQFPLDD -TVDVPPTTVRSDDGEDKSSSESPSCSSICSPASSFRSTRLRRRRREKKGIDNKGFELPVSVQPTRREQLL -STTKGPTRPVVVPVPETPAPVDGGGEAGGPEEHAPPGPSAPPSSLTRVEAPLPPSAPSGAKRLAPTYPDV -DYTTGGWLLPLDYTDEACAEVFKPTAASEPIEGETPAPEQSVRPASDSKVVRPSSLPLSGFGGARPKVKG -HLAFSVPPGATPGDERITLNVLTPLDYQQEALAIFGGGQTLHWDLADNVGGSLDTKSSGECDHHSASSTG -KQVSFQEICAEPSGRLLIGRNTNVTLGLENTWDPFDALADSLGLDFKPPPPMVRNDPAMDIPSSQGLWVK -ADEVEDILKKITLAPAAQPMSAITVEAGERLCEQEADASSEDSWVTTSSSHVSARQDRPALGTITEDPPT -DPEMPALEGEERQCASWSYSWLNVSAAAKAFAHRVLDPVASVANNLVRKRGLIYATEPADITSRAAKVTV -IRQATYGHHHSKWLNRAIKLAAQLNVEEMTWDEAASVTSNKTARSRVTGLTGREVKAGHPTAVQEVARIV -NALRDGDIPEPYDQVTIMPKSEVFVRRRAKYTTKPPRIIAYPHLEMRFAEKLILGKLAPAVAKAVCGEEY -GFQYNPQQRVDRLVKMWKQKKTPAVFTSDIVCFDSTITPADVDHERLIYRAATRKDETKAAIDALHRRLY -AGGPMISQQGEPLGRRNCRASGVFTTSSSNTITAWLKVHAACDMAGIKSPSLLVCGDDVVCVFESTQDDS -SKMTLFAKAMASMGAPLGATPKALYDLELAEACSSNVTTIRYNDKVDYILTRDPAIPFARCTAEGKGFNP -EGAWIGNLVGYSRTLWARVIAVQLMETLINLEEIPKMVEMDWYGKKWNLPIADLPEIMQSLHGPNTWSKG -YYTNREIQRVGAVLMELGMPKYRWYRLQARKLRSLAIRRGGVLKKLATYLLGFAAAVPVQPLDDKVVARY -SDVNFHDHYDDKTIDAGDVEIRSSPKYWIPLISILLISLIVLKLH ->BAK61611.1 polyprotein [Hepatitis C virus subtype 2b] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGCGWAGWLLSPRGSRPTWGPTDPRHRSRNLGKVIDTVTCGFADLMGYIPVV -GAPVGGVARALAHGVRVLEDGINYATGNLPGCSFSIFLLALLSCVTVPVSAVEVRNTSTSYYATNDCSNN -SITWQLTDAVLHLPGCVPCENDNGTLRCWIQVTPNVAVKHRGALTRNLRTHVDMIVMTATVCSALYVGDV -CGAVMIASQALIASPQRHNFTQECNCSIYQGHITGQRMAWDMMLNWSPTLTMILAYAARVPELVLEIVFG -GHWGVVFGLAYFSMQGAWAKVIAILLLVAGVDATTYHTGVQAGQTMSRLTGLFSVGSKQNIQLINANGSW -HINRTALNCNDSLQTGFIASLFYVNKFNSSGCPERMSSCRRLDDFRIGWGSLEYETDVTNDQDMRPYCWH -YPPKPCGIVPATTVCGPVYCFTPSPVVVGTTDKQGVPTYSWGANETDVFLLNSTRPPQGAWFGCTWMNGT -GFTKTCGAPPCRIRRDYNSTLDLLCPTDCFRKHPGATYIKCGAGPWLTPRCLVDYPYRLWHYPCTVNFTI -FKVRMYVGGVEHRFSAACNFTRGDRCRLEDRDRGQQSPLLHSTTEWAVLPCSFSDLPALSTGLLHLHQNI -VDVQYLYGLSPAITRHIVKWEWVILLFLLLADARVCACLWMLIILGQAEAALEKLIILHSASAASANGLL -WFFIFFTAAWYLKGRVVPAATYSVLGLWSFLLLVLALPQQAYALDAAEQGELGLVILVVISIFTLTPAYK -ILLSRSVWWLSYMLVLAEAQIQQWVPPLEVRGGRDGIIWAAVILHPRLVFELTKWLLAILGSAYLLKASL -LRIPYFVRAHALLRVCTLVRHLAGARYIQMLLITIGRWTGTYIYDHLSPLSTWAAQGLRDLAVAVEPVVF -SPMEKKVIVWGAETVACGDILHGLPVSARLGREVLLGPADSYTSKGWKLLAPITAYTQQTRGLLGAIVVS -LTGRDKNEQAGQVQVLSSVTQSFLGTSISGVLWTVYHGAGNKTLAGPRGPATQMYTSAEGDLVGWPSPPG -TKSLDPCTCGAVDLYLVTRNADVIPVRRKDDRRGALLSPRPLSTLKGSSGGPVLCPRGHAVGLFRAAVCA -RGVAKSIDFIPVESLAIATRTPSFSDNSTPPAVPQTFQVGYLHAPTGSGKSTKVPAAYASSTKVPAAYAS -QGYKVLVLNPSVAATLGFGAYMSKAHGINPNIRTGVRTVTTGDSITYSTYGKFIADGGCSAGAYDVIICD -ECHSVDATTILGIGTVLDQAETAGARLVVLATATPPGTVTTPHSNIEEVALGHEGEIPFYGKALPLAYIK -GGRHLIFCHSKKKCDELAAALRGLGVNAVAYYRGLDVSVIPTQGDVVVVATDALMTGYTGDFDSVIDCNV -AVTQVVDFSLDPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSSGERPSGMFDSVVLCECYDAGAA -WYELTPAETTVRLRAYFNTPGLPVCQDHLEFWEAVFTGLRHIDAHFPSQNNFGGENFAYLTAYTATVCAR -AKSPPPPWDVMWKCRTRLKPTLTGPTPLLYRLGAVTKEVTLTHPVTKYIATCMQADLEVMTSTWVLAGGV -LAAVAAYCLATGCISIIGRIHLNDQVVVAPDKEILYEAFDEMEECASKAALIEEGQRMAEMLKSKIQGLL -QQATRQAQDIQPAIQSSWPKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTT -ILLNIMGGWLASQIAPPAGATGFVVSGLVGAAVGSIGLGKILVDVLAGYGAGISGALVAFKIMGGENPSV -EDVVNLLPAILSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVAPTHYVTESDASQRVTQ -MLSSLTITSLLRRLHAWITEDCPVPCSGSWLRDIWDWVCSILTDFKNWLSSKLFPKMPGLPFISCQKGYR -GVWAGTGVMTTRCPCGANISGHVRMGTMKITGPKTCLNMWQGTFPINCYTEGPCVPKPSPNYKTAIWRVA -ASEYVEVTQHGSFSYVTGLTSDNLKVPCQVPAPEFFSWVDGVQIHRFAPTPGPFFRDEVTFTVGLNSFVV -GSQLPCDPEPDTEVLASMLTDPSHITAEAAARRLARGSPPSQASSSASQLSAPSLKATCTTHKMAYDCDM -VDANLFMGGDVTRIESDSKVIILDSLDSMTEVEDDREPSIPSEYLIRRRKFPPALPPWARPDYNPPVIET -WKRPDYEPPTVLGCALPPTPQAPVPPPRRRSAKVLTHHNKEGVFREMADKVLSPLQDHNDSGHSTGMDTG -EDSVQQPSDETAASEAGSLSSMPPLEGEPGDPDLEFEPAETAPLSEGECEIIDSDSKSWSTVSDQEDSVV -CCSMSYSWTGALITPCGPEEEKLPINPLSNSLMRFHNKVYSTTSRSASLRAKKVTFDRVQVLDTYYDSVL -QDVKRAASKVSARLLSIEEACALTPPHSAKSRYGFGAKEVRSLSRRAVNHIRSVWEDLLEDQHTPIDTTI -MAKNEVFCVDPAKGGKKAARLIVYPDLGVRVCEKMALYDIAKKLPTAVMGASYGFQYSPAERVDFLLKAW -GSKKDPMGFSYDTRCFDSTVTERDIRTEESIYQACSLPQEARTVIHSLTERLYVGGPMTNSKGQSCGYRR -CRASGVFTTSMGNTMTCYIKALAACKAAGIVDPVMLVCGDDLVVISESQGNEEDERNLRAFTEAMTRYSA -PPGDLPRPEYDLELITSCSSNVSVALDSRGRRRYFLTRDPTTPITRAAWETARHSPVNSWLGNIVQYAPT -IWVRMVIMTHFFSILLAQDTLNQNLNFEMYGAVYSVNPLDLPAIIERLHGLDAFSLHTYSPHELSRVAAT -LRKLGAPPLRAWKSRARAVRASLIAQGGRAAICGRYLFNWAVKTKLKLTPLPEASRLDLSGWFTVGAGGG -DVFHSVSHARPRLLLLCLLLLSVGVGIFLLPAR ->AGV23524.1 polyprotein [Hepacivirus C] -MSTNPKPQRQTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRVGVRATRKTSERSQPRGRRQPIPKVRR -STGRSWQQPGYPWPLYGNEGLGWAGWLLSPQGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GGPVGGLARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALFSCITVPASAVEVRNLSDSYMVTNDCSNS -SITWQLKDAVLHLPGCVPCEKVRLKPDGNDTFKCWTPLTPNLAVSYPGALTAGLRTHVDVIVMSATLCSA -LHVGDFCGAVLIASQAFIASPQHHNFVQECNCSLYPGHITGHRMAWDMMMNWSPTVTMILAYAMRVPGLV -IEVIAGGHWGVLFGLAYFGVQGAWLKVVVILLMIAGVESNTIIGSNAGSNTRSLVRLLQHGPNQRIQLIY -TNGSWHVNRTALNCNDSLHTGFISALFNYNRFNSSGCPGRLASCLSLDDFRIGWGSLEYAENVTNPQDMR -PYCWHYPPSQCGIVPAASVCGPVYCFTPSPVVVGTTDEEGVPTYRFGESKTDVFLLNSTRPPSGFWYGCT -WMNSTGFTKTCGAPPCRIRRDFNASADLLCPTDCFRKHPEATYAKCGSGPWLTPRCMVDYPYRLWHYPCT -VNFTIHKIRMFVGGVEHRINAACNFTRGDRCRLQDRDRSQLSPLLHSTTEWAILPCTFADLPALSTGLIH -LHQNIVDVQYLYGLTPAITRYMVRWEWIILLFLLLADARVCACMWMLIMLGQAEAALEKLIVLHAASASS -CQGLPWLIAFFIIAWHLKGRFAPACAYSLLGLWSFLLLVLALPQQAYALTTEGQGHIGLGLLLILTLVTL -SPAYKKIIMLFLWWLCYLLTIAEAMVQEWAPSLQARGGRDGVIWLAVVFTPGVVFDVCKWVLAILGPASL -LKEVLLVTPYFVRAQVLLRICTLVRGVAGGKYVQACLLTLGKWTGTYIYDHLAPMTTWAADGLRDLAVAV -EPVIFSPMEKKVITWGAETVACGDILHGLPVSARLGREVLLGPADHYTSKGWKLLAPITAYAQQTRGVLG -AIVVSLTGRDKTDHAGEVQILSTVTQTFLGTCVSGVMWTVYHGAGSKTLAGPKGPILQMYTGAEGDLVGW -PAPPGTKSLDPCTCGASDLYLVTRNADVLPARRRGDRRGALLSPRPLSTLKGSSGGPVLCAKGHAVGIFR -AAVCSRGVAKAIDFVPIEALDVAMRTPSFTDNGTPPAVPQTYQVGYLHAPTGSGKSTRVPAAYAAQGYKV -LVLNPSVAATLGFGAYMSKAHGINPNIGTGVRTITTGDAITYSTYGKFLADGGCSGGAYDIIICDECHSI -DATTILGIGTVLDQAETVGVRLVVLATATPPGSVTTPHPNIEEVALGHEGEIPFYGKAIPLSQLKGGRHL -IFCHSKKKCDELAAALRSLGVNAVAYYRGLDVSVIPQDGDVVVVATDALMTGFSGNFDSVIDCNVAVTQT -VDFSLDPTFTIETQTVPQDAVSRSQRRGRTGRGRLGTYRYVSQGERPSGMFDSVVLCECYDAGLSWYELT -PGETTVRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQSGENFAYLVAYQATVCARARAPP -PSWDTMWKCLTRLKPTLNGPTPLLYRLGAVSNEVTLTHPVTKYIATCMQADLEVLTSSWVIAGGVIAAVA -AYCLATGCTAVVGRIRINQRAVIAPDKEVLYEAFDEMEECANTATLLAEGQKVAEMFKAKIQGLLQQASK -QAEEIKPVIHSSWPKLEQFWAKHMWNFISGLQYLAGLTTLPGNPSVASMMAFSAALTSPLATSTTILLNI -MGGWLASQIAPPTAATGFVVSGLVGAAVGSVGLGKVLVDILAGYGAGISGALVAYKIMSGEKPTMEDVVN -MLPAILSPGALVVGVICAAILRRHVGQGEGAVQWMNRLIAFASRGNHVSPSHYVAESEASKQVTQILSSL -TITSLLKRLHAWVSEECPIPCSDSWLRDIWDWVCTVLSDFKTWLSSKLLPKLPGVPFLSCQKGYRGTWSG -TGVMTTRCPCGAYISGHVRLGTMRITGPRTCLNTWQGSFPINSYTEGPCVPRPPPNYKTAIWRVAAQDYA -EVTRVGDNHYVTGLTTDDLKCPCQVPSPEFFSWVDGVQIHRFAPPPGPFFRDEVNFRVGLHSYVVGSQLP -CEPEPDVAVLTSMLTDPSHITAETAARRLARGTTPSEASSSASQLSAPSLKASCTHYKGGPDTDMVDANL -FLGGSVTRIESNSKVVVLDSFDPPSGEEDEREPSIPSEYLLPRKKAFPAALPVWARPDYNPPTVESWKRA -DYTAPVVHGCAIAPPKPVPVPPPRRRRTVALSESAVAEALKELAEKSFGQALPTTDSGLSSEDGNQAVPA -GPHPDETAHSDTGSVSSMPPLEGEPGDPDLDTNSWSTCSDTEDSVVCCSMSYSWTGALITPCSPEGEKLP -INPLSNSLLRYHNKVYSTTSKSAAVRAKKVTFDRVQVLDSHYETVLQDVKQAASKVSANLLSLEEACGLT -PPHSARSKFGYGAKDVRSLSRRAVNHIKSVWEDLLEDSNTPISTTIMAKNEVFCVDPAKGGKKPARLIVF -PDLGVRVCEKRALYDIAQKLPQAIMGASYGFQYSPSQRVDFLLNAWRSKKTPMGFSYDTRCFDSTVTERD -IRTEESIYLACQLPEEARKAIKSLTERLYVGGPMENSKGQACGYRRCRASGVFTTSLGNTMTCYIKAKAA -CKAAGIVDPVMLVCGDDLVVISESKGVEEDQRDLRVFTEAMTRYSAPPGDPPHPEYDLELITSCSSNVSV -ALDPRGRRRYYLTRDPCTPLARAAWETAKHTPVNSWLGNIILYAPTIWVRMVLMTHFFSVLSAQDALGQD -LNFEMYGSTYSVSPLDLPAVIERLHGLDTLSLHSYSPHELTRVAATLRKLGAPPLRAWKSRARAVRASLI -AQGGRAATCGIYLFNWAVKTKRKLTPLPEATRLDLSSWFTVGAGGGDIYHSVSHARPRLLLLCLLLLSVG -VGIFLLPAR ->ACB45492.1 polyprotein [Hepacivirus C] -MSTNPKPQRQTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINYATGNLPGCSFSIFILALLSCLTVPASALHYRNVSGIYHVTNDCPNS -SIVYESDHHILHLPGCVPCVKTEGTPRCWVALTPTVAAPHLAAPLMSLRRHVDLMVGAATLCSALYVGDL -CGGAFLVGQMFTFQPRRHWTVQECNCSIYVGHITGHRMAWDMMMNWSPTTTLLLAQVMRIPSALVDMLSG -GHWGVLAGLAFFGMQANWAKVILILFLFAGVDATTVTTGGIAAQSASGLVNLFSPGSRQNIMLINTNGSW -HVNRTALNCNDSLQTGFLAGLFYHHKFNSSGCPERLAACKRLEAFQQGWGKLGVANINGSSDDRPYCWHY -APKPCGIIPATTVCGPVYCFTPSPVVVGTTDRLGAPTYTWGENETDVFILNSTRPPGGGWFGCVWMNGTG -FTKTCGAPPCLVQTANGSWHCPTDCFRKHPETTYIKCGSGPWLTPRCMVDYPYRLWHYPCTVNYTIFKIR -MYVGGVEHRLQAACNWTRGEPCSLEHRDRTELSPLLLSTTQWQVLPCSFTTMPALSTGLLHLHQNIVDVQ -YLYGVGSAVVSWALKWEYIVLAFLLLADARVCACLWMLMLVGQVEAALANLITINALSAASTHGLPWAMI -FICVAWYVKGRLPAAAVYAACGMWPLFLLLLALPSRAYAFDGETAGSLGCGALLVITILTLSPWYKHWLA -RILWWLQYFIARAEALLHVYVPSLEVRGSRDAIIILTALFHPHLAFDITKHLLAVLGSLYLLQSSLLRVP -YYVRAHALVKICGFVRNMAHGKYIQLALLKVGAWTGTYLYDHLTPLSDWAAQGLKDLAVATEPVMFTPME -KKVIVWGADTAACGDIINGLPVSARLGNEILLGPADAEVQKGWRLLAPITAYAQQTRGLLGTIVTSLTGR -DTNENCGEVQVLSTATQTFLGTGINGVMWTVYHGAGSKTICGPKGPVCQMYTNVDQDLVGWPAPPGVKSL -VPCTCGASDLFLVTRRADVIPVRRRGDTRGALISPRPIATLKGSSGGPLLCAKGHAVGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFSDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGSYMSKAHGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCGGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGVRLTVLATATPPGSVTTPHANIEEVALPQTGEIPFYGRAIPLELIKGGRHLIFCHSRKKC -DELAKQLSSLGLNAVAYYRGLDVSVIPLSGDVVVCATDALMTGFTGDFDSVIDCNTSVIQTVDFSLDPTF -SIETTTVPQDAVSRSQRRGRTGRGRLGIYRYVTPGERPSGMFDTSVLCECYDAGCAWYELTPAETTTRLR -AYFNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGENYPYLVAYQATVCARAQAPPPSWDAMWKC -LIRLKPTLHGPTPLLYRLGSVQNEVTLTHPVTKYIMACMSADLEVVTSTWVLVGGIMAALAAYCLSVGSV -VITGRVLLSGQPAVIPDREVLYQQFDEMEECSNHIPLVEHGLQLAEQFKQKALGVLQSAGKQAQSAAPVV -QSNFAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLTGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGETPTTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPETDAAARVTAILSSLTVTSLLRRL -HKWINEDCSTPCDSSWLREVWDWVCTVLTDFKTWLKAKLLPRLPGVPFLSCQKGFKGEWRGDGVMHTTCP -CGAEIAGHVKNGSMRIVGPKTCSNTWHGTFPINAYTSGPSIPIPAPNYKFALWRVSAEEYVEVRRVGDYH -YIVGVTQDNIKCPCQVPSPEFFTSVDGVQLHRYAPPCKPLLRDEVTFSVGLNTFVVGSQLPCEPEPDVAV -LTSMLTDPSHITAATAARRLARGSPPSLASSSASQLSAPSLKATCTTHHESPDADLIEANLLWRQEMGSN -ITRVESETKVVVLDSFDPIVAEPDDGEVSVPAECLRTSKRFPAPLPVWARPDYNPPLVEVWKKPEYDPPA -VHGCALPPGGLPPIPPPRRKRAVVLTESSVSSALAELAARSFGTTEPSADSGVDQGPPTETSEPDPLIAD -DQSDGESYSSMPPLEGEPGDPDLTSDSWSTVSSSEDVVCCSMSYSWTGALVTPCAAEETKLPISPLSNSL -LRHHNMVYATTSRSATARQKKVTFDRLQVLDNHYHEVLKEIKARATRVKARLLSTEEACDLTPPHSARSK -FGYGAKDVRSHSRKAINHINSVWEDLQEDTTTPIPTTIMAKSEVFSVKPEKGGRKPARLIVYPDLGVRVC -EKKALYDALQQLPRAVMGDAYGFQYSPAQRVEYLLKSWKSKKVPMGFSYDTRCFDSTVTERDIRVEEEIY -QCCDLEPEARKAITALTERLYLGGPMYNSKGDLCGYRRCRASGVYTTSFGNTVTCYLKAVAATRAAGLKD -CTMLVCGDDLVVIAESESVEEDARRLRAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDAAGKK -VYYLTRDPETPLARAAWETVRHTPVNSWLGNIILYAPTIWVRMILMTHFFSILQSQEALEKALDFDMYGV -TYSITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGSLRKLGVPPLRAWRHRARAVRAKLIAQGGRAKI -CGIYLFNWAVKTKLKLTPLSDAANLDLSNWFTVGAGGGDIYHSVSRARPRYLLLCLLLLSVGVGIFLLPA -R ->AID60260.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTNRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRMGVRATRKTSERSQPRGRRQPIPKARR -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPSDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAVEVRNSSGIYHVTNDCPNA -SVVYETGSLIIHLPGCVPCVREGNASRCWVSLSPTVAAKNVSVPVSEIRRHVDLIVGAAXLCSAMYVGDL -CGSIFLVGQLFTFSPRRHWTTQDCNCSIYPGHVTGHRMAWDMMMNWSPTGALVVAQLLRIPQAVVDMVAG -AHWGVLAGLAYYSMVGNWAKVVVVLLLFAGVDAETTVTGGSAAHTTSGIAGLFQPGAKQNIQLINTNGSW -HINRTALNCNDSLHTGWLASLFYTHKFNSSGCPERMAKCRPLTXFGQGWGPITYGGSPDXEQRPYCWHYA -PPPCGIVPAREVCGPVYCFTPSPVVVGTTDRTGVPTYSWGENETDVLLLNNSRPPMGNWFGCTWMNSTGF -TKTCGAPACNIGGNGNKTLLCPTDCFRKHPDATYIRCGSGPWLTPRCLVDYPYRLWHYPCTVNYTLFKVR -MFVGGVEHRLTAACNWTRGERCDLEDRDRAELSPLLLSTTQWQILPCSFTTLPALSTGLIHLHQNIVDVQ -YLYGLSSVVTSWAIKWEYVVLLFLLLADARICACLWMMLLISQVEAALENLIVLNAASLAGTHGIVPFFI -FFFAAWYLKGKWAPGLAYSIYGMWPLLLLLLALPQRAYALDQELAASCGTVVFVCLAVLTLSPYYKQYMA -RGIWWLQYMLTRTEALLQVWVPSLNARGGRDGVILLMCVLHPHLLFEITKIVLAIVGPLWVLQASLLRVP -YFVRAHGLIRLCMLARKVAGGQYVQMALLKMGAFTGTYIYNHLSPLRDWAHSCLRDLAVATEPVIFSPME -IKTITWGADTAACGDIINGLPVSARRGREVLLGPADALTDKGWRLLAPITAYAQQTRGLLGCIVTSLTGR -DKNQVEGEVQIVSTATQTFLATCINGVCWTVYHGAGSRTLASASGPVIQMYTNVDQDLVGWPAPQGARSL -MPCTCGASDLYLVTRHADVIPVRRRGDNRGSLLSPRPISYLKGSSGGPLLCPMGHAAGIFRAAVCTRGVA -KAVDFVPVESLETTMRSPVFTDNSSPPTVPQSYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAHGIDPNIRTGVRTITTGSPITYSTYGKFLADGGCSGGAYDIIICDECHSVDATSVLGIG -TALDQAETAGVRLTILATATPPGSVTVPHPNIEEVALSADGEIPFYGKAIPLSYIRGGRHLIFCHSKKKC -DELAAKLVSLGVNAVAYYRGLDVSVIPTSGDVVVVATDALMTGYTGDFDSVIDCNTCVVQTVDFSLDPTF -SIETSTVPQDAVSRSQRRGRTGRGKHGIYRYVSPGERPSGMFDSVVLCECYDAGCAWYELTPAETTVRLR -AYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQSGENFPYLVAYQATVCARAKAPPPSWDQMWKC -LIRLKPTLTGATPLLYRLGGVQNEIVLTHPITKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLSTGSV -VIVGRIVLNGKPAVIPDREVLYKEFDEMEECAAHIPYLEQGMHLAEQFKQKAVGLLQIASKQAETITPVV -QTNWQKLESFWAKHMWNFVSGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVAAQL -AAPPAATAFVGAGITGAVVGSVGLGKVLVDILAGYGAGVAGALVAFKIMSGEAPTTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDASVRVTQILTSLTVTQLLKRL -HVWISSDCTTPCAGSWLRDVWDWICEVLSDFKCWLKAKLVPQLPGIPFLSCQRGYRGVWQAEGIMHTRCP -CGADITGHVKNGSMRIVGPKTCSNTWRGSFPINANTTGPCTPSPAPNYTFALWRVSAEEYVEVRRLGDFH -YITGVTTDKIKCPCQVPSPEFFTEVDGVRLHRYAPPCKPLLRDEVTFSVGCNDYLVGSQLPCEPEPDVAV -LTSMLTDPSHITAETAARRLKRGSPPSLASSSASQLSAPSLKATCTTCHDSPDADLIEANLLWRQEMGGN -ITRVESENKIVILDSFDPLVAEEDDREISVPAEILRKSKKFPPAMPIWARPDYNPPLLESWKHPDYEPPL -VHGCPLPPLKPTPVPPPRRKRTVVLDESTVSSALAELATKTFGSSTTSGVXSGEAAEPSSAPSCDGELDS -EAESYSSMPPLEGEPGDPDLSDGSWSTVSSDGGKEDVVCCSMSYSWTGALITPCAAEETKLPINALSNSL -LRHHNLVYSTTSRSAGQRQKKVTFDRLQVLDDHYRDVLKEAKAKASRVKAKLLSVEEACSLTPPHSARSK -FGYGAKDVRSHSSKAIRHINSVWQDLLEDNTTPIDTTIMAKNEVFCVKPEKGGRKPARLIVYPDLGVRVC -EKRALYDVIKQLPIAVMGASYGFQYSPAQRVDFLLNAWKSKKNPMGFSYDTRCFDSTVTEADIRTEEDLY -QSCDLDPEARTAIKSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTITCYLKASAACRAAKLQD -YTMLVCGDDLVVICESAGVQEDAAGLRAFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDGTGKR -VYYLTRDPETPLARAAWETARHTPVNSWLGNIIMFAPTLWVRMILMTHFFSMLIAQEHLEKALDCEIYGA -VHSVQPLDLPEIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLRAWRHRARSVRATLLSQGGKAAI -CGKYLFNWAVKTKLKLTPLPSASQLDLSNWFTGGYSGGDIYHSVSHVRPRWFFWCLLLLSVGVGIYLLPN -R ->ABD75828.1 polyprotein [Hepatitis C virus subtype 4d] -MSTNPKPQRKTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPVGGVARALAHGVRLLEDGVNYATGNLPGCSFSIFLLALLSCLTVPTSAYNYRNSSGVYHITNDCPNS -SIIYEADHHILHLPGCVPCVRKGNQSTCWVALTPTVAAPYLNAPLESLRRHVDLMVGSATLCSALYVGDL -CGGVFLVGQLFTFQHRRHWTTQDCNCSIYVGHITGHRMAWDMMMNWSPTATLVLAQLMRIPTAMVDLLAG -GHWGILVGIAYFSMQANWAKVILVLFLFAGVDANTHVTGGRAGLVTSSLTNLXXPGSKQNIQLINTNGSW -HINRTALNCNDSLNTGFLASLFYTHRFNSSGCPERLAGCSSLDSLPQGWGPLGTYQVNESDTKPYCWNYT -PRPCWTVPASTVCGPVYCFTPSPVVVGTTDRLGVPTYTWGENETDVFLLNTTRPPRGAWFGCTWMNSTGF -TKSCGGPPCSVTTNNGTWGCPTDCFRKHPETTYTKCGSGPWLTPRCLVHYPYRLWHYPCTINYTIFKVRM -YVGGIEHRLDAACNWTRGEPCDLEHRDRTELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQY -LYGVGSAVVSWALKWEYIVLAFLLLADARISACLWMMLMVSQVEAALANLVTINAVSAAGTHGFWYAILV -ICIAWHVKGRIPAAATYAACGMWPLLLLVLMLPERAYAFDREVAGSVGGSVLVLLXLLTLSPYYKQWLAR -GIWWLQYFIARAEAVIHVYVPPLDVRGPRDSIIILAALTFPHVVFDVTKHLLAILGPLYILQASLLRVPY -FVRAHALIKICSLVRGVVYGKYCQMALLKMGALTGTYVYNHLTPLSDWAAEGLSDLAVALEPVVFTAMEK -KVITWGADTAACGDILQGLPVSARLGNEILLGPADAHTMKGWRLLAPITAYAQQTRGMLGTIITSLTGRD -TNENCGEVQVLSTATQSFLGTAINGVMWTVYHGAGSKTISGPKGPVNQMYTNVDQDLVGWPAPPGVKSLT -PCTCGSSDLFLVTRNADVVPLRRRGDTRGALISPRPISTLKGSSGGPLLCPLGHAAGIFRAAVCTRGVAK -AVDFVPVESLETTMRSPVFTDNSTPPAVPQTYQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVAAT -LGFGAYMSKAHGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCAGGAYDIIICDECHSTDATTVLGIGT -VLDQAETAGARLVVLATATPPGSVTTPHSNIEEVALPTTGEIPFYGKAIPLSLVKGGRHLIFCHSKKKCD -ELAKQLSSLGLNAVAYYRGLDVSVIPLSGDVVVCATDALMTGFTGDFDTVIDCNTSVIQTVDFSLDPTFS -IETTTVPQDAVSRSQRRGRTGRGRLGIYRYVTPGERPSGIFDTSVLCECYDTGCAWYELTPAETTIRLRA -YFNTPGLPVCQDHLEFWESVFTGLTHIDGHFLSQTKQAGDNFPYLVAYQATVCAKALAPPPSWDTMWKCL -LRLKPTLRGPTPLLYRLGSVQNEVTLTHPITKYIMACMSADLEVVTSTWVMVGGLLAALAAYCLSVGSVV -IVGRVVLSGQPAVIPDREVLYKQFDEMEECSKHVPLVEHGLQLAEQFKQKAIGLMNIAGKQAQEAAPVVQ -SNFAKLEQFWAKHMWNFISGIQYLAGLSTLPGNPTIASLMAFTAAVTSPLTTQQTLLFNILGGWVASQIA -TPTASTAFVVSGIAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGETPSTEDLVNLLPAILSPGA -LVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVPESDAAARVTAILSSLTVTSLLRRLH -KWINEDCSTPCDRSWLWEIWDWVCTVLSDFKTWLKAKLLPRMPGVPFFSCQRGYRGVWRGDGVMHTTCTC -GAELAGHVKNGSMRIVGPKTCSNTWHGTFPINAYTTGPSVPIPAPDYKVALWRVSAEEYVEVRRVGDFHY -VTGVTQDNIKCPCQVPAPEFFTEVDGVRLHRHAPACKPLLRDEVTFSVGLNTFVVGSQLPCEPEPDVAVL -TSMLTDPSHITAEAARRRLGRGSPPSLASSSASQLSAPSLKATCTDHKDSPGVDLIESNLLWGANATRVE -SEDKVLILDSFEPLVAETDDDREISVPAEILRTSRKFPRAIPIWAQPAYNPPLIETWKQPDYKPPVVHGC -ALPPDKPTPVPPPRRKRAVVLSESNISAALASLADKTFSQPGVSSDSGAALSTPTETSEPDPIIVDDKSE -DGSFSSMPPLEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPCAAEETKLPINPLSNSLLRHH -NMVYSTTSRSAVTRQKKVTFDRMQVVDNHYNDVLKEIKARASTVKARLLTVEEACSLTPPHSARSKFGYG -AKEVRSHARKAINHINSVWEDLREDTTTPIPTTIMAKNEVFSVKPEKGGRKPARLIVYPDLGVRVCEKRA -LYDAVKQLSLAVMGDSYGFQYSPSQRVEFLLNAWRSKKTPMGFSYDTRCFDSTVTEKDIRVEEEVYQCCD -LEPEARKVISALTERLYVGGPMYNSKGDLCGIRRCRASGVFTTSFGNTLTCYLKASAAIRAAGLKDCTML -VCGDDLVVIAESDGVEEDKRALGAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDGTGKRVYYL -TRDPETPLARAAWETARHTPVNSWLGNIIIYAPTIWVRMVLMTHFFSILQSQEALEKALDFDMYGVTYSI -TPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGSLRKLGVPPLRAWRHRARAVRAKLIAQGGKARICGIY -LFNWAVKTKAKLTPLPAAAKLDLSSWFTVGAGGGDIYHSVSHARPRYLLLCLLLLSVGVGIFLLPAR ->ABR27424.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARQ -PEGRAWAQPGYPWPLYGNEGMGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTIPASAYQVRNTSGVYHVTNDCSNA -SIVYETADMIMHFPGCVPCVREDNSSRCWAALTPTLAARNTSIPTTAIRRHVDLLVGAAAFCSAMYVGDL -CGSVFLVSQLFTFSPRRHETVQDCNCSIYPGHVSGHRMAWDMMMNWSPTTALVVSQLLRIPQAVMDMVAG -AHWGVLAGLAYYSMVGNWAKVLIVMLLFAGVDGSGTHSVGGSAAHTTSGFASLFRSGASQKIQLINTNGS -WHINRTALNCNDSLQTGFIAALFYTHRFNSSGCPERMASCRSIDRFDQGWGPITYAEPNSSDQRPYCWHY -APRSCGIVPASEVCGPVYCFTPSPVVVGTTDRAGVPTYRWGENETDVLLLNNTRPPQGNWFGCTWMNSTG -FTKTCGGPPCNIGGVGNNTLICPTDCFRKHPEATYTKCGSGPWLTPRCLVDYPYRLWHYPCTVNFSIFKV -RMYVGGVEHRLNAACNWTRGERCDLEDRDRSELSPLLLSTTEWQILPCSFTTLPALSTGLIHLHQNIVDV -QYLYGVGSAVVSLVIKWEYVVLLFLLLADARVCACLWMMLLIAQAEAALENLVVLNAASVAGAHGIFSFL -VFFCAAWYIKGKLVPGAAYAFYGVWPLLLLLLALPPRAYALDREMAASCGGAVFVGLVLLTLSPYYKVFL -AKLIWWLQYFITRAEAHLRVWIPPLDVRGGRDAVIFIACVVHPELVFDITKLLLAILGPLMVLQAGLTRV -PYFVRAQGLIRACMLVRKVAGGHYVQMAFMRLAALTGTYVYDHLAPLRDWAHAGLRDLAVAVEPVIFSDM -ETKVITWGADTAACGDIILGLPVSARRGKEILLGPADSLEGQGWRLLAPITAYAQQTRGLIGCIITSLTG -RDKNQVEGEVQVVSTATQSFLATCINGVCWTVYHGAGSKTLAGPKGPVTQMYTNVDQDLVGWQAPPGARS -LTPCTCGSSDLYLVTRHADVIPVRRRGDSRGSLLSPRPVSYLKGSSGGPLLCPSGHAVGIFRAAVCTRGV -AKAVDFVPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSKAHGVDPNIRTGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGI -GTVLDQAETAGARLVVLATATPPGSVTVPHPNIEEVALSNIGEIPFYGKAIPIETIKGGRHLIFCHSKKK -CDELAAKLSGLGLNAVAYYRGLDVSVIPTSGDVVVVATDALMTGFTGDFDSVIDCNTCVTQTVDFSLDPT -FTIETTTVPQDAVSRSQRRGRTGRGRGGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETSVRL -RAYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNFPYLVAYQATVCARAQAPPPSWDQMWK -CLTRLKPTLHGPTPLLYRLGAVQNEVTLTHPVTKYIMACMSADLEVVTSTWVLVGGVLAALAAYCLTTGS -VVIVGRIILSGKPAVIPDREVLYQEFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAAAPV -VESKWQALEAFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLTTQHTLLFNILGGWVAAQ -LAPPSAASAFVGAGIAGAAVGSIGLGKVLVDILAGYGAGVAGALVAFKVMSGDMPSTEDLVNLLPAILSP -GALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSSLTITQLLKR -LHQWINEDCSTPCSGSWLRDVWDWICTVLSDFKTWLQSKLLPRLPGVPFLSCQRGYKGVWRGDGIMNTTC -PCGAQITGHVKNGSMRIVGPKTCSNTWHGTFPINAYTTGPCTPSPAPNYSRALWRVAAEEYVEVTRVGDF -HYVTGMTTDNVKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLREEVTFQVGLNQYLVGSQLPCEPEPDVT -VLTSMLTDPSHITAETAKRRLARGSPPSLASSSASQLSAPSLKATCTTRHDSPDADLIEANLLWRQEMGG -NITRVESENKVVILDSFDPLRAEEDEREVSVPAEILRKSRKFPPALPIWARPDYNPPLLESWKDPDYVPP -VVHGCPLPPTKAPPIPPPRRKRTIVLTESTVSSALAELATKTFSSSESSAVDSGTATAPPDQSSDGGDAG -SDVESYSSMPPLEGEPGDPDLSDGSWSTVSEEASEDVVCCSMSYTWTGALITPCAAEESKLPINALSNSL -LRHHNMVYATTSRSASQRQKKVTFDRLQVLDDHYRDVLKEMKAKASTVKAKLLSVEEACKLTPPHSARSK -FGYGAKDVRNLSSKATNHIRSVWKDLLEDTETPIDTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGVRVC -EKMALYDVVSTLPQAVMGSSYGFQYSPGQRVEFLVNAWKSKKCPMGFSYDTRCFDSTVTESDIRVEESIY -QSCDLAPEARQAIRSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKASAACRAAKLQD -CTMLVNGDDLVVICESAGTQEDAASLRVFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDASGKR -VYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILLAQEQLEKALDCQIYGA -CYSIEPLDLPQIIERLHGLSAFSLHSYSPGEINRVASCLRKLGVPPLRVWRHRARSVRARLLSQGGRAAT -CGKYLFNWAVKTKLKLTPIPAASQLDLSGWFVAGYSGGDIYHSLSRARPRWFMLCLLLLSVGVGIYLLPN -RWTGS ->AFD18580.1 polyprotein precursor [Hepacivirus C] -MSTNPKPQRQTKRNTNRRPMDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINYATGNLPGCSFSIFLLALLSCLTTPASAIYYRNASGIYHITNDCPNS -SIVYETRHHIMHLPGCVPCVRTGNVSRCWTALTPTVAAPYLNAPLESMRRHVDLMVGAATLCSALYIGDL -CGGVFLVGQMFTFQPRQHWTTQDCNCSIYSGHVTGHRMAWDMMMNWSPTTTLVLAQFMRIPSTLGELLAG -SHWGVLAGLAYYSMQANWAKVILVLFLFAGVEGTTVVTGGSAGHSTTGLVHLFAPGPSQNLHLVNSNGSW -HINRTALNCNDSLNTGFIAGLFYHRRFNSSGCPERLASCRSLDVFSQGWGRLSAYNTSGPSDDKPYCWHY -APRPCGIVPGSTVCGPVYCFTPSPVVVGTTDRQGVPTYTWGENETDVFILNSTRPPGGAWFGCTWMNGTG -FVKTCGAPACLVTTSNRTWNCPTDCFRKHPETTYTRCGAGPWLTPRCMVDYPYRLWHYPCTVNFTVFKVR -MYVGGIEHRLNAACNWTRGEPCDLHHRDRTELSPLLLSTTQWQVLPCSFTTLPALSTGLIHLHQNIVDVQ -YIYGIGSSVVSWALKWEYIVLAFLLLADARICACLWMMMLVAQVEAAMANLININAMAAVGAQGVLYAII -FFCAAWYIKGRAPAAVAYATCSMWPLFFLLLALPERAYAFDGEVAASLGTSVVVLLTIITLSPHYKSWLA -RIMWWLQYFLTRAEALLHVYVPPLEVRGGRDSLIILAAFLHPKLVFEVTKYLLVIIGPASILQASLLQIP -YFVRAHALIKICGLLRGVTHGKYLQMAMLKLGAVCGTYVYDHLTPMSNWAADGLRELAVATEPVIFTPME -RKVIVWGADTAACGDIIHGLPVSARLGNEILLGPADTEDTAGWRLLAPITAYAQQTRGLLGTIVTSLTGR -DKNEICGEIQVLSTATQSFLGTGVNGVLWTVFHGAGSKTICGPKGPVNQMYTNVDQDLVGWPAPPGAKSL -TPCTCGASDLFLVTRHADVIPVRRRGDTRGALLSPRPISVLKGSSGGPLLCPLGHAVGIFRAAVCTRGVA -KALDFVPVESLETTMRSPVFTDNSTPPAVPQTYQVAHLHAATGSGKSTKVPAAYAAQGYKVLVLNPSVAA -TLGFGAYMSKAYGIDPNIRSGVRTITTGAPITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTTILGIG -TVLDQAETAGVRLTVLATATPPGSVTTPHPNIEEVSLPTTGEVPFYGKAIPLEVIKGGRHLIFCHSKKKC -DELAKQLTSLGINAVAYYRGLDVSVIPAAGDVVVCSTDALMTGFTGDFDSVIDCNTSVIQTVDFSLDPTF -SIETTTVPQDSVSRSQRRGRTGRGRLGIYRYVNPGERPSGTFDTSVLCECYDAGCAWYELTPAETTTRLR -AYFNTPGLPVCQDHLEFWEGVFTGLTHIDAHFLSQTKQAGDNYPYLVAYQATVCARARAPPPSWDSMWKC -LIRLXPTLQGPTPLLYRLGPVQNEXTLTHPITKYIMACMSADLEVVTSTWVLVGGVMAALAAYCLSVGSV -VVIGRVILSGQPAIIPDREVLYQQFDEMEECSRHIPLLEHGVQLAEQFKQKALGVLQSANKQAQAAAPVV -QSNFAKLENFWAKHMWNFISGIQYLAGLSTLPGNPAIASLMSFTAAVTSPLTTQQTLLFNILGGWVASQI -ATPTASTAFVVSGLAGAAVGSVGLGKILVDILAGYGAGVAGAVVTFKIMSGEVPSTEDLVNLLPAILSPG -ALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPETDAAARVTAILSSLTVTSLLRRL -HKWINEDCSTPCDTSWLREIWDWVCTVLSDFKTWLKAKLLPLMPGVPFLSCQKGYKGVWRGDGVMHTKCP -CGADLAGHIKNGSMRIIGPKTCSNTWHGTFPINAHTTGSGVPTPAPNYQFALWRVAAEEYVEVRRVGDFH -YITGVTQDNIKCPCQVPAPEFFTEVDGVRLHRYAPPCKPLLREEVSYSVGLNSFVVGSQLPCEPEPDVQV -LTSMLTDPSHLTAEKAARRLARGSPPSQASSSASQLSAPSLKATCTAHHDSPDADLIEANLLWRQEMGND -ITRVESKNKVVILDSFDPIVAEPDDREISTPAEILRRSKKFPPAMPIWARPDYNPPLLETWKQDNYTPPV -VHGCALPPCKPTPVPPPRRKRTVVLTESSVSQALAELASKTFTRPDADADSGTGLTSPTETSDSAPLIAD -DKSDDGSFSSMPPLEGEPGDPDLTSDSWSTVSGSEDVVCCSMSYSWTGALVTPCAAEEPKLPISPLSNSL -LRHHNMVYSTTSRSATARQKKVTFDRLQVVDDHYQAVLKEIKARASGVKAATLSTEEACRLTPPHSARSK -FGYGAKDVRSLSRKAVNHINSVWEDLLEDSVTPIPTTIMAKNEVFSVKPEKGGRKPARLIVYPDLGVRVC -EKRALYNVVQKLPQAIMGDAYGFQYSPAQRVEYLLRLWGSKKVPMGFSYDTRCFDSTVTERDIQAEEEVY -QCCDLDPDTRKVISALTERLYKGGPMFNSKGDLCGYRRCRASGVFTTSFGNTLTCYLKATAAARAAGLKD -HSMLVCGDDLVVISESGGVEEDARALRAFTEAMTRYSAPPGDAPQPAYDLELITSCSSNVSVAHDGGGKR -VYYLTRDPETPIARAAWETVRHTPVNSWLGNIILYAPTIWVRMVLMTHFFSILQSQEALEKALDFDMYGV -TYSITPLDLPAIIQRLHGLSAFTLHGYSPHELNRVAGSLRKLGVPPLRAWRHRARAVRAKLIAQGGXAKI -CGIYLFNWAVKTKLKLTPLPAASQLDLSSWFTVGAGGGDIYHSVSRARPRYLLLCLLLLSVGVGIFLLPA -R ->AQW44226.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRTTRKTSERSQPRGRRQPIPKDRR -STGKSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRHRSRNVGKVIDTLTCGFADLMGYIPVV -GAPLGGVARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCITIPVSAVQVKNTSTTYMVTNDCSND -SITWQVHAAVLHVPGCVPCERVGNTSRCWTPVTPNVAVRQPGALTQGLRAHIDMVVMSATLCSALYVGDL -CGGVMLAAQMFIVSPELHWFVQECNCSIYPGTITGHRMAWDMMMNWSPTATMILAYAMRVPEVIIDIIAG -AHWGVMFGLAYFSMQGAWAKVAVILLLTAGVDAAGTMTAGGSAAHTTSGIVGLFTPGAKQNIQLINTNGS -WHINRTALNCNDSLNTGFLASLFYTHKFNSSGCPDRLAACRSIERFRIGWGTLQYEDNVTNPDDMRPYCW -HYPPKQCGIVPARSVCGPVYCFTPSPVVVGTTDRLGVPTYTWGENETDVFVLNSTRPPAGRWFGCTWMNS -TGFTKTCGAPPCRIRADFNASVDLLCPTDCFRKHPDATYIKCGSGPWLTPRCLVDYPYRLWHYPCTVNYT -IFKIRMYVGGVEHRLTAACNFSRGDPCNLEHRDRSQLSPLLHSTTEWAILPCSYSDLPALSTGLLHLHQN -IVDVQYLYGLSPALTKYIVRWEWVILLFLLLADARVCACLWMLFLLGQAEAALEKLIVLHAASAASCNGF -LYFVIFFVAAWYIKGRMVPLAAYSLTGLWAFSLLLLALPQQAYAYDASVHGQVGAVLLILITLFTLTPGY -KTLLSRCLWWLCYLLTLGEAMVQEWVPPMQARGGRDGIIWAATIFCPGVVFDITKWLLAMLGPAYLLRDS -LTRVPYFVRAHALLRVCAMVKHLAGGRYVQMALLSLGRWTGTYIYDHLTPMSDWAANGLRDLAVAVEPVI -FSPMEKKVIVWGAETAACGDILHGLPVSARLGREILLGPADGYTSKGWRLLAPITAYAQQTRGLLGTIVV -SMTGRDKTEQAGEIQVLSTVTQSFLGTTIGGVLWTVYHGAGNKTLAGSRGPVTQMYSSAEGDLVGWPSPP -GTKSLEPCTCGAVDLYLITRNADVIPARRRGDKRGALLSPRPLSTLKGSSGGPVLCPRGHAVGIFRAAVC -SRGVAKSIDFIPVETLDIVTRSPTFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPVAYAAQGYKVLVLN -PSVAATLGFGAYLSKAHGINPNIRTGVRTVTTGEAITYSTYGKFLADGGCAGGAYDIIICDECHAVDATT -ILGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPNIEEVALGQEGEIPFYGKGIPLSYIKGGRHLIFCH -SKKKCDELAAALRGMGLNAVAYYRGLDVSVIPTQGDVVVVATDALMTGFTGDFDSVIDCNVAVTQVVDFS -LDPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSTGERASGMFDSVVLCECYDAGAAWYELTPAET -TVRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHMDAHFLSQTKQSGENFAYLVAYQATVCARAKAPPPSWD -VMWKCLTRLKPTLVGPTPLLYRLGSVTNEVTCTHPVTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCL -ATGCVSIIGRLHINQRAVVAPDKEVLYEAFDEMEECASRAALIEEGQRIAEMLKAKIQGLLQQASKQAQD -IQPAVQASWPKVEQFWAKHMWNFISGVQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTILLNILGGW -LASQIAPPAGATGFVVSGLVGAAVGSVGLGKVLVDILAGYGAGISGALVAFKIMSGEKPSMEDVVNLLPG -ILSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVTESDASQRVTQLLGSLTITS -LLRRLHNWITEDCPIPCSGSWLRDVWDWVCTILTDFKNWLTSKLFPKMPGIPFISCQKGYKGVWAGTGIM -TTRCPCGANISGNVRLGSMRITGPKTCMNTWQGTFPINCYTEGQCVPKPAPNFRTAIWRVAASEYAEVTQ -HGSYSYITGLTTDNLKVPCQLPSPEFFSWVDGVQIHRFAPTPKPFFRDEVSFCVGLNSFVVGSQLPCDPE -PDTEVLMSMLTDPSHITAEAAARRLARGSPPSEASSSASQLSAPSLRATCTTHGKTYDVDMVDANLFMGG -DVTRIESESKVVVLDSLDPMAEQKSDLEPSVPSEYMLPKTKFPPALPAWARPDYNPPLVESWKRPDYQPP -TVAGCALPPPKKAPTPPPRRRRTVGLSESTIGDALLQLAVKTFGQPPPSGDSGHSTGADAADSGSRTPPD -ELALSETGSTSSMPPLEGEPGDPDLEPEQVELQPPPQGGEAAPGSDSGSWSTCSEEDDSVVCCSMSYSWT -GALITPCSPEEEKLPINPLSNSLLRYHNKVYCTTSKSAALRAKKVTFDRMQVLDAHYDSVLKDIKLAASK -VSARLLTVEEACQLTPPHSARSKYGFGAKEVRSLSGRAVHHIKSVWEDLLEDSQTPIPTTIMAKNEVFCV -DPTKGGKKPARLIVYPDLGVRVCEKMALYDVTQKLPQAVMGASYGFQYSPAQRVDFLLKAWADKKDPMGF -SYDTRCFDSTVTERDIRTEESIYQACSLPEEARTAIHSLTERLYVGGPMFNSKGQTCGYRRCRASGVLTT -SMGNTITCYVKALAACKAAGIVAPTMLVCGDDLVVISESQGAEEDERNLRAFTEAMTRYSAPPGDPPRPE -YDLELITSCSSNVSVAAGPRGNRRYYLTRDPTTPLARAAWETVKHSPVNSWLGNIIQYAPTIWARMILMT -HFFSVLMAQDTLDQNLNFEMYGAVYSVSPLDLPAIIERLHGLDAFSLHTYTPHELTRVAAALRKLGAPPL -RAWKSRARAVRASLITRGGRAAVCGRYLFNWAVKTKLKLTPLPEARHLDLSSWFTVGAGGGDIYHSVSRA -RPRLLLLGLLLLLVGVGLFLLPAR ->AQW44232.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRTTRKTSERSQPRGRRQPIPKDRR -PTGRSWGKPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPNDPRHRSRNVGKVIDTLTCGFADLMGYVPVV -GAPLGGVARALAQGVRVLEDGVNYATGNLPGCSFSIFLLALLSCITTPVSAAQVRNTSDIYMVTNDCPNS -SITWQLQAAVLHVPGCVPCEKVEGGQRCWIPVSPNVAVRQPGALTQGLRTHIDMIVMSATLCSALYVGDL -CGGVMLAAQMFIASPQHHWFVQECNCSIYPGTITGHRMAWDMMMNWSPTATMILAYAMRVPEVIIDIIGG -AHWGVMFGLAYFSMQGAWAKVAVILMLAAGVDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -XXXXXALNCNDSLHTGFIRALFYSNKFNSSGCPERLSVCRNIEAFRIGWGSLQYENDVTNPEDMRPYCWH -YPPKQCGMVPARSVCGPVYCFTPSPVVVGTTDKLGVPTYTWGENDTDVFLLNSTRPPRGSWFGCTWMNST -GFTKTCGAPPCRIRADFNASADLLCPTDCFRKHPDATYNKCGSGPWLTPKCLVDYPYRLWHYPCTVNYTT -FKIRMYVGGVEHRLTAACNFTRGDRCGLEDRDRSQLSPLLHSTTEWAILPCTFSDMPALSTGLLHLHQNI -VDVQYLYGLSPALINYVVRWEWVVLLFLLLADARVCACLWMLILLGQAEAALEKLVVLHAASAASCNGFL -WFVIFFVAAWHIKGRAVPLATYSLTGLWSFCLLLLALPQQAYAYDTSMHGQVGAALLILITLFTLTPGYK -TLLSRCLWWLCYLLTLGEAMVQEWVPPMQARGGRDGITWAATIFCPGVVFDITKWLLAILGPAYLLREAL -TCVPYFVRAHALLRLCAMVKHLAGGRYVQMALLALGRWTGTFIYDHLTPMSDWAASGLRDLAVAVEPIIF -SPMEKKVISWGAETAACGDILHGLPVSARLGQEILLGPADGYTSKGWRLLAPITAYAQQTRGLLGAIVVS -MTGRDKTEQAGEIQVLSTVTQSFLGTSISGVMWTVYHGAGNKTLAGSRGPVTQMYSSAEGDLVGWPSPPG -TKSLEPCTCGAVDLYLVTRNADVIPVRRRGDKRGALLSPRPLSTLKGSSGGPVLCPRGHAVGIFRAAVCS -RGVAKSIDFIPVETLDIVTRSPTFSDNSTPPAVPQTYQVGYLHAPTGSGKSTKVPVAYAAQGYKVLVLNP -SVAATLGFGAYLSKAHGINPNIRTGVRTVTTGESITYSTYGKFLADGGCAGGAYDIIICDECHAVDATTI -LGIGTVLDQAETAGVRLTVLATATPPGSVTTPHPNIEEVALGQEGEIPFYGRAIPLSYIKGGRHLIFCHS -KKKCDELAVALRNMGLNAVAYYRGLDVSIIPAQGDVVVVATDALMTGYTGDFDSVIDCNVAVTQAVDFSL -DPTFTITTQTVPQDAVSRSQRRGRTGRGRLGIYRYVSTGERASGMFDSVVLCECYDAGAAWYELTPSETT -VRLRAYFNTPGLPVCQDHLEFWEAVFTGLTHIDAHFLSQTKQAGENFAYLVAYQATVCARAKAPPPSWDV -MWKCLTRLKPTLVGPTPLLYRLGSVTNETTLTHPVTKYIATCMQADLEVMTSTWVLAGGVLAAVAAYCLA -TGCVSIIGRLHINQRAVVAPDKEVLYEAFDEMEECASRAALIEEGQRIAEMLKSKIQGLLQQASKQAQDI -QPAVQASWPKVEQFWAKHMWNFISGIQYLAGLSTLPGNPAVASMMAFSAALTSPLSTSTTVLLNILGGWL -ASQIAPPAGATGFVVSGLVGAAVGSVGLGKVLVDILAGYGAGISGALVAFKIMSGEKPSMEDVINLLPGI -LSPGALVVGVICAAILRRHVGPGEGAVQWMNRLIAFASRGNHVAPTHYVTESDASQRVTQLLGSLTITSL -LRRLHNWITEDCPIPCSGSWLRDVWDWVCTILTDFKNWLTSKLFPKMPGIPFISCQKGYRGVWAGTGIMT -TRCPCGANISGNVRLGSMRITGPKTCMNTWQGTFPINCYTDGQCVPKPAPNFKTAIWRVAASEYAEVTRH -GSYSYITGLTTDNLKVPCQLPSPEFFSWVDGVQIHRFAPTPKPFFRDEVSFCVGLNSFVVGSQLPCDPEP -DTDVLMSMLTDPSHITAETAARRLARGSPPSEASSSASQLSAPSLRATCTTHGTAYDVDMVDANLFMGSD -VARIEILQSSGSKVVVLDSLDSLVEEKSDLEPSIPSEYLLPKSRFPPALPAWARPDYNPPLVESWKRPDY -QPPTVAGCALPPPKKTPTPPPRRRRTVGLSESTIADVLQQLAVKTFGQPPPGGDSGLSTGADAADSGSQT -SPDESALSETGSTSSMPPLEGEPGDPDLEPEQVEPQPPPPEGGVAPDSDSGSWSTCSEEDDSVVCCSMSY -SWTGALITPCSPEEEKLPINALSNSLLRYHNKVYCTTSKSASLRAKKVTFDRMQVLDAYYDSTLKDIKLA -ASKVSARLLTLEEACQLTPPHSARSKYGFGAKEVRSLSGRAVNHIKSVWKDLLEDSQTPIPTTIMAKNEV -FCVDPVKGGKKPARLIVYPDLGVRVCEKMALYDVTQKLPQAVMGASYGFQYSPAQRVEFLLKAWADKKDP -MGFSYDTRCFDSTVTERDIRTEESIYQACSLTEEARTAIHSLTERLYVGGPMHNSKGQTCGYRRCRASGV -LTTSMGNTITCYVKALAACKAAGIVAPTMLVCGDDLVVISESQGTEEDERNLRAFTEAMTRYSAPPGDPP -RPEYDLELITSCSSNVSVALGPRGGRRYYLTRDPTTPLARAAWETVKHSPVNSWLGNIIQYAPTIWARMV -LMTHFFSVLMAQDTLDQTLNFEMYGAVYSVSPLDLPAIIERLHGLDAFSLHTYTPHELTRVAAALRKLGA -PPLRAWKSRARAVRASLISRGGKAAVCGRYLFNWAVKTKLKLTPLPEARLLDLSSWFTVGAGGGDIYHSV -SRARPRFLLLSLLLLFVGVGLFLLPAR ->AMB38795.1 polyprotein, partial [Hepacivirus C] -MSTNPKPQRLTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKTSERSQPRGRRQPIPKARR -STGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPNWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPVV -GAPLGGVAAALAHGIRAVEDGINYATGNLPGCSFSIFLLALLSCLTVPASAVNFRNASGVYHLTNDCPNS -SIVYEADGLILHAPGCVPCVREGNESRCWVQITPTLAAPSLRAVTAPLRRAVDYLVGGAAFCSAMYIGDL -CGAVFLAGQMFTYSPRKHQTVQECNCSIYSGQITGHRMAWDMMMNWSPTSALVVSQLLRIPQSILDIIAG -GHWGILFGIAYFSMAANWAKVILVLFLFAGVDANTHISGGTAARSVGSLVGLFSPGAKQNIQLINSNGSW -HINRTALNCNDSLHTGFIAGLFYANKFNSTGCVERMSSCRPLSSFDQGWGEISYAHISGPSTHKPYCWHY -PPRPCGVVPARSVCGPVYCFTPSPVVVGTTDKKGLPTYTWGENETDVFILNNTRPPRGNWFGCTWMNSTG -FVKTCGAPPCNLGPTGNNSLKCPTDCFRKHPDANYVKCGAGPWLTPRCLVHYPYRLWHYPCTVNYTIFKV -RMYVGGVEHRLEAACNWTRGERCELEDRDRAELSPLLHTTTQWAILPCSFTTLPALSTGLIHLHQNIVDT -QFLYGLSSSVVSWAIKWEYIVLSFLLLADSRICACLWIMFLVVQAEAALENVIVLNATSAASTHGVWWGL -LVICCAWYIKGRLVPGVTYFCLGIWPLLLLLLALPPRALALDAGDAGSAGCVALALITFFTLTPCYKSLL -VLSVWWLQYFIARAEAILHIWAPPLRVRGARDSVILLTCLLHPTLGFEVTKVILAMLGPLYLLQCSLMRV -PYFVRARILIRACMLARSLTHGRYVQAVLLHLGRLTGTYIYDHLSPMKDWAATGLRDLAVATEPIIFSDM -EKKVITWGADTAACGDILAGLPVSARRGHEIFLGPADDVKSMGWRLLAPITAYAQQTRGILGAIVVSLTG -RDKNEAQGEVQVLSTATQSFLGTSVNGVMWTVFHGAGSKTLAGPNGPIIQMYTNVDKDVVGWPSPPGTRS -LSPCTCGSADLYLVTRHADVIPARRRGDSRASLLSPRPISYLKGSSGGPILCPLGHAVGLFRAAVCTRGV -AKALEFVPVEHLDTVMRSPVFSDNTTPPAVPQEFQIGHLHAPTGSGKSTKVPAAYAAQGYKVLVLNPSVA -ATLGFGAYMSKAYGIDPNIRTGVRTVTTGAPITYSTYGKFLADGGCSGGAYDVIMCDECHSTDSTTILGI -GTVLDQAETSGARLVVLATATPPGSVTVPHPNIEESALPSEGEIPFYGKAIPLATIKGGRHLIFCHSKKK -CDELAKQLTSLGVNAVAFYRGLDVAVIPTSGDVVVCATDALMTGFTGDFDSVIDCNTAVTQVVDFSLDPT -FTIETTTVPQDAVSRSQRRGRTGRGRLGIYRYVSLGERPSGMFDSVVLCECYDAGCAWYDLTPAETTVRL -RAYLNTPGLPVCQDHLEFWEGVFTGLTHIDAHLLSQTKQSGENFPYLVAYQATVCLRAKAPPPSWDVMWK -CLLRLKPTLQGPTPILYRLGPVQNETTLTHPITKYIMACMSADLEVITSTWVLVGGVLAAAAAYCLAVGS -VVIVGRIVLSGKPVVIPDREVLYQQFDEMEECSTTLPYMAEARAIAEQFKTKIIGLVGSATQQAEVLKPA -ATSMWAKAEQFWNKHLWNFVSGIQYLAGLSTLPGNPAVATLMSFTAAVTSPLTTQQTLLFNILGGWVASQ -IAPPSAATAFVVSGIAGAAVGGVGLGRVLIDVLAGYGAGVAGALVAFKIMSGEKPSTEDLVNLLPSILCP -GALVVGVICAAILRRHIGPGEGAVQWMNRLIAFASRGNHVSPTHYVPETDASAKVTQLLSSLTVTSLLKR -LHAWIGEDYATPCAGTWLRDVWDWVCSTLVDFKNWLQAKLLPQLPGVPFLSCQKGYRGVWRGDGINTTKC -PCGAMISGHVKNGTMRIVGPKLCSNTWHGTFPINATTSGPSIPTPHHSYKFALWRVSADEYVEVRRVGEH -HYITGVTQDELKCPCQVPSPEFFTEVDGVRLHRYAPPCKPLLRDEVSFSVGLNSFVVGSQLPCEPEPDVS -VLTSMLTDPSHITAETAKRRLDRGSPPSLASSSASQLSAPSLKATCTTHGQNPDAELLEANLLWRQCMGG -NITRVEAENKVVILDSFEPLRAEEDDREISVSADCFRRGPKFPPALPIWARPGYDPPLLETWKRPDYDPP -QVSGCPLPPAGLPPVPPPRRKKRPIQLTDSTVSTILKDLADARFSVEAPSTEGRDSAVGASQPPTPEPEG -EGGCDSDVESFSSMPPLEGEPGDPDLSSGSWSTVSDEGDSVVCCSMSYNWTGALVTPCTAEEDKLPINPL -SNTLVRHHNLVYSTTSRSASQRQKKVTFDRVQCLDDHHKRVVDEMKQKASTVRARLLSFEEACKLTPPHS -ARSKYGYGAKEVRSLDARALKHVKSVWQDLLEDCDTPIPTTIMAKNEVFVVEPSKGGKKPARLIVYPDLG -VRICEKRALYDIAQQLPVALMGPSYGFQYSPSQRVDFLLRAWRSKRTPMAFSYDTRCFDSTVTEQDIRTE -ESIYQSCDLDPEARKVISSLTERLYCGGPMFNSKGQQCGYRRCRASGVFPTSMGNTITCYIKALASCRAA -KLQECTLLVCGDDLVVICESQGVSEDEACLRAFTEAMTRYSALPADPPRPAYDLEFVTSCSSNVSVARDG -SGNRVYYLTRDPQTPLARAAWETAKHSPVNSWLGNIIMYAPTLWVRLVLMTHFFSILQSQEQLDKALAFE -MYGSVYSVTPLDLPAIIQRLHGLSAFTLHTYSPAEINRVASCLRKLGVPPLRAWRHRARAVRAKLIAQGG -RAAICGIYLFN ->AFD18576.1 polyprotein precursor [Hepacivirus C] -MSTLPKPQRQTKRNTPRRPQNVKFPGGGQIVGGVYVLPRRGPRLGVRAVRKTSERSQPRGRRQPIPKARQ -REGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPVGGVARALAHGVRALEDGINFATGNLPGCSFSIFLLALLSCLTCPAAGMEFRNSSGLYILTNDCSNS -SIVYEADDVILHMPGCVPCVHNGNTSRCWTPVTPTVAVRYPGATTASIRTHVDLLVGAATLCSALYVGDL -CGAVFLVGQAFTFSPRRHWTVQTCNCSIYQGHVTGHRMAWDMMMNWSPAVGLVVAHLLRLPQTVFDLLAG -GHWGVMAGLAYFSMQGNWAKVIIVLIMFSGVDADTYTTVGHAAKVTASWASLFNSGPSQTLQLVNTNGSW -HINRTALNCNDTLNTGFIAGLLYYHKINASGCPERLSSCKPITDFMQGWGSLAYANMTGPSDERPYCWHY -PPRPCDVVPARNICGPVYCFTPSPVVVGTTDKKGVPTYTFGENETDVVLLTSQRPPKGRWFGCVWMNSTG -FVKTCGAPPCNIYGGEQGIEGNSSYLKCTTDCFRKHPEATYGRCGSGPWLTPRCLVDYPYRLWHYPCTMN -FTLFKVRMFVGGLEHRFSAACNWTRGERCNLEDRDRSEQNPLLHSTTEFAILPCSFTPMPALSTGLIHLH -QNIVDVQYLYGVGSGMVGWALKWEFVVLVFLLLADARVCVALWMMLMITQAEAAMENLIMLNAISAAGTH -GVLWYLVAFFAAWHVRGKLAPLVTYGLTGLWSLALLVLLLPQRAYAWTGEDSATLGAGMLLIFGFFTLSP -WYKHWIARLIWWNQYMITRCESHLQVWVPPLLVRGGRDGAILLLSLLYPSLIFDITKMLIAVLGPLYLIQ -AALVHTPYFVRAHALIRVCMLVRSVAGGKYVQMILLTLGRWFNTYLYDHLSPMSDWAASGLKDLAVATEP -VIFSPMEIKVITWGADTAACGDILCGLPVSARRGREVLLGPADNYKTMGWRLLSPITAYTQQTRGLFGTI -VTSLTGRDNNVVTGEVQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLASSKRPALQMYTNVDQDLVGWPA -PAGAKSLDPCSCGSTDVYLVTREADVLPARRRGDSTASLLSPRPLSCLKGSSGGPIMCPSGHVVGIFRAA -VCTRGVAKSLQFIPVEALSSQTRSPSFSDNSTPPAVPESYQVGYLHAPTGSGKSTKVPAAYVAQGYSVLV -LNPSVAATQGFGMYMSKAYGIDPNIRTGNRTITTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQDA -TSILGIGTVLDQAETAGVRLTVLATATPPGSVTVPHPNIEEVALTNDGEIPFYGKALPLAAVKGGRHLVF -CHSKKKCEELASKLRSMGVNAVAFYRGLDVSIIPTSGDVVVCATDALMTGYTGDFDSVIDCNVAVEQYID -FSMDPTFSIETHTTPQDAVSRSQRRGRTGRGRTGTYRFVTPGERPSGMFDSAVLCECYDAGCAWYELAPS -ETTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFPYLVAYQATVCARAQAPPPS -WDEMWKCLIRLKPTLHGQTPILYRLGSVQNEVCTTHPVTKYIMACMSADLEVTTSTWVILGGVLAALAAY -CLSVGCVVIIGHIELGGRPALIPDKQVLYQQFDEMEECSQAAPYIEQAQAVAQQFKEKILGLLQRAGQQQ -AEIQPVVVSHWQKLEAFWHKHLWNFVSGIQYLAGLSTLPGNPAVASLMAFIAAVTSPLSTNQTIFFNILG -GWVATHLASPAASSAFVVSGLAGAAVGGIGLGRVLLDILAGYGAGVSGALVAFKIMGGELPTTEDMVNLL -PAVLSPGALVVGVICAAVLRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAAKVTALLSSLTV -TQLLRRLHRWINDDYQSPCSGDWLRDVWDWVCNVLSDFKTWLTAKIMPKMPGIPFISCQKGYKGVWRGDG -VTTTRCPCGAILTGHVKNGSMRMVGPRLCANMWLGTFPINEYTTGPSTPAPAYNYSRALWRVASDSYVEV -RRVGDTHYVTGATDDGLKCPCQVPAPEFFTEVDGVRLHRYAPPCKPLLRDEICFSVGMHTYTIGSMLPCE -PEPDVAVLTSMLQDPSHITAQTAARRLARGSPPSEASSSASQLSAPSLKATCQSYGPHPHPDVELVEANL -LWRQEMGSNITRVESETKVVILDSFEPLRAEEGDNELSVAADCFKKPPKYPPALPIWARPDYNPPLLELW -KAPDYKPPTVYGCPLPPERPTPVPPPRKKRTIRLDESSVSTALLELANKSFPPPMSQETDSASSGIGTRP -VSGPSESLQLDEGSDAESCSSMPPLEGEPGDPDLSCDSWSTVSDSEEQSVVCCSMSYSWTGAIITPCSAE -EEKLPISPLSNSLLRHHNLVYSTSSRSAAQRQKKVTFDRMQVLDDHYKSVLKEVKERAAGVKGRLLSFEE -ACALVPPHSARSKYGYSAKDVRSLSSKAINQIHSVWEDLLEDNITPIPTTIMAKNEVFSVNPSKGGRKPA -RLIVYPDLGVRVCEKRALYDVIQQLSLAVMGSAYGFQYSPKQRVEHLLKMWASKKTPLGFSYDTRCFDST -VTEQDIRVEEEIYQCCDLEPEARKAISSLTERLYIGGPMYNSKGLKCGYRRCRASGVLPTSFGNTLTCYI -KATAAAKAAGLQDPSFLVCGDDLVIVAESCGVEEDRVTLRAFTEAMTRYSAPPGDAPQPTYDLEQITSCS -SNVSVAHDAAGKRYYYLTRDPEVPLARAAWETARHTPVNSWLGNIIMYAPTIWVRMVMMTHFFSILQSQE -VLEKALDFEMYGATYSVTPLDLPAIIERLHGLSAFSLHSYSPTELNRVSGALRKLGCPPLRAWRHRARAV -RAKLIAQGGKARICGLYLFNWAVRTKTKLTPLPAADRLDLSNWFTVGIGGNDIYHSVSHARTRHLLLCLL -LLSVGVGIFLLPAR ->AID60265.1 polyprotein [Hepacivirus C] -MSTNPKPQRKTKRNTNRRPQDVKFPGGGQIVGGVYLLPRRGPRLGVRATRKSSERSQPRGRRQPIPKARR -SEGRSWAQPGYPWPLYGNEGLGWAGWLLSPRGSRPSWGPTDPRRRSRNLGKVIDTLTCGFADLMGYIPLV -GAPLGGAARALAHGVRVLEDGVNYATGNLPGCSFSIFLLALLSCLTVPASAYEVRNGSGIYHVTNDCSNG -SIVYEVQGLIMHLPGCVPCVREGNASRCWVALTPTLAARDAGVPTQAIRRHVDLLVGAATLCSAMYVGDL -CGSVFLVGQLFTFSPRLHWTEQGCNCSIYPGHITGHRMAWDMMMNWSPTAALVVSQLLRIPQAIVDMIAG -AHWGVLAGLAYYSMVGNWAKVFAVLLLFAGVDGSTQTVGGTAGFTVSGFARLFTPGAQQNIQLINTNGSW -HINRTALNCNDSLQTGFIAALIYARKFNSSGCVERMASCRSLDSFAQGWGPITYANTSLEGSRPDRRPYC -WHYAPQPCGIVPARTVCGPVYCFTPSPVVVGTTDRLGAPTYNWGENETDVLLLNNSRPPLGNWFGCTWMN -STGFTKTCGAPPCNIGGSGNNTLTCPTDCFRKHPEATYAKCGSGPWLTPRCLVDYPYRLWHYPCTVNYTI -FKIRMYVGGVEHRLNAACNWTRGERCNLDDRDRIEMSPLLLSTTEWQILPCSFTTLPALSTGLIHLHQNI -VDIQYLYGVGSAVVNLAIKWEYIVLVFLLLADARVCACLWMMLLVAQAEAALENLVVLNAASLAGAHGIL -SFLLFFCAAWYIKGRLVPGVAYALYGVWPLLLLLLALPPRAYAMDGEVAASCGGAVLVCLTLLTLSPFYK -KFLALLIWWLQYFITMTEACLQVWVPPLNVRGGRDAVILLACVVRPELIFDITKLLLAIIGPLMVLQASL -IRVPYFVRAQGLIRLCMLARKVIGGQYVQMALMKLGALTGTYIYDHLTPLQDWAHASLRDLAVAVEPVVF -SEMETKIITWGADTAACGDIIQGLPVSARKGREIFLGPADGLDQQGWRLLAPITAYAQQTRGLLGCIVTS -LTGRDKNEVEGEVQIVSTATQTFLATCVNGVCWTVYHGAGSRTLAGPKGPVIQMYTNVDQDLVGWPAPPG -ARSLTPCTCGSSDLYLVTRHADVVPVRRRGDSRGALLSPRPISYLKGSSGGPLLCPQGHVTGIFRAAVCT -RGVAKAVDFVPVESMETTMRSPVFTDNSSPPAVPQTFQVAHLHAPTGSGKSTKVPAAYADQGYKVLVLNP -SVAATLGFGAYMSKAHGIDPNIRTGVRTITTGAAITYSTYGKFLADGGCSGGAYDIIICDECHSTDSTSI -LGIGTVLDQAESALVRLVVLATATPPGSVTVPHPNIEEVALSNTGEIPFYGKAIPLEAIKGGRHLIFCHS -KKKCDELAAKLTGLGLNAVAYYRGLDVSVIPTSGDVVVVATDALMTGFTGDFDSVIDCNVCVTQTVDFSL -DPTFTIETTTVPQDAVSRSQRRGRTGRGRTGIYRFVTPGERPSGMFDSSVLCECYDAGCAWYELTPAETS -VRLRAYLNTPGLPVCQDHLEFWESVFTGLTHIDAHFLSQTKQAGDNLPYLVAYQATVCARAAAPPPSWDQ -MWRCLIRLKPTLHGPTPLLYRLGAVQNEITLTHPVTKYIMACMSADLEVVTSTWVLVGGILAALAAYCLT -TGCAVIVGRVILSGRPAVIPDREVLYQEFDEMEECASHLPYIEQGMQLAEQFKQKALGLLQTATKQAEAA -TPVMQSKWKAIETLWAKHMWNFISGIQYLAGLSTLPGNPAIASLMAFTASITSPLNTKQTLLFNILGGWV -AAQLAAPTAASAFVASGMVGAAIGSIGIGKVLIDILAGYGAGVAGALVAFKIMSGEVPSTEDLVNLLPAI -LSPGALVVGVVCAAILRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAARVTQILSNLTITQL -LRRLHQWINEDCSTPCSGSWLRDIWDWVCVVLSDFKTWLQSKLLPRLPGVPFISCQRGYKGVWRGDGIMH -TTCPCGAQITGHVKNGSMRIVGPRTCSNTWHGTFPINAYTTGPSTPSPAPNYTSALWRVAAEEYVEVRRV -GDFHYVTGMTTDNLKCPCQVPAPEFFTEVDGVRLHRYAPACKPLLREEVSFQVGLNHYVVGSQLPCEPEP -DVAVLTSMLTDPAHITAETAKRRLGRGSPPSLASSSASQLSAPSLKATCTTRHESPDADLVEANLLWRQE -MGGNILRVESENKVVILDSFDPLRAEEDEREMSVPAEILRKSKKFPPSLPIWARPDYNPPILQNWKDPDY -VPPTVHGCALPPTKAPPIPPPRRKRTVVLTESTVSTALAELAAKTFSSSETSGVDSGTATVPPDQPSDSG -DAGSDVESYSSMPPLEGEPGDPDLSDGSWSTVSEEASEDVVCCSMSYTWTGALITPCSAEESKLPINALS -NSLLRHHNMVYATTSRSASQRQKKVTFDRQQVLDDHYRDVLKEIKAKASTVKARLLSIEEACKLTPPHSA -KSEFGYGAKDVRSLSRKAVNHIRSVWEDLLEDNVTPIKTTIMAKNEVFCVQPEKGGRKPARLIVFPDLGV -RVCEKVALYDVVSTLPQAVMGSSYGFQYSPSQRVEFLVNAWKSKKVPMGFSYDTRCFDSTVTENDIRVEE -SIYQCCDLAPEARVAIKSLTERLYIGGPLTNSKGQNCGYRRCRASGVLTTSCGNTLTCYLKAVASCRAAK -LQDCTMLVCGDDLVVICESAGVQEDAASLRVFTEAMTRYSAPPGDPPQPEYDLELITSCSSNVSVAHDAN -NKRVYYLTRDPTTPLARAAWETARHTPVNSWLGNIIMYAPTLWARMILMTHFFSILMAQEQLEQALDCQI -YGACYSIQPLDLPQIIQRLHGLSAFTLHSYSPGELNRVASCLRKLGVPPLRAWRHRARSVRAKLLARGGR -AAICGKYLFNWAVRTKLKLTPIAAASRLDLSGWFVAGYSGGDIYHSVSRARPRWFICCLLLLSVGVGIYL -LPNR ->ACH97717.1 RNA-dependent RNA polymerase, partial [Hepacivirus C] -ALITPCAAEEQKLPINALSNSLLRHHNLVYATTSRXACLRQKKVTFDRLQVLDNHYQDVLKEVKAAASKV -XANLLSVEEACSLTPPHSARSKFGYGAKDVRCHARKAVNHINSVWKDLLEDSVTPIDTTIMAKNEVFCVQ -PEKGGRKPARLIVFPDLGVRVCEKMALYDVVSKLPTAVMGSSYGFQYSPGQRVDFLVQAWKHKKTPMGFS -YDTRCFDSTVTESDIRTEEAIYQCCDLDPQARVAIKSLTERLYVGGPLTNSRGENCGYRRCRASGVLTTS -CGNTLTCYIKAQAACRAAGLRDCTMLVCGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGDPPHPEY -DLELITSCSSNVSVAHDGAGKRVYYLTRDPTTPLARAAWETARHTPVXSWXGNIIMFAPTLWVRMILLTH -FFSVLIARDQLEQALDCEIYGACYSIEPLDLPPIIQRLHGLSAFSLHSYSPGEINRVAACLRKLGVPPLR -AWRHRARSVRAKLLSRGGRAATCGKYL ->AII25854.1 polyprotein [Hepacivirus C] -MSTLPKPQRQTKRNTPRRPQDVKFPGGGQIVGGVYVLPRRGPRLGVRAVRKTSERSQPRGRRQPIPKARP -REGRSWAQPGYPWPLYGNEGCGWAGWLLSPRGSRPSWGPNDPRRRSRNLGKVIDTLTCGFADLMGYIPLI -GAPVGGVARALAHGVRALEDGVNYATGNLPGCSFSIFLLALFSCLTCPASGLVYRNTSGQYMLTNDCPNE -SIVYEADEVILHLPGCVPCVEADNRTSCWTPISPTVAVKHPGATTASIRNHVDMLVGAATLCSALYVGDL -CGAVFLVGQAFTFRPRRHVTMQTCNCSLYPGHISGHRMAWDMMMNWSPAVGLVVSHLMRVPQTFFDLVAG -AHWGVMAGLAYFSMQGNWAKVGIVLIMFSGVDAETXSVAXAASSTVSXFXKLFNPGPNQNLQLVNTNGSW -HINSTALNCNDALNTGFIAGLMYYHKFNSSGCLERMSSCRPITAFEQGWGPLTDVNMTGSSVDRPYCWHY -PPRPCGTTNASTVCGPVYCFTPSPVVVGTTDSKGLPTYNFGENESDVFLLASLRPPKGRWFGCVWMNSTG -YVKTCGAPPCNIYGGRGDIKANETYLKCPTDCFRKHPDATYARCGSGPWLTPRCLVDYPYRLWHYPCTLN -FSIFKVRMFVGGVEHRFSAACNWTRGERCBLEDRDRSEQHPLLHSTTELAILPCSFTPMPALSTGLIHLH -QNIVDVQYLYGVGSGVVGWALKWEFVVLVFLLLADARVCVALWMMLLISQAEAAMENLIVLNALSAAGEQ -GYLWYLVVVCAAWHIRGRLVPLITYGLTGLWPLALLVLMLPQRAYAWTGEDNATLGAGVLLLFGFFTLSP -WYKHWIGRLIWWIQYAICRSEAALQVWVPPLLARGGRDGVILLTSLLYPSLIFDITKLLIAVLGPLYLVQ -TALTCTPYFVRAHVLIRICMMVRSAMGGKYIQMAVLTIGRWFNTYLYDHLSPMRDWAAEGLKELAVATEP -VIFSPMEIKVITWGADTAACGDILCGLPVSARLGHEVLLGPADDYRTMGWRLLSPISAYAQQTRGLFGTI -VTSLTGRDKNVVTGEVQVLSTATQTFLGTTVGGVMWTVYHGAGSRTLAGNKRPALQMYTNVDQDLVGWPA -PAGAKSLDPCTCGSSDLYLVTREADVLPARRRGDSTASLLSPRPLSCLKGSSGGPIMCPSGHVVGIFRAA -VCTRGVAKSLQFIPVETLSTQVRSPSFSDNTVPPAVPESYQVGYLHAPTGSGKSTKVPAAYVAQGYSVLV -LNPSVAATLGFGTYMSKAYGIDPNIRTGTRTVTTGAKLTYSTYGKFLADGGCSGGAYDVIICDECHAQDA -TSILGIGTVLDQAETAGVRLTVLATATPPGSITVPHSNIEEVALTNDGEIPFYGKAIPLATIKGGRHLVF -CHSKKKCDELASKLRGMGVNAVAFYRGLDVSVIPTSGDVVVCATDALMTGFTGDFDSVIDCNVAVEQYVD -FSLDPTFTIETRTAPQDAVSRSQRRGRTGRGRPGTYRFVAPGERPSGMFDSVVLCECYDAGCSWYDLQPA -ETTVRLRAYLSTPGLPVCQDHLDFWESVFTGLTHIDAHFLSQTKQQGLNFAYLVAYQATVCARAQANPPS -WDEMWKCLIRLKPTLHGPTPLLYRLGSVQQDVCTTHPVTKYIMACMSADLEVTTSAWVLVGGVLAALAAY -CLSVGCVVIVGHVELGGKPALVPDRQVLYQQYDEMEECSQSAPYIEQAQAIAQQFKDKVLGLLQRASQQE -ADIRPIVQSHWQKAEAFWHKHMWNFVSGIQYLAGLSTLPGNPAVASLMAFTASVTSPLTTNQTMFFNILG -GWVATHLAGPTASSAFVVSGLAGAAVGGIGIGRVLLDVLAGYGAGVSGALVAFKIMGGELPTTEDMVNLL -PAILSPGALVVGVICAAVLRRHVGPGEGAVQWMNRLIAFASRGNHVSPTHYVPESDAAAKVTALLSSLTV -TRLLRRLHQWINEDYPSPCNGDWLHDIWDWVCTVLNDFKVWLSAKIMPKMPGVPFFSCQRGYKGVWRGDG -VMTTRCPCGKELTGHVKNGSMRIAGSSLCANMWNGTFPINEYTTGPGTPVPPHNYSRALWRVASDSYVEV -RRVGDAHFVVGATNDGLKIPCQVPAPEFFTELDGVRLHRYAPPCKPLLRDEITFSVGLHSYAIGSQLPCE -PEPDVAVLTSMLRDPSHITAATAARRLARGSPPSEASSSASQLSAPSMKATCQTHGPHPDAELINANLLW -RQEMGSNITRVESETKVVILDSFEPLRAEEDDAELSVAAECFKKPPKYPSALPIWARPDYNPPLLPQWKD -PTYEPPVVHGCALPPTRPTPVPPPRKKKTIKLDESNVSTALLALAERTFPSTKSEGTGTSSSGVGTESTA -ESDDPPRVDEDSDVESYSSMPPLEGEPGDPDLDADSWSTVSDSEEQSVVCCSMSYSWTGAIITPCSAEEE -KLPISPLSNSLLRHHNLVYSTSSRSAAMRQKKVTFDRLQVLDDHYKNVLKEVKERASGVKGRLLPFEEAC -SLVPPHSARSKYGYSAMDVRSLSSKAMNQIRSVWEDLLEDNTTPIPTTIMAKNEVFSVNPAKGGRKPARL -IVYPDLGVRVCEKRALYDVIQQLSIATMGSAYGFQYSPKQRVEHLLRMWTSKKTPMGFSYDTRCFDSTVT -EHDIRTEEEIYQCCDLEPEARKAISALTERLYIGGPMYNSKGLQCGYRRCRASGVLPTSFGNTITCYIKA -TAASRAAGLKNPSFLVCGDDLVVVAESCGVEEDRTTLRAFTEAMTRYSAPPGDAPQPTYDLELISSCSSN -VSVACDGVGKRYYYLTRDPETPLARAAWETARHTPVNSWLGNIIMFAPTIWVRMVLMTHFFSILQAQEQL -EKALDFEMYGATYSVTPLDLPAIIERLHGLAAFSLHSYSPTELNRVAGALRKLGIPPLRAWRHRARAVRA -KLIAQGGKARICGLYLFNWAVRTKTKLTPLPAAGQLDLSSWFTVGVGGNDIYHSVSRARARHLLLCLLLL -TVGVGIFLLPAR diff --git a/seq/clusters_seq/cluster_60 b/seq/clusters_seq/cluster_60 deleted file mode 100644 index aa054f2..0000000 --- a/seq/clusters_seq/cluster_60 +++ /dev/null @@ -1,780 +0,0 @@ ->NP_057856.1 Envelope surface glycoprotein gp160, precursor [Human immunodeficiency virus 1] -MRVKEKYQHLWRWGWRWGTMLLGMLMICSATEKLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWA -THACVPTDPNPQEVVLVNVTENFNMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVSLKCTDLKNDTNT -NSSSGRMIMEKGEIKNCSFNISTSIRGKVQKEYAFFYKLDIIPIDNDTTSYKLTSCNTSVITQACPKVSF -EPIPIHYCAPAGFAILKCNNKTFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSVNFTDN -AKTIIVQLNTSVEINCTRPNNNTRKRIRIQRGPGRAFVTIGKIGNMRQAHCNISRAKWNNTLKQIASKLR -EQFGNNKTIIFKQSSGGDPEIVTHSFNCGGEFFYCNSTQLFNSTWFNSTWSTEGSNNTEGSDTITLPCRI -KQIINMWQKVGKAMYAPPISGQIRCSSNITGLLLTRDGGNSNNESEIFRPGGGDMRDNWRSELYKYKVVK -IEPLGVAPTKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGAASMTLTVQARQLLSGIVQQQNNLLRAIE -AQQHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLEQIWNHTTWME -WDREINNYTSLIHSLIEESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKLFIMIVGGLVGLRIVFA -VLSIVNRVRQGYSPLSFQTHLPTPRGPDRPEGIEEEGGERDRDRSIRLVNGSLALIWDDLRSLCLFSYHR -LRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIEVVQGACRAI -RHIPRRIRQGLERILL - ->sp|Q9QBZ4.2|ENV_HV1MP RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVREMQRNWQHLGKWGLLFLGILIICNANATDDLWVTVYYGVPVWKEPTTTLFCASDAKAYDPEVHNVW -ATYACVPTDPNPQELVLGNVTENFNMWENNMVDQMHLDIISLWDQSLKPCVKSTPLCVTLNCTDVNITMS -DINGTSLKEDQGEIKNCSFNVTTELKDKKRKQQALFYRLDVEPIKNSSNIYKLISCNMSTVTQACPKVSF -DPIPIHYCAPAGYAILKCNDKRFNGTGPCEKVSTVQCTHGIRPVVSTQLLLNGSLAQEDIIIRSKNITDN -TKNIIVQFNRSVIIDCRRPNNNTRKGIRIGPGQTFFATGEIIGDIRKAYCNINRTLWNETLKNVSGEFKK -HFNFSVAFNSSSGGDVEITTHSFNCRGEFFYYNTSGLFNETEVANNTNENITLPCRIRQFVNMWQRIGRA -MYAPPIEGEIQCTSNITGLLLTRDGSKDIDGKEILRPIGGDMRDNWRSELYKYKVVRIEPVGVAPTKAKR -RVVQRAKRAVGMGAVLFGFLGAAGSTMGAAAITLTAQARQLLSGIVQQQSNLLKAIEAQQHLLQLTVWGI -KQLQARILAVERYLKDQQLLGIWGCSGKLICTTNVRWNSSWSNKSYDDIWDNMTWMQWEKEIDNYTKTIY -SLIEDAQNQQERNEQELLALDKWDSLWSWFSITNWLWYIKIFIMIVGGLIGLRIVFAVLSVVNRVRQGYS -PLSLQTLIPNPRGPDRPGGIEEEGGEPDRDRSMRLVSGFLPLTWDDLRSLCSFSYRHLRDLLLIAARTVD -RGVKGGWEALKYLWNLTQHWGRELKNSAISLFDTIAIAVAEGTDRIIEVLQRAGRAVLHIPRRIRQGAER -FLL - ->sp|Q9QBZ0.2|ENV_HV1M2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVREMQRNWQHLGRWGLLFLGILIICSAADKLWVTVYYGVPVWKEATTTLFCASDAKAYEREVHNVWAT -YACVPTDPSPQELVLGNVSEKFNMWKNNMVDQMHEDIISLWDESLKPCVKLTPLCVTLNCTKAIINVTSS -NNTTLAPNVTISEEMKNCSFNITTEIRDKQKKEYALFYKLDVVQINNSNTSYRLINCNTSTLTQACPKVS -FDPIPIHYCAPAGFAILKCNNKTFNGTGLCRNVSTVQCTHGIKPVVSTQLLLNGSLAEEKMIIRSENISD -NTKTIIVQFKNPVKINCTRPNNNTRRSIHIGPGRAFYATGEIIGDTRKAHCNISEKQWYDTLIKIATEFK -DQYNKTVGFQPSAGGDLEITTHSFNCRGEFFYCNTTILFNHTRVNDILSNNHTRENDTITLPCRIKQIVN -MWQRVGQAMYAPPIAGKIQCNSNITGLLLTIDGGEGNESETLRPGGGDMRDNWRSELYKYKVVKIEPLGV -APTKAKRQVVQREKRAVGMGAMFLGFLGAAGSTMGAASITLTVQARNLLSGIVQQQSNLLKAIEAQQHLL -QLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICPTTVPWNLSWSNKSQDEIWGNMTWMEWEKEIG -NYTDTIYRLIESAQNQQEKNEQDLLALDKWDNLWNWFSITRWLWYIEIFIMIIGSLIGLRIVFTVLSIIN -RVRQGYSPLSLQTLIPNSRGPERPGGIEEEGGEQDKDRSIRLVSGFLALAWDDFRSLCVFSYHCLRNFIL -IAARTVDKGLKRGWEVLKYLWNLAQYWGQELKNSAISLLDRTAIAVAEGTDRIIEILQRAGRAVLNIPRR -IRQGLERALL - ->sp|Q9QBZ8.2|ENV_HV197 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRAREIQRNWQHLGKRGILFLGILIICSAANNLWVTVYYGVPVWKEATTTLFCASDAKAYETEVHNVWAT -HACVPTDPNPQEVVLENVTENFNMWKNNMVEQMHTDIISLWDESLKPCVKLTPLCVTLTCTNVTNNRTNA -NKNDTNINATVTSTDEIKNCSFNITTELKDKKKRVSALFYKLDIVQIKQSEINQSESEDRLINCNTSTVT -QACPKVSFEPIPIHYCAPAGFAILKCNNNTCNGTGPCTNVSTVQCTHGIKPVVSTQLLLNGSLAEEEIII -RSEDITKNTKNIIVQLNEAVEINCTRPSNNTRKSIHIGPGRAFYATGDIIGDIRQAHCNISGGQWNKTVN -QVKKELGKHFNKTIIFQPSSGGDPQVTRHIFNCRGEFSYCDTTDTVDDTEEEEDTTITIPCRIKQIINMW -QKVGQAIYAPPTAGNITCRSNITGMILTRDGGNDNNTRTEETFRPGGGDMRDNWRSELYKYKVVQIEPLG -IAPTRARRRVVQREKRAVGIGALFLGFLGAAGSTMGAASITLTVQARQLLSGIVQQQNNLLRAIEAQQQM -LQLTVWGIKQLRARVLAVERYLRDQQLLGIWGCSGKLICTTNVPWNSSWSNKSQSEIWENMTWMQWEKEI -SNHTSTIYRLIEESQIQQEKNEQDLLALDKWASLWNWFDISNWLWYIKIFIMIVGGLIGLRIVFTVLSVV -NRVRQGYSPLSFQTLTPSPRGPDRPEGIEEGGGEQDKDRSVRLVSGFLALAWDDLRNLCLFSYRHLRDLV -LIATRILDRGLKGSWEALKYLWNLILYWGQEIKNSAINLLNTTAIAVAEGTDRIIEIVYRAFRALLHIPR -RIRQGFERLLL - ->sp|Q9QBY2.2|ENV_HV196 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVRGMQRNWQTLGNWGILFLGILIICSNADKLWVTVYYGVPVWKEATPTLFCASDAKAYEKEVHNVWAT -HACVPTDPNPQEVEMENVTENFNMWKNNMVEQMHTDIISLWDESLKPCVELTPLCVTLNCTDYKGTNSTN -NATSTVVSPAEIKNCSFNITTEIKDKKKKESALFYRLDVLPLNGEGNNSSTEYRLINCNTSTITQTCPKV -TFEPIPIHYCAPAGFAILKCKDKRFNGTGPCKNVSTVQCTHGIKPVVSTQLLLNGSLAEEEIIIRSENIT -DNTKNIIVQLNETVQINCTRPNNNTRKSIHMGPGKAFYTTGDIIGDIRQAHCNISGEKWNMTLSRVKEKL -KEHFKNGTITFKPPNPGGDPEILTHMFNCAGEFFYCNTTKLFNETGENGTITLPCRIKQIINMWQKVGKA -IYAPPIAGSINCSSNITGMILTRDGGNNTHNETFRPGGGDMRDNWRSELYKYKVVQIEPLGIAPTRARRR -VVQREKRAVGLGAVFFGFLGAAGSTMGAASITLTVQARQLLSGIVQQQSNLLRAIEAQQHLLQLTVWGIK -QLRARILAVERYLKDQQLLGIWGCSGKLICTTNVPWNSSWSNKSWEEIWNNMTWMEWEKEIGNYSDTIYK -LIEESQTQQEKNEQDLLALDKWASLWNWFDITKWLWYIKIFIMIIGGLIGLRIAFAVLSVVNRVRQGYSP -LSFQTLIPTSRGADRPEGIEEEGGEQDKNRSVRLVSGFLALAWDDLRNLCLFSYRQLRNLILIVTRILER -GLRGGWEALKYLWNLVQYWSQELKNSAISLLNTTAIAVAGGTDRIIEIGQRAFRALLHIPRRIRQGLERA -LL - ->sp|Q9WC69.1|ENV_HV1S9 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -METQTSWLSLWRWGLMIFGMLMICSARENLWVTVYYGVPVWRDAKTTLFCASDAKAYSTEKHNVWATHAC -VPTDPNPQEMSLPNVTENFNMWKNDMVDQMQEDIISVWDESLKPCVKITPLCVTLNCSDVNSNNSTDSNS -SASNNSPEIMKNCSFNVTTEIRNKRKQEYALFYRQDVVPINSDNKSYILINCNTSVIKQACPKVSFQPIP -IHYCAPAGFAILKCNNKTFNGTGPCKNVSTVQCTHGIKPVVSTQLLLNGSVAEGDIIIRSENISDNAKNI -IVQLNDTVEIVCTRPNNNTRKGIHMGPGQVLYATGEIIGDIRKAYCNISRKDWNNTLRRVAKKLREHFNK -TIDFTSPSGGDIEITTHSFNCGGEFFYCNTSTLFNSSWDENNIKDTNSTNDNTTITIPCKIKQIVRMWQR -TGQAIYAPPIAGNITCKSNITGLLLTRDGGNRNGSENGTETFRPTGGNMKDNWRSELYKYKVVELEPLGV -APTKAKRRVVEREKRAVGIGAVFLGFLGTAGSTMGAASITLTVQVRQLLSGIVQQQSNLLKAIXAQQHLL -KLTVWGIKQLQARVLAVERYLKDQQLLGIWGCSGKLICTTNVPWNASWSNKSYEDIWENMTWIQWEREIN -NYTGIIYSLIEEAQNQQETNEKDLLALDKWTNLWNWFNISNWLWYIKIFIMIIGGLIGLRIIFAVLAIVN -RVRQGYSPLSFQTLIPNPTEADRPGGIEEGGGEQGRTRSIRLVNGFLALAWDDLRSLCLFSYHRLRDFVL -IAARTVGTLGLRGWEILKYLVNLVWYWGQELKNSAISLLNTTAIAVAEGTDRIIEIAQRAFRAILHIPRR -IRQGLERALL - ->sp|Q9WC60.1|ENV_HV1S2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -METQKNWQTLWRGGLMIFGMLMICKAKEDLWVTVYYGVPVWKDAKTTLFCASDAKAYSTEKHNVWATHAC -VPTDPSPQEMNLPNVTENFNMWKNDMVDQMQEDIISVWDESLKPCVKITPLCVTLNCSNITSNSNTTSNS -SVSSPDIMTNCSFNITTEIRNKRKQEYALFYRQDVVPIDSNNKNYILINCNTSVIKQACPKVSFQPIPIH -YCAPAGFAILKCNDKNFNGTGSCKNVSTVQCTHGIKPVVSTQLLLNGSIAEGDIIIRSENISDNAKNIIV -QLNKTVEIVCYRPNNNTRKGIHMGPGQVLYATGEIIGNIRETHCNISERDWSNTLRRVATKLREHFNKTI -NFTSPSGGDIEIVTHSFNCGGEFLYCNTSKLFNSSWDKNSIEATNDTSXATITIPCKIKQIVRMWQRTGQ -AIYAPPIAGNITCTSNITGLLLTRDGGNRGNGSENGTETFRPTGGNMKDNWRSELYKYKVVEIEPLGVAP -TKAKRRVVEREKRAVGIGAVFLGFLGTAGSTMGAASITLTVQVRQLLSGIVQQQSNLLKAIEAQQHLLKL -TVWGIKQLQARVLAVERYLKDQQLLGIWGCSGKLICTTNVPWNASWSNKSYEDIWENMTWIQWEREINNY -TGIIYSLIEEAQNQQENNEKDLLALDKWTNLWNWFNISNWLWYIKIFIMIIGGLIGLRIIFAVLAIVNRV -RQGYSPLSFQTLIPNPTEADRPGGIEEGGGEQGRTRSIRLVNGFLALAWDDLRNLCLFSYHRLRDFVLIA -ARTVGTLGLRGWEILKYLVNLVWYWGQELKNSAISLLNTTAIAVAEGTDRIIEIAQRAFRAILHIPRRIR -QGLERALL - ->sp|Q9QSQ7.1|ENV_HV1VI RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVRGMQRNWQHLGKWGLLFLGILIICNAADNLWVTVYYGVPVWKEATTTLFCASDAKAYEREAHNVWAT -HACVPTDPNPQEVFLKNVTENFDMWKNNMVEQMHTDIISLWDQSLKPCVKLTPLCVTLNCTNATNNSQEK -PGAMQNCSFNMTTEVRDKKLKLSALFYRLDIVPIGNNNSSEYRLINCNTSTITQACPKVSWDPIPIHYCA -PAGYAILKCNDKRFNGTGPCKNVSTVQCTHGIKPVVSTQLLLNGSLAEEGIVIRSQNISNNAKTIIVHLN -ESVQINCTRPNNNTRKGIHLGPGQTFYATGAIIGDIRKAHCNISGTQWNNTLEYVKAELKSHFPNNTAIK -FNQSSGGDLEITMHSFNCRGEFFYCDTSGLFNDTGSNNGTITLPCRIKQIVNMWQGVGRAMYTSPIAGNI -TCNSNITGLLLTRDGGNESNIETFRPEGGNMKDNWRSELYKYKVVEIEPLGVAPTKAKRQVVQREKRAAG -LGALFLGFLGDSREHMGAASITLTVQARQLLSGIVQQQNNLLRAIEAQQHLLQLTVWGIKQLQARVLAVE -RYLKDQQLLGIWGCSGKLICTTNVPWNSSWSNKSQEEIWNNMTWMEWEKEISNYSNIIYKLIEESQNQQE -KNEQELLALDKWASLWNWFDISNWLWYIKIFIMIVGGLIGLRIVFAVLSIVNRVRKGYSPLSLQTLIPSP -RGPDRPEGIEEGGGEQGKDRSVRLVTGFLALAWDDLRNLCLFSYRHLRDFILIAARIVDRGLRRGWEALK -YLGNLTRYWSQELKNSAISLFNTTAIVVAEGTDRIIEVLQRAGRAVLNIPRRIRQGAERALL - ->sp|Q9Q714.1|ENV_HV1V9 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -METQRNYPSLWRWGTLILGMLLICSVVGNLWVTVYYGVPVWKEAKTTLFCASDAKAYDTERHNVWATHAC -VPTDPNPQEMVLENVTETFNMWVNDMVEQMHTDIISLWDQSLKPCVKLTPLCVTLDCSSVNATNVTKSNN -STDINIGEIQEQRNCSFNVTTAIRDKNQKVHALFYRADIVQIDEGERNKSDNHYRLINCNTSVIKQACPK -VSFEPIPIHYCAPAGFAILKCNGKKFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEVEEVIIRSKN -ITDNTKNIIVQLNEPVQINCTRTGNNTRKSIRIGPGQAFYATGDIIGDIRRAYCNISGKQWNETLHKVIT -KLGSYFDNKTIILQPPAGGDIEIITHSFNCGGEFFYCNTTKLFNSTWTNSSYTNDTYNSNSTEDITGNIT -LQCKIKQIVNMWQRVGQAMYAPPIRGNITCISNITGLILTFDRNNTNNVTFRPGGGDMRDNWRSELYKYK -VVKIEPLGVAPTEARRRVVEREKRAVGMGAFFLGFLGAAGSTMGAASITLTVQARQLLSGIVQQQSNLLR -AIQAQQHMLQLTVWGIKQLQARVLAVERYLKDQQLLGIWGCSGKLICTTNVPWNSSWSNKSLDEIWDNMT -WMEWDKQINNYTDEIYRLLEVSQNQQEKNEQDLLALDKWANLWNWFSITNWLWYIRIFIMIVGGIIGLRI -VFAVLSIVNRVRQGYSPLSLQTLIPNQRGPDRPREIEEEGGEQDRDRSIRLVNGFLPLVWEDLRNLCLFS -YRRLRDLLSIVARTVELLGRRGWEALKLLGNLLLYWGQELKNSAISLLNTTAIAVAEGTDRIIELVQRAW -RAILHIPRRIRQGFERALL - ->sp|Q9IDV2.1|ENV_HV1YB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MGMQSGWPFFCLLISLTIGSDPHWVTVYYGVPVWRDAETVLFCASDAKAHSTEAHNIWATQACVPTDPNP -QEVLLTNVTEYFNMWENKMAEQMQEDIISLWEQSLKPCVKLTPLCVTMLCNNSNGNSAGNSTTNRTEDLE -DRQMKNCSFNITTEIRDRKKQVYSLFYVEDVVPIKDGTDNNTYRLINCNTTAVTQACPKTTFEPIPIHYC -APPGFAIMKCNEGNFSGNGSCTNVSTVQCTHGIKPVISTQLILNGSLDTDDIVIRHHGGNLLVQWNETVS -INCTRPGNNTGGQVQIGPAMTFYNIEKIVGDVRQAYCNVSEEWGSMWNKTKKKIKRLLGNNTTFKAQDKN -GGDLEVTHLMFNCXGEFFYCNTSRLFNESENKTNKTIILPCRIKQIVBLWTRVXKGIYAPPIRGNLSCXS -SITGLILEHSGENGNKTVYPSGGNMVNLWRQELYKYKVVSIEPIGVAPGKAKRRTVSREKRAAFGLGALF -LGFLGAAGSTMGAASITLTVQARTLLSGIVQQQNNLLRAIEAQQHLLQLSIWGIKQLRAKVLAIERYLRD -QQILSLWGCSGKTICYTTVPWNDTWSSNTSYDTIWXNLTWQQWDRKVRNYSGVIFDLIEQAQEQQNTNEK -ALLELDQWASLWNWFDITKWLWYIKIAIMVVAGIIGIRIISAIITIIARVRQGYSPLSLQTLIPTAARGP -DRPEETEEGVGGQDRGRSVRLVSGFLALIWEDLRNLLIFLYHRLADSLLIIRRTLEILGQSLSRGLQLLN -ELRIRLWGIIAYWGKELKDSAISLLNTTAIVVAEGTDRFIELAQRIGRGILHIPRRIRQGLERALL - ->sp|Q8AIH5.1|ENV_SIVTN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 32; Short=gp32; Flags: Precursor -MKNLIGITLILIITILGIGFSTYYTTVFYGVPVWKEAQPTLFCASDADITSRDKHNIWATHNCVPLDPNP -YEVTLANVSIRFNMEENYMVQEMKEDILSLFQQSFKPCVKLTPFCIKMTCTMTNTTNKTLNSATTTLTPT -VNLSSIPNYEVYNCSFNQTTEFRDKKKQIYSLFYREDIVKEDGNNNSYYLHNCNTSVITQECDKSTFEPI -PIRYCAPAGFALLKCRDQNFTGKGQCSNVSVVHCTHGIYPMIATALHLNGSLEEEETKAYFVNTSVNTPL -LVKFNVSINLTCERTGNNTRGQVQIGPGMTFYNIENVVGDTRKAYCSVNATTWYRNLDWAMAAINTTMRA -RNETVQQTFQWQRDGDPEVTSFWFNCQGEFFYCNLTNWTNTWTANRTNNTHGTLVAPCRLRQIVNHWGIV -SKGVYLPPRRGTVKCHSNITGLIMTAEKDNNNSYTPQFSAVVEDYWKVELARYKVVEIQPLSVAPRPGKR -PEIKANHTRSRRDVGIGLLFLGFLSAAGSTMGAASIALTAQARGLLSGIVQQQQNLLQAIEAQQHLLQLS -VWGIKQLQARMLAVEKYIRDQQLLSLWGCANKLVCHSSVPWNLTWAEDSTKCNHSDAKYYDCIWNNLTWQ -EWDRLVENSTGTIYSLLEKAQTQQEKNKQELLELDKWSSLWDWFDITQWLWYIKIAIIIVAGLVGLRILM -FIVNVVKQVRQGYTPLFSQIPTQAEQDPEQPGGIAGGGGGRDNIRWTPSPAGFFSIVWEDLRNLLIWIYQ -TFQNFIWILWISLQALKQGIISLAHSLVIVHRTIIVGVRQIIEWSSNTYASLRVLLIQAIDRLANFTGWW -TDLIIEGVVYIARGIRNIPRRIRQGLELALN - ->sp|Q79670.1|ENV_HV1MV RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MTVTMKVMKKNNRKSWSLYIAMALLIPCLSYSKQLYATVYSGVPVWEEAAPVLFCASDANLTSTEQHNIW -ASQACVPTDPNPHEFPLGNVTDNFDIWKNYMVDQMHEDIISLWEQSLKPCEKMTFLCVQMNCVDLQTNKT -GLLNETINEMRNCSFNVTTVLTDKKEQKQALFYVSDLSKVNDSNAVNGTTYMLTNCNSTIIKQACPKVSF -EPIPIHYCAPTGYAIFKCNDTDFNGTGLCHNISVVTCTHGIKPTVSTQLILNGTLSREKIRIMGKNITES -AKNIIVTLNTPINMTCIREGIAEVQDIYTGPMRWRSMTLKRSNNTSPRSRVAYCTYNKTVWENALQQTAI -RYLNLVNQTENVTIIFSRTSGGDAEVSHLHFNCHGEFFYCNTSGMFNYTFINCTKSGCQEIKGSNETNKN -GTIPCKLRQLVRSWMKGESRIYAPPIPGNLTCHSNITGMILQLDQPWNSTGENTLRPVGGDMKDIWRTKL -YNYKVVQIKPFSVAPTKMSRPIINIHTPHREKRAVGLGMLFLGVLSAAGSTMGAAATALTVRTHSVLKGI -VQQQDNLLRAIQAQQHLLRLSVWGIRQLRARLQALETLIQNQQRLNLWGCKGKLICYTSVKWNTSWSGRY -NDDSIWDNLTWQQWDQHINNVSSIIYDEIQAAQDQQEKNVKALLELDEWASLWNWFDITKWLWYIKIAII -IVGALIGIRVIMIILNLVKNIRQGYQPLSLQIPVPHRQEAETPGRTGEEGGEGDRPKWTALPPGFLQQLY -TDLRTIILWTYHLLSNLISGIRRLIDYLGLGLWILGQKTIEACRLCGAVMQYWLQELKNSATNLLDTIAV -SVANWTDGIILGLQRIGQGFLHIPRRIRQGAERILV - ->sp|Q77377.1|ENV_HV1AN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MIVTMKAMEKRNKKLWTLYLAMALITPCLSLRQLYATVYAGVPVWEDATPVLFCASDANLTSTEKHNIWA -SQACVPTDPTPYEYPLHNVTDDFNIWKNYMVEQMQEDIISLWDQSLKPCVQMTFLCVQMECTNIAGTTNE -NLMKKCEFNVTTVIKDKKEKKQALFYVSDLMELNETSSTNKTNSKMYTLTNCNSTTITQACPKVSFEPIP -IHYCAPAGYAIFKCNSTEFNGTGTCRNITVVTCTHGIRPTVSTQLILNGTLSKGKIRMMAKDILEGGKNI -IVTLNSTLNMTCERPQIDIQEMRIGPMAWYSMGIGGTAGNSSRAAYCKYNATDWGKILKQTAERYLELVN -NTGSINMTFNHSSGGDLEVTHLHFNCHGEFFYCNTAKMFNYTFSCNGTTCSVSNVSQGNNGTLPCKLRQV -VRSWIRGQSGLYAPPIKGNLTCMSNITGMILQMDNTWNSSNNNVTFRPIGGDMKDIWRTELFNYKVVRVK -PFSVAPTRIARPVISTRTHREKRAVGLGMLFLGVLSAAGSTMGAAATTLAVQTHTLLKGIVQQQDNLLRA -IQAQQQLLRLSXWGIRQLRARLLALETLLQNQQLLSLWGCKGKLVCYTSVKWNRTWIGNESIWDTLTWQE -WDRQISNISSTIYEEIQKAQVQQEQNEKKLLELDEWASIWNWLDITKWLWYIKIAIIIVGALVGVRVIMI -VLNIVKNIRQGYQPLSLQIPNHHQEEAGTPGRTGGGGGEEGRPRWIPSPQGFLPLLYTDLRTIILWTYHL -LSNLASGIQKVISYLRLGLWILGQKIINVCRICAAVTQYWLQELQNSATSLLDTLAVAVANWTDGIIAGI -QRIGTGIRNIPRRIRQGLERSLL - ->sp|Q75008.1|ENV_HV1ET RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MKVMGIQRNCQQWWIWGILGFWMLMICNGMGNLWVTVYYGVPVWKDASPTLFCASDAKAYDTEVHNVWGT -FACVPTDPSPQELGLENVTENFNMWKNDMVEQMHQDIISLWDQGLKPCVKLTPLCVTLNCNAIKNNTKVT -NNSINSANDEMKNCSFNITTELRDKKRKAYALFYKLDIVPLNNGSTDYRLINCNTSTITQACPKVSLDPI -PIHYCAPAGYAILKCRDKTFTGTGPCHNVSTVQCTHGIKPVVSTQLLLNGSIAEGETIIRFENLTNNAKI -IIVQLNESVEITCTRPSNNTRESIRIGPGQTFYATGDIIGDIRQAHCNISEEKWNKTLQKVKEKLQKHFP -NKTIEFKPSSGGDLEITTHSFNCGGEFFYCNTSNLFNSTKLELFNSSTNLNITLQCRIKQIINMWQGVGR -AMYAPPIEGIIMCRSNITGLLLTRDGAKEPHSTKEIFRPEGGDMRDNWRSELYKYKVVEIKPLGVAPTKP -KRRVVEREKRAALGALFLGFLGAAGSTMGAASITLTVQARQLLSGIVQQQSNLLKAIEAQQHMLQLTVWG -IKQLQTRVLAIERHLRDQQLLGIWGCSGKLICTTAVPWNSSWSNKSQEEIWDNMTWMQWDREISNYTDII -YNLLEVSQNQQDKNEKDLLALDKWENLWNWFNITNWLWYIKIFIMIVGGVIGLRIIFAVLSIVNRVRQGY -SPLSFQTLIPHPRGPDRLGGIEEEGGEQGRDRSIRLVNGFLAIFWDDLRSLCLFSYHRLRDLILIAARTV -ELLGRSSLKGLQRGWETLKYLGSLVQYWGLELKKSAINLLNTTAIVVGEGTDRFIELIQRIWRAFCNIPR -RIRQGLEAALQ - ->sp|Q73372.2|ENV_HV1B9 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEIRKNWQHLRGGILLLGMLMICSAAKEKTWVTIYYGVPVWREATTTLFCASDAKAYDTEVHNVWAT -HACVPTDPNPQEVVLGNVTENFNMWKNNMVDQMHEDIISLWDESLKPCVKLTPLCVTLNCTNLNITKNTT -NPTSSSWGMMEKGEIKNCSFYITTSIRNKVKKEYALFNRLDVVPIENTNNTKYRLISCNTSVITQACPKV -SFQPIPIHYCVPAGFAMLKCNNKTFNGSGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEDIVIRSENFT -DNAKTIIVQLNESVVINCTRPNNNTRRRLSIGPGRAFYARRNIIGDIRQAHCNISRAKWNNTLQQIVIKL -REKFRNKTIAFNQSSGGDPEIVMHSFNCGGEFFYCNTAQLFNSTWNVTGGTNGTEGNDIITLQCRIKQII -NMWQKVGKAMYAPPITGQIRCSSNITGLLLTRDGGNSTETETEIFRPGGGDMRDNWRSELYKYKVVRIEP -IGVAPTRAKRRTVQREKRAVGIGAVFLGFLGAAGSTMGAASVTLTVQARLLLSGIVQQQNNLLRAIEAQQ -HMLQLTVWGIKQLQARVLALERYLRDQQLMGIWGCSGKLICTTSVPWNVSWSNKSVDDIWNNMTWMEWER -EIDNYTDYIYDLLEKSQTQQEKNEKELLELDKWASLWNWFDITNWLWYIRLFIMIVGGLIGLRIVFAVLS -IVNRVRQGYSPLSFQTLLPASRGPDRPEGTEEEGGERDRDRSGPLVNGFLALFWVDLRNLCLFLYHLLRN -LLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIKIVQRACRAIRNI -PTRIRQGLERALL - ->sp|O91086.1|ENV_HV1YF RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MGMKSGWLLFYLLVSLIKVIGSEQHWVTVYYGVPVWREAETTLFCASDAKAHSTEAHNIWATQACVPTDP -NPQEVLLPNVTEKFNMWENKMADQMQEDIISLWEQSLKPCVKLTPLCVTMLCNDSYGEERNNTNMTTREP -DIGYKQMKNCSFNATTELTDKKKQVYSLFYVEDVVPINAYNKTYRLINCNTTAVTQACPKTSFEPIPIHY -CAPPGFAIMKCNEGNFSGNGSCTNVSTVQCTHGIKPVISTQLILNGSLNTDGIVIRNDSHSNLLVQWNET -VPINCTRPGNNTGGQVQIGPAMTFYNIEKIVGDIRQAYCNVSKELWEPMWNRTREEIKKILGKNNITFRA -RERNEGDLEVTHLMFNCRGEFFYCNTSKLFNEELLNETGEPITLPCRIRQIVNLWTRVGKGIYAPPIRGV -LNCTSNITGLVLEYSGGPDTKETIVYPSGGNMVNLWRQELYKYKVVSIEPIGVAPGKAKRRTVSREKRAA -FGLGALFLGFLGAAGSTMGAASITLTVQARTLLSGIVQQQNILLRAIEAQQHLLQLSIWGIKQLQAKVLA -IERYLRDQQILSLWGCSGKTICYTTVPWNETWSNNTSYDTIWNNLTWQQWDEKVRNYSGVIFGLIEQAQE -QQNTNEKSLLELDQWDSLWSWFGITKWLWYIKIAIMIVAGIVGIRIISIVITIIARVRQGYSPLSLQTLI -PTARGPDRPEETEGGVGEQDRGRSVRLVSGFSALVWEDLRNLLIFLYHRLTDSLLILRRTLELLGQSLSR -GLQLLNELRTHLWGILAYWGKELRDSAISLLNTTAIVVAEGTDRIIELAQRIGRGILHIPRRIRQGLERA -LI - ->sp|O89292.1|ENV_HV193 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVRGMQRNWQHLGKWGLLFLGTLIICNAAENLWVTVYYGVPVWKEATTTLFCASDAKSYEKEAHNVWAT -HACVPTDPNPQEVVLENVTERFNMWENNMVEQMHTDIISLWDQSLKPCVKLTPLCVTLDCRNIATNGTND -TIAINDTLKEDPEAIQNCSFNTTTEIRDKQLKVHALFYKLDIVQINKDDNRTYRLINCDASTITQACPKV -SWDPIPIHYCAPAGYAILKCNEKNFTGTGSCKNVSTVQCTHGIKPVVSTQLLLNGSLAEGEIVIRSQNIS -DNAKTIIVHLNESVQINCTRPNNNTRKRISLGPGRVFYTTGEIIGDIRKAHCNVSGTQWRNTLAKVKAKL -GSYFPNATIKFNSSSGGDLEITRHNFNCMGEFFYCNTDELFNDTKFNDTGFNGTITLPCRIKQIVNMWQE -VGRAMYANPIAGNITCNSNITGLLLTRDGGLNSTNETFRPGGGNMKDNWRSELYKYKVVEIEPLGVAPTK -AKRQVVKRERRAVGLGALFLGFLGAAGSTMGAASITLTVQARQLLSGIVQQQSNLLRAIEAQQHLLQLTV -WGIKQLQARVLAVERYLKDQQLLGLWGCSGKLICTTNVPWNSSWSNKSLEEIWGNMTWMEWEKEVSNYSK -EIYRLIEDSQNQQEKNEQELLALDKWASLWNWFDITQWLWYIKIFIMIVGGLIGLRIVFTVLSIVNRVRK -GYSPLSFQTHIPSPREPDRPEGIEEGGGEQGKDRSVRLVTGFLALAWDDLRNLCLFSYRHLRDFILIAAR -IVDRGLKRGWEALKYLGNLTQYWGQELKNSAISLLNATAIAVAEWTDRVIEALQRAGRAILNIPRRIRQG -LERALL - ->sp|O70902.1|ENV_HV190 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -METQRNYPSLWRWGTLILGMLLICSAAQNLWVTVYYGVPVWKEAKTTLFCASDAKAYETEKHNVWATHAC -VPTDPNPQEMVMENVTESFNMWENNMVEQMHTDIISLWDQSLKPCVKLTPLCVTLNCTNVRNNTSNSTSS -MEAGGELTNCSFNVTTVLRDKQQKVHALFYRLDVVPIDNNSTQYRLINCNTSVITQACPKVSFEPIPIHY -CAPAGFAILKCNNKTFNGTGLCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEQIIIRTKNISDNTKNIIVQ -LKTPVNITCTRPNNNTRTSIHLGPGRAFYATGDIIGDIRQAHCNISRTDWNKTLHQVVTQLGIHLNNRTI -SFKPNSGGDMEVRTHSFNCRGEFFYCNTSGLFNSSWEMHTNYTSNDTKGNENITLPCRIKQIVNMWQRVG -RAMYAPPIQGNIMCVSNITGLILTIDEGNASAENYTFRPGGGDMRDNWRSELYKYKVVKIEPLGIAPTKT -RRRVVEREKRAVGMGASFLGFLGAAGSTMGAASITLTVQARQLLSGIVQQQSNLLRAIQARQHMLQLTVW -GIKQLQARVLAVERYLRDQQLLGIWGCSGKLICTTNVPWNSSWSNKSQSEIWDNMTWMEWDKQISNYTEE -IYRLLEVSQTQQEKNEQDLLALDKWASLWTWFDISHWLWYIKIFIMIVGGLIGLRIIFAVLSIVNRVRQG -YSPLSFQTLVPNPRGPDRPEGTEEGGGEQDRDRSVRLVNGFLPVVWDDLRSLSLFSYRLLRDLLLIVVRT -VELLGRRGREALKYLWNLLQYWGQELKNSAIDLLNTTAIAVAEGTDGIIVIVQRAWRAILHIPRRIRQGF -ERSLL - ->sp|O41803.1|ENV_HV19N RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIQRNWQHLWKWGTLILGLVIICSASDNLWVTVYYGVPVWEDADTPLFCASDAKSYSSEKHNVWAT -HACVPTDPNPQEIAIENVTENFNMWKNNMVEQMQEDIISLWEESLKPCVKLTPLCITLNCTNVNSANHTE -ANNTVENKEEIKNCSFKITTERGGKKKEEYALFYKLDVVPISNGNKTSYRLIHCNVSTIKQACPKVNFDP -IPIHYCAPAGFAILKCRDKEYNGTGPCKNVSTVQCTHGIKPVVSTQLLLNGSLAEEDIRIRSENFTDNTK -VIIVQLNNSIEINCIRPNNNTRKSIPIGPGQAFYATGDIIGDIRQAHCNVSRIKWREMLKNVTAQLRKIY -NNKNITFNSSAGGDLEITTHSFNCRGEFFYCNTSGLFNNNISNINNETITLPCKIKQIVRMWQKVGQAMY -ALPIAGNLVCKSNITGLILTRDGGNNNDSTEETFRPGGGDMRDNWRSELYKYKTVKIKSLGVAPTRARRR -VVEREKRAVGLGAVFLGFLGAAGSTMGAASITLTAQVRQLLSGIVQQQSNLLRAIEAQQHLLQLTVWGIK -QLQSRVLAIERYLKDQQLLGIWGCSGKLICTTNVPWNTSWSNKSYNEIWDNMTWLEWEREIHNYTQHIYS -LIEESQNQQEKNEQDLLALDKWASLWNWFDISNWLWYIRIFIMIVGGLIGLRIVFAVLSIVNRVRQGYSP -LSFQTLTHHQREPDRLGKTEEGGGEQDRDRSTRLVSGFLALAWDDLRSLCLFSYHRLRDLVLIAARTVEL -LGRSSLKGLRLGWEGLKYLWNLLLYWGRELKNSAINLLDTIAIATANGTDRVIEVAQRAYRAILNVPTRI -RQGLERALL - ->sp|O12164.1|ENV_HV192 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVEGIQRNWKQWWIWGILGFWMVMIYNVRGNLWVTVYYGVPVWKEAKTTLFCASDAKAYDAEVHNVWAT -HACVPTDPNPQEMVLENVTENFNMWENDMVEQMHQDIISLWDQSLKPCVKLTPLCVTLHCSNRTIDYNNR -TDNMGGEIKNCSFNMTTEVRDKREKVHALFYRLDIVPLKNESSNTSGDYRLINCNTSAITQACPKVSFDP -IPIHYCAPAGYAILKCNNKTFNGTGPCNNVSTIQCTHGTKPVVSTQLLLNGSLAEEEIIIRSKNLTDNVK -TIIVHLNESVEINCTRPNNNTRKSIRIGPGQAFYATGEIIGDIRQAHCNISRTAWNKTLQEVGKKLAEHF -PNKAIKFAKHSGGDLEITTHSFNCRGEFFYCNTSSLFNSTYTPNSTENITGTENSIITIPCRIKQIINMW -QGVGRAMYAPPIEGILTCRSNITGLLLTRDGGTGMHDTEIFRPEGGDMRDNWRSELYKYKVVEIKPLGIA -PTKAKRRVVEREKRAVGIGAVFLGFLGAAGSTMGAASITLTVQVRQLLSGIVQQQSNLLRAIEAQQHMLQ -LTVWGIKQLQTRVLAIERYLRDQQLLGIWGCSGKLICTTAVPWNSSWSNRSQEDIWNNMTWMQWDREISN -YTNTIYRLLEDSQNQQEKNEQDLLALDKWQNLWTWFGITNWLWYIKIFIKIVGGLIGLRIIFAVLSIVNR -VRQGYSPLSFQTLTPNPRGPDRLGGIEEEGGEQDRDRSIRLVSGFLALAWDDLRSLCLFSYHRLRDLILI -AARAVELLGRSSLRGIQRGWEILKYLGGLVQYWSLELKKSAISLFDTIAIAVAEGTDRIIEVIQGIWRAI -CNIPRRIRQGFEAALQ - ->sp|Q70626.1|ENV_HV1LW RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEKYQHLRRWGWRWGTMLLGMLMICSATEKLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWA -THACVPTDPNPQEVVLVNVTENFNMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVSLKCTDLKNDTNT -NSSSGGMIMEKGEIKNCSFNISTSIRGKVQKEYAFFYKHDIIPIDNDTTSYTLTSCNTSVITQACPKVSF -EPIPIHYCAPAGFAILKCNNKTFNGTGPCTNVSTVQCTHGIKPVVSTQLLLNGSLAEEEVVIRSANLTDN -VKTIIVQLNQSVEINCTRPNNNTRKRIRIQRGPGRTFVTIGKIGNMRQAHCNISRAKWNNTLKQIASKLR -EQYGNNKTIIFKQSSGGDLEIVTHSFNCGGEFFYCNSTQLFNSTWFNSTWSTEGSNNTEGSDTITLPCRI -KQIINMWQEVGKAMYAPPISGQIRCSSNITGLLLTRDGGNNNNGSEIFRPGGGDMRDNWRSELYKYKVVK -IEPLGVAPTKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGAASMTLTVQARQLLSGIVQQQNNLLRAIE -AQQHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLEQIWNHTTWME -WDREINNYTSLIHSLIEESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKIFIMIVGGLVGLRIVFA -VLSIVNRVRQGHSPLSFQTHLPTPGGPDRPEGIEEEGGERDRDRSIRLVNGSLALIWDDLRSLCLFSYHR -LRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIEVVQGACRAI -RHIPRRIRQGLERILL - ->sp|P04624.3|ENV_HV1H3 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEKYQHLWRWGWRWGTMLLGMLMICSATEKLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWA -THAGVPTDPNPQEVVLVNVTENFNMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVSLKCTDLKNDTNT -NSSSGRMIMEKGEIKNCSFNISTSIRGKVQKEYAFFYKLDIIPIDNDTTSYTLTSCNTSVITQACPKVSF -EPIPIHYCAPAGFAILKCNNKTFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSVNFTDN -AKTIIVQLNTSVEINCTRPNNNTRKKIRIQRGPGRAFVTIGKIGNMRQAHCNISRAKWNATLKQIASKLR -EQFGNNKTIIFKQSSGGDPEIVTHSFNCGGEFFYCNSTQLFNSTWFNSTWSTEGSNNTEGSDTITLPCRI -KQFINMWQEVGKAMYAPPISGQIRCSSNITGLLLTRDGGNNNNGSEIFRPGGGDMRDNWRSELYKYKVVK -IEPLGVAPTKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGAASMTLTVQARQLLSGIVQQQNNLLRAIE -AQQHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLLCTTAVPWNASWSNKSLEQIWNHTTWME -WDREINNYTSLIHSLIEESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKLFIMIVGGLVGLRIVFA -VLSVVNRVRQGYSPLSFQTHLPIPRGPDRPEGIEEEGGERDRDRSIRLVNGSLALIWDDLRSLCLFSYHR -LRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIEVVQEAYRAI -RHIPRRIRQGLERILL - ->sp|P35961.1|ENV_HV1Y2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRATEIRKNYQHLWKGGTLLLGMLMICSAAEQLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWAT -HACVPTDPNPQEVKLENVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCTDLRNATNTT -SSSWETMEKGEIKNCSFNITTSIRDKVQKEYALFYNLDVVPIDNASYRLISCNTSVITQACPKVSFEPIP -IHYCAPAGFAILKCNDKKFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEIVIRSENFTNNAKTI -IVQLNESVVINCTRPNNNTRKSINIGPGRALYTTGEIIGDIRQAHCNLSKTQWENTLEQIAIKLKEQFGN -NKTIIFNPSSGGDPEIVTHSFNCGGEFFYCNSTQLFTWNDTRKLNNTGRNITLPCRIKQIINMWQEVGKA -MYAPPIRGQIRCSSNITGLLLTRDGGKDTNGTEIFRPGGGDMRDNWRSELYKYKVVKIEPLGVAPTKAKR -RVVQREKRAVGLGALFLGFLGAAGSTMGAASITLTVQARQLLSGIVQQQNNLLRAIEAQQHLLQLTVWGI -KQLQARVLAVERYLRDQQLLGIWGCSGKLICTTTVPWNTSWSNKSLNEIWDNMTWMKWEREIDNYTHIIY -SLIEQSQNQQEKNEQELLALDKWASLWNWFDITKWLWYIKIFIMIVGGLIGLRIVFVVLSIVNRVRQGYS -PLSFQTHLPAQRGPDRPDGIEEEGGERDRDRSGPLVDGFLAIIWVDLRSLCLFSYHRLRDLLLIVTRIVE -LLGRRGWGVLKYWWNLLQYWIQELKNSAVSLLNATAIAVAEGTDRVIEILQRAFRAVLHIPVRIRQGLER -ALL - ->sp|P31872.1|ENV_HV1W1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIRRNCQHLWIWGTMLFGMWMICSAVEQLWVTVYYGVPVWKEATTTLFCASDAKAYSTEAHKVWAT -HACVPTNPNPQEVVLENVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCIDKNITDWEN -KTIIGGGEVKNCSFNITTSIRDKVHKEYALFYKLDVVPIKSNNDSSTYTRYRLIHCNTSVITQACSKVSF -EPIPIHYCAPAGFAILKCNDKKFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEIVIRSENFTDN -AKTIIVHLNESVEINCTRPNNNVRRRHIHIGPGRAFYTGEIRGNIRQAHCNISRAKWNNTLKQIVEKLRE -QFKNKTIVFNHSSGGDPEIVTHSFNCGGEFFYCDSTQLFNSTWNVTGISTEGNNNTEENGDTITLPCRIK -QIINMWQGVGKAMYAPPIGGQIRCSSNITGLLLTRDGGNSSSREEIFRPGGGNMRDNWRSELYKYKVVKI -EPLGVAPTKAKRRVVQREKRAVGAIGAMFLGFLGAAGSTMGAASLTLTVQARQLLSGIVQQQNNLLRAIE -AQQHLLQLTVWGIKQLQARVLAVERYLRDQQLLGIWGCSGKLICTTTVPWNASWSNKSMDQIWNNMTWME -WEREIDNYTSLIYNLIEESQNQQEKNEQELLELDKWASLWNWFSITNWLWYIKIFIMIVGGLVGLRIVFS -VLSIVNRVRQGYSPLSFQTHLPTPRGPDRPEGTEEEGGERDRDRSVRLVHGFLALIWDDLRSLCLFSYHR -LRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSKELKNSAVGLLNAIAIAVAEGTDRVIEVVQRICRAI -IHIPRRIRQGLERALL - ->sp|P31819.1|ENV_HV1KB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEIRKNYQHLWRWGIMLRWGTMLLGMLMICSAAEQLWVTVYYGVPVWKEATTTLFCASDAKAYDTEA -HNVWATHACVPTDPNPQEVVLVNVTENFNMWKNNMVEQMHENIISLWDQSLKPCVKLTPLCVTLHCTDLR -NTTNNNSSIEEKMKGEIKNCSFNVTTNIRDKVQKEYALFYKLDVVPIDNDNNSTNTCYRLISCDTSVITQ -ACPKVSFEPIPIHYCTPAGFALLKCNNKTFNGTGPCKNVSTVQCTHGIRPVVSTQLLLNGSLAEEGVVIR -SENFTDNVKTIIVQLNETVKINCIRPNNKTRKRVTMGPGRVYYTTGEIIGDIRQAHCNISRAEWNKTLEQ -IANKLRKQFENKTIVFNQSSGGDPEIVMHNFNCGGEFFYCDSSQLFNSTHLSNGTWWNGTGPENITLPCR -IKQIVNMWQEVGKAMYAPPIRGQIRCSSNITGLLLTRDGGNTQNNNTNSSIEIFRPGGGDMRDNWRSELY -KYKVVKIEPLGVAPTRAKRRVVQREKRAVGIGAVFLGFLGAAGSTMGAAAVTLTVQARQLLPGIVQQQNN -LLRAIDAQQHLLQLTVWGIKQLQARVLAVERYLKDQQLMGIWGCSGKFICTTAVPWNTSWSNKSFNEIWD -NMTWMEWEREINNYTNLIYNLIEESQNQQEKNEQDLLALDKWDSLWNWFSITKWLWYIKIFIMIVGGLVG -LRIVFTVLSIVNRVRQGYSPLSFQTRLPARGPDRPEGIEEEGGERDRDRSGPLVDGLLALIWVDLRSLCL -FSYHRLRDLLLIVTRTVELLGRKGWEVLKYLWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIEILQR -TYRAILHIPVKIRQGLERALL - ->sp|P17281.1|ENV_SIVCZ RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MKVMEKKKRDWNSLSIITIITIILLTPCLTSELWVTVYYGVPVWHDADPVLFCASDAKAHSTEAHNIWAT -QACVPTDPSPQEVFLPNVIESFNMWKNNMVDQMHEDIISLWDQSLKPCVKLTPLCVTLQCSKANFSQAKN -LTNQTSSPPLEMKNCSFNVTTELRDKKKQVYSLFYVEDVVNLGNENNTYRIINCNTTAITQACPKTSFEP -IPIHYCAPAGFAILKCNDKDFSGKGKCTNVSTVHCTHGIKPVVTTQLLINGSLAEGNITVRVENKSKNTD -VWIVQLVEAVSLNCHRPGNNTRGEVQIGPGMTFYNIENVVGDTRSAYCKINGTTWNRTVEEVKKALATSS -NRTAANITLNRASGGDPEVTHHMFNCGGEFFYCNTSQIFTDNITNGIIILPCRIRQIVSSWMRVGRGIYA -PPIRGNITCNSNITGLLLTSDTPVTNNSGNLTFRPTGGNMKDIWRSELYKYKVVRIEPLSVAPTKARRHT -VARQKDRQKRAAFGLGALFLGFLGAAGSTMGAAAVTLTVQARQLLSGIVQQQNNLLKAIEAQQHLLQLSI -WGVKQLQARLLAVERYLQDQQILGLWGCSGKAVCYTTVPWNNSWPGSNSTDDIWGNLTWQQWDKLVSNYT -GKIFGLLEEAQSQQEKNERDLLELDQWASLWNWFDITKWLWYIKIFLMAVGGIIGLRIIMTVFSVVRRVR -QGYSPLSLQTLIPVQREQGRLGEIDEGGGEQDRSRSVRLVEGCLPLIWDDLRNLGIWSYQSLTSLACNVW -RQLKTLGHLILHSLRLLRERLCLLGGIIQYWGKELKISAISLLDATAIAVAEGTDRIIEAFQVTLRIIRN -IPRRIRQGLERALL - ->sp|P04580.1|ENV_HV1Z6 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRAREIERNCPNLWKWGIMLLGILMICSAADNLWVTVYYGVPVWKEATTTLFCASDAKSYKTEAHNIWAT -HACVPTDPNPQEIELENVTENFNMWRNNMVEQIHEDIISLWDQSLKPCVKLTPLCVTLNCTDESDEWMGN -VTGKNVTEDIRMKNCSFNITTVVRDKTKQVHALFYRLDIVPIDNDNSTNSTNYRLINCNTSAITQACPKV -SFEPIPIHYCAPAGFAILKCRDKRFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEIIIRSENLT -NNAKIIIVQLNESVAINCTRPYKNTRQSTPIGLGQALYTTRGRTKIIGQAHCNISKEDWNKTLQRVAIKL -GNLLNKTTIIFKPSSGGDAEITTHSFNCGGEFFYCNTSGLFNSTWNINNSEGANSTESDNKLITLQCRIK -QIINMWQGVGKAMYAPPIEGQINCSSNITGLLLTRDGGTNNSSNETFRPGGGDMRDNWRSELYKYKVVKI -EPLGVAPTKAKRRVVEREKRAIGLGAMFLGFLGAAGSTMGAASVTLTVQARQLMSGIVQQQNNLLRAIEA -QQHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTTVPWNSSWSNRSLNDIWQNMTWMEW -EREIDNYTGLIYRLIEESQTQQEKNEQELLELDKWASLWNWFNITQWLWYIKIFIMIVGGLIGLRIVFAV -LSLVNRVRQGYSPLSFQTLLPAPREPDRPEGIEEEGGERGRDRSIRLVNGFSALIWDDLRNLCLFSYHRL -RDLILIAARIVELLGRRGWEALKYLWNLLQYWSRELRNSASSLLDTIAIAVAEGTDRVIEIVRRTYRAVL -NVPTRIRQGLERLLL - ->sp|P05880.1|ENV_HV1W2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIMRNCQHLWIWGTMLFGMWMICSAVEQLWVTVYYGVPVWKEATTTLFCASDAKAYSTEAHNVWAT -HACVPTDPNPQEVILGNVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCIDKNITDWKN -TTIIGGGEVKNCSFNITTSRRDKVHKEYALFYKLDVVPIKGDNNSSRYRLINCNTSVITQACPKVSFEPI -PIHYCAPAGFAILKCNDKKFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEIVIRSENFTDNAKT -IIVHLNESVEINCTRPYNNVRRSLSIGPGRAFRTREIIGIIRQAHCNISRAKWNNTLKQIVEKLREQFKN -KTIVFNHSSGGDPEIVTHSFNCGGEFFYCNSTQLFNSTWNGTDIKGDNKNSTLITLPCRIKQIINMWQGV -GKAMYAPPIQGQIRCSSNITGLLLTRDGGNSSSREEIFRPGGGNMRDNWRSELYKYKVVRIEPLGVAPTK -AKRRVVQREKRAVGTIGAMFLGFLGAAGSTMGAGSLTLTVQARQLLSGIVQQQNNLLRAIDAQQHLLQLT -VWGIKQLQARVLAVERYLRDQQLLGIWGCSGKLICTTTVPWNASWSNKSMNQIWDNLTWMEWEREIDNYT -SIIYSLIEESQNQQGKNEQELLELDKWASLWNWFDITNWLWYIKIFIMIVGGLIGLRIVFTVLSIVNRVR -QGYSPLSFQTHLPTPRGPDRPEGIEEEGGERDRDRSVRLVHGFLALIWDDLRSLCLFSYHRLRDLLLIVK -RIVELLGRRGWEALKYWWNLLQYWSKELKNSAVGLLNAIAIAVAEGTDRVIEVVQRICRAIIHIPRRIRQ -GLERALL - ->sp|P19551.1|ENV_HV1MF RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEKYQHLWRWGWKWGIMLLGILMICSATENLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVCA -THACVPTDPNPQEVILVNVTENFDMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVNLKCTDLKNDTNT -NSSNGRMIMEKGEIKNCSFNISTSIRNKVQKEYAFFYKLDIRPIDNTTYRLISCNTSVITQACPKVSFEP -IPIHYCAPAGFAILKCNDKTFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEGVIRSANFTDNAK -TIIVQLNTSVEINCTRPNNNTRKSIRIQRGPGRAFVTIGKIGNMRQAHCNISRAKWMSTLKQIASKLREQ -FGNNKTVIFKQSSGGDPEIVTHSFNCGGEFFYCNSTQLFNSTWFNSTWSTEGSNNTEGSDTITLPCRIKQ -FINMWQEVGKAMYAPPISGQIRCSSNITGLLLTRDGGKNTNESEVFRPGGGDMRDNWRSELYKYKVVKIE -TLGVAPTKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGAASMTLTVQARQLLSGIVQQQNNLLRAIEAQ -QHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLEQFWNNMTWMEWD -REINNYTSLIHSLIDESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKIFIMIVGGLVGLRIVFAVL -SIVNRVRQGYSPLSFQTHLPNRGGPDRPEGIEEEGGERDRDRSVRLVNGSLALIWDDLRSLCLFSYHRLR -DLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIEVVQGAYRAIRH -IPRRIRQGLERIL - ->sp|P05878.1|ENV_HV1SC RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGSGRNYQHLWRWGTMLLGILMICSAAEQLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNIWAT -HACVPTDPNPQEVVLGNVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCTNLRNDTSTN -ATNTTSSNRGKMEGGEMTNCSFNITTSIRSKVQKEYALFYKLDVVPIDNTSYTLINCNTSVITQACPKVS -FEPIPIHYCARWFAILNCNNKKFNGTGPCTNVSTVQCTHGIRPVVSTHLLLNGSLAEEEVVLRSENFTDN -AKTIIVQLKEAVEINCTRPNNNTTRSIHIGPGRAFYATGDIIGDIRQAHCNISRAKWNNTLKQIVIKLRD -QFENKTIIFNRSSGGDPEIVMHSFNCGGEFFYCNSTQLFSSTWNGTEGSNNTGGNDTITLPCRIKEIINM -WQEVGKAMYAPPIKGQVKCSSNITGLLLTRDGGNSKNGSKNENTEIFRPGGGDMRDNWRSELYKYKVVKI -EPLGVAPTKAKRRVVQREKRAVGTIGAMFLGFLGAAGSTMGATSMTLTVQARLLLSGIVQQQNNLLRAIE -AQQHLLQLTVWGIKQLQARVLAVERYLRDQQLLGIWGCSGKLICTTTVPWNTSWSNKSLDKIWGNMTWME -WEREIDNYTSLIYTLIEESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKIFIMIVGGLVGLRIVFT -VLSIVNRVRQGYSPLSFQTRLPSQRGPDRPEGIEEEGGERDRDRSGRLVDGFLAIIWVDXRSLCLFSYHR -LRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELRNSAVSFVNATAIAVAEGTDRVIELLQRAFRAI -LHIPTRIRQGLERALQ - ->sp|P04579.1|ENV_HV1RH RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVMEMRKNCQHLWKWGTMLLGMLMICSAAEDLWVTVYYGVPVWKEATTTLFCASEAKAYKTEVHNVWAK -HACVPTDPNPQEVLLENVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCTDANLNGTNV -TSSSGGTMMENGEIKNCSFQVTTSRRDKTQKKYALFYKLDVVPIEKGNISPKNNTSNNTSYGNYTLIHCN -SSVITQACPKVSFEPIPIHYCTPAGFAILKCNDKKFNGTGPCKNVSTVQCTHGIRPVVSTQLLLNGSLAE -EEVVIRSENFTDNVKTIIVQLNASVQINCTRPNNNTRKSITKGPGRVIYATGQIIGDIRKAHCNLSRAQW -NNTLKQVVTKLREQFDNKTIVFTSSSGGDPEIVLHSFNCGGEFFYCNTTQLFNSTWNSTEGSNNTGGNDT -ITLPCRIKQIVNMWQEVGKAMYAPPISGQIKCISNITGLLLTRDGGEDTTNTTEIFRLGGGNMRDNWRSE -LYKYKVVRIEPLGVAPTRAKRRVVQREKRAVGTIGAMFLGFLGAAGSTMGAGSITLTVQARHLLSGIVQQ -QNNLLRAIEAQQHLLQLTVWGIKQLQARVLAVERYLRDQQLLGIWGCSGKLICTTTVPWNASWSNKSLNM -IWNNMTWMQWEREIDNYTGIIYNLLEESQNQQEKNEQELLELDKWANLWNWFDITQWLWYIRIFIMIVGG -LVGLKIVFAVLSIVNRVRQGYSPLSFQTHLPAPRGPDRPEGIEGEGGERDRDRSGGAVNGFLTLIWDDLW -TLCSFSYHRLRDLLLIVVRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNTTAIAVAEGTDRIIE -VAQRILRAFLHIPRRIRQGLERALL - ->sp|P20888.1|ENV_HV1OY RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MTARGTRKNYQRLWRWGTMLLGMLMICSAAENLWVTVYYGVPVWKEATTTLFCASDARAYATEVHNVWAT -HACVPTDPNPQEVVLGNVTENFDMWKNNMVEQMQEDIISLWDQSLKPCVKLTPLCVTLDCTDVNTTSSSL -RNATNTTSSSWETMEKGELKNCSFNTTTSIRDKMQEQYALFYKLDVLPIDKNDTKFRLIHCNTSTITQAC -PKISFEPIPMHYCTPAGFAILKCNDKKFNGTGPCTNVSTVQCTHGIKPVVSTQLLLNGSLAEEEVIIRSS -NFTNNAKIIIVQLNKSVEINCTRPNNNTRNRISIGPGRAFHTTKQIIGDIRQAHCNLSRATWEKTLEQIA -TKLRKQFRNKTIAFDRSSGGDPEIVMHSFNCGGEFFYCNTSQLFNSTWNDTTRANSTEVTITLPCRIKQI -VNMWQEVGKAMYAPPISGQIRCSSKITGLLLTRDGGKNTTNGIEIFRPAGGDMRDNWRSELYKYKVVKIE -PLGVAPTKARRRVVQREKRAVGMLGAMFLGFLGAAGSTMGARSMTLTVQARQLLSGIVQQQNNLLRAIEA -QQHLLQLTVWGIKQLQARVLAVERYLKDQQLLGIWGCSGKLICTTTVPWNASWSNKSLNEIWDNMTWMQW -EREIDNYTHLIYTLIEESQNQQEKNEQELLELDKWAGLWSWFSITNWLWYIRIFIIIVGGLVGLRIVFAV -LSIVNRVRQGYSPLSFQTRLPTQRGPDRPEGIEEEGGERDRDRSGRLVDGFLALIWDDLRSLCLFSYHRL -RDLILIVARIVELLGRRGWEVLKYWWNLLQYWSQELKNSVISLLNATAIAVAEGTDRVIEIVQRAYRAFL -NIPRRIRQGLERALL - ->sp|P04583.1|ENV_HV1MA RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVREIQRNYQNWWRWGMMLLGMLMTCSIAEDLWVTVYYGVPVWKEATTTLFCASDAKSYETEVHNIWAT -HACVPTDPNPQEIELENVTEGFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCTNVNGTAVNG -TNAGSNRTNAELKMEIGEVKNCSFNITPVGSDKRQEYATFYNLDLVQIDDSDNSSYRLINCNTSVITQAC -PKVTFDPIPIHYCAPAGFAILKCNDKKFNGTEICKNVSTVQCTHGIKPVVSTQLLLNGSLAEEEIMIRSE -NLTDNTKNIIVQLNETVTINCTRPGNNTRRGIHFGPGQALYTTGIVGDIRRAYCTINETEWDKTLQQVAV -KLGSLLNKTKIIFNSSSGGDPEITTHSFNCRGEFFYCNTSKLFNSTWQNNGARLSNSTESTGSITLPCRI -KQIINMWQKTGKAMYAPPIAGVINCLSNITGLILTRDGGNSSDNSDNETLRPGGGDMRDNWISELYKYKV -VRIEPLGVAPTKAKRRVVEREKRAIGLGAMFLGFLGAAGSTMGAASLTLTVQARQLLSGIVQQQNNLLRA -IEAQQHLLQLTVWGIKQLQARVLAVERYLQDQRLLGMWGCSGKHICTTFVPWNSSWSNRSLDDIWNNMTW -MQWEKEISNYTGIIYNLIEESQIQQEKNEKELLELDKWASLWNWFSISKWLWYIRIFIIVVGGLIGLRII -FAVLSLVNRVRQGYSPLSLQTLLPTPRGPPDRPEGIEEEGGEQGRGRSIRLVNGFSALIWDDLRNLCLFS -YHRLRDLLLIATRIVELLGRRGWEALKYLWNLLQYWGQELKNSAISLLNTTAIAVAECTDRVIEIGQRFG -RAILHIPRRIRQGFERALL - ->sp|P05877.1|ENV_HV1MN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIRRNYQHWWGWGTMLLGLLMICSATEKLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWAT -QACVPTDPNPQEVELVNVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCTDLRNTTNTN -NSTANNNSNSEGTIKGGEMKNCSFNITTSIRDKMQKEYALLYKLDIVSIDNDSTSYRLISCNTSVITQAC -PKISFEPIPIHYCAPAGFAILKCNDKKFSGKGSCKNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSE -NFTDNAKTIIVHLNESVQINCTRPNYNKRKRIHIGPGRAFYTTKNIIGTIRQAHCNISRAKWNDTLRQIV -SKLKEQFKNKTIVFNQSSGGDPEIVMHSFNCGGEFFYCNTSPLFNSTWNGNNTWNNTTGSNNNITLQCKI -KQIINMWQEVGKAMYAPPIEGQIRCSSNITGLLLTRDGGKDTDTNDTEIFRPGGGDMRDNWRSELYKYKV -VTIEPLGVAPTKAKRRVVQREKRAAIGALFLGFLGAAGSTMGAASVTLTVQARLLLSGIVQQQNNLLRAI -EAQQHMLQLTVWGIKQLQARVLAVERYLKDQQLLGFWGCSGKLICTTTVPWNASWSNKSLDDIWNNMTWM -QWEREIDNYTSLIYSLLEKSQTQQEKNEQELLELDKWASLWNWFDITNWLWYIKIFIMIVGGLVGLRIVF -AVLSIVNRVRQGYSPLSLQTRPPVPRGPDRPEGIEEEGGERDRDTSGRLVHGFLAIIWVDLRSLFLFSYH -HRDLLLIAARIVELLGRRGWEVLKYWWNLLQYWSQELKSSAVSLLNATAIAVAEGTDRVIEVLQRAGRAI -LHIPTRIRQGLERALL - ->sp|P18799.1|ENV_HV1ND RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRAREKERNCQNLWKWGIMLLGMLMTCSAAEDLWVTVYYGVPIWKEATTTLFCASDAKAYKKEAHNIWAT -HACVPTDPNPQEIELENVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCTDELRNSKGN -GKVEEEEKRKNCSFNVRDKREQVYALFYKLDIVPIDNNNRTNSTNYRLINCDTSTITQACPKISFEPIPI -HFCAPAGFAILKCRDKKFNGTGPCSNVSTVQCTHGIRPVVSTQLLLNGSLAEEEIIIRSENLTNNVKTII -VQLNASIVINCTRPYKYTRQRTSIGLRQSLYTITGKKKKTGYIGQAHCKISRAEWNKALQQVATKLGNLL -NKTTITFKPSSGGDPEITSHMLNCGGDFFYCNTSRLFNSTWNQTNSTGFNNGTVTLPCRIKQIVNLWQRV -GKAMYAPPIEGLIKCSSNITGLLLTRDGGANNSSHETIRPGGGDMRDNWRSELYKYKVVKIEPIGVAPTK -ARRRVVEREKRAIGLGAVFLGFLGAAGSTMGAASVTLTVQARQLMSGIVHQQNNLLRAIEAQQHLLQLTV -WGIKQLQARVLAVERYLRDQQLLGIWGCSGRHICTTNVPWNSSWSNRSLDEIWQNMTWMEWEREIDNYTG -LIYSLIEESQIQQEKNEKELLELDKWASLWNWFSITKWLWYIKLFIMIVGGLIGLRIVFAVLSVVNRVRQ -GYSPLSFQTLLPVPRGPDRPEEIEEEGGERGRDRSIRLVNGLFALFWDDLRNLCLFSYHRLRDSILIAAR -IVELLGRRGWEALKYLWNLLQYWSQELRNSASSLLDTIAIAVAERTDRVIEVVQRACRAILNVPRRIRQG -LERLLL - ->sp|P12489.1|ENV_HV1J3 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIRKNYQHLWRWGTMLLGILMICSAAEQLWVTVYYGVPVWKEAATTLFCASDAKAYDTEVHNVWAT -HACVPTDPNPQEVVLENVTEKFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCIDWGNDTSPN -ATNTTSSGGEKMEKGEMKNCSFNITTSIRDKVQKEHALFYKHDVVPINNSTKDNIKNDNSTRYRLISCNT -SVITQACPKISFEPIPIHYCAPAGFAIIKCNDKKFNGTGPCTNVSTVQCTHGIKPVVSTQLLLNGSLAEE -EVVIRSENFTDNAKTIIVQLKEPVVINCTRPSKTTRRRIHIGPGRAFYTTKQIAGDLRQAHCNINRARWN -ATLKQIVGKLRKQFVNKTIVFNRSSGGDPEIVMHSFNCGGEFFYCNSTQLFNSTWLSNSTWNDTEGSNNT -GGNDTITLPCRIKQIINMWQEVGKAMYAPPIEGQIRCSSNITGLLLTRDGGDNQNETETFRPGGGNMRDN -WRSELYKYKVVKIELLGVAPTKAKRRVVQREKRAVGIGAVFLGFLGAAGSTMGASMTLTVQARLLLSGIV -QQQNNLLRAIEGQQHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSL -EEIWDNMTWMEWEREIDNYTSLIYTLIEESQNQQEKNEQELLGLDKWASLWNWFTITNWLWYIRIFIMIV -GGLVGLRIVFTVLSIVNRVRQGYSPLSFQTRLPAPRGPDRPEGIEEEGGDRDRDRSGQLVDGLLAIIWVD -LRSLCLFSYHRLRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLFNAIAIAVAEGTDRV -LKILQRAFRAILHIPTRIRQGLERALL - ->sp|P12488.1|ENV_HV1BN RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIKKNYQHLWRWGGMMLLGILMICSATDKLWVTVYYGVPVWKEANTTLFCASDAKAYDTEIHNVWA -THACVPTDPNPQELVMGNVTENFNMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCHDFNATNAT -SNSGKMMEGGEMKNCSFNITTSIRDKMQKEYALFYKLDIVPIDNDKTNTRYRLISCNTSVITQACPKVTF -EPIPIHYCAPAGFAILKCNNKKFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSENFTNN -VKTIIVQLNESVEINCTRPNNNTRKRITMGPGRVYYTTGQIIGDIRRAHCNLSRSKWENTLKQIVTKLRV -QFKNKTIVFNRSSGGDPEIVMHSFNCGGEFFFCNTTQLFNSTWYRNTTGNITEGNSPITLPCRIKQIINM -WQEVGKAMYAPPIRGQIKCSSNITGLLLTRDGGNNNETTDTEIFRPGGGNMRDNWRSELYKYKVVKIEPL -GVAPTKAKRRVVQREKRAVGLGALFLGFLGAAGSTMGAASLTLTVQARLLLSGIVQQQNNLLMAIEAQQH -MLELTVWGIKQLQARVLAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLSDIWDNMTWMEWERE -IDNYTNLIYSLIEDSQIQQEKNEKELLELDKWASLWNWFNITNWLWYIKIFIMIVGGLIGLRIVFAVLSI -VNRVRQGYSPLSFQTRLPGRRGPDRPEGIEEEGGERDRDRSSPLVDGFLALFWVDLRSLFLFSYHRLRDL -LLIVTRIVELLGRRGWEVLKYWWNLLQYWSQELKNSAVSLLNATAIAVGERTDRAIEVVQRAFRAILHIP -RRIRQGLERALQ - ->sp|P05881.1|ENV_HV1ZH RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MKVKGIQGNWQNWWKWGTLILGLVIICSAAENLWVTVYYGVPVWKDAETTLFCASDAKAYDTEKHNVWAT -HACVPTDPNPQELSLGNVTEKFDMWKNNMVEQMHEDVISLWDQSLKPCVKLTPLCVTLSCHNITIKDNNT -NVDTEMKEEIKNCSYNMTTELRDKQRKIYSLFYRLDIVPIGGNSSNGDSSKYRLINCNTSAITQACPKVS -FEPIPIHYCAPAGFAILKCRDEEFEGKGPCRNVSTVQCTHGIRPVVSTQLLLNGSLAEGEVRIRSENFTD -NAKIIIVQLVKPVNITCMRPNNNTRKSISIGPGRAFFATGDIIGDIRQAHCNVSRTEWNDTLSKVAAQLR -KHFVNTSTDIIFANSSGGDVEITTHSFNCGGEFFYCNTSGLFNGTWLNGTSNNTWKIDTVNDTIILPCRI -KQIVNMWQRVGQAMYAPPIKGVIKCVSNITGILLTRDGVGNNTSNETFRPGGGDMRDNWRSELYKYKVVK -IEPLGVAPTKAKRRVVAREKRAIGMGAFFLGFLGAAGSTMGAASITLTVQARRLLSGIVQQQNNLLRAIE -AQQHLLKLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKIICPTNVPWNSSWSNKSQSDIWDKMTWLE -WDKEVSNYTQVIYNLIEESQTQQEINERDLLALDKWANLWNWFDISNWLWYIKIFIMIVGGLIGLRIVFA -VLSIINRVRQGYSPLSFQTLTHHQREPDRPERIEEGGGEQDRDRSIRLVSGFLPLAWDDLRSLCLFCYHR -LRDCALIAARIVETLIRRGWETLKYLGNLVIYWGQELKNSAINLLDTVAIAVADWTDRVIEVVQRAGRAF -LNIPRRIRQGLERALL - ->sp|P19550.1|ENV_HV1S1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIRKNYQHLWRGGTLLLGMLMICSAVEKLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWAT -HACVPTDPNPQEIVLENVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLHCTNLKNATNTK -SSNWKEMDRGEIKNCSFKVTTSIRNKMQKEYALFYKLDVVPIDNDNTSYKLINCNTSVITQACPKVSFEP -IPIHYCAPAGFAILKCNDKKFNGSGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEGVVIRSENFTDNAK -TIIVQLKESVEINCTRPNNNTRKSITIGPGRAFYATGDIIGDIRQAHCNISGEKWNNTLKQIVTKLQAQF -GNKTIVFKQSSGGDPEIVMHSFNCGGEFFYCNSTQLFNSTWNNTIGPNNTNGTITLPCRIKQIINRWQEV -GKAMYAPPIRGQIRCSSNITGLLLTRDGGKEISNTTEIFRPGGGDMRDNWRSELYKYKVVKIEPLGVAPT -KAKRRVVQREKRAVTLGAMFLGFLGAAGSTMGARSLTLTVQARQLLSGIVQQQNNLLRAIEAQQHLLQLT -VWGIKQLQARVLAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLDQIWNNMTWMEWEREIDNYT -NLIYTLIEESQNQQEKNEQELLELDKWASLWNWFDISKWLWYIKIFIMIVGGLVGLRIVFTVLSIVNRVR -QGYSPLSFQTRFPAPRGPDRPEGIEEEGGERDRDRSSPLVHGLLALIWDDLRSLCLFSYHRLRDLILIAA -RIVELLGRRGWEALKYWGNLLQYWIQELKNSAVSLFDAIAIAVAEGTDRIIEVAQRIGRAFLHIPRRIRQ -GFERALL - ->sp|P19549.1|ENV_HV1S3 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRARETRKNYQCLWRWGTMLLGMLMICSAAENLWVTVYYGVPVWKDATTTLFCASDAKAYDTEVHNVWAT -HACVPTDPNPQEVVLGNVTENFNMWKNNMVDQMHEDIVSLWDQSLKPCVKLTPLCVTLNCTDYLGNATNT -NNSSGGTVEKEEIKNCSFNITTGIRDKVQKAYAYFYKLDVVPIDDDNTNTSYRLIHCNSSVITQTCPKVS -FEPIPIHYCAPAGFAILKCNNKKFSGKGQCTNVSTVQCTHGIKPVVSTQLLLNGSLAEEEVVIRSDNFTN -NAKTILVQLNVSVEINCTRPNNNRRRRITSGPGKVLYTTGEIIGDIRKAYCNISRAKWNKTLEQVATKLR -EQFGNKTIVFKQSSGGDPEIVMHSFNCRGEFFYCNTTKLFNSTWNENSTWNATGNDTITLPCRIKQIINM -WQEVGKAMYAPPIEGQIRCSSNITGLLLTRDGGGDKNSTTEIFRPAGGNMKDNWRSELYKYKVVKIEPLG -VAPTKAKRRVVQREKRAVGVIGAMFLGFLGAAGSTMGAASITLTVQARKLLSGIVQQQNNLLRAIEAQQH -LLQLTVWGIKQLQARVLAVERYLRDQQLLGIWGCSGKLICTTTVPWNTSWSNKSLDKIWNNMTWMEWERE -IDNYTSLIYTLLEESQNQQEKNEQELLELDKWASLWNWFSITNWLWYIRIFIMIVGGLIGLRIIFAVLSI -VNRVRQGYSPLSFQTLIPAQRGPDRPEGIEEGGGERDRDRSTRLVNGFLALFWDDLRSLCLFSYHRLTDL -LLIVARIVELLGRRGWEVLKYWWNLLLYWSQELKNSAVSLLNATAIAVAEGTDRVIEVVQRVGRAILHIP -TRIRQGFERALL - ->sp|P04581.1|ENV_HV1EL RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRARGIERNCQNWWKWGIMLLGILMTCSAADNLWVTVYYGVPVWKEATTTLFCASDAKSYETEAHNIWAT -HACVPTDPNPQEIALENVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCSDELRNNGTM -GNNVTTEEKGMKNCSFNVTTVLKDKKQQVYALFYRLDIVPIDNDSSTNSTNYRLINCNTSAITQACPKVS -FEPIPIHYCAPAGFAILKCRDKKFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVIIRSENLTN -NAKNIIAHLNESVKITCARPYQNTRQRTPIGLGQSLYTTRSRSIIGQAHCNISRAQWSKTLQQVARKLGT -LLNKTIIKFKPSSGGDPEITTHSFNCGGEFFYCNTSGLFNSTWNISAWNNITESNNSTNTNITLQCRIKQ -IIKMVAGRKAIYAPPIERNILCSSNITGLLLTRDGGINNSTNETFRPGGGDMRDNWRSELYKYKVVQIEP -LGVAPTRAKRRVVEREKRAIGLGAMFLGFLGAAGSTMGARSVTLTVQARQLMSGIVQQQNNLLRAIEAQQ -HLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKHICTTNVPWNSSWSNRSLNEIWQNMTWMEWER -EIDNYTGLIYSLIEESQTQQEKNEKELLELDKWASLWNWFSITQWLWYIKIFIMIIGGLIGLRIVFAVLS -LVNRVRQGYSPLSFQTLLPAPRGPDRPEGTEEEGGERGRDRSVRLLNGFSALIWDDLRSLCLFSYHRLRD -LILIAVRIVELLGRRGWDILKYLWNLLQYWSQELRNSASSLFDAIAIAVAEGTDRVIEIIQRACRAVLNI -PRRIRQGLERSLL - ->sp|P20871.1|ENV_HV1JR RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKGIRKNYQHLWKGGILLLGTLMICSAVEKLWVTVYYGVPVWKETTTTLFCASDAKAYDTEVHNVWAT -HACVPTDPNPQEVVLENVTEDFNMWKNNMVEQMQEDVINLWDQSLKPCVKLTPLCVTLNCKDVNATNTTS -SSEGMMERGEIKNCSFNITKSIRDKVQKEYALFYKLDVVPIDNKNNTKYRLISCNTSVITQACPKVSFEP -IPIHYCAPAGFAILKCNNKTFNGKGQCKNVSTVQCTHGIRPVVSTQLLLNGSLAEEKVVIRSDNFTDNAK -TIIVQLNESVKINCTRPSNNTRKSIHIGPGRAFYTTGEIIGDIRQAHCNISRAQWNNTLKQIVEKLREQF -NNKTIVFTHSSGGDPEIVMHSFNCGGEFFYCNSTQLFNSTWNDTEKSSGTEGNDTIILPCRIKQIINMWQ -EVGKAMYAPPIKGQIRCSSNITGLLLTRDGGKNESEIEIFRPGGGDMRDNWRSELYKYKVVKIEPLGVAP -TKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGARSMTLTVQARQLLSGIVQQQNNLLRAIEAQQHMLQL -TVWGIKQLQARVLAVERYLKDQQLMGIWGCSGKLICTTAVPWNTSWSNKSLDSIWNNMTWMEWEKEIENY -TNTIYTLIEESQIQQEKNEQELLELDKWASLWNWFGITKWLWYIKIFIMIVGGLIGLRIVFSVLSIVNRV -RQGYSPLSFQTLLPATRGPDRPEGIEEEGGERDRDRSGQLVNGFLALIWVDLRSLFLFSYHRLRDLLLTV -TRIVELLGRRGWEILKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRIIEVVQRVYRAILHIPTRIR -QGLERALL - ->sp|P05879.1|ENV_HV1C4 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MAMRAKGIRKNCQHLWRWGTMLLGMLMICSAAANLWVTVYYGVPVWKEATTTLFCASDAKAYDTEAHNVW -ATHACVPTNPNPQEVVLENVTENFNMWKNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCTDLNTNNT -TNTTELSIIVVWEQRGKGEMRNCSFNITTSIRDKVQREYALFYKLDVEPIDDNKNTTNNTKYRLINCNTS -VITQACPKVSFEPIPIHYCTPTGFALLKCNDKKFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEE -VVIRSENFTNNAKTIIVQLNVSVEINCTRPNNHTRKRVTLGPGRVWYTTGEILGNIRQAHCNISRAQWNN -TLQQIATTLREQFGNKTIAFNQSSGGDPEIVMHSFNCGGEFFYCNSTQLFNSAWNVTSNGTWSVTRKQKD -TGDIITLPCRIKQIINRWQVVGKAMYALPIKGLIRCSSNITGLLLTRDGGGENQTTEIFRPGGGDMRDNW -RSELYKYKVVKIEPLGVAPTKAKRRVVQREKRAVGMLGAMFLGFLGAAGSTMGATSMALTVQARQLLSGI -VQQQNNLLRAIKAQQHLLQLTVWGIKQLQARILAVERYLKDQQLLGFWGCSGKLICTTAVPWNASWSNKT -LDQIWNNMTWMEWDREIDNYTHLIYTLIEESQNQQEKNQQELLQLDKWASLWTWSDITKWLWYIKIFIMI -VGGLIGLRIVFAVLSIVNRVRQGYSPLSFQTLLPNPRGPDRPEGTEEGGGERGRDGSTRLVHGFLALVWD -DLRSLCLFSYHRLRDLLLIVARIVELLGRRGWEVLKYWWNLLQYWSQELKNSAVSLVNVTAIAVAEGTDR -VIEVVQRIYRAFLHIPRRIRQGFERALL - ->sp|P03377.1|ENV_HV1BR RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEKYQHLWRWGWKWGTMLLGILMICSATEKLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWA -THACVPTDPNPQEVVLVNVTENFNMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVSLKCTDLGNATNT -NSSNTNSSSGEMMMEKGEIKNCSFNISTSIRGKVQKEYAFFYKLDIIPIDNDTTSYTLTSCNTSVITQAC -PKVSFEPIPIHYCAPAGFAILKCNNKTFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSA -NFTDNAKTIIVQLNQSVEINCTRPNNNTRKSIRIQRGPGRAFVTIGKIGNMRQAHCNISRAKWNATLKQI -ASKLREQFGNNKTIIFKQSSGGDPEIVTHSFNCGGEFFYCNSTQLFNSTWFNSTWSTEGSNNTEGSDTIT -LPCRIKQFINMWQEVGKAMYAPPISGQIRCSSNITGLLLTRDGGNNNNGSEIFRPGGGDMRDNWRSELYK -YKVVKIEPLGVAPTKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGARSMTLTVQARQLLSGIVQQQNNL -LRAIEAQQHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLEQIWNN -MTWMEWDREINNYTSLIHSLIEESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKIFIMIVGGLVGL -RIVFAVLSIVNRVRQGYSPLSFQTHLPTPRGPDRPEGIEEEGGERDRDRSIRLVNGSLALIWDDLRSLCL -FSYHRLRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIEVVQG -ACRAIRHIPRRIRQGLERILL - ->sp|P03378.1|ENV_HV1A2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MKVKGTRRNYQHLWRWGTLLLGMLMICSATEKLWVTVYYGVPVWKEATTTLFCASDARAYDTEVHNVWAT -HACVPTDPNPQEVVLGNVTENFNMWKNNMVEQMQEDIISLWDQSLKPCVKLTPLCVTLNCTDLGKATNTN -SSNWKEEIKGEIKNCSFNITTSIRDKIQKENALFRNLDVVPIDNASTTTNYTNYRLIHCNRSVITQACPK -VSFEPIPIHYCTPAGFAILKCNNKTFNGKGPCTNVSTVQCTHGIRPIVSTQLLLNGSLAEEEVVIRSDNF -TNNAKTIIVQLNESVAINCTRPNNNTRKSIYIGPGRAFHTTGRIIGDIRKAHCNISRAQWNNTLEQIVKK -LREQFGNNKTIVFNQSSGGDPEIVMHSFNCRGEFFYCNTTQLFNNTWRLNHTEGTKGNDTIILPCRIKQI -INMWQEVGKAMYAPPIGGQISCSSNITGLLLTRDGGTNVTNDTEVFRPGGGDMRDNWRSELYKYKVIKIE -PLGIAPTKAKRRVVQREKRAVGIVGAMFLGFLGAAGSTMGAVSLTLTVQARQLLSGIVQQQNNLLRAIEA -QQHLLQLTVWGIKQLQARVLAVERYLRDQQLLGIWGCSGKLICTTAVPWNASWSNKSLEDIWDNMTWMQW -EREIDNYTNTIYTLLEESQNQQEKNEQELLELDKWASLWNWFSITNWLWYIKIFIMIVGGLVGLRIVFAV -LSIVNRVRQGYSPLSFQTRLPVPRGPDRPDGIEEEGGERDRDRSVRLVDGFLALIWEDLRSLCLFSYRRL -RDLLLIAARTVEILGHRGWEALKYWWSLLQYWIQELKNSAVSWLNATAIAVTEGTDRVIEVAQRAYRAIL -HIHRRIRQGLERLLL - ->sp|P04582.1|ENV_HV1B8 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEKYQHLWRWGWRWGTMLLGMLMICSATEKLWVTVYFGVPVWKEATTTLFCASDAKAYDTEVHNVWA -THACVPTDPNPQEVVLVNVTENFNMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVSLKCTDLKNDTNT -NSSSGRMIMEKGEIKNCSFNISTSKRGKVQKEYAFFYKLDIIPIDNDTTSYTLTSCNTSVITQACPKVSF -EPIPIHYCAPAGFAILKCNNKTFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSVNFTDN -AKTIIVQLDTSVEINCTRPNNNTRKKIRIQRGPGRAFVTIGKIGNMRQAHCNISRAKWNATLKQIDSKLR -EQFGNNKTIIFKQSSGGDPEIVTHSFNCGGEFFYCNSTQLFNSTWSTKGSNNTEGSDTITLPCRIKQIIN -MWQEVGKAMYAPPISGQIRCSSNITGLLLTRDGGNSNNESEIFRPGGGDMRDNWRSELYKYKVVKIEPLG -VAPTKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGAASMTLTVQARQLLSGIVQQQNNLLRAIEGQQHL -LQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLEQIWNNMTWMEWDREI -NNYTSLIHSLIEESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKLFIMIVGGLVGLRIVFAVLSIV -NRVRQGYSPLSFQTHLPNPRGPDRPEGIEEEGGERDRDRSIRLVNGSLALIWDDLRSLCLFSYHRLRDLL -LIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVNLLNATAIAVAEGTDRVIELVQAAYRAIRHIPR -RIRQGLERILL - ->sp|P12487.1|ENV_HV1Z2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVRGIERNCQNLWKWGIMLLGILMTCSNADNLWVTVYYGVPVWKEATTTLFCASDAKSYKTEAHNIWAT -HACVPTDPNPQEIELENVTENFNMWRNNMVEQMHEDIISLWDQSLKPCVKLTPLCVTLNCIDEVMENVTM -KNNNVTEEIRMKNCSFNITTVVRDKTKQVHALFYRLDIVPIDNDNSTNSTNYRLINCNTSAITQACPKVS -FEPIPIHYCAPAGFAILKCRDKRFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEIIIRSENLTN -NAKIIIVQLNESVAINCTRPYRNIRQRTSIGLGQALYTTKTRSIIGQAYCNISKNEWNKTLQQVAIKLGN -LLNKTTIIFKPSSGGDPEITTHSFNCGGEFFYCNTSGLFNSTWDISKSEWANSTESDDKPITLQCRIKQI -INMWQGVGKAMYAPPIEGQINCSSNITGLLLTRDGGTNNSSNETFRPGGGDMRDNWRSELYKYKVVKIEP -LGVAPTRAKRRVVEREKRAIGLGAMFLGFLGAAGSTMGARSLTLTVQARQLLSGIVQQQNNLLRAIEAQQ -HLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTTVPWNSSWSNRSLNDIWQNMTWMEWER -EIDNYTGLIYRLIEESQTQQEKNEQELLELDKWASLWNWFNITQWLWYIKIFIMIVGGLIGLRIVFAVLS -LVNRVRQGYSPLSFQTLLPAPRGPDRPEGIEEEGGERGRDRSIRLVNGFSALIWDDLRNLCLFSYHRLRD -LILIAARIVELLGRRGWEALKYLWNLLQYWSRELKNSASSLLDTIAIAVAEGTDRVIEIVRRACRAVLHI -PTRIRQGLERLLL - ->sp|P05882.1|ENV_HV1Z8 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVMGIRMNYQHLWKWGIMLLGILMTCSVAEDLWVTVYYGVPVWKEATTTLFCASDAKSYEPEAHNIWAT -HACVPTDPNPREIEMENVTENFNMWKNNMVEQMHEDIISLWDQNLKPCVKLTPLCVTLNCTNAGGNKTTN -GNNTTNQEEQMMEKGEMKNCSFNITTVISDKKKQVHALFYRLDVVPIDDDNSANTSNTNYTNYRLINCNT -SAITQACPKVTFEPIPIHYCAPAGFAILKCKDKKFNGTGPCKKVSTVQCTHGIRPVVSTQLLLNGSLAEE -EIIIRSENLTNNVKTIIVHLNESVEINCTRPDNKITRQSTPIGLGQALYTTRIKGDIRQAYCNISAAAWN -KTLQQVAKKLGDLLNQTTIIFKPPAGGDPEITTHSFNCGGEFFYCNTSRLFNSTWNSSTWNNDTLNSEGT -IKLPCRIKQIINMWQGVGKAMYAPPIEGLIKCTSNITGLLLTRDGGVNNSTNETFRPGGGDMKDNWRNEL -YKYKVVRIEPLGIAPTRAKRRVVEREKRAIGLGAVFLGFLGAAGSTMGAVSVALTGQARQLLSGIVQQQN -NLLRAIEAQQHMLQLTVWGIKQLQARVLAVESYLKDQQLLGIWGCSGKHICTTTVPWNSSWSNKSLEEIW -NNMTWIEWEREIDNYTGVIYSLIENSQIQQEKNEQDLLQLDKWASLWNWFSITKWLWYIKIFIMIVGGLI -GLRIVFTVLSLVNRVRQGYSPLSFQTLLPAPRGPDRPEGIEEEGGEQGRDRSIRLVNGFSALFWDDLRNL -CLFSYHRLRDLILIATRIVELLGRRGWEAIKYLWSLLQYWTQELKNSFISLLNATAIAVAEGTDRIIELI -RRAFRAVLHIPRRVRQGLERALL - ->sp|P03375.1|ENV_HV1B1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MRVKEKYQHLWRWGWRWGTMLLGMLMICSATEKLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWA -THACVPTDPNPQEVVLVNVTENFNMWKNDMVEQMHEDIISLWDQSLKPCVKLTPLCVSLKCTDLKNDTNT -NSSSGRMIMEKGEIKNCSFNISTSIRGKVQKEYAFFYKLDIIPIDNDTTSYTLTSCNTSVITQACPKVSF -EPIPIHYCAPAGFAILKCNNKTFNGTGPCTNVSTVQCTHGIRPVVSTQLLLNGSLAEEEVVIRSANFTDN -AKTIIVQLNQSVEINCTRPNNNTRKSIRIQRGPGRAFVTIGKIGNMRQAHCNISRAKWNNTLKQIDSKLR -EQFGNNKTIIFKQSSGGDPEIVTHSFNCGGEFFYCNSTQLFNSTWFNSTWSTKGSNNTEGSDTITLPCRI -KQIINMWQEVGKAMYAPPISGQIRCSSNITGLLLTRDGGNSNNESEIFRPGGGDMRDNWRSELYKYKVVK -IEPLGVAPTKAKRRVVQREKRAVGIGALFLGFLGAAGSTMGAASMTLTVQARQLLSGIVQQQNNLLRAIE -AQQHLLQLTVWGIKQLQARILAVERYLKDQQLLGIWGCSGKLICTTAVPWNASWSNKSLEQIWNNMTWME -WDREINNYTSLIHSLIEESQNQQEKNEQELLELDKWASLWNWFNITNWLWYIKLFIMIVGGLVGLRIVFA -VLSVVNRVRQGYSPLSFQTHLPIPRGPDRPEGIEEEGGERDRDRSIRLVNGSLALIWDDLRSLCLFSYHR -LRDLLLIVTRIVELLGRRGWEALKYWWNLLQYWSQELKNSAVSLLNATAIAVAEGTDRVIEVVQGAYRAI -RHIPRRIRQGLERILL - ->sp|Q1A261.1|ENV_SIVMB RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MKAMETQRNCRTLSLKEIILCTLVLGIIGIIKCEDNMWVTVYYGVPVWREADTTLFCASDAKAQNPEVHN -VWASQACVSTNPNPEEIELTNVTEYFNAWENNMVEQMHEDIVNLWDQSVKPCVKLIPLCVTLNCSLFKCI -KENGNTTNCTVQISTGNDSTANNITVGTIDMYNCSFNATTELRDRKKQVYSLFYRQDLEPLEGNKPPEGD -KNALYRLYNCNTTAMTQACSKVSLEPIPIHYCAPAGFALLKCNDKNFTGIGQCKNVSTVHCTHGIRPVVS -TQFLLNGTLEEKVTVLDRNVSNDMDTIIVKLNETVRLNCTRTGNNTIKGIPIGPSQIFYGIETVIGDTRQ -AFCQLNKTVWTNTFKKVRHALNETYKGYLGNETITFGPSTGGDLEVTNLHLICGGEFFYCNTSILFNTSI -IFNETKDDNITIPCRIRQIVRLWQRVGRGIFLPPIRGTINCISNITGILFAQQKTDRMNKSAMFTPVGGE -MRNNWRSELYKYKVVRIEPLGVAPTKAKRRTVHREKRAAVGLGALFLGFLGAAGSTMGAASLTLTVQARQ -LLSGIVQQQSNLLRAIEAQQHLLQLSVWGIKQLQARVLAVERYLKDQQLLGLWGCSGKLICTTSVPWNTT -WTNKSYDDIWYNMTWMQWDKEVSNYTDVIYNLLEKAQTQQENNEKELLELDKWASLWNWFDITSWLWYIK -IFIIIVGGLIGLRIVFALLSIVNRVRQGYSPLSFQTLIPARRDRDRPEEIEEGGGEPDNVRSIRLVSGFL -ALAWNDLRDLCLFLYHRLRDLLLIVLRTLELVGQTLLKGLRRGREALIHLRGILQYWGQELKTSAISLLD -TTAIAVAEGTDRIIEIAQRFGRGILNIPRRIRQGLERALL - ->sp|P12490.1|ENV_HV1N5 RecName: Full=Truncated surface protein; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Flags: Precursor -MRAKGTRKNYQHLWRWGTMLLGMLMICSAAEQLWVTVYYGVPVWKEATTTLFCASDAKAYDTEVHNVWAT -HACVPTDPNPQEVVLQNVTENFNMWKNNTVEQMHEDIISLWDQSLKPCVKSTPLCVTLNCTDLTNATYAN -GSSEERGEIRNCSFNVTTIIRNKIQKEYALFYRLDIVPIDKDNTSYTLINCNTSVITQACPKVSFEPIPI -HYCAPAGFAILKCNDKKFNGTGPCTNVSTVQCTHGIKPVVSTQLLLNGSLAEGEVVIRSENFTNNAKTII -VQLNKSVEINCTRPNNNTKKGIAIGPGRTLYAREKIIGDIRQAHCNISKAKWNDTLKQIVTKLKEQFRNK -TIVFNQSSGGDPEIVMHSFNCGGEFFYCKTTQLFNSTWLFNSTWNDTERSDNNETIIIPCRIKQIINSGR -K - ->sp|P12491.1|ENV_HV1Z3 RecName: Full=Truncated surface protein; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Flags: Precursor -MRVKEIQRNYQHLWKWSLIILGMIMICKAIEKSWVTVYYGVPVWKDAETTLFCASDAKAYEKESHNVWAT -HACVPSDPSPQELVLGNVTENFNMWKNKMVEQMHEDIISLWDQSLKPCVKLTFLCVTLNCIDVKNSTNNN -TEEATITNCSFKVPTELKDKTETVHTLFYKLDVVPLNVTNNSSISSTYRLINCNTSTITQACPKVSFEPI -PIHYCAPAGFAILKCNDKKFNGTGPCKNVSTVQCTHGIRPVVSTQLLLNGSLSEEEVIIRSENITNNAKT -IIVQLNETVKINCTRPGSDKKIRQSIRIGPGKVFYAKGGITGQAHCNITDGEWRNTLQQVAIALRRQFNN -KSIIFNSSSGGDIEITTHTFNCGGEFFYCNTSELFTGIWNGTWDKNCTSTESNCTGNITLPCRIKQVVRT -WQGVGQAMYAPPIEGTIRCSSNITGLLLTRDGGNGKCNSK - ->sp|Q1A243.1|ENV_SIVEK RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein gp120; Short=SU; AltName: Full=Glycoprotein 120; Short=gp120; Contains: RecName: Full=Transmembrane protein gp41; Short=TM; AltName: Full=Glycoprotein 41; Short=gp41; Flags: Precursor -MKVTEMQKNWLICCLLIGLIKIIGSELWVTVYYGVPVWRDAETVLFCASDAKAHSTEAHNIWATQACVPT -DPNPQEVLIPNVTERFDMWKNNMVDQMQEDIISLWEQSLKPCVKLTPLCVTLSCSSWRSVNNSVNQTNHV -QMQNCSFNVTTELRDKKKQVYSLFYMGDIIPLDTNNSSGNNSQYRLINCNTTAVTQACPKISFEPIPIYY -CAPPGFAIIKCNDQDFNGTGECNNVSTVQCTHGIKPVISTQLILNGSLATSNIVIRNNSKDTLLVQLNES -IPINCTRPGNKTRGQVQIGPGMTFYNIENIIGDTRQAYCEVNRTWEQIWNTTKQIIINNRKNITFIPNPG -GDLEVTNLMINCGGEFFYCNTSQLFTNQNGNTTGNITLQCRIRQIVNLWTRVGKGIYAPPIKGPINCLSN -ITGIILDYTKSGTEKYTIYPTGGDMTNLWRQELYKYKVVSIEPIGVAPGKAKRHTVTRQKRAAFGLGALF -LGFLGAAGSTMGAASITLTVQARKLLSGIVQQQNNLLRAIEAQQHLLQLSVWGIKQLQARVLAIERYLRD -QQILGLWGCSGKSVCYTNVPWNTTWSNNNSYDTIWGNMTWQNWDEQVRNYSGVIFGLLEQAQEQQSINEK -SLLELDQWSSLWNWFDITKWLWYIKIFIMVVAGIVGIRIISIIMSMVARVRQGYSPLSLQTLIPTTRGPD -RPERTEEDAGELDNGRSVRLVSGFLALAWEDFRNLLLFLYHRLTDCLSILRRTLELLRQNIHKGLQLLNE -LRIYLWGIIAYWGRELKISAINLLDTTAVAVAEGTDRIIELVQRIGRGILHIPRRIRQGLERALL - diff --git a/seq/clusters_seq/cluster_600 b/seq/clusters_seq/cluster_600 deleted file mode 100644 index 203a063..0000000 --- a/seq/clusters_seq/cluster_600 +++ /dev/null @@ -1,307 +0,0 @@ ->YP_009480677.1 polyprotein [Botrytis cinerea hypovirus 1] -MPGTTYFYTASLLTGRTKMNSHETKLAKRKRSANVRDRQNSKHKAARPSTRSVVSNGVGKKGEVRDWITG -ELYLDWDGSGKPASPVACVEEPTVPEYDRVTRLYLATLVECTDFCTGEKFMGLPVPEPEPVVREVRKAKK -PPVFVKSFVDMDGGFYAPLSLVKEDEYAGPGWDGFAAPSRKRGFNCQKNFSAVRWQQKCLEKKMWQLKCK -VARAIGQPIQRVAEYFGRKSLPNNYVALERVLNAQGLLGMVSGERFLYWIHQLKRLWACPKKGYILHWFE -GFSSLTLDRKQSGIEVLYNNITICHLGLGDTLRDMLGEGTSAAVGPEFDDEYEVFDNHGGTQFAPLPLPG -GFKAVYNDSDDEDNFLSGPPIEGGSLVGSLVDSLKSSMIRDEVDFPVGEPILRMTAFDTVKDFQKEYDAT -LSGPLCWKGLFPAWDDLGYMMLCNLSSLIGARAFIPHDDWDHIEFSRHGDKYHVANATMGRTTKEQWDVA -ALNEHIFDICFNDPTQLCKFVELTTAVVMGTIGDLEPTLDTVATNYFASQMDQIEVVAPTETQYTFQETG -AGWTATDFFGKCDGFAKDFSDKHGSAKGTLSVSPELWNLICHVWGGQKVYFEGGTMPGSFVVELADDTEY -LKVPDREAGPHARVMPSDFVLITHPDHIEKMAPEIKAGNWETVALPMDSKKFIALGQSILEKGIWAAQDM -KAMHNTLYEHIKVAMPKCEMSDLIYLVSGTTFYYFMASVFPDKQVFEVCPVPREDNGVCPEFYLAHYFED -LSLDPHVGFAIGRMYSKWLTAPKYLNGLEWEGTYQYREPPKYHSISPWAADRWKISSPNIGFKPYDDFVR -KTDFREKPIILGYFSLGSCESITPETKTAINWLKSLPVLWEVDRRWTHLFEGSEYVISPFTNHSTYLCKF -DWVVHHGGSGVTNTCLAVGVPQTILPQIGDQFIWRRELVNHMVPLHIDTSVLRALLFLQRSPAEPVEEWC -DQIVNGPSFWLPALQANNATPVKPFHLSFNCCHDWSTYGWGAPPVHIIGENEEWWFGLYNSTWETNEVNI -ELKFVRKICTTQCKSTVVGWTGFTLTQTYPDHAHGWITSPELMNDSRHVKALNAKYISANSEETLKAYSK -QALTSRSKFNKYMFVKPNNAPCACGGYGYDMGGKCERCVLATLEGGVYDVRSLDGFVKTLYGGLPRRKKP -ANKNITFRKLPGTFAVQSRKRYYQLDSRCIPTAKSPAVARALLDQISSLEDVANVEAFWEYTLTKPPHYH -YKSSSGFLKHKIEEQERKHLDVTLSGMGTEVMFALGGVLSVGSTKDLAHRLFNRHVLTSSGRSFLFRRWW -VLVDALRHFDEFVRELGMKAMYDVVRDFFPPIPEVEFKSVLPYSFALHHPLRTRAAGKLWMQTLPNTDAR -LRVHLFSLRAHGLGNAFGLFHAVIEHEGNYWELQQIAGERCHINVSKFPPEPTADRPLVKTIIVSSQIVG -SLDRRAICREFDNLQYKVLGDNCLVFANMLVFLLTGKVIPWKHFGAFGTDISLDAFKLLGKWASSWVFLS -EGEERLQIRDNRSACLTYDGPIVHSLKSWTGPKRFTKDYGIHAVQRIEACLEAFSDDPDVECPQERDHLL -QFMSFATSKFGVTGATVARAIMTRRVRKIPTSGRQWKFLHHLAVLFRQARDTRLGGDVIGLMTATVNLRG -SMRNGKKVSWTPLVNVSVPRHWFRSGDRLVEVNHLPENLKMQKKTITRLDLPQIARKYAQFFPDAEFPKM -GFKFVKPGEYEIGVKVNIRKDLPKMDVLTHSLVKELQEINPFEIGVFSLRFGTVEMAEKVTDRYFTGSFD -PGQLIPEADQEEIAEAIFQNEKHLFGDTQLISPEEVWKKWHRNYSAGFPFRFNAQGRASRQTLIDQVGGK -EKFLKCVRDYIESPEAFPTVSHAFIKDEVLPKSYIEREKIRTIIAQDPLNYYLSMAIQGDSAKRLDPSSF -SAVGISPSHGQMAALASKHLAYEHHTAMDVTAMDSTAAVDAIGVIKKLRKKGFKDHPQREAIETAVDATY -DNLVASWIIDIHTGRARLKRQGLSTGHATTTPSNTEYMRVLMLYAWKKVTDRPYSEFYDCVKFSSFSDDN -FWSTNLPPSVFSGEKVSEFWLKNKVQVRVEGCSDNLADISFLSKKFSLDEKHLEEVRTITGAHAKVAIVH -DMSRLLQKFSDFKKKNTLRYRWEKYAALQLNCAHYPEIHATVDKYLDTMEKMLLKRKSGKRFLQQHPRTS -YHDVMRLMYLPTDKTRRDLIVSTHEPGLFEKIEDWWDTTRSHIMTFDSTANTYGRILSQVAGLLEIGGLN -IEDPGLFLTGPGELYHDPEYTLEHHLYLLNNCPESYEKMQILASKTPFSGFMDIAGFWARREVYDLSEEM -ANSLRVKVTLLLAVYTLVAWLEQALMSVPVVGPLYRLFGTAKYMSEKVYSRLNSLYYAVFGDSSAVISSL -MPKDRYYSLKVVAHRLWCTMTPLDFGGLKGGIHGAQAWADALIKFTQDIHQIVLDGDLSALLPAPGTGER -AQSGVDTNWAGLDHADSVDKVQTLLSEGKIPMITSPPGAGKSTDFILSLKQVYDTVIVACPRQILVQNNP -VAQTRLYAGCEDTLTQGLINFGTAGYLRRVLADLPQNTIICLDEFHEMDEDALWLLDRYHEQSFPITATP -DFYGANKFTEVRLSKGRNSRWTITDDIRKGSNTLDVGWETLMTFSKTNDRVLFIMPTVANVETCMRHAEQ -LVTNKRCAKIYRGHSTVVEADWYFATAIVDAGITIPNVNVVIDLGVSMGYHKGKFKPRPSSRNISLQRRG -RTGRTCNGTYIRLSEKYDDTNWDFSTPFLCNSWYTARKWDPTFTRGLCRKDGCLDGLPGGYEDFLANADW -SPLIYAIFMYENRLDVNKARASYQALRKFPEHKEFRHLTAQREGYAFDDLFVVEDKLRRHKLGDGLANFW -TWNLSETKFIDFDTPIPSHLLDAE - ->YP_009051683.1 polyprotein [Phomopsis longicolla hypovirus] -MRGSVPYQVRRRQSKPLTEFQGLLKPCVLSKIIPYSPQSQLGSATPHGDSTLTVNKKVAGAQPSVGAPKV -TGTNSRPSSSSGVKKEGSGNTAFVPTAQKPFKDGQCYLICFKSENRRQVFEYLKNVRRGGSTSYENYPLK -GMLQDIARDNPSWVNQRTVRVTASFGDLGNNNLQPNLFHLSPGGDVTVDAFLKCTKFAGARVGGETPLKQ -TPRRTGHEKFEEYAWLGGAQLDMDLRSTCLSHCGRIDNPWVDEKRSNAAMKRYLADVGYDAMVNGMSDHA -AGQAFEYLYVTDKSFKQAYCAKYGLLTDKPLQITAGGQGQYMGKCWVNLFAGPVNPGPHTFTTEKWLSRK -QLEQLSLINKLSDNLFGLEVDGNNLHLVAGSLTASQIISGMTNKMRLGGTTAVVMGTIGDVEPTLDVVAT -NYYAAEMESKPPPVPVEEQYTFAEGNGHGWMASDFFQVCDGFSKSLFDKFGSIKGDLTVSPALWNLICEA -WQGDKMVSPDDNDPYAVPGSFVTELSEDSEWVKVPDRPVGQHKRVMPDDFVLVTHPDHLARFADEQWETV -ALPMESGPFIKLGQDILEKGLFAVSDMKAMHETLFEHLKAAMPVCEQSDLIYLVSGTTFHYFLASVFPEK -NVYEVCPVPREDNGHCPEFYLGHYFKDLSVDPSFGFKVGRLYNQWLTAPKYLNELEWEGEFKYIEPPKYH -SIAPWAADRWQIASPNVGFKPYSDFVRKTSFIEQDVISGYFSLGSCESITKQTKVVLDWLRRLPVKWTVD -KRWAYLFEGTSFVTAPYIDHATYLHKFDWVVHHGGSGVTNTCCAVGVPQTILPQIGDQFIWETELRNHVV -KPLTDEAELRALLFHQRKDPVRLSSWSRPVLDGPDWWLDALEDNGATPVAPFKLMNHCCHDWDIYGWKPC -DLVIGESKDWWFTIFNSAWERSEEENTLKFVRKVCEPTGSPLIGWSGFVLTQTYPEHAHGWLSSRRLLDS -PMTRKAFSGQHLDANNNEVMLEFARHDLASRSKKNPYNKMLPRNVDCSCGGRGYDFGNKCERCALLELQD -GKLDPSTIGELVNSVYKGFKPRLKPCKKNMTFRVSPGYVSIRSRKRYYQLDSRCIKKAESPQLARALLDQ -ITDMSDCANVEAFWKYTSTKPPHYTYKTSQVRLQQLIDDQARLHVGVSIGTLGTEVVKALGNVLSVGSFG -SIYQRMNFNLATVQGRDFVKKRWWVLIDGLRHFDEFTRTLGIEAMPQIAKQAFPPIESAEVLSVIPSSFA -LSRPLRSTVAGSLWLKDMRAQKASIRVHLFALRLPALGKDFGVFHAVVEHDGWYWELQQVNGERCHINRT -RFKPEATRDRPLVKTVLVRNDIVGSLDLKRIDREFSGIGYKVLGDNCLVFANMLVFLLTHKVIPWRHFGA -FGQDLSLDIHKVCLKWASSHFFLQGDEQRLQTRDSNSAGLTHEGPIVRSLRSWTGPKAVIRDYGIKCVQR -VEAALEAYTDDPDVDCPQERDHMIDFMSFAVSKFGLSGAILSKSIMTRRVRRIPTSGRKWRFLNHLLVLF -RQCGQTRFAEDFKGVLTATANLKGSLRKGKKVGWTPILSINVPRHWFRSGNRLVETRHLPENLNMAKKQI -VRLDLPQIAAKYRKYFPGAEFPDVGFKWIRPGEYEIGVKVPIRKDLPKMDELTKKLCEELQEMHPFELGV -FSLRFGTAQMAEKVTDRYFTGGFEPGQLIPEVDQEEIAQAIYDNENHLFKDTQLISPEEVWKKWHRNYSA -GFPFRFNKKGNAQRQKLIDAVGGKEAFLQCVRNYIESPEAFPTVSHAFIKDEVLPQSYIEREKIRTIIAQ -DPLNYYLSMAVQGDSAKRLDPTSFSAVGVSASHGEMSALAEKHLAYEHHTAMDVTAMDSTAAVDAVGVIK -KLRKLGFKDHPQREAIETAVDATYDNLIASWIIDIHSGRARLKKQGLSTGHATTTPSNTEYMRVLMLYAW -KNITGRPYSEFYDCVKFSSFSDDNFWSTSLPGSVFSGTKVSDFWLSRGVQVRVEGASDDLADLSFLAKKF -SFNPTHLDEIETYTGIRPKVAIVHDINRLLTKFSDYKKKNTLRYRWEKFAALQLNCAHYPDVHSKVDEYL -DVLEKLLLKRQSGRNFIKQHPRTAYADVMRLMYVPTDKTRSGLIVTTHEQSLTERIKDWWDTTRVDIMTF -DSTANTYGRILSQFAGLLEIGGLNIEDPGLFLKSSGEIEHDPEFTLEHHLYLLNGCPESFEKMEMLAQKT -PFSTFMDIPGFWARREHYDVSEKMGNVLRVKVGLLLGIYTLVAWLEQALMSVPIIGPLYRLLATAKYVSE -KLYSRLNSLYYAMFGDSSVVISSMMPKDRYYALKVIAYRLWSCTTSIDAFGFEGGVDNAQEWVDSIIKFG -QDIHQIVLDFDISPFLPQPGTGERDKQGLTTNWTAIDHTAQVLEAQELLNSGKTPMITGPPGAGKSTDYV -LCLKDKYETVVVACPRQILVKNNPIAQTRLYAGCEDNFTRGYINFGTAGYLRRILSDLPDNTIIVIDEFH -ELDEDSLWLLDRYRENCVVVTATPNFYGAQRFVEVRLTKGRNARWSIMDDLRAGKGTLQEGWDALLTFRE -TSDRVLFILPRIKDVEAAERHAQQLVPGKRCCSLYRGNNNVIEADWYFATSIVDAGINIPGVSVVIDTGQ -SLGYKNGKFICRPSSHNISAQRRGRTGRTCNGTYIRLISQYDDSNWDFSTPFLCNSWSTAVKWDPSFRRG -RAKKAGMLESLPSGYEQLFGKSDWSPILYSVFLYESRLDVNRARASYQAMRKFPMAKQYSHLTGRIENSA -FDDLHIVEDKLKRFRLEGENGNFWNWNLSESVLLDFNIPVPSHLLDTD - ->YP_005476604.1 unnamed protein product [Valsa ceratosperma hypovirus 1] -MSGVPANGSLGATRSQGNRPERLQKQKSSGELRKPPQQHAKMPDGSVALIQIGGGVQSRPATSDGKTSAS -QSTKGSVAPAAIQGFQPGQCYLILFKHEKRQDVYSQLGKYPTWEKIRDVAADHMTWVNHRTVGVTAAFSD -TGGAGFKPTLFHVKAEGTITVKTLIQSTAYGKCQVGGSNLLLPERNLVPDFDSWAWIGDAKLSLDLRATC -VADGGERNGSWVISMSNNAFLRTYLCDAGYKADIVSKGCSDHYCGQAFEYFYSRDPVFCANFRTKFSILD -GCAFAAGAPLPKIRASEPQCWQPLFKGPIRGNHPYSVQKWVTKAQLMQMTKDNDLSGETFAVEKDGDYWH -VVPGPISPLELIMSMTNKAKLGGRLDIREVSACELFEASCEVQGPLCWQSVFSMFDSAGLVGDKMTVETL -VECLDLNRIYPSFTYVEWYPGDICHVQRATWSRETDNQWLASELSEFLGNSIINERRANGGESALAEKLV -ELTTAVVMGTIGDVEPTLDVVATNYFAATMERHAEPTPVEQQYRFRKGEGNGWMSSDFFGFCDGFAKHLF -DVHGSDKGDLEVSPELWNKICAAWAGTPVLVNPEETNLLYSKSVVVELSDDLDSWLKVPSRPVGQHRRVM -PDDFVLVTHPDHCDRFASEGWETVKLPMDSKEFIALGQAILEKGVRGVADMRAMHETLFEHIKAAMPTCE -QSDLIYLVSGTTYHYFMASVFPEKQIFEVCPVPREDNGICPEFYLGHYFKSLSLDPHFGHSVGRLYNQWL -TAPKYLDNLEWEGEFRYREPPKYHSIAPWAADKWKISSPSIGFKPYDDFIHKHSFVEKERISAYFSLGSC -ESLTPETVHALNWLKSLPVDWEVDSRWTYLFEGSQYTVSPFTNHATYLHKFDWVVHHGGSGVTNTCLAVG -VPQSILPQIGDQYIWEDALEKHLIKIGIGEATLRAYLFKDRLPAVATDQWDNRVLSGPSWWMEALSDNGV -TPVKPFELHNHCCHDWDAYGWKPTDLVIGCNYGYWITLFNSGWEAGESKVELKFVNMLCSPTGPLVIGSS -GFTLTQTYPEHAHGWLHSCETHQEPTHLKAFSGLWLDGDEDKMREIASMALTSRSKSNPRIKMTPRNIQC -SCGGKGYDFGGVCERCALKQPLEDVLDISQISKYKNTVYKGFKTKNKPCKMHMTFRKEPATTWVSSRKRY -YQVDSRVIKHMKSPQLARALLDQIQDMTDTGNVEAFWKYTNSKPPHYEFKTPTPVLEELISVQAALHVDV -GVGPLGKEIVMALGNVLSIGSFKSLYNRLATNYLSATSRDFVKKRWHVMMDGLRHYDDFTRSLGIQVIPD -VIKGYFKPLERATVVSVIPYSFALAKPLRTRVGCKLWLDHMHGERASIRVHLFSIKLPVLGKAFGIFHAV -VEHDGWFWELQQVSGEKCHINRTRYPPEASPDRPLIKTILVQDDIKGSLDLRRIENAFSGLDYKVLGDNC -LVFSNMLVFFLTGKVIDWRHFGAFGQELSLDIQKQMLKWASSWFFLADDEQRLQVRDHNSAGLVKHKHVV -TSMRSWTGPKKFTRDYGLKCVQRIEAALEAYSDDPDIDCPQERDHMIDFMKFSMSRFGISGAVISRAIMT -RRTRRIPTSGRKWKFLNHLLVLFRQLGTTRIGEDFVGVLTATASLNGSLRKGRKVGWTPIINISVPRHWF -RQGDKLVEIQHLPENLKMQNKKIVRLDLPQIAARYKHYFEGVEFPPVGFKWVKPGEYEIDVKVPVRKDLP -KMDQLTHDLCKELQEMYPFELGVFSLRFGTAQMAEKVTDRYFTDGFGAGTLIPEADQEELAQAIFENEPH -LFKDTQLISPEETSKKWHKNYSAGFPFRFNSRGNAQRQKLIDAVGGQKAFIAAVRRYIESPEAFPTVSHA -FIKDEVLPMSYVEREKIRTIIAQDPLNYYLSMAVQGDAAKRLDPNSFSAVGVSASHGEMSALAEKHLAYK -HHFAMDVTAMDSTASVDAMGVIKKLRKKGFQDHPQREAIETAIDATYDNLMASWIIDIHTGRARFKRKGF -STGHATTTPTNTEYMRVLALYAWKKTTGRDYKDFYECVKFSSFSDDNFWSTSLAKSIFSGKHIADFWLSR -GVQVRVESESDDLSKLSFLAKMFSFDEKHLAEIESLTGVKAKVAIVHDINRLLTKFSDYKKKNTLRYRWE -KMAALQLNCAHYPDAHAKVDEYLDALEKLLLKRKSGQKFIKQHPRMSYNDVMRMSYVPTSKTRTNLLVST -TEESLVDKLRSWWDTTRVDIMTFDSTVNTYGRILSQFAGLLEVGGLNVEDPGVFLRQPGEIPHDPEFTLE -HHIYLLNGCPESYEKMEILAQKTPFSSFMDIAKFWSRREYYDMSEATANALRVKVTLLLAIYTLVAWLEQ -ALMSVPILGPLYKTLATAKYLSEKVYSRLNSLYYSVFGDSSAIISSMMPKDRFHTLKVVAYKLWVNTTCL -DCFDFDGGIDGAQDWADSLIKFAQDIHQIVLDFDISALLPKPGTGERGKQGTDTGWTGIDHSDSVYSCVS -LMEENKVPMITGPPGAGKSTDFIQSLHSKYDTVIVACPRQILVRNNPVAQSRLFAGCEDNLTQGYINFGT -AGYLRRILADLPENTIIVLDEFHEMDEDTLWLLDRYREHCIVVTATPEFYGSNRFVEVRLSKGRNSHWTV -MDDLRSGKGNLEEAWNELITHTNDDKKILMIVPTVKDVKTTLHHIEQLVPGKRACGLYRGNTMVRPADWY -VATSVVDAGLTIPDVSIVIDTGWSLGFKGGKFQRRPSSRNISVQRRGRTGRTTNGTYVRLISSYDDGNWD -FSTPFLCNSWSTARKWDPSFKRGRQKQSGFMDSLPGGYEPFLGDGDWSCLLYAVFMYEARLDVNKARTAY -QSMRKFPQAKEFRHLTARVENYAFDDLFFVEEKLRNFKLNGEPGNFWEWDLKASKQIDFLEDIPRHLLDE - ->YP_004782527.1 unnamed protein product [Sclerotinia sclerotiorum hypovirus 1] -MNSLKQNLFVKRNRSGPLKDRKYSKNKAATPPTRVAVSRGVDYNGTGRDWITGEYYPDQDLKFCQPEKAQ -VKAEVIEPSEYEKKVRLSNSLLVECVDFCTGEKFWGIPAPKPEPIVKQPVKSQRIHVLEEYHVSMDGGFY -APLSDVHEKVYDGPAWVGVQTRVRTKAYNCKKNFSALRWQQKCLEKKLWQLKCKLARALGTPVQHVAEHF -GRKWLPDNFLALERVLDVQGLLGVVSADRFLYWVHQLKMFWACPKKGYILHWFEGYSSLIPDKAQQGIEV -LYNNIAICHLGEGEAPPDLKRMDVTTQQLEEETYEVFDNKGGTSFASLPYTQFTYLANDSDDEEFLSGPP -VERDSLVGNLVDALRDSLIRDEVDFPVGEPILRMTAFETVRDFQKEYDATLSGPLCWKGLFPAWDDLGYM -MLFNLSSLIGARAFIPHDDWDHIEFSRHGDKYHVANATMGRVNEAQWDMKTLNEHIFDICFKDPSQLCKF -VELTTLVVAGTIGDVKPSLDVVATNYFAASMDKIEPVDEDFDGEFSFLETGAGWTATDFFKVNDNFAKRF -SEQYGSAKGELKVSPVLWNSICSEWGGELLPVPLHMPGSFVREHCDESEYLNVPNRIIGEHKRVMPDDFV -LITHPDHMARFADEGWDMRPLAKTSHEFIALGQSILEKGIFGGKDLKAMHEALYENVKASIDVCQQSDLI -YLVSGTCFYYFMASIFPEKQVYEICPVPREDNGVCPEFYLHHYFDNPFATPSIGFSLSRIYAQYLTAPKF -LQGIEWEGTYKYTEPPKFHSISPWAADKWQISSPNVGFEPYSDFVRKEDFIENESKYTEPIHAYFSLGSC -ESITRETQELIDWLKGLNVIWEVDERWTYLFEGTTFSTRPYIAHTTYLHHFDWVVHHGGSGITNTCLAIG -VPQTILPQVGDQFVWRDALEQFTIKPLVTEDILRSRLFKTRLPAKEATTWCEEILTSTDDWLEALIDNNA -TPIEPFHLTLHCCIDWNSYGWGPPPNYIVGQNKDYWFGIFNSGWESKLQPNKHELKFIRKICSARGDPVY -GWSGFSLTQTYPEHAHGWAYSKGRHNDGAHMKSFNALYLDNNSEETLKAYSNKALTSRSKFNPYIYMKPR -NITCRCGVKGYNMSGRCERCLLSQLDNGRLKVEDVETLVNTVYKGFKPRLKPVSKMKTFQKEPSAFWVQS -RKRYYCIDSRFIKNGAHRQVGRGLLDRITSLDDVSACEAFWKYTNSKPPHYKYKTLEIHLDIRIRREEAR -YLDVGVKEIGNSVVKALGDVLSINTLWAKATRVLNFNLMTPAGWSLTWKKWHVLIDAVRHYEDFLRRLDM -SAIPDFALNTFPAIENADIKVLLPYSFALAKPIKTKVAGYMWLNNLPKGSSGLRIHLYSLKLPILGSAFG -LFHAVMEYDGWFWELQQIKGEKCFINRTLYPPECTKQRPLVKTIIVNSPITGQLDKRALSRDFDGLGYKV -LADNCLVFVNLLVYMLTGTVVPWRHFGAFGADVPKSITSAFGQWASSWIYLSEDEERYQVKDTKDAGLTY -DGNIVHSIKSWTGPKTVIKDYGLSTVQRLEAALEAYSDDPDVHLPQEKEHMMDFIRFAVIRFGINGSIVA -RSILVRRNRKIKTSQRKWKFLHHMLSLMRSAGRTRIGQDLIGVATSTVNLRGALRDGKKVCWTPLVNISV -PRHWFRSGNRLVEANHMPENLTMQKKYKVNLDLPQIAKKYEHYFPGVEFPKIGFKWIKPGEYEIGVKVNI -RKDLPKMDSLTQSLCQELQEMHPFELGVFSLRFGTVEMAQKVTDRYFEGSFEAGQLISEEDQEAIAEAIF -QNEEHLFADMQLISPEEVWKKWHRNYSAGFPFRFNENGRAQRQALVDAVGGKAKFLQCVRDYIESPEAFP -TVSHAFIKDEVLPASYIEREKIRTIIAQDPLNYFLAMAVQGDGAKRLDPSSFSAVGISPSHGQMSALAAK -HLAYEHHTAMDVTAMDSTAAIDAVGVIKKLNKKGYKNHPQRAEIETAVDATYDNLIASWIIDIHTGRARL -KKQGLSTGHATTTPSNTQYMRVLMLHAWKEITGRPYAEFYDTVKFSSFSDDNFWSTNLPPNVFSGKLVSD -FWLSKGVQVRVEGCSDNLADLSFLSKKFSLDEKHLQEVKDITGAQPKVAIVHDIERLLQKFSDYKKKNTL -RYRFEKFAALQLNCAHYPEVHAKVDVYLDEIQKLLLKRRSGKKFLRQHPRMSYPDVMRMMYLPDNKTRRD -LIVTTHEPGFVEKLEDWWDTTRTHILAFDSTANTYGRILSQFAGLLEVGGLGIEDPGLWMTGPGELYHDT -EFTLEHHLYLLNGCPESFEKLQMLASKTPFSIFMDIPGFWQRRDYYDTSLETANELRVKVTLLMGIYTLV -AWLEQALMTVPVVGPLYRFLGTAKILSERVYSKLNSLYYAMFGDSSAVISAMMPKDKFMTLKVIAHRLWC -TITPLEFANFNGGIDGAQDWADSIIKLSQDIHQIFLEGDISSLLPVPGTGEANKPGTNTNWAGLDHGDAV -MQVLSVFERNATPLITSPPGAGKSTDFILSLKKEFETVIVACPRVILVQNNPVAQTRLYAGCEDDMTRGM -INFGTAGYLRRILADLPPSTVICLDEFHEMDEDTLWLLDRYRGQALTITATPAFTGADRFVEVRLSKGRN -SRWTVHDEIRKGVPKLTDAWDELMLYHESNERVLVILPTVNDVETCVRHAQQLAKGKRIRKLYRGTNTVV -EADWYFATSIVDAGITIPHVGVVIDMGYSLGYSKGKFTKRPSSKNISVQRKGRTGRTCNGTYIRLTDRYD -DTNFDFSTPFLCNSWDTASKWDPTFHRGLCRTNGLIEGIPGGYDKMMLEGDWSPALYAMFMYETRLDINK -ARARYQDLRKFPERKEFQHLAPHIKNQALDDLFVVEDKLRRHKLPQGNGNFYNWNLSQVVQIDFGTDVPS -HLVDGWED - ->YP_138519.1 polyprotein (endogenous virus) [Cryphonectria hypovirus 4] -MQSTRATPRMRVDKLCFIFCRAGAALSATQQVSEQQLTYGEVGPPPIKAAPQRGSIPTKLEYHDLDQFAW -LGDVWLTMCLRLTCVTRHSVVDQRWCETILSNANLRRFLTDDGWIVPDSLSDHGAGQSAEFLFCRDSDFR -RRFCTAHGLVEAHTGLADLTPYGAEAGRDVDQCWRRLFRGPVTGHYSFPLEKWMSRAQLEEVAGQNALSS -GSFGLEVDGTNWHLVDGSMSAREVLASLTKRARLGGRESDADSHPFKPFSSFPAGLPTPPNSREGTPPSQ -AEPASDVPPEVPVASLPGPEPVRPGPLAGCAPSTQENLCWSELFPECEGEYMTLKELRRLLTARFKALKE -TDNKLAWSFVELWSPEDLGGGDVWHVAKPDFKARSDRHVPLVKAINRITLFGKAGGDLSARVGLTVAVVL -GTVGDVEPTLDVVAANHFAAKTQEVDPTPVHQQFSFVERGAGWCASDFLRICPEFARAMDDKHQAKKGEL -TVSTPLWNLVCEAWGGEPIAVVQEGNPVPGAFPQEGDGVWNCVPARPVGEHERVMPEDFVLVTHPDHIDR -YAHMGWEARSVGVDSKSFIKLGQDILEHRVYGLRHVKQMQRELLQAVKCTLPIVEQADLVYLVSGTTYHY -FIGSLFPDKQVFEVCPVPREDNGTCPEFYLGHYAKMFSEDPRFGFEVGRLYNQVLTTPTYLNELEWSGTY -RYREPPKFNSVMPWAAERWKLSSPNIGFKPYTDFVAKKVFRERPRIKAYLSFGSCETLTDATREAIEWLR -SLPVDWTVDQRWLRVLEGTVVEEAPFFCHATGLAEFDWVVHHGGSGVTNTCLAVGVPQTILPQIGDQFIW -EESLRAHCVPPLLPEGKLRALLFEDRFPPQVAPVPPDILGSFTDFCVEQGVTFHVPWWLSLKCCHDWNEY -GYKDLDLVVGELGPYWITLYDTRMQREGVPGPLELKWVHKEHVAVGPPIVGWRGVPITATYPEHAHGWFG -WFREVEGLRTDFQMPEGWAEWFIAQCRTGKHIANPTRSQRPAVGSCHLCKRERELTTGVCGRCMGEEIRS -AALEGLPPRFLFSTKWTGNRPKGRLKCVTQGRTSGFAVRSTRRYFQLDSRAVEAGECRAVARALLDRVRD -WDDLTWVEAYWHYTHSAPPHYTFRTRVSWAIEQMKNLHEGVSVAGLASDVVSALGSVLSLGSAGAIIRRV -VGRNALTTQGRSVMWAKWHVVIDALRHFDTMGKEFGINLFPDLALSTFPVLPEAAATAFVSYKGLVANPL -RNRVASSRWLEALQPEGSPLKVHLFSIKLPALGPRFGVFHAVVEWDGKFWELQQVAGERTLINVTRWAPE -ASPDRPLVKSIVVTQPVTEHLSENLIRREFSGLDYKILGDNCLAFANFMCFSLTGHVIPWRHFGAFGAPL -PLGVGDAAREWVGSHFWRSPGEERLSVSQVARRFRPWDLNGRITEAAADPFWVGPRRLVRDYGLAALRRV -DALIEHWDRGGPEDAPWHRDSLLDLAILGYKNFGLSSHLVSQALLATRVRRIPVRRRKLKVLLQLHAILR -ELPSLRLAQDAADVLAACGTVRQALRGGTKPAWAPLVSISVPRHWFRDRNTLVEVRHDPENIELGTKRVV -QLDLPQIVKAYGNIFPQVDFGRIGFRLIKPGEYEIGVKVPARTKLPVMDDLSARLIQDLQEMHPFELGVF -SLRFGTLEMAEKVTDRYFTGSFDAGTLIPEEEQQELAEAIFRNESHLYQDAQLLNPEEVWRKWKKAYSAG -FPFRFSRGGKASRDDLVRACGGKKAFLEGVRRYIASPEAFPTVSHAFIKDEVLPESYIEREKIRTIIAQD -PLNYYLSMAVQGDQAKRLDPLSFSAVGVSPAHGEMSALAERHLAYKHHFAMDITAMDSTAAVDCVGVIKR -LRKLGFKHHPQRVAVESAIDATYDNLVASWIVDIHTGRARFKRQGLTTGHATTTSSNTDYMRALMLYAWK -QVTGRTYDEFYQDVKFTAFSDDNFWSTSLDPSVWSADKISEFWLSRGVQVRVEGASDDLSNLSFLAKRFS -FDPHHLDEVRMHSGRDARVAIVHDINRLLQKFSDYKKKNTLEYRWEKLCALQLNCAHHQDVYEKVGEYLN -ALEKEMNKRKYLRKVMRQKPRKTYHEVMQMMYSPGKHQKSGLLVTSLDQPLLHKLDLWWQTFKVDVMTFD -STANTYGRIMQQFAGLLEIGGLTPDDPGVFLRTPGEYPKDPEMTLEHHCYLLGGCPETFEAFQMKLAKTP -FAAFTDAAKFWALRDSFDLSEAMENSLRAKVLMLNGVYTIVAWLERALGTLPVIGPMYRLFCTAKGMSER -AYSRINSLYWAMFGESSLVLSAMMPKDHYLSLKVLAIRIWTKLTSTDLFEIEGDLASLRGLADSMCKLAQ -DVHNLAFELDFSAVVPQPGGGERHHDETERAWNALDHSAQVATVRDLLAEGRSPMITGPTGCGKSTDFVV -NLWRGGYNTVIVACPRRILVRENPVAAVRLWAGCPDVLTPGLINFGTAGYLRRVLGELPDDALLVLDEFH -ELDEDTVWLWDKYQGQTIVMSATPEFPGAERMTPVALQRSRSGGHVTTTYIKDTAGKLQDAWDELLAPSP -TPGPTLVVLPTVADVEWMAHHALALAPGKRFCVLHRGRDTVTEADWYFATSIVDAGLTIPGLTRVIDTGW -SSGWSQGKFRRRPSSHNVADQRRGRTGRTCDGSYVRLISRYDDTPWDFSTPFLCNNRHVAWRWKPGLQLP -KVREKGVLEGLPGGYAPLMAAGDWSSLIYLCLLYENRLDVQRTRAAYQSARKYPDAPSNIFLMRPVENRI -IQDLHLVEGRLSGYRIPNTGGNAWNWDCSEVVLVDFSLPVPAHLRDLE - ->NP_051710.1 polyprotein [Cryphonectria hypovirus 3] -MQEKLQNNQPGSGSSRSGRDTSVNKVAPTRSGSVPDDTRVITQSRPSTSSGDKKKSVGDDGFIPTLSPRE -FLDCTSYGGARVGGEPPLAKFTENPCYPVPLGEQLKLSPDFTVCRCQVHIRPASYCLTDLKGCALKQVGN -HPIIQAAAKKQNTFCHASEDVRRLDRTFVELVCNSFPNQPAFSVFEGNPLANRLASSHSADVKDFGMGYC -ALSVLRPKLRWRSARVLGPDCLLGDFDKVFNWAGLKQFKAMTFVEVYRGFYHLVTVPGAKGVDLEAGENL -KNEISKILEKNPDARVGTGSDVDNGTYLTPDYFEELGAEAEYLEEEDLPLDLCWKEMFPPSYGYSALFGM -HVGDFGDEMDVFKFLANIDLMVYEYVNFGIGDGFCHVAEGSQTKDSELHLDKASLKAGLHRMIEADWNFG -LLPVELTTAVVMGTIGDLEPTLDVVATNYFAAQMEEQPEPIPVEEQYKFTVGENGWMSSDFFGICDGFAK -SLFDSFGSGKGQLEVSPALWNEIRAAWDGEPIIGDPDETNYPVPGSFVVELSDDSEWLKVPDRPVGTHKR -VMPPDFVLVTHPDHVARFAHEGWETVSLPMDSKAFISLGQAILEKGLYAVSDMKAMHETLFEHIKAAMPI -CEQSDLIYLVSGTTFHYFLASVFPEKQVFEVCPVPREDNGVCPEFYLGHYFKDVTVDPGFGFGVGRLYNK -WLTAPKYLNELEWEGEFRYIEPPKFHSIAPWAADRWQISSPSIGFKPYDDFVKKTSFQENETIKGYFSLG -SCESITKETRSALAWLRSLPVKWEVDKRWTYLFEGTDYVESPFTNHATYLHKFDWVVHHGGSGVTNTCCA -VRVPQTILPQVGDQFVWEDALKEHTIPLHVPEDELRALLFHDRSKPITKPDWAKVVKDGPQWWVDALVDN -DASPVQPFRILNHCCHDWDAYGWKPTDLVVGQNHDWWFTLFNSAWERGEFELTLKFVRKVCKPTGSPLVG -WSGFSLTQTYPEHAHGWLTSKRLEFEPFAKKAFSARYITVNEETKYRELGSRALTSRSVHNPYVHMNPRN -IDCPCGGRGYDFGGKCERCFLQGLDNGKLDAIDLEAITTTVYRGFERKNKPCRKDVTFRKSTTNFWVRSR -KRYYQLDSRVVKNTASPTLARALLDQIQDMSDVANVEAFWEYTNSKPPHYTYKTSRHMLNHLISEQARLH -VDVGMSNIATDVVKALGGVLSVGSFRALYSRLANANCFSSSGRDFMKKRWWVLVDALRHFDDLTRSVGIG -AMPELVRGIFPELPQASVTSAIPASFALSRPLRTRVAGKLWMDQMRAQPALVRVHLFNIRLPVLGKDFGV -FHAFVEFDGWFWELQQISQEKCRINRSKFPPEASSDRPLAKTILVKSPIVGSLDLRRISREFDGIGYKIL -GDNCLVFANMLVYLLTGTVIPWRHFGIFGKDLSLNIQKELMQWASSHFFLHEDEQRLQTRDNNAAGLTHT -GQTVSSIKSWTGPKRFIRDYGLTCVQKLEASLEAYSDDPDIDCPQERDHMLDFMQFAITKFGLSGAIVSR -AILTRRVRRMPTSGRKWKFMHHLLVLFKQVGQTRLGEDAMGVLTATSNLRGALRGGKKVGWTPILSISVP -RHWFRSGNRLVTVDHLPENLNMRTKKRVQLDLPQIAKRYQRYFGVNPPPLGFKWIRPGEYEIGVKVPVRT -NLPKMDSLTQELCHELQELHPFELGVFSLRFGTAQMAEEVTNRYFAGGFKEGTLIPEQDQEELAQAIFEN -ESHLFSDTQLISPEEVWKKWHRNYSAGFPFRFTDRGNSSRQKLIDAVGGEERFLQCVGDYIESPEAFPTV -SHAFIKDEVLPKSYVEREKIRTIIAQDPLNYYLSMAVQGDAAKRLDPSSFSAVGVSASHGEMSALAEKHL -AYKHHTAMDVTAMDSTASIDAVGVIKKLRKKGFQKHSQRDAIESAIDATYDNLVASWIIDIHSGRARFKR -QGLSTGHATTTPSNTEYMRVLMLYSWKQITGRPYSEFYDCVKFSSFSDDNFWSTNLDENVFSGRLVSDFW -LSRGVQVRVEGVSDSLSDLSFLAKKFSFEQKHLDEVASLTGAHPKVAIVHDINRLLTKFSDYKKKNTLRY -RWEKFTALQLNCAHYPEVHEKVGEYLDVMEKLLLKRKSGRLFMKQHPRTSYDDVLRLMYLPTDRTRQSLL -VSTHEPDLMEKVHDWWNTLQVDIMTFDSTANTYGRILSQFAGLLEIGGLNVEDPGLFLKGPGEYPHDPEF -TLEHHIYLLNGCPETYEKMEILAQKTPFSTFMDIPGFWARREYYDISESMANALRVKVSLLLGIYALVAW -LEQGLMTVPIIGPAYRLLATAKYVSEKAYSRLNSLYYAVFGDSSAIISAMMPKDRYLMLKVIAYRVWMVT -TPIDCFAFDGGIERAQEWVDACLKFGQDIHQIALDFDISSILPTPGTGEREKQGTSTGWTGIDHADSVCS -VQEALLEEKTPMVTGVPGAGKSTDFVISLKQKYETVIVACPRQILVKNNPVAQTKLYSGCEDNLIRGYIN -FGTAGYLRRTLADLPESTILCLDEFHEMDEDSLWLLDRYRGQCVVITATPDFYGSQRFSEVRLSKGRNSA -WTIQDDFRDTPGKLEDGWNCLMESAKTNDRVLMIVPSIQDVETCKRHAAQLVTNKRVCGLYRGQNTVTEA -DWYFATSIVDAGLTIPGLTKIIDLGWSSGYKHGKFIKRPSSRNISAQRRGRTGRTCAGQYIRLIKDYDDS -NWDFSTQFQCNSWSTAKKWDPNFRRGKCRTPGMIEALPGGYESVFGESDWSMVLYAVFMYDARLDVNRAR -ASYQAMRKYPERKEFSHLTGRIENFHFDDLFMVEDKLKRFKLPGQNGNFWSWDLHSCVQVDFEQKCPSHL -LDVD - ->AAF13603.1 polyprotein [Cryphonectria hypovirus 3] -MQEELQNNQPGSGSSRSGRDTSVNKVAPTRSGSVPDDTRVITQSRPSTSSGDKKKSVGDDGFIPTLSPRE -FLDCTSYGGARVGGEPPLAKFTENPCYPVPLGEQLKLSPDFTVCRCQVHIRPASYCLTDLKGCALKQVGN -HPVIQAAAKKQNTFCHASEDVRRLDRTFVELVCNSLPNQPAFSVFEGNPLANRLASSHSADVKDFGMGYC -ALSVLRPKLRWRSARVLGPDCLLGDFDKVFNWAGLKQFKAMTFVEVYRGFYHLVTVPGAKGVDLEAGENL -KNEISKILEKNPDARVGTGSGVDNGTYLTPDYFEELGAEAEYLEEEDLPLDLCWKEMFPPSYGYSALFGM -HVGDFGDEMDVFKFLANIDLMVYEYVNFGIGDGFCHVAEGSQTKDSELHLDKATLKAGLHRMIEADWNFG -LLPVELTTAVVMGTIGDVEPTLDVVATNYFAAQMEEQPEPIPVEEQYKFTVGENGWMSSDFFGICDGFAK -SLFDSFGSGKGQLEVSPALWNEIRAAWDGEPIIGDPDETNYPVPGSFLVELSDDSEWLKVPDRPVGTHKR -VMPPDFVLVTHPDHVARFAHEGWETVSLPMDSKAFISLGQAILEKGLYAALDMKAMHETLFEHIKAAMPI -CEQSDLIYLVSGTTFHYFLASVFPEKQVFEVCPVPREDNGVCPEFYLGHYFKDVTVDPGFGFGVGRLYNK -WLTAPKYLNELEWEGEFRYIEPPKFHSIAPWAADRWQISSPSIGFKPYDDFVKKTSFQENETIKGYFSLG -SCESITKETRSALAWLRSLPVKWEVDKRWTYLFEGTDYVESPFTNHATYLHKFDWVVHHGGSGVTNTCCA -VRVPQTILPQVGDQFVWEDALKEHTIPLHVPEDELRALLFHDRSKPITKPDWAKVVKDGPQWWVDALVDN -DASPVQPFRILNHCCHDWDAYGWKPTDLVVGQNHDWWFTLFNSAWERGEFELTLKFVRKVCKPTGSPLVG -WSGFSLTQTYPEHAHGWLTSERLEFEPFAKKAFSARYIAVNEETKYRELGSRALTSRSVHNPYVHMNPRN -IDCPCGGRGYDFGGKCERCFLQGLDNGKLDAIDLEAITTTVYRGFERKNKPCRKDVTFRKSTASFWVRSR -KRYYQLDSRVVKNTASPTLARALLDQIQDMSDVANVEAFWEYTNSKPPHYTYKTSRHMLNHLISEQARLH -VDVGMSNIATDVVKALGGVLSVGSFGALYSRLANANCFSSSGRDFMKKRWWVLVDALRHFDDLTRSVGIK -AMPELVRGIFPELPQASVTSVIPASFALSRPLRTRVAGKLWMDQMRAQPASVRVHLFNIRLPVLGKDFGV -FHAFVEFDGWFWELQQISQEKCRINRSKFPPEASSDRPLAKTILVKSPIVGSLDLRRISREFDGIGYKIL -GDNCLVFANMLVYLLTGTVIPWRHFGIFGKDLSLNIQKELMQWASSHFFLHEDEQRLQTRDNNAAGLTHT -GQTVSSIKSWTGPKRFIRDYGLTCVQKLEASLEAYSDDPDIDCPQERDHMLDFMQFAITKFGLSGAIVSR -AILSRRVRRMATSGRKWKFMHHLLVLFKQVVQTRLGEDAMGVLTATSNLRGGLRGGKKVGWTPILSISVP -RHWFRSGNRLVTVDHLPENLNMRTKKRVQLDLPQIAKRYQHYFGVDPPPLGFKWIRPGEYEIGVKVPVRT -NLPKMDSLTQELCHELQELHPFELGVFSLRFGTAQMAEEVTNRYFAGGFKEGTLIPEQDQEELAQAIFEN -ESHLFSDTQLISPEEVWKKWHRNYSAGFPFRFTDRGNSSRQKLIDAVGGKERFLQCVRDYIESPEAFPTV -SHAFIKDEVLPKSYVEREKIRTIIAQDPLNYYLSMAVQGDAAKRLDPSSFSAVGVSRSHGEMSALAEKHL -AYKHHTAMDVTAMDSTASIDAVGVIKKLRKKGFQKHSQRDAIESAIDATYDNLVASWIIDIHSGRARFKR -QGLSTGHATTTPSNTEYMRVLMLYSWKQITGRPYSEFYDCVKFSSFSDDNFWSTNLDENVFSGRLVSDFW -LSRGVQVRVEGVSDSLSDLSFLAKKFSFEQKHLDEVASLTGAHPKVAIVHDINRLLTKFSDYKKKNTLRY -RWEKFTALQLNCAHYPEVHEKVGEYLDVMEKLLLKRKSGRLFMKQHPRTSYDDVLRLMYLPTDKTRQSLL -VSTHEPDLMEKVHDWWNTLQVDIMTFDSTANTYGRILSQFAGLLEIGGLNVEDPGLFLKGPGEYPHDPEF -TLEHHIYLLNGCPETYEKMEILAQKTPFSTFMDIPGFWARREYYDISESMANALRVKVSLLLGIYTLVAW -LEQGLMTVPIIGPAYRLLATAKYVSEKAYSRLNSLYYAVFGDSSAIISAMMPKDRYLMLKVIAYRVWMVT -TPIDCFAFDGGIERAQEWVDACLKFGQDIHQIALDFDISSILPTPGTGEREKQGTPTGWTGIDHADSVCS -VQEALLEEKTPMVTGVPGAGKSTDFVISLKQKYETVIVACPRQILVKNNPVAQTKLYSGCEDNLIRGYIN -FGTAGYLRRTLADLPESTILCLDEFHEMDEDSLWLLDRYRGQCVVITATPDFYGSQRFSEVRLSKGRNSA -WTIQDDFRDTPGKLEDGWNCLMESAKTNDRVLMIVPSIQDVETCKRHAAQLVTNKRVCGLYRGQNTVTEA -DWYFATSIVDAGLTIPGLTKIIDLGWSLGYKHGKFIKRPSSRNISAQRRGRTGRTCAGQYIRLIKDYDDS -NWDFSTQFQCFSWSTAKKWDPNFRRGKCRTPGMIEALPGGYESVFGEGDWSMVLYAVFMYDARLDVNRAR -ASYQAMRKFPERKEFSHLTGRIENFHFDDLFMVEDKLKRFQLPGQNGNFWSWDLHSCVQVDFEQKCPSHL -LDVD diff --git a/seq/clusters_seq/cluster_601 b/seq/clusters_seq/cluster_601 deleted file mode 100644 index aaba2fe..0000000 --- a/seq/clusters_seq/cluster_601 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_009552720.1 movement protein [Blackberry virus A] -MATEGVQVFKVKDKRNGIVDLKEFNKVIDKRKVYDCGVLDELFGPKRVYRSDICQEIEVKDGRVDTEIDL -CSDESLLRVDEEKFPFLHVGCIALGFMGLGRNMRGEFTVVVRDGRIKMGRNALCAFKFVCKERVSAFVDF -PDFCVATIDLKSGFTLQLSITSTNLEFLDETHPLALNLVTVCRFMDGSLESKMLVRKYGKHMYQTLCDTE -VLDPKIGKLVETKVHVDGTSEGDTMRDVLEAIRLHNERKSGKRGSDHTDERESTGGTGGPKV - ->YP_009552541.1 movement protein [Grapevine virus G] -MERVENKVFKIRNLKNGLSNLQDFEKIVDKKKVYELGVLDEIFGPKRVFKCAICKELTVESGEINAQMDL -FCEETLDGIDPEAYPFMHFGCLALGFMGLGSRLDGCYVAQVIDTRRREGSNVLSSFRFKCKDGVSAYIDF -PDFCVATTDIMGGFTISIRLRSEGIDFVDGSHPLALNVVALCRFMDDKLETKMLIKKHGKRIYQAVGQVE -ILNPEIGDLRLNDSPTGEEFNKTMFDVAEIIRKIRSSVGQQRTGVIKDGRGIKEDEASSTDAAKCEAPGS -KVRALF - ->YP_009551948.1 movement protein [Grapevine virus G] -MERVENKVFKVRNLKNGLSNLQDFEKIVDKKKVYELGVLDEIFGPKRVFKCAICKELTVESGEVNVQMDL -FCEETMDGIDPDVYPFMHFGCLALGFMGLGSRLDGQYVAQVVDTRRKEGSNVLSSFRFRCKDGVSAYIDF -PDFCVATTDIMGGFTISIRLRSEGIDFMDGSHPLALNVVALCRFMDDKLETKMLIKKHGKRIYQAVGQVE -ILDPEIGNLRLNDTPSGDVIDKTMFDVAEIIRKIRSSVGSGASASTKDGRGIKEDEASSTDAAQREASGS -KVRALF - ->YP_009465947.1 movement protein [Grapevine virus I] -MDRTEVNVFKVKGSRQGVGNFKEMRSIVDKDKVYDEEMLDKLFGKSTVLKSSVCSEVFVHEGKVNTEIEL -VAEEVLANVKIEETPFMHVGCVCIGFMSLARSNVGSYKVIIQDERLKGDHKNICAFKFDASEKVAAFADF -PDYCIAVEDLVNGFKLKVLVISDNAMFEDTAHPLAINVVGICRFVDDSLETKMLIKKVGKHMYQRICATE -VLDPAVGVFQPSDRDKSGAIMSDLHGVIKRINGVKGDKESSSPTAQRQSAWAIR - ->YP_009373230.1 movement protein [Agave tequilana leaf virus] -MRMESKVEVFKVKDKRQGISDLKEFNKTIDKSKVYGQNVFSELFGEKRVYKSAICKDIEVRNGAVDTEIE -LYADETVKAVDEELYPFMHVGCIALGFMGLDRRMKGSFTVVVRDGRKKKGSDEICAFRFICKDKVAAFVD -FPDFCVATADLKQGFTLKLSITSEGLEFLDETHPLALNLVSICRFMDDTMETKMLVKKHGKHMYQELCET -EILHPSIGKLQETKVEPQTDNDTMRAVQETIKILNGRKKDKSGHTDPAERQSSWGQI - ->YP_009352884.1 movement protein [Arracacha virus V] -MASFTPNQRMTSDEHASEERLMGPSVKEIRVFDGSKARKMESFKDMGKVVERGKIYDIGAMERMFPARVQ -KAAMVKELSVKNKTVHCVLELADENLKNAMEPEKYPLLHIGCLVVGVMPLGNDLPGEGVVTLTDGRRGGI -GGKELMCFNFKMSEGLSAFSCFPNFCVCSEDVMNGFSLIMNVKLGGLDFKDKVHPIAINMMSVVRQLDNS -METKCLLRNNNKAMYQPLINAKFLDTTRLELKLEQPSMINEDLTMEQEEDQVMLKVREAIKKIQVNGTGS -QKDRSDNAPRGLY - ->YP_002117777.1 movement protein [Grapevine virus E] -MERIEHKAFKVKNHKSGLDNFREMKSVVDKTKVYDEEFIDKIMGKSTILKSSVCSEVFVKGGKVCTEIEL -VAEGVTDGLDKEATPFMHVGCIVVGFMSLARSAVGTYKVIIQDDRLKGEHKNICAFTFQTSDKVAAFADF -PDYCIAVEDLAAGFSIKVLIESEDTVFADNAHPLAMNVIGVYKFLDQSLETKMLVRKHGKHMYQRICATE -VLDPNIGVISENPTALIDDALMLSVRKAIERANGVKSDQVGTHDSVRGKDPRAKG - diff --git a/seq/clusters_seq/cluster_602 b/seq/clusters_seq/cluster_602 deleted file mode 100644 index 5868d04..0000000 --- a/seq/clusters_seq/cluster_602 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009551953.1 coat protein [Bee Macula-Like virus 2] -MTMSLAPALLSSAATILPPVINALSNSSSSHVADQPVGSSDRILLSTSALPSAPTPQPERTLGGHFVDVP -FQHLFYDLTGTETKSTSTTVQVIAPVKSLIQNFRDAQVVSLEAVVFPTANSLKIPVTVDLAWTPADVTAS -GTQVITTPGSARVTVGGLNLLNQGVLPCNLNYVNPCIKSPLPYTNHPRLNVHFMESPDAKLEGVKATIKA -SVFIRGILRLGHPLAVSHQ - ->YP_009505643.1 coat protein [Bombyx mori latent virus] -MEEIVPLVVSAASAIPSLVNAFSSSKPPQTDNPSARSMDMQPLPSSDSVVLSSQPLPPAPPPPLGSSLGR -SPQRLIIPFQRLYFDLTGTETKSNSVTVQSLPNVSSIIKGYRDAYLVNLEAVVFPSAPSLKIPVTVDLCW -TTADVTVEGFNVLATPSSARITMGGLALMHQATLPCDLGYINPIIKSPIPYTNHPRLNIHFHQSADAVLE -GVRAGVKASVVIRGSISVSHPLVTGHG - ->YP_009337795.1 hypothetical protein [Hubei macula-like virus 2] -MNTLLQTAIEHAPAIISALPSLLPPSSQSTSTSSDPVHDDRLLLANSALPPAPSTPSHISSFPSSSSVKV -PFQYVWFGLDGTESKNASITIPEIPSVKKIIAFFRDAKLVNLEAAVYPHFDCLEKPITVDLMWSPSDTVP -SGSILNCPGAVCFTVGGQFLSQSGILPCDLNFMNPIIKSPIPYTNHPRLNAKFYKNQTELQSHRKATIII -RGIIACAHPTVYA - ->YP_009337785.1 hypothetical protein [Hubei macula-like virus 1] -MDAHETLFVSSKTKSSSRGLIAHNFQSINHNPRLIMDQLILLLKPLLDKLLLPSEEAATPEVSPPPPSIA -PPKAPALSNNPVLPALPVPRFSGHLPQTAGNQGVLIPFQVSVFHINAASDSASSYTIRELSAVQTLLPYF -RDVVVKQADVVVFPTVASKTVPASIDLCWSPSYKILGSEVLSTPSSTRFNIGLDPALISSSLPCDFGHIN -PIIKSPIPYDDHPRLNIKIYQSSGASSSVPLGELIIRGILQCSNPLPN - ->YP_009159827.1 coat protein [Varroa Tymo-like virus] -MEEFAMLLPALETLKGMFGGRSDQPLASSDPALPASPTITAPPQRRQQNVVNALESSSVPIPSTPSTISS -SLEQDPKLHIPFQLDLWTLSNNTSAGREAIFGAIPEIVNLLAPYRRARVLQLEAVVAPTANVTDGGLTVI -VAWVPANSSPVGETALNVPGSQLVTYTGATVSGAPTIVPAPLNALNPMVKDSVAYVDAPKLHLSQFETGS -KGDVGRLVLRGTIEVSSPSIQPLQPAS - ->YP_004464931.1 unnamed protein product [Bombyx mori Macula-like virus] -MEEIVPLVVSAASAIPSLVNAFSSSKPPQTDNPPARSMDMQPLPSSDSVVLSSQPLPPAPPPPLGSSLGR -SPQRLIIPFQRLYFDLTGTETKSNSVTVQSLPNVSSIIKGYRDAYLVNLEAVVFPSAPSLKIPVTVDLCW -TTADVTVEGVNVLATPSSSRITIGGLALMHQATLPCDLGYINPIIKSPIPYTNHPRLNIHFHQSPDAVLE -GIRAGVKASVVIRGSISVSHPLVTGHG - ->NP_542613.1 coat protein [Grapevine fleck virus] -MSLPADLLLGAISSLLRNPPTSDAASPSADQPAVSSSRSDSRLVSAPLPAAPPAPTAIARNPRVSIHLPF -QFLWYDITGTESSYTSLSIASRPEVVTVARPYRHARLTSLEAFVQPTASSATYPQTVDLCWTIDSVTPAR -SEILSVFGAQRIAWGSVHFSAPILLPAELSSLNPTIKDSVTYTDCPRLTCGFYRNDACVALGSSAPICGS -ILIRGVIECSAPINRPTPSS - diff --git a/seq/clusters_seq/cluster_603 b/seq/clusters_seq/cluster_603 deleted file mode 100644 index c2701c4..0000000 --- a/seq/clusters_seq/cluster_603 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009163755.1 nonstructural protein NS4a [Spanish goat encephalitis virus] -SFGDVLTGMSGVPELLRHRCVSALDVFYTLMHEEPGSRAMKMAERDAPEAFLTVVEMMVLGLATLGVVWC -FVVRTSISRMVLGTLVLLASLLLLWAGGVGYGSMAGVALIFYTLLTVLQPETGKQRSSDDNKLAYFLLTL -CSLAGLVAA - ->NP_740300.2 nonstructural protein NS4a [Langat virus] -SVGDVLMGMSGVPALLRQRCTSAMDVFYTLMHEEPGSRAMRMAERDAPEAFLTAVEMLVLGLATLGVVWC -FVVRTSVSRMVLGTLVLATSLIFLWAGGVGYGNMAGVALVFYTLLTVLQPETGKQR - ->NP_001006603.1 nonstructural protein 2K [Langat virus] -SSDDNKLAYFLLTLCGLAGMVAA - ->NP_932090.1 non-structural protein NS4a, partial [Omsk hemorrhagic fever virus] -SLGDMLTGMSGVPELLRHRCMSAMDVFYTLLYEEPGSRAMKMAERDAPEAFLTMVEMVVLGLATLGAVWC -LVLRTSISRMMLGTMVLLVSLALLWAGGVGYGSMAGVALVFYTLLTVLQPEAGKQR - ->NP_775508.1 non-structural protein NS4a [Tick-borne encephalitis virus] -SFGDVLTGMSGVPELLRHRCVSALDVFYTLMHEEPGSRAMRMAERDAPEAFLTMVEMMVLGLATLGVIWC -FVVRTSISRMMLGTLVLLASLLLLWAGGVGYGNMAGVALIFYTLLTVLQPEAGKQR - ->NP_775521.1 non-structural protein NS4a [Powassan virus] -SAVDILTGLGGVPDLLRLRCTAAWDVVYTLLNETPGSRAMKMAERDAPEAMLTLLEVAVLGIATLGVVWC -FIVRTSVSRMVLGTLVLAVALILLWLGGMDYGTMAGVALIFYLLLTVLQPEPGKQR - ->NP_740727.1 nonstructural protein NS4a [Louping ill virus] -SFGDVLTGMSGVPELLRHRCVNALDVFYTLMHEEPGSRAMKMAERDAPEAFLTVVEMMVLGLATLGVVWC -FVVRTSISRMMLGTLVLLASLLLLWAGGVGYGNMAGVALIFYTLLTVLQPETGKQR - diff --git a/seq/clusters_seq/cluster_604 b/seq/clusters_seq/cluster_604 deleted file mode 100644 index 7b428f9..0000000 --- a/seq/clusters_seq/cluster_604 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009268626.1 protein 2K [Hanko virus] -SYVDIDLVKWILVGGGFIIGVIC - ->YP_009259329.1 protein 2K [Aedes flavivirus] -SYLDSDLVKWVILGSCVMCSVLA - ->YP_009259328.1 nonstructural protein NS4A [Aedes flavivirus] -SSASLYDILFSFDWHGIWKKTTSSLWDLRDIVSGDLHDQILAEQSLTSGMAFMLGCAIAVALLMFLWVFT -CLVSYSRSGKNSFEPMPVSDPLGGGFFLTSPGVLHYFGVPLGFCVIIFLAMFIVYPVLYKAAGNR - ->YP_009259270.1 protein 2K [Parramatta River virus] -SYVDIDLVKWILLGGCIVTGVIC - ->NP_937778.1 NS4A protein [Kamiti River virus] -LSIEFYLNCRSSQTFYDLFKSIDWANIWKKTLSALWDIRDTFSGTLKDQVMAERSLTTGMAFSLGILLVL -AVIVVVWILGFLVAMLKPTKMSYESMPSGDPFNGGMVLTAPSVLHYMGVPLGFCVIIFLAMFIVYPVLYK -AIGNRSYMDSDLVKWVIIGSCFVCGVLA - ->YP_009259299.1 2K protein [Cell fusing agent virus] -SYMDSDLVKWVILGSCLICGVLA - ->YP_009259298.1 NS4A [Cell fusing agent virus] -GGPSLYEFFTLIDWTDIWRRTTSALWDLSDVMNGELRDRSTTERSLTVLMAFVLGVSIVLSCFIAVWALC -FLFSLFRPRKVTYEQMPSSDPLSGGVLVSTPSLLYYMGVPLGFCVVISLAMFLVYPVLYKSIGNR - diff --git a/seq/clusters_seq/cluster_605 b/seq/clusters_seq/cluster_605 deleted file mode 100644 index 34b0513..0000000 --- a/seq/clusters_seq/cluster_605 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_004732979.2 movement protein [Grapevine Pinot gris virus] -MALMKRIAKVSGRIATGATPIAELPSSFIYNDTKSLKGSAGILLERNEIVYQVEPSSISEDFRVTTIPIV -PMEQLKLLNGSNMNYIHFGALSISIDPLFRRDSGVKGVAFVYDSRWDNASQALLQAFHFDLNNGTASMVC -SPNYSVQLSDPRLSTCLSAVLLFENLNFKSGSYAISVRIGITYRPFNSNIGRSLMSDQTNYKIDGKDIND -LDLKEFGLQPGDNIDRYFSLVPSDSSSIVKSFVDSYKRKGLFTLKSDVRLHRNDVGPLSFKGNSLFLQEG -TSNKEMAPVRTENESCPNVFSKGSGDPMVKMVKSKSGCAENSAEFERYLKQDYNFGRYVDSSGAEINSQE -RADRKVERGESSTSWADRR - ->YP_004293217.1 movement protein [Grapevine berry inner necrosis virus] -MALMKKIARVSGKIANGGTPIANLPSSFIYNDVKSLKGSAGILLERNEIVYQVEPSSISDEFRVTTIPIV -PMEQLKLLNGSNMNYIHFGALSISIDPLFKRNSGVKGKAFVYDSRWNNAEQALLQAFSFDLNSGTASLIC -SPNYSVQLTDPRLSTCLSTVLVFENLNFREGSFAISVRIGVMYRPYNSYIGDSLELDQTNFKIDGKDVLE -LGLKDFGLNPDDNLDELFKKVPTDSSRIVKSFTENYRRKGFLGTSLKVPMNKFQIGPLNFEESREVKIGK -NSGSNNRDKEKIDSFKSKSDKYENIAEVDKYLKRDHNFGRHVDQTGIEGSRQGGTDQKVVGGQAGPSWAK - ->YP_002308566.1 putative virus movement protein [Peach mosaic virus] -MALMMRAHKSKIAEGDIPISGVKSSKINSDVTPFKRASDLMIHWSEFVFKIMPDDIGEGGFRLMSVPVVP -RSEVDAVRRERGSANYAHWGALSISIDALFKKQSGVTGRCVVFDKRWNNCKQAVLQTFEFSLDSGSATMI -TSPNFSVSLDDPNINDSLCVVVVFNDLNFKKESYPVSVRVGHMCRFFDSFLSSEAFKNESNVYLESSGAD -LLSYKAFGFGEDEEVERLFSFAEVVPTEAINMNVRELPGTMGNLFRKKKVKQFGFGSASDPRRRKGKRSA -GVRLPAINCEREREFGQSGENIKSAGANGLREEVRGKPRDPFCKSEIRENVGKIEPSDEDTDRFDQLSVS -SKSSFEQPVRTRKEIDTEVNFRKHCNPRDIGLNGVYFNHCGGKEFNGSGSASFVQSEDDCWVDQTFRVNK -RG - ->YP_224131.1 51 kDa protein [Apricot pseudo-chlorotic leaf spot virus] -MAMQVRGHKSRIAEGDIPIAGVKSSRIYSDVSPFKRATDLMIHWNEFVFKIMPEDIRDSGFRLASIPVVP -ITEINNILKKRETTNYVHWGALSISIDALFKKNAGVTGQCYVFDKRWTTFDQALLQKFEFNLDKGSATMI -TSPNFSVALDDPGLTDSICVAVVFENLNFKKDNYPISVRVGNMCRFFDSFLSCIKNKDDSNLKLDSSNVE -ALGLGEFGFDGDTKVNELFKYVQAVPTTAFHTRKFKIPRGFLGLMGKKKVKSFEFSSKSVKERRRRRSQA -PIHEQHGIREKENFADVRSTASEDESDRTFGKLERRRKDKGIKAGSAYSEGELIDNISSREFLFAQQDKK -PQDVSSTESSQQGGHPTEGIFGSGGGTSAWKDRGNSGTDTSVNIRKHRNSRDVRANRVPKRGGGSERTGG -FHFASELQPEDGSQSDQNVQDILHGWKHQWDDLQAAL - ->NP_062429.1 putative movement protein [Cherry mottle leaf virus] -MTMMMRAHKSKIAEGDIPISGVKSSKVYSDVTPFRRASDLMIHWNEFAFKIMPDDIGDGGFRLMSVPVMP -KDEIEHFRRERGSANYVHWGALSISIDALFKKQSGVTGRCVVFDKRWENCKQSILQTFEFNLDSGSATMI -TSPNFSVSLDDPNLNDSLCVVVVFNDLNFRSESYPVSVRVGNMCRFFDSFLGSEIFKNESNVYIDSSGAD -LLSYKSFGFGDEEEVERLFRFAEVVPTEAIDLTVREIPGSISNLFRKKRVMQYGYGSKSDPRRSKGKRLA -GVKSLALEGELNTYLNKAGESSNTRGVEATHSKVHRGKGNPFGKSKDSQDVGAIESNEQDTYRVDCLSIS -SESSTPSKIRAGKEVDSEVNFRQHCNPRDVGLNGVYFNHCSGDASDGCGSVSVLQSEDDCWVDKTFRVNK -RG - ->NP_040552.1 movement protein [Apple chlorotic leaf spot virus] -MATMIRGHRLRIAEGDIPIAGVKSSRIYSDISPFKKASDLMIHWNEFVFKVMPEDIAGDGFRLASIPVIP -SSEVQAVLRKRESTNYVHWGALSISIDALFRKNAGVSGWCYVYDNRWETFEQAMLQKFHFNLDSGSATLV -TSPNFPVSLDDPGLSNSISVAVMFENLNFKFESYPISVRVGNMCRFFDSFLSSVKNKVDSNFLLEASNAD -PLGVGAFGFEQDDQVSELFNYIQTVPTQAIKFREHEIPKGFLGMMGKKKIKSFEFASGSKGMERRKPNRG -KQIDRSFSQRAVPGFRSQNEKVEHQGLSTDSDFENFLRNKRGNKAGVKSTASEGSSVDNISSREFQFARQ -NQAKEDGSSSEFAAQGGRKSKGISGRRKQTSSWKDRGNPGTDTGVHLREHSDPGNVRADGVSGPSGGSEI -NGGSISPRVLQPEGSGQLDQSFQDYLFGPEHQQNDIPSGL - ->sp|P54892.1|MP_ACLSA RecName: Full=Putative movement protein; AltName: Full=50.4 kDa protein; AltName: Full=ORF2 protein -MMIRGHKLKIAEGDIPIAGVKSSRIYSDVSPFRKASDLMIHWNEFVFKVMPEDIAGDGFRLASIPVIPSS -EVQAVLRKRESTNYVHWGALSISIDALFRKNAGVSGWCYVYDNRWETFEQAMLQKFRFNLDSGSATLVTS -PNFPVSLDDPGLSNSISVAVMFENLNFKLESYPISVRVGNMCRFFDSFLSCVKNKVDSNFLLEAANADPL -GAGAFGFEQDDQVSELFNYIQTVPTQAIKSREHEVPRGLFGMMGKKKVKSFEFASGSRNLGRRKPQRGRP -LERSASLRVAPGFRSQDERVEHQGLSTDSDFENFLRKGKGKAGTESITSEGSSFDNISAREFQFARQDQK -AKDGGSAEPPIKSGRRSESVPGRRRQTPSWKDRGNSGTDTGGHLREHSDTGDLGTNRVPGRAGGGEIHGG -SEGGGVIQSEGGSRFDQNIQDYIFGPEYKQHDLPPSV - diff --git a/seq/clusters_seq/cluster_606 b/seq/clusters_seq/cluster_606 deleted file mode 100644 index bba1a17..0000000 --- a/seq/clusters_seq/cluster_606 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009104397.1 3C protein [Crohivirus A] -APFLNEFGHIFNRLAYIEDAANPIIVHVLPLWENKVLVYSHSQFILSKMEKPHLVYKGFKVPIESAEFKR -ITISEGPMDVAIISIEKLPFIFKSIRSLVSSDLGSDTMILWNSPRGFLAYPVSNAHHSGSIETLEGDMTV -RTITYVGQTVRGMCGGVLVSKVGGAYKINGLHIAGTGIMGMAASISFINAMPSSQ - ->YP_008549609.1 3C [Eel picornavirus 1] -GGFNPQEYAHLSKCAVVMYGNFVKEFYGMASGPHQVLTYKHYFKSGGTLNGLYWNGLKYSVQDPDDVEFE -FFYFEDGDRVYETDMVKINFKKLPFQMKCVQNYLSEPQQGVGGVLIFGGTTTHSVPVHNVRKILNYCMDV -EGDTWHFNNCLEYEAQTYPGFCGGMLCQKINGTWRVVGMHHAGDGMMFGNAVPILRIAQ - ->YP_008119843.1 3C protein [Sebokele virus 1] -APYMQDLEHCFAQTCYLSSSVTTDVIHCAAVSGTTLVCYGHSEFFVDNAPDLVLHFKGASFAIDGGMISR -VSLDGSAMDLVLIKVDKLPIVFKNYTKYYTKTIGRDSLLLWSSPQGRLAMPVTNVHMAGNLVTLEGTQTA -QTYSYAVSSKRGMCGGLLVTRVDGSYKVLGMHIAGNGSIARAASLHCLTQYRNE - ->YP_006546268.1 3C [Pasivirus A1] -GPYNEFQHYSKYCVFLHSGSITLHGLAFGGNSFLFYTHGLATLERYDNWVLDYNGSMFDLDIETVDELYL -NGESMDLCLITCKPLPVTFASIVGHLSDGDLGDGVILWRGREGLTCMPIYDLHHYGTVTTNQGDTCTSAI -RYRAKTTRGMCGGLVLSKISGTYKAVGLHVAGNGVYGVAASLSVCKQLESQ - ->YP_001497183.1 3C [Seal picornavirus type 1] -GPSDVSEFVHLLKFCAYVETPSGPVFGVILGGRKMYFNTHYASVILDKDVTVCTPNKKYFTRLTKVSSNY -DTMIVQLDIPELLPSISKYVSSSVPADALLLYFTGKGFYSQSVSQVSYLPCLEVREGMHGASYAYTTRTQ -KGMCGGLLVGKIDGAFKALGFHAAGSLERGFANAFNSVPPLSTVPDFPESINLSGLFSNDVE - ->NP_740736.1 proteinase 3C (picornain 3C) [Parechovirus A] -APYDGQLEHIISQMAYITGSTTGHITHCAGYQHDEIILHGHSIKYLEQEEELTLHYKNKVFPIEQPSVTQ -VTLGGKPMDLAIVKCKLPFRFKKNSKYYTNKIGTESMLIWMTEQGIITKEVQRVHHSGGIKTREGTESTK -TISYTVKSCKGMCGGLLISKVEGNFKILGMHIAGNGEMGVAIPFNFLKNDMSDQ - ->NP_705883.1 Picornain 3C [Ljungan virus] -APYMQDLEHCFAQTAYISSPETQDIIHCAALSEDTILVYGHSQFYFNRYEDLRLHFKGAIFPIEGGKISQ -VTVNGQPMDLILVKIDKLPITFKNYTKYYTTEVGKETLLIWNSEKGRLAMPVQCVAPAGPVETMEGTITH -KTYSYKVASKKGMCGGLLVTRVHGTFKVLGMHIAGNGQVARAAAVHFISNGAAGFMDQ - diff --git a/seq/clusters_seq/cluster_607 b/seq/clusters_seq/cluster_607 deleted file mode 100644 index 4148f05..0000000 --- a/seq/clusters_seq/cluster_607 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009507900.1 NCP [Maize stripe tenuivirus] -MQRSADVSIGPITGLNYTDLYDSLPSSVSDNITLLDLKEPERVTEATKKLILKGCVETAYHHPLETDPLF -ASVHKHLPDFCHSFLEHLLGGEQDENSLIDIGEFFKLLQPSLGDWITKYYLKHPNKMSGIQIKTLLNQII -NMAKAESSDTETYEKVWKKMPSYFSIVLTPLLHKVV - ->YP_009508262.1 inclusion body protein [Echinochloa hoja blanca tenuivirus] -MDFLKTDVAVGPIAGLNYRRLYDILPNKVSDNITLPDLKNPDKVTEDNKKLILCGFIYVAYHHPIETDLD -FTSVHKHMPGISESSLEHLLGTDESNETINLGKLFDILQERLGDWITMNFLKHNNRMSKDQIKTLCETIV -DLAKAEGGDTETYETVWKKMPAYYSNLLQQILHK - ->YP_009507913.1 non-capsid protein [Urochloa hoja blanca tenuivirus] -MDFLKTDVAVGPIDGLNYRRLYDILPNKVSDNITLPDLKNPDKVTEENKKLILCGFIYVAYHHPLETDPD -FTSVHKHMPGISESFLEHLLGIDESNSTIDLGKLFDILQEKLGDWITMNFLKHNNRMSKDQIKTLCETIV -ELAKAEGGDTETYELVWKKMPAYYSNLLQQILHK - ->YP_009507894.1 NS4 protein [Iranian wheat stripe tenuivirus] -MDFLKTDVSVGPIDGLNYRLLYDLLPSKVSDNITLPDLKTPDKVTEDTKKLILCGFIYVAYHHPIETDPS -FTKVHKHLPSISESFLIHLVGQDDSNDALMISKLFDILQEKLGDWITINFLKHNNRMSKEQIKTLCETIV -ELAKAEGGDTEEYEIVWKKMPSYYSNLLMQMLHK - ->YP_009449443.1 non-capsid protein [Rice hoja blanca tenuivirus] -MDFLKTDVSVGPIEGLNYRRLYDILPNKVSDNITLPDLKNPDKVTEENKKLILCGFIYVAYHHPIETDPD -FTSVHKHMPGISESFLEHLLGTDESNNTIDLGKLFDILQERLGDWITMNFLKHNNRMSKDQIKTLCETIV -DLAKAEGGDTEIYEAVWKKMPAYYSILLQQILHK - ->NP_620518.1 20K protein [Rice stripe tenuivirus] -MQDVQRTIEVSVGPIVGLDYTLLYDTLPETVSDNITLPDLKDPERVTEDTKKLILKGCVYIAYHHPLETD -TLFIKVHKHIPEFCHSFLSHLLGGEDDDNALIDIGLFFNMLQPSLGGWITKNFLRHPNRMSKDQIKMLLD -QIIKMAKAESSDTEEYEKVWKKMPTYFESIIQPLLHKT - ->sp|Q01209.1|NCP_RSVM RecName: Full=Major non-capsid protein; Short=NCP; AltName: Full=20.5 kDa protein; AltName: Full=Protein p4; AltName: Full=Stripe disease-specific protein; Short=Protein S -MQDVQRTVEVSVGPIVGLDYTLLYDTLPETVSDNITLPDLKDPERVTEDTKKLILKGCVYIAYHHPLETD -TLFIKVHKHITEFCHSFLSHLLGGEDDDNALIDIGLFFNMLQPSLGGWITKNFLRHPNRMSKDQIKLLLD -QIIKMAKAESSDTEEYEKVWKKMPTYFESIIQPLLHKT - diff --git a/seq/clusters_seq/cluster_608 b/seq/clusters_seq/cluster_608 deleted file mode 100644 index 8404904..0000000 --- a/seq/clusters_seq/cluster_608 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009361876.1 VP2 [Hom-1 vesivirus] -MNYANLGIDLFNSIANAAVEGKKLDLASKSFQLKSRALDTERDFNYARLAFEKHKFNTNNDLRIYGDALR -VQALRASGLRINPYSNGRQIYQDEADLANLHSYYSFYKTD - ->YP_002004566.1 hypothetical protein SSLVV_gp3 [Steller sea lion vesivirus] -MNYANFGLDLLNSVANAAIEGKKLDLASQGLQLKSRVLNTERDFNYARLAFERYKFDTNNDLKIYGDALR -VQALRAAGLRINPYSNGRQIYQDEADLANLHSYYSFYKTD - ->YP_873924.1 putative minor structural protein [Rabbit vesivirus] -MNYANFGLDLFKSITDAAYEGKRIDLASRNLALKNRALDTERDYNYARLAFEKQKFETNADLRVHGDLYR -MQALRAAGYRFNPYSNGNQIYADEAAAANLHSFYSFYKTD - ->NP_777372.1 putative nucleic-acid binding protein [Walrus calicivirus] -MNYANFGLDLFKSITDAAYEGKRIDLASKNLALKNRTLDTERDYNYARLAFEKQKFETNADLRVYGDLYR -MQALRAAGYRINPYSNGNQIYADEAAAANLHSYYSFYKTD - ->NP_066257.1 small basic protein [Vesicular exanthema of swine virus] -MNYANFGLDFLNSVANAAVEGKKLDLASRGLQLRSRALDTERDFNYAKLAFERHKFDTNNDLRIYGDAMR -IQALRAAGLRINPYSNGRQIYQDEADLANLHSYYSFYKTD - ->sp|P36289.1|VP2_SMSV4 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MNYANFGLDFLNSVANAVVEGKKLDLASKGLQLKSRALDTERAFNYDRLAFEKHKFETNNDLKIYGDAMR -ISALRAAGLRINPYSNGRQIYQDEADLANLHSYYSFYKTD - ->sp|P36288.1|VP2_SMSV1 RecName: Full=Protein VP2; AltName: Full=Minor capsid protein -MNYANFGLELFKSIADAAYEGKRVELAGKTLALKNRALDTERDYNYARLAFEKQRFETNADLRVNGDLYR -MQALRAAGYRLNPFSNGHQIYADEAAAANLHSYYGFYKTD - diff --git a/seq/clusters_seq/cluster_609 b/seq/clusters_seq/cluster_609 deleted file mode 100644 index 5617121..0000000 --- a/seq/clusters_seq/cluster_609 +++ /dev/null @@ -1,41 +0,0 @@ ->YP_009513005.1 matrix [Jurona vesiculovirus] -MKSFKGMFKNYKEKNKVKKEMDWDSPPSYTDVRRGIYPSAPLFGLDDSFMETLPSLGIQNMKLQYKCSIQ -LRAEFPFVSYSEVVMALSQWDEEYRGFLGKRPFYRAIILRTSKTLKAVPLSLTDGGRPEYNGEIQGQSSL -YHSLGIIPPMMYVPETFMKEWKTAGNRGILIIKIWLGITDTLDTLDPLLHPMIFKSERELVEMAKVFNLD -ISKGRDNNWIISRSY - ->YP_009505534.1 matrix [Piry virus] -MKSIRQLLSLAKKEKKREKKSTHGSHSMEWESPPSYNEIKSPSAPIFGYDYEDMEYLPTLGVQTLKLQYK -CVLQVRSESPFTSYLDAVDNVANWEKQYNGFSGKKPFYRAVMVRAVQAMKANPMSLQDGRSPEYTSEIEG -RCLVFHSLGHIPPMMYVCEQFTRDWSGRRNQGIVNVKIWVGVTDTLDNLDQIFDPKKHFSEEEMLSAATI -LGLEVKKSSDNNYIISKSY - ->YP_009094386.1 matrix protein [Perinet vesiculovirus] -MNRIRKFWGSNKNSQSPEKKSKKKKKNNNNHGSQMEWDNPPTYSEAAKFDPSAPFFGLEPEDMEFNYYSG -LASVKLQYKCTIQVRAKKPFVSFLDAAENLSAWENNYKGFLGKKPFYRAIILTTLKKLKVSPHSLMDGNA -PEYNGESEGRCLILHSLGIIPPMMYVPEQFTREWSTRDNQGIISVRISVGITDTVDNLEGLFSSPVFLDH -KELITAGRMFNLDLKVSPENGWFISKSY - ->YP_009094176.1 matrix [Malpais Spring vesiculovirus] -MKSIKKVLGKKKEKGEKKSKKYDLPPNYNDLIGPSAPSAPMFGLDPSDYFEQINSNDSVVIKLKYSCEVQ -VRAIRPFSGVLEAADAIARWEMDYRGFLGKKPFYRLLMGIAIKKLRAAPSSLTEGNRPEYNCLFEGHGAI -RHNLGQLPPMSYVSETFTRDWQTDKNKGSVHVKFWLGMLDTNDEMPEILSSKSFQSESELKQIMEMMGIR -VKKSKDNKWEILSTC - ->YP_008767241.1 matrix protein M [American bat vesiculovirus TFFN-2013] -MLSLRKSLSKKIKSFTETKGAPGVVDAPFWMDPPPYNPDYPEDTRCQSVSITFRGSLRIVSNKMFKSFEE -AVLVSSLWEKDYDGYSGKRPFYRVILLVLIRRLKMVGQVMRSGGIYEYTSEINGRTTIHHDLGNLPEFSS -SEEIFTKTWDLNERRGSVKFKIQLGEVDMDPTLDQILNPSIFSSKEEFLSGCKMLGIEFKEDGDKLVLIK - ->YP_007641384.1 matrix protein [Isfahan virus] -MKSLKRLIKSNKKKGDKKNVSFMDWDEPPSYSDSRYGCYPSAPLFGVDEMMETLPTLGIQSLKIQYKCSL -QVRAETPFTSFNDAARCISLWETDYRGYAGKKPFYRLLMLIATKKLRAAPMSLMDGNRPEYSSMIQGQSI -VHHSLGVIPPMMHVPETFTREWNLLTNKGMITAQIWLGITDVVDDLNPLINPALFSDEKEMTLTSQMFGL -ELKKRNDNTWLISKSY - ->YP_007641379.1 matrix protein [Chandipura virus] -MQRLKKFIAKREKGDKGKMKWNSSMDYDSPPSYQDVRRGIFPTAPLFGMEDDMMEFTPSLGIQTLKLQYK -CVVNINAINPFRDFREAISAMQFWEADYSGYIGKKPFYRAIILHTARQLKTSNPGILDRGVVEYHATTQG -RALVFHSLGPSPSMMFVPETFTREWNILTNKGTINVKIWLGETDTLSELEPILNPVNFRDDREMIEGAAI -MGLEIKKQKDNTWLISKSH - diff --git a/seq/clusters_seq/cluster_61 b/seq/clusters_seq/cluster_61 deleted file mode 100644 index 659fe1b..0000000 --- a/seq/clusters_seq/cluster_61 +++ /dev/null @@ -1,1741 +0,0 @@ ->YP_138518.1 L protein [Parainfluenza virus 5] -MAGSREILLPEVHLNSPIVKHKLYYYILLGNLPNEIDLDDLGPLHNQNWNQIAHEESNLAQRLVNVRNFL -ITHIPDLRKGHWQEYVNVILWPRILPLIPDFKINDQLPLLKNWDKLVKESCSVINAGTSQCIQNLSYGLT -GRGNLFTRSRELSGDRRDIDLKTVVAAWHDSDWKRISDFWIMIKFQMRQLIVRQTDHNDSDLITYIENRE -GIIIITPELVALFNTENHTLTYMTFEIVLMVSDMYEGRHNILSLCTVSTYLNPLKKRITYLLSLVDNLAF -QIGDAVYNIIALLESFVYAQLQMSDPIPELRGQFHAFVCSEILDALRGTNSFTQDELRTVTTNLISPFQD -LTPDLTAELLCIMRLWGHPMLTASQAAGKVRESMCAGKVLDFPTIMKTLAFFHTILINGYRRKHHGVWPP -LNLPGNASKGLTELMNDNTEISYEFTLKHWKEVSLIKFKKCFDADAGEELSIFMKDKAISAPKQDWMSVF -RRSLIKQRHQHHQVPLPNPFNRRLLLNFLGDDKFDPNVELQYVTSGEYLHDDTFCASYSLKEKEIKPDGR -IFAKLTKRMRSCQVIAESLLANHAGKLMKENGVVMNQLSLTKSLLTMSQIGIISEKARKSTRDNINQPGF -QNIQRNKSHHSKQVNQRDPSDDFELAASFLTTDLKKYCLQWRYQTIIPFAQSLNRMYGYPHLFEWIHLRL -MRSTLYVGDPFNPPADTSQFDLDKVINGDIFIVSPRGGIEGLCQKAWTMISIAVIILSATESGTRVMSMV -QGDNQAIAVTTRVPRSLPTLEKKTIAFRSCNLFFERLKCNNFGLGHHLKEQETIISSHFFVYSKRIFYQG -RILTQALKNASKLCLTADVLGECTQSSCSNLATTVMRLTENGVEKDICFYLNIYMTIKQLSYDIIFPQVS -IPGDQITLEYINNPHLVSRLALLPSQLGGLNYLSCSRLFNRNIGDPVVSAVADLKRLIKSGCMDYWILYN -LLGRKPGNGSWATLAADPYSINIEYQYPPTTALKRHTQQALMELSTNPMLRGIFSDNAQAEENNLARFLL -DREVIFPRVAHIIIEQTSVGRRKQIQGYLDSTRSIMRKSLEIKPLSNRKLNEILDYNINYLAYNLALLKN -AIEPPTYLKAMTLETCSIDIARNLRKLSWAPLLGGRNLEGLETPDPIEITAGALIVGSGYCEQCAAGDNR -FTWFFLPSGIEIGGDPRDNPPIRVPYIGSRTDERRVASMAYIRGASSSLKAVLRLAGVYIWAFGDTLENW -IDALDLSHTRVNITLEQLQSLTPLPTSANLTHRLDDGTTTLKFTPASSYTFSSFTHISNDEQYLTINDKT -ADSNIIYQQLMITGLGILETWNNPPINRTFEESTLHLHTGASCCVRPVDSCILSEALTVKPHITVPYSNK -FVFDEDPLSEYETAKLESLSFQAQLGNIDAVDMTGKLTLLSQFTARQIINAITGLDESVSLTNDAIVASD -YVSNWISECMYTKLDELFMYCGWELLLELSYQMYYLRVVGWSNIVDYSYMILRRIPGAALNNLASTLSHP -KLFRRAINLDIVAPLNAPHFASLDYIKMSVDAILWGCKRVINVLSNGGDLELVVTSEDSLILSDRSMNLI -ARKLTLLSLIHHNGLELPKIKGFSPDEKCFALTEFLRKVVNSGLSSIENLSNFMYNVENPRLAAFASNNY -YLTRKLLNSIRDTESGQVAVTSYYESLEYIDSLKLTPHVPGTSCIEDDSLCTNDYIIWIIESNANLEKYP -IPNSPEDDSNFHNFKLNAPSHHTLRPLGLSSTAWYKGISCCRYLERLKLPQGDHLYIAEGSGASMTIIEY -LFPGRKIYYNSLFSSGDNPPQRNYAPMPTQFIESVPYKLWQAHTDQYPEIFEDFIPLWNGNAAMTDIGMT -ACVEFIINRVGPRTCSLVHVDLESSASLNQQCLSKPIINAIITATTVLCPHGVLILKYSWLPFTRFSTLI -TFLWCYFERITVLRSTYSDPANHEVYLICILANNFAFQTVSQATGMAMTLTDQGFTLISPERINQYWDGH -LKQERIVAEAIDKVVLGENALFNSSDNELILKCGGTPNARNLIDIEPVATFIEFEQLICTMLTTHLKEII -DITRSGTQDYESLLLTPYNLGLLGKISTIVRLLTERILNHTIRNWLILPPSLRMIVKQDLEFGIFRITSI -LNSDRFLKLSPNRKYLIAQLTAGYIRKLIEGDCNIDLTRPIQKQIWKALGCVVYCHDPMDQRESTEFIDI -NINEEIDRGIDGEEI - ->YP_010087175.1 polymerase [Alston virus] -MAGTREILLPEVHLNSPIVKHKLYYYILLGNLPNEIDLEDLGPLHNQNWVQIANEESNLSQRLANVRNFL -VTHIPGLRKGHWQESVSVILWPRVLPLIPEFKIEDKLPLLKDWDKLVRESCAVINTGASQCIQDLSVGLT -GRPNLFTRSRELSGDKRIIDLKSVVNAWHDSDWKRISDFWIMIKFQMRQLIVRQTENNDPELITFIENRN -GILIITPELVVLFSTENCTLSYLTFEIVLMVSDMYEGRHNIISLCTVSTYLQPLRKRITYLLSLVDNLAF -QIGDSVYNVIALLESFVYAQLQMSDPIPELRGQFHAFVCSEIIDALRCTNHFTQDELRTITTNLISPFQG -LTPDLTAELLCIMRLWGHPMLTASQAAGKVRESMCAGKVLDFSTIMKTLAFFHTILINGYRRKHHGVWPP -LTLPDNASKGLTELMNDNTEISYEFTLKHWKEISLIKFKKCFDADAGEELSIFMKDKAISAPKQDWMSVF -RRSLIKQRHQQHQVPLPNSFNRRLLLNFLEDDKFDPNVELEYVTSGEYLQDDTFCASYSLKEKEIKPDGR -IFAKLTKKMRSCQVIAESLLANHAGKLMKENGVVMNQLSLTKSLLTMSQIGIISEKARKSTKDNINQPGF -QSMKKNRNQLPKVTNLRDPGDDFELAASFLTTDLKKYCLQWRYQTIIPFAQSLNRMYGFPHLFEWIHLRL -MRSTLYVGDPFNPPTDTSQFDLDKVVNGDIFIVSPRGGIEGLCQKAWTMISISVIILSATESGTRVMSMV -QGDNQAIAVTTRVPRSLPTLEKKTIAFRSCNLFFERLKQNNFGLGHHLKEQETIISSHFFVYSKRIFYQG -RILTQALKNASKLCLTADVLGECTQSSCSNLATTVMRLTENGVEKDICFFLNIYMTIKQLAYDIIFPQVS -VPGDQITTEYINNPHLVSRLALLPSQLGGLNYLSCSRLFNRNIGDPVVSAVADLKRLIKAGCMDYWVLYN -LLGRKPGNGSWATLAADPYSINIEYQYPPTTSLKRHTQQALMELSTNPMLRGIFSDNAQAEENSLARFLL -DREIVFPRVAHIIIEQTSVGRRKQIQGYLDSTRSIMRKSLEIKPLSNRKLNEILDYNINYLAYNLALLKN -AVEPPSYLKAMTIETCSIDIARSLRKLSWAPLLGGRNLEGLETPDPIEITTGALIVGSSYCEQCAAGDTR -FTWFFLPSGIEIGGDPRDNPPIRVPYIGSRTDERRVASMAYVRGASSSLKAVLRLAGVYIWAFGDTLENW -IDALDLSHTRVNISLEQLQSLTPLPTSANLTHRLDDGTTTLKFTPASSYTFSSFTHISNDEQYLTINDKT -ADSNIIYQQLMITGLGVLETWNNPPISRTFEESTLHLHTGTSCCVRPVDSCIISEALTVKPHITVPYSNK -FVFDEDPLSEYETAKLESLSFQAQLGNIDAIDMMGKLTLLAQFTARQIINSITGLDESVSLTNDAIVASD -YVSNWISECMYTKLDELFMYCGWELLLELSYQMYYLRVTGWSNIVDYSYMVLRRIPGAALNNIASTLSHP -KIFRRAINLDIVAPLNAPHFASLDYIKMSLDAIMWGCKRVINVLSNGGDLELVVTSEDSLILSDRAMNLI -ARKLTLLALVHHNGLELPKIKGFSPDEKCFTLTEFLQKVIHSGLSSIDNTSNFMYNVENPRLAAFASNNY -YLTRKLLNSIRDTESGQLAITSYYESLEYVDSLRLIPHIPGSSCVEDDSLCINDYIIWIIESNIDLEKYP -IPRSPEDNSNFHNFKLNAPAHHTLRPLGLSSTAWYKGISCCRYLERLKLPQGDHLYIAEGSGASMTIIEY -LFPGRKVYYNSLFSSGDNPPQRNYAPMPTQFIESVPYKLWQARTEQYPDIFEDFIPLWNGNAAMTDIGLT -SCVEFIINKVGPKTCSMVHVDLESSASLNQQCLSKPVINAIITATTVLCPRGILILKYSWLPFSRFSTLM -TFLWCYFERITVLGSTYSDPANHEVYLICVIANNFAFQTVSQATGMALTLTEQGFTLIAPEKINQYWDEH -LKQERVVAEAIDKVVLGENALFNSGDNELILKCGGSPNARNLIEIEPVSTFIELEQLVGTMLTTHFKEII -DITRSGTQDYESLLLTPYNLGLLGKISTIVRLLTERILNHTIRNWLILPHSIRAMVKQDLEFGIFRITSI -LSADRFLKLSPNRKYLVSLLTAGYIKRLIEGDCNIELTRPIQKQIWKAIGCVVYCQDPGEQYNPASFTDV -NIHEDIERGIDGEEL - ->NP_054714.1 large protein [Mumps orthorubulavirus] -MAGLNEILLPEVHLNSPIVRYKLFYYILHGQLPNDLEPDDLGPLANQNWKAIRAEESQVHARLKQIRVEL -IARIPSLRWTRSQREIAILIWPRILPILQAYDLRQSMQLPTVWEKLTQSTVNLISDGLERVVLHISNQLT -GKPNLFTRSRAGQDVKDYSIPSTRELSQIWFNNEWSGSVKTWLMIKYRMRQLITNQKTGELTDLVTIVDT -RSTLCIIAPELVALYSNEHKALTYLTFEMVLMVTDMLEGRLNVSSLCTASHYLSPLKKRIEILLTLVDDL -ALLMGDKVYGVVSSLESFVYAQLQYGDPVVDIKGTFYGFICNEILDLLTEDNIFTEEEANKVLLDLTSQF -DNLSPDLTAELLCIMRLWGHPTLTASQAASKVRESMCAPKVLDFQTIMKTLAFFHAILINGYRRSHNGIW -PPTTLHGNAPKSLIEMRHDNSELKYEYVLKNWKSISMLRIHKCFDASPDEDLSIFMKDKAISCPKQDWMG -VFRRSLIKQRYRDANRPLPQPFNRRLLLNFLEDDRFDPIKELEYVTSGEYLRDPEFCASYSLKEKEIKAT -GRIFAKMTKRMRSCQVIAESLLANHAGKLMRENGVVLDQLKLTKSLLTMNQIGIISEHSRRSTADNMTLA -HSGSNKHRINNSQFKKNKDSKHEMPDDGFEIAACFLTTDLTKYCLNWRYQVIIPFARTLNSMYGIPHLFE -WIHLRLMRSTLYVGDPFNPPSDPTQLDLDTALNDDIFIVSPRGGIEGLCQKLWTMISISTIILSATEANT -RVMSMVQGDNQAIAITTRVVRSLSHSEKKEQAYKASKLFFERLRANNHGIGHHLKEQETILSSDFFIYSK -RVFYKGRILTQALKNVSKMCLTADILGDCSQASCSNLATTVMRLTENGVEKDLCYFLNAFMTIRQLCYDL -VFPQTKSLSQDITNAYLNHPILISRLCLLPSQLGGLNFLSCSRLFNRNIGDPLVSAIADVKRLIKAGCLD -IWVLYNILGRRPGKGKWSTLAADPYTLNIDYLVPSKTFLKKHAQYTLMERSVNPMLRGVFSENAAEEEEE -LAQYLLDREVVMPRVAHVILAQSSCGRRKQIQGYLDSTRTIIRYSLEVRPLSAKKLNTVIEYNLLYLSYN -LEIIEKPNIVQPFLNAINVDTCSIDIARSLRKLSWATLLNGRPIEGLETPDPIELVHGCLIIGSDECEHC -SSGDDKFTWFFLPKGIRLDNDPASNPPIRVPYIGSKTDERRVASMAYIKGASVSLKSALRLAGVYIWAFG -DTEESWQDAYELASTRVNLTLEQLQSLTPLPTSANLVHRLDDGTTQLKFTPASSYAFSSFVHISNDCQVL -EIDDQVTDSNLIYQQVMITGLALIETWNNPPINFSVYETTLHLHTGSSCCIRPVESCVVNPPLLPVPFIN -VPQMNKFVYDPEPLSLLEMEKIEDIAYQTRIGGLDQIPLLEKIPLLAHLTAKQMVNSITGLDEATSIVND -AVVQADYTSNWISECCYTYIDSVFVYSGWALLLELSYQMYYLRIQGIQGILDYVYMTLRRIPGMAITGIS -STISHPRILRRCINLDVIAPINSPHIASLDYTKLSIDAVMWGTKQVLTNISQGIDYEIVVPSESQLTLSD -RVLNLVARKLSLLAIIWANYNYPPKVKGMSPEDKCQALTTHLLQTVEYVEHIQIEKTNIRRMIIEPKLTA -YPSNLFYLSRKLLNAIRDSEEGQFLIASYYNSFGYLEPILMESKIFNLSSSESASLTEFDFILNLELSEA -SLEKYSLPSLLMTAENMDNPFPQPPLHHVLRPLGLSSTSWYKTISVLNYISHMKISDGAHLYLAEGSGAS -MSLIETFLPGEIIWYNSLFNSGENPPQRNFAPLPTQFIESVPYRLIQAGIAAGSGVVQSFYPLWNGNSDI -TDLSTKTSVEYIIHKVGADTCALVHVDLEGVPGSMNSMLERAQVHALLITVTVLKPGGLLILKASWEPFN -RFSFLLTILWQFSSTIRILRSSYSDPNNHEVYIIATLAVDPTTSSFTTALNRARTLNEQGFSLIPPELVS -EYWRRRVEQGQIIQDCIDKVISECVRDQYLADNNIILQAGGTPSTRKWLDLPDYLSFNELQSEMARLITI -HLKEVIEILKGQSSDHDTLLFTSYNVGPLGKINTILRLIVERILMYTVRNWCILPTQTRLTLRQSIELGE -FRLRDVITPMEILKLSPNRKYLKSALNQSTFNHLMGETSDILLNRSYQKRIWKAIGCVIYCFGLLTPDVE -DSERIDIDNDIPDYDIHGDII - ->YP_009094073.2 L [Avian paramyxovirus penguin/Falkland Islands/324/2007] -MDQIQSDTIIQPEVHLDSPLIRNKLLLLWKLIGLPLPPELRSAVLTNLNSESNIHRDENKLKTKLIVIRN -HLLEHLKRRQLVCQHLTPVLHPKTLGWLLRIECESALTFQKEKNKVLRRVIEENTPRYEHLFSSISHKLT -NNSFLFNPVHTGKICQEDPLSVGARAILNDPVKATHTAWTNSRWSWLHLKQVMRYLIKQSRIHQRAETTK -CWSEAWGFIGITPDVVVIVDYGTLKFTLLTFEMVLMYADVAEGRDNVMVLSELSVSLNKLSSRLEDLFLL -VDSLASDIGERIYDLVATLESLAYAAVQLHDASEVQSGSFFAFNMREIRELLRECIDPRHVGRVCDLLTS -IYSGLSPDQSAELLCIMRLFGHPLLIADSAAKKVRESMCAPKMIEHDTVLQTLSFFKGIIINGYRRSHSG -VWPVIDVSSIHDDDLRQLYYESSEISHAFMLRKYKALALLEFKKSIDYDLYDDLSTFLKDKAICRPKSQW -TAVFRKSLLGREHKCHLLENQKSNRLLIDFLESSDFDPEEEFRYVTSLSYLSDNEFCASYSLKEKEIKTT -GRIFAKMTRKMRSCQVILESLLSQHVCKYFKENGVSMEQLSLTKSLLAMSQLSPRVAATKLGLKRATEKD -QAAVSMDYGNHNIELSHKGGLRKRKTVTAGFLTTDLQKYCLNWRYASIKLFAQALNQLFGISHGFEWIHL -RLMDSTLFVGDPYSPPSDMASTNLDDAPNDSIFIVSPRGGIEGLCQKMWTMISISIIHCVAEKVGTRVAA -MVQGDNQVIGITREVFQGETLEIIQPELDALCETYFVEFKKHNFGMGHNLKPNETIKSQSFFVYSKRVFW -EGRILSQLLKNATKLCMVADHLGENSVSSCSNLSSTIARLVENGLEKDVAVLLNQVYTMTQLLFDEHYSI -VCDYSNVKKLIGSQNYRNLQYATLLPGQVGGFNFLNLSRLFTRNIGDPVTSSLADIKCYIMAGLLPRHIL -RNIMLREPSDGGWVTLCADPYALNIPYTQLPTTYLKKHTQRSLLAHSTNPLLLGVQIQTQHEEEEALAQF -LLDRESVMPRVAHVVMESSILGKRKQIQGLIDTTPTIIKTALMSQPISRKRCDKILQYSINYISACHDSV -LSGECLTTEKRMIWDTSLLSEETCSVTLAEFLRASSWKNILGGRGVAGVTSPDTLELITGSLIGENSFCR -LCELGDKNFTWMHLPGPFKISAPYLTNTKMRVPYLGSKTEERRAASVATVKGMSHHLKAALRGASVYMWA -FGDIEVNWEQACIIANTRCNITMDQLKLLTPIPSSSNIQHRLTDGISVQKFTPASLSRVSSFVHICNDFQ -KLERDDTAVDSNLIYQQIMLIGLSILEALHPMEVEWVANNQTLHLHTGQSCCPREIDGSIVNEARQNLHP -ITVTSQNRFLFDNAPLPETASIELYMKNFRFTELNIDAITGYAAIDLLSKCAAQLVSDCILEEGIGSSVK -NDALITFDNSINWISEFLMCDIRQLSMYLGQEILNNLAYQLYYLRVIGQQNILLYIKQALERVPVIQFAN -LALTISHPEVWRRINMVGICSEASGPYVASVDFIAASRDLIEYGCKLYISGLLDNNEPSYVFFNVQDGDL -TPKMEQFLARRCCLLVLLSGTQVSLPIIREQNAIEKCATLTQFLVYLRDADKNVSDRVGNLLSISMAPKL -ESLMTNLYFSTRRVLSNLRESTSARSQIDYLYREDLDSLNTQIDDDNIFLEDPILQRGLFFSFDIDCDEI -GTHKFESVMTEYSSGPNCVAIKRQDTYIQHLLKTVGQCSTSWYKYAVLYASERKTAKSSGDSLYIGEGSG -SVMTLLEYLEPSHTIFYNSLFFNEMNPPQRNFGLLPLQFHNSIVMKNITSGAPCSLGFIQQFIPLWREID -QETNITDTAFLQLAMSMIKPHTLKRVNCDVEFDEGMPIERIIQGYTHVLMLAAYGLQEMSHLWVKVYRSS -EKVFQFVISSLLMIFGKVTIHRNAYMSPNHEEFVLACKTRSCLDYTSVPQIITRVKLLVDRDLTIVTPCQ -ALRIRQEWESLSSKVEKFSTLVVHRKFNLRMTKTDSLLLQLGGKIVTFGGIDIQSLLDLEMNDARKQIID -LIDTALLECKVIWSENDDVDLALMLGPFNINKHRRLYTVAQACTLAAIPFWIWSELQFRTSSVTYLATTV -LRGVFSWSTLMAQKEYEKTTKRPRFVKHVVTYTRLSRFFSEYSKIVLTRPESKRLLKLLGSLIKTL - ->YP_009553495.1 large polymerase protein [Avian metaavulavirus 20] -MDQTQADSIIQPEVHLNSPLVRNKLLLLWKLSGLPQPHEFTNLTLSNHATLGEIQSNESKIRYNLEQMTK -RVSDILRQRGDSSQEYQPILHPRVLKHLSQITCAAALSFCKDKNRILHSVFGSARSGYTHLFSAISHQLI -GNGNLFVDTQECPIIKNNVTSEGCNQLLNSITTAMTTQWSDTRWSWLHIKQVMRYLIKQARVSRQHSEIR -VWSENWGLIGVTPDIVAIFSYKDRWMTVLTFEMVLMYSDMMEGRDNVTLVACLSPALQPLVSRLDILFTL -VDTLAYQIGDKVYDFVAVLESMAYASVQLHDASKACAGEFFSFNLAELHETLSGVIDPKEVKRIVAIIRT -VYSGLSVDQGAELLCIMRLFGHPLLTAQQAAKKVRESMCAPKLVEIDSILQVLSFFKGIIINGFRRSHSG -LWPNVVHESIIDDDLRQLYHESAEIPHSFMLRKYKALSMLEFQKSIEFDLNDDLSTFLKDKAICRPKSQW -TAIFRKSLLPNKMQLLQNQDVRSNRLLIDFLESADFDPEMEFNYVRHMDYLQDDQFCASYSLKEKEIKTT -GRIFAKMTRKMRSCQVILESLLSKHICKFFKENGVSMEQLSLTKSLLAMSQLAVRVCPLHDHIATPYSSS -KSESSITKETIKPGLKIQEKFSSQRKKAVVATFLTTDLQKYCLNWRYSTIKLFALALNQIFGIEHGFEWI -HLRLMNSTLFVGDPFSPPEDSVMIDLDDMKNDDIFIVSPRGGIEGLCQKLWTMISISIIHCVAQKIGARV -AAMVQGDNQVIAITREIFPGDKLDNLESELDKLGDLFFSEFKLQNYALGHNLKPKETIQSQSFFVYSKRI -FWEGRILSQILKNAAKVCLVSDNLGENTVASCSNISSTITRMIENGLEKDTALVLNHAFVITQLLFDEHY -SIVCNYGTVKSLIGSQNWKNLHYATLVPGQVGGYGFLNLSRLFTRNIGDPVTCALSDLKWYIKSQLLPEF -VLKNVILREPGEGSWSTLCADPYSLNIPYIQLPTTYLKRHTQKSLLSNSSNPLLAGVQVQTQYQEEEALS -QFLLDRDYVMPRVAHVVMETSILGKRKQIQGLIDTTPTIIKTALMTNPVSRKKCDKIIEYSLCYLTSCHD -EVLTNHSHSNHATSIWDNALLSVETCSLVLSDYLRTMSWSNILNGRPITGVTSPDTLELISGSLIGENST -CRLCELGDDNMTWMHLKGPIYLPEPSRTRSTVRVPYLGSKTEERKTASMANIKGMSHHLKAALRGTSVMI -WAFGDSDDTWNQALILANTRCNLDITQLRLLTPIPSSSNIQHRLSDGISVQKFTPASLSRVSSFVQICND -NQKLERDGGNVDSNLIYQQIMLLGLSIMETLHPLHHTWILNDQTIHLHTGQSCCIREIDTSFANSARWQF -PDITVTMENPFLYDPTPVSTLDEPILIKKEFFYNELNIDAINYYDAIVLLSKCVAKLMSDCILEEGVTSS -VKNDALVSFDNSINWISEILYCDIHIFVQCLGQDILLTLAYQMYYLRITGRNNMLYYLRALLDRIPTVQL -ANMALTISHPEVFRRLLVAGVVKSVQGPYIATIDFIAICRDIIVSGAEEYLASLLAGVELSTVFFNSQDG -ELTPKMEQFLARRLCLLTLLTGSQIQMPVIRHLSAIEKCTVLTDFLSYLVKSDHKSYHTSSVLYPLSLNP -KIDSLISNLYFTTRRTLSNLRSNEQAKAQIAFMYTEESNLQTFTPEECESLKSDYVFSRGLFFTIIVTSD -LTGLHKFESIAYMEESPICSWDNKLNNHQPPIVHLLKTVGSSSTSWYKFSVIAACQEKSHVLSGDSLYIG -EGSGSVMTLFEFLDPGHKIYYNSLFFNEWNPPQRNFGPTPGQFLNSIVYKNILAGVPCKYGYVQEFCPMW -RDIDQESNVTDTSFLNFGLELIPAHTVKRVVCDIEFDFGMPIERMIQGYTHILILSAMVLKRHGVFLLKV -YRKSEKLFQFVLSCCIMLFGECKIHQNLYMSMQGGEVVIECKTREDINFMQCPNVISRVNAIISRSLTIV -HPDALTRLRTEQDTLLEKIAHFSSSVFKNRTTLHLSQLDSLLLQLGGQLPMVNGLDPRVLSSLDIHSVRT -QMIDLIDTAITECNVIYTESDAVDLALMLGPFNLNRLRKISTIAQACIKHCIPLWIAYELTSNHQSLTYI -TTQIGRGIFRFIDLMTPREFVSLSKRPRFIKKVYTLNRLNSFFEIHSKIILSRAEIKQLMKFSGALLKFK -LE - ->YP_009513219.1 large polymerase protein [Avian metaavulavirus 2] -MDQTQADTIIQPEVHLNSPLVRAKLVLLWKLTGLPLPSDLRSFVLTTHAADDQIAKNETRIKAKINSLID -NLIKHCKARQVALSGLTPVVHPTTLQWLLSITCERADHLAKVREKSVKQAMSEKQHGFRHLFSAVSHQLV -GNATLFCAQDSSTVNVDSPCSSGCERLIIDSIGALQTRWTRCRWAWLHIKQVMRYQVLQSRLHAHANSVS -TWSEAWGFIGITPDIVLIVDYKSKMFTILTFEMMLMYSDVIEGRDNVVAVGSMSPNLQPVVERIEVLFDV -VDTLARRIHDPIYDLVAALESMAYAAVQLHDASETHAGEFFSFNLTEIESTLAPLLDPGQVLSVMRTISY -CYSGLSPDQAAELLCVMRLFGHPLLSAQQAAKKVRESMCAPKLLEHDAILQTLSFFKGIIINGYRKSHSG -VWPAIDPDSIVDDDLRQLYYESAEISHAFMLKKYRYLSMIEFRKSIEFDLNDDLSTFLKDKAICRPKDQW -ARIFRKSLFPCKTNLGTSIDVKSNRLLIDFLESHDFNPEEEMKYVTTLAYLADNQFSASYSLKEKEIKTT -GRIFAKMTRKMRSCQVILESLLSSHVCKFFKENGVSMEQLSLTKSLLAMSQLAPRISSVRQATARRQDPG -LSHSNGCNHIVGDLGPHQQDRPARKSVVATFLTTDLQKYCLNWRYGSIKLFAQALNQLFGIEHGFEWIHL -RLMNSTLFVGDPFSPPESKVLSDLDDAPNSDIFIVSARGGIEGLCQKLWTMISISIIHCVAEKIGARVAA -MVQGDNQVIAITRELYKGETYTQIQPELDRLGNAFFAEFKRHNYAMGHNLKPKETIQSQSFFVYSKRIFW -EGRILSQALKNATKLCFIADHLGDNTVSSCSNLASTITRLVENGYEKDTAFILNIISAMTQLLIDEQYSL -QGDYSAVRKLIGSSNYRNLLVASLMPGQVGGYNFLNISRLFTRNIGDPVTCAIADLKWFIRSGLIPEFIL -KNILLRDPGDDMWSTLCADPYALNIPYTQLPTTYLKKHTQRALLSDSNNPLLAGVQLDNQYIEEEEFARF -LLDRESVMPRVAHTIMESSILGKRKNIQGLIDTTPTIIKTALMRQPISRRKCDKIVNYSINYLTECHDSL -LSCRTFEPRKEIIWESAMISVETCSVTIAEFLRATSWSNILNGRTISGVTSPDTIELLKGSLIGENAHCI -LCEQGDETFTWMHLAGPIYIPDPGVTASKMRVPYLGSKTEERRTASMATIKGMSHHLKAALRGASVMVWA -FGDTEESWEHACLVANTRCKINLPQLRLLTPTPSSSNIQHRLNDGISVQKFTPASLSRVASFVHICNDFQ -KLERDGSSVDSNLIYQQIMLTGLSIMETLHPMHVSWVYNNQTIHLHTGTSCCPREIETSIVNPARGEFPT -ITLTTNNQFLFDCNPIHDEALTKLSVSEFKFQELNIDSMQGYSAVNLLSRCVAKLIGECILEDGIGSSIK -NEAMISFDNSINWISEALNSDLRLVFLQLGQELLCDLAYQMYYLRVIGYHSIVAYLQNTLERIPVIQLAN -MALTISHPEVWRRVTVSGFNQGYRSPYLATVDFIAACRDIIVQGAQHYMADLLSGVECQYTFFNVQDGDL -TPKMEQFLARRMCLFVLLTGTIRPLPIIRSLNAIEKCAILTQFLYYLPSVDMAVADKARVLYQLSINPKI -DALVSNLYFTTRRLLSNIRGDSSSRAQIAFLYEEEVIVDVPASNQFDQYHRDPILRGGLFFSLSLKMERM -SLNRFAVQTLPTQGSNSQGSRQTLWRASPLAHCLKSVGQVSTSWYKYAVVGASVEKVQPTRSTSLYIGEG -SGSVMTLLEYLDPATIIFYNSLFSNSMNPPQRNFGLMPTQFQDSVVYKNISAGVDCKYGFKQVFQPLWRD -VDQETNVVETAFLNYVMEVVPVHSSKRVVCEVEFDRGMPDEIVITGYIHVLMVTAYSLHRGGRLIIKVYR -HSEAVFQFVLSAIVMMFGGLDIHRNSYMSTNKEEYIIIAAAPEALNYSSVPAILQRVKSVIDQQLTLISP -IDLERLRHETESLREKENNLVISLTRGKYQLRPTQTDMLLSYLGGRFITLFGQSARDLMATDVADLDARK -IALVDLLMVESNIILSESTDLDLALLLSPFNLDKGRKIVTLAKATTRQLLPVYIASEIMCNRQAFTHLTS -IIQRGVIRIENMLATTEFVRQSVRPQFIKEVITIAQVNHLFSDLSKLVLSRSEVKQALKFVGCCMKFRNA -SN - ->YP_009513199.1 large polymerase protein [Avian orthoavulavirus 1] -MAGSGPERAEHQIILPESHLSSPLVKHKLLYYWKLTGLPLPDECDFDHLIISRQWKRILESATPDTERMI -KLGRAVHQTLNHNSKITGVLHPRCLEELANIEVPDSTNKFRKIEKKIQIHNTRYGDLFTKLCTHVEKKLL -GSSRSNNVPRSEEFSSIRTDPAFWFHSKWSRAKFAWLHIKQVQRHLIVAARTRSAVNKLVTLSHKIGHVF -VTPELVIVTHTDENKFTCLTQELVLMYADMMEGRDMVNIISSTAAHLRNLSEKIDDILRLVDALAKDLGN -QVYDVVALMEGFAYGAVQLLEPSGTFAGDFFAFNLQELKDTLIELLPNNIAESVTHAIATVFSGLEQNQA -AEMLCLLRLWGHPLLESRSAARAVRSQTCAPKMVDFDMILQVLSFFKGTIINGYRKKNSGVWPRVKVDTI -YGNIIGQLHADSAEISHDVMLREYKSLSALEFEPCIDYDPVTNLSMFLKDKAIAHPSDNWLASFRRNLLS -EDQKKQIEEATSTNRLLIEFLESNDFDPYKEMEYLTTLEYLRDDSVAVSYSLKEKEVKVNGRIFAKLTKK -LRNCQVMAEGILADRIAPFFQGNGVIQDSISLTKSMLAMSQLSFNSNKKRIADCKERVSSNRNHDQKSKN -RRRVATFITTDLQKYCLNWRYQTVKLFAHTINQLMGLPHFFEWIHLRLMDTTMFVGDPFNPPSDPTDCDL -SRVPNDDIYIVSARGGIEGLCQKLWTMISIAAIQLAAARSHCRVACMVQGDNQVIAVTREVRSDDSPDMV -LTQLHQASDNFFKELIHVNHLIGHNLKDRETIRSDTFFIYSKRIFKDGAILSQVLKNSSKLVLISGDLSE -NTVMSCANIASTVARLCENGLPKDFCYYLNYLMSCVQTYFDSEFSITHSSQSDSNQSWIEDISFVHSYVL -TPAQLGGLSNLQYSRLYTRNIGDPGTTAFAEVKRLEAVGLLSPSIMTNILTRPPGNGDWASLCNDPYSFN -FETVASPSIVLKKHTQKVLFETCSNPLLSGVHTEDNEAEEKALAEFLLNQEVIHPRVAHAIMEASSVGRR -KQIQGLVDTTNTVIKIALTRRPLGVKRLMRIINYSSMHAMLFRDDIFLSNRSNHPLVSSNMCSLTLADYA -RNRSWSPLTGGRKILGVSNPDTIELVEGEILSVSGGCTKCDSGDEQFTWFHLPSNIELTDDTSKNPPMRV -PYLGSKTQERRAASLAKIAHMSPHVKAALRASSVLIWAYGDNEVNWTAALNVARSRCNISSEYLRLLSPL -PTAGNLQHRLDDGITQMTFTPASLYRVSPYVHISNDSQRLFTEEGVKEGNVVYQQIMLLGLSLIESLFPM -TTTRTYDEITLHLHSKFSCCIREAPVAVPFELLGLAPELRMVTSNKFMYDPSPISERDFARLDLAIFKSY -ELNLESYSTLELMNILSISSGKLIGQSVVSYDEDTSIKNDATIVYDNTRNWISEAQNSDVVRLFEYAALE -VLLDCAYQLYYLRVRGLNNIVLYMNDLYKNMPGILLSNIAATISHPIIHSRLNAVGLINHDGSHQLADID -FVEVSAKLLVSCTRRVVSGLYAGNKYDLLFPSVLDDNLNEKMLQLISRLCCLYTVLFATTREIPKIRGLS -AEEKCSILTEYLLSDAVKPLLRPEQVSSIMSPNIITFPANLYYMSRKSLNLIREREDRDTILSLLFPQEP -LLELRPVRDIGARVKDPFTRQPASFIQELDLSAPARYDAFTLSKVCFEHTLLNPKEDYLVRYLFRGIGTA -SSSWYKASHLLSVPEVRCARHGNSLYLAEGSGATMSLLELHIPHETIYYNTLFSNEMNPPQRHFGPTPTQ -FLNSVVYRNLQAEVPCKDGYVQEFCPLWRENAEESDLTSDKAVGYITSVVPYRSVSLLHCDIEIPPGSNQ -SLLDQLATNLSLIAMHSVREGGVVIIKVLYAMGYYFHLLMNLFTPCSTKGYILSNGYACRGDMECYLIFV -MGYLGGPTFVHEVVRMAKTLIQRHGTLLSKSDEITLTKLFTSQQRRVTDILSSPLPKLMKLLRENIDAAL -IEAGGQPVRPFCAESLVSTLIDMTQTTQIIASHIDTVIRSVIYMEAEGDLADTVFLFTPYNLSTDGKKRT -SLKQCTKQILEVTILGLRAKDINKVGDVISLVLRGAVSLEDLIPLRTYLKRSTCPKYLKAVLGITKLKEM -FTDTSLLYLTRAQQKFYMKTIGNAAKGYYSNNDS - ->YP_009512978.1 RNA polymerase [Teviot virus] -MACPEQVILPEVHLDSPIVKNKLYYYLKVGGFPLPDEEFCDTLFPNISWEQVRREESRLSARLLKVRNLA -MSRLEPKKRKLQYQNKSESPSVVLWPEIIPELRGYVLASCLSRFADAEKCLISALSPLVTGTQDLLNQMG -SKISSKTFLVTHNSSACKHHDNHSRPQSALDFCHIYDSSEYRDSFYTWFLIRHQMRGLILKTQTNLSLDL -IVVLDERKFCFIVTPELVTIVDPCSNRLSFFTFEMTLMVTDMLEGRMNILAISSASCYLQPLRTNLSYLF -EIVDHLCAILGQNVYSVIANLESMVYAILQLSDPVVELKGEFLSFINSEIYAILSDSGEFNEEEATSVID -KLNSCFLHLPTDLTAELLCIMRLWGHPMLSSATAARKVRESMCAPKVIDLTTNLKTLAFFNGIIINGYRR -RHDGIWPNCKLPPFASVSLQELKHDNSELSYQYILNHWKELAMLEFEKSIEADPGEDLSIFMKDKAISAP -RKEWLSVFRKSLIKQQCEKNKIPLPQPYNRRLLLNFLSDETFDPEKELEYVTSGAYLDDDTFCASYSLKE -KEIKETGRIFAKLTKNMRSCQVIAESLLASHAGKFFKENGVVLDQISITKTLLTMSQIGLISKHARRTTR -NNVTVVTKSERLKPGKSAHTRNSSSPQSQGPDETTEIAACFLTTDLSKYCLNWRYQSIVMFAKSMNQLYG -YNHLFEWIHQRLMRSTLYVGDPFNPPRNLDETDLDLVENGDIFIVSPRGGIEGLCQKLWTMISIAVIVLS -ATESGCRVMSLVQGDNQAMAITTMVPRSLPHQEKKRIAYENSQLFIRRLRENNFGMGHHLKEQETIVSSE -FLIYSKRIIYNGRILNQSLKNVSKLCLIADILGESTQTSCSNLSTTIMRLTENGVEKDICFFLNQYLTAK -QLIFDLLFPLTKLFEDKTTSTYLDHPILISRIITIPAQLGGLNYYALTRLFNRNIGDPLTSAIADLKRYI -RSNMIPKWVLKNLIARESGDGDWNTLASDPYALNINYLYPPTTFLKKHAQKVLMEGSVNPMLNGVFSENN -LPEENSLAQFLLDRDVVMPRVAHIILEQSVCGRKKQIQGYLDTTRTIIKYAINKQPVGNAKLCKILDYNI -AFLSYNLDYLSAPNTSVIVSMSSSSLLKLCSIDLAKLARRLSWRSLLGGRPLDGLETPDPIELVNGSLLT -KGFSCSHCLSGDKKYTWFFMPSGVDINADPSDNPPLRVPYIGSRTDERRVASMSYIKGSSSALKSALRLC -GVYIWAFGDTEKNWQDASQLANTRASLTTEQLHILTPLPTTANLTHRLDDGLTQQKFTPASSYMYSSYVH -ISNDDQNLEIQDKTVDSNLIYQQIMLLGLGILETWLQLPNEINMEDITLHLHTGCSCCIKQVDACIINEA -EVQLPILSVPQYNKFIYDPNPLTEQDLIDVDRLQFEAKISGIETIPDCDKIRTLGHLTGIQLARSLTGLD -EVTSLMNDAIIEADYATNWISECLNTKLDDTFIYAAWNLLLDMSYQLYYLRILGQNAILDYLSVVLSRIP -GLALSGIASTISHPKILRRLINLEIIRPYNSPYLATLNYTKLTCDALMWGARHVLNNLRSGFDIEIIVPS -ECSSELSNRVLNLVARKLSLLCCLIASHHELPHVRGMSPEQKCGLLTEYLLYKSQNLQYAGFDLRLWERS -VKEPKISAFPCNIYYLTRKVLNYIRDSPYLQQQLVDYYEARYALYSDSSLSSKESGQKTPTDLATLCDLD -WAIPLFTQDDKIDTFHTPLTDDTVPIKSSIIADPPVHHVLRPIGLSSTSWYKGLSVVSLLETYPLLDGNH -LYLAEGSGAMMTIIESRFRGAKAYYNSLFSSGQNPPQRNFQPLPTQFIESIVYQNICHEEDQLDVEEKFF -VPLWSGVSEQTDLRCASCVNFMLERIPSRSVSLLNVDLEDISSMHDCELSRATMNILLLSDILIMPGGIL -IFKTYLSPFAKLSTLCKLLQITFSRCTLLRSAYSDPSSDEIFLIAVKSDNPSLTNYGEELISQFQREDVN -FTNIDPKTISAVIEKLVFQQQRVKDIIVNQICSGRCDLNLDDHIRLSQLGTCSQTPKILDLTAASDFNEF -LYRVVQLLTMFIKEFISIHEDLSSDRNSLLFSSYNLSYIGKLSTNNQTVTAHMLDLTVRNWPVLPASVNN -QVRNDLELGLFQCRSVIPWDVFLDLTPIRKHILNRMNHQVVKDLFTHHLIVQLDRAEQKRVWKAVGSVIL -TNTLDSDTPEDFSLLFDDRENLDFDIFGDEI - ->YP_009512971.1 large polymerase protein [Menangle virus] -MACPEQIILPEVHLDSPIVKNKLLYYIKVGLLPGYHDTIWNSVFPDTDWKRVRAEESRLCDRLTRVKKIL -TKSTLCHAHRSNGSLSQMVLRAVEWPAIIPELKSYCIPKYLNRFSEANRVLTAVLSSLVSGTETLLQNVS -LKLCGRPDLLNHNEQYCRNHHAHTRPKSALELSHLYQSNQYTTLFNTWFIIRHQMRSLIMKVKNNITTDL -LVHIDDRNYGYIITPEIVVLYDRENGIVSYFTFEMVLMVTDMLEGRMNILVIADSSCYLHPLVPRLSYLF -ELVDNLCPILKQNVYSLISNLESLSYAILQLSDPVPELRGEFLSFINGEIIAILLASDSFTLEECEVVLK -KILDCYTGLKPDLVAEMLCVMRLWGHPMLSSATAARKVRESMCAPKVIDLTTNLKTLAFFNGIIINGYRR -KHNGMWPNCKLPPFSSVSIQELKHDNMELSYQYILSHWKEVAMIEFEKSIDADPGDDLSIFMKDKAISAP -KKEWMSVFRKVFTKQICEKCHEPIPNAFNRRLLLNFLADETFDPAVELQYVTTGAYLDDEEFCASYSLKE -KEIKETGRIFAKLTKKMRSCQVIAESLLASHAGKFFKENGVVLDQISITKTLLTMSQIGLISKNSRRNVR -DNVNIMTPANSNTETGSTRTRDPFHSREKKPDEITELAACFLTTDLSKYCLNWRYQSIIMFATNLNKLYG -YDHLFEWIHLRLMRSTLYVGDPFNPPKNITTTDLDEMENGDIFIVSPRGGIEGLCQKMWTMISIAVIVLS -ATEAKTRVMSLVQGDNQAMAITTLVPRSLPHSTKKTIAYQNSMEFVNRLRLNNFGMGHHLKEQETIISSE -FIVYSKRIIYNGRILNQALKNVSKLCLIADVMGESTQTSCSNLSTTIMRLTENGVEKDVCFFLNQYLTAK -QLVYDLLFPMTKLCEDETTECYLNNPILISRIITIPAQLGGLNFYALTRLFNRNIGDPLTSAIADVKRYV -LAKMLPKWVLKNLLSRSPGDGDWNTLASDPYALNINYLYPPTTFLKKHTQRVLMEGSVNPMLRGIFGDSN -LLEENNLSRFLLDREVVMPRVAHIIMEQSVCGRKKQIQGYLDTTRTIIRYALSKQPLGFHKLNKILDYNT -LFLSYNLDSILKPAVNTQIKLTTSLLIQTCSIDLAKMVRRLSWSSLLGGRQLDGLETPDPIELLYGSMIT -SGQICDHCMAGDNKYTWLFIPAGIDIAADPADNPPIRVPYIGSRTDERRVASMSYVKGSSNSLKSALRLC -GVYIWAFGDTDQNWQDAVELAQTRTEITEDQMRVLTPLPTTANLTHRLDDGLTQQKFTPASSYMFSSFVH -ISNDSQNLEVMDKTLDSNLIYQQIMLLGLGIIETWLQLPNELNREDITIHLHTASSCCIKPVDPCIINEA -LIPIPHLSVPKFNKFVFDAVPLQDIDKITIENIQFEADISGIDTIPQADRLKVFSHLVGIQLSRTLTGLD -EITSLVNDAVVEADYSANWISECLNARLDEVFIFVAWNFLLDLSYQLYYLRILGYNSILDYLSVTLSRIP -GLALSGISSTISHPKILRRMINLEIIRPYNSPYLATLNYTRMSCDAILWGARHVLSMLMSGIDIEIIIPS -ESSAEIGDRILNLVARKLSLIACLFANHHDLPIVRGMTADQKCLALTNFLVTYIQTSAGELSGETNLLKA -IHEPKLTAFPCNLFYLSRKLLNYIRDSQWVQQQILNYYDSKGFVEHHDSLLNVNNEVDNTAKIATLTVLD -WAVEIFNLSFPQKKNHTPLLEEEGFPSLRESAAPPTHHILRPVGLSSTSWYKGLSVVAFLRKLPIPEGGH -LYLAEGSGSMMTVIESHFPAQRIYYNSLFSSGQNPPQRNFQPMPTQFVESIVYQNIQDSESVSDDQIFYP -LWSGDGCQTDLTKTECINFILSKIMSYSLSLINIDFEDTRSLMSSEVHKAHINTLILAGMLLKPNGILIV -KTYLTPFCRFNHLIRMTQHIFGFCHALRSSYSDPNSDEIFLILRRSDNILSPLSSDDLAAFYVNKTEQST -ILTTAIMTYINENLQSQQKRVSQIIHDQILYGRCDINVDDHINLSRLGSRSQTAKILDIEKSANYNIFLD -RITQLITTFFKELISLYEDTQTDRQSIIYSAYNVSTQGKISTGIDVMTKQTLDISIRNWCLMPRSSIIHL -VNDLELGIYKISSILPVNLFMDTTPIRKHLIKKLGYSHIQQMYDTNFMIFLDRPTQKRIWKSVGSVILEY -TLNEDTPLDGDILFPDQDDIELDIFGDEI - ->YP_009512956.1 large polymerase protein [Avian metaavulavirus 8] -MDIKQVDLIIQPEVHLDSPIILNKLALLWRLSGLPMPADLRQKSVVMHIPDHILEKSEYRIKHRLGKIKS -DITHYCQYFNINLANLDPITHPKSLYWLSRLTIASAGTFRHMKDRILCTVGSEFGHKIQDLFSLLSHKLV -GNGDLFNQSLSGTRLTASPLSPLCDQFVSDIKSAVTTPWSEARWSWLHIKQTMRYLIKQSCTTNSAHLTE -IIKEEWGLVGITPDLVILFDRVNNSLTALTFEMVLMYSDVLESRDNIVLVGRLSTFLQPVVSRLEVLFDL -VDSLAKILGDTIYEIIAVLESLSYGSVQLHDASHSHAGSFFSFNMNELDNTLSKRVDPKHKNTIMSIIRQ -CFSNLDVDQAAEMLCLMRLFGHPMLTAPDAAAKVRKAMCAPKLVEHDTILQTLSFFKGIIINGYRRSHSG -LWPNVEPSSIYDDDLRQLYLESAEISHHFMLKNYKSLSMIEFKKSIDYDLHDDLSTFLKDRAICRPKSQW -DVIFRKSLRRSHTQSQYLDEIKSNRLLIDFLDSAEFDPEKEFAYVTTMDYLHDNEFCASYSLKEKEIKTT -GRIFAKMTRNMRSCQVILESLLSKHICKFFKENGVSMEQLSLTKSLLAMSQLSPKVSTLQDTASRHVGNS -KSQIATSNPSRHHSTANQMSLSNRKTVVATFLTTDLEKYCLQWRYSTIKLFAQALNQLFGIDHGFEWIHL -RLMNSTLFVGDPYSPPEDPTLEDIDKAPNDDIFIVSPRGGIEGLCQKMWTMISISAIHCVAEKIGARVAA -MVQGDNQVIAITKELFRGEKACDVRDELDELGQVFFDEFKRHNYAIGHNLKLNETIQSQSFFVYSKRIFF -EGRLLSQVLKNAAKLCMVADHLGENTVSSCSNLSSTIARLVENGFEKDTAFVLNLVYIMTQILFDEHYSI -VCDHHSVKSLIGSKNHRNLLYSSLIPGQLGGFNFLNISRLFTRNIGDPVTCSLSDLKCFIAAGLLPPYVL -KNVVLREPGPGTWLTLCSDPYTLNIPYTQLPTTYLKKHTQRSLLSRAVNPLLAGVQVPNQHEEEEVLARF -LLDREYVMPRVAHVILETSVLGKRKQIQGLIDTTPTIIRTSLVNLPVSRKKCEKIINYSLNYIAECHDSL -LSQVCFSDNKEYLWSTSLISVETCSVTIADYLRAVSWSNILGGRNISGVTTPDTIELIQGCLIGENSSCT -LCESHDDAFTWMHLPGPLYIPEPSVTNSKMRVPYLGSKTEERKTASMAAIKGMSHHLRAVLRGTSVFIWA -FGDTDINWDNALQIAQSRCNITLDQMRLLTPIPSSSNIQHRLDDGISTQKFTPASLARITSFVHICNDSQ -RLEKDGSSVDSNLIYQQIMLLGLSIFETMYSMDQKWVFNNHTLHLHTGHSCCPRELDISLVNPPRHQTPE -LTSTTTNPFLYDQLPLNQENLTTLEIKTFKFNELNIDGLDFGEGIQLLSRCTARLMAECILEEGIGSSVK -NEAIVNFDNSVNWISECLMCDIRSLCVNLGQEILCSLAYQMYYLRIRGRRAILNYLDTTLQRIPVIQLAN -IALTISHPEIFRRIVNTGIHNQIKGPYVATTDFIAASRDIILSGAREYLSYLSSGQEDCYTFFNCQDGDL -TPKMEQYLARRACLLTLLYNTGHQIPVIRSLTPIEKCKVLTEYNQQIEYADQEFSSVLKVVNALLQNPKI -DALVSNLYFTTRRVLSNLRSCDKARSYIEYLYTEDFGEKEDTVQYDIMTTNDIILTHGLFTQIEISYQGN -SLHKFLTPDNAPGSLIPFSISPNSLACDPLHHLLKSVGTSSTSWYKYAIAYAVSEKRSARLGGSLYIGEG -SGSVMTLLEYLEPSVDIFYNSLFSNGMNPPQRNYGLMPLQFVNSVVYKNLTAKSECKLGFVQQFKPLWRD -IDIETNVTDPSFVNFALNEIPMQSLKRVNCVVEFDRGMPIERVIQGYTHILLVATYGLQQDSILWVKVYR -TSEKVFQFLLSAMIMIFGYVKIHRNGYMSTKEEEYILMSDCKEPVNYTAVPNILTRVSDLVSKNLSLIHP -EDLRKVRCETDSLNLKCNHIYEKIIARKIPLQVSSTDSLLLQLGGVINSVGSTDPREVATLSSIECMDYV -VSSIDLAILEANIVISESAGLDLALMLGPFNLNKLKKIDTILKSSTYQLIPYWLRYEYSINPRSLSFLIT -KLQQCRISWSDMITISEFRKKSKRPIFIKRVIGNQQLKSFFNESSSIVLTRAEVKVCIKFLGAIIKLK - ->YP_009512950.1 large polymerase protein [Avian metaavulavirus 6] -MDPQVQIHHIIKPECHLNSPVVEKKLTLLWKLTGLPLPPDLNSCVTHKDVTWDEVLRLEANLTKELRQLV -RGLTNRMHERGEFIDSYKPLCHPRTLSWLTNISLIKSDNILAGHKKMLVRIGGMLHEQTDQLFVTLGRKL -AGDPCLFHQLGHLAGCPPNSRFEEQVGGCSLWSPISDPALVTGGEYANCVYAWYLIRQTMRYMALQRKQT -RVQSQQNVLIGSDTIVGIHPELVIITGIRDRTFTCLTFDMVLMYADVVEGRAMTKLVALTEPTMVEVIQR -VEKLWFLVDSIFEEIGGAGYNIVASLESLAYGAVQLWDKSLEHAGEFFSFNLTEIRSELENHLDPGMAFR -VVEQVRLLYTGLSVNQAGEMLCILRHWGHPLLCAVKAAKKVRESMCAPKLTSLDTTLKVLAFFIADIING -HRRSHSGLWPSVRQESLVSPLLQNLYRESAELQYAIVLKHYREVSLIEFQKSIDFDLVEDLSVFLKDKAI -CRPKSNWLAVFRKSLLPGHLKDKLQSEGPSNRLLLDFLQSSEFDPAKEFEYVTSLEYLQDPEFCASYSLK -EREVKTDGRIFAKMTRKMRNCQVLLESLLACHICDYFKENGVVQEQISLTKSLLAMSQLAPRVSEYQGRV -LRSTDRCSRATATPGQDTSPGEGVRRRKTIIASFLTTDLQKYCLNWRYTVVKPFAQRLNQLFGIPHGFEW -IHLRLMNTTMFVGDPHNVPQFSSTHDLESQENDGIFIVSPRGGIEGLCQKMWTMISIAAIHLAATESGCR -VASMVQGDNQAIAITTEIEEGEDASVASIRLKEISERFFRVFREINRGIGHNLKVQETIHSESFFVYSKR -IFFEGKILSQLLKNASRLVLVSETVGENCVGNCSNISSTVARLIENGLDKRVAWGLNIMMIVKQILFDID -FSLEPEPSQGLIHAIRQDPNNIKNISITPAQLGGLNFLALSRLFTRNIGDPVSSAMADMKFYIQVGLLSP -HLLRNAIFREPGDGTWTTLCADPYSLNQPYVQLPTSYLKKHTQRMLLTASTNPLLQGTRVENQYIEEERL -AKFLLDRELVMPRVAHTVFETTVAGRRKHLQGLIDTTPTIIKYALHHHPISFKKSMLISSYSADYIMSFI -DTIATVEYPKRDTMKLWNRGLIGVDTCAVTLADYARTYSWWEILKGRSIKGVTTPDTLELCSGSLIEQGH -PCAQCTMGDESFSWFFLPGNIDIERPDFSRVAQRIAYVGSKTEERRAASLTTIKGMSTHLRAALRGASVY -IWAYGDSDKNWDDATKLANTRCVISEDHLRALCPIPSSANIQHRLMDGISVTKFTPASLARVSSYIHISN -DRHQSRIDGQVIESNVIFQQVMLLGLGIFETFHPLSHRFVTNPMTLHLHTGYSCCIREADNGDFLESPAS -VPDMTITTGNKFLFDPMPIQDDDAAKLQVSSFKYCEMGLEVLDPPGLVTLLSLVTARISIDTSIGESAYN -SIHNDAIVSFDNSINWISEYTYCDLRLLAVAMAREFCDNLSYQLYYLRVKGRRAIRDYIRQALSRIPGLQ -LANIALTISHPGIWARLRLIGAVSAGNSPISATVNYPAAVCELILWGYDQYTAQLLDGYELEIIVPNYKD -DDLNRKVEHILARRACLLSLLCEYPGKYPNIKDLEPIEKCTALSDLNKLWMATDHRTRECFSGISQIFDS -PKLNPFITNLYFLSRKLLNAIRSSTDCRAYVENLYEDIDIELTSLTEVVPLGEDDQMITGPLRFDLELKE -LTPDFTITWCCFDSTAALMSRCINHATEGAERYIRRTVGTASTSWYKAAGILTTPGFLNLPKGNGLYLAE -SSGAIMTVMEHLVCSNKIWYNTLFSNELNPPQRNFGPNPIQFEESIVGKHIAAGIPCKAGHVQEFEVLWR -EVDEETDLTSMRCVNFIMSKVEQHSCHIVCCDLELAMGTPLEVAQSAYTHIITLALHCLMISGKLVLKLY -FSQNALLHHVLSLLLVLPFHVTIHTNGYCSHRGSEGYIIATRTGVALGSNVSQVLGSVTEMVRKGQTLVP -VKVLTAISNGFKTVSSSLGRLRGELYSPSCSIPQSATDMFLIQLGGKVQSDWNTNSRGYRVGDTELVLQD -IISILSTLLKEIIHVRESRESVDRVLLLGAYNLQVSGKVRTMAAAATRNILHLHIVRLIGDSMSNIRRLV -PLLDKGFIVISDMYSVKDFLRKTESPKYFLNKLGKSEIAQIFEVESKIILSRAEIKNILKMIGIVAKQHS -E - ->YP_009508522.1 L [Antarctic penguin virus C] -MGALRKNYGQKLGLRRDMSTKTQILLPDSHLSSPLILHKLLYYWRLSGLPLPLEHEHDDLIATRNWYKIA -SSNEDTIQRCIATGKLAHQYLNHHKPIIPICHPRTLPWLTHLQSTDVRKKFFRIADLIRTAVQRNKGKFI -ELTLQVQERLGRRRAHEHHSTHSNLTAQTSQEFNSLCKQPDIWFTGLWSEAKMIWLQIKQMNRFMVLSAR -SGTLRHNCVTLNLDKGVVIISTDLIVIINQVNNTFTCLYPEMVLMYSDMLEGRSATAMFTQMIEFLEPLH -DRIQDLLQLVDSVFEQLGNPGYEIVALLESMAYASVQLHEPTSEYVGQFFKFNLGELYDILVNKMGYDTT -CKLISIISRIYSGLTEDQGAEMLCMLRHWGHPLLSARQAAGKVRESMCTPKVLDSTTIFQVLSFFNCMII -NGYRKANSGLWPKISPGSIISDTVRQLYVDSAEIPYSIMLSHYKELAQIDFLPSITPDPVSDLSMFLKDK -AIARPKEQWLSSFRQNLLEQDLLRSRHCIPGSNRLLVEFLESSDFDPYEEMQYLNSLEYLRDDQVSVSYS -LKEKEVKVDGRIFAKLTKKLRNCQVMAEGMLAAEVAPFFKGNGVIQDQISLTKTMLTMSQLSLNCNRGLL -HSSRERIGLNRRNRATTGKKARVATFLTTDLQKYCTNWRYQSIKLFARTLNRLFGFNHFFEWIHLRLMNL -TMYVGDPFNPPTACNGPDLDDQQNEDIFIISARGGIEGLCQKLWSMISIAAINLAATKANCRVACMVQGD -NQVIAVTKEVTDSTTWEQAADELHQISDLFFDELIKVNHGLGHNLKLRETIRSDTLFVYSKRIFKDGRIL -SQMLKNASKLVLISGDLSENIPSSCGNISSTITRICENGAPKDFCYLLNYIMCLTEVLFDCNFSIISRTE -PESYRQLNNNLSLLSAYVLTPTQVGGLNNLQYSRLYARNIGDPATAAFADLRRLITVGLVPERVLNAIIN -RKPGDGTWLTLCSDPYALNLPLSGDPGIILKKHTQRVLFETCSNPLLAGVYSDDHDSEEMRLARSLLDQP -LVHPRVAHAILECSSIGRRKQIQGLVDTTTTIIKIAIDRKPLSLRKLQKIVNYSSLHVQYFIDELWSQDH -PRNPLVNVETCSLTLSAYCRTQSWRNLLGGRPIQGVGCPDVLEMLHGHILSLAGACQACNSGDTQFTWLH -LPSGVDLSNNSESNPALRIPYLGSKTQERRTASLARIASMSPHIKAALRGSSLVVWAFGESEHNWEYAHR -IALSRCEISMEHLKLLTPMPTSGNLQHRLDDGITQTVFTPASLYRVAPYVHISNDSQRLFEENSAKESNI -VYQQVMLTGLGFIESLFPLGTNSTSEELTLHLHTGSSCCIREVDLAEPFDLQGGVPEISAVKHNRFMFDP -DPFELKERTTLDIKVFKSYEMNLESYSTFDLMTVLASSTGKMIGQSIVSYDSDTSIKNDAIILYDNSRNW -ISEGQNCDIVQLLEYAALEIMLDCAYQAYYLRIAGVQALLMYMNDLLSNVPGLLLGNIAATISHPIILDR -LYLVGLVDYRQVPQLANLDFIALASEVLIKCLKRVLLSLSSGKAYDLLFPSTIEDNLDERMFNLLARYNC -LLCLIFSSQKELPIIRGLNAEEKCRTINNHLNLMKTVENLSPAQLTLINEPQVVTFPTNLYYMSRKSLNI -IRDRPDRRAILDCIFPTPDLSDLQLCIPTWPQKDDPFLDGAMLIRRDLTPNVEIRKLESLESTGGGESQQ -VVCLNDSMLRYLFRGVGISSTSWYKASSLLSEPEVRLTRGGNALYLAEGSGSVMSLIEHYLPHKRIFYNS -LFSNTQNPPQRHFGPTPTQFLESVPFKNIQAQIACSEGYVQEFEVLWRECQPETDLTRDACVNFICQRVA -PLSIQFLHCDLELGNDVSWEVTRTALVNVFSILSQCVCPKGVSVLKTRFSRVREFSFLHSLLWTSSHTIR -IISNGYAARGDLECYIVAVKGDQTYNPPVQDVVCKVSQLDANNLTLLSRHDERELMKDFITQIRACNHIY -QSPMSLIIKCLLKQSDQALFAVGGQPTRPLTADLRAGGSAVSFLALATSHLETTLKSVVYFRDEQNLVDT -VFLLTPYNLKTQGKIRTLLQQVTRQLFEIKLISISADQLQDVQQVLSIILKSTICINDLITVKTFIHESK -IRRYLVRRLGKSGISNCFHDQSKIVLTRAEQKYYLKILGNAIKGYYA - ->YP_009508516.1 L [Antarctic penguin virus B] -MPRRCAMANKTQIILPDSHLSSPLVLHKLLYYWKLTGLPLPEEYENDDLILTRSWGAIRNQNSDPILRCV -QTGAAAQQYLNHKTRIRAVCHPRTLSWLTRINSPAVARKFQKIADNIRDCVSARGKNLRELVLAVQQKLG -RNKPSTASETQSNLTSQTSPEFEYLINHPDIWFTDEWANAKMTWLQLKQMTRFMLLSSRNGTLRHPFSTL -DLTDGVALVSPDVTIIISQTENKFTCLHNELILAYSDMLEGRSAVSTTSRTLTFLQPLHDRISDLLHLID -QLFEMIGNPGYEVVALLESLAYASVQLLEPTDEYAGDFLKFNLSELHDIMIKHLDSGTTQRLLTAIANIY -SGLTEDQGAELLCMLRLWSHPLLSARAAANKVRKTMCAPKLVDLDTICQVLSFFNCTIINGYRRANSGLW -PKIDPRSILSDTVRQLYVDSAEIPHSIMLSHYKELSQLDFMPTIVPDPVSDLSMFLKDKAIAKPRPQWLS -SFKQALLPKEVHRHVTSVPGSNRLLIDFLESNDFDPYKEMDYLNSMAYLSDDDVSISYSLKEKEVKVDGR -IFAKLTKKLRNCQVMAEGILAKEIAPFFKGNGVVQDQISLTKTMLTMSQLSLNCNRHLLTQRGENIASSR -ENRMRHRTKTRMAVFLTTDLEKYCTNWRYQVIKPFARSLNRLLGFDHFFEWIHLRLADLTMYVGDPFNPP -VDVATGDINDQPNDDIFIVSARGGIEGLCQKLWTMISISAINLAAARSNCRVACMVQGDNQVLAVTKEIN -ADHTWDQATEELHQVSNIFFRELIAVNHGIGHNLKLRETVRSETFFVYSKRIFKDGRILSQILKNASKLV -LISGDLSENIPASCGNISSTITRICENGAPKDYCFLLNYIMTLLEVQFECMFSVVGRHEPASYKELLGNL -NLMSAYVLTPTQVGGLNNLQYSRLYARNIGDPVTAAFADLRRLISSGLIPARILYSILAREPGDGTWLTL -CSDPYALNQPLCGDPGVLLKRHTQRVLFETCSNPLLAGVYSEDGDSEEMTLAQALLDQRMVHPRVAHAVM -ECTSIGRRKQIQGLIDTTNTIIKIALDRKPLSLRKLTKIVNYSGLHMEYFIKEIWNHRTPRDPYVNEETC -SLTLANYCRTRSWSNLLAGRNIQGVTSPDVLEMVEGTILSVIGSCSSCESGDTQYTWFHLPSGVDLSSLM -DRNPTTRVPYLGSKTQERRTASLAKIANMSPHVKAALRAASLVIWAYGDCEENWEVAHALASSRCNIDLE -HLKLLSPLPTSGNLQHRLDDGITQTTFTPASLYRVASFIHISNDSQRLYEENSAKESNIIYQQIMLTGLG -FMESLFPLGVDTVSEEVTLHLHTGTSCCIREVDLADPFPLLNIFPEMPGVRQNRFMYDATPLTVQERTVL -DVKVYRAYELNLESYSTLDLMDVLASSTGKLIGQSIVSYDAETSIKNDAIVTYDNSRNWISEAQNCDVLK -LLEYAALEIILDCGYQAYYLRIVGIQELILYMNDLFKNMPGLLLGNLAATISHPVILERLYSVGFIDYRQ -VPQLAHLDFVALAAEILSRALRRVLVQMQTGTPYNLLFPSTVDDDLSDRMFNMLARYNCLLCLIFGVGRD -LPIIRNLTAEEKCQVMGKYLSMVIQLKNLNEAQAAMITQPQIITFPTNLYYMSRKSLNIIRERDDKHMIL -SCIFPDPVTGCFDLKMVDWSESSDRFLKEPLSFNFEFHLKSELSCLQYCGSAEGEAGRSSQRLSDDLSRY -LFRGIGTSSTSWYKASNLLSNPDVRQSRGGDALYIAEGSGATMSLIEHYIPHRVIYYNSFFSNCMNPPQR -HFGPAPVQFIESVPYKNIQAQVPCQDGFVQEFRVLWRENAAETDITRDQCVNFICQTVPPLSLSLVVCDL -ELDSSTNWEAVKSAYINIATVAAQCLKPGGVLVIKALYSRTREFSFMYALLWTMASRIVATSNGYSCRGD -YECYLIVVKGDKCSQPSIQSAVVKVMELDRKRLTVIGRRDEENLLQLFLGQLAACQEVLRAPMSIIVKTL -KSQPDEPLLAVGGQPVRPAMCELAYTGDDFNLSRLVANYLDTVLKTAIYYRDEQNLVETAFLLTPFNIHV -QGKIKTLIQGTTRQLLEAHLIHISPDDLHMCQRMLSAILSGAIAFEDFITVKMYLSLTGIRKYILKKLGK -VGLLDCFSSTSRVLLTRPEQKLYMKMLGNAMKGYYQR - ->YP_009508510.1 L [Antarctic penguin virus A] -MSGRTQIILPDSHLSSPLVLHKLLYHWKLTGLPLPAEYEHDDIILTRDWNVIRNQRGDQVARCVAIGNAA -QQYLNHSRPIIAICHPRTLNWLTHIQAPSALRKFQKIADNIRDCVSSNSDKLTSLVLSVQARLGRQQPTN -LSDTSSILVAQTSPEFNRLLRSPDIWFNGPWSRSKMTWLQIKQMNRFMILSARSNTLRNPYTLLELLGGV -VLISTDIIVVIDQQNNRFTCLHSEMVLAYSDMMEGRSAVDMVATGIDFLSPLRDRIMDLILVIDDLFEMI -GNAGYEIVALLESLSYASVQLLEPTDQYAGDFFKFNLLEIDSVLSKHMAQASVNKFLTIITKIYSGLTED -QGAEMLCMLRLWSHPLLSARQAAGKVRKTMCAPKVLDLDTIQQVLSFFNGTIINGYRKANSGLWPKIDPN -SIISDTIRQLYVDSAEIPHSIMLTHYREIAQIDFNPSIVPDPVSDLSMFLKDKAIAKPRNQWLSSFKYNM -IPKEASRHIVNSPGSNRLLIDFLESTDFDPYEEMQYLNSMEYLRDDTVSVSYSLKEKEVKVDGRIFAKLT -KKLRSCQVMAEGILAAEIAPFFKGNGVVQDQISLTKTMLTMSQLSLNCNKSRLVCKKENIQQARLARKGK -GGKSRMAVFMTTDLEKYCTNWRYQVIKPFARSLNRLLGFDHFFEWIHLRLMDLTMYVGDPFNPPIDIVTG -DINDQPNDDIFIVSARGGIEGLCQKLWTMISISAINLAAARAGCRVACMVQGDNQVLAVTKEIDEDHTWA -QAAADLHQLSDLFFEELIAVNHGIGHNLKLRETIRSDTFFVYSKRIFKDGKILSQILKNASKLVLISGDL -SENIPASCGNISSTITRICENGAPKDYCFLLNYIMTLLEVQFECMFSVVGRSEPAPYREILGNRSLLSAY -VLTPTQIGGLNNLQYSRLYARNIGDPITAAFADMKRLISAGLIPARILHAIVQHQPGDGSWLTLCSDPYA -LNLPLCGDPGMILKKHTQRVLFETCSNPLLSGVYAEDGESEEMQLAQALLDQLLVHPRVAHAVMECTSVG -RRKQIQGLIDTTNTIIKIALDRRPLSMRKLTKIINYSSLHMEFFIKEVWGTQCNRDPYVNESTCSLTLAS -YCRKRSWSNILHGRAVQGVTSPDILEMVEGRILSVIGSCDLCGYGDAQFTWFHLPSGVDLTSQKGTNPVT -RIPYLGSKTQERRTASLAKISNMSPHIKAALRAASLIIWAYGDCEENWDIALAIANSRCVIDKEHLKLLA -PLPTSGNLQHRLDDGITQTTFTPASLYRVASFIHISNDSQRLYEDSSAKESNIIYQQIMLTGLGLMESLF -PLGVDSVSEEVTLHLHTGASCCIREVDIADPFPLQPIFPELTAVRQNRFMFDCNPLTLQEQLNLDIKVYK -SYELNLSSYSTYELMDVLASSTGKLIGQSIVSYDAETSIKNDAIISYDNSRNWISEAQNCDVVKMLEYVA -LEIVLDCSYQAYYLRVAGVQELTLYMNDLLRNMPGLLLGNLASTISHPIVLERLYNAGLVDYRQVPQLAH -LDFVALAAEMIMRAIKRVILSIQTGVPYNLLFPSTVDDDLSDRMFNLLARYNCLLCLIFGVGRDLPIIRN -LPAEQKCSEMHRYLQMVACVQHLDAGQISMIQCPQIVTYPTNLYYMSRKSLNIIRDREDKHTILEILFPA -PITGCIDIQSVSWNESSDAFLKPPFCICHEFDLNAEVRPIIQCPTQRNSDGIATTQMQDDLNRYLFRAIG -TSSTSWYKASSLLSLPDVRQTRGGNALYLAEGSGAIMSLIEHYLPHRTIYYNSYFSNSMNPPQRHFGPSP -LQFTESVPFKNIQAGIPCSDNYIQEFHLLWRENAAETDLTRDQCVNFICQEIPPLTLSFIMCDMELPRDV -GWETTRSAFVNIITITSQCLRPGGVLVIKALYSHVREFAFVYGLCWTFASRIRLVSNGYACRGDYECYLV -VVRGDSSINPSVQKAVQRVTEMDRRQLTVLTRNDDTKLLQLFTEQLIACRSVYQAPMSILIKSIRRQPDE -PLLAVGGQPVRPPLCDIQEAGSHTNILRVMVNYLDTVLKSAIYYRDEQHLVDTVFLLTPYNLSVQGKIGT -LMQGTTRQLLDMTLTNLDPGDYHACQQLMSGITLGSLSFDDFVNTRTYLKMSGVRKYILRKLGRHGVQDC -FKHTSRILLARPEQKLYMKILGNAIKGYFQKI - ->YP_009508504.1 large polymerase protein [Avian paramyxovirus UPO216] -MAEPTGVRAEHQIILPESHLSSPLVKHKLLYYWKLTGLPLPEECEFDPLILGRQWRKILETGAPDTERMV -KLGRLAHQNLNHNKPIRGLLHPRTLTMLTTIEIPDSSKKFRTIEKKIQIHNKVFGGLFNTLCDQVEQKLL -GRHSKQIISRSPEYLRLREDPAFWFHSAWSSAKFAWLHIKQLQRHLTVCARSRMGPGNPITLIHNHGQVF -VTPELVIITENSSNQFTCLTQEMVLSYSDMLEGRDMVSFVSSTATHLLPLKDKIQSLLLLIDALAMDMGN -NVYEVISLMEGLAYGAVQLLEPSELFAGNFLSFNLHELKDILLPHFRIDIVQRIITIVSEVFSGLDQTQS -AEMLCMLRLWGHPLLESRAAASAVRKQMCAPKLLDFDTVLQVLSFFKGTIINGYRKKNAGVWPRVKQSTV -YGSTITQLHADSAEISHEIMLKEYKNLSALEFEQCIDFDPVSNLSMFLKDKAIAHPKHNWLASFRKNLLS -DTQKKEVRDATSTNRLLIEFLESEDFDPYEEMKYLTTLEYLRDKNVAVSYSLKEKEVKVNGRIFAKLTKR -LRNCQVMAEGILADQIAPFFQGNGVIQDSISLTKSMLAMSQLSFNSNKKRIVDRKEGVSKDRHRDGINRH -RRRVATFITTDLQKYCLNWRYQTIKLFAHAINQLMGLPHFFEWIHLRLMDTTMFVGDPFNPPSNPSDINL -DDAQNDDIFIVSARGGIEGLCQKLWTMISISAIQLAAARAHCRVACMVQGDNQVIAVTKEVDASDPPETV -LQQLHEASNSFFNELMAVNHNIGHNLKDRETLRSDTFFIYSKRIFKDGTILGQALKNASKLVLISGDLSE -NTVMSCSNISSTIARLCENGLPKDFCYYLNYLMSCIQTYFDKEFSIFPYNEARRLDQNKEDIKFVHTYVL -TPAQVGGLSNLQYSRLYTRNIGDPGTTAFAELKRLETAKLIPKQVIINILTRPPGNGDWASLCNDPYSFN -FETVSSPNIVLKKHTQRVLFETCSNPLLSGVHTEDNEAEEKMLAEFLLNQESIHPRVAHAIMEASSVGRR -KQIQGLVDTTNTVIKIALSRRPLGYKRLSRIINYSRMHEELFKEEVFSAARRGSPLVNSEMCSLALADYA -RQRSWSILTGGRQILGVSNPDTIELVEGEVLSLSGGCRLCDSGDEQFTWFHLPRNICLTDDTNSNPPMRV -PYLGSKTQERRAASLAKIAHMSPHVKAALRASSVLIWAYGDNETNWSAALKIARSRCNISSEYLRLLSPL -PTAGNLQHRLDDGITQMTFTPASLYRVSPYVHISNDSQRLFTEEGVKEGNVVYQQIMLLGLSLLESLFPL -TTTRIFDEVTLHLHSKFSCCIREAPVSVPFELQGYLPDLRTVASNKFMFDPQPITDTDFGRLNLTIFRSY -ELNLDSYPTIELMNVLAIASGKLIGQSVVSYDEDTSIKNDAILVYDNTRNWISEAQNCDVVKLFEYAALE -ILLDCAYQLYYLRVRGLDNMVLYMSSLLKNMPGILLSNIAATISHPVIHSRLHSVGLINHDGAHQLASVD -FVETSARLLTTCIRRIVTGLHSGNKYDLLFPSVLDDNLTEKMLQLISRLCCVLVLLYSTTKAIPKIRGLS -AEEKCATLTSYLLSDAVRPTMSSAQIDSLLSPNIVTFPANLYYMSRKSLNLIREREDRDTVLALIFPPDV -IMEAPDIRSLGSRTDDPMTKSPAAFIKELAINAPARYDTCFPSQLTQMEGTQSRPDEMLIRYLFRGIGTA -SSSWYKAAHLLSIPEVRLARHGNSLFLAEGSGAIMSLLELHVPHEVIFYNTLFSNEQNPPQRHFGPTPTQ -FLNSVVYKNLQANVPCKDGFVQEYVPLWRENADESDLSSDKSVSYITSTVPFKSVSLMHCDIEVPMGSNQ -SYLDQVATNLALIAMHTIRDKGVFIVKVLFSMGYYFHLLINLFFPCSTKCYVVSNGYACRGDLECYLIFV -MGYTGGSTFVREVVRMAKDLVRRNGTLLHSKDERTLMEVFNAQFMRVQELTSLPTPGLIRILQKNIDGAL -IEAGGQPVRPLCRENLSPACFDATKATDIIASHIDTAIRSVIYIEEGGALADTVFLFTPYNLSVEGKKLT -SLTQCTRQIFDMILLSITKEDQIKISEMMGIVLKGTVCLEDLIPLRMYLKRSTCPKYLKEVLGMQKLREL -FKTTSRFVLSRSTQKYYMKIIGNAIKGYYSMI - ->YP_009508498.1 large polymerase protein [Avian paramyxovirus 14] -MGDPQIQINQVIHSDAHLSSPIVRSKLALLWQLSGLPLPTELAFCVQSTGVTWEQVVRNESRTRYELQNC -IRQLSSACRSRGIFIDQLTPLCHPKCIISLLKVQLSQSDAIIHSHQKLLRRIVQPVRGNIESLFKNISQH -LIGDKFLFRPEAESDAKVRQGAVLSDGAQYLIENSRAVLGGEYESALYAWYLIRQTHRYLQDKNKVQSSG -GTAYVLTGNHHIAAICGELSIIVNTHEREFTCLSFEMTLMYCDMIESRAMIILVSKLEPAWTKVGEKVGQ -LWELIDSLSNNIGGDIYPLVASLESLTYGGVQLFDRDCDSAGTFYAFVINEIEEHLKKHFDPINCGRIVK -IIRNIYSGMNPDEYAEMLCTLRTWGHPLLGSVKAAEKVRNYMCAPKIVSFDIIQKVLAFFTAGIINGFRR -THSGLWPKVIPESIKIKSLEFLWKESSELTHQYVLKNYNAVSQIEFEKSIDYDLSEDLSTYLKDKAICRG -KKEWASVYRRSLTNKILDSARGDSTKSNRLLLDFLSNSDFDPQKEFAYVTSMDYLRDEDFCASYSLKEKE -VKIDGRIFAKMTRSMRNCQVLLEHLLAEHVCEFFKENGVVKEQISLTKSLLAMSQLSPRVAEYKGRIVRS -SDLRRACQDPGSSQIQDGDTVTSRKGWKKDRVVIAGFLTTDLTKYCLNWRYPTIKLFAQKLNQLLGIPHG -YEWHHLRLRDTTMFVGDPSEPPQDVSSASLDDQPNEGIFIVSPRGGIEGLCQKMWSTISIAAIHTAAVET -GCRVAAMVQGDNQTMAITKEVDAGEEISAALQSLTSVENAFFEKFREITWGLGHNLKSQETIRSKSFFVY -SKRIFFEGRVLSQGLKNASRLSMTADVLGENLVSNCGDIGSTIARIVENGGDRSSLYILNWLLVIKQIMF -DMTFSIEQNKDSGFRSETMNSPDLIRLISLIPSQLGGLNFLNIARMFTRNIGDPVTTACSDVKWMIKSDL -IPKYALRNIIFRKSGEGSWMTLCSDPYALNIPFTQLPTTYLKRHTQRVLLASSQNPMLKGTKIESQVEEE -EELARFLLDRPQVMPRVAYAVFKSSVLGQRRHLQGLVDTTGTIIKHALKTFPISYKKCMAISNYTCDYIA -SFRDEIFSPNAKFVDPAASWDRGLVSEETCSVTLANYVRTHSWFNILRGRQIKGVTVPDTIEVVRGCMIA -GGSPCMYCNGGDNSFTWFHLPAPLSLTDPSKSSAAQRVAYIGSKTEEKRAASLASVKNMTGHLKAALRGA -SVYMWAFGDTEKNWEDALAIAKTRCNIDLDQLKALCPIPSTSNIQHRLSDGISVNKFTPASLARVSSYIH -ICNDMHASYSNDIQIESNLIFQQVMLLGTGLLEALYPLTQKWVTSPRTLHLHSGMSCCIREADSGGFFES -PCECPPITTSPCNPFLYDANPIGDEDVTTMAVKSFKYFELGLETSSPWENIQTLTIVMSKIMVDTTIGDS -AHTSIHNEAIITYDNSVNWISEFLYCDLRVLFEAAGRDLAIDLAYQLYYFRIRGVVAIVNYISSVLDRIP -GIQLANIALTISHPELFRRLQTCGIVTNISAPYTATTNYHDLVKQCLLWGVKEYCKNVMNGCEPEYILPN -DTDDLLSGKAEQVLARRMCLLTLVGSFEGNLPNIRELSPIEKCATLTSFCVASFNTFSTSKVKNMCLIEI -IDKPKVTRYVTNLYFLSRKVLSILRESDISKSIIESLYSVSEWGILDLDPVSIEGDDDYLIKSVGTTVIN -LEDADMSRHQTYLTIGESVSIRNQSIRDNRPAILRSLIKTIGTSSTSWYKGAALIATNLLKGLPSGKGLY -LAEGSGSLMTAIEAHVCSEEIFYSSLFSSEMNPPQRNLGPQPVQFLNSTVHNNLRAGVPTKNGYTQEYFQ -LWCDDDDCTDLSTQASVNYIIKSIGVETSKIVVCDLEPQKGLDDSDLLNLYTNIVVIASHCLRDTGKLVL -KIYKRDLVVFEYTVTLLWRSFFKVSLVISPYFNTGDGECYLVCQKNVKFNRELLMGSIAFCSDLVRKGEG -MISDSLNQKIRNAVHSCEESTCIFYEKIISDPGLLTQTKTDIFLIQLGGQLSYKSLSWLAYEDISDADTL -LRISVDAVTIGLREIIDIRKQELHGDKLVLLSPYNIAAEGKVVTILQSLTRRLMGLYIRRKLAINPLDLG -KVIQFSTQFKICINDMLSVKDFLRRTSSPKYFKREFHGQEIYTFFEEKSAIILTVHERKMILKFIGALVK -GQD - ->YP_009505447.1 large protein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -MAGLNEILLPEVHLNSPIVRYKLFYYILHGQLPNDLEPDDLGPLANQNWKTIRSEESQLHLRLKQVRVEL -ISRIPSLRWTRSQREIAILIWPKILPMLQSYDLRQSLQLPTIWEKLTQSTVNLVSDGLERVIQQISNQLT -GKPNLFTRSRVGQDDKGNSIPSTKELSQIWFNNEWSGAVKTWLMIKYRMRQLITNHKTGELTDLITVVDT -RSTLCIITPELVALYSVEHKALTYLTFEMVLMITDMLEGRLNVSSLCTASYYLSPLKKRVETLLTLVDDL -ALLMGDKVYGIVSSLESFVYAQLQYGDPVIDIKGTFYGFICNEILNLLTEDHIFTDEEANKILLDLTSQF -DKLSPDLTAELLCIMRLWGHPTLTASQAASKVRESMCAPKVLDFQTIMKTLAFFHAILINGYRRSHNGIW -PPTTLHGNAPKSLIEMRHDNSELKYEYVLKNWKSISMLRIHKCFDASPDEDLSIFMKDKAISCPKQDWMG -VFRKSLIKQRYRDAHRPLPQTFNRRLLLNFLEDDRFDPMKELEYVTSGEYLRDPEFCASYSLKEKEIKAT -GRIFAKMTKKMRSCQVIAESLLANHAGKLMRENGVVLDQLKLTKSLLTMNQIGIISEHSRKSTADNMTLA -HSGSNKYRVNNSQFKKNKDSKQEMPDDGFEIAACFLTTDLTKYCLNWRYQVIIPFARTLNSMYGVPHLFE -WIHLRLMRSTLYVGDPFNPPSDPSQLDLDTALNEDIFIVSPRGGIEGLCQKLWTMISISTIILSATEANT -RVMSMVQGDNQAIAITTRVVRSLSHSEKKEQAYKASKLFFERLRANNYGIGHHLKEQETILSSDFFIYSK -RVFYKGRILTQALKNVSKMCLTADILGDCSQASCSNLATTVMRLTENGVEKDLCYYLNAFMTIRQLCYDL -VFPQTRSLSQDITNAYLNHPLLVARLCLLPSQLGGLNFLSCSRLFNRNIGDPLVSALADVKRLIKAGCID -MWVLYNILGRRPGKGKWSTLAADPYTLNIDYLVPSTTFLKKHAQYTLMERSVNPMLRGIFSENAAEEEED -LAQYLLDREVVMPRVAHVILAQSSCGRRKQIQGYLDSTRTIIRYSLEVRPLSAKKLNTVIEYNLLYLSYN -LEIIEKPNIVQPFLNAINVDTCSIDIARSLRKLSWATLLNGRPIEGLETPDPIELVHGSLIIGSDECEYC -NCGDDKFTWFFLPKGIRLDDDPAFNPPIRVPYIGSKTDERRVASMAYVKGASVSLKSALRLAGVYIWAFG -DTEDSWQDAYELASTRVNLTLEQLQSLTPLPTSANLVHRLDDGTTQLKFTPASSYAFSSFVHISNDCQVL -EIDDQVTDSNLIYQQVMITGLALIETWNNPPINFSVYETTLHLHTGSSCCIRPVDSCVVNPSLLPVPFIN -VPQMNKFVYDPEPLSLLEMEKIEDVAYQTRIGGLDQIPLLEKIPLLAHLTAKQMINSITGLDESTSIMND -AVVQADYTSNWISECCYTYIDSVFVYSGWALLLELSYQMYYLRIQGIQGILDYVYMTLRRIPGMAINGIS -STISHPRILRRCINLDIIAPINSPHIASLDYTKLSIDAVMWGTKQVLTNISQGVDYEIVVPSESQLTLSD -RVLNLVARKLSLLAIIWANYNYPPKVKGMSPEDKCQTLTTHLLQTVEYVDNIQIEKTRINRMIIEPKLTA -YPSNLFYLSRKLLNAIRDSEEGQFLIASYYESFGYLEPALMESKIFNLNSNESATLTELDFLLNLELSEN -NLEKYSLPSLLMTAENMDNPFPQPPLHHVLRPLGLSSTSWYKTISVLNHISHMKLSNGSHLYLAEGSGAS -MSLIETFLPGETIWYNSLFNSGENPPQRNFAPLPTQFIESVPYRLIQAGIAAGNGIVQKFCPLWNGNSDI -TDLSTKACVEYIIHKVGADTCALVHVDLEGVPGSMNSMLERAQVHALLITVTVLKPGGLLILKASWEPFN -KFSFLLTLLWQFFSTIRILRSSYSDPNNHEVYIIATLAVDPTTSSFTTALNRARTLNEQGFSLVPPDLVS -DYWKKRVEQGQIIQECIDRVISECVRDQYLTDNNIILQAGGTPSTRKWLDLPDYSSFGELQSELARLITI -HLKEVIEILKGQASDHDTLLFTSYNVGPLGKINTIIRLIVERILMYTVRNWCILPTPIRLTLRQSIELGE -FRLRDVITPTEILKLSPNRKYLKSALNQSTFNHLMGETSDMLLNRAYQKRIWKAIGCVIYCFGLLAPDVE -DINLLQVNDDDLDYDIHGEVM - ->YP_009380509.1 polymerase protein [Avian paramyxovirus 15] -MDQRQSDNIIHPEVHLDSPLVKNKLALLWKLLGLPLPKDLQDIELINLTTEADFLRQEQTIKDKLLALRD -LSTKHLKRHGLLWNHIEPVCHPRTLSWLLKLECSKAQHLRAKREQVINQSFKEHGTNIQHLFTSLSHKLI -SNSGLFSSGDQPQESPLPAISSGAKTLITSYRAAVNTEWSEGRWCWLHVKQVMRYLMYQSRIHKHSTNIK -VWSEKSNIIGVTPDVVVVINVLRKIYTVLTFEMVLMYSDTAEGRDNAIVVGRLSPFLQLVVDKLGILFEL -IDSLAIEIGEKIYDVIATLESMAYASVQLHDASIVQAGEFLSFNLSELVETLNSLIDPAQAKRIIEIIRL -CYTGLSVDQSAELLCLMRLFGHPLLSAKDAAKKVRESMCSPKVVEFDTILQTLSFFKGILINGYRRAHSG -IWPNIDPDTILDEDIRQLYLESSEIPHSIMLRKYQALSLLEFKKSIDFDLSADLSAYLKDKAICRPKPQW -SAIFRKSLVGKSRQMNNSTDIRSNRLLIDFLESDDFDPYQEFNYVVSMDYLKDPDFCASYSLKEKEIKTT -GRIFAKMTRKMRSCQVLLESLLSKHICKFFKENGVSMEQLSLTKSLLSMSQLAPRISTLGLRPIRNNRTR -PKYDEKNESSANFSGDPRGALVRDKTVVATFLTTDLQKYCLNWRYSSIKLFAQALNQLFGLDHGFEWIHL -RLMDSTMFVGDPYSPPESALYTDLDDAPNDDIFIVSARGGIEGLCQKLWTMISISIIHCVAEKIGARVAA -MVQGDNQVIAITRELYSGDSAESLLDELDHLSDQYFAEFKRHNYGIGHNLKLKETIRSHSFFVYSKRVFW -EGRILSQILKNATKLCYIADHVGENSVSSCSNLSSTIARLVENGYEKDTAFILNQSYLMNQLMIDEQYTL -TCDYSEARRLIGTDNYRNLFYATLIPGQVGGYNFLNISRLFTRNIGDPVTSAISDIKWYIKSNLLPRRVL -KNLILREPGDGGWATLCADPYALNIQYTQLPTTYLKKHTQRTLLASASNPLLSGVQIPSQHIEEELLAQF -LLDREAVMPRVAHVIMESSILGRRKQIQGLIDTTPTIIKTALMHHPVSRRKTEKIVNYSIDYLTACHDFI -IAQIEFPTSKEEMWENNFVDEDTCSVIIAEFLRAVSWRNLLNGRIIQGVTSPDTIELITGSLIGENSYCR -LCASGDNNYTWMFLPGPTNITSPYVSSSKIRVPYLGSKTEERRTASMATVKGMSHHLKAALRGASVYIWA -FGDTEQNWEDALKIVSTRCNISINQLKLLTPTPSSSNIQHRLADGISVQKFTPAGLSRVSSFVHICNDAQ -KLEKDDGQVDSNLIYQQIMLTGLAVFETIHPMNIEWVSYNQTLHLHTGLSCCTREVEGSLVNPPSHELGE -ITITQQNKFLFDSEPIPEIENIKIALKEFKVMEINLDGLSGYDSIKLLSKCTARLICECINEEGVSSSVK -NDAVISFDNTINWISETLMCDIHYLVTCIGQEILTQLAYQIYYLRIRGLNSILAYIESTLERIPVIQLAN -FALTISHHEIWRRLELTGLRCNARVAYLANVDFIGASRDVILYGCRLYLQGLLIQEEPSYLFFDVCDGDL -NAKMEQFLARRLCIIVSLIGTTLNYPIIRQESPIQKCVTLSDFIETISVSDARCAATARVLQTVALSPSI -DTVPTNLYYTTRRVLSNIRSSEISRAQITYLYNEDIPTHYDELGIDPVTTDDPIIQRGLFFNVELTADKL -STHVLPSVCGLLNDTSVPLNMEYDKPGFLITQLTKSVGTSSTSWYKFAVWYATRMRQSVILGDSMYVGEG -SGCVMTLFEYLDPSNNIFYNSLFSSDMNPPQRNYGLSPYQFERSIVYKNILAGASSKYGFTQEFHKLWRE -IDAETNISEPAFMNYALEVIKPHSCKRIVCDAEFDSGMPEERIIQAYTHIILLSSYALTKHGLILLKLHC -TSERITQFVFSSLLMIFGRVQIHRNGYMNLNNQEILVSATLGEVINYVSIPPILTRIKALFDSGLTIIHP -DNLRRIRTQEESLRIKLQQLERNVLSKAVKLQLCKTDILLLHLGGSLIQPGQINIQELREKSAGELQKEI -IELIDTAISEINVIKNEAVDLDIALMMSPYNINKSRKIATIARICTRRVIPIWLIMSIQLNSSSLASVCA -VLERGVISWETCMTSREYISCSTRPRFIKKIIGASTVERLFKTCLL - ->YP_009255226.1 large polymerase protein [Avian paramyxovirus goose/Shimane/67/2000] -MLPSTSLRGQNNLILPESHLSSPIVKHKLLYYWRITGLQLPESCMYDNLILTREWKNIMESNRGDVIRAK -KIGSKTHSYLNHKKAISPLIHPSTLSWLTALRCEVSEDKMAQIEKKVSRHFQIFGMRFSKLSDMIEGKIY -GHHVSRERTEEFAFLQNHPGMWFHTQWSCARNTWLHVKQLQRHLILKSRANKQNDLILDLTVSDGHLIIS -SELVVVIQTSTNAFTCLTPEMVLMYSDLLEGRNLVQMLCSAIPFFHPLFEKIELLLRLIDELATVIGGDV -YEVVAILEAMSYATVQLFEPTERFAGDFFSFNLTEIKNVLKDKISTELTNRMISAIAEIYSGLNANLGAE -MLCILRLWGHPLLTASVAAKAVRTQMCAAKIIDFDIQMQVLAFFKGTIINGFRKKNSGLWPKILPESILS -PVLDRLYKDAAEISHQIMLKEYKSLALIDFKPCIDFDPVSDLSMFLKDKAIARPAKEWLCSFKRDLLYKK -DAQKLTGSTRSNRLLIEFLEAAEFDPYQELQYLNSMAYLGDDDVSVSYSLKEKEVKINGRIFAKLTKTLR -NCQVMAEGILAAEIAPFFQGNGVIQDSISLSKSMLAMSQMSYNCNKERLENTKKRIHRYRAGEGSKQDKI -RMATFITTDLSKYCLNWRYQTIKPFARALNQLLGFDHFFEWIHLRLMNTTMFVGDPYNPPAEIVSGDIND -QPNDDIFIVSARGGIEGLCQKLWSMISIAAINLAAARSSCRVACMVQGDNQVIASTKEIKPSDNPDDALE -ELQKLSDRFFEELVKINHGIGHNLKMRETVRSNTFFVYSKRIFKDGKILSQMLKNAAKLTLISGDLGEDT -GGSASDISGTIARICENGAGKDFCYLLNYYMLLIQFYFDCDFSILDRGRQDIRQTMSGNIEAVHNYIMTP -SQVGGLNGLQYVRLYNRNIGDPGTTALAEIKRLTTVGLLSPRILPNLLTRQPGSGTWATLCNDPYAFNFD -TVGSPGILLKKHTQRVLFESCANPLLAGVHREDADAEELSLAKYLLDQEFIHPRVGHVIMQASSIGRRKQ -IQGLLDTTNTIVKYALDKKPLGVRRTEKIINYSVIHFQLFLDELWDAHRHYPHLLSQDMCSLALAEYCRN -KSWSSITGGRPILGVANPDTLELATGCIVSIGGTCHLCDAGDSQYTWFHLPDNINLIDGATGNPAIRVPF -LGSRTQERRVASMAKLRNLSPHAKAALRGASVLIWAFGDNDTNWNAALEIANSRCNIDLEHLKILSPLPT -AGNLQHRLDDGISQVTFTPASLYRVSPYVQISNDSQRLVIDDSIKESNLIYQQLMLLGLAAIEAIFPLTC -DHIVDSMTLHLHSAHSCCIREASLAFPIELAGVIPQIRAEVTNRFMYDGTPISLDDMPTMDMLVFKNYEL -SLDQYTTFELMGILAMTTGKLIGQSIISYDDETSIKNDAIIVYDNSRNWISEFQNCDAIKLLEYVAVEVL -LDCAYQIYYLRVIGVENIMLYMSDLLQSMPGILLANLAATISHPGIFGRLYRVGLLELSGVHQLASVDFI -SLAAQVLTKSMRRVLTAIAHGEIPTLTFPSIIEETLNEKMLQLIARLCCLVTVVHAKTKQIPKIRDLSAE -DKCRVLTEFMNSQTTPVLNQHLDMNKLMTPKLVTFPANLYYISRKSLNLIREREDKDCILQTIFPIEIGE -QRQLPANVGSFTKDPFYQESTAFILEVPIENEVTYNKVDLCNVERREAGLIGSPSSSDLRRYLFRGIGNS -SSSWYKVGQIFSIPEVRQTKGGVSLFLGEGSGSIMAVCELHIPHRIIYYNTMFDNAMNPPQRHYGPSPAQ -FFESVVYQNMQAGVPCQLGFVQEFTTLWREVSAETDLTREECVTYITSTVGCQTTQFIMVDAEIPKDSAL -SIIEQFITNILTISYFVLSQNGVLVIKTLYSQCRQFNLLVTNLMLSCSKLYILSNGYMCRGDYECFLIAI -FSNPVPFSSADRIMQTSKNLIRKGGSIMKPEVHKKIIDAFIKQEREVISIINSPTEVLIKFLSDNIDQAL -LAAGGRPARPNGTDYNMTMVRDDIEFKDIIVQHIDTVLKSIVYFSDEDCLADTVFLLTPYNLSNRGKSIT -MLKQCVTQLVEQIIIRLSVDDLYSIDSWITKIIGGVLCLNDVMHWKEYLRRSHCKKYLRHRMGAGQVKEI -FCHESVITLHRAAQKLYMKIIGNSIKGYN - ->YP_009094498.1 RNA polymerase [Tuhoko virus 1] -MAAPSQIILPEVHLDSPIVKNKLIYFVKLAGLPLPDEDLEDDPFPAVDWARIRREENRLSDRLNQVKSRL -YSEIIDSFPKSEFSLFVSKLNVLLWPKVLPIVTTWTIPELTERFSDVYTIIQRSCDIISSGASNLLRDIS -YQLSKSTELFTRNSLHCSIHDQHTRPSDLSQLALLESNSDYMHQFNVWFIIRLKMRQQILANQDSSQHLQ -LVELGKKDHKIYITPEIVCIWIREKKIITYFTFEMVLMVCDMIEGRRNVLALTKYSCYLAPLLPRLKRIF -SIVDELCEHLGNSVYSVVASLESLVYAELQLADPVPELRGEFHAFISQELINAITSKGIISDQEANIICT -RLLECYHNLPPDLVAELLCTMRLWGHPMLNAETAAKKVRASMCAPKMLDLTIILKVKAFFHGIIVNGYRR -KHNGIWPKVILPPFASPSLTEFFHDNSELPYHYILAHWKEIAFLQFDKSFDADPGEDLSIFMKDKAISTP -KKNWMSVFRKSLIKPTCDKLKVPYPEPFNRRLLINFLQDNNFDPTEELKYVTTGEYLDDNEFCASYSMKE -KEIKEDGRIFAKLTKRMRSCQVICESLLASHAGKLFKENGVTLDQLSLTKSLLTMSQIGLISKSSRRAAR -DNIDIIHHVADIRKGNQYAKVNVKPSTHAIPKPDEISEIAACFLTTDLKKYCLQWRYQSIIPFATSLNQL -YGYNHLFEWIHLRLMRSTLYVGDPFSPPRDLDTPDLDNVQNKGIFIVSARGGIEGLCQKMWTMISIAVII -LSATESNTRVMCMVQGDNQAIAITSKVPRTLSYEHKKQIAFNHSKLFIERLRINNHGIGHHLKDQETIVS -SELFIYSKRVLYKGRILNQALKNASKLCLIADVLSECTQTSASNLTTTIMRLTENGIEKDICYYWSVYYT -IRQLVHDLMFPLTQLFEEDVVTTYLNNPGLIAKLAILPSQLGGLNYYAISRLFCRNIGDPLTSSISDVKR -FMRAGILPRWILKNILSRPSGDGSWVTLAADPYSINIPYLYPPTTMLKKHTQRVLMESSTNPMLRGVFYD -GALQEESELAKFLLDRPVVMPRVAHIIIEQSSCGRRKQIQGYLDTTRTIIRYALKLAPLSYKKTTKVLDY -NLLYLSYNLELIKHSTKSSAHPLASQDLSDVCSIDISQLLRKLSWTNLLNGRGLQGLETPDPIELLNGFL -ITGTTSCIACMEGDSKFTWLFLPAGIDLQAPPDENPPIRVPYVGSRTDERRVASMGYVKGASQALKSSLR -LTGVYIWAYGETDYNWDQALMLANTRAAINKEQLQALTPLPTSSNLVHRLDDGLTQLKFTPASSYAFSSY -VHISNDSQNLELLERQLDSNLIYQQVMLLGLGIIETWLQFPNEQNQSDLSVHLHTGSSCCIRQVDSCIIN -ESSSNVPILNVPYQNHFVFDPSPLSDEQAIQVKNLTFQTNISALDMIPLNDKFPVLAHLIGIQIAHSLTG -LDESTSLINDAVVETDYACNWISECLNTQLDKVFYYAAWHLLLDLAYQLYYLRVLGQHAILDYYTTILYR -IPGLALTGISSTISHPKLLRRMINLGIIIPRNAPYLATLDYQKMSTDALIWGAKHVLSDLMHGIDIEIIV -PSEDSTEISDRVLNLVARKLSLCALIFNSDSVLPHVRGLAPDEKCRALTDAILHMFNNSLGQSDRIESLQ -AMIVMPKISAYPNNLYYLTRKLLNWIRDSDEAQLLLATFYDSFGFFETQHSLSMTPSILKEEDADSTLTD -YDSVITIQSQGDDLQAISFPATSVYDPVPPNVAVSPPVHHILRPIGLSSTSWYKGLSVLRVLHTYQLPKG -NHLYLAEGSGAIMTLMEANYPADVIFYNSYFSSGQCPPQRNFQPLPTQFIESVVYKNLQLGIPSDNGNVQ -EFKPLWSGNSVQTDLSTKECVDYIISQVNAHTLSLITLDIEEPTPEGVHDVSPALINSFLIAHFALKAQG -IMIIKTHLSPFHRFSRIITLASKEFGSITAVRSSYSDPNSDELYLILQRVAITNPRDFTLALESMNQLHE -AGFTLVSEDKLTELIDGLRENQQQIYNEIEYQNKMASCTSNLTDHITLSQLGSCIQTNKILEKAPAHSMT -ELLERICTAVTTFLKEMIALTEAAVIDKSALIYNSYNLQLPGKINTSAKLVATQILELYIRNWDLISIDL -RLKLIQMIELGYFSVGEILSTNDFIKYTNVPNYLRKVLGMTTIDQELHLRLKVYLSRAQQKRVWKSVGSV -ILTGTLDGSQLPEFDTQSDKFEDEERDLAGDLID - ->YP_009094483.1 L protein [Avian metaavulavirus 11] -MEVKQSDQIILPEVHLNSPIVKNKLKFYWQILGLPLPAELTDEYDDWYTRWDDIMRRESKTARRFSFFKD -KIIAGLKKRGLLINSITPVVHPRTLLDLTTIETTLGIGFMEKCKKTLYRTIKDKSTQYKSLFLKLSRKLT -STDCLFSVINDLGQQDPLYLCADDLIENPAVFESTKWSHPLMFWLYVRQVMRHLIRGFRSQPANCSYQLF -FESTWLIGVLPEILVLINTERNYFTILTFEMVLMYTDLVEGRNNLLAIAPLNPLTLPLVPKLDLLIELID -RLALEVGNDVYNTIASLESLAYGAVQLHDRSVNHAGEFFSFVLSEIEEELKSKLDPKSVLDFIRIIRGIY -SGLTTDQAAEMLCIMRIWGHPLLSARRAAKKVRDSMCAPKMINLDIVFQVLAFFKAQIINGYRKSHSGLW -PNIRKDSIHSDHINTLYLDAAEIPYSTALQHYRELSLLEFEKSIDFDLGADLSCFLKDKAISRPQSQWLN -SFRHSLLPVCKNESRYAIDSTNRLLLDFLQSSDFNPQEEFEYVTSMAYLDDVEFCASYSLKEKEIKTDGR -IFAKLTRKMRSCQVLLEALLAEHVCDFFAENGVSMEQLALTKSLLAMSQLSPRVAEHSPRIKREGDIKKS -RLNIDKQFINHDSLDSCSKNDYKRNLHGIRKEKITIATFLTTDLQKYCLNWRYESIKLFAMALNQLFGIP -HGYEWHHLRLQNTTMFVGDPFNPPLVLDSQDLRDQQNDDIFIVSPRGGIEGLCQKMWTMISISAINCAAT -KVGCRVASMVQGDNQVIAITKEIKKGENASIVSEELDNLGDTFFNEFKQINFGLGHNLKLKETIKSQSFF -VYSKRIFFEGKILSQSLKNALKLQFVSDHVGENTVASCSTISSTVARLIENGFPKDTAFILNLISITRQL -LFDETYSITNDYTAAEQLIGSKHPLNLAKAVLIPGQTGGYNFLNLARLFTRNIGDPITCSLFDIKMYISA -GLLDRYVLRNIVLRTPGDGSWVTLCMDPYALNIPYIQLPLTYLKKHTQRSLLHHSSNPLLSGVRIDTQVE -EEGDLCQFLLDREVVLPRVAHVILDNSVLGRRRHIQGLIDTTPTIVRHALNHHPISRKKLDLITDYSLNY -LIALHDNILHPQPEGIDPLNLWETGLIHHDTCSVTLADFTRATSWSNLLNGRRISGITTPDTLELVSGCL -ITRTSRCTECALGDSKFTWFYLPAPIDLSDPSQSDIAQRVPYVGSKTEERRAASLSTIKGMTNHLRASLR -LASVYIWAYGDTDQNWEQIHTIVKARCNITLNELRILCPIPSSSNIQHRLIDGVSTVKFTPASLARLSSY -VHICNDEQRVVINDQSVESNLIYQQIMLLGLGIFETLYPIYVSFVNDTCTLHLHTGNSCCIREVESGSIV -TPNRHAPAITSTPQNPFLYDHNPVPESQAPELYRREFRFNELNLDNEDPLGQILLLTRCVAKLMIESVVA -DYGHSSVKNDAIITYDNSVNWISETLGCDLRYLVKMLGYELCSALAYQMYYLRIRTKDCILLYIDQALQR -IPGLQLANIAITLTHKEIFRRLSISGLIPAMNAPHLATCDFIQFTRQIIHRGASIYLTELESGEEPTYLL -PNQLDGDLGPKAEQLLARRMCLMTLLYPSSRPLPLIRSLTPIEKCQVLTDFLLSSEHIEGDTYVVYSRMI -SLTIHPKIDSIVTNLYFLSRKILANIRSDPLIKSILEELYTQDLEFDQISAATSKDFCKDPLISNSLSFS -VDLTSCGRSLSYIPELSIQDSPSGSSQFSLSQRQLRRHLFKSVGTSSTSWYKYAGLYSSEFFRGMPQGDA -LYLGEGSGAVMSFFEFVKPSHTIWYNSLFSSSQNPPQRNYGPSPTQFLRSTVYQNLDAGIPCKDGFVQQF -CTLWNEVDDATDLLSDNCVNYIIDTVPALSCKLVVVEAEFERGLSWESVRIGLINCFILGCHSLMKGGRF -VVKVNAIDTTRYHFVLSAMIHLFTSVNVYSCAYCNLEQKEVLVVGSATGSVNRHSVLREIIRIGRLIDQC -MTLVPDQITTCIWKNCDDIAYRMSELCDKIIEGRRLITLTTTDSLLLQIGGTIITSYHDMTPSTTHLNSQ -DLARLITSVLDTLLKEVFNLLYSESISDSTLLLSPYNLSMQGKISTLATTTVRSLIPIWIQNGVRIKGSH -LAMIITTIELGTINISKIMTTKEYITMTSTPKFIKRTFKIERVKWIFEQVSSIILSYPEIKYWIKYIGAA -IKCSQEMSTPH - ->YP_009094466.1 polymerase [Achimota virus 2] -MAAPQQVILPEVHLDSPIVLNKLLYFSKLAGLPLPDDYSHDEPFTDIDWARIRREENRLSDRLLEARKAL -ISWLNSKQIRLDKTNQSSSGYNGVQVLFWPKCMTMLHTTILHDFLGRFSDAHNLINAACANLAEPINTVL -LNVSQKLTGSSNLFSHNSAACSLESSHNRPPSILGLSQTVLSSPWTDQYEFWFLLRHQMRSLIMQNNSNS -TTRLVIELNERTKICIITPEIIILGNLEERAVTYCTFEMMLMICDMYEGRLNVISISGLSCYLNPLKSRL -QSLFDLVDELCTILGNSVYAVVANLESLVYAQLQLLDPVADIKGEFFSFICSELVSALQSGNFFSNQEAT -VITSKLLQCYQGLTPDLTAELLCVMRLWGHPMLTSKSAAKKVRESMCAPKLIDLQTTLKTLAFFNAIVIN -GFRRKHNGIWPKCILPPFASLSLIELKHDNSELSYQYVLNHWKELAMLEFEKSFDADPGADLSIFMKDKA -ISCPKADWLSVFRKSLIKPLCEKLKIPLPQSFNRRLLLNFLSDHSFDPEKELEYVTTGQYLDDDTFCASY -SLKEKEIKETGRIFAKLTKKMRSVQVISESLLASHAGKLFKENGVVQDQLSLTKSLLTMSQIGLISQRSR -RSVRENVTLVTHVTSSGGNIKSMSPNPTPGTLKDIDETTEIAACFLTTDLSKYCLNWRYQSIVMFATSMN -KLYGYNHLFEWIHLRLMRSTLYVGDPFNPPQKLDTGDLDDVLNDDIFIVSPRGGIEGLCQKLWTMISISV -IILSATESNTRVMSMVQGDNQTMAITTKVPRSLDHKQKKEIAFNHSKLFIERLRANNFGMGHHLKEQETI -VSSDFFVYSKRIFWRGRILNQALKNVSKLCLIADVLSECTQTSCSNLTTTVMRLTENGVEKDVCYYLSIF -LSLKQLVFDLLFPINKTFEDTTTETYLNHPLLLSRIAILPSQLGGLNYYSTSRLFNRNVGDPLVSAISDL -KRFIDAKALPSWILKNVAARKPGKGTWSTLAADAYAINIDYLYPPTTYLKKHTQRVLMEGSVNPMLRGVF -NDNSIQEEDNLAKFLLDRPVVMPRVAHIIIDQSSCGRRKQIQGYLDTTRTIIRHALQNSPLSIKKANRII -DYNTLYLAYNLEILAAPNKGESIKLKGRELLDLCSIDIARVVRRLSWLPLLSGRSIDGLETPDPIELVRG -TLIEGPRSCVSCLEGDSKFTWLFTPGNITLDAPPEENPPIRVPYVGSKTDERRVASLGYIKGASSATRAA -LRLAGVYIWAFGDTDQNWEEALALANTRIDIDIEHLRALTPLPTSANLTHRLDDGLTQLKFTPASSYAYS -SYVHISNDNQNLEILERIQDSNIIYQQLMLLGLGIIETWLQLPIDRNPSDISIHLHTGSSCCIRAVDSCI -INESLHPMPSIYVPTYNKFVFDKHPLNEDQLLQIDNLTFQANISAIDMIEPTERVRLLAHFVGIQFAHSL -TGLDETTSLINDAIVETDYSNNWISECLNTYLDRVFYFAAWNILLELAYQMYYLRIVGVESILDYLHLVL -TRIPGLALTGIASTISHPRILRRLINLEIVLPSNSPYLATLDYHKLTHDAILWGARHVAADLRQGVDLEV -VIPSEDSTEISDRVLNLIARKLSLMALILTQSTSLPHVRGLTPEHKCKMLSDFLVQNITNSIIDQTTAQM -ITNAIHSPKISAFPSNLYYLTRKVLNFIRQSDELQMLLSAFYDSFGFLEAQQNVGLLYLSRDIDHQSDTL -TIFDFHRSIIDDQRQGERYQYPQEVGDSLYKPSPVVQPPTHHVLRCVGLSSTSWYKGLSVLNVLLSKKIP -VGNHLYLAEGSGALMTLFEAYLPGVKIYYNSYFSSGQNPPQRNFAPLPTQFMESVIAQNINNETPTEQGF -IQEFVPLWSGCSDQTDLSKKECVDFILQSVLPSSISLISVDLEEGFTANRNCLSKALINSLLISHITMIE -GGILIIKVNLTPFSKFSRIVTLLHDRFSQIELCRSIYSDPNSDEIYLIATNSHSMDIMDFNHSLDSAERM -DHESFTLISPQTLKDIQEGIADTQKHASSFIDIQIKTCQCSDAVDDHILLSRLGCRTQTNKIIDIAGSSS -FADYMDRLTAVLTLYFKELISICESASLDRMQLIFTSYNVHFKGKISTNAKNSARHILDLTIRNWALLPV -SLRHKISQALELGIFRVCDVISASQFLKRSSVTKFLIKSLGQPQIDQEFSTSLAITLNRPEQKRIWKALG -SVILLETLEQDTPNYPQNDVDLDLEFDIAGDEI - ->YP_009094458.1 polymerase [Achimota virus 1] -MAAPSQIILPEVHLDSPIVQNKLLYLVRVAGLPLPDLFFEDDHFPGVDWQRVRREENRLSSRLESVRSRL -LSKLNTARGKNPINKTAPLIRLWPSCLPFIHEFVFPDALARFSEADRITAQAIQHLSPGITALLNSISQK -LTHCTELFSHNETKCTEPEYHTRPRNLNDLNNCYVGAEWKDQFNMWFLIRHQMRLLIMKNNESVNPNLIV -EIDYREKAAIITPELVTLWSSESNFVTYFTFEMVLMVCDLYEGRMNVIAVAKMSCYLSPLVPRLKKIFSI -VDDLCLMLGNQVYPVVASLESLVYGQLQLLDPVEEVCGDFYSFICSELVTALLASDQITLENAISIAGSL -TSCYCGISPDLVAELLCVMRLWGHPMLTSEKAAEKVRKSMCAPKVIDLETTLKTLAFFNEIIINGYRRKH -NGIWPKCKLPPFASLSLRELKHDNSELSHQYTLTHWKEIAYIEFEKSFDADPGDDLSIFMKDKAISAPKK -DWLSVFRKSLIKPLCESLHSPLPEPFNRRLLLNFLNDDSFDPAVELQYVTSGEYLDDETFCASYSLKEKE -IKETGRIFAKLTKKMRSCQVISESLLASHAGKLFRENGVVMDHLNLTKSLLTMSQIGLISRKERRNVREN -ITVVSKISKHDKSSVQNPGFKPDHPNVSEVTEIAAFFLTTDLQKYCLNWRYQSIILFATNLNKLYGYTHL -FEWIHLRLMRSTLYVGDPFNPPRFIDTTNLDEVPNEGIFIVSPRGGIEGLCQKMWTMISIAVIILSATEA -NTRVMSMVQGDNQAIAITAKVPRAVPYREKKRIAYENSKLFIERLRANNKGLGHHLKEQETILSSEFFVY -SKRIFFRGRILSQALKNVSKLNLIADVLSECSQTSCANLTTTVMRLTENGVEKDICYFLSIFLSVRQLVY -DLLFPMTTFIEDSITTLYLNHPILIARLCVLPSQLGGLNNYSISRLFNRNIGDPLTSAIADLKRFINVGI -LPKWILSNLLSRDPGEGTWSTLSSDPYALNINYLYPPTTYLKKHTQRVLIEHSVNPMLYGIFTDDALQEE -NRLSQFLLDRPIVMPRVAHIIIEQTSCGRRRQIQGYLDTTRTIIRHALSRQPPGYKKVEKILEYNRLYLA -YNQELIAKPNKKRALRAKDEELLPVCSIDLSKLLRKLSWSSLLSGRGIDGLETPDPIELVTGYLIQGNDT -CLPCLQGDRKFTWFFVPPGITLDAPPEDNPPIRVPYIGSRTDERRVASLGYVKGATPALKAALRLAGVYI -WAFGDTDTNWDDAYHLASTRISITLDQLRALTPLPTSANLTHRLDDGLSQMKFTPASSYAYSSYVHISND -NQNLEVLQKQLDSNLIYQQLMILGLGIIETWLQLPQWENKNDLSLHLHTGGSCCVRPVDMCIVNESDVEV -PTLVVPYSNKFVYDQNPLNHEDQLHIGNLSFQANLSGLDLIPTLEKIPLLAHLVGMQFSHSISGLDESVS -LMNDAVVETDYANNWISECLNTQLDKVFYYAAWSVMLEMSYQMYYLRITGPTAIADYISIVLDRIPGLAL -TGISSTINHPKILRRLINLGIVVPSNSPYLATLDYQKITTSAIMWGVHKVLSDISAGIDIELVIPSEDST -ELSDRVLNLIARKLSLVSLILTISKDLAYVRGLTPEQKCKSLTDKLMAELDLYYIDPELANKLKLMICEP -KISAFPSNHYYLMRKLLNWIRESDEAQCFLSAYYDSFGFLDTMIQIDPLPSNDEENSAMATLTKFDFTVS -LTNIDKDAEKHCYPKTDPSLQLFMKGVPDPPIHHVLRPIGLSSTSWYKGLSIINILKRMKIPSGDHLYLA -EGSGALMTLIETYFPGNKIFYNSLFSSGQNPPQRNFKPLPTQFAESMVYMNIMQQESTLEDGVELFVPLW -SGYSKQTDLGTKECVEYILESVAGNRVTLISSDLEEGLGVNPGVISKAMIHTILLAYLLLRENGIFILKV -NFTPFSKFSRIISLIYQKFKDVTILRSAYSDPSHDEFYLVAVASCESTVTDFNQARYISESIDESSLTLI -SSEVISQIHTELEIHKNDVLDVINIQIATAQCNENYDDHLLLTRLASVNHLNRVFLTEASASLHDMVERI -SAVVTLYLKETINIIESAQLDRTKLIYNSYNVSNYGKIRTSAKITARLILDIIIRNWTRLGNGLRIRVKN -SIEQGQFVLSCVMTPATFLKYSTARRYITKTLGLDQLNIEFTQNIQCLLSRSEQKRVWKDIGCMVFVESQ -FTDQISDDIPDSDFIWDEDEQIERGIDGEEI - ->YP_009094364.1 large polymerase [Avian orthoavulavirus 9] -MDNPGQSRPDHQVILPEAHLSSPIVRHKLYYFWRLTGVPLPHSAEFDTLVLSRPWNKILQSNSPEVLRMK -RLGANVHATLDHSRPIKALIHPETLAWLTDLSIGVSISRFRGIEKKVSRLLHDNREKFCTLVSQIHEGLF -GGVGGVRNNLSPEFESLLNGTNFWFGGKYSNTKFTWLHIKQLQRHLILTARMRSGQQLYIQLKHTRGYVH -ITPELTMITCNGKNLVTALTPEMVLMYSDMLEGRDMVISVAQLVNGLNVLADRIECLLDLIDQLACLIKD -AIYEIIGILEGLAYAAVQLLEPSGKFAGDFFEFNLREIAAILREHIDPVLANRVLESITWIYSGLTDNQA -AEMLCILRLWGHPTLESRTAAAAVRKQMCAPKLIDFDMIQQVLAFFKGTIINGYRRQNSGVWPRVKKDTI -YGSTLQQLHADYAEISHELMLKEYKRLAMLEFEKCIDIDPVSNLSMFLKDKAIAHTRPNWLASFKRTLLS -DRQQLLAKDATSTNRLLIEFLESSNFDPYQEMTYLTSLEFLRDNDVAVSYSLKEKEVKPNGRIFAKLTKR -LRNCQVMAENILADEIAPFFQGNGVIQSSISLTKSMLAMSQLSFNCNRFSIGNRREGIKENRTRHRERKR -RRRVATYITTDLQKYCLNWRYQTIKPFAHAINQLTGLDLFFEWIHLRLMDTTMFVGDPYNPPSDPTIENL -DDAPNDDIFIVSGRGGIEGLCQKLWTTISISAIQLAATRSKCRVACMVQGDNQVIAVTREVNPDDSEDAV -LDELHKASDRFFEELTHVNHLIGHNLKDRETIRSDTCFIYSKRVFKDGKILSQALKNAAKLVLISGEIGE -NTPMSCGNIASTVSRLCENGLPKDACYMINYILTCIQFFFDNEFSIVPASQRGSTVEWVDNLSFVHAYAL -WPGQFGGLNNLQYSRLFTRNIGDPCTTALAEIKRLERAQLIPGKLIKNLLARKPSNGTWASLCNDPYSLN -IETAPSPNLILKKHTQRVLFESCTNPLLQGVYSEENDTEEAELAEFLLNQEAIHPRVAHVIMEASAVGRK -KQIQGLIDTTNTIIKIALGRRPLGARRLRKINSYSSMHMLIFLDDIFLPNHPPSPFVSSVMCSVALADYL -RQITWLPLTNGRKILGVNNPDTLELVSGSMLNLNGYCDLCNSGDNQFTWFHLPADIELADSSSSNPPMRI -PYVGSKTQERRNASMAKISNMSPHMKAALRLASVKVRAYGDNEHNWQVAWQLANTRCAISLEHLKLLAPL -PTAGNLQHRLDDSITQMTFTPASLYRVAPYIHISNDSQRMFSDEGVKESNIIYQQIMLLGLSAIESLFPL -TTNHVYEEVTLHLHTQFSCCLREAALAVPFELQGKVPRIRAAEGNQFVYDSSPLLEPEALQLDVATFKNY -ELDLDHYSTIDLMHVLEVTCGKLIGQSVISYNEDTSIKNDAIIVYDNTRNWISEAQNCDLVKLFEYAALE -ILLDCAFQMYYLRVRGYKNILIYMADLIRNMPGILLSNIAATISHPIIHTRLYNAGLLDHGSAHQLASID -FIELSANLLVTCIARVCTTLLSGETLMLAFPSVLDENLTEKMFLLIARYCSLLALLYSSKVPIPNIRGLT -AEDKCRMLTNHLMNLPSEFRLTENQVRNVLQPALTTFPANLYYMSRKSLNIIREREIKMLLFKCCSLPGM -KLQARWQLIWDTKVNDPIVKWRRIEFLCELDLSGQARFGVILDECISDVDKNGQGILDFVPMTRYLFRGV -GQASSSWYKAANLLSLPEVRQARFGNSLYLAEGSGAIMSLLELHVPHEKIYYNTLFYNEMNPPQRHFGPT -PTQFLASVVYKNLQAGIVCKDGYVQEFCPLWRDVADESDLASDRCVSFITSEVPGGTVSLLHCDIETTLE -PSWAYLEQLATNISLIGMHVLRENGVFIIKVLYTQSFFFHLLLAILAPCSKRIRIISNGYSVRGDFECYL -VATISYTGGHVFMQEVIRSAKALVRGGGSIMTKQDEQQLNLAFQRQLNRIRGILGQRISIMIRYLQHTID -MALIEAGGQPVRPSNVGINKALDLGDETYEEIMIQHIDTTLKTAIFLEQEEELADTVFVLTPYNLTARGK -CNTVLIACTKHLFETTILQTTRDDMDKIEKLLSLILQGHISLQDLLPLKSYLKRSNCPKYLLDSLGRIRL -KEVFEHSSRMVLTRPMQKMYLKCLGNAIKGYLAVDASHCN - ->YP_009094217.1 large polymerase [Avian paraavulavirus 3] -MSSHNIILPDHHLNSPIVLNKLMYYCKLLNVLPGPDSPWFEKTRGWTNCCIRLSDCNRLTLARASRIRDQ -LATMGIYSKNQSTCFKTIIHPQSLQPIMHSASELGRTLPTWSRMRSEVSYSVTTQSAKFGDLFQGISTDL -TGKTNLFGGFCDLNHSLSPPAHALMTKPGMYLETSDAYACQFLFHIKTCQRELILLMRQNATAELIKQFQ -YPGLTIITTPEYSVWVFHESKQVTILTFDCLLMYCDLADGRHNILFTCQLLPHLNHLGIRIRDLLGLIDN -LGKNHPLIVYDVVASLESLAYGAIQLHDKVVDYAGTFFTFILAEIYESLESSLPSGNSEAIVTQIRNIYT -GLTVNEAAELLCVMRLWGHPALSSIDAANKVRQSMCAGKLLKFDTIQLVLAFFNTLIINGYRRKHHGRWP -NVDSNSILGTDLKRMYYDQCEIPHEFTLKHYHTVSLIEFDCTFPIELSDKLNIFLKDKAIAFPKSKWTSP -FKADITPKQLLIPPEFKVRANRLLLTFLQLDEFSIESELEYVTTKAYLEDDEFNVSYSLKEKEVKTDGRI -FAKLTRKMRSCQVIFEELLAEHVSPLFKDNGVTMAELSLTKSLLAISNLSSTLFETQTRQGDRNSRFTHA -HFITTDLQKYCLNWRYQSVKLFARQLNRLFGLQHGFEWIHCILMQSTMYVADPFNPPNGNASPNLDDNPN -NDIFIVSPRGAIEGLCQKMWTIISISAIHAAAAVAGLRVASMVQGDNQVIGVTREFLAGHDQSHVDSQLT -ASLENFTQIFKEINYGLGHNLKLRETIKSSHMFIYSKRIFYDGRILPQLLKNISKLTLSATTTGENCLTS -CGDLSSCITRCIENGFPKDAAFILNQLTIRTQILADHFYSILGGCFTGLNQHDIRLLLSDGSILPAQLGG -FNNLNISRLFCRNIGDPLVASIADTKRYVKCGLLTPSILDSVVSITDRKGSFTTLMMDPYSINLDYIQQP -ETRLKRHVQKVLLQESVNPLLQGVFLETQQDEEEALAAFLLDRDIVMPRVAHAIFECTSLGRRRHIQGLI -DTTKTIIALALDTQNLSHTKREQIVTYNATYMRSLTQMLKLSRTVHKGMTRMLPIFNINDCSVILAQQVR -RASWAPLLNWRTLEGLEVPDPIESVSGYLGLDSNNCFLCCHEQNSYSWFFLPKLCHFDDSRQSYSTQRVP -YIGSKTDERQMSTINLLEKTTCHARAATRLASLYIWAYGDSEDSWDAVESLSNSRCQITREQLQALCPMP -SSVNLHHRLNDGITQVKFMPSTNSRVSRFVHISNDRQNYVLDDTVTDSNLIYQQVMLLGLSILETYFREP -TTVNLSSIVLHLHTDVSCCLRECPMTQYAPPLRDLPELTITMTNPFLYDQAPISEADLCRLSKVAFRKAG -DNYELYDQFQLRSTLSSTTGKDVAATIFGPLAAVSAKNDAIVTNDYSGNWISEFRYSDYYLLSTSLGYEI -LLIFAYQLYYLRIRYKQNIICYMESVFRRCHSLCLGDLIQTISHSEILTGLNAAGFNLMLDRSDLKNNQL -SRLAVKYLTLCVQAAINNLEVGSEPLCIIGGQLDDDISFQVAHFLCRRLCILSLVHSNLQNLPTIRDNEV -DVKSKLIYDHLKLVATTLNDRDQSYLLKLLNNPNLELHTPQVYFIMRKCLGLLKAYGAVPYKQPFPTSPI -VPFPNLSGSKWHLERVIDSIEAPKSYTWVPNTTLPLAKDHVSPNPSRILDKINLFRSLSPRHSVWYRNRQ -YKLILSQLSHDILGGSTLYLGEGGGSTILTIEPHIRSDKIYYHTYFPADQSPAQRNFIPQPTTFLRSNFY -HFELEPSGCEFVNCWSEDANATNLTELRCINHIMTVIPVGSLNRIICDIELARDTSIKSIAXVYLNLGIL -AHALLSPGGICICRCHLLNASNLAIVSFVLKTLSSKLAISFSGFSGVNDPSCVVGTTKESTISLDVLSSI -ASAFINELTSNEVPIPQEVLTLLSCYTEQLGNLGQLIEKTWIREIRKPHLMQCEMEWIGLLGNDALSDVD -NFLNYYNPSCSSVPELITPTVSSLLFELVSLTPEVCSYDESNYKRTIQVGQAYNITVSGKVSTMIRTCCE -QCIKLLIANSEVLIDTDLAYLVRGIRDGSFTLGSIISQNQILKASRAPRYLKTPKIQLWVSTLLAIRIEE -VFSRHYRKVLLRSIRLLSLYKYLQDKTK - ->YP_009094173.1 large polymerase protein [Avian orthoavulavirus 12] -MGSQGASSNAHNLILPESHLSSPIVKHKLLYYWRLTGLPLPSDDQYDSLIITRDWKTILNSNKGEVSRMI -NLGRQTHSYLNHKLPVLSVTHPSTLKWLTSIRSTISDEKFATIEKFVRSHIRAYGARFSDLSNLVERKLY -GESYLPGRSQEFKNLLSNPGVWFHNQWTHSRNLWLHVKQLQRHLIVKCRERKNDQSIFTIEAIDGVVLIT -AELVSVVDNRENRLTCLTQEMVLMYADLLEGRSMITLHCSLLPYLTPLGDKINDLLSLVDELSLTIKGDI -YEIVAILEAMAYSVVQLFEPNELFAGDFFSFNLSEIKTLLLLHFSTPIADSIIRAISVIYSGISCDQGAE -MLCVLRLWGHPLLTASTAAKAVRTQMCAPKIIDYDITMQVLSFFKGTIINGYRKKNSGIWPKVNPSSIHS -EIIHQLYLDSAEISHAIMLREYKALSLLEFAPCIDFDPISDLSMFLKDKAIARPSREWLSSFKTNLLTSA -DRPKKNSSQASNRLLLEFLESSEFDPYKEMEYLNSLAYLDDDDVSVSYSLKEKEVKINGRIFAKLTKSLR -NCQVMAESILAAEIAPFFQGNGVIQDSISLSKSMLAMSQMSFNCNKDRLLNTKRRISSIRESSNNQKEKI -RLATFLTTDLSKYCLNWRYQTIKPFARAINQLMGLEHFFEWIHLRLMNTTMYVGDPYNPPQEVVTGDIND -QPNDDIFIVSARGGIEGLCQKLWSMISISAINLAATRADCRVACMVQGDNQVIASTKEIHCSDDPEQALR -QLHELSDRFFRELIIVNHGLGHNLKLRETMRSNTFFVYSKRVFKDGRILSQLLKNAAKITLISGDLGEDT -VASASDISGTIARICENGAGKDFSYLFNYYMLCVQFYFDQEFSIINRAGHDIRKTSLGNIELVHNYLLTP -SQVGGVNGLQYVRLYNRNIGDPGTTAFAEMKRLVSAQLLSRSVIENVMTRPPGSGNWATLCSDPYSFNFD -GTRSPSIVLKKHTQKVLFESCSNPLLAGVHQEDMDSEENDLARFLLDQEYIHPRVAHVIMQASSIGRRKQ -IQGLLDTTSTIIKYALDKRPLSIKKSERIQNYPALHFQLYEEDVWSPTRRNSPLISSDMCSVSLADYCRN -RSWSVLTGGRPILGVSNPDSLELISGCIVSVSGSCRLCDSGDSQYTWFHLPGNIDLSDDAVGNPAIRVPY -LGSKTQERRVASMAKIRAMSPHTKAALRASSVLIWAFGDNEINWEAALAIANSRCAIDLDHLKVLAPLPT -AGNLQHRLDDGISQVAFTPASLYRVSSYVQISNDSQRLITEEGVRESNLIYQQIMLLGLASLEALFPLGT -KYVTESLTLHLHSAHSCCLREASVSFPIALKGDPPSLRKEVQNRFMYDPNPISLIEIPKLDITVFRSYEL -NLDSYSTIDLMEVLALSTGKLVGQSIISYDEETSIKNDAIVVHDNSRNWISEFQNCDTVKLLEYAALEIL -LDCSYQMYYLRVIGFENIMLYLSDTIRAMPGILLSNLAATVSHPSIFGRLYRSGMVSTQGSHQLASIDFI -SLSSQVLMKAIRRMLTSFIQGDKPILLFPSVLDDTINDKFLQLIARYCCLVTLLFAKNDKVPKIRNMSPE -DKCRELTQYLVSPSTSRNYPHVDMNDLLTPKIDTFPANLYYMARKSLNLIREREDRDTILALIFPIPYHE -ELSHEKDPLTEVKDPFYTGECAFLIELRQGTDASRDLTKDELETVLPVSRLSNQATADLRKYLFRGIGQA -SSSWYKIGQLFSIPEVRQSRGTNALYLGEGSGALMSLTELYLPHRMIYYHTQFDNAMNPPQRHFGPSPSQ -FLDSVVYQNMQADIPCALGFIQEFKVLWREVAAETDLTRGESVTYITTCIPCQGIGFLMVDAELPSDSPV -SVIEEFITNIMTIGLFCMADKGVMIIKALFTRTLEFNFMVNCMMLAADRVILISNGHMCRGDGECYIIGW -FRSCVSAIHAGRVIQGAKQKARSGVCLLDPYQTQELVKLFVDQQAKVDEIITAPLENLTKYLTHNIDTAL -LAAGGRPTRPHGCDNAIISPADDIAFKEIIVKHIDTALKSIIYFFDEGCLADTVFLLTPYNLSNRGKVST -LVHQTVRQLFEQELMRVRKEDLFTIDRMITLVMLGRITLNDIIHWKEYLRRSSCKKYVRQRLGSEKVKTI -FCYDSEVVLNRSTQKIYMKVIGNAVKGYYGQD - ->YP_009094160.1 large polymerase protein [Avian metaavulavirus 5] -MESQVQWAQVIHPEAHLDSPIVGNKLIYFWKLSGLKLPDDLLEYASTVTINERAVKDHDYSIYNSITAQR -DRFCLGLQSKGLFLDLLDPIVHPRTLPLLPIITLEEAKQLAHTEKKIILRAVKGHYSQIVELFSTLSKKL -INRDGLFSGTADSPWDQRRHPTLSDGSISILEDPTIFLGGSSQLHIHSWYLLRQTQRHIIESKRTQVRQS -TSYTFSGENTLAVVGPDVIMIALIKERKFTLLTFEMVLMYTDLVEGRAMILAVTHVEPHLNDLHERLVSL -WSLIDTIAYTIGEQVFNIIGALENMAYAAVQLHDADIDQCGEFFSFNLAEIGSCLDQFLPSLQKKRIIET -ISTLYSGLTVDQGAEMLCVLRTWGHPLLYAEKAAKKVRESMCAPKLINFDIVQQVLSFFVTDLINGFRRA -HSGLWPKIVPQSITDPVINQLWKDCSEIPYHISLSHYRSIAQIEFEKSIEYDLISDLSVFLKDKAICRPR -SQWLDIFKPTLLSSNKKATDQRRQRNNRLLLDFLSSDNFDPHEEFSYVTTRSYLEDQEFCASYSLKEKEI -KIDGRIFAKMTRKMRNCQVLLESLLATHVCDFFKENGVVQEQLSLTKSLLAMSQLAPRVSEYQGKIMRSS -HRLCINNKTKGAKAYSQFSSPKCKDSTQETQLKSGSPFCVNVEAPSEPQKKKTLIASFLTTDLQKYCLNW -RYPTIKLFAMKLNQILGIPHGFEWIHLRLRDTTMFVGDPYNPPNDINFINLDDQKNDSIFIVSPRGGIEG -LCQKMWTMISISAIHLSATKTGCRVASMVQGDNQAIAITREVKEGENAQIAMGDLSEISERFFTEFKLVN -RGLGHNLKVQETIRSKSFFVYSKRIFFEGRILSQGLKNAAKLVMISDTTGENTVSNCSNIGSTVARLIEN -GANKASCWIINWILNMKQIIFDTFFSLSNVSLKHTNLILDPKFLVTISLVPGQLGGLNFLNVSRMFTRNI -GDPVSASLADVKWLIKSGIIPRYVLRNIVFRKPGEGSWITLCMDPYALNLPYVQLPTTYLKRHTQRNLLA -NSSNPLLRGTRIENQYEEEEELARFLLNRESVMPRVAHVVFESTVAGRRRYLQGLIDTTQTIIKTALISY -PISFKKCQKISEYTANYITDFNECVYAENAKIEDPIQAWNRGLISDDTCSVTLADYTRSNSWRPLLQGRQ -IIGVTSPDTIELVSGSLLSSGRPCRGCLINDRSYSWFFLPGDINLSHPELSHSVQRIAYVGSKTEEHRAA -SISNIKNMTCHLRSALRGSSVYIWAFGDTPKNWDDCLALANSRCKLTLAQLQSLCPIPSTSNIQHRLEDG -ISTVKFTPASLARVASYIHICNDNHQSYSDGHSIESNIIYQQVMILGTGIFETLFPLGRDLVTEPLTLHL -HTGTTCCVREADGGTYSESRYAIPTLSVESSNPFLFDRSPISQGEEINIALKNFKYHEMGLENLDPPGII -MTLSLCMSKVIIDTTVGDSAHSSIYNEAIITYDNSINWISEFTYCDLGALFTSAARDLCLTIAYQLYYLR -ISGASRIRNYFITLLDRIPGIQLANIALTISHPLIWARLQASTVLKGPLSYYNATTNFTKAVKDSLTWGL -DQFLSMTLSGMETEMIFPNYQDDDLNPKLEQLLARRACLLCCIGDYPGRWPKIKTLDPIEKCSVITEFCM -WSIEAHLDDRTRLSPIHDLLMKPKITTFVTNIYFLTRKLLTMARGNEYCKEYIAQLYQGECAPHYSSLPM -SQTGTQDIMYTDEFSFCISNPFTHRDLHIHHCKMEDADEINYPLNRNWQIDIGRYLTRTIGMVSGSWYKI -SGLLAKGLCKGLPHGHGLYIAEGSGSIMTAVESIACSNTIYYNSLFSNDLNPPQRNLGPQPSQFYASTVY -KHISAKVPCPKGFIQEFQILWRESEIETDITTKECVNYILETVESCSCHISVCDLELSFGTPTTQYQQAI -LHYIILCSQTLIPGCPGVLKLYFTHVVLVHYTLSLLALLNYRTWIYHNAYCSQEGRECYIVFRKSAHVPL -ANLLPLFDKVDGLLKSGQSLASQELLQQVYSRLLIISTKLASYTNRLLSGLTMLSTTSTDLFLIGIGGQP -AFAIDPGFLRQNDQNSSHLQSLKRDCVTVALKETIQIREEDLSQQKTLLLSPYNLSTVGKIRTLLMITCR -KMVQIHILQMAMNNPVNIQSIVDFLLQPSINISMMIPVDECITLSNAPSYIRRSFNKQELTIMLGTQSSI -TLTRHEVKLFIKYLGSILKGEVI - ->YP_009094080.1 RNA polymerase [Tuhoko virus 3] -MAATSQIILPEVHLDSPIVENKLLYLLQLGELPIFDSYYEHDFFPEVDWPRVRREENKLFSRLNEVRDRL -LSKTLSATTRKLHHSLLPTPIPWPRCMPELHSVTIKHSLQRFTDAELTITHAAESISQGLSSVINELADK -LTGKSDLFSRNIQSCMDHSSHTRPNGLIDIAQIAQSTDWKDNFNQWFLIRHLMRQLIMDNNTGKISIPPI -EIDDRQMSVIITPELVTIWIGKTNHVFYFTFEMTLMVCDMYEGRMNAIMLTTMSCYLSPLRNRLQRLYYL -VDSLCEIIGNNVYSIVASMESLVYGKIQLADPVPDVAGEFYSFIITEMLSALIETKMFTIQEATTVVERI -SECYDNLSPDLIAELLCLMRMWGHPSLSAEKAADKVRKSMCAAKVIDLETNLKTLAFFHGILINGYRRKH -NGIWPKCTLPPNASLSLAELKHDNSELPHHYILQHWKEVAFIQFEKSFDADPGEELSIFMKDKAISAPKK -DWMSVFRRSLIKPICEKLGAPLPNAFNRRLLLNFLSDSNFDPDKELEYVTTGRYLDDDSFCASYSLKEKE -IKETGRIFAKLTKNMRSCQVMSESLLANHAGKLFKENGVVLDNLNLTKSLLTMSQIGLISKQSRRNVREN -VTVMTKVHKRSNLANTKIQSSHGPSSPTTDEQLEIAAFFLTTDLEKYCLNWRYQSIAMFANSMNQLYGYP -HLFEWIHPRLMRSTLYVGDPYNPPRNIHSTDLDEMKNEGIFIVSPRGGIEGLCQKLWTMISISIIILSAA -ESGTRVMSMVQGDNQTIAITTKVPRSIPHKDKKRIAYENSKAFIERLRVNNHHMGHHLKSQETILSSNFF -VYSKRIFINGRILNQSLKNMSKANLISDVLGECTQSSCSNLTTTIMRLTENGVEKDICYWLSFYLSIKQL -TFDLLFPLTSQFEDPVTAAYLNHPHLIGRICAIPSQLGGLNYYAMSRLFCRNIGDPLTSATSDLKRLITH -KVIPEWYLSNLINRHPGEGGWNTLASDPYALNIDYIYPPTSFLKRHTQKVLMENSINPMLSGVFSENSSD -EEAALARFLLDRPLVMPRVAHIVIEQTSCGRRRQIQGYLDTTRTMMKHALNKQPPGYQKVERIIEYNRLY -LNYNLEIIKKPIKKKTKIIIKASMLDLCSIDISKLLRRLSWSPLLGGRPLEGLETPDPIELIFGSLVDGC -NICHYCLAGDKKFTWFFIPSGILLDSPPEDNPPMRVPYVGSRTDERRVASIGYVKGASVSLKSALRCAGI -YIWAFGDTETNWEDALELANTRVNINMDQLRTLTPMPTSANLTHRLDDGLSQMKFTPASSYAFSCYVHIS -NDNQNLEQLDKLLDSNLIYQQVMILGLGLIETWLAAPNTENEEDISVHLHTGSSCCIRPVDMCVLNETEA -EVPHLLVPRANKFVFDDNPLDNEQIEIIDNVMIQSRLSGVDCLTIQEKIPLLAHLIALQFAHSLIGLDES -TSLVNDAVVDADYAANWISECLNTYLDRVFYYTAWNILLDLSYQMYYMRITSVNSVLDYLGIVLARIPGL -ALSGIASTINHPKILRRMINLGILVPSNSPYLATLNYHKLTTDAIMWGAHQVLADLQSGRDIEIIIPSED -STELSDRTLNLIARKLTLMALVVAPDSALPYVRGLPPDQKCKVLTDYLVQLVLTMTSDPEIINSWRSVIQ -MPKLSAFPHNLFYLTRKMLNAVRDSSEGQALLEQFYGSFGFLESDLNVQLMDLASGSQGDSKSLTVFDVV -QELFNSAHNVERLCFPTGDIQLDLEPIGHVTPPTHHVLRPIGLSSTSWYKGITLINYLKGLKLPIGDHLY -LAEGSGAIMTIIEAYFPGEHIFYNSFFTSGQCPPQRNFQPMPTQFTESIVYQHLQNDIPCDMGFVQDFIP -LWSGNSKQTDLSRKECVNFILDRIPSSTVSILSCDLEEGFISNPNELSSALLHVLLLSFILVKESGVTIL -KTDLMPFSKLSKLVTILSHRYTKIDAVRSAYSDPSHHEIFLVCIAGPGMGIGEFNMALHKANTDAQQGFS -IINPLRHHELLHMTADSSNNVMDIIDMQIKLAQASENEDDHILLSRLGCRSQTDKLLSIKASKSMDEFLH -RVASLITVYLKESINIIESYNEDRTRLIFMAYNVSAYGKIKTSAKICAKTILDITIRNWTLLGFPLRKLV -AASLRLGEFSAGLVINAKDFLQRSNARKYIKTSVGLEQLDIELSQNLRLLLSRAEQKTVWKQIGAINLVS -SLENDSDTLWGDAYLEDEPEQPEFDIAGEEI - ->YP_009094067.1 RNA polymerase [Tuhoko virus 2] -MAAPQQIILPEVHLDSPIVQNKLLYFVRLGGLPLPDLFFEDDFFPDVDWDRVRREENRLSSRLETIRSRI -LAKTQTGSGLNPINKTAPLILLWPSCLTRLHQFTFPEALSRFHDANKIMQAACNYLSAGLTTVLTSVSEK -LTNKTNLFTHNESQCLHVRSHDRPQDLKQICEQMTNAQWKSQFDLWFLVRHQMRTLINKNNNQSTDKLVI -EMDRRVQSIIITPELVALWDREDNFLTYLTFEMVLMVCDLYEGRLNILGVAKMSCYLSPLTSRLNKLFEI -VDELCTLLGNQVYAIVASLESLVYARLQLLDPVEEVCGDFYSFICKELIDGLTANDVISIPEAELIADKL -LDCYNNLTPDLIAELLCVMRMWGHPMLTSEKAAAKVRESMCAPKVIDFETTLKTLAFFNEIIINGYRRKH -NGIWPKCKLPPYASLSLQELKHDNSEISHQYTLTHWKEIAFIEFEKSFDADPGSDLSIFMKDKAISAPKK -DWLSVFRKSLIKPLCERLHQPMPSSYNRRLLLNFLDDDQFDPVKELEYVTSGAYLDDEDFCASYSLKEKE -IKETGRIFAKLTKKMRSCQVICESLLANHAGKLFKENGVVVDHLNLTKSLLTMSQIGLISTKSRRAAREN -ITVVSHLKSRNNNPTQSIQKQADQPTIDETTEIAAFFLTTDLKKYCLNWRYQSIILFATSLNKLYGYPHL -FEWIHLRLMRSTLYVGDPFNPPRFLNTLDLDEVQNDGIFIVSPRGGIEGLCQKMWTMISIAVITLSATES -STRVMSMVQGDNQAIAMTTKVPRSLNHRQKKEIAYNNSKLFVERLRYNNRGLGHHLKEQETIMSSDFFVY -SKRIVFRGRILNQALKNVSKLNLIADVLSECTQTSCSNLTTTIMRLTENGVEKDICYNLSFFFSIKQLVY -DLLFPITTIFEDEVTALYLNHPILISRLCLLPSQLGGLNFYSISRLFNRNIGDPLTSAIADLKRFLAADL -LPKWTIANLLGRNPGKGTWSTLAADPYSLNIDYLYPPTTYLKKHTQRVLMEGSVNPMLQGIFTDNAIEEE -NAIAKFLLDRPIVMPRVAHIVLEQTSCGRRKQIQGFLDTTRTIIRHALAKQPPSYKKLEKIIDYNRLYLA -YNLELISKPNDKKAERKAREDLVNVCSIDLAKLLRRMSWSQLLSGRPIDGLETPDPTELIQGYIINGNDN -CLACLQGDRKFTWFYIPGNITLDAPPEDNPPIRVPYIGSRTDERRVASLGYVKGATQALKSALRLAGVYI -WAFGDTDDNWNDALNLASTRVNITLEQLRTLTPMPTSTNLTHRLDDGLTQMKFTPASSYAISSYVHISND -NQNLEIMDKQLDCNLIYQQIMLLGLGIIETWLQLPQYQNIDDFSVHLHTEGSCCIRAVDMCVINETSDEV -PPMSVPYGNKFVYDDSPLDHDQQVKIENLSFQANITGLDIIPTEEKIPLLAHFVGLQFAHSLTGLDEATS -LVNDAVIETDYANNWISECLNTYMDKVFYYTAWNMLLDLSYQMYYLRVTGITAILDYMTLILDRIPGLAL -TGIASTISHPKILRRLINLGIVIPSNSPYLATLDYHKMSTLSIMWGVQSVLSDISSGLDIELIIPSEDST -ELSDRVLNLVARKLSLISLVIGHGSALSYVRGLTPDVKCKTLTEKLIVIIETTITDPEQRGKLSTLVTEP -KISAFPSNLYYLMRKLLNWIRDSDKAQQLIAMFYDSFGYMEFQTSDISPINHSIDTCTSNSLTIHDKVSN -ILDYDTVAEKYAYPKCDPSLQYHMKPVADPPVHHILRPIGLSSTSWYKGLSILNVLSCSKVPTGNHLYLA -EGSGALMTLIESYIPAQKIYYNSLFSSGQCPPQRNFQPMPTQFTESMVYMNIQNGYGNSDLIDQEFIALW -SGVERQTDLSKKDCIEFILQQIPSQSLSLISSDIEEGIGSNLTQLSKATINSVLLSYVLLKDGGLLIMKI -NLTPFCKFSQLITLLSSKFKNIKAVRSSYSDPSTDELYLVATASGDSSVGDFNAARYNSDILDSESFTLV -SPQLLLDLHHGITENQKTVYDIIEMQISTGQCFENIEDHETLIKLGSSTQRNRLMTSPAAESRSELLERI -SDIITLYLKETINIIESSQLDRNRLLYNSYNVSSYGKIRTSAKLSAKLVLDIFIRNWINLGVPLRKRIAG -MIEKGYFCVGETISCKDYLSFCKARKYLLKTIGIDQLAVELEQNLSCEFQRSEQKKLWKNIGCIAFIESI -EPGDFNSPEQIFQDDEIEERDIGGELL - ->YP_009094060.1 large polymerase protein [Avian metaavulavirus 7] -MEFRQSDQIIHPEVHLDSPIIGNKILYLWRITGLPTPPVLELNSTISPEVWTNLKANDPRVAFKWDKLRP -RLLTWAAHQGISLSDLIPITHPESLQWLTTISCPKIDENFALIKKCLLRTRDYTASGFKNLFQMISQKLT -STNILFCAENPTTPPISDEASWALKNPEHWFNTPWSSCCMFWLHVKQTMRNLIRIQRSQPESQSIYSITV -DNLFVGLTPDLCVIADSQRQSITVLSFECVLMYCDLIEGRNNVYDLCQLSPVLSPLQDRILLLLRLIDSL -AYDIGAPIFDVIASLESLAYGAIQLYDYDTEAAGDFFSFNLREISQVIEESKCRNQTHTIISAISKIYTG -INPDQAAEMLCIMRLWGHPLLYASKAASKVRESMCAPKVIQFDAMLLVLAFFKRSIINGYRRKHGGLWPN -IIVESLLSAELVAAHHDAVELTDTFVIKHYREVAMIDFKKSFDYDIGDDLSLYLKDKAICRQKSEWLNIF -KGQLLEPAVRSKRIRGIGENRLLLHFLNSVDFDPEQEFKYVTDMEYLYDETFCASYSLKEKEVKRDGRIF -AKMTPKMRSCQVLLEALLAKHVSELFKENGVSMEQISLTKSLVAMSQLAPRVNMRGGRAARSTDVKINQR -RVKSIKEHVKSRNDSNQEKIVIAGYLTTDLQKYCLNWRYESIKLFARALNQLFGIPHGFEWIHLRLIRST -MFVGDPYNPPASIQSLDLDEQPNDDIFIVSPRGGIEGLCQKMWTLISIALIQAAAAKIGCRVTSMVQGDN -QVIAITREVRVGEPVREASRELRLLCDEFFTEFKQLNYGIGHNLKAKETIKSQSFFVYSKRVFFEGRVLS -QILKNASKLNLISDCLAENTVASCSNISSTVARLIENGLGKDVAFILNFQTIIRQLIFDEVYTISLNYST -ARRQVGSENPHALAIAALIPGQLGGFNFLNVARLFTRNIGDPITCSLSDIKWFAKVGLMPEYILKNIVLR -APGSGTWTTLVADPYSLNITYTKLPTSYLKKHTQRTLVADSPNPLLQGVFLLNQQQEDEALCKFLLDREQ -VMPRAAHVIYDQSVLGRRKYLQGLVDTTQTIIRYALQKMPVSYKKSEKIQNYNLLYIQSLFDEVLTQNVI -HSGLDTIWKRDLISIETCSVTLANFTRTCSWSNILQGRQIVGVTTPDTIELCTGSLISCNSACEFCRIGD -KSYSWFHTPGGISFDTMSPGNLIQRVPYLGSKTDEQRAASLTTIKGMDYHLRQALRGASLYVWAYGETDQ -NWLDALKLANTRCNVTLQALTALCPIPSTANLQHRLADGISTVKFTPASLSRIAAYIHICNDQQKHDNLG -NSFESNLIYQQIMLLGTGIFETIFPLSVQYIHEEQTLHLHTGFSCCVREADTMIIDESRTGFPGLTVTKS -NKFLFNPDPIPAVWADKIFTTEFRFFEYNIENQGTYELIKFLSSCCAKVVTESLVQDTFHSSVKNDAIIA -YDNSINYISELQQCDIVLFSSELGKELLLDLAYQLYYLRIRSKRGIISYLKVLLTRLPIIQFAPLALTIS -HPVIYERLRQRRLVMEPLQPYLASIDYVKAARELVLIGASSYLSMLETGLDTTYNIYSHLDGDSEGKIDQ -AMARRLCLITLLVNPGYALPVIKGLTAIEKCRLLTDFLQSDIISVSLSEQIATLILTPKIEVHPTNLYYM -MRKTLNLIRSRDDTVVIMAELYNIDQESAIMRVESEEDGPVDKMNLAPILRLVPITFKSMDLHALTGLGR -KEVELMGSPVCKITQRLDKYIYRTIGTISTAWYKASSLIASDILKGGPLGDSLYLCEGSGSSMTCLEYCF -PSKTIWYNSFFSNELNPPQRNIGPLPTQFCSSIVYHNLNAEVPCSAGFIQDFKVLWADKSVETDISTTEC -VNFILSKVELETCKLIHADLDLPIETPRSVWMACVTNTFILGNALLKSGGKLVMKLYAVDELLFSSCLGF -AWCLMDDINILRNGYFNDKSKECYLIGTKKVTIPHQKIQDIQQQINKIASQGLSVIPEAVIHDIYNQLED -SIRCEKKFKNDNAPTWSNGILNSTDLLLIRLGGKPIGESLLELTSIQGMDYDDLTGDIIQVIDTALNEII -HLKSDTSSLDLVLLMSPYNLALGGKISTILKSVVHQTLILRIIQSRQNKDIPLKGWLSLLNQGVISLSSL -IPLHDYLRKSKLRKFIVQKLGQQELQAFWQSRSQQMLSRSETKLLIKVLSAAWKGLL - ->YP_009094051.1 Large [Sunshine Coast virus] -MDSKTGSGLDLDGSYFHLNSPITDWDISLITYFSTGVPQNSDGFRRILSNLSYQSRNDHFHLCDLRVKGD -VMKLYLSDVTHVVRYPQSLRLMEIICSFFSTRDPLIPARDKLKGDKYLSPKLFRELLDIPGQKQDIVRRQ -TELFFQLSRFRSFIIELGSFENRCIWKAIKNETIRRLRKEFNLLDEVLPEKTRRRASVVIREEHAKLKTS -QKKSIIKSFPLKEDQLILFILEEGFFIKEGPKLSIIPKSAFLGFIDSIECELNLYLFSKMKPYFSEIGRL -SSLIRNAVIEHGEPMFEIHKNIVPFIQSSILKKLEQSDDPLYNQFSETVMKNLKKITSKTGDHTSNVIPE -TISSIFQDISNVLERMDIDDRCDLIALEKCCLHPILGVREAILKVREHISTPHELDNKVIHKVLACFKVI -IINGYLKRYQIGWPQIDENSIVNDDLKRLYLKSMPLTNQHIKTYWFEISQIHFKKTFNPDEYDPTSDLLQ -DKAIAPIRKEWLSCFSGSVLGEKREQPTKPKRLIDYMLQVPEMKSLSVLEYVKNRKHLLDNSNNISYSMK -EKENKTSARLFAKMTCDLRACQIVLEGLTAKFVAPLFPEHGSVLTFLDLERDLSTLSSLQNSYTHKRKEL -SSEVTYLTTDLVKYCLRWRSQVTSLFFETLDELFGFEETFNWMHPFLMTNRLFVADPGYPPLLHLGRKIE -TSLDDDFFIDGSLGGIEGYQQKEWSAISIALIKASAIWSGTIAPSIVQGDNQVIAITSLHYQGQTREKIN -HEHLDSVKRFLKTFTEFNSAMGHELKVEETIISREIFVYSKKIYRKGRSMGIPGKKVMKSFCFDPDAKMN -FLSSVALYESCISSGIKEGLTPDVESWSDTRRHLFIKTLVAHASLSPLDRLESINCLHNMVKINFLPIFL -HVFMGGFSTLTNESFTIQRIDDVLTSSIQTLLSICGDKIGLKIVIERMKGLQSTTPLKILMNPLGLELDD -GLREEPPALDHEIRDLMYESKNTLVTCALDYCGSEELEDFASKIIGNNSVHVLLASTIFDNSLQGRLFGF -LNGLCKTRTLQQKVLKRQKYMLQRKRIITLEASGKVRKFVGLIGEEKLRVNDSGSINLLYYEVHGYQTCS -LEIAEKLRSMRGKMCKGKENLVFRFHSSPSIREMISIQTVPPSVSQPHLVVTLSPGSGGSPYLGSSTEER -TNHNDWDQDTLTPPGKTAIQLATLSKWITGDTKIVESVVRNLLQVGEEEMSMEDLPEQVLSRNFDHRLNS -TRAETTYMVPYKDKMNYKMSFSTNFFKITSDMILHGDNNKILDSDDTNVIYQNCIIHCLSSTLSTLDKSI -EVVKDIVDNEPTQSQCTKEVNDVGYIVENLEEESIKRSNNALQFDTHQTTDLIPVEGHSLPVSFKGNIDQ -RKRIIAYGVLKRNCCIRLSDFMQVPFDPCCESQMRISCNYKPFATTIIPRLRAKRMNVEDLGLYYEEKLG -YLMGYYLGRILIRSSSKVRGLSEENFLEVSSSGSNKNYSSEISLCNFDAFIIGLVWGLLYTIMSYLNPEY -VSNPREVEPHVTQMMVSITHRGLDTLKNTLSDKRVQECRSRSKIRWSYYGVSTENQSILEDLQYLVTRTY -RNLPDILRGHSNPPLILDNRKRGVLDDEVHDSNLNPYISTLDQVFKKLFQNRSNPDINIPVVYLDAKIPI -LCREIVIKIRNSSMGNLSQEQIQDERENSLPDKIRISLGLHSVKLRKEDTIGLEVKTSKHTCWDGEYSNP -ITGIFVEPVLLLQVRTNENSKLIHLCTRTHGLNSTTGFKCVSLIRNAFDIIPEGPILMMGEGSGNFCALT -LRMHEFLRGEENYEMYVQSMHGDYDGYTKELWIPSELENAGFDVIPLFPDKGIYDVQKKKGVFSLQPFPM -TNGDILDPSYLSRLEPLIRSCVLITCDAEFESGTNPRLIYRMINYVLERSPCCFIIKCLMRSKMTYLIDE -IIASNWYREIKILAYVPYNQGYNDLEVYLIFRLEVEPTPLIDINLISSIRRSLSILEWVKETQSERYLIA -KLGIPSNFDKIQLLLRICPKITGKEKTGREILDLIEESIINRVKLTRRTMNRKFSDLSWTQDPVSIFQNE -VDYILSQIILIQILENYGKSGYLPEDTINQVFSFILKDTSLTIIPEIGEKKIYSFKQMDIKETKVETKEI -PRPLAKNVLKLVGHIILLLSGSCQVGLE - ->YP_009094034.1 RNA polymerase [Sosuga virus] -MAAPAQIILPEVHLDSPIVENKLLYLIQLGELPTYDYYYNHDYFPGIDWSRIRHEESKIFQRLSEVKERL -LAKCLSSQRKRKNLSLNFNPVPWPKCMTILHRVIINSSLERFKDAEHIISHALAPLSPGLTTLLEDVSSK -ITGKQNLFQQNIKSCSFHPNHSRPNSVMHIATSAFQVEWKDNFNQWFLIRHLMRQLIMRNSEGHTQITPV -EIDDRIMSVIITPELVTIWLSENNTIIYLTFEMVLMITDLYEGRMNMMLLTTLSCYLAPLKSRLKQLFII -VDDLSSIIGNSVYSIVASMESLVYGQLQLSDPVPDVAGEFYSFVVGEMLTALTDTKEFTTDEARIVVERI -TECYEDLTPDLIAELLCVMRMWGHPSLSAEKAAEKVRKSMCAPKIIDLETTLKTLAFFHGILINGYRRKH -NGIWPKCRLPPNAGVSLTEMKHDNSELPHQYILNHWKEIAFIEFEKSFDSDPGDDLSIFMKDKAISAPKS -DWMSVFRKSLIKPTCERLHAPMPRPFNRRLLLNFLADSNFDPSKELEYVTTGAYLEDEEFCASYSLKEKE -IKETGRIFAKLTKNMRSCQVMAESLLANHAGKLFKENGVVQDNLNLTKSLLTMSQIGLISRQSRRNIREN -VTILHKVTKNHHQKFSSAPSTKTGQKSQQDEQFEIAAFYLTTDLEKYCLNWRYQTIIMFATSMNRLYGYP -HLFEWIHPRLMRSTLYVGDPFNMPRYLDTTDLDLVKNEGIFIVSPRGGIEGLCQKLWTMISISVIILSAA -ESNTRVMSMVQGDNQAVGITTRVPRCVSTKEKKRIAFENSKAFIERLRVNNHNLGHHLKSQETILSSSFF -VYSKRIFSNGRILNQALKNMSKANLISDVLGECTQSSCSNLTTTLMRLTENGVEKDICYWLSFFLSAKQL -VYDLLFPLLAQFEDEVTSTYLNNPHLIGRLCAIPSQLGGLNYYSLSRLFCRNIGDPLTSATADLKRLIQH -GVLPEWYLNNLFKRKPGDGNWTTLAADPYALNIDYIYPPTSFLKKHTQRVLMEHSTNPMLAGVFVESSYS -EELDLAKFLLDRPMVMPRVAHIIVEQTSCGRRKQVQGYLDTTRTMIKHAITKQPPSFRKLEKIINYNKLY -LGYNLEMIQKPVGGRQKMIEIAHISDLCSIDISKILRRISWSSLLTGRPLEGLETPDPIELINGSLIDGC -NICHHCLSGDRKFTWFFIPSGIELDTPPEDNPPMRVPYVGSRTDERRVASIGYVKGASPSLKSALRLAGV -YIWAFGDTENNWEDALDLANTRVYIEMDQLKTLTPMPTSANLTHRLDDGLTQMKFTPASSYAYSCFVHIS -NDNQNLEQLDRLLDSNLIYQQVMILGLGVIETWLSFPNQINDEDISVHLHTGGSCCIRPVDMCVLNESPN -VPPTLNVPHHNKFIFDSDPLDKDQIEVIDNVMIQSRLCGIDCLTIEERIPLLAHLISLQFAHTLTGLDES -TSLINDAVVDADYSANWISECLNTYLDKVFYYTAWNIMLDLAYQMYYMRIIGLHSIIDYLNIVLTRIPGL -ALTGISSTINHPKILRRLINLGILVPKNSPYLATLNYHKLATEAIMWGAHQALADIRTGVDIELIIPSED -STELSDRTLNLVARKLTLIAIAIADNPNLPYVRGLAPDQKCKVLTEYLLVQVKLLTAEPEKLAMWKTVIC -EPKMSAFPHNLYYLTRKILNSIRESPLGQTLIDQFYSSFGFLESDPNMTLMNLASNLGGEFQSLTVFDVS -KDIYKESDDNERYHYPFLSQDSVDQTAKFAAPPTHHILRPIGLSSTSWYKGISIINYLANKRVPLGNHLY -LAEGSGALMTIIEAYYPGEKIYYNSFFSSGQCPPQRNFQPLPTQFTESIIYQHLINNIPCELGFVQEFLP -LWSGNSKQTDLSKRECVEFILSQVSTGTVSLLVCDLEEGFISNNCDLSCALIHVLLLSFMLIMDNGLLIL -KSDLEPFQKLSTIVSILSYRFKNITLARSAYSDPQSSEVFLICLAGPEKTVGTFNVARALAQQDKMDGFS -LINPCVLKDLREEMAESCNNVIDVIDMQLKMAHALENQDDHVLLSRLGSRSQTNQLLQTPQANTIQEVIE -RIANLVTIYLKETLTTIESYNEDRTRLLFLAYNISAFGKIRTSAQLTARAVLDIVIRNWPIIDFHMRKVV -IASLKLGEFSVSLVLTPKSFLSITHARKYFSQNLGLDQLDIELGQNLKVLLPRSEQKLIWKNIGCINLIS -TLEDDNNDFRYEPYPDESQDQPEFDLAGDEI - ->YP_008378665.1 large protein [Human parainfluenza virus 4a] -MADHTDVLLPEVHLSSPIVRHKLIYYILLGNLPNQISPEDLGPLSTINWSQVRREESKLCLRLISVRNNL -LKHVPSLREVDPTRQCKNLYWPRPLQFFKDLDFNQFKGKIERWEKIQHATQLVVNKRIVSFLDLISDKLI -SRKDLFTSARCRHNGHDRDSRLRELVDIESSWNKNHWTNITNLFLLIKYQMRLLICQMKKSQTGLLEVKL -EDRSGLIIITPELVCIYFQQANVLSYFTFEMILMISDVFEGRQNVYRIMFISYYLSPLKDRINDLLNYVD -NLALILGNKVYSIIANLESLVYAKLQLRDPVLEVRGQFHCFILEEIMEILHDVFSVEESAQVCSILSSFF -SGLSPDLTAELLCIMRMWGHPTLTAAGAAGKVRESMCAQKLLDFTTIMKTLSFFHTILINGYRRKHGGIW -PNVMLIDGTPNFIVSSKNDNAEISYEVALKYWKWISLFEFEKCFSADPGEDLSIFMKDKAISCNKKDWMS -VFRRSLIHERCAKNHLECPSNVNRRLLLNFLNDSNFDPNLELEYVTTLQYLTDDNFCASYSLKEKEIKET -GRIFAKLTKQMRSCQVITESMLANHAGKLFRENGVVLDQLKLTKSLLTMSQIGIISNKERKATKDSMTIL -KDIKKNKNIHKENEKKYGSENPSPFGDLRKNSAINTPDDSLEIAACFLTTDLQKYCLNWRYQAIIPFART -LNRMYGYPHLFEWIHLRLMKSTLYVGDPFNPPSDHNVSDLDNAPNDDIFIVSPRGGIEGLCQKLWTMISI -ATILLSSAESKTRVMSMVQGDNQTIAITTKVPRSMPHKEKKQSAYNASKEFFSRLKQNNYGIGHNLKEQE -TILSSDFFVYGKRIFWRGRILSQALKNASKLCLTADILGDCTQSSCSNLATTIMRLTENGLEKDVAIRLN -IFMAIRQLTFDLIFPMNTNNASNISDIYLNHPDLLARICLVPSKVGGLNYLSTSRLFNRNIGDPLVSAFA -DIKRLIMARCIEPWVLTNIMRRPPGDGNWSTLAADPYAVNIDYLYPPTIFLKRHAQQTLMESSVNPLLNG -IFNPNAKAEENNLAQFLLDRDIVLPRVAHVILAQTGCGRRKQIQGYLDSTRTIVKLALDIKPLSFRKTNQ -VLDYNLNYLSYQLDIILKPKTGKDSWSLDNINDCSIDLARNLRKLSWAPLLHGRGLEGLETPDPIELLDG -VLLTNKSLCHQCASGNDKFTWLYLPGGIQIDLEPSKNPPMRVPYIGSKTDERRIASLAQIPGASQNLKSV -LRLTGVYIWAFGDNEQNWQDAYELSKTRVNITLDQLRVLTPLPTSANLTHRLDDGVTQMKFTPASLYTFS -NYIHISNDRQVLQIDECNVDSNLIYQQIMITGLGIIETWNALPIKHTVHEVTLHLHTAASCCIRPVDSCL -VNNSRQDLPYLEDTTINKFIYDDQPIPEEKSSLIEQFIVNVNVGDFEFNDTQNSIVLLSQLMGKIVVDSI -IGLDESTSIINDAIIETDYSSNWISEFLNTYLDQVFIYIGWNILLELSYQMYYLRITGLMNLLDYIELTL -QRIPGLSIQNLASTISHPKILRRMINLGICVPSNSPQFATLNFTKIAVQCLMWGIKTALTNLYNHISFKI -LIHSEDAIDLNDRIYNFAARKLTLIAMLYDFECNLPKLKGLTAENKCCILTEFLLSETCLANLSIQHNES -YINQVTNPNIHPYPCNSYYLSRKALNFIRSKNESEFFLKNYYDDYGFVDTPTDFLQLKSEENNDTLLTND -IIIELLNHSQKLERHEIPFQESPTIDQENFYPEPPVHHILRPLGLTSTSWYKSLSIVKFLEMIQIPDGSH -LYLAEGSGASMTLIENFYPGRKIYYNSYYSSELNPPQRNFEPLPTQFIESVPYKQIQAEIECHLGFVQEY -KCLWNGVTETTDLSAISCAEFIINSIGVDSVTLIHCDGEEGFDTPQEEISSAVIHVLQITCSCLKKDGLL -LLKTSWVPFTRFSTLISICWTFSSQIILIRSAYSDPSNHEVYLVCKRSEDGWFSDLRTAILNAQTLTQSN -YTILSPNIINHYWEQLIHQKDNILNIINDTITSSDPQYFLSDNQKILQAGGSLSCQQWINITNFNSLVNL -YKHLASIYTIHIKEIIEILKSEGDEYLGLIWSPYNIGAQGKINTILRLLSEKVMLYIVKNWKLIDYHAKI -DFKHNLECGEFDAGCFFKPDLLYKYTPNPRYLRKTISNNVLVELITVKMVLKLSRPKQKQIWKLLGCTLF -VGIQDEQLGINEQKIDSNPDKYIQFNDANDERDVFGDIL - ->YP_007008152.1 large polymerase protein [Avian paramyxovirus 4] -MSNQAAEIILPTFHLESPLIENKCFYYMQLLGLMLPHDHWRWRAFVNFTVDQAHLRNRNPRLMAHIDHTK -DKLRAHGVLGFHQTQTGESRFRVLLHPETLPWLSAMGGCINQVPKAWRNTLKSIEHSVKQEATQLQSLMK -KTSLKLTGVPYLFSNCNPGKTTTGTMPVLSEMASELLSNPISQFQSTWGCAASGWHHIVSIMRLQQYQRR -TGKEEKAITEVHFGSDTCLINADYTVIFSLQSRVITVLPFDVVLMMQDLLESRRNVLFCARFMYPRSQLH -ERISMILALGDQLGKKAPQVLYDFVATLESFAYAAVQLHDNNPIYGGTFFEFNIQELESILSPALSKDQV -NFYISQVVSAYSNLPPSESAELLCLLRLWGHPLLNSLDAAKKVRESMCAGKVLDYNAIRLVLSFYHTLLI -NGYRKKHKGRWPNVNQHSLLNPIVRQLYFDQEEIPHSVALEHYLDISMIEFEKTFEVELSDSLSIFLKDK -SIALDKQEWYSGFVSEVTPKHLRMSRHDRKSTNRLLLAFINSPEFDVKEELKYLTTGEYATDPNFNVSYS -LKEKEVKKEGRIFAKMSQKMRACQVICEELLAHHVAPLFKENGVTQSELSLTKNLLAISQLSYNSMAAKV -RLLRPGDKFTAAHYMTTDLKKYCLNWRHQSVKLFARSLDRLFGLDHAFSWIHVRLTNSTMYVADPFNPPD -SDACPNLDDNKNTGIFIISARGGIEGLQQKLWTGISIAIAQAAAALEGLRIAATLQGDNQVLAITKEFVT -PVPEGVLHEQLSEAMSRYKKTFTYLNYLMGHQLKDKETIQSSDFFVYSKRIFFNGSILSQCLKNFSKLTT -NATTLAENTVAGCSDISSCIARCVENGLPKDAAYIQNIVMTRLQLLLDHYYSMHGGINSELEQPTLSISV -RNATYLPSQLGGYNHLNMTRLFCRNIGDPLTSSWAEAKRLMEVGLLNRKFLEGILWRPPGSGTFSTLMLD -PFALNIDYLRPPETIIRKHTQKVLLQDCPNPLLAGVVDPNYNQELELLAQFLLDRETVIPRAAHAIFELS -VLGRKKHIQGLVDTTKTIIQCSLERQPLSWRKVENIITYNAQYFLGATQQIDTDSPEKQWVMPSNFKKLV -SLDDCSVTLSTVSRRISWANLLNWRAIDGLETPDVIESIDGRLVQSSNQCGLCNQGLSSYSWFFLPSGCV -FDRPQDSRVVPKMPYVGSKTDERQTASVQAIQGSTCHLRAALRLVSLYLWAYGDSDISWLEAATLAQTRC -NISLDDLRILSPLPSSANLHHRLNDGVTQVKFMPATSSRVSKFVQICNDNQNLIRDDGSVDSNMIYQQVM -ILGLGEFECLLADPIDTNPEQLILHLHSDNSCCLREMPTTGFVPALGLTPCLTVPKQNPYIYDESPIPGD -LDQRLIQTKFFMGSDNLDNLDIYQQRALLSRCVAYDVIQSVFACDAPVSQKNDAILHTDYHENWISEFRW -GDPRIIQVTAGYELILFLAYQLYYLRVRGDRAILCYIDRILNRMVSSNLGSLIQTLSHPEIRRRFSLSDQ -GFLVERELEPGKPLVKQAVMFLRDSVRCALATIKAGVEPEISRGGCTQDELSFTLKHLLCRRLCIIALMH -SEAKNLVKVRNLPVEEKSALLYQMLVTEANARKSGSASIIIGLISAPQWDIHTPALYFVSKKMLGMLKRS -TTPLDVNDLSESQDLMPTELSDGPGHMAEGFPCLFSSFNATYEDTIVYNPMTEKPAVHLDNGSTPSRALG -RHYILRPLGLYSSAWYRSAALLASGALNGLPEGSSLYLGEGYGTTMTLLEPVVKSSTVYYHTLFDPTRNP -SQRNYKPEPRVFTDSIWYKDDFTRPPGGIVNLWGEDVRQSDVTQKDTVNFILSRIPPKSLKLIHVDIEFS -PDSNVRTLLSGYSHCALLAYWLLQPGGRFAVRVFLSDHLLVNLVTAILSAFDSNLLCIASGLTHKDDGAG -YICAKKLANVEASRIEHYLRMVHGCVDSLKIPHQLGIIKWAEGEVSRLTKKADEEINWRLGDPVTRSFDP -VSELIIARTGGSVLMEYGTFINLRCSNLADTYKLLASIVETTLMEIRVEQDQLEDNSRRQIQVVPAFNTR -SGGRIRTLIECAQLQVIDVICVNIDHLFPKHRHVLVTQLTYQSVCLGDLIEGPQIKMYLRARKWIQRRGL -NETINHIITGQISRNKARDFFKRRLKLVGFSLCGGWSYLSL - ->YP_001331035.1 RNA polymerase [Porcine orthorubulavirus] -MAGQQDVILPEVHLDSPIVKHKLLYLLKLGGFPIGTAFDGDGPHQRIQRWDRIRGEENQLFSRLDLMRQR -LERYTSLRTISVVPNHFSILIWPRCIQLCQTVDLRVYMELEREWKHVTTKACELLDKPLVRCLRDISHKL -TNRADLFSRARDEAYQGGEQHPTLQSIERTWEHNPWAQATSTWLMIKHRMRQLITQMKSDPTSTYPTVLE -TRHHLVMISPELVAIVFQAEKVITYFTFEMVLMVSDVFEGRLNVLSLCQVSNYLSPLAPRITKILALVDQ -LGTILGDGIYDIIASLESFCYAQLQLEDPVIEIAGTFYAFMADEMLTCLLDSNQFSRDEAYVIVEKLTSL -YGGLSPDLTAELLCLMRLWGHPSLVAAQAAGKVRESMCAAKVIQLGVTLKTLAFFHTILINGYRRRHNGI -WPPVHLPEYAPLALVELCKDNHEITYDFTLRHWKAISAIQFERCFDADPGEDLSIFMKDKAISCPKQDWM -SVFRKSLIKDRFTAAKRDLPQPVNRRLLLNFLEDANFDPVEELKYVTTRAYLTDDEFCASYSLKEKEIKT -TGRIFAKLTRRMRSCQVIAEAMLANHAGKLMRENGVVMDQLKLTKSLLTMNQIGIVSQKSRKFTSDNQTI -FKRPNRSNQGKTANRDTQRDSDEHEIAACFLTTDLKKYCLQWRYQSIGMFARSLNQMYGYDHLFEWIHLR -LMRSTLYVGDPFNPPEAGTDFDLDSVNGDIFIVSPRGGIEGLCQKFWTMISISVILLSAAESGHRVMSMV -QGDNQVVAVTTRVPRTLSQRDKKEIAHRACLSFFNRLKENNFGLGHHLKAQETIISSDFFVYSKRVFFRG -RILNQALKNASKLCLIADVLGDCSQASCSNLATTVMRLAENGVEKDLCYYLNVYLTIRQVTYDIKFPQVQ -TYSSDIRHFYANHPHLIARLAVLPSQLGGLNYLSCSRLFNRNIGDPAVSALADLKRLIRCGAIEHWVLSN -ILMRKPGSGNWSTLAADPYALNVDYLNPPTMFLKKHTQQVLMGQSRNPLLSGIFHENARQEENDLAQFLL -DREVVMPRVAYVILAQTSCGRRKQIQGFLDSTRTMIRHALQLQPLSFRKLNQVLEYNCLYLAYSLEIIEY -PKEVASHLMALTYETCSIDLARTLRKLSWASLLAGRPIEGLETPDPIELLDGALVVGSGTCLQCEQGDTK -FSWFYLPDGIQLDRDPMENPPIRVPYIGSKTDERRVASMTYVKGASSALRSALRLAGVYIWAFGEDEVSW -VEAHALAQTRVTITMDQLRALTPLPTSANITHRLDDGTTQLKFTPASSYAFSSYVHISNDDQVLELDDKV -LDSNLIYQQVMITGLGVIESWNNPPISTNEFEVTLHLHTGSSCCIRPIEQCVQNPALLALPDISTPYHNK -FVFDQDPLTDTVQERIDTTIYANKINSIDFVPLVDRIPLLSQLVGRSTVNSIIGLDESTSIMNDAVVESD -YANNWISECLYSYIDQVILSAAWNLLLELAYQMYYLRVRGRDAILEYMHSVLRRIPGLVFGGMSATISHP -KILRRLINLGIIRPTNSPYFATLDYVRLCVDALMWGSRRVLTDLASGYSLPILITSELSFILNDRMMNLV -SRSLSLISCLLSSGHEQPKVKGCDPTEKCAMLTYFLMQGPVMAVEEYEQRERLKKLIQEPKIDTYPNNIY -YLTRKLLNEIRGSEESRFMLTLYYESMTIDGNPLEEAVQWPVKTQESLTLTLTDFHVPIINPTTRLEKYQ -YPDWAIQTEEGQFHAANPPTHHVLRPLGLSSTSWYKSLSVVEFLSRRRLVTGNHLYLAEGSGASMSLIES -YIPGPIIYYNSLFHSGENPPQRNFAPQPTQFMESIPYKQILADIQTPAGFVQQFVPLWTGQSVQTDLNRK -ECTEYIINKVGVETCGLVHVDLEDFAIGPSAELTAGTIHSIIIATTVLKPGGALVFKTYWTPFSRFSRLI -SVLWMFFEEVTAIRSSYSDPHKTEVYLCALFAGSSARGSISQAIHGATLLHTEGFTMVAPAVVETFLVAH -KNQSNVVCQEIDRIVLTGDNSMQDQDNQLIIAAGGALAAQLWHDNPVFQDWPALVKYLVTVITTHLKEII -ETSKVDTLEYESLLMTAYNTGSFGRINTITNGLVETVLISVARNWLTLTEQYRLRLKHDLELGVFRVRSL -LNAEQFLGLTENPKYLLSALGKRKISEFFDERAVAFLSRPMQKRVWKTIGCALMEGDPVAPLSSHYLQEE -DVERDIAGDIL - ->YP_001249278.1 RNA polymerase [Mapuera orthorubulavirus] -MASQPEIILPEVHLDSPIVKHKLLYLLRLGAFPTLQAFENDGPLAGQNWARIRNEESNLYSRLKTQKFEL -ERRLGIVSTQINQRRHCVLLWPRIIPKLSHIKISEYLEKTKEWKHLIEASIQNASNQLITCTQQISSKLT -GRKDLFVRSRVNDSGTLDQYPSPIQLAQAWHANIWHRQVEAWLLIKHRMRQLISELRQMPAMCEITILDT -RSCIILIGPELVVLYLREERVISYFTFEMVLMVSDVMEGRLNVTALASISHYLSPLLPRISKLLDLVDQL -ALIIGDYVFDVIVSLESFCYAQLQLLDPVDELKGDFYAFMCNEILETLESTNAFSQDESMLLTMQLTSCY -SKLTPDLTAELLCIMRLWGHPSLTATQAADKVRESMCAAKVIKLETVLKTRAFFHTILINGYRRRHNGIW -PPHKLPESAPLSLIELAHDNNEITYQYALKNWKAITYIQFYKCFDSDPGEDLSIFMKDKAISCPKDDWMS -VFRRSLIKERFVAARKELPNQVNRRLLLNFLDDPNFNPEEELKYVTTGEYLTDDQFCASYSLKEKEIKTT -GRIFAKLTRRMRSCQVIAESMLANHAGKLMKENGVVHDQLKLTKSLLTMNQIGIISHSQRRFTKDNQTVL -SPFKSKSKHPNDADPMSTTTNSHEIAACFLTTDLKKYCLQWRYQTIIPFAQTLNAMYGYPHLFEWIHLRL -MRSTLYVGDPFNPPDSDNPLDLDEVLNGDIFIVSPRGGIEGLCQKLWTMISISTIILSATEANTRVMSMV -QGDNQVIAVTTKVPRSIPHKEKKAIALRACQGFFERLRENNYGIGHHLKAQETILSSDFFIYSKRVFFRG -RILTQALKNASKLCLTADILGDCTQSSCSNLATTVMRLAENGIEKDLCIYLNYYLTVRQLTFDLTFPQYA -NPNTSVNTLYTNHPTWISRLALLPSQLGGLNYLSCSRLFNRNIGDPLVSAIADLKRLIISQCIEPWILGN -LLGRRPGKGTWSTIAADPYALNIDYLYPPTTFLKRHTQSVLMEGSQNPLLAGIFSENAQSEENELAQFLL -DREIVMPRVAHVVIAQTSCGRRKQIQGYLDSTRTIIRHALKIQPLSTKRVITIVEYNSLYLAYVLEIIET -PREVPPYLLSLSVQDCSIDIARTLRKLSWASLLGGRPIEGLETPDPIELLDGHLLLGDSVCYSCEAGDEK -YSWFYLPSGVYLDRDPAENPPIRVPYIGSRTDERRVASMTYIKGASSPLKAALRLAGVYIWAFGESDDSW -AEAYQLASSRVDISLDDLQTLTPLPTSANITHRLDDGTTQLKFTPASSYAFSSFVHISNDSQQLEIDERV -TDSNLIYQQVMITGLGIIEAWNNPPINCNLTELTLHLHTGSSCCIRPVDSCFLNPPWVAVPELNVPVENS -FVFDSHPIDIHESLRLSDLKYASKINSIDYMPLNLQIPLLAHLTGRAMVNSIIGLDESTSIMNDAIIESD -YTSNWISECLHTYIDQVFVFAAWNLLLELSYQMYYLRVRGWDNILDYVYVTLRRIPGMALSGMCATISHP -RILRRLIDMQIVVPGNSPYLATLDFTKLCVDALIWGCKQALTNIKEGFDLEIVVPSEISFILSDRILNLV -ARKLSLLAVTLSESTMPPRVKGMSPEDKCAAITKYLHEFALPLLVEDDRRLRLEKIIDEPQITAYPNNLY -YLARKILNNIRSSDEGQFLLEMYYEPFSSQLEIPRSATPETDNPDLRSTLTVNDFYISIVDSATQLEKHD -AIDWIDDPSSGKSKFSRPPSHHVLRPLGLSSTSWYKSISIVEYLSRLSLSLGSHLYLAEGSGASMAYIEY -YIPAPTIFYNSFFSSSDNPPQRNFEPLPTQFVESVVYKQILAEVITPLGFPQEFRVLWDGHTDHTDLTKS -ACAQFIISKVGPETCSLVHADWEDNNQAETTRLSNALIHITLISSIVLKPGGYLVLKTRWLPFQPFSHLV -ALLWSYFSDIVALRSAYSDPNSTEVILCCKLGVDCKSVSFNHALSASGSLTSQKFTIIPPECVKEFFSQL -YTQSSRVQDAIDRAIVQCTLAHTHSDTMHLINVGGVPPNSKWNDSSVYQSFSDLIMSIIKIITIHLKEII -EILKTDSSDHESLLLTAYNVGILGRINTVIQLMTERILVKVVSSWGLFSDHTRSQLRRDLEMGCFSVSTI -LSSEEFLRKTDNRKYLSKELTTSLIHDVINNRASIQFSRSQQKQIWKAIGCSALVDQPQEFIDQNAVDRY -SEDEERDIHGDEI - ->YP_138510.1 Large protein [Simian orthorubulavirus] -MAASADILLPEVHLNSPIVKHKLVYFLLLGKLPHNLSEDEITPLHNQNWDQIAHEESNLSERLFAVRSEL -TRRIAQLRATRWRSEIAVLLWPNSLPYLCTFKPYNRLNTIDEWNKLVSAASNILSSPLSKCMQDISTKLI -GRTNLFSRSQSRPGQSADNTITLNKIAAVWADNKWQPLVSLWLTIKYQMRQMIANQSKRTCSELVYVVDT -RSGIIIITPELVTCFDKDHSVLMYFTFEMVLMISDLFEGRMNVTALCTVSNYLSPLLSRIERLFDIVDHL -AHLLGDNVYKIIASLESLVYGCLQLHDPVIDLAGTFYSFVAQEIVDGLQQGNILSPEEAYTVTEQLLECF -SGLSPDLTAELLCLMRLWGHPNLTAAQAAKKVRDTMCAGKVLDFQIIMKTLAFFHTILINGYRRKKNGIW -PPLSLPGNASKSLIELHHDNSEISYEYTLRHWKELSLIEFKKCFDFDPGEELSIFMKDKAISAPKEDWMS -VFRKSLIKQRHQRHHIPMPNPFNRRLLLNFIEDPSFDPAKELEYVTSGEYLRDPHFCASYSLKEKEIKPD -GRIFAKLTNRMRSCQVIAEALLANHAGKLMKENGVVMNQISLTKSLLTMSQIGLISEKAQRYTRDNIALG -ALFSKGQRTRAHPQTQLSSIDSSQNRELPDDSLELSACFITTDLTKYCPQWRYQTIIPFAKTLNRMYGVP -HLFEWIHLRLLRSTLYVGDPFNPPADTSVFDLDQVLNGDIFIVSPKGGIEGLCQKMWTMISISVIILSSA -ESKTRVMSMVQGDNQAIAVTTKVPRSVPLTEKRNLAYNASKLFFDRLKHNNFGLGHQLKAQETIISSQFF -IYSKRVFYQGRILTQALKNASKLCLTADVLGECTQASCSNAATTIMRLTENGVEKDVCYMLNVYQAIRQL -CFDLYYPQYSIPGEQISQHYLKHPSIVARLVILPSQLGGLNYLSCSRLFNRNIGDPLGTAVADLKRLIKC -GALESWVLSNLLSRKPGTGSWATLAADPYSLNIDYLYPPTTILKRHTQNTLMEVCKNPMLKGVFTDNARE -EENNLAKFLLDRDIVLPRVAHIVIEQSSVGRKKQIQGFFDTTRTIMRKSFEIKPLSSKRTLSVIECNINY -LAYNFNIIHHPNPIPGYLQCITTDNCSVDIARSLRKLSWSSLLNGRTLEGLETPDPIEVVNGALVIGVGE -CDYCMQGDTKFTWFFLPKGIEIDGDPEKNPPIRVPYVGSKTEERRVASMAYVKGATSSLKAALRGAGVFI -WAYGDTDANWDDALDLANTRVQISKEQLQALTPLPTSANITHRLDDGATTIKFTPASSYAFSSYTHISND -QQYLEVDNRVVDSNIIYQQLMITGLGIIETYNNPPIRTSSEELTLHLHTSSSCCIRPVDGCIICESPSLL -PQLTVPYTNPFVYDPNPLADYEIAHLDYLSYQARIGSIEHYSLQDRIDLLAHLTAKQMINSIIGLDESVS -LLNDAVVTSDYTNNWISECSYTKIDLVFKMMAWNLLLELSFQMYYLRITTWSNIFDYTYMTLRRIPGNAL -TNIAATISHPKLLRRAMNLDVITPVHAPYLASLDYIKLSIDAIQWGIKQVLADLHNGIDYEILILSEDSL -ELSDRAMNLIARKLTLLALIQGNQLVLPKIKGLSPDEKCLVLTEHLMSEYQLLLLDDAELSKRSYNLTNP -RITAFPSNNFYLTRKVLNSIRDSEEGQYLIGAYYDSFQQMETDIILHSTLIAPYDTSETLTKFDLCISLF -PHDDNLEKYPLPVDHDSQSAVSTIVPGPPIHHVLRPLGVSSTSWYKGLSYVRYLELCKVPTGDHLYLAEG -SGASMSLVEMLIPGQKVYYNSLFSSGENPPQRNYAPLPTQFVQSVPYKLWQADLTNKEGIIEDFIPLWNG -NGAVTDLSNKDCVAFIIHKVGAEQASLVHVDLESTANLNQQSLSRSQIHALIIATTVLKRGGFLVYKTSW -LPFSRLSQLACVLWSFFDKITMIRSSYSDPNSHEIYLVCRLAADFKTIGFSAALASAIAIAIHGDGFTTI -HPDVVSNYWQHHLENVGRVGKAIDDVIDGVSTNFYSGDNGLILRCGGTPSSRKWLDIDLLPTFSSLQETL -VNLVTVHLKEIIEIQTSSMEDYTSLLFTPYNIGSVGKIRTIVKLILERSLMYVIRNWLVMPSSYQDSVRQ -DLELGSFRLSSVLQEDTFWKLTENRKYLASQLTRDYITTFFTTHSILPIHRSYQKRIWKALGSVIYCSEV -PGESQQNWDSIPLVYEEDQIERGIDGEEL - ->NP_665871.1 RNA polymerase [Tioman virus] -MACPEQVILPEVHLDSPIVRNKLLYYLKVGGLPTSDEGICDTLFPNISWDRVRREESRLSARLQKVRQLS -YARLSSSVNSPRSPTANLDVEVILWPEIIPNLKRYILTSCLNRFADAEKCLISAMSPLVQGTKLLLSEMC -KKVSGKDTLVTNNVKACRFHGQHTRPQSALDFCHIYDANEYKDAFYTWFLIRHQMRALILKTQTNLSVDL -ITILDERDYCFIVTPELVTLVDRKETRMSYFTFEMTLMVTDMIEGRMNILAISSASCYLQPLKVNLRYLF -DIVDQLCAILGQNVYSLIANLESMVYAVLQLSDPVPDLKGEFLSFINSEIYTILTDSGEFTDCEAYAVID -KLNSCYLHLPADLVAELLCIMRLWGHPMLTSATAARKVRESMCAPKVIDLTTNLKTLAFFNGIIINGYRR -RHDGIWPNCTLPPFASLSLQELRHDNSELSYPYILAHWKEIAMLEFGKSIDADPGEDLSIFMKDKAISAP -RKDWLSVFRKSLIKQQCEQCRISLPQPYNRRLLLNFLADETFDPSKELEYVTSGAYLDDDSFCASYSLKE -KEIKETGRIFAKLTKNMRSCQVIAESLLAAHAGKFFKENGVVLDQISITKTLLTMSQIGIISKHSRRTTR -NNITVLNKSERHHLGRHETNANLSSGKREVPNETTEITACFLTTDLSKYCLNWRYQSIILFAKSMNQLYG -YNHLFEWIHLRLMRSTLYVGDPFNPPRNLTSADLDLVENGDIFIVSPRGGIEGLCQKLWTMISIAVIVLS -ATEAGTRVMSLVQGDNQAMAITTMVPRGLPHHEKKRIAYENSQTFIRRLRENNLGMGHHLKEQETIVSSE -FLIYSKRIIYNGRILNQSLKNVSKLCLIADILGESTQTSCSNLATTVMRLTENGIEKDICFFLNQYLTAK -QLVFDLLFPLTKLFEDQTSSAFLDHPILVSRLITIPAQLGGLNYYALTRLFNRNIGDPLTSAIADLKRYI -IAGMLPRWILKNLIAREPGDGDWNTLASDPYALNIDYLYPPTTFLKKHAQRVLMEGSVNPMLSGVFSESN -LPEENSLARFLLDRDIVMPRVAHIVLEQSVCGRKKQIQGYLDTTRTIIRYAINRQPIGNAKLCKILDYNT -SYLSYNLDYISTPVTCVTMSMSSSSLLKLCSIDLARLVRRLSWKSLLGGRQLDGLETPDPIELVEGALLT -RGSACTHCMSGNRKYTWFFVPAGIDITADPADNPPVRVPYIGSRTDERRVASMSYVKGSSSALKAALRLC -GVYIWAFGDTEKNWADAAQLANTRAQLSLDQLHVLTPLPTTANLTHRLDDGLTQQKFTPASSYMYSSYVH -ISNDDQNLEIQDRTVDSNLIYQQIMLLGLGIIETWLQLPNEINIEDSTLHLHTECSCCIKQVDACIINQV -EGNVPTLSIPQYNKFIYDTNPLTDQDLQDIDKLQFEAEISGIDAIPDQDKVRVLGHLTGLQLARSITGLD -EVTSLMNDAIVEADYSANWISECLNTKLDDTFLYAAWNLLLDLSYQLYYLRILGQNAILDYLSIVLSRIP -GLALSGIAATISHPKILRRLINLEIVRPYNSPYLATLNYTKMTCDALMWGARHVLSNIRSGFDIEIIIPS -ECSSELSDRVLNLIARKLSLLCCLTASHHELPHVRGLSPELKCKVLTEYLISKKNEYSITVGDVRGWETS -ILEPKISAFPCNIYYLTRKVLNYIRDSPFLQQQLADYYDIRSSVYSNIPVINNSTEGSDSEFPSTLCILD -WLTPLFSQADKVTKFHTPLTDSSPMVKDLTLVDPPIHHVLRPVGLSSTSWYKGLSVIKVLDTLPILSGNH -LYLAEGSGAMMTVIESKYCGTKAFYNSLFSSGQNPPQRNFQPLPTQFMESIVYQNIRYEEDQIGTDEPFF -VPLWSGSSEETDLSCAACVNLILKSIPSRSVSIMNVDLEDITQMQNPEVSRAAINVILLSDILLMPGGVL -IFKTYLSPFAKLSNFCKLLQIAFSRCVLLRSAYSDPASDEVFLVAIKSDLRSLTGSFDEIANQSRTDDKS -FTCIDPEILTQIIVNLNNQQQRVKNIINDQVRTGRCDVNIDDHIQLSRLGTSSQTPKILDLEIAFDFNDF -LTRVVQLLTTFLKEFISIHDDLATDRNSLLFDSYNLDRKGKLSTNNQIVTTHIMNLTARNWPLLPPAVNG -QVRNNLELGIFQCSSIVSWEVFLDLTPIRKHILKRIGSQIVRDLFTYHLIIKLDRSEQKRIWKAIGSVIL -SFTLDNDIPDHHPVLFEDPDTPEFDIFGEEL - ->sp|Q9DLD3.1|L_NDVB1 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MASSGPERAEHQIILPESHLSSPLVKHKLLYYWKLTGLPLPDECDFDHLILSRQWKKILESASPDTERMI -KLGRAVHQTLNHNSRITGVLHPRCLEELANIEVPDSTNKFRKIEKKIQIHNTRYGELFTRLCTHIEKKLL -GSSWSNNVPRSEEFSSIRTDPAFWFHSKWSTAKFAWLHIKQIQRHLIVAARTRSAANKLVMLTHKVGQVF -VTPELVVVTHTNENKFTCLTQELVLMYADMMEGRDMVNIISTTAVHLRSLSEKIDDILRLIDALAKDLGN -QVYDVVSLMEGFAYGAVQLLEPSGTFAGDFFAFNLQELKDILIGLLPNDIAESVTHAIATVFSGLEQNQA -AEMLCLLRLWGHPLLESRIAAKAVRSQMCAPKMVDFDMILQVLSFFKGTIINGYRKKNAGVWPRVKVDTI -YGKVIGQLHADSAEISHDIMLREYKSLSALEFEPCIEYDPVTNLSMFLKDKAIAHPNDNWLASFRRNLLS -EDQKKHVKEATSTNRLLIEFLESNDFDPYKEMEYLTTLEYLRDDNVAVSYSLKEKEVKVNGRIFAKLTKK -LRNCQVMAEGILADQIAPFFQGNGVIQDSISLTKSMLAMSQLSFNSNKKRITDCKERVSSNRNHDPKSKN -RRRVATFITTDLQKYCLNWRYQTIKLFAHAINQLMGLPHFFEWIHLRLMDTTMFVGDPFNPPSDPTDCDL -SRVPNDDIYIVSARGGIEGLCQKLWTMISIAAIQLAAARSHCRVACMVQGDNQVIAVTREVRSDDSPEMV -LTQLHQASDNFFKELIHVNHLIGHNLKDRETIRSDTFFIYSKRIFKDGAILSQVLKNSSKLVLVSGDLSE -NTVMSCANIASTVARLCENGLPKDFCYYLNYIMSCVQTYFDSEFSITNNSHPDLNQSWIEDISFVHSYVL -TPAQLGGLSNLQYSRLYTRNIGDPGTTAFAEIKRLEAVGLLSPNIMTNILTRPPGNGDWASLCNDPYSFN -FETVASPNIVLKKHTQRVLFETCSNPLLSGVHTEDNEAEEKALAEFLLNQEVIHPRVAHAIMEASSVGRR -KQIQGLVDTTNTVIKIALTRRPLGIKRLMRIVNYSSMHAMLFRDDVFSSSRSNHPLVSSNMCSLTLADYA -RNRSWSPLTGGRKILGVSNPDTIELVEGEILSVSGGCTRCDSGDEQFTWFHLPSNIELTDDTSKNPPMRV -PYLGSKTQERRAASLAKIAHMSPHVKAALRASSVLIWAYGDNEVNWTAALTIAKSRCNVNLEYLRLLSPL -PTAGNLQHRLDDGITQMTFTPASLYRCHLTFTYPMILKGCSLKKESKRGMWFTNRVMLLGLSLIESIFPM -TTTRTYDEITLHLHSKFSCCIREAPVAVPFELLGVAPELRTVTSNKFMYDPSPVSEGDFARLDLAIFKSY -ELNLESYPTIELMNILSISSGKLIGQSVVSYDEDTSIKNDAIIVYDNTRNWISEAQNSDVVRLFEYAALE -VLLHRSYQLYYLRVRGLDNIVLYMGDLYKNMPGILLSNIAATISHPVIHSRLHAVGLVNHDGSHQLADTD -FIEMSAKLLVSCTRRVISGLYSGNKYDLLFPSVLDDNLNEKMLQLISRLCCLYTVLFATTREIPKIRGLT -AEEKCSILTEYLLSDAVKPLLSPDQVSSIMSPNIITFPANLYYMSRKSLNLIREREDRDTILALLFPQEP -LLEFPSVQDIGARVKDPFTRQPAAFLQELDLSAPARYDAFTLSQIHPELTSPNPEEDYLVRYLFRGIGTA -SSSWYKASHLLSVPEVRCARHGNSLYLAEGSGAIMSLLELHVPHETIYYNTLFSNEMNPPQRHFGPTPTQ -FLNSVVYRNLQAEVTCKDGFVQEFRPLWRENTEESDLTSDKAVGYITSAVPYRSVSLLHCDIEIPPGSNQ -SLLDQLAINLSLIAMHSVREGGVVIIKVLYAMGYYFHLLMNLFAPCSTKGYILSNGYACRGDMECYLVFV -MGYLGGPTFVHEVVRMAKTLVQRHGTLLSKSDEITLTRLFTSQRQRVTDILSSPLPRLIKYLRKNIDTAL -IEAGGQPVRPFCAESLVSTLANITQITQIIASHIDTVIRSVIYMEAEGDLADTVFLFTPYNLSTDGKKRT -SLKQCTRQILEVTILGLRVENLNKIGDIISLVLKGMISMEDLIPLRTYLKHSTCPKYLKAVLGITKLKEM -FTDTSVLYLTRAQQKFYMKTIGNAVKGYYSNCDS - ->sp|P11205.1|L_NDVB RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MASSGPERAEHQIILPESHLSSPLVKHKLLYYWKLTGLPLPDECDFDHLILSRQWKKILESASPDTERMI -KLGRAVHQTLNHNSRITGVLHPRCLEELASIEVPDSTNKFRKIEKKIQIHNTRYGELFTRLCTHIEKKLL -GSSWSNNVPRSEEFNSIRTDPAFWFHSKWSTAKFAWLHIKQIQRHLIVAARTRSAANKLVMLTHKVGQVF -VTPELVIVTHTNENKFTCLTQELVLMYADMMEGRDMVNIISTTAVHLRSLSEKIDDILQLIDALAKDLGN -QVYDVVSLMEGFAYGAVQLLEPSGRFAGHFFAFNLQELKDILIGLLPNDIAESVTHAIATVFSGLEQNQA -AEMLCLLRLWGHPLLESRIAAKAVRSQMCAPKMVDFDMILQVLSFFKGTIINGYRKKNAGVWPRVKVDTI -YGKIIGQLHADSAEISHDIMLREYKSLSALEFEPCIEYDPVTNLSMFLKDKAIAHPNDNWLASFRRNLLS -EDQKKHVKEATSTNRLLIEFLESNDFDPYKEMEYLTTLEYLRDDDVAVSYSLKEKEVKVNGRIFAKLTKK -LRNCQVMAEGILADQIAPFFQGNGVIQDSISLTKSTLAMSQLSFNSNKKRITDCKERVSSNRNHDPKSKN -RRRVATFITTDLQKYCLNWRYQTIKLFAHAINQLMGLPHFFEWIHLRLMDTTMFVGDPFNPPSDPTDCDL -SRVPNDDIYIVSARGGIEGLCQKLWTMISIAAIQLAAARSHCRVACMVQGDNQVIAVTREVRSDDSPEMV -LTQLHQASDNFFKELIHVNHLIGHNLKDRETIRSDTFFIYSKRIFKDGAILSQVLKNSSKLVMVSGDLSE -NTVMSCANIASTVARLCENGLPKDFCYYLNYIMSCVQTYFDSEFSYNNNSHPDLNQSWIEDISFVHSYVL -TPAQLGGLSNLQYSRLYTRNIGDPGTTAFAEIKRLEAVGLLSPNIMTNILTRPPGNGDWASLCNDPYSFN -FETVASPNIVLKKHTQRVLFETCSNPLLSGVHTEDNEAEEKALAEFLLNQEVIHPRVAHAIMEASSVGRR -KQIQGLVDTTNTVIKIALTRRPLGIKRLMRIVNYSSMHAMLFRDDVFSSNRSNHPLVSSNMCSLTLADYA -RNRSWSPLTGGRKILGVSNPDTIELVEGEILSVSGGCTRCDSGDEQFTWFHLPSNIELTDDTSKNPPMRV -PYLGSKTQERRAASLAKIAHMSPHVKAALRASSVLIWAYGDNEVNWTAALTIAKSRCNINLEYLRLLSPL -PTAGNLQHRLDDGITQMTFTPASLYRCHLTFTYPMILKGYSLKKESKRGMWFINRVMLLGLSLIESIFPM -TTTRTYDEITLHLHSKFSCCIREAPVAVPFELLGVAPELRTVTSNKFMYDPSPVSEGDFARLDLAIFKSY -ELNLESYPTIELMNILSISSGKLIGQSVVSYDEDTSIKNDAIIVYDNTRNWISEAQNSDVVRLFEYAALE -VLLDCSYQLYYLRVRGLDNIVLYMGDLYKNMPGILLSNIAATISHPVIHSRLHAVGLVNHNGSHQLADTD -FIEMSAKLLVSCTRRVISGLYSGNKYDLLFPSVLDDNLNEKMLQLISRLCCLYTVLFATTREIPKIRGLS -AEEKCSVLTEYLLSDAVKPLLSPDQVSSIMSPNIITFPANLYYMSRKSLNLIREREDKDSILALLFPQEP -LLEFPSVQDIGARVKDPFTRQPAAFLQELDLSAPARYDAFTLSQIHPELTSPNPEEDYLVRYLFRGIGTA -SSSWYKASHLLSVPEVRCARHGNSLYLAEGSGAIMSLLELHVPHETIYYNTLFSNEMNPPQRHFGPTPTQ -FLNSVVYRNLQAEVTCKDGFVQEFRPLWRENTEESDLTSDKAVGYITSAVPYRSVSLLHCDIEIPPGSNQ -SLLDQLAINLSLIAMHSVREGGVVIIKVLYAMGYYFHLLMNLFAPCSTKGYILSNGYACRGDMECYLVFV -MGYLGGPTFVHEVVRMAKTLVQRHGTLLSKSDEITLTRLFTSQRQRVTDILSSPLPRLIKYLRKNIDTAL -IEAGGQPVRPFCAESLVSTLADITQITQIIASHIDTVIRSVIYMEAEGDLADTVFLFTPYNLSTDGKKRT -SLKQCTRQILEVTILGLRVEDLNKIGDVISLVLKGMISMEDLIPLRTYLKHSTCPKYLKAVLGITKLKEM -FTDTSVLYLTRAQQKFYMKTIGNAVKGYYSNCDS - ->YP_009177238.1 large polymerase protein [Avian avulavirus 2] -MDQTQADTIIQPEVHLNSPLVRAKLVLLWKLTGLPLPSDLRSFVLTTHAADDQIAKNETRIKAKINSLID -NLIKHCKARQVALSGLTPVVHPTTLQWSLPITCERAAQPAKVREKSVKQAMSEKQHGFRHLFSAVSHQLV -GNATLFCAQDSSTVNVDSPCSSGCERLIIDSIGALQTRWTRCRWAWLHIKQVMRYQVLQSRLHAHANSVS -TWSEAWGFIGITPDIVLIVDYKSKMFTILTFEMMLMYSDVIEGRDNVVAVGSMSPNLQPVVERIEVLFDV -VDTLARRIHDPIYDLVAALESMAYAAVQLHDASETHAGEFFSFNLTEIESTLAPLLDPGQVLSVMRTISY -CYSGLSPDQAAELLCVMRLFGHPLLSAQQAAKKVRESMCAPKLLEHDAILQTLSFFKGIIINGYRKSHSG -VWPAIDPDSIVDDDLRQLYYESAEISHAFMLKKYRYLSMIEFRKSIEFDLNDDLSTFLKDKAICRPKDQW -ARIFRKSQFPLKLDNRTSGVDKSNRLLIDFLESHDFSPEEEMKYVRTKAYLEDDQFSASYSLKEKEIKTT -GRIFAKMTRKVRRCQVFMGSLLSGHVCKFFKENGVSMEQLSLTKSLLAMSQLSPRISPVRNEPASTQDRL -VRYSNGTHLCAGELKPHQRERPVKKSIVATFLTTDLQKYCLNWRYGSIKLFAQALNQLFGLDHGFEWIHL -RLMNSTLFVGDPFSPPECKGVKDLDDAPNSDIFIVSARGGIEGLCLKLWTMISISIIHCVSEKIGTRVAA -MVQGDNQVIAITRELFNGETFEQIQPELDRLGNAFFSEFKQHNYAMGHNLKPKETIQSQSFFVYSKRIFW -EGRILSQSLKNATKLCFIADHLGDNTVSSCSNLASTVTSLVEKGFEKDTAFVLNLIYSMTQILIDEQYSL -QGDYTAVKGLIGTDNHRNFSLAALIPGQVGGYNFLNISRLFTRNIGDPVTCAIADIKWFIKSRLIAEHVL -KNILLRDPGDGGWSTLCADPYALNIPYTQLPTTYLKKHTQRSLLADSNNPIVAGVQLDSQYIEEEEFAQF -LLDREAVMPHLAHTIMETSILGKRKNIQGLIDTTPTIIKTALMRQPISRRKCEKIINYSINYLVECHDSS -SSIRTFEPRKEVIWDSAMISVETCSVTIAEFLRATSWSNILNGRTISGVTSPDTVELLRGSLIGENTHCV -LCEQGDDTFTWMHISGPTYIPDPGLTGSKMRVPYLGSKTEERRSASMATVKGMSHHLKATLRGASVMVWA -FGDTEESWEHACLVANTRCKINLPQLRLLTPTPSSSNIQHRLNDGISVQKFTPASLSRVASFVHICNDFQ -KLERDGSSVDSNLIYQQIMLTGLSIMETLHPMHVSWVYNNQTIHLHTGTSCCPREIETSIVNPARGEFPT -ITLTTNNQFLFDCNPIHDEALTKLSVSEFKFQELNIDSMQGYSAVNLLSRCVAKLIGECILEDGIGSSIK -NEAMISFDNSINWISEALNSDLRLVFLQLGQELLCDLAYQMYYLRVIGYHSIVAYLQNTLERIPVIQLAN -MALTISHPEVWRRVTVSGFNQGYRSPYLATVDFIAACRDIIVQGAQHYMADLLSGVECQYTFFNVQDGDL -TPKMEQFLARRMCLFVLLTGTIRPLPIIRSLNAIEKCAILTQFLYYLPSVDMAVADKARVLYQLSINPKI -DALVSNLYFTTRRVLSCITGDSSSRAHIAFLYEEEVIVDVPASNQFDQYHRDPILRGGLFFSLSLKMERM -SLNRFAVQTLPTQGSNSQGSRQTLWRASPLAHCLKSVGQVSTSWYKYAVVGASVEKVQPTRSTSLYIGEG -SGSVMTLLEYLDPATIIFYNSLFSNSMNPPQRNFGLMPTQFQDSVVYKNISAGVDCKYGFKQVFQPLWRD -VDQETNVVETAFLNYVIEVVPVHSSKRVVCEVEFDRGMPDEIVITGYIHVLMVTAYSLHRGGRLIIKVYR -HSEAVFQFVLSAIVMMFGGLDIHRNSYMSTNKEEYIIIAAAPEALNYSSVPAILQRVKSVIDQQLTLISP -IDLERLRHETESLREKENNLVISLTRGKYQLRPTQTDMLLSYLGGRFITLFGQSARDLMATDVADLDARK -IALVDLLMVESNIILSESTDLDLALLLSPFNLDKGRKIVTLAKATTRQLLPVYIASEIMCNRQAFTHLTS -IIQRGVIRIENMLATTEFVRQSVRPQFIKEVITIAQVNHLFSDLSKLVLSRSEVKQALKFVGCCMKFRNA -SN ->AFX97643.1 large polymerase protein [Avian metaavulavirus 8] -MDVKQVDLIIQPEVHLDSPIILNKLALLWRLSGLPMPADLRQKSVVMHIPDHILEKSEYRIKHRLGKIKS -DIAHYCQYFNINLANLDPITHPKSLYWLSRLTIASAGTFRHMKDRILCTVGSEFGHKIQDLFSLLSHKLV -GNGDLFNQSLSGTRLTASPLSPLCNQFVSDIKSAVTTPWSEARWSWLHIKQTMRYLIKQSRTTNSAHLTE -IIKEEWGLVGITPDLVILFDRVNNSLTALTFEMVLMYSDVLESRDNIVLVGRLSTFLQPVVSRLEVLFDL -VDSLAKTLGDTIYEIIAVLESLSYGSVQLHDASHSHAGSFFSFNMNELDNTLSKRVDPKHKNTIMSIIRQ -CFSNLDVDQAAEMLCLMRLFGHPMLTAPDAAAKVRKAMCAPKLVEHDTILQTLSFFKGIIINGYRRSHSG -LWPNVEPSSIYDDDLRQLYLESAEISHHFMLKNYKSLSMIEFKKSIDYDLHDDLSTFLKDRAICRPKSQW -DVIFRKSLRRSHTRSQYMDEIKSNRLLIDFLDSADFDPEKEFAYVTTMDYLHDNEFCASYSLKEKEIKTT -GRIFAKMTRNMRSCQVILESLLSKHICKFFKENGVSMEQLSLTKSLLAMSQLSPKVSTLQDTASRHVGNS -KSQIATSNPSRHHSTTNQMSLSNRKTVVATFLTTDLEKYCLQWRYSTIKLFAQALNQLFGIDHGFEWIHL -RLMNSTLFVGDPYSPPEDPTLEDIDKAPNDDIFIVSPRGGIEGLCQKMWTMISISAIHCVAEKIGARVAA -MVQGDNQVIAITKELFRGEKACDVRDELDELGQVFFDEFKRHNYAIGHNLKLNETIQSQSFFVYSKRIFF -EGRLLSQVLKNAAKLCMVADHLGENTVSSCSNLSSTIARLVENGFEKDTAFVLNLVYIMTQILFDEHYSI -VCDHNSVKSLIGSKNYRNLLYSSLIPGQLGGFNFLNISRLFTRNIGDPVTCSLSDLKCFIAAGLLPPYVL -KNVVLREPGPGTWLTLCSDPYTLNIPYTQLPTTYLKKHTQRSLLSRAVNPLLAGVQVPNQHEEEEMLARF -LLDREYVMPRVAHVILETSVLGKRKQIQGLIDTTPTIIRTSLVNLPVSRKKCEKIINYSLNYIAECHDSL -LSQICFSDNKEYLWSTSLISVETCSVTIADYLRAVSWSNILGGRNISGVTTPDTIELIQGCLIGENSSCT -LCESHDDAFTWMHLPGPLYIPEPSVTNSKMRVPYLGSKTEERKTASMAAIKGMSHHLRAVLRGTSVFIWA -FGDTDINWDNALQIAQSRCNITLDQMRLLTPIPSSSNIQHRLDDGISTQKFTPASLARITSFVHICNDSQ -RLEKDGSSVDSNLIYQQIMLLGLSIFETMYSMDQKWVFNNHTLHLHTGHSCCPRELDISLVNPPRHQTPE -LTSTTTNPFLYDQLPLNQDNLTTLEIKTFKFNELNIDGLDFGEGIQLLSRCTARLMAECILEEGIGSSVK -NEAIVNFDNSVNWISECLMCDIRSLCVNLGQEJLCSLAYQMYYLRIRGRRAILNYLDTTLQRIPVIQLAN -IALTISHPEIFRRIVNTGIHNQIKGPYVATTDFIAASRDIILSGAREYLSYLSSGQEDCYTFFNCQDGDL -TPKMEQYLARRACLLTLLYNTGHQIPIIRSLTPIEKCKVLTEYNQQIEYADQEFSSVLKVVNALLQNPNI -DALVSNLYFTTRRVLSNLRSCDKAXSYIEYLYTEDFGEKEDTVQYDIMTTNDIILTHGLFTQIEISYQGS -SLHKFLTPDNAPGSLIPFSISPNSLACDPLHHLLKSVGTSSTSWYKYAIAYAVSEKRSARLGGSLYIGEG -SGSVMTLLEYLEPSVDIFYNSLFSNGMNPPQRNYGLMPLQFVNSVVYKNLTAKSECKLGFVQQFKPLWRD -IDIETNVTDPSFVNFALNEIPMQSLKRVNCDVEFDRGMPIERVIQGYTHILLVATYGLQQDSILWVKVYR -TSEKVFQFLLSAMIMIFGYVKIHRNGYMSAKDEEYILMSDCKEPVNYTAVPNILTRVSDLVSKNLSLIHP -EDLRKVRCETDSLNLKCNHIYEKIIARKIPLQVSSTDSLLLQLGGVINSVGSTDPREVATLSSIECMDYV -VSSIDLAILEANIVISESAGLDLALMLGPFNLNKLKKIDTILKSSTYQLIPYWLRYEYSINPRSLSFLIT -KLQQCRISWSDMITISEFCKKSKRPIFIKRVIGNQQLKSFFNESSSIVLTRAEVKVCIKFLGAIIKLK ->ADK25236.1 large polymerase protein [Avian metaavulavirus 2] -MDQVQADTIIQPEVHLDSPIVRAKLVLFWKLTGLPLPKDLRFFESLPTPPTSKFSGMSPELSQKSYPSVP -NLIKHCKARQVALSGLTPVVHPTTLQWLLSITCERADHLAKVREKSVKQAMSEKQHGFRHLFSAVSHQLV -GNATLFCAQDSSTVNVDSPCSSGCERLIIDSIGALQTRWTRCRWAWLHIKQVMRYQVLQSRLHAHANSVS -TWSEAWGFIGITPDIVLIVDYKSKMFTILTFEMMLMYSDVIEGRDNVVAVGSMSPNLQPVVERIEVLFDV -VDTLARRIHDPIYDLVAALESMAYAAVQLHDASETHAGEFFSFNLTEIESTLAPLLDPGQVLSVTKTISM -CYSCLTPDQAAEMLCIMRLFGHPLLSAQQAAKKVRESMCAPKLLEHDAILQTLSFFKGIIINGYRKSHSG -VWPNIEPESIMDDDFSQLYYESAEISHSFMLKKYRYLSMIEFKKSIDFDLNDDLSTFLKDKAICRPKSQW -AKIFRKSLFPLKMTIDSGADTRSNRLLIDFLESHDFSPEEEMKYVTTMAYLEDEQFSASYSLKEKEIKTT -GRIFAKMTRKMRSCQVILESLLSSHVCKFFKENGVSMEQLSLTKSLLAMSQLSPRISAVRNEPARNRKVI -CTDNQVSDHIVGEVGPHQQDRPARKSVVATFLTTDLQKYCLNWRYGSIKLFAQALNQLFGIEHGFEWIHL -RLMNSTLFVGDPFSPPESKVLSDLDDAPNSDIFIVSARGGIEGLCQKLWTMISISIIHCVAEKIGARVAA -MVQGDNQVIAITRELYKGETYTQIQPELDRLGNAFFAEFKRHNYAMGHNLKPKETIQSQSFFVYSKRIFW -EGRILSQALKNATKLCFIADHLGDNTVSSCSNLASTITRLVENGYEKDTAFILNLISPMTQILMDEQYSL -QGDYSSVKGLIGTHNHRNLLRAALIPGQVGGYNFLNISRLFTRNIGDPVTCAIADIKWFIKSRLIAEHVL -KNILLRDPGDGGWSTLCADPYALNIPYTQLPTTYLKKHTQRALLADSNNPLLAGVQLDSQYIEEEEFAQF -LLDREAVMPRVAHTIMEASILGKRKNIQGLIDTTPTIIKTALMRQPISRRKCEKIVNYSINYLVECHDSI -ISARQFEPRKEVIWDSAMISVETCSVTIAEFLRATSWSNILNGRTISGVTSPDTIELLKGSLIGENAHCI -LCEQGDETFTWMHLAGPIYIPDPGVTASKMRVPYLGSKTEERRTASMATIKGMSHHLKAALRGASVMVWA -FGDTEESWEHACLVANTRCKINLPQLRLLTPTPSSSNIQHRLNDGISVQKFTPASLSRVASFVHICNDFQ -KLERDGSSVDSNLIYQQIMLTGLSIMETLHPMHYARDIQQPGHPWHTGTSCCPREIETSIVNPPKYEFPT -ITLTTNNQFLFDSNPIHDEAITRLTVSDFKFQELNIDAIRGYAAINLLSRCVAKLISECILEDGIGSSIK -NEAMVSFDNSVNWISEILHSDIRLSFMHIGQELLCDLAYQMYFFKNHRVPCYYYLSEGFTERIPVIQLAN -MALTISHPEVWRRVTLIGFNQGYRSPYLATVDFIAACRDVIVQGAQQYLSELLSESECQYTFFNVQDGDL -TPKMEQFLARRMCLFVLLTGTISPLPIVRSLNAIEKCAVFTQFLYYLPTVDLAVASRARTLYTLSIAPKI -DALVSNLYFTTRRVLSNIRGDKHAKAQISYLYEEKISAEPHQGENFDQFMKDPIIRGGLFFTIMLKMEKM -SLNQFAVHRRTILQNISKRTWQCLWRASPLAHCLKSVGQVSTSWYKYAVLQASLIRGQPLRSTSVYMVKG -SGSVMTLFEYMDPSATIFYNSLFSNSMNPPQRNFGLMPTQFQDSVVYKNLSAGVESKYGFKQTFTPLWRD -VDQETNVTETAFLNYVMEVIPIHSSKRLVCEVEFDRGMPDEVVITGYMNVLMASAYSLHKNGRLIIKIFR -HSEALFQLGLSVIVMILHGLDIHRNSYMSTNKEEYIIIAAAPEALNYSSVPAILQRVKSVIDQQLTLISP -IDLERLRHETESLREKENNLVISLTRGKYQLRPTQTDMLLSYLGGRFITLFGQSARDLMATDVADLDARK -IALVDLLMVESNIILSESTDLDLALLLSPFNLDKGRKIVTLAKATTRQLLPVYIASEIMCNRQAFTHLTS -IIQRGVIRIENMLATTEFVRQSVRPQFIKEVITIAQVNHLFSDLSKLVLSRSEVKQALKFVGCCMKFRNA -SN ->AEP44007.1 large polymerase [Avian paramyxovirus 4] -MSNQAAEIILPTFHLESPLIENKCFYYMQLLGLVLPHDHWRWRAFVNFTVDQVHLKNRNPRLMAHIDHTK -DRLRTHGVLGFHQTQTSMSRYRVLLHPETLPWLSAMGGCINQVPKAWRNTLKSIEHSVKQEAPQLKLLME -RTSLKLTGVPYLFSNCNPGKTTAGTMPVLSEMASELLSNPISQFQSTWGCAASGWHHVVSIMRLQQYQRR -TGKEEKAITEVQYGTDTCLINADYTVVFSTQNRVITVLPFDVVLMMQDLLESRRNVLFCARFMYPRSQLH -ERISTILALGDQLGRKAPQVLYDFVATLESFAYAAVQLHDNNPTYGGAFFEFNIQELESILSPALSKDQV -NFYIGQVCSAYSNLPPSESAELLCLLRLWGHPLLNSLDAAKKVRESMCAGKVLDYNAIRLVLSFYHTLLI -NGYRKKHKGRWPNVNQHSLLNPIVRQLYFDQEEIPHSVALEHYLDVSMIEFEKTFEVELSDSLSIFLKDK -SIALDKQEWYSGFVSEVTPKHLRMSRHDRKSTNRLLLAFINSPEFDVKEELKYLTTGEYATDPNFNVSYS -LKEKEVKKEGRIFAKMSQKMRACQVICEELLAHHVAPLFKENGVTQSELSLTKNLLAISQLSYNSMAAKV -RLLRPGDKFTAAHYMTTDLKKYCLNWRHQSVKLFARSLDRLFGLDHAFSWIHVRLTNSTMYVADPFNPPD -SDACTNLDDNKNTGIFIISARGGIEGLQQKLWTGISIAIAQAAAALEGLRIAATLQGDNQVLAITKEFMT -PVPEDVIHEQLSEAMSRYKRTFTYLNYLMGHQLKDKETIQSSDFFVYSKRIFFNGSILSQCLKNFSKLTT -NATTLAENTVAGCSDISSCIARCVENGLPKDAAYIQNIIMTRLQLLLDHYYSMHGGINSELEQPTLSISV -RNATYLPSQLGGYNHLNMTRLFCRNIGDPLTSSWAESKRLMDVGLLSRKFLEGILWRPPGSGTFSTLMLD -PFALNIDYLRPPETIIRKHTQKVLLQDCPNPLLAGVVDPNYNQELELLAQFLLDRETVIPRAAHAIFELS -VLGRKKHIQGLVDTTKTIIQCSLERQPLSWRKVENIVTYNAQYFLGATQQADTNVSEGQWVMPGNFKKLV -SLDDCSVTLSTVSRRISWANLLNWRAIDGLETPDVIESIDGRLVQSSNQCGLCNQGLGSYSWFFLPSGCV -FDRPQDSRVVPKMPYVGSKTDERQTASVQAIQGSTCHLRAALRLVSLYLWAYGDSDISWLEAATLAQTRC -NVSLDDLRILSPLPSSANLHHRLNDGVTQVKFMPATSSRVSKFVQICNDNQNLIRDDGSVDSNMIYQQVM -ILGLGEIECLLADPIDTNPEQLILHLHSDNSCCLREMPTTGFVPALGLTPCLTVPKHNPYIYDDSPIPGD -LDQRLIQTKFFMGSDNLDNLDIYQQRALLSRCVAYDVIQSIFACDAPVSQKNDAILHTDYHENWISEFRW -GDPRIIQVTAGYELILFLAYQLYYLRVRGDRAILCYIDRILNRMVSSNLGSLIQTLSHPEIRRRFSLSDQ -GFLVERELEPGKPLVKQAVMFLRDSVRCALATIKAGIEPEISRGGCTQDELSFTLKHLLCRRLCVIALMH -SEAKNLVKVRNLPVEEKTALLYQMLVTEANARKSGSASIIINLVSAPQWDIHTPALYFVSKKMLGMLKRS -TTPLDISDLSESQNPALAELNDVPGHMAEEFPCLFSSYNATYEDTITYNPMTEKLALHLDNSSTPSRALG -RHYILRPLGLYSSAWYRSAALLASGALNGLPEGSSLYLGEGYGTTMTLLEPVVKSSTVYYHTLFDPTRNP -SQRNYKPEPRVFTDSIWYKDDFTRPPGGIINLWGEDIRQSDITQKDTVNFILSQIPPKSLKLIHVDIEFS -PDSDVRTLLSGYSHCALLAYWLLQPGGRFAVRVFLSDHIIVNLVTAILSAFDSNLVCIASGLTHKDDGAG -YICAKKLANVEASRIEYYLRMVHGCVDSLKIPHQLGIIKWAEGEVSQLTRKADDEINWRLGDPVTRSFDP -VSELIIARTGGSVLMEYGAFTNLRCANLADTYKLLASIVETTLMEIRVEQDQLEDNSRRQIQVVPAFNTR -SGGRIRTLIECAQLQIIDVICVNIDHLFPKHRHVLVTQLTYQSVCLGDLIEGPQIKTYLRARKWIQRQGL -NETVNHIITGQVSRNKARDFFKRRLKLVGFSLCGGWSYLSL ->AIG60049.1 Large protein [Human orthorubulavirus 2] -MAASSEILLPEVHLNSPIVKHKLIYYLLLGHFPHDLDISEISPLHNNDWDQIAREESNLAERLGVAKSEL -IKRVPTFRATRWRSHAAVLIWPSCIPFLVKFLPHSKLQPIEQWYKLINASCNTISDSIDRCMENISIKLT -GKNNLFSRSRGTAGAGKNSKITLNDIQSIWESNKWQPNVSLWLTIKYQMRQLIMHQSSRQPTDLVHIVDT -RSGLIVITPELVICFDRLNSVLMYFTFEMTLMVSDMFEGRMNVTALCTISHYLSPLGPRIDRLFSIVDEL -AQLLGDTVYKVIASLESLVYGCLQLKDPVVELAGSFHSFITQEIIDILIGSKALDKDESITVTTQLLDIF -SNLSPDLIAEMLCLMRLWGHPTLTAAQAAGKVRESMCAGKLLDFPTIMKTLAFFHTILINGYRRKKNGMW -PPLILPKNASKSLIEFQHDNAEISYEYTLKHWKEISLIEFRKCFDFDPGEELSIFMKDKAISAPRSDWMS -VFRRSLIKQRHQRHHIPMPNPFNRRLLLNFLEDDSFDPVAELQYVTSGEYLQDDTFCASYSLKEKEIKPD -GRIFAKLTNRMRSCQVIAEAILANHAGTLMKENGVVLNQLSLTKSLLTMSQIGIISEKAKRYTRDNISTQ -GFHTIKTDSKNKRKSKTASSYLTDPDDTFELSACFITTDLAKYCLQWRYQTIIHFARTLNRMYGVPHLFE -WIHLRLIRSTLYVGDPFNPPAATDAFDLDKVLNGDIFIVSPKGGIEGLCQKMWTMISISVIILSSAESKT -RVMSMVQGDNQAIAVTTRVPRSLPSIQKKELAYAASKLFFERLRANNYGLGHQLKAQETIISSTFFIYSK -RVFYQGRILTQALKNASKLCLTADVLGECTQASCSNSATTIMRLTENGVEKDTCYKLNIYQSIRQLTYDL -IFPQYSIPGETISGIFLQHPRLISRIVLLPSQLGGLNYLACSRLFNRNIGDPLGTAVADLKRLIKCGALE -SWILYNLLARKPGKGSWATLAADPYSLNQEYLYPPTTILKRHTQHTLMEICRNPMLKGVFTDNAKEEENL -LAKFLLDRDIVLPRVAHIIIDQSSIGRKKQIQGFFDTTRTIMRRSFEIKPLSTKKTLSVIEYNTNYLSYN -YPVILNPLPIPGYLNYITDQTCSIDISRSLRKLSWSSLLNGRTLEGLETPDPIEVVNGSLIVGTGDCDFC -MQGDDKFTWFFLPMGIIIDGNPETNPPIRVPYIGSRTEERRVASMAYIKGATHSLKAALRGAGVYIWAFG -DTIVNWNDALDIANTRVKISLEQLQTLTPLPTSANITHRLDDGATTLKFTPASSYAFSSYTHISNDQQYL -EIDQRVVDSNIIYQQLMITGLGIIETYHNPPIRTSTQEITLHLHTSSSCCVRSVDGCLICESNGEVPQIT -VPYTNTFVYDPDPLADYEIAHLDYLSYQAKIGSTDYYSLTDKIDLLAHLTAKQMINSIIGLDETVSIVND -AVILSDYTNNWISECSYTKIDLVFKLMAWNFLLELAFQMYYLRISSWTNIFDYTYMTLRRIPGTALNNIA -ATISHPKLLRRAMNLDIITPIHAPYLASLDYVKLSIDAIQWGVKQVLADLSNGIDLEILILSEDSMEISD -RAMNLIARKLTLLALVKGENYTFPKIKGMPPEEKCLVLTEYLAMCYQNTHHLDPDLQKYLYNLTNPKLTA -FPSNNFYLTRKILNQIRESDEGQYIITSYYESFEQLETDIILHSTLTAPYDNSENSNKVRFIPFDIFPHP -ESLEKYPLPVDHDSQSAISTLIPGPPSHHVLRPLGVSSTAWYKGISYCRYLETQKIQTGDHLYLAEGSGA -SMSLLELLFPGDTVYYNSLFSSGENPPQRNYAPLPTQFVQSVPYKLWQADLADDSNLIKDFIPLWNGNGA -VTDLSTKDAVAFIIHKVGAEKASLVHIDLESTANINQQTLSRSQIHSLIIATTVLKRGGILIYKTSWLPF -SRFSQLASLLWCFFDRIHLIRSSYSDPHSHEVYLVCRLAADFRTIGFSAALVTATTLHNDGFTTIHPDVV -CNYWQHHLENVGRVGKVIDEILDGLATNFFAGDNGLILRCGGTPSSRKWLEIDQLASFDLVQDALVTLIT -IHLKEIIEVQSSHTEDYTSLLFTPYNIGAAGKVRTIIKLILERSLMYTVRNWLVLPSSIRDSVRQDLELG -SFRLMSILSEQTFLKKTPTKKYLLDQLTRTYISTFFNSHSVLPLHRPYQKQIWKALGSVIYCSETVDIPL -IKDIQIEDINDFEDIERGIDGEEL ->AHJ40479.2 large [Human parainfluenza virus 4a] -MADHTDVLLPEVHLSSPIVRHKLIYYILLGNLPNQISPEDLGPLSTINWSQVRREESKLCLRLISVRNNL -LKHVPSLREVDPTHQCKNLYWPRPLQFFKDLDFNQFKGKIERWEKIQHATQLVVNKRIVSFLDLISDKLI -SRKDLFTSARCRHNGHDRDSRLGELVDIESSWNKNHWSNITNLFLLIKYQMRLLICQMKKSQTGLLEVKL -EDRSGLIIITPELVCIYFQQANVLSYFTFEMILMISDVFEGRQNVIGLCSVSYYLSPLKDRINDLLNYVD -NLALILGNKVYSIIANLESLVYAKLQLRDPVLEVRGQFHCFILEEIMEILHDVFSVEESAQVCSILSSFF -SGLSPDLTAELLCIMRMWGHPTLTAAGAAGKVRESMCAPKLLDFTTIMKTLSFFHTILINGYRRKHGGIW -PNVVLIDGTPNFIVSLKNDNAEISYEVALKYWKWISLFEFEKCFSADPGEDLSIFMKDKAISCNKKDWMS -VFRRSLIHERCAKNHLECPSNVNRRLLLNFLNDSNFDPNLELEYVTTLQYLTDDNFCASYSLKEKEIKET -GRIFAKLTKQMRSCQVITESMLANHAGKLFRENGVVLDQLKLTKSLLTMSQIGIISNKERKATKDSMTIL -KDIKKNKNRHKEHEKKYGSENSSPFGDLRKNSAINTPDDTLEIAACFLTTDLQKYCLNWRYQAIIPFART -LNRMYGYPHLFEWIHLRLMKSTLYVGDPFNPPSDHNVIDLDNAPNDDIFIVSPRGGIEGLCQKLWTMISI -ATILLSSAESKTRVMSMVQGDNQTIAITTKVPRSMPHKEKKQSAYNASKEFFSRLKQNNYGIGHNLKEQE -TILSSDFFVYGKRIFWRGRILSQALKNASKLCLTADILGDCTQSSCSNLATTIMRLTENGLEKDVAIRLN -IFMAIRQLTFDLIFPMNTNNASNISDIYLNHPDLLARICLVPSKVGGLNYLSTSRLFNRNIGDPLVSAFA -DIKRLIMARCIEPWVLTNIMRRPPGDGNWSTLAADPYAVNIDYLYPPTIFLKRHAQQTLMESSVNPLLNG -IFNPNAKAEENNLAQFLLDRDIVLPRVAHVILAQTGCGRRKQIQGYLDSTRTIVKLALDIKPLSFRKTNQ -VLDYNLNYLSYQLDIILKPKTGKDSWSLDNINDCSIDLARNLRKLSWAPLLHGRGLEGLETPDPIELLDG -VLLTNKSLCHQCASGNDKFTWLYLPGGIQIDLEPSKNPPMRVPYIGSKTDERRIASLAQIPGASQNLKSV -LRLTGVYIWAFGDNEQNWQDAYELSKTRVNITLDQLRVLTPLPTSANLTHRLDDGVTQMKFTPASLYTFS -NYIHISNDRQVLQIDECNVDSNLIYQQIMITGLGIIETWNALPIKHTVHEVTLHLHTAASCCIRPVDSCL -VNNSRQDLPYLEDTTINKFIYDDQPIPEEKSSLIEQFIVNVNVGDFEFNDTQNSIVLLSQLMGKIVVDSI -IGLDESTSIINDAIIETDYSHNWISEFLNTYLDQVFIYIGWNILLELSYQMYYLRITGLMNLLDYIELTL -QRIPGLSIQNLASTISHPKILRRMINLGICVPSNSPQFATLNFTKIAVQCLMWGVKTALTNLYNHISFKI -LIHSEDAIDLNDRIYNFAARKLTLIAMLYDFECNLPKLKGLTAENKCCILTEFLLSETCLANLSIQHNES -YINQVTNPNIHPYPCNSYYLSRKALNFIRSKNESEFFLKNYYDDYGFVDTPTDFLQLKSEENNDTLLTND -IIIELLNHSQKLERHEIPFQESPTIDQENFYPEPPVHHILRPLGLTSTSWYKSLSIVKFLEMTQIPDGSH -LYLAEGSGASMTLIENFYPGRKIYYNSYYSSELNPPQRNFEPLPTQFIESVPYKQIQAEIECHLGFVQEY -KCLWNGVTETTDLSAISCAEFIINSIGVDSVTLIHCDLEEGFETPQEEISSAVIHVLQITCSCLKKDGLL -LLKTSWVPFARFSTLISICWTFSSQIILLRSAYSDPSNHEVYLICKRSEDGWFSDLRTAILNAQTLTQSN -YTILSPNIINYYWEQLIRQKDSIFDIINDTITSSDPHYFLSDNQKILQAGGSLSCQQWYNITSFNSIMDL -YKHLASIYTVHIKEIIEILKSEGDEYLGLIWSPYNIGAQGKINTILRLLSEKVMLYIVKNWKLIDYHAKI -DFKHNLECGEFDAGCFFKPDLLYKYTPNPRYLRKTISNNVLVELITVKMVLKLSRPKQKQIWKLLGCTLF -VGIQDEQLGTNEQKIDSNPDKYVQFNDANDERDVFGDIL ->ADK25248.1 large polymerase protein [Avian metaavulavirus 2] -MDQVQADXIIQPEVHLDSPIVRAKLVLLWKLTGLPLPKELRSFVLTSHTTDEQIFKAETRVKPKVNSIVD -ALIKHCKSRGLYLSDIRPVVHPRTLQWLLNIKCERANQLLKAREKSIQQVFSEKQVNFRHLFSAISHQLV -GNPNLFXSQDNDPRYPESPLLYRLSEASYTAYIRNNLSMDCSSMGLATYYAGYALPNSTEYAARYISISD -IMVRDLGLYRNFTRCCANCCLYVYELHCADVSDGPNVLRCNSRARQYSNCGSIIPYSIPCHRSNRHPLSS -SRHPSSFDGSSDISPCGIIREYGLCSCPIASCKLLTRRSVLCFQSDRNSISSRRPPRSKASALYHQNYYH -VLQLSNTRSSGSDVMHHAVVRSSPVIRPASSKKSKGIHVRTYDPGACAILQTLSFFKGIIINGYRKSHSG -VWPNIEPESIIDDDLRQLYYESAEISHAFMLKKYRYLSMVEFKKSIDFDLNDDLSTFLKDKAICRPKNQW -ARIFRKSLFPLKNAIDSGADTRSNRLLIDFLESHDFSPEEEMKYVTTMAYLDDDQFSAFIFPQREGNQDN -RSNICENDQENAKLPGYTRIIVVYSCVQILQRERSLHGATLFNKEPPSNVSVSPSDLRGAKRNGKSRYPG -KSHLQPVGPMSAAREVQQHQRDRPAKKSIVATFLTTDLQKYCLNWRYGSIKLFAQALNQLFGIDHGFEWI -HLRLMNSTLFVGDPFSPPECKGVRDLDDAPNSDIFIVSARGGIEGLCQKLWTMISISIIHCVSEKIGTRV -AAMVQGDNQVIAITRELFNGETFEQIQPELDKLGNAFFSEFKQHNYAMGHNLKPKETIQSQSFFVYSKRI -FWEGRILSQALKNATKLCFIADHLGDNTVSSCSNLASTITRLVENGFEKDTAFVLNVVYSMTQILIDEQY -SLQGDYANVKNLIGTNNHRNLLTAALIPGQVGGYNFLNISRLFTRNIGDPVTCAIADLKWFIKSGLVADH -ILKNILLRDPGDGSWSTLCADPYALNIPYTQLPTTYLKKHTQRALLAESNNPLLAGVQLDSQYIEEEELA -QFLLDREVVMPRVAHTIMEASILGKRKNIQGLIDTTPTIIKTALMRQPISRRKCEKIINYSINYLVECHD -SIIAVRKFEPRKEVIWDSAMISVETCSVTVAEFLRATSWSNLLNGRTISGVTSPXXVELLKGSLIGEKYT -LHALCARRRYIHWMHIAGPTYIPDPGLTGSKMRVPYLGSKTEERRSASMATIKGMSHHLKAALRGASVLV -WAFGDTDDSWNHACLLANTRCKVTMSQLRLLTPTPSSSNIQHRLNDGISVQKFTPASLSRVASFVHICND -FQNLEKDGASVDSNLIYQQIMLTGLSIMETLHPMQTQWIYNNQTIHLHTGTSCCPREIETSIVNPPKYEF -PTITLTTNNQFLFDNNPIHDDAITKLAVSDFKFQELNIDAIRGYGAVNLLSRCVAKLIGECILEDGIGSS -IKNEAMVSFDISVNWISEILHSDLRLTFMHLGQELLCDLAYQMYFLRVTGYHAIVTYLKTSLERIPVIQL -ARHGPYHFSPRSVETSHISRVQSRVPYPYLATVDFIAACRDIIVQGAQQYISDLLSGSECQYTFFNVQDG -DLTPKMEQFLARRMCLLVLLTGTSSSLPIIKSLNAIEKCAVLTQFIYYLPNVDLTVASKARTLYTLAVNP -KIDALVSNLYFTTRRVLSNIRGDRHAKAQVSYLYEEEVSSEPLQDENFDHFMKDPIIRGGLFFTVIIKME -KMSLNQFASGGATTLALPPQEAHSIMWRASPLAHCLKSVGQVSTSWYKYAVLQAALSKTQPLRSNSIYIG -EGSGSVMTLLEYMDPSISHILQFVVYNSMNPPQRNFGLMPTQFQESIVYKNLCAGIESKYGFSQTFSPLW -RDVDQETNITETAFLNYLMEVVPIHSAKRLVCEVEFDRGMPDEVMIQGYMNVLIAAAFSLHREGRLFIKI -FRHSESIFNFVLSSIMMIFGLCHIHRNSYMSTNKEEYILVGRSTSAPKLCISTGHPASSQEHNRPELNGG -DPIDMARVHKEMDSLREKESALISSLIRGTVRLRPTQTDMLFSYLGGKFVTLFGHSARDLMELDIAVLDS -RQIDLIDLLMVEANIIVSESTDLDLALLLSPFNLDKGRKIVTLAKSTTRQLIPLYIAAEISCNKHSFSHL -ISLVQRGVIRIENMVSVSSFISKSSRPRFLRDVVTFAQIEHIFSDLSTLILTRSEIKVVLKFIGCCMKFN -HA ->AQX45758.1 L protein, partial [Bat paramyxovirus] -QSCQVIAEAMLANHAGKLFRENGVVLDQLKLTKSLLTMSQIGIISTKSRRATKDSVTVQKSQSHPHKSTR -HCTGIPSGLSNTPFGEQRNPEQLPDDSFEIAACFLTTDLQKYCLNWRYQAIVPFAQTLNRMYGYPHLFEW -IHLRLMKTTLYVGDPFNPPSDHNILNLDDAPNDDIFIVSPRGGIEGLCQKLWTMISIATILLSATESKTR -VMSMVQGDNQTIAITTKVPRSIGHKEKKQMAYNASREFFRCLKKNNFGIGHNLKEQETILSSDFFVYGKR -IFWRGRILSQALKNASKLCLTADVLGDCTQSSCSNLATTIMRLTENGLEKDVAIKLNLFMTVRQLTYDLI -FPMNMNNASNIPEMYLNHPDILARIALLPSQVGGLNYLSSSRLFNRNIGDPLVSAFADLKRMIKSGCLEP -WILTNIMRRAPGEGNWSTLAADPYAVNIDYLYPPTIFLKRHAQQTLMESSVNPLLNGIFNPNAKEEENKL -AQFLLDRDIALPRVAHVVLAQTGCGRRKQIQGYLDSTRTIVKLALDIKPLSFRKTNQVMDYNLNYLSYQL -DIIAQPKVKADFWSFDNINDCSIDLARNLRKLSWSSLLQGRSLEGLETPDPLELLDGVLITTGTACHQCA -AGNDKFTWLYLPGGIRIDTDPDSNPPVRVPYIGSKTDERRIASLAQIPGASQTNTSCP ->AHZ18317.1 RNA-dependent RNA polymerase, partial [Avian orthoavulavirus 1] -MASSGPERAEHQIILPESHLSSPLVKHKLLYYWKLTGLPLPDECDFDHLILSRQWKKILESASPDTERMI -KLGRAVHQTLNHNSRITGVLHPRCLEELANIEVPDSTNKFRKIEKKIQIHNTRYGELFTRLCTHIEKKLL -GSSWSNNVPRSEEFSSIRTDPAFWFHSKWSTAKFAWLHIKQIQRHLMVAARTRSAANKLVMLTHKVGQVF -VTPELVVVTHTNENKFTCLTQELVLMYADMMEGRDMVNIISTTAVHLRSLSEKIDDILRLIDALAKDLGN -QVYDVVSLMEGFAYGAVQLLEPSGTFAGDFFAFNLQELKDILIGLLPNDIAESVTHAIATVFSGLEQNQA -AEMLCLLRLWGHPLLESRIAAKAVRSQMCAPKMVDFDMILQVLSFFKGTIINGYRKKNAGVWPRVKVDTI -YGKVIGQLHADSAEISHDIMLREYKSLSALEFEPCIEYDPVTNLSMFLKDKAIAHPNDNWLASFRRNLLS -EDQKKHVKEATSTNRLLIEFLESNDFDPYKEMEYLTTLEYLRDDNVAVSYSLKEKEVKVNGRIFAKLTKK -LRNCQVMAEGILADQIAPFFQGNGVIQDSISLTKSMLAMSQLSFNSNKKRITDCKERVSSNRNHDPKSKN -RRRVATFITTDLQKYCLNWRYQTIKLFAHAINQLMGLPHFFEWIHLRLMDTTMFVGDPFNPPSDPTDCDL -SRVPNDDIYIVSARGGIEGLCQKLWTMISIAAIQLAAARSHCRVACMVQGDNQVIAVTREVRSDDSPEMV -LTQLHQASDNFFKELIHVNHLIGHNLKDRETIRSDTFFIYSKRIFKDGAILSQVLKNSSKLVLVSGDLSE -NTVMSCANIASTVARLCENGLPKDFCYYLNYIMSCVQTYFDSEFSITNNSHPDLNQSWIEDISFVHSYVL -TPAQLGGLSNLQYSRLYTRNIGDPGTTAFAEIKRLEAVGLLSPNIMTNILTRPPGNGDWASLCNDPYSFN -FETVASPNIVLKKHTQRVLFETCSNPLLSGVHTEDNEAEEKALAEFLLNQEVIHPRVAHAIMEASSVGRR -KQIQGLVDTTNTVIKIALTRRPLGIKRLMRIVNYSSMHAMLFRDDVFSSSRSNHPLVSSNMCSLTLADYA -RNRSWSPLTGGRKILGVSNPDTIELVEGEILSVSGGCTRCDSGDEQFTWFHLPSNIELTDDTSKNPPMRV -PYLGSKTQERRAASLAKIAHMSPHVKAALRASSVLIWAYGDNEVNWTAALTIAKSRCNVNLEYLRLLSPL -PTAGNLQHRLDDGITQMTFTPASLYRVSPYIHISNDSQRLFTEEGVKEGNVVYQQIMLLGLSLIESIFPM -TTTRTYDEITLHLHSKFSCCIREAPVAVPFELLGVVPELRTVTSNKFMYDPSPVSEGDFARLDLAIFKSY -ELNLESYPTIELMNILSISSGKLIGQSVVSYDEDTSIKNDAIIVYDNTRNWISEAQNSDVVRLFEYAALE -VLLDCSYQLYYLRVRGLDNIVLYMGDLYKNMPGILLSNIAATISHPVIHSRLHAVGLVNHDGSHQLADTD -FIEMSAKLLVSCTRRVISGLYSGNKYDLLFPSVLDDNLNEKMLQLISRLCCLYTVLFATTREIPKIRGLT -AEEKCSILTEYLLSDAVKPLLSPDQVSSIMSPNIITFPANLYYMSRKSLNLIREREDRDTILALLFPQEP -LLEFPSVQDIGARVKDPFTRQPAAFLQELDLSAPARYDAFTLSQIHPELTSPNPEEDYLVRYLFRGIGTA -SSSWYKASHLLSVPEVRCARHGNSLYLAEGSGAIMSLLELHVPHETIYYNTLFSNEMNPPQRHFGPTPTQ -FLNSVVYRNLQAEVTCKDGFVQEFRPLWRENTEESDLTSDKAVGYITSAVPYRSVSLLHCDIEIPPGSNQ -SLLDQLAINLSLIAMHSVREGGVVIIKVLYAMGYYFHLLMNLFAPCSTKGYILSNGYACRGDMECYLVFV -MGYLGGPTFVHEVVRMAKTLVQRHGTLLSKSDEITLTRLFTSQRQRVTDILSSPLPRLIKYLRKNIDTAL -IEAGGQPVRPFCAESLVSTLANITQITQIIASHIDTVIRSVIYMEAEGDLADTVFLFTPYNLSTDGKKRT -SLKQCTRQILEVTILGLRVENLNKIGDIISLVLKGMISMEDLIPLRTYLKHSTCPKYLKAVLGITKLKEM -FTDTSVLYLTRAQQKFYMKTIGNAAESRLILTSLC ->AIF74193.1 polymerase, partial [Bat paramyxovirus] -FPTVMKSLAFFHTLIINGYRRKSGGMWPPVELHQSSPHSLIEIKNDNAELTYEYTLKHWKSISLIVFKKC -FDADPGEELSIFMKDKAVSCPKRAWRSVFRKSLIHNTVRHTEEHDSEDSNRRLLLKFLSNPDFDPAKELE -YVTSGEYLRDPQFCASYSLKEKEIKTTGRIFAKLTTKMRSCQVIAESLLAEHAGKLMKENGVVQDQLKLT -KSLLTMSQIGIISDRARKSGSYHTDFATDVQCTEKRRKRHRRETAVPQHEPGSDGYELAACFLTTDLSKY -CLNWRYQTIVPFAKSLNRMYGYPHLFEWIHLRLMRSTLYVGDPFNPPIDLLNNDLDAMLNQDIFIVSPRG -GIEGLCQKLWTMISIAVILLSATEANTRVMSMVQGDNQAIAITTRVPRSLGGLEKKEIAYNASKTFFDRL -RRNNYGLGHHLKEQETILSSHFFIYSKRVFYRGRILTQALKNASKLCLVADILGDCSQASCSNLATTVMR -LAENGVEKDLCYLINIHQAIRQLSHDLIYPLKPHPSSDSLRQYLHHPVLVARLCLLPSQLGGLNYLACSR -LFNRNIGDPVVSALADLKRLIQAGCMDEWVLHNLLARKPGSGKWSTLAADPYTLNVDFLIPSGTLLKKHA -QQTLMEHSVNPMLAGVFLDDGGAEEEKLAQFLLDREVVMPRVAHVILAQTSCGRRKQIQGYLDSTRTIIR -YSLFQKPLTNRKLLLILDYNLLYLEYNLPIIHSPLPVANHVLLMSSQTCSIDLARALRRLSWLSILGGRP -VEGLETPDPLELVRGCFIVGTDECIHCLAGDSKFTWLYLPSNIILDRDPADNPPIRVPYIGSKTDERRVA -SMAYVSGASSSLKSALRLAGIYIWAYGDSDVTWADALELARTRVNLTLDQ ->BAM64955.1 large polymerase protein [Avian metaavulavirus 6] -MEPQVQIHHIIKPECHLNSPVVEKKLTLLWKLTGLPLPSDLDGCVAHKDVTWEDVQQSEVNLMKELRLLV -RRMSGSLHAKGEFIDTYRPICHPRTLSWLTCISLSKGDAILESHKKMLTRVGGMIRGPAEQLFLLLGKKL -AGDPCLFHQLQHLPDCPINARFEQQDFGERLWSPTIDPSRVTGGEYSSCVYAWYLVRQTMRYMVLQRKQA -QLQTPHSLLHGSSILIGVHPDLVIIMNLKTKVFTCLTFDMVLMYTDVTEGRAMTKLIASSEPTMLEVIHR -VEGLWLLIDSVFEEIGGAGYNVVASLESLAYGSVQLWDKSPEHAGEFFSFNLKEIRSELENYLDPSTSHR -VVEQIRLLYTGLSVNQAGEMLCILRHWGHPLLCATKAAKKVRESMCAPKLTSLDTTLKVLAFFIADIING -HRRSHSGLWPNVKPESLVSPLVQNLHRESAEIQYTVALKHYREISLIEFQKSIDYDLVEDLSVFLKDKAI -CRPKSNWLSVFRKSLLPGHLKDKLQTEGPSNRLLLDFLQSSEFDPVREFEYVTSLNYLSDPEFCASYSLK -EREVKTDGRIFAKMTRKMRNCQVLLESLLACHVCDYFKENGVVQEQISLTKSLLAMSQLAPRVSEYQGRV -LRSTDRCDRPGTEHGAARGLNGENKRRKTIIASFLTTDLQKYCLNWRYTVVKPFAQRLNQLFGIAHGFEW -IHLRLMNTTMFVGDPHNVPQFSTNKDLNSQENDGIFIVSPRGGIEGLCQKMWTMISIAAIHLAATESGCR -VASMVQGDNQAIAITTEIEEGEDAETASTRLTTISKRFFNTFREINRGIGHNLKVQETIHSESFFVYSKR -IFFEGKILSQLLKNASRLVLVSETVGENCVGNCSNIGSTIARLIENGLDKRVAWGLNVLMVVKQILFDID -FSLEPEPSKGLIHSIRHDPNNLKNISVTPAQLGGLNFLALSRLFTRNIGDPVSSAMADMKFYTQVELTPP -HLLRNAIFREPGDGSWTTLCADPYSLNQPYVQLPTSYLKKHTQRMLLSASTNPLLQGTRVENQYKEEEEL -AKFLLDREFVMPRVAHTVFETTVAGRRKHLQGLIDTTPTIIKYALHHHPISFKKSMLISSYTADYIMSFI -DTIATAEYPRRDIMRLWERGMINATTCAVTLADYARTYSWWGILGGRSIKGVTTPDTLELCSGSLIEQGN -PCSQCVMGDESFSWFFLPGNIDIERPDHSRVAQRIAYVGSKTEERRAASLTTIKGMSTHLRAALRGASVY -IWAYGDSEKNWKDAASLANTRCVISEEHLRALCPIPSSANIQHRLMDGISVTKFTPASLARVSSYVHISN -DRHQSKIDGQVIESNVIFQQVMLLGLGIFETFHPLFHRYINSPMTLHLHTGYSCCIREADNGDFMETTVD -VPSMTITTSNKFLFDPEPIQGDDEAKLHVSSFKYCEMGLEVLDPPGLVALLSLVTARISIDTSIGESAYN -SIHNDAIVSFDNSINWISEYMYCDLRLLAVAMAREFCDNLSYQLYYLRVKGRHAIRDYLKQALSRIPGLQ -LANIALTISHPGVWARLRLIGAVSAGSSPISATVNYSAAVCELILWGYDQYTAQLLEGYEPEIIVPNYKD -DDLNRKVEHILARRACLLSLLCEYPGKYPNIKDLEPIEKCTALSDLNKLWTATDQRTRECFSGISQILDA -PKLNPFITNLFFLSRKLLNAIRGSTDCKAYVENLYEDIDIELTSLTEVVPLGEDDQMITGQLRYDIELKE -LTPNFTVTWCCFDSTTALKSRCVNHATEGAERYIRRTVGTASTSWYKAAGVLTIPGFLNLPNGNGLYLAE -SSGAIMTVMEHLVCSKKIWYNTLFSNELNPPQRNFGPNPIQFEESIVGKHITAGIPCKAGHVQEFETLWR -EVDEETDLTSMKCVNFIVSRVDQHSCHIVCCDLELALGTPLEVAQSAYTHVIALALHSLMIGGKLVLKMY -FTQNALLHHVLSLLLVLPFHITVHTNGYCSQRGSEGFLVATRTGIALSSNVSQILSNVTEMIRKGQTLVP -VKVLSTISNGFKKVSSALDELRRELYLPSCHIPQSATDMFLIQIGGKIQSDWMTGARNCLVGDTDPMLYD -IVAILSTLLKEIIHVRESRESVDKVLLLGAYNLQVSGKIRTMAMAATRSVLHLHITRIVGNAVSNIRRLL -PLLDKGFIVISDMYSVKDFLKKTDSPKYFLNKLGRSEISQIFDIESKMILSRAEIKGILKLIGTVAKQHS -E ->AIF74183.1 polymerase, partial [Bat paramyxovirus] -MAAQSGIILPEVHLNSPIVTNKLLYLINLGDLPIPSDDAILSTLPQISWETIRQEETNLAARLHTIKDQI -YSRILTKYIKSSKDRPRIILWPSCISMLHTVTIPDFTGRVQDALKLIHASASLGNKPIDNLLSSISEKLT -SQPTLFHSQRNATYTNHPPIDCPSLAEICLTFEGSRWSDNFHAWFLIRHQMRLLISRLQSDQFDNLVTCL -DSRDQVVLITPELVVCFTKESQCITYLTFEMVLMVCDMYEGRLNAIALCKLSNYLSPLLPRLTNLLHIVD -HLALTLGNDVYPIVASLESLVYAKLQMYDPVEDVRGEFFEFICGEIDNQLKICPHWNEQQRLSLILKLTS -QYAELSPDLIAELLCIMRLWGHPMLTSQAAAAKVRESMCAPKIIDLETNLKTLAFFHGIIINGFRRKHDG -IWPHCILPPMASQSLREHYNDNSELSYHYVLQHWKEIAYIEFQKSFDADPGEDLSIFMKDKAISCEKQDW -LSVFRKSLAKPIFSKFKAPIPRSANRRLLLNFLTDTHFDPNKELEYVTTGAYLDDETFCASYSLKEKEIK -PTGRIFAKLTKKMRSCQVIAESLLAAHAGKLFRENGVVQDQLSLTKSLLTMSQIGLISSRSRRSVRDNVT -LISHIPSSPVKHNIHSGIGNAMQDSSHHPAYELAACFLTTDLSKYCLNWRYQSIIMFATSLNKLYGYPHL -FEWIHLRLMRSTLYVGDPFNPPLNLQSISLDELDNSDIFIVSPRGGIEGLCQKMWTMISIAVILLSATES -DTRVMSMVQGDNQAMAITTKVASSLTHVQKKQAAYRVSKLFFERLKANNFGMGHHLKEQETLISSDFFIY -SKRIFWRGRILNQALKNATKLCLISDVLGDCSQASVSNLSTTIMRLTENGVEKDLAYKLNHYYTIRQLVF -DLHFPITKEFEDEVTTQYLSHPQLISRLSFLPSQLGGLNYYSCSRLFNRNVGDPLVSALSDVKRLISCNG -LPHWILNNILSRPPGEGTWSTLAADPYALNIPYLNPPTTFLKKHAQSALMETSTNPMLRGIFSENSKEEE -NDLARFLLDRSIVMPRAAHIIIEQTSCGRRKQIQGYLDSTRTIIKHALSIKPLSFRKLCLVSDYNILYFE -FNLSLIKEPSGAIKTEALLGTFTCSIDLARHLRKLSWANILNGRPLDGLETPDPLELISGTLTTCGEQCK -LCQSGDSKYTWLFLPEGIRLDSDPSLNPPIRVPYIGSQTDERRVASMAYIKGASACLRSALRLAGIYIWA -FGDTDKNWDEAHQLCSTRIAINQDQLRMLTPLPTSSNISHRLDDGMTQIKFTPASSYSFSSYAHISNDLQ -NLEIEAGQVDSNLIYQQIMLLGLGILETFLTAPETKTMCDIVIHLHTEGSCCTRPVNPCLLNESHLIEPS -LTVPYSNKFVYDAAPLPDSELIKLDELAYQATISAIDLIPDFDRIPLLAHFVGIQVAHAISGLDSTTSLI -NDAVVESDYASNWITEFMNTYLDKIFYYCAWNLLCELAYQLYYLRVKGLHGITDYLHLTLERIPGLALSG -LAATISHPRILRRAINLGIITPSNAPYLATLNYHKLACEAIEWGATQVLRHLLDGYDIEIIIPSEFSTEL -SDRVLNLVARKLSLLSILHCSAEALPHVRGKKPIDKCHILTQCILLYIDNTGLPLEVIQRLKKTINEPKI -TAFPCNLYYLTRKVLNWIKDSDQAQYVLSDYYDLIGYTETIQPTLRTTVDLSSQACTLTKFDKVEILFDG -GTLVERFALPETGFSDDLCPPIVVDPPNHHILRPLGLSSTSWYKGLSLVHWLNQTRVPDGNHLYLAEGSG -ALMSCLEYFCPGKTIYYNSLFSSGQNPPQRNYTPLPTQFIESVPYKQLINDIPCSGGWIQVFEPLWSGDG -KQTDLSETDCINFILGKIENLSCSLVSIDLEDTYARCSTIISPALIHSILIAHMVLKIDGILILKSYLEP -FRKFSQLITILWGKFGQVTIIRSSYSDP diff --git a/seq/clusters_seq/cluster_610 b/seq/clusters_seq/cluster_610 deleted file mode 100644 index 7a6ff76..0000000 --- a/seq/clusters_seq/cluster_610 +++ /dev/null @@ -1,53 +0,0 @@ ->YP_009508280.1 structural protein P9 [Wound tumor virus] -MSGKIQDGVAIRRMSDAILFFTNYTSRNLIDQRDITLSTLHTIRRNLGTCWSIALLNCWNETSSHAGVMR -FILDIAFSLRFGDFTMLGACGNVDPFDDAGQIFLKSCKATGRNDSCFLTPSDNFGYYLVSFLNKEQLKCV -VDMNVGIHNIEDIYVTRMESIMEFIYYYYTESGRDVVNWLEKLESADAGLAAHAKSKRLMRAEIDLIRRE -ILERTRLFINNNRNSFHDHHRELVRRYRTIWADVISDGDVVEETSTEATTSAQHSTALSAELDEVDEYDH -PNDGLLTFRREEDAASNLDSLLGSLSGEDAFQG - ->YP_002790892.1 non-structural protein [Homalodisca vitripennis reovirus] -MSGQKLQDGTAIKRINDAIQIFQKYQTGEVSKSSSNHLNQLRNIRMSVGLAWPVILKYCFLHVSSHCGVV -RFLTDLACTVKVGVFPIISSIGDFDPFDDVGLIFSKSCIALKISDSSFLTPPDSFASKLTLFFSAMSVNA -RVETDMLHEIEDRYTYRTGVIGELLSVYMNATLNLDDWVVNLDELSQPTRAQMLTLLAQNRNMISNEIRN -YVNKNLDHPSEHLAAVAKKYNQEWDIGQPTPNLLPVTSATEIDGPESDTESDSYSASTDLDASLRAVSSF -PTRDDEIEFSLDDL - ->YP_001111367.1 36K protein [Rice gall dwarf virus] -MAGKLQDGVAIAKIKETINLFCEYSFGDLVNNRREIVGRVHDARKNAALAWPDLIMNCFLHSASHYGVVK -FLLDIALSTRFGDFTLLGVSSQNYPFYDLHVVMTKAFCNLDFAKDEYLMINDSFSSMMSAFLDEEGVHSA -MSMELGIHDIEDRFVLRTKRLFYIIHEYHMSLDEIEPWLEKLPDASGGTLLNQKSKEQMRVIFSNAKVRI -ANSINLYVTTHTNSYNEYVREVAEYVADLWNIQTTTNTQGHENELAAEDFGVLASSSQMNGTKSELGDSV -IKSDGNEVKLEPAMFTRNDDEEELAGSEFTSLLSDDGRMG - ->NP_620535.1 nonstructural protein [Rice dwarf virus] -MGKLQDGIAIKRINDAITTFKNYKLGELKQGGSMAINTLSNVRAHVGLAWPAILRNCLIHTSSHLGFMKF -MIDIATTWKVGAFTLLGSVGDEDPFTDVDLIYTKTCLHLGLKDNDFLQFPEEFAYEANSFLEAQSMNAKV -DMLTGVHNIEDKYVFRMQSISKFLKAYYTASEDVAYLTGFIKPDDSKDSILNAELLEAQVTSEVLRVRNL -ITTKIQKYINLYEDSQLPHFRQAALSYIQDWDVDGGVPAALPQPDTTDDERPVTKPGPSTPTVSKGVDEP -EDEEMIRKKVETSKDAPSKADPPGNVSPRGVPALLEDDMSEMDMPDGFHDYLTREHENNFDLSQLGLAPS -V - ->sp|Q85450.1|P9_RDVA RecName: Full=Minor outer capsid protein P9 -MGKLQDGIAIKRINDAITTFKNYKLGELEQGGSMAINTLSNVRAHVGLAWPAILRNCLIHTSSHLGFMKF -MIDIATTWKVGAFTLLGSVGDEDPFTDVDLIYTKTCLHLGLKDNDFLQFPEEFAYEANSFLEAQSMNARV -DMLTGVHNIEDKYVFRMQSISKFLKAYYTASEDVAYLTGFIKPDDSKDSILSAELLKAQVTSEVLRVRNL -ITTKIQKYINLYEDSQLPHFRQAALSYTQDWDVDGGVPAALPQPDTTDDENPVANPGPSAPTVSKGADQP -EDEEMIRKKVETSKDGPPKAVPSGNVSARGFPAFLEDDMSEMDAPDGFHDYLTREHENNFDLTQLGLAPS -V - ->sp|Q85446.1|P9_RDVO RecName: Full=Minor outer capsid protein P9 -MGKLQDGIAIKRINDAITTFKNYKLDELEQGGSMAINTLSNVRAHVGLAWPAILRNCLIHTSSHLGFMKF -MIDIATTWKVGAFTLLGSVGDEDPFTDVDLIYTKTCLHLGLKDNDFLQFPEEFAYEANSFLEAQSMNARV -DMLTGVHNIEDKYVFRMQSISKFLKAYYTASEDVAYLTGFIKPDDSKDSILSAELLKAQVTSEVLRVRNL -ITTKIQKYINLYEDSQLPHFRQAALSYTQDWDVDGGVPAALPQPDITDDESPVTNPGPSAPPVSKGADQP -EDEEMIRKKVETSKDAPPKAVPSGNVSARGIPAFLEDDMSEMDAPDGFHDYLTREHENNFDLAQLGLAPS -V - ->sp|P17381.1|P9_RDV RecName: Full=Minor outer capsid protein P9 -MGKLQDGIAIKRINDAITTFKNYKLGELEQGGSMAINTLSNVRAHVGLAWPAILRNCLIHTSSHLGFMKF -MIDIATTWKVGAFTLLGSVGDEDPFTDVDLIYTKTCLHLGLKDNDFLQFPEEFAYEANSFLEAQSMNARV -DMLTGVHNIEDKYVFRIESISKFLKAYYTASEDVAYLTGFIKPDGSKESILSAELLKAQVTSEVLRVRNL -ITTKIQQYINLYEDSQLPHFRRAALSYTQDWDVDGGVPAALPQPDTTDDESPVTKPGASAPTVSKGADQP -EDEEIIHKKVDASKDAPPKAVSSGNVSARGIPAFLEDDMSEMDAPDGFHDYLTREHENNFDLAQLGLAPS -V - diff --git a/seq/clusters_seq/cluster_611 b/seq/clusters_seq/cluster_611 deleted file mode 100644 index a727fac..0000000 --- a/seq/clusters_seq/cluster_611 +++ /dev/null @@ -1,69 +0,0 @@ ->YP_009508272.1 structural protein P6 [Wound tumor virus] -MSVAIVCVGLLTDSTVLTRMLNDNTKEFYNALTGKSIIEGKDITGKLGSRKIELRRVTPTDTIILDFKDE -KFIRDNRLMSLNDICGSPSNMAPKTTFESIMPALGQLFNVGFIAGAFAHNVMSTYGKATQLLILVVGPPS -GFSNKQIVSSSGSLVDVETNAKIDLSNVVAVNTEMTSKTPLVNACAIRAMSLGDVMVKCDSLDRNLVQVA -IKYFRHHVNLAQTASVSDATRIMLNSTFEEIFDLSSDESARVKPSAWVSDSIRARGLVLPVGHGKTTLEE -RHPELFIEIDGVFNKEEHSLLDKMRITAKESNNWEEYNNMFNQLVRKYLRQGYYGNKVILGHHPDNLNPN -GISIIGVYALDSESNLEKHIDENPSLKNRLDLVRMNWKEIRDKTTVVAPTIQELHHIILKDIMNDLSKKS -IDTSSAKSKEKIVIKFLNGFPSDKYNLVNLEKEGISVTNDLTSDVNFVIDNTPTYVSSGGKGKKKNAKQD -SRGKIDAARISVDTDKVSEAEFIQLLRTK - ->YP_002790890.1 minor core protein [Homalodisca vitripennis reovirus] -MSAIVGVCLLSETTVLVRNLNDVVKGLYSRIRGNVTPPKESIEARVSGQTVKVQRTIPINTVFIEYLDQD -YISANPTMSAMEIIGSSGNTAPKTTFQSLLPSLSALFGVAFIQGAFMHRVISTTGPTISFLVLVIGPPGN -FTRKPSVAAASSVVQVESTSDIDLNDTVKINALMLQNTKLVSASAIHATSLGEVSEKCDSLDTMIIMKAL -TYFKRYAYEQSLGTMDNATRVYLNLPIDEIFSGRTASGEQLQNKIATFKESRGHLAGVVLPDGHGKSTLV -SDYPEIFTLLKDNNEATDPSDNGEIEANKSKGKYEGKIVLASSVKELFDNGIRVLGVLKLESEAQVEDEI -NKDQVLKNKKDKIMEGWKNTSGPNVVTSKNLPLLHDKVMDIVTTHTKGIKTNRSGKPIEIKTDPKLRPET -RISIYFKNGFPIARYSTNKLSKHGIDVVEAKDLNEDTIIVSNKPKNTPRRVPQNVKTKLESMRSNLKVDV -DTDNLTEEEFIAKIKAL - ->YP_001111375.1 RNA binding protein [Rice gall dwarf virus] -MTAIVCVSLLSEKAVLVKNLTDHVKAFYESIIGRFVSGPDTITEKRTMDSVIARKIVPSSTVILDGYGES -FIRENPNATLMDIVTSSNNTAPKTTYQSIMPAMSALLGVPYVQGAFRHGIISKHGGKKTSLIILVVAPPS -GFIRAASVGSSSSVVEVDSNATIKLDDTVGINSAMIKNTKLVSAAGLTAMGIEEIPIKCNSLDSLIIGWS -MKYFKGYVDGYKSGVRDQATTILLNTPFKDLFVENGAGRMLPNDSFRVSESTIKNQVIEMGEGTKPDALI -STHGDVFIDSEAVFTSDEQEKYRKDKDEEFFKKCVCNHIIAGDYGNNVIIIENPPHSDVRGLGVKYSFQV -NKPELDGTESNGIKYYSKDTPQQIHDAILDVISDTNKSLLMNSKPIERTGKEAIAVCFKNGFPKKFAMVE -MEKNGVKIVGMGDSPMLVLDNYPSMLSRAEKANRKSSRAKIDAPVVKIDTEDLDTNTLLDVIKKEVGSR - ->NP_620530.1 minor core protein [Rice dwarf virus] -MSAIVGLCLLSEKVVLSRSLTDEVSKLYKLNRGNVKEPRKYATERMSTQSKPVALQVPVSTIILDYKDED -FIKQNPTYSAMDIIGSPSNTAPQTAFQSIMPSLSALFNTPFIQGAFRHRVISSMGPEISYLVMVIGPPSG -FMDTPMYRTVNHLSILMSNADVDLIDIIAINSTMAKSTKLVFASTFQAMLVNDVYDRCMVLVGIFLSQAL -PFFRNYVNVQSKGSLPPAVAACLNTPIKELFSMGSGKREPLALEFRKDNEGQCLGIVLPKGHEGDTLSSR -YPAVFINESEPFSDEERSELSKLKRTDPDAYEKLYSETISKHVSDGSYGNRVIISHKMSRLSNGGVKIIG -RFKISDFNTVKKNLSSRPGEVDSAKEQWEALSGNGLVTDNNTSMLHDKILDTITSNKPGVVLRDGNKKSD -NIVVCFKNGFPNKKHSLLQLTKNGISVVSLDELTDAGILVESTGPDRVRRSPKALANKLSSFKGRKVTLD -VDNMSTEALIQKLSTL - ->sp|P22473.1|P7_RDVO RecName: Full=Protein P7; AltName: Full=55 kDa core protein -MSAIVGLCLLSEKVVLSRSLTDEVSKLYKLNRGNVKEPRKYATERMSTQSKPVALQVPVSTIILDYKNED -FIKQNPTYSAMDIIGSPSNTAPQTAFQSIMPSLSALFNTPFIQGAFRHRIISSMGPEISYLVMVIGPPSG -FMDTPNVSSAQSSVHTVSNADVDLNDIIAINSTMAKSTKLVSASTLQAMLVNDVYDRCMDLDGILLSQAL -PFFRNYVNVQSKGSLPPAVAACLNTPIKELFSMGSGKREPLALEFRKDNEGQCIGIVLPKGHEGDTLSSR -YPAVFINESEPFSDKERSELSELKRTDSDAYEKLYSETISKHVSDGSYGNRVIISHKMSRLSNGGVKIIG -RFKISDFNTVKKNLSSRSGEIDSAKEQWEALSGNGLVTDSNISMLHDKILDTITSNKPGVVLRDGNKKSE -NIVVCFKNGFPNKKHSLLQLTKNGISVVSLDELTDAGILVESTGPDRVRRSPKVLANKLSSFKGRKVTLD -VDNMSTEALIQKLSTL - ->sp|Q85448.1|P7_RDVA RecName: Full=Protein P7; AltName: Full=55 kDa core protein -MSAIVGLCLLSEKVVLSRSLTDEVSKLYKLNRGNVKEPRKYATERMSTQSKPVALQVPVSTIVLDYKDED -FIKQNPTYSAMDIIGSPSNTAPQTAFQSIMPSLSALFNTPFIQGAFRHRIISSMGPEISYLVMVIGPPSG -FMDTPNVSSAQSSVHTVSNADVDLNDIIAINSTMAKSTKLVSASTLQAMLVNDVYDRCMDLDGILLSQAL -PFFRNYVNVQSKGSLPPAVAACLNTPIKELFSMGSGKREPLTLEFRKDNEGQCLGIVLPKGHEGDTLSSR -YPAVFINESEPFSDKERSELSELKRTDSDAYEKLYSETISKHVSDGSYGNRVIISHKMSRLSNGGVKIIG -RFKISDFNTVKKNLSSRSGEVDSAKEQWEALSGNGLVTDSNISMLHDKILDTITSNKPGVVLRDGNKKSE -NIVVCFKNGFPNKKHSLLQLTKNGISVVSLDELTDAGILVESTGPDRVRRSPKALANKLSSFKGRKVTLD -VDNMSTEALIQKLSAL - ->sp|P31610.1|P7_WTVNJ RecName: Full=Protein P7; AltName: Full=60 kDa core protein -MSVAIVCVGLLTDSTVLTRMLNDNTKEFYNALTGKSIIEGKDITGKLGSRKIELRRVTPTDTIILDFKDE -KFIRDNRLMSLNDICGSPSNMAPKTAFESIMPALGQLFNVGFIAGAFAHNVMSTYGKATQLLILVVGPPS -GFSNKQIVSSSGSLVDVETNAKIDLSNVVAVNTEMTSRTPLVNACAIRAMSLGDVMVKCDSLDRNLVQVA -IKYFRHHVNLAQTASVSDATRIMLNSTFEEIFDLSSDESARVKPSAWVSDSIRARGLVLPVGHGKTTLEE -RHPELFIEIDGIFNKEEHSLLDKMRITAKESNNWEEYNNMFNQLVRKYLRQGHYGNKVILGHHPDNLNPN -GISIIGVYALDSESNLEKHIDENPSLKNRLDLVRMNWKEIRDKTTVVAPTIQELHHIILKDIMNDSSKKS -IDTSSAKPKEKIVIKFLNGFPSDKYNLVNLEKEGISVTNDLTSDVNFVIDNTPTYVSSGGKGKKKNAKQD -SRGKIDAARISVDTDKVSEAEFIQLLRTK - diff --git a/seq/clusters_seq/cluster_612 b/seq/clusters_seq/cluster_612 deleted file mode 100644 index d0390ce..0000000 --- a/seq/clusters_seq/cluster_612 +++ /dev/null @@ -1,77 +0,0 @@ ->NP_042289.1 P68 protein [UR2 sarcoma virus] -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQRLMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEVGETTVQRDAKMA -PEETATPKTVDTVTSPDITAIVAVIGAVVLGLTSLTIIILFGFVWHQRWKSRKPASTGQIVLVKEDKELA -QLRGMAETVGLANACYAVSTLPSQAEIESLPAFPRDKLNLHKLLGSGAFGEVYEGTALDILADGSGESRV -AVKTLKRGATDQEKSEFLKEAHLMSKFDHPHILKLLGVCLLNEPQYLILELMEGGDLLSYLRGARKQKFQ -SPLLTLTDLLDICLDICKGCVYLEKMRFIHRDLAARNCLVSEKQYGSCSRVVKIGDFGLARDIYKNDYYR -KRGEGLLPVRWMAPESLIDGVFTNHSDVWAFGVLVWETLTLGQQPYPGLSNIEVLHHVRSGGRLESPNNC -PDDIRDLMTRCWAQDPHNRPTFFYIQHKLQEIRHSPLCFSYFLGDKESVAPPADSDSILSTTLMEARDQE -GLNYLVVVKESNQDQGSSCASLKRNRETSLLVR - ->sp|P00534.2|ERBB_ALV RecName: Full=Tyrosine-protein kinase transforming protein erbB -MKCAHFIDGPHCVKACPAGVLGENDTLVWKYADANAVCQLCHPNCTRGCKGPGLEGCPNGSKTPSIAAGV -VGGLLCLVVVGLGIGLYLRRRHIVRKRTLRRLLQERELVEPLTPSGEAPNQAHLRILKETEFKKVKVLGS -GAFGTVYKGLWIPEGEKVKIPVAIKELREATSPKANKEILDEAYVMASVDNPHVCRLLGICLTSTVQLIT -QLMPYGCLLDYIREHKDNIGSQYLLNWCVQIAKGMNYLEERRLVHRDLAARNVLVKTPQHVKITDFGLAK -LLGADEKEYHAEGGKVPIKWMALESILHRIYTHQSDVWSYGVTVWELMTFGSKPYDGIPASEISSVLEKG -ERLPQPPICTIDVYMIMVKCWMIDADSRPKFRELIAEFSKMARDPPRYLVIQGDERMHLPSPTDSKFYRT -LMEEEDMEDIVDADEYLVPHQGFFNSPSTSRTPLLSSLSATSNNSATNCIDRNGQGHPVREDSFVQRYSS -DPTGNFLEESIDDGFLPAPEYVNQLMPKKPSTAMVQNQIYNNISLTAISKLPMDSRYQNSHSTAVDNPEY -LNTNQSPLAKTVFESSPYWIQSGNHQINLDNPDYQQDFLPNETKPNGLLKVPAAENPEYLRVAAPKSEYI -EASA - ->sp|P33497.1|RYK_AVIR3 RecName: Full=Tyrosine-protein kinase transforming protein RYK -TTTVVNYTAKKSYCRRAVELTLGSLGVSSELQQKLQDVVIDRNALSLGKVLGEGEFGSVMEGRLSQPEGT -PQKVAVKTMKLDNFSHREIEEFLSEAACIKDFDHPNVIKLLGVCIELSSQQIPKPMVVLPFMKYGDLHSF -LLRSRLEMAPQFVPLQMLLKFMVDIALGMEYLSSRQFLHRDLAARNCMLRDDMTVCVADFGLSKKIYSGD -YYRQGRIAKMPVKWIAIESLADRVYTTKSDVWAFGVTMWEIATRGMTPYPGVQNHEIYEYLFHGQRLKKP -ENCLDELYDIMSSCWRAEPADRPTFSQLKVHLEKLLESLPAPRGSKDVIYVNTSLPEESPDSTQDLGLDS -VIPQADSDLDPGDIAEPCCSHTKAALVAVDIHDGGSRYVLESEGSPTEDAYVPQLPHEGSAWTEASTLPV -GSSLAAQLPCADGCLEDSEALL - ->sp|P00529.1|ROS_AVISU RecName: Full=Tyrosine-protein kinase transforming protein ros -DTVTSPDITAIVAVIGAVVLGLTSLTIIILFGFVWHQRWKSRKPASTGQIVLVKEDKELAQLRGMAETVG -LANACYAVSTLPSQAEIESLPAFPRDKLNLHKLLGSGAFGEVYEGTALDILADGSGESRVAVKTLKRGAT -DQEKSEFLKEAHLMSKFDHPHILKLLGVCLLNEPQYLILELMEGGDLLSYLRGARKQKFQSPLLTLTDLL -DICLDICKGCVYLEKMRFIHRDLAARNCLVSEKQYGSCSRVVKIGDFGLARDIYKNDYYRKRGEGLLPVR -WMAPESLIDGVFTNHSDVWAFGVLVWETLTLGQQPYPGLSNIEVLHHVRSGGRLESPNNCPDDIRDLMTR -CWAQDPHNRPTFFYIQHKLQEIRHSPLCFSYFLGDKESVAPLRIQTAFFQPL - ->sp|P00545.2|KFMS_FSVMD RecName: Full=Tyrosine-protein kinase transforming protein fms -RMPSGPGHYGASAETPGPRPPLCPASSCCLPTEAMGPRALLVLLMATAWHAQGVPVIQPSGPELVVEPGT -TVTLRCVGNGSVEWDGPISPHWNLDLDPPSSILTTNNATFQNTGTYHCTEPGNPRGGNATIHLYVKDPAR -PWKVLAQEVTVLEGQDALLPCLLTDPALEAGVSLVRVRGRPVLRQTNYSFSPWHGFTIHKAKFIENHVYQ -CSARVDGRTVTSMGIWLKVQKDISGPATLTLEPAELVRIQGEAAQIVCSASNIDVNFDVSLRHGDTKLTI -SQQSDFHDNRYQKVLTLNLDHVSFQDAGNYSCTATNAWGNHSASMVFRVVESAYSNLTSEQSLLQEVTVG -EKVDLQVKVEAYPGLESFNWTYLGPFSDYQDKLDFVTIKDTYRYTSTLSLPRLKRSESGRYSFLARNAGG -QNALTFELTLRYPPEVRVTMTLINGSDTLLCEASGYPQPSVTWVQCRSHTDRCDESAGLVLEDSHSEVLS -QVPFYEVIVHSLLAIGTLEHNRTYECRAFNSVGNSSQTFWPISIGAHTPLPDELLFTPVLLTCMSIMALL -LLLLLLLLYKYKQKPKYQVRWKIIESYEGNSYTFIDPTQLPYNEKWEFPRNNLQFGKTLGTGAFGKVVEA -TAFGLGKEDAVLKVAVKMLKSTAHADEKEALMSELKIMSHLGQHENIVNLLGACTHGGPVLVITEYCCYG -DLLNFLRRQAEAMLGPSLSVGQDPEAGAGYKNIHLEKKYVRRDSGFSSQGVDTYVEMRPVSTSSSNDSFS -EEDLGKEDGRPLELRDLLHFSSQVAQGMAFLASKNCIHRDVAARNVLLTSGRVAKIGDFGLARDIMNDSN -YIVKGNARLPVKWMAPESIFDCVYTVQSDVWSYGILLWEIFSLGLNPYPGILVNSKFYKLVKDGYQMAQP -AFAPKNIYSIMQACWALEPTRRPTFQQICSLLQKQAQEDRRVPNYTNLPSSSSSRLLRPWQRTPPVAR - ->sp|P00535.1|ERBB_AVIER RecName: Full=Tyrosine-protein kinase transforming protein erbB -MKCAHFIDGPHCVKACPAGVLGENDTLVRKYADANAVCQLCHPNCTRGCKGPGLEGCPNGSKTPSIAAGV -VGGLLCLVVVGLGIGLYLRRRHIVRKRTLRRLLQERELVEPLTPSGEAPNQAHLRILKETEFKKVKVLGS -GAFGTIYKGLWIPEGEKVKIPVAIKELREATSPKANKEILDEAYVMASVDNPHVCRLLGICLTSTVQLIT -QLMPYGCLLDYIREHKDNIGSQYLLNWCVQIAKGMNYLEERRLVHRDLAARNVLVKTPQHVKITDFGLAK -LLGADEKEYHAEGGKVPIKWMALESILHRIYTHQSDVWSYGVTVWELMTFGSKPYDGIPASEISSVLEKG -ERLPQPPICTIDVYMIMVKCWMIDADSRPKFRELIAEFSKMARDPPRYLVIQGDERMHLPSPTDSKFYRT -LMEEEDMEDIVDADEYLVPHQGFFNSPSTSRTPLLSSLSATSNNSATNCIDRNGQGHPVREDSFVQRYSS -DPTGNFLEESIDDGFLPAPEYVNQLMPKKPSTAMVQNQIYNFISLTAISKLPMDSRYQNSHSTAVDNPEY -LNTNQSPLAKTVFESSPYWIQSGNHQINLDNPDYQQDFLPTSCS - ->sp|P11273.1|ERBB_AVIEU RecName: Full=Tyrosine-protein kinase transforming protein erbB -MKCAHFIDGPHCVKACPAGVLGENDTLVWKYADANAVCQLCHPNCTRGCKGPGLEGCPNGSKTPSIAAGV -VGGLLCLVVVGLGIGLYLRRRHIVRKRTLRRLLQERELVEPLTPSGEAPNQAHLRILKETEFKKVKVLGF -GAFGTVYKGLWIPEGEKVTIPVAIKELREATSPKANKEILDEAYVMASVDNPHVCRLLGICLTSTVQLIT -QLMPYGCLLDYIREHKDNIGSQYLLNWCVQIAKGMNYLEERHMVHRDLAARNVLVKTPQHVKITDFGLAK -QLGADEKEYHAEGGKVPIKWMALESILHRIYTHQSDVWSYGVTVWELMTFGSKPYDGIPASEISSVLEKG -ERLPQPPICTIDVYMIMVKCWMSDADSRPKFRELIAEFSKMARDPPRYLVIQGDERMHLPSPTDSKFYRT -LMEEEDMEDIVDADEYLVPHQGFFNSPSTSRTPLLSSLSATSNNSATNCIDRNGGHPVREDGFLPAPEYV -NQLMPKKPSTAMVQNQIYNYISLTAISKLPIDSRYQNSHSTAVDNPEYLE - diff --git a/seq/clusters_seq/cluster_613 b/seq/clusters_seq/cluster_613 deleted file mode 100644 index c57d98d..0000000 --- a/seq/clusters_seq/cluster_613 +++ /dev/null @@ -1,83 +0,0 @@ ->YP_009507752.1 VP5 [Scophthalmus maximus reovirus] -MGNVQTSTNVYNVNGDNNAFTPTSEMVASASPAIDLKPGVLNPNGKLYQLEAGSAPDPTNLILVVDASEG -DFSYLTNNTWETLSKSALETNSWEPMFSVTMTGCGPLKLGDYTVTMSGYVGASPSDAFDGGVIEDGSFIS -SRRLKNFKLMLSNRCEAIAKWNMSLNQAMSLLTPDLLAGSGSCKWKSVLQYMQKVLPSDNEVLQYPDEFY -TVAVGKYPALKPGSSPDTPPPAAGPLGEIACVMNAASASVGLMSGSSALLTSAMDTLAAKNLDLVCAEAP -LPVSTFTPSLAPRDYRPAFIKDADAHWVTSITPTTYFRVTTTLSAKNYSVQLGPGATKVLDMNRMVDSDL -LLDVSGMPIDWMSNPDYATSVAAIVLLESRVPASEISAAEDITGVSIVASSPLSIVNSTVNVRGQHFLEM -LHLRTTFERETIAGKPYIYGLGTLLLLSPTTASNSRNPTLMDGLLTITPILLRDTTYKGEIVEEIVPSDI -LGNHTSEEMAVALANDAVVLMENSLKEVAEVIGNAVPIASDLDDSATASVVSRLAITETASTRSRSNNPL -AFPDFGALWKKAKRAASLFVSNPKSVLQVGVPVLASAGVIDALTSAVGTSVRTGNIGKGVQDALSILKAR -NSVTKLRQGFFSKIEELWPVLEG - ->YP_009507740.1 VP5 [Green River chinook virus] -MVASASPAIDLKPGMLNPTGKAFVLSGSSAPSAENLILYVTEEGDYSYLGVNTSETLAKASLETNSWEPL -FAITRTGCGHLELSNYSVTLSAYVGANPDDAFMHGEIIDGPFISSQSLRNFKLTLTNRLQSIADWNRDLD -QAMSLLTSDLMAGNATCKWKSILEFLQSALPLDSLVLVYPNEFYTVAVSKYTALRPGTVSGEPPVASGPL -GEIASVMNSSSSSVGLMVGSSATLTAAMDQVTSKNLDLISSDSPLPVSTFTPSLAPRDYRAAYIKGDDAH -WISVINPTAIIRTTVELSARTYTIQIGPGPTKVLDMNRMLDSRLLLDVSGMPINILDNPDYGSAVPGIVL -IEARVPYDEIQLASDIVAASVVAIGSLSVVNSIVSIRGNSTLEMLHLQALFERETIAGKPYVYGFGCLLM -SSVTSASNFKNPTLMDGLLTITPILLRETTYKGEIVDEIIPADIMGNQTTEEMAVALANDAIVLMENNLT -EIAHVVGNALPVASDTNDSATSAIVSRLAIMETMHLRERSHDPRALPDFGMLWKKAKRAASLFVSDPKSV -LQAGIPVLASTGVVDAITSAVGTTVRTGNLGKGVQDALSILRARNSVTRLRQAFFKKIEDLWPVLDG - ->YP_009351853.1 VP5 [Fall chinook aquareovirus] -MGNVQTSTSVYNINGDGNSFAPTSEMVASASPAIDLKPGVLNPNGKLYVLSGSSAPSPDNLVLYVTQKGD -YSYIVTNTHDTLSKAALESNSWEPLFTVTRTGCGPLQLSDYTSHHSGYVGANADDAFANGPVDEGEFISS -TDLKNFKTTLANRMQSVAEWSQYLDQAMSLLTPDIMAGTAGCKWKSVLEFLTSILPLDNLVLTYPNEFYT -VAVGKYPALKPGTTPSSPPPSAGPLGEIASVMNAASSSVGLMNGSSAVLAAAMDKIAAKNLDLISSDSPL -PVATFTPSLAPRSYRPAFIKQDDAHWISVMNPTAIIRVKTEVSAAHYTVQIGPGPTKMLDMNRMIDSTLL -LDISGAHIDFMDNPDYSSAVPAIVLLETRVPYDEVQLAADIVGVSAIAAASLAVINMNVNVRGKSFIEMQ -HLQATFERETIVGKPYVYGFGCLLLASATASSNFKNPTLMDGELTVTPILLRETTYKGDVVDSIIPSDIM -GNQTTEELAVALANDAVVLMENNLSEIAKVIGDVVPVASDVNEAATSAVVSRLAIAETMSVRARSGNPRA -FPDFSALWSKAKRAASLFVSNPKAVLQAGVPILASSGVIDAMTSAIGTTVRTGSIGKGVQDAVSVLRARN -SITRLRQGFFKKVEELWPVLDG - ->YP_009259501.1 putative outer capsid protein [Etheostoma fonticola aquareovirus] -MGNVQTSTNVYNVNGDNNAFTPNSEMVASASPAIDLKPGVLNPNGKLYQLESGSAPDPSNLVIVVDATEG -DFSYITNNTWETLNKSALETNSWEPLFAVTMTGCGPLKLNDYTVTMSGYVGASADDAFDGGVVEDGMFIS -SRRLKNFKLMLSNRCEAIAKWNTSLNQAMSLLTPDLLAGSGSCKWKSILQYMQATLPADNEVLQYPDEFY -TVAVSKYPALKPGASPNTPLPVAGPLGEIASVMNAASSSVGLMNGSSALLTSAMDTLAAKNLDLVCAEAP -LPVATFAPSLVPRDYRPAFIKDADAHWITSVDPSAIIRVTTALSGRDYTVQLGPGATKLIDMNRMVDADL -LLDVSGMPINWLDNPDYATAVAAIVLLEAKVPATEINVAADITGVSVVASSPLSIVNSTINVRGQSYLEM -LHLRTTFERETIAGKPYIYGFGCLMLLSPTTSSNTRNPTLMDGLLTVTPILLRETTYKGEIVEEIVPSDI -LGNHTSEEMAVALANDAVFLMENSLKEVAEVIGSAVPIASDIDDSATASVVSRLAIAETISMRARSGNYR -AFPDFGALWKKAKRAASLFVSNPKSVLQVGVPVLASAGVLDALTSAVGTSVRTGNIGKGVQDAVSILRAR -NSVTKLRQGFFSKIEELWPVLDG - ->YP_001837099.1 VP4 [American grass carp reovirus] -MGNVQTSTNVYNIDGNGNTFAPSSQMASTASPAIDLKPGVLNPTGKLWQTMGTGAPSADSLVLVVDNKGE -YTYLSENMRETLNKAVTDVNMWQPLFQATKSGCGPVVLANFTTISTGYVGATADDAFSNGLVSNGPFLAT -MHIMELQKTIAARMRDVAIWQKHLDTAMTLMTPDVSAGDVTCKWRSLLEFAQDILPLDNLCRSYPNEFYT -VAAQRYPAIRPGQPDTQVALPQPHPLGEVAGSFNAPTSEVGSLVGAGAALSDAISTLASKDLDLVEADTP -LPVSVFTPSLAPRTYRPAFIDPQDAAWIAQWNGDANIRIITTYQSTDYTVQLGPGPTRVIDMNAMIDAKL -TLDVSGTILPFQENNDLSSAIPAFVLIQTKVPLHSVTQASDVEGITVVSAAESSAINLSVNVRGDPRFDM -LHLHAMFERETIAGIPYIYGIGTFLIPSITSSSSFCNPTLMDGELTVTPLLLRETTYKGAVVDTVTPSEV -MANQTSEEVASALANDAVLLVSGQLERLATVVGDVIPIASGEDDAATSAIVGRLAIEATMRARHGGDTRA -LPNFGQLWKRAKRAASMFASNPALALQVGVPVLADSGILSALTSGVSTAIRTGSLGKGVSDASSKLNARQ -SLTLARKTFFKKVEELWPSQ - ->YP_398639.1 putative outer capsid VP4 [Chum salmon reovirus CS] -MSTMSTVTTMPLHQLQKWWRHSPAIDLKPGVLNPNGKLYQLEAGSAPDPSNLVLVVDATEGDFSYLTNNT -WETLSKSALETNSWEPLFAVTMTGCGPLKLNDYTVVMSGYVGASVSDAFDGGVIEDGDFISSRRLKNFKL -MLSNRCEAIAKWNMSLNQAMSLLTPDLLAGSGSCKWKSILLYMQKALPPDNEVLQYPDEFYTVAVSKYPA -LKPGVSPDTPAPAAGPLGEIACVMNAASASVGLMSGSSALLTSAMDTLAAKNLDLVCAEAPLPVATFTPS -LAPRDYRPAFIKDADAHWITSVNPSSFFRVTPLVGTRLRHSDRAGATKMLDMNRMVDTDLLLDVSGMPIN -WLENPDYANPVAGIVLLEARVPATEIETAADITGVSVVASSPLSIVNSTVNIRGLTFLEMLHLRTTFERE -TIAGKPYIYGFGCLMLLSPTTSPNTKNPTLMDGLLTITPILLRDTTYKGEIVEEIVPSDILGNYTSEEMA -VALANDAVVLMEHCLKEVAEVIGNAVPIASDMDDSATASVVSRLAIAETSALRQRSGNPRALPDFGALWK -RAKRAASLFVSNPKSVLQAGVPVLASAGVIDALTSAVGTSVRTGNIGKGVQDALSILRARNSVTKLRQGF -FSKIEELWPVLDG - ->NP_938065.1 putative outer capsid VP4 [Aquareovirus C] -MGNVQTSVNTYNITGDGNSFTPTSDMTSTAAPAIDLKPGVLNPTGKLWRPVGTSVATIDSLAIVSDRFGQ -YSFVNEGMRETFSKALFDINMWQPLFQATKTGCGPIVLSSFTTTTSGYVGATAGDALDNPVTNGVFISTV -QVMNLQRTIAARMRDVALWQQHLDTAMTMLTPDISAGSASCNWKSLLAFAKDILPLDNLCLTYPNEFYNV -AIHRYPALKPGNPDTKLPDAQAHPLGEVAGAFNAATSEVGSLVGSSSTLSQAISTMAGKDLDLIEADTPL -PVSVFTPSLAPRSYRPAFIKPEDAKWIAEFNNSSLIRKTLTYSGATYAVQLGPGPTRVIDMNAMIDSVLT -LDVSGTILPYDTNPDLSTSVPAFVLIQTSVPIQQVTTAANITAITVVSAAGASAINLAINVRGQPRFNML -HLQATFERETITGIPYIYGLGTFLIPSPTSSSNFSNPTLMDGLLTVTPVLLRETTYKGEVVDAIVPATVM -ANQTSEEVASALANDAIVLVSNHLNKLANVVGDAIPVASKTDDSATSAIVSRLAVQHKLSQVGQTSPTPP -DYPLLWRRAKRAASMFVSNPSLALQVGIPVLTQSGMLSALTSGVGTALRTGSLGKGVTDASEKLRARQSL -TVAKQAFFDQIGSLWPGK - diff --git a/seq/clusters_seq/cluster_614 b/seq/clusters_seq/cluster_614 deleted file mode 100644 index 4d35459..0000000 --- a/seq/clusters_seq/cluster_614 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009507781.1 nonstructural protein sigma NS [Pulau reovirus] -MERSMRVGVSRNAAGTGSQTILRGFALLRCVLSADSRQATRAVQSHFPGLGRMTKCLSPLTALSADRTVR -HVNVSTVLSRDVKVLADYALTCHHPGDLPATLTPVGLEAARLVIAKFSERGMDLSHVLPPDASSYSPIVI -CHLIARVMSGFVPMRGNDFGLEGQIDLLAAELVPFSFMLPFLVNDDASAIAVPTARVEDMLQDISKLNQL -DAAFGVESRSDQRMTKDVAEDSSRSLNELPSSENRGRMPWKLMLAFLTFQLKLELDALADQRSELQSQAH -VTSFGAKLFQQMSLFSTLDSELLSLVLAIKDSGLVMNPIQILTKWQSIRTGSDTINPASFSVVVRAGNWV -LMKGEDAALTVRPGRIA - ->YP_009246470.1 Sigma NS [Mahlapitsi orthoreovirus] -MAAPLRVGVARSNQTNKSETILPSLHLLKCTISADSQQLIRGASAYFGGLKNSLKCLNPLVYSATERTIR -PVARSRLLAKPSLTLSERVSLALHRTDQTHCLGHEGVQLISSILAELVADSSEEYASKVLPSSAVTYNPL -AVARAITLITAGMMFEDGTDDYQDLPIYPGMADLIAFQMVLPYCLMECEGEVKVVFPPYTVEDFLRRKDL -LDNLDMSYGLESRSEQRMSFEQSASCSRSVNEWKEDRNTEQRVLKLILLLIAYQLKVELDGLCEMSTETR -NIAHLTNFGAQLLKQASIFAPIDWQLFTLLESIVEAGRVMNPATLAQKWSEIRSQKMGRVNADSYKVDVS -TGFWKVRRGDQVIMTVRPAKIA - ->YP_009110702.1 nonstructural protein [Cangyuan orthoreovirus] -MERSMRVGVSRNAAGTGSQTILRGFALLRCVLSADSRQATRAVQSHFPGLGRMTKCLSPLTALSADRTVR -HVNVSNVLSRDVKVLADYALTCHHPGDLPATLTPVGLEAAKLVIAKFSERGMDLSHVLPPDASSYSPIVM -CHLIARVMSGFVPMRGNDFGLEGQIDLLAAELVPFSFMLPFLVNDDASAIAVPTARVEDMLQDISKLNQL -DAAFGVESRSDQRMTKDVAEDLSRSLNELPSSENRGRMPWKLMLAFLTFQLKLELDALADQRSELQSQAH -VTSFGAKLFQQMSLFSTLDSELLSLALAIKDSGLVMNPIQILTKWQSIRTGSDTINSASFSVVVRAGNWV -LMKGEDAALTVRPGRIA - ->YP_009020577.1 sigma NS [Bush viper reovirus] -MAASHRVGVSRVVANNRAETLFPNLYLLKCQLTSDSQSVAKGASAYFGGIKNALRHLNPLPCVAVERTIR -KVDVPKLLSRPNLSLTDRILLVTPQPGQMAGLSVNGLQLVRQLLTELMGEDASVAERYIPQMTSPMNPMA -MARGLALLSAGIDFELEEPYYRDGIVCHGMTEILAFQMCLPYCLDVHGDDVRLSMPAQTVERMLEQTTLL -DEIDMSYGTDARSDQRMTQDQANDSSRSVNEWGDDRALERQTLKVIMALITLQMKLELDQLCELSVEART -ASSVTSFGTQLLKQAGVLATIDWQMFKLMEAIVDAGRYMNPSTVAQKWKEIRAMEQPVGLEVYDVVMSNG -AWQAKRNDATILTVRPAKIA - ->YP_004769557.1 nonstructural protein sigma NS [Baboon orthoreovirus] -MSAGLRLNVSRAVAGRKDQTFLSRLHLLKVVKNEKNELFFPNAKSVAKQLNPLFCVARDRILKKSPKVNF -AEKLNKTVTDYIHQVIYDEGQFPTSRVSADILKTLLSDCYGEGFEHVANTIIPSDSYIPGALAKAFTMSL -AGMAPEGDNKYIDTPVYHGAAELIVFQRGLPYTIAPVKGGTTIDRPINGVEYTLGQLANLDTIDISYGVD -VRGDNVTQDSINASSRSINELPEDRLIEKLVLKVIVVLNALQLKRELDIAHEHVKDDIVGFGLQLLKQYS -FLAGIDRDLCNLMISIADNKEASFNDVSRYWSEIRSGSRDLDTIGMVAKFTNGALKICQGEDVKLTIRPT -RIV - ->YP_004226530.1 sigma-NS protein [Avian orthoreovirus] -MDNTVRVGVSRNTSGAAGQTVFRNYYLLRCNISADGRNATKAVQSHFPFLSRAVRCLSPLAAHCADRTLR -RDNVKQILTRELPFPSDLINYAHHVNSSSLTTSQGVEAARLVAQVYGEQLSFDHIYPTGSATYCPGAIAN -AISRIMAGFVPHEGDNFTPDGAIDYLAADLVAYKFVLPYMLDIVDGRPQIVLPSHTVEEMLSNTSLLNSI -DASFGIESKSDQRMTRDAAEMSSRSLNELEDHEQRGRMPWKIMTAMFAAQLKVELDALADERVESQANAH -VTSFGSRLFNQMSAFVPIDRELMELALLIKEQGFAMNPGQVASKWSLIRRSGPTRPLSGARLEIRNGNWT -IREGDQTLLSVSPARMA - ->YP_003717779.1 sigma NS [Broome virus] -MATLRLPVARANQVNRSENVFTNLYLLKGAVSAEGQRVLKAANVYFGGLRGAMRYLNPMNATAKERILMP -VNVAKLRQRNQLSLFDRALIMMHVDGQTMCCGRHGLSNLREVLSDVTNDNDEYILKILPTDVAYYHPVAV -VRALALSSCGMLLSEDAMGYKDTPIFHGMADLLIFKMALPYLLSEAGGEVHVKAPDVTVEMMLTDLDLLG -AMDLSYGTEVSSDQRFTSDQAHDGSRSINEFEDGRSTERQVIKLICCLLAYQLKLELDALKDMSADLKDT -VHLTSFGAQLLKQASFFAPIDVELCKLMLEINDSNRAMSPATISAKWGEIRSSSDHDLTGFCVTMRGGNW -VMSFLERVRLTVRPAKIA - diff --git a/seq/clusters_seq/cluster_615 b/seq/clusters_seq/cluster_615 deleted file mode 100644 index eba94a6..0000000 --- a/seq/clusters_seq/cluster_615 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009507777.1 major inner capsid protein sigma 1 [Pulau reovirus] -MARAVFDFFTTLFGNRGLPTDQRQLTSLLTSSNSPWQKQYSPLALSLTSSTISTPQQPFPGSAWYQESML -FSALLPPVLVNQDAWRDANYKRFCWTDSALSGLVAAPDPPRAPPYVPVSGAWFDLTQYPRWANRRRELET -KYPLLLRITLLNMMQNGPLVYVETWPNMLSGSLVNFAMSCYGKDFREIAMVLAQSCSNMPFAPESSYDQQ -MRMMVSLWILSYIGVVHQNATIAGFFFSTKTRGVRDEAWTLFYNTNGQRLNITQRHFGYFCARSADWNAD -SSWLAASQLSALIMSCRQFPLLANQGVVNQAQNRPGYSSPNGVPVRELQLLACATECIRSHVQAGLIDQV -KGQTLTQNATDVNQVLQREIDDIKAADDALYNQNPEYARRLKPFQARSWTVGQSMQSLAALAAFAT - ->YP_009246469.1 Sigma A [Mahlapitsi orthoreovirus] -MARAIFPFFTTQWGDPRVPLSEKSLGKLLTSSNALWQSSNFINYLPTLQSRIKTQEWPFPGSVWYQMSLT -YTGFITTALAARESWRDHFFLNTVWDGPDLRQLVVRPPDPTYVEVVPNPGQWLDLLDYMRWSNRRHELMA -IYPQIYRLSLLNMSIYGPVFFIETDPLITAGQVSVWLTSMIGHDFRDIAFRLIQSVGNLPITRDTSYDDN -IKALIAIFMMSYIGVVHQNRTMHGFYFQTKQRGTGLEAWTLQFNHVGQRVTPTIRHAGYFGVRSPDWHAC -AELLFVTALSSIRQAVRQTPVIANSSVIPAARDYPGVTAPVGENIGYFTLDVAATRCLDRWYNDDLISRE -ERGVMICDKDHYFNHVHDEIEGVFREDNALMQIDPTHSRRLKPYAQQDWNIGASNVVIAQLSTFIV - ->YP_009110704.1 major inner capsid protein [Cangyuan orthoreovirus] -MARAVFDFFTTLFGNRGLPTDQRQLTSLLTSSNSPWQKQYSPLALSLTSSTISTSQQPFPGSAWYQESML -FSALLPPVLVNQDAWRDANYKRFCWTDAALSGLVAAPDPPRAPPYVPVSGAWFDLTQYPRWANRRRELET -KYPLLLRITLLNMMQNGPLVYVETWPNMLSGALVNFAMSCYGKDFREIAMVLAQSCSNMPFAPESSYDQQ -MRMMVSLWILSYIGVVHQNATIAGFFFSTKTRGVRDEAWTLFYNTNGQRLNITQRHFGYFCARSADWNAD -SSWLAASQLSALIMSCRQFPLLANQGVVNQAQNRPGYSSPNGVPVRELQLLACTTECIRSHVQAGLIDQA -KGQTLTQNATDVNQVLQREIDDIKAADDALYNQNPEYARRLKPFQARSWTVGQSMQSLAALAAFAT - ->YP_009020580.1 sigmaA [Reptilian orthoreovirus] -MARVVYPYFTTPWNDRTVPLTQKQLYNLLTSSNALWQMQNLTSFIPSVQTQVSTPLLPVPGSAWYQVSLI -YSAFINIAVGPPNNWRDHYFRDTVWTGPDLESVVQKPNAPAYVEQNPQPAVFLDMLRSMTWANQRFPMYR -IYAQTYRLSLLNASLHGPIFWVETGPNFISATDATWLSSMIGRDMREISFRLVQSTVNMPFAADDHYDTS -LRALIAVFILSYLGVINQNSTLRGYYFATKERGTGLETWTLEENLHGARVNILAAHRGYFGVKSPDWNVD -PAILALAALSSIRQSIRAVAPIRNATVIQQAQNRPGFTERNGVPVLYFTLLTASEFCLNQWLLANLISPL -QRQEMQRKTQAYFQAQEMRVDAILAEDDDRMRANPALGRRIKPYPPVDWLPGNSRAALNALAAYVG - ->YP_007507322.1 sigma 1 [Melaka orthoreovirus] -MARAVFDFFTTLFGNRGLPTDQRQLTSLLTSSNSPWQKQYSPLSLSLTSSTISTPQQPFPGSAWYQESML -FSALLPPVLVNQDAWRDANYKRFCWTDAALSGLVAAPDPPRAPPYVPVSGAWFDLTQYPRWANRRRELET -KYPLLLRITLLNMMQNGPLIYVETWPNMLSGALVNFAMSCYGKDFREIAMVLAQSCSNMPFAPESSYDQQ -MRMMVSLWILSYIGVVHQNATIAGFFFSTKTRGVRDEAWTLFYNTNGQRLNITQRHFGYFCARSADWNAD -SSWLAASQLSALIMSCRQFPLLANQGVVNQAQNRPGYSSPNGVPVRELQLLACATECIRSHVQAGLIDQA -KGQTLTQNATDVNQVLQREIDDIKAADDALYNQNPEYARRLKPFQARSWTVGQSMQSLAALTAFAT - ->YP_004226528.1 sigma-A protein [Avian orthoreovirus] -MARAVYDFFSTPFGNRGLATNRTQLSSLLTSSNSPWQRFLSSMTPLTAPGIVSTPEAPYPGSLMYQESML -HSATVPGVLGNRDAWRTFNVFGLSWTDEGLSGLVAAQDPPPAAPYQPASAQWSDLLNYPRWANRRRELQS -KYPLLLRSTLLSAMRAGPVLYVETWPNMISGRLADWFMSQYGNNFVDMCARLTQSCLNMPVEPDGNYDQQ -MRALISLWLLSYIGVVNQTNTISGFYFSSKTRGQALDSWTLFYTTNTNRVQITQRHFAYVCARSPDWNVD -KSWIAAANLTAIIMACRQPPMFANQGVINQAQNRPGFSMNGGTPVHELNLLTTAQECIRQWVVAGLVSAA -KGQTLTQEANDFSALIQADLGQIKAQDDALYNQQPGYARRIKPFVNGDWTPGMTAQALAVLATFTA - ->YP_003717777.1 core protein [Broome virus] -MARVDFYFLTTSWNDAYFPLNEKQINELLSSSCSVWQNQNFLSYVNSRTNNYMSNNFPCVGAFWYQRSCM -LSGFLLIATDGPIAWRNESFRRMSWIDGCFEQVAVTGDTTQDVVPRSNVWLNMFDARCWVNRAFVLRRIY -PQMLRLTLLNASLFGPMFFIDVVPLMFDDPTQMWLTSLIGHDFKDISYRLIQSTYNLPFELDGLHDRCIR -ALTLLFILSYTGVVHQNRTLLGYYFQSKTRGLSTDAWTLRYNTTGQRVRPLAPNQQYYGIRSPSWNYSND -LCYLCALSSIVLSCRIAPLIRNDSVIPPARDQHGFSSPRGLPVFYFSLTVAANACLLNWRDGQLITDGEY -REMNQQMTLEQLRQRHALEVILAHDDDSMAGPDGCRRIKPFTARDWTPGQSGAAIAALRGLVG - diff --git a/seq/clusters_seq/cluster_616 b/seq/clusters_seq/cluster_616 deleted file mode 100644 index 8ed2a57..0000000 --- a/seq/clusters_seq/cluster_616 +++ /dev/null @@ -1,71 +0,0 @@ ->YP_009507774.1 P8 [Oat sterile dwarf virus] -MEDLHINVEPDLSHCGMSQRLSEQIILNDRPTINLLLHAELLFKPSEIIKCPTTNRDINEVTIHAKTSHL -RRFHDRLSAYQLHLYPNLLEFRTVTTKLFESRIIDNNYFVEVNQPSMIGFTRRFLALFAMAKVDVQQLEN -QIINTLTKGNLALKSISADGAEMILDSNYVNIVKNLLGNEIVNIIHDCQADAPAEMGISTVETEQMIKDK -TLRLDGGYDYNGLSVSTDITTYGNYNQLLIQMFTDLKSFFNLTITSVTVDKLAKMHVLPEELSVLDLTKS -LIDQKWIGNTQFNTSTESKTPSGANVPGTSNSNPVIKNDDKSKSGIPSSNASKAEETEPEAEVVSDLKGK -NKAGKSQVSPTVQWYQSIFNNPETAKYVKLVDSNVLNESSGRLVEHQEYLISSMLFENLPLSDIENTIII -SFGDRKSERMTMAEYRSLSNTLNKIWKRGKDLVVKASDYLKIGLSKASHLADILEKKYNITLTDVYNFIM -EGPSYFNDTAKWPSPNVTAKGVILNVIPAIIQSLYKEEESSVISNSELIRSLHQFIADDSKRLSEKNKAN -LRLEAYTAEEALLPKIIVRKPNSRRTLR - ->YP_009480345.1 major outer structural protein [Maize rough dwarf virus] -MADIRLDIAPDLIHNGVPQRLSDTIILNNRPTITLLSHFNNLFHELNIVKAPHVASSQTTVNLYIRKHLL -TRLHDRLQTVETSTLPNITQLKDHIRSFFQNEHQPIFQTLTNNDLSDEFVGVTTFGLSLFATSKLDAEQI -ERVQIETLTEGNVTLKPFSADGLEVILDDSYIGIVGKIPGLEVHKLLDKCCREVPAQMGILTDEVRLLMR -TGKLRIDGGYDFNCPASTTDVTHYGGYDQFSRQMFERLNLFYNISLSIIPVSALKTVHLFEKELSVLDAD -KSLLEQTWSAVASFVETWQVKTKVKTEDPDEYELSSLSTLRTNYDGTSASSPCTDKKFIDWYIKTLSRVE -KESSLRRNELEEKSTTGTSAVVKKVKIHFSVQYFDEFKVNGHEKSIVVQTNKGEMSLDYYRKIGEVLSAI -WKRGKSLAVPCFDYIKLGVEKAFHLAPVIMKKYNLTIDDIINFIDKGPSYLAKLDKIDDWSLISKLIITS -VLPNIIQAVYKTDPSNNVMNSVIISRANNLLKSDRDRLLKKALITNATSTSNSSHDHVQKIVLNKVTR - ->YP_004021935.1 P10 protein [Southern rice black-streaked dwarf virus] -MADIRLDIAPDLIHNGVPQRLSDTIILNNRPTITLLSHFNSLFHESNIVKSPHIASSQTTVNLYIRKHLL -TRLHDRLQTVETSTLPNITQLKEHIQSYFRNEHQPIFQTLTNNNLSDEFLGVTTFGLSLFATSKLDAEQI -ERVRIETLTEGNVTLKPFSADGLEVILDDSYIGIIGKISGLEVHKLLDKCCREVPAQMGILTDEVKLLIR -SGKLRIDGGYDFNCPASTTDVTHYGGYDQYSRQMFEKLNLFFNISLSIIPVSALKTIHVFEKELSALDAD -KSLLEQTWSGVSSFIETWKVKTKAKDEDQDEYELTGLSALRKGVDGNSVSSPYNDKKFIEWYSKTFAKIE -KGSSLRKTEIEDKNTSGTSNITKQVKIHFPVQYFDEVKSNGHEKSVTVITNKGEMSLESYRKIGEILSAI -WKRGKALAAPCIDYIKLGVEKAYHLAPVIMKKYNLTIDDIIHFIEIGPSYLAKLDKIDDWSLIAKLIITS -VLPNIIQAVYKTDPSNNVMNSVIISRANNLLKADRDRLIKKATTANSSTSNSNPEHGQKVVLNKVTR - ->YP_956849.1 major outer capsid protein [Mal de Rio Cuarto virus] -MADIRLDIAPDLIHNGVPQRLSDTIILNDRPKISILNHFNTLFLERNIIKAPHVASSSTTVNLYIKKDLL -KRLHDRLATVETCTLPNITQLKEHIKNFFQNEQQPIFQTLINNEIGDEFIGVNTFGLSLFATSKSDAEQI -ERVQIETLTEGNITLKPFSSDGLEVILDDSYINLIVKTLGHDVQKLIEKCCKEVPAQLGILTDDVKVLIN -TGKLRIDGGYDYNCPSSTTDVTHYGGYDRFSRDVFELLNLFFNISLSIIPVAALKSVHVLEKELNRLDAD -KSLLEQTWSGVASFVESWKLKTKTKDDDKDELELTELSVVKTTNDGISKPVSCSDKKFIEWYKRSFTQSD -KSIQFRRTEQVEMAKNSSDIIKKVKIHFPVQYFESVNYNGHEREVYVLTNKGDMTLDQYRKIGDVLNSIW -KRGKTIAAQYFDYIRLGVEKAYHLSSVLMKKYNLTVDDIINFIDKGPSYLAGLDKIDDWSLISKLIITSI -LPNIIQAVYKTDPSNNVMNSVIISRANNLIKSDRDRLTKKYTIERTNATNNNHNETNTKVVCNKVVR - ->YP_249765.1 hypothetical protein [Fiji disease virus] -MTSISLTIAPDLIHCGVPQRLSDTIILNDKPKITLLSYFDNIFTEANIIKAPKEHSVQSTVNIYVKLELL -KRLYDRLQSVDTSTLPYISQIKEALRSFLHNDIQYVFTRIPDSEIDGNYVGVTTHGLSLFANAKNDAEEI -ERVQIDTPTEGNLTLKPISADGVEVVLDDSYINAVSKVIGPDVHKLIDKCCKEFPAHVGTILEEVKYCLI -LGKLRLAGGYDYNCPSSTTDVTRYGDFDKFRIKMFNKLTRFYNVSLALVPCNKLKMQYIFDSESEKINGD -RTFLDQAWPAITSFIETHDLATKVKTDDPDTYVLKEVKSCKINSSTKQATLVNLDGNKLEWYKNNIYNAK -LEDGIVINRELYEKAADKSYIKYNVKVVFASYALQKIIDEKSDKSITVDTSAGEMTLDKYRAIANVLNSI -WKRGKDMAIKYFDYIKMGIEKATHLSLNLMKKYNITLDDVVSFIEKGPGYLATLQKLNDYKLIAKIIICH -ILPTIIQCVYKSDPNSKIMNSTLITNAVNLIRQDTKRYESSTGRKDANLVTHDASSLPLIRIYKT - ->NP_620459.1 hypothetical protein RBSDVs10gp1 [Rice black streaked dwarf virus] -MADIRLDIAPDLIHNGVPQRLSDTIILNNRPTITLLSHFNNLFHELNIVKAPHVASSQTTVNLYIRKHSL -TRLHDRLQTVETSTLPNITQLKDHIRSFFQNEHQPIFQTLTNNDLSEEFVGVTTLGLSLFATSKLDAEQI -ERVQIETLTEGNITLKPFSADGLEVILDDSYIGIVGKIPGLEVHKLLDKCCREVPAQMGILTDEVKLLMR -TGKLRIDGGYDFNCPASTTDVTHYGGYDQFSRQMFERLNLFYNISLCIIPVSALKTVHLFEKELSVLDAD -KSLLEQTWSAVASFVETWQVKSKVKADDPDEYELTSLSTLRTNYDGTSASIPFTDKKFIDWYIKTFSKTE -KGSSLRRNELEEKSAASTSTTVKKVKIHFSVQYFDEFKVNGHEKSVVVQTHKGEMTLDYYRKIGEVLSAI -WKRGKSLAVPCFDYIKLGVEKAFHLAPVILKKYNLTIDDIINFIDKGPSYLAKLDKIDDWSLISKLIITS -VLPNIIQAVYKTDPSNNVMNSVIISRANNLLKSDRDRLLKKALSANVSSSNTSSHEHTQKIVLNKVTR - ->sp|P19898.1|VP10_RBSDV RecName: Full=Protein S10 -MADIRLDIAPDLIHNGVPQRLSDTIILNNRPTITLLSHFNNLFHELNIVKAPHVASSQTTINLYIRFHLL -TRLHHRLQTVETSTLPNITQFKDHIRSFFQNEHQPIFQTLTNNDLSEEFVGVTTFGLSLFATSKLDAEQI -ERVQIETLTEGNITLKPFSADGLEVILDDSYIGVVGKIPGLEVHKFLDKCCREVPAQMGILTDEVKLLMR -TGKLRIDGGYDFNCPASTTDVTHYGGYDQFSRQMFERLNLFYNISLSIIPVSALKTVHLFEKELSVLDAD -KSLLEQTWSAVASFVETWQVKSKVKADDPDEYEMTSLSTLRTNYDGTSTSSPFTDKKFIDWYIKTFSKTE -KGSSLRRNELEEKSASSISTTVKKVKIHFSVQYFDDFKVNGHEKSIVVQTHKGEMSLDYYRKIGEVLSAI -WKRGKSLAVPCFDYIKLGVEKAFHLAPVIMKKYNLTIDDIINFIDKGPSYLAKLDKIDDCSLISKLIITS -VLPNIIQRVYKTDPSNNVMNSVIISRANNLLKSDRDRVLKKALSANVSSSNTSSHEHTQKIVLNKVTR - diff --git a/seq/clusters_seq/cluster_617 b/seq/clusters_seq/cluster_617 deleted file mode 100644 index e779b61..0000000 --- a/seq/clusters_seq/cluster_617 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_009507769.1 P9 [Oat sterile dwarf virus] -MSTSEYQGLPRRSLRVLLFPLWHNNKSTNKISINQHYHSLASQKRCRIKMRNPQSFELSKTENQNELNLM -FDDTPLRGKMTVDNVRNVFFRCRKMYEASQTYLPRFTTRTIKYVGFLAMVDKLPIKIVDPNPEARFYTIN -DVRDLSFVTDNQLTDKLEAGTIVKVLIHLAPKDLETYVVSSDNLAKTSNYIKEVFNSDFSFLSYPYLDDL -TIAERALLPDWLSNLLNIMQLYPFRESAFHIRPMIPSVSSSAFIQITIRMFAGKRKRSYFLWSFAQDPST -FAKVLPIERTYHGDYSDLIHHIADNSVKMTFDSIDYDINNMKFTLSVGKCDLNPLNELESTRLLKWVGDF -KSGCSLQLIGNKGCGKSTLIRGMYEKFPHVLCVDSDEFGIFLHMLIAEYPYVLNDDLTFISEEDFDPIQF -ELTLSAYLQIRDNPDDTINGSIFEKVMTEIFVKKYEAHYNPKNMELIMDDYNHFFHSAISHPSFGFRMFF -TELVEHMFSTGKYTQYVHFVHCYSELGTLPHCDEYLTLFSNIHFNPILRKHRSGHYTAVITQLILLSKYY -CTTFILHILLWSILLHYLLYRII - ->YP_009480342.1 minor core structural protein [Maize rough dwarf virus] -MTGTHDDRISLRLLFFNLWTKKRLNDPINHRKPKKKIKPQTANSPSNPTLISPSITEYSEQISDEFSKAR -KPILAPAQIFSAFTRLETIFNRTGSYPDLFNNPIKYLGFLIFMPDVSFHLDSPTVDHSYYNLKRNHKMSF -DELESNLTSRMLTGQIVKTETNDLAERFETYYFDPRSEKTSFGFLKRTATVRFEFLSYKYNNDLTMSERE -KLPVWASHLIIRMNVENVSLPLEISCSAINPTQHFAVIVLKNTKTNVTRGRFWTVLDDERDFLSVKTDLQ -SIVFERNSATVAGNLRHVLNNCLTLGEPFAFDPLDYSFKLNLLETGLSPLDDVVTIRLKDLLRVFKEGQD -VQIIGNKGVGKSEIGAMLAELYPHLLVVDSDDYGRFLVMLLNLVPSLFKNSDFEINEELLTDEVYFQAMS -DFIKAKITEDTSLCTIFEYVMEGIIIPNTVGSSEVNEEAILDRFNRIFHSIQGSKFIGYRKFMIEYTRLM -YTNFNKSQTCHFVHSYCELSFVPHSLAYITLYSSYNSAVLNVVPRNGQLECNPSKMMANTLLHHFYERYT -SNMNPTPVFLFSYFFGLTKGLNVLKASAIIV - ->YP_009002589.1 VP5 [Inachis io cypovirus 2] -MELVPLTASNAELMLKTYCLAVRPRGKKGQPNKVSTLKIPNSYYKGIEKPSYTKNVPQLPIQVLSIETQL -KVHDKLRRIFERNKKSYENPRLIARLLTFGLTPKGKIDMKAFIDSKDKRQNDNKDVGPGRSKKPKLFGAD -KQDFKVKKHDVEQSINDDFDINAVHSVDGVRDLLELDDLRGPLGITVVYMINHLFYRSKIPAYMAPLIPH -LFIRKINVNENMIPPLDERYLQKIYLPVYTASNILSCSIESSVESCIIAIDKQLSLADKQYFDKHFTNYR -MIEPGCALLMYRNYTNNELCWIMSGLMLIEAHKMIGKTAKESFNTLYTHAYETTVDRRIVGGMKVFIEPT -KNYVLERVFPSGNPNVNPIILLRTREFFERVKLREEGKFTGMNIYGIIANKGTGKPTLSRMLVERLENKG -IGLSVIDSDEYGRWLTMLLESSLINEDFSITEPLDEYYPGRPKFKLSERSFFNIIMCMLLKKHSITSRDK -LTLSYYTTRCEELFNDYKEYLQKVYSTESYSMQAYYDHRLPQCEHKNIIVECHTTLDNVRSTPSDLFVRL -GAFFDPVVTITLIRPSAKETAKTGLFHLGELALYYYYETATVPDVALVYPSNVLYALDLELGKTPDIVQV -SSE - ->YP_004021932.1 P8 [Southern rice black-streaked dwarf virus] -MIGTYDDRISLRLLFFNLWIKKRPNDPINHRKTKKKQKTIHEIATLTDHLVSPSLSEYAEQLADEFAKTK -HPIFTPSQLFSTFTRLETIFNRAGHFPDLFSTPIKYLGFLIFMPDSSVLFDPPTPEHSYYNLKKNHKMSF -SELETLLTAKALTGQIIKTEINDFADSFETYYYDPRSERASFGFLRRTAMVRFDFLSYKYNNDLTVTERE -KLPTWASNLITRMNVVNTSLPYEINCGNINVNQHFAVIVLKNAKTNVTRARFWTVLDDERNFVSIKMDLQ -AITFERNDQAISGNLKHILNNCVALGEPFVFNALNYCFKITLLETGLSPLDDVVTIRMKDLLRVFQEGQD -IQVIGNKGVGKSEIGKRLSVLYPSLLVVDSDDYGKFLVLLLNLVPSMFKNSEFEVDEIALTNDLYFQAMA -DFISLKQSENDNIDSIFEHIMEGLIEPNTLGNGDIQGEAIMDSYNRIFHYIQGSKIVGYRRFITEYVRLM -YTNFNKNQTCHFVHSYCELAFVPHSLAYITLHSSYNSAVIGILPRNGQSVLSPTRAIANQMLHSFYERYT -SNMNPTPAFLFSYFFGLTKGINPLTAVSILC - ->YP_956843.1 NTP binding protein P-S8 [Mal de Rio Cuarto virus] -MTDETDTLISLRLLFFNLWNSKQINNPIHHRNQNKLKKQKITSNLNQASPHPRPLIEYEERLADEFAKAN -RQPFKTCQLLSAFSRLETIFSRMNGYFDLFSNPIRHLGFIVLMTNVNYQFDPPSECHNFYTLKKNHGMTF -NELEFFLNSKMLLGQIIKTTELDFSKQFETYYFDPRSEKTSYGFLKRMALVRFDFLSYNYVNDLTATEVE -SLPPWISSLLLRMNITNSLLSREIFRDSIDPSQHYAVIVLKNDVTNVTLGRFWTVLDDHRPFIAIKTDIQ -KLIFENSIEAKSGNIKHVLNNCLPLGEPLVFNPKSYKFRLSLIGTGLSPLDDLVIIRLKDLINVFKQGHD -VQVIGNKGVGKTEIGRMMSDTYPHLLVIDSDDYGRFLTFLLNLTPNLFKNSDFEINDEMLTDDVYFEAMS -QFITGKRADKHEMESLFDTLMGSLIAPNTSQTGDVDGESILDAFNRVFHLILGSQSIGYKKFIIEYTRIM -YTNFEKTQTLHFVHSYCELAFVPHSLAYLTLHSSFNSSVLNLLTRKDHSGDSASDLLTANLLYHFYDRYT -TDVNPTSVFLFKYHFGLTKGLNVIEASKLVN - ->YP_249764.1 hypothetical protein [Fiji disease virus] -MTTGTDVRISLRLLFYELWDNNAKLTKNKTTTPTTSKTTSKILFNSKRTTPTHGYDADYIAELSNNFEFA -GFTAPTPHNIRKAFTRLKIMFERMNRYPDEIFLSRHRMLGFVIYQPETSGPIPAFHPKCKFYKLSDNHTH -DEKIEIVKNHILNGEIVKTVDLKIDQSFESYYYDKSNAQASQKFLASMHTCDFSFLSFPYINDLTATELG -LLPEWAAILLSYMKIINVRGIYNDVVECIDPSQQFCVFEIIGSLTGISNGHFWSVLNDRRSMDVIAKEVE -KIALDNQPFXKDGNLNHLLFNSINLSDPLNFNIFHYSFKLKIFPTTLNPLDDLVLLRMKDLIKAFNAGND -VQVIGNKCIGKTRLTAELKKKYLNLLIIDSDDYGKFITLLLNNCPNLFLNNDFEINDEVFEEEIFNVTVI -EYANVIRDGTIVIETFFERLMFEIMSLNLTNGEYDVDAIFHSFNARFHAIVNSSMIGYRLFFTKFRKLMF -DNFNYTQVLHFVHSYSELSFYPHCVAYITLEPSYNPCCLLYKNRVKRFLSITRSDKGVSSELFLHQFYEK -FTTKVNPTPVFVFRYYFGLTNGLSISELALDENT - ->NP_620458.1 hypothetical protein RBSDVs8gp1 [Rice black streaked dwarf virus] -MTGTHDDRISLRLLFFNLWNKKRPNDPINHRKTKKKLKPQTANPTSNPILVSPSITDYAEQISDEFAKAR -KPILVPTQIFSAFTRLETIFNRTGSYPDLFSNPIKYLGFLIFMPDVSIHLDPPTVDHSYYNLKRNHKMSF -DELQSNLTSRMLTGQIVKTETNDLAERFETYYFDPRCERTSVGFLKRSAIVRFEFLSYKYNNDLTMTERE -KLPVWASHLIARMNVENVSLPLEISCSAINPTQHFAVIVLKNAKTNVTRGRFWTVLDDERDFLSVKTDLQ -AIEFERNSVTTAGNLRHVLNNCLTLGEPFAFDPLDYSFKINLLETGLSPLDDVVTIRLKDLLRVFKEGQD -VQIIGNKGVGKSEIGVMLSELYPHLLVVDSDDYGRFLVMLLNLVPSLFKNFDFEINEELLTEEIYFQAMS -DFIKAKQTEDVSLQTIFEYVMEGIILPNTIESGEANEEVILDIFNRVFHSIQGSKFIGYRKFMIEYTRLM -YTNFNKSQTCHFVHSYCELSFVPHSLAYITLYSSYNSAVLNVVPRNGQVECSASKMMANTLLHHFYERYT -SNMNPTPVFLFSYFFGLTKGLNVLKASSIIV - diff --git a/seq/clusters_seq/cluster_618 b/seq/clusters_seq/cluster_618 deleted file mode 100644 index a28bb7a..0000000 --- a/seq/clusters_seq/cluster_618 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009507754.1 VP6 [Scophthalmus maximus reovirus] -MARRTFVGFTPSFYGQPGPLFTDNDYLDLAGTTVRPWQNRITNIAINSGGYPVGGGKYPTVASRQLILNA -LLGAHVSPYAAGAVNQFNGIAWRDAPLSSFVTIPPAVAPAPPDPPIWVPAENVRLDSNQYPTYGLKFDAM -WPQNQDLHMMTMWSLTDRGPIAMLTFPSQNIPAMVATSMKSLIGASVAQIAIRAYRYSGQLPQEGVSIQA -QVYQWLACILFGSLTGRLHRGRTCEGFFFAYSKPAASQDDMILRWNDGPRARKPVNDVTVYVAAGSPHWQ -QSMLHVSFAMLAQSTSCLRPMATLIRNRNLPARSHNIAGLTGGGGALRDVERYNVPDLALQCHAAWLADG -IIDAGALAAYDAATNAQFVNFMAHIVATEAANPLARGRIIVQPFAAGDDVAPFETAQVIAGARRMFL - ->YP_009507741.1 VP6 [Green River chinook virus] -MARRVFVGLTPSFYNQPQPLFNDDDYLSLAGTTSRPWQNRTTNLSVNSGGYPVWGGKYPTVAARHLILNA -LLGAHLSAFDAGQITQFRNVTWRDRILSSFHVPRAPVAPQPPFPPFWAPVASRPLDSANYPDYALNFDVM -WPVNLDIHVMSLWSITDIGPVVMLERPATAIPTMVYTSMQSYVGLSATQLAIQAYTYSGQLPQPADAIQA -QVYQWLACILFGSITGRLHRNRTCEGFYFAFPKPANNQDEASLRWNDGARTGAPPNIIVRYVACNSPHWQ -QSMLHISLSLLAQCTSCPRPIPAPIANARLPAYGQNIPGLSGAGANTRNLDRYNYVDLSVARHAAWFASG -LIDAAAQAAYDAANAAQSAMFIRHLTAVELAHPLVAGRIIVKPFAAGSVAAPAETPAVIAAADAMFP - ->YP_009351844.1 VP6 [Fall chinook aquareovirus] -MAQRAFAGVTPSFYNQPNPLYDDDDFANLGGTAVRPWQNRIAHLSINTGGYPVWSGQYPTVACRNLIINA -MLGAHLNAFSTGVITTYRNVTWRDRLLSSLSLPPIPRPPPAPQPPPAWVPAESVPLSSANYQMYALNFDR -MWPLNLDIHVMSLMSLTDRGPVILLERPATHIPTMVLTAMLSYVGTSVVHIALQAYIYAGQLPQPGNSIQ -AMAFQWLACILFGSLTGRLHRSRSCEGFYFGFSKATDNQDDITLRWNDGVRTALPANRITRYVACGSPHW -QQSMLHTCFALLAQASSSLRPLPALIDSANLALHAAAVPGLTGIGPAARALDRYNYTQLNVAMHAEWAAS -GIVTAAQQAVYDAATNAQAAGFMAHLTAMELAHPLNRGRIVVQPFAAGDVNAAFETANVVAAANAMFP - ->YP_009259504.1 putative core protein [Etheostoma fonticola aquareovirus] -MARRTFVGFTPSFYGQPGPLFTNDDYLDLAGTTVRPWQNRITNIAINSGGYPVWGGKYPTVASRQLILNA -LLGAHVAPYAAGAVNQFNGVAWRDALLSSFVNVPPAIAPAPPDPPIWVPADNVRLDSNVYPTYGLKFDAM -WPQNQDLHMMTMWSLTDRGPIAMLTFPRQNIPAMVSTAMKSLVGASVTQIAIRAYRYSGQLPQEGTSIQA -LVYQWLACILFGSLTGRLHRGRTCEGFFFAYSKPAANQDDMILRWSDGPRALKPVNDVTVYVAAGSPHWQ -QSMLHISFAMLAQSTSCLRPLVTLIRDRNLPARSRNIAGLTGGAGGLRDVERYNVPDLAIQCHAAWLADG -IIDAAAQVTYDAATNAQFATFMAHINAMEIANPLANGRIVVQPFANGDDVAAFETAQVVAGARRMFL - ->YP_001837102.1 VP6 [American grass carp reovirus] -MALRRFLRTSPITSTAQPAPLYDNQDIQDIVGAYARPWQSRFGDITITTTSAPVWSGRYPSVAARNIIVN -TILGAHLNAFSGGVIAQYRGLTWRDNIMSSLAPPSQNPPPPAWVPAENVQLDSDNYPQYALNLSKMWSVN -LDVHIMTMWALSDYGPLYEISVPTAPMPAMTTAALMAYIGCSITQLAMTAYQYAGQLPQTAAATMTTTLR -WLAAIWFGSLCGVVHRNHTVNGFYFDFGKPGFNPDHAVLKWNDGNRAAPPAAARFRVYRVRSPHWQQMTS -EVAGAILAQSVTAVAGLTAMFNNRGLPVWAQNIPHFTGAAAGTRVSRTYNPVTMAAARHQNWQAAGLITA -VKQAELDQQYTDYAQAIEVHLTAQLAANPVANGRMPIQPFLPADFAAAGGTNQVVADARLMFP - ->YP_398635.1 core protein VP6 [Chum salmon reovirus CS] -MARRTFVGFTPSFYGQPGPLFTNDDYLDLAGTTVRPWQNRITNIAINSGGYPVWGGKYPTVAARQLILNA -LLGAHVAPYSAGAINQFDGVAWRDAVLSSFVTVTPAVPPAPANPPIWAPADDVRIDSALYPTYALKFDTM -WPQNQDLHMMTMWSLTDKGPISLLTFPRRNIPAMVSTSMKSLIGASVAQIAIRAYRYSGQLPQEAVSIQA -QVYQWLACILFGSLTGRLHRGRTCEGFFFAHSKPASSQDDMILRWNDGPRAPKPVNDVTVYVAAGSPHWQ -QSMLHVSFAMLSQSTSCLRPLATLIRNRNLPLRAQNIAGLTGGGVALRDVERYNVVDLAVRCHAAWLADG -IIDANAQAAYDAATNAQFATFMAHIVAMEAANPIANGRIIVQPFAAGDDVAPFETAQVIAGARRMFP - ->NP_938068.1 core protein VP6 [Aquareovirus C] -MAQRQFFGLTYNFYGQPAPLFDLNDLQELAGCYARPWTSRFSHLAISTGSLPVWSARYPSVASRNIIVNT -LLGAHLNPFAGGQVTSHQGITWRDPVLSSLAPVPAIQPPPVWAVAENVPLDSNNYPTYVLNLSSMWPINQ -DVHIMTMWALSDQGPIYHLEVPVDPMPAATTAALMAYIGVPIAHLAQTAYRFAGQLPQSPDSTMVSTIRW -LSAIWFGSLTGRLNRSRTCNGFYFEFAKPALNPDQAVLKWNDGARAAPPAAAQSSYMRCISPHWQHQIVE -VAGALMSQSVTAVTGLPALIDEATLPAWSQGVANLTGNGQGVVPCLDYNPVPMAAARHLQWRQDGLITAA -QEAQLNNDYTAYALTIERHLTAMLVANPIAAGRMPIQPFNAADFGQAGQTAAAVALAQAMFV - diff --git a/seq/clusters_seq/cluster_619 b/seq/clusters_seq/cluster_619 deleted file mode 100644 index 49f82a5..0000000 --- a/seq/clusters_seq/cluster_619 +++ /dev/null @@ -1,51 +0,0 @@ ->YP_009507753.1 NS38 [Scophthalmus maximus reovirus] -MATPSAKLITQENTERVTRLLENYPTLSLTLRQDTSNRGAIESNYSASGPAGSLRLLNPIAVNKNPHQPG -YSRPDPEATRPPPLRTLINAGLDAALQHGSQCPVDQALRVFIEKACPHWHAEVLPNDWTRVCPLTLASRI -SLAAAGFDPNTLDLQAPSSTSMVIAYTSKVLGLCADLANSSLGYFQTSAADAIRNPDSLAVVITQYGYET -KGFQRQDAPTCISPNDLDPKYDIAWLSAIVILIAYQLELDLTAASLSTTDVNSMSTHSTAVETLMFKMKW -LAPFSSRIMHLCAANAANPFRSFSEMFLMWQKPTKYVLPNITMKLSGRFLEVIADGSELFSVSSSRVGGN - ->YP_009507742.1 NS2 [Green River chinook virus] -MSSTSATLITQERTERVSRLLELYPTLTVTLRQDTAQRGTIESNYSSSGLNGALRSLNPLAATHNFHAIG -YVRPDPEAARAPPLRTLLSAGLDTALNHSCQCDVTPALRDFVKAACPLWFDDILPTSMARIAPLALASRI -SMAAAGINPVDLSLQHPSPTSTVVAFTSKVLGIFVDLTQKCVSVSSVPAARLIESVDSISAVITNYGYEM -RGQVRRDGPTCLSPNDLADRYDMAWISAIVLLIAYQAELDLSAVALAPSDRLAMATHTAAVDVLVRRLQR -LSPFSSRIMHLCVAHATNPFRNFDDLVMMWQKPDRFPLPPLTIQLSGSALEVVANGGQLFRIGAVMVGGT - ->YP_009351845.1 NS2 [Fall chinook aquareovirus] -MATGNTPAPATSTNLIGQERTDRVSKVLEDYPTLMVTIRQDTAARGHIQSNYSPSGLNGAIRFLNPMAAF -KSMHVPSYKRPDPEAPKIGLRTLISAGLDSTFNHNKQLDITPNLRAMIVEACPLWHKEVLPDSITNIAPL -SLAARIGIAASGINPADLTLQAPSPTSMAVAFTSKVLGIYVDVAAGRLGVPTRSARASLETPQAHAAIIT -NYGYDVRGNVRNDAPTCVSPNDLHGGYDIDWLGMMILLMTCQVELDLDAVSLSQADRKVMEVHPPAMEFL -MKKLQWFAPFSSRIMHLCAANSTTVFRAFDDMVLMWQKPDRYQMPQIQIKISGSFLEVSADGAEMFRVTA -SKVGSG - ->YP_009259505.1 putative non-structural protein 38 [Etheostoma fonticola aquareovirus] -MAAPSAKLITQENTERVTRLLENYPTLSLTLRQDTSSRGAIESNYSASGLASSLRLLNPIAVNKNPHQPG -YVRPDPEATRPPPLRTLINAGLDTVLQHGSQCNVDQALRTFIETACPSWHADVLPQDWARVCPLTLASRI -SLAASGFNPNTLDLQAPSSTSMVIAYTSKVLGLCADMVNSTLGYLPTSAADAIRDPACLSVIITQYGYET -KGFQRQDGPTCISPNDLDAKYDIAWLSAIVILVAYQVELDLTAASLSTTDVNSMATHNSAVETLMFKMKW -LAPFSSRIMHLCAANAASPFRSFSEMFLMWQKPTKYVLPNITMRLSGRYLEVIANGAELFSVSSSRVGGI - ->YP_001837103.1 NS38 [American grass carp reovirus] -MAHLNAATLINAERSDCSLRLLENFPSLTITVRQDTSGRDLVASTYSATGMSAAARNLNPLANFRHMRQP -GYLRPPHTALKPLPLRTAIMNGYESLTRHNGQIQVTPGLRELIKECAPELHESILPATVQHITPLSLATR -LSMVCAGLHCDDLVETRPVTTSLTVAFTTKVLILAVDPDEAKLAVNARGADHLLTVDGASTILTNFGYDI -RGNVRRDAPQALSPSDLPDCYPVLWLGAVAGLIAVQLETDLDQLGMNLTEQKTLTAHPPAVDAFMRKLQS -FALLSSRLFHLCVAHALEPFRDMAALLRVWQAPTLVQIPEVSLAIKGPSIEVQGNGTQLFQVRAAPIGGM - ->YP_398636.1 putative non-structural protein NS2 [Chum salmon reovirus CS] -MAAPSAKLVTQENTERVTRLLENYPTLSLTLRQDTSSRGAIESNYSASGLAGSLRLLNPIAVNKNPHQPT -YSRPDPEAARPPPLRTLINAGLDAALQHNSQCTVDAALHAFIKKACPMWHKDVLPDDWTRVCPLTLASRI -SLAASGFNPRSLDLQPPSATSMVIAYTSKVLGLYADLTQGVLGYLPTSAADAIKDPESLSLIITQYGYET -KGFQRQDGPTCISPNDLESKYDISWLAAIVLLVAYQLELDLTAASLSPADVNSMSAHNSAVETLMFKMKW -LSPFSSRIMHLCAANAASPFRSFSEMFLMWQKPTKYVLPTITMKLSGRFLEIIADGAELFSVSSSRVGGS - ->NP_938069.1 non-structural protein NS2 [Aquareovirus C] -MAHTGTATLINAERTDRTLRLLETFPSLTITIRQDTSGRDLVSSVYSATGMSAAARNLNPIANVKNMRQP -GYVKPAHTATNTVPLRVATSTGLELPTAHLAQMPVDQALRDAVAAAVPAHAARVLPPNVDRVTPLTLASR -VAMVCAGLDCDDIHEIAPAPTAMALAFTTKVLLIHVVVDGTGASIAVNPGAARDLLKADQLGNVITNYGY -DVRGTVRRDTAAALAPSELPDTYPIEWLGLICGLIATQIELDLDMLAMNQTEQKLIAPHVQAVDPFINRL -QSYATLSSRLMHLCVTHAQRPFRDFPELLRHWQKPELTPAIPVNIALKGAALEVSGNGAELFRVRALPIG -GM - diff --git a/seq/clusters_seq/cluster_62 b/seq/clusters_seq/cluster_62 deleted file mode 100644 index 9c2ac7f..0000000 --- a/seq/clusters_seq/cluster_62 +++ /dev/null @@ -1,960 +0,0 @@ ->YP_009362033.1 glycoprotein [Fugong virus] -MWQFIAVWSLLLVPGRETRNVYEMKIECPHTLGLGQGLLIGSSELPHVEIDKVSAFKLESSCNFDIHTGL -MAQQSLTKWTWEKKPDTTETTNAASTTYQSKSAEVQLKGLCIVPPLTFETANKLRKTIACYDITCNQTHC -QPTVYLIAPIQTCLTTKSCMIGLGEMRIQVVYEKTYCVSGQLVEGSCFNPIHTMALSQPSHTYDIFTLSV -KCFFIPKKQTNNEELKIAQKLEKLMGKTDCSNNNLQGHYTCFLGTSSEPIYVPMLEDYRSAEIFSRMATA -PHGEDHDLESQAVGNVRIVGKLTGKAPSTESSDTVQGIAFSGVPMYTSTAVMASKEDPVYVWSPGIIGEA -NHTKCDKKILPLTWTGYVPIPGEVEKTTTCTVFCTLAGPGADCEAYSETGIFNISSTSCLVNRVQRFRGS -EQQVKFVCQRIDNDIIVYCNGMKKIILTQTLVIGQCIYTITSLFSMAPSIAHSLAVEVCVPGLHGWVTTA -LLITFCFGWILIPSITYLCLKFCLLFSFMFIKYNTESKFKTILERVKQEYKKTMGSMVCDVCCQECETIK -ELESHNKSCPNNHCPYCMNPTEATESALQAHFKVCKLTSRFHENLKKSVGVYEPRQGCYRTLSLFRYKSR -CYVGVVWIILLVIESILWAVSADVVELKAGWSDTAHGVALLPLKTDIELDFSLPSSATYTYKRLLQNPAN -GGEVIPFHFQLDRQVVHAEIQSLGHWMDGTFNLKSSFHCYGACEKYSYPWQTAKCFLERDFQYESGWGCN -PIDCPGIGTGCTACGVYLDKLKSVGKVFKIISLRYTRKVCIQLGQEQLCKTIDTNDCMITTSVKVCIIGT -VSKFQPGDTLLFLGPLEQGGLIFKQWCTTNCQFGDPGDIMTAPNGMKCPEHTGTFRKKCNFATVPVCEYD -GNTLSGYKRMMATKDSFQSFNISDVHITNNFLEWVDPDSTLKDHINMVLNRDISFQDLAENPCTISLSTH -SIEGAWGSGVGFSLTCLVSLTECSTFLTSIKVCDKSMCYGATTATLIRGQNTVVVVGKGGHSGSKFRCCH -NADCSTGGLLAAAPHLDRVTGFNQLDDNKIFDDGAPECGMKCWFTKSGEWFMGILSGNWLVIVVLIFILI -LSLFLFSLCCPIRSHRKQA - ->YP_010088059.1 glycoprotein precursor, partial [Tatenale orthohantavirus] -MEQRSTVCLCLFLTGLLLWNPSESRNLIELKIECPHTIGLGQGLVIGSVELSPVPLAQVESLKLESSCNF -DVHTSTSAQQSFTKWTWEKKNDLAEGTKSSSTSFVSKSSEVNLRGLCIIPTLVLETAARTRKTITCFDLS -CNQTVCQPTVYLIAPIQTCITTKSCLLGLGDQRIQVNYEKTYCVSGQLVEGICFNPIHTMALSQPSHTYD -IVTLPVHCFLIAKKVTAGDNLKIEKNFETLVQKTDCNANSFQGYYICLIGGSSEPLYVPTMDDYRSSEIL -SRMAMAPHGEDHDMEKTAVSAIRVVGKLTGKAPSTEASDTVQGIAFAGVPFYTSTAVLTAKEDPVYIWSP -GIIMEGNHSTCEKKTLPLTWKGFISLPGEIEKTTQCTVFCTLSGPGADCEAYSDTGIFNISSPTCLVNRV -QRFRGAEQQVKFVCQRVDLDITVYCNGVKKVILTKTLVIGQCIYTFTSIFSLIPAVAHSLAVELCVPGLH -GWATIALLLTFCFGWLLIPICTLVIIKILLLFTYACSKYNTDSKFKLLIERVKQEYQKTMGSMVCEVCQN -ECETAKELEFHKKSCPNGTCPYCLNPTEATESALQAHFKVCKLTTRFQENLKKSLNVYEPKRGCHRGLSI -FRYRSKCYVGLVWCILLTLEIIIWAASAEVINLEPGWTDTAHGTGIVPMKSDLELDFSLPSSSSYTYRRE -LQNPANEQERIPFHLQIERQVIHAEIQHLGHWMDATFNLKTAFHCYGSCTKYAYPWQTSDCFLEKDFEYE -NSWGCNPPDCPGVGTGCTACGVYLDKLKSVGKVFKVVSLRYTRRACIQLGNEQTCKTVDSNDCLVTTSVK -VCMIGTISKFQPADTLLFLGPLEQGGLIFKQWCTTSCQYGDPGDIMSTPQGMKCPEHAGSFRKKCSFATT -PTCQYDGNTVSGFKRMMATKDSFQSFNVTEPHISANSLEWVDPDSSLKDHINVIVNRDLSFQDLGENPCQ -IDLSTTSIDGAWGSGVGFNLICSVSLTECATFLTAIKACDSAMCYGSTTANLVRGQNTVHVVGKGGHSGS -KFVCCHNTKCSSLGLVAAAPHLDRVTGYNQVDNDKVFDDGAPECGIRCWLKKSGEWIVGILSGNWMVV - ->YP_010088056.1 glycoprotein [Robina orthohantavirus] -MRLILMSILLGITQGRNTIDIKXNCPHEPRQDQGFAFGSTNLPPFLAAADAMVPVESSCPFEFGLSRKLT -TTMSVKWWEKKASTTGSTQASKDTFXEKTHSGQYVGICNIQHSDMEHLSYMRRGLLCMEHSCNITHCLPT -LHVIVPQQVCISVRSCLLTWKNIKIQLEFERTFCPHGMLVAGDCFQPLYGTSQAPATADRAEVPVTCFLT -TTVVEQLKTGLPKLXTAFEKXAKANSCQTNDFPGYYTCFFKGFSQQLNIPMGDDMISNQILEKMIDFDHG -EDHDKYGEGYSHMRVAGELEYAVDTSEKFLGVSYTGDILYTSMYVYPKKVSQKYPLIMTKGIIPLVNHSQ -CEKKLLPLVWQGLITIPGFIEKLEPCKVFCTLSGPGATCEAFSASGIFNISSPTCLIGKLHKYKQLEDQV -TFICQRVDNDIIVFCNGERKVIKTETLVIGQCIYVVTSTLSLIPYIAHSVAVEVCVRGFHGWATICLIIT -FCFGWLLIPASTWATIQVLKFLLIVLNKHTGQSRLDNLFRKLKEEFQHTMGNTTCTYCKTDCCSKDELKA -HEEHCPPGNCPYCMKDIGQSAILSNEHFKICPLKDRFYKKVQQTVNTSIPSTTLIYRRLGTFQYKNRCYI -FTVWCILLIIESLCWAVSAQKEEVKAGWYDTAHGVGLVPLHLDYELDFALPSGSSYVHKRIFQDPRNLER -KVPFTVSIGPQKVTAGVQSLGHWMDAEVNLKSVFHCYGECKKYSYPWQYAHCSHEVDFEYQTSWSCNPGD -CPGVSTGCTACGLYLDKLVPKASVYKIVTLKYTRDICYQIGIDKQCRQVDTSDCLTGKHVKACLMGTMSN -IQVGDTLIFLGPLNAGAIVVKQWCISNCKLGDPGDIMSHQGRINCPQFEGTIQRVCAFATEPVCKYQGNI -VSGFKRLLSTIDSFGSINMTNVKMSLSTLSWNDPDNQYKDHVNVVVGKDVDFEELSENPCQVEPAVTAID -GSWGSGIGFTLTCSISLTECADFMTXIKVCDDAICYGGSVVHLTRGQNTIKVQGKGGHSGSKFKCCHDTH -CSQSGFQASAPHLERITGDNPLVGMAYSDGAPECGVSCQFTKVGEWLAGMFRGNWWVFLILIIVAIISIM -LLSLLCPAKRKTS - ->YP_010086166.1 glycoprotein precursor, partial [Brno virus] -MKSLLLTLLMLIIGEIRTKNNQEIILQCPHDPRLETGFAHGSVDLDPIPYKKGIFTKHIENNCPFDVSIV -HRLVRDVRYFSWKAKSSNTDTTAASNTSFDMSESDDRLSGICGFHEDITRPKHGQRRAILCLDVSCNQTD -CLPTLHIIIPQQFCQSLKQCSIHAHEQKIIVRAVMTFCPEGIVISGICIVPLLNQLQLTHQHSTYSVHVT -CFFEYSMAKDSNDNKFVEEMNKLVTLDACKNSEYSGGYVCFISGYGTPIYVPYDGNYLALEIVESMKYNH -YGEDHDRLGEGESTAVMAGNGIFVVSKDQGNKNFTGECFTGGVGYTSLYFYPVKTKGKHVTFLTLGVIPE -INFTMCIPKVLPLVWTGTVDIRGEEETLDECKIHCILSGSGANCEAYSSTGIFDLMSDDCLIGKTHKYRR -TEDQVTFTCRNIMKDIRVKCNGENITVPMKSLVVGQCIYTITSFFSFFPTVAHSLATEMCIEGLHGWLTI -IIFFTFCFGWILIPALTMILLTIIKYIVIIFSYFKGGARFNTFIDKMKEEFIKTIGRSTCDVCLRECSTK -DEYNAHENYCKKGNCPYCNKDVGVSQTLFEMHFSHCKLIDRFNKNLQSIFSEIPPYSRRVRRLESFRYRN -RCYIMTIWLLLLIIEMVIWGSSAQDMALSEWQDTAHGIGYVKMQQDYELDFALVAGSSFVHKRVLTTDEE -NKPDIPFTIHLKEQKIETTIQNLGNWMDGEVNIKSVFHCWGGCKKYSYPWQLAACHEETDYAFQSNWACN -PVSCPGINSGCTACGLYIDKLNAIGRAYRLSTIKYTREACYQLGSKSECKTIEANDCLVSNGVKICLTGT -TSNIETGITIVFLGPLVGGGILVRDWCTSNCKFGDPGDVIMTSEGMKCPDYEGTLERICRFGKEPVCRYS -GNTVSGIKKFLSTRDAYTSVNLTDPKMTESSLTWYSPGSSVKDHINVVVNRDINFEDLSETPCKVNIKTI -KIAGAWGSGIGFTLTCEISLSDCTKFLTTIKSCDSAICYGGNVAHLNRGMNTVEVSGKGGHSGSEFKCCH -DDNCSPKGLKAEPPHLKRAEAIKDKVSMSFSDGAPEVGIVSWFKKVAEWFKGLFSGNWLVLILMLVLLIG -SLFLLILLCPVKKYRN - ->YP_009666010.1 glycoprotein [Necocli virus] -MEGLVLAFLGVCSTVVLALPKTMYELKMECPHTVGLGQGYIIGSTELGLVKLDQVKDIKIESSCNFDLHT -TSLIQQSYTQIDWTKKASTTETTNAGESTFEAKAKEVNLRGTCVLAPDLYDTLKKVKKTVLCYDVSCNQT -HCQPTLYLIAPIGTCMSIRSCMASVFNSRVQITFEKTHCVSGQLVEGQCFNPSHTLTLTQPAHTYETLTL -PLTCFLTAKKSDQMKIITTFEGIIGKTGCTENSFQGYYVCFIGSHSEPLLVPSLEDIRSAEVVSRMILHP -RGEDHDFIQESQGALRIVGPIKAKVPSSSSAETVQGVAFAGLPMYSSLSTLVRKAEPEYVFSPGIMPESN -QSVCDKKTIPLTWTGYLPISGELEKVTGCTVFCTLVGPGASCEAYSENGIFNISSPTCLVNKMQRFRGAE -QKITFICQRIDQDVIVYCNGQKKVILTRTLVIGQCIYTFTSLFSLLPTVAHSLAVELCVPGLHGWATIAL -LVTFCFGWLLIPAITLITLKSLRLLTYSCSNYNSESKFKFILEKVKVEYQKTMGSMVCDVCHHECETAKE -LETHRQSCPEGQCPYCMTLTEATESALQAHFSICKLTGRFQEALKKSLKKPEVHRGCYRTLGVFRYKSRC -YVSLVWSILLTTELIVWAASADTPILEPGWSDTAHGVGEIPMKTDLELDFSLPSSSSYSYRRKLTNPANK -EESIPFHFQLEKQVIHAEIQVLGHWMDATFNIKTAFHCYGACKQYSYPWQTSKCFFEKDYQYETGWGCNP -GDCPGVGTGCTACGIYLDKLKSIGKAYKIISLKYSRKVCVQLGTEQTCKHIDANDCLVTPSVKVCMIGTV -SKLQPSDTLLFLGPLEQGGIILKQWCTTSCVFGDPGDIMSTTAGMRCPEHTGSFRKICAFATTPVCEYQG -NTVSGYKRMMATKDSFQSFNLTDPHLTNNKLEWIDPDGNTRDHVNMILNRDVSFQDLSDNPCKVDLHVQT -IEGAWGSGVGFTLTCAVGLTECPSFITSIKACDMAMCYGSTVINLLRGSNTVKVVGKGGHSGSSFKCCHD -TDCSTEGLVASAPHLERVTGFNQIDSDKVYDDGAPPCTVKCWFTKSGEWLWGILHGNWVVVVVLLVILLI -SIILFSFLCPRRSHKKNV - ->YP_009665161.1 glycoprotein [Oxbow virus] -MNHWCNGIGIITSLFLIMGLQARGVYELYLECPHAITFGESTLHGTVLLPPLPLHMAGTLEVESSCSMDV -HNSLTTATTYTQLNWAKKPEHTGSASSTSFNVQSTQITLKGTCILGHKILEQAYKLRKSIVCYDLICNLT -SCKIELHYLSPVHACTLMKTCLVAVGPYRVQIIFRKTFCSTGIIVEGRCFRPDRTIAGNTKYGLFEIVTL -TVQCFLIAKEDERLKVMEEFEKQYPNGCQTGSNQHKFQGYYICIIGGSSDIIRVPNENDPRAMQIFNAMF -YSPYGEDHDSNGEDISALRLAGPAEAKVPNTESVANFHGIAFSGSAMYSSLSVFTISKEPKYVFSPGIIP -NVNQSTCDKKALPLLWSGLTKIPGIFEPINRCNVFCVLSGPGASCEAFAEGGIYNLTSPTCLVSRYNAFR -STEQQVTFVCQRVDMDIVVYCNGYKKVILTKTLIIGQCIYTITSVFSIFSGIAHSIAVELCVPGFHGWAT -VTLVVTFCFGWLLIPALTWFIIVTLKFLTVLFYNQSEQSKFKALLRRIKEEYERTKGSMVCEICKLECET -QKELKAHRTSCPNEQCPYCFVHCEPVESAFQAHYSVCKVTHRFSDELKSIVQKDANNNTIYRNLSLFRYK -SRCYIFTVWLFLLLIESLFWAASAQPEPLKTTWSDNAHGIGKVSMKNDLELDFSLTSNSKYTYQRDLINP -HEPTQGASFHLEIFQQVVVADVQFLGHWYDGRLNIKTSFHCYGECKKYTYPWQTAYCKHEFDYQYENGWA -CNPPDCPGVGTGCTACGLYVDKLKAVGTAYRIINLKYVRKICIQLNEEHICRDVESNDCFVTNTFKICII -GTVSKFLQGDTIVFLGPLEGGGLVLKQWCTTNCQFGDPGDIMRLTGTGFICPEYTGQFRKKCAFAHTPIC -EYHGNMVSGYKKLKATIDSFQSFNTSNIHYTNNRMEWSDPDGLLRDHINVMVSRDLDFVDLSQNPCKISL -STTSIEGAWGSGVGFTLKCTVSLTECSKFITTVKACDSAICYGASSCVLTRGQNTVYVTGKGGHSGSRFK -CCHKDKCADDGLLGNAPHLDRVNGIDTEEDNHIFDDGSPSCGISCWFVKTGEWLKGLFHGNWLVIVALLV -LLLISLVGMSFLCPVKKIKRI - ->YP_009664870.1 glycoprotein [Longquan virus] -MKSILLILLLEQVRSKATNEIKLECPHDQRVETGFSHGFTDLSPILYTKGLFTKHLENNCPFDISIINRL -VRDVRISTWQAKSTNTDATVASATSFETKDTDDKLAGVCGFFDDITKPKQGQRKAIICYDLSCNQTHCLP -TLHLIFPQQFCQSLKQCSINAYDHKILITISLSFCPEGLIIGGNCIVPVLAQSQLLHNHMTYNIKVTCFF -EYSMARESLDNKFLEEMNRLVLGRNCEKTEYLGGYVCFLAGYGTAIHVPYDNTYLSGAVVNSMKANLHGE -DHDRLKLGDTSAVIAGNLKFVIDSTAPEKSYQGECFTGHMGYTSLYFYPVRSKGKYVTILTKGVIPVINK -TTCMPKIVPLVWTGMVEMRGIEEKLEACTMHCIMVGNGATCEAFSATGIFDIKSTTCQIGKTHKYRRTED -QITFTCQDLNKDISVICNGENITVPVKSLIVGQCIYTITSIFSIFPATAHSIATEMCVQGIHGWLTIIIF -FTFCFGWLLIPILTCLIINTIAYSLITLAYFKNGARLGNLIKRMKSEFQRTIGNTTCNICLRECESESEY -KSHEELCKNGSCPYCMKDIGVSQVLLNEHYNACMLIDRYEKRLTHTLNQTPLYSRKVRKIQSFRYRNRCF -ILTVWIILLTVESLIWASSAEDIKPAPKWQNTAHGIGTIKLNSDYELDFSVVAGSEFTHKRMLQSPESGK -KNIPFTVYLSEQLITSTVQILGNWMDAEINVKSVFHCYGTCKKYSYPWQSSPCHKEVDFEFQSSWGCNPI -SCPGINSGCTACGTYVDKLKTIAKAYRIITVKYSRKVCYQIGTKKACKTLESNDCLVSNGVKICAIGTIS -TLQTGMTLVFFGPLNGGALLIKDWCISSCKFGDPGDIMQISNNITCPSFDGTMQRVCRFGLEPMCSYSGN -KISGVKRVLETKDSYLSVNLTKPKLVGSTLLWNSLDSSIKDHINIVVSNDIDFEDLAETPCKVTIKNLKI -EGAWGSGIGIKLHCEVSLSECSEYLTTIKVCDNAICYGGNVAHLSRGLNTVIIKGAGGHSGSSFKCCHET -SCSDIGFKAEAPHLARIVDDGITTSMSYSDGAPEAGIVSWIYKVAEWIKGMFNGNWFVLIIMILFLIISI -VLLIFLCPVKKYK - ->YP_009664721.1 glycoprotein precursor [Asikkala orthohantavirus] -MEIVNVLVSAVLIVTSLQGLLARNVFELYLDCPHAVPFGESTLHGSIVLPPLSLEDAVALEVESSCSMDV -HNSLKANTEFTHVTWRKKADHTGNAAATSFEETSSQKNLNGACVIGHKIVEQAYKMRKSIICYDLICNQT -SCKPELHFMSPIHACNMMKSCIVAVGPYRVQIIFKRTHCTTGILIEGKCFRPDRSTISNIKPGLLEAATL -QVNCFLISKTDEKLKIMEAIEKVREGGCTSNEHKYQGYYLCSIGGSSEVFRVPNADDPRASQMLKAIFSS -PYGEDHDNVGEEQGAVRIAGPLEVKIPSSESAANLKGYAFSGTPLYSSLSVFTKETAPKYVFHPGYIPNY -NQSECSKKCLPLTWTGLIEIPGVYEPINKCNIFCVLSGPGASCEAFAEGGIYNLSSPTCLVSKHNTFKSN -DQQVTFVCQRVDQDIVVYCNGYKKVILTKTLVIGQCIYTITSIFSMFSGVAHSIAVELCVPGFHGWATLA -LVITFCFGWILIPTITWFILTILKFVASILHTQNEENRFKTLLKRIKEEYEKTKGSMVCEYCKIECETQL -EYKAHNTSCPQNQCPYCFAHCEPSEAALQAHYKVCQVTHRFSEDLRKTVTSKPKRQGCYRTLNLFRYRSR -CYIFTVWIFLLTLESVFWAASAEPEPLQPLWNDNAHGIGRVTMNNDLELDFSLVSSSKFTYRRKLINPRN -EDQGLLVHISISPQVINTEVQQLGHWFDAKLNIKTAFHCYGSCTKYTYPWQSALCKHEKDFEYESNWGCN -PLDCPGLGTGCTACGVYLDKFKAVGTAYKLITLRYTRKVCVQFNDENMCKVVDSNDCFVTRNFKICMVGT -VSKFAQGDTLLFLGPMEAGGLILKQWCTTSCQFGDPGDIMRLAERGFSCPDYSGTFRKKCMFAQTPICEY -QGNTVSGYRKLMATIDSFQSFNTTDIHFTKNKLEWSDPDGLLRDHINIMVSREIDYSDLSDNPCKIGVQT -INVEGSWGSGVGFTLKCTVSLTECSKFLTSIKACDAAICYGATSVLLVRGQNTVLVTGKGGHSGSRFKCC -HDHQCSVEGLLASPPHLERVTATDILEDNHIYDDGAPPCRLACWFRKTGEWLVGLFQGNWMVVIFLILLL -IISLVCLSFLCPVRKIKKV - ->YP_009507250.1 glycoprotein [Laibin virus] -MKHIILSLLLGIVKAKTTNDIHFQCPHSPRQDTGFSYSQLDLPVTTVLSAKSMTIESSCPFELYQTRKTI -FDVTIIGWQKKTSTTTGTNAAATTYEISESEDKLVGLCILPPGIPNKLLYGRKALTCIELSCNQTACLPV -LHILVPQQVCISVRSCLLTWGEIKINLYFERTFCPNGLIVAGECFQPFYGSVAQLQSLDIMQISVTCFLI -VETAQTTKVSEFLEQTAKATSCSTNDFYSSYVCFLAGYSLYITVPHMGDQLSLDIASAMILNPYGEDHDR -PGHGEGTFRVAGELDAKVPSTGTDSIKGIALSGQILYTSLFILPKKVSAKVHNVLTKGYLPKVNHSVCDN -KVLPLIWKGLVHVPGHIERLEPCKVFCTLSGPGALCEAFSSTGIFNISSPTCLIGKLHKYKELEDHITFI -CQRIDSDIVIYCNGQRKVIKTKTLVIGQCIYSITSFFSLLPSIAHSLAVEVCVQGFHGWASLCLIITFCF -GWILIPSLTWLTLQIIRGIMILMNKHTGESRIKFILEKIKSEFHNTVGNTTCGVCTKECSCSEELKAHNE -FCPSGNCPYCMKDLHPSSHVLTEHYKQCPLIDRYVSKVKDALKVPNQTTMCYRRLGTFRYKNRCYILIVW -LIMLTIEILCWAASAQQIEVKPEWQDTAHGVGYIPLSLDYEIDFSLASGSSYVHKRVLVDPSDENKKVPF -TVHISSQQIVASVQHLGHWMDGEVNIKSVFHCYGECKKYTYPWQFASCQSEIDYQYETSWGCNPADCPGV -GTGCTACGIFLDKLTPKASVYKIVNLKYMRTVSYQVGTEQQTKEIDTSDCLSSNHAKVCMIGTVSNIQIG -DTLVFFGPIAGGAVLVRQWCASNCQLGDPGDIMIMNADLKCPDFSGTMHKRCQFATEPLCYYQGNLLSGY -KKMHQTIDSFISLNMSDPKLIANNLMWSDPDGIYKDHVNIVVNKELPFEDLAENPCVVDLSVSSIEGSWG -SGVGFRLTCLVSLTECTSFLTTIKACDKAMCYGGKVASLSRGQNTIIVQGRGGHSGSSFKCCHDHSCSKN -AKKASAPHLERVSGENTKLSEIFSDGAPECGILCRMEKIGEWLGGLFRGNWWVLLVLVGITILSILLLSM -LCPAHRK - ->YP_009505460.1 envelope glycoprotein [Asama virus] -MKVECRQIIGLTILVCVAGINGRNVFELYLDCPHAVQFGETTLHGSITLPPIPIADAVALEVESSCSMDV -HSSLRASTELTQITWAKKNDHTGAAAATSFEATSVQKSMKGLCILTHKVIEQAYKSRKSVICYDLICNQT -ACKPELHYMSPIHACNMMKSCIVGVGPFRVQIIFKRTYCTVGILIEGKCFRPDRSLINSIKPGLLESATL -NIHCFLIAKTDEKLKLVEEIEKFKTTNGCTTNEQKFQGYYICLFGGSSEVFRLPNPDDSRSKHLFQSIYL -SPHGEDHDNIGEEYGNVRIAGPIEIKIPHTESTANVKAIAFTGTPMYSSLSAYPKDVSPKYAFHPGLILN -YNQSECSKKGLPIVWTGLIEMPGTYEPINKCNVFCVLSGPGASCEAFAEGGIFNISSPTCLVSKHTTFKT -SDQQITFVCQRIDTDIIVYCNGYKKIILTKTLIIGQCIYTVTSIFSIFSSVAHSIAVELCVPGFHGWTTM -ILIITFCFGWLLIPIITWLILIILKFIASILHSQSEENRFKTLLRKIKEEYERTKGSMVCDICKVECETQ -MEYKAHGVSCPQNQCPYCFAACEPSESAFQAHYKACQVTHRFSDELRKTISMKPKNQGCYRTLNLFRYRS -RCYIFTVWILLLTIESIFWAVSAEPEPLVPTWNDNAHGIGRITLNNDLELDFSLTSSSKYTYRRLLINPR -DDNQRATIHLEIYPQVITAEVQNLGHWFDAQLNIKTIFHCYGECSKYSYPWQTASCKFEKDYQYESGWGC -NPIDCPGVGTGCTACGLYLDKFRSVGTAYKIVSIRYTRRICVQFNEETNCKVLDSNDCFITRNFKICMVG -TVSKFTQGDTLLFLGPMEGGGLILKQWCTTSCQYGDPGDIMKLYERGFQCPDYPGTFWKRCMFAHTPVCE -YQGNTMSGYKKLMATIDSFQSFNTTDIHYTKNRLEWSDPDGLLRDHINVLVSREVEFTDLSDNPCRLNVQ -TINIEGSWGSGVGFTLKCVVSLTECPSFITSIKACDAAICYGAKSVTLSRGQNVVLVVGKGGHSGSKFRC -CHDEVCSSDGLLASSPHLERVTAVDAILDNHIYDDGAPKCRFKCWFHKTGEWLWGLFQGNWMVVVVLVAL -LIISLICLSFLCPVRKLKRG - ->YP_009505597.1 glycoprotein precursor [Bayou orthohantavirus] -MGRFCLMVLGVLLTAVAGFPRSVHELKIECPHTVVLGQGYVAGSVEVNLVTLDQITELKIESSCNFDHHA -PPTTAQTFTQLSWSKTASTTDTTNAGENTFETKSAEIHLKGVCTIPNSVFDTTYKVVTGRKTVICYDLAC -NQTHCQPTVHLLAPIQTCMSVRNCMISLLTNRIQVVYEKTYCVSGQLIEGLCFNPVPALALTQPGHTYDT -ITLPVTCFLIAKKGVSLKIAVELEKLTTKTGCTEDALQAYYVCFIGQHSEPLVVPALEDFRSAEIFTRML -MNPKGEDHDMDQSSQGALRIVGPIKGKVPHTETTETVLGIAFAGLPMYSSFSSLVRKADPEYIFSPGIIA -DSNHSSCDKKTLPLTWRGFLSMSGEIEKITGCNVFCTLAGPGASCEAYSENGIFNISSPTCLINKVQKFR -GSEQRINFVCQRIDNDVVVYCNGQKKVILTKTLVIGQCIYTFTSLFSLVPNVAHSLAVELCVPGVHGWAT -IALVTTFCFGWLLIPAVTMVILKTLRLLTYSCSHYSTESKFKIILEKVKVEYQKTMGSMVCDICHHECET -AKELEGHKKSCAEGQCPYCMTLTEATESALQAHYAVCKLTGRFQEALKKSLKKPEVKRGCYRTLGVFRYK -SRCYVGLVWSFLLTLELIVWAASADTPLVEVGWSDTAHGVGDIPMKTDLELDFAIASSSSYSYRRKLTNP -ANPEESVPFHFQLERQVIHAEIQSLGHWMDATFNIKTAFHCYGECKRYSYPWQTTKCFFEKDYQYETSWA -CNPPDCPGVGTGCTACGIYLDKLKSVGKAYKLITLKYTRKVCIQLGTEQTCKNIDVNDCLVTSSVKVCMI -GTISKLQPGDTLVFLGPLEEGGLILKQWCTTTCAFGDPGDIMSTTSGMRCPEHTGSFRKICGFATTPTCE -YQGNTVSGFKRLMATKDSFQSFNVSEAHITTNSLEWVDPDNNIKDHINLVLNRDISFQDLSDNPCKVDLS -TQSIDGAWGSGVGFTLTCVVGLTECPNFITSIKACDMAMCYGATVVNLVRGSNTIKVVGKGGHSGSLFKC -CHDKDCAGTGLSASAPHLERVTGFNQVDSDKVYDDGAPPCSIKCWFSKSGEWLLGILSGNWVVVAVLVVI -LLISIVLFSFLCPIRSHKKQL - ->YP_009362291.1 glycoprotein [Kenkeme virus] -MELVKNLFRVVLVVANLQGLLARNVFELYLDCPHAVPFGESTLHGSIVLPAVSLDDALTMEVESSCSMDV -HNSLKANTEFTKISWKKKADHTGSASSTSFEEVSTQVNLNGVCIIGHKIVEQAYKMRKSVICYDLICNQT -ACKPELHFMSPIHACNMMKSCIVAIGPYRVQIIFKRIHCTTGLLIEGKCFRPDRSLISNIKPGLLEAATL -PVHCFLITKADEKLKVIESLEKVKTDGCTSNEQKFQGYYLCAVGGSSEVFRVPNPDDPRAVQLLKSIFKA -PYGEDHDNVGEETGTIRIIGPLEVKVPSTENTANLKGYAFSGTPLYSSLSVFTKEISPKYVFHPGYLPNY -NQSECNKKGLPLTWTGMMEIPGVFDPVNKCNIFCVLSGPGASCEAFAEGGIYNLSSPTCLVSKHTTFKTN -DQQVNFVCQRVDKDIIVYCNGHKKIILTKTLVIGQCIYTITSLFSMFSGVAHSIAVELCVPGFHGWATLA -LVITFCFGWILIPTLTWLILTILKFIASILHTQSEENRFKTLLRKIKEEYEKTKGSMVCEYCKIECETQL -EYKAHQTSCPQHQCPYCFAHCEPSEAAVQAHYKVCQVTHRFSDDLRKSVTQKTRRQGCYRTLNLFRYRSR -CYIFTVWIFLLTLESVFWAASAEPEPLQPIWNDNAHGIGRVTMSNDLELDFSLVSSSKFTYRRQLVSPRN -PEQTLFIHISVYPQVISTDVQQLGHWFDAQINIKTAFHCYGECAKYVYPWQSALCKYEKDFQYESNWACN -PLDCPGLGTGCTACGLYLDKFKAVGTAYKLITLRYTRKVCVQFNDEHMCKIVDSNDCFITRNFKICMVGT -ISKFSQGDTLLFLGPMEGGGLILKQWCTTSCQYGDPGDIMRLADRGFTCPDYAGTFRKKCMFAQTPVCEY -QGNTVSGYRKLMATIDSFQSFNTTDIHFTKNKLEWSDPDGLLRDHLNILVSREIDFNDLSSNPCKIGVQT -INVEGSWGSGVGFTLKCIISLTECSKFLTSIKACDSAICYGATSVTLIRGQNTVLVTGKGGHSGSRFKCC -HDHQCSTEGMLASAPHLERVTATDTMEDNHIYDDGAPTCRVGCWMKKTGEWLIGLLQGNWMVVIVLIVLL -IISLICLSFLCPARKIKKV - ->YP_009362285.1 glycoprotein [Imjin virus] -MNNGFIIVLLQSLLQVYCSTHSRITLECPHYGVSDAEYVWGKVKIGRFTIDDIKHIQFENTCPFSITGHN -VQTGMKIISWTEKENHDSSAVYFDKVATHSEKTGDFKSLCGSVSYLTYPFKSGSSYCLNLHCNQTVCDTK -VIVLIKAISWVAYDSCVLYHKDIKVQLDFKKTHCVKSVLHEKKCFQPDFTTAFNPKLHMKFSLPSVCLFM -PSDGNAEGTKEIVGQDSCTDIKLNGWYSCIVGHYSMIFVHSNGKMRQIHLNTKFRKNPQGDDHSELRSGS -THIIGYKNMTTVSNKVNTLAAFKGATDYSSLFYNIQNTDKKPLLLAGYTPTFHWRECGTHLIPAVWTSHI -DVWGTIIESDECNIFCTLSGPGASCEAFSPTGIFLLNSTTCLIPHTHRFKGLGDQITFICQRVDTDLEFY -CNGRHKIIRTKTLIIGQCIQTFTSFFSLFPGVAHSLAVELCVPGFHGFITVCIVSTFCFGWLWIPGLTWL -ILQLLKFIVLFVSTNSVDQRFKQILQKIKAEYRLTIGDTSCEFCKHECQTSLESDSHILYCKQGKCPYCL -NEIYPSPLALQEHYKVCMLTDRFTRQIKEKIMNVETKPHSGLYKRLCVFQYKNRCYIFTIWIFLLIFQML -VWAASAEVITFEKEWNDNVHGIGSEKLITDLELDFSIPSSSGFTYTRNLEGPIPNQKLHFALKLSRQKTR -ATIQKLGHWVDARWNVRTVFHCYGACSKFEYPWKSATCSREKDFEYQTGWGCNPGDCPGINTGCTACGLY -LDKPRSIATVIKLIQLDYEREVCIQIGTHSECKKITGNDCLSTHGVKICLLSTTVKLTATDTLVFFGPLQ -QGAVVFKNWCTSTCAYGDPGDIMLTENGEYNCPDFTGSFERTCRFGQTPVCEYNGNNIGGYKRYLATRDS -FFSINMTEPIIDKTKLEWFDPDSTSRDHINVQVSKDVDFENLGNNPCRLTLKTTGIEGAWGSETGFSLTC -KISLLECNSFVTMIKACDKAMCYGAYSTTLQRGDNNVIIQGRGGHSGSSFKCCHNDDCSETGVLADAPHL -SRLKSQDGDNSEIYSDGANECRITCWFNKTSEWVMGMLSGNWLVILVLVGIMLFSVFLFCFFCPAKTHQA - ->YP_009362100.1 glycoprotein precursor [Cano Delgadito orthohantavirus] -MEVLVSFFVVVYLQVVTSAPKSLHEMKVECPHTVGLGQGYVTGQVELSYVPLTDVPNMLIESSCNFDLHV -AAGAVQKFTEVSWAKKSSTTATTNAGESTFEATSKEVSIKGTCLITADIFDTVYRSRKTVLCYDLSCNQT -HCQPTLHLIAPIFTCMSIRTCMVSILSSRVQVTYEKTYCVTGQLVEGVCFIPMNGVGYTQPIHAYDIVTL -PVTCFLVPKKAEQLKIVTELEKLAGKTGCAENSFQGLYVCFIGKHSEPIHVPISSDLRSSTIMSRMAMHP -RGEDHDTDKTGQGVLRIAGPVKAKVPHTESSDTMSGIGFSGVPMYSSLSTLVKDSDPKYIFSPGVIPDSN -HSSCEKKTLPITWTGFLPVAGKSEKITGCNVFCTLAGPGAMCEAYSENGIFNISSPTCLVNKVQKFKGSE -QRVSFVCQRIDNDVVVYCNGQKKVILTKTLVIGQCIYTFTSLFSLLPGVAHSLAVELCVPGLHGWATIAL -LITFCFGWLIIPAVTLLILKTLKVVTYSCSHYSTESKFKVLLEKVKVEYQRTMGSMVCDICHHDCETAKE -LETHKKSCPEGQCPYCMTLTEATQSALQAHYTICKLTDRFQDALKKSLKRPEVKRGCYRTLGVFRYKSRC -YVGLVWGLLLTVELVIWAASAETPVMEPGWTDTAHGVGMVPMKTDLELDFSLPSSSSYSYRRVLINPANQ -EEKIPFHFQLEKQVIHAEIQVLGHWMDATFNIKTAFHCYGECKKYAYPWQTAKCFFEKDYQYENNWGCNP -GDCPGVGTGCTACGIYLDKLKPVGRAFKIVSLRYTRKVCVQLGVEQTCKHIDINDCLVTPSVKVCMIGTI -SKLQPGDTLLFLGPLEQGGIIVKQWCTTTCTFGDPGDIMSTNKGVSCPEHSGSFRKICHFATTPVCEYQG -NTVSGYKRLMATKDSFQSFNVSEVHVTSSQLEWIDPDSAIKDHLNVVVNRDLSFQDLSDNPCKVDMHTQA -VEGAWGSGVGFTLTCSVGLTECPSFMTSLKACDSAMCYGATVVNLVRGSNTVKIVGKGGHSGSLFKCCHN -KDCSDIGLAASAPHLERVTGFNQIDSDKVYDDGAPPCTITCWFTKSGEWLLGILKGNWVVVAVLVVILML -SIFLFSFFCPVRNRKKQV - ->YP_009362096.1 glycoprotein [Khabarovsk orthohantavirus] -MSRVCLCLLFSGLLLWRAAELRNLIELKIECPHTIGLGQGLVIGSVELPPVPLNKVESLKLESSCNFDLH -TSTSTQQAFTKWTWEKKTDLADGTKSSSTSFVSKSVEVNLRGLCIIPTLVLETASRTRKTVTCFDLSCNQ -TACQPTVYLIAPIQTCVTTKSCLLGLGDQRIQVNYEKTYCVSGQLVEGVCFNPIHTMALSQPSHTYDMLT -MMVRCFLVAKKVSNGDNMKIEKEFEKLAQKTGCTNNFQGYYVCIIGSSSEALYVPALEDYRSAEVLSRMT -FAPHGEDHDIEKNSISALRVVGKVTGKAPSTESSDTIQGIAFAGVPFYTSTGVLTAKEDPIYVWAPGIIM -EGNHSTCDKKTLPLTWTGFISLPGEIEKTTQCTVFCTLAGPGADCEAYSDTGIFNISSPTCLVNRVQRFR -GAEQQIKFVCQRVDLDITVYCNGIKKVILTKTLVIGQCIYTFTSIFSLLPGVAHSLAVELCVPGLHGWAT -IALLLTFCFGWLLIPIITLVSIKILLLFTYACSKYNTDSKFKMLIEKVKQEYQKTMGSMVCEVCQHECET -AKELESHKKACPNGSCPYCLNPTEATESAIQAHFKVCKLTTRFQENLKKSLTAYEPKRGCHRALSIFRYR -SRCFVGLVWCILLTLELIIWAASAEVVNLEPGWNDMAHGTGIVPMRTDLELDFSLPSSSSYTYRRDLQNP -ANEQERVPFHLQIERQVIHAEIQHLGHWMDATFNLKTAFHCYGACEKYAYPWQTAGCFLERDFEFETGWG -CNPPDCPGVGTGCTACGAYLDKLKSVGKVFKIVSLKYTRKVCIQLGSEQACKTVDSNDCLVTTSTKICLI -GTISKFQPSDTLLFLGPLEQGGLIFKQWCTATCQFGDPGDIMSTSQGMKCPDLNGSFRKKCSFATTPVCE -YDGNTISGYKRMVATKDSFQSFNVSEPHITANSLEWVDPDSSLKDHINVVVNRDISFQDLSENPCQVDVS -TSSIDGAWGSGVGFNLVCQVSLTECATFLTAIKACDSAMCYGSTTANLVRGQNTIHVVGKGGHSGSKFVC -CHNTKCSSLGLVAAAPHLDRVTGYNQIDNNKVYDDGAPECGVTCWFKKSGEWIVGILNGNWMVIAVLVVL -LILSIFLFALCCPRRPSYKKEHKT - ->YP_009362094.1 glycoprotein precursor [Sangassou orthohantavirus] -MIMWGLLLLAAITFPCQSLKNVYDMKIECPHSVNFGESSVMGKVQLPSLSITEAEQLVPESSCNMDNHQS -LSVLQKATKTTWRKKADHSNAGKDSYELITSEIQFKGVCSLTHKMIEESHRLRRSVICYDLSCNTTHCKP -TLYMVVPVHSCNMMKSCLIGLGPYRIQVVYERTYCTVGVLNEGKCFVPDQSVANIVKNGIFDIVSVQIIC -FFIRIKGSTYKILETVKTAMNGKCNGTDDKVQGYYICLLGGNSGPIYVPSGTDFRAMEALANMLRAPHGE -DHDLPGEELASYSIAGTIEGKIPHTASSQNLEFTAYSGIPSYSSFSVHTLTQDGQLSYSPGLFPNLNQSD -CKKNALPLIWEGTIELPGYYEAIHPCNVFCVFSGPGASCEAFSEGGIFNITSPTCLVSKQNRFRAAEQQI -TFVCQRVDMDIIIYCNGQRKVILTKTLVIGQCIYSITSLFSLLPSVAHSIAIELCVPGFHGWATAALLTT -FCFGWLLIPSITYIVLLILKFIAAIFHNTTQENKFKAILRKIKEEFEKTKGSMVCDICKYECETGKELKA -HNLSCNQSQCPYCFTHCEMTESAFQAHYKVCQATHRFREDLKKTITPQTISPGCYRTLNLFRYKSRCYIL -TVWLCLLILESIAWAASASETSLNPLWTDNAHGVGSVPMHTDLELDFSLPSSSKYTYKRKLTSPTNPEQA -VSLHIEIESQGIAADVHSLGHWYDARLNLKTSFHCYGACTKYQYPWHTAKCHFEHDFQYENSWGCNPPDC -PGVGTGCTACGLYLDQLKPVGSAYKLITVRYSRKVCVQFGEENLCKTIDMNDCFMSRHVKVCIIGTVSKF -SQGDTLVFLGPLEGGGLIFKDWCTSTCQYGDPGDIMSPRDRGFSCPEFPGSFRKKCNFATTPVCEYSGNM -VSGYKKVMATIDSFQSFNTSLIHFTEERIEWKDPDGMLRDHLNILVTKDIDFENLGENPCKVGLQTSSIE -GAWGSGVGFTLVCQVSLIDCARFLTSIKACDMAICYGAQSVSLVRGQNTVKITGKGGHSGSSFKCCHEVD -CSSTGLQASAPHLDKVNGISEQENDKVYDDGAPQCGVSCWFVKSGEWISGILHGNWVVVVVLVILLLFSL -ILLSILCPVRKHKRS - ->YP_009508267.1 G1 and G2 proteins [Prospect Hill orthohantavirus] -MSKFCLCLSLLGVLLLQVCDTRSLLELKIECPHTVGLGQGLVIGTVDLNPVPVESVSTLKLESSCNFDVH -TSSATQQAVTKWTWEKKADTAETAKAASTTFQSKSTELNLRGLCVIPTLVLETANKLRKTVTCYDLSCNQ -TACIPTVYLIAPIHTCVTTKSCLLGLGTQRIQVTYEKTYCVSGQLVEGTCFNPIHTMALSQPSHTYDIVT -IPVRCFFIAKKTNDDTLKIEKQFETILEKSGCTAANIKGYYVCFLGATSEPIFVPTMDDFRASQILSDMA -ISPHGEDHDSALSSVSTFRIAGKLSGKAPSTESSDTVQGVAFSGHPLYTSLSVLASKEDPVYIWSPGIIP -ERNHTVCDKKTLPLTWTGYLPLPGGIEKTTQCTIFCTLAGPGADCEAYSDTGIFNISSPTCLINRVQRFR -GAEQQIKFVCQRVDLDIVVYCNGMKKVILTKTLVIGQCIYTFTSVFSLMPGIAHSLAVELCVPGIHGWST -IALLATFCFGWLLIPIISLVSIKIMLLFAYMCSKYSNDSKFRLLIEKVKQEYQKTMGSMVCEVCQQECEM -AKELESHKKSCPNGMCPYCMNPTESTESALQAHFKVCKLTTRFQENLRKSLNPYEPKRGCYRTLSVFRYR -SRCFVGLVWCILLVLELVIWAASADTVEIKTGWTDTAHGAGVIPLKSDLELDFSLPSSATYIYRRDLQNP -ANEQERIPFHFQLQRQVIHAEIQNLGHWMDGTFNLKTSFHCYGACEKYAYPWQTAKCFLEKDYEFETGWG -CNPGDCPGVGTGCTACGVYLDKLRSVGKVFKVISLKFTRRVCIQLGSEQSCKTIDSNDCLMTTSVKVCMI -GTVSKFQPGDTLLFLGPLEEGGIIFKQWCTTTCHFGDPGDIMSTPQGMQCPEHTGAFRKKCAFATMPTCE -YDGNTLSGYQRMLATRDSFQSFNITEPHITSNSLEWVDPDSSLKDHINLVVNRDVSFQDLSENPCQVGVA -VSSIDGAWGSGVGFNLVCSVSLTECASFLTSIKACDAAMCYGATTANLVRGQNTVHILGKGGHSGSKFMC -CHSTECSSTGLTAAAPHLDRVTGYNVIDNDKVFDDGSPECGVHCWFKKSGEWLMGILSGNWMVVAVLVVL -LILSIFLFSLCCPRRVVHKKSS - ->YP_009507845.1 glycoprotein [Yakeshi virus] -MELVGKLVTVVYIVCFVQGLLSRNVFELYLDCPHAIPFGESTLHGSIVLPPLTIEDALTLEVESSCSMDV -HNSLKSNTEVTQVTWKKKSDHTGSASATSFEATSVQKTLNGACIIAHKIVEQAYKMRKSVICYDLICNQT -ACKPELHFMSPIHACNMMKSCIVAIGPYRVQIIFKRTHCTTGILIEGKCFRPDRSLISNIKPGLLEAATL -PVNCFLIARADEKLKLIEAFEKVKTGGCSSNEHKFQGYYLCSVGGSSEVFRVPNTDDPRATKLMNSIFSS -PYGEDHDNIGEEIGSVRLAGALEAKVPHTESTANLKGYAFSGTPLYSSLSVYTKETTPKYVFHPGFIPNY -NQSDCNKKSLPLTWSGLIEIPGVYEPINKCNIFCVLSGPGASCEAFAEGGIYNLSSPTCLVSKHSTFKTN -DQQVTFVCQRVDKDIIVYCNGFKKTILTRTLVIGQCIYTITSLFSMFSGVAHSIAVELCVPGFHGWATLA -LVITFCFGWLLIPSITWFILTILKFIASVMHTHNEENRFKTLLKRIKEEYEKTKGSMVCEYCKIECETQL -EYKAHNTSCPQHQCPYCFAPCEPSEAAVQAHYKVCQVTHRFSDDLRKTVTQKPRRQGCYRTLNLFRYRSR -CYIFTVWIFLLTLESIFWAASAEPEPLQPVWNDNAHGIGRITMNNDLELDFSLVSSSRFTYRRRLVNPRN -EDQSLLVHISISPQVVSTEVQQLGHWFDAQLNIKTVFHCYGECTKYVYPWQSALCKFEKDFQYESNWACN -PLDCPGLGTGCTACGLYLDKFKAVGTAYKVITLRYTRKICVQFNEENMCKVVDSNDCFVTRNFKICMVGT -VSKFSQGDTLLFLGPMEAGGLILKQWCTTSCQYGDPGDIMRIAERGFSCPDYTGNFRKKCVFAQTPVCEY -LGNQVSGYKKLMATIDSFQSFNTTDIHFTKNKLEWSDPDGLLRDHINVLISREIDYSDLSENPCRISVQT -VNVEGSWGSGVGFTLKCIVSLTECSKFLTSIKACDAAICYGATSVTLIRGQNTVLITGKGGHSGSKFKCC -HDHQCSQDGLLAAPPHLERVTAVDAIDDNHVYDDGAPNCRVGCWFKKTGEWLLGLLQGNWMVVIVLVLLL -LISLICLSFLCPVRKIKRV - ->YP_009507826.1 glycoprotein [Rockport virus] -MYTLYLLLYFYQVIIISSRNLIELKLQCPHASHAQHNFVVGYTELVPVSITKVTSMSVDSSCNFDLQQNP -NVIQKVTKWTWAKKSSTTGQTNADSTTYQSETTEASLKGICANLILDIHNHISKKTIACYDLSCNQTHCQ -PTLYVISPNSVCMDVRNCIIGVGDQKIMVVFEKTYCITGLLIEGICFNPLQTLLTGYASQSYSVITVPVT -CFLHPKKTNSNPMKLATELEKLRGKTDCSTNNFQGYYICFLGGYSEPLLLPKSEDHRSAEILSKIVIHYH -GEDHDIKSYEFSSFRIIGSLTGKVPHTESSDNVQGISYSGPPLYTSLGVLAAKDTPNYVWTNGIIFNSNH -STCEKKVLPITWTGYVTLPGKIEKTSSCNIFCTLAGPGADCEAYSEMGIFNLSSTTCLINRMHRFRGAEQ -QIKFLCQRIDSDIVVYCNGQRKIITTKTLVIGQCIYTFTSIFSIIPSVAHSLAVELCVPGLHGWATVMLV -MTFCFGWLLIPTFTFIILRFLYILTYGCSRYNSEAKFKAVLEKVKVEYQKTMGSMVCDICHYECEIAKEL -DSHKKSCVNGHCPYCMNATEATETALQSHFKVCKLTSRFQENLKKSLVPSEQRKGCYRTLVVFRYKSRCY -VGLTWCLLLLIELIIWAASAEPVLKERGWTDTAHGVGIIPLKSDLELDFSLYSSATFTYKRQLQNPANTE -EIVPFQFDVDSQVIHAEIQPLGHWMDGKLNLKTAFHCYGACAKYTYPWQTSHCFFEKDYQYETGWGCNPS -DCPGVGTGCTACGIYIDKLKPVARAYRIISLSYSRKICVQLGTETTCKVISANDCLVSNNIKVCIIGTVT -KFSAGDTIVFLGPFESGGIIFKQWCTTTCNFGDPGDIMSNADGIKCPEHNGSFRKKCAFATTPLCEYTGN -TISGYKRMLATKDSFQSFNLTSVHDTVNSLEWIDPDSSLKDHLNLILNRDLSFQDLTDNPCKVDIVTLSV -DGAWGSGIGFTLQCAVSLTECSTFMTSIKACDMAMCYGSSSVTLSRGQNTVKVTGKGGHSGSSFKCCHNE -KCSEKGLIAAAPHLDRVSGVGELSSNKVFDDGAPQCGIKCWFVKSGEWLYGILNGNWIVFLVLFVILLLS -LFLFSVFCPVRKHKSN - ->YP_009507330.1 glycoprotein [Eothenomys miletus hantavirus LX309] -MWQLMLLWGLLQGMTCVARNVLEMRIECPHTLGLGQGLLIGSAELPPVHVDTIPSLKLESSCNFDIHTAP -LAQQQVTKWTWEKKADTTESTNAASTTFQSKSSEVQLKGLCVVPPLTFETANKLRKTVTCFDISCNQTHC -QPTVYLVAPIQTCLTTKSCMLGLGDMRIQVSYEKTYCVSGQLIEGSCFNPIHTMALSQPSHTYDIFTLLV -KCFFVAKKQANQESLKIEKKLETIMGKSDCSNNNLQGHYTCFLGTSSEPIYVPLLEDYRSAEILSRMGTA -PHGEDHDLDNQAMGHVRVVGKLTGKAPSSESSDTVQGVAFSGLPMYTSLSVMTAKEDPVYVWSPGIIGEA -NHTRCDKKMIPLTWTGYVPIPGEVEKTTTCTVFCTLAGPGADCEAYSETGIFNISSTSCLINRVQRFRGS -EQQIKFVCQRIDADITVYCNGMKKVILTQTLVIGQCIYTITSLFSLAPSIAHSLAVEVCVPGLHGWVTTA -LLLTFCFGWILIPSITYLVLKFLLLFTFLFSKYNTESKFKSILERVKQEYKKTMGSMVCEVCCQECETVK -ELESHNKSCPNSHCPYCMNPTEATETALQAHFKVCKLTNRFHENLKKSLGGYEPKQGCYRTLSVFRYKSR -CYVGMVWIVLLIIESVLWAASADVADVKVGWTDVAHGVALIPLRTDIELDFSLPSSATYTYRRILQNPAN -EAEKIPFHFQLDRQVIHAEIQSLGHWMDGTFNLKTSFHCYGACEKYSYPWQTSKCFSERDFQYESGWGCN -PIDCPGVGTGCTACGVYLDKLKSVGKVFKIISLKYTRRVCIQLGQEQTCKTIDSNDCLITTSVKVCIIGT -VSKFQPGDTLLFLGPLEQGGIIFKQWCTASCQFGDPGDIMTAPNGMKCPEHTGTFRKKCSFATIPSCEYD -GNTISGFKRMMATRDSFQSFNISDVHITTNALEWIDPDSTLKDHINMVFNRDISFQDLAENPCKVGMTTT -SIDGAWGSGVGFSLTCSISLTECASFMTAIKACDKSMCYGAKTVTLVRGQNTVIIVGKGGHSGSKFKCCH -NDDCSTTGLLASPPHLDRVTGYNQLDTDKVFDDGAPECGIKCWFTKSGEWFLGILNGNWIVIAVLIFILI -ISLFLFSLCCPVRSRSQRT - ->YP_009506658.1 glycoprotein precursor [Laguna Negra orthohantavirus] -MEGWLFTILGVCYIMTTVLPKTIYELKMECPHTVGLGQGYIIGSAELGLIDIATVPEIKLESSCNFDLHT -TSSTQKSFTQLEWRKKAETTDTTQAASTTFEAQTKTVNIRGTCILASDLYDTLKRVKKTVLCYDLTCNQT -QCQPTVYLITPVGTCMSIRSCMANLLSNRIQITFEKTHCVTGQLVEGQCFNPSHSLTLSQPPHTYDVVTL -PTTCFFTAKKADQYKIVKTFEGIISKTGCTENSIQGYYVCFIGSHSEPLIIPTLEDIRSAELASRMLLHP -RGEDHDPLQNAQAVLRIVGPITAKVPSSSSTETLQGIAFAGVPMYSSFSTLVRNADPEYVFSPGIIPESN -QSVCDKKTLPLTWTGYLPISGEMEKVTGCTVFCTLAGPGANCEAYSESGIFNISSPTCLVNKVQRFRGSE -QKINFMCQRIDNNVVVYCNGQKKVILTKTLVIGQCIYTFTSLFSLMPDVAHSLAVELCVPGLHGWATIAL -LSTFCFGWLLIPGITLIVLKILKILTYSCSHYSSESKFKMILEKVKVEYQKTMGSMVCDICHHECETVKE -LESHKQSCVEGQCPYCMTVTEATQSALQAHFSICKLTGRFHEALKKSLKKPEVHRGCYRTLGVFRYRSRC -YVGLVWGCLLTIELVLWAASAETPLLEPGWSDTAHGVGELPLKTDLELDFSLPSSSSYSYRRRLTNPANK -EESLPFHFQMEKQVIHAEIQSLGHWMDATFNIKTAFHCYGACKQYSYPWQTAKCFFEKDYQYETGWGCNP -GDCPGVGTGCTACGIYLDKLKSVGKAYKVISLKYTRKVCIQLGTEQVCKHIDANDCLVTPSVKVCIIGTV -SKIQPSDTLLFLGPLEQGGVILKQWCTTSCTFGDPGDIMSTTSGMRCPEHTGSFRKICGFATTPVCEYQG -NTISGYQRLMATKDSFQSFNLTDPHITSSKLEWIDPDGNTRDHVNLILNRDVSFQDLSDNPCKVDLHTQS -IDGAWGSGVGFTLTCVVGLTECPSFITSIKACDLAMCYGSTVATLARGSNTVKVVGKGGHSGSSFKCCHD -SDCSSEGLMASAPHLERVTGFNQIDSDKVYDDGAPPCSFKCWFTKSGEWLLGILNGNWIVIAVLVIILII -SIILFSFLCPRRSHKKNV - ->YP_009506412.1 M polyprotein [Hantavirus Fusong-Mf-682] -MSRVCLCLSLLGLLLCWPAATRNLLELKVECPHTIGLGQGIVIGSAELPPVPLAKVESLKLESSCNFDLH -TSTTTQQAFTKWTWEKKTDLADGTKSSSSSFVSKSSEVNLRGLCVVPTLVFETASRMRKTITCYDLSCNQ -TFCQPTVYLVAPIQTCVTTKSCLLGLGDQRIQVNYDKTYCVSGQLVEGVCFNPIHTMALSQPSHTYDLLT -MTVRCFLIAKKVANGDNLKIEKNFETLVEKTGCNNNNFQGYYICFIGTSSEPLYVPALEDYRSAEVLSKM -AFAPHGEDHDIERNAVSAMRVVGKLSGKAPSTESSDTVQGVAFAGTPFYTSTGVLVAKDDPVYVWAPGII -MEGNHSTCDKKTIPLTWTGFISLPGEIEKTTQCTVFCTLAGPGADCEAYSDTGIFNISSPTCLVNRVQRI -RGAEQQIKFVCQRVDLDITVYCIGIYKVIITKTLVIGQCIYTFTSIFSILPAVAHSLAVELCVRGLHGCA -TIAILYTLCFGWLLIPIITLISIKILLLYTYACSKYNTDSKFKMLIEYLKQEYQITMGSMVCEVCQHECE -TAKELESHKKACPNGSCPYCLNPTEATESALQAHIKVCKLTTRFQENLRKSLTAYEPKKGCYRTLSIFRY -RSSCFVGLVWCLLLTIELIVWAASAEVVNLEPGWTDTAHGSGIVPMKTDLELDFSLPSSSSYTYRRELQN -PASEQEKVPFHLQIERQVVHAEIQHLGHWMDGTFNLKTAFHCYGACEKYAYPWQTAGCFLEKDFEFETGW -GCNPPDCPGVGTGCTACGVYLDKLKSVGKVFKIISLKYTRKVCIQLGSEQSCKTVDTNDCLVTTSTKVCL -IGTISKFQPGDTMLFLGPLEQGGLIFKQWCTTTCQFGDPGDIMSTPQGMKCPELNGAFRKKCSFATTPSC -QYDGNTISGYKRMMATKDSFQSFNVTEPHITASSLEWVDPDSSLKDHINVIVNRDISFQDLSENPCQIDL -STTSIDGAWGSGVGFNLICSVSLTECSTFLTAIKACDSAMCYGSTTVNLIRGQNTVHVVGKGGHSGSKFI -CCHNKKCSSSGLVAAAPHLDRVTGYNQADNDKIFDDGAPECGITCWFKKSGEWIVGILSGNWMVVAVLVA -LLILSIFLFALCCPRRNNYRKEHKP - ->YP_009506355.1 envelope glycoprotein precursor [El Moro Canyon orthohantavirus] -MVGWLSLIVWCFTTMVTGHTKTLYELKVECPHTVGLGQGYVTGFVELGIIPLAEIGDLKLESSCNFDLHS -PSNTVQKFTQVEWSKKASTTDSTNAATTTFETKSKEVSLKGTCTIPINVFETNFKARKTVLCYDLSCNQT -SCQPTVHLIAPIQTCTTMRSCMVGLGLQRVQITYEKTYCVSGQLLEGLCFIPVHTMALTQPGHTYDIMTV -PANCFLVAKKANNQVKLAVELEKLISKIGCTENGFQGYYVCFLGKQSEPLYVPLLEDFRSAEVFTRMVLN -PHGEDHDVELAGQGNMRIAGLIAGKVPHTETTETFQGIAFAGVPMYSSLSTLVRKVDPEYVFSPGIIAEA -NHSVCDKKTIPVTWSGFVPIPGEIERITGCTVFCTLSGPGASCEAYSENGIFNISSTTCLVNKVQEFRGS -EQRVNFVCQRVDQDIIVYCNGQKKIILTKTLVIGQCIYTLTSLFSLLPGVAHSLAVEMCVPGIHGWATTA -LFITFCFGWLLIPLITLIILKILRLLTYSCSHYSSESKFKFILEKVKVEYQKTMGSMVCDVCNHECETAK -ELDCHKKSCAEGQCPYCMTLTESTESALQAHFAICKLTSRFQENLKKSLKRQDVKPGCYRTLGVFRYKSR -CYVGLVWGFLLTIELVIWAASADTPLLEPGWTDTAHGVGMIPMKTDLELDFALPSSSSYNYRRTIMNPAN -GEEKIPFHVQIERQTVHADIQVLGHWMDAIFNIKTAFHCFGECKRYVYPWQSAKCFFEKDYQYETGWGCN -PPDCPGVGTGCTACGIYLDKLKSVGKAYKVVNLRYTRKVCVQLGTEQTCKTIDINDCLVTPSLKVCMVGT -VAKLQPGDTLLFLGPLEQGGLILKQWCTTTCNFGDPGDIMSTTSGMRCPEHTGSFRKICGFATTPVCEYQ -GNTVSGYQRMMATKDSFQSFNVTQPHLTANLLEWVDPDSTIKDHINLILNRDLSFQDLAENPCKVDLHTQ -NIDGAWGSGVGFTLTCIVSLTECSTFITSIKACDAAMCYGATVSNLIRGTNTVRVVGKGGHSGSLFRCCH -DNECTKEGLSATAPHLDRVTGFNQIDSDKVYDDGAPPCTMKCWFKKSGEWLLGIISGNWIVVAVLIIILV -ISILLFSFFCPVRSHKKKL - ->YP_009506271.1 glycoprotein [Dabieshan virus] -MWGLLVVAGLIGPCISLRNVYDMKIECPHTVNFGENSVIGYVELPPVPIADVTQMVPESSCNMDNHQSLS -TITKYTQLSWRKKADQAQASQNSFETTSSEVDFKGTCVFKHKMVEESYKNRKSIICYDLSCNVTHCKPTL -YMIVPVHACNMMKSCLIALGPFRVQVVYERTYCMSGILTEGRCFVPDQSVVSIIKHGIFDIASIHIICFF -IAVKGNTYKLFDEVKKSFALNCNDTENKIQGYYICIIGGNSAPVYAPSPDDFRSMEAFSGIFRSPHGEDH -DLNGEEIASYSIVGPTDAKVPHSSSTTTLSLTAFSGIPAYSSFSILAGSVEPKHVFSPGLFPKLNHTKCT -KSAIPLVWTGRIDLPGYYEAIHPCTVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRSSEQQVNF -VCQRVDMDIVVYCNGQKKTILTKTLVIGQCIYTITSLFSLLPSVAHSIAVELCVPGFHGWATAALLVTFC -FGWVLIPALTFVILTILKCIANIFHTSNQENKLKAVLRKIKEEFEKTKGSMVCDICKYECETYKELKAHG -LSCPQSQCPYCFTHCEPTESAFQAHYKVCQVTHRFREELKKTVTPQNFTPGCYRTLNLFRYKSRCYIFTM -WVFLLIFESILWAASASDVPLSTDWNDNAHGVGSVPMHTDLELDFSLTSSSKYTYRRKLTNPVDATQAVD -LHIELEEQTIGLDVHALGHWFDGRLNLKTSFHCYGACTKYEYPWHTAKCHFERDFQYETSWGCNPPDCPG -VGTGCTACGLYIDQLRPVGSAYKIITIRYSRKVCVQFGDENLCKTIDMNDCFVSRHVKICIIGTVSKFSQ -GDTLLFLGPMEGGGIIFKHWCTSTCQFGDPGDIMSPKNRGFSCPEFPGSFRKKCNFATTPVCEYDGNMVS -GYRKVMATIDSFQAFNTSTIHFTDERIEWKDPDGMLRDHINILVTKDIDFDNLAENPCKIGLQVSAIEGA -WGSGVGFTLTCQVSLTECSTFLTSIKACDKAICYGAQSVTLVRGQNTVKVSGKGGHSGSAFKCCHGEDCS -QTGLQANAPHLDKVNGISEIENDKVYDDGAPECGIKCWFVKSGEWVVGIFSGNWIVLVVLCIFLIISLIL -LSILCPVRKHKKS - ->YP_009506070.1 envelope glycoprotein precursor [Choclo virus] -MEGWLLIFCGVLTTMVSALPKAVYELKMECPHTVGLGQGYIAGSVELGFISLSRVSEIKVESSCNFDLHM -TSSQQQTYTQVNWAKKSTTTETTNAGATTFEATSKSTNLRGTCVLSSDLFDTYKKVKKTVLCYDLSCNQT -HCQPTVHLLAPVITCMSIRNCMVSILENRIQISYEKTHCVTGQLVEGQCFNPTHTMTLTQPAHTYDTVTL -PVLCFLSAKKSDQMKIVNTFEGILQKNDCTTNNFQDSYVCFIGKNSEPLIVPALEDIRSAEIVSRIIMHP -RGEDHDPDKAGQGILRIAGPIKAKVPQTETSETMQGIAFAGVPMYSSLSTLVKKVEPEYVFSPGILPESN -QSQCDKKTLPLTWTGYLPISGEMEKITGCTVFCTLAGPGASCEAYSENGIFNISSPTCLVNKVQKFKGSE -QKVNFICQRVDQDVVVYCNGQKKVILTKTLVIGQCIYTFTSLFSLAPSVAHSLAVELCVPGLHGWATVAL -VVTFCFGWLLIPAVTLIILKILRVCTYSCSHYSNESKFKIILEKVKIEYQKTMGSMVCDICHHECETVKE -LETHKKSCCDGQCPYCMTLTEATESALQAHFAVCKLTGRFQEALKKSLKKPEVKKGCYRTLGVFRYKSRC -YVGLVWGILLTFEIVVWAASAETPQTEAGWSDTAHGVGVIPMKTDLELDFSLPSSSSYSYRRKLINPANH -EETIPFHFQLDQQVIHAEIQTLGHWMDATFNIKTAFHCYGACNKYSYPWQTAKCFFEKDYQYETGWACNP -GDCPGVGTGCTACGVYLDKLKSIGKAYKVISLRYTRKVCIQLGTEQTCKHIDANDCLVTPSVKVCMIGTV -SKLQPADTLLFLGPLEQGGIILKQWCTTSCAFGDPGDIMATTAGMRCPEHTGSFRKICGFATTPVCEYQG -NTVSGYQRLMATKDSFQSFNLSNPHLTANNLEWTDPDGATKDHVNLVLNRDVSFQDLSDNPCKVDLHTQS -IEGAWGSGVGFTLTCNVGLTECPSFLTSIKACDLAMCYGSAVVTLTRGSNTIKVVGKGGHSGSAFKCCHD -TDCSNEGLLASAPHLERVTGFNQIDSDKVYDDGAPPCTVKCWFAKSGEWLFGILNGNWIVVAVLVVILII -SIILFSFLCPRRVHKKSV - ->YP_009362281.1 envelope glycoprotein precursor [Maporal virus] -MIKGLVLAILGVCSTIVFALPRTMYELKMECPHTVGLGQGYITGSVDVGLVPLSQVKDIKVESSCNFDLH -TTSVLQQSYTQVDWAKKSSVTETTNAGAETFEAQSKGVNLRGTCVLSPDLYDTLKKIKKTVLCYDLSCNQ -THCRPTLHLIAPILTCMSIRSCMASVLDSRVQVVFEKTHCVYGQLIEGQCFNPTHTLTLTQPAHTYETLT -LPIVCFLIAKKSDQLKVVNTFEGIVGKTDCSNAFQGYYVCFLGSHSEPLIVPNLEDIRSAEVVSRMIVHP -RGEDHDFPNEAQGSLRVVGPVKAKVPSSSASDTMQGVAFAGLPMYSSLSTLVSKVEPEYVFSPGIIPESN -HSKCEKKTMPLTWNGYLPIAGEFEKVTGCTVFCTLVGPGASCEAYSENGIFNISSPTCLVNKMQRFRGSE -QKINFICQRVDQDVIVYCNGQKKVILTKTLVIGQCIYTFTSLFSLMPNVAHSIAVELCVPGLHGWATVSL -LTTFCFGWLLIPTVTLIVLKSLRVLTYSCSHYNNESKFKFILEKVKVEYQKTMGSMVCDVCHHECETAKE -LETHKQSCPEGQCPYCMTMTEATQSALQAHFGICKLTGRFQEALKKSLKKPEIHRGCYRTLGVFRYKSRC -YVGLVWAILLTAEIIVWAASAETTQVEPGWSDTAHGVGEVPLKTDLELDFSLPSSSSYSYRRKLTNPANK -EESIPFHFQMDKQVIHAEVQVLGHWMDATFNIKTAFHCYGACQKYSYPWQTAKCFFEKDYQYENGWGCNP -GDCPGVGTGCTACGIYLDKLKSVGKAYKIISLKYSRKVCIQLGTEQTCKHIDANDCLVTPSVKVCMVGTV -SKLQPADTILFLGPLEQGGIILKQWCTTSCTFGDPGDIMSTTAGMRCPEHTGSFRKICAFATTPVCEYQG -NTISGYKRMMATKDSFQSFNLTDPHLTTNKLEWIDPDGNTRDHVNLVLNRDVSFQDLSDNPCKVDLHTQS -IEGAWGSGVGFTLTCTVSLTECPSFMTSIKACDMAMCYGSTVTNLARGSNTVKVVGKGGHSGSAFKCCHD -TDCSTEGLAASAPHLERVTGVNQIDSDKVYDDGAPPCTLKCWFTKSGEWLWGILNGNWVVVVVLVVVLVI -SILLFSFLCPRRSSKKTV - ->YP_009362037.1 glycoprotein [Nova virus] -MKLLLITILVTITEQKSNFDLTLQCPHNPRQDEGFSYGWIDMPVQAYTTLQQITVESSCPFEFHQLRKTL -YSTTVVSWKKKTDTGTTTQAGDTTYEGTEENGSLLGICALDQGVSSKLITGRQGLVCQELSCNTTACLTT -LHVIIPQQICSNVRSCVMTWKDHRINLNFERTFCPNGLIVSGNCFQPLYGSSQLPTNVETTELQVSCFFV -RERTGGHKVLVELEKIGGAKSCTDHSFYSSYSCFLQGYSVRIKVPNLADAISAEIISKMIMFNYGEDHDK -PGHGIGSFRISSEKEFKVANTESDKFKGLCLSGQILYTSTYVYPKPINHYTKYVLSKGVLPYVNYTSCDK -KVIPLVWRGLVTVPGFSETMQPCNVFCTLAGPGAQCEAFSQTGIFNISSPTCLIGRMHKYHLMEDQINFV -CQRLDKDIVVYCNGEKKVIKTATLVIGQCIYSLTTLFSMFPWIAHSMAVEVCVPGIHGWATIVLMITFCF -GWLIIPSLTWLILQVLKVLIYVFNKKAGKLYFNHIFEKVKKEFQHTIGNTSCSLCQTDCETNEELKAHNE -LCPQGQCPYCLKDLAPSPAILTEHFKFCPLKDRYQRKVENIIAPLHPSSIIIRKVSNFRYKNRCYISMVW -TLLLIIELCIWAASANVVDVDTEWNDVAHGVGHVPMASDYELDFSLPSGAGYTHRRYLDHPVNKDKSIMF -TVNIKQQLVKATVQSLGHWMDGELNIKSVFHCYGECKKYSYPWQFAHCKFEKDYQYQTGWACNPSDCPGV -GTGCTACGLYLDKIVPKASVYRILSLTYSRRICYQLGNEQSCKDIDQNDCLTSDHVKICMIGTVSNLNIG -DTLVFLGPLAGGAIIMKQWCTSNCRYGDPGDIMMNSATLQCPDFDGVIERQCRFATEPVCVYNGNLISGY -KKMMATRDSFISINMSDVTLGPSGLLWYDSDSIYKDHMNIQVSRDLAFEDLSENPCQLEISVLGITGSWG -SGVGFTLDCSVSLTECSTFMTAIKVCDKAMCYGGKSVHLNRGQNSVKVQGRGGHSGSKFMCCHDKVCSKD -GLKASAPHLDRVSGVNVLNSDAFSDGSDSCGFICWMTKVGEWMSGMFHSSWWIFLILFIVFIFSLLLLGL -LCPARKH - ->YP_009362289.1 glycoprotein precursor [Anjozorobe virus] -MWGLLAIAVLISSCLAIKNVYDMKIECPHSVNFGETSVSGQVELPPLPITDAEQLVPESSCNMDNHQSLA -TINTLTKVIWRKKSDQASANQNSFEVVESEASFKGLCMLKHRMIEESYKNRRSVICYDLSCNSTFCKPTV -YMVVPVHACNMMKSCLIGLGPYRVQVVYERTYCTVGILTEGKCFVPDKTILSSTKRGMFVVTSVQVTCFF -IFQKGHTYKILEEAKKSINNKCNDTENKVQGYYICILGGNSAPVYVPSLNDFRSMEIFSNILLSPFGEDH -DLPGEEISTYQIAGPTEAKIPHSVSSKNLALVAYSGNPSYSSISIVTSSQGGRFVFSPGLFPNLNQTHCE -KNALPVVWRGMIDLSGYYEAVHPCNVFCVLSGPGASCEAFSEGGIFNITSPTCLVSKQNRFKSAEQQINF -VCQRVDMDIIVYCNGQKKTILTKTLVIGQCIYTITSLFSLMPGVAHSIAIELCVPGFHGWATAALLTTFC -FGWILIPTLTFAVLVVLKFIANILHTNSQENRFKMILRKIKDEFEKTKGSMVCEVCKYECETSKELKAHN -LSCPQAECPYCFTHCEPTEATFQAHYKICQATHRFREDLKKTITPQTIGPGCYRTLNLFRYKSRCYILTM -WLFLLIVESVMWAASAVEIPLVPLWTDNAHGIGSVPMHTDLELDFSLPSSSKYTYKRKLTNPINVEQGVQ -VHIEIEEQGIGADVHNLGHWFDARLNLKTSFHCYGACSKYQYPWHTAKCHFEKDYEYENSWACNPADCPG -VGTGCTACGLYLDQMKPIGTAYKLVAIRYSRKVCVQFGEENLCKTIDMNDCFVTRHVKVCIIGTVSKFIQ -GDTLLFLGPLEGGGLIFKHWCTSTCQFGDPGDIMSPKDKPFLCPEFPGQFRKKCNFATTPVCEYSGNQIS -GYKKMMATIDSFQSFNTSSIHFTDERIEWKDPDGMLRDHINIVITKDIDFENLAENPCQIGLQVSAIEGA -WGSGVGFTLTCQVSLTECPTFLTSIKACDMAICYGAESVTLVRGRNTVKISGKGGHSGSSFKRCHGTDCS -ATGLQATAPHLDRVAGVSELSNEKVYDDGAPQCGITCRFVKSGEWVKGIFNGNWIVLIVLCVLLLISLIL -LSIFCPVRKHKKS - ->YP_009362042.1 glycoprotein [Cao Bang orthohantavirus] -MELRSKSVITVILLAFVGCLTARNIFELYLDCPHAIPFGESTLHGSIVLPALSLAAATALEVESSCSMDV -HNSLKENQEYTYAVWSKKNDHTGSASATSFQAAESQKQLHGTCIIGHKLMEQAYKLRKSIICYDLICNQT -DCKPELHYMSPIHACNLMKSCIVALGPYRIQVIFKRTFCDTGILVEGKCFRPDTALMSSVKPGLLELATV -PTTCFYIAKEDENLKLVEVLEKVDSSGCTSNTYKGQGYYLCIAGGNSNIIRAVSDTDHQSVQLIRAIHIS -PHGEDHDNIGESYGAVRIAGPIEIKVPHTETAANLKGTAFSGTPLYSSISAFVKDKSPKYVFSPGIIPNM -NQSGCDKKTIPVVWSGMMQIPGIYEPINRCSVFCVLSGPGASCEAFAEGGIYNVSSPTCLVSKHNTFRTT -DQQVNFVCQRVDQDIVVYCNGYKKIIYTKTLVIGQCIYTLTSVFSIFSGVAHSVAIELCVPGFHGWATVA -LIITFCFGWVLIPGITWFILCILKFFAAILHSQSEENRFKQLLSKIKEEYEKTKGSMVCDVCKLECETQK -ELKAHKLSCPQEQCPYCFVHCEPSEAAFQAHYKVCQVTHRFSDELKKTVTQKPHRQGCYRTLNVFRYRSR -CYIFTVWIFLLTIESVIWAASAEPEPLQPSWNDNAHGVGHHLLDTDLELDFSLLSSSRYTYRRRLVNPNN -KEQSVPVHIDINPQVISMEVQPLGHWFDAELNVKTSFHCYGACSKYVYPWHTAFCHFEKDFQYESNWACN -PPDCPGVGTGCTACGIYLDKLRAVGVAFKVITIKYTRKVCVQFNEETFCKILDSNDCYVTRNFKVCIIGT -VSKFQQGDTLLFLGPMEGGGLIVRQWCTTNCQFGDPGDVMLIPPGPHNCPEYQGSFRKKCMFAQTPICEY -QGNKVSGYKKLMATIDSFQSFNTTDIHFTMNKLEWADPDGIIRDHINVILSKEIDFSDLAENPCKVAVQT -NQIEGAWGSGVGFTLKCTVSLTECSTFITSVKACDSAICYGATSVTLNRGQNTVHVTGKGGHSGSRFKCC -HETQCSANGLLANAPHLDRVMGVDSTSDNHVYDDGAPPCRVSCWFQKTGEWLVGLFHGNWMVVIVLIVLL -IISLICLSFFCPARKLKRV - ->YP_009361852.1 glycoprotein [Bowe virus] -MDKFQVDKLLMVYLILAVGTPVNCRNVYELRLECPHAVNYHMGDITLHGSVVLPAIPLDQVYTLEVESSC -SMDVHNSLKATHDLTQLTWSTKSDHTGDSSSTSFQFTATEKSLKSICMIPHKSLEHTYKLRKAIVCLDLI -CNQTMCKPELHFLSQMHACTLLRSCIIAVGPYRIQVVFHRTYCSTGLLIEGRCFRPDRTIATNTKPSFMD -FTTLPIHCFLVQKTDEKLKIIEEIEKLKTNGCTSNVHKYQGYYLCVSAGSTEIIRVHTSEDQHSAHILKS -IYASPFGEDHDNQGELFGAVRIAGGGDGKVPSTETADNIKGVAFSGTPMYSSLSVFTKDITGKLVFSPGI -YINYNQTGCDKKALPLVWSGYITMTGVFEAINQCSIFCVLSGPGATCEAFAEGGIYNLTSPTCLVSKYTF -IKTTDQQITFVCQRVDTDIIVYCNGHKKVIFTKTLIIGQCIYTITSMFSLLPSVAHSIAIELCVPGFHGW -ATIALIITFCFGWILIPTITWCILVVLKFLASILHNQSEENRFKILLKKIKEEYERTKGSMVCDVCKLEC -ETQKELKSHQISCPKEQCPYCFTHCEPIEAAFRAHYNVCQVTHRFSDDLKKTVTHKSVKPGCYRTLSLFR -YKSRCYIFTTWIFLLIIESILWAASAEPNPLQAQWTDTAHGIGHQVMLTDLELDFSLLSSTKYTYRRRLV -NPTNQDQTVLLHIEIKSQVISAEIQPLGHWYDAAFNLKTSFHCFGACVKYSYPWQAAACQFERDFQFETN -WGGIPLVCPGMGPGSIPCGEFLVKWKPVGMVSKIVNLKYSRYICVQFNDETLCKALESNDCFVAKSFKIC -LIGTISKFQNGDTLLFLGPIESGGIILKQWCTTTCQFGDPGDLMLSATQKYICPEFPGSFRKHCSFGHTP -VCEYDGNLISGYKKLMATIDSFQSFNVTDIHLTRNTLEWGDPDGLLRDHVNIIVNREINFEDLSENPCKV -QVQTVNVEGAWGSGVGFTLKCTVSLTECPTFLTSIKACDSAIAICYGAVSVTLIRGQNTVHVTGRGGHSG -SRFKCCHEENCSPTGVLAAAPHLDRVMGIDVVTEDRILDDGAPLCRFSCWFRKTGEWLSGLLSGNWMVII -VLVAFLFISMICLSFLCPVRKFKKV - ->YP_009361850.1 glycoprotein precursor [Jeju virus] -MRADRLSKYCLIIFLLVLVKDVKLRNVYELLLECPLAANYHMGEITLHGSVVLPPINVQDVSTLEIESSC -SMDVHNSGKTAHSLTELTWNKKADHSGNAAESSFEFKSAEKSLKGICKITHKTVEQAYKLHKSVICYDLI -CNQTMCKPELHFLSQMWACTSMRSCVIAVGPHRVQVTFKKTFCSTGVVVEGRCFRPDRTTTLNKRSTFIE -YTTLPLHCFLVQHNNEKQKLVAELEKLKTDGCTSNTHAFQGYYLCVTGGSTELIKVPTSDDERSQAILKA -IFKAPYGEDHDNMDEPFGAIRIAGSGNGKVPSTETADNIKGVAFSGTPMYSSLSVFTKKQEGDLAFSPGI -LINYNHTGCDSKALPLVWSGYTTIPGVFESINQCSVFCVLSGPGASCDAFAEGGIYNLTSPTCLVSKHTF -IKSTEQQVTFVCQRLDTDIIVYCNGQKKTILTRTLVIGQCIYTLTSIFSLLPSVAHSIAIELCVPGFHGW -ATIALIITFCFGWLLIPSITWLILFILKFSVRMVRSYTEENSFKTLLRRIRDEYERTKGSMVCDVCKTEC -ETQKELKSHQISCSKEQCPYCFTHCEPSEIAFQAHYKVCQVTNRFSDDLKKTITQGPMRPGCYRTLNLFR -YRSRCYIFTVWMTLLIIESILWAASAEPDPLKPNWVDTAHGIGHYVMLTDLELDFSLLSSSQYTYRRKLV -SASNPDDSTVLHIEIDAQLITAEIQPLGHWYDAMLNVKTSFHCYGACNKYTYPWQSTKCFVERDFQYESS -WGCNPVDCPGIGTGCTACGLYLDKFKPVGTAYKIVNLRYTRHVCVQFSDETMCKVIESNDCFIAKNFKIC -LIGTVTKFQNGDTLLFLGPLEAGGIILKQWCTTNCQYGDPGDIMLGINQQYSCPDYIGAMRKRCVFGHTP -VCEYNGNLISGYRKLIATIDSFQSFNVSNIHISQSSLEWADPDGLLKDHINVLVNRDINFEDLAENPCRI -QVQTINIEGAWGSGVGFTVKCIVSLTECPTFLTSIKACDAAICYGAASVKLIRGQNTVRVTGKGGHSGSK -FRCCHEENCSPTGLLAAAPHLDRVSGVDALSEEKVFDDGAPSCKVKCWLVKTGEWLRGLLSGNWMVVIVL -VVTLFISIVCMTIFCPVRKIKRG - ->YP_009361843.1 glycoprotein precursor [Montano orthohantavirus] -MIGWVCIFVWVCSTLTLGLTRNLYELKVECPHTVGLGQGYVTASVELSVIPLSQITDLRIESSCNFDLYT -PPTALQKYTQVEWSKKTSTTDSTNAGESTFETKAKEVSLKGTCTIPIVAFETNYKARKTVICYDLSCNQT -SCQPTVYLIAPIQTCTSVRSCIIGLGTARIQVIYEKTYCVVGQLLEGLCFIPMPSMALTQPGHTYDVMSI -PVTCFLVAKKGNSITKIAVELEKLITKTGCTENGFQGYYICFIGKQSEPLFVPMLDDYRSAEIFTKMVMS -PQGEDHDTELAGQGLLRIAGSVSAKVPHTETSETLQGIAFAGAPMYSSFSTLVRKADPEYIFSPGIFPET -NHSNCDKKAIPLTWNGFITISGEIERISGCTVFCTLAGPGASCEAYSENGIFNISSPTCLVNKVQKFRGS -EQRINFVCQRVDQDVVVYCNGQKKVILTKTLVIGQCIYTFTSLFSLMPGIAHSLAVELCVPGLHGWATTS -LLLTFCFGWLLIPTVTLIILKLLRIATYSCSHYTNESKFKLILEKVKIEYQKTMGSMVCDICKHECETAK -ELETHKKSCSQGQCPYCMTMTESTESALQAHFSICKLTNRFQENLKKSLKKAEVSKGCYRTLGVFRYKSR -CYVGLVWAFLLTVELVIWAASADTPLAEVGWSDTAHGVGVIPLKTDLELDFALASSASYSYRRKLSNPAN -PEEQIPFHFQLDKQVVHAEIQVLGHWMDATLNVKTAFHCYGECKKYAYPWQTAKCFFEKDYQYESSWSCN -PPDCPGIGTGCTACGLYLDKLKSVGKAYKLVSLKYTRKVCVQLGVEQTCKHIDINDCLVTSSVKICMIGT -VSKLQPGDTLLFLGPLEQGGLILKQWCTTTCSFGDPGDIMSTTRGMKCPEHTGSFRKICSFATMPTCEYQ -GNMVSGFQRLMATKDSFQSFNVSQPHLTSNKLEWTDPDSSIKDHINLVLNRDVSFQDLTDNPCKVILQTQ -SIDGAWGSGVGFTLTCSVSLTECGNFMTSIKACDAAMCYGASVVNLIRGSNTVKIVGKGGHSGSLFRCCH -DTDCTKEGLLASPPHLDRVTGFNQIDSDKVYDDGAPQCGIKCWFTKSGEWLLGILNGNWMVVAVLVVVLI -ISILLFSFFCPIRTHKKQM - ->YP_009361841.1 glycoprotein precursor [Bruges virus] -MWYGQRSVHLMLLLWATTVLGLRNVFELKVECPHSAGLLETTLSSSIILPSVPIGKLSELEVESSCSMEV -HNMVKSKQQYTKLSWEKKSSHSGKATETSFEGKSQEVQISGTCIIGPRIVEQVSRIRKAVICYDLICNQT -HCRPHLYFLSPIQACNMMRSCIIGIGQFRVQVLFQKTYCMNGILVEGRCFKPDKSLWSSVKPGILESATI -DVVCFFIAKKEDENFKIIEKIEKIVSPKCNTSKHKVKGYYTCIAGGNSDVIKVPNDNDIRSPIIFNKMVA -SPHGEDHDRIQDDFSHMRIAGKTEFKVPSTETAANFEGIAFSGNPSYTSLYVFSKDEDPKYILGTGVIGN -LNQSDCEKKGLPLVWAGMIEVPGVYEEIYTCKVFCVLSGPGASCEAYSEGGIFNISSPTCLVSKQNTFKT -AEQQVTFVCQRVDMDIIVYCNGQMKIIKTQTLVIGQCIYTITSFFSLLPSVAHSIAIELCVPGFHGWATA -ALVVTFCFGWIIIPTVTWLILAILKFIATLVHTQNQDSKFRQILHKIKEEYEKTKGSMVCDVCKLECDTA -KELKAHNTSCHLGQCPYCLVPCEISETAFQAHFKLCQVTHRFSDDLKKSVIGSPQSVGCYRTLKLFRYKS -RCYILTVWILLMTIESVLWAVSAEPPVLEPVWTDTVHGTSLIPLRTDLEVDFSLLSSSGYAYKRQVQNPL -NNLQVVDFHVDIQPQTIAAEVQSLGHWFDARLNVKTSFHCYGSCSKNIYPWHMATCKHEHDFEYETNWLC -NPPDCPGIGTGCTACGIYLDNLRSVGSAYRIVNIQYYRHVCVQIGEDHFCKDIGPNDCLVAKDIKICLVG -TVSKFQPGDTLLFLGPLEGGGLVLRHWCTSCQFGDPGDIMKQPGAAFSCPEYNGSFRKKCVFATTPVCEY -TGNIISGYKKLMATIDSFQSFNVSVIHYTTNRLEWKDPDGLLRDHINILLNKDLNFEDLSDNPCKVAVKA -LGVEGSWGSGVGFVIRCQVSLTECSKFLTAIKACDNALCYGASSVTLVRGNNLISITGRGGHSGSKFKCC -HLEDCSAESFLANAPHVDRVMGIDSFSENKVYDDGASQCGFKCWFTKTGEWLLGLFKGNWMVIAVLMSLS -LISFILLSFLCPIRKRKKN - ->YP_009361839.1 glycoprotein [Quezon virus] -MRLILWMLILGFTDQKSLLEIKFTCPHDTRFETGFGYGAVDLPSITEASDLIVPVETSCPFEFNLVRKQV -TSVKMKWWERKSSVAAGTNADQNTYEEKEKDAGAIGICNIQHNDITSISQNRRGLMCLEYSCNLTHCMPA -LHVIIPHQICMNIRTCLLTWKSTKIDLIFERIFCPHGILVAGNCFQPYYGVTSPPPESERAELSTTCFLI -TTKQSKAEKNLPQLVTAFQSEITGNTCSPVNMAGYYSCFIKGYSQMINVPGHKDAITGEIMSKIIKFSHG -EDHDRYTDGHGILNVAGPLNFSLKSTDKFSGVCYSGDILYTSLYMNTKKSGDDFTVVLAKGIIPNTDHSS -CDMKLLPLVWQGMINIPGYIEKMEPCKVFCTLSGPGASCEAFSSTGIFNISSDTCLIGKLHRYKHLEDQI -SFICQRVDQDLVIYCNGQKKVIKTNTLVIGQCIYTITSALSLLPTIAHPLAVELCVTGFHGWATLLLLIT -FCFGWLLIPLVSYLVIQVLRLILILMNKHTGQSRFENILKKLKDEFQHTIGSTTCSYCKTDCCSKEELTS -HEENCSKGKCPYCLKEIGSSSIIATEHFKICPLIDRFITKIRQNVASTPTHGTLLYRKLGTFRYKNRCFI -FTIWTVLIIIESLVWAVSAQKMTVDPGWSDTAHGVGSVPMELDYELDFALVSGSTFIHKRLLQSPQAKEH -TIPFTVTIDTQKITAVVQTLGHWMDAEVNLKSVFHCYGACKAYKYPWQEAYCSHEVDYEYQSSWSCNPPT -CPGISTGCTACGMYLDKLTPKASVYKIINIKYSRYICYQLGTEKQCKEVEGNDCVTGKHFKACLVGTVSN -IVASDTLVFLGPLDAGALIMKQWCVSNCKLGDPGDIMSVQDKINCPSYEGTINRVCRFATMPVCSYQGNQ -VPGFKKMMATKDSFLSVNMSNVKLSLSTMSWEDPDSIYKDHINIVVTKDLDFEELSENPCSVESVISSIE -GSWGSGVGFTITCSISLTECSDFLTTIKACDDAICYGGKAVRLLRGQNTVKVQGRGGHSGSKFRCCHENH -CSTKGLRASSPHLERIGDERALESQVFSDGAPECGISCQFKKVWEWLTGIFSGNWFILIVLVIVMIISII -ILSFFCPAKRKTS - ->YP_001911125.1 envelope glycoprotein precursor [Thottopalayam virus] -MISIAKLAVCVSLLLCISATEHKPILLNCPHYGVIGAEYVWGTTRIGHFPLDEVKNMVFETTCSFGLPAH -DPMTQMKVITWKEKETHGTSGEYFDKVASHTETEGDFKSLCTKTHYLTYPFKNGNSYCLNVHCNQTLCDV -EVIVIIRAVHCLTQDMCVLYRSNIKIPIIFKKTHCIKSMLNEGKCFQPDFTIPYSPKIHTRMNLPVLCML -MPTDGQPEGTKEIVGQTSCTDIKLASWYSCLVGDYSMIFVHSDIKMRQIKLNLKFRTNPQGDDHSDRRSS -RIHIIGHKNITTISNKQNTLTAFRGATDYTSLLYNVQSTDKKPTLFLGYTPTMSWTTCGTSYVPAVWRGH -VDTWGSVIETDDCTIFCTLAGPGASCEAFSSSGIFSLNSTTCLIPHTHRFKGLGDQVTFMCQRVDFDLEF -YCNGRHKVIRTKTLVIGQCIHTFTSFFSLFPSIAHSLAVEICVPGFHGFTAVCLITTFCFGWLWIPGATW -MVLQILKIIVLFITNSTMDQRFKRILTKIKAEYRSTIGDTSCDYCKHECATNLEADNHIMYCKQGKCPYC -LNEVYHSPAALQEHFKMCPLTDRFNKTIKDQILKTSTKPHSSLYKKLCVFRYTNRCYIFTVWVTLLFFQL -VIWAASAEVMNLEPEWNDNVHGVGVHKMTTDVELDFSIPSSSKFTYKRFLESPVGETKMQFALKISQQKT -IASIQKLGHWVDARWNTRTVFHCYGACSKFEYPWKSATCSKEHDFEYQTAWACNPGDCPGINTGCTACGL -YLDKPKSIATVIKLIQIDYEREVCVQLGNYNECKRVTGNDCLSTNGVKVCLLSTTVKLGSTDTLVFFGPL -QGGAVVFKNWCTSSCVYGDPGDVMLTENGEYNCPDFNGNFERICRFAQTPVCEYGGNTVSGYQRYLATKD -SFFSINMTDPILERSKLEWYDPDATSRDHINVQITKDLDFENLGENPCRVTLKVLSIDGAWGSETGFSLN -CKVSLLECNSFITVIKACDKAMCYGASSQTLQRGDNTVTIQGRGGHSGSKFKCCHEEDCAEEGLLAEAPH -LARVKSVDVDTSEIYSDGSGECRITCWLSKTSEWFMGILSGNWLVIVVLVVIMILSIMLLSFFCPSKKHQ -A - ->YP_138532.1 G1/G2 glycoprotein [Hantavirus Z10] -MGIWKWLVMASLAWPAYTLRNVYDMKIECPHTVSFGENSVIGYVELPPMPLADTAQLVPESSCNTDNHQS -MNTITKYTQISWRGKAEQAQASQNSFEAVSAEVDLKGTCVLKHKMLEESYRSRKSITCYDLSCNSTYCKP -TLYMIVPIHACNMMKSCLIALGPYRVQVVYERTYCMTGVLIEGKCFVPDQSVVSIIKHGIFDIASVHIVC -FFVAVKGNTYKLFEQLKKSFQSTCNDTDNKVQGYYICIVGGNSAPIYVPTLDDFRSMEAFSGIFRSPHGE -DHDLAGEEIASYSIVGPANAKVPHSASSDTLSLIAYSGIPSYSSLSILAGSTEAKHVFSPGFFPQLNHTK -CDKTAIPLTWTGMIDLPGYYEAIHPCTVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRLTEQQV -NFVCQRVDMDIIVYCNGQRKVILTKTLVIGQCIYTITSLFSLLPGVAHSIAVELCVPGFHGWATAALLVT -FCFGWVLIPAITFVILTILKFIANIFHTSNQENRLKSVLRKIKEEFEKTKGSMVCDICKYECETYKELKA -HGVSCPQSQCPYCFTHCEPTEAAFQAHYRVCQVTHRFRDDLKKTVTPQNFTPGCYRTLNLFRYKSRCYIF -TMWVCLLVLESILWAASASEVPLNPVWNDNAHGVGSVPMHTDLELDFSLTSSSKYTYRRRLTNPLEETQA -INLHIEIEEQTIGVDVHALGHWFDGRLNLKTSFHCYGACTKYEYPWHTAKCHYERDYQYETSWGCNPADC -PGVGTGCTACGLYLDQLKPVGSAYKIITIRYSRKVCVQFGEENLCKVIDMNDCFVSRHVKVCIIGTVSKF -SQGDTLLFFGPLEGGGLIFKHWCTSTCQFGDPGDIMSPRDKGFLCPEFPGSFRKKCNFATTPLCEYDGNM -VSGYKKVMATIDSFQSFNTSTMHFTDERIEWKDPDGMLRDHINILVTKDIDFDNLGENPCKIGLQTSSIE -GAWGSGVGFTLTCLVSLTECPTFLTSIKACDKAICYGAESVTLTRGQNTVKVSGKGGHSGSTFKCCHGEE -CSQIGLHAAAPHLDKVNGISEIENNKVYDDGAPQCGVKCWFVESGEWISGIFSGNWIVLIVLCIFLLFSL -VLLSVLCPVRKHKKS - ->NP_942586.1 Glycoproteins G1 and G2 precursor [Tula orthohantavirus] -MFCLCLSLLGLLLCWPAATRNLLELKVECPHTIGLGQGIVIGSAELPPVPLAKVESLKLESSCNFDLHTS -TAAQQAFTKWSWEKKADTAENAKAASTTFQSSSKEVQLRGLCVIPTLVLETASRTRKTVTCFDLSCNQTV -CQPTVYLMAPIQTCVTTKSCLLGLGDQRIQVVYEKTYCVSGQLIEGNCFNPLHTIAISQPTHTYDIMTLA -VHCFFISKKGGTDDTLKIEKQFETLVEKTGCTENALKGYYACILGTSSEVVYVPAMDDYRSSEILSRMTT -APHGEDHDIDPNAISSLRIVGQLTGKAPSTESSDTVQGIAFAGTPLYTSTSILVRKEDPIYLWSPGIIPE -GNHSQCDKKTLPLTWTGFITLPGEIEKTTQCTVFCTLSGPGADCEAYSDTGIFNISSPTCLVNRVQRFRG -AEQQVKFVCQRVDLDITVYCNGVKKVILTKTLVIGQCIYTFTSIFSLMPGVAHSLAVELCVPGLHGWATI -SLLITFCFGWLAIPLLSMIIIRFLLIFTYLCSKYSTDSKFKLIIEKVKQEYQKTMGSMVCEVCQQGCETA -KELESHKKSCPHGQCPYCLNPTEATESALQAHFKVCKLTTRFQENLKKSLSTYEPKRGLYRTLSMFRYKS -KCYVGLVWCILLTMELIVWAASAETINLEPGWTDTAHGSGIIPLKTDLELDFSLPSSATYTYRRELQNPA -NEQEKIPFHFQMERQVIHAEIQHLGHWMDGTFNLKTAFHCYGSCIKYAYPWQTAKCFLEKDFEFETGWGC -NPPDCPGVGTGCTACGVYLDKLRSVGKVYKILSLKYTRKVCIQLGTEQTCKTIDSNDCLVTTSVKVCMIG -TISKFQPGDTLLFLGPLEEGGMIFKQWCTTTCQFGDPGDIMSTPLGMKCPEHAGSFRKKCSFATLPSCQY -DGNTVSGYQRMIATKDSFQSFNITEPHITTNSLEWVDPDSSLKDHVNLIVNRDLSFQDLAENPCQVDLSV -SSIDGAWGSGVGFNLVCSVSLTECASFLTSIKACDSAMCYGSSTANLVRGQNTVHVVGKGGHSGSKFMCC -HDKKCSATGLVAAAPHLDRVTGYNQIDTNKVFDDGAPQCGVHCWFKKSGEWLLGILSGNWMVVAVLIALF -IFSLLLFSLCCPRRQNYKKNK - ->NP_942557.1 glycoprotein precusor [Seoul orthohantavirus] -MWSLLLLAALVGQGFALKNVFDMRIQCPHSVKFGETSVSGYTELPPLSLQEAEQLVPESSCNMDNHQSLS -TINKLTKVIWRKKANQESANQNSFELMESEVSFKGLCMLKHRMVEESYRNRRSVICYDLACNSTFCKPTV -YMIVPIHACNMMKSCLIGLGPYRVQVVYERTYCTTGILTEGKCFVPDKAVVSALKRGMYAIASIETICFF -IHQKGNTYKIVTAITSAMGSKCNNTDTKVQGYYICIIGGNSAPVYAPAGEDFRAMEVFSGIITSPHGEDH -DLPGEEIATYQISGQIEAKIPHTVSSKNLKLTAFAGIPSYSSTSILTASEDGRFIFSPGLFPNLNQSVCD -NNALPLIWRGLIDLTGYYEAVHPCNVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRAAEQQISF -VCQRVDMDIIVYCNGQKKTILTKTLVIGQCIYTITSLFSLLPGVAHSIAIELCVPGFHGWATAALLITFC -FGWVLIPACTLAILLVLKFFANILHTSNQENRFKAILRKIKEEFEKTKGSMVCEICKYECETLKELKAHN -LSCVQGECPYCFTHCEPTETAIQAHYKVCQATHRFREDLKKTVTPQNIGPGCYRTLNLFRYKSRCYILTM -WTLLLIIESILWAASAAEIPLVPLWTDNAHGVGSVPMHTDLELDFSLPSSSRYTYKRHLTNPVNDQQSVS -LHIEIESQGIGADVHHLGHWYDARLNLKTSFHCYGACTKYQYPWHTAKCHFEKDYEYENSWACNPPDCPG -VGTGCTACGLYLDQLKPVGTAFKIISVRYSRKVCVQFGEEYLCKTIDMNDCFVTRHAKICIIGTVSKFSQ -GDTLLFLGPMEGGGIIFKHWCTSTCHFGDPGDVMGPKDKPFICPEFPGQFRKKCNFATTPVCEYDGNIIS -GYKKVLATIDSFQSFNTSNIHFTDERIEWRDPDGMLRDHINIVISKDIDFENLAENPCKVGLQAANIEGA -WGSGVGFTLTCQVSLTECPTFLTSIRACDMAICYGAESVTLSRGQNTVKITGKGGHSGSSFKCCHGKECS -LTGLQASAPHLDKVNGISELENEKVYDDGAPECGITCWFKKSGEWVMGIINGNWVVLIVLCVLLLFSLIL -LSILCPVRKHKKS - ->NP_942554.1 glycoprotein precursor (G1-G2) [Dobrava-Belgrade orthohantavirus] -MIMWGLLLTMILIDFGASLRNVYDMKIECPHSINFGESSVTGKVELPPLLLTDAEALVPESSCNMDNHQS -MSIIQKVTKVSWRKKADKAQAAKDSFETTSSEVNLKGTCALSHRMVEESYRNRRSVICYDLSCNSTHCKP -TMHMIVPVHSCNMMKSCLVGLGPYRIQIVYERTYCTTGILTEGKCFVPDQSIVNVIKNGVFDIASVSIVC -FFIRVKGTNYKIMASIKTATANNCNDTDNKVQGYYLCIVGGNSSPVYAPSTTDFRSMEALASLLRAPHGE -DHDLSGEEVATYSIAGQIEGKIPHTANAANMLFTAFSGIPSYSSLSVFIGSQDGPVIYSPGLFPRLNQSS -CDKIALPLIWEGYIDLPGYYETVHPCNVFCVLSGPGASCEAFSEGGIFNITSPTCLVSKQNRFRAAEQQV -NFVCQRVDQDIVIYCNGQKKTILTKTLVIGQCIYSVTSLFSIMPGVAHSIAIELCVPGFHGWATAALLTT -FCFGWILILSITLAVLVVLKFFAAILHNSSQENRFKIILRKIKEEFEKTKGSMVCEVCKYECETGKELKA -HNLSCPQSQCPYCFTHCEPTESAFQAHYKVCQATHRFRDDLKKTITPQSTSPGCYRTLNLFRYKSRCYIF -TVWVTLLIIESIMWAASASETVLEPSWNDNAHGVGVVPMHTDLELDFSLPSSSKYTYKRKLTSPLNQEQS -VDLHIEIESQGISTSVHALGHWFDGRLNLKTSFHCYGACTKYEYPWHTAKCHFERDFEYENNWGCNPADC -PGIGTGCTACGLYIDQLKPVGSAYKLITVRYSRKVCVQFGEENLCKTIDMNDCFVTRHVKVCIIGTVSKF -SQGDTLVFLGPMEGGGLIFKDWCTSTCQFGDPGDIMSPKDKGFSCPDFTGHFRKKCNFATTPVCEYDGNM -VSGYKKVMATIDSFQSFNTSSIHYTDERIEWKDPDGMLKDHLNILVTKDIDFENLGENPCKVGLQTSSIE -GAWGSGVGFTLTCQISLTECSRFLTSIKACDMAICYGAQSVTLIRGQNTVKVSGKGGHSGSSFKCCHGTD -CSQQGLQASAPHLDKVNGIVEQESEKVYDDGAPQCGISCWFVKSGEWITGIFNGNWIVIVVLVFFFILSL -ILLSLLCPIRKHKRS - ->NP_941974.1 glycoprotein precursor [Sin Nombre orthohantavirus] -MVGWVCIFLVVLTTATAGLTRNLYELKIECPHTVGLGQGYVTGSVEITPILLTQVADLKIESSCNFDLHV -PATTTQKYNQVDWTKKSSTTESTNAGATTFEAKTKEINLKGTCNIPPTTFEAAYKSRKTVICYDLACNQT -HCLPTVHLIAPVQTCMSVRSCMIGLLSSRIQVIYEKTYCVTGQLIEGLCFIPTHTIALTQPGHTYDTMTL -PVTCFLVAKKLGTQLKLAVELEKLITGVSCTENSFQGYYICFIGKHSEPLFVPTMEDYRSAELFTRMVLN -PRGEDHDPDQNGQGLMRIAGPVTAKVPSTETTETMQGIAFAGAPMYSSFSTLVRKADPEYVFSPGIIAES -NHSVCDKKTVPLTWTGFLAVSGEIEKITGCTVFCTLAGPGASCEAYSETGIFNISSPTCLVNKVQKFRGS -EQRINFMCQRVDQDVVVYCNGQKKVILTKTLVIGQCIYTFTSLFSLIPGVAHSLAVELCVPGLHGWATTA -LLITFCFGWLLIPAVTLIILKILRLLTFSCSHYSTESKFKVILERVKVEYQKTMGSMVCDICHHECETAK -ELETHKKSCPEGQCPYCMTITESTESALQAHFAICKLTNRFQENLKKSLKRPEVRKGCYRTLGVFRYKSR -CYVGLVWGILLTTELIIWAASADTPLMESGWSDTAHGVGIIPMKTDLELDFALASSSSYSYRRKLVNPAN -QEETLPFHFQLDKQVVHAEIQNLGHWMDGTFNIKTAFHCYGECKKYAYPWQTAKCFFEKDYQYETSWGCN -PPDCPGVGTGCTACGVYLDKLRSVGKAYKIVSLKYTRKVCIQLGTEQTCKHIDVNDCLVTPSVKVCMIGT -ISKLQPGDTLLFLGPLEQGGIILKQWCTTSCVFGDPGDIMSTTSGMRCPEHTGSFRKICGFATTPTCEYQ -GNTVSGFQRMMATRDSFQSFNVTEPHITSNRLEWIDPDSSIKDHINMVLNRDVSFQDLSDNPCKVDLHTQ -SIDGAWGSGVGFTLVCTVGLTECANFITSIKACDSAMCYGATVTNLLRGSNTVKVVGKGGHSGSLFKCCH -DTDCTEEGLAASPPHLDRVTGYNQIDSDKVYDDGAPPCTIKCWFTKSGEWLLGILNGNWVVVAVLIVILI -LSILLFSFFCPVRSRKNKAN - ->NP_941983.1 glycoprotein polyprotein precursor [Puumala orthohantavirus] -MGKSSPVCLYLILQGLLLFDTVNAKNLNELKMECPHTIGLGQGLVVGSVELPPVPIQQIESLKLESSCNF -DLHTSTAGQQSFTKWTWETKGDLAENTQASSTSFQTKSSEVNLRGLCLIPTLVVETAARMRKTIACYDLS -CNQTVCQPTVYLMGPIQTCLTTKSCLLGLGDQRIQVNYERTYCVSGQLVEGVCFNPIHTMALSQPSHTYD -IVTIMVRCFLVIKKVTSGDSMKIEKNFETLVQKTGCTANGFQGYYICLIGSSSEPLYVPTLDDYRSAEVL -SRMAFAPHGEDHDIEKNAVSALRIAGKVTGKAPSTESSDTVQGIAFSGSPLYTSTGVLTAKDDPVYVWAP -GIIMEGNHSVCEKKTLPLTWTGFIPLPGEIEKTTQCTVFCTLAGPGADCEAYSETGIFNISSPTCLINRV -QRFRGAEQQIKFVCQRVDMDITVYCNGVKKVILTKTLVIGQCIYTFTSIFSMIPGIAHSLAVELCVPGLH -GWATVLLLLTFCFGWVLIPTITMILLKILIAFAYLCSKYNTDSKFRILVEKVKKEYQKTMGSMVCEVCQY -ECETAKELESHRKSCSIGSCPYCLNPSEATPSALQAHFKVCKLTSRFQENLKKSLTMYEPMQGCYRTLSL -FRYRSRFFVGLVWCMLLVLELIVWAASAETQNLNDGWTDTAHGSGIIPMKADLELDFSLPSSASYTYRRQ -LQNPANEQEKIPFHLQISKQVIHAEIQHLGHWMDATFNLKTAFHCYGSCEKYAYPWQTAGCFVEKDYEYE -TGWGCNPPDCPGVGTGCTACGVYLDKLKSVGKVFKIVSLRYTRKVCIQLGTGQTCKTVDSNDCLITTSVK -VCLIGTISKFQPSDTLLFLGPLQQGGLIFKQWCTTTCQFGDPGDIMSTPTGMKCPELNGSFRKKCAFATT -PVCQFDGNTISGYKRMVATKDSFQSFNVTEPHISTSALEWIDLDSSLRDHINVIVSRDLSFQDLSETPCQ -VDLTTSATDGAWGSGVGFNLVCTVSLTECSAFLTSIKACHAAMCYGSTTTNLVRGQNTIHVVGKGGHSGS -KFMCCHDTKCSSTGLVAAAPHLDRVTGFNQADSDKIFDDGAPECGMSCWFKKLGEWVLGVLNGNWMVVAV -LIALLILSIFLFALCCPRRPSYKKDHKP - ->NP_941978.1 hypothetical protein HTNVsMgp1 [Hantaan orthohantavirus] -MGIWKWLVMASLVWPVLTLRNVYDMKIECPHTVSFGENSVIGYVELPPVPLADTAQMVPESSCNMDNHQS -LNTITKYTQVSWRGKADQSQSSQNSFETVSTEVDLKGTCVLKHKMVEESYRSRKSVTCYDLSCNSTYCKP -TLYMIVPIHACNMMKSCLIALGPYRVQVVYERSYCMTGVLIEGKCFVPDQSVVSIIKHGIFDIASVHIVC -FFVAVKGNTYKIFEQVKKSFESTCNDTENKVQGYYICIVGGNSAPIYVPTLDDFRSMEAFTGIFRSPHGE -DHDLAGEEIASYSIVGPANAKVPHSASSDTLSLIAYSGIPSYSSLSILTSSTEAKHVFSPGLFPKLNHTN -CDKSAIPLIWTGMIDLPGYYEAVHPCTVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRLTEQQV -NFVCQRVDMDIVVYCNGQRKVILTKTLVIGQCIYTITSLFSLLPGVAHSIAVELCVPGFHGWATAALLVT -FCFGWVLIPAITFIILTVLKFIANIFHTSNQENRLKSVLRKIKEEFEKTKGSMVCDVCKYECETYKELKA -HGVSCPQSQCPYCFTHCEPTEAAFQAHYKVCQVTHRFRDDLKKTVTPQNFTPGCYRTLNLFRYKSRCYIF -TMWIFLLVLESILWAASASETPLTPVWNDNAHGVGSVPMHTDLELDFSLTSSSKYTYRRKLTNPLEEAQS -IDLHIEIEEQTIGVDVHALGHWFDGRLNLKTSFHCYGACTKYEYPWHTAKCHYERDYQYETSWGCNPSDC -PGVGTGCTACGLYLDQLKPVGSAYKIITIRYSRRVCVQFGEENLCKIIDMNDCFVSRHVKVCIIGTVSKF -SQGDTLLFFGPLEGGGLIFKHWCTSTCQFGDPGDIMSPRDKGFLCPEFPGSFRKKCNFATTPICEYDGNM -VSGYKKVMATIDSFQSFNTSTMHFTDERIEWKDPDGMLRDHINILVTKDIDFDNLGENPCKIGLQTSSIE -GAWGSGVGFTLTCLVSLTECPTFLTSIKACDKAICYGAESVTLTRGQNTVKVSGKGGHSGSTFRCCHGED -CSQIGLHAAAPHLDKVNGISEIENSKVYDDGAPQCGIKCWFVKSGEWISGIFSGNWIVLIVLCVFLLFSL -VLLSILCPVRKHKKS - ->NP_604472.1 G1 and G2 surface glycoprotein precursor [Andes orthohantavirus] -MEGWYLVVLGVCYTLTLAMPKTIYELKMECPHTVGLGQGYIIGSTELGLISIEAASDIKLESSCNFDLHT -TSMAQKSFTQVEWRKKSDTTDTTNAASTTFEAQTKTVNLRGTCILAPELYDTLKKVKKTVLCYDLTCNQT -HCQPTVYLIAPVLTCMSIRSCMASVFTSRIQVIYEKTHCVTGQLIEGQCFNPAHTLTLSQPAHTYDTVTL -PISCFFTPKKSEQLKVIKTFEGILTKTGCTENALQGYYVCFLGSHSEPLIVPSLEDIRSAEVVSRMLVHP -RGEDHDAIQNSQSHLRIVGPITAKVPSTSSTDTLKGTAFAGVPMYSSLSTLVRNADPEFVFSPGIVPESN -HSTCDKKTVPITWTGYLPISGEMEKVTGCTVFCTLAGPGASCEAYSENGIFNISSPTCLVNKVQRFRGSE -QKINFICQRVDQDVVVYCNGQKKVILTKTLVIGQCIYTFTSLFSLMPDVAHSLAVELCVPGLHGWATVML -LSTFCFGWVLIPAVTLIILKCLRVLTFSCSHYTNESKFKFILEKVKIEYQKTMGSMVCDVCHHECETAKE -LESHRQSCINGQCPYCMTITEATESALQAHYSICKLTGRFQEALKKSLKKPEVKKGCYRTLGVFRYKSRC -YVGLVWCLLLTCEIVIWAASAETPLMESGWSDTAHGVGEIPMKTDLELDFSLPSSSSYSYRRKLTNPANK -EESIPFHFQMEKQVIHAEIQPLGHWMDATFNIKTAFHCYGACQKYSYPWQTSKCFFEKDYQYETGWGCNP -GDCPGVGTGCTACGVYLDKLKSVGKAYKIISLKYTRKVCIQLGTEQTCKHIDANDCLVTPSVKVCIVGTV -SKLQPSDTLLFLGPLEQGGIILKQWCTTSCAFGDPGDIMSTPSGMRCPEHTGSFRKICGFATTPVCEYQG -NTISGYKRMMATKDSFQSFNLTEPHITTNKLEWIDPDGNTRDHVNLVLNRDVSFQDLSDNPCKVDLHTQA -IEGAWGSGVGFTLTCTVGLTECPSFMTSIKACDLAMCYGSTVTNLARGSNTVKVVGKGGHSGSSFKCCHD -TDCSSEGLLASAPHLERVTGFNQIDSDKVYDDGAPPCTFKCWFTKSGEWLLGILNGNWIVVVVLVVILIL -SIIMFSVLCPRRGHKKTV - ->sp|P41264.1|GP_PUUMB RecName: Full=Envelope glycoprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein G2 -CIQLGTEQTCKSVDSNDCLVTTSVKVCLIGTVSKFQPSDTLLFLGPLEQGGLIFKQWCTTTCQFGDPGDI -MSTPVGMKCPELSGSFRKKCAFATTPVCQFDGNTISGYKRMIATKDSFQSFNVTEPHISASSLEWIDPDS -SLRDHINVIVGRDLSFQDLSETPCQVDLTTTSIDGAWGSGVGFNLICSVSLTECSTFLTSIKACDSAMCY -GSTTANLLRGQNTVHIVGKGGHSGSKFMCCHDTKCSSTGLIAAAPHLDRVTGYNQADSDKIFDDG - ->sp|P41266.1|GP_PUUMP RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MGELSPVCLCLLLQGLLLCNTGAARNLNELKMECPHTIRLGQGLVVGSVELPSLPIQQVETLKLESSCNF -DLHTSTAGQQSFTKWTWEIKGDLAENTQASSTSFQTKSSEVNLRGLCLIPTLVVETAARMRKTIACYDLS -CNQTVCQPTVYLMGPIQTCITTKSCLLSLGDQRIQVNYEKTYCVSGQLVEGICFNPIHTMALSQPSHTYD -IMTMMVRCFLVIKKVTSGDSMKIEKNFETLVQKNGCTANNFQGYYICLIGSSSEPLYVPALDDYRSAEVL -SRMAFAPHGEDHDIEKNAVSAMRIAGKVTGKAPSTESSDTVQGIAFSGSPLYTSTGVLTSKDDPVYIWAP -GIIMEGNHSICEKKTLPLTWTGFISLPGEIEKTTQCTVFCTLAGPGADCEAYSETGIFNISSPTCLINRV -QRFRGSEQQIKFVCQRVDMDITVYCNGMKKVILTKTLVIGQCIYTFTSIFSLIPGVAHSLAVELCVPGLH -GWATMLLLLTFCFGWVLIPTITMILLKILIAFAYLCSKYNTDSKFRILIEKVKREYQKTMGSMVCEVCQY -ECETAKELESHRKSCSIGSCPYCLNPSEATTSALQAHFKVCKLTSRFQENLRKSLTVYEPMQGCYRTLSL -FRYRSRFFVGLVWCVLLVLELIVWAASAETQNLNAGWTDTAHGSGIIPMKTDLELDFSLPSSASYTYRRQ -LQNPANEQEKIPFHLQLSKQVIHAEIQHLGHWMDATFNLKTAFHCYGSCEKYAYPWQTAGCFIEKDYEYE -TGWGCNPPDCPGVGTGCTACGVYLDKLKSVGKVFKIVSLRYTRKVCIQLGTEQTCKTVDSNDCLITTSVK -VCLIGTISKFQPSDTLLFLGPLQQGGLIFKQWCTTTCQFGDPGDIMSTPTGMKCPELNGSFRKKCAFATT -PVCQFDGNTISGYKRMIATKDSFQSFNVTEPHISTSALEWIDPDSSLRDHINVIVSRDLSFQDLSETPCQ -IDLATASIDGAWGSGVGFNLVCTVSLTECSAFLTSIKACDAAMCYGSTTANLVRGQNTIHIVGKGGHSGS -KFMCCHDTKCSSTGLVAAAPHLDRVTGYNQADSDKIFDDGAPECGMSCWFKKSGEWILGVLNGNWMVVAV -LVVLLILSILLFTLCCPRRPSYRKEHKP - ->sp|Q83887.1|GP_NYV RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MVGWVCISLVVLATTTAGLTRNLYELKIECPHTVGLGQGYVTGSVETTPILLTQVTDLKIESSCNFDLHV -PSTSIQKYNQVEWAKKSSTTESTSAGATTFEAKTKEVSLKGTCNIPVTTFEAAYKSRKTVICYDLACNQT -HCLPTVHLIAPVQTCMSVRSCMIGLLSSRIQVIYEKTYCVTGQLVEGLCFIPTHTIALTQPGHTYDTMTL -PITCFLVAKKLGTQLKIAVELEKLITASGCTENSFQGYYICFLGKHSEPLFVPMMDDYRSAELFTRMVLN -PRGEDHDPDQNGQGLMRIAGPITAKVPSTETTETMQGIAFAGAPMYSSFSTLVRKADPDYVFSPGIIAES -NHSVCDKKTIPLTWTGFLAVSGEIEKITGCTVFCTLVGPGASCEAYSETGIFNISSPTCLVNKVQKFRGS -EQRINFMCQRVDQDVIVYCNGQKKVILTKTLVIGQCIYTFTSLFSLIPGVAHSLAVELCVPGLHGWATTA -LLITFCFGWLLIPTITMIILKILRLLTFSCSHYSTESKFKAILERVKVEYQKTMGSMVCDVCHHECETAK -ELETHKKSCPEGQCPYCMTMTESTESALQAHFSICKLTNRFQENLKKSLKRPEVKQGCYRTLGVFRYKSR -CYVGLVWGVLLTTELIVWAASADTPLMESGWSDTAHGVGIVPMKTDLELDFALASSSSYSYRRKLVNPAN -KEETLPFHFQLDKQVVHAEIQNLGHWMDGTFNIKTAFHCYGECKKYAYPWQTAKCFFEKDYQYETSWGCN -PPDCPGVGTGCTACGVYLDKLRSVGKAYKIVSLKFTRKVCIQLGTEQTCKHIDVNDCLVTPSVKVCLIGT -ISKLQPGDTLLFLGPLEQGGIILKQWCTTSCVFGDPGDIMSTTTGMKCPEHTGSFRKICGFATTPTCEYQ -GNTISGFQRMMATRDSFQSFNVTEPHITSNRLEWIDPDSSIKDHINMVLNRDVSFQDLSDNPCKVDLHTQ -SIDGAWGSGVGFTLVCTVGLTECANFITSIKACDSAMCYGATVTNLLRGSNTVKVVGKGGHSGSLFKCCH -DTDCTEEGLAASPPHLDRVTGYNQIDSDKVYDDGAPPCTIKCWFTKSGEWLLGILNGNWVVVAVLIVILI -LSILLFSFFCPIRGRKNKSN - ->sp|P17880.1|GP_SEOUS RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MWSLLLLAALVGQGFALKNVFDMRIQLPHSVNFGETSVSGYTEFPPLSLQEAEQLVPESSCNMDNHQSLS -TINKLTKVIWRKKANQESANQNSFEVVESEVSFKGLCMLKHRMVEESYRNRRSVICYDLACNSTFCKPTV -YMIVPIHACNMMKSCLIGLGPYRIQVVYERTYCTTGILTEGKCFVPDKAVVSALKRGMYAIASIETICFF -IHQKGNTYKIVTAITSAMGSKCNNTDTKVQGYYICIIGGNSAPVYAPAGEDFRAMEVFSGIITSPHGEDH -DLPGEEIATYQISGQIEAKIPHTVSSKNLKLTAFAGIPSYSSTSILAASEDGRFIFSPGLFPNLNQSVCD -NNALPLIWRGLIDLTGYYEAVHPCNVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRAAEQQISF -VCQRVDMDIIVYCNGQKKTILTKTLVIGQCIYTITSLFSLLPGVAHSIAIELCVPGFHGWATAALLITFC -FGWVLIPACTLAILLVLKFFANILHTSNQENRFKAILRKIKEEFEKTKGSMVCEICKYECETLKELKAHN -LSCVQGECPYCFTHCEPTETAIQAHYKVCQATHRFREDLKKTVTPQNIGPGCYRTLNLFRYKSRCYILTM -WTLLLIIESILWAASAAEIPLVPLWTDNAHGVGSVPMHTDLELDFSLPSSSKYTYKRHLTNPVNDQQSVS -LHIEIESQGIGAAVHHLGHWYDARLNLKTSFHCYGACTKYQYPWHTAKCHFEKDYEYENSWACNPPDCPG -VGTGCTACGLYLDQLKPVGTAFKIISVRYSRKVCVQFGEEHLCKTIDMNDCFVTRHAKICIIGTVSKFSQ -GDTLLFLGPMEGGGIIFKHWCTSTCHFGDPGDVMGPKDKPFICPEFPGQFRKKCNFATTPVCEYDGNIIS -GYKKVLATIDSFQSFNTSNIHFTDERIEWRDPDGMLRDHINIVISKDIDFENLAENPCKVGLQAANIEGA -WGSGVGFTLTCKVSLTECPTFLTSIKACDMAICYGAESVTLSRGQNTVKITGKGGHSGSSFKCCHGKECS -STGLQASAPHLDKVNGISELENEKVYDDGAPECGITCWFKKSGEWVMGIINGNWVVLIVLCVLLLFSLIL -LSILCPVRKHKKS - ->sp|P28729.1|GP_SEOUR RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MWSLLLLAALVGQGFALKNVFDMRIQCPHSANFGETSVSGYTELPPLSLQEAEQLVPESSCNMDNHQSLS -TINKLTKVVWRKKANQESANQNSFEVVESEVSFKGLCMLKHRMVEESYRNRRSVICYDLACNSTFCKPTV -YMIVPKHACNMMKSCLIGLVPYRIQVVYERTYCTTGILTEGKCFVPDKAVVSALKRGMYAIASIETICFF -IHQKGNTYKIVTAITSAMGSKCNNTDTKVQGYYICIIGGNSAPVYAPAGEDFRAMEVFSGIITSPHGEDH -DLPAEEIATYQISGQIEAKIPHTVSSKNLKLIAFAGIPSYSSTSILAASEDGRFIFSPGLFPNLNQSVCD -NNALPLIWRGLIDLTGYYEAVHPCNVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRAAEQQISF -ICQRVDMDIIVYCNGQKKTILTKTLVMASAFILLQVSFHCYQGLPIAIAIELCVPGFHGWATAALLITFC -FGWVLIPACTLAILLVLKFFANILHTSNQENRFKAILRKIKEEFEKTKGSMGCEICKYECETLKELKAHN -LSCVQGECPYCFTHCEPTETATQAHYKVCQATHRFREDLKKTVTPKKYWARLYRTLNLFRYKSRCYILTM -WTLLLIIESILWAASAAEIPLVPLWTDNAHGVGSVPMHRNTYELDFSFPSSSKYTYKRHLTNPVNDQQSV -SLHIEIESQGIGADVHHLGHWYDARLNLKTSFHCYGACTKYQYPWHTAKCHFEKDYEYENSWACNPPDCP -GVGTGCTACGLYLDQLKPVATPFRIISVRYSRKVCVQFGEEYLCKTIDMNDCFVTRHAKICIIGTVSKFS -QGDTLLFLGPMEGGGIIFKHWCTSTCHFGDPGDVMGPKDKPFICPEFPGQFRKKCNFATTPICEYDGNII -SGYKKVLATIDSFQSFNTSNIHFTDERIEWRDPDGMLRDHINIVISKDIDFENLAENPCKVGLQAANIEG -AWGSGVGFTLTCQVSLTECPTFLTSIKACDMAICYGAESVTLSRGQNTVRITGKGGHSGSSFKCCHGKEC -SSTGLQASAPHLDKVNGISELENEKVYDDGAPECGVTCWFKKSGEWVMGIINGNWVVLIVLCVLLLFSLI -LLSILCPVRKHKKS - ->sp|P16853.1|GP_HANTL RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MGIWKWLVMASLVWPVLTLRNVYDMKIECPHTVSFGENSVIGYVELPPMPLADTAQLVPESSCSMDNHQS -LNTITKYTQVSWRGKADQSQSSQTSFETVSTEVDLKGTCVLKHKMVEESYRSRKSITCYDLSCNSTYCKP -TLYMIVPIHACNMMKSCLIALGPYRVQVVYERTYCMTGVLIEGKCFVPDQSVVSIIKHGIFDIASVHIVC -FFVAVKGNTYKIFEQVKKSFESTCNDTENKVQGYYICIVGGNSAPIYVPTLDDFRSMEAFTGIFRSPHGE -DHDLAGEETATYSIVGPANAKVPHSASSDTLSLIAFSGIPSDSSLSILTSSTEAKHVFSPGLFPKLNHTN -CDKGAIPLMWTGMIDLPGYYEAIHPCTVFCVLSGPGASCEAFSEGGIFNITYPMCLVSKQNRFRLTEQQV -NFVCQRVDVDIVVYCNGQRKVILTKTLVIGQCIYTITSLFSLLPGVAHSIAVELCVPGFHGWATAALLVT -FCFGWVLIPAITFIILTILKFIANIFHTSNQENRLKSVLRKIKEEFEKTKGSMVCDVCKYECETYKELKA -HGVSCPQSQCPYCFTHCEPTEAAFQAHYKVCQVTHRFRDDLKKTVTPQNFTPGCYRTLNLFRYKSRCYIF -TMWIFLLVLESILWAASASETPLTPVWNDNAHGVGSVPMHTDLELDFSLTSSSKYTYRRKLTNPLEEAQS -IDLHIEIEEQTIGVDVHALGHWFDGRLNLKTSFHCYGACTKYEYPWHTAKCHYERDYQYETSWGCNPSDC -PGVGTGCTACGLYLDRLKPVGSAYKIITIRYSRRVCVQFGEENLCKIIDMNDCFVSRHVKVCIIGTVSKF -SQGDTLLFFGPLEGGGLIFKHWCTSTCQFGDPGDIMSPRDKGFLCPEFPGSFRKKCNFATTPICEYDGNM -VSGYKKVMATIDSFQSFNTSTMHFTDERIEWKDPDGMLRDHINILVTKDIDFDNLGENPCKIGLQTSSIE -GAWGSGVGFTLTCLVSLTECPTFLTSIKACDKAICYGAESVTLTRGQNTVKVSGKGGHSGSTFKCCHGED -CSQIGLHAAAPHLDKVNGISEMENSKEYDDGAPQCGIKCWFVKSGEWISGIFSGNWIVLIVLCVFLLFSL -VLLSILCPVRKHKKS - ->sp|P16493.1|GP_HANTH RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MGIWKWLVMASLVWPVLTLRNVYDMKIECPHTVSFGENSVIGYVELPPMPLADTAQLVPESSCSMDNHQS -LNTITKYTQVSWRGKADQSQSSQNSFETVSTEVDLKGTCVLKHKMVEESYRSRKSITCYDLSCNSTYCKP -TLYMIVPIHACNMMKSCLIALGPYRVQVVYERTYCMTGVLIEGKCFVPDQSVVSIIKHGIFDIASVHIVC -FFVAVKGNTYKIFEQVKKSFESTCNDTENKVQGYYICIVGGNSAPIYVPTLDDFRSMEAFTGIFRSPHGE -DHDLAGEETATYSIVGPANAKVPHSASSDTLSLIAFSGIPSYSSLSILTSSTEAKHVFSPGLFPKLNHTN -CDKGAIPLMWTGMIDVPRYYDGIHPFTVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRLTEQQV -NFVCQRVDVDIVVYCNGQRKVILTKTLVIGQCIYTITSLFSLLPGVAHSIAVELCVPGFHGWATAALLVT -FCFGWVLIPAVTFIILAILKFIANIFHTSNQENRLKSVLRKIKEEFEKTKGSMVCDVCKYECETYKELKA -HGVSCPQSQCPYCFTHCEPTEAAFQAHYKVCQVTHRFRDDLKKTVTPQNFTPGCYRTLNLFRYKSRCYIF -TMWIFLLVLESILWAASASETPLTPVWNDNAHGVGSIPMHTDLELDFSLTSSSKYTYRRKLTNPLEAQSI -DLHIEIEEQTIGVDVHALGHWFDGRLNLKTSFHCYGACTKYEYPWHTAKCHYERDYQYETSWGCNPSDCP -GCGTGCTACGLYLDRLKPVGSAYKIITIRYSRRVCVQFGEENLCKIIDMNDCFVSRHVKVCIIGTVSKFS -QGDTLLFFGPLEGGGLIFKHWRTSTCQFGDPGDIMSPRDKGFLCPEFPGSFRKKCNFATTPICEYDGNMV -SGYKKVMATIDSFQSFNTSTMHFTDERIEWKDPDGMLRDHINILVTKDIDFDNLGENPCKIGLQTSSIEG -AWGSGVGFTLTCLVSLTECPTFLTSIKACDKAICYGAESVTLTRGQNTVKVSGKGGHSGSTFKCCHGEDC -SPNGLHAAAPHLDKVNGISEIENSKEYDDGAPQCGIKCWFVKSGEWISGIFSGNWIVLIVLCVFLLFSLV -LLSILCPVRKHKKS - ->sp|Q09120.1|GP_PUUMU RecName: Full=Envelope glycoprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein G2 -CQFDGNTISGYKRMIATKDSFQSFNVTEPHISASALEWIDPDSSLRDHINVIVSRDLSFQDLSETPCQID -LSTASIDGAWGSGVGFNLVCTVSLTECSAFLTSIKACDAAMCYGSTTANLVRGQNTIHIVGKGGHSGSKF -MCCHDTKCSTTGLVAAAPHLDRVTGYNQADSDKIFDDGAPECGMSCWFKKSGEWILGVLNGNWMVVAVLI -ALLILSILLFTLCCPRRPSYRKEHKP - ->sp|P28728.1|GP_HANTB RecName: Full=Envelopment polyprotein; AltName: Full=M polyprotein; Contains: RecName: Full=Glycoprotein N; Short=Gn; AltName: Full=Glycoprotein G1; Contains: RecName: Full=Glycoprotein C; Short=Gc; AltName: Full=Glycoprotein G2; Flags: Precursor -MWSLLLLAALVGQGFALKNVFDMRIQCPHSVNFGETSVSGYTELPPLSLQEAEQLVPESSCNMDNHQSLS -TINKLTKVIWRKKANQESANQNSFEVVESEVSFKGLCMLKHRMVEESYRNRRSVIYYDLAGNSTFCKPTV -YMIVPIHACNMMKSCLIGLGPYRIQVVYERTYCTTGILTEGKCFVPDKAVVSALKRGMYAIASIETICFF -IHQKWNKYKIVTAITSAMGSKCNNTDTKVQGYYICIIGGNSAPVYAPAGEDFRAMEVFSGIITSPHGEDH -DLPGEEIATYHISGQIEAKIPHTVSSKNLRLAAFAGIPSYSSTSILAASEDGRFIFSPGLFPNLNQSVCD -NNALPLIWRGLIDLTGYYEAVHPCNVFCVLSGPGASCEAFSEGGIFNITSPMCLVSKQNRFRAAEQQISF -VCQRVDMDIIVYCNGQKKTILTKTLVIGQCIYTITSLFSLLPGVAHSIAIELCVPGFHGWATAALLITFC -FGWVLIPACTLAILLVLKFFANILHTSNQENRFKAILRKIKEEFEKRKGSMVCEICKYECETLKELKAHN -LSCVQGECPYCFTHCEPTETAIQAHYKVCQATHRFREDLKKTVTPQNIGPGCYRTLNLFRYKSRCYILTM -WTLLLIIESILWAASAAEIPLVPLWTDNAHGVGSVPMHTDLELDFSLPSSSKYTYKRHLTNPVNDQQSVS -LHIEIESQGIGADVHHLGHWYDARLNLKTSFHCYGACTKYQYPWHTAKCHFEKDYEYENSWACNPPDCPG -VGTGCTACGLYLDQLKPVGTAFKIISVRYSRKVCVQFGEEHLCKTIDMNDCFVTRHAKICIIGTVSKFSQ -GDTLLFLGPMEGGGIIFKHWCTSTCHFGDPRDVMGPKDKPFICPEFPGQFRKKCNFATTPVCEYDGNIIS -GYKKVLATIDSFQSFNTSNIHFTDERIEWRDPDGMLRDHINIVISKDIDFENLAENPCKVGLQAANIEGA -WGSGVGFTLTCQVSLTECPTFLTSIKACDMAICYGAESVTLSRGQNTVKITGKGGHSGSSFKCCHGKECS -STGLQASAPHLDKVNGISELENEKVYDDGAPECGVTCWFKKSGEWVMGIINGNWVVLIVLCVLLLFSLIL -LSILCPVRKHKKS - diff --git a/seq/clusters_seq/cluster_620 b/seq/clusters_seq/cluster_620 deleted file mode 100644 index 88b354b..0000000 --- a/seq/clusters_seq/cluster_620 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009505594.1 putative structural protein [Dak Nong virus] -MLKSMLCIRTQPLKVNTCITSPYYHDNLTAVIRSSPDADTSKLQARINSDNRRRWLFNKLSNFITCSYRL -ATFLYYIFTALYYGFCLIMFYILWIYFTQLTNQIKLIYHNFSNPYN - ->YP_009026383.1 ORF 3b [Casuarina virus] -MLKSMLCIRTQTPNLSHCTTSPYYHEGLTAAIRSCPQADTSKLTSRIASDNRVRWLADKLSTTITYSYKL -ATFLYYIFTILYYGFCLIMLYILWVYFSNLTNQIKLIYHHISDPY - ->YP_007697647.1 ORF3b [Alphamesonivirus 4] -MLRSMLCIRTAHHTSQTTCITSPYYLESLTAAIRLSPQQSDLEMDNQLLNRINKQNRLRRMYEITVNTIS -CSYKIANFLYYIFTILYYGFCLIMIYILWVYFNKLTNQIQHIYHDFSKPYS - ->YP_007697641.1 ORF3b [Alphamesonivirus 3] -MLRLLFCMNQAPPKESVPSRTSPYFQGTTTEASNYYPSENLIDGINRSNKLRRMYNITANIITYSYKLAH -LLYYIFTILYYGFCLIALYIIWIYFTKLTNQVNLIYQNFSNPYS - ->YP_007697634.1 ORF3b [Alphamesonivirus 2] -MLKSMLCIRTQPQSQNHCITSPYYHGNLTAVIPSSQDGRIAKLQSRIKSENRVRWLFNNFLFTITCSYKL -ATFLYYIFTTLYYGFCLIIFYILWIYLTKLTNQVKLIYHNFSDPYS - ->YP_004767310.1 ORF4 gene product [Nam Dinh virus] -MLKSMLFIRTQPLKQNNCTTSPYYHGSLTAVIRSSPDDRINKLQSRIQSENRLRWLFNNFSNCITCSYKL -ATFLYYIFTAIYYGFCLIMLYILWIYFTQLTNQIKLVYHNFSNPYN - ->YP_004598986.1 hypothetical protein CAVV_gp6 [Cavally virus] -MLKSMLCIRTQHQRQNNCTTSPYYHGSLTAVIRSSQDDRIDKLQSRIKSENRFRWLFNNFSNFIACSYKL -ATFLYYIFTAIYYGFCLIMLYILWIYFTQLTNQIKLVYHNFSNPYS - diff --git a/seq/clusters_seq/cluster_621 b/seq/clusters_seq/cluster_621 deleted file mode 100644 index 042b476..0000000 --- a/seq/clusters_seq/cluster_621 +++ /dev/null @@ -1,65 +0,0 @@ ->YP_009342299.1 hypothetical protein 2 [Wenzhou tombus-like virus 14] -MEETRAKIRSRYHRAYLTLKSGKKWLFDKSLAKVKSFVKFEKMSMEAYDERKPARLIQHRSYEYLYLLKK -YMFPLSKALKNCTTKLDTGQPINTIFASGLNSKQLGERIHQMFTQFPNCVALCLDHSKWDGHFNKELMEL -AHNFWKTLINHLKRFVKMVITLLVMQKKNYATTQHLLNYIFDAIRCSGEWTTAIENSKVNYTILKAVFPS -AWILVNGDDSIVFIDRSEFNKLNIADILQEFKIFGQETKLDRVATCMEEISFCQCSPINFGEYYKMVRDP -IRAMSRAAYTSIEMTKDLLPRYLSGLGLCELSANSGVPILQSFALHLITKGDSSSPTTAVDRYPYAFEED -LRVIDVSYQTRHSFELAFGMSIPEQLEYERMFQHNVSSSYLEKFKHFHQAERVGW - ->YP_009337060.1 hypothetical protein 2 [Changjiang tombus-like virus 18] -MSRQYRSVCGGIKQMGYTDIIRNTRTGLRKRYRRAFSNLWDRRMEYDPRFARISAFVKYEKMDISKLHEG -KPPRLIQFRSYEYTYLLKSFILNHSLAIKTNSIYFNNQPVDTIFSKIHDSFGVARILRENWEQFSEPVAI -CLDHSKFDGHYNTTLLELEHSYWRSLSSSRILKLLLKDQLSNKCITANNIKYKSRGSRCSGEYTTSEGNT -LMNYAMLSSWLKFSGITDFRITVNGDDSVVFIERKDQTKLADLSFFRNFNMETECDRIAEDFREISFCQA -SPIRISKEGQPTWFMVKEPFRAITRATYADYKHRQCIDRLLTAQGLCEIAVNSGVPMLQEFALLLMSYGS -LKPLGSIDKMPAKTSGRWLSSYVDIPDYTRSDFEIAFGVSKAEQQFIENSFAGQLRQPKSKILQTLLKYQ -NFIKN - ->YP_009336823.1 hypothetical protein 2 [Hubei tombus-like virus 23] -MGICLGSLEPSKLIKAKILNIKTDPCQHKTYIYPLINPPPGIDHQTQVVYQPCQLNELHSLNKRHLVFHK -WPKEQLVNEAIQHTKQLLKDGENVQPITPMEYAMTRKGAKRRRYQQAAEELDYYGMKPSATNVTAFIKIE -KWDREVAMVKSPRMIQYRHPTYVSTIASRLYPFEEKLWETERNGCRVFAKGLDSYQTAAQLRMKWERCDR -PIAIMKDFSKFDSCITLPWIKGEKEIYELTGTMDEMDYQFNNTCSTVNGVSYKCEARKMSGEYNTSCGDS -IVNWSATDKAFHMITGHYRYYPNINGDDDVMIVDESEIGDIEEFLPKLIENLELLGFKTDASYTRTFEEI -EFCQSQPVEVESNIWRMIRKPARAISRDCYSVRKYGGTAWYRLAASLGYCEYALNDGVPVLQSWAKYLQR -SSKGKKVLNQEIEYRVKVEIKNKTKYRTTIDPVARESFAIAFGIEPTQQIAIESWLDNQESPELLPYSEG -YWG - ->YP_009336784.1 hypothetical protein 2 [Beihai tombus-like virus 13] -MVPICRGVQHLLENHPQDENASPDLLLDTRNLGFHRQSYHYKLFNLPPSMECMLEKQTFYNNCYCNEYNA -MIGRHFTPDLPEKSSIPALTELFEKENIKYCDSMKELLFSTGGYNPPSYASVISNTKGAKKKRYQRAYDN -ILNRRILFDNRHSRVNFFVKLEKWPISKVEKEKPPRGIQFRSYEYLLALKRLITPMVDLTKTNKTFEHNP -PFNPQCVFTKNNTPQVIAANFLEAWQKYKTPTAVCLDHSCFDGHYSHELLTYEMQRYQRISGCKNNSLLM -RLLKRQLTNTGYSAGGIKYKVKGKRCSGEFTTSHGNGETNYLMIRSILLFLGIMEFNIFVNGDDSVIICN -FEDVDRIVKNLELFRNFNMSTEVEHIATVFEEITFCQTSPVLVNGTYKMVRKPMRVLSRLPYSSTNWASV -LDRFMVSVGLCELSINIGVPILQELAIWLIRKGGSDRPLTTHKTDHYDAQAVLKIENIDQSTRESFNLAF -GIDPHEQLRLEKYLRDDYCDHPDQIQTLLTKLNYKLY - ->YP_009336610.1 hypothetical protein 2 [Hubei tombus-like virus 25] -MPYAPMEDHVGAVTQCKRINDVEDWSWECSGHRLTTVLKTNVDLVDSHKMSTYQQILTTTIIPGTEQQTY -WNRCACNELDGLDRRHYPVPLPELFEPANDLQLRYVENWRRYIDGLLDTQPYKPVSHGEMMATCRPSIKK -RYQKAFEDIIRERTIFDKSTSYVKAFIKFEKVPIGKVETGKAPRCIQYRDFKYMYAFKRAFLPITKAIKN -CSVKNLFGQPVNTIFTKNLLGSQIAESMRSLWDSFSFCVGVCLDHRNWDGHYDRPLMAVSRNAWLTYEAG -GANKEKRRTLLSRCLDEQFCTRGNTSNGVRYTARGKRCSGEYTTSDENGSANKHILESVFSYLIDLLISE -GKIDADREWKVYFSINGDDSVSYMEFDLWKAISAIPNWMQLFRNLNQETEMEIAALNFEQISYCQSSPVY -VGDRWLMVKSPMRALSRIAYTDKKLDEKTVLRYYRSLGLCELAISAGVPLLQHLSLRLLELAQGARPIGG -VDKTLAKSMNQGRIAYAEILMSTRFSFQAAFGISPREQILIEKIISGRNYNSRQARLLIGKYETYHLH - ->APG76161.1 hypothetical protein 2 [Beihai tombus-like virus 13] -MVPICRGVQHLLENHPQDENANLDLLLDTRNLGFHRQSYHYKLFNLPPSIECMLEKQTFYNNCYCNEYNA -MVGRHFTPDLPEKSSIPALTELFEKENVKYCDSMKELLFSTGGYNPPSYASVISNTKGAKKKRYQRAYDN -ILNRRILFDNRHSRVNFFVKLEKWPISKVEKEKPPRGIQFRSYEYLLALKRLITPMVDLTKTNKTFEHNP -PFNPQCVFTKNNTPEVIAANFLEAWRKYKTPTAVCLDHSCFDGHYSHELLTYEMQRYQRISGCKNNSLLM -RLLKRQLMNTGYSAGGIKYKVKGKRCSGEFTTSHGNGETNYLMIRTILLFVGITEFNIFVNGDDSVIICN -FEDVDRIVKNLELFRNFNMSTEVEHIATVFEEITFCQTSPVLVNGTYKMVRKPMRVLSRLPYSSTNWASV -LDRFMVSVGLCELSINIGVPVLQELAIWLIRKGGSDRPLTTHKTDHYDAQAVLKIEDIDQSTRESFNLAF -GIDPHEQLRLEKYLRDDYCDHPDHVQTLLTKLNYKLY ->APG76620.1 hypothetical protein 2 [Wenzhou tombus-like virus 13] -MVANNFRICPVDGKLSKAHKILQFKSDIIEIENYGIFNQNVENEFDALSNRHLGFNTRPMLFSDIVLLND -QYDLIADSIKDVHYQPLSHTDLIKSKSGPLRKRYDKVYKALLDGERIRHKVEAFIKHERFTEEKLETKPP -RLIQHRSYEFVYLLNKYLQPLDKALMNSDNLVGEQTIRSHFGKAKSMEDIGEQIHELWKEFENPVALCVD -QKVFDAHYMVAHHLGEQRIYRQFKEIKDNIGFLLDKLYYPCQAVTQCGISYTIRGERCSGEYTTSMGNSC -TNIAIIRSVMILNNINKFRIVVNGDDSIILIEQKDLAGFNVNLFRRFGMDPKLDRVAFTMEEIEFCQCSP -ILFNGKYKLIRNPSRVIGKACLMIGDYAKCIDRYIASIGLCELALNAGTPVLQDFALCLIALSNGARPLD -SCVDYKARFEPKLDVKAIGLDSRVSFENAFNISVADQIKLETDLKAIVKSIGKNLQSKNNIKQFIEKYNK -FHIRKRDINV diff --git a/seq/clusters_seq/cluster_622 b/seq/clusters_seq/cluster_622 deleted file mode 100644 index cccc81a..0000000 --- a/seq/clusters_seq/cluster_622 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_009380539.1 hemagglutinin esterase [Goat torovirus] -MLSLIFFFPSFAFAATPVTPYYGPGHITFDWCGFGDSRSDCTNPSSPMSLDIPQQLCPKFSSKSSSSMFL -SLHWNNHSSFVSYDYFNCGVEKIFYEGVNFSPRRQYSCWDEGVGGWIELKTRFYAKLYQMATTSRCIKLI -QLQAPSNLPTLQAGVCRTNKQLPDNPRLAVLNDTVPTSVQFVLPGSSGTTICTKHLVPFCYLNHGCFTAG -GSCVPFGVSYMSDSFYYGYYDATPQVGSTESHDYVCDYLLMEPGTYNASTVGKFLVYPTKSYCMDTMNIT -VPVQAVQSIWSEQYASDDAIGQACKAPYCIFYNKTIPYTVTNGSDANHGDDEVRMMMQGLLRNSSCISPQ -GSTPLALYSTEMIYEPNYGSCPQFYKLFDISGNENIDVISSSYFVATWVLLVVVVILIFVIISFFVSSV - ->YP_008798234.1 hemagglutinin esterase [Porcine torovirus] -MLRMSRVRGPQCILIFIFSVAFAAKPITPHYGPGHITSDWCGFGDSRSDCTNPSTPKSLDISEQLCPKFS -SKTGSSMFISLHWNNSDTFTVFNYSNCGVEKVFYEGVNFSPHRNYTCYHEGSSGWVNNKASFYTKLYQMS -TTSRCIKLITLKPPENIPQHSPGMCNPQTNKIPDNPRLITLTNNGSLSIQFSLPVQFDGTNCTKHLVPFC -YIDGGCFQTSGYCHPFGYSYSSSAFYYGFYTEGIPVGKHNYICDYLEMQPGLYNATTFGKFLLYPTKTYC -MDTMNITVPVQAVQSIWSQSRQSDDAIGMACKSPYCIFYNKTKPYLAPNGADVNHGDEEVREMMQGLLLN -SSCISPQGSTPLALYSSEMIYTPNYGSCPQYYKLFETSSDENVDVTSSAYFVATWVLLVLVIILIFILIS -FCLSSY - ->YP_337909.1 hemagglutinin esterase [Breda virus] -MLSLILFFPSFAFAATPVTPYYGPGHITSDWCGFGDSRSDCTNPQSPMSLDIPQQLCPKFSSKSSSSMFL -SLHWNNHSSFVSYDYFNCGVEKVFYEGVNFSPRKQYSCWDEGVGGWIELKTRFYTKLYQMATISRCIKLI -QLQAPSSLPTLKAGECRTKKQLPDNPRLALLSDTVPTSVQFVLPGSSGTTICTKHLVPFCYLNHGCFTTG -GSCRPFGVSYVSDSFYYGYYDATSQIGLTESHDYVCDYLFMEPGTYNASTVGKFLVYPTKSYCMDTMNIT -VPVQAVQSIWSEQYASDDAIGQACKAPYCIFYNKTTPYTVTNGSDANHGDDEVRMMMQGLLRNSSCISPQ -GSTPLALYSTEMIYEPNYGSCPQFYKLFDTSGNENIDVISSSYFVATWVLLVVVVILIFVIISFFVSSV - ->sp|P31964.1|HEMA_BEV RecName: Full=Truncated non-functional hemagglutinin-esterase homolog -MNFTVPVQAIQSIWSVGKESDDAIAEACKPPFCIYFSKKTPYTVTNGSNADHGDDEVRQMMRGLLYNSSC -ISAQGHTPLALYSTAMLYPPMYGSCPQYVKLFDGSGSESVDVISSSYFVATWVLLVVVIILVFIIISFCI -SN - ->sp|Q9Q9G3.1|HEMA_HUTV RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MLSLILFFPSFAFAVTPVTPYFGPLYITFNCCLFGDSRSDCTKVQSPMSLDNPQNFCPNFSLKSSSSMFF -SIHYNNHSSLVLFDNFNCRIEKVYYNGVNLSPRNQYSCYDEGVDSYMELKTSFNIKLNQMATILRCIKLI -QLKARSSFTTLQDVVCRTNKYLPNNPTFALLSDTVPTWVQFVLPDLSGKTICIKYLVPFCHLNHGCFTAG -SSCPPFGVSYVSDSFNYGFNDATPYIGLAESHDNVCDYLFVEAGTHNASIVGNFLFYPTKSYCFNTMNFT -VPVQAIQSIWSEGNESDDAIAEACKPPFCIYYSKTTPYTVTNGSNADHRDDEVRMMVRGLLYNSSCISAQ -GSTPLALYSTAMLYAPIYGSCPQYVKLFDTSGSESVDVISSSYFVATWVLLVVVVILIFVIISFFC - ->sp|P0C0W0.1|HEMA_BRV2 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MLSLILFFPSFAFAATPVTPYYGPGHITFDWCGFGDSRSDCTNPQSPMSLDIPQQLCPKFSSKSSSSMFL -SLHWNNHSSFVSYDYFNCGVEKVFYEGVNFSPRKQYSCWDEGVDGWIELKTRFYTKLYQMATTSRCIKLI -QLQAPSSLPTLQAGVCRTNKQLPDNPRLALLSDTVPTSVQFVLPGSSGTTICTKHLVPFCYLNHGCFTTG -GSCLPFGVSYVSDSFYYGYYDATPQIGSTESHDYVCDYLFMEPGTYNASTVGKFLVYPTKSYCMDTMNIT -VPVQAVQSIWSEQYASDDAIGQACKAPYCIFYNKTTPYTVTNGSDANHGDDEVRMMMQGLLRNSSCISPQ -GSTPLALYSTEMIYEPNYGSCPQFYKLFDTSGNENIDVISSSYFVATWVLLVVVVILIFVIISFFC - ->sp|Q70KP1.1|HEMA_PTV10 RecName: Full=Hemagglutinin-esterase; Short=HE protein; AltName: Full=E3 glycoprotein; Flags: Precursor -MLRMRVRPPSAIPVFLIFVLLPFVLTSKPITPHYGPGHITSDWCGFGDSRSDCGNQHTPKSLDIPQELCP -KFSSRTGSSMFISMHWNNDSDFNAFGYSNCGVEKVFYEGVNFSPYRNYTCYQEGSFGWVSNKVGFYSKLY -SMASTSRCIKLINLDPPTNFTNYRNGTCTGNGGTAKMPDNPQLVIFNSVVKVSTQFVLPSSSDGFSCTKH -LVPFCYIDGGCFEMSGVCYPFGYYYQSPSFYHAFYTNGTAGLHRYICDYLEMKPGVYNATTFGKFLIYPT -KSYCMDTMNYTVPVQAVQSIWSENRQSDDAIGQACKSPYCIFYNKTKPYLAPNGADENHGDEEVRQMMQG -LLVNSSCVSPQGSTPLALYSSEMIYTPNYGSCPQYYKLFETSSDENVDVTSSAYFVATWVLLVLVIILIF -ILISFCLSSY - diff --git a/seq/clusters_seq/cluster_623 b/seq/clusters_seq/cluster_623 deleted file mode 100644 index 0016840..0000000 --- a/seq/clusters_seq/cluster_623 +++ /dev/null @@ -1,97 +0,0 @@ ->YP_009342269.1 hypothetical protein 1 [Wenzhou tombus-like virus 18] -METRGGSTYVHGFHSWGTEGTVVTHDGAFAYQRVYDEHHTIVLWCIPLSGNFNPTTDNTLSSSSGRLDTL -DLADGATAVLDREQYVVTTSSSETLYVPATTVVRTAFQMCVLARDEKWLPNLHSVLRGRFTADKVPETAL -LHAQQLCVMLADRMALCYRRSVVGDPQSYGALLRPLMGWYVALTTRLPDSWHLILVRVLTNLRKLIWKQG -PRLVTSWAWKTVRVPTYEVHWDQVCAKLVDAQNGLRVKQPFRNPGATLVAAPPRQPSSTACPNQGQSACG -DREASTSSSTKTSPGPSDGGSAKAPAVPSLPKCSYTGKVADKANGRPRCFYWKNPACRCSAVSRDSTNLP -SAPKSDARRLGRGSGGHSQKKKSAGSHAPLANRANFRGPTRQFQLPAGTCDVSDRQTQPPVLQVQPDVAR -TRVLETQREPVVDRPRTLTV - ->YP_009337802.1 hypothetical protein 1 [Hubei tombus-like virus 43] -MNIFTPARFFFKITCSTKKRALVSFLVLAASLAAFVGFLILLLAFQHVDYVPIVDVNSARWIEQQRVTYA -IRSYSLIESLVILFTSILNRLDLTINMQPAIHILSPTMHQMIITQREQCDYWDTALRFYDEHLKQFDDAG -SYSAMFVLTGTQIAGLAHSESNIYCRGLSRLGYASLPRAYPKSWLRRIDLINSEQRFYNKIAMRLDMIYQ -LADEIIVGVLDNIMWVLRHPFLSTFVVLLGLFVMMAIGFYLSTLYHIQWSRKIKNCAFAIRQSAPLLPFR -PGVVKDVLKRRLKPLKVTPTPDGHLCMAEVRRNMERTCIGFLLEYFKQVRDIGGSPTRNSHYGRRLHICC -PDLTAIDHDKVNIANYKNDVQCHKSIDCNVAKQIPAAIMSYVDFHIPIHEIADSVTGPTLVITHEFKEGM -DEKWFDGECTVKASGGLVQMSVRGGNEYSHYYHDWKDEGVIIGKSHAINYIKVAKYEHSIVILLWPVTGT -FTRYDPNALFDLRYDEISLPDGSSVVNTGKHFEVKDNMGGHLGLIPVDTIVRTAFTAKGMARDPKYVANV -DALLRARFTQDKCDMSLLPQASLVTYRLADDYSLTMNHMSSVIDGPVVGLTTMQRLRCATLTLIKGWTPR -RFMHLYASLLRWCIGSDQDSSWLPWLWTDHVAPNYEVYTPGSLSLMEIKQNKQMLNAQPFQLPGQSCSTH -SAPNNECAAVKHVRKPTVKRVKESTKDQTPATSSTHRKPSRGERKNDRSAAAVAPEPTQRLGPSRRIPDR -AVPNAGRRHQQSGGRNDASGKSTADRRPDRRNADKVNTRTTPALNDRGMGKKVSGKSTSRPNSSPRKSKS -VVHAKELQQRPNIPEIRNVDKSDGPKEHNPESTRVPFDFRAFCEQYRECNPPPPIPCERQEQLGPRSENI -PEIKQLPSVCGDGLQ - ->YP_009337752.1 hypothetical protein 1 [Wenzhou crab virus 4] -MFTLLSTLTALLVGAAVDRAVYPDVHEPSLYERTGLPLFRTLQQYHVLDVLDGVGLLNILGIPDDFQYQE -LAHSCQTRYDNDATTLYLRSWELPHLSRSPLPWVCQRLMSRGHVSEGRVSPLILALTAPLRLAHWTPSIV -AFSVATIGLISLCAVAALVCFIRRYRLVDQVCDRPPFSHREVRTLLQRQIDRRVKVSDVTTTPTGHERLA -HIRREVEGACLSVLSSISSKLRDVGGSLSRNARLGKNLHICFPSVDALDKLRKATNPTPDNDVGHHRGED -CPHSNRPTIMTYVDFHLPIEALTRCIRSPTFIVTHDFARVLGEESWFDGEATVNRIGDFISMTTRGGSSY -VHGFHSWEAEGVVATEHGVFSYQRVYDEHNTIVLWCVPLPGRFNPGRDNMLTSSAGRVTDFDLADGSRVT -LDGEQYRIARPGAPVRHVPAKTILRVAFQMCIMARDEKWLPNLHGVLRGRFAADKVNEECLLPAQQLCVE -LSDLMAMCYRRSAIGDPDSYGPLARPIVRMYLRVLSCLPYTAEQFCTRLVLNFLAMLHKPGPTLPTSWAW -NTVKVPTYEVHWDQVASKLVDAQNGLRVKQPFRNSGAVAGAPAPNQPCAPPRPDQRKSNGRDRKEGPGGG -SKTTSGPHQGKDRVAQNVSHGSKCPVSNTYPRNCRHCRAVSRDTAHLPATTPANQPRVAGGSAGHRPQAQ -GSRPNSTVVSRPHFRGARRQLVLPSRPNPGQERQIDTSLVQIKPTSSRLRVLEAPRKPVAGRRGSSSF - ->YP_009337396.1 hypothetical protein 1 [Sanxia tombus-like virus 9] -MVAWLPVVIGFAITALMAPRIEEDLSYYESLGAPGWRTVDAYLPILKYLDLVPSPERLERLRLDCAIIQG -EIHEWEWWAEYFPTMAGERPALPYRCSYFVWNRTAKPAVTSRLDLLMSAYATIAKGLLFLGAWVWINVAL -IVLAFICCLLAGVLLVVKVYLTYYRGVRVRAPTPVLGKNEVRDLLAVELSKTPIDKIQLLADGKGHPVLA -KTRATLETACQQVLLRMNNKIRDVGGSVSRNQKLGKSLHVCFPVLTAADRARVNRALANDVGFHLGHECD -VKHIPTYMSYTDFHMPLDMLVRTVTSPTLIITHDFRNMPAKLFDEEATISVIGGLVNMTTRGGSKYTHGY -HSWDDEGSVVTKSGAFYYHKVAELGDSIVIYATPLNGDHDPTQDNELQSSVNCYTGIKYRGGRAELHNGE -YIMRDDQGNLRGAVSARTVSRVAHSFGYAKRDAKFETGLAANLRARFTQDGEDHSLLPEATNLTVALADR -IALAADMSAVSCPTEMNFFQRVMWNAYFALVSVLPTSLQLARTVRQMLLQSEHVRFRKWMWEEVHIPNYL -VSTPGLVSLRADAVKASRLFPSPGAATAAPAVLACTNGAGCACGQCGQQHRTQGSSGSPAAASLSLRPQT -TRQTTSLSPTPSPTSTNTTSNAPVPAKKGTASQAPAPQQPAVGKGAGSGKSRIGPCNYCKRHGHVIANCR -SRPSAGSVKPGGSPVQKPGKLQPAAVPGGAQVRPSRAGKPSNGAKGNGNGTRKQNGKPAATRGRVQSKSP -APGARARPPPPVGRVAAAVPVKPFTPAQRRAQQCATSRAVKI - ->YP_009337114.1 hypothetical protein 1 [Wenling tombus-like virus 4] -MFFQYLLPVLTAIGLLRGSTTQLDHTWFEWLGLPLYFSLPDSWQWVSEWCEYLGLKTLMGVPDADRFQDL -IDDCTQLEVTISSWKLAKSLGSFSPVGFSNRYYVCKVLIGRGYVTEQSAPLLLRLMALPWLVIDWILSLG -PIALIILTTCTIISVCALALYVCYILARRSNIQVACDPPPFTHREVKDILCSYFAKNKLDVDSVDPDPTG -HPKLAYIRRQMEKAMQVFLLRLNRKIRDVGGSLSRSAKLLKQGSKHICFPNLTSADKERLELAKRNLDAE -AWEHIGLHKGDECPHRSLPSYMTYVDFHLSPEQLCRTIKSPTLILTHDFAKVDKDGEQWFNGECDIKRYG -DFISFQTRGGLKYTHGYHAWENEGTICTTEGTLRYYRVYEAHGSIIIYACPVAGEYTPRVDNTLCSTAGS -ADAYKLNNGVEAHVKGDVVQVGDHEISKDTLYRVAYQMSLLPRDEKWLPNCSSVLRGRFTADEMPASALH -DSLQFVVMLADRMAVSYRQSMIGDPQSYNFLMRRVLLLVLNLTSRLPDTLSAPIVAAFRSFRRFLSGKSP -RHALSSWMWTVHRVPTYEVMWDNVAAMLIDPKKPNSAKQPFPFSGATAVAPTVEQPSGDTSQDEGKPACR -DRKESSSSCSPPSPGSSGVGKSQAILQPAGLPDDPANLPATTSANTQALPQGGVGAGKKKAETISRTICI -HAVHGGRNHGDDCRGYGLQYLGKEGSALRRDRNRGASVPIGDRRNSGTPPPPSRKRADRSQHTGSTISGE -QTKSMVDSSGANSLPDVGQKILPVKTNAARGGKGVGGATRPVGKGFHNGSVRQGGDVSHRNGSSQYKPAR -S - ->YP_009336497.1 hypothetical protein 1 [Beihai tombus-like virus 19] -MVLDNLQSFARRSMGIAGRSQADFSRFRWTWYEASGYPVWSVFHPLAWEETITLRYTLLVLYLSIALTLL -IGSRFSRFAVLTTLLSVYVPMFLLAQELGVTPDEDRLALTTDLCEDWHRAVRLWQTKIGTLSRRQLRAPK -GCKYLLELGEYEIPPPDPEPVQEPVSWFPTFSVPRWGTSTSEIVKPLARYWTVELDIVQLILCLAAGWVT -VTAVLHLIGKRLRRSRVTVTAPMWNFTHRDVTIGLTKRINDARNAIQPNLANGHALLAHERATLEKGCLD -WLAAVSPFTRDIGGSLTRHAARGPDHHVCFPRLDDADHARWQYAQGRMENDIGLHTGQDCPVSNRLSMMS -DVDYHMTEDELVRAIRAPTLIITHDFVALEDKPQTDWCDGEATVLVRGGLVCMTVRGGESYVHNYHRWQN -EGTVATTSGAFRYDRVFTSDHSIVLYCVPVSGDYRVKTSLGRKAHRIEDIKVASGHPLIVSRGQLLVDGV -GYDVSMLMTVAFSQSTAARDDKWLANLKSVLRAKLTAAEANNLHIDVVQEVVVKLADQMALDAPISVITG -DPANLSWFQRYVLRWLMWSGLAAPKAIARFLQWAVPRVSDWLAGRSPLTARMSGAWTEVRVPTYEVVWAQ -VQTVLTDTRRSATQPFRVPRQDAPAALPQSDNRPARTHRGEPDRRDREEGASGGAAAPSRPGPVERRSKP -VSHGPARPAAGASGGGRGGSGGSDRPAIQPAPGTPGASAPSGGPSVEKKKRRRTRKKRAAAVGSEGAEAR -PAELKGNPSVSKPAVSQPPTGSGLLGGAPAKAVAAPKPPKAKGPKKQRSRSQSLSRHELGDLLRRIAALE -GLGAVPTRGQSGSGARPKQARSPTPVLEYAPRSPDLSEQLGDLSVSDKYTAADFGLDVPTVSAAPSYHAV -PPPAVYHPGGAGGAGPTRPPHPTPRTQGRGQRPARVQSAVRGGPGGQRAQAAGARGLPVPYKVKTA - ->YP_009337261.1 hypothetical protein 1 [Wenling tombus-like virus 5] -MLPQILLTTLLTMLPGLAPMPELSTYEWLGLPGLRTVRHVCESSPLPAALHWVVSNTLIDQDRLEYLTAA -CERRADARASLHALTANRLWVGTVPELPHVCARLNSRNGYIGVPHVVIRWLESAQLVLATLPSLFLVGGL -LSTALFTCIAVAIVRYRCTAHVQVACQSPPYTHRQVGQMITQRLGTWAPCASGDNLHGHLADVRRTLEGA -CFHVLKSLTGSAGRLRDIGGSLTRNARLGKKLHVCFPCLTTADAARLHGAQTSNDVGHHTGQDCPVSHLP -SIMTYVDFHMTPSQLVKAIKSPTLIVTHDFARVGDRESWFGDEAIVEKFGSSVTMTVKGGFTYTHGYHSW -GAEGTVCTRDGAFRYTRVYDNHHSVILWCCPLAGSFTPSRDNELVSSVGVVDHLVCGDGTNAAVSGGKYV -FQAGNRTLGTVLASTIVRVAYQMATGARDEKWLSNLRNLLRGRFTADKQDENLLPHAADVCVQLADRMGT -TYTNSVLGDPSSYSFIVRPFVRYAAAAWHLVPAPVAACLRWATTCVAQVTYVRSPLTAWAWSTVNVPSYE -VFWDQLATVNPSRPVPGPFPATGAGSSAAPAQQPHSLPGKDDGASGSRDPEKSSCGSTPAAPSTGGQPKP -AAALPPQPLATPPIPLPRTTFPAVTPVASKSGQDSGAAAQAPRDDKRGVSAGGSKGTKRPVSIPLPPHPS -ETAGARLPPKARERVAKGPAANAVRPVGVPVQPVKTDPAQRRTDPSPTTGAIPKRRRNEGLHQDGDLTKG -NGSAQHQSKAAPVSSGSGAPRGQPGKGSRKKPLSGKGANTNRKGAQAGALPARRRD - diff --git a/seq/clusters_seq/cluster_624 b/seq/clusters_seq/cluster_624 deleted file mode 100644 index fc32350..0000000 --- a/seq/clusters_seq/cluster_624 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009407917.1 silencing suppressor protein [Rice virus A] -MEDPEQWVLHPHRCEACNHSTYLRESWRNGSGKVNRRIRHEPCPSVVPVQGIQPWSWPDSRGDPTAVLPA -NRGISYTVRGHGVTITVCGSSDDVYNIARVAYDVVQHPVVQGKVCFRRGSSTCD - ->YP_009032643.1 silencing suppressor P17 [Cucumber leaf spot virus] -MENTQGGVLCPNRCKVCSHTTCIRESSGQGGRQASRFTRLITEPRIVSEQGIQYRTWIADRGFPIALLSS -SGGLSTSIRGHGVAVTVQGDSKSLLNFCRVAYDVFNHPVIQSEVCYGRGPSTSDEVRAEFQDEAGIIQGR -LPQSETEENNKA - ->YP_009032638.1 RNA silencing suppressor p14 [Pothos latent virus] -MENSQTGVLCPNRCQVCSHTTYIRESSGQGGRQACRFTRFVTQPRVVSEQGIQYRSWLSDRGFPITLLST -SGGLSTTIRGHGVAITIQGDSKSLLNFCRVAYDVFHHPVVQSEVCHGSGPATSDEITTKF - ->YP_008828160.1 silencing suppressor [Yam spherical virus] -MENTQNRILCPNRCQICTHATYIRESSGQGGRQVSRFTGLITQPRVVSEQGIQYRTWIADRGFPITLLST -SGGLSTSIRGHGVAVTIQGDSKSLLNFCRVAYDVFHHPIVQSEVCYGCGPSTSDALRTKFQDEAGIIQGR -LPEEAAEEIKQAKRPRSEGS - ->YP_001285478.1 unnamed protein product [Maize white line mosaic virus] -MASPEGWVLHPNRCDLCGYATYLRESWRYGDCQTHRRIRHEPIPSAVRDQGVQPWPWDGTGGVSIAVLPA -NRGISYTLRGHGVTITVSGRTDNVLNITRVANDVFSHPVIQGSICVRGCAPTSVGCGPEFLNENQKEV - ->NP_945132.1 hypothetical protein JCSMV_gp5 [Johnsongrass chlorotic stripe mosaic virus] -MEGPKDWVLHPHRCDFCGHATYLRECWRHGSDQVNRHERHEPFPRLVQVQGVQPGTWPDIGRVTTAVLPA -NRGVSYTLRGHGVTITVSGHENDVFNVARVASDVLTHPVIQGEICVRGSPPTGVGGGNQLPYENQTNIV - ->sp|Q9QBU1.1|P14_POLVP RecName: Full=RNA silencing suppressor p14 -MENSQTGVLCPNRCQVCSHTTYIRESSGQGGRQACRFTRFVTQPRVVSEQGIQYRSWLSDRGFPATLLST -SGGLSTTIRGHGVAVTIQGDSKSLLNFCRVAYDVFHHPVVQSEVCHGSGPATSDEITTKF - diff --git a/seq/clusters_seq/cluster_625 b/seq/clusters_seq/cluster_625 deleted file mode 100644 index 298a5b9..0000000 --- a/seq/clusters_seq/cluster_625 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009407916.1 movement protein [Rice virus A] -MSIISLDGELDFTPYNRTSSVVHLSHKSKRGEIHLGPKTFSDKWKIPSSGFYTPTDVRLVITPHISEKAG -VMAVVKLIDASDMSPARVLYQSKEFNLGHGLTLEGTQLPFCLPIGEYPILFEVTVSRSQFVEARTMFTTS -LEWRMMWSNTPLSRVKSVFAVAHQPVIEVSPTFKNIVPLLDSGPRAGSKNRKSRTSASTSGGTVQGLVTL -ECVGPVNAVSNSN - ->YP_009032642.1 movement protein [Cucumber leaf spot virus] -MEIQHIDGTFEDSLIVQNEVKKFLISHKTTKAILPLAPYSQLTKWKIPKAGFYAPIDVKFVLTPHVSERA -QVRGVVKLVDSRDLSPSRELYRSKEFNIGHGLLIEGSQLPFCLPVGDYPLQFEVTVLQSQFKETANLYST -SVEWRMMSSTTPLSRVKSVMGAAHQPAMKLEPNFKMKLESSKGVSHNQKQRKTTKPNGLDRRGRGNSTVG -GSSDASQSQGAQAWGGYHNDLGDSLSEYSVSDL - ->YP_009032637.1 movement protein [Pothos latent virus] -MEIQSLDGILGEELAIQNEVKKILLSHKTTKAILPLAPISQFSKWKIPKQGFYAPIDVKFVLTPHISERA -QVRGVVKLVDSRDLSPSRELYRSKEFNIGHGLVIEGSQLPFCLPVGDYPLQFEVTVLQSQFRETANLYST -SVEWRMMSSTTPLSRVRSVMGAAQQPAMKLQPNFKMSLESSKGGGMKSHQKKNSKPNGHSRRGNLSGEVG -GSSSSLPSGAQTGEWIDNDYGDSLSEYSGVSA - ->YP_008828159.1 movement protein [Yam spherical virus] -MEIQSLDGTFEGTLAVQNEVKKILLSHKTTKAILPLAPVSQFSKWKIPKTGFYAPIDVKFVLTPHISERA -QVKGVVKLVDSRDLSPSRELYRSKEFNIGHGLLIEGSQLPFCLPVGDYPLQFEVTVLQSQFRETANLYST -SVEWRMMSSTTPLSRVKSVMGAAHQPAMHLEPNFKMKLESSKGASQKRQQKKSNKPNGLDRRGRDNSSVG -GSSDSQAQGAQTWGGYHNGLGDSLSEYSVVDL - ->YP_001285477.1 unnamed protein product [Maize white line mosaic virus] -MSIISLDGEFDCPPYQPTSSRFHFTHKTRKSAICIGPSTFGKLWRVPRAGYYTPTDVTFVVTPHISEKAG -VMATVKLIDASDMSPSRVLFETKAFNLGHGTVLEGSQLPFCLPIGEYPIHFEVTVSRSQFRGERTMYSTS -LEWQMMCSPTPLSRVRSVFAVAHQPVLDAVPNFSMKTKKKSSVLSGGKGQATEKRILAGGGTARGVVPPG -CVAPAEGIPVIATIEDH - ->NP_945131.1 putative movement protein [Johnsongrass chlorotic stripe mosaic virus] -MSIVNIDGEFEQPQFQDTPSKVYISHKSRKSLVCLGPSVFHKLWKVPKTGFYTPTGVTFVVTPHISESAG -VTAVIKLIDMSDMSPSRVLYKSKEFNLGHGLTLEGSQLPFCLPIGEYPIHFEVTVSRSQFQATRTMFSTS -LEWHLMYSPTPLSRVRSVFGVAHQPVLEVETNFRMKTKQISSSVVAVLPKQKALGKGLKPVGGTTPGLVT -GNCVGTD - ->sp|Q9QBU2.1|MVP_POLVP RecName: Full=Movement protein; AltName: Full=p27 -MEIQSLDGVLGEELAIQNEVKKILLSHKTTKAILPLAPISQFSKWKIPKQGFYAPIDVKFVLTPHISERA -QVRGVVKLVDSRDLSPSRELYRSKEFNIGHGLVIEGSQLPFCLPVGDYPLQFEVTVLQSQFRETANLYST -SVEWRMMSSTTPLSRVRSVMGAAQQPAMKLQPNFKMSLESSKGGGMKPHQKKSSKPNGHSRRGNLSGEVG -GSSSSLPSGAQTGEWIDNDYGDGSSEYSGVST - diff --git a/seq/clusters_seq/cluster_626 b/seq/clusters_seq/cluster_626 deleted file mode 100644 index 72d14d7..0000000 --- a/seq/clusters_seq/cluster_626 +++ /dev/null @@ -1,112 +0,0 @@ ->YP_009337683.1 hypothetical protein 2 [Wenzhou picorna-like virus 26] -MAEDDKLHDIHAILRRPVKVSEGEFNNAFTTVNLKFPDVIFQNSTNVVKKLDYFTFFRANVKIRLIFNAT -PFMSGKYWLFFAPFDDVSNRGAQPNNLPNATGFPGVEIDVGSNAPVEIKMPYCSPLSHFNLLDSHSNMGE -MYIVPINPIQSGTSPLTVGAYFTIFAWFEDIELAMPTSKPVLVPPVPSGEEEVWTAQVGFVADEEVWTAQ -VGSEEHAATSGPPISGVANAVASAASVLGSVPILGSWMRPVEWVSRAIGGAASAVGWNKPTNLDKNCPYI -NVPAKGYTNVDGIDLSSKLGAMPDNGLTYDGGIFSTEVDEMDLTYVSSKSCLFRSGIPWSVNDAVGTTVH -YNVVAPGLVQGMDTYSPTTVAFVASMFQQWRGTIKYRLAAAKTAFHTGRLRITYHPGIYGQSAFFPPTEV -ENAYNWILDLSVSSELEFEVPYVSNVPWKEVWLGPYNDTRWEKEKYSTGTITVTVLNELRRASDSVADNV -PLNMWISGGEDIAYAIPDFARFAIAEPVSELGELQEDPELEWKAQVFNLTSTAIEHNEQVQDTSTTVFPM -GMMDHTMAEQLCIGEKITSLRQLIKRFGLTSMGKPFPYKSETGIGYAFPGPIPLNNDDYLFNAIRIDPAY -FGETSTTGSNQWQIVQYPSTRDANGNLTLDYFEAVVQPPARCPLYYISYLYRFWRGSRRYKFATPSTNGL -RCTNQGMRPAYAYDATRDDYATAVDGFEYDAIRPTDPLIVRRSTNIDENGSLDKPVLGTFTNEQTSSTFE -HYVYPDLNGTIEFEVPYYAQTPISLVGEGAISDVDGPIIRRSKVDIMRSLDPKGMDRPMYAYYSDSAFAQ -SPSTGTTDAGGIRNCFGAYNLYEAAGDDFSFGYLIGAPRIRRVRHI - ->YP_009336995.1 hypothetical protein 2 [Wenzhou picorna-like virus 28] -MLSLKDMIKEKRPDDKMTLNRLREFCKLFDDTTITHDVDDGRFSDFKVRCKGTDFILRLICDDGNIVDLG -LMEFPDCDLFQPSFVSEVGENTNKDQGGLVDASTDRSTMQDPTSNIVEADPGPAAMPQEILTFVDDTATQ -KASLPEYTSPQASVLVPGSELRNHTIEDILKRPTKIQQLTWSTSQLKNTDIYTVAFPDAIIDASDIIKDK -LQNFTYLRADICVRVIINASTFQQGKLLAYFAPFSRVVGTRGTLNEHLPAKTAFPHVVLDAATGNSGDLR -IPFVNPYTHYNLTTQHGDMGNLQITVLNPLKTLTDCTVTVFAWFENIDLGVPTARPNQALPAARSVHKNH -ITTSDKDMLFRLIKKGVIRVKKNADTSGLLEFLTPYKSQCDDEITSLFERLEIMPKYKSQIAEDKEKSQK -GIVTETLEHIGGAASGMTNLPLIGDVFKPVEWIANSASKVSALFGFSKPTSVETQCKFQNVPGFGFTHTD -GLDQSVMLACKQDNQIQQRGDLFGSQVDEMDINYIAAHSCWFQTFKWTTADNPLNGVTLNEIAVHPGICP -FDDENFQPTLTAFVSAPFRYWRGGLTYKVQVAKTSFHSGRIRIAYVPSGVLNQKYNLDTCYSWVLDLRTS -DQIEFTIPYISNTQYKEVDLAPVASEPRTESTTGILVTEVLNALRAPDTVDQAVEINMWISGASDYQLAI -PDFDRYRIGNGKEAASTVKNSLIPKKSTVVSTKETEKEVPDPVPDQTTQASHTAEQARKAVANLRMETER -LAAEEERRKEYQKRLDEYYAYERASRQPRSTPDEDYDYSSGYESQVLGNFQDQGFNDFSDAAQMFGMKST -DQLTPKTLTIGEDVQNIRSLIKRFGLRGEAALTHRYNTFYVNNGFFGSPEELSVVALDYFSWIYRFYRGG -QRYKFILDPRVCNDAIFYQTKGAEGKPEFQIQYMPKKEVPSINVSGAYNADPLPKVGEMNSSDTPVPEPY -HRGTNFSHRPFTSLNPMIEVTLPFYANTPILPISSDDGLALADIRYNAVVLEYRGVRIEDVHYDGTGFVA -TPSTDTRCEIAYYTAAADDFSFGWLIGPPYLKENPPRPK - ->YP_009336778.1 hypothetical protein 2 [Wenzhou channeled applesnail virus 2] -MGNVETHQLATFADDSSLVSGAKPMISNNTSWRTFAEENRTHGILDVLSRPVLLTDSSAIWSTSKQANSF -PTDAVSNSVFTFPASILNKSVNIVRKLAGFTYFRADVKIRVMVNAQAFSQGKLWIWFSPYELGAGTQTSA -DNLAAKTGYPGVELDVASGVPVEFSIPYCAPNSHYTLTSGEGTMGDLFLTVLSPLTISDASISVFAWFEN -IDLTMPTGAERGVLPDEYFVIDQQGLVALSTTAAVSDVWGGQSMAIDGTTKVVLTSSLLIISATGNFIVL -PAGISISGKIEAVGTDSALQISTESNPVGLVGYMVTNVSGNDYDVLCAASSNVFCFGWNPDRIYNSDTPV -YIYNSPTGNTVTATQINIPNVFSCPVNASEYTQGYLTSFNTSDKAIFIFPPITKTKYTSGAVSINNGSVT -GQIRHFTGSTNSLFKFASSVSTTKVMIVAQSDNRDEDEWYKNYPKAQASEAEALAISGVVTNAYKTLRSM -SKIPMLSSLTAPLSWISRMGSLASSGFSKPTDVNALTPLVNLPAKGFTHVTGQDMSISLSAIPDNSIGVS -PGVFATDIDEMDINYICKKPCYLRRDAWTNTTTGKIYSIFVSPGICATSDILFQPSLLAFVTSMFRFWHG -SLRYRISVAKTGFHTGRLRISYHPGAFSTAQVYPADNAYSWILDLSVSSEIDVEIPYVSPKPWLSCDLFN -VNAVNPFGPTTAAHANIGSNNLQFATGILQIEVLNQLRVAGAASNSVEILTWISSGDIEFAVPIMSSFVP -ARVGGSAPVEFSSRIKRDASEFAMPSIPDEVFAEYLLAEDPEEEINAPPPSVEEDEEIPEAQAFQNITPA -SDHMTQLDGSEFCKIFNSSSAFTDSKTLAIGESITNLRELTRRFTPCALKVADDGSFSSAGVIFDPAWFG -ALTSFAINTNVKAFSAQGNLAGAYTNCTAPIEYISKIYRFWRGSRRYKSISGNASVGDSSKQNFCNQAYL -SPVSFVNGNVTPPTFYTVNTPPEDTYFINSVFSHIVDGVNNRICEISVPFYSDTPIQCVSDGTNFTDSDA -YCMRNKVVFNNGPTASNTSKQFMYYMAAGDDFSFGYLIGAPCLRRIVKPFEFPTET - ->YP_009333559.1 hypothetical protein 2 [Beihai picorna-like virus 74] -MNDNSTNFSPSALRDNHQEQITHFQDDVSQAMYTKPMTSTMDEWVRMAGDAQVHELKSILERPVEILNGE -LSSTDVDEVVIGTFPNAIINASSTIQSKLAYFEYFRADVHIRFMANAMPFQSGRYWLYFSPYDTECNRGR -TGSFANMTGYPGVEIDLASSTPVEIVIPYCAPLSHYNLVTRESTMGEAILYPLAAVASSESNDNVPFSIF -AWFENIDLVLPTSKTISQGFVAQAFVGENEDQPEDPIVAGVKQVATGAIQKIVPVEPSWVSRFVNGVAGM -IGFNKPVHLQPTQAYANIPGKGFTNMDGKDNSTVLGASSDVTIHTMPGIFSTDVDEMSFDYVKKKSCLMK -APRSWITGGAQGRILDSIPVTPGYCDATSPTTVANPTTLAYLSSMFRYWRGGITYRFSFAKTAFHSGRLR -FAFVPKMLNSSPIVSGGEPLYMTHNWVIDLSKSSEITFTIPYCSNRAWMPVEVISNDANLAYRDSSTGWL -VIQVLTPLKKASNASDTVKYMGWISGADDFELAVPDFATYVPSTVAPLADIPEETPAESEFVAQVFQEAS -EDISHNEQVQNIDSAMFPDKTIGNVTASGLSIGEKITSLRQLIKRFGPMFIGYPYPYKQPDSVRYSLPGP -VSPDSSTEKFSLNSIQLDPAYFGEVDTDQSAFATYSLPIEINPNPVQAPAEANCIIGRKLPPTSPLHYIS -YLYRFYRGGRRYKIWTAPARIPKVSSQAWSAPLDDSIQPDNQVPTNWLHGYEYENSRTSIPYLVTRDKEL -TTNGNLTGPTVQGGYKPTQQAVFESIHYPDINGCVEVEVPYYSGLPISLVAEKSLPDSEGPLVERSRVNF -TLGTSVQDLEIPYPAVKNSLSSGAEWWCLRGSIGSCRVYTAAADDFSFGYLVGAPKLVRSNF - ->YP_009333548.1 hypothetical protein 2 [Beihai picorna-like virus 72] -MQEKNMSQIHDNMSELGPGTEVREVTKFVDDVQPETYEKPMMSTPTVWTSMAEDTKLHDIHAILQRPVRV -LDSEFVTAFTNVNLKFPDVILQNSTNVVSKLDYFTYFRANVKVKLMFNATPFMSGKYWMYFAPFDVISNR -GSRQDDLPNVTGYPGTEIDLASGAPVEIKIPYCAPLSHYNLLDTHSNMGELYVVPLNGIQTNLGTIPAGS -GAPFTIFAWFEDIELALPTSKPVTVPTLLEEDEVFEAQIGEEAAATGGPKISGVAASIAGAASAAGSMFP -KLGAWVRPVEWVARAVSGAAEAVGWNKPTNLDKNCPYVNVPAKGYTNMTGIDLSSKLAAAPDNGLTYDGG -LFSTEVDEMDLRYVAKKSCIFRANIGWSINDAVGDQLHANAVTPGMATGSQVSLNPTTLGFVTSMFRYWR -GTIKYRLTVAKTAFHTGRLRITYHPGVYDYTTVGKINQNAYNWILDLSVTSELEFEIPYVANVPWKETIV -TDYNDVTNLRKEKFSTGHISVEVLTPLRASTDNVANNCPINMWLCGGDDISFAIPDFGNYVIDDNVVLLE -DEDEVLEAQVFNLTSKGVEHNEQVSNTASRTFPMSNMTETKAEELTMGEKITNLRQLIKRFTPTAIGNSF -PYPSQLGNSYCFIGPISLNNDSYLFNQLEIDPAFFGTKSAGIVPTEQQIVYPRDKALDGSLTTANFNAMR -TLPLTNPLHYVSYLYRFYRGGRRYKIVNPSTNNIEVESMGWRNTADDGGIARTAYTNALDSTRVIEGRPF -DPIYVYRDQLVAENGDITGPAIGTFATTIADAAFEHYVYPDINGTLEFEVPYYSQLPISLVGEGNISDTE -GPLIRRSKIFIRRSHLPRGLDRPIYKPYATTGFPGCVAGTVDGGGIRPCFGGFSLYEAAADDFSFGYLIG -APKIVRLVNQP - ->YP_009333457.1 hypothetical protein 2 [Beihai picorna-like virus 71] -MAEDDKLHDIHAILRRPVKVSEGEFNNAFTTVNLKFPDVIFQNSTNVVKKLDYFTFFRANVKIRLIFNAT -PFMSGKYWLFFAPFDDVSNRGAQPNNLPNATGFPGVEIDVGSNAPVEIKMPYCSPLSHFNLLDSHSNMGE -MYIVPINPIQSGTSPLTVGAYFTIFAWFEDIELAMPTSKPVLVPPVPSGEEEVWTAQVGFVADEEVWTAQ -VGSEEHAATSGPPISGVANAVASAASALGSVPILGSWMRPVEWVSRAIGGAASAVGWNKPTNLDKNCPYI -NVPAKGYTNVDGIDLSSKLGAMPDNGLTYDGGIFSTEVDEMDLTYVSSKSCLFRSGIPWSVNDPVGTTVH -YNAVAPGLVQGMDTYSPTTVAFVASMFQQWRGTIKYRLAAAKTAFHTGRLRITYHPGIYGQNAYVPPTDI -ENAYNWILDLSVSSELEFEVPYVSNVPWKEVWLGPYNDIRWDKEKYSTGTITVTVLNELRRASDSVADNV -PLNMWISGGEDIAYAIPDFARFAIAEPVSELGELQEDPELEWKAQVFNLTSTAIEHNEQVQDTSTAVFPM -GMMDHTMAEQLCIGEKITSLRQLIKRFGLTSMGKPFPYKSETGIGYTFPGPIPLNTDDYLFNAIRIDPAY -FGETSTTGSNQWQTVQYPAAREANGNLTLDYFEAVVQPPARCPLYYISYLYRFWRGSRRYKFATPTTNGL -RCTNQGMRPAYAYDAAREDYATAVDGFEYDAIRPTDPLIVRRSTNIDENGSLDKPVLGTFTNEQVSSTFE -HYVYPDLNGTIEFEVPYYAQTPISLVGEGTISDVDGPIIRRSKVDIMRSLDPKGMDRPMYAYYSDSAFAQ -SPLTATTDAGGIRNCFGAYNLYEAAGDDFSFGYLIGAPRIRRVRHI - ->YP_009330122.1 hypothetical protein 2 [Beihai picorna-like virus 70] -MAEDNKLHDIHAILRRPVKVLEGEFNNAFTTVSLKFPDVIFQNSANVVKKLDYFTFFRANVKIRLVFNAT -PFMSGKYWLFFAPFDDVSNRGAMLNNLPNATGFPGVEIDVGSNAPVEIKMPYCSPLSHFNLIDSHSNMGE -MYIVPINPIQSGTSPLTVGANFTIFAWFEDIELAMPTSKAVTVPAVADEVWVAQIGSEEHAATSGPPISG -IANTVAAAASVLGSVPILGSWMRPVEWVSRAIGGAASAVGWNKPTNLDKNCPYINVPAKGFTNVDGIDLS -SKLGAMPDNGLTYDGGIFSTDVDEMDLTYVSSKSCIFRSAIPWNLTTAVGTNLHYNAVAPGLTQGSTILS -PTTVAYVASMFQQWRGTIKYRLAAAKTAFHTGRLRITYHPGVYGSDALTGTIAENAYNWILDLSVSSELE -FEVPYVSNVPWKEVFLGPYNHSSWDLERYSTGTITITVLNELRRASDSVADNVPLNMWISGGEDISYAMP -DFARFTVAQPLTALGDLQEEPETEWRAQVFNLTSTAIEHNEQVQDTSTSVFPMGMMDHTMAEQLCIGEKI -TSLRQLIKRFGLTSIGKPFPYINSTGERYCFPGPIPLNNDSYLFNKIRIDPAYFGETTTTGSVQWQNIRY -PVSRAANGTLTEDNFEAVVQLPTRCPLYYISYLYRFWRGSRRYKFATPATNGLRSTNQGRRPAFFDTTTR -DEYDATFDGFEYDAIRPTDPLIVRRSTNIDENGALERPVLDTFTGTQNSSVFEHYVYPDLNGTIEFEVPY -YAQTPISLVGEGTISSVDGPIVRRSKVDVMRSLDPKGLDRPMYSYYNNTTFPQSPISTAVDTGGVRNCFG -AYNLYEAAGDDFSFGYLIGAPRIRRVAHI - diff --git a/seq/clusters_seq/cluster_627 b/seq/clusters_seq/cluster_627 deleted file mode 100644 index 5077c0a..0000000 --- a/seq/clusters_seq/cluster_627 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_009337846.1 capsid protein [Hubei unio douglasiae virus 1] -MTLAGEGFLKCAFAPPDFNSDAGRGIPDDFQGKVLTRKDVLTTSLSYGAGQDVYILVAPTPGISYWYASV -ANGASLSGTAFRPNPYPGYRSLFGDTGLERANNVTSFRYASTCVGLYPTSNMQQFAGSISVWKFPMELIN -QIVGVGTPVVGQPCWVLNGTDNFSGIGYENYTDSFIKGMYSQSVCNEPDFEFRPIIEEIGAIPSGVITAT -QAGMFCTFATSQPAAGQLCGIVGMGTMDCILIKVATPVGATNSAVLKTWACVEYRPNTNSALYSYAHDSP -APDLMALAAYREIAKNVPIAVPCSQNEGFWKRVLTILRGGLSLTSKIPGPIGMTATGVSGIMDMMTGLAT - ->YP_009333222.1 hypothetical protein 3 [Shahe isopoda virus 5] -MQQGKKQKKAAPSFGRPKETTNGQNSVGQNGGRRRKPKSSKRGKLSRPLRITDPGRAFLKCAFAPPDFNI -DPGKGIPDQYCGKTLSRKDVLTESLIGTVNRDDYYIIAPTPGVAYWYAQTPAGTPPGASTTWSPREFPGA -FGATALFGDYASGGSNRASNVDAFRYASLCAGIYPTSNMMQFAGSVQVWKAPLKQSTENVVLNFGTTPPV -TFNTTEVVVTGLEAAASVPTENYSHAFIDGMYTVSGNNQPDFPFRPIVEGYAKLPAQSTGTSMFGILSGP -FLGLGDTDAIIIKVTTPTNATNSFVLKVWACQEYRVSPNSPFYQYAGSSPTYDPVALDIYRRTMQQIPLA -VVCAENAKFWELVNKIMRGIATTMSYAPGPIGMVGTGMTAIQDGIAALMM - ->NP_689442.1 hypothetical protein FHVgp1 [Flock House virus] -MVNNNRPRRQRAQRVVVTTTQTAPVPQQNVPRNGRRRRNRTRRNRRRVRGMNMAALTRLSQPGLAFLKCA -FAPPDFNTDPGKGIPDRFEGKVVSRKDVLNQSISFTAGQDTFILIAPTPGVAYWSASVPAGTFPTSATTF -NPVNYPGFTSMFGTTSTSRSDQVSSFRYASMNVGIYPTSNLMQFAGSITVWKCPVKLSTVQFPVATDPAT -SSLVHTLVGLDGVLAVGPDNFSESFIKGVFSQSACNEPDFEFNDILEGIQTLPPANVSLGSTGQPFTMDS -GAEATSGVVGWGNMDTIVIRVSAPEGAVNSAILKAWSCIEYRPNPNAMLYQFGHDSPPLDEVALQEYRTV -ARSLPVAVIAAQNASMWERVKSIIKSSLAAASNIPGPIGVAASGISGLSALFEGFGF - ->NP_689443.1 hypothetical protein BoVs2gp1 [Boolarra virus] -MTPRRQQRPKGQLAKAKQAKQPLARSRRPRRRRRAAITQNNLMMLSEPGLSFLKCAFASPDSNTDPGKGI -PDNFEGKVLSQKNVYTETGVNFSGATTQNVDTYIIVLPTPGVAFWRCIKTATAPAQPAALTTTDVFTAVP -FPDFTSLFGTTATNRADQVAAFRYASMNFGLYPTCNSTQYNGGISVWKGAVQMSTTQYPLDTTPESSQLV -HAITGLESALKVGDENYSESFIDGVFTQSINGNAEFPFYPILEGVQTLPGQNVTVAQAGMPFSLDAGAAT -VAGFTGIGGMDAIFIKVTAAAGSVNTATIKTWACIEYRPNTNTALYKYAHDSPAEDIIALQQYRKVYKSL -PVAVRAKLNANMWERVKRLLKAGLVAASYVPGPVGGIATGVQHIGDLIAELSF - ->NP_620111.1 capsid protein precursor alpha [Pariacoto virus] -MVSRTKNRRNKARKVVSRSTALVPMAPASQRTGPAPRKPRKRNQALVRNPRLTDAGLAFLKCAFAAPDFS -VDPGKGIPDNFHGRTLAIKDCNTTSVVFTPNTDTYIVVAPVPGFAYFRAEVAVGAQPTTFVGVPYPTYAT -NFGAGSQNGLPAVNNYSKFRYASMACGLYPTSNMMQFSGSVQVWRVDLNLSEAVNPAVTAITPAPGVFAN -FVDKRINGLRGIRPLAPRDNYSGNFIDGAYTFAFDKSTDFEWCDFVRSLEFSESNVLGAATAMKLLAPGG -GTDTTLTGLGNVNTLVYKISTPTGAVNTAILRTWNCIELQPYTDSALFQFSGVSPPFDPLALECYHNLKM -RFPVAVSSRENSKFWEGVLRVLNQISGTLSVIPGPVGTISAGVHQLTGMYM - ->NP_077732.1 capsid protein precursor alpha [Nodamura virus] -MVSKAARRRRAAPRQQQRQQSNRASNQPRRRRARRTRRQQRMAATNNMLKMSAPGLDFLKCAFASPDFST -DPGKGIPDKFQGLVLPKKHCLTQSITFTPGKQTMLLVAPIPGIACLKAEANVGASFSGVPLASVEFPGFD -QLFGTSATDTAANVTAFRYASMAAGVYPTSNLMQFAGSIQVYKIPLKQVLNSYSQTVATVPPTNLAQNTI -AIDGLEALDALPNNNYSGSFIEGCYSQSVCNEPEFEFHPIMEGYASVPPANVTNAQASMFTNLTFSGAAY -TGLGDMDAIAILVTTPTGAVNTAVLKVWACVEYRPNPNSTLYEFARESPANDEYALAAYRKIARDIPIAV -ACKDNATFWERVRSILKSGLNFASTIPGPVGVAATGIKGIIEAIGSLWV - ->NP_049329.1 coat protein precursor [Black beetle virus] -MVRNNNRRRQRTQRIVTTTTQTAPVPQQNVPKQPRRRRNRARRNRRQGRAMNMGALTRLSQPGLAFLKCA -FAPPDFNTDPGKGIPDRFEGKVVTRKDVLNQSINFTANRDTFILIAPTPGVAYWVADVPAGTFPISTTTF -NAVNFPGFNSMFGNAAASRSDQVSSFRYASMNVGIYPTSNLMQFAGSITVWKCPVKLSNVQFPVATTPAT -SALVHTLVGLDGVLAVGPDNFSESFIKGVFSQSVCNEPDFEFSDILEGIQTLPPANVTVATSGQPFNLAA -GAEAVSGIVGWGNMDTIVIRVSAPTGAVNSAILKTWACLEYRPNPNAMLYQFGHDSPPCDEVALQEYRTV -ARSLPVAVIAAQNASMWERVKSIIKSSLAMASNVPGPIGIAASGLSGLSALFEGFGF - diff --git a/seq/clusters_seq/cluster_628 b/seq/clusters_seq/cluster_628 deleted file mode 100644 index b075a53..0000000 --- a/seq/clusters_seq/cluster_628 +++ /dev/null @@ -1,69 +0,0 @@ ->YP_009253998.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum umbra-like virus 1] -MSTDTKQTAHRCLRLQPQAPPRDRRYLSVGGLTTTVVDWRLAAHPHRRWWLTPNDFMMFKFLRKNKIEST -TPDPIESFAASAFSLDHDEGRHTPVVRLPKVVPPVEYVIKDYLQGASGRWDVPYMVYPPLSLAGQPAPPD -VATSTDVDVGVVEGEVKGRVVVRLPAAKQVRFDGRQTEASTGSASLEKKKPSREFKPSTKYGPSVLENER -GELRDAEFGFRLRKRGRLTRLLESIAKRDVSHQSGEGGKTSEQPARHWLGLITRWGGKLTVTQRVKLNNT -DKDEPSEDCYMTVRIGGSVLAASARLLAGLVVSATNKRRTRELQLALTAKARQIATASGMTDSYLAMVLA -GTVALAMRVSGPEQMANESLGGVSGRQSQRASEDIHAGRTTSLFRQFTRAVGDVMRVECVTGVVLPRATT -XWGVSNSGIGVCVGSKEQHKIRQGARMVIPSLDTTQHSCGPEARRRMYRVWVPSVDGLWAPAVHANCVHN -EEAALRLRTLGPTPADPVCPELDKAFARVGVLLSRLNIQRWTKQQVVESYSGRMRQRYQAALESLVEEPR -LSKYDRRLTGFLKAEKFNPLLKQSKPRMIMCRSPRFNLELASYLKPLEHALWRRWKYGRGGVTPTRVVGK -GLNGEQRASLIRRKMESVGECTVFEIDGKAFEAHVTLRQVDLEHKVYKKVYPRDARLHDLLQTQRKLKGK -TLGGIKFEREGCRASGDFNTGLGNTLVMGSCVDAILRSAESALGAFRTTFLADGDNALVFVETSKAEGVR -SFFSSMVHTVVGQELTVEKPTTIYEEVVFGQCKPCFNGDHYTMVRHPLKTLSNAFSGYRHYDQSAYVGPA -LKAVCRAELALSRGIPLLEAYFARALERLEVYRDLKDPSVVLEDRLRHALAPAYAAKSSNRGVGMDARLS -FQKAWGIGVEEQILMEAELVASVDHWDWTTLREDVHVGDGPFADTDLVENRVDLFLSGRRLKD - ->NP_037581.1 RNA dependent RNA polymerase [Diaporthe ambigua RNA virus 1] -MFVPFPLPCEGTWLPQVHRVCPHNEVGALCRRVLAPLPAQVQERVSPGFSRAMGLAVGLARFYTQPRWSL -ERTALSYDGALRRRYLGAMDSLLDWPLDVGKDSRLDCFLKAEKLNTLIKRPKPRLIFPRSPRYNLVLASR -LKPFEHWLWSHLTAERLGTSGRGRYVAKGLNLSQRARLIVKKMAGFSRCAVLEVDGKAFEAHVGPSSIEQ -EHRVYKAAYPGDSTLSSLLRAQRVLKGRLPCGAKFSRNGGRASGDFNTGMGNSLIMFSCVYASLVELGYS -SWDFLCDGDNALLFVESSDVDDLVERLPGVAVGTCGQELSLDAVTGSLSGVVFGQSKPVFFPSGWRLVRD -PFKALSGFGCSHAWLREPKFRDEYLVGVARCELALSLGQPLLQAFCLRVLALFPGVVPRDHVAFRDYEFL -GVSPRVLRRPEPVTWETRVSFADAFSISPERQLEIESSFDLVRPSGFLASDAVDSFFGADPGMAEKWFER -WV - ->ALM62232.1 RNA-dependent RNA polymerase [Soybean leaf-associated ssRNA virus 1] -MELRNGAKLLVPEEDTLKVDYCPGKRRMYRAYVPKEEGIWAPACYANCRDNELAALKLRTLGPTPPDPAI -WPDDVLSEFKGLRVLVKVLNVDKWDIWRTARSYDGRLRRRYLEAAHSLETDGWIDRRDYKLSAFLKSEKH -NPRAKIGKPRMIFPRSPRYNLVLASYLKPLEHALWKSWRFGLGVSPTRVSAKGLNSAARAELISAKMRGI -GDCVVFEVDGKAFEAHVSARQLGLESSVYKAAFRGDAELASLLKVQRVLKGRTSAGVRFERSGGRASGDF -NTGMGNTILMGCFVSVALRRFAFENPGVRCTLLADGDNALVFVSSRWADRLRSSFANRISSICGHEMTVE -DPTDVLEKVVFGQSQPIVTSDGLKMVRDFRKVLAGAFCGHRHYFDRTFAPRLMYEVALAELSLARGVPVL -EPYFRSCVQALEGFKRLRDPSLFLEGHLLGVNVEHVKRPFEAISIDTRVSFESAFGVGVQEQLDLEQRLV -SGVRADLRRVATGALWLDEVVTGEDGRSGSETVDAWDHCFL ->ALM62236.1 RNA-dependent polymerase [Soybean leaf-associated ssRNA virus 2] -MWTAYRAPLQGAFVPVCNRPCPHNEVTALAMRTMGEVPAQVFGPVSAGSEATWRQLLKFARRYRDGALSW -RATAESYSGTLRRRYLEAARSLEEDGLSTHQDWTIRAFLKTEKNRVPGKAMKPRLIYPRSPRYNLEVASR -LKPFEHWLWGRLKGSVLGFDGSRLVAKGLNQRQRANLIKRKFDSFSRCVCFEADGKAFEAHVGPAALAKE -HAVYAAAFPGDRRLGFLLSKQLELSGTTSCGAKFRRDGGRASGDFNTGMGNSLCFLVEVVSALKTFALSK -FDVLVDGDNVLVFLEAAESEPVLGGFSDAILQSCGHEVLLERPAFVLEDVRFGGSAPVFLGDKHGWSMVR -EHHRVISGAFSSHIYLREPVFAREWMVGVSMCELSQARGVPILQAFFTSAIRALGPVRRVREHPHRDALA -LGAWFATEDSALEVSLEARVSFERAFGVPMEEQRRLERSFDDMVFGSSWECLSGVEDASDLQDFIDKLVY -NGP ->ALD89104.2 RNA-dependent RNA polymerase, partial [Macrophomina phaseolina single-stranded RNA virus 3] -TRPADPGLGSDFRRVFRHMVRIGARYGGSRWTHLETAESYSGSLRRRYLEAERSLREDGPVSAGDVYLRA -FLKAEKLSVAKFQKPRMIFPRSPRYNLVLASWLKPFEHWLWGYLTAARLFGGSNTRVVAKGLSPRQRANL -IVRKFNDFKRCVVFEADAKAFEAHVSSVQIGLEHSVYLAAYGGRRALRDVLSRQIFHGVTPTGLKFSRLG -GRASGDFNTGMGNTLIMLAAIVGSLGTYGVKFDCLVDGDNALVFLEQGDVERVVNSFSELVLTQSGHELT -LEKPVAYLEGVRFGRSAPIFLGHGLGWTMVREPESVLSCALASHRHLREPSFGRRWLNGVARCELSLARG -LPVLQAWALQVLKTTATLKKLPVDEFREYFVVGAWLAGEESVLPVSVESRLSFERAFGWSPEEQLRFEAS -VPGVGSGWPVCDVEFPDSRFWTLCEHRLTDAYWEAHV ->YP_009115495.1 RNA-dependent RNA polymerase [Magnaporthe oryzae RNA virus] -MRTACPTSFVGMWVPAVHADCPHNQVASLLKRTLGPVPDPVHEPVGPTVKAVFSRLRALAARSGVSRWSL -RRTAESYKGALGRRYMEAERSLRVDGPVNSGDTYLRPFLKAEKVKVMDKQSKPRMIFPRSPRYNLSIASR -LKPFEHWVWGRLTTQVVLGTGVGRVVAKGLNPRQRANLIVRKFRALDDCVCVEVDGKAFEAHVGEDQLLE -EHGVYEAAFPGDWELQRLLRVQLSLRGKLPCGAEFTRPGGRASGDFNTGMGNSLIMLAVVGAVMKELAPG -AFDLLVDGDNALVFMPRGLLGLVRANFERRVLEVSGHEIQLESPCSVIEEIRFGQSAPINLGGSRGWTMV -RDPRKVISQALSSHRWLREPTFASEWIRGVAACELSLSRGVPVLQAWSASLQRVYGGPGGVREHPHTDYF -YQGAWFADVSQSVEVTQQARISFWAAFGVAPDEQLALEGGAFEPSSEDYERVVLESFQWRDMPPGVAEPL -ADSL ->ALM62246.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated ssRNA virus 3] -HNEVTALAMRTMGEVPAQVFGPVSAGSEATWRQLIRFARRYRDGALSWRATAESYSGALRRRYLEAARSL -EEDGLSGYQDWTIRAFLKTEKNRVPGKAMKPRLIFPRSPRYNLELASRLKPFEHWLWGRLVGSVLGFDGS -RLVAKGLNQRQRANLIRKKFASFRRCVCFEADGKAFEAHVGPAALKKEHAVYAAAFPGDVRLGRLLSEQL -VLRGSVSCGAKFERKGGRASGDYNTGMGNSLSFLVEVVAAMRTFSLSKFDVLVDGDNVLVFVEEDEAEPV -LDGFSDAILQSCGHEVLLERPARVLEDVRFGGSAPVFLGEKRGWCMVREHHRVISGAFSSHVYLREPVFA -KDWMVGVARCELSQVRGVPILQSFFVSALKALGPAKKVREHAHRDALALGAWFADEDSSLEVSLDARVSF -ERAYGVPMEEQLRLERSFQSLVFGSSWECLSGVENVNDLQDFLDKLVYNGP diff --git a/seq/clusters_seq/cluster_629 b/seq/clusters_seq/cluster_629 deleted file mode 100644 index dbbf548..0000000 --- a/seq/clusters_seq/cluster_629 +++ /dev/null @@ -1,129 +0,0 @@ ->YP_009337013.1 hypothetical protein 1 [Beihai sobemo-like virus 6] -MAICDSVSACAFTAFIWGPFAAVTFFLGLLFKIAGSFVSFLDAITEGPLKVYAALLLAVVTSGGAAYLYY -RMRILSRVVLLDVAEEPVGPYRGSFGRLFFRQSPGDKVFEAVPEAMVMSSSGELGTAQVTYKLQPLGGDG -DESTLAYSSPPRVALKLPPFMFEIGLMTGDGMMTILGIGFRAGDYLVTARHLFHSRNKVGFGARSCFIRR -GPISVEVQLTNPIFTNGKSNEFESMSWMDICAYLVPPGEWAALGARSLRTTELSSEGDGTVEVYGRPGAQ -LMVSSGQLVNDVKMEVERGVLSHTASTVGTFSGSPLVMMQGGAYKLVGMHIAGGVDVNYAVSAGGVRRML -KALDFGPRSRAGVVWDALRPQFHNESKEERRKQMEPKYSLWDEEEMRARQDQEDCERELMAEVKDYHAAK -LHGQGHLDWKIGKRQQRTEKKDAAASSKERWADMMDDSIEESPSRTFTDEELELAERLYERLSQMKREKA -KGVYNGWIDKSYVQGYCFLSKTKTYKALFVLSRINRIQLWSWKDRSWIAMEELLSHLPSVQEEDQGQEDR -EEETEGAEQQEADAQEQPPSDSAQHESPPGLKRSDSIAAAVWHNSAPLRYEEHSLSKPGLIGNLGAPRAA -PRSDHPPHSFPFATGGEKFWADRRSQCRRPLEPRDLNPQIEGDMEDWMTKGDWNSWRRLKNVGAKTLLQM -PQMQEYRQIMRAGNVRIWKDKKTRSSNVAYNANGAAHAYRIGTCEASRGRPREAKHVSEDFVDALQDLWY -EGFEEPGSLAKKVSGYALPPSGPDAVKASFIGQCGRQKPGNWDKLRETPNFHTQVNRFYKEYTPSDPCTR -SSIQDSIDHYLDNMDGAKSAGWSSRVRAGTKGVWSRNEDARCVLTYCVMARLALRIAEGDNIHWLKAEDM -VSLGLKDPVEIFTKTEAHDEKKVKDERWRLIWVVSMIDSVCQDIAHRHQNKTDIYAYAAGKLNCQAVGLG -HHDNGVKRIGEMLEEISKKYPRWGTAGQVAYDDFQSSDASGWDLSVSRDAIYFDAERRIALSTRACPAYN -DLLWAEAACNSAHVVVIGTAMWTFEWFGITASGIPSTSAQNSSIRSFTAQACGATKQTAAGDDLVHTGSL -DIELLASTGCLTKEGSERSSGPEGPVEFTSHVFKKVDGVWTATFNNLVKMLAHLDLRRPDGEAPSSDMVS -GMRFALRHTPLADRVFCQVCDRMGWSVSQPVDVAWE - ->YP_009336985.1 RdRp [Beihai sobemo-like virus 8] -MAFTVTISDELIESAIWSFFGGGAMFLALKIGVFGMLWEAASWLLAWVWFVVQVVARRLAFQLQLGALPV -AACKTGFIIVGEKAYYRDPVDLRMYSVVPHTATCTDGKMVLKDYRLVPLGGDAKVAESALFGSDPLTKRS -SAPNDYVSLYIEQDGEYHYLGGGYREGLFLITAAHALTESEGHTLALSRDGVKFYRPAGVKRHYAREGYA -RCTGDDVGAFELSAADWAASGVRSVKPSVYSAVGQTRVEVFGRSRQGVLQAGVGALLPPTEKQKRLGIVP -HSASTIRGFSGSPVYSTGESGRRIVGLHIAGGCESDNKNYMASVHEIHFLRQKLGLVPEPQLRAEVSPNT -KHFQYNRHDRDSDEEELDDELDNANKFGGTFGFYDSFAETAPVSAASAGAVTNPPPGLTPIVEGAESEPS -PEESGVGSEAPGAEAPSAEGDRDDDVLQVQDAFEEEEYVVLPDIEAPPPPPAGAEFVRRKKVGNIGRFFG -CTAFAGAAVAATNKVFDPAWMVCDGPSLRAAAGADYKSMFESTNFERYREYLSAARAEAAEDARTMKGVD -GNDVARLFASGVSNRSRKKALKRLPERFLEAIGRLGLDTKAYTGWANPPTGVEAMEQSFKVQLGGVKPTC -WPEATRKQFVAKEGPLYEDFLTEVAKYPANRYNAFTGVHARLTRFVLGLDGDKSAGWSQHFRPGTKRSWQ -DKEGLELASYLTRCRLLLRAAVGPDTMAQMTPAQLVGAGLSDPRTMFVKVEPHNDAKVAAGRWRLIWGAS -LVDVCTASVTCRKQDKLDIEQYQGGPIPGGHQQASGLGHHDLGIDRLSREFDRLLETGLEVFDADAQHWD -MLVNRDSLYADAWRRIILYDGSNKDVFEMMALCEAAANSAHVVLVGGNLWEILKPGITASGILSTTAQNS -FIRALLYSFVGIKHCVVAGDDAVGARQKGYDHRSALAEYGPIEKAVNVYTPEAGIEFTSHRFTKSAGVWT -ARFLNLGKACARLALGEKEVRQDQLSGLLFCVRHDEAQIRNLGQVAEQMGWPIAGAEPVFLPCLD - ->YP_009336949.1 hypothetical protein 1 [Beihai sobemo-like virus 9] -MAVTIEITDDMIAQAVLYSKYGGAVGAVMIAIRMGLLRFLVGVVYNVFGFLGFAATTSVGWWRYHSQIGA -KELAVCKTGFVTYGDRTFYRDPSDLVLYSVKPGRARFVEGQFVLSDYVLVPEETGTIAESALYGSDPIAT -RSVAPNDYVSVYVVHDDGYHYLGGGFREAAFLITAAHAITDSLDHRIALSKDGKKFYHPGKVIRHFVNDD -YVRCTGDDVGAFELSAADWAVLGVRSVKPSVYSATGMSRIEVFGRDPVGVLKAGVGNLLPPTQKQKYLGV -VPHSASTMKGFSGSPVYTIGETGRKIVGLHIAGGTGTENYMASVHEIHQLRRKLGLIPEVPMVAEASPDM -KHRQFDRHEHNDLMDDLSRVSKHGGLLRVYDDLAGNDYAESADIPKAVGTPVTGLEPEVSSGSGADLVPA -LAPVPSTGNASAKEKAASEVADVSTDADLSHPDEVPDELDFSKLSEEEPVPPIGVKRVRNKKVGGIGAFL -GTTALAGAVIGKVGSAFDPKWLDCTAASLAEASAASYHAMLNSPNFDRYREYMSASGVVAADQQRTMKGV -DGTDLARLFGTGVSNRSRKKALKRLPARFLEVVEKLGLPVKQYAQWTLPPAGVEAMEHSLATQLGRTCSS -CWPEETRRQFANKSGPQYDIFLEEVARYPANRADAFANVHSKVTRFVLGLDGDKSAGWSQHFRPGTKRSW -QDTEGLTLASYLTRCRLLLRAAVGPCVMAQMTPSQLIEAGLSDPRTLFIKNEPHSDSKVADGRWRLIWGA -SLVDVCVASVTCRRQDKLDIEQYQGGPVEGGHQQAAGLGHHDAGISRLCVEFQRLIDTGLQVFDSDASGW -DMSVNRDSLYADALRRILLYEGRGKAVFERLALCEAAANSAHVVLIGGNLWEVLKPGITASGILSTTAQN -SFIRALLYAFVGVRHSVVAGDDGAGAREHGYDHVKALAEYGPEEKQVNLYTADSGLEFTSHRMRRGTDGT -WSAEFLNLGKACARLAFGDTVTADQLAGLMFCVRNNPAELKTLGDIAEEMGWPIAGVTPAFLPFLD - ->YP_009336925.1 hypothetical protein 1 [Beihai sobemo-like virus 7] -MDLRKVSMASPVGCSLAVAQRILGLAKSGLGYLWLRAKNESIVARAVGAVFAKLLSVDKEQRRKLWYSFT -SYLKWAATGGSLEIKLVRLILLAVAVRKSARFARDSDRVQYCAGRLWAMCTRVPLNLRPAEEAGVIQNTS -LGLIWCDPNDKRTYKLTPSVVTGELGSIGVPQYSLTPLDATENLLAVHGGVDRAFTWISGVFVVCHCTDA -GDMPVAHGYRSGNRAYLSRHVLEGPKAIPRTEGSLAILNPKTGDKKALSPDFAYDLHCGSKHVPYSGSDL -ASIDLPGFFWAVVGVKAISENAFRASTEGFVFMTTFGPDGVMRTSRGSLIPQTREDQLAGRIGYTISTEP -GYSGSPVFQLVNGACKVVAIHMCGQIDERPANFGVCVPDVIHFRRQVGATLATELLCESKEKSPAQYNRW -DDPAFLNSIFYGQGSDDEDDYGMDEEKARLAEQAEREQDERDALLQHEREIAGRGDDYADFQGRDAGRRA -RRAKYDDDEEDLSESQVQCVPVHVNKWLPDTADRKSEGASLTEEQIKKQLAANAPDPDVVSTWDPKELLA -SAPFARFREYLAAGAIEWDPKETVVSHDCNGEPVLMRVGKSEARSSGKSSPEKKLRQQFKDACEKFGVKM -QDYIIPRDNPEAVLESLKGQLTTHCARDLKDTPQVREAFDKIVSSYEPCEQPYITGGGIHYGVDAILDSL -EGDKSAGWADQYRAGKKEVWKTHEGRGIASYLVRCRILLRLAWGSVAMGCMTPKQMVREGLMDPRAPFIK -REPSSVKKQKTKRWRLIWNCSVIDVLVAGITNRKQDKQDIASYQDGRAGFHCVGMGHHDDGIKAVGSVLD -RIAAKCGKLSSSDISGWDIGVQRDWIYLDGLRRIRLGPDSKIFRELTWCEQAATSAHVLFIGGVLYQILR -AGITASGIISTSAQNSFMRSLLELIAGSEDGVSQGDDNVSSGRNNTFLEDNGVVVRDVVESGPCGPVPFT -SHEFVKRAGHWFARFMNLDKMLARLELNRSGTGVPAQEAIGGCLFALRHSAVEMEQFLGVCREMGWNTDV -PAMPIETLD - ->YP_009333489.1 hypothetical protein 1 [Beihai sobemo-like virus 11] -MSVFAQSLGERVMELFGTFAGACYHSARKFAEAADYQLPSLASIIGYVLMGLTFCVAQSLWSWMSPSATK -TFKQFLGACKALWKYRADRMQKPITGTVVGPVHGYFTHGAEHYYRCVKTSKLYIVSPGRGRFYIDKNGEE -KYEVCDYVHELVQSSGIEAEANVHNGEPALPTPALDKCVVTLAFKDSNGDIHNLGHGHRMGDYLVTNAHV -NDAGLARSEDGQIVFTKDNQKFYPAGEFIYFTKNYEKATGNDLGAYKISLGTWAASGVTSFQPSNYNPQG -EGRIYLTAYDDVRGKTMMAKGYLHNQTKEDCKAGLIPHTAITRAGYSGTPIFLMKGQRRTMVGIHCGGRI -DGKGNYGCSIYELFQFRRQLGLEPKIPLICEAKYISPPTKDRQYDRYDQETPEQREERKDREAMEKAAEE -EIRENLHGEALVPEAALDGPTWVELGVNTGFEIDMDETHSQSVGISDLGSVSDLGLSEDEVQLAAEQLPR -ANLGLNEDALQELHQDQDERRTAAVERLRTYAEQQYEQIPEPAPPQEQEVMSAADKYDLIPDPIGEPEFE -DGEIEEPMVHFPASGQPVVLGPQVSREEKERGERTFASRASMPLWVKGFLGVGLLQAANVVSPTGKTREV -TDEQVKDLLRCRPQDLAAARKLDPQSLLNSTPYEVYRSYLGCGDVEWTPDGEKIKDDQDNVLAARVGKSR -MFSRSSNKSHLPDKYKKVVADLKLGPQQGFKDYVMPPTGPEAVLGSLRTQLKKTSVEPWPKEFLERDGGD -HLRNELHDEASKYPLAYEAGFLNVHDFVVKLAKEFDGTKSAGWSQLYRPGNKAVWQTEEGLALASYMVRC -RLLLRMAWGAEAMSKMSPEQMFQNGLSDPKVASVKVEPHDPEKAAEARWRVIWGASMIDVLTQGVTCRMQ -DKLDILSYQDGTGKHSQGAGLGHHPEGIQMIGKHIERLQASGGDLFDADASGWDLSVKRDSILMDAERRI -RCYVGPYHEVFKDLQLADAMTNSAHMLVFGEYLFSIYLAGITASGILPTTAQNSFMRAFIARLVGILATF -VAGDDLMGAGRFDPEYERKFGPREKRITYRDPGEPIEFTSFLFSKVGDTWIADFTNLGKSCAKLAFSPKS -IQPEQLGGVLYHLRDNPAKADLFREICYRMEWPIDQAVAGPDGDCD - ->YP_009330021.1 hypothetical protein 1 [Beihai sobemo-like virus 10] -MISSIAEFLGNQLLLPFDKVVGLVAEKGKLQVPTRESFLGYVVMAALTLAIAEFFRAHGNLCGRAYGHFL -GACASLWKYCVDRMQRPATGDVASQRFGYFQHGDEHYWRCRRTSKLYRVEPGRGQFYIDDAGVEQYEVMD -YIHVLVQGSELIQPEANLLHGEVPLPFEGLHKSVVAIFQKNHDGEFAQVGHGHRCGDYLFTNAHVHDATN -GDVYFSKDLKKFWPVGEFTYFVKNYSKATGNDLGAYFVSRGTWAASGVSTFQATNYWPQSEGRIEITAYD -DVRGKHMIAKGHVYNQTLVDAKLGMVPHSAITKVGWSGAPVFMMKGQKRTIVGIHCGGGSDGSANYACSI -YELLEFRRRLGLEPKIPLVCEAKFISPPSKTKQFDRYDEESPEERERREDSEMLTREAEEHVTELLHGEA -NSPPKKDISPEAKLPGPTWYEHCHIDAPHSPAGTLVLHLADDVANMADLEDLDIPDGPPSSPDPDLDGGA -ADVHVNAVLAEYILRARAINEEVGPPPGLFPSLSEAEPPEVPQEEHEPEEHGGLSEPIYMGNPQGEPVTL -GPQISPEERERGQKTFESRASRPLWAKAFLGVGLLGATALSPSGRAVTDEKARSLLRCSPQDLAEARKMC -PISLLATPAFDRYRGYLGSGDVDWVNFESHKIVNQSNVTVAATVGTSRLYSKETPLTKLPGRYREVISEM -GLGPEQGFEDYVMPPSGAEAVKESLESQLNATCHEPWPKEVLERDGGNYLRDELHSEAGKYPLAFSSGIS -QVHDFVVKLAKEFDGTKSSGWSAHYRPGPKSAWQCAEGLETASYLVRCRLLLRLAWGAHEMSKMTPEQMV -QNGLSDPKVASIKSEPHAPRKAANKKWRVIWGASIIDLLAQGVTCRIQDKLDIHSYQDGTGQHSQAVGLG -HHPEGIQMIGKHIERLQATGHQLFDADASGWDMSVKRDSILMDAERRIRCYVGPYHEIFAALQMAEAMTN -SAHMLAFGPYLFSIYLAGITASGILPTTSQNSFMRAFIARLVGIIATLCGGDDLMGAGKFDPAYERRFGP -IEKKITYREQGEPIEFTSFLFRKENGVWKADFVNLGKSCAKLAFSSKPVTPDQLGGVLYHLRDNESNASL -FREICRKMDWPIEGAIAGPDGDQG - ->APG75910.1 hypothetical protein 1, partial [Hubei sobemo-like virus 4] -MLRLRHATGYRIVIDKKNAYRLVYRALATEYWKDAFVGTNVELRPFTTEARITGKLSDVVPPLSKQGHGV -LSHYRNTGKDGTAETVFHGAALEVRDGPASGFMFVTCRHSMFDLESGRAAVDSSNLSISGRSTKGVFKDT -IYDLSKWEKYEWRIKTGNSVAGTCLDLVVYKAPGHVTKGTKSREYRPFHEITTPVLNTELSTFRGGLAVI -FYHQRNTDGTVKLMRSDGEFGNFPQYERERGIIAHSCNTEAGHSGAPLWRARPSDNKLEMVGIHIGYGQG -PDGCVWNFAITVTALNKFLRMYSLAAPSAFLMWHKGRKGVTNEANHVAEEDSDDEDEEGVCTCINPLGLS -FRSESRTNWGNGTVVTSPTDRDDYQQELESDDERDDHDDDDGRDWAEDDFIFRGAGPNANEAELAHDAQR -AREHSAAEEIAYRTSGSTEGTCFVASRVPYGFEPQYRATNSKKGRKLWDDFNGEAKVYRHTGKGCKNSRK -KRERRDRAFGASESLSHYLPARPGLDSKFAQRYWATSWKREDAKAVIRSLHELRSIPICNPADRPEVGDI -LDKVYAGNSLDHLAFAQQYTPTEIATHAEGYAFRAYTNAARVKLGFTGDQMRNSDGLTIYEEVGECNELH -SAPASEKVEIDEAFLDAAGEAGVELRCPDRRAKFVKPAFGRDKILRTIAKQAMKMREAKWDAVDGKVTED -PIFTGYPMSKASGFYRLAEHIEKIIDTLDPTKSSGYSQHYIAGPKESWKTEEGRRELVSIVLYRYMMIVS -LGPAVLGRMTPEELVFHGISDPETIFPKDELLKRAKDDGGFTRTIWPSSIVKNFALALLCQEFNKDCIAG -YQKGEIVKLFTGVGHHDAGLAHMSKNVEKIMTDPSTGVMTEGCTKDCENYDFSIARDGQMLVAGVRLHNT -APIPDSGKCTAVFEYGSRSDMFDPTLLEVRGITQVFAVLMHSVILLEGAHTFVVGKKLYASSVAGQTGSG -SVNTTTDNSIVQSAAHELSGAVQTGSGGDDNLSRMMREWCQKTYESLGFRIKPGSYAVFGWSEDGMKYGP -ISYNSLNGNFAAITPFRTEGGTWTWTFDNIEKLFLNARLTIPNQEALSDDRVKSALVGYKTCLRHVEGPG -NWASFVKTFQGVGIDIELADLAGVPEARLDPWVYAETIDRDGHIANLTHPDVGKKVSFSLAVTESKKKRQ -AEISPAAFGITPEEQIANLQGLVARLQARVDSMPEMTHTIGVVDGVIEQARNVSPG diff --git a/seq/clusters_seq/cluster_63 b/seq/clusters_seq/cluster_63 deleted file mode 100644 index e7b650b..0000000 --- a/seq/clusters_seq/cluster_63 +++ /dev/null @@ -1,5138 +0,0 @@ ->YP_009825006.1 ORF1ab polyprotein [Duck coronavirus] -MAPGLSKGVSPNKKNVIFVVKDIQPALCDALFFYTSHVPRDFADAHTVRERFDRNLQSGRVFKFETVCGP -VYLQGVPSVPPGVKALDAESKLVDLENIFGVSPLSRRYRDLLKTAPQWSLNVESLDAQAEKLDTLFSSSE -ILWLQVAAKVQVSAMALRRLVGEVTAKVMDSLGSNLSALFQVVKETMARIFQKALAIFESVSELPQRIAA -LKMAFAKCARSITVVVVGHALTIREFAGTCLASINGAVAKFFEELPTGFMGAKVFSTLAFFKEAAVKIVE -NIPNAPRGTKGFEVVGNAKGTQVVVRGMRNDLTLLDQKAEVPVEPESWSAILEGHLCYVFKSGDRFYAAP -LSGNFALHDVHCCERVVLLSDGYTPEINDGLLLAALYTSSTVADVLAALKKGEPFKFLGHSFVYVKDATV -SFTLAKGASIADVLKLFVKEAADVWSVFNEKSHEFWTRAHNKCRNLKDLVATHCCKAQVTFVVLAIALGV -TVWKLVKQVLFKVAGLFTAVVDFCSKAWNGFCDQLIHAKLFVNELGCVLVGVKNHCFQLLLVAVHAFYKT -LEKCAIGRIWAGDLLFWAGGAHKVEEDNGEVWFDAVYTVQVDEQGTVIEDNVPDFVVCHDVSIPERQKGY -IVQVSADGKNYMFFRYQQGVNTYYAPMSQVGAVNVVCKAGGKTVTFGEDQVKELPTPDVKCIYLDIECCG -EPWTSVFKRVYKDPVEVETSLTVEELRAVVYEMMCDSLKLFPDAPKPPHYDNVALVDNQGRDLQTIDSCH -LVYVDYDSDGAVSEEEEEDVSDTEDVNEEDERLAGLLKNPANFKYPLPYDDEYSVFCGRLVHKCAIDIYH -YPSGDDMYVINDAFDGAVKAIPQSVVDVLGDWAAAVDSQERALLVETPVETPKEETQKPQKVEEQKPKET -PVETPKEETQKPQKVEEQKPKETPVETPKEEIQKPQKVEEQKPKETPVETPKEETQKPQKVEEQKPKETP -VETPKEETQKPQKVEERKPKETLLNKQFLPDSSSDDEPRKKSFRFKLKPTKCKVPNNVEYSTCVGDLSVV -VAKAMDSYEDFVLVNAANEHMRHAGGVAQAIADFCGNKFVDYCDTFISKNGPQQQLLAPSGVAKIQAVNN -VVGPRHGQHDLFDKLVAAYKKVVVPGAINYVVPVLSAGIFGVDYKMSIDAMRKAFCDLKIRVLLFSLNQE -HIEYFNATCKQKGVYLTEDGTSFKTLVLKPGDTLGHLGGVFAKNKTVFTADDVEDEEVLFTPTTDKAVLE -YYGLDAQKYVIYLQTLSQKWEVQYRDNFILLKWRDGNCWISSAMVLLQAAKIRFRGFLAEAWAKFLGGDP -TDFVAWCYASCNAKVGDFSDCNWLLANLAEHFDADYTNALLKKRVSCNCGVKSYELRGLEACIQPVRAPN -LLHFKTQYSNCPTCGANSMDEVVEASLPYLLLVATDGPAAVDCDENAAGNVVFIGSTNSGHCYTQAVGKA -FDNLAQDRKFGKRSPYITAMYMRFSLKSKNSLSVAKKSKSKSDVVKEDVSNLATSSKFSFDDLTDFEQWY -DSNIYESLKVQETPENMDEYVSFTTKEDSKLPLTLKVRGIKSVVDFKSKDGFTYKLIPDTDENSKAPVYY -PTLDAISLKAIWVEGSANFIVGHPNYNSKVLRVPTFWECAESFVKIGEKVDGVTMGLWRAEHLNRPNLER -IFNVVKKTMVGTSVVTTQCGKLISKAATFVADKVGDGVVRNVSDRIKGCFGSTREHFERRVSPQFLKTLF -FFVFCFLKASVKGLMASYKSVLCKVLFTALLILWIVYTSDPVISTGIRVLDFLFEGSFCSPYADYGKESF -DVLRYCGSDFTRRVRLHGKDSPHLYKHAYSVAQFYKDAVNGISFTWNWLYMLFLLLFVKPVAGFVIICYC -IRYLVLSTTVLQTGVGFLDWFIQTVFANFNFMGAGFYFWLFYKVYIQVHHIMYCKDITCEVCKRVARSNR -HEVSVVVNGRKQLVHVYTNSGYSFCKRHNWYCRNCDKYGHQNTFMSPEVAGELSEKLKRHVKPTAFAYHV -VDDACLVDDFVNLKYNAATPGKEGVHSAVKCFSVSDFLKKAVFLKDAQKCEQISNDSFIVCNTHSAHALE -EAKNAAIYYAQCLCKPILILDQVLYDTLACEPVSKSLVDKVCGVLAGILDVDNYCFSGKLNYKAGSLRDA -LLSVTAGEEAVDMAMFCHNYDLSYTSDGFTNVVPSYGFDLGKLTPRDKGFLINADACIANLKVRNAPPVV -WNYHDLIKLSDNCLKFLVSGVIKSGAKFYVTRSDVKQVITCLTQKLLLDKKAGGVLKDTMSWFWFIVKFV -VVCYLIFTAGCLYVYHTDYYGVKMHPMYDVNSTFPVENFKVIENGVLRDLVSEDNCFSNKFLGFDDFWGK -PYTNSRDCPIVTALIDGTGFVAAGVPGYVHWVMDNTMFIHTAQAEQKPWYAPSWFPQEDVVGYTIDSVIT -QGEFYSSIATFPARCMYFATSAAPQLYCYGGENDAPGAMPYESVQPHRDIFQPNNVRFSIPQQIMYTPYI -VKLASDNYCRGSVCEKTKLGYCYSWNPRWVLYNDDYVKLPGVYCGATVRELVFSMLGSFFTGVSPNLYLH -LTTMFLVLLCVMVVFALVIRFQGVFKAYTPVVFAVIMVWGLNVFMLCVYSYNPFVAVMLLALYCYMSLMV -GRTVSTVMHLWVVFTFIMVVPWWLACVYIAFIAYMYTPFAMWCYGTAKRTRKLYEGNEFVGSYDLAAQST -FVIRNVEFVKLSNEVGEKLDVYLSAYARLKYYSGTGGEQDYLHACRAWLAYALDQYRANGVEVLYTPPKF -SMGVSRLQAGFKKFVSPSSAVEKCIVSVSYRGNNLNGLWLGDSIYCPRHVLGKFSGDQWGDVLNLANNHE -FEVVTGNGVTLSVVSRRLKGAVLILQTAIVNADTPKYKFLKANCGDSFTIACSYGGIVIGLYPVTMRSNG -TIRASFLAGACGSVGFNIEKGVVNFYYMHHLELPNALHTGTDLMGEFYGGYIDEEVAQKVQPDKLVTNNI -LAWLYAAIISVRESSFSTPKWLESTTVSIEDYNKWAVDNGFTSFVSCTAITKLSAITGVDVCKLLRTIMV -KSAQWGSEPILGQYNFEDEMTPESVFNHVGGVRLQSSVVKRAASWFWSTCVLFCCLFVLCSIVLFTAIPY -RYYLHGAVVLFATVLFVSFTVKHVMAYMDTFLLPTLITVIIGVCAEVPFIYNTLISQIVIFFSQWYDPVV -FDTVVPWMFLPLVLYTAFKCIQGCYSVNSFNTSLLVLYQFMKLGFVIYTSSNTLTAYSEGNWELFFELVH -TTVLANVSSNSLIGLIVFKFAKWMLYYCNASYLNNYVLMAVIINGIGWMFTCYFGFYWWINKVFGLTLGK -YSFKVSVDQYRYMCLHKINPPKTVWEVFSTNILIQGIGGDRVLPIATVQSKLSDVKCTTVVLMQLLTKLN -VEANSKMHAYLVGLHNKILASDDVNECMDNLLGMLVTLFCVDSTIDLSEYCDDILKRSTVLQSVTQEFSH -IPSYAEYERAKDLYEKVLADSKNGSVTQQELAAYRKAANIAKSVFDRDLAVQKKLDSMAERAMTTMYKEA -RVTDRRAKLVSSLHALLFSMLKKIDSEKLNVLFDQASSGVVPLATVPIVCSNKLTLVVPDPETWVKCVEG -MLVTYSTVVWNIDTVFDADGVEIQPSSNGQSLVYHIGGDRIAWPLKVNLTRNVHNKVEASLQNNELMPQG -IKTKACIAGTDQAHCNVESKCYYTNINGSSVVAAITSDNPNLKVASFLSDAGNQIFVDLDPPCKFGMKVG -GKVEVVYLYFLKNTKSIIRGMVLGAISNVVVLQSKGHETEELESVGILSLCAFAVNPEETYLKYVSAGNQ -PLGNCVKMLTVHNGNGFAITTKPSPTPDQDSYGGASVCLYCRAHIAHPGASGTLDGRCPFKGSFVQIPTS -EKDPVGFCLRNKVCKVCQCWVGFGCQCDSLRQPKPSVQSDAGAPGFDKNYLNRVRGSSEARLIPLSDGNQ -PHIVKRAFDVCNKESAGMFQNMKHNCARFQEVFEGEDSWKRGLEYKDSYFVVKQTTPSNYAHEQACYEDL -KSDVVAVHDFFVFNKTIYNVSRQRLTKYTMMDLCYALRHFDPKDCEVLKEILVLNDCIEDWYWNRSGENQ -TPWDWFDHNLTWYDPIENPSYYAILANLGHIVRHALLNAVKFGDLMVEKGYVGVVTLDNQDLNGKFYDFG -DFQKTMPGAGVPIFDSYYSYIMPIITMTDALATERFYDFDRHKGFKSYDLLKYDYTEEKLELFSKYFKYW -DQEYHPNCSECADDRCLIHCANFNILFSTLIPQTSFGNLCRKVYVDGVPFIATCGYHSKELGVIMNQDNT -LSFSKMGLGQLMKFVGDPALLVGTSNNLVDLRTSCFSICALASGITHQTVKPGHFNKDFYDFAEKAGMFK -EGSSIPLKHFFFPQTGSAAIDDYDYYRYNRHTMFDIRQLLFCLEVTSKYFECYEGGCISASQVVVTNLDK -SAGYPFNKFGKARLYYEMSFEEQDQLFESTKKNVLPTITQMNLKYAISAKNRARTVAGVSILSTMTNRQY -HQKVLKSIVNTRNAPVVIGTTKFYGGWDNMLRNLVKGVDDPILMGWDYPKCDRAMPNLLRIAASLVLARK -HTNCCTWSDRVYRLYNECAQVLSESVLATGGIYVKPGGTSSGDATTAYANSVFNIIQATSANVARLVSTI -TRDIVYDDVRAMQHELYQQVYRRVNPDAAFVEKFYSYLNKHFSLMILSGDGVVCYNNTYAKQGLVADISA -FREVLYYQNNVYMADSKCWVEPDLEKGPHEFCSQHTMLVDVDGEPKYLPYPDPSRILGACVFVDDVDKTE -PVAVMERYVALAIDAYPLVYHENEEYKKVFYVLLSYIRKLYQELSKNMLTDYSFVLDIDKGSKFWEEEFY -SNMYRAPTTLQSCGVCVVCQSQTILRCGNCVRKPFLCCKCCYDHVMHTNHKNVLSINPYICTHPGCGEAD -VTKLYLGGMSYFCVNHKPRLSIPLVSNGTVFGIYRANCAGSENVDDFNQLATTNWSTVEPYILANSCSDT -LRRFAAETVKATEELHKQQYASAEVKEVLSDKELILSWEPNKARPPLNRNYVFTGFHFTRNSKVQLGDFT -FEKGDGKDVVFYKATSTAKLSVGDIFVLTSHNVVSLTAPTLCPQQTFSRLFNLHPNVMVPECFVNNIPLY -HLVGKQKRTTVQGPPGSGKSHFAIGLAAYFSNARVVFTACSHAAVDALCEKAFKFLKIDDCTRIVPQRAT -VECFSKFKPNDTGKKYIFSTINALPEVSCDILLVDEISMLTNYDLSFVNGKINYQYVVYVGDPAQLPAPR -TLLNGSLSPKDYNVVTNLMVCVKPDIFLAKCYRCPKEIVDTVSSLVYDGKFIANNPESRQCFKVVVNKGN -SDVGHESGSAYNMTQLEFVKDFISRNKEWKGATFISPYNAMNQRAYRMLGLSVQTVDSSQGSEYDYVIFC -VTADSAHALNINRFNVALTRAKIGILVVMRQRDELYNALRFVELDSNVALQSTGLFKICNKEFSGVSPAY -AVTTKALSATYKVNDELAALVNVEAGSKIPYKHLISLLGFKMSVNVEGCHNMFITRDAAIRNVRGWVGFD -VEATHACGPNIGTNLPFQVGFSTGADFVVTPEGLVDTPTGNNFEPVNSKAPPGEQFNHLRALFRSAKPWT -VIRPRIVQMLADNLNNVSDCVVFVTWCHGLELTTLRYFVKIGAEEVCSCGARATTFNSSTLAYACWKHCL -GFDFVYNPFIIDIQQWGYSGNLQSNHDLYCDVHGRAHVASADAVMTRCLAINNAFCQDVNWDITYPHIAN -EDEVNSSCRFLQRMYLNACVDALKVKVVYDIGNPKGIKCVRRGDVNFKFFDKNPIVPNVKQFCYDYDQHK -DRFVDGLWYCFGIVNVDCYPDNSLVCRYDTRNLSVFNLPGCNGGSLYVNKHAFHTPKFDRISFRNLKAMP -FFFYDASPCDTVQVDGVAQDLVSLSTKDCITKCNIGGAVCKKHAQMYAEFVTSYNAAVTAGFTFWVTNQF -NPYNLWKLFSSLQSIDNIAYNMYKRGHYDAVVGEIPTVVTGDKVFVIDKGIEKAVFVNQSTLPTSVAFEL -FAKRNIRTLPNNRILSGLGVDIAYKFVIWDYTNQTPLYRNTVNVCPYTDIEPKGLVVLYDDRNGDYQSFL -AADDAVLVSTQCYKRYPYVEIPSHLLVQNGLPLKDGANLYVYKRSKGMFVTLPETLNTQGRCYETFEPRS -DVEREFLSLSEQDFVAKYGKDLGLEHILYGEVDKPQLGGLHTVIGMYRLLRDNKLSAKSVTDSDCEVMQN -YFVLADNGSYKQVCTVVDLLLDDFLDLLRNILQEYGSGKSKVVTVPIDYHNISFMTWFENGFIKTCYPQL -QSAWKCGYNLPELYKVQTCVMEPCNIPNYGVGITLPSGIMMNVAKYTQLCQYLSKTTMCVPHNMRVMHFG -AGSDKGVAPGSTVLKQWLPEGTLLVDNDIVDYVSDAHVSVLSDCNKYKTEHKFDLVISDMYTDNDSKRKH -EGIVANNGNDDVFIYLANFLRNNLALGGSFAIKVTETSWHDSLYDIAQDCAWWTMFCTAVNASSSEAFLV -GINYLGDSGRVKVSGKTLHANYIFWRNVSYLQTSAFTIFDVAKFGLKTKATPVVNLKKELQTDLVLNLIR -NGKLVVRDVGNVSFVDDSFVCC - ->YP_009755895.1 ORF1ab polyprotein [Canada goose coronavirus] -MMASAMKKEVSSSQGVVIYVLPDATPTILDALFFYTAHQPVDACDAIQVRRKFDKKLTSGKKFSFDTVNG -VVHVIGVKKTVPGVFVVDANTKLADLENVLGVSTLARLYRDLLKQANQWSLSLSALEEKALQLEESFPRV -HVFLLQTAACVKVAALTLRSLIGLLVKDFKVLLKPFVNVPDGFSCKLNLAFKKALANVVSDIQPFREAIV -ALYKNFTVSFVRGNLYIASCAGSVFSFVNDMLQALTEKRAHLGFAENLVVANGSAVVRKDGVVFLYGIKR -RVTAINRLETYQLGEPCYVKVPSEGYLAIVDGEQMFVFEQGDSVYAVPFSDGHVVDICFECERRMVVHDQ -LSVEQHDAIVLLASNFGASFVDDVSSVCKFGVYKLSYGVSRAGDFVINSAVNAWDILKFCGTNVSALYTD -QLATLLKLRSQTFRKLCFEFLFKAKCWFADLAISVVSSLVTVTDDLFCQLCNLTFVVKKTGVFFKEATHV -FFKMVSEVVVLAFKTLPTLFGAVCHNGYLIWTHGSCKYAGTFFEASTGDLHVQVDSTVTDSTLEFFECEP -QRPSSGVCVDVVAEGTTYKFFRFKCGDNYYYAPMTSPSTVADVWFVKAGGHVTLSDEVQVKEISVDTVSF -YLDVTCCGEPWTTLFRKVYKDPIDVDSSVTVEELHAVVYEMAADVALNMPSFDGRTILFPPFEETVLVDK -AGDCILKVQDCALALFEDYDSEGAEEFDDDDDESVKVYGPHLPFDLNLAILLARDPISATTPLVLSGDYV -VFLNNVYLNVDVDYDIMPNGCERCVPVAPPVMQLSKLLVEVCVVPQDNELFIGPQLPFDIDDFFGVVGPS -VPMTLKNSFCVYNYGVYAPDSFEDIEGVYKPVCRPLFDYSKLFGIYSTPNCSGVVKPTSLQCDWDVALRQ -QEALADSEPVPSQVVVDDVGCAILEPQTTLPAVTELSFVVGDLYKVLTVAKAKFGNFVLVNAANVNMQHG -GGIAAAIASMAGCNFVKFCNDAVQQHGALKLLETPPFSLKDYGVVKILNVVGPKSTDKNIYKKLCKAYAS -ITGTNCVVPVLSSGIFGVDFKLSIDAFYESCGSKLQRYCLFSLQQAHADYFFDKQKPCRRFYVTSDGVSF -KSFKAHLNESLAVVVGCTVYARNKQVQLVRDLVEDEIVYIPTVDQAVLDFYGLDRFQFVYYLQALGHEWK -TYESNGVLQLEWQANNCFINAALVALQVSGMSFNDGFLKQAWASFLGGDPTEMVAWCYGILSCDVGRMEN -HHGIVTALLRQQDLIAAFVRRRVTCSCGTVENVLRGINACYQPVMAANMAHFMTQHGVCHCGGNFVDVVV -EMDVPYLYLVTAAGPKVVQADGESVFNACFEGSINSGHLYVQAKGLVFDNLNKKRKYSRVSSYIDAFYSG -VKSKVDCQETSLSLIENVDEQSYEQWYSDNYYQCLAVDDFDSPADVKDEDTSVSHSTQVFVRGLKQPLAF -NGVVFNPTDNSVYPKLLQSLTVYDLYTMWLENDVNYVVLKANKLSDMCGAATVQTHGSAALNMLFTKSVL -RTVVFKILKTAGFVGSTVAYTTTSNVASVVRRATRSVQLPSFSFLRGFSLNFSRVFLWNVVMFLWFGLKC -TFLALKPKHITSVVTGKFNLFLTLVVIGVACYNFTSVYTMVFDFLNVLYDSMGTSLCEAYSNYTHISFNA -MSYCKGSLRCLTCLRGLDSLHLYKHAYSVEQVYKSAFVWDYTLFVYLFVVLLLSRGCFLASMAFYTLKVA -VVYFGFFKTGVTFLDWTVYTVLSNFNFVGFALYVWVMWRIFRIVRHVFYCKDVNCVYCGKLAKNARYEVT -LVVAGKKHSVYVYTNAGYFYCKQHNWYCRNCNKFGHQNTFISPEVAGDLSDKLKRRIMPTSVAYAQVNAV -DVNNDYVTLKYMLNGAEAFKGYTMAEFLKAATPVKEAIKCENFGDADFIVCDTTSSALLESAKNAAIFYA -QLLCKPILIVDQQLYDSVIVQPVSANTIEDILVILGNIVSITRENLNLKAGSIKEVLLTVTDDVGAVDCA -LFCHNYNLSFTNDGYTNVVPSYGFDTSKLSARDRGFMINAGASIANLKIRNAPPVVWRYSDLKNLSETCL -KYLFSGVNKSGSKFFVTRADCKQVISCHTAKLILDKNVKAGGVVSNFWTWIWRFCLLLTLVFVVCSHLYV -LNTSFNHGRVETSSANFKVIDNGVIRSIVNEDSCFSNKYVQFDNFLGRDYVQDNSCPIVVAVNFNQGVIA -TGVPGFVGWHDANLILHSIVEELKAWYAPTWFADDAALGFTQDAVINGDDFYTSVAIFAARCLYLKTGTE -TLYCFGGSVDVSGAEPFSVLVPHRLYLQPNGVKVSFPKQLLYNPYVVQTVDTTYCRAGVCEPSKAGYCVS -LTGRWLLYNDDYLRESGNFCGGSWLELFFNMVNAFIVGVSPNIYMHIAMMFVLLCIVIILFLCILKFQGI -FKAYAMMVFSIIVVWLINIFMVTCYSYNPFVAIILAIVYCYWSLLVSRNFAVVMHLWLVVTFYFIVPMWI -FVIYVVFLLYAYTPLCFWCVGNVRATKKLYENGEFVGSYDIASQATFVIRAAEYCKLANEVGDKLDAYLA -AYARLKYYSGTGGDEEYRQACRAWLAYALNQYQTSQVEVLYTPPKFAIGTTRLQAGFKKLVAPSSGIENV -LVMVRFKGNVLNGIWLNDLIYCPRHVIGKYSGDDWQNALHMANNFDFEVISNKIGGLSVIERRMQGALLV -LRVNQSNKNTPKFKFVKAHDGDTFTIACSYNGVIAGLYPCTLRANGTIKGSFGLGSCGSIGYNLVNGIYE -LCYMHHLELPGAIHAGTDLSGNFYGDFVDEEKAQFVKPDPLIANNVVAWLYAAIINYRESHYCYPKWLES -SSVSLEEFNAWAKDNGFTSFIDGPVFQKLASITGVELGRVLRTILTKHACWGNDPILGSFSFDDEITPFS -VVEQCGGVVLQSNPVKRFCVWWWSKVMLAILMAVVVIGGTFNIVPTSIFVPYVVVCFAVAGFISLTIKHS -MAFMDTYLLPVVCVVAISVAVDVPLLYNGLYYQYLNRVLEWAGIVTSNFNVWKWVAAGATVANACRIIVG -CFSIRTASDSAGVFYAVLKLCTILVTAYRLGAAYAASDYDQFLVLLHTNLVATFSGNALFGIVSFNVIRY -CVSWLYPIPVTSYFMVGILNVTFGWFCCCYFGLYWWLNRVFGFTLGRYVYKVSVEQYKYMNVHKIRSPRN -AWDVFWTNTLIAGIGGERVLPVAYVQSKLTDVKCSAVVLMQLLTKLNIEANSRVHKHLVELHNKLLAEED -LVKCMEYLLGMLVTLLAMDANLDLQPYCEDVLTNNTVLQAVVSEFSHIPSYTDYEKARAAYEEIQAQVKR -GIVISPQEVAAYRKAANIAKATFDRDLAVQKKLDAMAERAMTSMYKEARVNDRKAKLVSSLHALLFSMLK -KIDSDKLNTLFEQAQNGVVPLASVPIVCSNKLTLVIPDLDIWNKCVENDVVTYSGVVWNIDSIFDGDDNE -VKPQFVRRDNITVPYYDIKPDMAWPLKLSLVRNTHNKIVLQNNELMPTGVKTAACVAGVDQTSCNVEAKC -YYTNINGAKVVAAITSLKADLRYASFFNDAGKQIYIELDPPCKFGMNVNGKVEVVYLYFIKNTRSIVRGM -VLGAISNVVVLQGKGHDTEEVEAVGILSLCSFAVDPASCYKEYIAGGGKPLANCVKMLTVHNGSGFAITT -KPSPTPDQDSYGGASVCLYCRAHVAHPNMDGKCPYKGSFVQIPSVEQDPVMFCLINKVCNVCQCWIGYGC -KCDELRPTMQFDSYSREPPTSRFVDGPTKADNNYLNRVRGSSEARLIPLTDGVQPDVVKRAFDICNKDAA -GMFQNLKHNCARFQELGPEDYKKGFPYLNSFFVVKQTTPANYQHELSVYQDLKSEVVAVHDFFIFDKSTY -NIVRQRLTKYSMMDFCYALRHFDPKDCEVLKEILVDFECIPGYYSHMFGPDLSPGSWFDHNPLWYDPIEN -PSYHDIMANLGCVVNRAVLNCVKFCDLMVDKGYIGVITLDNQDMNGKFYDFGDFQKTMPGSGVPVVDSYY -SYLMPLLTMTDALACERYYNFDKIKGFKTYDLFKYDYTEEKRQLFNKYFKYWDQEYHPNCCDCVDDRCVI -HCANFNVLFSTLIPQTSFGNLCRKVYVDGVPFIATTGYHSKELGVIMNQDNTLSFSKMSLTQLMKFVGDP -ALLVGTSNNLVDLRTSCFSLCALVTGITHQTVKPGHFNRDFYDFAEKSGMFKEGSSIPLKHFFFPQTGSA -AIEDYDYYRYNRPTMFDIRQLLFCLEVTAKYFDCYEGGCIPASQVIVTNLDKSAGYPFNKFGKARLYYEM -SYEEQDALFASTKRNVMPTITQMNLKYAISAKNRARTVAGVSILSTMTNRQYHQKVLKSIVNTRNAAVVI -GTSKFYGGWDNMLRNLIDGVDNPMLMGWDYPKCDRAMPNILRISASLVLARKHTTCCTWSERIYRLFNEC -AQVLSESVLATGGIYVKPGGTSSGDATTAYANSVFNIIQATSANVARLISTCTPDIVYDDVRSMQYELYQ -QVYRRVNPDPAFVDKFFAYLNKHFSLMILSDDGVVCYNDTYAKQGLVADISAFREVLYYQNNVFMSDAKC -WTEPDIIKGPHEFCSQHTMLVEVDGEYKYLPYPDPSRILGACVFVDDIEKTEPVAVMERYVALAIDAYPL -VFHENEEYRRVFYVLLAYIRKLYQELSKNMLTDYSFVLDIDKGSKFWEEEFYSNMYRTPTTLQSCGVCVV -CQSQTILRCGNCVRKPFLCCKCCYDHVMNTTHKNVLSINPYICSSPGCGEADVTKLYLGGMSYFCALHKP -KLAIPLVSNGTVFGIYRANCVGSDNVDDFNTLATTNWTTVEPYILANNCSDTLRRFAAETVKATEELHKQ -QYASAEVREVISERELVLTWEPGKARPPLNRNYVFTGFHFTKNSKVQLGDFTFEKGEGRDVVYYKATSTA -KLTPGDLFVLTSHNVVSLTAPTLCPQQTFARFINLHPNVMVPDCFVNNIPLYHLVGKQKRTTVQGPPGSG -KSHFAIGLAAYFSNARVVYTACSHAAVDALCEKAFKFLRVDDCTRIVPQRATVDCFAKFKPNDTAKKYIF -STINALPEVSCDILLVDEISMLTNYDLSFINAKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDYNVVTNL -MVCIKPDIFLAKCYRCPKEIVDTVSTLVYDGKFIANNPESRQCFKVIVNKGNSDIGHESGSAYNNTQLEF -VRDFICRNKEWQKATFISPYNAMNQRAYRMLGLSVQTVDSSQGSEYDYVIFCVTADSAHALNINRFNVAL -TRAKIGILVVMRQRDELYNSLKFVDITDAGLQSNTGLFKICNKDFAGVHPAHAVTTYALSSNYKVGEGLA -ALVNANVGDVIPYKHLISLLGFKMNVDVECCHSMFITRDSAIRNVRGWIGFDVEATHACGTNIGTNLPFQ -IGFSTGADFVAVPEGLVDTPSGSQFEPVQSKTPPGEQFNHLRSLFKLAKPWSVLRPRIIQMLADNLSGVS -ECVVFVTWCHGLELTTMRYFCKIGPEKRCHCGQRATCMSSSQDSYSCWKHSLGCDFVYNPYIVDIQQWGY -SGNLQSNHDLYCNVHGHAHVASADATMTRCLAIYNAFCQDVNWEITYPHIVNEDEINSSCRLLQRYFLNA -CIDALKPSTIYDIGNPKGIKCVRRSDVNFRFFDKQPIVHNVKQLTYDFNEHSNKFKDGLCLFWNCNVDCY -PDNSLVCRFDTRNLSVLNLPGCNGGSLYVNKHAFHTPRFDRTSFKYLKPMPFFFYDSSPCDTIQIDGVSQ -DLVSLSTKDCITRCNIGGAVCKKHAQLYAEFVSAYNVAVTAGFTFWVANGFDPYNLWKQFTSLQSIDNLA -YNVYMRGHFDDVAGEMITVVTGDRVSVIKDDVEKVVFVNKTTLPTTVAFELYAKRNIRNVPNTKILLGLG -VDVTHGFSIWDYENSSVVFRNTVKCCPYTDIDSSSLCVLFDDRYKGALQQFLSADNAVLISTQCYKKYPF -ISLPFYVQEQNGFTLQSGEALFVYKRVKGKFLNLPQTLYTRSRAVEGFKPLTALEEEFLNSSKEEFLSKY -DKGLGLEHIIYGDISKQQLGGLHAMIGAARMFSKDSLQFKPVSTTGDVLQNYYVLSSNGSYKQVCTLCDI -VLDDYIDLLANIKTQYGDMTSKVVTVSVDFHDVPFMTWFDNGDIKTCYPQLQSGWRSGYTMPELYKVQDC -VMEPCNIPNYGVGVSLPDGILMNVAKYTQLCQYLNKTSMCVPHNMRVMHFGAGSDKGVAPGTTVLKQWLP -EGTLLVDNDIATFVSDAHVSFVADCNKFRTEYKFDLIISDMYTGSNAKSHSGSIVNNGNDDVFVYLTNFI -RSNLALGGTFVVKVTETSWHEELYNLAQDCAWWTMYCTAVNASSSEAFLIGVNYLGESKGIKIPGKTLHA -NYIFWRNVSVLQTSAFSIFDVARFELKNKATPVVTLKKELQSELVLNLVRNGKLLIRETGPVNLLDESFI - ->YP_005352845.1 ORF1ab polyprotein [Sparrow coronavirus HKU17] -MAKNKSKRDAIALPENVPPPLQLFIHVAAAEEGHPKVTSHLGNYNLFTTKAPPGVQVLSAKTSLTDFENV -FGAQPTLRSIRNLVCEARSAEWSTSKDAFALKATQLTYSDAVLRAMIRFCPSKVSALAALSLYGRLAKID -DKELAELARDTAIELAYTAKIGTTLADAKVVSLTHKDAYLTLSNEVLGVPFTATLMAKATIINGAMQYSN -FYLYPRATIKVVDGKAEAISTKLLTATTKGKPVTEDVNLLPDYQQLLVDQVTGTEVKVGAIIYVKTTDSP -PLYFPKVKGGVIGIALKQQGTAAKKLNVVFHAQPDDVLLAFIQLQQFLNRTADSSVEITDCQSYEVSPTV -TVKIGPSKPGDVVVATDEEYLKCFDTPEVGKLYRVFQTESWATIERSLSSLKVRVSRALSAFISFLQNLA -DNFSAITGVVTALIHELQDLTLDVAARITNIQLVYRAGKLIVDTTNAIAKLFQPFCDFISPFLRKVAGFA -IYTVGNRMLMFTSCGTFLLTKATTKILNKAKYIFDVEPEYPVDVTTSKVVVHETLQQTDTKPTRALEAVD -VVVGNTVLQMATDGNAFYPSDGTHACLPGFKAGSDELLISFNCDLFDDETNAQINETLAAYELNQLVAPC -DFTPRQIATLVVDTLVDAITDHFPEKTIDLPEDYQVFSDHDDLPLAQYHIPSHLSLYIQAMEGEDDSGDE -ICIEEDDYDCPQVEDDTDGNIPQQWDLPDVDKFLLKLQKKEASSDEVLSIDVNPRPKEAPVVLAQEKKPN -DSQVSDAEVHPEPDGQDVEQSPEAVDETVARTQSERSEAAECDQETSSEPTSVVEEEEQQELPPEPELRS -YEGDGDSDDEIIIPIVPDSPADLKPQAVTIKEYFKSEKLETINEGSTESVTQSDKSDDESFVDAESDDQQ -EPAVYDDETIITDSTEGDDEPEATLATIVNTPLTLDNNLPPEAIKQPSPTKVELVVGELASVKFDNSVLV -NPANAQLTNGGGAALAIAKLAGPKYQEYCNSVAPINGPLTTDSFDAKKLGVACILHVVPPRGSDPNVQEL -LYQAYKSILTEPAHYVIPILGAGIFGCNPVHSLDAFKKACPSDIGRVTLVTMNKNHLQVWDALNRTVVRT -TTDYDQVTTKALTPQGVLEANLFDGEDFVQEPKPGQIYLEVTDEVQNQAKELDLNLQQYCVYLKTCHHKW -SVSRTNGVMHLXQKDNNCFVSAGINLFQNTAYQLRPAIDALYREYLNGNPNRFVAWIYASTNRQVGEMGC -PQQVISLLVSNSDAAFSATTACCNTYFNHTGVISVAREYDPLSPKVYCMKCDVWTPFTPQSGKGAVAIGT -SAEEPTGPAIKFAAAHCWYTNGKKTVNGYDTKANIVATYHRFDLPKPQPVEEVVALPTKNDFEVLKVEEV -PQESVLLLDPPPAQAPQPEADQHVETLENPDYLDILDLWIRKPKFILVKSWSVLGRALCKAGKVVFLSAK -LVQRFYNYLVEIGAIDTTARLSIDLACKFVKTVLPSSNTVRKTCLGLYYSAQTLFVSLAPFLMLPAVASL -LSSGYTIGTYLYAKTGWPCNYNATQHFDYNSYCAGDLVCQACFDGQDSLHLYPHLRVNQQPIQAADYTVY -ALSIILLLANMTLVVGTLLVTLFVNFYGLQIPFYGTLEIDYQSALVLTFSVYYFYKVMKFFRHLTHGCKT -PTCAVCAKLRTPPTITVETVVQGRKYPSVIETNGGFTICKEHNFYCKDCSAQTPGTFIPTEAIESLSRAT -KLSVKPTAPAFLLARDVECQTDVVVARAMHNQNAHVCISKYSDIRTVDQLLKPTPLFSYTPDVIIAADFD -NRGSIKTAKELAVVLSMDLKRTIIIIDQTYSRPIDNYQEVASRIEKYYPVAKINPTGDIFADIKQATGGQ -ASDSAINAAVLAVQRGLDFTIDNPNNILPHYAFDFSTLNAEDQSTILESGCAKGNLKGTNVGVVLSASLV -TRLSQQAIRVIANAASRNGVTCAVTPSTLVMRGNIATQPLTRIKAGAPPMRHKILRVILALAIVYFAAMA -LGFLANQITLNTVPTTKSDIRASTFYVVRDGVLDTIRSNDKCFANKFMAFDSFIQAPYTNSPDCPVVVGV -VDVTTHSIPGIPAGVIHRDGLILNIYEQAIYETHQRQSMVRDALSLKTANLFNLGKRVVVGYTQHEVVVG -TSYLNSPALFNAKCTFLQYQGNRHLYCYDAVPTEHKLYSDVLPHVEYKAIDINGDLVPFKIPEQIMFYPH -IVRYTSNSYCRMGHCFNTNPGICISFTDEFPYSENVKPGVYCADTSLQLLSNLVLGTVSGIHIFTSTAAL -LGSTIVIILCVVAVLAVQRFFKEYTTFVMYTCGLAFVNIIGIALMYKCLVFAIFYYAIYLYFVLTFPSFK -RNVALFYLAVVIVPHVSNMQLLALIVCSIIYFLYTYVHTVAKTAGKFSSFLDAAKSTFVIDNEKYVLLRD -LAGSEFDQYLASYNKYKYFSGTASDKDYDKVCMAFLAKALSSFREGGGSQLYTPPKFAVVQSLKTKLQAG -IKILLHPSGVVERCIVSVVYNGSALNGIWLKNVVYCPRHVIGKFRGDQWTHMVSIADCRDFIVKCPTQGV -QLNVQSVKMVGALLQLTVHTNNTATPDYKFERLAPGSSMTIACAYDGVVRHVYHVVLQLNNLIYASFLNG -ACGSVGYTLKGKTLYLHYMHHIEFNNKTHSGTDLEGNFYGPYVDEEVIQHQTAFQYYTDNVVAQLYAHLL -TVDARPKWLAHSQISIEDFNSWAANNSFANFPCEQTNMSYIMGLSQTARVPVERILNTIIQLTLNRDGAC -IMGSHDFECDWTPEMVYNQAPISLQSGVVKKTCMWFFHFLFMAITMLLAAMHVFPVHLYPIVLPGFTIVA -FLLTLTIKHTVVFTTTYLLPSLLMMVVNANTFWIPNTFLRTCYETIFGSPIAQRLYGYTVALYMLIYAGL -AINYTLKTLRYRATSFSTFCMQWLQYGYVAHIVYKLLTKPWTESLLFTAFSMLTIHPLLAALSWWLAGRV -ALPIIMPDLAIRVLAYNVIGYVMCVRFGLIWLANRFTTIPMGTYQYMVSVEQLKYMMAVKMSPPRNAFEV -LIANIRLLGLGGNRNIAVSTVQNKILDAKATAVVVANLLEKAGVTNKHAVCKKIVKFHNDTLKATSYEEA -EVALVKLLAHIIEFLPTDQVDAYLADAAKAQHVNTYLDNLLENKVVVQAVADININLDSYRIYKEADAIY -KRSVEMNESPQEQKKKLKAVNIAKAEWEREAASQRKLEKLADAAMKSMYLAERAEDRRIKLTSGLTAMLY -HMLRRLDSDRVKALFECAKQQILPIHAVVGISNDNLKVIFNDKDSYSHYVEGNTLIHKGVRYTIVKKLSL -DNALIEGVPEEFPVVVETVREGVPQLQNNELCLRNVFTAQNTAQDLNGNESTAKSFYVTRTGKKILVAIT -STKDNLKTVTCLTDTGKTVLNLDPPMRFSHTVGGKQSVVYLYFIQNISSLNRGMVIGHISGTTILQANGT -QIEYQQNASLLTYLAFAVDPKAAYLKHLADGGSPIQGCIQMIATMGPGFAVTTKPQPNEHQYSYGGASIC -LYCRAHIPHPGVDGRCPYKGRFVHIDKDREPVSFALTHEPCSSCQRWVNHDCTCGSSLQNSAYLNRVTGS -SDARLEPLQPGTQPDAVKRAFHVHNDTTSGIFLSTKTNCARFKTVRSALPLPNKGEVDLYFVTKQCAQKV -FEIEEKCYNALSAELYTTDETFGVLAKTEFFKFDKIPNVNRQYLTKYTLLDLAYALRHLSTSKDVIQEIL -TTMCGTPEDWFGENWFDPIENPSFYKEFHKLGDILNRCVLNANKFASACIDAGLVGILTPDNQDLLGQIY -DFGDFIITQPGNGCVDLASYYSYLMPIMSMTHMLKCECMDSDGNPLEYDGFQYDFTDFKLGLFEKYFKYW -DRPYHPNTVECPDDRCVLHCANFNVLFAMCIPNTAFGNLCSRATVDGHLVVQTVGVHLKELGIVLNQDVT -THMSNINLNTLLRLVGDPTTIASVSDKCVDLRTPCQTLATMSSGIAKQSVKPGHFNQHFYKHLLDSNLLD -QLGIDIRHFYYMQDGEAAITDYSYYRYNTPTMLDIKMFLFCLEVADKYLEPYEGGCINAQSVVVSNLDKS -AGYPFNKLGKARNYYDMTHAEQNQLFEYTKRNVLPTLTQMNLKYAISAKDRARTVAGVSIISTMTNRQYH -QKMLKXISLARNQTIVIGTTKFYGGWDNMLRRLMCNINNPILVGWDYPKCDRSMPNMLRIAASCLLARKH -TCCNQSQRFYRLANECCQVLSEVVVSGNNLYVKPGGTSSGDATTAYANSVFNILQVVSANVAAFLSTSTT -THLNKDIADLHRSLYEDIYRGDSNDITVIDRFYQHLQSYFGLMIFSDDGVACIDSDVAKSGAVADLDGFR -DILFYQNNVYMADSKCWTETDMTVGPHEFCSQHTVLAEHDGKPYYLPYPDVSRILGACIFVDDVNKADPV -QNLERYISLAIDAYPLTKVDPIKGKVFYLLLDYIRVLAQELQDGILDAFQSLTDMSYINNFLNEAFYAQM -YEQSPTLQASGVCVVCNSPTILRCGDCIRRPLLCCVCAYQHVTQTTHKRIIAINNYICSVENCNEDNVEK -LFISGTAIYCENHKPTLCIPIVANGSVFGIYRHTARGSDDIDLFNELATSNYDTIEPYQKANRAPLSLML -FAAETIKALEESIKKSYATATVKDVYDQRFIKLLWEQGKKPPPITKNHIFTGYHFNKNGKTQVGDYILAK -TDGSDTYTYRGTSTYKLQTGDVLVLMAHVVTPLSAPPVLAQTTYVRKSLLPDSVGASYYVQHFKSYNEIA -MQRVTTVLGPPGTGKSTFAIGLAKYFPSARICYTASSHAAIDALCEKAFKTLPVGQCSRIVPTRTTVXCF -QEFVVNNTTAQYIFSTINALPDIKCDIVVVDEVSMLTNYELSSVNARLVYNHIVYVGDPYQLPSPRTMLT -SGQLSPADYNVVTDIMVHAGADVMLDMCYRCPREIVETVSKLVYDNKLKAAKPNSRQCYKTIVNFGPGDI -AHEGQSAYNEAQLRFALAFRQQKRWDNVTFISPYNAMNVKASLAGFSTQTVDSSQGSEYDYVIFCVTTDS -AHALNMARLNVALTRAKIGILVVFRQANELYNSLQFESIDSQLQSSDEKTLTPLFKRCGYEYTGVHPAHA -LTWHDCGIEYRCEEPLAKLVGVADGTLISYKTLVSALGFLPSLKIDAYHNMFLTRDACRAYVQSWIGIDV -EAAHAVKPNTGTNLPLQIGFSTGKNFSVTPEGIWVNEHGSCTEPVPAKIPPGEQFRHLKKDMRQARPWKV -VRREIATHIAEVAPHTDYICFVTWAHQLELATMRYFVKLGMEEKCFCGRRACFTNGTEFACKAHHSLTTP -QCDYVYNPFLIDVATWGFSGRLSTNHDAVCTYHANAHVASADAIMTVCLAIHELFSTVDWTLEFPVTAEQ -SQLNKACRLVQANYLNILLTTTKATVVHDIGNPKGIPIVRKPGVKYHFYDQAPIVKHVQKLKYKPEMEAR -FTDGLTMFWNCNVDTYPANALVCRYDTHRQKHLIGPNGSALYVNKHAFLTPEMHTYATHKLSLAPLIYYS -TTDCSNEQPIVVTYRDCVTRCNTGTTLCPTHALEYQEFINAYNLMARHGFNVYIPRNVNVYNCWLTFTNL -QNLENLAYNCYYKNCNAHVDGQLDVVINNNAVYAKVDNNLVKLFDNRTNLPVSVAFEHYTNRHTRSLPTT -QLLSGLGVTATRNFTVWVDNDTIFQYTINVSTYTDVDPSTHVVLCDDRYGTDWSQFNQLPNAVFLTKTKV -KKTEPFVCTALTLNGLAIDGDELYIYVRYNNQLTTFSTICTQGRNVEQFIPKTPMERDFLEMSQESFIDH -YQLQDLGVEHIIYGDDSSPVIGGTHTLISLVRNKFEHQLVNHVYNPVQNCVVTSSNASSKNVCTVLDVLL -DDYIDIIRQAHANYTSKSKVFTVSVDNQQIRFMLWHDEQVKTCYPILQSLTNGYQMPSVYKTLITDLQPA -DIPNYHSYTPRVPGVVKNVIKYRQLFNYIVKKDRMAVPHNMTVLHLGAASALGTAPGSSVIKQMFPEGTV -LIDLDIREFTSDANQIIVTDYRTYMPPHHVDAIFSDLYCCDDIHFFDNLIRIVKERLALGGSIFVKITEH -SYSPELYALAGWFDDYQLFCTAVNASSSEAFLCCFNYLGHAKENVNGFNLHASYIQWRNEIALTPTYSPL -ADNPATACKLKATPIISARELEKKPILRYLVASGRLLVRPPECRELY - ->YP_005352880.1 ORF1ab polyprotein [Common moorhen coronavirus HKU21] -MTKNSFDVGKVITLPKVIPPPLQLFIAVAAADEGHPNKLNRLAGYTLRTGNVVPGVQVLDPKTTLTGFET -IFGVQPTLRAIGNLLESARTDGWVNSKDFMAVKAKELTFTDDVLRVMIRHGAARIPFLASLALFYRLINI -NVKDLYFIAEDVARELAYSADFSTVLADVKTIECIHTDGYLTININKLGNKFTSSLVAQAEPTNGSLTFG -NFVLWPRATAIKVNDEFLPFAGKAITATQVGNPYDEDVNLLPDYQQLPVDVVEGTVVTVRGYKYIKTTAA -PPLYYPIVKGGVLPVALKQQGSASKKLNVVFHASPNDVLLAFIQLQEFLNRSTSSTLDVVSKDVYEVAPD -VTVTIGPSKPGDIVVHDDEEYLKCFLTPDVPLLYKVFQTESWAKVAVMCSNIRVRVSDTLTGFLTFLQCL -CDKLPTLVGVVNCIIQALEELSLFAAAKISNIRLTYKAGSLVVDAVSSVATLCQPLLDFLTPFLSKVANF -ATYAVGNYMLMFTSVGTFLLNRTKICANKIRYAIDYAGVYPVVEAKPKIQYCDGLTPVHAKPKAELFPTD -VVLDNTIIQMASDGQHLYMFDGTQAHEQAFLAGVSDDITVSYVCDVFSDEVNEAINSTLSSYELNSFVVP -SDATAEFITQQCIASLVDAINDYHPEYVLSLMDDLQVCSSFDDLPLASHHIPDKLELYVQALDNDDSDDI -NVDEDDCHFQDTAEDDGGVIPTCWVIPEIPSFTKADVTSVDVKPDEKAQELDSTLVQKTVIVERFTVHDL -KAFDLKLDVIPEESDVDVNSMETSDVCSECSVEYYQDALDDDTTIITDESDVVFQDELLATVVNQCSQVD -NNIPEIAKKPNSPTVVELVVADLKTLKYDNSVLVNPANEYLRHGGGAAAAIAKMAGPDYVKYCDEHAPFT -GVLNTPAFDAEKLGVACILHVVPPRGTDSNVQEKLYLAYKSLLVEPAHYVIPILGAGIFGCNPVHSLDAF -RKACPPNIGKVTLVTQDDRHLQAWDAINRVVVQTTKDFDQVTTQHLTPQGVLDANLYDGHDFVLEPKPNH -VYLAVDDVIQTAANDLDLTLSQYCMYLKNCQVRWTTKRVKGLVHLQQQSNNCFVSSALNFYQNTHYKFRP -VIDALYQEYLNGNPSRLVAWIYASISQSIGELGCPQQVLNLLVLNSNSKFSGVTHCCKRYFTHDGVVANV -EEFDLLQSKVYCVQCECWTTFDAEQTEGVITVGRTSGPAPTHAIMFGNAHCWYTDGRKSVNGYDIQADVV -AIYHKFNKVPEQTAMLAQVFETSNKYEVLKVDPVINDDQLQDVVVTIQQPAVPKCEVLQNPNTIDLLDVW -IRKPTFLLVKSWSVIGKTLFKAGKVVFLSTRILNRIYEYLCQIGAVDRTAKLSFNLAYKCVKSVCPKPQT -VGRVVKGMFYSFRTILLAMAPLLLLPAVTILLRAGVQVFTYYYAKTGWPCNYNGTIPYDYQSFCVGQPLT -CMACFDGYDSLHLYQHLHINQVPVKDIDYTTIAAFIVLLVANATLALVTTIIIFCVNFYKFTLPFYGVVI -LDYYNTLTFVFGVSYTIRLIRFFRHVSKGCKSPTCTICSRIRTSPTITVETIVQGRKYPSTIITNEGSVV -CKQHNFYCKNCDAINPDTFIPVEAVESLAKVTKLDVKSTAPAYVLARDVECQSDAIVARAIIGGTHKVCV -SKYSDVRTVEALLKPVPLYSYTSDVIIAVDFDNSGSLRTAKELAVVLSMDLKRTIFIIDQHYSRPIDNYT -EVCSRIEKYFTINKITPTGDIFVDVRNATNGQVTDSAINAAIIAVERGLDFTIDEPNHVLPHYAFDFSSL -SVEDQSVLLDMGCVKGNLKGTNVGVVLTANLVTRLTQQALRVISNAASRNGVTCSVTPSTRVLRGNIVTQ -PFARIKAGKFSNQNVKLIKLFAVIAFVYVVAFATFYVANGLTYNTVPTVKSDIKVSGFYLIRDGVLDTIR -AEDDCFMNKFLAFDSYIQKPYVNSPQCPIVVGIADVTGLSLPGIPAGVIQRDGLILHIYEQSLYDKLLRK -SLVDGVLNIQTRNLFNIGNKMVVGYTQHEVVTGDSYLYSPALFNAKCTFLLYNNNRTLYCYDKVDVPHKL -YSDVIPHVEYKAVDLNGDYVPFKIPEQLFYYPHVVRYVSNNYCRMGHCFRTDPGVCVSFVDAFPYTQNDV -YGVYCASNGYKLVTNLVLGTITGIHVFTSTAALLASTGVIIFCVIFALSFQRLFKEYTVFVVTTLVVAVF -NIIGIFLFYKITLLAIVYYVVYVYIALLAAPVKRNIALFYLCVVFIPHITNMQLLCLVICGFLYVVYNYV -YIVTKTKGKFSSFLDAAKSTFVIDNDKYVLLKDLAGPDYDQYLASYVKYKYFTGTASDKDYDKVCMAFLA -KAMSLFREGGGTQLYTPPKLAVVQSIKIKLQAGIKILLHPSGMVERCMTSVTYNGSTLNGVWLHNIVYCP -RHVIGKYTGDQWQLMVSILDCNDFIIKCPTQGIQLTVQSVKMVGAVLQLTVHSSNAHTPKYEFTRLTPGA -SMTIACAYDGIVRNVYHVVLHLNNLIYASFLNGACGSVGYTVKGATLHLHYMHHLEFNNQTHGGTDFHGV -FYGPYIDEEIAQQQMPTQYFTDNVVAQIYAHLLTIDRSPKWLARQAISDEEFNRWAVNNAFANYPCDSAN -LPYLTGLAETSKVSIGRVLNTIIQLTLNRDGALIMGHPDFECDWTPQMVFNQAPINLQASVVSTCFNWCL -VVLFNMVLFALALIHILPVNMYVMIPMIAGCTAFIVTITIKHTVVFLTTFLLPTLVLFVVLAPTIWVPNT -YLRYIYEWIIGYTTYDNFTSYTFCLYMLVYIIVAINFTLRNVRYKATSLVDFCLKSLQYGYTLRIMYRLF -TETWDEHLLFPAVALLSSHPMYAAICWKLAGKFILPLVIPDLAVRICVYFLLGYISCMRFGIFWFINKFL -ALPIGTYKYMVSMDQLKYMMATKMSPPHNVFEVMTTNIRLFGIGGHRNIAISTVQNRVLDAKATAVIVAN -LLEKAGVTNKHVVCKKIVKLHNDTLKAATYEEAETALVKLLAHIIEFLPSEQVDEYLSMVNKPRVLDEYM -DVLVQNKFVLQAVVDANIHMDSYRIFKEAEQAYKKSVELNEPIQEQKKKLKAVNIAKSEWERDAASQKKL -EKLADAAMKSMYLAERAEDRRIKLTSGLTAMLYHMLRRIDSDKVKALFECAKQSILPIHAVVGVSSDALK -VIFNDKESYMQYITGNILIYKGVSYNILKVTSLDNSPIEGIPEEYPVVVETIKVGIPNIQNNELCLRNVF -TAQNVAYDINGKEETTKSFYVSRTGKKILVAITSTKDNLQTVVCHTDTGKTVLDLDPPMRFSHVVGGKQS -VVYLYFIRNISSLNRGMVIGHISGTTILQATGTHVEYQENASLLTYLAFAVNPKEAYLKHVADGGKPIQG -VIQMIAPIGSGFAVTTKPQPNEMQYSYGGASVCLYCRAHITHPGVDGRCVYKGRFVHIDKDKEPVSFALT -HEPCNSCQRWLNYDCTCGSVLQNTAYLNRVKGSSDARLEPQQSGTQPDIVKRAFHVHNNITSGIFLSTKT -NCARFKTQRINLPIPNSGVVDLYFVTKQCSTSSFELEEKCYNLFSSEFKSTDDTFGVLAKTEFFKFDKIP -NVNRHNLTKYTLLDLAYAIRHLSTSKDVIQDILITMCGTPQTWFDDQWFDPIENPTFYNEIHKLGVILNR -CILNANKFASICRDVGLVGILTPDNQDLLGQIYDFGDFIVTQPGNGCVDLESYYSYLMPLMSMTHMLKCE -MFDIDGNYKEYDGYQYDYTDYKKYLFDKYFQHWRMPYHPNTIDCVDDRFLLHCANFNVLFAMCIPNTAFG -NLCSKATVDGHTIIQTVGVHLKELGIVLNQDVDAHMSNINLNTLLRLVGDPTTHAVVADKCVDLRTPCQT -LATMSGGITKQSVKPGHFNHHFYKHLIDSDILSQLDIDIRHFYYMQDGEAAIKDYSYYRYNTPTMVDIKM -FLFCLEVANRYLDPYEGGCLNAQSVVVNNLDKSAGFPFNKLGKARNYYDMSYAEQNQLFEYTKRNVLPTL -TQMNLKYAISAKDRARTVAGVSIVSTMTNRQYHQKILKSISLARNQTIVIGTTKFYGGWDNMLRKLMNGI -KNPTLAGWDYPKCDRSMPNILRIAASCLLARKHTCCNQSQRFYRLANECCQVLSEVVVSGNNLYVKPGGT -SSGDATTAYANSVFNILQVVTANVAAFLSTSTTSHSLKEVADLHRNLYEDIYRGDSNNKTVIDNFYDHLA -TYFGLMILSDDGVACIDTEAAANGVVADLNGFRDVLFYQNNVFMSDSKCWTETDMTKGPHEFCSQHTVLT -EHEGKSYYLPYPDVSRILGACIFVDDINKADPVQNLERYISLAIDAYPLTKVSPVKGKVFYLLLDYIRIL -AQELQSGILDTFQTMTDMSYVNNFVQEAFYAQMYEQSPTLQASGTCVVCSSPTILRCGDCIRRPLLCCVC -AYQHVTCTDHKRVIAINNYICSVDNCNEDNVEKLYISGTSIYCENHKPTLCIPIVANGTVFGIYRHTAKG -SDDIDLFNMLATSDYSTVEPYKKANRAPISLMLFAAETIKAREESIKKSYASATVKDVYDQRFIKLVWEH -GKKPPPITKNHIFTGYHFNKNGKTQVGDYILAKCDGGDSYTYRGTSTYKLQTGDVLVLMAHVVTPLSAPP -VLAQSNYTRKTLIPDSVNASFYVQHFKSYNDIAMQKVTTVLGPPGTGKSTFAIGLAKYFPNARICYTASS -HAAIDALCEKAFKTLPVEHCSRIVPTRTTVDCFQDFVVNNTTSQYIFSTINALPDIKCDIVVVDEISMLT -NYELSSVNARLVYNHIVYVGDPYQLPSPRTMLTTGHLSPADYNVVTDIMVHTGADVMLDTCYRCPKEIVD -TVSKLVYDNKLKAAKPYSRQCYKTIINYGNSDIGHEGQSAFNEPQLQFALAFRKFKKWDNVTFISPYNAM -NVKAAMAGFSTQTVDSSQGSEYDYVIFCVTTDSGHALNMSRLNVALTRAKIGILVVFRQANELYQELQFE -SIEPSLVGQEGVLLRTDRRSTALAKSGSTPVKVQCSENETQGVLPGGETSTRSLTSLFKRCGFDYKGVHP -AHALTWHDCGPDYRCNEPLAKLVGVADGTPISYKTLVSALGFLPSLNIDEYHNMFITKDACRVYAQSWVG -IDVEAAHAVKPNVGTNLPLQVGFSTGVNFSVVPEGIWIDEHGTCSDVVPAKIPPGEQFRHLRKDMRIARP -WKVVRYEIVAHLAELAPQTDHICFITWAHQLELATMRYFVKIGKEEFCFCGRRACFTNNKAFYCKSHYNV -DIPCDYVYNPFVVDVATWGYTGNLSSNHDAFCTYHANAHVASADAAMTVCLAIQNLFSSVDWSLEYPVTP -EQSYLNKACRLVQANYLNILLTTTKANVVHDIGNPKGIPAVRKAGVKYHFYDMNPLVKHVTKLKYKPDME -PRFDGLTMFWNCNVDSYPANALVCRYDTHKQKHLIGPNGSALYINKHAFLTDAMQSYATHKLMLAPLVYY -STTDCSKDQPIVVTYRDCVTRCNTGTTVCSTHALEYQNFIQAYNVMSKNGFNVYIPRNINVYNCWLTFAN -LQTIENLAYNCYYKNYNAHNDGQLDVVVNNNAVYVKVNDNLVKLFDNKTNLPVSVAFEHYINRHMRTLPT -TQLLSGLGVTATRNFTIWVNDDSAFPNTINISVYTDCDPQNHVVLCDDRYGTDWSQFNQFKNAVFLTASQ -VKKYPAFVCTALTINNVPVEGEKLYIYCRVNGEFVTIDTICTQGRLLDKFTPRSKMESDFLTMDQSTFID -TYKLQDLGIDHIIYGDDTKPIIGGTHTFISLVRNKFNCQMVSHIYNPVQNCVVTSTSASTKEVCTVLDIL -LDDYVDIIRNAHNNYTTKSKVFSVTIDNQKVSFMLWHDANVQTCYPIVQSLTNGYQMPSVYKSLVTELEP -CDIPNYHAYIPKVPGVIKNVIKYRQLFNYIVKKDRLAVPHNMTVLHLGAASFDGVAPGSAVIKQMFPEGT -VLIDLDIRDFVSDANQVIVTDYRTYMPPHYVDAIFSDLYCNDDIHFFDNLIRIVHERLALGGSIFVKVTE -HSYSAALYELAGYFDEYQLFCTAVNASSSEAFLCCFNYLGYAKYNIDGYNAHAAYIKWRNEIVLTPTYSP -LADITTTIVKLKATPVVSKKELDKKPILNYLVASGRLLVRPPECSDLY - ->YP_005352870.1 ORF1ab polyprotein [Wigeon coronavirus HKU20] -MANKARPKGILVIPELSNNSSLLLLLAAAKNGRSLTDPTAIQFLGNYKLNFRSARPGEHVMDKNISLADF -EGLFGVQPYARVIRDLIRDASWSDTKTSLIAKAKQLPELLDVPAIRACVIYGNARISTLASLATFYSVVN -VGEQELYSLLEEMMLQRAYKYNLGDAFAYIRKLNCVQTDDFLKLGVSAFDDEFSTRLKKAATIDGPTLQI -GDLVMWKCATAKRDVGGLTHFSGRTRPFFVETVIQESITLVPMDLPKVSDSYSGAEVTVHGFTYLKVKAD -IPFYLPIVGSKVLLSAHRASGTPMAPTRVVFHTEPDADFKLFIAAQTLLNDAVVDWDNGCVVDDYTTVTI -GVSRPGDIVVTQESFFERFPKLKRAYTAFRESSYIVYMKARNHLEKVIPWLSELITVLNDWAQNVSSTLV -WAITRVIDAIMEKTMLTLMKAEKLVLSVVDGALVANNKFWNLVFQPLLTLATQTVYQVEDNAIFLFEQSA -LFVVDGTFVVLQAVDVGLTTCAYGVEVVGKLYHKTLTTLKVSQFKTWVNTLALHVGNCIVTCPYDGENIL -IGKRAYKLGGSDDALTNLVDKFAFSGNYIDGVYLTAPTEHARDLLKSNVCFDNGEPVFKGPDCKIYTFIN -KSTLKQFMCNFIGPVSDQCLKTALSKKLNAPIQNLDVSVTGDQVLYVIKTYTVVSEAFDDTVNDVLNKAI -CRKVFYYETYVGLLNKLAATVALYTGCKDPFVVFNASTQSVLTEADVEMGTLTLILQASDDDALIVDDDD -QMNVDQDDTDEGFVPSIDPQPYSKPLLPTIVEQTESEDSDYVPAVNIKSDTETEVVTDSDEWQPVPGDES -DNENQCSVDTDDEIASQMEKQHNLDPEVEVVTDSDERQGDESDILVEETDDSSETDTGEPPVTTVNLPNL -STPYPVVGVPSNTTISIHVGDLTSVVVRENAILVNPANPQLTNGGGAAKAIAQLAGPVYQRYCNENAPIK -GLFVTPPFDAVKYGYSCIAHIVPPTGKSGDVKSKLYQAYKDVLVSPGNYVIPILGVGIYGCNVVDSLQAF -RQACPNDIGYVTLVTLDSNHADVWSNLNKSIVKVTLDFDQVTTKHMSLDEIKQARLFDGEKFITQAGDSI -YLQVTSATEQAASNLGLTLAQYFRMLQYTSIKWTVVKKNGIMVLKQSHNNCYVASAIAFMQMVNYKPSGA -VADLFNSFLNGNPSEFVAYCYAASGQVPGQMGDAMSVLDVLFKDFVVRGTTACCGNTFQHEGLMYALTVD -SDVITHCYKCDVKAHAKFITPAIIAGGSSDIMYEGPAIYHSKLSAHWVANTKHPGSDFTCEAIYVSNVQA -AVQTVTIPVTPNPYQVLVNDVVPQVELPNVNEVTPQVEVPDVESKTLSVNASDDGCNTYVLENPNALELL -TVWIEKPVSVLVKSWQVLGRALFKANIVLKFSGTVATRIYNYLCNIGLIHNHVKLSAQLAVKYIKDRTPY -VKRLRKVAVWVGANVAHGLASLYPFYWIYPATLILKALFFVGVFLLAKTGLPCDVVEPPYNMATYCADKG -IFCQPCLSGYDSLHFYQHLKVQQIPVKVDLFWTYAVHIVATFSNPYLVLGTLLLVFVLNMYNVEVMFFGV -IQLPYWPVVYIVLALFYLYKVYMYLQHISVGCKKPTCKLCIKKNIAKRVTVEVVLQGRKHYTMVNTNGGT -NFCDKHDFFCENCEKGGVDGTYIPIEVIESLSKATGLAPKPTGPAYVISTDVTHQGEFIQARGAVGGRTL -TTVFRQSDVVTTNQVAKSTYSPNVVIAANLSDAGALRNAKEYAVLLSIELGRVIFIVDHSYDTKQDNFNA -VKAALEKFYVFKDIISTGDLASDVARATDGLVTDDVLNAALVSIERDIEFTVDPPNNTIPHYAFDFASIM -PEDQALLKQHECGSGVMKGTGINCVLSANLVKLLAPKTLVKLRNAASRNGIRLCVSPCTRVLRTSIPVVP -FGMKGGSKTVNKWALLFLGYLLAIGLSYCFMWMVTPSPPTTLVDVPATDFRLIRNGVFDVIRSTDTCFSN -KFVSFSTWLNRPFTNSPDCPVVVGAISTVGAQIAGLPGQVLWRNNLLVHVFDKFSTSVLGDLNLLGHASW -NKRNAVGYTASTVVTGNSYLNSIALYNSECVYLRLEGQRELYCYDRVNDQHRLYSDIKPHVTYTVESSDG -KLLPLVVPDQIMYTPYIVRFSQVNYCRMGHCFDTKPGICISFIHDFIAYTDNLPPGVFCGDNILHLLTNA -VIGSIAAKDAFKSTTALMCSTGAIIVCVLVVLFIQKLFKGYTTFVLIVVLNAIINLILLYTYMYIPVLAI -LLYGLYCYLILLCTPMARTVAVILIAVTVIPLCTNTFIIAAVVAVLIYAGGYYCYIVFSTGRADFTSFSA -ASKSTFVIDTKKYVELMNLAGSDFDAYLASYAKYRYYSGAADTSEYSKVCIAFLAKALDSFKAAGGTGSV -LYTPPKLAVVQSGIKKLLSPSGQVEQCVVSVAYRGSNLNGLWLHDTIYVPRHILGKYMASQWQDVVNLAE -CRDFVIFSPLQGVNLTVTSVRMQGAVLQLKVHAKNLKTPAYKFERARPGDPMTIACAYDGIVRSIYHVVL -QNNGLIFGSFLNGACGGVGYTIKGTTLIFYYMHHIEFSNRTHGGSTLDGHFYGDYVDEERAQHIPQMAMI -TDNVLAHIYTHLLTLTTKPNWLASTEISVAEFNEWAQNNSYTSYPGSKSNQDYLEALAATTRVSVLRCLA -TIVKLHANWGDASILGYNDFECDMTPEMVYNQAPINLQANYTKLFSSLAWIVYSLFYFVILWCVTPQYYT -TVTFCVSIILAICTMTLVKHTTVFLLGFICPLVVVTARYSYILFIPNSVVRRVFFYLFEDLFVNLSFFYI -VAAVVFVVWLQCIRGLYECMHKRYYITTVLDFIYRAVWAFYVGYELSQACVTNTVDVGHVFHLSLLLSPS -PITSHIAFGLSYYIVQPLDDSYIVPIYVVRLFVFYCIGLIITMRYGVFWFINKLTGIPVGTYKFMVSRDE -LKYMMATRMKPPTNAIEVIWTNFKLLGVGGKREIVVSTVQNRTLDAKATAVLVANMLDKVGALNKHEVSK -KIVAHHNATLKAESYEEAETNLVQLMAYLIEYLTPEQIDTFLDSLLDNPVVLQVVSDSAIALDSYRVFKD -AEAVYLKSVEDNEPLAEQKRKLKIANIAKSEWDRDAAANRKLEKLADQAMKAMYLAERSEDRRIKLTSGL -TSMLYHMLRRVNSDRIAALFECAKSHVVPIHAIAGSSTEGLKLIIDNQETYNKYVINNGVVYRGTCYNIV -KVVDLDNANVTLPPTTYPVVVECTAAIRCQNNELCVRNVYTAQALGLDAADRQSDVKSFFVTHNGKKICV -AITSDVDNLTSVVLNGDSGKVVLTLEPPLKFSHVVGGKLHLVYLYFVKDIRSIFRGMVIGHISSTTVLQA -NGTAIEYQQNASLLTYLAFAADPKDAYLKHVQAGGKPLMGAVKMVAPIGEGFAVTTKPQPNANQHSYGGA -SICVYCRAHVPHNTVNGQCLYKGRFVQIDKDLDPFKFLLEHQPCTSCQRWQSHDCTCGADLQSNAYLNRV -KGSSGARLEPMRPGAQPDPLVRAFHVYNSKNVGIFTNIKTNCARHRVADTNYFFVTKQCDEQQFRKEEYF -YSVLPQHFKGDIVPQHDFFKFDGTPNVVRQYLTKYTLLDLVYALRHLSDSVELLREILQTHCGTKDDFFE -GVWYDPIENVHFYTEFHKLGEIINKCVLKANTFVSTVNKLGFLGVLTPDNQDLEGKIYDFGDYIQSKSVG -CVDMESYYSYLMPAMSLTHMLKSECMEGSGFKEYPISQYDFTDYKVMLFKKYFPFWNRTYHPNTIDCQDD -RCILHCANFNILFAMCIPSTAFGNLCSQATVDGHPIIQTVGLHSKELGIVFNTDVTTHMTNLNLNTLIRL -VGDPTTHTAVADACVDFRTPCQTLASILSGATKQSVRPGHFNQHFYERLLDSGLLDELNLDIRHFYYMQD -GEAAIKDYSYYRYNTPTMVDISMFLFCVEVANCYLSVYEGGCISAQNVVVNNLDKSAGFPFNKLGKARNY -YDLTYKEQDELFEYTKRNVLPTITQMNLKYAISAKDRARTVAGVSIVSTMTNRQYHQKLLKSISLARNQT -IVIGTTKFYGGWNAMLKNLVDGISNPLLFGWDYPKCDRSMPNILRIASSLLLARKHTCCTNRQRFYRLAN -ECCQVLSEVVLSGNVLYVKPGGTSSGDATTAYANSVFNILQVVSANVATFLSTSTHIFDDENVASLHRMV -YETIYRGDSNDLNVIQLYHSHLKKYCGLMILSDDGVACIDQDAAAQHAVATLKDFRDLLFYQNNVYMADS -KCWVESDLKVGPHEFCSQHTILTEVDGEPYYLPYPDASRILSACIFVDDYNKADPIQNLERYISLAIDAY -PLTKVSPSKGKIFYLLLDYIKYLARELNDGIFESFQTVTDMSYIDGFVQESFYAQMYETAPTLQATGACT -VCASPTILRCGDCIRRPLLCCVCCYQHVTRTSHKRVIAINNYICSVEGCNQDNVEFLYISGTSVFCNVHK -PTLCVPIVSNGTVFGIYRHTARGSADIDIFNQLAVSDYSTVEPYKLANSAPISLMLFAAETIKAKEEAIK -RSYASATVKDAYDGRIVKLIWENGKKIPPITKNHVFTGYHFSKNGKTQVGDYVLQRCDGDSYIYRGTSTY -KLQPNDVLVLMAHVVTPLSAPPVVSQTTYTRANLRPDTVSASAYLNHYKSYNEIALRKVTTVLGPPGTGK -STFAIGLACYYPGARVCYTASSHAAIDALCQKALHALPVENCTRIVPTRTTVDCFQEFTVNNTSAKYVFS -TINALPDIKCDIVVVDEISMLTNYELSSVNARLSYSHIVYVGDPYQLPSPRTMLTGGQLEPTDYNVVTTI -MVNAGADVMLDRCYRCPAEIVSTVSKLVYDNKLSSVKPKSHLCYKVIINNGNGDISYDGLSAYNNPQLEF -AIAFRNFKQWSDVTFISPYNAMNTKAAQAGFSTQTVDSSQGSEYNYVIFCVTTDSGHALNMSRLNVALTR -AKQGILVIFRQKSKLYDQLEFEELDAASIGNGGGGGGTNTSVQAEVDRASGTQTTNLFKLCKNDYEGQQP -HYALNWNDLGPNYKCGDDLAKIFGIELGANISYKYLVSMLGFLPSEPIPTYHNFFLTKDEAKQYVQSWVG -FDVEAAHAVKPNVGTNLPLQLGFSNGINFSVKPEGFWVTETGTTYGEVPAKIPPGEQFSHLRKDMKHGES -WSVVRNRIVVMLSKLLRDTDYIVFVTWAHQLELTTMRYFVRIGPDRTCDCGRRAVFSTYNASRIGCKIHC -DGLDYCYNPFIIDCATWGYSGSLSSNHDAVCTYHSNAHVASSDAQMTICLAIHHLFSVVDWDVIYPTTVE -QDMLNKACRLVQANYMRILLTTMNTSVVYDIGNPKGLKTIRDPHIQYHFFDKNPLSPRVTRLTYDSSMIN -RFSDGLNMFWNCNVDAYPDNALVCRYDTHRQSHLIGPNGAALYVNKHAFLTPPLQPTAVHRLRLAPMVYY -SETPCSIEQPIVIGIRDCITRCNTGSTICPTHVAEYDVFVKAYTLMSRVGFNVYVPRNINLYNCWVKFSS -LQTLENLAYNVYYKNHNANVRGELDVVISNNVVSAKVDGRIIKLFENTTILPVSVAFEHYTNRNISSIPT -ASLLTGLGVNTTVNFTVWLNGDTLFSQTVNVSTYTDVINNDVCLLDERYKSTLSDFLKLDNAVFISPTQY -KKYGATPISQLQQCGNPVYGDLIYLYVRRDGKIVQPSVDYYTQGRTLKDFVPRTTMEVDFMSLTPQEFVH -KYDLHNLGIDHILYGDVTTRVIGGCHALISLYYRKLNVDSVVAHAQPVQNAIIIASDGKSKEVCTFVDLT -IDDYIDIIKRAHSLYQTQSKVFYVNIDGHNTRFVIWHDDVVRTCYPVLQSLVNGYQMPSIYKTLTCDRSV -CDIANYHVWKPSIPGVYKNVLKYRQLLAYIIKKDRLAVPHNMTVLHLGAASAEGVAPGTSVLRQYFPEGT -RIIDVDLREFVSDANQCIVKDYRTFMPPEYVDCIFSDMYSTEVGFFDNLVHIVTKTLALGGSLFVKMTEH -SYSQQLYDLAGTFEQYELFCTGVNATSSEVWLCCFNYLSRLRHIVPSDMHANYIKWRNENPLKPVYSTLA -DSVYNVVKLKATPVIGFNEINSKPILYTLVATGRLLVRPPCTGLLY - ->YP_005352853.1 replicase polyprotein [Magpie-robin coronavirus HKU18] -MAKNKEKRSPIALPEVVPPPLQLFIFVAAAEEGHPNNTTYLANYNLFTTKAPPGVQAIKPGISLTDFEAI -LGAQPTLRAIRNLVCERNPGWATSKDEFYLKAKTLSFSDPVLRAMVRYAPPRISALASLALFDRLVKIEH -DDLLQVARDVAIKLAFEGKIGTTLADAKYVTLMHKDSYLTLNVDVLGAPFTSTVLAQASPVNAAMKFLNF -YLYPSSTAVEQGGRLLPVACKTVPVFIKGKPLQEDCNLIPDYQQLVVCQVEGVEAFIDGISYVKTDSVPP -TYYPRVKGGALLVPLKPQGTASKKLNVVFHTEPSDVLMAFVQLQQFLSRTDQSWIEVTDAQAYDVSPNVT -VRVGVSKPGDIVVATEEEYLNCFNDPTVAELYKLFRTESWARLERKFAGLKVRISAALSDFISFLQALAD -RFKPLYNIVGTIIHKLQSLSLDVAARITGVRLVYRAGQLIVDAASAVAAAIQPLCDFCLPFLKKVADFAT -YATGEYMLMFTSAGTFILKKVPAKILNKVKYIFDVNPEYPVDVTTNKVITQDSLAITDAVPTRALEAVDV -VVGSTVLQMATDGFVYFPSDGTNASLPGFKAGADQLTVSFSCDLFDDPTNDAINELLAGYELNTLVAPSD -SSPRQLAKLVVDALVDALTDYYPEKVMDLPGDYQVFSTFDDLPLATHHIPQYLALYVQAMESDEDGDEDV -YVEEDDYVPESTTDPDDEEGAIPQQWDLPDVDKFLAKMRTDPAGEPAQANTDDDSLSVTQTRVSEPEPKE -PELAAYDPEDSNSDEEIFIPIVPALPADYMPVVYTIKEYKQKDKLPTIQETLSSQESIQPELMAPLETQS -TDAVTDTPPIQEVLPVPQAASSTPSPAATIEGADDKPQVPQIEELVQSIDQPTFEEVETIVTDSSGGEVD -EEPLATIVNTPTTVDNNLPPAAIKAPSPTQVELVVGELTSIKYDNSVLVNPANSALSNGGGAARAIAAMA -GPNYQAHCNSIAPIAGNVTTGPFDAAKYGVACILHVVPPRGTDPNVQDLLYQAYKSILTEPAHYVIPILG -AGIFGCNPVHSLDAFKKACPNDIGRVTLVTLDKNHLQIWDALNRTVVRTTTDYDQITTKALTPQGILDAN -LFDGTEFVQDPKPGQVYLEVTDEVQQQAKELDLTLQQYAAYLKLCHARWSVRRTNGIMHLKQHMNNCFVS -AGINLFQNTRFQLRPAIDQLYKEYLNGNPSRLVAWIYASTNHQIGDFGCPQEVTSLFVNNANSTFSATTA -CCGTYFTHTGVISVSENYDPLIPKVYCMKCDVWTPFTSSSGVGAVAIGSSDDEPSVPAIKFAQSHCWYTN -GKKTINGYNVKAKVVATYHNFDVAKPLASEAAVLPLSPNKFSVLPVQEVDQTSILLQDPPAAATPQVPVQ -SKVEVFENPTHIDLMDIWIRKPKFILVKSWSVLGTVFCKTGSVVFCSAKLLLRFYNHLVEIGAIDATVRL -SVSLACKVARQFIPSANTVRKTCLGLLYSTKTIFMALAPFLMLPAVTSIFATGYTIGAYLYARTGWPCVY -NTTTHYDYNAFCAGDLICLTCFNGQDSLHLYQHLRVNQQPIAATDYTLYALAFILLLANASLVVAALAFA -FIVNMYGVEIPYFGILHIDYQSFLVVAFVVYYVYKVCSFLRHLARGCKSPTCTLCSKVRTSPTITVETIV -QGRKYPSVIETNGGFSVCKEHNFYCKNCTAERPGTFIPTEAVESLSRATKLSVKPTAPAFLLARDVECQT -DVVVARAMHNQTAHVCISKYSDIRTVDQLLKPTPLFSYTPDVMIAADFDNSGSLKTARELAVVLSMDLKR -TIIIIDQAYSKPVNTYDEVASRMEKYFTLQKINPSGDVFSDIKQATAGQATDSAINAAILAVQRGLDFTV -DNPNNILPHYAFDFTTLTADDQATIIETGCAKGNLKGTGVGVILSANLVTRLSQSAIRIIANAASRNGVT -CAVTPSTLVMRGNIATQPLTRLKAGAMPSRKLLYTFLAIGAVYALAFALSCAAAQLTLHAVPTTKSDIRV -SNFYVIRDGVLDTIRSTDNCFANKFLSFDSFHQAPYTDSPACPVVVGVADVKTQSVPGIPAGLVMRDNLV -LHIYELSTYERDQRASMVRDALALRTNSIFNLDNRVVVGYTQYEVVVGSSYIRSPALFNAKCTYLQLDGN -RTLYCYDAVDRPHKLYSEVLPHVEYQAVDFNGDVVPFKIPEQIIYYPHVVRYVSNSYCRMGHCFNTNPGI -CVSFTDDFPYSENNVPGVYCADTTFKLASKLLVGTVSGIHVFTSTAALIASTAVIILCVVLVLAIQRLFK -EYTTFVMYTCGLAVVNLVGIALMYKCIALAFLFYAIYLYFVFTFPSLKRSVALFYFSVVMLPHISNMQLL -AVVVCSILYCFYNYVYAVTKTGGKFSSFLDASKSTFVIDNDKYVLLRDLAGNDFDAYLASYNKYKYFSGT -ASDKDYDKVCMAFLAKALSSFREGGGSQLYTPPKLAVVQGVVSKLQAGVKLLLHPSGIVERCMVSVVYNG -ITLNGIWLKNVVYCPRHVIGKYRGTQWAQMVSIADCHDFCVKCPTQGVQLNVQSVKMVGALLQLTVHTSN -TLTPDYRFERLTPGSSMTIACAYDGVVRHVYHVVLQLNNLIYASFLNGACGSVGYTLKGKTLCLHYMHHI -EFNNKTHSGTDLEGNFYGPYIDEEIAQNQTAFQYYTDNVVAQMYAHLLTVDASPRWLAQAAISVDDFNAW -ASSNSFASFPCEQTNMAYILGLAQVTRVPLERVLNTIIQLTLNRDGVIIMGAPDFDCDWTPEMVYNQAPI -ALQSGVVKKTCTWLFHCMSMTAAILLATLHVFPTTLYPVVLPMAAAIAVFLVITIKHTVVFTTTFLLPAL -IMMVVSANTFWIPNTYLRSFYQYVFGSSISQQLYGYSVAFYLCVYIALAINYTLRAVRYRATSISTFAIQ -CMQFGYLAHVMYRLCTTTWTEDLVFTAVSMLTSHPMLAGVCWWLAGRVPLPLLIPDVAVRVLLYVVGGYV -ICMRFGLMWIINRFTALPMGTYSYMVSIEQLKYMMAVKMSPPRNAFEVLLANLRLLGLGGVRNIAISTVQ -NKILDAKATAVVVANLLEKAGVTNKHAICKKIVKLHNETLKATTFQEAETSLVKLLAHIVEFLPTDQVDV -YLSDSERAQHVNNYLDNLLENKTVVQAVADANVNLDSYRIYKEADAAYKRSVEMNESPQEQKKKLKAVNI -AKAEWERDAASQRKLEKLADAAMKSMYLAERAEDRRVKLTSGLTAMLYHMLRRLDSDRVKALFECAKQQI -LPIHAIVGISNDNFKVIFNDKDSYLQYVDGNTLIYKGVRYTIVKKLSLDNAPIEGVPEEYPVVVETVREG -VPQLQNNELCLRNVFTAQTTAADINGTETTEKSFYITKTGRKILVAVTSNKDNLRTVTCTTDTGKAVLNL -DPPMRFAHTVGGKQNVVYLYFIQNCSSLNRGMVIGHISGTTILQANGTHVEYQENASLLTYLAFAVDPKA -AYLKHLAEGGKPIQGCVQMIAAMGPGFAVTIKPQPNEHQNSYGGASICLYCRAHIPHPGVDGRCPYKGRF -VQIDKDKEPVSFALTHEPCSSCQRWVAYDCTCGTSLQNSAYLNRVTGSSGARLEPQQPGTTPDAVKRAFH -VHNNTTSGIFLSTKTNCARFKTVRNCLPLPNKGDVDLYFVTKQCSAAVFEVEEACYKAFSSDLITTGDTF -GVLAKTEFFKFDKIPNVSRQYLTKYTLLDLAYAIRHLSTSRDVIKEILITICGTPESWFDDQWFDPIENP -TFYREFHKLGGVLNRCVLNANKFAEACQQVGLVGILTPDNQDLLGQIYDFGDFIQTQPGNGCCDMSSYYS -YLMPIMSMTHMLKCECQDSDGNPVEYDGFQYDFTDLKLHWFEKYFKFWDRPYHPNTVECPDDRCVLHCAN -FNILFAMCIPNTAFGNLCSHATVDGHSVVQTVGVHLKELGIVLNQDVTTHMSNISLNTLLRLVGDPTTIA -SVSDKCLDLRTPCQTLATMSSGIAKQSVKPGHFNQHFYKHLLDSDILDQLGIDIRHFYYMQDGEAAITDY -SYYRYNTPTMVDIKMFLFCLEVADKYLTPYEGGCINAQSVVVSNLDKSAGYPFNKLGKARNYYDMTYVEQ -NQLFEYTKRNVLPTLTQMNLKYAISAKDRARTVAGVSIISTMTNRQYHQKILKSISLARNQTIVIGTTKF -YGGWDNMLRRLMHNINNPILVGWDYPKCDRSMPNILRIASSCLLARKHTCCNQSQRFYRLANECCQVLSE -VVVSGNNLYVKPGGTSSGDATTAYANSVFNILQVVSANVAAFLSTSTTSHSNRDIAELHRALYEDIYRGD -SFDSAVIDRFYHHLQTYFGLMILSDDGVACIDLDAAKEGSVADLDGFRDVLFYQNNVYMADSKCWTETDM -TVGPHEFCSQHTVLAEHEGKPYYLPYPDVSRILGACIFVDDVNKADPVQNLERYISLAIDAYPLTKVDPI -KGKVFYLLLDYIRVLAQELQDGIFDAFQTLTDMSYVNNFLQEAFYAQMYEQSPTLQASGVCVVCASPTIL -RCGDCIRRPLLCCVCAYQHVTRTTHKRIIAINNYICSIDNCNEDNVEKLYISGTAIYCENHKPTLCIPIV -ANGSVFGIYRHTARGSDDIDLFNELATSNYDTIEPYQKANRAPLSLMLFAAETIKALEESIKKSYATATV -RDVYDQRFIKLVWEQGKKPPPITKNHIFTGYHFNKNGKTQVGDYILAKTDGNETYTYRGTSTYKLQTGDV -LVLMAHVVTPLSAPPVLAQTTYVRKSLLPDTVAASFYVQHFKSYNEIAMQRVTTVLGPPGTGKSTFAIGF -SKYYPNARICYTASSHAAIDALCEKAFKTLPVGQCSRIVPTRTTVECFQEFVVNNTTAQYIFSTINALPD -IKCDIVVVDEVSMLTNYELSSVNARLVYTHIVYVGDPYQLPSPRTMLTSGQLSPADYNVVTDIMVHAGAD -VMLDMCYRCPREIVDTVSKLVYDNKLKAAKPNSRQCYKTIVNFGPGDIAHEGQSAYNEAQLRFALAFRQQ -KRWDNVTFISPYNAMNVKASMAGFSTQTVDSSQGSEYDYVIFCVTTDSAHALNMARLNVALTRAKVGILV -VFRQANELYNSLQFESIDSQLQAGEPLTSLYKRCSFEYSGQHPAHALTWHDCGAEYRCDEPLAKLVGVAD -GTLISYKTLVSALGFLPSLKIDTYHNMFLTRDACRAYVQSWIGIDVEAAHAIKPNVGTNLPLQVGFSTGK -NFSVVPEGIWVNAHGFCTEPVPAKIPPGDEFKHLKKDMRQARPWKVIRYEIVAHLADVAPQTDYICFVTW -AHQLELATMRYFVKLGIEERCYCGRRACFTNGTEFACKAHHALTVPQCDYVYNPFLVDVATWGFSGRLST -NHDAVCTYHSNAHVASADAIMTVCLAIHELFSSVDWALEFPVTPEQSQLNKACRLVQANYLNILLTTTKA -TVVHDIGNPKGIPIVRRPGVKYHYYDQAPIVKHVQKLKYKPEMEARFIDGLTMFWNCNVDTYPANALVCR -YDTHRQKHLIGPNGAALYVNKHAFLTPEMHTYATHKLTLAPLVYYSTTDCSAEQPIVVTYRDCVTRCNTG -TTICPTHALEYQDFIAAYNLMARHGFNVYIPRNVNVYNCWLTFTNLQNLENLAYNCYYKNCNAHADGSLD -VVINSNAVYAKVDNNLVKLFDNRTNLPVSVAFEHYTNRHTKSLPTTQLLSGLGVTATRNFTVWVDDDTAF -TNTVNVSTYTDVDPTNHLVLCDDRYGTDWGQFNQLPNAVFLTATKVKKTEPFVCTALTLNGTAIEGSELY -IYKRLNGQLVTFDTICTQGRSVDKFIPKTPMEKDFLEKPADEFISLYQLQDLGVEHIIYGDDATPIIGGT -HTLISLVRNKFDYQLVNHIYNPVQNCVVTSPNASSKNVCTILDVLLDDYIDIIRQAHATYTTRSKVFSVV -IDNQQVHFMLWHDAKVNTCYPILQSLTNGYQMPSVYKSLITDLQPADIPNYHAYTPKVPGIVKNVIKYRQ -LFNYIVKKDRLAVPHNMTVLHLGAASAAGTAPGSSVIKQMLPEGTVLIDLDIREFTSDANQIIVSDYRTY -MPPHHVDAIFSDLYCCDDTHFFDNLVRIVKERLALGGSIFVKVTEHSFSPELYALAGWFDEYQFYCTAVN -AASSEAFLCCFNYLGHAKENVDGYNLHASYIRWRNEIVLTPTYSPLADSPTVACKLKATPIISSKELEKK -PILKYLVASGRLLVRPPECGELY - ->YP_003858583.1 ORF1ab polyprotein [Bat coronavirus BM48-31/BGR/2008] -MESLVPGFNEKTHVQLSLPVLQVRDVLVRGFGDTVEEAVAEARQHLIEGTCGIVDLQKGVLPQLEQPYIF -LKRCDARTAPHGHVMVELVAELDGVQYGRSGESLGVLVPHVGETPIGYRKVLVRKNGNKGAGGHLYGADL -RFYDLGDELGTDPLDDFQQDWNTKHGSGLRRDLFRELNGGVYTRYVDNNFCGPDGYPLECIKDLLARAGK -SSAPLAEQLDFLESKRGVYCCREHEHEIAWYTERSDKSYELQTPFDITNAKKFDSFKGECPKFVFPLNST -VKVLQPRVEKKKTEGFLGRIRTVYQVASPGECNSMHLSTYMNCNHCGEKSWQTCDFLTATCEMCGNQNTV -EEGPTTCGYVPSNAVVKMVCPACQNPEIGPDHSVADYHNNSKIETRLRKGGRIKSFGGCVFSYVGCYNKR -AFWVPRAAANIGSNHTGVVGEGVETMNEDLLQILSRERVVINIVGEFCLNEEIAILLASLSASTSAFVET -VKNLDFKTFKKIIESCGNYKVTKGKFKPGVWNIGTSKSLLTPLHCFSSQAAGVVRSIFSRTLATANHSIV -DLHRAAMIIFSDISDQANRVLDAMVNTSDLVTESVVVMAYLTGGLVQQVSTWLSQLLNTSVDKFSAVLRW -LEQKLQGGIDFLRQAWGILKLLVTGAYVVIRGKIQVVNTSLIECVTSFVDVVNKVFELCTDYITVAGARV -RAINFGEVLIAQSRGLYRQCVRARDQLQLLMPLKSPKDVVFLDGDAYDTLLTSEEVTVKNGTLEALDLEL -SDVVTGVAEGVPVCVNGLMLLELKEKEQYCALSPSLLATNNVFTLKGGAPTKGVTFGEDTVVEIQGYKSV -KITFELDERVDKVLNEKCASYTVETGTTAEELACVVAESVVKTLQPISELLTPMGIDLDEWSVAKFYLFD -ESGEAVLSSHMYCSFYPPDEEEEEDLEESEDVEYGTEDDYTGAPLEFGASSTVEQDEVHDEEEDWLAPQE -ESEVLYDQFTDYHKLTDNVFIKCADIVEESLKVNPTVVVNAANIHLKHGGGVARALDKATGGSMQKESND -YISTNGPLRVGGSCLLSGHNLAKHCLHVVGPNKNAGEDIKLLDAAYENFNAYEVVLSPLLSAGIFGVSPI -QSLETCKRVVRNTVYIVVNDSVVFDQLLAKTPGKTNERPVVESSEICEEVNQKPVVEFSETKELHEETNQ -KLKSSEEPVKTRIEELNTTVDEAKFLTTKLLLYADVNGNLSEDSKVLIGNDGASFKKGAPYIVGDIISEG -ELTCVVLPTKAVGGTTHMLTRALKNVPSDTYLTTYPGQGVSGYTLDEAKAALKKSRSVFYILPSANVNAK -EEVLGTVAWNLREMLAHAEETRKVMPVCMDVRAIISTIQRKYKGIGIQEGLVDYKVRFYFYSSKTPIARV -ISNLNSLGEPLITMPLGYVTHGLNLEESARYMRSVKVPVVVSVSSPDAVTSYNGYVTSASKSAEEHFIET -VSLAGSYKDWSYSGQRTELGVEFLKRGDKIVYHTVGNVIEFHMEGEVLPLEKLKTLLALREVKTIKVFTT -VDNINLHTQVIDMSMTYGQQLGPTYMDGADLTKVKPHASHENKTFFVLPSDDTLRIEAFEYYHTVDESFF -GRYMSALNHTKRWKYPQVGGLTSIKWADNNCYLSSVLLSLQQIDIKFNAPALQDAYYRARAGDAANFCAL -VLAYSKKTVGELGDVRETMAHLLQHANLESAKRVLNVVCKHCGQKSTTLSGVEAVMYMGTLSYDHLKRGV -KIPCVCGREATQYLVKQESTFVMMSAPPAEYTLQTGEFLCANEYTGNYQCGHYTHITNRETIYKIDGALL -TKITEYKGPVADVFYKETSYSTDIKPVSYKLDGVTYTEINPDLNGYYKKDNAYYTEQPIDLVPTQPLPNA -SFDNFRFVCANTKFADDLNQMTGFKKPPSRDLTITFFPDLNGDVVAIDYRHYTPTFKKGAKLVHKPILWH -VNQTTTKSTFKPNMWCLRCLYSTKPVPTSNSFEVLSSDDAQGMDNLACESQQTVAEEVVDNPTIQKDIIE -CDVKTTEVVGNVILKPSADGIKVTSELEHEDLMAAYVNETSITIKKPNELSIMLGLKTIATHGAAAINSV -PWIKICAYVKPFLGYVAEQSKNCIKRCFRRVFNDYMPFLLTLLLQLCTFTKSTNFRIKAAMPIVIARNSV -IGGVRFCLDALTMYVKSPKFSGILTVVMWLLLLSVCLGCLVYAVASFGAILSGFGLMSYCDGVRAGYVNS -SNVTIPDYCAGSLPCGVCLGGLDSLDAYPALETIQVTISSYKLDLTFVGMMAEWFLAYMLFTKFFYLLGL -FALMQLFFGLFATHFVNNSWLMWLIINVVQMAPISAMVRMYVFFASFYYVWKAYIHVINGCTSSTCIMCY -KRNRATRVECTTIVNGMKKSFYVYANGGQGFCKLHNWNCLNCDTFCSGSTFISDEVARDLSLQFKRPINP -TDQSSYNVDSVTVKDGTLYLYFQKAGKLTYERHPLSYFVNLDNLRANNVKGTLPINVIVFDGKSKCEEAA -AKSASVYYSQLMCQPILLLDQALISDVGDSTEVAVKMFDAYVNAFSSTFNAPMEKLKTFIATAHAEIAKG -VSLDSVLSTFLSAARQGFVDSDVDTKDVMECLKLSHHSDLEITSDSCNNFMLTYNKVENMTPRDLGACID -CSARHINAQVAKSHNVSLVWNVKDYMSLSEQLRKQIRSAAKKNNIPFKLTCATTRQVVNVITTKISLKGG -KFVSNNWFRFLLKMTVLMVLVAFIFYFITPTHTLMGHDVFSSEIIGYKAIHNGVTRDVLTTDDCFANKHT -GFDHWFSQRGGSYRNDKTCPVIAAVITREVGFIVPGLPGTVRRASNGDFLHFLPRVFSAVGNICYTPAKL -IEYTDFATSACVLAAECTIFKDAQGKPVPYCYDTNLLEGSISYSELRPDTRYVLMDGSIIQFPSTYLEGS -VRVVTTFDSEYCRHGTCERSDAGVCLSTNGRWVLNNDYYRSIPGVFCGADASDLLFNIFTPLVRPVGTLD -ISASVVAGGLIAILVTCVAYYFMKFRRAFGEYNHVVFANALLFLLSFTILCLTPAYTFLPGIYSLLYLYL -TFYFTNDVSFLAHLQWLAMFSPIVPFWITVTYVVCISIKHCHWFFSNYLKKRVVFNGVTFSTFEEAALCT -FLLNKEMYLKLRSETLLPLTQYNRYLALYNKYKYFSGALDTTSYREAACCHLAKALNDFSNSGADVLYQP -PQTSITSAVLQSGFRKMAFPSGKVEGCMVQVTCGTTTLNGLWLDDVVYCPRHVICTLEDMLNPNYDDLLI -RKSNHNFLVQASNVQLRVIGHTMQNCLLKLKVDIANPKTPKYKFVRIQPGQTFSVLACYNGAPSGVYQCA -MRSNHTIKGSFLNGSCGSVGFNIDYDCVSFCYMHHMELPTGVHAGTDLEGNFYGPFVDRQTAQAAGTDTT -ITLNVLAWLYAAVINGERWFLNRFTTTLNDFNLVAMKYNYEPLTQDQVDILGPLSAQTGVAVMDMCAALK -ELLQNGLNGRTILGSTILEDEFTPFDVVRQCSGVTFQGKFKKVVKGTHHWLLLTLLTSLLILVQSTQWSL -FFFVYEHAFLPFTMGVVCFAACAMVLVKHKHAFLCLFLLPSLITVAYFNMIYMPASWVMRVMTWLDLVDT -SLSGYRLKDCVMYALAAFLLILMTARTVYDDAARRVWTVMNVITLVYKVYYGNSLDQALAMWALVISVTS -NYSGVVTTIMFLARAIVFLCVEYYPILFITGNTLQCIMLVYCFLGYCCCCYFGLFCLLNRYFRLTLGVYD -YFVSTQEFRYMNSQGLLPPKTSLDAFKLNVKLLGIGGKPCIKVATVQSKMSDIKCTSVVLLSVLQQLRIE -SSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLSMQGAVDINKLCDEMLNNRATLQAIASEFSSLP -SYAAYATAQEAYEQAVANGDSEVVLKKLKKSLNVAKSEFDRDAAMQRKLEKMADQAMTQMYKQARSEDKR -AKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLNIIPLTTAAKLMVVVPDYNTYKNTCDGNTFTYA -SALWEIQQVVDADSKVVQLSEINMDNSQNLAWPLIVTALRSNSAVKLQNNELSPVALRQMSCAAGTTQTA -CTDDNALAYYNTSKGGRFVLALLSDHQDLKWARFPKSDGTGTIYTELEPPCRFVTDTPKGPKVKYLYFIK -GLNNLNRGMVLGSLAATVRLQAGNATEVPANSTVLSFCAFAVDPAKAYKDYLASGGQPITNCVKMLCTHT -GTGQAITVIPEANMDQESFGGASCCLYCRCHIDHPNPKGFCDLKGKYVQIPTTCTNDPVGFILRNTVCTV -CGMWKGYGCSCDQLREPVMQAADAPAFLNRVCGVSAARLTPCGTGTSTDVVYRAFDIYNEKVAGFAKFLK -TNCCRFQEVDEEGNLLDSYFVVKRHTMSNYQHEETMYNLVKECPAVAVHDFFKFRVDGDMVPHISRQRLT -KYTMADLVYALRHFDEGNCDTLKEILVTYNCCDDAYFNKKDWYDFVENPDILRVYACLGERVRQALLKTV -QFCDAMRDAGIVGVLTLDNQDLNGNWYDFGDFVQVAPGAGIPIVDSYYSLLMPILTLTKALAAESHMDCD -TTKPLIKWDLLKYDFTEERLCLFNRYFKYWDQTYHPNCINCLDDRCILHCANFNVLFSTVFPPTSFGPLV -RKIFVDGVPFVVSTGYHFRELGVVHNQDVNLHSSRLSFKELLVYAADPAMHAASGNLLLDKRTTCFSVAA -LTNSVAFQTVKPGNFNKDFYDFAVSKGFFKEGSSVELKHFFFAQDGNAAISDYDYYRYNLPTMCDIRQLL -FVVEVVDKYFDCYDGGCINANQVIVNNLDKSAGFPFNKWGKARLYYDSMSYEDQDALFAYTKRNVIPTIT -QMNLKYAISAKNRARTVAGVSICSTMTNRQFHQKLLKSIAATRGATVVIGTSKFYGGWHNMLKTVYSDVE -TPNLMGWDYPKCDRAMPNMLRIMASLVLARKHSTCCNLSHRFYGLANECAQVLSEMVMCGGSLYVKPGGT -SSGDATTAYANSVFNICQAVTANVNALLSTDGNKIADKYVRNLQHRLYECLYRNRDVDHEFVEEFYAYLR -KHFSMMILSDDAVVCYNSNYAAQGLVASIKNFKAVLYYQNNVFMSEAKCWTETDLTKGPHEFCSQHTMLV -KQGDDYVYLPYPDPSRILGAGCFVDDIVKTDGTLMIERFVSLAIDAYPLTKHPNQEYADVFHLYLQYIRK -LHDELTGHMLDMYSVMLTNDNTSRYWEPEFYEAMYTPHTVLQAVGACVLCNSQTSLRCGSCIRRPFLCCK -CCYDHVISTSHKLVLSVNPYVCNAPGCDVTDVTQLYLGGMSYYCKSHKPPISFPLCANGQVFGLYKNTCV -GSDNVTDFNAIATCDWTNAGDYILANTCTERLKLFAAETLKANEETFKLSYGIATVREVLSDRELHLSWE -IGKPRPPLNRNYVFTGYRVTKNSKVQIGEYTFEKGDYGDAVVYRGTTTYKLNVGDYFVLTSHTVMPLTAP -TLVPQEHYVRITGLYPTLNISDEFSSNVANYQKVGMQKYSTLQGPPGTGKSHFAIGLALYYPSARIVYTA -CSHAAVDALCEKALKYLPIDKCSRIIPARARVECFDKFKVNSTLEQYVFCTVNALPETTADIVVFDEVSM -ATNYDLSVVNARLRAKHYVYIGDPAQLPAPRTLLTKGTLEPEYFNSVCRLMKTIGPDMFLGTCRRCPAEI -VDTVSALVYDNKLRAHKGKSSQCFKMFYKGVITHDVSSAINRPQIGVVREFLTRNPAWRKAVFISPYNSQ -NAVASKILGLPTQTVDSSQGSEYDYVIFAQTTETAHSCNVNRFNVAITRAKVGILCIMSDKDLYDKLQFT -SLEVPRRSVAVLQSENVTGLFKDCSKLITGLHPTQAPTYLSVDTKFKTEGLCVDIPGIPKDMTYRRLISM -MGFKMNYQVNGYPNMFITRDEAIKHVRAWIGFDVEGCHATRDAVGTNLPLQLGFSTGVNLVAVPTGYVDT -SAATEFSRVNAKPPPGDQFKHLIPLMYKGLPWNIVRVKIVQMLSDTLKDLSDRVVFVLWAHGFELTSMKY -FVKIGPERTCCLCDKRATCFSTSSDTYACWHHSVGFDYVYNPFMIDVQQWGFTGNLQSNHDQHCQVHGNA -HVASCDAIMTRCLAIHECFVKRVDWSVEYPIIGDELRINVACRKVQHMVVKSALLADKFPVLHDIGNPKA -IKCVPQADVEWKFYDVQPCSDKAYKIEELFYSYATHHDKFTDGVCLFWNCNVDRYPSNAIVCRFDTRVLS -NLNLPGCDGGSLYVNKHAFHTPAFDKGAFANLKQLPFFYYSDSPCESHGKQVVSDIDYVPLKSATCITRC -NLGGAVCRHHASEYRQYLDAYNMMISAGFSLWIYKQFDTYNLWNTFTRLQSLENVAYNVVNKGHFDGQAG -EKPVSIINNTVYTKVDGVDVEIFENKTTLPVNVAFELWAKRNIKPVPEIKILNNLGVDIAANTVIWDYKR -ESPAYISTIGVCTMTDIAKKPTENACSSLTVFFDGRVDGQVDSFRNARNGVLITEGSVKGLNPSKGPPQA -SLNGVTLIGESVKTQFNYFKKVDGVVQQLPETYFTQSRSLDDFKPRSQMEVDFLQLAMDEFIERYKLEGY -AFEHIVYGDFSHGQLGGLHLMIGLAKRSLESLLKLEDFIPIDSTVKNYFVTDAQTGSSKCVCSVIDLLLD -DFVEIIKSQDLSVVSKVVTVTIDYAEISFMLWCKDGHVETFYPKLQANQTWQPGVAMPNLYKMQRMLLDK -CDLHNYGENAVIPKGIMMNVAKYTQLCQYLNTLTIAVPYNMRVIHFGAGSDKGVAPGSAVLKQWLPVGTL -LVDSDINDFVSDADSTLIGDCSTVYTANKWDLIISDMYDPKTKHILKENDSKEGFFTYLCGFIKQKLALG -GSVAIKITEHSWNADLYKLMGYFSWWTAFVTNVNASSSEAFLIGVNYLGKQKESIDGYTMHANYIFWRNT -NPIQLSSYSLFDMSKFPLKLRGTAVMSLKDNQINDMICSLLEKGRLIIRENNKVVFSSDVLVNN - ->YP_009513020.1 ORF1ab polyprotein [Porcine coronavirus HKU15] -MAKNKSKRDAIALPENVPPPLQLFIHVAAAEEGHPKVTTYLGNYNLYATKAPPGVQVLSAKTSLTDFENV -FGAQPTLRSIRNLVCEARSAEWTTSKNAFALKATQLDYSDAVLRAMIRFCPPKVSTLAAFALFGRLVKIE -DKELAELARNTALELAYTAKIGTSLADTRSVSLIHKDAYLTLSNEVVGVTFTAALMAKATTVNGAMQYSN -FYLYPRATIKVTDGKAEAIATKPLSAATKGKQITEDVNLLPDYQQLLVDQVTGTEVKVGALTYVKTTDSP -PLYFPKVKGGVIGIALKQQGTAAKKLNVVFHAQPDDVLLAFIQLQQFLNRTSDSSVEITDCQSYEVSPTV -TVKIGPSKPGDVIVATDEEYLKCFETPEVGRLYKVFQTQSWAIIERSFSSLKIRVSKALSAFISFLQNLA -DNFTAISGVVTALIRELQDLTLDVATRITNIQFVYRAGKLIVDTTSVIAKLFQPFCDFISPFLRKVAGFA -IYTVGNRMLMFTSTGTFLLTKATTKILNKAKYIFDVEPEYPVDVTTSKVVVHEALQQTDTKPTRALEAVD -VVVGNTVLQMATDGTAFYPSDGTHASLPGFKAGSDELFISFNCDLFDDETNAQINETLAAYELNQLVAPG -DSTPRQIATLVVDTLADAITDHFPEKTIDLPEDYQVFSDHDDLPLAQYHIPDHLSLYIQAMEGEDDSGDE -ICIEDDDYDCPQADEDTEGVIPQQWELPDVDKFLLKIQERKTSSDEVLSVDVYPKPEPVGNVGIDDSASE -KKPNGDSVPDPEVHPTLESVDVERPTETANQAVEDKPSDTTFVVDEEQLQESTPEHELRSYEGEFDSDDE -IIIPIVPVTPADLKPQTITIKEYFKSEKLETINEGSTESVTQSDDSFDESFVDAESDDPQDPAVYDDTTI -ITDSTDVGDEPETTLATIVNTPLTLDNNLPPEAIKQPSPTKVELVVGELASIKFDNSVLVNPANAQLTNG -GGAARAIAKLAGPKYQEYCNSVAPISGPLTTDSFDAKKLGVACILHVVPPKGSDPNVQELLYQAYKSILT -EPAHYVIPILGAGIFGCNPVHSLDAFRKACPSDIGRVTLVTMNKNHLQVWDALNRTIVRTTTDYDQVTTK -ALTPQGVLEANLFDGEDFVQEPKPGQIYLEVTEEVQNQAKELDLNLQQYCVYLKTCHHKWVVSRTNGLMH -LKQKDNNCFVSAGVNLFQNTAYQLRPAIDALYREYLNGNPNRFVAWIYASTNRRVGEMGCPQQVISLLVS -NSDAAFSATTACCNTYFNHTGVISVAREYDPIQPKVYCMKCDVWTPFTPQSGKGAVAIGTSADEPTGPAI -KFAAAHCWYTNGKKTVNGYDTKANVVATYHRFDVPKPQLVEDVVALPTKNDFEVLNVEELPQDSVLHLDP -PPVQALQPKANQHVETLENPDYLDILDLWIRKPKFILVKSWSVLGRALCKAGKVVFVSASLLTRFYNYLV -EIGALDSAIRLSIDLTCKFVRTVLPSSNTVHKTCLGLYYSAQTLFVSLAPFLMLPAVVSLLNSGYTIGTY -LYAKTGWPCNYNATQHFDYNSYCAGDLVCQACFDGQDSLHLYPHLRVNQQPLQTTDYTVYALSLILLLAN -MTLVMGTLIVTFFVNXYGVQIPFYGTLLIDYQSALMMTFSVYYFYKVMKFFRHLTHGCKIPTCMVCAKLR -TPPTITVETVVQGRKYPSVIETNGGFTICKEHNFYCKDCSLQTPGTFIPTEAIESLSRATRLSVKPTAPA -FLLARDVECQTDVVVARAMHNQNAHVCISKYSDIRTVDQLLKPTPLFSYTPDVIIAADFDNRGSLKTAKE -LAVVLSMDLKRTIIIIDQAYSRPIDNYQEVASRIEKYYPVAKITPTGDIFTDIKQATNGQASDSAINAAV -LAVQRGLDFTIDNPNNILPHYAFDFSTLNAEDQSTILESGCAKGNLKGTNVGVVLSASLVTRLSQQAIRV -IANAASRNGVTCAVTPSTLVMRGNIATQPLTRIKAGAPPMRQKILCVILALAIVYFAAMAFGFLASQITL -NTVPTIKSDIRASTFYVVRDGVLDTVRSNDKCFANKFLAFDSFIQAPYTNSPDCPVVVGVVEVTTHSIPG -IPAGVIHRDGLILNIYEQSLYETHQRQSMVRDALSLKTANLFNLGKRVVVGYTQHEVVVGTSYFNSPALF -NAKCTFLQYQDTRQLYCYDTVPTEHKLYSDVLPHVEYKAIDINGDLVPFKIPEQIMFYPHIVRYTSNSYC -RMGHCFNTNPGICISFTDEFPYSENVKPGVYCADTSLQLFSNLVLGTVSGIHIFTSTAALLGSTIVIILC -VVAVLAVQRFFKEYTTFVMYTCGLALVNIVGIALMYKCLVFAIFYYAIYLYFVLTFPSFKRNVALFYFAV -VIVPHVSNMQLLALIVCSIIYFLYTYVHTVAKTAGKFSSFLDAAKATFVIDNEKYVLLKDLAGAEFDQYL -ASYNKYKYFSGTASDKDYDKVCMAFLAKALSSFREGGGSQLYTPPKFAVVQSLKTKLQAGIKILLHPSGV -VERCMVSVVYNGSALNGIWLKNVVYCPRHVIGKFRGDQWTHMVSIADCRDFIVKCPIQGIQLNVQSVKMV -GALLQLTVHTNNTATPDYKFERLQPGSSMTIACAYDGIVRHVYHVVLQLNNLIYASFLNGACGSVGYTLK -GKTLYLHYMHHIEFNNKTHSGTDLEGNFYGPYVDEEVIQQQTAFQYYTDNVVAQLYAHLLTVDARPKWLA -QSQISIEDFNSWAANNSFANFPCEQTNMSYIMGLSQTARVPVERILNTIIQLTTNRDGACIMGSYDFECD -WTPEMVYNQAPISLQSGVVKKTCTWFFHFLFMAITMLLAAMHVFPVHLYPIVLPCFTVVAFLLTLTIKHT -VVFTTTYLLPSLLMMVVNANTFWIPNTFLRTCYETIFGSPIAQRLYGYTVALYMLIYAGLAINYTLKTLR -YRATSFLSFCMQWFQYGYVAHIAYKLLNKPWTESLLFTAFTMLTSHPLLAALSWWLAGRVTLPIIMPDLA -IRVLAYNVIGYVICVRFGLMWLANRFTTVPMGTYQYMVSVEQLKYMMAVKMSPPRNAFEVLIANIRLLGL -GGNRNIAVSTVQNKILDAKATAVVVANLLEKAGVTNKHAVCKKIVKLHNDTLKATTYEEVEVALVKLLSH -IIEFLPTDQVDAYLADAANAQHVNTYFDNLLENKAVVQAVADININLDSYRIYKEADAIYKRSVEMNESP -QEQKKKLKAVNIAKAEWEREAASQRKLEKLADAAMKSMYLAERAEDRRIKLTSGLTAMLYHMLRRLDSDR -VKALFECAKAQILPIHAVVGISNDNLKVIFNDKDSYSHYVEGNTLIHKGVRYTIVKKLSLDNAPIEGVPE -EFPVVVETVREGVPQLQNNELCLRNVFTAQNTAQDFNGNESTVKSFYVTRTGKKILVAITSTKDNLKTVT -CLTETGKTVLNLDPPMRFAHTVGGKQSVVYLYFIQNISSLNRGMVIGHISETTILQASGTQIEYQQNASL -LTYLAFAVDPKTAYLKHLADGGSPIQGCIQMIATMGPGFAVTTKPQPNEHQYSYGGASICLYCRAHIPHP -GVDGRCPYKGRFVHIDKDKEPVSFALTHEPCSSCQRWVNYDCTCGSSLQNSAYLNRVTGSSDARLEPLQP -GTQPDAVKRAFHVHNDTTSGIFLSTKSNCARFKTTRSALPLPNKGEVELYFVTKQCAAKVFEIEEECYNA -LSTELYTTDDTFGVLAKTEFFKFDKIPNVNRQYLTKYTLLDLAYALRHLSTSKDVIQEILITMCGTPEDW -FGENWFDPIENPSFYKEFHKLGDILNRCVLNANKFASACIDAGLVGILTPDNQDLLGQIYDFGDFIITQP -GNGCVDLASYYSYLMPIMSMTHMLKCECMDSDGNPLEYDGFQYDFTDFKLGLFEKYFKYWDRPYHPNTVE -CPDDRCVLHCANFNVLFAMCIPNTAFGNLCSRATVDGHLVVQTVGVHLKELGIVLNQDVTTHMANINLNT -LLRLVGDPTTIASVSDKCVDLRTPCQTLATMSSGIAKQSVKPGHFNQHFYKHLLDSNLLDQLGIDIRHFY -YMQDGEAAITDYSYYRYNTPTMVDIKMFLFCLEVADKYLEPYEGGCINAQSVVVSNLDKSAGYPFNKLGK -ARNYYDMTHAEQNQLFEYTKRNVLPTLTQMNLKYAISAKDRARTVAGVSIISTMTNRQYHQKMLKSISLA -RNQTIVIGTTKFYGGWDNMLRRLMCNINNPILVGWDYPKCDRSMPNMLRIAASCLLARKHTCCNQSQRFY -RLANECCQVLSEVVVSGNNLYVKPGGTSSGDATTAYANSVFNILQVVSANVATFLSTSTTTHLNKDIADL -HRSLYEDIYRGDSNDITVINRFYQHLQSYFGLMILSDDGVACIDSAVAKAGAVADLDGFRDILFYQNNVY -MADSKCWTETDMNVGPHEFCSQHTVLAEHDGKPYYLPYPDVSRILGACIFVDDVNKADPVQNLERYISLA -IDAYPLTKVDPIKGKVFYLLLDYIRVLAQELQDGILDAFQSLTDMSYVNNFMNEAFYAQMYEQSPTLQAS -GVCVVCNSPTILRCGDCIRRPLLCCVCAYQHVTQTTHKRIIAINNYICSVENCNEDNVEKLFISGTAIYC -ENHKPTLCIPIVANGSVFGIYRHTARGSDDIDLFNELATSNYDTIEPYQKANRAPLSLMLFAAETIKALE -ESIKKSYATATVKDVYDQRFIKLLWEQGKKPPPITKNHIFTGYHFNKNGKTQVGDYILAKTDGSDTYTYR -GTSTYKLQTGDVLVLMAHVVTPLSAPPVLTQTTYVRKSLLPDSVGASYYVQHFKSYNEIAMQRVTTVLGP -PGTGKSTFAIGLAKYFPSARICYTASSHAAIDALCEKAFKTIPVGQCSRIVPTRTTVECFQEFVVNNTTA -QYIFSTINALPDIKCDIVVVDEVSMLTNYELSSVNARLVYNHIVYVGDPYQLPSPRTMLTSGQLSPADYN -VVTDIMVHAGADVMLDMCYRCPREIVETVSKLVYDNKLKAAKPNSRQCYKTIVNFGPGDVAHEGQSAYNE -AQLRFALAFRQQKRWDNVTFISPYNAMNVKASLAGFSTQTVDSSQGSEYDYVIFCVTTDSAHALNMARLN -VALTRAKIGILVVFRQANELYNSLQFESIDSQLQSSAEKNLTPLFKRCGYEYNGVHPAHALTWHDCGAEY -RCEEPLAKLVGVADGTLISYKTLVSTLGFLPSLKIDAYHNMFLTRDACRTYVQSWIGIDVEAAHAIKPNT -GTNLPLQIGFSTGKNFSVTPEGIWVNEHGSCTEPVPAKIPPGEQFRHLKKDMRQARPWKVVRREIATHIA -EVAPHTDYICFVTWAHQLELATMRYFVKLGMEEKCFCGRRACFTNGTEFACKAHHSLTIPQCDYVYNPFL -IDVATWGFSGRLSTNHDAVCTYHANAHVASADAIMTVCLAIHELFSTVDWNLEFPVTAEQSQLNKACRLV -QANYLNILLTTTKATVVHDIGNPKGIPIVRKPGVKYHFYDQAPIVKHVQKLKYKPEMEARFTDGLTMFWN -CNVDTYPANALVCRYDTHRQKHLIGPNGSALYVNKHAFLTPEMHTYATHKLNLAPLIYYSTTDCSSEQPI -VVTYRDCVTRCNTGKTLCPNHALEYQEFINAYNLMARHGFNVYIPRNVNVYNCWLTFTNLQNLENLAYNC -YYKNCNAHVDGQLDVVINNNAVYAKVDNNLVKLFDNRTNLPVSVAFEHYTNRHTRSLPTTQLLSGLGVTA -TRNFTVWFDNDTIFQYTINVSTYTDIDPSTHVVLCDDRYGTDWSQFNQLPNAVFLTKTKVKKTEPFVCTA -LTLNGLAIDGEELYIYVRYNNQLTTFATTCTQGRNVEQFIPKTPMERDFLEMAQQSFIQQYQLQDLGVEH -IIYGDDSSPVIGGTHTLISLVKNKFEHQLVNHVYNPVQNCVVTSPNASSKNVCTVLDVLLDDYIDIIRQA -HASYTSKSKVFTVSIDNQQVRFMLWHDEQVKTCYPILQSLTNGYQMPSVYKTLVTDLQPADIPNYHSYTP -RVPGVVKNVIKYRQLFNYIVKKDRLAVPHNMTVLHLGAASALGTAPGSSVIKQMFPEGTVLIDLDIREFT -SDANQIIVTDYRTYIPPHHVDVIFSDLYCCDDIHFFDNLIRIVKERLALGGSIFVKITEHSFSPELYSLA -GWFDDYQLFCTAVNASSSEAFLCCFNYLGHAKENINGFNLHASYIQWRNEIALTPTYSPLADNPATACKL -KATPIISARELEKKPILRYLVASGRLLVRPPECRELY - ->YP_005352837.1 ORF1ab polyprotein [White-eye coronavirus HKU16] -MGKNNPKRSPIVLPDPIPPPLQLFIKIAAAEEGHPKDLSYLGGYNLLTTKSIPGVQVLDPVTSLTAFEQF -FGAQPILRAVRNLIVDRKADWFASKDNFANKAINLTFTDHVLRAVIQHAPQRVSALASLALYHKIVKIDS -VELKTIADQVAIEAAYTNKIGVALADAKAITLTHKDGYLTLNNAVLGPVFTNTLLAQATPSNGAMTYSDF -HLFPNASLAIVDGVSVPIVSKPIVASQLGVIPDENVTLVPDYDQLIPPQVAGSAVKVAGLTYIKTADSPP -LYYPKVKGGVLAVALKPTGTRDKKLSVVFHAKPNNVLLAFIQLQEFLNRSTGVISKADLDTYDVAPNVRV -SFGTSKPGDIVVSTEEDYLRCFDTEEVSHLYKIFQTESWACLSQQFRKLRIRVSDSIYHVLSFLERLMDL -FKPLTTLVNTLIDKIKDLSLDVAARITNVQIVYSAGRLVVETTSVLSTLLQPLCDFITPFLKKMAGFATY -AVGRSMVLFTSMGSFILQQTKVRVVNKVRYVFDVSADYRIDNVTTKVNLHDSLHVTSDTPSGPLELVDVV -IGDTVLQMATDGKAYYPSDGEYASLPAFKAGSDELSITFTCDVFDDDLNSAINDTLVSYELNQLVAPRDS -TPRQIAALVVNTLVDAITDYFPEKSLDMPEDYQVFSTFDDLPLTNEHIPDHLTLYIQAMEGDDEDDDVII -EDDDQEVILDTDEDEGVIPQCWDIPNIDKVLNKFKTQQDLTIDVKPTEELPSVEQPKIVQDVDQSEVLLK -EPQIAQTQDAEPPVPIVPELTGSKPKSLKQYFSANKLDTISEETCDTIVTPEATIQVEESDIQIADDASA -VDDSLENHESDTCIVDDDTLSDSAIPVVDDSDTIITDSTEGDDTEQLLDTVVNQPLTIDNNIPTHAIKQP -SPTMVELVVGDLSTIKFDNSVLVNPANAQLTNGGGAAKAIAELAGPDYQAFCKSIAPISGAVTTDAYDAK -KLGVACILHVVPPRGTDVDVQEKLIQAYRSILTEPAHYVIPILGVGIYGCNPVHSLDAFKKACPPNIGRV -TLVTKDVNHLQLWDALNRIVVRTTKDFDQITTQALTPQGVLDANLFDGDVFVQEPSPNQVYLAVDDHIQQ -HARDLGLTLKQYCNFLKYSHHKWSIQRINGVVHLKQKDNNCFVSSALNLFQNTHYRLTFAVDELYQEYKN -GNPNRLVAWIYASVNHVIGDMGCPQQVLSLLVNNANCKFSGTANCCNTYFTHDGVIANTNQSGPLEPEVF -CMRCDKWTTFTPDNVDGVVVLGNVQGPATTHSIMFANSHCWYTDGKKSINSYNVNANVVAVYHKMQVARP -MLVQPQATSQTLTNQKVDVAKNNKPFKPEVKVALPVANTFDCLKVEEIPTSSVLNLDPKVKVENAAVKPI -KYETLNNPNALDLLDLWIRKPKHVLVKAWTVIGKPLFKAGKVILLTTKHIKRIYDYLCGAGLIDVTATLG -VKLAYKVAKRFVPSLSTVRRTCIGLFYSAHTILKTCAPFLLLPAIASILSSGYQLTSYFYGKAGLACPAN -LSNHYDYQSFCAGDLTCLGCFDGTDSLHLYDHLKINQVVVNTTNYMPYALAIILCFVNIVLVILTALAVF -CVNLYKLKIPLYGIVQLEYQNTFICVFAIYYVVRILLFFRHLAYGCKKPNCNLCAKMKIAPTLTVDTVVQ -GRKFPSVIHTNGGVKICPNHNFYCKDCDSTNPTTFIPTEAVESLSKITRLHVKPTAPAYVLARDVECQTD -VVVARATISGQAQVCISKYSDIRTVEALLKPTPLFSYTPDVIIAADFDNAGSLKTAKELAVVLSMDLKRT -IIIIDQAYSKPIDNYTEIISRIEKYYPTQKINPTGDLYTDIKTATNGQATDSAINAAMIAVQKGLDFTID -NPNHILPHYAFDFSTLSAEDQSVLIETGCAKGNLKGTNVGVVLSANVVTRLSNSAIRVIANAASRNGVTC -SVTPSTITLRGNIATQPLQRLKAGARITIKPLLKWVLLFGAVYGAALLLSYAATAVSNPTIPRVKSDIPT -TGFYVIRNGVLDTIKSSDTCFSNKFVSFDVYIQADYVNSHHCPVVVGIADVQTLSVPGIPAGIITRDGGI -LHVYEQALYDRLQRQSMVQEALGLKTKALFNLGHRTVVGYTRTEVVTGASYIRSPALFNAKCTYLQRDGD -RRLYCYDTVDTEHRLYTDVLPHVDYKAVDFNGNLVPFKIPEQLLYYPHIVRYVSNSYCRMGHCFKTNPGL -CVSFTDEFPYSENAQPGVYCADSGYQLFSNLVLGTVSGLHVFTSTAALLGSTCFILICVVAVLTFQRLFK -EYTAFVLYTIFIAIINIVGIVLVYNCISIAFVYYAIYLYCALTLTSVRRNIALFYLAIVVVPHISNMQLL -AVCVCSVLYLLYSYIYTVSKTGGKFSSFLEAAKSTFVIDNDKYVLLRDLAGADYDTYLASYNKYKYFSGT -ASDKDYDKVCMAFLAKALSSFREGGGAQLYTPPKLAVVQSLKTKLQAGIKLLPHPSGVVEQCMVAVTYGS -STLNGIWLNNVVYCPRHVIGKYKGEQWLHMVSIADCRDFLVNCPNQGVQLNVTSIKMVGALLQLTVHTSN -TKTPAHEFVRLTPGASMTIACTYDGVVRNVYHVVLHTNNLIYASFLNGACGSVGYTLRGKTLLLHYMHHL -EFNNQTHGGTDLHGVFYGPYVDEEIAQQQTVFQYYTDNVVAQIYAHILTHDARPKWLASSDISEADFNAW -AANNSFASYPCQSANQAYITGLAQTTRVSVGRVLNTIIQLTLNRNGALIMGKPDFECDWTPEMVYNQAPI -NLQSNVVKRASLWFLHCCFVAVMIAFAMLHLLPTELLPVGLSVAVCSSFVLTLTIKHSVVFTTTYLLPAL -LMLVANSSTIWIPNTYLRMTYEWVFGITMSERLSVYTVGLYICVYLALALNYTLRCMRYRSTSFINLCMQ -CFQFGYMVQIVYRLMTQKWTEQLLFTAISLLTSHPVMAASSWWLAGRCVFSAFLPDLAIRIIVYLFLGYV -MCMRFGLLWLVNKFTTIPFGTYSYMVSIEQLKYMMAVKMAPPRNSFEAIVANIRLIGLGGTRNIAISTVQ -NKVLDAKATAVVVANLLDKAGVTNTHSVCKKIVKLHNDTLKATTFEEAETSLVKLLVHIIDLLPKDQIDT -FLSNSVRVQAINDYFDNLLENKLVLQAVVDANINLDSYRIYKEADAAYKKSVELNESPQEQKKKLKAVNI -AKSEWEREAASQRKLEKLADAAMKSMYLAERAEDRRIKLTSGLTAMLYHMLRRLDSDRVKALFECAKQQI -LPIHAIVGVSNDNLKVILNDKDSYLQYVDGNTLIHKGVRYTIVKKLSLDNSPVDGIPEEYPVVVETVREG -VPQIQNNELCLRNVFTAQTSVLDNNGTESTAKAFYVSRTGKKILVAVTSNKDNLSTVTCHTDNGKVVLNL -DPPMRFSHVVGGKQNIIYLYFIQNISSLNRGMVIGHISGTTILQANGTQIEYQENASLLTYLAFAVDPKA -AYLKHIADGGKPIQGCIQMIATLGPGFAVTTKPQPNEQQFSYGGASICLYCRAHIPHPGVDGRCTYKGRF -VQIDKDKEPVSFALTHEPCNACQRWSNYDCTCGSVLQGSPYLNRVMGSSGALLEPLQPGSQPDAVKRAFH -VHNNITSGIFLSTKTNCSRFKTTLSNLPLPNTGNVDLFFVSKQCSQQVFEIEEACYNKFDDKLKSTDKTF -GVLAKTDFFKFDKIPNVNRQYLTKYTLLDLAYALRHLSTSKDVIREILITMCGTSEDWFGDLWYDPIENP -TFYREFHKLGSILNRCVLNANAFAKAAADSGVVGILTPDNQDLLGQIYDFGDCILTEPGNGCIDLSSYYS -YLMPIMSMTHMLKCECYDIDGSSLEYDGFQYDFTDFKLQLFDKYFKYWDRPYHPNTIDCPDDRCVLHCAN -FNILFAMCIPNTAFGNLCSQATVDGHIIIQTVGVHLKELGIVFNQDVNAHMSNINLNTLLRLVGDPTTIA -SVSDKCIDLRTPCQTLATMSSGITKQSVKPGHFNQHFYKHLLDSDLLNQLGIDLKHFYYMQDGEAAITDY -SYYRYNTPTMVDIKMFLFCLEVADKYLQPYEGGCLNAQSVVVNNLDKSAGYPFNKLGKARNYYDMTYAEQ -NQLFEYTKRNVLPTLTQMNLKYAISAKDRARTVAGVSIISTMTNRQYHQKMLKSISLARNQTIVIGTTKF -YGGWDNMLRRLMDGINNPILVGWDYPKCDRSMPNILRIAASCLLARKHTCCNQSQRFYRLANECCQVLSE -VVVSGNNLYVKPGGTSSGDATTAYANSVFNILQVVSANVATFLSTSTTTHNSREIAKLHRDLYEDIYRGD -SDNQTVIDSFYQHLRTYFGLMIFSDDGVACIDTKAASEGVVSDLNGFRDILFYQNNVYMADSKCWTETDM -TVGPHEFCSQHTVLAEHEGKSYYLPYPDVSRILGACIFVDDVNKADPVQNLERYISLAIDAYPLTKVDPI -KGKLFYCLLDYIRVLAQELQDGILDSFQSLTDMSYVNNFVQESFYAQMYEQSPTLQASGVCVVCGSPTIL -RCGDCIRRPLLCCVCAYQHVTQTTHKRIIAINNYICSVDNCNEDNVEKLYISGTAIYCENHKPTLCIPIV -ANGTVFGIYRHTARGSDDIDMFNELATSHYDTVEPYKKANRAPLSLMLFAAETIKALEESIKKSYATATV -RDVYDQRFIKLQWEHGKKPPPITKNHIFTGYHFNKNGKTQVGDYILTKTDGTDSYTYRGTSTYRLQTGDV -LVLMAHVVTPLSAPPVLAQTNYVRKSLIADSVGASFYVQHFKSYNEIAMQKVTTVLGPPGTGKSTFAIGL -AKYYPNARICYTASSHAAIDALCEKAFKTLPVGQCSRIVPTRTTVECFQDFVVNNTTAQYIFSTINALPD -IKCDIVVVDEVSMLTNYELSSVNARLVYNHIVYVGDPYQLPSPRTMLTTGQLSPADYNVVTDIMVHNGAD -VMLDMCYRCPREIVDTVSKLVYNNKLKAAKPNSRQCFKTIINTGSSDIAHEGQSAYNEPQLRFALAFRRY -KRWENVTFISPYNAMNVKASMAGFSTQTVDSSQGSEYDYVIFCVTTDSAHALNMSRLNVALTRAKVGILV -VFRQANDLYNSLQFESIDPSLVGQEGVLLMTDRSSTALAKSGSTPMKLQSSEETTQEVLPEGSSSTRLLC -PLFKRCSFAYNGQHPAHALTWHDCGDEYRCDEPLAKLVGVADGTLISYKTLVSALGFLPSLNIESYHKMF -LTKEACRSYVQSWIGIDVEAAHAVKPNVGTNLPLQVGFSTGKNFSVVPEGIWVDEFGSCTEPVPSKIPPG -EQFKHLKRDMRNARPWKVIRNEIAAHLVETAPQTDYICFVTWAHQLELATMRYFVKIGMEQNCFCGRRAS -FTNCVDYTCKAHRTLSTPTSDYVYNPFIVDVATWGFSGRLSTNHDEVCTYHSNAHVASSDAAMTVCLAIN -ELFKGVDWDLQFPVTPEQSQLNKACRMVQANYLNILLTTTKASVVHDIGNPKGIPIVRKAGVKYHFYDQA -PIVKHVQKLKYKPEMEARFMDGLTMFWNCNVDTYPANALVCRYDTHRQKHLIGPNGSALYINKHAFLTPE -MHTYATHKLTLAPLVYYSTTDCSTEQPIVVSYRDCVTRCNTGTTVCPTHALEYQEFINAYNLMARHGFNV -YIPRNVNIYNCWLTFTNLQNLENLAYNCYFRNHNAHVNGTLSVVINHNSVYAKVENDLVKLFDNTTLLPV -STAFEHYTNRHVKTLPTTQLLAGLGITATRNFTIWVDNDTVFQHTVNVSTYTDVDPNQHVVLCDDRYGTD -WSQFNQLPNAVLLTKTKVKKTTPFICTALTLNGLAIVGEELYIYYRKDGNLLNFNTICTQGRSVEKFTPK -TPMEDDFLNLTAQDFITKYQLQGLGVEHIIYGQDDSPVIGGTHALISLVKNKFDFELVNHIYNPVQNCVV -TSPKASTKLVCTLLDLILDDYIDIIKQAHATFDTKSKVFNVNIDYQNVRFMLWHDDEVKTCYPIVQSLTN -GYQMPSIYKTLICELEPCDIPNYHAYTPKVPGVVKNVIKYRQLFNYIIKKDRLAVPHNMTVLHLGAASME -GTAPGTAVIKQMLPEGSIIIDLDIREFTSDANQIIITDYRTYMPPHHVDAIFSDLYCCDDIHFFDNLIRI -AKERLALGGSMFVKITEHSYSPELYSFMSNFDEYQFFCTAVNAASSEAFLCCFNYLGDAKTSVDGYALHA -SYIKWRNEIALTPTYSPLADNPSTVCKLKATPIISLKELEKKPILRYLVASGKLLVRPPECRELY - ->YP_009824989.2 ORF1ab polyprotein [Bat coronavirus] -MESPDRPPKLKSMTTVRLKWIDPIAYPNFRRWETPIEEAMDFAAKELLKPEPQLCFVPAQFADSKYVGAD -KVIITHSTHRCETLGWQPIQELAFIKDNIRFGRGGVQGVLLPMQEEHAIFGTVEITIRKHGKGARSFRDP -TPLWDGFVDPEIPDDFLDAPDTIYPTKPKAKRGGGVFYTDQYGFDADGVLVKPIMDLLGPLKSGYTLANL -LDDLAPYKCDDGYELPTGTVCVAFEVVRKNVPAAKQNIFTVQGVLNQLVPGIYYPYSSGSKVVTTKPARD -SPAAKTVSSIMLSLYGTAQYAPVTPVARIACPTCGFLGWLPLKDAGTVVCGCNATYNMTSTAVQAESSGF -IRQGAVLCLEKGEAMRLIPGGRTYLAFGGAIWSPIGKDKDVTVWVPRTYSVLCNEHSGVVGTGDVRAYNE -ELVSLLSEGFFIDEEALAKESVSCMIADALPEDHDAVTLNVDLVKQYLFDANVPLGQRPVPSDPYHPAIQ -GVSYHVRRACTLAMRAGVAFDAVKTSFYREVGRILVKVNFDYWQQLATVNIPLRAFFVLDAMVSEGAIAW -GHWTSIAKDLLAAFKPWLLNVFKVTTQAIVDTALALTQFLQVKFTYINGEFSFLVARLNQSCEFLRNLFQ -KLLSAFKTTTKWAGCAVEGIYTNAHCFFSRTGMLVEKQFKASSLGFIFTPRQAKAEIEVLEGDFDVPVEL -CSEEVDENAGTLEEVFGASDLQIVRGQLSVLASKLFVRTEEGLFYRYVSSGGVLLKAFRLRGGGGVNKVT -FGDEDVHEIPHNVTVSFTYDVCDGLNAVLDRLMPPFEVESDTDLEDLAILVQEAVTAKLQELFSDCPRDV -RPIDIEEFSTTPCYIYNKDYEKVLSAEMYFSLEDVTPDDDDEQVASASESSDDEGQGNDSDSDGWLSEDN -LATEEEAVEPAVIDEEPLTPVAVIVPGDDYTRVNDYIYIKCGDIVEEAKVLQPNVLVNAANSMLKHGGGV -AGAINKATGNAMQKESDVFVKHNGPLKTGDGVMLSPHGLAKQGILHVVGPDKRKKQPVDHLHAVYRLYNQ -HVGVMTPLVSAGIFGFTPEESWSILLQEVQVPTFVVVNDVAIYDKLRGGVKPSAADDEWAAAVDQQENNI -PVDVHEDVEAIVAECVTAMVKKVARKVKLVLPTVVPPAPEEVVQEPIEEKPGVALQEVVTLSLMQMITQG -KERGAVTALVIDYPPFNKVVRRCNPQKGFYTANGQDFFGYSRDTPLDDVITAINKEGRSIIMLPLGYIVN -GKPLAVCAATMRKLTVPHVVVVPSDTCVPLYNTYYMGGVSGEANAATEFVVDAVANGVEGWDIVQKTCQQ -NGRCYKTFAHRDGIYMCHDDTNLFALTSTGVLKFATTTKARDYMASCTKVEEPLIKVYTTVNGLEYNTVY -VDTQRTFGVQIGTVYVSGSDYTNRVPTANDDGVQLFKQDNFTPEESEAIRAYYGVFETDIVARVGSIQRA -VKDWQFAVVDGRVALQQMANNCYLNAALLLLQDINVEFTTPWVKHAYDSLRGGNPLPMVTVLVALGKTTI -GNPDDANMVITAVLTHATVNAKRVTTTVCDTCGAKHEEVTGILACTYYGSVVLDDLYKPESVVCTCGRSA -IRFVSVQQSPWVLMSHVPTTTPLEPSGHWTAAIVFRGSISNGHYMYARRGMLVDVYDATTRKRTSDLKVP -ATDVLYTNVNFTSASKVVTYTLDGQKHTAIDPDLSSYTRRGDYYFTTVPVESVAAPRLKTKFDNFYLTSS -GELAEVESFNKVIGTDFSGPKKVVTRYPDCSGDVVAILDEIVTMHPHGTLIQGKPVLFLTKPNTWKKLVP -LLSASVIEVGNKYEVLPVEPLPAVCTEEVVVTEAKATVPLYGLKGTIVLDGKTYVPGKKGDLLCLREFTY -ADFVTATIEGPQPFVLLRENHLSKLLGLKVSKETLLINKLNPCLLKSFSITKTVTTKFWGCFKTAVVAGC -RASVRTVRRTQPGVRLTGMVCLFYRFMLALSTKLVKPPTFKVTSIVTYNTGCAVTKCVINYFKSRFSSFS -LTRITRLLKFVITLWFAWTLLMVLSVWLSEPYAPTWLTGLRHKVGISMPCDYVLTDDNVTGWFHNLCMAG -MDNLAYPALRVVQQRMGSPYDYTSFLFVLEIVLAYVLYTPLLPGVGIFAVMHTLLNWFPNMLGSSWLMVF -IYHVVRLVPLISLLRLYIALSFLWVCYRGLCHVRFGCNNVSCLMCYKRNGATRVECSTIVAGVKRSFYVN -ANGGTYFCTKHNWNCVNCDSYSVDSTFISRPVALDLSAQFKRPINHTDEAYYEVTSVEVKHGYVYAYFDL -EGKRSYEKFPMDKFANPSKYYFSELKGVAPSLNVIIFDASNTIEENAAKTSAVFFAQLACRPMLLIDKRM -IGVVGDEAGISKAMFEAYAQNYLLRFSIPMDKVKALYTTALQQIASGSSVESVLKVFVGSTRNESKDIES -DVETTDIVSCLRFCHQESWDWSVGPYNNLIPTYLKQDTLTTLEVGQLISCSARYVNANIAKSSAINIVWR -FSDFIKLSESLRRQIRIAARKTGLNLQLTTSSLKADVTCVVTPIRVVGGHRRKVAWRSIVIYSLMLLFVL -NPQWLLPRYSLTKENFNVMDFKVIDNAAIRDITANDMCFANKFSGFDDWYFTRYGQTYTNDVSCPMVVGV -ISQTLGTLYAGLPARFLRVGRSLLPLINFAFGMDGQVCYTPYTAVDYTTFADSACVLASACTLFKNAAGE -PVPYCASNNLLTNATLYKELTPHMAYPLYESNGYIRFPDTITAGVHIVTLKAMEYCRLDRCDISDSGVCV -SLTPRWVVNNFYYRQQPGVYCGSSTLDMLYQVVLPVFRPAGTIDITSSVLMGAALAFLVSIVLYYLLKFR -RAFGDYTTVVAVNMIAFFLNLLVLCLESAYPLFPTVYAFVFLYATCYFTSDVSAIMHLSFMAMFTSVVPL -WVTVLYIVVVVSRHTLWLASLCTRPTVTVGDLSFTSFQDASLQTFMLDKDVFLRLKREISAETFARYLAL -FPKYKYYSGPMDTNAYREAACAHLAMALEKFSSTGGDVVYQPPRCSVTAASLQGGLAKMAHPSGPVEKCV -VKVTYGTMTLNGIWLDDYVLCPRHVLCSRDDLAAPDYPRLCMRAANYDFIVTQQGHPLRVTGHTMEGALL -KLTLDARNPNTPAYSFIRVATGQAISLLACYDGNPMGVYTCTMRGNGTLKASFLCGSCGSPGYVMNGKEV -QFCYLHQLELPNGTHTGTDMQGVFYGPFEDKQVPQMATPDQIITVNVLAWLYAAVLSGDNWFVVKTGITP -AEFNTAAIKHMCQSVSTDTLSTLQPLAAKTGISVERMLASLKLLLATGFCGKTIMGACTLEDEHTPYDIG -RQMLGVSLQSRFRRTSTWLLQWFITVFVLTGVFTIHLFNWTFIGALPFTMQIPIIGAIACVSAFLSLLVK -HKYTYLSTYLLPVVTLSAYYNYQYQPFGVQGWILWLVNYVKPVTFIGLDIITIVVTCVIAFTLSLRLVRS -DMYTRVWYVFTAICWVYSCLTGTSETLPFTYLTFMVSVFTNYTGVACVSLYAARFIVFILCIYNVYLADV -GSVRLTLIVYLFIGFINTCYFGVFNLVNKLFRCTLGTYDYLVSSQELRYMNSNGLLAPTNSWQAFLLNIK -LAGIGGIPMYKVSTVQSQLTDLKCTSVVLLSVLQQLRIESSSKLWALCVKMHNDILASNSASDAFESFVS -LLSVLLSLPGAINLDELCNSIMDNNTVLQAVASEFSNLASYVDYENAQKAYDTAVSTGAPASTIKALKKA -MNVAKSVLDKDVAVARRLERMSEIAMTTMYKQARAEDKRSKVTSAMQTMLFNMIRRLDSDALSNILNNAR -NGVVPLGVIPRTAANKLMLVVPDYSVFSSTITLPVLTYAGSAWDVVQIADADAKAVNTTDITRENSPNLA -WPLVVTAQRQQATSPVKLQNNELMPQTVKRMNVTAGISQTTCTTEALAYYNSAKEGKHVMAILANVDGLK -CARVEKSSGDGFVVIELEPPCKFMVETPKGPTLKYLYFTKGLNNLCRGTVLGTLACTVRLHAGSATEVTS -NSSILSLCAFSVDPEATYKEYVDNGGAPIGNCVKMLTPHTGTGLAVTAKPDANMEQESFGGASCCLYCRC -HIEHPGPNGVCKFKGKFVQLPLAGVQDPIGFCIRNVVCSVCNMWQGYGCPCASLREVNLQAKDANFLNES -GVLVEVARLVPLGNGVEPDVVLRAFDICNTKVAGFGLHLKTNCCRYQEYSADGDPLDSYFVVKRHTEDNY -VLEQQCYDKLKDYSFVAKHDFFKFNVDGTMVPHISRQFLTKYTMADLVYSLRHFDNNNCDTLKEILVLRG -CCDVAYFDKKDWFDPVENPDIVNVYHKLGETIRNALLSANFMADAMVKHGLIGVLTLDNQDLDGRWYDFG -DFIQGPPGAGVAIMDTYYSLAMPVYTMTDMLAAERHLDGDLSKPQRVWDIYKYDYTTFKYELYNKYFKHW -DMQYHANCVACSDDRCILHCANFNVLFSMVLPSTSFGPLVQKVYVDGVPFVVSTGYHYRELGVVMNQDVK -VHSQRLSLKELLVYAADPAMHVAASNALLDKRTVCMSVAAMTTGVTFQTVKPGQFNEDFYKFAIKCGFFK -EGSSISFKHFFYAQDGNAAITDYDYYRYNLPTMCDIKQLLFSLEVVDKYFDCYDGGCLKASQVVVANYDK -SAGYPFNKFGKARVYYESLSFEDQDDLFAYTKRNVLPTITQMNLKYAISAKNRARTVAGVSIASTMTNRQ -FHQKMLKSIAAARGASVVIGTTKFYGGWNRMLRTLCADVENPQLMGWDYPKCDRAMPNMIRIFASLILAR -KHSTCCTSSERFYRLANECAQVLSEMVLCGGGFYVKPGGTSSGDSTTAYANSVFNICQAVSANINTLLSI -DGNKVHNLYVRELQHRLYMGIYRSTHVDADLVTEYYTYLRKHFSMMILSDDGVVCYNADYASKGYVTDIQ -GFKELLYYQNNVFMSEAKCWVEPDITKGPHEFCSQHTMLVKMNGEDVYLPYPDPSRILGAGCFVDDLLKT -DGTLMMERYVSLAIDAYPLTKHPDPEYQNVFWCYLQYIKKLHEELTGHLLDAYSVMLANDNVSKYWEVDF -YESMYTESASLQSVGNCVVCNSQTSLRCGACVRRPFLCCKCCYDHVVSTTHKLVLSVTPYVCNNPSCDVS -DVTQLWLGGMSYYCKDHKPPISFPLCANGQVFGLYKNICTGSADVADFNRLATCDWSSSSDYVLANTTTE -KLKLFAAETLRATEENAKQAYASAMVKEVLSDRELVLTWEAGKTRPPLNRNYVFTGFHTTKNSKVQLGEY -VFEKTDYGDTVTFRSSTTYRLQVGDYFVLTSHSVQPLSSPTLLPQERYTKLVGLYPALNISADYTGNIVH -YQRIGMSRYTTVQGPPGTGKSHLAIGLALYYPSAKVVYTACSHAAVDALCEKAFKSLPINRCSRVVPAKA -RVECFSKFKVNEVSAQYVFSTINALPETTTDILVVDEVSMCTNYDLSIINARIRAKHIVYIGDPAQLPAP -RTLLTKGTLGPEHFNSVCRIMVAVGPDIFLATCYRCPKEIVSTVSALVYDNKLKANKESTKECFKCFYKG -SVTHDSSSAINKPQLSLVREFLLQNPKWQTAVFISPYNSQNSVARRMLGLQTQTVDSSQGSEFDYVIYCQ -TSDTSHAVNVNRFNVAITRAKKGILCVMSDQNLFDSLLFETLDVNKYLRAKPQAEVTGLFKDCSKSEPLS -PAYAPTFLSVNDKFKLSDELCVHFDTNETQMPYSRLISKMGFKFNVELPGYSKLFITKEQAIKEVRGWIG -FDVEGAHACGPNVGTNLPLQIGFSTGVNFVVNPTGYVDTQDGTKLLPVVSKAPPGDQFKHLIPLMRKGEP -WSVVRKRIVEMLCDALDGVSDSVTFVTWAHGFELTTLHYFVKVGLERTCYNCQRRATLYNSVYGAYSCWS -HHRHLGGADYVYNPFLVDVQQWGYVGNLQTNHDAHCDVHKGAHVASCDAIMTRCLAIHDCFCKDVNWDIS -YPIIADELAINKACRSVQRVVLKAAVKALNVTRIYDIGNPKGIKVPGVYVDNWKFYDTNPVVPSVENFHY -IFDMHKDQFNDGLTMFWNCNVTRYPANSLVCRFDTRVMSKLNLPGCNGGSLYVNQHAFHTEAFDKMAFVD -LKPLPFFYYSDTACETANGTVTNFVCDVDYVPLKSNVCITRCNLGGAVCKKHADEYRAFLEQYNLMVSSG -FTLWVDKSFDVFNLWSTFTKLQSLENIAYNVVRSGHFDGVVGELPLAILNDKVFIKIDGDDRLVFTNKTC -LPTNVAFELWAKRSIKVMPECKLLRNLGVTCTKDCVVWDHERDAPLATSTINVCKYTDVDFKPLKLSNQV -VLIDGRNPCAYSEFCVLDNAVYFSVAKPKCLSVRGPPHASLNGTVVDAPDKGTQFWYAVRQNGSFVDLTD -TFYTQSRTVDNFTPVTQMETDFLSMSPQDFISKYDLSNLGMEHIVYGQFNGIIGGLHLLIGLVRRNIESK -LTVESVLGSDTVTSYAVVDQNTASSKQVCSVLDIVLDDFITILRAQDTSVVSKVVNYCIDFKVFRFMLWC -KDGSISTFYPQLQAKQDWKPGYSMPALYKVQNAQLEVCDLYNYGQPARLPYGTMMNVAKYTQLCQYLNTC -TIAVPAKMRVMHFGAGSDKGVCPGSAVLKQWLPMDAYLCDNDMDNCVSDADMFYQGDCVTFNTQQKWDLI -ISDMYDARTKNVSGENLSKDGFFPFLVGFIKDKLAIGGSVAVKITEHSWSADLYALMGRFNWWTCFCTAV -NSSSSEAFLIGVNYIGSGTLLDGEQMHANYVFWRNSTVMHLSNYSLFDLQKFSLKLKGTPVMSLKNQLLN -ELVLNLVRNGRLIVRDV - ->YP_007188577.3 ORF1ab polyprotein [Betacoronavirus England 1] -MSFVAGVTAQGARGTYRAALNSEKHQDHVSLTVPLCGSGNLVEKLSPWFMDGENAYEVVKAMLLKKEPLL -YVPIRLAGHTRHLPGPRVYLVERLIACENPFMVNQLAYSSSANGSLVGTTLQGKPIGMFFPYDIELVTGK -QNILLRKYGRGGYHYTPFHYERDNTSCPEWMDDFEADPKGKYAQNLLKKLIGGDVTPVDQYMCGVDGKPI -SAYAFLMAKDGITKLADVEADVAARADDEGFITLKNNLYRLVWHVERKDVPYPKQSIFTINSVVQKDGVE -NTPPHYFTLGCKILTLTPRNKWSGVSDLSLKQKLLYTFYGKESLENPTYIYHSAFIECGSCGNDSWLTGN -AIQGFACGCGASYTANDVEVQSSGMIKPNALLCATCPFAKGDSCSSNCKHSVAQLVSYLSERCNVIADSK -SFTLIFGGVAYAYFGCEEGTMYFVPRAKSVVSRIGDSIFTGCTGSWNKVTQIANMFLEQTQHSLNFVGEF -VVNDVVLAILSGTTTNVDKIRQLLKGVTLDKLRDYLADYDVAVTAGPFMDNAINVGGTGLQYAAITAPYV -VLTGLGESFKKVATIPYKVCNSVKDTLTYYAHSVLYRVFPYDMDSGVSSFSELLFDCVDLSVASTYFLVR -LLQDKTGDFMSTIITSCQTAVSKLLDTCFEATEATFNFLLDLAGLFRIFLRNAYVYTSQGFVVVNGKVST -LVKQVLDLLNKGMQLLHTKVSWAGSNISAVIYSGRESLIFPSGTYYCVTTKAKSVQQDLDVILPGEFSKK -QLGLLQPTDNSTTVSVTVSSNMVETVVGQLEQTNMHSPDVIVGDYVIISEKLFVRSKEEDGFAFYPACTN -GHAVPTLFRLKGGAPVKKVAFGGDQVHEVAAVRSVTVEYNIHAVLDTLLASSSLRTFVVDKSLSIEEFAD -VVKEQVSDLLVKLLRGMPIPDFDLDDFIDAPCYCFNAEGDASWSSTMIFSLHPVECDEECSEVEASDLEE -GESECISETSTEQVDVSHEISDDEWAAAVDEAFPLDEAEDVTESVQEEAQPVEVPVEDIAQVVIADTLQE -TPVVSDTVEVPPQVVKLPSEPQTIQPEVKEVAPVYEADTEQTQSVTVKPKRLRKKRNVDPLSNFEHKVIT -ECVTIVLGDAIQVAKCYGESVLVNAANTHLKHGGGIAGAINAASKGAVQKESDEYILAKGPLQVGDSVLL -QGHSLAKNILHVVGPDARAKQDVSLLSKCYKAMNAYPLVVTPLVSAGIFGVKPAVSFDYLIREAKTRVLV -VVNSQDVYKSLTIVDIPQSLTFSYDGLRGAIRKAKDYGFTVFVCTDNSANTKVLRNKGVDYTKKFLTVDG -VQYYCYTSKDTLDDILQQANKSVGIISMPLGYVSHGLDLIQAGSVVRRVNVPYVCLLANKEQEAILMSED -VKLNPSEDFIKHVRTNGGYNSWHLVEGELLVQDLRLNKLLHWSDQTICYKDSVFYVVKNSTAFPFETLSA -CRAYLDSRTTQQLTIEVLVTVDGVNFRTVVLNNKNTYRSQLGCVFFNGADISDTIPDEKQNGHSLYLADN -LTADETKALKELYGPVDPTFLHRFYSLKAAVHKWKMVVCDKVRSLKLSDNNCYLNAVIMTLDLLKDIKFV -IPALQHAFMKHKGGDSTDFIALIMAYGNCTFGAPDDASRLLHTVLAKAELCCSARMVWREWCNVCGIKDV -VLQGLKACCYVGVQTVEDLRARMTYVCQCGGERHRQIVEHTTPWLLLSGTPNEKLVTTSTAPDFVAFNVF -QGIETAVGHYVHARLKGGLILKFDSGTVSKTSDWKCKVTDVLFPGQKYSSDCNVVRYSLDGNFRTEVDPD -LSAFYVKDGKYFTSEPPVTYSPATILAGSVYTNSCLVSSDGQPGGDAISLSFNNLLGFDSSKPVTKKYTY -SFLPKEDGDVLLAEFDTYDPIYKNGAMYKGKPILWVNKASYDTNLNKFNRASLRQIFDVAPIELENKFTP -LSVESTPVEPPTVDVVALQQEMTIVKCKGLNKPFVKDNVSFVADDSGTPVVEYLSKEDLHTLYVDPKYQV -IVLKDNVLSSMLRLHTVESGDINVVAASGSLTRKVKLLFRASFYFKEFATRTFTATTAVGSCIKSVVRHL -GVTKGILTGCFSFVKMLFMLPLAYFSDSKLGTTEVKVSALKTAGVVTGNVVKQCCTAAVDLSMDKLRRVD -WKSTLRLLLMLCTTMVLLSSVYHLYVFNQVLSSDVMFEDAQGLKKFYKEVRAYLGISSACDGLASAYRAN -SFDVPTFCANRSAMCNWCLISQDSITHYPALKMVQTHLSHYVLNIDWLWFAFETGLAYMLYTSAFNWLLL -AGTLHYFFAQTSIFVDWRSYNYAVSSAFWLFTHIPMAGLVRMYNLLACLWLLRKFYQHVINGCKDTACLL -CYKRNRLTRVEASTVVCGGKRTFYITANGGISFCRRHNWNCVDCDTAGVGNTFICEEVANDLTTALRRPI -NATDRSHYYVDSVTVKETVVQFNYRRDGQPFYERFPLCAFTNLDKLKFKEVCKTTTGIPEYNFIIYDSSD -RGQESLARSACVYYSQVLCKSILLVDSSLVTSVGDSSEIATKMFDSFVNSFVSLYNVTRDKLEKLISTAR -DGVRRGDNFHSVLTTFIDAARGPAGVESDVETNEIVDSVQYAHKHDIQITNESYNNYVPSYVKPDSVSTS -DLGSLIDCNAASVNQIVLRNSNGACIWNAAAYMKLSDALKRQIRIACRKCNLAFRLTTSKLRANDNILSV -RFTANKIVGGAPTWFNALRDFTLKGYVLATIIVFLCAVLMYLCLPTFSMVPVEFYEDRILDFKVLDNGII -RDVNPDDKCFANKHRSFTQWYHEHVGGVYDNSITCPLTVAVIAGVAGARIPDVPTTLAWVNNQIIFFVSR -VFANTGSVCYTPIDEIPYKSFSDSGCILPSECTMFRDAEGRMTPYCHDPTVLPGAFAYSQMRPHVRYDLY -DGNMFIKFPEVVFESTLRITRTLSTQYCRFGSCEYAQEGVCITTNGSWAIFNDHHLNRPGVYCGSDFIDI -VRRLAVSLFQPITYFQLTTSLVLGIGLCAFLTLLFYYINKVKRAFADYTQCAVIAVVAAVLNSLCICFVA -SIPLCIVPYTALYYYATFYFTNEPAFIMHVSWYIMFGPIVPIWMTCVYTVAMCFRHFFWVLAYFSKKHVE -VFTDGKLNCSFQDAASNIFVINKDTYAALRNSLTNDAYSRFLGLFNKYKYFSGAMETAAYREAAACHLAK -ALQTYSETGSDLLYQPPNCSITSGVLQSGLVKMSHPSGDVEACMVQVTCGSMTLNGLWLDNTVWCPRHVM -CPADQLSDPNYDALLISMTNHSFSVQKHIGAPANLRVVGHAMQGTLLKLTVDVANPSTPAYTFTTVKPGA -AFSVLACYNGRPTGTFTVVMRPNYTIKGSFLCGSCGSVGYTKEGSVINFCYMHQMELANGTHTGSAFDGT -MYGAFMDKQVHQVQLTDKYCSVNVVAWLYAAILNGCAWFVKPNRTSVVSFNEWALANQFTEFVGTQSVDM -LAVKTGVAIEQLLYAIQQLYTGFQGKQILGSTMLEDEFTPEDVNMQIMGVVMQSGVRKVTYGTAHWLFAT -LVSTYVIILQATKFTLWNYLFETIPTQLFPLLFVTMAFVMLLVKHKHTFLTLFLLPVAICLTYANIVYEP -TTPISSALIAVANWLAPTNAYMRTTHTDIGVYISMSLVLVIVVKRLYNPSLSNFALALCSGVMWLYTYSI -GEASSPIAYLVFVTTLTSDYTITVFVTVNLAKVCTYAIFAYSPQLTLVFPEVKMILLLYTCLGFMCTCYF -GVFSLLNLKLRAPMGVYDFKVSTQEFRFMTANNLTAPRNSWEAMALNFKLIGIGGTPCIKVAAMQSKLTD -LKCTSVVLLSVLQQLHLEANSRAWAFCVKCHNDILAATDPSEAFEKFVSLFATLMTFSGNVDLDALASDI -FDTPSVLQATLSEFSHLATFAELEAAQKAYQEAMDSGDTSPQVLKALQKAVNIAKNAYEKDKAVARKLER -MADQAMTSMYKQARAEDKKAKIVSAMQTMLFGMIKKLDNDVLNGIISNARNGCIPLSVIPLCASNKLRVV -IPDFTVWNQVVTYPSLNYAGALWDITVINNVDNEIVKSSDVVDSNENLTWPLVLECTRASTSAVKLQNNE -IKPSGLKTMVVSAGQEQTNCNTSSLAYYEPVQGRKMLMALLSDNAYLKWARVEGKDGFVSVELQPPCKFL -IAGPKGPEIRYLYFVKNLNNLHRGQVLGHIAATVRLQAGSNTEFASNSSVLSLVNFTVDPQKAYLDFVNA -GGAPLTNCVKMLTPKTGTGIAISVKPESTADQETYGGASVCLYCRAHIEHPDVSGVCKYKGKFVQIPAQC -VRDPVGFCLSNTPCNVCQYWIGYGCNCDSLRQAALPQSKDSNFLKRVRGSIVNARIEPCSSGLSTDVVFR -AFDICNYKAKVAGIGKYYKTNTCRFVELDDQGHHLDSYFVVKRHTMENYELEKHCYDLLRDCDAVAPHDF -FIFDVDKVKTPHIVRQRLTEYTMMDLVYALRHFDQNSEVLKAILVKYGCCDVTYFENKLWFDFVENPSVI -GVYHKLGERVRQAILNTVKFCDHMVKAGLVGVLTLDNQDLNGKWYDFGDFVITQPGSGVAIVDSYYSYLM -PVLSMTDCLAAETHRDCDFNKPLIEWPLTEYDFTDYKVQLFEKYFKYWDQTYHANCVNCTDDRCVLHCAN -FNVLFAMTMPKTCFGPIVRKIFVDGVPFVVSCGYHYKELGLVMNMDVSLHRHRLSLKELMMYAADPAMHI -ASSNAFLDLRTSCFSVAALTTGLTFQTVRPGNFNQDFYDFVVSKGFFKEGSSVTLKHFFFAQDGNAAITD -YNYYSYNLPTMCDIKQMLFCMEVVNKYFEIYDGGCLNASEVVVNNLDKSAGHPFNKFGKARVYYESMSYQ -EQDELFAMTKRNVIPTMTQMNLKYAISAKNRARTVAGVSILSTMTNRQYHQKMLKSMAATRGATCVIGTT -KFYGGWDFMLKTLYKDVDNPHLMGWDYPKCDRAMPNMCRIFASLILARKHGTCCTTRDRFYRLANECAQV -LSEYVLCGGGYYVKPGGTSSGDATTAYANSVFNILQATTANVSALMGANGNKIVDKEVKDMQFDLYVNVY -RSTSPDPKFVDKYYAFLNKHFSMMILSDDGVVCYNSDYAAKGYIAGIQNFKETLYYQNNVFMSEAKCWVE -TDLKKGPHEFCSQHTLYIKDGDDGYFLPYPDPSRILSAGCFVDDIVKTDGTLMVERFVSLAIDAYPLTKH -EDIEYQNVFWVYLQYIEKLYKDLTGHMLDSYSVMLCGDNSAKFWEEAFYRDLYSSPTTLQAVGSCVVCHS -QTSLRCGTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCGVSDVTKLYLGGMSYFCVDHRPVCS -FPLCANGLVFGLYKNMCTGSPSIVEFNRLATCDWTESGDYTLANTTTEPLKLFAAETLRATEEASKQSYA -IATIKEIVGERQLLLVWEAGKSKPPLNRNYVFTGYHITKNSKVQLGEYIFERIDYSDAVSYKSSTTYKLT -VGDIFVLTSHSVATLTAPTIVNQERYVKITGLYPTITVPEEFASHVANFQKSGYSKYVTVQGPPGTGKSH -FAIGLAIYYPTARVVYTACSHAAVDALCEKAFKYLNIAKCSRIIPAKARVECYDRFKVNETNSQYLFSTI -NALPETSADILVVDEVSMCTNYDLSIINARIKAKHIVYVGDPAQLPAPRTLLTRGTLEPENFNSVTRLMC -NLGPDIFLSMCYRCPKEIVSTVSALVYNNKLLAKKELSGQCFKILYKGNVTHDASSAINRPQLTFVKNFI -TANPAWSKAVFISPYNSQNAVARSMLGLTTQTVDSSQGSEYQYVIFCQTADTAHANNINRFNVAITRAQK -GILCVMTSQALFESLEFTELSFTNYKLQSQIVTGLFKDCSRETSGLSPAYAPTYVSVDDKYKTSDELCVN -LNLPANVPYSRVISRMGFKLDATVPGYPKLFITREEAVRQVRSWIGFDVEGAHASRNACGTNVPLQLGFS -TGVNFVVQPVGVVDTEWGNMLTGIAARPPPGEQFKHLVPLMHKGAAWPIVRRRIVQMLSDTLDKLSDYCT -FVCWAHGFELTSASYFCKIGKEQKCCMCNRRAAAYSSPLQSYACWTHSCGYDYVYNPFFVDVQQWGYVGN -LATNHDRYCSVHQGAHVASNDAIMTRCLAIHSCFIERVDWDIEYPYISHEKKLNSCCRIVERNVVRAALL -AGSFDKVYDIGNPKGIPIVDDPVVDWHYFDAQPLTRKVQQLFYTEDMASRFADGLCLFWNCNVPKYPNNA -IVCRFDTRVHSEFNLPGCDGGSLYVNKHAFHTPAYDVSAFRDLKPLPFFYYSTTPCEVHGNGSMIEDIDY -VPLKSAVCITACNLGGAVCRKHATEYREYMEAYNLVSASGFRLWCYKTFDIYNLWSTFTKVQGLENIAFN -FVKQGHFIGVEGELPVAVVNDKIFTKSGVNDICMFENKTTLPTNIAFELYAKRAVRSHPDFKLLHNLQAD -ICYKFVLWDYERSNIYGTATIGVCKYTDIDVNSALNICFDIRDNGSLEKFMSTPNAIFISDRKIKKYPCM -VGPDYAYFNGAIIRDSDVVKQPVKFYLYKKVNNEFIDPTECIYTQSRSCSDFLPLSDMEKDFLSFDSDVF -IKKYGLENYAFEHVVYGDFSHTTLGGLHLLIGLYKKQQEGHIIMEEMLKGSSTIHNYFITETNTAAFKAV -CSVIDLKLDDFVMILKSQDLGVVSKVVKVPIDLTMIEFMLWCKDGQVQTFYPRLQASADWKPGHAMPSLF -KVQNVNLERCELANYKQSIPMPRGVHMNIAKYMQLCQYLNTCTLAVPANMRVIHFGAGSDKGIAPGTSVL -RQWLPTDAIIIDNDLNEFVSDADITLFGDCVTVRVGQQVDLVISDMYDPTTKNVTGSNESKALFFTYLCN -LINNNLALGGSVAIKITEHSWSVELYELMGKFAWWTVFCTNANASSSEGFLLGINYLGTIKENIDGGAMH -ANYIFWRNSTPMNLSTYSLFDLSKFQLKLKGTPVLQLKESQINELVISLLSQGKLLIRDNDTLSVSTDVL -VNTYRKLR - ->YP_001039970.1 orf1ab polyprotein [Rousettus bat coronavirus HKU9] -MEGVPDPPKLKSMVVTTLKWCDPFANPNVTGWDIPIEEALEYAKQQLRTPEPQLVFVPYYLSHAPGISGD -RVVITDSIWYATNFGWQPIRELAMDKDGVRYGRGGTHGVLLPMQDPSFIMGDIDIQIRKYGIGANSPPDV -LPLWDGFSDPGPDVGPYLDFPDNCCPTKPKAKRGGDVYLSDQYGFDNNGILVEPVMKLLGVIKSDFTLEQ -LLAALGKYRTEDGYDLPDGYVKVAIKVGRKAVPVLKQSIFTVVGVTEQLVPGYYYPFSTSSVVEHTKPTR -GGPVGKTVEAVMLSLYGTNNYNPATPVARLKCSYCDYYGWTPLKDIGTVNCLCGAEFQLTSSCVDAESAG -VIKPGCVMLLDKSPGMRLIPGNRTYVSFGGAIWSPIGKVNGVTVWVPRAYSIVAGEHSGAVGSGDTVAIN -KELVEYLIEGIRVDADTLDNPTCATFIANLDCDTKAPVVHTVESLQGLCLANKIMLGDKPLPTDEFHPFI -VGLAYHVQRACWYGALASRTFEAFRDFVRTEEERFAQFFGKVCAPINGCVYLAYTTGRVTLFSAYQVLNT -AIAKSKDAFGGVAAIVVDMLKPILEWVLKKMSIAKGAWLPYAEGLLALFKAQFTVVKGKFQFLRASLNSK -CHSLCDLLTTIMSKLLTSVKWAGCKVDALYTGTYYYFSRKGVLTEVQLCAKRLGLLLTPKQQKMEVEVLD -GDFDAPVTLTDLELEECTGVLEEVFGASDVKLVKGTLVSLASKLFVRTEDGFLYRYVKSGGVLGKAFRLR -GGGVSKVTFGDEEVHTIPNTVTVNFSYDVCEGLDAILDKVMAPFQVEEGTKLEDLACVVQKAVYERLSDL -FSDCPAELRPINLEDFLTSECFVYSKDYEKILMPEMYFSLEDAVPVDDEMVDDIEDTVEQASDSDDQWLG -DEGAEDCDNTIQDVDVATSMTTPCGYTKIAEHVYIKCADIVQEARNYSYAVLVNAANVNLHHGGGVAGAL -NRATNNAMQKESSEYIKANGSLQPGGHVLLSSHGLASHGILHVVGPDKRLGQDLALLDAVYAAYTGFDSV -LTPLVSAGIFGFTVEESLCSLVKNVACTTYVVVYDRQLYERALATSFDVPGPQSSVQHVPAIDWAEAVEV -QESIVDQVETPSLGAVDTVDSNADSGLNETARSPENVVGSVPDDVVADVESCVRDLVRQVVKKVKRDKRP -PPIVPQQTVEQQPQEISSPGDCNTVLVDVVSMSFSAMVNFGKEKGLLIPVVIDYPAFLKVLKRFSPKEGL -FSSNGYEFYGYSRDKPLHEVSKDLNSLGRPLIMIPFGFIVNGQTLAVSAVSMRGLTVPHTVVVPSESSVP -LYRAYFNGVFSGDTTAVQDFVVDILLNGARDWDVLQTTCTVDRKVYKTICKRGNTYLCFDDTNLYAITGD -VVLKFATVSKARAYLETKLCAPEPLIKVLTTVDGINYSTVLVSTAQSYRAQIGTVFCDGHDWSNKNPMPT -DEGTHLYKQDNFSSAEVTAIREYYGVDDSNIIARAMSIRKTVQTWPYTVVDGRVLLAQRDSNCYLNVAIS -LLQDIDVSFSTPWVCRAYDALKGGNPLPMAEVLIALGKATPGVSDDAHMVLSAVLNHGTVTARRVMQTVC -EHCGVSQMVFTGTDACTFYGSVVLDDLYAPVSVVCQCGRPAIRYVSEQKSPWLLMSCTPTQVPLDTSGIW -KTAIVFRGPVTAGHYMYAVNGTLISVYDANTRRRTSDLKLPATDILYGPTSFTSDSKVETYYLDGVKRTT -IDPDFSKYVKRGDYYFTTAPIEVVAAPKLVTSYDGFYLSSCQNPQLAESFNKAINATKTGPMKLLTMYPN -VAGDVVAISDDNVVAHPYGSLHMGKPVLFVTRPNTWKKLVPLLSTVVVNTPNTYDVLAVDPLPVNNETSE -EPISVKAPIPLYGLKATMVLNGTTYVPGNKGHLLCLKEFTLTDLQTFYVEGVQPFVLLKASHLSKVLGLR -VSDSSLHVNHLSKGVVYAYAATRLTTRVTTSLLGGLVTRSVRKTADFVRSTNPGSKCVGLLCLFYQLFMR -FWLLVKKPPIVKVSGIIAYNTGCGVTTCVLNYLRSRCGNISWSRLLKLLRYMLYIWFVWTCLTICGVWLS -EPYAPSLVTRFKYFLGIVMPCDYVLVNETGTGWLHHLCMAGMDSLDYPALRMQQHRYGSPYNYTYILMLL -EAFFAYLLYTPALPIVGILAVLHLIVLYLPIPLGNSWLVVFLYYIIRLVPFTSMLRMYIVIAFLWLCYKG -FLHVRYGCNNVACLMCYKKNVAKRIECSTVVNGVKRMFYVNANGGTHFCTKHNWNCVSCDTYTVDSTFIC -RQVALDLSAQFKRPIIHTDEAYYEVTSVEVRNGYVYCYFESDGQRSYERFPMDAFTNVSKLHYSELKGAA -PAFNVLVFDATNRIEENAVKTAAIYYAQLACKPILLVDKRMVGVVGDDATIARAMFEAYAQNYLLKYSIA -MDKVKHLYSTALQQISSGMTVESVLKVFVGSTRAEAKDLESDVDTNDLVSCIRLCHQEGWEWTTDSWNNL -VPTYIKQDTLSTLEVGQFMTANAKYVNANIAKGAAVNLIWRYADFIKLSESMRRQLKVAARKTGLNLLVT -TSSLKADVPCMVTPFKIIGGHRRIVSWRRVLIHVFMLLVVLNPQWFTPWYIMRPIEYNVVDFKVIDNAVI -RDITSADQCFANKFSAFENWYSNRYGSYVNSRGCPMVVGVVSDIVGSLVPGLPARFLRVGTTLLPLVNYG -LGAVGSVCYTPHYAINYDVFDTSACVLAATCTLFSSASGERMPYCADAALIQNASRYDMLKPHVMYPFYE -HSGYIRFPEVISAGVHIVRTMAMEYCKVGRCDVSEAGLCMSLQPRWVVNNAYFRQQSGVYCGTSAFDLFM -NMLLPIFTPVGAVDITTSILMGALLAVVVSMSLYYLLRFRRAFGDYSGVIFTNILAFVLNVIVLCLEGPY -PMLPSIYAMVFLYATCYFGSDIACMMHVSFLIMFAGVVPLWVTVLYIVVVLSRHILWFASLCTKRTVQVG -DLAFHSFQDAALQTFMLDKEVFLRLKREISSDAYFKYLAMYNKYKYYSGPMDTAAYREAACSHLVMALEK -YSNGGGDTIYQPPRCSVASAALQAGLTRMAHPSGLVEPCLVKVNYGSMTLNGIWLDNFVICPRHVMCSRD -ELANPDYPRLSMRAANYDFHVSQNGHNIRVIGHTMEGSLLKLTVDVNNPKTPAYSFIRVSTGQAMSLLAC -YDGLPTGVYTCTLRSNGTMRASFLCGSCGSPGFVMNGKEVQFCYLHQLELPNGTHTGTDFSGVFYGPFED -KQVPQLAAPDCTITVNVLAWLYAAVLSGENWFLTKSSISPAEFNNCAVKYMCQSVTSESLQVLQPLAAKT -GISVERMLSALKVLLSAGFCGRTIMGSCSLEDEHTPYDIGRQMLGVKLQGKFQSMFRWTLQWFAIIFVLT -ILILLQLAQWTFVGALPFTLLLPLIGFVAVCVGFVSLLIKHKHTYLTVYLLPVAMVTAYYNFQYTPEGVQ -GYLLSLYNYVNPGRIDVIGTDLLTMLIISVACTLLSVRMVRTDAYSRIWYVCTAVGWLYNCWTGSADTVA -ISYLTFMVSVFTNYTGVACASLYAAQFMVWVLKFLDPTILLLYGRFRCVLVCYLLVGYLCTCYFGVFNLI -NRLFRCTLGNYEYVVSSQELRYMNSHGLLPPTNSWQALMLNIKLAGIGGIPIYRVSTIQSNMTDLKCTSV -VLLSVLQQLRVESSSKLWALCVKLHNEILASNSPTEAFEAFVSLLSVLLSLPGAINLDELCSSILENNSV -LQAVASEFSNLSSYVDYENAQKAYDTAVATGAPASTVNALKKAMNVAKSVLDKDVATTRKLERMSELAMT -AMYKQARAEDRRSKVTAAMQTMLFNMIRRLDSDALSNILNNARNGVVPLGVIPRTAANKLLLVVPDFSVY -TATITMPTLTYAGSAWDVMQVADADGKTVNATDITRENSVNLAWPLVVTAQRQQATSPVKLQNNELMPQT -VKRMNVVAGVSQTACVTDAVAYYNATKEGRHVMAILADTDGLAFAKVEKSTGDGFVILELEPPCKFMVDT -PKGPALKYLYFTKGLKNLCRGTVLGTLACTVRLHAGSATEVASNSSILSLCSFSVDPEATYKDYLDNGGS -PIGNCVKMLTPHTGTGLAITAKPDANIDQESFGGASCCLYCRCHIEHPGASGVCKYKGKFVQIPLVGVND -PIGFCIRNVVCAVCNMWQGYGCPCSSLREINLQARDECFLNRVRGTSGVARLVPLGSGVQPDIVLRAFDI -CNTKVAGFGLHLKNNCCRYQELDADGTQLDSYFVVKRHTESNYLLEQRCYEKLKDCGVVARHDFFKFNIE -GVMTPHVSRERLTKYTMADLVYSLRHFDNNNCDTLKEILVLRGCCTADYFDRKDWYDPVENPDIIRVYHN -LGETVRKAVLSAVKMADSMVEQGLIGVLTLDNQDLNGQWYDFGDFIEGPAGAGVAVMDTYYSLAMPVYTM -TNMLAAECHVDGDFSKPKRVWDICKYDYTQFKYSLFSKYFKYWDMQYHPNCVACADDRCILHCANFNILF -SMVLPNTSFGPLVQKIYVDGVPFVVSTGYHYRELGVVMNQDIRQHAQRLSLRELLVYAADPAMHVAASNA -LADKRTVCMSVAAMTTGVTFQTVKPGQFNEDFYNFAVKCGFFKEGSTISFKHFFYAQDGNAAISDYDYYR -YNLPTMCDIKQLLFSLEVVDKYFDCYDGGCLQASQVVVANYDKSAGFPFNKFGKARLYYESLSYADQDEL -FAYTKRNVLPTITQMNLKYAISAKNRARTVAGVSIASTMTNRQFHQKMLKSIAAARGASVVIGTTKFYGG -WNRMLRTLCEGVENPHLMGWDYPKCDRAMPNLLRIFASLILARKHATCCNASERFYRLANECAQVLSEMV -LCGGGFYVKPGGTSSGDSTTAYANSVFNICQAVSANLNTFLSIDGNKIYTTYVQELQRRLYLGIYRSNTV -DNELVLDYYNYLRKHFSMMILSDDGVVCYNADYAQKGYVADIQGFKELLYFQNNVFMSESKCWVEPDITK -GPHEFCSQHTMLVDMKGEQVYLPYPDPSRILGAGCFVDDLLKTDGTLMMERYVSLAIDAYPLTKHPDPEY -QNVFWCYLQYIKKLHEELTGHLLDTYSVMLASDNASKYWEVEFYENMYMESATLQSVGTCVVCNSQTSLR -CGGCIRRPFLCCKCCYDHVVSTTHKLVLSVTPYVCNNPSCDVADVTQLYLGGMSYYCRDHRPPISFPLCA -NGQVFGLYKNICTGSPDVADFNSLATCDWSNSKDYVLANTATERLKLFAAETLRATEENAKQAYASAVVK -EVLSDRELVLSWETGKTRPPLNRNYVFTGFHITKNSKVQLGEYIFEKGDYGDVVNYRSSTTYKLQVGDYF -VLTSHSVQPLSSPTLLPQERYTKLVGLYPAMNVPESFASNVVHYQRVGMSRYTTVQGPPGTGKSHLSIGL -ALYYPSAKIVYTACSHAAVDALCEKAHKNLPINRCSRIVPAKARVECFSKFKVNDVGAQYVFSTINALPE -TTADILVVDEVSMCTNYDLSMINARVRAKHIVYVGDPAQLPAPRTLLTKGTLAPEHFNSVCRLMVAVGPD -IFLATCYRCPKEIVDTVSALVYDKKLKANKVTTGECYKCYYKGSVTHDSSSAINKPQLGLVKEFLIKNPK -WQSAVFISPYNSQNSVARRMLGLQTQTVDSSQGSEFDYVIYCQTSDTAHALNVNRFNVAITRAKKGILCV -MSDSTLYESLEFTPLDVNDYVKPKMQSEVTVGLFKDCAKAEPLGPAYAPTFVSVNDKFKLNESLCVHFDT -TELQMPYNRLISKMGFKFDLNIPGYSKLFITREQAIREVRGWVGFDVEGAHACGPNIGTNLPLQIGFSTG -VNFVVTPSGYIDTESGSRLANVVSKAPPGDQFKHLIPLMRKGEPWSVVRKRIVEMLCDTLDGVSDTVTFV -TWAHGFELTTLHYFAKVGPERKCFMCPRRATLFSSVYGAYSCWSHHRHIGGADFVYNPFLVDVQQWGYVG -NLQVNHDNVCDVHKGAHVASCDAIMTRCLAIHDCFCGEVNWDVEYPIIANELAINRACRSVQRVVLKAAV -KALHIETIYDIGNPKAIKVYGVNVNNWNFYDTNPVVEGVKQLHYVYDVHRDQFKDGLAMFWNCNVDCYPH -NALVCRFDTRVLSKLNLAGCNGGSLYVNQHAFHTDAFNKNAFVNLKPLPFFYYSDTACENATGVSTNYVS -EVDYVPLKSNVCITRCNLGGAVCKKHADEYRNFLESYNTMVSAGFTLWVDKTFDVFNLWSTFVKLQSLEN -VAYNVLKSGHFTAVAGELPVAILNDRLYIKEDGADKLLFTNNTCLPTNVAFELWAKRSVNVVPEVKLLRN -LGVTCTYNLVIWDYESNAPLVPNTVGICTYTDLTKLDDQVVLVDGRQLDAYSKFCQLKNAIYFSPSKPKC -VCTRGPTHASINGVVVEAPDRGTAFWYAMRKDGAFVQPTDGYFTQSRTVDDFQPRTQLEIDFLDLEQSCF -LDKYDLHDLGLEHIVYGQFDGTIGGLHLLIGAVRRKRTAHLVMETVLGTDTVTSYAVIDQPTASSKQVCS -VVDIILDDFIALIKAQDRSVVSKVVQCCLDFKVFRFMLWCKGGKISTFYPQLQAKQDWKPGYSMPALYKV -QNAVLEPCLLHNYGQAARLPSGTLMNVAKYTQLCQYLNTCSLAVPAKMRVMHFGAGSDKGVCPGTAVLKQ -WLPADAYLVDNDLCYCASDADSTYVGSCETFFSVNKWDFIFSDMYDARTKNTSGDNTSKEGFFTYLTGFI -RSKLALGGSIAIKITEHSWSADLYAIMGHFNWWTCFCTSVNSSSSEAFLIGVNYIGVGALLDGWQMHANY -VFWRNSTVMQLSSYSLYDLQRFPLRLKGTPVMSLKEDQLNELVLNLIRAGRLIVRDAVDIGVRGVACSGV - ->YP_009361856.2 ORF1ab polyprotein [Bat coronavirus] -MSFVADVTAQGARGTYRAALNSEKHHDHVSLTVPLCGSGDLVSKLSPWFMDGYDACEAVKVMLSNKEKLL -FVPIRLVGYTKHLPGPRVYLVERLINGIYTDPFMVNQVAYSSSANAGLVGTTLQGKPIGLFFPFDADLVT -GDHTFLLRKYGRGGYHYTPFHYERDATSRPEWMDDLEADPKGKYAQNLLKKLIGGDVTPVDQYMCGVDGK -PINDYAGLMAKEGITKLADIEADVASRVDADGFIVLKNKLYRLVWHVERKDVQYAKQSIFTINSVVQREG -LQDIPPHYFTLGGKIDMLVPRNKWNGVANLPLKQKILYTFYGKESLENHSYIYHSAFTDCGGCGNGSWLT -GNAVQGFSCGCGASYLSNDVEVQSSGLIKPNALFCATCPFAKGDSCSSSCKHSIAQLVSYLSERCNVIAD -SKSFTLVFGGVAYAYFGCEEGTMYFVPRAKSVVSKIGDSIFTGCTGSWTKVTQIANLFLEQTQRSLNFVG -EFVVNDVVLAILSGTTTNVDKLRELLKGITLEKLRDYLADYDVAVTLGPFMDNAVNVGGKGLQYATITAP -FLVLTGLGESFKKVAAIPYKVCKSFKETLSYYADSILYRVFPYDMDSDVSSFTELLFDCVGLSVASTYFI -VRLLQDKTGDFMSTILSSCQSAVRKLLDTCLEATEATLNFLLELANLFKIFLRGAYVYTSQGFVVLQGKM -SSLVKQVVDLLNKGMQLLHTKVSWAGSKVSAVIYSGRESLIFPTGTYYCVSTKAKSVQHQFDVILPGDCS -KKQLGLLEPTDNSTTVEVTVSSNTVETVVGQLEQTNMHSPDVIVGDYVIISDKLFVRSKEEDRVVFYPAC -TNGTAVPTLFKLKGGAPVKRVAFGDDEIHEVAAVRSVTVEYNIHAVLDALLASSSLRTFVVDKSLSIEEF -VDVVKEQVSDLLAKLLRGMPIPDFDLDDFIDTPCYCFNADGDVSWSSTMIFSLHPVECEDDSFECDSDQD -DDQESVCEPLVEETNVQVQESDDDGWAAAVEEAFPIEELEEPPVQVVPNDSVVRSQVAQPIEIVVQETPV -QPLEDVAPAVATPSIQLQEIQTEVLDTPPVYEADIEQTQIVVSKPKRLRKKRNVDPLFNFEHKVITDCVT -MVLGDAIQVAKCYDEAVLVNAANTYLKHGGGIAGAINAASNGAVQQESDEYILAKGPLQVGDSVLLQGHS -LAKNILHVVGPDARAKQDVSLLGKCYKAMNAYPLVVTPLVSAGIFGVQPSVSFDYLIREVKTRVLVVVNS -QDIYKSLTTVEVPQGLTFSYDGLRGALRKARDYGFTVFVCTDNSANTKVLRNKGVDYTKKSTTVDGVQYY -CYTAKDTLDSIVLEANKASGIISMPLGYVSHGLDLMQAGAIVRRVKVPYVCLLANKEQEAILMSEDVKLS -PSADFVKHVRTNGGYNSWHLVEGELLVRDLTLNKLLHWSDQTICYKSDKFYVVKNGVALPFETLAACRTY -LDSRTAQQLTIEVLVTVDGVNFRTVVLNNKSSYRSQLGCVFYNGADISDTIPDEKQNGCSLYLADNLTAD -ETKVLKELYGPVDPTFLHRFYSLKAVVQKWKMVVCDKVRSLKLSDNNCYINVVIMILDLLKDIKFVIPAL -QHAFMKHKGGDSTEFIALIMTYGNCTFGAPDDATRLLHTVLAKAELCCSARMVWREWCNVCGIKDVVIQG -LKACCYVGVQTVEDLHARMTYVCQCGGERHRQLVEHTAPWLLLSGTPNEKLVTTSTAPDFVAFNVFQGLE -TAVGHYVHARLKDGLILKFDSGTLSKTSDWKCKVTDVLFPNQKYSSDCNVVRYSLDGKFRTEVDPDLSAF -YVKDGKYFTSEPPVTYSPATVLAGSVYTNSCLVSSDGQPGGDAISLSFNNLLGFDSSKPVTKKYTYSILP -KEDGDVLLAEFSTYDPIYKNGAMLKGKPVLWVTNASYDATLNKFNRATLRQIYDVAPIEIENKYTPLSVE -PSPVEKVSTVEVALAKPELTIVKCKGLIKPFVKANVSFVSDETGLPVVEYLSKEDLHTLYVDPKYQVIVL -KDNALSTIFRLHTVESGDLNVVAASGSLTRKVKLLFRASFYFKELASRTLTATTVVGSCINSVVRHLGVT -KGILASLFSFVKMLFVLPLSYFSDSETSTTEVKVSALKTAGVVTGNVLKQCCTAAVDLSMDKLRRVDWKA -TLRLLLMLCTTMVLLSSVYHLYVFNQVLSSDVMFEDAQGLKKFYKEVRAYLGVSSGCDGLAAAYRANSFD -VPTFCANRSVMCNWCLINQDSITHYPALKMVQTHLSHYVLNIDWLWFALEVGLAYILYTSAFNWLLLAGT -LQYFFAQTSIFVDWRSYNYVVSSAFWLFTHIPMPGLVRIYNLLACLWLLRKFYQHVINGCKDTACLLCYK -RNRLTRVEASTVVCGGKRTFYIAANGGISFCRRHNWNCVDCDTAGVGNTFICEEVASDLTTTLRRPVNST -DRSHYYVDSVLVKETVVQFNYRRDGQSCYERFPLCAFTNLDKLKFKEVCKTTTGIPEYNFIIYDSSDRGQ -ESLARSACVYYSQVLCKSILLVDSSLVTSVGNSGEIAIKMFDSFVNSFVSLYNVTRDKLEKLISTARDGV -KRGDNFHSVLTTFIDAARGPAGVESDVETNEIVDSVQYAHKHDIQLTNESYNNYVPSYVKPDSVSTGDLG -SLIDCNAASVNQTSMRQANGACIWNAAAYMKLSDVLKRQIRIACRKCNLAFRLTTSKLRANDNMLSVKFT -ATKIVGGAPTWFNTLRDFTLKSYVFVTIIVFLCAVLMYFCLPTFAMAPVEFYEDRILEYKVLDNGIIRDI -SPDDKCFANKYRSFSQWYHEHVGGSYDNSISCPLTVAVIAGVAGARIPDVPTTLAWVNRQIVFFVSRVFA -NSNSVCYTPINEIPYKSFSDSGCILPSECTMFRDAEGRMSPYCYDPTVLPGAFAYSQMKPHVRYDLYDTN -MFIKFPEVVFESTLRITKTLTTQYCRFGSCEYAQEGVCITTNGSWAIFNDHHLSRPGVYCGSDYVDIVRR -LAVSLFQPITYFQLTTSLVLGIGLCAFLTLLFYYINKVKRAFADYTQCAMIAVIAAVLNSLCICFVSSIP -LCIVPYTALYYYATFYFTNEPAAIMHVSWYIMFGPIVPMWLTCVYTVAMCFRHFFWVVAYFSKKHVEVFT -DGKLNCSFQDAASNIFVVNKDTYAALRNAITNDVYSRYLGLFNKYKYYSGAMETAAYREAAACHLAKALQ -TYSETGSDLLYQPPNCSITSGVLQSGLVRMSHPSGDVEACMVQVTCGSMTLNGLWLDNTVWCPRHVMCPA -DQLADPNYDALLVSMTNHSFSVNKHIGAPANLRVIGHAMQGTLLKLTVDVANPSTPAYTFTTVKPGASFS -VLACYNGRPTGTFTVVMRPNYTIKGSFLCGSCGSVGYTKEGSVINFCYMHQMELANGTHTGSAFDGTMYG -AFLDKQVHQVQLTDKYCSTNVVAWLYAAILNGCAWFVKSNRTSIVSFNEWALANQFTEFVGTQSIDMLAV -KTGVAIEQLLYAIQQLHTGFQGKQILGSSMLEDEFTPEDVNMQIMGVVMQSGVRKVTYGTAHWLFATFVL -SYVVFLQTTKFTLWNYLFETIPTQLFPLLFVTVACVMLLVKHKHTFLTLFLLPVAICLTYANIVYEPATP -ISSALIAVANWLAPTNVYMRTTHTDIGVYISLSLVLAIVVKRLYNPSLSNFALALCSGVMWLYTYSVGEV -SSPIAYLVFVTTLTSDYTITVFVTVNLAKICTYIIFAYAPQLTLVFPEVKMILLLYTCFGFMCTCYFGVF -SLLNLKLRAPMGVYDFKVSTQEFRFMTANNLTAPRNSWEAMSLNFKLLGIGGTPCIKVAAIQSKLTDLKC -TSVVLLSVLQQLHLEANSKAWAFCVKCHNDILAATDPSEAFEKFVSLFATLMTFSGNVDLDALASDIFET -PSVLQATLSEFSHLATFAELEAAQRAYQEAMDSGDASPQVLKALQKAVNVAKNAYEKDKAVARKLERMAE -QAMTSMYKQARAEDKKAKIVSAMQTMLFGMIKKLDNDVLNGIISNARNGCIPLSVVPLCASNKLRVVIPD -FTVWNQVVTYPSLNYAGALWDIAVINNVDNEIVKSSDVVENNESLTWPLVLECTRAASSAIKLQNNEIKP -SGLRTMVVSAGQEQTNCNTSSLAYYEPVQGRKMLMALLSDNAYLKWARVEGQEGFVSVELQPPCKFLIAG -PKGPEIRYLYFVKNLNNLHRGQVLGHIAATVRLQAGSNTEFAANSSVLSLVNFTVDPQKAYIDFVNAGGA -PLTNCVKMLTPKTGTGIAISVKPESTADQETYGGASVCLYCRAHIEHPDVSGVCKYKGKFVQIPSQCTRD -PVGFCLTNTPCNVCQYWIGYGCNCDSLRQAALPQSKDSNFLNESGVLLVNARIEPCASGLSTDVVFRAFD -ICNYKAKVAGIGKYYKTNTCRFVELDDQGHHLDSYFVVKRHTMENYELEKHCYDLLRDCDSVAPHDFFVF -DVDKTKTPHIVRQRLTEYTMMDLVYALRHFDQNNCEVLKAILVKYDCCDATYFENKLWFDFVENPSVIGV -YHKLGERVRQAVLSTVKFCDHMVKAGLVGVLTLDNQDLNGKWYDFGDFVITQPGSGVAIVDSYYSYLMPV -LSMTNCLAAETHRDCDFNKPLIEWPLTEYDFTDYKVQLFEKYFKYWDQTYHANCVNCTDDRCVLHCANFN -VLFAMTMPKTCFGPIVRKIFVDGVPFVVSCGYHYKELGLVMNMDVSLHRHRLSLKELMMYAADPAMHIAS -SNAFLDLRTSCFSVAALTTGLTFQTVRPGNFNQDFYDFVVSKGFFKEGSSVTLKHFFFAQDGNAAITDYN -YYSYNLPTMCDIKQMLFCMEVVNKYFEIYDGGCLNASEVVVNNLDKSAGHPFNKFGKARVYYESMSYQEQ -DELFAMTKRNVIPTMTQMNLKYAISAKNRARTVAGVSILSTMTNRQYHQKMLKSMAATRGSTCVIGTTKF -YGGWDFMLKTLYKDVDNPHLMGWDYPKCDRAMPNMCRIFASLILARKHGTCCTTRDRFYRLANECAQVLS -EYVLCGGGYYVKPGGTSSGDATTAYANSVFNILQATTANVSALMGANGNKIVDKEVKDMQFELYVNVYRS -TNPDPKFVDRYYAFLNKHFSMMILSDDGVVCYNSDYAAKGYIAGIQNFKETLYYQNNVFMSEAKCWVETD -LKKGPHEFCSQHTLYIKDGDDGYFLPYPDPSRILSAGCFVDDIVKTDGTLMVERFVSLAIDAYPLTKHED -IEYQNVFWVYLQYIEKLYKDLTGHMLDSYSVMLCGDNSAKFWEEAFYRELYSSPTTLQAVGSCVVCHSQT -SLRCGTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCDVADVTKLYLGGMSYFCVDHRPVCSFP -LCTNGLVFGLYKNMCTGSPSIVEFNRLATCDWTESGDYTLANTTTEPLKLFAAETLRATEEASKQSYAIA -TIKEIVGDRQLLLVWEAGKSKPPLNRNYVFTGYHITKNSKVQLGEYIFERIDYSDAVSYKSSTTYKLTVG -DIFILTSHSVATLTAPTIVNQERYVKITGLYPTITVPEEFASHVANFQKAGYSKYVTVQGPPGTGKSHFA -IGLAIYYPTARVVYTACSHAAVDALCEKAFKYLNIAKCSRIIPAKARVECYDRFKVNETNSQYLFSTINA -LPETSADILVVDEVSMCTNYDLSIINARVKAKHIVYVGDPAQLPAPRTLLTRGTLEPENFNSVTRLMCNL -GPDIFLSMCYRCPKEIVSTVSALVYNNKLLAKKELSGQCFKMLYKGNVTHDASSAINRPQLAFVKNFITA -NPAWSKAVFISPYNSQNAVARSMLGLTTQTVDSSQGSEYQYVIFCQTADTAHANNINRFNVAITRAQKGI -LCVMTSQALFDSLEFTELSFTNYKLQSQIVTGLFKDCSRETSGLSPAYAPTYVSVDDKYKTCDELCVNLN -LPANVPYSRVISRMGFKLDASVPGYPKLFITREEAVRQVRSWIGFDVEGAHASRNACGTNVPLQLGFSTG -VNFVVQPVGVVDTEWGNMLTGISARPPPGEQFKHLVPLMHKGAAWPIVRRRIVQMLSDTLDKLSDYCTFV -CWAHGFELTSASYFCKIGKEQKCCMCNRRAAAYSSPLQSYACWSHSCGYDYVYNPFFVDVQQWGYVGNLA -TNHDRYCSVHQGAHVASNDAIMTRCLAIHACFIEHVDWDIEYPYISHEKKLNSCCRIVERNVVRAALLAG -SFDRVYDIGNPKGIPIVDHPVVEWHYFDAQPLTRKVQQLFYTEDLASRFADGLCLFWNCNVPKYPNNAIV -CRFDTRVHSEFNLPGCDGGSLYVNKHAFHTPAYDVSAFRDLKPLPFFYYSTTPCEVHGTGSMLEDIDYVP -LKSAVCVTACNLGGAVCRKHATEYRDYMEAYNLVSASGFRLWCYKTFDIYNLWSTFTKVQGLENIAYNVV -KQGHFTGVDGELPVAVVNDKIFTKSGVNDICVFENKTTLPTNVAFELYAKRVVRSHPDFKLLHNLQADIC -YKFVLWDYERCNIYGTATIGVCKYTDIEVNSALNICFDIRDNGSLEKFMTTPNAILISDRKIKNYPCMVG -PDYAYFNGAIIRDSDTVKQPVKFYFYKKVNNEFVEFSDCAYTQGRSCSDFEAMSVMETDFLALDSDVFIK -KYGLENYAFEHVVYGDFSHTTLGGLHLLIGLYKKHLDGHIIMEEMIRESSTIHNYFITETSTASFKAVCS -VIDLKLDDFVQILKSQDLGVVSKVVKVPIDLTMIEFMLWCKDGQVQTFYPRLQASADWKPGQAMPSLFKV -QNVNLERCELANYKQSIPMPRGVHMNIAKYMQLCQYLNTCTIAVPANMRVIHFGAGSDKGIAPGTSVLRQ -WLPTDAIIIDNDLNDFVSDADISLFGDCVTVRVGQQVDLVISDMYDPSTKNITGSNESKALFFTYLCNFI -NNNLALGGSVAIKITEHSWSVDLYEIMGKFAWWTVFCTNANASSSEGFLLGINYLGTIKENIDGGAMHAN -YIFWRNSNPMNLSTYSLFDLSKFQLKLKGTPVLQLKESQINELVISLLSQGKLLIRDNDVLSVSTDVLVN -FYRGKR - ->NP_828849.7 ORF1ab polyprotein [SARS coronavirus Tor2] -MESLVLGVNEKTHVQLSLPVLQVRDVLVRGFGDSVEEALSEAREHLKNGTCGLVELEKGVLPQLEQPYVF -IKRSDALSTNHGHKVVELVAEMDGIQYGRSGITLGVLVPHVGETPIAYRNVLLRKNGNKGAGGHSYGIDL -KSYDLGDELGTDPIEDYEQNWNTKHGSGALRELTRELNGGAVTRYVDNNFCGPDGYPLDCIKDFLARAGK -SMCTLSEQLDYIESKRGVYCCRDHEHEIAWFTERSDKSYEHQTPFEIKSAKKFDTFKGECPKFVFPLNSK -VKVIQPRVEKKKTEGFMGRIRSVYPVASPQECNNMHLSTLMKCNHCDEVSWQTCDFLKATCEHCGTENLV -IEGPTTCGYLPTNAVVKMPCPACQDPEIGPEHSVADYHNHSNIETRLRKGGRTRCFGGCVFAYVGCYNKR -AYWVPRASADIGSGHTGITGDNVETLNEDLLEILSRERVNINIVGDFHLNEEVAIILASFSASTSAFIDT -IKSLDYKSFKTIVESCGNYKVTKGKPVKGAWNIGQQRSVLTPLCGFPSQAAGVIRSIFARTLDAANHSIP -DLQRAAVTILDGISEQSLRLVDAMVYTSDLLTNSVIIMAYVTGGLVQQTSQWLSNLLGTTVEKLRPIFEW -IEAKLSAGVEFLKDAWEILKFLITGVFDIVKGQIQVASDNIKDCVKCFIDVVNKALEMCIDQVTIAGAKL -RSLNLGEVFIAQSKGLYRQCIRGKEQLQLLMPLKAPKEVTFLEGDSHDTVLTSEEVVLKNGELEALETPV -DSFTNGAIVGTPVCVNGLMLLEIKDKEQYCALSPGLLATNNVFRLKGGAPIKGVTFGEDTVWEVQGYKNV -RITFELDERVDKVLNEKCSVYTVESGTEVTEFACVVAEAVVKTLQPVSDLLTNMGIDLDEWSVATFYLFD -DAGEENFSSRMYCSFYPPDEEEEDDAECEEEEIDETCEHEYGTEDDYQGLPLEFGASAETVRVEEEEEED -WLDDTTEQSEIEPEPEPTPEEPVNQFTGYLKLTDNVAIKCVDIVKEAQSANPMVIVNAANIHLKHGGGVA -GALNKATNGAMQKESDDYIKLNGPLTVGGSCLLSGHNLAKKCLHVVGPNLNAGEDIQLLKAAYENFNSQD -ILLAPLLSAGIFGAKPLQSLQVCVQTVRTQVYIAVNDKALYEQVVMDYLDNLKPRVEAPKQEEPPNTEDS -KTEEKSVVQKPVDVKPKIKACIDEVTTTLEETKFLTNKLLLFADINGKLYHDSQNMLRGEDMSFLEKDAP -YMVGDVITSGDITCVVIPSKKAGGTTEMLSRALKKVPVDEYITTYPGQGCAGYTLEEAKTALKKCKSAFY -VLPSEAPNAKEEILGTVSWNLREMLAHAEETRKLMPICMDVRAIMATIQRKYKGIKIQEGIVDYGVRFFF -YTSKEPVASIITKLNSLNEPLVTMPIGYVTHGFNLEEAARCMRSLKAPAVVSVSSPDAVTTYNGYLTSSS -KTSEEHFVETVSLAGSYRDWSYSGQRTELGVEFLKRGDKIVYHTLESPVEFHLDGEVLSLDKLKSLLSLR -EVKTIKVFTTVDNTNLHTQLVDMSMTYGQQFGPTYLDGADVTKIKPHVNHEGKTFFVLPSDDTLRSEAFE -YYHTLDESFLGRYMSALNHTKKWKFPQVGGLTSIKWADNNCYLSSVLLALQQLEVKFNAPALQEAYYRAR -AGDAANFCALILAYSNKTVGELGDVRETMTHLLQHANLESAKRVLNVVCKHCGQKTTTLTGVEAVMYMGT -LSYDNLKTGVSIPCVCGRDATQYLVQQESSFVMMSAPPAEYKLQQGTFLCANEYTGNYQCGHYTHITAKE -TLYRIDGAHLTKMSEYKGPVTDVFYKETSYTTTIKPVSYKLDGVTYTEIEPKLDGYYKKDNAYYTEQPID -LVPTQPLPNASFDNFKLTCSNTKFADDLNQMTGFTKPASRELSVTFFPDLNGDVVAIDYRHYSASFKKGA -KLLHKPIVWHINQATTKTTFKPNTWCLRCLWSTKPVDTSNSFEVLAVEDTQGMDNLACESQQPTSEEVVE -NPTIQKEVIECDVKTTEVVGNVILKPSDEGVKVTQELGHEDLMAAYVENTSITIKKPNELSLALGLKTIA -THGIAAINSVPWSKILAYVKPFLGQAAITTSNCAKRLAQRVFNNYMPYVFTLLFQLCTFTKSTNSRIRAS -LPTTIAKNSVKSVAKLCLDAGINYVKSPKFSKLFTIAMWLLLLSICLGSLICVTAAFGVLLSNFGAPSYC -NGVRELYLNSSNVTTMDFCEGSFPCSICLSGLDSLDSYPALETIQVTISSYKLDLTILGLAAEWVLAYML -FTKFFYLLGLSAIMQVFFGYFASHFISNSWLMWFIISIVQMAPVSAMVRMYIFFASFYYIWKSYVHIMDG -CTSSTCMMCYKRNRATRVECTTIVNGMKRSFYVYANGGRGFCKTHNWNCLNCDTFCTGSTFISDEVARDL -SLQFKRPINPTDQSSYIVDSVAVKNGALHLYFDKAGQKTYERHPLSHFVNLDNLRANNTKGSLPINVIVF -DGKSKCDESASKSASVYYSQLMCQPILLLDQALVSDVGDSTEVSVKMFDAYVDTFSATFSVPMEKLKALV -ATAHSELAKGVALDGVLSTFVSAARQGVVDTDVDTKDVIECLKLSHHSDLEVTGDSCNNFMLTYNKVENM -TPRDLGACIDCNARHINAQVAKSHNVSLIWNVKDYMSLSEQLRKQIRSAAKKNNIPFRLTCATTRQVVNV -ITTKISLKGGKIVSTCFKLMLKATLLCVLAALVCYIVMPVHTLSIHDGYTNEIIGYKAIQDGVTRDIIST -DDCFANKHAGFDAWFSQRGGSYKNDKSCPVVAAIITREIGFIVPGLPGTVLRAINGDFLHFLPRVFSAVG -NICYTPSKLIEYSDFATSACVLAAECTIFKDAMGKPVPYCYDTNLLEGSISYSELRPDTRYVLMDGSIIQ -FPNTYLEGSVRVVTTFDAEYCRHGTCERSEVGICLSTSGRWVLNNEHYRALSGVFCGVDAMNLIANIFTP -LVQPVGALDVSASVVAGGIIAILVTCAAYYFMKFRRVFGEYNHVVAANALLFLMSFTILCLVPAYSFLPG -VYSVFYLYLTFYFTNDVSFLAHLQWFAMFSPIVPFWITAIYVFCISLKHCHWFFNNYLRKRVMFNGVTFS -TFEEAALCTFLLNKEMYLKLRSETLLPLTQYNRYLALYNKYKYFSGALDTTSYREAACCHLAKALNDFSN -SGADVLYQPPQTSITSAVLQSGFRKMAFPSGKVEGCMVQVTCGTTTLNGLWLDDTVYCPRHVICTAEDML -NPNYEDLLIRKSNHSFLVQAGNVQLRVIGHSMQNCLLRLKVDTSNPKTPKYKFVRIQPGQTFSVLACYNG -SPSGVYQCAMRPNHTIKGSFLNGSCGSVGFNIDYDCVSFCYMHHMELPTGVHAGTDLEGKFYGPFVDRQT -AQAAGTDTTITLNVLAWLYAAVINGDRWFLNRFTTTLNDFNLVAMKYNYEPLTQDHVDILGPLSAQTGIA -VLDMCAALKELLQNGMNGRTILGSTILEDEFTPFDVVRQCSGVTFQGKFKKIVKGTHHWMLLTFLTSLLI -LVQSTQWSLFFFVYENAFLPFTLGIMAIAACAMLLVKHKHAFLCLFLLPSLATVAYFNMVYMPASWVMRI -MTWLELADTSLSGYRLKDCVMYASALVLLILMTARTVYDDAARRVWTLMNVITLVYKVYYGNALDQAISM -WALVISVTSNYSGVVTTIMFLARAIVFVCVEYYPLLFITGNTLQCIMLVYCFLGYCCCCYFGLFCLLNRY -FRLTLGVYDYLVSTQEFRYMNSQGLLPPKSSIDAFKLNIKLLGIGGKPCIKVATVQSKMSDVKCTSVVLL -SVLQQLRVESSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLSMQGAVDINRLCEEMLDNRATLQA -IASEFSSLPSYAAYATAQEAYEQAVANGDSEVVLKKLKKSLNVAKSEFDRDAAMQRKLEKMADQAMTQMY -KQARSEDKRAKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLNIIPLTTAAKLMVVVPDYGTYKNT -CDGNTFTYASALWEIQQVVDADSKIVQLSEINMDNSPNLAWPLIVTALRANSAVKLQNNELSPVALRQMS -CAAGTTQTACTDDNALAYYNNSKGGRFVLALLSDHQDLKWARFPKSDGTGTIYTELEPPCRFVTDTPKGP -KVKYLYFIKGLNNLNRGMVLGSLAATVRLQAGNATEVPANSTVLSFCAFAVDPAKAYKDYLASGGQPITN -CVKMLCTHTGTGQAITVTPEANMDQESFGGASCCLYCRCHIDHPNPKGFCDLKGKYVQIPTTCANDPVGF -TLRNTVCTVCGMWKGYGCSCDQLREPLMQSADASTFFKRVCGVSAARLTPCGTGTSTDVVYRAFDIYNEK -VAGFAKFLKTNCCRFQEKDEEGNLLDSYFVVKRHTMSNYQHEETIYNLVKDCPAVAVHDFFKFRVDGDMV -PHISRQRLTKYTMADLVYALRHFDEGNCDTLKEILVTYNCCDDDYFNKKDWYDFVENPDILRVYANLGER -VRQSLLKTVQFCDAMRDAGIVGVLTLDNQDLNGNWYDFGDFVQVAPGCGVPIVDSYYSLLMPILTLTRAL -AAESHMDADLAKPLIKWDLLKYDFTEERLCLFDRYFKYWDQTYHPNCINCLDDRCILHCANFNVLFSTVF -PPTSFGPLVRKIFVDGVPFVVSTGYHFRELGVVHNQDVNLHSSRLSFKELLVYAADPAMHAASGNLLLDK -RTTCFSVAALTNNVAFQTVKPGNFNKDFYDFAVSKGFFKEGSSVELKHFFFAQDGNAAISDYDYYRYNLP -TMCDIRQLLFVVEVVDKYFDCYDGGCINANQVIVNNLDKSAGFPFNKWGKARLYYDSMSYEDQDALFAYT -KRNVIPTITQMNLKYAISAKNRARTVAGVSICSTMTNRQFHQKLLKSIAATRGATVVIGTSKFYGGWHNM -LKTVYSDVETPHLMGWDYPKCDRAMPNMLRIMASLVLARKHNTCCNLSHRFYRLANECAQVLSEMVMCGG -SLYVKPGGTSSGDATTAYANSVFNICQAVTANVNALLSTDGNKIADKYVRNLQHRLYECLYRNRDVDHEF -VDEFYAYLRKHFSMMILSDDAVVCYNSNYAAQGLVASIKNFKAVLYYQNNVFMSEAKCWTETDLTKGPHE -FCSQHTMLVKQGDDYVYLPYPDPSRILGAGCFVDDIVKTDGTLMIERFVSLAIDAYPLTKHPNQEYADVF -HLYLQYIRKLHDELTGHMLDMYSVMLTNDNTSRYWEPEFYEAMYTPHTVLQAVGACVLCNSQTSLRCGAC -IRRPFLCCKCCYDHVISTSHKLVLSVNPYVCNAPGCDVTDVTQLYLGGMSYYCKSHKPPISFPLCANGQV -FGLYKNTCVGSDNVTDFNAIATCDWTNAGDYILANTCTERLKLFAAETLKATEETFKLSYGIATVREVLS -DRELHLSWEVGKPRPPLNRNYVFTGYRVTKNSKVQIGEYTFEKGDYGDAVVYRGTTTYKLNVGDYFVLTS -HTVMPLSAPTLVPQEHYVRITGLYPTLNISDEFSSNVANYQKVGMQKYSTLQGPPGTGKSHFAIGLALYY -PSARIVYTACSHAAVDALCEKALKYLPIDKCSRIIPARARVECFDKFKVNSTLEQYVFCTVNALPETTAD -IVVFDEISMATNYDLSVVNARLRAKHYVYIGDPAQLPAPRTLLTKGTLEPEYFNSVCRLMKTIGPDMFLG -TCRRCPAEIVDTVSALVYDNKLKAHKDKSAQCFKMFYKGVITHDVSSAINRPQIGVVREFLTRNPAWRKA -VFISPYNSQNAVASKILGLPTQTVDSSQGSEYDYVIFTQTTETAHSCNVNRFNVAITRAKIGILCIMSDR -DLYDKLQFTSLEIPRRNVATLQAENVTGLFKDCSKIITGLHPTQAPTHLSVDIKFKTEGLCVDIPGIPKD -MTYRRLISMMGFKMNYQVNGYPNMFITREEAIRHVRAWIGFDVEGCHATRDAVGTNLPLQLGFSTGVNLV -AVPTGYVDTENNTEFTRVNAKPPPGDQFKHLIPLMYKGLPWNVVRIKIVQMLSDTLKGLSDRVVFVLWAH -GFELTSMKYFVKIGPERTCCLCDKRATCFSTSSDTYACWNHSVGFDYVYNPFMIDVQQWGFTGNLQSNHD -QHCQVHGNAHVASCDAIMTRCLAVHECFVKRVDWSVEYPIIGDELRVNSACRKVQHMVVKSALLADKFPV -LHDIGNPKAIKCVPQAEVEWKFYDAQPCSDKAYKIEELFYSYATHHDKFTDGVCLFWNCNVDRYPANAIV -CRFDTRVLSNLNLPGCDGGSLYVNKHAFHTPAFDKSAFTNLKQLPFFYYSDSPCESHGKQVVSDIDYVPL -KSATCITRCNLGGAVCRHHANEYRQYLDAYNMMISAGFSLWIYKQFDTYNLWNTFTRLQSLENVAYNVVN -KGHFDGHAGEAPVSIINNAVYTKVDGIDVEIFENKTTLPVNVAFELWAKRNIKPVPEIKILNNLGVDIAA -NTVIWDYKREAPAHVSTIGVCTMTDIAKKPTESACSSLTVLFDGRVEGQVDLFRNARNGVLITEGSVKGL -TPSKGPAQASVNGVTLIGESVKTQFNYFKKVDGIIQQLPETYFTQSRDLEDFKPRSQMETDFLELAMDEF -IQRYKLEGYAFEHIVYGDFSHGQLGGLHLMIGLAKRSQDSPLKLEDFIPMDSTVKNYFITDAQTGSSKCV -CSVIDLLLDDFVEIIKSQDLSVISKVVKVTIDYAEISFMLWCKDGHVETFYPKLQASQAWQPGVAMPNLY -KMQRMLLEKCDLQNYGENAVIPKGIMMNVAKYTQLCQYLNTLTLAVPYNMRVIHFGAGSDKGVAPGTAVL -RQWLPTGTLLVDSDLNDFVSDADSTLIGDCATVHTANKWDLIISDMYDPRTKHVTKENDSKEGFFTYLCG -FIKQKLALGGSIAVKITEHSWNADLYKLMGHFSWWTAFVTNVNASSSEAFLIGANYLGKPKEQIDGYTMH -ANYIFWRNTNPIQLSSYSLFDMSKFPLKLRGTAVMSLKENQINDMIYSLLEKGRLIIRENNRVVVSSDIL -VNN - ->YP_001039961.1 ORF1ab polyprotein [Pipistrellus bat coronavirus HKU5] -MSFVAGVAPQGARGKYRAELNTEKRTDHVSLKASLCDAGDLVLKISPWFMDGESAYKHVSEQLSKGSKLL -FVPQTLKGFIRHLPGPRVYLVERLTGGTYSDPFMVNQLAYQNAAGEGVIGTTLQGKRVGMFFPFDADLVT -GEFQFLLRKKGFGGNRFRDAPWDYNWTPYSDLMDALEADPCGKYSQSLLKKLVGGDFTPIDQYMCGKNGK -PIAEFAALMASEGITKLADVEAEVKSRTDSDRYIVFKNKLYRIVWNVQRKDVAYSKQSAFTMNSIVQLDT -MEDVPRHSFTIGSEIQVIAPSTAVQANGHLNLKQRLLYAFYGKQAVSEPNYIYHSAYVDCTSCGKGSWLT -GNAVQGFACDCGAHYCANDVDLQSSGLVRKNAVLLTTCPCNKDGECKHTLPQLVSMMTDKCDVEVVGKTF -ILTYGGVIYAYMGCSGGTMHFIPRAKSCVSKIGDAIFTGCTGTWSKVCETANLFLERAQHAINFVNEFVL -TETVVALLSGTTSSIEELRDLCRNATFEKVRDYLTPRGWIVTMGSYIEGVINVGAAGVCNAALNAPFIVL -SGLGESFKKVAATPWKLCSSLRETLDHYADSITYRVFPYDIPCDVTDYTALLLDCAVLTGASAYFVARYV -DEKVEQLTNLVFSSCQSAVAAFVQACMSTYKATAKFISDMFTLIKVVSERLYVYTSVGFVVVGDYSSQLL -KQFMHILSKAMQLLHTTVSWAGSKLPSVVYNGRDSLVFPSGTYYCVSTQGRSLQDQFDLVIPGDLSKKQI -GILEPTPNSTTVDKKINTNVVEVVVGQLEPTKEHSPELVVGDYVIISNKIFVRSVEDSETVFYPLCTDGK -IVPTLFRLKGGAPPKGVKFGGEQTKEITAVRSVSVDYDVHPVLDALLAGSELATFTVEKDLPVKDFVDVV -KDEVIELLSKLLRGYNVDGFDLEDFADTPCYVYNAEGDLAWSSTMTFSVNPVEEVEEECDDDYVEDEYLS -EEMLVEEDENSWAAAVEAVIPMEDVQLDTLVAEIDVSEPADDVAEQASTEEVEVPSACVLEASQVANAAE -VESCEAEVSSSIPLHEDANAAKANDCAEGMPALDSTETVSKLSVDTPVGDVTQDDATSSNATVISEDVHT -ATHSKGLVAVPEVVPEKALGTSVERMRSTSEWTVVETSLKQETAVIVKNDSSAKPQRVKKPKAENPLKNF -KHIVLNNDVTLVFGDAIAVARATEDCILVNAANTHLKHGGGIAAAIDRASGGLVQAESDDYVNFYGPLNV -GDSTLLKGHGLATGILHVVGPDARANQDIQLLKRCYKAFNKYPLVVSPLISAGIFCVEPRVSLEYLLSVV -HTKTYVVVNSEKVYNDLAAPKPPTGLTYSHEGWRGIIRNAKSFGFTCFICTDQSANAKLLKGRGVDLTKK -TQTVDGVKYYLYSSKDPLTDIITAANACKGICAMPIGYVTHGLDLAQAGQQVKKITVPYVCLLASKDQVP -ILNSDVAVQTPEQSFINTVIANGGYHCWHLVTGELIVKGVSYRKLLNWSDQTICYADNKFYVVKGQIALP -FDSLEKCRTYLTSRAAQQKNVDVLVTIDGVNFRTVVLNNTTTYRVQLGSVFYKGSDISDTIPTEKMSGEA -VYLADNLSEAEKAVLSEVYGTADTAFLHRYYSLLALVKKWKYTVHDGVKSLKLNSNNCYVNVTMLMLDML -KEIKFIVPALQAAYLKHKGGDSTEFIALIMAYGDCTYGEPDDASRLLHTILSKAELTTQAKMVWRQWCNV -CGVQDTTTTGLKACIYVGMNSLDELHATHEECCQCGDVRKRQLVEHNAPWLLLSGLNEAKVMTPTSQSAG -PDYTAFNVFQGVETSVGHYLHVRVKDNLLYKYDSGSLSKTSDMKCKMTDVYYPKQRYSADCNVVVYSLDG -NTWADVDPDLSAFYMKDGKYFTKKPVIEYSPATILSGSVYTNSCLVGHDGTIGSDAISSSFNNLLGFDNS -KPVSKKLTYSFFPDFEGDVILTEYSTYDPIYKNGAMLHGKPILWVNNSKFDSALNKFNRATLRQVYDIAP -VTLENKYTVLQDNQIQQVEVEAPKEDAKPQSPVQVAEDIDNKLPIIKCKGLKKPFVKDGYSFVNDPQGVN -VIDTLGIDDLRALYVDRNLRLIVLKENNWSALFNIHTVEKGDLSVIAASGSITRRVKILLGASSLFAQFA -SVTVNVTTAMGKALGRMTRNVITNTGIIGQGFALLKMLLILPFTFWKSKNQSTVKVEVGALRTAGIVTTN -VVKQCASAAYDVLVVKFKRIDWKSTLRLLFLICTTGLLLSSLYYLFLFHQVLTSDVMLDGAEGMLATYRE -LRSYLGIHSLCDGMVEAYRNVSYDVNDFCSNRSALCNWCLIGQDSLTRYSAFQMIQTHVTSYVINIDWVW -FVMEFALAYVLYTSTFNVLLLVVSSQYFFSYTGAFVNWRSYNYLVSGYFFCVTHIPLLGLVRIYNFLACL -WFLRRFYNHVINGCKDTACLLCYKRNRLTRVEASTVVCGSKRTFYIVANGGTSFCCRHNWNCVDCDTAGI -GNTFICEEVANDLTTSLRRLVKPTDKSHYYVESVTVKDSVVQLHYSREGASCYERYPLCYFTNLDKLKFK -EVCKTPTGIPEHNFLIYDSSDRGQENLARSACVYYSQVLSKPMLLVDSNMVTTVGDSREIASKMLDSYVN -SFISLFGVNRDKLDKLVATARDCVKRGDDFQTVIKTFTDAARGPAGVESDVETSSIVDALQYAYKHDLQL -TTEGFNNYVPSYIKPDSVATADLGCLIDLNAASVNQTSIRNANGACIWNSSDYMKLSDSLKRQIRIACRK -CNIPFRLTTSRLRSADNILSVKFSATKLSGGAPKWLLKLRDFTWKSYCVVTLVVFAMAVLSYLCLPAFNM -SQVSFHEDRILTYKVVENGIIRDITPSDTCFANKYQSFSKWFNEHYGGLFNNDISCPVTVAVIAGVAGAR -VPNLPANVAWVGRQIVLFVSRVFASSNNVCYTPTAEIPYERFSDSGCVLASECTLFRDAEGKINPYCYDP -TVLPGASAYDQMKPHVRYDMYDSDMYIKFPEVVFESTLRITKTLATRYCRFGSCEDANEGVCITTNGSWA -IYNDHYANKPGVYCGDNYFDIVRRLGLSLFQPVTYFQLSTSLALGVMLCIFLTIAFYYVNKVKRALADYT -QCAVVAVAAALLNSLCLCFVVSNPLLVLPYTALYYYATFYLTGEPAFVMHVSWFVMFGTVVPIWMVFAYI -VGVCLRHLLWVMAYFSKKHVEVFTDGKLNCSFQDAAANIFVINKDTYVALRNSITQDSYNRYLSMFNKYK -YYSGAMDTASYREASAAHLCKALQVYSETGSDVLFQPPNCSVTSSVLQSGLVKMAAPSGVVENCMVQVTC -GSMTLNGLWLDNYVWCPRHVMCPADQLSDPNYDALLVSKTNLSFIVQKNVGAPANLRVVGHTMVGTLLKL -TVESANPQTPAYTFTTVKPGASFSVLACYNGRPTGVFMVNMRQNSTIKGSFLCGSCGSVGYTQEGNVINF -CYMHQMELSNGTHTGCAFDGVMYGAFEDRQVHQVQLSDKYCTINIVAWLYAAILNGCNWFVKPNKTGIAT -FNEWAMSNQFTEFIGTQSVDMLAHKTGVSVEQLLYAIQTLHKGFQGKTILGNSMLEDEFTPDDVNMQVMG -VVMQSGVKRISYGLVHWLFTTLLLAYVATLQLTKFTIWNYLFEVIPLQLTPLVLCVMACVMLTVKHKHTF -LTLFLLPTAICLTYANIVYEPQTPVSSALIAVANWLNPASVYMRTTHTDLGVYLSLCFALAVVVRRLYRP -NASNLALALGSAMVWFYTYTTGDCSSPLTYLMFLTTLTSDYTVTVFLAVNVAKFFARVVFLYAPHAGFIF -PEVKLVLLMYLAVGYFCTVYFGVFSLLNLKLRVPLGVYDYTVSTQEFRYLTGNGLHAPRNSWEALRLNMK -LIGIGGTPCIKIASVQSKLTDLKCTSVVLLSVLQQLHLEANSKAWAHCVKLHNDILAATDPTEAFDNFVC -LFATLMSFSANVDLEALASDLLDHPSVLQATLSEFSHLASYAELEAAQSSYQKALNSGDASPQVLKALQK -AVNIAKNAYEKDKAVARKLERMAEQAMTSMYKQARAEDKKAKIVSAMQTMLFGMIKKLDNDVLNGVISNA -RNGCVPLSVVPLCASNKLRVVIPDITIWNKVVTWPSLSYAGALWDISLINNVDGEVVKSSDVTETNESLT -WPLVLECTRAASSAVTLQNNEIRPSGLKTMVVSAGIDHANCNTSSLAYYEPVEGRKMLMGILSENAHLKW -AKVEGRDGFVNIELQPPCKFLIAGPKGPEVRYLYFVKNLNNLHRGQLLGHIAATVRLQAGSNTEFAINSS -VLSAVTFSVDPGKAYLDFVNAGGAPLTNCVKMLTPKTGTGIAVSVKPEANADQDTYGGASVCLYCRAHIE -HPDVTGVCKFKGKFVQVPLHIRDPVGFCLQNTPCNVCQFWIGHGCNCDALRGTTIPQSKDSNFLNRVRGS -IVNARIEPCASGLTTDVVFRAFDICNYKAKVAGIGKYYKTNTCRFVEVDDEGHRLDSFFVVKRHTMENYE -LEKRCYDLVKDCDAVAVHDFFIFDVDKVKTPHIVRQRLTEYTMMDLVYALRHFDQNNCEVLKSILVKYGC -CDASYFDNKLWFDFVENPNVISVYHKLGERIRQAVLNTVKFCDQMVKSGLVGVLTLDNQDLNGKWYDFGD -FVITQPGAGVAIVDSYYSYLMPVLSMTNCLAAETHRDCDLTKPLIEWPLLEYDYTDYKIGLFEKYFKXWD -QQYHPNCVNCTDDRCVLHCANFNVLFSMTLPGTSFGPIVRKIFVDGVPFVISCGYHYKELGLVMNMDVSL -HRHRLSLKELMMYAADPAMHIASASALWDLRTPCFSVAALTTGLTFQTVRPGNFNKDFYDFVVSKGFFKE -GSSVTLRHFFFAQDGHAAITDYSYYAYNLPTMCDIKQMLFCMEVVDRYFEIYDGGCLNASEVIVNNLDKS -AGHPFNKFGKARVYYESLSYQEQDELFAMTKRNVLPTITQMNLKYAISAKNRARTVAGVSILSTMTNRQY -HQKMLKSMAATRGSTCVIGTTKFYGGWDFMLKTLYKDVDNPHLMGWDYPKCDRAMPNMCRIFASLILARK -HSTCCTNTDRFYRLANECAQVLSEYVLCGGGYYVKPGGTSSGDATTAYANSVFNILQATTANVSALMGAN -GNTIVDEEVKDMQFELYVNVYRKSQPDPKFVDRYYAFLNKHFSMMILSDDGVVCYNSDYATKGYIASIQN -FKETLYYQNNVFMSEAKCWVETDLKKGPHEFCSQHTLFIKDGDDGYFLPYPDPSRILSAGCFVDDIVKTD -GTLMVERFVSLAIDAYPLTKHDDPEYQNVFWVYLQYIEKLYKDLTGHMLDSYSVMLCGDNSAKFWEESFY -RDLYTAPTTLQAVGSCVVCHSQTSLRCGTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCDVAD -VTKLYLGGMSYFCIDHRPVCSFPLCANGLVFGLYKNMCTGSPSVTEFNRLATCDWTESGDYTLANTTTEP -LKLFAAETLRATEEASKQSYAIATIKEIVGERELLLVWEAGKAKPPLNRNYVFTGYHITKNSKVQLGEYV -FERIDYSDAVSYKSSTTYKLAVGDIFVLTSHSVATLQAPTIVNQERYVKITGLYPTLTVPEEFANHVANF -QKAGFSKFVTVQGPPGTGKSHFAIGLAIYYPTARVVYTACSHAAVDALCEKAFKYLNIAKCSRIIPAKAR -VECYDQFKVNETNSQYLFSTINALPETSADILVVDEVSMCTNYDLSVINARIKAKHIVYVGDPAQLPAPR -TLLTRGTLEPENFNSVTRLMCNLGPDIFLSVCYRCPEEIVNTVSALVYNNKLVAKKPASGQCFKILYKGS -VTHDASSAINRPQLNFVKSFIAANPNWSKAVFISPYNSQNAVARSVLGLTTQTVDSSQGSEYPYVIFCQT -ADTAHANNINRFNVAVTRAQKGILCVMTSQALFDSLEFAEVSLNNYKLQSQIVTGLYKDCSRESSGLHPA -YAPTYVSVDDKYKTSDELCVNLNVPANVPYSRVISRMGFKLDASIPNYPKLFITRDEAIRQVRSWIGFDV -EGAHASRNACGTNVPLQLGFSTGVNFVVQPVGVVDTEWGSMLTSIAARPPPGEQFKHLVPLMNKGAAWPI -VRRRIVQMLSDTLDKLSDYCTFVCWAHGFELTSASYFCKIGKEQRCCMCNRRASTYSSPLHSYACWSHSS -GYDYVYNPFFVDVQQWGYIGNLATNHDRYCSVHQGAHVASNDAVMTRCLAIHDCFIERVEWDITYPYISH -EKRLNSCCRAVERNVVRAALLAGRFERVYDIGNPKGIPIVDDPVVDWHYYDAQPLSKKVQQLFYTEDCAK -NFSDGLCLFWNCNVPRYPNNAIVCRFDTRVHSEFNLPGCDGGSLYVNKHAFHTPAYDASAFRDLKPLPFF -YYSTTPCEVHGNGNMLEDIDYVPLKSAVCITACNLGGAVCRKHAAEYRDYMEAYNLVSASGFRLWCYKTF -DVYNLWSTFTKIQGLENIAYNVIKQGHFTGVEGELPVAVVNDKIYTKSDVNDVCIFENKTTLPTNIAFEL -YAKRAVRSHPDFNLLRNLEVDVCYKFVLWDYERSNIYGSATIGVCKYTDIDVNSALNICFDIRDNGSLER -FMSLPNGILISDRKVKNYPCIVSSNYAYFNGTLIRDNTGNSQSSDGEVKQPVTFYIYKKVNNEFVQFTDT -YYTLGRTVSDFTPVSEMEKDFLALDSDVFIKKYKLEAYAFEHVVYGDFSRTTLGGLHLLIGLYKKHQEGH -IIMEEMLKERATVHNYFVTESNTASFKAVCSVIDLKLDDFVDIIKAMDLSVVSKVVKIPIDLTMIEFMLW -CKDGQVQTFYPRLQAINDWKPGLAMPSLFKVQNSNLEPCMLPNYKQSIPMPQGVHMNIAKYMQLCQYLNT -CTIAVPANMRVMHFGAGSDKGVAPGSSVLRQWLPTDAILIDNDLNEYVSDADITLFGDCVTVRVGQQVDL -LISDMYDPSTKVVGETNEAKALFFVYLCNFIKNNLALGGSVAIKITEHSWSAELYELMGRFAWWTVFCTN -ANASSSEGFLIGINYLGELKEVIDGNVMHANYIFWRNTTLMNLSTYSLFDLSRFPLKLKGTPVLQLKESQ -INELVISLLSQGKLIIRDNDTLSVSTDVLVNFYRKPHKRSKC - ->YP_001039952.1 ORF1ab polyprotein [Tylonycteris bat coronavirus HKU4] -MLSKASVTTQGARGKYRAELYNEKRSDHVACTVPLCDTDDMACKLTPWFEDGETAFNQVSSILKEKGKIL -FVPMHMQRAMKFLPGPRVYLVERLTGGMLSKHFLVNQLAYKDQVGAAMMRTTLNAKPLGMFFPYDSSLET -GEYTFLLRKNGLGGQLFRERPWDRKETPYVEILDDLEADPTGKYSQNLLKKLIGGDCIPIDQYMCGKNGK -PIADYAKIVAKEGLTTLADIEVDVKSRMDSDRFIVLNKKLYRVVWNVTRRNVPYPKQTAFTIVSVVQCDD -KDSVPEHTFTIGSQILMVSPLKATNNKNFNLKQRLLYTFYGKDAVQQPGYIYHSAYVDCNACGRGTWCTG -NAIQGFACDCGANYSANDVDLQSSGLVPRNALFLANCPCANNGACSHSAAQVYNILDGKACVEVGGKSFT -LTFGGVVYAYMGCCDGTMYFVPRAKSCVSRIGDAIFTGCTGTWDKVVETANLFLEKAQRSLNFCQQFALT -EVVLAILSGTTSTFEELRDLCHNASYEKVRDHLVNHGFVVTIGDYIRDAINIGANGVCNATINAPFIAFT -GLGESFKKVSAIPWKICSNLKSALDYYSSNIMFRVFPYDIPCDVSNFVELLLDCGKLTVATSYFVLRYLD -EKFDTVLGTVSSACQTALSSFLNACVAASRATAGFINDMFKLFKVLMHKLYVYTSCGYVAVAEHSSKIVQ -QVLDIMSKAMKLLHTNVSWAGTKLSAIIYEGREALLFNSGTYFCLSTKAKTLQGQMNLVLPGDYNKKTLG -ILDPVPNADTIDVNANSTVVDVVHGQLEPTNEHGPSMIVGNYVLVSDKLFVRTEDEEFYPLCTNGKVVST -LFRLKGGMPSKKVTFGDVNTVEVTAYRSVSITYDIHPVLDALLSSSKLATFTVEKDLLVEDFVDVIKDEV -LTLLTPLLRGYDIDGFDVEDFIDVPCYVYNQDGDCAWSSNMTFSINPVEDVEEVEEFIEDDYLSDELPIA -DDEEAWARAVEEVMPLDDILVAEIELEEDPPLETALESVEAEVVETAEAQEPSVESIDSTPSTSTVVGEN -DLSVKPMSRVAETDDVLELETAVVGGPVSDVTAIVTNDIVSVEQAQQCGVSSLPIQDEASENQVHQVSDL -QGNELLCSETKVEIVQPRQDLKPRRSRKSKVDLSKYKHTVINNSVTLVLGDAIQIASLLPKCILVNAANR -HLKHGGGIAGVINKASGGDVQEESDEYISNNGPLHVGDSVLLKGHGLADAILHVVGPDARNNEDAALLKR -CYKAFNKHTIVVTPLISAGIFSVDPKVSFEYLLANVTTTTYVVVNNEDIYNTLATPSKPDGLVYSFEGWR -GTVRTAKNYGFTCFICTEYSANVKFLRTKGVDTTKKIQTVDGVSYYLYSARDALTDVIAAANGCSGICAM -PFGYVTHGLDLAQSGNYVRQVKVPYVCLLASKEQIPIMNSDVAIQTPETAFINNVTSNGGYHSWHLVSGD -LIVKDVCYKKLLHWSGQTICYADNKFYVVKNDVALPFSDLEACRAYLTSRAAQQVNIEVLVTIDGVNFRT -VILNDTTTFRKQLGATFYKGVDISDAFPTVKMGGESLFVADNLSESEKVVLKEYYGTSDVTFLQRYYSLQ -PLVQQWKFVVHDGVKSLKLSNYNCYINATIMMIDMLHDIKFVVPALQNAYLRYKGGDPYDFLALIMAYGD -CTFDNPDDEAKLLHTLLAKAELTVSAKMVWREWCTVCGIRDIEYTGMRACVYAGVNSMEELQSVFNETCV -CGSVKHRQLVEHSAPWLLVSGLNEVKVSTSTDPIYRAFNVFQGVETSVGHYVHIRVKDGLFYKYDSGSLT -KTSDMKCKMTSVWYPTVRYTADCNVVVYDLDGVTKVEVNPDLSNYYMKDGKYYTSKPTIKYSPATILPGS -VYSNSCLVGVDGTPGSDTISKFFNDLLGFDETKPISKKLTYSLLPNEDGDVLLSEFSNYNPVYKKGVMLK -GKPILWVNNGVCDSALNKPNRASLRQLYDVAPIVLDNKYTVLQDNTSQLVEHNVPVVDDVPITTRKLIEV -KCKGLNKPFVKGNFSFVNDPNGVTVVDTLGLTELRALYVDINTRYIVLRDNNWSSLFKLHTVESGDLQIV -AAGGSVTRRARVLLGASSLFASFAKITVTATTAACKTAGRGFCKFVVNYGVLQNMFVFLKMLFFLPFNYL -WPKKQPTVDIGVSGLRTAGIVTTNIVKQCGTAAYYMLLGKFKRVDWKATLRLFLLLCTTILLLSSIYHLV -LFNQVLSSDVMLEDATGILAIYKEVRSYLGIRTLCDGLVVEYRNTSFDVMEFCSNRSVLCQWCLIGQDSL -TRYSALQMLQTHITSYVLNIDWIWFALEFFLAYVLYTSSFNVLLLVVTAQYFFAYTSAFVNWRAYNYIVS -GLFFLVTHIPLHGLVRVYNFLACLWFLRKFYSHVINGCKDTACLLCYKRNRLTRVEASTIVCGTKRTFYI -AANGGTSYCCKHNWNCVECDTAGVGNTFICTEVANDLTTTLRRLIKPTDQSHYYVDSVVVKDAVVELHYN -RDGSSCYERYPLCYFTNLEKLKFKEVCKTPTGIPEHNFLIYDTNDRGQENLARSACVYYSQVLCKPMLLV -DVNLVTTVGDSREIAIKMLDSFINSFISLFSVSRDKLEKLINTARDCVRRGDDFQNVLKTFTDAARGHAG -VESDVETTMVVDALQYAHKNDIQLTTECYNNYVPGYIKPDSINTLDLGCLIDLKAASVNQTSMRNANGAC -VWNSGDYMKLSDSFKRQIRIACRKCNIPFRLTTSKLRAADNILSVKFSATKIVGGAPSWLLRVRDLTVKG -YCILTLFVFTVAVLSWFCLPSYSIATVNFNDDRILTYKVIENGIVRDIAPNDVCFANKYGHFSKWFNENH -GGVYRNSMDCPITIAVIAGVAGARVANVPANLAWVGKQIVLFVSRVFANTNVCFTPINEIPYDTFSDSGC -VLSSECTLFRDAEGNLNPFCYDPTVLPGASSYADMKPHVRYDMYDSDMYIKFPEVIVESTLRITKTLATQ -YCRFGSCEESAAGVCISTNGSWALYNQNYSTRPGIYCGDDYFDIVRRLAISLFQPVTYFQLSTSLAMGLV -LCVFLTAAFYYINKVKRALADYTQCAVVAVVAALLNSLCLCFIVANPLLVAPYTAMYYYATFYLTGEPAF -IMHISWYVMFGAVVPIWMLASYTVGVMLRHLFWVLAYFSKKHVDVFTDGKLNCSFQDAASNIFVIGKDTY -VALRNAITQDSFVRYLSLFNKYKYYSGAMDTASYREACAAHLCKALQTYSETGSDILYQPPNCSVTSSVL -QSGLVKMSAPSGAVENCIVQVTCGSMTLNGLWLDNTVWCPRHIMCPADQLTDPNYDALLISKTNHSFIVQ -KHIGAQANLRVVAHSMVGVLLKLTVDVANPSTPAYTFSTVKPGASFSVLACYNGKPTGVFTVNLRHNSTI -KGSFLCGSCGSVGYTENGGVINFVYMHQMELSNGTHTGSSFDGVMYGAFEDKQTHQLQLTDKYCTINVVA -WLYAAVLNGCKWFVKPTRVGIVTYNEWALSNQFTEFVGTQSIDMLAHRTGVSVEQMLAAIQSLHAGFQGK -TILGQSTLEDEFTPDDVNMQVMGVVMQSGVKRISYGFIHWLISTFVLAYVSVMQLTKFTMWTYLFETIPT -QMTPLLLGFMACVMFTVKHKHTFMSLFLLPVALCLTYANIVYEPQTLISSTLIAVANWLTPTSVYMRTTH -FDFGLYISLSFVLAIIVRRLYRPSMSNLALALCSGVMWFYTYVIGDHSSPITYLMFITTLTSDYTITVFA -TVNLAKFISGLVFFYAPHLGFILPEVKLVLLIYLGLGYMCTMYFGVFSLLNLKLRVPLGVYDYSVSTQEF -RFLTGNGLHAPRNSWEALILNFKLLGIGGTPCIKVATVQSKLTDLKCTSVVLLTVLQQLHLESNSKAWSY -CVKLHNEILAAVDPTEAFERFVCLFATLMSFSANVDLDALANDLFENSSVLQATLTEFSHLATYAELETA -QSSYQKALNSGDASPQVLKALQKAVNVAKNAYEKDKAVARKLERMAEQAMTSMYKQARAEDKKAKIVSAM -QTMLFGMIKKLDNDVLNGVIANARNGCVPLSIVPLCASNKLRVVIPDISVWNKVVNWPSVSYAGSLWDIT -VINNVDNEVVKPTDVVETNESLTWPLVIECSRSSSSAVKLQNNEIHPKGLKTMVITAGVDQVNCNSSAVA -YYEPVQGHRMVMGLLSENAHLKWAKVEGKDGFINIELQPPCKFLIAGPKGPEIRYLYFVKNLNNLHRGQL -LGHIAATVRLQAGANTEFASNSTVLTLVAFAVDPAKAYLDYVGSGGTPLSNYVKMLAPKTGTGVAISVKP -EATADQETYGGASVCLYCRAHIEHPDVSGVCKYKTRFVQIPAHVRDPVGFLLKNVPCNVCQYWVGYGCNC -DALRNNTVPQSKDTNFLNRVRGSSVNARLEPCSSGLTTDVVYRAFDICNFKARVAGIGKYYKTNTCRFVQ -VDDEGHKLDSYFIVKRHTMSNYELEKRCYDLLKDCDAVAIHDFFIFDVDKTKTPHIVRQSLTEYTMMDLV -YALRHFDQNNCEVLKSILVKYGCCEQSYFDNKLWFDFVENPSVIGVYHKLGERIRQAMLNTVKMCDHMVK -SGLVGVLTLDNQDLNGKWYDFGDFVITQPGAGVAIVDSYYSYLMPVLSMTNCLAAETHKDCDFNKPLIEW -PLLEYDYTDYKIGLFNKYFKYWDQTYHPNCVNCSDDRCILHCANFNVLFSMVLPNTSFGPIVRKIFVDGV -PFIVSCGYHYKELGLVMNMDFNIHRHRLALKELMMYAADPAMHIASASALWDLRTPCFSVAALTTGLTFQ -TVRPGNFNKDFYDFVVSRGFFKEGSSVTLKHFFFAQDGHAAITDYSYYAYNLPTMVDIKQMLFCMEVVDK -YFDIYDGGCLNASEVIVNNLDKSAGHPFNKFGKARVYYESMSYQEQDELFAVTKRNVLPTITQMNLKYAI -SAKNRARTVAGVSILSTMTNRQYHQKMLKSMAATRGATCVIGTTKFYGGWDFMLKTLYKDVESPHLMGWD -YPKCDRAMPNMCRILASLILARKHSTCCTNSDRFYRLANECAQVLSEYVLCGGGYYVKPGGTSSGDATTA -YANSVFNILQATTANVSALMSANGNTIIDREIKDMQFDLYINVYRKVVPDPKFVDKYYAFLNKHFSMMIL -SDDGVVCYNSDYAAKGYVASIQNFKETLYYQNNVFMSEAKCWVETNLEKGPHEFCSQHTLYIKDGDDGYF -LPYPDPSRILSAGCFVDDIVKTDGTVMMERYVSLAIDAYPLTKHDDTEYQNVFWVYLQYIEKLYKDLTGH -MLDSYSVMLCGDDSAKFWEEGFYRDLYSSPTTLQAVGSCVVCHSQTSLRCGTCIRRPFLCCKCCYDHVIA -TPHKMVLSVSPYVCNAPGCDVSDVTKLYLGGMSYYCNDHRPVCSFPLCANGLVFGLYKNMCTGSSSIMEF -NRLATCDWSDSGDYTLANTTTEPLKLFAAETLRATEEASKQSYAIATIKEIVGERELILVWEVGKSKPPL -NRNYVFTGYHLTKNSKVQLGEYVFERIDYSDAVSYKSSTTYKLAVGDIFVLTSHSVATLSAPTIVNQERY -LKITGIYPTITVPEEFANHVVNFQKAGFSKYVTVQGPPGTGKSHFAIGLAIYYPTARIVYTACSHAAVDA -LCEKAFKYLNIAKCSRIIPAKARVECYDRFKVNDTNSQYLFSTVNALPEISVDILVVDEVSMCTNYDLSI -INSRVKAKHIVYVGDPAQLPAPRTLLIRGTLEPENFNSVTRLMCNLGPDIFLSVCYRCPKEIVSTVSALV -YNNKLSAKKDASGQCFKILFKGSVTHDASSAINRPQLNFVKTFIAANPNWSKAVFISPYNSQNAVARSML -GLTTQTVDSSQGSEYPYVIFCQTADTAHANNLNRFNVAVTRAQKGILCVMTSQVLFDSLEFAELSLNNYK -LQSQIVTGLFKDCSREDTGLPPAYAPTYLSVDAKYKTTDELCVNLNITPNVTYSRVISRMGFKLDATIPG -YPKLFITRDEAIRQVRSWVGFDVEGAHASRNACGTNVPLQLGFSTGVNFVVQPVGVVDTEWGSMLTTISA -RPPPGEQFKHLVPLMNKGATWPIVRRRIVQMLSDTLDKLSDYCTFVCWAHGFELTSASYFCKIGKEQRCS -MCSRRASTFSSPLQSYACWSHSSGYDYVYNPFFVDVQQWGYVGNLATNHDRYCGIHAGAHVASSDAIMTR -CLAIYDCFIERVDWDVTYPYISHEQKLNSCCRTVERNVVRSAVLSGKFEKIYDIGNPKGIAIISEPVEWH -FYDAQPLSNKVKKLFYTDDVSKQFEDGLCLFWNCNVSKYPSNAVVCRFDTRVHSEFNLPGCNGGSLYVNK -HAFHTPAYDINAFRDLKPLPFFYYSTTPCEVHGSGNMLEDIDYVPLKSAVCITACNLGGAVCRKHAAEYR -DYMEAYNIVSAAGFRLWVYKTFDIYNLWSTFVKVQGLENIAFNVIKQGHFTGVDGELPVAVVNDKIFTKN -GTDDVCIFKNETALPTNVAFELYAKRAVRSHPDLNLLRNLEVDVCYNFVLWDYDRNNIYGTTTIGVCKYT -DIDVNPNLNMCFDIRDKGSLERFMSMPNGVLISDRKIKNYPCISGPKHAYFNGAILRNIDAKQPVIFYLY -KKVNNEFVSFSDTFYTCGRTVGDFTVLTPMEEDFLVLDSDVFIKKYGLEDYAFEHVVYGDFSHTTLGGLH -LLIGLYKKMREGHILMEEMLKDRATVHNYFITDSNTASYKAVCSVIDLRLDDFVTIIKEMDLDVVSKVVK -VPIDLTMIEFMLWCRDGKVQTFYPRLQATNDWKPGLTMPSLFKVQQMNLEPCLLANYKQSIPMPNGVHMN -VAKYMQLCQYLNTCTLAVPANMRVIHFGAGCEKGVAPGTSVLRQWLPLDAVLIDNDLNEFVSDADITIFG -DCVTVHVGQQVDLLISDMYDPCTKAVGEVNQTKALFFVYLCNFIKNNLALGGSVAIKITEHSWSADLYKI -MGRFAYWTVFCTNANASSSEGFLIGINFLGELKEEIDGNVMHANYIFWRNSTPMNLSTYSLFDLSRFPLK -LKGTPVLQLKESQINELVISLLSQGKLLIRDNDTLNVSTDVLVNFRKRL - ->YP_173236.1 ORF1ab polyprotein [Human coronavirus HKU1] -MIKTSKYGLGFKWAPEFRWLLPDAAEELASPMKSDEGGLCPSTGQAMESVGFVYDNHVKIDCRCILGQEW -HVQSNLIRDIFVHEDLHVVEVLTKTAVKSGTAILIKSPLHSLGGFPKGYVMGLFRSYKTKRYVVHHLSMT -TSTTNFGEDFLGWIVPFGFMPSYVHKWFQFCRLYIEESDLIISNFKFDDYDFSVEDAYAEVHAEPKGKYS -QKAYALLRQYRGIKPVLFVDQYGCDYSGKLADCLQAYGHYSLQDMRQKQSVWLANCDFDIVVAWHVVRDS -RFVMRLQTIATICGIKYVAQPTEDVVDGDVVIREPVHLLSADAIVLKLPSLMKVMTHMDDFSIKSIYNVD -LCDCGFVMQYGYVDCFNDNCDFYGWVSGNMMDGFSCPLCCTVYDSSEVKAQSSGVIPENPVLFTNSTDTV -NHDSFNLYGYSVTPFGSCIYWSPRPGLWIPIIKSSVKSYDDLVYSGVVGCKSIVKETALITHALYLDYVQ -CKCGNLEQNHILGVNNSWCRQLLLNRGDYNMLLKNIDLFVKRRADFACKFAVCGDGFVPFLLDGLIPRSY -YLIQSGIFFTSLMSQFSQEVSDMCLKMCILFMDRVSVATFYIEHYVNRLVTQFKLLGTTLVNKMVNWFNT -MLDASAPATGWLLYQLLNGLFVVSQANFNFVALIPDYAKILVNKFYTFFKLLLECVTVDVLKDMPVLKTI -NGLVCIVGNKFYNVSTGLIPGFVLPCNAQEQQIYFFEGVAESVIVEDDVIENVKSSLSSYEYCQPPKSVE -KICIIDNMYMGKCGDKFFPIVMNDKNICLLDQAWRFPCAGRKVNFNEKPVVMEIPSLMTVKVMFDLDSTF -DDILGKVCSEFEVEKGVTVDDFVAVVCDAIENALNSCKEHPVVGYQVRAFLNKLNENVVYLFDEAGDEAM -ASRMYCTFAIEDVEDVISSEAVEDTIDGVVEDTINDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDV -VTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDV -VTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNNDEEIVTGDNDDQIVVTGDDVDDIESIYDFDTYKA -LLVFNDVYNDALFVSYGSSVETETYFKVNGLWSPTITHTNCWLRSVLLVMQKLPFKFKDLAIENMWLSYK -VGYNQSFVDYLLTTIPKAIVLPQGGFVADFAYWFLNQFDINAYANWCCLKCGFSFDLNGLDALFFYGDIV -SHVCKCGHNMTLIAADLPCTLHFSLFDDNFCAFCTPKKIFIAACAVDVNVCHSVAVIGDEQIDGKFVTKF -SGDKFDFIVGYGMSFSMSSFELPQLYGLCITPNVCFVKGDIINVARLVKADVIVNPANGHMLHGGGVAKA -IAVAAGKKFSKETAAMVKSKGVCQVGDCYVSTGGKLCKTILNIVGPDARQDGRQSYVLLARAYKHLNNYD -CCLSTLISAGIFSVPADVSLTYLLGVVDKQVILVSNNKEDFDIIQKCQITSVVGTKALAVRLTANVGRVI -KFETDAYKLFLSGDDCFVSNSSVIQEVLLLRHDIQLNNDVRDYLLSKMTSLPKDWRLINKFDVINGVKTV -KYFECPNSIYICSQGKDFGYVCDGSFYKATVNQVCVLLAKKIDVLLTVDGVNFKSISLTVGEVFGKILGN -VFCDGIDVTKLKCSDFYADKILYQYENLSLADISAVQSSFGFDQQQLLAYYNFLTVCKWSVVVNGPFFSF -EQSHNNCYVNVACLMLQHINLKFNKWQWQEAWYEFRAGRPHRLVALVLAKGHFKFDEPSDATDFIRVVLK -QADLSGAICELELICDCGIKQESRVGVDAVMHFGTLAKTDLFNGYKIGCNCAGRIVHCTKLNVPFLICSN -TPLSKDLPDDVVAANMFMGVGVGHYTHLKCGSPYQHYDACSVKKYTGVSGCLTDCLYLKNLTQTFTSMLT -NYFLDDVEMVAYNPDLSQYYCDNGKYYTKPIIKAQFKPFAKVDGVYTNFKLVGHDICAQLNDKLGFNVDL -PFVEYKVTVWPVATGDVVLASDDLYVKRYFKGCETFGKPVIWFCHDEASLNSLTYFNKPSFKSENRYSVL -SVDSVSEESQGNVVTSVMESQISTKEVKLKGVRKTVKIEDAIIVNDENSSIKVVKSLSLVDVWDMYLTGC -DYVVWVANELSRLVKSPTVREYIRYGIKPITIPIDLLCLRDDNQTLLVPKIFKARAIEFYGFLKWLFIYV -FSLLHFTNDKTIFYTTEIASKFTFNLFCLALKNAFQTFRWSIFIKGFLVVATVFLFWFNFLYINVIFSDF -YLPNISVFPIFVGRIVMWIKATFGLVTICDFYSKLGVGFTSHFCNGSFICELCHSGFDMLDTYAAIDFVQ -YEVDRRVLFDYVSLVKLIVELVIGYSLYTVWFYPLFCLIGLQLFTTWLPDLFMLETMHWLIRFIVFVANM -LPAFVLLRFYIVVTAMYKVVGFIRHIVYGCNKAGCLFCYKRNCSVRVKCSTIVGGVIRYYDITANGGTGF -CVKHQWNCFNCHSFKPGNTFITVEAAIELSKELKRPVNPTDASHYVVTDIKQVGCMMRLFYDRDGQRVYD -DVDASLFVDINNLLHSKVKVVPNLYVVVVESDADRANFLNAVVFYAQSLYRPILLVDKKLITTACNGISV -TQTMFDVYVDTFMSHFDVDRKSFNNFVNIAHASLREGVQLEKVLDTFVGCVRKCCSIDSDVETRFITKSM -ISAVAAGLEFTDENYNNLVPTYLKSDNIVAADLGVLIQNGAKHVQGNVAKAANISCIWFIDAFNQLTADL -QHKLKKACVKTGLKLKLTFNKQEASVPILTTPFSLKGGVVLSNLLYILFFVSLICFILLWALLPTYSVYK -SDIHLPAYASFKVIDNGVVRDISVNDLCFANKFFQFDQWYESTFGSVYYHNSMDCPIVVAVMDEDIGSTM -FNVPTKVLRHGFHVLHFLTYAFASDSVQCYTPHIQISYNDFYASGCVLSSLCTMFKRGDGTPHPYCYSDG -VMKNASLYTSLVPHTRYSLANSNGFIRFPDVISEGIVRIVRTRSMTYCRVGACEYAEEGICFNFNSSWVL -NNDYYRSMPGTFCGRDLFDLFYQFFSSLIRPIDFFSLTASSIFGAILAIVVVLVFYYLIKLKRAFGDYTS -VVVINVVVWCINFLMLFVFQVYPICACVYACFYFYVTLYFPSEISVIMHLQWIVMYGAIMPFWFCVTYVA -MVIANHVLWLFSYCRKIGVNVCSDSTFEETSLTTFMITKDSYCRLKNSVSDVAYNRYLSLYNKYRYYSGK -MDTAAYREAACSQLAKAMETFNHNNGNDVLYQPPTASVSTSFLQSGIVKMVSPTSKIEPCIVSVTYGSMT -LNGLWLDDKVYCPRHVICSSSNMNEPDYSALLCRVTLGDFTIMSGRMSLTVVSYQMQGCQLVLTVSLQNP -YTPKYTFGNVKPGETFTVLAAYNGRPQGAFHVTMRSSYTIKGSFLCGSCGSVGYVLTGDSVKFVYMHQLE -LSTGCHTGTDFTGNFYGPYRDAQVVQLPVKDYVQTVNVIAWLYAAILNNCAWFVQNDVCSTEDFNVWAMA -NGFSQVKADLVLDALASMTGVSIETLLAAIKRLYMGFQGRQILGSCTFEDELAPSDVYQQLAGVKLQSKT -KRFIKETIYWILISTFLFSCIISAFVKWTIFMYINTHMIGVTLCVLCFVSFMMLLVKHKHFYLTMYIIPV -LCTLFYVNYLVVYKEGFRGFTYVWLSYFVPAVNFTYVYEVFYGCILCVFAIFITMHSINHDIFSLMFLVG -RIVTLISMWYFGSNLEEDVLLFITAFLGTYTWTTILSLAIAKIVANWLSVNIFYFTDVPYIKLILLSYLF -IGYILSCYWGFFSLLNSVFRMPMGVYNYKISVQELRYMNANGLRPPRNSFEAILLNLKLLGIGGVPVIEV -SQIQSKLTDVKCANVVLLNCLQHLHVASNSKLWQYCSVLHNEILSTSDLSVAFDKLAQLLIVLFANPAAV -DTKCLASIDEVSDDYVQDSTVLQALQSEFVNMASFVEYEVAKKNLADAKNSGSVNQQQIKQLEKACNIAK -SVYERDKAVARKLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVP -LSAIPALAANTLTIVIPDKQVFDKVVDNVYVTYAGSVWHIQTVQDADGINKQLTDISVDSNWPLVIIANR -YNEVANAVMQNNELMPHKLKIQVVNSGSDMNCNIPTQCYYNNGSSGRIVYAVLSDVDGLKYTKIMKDDGN -CVVLELDPPCKFSIQDVKGLKIKYLYFIKGCNTLARGWVVGTLSSTIRLQAGVATEYAANSSILSLCAFS -VDPKKTYLDYIQQGGVPIINCVKMLCDHAGTGMAITIKPEATINQDSYGGASVCIYCRARVEHPDVDGIC -KLRGKFVQVPLGIKDPILYVLTHDVCQVCGFWRDGSCSCVGSSVAVQSKDLNFLNRVRGTSVNARLVPCA -SGLSTDVQLRAFDICNTNRAGIGLYYKVNCCRFQRIDDDGNKLDKFFVVKRTNLEVYNKEKTYYELTKSC -GVVAEHDFFTFDIDGSRVPHIVRRNLSKYTMLDLCYALRHFDRNDCSILCEILCEYADCKESYFSKKDWY -DFVENPDIINIYKKLGPIFNRALLNTVIFADTLVEVGLVGVLTLDNQDLYGQWYDFGDFIQTAPGFGVAV -ADSYYSYMMPMLTMCHVLDCELFVNDSYRQFDLVQYDFTDYKLELFNKYFKYWGMKYHPNTVDCDNDRCI -IHCANFNILFSMVLPNTCFGPLVRQIFVDGVPFVVSIGYHYKELGVVMNLDVDTHRYRLSLKDLLLYAAD -PAMHVASASALLDLRTCCFSVAAITSGIKFQTVKPGNFNQDFYEFVKSKGLFKEGSTVDLKHFFFTQDGN -AAITDYNYYKYNLPTMVDIKQLLFVLEVVYKYFEIYDGGCIPASQVIVNNYDKSAGYPFNKFGKARLYYE -ALSFEEQNEIYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPV -VIGTTKFYGGWDDMLRHLIKDVDNPVLMGWDYPKCDRAMPNILRIVSSLVLARKHEFCCSHGDRFYRLAN -ECAQVLSEIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVTANVCSLMACNGHKIEDLSIRNLQKRL -YSNVYRTDYVDYTFVNEYYEFLCKHFSMMILSDDGVVCYNSDYASKGYIANISVFQQVLYYQNNVFMSES -KCWVENDITNGPHEFCSQHTMLVKIDGDYVYLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAY -PLVHHENEEYQKVFRVYLEYIKKLYNDLGTQILDSYSVILSTCDGLKFTEESFYKNMYLKSAVMQSVGAC -VVCSSQTSLRCGSCIRKPLLCCKCCYDHVMATNHKYVLSVSPYVCNAPNCDVSDVTKLYLGGMSYYCENH -KPHYSFKLVMNGMVFGLYKQSCTGSPYIDDFNKIASCKWTEVDDYVLANECIERLKLFAAETQKATEEAF -KQSYASATIQEIVSDREVILCWETGKVKPPLNKNYVFTGYHFTSTGKTVLGEYVFDKSELTNGVYYRATT -TYKLSIGDVFVLTSHSVASLSAPTLVPQENYASIRFSSVYSVPLVFQNNVANYQHIGMKRYCTVQGPPGT -GKSHLAIGLAVYYYTARVVYTAASHAAVDALCEKAYKFLNINDCTRIIPAKVRVDCYDKFKINDTTCKYV -FTTINALPELVTDIVVVDEVSMLTNYELSVINARIKAKHYVYIGDPAQLPAPRVLLSKGSLEPRHFNSIT -KIMCCLGPDIFLGNCYRCPKEIVETVSALVYDNKLKAKNDNSSLCFKVYFKGQTTHESSSAVNIQQIYLI -SKFLKANPVWNSAVFISPYNSQNYVAKRVLGVQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRFNVAIT -RAKKGIFCVMSNMQLFESLNFITLPLDKIQNQTLPRLHCTTNLFKDCSKSCLGYHPAHAPSFLAVDDKYK -VNENLAVNLNICEPVLTYSRLISLMGFKLDLTLDGYSKLFITKDEAIKRVRGWVGFDVEGAHATRENIGT -NFPLQIGFSTGVDFVVEATGLFAERDCYTFKKTVAKAPPGEKFKHLIPLMSKGQKWDIVRIRIVQMLSDY -LLDLSDSVVFITWSASFELTCLRYFAKLGRELNCNVCSNRATCYNSRTGYYGCWRHSYTCDYVYNPLIVD -IQQWGYTGSLTSNHDIICNVHKGAHVASADAIMTRCLAIYDCFCKSVNWNLEYPIISNEVSINTSCRLLQ -RVMLKAAMLCNRYNLCYDIGNPKGLACVKDYEFKFYDAFPVAKSVKQLFYVYDVHKDNFKDGLCMFWNCN -VDKYPSNSIVCRFDTRVLNKLNLPGCNGGSLYVNKHAFHTNPFTRTVFENLKPMPFFYYSDTPCVYVDGL -ESKQVDYVPLRSATCITRCNLGGAVCSKHAEEYCNYLESYNIVTTAGFTFWVYKNFDFYNLWNTFTTLQS -LENVIYNLVNVGHYDGRTGELPCAIMNDKVVVKINNVDTVIFKNNTSFPTNIAVELFTKRSIRHHPELKI -LRNLNIDICWKHVLWDYVKDSLFCSSTYGVCKYTDLKFIENLNILFDGRDTGALEAFRKARNGVFISTEK -LSRLSMIKGPQRADLNGVIVDKVGELKVEFWFAMRKDGDDVIFSRTDSLCSSHYWSPQGNLGGNCAGNVI -GNDALTRFTIFTQSRVLSSFEPRSDLERDFIDMDDNLFIAKYGLEDYAFDHIVYGSFNHKVIGGLHLLIG -LFRRKKKSNLLIQEFLQYDSSIHSYFITDQECGSSKSVCTVIDLLLDDFVSIVKSLNLSCVSKVVNINVD -FKDFQFMLWCNDNKIMTFYPKMQATNDWKPGYSMPVLYKYLNVPLERVSLWNYGKPINLPTGCMMNVAKY -TQLCQYLNTTTLAVPVNMRVLHLGAGSDKEVAPGSAVLRQWLPSGSILVDNDLNPFVSDSLVTYFGDCMT -LPFDCHWDLIISDMYDPLTKNIGDYNVSKDGFFTYICHLIRDKLSLGGSVAIKITEFSWNADLYKLMSCF -AFWTVFCTNVNASSSEGFLIGINYLGKSSFEIDGNVMHANYLFWRNSTTWNGGAYSLFDMTKFSLKLAGT -AVVNLRPDQLNDLVYSLIERGKLLVRDTRKEIFVGDSLVNTC - ->YP_009113022.1 ORF1ab polyprotein [Betacoronavirus HKU24] -MAKRVNKYGLTLKWAPEFPWMFEDTEEKLGNPDSGDGDGFCSPVKQEEGSVKDHFDNHVKMDCSILSTYD -MVAQSVLIRDIVSKTGPEDTESVLKQALLSREAVFVRPPQHMTLESCYAGGCVPKGWVMGQFRRCTTCST -GNCGVDKHVSYELYLISPQGVGYGAGRFMGWVVPKSVLPPKALARLEPYVLKVRKTGVKGAYSRGHYEQQ -GAGSSFVLDNACDEVMSAPKGKFSAKAYALLRQYRGLKPLVFVDQYGCDYTGSLAQGLSAYGECSVKEMR -AGMWKTSDQFEYVVAWHTVRDERFVMSLQTCATIRSIAYVADPMDDLEGDQVVVKEPVHVLSADATVKKF -VKIADLMQVTDAVSVIETVYKVPIKDCGFVMQFGHVQCEHDECEFVGWIPGNMIDGFKCPAGKLYAASEV -QAQSSGVIPPNAVLFTESTALTSQDSFKMYGMSVVPFGGCVYWSPGSGIWLPIIMSAVKAYDGMTFTGSI -GFKTIVQETAAICHALYLDYVQYKCADIKQHAILGVDDLWHKQLLFNRGDYSLLLENVDYFIMRRAKFSV -DNSLVCSEGFAPFLLDGVAARAYYINCCLSAPGLPESLCKLGIEVGSWCQSTLCLCIGTVQGATSFVVKN -LKVFLSKFQIFGKKFVKNVKKLVEEWIKKSVCALAWVVLHVINGAYLILEDGFHFVGSVPDYARNFVRIL -REMVNMMLDCMKHTIIKGLPCFKVAAEYIVFSGSFVYKLGLAMIDNLVLPSDMIQSELLALHGDVIPVTL -ESEVVEVVKQPLKPLGYRKPPMKAARLCVVDNLYMAQNGDCLYPVIFDGQRIGLLDQAWRFPCAGKSVSF -AAADDVKIIAAKKKVTVEYDLDVEFNTILNTACNSFEVDGSVDADELAAVIVDTIQDKLESCKTLEGVGA -KVCAFLSRLDEEPIYLFDECGDEKVSSHMFCTFCPPEDDDVVMFECEAEGCDLSEVETPEAVEAEPASQS -DSQYGNVDGNGVGDVKAVDAVETEAVVNSESEQSQALSANKELLCAVFEEDVEATEPVIVTDCISSSVAS -EVEQVEEVFDIATCDDPILQELEQVVNVEYQLHGNVCDYVAAYEEAVSDFWTVQQDEFIHVCGVFVPKTT -RTNCWLRSVLAVMQKIPCVFKDKKLQNLWCVYKNQYSSDFVDALLKKIPDNIIVPEGGYVADFAYWFLTQ -CKWDANSYWRCLKCGIDSEYKGLDAMFFYGDVISQPCKKCGQSMVALKLDAPCTLHFSLIDTTFSAFFSL -KRVYLAACVVDVHNRHSLAVIDYNGLKQVDGTLVTDVSSNKFDFIVGHPIKFAMSTFEIAQLYGTCITPD -VCFVKGDIIDVSKKVFADVVVNPANSHMAHGGGVAKAIARAAGDAFNKETSDMVKLRGPCPVGECYKSTG -GKLCKLVLNVVGPDARAQGKQSYALLERVYKAFNKYECCVTTLVSAGIFSVPSDVSLTYLLGATTKKVVL -VSNNKEDFKLIEGCQTTAVDGTKQLAARLTQQLGRQIKYEIDTIALLDKETTLVSTYDKLQDIYCMQHDI -KLDDDARAYVQSMYQSLPEDWRSVFKFDVCAGVRVVKYFECPGGISICSQGSDFGYVQEGTFVKATVKEV -QQLFKNVVEVLLTVDGFNFKTKSVVCGEAFSKQVGNVFCENVDVTKHIVSKEYAGKVLYQFENLSEAELE -SVKQSFGFDQKQLLAYYSYRSCCRWEVVVHRKWFCFKQADNNCYCNAACLMLQNLNLTFKLQQWQEAWLE -FRAGRPLRFVALVLAKGAFVYGQPADARDFMRVALSQADLSSASCEYEFICKCGVKVEKRDGIDAVMFYG -TCDKQALEKGTTTDCSCGPKTVHVLSMNVPFFIGSQAPAVGALPNGCAAANLYKGGAVGHYTHVKNGKQL -TCFDAHNVKKCTTLVDGVTDCLYTNVPKQTFESCLTTYYLDDVKHVEYKPDLSQYYCEGGRYYTQRIVKA -QFKTFEAVDGVYTNFELVGHALCDTLNVKLGFDSTKDSVQYKVTVWPDATGDVVLADDDLYVKRYKKGCI -TFGKPVIWQSHMEASLASLTYFNRPSLIDKNKFDVLTVEESALEEKDDSQQVGPFIIKKLKNVKKPISVD -GVIIENDDKAEATLVKSLTLDDVIAMWVTGGRYVVWVANWLSKLVCTPTVREYVKRGLQKVKIPVELFSR -RDDKCDVVQKSIKRVVLAPMNALRLFYVLMCSWLKKPSDNKVVYTTEIVSKFSSKLFVKACGIALRNFKW -GMLLRGFFIVATIFLLWFSVIFANVIFSDLYLPNIRFMPTLVGHVIARLKFIFGFWTLCDSIDVSSIGFT -FDNCTSVACQFCLSGFDMIDTYKAIDFVQYHVAGFSGFDYKSFAVLVLELVISYVLYTVWFYPLFGLVCL -QIFTTWFPDMFMITELHWFVRLFLYMANLLPFHVFLRLYIIVVFIFKMFKLFRHVVHGCNKPGCLFCYKR -NKSVRVKCSTVVGGMLRYYDVMANGGINFCNKHQWNCIGCDSYKPGNTFITVEAAAELSKEFKRTINPTD -VTHYVVECVQQVGCKMRLFYEKDGQRVYDDVNASMFVDFNGLLHSKVNGVPKTNVVIVDGDADKANFLNA -VVFYAQSLFRPVLMIDKSLLTTASTGTNVSKTMFDVYVDTLLGMFEVERKSLNNFIQVAHKSLAEGVQLE -KVLNTFIGCARKTCSIDSDVDTRCLADTVMSTVAAGLDMTDESCNNLVPTYIKSDNIVAADLGVLIQNNC -KHVQGNVAKMAGVSCIWHVDVYNQLSSDFQHKLKKACVKTGLKLKLTYNKQAANVSILTMPFSLKGGALV -SNVLFKCFIASLIVFCTLWLFMPSYSVHKSAYELPAYASFKVIDNGVVRDVDVKDVCFANKYAQFDQWYE -STFGLSYYSNHHSCPVVVAVIDKDIGSTVFNVPTKVLRFGYHVLHFITYAFSADGVQCYTPHEQISYSDF -YSSGCVLSSACTMFASDNGASQAYCYTEGLVQNASLYSSLRPHVRYSLANTHGYIRFPEVLREGLVRVIR -TKAMTYCRVGLCESAEEGICFTFNGSWALNNEYYRKMPGTFCGRDFVDIFNQFVVGLAQPIDFFSLTMSS -VVGAVLAIIIVLIFYYLIKLKRAFGDYTAVVVVNVIVWFINFVMLFVFQVYPTFAVIYALCYFYVTLYFP -SEISVIMHLQWLVMYGTIMPIWFCILYVAAVVSNHAFWVFSYFRKLHTGIRSDGTFEEMSLTTFMINKDT -YCKLKNSLSEVAFNKYLSLYNKYRYYSGKMDTAAYREAACSQLAKALDTFNHNNGNDVLYQPPTASVSTS -FLQSGIAKIVCPTSKVEPCIVSVTYGNMTLNGLWLDNKVYCPRHVICAANDMVDPDYEALLCRVTSTDFV -VMFDRMSLTVMSYQMQGAMLILTVTLQNTKTPKYTFGNVKPGETFTVLAAYNGKPQGAFHVTMRSSFTIK -GSFLCGSCGSVGYVLMGDCVKFVYMHQLELSTGCHTGTDFNGNFYGPYRDAQVVQLPIQDYVQSVNFVAW -LYAAILNNCNWFIQSDICSVEDYNVWAMANGFSQFTMDLVIDALASMTGVPVEKCLAAIKRLNNGFQGRQ -ILGSCVFEDELTPSDVYQQLAGVKLQSKRTRVFKGTLCWVLTSTFLFACILSAFVKWTMFMYVTLNVLSV -TIGTLCFVACAMLFIKHKHLYLTMFILPVFLTLLYTNYLVVYKQSFRGYAYAWLSYVVPSVDYTYTYEVL -YGCCAVLFMIFTTMRSINHDLFSIIIFITRVSSVVSMWYMGSNLEIEILLLVVSIFGTYTWTTIISLTVA -KAMAWWLHANIFYLTDIPHVKILLMCYLLVGYIVCCYWGVFSLCNKLFRVPLGVYNYKISVQELRYMNAN -GLRPPRNSFEALILNFKLIGIGGVPIIEVSQIQSKLTDVKCANVVLLNCLQHLHVASNSKLWQYCSTLHN -EILATSDLGVAFDKLAQLLIVLFANPAAVDTKCLTSIEDVCDDYVRDNTVLQALQSEFVNMASFVEYEIA -KKNLDEAKASGSANQQQIKQLEKACNIAKSAYERDRAVARKLERMADLALTNMYKEARINDKKSKVVSAL -QTMLFSMVRKLDNQALNSILDNAVKGCVPLSAIPSLTANSLTIIVPDKTVYDQVVDNVYIAYAGNVWHIQ -SIQDADGTVKQLNEINESVNWPIVVTATRYNEVSTTVMQNNELMPAKLKTQVVSSGPDMNCNVPTQCYYN -NSNNGRIIYAILSDTDGLKYTKIVKEDQSVVVLELDPPCKFTVQDAKGLKVKYLYFVKGCNTLARGWVVG -TISSTVRLQAGHATEYAANSAILSLCAFSVDPKKTYLDYIQQGGIPIANCVKMLCDHAGTGMAITVKPDA -TTNQDSYGGASVCIYCRARIEHPDVDGLCKLRGKFVQVPIGIKDPVSYVLTHDVCQVCGFWRDGSCSCVG -SSATLQSKDTNFLNRVRGASVDARLVPCASGLSTDVQKRAFDICNANVAGIGLHYKVNCCRFQCAEEDGT -LLDQYFVVKRTGLDIYDKEKACYERLKDCSVVAVHDFFTFDVEGSRVPHIVRKYLTKYTMLDLCYALRHF -DRNDCSLLCDILCLYAECEQSYFTKKDWYDFVENPDIINVYKKLGPIFNRALLNTAKFADAMVEAGLVGV -LTLDNQDLNGIWYDFGDFVLAAPGCGVAIADSYYSYMMPMLTMCHALDSELYVNGAYRQFDLVQYDFTDY -KLELFNKYFKYWTMPYHPNTCDCEDDRCIIHCANFNILFSMVLPNTCFGPLVRQIFVDGVPFVVSIGYHY -KELGVVMNMDVDTHRYRLSLKDLLLYAADPALHVASANALYDLRTCCFSVAAIASGVKFQTVKPGNFNQD -FYDFILSKGLLKEGSSVDLRHFFFTQDGNAAITDYNYYKYNLPTMVDIKQLLFCLEVVFKYFEVYDGGCI -PASQVIVNNYDKSAGYPFNKFGKARLYYEALSFEEQDDIYAYTKRNVLPTLTQMNLKYAISAKNRARTVA -GVSILSTMTGRMFHQKCLKSIAATRGVSVVIGTTKFYGGWDDMLCRLIKGVDNPVLMGWDYPKCDRAMPN -ILRILSSLVLARKHDACCSQTDRFYRLANECAQVLSEIVMCGGCFYVKPGGTSSGDATTAFANSVFNICQ -AVSANVCALMSCNGNKIEDLSIRSLQRRLYSHVYRSDYVDPAFVNEFYEFLNKHFSMMILSDDGVVCYNS -EYASKGYIANISAFQQVLYYQNNVFMSESKCWVENDIKNGPHEFCSQHTMLVKMDGDDVYLPYPDPSRIL -GAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVYHENEEYQKVFRVYLEYIKKLYNDLGNQILDSYSVILS -TCDGQKFTDESFYKNMYLRSAVMQSVGACVVCSSQTSLRCGSCIRKPLLCCKCCYDHVMSTDHKYVLSVA -PYVCNAPGCDVNDVTKLYLGGMSYYCEDHKPQYSFKLVMNGMVFGLYKQSCTGSPFIDDFNRIASCKWTD -VDDYILANECTERLKLFAAETQKATEEAFKQSYASATIQEIVSDRELILSWEVGKVRPPLNKNYVFTGYH -FTKNGKTVLGEYVFDKSELTNGVYYRATTTYKLSVGDVFVLTSHSVANLSAPTLVPQEHYTSIKFPSVYS -VPETFQGNVVNYQHIGMKRYCTVQGPPGTGKSHLAIGLAVYYCTARVVYTAASHAAVDALCEKAYKFLNL -NDCTRIIPAKVRVECYDKFKINDTSRKYVFTTINALAEIVTDIVVVDEVSMLTNYELSVINARVRAKHYV -YIGDPAQLPAPRVLLSKGTLEPKYFNTVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYDNKLKAKNDK -SSLCFKVYFKGQTTHESSSAVNMQQIYLISKFLKANPLWQKAVFISPYNSQNFAAKRILGLQTQTVDSAQ -GSEYDYVIYSQTAETAHSVNVNRFNVAITRAKKGIFCVMSNMQLFEALDFMPLTLDKIPSKLQCVTNLFK -DCSKSYVGYHPAHAPSFVAVDEKYKVSGDLAVCLDIADSTISYSRLISLMGFKLDITLDGYCKLFITKEE -AVKRVRAWVGFDSEGAHATRDNIGTNLPLQLGFSTGIDFVVEAVGLLSERDSYKFSKVVARAPPGEQFKH -LIPLMTRGQKWEVVRLRIVQMLSDHLVDLADSVVFVTWAANFELTCLRYFAKIGKETTCNVCTNRATVYN -SRTGYYGCWRHSLSCDYVYNPLIVDIQQWGYTGSLSSNHDMYCSVHKGAHVASSDAIMTRCLAVYDCFCN -NVNWNLEYPIISNELVINSSCRALQRVMLKAAMLCNRYSLCYDIGNPKAIACVKDFDFKFYDAKPLVKAV -KTLVYTYDAHKDSFKDGLCMFWNCNVDKYPSNAVVCRFDTRVLSKLNLPGCNGGSLYVNKHAFHTPPFIR -AAFEYLKPMPFFYYSDTPCVYMEGIDVKQVDYVPLKSATCITRCNLGGAVCLKHAEEYREYLECYNTATT -AGFTFWVYKTFEFYNLWNTFTQLQSLENVVYNLVKTGAFTGEAGEMPCAIRNDKVFAKIEQEDVLVFKNN -TTFPTNVAVELFAKRSVRHHPELKLLRNLNVDVCWKHIIWDYVRESIFCTSTFGVCKYTDIKNISQLNVL -YDGRDNGALEAFRNAQNGVFISTTKIKSLSMIKGPPRAELNGLLVDKVGKEDVSFYFAVRKEGQDVIFSQ -LTSLGVSSNWSPQGNPGGYAKPGNGAGNDALALGNLFTQSRQLETFEPRSEMERDFVQLEDEVFIQKYGL -EDYAFEHIVYGSFNQKIIGGLHLLIGLYRRQQTSKLEIQEFIEYDSSIHSYFITDQKSGSSKSVCTVLDL -LLDDFVALVKSLDLNCVSKVVNVNVDFKDFQFMLWCNSDKVMTFYPRLQAASDWKPGYTMPVLYKYLNSS -MERVDLWNYGKVIPLPTGCMMNVAKYTQLCQYLNTTTLAVPANMRVLHLGAGSDKGVAPGSAVLRQWLPA -GTILIDNDLNPFVSDSVATYFGDCVTLPFDCQWDLIISDMYDPITKNIGEYNVSKDGFFTYICHLIRSKL -ALGGSVAIKITEFSWNAELYSLMGKFAFWTVFCANANASSSEGFLVGINYLGKSKVEIDGNVMHANYLFW -RNSQMWNGGSYSLFDMTKFPLKLAGTAVVNLKADQINDMVYSLIEKGKLLVRDTNKECFTGDSLVNVSNL -N - ->NP_045299.2 ORF1ab polyprotein [Murine hepatitis virus] -MAKMGKYGLGFKWAPEFPWMLPNASEKLGNPERSEEDGFCPSAAQEPKVKGKTLVNHVRVNCSRLPALEC -CVQSAIIRDIFVDEDPQKVEASTMMALQFGSAVLVKPSKRLSIQAWTNLGVLPKTAAMGLFKRVCLCNTR -ECSCDAHVAFHLFTVQPDGVCLGNGRFIGWFVPVTAIPEYAKQWLQPWSILLRKGGNKGSVTSGHFRRAV -TMPVYDFNVEDACEEVHLNPKGKYSCKAYALLKGYRGVKPILFVDQYGCDYTGCLAKGLEDYGDLTLSEM -KELFPVWRDSLDSEVLVAWHVDRDPRAAMRLQTLATVRCIDYVGQPTEDVVDGDVVVREPAHLLAANAIV -KRLPRLVETMLYTDSSVTEFCYKTKLCECGFITQFGYVDCCGDTCDFRGWVAGNMMDGFPCPGCTKNYMP -WELEAQSSGVIPEGGVLFTQSTDTVNRESFKLYGHAVVPFGSAVYWSPCPGMWLPVIWSSVKSYSGLTYT -GVVGCKAIVQETDAICRSLYMDYVQHKCGNLEQRAILGLDDVYHRQLLVNRGDYSLLLENVDLFVKRRAE -FACKFATCGDGLVPLLLDGLVPRSYYLIKSGQAFTSMMVNFSHEVTDMCMDMALLFMHDVKVATKYVKKV -TGKLAVRFKALGVAVVRKITEWFDLAVDIAASAAGWLCYQLVNGLFAVANGVITFVQEVPELVKNFVDKF -KAFFKVLIDSMSVSILSGLTVVKTASNRVCLAGSKVYEVVQKSLSAYVMPVGCSEATCLVGEIEPAVFED -DVVDVVKAPLTYQGCCKPPTSFEKICIVDKLYMAKCGDQFYPVVVDNDTVGVLDQCWRFPCAGKKVEFND -KPKVRKIPSTRKIKITFALDATFDSVLSKACSEFEVDKDVTLDELLDVVLDAVESTLSPCKEHDVIGTKV -CALLDRLAGDYVYLFDEGGDEVIAPRMYCSFSAPDDEDCVAADVVDADENQDDDAEDSAVLVADTQEEDG -VAKGQVEADSEICVAHTGSQEELAEPDAVGSQTPIASAEETEVGEASDREGIAEAKATVCADAVDACPDQ -VEAFEIEKVEDSILDELQTELNAPADKTYEDVLAFDAVCSEALSAFYAVPSDETHFKVCGFYSPAIERTN -CWLRSTLIVMQSLPLEFKDLEMQKLWLSYKAGYDQCFVDKLVKSVPKSIILPQGGYVADFAYFFLSQCSF -KAYANWRCLECDMELKLQGLDAMFFYGDVVSHMCKCGNSMTLLSADIPYTLHFGVRDDKFCAFYTPRKVF -RAACAVDVNDCHSMAVVEGKQIDGKVVTKFIGDKFDFMVGYGMTFSMSPFELAQLYGSCITPNVCFVKGD -VIKVVRLVNAEVIVNPANGRMAHGAGVAGAIAEKAGSAFIKETSDMVKAQGVCQVGECYESAGGKLCKKV -LNIVGPDARGHGKQCYSLLERAYQHINKCDNVVTTLISAGIFSVPTDVSLTYLLGVVTKNVILVSNNQDD -FDVIEKCQVTSVAGTKALSLQLAKNLCRDVKFVTNACSSLFSESCFVSSYDVLQEVEALRHDIQLDDDAR -VFVQANMDCLPTDWRLVNKFDSVDGVRTIKYFECPGGIFVSSQGKKFGYVQNGSFKEASVSQIRALLANK -VDVLCTVDGVNFRSCCVAEGEVFGKTLGSVFCDGINVTKVRCSAIYKGKVFFQYSDLSEADLVAVKDAFG -FDEPQLLKYYTMLGMCKWSVVVCGNYFAFKQSNNNCYINVACLMLQHLSLKFPKWQWQEAWNEFRSGKPL -RFVSLVLAKGSFKFNEPSDSIDFMRVVLREADLSGATCNLEFVCKCGVKQEQRKGVDAVMHFGTLDKGDL -VRGYNIACTCGSKLVHCTQFNVPFLICSNTPEGRKLPDDVVAANIFTGGSVGHYTHVKCKPKYQLYDACN -VNKVSEAKGNFTDCLYLKNLKQTFSSVLTTFYLDDVKCVEYKPDLSQYYCESGKYYTKPIIKAQFRTFEK -VDGVYTNFKLVGHSIAEKLNAKLGFDCNSPFVEYKITEWPTATGDVVLASDDLYVSRYSSGCITFGKPVV -WLGHEEASLKSLTYFNRPSVVCENKFNVLPVDVSEPTDKGPVPAAVLVTGVPGADASAGAGIAKEQKACA -SASVEDQVVTEVRQEPSVSAADVKEVKLNGVKKPVKVEGSVVVNDPTSETKVVKSLSIVDVYDMFLTGCK -YVVWTANELSRLVNSPTVREYVKWGKGKIVTPAKLLLLRDEKQEFVAPKVVKAKAIACYCAVKWFLLYCF -SWIKFNTDNKVIYTTEVASKLTFKLCCLAFKNALQTFNWSVVSRGFFLVATVFLLWFNFLYANVILSDFY -LPNIGPLPTFVGQIVAWFKTTFGVSTICDFYQVTDLGYRSSFCNGSMVCELCFSGFDMLDNYDAINVVQH -VVDRRLSFDYISLFKLVVELVIGYSLYTVCFYPLFVLIGMQLLTTWLPEFFMLETMHWSARLFVFVANML -PAFTLLRFYIVVTAMYKVYCLCRHVMYGCSKPGCLFCYKRNRSVRVKCSTVVGGSLRYYDVMANGGTGFC -TKHQWNCLNCNSWKPGNTFITHEAAADLSKELKRPVNPTDSAYYSVTEVKQVGCSMRLFYERDGQRVYDD -VNASLFVDMNGLLHSKVKGVPETHVVVVENEADKAGFLGAAVFYAQSLYRPMLMVEKKLITTANTGLSVS -RTMFDLYVDSLLNVLDVDRKSLTSFVNAAHNSLKEGVQLEQVMDTFIGCARRKCAIDSDVETKSITKSVM -SAVNAGVDFTDESCNNLVPTYVKSDTIVAADLGVLIQNNAKHVQANVAKAANVACIWSVDAFNQLSADLQ -HRLRKACSKTGLKIKLTYNKQEANVPILTTPFSLKGGAVFSRMLQWLFVANLICFIVLWALMPTYAVHKS -DMQLPLYASFKVIDNGVLRDVSVTDACFANKFNQFDQWYESTFGLAYYRNSKACPVVVAVIDQDIGHTLF -NVPTTVLRYGFHVLHFITHAFATDSVQCYTPHMQIPYDNFYASGCVLSSLCTMLAHADGTPHPYCYTGGV -MHNASLYSSLAPHVRYNLASSNGYIRFPEVVSEGIVRVVRTRSMTYCRVGLCEEAEEGICFNFNRSWVLN -NPYYRAMPGTFCGRNAFDLIHQVLGGLVRPIDFFALTASSVAGAILAIIVVLAFYYLIKLKRAFGDYTSV -VVINVIVWCINFLMLFVFQVYPTLSCLYACFYFYTTLYFPSEISVVMHLQWLVMYGAIMPLWFCIIYVAV -VVSNHALWLFSYCRKIGTEVRSDGTFEEMALTTFMITKESYCKLKNSVSDVAFNRYLSLYNKYRYFSGKM -DTAAYREAACSQLAKAMETFNHNNGNDVLYQPPTASVTTSFLQSGIVKMVSPTSKVEPCIVSVTYGNMTL -NGLWLDDKVYCPRHVICSSADMTDPDYPNLLCRVTSSDFCVMSGRMSLTVMSYQMQGCQLVLTVTLQNPN -TPKYSFGVVKPGETFTVLAAYNGRPQGAFHVTLRSSHTIKGSFLCGSCGSVGYVLTGDSVRFVYMHQLEL -STGCHTGTDFSGNFYGPYRDAQVVQLPVQDYTQTVNVVAWLYAAIFNRCNWFVQSDSCSLEEFNVWAMTN -GFSSIKADLVLDALASMTGVTVEQVLAAIKRLHSGFQGKQILGSCVLEDELTPSDVYQQLAGVKLQSKRT -RVIKGTCCWILASTFLFCSIISAFVKWTMFMYVTTHMLGVTLCALCFVSFAMLLIKHKHLYLTMYIMPVL -CTLFYTNYLVVYKQSFRGLAYAWLSHFVPAVDYTYMDEVLYGVVLLVAMVFVTMRSINHDVFSIMFLVGR -LVSLVSMWYFGANLEEEVLLFLTSLFGTYTWTTMLSLATAKVIAKWLAVNVLYFTDVPQIKLVLLSYLCI -GYVCCCYWGILSLLNSIFRMPLGVYNYKISVQELRYMNANGLRPPRNSFEALMLNFKLLGIGGVPVIEVS -QIQSRLTDVKCANVVLLNCLQHLHIASNSKLWQYCSTLHNEILATSDLSVAFDKLAQLLVVLFANPAAVD -SKCLASIEEVSDDYVRDNTVLQALQSEFVNMASFVEYELAKKNLDEAKASGSANQQQIKQLEKACNIAKS -AYERDRAVARKLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPL -NAIPSLTSNTLTIIVPDKQVFDQVVDNVYVTYAGNVWHIQFIQDADGAVKQLNEIDVNSTWPLVIAANRH -NEVSTVVLQNNELMPQKLRTQVVNSGSDMNCNTPTQCYYNTTGTGKIVYAILSDCDGLKYTKIVKEDGNC -VVLELDPPCKFSVQDVKGLKIKYLYFVKGCNTLARGWVVGTLSSTVRLQAGTATEYASNSAILSLCAFSV -DPKKTYLDYIKQGGVPVTNCVKMLCDHAGTGMAITIKPEATTNQDSYGGASVCIYCRSRVEHPDVDGLCK -LRGKFVQVPLGIKDPVSYVLTHDVCQVCGFWRDGSCSCVGTGSQFQSKDTNFLNGFGVQVVNARLVPCAS -GLDTDVQLRAFDICNANRAGIGLYYKVNCCRFQRVDEDGNKLDKFFVVKRTNLEVYNKEKECYELTKECG -VVAEHEFFTFDVEGSRVPHIVRKDLSKFTMLDLCYALRHFDRNDCSTLKEILLTYAECEESYFQKKDWYD -FVENPDIINVYKKLGPIFNRALLNTAKFADALVEAGLVGVLTLDNQDLYGQWYDFGDFVKTVPGCGVAVA -DSYYSYMMPMLTMCHALDSELFVNGTYREFDLVQYDFTDFKLELFTKYFKHWSMTYHPNTCECEDDRCII -HCANFNILFSMVLPKTCFGPLVRQIFVDGVPFVVSIGYHYKELGVVMNMDVDTHRYRLSLKDLLLYAADP -ALHVASASALLDLRTCCFSVAAITSGVKFQTVKPGNFNQDFYEFILSKGLLKEGSSVDLKHFFFTQDGNA -AITDYNYYKYNLPTMVDIKQLLFVLEVVNKYFEIYEGGCIPATQVIVNNYDKSAGYPFNKFGKARLYYEA -LSFEEQDEIYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVV -IGTTKFYGGWDDMLRRLIKDVDSPVLMGWDYPKCDRAMPNILRIVSSLVLARKHDSCCSHTDRFYRLANE -CAQVLSEIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVSANVCSLMACNGHKIEDLSIRELQKRLY -SNVYRADHVDPAFVSEYYEFLNKHFSMMILSDDGVVCYNSEFASKGYIANISAFQQVLYYQNNVFMSEAK -CWVETDIEKGPHEFCSQHTMLVKMDGDEVYLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYP -LVYHENPEYQNVFRVYLEYIKKLYNDLGNQILDSYSVILSTCDGQKFTDETFYKNMYLRSAVLQSVGACV -VCSSQTSLRCGSCIRKPLLCCKCAYDHVMSTDHKYVLSVSPYVCNSPGCDVNDVTKLYLGGMSYYCEDHK -PQYSFKLVMNGMVFGLYKQSCTGSPYIEDFNKIASCKWTEVDDYVLANECTERLKLFAAETQKATEEAFK -QCYASATIREIVSDRELILSWEIGKVRPPLNKNYVFTGYHFTNNGKTVLGEYVFDKSELTNGVYYRATTT -YKLSVGDVFILTSHAVSSLSAPTLVPQENYTSIRFASVYSVPETFQNNVPNYQHIGMKRYCTVQGPPGTG -KSHLAIGLAVYYCTARVVYTAASHAAVDALCEKAHKFLNINDCTRIVPAKVRVDCYDKFKVNDTTRKYVF -TTINALPELVTDIIVVDEVSMLTNYELSVINSRVSAKHYVYIGDPAQLPAPRVLLNKGTLEPRYFNSVTK -LMCCLGPDIFLGTCYRCPKEIVDTVSALVYNNKLKAKNDNSSMCFKVYYKGQTTHESSSAVNMQQIHLIS -KFLKANPSWSNAVFISPYNSQNYVAKRVLGLQTQTVDSAQGSEYDFVIYSQTAETAHSVNVNRFNVAITR -AKKGILCVMSSMQLFESLNFTTLTLDKINNPRLQCTTNLFKDCSRSYVGYHPAHAPSFLAVDDKYKVGGD -LAVCLNVADSAVTYSRLISLMGFKLDLTLDGYCKLFITRDEAIKRVRAWVGFDAEGAHAIRDSIGTNFPL -QLGFSTGIDFVVEATGMFAERDGYVFKKAAARAPPGEQFKHLIPLMSRGQKWDVVRIRIVQMLSDHLADL -ADSVVLVTWAASFELTCLRYFAKVGREVVCSVCTKRATCFNSRTGYYGCWRHSYSCDYLYNPLIVDIQQW -GYTGSLTSNHDPICSVHKGAHVASSDAIMTRCLAVHDCFCKSVNWNLEYPIISNEVSVNTSCRLLQRVMF -RAAMLCNRYDVCYDIGNPKGLACVKGYDFKFYDASPVVKSVKQFVYKYEAHKDQFLDGLCMFWNCNVDKY -PANAVVCRFDTRVLNKLNLPGCNGGSLYVNKHAFHTSPFTRAAFENLKPMPFFYYSDTPCVYMEGMESKQ -VDYVPLRSATCITRCNLGGAVCLKHAEEYREYLESYNTATTAGFTFWVYKTFDFYNLWNTFTRLQSLENV -VYNLVNAGHFDGRAGELPCAVIGEKVIAKIQNEDVVVFKNNTPFPTNVAVELFAKRSIRPHPELKLFRNL -NIDVCWSHVLWDYAKDSVFCSSTYKVCKYTDLQCIESLNVLFDGRDNGALEAFKKCRNGVYINTTKIKSL -SMIKGPQRADLNGVVVEKVGDSDVEFWFAVRKDGDDVIFSRTGSLEPSHYRSPQGNPGGNRVGDLSGNEA -LARGTIFTQSRLLSSFTPRSEMEKDFMDLDDDVFIAKYSLQDYAFEHVVYGSFNQKIIGGLHLLIGLARR -QQKSNLVIQEFVTYDSSIHSYFITDENSGSSKSVCTVIDLLLDDFVDIVKSLNLKCVSKVVNVNVDFKDF -QFMLWCNEEKVMTFYPRLQAAADWKPGYVMPVLYKYLESPLERVNLWNYGKPITLPTGCMMNVAKYTQLC -QYLSTTTLAVPANMRVLHLGAGSDKGVAPGSAVLRQWLPAGSILVDNDVNPFVSDSVASYYGNCITLPFD -CQWDLIISDMYDPLTKNIGEYNVSKDGFFTYLCHLIRDKLALGGSVAIKITEFSWNAELYSLMGKFAFWT -IFCTNVNASSSEGFLIGINWLNKTRTEIDGKTMHANYLFWRNSTMWNGGAYSLFDMSKFPLKAAGTAVVS -LKPDQINDLVLSLIEKGKLLVRDTRKEVFVGDSLVNVK - ->YP_005454239.1 ORF1ab polyprotein [Rabbit coronavirus HKU14] -MPKINKYGLELQWAPEFPWMFEDTEEKLDYPSSSEVGMVCPTTAQKLGSSGIFLKNHVMVDCRRLVKYEC -CVQSNLIREINMNTGPDAMDAVIQEALQSRSAVLVTPPQRMSLDMYYKLGCCPKGWTMGLFRRHCQCRTG -NCNVETHVANQLYMIDPEGVCLGAGTFIGWFVPLFALPECVRDKTFPWVLYLRKHGEKGAHSKGHGDTFY -VDYDFDVEDAYEEVHDNPKGKYSKKAYALLRGYRGVKPLLYVDQYGCDYTGNLAEGLEAYAEFSLQEMKE -LFPIWSLSLPYDVVVAWHVVRDPQFVMKLQSLATIRSIEYVAEPTEDLVDGTVVIKEPVHTLAPDAIVLK -LPKLIDLMQHTDSTVVESIYKTKLKHCGFVMQFGYVECSQDDCTFTGWVPGNMIDGFACTSCAFVYGTVD -LLAQSSGVMPQNPVLFTKGQAITNGDSFKLYGNSVIPFGGCLYWSPTPGVWLPLIKSSVKAYDGMVYTGV -VGCKTIVKETEAVCKALYLDYVQYKCSDLKQREGLGLADVWHKQLLINRGDYQPLLDNVDYFSMRRARFS -METATVCSEGFMPFLLDGLVPRTYYLVKSGQAFCDMLCEFGQEVADLSKELLVVTLDSVTSALQFLTLNV -GRLTECLKGFGIKFVNKLIQYFKTATRCTALAFAWVLLHVLRGAYIVVESDIYFIMSIPDYARVVVRTFQ -NVFKMALDCVKVSFLKGLSAFKIGREKICFVGSKFYKVERGNLNDLVRRDLVVPSVTQRAKNQQPVYLTG -NCAPVNVDDDVVEVVTNPVTSCGYQKPPQKCDKICIVDNVYMAKCGEKFFPVVVNEDYIGLLDQAWRFPC -AGKKISFVEEPSVKEIVTKKTVKVCFELDANFNTILDTACSVFEVDNTVDMEEFAAVVADAIEEKLTPCK -ELDGVGIKVSAFLQKLEDNNLHFFDEAGDCVLASKLYCTFSAPIDEDFGESEYEEGDVDVDETDSIVTST -SQEVCAGSGIPCGTDCNSIANGQEEASEVFEIAEVEDSILEELQVSIQAHDEVDVVSADSDSVLVHDYID -GVNYDTFYCDTVFDFYVAHKEPDFVKVLGVYVPKATRNNCWLRSVLAVFQKLPCTFKDKNLQSLWLSYKQ -QFDQLFVDTIMQKIPANIVVPQGGYVADFAYWLLTLCDWNAASHWRCLKCDLALNLQGLDAIFFYGDIVS -HVCKCGESMVLLKVDVPFTAHFAVKDKQFCSFTTQRRIFKAACVIDKNDRHSMAVIDGKQIDDKLVTDIN -SDKFDFIIGHEMAFSMSSFEIAQLYGCCITPNVCFVKGDVIRIAQLVYADVVVNPANGHMAHGGGVAKAI -ANAAGQSFIKETANMVKSKGVCATGDCYVSSGGKLCKTVLNVVGPDARAQGKQCYALLEKTYKHLNKYDC -SLTTLISAGIFSVPSDVSLTYLLGVVEKQVILVSNNKEDFDLISKCQLTAVEGTRKFAERLSFNVGRTIK -YETDANKLLISNDVVFVSTFNVLQDVNTLRHDIKLDDDARVFVQSNMENLPTDWRIVNKFDQINGVRTVK -YFECPGGIDICSQGKDFGYIQQGSFYKATVSQIKALFVDKIDVLLTVDGVNFTTRYVPLGEVFGKTLGNV -FCDAINVTKCKAEQKYKGKVFFQFDNLSNADLKAVKSSFNFDQKELLAYYNVLVSCGKWQIVVNGKYFTF -KQANNNCFVNAACLMLQNVNLKFVSMQWQEAWLEFRAGKPLRFVALVYAKGAFKFGDPADSRDFIRVVLS -QTDLAEAACDYEFVCKCGVKQEQRTGIDAVMHFGTLSREDLEKGYTIDCSCGDKLIHCTRINVPFLICSN -TPKDSVVPKGVTCANVFIGGNVGHYTHLRCDSSYQLFDASTVKKITTVNGKITDCLYLKNLKQTFRSVLT -TYYLDDVKKVEYNPDLTQYYCEGGKYYTQRIIKAQFRTFEKVDGVYTNFKLVGHTICDSLNAKLGFDANK -HFEEFKVTEWPIATGDVVLVTDDMYVKRYEKGCITFGKPVIWYNHDQASLNSLTYFNRPSLVDVNKFDVL -KVDDVVAEVESCSSDLSHGSLSGSVSTGSSYLSPQGNQGSNVEAHTTVLASGNQGSNAINGSANSNKIVK -LNGVKKPFKVENSVVVNDSTSETKFVKSLSIVDVYDMWLTGSRYVVKTANALSAAVNVPTIKKFIKFGMT -LVSIPIDLLNLREIKPVFGAAKVVRDRVSDCYRFIKWLFVLLFGWIKISSYNRVVYTTEIASKLTCKLVA -LAVKNALLTFKWSMVVRGFFLIATIFLLWFNFIYANVIFSDFYLPKIGFLPTFVGSVVQWLKTTFGFYTL -CDFYDTASIGFKNQYCNGSLACQLCVSGFDMLDNYKAIDVVQYEADRRSVVDYTGMIKLIIELVVSYALY -TVWFYPLFGLICLQMLTTWLPEFFMVSSLHWFLRILVSVANLLPAHVFLRFYITVTFIFKIFSLFRHVIH -GCNKAGCLFCYKRNRSVRVKCSTVVGGMIRYYDVMANGGTGFCSKHQWNCINCDSYKPGNTFITVEAAAE -LSKELRRPVVPTDVAYHTVTDVKQVGCSMRLFYDRDGQRVYDDVNASLFVDYNNLLHSKVKSVPNLHVVV -VENDADKANFLNAAVFYAQSLFRPVLMVDKSLITTANTGTSVSQTMFDVYVDTLLSMFDVDRKSLTSFIN -TAHSSIKEGVQLDKVLNTFISCARKSCSIDSDVDTKCVADSVMSAVAAGIELTDESYNNLVPTYIKSDNI -VAADLGVLIQNSSKHVQGNVAKIAGVSCIWSVDAFNQLSSDFQHKLKKACCKTGLKLKLTYNKQSSNVSV -LTTPFSLKGGAVFSYFVYSCFVVSLICFIGLWCLMPTYSVHKSDFELPIYASYKVLDNGVIRDVSVNDVC -FANKFEQFDAWYESTFGLTYYSNSMACPIVVAVIDQDIGSTVFNVPTKVLRYGFHVLHFITHALSTDSVQ -CYTPHYQIPYSNFYDSGCVLSSACTMFAMSDGKPQPFCYTDGLMNNASLYSSLAPHVRYNLANVKGYIRF -PEVLREGLVRIVRTRSMTYCRVGLCEVSDEGICFNFNGSWVLNNDYYRSLPGTFCGRDVFDLVYQFLSGL -SQPVDFFALTASSIAGAILAIIVVLVFYYLIKLKRAFGDYTSVVVVNVIVWFVNFLMLFVFQVYPTLSCI -YAAFYFYITLYFPSEISVIMHLQWVVMYGSIMPLWFSLLYIAIVISNHAFWVFSYCRKLGTGVRSDGTFE -EMALTTFMITKDSYCKLKNSLSDVAFNRYLGLYNKYRYYSGKMDTAAYREAACSQLAKAMDTFTNNNGSD -VLYQPPTASVSTSFLQSGIVKMVSPTSKVEPCVVSVTYGNMTLNGLWLDDKVYCPRHVICSASDMTNPDY -PNLLCRVTSSDFTIMSDRMSLTVMSYQMQGCMLVLTVTLQNPRTPKYTFGVVKPGETFTVLAAYNGRPQG -AFHVTMRSSFTIKGSFLCGSCGSVGYVLMGDCVKFVYMHQLELSTGCHTGTDFNGDFYGPYKDAQVVQLP -VQDYVQSVNFVAWLYAAILNNCNWFVQSDRCSIEDYNVWAMSNGFSQIKSDLVVDALASMTGVSLENLLA -AIKRLHKGFQGRQIMGSCAFEDELTPSDVYQQLAGVKLQSKRSRVIKGTICWVIASTFLFSCIITAFVKW -TMFMYVTTHMLSVTVLALCCVSFTMLLVKHKHLYLTMYIIPVLLTLLYNNYLVVYKHSFRGYVYAWLSHF -MPSVDYTYTDEVIYSIVLLFGMIFITMRSINHDVFSVIMFAGRVISTVSMWYIGSNLEEEVLLLLVSAFG -TYTWTTVLSLAVSKIIAKWISVNLLYFTDIPLIKLVLLSYLFVGYVVSCYWGLFSLMNKLFRMPLGVYNY -KISVQELRYMNANGLRPPRNSFEALMLNFKLLGIGGVPIIEVSQIQSKLTDVKCANVVLLNCLQHLHVAS -NSKLWQYCSTLHNEILATSDLSTAFEKLAQLLIVLFANPAAVDSKCLSSIEEVCDDYAKDNTVLQALQSE -FVNMASFVEYEVAKKNLDEARSSGSANQQQLKQLEKACNIAKSAYERDRAVARKLERMADLALTNMYKEA -RINDKKSKVVSALQTMLFSMVRKLDTQALNSILDNAVKGCVPLNAIPLLTANTLTIIVPDKQVFDQVVDN -VYVAYAGNVWHIQSVQDADGTNKQLNEISEDSNWPLVIVANRHNEVSQAVLQNNELMPAKLRTQIVNSGP -DMNCNTPTQCYYNNSNTGRIIYAILSDVDGLKYTKIVKEDGNCVVLELDPPCKFTVQDAKGLKVKYLYFV -KGCNTLARGWVVGTISSTVRLQAGTATEYASNSSILSLCAFSVDPKKTYLDFIQQGGAPISNCVKMLCDH -AGTGMAITVKPEATTSQDSYGGASVCIYCRARIEHPDVDGLCKLRGKFVQVPVGIKDPVSYILTHDVCQV -CGFWRDGSCSCVSTGAFVQSKDTNFLNRVRGASVDARLVPCATGLSTDVQLRAFDICNASVAGIGLHLKV -NCCRFQRLDESGNKMDRFFVVKRTDLVTYNREMECYERVKGCRVVAEHDFFTFAVEGSRVPHIVRKDLTK -YTMLDLCYALRHFDRNDCSLLCDILSMYAGCEQSYFTQKDWYDFVENPDIINVYKKLGPIFNRALVNTAE -FADALVEAGLVGVLTLDNQDLNGMWYDFGDYVVTAPGCGVAVADSYYSYMMPMLTMCHALDCELYVNNTY -RQFDLVQYDFTDYKLELFNKYFKHWSMPYHPNTIDCQDDRCIIHCANFNILFSMVLPNTCFGPLVRQIFV -DGVPFVVSIGYHYKELGVVMNMDVDTHRYRLSLKDLLLYAADPALHVASATALYDLRTCCFSVAAIASGV -KFQTVKPGNFNQDFYDFILSKGLLKEGSSVDLKHFFFTQDGNAAITDYNYYKYNLPTMVDIKQLLFVLEV -VYKYFEIYDGGCIPASQVIVNNYDKSAGYPFNKFGKARLYYEALSFDEQDDIYAYTKRNVLPTLTQMNLK -YAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVVIGTTKFYGGWDDMLRRLIKDVDNPVLM -GWDYPKCDRAMPNILRIVSSLVLARKHDACCTQSDRFYRLANECAQVLSEIVMCGGCYYVKPGGTSSGDA -TTAFANSVFNICQAVSANVCSLMACNGNKIEDLSIRALQKRLYSHVYRSDTVDPTFVTEYYEFLNKHFSM -MILSDDGVVCYNSDYASKGYIANISAFQQVLYYQNNVFMSEAKCWVENDINHGPHEFCSQHTMLVKMDGD -DVYLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVYHENEEYQKVFRVYLEYIKKLYNDL -GNQILDSYSVILSTCDGQKFTDESFYKNMYLRSAVMQSVGACVVCSSQTSLRCGSCIRKPLLCCKCCYDH -VMATDHKYVLSVSPYVCNSPGCDVNDVTKLYLGGMSYYCEDHKPQYSFKLVMNGMVFGLYKQSCTGSPYI -DDFNRIASCKWTDVDDYILANECTERLKLFAAETQKATEEAFKQSYASATIQEIVSDRELILSWETGKVK -PPLNKNYVFTGYHFTKNGKTVLGEYIFDKSELTNGVYYRATTTYKLSVGDVFVLTSHSVANLSAPTLVPQ -ENYSSIRFASVYSVLETFQSNVVNYQHIGMKRYCTVQGPPGTGKSHLAIGLAVYYCTARVVYTAASHAAV -DALCEKAYKFLNINDCTRIVPAKVRVDCYDKFKINDTSRKYVFTTINALPEMVTDIVVVDEVSMLTNYEL -SVINARIRAKHYVYIGDPAQLPAPRVLLSKGSLEPKYFNTVTKLMCCLGPDIFLGTCYRCPKEIVDTVSA -LVYDNKLKAKNENSSLCFKVYFKGVTTHESSSAVNMQQIYLISKFLKANPLWHNAVFISPYNSQNFAAKR -VLGLQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRFNVAITRAKKGILCVMCNMQLFEALQFTALTLDK -VPSKLQCTTNLFKDCSKSYIGYHPAHAPSFLAVDEKYKVNGDLAVCLGVGDSSVTYSRLISLMGFKLDLT -LEGYCKLFITKEEAVKRVRAWVGFDAEGAHATRDNIGTNFPLQLGFSTGIDFVVEATGLFAERDGYSFRK -AVAKAPPGEQFKHLIPLMSQGQRWDVVRPRIVQMFSDHLVDLADSVVLVTWAASFELTCLRYFAKIGKET -CCNVCTNRATVYNSRTGYYGCWRHSVSCDYLYNPLIVDIQQWGYVGSLSSNHDMYCSIHKGAHVASSDAI -MTRCLAVYDCFCNNINWNVEYPIISNELSINSSCRTLQRVMLKAAMLCNRYSLCYDIGNPKAIACIKGYD -FKFYDAQPIVKSVKTLFYSYEAHKDSFKDGLCMFWNCNVDKYPSNAVVCRFDTRVLNNLNLPGCNGGSLY -VNKHAFHTNPFSRAAFEYLKPMPFFYYSDTPCVYMDGMDNKQVDYVPLKAATCITKCNLGGAVCLKHAEE -YREYLECYNTATTAGFTFWVYKTFDFYNLWNTFTKLQSLENVVYNLVKTGHYTGQTGEMPCAIINDKVVA -KIEQEDVVIFTNNTTYPTNIAVELFAKRSVRHHPELKLLRNLNIDVCWKHVIWDYVRQSIYCSNTYGVCT -YTDLKFIDKLNVLFDGRDNGALEAFKRCENGVYISTTKIKSLQMIKGPPRAELNGVVVDKVGDTDVVFYF -AMRKDGQDVIFSHIDSLGVSPYWSPQGNPGGNGKPGNVGGNDALAQVTIFTQSRVISSFECRSDMEKDFI -ALDEEMFIQKYGLEDYAFDHIVYGSFNQKIIGGLHLLIGLFRRHQKSNLVVQEFVSYDSSIHSYFITDDK -SGSSKSVCTVVDILLDDFVALVKSLNLNCVSKVVNVNVDFKDFQFMLWCNEEKVMTFYPRLQAASDWKPG -YSMPVLYKYLTSPMERVNLWNYGKPITLPTGCMMNVAKYTQLCQYLNTTTLAVPVNMRVLHLGAGSEKGV -APGSAVLRQWLPAGTILIDNDLYPFVSDSVATYFGDCITLPFECQWDLIISDMYDPITKNIGEYNVSKDG -FFTYICHMIRDKLALGGSVAIKITEFSWNAELYKLMGYFAFWTVFCTNANASSSEGFLIGINYLGKPKVD -IDGNVMHANYLFWRNSTVWNGGAYSLFDMAKFPLKLAGTAVINLKPDQINDMVYSLLEKGKLLIRDTNKE -VFVGDSLVNVI - ->YP_009755832.1 ORF1ab polyprotein [Rodent coronavirus] -MPKVNKYGLELHWAPEFPWMFEDTEEKLGDPCSSEVGMVCPTTEQKLGTSEIFSKNHYMADCRRLTTRDC -AAPLALFSDMVITTGPDDMVSVIEEAFKSQKAVFVVPPRRMSLEECYKVGCAPRGWSMGMFSRKPECYTG -VCGKGHKHVTNELFVIDPEGVCFGAGIFLGWVVTVDVIPKRALYKLEPWVLYLRKRGYKGAHVASHVDWF -LHGSEVYDPYYYGAMDIVQDDPKGKFSSKAYAMLRGYRGLKPILYVDQYGCDYTGSLAEGLTDYADFSLA -EMKELFPVWSQSLDYEVVVAWHTVRDARFVMKLQTCATIRSIEYVGEPMDDIQGSEILVKEPVHVLSADA -IVSKLPKLIDLMQQTDAVSVVESIYKAKLAECGFVMQFGYVECCQDDCTFRGWLAGNMIDGFACTSCDHV -YDVAALQAQSSGVLPDKPVLYTQSTALSSADGFKLYGMTVVPFGGCLYWTPGAGVWFPIIKSAVKAYDNM -TFTGVVGCKAIVKETGAVCKALYLDYVAFKCSDLKQRELLGVHDVWHKQLLLNRGDYSLLLENVDYFMMR -RAKFSLETGTVCAEGFMPFLLDNVAARAYYLNKLIRVGHKFGDLSAKFGHKVVSLSRRILVLSIDSVQAA -ASYITLHLKDFLSALVVYGGDFSKKLLSYVKAFTTKTAIAVAWLVFHVVHGAYIVVESSIYFVVSTPDYA -RTVVESFQKLFRMTLDCVRESLLKGLSAYRIGVDKFCFVGNQTYRVCKGMLQGLVMPSLWTHGSKAVFLE -GPAEPVRVKDSVVDVLKEPLTSCGFRKTPSVAEKICIVDNVYMAKCGDYYHPIVVDGDYFGLLDQAWRFP -CAGKSVTFDNQDSVKEFVAKKAVTVHYELDSDFNKILDTACATFEVDQNVDMEEFTTVVIAAIEEKLSPC -RDMEQIGSKVCAFLQKLEDNDIYLFDEEGDCKLSSKLYCTFSAPIDEDFVCSEEDEDASSECDVEEETSV -DEAQAIITAPLSLSIQDEQSVCVASGENETDEPLLSEQPDQIVQELVIVAQNSDGPVEADSIELADNVDL -VSMTVEQDVVVPQESVYVEVGGLFAPKADRTNCWLRSVLLVMQKLPCVFKDAAMQAMWIAYCKSYSQDFV -DALLKNMPANIRVPQGGYVADFAYWFLTQCEWKTCAFWRCLKCDTGRSLSGLDAMFFYGDCIAHRCKCGS -YMVALAMHVPFTVHCAMKDKLFCTFVSQRRNYRAACVVDVNNRHSMAVVDICGLKQIDDRLITAVDSDKF -DFMIGDSHSFGMTPFEIAQLYGSCITPNVCFIKGDVIKVTQLLGAEYLVNPANGHMRHGGGVAKAIAQAA -GAEFVNETANMVKDKGVCQVGQCYVSTGGKLCKKVLNVVGPDARSTGKQSYALLERAYAHLNGYDECVTT -LISAGIFSVPSDVSLTYLLGSVDKRVVLVSNRQEDVDIITKCTLTSVDGTKKLAERLSKNVGRPILYDTN -VESLVFKSDPFVSTFEVLQTVQSLRHDIKIDDDARAYIQAVYDAPPMGWRVVNKYIQVGGVRTINYVECP -GNTLLCSQGNDFGYVQNGSFKKATVAQVKSLFLDRIEILVTVDGVNFSTKYVVPGVTFGKQIGSAFCDFV -NVTRCKVDSSYAGKVCFVYDQLTAADKQAVRQAFNFDEKQLLTYYNFLSCCKWEICFKGKFFALKQADNN -CYVNVACLMLQHLNLKFGTTQWQEAWLEFRAGKPLRFIALVFAAGGFKFGEPSDARDFIRFALTQADLAS -AKCDYEFVCQCGVKQEQRIGVDAVMHFGTLDKSDLENAYTIQCSCGNKAIHCTAIDVPFLICSNTPGCVD -KSIPAGAKAVNVFAGGHYTHIKCDSKLQLFDAINVKDVQAVSGDITDCLYVLPRGIRQTFKSVLTTYYLD -DVKHIEYKPDLSQYYCEGGRYYTQRIVKAQFKTFEKVDGVYTNFRLVGNAICASLNKKLGFDASKDFEEY -KVTEWPVATGDVVLASDDLYVKRYEKGCITFGKPVIWRGHEKASLASLTYFNKPSLVIENRYDCLKVDDP -VVEDGVISPVQNTTEVIKLNGVKKPFKVEDKLVINDASSTTKYVNSLTIVDVIDMWLTGCRYVVKIANAL -SVVVNVPTIKKFVKFGIGVITIPFKLLGLREQKTKFLDDVKRVVRRPFNFLKWFFCPFIQLLPFVSVNSN -VIYTTEVASKLTCKLLASAIKHALMSFKWRVAIRGCFMIATVFLLWFNFIYANVIFSDFYLPRIGFLPTF -YGKIAQWVKQTFGLYTFCDAYNITIQDIGVKYQHCNDSLACKLCFSGFDMLDNYNAIDIIQYEADRTSFF -DYTSIIKIGVELIVSYALYTMWFYPLFCLICLQILTTWLPEFFMLNSLHWFFRLLVYLANLFPAHVFLRF -YIVVTAIYKIVCLFRHIVHGCCKPGCLFCYKRNRSVRVKCSTIVGGMLRYYDVMANGGTGFCNKHQWNCI -GCDSYKPGNTFITVEAAAELSKEFRRPVHATDVAYHTVTDVKQVGCNMRLFYERDGQRLYDDVNASLFVD -YNNLLHSRVKSVPNMHVVVVENEADKANFLSAAVFYAQSLFRPILMVDKNLVTTANTGTSVSKTMFDVYV -DTFLSMFDVDRKSLNNFIAAAHKSILEGVQLEDVLAKFISCVRSDSCSIDSDVDTNVVAKSVISAVSAGI -EITDDSYNNLVPTYIKTDTISAADLGVLIQHNCKHVQGNVAKMASVACVWSFDAYNQLSSDFQHKLKKAC -CKTGLKLKVTYNKQFANVSILTTPFSLKGGNVVNFLYWIFKQSLCFLWKSTCFVYRHSSKAIYVAFVVNV -ILFVVLWGLMPTYTIHKTNFELPVYASFKVIDNGVVRDVSVHDVCFANKFEQFDVWYEATFGLTYYRNSM -SCPIVVAVTDQDIGYNVYNVPTKVYRYGYHVLHFITHAFSNDGIQCYTPHYQISYNNFYDSGCVLSSACT -MFATVDGVNQPYCYTEGLIANASLYSSLVPHVRYNLANSKGYIRFPDVLREGLVRVVRTKSMMYCRIGLC -EASDEGICFNFNGSWVLNNEYYRNLPGTFCGKDVFELFTQFMSGLTQPVDFFALTASSIAGAILAIIVVL -VFYYLIKLKRAFGDYTSIVVVNVIVWFVNFLMLFVFQVYPTLAIFYAAFYFYITLYFPSEISVVMHMQWL -VMYGTIMPLWFCLLYIAIVISNHAFWVFSYCRKVGTGMRSDGTFEETSLTTFMITKDSYCRLRNSLSDVA -FNKYLSLYNKYRYYSGKMDTAAYREAACAHLAKAMDTFTQNNGSDVLYQPPTASVSTSFLQSGIVKMVNP -TSKVEPCMVSVTYGSMTLNGLWLDDKVYCPRHVICSQSDLTNPDYTQLLCRVTSSDFTVMFDRMSLTVMS -YQMQGCMLVLTVTLQNPKTPKYTFGVVKPGETFTVLATYNGKPQGAFHVTMRSSFTIKGSFLCGSCGSVG -YVMMGDCVKFVYMHQLELSTGCHTGTDFNGDFYGPYKDAQVVQLPIQDYVQTVNFVAWLYAAILNNCNWF -VQSDTCCIEDFNMWAMSNGFSQFVPDLVIDALASMTGVTVEKLLAAIKRLHNGFHGRQIMGSCSFEDELT -PTDVYQQLAGVKLQSKRTRFIKGTVCWILTSTVLMSCIISAFVKWTMFMYVTTHMLSITISALCCISFAM -LFVKHKHFYLTMYIIPVFLTLLYNNYLFVYKQSVRGYVYAWLTHLIPSVDYTYTDEVIYASLVLILMVFT -TWRSINHDCFSVVILISRIVSLFTMWYLGSSLDKELLILITSMFGTYTWTTTLSLIFAEGLGSWLTKDVL -FFTDIPKIKLTLISYLLIGYFLSCYWGVLSLCNSIFKIPLGVYNYKISVQELRYMNANGLRPPRNSFEAM -ILNFKLMGIGGVPMIEVSQIQSKLTDVKCANVVLLNCLQHLHVASNSKLWQYCSTLHNEILATSDLNVAF -EKLAQLLIVLFANPAAADSKVLASIEDVCDDYARDNTVLQALQSEFVNMASFVEYEVAKKNLDEAKASGT -ANQQQIKQLEKACNIAKSAYERDRAVARKLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMVRKLD -NQALNSILDNAVKGCVPLNAIPSLTANTLTIIVPDKQVYDQVVDNVYVAYAGNVWHIQNIQDADGANKQL -NEINEQVTWPLVITANRHNEVASAVMQNNELMPARLRTQVVNSGPDMNCNTPTQCYYNNTNTGRVIYAIL -SDVDGLKYTKIVKEDQTVVVLELDPPCKFTVQDVKGVKVKYLYFVKGCNTLARGWVVGTISSTVRLQAGT -PTEYASNSSVLSLCAFSVDPKKTYLDFVQQGGVPLTNCVKMLCDHAGTGMAITVKPEATTNQDSYGGASV -CIYCRARVEHPDVDGLCKLRGKFVQVPVGIKDPVSYILTHDVCQVCGFWRDGSCSCVNTGSTVQSKDSNF -LNRVRGTSVDARLVPCSSGLTTDVQLRAFDIYNASIAGIGQFLKVNCCRFQRVDDDGAMLDLFYVVKRAS -LEIYNREKECYELIKECGSVAVHDFFTFDVEGSRVPHIVRKDLTKYTMLDLCYALRHFDRNDCSTLKEIL -SLYADCDESYFTKKDWYDFVENPDIIKVYKKLGPIFNRALVNTNKFADAMVEAGLVGVLTLDNQDMNGLW -YDFGDFVITAPGCGVAVADSYYSYMMPMLTMCHALDCELYVNNSYKQFDLVQYDFTDYKIELFEKYFKHW -SMPYHPNTIECENDRCVIHCANFNILFSMVLPQTCFGPLVRQIHVDGVPFVVSIGYHYKELGIVLNMDVE -THRFRLSLKDLLLYAADPAMHVSSANALYDLRTCCFSVAAIASGVRFQTVKPGNFNQDFYDFILSKGLLK -EGSTVDLKHFFFTQDGNAAITDYNYYKYNLPTMVDIKQLLFVLEVVYKYFEIYDGGCIPASQVVVNNYDK -SAGYPFNKFGKARLYYEALSLEEQDEIYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRM -FHQKCLKSIAATRGVPVVIGTTKFYGGWDDMLRRLTKGVDNPVLMGWDYPKCDRAMPNILRIVSSLVLAR -KHDACCSQSDRFYRLANECAQVLSEIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVSANVCSLIAC -NGNKIEDLSIRDLQKRLYSNVYRSDTVDDKFVTEYYEFLNKHFSMMILSDDGVVCYNSDYASKGYIANIS -AFQQVLYYQNNVFMSEAKCWVEQDINQGPHEFCSQHTMLVKMDGDDVFLPYPDPSRILGAGCFVDDLLKT -DSVLLIERFVSLAIDAYPLVYHENEEYQKVFRVYLEYIKKLYNDLGNQILDSYSVILSTCDGQKFTDESF -YKNMYLRSAVMQSVGACIVCSSQTSLRCGSCIRKPLLCCKCCYDHVMATDHKFVLSVSPYVCNAPGCDVN -DVTKLYLGGMSYYCEDHKPQYSFKLVMNGMVFGLYKQSCTGSPFIEDFNRIASCKWTDVDDYILANECTE -RLKLFAAETQKATEEAFKQSYASATIQEIVSERELILSWEVGKVKPPLNKNYVFTGYHFTKNGKTVLGEY -TFDKSELTNGVYYRATTTYKLSVGDVFVLTSHSVANLCAPTLVPQENYSSIRFASVYSVPQTFQSNVVNY -QHIGMKRYCTVQGPPGTGKSHLAIGLAVYYCTARVVYTAASHAAVDALCEKAYKFLNINDCTRIIPAKVR -VECYDKFKINDTSRKYVFTTINALPEIVTDIVVVDEVSMLTNYELSVINARVRAKHYVYIGDPAQLPAPR -VLLSKGTLEPKYFNTVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYDNKLKAKNECSSLCFKVYFKGV -TTHESSSAVNMQQIYLINKFLKANPAWQKAVFISPYNSQNFAAKRVLGLQTQTVDSAQGSEYDYVIYSQT -AETAHSVNVNRFNVAITRAKKGILCVMSNMQLFEALQFTALTLDKVPSKLQCTTNLFKDCSKSSVGYHPA -HAPSFIAVDEKYKVNGELAVCLGTNDSTVSYSRLISLMGFKLDLTLEGYCKLFITKDEAVKRVRAWVGFD -AEGAHATRDSIGTNFPLQLGFSTGIDFVVETTGLYAERDGYSFRKAVARAPPGEQFKHLIPLMTRGQRWD -VVRPRIVQMFSDHLIDLSDCVVLVTWAANFELTCLRYFAKVGKETCCNVCTVRATTYNSRTGYYGCWRHS -FGCDYLYNPLIVDIQQWGYSGSLSSNHDMYCSIHKGAHVASSDAIMTRCLAVYDCFCNNINWNVEYPIIS -NELDINSGCRLMQRVMCKAALLCNRYSLCYDIGNPKGIACIKSYDFKFYDAQPVVKSVKTLFYTYEAHKD -SFKDGLCMFWNCNVDKYPSNSLVCRFDTRVLNILNLPGCNGGSLYVNKHAFHTNSFNRAAFEYLKPMPFF -YYSDTPCVYMDGTDVKQLDYVPLKSATCITRCNLGGAVCLKHAEEYREFLEAYNTVTTAGFTFWIYKTFD -FYNLWNTFTKLQSLENVVYNLVNAGHYDGREGELPCAIISDKVVAKIDNEDVVVFRNNTTYPTNIAVELF -AKRSVRHHPELKLLRNLNVDVCWKHVIWDYARESIFCSNTFGVCAYTDLKFIENLNVLFDGRDNGALEAF -RNAKNGVYINTIKIKSLSMFKGPQRGELNGAVVDKVGEQDIVFYFALRRDGQDVIFSHIDSLGGSHYRSP -QGNPGGNAQPGNVGGNDALTFGSIFTQSRSLSSFECRSDMEKDFIALEDSVFIEKYGLEDYAFEHIVYGS -FNQKIIGGLHLLIGLYRRQQKSNLVIQEFVNYDSSIHSYFITDESSGASKSVCTVIDILLDDFVALVKSL -DLNCVSKVVNVNVDFKDFQFMLWCNKDKVMTFYPRLQASGDWKPGYTMPVLYKYLNSPMERVSLWNYGKA -ISLPTGTMMNVAKYTQLCQYLNTTTLAVPANMRVLHLGAGSDKGVAPGTAVLRQWLPPGTILVDNDMYPF -VSDAVATYFGDCITLPFDCQWDLIVSDMYDPITKNIGEYNVSKDGFFTYLCYLIRDKLALGGSVAIKITE -FSWNAELYKLMGHFAYWTVFCTNANASSSEGFLIGINYLGKTQVEIDGNVMHANYLFWRNSTMWNGGSYS -LFDMHKFPLKLAGTAVVSLKSEQLNDMVYSLLEKGKLLIRDTKKEVFVGDSMVNASTI - ->YP_003029844.1 ORF1ab polyprotein [Rat coronavirus Parker] -MAKMGKYGLGFKWAPEFPWMLPNASEKLGNPERSEEDGFCPSAAQEPKVKGRTLVNHVRVDCSRLPALEC -CVQSAIIRDIFVDKDPQKVEASTMMALQFGSAVLIMPSKRLSIQAWANLGVLPRTPAMGLFKRVCLCNTR -GCSCDVHVAFQLFTVQPDGVCLGNGRFIGWFVPVTAIPEYAKQWLQPWSILLRKGGNKGSVTSGHRRAVT -MPVYDFNVEDACEEVHLNPKGKYSRKAYTLLKGYRGVKPILFVDQYGCDYTGCLAKGLEDYGDLTLSEMK -ELFPVWRESLDNEVVVAWHVDRDPRAVMRLQTLATLRSIDYVGQPTEDVVDGDVVVREPAHLLAADALVK -RLPRLVETMLYTDSSVTEFCYKTKLCDCGFITQFGYVDCCGDTCDFRGWVPGNMLDGFPCPGCSKSYMPW -ELEAQSSGVIPEGGVLFTQSTDTVNREAFKLYGHAVVPFGSAVYWSPYPGMWLPVVWSSVKSYSGLTYTG -VVGCKAIVQETDAICRSLYMDYVQHKCGNLDQRATLGLDDVYHRQLLVNRGDYSLLLENVDLFVKRRAEF -ACKFATCGDGFVPLLLDGLVPRSYYLIKSGQAYTSMMVNFSHEVIDMCMDMALLFMHDVKVATKYVKKFT -GKLAVRFKALGVAVVRKITEWFDLAVDIAASAAGWLCYQLVNGLFAVANGVITFVQEAPELVKNFVAKFR -AFFKVLIDSMSVSILSGLTVVKTASNRVCLAGSKVYEVVQKSLSAYVLPVGCSEATCLVGESEPAVFEDD -VVGVVKTPLTYQGCCKPPTSFEKICIVDKLYMAKCGDQFYPVVVDNDTVGVLDQCWRFPCAGKKVVFNDK -PKVKEVPSTRKIKIIFALDATFDSVLSKACSEFEVDKDVTLDELLDVVLDAVESTLSPCKEHDVIGTKVC -ALLDRLAEDYVYLFDEGGDEVIAPRMYCSFSAPDDEDCVAADVVDADENQDDDADDSVVLVADAQEDGVA -KEQVEVDSEICVAHTGGQDELTEPDAVGSQTPIASAEKTEVGEASDREGIAEAKATVCADDLDACPDQVE -AFEIEEVEDSILDELQTELNAPADRTYEDVLAFDAIYSKALSAVYAVPSDETHFKVCGFYSPAIERTNCW -LRSTLIVMQSLPLEFKDLEMQKLWLSYKAGYDQCFVDKLVKSVPRSIILPQGGYVADFAYYFLSQCSFKA -HANWRCLKCDMASKLQGLDAMFFYGDVVSHMCKCGSGMTLLSADIPYTLHFGVRDDKFCAFYTPRKVFRA -ACAVDVNDCHSMAVVDGKLIDGKNVTKFTGDKFDFMVGHGMTFSMSPFETAQLYGSCITPNVCFVKGDVI -KVARLVEAEVIVNPANGRMAHGAGVAGAIAKAAGKFFIKETADMVKNQGVCLVGECYESAGGKLCKKVLN -IVGPDARGQGRQCYSLLERAYQHINKCDNVVTTLISAGIFSVPTDVSLTYLLGVVTKNVILVSNNKDDFD -VIEKCQVTSVAGTKALSLQLAKNLCRDVKFETNACDTLFGASCFVASYDVLQEVELLRHDIQLDDDARVF -VQANMDCLPTDWRLVNKLDVVDGVRTIKYFECPGEIFVSSQGKKFGYVQNGLFKVASVSQIRALLANKVD -VLCTVDGVNFRSCCVTEGEVFGKTLGSVFCDGINVTKVRCSAIHKGKVFFQYSGLSEADLVAVKDAFGFD -EPQLLKYYNMLGMCKWPVVVCGNYFAFKQSNNNCYINVACLMLQHLNLKFPKWQWQEAWNEFRSGKPLRF -VSLVLAKGSFKFNEPSDSTDFIRVVLREADLSGATCDLEFICKCGVKQDQRKGVDAVMHFGTLDKSDLVK -GYNIACTCGSKLVHCTQFNVPFLICSYTPEGRKLPDDVVAANIFTGGSLGHYTHVKCKPKYQLYDACNVS -KVSEAKGNFTDCLYLKNLKQTFSSVLTTYYLDDVKCVEYKPDLSQYYCESGKYYTKPIIKAQFRTFEKVD -GVYTNFKLVGHSIAEKLNAKLGFDCDSPFVEYKITEWPTATGDVVLASDDLYVSRYLSGCITFGKPVVWL -GHEEASLKSLTYFNRPSVVCENKFNVLPVDVSEPTDKEPVPAAVLVTGVPSADASADAGTAKEQKACASD -NVEEQVVTEVHQEPSVSAVDVKEVKLNGVKKPVKVEDSVVVNDPTSDTKVVKSLSIVDDMFLTGCKYVVW -TANELSRLVNSPTVREYVKWGKIVIPTKLLLLRDERQEFVAPKVVKAKAIACYGAVKWFFFYCFSWIKFN -TDNKVIYTTELASKLTFKLCCLAFKNALQTFNWSVVSRGFFLVATVFLLWFNFLYANVILSDFYLPNIGS -LPTFVGQIVAWFKTTFGVSTICDFYQVTDLGYRSSFCNGSMVCELCFSGFDMLDSYDAINVVQHVVDRRV -SFDYISILKLVVELIIGYSLYTVCFYPLFVLIGMQLLTTWLPEFFMLETMHWSARLFVFVANMLPAFTLL -RFYIVVTAMYKVYCLCRHVMYGCSNPGCLFCYKRNRSVRVKCSTVVGGSLRYYDVMANGGTGFCTKHQWN -CLNCDSWKPGNTFITLEAAADLSKELKRPVNPTDSAYYSVTEVKQVGCSMRLFYERDGQRVYDDVSASLF -VDMNGLLHSKVKGVPETHVVVVENEADKAGFLGAAVFYAQSLYRPMLMVEKKLITTANTGLSVSQTMFDL -YVDSLLNVLDVDRKSLTSFVNAAHNSLKEGVQLEQVMDTFVGCARRKCAIDSDVETRSITKSVMSAVNAG -VDFTDESCNNLVPTYVKSDTIVAADLGVLIQNNAKHVQSNVAKAANVACIWSVDAFNQLSADLQHRLRKA -CSKTGLKIKLTYNKQEANVPILTTPFSLKGGAVFSKFLQWLFVANLICFIVLWALIPTYAVHKSDMQLPL -YASFKVIENGVLRDVSVTDACFANKFNQFDQWYESTFGLAYYRNSKACPVVVAVIDQDIGHTLFNVPTKV -LRHGFHVLHFITHAFATDSVQCYTPHMQIPYDNFYASGCVLSSLCTMLAHADGTPHPYCYTEGVMHNASL -YSSLVPHVRYNLASSNGYIRFPEVVSEGIVRVVRTRSMTYCRVGLCEEAEEGICFNFNSSWVLNNPYYRA -MPGTFCGRNAFDLIHQVLGGLVQPIDFFALTASSVAGAILAIIVVLAFYYLIKLKRAFGDYTSVVVINVI -VWCINFMMLFVFQVYPTLSCLYACFYFYTTLYFPSEISVVMHLQWLVMYGAIMPLWFCIIYVAVVVSNHA -LWLFSYCRKIGTEVRSDGTFEEMALTTFMITKESYCKLKNSVSDVAFNRYLSLYNKYRYFSGKMDTAAYR -EAACSQLAKAMETFNHNNGNDVLYQPPTASVTTSFLQSGIVKMVSPTSKVEPCVVSVTYGNMTLNGLWLD -DKVYCPRHVICSSDDMTDPDYPNLLCRVTSSDFCVMSDRMSLTVMSYQMQGSLLVLTVTLQNPNTPKYSF -GVVKPGETFTVLAAYNGRPQGAFHVVMRSSHTIKGSFLCGSCGSVGYVLTGDSVRFVYMHQLELSTGCHT -GTDLSGNFYGPYRDAQVVQLPVQDYTQTVNVVAWLYAAILNRCNWFVQSDSCSLEEFNVWAMTNGFSSIK -ADLVLDALASMTGVTVEQVLAAIKRLYSGFQGKQILGSCVLEDELTPSDVYQQLSGVKLQSKRTRVIKGT -CCWILASTFLFCSIIAAFVKWTMFMYVTTHMLGVTLCALCFVSFAMLLIKHKHLYLTMYIMPVLCTLFYT -NYLVVYKQSFRGLAYAWLSHFVPAVDYTYMDEVLYGVVLLIAMVFVTMRSINHDVFSIMFLVGRLVSLVS -MWYFGANLEEEVLLFLTSLFGTYTWTTMLSLATAKVIAKWLAVNVLYFTDVPQIKLVLLSYLCIGYVCCC -YWGVLSLLNSIFRMPLGVYNYKISVQELRYMNANGLRPPKNSFEALVLNFKLLGIGGVPVIEVSQIQSRL -TDVKCANVVLLNCLQHLHIASNSKLWQYCSTLHNEILATSDLSVAFDKLAQLLVVLFANPAAVDSKCLAS -IEEVSDDYVRDNTVLHALQSEFVNMASFVEYELAKKNLDEAKASGSANQQQIKQLEKACNIAKSAYERDR -AVARKLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPSL -TSNTLTIIVPDKQVFDQVVDNVYVTYAGNVWHIQSIQDADGAVKQLNEIDVNSIWPLVIAANRHNEVSTV -VLQNNELMPQKLRTQVVNSGSDMNCNTPTQCYYNTTGTGKIVYAILSDCDGLKYTKIVKEDGNCVVLELD -PPCKFSVQDVKGLKIKYLYFVKGCNTLARGWVVGTLSSTVRLQAGTATEYASNSAILSLCAFSVDPKKTY -LDYIQQGGVPVTNCVKMLCDHAGTGMAITIKPEATTNQDSYGGASVCIYCRSRVEHPDVDGLCKLRGKFV -QVPLGIKDPVLYVLTHDVCQVCGFWRDGSCSCVGTGSQFQSKDTNFLNRVRGTSVNARLVPCASGLDTDV -QLRAFDICNANRAGIGLYYKVNCCRFQRVDEDGNKLDKFFVVKRTNLEVYNKEKECYELTKECGVVAEHE -FFTFDVEGSRVPHIVRKDLSKFTMLDLCYALRHFDRNDCSTLKEILLTYAECGESYFQKKDWYDFVENPD -IINVYKKLGPIFNRALLNTAKFADALVEAGLVGVLTLDNQDLYGQWYDFGDFVKTVPGCGVAVADSYYSY -MMPMLTMCHALDSELYVNGTYREFDLVQYDFTDFKLELFNKYFKHWSMTYHPNTCECEDDRCIIHCANFN -ILFSMVLPKTCFGPLVRQIFVDGVPFVVSIGYHYKELGVVMNMDVDTHRYRLSLKDLLLYAADPALHVAS -ASALLDLRTCCFSVAAITSGVKFQTVKPGNFNQDFYEFILSKGLFKEGSSVDLKHFFFTQDGNAAITDYN -YYKYNLPTMVDIKQLLFVLEVVNKYFEIYEGGCIPATQVIVNNYDKSAGYPFNKFGKARLYYEALSFEEQ -DEIYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVVIGTTKF -YGGWDDMLRRLIKDVDSPVLMGWDYPKCDRAMPNILRIVSSLVLARKHDSCCSHTDRFYRLANECAQVLS -EIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVSANVCSLMACNGHKIEDLSIRELQKRLYSNVYRA -DHVDPAFVSEYYEFLNKHFSMMILSDDGVVCYNSEFASKGYIANISAFQQVLYYQNNVFMSEAKCWVETD -IEKGPHEFCSQHTMLVKMDGDEVYLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVHHEN -PEYQNVFRVYLEYIKKLYNDLGNQILDSYSVILSTCDGQKFTDETFYKNMYLRSAVMQSVGACVVCSSQT -SLRCGSCIRKPLLCCKCSYDHVMATDHKYVLSVSPYVCNSPGCDVNDVTKLYLGGMSYYCEDHKPQYSFK -LVMNGMVFGLYKQSCTGSPYIEDFNKIASCKWTEVDDYALANECTERLKLFAAETQKATEEAFKQCYASA -TIREIVSDRELILSWEIGKVRPPLNKNYVFTGYHFTNNGKTVLGEYVFDKSELTNGVYYRATTTYKLSVG -DVFILTSHAVSSLSAPTLVPQENYTSIRFASVYSVPETFQNNVPNYQHIGMKRYCTVQGPPGTGKSHLAI -GLAVYYCTARVVYTAASHAAVDALCEKAHKFLNINDCTRIVPAKVRVDCYDKFKVNDTTRKYVFTTINAL -PELVTDIIVVDEVSMLTNYELSVINSRVRAKHYVYIGDPAQLPAPRVLLNKGTLEPRYFNSVTKLMCCLG -PDIFLGTCYRCPKEIVDTVSALVYNNKLKAKNDNSSMCFKVYYKGQTTHESSSAVNMQQIHLISKFLKAN -PSWSNAVFISPYNSQNYVAKRVLGLQTQTVDSAQGSEYDFVIYSQTAETAHSVNVNRFNVAITRAKKGIL -CVMSSMQLFESLNFTTLTLDKINNPRLQCTTNLFKDCSKSYDGYHPAHAPSFLAVDDKYKVGGDLAVCLN -VADSSVTYSRLISLMGFKLDLTLDGYCKLFITRDEAIKRVRAWVGFDAEGAHATRDSIGTNFPLQLGFST -GIDFVVEATGMFAEREGYVFKKAAARAPPGEQFKHLVPLMSRGQKWDVVRIRIVQMLSDHLVDLADSVVL -VTWAASFELTCLRYFAKVGKEVVCSVCNKRATCFNSRTGYYGCWRHSYSCDYLYNPLIVDIQQWGYTGSL -TSNHDPICSVHKGAHVASSDAIMTRCLAVHDCFCKSVNWNLEYPIILNEVSVNTSCRLLQRVMFRAAMLC -NRYDVCYDIGNPKGLACVKGYDFKFYDASPVVKSVKQFVYKYEAHKDQFLDGLCMFWNCNVDKYPANAVV -CRFDTRVLNKLNLPGCNGGSLYVNKHAFHTSPFTRAAFENLKPMPFFYYSDTPCVYMEGMESKQVDYVPL -RSATCITRCNLGGAVCLKHAEEYREYLESYNTATTAGFTFWVYKTFDFYNLWNTFTRLQSLENVVYNLVN -AGHFDGRAGELPCAIIGEKVIAKIQNEDVVVFKNNTPFPTNVAVELFAKRSIRPHPELKLFRNLNIDVCW -SHVLWDYAKDSVFCSSTYKVCKYTDLQCIESLNVLFDGRDNGALEAFKKCRNGVYINTTKIKNLSMIKGP -QRADLNGVVVEKVGDSDVEFWFAMRSDGDDVIFSRTESLEPSHYRSPQGNPVGNRVGDLSGNEALARGTI -FTQSRFLSSFAPRSEMEKDFMDLDEDVFVTKYSLQDYAFEHVVYGSFNQKIIGGLHLLIGLARRQRKSNL -VIQEFVSYDSSIHSYFITDENSGSSKSVCTVIDLLLDDFVDILKSLNLNCVSKVVNVNVDFKDFQFMLWC -NEEKVMTFYPRLQAAADWKPGYVMPVLYKYLESPLERVNLWNYGKPITLPTGCLMNVAKYTQLCQYLNTT -TIAVPANMRVLHLGAGSDKGVAPGSAVLRQWLPAGSILVDNDVNPFVSDSVASYYGNCITLPFDCQWDLI -ISDMYDPLTKNIGEYNVSKDGFFTYLCHLICDKLALGGSVAIKITEFSWNAELYSLMGKFAFWTIFCTNV -NASSSEGFLIGINWLNRTRTEIDGKTMHANYLFWRNSTMWNGGAYSLFDMSKFPLKAAGTAVVSLKPDQI -NDLVLSLIEKGRLLVRDTRKEVFVGDSLVNVK - ->YP_209229.2 ORF1ab polyprotein [Murine hepatitis virus strain JHM] -MAKMGKYGLGFKWAPEFPWMLPNASEKLGNPERSEEDGFCPSAAQEPKVKGKTLVNHVRVDCSRLPALEC -CVQSAIIRDIFVDEDPQKVEASTMMALQFGSAVLVKPSKRLSVQAWAKLGVLPKTPAMGLFKRFCLCNTR -ECVCDAHVAFQLFTVQPDGVCLGNGRFIGWFVPVTAIPEYAKQWLQPWSILLRKGGNKGSVTSGHFRRAV -TMPVYDFNVEDACEEVHLNPRGKYSCKAYALLRGYRGVKPILFVDQYGCDYTGCLAKGLEDYGDLTLSEM -KELSPVWRDSLDNEVVVAWHVDRDPRAVMRLQTLATVRSIEYVGQPIEDMVDGDVVMREPAHLLAPNAIV -KRLPRLVETMLYTDSSVTEFCYKTKLCDCGFITQFGYVDCCGDTCGFRGWVPGNMMDGFPCPGCCKSYMP -WELEAQSSGVIPEGGVLFTQSTDTVNRESFKLYGHAVVPFGGAAYWSPYPGMWLPVIWSSVKSYSYLTYT -GVVGCKAIVQETDAICRFLYMDYVQHKCGNLEQRAILGLDDVYHRQLLVNRGDYSLLLENVDLFVKRRAE -FACKFATCGDGLVPLLLDGLVPRSYYLIKSGQAFTSLMVNFSREVVDMCMDMALLFMHDVKVATKYVKKV -TGKVAVRFKALGIAVVRKITEWFDLAVDTAASAAGWLCYQLVNGLFAVANGVITFIQEVPELVKNFVDKF -KTFFKVLIDSMSVSILSGLTVVKTASNRVCLAGSKVYEVVQKSLPAYIMPVGCSEATCLVGEIEPAVFED -DVVDVVKAPLTYQGCCKPPSSFEKICIVDKLYMAKCGDQFYPVVVDNDTVGVLDQCWRFPCAGKKVVFND -KPKVKEVPSTRKIKIIFALDATFDSVLSKACSEFEVDKDVTLDELLDVVLDAVESTLSPCKEHGVIGTKV -CALLERLVDDYVYLFDEGGEEVIASRMYCSFSAPDEDCVATDVVYADENQDDDADDPVVLVADTQEEDGV -AREQVDSADSEICVAHTGGQEMTEPDVVGSQTPIASAEETEVGEACDREGIAEVKATVCADALDACPDQV -EAFDIEKVEDSILSELQTELNAPADKTYEDVLAFDAIYSETLSAFYAVPSDETHFKVCGFYSPAIERTNC -WLRSTLIVMQSLPLEFKDLGMQKLWLSYKAGYDQCFVDKLVKSAPKSIILPQGGYVADFAYFFLSQCSFK -VHANWRCLKCGMELKLQGLDAVFFYGDVVSHMCKCGNSMTLLSADIPYTFDFGVRDDKFCAFYTPRKVFR -AACAVDVNDCHSMAVVDGKQIDGKVVTKFNGDKFDFMVGHGMTFSMSPFEIAQLYGSCITPNVCFVKGDV -IKVLRRVGAEVIVNPANGRMAHGAGVAGAIAKAAGKAFINETADMVKAQGVCQVGGCYESTGGKLCKKVL -NIVGPDARGHGNECYSLLERAYQHINKCDNVVTTLISAGIFSVPTDVSLTYLLGVVTKNVILVSNNQDDF -DVIEKCQVTSVAGTKALSFQLAKNLCRDVKFVTNACSSLFSESSFVSSYDVLQEVEALRHDIQLDDDARV -FVQANMDCLPTDWRLVNKFDSVDGVRTIKYFECPGEVFVSSQGKKFGYVQNGSFKEASVSQIRALLANKV -DVLCTVDGVNFRSCCVAEGEVFGKTLGSVFCDGINVTKVRCSAIHKGKVFFQYSGLSAADLAAVKDAFGF -DEPQLLQYYSMLGMCKWPVVVCGNYFAFKQSNNNCYINVACLMLQHLSLKFPKWQWRRPGNEFRSGKPLR -FVSLVLAKGSFKFNEPSDSTDFIRVELREADLSGATCDLEFICKCGVKQEQRKGVDAVMHFGTLDKSGLV -KGYNIACTCGDKLVHCTQFNVPFLICSNTPEGKKLPDDVVAANIFTGGSVGHYTHVKCKPKYQLYDACNV -SKVSEAKGNFTDCLYLKNLKQTFSSVLTTYYLDDVKCVAYKPDLSQYYCESGKYYTKPIIKAQFRTFEKV -EGVYTNFKLVGHDIAEKLNAKLGFDCNSPFMEYKITEWPTATGDVVLASDDLYVSRYSGGCVTFGKPVIW -RGHEEASLKSLTYFNRPSVVCENKFNVLPVDVSEPTDRRPVPSAVLVTGAASGADASAISTEPGTAKEQK -ACASDSVEDQIVMEAQKKSSVTTVAVKEVKLNGVKKPVKWNCSVVVNDPTSETKVVKSLSIVDVYDMFLT -GCRYVVWTANELSRLINSPTVREYVKWGMSKLIIPANLLLLRDEKQEFVAPKVVKAKAIACYGAVKWFLL -YCFSWIKFNTDNKVIYTTEVASKLTFKLCCLAFKNALQTFNWSVVSRGFFLVATVFLLWFNFLYANVILS -DFYLPNIGPLPMFVGQIVAWVKTTFGVLTICDFYQVTDLGYRSSFCNGSMVCELCFSGFDMLDNYESINV -VQHVVDRRVSFDYISLFKLVVELVIGYSLYTVCFYPLFVLVGMQLLTTWLPEFFMLGTMHWSARLFVFVA -NMLPAFTLLRFYIVVTAMYKVYCLCRHVMYGCSKPGCLFCYKRNRSVRVKCSTVVGGSLRYYDVMANGGT -GFCTKHQWNCLNCNSWKPGNTFITHEAAADLSKELKRPVNPTDSAYYSVIEVKQVGCSMRLFYERDGQRV -YDDVSASLFVDMNGLLHSKVKGVPETHVVVVENEADKAGFLNAAVFYAQSLYRPMLMVEKKLITTANTGL -SVSRTMFDLYVYSLLRHLDVDRKSLTSFVNAAHNSLKEGVQLEQVMDTFVGCARRKCAIDSDVETKSITK -SVMAAVNAGVEVTDESCNNLVPTYVKSDTIVAADLGVLIQNNAKHVQSNVAKAANVACIWSVDAFNQLSA -DLQHRLRKACVKTGLKIKLTYNKQEANVPILTTPFSLKGGAVFSRVLQWLFVANLICFIVLWALMPTYAV -HKSDMQLPLYASFKVIDNGVLRDVSVTDACFANKFNQFDQWYESTFGLVYYRNSKACPVVVAVIDQDIGH -TLFNVPTKVLRYGFHVLHFITHAFATDRVQCYTPHMQIPYDNFYASGCVLSSLCTMLAHADGTPHPYCYT -EGVMHNASLYSSLVPHVRYNLASSNGYIRFPEVVSEGIVRVVRTRSMTYCRVGLCEEAEEGICFNFNSSW -VLNNPYYRAMPGTFCGRNAFDLIHQVLGGLVQPIDFFALTASSVAGAILAIIVVLAFYYLIKLKRAFGDY -TSVVVINVIVWCINFLMLFVFQVYPTLSCLYACFYFYTTLYFPSEISVVMHLQWLVMYGAIMPLWFCITY -VAVVVSNHALWLFSYCRKIGTDVRSDGTFEEMALTTFMITKESYCKLKNSVSDVAFNRYLSLYNKYRYFS -GKMDTATYREAACSQLAKAMETFNHNNGNDVLYQPPTASVTTSFLQSGIVKMVSPTSKVEPCVVSVTYGN -MTLNGLWLDDKVYCPRHVICSSADMTDPDYPNLLCRVTSSDFCVMSDRMSLTVMSYQMQGSLLVLTVTLQ -NPNTPKYSFGVVKPGETFTVLAAYNGRPQGAFHVVMRSSHTIKGSFLCGSCGSVGYVLTGDSVRFVYMHQ -LELSTGCHTGTDFSGNFYGPYRDAQVVQLPVQDYTQTVNVVAWLYAAILNRCNWFVQSDSCSLEEFNVWA -MTNGFSSIKADLVLDALASMTGVTVEQVLAAIKRLHSGFQGKQILGSCVLEDELTPSDVYQQLAGVKLQS -KRTRVIKGTCCWILASTFLFCSIISAFVKWTMFMYVTTHMLGVTLCALCFVIFAMLLIKHKHLYLTMYIM -PVLCTLFYTNYLVVGYKQSFRGLAYAWLSYFVPAVDYTYMDEVLYGVVLLVAMVFVTMRSINHDVFSTMF -LVGRLVSLVSMWYFGANLEEEVLLFLTSLFGTYTWTTMLSLATAKVIAKWLAVNVLYFTDIPQIKLVLLS -YLCIGYVCCCYWGVLSLLNSIFRMPLGVYNYKISVQELRYMNANGLRPPRNSFEALMLNFKLLGIGGVPV -IEVSQIQSRLTDVKCANVVLLNCLQHLHIASNSKLWQYCSTLHNEILATSDLSVAFDKLAQLLVVLFANP -AAVDSKCLASIEEVSDDYVRDNTVLQALQSEFVNMASFVEYELAKKNLDEAKASGSANQQQIKQLEKACN -IAKSAYERDRAVARKLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKG -CVPLNAIPPLTSNTLTIIVPDKQVFDQVVDNVYVTYAPNVWHIQSIQDADGAVKQLNEIDVNSTWPLVIS -ANRHNEVSTVVLQNNELMPQKLRTQVVNSGSDMNCNIPTQCYYNTTGTGKIVYAILSDCDGLKYTKIVKE -DGNCVVLELDPPCKFSVQDVKGLKIKYLYFVKGCNTLARGWVVGTLSSTVRLQAGTATEYASNSAILSLC -AFSVDPKKTYLDYIQQGGVPVTNCVKMLCDHAGTGMAITIKPEATTNQDSYGGASVCIYCRSRVEHPDVD -GLCKLRGKFVQVPLGIKDPVSYVLTHDVCQVCGFWRDGSCSCVGTGSQFQSKDTNFLNRVRGTSVNARLV -PCASGLDTDVQLRAFDICNANRAGIGLYYKVNCFRFQRVDEEGNKLDKFFVVKRTNLEVYNKEKECYELT -KDCGVVAEHEFFTFDVEGSRVPHIVRKDLSKFTMLDLCYALRHFDRNDCSTLKEILLTYAECDESYFQKK -DWYDFVENPDIINVYKKLGPIFNRALLNTANFADTLVEAGLVGVLTLDNQDLYGQWYDFGDFVKTVPCCG -VAVADSYYSYMMPMLTMCHALDSELFVNGTYREFDLVQYDFTDFKLELFNKYFKHWSMTYHPNTSECEDD -RCIIHCANFNILFSMVLPKTCFGPLVRQIFVDGVPFVVSIGYHYKELGVVMNMDVDTHRYRLSLKDLLLY -AADPALHVASASALLDLRTCCFSVAAITSGVKFQTVKPGNFNQDFYEFILSKGLLKEGSSVDLKHFFFTQ -DGNAAITDYNYYKYNLPTMVDIKQLLFVVEVVNKYFEIYEGGCIPATQVIVNNYDKSAGYPFNKFGKARL -YYEALSFEEQDEIYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRG -VPVVIGTTKFYGGWDDMLRRLIKDVDSPVLMGWDYPKCDRAMPNILRIVSSLVLARKHDSCCSHTDRFYR -LANECAQVLGEIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVSANVCSLMACNGHKIEDLSIRELQ -KRLYSNVYRADHVDPAFVSEYYEFLNKHFSMIILSDDGVVCYNSEFASKGYIANISDFQQVLYYQNNVFM -SEAKCWVETDIEKGPHEFCSQHTMLVKMDGDEVYLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAI -DAYPLVYHENPEYQNVFRVYLEYIKKLYNDLGNQILDSISVILSTCDGQKFTDETFYKNMYLRSAVMQSV -GACVVCSSQTSLRCGSCIRKPLLCCKCAYDHVMSTDHKYVLSVSPYVCNSPGCDVNDVTKLYLGGMSYYC -EAHKPQYSFKLVMNGMVFGLYKQSCTGSPYIEDFNKIASCKWTEVDDYVLANECTERLKLFAAETQKATE -EAFKQCYASATIREIVSDRELILSWEIGKVRPPLNKNYVFTGYHFTNNGKTVLGEYVFDKSELTNGVYYR -ATTTYKLSVGDVFILTSHAVSSLSAPTLVPQENYTSVRFASAYSVPETFQNNVPNYQHIGIKRYCTVQGP -PGTGKSHLAIGHAVYYCTARVVYTAASHAAVDALCEKAHKFLNINDCARIVPAKLRVDCYDKFNVNDTTR -KYVFTTINALPELVTDIIVVDEVSMLTNYELSVINSRVRAKHYVYIGDPAQLPAPRVLLNKGTLEPRYFN -SVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYNNKLKAKNDNSAMCFKVYYKGQTTHESSSAVNMQQI -HLISKLLKANPSWSNAVFISPYNSQNYVAKRVLGLQTQTADSAQGSAYDFVIYSQTAQTAHSVNVNRFNV -AITRAKKGILCVMSSMQLIGVFNFTTLTLDKINNPRLQCTTNLFKDCSKSYVGIPPCAFLLAVDDKYKVS -GNLAVCLNVADSAVTYSRLISLMGFKLDLTLDGYCKLFITRDEAIKRVRAWVGFDAEGAHATRDSIGTNF -PLQLGFSTGIDFVVEATGMFAERDGYVFKKAAARAPPGEQFKHLVPLMSRGQKWDVVRIRIVQMLSDHLV -DLADSVVLVTWAASFELTCLRYFAKVGKEVVCSVCNKRATCFNSRTGYYGCWRHSYSCDYLYNPLIVDIQ -QWGYTGSLTSNHDPICSVHKGAHVASSDAIMTRCLAVHDCFCKSVNWNLEYPIISNEVSVNTSCRLLQRV -MFRAAMLCNRYDVCYDIGNPKGLACVKGYDFKFYDASPVVKSVKQFVYKYEAHKDQFLDGLCMFWNCNVD -KYPANAVVCRFDTRVLSKLNLPGCNGGSLYVNKHAFHTNPFTRAAFENLKPMPFFYYSDTPCVYMEGMES -KQVDYVPLRSATCITRCNLGGAVCLKHAEEYREYLESYNTATTAGFTFWVYKTFDFYNLWNTFTRLQSLE -NVVYNLVNAGHFDGRAGELPCAVIGEKVIAKIQNEDVVVFKNNTPFPTNVAVELFAERSIRPHPELKLFR -SSNIHVCWNHVLWDYAKDSVFCSSTYKVCKYTDLQCIESLNVLFDGRDNGALEAFKKCRNGVYINTTKIK -SLSMIKGPQRADLNGVVVEKVGDSDVEFWFAMRRDGDDVIFSRTGSLEPSHYRSPQGNPGGNRVGDLSGN -EALARGTIFTQSRFLSSFSPRSEMEKDFMDLDEDVFIAKYSLQDYAFEHVVYGSFNQKIIGGLHLLIGLA -RRPKKSNLVIQEFVPYDSSIHSYFITDENSGSSESVCTVIDLLLDDFVDIVKSLNLKCVSKVVNVNVDFK -DFQFMLWCNEEKVMTFYPRLQAAADWKPGYVMPVLYKYLESPMERVNLWNYGKPITLPTGCMMNVAKYTQ -LCQYLSTTTLAVPANMRVLHLGAGSDKGVAPGSAVLRQWLPSGSILVDNDMNPFVSDSVASYYGNCITLP -FDCQWDLIISDMYDPLTKNIGEYNVSKDGFFTYLCHLIRDKLALGGSVAIKITEFSWNAELYSLMGKFAF -WTIFCTNVNASSSEGFLIGINWLNRTRNEIDGKTMHANYLFWRNSTMWNGGAYSLFDMTKFPLKAAGTAV -VSLKPDQINDLVLSLIEKGKLLVRDTRKEVFVGDSLVNVK - ->NP_150073.3 orf1ab polyprotein [Bovine coronavirus] -MSKINKYGLELHWAPEFPWMFEDAEEKLDNPSSSEVDIVCSTTAQKLETGGICPENHVMVDCRRLLKQEC -CVQSSLIREIVMNTRPYDLEVLLQDALQSREAVLVTPPLGMSLEACYVRGCNPNGWTMGLFRRRSVCNTG -RCAVNKHVAYQLYMIDPAGVCFGAGQFVGWVIPLAFMPVQSRKFIVPWVMYLRKCGEKGAYNKDHKRGGF -EHVYNFKVEDAYDLVHDEPKGKFSKKAYALIRGYRGVKPLLYVDQYGCDYTGGLADGLEAYADKTLQEMK -ALFPIWSQELPFDVTVAWHVVRDPRYVMRLQSASTIRSVAYVANPTEDLCDGSVVIKEPVHVYADDSIIL -RQHNLVDIMSCFYMEADAVVNAFYGVDLKDCGFVMQFGYIDCEQDLCDFKGWVPGNMIDGFACTTCGHVY -ETGDLLAQSSGVLPVNPVLHTKSAAGYGGFGCKDSFTLYGQTVVYFGGCVYWSPARNIWIPILKSSVKSY -DGLVYTGVVGCKAIVKETNLICKALYLDYVQHKCGNLHQRELLGVSDVWHKQLLLNRGVYKPLLENIDYF -NMRRAKFSLETFTVCADGFMPFLLDDLVPRAYYLAVSGQAFCDYADKICHAVVSKSKELLDVSLDSLSAA -IHYLNSKIVDLAQHFSDFGTSFVSKIVHFFKTFTTSTALAFAWVLFHVLHGAYIVVESDIYFVKNIPRYA -SAVAQAFRSVAKVVLDSLRVTFIDGLSCFKIGRRRICLSGSKIYEVERGLLHSSQLPLDVYDLTMPSQVQ -KAKQKPIYLKGSGSDFSLADSVVEVVTTSLTPCGYSEPPKVADKICIVDNVYMAKAGDKYYPVVVDGHVG -LLDQAWRVPCAGRRVTFKEQPTVNEIASTPKTIKVFYELDKDFNTILNTACGVFEVDDTVDMEEFYAVVI -DAIEEKLSPCKELEGVGAKVSAFLQKLEDNSLFLFDEAGEEVLASKLYCAFTAPEDDDFLEESGVEEDDV -EGEETDLTVTSAGEPCVASEQEESSEILEDTLDDGPCVETSDSQVEEDVEMSDFADLESVIQDYENVCFE -FYTTEPEFVKVLDLYVPKATRNNCWLRSVLAVMQKLPCQFKDKNLQDLWVLYKQQYSQLFVDTLVNKIPA -NIVVPQGGYVADFAYWFLTLCDWQCVAYWKCIKCDLALKLKGLDAMFFYGDVVSHVCKCGESMVLIDVDV -PFTAHFALKDKLFCAFITKRSVYKAACVVDVNDSHSMAVVDGKQIDDHRVTSITSDKFDFIIGHGMSFSM -TTFEIAQLYGSCITPNVCFVKGDIIKVSKRVKAEVVVNPANGHMAHGGGVAKAIAVAAGQQFVKETTDMV -KSKGVCATGDCYVSTGGKLCKTVLNVVGPDARTQGKQSYALLERVYKHLNKYDCVVTTLISAGIFSVPSD -VSLTYLLGTAEKQVVLVSNNQEDFDLISKCQITAVEGTKKLAERLSFNVGRSIVYETDANKLILSNDVAF -VSTFNVLQDVLSLRHDIALDDDARTFVQSNVDVVPEGWRVVNKFYQINGVRTVKYFECPGGIDICSQDKV -FGYVQQGSFNKATVAQIKALFLDKVDILLTVDGVNFTNRFVPVGESFGKSLGNVFCDGVNVTKHKCDINY -KGKVFFQFDNLSSEDLKAVRSSFNFDQKELLAYYNMLVNCSKWQVVFNGKYFTFKQANNNCFVNVSCLML -QSLNLKFKIVQWQEAWLEFRSGRPARFVSLVLAKGGFKFGDPADSRDFLRVVFSQVDLTGAICDFEIACK -CGVKQEQRTGVDAVMHFGTLSREDLEIGYTVDCSCGKKLIHCVRFDVPFLICSNTPASVKLPKGVGSANI -FKGDKVGHYVHVKCEQSYQLYDASNVKKVTDVTGNLSDCLYLKNLKQTFKSVLTTYYLDDVKKIEYNPDL -SQYYCDGGKYYTQRIIKAQFKTFEKVDGVYTNFKLIGHTICDILNAKLGFDSSKEFVEYKVTEWPTATGD -VVLATDDLYVKRYERGCITFGKPVIWLSHEQASLNSLTYFNRPLLVDENKFDVLKVDDVDDGGDISESDA -KESKEINIIKLSGVKKPFKVEDSVIVNDDTSEIKYVKSLSIVDVYDMWLTGCRYVVRTANALSMAVNVPT -IRKFIKFGMTLVSIPIDLLNLREIKPVFNVVKAVRNKISACFNFIKWLFVLLFGWIKISADNKVIYTTEV -ASKLTCKLVALAFKNAFLTFKWSVVARGACIIATIFLLWFNFIYANVIFSDFYLPKIGFLPTFVGKIAQW -IKSTFSLVTICDLYSIQDVGFKNQYCNGSIACQFCLAGFDMLDNYKAIDVVQYEADRRAFVDYTGVLKIV -IELIVSYALYTAWFYPLFALISIQILTTWLPELFMLSTLHWSVRLLVSLANMLPAHVFMRFYIIIASFIK -LFILFRHVAYGCSKPGCLFCYKRNRSLRVKCSTIVGGMIRYYDVMANGGTGFCSKHQWNCIDCDSYKPGN -TFITVEAALDLSKELKRPIQPTDVAYHTVTDVKQVGCYMRLFYERDGQRTYDDVNASLFVDYSNLLHSKV -KGVPNMHVVVVENDADKANFLNAAVFYAQSLFRPILMVDKNLITTANTGTSVTETMFDVYVDTFLSMFDV -DKKSLNALIATAHSSIKQGTQICKVLDTFLSCARKSCSIDSDVDTKCLADSVMSAVSAGLELTDESCNNL -VPTYLKGDNIVAADLGVLIQNSAKHVQGNVAKIAGVSCIWSVDAFNQLSSDFQHKLKKACCKTGLKLKLT -YNKQMANVSVLTTPFSLKGGAVFSYFVYVCFLLSLVCFIGLWCLMPTYTVHKSDFQLPVYASYKVLDNGV -IRDVSVEDVCFANKFEQFDQWYESTFGLSYYSNSMACPIVVAVVDQDLGSTVFNVPTKVLRYGYHVLHFI -THALSADGVQCYTPHSQISYSNFYASGCVLSSACTMFAMADGSPQPYCYTEGLMQNASLYSSLVPHVRYN -LANAKGFIRFPEVLREGLVRIVRTRSMSYCRVGLCEEADEGICFNFNGSWVLNNDYYRSLPGTFCGRDVF -DLIYQLFKGLAQPVDFLALTASSIAGAILAVIVVLVFYYLIKLKRAFGDYTSIVFVNVIVWCVNFMMLFV -FQVYPTLSCVYAICYFYATLYFPSEISVIMHLQWLVMYGTIMPLWFCLLYISVVVSNHAFWVFAYCRRLG -TSVRSDGTFEEMALTTFMITKDSYCKLKNSLSDVAFNRYLSLYNKYRYYSGKMDTAAYREAACSQLAKAM -DTFTNNNGSDVLYQPPTASVSTSFLQSGIVKMVNPTSKVEPCIVSVTYGNMTLNGLWLDDKVYCPRHVIC -SASDMTNPDYTNLLCRVTSSDFTVLFDRLSLTVMSYQMQGCMLVLTVTLQNSRTPKYTFGVVKPGETFTV -LAAYNGKPQGAFHVTMRSSYTIKGSFLCGSCGSVGYVLMGDCVKFVYMHQLELSTGCHTGTDFNGDFYGP -YKDAQVVQLPVQDYIQSVNFVAWLYAAILNNCNWFVQSDKCSVEDFNVWALSNGFSQVKSDLVIDALASM -TGVSLETLLAAIKRLKNGFQGRQIMGSCSFEDELTPSDVYQQLAGIKLQSKRTRLVKGIVCWIMASTFLF -SCIITAFVKWTMFMYVTTNMLSITFCALCVISLAMLLVKHKHLYLTMYIIPVLFTLLYNNYLVVYKQTFR -GYVYAWLSYYVPSVEYTYTDEVIYGMLLLIGMVFVTLRSINHDLFSFIMFVGRVISVVSLWYMGSNLEEE -ILLMLASLFGTYTWTTALSMAAAKVIAKWVAVNVLYFTDIPQIKIVLVCYLFIGYIISCYWGLFSLMNSL -FRMPLGVYNYKISVQELRYMNANGLRPPKNSFEALMLNFKLLGIGGVPIIEVSQFQSKLTDVKCANVVLL -NCLQHLHVASNSKLWQYCSTLHNEILATSDLGVAFEKLAQLLIVLFANPAAVDSKCLTSIEEVCDDYAKD -NTVLQALQSEFVNMASFVEYEVAKKNLDEARSSGSANQQQLKQLEKACNIAKSAYERDRAVARKLERMAD -LALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPSLAANTLTIIVPD -KSVYDQVVDNVYVTYAGNVWQIQTIQDSDGTNKQLNEISDDCNWPLVIIANRHNEVSATVLQNNELMPAK -LKTQVVNSGPDQTCNTPTQCYYNNSNNGKIVYAILSDVDGLKYTKILKDDGNFVVLELDPPCKFTVQDVK -GLKIKYLYFVKGCNTLARGWVVGTISSTVRLQAGTATEYASNSSILSLCAFSVDPKKTYLDFIQQGGTPI -ANCVKMLCDHAGTGMAITVKPDATTNQDSYGGASVCIYCRARVEHPDVDGLCKLRGKFVQVPVGIKDPVS -YVLTHDVCQVCGFWRDGSCSCVSTDTTVQSKDTNFFKRVRGTSVDARLVPCASGLSTDVQLRAFDICNAS -VAGIGLHLKVNCCRFQRVDENGDKLDQFFVVKRTDLTIYNREMECYERVKDCKFVAEHDFFTFDVEGSRV -PHIVRKDLTKYTMLDLCYALRHFDRNDCMLLCDILSIYAGCEQSYFTKKDWYDFVENPDIINVYKKLGPI -FNRALVSATEFADKLVEVGLVGILTLDNQDLNGKWYDFGDYVIAAPGCGVAIADSYYSYMMPMLTMCHAL -DCELYVNNAYRLFDLVQYDFTDYKLELFNKYFKHWSMPYHPNTVDCQDDRCIIHCANFNILFSMVLPNTC -FGPLVRQIFVDGVPFVVSIGYHYKELGIVMNMDVDTHRYRLSLKDLLLYAADPALHVASASALYDLRTCC -FSVAAITSGVKFQTVKPGNFNQDFYDFILSKGLLKEGSSVDLKHFFFTQDGNAAITDYNYYKYNLPTMVD -IKQLLFVLEVVYKYFEIYDGGCIPASQVIVNNYDKSAGYPFNKFGKARLYYEALSFEEQDEIYAYTKRNV -LPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVVIGTTKFYGGWDDMLRRL -IKDVDNPVLMGWDYPKCDRAMPNILRIVSSLVLARKHEACCSQSDRFYRLANECAQVLSEIVMCGGCYYV -KPGGTSSGDATTAFANSVFNICQAVSANVCALMSCNGNKIEDLSIRALQKRLYSHVYRSDMVDSTFVTEY -YEFLNKHFSMMILSDDGVVCYNSDYASKGYIANISAFQQVLYYQNNVFMSESKCWVENDINNGPHEFCSQ -HTMLVKMDGDDVYLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVYHENEEYQKVFRVYL -EYIKKLYNDLGNQILDSYSVILSTCDGQKFTDESFYKNMYLRSAVMQSVGACVVCSSQTSLRCGSCIRKP -LLCCKCCYDHVMATDHKYVLSVSPYVCNAPGCDVNDVTKLYLGGMSYYCEDHKPQYSFKLVMNGMVFGLY -KQSCTGSPYIDDFNRIASCKWTDVDDYILANECTERLKLFAAETQKATEEAFKQSYASATIQEIVSEREL -ILSWEIGKVKPPLNKNYVFTGYHFTKNGKTVLGEYVFDKSELTNGVYYRATTTYKLSVGDVFVLTSHSVA -NLSAPTLVPQENYSSIRFASVYSVLETFQNNVVNYQHIGMKRYCTVQGPPGTGKSHLAIGLAVYYCTARV -VYTAASHAAVDALCEKAYKFLNINDCTRIVPAKVRVECYDKFKINDTTRKYVFTTINALPEMVTDIVVVD -EVSMLTNYELSVINARIRAKHYVYIGDPAQLPAPRVLLSKGTLEPKYFNTVTKLMCCLGPDIFLGTCYRC -PKEIVDTVSALVYENKLKAKNESSSLCFKVYYKGVTTHESSSAVNMQQIYLINKFLKANPLWHKAVFISP -YNSQNFAAKRVLGLQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRFNVAITRAKKGILCVMSNMQLFEA -LQFTTLTLDKVPQAVETRVQCSTNLFKDCSKSYSGYHPAHAPSFLAVDDKYKATGDLAVCLGIGDSAVTY -SRLISLMGFKLDVTLDGYCKLFITKEEAVKRVRAWVGFDAEGAHATRDSIGTNFPLQLGFSTGIDFVVEA -TGLFADRDGYSFKKAVAKAPPGEQFKHLIPLMTRGQRWDVVRPRIVQMFADHLIDLSDCVVLVTWAANFE -LTCLRYFAKVGREISCNVCTKRATAYNSRTGYYGCWRHSVTCDYLYNPLIVDIQQWGYIGSLSSNHDLYC -SVHKGAHVASSDAIMTRCLAVYDCFCNNINWNVEYPIISNELSINTSCRVLQRVMLKAAMLCNRYTLCYD -IGNPKAIACVKDFDFKFYDAQPIVKSVKTLLYSFEAHKDSFKDGLCMFWNCNVDKYPPNAVVCRFDTRVL -NNLNLPGCNGGSLYVNKHAFHTKPFSRAAFEHLKPMPFFYYSDTPCVYMDGMDAKQVDYVPLKSATCITR -CNLGGAVCLKHAEEYREYLESYNTATTAGFTFWVYKTFDFYNLWNTFTKLQSLENVVYNLVKTGHYTGQA -GEMPCAIINDKVVAKIDKEDVVIFINNTTYPTNVAVELFAKRSIRHHPELKLFRNLNIDVCWKHVIWDYA -RESIFCSNTYGVCMYTDLKFIDKLNVLFDGRDNGALEAFKRSNNGVYISTTKVKSLSMIKGPPRAELNGV -VVDKVGDTDCVFYFAVRKEGQDVIFSQFDSLRVSSNQSPQGNLGSNEPGNVGGNDALATSTIFTQSRVIS -SFTCRTDMEKDFIALDQDLFIQKYGLEDYAFEHIVYGNFNQKIIGGLHLLIGLYRRQQTSNLVIQEFVSY -DSSIHSYFITDEKSGGSKSVCTVIDILLDDFVALVKSLNLNCVSKVVNVNVDFKDFQFMLWCNDEKVMTF -YPRLQAASDWKPGYSMPVLYKYLNSPMERVSLWNYGKPVTLPTGCMMNVAKYTQLCQYLNTTTLAVPVNM -RVLHLGAGSEKGVAPGSAVLRQWLPAGTILVDNDLYPFVSDSVATYFGDCITLPFDCQWDLIISDMYDPI -TKNIGEYNVSKDGFFTYICHMIRDKLALGGSVAIKITEFSWNAELYKLMGYFAFWTVFCTNANASSSEGF -LIGINYLGKPKVEIDGNVMHANYLFWRNSTVWNGGAYSLFDMAKFPLKLAGTAVINLRADQINDMVYSLL -EKGKLLVRDTNKEVFVGDSLVNVI - ->YP_009555238.1 ORF1ab polyprotein [Human coronavirus OC43] -MSKINKYGLELHWAPEFPWMFEDAEEKLDNPSSSEVDMICSTTAQKLETDGICPENHVMVDCRRLLKQEC -CVQSSLIREIVMNASPYDLEVLLQDALQSREAVLVTTPLGMSLEACYVRGCNPKGWTMGLFRRRSVCNTG -RCTVNKHVAYQLYMIDPAGVCLGAGQFVGWVIPLAFMPVQSRKFIVPWVMYLRKRGEKGAYNKDHGRGGF -GHVYDFKVEDAYDQVHDEPKGKFSKKAYALIRGYRGVKPLLYVDQYGCDYTGSLADGLEAYADKTLQEMK -ALFPTWSQELLFDVIVAWHVVRDPRYVMRLQSAATIRSVAYVANPTEDLCDGSVVIKEPVHVYADDSIIL -RQYNLVDIMSHFYMEADTVVNAFYGVALKDCGFVMQFGYIDCEQDSCDFKGWIPGNMIDGFACTTCGHVY -EVGDLMAQSSGVLPVNPVLHTKSAAGYGGFGCKDSFTLYGQTVVYFGGCVYWSPARNIWIPILKSSVKSY -DSLVYTGVLGCKAIVKETNLICKALYLDYVQHKCGNLHQRELLGVSDVWHKQLLLNRGVYKPLLENIDYF -NMRRAKFSLETFTVCADGFMPFLLDDLVPRAYYLAVSGQAFCDYADKLCHAVVSKSKELLDVSLDSLGAA -IHYLNSKIVDLAQHFSDFGTSFVSKIVHFFKTFTTSTALAFAWVLFHVLHGAYIVVESDIYFVKNIPRYA -SAVAQAFQSVAKVVLDSLRVTFIDGLSCFKIGRRRICLSGRKIYEVERGLLHSSQLPLDVYDLTMPSQVQ -KAKQKPIYLKGSGSDFSLADSVVEVVTTSLTPCGYSEPPKVAAKICIVDNVYMAKAGDKYYPVVVDDHVG -LLDQAWRVPCAGRRVTFKEQPTVKEIISMPKIIKVFYELDNDFNTILNTACGVFEVDDTVDMEEFYAVVI -DAIEEKLSPCKELEGVGAKVSAFLQKLEDNPLFLFDEAGEEVLAPKLYCAFTAPEDDDFLEESDVEEDDV -EGEETDLTVTSAGQPCVASEQEESSEVLEDTLDDGPSVETSDSQVEEDVEMSDFVDLESVIQDYENVCFE -FYTTEPEFVKVLGLYVPKATRNNCWLRSVLAVMQKLPCQFKDKNLQDLWVLYKQQYSQLFVDTLVNKIPA -NIVLPQGGYVADFAYWFLTLCDWQCVAYWKCIKCDLALKLKGLDAMFFYGDVVSHICKCGESMVLIDVDV -PFTAHFALKDKLFCAFITKRIVYKAACVVDVNDSHSMAVVDGKQIDDHRITSITSDKFDFIIGHGMSFSM -TTFEIAQLYGSCITPNVCFVKGDIIKVSKLVKAEVVVNPANGHMAHGGGVAKAIAVAAGQQFVKETTDMV -KSKGVCATGDCYVSTGGKLCKTVLNVVGPDARTQGKQSYVLLERVYKHLNNYDCVVTTLISAGIFSVPSD -VSLTYLLGTAKKQVVLVSNNQEDFDLISKCQITAVEGTKKLAARLSFNVGRSIVYETDANKLILINDVAF -VSTFNVLQDVLSLRHDIALDDDARTFVQSNVDVVPEGWRVVNKFYQINGVRTVKYFECTGGIDICSQDKV -FGYVQQGIFNKATVAQIKALFLDKVDILLTVDGVNFTNRFVPVGESFGKSLGNVFCDGVNVTKHKCDINY -KGKVFFQFDNLSSEDLKAVRSSFNFDQKELLAYYNMLVNCFKWQVVVNGKYFTFKQANNNCFVNVSCLML -QSLHLTFKIVQWQEAWLEFRSGRPARFVALVLAKGGFKFGDPADSRDFLRVVFSQVDLTGAICDFEIACK -CGVKQEQRTGLDAVMHFGTLSREDLEIGYTVDCSCGKKLIHCVRFDVPFLICSNTPASVKLPKGVGSANI -FIGDKVGHYVHVKCEQSYQLYDASNVKKVTDVTGKLSDCLYLKNLKQTFKSVLTTYYLDDVKKIEYKPDL -SQYYCDGGKYYTQRIIKAQFKTFEKVDGVYTNFKLIGHTVCDSLNAKLGFDSSKEFVEYKITEWPTATGD -VVLATDDLYVKRYERGCITFGKPVIWLSHEKASLNSLTYFNRPSLVDDNKFDVLKVDDVDDGGDSSESGA -KETKEINIIKLSGVKKPFKVEDSVIVNDDTSETKYVKSLSIVDVYDMWLTGCKYVVRTANALSRAVNVPT -IRKFIKFGMTLVSIPIDLLNLREIKPAVNVVKAVRNKISVCFNFIKWLFVLLFGWIKISADNKVIYTTEI -ASKLTCKLVALAFKNAFLTFKWSMVARGACIIATIFLLWFNFIYANVIFSDFYLPKIGFLPTFVGKIAQW -IKNTFSLVTICDLYSIQDVGFKNQYCNGSIACQFCLAGFDMLDNYKAIDVVQYEADRRAFVDYTGVLKIV -IELIVSYALYTAWFYPLFALISIQILTTWLPELFMLSTLHWSFRLLVALANMLPAHVFMRFYIIIASFIK -LFSLFRHVAYGCSKSGCLFCYKRNRSLRVKCSTIVGGMIRYYDVMANGGTGFCSKHQWNCIDCDSYKPGN -TFITVEAALDLSKELKRPIQPTDVAYHTVTDVKQVGCSMRLFYDRDGQRTYDDVNASLFVDYSNLLHSKV -KSVPNMHVVVVENDADKANFLNAAVFYAQSLFRPILMVDKNLITTANTGTSVTETMFDVYVDTFLSMFDV -DKKSLNALIATAHSSIKQGTQIYKVLDTFLSCARKSCSIDSDVDTKCLADSVMSAVSAGLELTDESCNNL -VPTYLKSDNIVAADLGVLIQNSAKHVQGNVAKIAGVSCIWSVDAFNQFSSDFQHKLKKACCKTGLKLKLT -YNKQMANVSVLTTPFSLKGGAVFSYFVYVCFVLSLVCFIGLWCLMPTYTVHKSDFQLPVYASYKVLDNGV -IRDVSVEDVCFANKFEQFDQWYESTFGLSYYSNSMACPIVVAVIDQDFGSTVFNVPTKVLRYGYHVLHFI -THALSADGVQCYTPHSQISYSNFYASGCVLSSACTMFTMADGSPQPYCYTEGLMQNASLYSSLVPHVRYN -LANAKGFIRFPEVLREGLVRIVRTRSMSYCRVGLCEEADEGICFNFNGSWVLNNDYYRSLPGTFCGRDVF -DLIYQLFKGLAQPVDFLALTASSIAGAILAVIVVLVFYYLIKLKRAFGDYTSVVFVNVIVWCVNFMMLFV -FQVYPILSCVYAICYFYATLYFPSEISVIMHLQWLVMYGTIMPLWFCLLYIAVVVSNHAFWVFSYCRKLG -TSVRSDGTFEEMALTTFMITKDSYCKLKNSLSDVAFNRYLSLYNKYRYYSGKMDTAAYREAACSQLAKAM -DTFTNNNGSDVLYQPPTASVSTSFLQSGIVKMVNPTSKVEPCVVSVTYGNMTLNGLWLDDKVYCPRHVIC -SASDMTNPDYTNLLCRVTSSDFTVLFDRLSLTVMSYQMRGCMLVLTVTLQNSRTPKYTFGVVKPGETFTV -LAAYNGKPQGAFHVTMRSSYTIKGSFLCGSCGSVGYVIMGDCVKFVYMHQLELSTGCHTGTDFNGDFYGP -YKDAQVVQLLIQDYIQSVNFVAWLYAAILNNCNWFVQSDKCSVEDFNVWALSNGFSQVKSDLVIDALASM -TGVSLETLLAAIKRLKNGFQGRQIMGSCSFEDELTPSDVYQQLAGIKLQSKRTRLFKGTVCWIMASTFLF -SCIITAFVKWTMFMYVTTNMFSITFCALCVISLAMLLVKHKHLYLTMYITPVLFTLLYNNYLVVYKHTFR -GYVYAWLSYYVPSVEYTYTDEVIYGMLLLVGMVFVTLRSINHDLFSFIMFVGRLISVFSLWYKGSNLEEE -ILLMLASLFGTYTWTTVLSMAVAKVIAKWVAVNVLYFTDIPQIKIVLLCYLFIGYIISCYWGLFSLMNSL -FRMPLGVYNYKISVQELRYMNANGLRPPKNSFEALMLNFKLLGIGGVPIIEVSQFQSKLTDVKCANVVLL -NCLQHLHVASNSKLWHYCSTLHNEILATSDLSVAFEKLAQLLIVLFANPAAVDSKCLTSIEEVCDDYAKD -NTVLQALQSEFVNMASFVEYEVAKKNLDEARFSGSANQQQLKQLEKACNIAKSAYERDRAVAKKLERMAD -LALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPSLAANTLNIIVPD -KSVYDQVVDNVYVTYAGNVWQIQTIQDSDGTNKQLNEISDDCNWPLVIIANRYNEVSATVLQNNELMPAK -LKIQVVNSGPDQTCNTPTQCYYNNSNNGKIVYAILSDVDGLKYTKILKDDGNFVVLELDPPCKFTVQDAK -GLKIKYLYFVKGCNTLARGWVVGTISSTVRLQAGTATEYASNSSILSLCAFSVDPKKTYLDFIQQGGTPI -ANCVKMLCDHAGTGMAITVKPDATTSQDSYGGASVCIYCRARVEHPDVDGLCKLRGKFVQVPVGIKDPVS -YVLTHDVCRVCGFWRDGSCSCVSTDTTVQSKDTNFLNRVRGTSVDARLVPCASGLSTDVQLRAFDIYNAS -VAGIGLHLKVNCCRFQRVDENGDKLDQFFVVKRTDLTIYNREMKCYERVKDCKFVAEHDFFTFDVEGSRV -PHIVRKDLTKYTMLDLCYALRHFDRNDCMLLCDILSIYAGCEQSYFTKKDWYDFVENPDIINVYKKLGPI -FNRALVSATEFADKLVEVGLVGVLTLDNQDLNGKWYDFGDYVIAAPGCGVAIADSYYSYIMPMLTMCHAL -DCELYVNNAYRLFDLVQYDFTDYKLELFNKYFKHWSMPYHPNTVDCQDDRCIIHCANFNILFSMVLPNTC -FGPLVRQIFVDGVPFVVSIGYHYKELGIVMNMDVDTHRYRLSLKDLLLYAADPALHVASASALYDLRTCC -FSVAAITSGVKFQTVKPGNFNQDFYDFVLSKGLLKEGSSVDLKHFFFTQDGNAAITDYNYYKYNLPTMVD -IKQLLFVLEVVYKYFEIYDGGCIPASQVIVNNYDKSAGYPFNKFGKARLYYEALSFEEQDEIYAYTKRNV -LPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVVIGTTKFYGGWDDMLRRL -IKDVDNPVLMGWDYPKCDRAMPNLLRIVSSLVLARKHETCCSQSDRFYRLANECAQVLSEIVMCGGCYYV -KPGGTSSGDATTAFANSVFNICQAVSANVCALMSCNGNKIEDLSIRALQKRLYSHVYRSDKVDSTFVTEY -YEFLNKHFSMMILSDDGVVCYNSDYASKGYIANISAFQQVLYYQNNVFMSESKCWVEHDINNGPHEFCSQ -HTMLVKMDGDDVYLPYPNPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVYHENEEYQKVFRVYL -AYIKKLYNDLGNQILDSYSVILSTCDGQKFTDESFYKNMYLRSAVMQSVGACVVCSSQTSLRCGSCIRKP -LLCCKCCYDHVMATDHKYVLSVSPYVCNAPGCDVNDVTKLYLGGMSYYCEDHKPQYSFKLVMNGLVFGLY -KQSCTGSPYIDDFNRIASCKWTDVDDYILANECTERLKLFAAETQKATEEAFKQSYASATIQEIVSEREL -ILSWEIGKVKPPLNKNYVFTGYHFTKNGKTVLGEYVFDKSELTNGVYYRATTTYKLSVGDVFVLTSHSVA -NLSAPTLVPQENYSSIRFASVYSVLETFQNNVVNYQHIGMKRYCTVQGPPGTGKSHLAIGLAVFYCTARV -VYTAASHAAVDALCEKAYKFLNINDCTRIVPAKVRVECYDKFKINDTTRKYVFTTINALPEMVTDIVVVD -EVSMLTNYELSVINARIRAKHYVYIGDPAQLPAPRVLLSKGTLEPKYFNTVTKLMCCLGPDIFLGTCYRC -PKEIVDTVSALVYENKLKAKNESSSLCFKVYYKGVTTHESSSAVNMQQIYLINKFLKANPLWHKAVFISP -YNSQNFAAKRVLGLQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRFNVAITRAKKGILCVMSNMQLFEA -LQFTTLTLDKVPQAVETKVQCSTNLFKDCSKSYSGYHPAHAPSFLAVDDKYKATGDLAVCLGIGDSAVTY -SRLISLMGFKLDVTLDGYCKLFITKEEAVKRVRAWVGFDAEGAHATRDSIGTNFPLQLGFSTGIDFVVEA -TGLFADRDGYSFKKAVAKAPPGEQFKHLIPLMTRGHRWDVVRPRIVQMFADHLIDLSDCVVLVTWAANFE -LTCLRYFAKVGREISCNVCTKRATVYNSRTGYYGCWRHSVTCDYLYNPLIVDIQQWGYIGSLSSNHDLYC -SVHKGAHVASSDAIMTRCLAVYDCFCNNINWNVEYPIISNELSINTSCRVLQRVILKAAMLCNRYTLCYD -IGNPKAIACVKDFDFKFYDAQPIVKSVKTLLYSFEAHKDSFKDGLCMFWNCNVDKYPPNAVVCRFDTRVL -NNLNLPGCNGGSLYVNKHAFHTKPFARAAFEHLKPMPFFYYSDTPCVYMDGMDAKQVDYVPLKSATCITR -CNLGGAVCLKHAEEYREYLESYNTATTAGFTFWVYKTFDFYNLWNTFTKLQSLENVVYNLVKTGHYTGQA -GEMPCAIINDKVVAKIDKEDVVIFINNTTYPTNVAVELFAKRSVRHHPELKLFRNLNIDVCWKHVIWDYA -RESIFCSNTYGVCMYTDLKFIDKLNVLFDGRDNGALEAFKRSNNGVYISTTKVKSLSMIRGPPRAELNGV -VVDKVGDTDCVFYFAVRKEGQDVIFSQFDSLGVSSNQSPQGNLGSNGKPGNVGGNDALSISTIFTQSRVI -SSFTCRTDMEKDFIALDQDVFIQKYGLEDYAFEHIVYGNFNQKIIGGLHLLIGLYRRQQTSNLVVQEFVS -YDSSIHSYFITDEKSGGSKSVCTVIDILLDDFVALVKSLNLNCVSKVVNVNVDFKDFQFMLWCNDEKVMT -FYPRLQAASDWKPGYSMPVLYKYLNSPMERVSLWNYGKPVTLPTGCMMNVAKYTQLCQYLNTTTLAVPVN -MRVLHLGAGSEKGVAPGSAVLRQWLPAGTILVDNDLYPFVSDSVATYFGDCITLPFDCQWDLIISDMYDP -ITKNIGEYNVSKDGFFTYICHMIRDKLALGGSVAIKITEFSWNAELYKLMGYFAFWTVFCTNANASSSEG -FLIGINYLCKPKVEIDGNVMHANYLFWRNSTVWNGGAYSLFDMAKFPLKLAGTAVINLRADQINDMVYSL -LEKGKLLIRDTNKEVFVGDSLVNVI - ->YP_009273004.1 ORF1ab polyprotein [Rousettus bat coronavirus] -MEGALGTNKLRSMVSITLDWIDPRNVPRLTNWEMPLQEAAEYVKRELSKPNPDVVFVPNYLLDSAQIPQN -RVVITNSVWRCYEMGWKPVREIAFDLDGVRFGRGGTHGVLLPRQPPQYICGTVSIELRKYGVGGGAEIFI -PKLWDGFVDPPAAAEEYLDFPDKCFPTKPKAKRGGNTFLTDQYGFDADGVLAAPIKEVLGGKGAGMSLVE -LTKFLGKYRTADGYELPSGIVKVAVKVVRKNLPVSKQSIFTVLGVTERVVDGFYYPYSTNSVVSYTKPRA -GATVGNTVQSVMLSMYGTEAYNPVTPVVRLRCSSCDFYGWVPVKDLGCVTCSCAAVHQITSSCIDAESAG -LIKQGAVMLVDRSPSMRVVPGNRLYVAFGGAIWSPIGKVNGVQVWVPRAYSCVAGDHSGAVGSGDVNTIN -KEIMSLIIDGVRIDDEVLEQPSCGVLIANLEDPSAAPRVHTVDSLRQLCVDNNVMLGDTPLPKDEFHPGI -VGLSYHFYRACWYGVLTAKSFGAFKELLQSEEVRLSHFCANIRRCLDRALNWARTTGNVSLLSSSLVLKA -LAENLLDSVKNTPFLVGDLVKPVLDWIVEKMVLARDSVVNCAEAVLSLFNMQFTFAKGKFTFLKEKLNKS -CVALRELLTVIVNKFATTVKWAGCKIDGFYNGDYHFFSAKGVLTEVQVCAKTLGAMLTPRQQRMEVEVLD -GKYDAPVAITVPELEELNGTLEEVFGFDDLTLVRGSLVALASKVFVRTDDGLLFRYVKSGGVFLKAFRLR -GGGKPKVSFGEEEVRTVPRVLTVNFTYDVCEGLDGVLNKLMAPFEVEEGTKLEDLALVVQEAVAEKLREL -FEDCPADVRPIDIDAFADDECYIYNMDYEKVLSTEMYFSLEDVTPVEDEDECASEVQSSDDCEEQTEQFD -ESDDDTWLGADDTEAEADVSFPVVEGIPEASGHVPPENIDDDHVGFKQLTANVFIKCADIVQEATSRSYK -VLVNAANVNLHHGGGVAGVLNRASDNAMQAESTAYIREHGPLQPGNGVLLSGHGLAQDGILHVVGPDKRL -GQSLDTLGQVYQLYNAHDDILTPLVSAGIFGFTVEESLVCLCRNVTANTFIVVYDKQLYSRACAVSRQDL -EPAPLARKEACVVAVSNDAQQESQENDWAAAVEFQEKNHNVEEVVGGPYPSGDAPVPFAALVDAKTTTEA -PCEDASITTPSPNLKENVEEEVAACMRFLLRRVTKSLKSERRETTNAAKVTEVVQEAPPAEPVVASSQSH -LKDVLCMSFSQMIQYAKSHGLLTPVVLDYTAFSKVLRKYEPKSGLYEFGGQKFYGYSRETPLEDVSKALN -ELGLPLIMIPFGYIVNGAQLSLSAINMRMLQVEHTVVLPSESCVPLYRNYYVSGITQETTALQDFVVDII -VNGAKGWDVVQTVCKVDGVTYKTICKYRDTYMCHDESNLYAITGSTVLKFATLQKARGYMESSVKEKKTL -TKVLTTVDGVNYSTVLVDDERTFQDQIGVVFHNGVDISSRVPNSDDANIQLFKQDNFSPEEVQAISDYYG -VNDCTVVARALSVRRYVRTWPYSVVDGRVLLAQRDSNCYLNAAISVLQDIEVEFSTPWISTAFDALKGGN -PLPIVEVLITLGKTTIGEPDDAHMILSSLLSYASVKAARRAITTVCENCGSSQEIIEGVPACLHYGTVQL -DDLYKPESIVCPCGKAAIRFVSLQQTPWVLMSHVPTNVPLDTTGNWFSAVVFKGPVTCGHYMYAVNGSLI -SVYDANTRKRTSDLLVPATDILYKDVNFTTSSKVVTFYLDGVKLTQIDPDLTQYVKRGDYYFTKAPIDVV -AAPKVVTPYDGFRLVSDQASELAEAFNKAINASSDGPKRSLTVYPDCSGDAVVVNEEIPSYAHGALIQGK -PVLYPKKPKTWKKLVPLLSVLEVKTKNRFEALPVDQLPEQTVEVVKKHKVATEPLYGLTAPIVLDGVNYV -PGKKGDLLCLKEFTIIDLKTFYVEGNQPFVLLKENHLSKLLGLKISSNKLVVNKISSALILSYGLSLKSA -CAVKCATKKTLRYGAQATANCIRETKPGAKIVGILCMFYRVLLHLWFAIKKPPLVKVSGIVAYNTGCSVT -ACVFNYLKARFSNTSWTGISRVLRLLLYMWFTWTCLAIVGVWVSEPYAPSLLTKVKQYFGFVFPCDYLTV -NETSEGWFHHMCMSGMDGLDYPALKMQQRRYGSPYDWTYMVMFLEAFVAYLLYTPVLPLVGIIGCLHALV -LYLPISLGNSWLVVFFYYFVKLIPLSSLLRMYIVSAFLWLCYKGFVHVRYGCTNVSCLMCYKRNIATRVE -CSTVVNGVKRVFYVNANGGTYYCTKHNWNCVNCDTYTVDSTFISRPVALDLSAQFKRPINHTDEAYYEVT -SVQVRNGYVYCYFDSEGEQSYERFPVDSFTNVSKLYYSELKGNPPAFNVLIFDATNRIEENAVKTAAIYY -SQLACKPILLVDKRMVGVVGDDTAIAKTMFEAYAQSYLLKYNIAMDKVKSLYATALHQIASGTSVEAVLK -VFVGSTREEARDLESDVDTNELVSCIRMCHQDGWEWTTASWNNLVPTYLKQETLSTLEVGQLITSNARYI -NANMAKSAAVQIVWRYADFARLSESVRRQLKIAARKTGLSLQLTTSNLKADVACVVTPFKVVGGNKRVIP -WRSILVHVLMLLVVLNPQWFTPWYTMTAVPYDVIDFKVIDNAILRDIVPTDTCFANKFETFDNWYHNRFG -TYENNWRCPMVVGVVKELVGVLKPDLPVRFVRVGTTLLPVVNFGMSGADSVCYTPYSAISYSDFETSACV -LAATCTLFTGVGGQPAPYCADPTLIPNATHYGMLKPHVLYPFYETAGYIRFPEVIDAGVHIVKLMAMEYC -KVGRCDVSEAGVCVTLTPMWVVNNPTFRQLPGVYCGASFMDMILQVFTPMFTPVGAVDITGSIIMGACLA -VAISMVLYYLLKFRRAFGDYSSVVLVNILAFVVNVLVLCLEGAYPMLPSIYALLFLYVTCYFSSDVAAIM -HVSFLVMFTSVIPLWVTMVYVVVLCSRHALWFAGLCTKRTVQVGDLSFHSFHDAALQTFMLDKEKFLRLK -RELSPDAYAKYLALFPKYKYYNGPMDTAAYREAACAHLVMALEKFSTGGGDSIYQPPRCSVAAATLQAGL -AKMAHPSGLVEPCIVKVSYGTMTLNGVWLNNYVLCPRHVLCTRDDLVNPDYQRLSMRAANYDFHVSQNGL -NLRVTGHVMEGALLRLTVDATNPKTPAHSFVRVSTGQAISILACYDGIPAGVYTCTLRANGTLRAAFLCG -SCGSPGFVMNGKEVQFCYLHQLELPNGTHTGTDMHGAFYGPFEDKQVPQLASPDVTITVNVLAWLYAAVL -SGESWFVTKLGITAAEFNTSAVKYMCQSVTEESLQVLQPLAAKTGVSVQRMLSSLKVLLSTGFCGKTIMG -SCSLEDEHTPYDIGRQMLGVTLQSRTQKFVKWAMQWFLIVFALSCLTLLHLSQWTVLGALPLQLLLPLLG -FISCCVGFMAMFIKHKHTFLTVYLLPVVMVAAYYNFQYQPEGIQGYLLYVYNYVNPGRFDMGFDLTTMLV -ISGITTLLSVRIAKSDTYSRVWYVCTAIGWVYNCCTGTAETIALSYLTFVVSVFTNYTGVACISVYVSQV -IVWAMAWVEPGILMYGHFRCVLVCYVIIGYCCTCYFGVFNLLNRLFRCTLGTYDYVVSSQELRYMNSNGL -SPPTNSWQAMVLNLKLAGIGGVPMYKVATIQSNMTDLKCTSVVLLSVLQQLHVESSSKLWSLCVKLHNEI -LAATSTTDAFEAFVSLLSVLLSLPGAINLDELCNSILENNTVLQAVASEFSNLSSYVDYENAQKAYDTAV -TTGAPVSTIKALKKAMNVAKSVLDKDVATARKLERMSEMAMTAMYKQARAEDKRSKVTSAMQTMLFNMIR -RLDSDALNSILTNARNGIVPLGVIPRTAANKLLLVVPDYSVFSSTVVLPTLTYAGSAWDVTQVSDADGKN -VNVTDITRENSANLAWPLVITAVRQVATSPVKLQNNELMPQAVKRMAVTAGISQTSCTTDTVAYYNASKE -GRHVMAILADVDGLLYAKVEKSTGDGFVILELEPPCKFMIETPKGPALKYLYFTKGLKNLCRGTVLGALA -CTVRLHAGSATEVASNSAILSLCAFSVDPEATYKDYLDNGGTPIGNCVKMLTTHTGTGLALTAKPDASID -QESFGGASCCIYCRCHIEHPGASGVCKFKGKFVQIPLCGVPDPVGFCIRNVICSVCNMWQGYGCPCASLR -EVSLHANDDCFLNRVRGASGVARLVPQGIGVQPDVVLRAFDICNSKVAGFGLHLKNNCCRYQELDSEGNK -LDSYFVVKRHTEENYALEQRCYDKLKDCDVVARHDFFKFKVEGVMTPHISRQRLTKYTMADLVYSLRHFD -NNNCEILKEILVMRGCCDEEFFTKKDWFDPVETPELISVYHKLGETVRNAVLSANKMADAMVKAGLVGVL -TLDNQDLNGKWYDFGDFIEAPPGTGVAVMDTYYSLAMPVYTMTNMLAAECHVDGDLTKPKRVWDLCVYDY -TQFKYSLFQKYFKYWDMQYHPNCVACPDDRCILHCANFNILFSMVIPNTSFGPLVQKVYVDGVPFVVSTG -YHYRELGVVINQDIKVHSQRLSLKDLLVYAADPAMHIAASHALADKRTVCMSVAAMTTGVTFQTVKPGQF -NEDFYKFAVKCGFFKEGSSISFKHFFYAQDGNAAISDYDYYRYNLPTMCDIKQLLFSLEVVDRYFDCYEG -GCLQASQVVVANYDKSAGFPFNKFGKARLYYESLSYEDQDELFAYTKRNVLPTVTQMNLKYAISAKNRAR -TVAGVSIASTMTNRQFHQKILKSIAAARGASVVIGTTKFYGGWNRMLRTLCDGVEKPHLMGWDYPKCDRA -MPNLLRIFASLILARKHSTCCNTSERFYRLANECAQVLSEIVLCGGGFYVKPGGTSSGDSTTAYANSVFN -ICQAVSANLNTFLSRDGNKIYNSYVQGLQRRLYLGIYRTHTVDMELVTEYYNYLRKHFSMMILSDDGVVC -YNSDYASKGYVADIQGFKELLYYQNNVFMSEAKCWVEPDITKGPHEFCSQHTMLVDMHGEQVYLPYPDPS -RILGAGCFVDDLLKTDGTLMMERYVSLAIDAYPLTKHHDAEYQNVFWCYLQYIKKLHEELTGHLLDTYSV -MLASENAAKYWEVEFYENMYTESATLQSVGTCVVCSSQTSLRCGACIRRPFLCCKCCYDHVVSTTHKLVL -SVTPYVCNNPTCDVADVTQLYLGGMSYYCKDHKPVISFPLCANGQVFGLYKNICTGSNDVIDFNSLATCD -WSNSKDYVLANTASERLKLFAAETLRATEENAKQAYASATVKEVLSERELVLSWEVGKTRPPLNRNYVFT -GFHITKNSKIQLGEYIFEKGDYGDVVTYRASTTYRLQVGDYFVLTSHSVQALSSPTLLPQERYTKLVGLY -PALNVPEAFVTNVVHYQRVGMARYTTVQGPPGTGKSHLSIGLALYYPSAKIVYTACSHAAVDALCEKALK -HLPINRCSRIVPAKARVECFNRFKVNDVGSQYVFSTINALPETTADILVVDEVSMCTNYDLSMINARVRA -KHIVYVGDPAQLPAPRTLLTKGTLAPEHFNSVCRIMVAVGPDIFLATCYRCPKEIVDTVSALVYDNKLKA -NKGSTGECFKCYYRGSVTHDASSAINRPQLNLVKEFLTQNPKWQDAVFISPYNSQNAVARRMLGLQTQTV -DSSQGSEFDYVIYCQTSDTAHALNANRFNVAITRAKKGILCVMSDSTLYDALDFVPMDVTQFIKPKTQSA -AAVGLFKDCSKSEQVGPAYAPTFVSVNDKFKLNESMCAHFDTNEVQMPYIRLISVMGFRFDLHIPGYSKL -FITREQAIREVRGWIGFDVEGTHACGPNVGTNLPLQIGFSTGVNFVVTPAGFVDTDSGSRIAQIPSKPPP -GDQFKHLIPLLRKGEPWSVIRKRIVEMLCDTLDGVSDTVTFVTWAHGFELTTLHYFVKIGKERSCFSCKR -RATLYSSVYDAYACWTHHRHIGGADYVYNPFLVDVQQWGYVGNLQTNHDAICDVHKGAHVASCDAIMTRC -LAIHDCFCREVNWDIEYPIISNELAINKSCRVVQRLVLKAVTRAMHVTTIYDIGNPKAIRVPGVDVKRWK -FYDCKPIANGVETLHYSYAIHKDQFADGLTMFWNCNVDCYPNNALVCRFDTRVLSKLNLAGCNGGSLYVN -QHAFHTSAYNKSAFVNLKPLPFFFYSDTPCENAIGMSTDFVCDVDYVPLKSNVCITRCNLGGAVCKKHAD -EYRQFLEFYNTMVSAGFTLWVDKKFDVFNLWSTFTKLQSLENVAYNVVKSSHYTAIPGELPVAIVNDKLY -VKDEGYDKLLFTNKTCLPTNVAFELWAKRSVKVVPECKLLRHLGVTCTYKQVLWDYENEAPIVPCTIGVC -NYTDLAKEVSTEVQAQTVLIDGRDQDAYSKFCQLNNAVYFSPQKPKCVAIQGPPHASINGVVIEAPEKGT -QFWYAVRRNGEFVQLSDTLFTQSRTLDDFEPRSEMETDFLNLSQQDFLVKYDLEGYGLEHIAYGQFESVI -GGLHLLIGVVLRKKVSDIRLESVLGNDTVTSYAVVDKPTAANKQVCSVFDVLLDDFVALLKEQDRTVVSK -VVQVTLDFKVYRFMLWCKDGQIATFYPQLQSKQDWKPGYSMPALYKVQNAVLEPCLLHNYGQPARLPYGT -LMNVAKYTQLCQYLNTCTLSVPAKMRVMHFGAGSDKGVCPGTSVLKQWLPTDALLVDNDLDRCVSDANYT -FVGNCELFSTNHKWDLVISDMYDARTKQVNGDNISKEGFFTYLTGFIKSKLALGGSIAVKITEHSWNADL -YAMMGHFGWWTCFCTSVNSSSSEAFLIGINYLGRGQLLDGDQLHANYVFWRNSTLMQLSSYSLYDLQRFS -LRAKGTPVMTLNESQLNELVLNLLKAGRLLIRDVSDVALSGVV - ->YP_009072438.1 ORF1ab polyprotein [Bat Hp-betacoronavirus/Zhejiang2013] -MCSKGYELNQKTHFSVELPWIQIGENPIKPWSVTPAEALNTVYAAIAEGKAAFIPPFKGMHKYLPELCVF -LVRNHGNPTRPFDLQELAVREGDVNYGRSGETVGAIVPLDGQEPYGTITIQLRRRGKNAGGVYAWTNTRD -TVTDDLVHEPIDLYTEDPKGKHAQGNLRKFLTGGNDFHRYVDNYYCTGDGKPLPCIVTLLEKAGHANKPL -DDAMDYLRGLNRMGQPCRDHDHERVWEVERDNAPYPEQSLFSISAIKTLGEIPHCVFAPDCAVKVMKPRK -VKRGADGFMTKLRRVYNINGFDEVKPMALCALHYCEDCGMEMWCHSNFEEAYCPCGKIYTNTPCTLTSAG -YVVEGSVVAMPCDACKSDSDHSNGTFYDSCKYLAEDKAEPGNRENFVYFGGCCFAYVGCRNGNAIWVPRA -HSDIGNNHSGIVGADVALLNDDLKAIVAQEVIPVVIPEDFKVNETVVALVAAVSGSVDQFIKNCKGLTAE -TFKCLLEASGKFRVTVDHVIDGCICVATDSAVTALEPALAFCTAANIMYRTLVATSVVISAHTLDALAQR -VRDACKHVHPQAMRLLNTLNEYVDYSTNSTLVLCHDVINLPIVLGDILDRLFCGCKKIVESVTTWLYNFS -ISTIDTIFDLKAFISLIVRNKYKFVKGKFVLLAKNVSSVVQSVVAYLNNVISAFYQQVQFAGTYVGAFEI -HQTLLELGGAIYTRVQVAANSIQDLVLPSTVSKKELTFVDGHAIDTELTSEEVTVLEGIVEQLDTDTNKI -AGDSIKGDLVTVNNCVFVRFGDGNLSPATTDCQATKGVFKLKGGNPDKVKTVTFGENEVKEITPYITVNV -KYTVHDLLDKILNVRLPSFTVEKGLTLQELTAVVEDAVVTQLEAMRAILLEAGVDIDIDDLIDSPYYMFD -NAGDSVIGPVMYFSNQQPVDSDELTTASETEEVVEDAKHAATKRSSQDWLDDSCSEDDCEEEDAVTEAES -AADSAPALVVVIEEPKSTVSSVSEEPKFPLPEQCSVETHIVVDDTNSKRLLWSDMVEATCGSATDGNEGT -VGEVDTCAVAVNRDNTPSTQSIVTVSPQREVHHYVVTEEKWDEHVCGSCKPCEIPLSVKAKRVNQNSVNC -GEKAVVTVNSTTVFNTPVPREVEAKTANEQPKVDSTTVAKVTRTKSGHSQEFKPKGYTKLTDNVYIKCAD -IVEEARNTKPPILVNAANCKLKHGGGVAGAIRKAVGNSIQEDSDLYIKENGAIPVGGAALLPGYNLATNI -LHVVGPNITMNEDSSLIVNAYSNLNSFSTVVTPLLSAGIFGMKPIDSLTALLSTCKKTVYVVVNDKTIFD -ACCSHINNKDVKTPSKPVYMMGEVEVFCSTKVAYCNQDKEFCPGTSTLFKPEQLDEIRLKLPEDFLIGDC -HKVDDVYVLCIPDRRKGQDLTGFKGLKQLKKVTAASCLPGQGKFGFTIQDVTPLMTACPDIYLVKTSVDN -DVQLLCPTVTYNVKQMLARAKQEKLLMCVNIDNKSFTRNVVHLAKNFQHKPGCQTVDGYEFYCYTEKQPL -SSIIDELNALGKDIITTPLGCITHQLSLPESAAKMRALKCKFLAVCTSPGAEKQFCAAFTAASSSTEEEL -FMADCVSNGSYMDWIVINKADGDTIHFLQRDEDIIDYHTSTNKFSMSGVSGLVFKDVKILKRYLEKTKPM -LKEVTVYTTVDGTNVNTKILICSKSFGSQLGTCYIDGEDVTSVFPTKQHEGKIVNITQVDDVTSSQVKEY -YGVEDTSFLTRYNSALMHVNRWSFAEVPSTGIFCIKWVANNCYLNAAILMLQQLNVEYETPAVREAAFEM -RAGKPQRFVALMIAYLKATIGHTGDAREAVRTMLSHAKVQGERHFVMMCKKCGIRRSQFHGLDAVMCFTT -NTQLSDFLSGDEAGCTCGDIVPVYQTHIRVPFLILSTTEPKMQMLNCEDTKFLCANNYMGTLSSGHYTHV -FNKTLLYSVNGTRVTKMSETTTAVADAVYKDIKYDTEDQFVTYRLDGVWCHEKNPDLSVYYKSGSDYYTS -APIDLTPTEPLSGAEYDNFHLKLVGTLDDNKVKFVQEFNHMVKYDKTKPTRPVTISFYPEMEGDVVALSA -DKLQQHFKKGAKFGSKFIVWHTGYKITRDLVKPNMAAMRCITTSKPVTTQNSFQALSVEPLPETKHSEHE -LATEQKPILKLRTEKPVVTAAVTIIPAPKNADAPVLIESLTTDDALAMYVKGTRDFVVNKANSLSKLFGI -NTIEQNGVDALNMCYDRLAVFDYVKPFLTVTKTASDVTIKAGKVACSLLQRTVPLLYALVVSYLVPLFTR -TKQRVSVKVPMVLTKNTCRSVFGLLMNGVANYFTSPKFKSCVRVFALATCIAAILYMAMFVYLFFDIWLS -KANVWTDVQTLKDNFGLGTVCDKFQTAYNNSGIYDATEYCTGKSFMCSLCLRGMDSLNFYTELSTSQKTV -SWSSFDFSNINTAAEWCLAYLLYTKMFVVLLATAIFQWFAVYFGLYLTQSSWLMWTMVRVISLVPTSILV -RMYIYCASFYFVYRAYVHVVSGCQDTRCLMCYKRNLARRVECHTVVQGSRKSFYVHANGGTGFCEKHAWN -CINCDSYGPGNTFICDEVAVDLSTTFKRPVKPTDKSHYFVDSVEVKDTFVHLYYSKNDTRVYDRYPLSVF -SSVDFLKLANIKTMPSTNVLVFDASNKTEENTTVAASVYYSQLCCQPMLLIEQKLLSNIGDATEVSKKML -DAYIEKFMSTFGVTMEKLKTFVSAAKNSLNDGVPLEKVLKEFVAAAKQNCAETDVEADSIVNALQLTFKD -DVDITKDSYNDFVLTYNKVDSMTPYDLGVCIEVGARHVNANVAKANAAALIWNIDHYLLLSESFRRQIRS -SARKNGLQFKLTCSKNRQVTPFLTTKIMFSGGATYTTWLKRLLKVAMVMLLVCCILYCLLPSFKSQPLNM -YSDEIIDFKVIEDGSLRDITADDTCFSNKHSSFVSWLSRNGFKMTTTSRHCPITVAVVAKKVGDVIPSLP -GVVTRVLVRGQIMHFLARVFSAAANICYTPGTLIEYEDFLTSACVLPAECTIFKDSNGIAVPYCYDVNAL -QGSLPYSSLKHDVLYQLVDNSFIKFPKVLVEGAFRIVRTMTTQYCRHGVCEDSMAGLCLSLRGSWVLNNP -YYTSQSGVYCGNDVVDLLMNILAPMFRPAGGMDITSSIVAGGILSLVITVVAYYILKLRRAFGDYQQVVV -VNTLAFLCSFLVLCVSTQYPVLPTVYSILYFYMSLYMANEVSFIMHCSWCVMFVPIVPFWCTAVYITMTC -SKHVYWFFQTFCKKNVVVHDATFSSFAEAALSTFMITRDVYVKLRAEAFLSAAQYNRYLSMFNRYKYFSG -SMDTESYREAACCHLAKAMKDFSEQGSDILYQPPSCSLASAVLQSGIRKMSCPTGKVERCMVRVTCGTMT -LNGLWLDNTVYCPRHVMCTPEELLAPDYDSILLRKATHSFTVQYGTAYLKVVSYKMTGSVLQLGVDQINP -ETPKYKFVRAKPGATFSVLACYNGMPAGVYQVAMRPNHTIKGSFLNGSCGSVGYTLGYDRVEFCYMHHME -LPTGVHTGTDLEGTFYGDFVDRQTSQSAGSDNTLTLNVLAWLYAAVINGERWFIVPQTCALTDFNTAVLK -YGYQSLTEDGVAALDPLVAQTGISVQTMCASLKDLLVHGMRGRCILSSPTLEDEFTPFDIVRQCSGVTLQ -STVRSVSSKFFQWMLMTALTFTILFLQLWEMSIFSWFAMHATIPVVILLMGVSAFVTMLVKHKHAFTTLF -LMPTLAVVFYYNCIYVPEHWLIEMVSKYVDLTDTIQLFYGYSIRELIALFAVVIAMSVLSLRIVYDDNTR -RVWLATNVLTWLYRLYAGTTVEKAMAYWALLMSLTTNYSGCVTVIMFAAKGVTTLIYYQFPFLGLLIAEF -KFLMLVYTCIGYLCCVYYGIFCMLNKYLRCTFGVYPYLVSTQEFRYMNSQGLTPPTNSWDALKMNIKLSG -VGGVPCIKVSNVQSKMSDIKCTSVVLLSVLQQLRIEANSRIWSLCVTLHNDILLAKDATEAFEKLTTLLS -VLLSMPGAVDLTKLCDDVFENPAILQAVSNEFNNLPTFVEYECAQKAYDEALANGEGPIVLKQLKKAVNC -AKSAFERDAAVARKLERMADLAMTQMYKEARAEDKRARVTSAMQSMLFSMLRRLDNDALNNIITNARDGC -VPLNVIPMLASSKLLIVVPDISVYNNTVEGTLLTYAGAVWDVVQVTDADNKPIAMGDITIDTAPTLAWPL -VLSAVRRVSATKLQNNELAPQLLNQKAVTGGSEQNICDQPALAYYNTVQGKHVILAVVSDHDGLRWTRVD -KSDGNGIVMIELDPPCRFITQTSSGPKVKYLYFIKGLNNLCRGMVLGTVAATVRLQAGKPTEVAANSKCL -TFCAFAVDPEKAYFDFVNSGGQPLNNCVRVLCTHTGTGRAITADPEATADQESYGGSSCCLYCRCHISHP -SHNGLCKYKGKYVQIPNVCTNDPVGFLLKNTVCNVCACWIGYGCNCDTLRQPVLHAADDSFLNRVRGVSA -ARLTPCGSGLSTDVVVRAFDLYNSKIGGFGLRYKGNCCRFQEIDEDGKALDSFFIVKRHTEDNFKLEQEM -YDLLKDSGVVAVHDFFHFRVEGRMEPHITRQRLTKYTMADLVYAFRHFDENSCEVLKEILVTYNCCGSDY -FEKKDWYDYVENPDILNVYARLGERIRCNLLKTVKFCDAMKRHGIVGVLTLDNQDLNGNWCDFGDFVRGF -PGNGVPVVDSYYSLLMPLMTMTKMLEAETHVDCDLTKDHVKWDLLQYDYTEHRLNLHQKYFKYWDMPYHP -NCQSCPDDRCILHCANFNVLYSTTIPQTSFGPIVKRIYVDGVPFVVSAGYHYKELGVVMNQDIHVHNARL -SLRELLVYAADPAMHAASGTLLLDKRTTCFSVASLTNTVSFQTVKPGNFNKDFYDFAVSKGFFKEGSSVD -LKHFFFAQDGNAAITDYSYYRYNLPTMCDIKQLLFTMEVVDKYFDCYDGGCLNANQVVVNSLDKSAGFPF -NKWGKARLYYESLSYEDQDALFAYTKRNVLPTITQMNLKYAISAKNRARTVAGVSICSTMTNRQFHQKML -KSIAATRNVSVVIGTTKFYGGWNNMLKTLYADVDNAQLMGWDYPKCDRAMPNMLRIFASLILARKHNTCC -NVSERYYRLANECAQVLSEMVLCGGALYVKPGGTSSGDATTAYANSVFNICQAVTANISALLAANGNKIV -DVYIRDLQRKLYANVYRSVHVDYKFVDEYYAFLRKHFSMMILSDDGVVCYNSDYVARGYIAGIKDFKQVL -YYQNNVFMSEAKCWVEPDLTKGPHEFCSQHTMLVEHNGEQVYLPYPDPSRILGACCFVDDVVKTDGTIMI -ERFVALAIDAYPLTKHENPEYKQVFYLLLQYIKKLHEELTGHLLDMYSVMISGDNAQRYWEEDFYEAMYT -QSVTLQAVGACVLCNSQTSLRCGDCIRRPFLCCKCCYDHVLSTPHKLVLSVSPYVCNAPGCEVTDVTQLY -LGGMSYFCKSHKPPISFPLCANGQVFGLYKNTCVGSINVADFNAIATCDWSSSGDYVLANTTTERLKLFA -AETLKAVEETAKQSYGVAVVREVVSDKEVILRWEADKPRPPLNRNYVFTGYRITKNSKTQIGEYTFEKSD -YGDSVLYKASTTYKLQVGDYFVLTSHSVLPLSAPTLLPQETYTRIVGLYPTFNIPDDYAGNVVNYQKIGM -AKYTTVQGPPGTGKSHLAIGVAVYYPTARIVYTACSHAAVDALCEKAFRTLPISKCSRIVPAKARVECFD -KFKVNDGTAQYVFSTINALPETSTDVLVVDEVSMCTNYDLSIINARVRAKHIVYVGDPAQLPAPRTLLTR -GSLEPENFNSVCRLMKTLGPDIFLGVCRRCPKEIVDTVSELVYENKLKAHKPESGQCFKMFYKGTVTHDV -SSAINRPQVGVVREFLSRNPQWKKAVFISPYNSQNAVASKILGLQTQTVDSSQGSEYDYVIFAQTTDTAH -SCNVNRFNVAITRAKKGILCIMSDPDMFERLKFVELAVPSRSQIRLQATEITGLFKDCSKLITGLSPAMA -PTYMAVDDKFKTNGGLCVHLPGIEKEVSYRRLISMMGFKLDYSVQDYPRLFITRDEAIKQVRAWVGFDVE -GAHATKDAVGTNVPLQLGFSTGINFVATPTGFVDTETGTECCRIFAKPPPGEQFKHLIPLMHRGMPWNIV -RARIVQMMSDTLKGVTDRVVLVTWAHGFELTSMQYFCKLGRERTCCMCAERATCYSSVHDNFGCWHHCTG -FDYLYNPFIVDVQQWGYSGNLQSNHDNHCSVHSGAHVASSDAIMTRCLAIYDCFVKEVHWDIEYPFIADE -EFINKSCRKVQNMVARAALLGDKFGTIHDIGNPKALKCVLDAEVNWKFYDAKPVAGRAHKVETLYYQYEV -HKDQFQDGVCMFWNCNVDHYPKNSIVCRFDTRSLSKLNLPGCDGGSLYVNKHAFHTPAFQKSAFTDLQQL -PFFFYSDTECDSTGQQVVADINYVPLKSNVCITRCNLGGAVCKKHANEYREYLTCYNAVIEAGFSLWIYK -WFNIYNLWSTFTKLQGLENVAYNVVNKGHFDGTQGEIPTAVIGDKVFVKREGVDVCVFTNNTTLPTNVAF -ELYAKRNVNPVPEVKLLRNIGVDICNGFTLWDYEQQAPVFNSTIGVCKYTDIAAKFSEKNCKPLTILFDA -RLSGHIDQFCNADNAVLYSDYPIKRLQGSKGPEHCSINGVIVNSTPDGGEKPAINCTFYFYKRENGQLVN -VGNSYFTQSRVKSSFEPRTTMERDFLELDMHGFIQRYNLEKYAFEHIVYGDFSHKQIGGLHLLIGLIKRC -NESTIDIEEFLTMDSTIHNYFVTDQNTGSSKAVCSVIDLLLDDFVEIIKSQDLTVVSKVVKVFIDFRDFD -FMLWCKDGVVQTFYPRLQSSSEWQPGQSMPRLYKIQTMCMEKCELLNYGQPPKLPNGILMNVAKYTQLCQ -YLNKTTIAVPAKMRVIHFGAGSEKGIAPGSTVLKQWLPKDAILVDNDLNDFASDADVTIIGNCVTFHTET -KWDLLVSDMYDCDFKSTTMGENYSKDSFFPYLCGFIKNKLSIGGSVAIKITEHSWSADLYNLMGHFAWWT -AFCTNVNASSSEAYLIGVNYVGKEVEKIDGNIMHANYIFWRNYSPFNVSNYSLFDMSKFALKAKGTPVMS -LKKEQINEMVLGLVEKGRLLIRDTNSFVLTNDMMVNC - ->YP_009513008.1 ORF1ab polyprotein [Betacoronavirus Erinaceus/VMC/DEU/2012] -MSSATGEGSQGARATYRAALNNEKRHDHVALTVPCCGTEAKVTALSPWFMDGMLAYETVKEMLLKGEQLL -FAPSNLSGYIKFLPGPRVYLVERLTGGTYSEPFIVNQLAFSDEQDGPMMGTTLQGKPIGFFFPFDEELVT -GTYTFKLRKNGLGGQLFREVPWFENHDFHGIEGFSQIVEDLQEDPKGKFSNKLYKKLCGGDVIPVDQYMC -GFDGSPIKPYLDLANKEGLTKLADVEADVCSRVDKQGFLIFKGTTYRVVWFTERKDVDYSKQTLFTVICV -MQRNGVHDIPAHPFTLGSKVEQLKPHVAKGNLVGLTLKAKILYTMYGEDAVEEPSYIYHSAFVDCVKCNE -GKWCTGNAVAGFACECGAAYTAKQVLLQSSGLVKSNALFCATCPFAQGDRCSLDCKHTVPQVVSYLSEKC -VVFPSGKSFVLAFGGALYTYMGCAEGTMYFVPRAKSVVSRIGTACFTGCVGAWDKVQQVANLFTQKAQQQ -LNFVNEFVVSDVVLAILTGTTSTLDELRSLLQGITFEKMRDYCVKHGIKVTMGDYVDSAINVGGASVRSA -AINAPFVVLSALGESFKKIAAVPFKVGSSFLKTWEYLSDCIVYRVLPYELEDVSDFVQLLFNCVEISAAS -MYFASVVIREKVNTMFNALPLSVQTAAKNFIDVCLRATLCTVKFLNDLLSLVKLVVYKAFVYTSAGFVST -LEKTSPAAQKLLDVLSKAFKLLHKKVSWAGSKVHAVIYEGKDALVFSSGTYHCVTAPGSVVGAHLEATIP -GEVVKKQLSMLTATNYSTTVDVRPKTRNVELVYGQLETTNMHSPDVVVGDYVIVSDKVFVRSEEDGRLAF -YPMCTNGKAVPCMFTLKGGAPIKKVSFGNDEIHEIDAVRTVTVEYNIHPVLDTLLSNTELKTFVVDKDLT -VSEFALVVQEAVADLLAKLLRGIALDDFDLEDFIDTKVYVFNLDGDEVWKSTMIFSVHPVDCDDEEIDDA -LEEDDSFNEEEEPDSWAEMVDAIFPLSDEAEGDVVVEEQSLVDDAGVVSPSAAAEKIVPMHDVSDDSASN -NEESLSADVEPKGVAPPVEEVIVETKVPTTLILEESDNPVLADADSSQQSDCVKHVVLGTTNTSEVCVDV -EHCVVDQISEEVPKGAAPPVMEEEKPSQEVSGSPEPSLKEDKPHKDCQKQLAGNDDQIDPLKNYKHKVLS -GNVTIVLADAIKLARCFSSSVLVNAANSHLKHAGGIAHAIDSASKGAVQRESDDYIKNNGALQVGDTVLL -KGHGLAKHILHVVGPDARQGQDVTLLSKCYKAMNAHPLVVTPLVSAGIFGVDPKVSLQMLQQVAKTRVLV -CVNSANIYEALTEVVVPQGLTFSFEGMKSAVAKAKEYGFTMFICVDNKQNVKLLKTLGVKADKKQSTVNG -VRYYCYTSEDTVPNLVAVANKQKGIVALPLGYVTHGFDLMQAAAIVKMVTVPYVCLLANKEQLAILQGDV -LKSTPFEEFVTGIKKNGYAHWQLVQGEILVNGVSYSKLLQWSDQTVVYSSNKLFVLKNGNLLPFTSVEQC -RSYLNSRTTQQLNVEVLATVDGVNFRTVILNNKNTFRSQLGTVFLDGVDVSDTIPSVDKNGASVYIADNF -SKEELAAVKEMYGVEDPTFLYKYYSIRAKVVKWKMAMCEDAPSLCLNSNNCYLNAAVMMLDCLRDIKFNI -PALQAAYMKFKGGDFGDFISLLMAYGNCTYGQPDDASMLLHTALSKAELLVSARMVWREWCDHCGVKDVV -ITGIKACVYVGVQSLDELRECNHHICQCGGVRFRQLVECVTPWLLLSGPPNEELVANPDFVAFNVFIGHE -AGVGHFVHARVKKGLLYKYDSGTLTKASDWKCKVTDKLYPGQKYTAECEIVVYSLDGNQKAEKQPNFSAY -YVKDGKYYTNKPSLEFTPATVSSGVVYTNSCFIVNDGDAIGSAFNKLLGFDKNKPASKQLTYSLLPNEDG -DVLLAEFKSYDPMYKNGAAYKGKPILWVNNGLYDSKLNKYNRASLRQIFDIQPVETNNRFAPLKVEEVDE -RPTSHVQEETLVSEKSELKVVKCKGLSKPFVKNGFSFISDDKGILTVEYLTKEDMHTLYVNPKSQIIVLK -DNWLSGLFQMHTVQSGDLNVVASSGSLTKKVKLLFKTSSMCKEFLSRTFVATKCVNSVVSATVRKLCCNK -DVFVKLFSFIKMLCFIPLRHFNKQKECVNVDVKTLSIAGVVTGNVIKQCCSTGFYLFKQKLRRIDWKSSL -RWLLFMLTTVLMLLSLYHLYVFNAVLTSDVIKEVNTGIKGVYYRISSYLGVTSVCDGFSNNYRNVSFNRD -DYCEKFGYVCHWCLMGQDSLTHYSAIQIVQTNLSHYVLSIDWMWFWIELSVAYLMYTPAFNWVLLVCTLQ -YFFSQTNHIINWRSYNFVMSGVYLLTTYIPLCGLLRVYNVLATLWYLRRFYNHVINGCKDTACMLCYKRN -RLTRVEASTVVCGVKRTFYITANGGISFCSRHNWNCVDCDTTGLGHTFICEEVANDLTTSLRRLVKPTDR -SHYYVESVEVKNSVVQLNYTRDGQLCYERVPLCNFSNIDKFKFKEVCKSTTGIPEFNFVIYDSTDRGQEN -LARSACVYYSQVLCKSILLVDSNLMNTVGDSSAIAIRLLDSFINSFASLYNVSRDKLEKLISTAKDCVKR -GEDLQSVLKTFIQAARVHANVESDVETASIVDGIQYAHKNDIELVTDSFNNYIPSYVKPDSIATVDLGCL -IDLKAASVNPASMRNANGACVWNIDAYLKLSDSLKRQIRVACRKCSLNFKLTTSKLRAQDNILSVKFSVT -KFVGGSLNSKLGSFLFKMYAGFTICLVILAILMYCILPTFNMAKVDFNNDRILGYKVLDNGIVRDIGIDD -KCFVNKYNTFDAWYQQEFGNSYDNDYNCPVVVAVIAGISGERVPGVPTSLIWAGNQILFFVSRVFATNNN -ICYTPHMEIAYERFSDSGCVLSAECTLFKDAVGSMVPYCYDANVLPGAVPYDTMLPHVRYDLYDSNMFIK -FPEVIVEGTLRVVKTLKTQYCRLGSCEWSEAGICVSTNGSWMLNNEHYASKPGVYCGSDYLDMVRRSFMS -VFQPITYFQLTTSLFMGLCLCLGIVVIFYYVNKFKRAFADYTQCVLIAVMATGLNGLCLCFVASNPFLIV -PYSAFYYYSTFYVTNEPAVVMHASWLIMFLPVASVWVVCSYLAAICFRHCFWVLAYFSRKKVDVFTDGKL -NCTFQEAAANIFVVNRDTYVALRNAISQDAYNKYLGMFNKYKYFSGVMDTAAYREASAAHLAKALQVFSE -NGSDLLYQPPNCSLASSVLQSGLVKMAHPSGAVEQCIVQVTCGSMTLNGLWLDNIVYCPRHVMCPQDQLV -DPNYDALLNSMTNHSFTIQRHGRSTANLRCTGHAMHGTLLKLTVDSANPETPAYTFTTIKQGSSFSVLAC -YNGRPSGTYTVVMRPNSTIKGSFLCGSCGSVGYVKEGNVINFCYMHQMELSNGTHTGSSFDGNMYGNFQD -RQIYQAQLSDKHCTINVVAWLYAAVLNGCNWFVKPNKTGVAAFNEWALSNQFTEFVSTQALELLAVKTGV -QIEQLLYSIQQLNNGFQGNVILGSAMLEDEYTPEDVNMQMMGVVMQSSVRKITYGLTHWLLATCVLTYVV -ILQLTKFTIWNFLFNVIPLQLTPIMFVVLALAMLCVKHKHAFLTTFLLPGALCLTYANLVYEPNTPVSSF -LIMCVNWLNPDGTYMRTTHMDLGVYVSLCLALLVVVRRLYKPSVTNCAFALTSLVMWFYSYSIGDASSPI -VYLQFVTAATSDYMVTVFLAVNVAKCLTYLTSMYFTTLSVVVPEVKIVLLMYICIGFICTMYFGVFSFLN -LKMRAPMGVYSYEVSTQEFRYMNANGLRAPRNSWDAMVLNFKLLGVGGVPCIKIASVQSKLTDLKCTSVV -LLSVLQQLHLEANSKAWSHCVKLHNDILSTSDPSEAFEKFVALLATLMSFSGSVDLEALASELLDNISVL -QSTLTEFSHLASYAELETAQKSYQEAVASGDASPQMLKALQKAVNVAKNTYEKDKSIARKLERMAEQAMT -SMYKQARAEDKKSKIVSAMQTMLFGMIKKLDNDVLNGIISNARNGCVPLSIIPLCASNKLRVVIPDMQIW -KQVVTYPVLSYAGALWDITLINNVDGEVVRPSDVIDTNEGLTWPLLLECTRAVASAVKLQNNEIKPTGLK -TMVVAAGQEQNSCTVKSVAYYEPVQGRKMLMGILSEDAHLKWARVEGQDGFITIELQPPCKFLIAGSKGP -EVRYLYFVKNLNNLHRGQLLGHIAATVRLQAGSNTEYASNSSVLSLVNFAVDPAKAYTDYVNAGGAPLTN -CVKMLTPKTGTGIAISVKPESNLDQETYGGASVCLYCRAHIEHPDVSGVCKFKGKFVQIPAQCTRDPVGF -CLANVQCNVCQYWVGYGCNCDSLRENTMLHSKDTNFLNRVRGSIVDARIEPCASGLATDVVYRAFDICNY -KARVAGIGKHYKTNTCRFVELDDQGHKLDSYFVVKRHTMENYELERHCYDLLKACDSVAAHDFFVFDVDK -TKTPHIVRQRLTEYTMMDLVYALRHFDQNNCEVLKTILVRYGCCEESYFDNKLWFDFVENPDVIRVYHKL -GELVRRAMLSTVKFCDHMVKSGLVGVLTLDNQDLNGKWYDFGDFVVTQPGAGVAIVDSYYSYLMPVLSMT -DALAAETHKDCDLSKPLIEWSLLDYDFTDYKLCLFEKYFKHWDQPYHPNCVNCVDDRCILHCANFNVLFS -MTLPKTCFGPLVRKVYVDGVPFVVSCGYHYKELGLVMNMDVNMHSHRLSLKELMMYAADPAMHVASSNAL -LDLRTSCFSVAALATGLTFQTVRPGNFNQDFYDFVVSKGFFKEGSSVTLKHFFFAQDGHAAITDYNYYSY -NLPTMCDIKQLLFCLEVVNKYFDIYDGGCLNASEVIVNNLDKSAGYPFNKFGKARVYYESMSFQEQDELF -ALTKRNVVPTITQMNLKYAISAKNRARTVAGVSILSTMTNRQYHQKMLKSMAATRGATCVIGTTKFYGGW -DFMLKTLYKDVDNPELMGWDYPKCDRAMPNMCRIFASLVLARKHSTCCTNSDRFYRLANECAQVLSEYVL -CGGGYYVKPGGTSSGDATTAYANSVFNILQAVTSNVSALMSANGNKIIDKEVKDMQFELYVNVYRNSKPD -FKFVDKYYAFLNRHFSMMILSDDGVVCYNKDYAARGYIAGIQNFKETLYYQNNVFLSEAKCWVEPDIEKG -PHEFCSQHTLLIKEGEDHYFLPYPDPSRILSAGCFVDDIIKTDGTLMVERFVSLAIDAYPLTKHDNIEYQ -NVFWVYLQYIEKLYKDLTGHMLDTYSVMLCGDNSSKFWEEQFYKDLYSAPTTLQAVGSCVVCHSQTSLRC -GTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCDVSDVTKLYLGGMSYFCVNHKPICSFPLCAN -GLVFGLYKNMCTGSASIAEFNRLATCDWSESGDYTLANTTTEPLKLFAAETLRATEEASKQSYAIATIKE -IVGERELILVWEAGKSKPPLNRNYVFTGYHITKNSKVQLGEYVFERVDYSETVTYKSSTTYKLSIGDIFV -LTSHSVATLTAPTVVNQEKYVKIVGLYPTITVPEEFSTHVVNFQKVGYNKFVTVQGPPGTGKSHFAVGLA -IYYPTARIIFTACSHAAVDALCEKAFKYLNIAKCSRIIPAKARVECYDRFKVNETTAQYVFSTINALPET -TADILVVDEVSMCTNYDLSIINARVKAKHIVYVGDPAQLPAPRTLLTRGTLEPENFNSVTRFMCNLGPDI -FLSTCYRCPKEIVTTVSALVYNNKLLSKKEQSGQCFKVLYKGCVTHDASSAINRPQLVFVKNFIAANPAW -SKAVFISPYNSQNAVARSMLGLNTQTVDSSQGSEYPYVIFCQTADTAHANNINRFNVAVTRAQKGILCVM -TSQALFDSLQFSELSVNNYKLQSQIVTGLYKDCSREMSGLSPAYAPTYMSVDEKFKTNDELCVNLNIPAN -VSYSRVISRMGFKLDASIPNYPKLFITKEEAIRQVRSWIGFDVEGAHASRNACGTNVPLQLGFSTGVNFV -VQPVGVVDTDWGNMLTNISAKPPPGEQFKHLVPLMHKGAAWPVVRRRIVQMVSDTLDKLSDHCTFVCWAH -GFELTSASYFCKIGKEQKCCMCNRRAGAYSSPLHSYACWAHSSGYDYVYNPFFVDVQQWGYVGNLATNHD -RYCTLHQGAHVASNDAIMTRCLAVHSCFIERVDWDIEYPYISHEKQLNSFCRIVERNVVRAALLAGGFNH -VYDIGNPKGIPIVEDPVVDWHYYDAQPLRKNVKQLFYTDAMASDFSDGLCLFWNCNVPLYPNNAVVCRFD -TRVHSEFNLPGCNGGSLYVNKHAFHTPAYDVSAFRNLKPMPFFYYSTTPCEVHGTGSMLEDIDYVPLKSA -VCVTACNLGGAVCRKHAIEYREYVEAYNLVSAAGFRLWCYKTFDIYNLWSTFSRVQGLENIAFNVVKHGH -FKGVEGELPVAVVNDKIFTKSGVNDVCVFENKTNLPTNVAFELYAKRAVRSHPDFKLLKNLQADVCYKHV -LWDYERSNIYGTATIGVCKYTDIEINAALNICFDIRDQGSLEKFLTLPNGILISDRKIKNYPCVVGPDYA -YFNGATIRDSETIKQPVKFYIYKKLNGEFLQFSDSIYTQGRSCSDFEPCTQMEKDFLSMESNVFIKKYNL -EDYAFEHVVYGDFSHTTLGGLHLLIGLYKKSLEGHIIMEEMLKESATIHNYFITETNTASFKAVCSVIDL -TLDDFVQLLKSLDLGVVSKVVKIPIDLMFVEFMLWCKEGQVQTFYPRLQASNDWKPGLAMPSLFKVQNVK -LEPCQLVNYGQSMSMPRGVHMNIAKYMQLAQYLNTCTIAVPANMRVMHFGAGSDKGVSPGTSVLRQWLPS -DAVLIDNDINNFVSDADITLFGDCATVRIGQQVDLVISDMYDPSTKNVRESNDSKALFFTYLCNFINRNL -ALGGSVAIKITEHSWSAELYELMGKFAWWTVFCTNANASSSEGFLIGVNYLGTFKEVIDGSAMHANYIFW -RNSTPMNLSTYSLFDLSKFCLKLKGTPILQLKESQINELVVSLLSQGKLLIRDNDTLSVSTDVLVNVYKS -NPKRGNMYIC - ->YP_009724389.1 ORF1ab polyprotein [Severe acute respiratory syndrome coronavirus 2] -MESLVPGFNEKTHVQLSLPVLQVRDVLVRGFGDSVEEVLSEARQHLKDGTCGLVEVEKGVLPQLEQPYVF -IKRSDARTAPHGHVMVELVAELEGIQYGRSGETLGVLVPHVGEIPVAYRKVLLRKNGNKGAGGHSYGADL -KSFDLGDELGTDPYEDFQENWNTKHSSGVTRELMRELNGGAYTRYVDNNFCGPDGYPLECIKDLLARAGK -ASCTLSEQLDFIDTKRGVYCCREHEHEIAWYTERSEKSYELQTPFEIKLAKKFDTFNGECPNFVFPLNSI -IKTIQPRVEKKKLDGFMGRIRSVYPVASPNECNQMCLSTLMKCDHCGETSWQTGDFVKATCEFCGTENLT -KEGATTCGYLPQNAVVKIYCPACHNSEVGPEHSLAEYHNESGLKTILRKGGRTIAFGGCVFSYVGCHNKC -AYWVPRASANIGCNHTGVVGEGSEGLNDNLLEILQKEKVNINIVGDFKLNEEIAIILASFSASTSAFVET -VKGLDYKAFKQIVESCGNFKVTKGKAKKGAWNIGEQKSILSPLYAFASEAARVVRSIFSRTLETAQNSVR -VLQKAAITILDGISQYSLRLIDAMMFTSDLATNNLVVMAYITGGVVQLTSQWLTNIFGTVYEKLKPVLDW -LEEKFKEGVEFLRDGWEIVKFISTCACEIVGGQIVTCAKEIKESVQTFFKLVNKFLALCADSIIIGGAKL -KALNLGETFVTHSKGLYRKCVKSREETGLLMPLKAPKEIIFLEGETLPTEVLTEEVVLKTGDLQPLEQPT -SEAVEAPLVGTPVCINGLMLLEIKDTEKYCALAPNMMVTNNTFTLKGGAPTKVTFGDDTVIEVQGYKSVN -ITFELDERIDKVLNEKCSAYTVELGTEVNEFACVVADAVIKTLQPVSELLTPLGIDLDEWSMATYYLFDE -SGEFKLASHMYCSFYPPDEDEEEGDCEEEEFEPSTQYEYGTEDDYQGKPLEFGATSAALQPEEEQEEDWL -DDDSQQTVGQQDGSEDNQTTTIQTIVEVQPQLEMELTPVVQTIEVNSFSGYLKLTDNVYIKNADIVEEAK -KVKPTVVVNAANVYLKHGGGVAGALNKATNNAMQVESDDYIATNGPLKVGGSCVLSGHNLAKHCLHVVGP -NVNKGEDIQLLKSAYENFNQHEVLLAPLLSAGIFGADPIHSLRVCVDTVRTNVYLAVFDKNLYDKLVSSF -LEMKSEKQVEQKIAEIPKEEVKPFITESKPSVEQRKQDDKKIKACVEEVTTTLEETKFLTENLLLYIDIN -GNLHPDSATLVSDIDITFLKKDAPYIVGDVVQEGVLTAVVIPTKKAGGTTEMLAKALRKVPTDNYITTYP -GQGLNGYTVEEAKTVLKKCKSAFYILPSIISNEKQEILGTVSWNLREMLAHAEETRKLMPVCVETKAIVS -TIQRKYKGIKIQEGVVDYGARFYFYTSKTTVASLINTLNDLNETLVTMPLGYVTHGLNLEEAARYMRSLK -VPATVSVSSPDAVTAYNGYLTSSSKTPEEHFIETISLAGSYKDWSYSGQSTQLGIEFLKRGDKSVYYTSN -PTTFHLDGEVITFDNLKTLLSLREVRTIKVFTTVDNINLHTQVVDMSMTYGQQFGPTYLDGADVTKIKPH -NSHEGKTFYVLPNDDTLRVEAFEYYHTTDPSFLGRYMSALNHTKKWKYPQVNGLTSIKWADNNCYLATAL -LTLQQIELKFNPPALQDAYYRARAGEAANFCALILAYCNKTVGELGDVRETMSYLFQHANLDSCKRVLNV -VCKTCGQQQTTLKGVEAVMYMGTLSYEQFKKGVQIPCTCGKQATKYLVQQESPFVMMSAPPAQYELKHGT -FTCASEYTGNYQCGHYKHITSKETLYCIDGALLTKSSEYKGPITDVFYKENSYTTTIKPVTYKLDGVVCT -EIDPKLDNYYKKDNSYFTEQPIDLVPNQPYPNASFDNFKFVCDNIKFADDLNQLTGYKKPASRELKVTFF -PDLNGDVVAIDYKHYTPSFKKGAKLLHKPIVWHVNNATNKATYKPNTWCIRCLWSTKPVETSNSFDVLKS -EDAQGMDNLACEDLKPVSEEVVENPTIQKDVLECNVKTTEVVGDIILKPANNSLKITEEVGHTDLMAAYV -DNSSLTIKKPNELSRVLGLKTLATHGLAAVNSVPWDTIANYAKPFLNKVVSTTTNIVTRCLNRVCTNYMP -YFFTLLLQLCTFTRSTNSRIKASMPTTIAKNTVKSVGKFCLEASFNYLKSPNFSKLINIIIWFLLLSVCL -GSLIYSTAALGVLMSNLGMPSYCTGYREGYLNSTNVTIATYCTGSIPCSVCLSGLDSLDTYPSLETIQIT -ISSFKWDLTAFGLVAEWFLAYILFTRFFYVLGLAAIMQLFFSYFAVHFISNSWLMWLIINLVQMAPISAM -VRMYIFFASFYYVWKSYVHVVDGCNSSTCMMCYKRNRATRVECTTIVNGVRRSFYVYANGGKGFCKLHNW -NCVNCDTFCAGSTFISDEVARDLSLQFKRPINPTDQSSYIVDSVTVKNGSIHLYFDKAGQKTYERHSLSH -FVNLDNLRANNTKGSLPINVIVFDGKSKCEESSAKSASVYYSQLMCQPILLLDQALVSDVGDSAEVAVKM -FDAYVNTFSSTFNVPMEKLKTLVATAEAELAKNVSLDNVLSTFISAARQGFVDSDVETKDVVECLKLSHQ -SDIEVTGDSCNNYMLTYNKVENMTPRDLGACIDCSARHINAQVAKSHNIALIWNVKDFMSLSEQLRKQIR -SAAKKNNLPFKLTCATTRQVVNVVTTKIALKGGKIVNNWLKQLIKVTLVFLFVAAIFYLITPVHVMSKHT -DFSSEIIGYKAIDGGVTRDIASTDTCFANKHADFDTWFSQRGGSYTNDKACPLIAAVITREVGFVVPGLP -GTILRTTNGDFLHFLPRVFSAVGNICYTPSKLIEYTDFATSACVLAAECTIFKDASGKPVPYCYDTNVLE -GSVAYESLRPDTRYVLMDGSIIQFPNTYLEGSVRVVTTFDSEYCRHGTCERSEAGVCVSTSGRWVLNNDY -YRSLPGVFCGVDAVNLLTNMFTPLIQPIGALDISASIVAGGIVAIVVTCLAYYFMRFRRAFGEYSHVVAF -NTLLFLMSFTVLCLTPVYSFLPGVYSVIYLYLTFYLTNDVSFLAHIQWMVMFTPLVPFWITIAYIICIST -KHFYWFFSNYLKRRVVFNGVSFSTFEEAALCTFLLNKEMYLKLRSDVLLPLTQYNRYLALYNKYKYFSGA -MDTTSYREAACCHLAKALNDFSNSGSDVLYQPPQTSITSAVLQSGFRKMAFPSGKVEGCMVQVTCGTTTL -NGLWLDDVVYCPRHVICTSEDMLNPNYEDLLIRKSNHNFLVQAGNVQLRVIGHSMQNCVLKLKVDTANPK -TPKYKFVRIQPGQTFSVLACYNGSPSGVYQCAMRPNFTIKGSFLNGSCGSVGFNIDYDCVSFCYMHHMEL -PTGVHAGTDLEGNFYGPFVDRQTAQAAGTDTTITVNVLAWLYAAVINGDRWFLNRFTTTLNDFNLVAMKY -NYEPLTQDHVDILGPLSAQTGIAVLDMCASLKELLQNGMNGRTILGSALLEDEFTPFDVVRQCSGVTFQS -AVKRTIKGTHHWLLLTILTSLLVLVQSTQWSLFFFLYENAFLPFAMGIIAMSAFAMMFVKHKHAFLCLFL -LPSLATVAYFNMVYMPASWVMRIMTWLDMVDTSLSGFKLKDCVMYASAVVLLILMTARTVYDDGARRVWT -LMNVLTLVYKVYYGNALDQAISMWALIISVTSNYSGVVTTVMFLARGIVFMCVEYCPIFFITGNTLQCIM -LVYCFLGYFCTCYFGLFCLLNRYFRLTLGVYDYLVSTQEFRYMNSQGLLPPKNSIDAFKLNIKLLGVGGK -PCIKVATVQSKMSDVKCTSVVLLSVLQQLRVESSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLS -MQGAVDINKLCEEMLDNRATLQAIASEFSSLPSYAAFATAQEAYEQAVANGDSEVVLKKLKKSLNVAKSE -FDRDAAMQRKLEKMADQAMTQMYKQARSEDKRAKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLN -IIPLTTAAKLMVVIPDYNTYKNTCDGTTFTYASALWEIQQVVDADSKIVQLSEISMDNSPNLAWPLIVTA -LRANSAVKLQNNELSPVALRQMSCAAGTTQTACTDDNALAYYNTTKGGRFVLALLSDLQDLKWARFPKSD -GTGTIYTELEPPCRFVTDTPKGPKVKYLYFIKGLNNLNRGMVLGSLAATVRLQAGNATEVPANSTVLSFC -AFAVDAAKAYKDYLASGGQPITNCVKMLCTHTGTGQAITVTPEANMDQESFGGASCCLYCRCHIDHPNPK -GFCDLKGKYVQIPTTCANDPVGFTLKNTVCTVCGMWKGYGCSCDQLREPMLQSADAQSFLNRVCGVSAAR -LTPCGTGTSTDVVYRAFDIYNDKVAGFAKFLKTNCCRFQEKDEDDNLIDSYFVVKRHTFSNYQHEETIYN -LLKDCPAVAKHDFFKFRIDGDMVPHISRQRLTKYTMADLVYALRHFDEGNCDTLKEILVTYNCCDDDYFN -KKDWYDFVENPDILRVYANLGERVRQALLKTVQFCDAMRNAGIVGVLTLDNQDLNGNWYDFGDFIQTTPG -SGVPVVDSYYSLLMPILTLTRALTAESHVDTDLTKPYIKWDLLKYDFTEERLKLFDRYFKYWDQTYHPNC -VNCLDDRCILHCANFNVLFSTVFPPTSFGPLVRKIFVDGVPFVVSTGYHFRELGVVHNQDVNLHSSRLSF -KELLVYAADPAMHAASGNLLLDKRTTCFSVAALTNNVAFQTVKPGNFNKDFYDFAVSKGFFKEGSSVELK -HFFFAQDGNAAISDYDYYRYNLPTMCDIRQLLFVVEVVDKYFDCYDGGCINANQVIVNNLDKSAGFPFNK -WGKARLYYDSMSYEDQDALFAYTKRNVIPTITQMNLKYAISAKNRARTVAGVSICSTMTNRQFHQKLLKS -IAATRGATVVIGTSKFYGGWHNMLKTVYSDVENPHLMGWDYPKCDRAMPNMLRIMASLVLARKHTTCCSL -SHRFYRLANECAQVLSEMVMCGGSLYVKPGGTSSGDATTAYANSVFNICQAVTANVNALLSTDGNKIADK -YVRNLQHRLYECLYRNRDVDTDFVNEFYAYLRKHFSMMILSDDAVVCFNSTYASQGLVASIKNFKSVLYY -QNNVFMSEAKCWTETDLTKGPHEFCSQHTMLVKQGDDYVYLPYPDPSRILGAGCFVDDIVKTDGTLMIER -FVSLAIDAYPLTKHPNQEYADVFHLYLQYIRKLHDELTGHMLDMYSVMLTNDNTSRYWEPEFYEAMYTPH -TVLQAVGACVLCNSQTSLRCGACIRRPFLCCKCCYDHVISTSHKLVLSVNPYVCNAPGCDVTDVTQLYLG -GMSYYCKSHKPPISFPLCANGQVFGLYKNTCVGSDNVTDFNAIATCDWTNAGDYILANTCTERLKLFAAE -TLKATEETFKLSYGIATVREVLSDRELHLSWEVGKPRPPLNRNYVFTGYRVTKNSKVQIGEYTFEKGDYG -DAVVYRGTTTYKLNVGDYFVLTSHTVMPLSAPTLVPQEHYVRITGLYPTLNISDEFSSNVANYQKVGMQK -YSTLQGPPGTGKSHFAIGLALYYPSARIVYTACSHAAVDALCEKALKYLPIDKCSRIIPARARVECFDKF -KVNSTLEQYVFCTVNALPETTADIVVFDEISMATNYDLSVVNARLRAKHYVYIGDPAQLPAPRTLLTKGT -LEPEYFNSVCRLMKTIGPDMFLGTCRRCPAEIVDTVSALVYDNKLKAHKDKSAQCFKMFYKGVITHDVSS -AINRPQIGVVREFLTRNPAWRKAVFISPYNSQNAVASKILGLPTQTVDSSQGSEYDYVIFTQTTETAHSC -NVNRFNVAITRAKVGILCIMSDRDLYDKLQFTSLEIPRRNVATLQAENVTGLFKDCSKVITGLHPTQAPT -HLSVDTKFKTEGLCVDIPGIPKDMTYRRLISMMGFKMNYQVNGYPNMFITREEAIRHVRAWIGFDVEGCH -ATREAVGTNLPLQLGFSTGVNLVAVPTGYVDTPNNTDFSRVSAKPPPGDQFKHLIPLMYKGLPWNVVRIK -IVQMLSDTLKNLSDRVVFVLWAHGFELTSMKYFVKIGPERTCCLCDRRATCFSTASDTYACWHHSIGFDY -VYNPFMIDVQQWGFTGNLQSNHDLYCQVHGNAHVASCDAIMTRCLAVHECFVKRVDWTIEYPIIGDELKI -NAACRKVQHMVVKAALLADKFPVLHDIGNPKAIKCVPQADVEWKFYDAQPCSDKAYKIEELFYSYATHSD -KFTDGVCLFWNCNVDRYPANSIVCRFDTRVLSNLNLPGCDGGSLYVNKHAFHTPAFDKSAFVNLKQLPFF -YYSDSPCESHGKQVVSDIDYVPLKSATCITRCNLGGAVCRHHANEYRLYLDAYNMMISAGFSLWVYKQFD -TYNLWNTFTRLQSLENVAFNVVNKGHFDGQQGEVPVSIINNTVYTKVDGVDVELFENKTTLPVNVAFELW -AKRNIKPVPEVKILNNLGVDIAANTVIWDYKRDAPAHISTIGVCSMTDIAKKPTETICAPLTVFFDGRVD -GQVDLFRNARNGVLITEGSVKGLQPSVGPKQASLNGVTLIGEAVKTQFNYYKKVDGVVQQLPETYFTQSR -NLQEFKPRSQMEIDFLELAMDEFIERYKLEGYAFEHIVYGDFSHSQLGGLHLLIGLAKRFKESPFELEDF -IPMDSTVKNYFITDAQTGSSKCVCSVIDLLLDDFVEIIKSQDLSVVSKVVKVTIDYTEISFMLWCKDGHV -ETFYPKLQSSQAWQPGVAMPNLYKMQRMLLEKCDLQNYGDSATLPKGIMMNVAKYTQLCQYLNTLTLAVP -YNMRVIHFGAGSDKGVAPGTAVLRQWLPTGTLLVDSDLNDFVSDADSTLIGDCATVHTANKWDLIISDMY -DPKTKNVTKENDSKEGFFTYICGFIQQKLALGGSVAIKITEHSWNADLYKLMGHFAWWTAFVTNVNASSS -EAFLIGCNYLGKPREQIDGYVMHANYIFWRNTNPIQLSSYSLFDMSKFPLKLRGTAVMSLKEGQINDMIL -SLLSKGRLIIRENNRVVISSDVLVNN - ->YP_009824996.1 ORF1ab polyprotein [Infectious bronchitis virus] -MASSLKQGVSPKLRDVILVSKDIPEQLCDALFFYTSHNPKDYADAFAVRHKFDRSLQTGKQFKFETVCGL -FLLKGVDKITPGVPAKVLKSTSKLADLEDIFGVSPFARKYRHLLKTACQWSLTVETLDARAQTLDEIFDP -TEILWLQVAAKIQVSAMAMRRLVGEVTAKVMEALGSNLSALFQIVKQQIARIFQKALAIFENVSELPQRI -AALKMAFAKCAKSITVVVVERTLIVKEFAGTCLASINGAVAKFFEELPNGFMGSKIFTTLAFFKEAAVKI -VENIPNAPRGTKGFEVVGNAKGTQVVVRGMRNDLTLLDQKVEIPVESEGWSAILEGHLCYVFKSGDRFYA -APLSGNFALHDVHCCERVVCLSDGVTPEINDGLILAAIYSSFSVSELMAALKKGEPFKFLGHKFVYAKDA -AVSFTLAKAATIADVLKLFQSARAKVEDVWSSFTEKSFEFWKLAYGKVRILEDFVRPQLVRLKWSMGILA -TVLGEGIWHLVSQVIYKVGGLFTKVVDFCEKYWKGFCAQLKRPKLIVTETLCVLKGVAQHCFQLLLDAIH -SMYKSFKKCALGRIHGDLLFWKGGVHKIIQEGDEIWFDAIDSIDVEDLGVVQEKSIDFEVCDNVTLPENQ -PGHMVQIQNEDKNYMFFRFKKDENIYYTPMSQLGVINVVCKAGGKTVTFGETTVQEIPPPDVVPIKVSIE -CCGEHGTQFSRKPIKEPIEVETDFTVEQLLSVIYEKMCDDLKLFPEAPEPPPFEKVSLVEKQGKDLDCIK -SCHWIYRDYESDDDIEEEDAEECDTDSGDAEECDTNSECEEEDEDTKGLALIQDQPSYKYPLPLEEDYSV -YNGCIVHKDALDVVNLPSGEETFVVNDCFEGAVKPLPQKVIDVLGDWGEAVDAQEQLCQQESTQAAFERS -VEDSIGGCEAMAEQSVVDEHELLLVVEENQHGVVYTPADLEGVKETAEEVDEFILIADVSKEEVVPQGKG -ESQVEQEPIQVVKSQREKKAKKFRVKPATCEKPKFLEYKTCVGDLTVVIAKALDEFKEFCIVNAANEHMS -HGGGVAKAIADFFGPDFVEYCEDYVKKHGPQQRLVTPSFVKGIQCENNVVGLRHGDINSRQKLVAAYKNV -LVDGVVNYAVPVLSLGIFGVDFKMSIDAMREAFEGCTIRVLLFSLSQEHIDYFDVTCKQKTLYLTEDGVK -YRSVVVKPGDSLSQFGQVFAKNKAVFTADDVEDKEILFVPTTDKTVLEYYPLDAQKYVIYLQTLAQKWNV -QYRDNFLILEWRDGNCWISSAIVLLQAAKIRFKGFLSEAWAKLLGGDPTDFVAWCYASCNAKVGDFSDAN -WLLANFAEHFDADYKNAFLKKRVSCNCGVKSYELRGLEACIQPVRATNLLHFKTQYSNCPTSGASNTDEV -FEASLPYLLLFATDGPATVDCDEDAVGTVVFVGSTNSVHCDTQAARQAFDNLAKDRKFGKKSPYKTAMYT -RFAFKNENPLPVAKHSKGKSKSVKEDVSNLATSSKASFDNLTDFEQWYTSNIYESLKVQESPYNFDKYVS -FKIKEDSKLQKTLKVRCIKSVFDFRSKDGFIYKLSPDTDENSKAPVYYPVLDSISLRAIWVEGSANFVVG -HPNYYSKFLHIPTFWENAENFVKMGDKIGGVSMGLWRAEHLNKPNLERIFNIAKKAIVGSSVVTTQCGKL -FGKAATFVADKVGDGVVRNITDSIKGLCGFTRGHFERKMSLQFLKTLMFFLFYFLKASVKSVVSSYKIVL -CKVVFVTLLIVWIVYTSNPVMFTGIRVLDFVFEGSLCGPYKDYGKDSFDVLRNCAGDFTCRVCLHDRDSL -HLFKYAYSVEQIYKDAASGINFNWNWLYLVFLILFVKPVAGFVIICYCVKYLVLSSTVLQTGVGFLDWFV -KTVFSHFNFMGAGFYFWLFYKIYVQVHHILYCKDGTCGVCKRVARSTRQEVSVVVGGRQQIVHVYTNSGY -NFCKRHNWYCRNCDDYGHQNTFMSPEVAGELSEKLKRHVKPTAYAYPVVDEACLVDDFVNLKYKAATPGK -DNASSAVKCFSVTNFLKKAVFLKEALKCEQISNDGFIVCNTQSAHALEEAKNAAVYYAQYLCKPILILDQ -ALYEQLIVEPVSKSVVDKVCSILSNIISVDTAALNYKAGTLRDALLSITKDEEAVDMAIFCHNHEVEYTG -DGFTNVIPSYGIDIDKLTPRDRGFLINADASIANLRVKNAPPVVWKFSELIKLSDSCLKYLISATVKSGV -RFFITKSGVKQVIACHTQKLLVEKKAGGVISGTFKWFMSCFKWLLIFEILFTACCLGYYYLEVNKSFVHP -MYDVNSTLHFEGFQVIDNGVLRDIVPEDNCFSNKSVNFDAFWGKSYENNKNCPIVTVVIDGHGTVAVGFP -GFVSWVMDGVMFVHKTQTDRRPWYIPTWFNTDIVGYTQDSNITEDSFYTSIALFSARCLYLSASNTRQLY -CFNRENDAPGALPFGSIIPHRVYFQPKGVRLKVPQQILHTPYLVKLVSDSYCRGSVCEYTKPGYRVSLDP -QWVLFNDEYTSKPGVFCGSTVRELMFNMVSTFFTGVNPNIYMQLATMFLILVVIVLIFAMVIKFQGVFKA -YATIVFTIMLVWVINAFVLCVHSYNSVLAVILLVLYCYASLVKSRNTGIIMHCGLVTMVGLIVPTCLSCC -FLGFILYMYTPLFFWCYGTTKNTRKLYDGNEFVGNYDLAAKSTFVIRGSEFVKLTNEIGDKFEAYLSAYA -RLNYYSGTGSEQDYLQACRAWLAYALDQYRNSGVEIVYLPPRYSIGVSRLQTGFKKLVSPSSAVEKCIVS -VSYRGNNLNGLWLGDTIYCPRHVLGKFSGDQWNDVLNLANNHEFEVTTQHGVTLNVVSRRLEGAVLILQT -AVANAETPKYKFVKANCGDSFTIACSYGGTVVGLYPVTMRSNGTIRASFLAGACGSVGFNIEKGVVNFFY -MHHLELPNALHTGTDLTGEFYGGLVDEEVAQRVPPDNLVTNNIVAWLYAAIISVKESSFSQPKWLESTTV -SIEDYNKWASDNGLTPFSTSTAITKLCAISGIDVCKLLRTIMVESAQWGSEPILGQYNFEDEYTPESVFN -QIGGVRLQSSFVSKATSWFWSRCVLACFLFVLCAIVLFTAVPLKFYVYAAVILLLAVFFISFTVKHVMAY -MDTFLLPTLITVIIGVCAEVPFMYNTLISQVVIFLSQWYDPVFFDTMVPWMLLPLVLYTAFKCVQGCYMN -SFNTSLLMLYQFMKFGFGIYTSSNTLTAYTEGNWELFFELVHTTVLANVSSNSLFGLFVFKCAKWMLYYC -NATYLYNYVLLAVMVNCIGWLCTCYFGLYWWVNKVFGLSFGKYNFKVSVDQYRYMCLHKIYPPKTVWEVF -TTNILIQGIGGDRVFPISTVQSKLSDVKCTTVVLMQLLTKLNVEANSKMHAYLVELHNKILASDDVGECM -DNLLGMLITLFCIDSTIDLGEYCDDILKRSTVLQSVTQEFSHIPSYAEYERAKSIYEKVLADSKNGGVTQ -QELAAYRNAANIAKSVFDRDLAVQKKLDSMAERAMTTMYKEARVTDRRAKLVSSLHALLFSMLKKIDSEK -LNVLFDQANSGVVPLATVPIVCSNKLTLVIPDPETWVKCVEVMHVTYSTVVWNIDCVTDADGTELHPTST -GSGLTYCISGDNIAWPLKVNLTRNGHNKVDVALQNNELMPHGVKTKACVAGVDQAHCSVESKCYYTSISG -SSVVAAITSSNSNLKVASFLNEAGNQIYVDLDPPCKFGMKVGDKVEVVYLYLIKNTRSIVRGMVLGAISN -VVVLQSKGHETEEVDAVGILSLCSFAVDPADTYCKYVAAGNQPLGNCVKMLTVHNGSGFAITSKPSPTPD -QDSYGGVSVCLYCRAHIAHPGGAGNLDARCQFKGSFVQIPTTEKDPVGFCLRNKVCTVCQCWIGYGCQCD -LLRQPKPSVQSVAVASDFDKNYLNQGTGWQCGSAVTPSSWMWPDVVWRAFDVCNKESAGMFQNLKRKCGR -FQEVRDTEDGILEYCDSYFGVKQTTPSNYEHEKACYEELKSEVTADHDFFVFNKNIFNISRQRLTKYTKM -DFCYALRHFDPKDCEVLKEILVTYGCIEDYHPKWFEENKDWYDPIENPKYYAMLAKMGPIVRRALLNAIE -FSNLMVEKGYVGVITLDNQDLNGKFYDFGDFQKTAPGAGVPVFDTYYSYKMPIIAKTDAFAPERYFEYDV -HKGYKSYDLLKYDYTEEKQELFQKYFKYWDQEYHPNCRDCSDDRCLIHCAYFTILFSRLVPQTSFGNLCR -KVFVDGVPFIATCGYHSKELGVIKNQDNTMSFSKMGLCQLMQFVGDPALFVGTSNNFVDLRTSCFSVCAL -SSGITHQTVKPGHFNKDFYDSAEKAGMFKEGSSLPLKHFFYPQTGNAAIYDYEYYRYIRPTMFDICQILF -CFEETSKYFECYEGGCIPAGQVLVNNFDKSPGYPFNKFGRARLYYEKSLEEQDQLFESTKKNVLPTISQK -NLKYAISAKNRARTVAGVSILSTKTNRQFHQKILKSIVNTRNAPVVIGTTKFYGGWDNMLRNLIQGVEDP -ILMGWDYPKCDRAMPNLLRIAASFVLARKHTICCTWSERVYWLYIECAQVLSETVLATGGIYVKPGGTCS -GDATTAYANSVFNIIQATSAIVARLLSVITRDIVYEDIMSLQYELYQQVYWRVNFDPAFVEKFYSYFCKN -FSLMILSDDGVVCYNNTLAKQGLVADISGFREVLYYQNNVFMADSKCWVEPDLEKGPHEFCSQHTMLVEV -DGEPRYLPYPDPSRILCACVFVGDLDKTESVAVMERYIALAIDAYPLVHHENEEYKKVFFVLLSYIRKLY -QELSQNMLMDYSFVMDIDKGSKFWEQEFYENMYRAPTTLQSCGVCVVCNSQTILRCGNCIRKPFLCCKCC -YDHVMHTDHKNVLSINPYICSQLCCGEADVTKLYLGAMSYFCGNHKPKLSIPLVSNGTVFGIYRANCAGS -ENVDDFNQLATTNWSIVQPYILANRCRDSLRRFAAETVKATEELHMQQFASAEVREVFSDRELILSWEPG -KTRPPLKRNYGFTGYHFTRTSKVQLGDFTFEKGEGKDVVYYKATSTAKLSEGDIFVLSSHNVVSLEAPTL -CPQQTFSRFVILRPIVMVPECFVNNIPLYHLVGMQKRTTVQGPPGSGISHFAIGLAAYLSIARVVFTACS -HAAVDALCEKAFMFLIVEDCTRIVPQRTSVDCFSKFIAIDTGKKYILRSIMALPEVSCDILLVDQVSMMT -NYEMSFIIGMINYQYVEYVGHPAQLPAPRTLLIGSLSPKDYIVVTNLLVCVIPDIFLAKCYRCPKKIVDT -VSTLVYVGKFIANIPESRQCFKVIVIIGISDVGQESGSAYNTTQLEFVKDFVCRNMEWREATFISPYNAM -NQRAYRMLGLIVQTVDSSQGSEYDYVIFCVTADSQHALNIIRFNVALTRAKRGILVVMRQREELYSALMF -TELESVASLQGTGLFIICNKELSGVHPAYAVTTMALAATYIVIEELAALVIVEAGSEITYKHLISLLGFK -MSVNVEGCHNMFITRDEAIRNVRGWVGFDVEATHACGTNIGTNLPFQVGFSTGAHFVVTPEGLVDTSIGN -NFEPVNSKAPPGEQFNHLRALFKSAKPWHVVRPRIVQMLADNLCNVSDCVVLVTWRHGLELTTLCFFVKI -GKDQVCSCGSRATTFNSHTQAYACWKHCLGFDFVYNPLLVEIQQGGYSGNLQFNHDFHCNVHGHAHVTSA -DAIMTRCLAILYAFCQNVNWDLTYPHFANEDEVNSSCRYLQRTYLNACVDALKVNVVYDIGNPKGIKCVR -RGDLNFRFYRSNPIVPNVKQFEYDYNQHKDKFADGLCMFWNCNVDCYPRYSLVCRYDTRNLSVFNLPGCN -GGSLYVNKHAFHTPYFDRTSFRNLKAMPFFFYDSSPCETIRVDGVAQDLVSLATKDWITKCNIGGAVCKK -HAQMYADFVTSYNAAVTAGFTFWVTSYFNPYNLWKSFSALQSIDNIAYNMYKRGHYDAIAGEMPIIVTGN -KVFVIGQGVEKAVFVNQTILPTSVAFELYGKRNIRTLPNNRFLKGLGVDVTNGFVIWDYTNQTPLYRNTV -KVCAYTDIEPNGLIVLYDDRYGDYQSFLAADNAVYISTQCYKRYSYVEIPSNMLVQNGIPLKDGANLYVY -KRVNGAFVTLPNTLNTQGRSYEIFEPRSDVERDFLDMSEEDFVEKYGKDLGLLHILYGEIDKPQLGGLHT -VIGMYRLLRENKLNAKSVTNSDSDVMQNYFVLADNGSYKQVCTVVDLLLDDFLELLRNILNEYGTNKSKV -VTVSIDYHSINFMTWFEDGIIKTCYPQLQSAWTCGYNMPELYKVQNCVMEPCNIPNYGVGIALPSGIMMN -VAKYTQLCQYFSKTTMCVPHNMRVMHFGAGGDKGMDPGNTVLKQYLPEGTLLGENGDVEYVSEARVSGLS -DCKKYKTEHKFDLVISDMYTDNDSKRKHEGVDANNGNDAVFIYLSSFLRNNLALGGSFTVKVTETSWHEV -LYDIAQDCAWWTMFCTAVNASCSEEFLVGVNYLGASEKVKVSGKTLHANCIFRRTCNYLQTSAYSIFDVV -KFDLCLKAMPVVNWKTEQKRDLVFNFIKCGKLLVRDVGNTSFTSDSFVCTM - ->YP_009553214.1 orf1ab [Aplysia californica nido-like virus] -MANANRKRAPEGSVDLGLANVRTLLSQSFEKVKGLTEEELGDIPSLFTLQLKGEFSSSLGNLGSRLQLYL -RAKGIQKTTLRFDVKDTSKTVRVVHVACADVADGHGLDSIRGETVEYQEDGKPASVVIEGVSHDGEYLQR -ICDACKSAERMAEEQVQAGQIRFGKLKAHFIEEAAFPFMGLVDRVIHRTLNGIGAIVEFKKGQVVAPQGG -EHVKEKSAKEVSVCEQAPVEKGEEARKGEDQAVVDVQAGVEVQTDVAPPKVEPMYTAESDDASLKQVAPP -TGEEASSDEDDDVVLLDTTLALPFTETDDGAVGLYVPKLSLSLSSLEKEEEASSDESDWKSGDPGDQFDH -GEEESLSEWESDDADWTEGPVPTEEETTVHAVLCEVGLKPVSAPKDEGDEGEVPVAAQEEPSGNAKLESE -DVEQVDEGDVEDKPTMPTGETAEKEDTPADSIWEASEAKPEKAAKVMTDGSELFDDPPVVSNLQKMFGGK -VAQAPHTLDCLPRPTPMVVVNLGFRDAGERGPVPVRRVSPPTSSDKRGEYHGVTRKWTFGREGFSVSSVT -PRQTKVETVGPVGNDVSGNAENGTPSVVQVSSSIGVLNFAVRAHGLTADEKPLPKREVKEKDTSVKPKSV -EAKRVPPKPRPRKLAKIERDAAGHLVIGNKQRQPEATPRVPLNMHVDDGVIHTSVASVEKADFEYGAPSA -HPRRPTKFGIRHWHDKSEWESMLEPSYFLSLERVAEHVPGQVHATSRKQKVVKATHFDKLFGPNRRDIPL -LEDVRCNSESLRWKKKRLVGEKIRVERNEAPRPSVKFLTTVFLVCCMFCAGSYISRDETTTVPGSDLYDV -VGRGLKDLMGVLTPILQDCRINSSSFFYNHYHFEYENTWLLGLLVIPWLKGYLTSLDVCMVLLAIVTTAG -IPALALLALICVPQAPRLVYDCLCRVGVRCDLLMAVTDILIVCADTCLMSFSLMHYFCFPLAFFSTCFRR -ITGRNLFSKMRSFLQAILYGKIQLDKDGEFATSDEGVGQHGYYSAHVTSEVTTSEEYTPNTQCETAVTDR -QLFVRHSVFGAIRLSILGRIPGRGLVCHGVRASSLYTASGSLIVADNIGRAALSYAGVVTPNFLGCLAFL -SFFFFSMESAFVLSFLFFVVDNLVTQTSDLVVVNWHDSYVHKSVVPAAYKTLDQTSEDEFTASNIHVLGV -WDLFALTLKSLFSKVFTKAVSMRFYTLKAVYPICTYTYFFDFFLFLFVLIDPSAGYLPMNPKKFIRENQY -KLTEAARSSRLICAKQIRLSNTRRYIASRFGEQVALALGFSGETSKVARYGWDLIWLLLCQVALLAVFYF -PAVAHFMFLFPFLFYIILIRLVVKPLTRVDLVMRVVSISSLLPLCYWGLRVLGLPTSSWIGGIEVTVASL -CLSLTLVLLFIHQFFGAIALYFGWRSSTHKATDFVRKLQYVVDTLPHHILRSQDTIAVNAMFKRIGELRD -RVVASPVVEDVSELEGLAKSAEVQVKELNKKVGELFVLLPHFNDLLSDVRGVCKEAKSKFSSSDISSRIF -GGLRKIFSFIFCLCNSSYVGLIFLVFYACIMMFGEVEGAEIGFELDVTIGWDTFSNPLSLLWFLTKLLLL -VVFLLLFAVVVVGQFILSIIRIIVNREGIRSLFRSNGGLGVSFFSFVLLLSLGVASGLNHQELYAGNVRA -GGFHHASAAMDVVGVFSYAMRGVFVLSLAALAYYFMSAFFLVSVFVIHMGVANLLVSFIFGIPHIFVSLG -FSQGFFFIGLIFLWIWLYTTANITLFFLRRWLNTFPTEVFRFTGQDISQFWIKNAVVAWLAFITGDVVYD -PRGFKKLAYNAADNTVTFGRDRIKVEYEVCVSCCVPGHSVCIDRGCCKGKDVGYGAQIVVDNLFIKKLGP -RFLGGVNPEDSPDFVRAQAEIKEREKLLASMLTTARQNEEIAKTGAYLFEVGQKQLNEELNGDLHRQVEG -LKLRGKGIQAETEIFANQTLLDAAADSYDALDIRPKGPRSSTKIQITPGNFAYTILRTNVNDYPGLSLAT -HRGNTCFAVERESSAPAYLPVNHGISQMLSVLDATLLARTSVTILRANYKQYTRTSCVNWDVESLRGTKF -DTFAPSVVVGFTCTGRADFDVECATADYEQLINGVERVSLGEGDVLVTLDGFNWKGYEVASAEGEDIVAT -DSDEDAEYRVDEVGDDGRVTDAVPNMKPVAPLLSYAGALAKSEDNCLVEDVVPVRTLVNMRDLARKHNTP -PKFPVAADAQPKFQPMAAAKNFPSSESASTGSASGSSTSSIRREMEEERHRRAKRRAWSSDAADDYYAAG -VAFMEATEDIDVDVQFEGLRRKSARSVTMIDECFTPKDADGVYLTYGFCGKTQEFKLSECRPVNLAGLKA -SGFVFAEKVLNGFTFHRVRAAGADMVVVKIAEYVRRGGSFGVKCAFEKLTRHYGERFRREAQKQGDVVRA -DLNLEPIKQVEKSASFSFKQVFVCFLICLLFIFPLFAPVLAFSVSVPASGGASESERGVKVCKHEENVGF -FRTDNTEYCAPFELGERPQYECSKTLKKCIMDRPVLVSGLSREEKLSRAKESIHECVDKRSVLLKRECCV -VSDVEDAQVSACFHVSEDVNLLSNCETVYVQNVTGMNDFFKYEELRFTDAMSLNTAEVREVFADYCVERP -LVVGDVTIGEYIYASDPFPLPAKETVFDFLSDLDDGEVEEETVFDFLSVNVEDDDQPEGESPLVEKSDKD -RVVVEDLRVEAFPPATEESESVSVNEVDHRVVDSPGTLDELKHERVSEDVVDVADSSSVEASVETDSSAT -GENVVESYPNVSVENGFSVVHEESPVVVDVVRESEESDDVDESVPDMKLYDAYVSALGKLREEYTNQTHR -FWRIIQASVRNYLVDLSSPNSVNVVIYTTADMSETATCFSKSFLTIVNELVGETGPIGVLNLDHDVGYEN -TVQQFEDLFTNSSAVVLGIENLSGKSALALHGFVEDFEPKRERFLSVYIVTVRSSVIEVTTAEEKLSSIW -GDLEEDKRFPVLSRLGANSVFYRPGKKNISCLPKVGLGTHAAAAELYPNLNLYDFGELRTVDDREGSPSL -VLYKFLSIMAEETPSLPNDFFFVLRGCASGRDQGLGPCHIRFVVPEELLGDSLPLLTTTANLLSVTVSSR -QNTVANITANTYDAVVKDFYSSHYYGARIFPLHVDVAVEDLSSMCEATGLCADVVFVSVGVKPLGEGVLS -SSVEVIPTMRTTVIFDHRYFRLNYKVDAEVRDGYCNLYKSFPSSVVSVKMPFCVQPEVTYNPEITFKGEG -CDEQFHRLNTGGVAFFVLNVSRVRNSLCAISHVQLDLFGGSPTGLRPGKEPFISVGEHFRTMPLRRTMHV -TGENLTDSFIIENYNLLRDKFNASAVFFNGANSNHCALSARPFCIPPAYNVGDGNVLGKNLVGAVGIQRT -TAYFAKAHFDFDGKVWYTACGEEELDTASFRHSVERELPLDDLLVRTRTGFSQLDVNLDVLFQNSTNFTQ -IMSKLEISEATRHVVQLEMAAVEKKVKASPLFFVEYREHEHSRTIAKVEIFGFSLLKFLNIGLNKKTYVC -DLCNDACDQYAYLEATVNIITDIRCLHKAETGVLNSLVSTGNSLFNVERVVFILHKSTCHVSVSEVLDLH -AARTMGSISLRFWQSLFMSKKRVVNLDTMKEPHIHAKNSWRGNVVLDVRTLQESVSAFNLGHYFYPPLQK -SAATLYDECEAMCGDCVGFMIVGNDCKLFFSEGVGNYSVEAIAHFVVNQTLGLNADKPGLVYSYSISGSL -ITFSINYLTTTVNVTLTVVDGKFKFISGLMELKVASYLWEGLHPHYMGSMFSTVQGLLGRTSCDDAMTEI -ALPYEGVVLLPTDSLTDFTVLDCLSSRYSYSVVSFHSFASILRKSSTVKGEKLLVSVDIGSTFEGWAPKD -CYDWYPLLEQEVVNIHRVADMLNACGNLERPATVLSYVSGFLNTPVFPDQFVKTDVFKEVFKGMGSIVGE -RFVLTVTLFQYSNTDSAGRIAYAPVSGPMYQNSFTYRGTFLEVVSTAEADLPLFGNAFSVELGSNAGRFR -NYELGRPKLFGGPLRFEDYGRELYQFFLFPWGTGKNALVIPNVVKCVPRNDISIVIAETDVFDLRPFASG -GGRFDLFVNGEIVAGCKVAGTFDFLSDKIQVVQQHVFEHVGLNFGVSTSSANFILLVLILIFMLIYIKMK -FNKVRDLSIFVLYATFACVLTLLFSLVDFGGTLVIVFFLDIIFTLLIVFTSLSVGGVEHFFGCVWLLRII -LLYNGLFVYLFVLFLFLRMILSTIIRFSIVRVPLAYLLRYTWYLFCIPPWKYTHLVSVEEAYLHLPLISL -DDNAMLSYGHVCSTCINKDESTFFWATTTGGRKNEAMLESQRGKRVLQSDANLFSDVFGSLVTVSYGSST -SVNMNGVIVSMFTIVVPRHLFLTSGNTQAYAAMERDIAALASKGELSITLNKKTVKVSNVVFVGCLAYIT -FDSHVGRPLGYEKISLDSAFKNRPDVQVGAAINYRSGVTPVVIGNNGTVYGALEGGDCGTPLFVYDGHGW -RWLGVHNTGINANVVDGQTFPVNVFLDITTGVSQHGKIDQSDVKIGPFRVPIMGAMVKALMKKVLPGEFL -NDATKIFLCDDTSLLLQYDGHVLAEEYKRVSDMTSIGLNFSTAVFESIGGNEGIVLHTSDGPVVLDEKKG -TTALIPLLLCCLCTLLFVFPLPAMLTWFVFFTVFCVSVYHCVGFYNTVFVVSGELVFFVLRLFFYQLSYT -VDNDMFVRVVFILVVCMTLWEIVRYRSFDFILFLLVAGVMASMFCLYSLTYGYYLFANLLMMDPLSFVLF -SNAHFGLFSFGATGYRFFNRLDMLKYFLYDGIFVIRVYTFLATGELNYIHYTVYNEDGTIFDMLSKWLGL -SVEPEYDPSNQVKNESATVPGKILKGGVSNLVYSILGELVELAEGVGSHVDTAILVRAQQAYNDSERKME -DAVNSIIELSGALHSLLPSSVLAGTEENVVAAVGLHFVRANEGERERLVDDYSTKLIRGILNARIFAAAV -GSDRNPDKITGQVRELLNVIRTDTRGIQEQINSYSAAEFKKVYNSNFTNGSEVDFANMLNAVRRSARVKY -DDVLKRVKDFNRAPQPTLVYACSLMDGLVAALEQFAPNETPSNEAEITDFVKKVGQVIAAENFGDIDLNS -LGELDHIDSAIAAVDLKSSMTTSKAEKTSCNRVRGSLLELRAKVAKVYNIRDQAEKQAKAKRDKDMQKAY -EQEKAAAKEHRDAAQRKQRVTRVVARLFNTIPIYKSAGLNAAQFYSLLTGHFTPTENKKVINTLFGDKYI -NDFCFDSIFKKYNTISDFNEPEFEYNADSRIHLVQCYSPNEQGDFVPTHVMTGLGCQDLPPGLTVYALKT -ASGVTFVEGILKAFNENSEGVLYFTQQKNEGFFSSSGIHTPCGQPLTCCGEGTHDFTGKCGGLSERELKL -HVQGCGKCADIIKRGSHFNCGRSSICRKKDSLSSLEHSHTLNCCIYAMQNCRACQLCNVCGGHPRAVGDQ -QACTSSMFHGRRCVVTDCEGCPLDHVPKYNNEAFVGNKGYAIKDKISFEKVDKNLNCYAIIHNGSKVGYV -GTNYPDKNFVEVALHAYVHSTKLQQHPNVKIWLSRAFHAQNKVWASFICRVFDHYIARGSAMLSVQNESA -GNESCRVKCIHNNVLGDCCLNLLWQGHTGHLHEHFAREGSDELFLACVAGLDIRHLVFDRKCYCDTVLKA -CKNCVIRTSVDKHVRAVYARLFQAYESGAITKVGKCDECSNPTVFDRCFVCEHDRYASEGKIGPKRPRAT -ANPFDIKXRCENEFSCSSVTEVPQIPTAKNKQVTKGFGKFETTLTEDEVAGLVACQLFDQGTLFDRRINP -MDIALFEKYFPYFPDHLQTKYRPVAENFQKLAKQDIRRLHYVNTKDARGFFTTQHQSVGREVNKDGTVNL -QKTRKAKYEYSIYQKLQELNLGKWLLDHTYEDGVLTRKGVTRLSLADLLNCADESNWKDVIDWINSEYNL -GIKKVSVCPWQNATLDDVIFTHYMSRHIILIWLRLSAFAHQLSKLDCSFYLTPDNVDLSGRLLDFEHFKF -QREGSYVDAINPIFVHMQSLWSTFYLNKIFTLSAPDAFFDGDFLTADFDEIQVKLFEFFRDPHYLSDSDL -AYVKSRMMDAVNMTKVPITLSKKEFYRVGLTITFAFGWLRDCLSGSDFFLPVSDNEFVLCQCINDTLFAQ -APPYQHRISIDKVFGLEKMLGFARIKNLWEIEYEDDLEIQKKIILSAAWNGREQSGLPACEFLPDYVNGE -ALILHGEGIPTHIPSVIRTPVIGEMPYKSILTCEDPSCKEIKYESKILSILSEAPFVLNFVQSKGTRVEV -KSEWVGQLSARYQQPSKLQPYSTFIDDMFVTYAEEKGVLLDHDVGYLGFRDDMAFIVTHRFFRMTESMME -KDQLLFDMQVCGGRTDAVWMSEFFLLRDVKMKELAEVCAKHPKASYDFYLPSQQVVKNPNSSPGFPFVGI -GKSKEVREMIGLDARDRLYLHTTHSAAQQVVLVGGKVALTPNSKGRTIGGVSVLHADWSRALFQGQKVIN -MIHGCSESLEGNIFYRGGFDKLTNFLRGIDCPDENKVMCGGDYEKYDKNLATPEFIIDGLVALDCVTNIP -EGVSKSMIYRGLLNDIANITAPYSVMGGNVIRRTNGNTSGNSRTKTVNGNINLCRNFGVEVQGLLSDFYL -DEVVSDFRNRLAFELFFRPAVDWNLEDVREILVNYNTYRKAKLFRMVCVGDDYIKVKDSRNTIPFPKEIQ -YVKRHTGVEMKKEKCTLQNDSLVPSEFCSHHGVVTPYGVAACPDVSRLMSTMAWVDGDKSVDDIQATAIS -VAFMAWPTQFDTENKPPIDLCEFFLAYARSLGVEDVSSKLGKLIPGLVEEYDLDVGDITRLFSSDRFKEI -HMCARPRDIVLKKSLVEKHGLTGDVAENESGFMFEGKSCSICSNPFVVRCMSCPISVFFCQHCVYHHYKM -HGHDVFRAPSSERDLFSSCACPLENWTVQCAEFVCTVCTESVGPSIVDDAEEIINLYFSTPERRVPGVSQ -QFVDLLVKSTTYHDGVYALAYETARRNLGLFLNFLQHTIFTQSAREDKVSSGQITSVRKVGDKVYVTIKW -SGVQPGMTANSSYTIKRGNRTLGSTTFTYTANADVRLGFVANVFEICEGDTVVPSKHSVSMSALIPLFSK -VRGSILVSSILRGLLVKTTDICNEVALSQHLCGKSDNLKHICTSVFKQPLTLVSGCPGAGKTTLNIALIK -TLIDCGKKVLFLAPSHVAVDEPADKLAKDRRYYEGVYRNLPRDHAERVNVKIAKKYDSASISDVNAPIDV -LFSTMQCYANASFRPDVVIIDEYSLANTEVVFKSLIDYPKANFLFMGDVNQLGAVIGTGVSAPFSNFLNY -LIKHEKINHYNLTDHYRMCDSIAGFISRTFYSSTLVSFVRDDPSFAPHISIINSSSRATRYLGTSSYNQE -ENDKILGVYDYLRDKHPDATFKIICSFNGSVHTLKNHNLEDVYTVDSSQGTEADIVLFALGNNSKHSLDR -NRVNVAISRAKQKVVIFDIDDAFAEMYFPNSIVRTSLYNFKLNKENRVVGHYQMQGSNEANLGEFVSNRD -WAKKMADKFVVYGADSVADVPSNDIFAVDLEGARHSQYNYNGLPLYTQLGFCVFNGVSPFSQNSRYFKPM -SVTTDGHVKTWDVSALRRPTHKGVPMFSDRQWACMRKTKATQEEVTRDFLNTVFKRCELFFVFLVYDYVF -DLGFFMGSVESVSNPRCSFCSCQMALARFSGGGLFCKACAPTDQYIKTLVNPIFVEMQLTKQNLETAHQG -CTLGHDLSYHDPGQDAMATFCIFMHARRRCSPRASYPVNCAPNHFMSRRYREVVSDVVAGYVDATGNDEI -LDVGVGRMRQAYNFKSPVYLTNLEPVRSDVAVNRASKLNQQIFFESYENHAKAYKLAIMHQVYHHVPPGS -LRDENVLIIGVSRESCNQSFRYTPFEGDNRFHTVGTNNVYLDEHFDTKDIIAREPGYDVSLLDPRVHKCS -GDSIIAILPHVRPEVLPHCVLTDDTDMCTVHGRQTCVDAYQTGLRYADLSFRGSSPITFWLLRKKNRNEF -SHNIWFNSDPRSKPFNIMFPNYQARRNVKYISGVPSDRGYHKVADIINVLFNVEAVAAPSSVLIVGGHNY -QASSVPMASAVFDFFDARCVSVDPSFLEDEKQCCGKFSVGKCKLLASRFQDVEHELATPDFLISDAYIPT -GDYFASLVSFILFRKVASFAIKITVSSVDFVALGRLSDVYETLFFFRPQILGESSEAWLLGHTVGNGCRF -DIKPVYYGFMQFVNEHGAKPKYLSSKNLKWLHAALTVNSPSLLKKS - ->YP_002308478.1 orf1ab polyprotein [Bulbul coronavirus HKU11-934] -MVKNVSKRSPIVLPQIQPPPLQLFIAVAAAEEGHPKDLKYLGNYNLVTSKVIPGVQVLNPKISLTEFEQL -FGAQPVLRALRNLVVETRNAAWNGSKQDFAAKAQSLTFTDHVLRAMIKYCPPRVSALASLALFYRIVKID -AKDLCDVAIDCAIEVAYSGKTEQHFADAKSVVLTHKDGYLTLSADCVGIPFTSTLLAQAKPSNGAMIYSD -YLLYPGATIRIVDGKPVALVTKPIVAIIQSTPFVEEDVSLLPDYNQTPAIQVAGVDCVVNGVSYLKTHDN -PPLYYPRVKGGVVNVPLKQQGTAAKKLSVVFHAKPDDVLMAFIQLQEFLNRTTGSVVDIADQTTYDVAPN -VTVTIGTSKPGDIVVSNEDEYLKCFETPEVSALYKVFQTESWAVVEQKFRRLRVRAADALYDFISFLQSL -ADNFKPLFVAVEAVIAQLQNITLDLAVSINKMRITYTAGHLVVDASNFVVKLIQPLCDFITPFLRKIAGF -ATYAYGKSMLLFTSAGTFLLKQTTGVVNKACYVFDVSPDYPVDLTTDKIYHEDSLHPTDDKPTRALEVVS -VVVGNAILQMATDGVAYYPTDGKYASTPAFKAGSDELNIVFTCDLFDDDTNAMINETLVGYELNNLVAPR -NSTPRDIATLVVNTLVDAITDHYPEKCLDLPENYQVFSTHDDMPLTNEHIPGHLTLYVQAMEGSDDGDDI -IIEEDEYSCEDDGADEGVIPQCWDVPNLDTILTKIKKDEPCVEVQQGVQNAESTNVEASSLVENTQQTTD -DAQETELSTETSEHLLVINDDEFLAIPEFDRPLKDYIQEKQLDVIIEESESSSVTSNSPEPVGDDVPSAL -DDSNIHTASETPSDEEFQDAECGNDDVSTIITDSTENGEEDPLPETIVNQPFIVDNNLPVCAIKEPSSTK -VELVVGDLATIKFDNSVLVNPANPQLTNGGGAAKAIADLAGPEYQAHCNKIAPITGVVVTEPYNARTVGV -ACILHVVPPKGTDADVQEKLYEAYRSILTEPAHYVIPLLGAGIYGCNPVHSLDAFKKACPPDIGRVTIVT -KDVNHVQLWDALNRTVVRTTKDFDQITTQALTPQGILDANLFDGDTFVQEPVPDVVYLAVDDSVQEQAKE -LGLTLSQYCKYLKACHHKWVTVRTNGILHLKQKDNNCFVSAAINLFQATHYKLRPAIDQLYQEYLNGNPC -RFVAWIYASIDQTIGDMGCPQQVTSLLVNNSNSKFSGTVHCCKTYFTHDGVVSNVREFDLLQPKVFCMRC -DTWTTFSAENVEGVIVLGNTPGKAPTHAIQFGNSHCWYTNGKKSVNGYDIDSDVVAIYHKFQTTPVRNIV -VTQPISTSNTFSVLPVQDIPKESILNDDPSVVVVSSKKAQNHEVLDNPNCLDLLDVWIRKPKYVMVKSWD -VVGKPLFKTGKVVLLSGKHLTRIYDYLCSIGAIDTTAKLSISLAYKCVKRVLPSSNTLIRTIKGLFYSFR -TILFACAPFLLLPAVASILASGYQLGVYVYAKTGIPCYGNSTDHYDYQSFCAGDLTCLACFDGQDSLHLY -KHLRVDQRTYGSNDYTTHALAIVLLLANTTLVLLTLVACFLFNFYKFTIPFYGVVSVDYQTTLVVVFSVY -YLLRVVKFLRHIAKGCKTPTCTICSKLRIPPTITVDTIVQGRKYPSVVQTNAGFKICKEHNFYCKNCSQQ -NPDTFIPTEAIESLSRATRLNVKSTAPAYVLARDVECQTDAILARASHDGQPMVCISKYSDVRTVEALLK -PTPLFSYTPDVIIAADFDNAGSLKTAKELAVVLSMDLKRTIFIIDQSYSRPVDNYTEIVSRMEKYFTFQK -ITPTGDIFTDVKTATNGQASDTAINAAILAVQKGLDFTIDNPNHILPHYAFDFNTLSAEDQSVLLEAGCA -KGNLKGTNVGVVLAANLVMRLSQSAIRIIANAASRNGVTCAVTPSNITLRGNIATQPFQRVKAGSDNKHS -VVKFLVVFLVLYATAFSLSTIASYAYNPSHPAVMSDIHTTGFYVIRDGVLDTIRSTDTCFSNKFVSFDGY -IQAEYTNDPACPVVVGVADVTTKSIPGIPAGVLHRDGGILHIYEQALYDRLQRQSMVQEALNLKVRPLFN -LGQQTIVGYTRTEVVVGTSYLRSPALFNAKCTYLEHHGERNLYCYDVVNKDHKLYTDVVPHVEYKAVDFN -GNLVPFKIPEQLMFYPHIVRYTGNSYCRMGQCFKTNPGICISFTDQFPYSENVQPGVYCADTGLQLATNF -LVGTISGVHVFTSTAALIGSTCVILLCVVGILIFQRLFKEYTTFVLYTLAIAIVNVCGIVLIYKYTAISF -VYYTIYLYFVLTFVPVKRNIALFYFAVVVIPHISNMQLLTIAVVCVLYLCYSYIRVVSKTTGKFSSFLEA -SKSTFVIDNDKYVILKDLAGSDYDSYLASYNKYKYFSGTASDKDYDKVCMAFLAKALSAFREGGGSQLYT -PPKLAVVQSLKAKLQAGIKILLHPSGVVERCMVAVTYNGSALNGVWLNNVVYCPRHVLGKYRGEQWQHMV -SIADCRDFAITCPAQGIQLTVQSIKMVGAILQLTVHTSNSGTPDYEFVRITPGSSMTIACAYDGVVRNVY -HVVLQTNNLIYASFLNGACGSVGYTLRGKTLLLHYMHHLEFNNQTHGGTDLHGQFYGPYIDEEVAQQQTA -FQYYTDNVVAQLYAHLLTIDASPRWLASAEISESDFNSWASSNSFANFPCEQSNMAYILGLSQTAKVSVG -RILNTIIQLTLNRSGALIMGKPDFECDWTPEMVYNQAPITLQSGVVKRASMWFFHFLFNTFLFVLAMLHV -LPVDLYPIGLPVVVSLAFLITLSVKHSVVFTTTYLLPAFLMFVVKAPTLWIPNTYLRSAYEWVFGLSMSE -RLTTYTVGCYIAVYAFIAINYTLRCLRYRSTSVLSFCMQMLQYGYIAQITYRLMTQAWTERLLFTAFSLL -TSHPLLAGFSWYVAGKFTVPLILPDLAIRITIYVCIGYVMCMRFGLLWILNKFTTVPMGTYNYMVSIDQL -KYMMAIKMSPPRNVFEVIIANIRLIGLGGVRNIAVSTVQNKLLDAKAAAVVVANLLDKAGVTNKHAVCKK -IVKLHNDTLKASTYEEAEMSLVKLLTHIIEFLPTDQVDAYLADTVKVQALNTYFDHLLENKLVLQAVVDA -NINLDSYRVYKEADATYRKSVEMDEPLQIQKKKLKAVNIAKAEWEREAASQRKLEKLADAAMKSMYLAER -AEDRRIKLTSGLTAMLYHMLRRLDSDRVKALFECAKQQILPIHAVVGVSNDNLKVIFNDKDSYLQYVDGN -TLIFKGQRYNIVKKLSLDNTPIEGIPEEYPVAVETIKEGVPQIQNNELCLRNVFTAQAPVLDGNGKETTA -KSFYVSRAGKKILVAVTSTKDDLKTVTCSTDQGKVVLNLDPPMRFSHVVGGKQNLVYLYFIQNISSLNRG -MVIGHISGTTILQANGTQIEYQENASLLTYLAFAVNPKEAYLKHLADGGKPIQGCIQMIASLGPGFAVTT -KPQPNEHQFSYGGASICLYCRAHIPHPGVDGRCVYKGRFVHIDKDKEPVSFALTHEPCNSCQRWSNYDCT -CGTILQNSPYLNRVTGSSGARLEPQQPGVTPDAVKRAFHVHNNTTSGIFLSTKTNCSRFRTTKQNLPLPN -KGSVELYFVSKQCSQQVFEIEETCYNMFDDSLKSTPEKFGVLARTEFFKFDKIPNVNRQFLTKYTLLDLA -YALRHLSTSRDVIKEILITICGTTEEWFGDSWFDPIENPTFYREFHKLGSVLNRCVLNANAFAKACSELG -IVGILTPDNQDLLGQIYDFGDFIITQPGNGCVDLSSYYSYLMPIMSMTHMLKCECYDNDGNEIDYDGFQY -DFTDFKLSLFSKYFTYWDRPYHPNTVDCPDDRCVLHCANFNVLFAMCIPSTAFGNLCSQATVDGHKIIQT -VGVHLKELGIVLNQDVNTHMSNINLNTLLRLVGDPTTIASVSDKCLDFRTPCQTLATMSSGITKQSVKPG -HFNQHFYKHLLDSDILNQLGIDLKHFYYMQDGEAAITDYSYYRYNTPTMVDIKMFLFVLEVADKYLQPYE -GGCLNAQSVVVNNLDKYAGYPFNKLGKARNYYDMTYAEQNQLFEYTKRNVLPTLTQMNLKYAISAKDRAR -TVAGVSIISTMTNRQYHQKMLKSISLARNQTIVIGTTKFYGGWDNMLRRLMNGINNPILVGWDYPKCDRS -MPNMLRIAASCLLARKHTCCNQSQRFYRLANECCQVLSEVVVSGNNLYVKPGGTSSGDATTAYANSVFNI -LQVVSANVATFLSTSTSSHNSREIADLHRNLYEDIYRGDSNNTTIIDQFYQHLQKYFGLMILSDDGVACI -DTEAAASGVVSNLDGFRDILFYQNNVYMADSKCWTETDMTVGPHEFCSQHTVLAEHEGKPYYLPYPDVSR -ILGACIFVDDVNKADPIQNLERYISLAIDAYPLTKVDPIKGKVFYLLLDYIRILAQELQDGILDTFQSMT -DMSYVNNFVQEAFYAQMYEQSPTLQASGVCVVCASPTILRCGDCIRRPLLCCVCAYQHVTQTTHKRIIAI -NNYICSVDNCNEDNVEKLYISGTAIYCENHKPTLCIPIVANGTVFGIYRHTARGSDDIDLFNELATSNFD -TIEPYQKANRAPLSLMLFAAETIKALEESIKKSYATATVKDVYDQRYIKLVWEHGKKPPPITKNHIFTGY -HFNKNGKTQVGDYILTKTDGSDSYTYRGTSTYKLQTGDVLVLMAHVVTPLSAPPVLAQTTYVRKSLIPDT -VNASFYVQHFKSYNEIALQKVTTVLGPPGTGKSTFAIGLAKYYPNARICYTASSHAAIDALCEKAFKTLP -VGQCSRIVPTRTTVECFQDFVVNNTTAQYIFSTINALPDIKCDIVVVDEVSMLTNYELSSVNARLVYNHI -VYVGDPYQLPSPRTMLTTGQLAPADYNVVTDIMVHTGADVMLDMCYRCPREIVDTVSKLVYDNKLKAAKP -NSRQCYKTIINFGSSDIAHEGQSAYNEPQLRFALAFRRYKRWDNVTFISPYNAMNVKAAMAGFSTQTVDS -SQGSEYDYVIFCVTTDSAHALNMSRLNVALTRAKVGILVVFRQANDLYNSLQFESIDPSLVGQEGVLLMT -DRRSTALAKSGSTPMTLQSSEDTTQEVFPEGSSSTRLLCPLFKRCSFEYSGQHPAHALTWHDCGSEYRCD -EPLAKLVGVSDGTLISYKTLVSALGFLPSLKIETYHNMFLTKEACRIYVQSWIGIDVEAAHAVKPNVGTN -LPLQIGFSTGKNFSVIPEGIWVNEFGTCTEPVPAKIPPGEQFRHLKKDMRQARPWKVVRNEIAAHLADVA -PHTDHICFVTWAHQLELATMRYFVKIGTEEKCFCGRRACFTNCNEYTCKAHRNLSSPSADYVYNPFIIDV -ATWGFSGRLSTNHDEVCTYHSNAHVASADAIMTVCLAIHELFKSVDWDLEFPVTPEQSQLNKACRLVQAN -YLNILLTTTKATVVHDIGNPKGIPIVRRAGIKYHFYDQAPIVKHVQKLKYKPEMEARFMEGLTMFWNCNV -DTYPANALVCRYDTHRQKHLIGPNGSALYINKHAFLTPEMHTYATHKLTLAPLIYYSTTDCSTEQPIVVS -YRDCVTRCNTGTTICPTHALEYQEFINAYNLMARHGFNVYIPRNVNVYNCWLTFTNLQNLENLAYNCYYK -NCNAHVDGQLDVVINNNAVYAKVDTQLVKLFDNRTNLPVSTAFEHYTNRHTKSLPTTQLLAGLGVTATRN -FTIWVDDDTAFQNTINVSTYTDVDPTLHVVLCDDRYGTDWSQFNQLPNAVFLTKTKVKKTTPFICTALTL -NGIAIDGDELYIYYRKDNQIVNFTTTLTQGRSVDKFITKTPMEKDFLEMSPEDFITNYQLQGLGVEHIIY -GDDTTPVIGGTHAMISLVKNKFSFELVNHIYNPVQNCVVTSPNASTKQVCTLIDITLDDYVNIIKTAHAN -FSTKSKVFSVNIDCQNVNFMLWHDEQVKTCYPIVQSLTNGYQMPSIYKTLVCDLEPCDIPNYHAYTPKVP -GVVKNVLKYRQLFNYIIKKDRLAVPHNMTVLHLGAASVDGTAPGTSVIKQMLPEGTVIIDLDIREFTSDA -NQIIVSDYRTYMPPHHVDAIFSDLYSSDDIHFFDNLVRIVKERLALGGSIFVKVTEHSYSPTLYQLAGYF -DDYQFFCTAVNAASSEAFLCCFNYLGTAKESVEGHNLHASYIKWRNEIALTPTYSPLADNPATVCKLKAT -PIISLKELEKKPILKFLVSSGRLLVRPPECRELY - ->YP_001941164.2 ORF1ab polyprotein [Turkey coronavirus] -MASSLKQGVSPKPRDVILVSKDIPDQLCDALFFYTSHNPKDYADAFAVRHKFDRNLQTGKQFKFETVCGL -FLLKGVDKITPGVPAKVLKATSKLADLEDIFGVSPFARKYRELLKTACQWSLTVDTLDARAQTLDEIFDP -TEIFWLQVAAKVQVSAMAMRRLVGEVTAKVMEALGSNLSVLFQIVKQQIARIFQKALAIFENVSDLPQRV -AALKMAFAKCAKSITVVVVERTLVVREFAGTCLASINGAVAKFFEELPNGFMGSKVFTTLAFFKEAAVKI -VENIPNAPRGTKGFEVIGNAKGTQVVVRGMRNDLTLLDQKADVPVESEGWSAILEGHLCYVFKSGDRFYA -APLSGNFALHDVHCCERVVCLSDGVTPEINDGLVLAAIYSSFGVSELVAALKKGEPFKFLGHKFVYVKDE -AVSFTLAKAATIADVLKLFQSARVQVDDVWSSFTEKSFEFWRRAYGKVRHLEELVKTHFCKAQMSIVVLA -AVLGEGIWHLASQVIYKLGGLFTKVVDFCEKHWQGFCVQLKRAKLVVTENFCVLKGVAQHCFQLLLGAIH -SLYKSFKKCVLGRIHGDLLFWKGGVHKIVQDGDEIWFDAIDSVDVEDLGVVKDKPIDFEVCEDVTLPENQ -PGHMVQIEEDGKNYMFFRFKRDENIYYTPMSQLGAINVVCKAGGKTVTFGGTTVKEIPPPDVVPIKVSIE -CCGEPWNTIFKKAYKEPIEVETDLTVEQLLSVIYEKMCDDLKLFPEAPEPPPFENVALVDKNGKDLDCIK -SCHLIYRDYESGDDIEEDDAEEFDTDSADAEECDTASECEEEDEDTKVLALIQDPASNKYPLPLDDDYSV -YNGAIVHKDALDVVNLPSGEETFVVSNCFEGAVKPLPQKVIDVLGDWGEAVDAQEQLCQQESTRVVFEKP -VEDSTGSCEAMVERTVVEEHAVVPVVENKSDVVVYTPADLEVVKETAEEVDEFILISDVSKEEVVSQEKE -EPQVEQHPTQVVKPQREKKAKKFKVKPATCEKPKFLEYKTCVGDLTVVIAKALDEFKEFCIVNAANEHMS -HGGGVAKAIADFCGPDFVEYCEDYVKKHGPQQRLVTPSFVKGIQCVNNVVGPRHGDSNLREKLVAAYKKV -LVDGVFNYVVPVLSSGIFGVDFKMSIDAMREAFDGCDIRVLLFSLSPEHIDYFNATCKQKTLYLTEDGVK -YRSIVVKPGDSLIQFRQVFAKNKAVFTADDVEDKEILFVPTTDKTVLEYYHLDAQKYVIYLQTLAQKWNV -QYRDNFIILEWRDGNCWVSSVAVLLQAAKIRFRGFLAEAWAKFLGGDPTEFVAWCYASCNAKVGDFSDTN -WLLANLAEHFDADYTNALLKKRVSCNCGVKSYELRGLEACIQPVKAPNLLHFKTQYSNCSICGANSTDEV -IEASLPYLLLFATDGPAAVDCDENAVGTVVFIGSTNSGHCYTQAIDKAFDNLAKDRKFGKKSPYITAMYM -RFSLKSENPLPVVKQSKGKTKVVKEDVSNLATSSKVSFDDLTDFEHWYDSNIYESLKVQETPDTFDGYVS -FTTKEDSKLPLTLKVRGIKSAVDFRSKDGFTYKLTPDTDENSKAPVYYPVLDAISLKAIWVEGNANFVVG -HPNCYSKSLRIPTFWESAESFFKIGDKIDGVTMGLWRAEHLNKPNLERIFNIAKKAIVGSSVVTTQCGKL -ISKAATFIADKVGGGVVRNITDSIKGFFGFTRGHFELSPQFIKTLIFFFFYFIKASAKSLVSSYKRVLCK -VVFATLLIVWFIYTSNPVIFTGIRVLDFLVEGSLCGPYNDYGKDSFDVLRYCADDFTCRVCLHDRDSLHL -YKHAYSVEQIYKAASSGIVFNWNWLYLVFLILFVKPVAGFVIICYCVKYLVLNSTVLLTGVGFLDWFVQT -VFNHFNFMGAGFYFWLFYKIYIQVYHILYCKDVTCEVCKRVARSNRQEVSVVVGGRKQIVHVYTNSGYKF -CKKHNWYCRNCDDYGHQNTFMSPEVAGELSEKLKRHVKPTAYAYHVVDEACLVDDFVNLKYKAAIPGKDS -APLAVKCFSITDFLKKAVFLKDALKCEQISNDGFIVCNTQSAHALEDAKNAAIYYAQYLCKPILLLDQVL -YEQLVVEPVSKSVVDKVCSILSNIISVDTAALNYKAGTLRDALLSITKDEEAVDMAIFCHNHDVEYTSDG -FTNVIPSYGIDTDKLTPRDRGFLINADASIANLRVKNAPPVVWKFSDLIKLSDSCLKYLISATVKSGVRF -FITKSGAKQVISCHTQKLLVEKKAGGIIGGTFNWFKSCCKWLLIFYVLFTLCCLGYYHMEMNKIFVHPMY -DVNSTMHVEGFKVIDKGVIRDIVPEDACFSNKFANFDAFWGKSYENSRDCPIVTAIIDGAGTVAAGVPGF -VDWVMNGVMFVHMAQTDRRPWYVPAWFNREIVGYTQDSIVTEGSFYTSIALFSARCLYLIASNTPQLYCF -NGDSDAPGALPFSSIIPHRVYFQPNGVRLIVPQQIMHTPYIVKLLSDSYCRGSVCEYTKPGYCMSLNSQW -VLFNDEYIGRPGVFCGSTVRELVFNMVSTFFTGVNPNIYMQLATMFLILVIVVLIFAMVIKFQGVFKAYA -TIVFTIMLVWAVNAFVLCVHSYNSVVAAILLVLYCYASLVTSRNTSIIMHCWLVFTFGLIVPIWLACCYL -AFVLYVYTPLFFWCYGTTKNTRKLYDGNEFVGNYDLAAKSTFVIRGSEFVKLTNEIGDKFEAYLSAYARL -KYYSGTGSEQDYLQACRAWLAYALDQYRNSGVEIVYTPPRYSIGVSRLQAGFKKLVCPSSAVENCIVSVS -YRGNNLNGLWLGDAIYCPRHVLGKFSGDQWSDVLNLANNHEFEVVTQNGVTLNVVSRRLRGAVLILQTAV -ANADTPNYKFVKANCGDSFTIACSYGGTVVGLYPVTMRPNGTIRASFLAGACGSVGFNIEKGVVTFVYMH -HLELPNALHTGTDLFGDFYGDYVDEEVAQRVPPDNLVTNNIVAWLYAAIISVKESSFSLPKWLESTTVSV -EDYNKWAGDNGFTPFSTSTAITKLSAITGVDVCKLLRTIMVKSSQWGSDPILGQYNFEDELTPESVFNQV -GGVRLQSSIVRRATSWFWSRCVLACFLFVLCAIVLFTALPLKYYVHAAVILLTAVLFISFTVKHVMAYMD -TFLLPTLITVIIGACAEVPFIYNTLISQVVIFLSQWYDPVVFDTMVPWMLLPLVLYTAFKCVQGCYMDSF -NTSLLMLYQSMKLGFVTYTSTNTLTAYTEGNWELFFELVHTTVLANVSSNSLIGLFVFKCAKWMLYYCNA -TYFNNYVLMAVMVNGLGWLCTCYFGLYWWVNKVFGLTLGKYNFKVSVDQYRYMCLHKIPAPKSVWDVVKT -NILIQGIGGDRVLPIATVQSKLSDVKCTTVVLMQLLTKLNVEANSKMHAYLVELHNKILASDDVGECMDN -LLGMLVTLFCIDSTIDLSEYCDDILKRSTVLQSVTQEFSYIPSYAEYERAKNLYEKVLADSKNGGVTQQE -LAAYRKAANIAKSVFDRDLAVQKKLDSMAERAMTTMYKEARVTDRRAKLVSSLHALLFSMLKKIDSEKLN -VLFDQASSGVVPLATVPIVCSNKLTLVIPDPETWVKCVEGMHVTYSTVVWNIDTVIDADGTELHPTSVGS -GLTYCISSDNIAWPLKVNLTRNGHNKVDAALQNNELMPHGVKTKACVAGVDQAHCSVESKCYYTNISGNS -VVAAITSSNPNLKVASFLNEAGNQIYVDLDPPCKFGMKVGDKVEVVYLYFIKNTRSIVRGMVLGAISNVV -VLQSKGHETEEVDAVGILSLCSFAVDPADTYCKYVAAGNQPLGNCVKMLTVHNGSGFAITSKPSPTPDQD -SYGGASVCLYCRAHIAHPGGAGNLDGRCQFKGSFVQIPTTEKDPVGFCLRNKVCTVCQCWIGHGCQCDAI -RQQKPSVQSVAGASDFGKNYLNRVRGSSEARLIPLASGCDPDVVKRAFDVCNKESAGMFINFKRNCARFQ -EVRDTEDGNLEYLNSYFVVKQTTPSNYEHEKSCYEDLKSEVTADHDFFVFNKNIYNISRQRLTKYTMMDF -CYALRHFDPKDCEVLKEILVTYGCIEDYHPKWFEENKDWYDPIENPKYYAMLAKVGPIVRRALLNAIEFG -NLMVEKGYVGVVTLDNQDLNGKFYDFGDFQKTALGAGIPVFDTYYSYMMPIIAMTDALAPERYFEYDVHK -GYKSYDLLKYDYTEEKQEMFQKYFKYWDQEYHPNCRDCSDDRCLIHCANFNILFSTLIPQTSFGNLCRKV -FVDGVPFIATCGYHSKELGVIMNQDNTMSFSKLGLSQLMQFVGDPALLVGTSNNLVDLRTSCFSVCALAS -GITHQTVKPGHFNKDFYDFAEKAGMFKEGSSIPLKHFFYPQTGSAAINDYDYYRYNRPTMFDIRQLLFCL -EVTSKYFDCYEGGCIPASQVVVNNLDKSAGYPFNKFGKARLYYEMSLEEQDQLFESTKKNVLPTITQMNL -KYAISAKNRARTVAGVSILSTMTNRQFHQKILKSIVNTRNAPVVIGTTKFYGGWDNMLRNLIQGVEDPIL -MGWDYPKCDRAMPNLLRIAASLVLARKHTNCCTWSERIYRLYNECAQVLSETVLATGGIYVKPGGTSSGD -ATTAYANSVFNIIQATSANVARLLSVITRDIVYDDIKSLQYELYQQVYRRVNFDPAFVEKFYSYLCKNFS -LMILSDDGVVCYNNTLAKQGLVADISGFREILYYQNNVYMADSKCWVEPDLEKGPHEFCSQHTMLVEVDG -EPKYLPYPDPSRILGACVFVDDVDKTEPVAVMERYIALAIDAYPLVHHENEEYKKVFFVLLSYIRKLYQE -LSQNMLMDYSFVMDIDKGSKFWEQEFYENMYRAPTTLQSCGVCVVCNSQTILRCGNCIRKPFLCCKCCYD -HVMHTDHKNVLSINPYICSQPGCGEADVTKLYLGGMSYFCGNHKPKLSIPLVSNGTVFGIYRANCAGSEN -VDDFNQLATTNWSTVEPYILANRCSDSLRRFAAETVKATEELHKQQFANAEVREVLSDRELILSWEPGKT -SPPSNRNYVFTGYHFTRTSKVQLGDFIFEKGEGKDVVYYRATSTAKLSVGDIFVLTSHNVVSLVAPTLCP -QQTFSRFVNLRPNVMVPECFVNNIPLYHLLGKQKRTTVQGPPGSGKSHFAIGLAAYFSNARVVFTACSHA -AVDALCEKAFKFLKVDDCTRIVPQRTTIDCFSKFKANDTGKKYIFSTINALPEVSCDILLVDEVSMLTNY -ELSFINGKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDYNVVTNLMVCVKPDIFLAKCYRCPKEIVDTVS -TLVYDGKFIANNPESRQCFKVIVNNGNSDVGHESGSAYNTTQLEFVKDFVCRNKEWREATFISPYNAMNQ -RAYRMLGLNVQTVDSSQGSEYDYVIFCVTADSQHALNITRFNVALTRAKRGILVVMRQRDELYSALKFTE -LDSETSLQGTGLFKICNKEFSGVHPAYAVTTKALAATYKVNDELAALVNVEAGSEITYKHLISLLGFKMS -VNVEGCHNMFITRDEAIRNVRGWVGFDVEATHACGTNIGTNLPFQVGFSTGADFVVTPEGLVDTSIGNNF -EPVNSKAPPGEQSLESVISAKPWHVIRPRIVQMLADNLCNVSDCVVFVTWCHGLELTTLRYFVKIGKEQV -CSCGSRATTFNSHTQAYACWKHCLGFDFIYNPLLVDVQQWGYSGNLQFNHDLHCNVHGHAHVASADAIMT -RCLAINNAFCQDVNWDLTYPHIANEDEVNSSCRYLQRMYLNACVDALKINVVYDIGNPKGIKCVRRGDLS -FRFYDKNPIVPNVMQFEYDYNQHKDKFADGLCMFWNCNVDCYPDNSLVCRYDTRNLSVFNLPGCNGGSLY -VNKHAFHTPKFDRISFRNLKAMPFFFYDSSPCETIQVDGVAQDLVSLATKDCITKCNIGGAVCKKHAQMY -AEFVTSYNAAVTAGFTFWVTNNFNPYNLWKSFSALQSIDNIAYNMYKGGHYDAIAGEMPTIVTGDKVFVI -DQGVERAVFVNQTTLPTSVAFELYAKRNIRTLPNNRILKGLGVDVTNGFVIWDYANQTPLYRNTVKVCAY -TDIEPNGLIVLYDDRYGDYQSFLAADNAILVSTQCYKRYSYVEIPSNMLVQNGMPLKDGANLYVYKRVNG -AFVTLPSTLNTQGRSYETFEPRSDVERDFLAMSEEDFVVKYGKGLGLQHILYGEVDKPQLGGLHTVIGMY -RLLRANKLNAKSVTNSDSDVMQNYFVLADNGSYKQVCTVVDLLLDDFLELLRGILNEYGTNKSKVVTVSI -DYHSINFMTWFEDGSIKTCYPQLQSAWTCGYNMPELYKVQNCVMEPCNIPNYGVGITLPSGIMMNVAKYT -QLCQYLSKTTMCVPHNMRVMHFGAGSDKGVAPGSTVLKQWLPEGTLLVDNDIVDYVSDAHVSVLSDCNKY -KTEHKFDLVISDMYTDNDSKRKHEGVIANNGNDDVFIYLSDFLRNNLALGGSFAVKVTETSWHENLYDIA -QDCAWWTMFCTAVNASSSEAFMIGVNYLGASEKVKVSGKTLHANYIFWRNCNYLQTSAYSIFDVAKFDLK -LKATPVVSLKTEQKDRLSFWFS - ->YP_005352862.1 orf1ab gene product [Night heron coronavirus HKU19] -MGSKQVDHTCLTIPPNPSKTLALFITTVAAQEGKTFKTVDDVKTISKFNIRRGNVVPGILALDNHTTLSD -FENLVGIQPVLRTLRELLKSSDWAETTESLWRKTMDLTWSKQLCRAIMLYGSVKLSSLASLVYYNKVLGI -KQGPLSDVMRDIVLTEAVNGTLAQKLLHFTKVEVKQTDDYLTLTQKGELSDMFKAKLLLLSENRNGFYQY -KDYVFWPAATALKTENTMQLLYQQPLPVVEGNAIGTKNIKLAPTKTTIKTPVTGDVVRIGDINYIIQQDN -PPYYLPLVDGGVAPQPLREIGTVFKTVKVTFHAPPSDILHNLITLQASARGAIIDFEKGTDVGENVKVYV -GPSQPGDVVARDDEDYIKCFQKDQVRTLYNTIKDGTMLQLYTLQGSVVDNVFPLFHKLLSWLNKVSDLCG -GALSALVASVTEQLLNIKVSIVMQLTNLQLVAKSTLELATNITQLSTILNPLFTAIKEHSKKLFNMFTLS -ITKDQLIFFDDDDVFVANVTSDTPVQGTVYNFSTDVVVNCTGDVYTSTCDYIKPTGKKEPINAVSTIYIK -SGKNVVKMAYDGDYCYPQGSDNSIISHAFKVGGLKPILIKQTSNKPKKAVTLNETTVEHGEETTYGSLNA -DKFTNPQGPVRKLPGLRLILDCQLYDEDTNTAINEEIHGCDDNWEPLYYEKDSTIEDIEQLVEDTLYTVL -TEQRPDLGVDPVDFILFNAVTNDTATITDISSGNLTLQILPLEDENCSDSEDYSSGSEVEYEEDDPTYFD -NGDDIGAYPIVPLTPVFTQSTTSSTLSDQKLRDAGDAQSESEVLVDTVDCVEEEPFTPLKSYVSMDNLQC -STDDEETIPIVPGMENVIVDDETQYPQGDCEDIVCETDEEYFSDSEQTLVGINQYLYNLPVEAIIDEQPT -QVEFHIGDIRSLKGLRNAIIVNAANERLTNGGGIAKAISDLAGPEYQACCDKAAPISKPFLTNAYDLVFY -GYAGILHVVPPRGNDPNVKQKLYEAYKSVFTKPSHYVIPVLGAGIFGCNPVDSLQALKKALPQNIGKVTI -MSLDKEHKNIWDAINATIVTYTYDMDQLYTGALSKDQIRQLNLFDGTDYVSEAVAGVTYLAVSDKVATSA -KELDLTMSQYYKYLDYCSLQWKVKKTNFTHLVVVRNNCFITAVLDFLQNTHVTFRQPLDGLYKQYLNGNV -EQLVAWCYAITNQTPGDTGDAVAVLSALLKYNTDTIIATTECCGSKVDLDGMIFTTTLLDFKPTVHCVKC -DTFTGLSDLQVPGIVVACSNAIKPEEYKTSLPTISHNASGVGHWFAALNKGLDGSNLKGATIDVIYFKNQ -KPKPVEDVLLDNVVLTNRYQALAVEEIVQDTSEEPVKQEETKADVATTDVKVLDNPDSLDMLALWIEKPA -YVMVKSFKLLGTVLFATGKVVQYTTKLITATYNFLKRSGLLSKTTDTITNFCLKIVKDNVKSFTTNINFK -FLATVCYTYMYNILAAVLPFLMLIPVGSILKSALLFFNYLRSYTSVCSIDIIPKYEEAKPYNLESFCSDK -PYYCMPCLQGKDSIHFYKHLVVQYTTSMGYDINVTLWSLIVVLLYFKPLLFIVTTSVVTFINSMEFYIPF -YGTYSLTYTNTYITVIIINLMYKSFCFVKHLVSGCSKTGCSICAKKSVYTVLNVETVIQGRKFVSQVSTN -GGTKFCEKHNFYCLNCDNPMDDGTFIPVEAVESLSKATNTHVKPTSVAYAMSRDITCNGDVFMANVTING -KNIVTCNKYTDVRTVDQLKKPDLLSNYPQDVVIACDFENVAAVNLPNQMAAPMSMVLRRFVLIIDQQHTK -PVNSYEQVKNLLNEFFSFQDLTQTGDLIADVKNATNNQVSDTAICAAKFAVDNGLDLTMDNPNNTVPHYA -FDFNSLPSEDKSTLMDFGIGSSVLKGTSYNIILPYNLLVKLSVKTIIKLKSAAMKNGVKLLVTPSTRVIR -GTLSVIPFNIKTGGNKVYTTFLSLLKLFLLVSVVAFLASCFVTWLFTLTPPTTMSHVEASNFRVIRNGVI -DSIRADDYCFSNKYVAFDSFISRPYINFPSCPVVIANVYVAGDSVPGIPGHLFHRDNIIMHAYDLHKYTL -QHLFETPASTWNVPEVAGYTQYSAVQGSYKDSYALFTSKCTYLSYKGDRELYCYDNMTVQETLSFMGEVE -TVINKTVKLYSELKPHVRYMTDTVDGKIHDVIIPEQLWYVPYLVKFTTNLYCRIGECFKTNPGYCLSFTN -KFVVNDGIQQPGVYCGDTLVQLLTNMFFGVVSATDIMKSSTALLLSTSLIIGIVFLVMLFQRIFKQYTSF -VGVVVLNAFLNIIGLIILTICKPLAYGFFGLYFYVTLTLTPITRSIIYVFMVVTLLPHVSNLLLLAFFIV -MLFYNIYKYVRVVKYTASGGFKTFLDASKATFVISNDKYVEMLNLAGSEYDTYLASYARYRYYSGTTDSA -EYNKVCMAFLAKALDNFKTSGGSVLYTPPKLTVVQAGIKRLLSPSGSVEKCMVSVHYRGLTLNGIWLNNV -IYCPRHILGKYQASFWQDAVKVADTRDFVINSQHSKIQFRPVGLRLNNAILQIVLPTEQNNPHTPDYEFV -TAKPGSSMTIACTYDGIVSAIYHVIMQTNGLIYASFMNGACGSVGYTLKNGKLLLHYMHHLEFNNKTHGG -TDLNGNFYGDYIDEEIAQSISKAATLTDNALAHIYAHLSTISTKPKWLSYQELSVEDFNDWAKNNDHTQF -PSCDENYTYLDALAKSTGVSIKRVLSTLVTLHTNWGSASVLGMSTFDLDFTPEMVYNQAPITLQGTKKST -IFTWLASVAFNFIVYMTALMYVIPNNVLYIVVPATLMTAIVTQLFIKHTTVYMLAYCLPMVVLCVYNTFT -IWVPNTMFRQIVYYAYTCFYEPSIAQYMVYLSVFLVSINAVKSLIVVRSNMQALYALYNFVRSALFGYTA -TMLLDSGLVNATYIVWFVASMSPTGSLLATLNWYLADYIASSFQFDLLCKMFIYHTLGFVVCMRFGLYWW -INKFTGIPVGTYKFVVSSEQLKYMMATRMSPPKNFVEVLMTNMKLLGVGGKRDIAISTVQNKTLDIKATA -VIIAQLLEKVGVTNKTEMCKKITQLHNKVLASKTAEEAEPHLYALLVYLLPHFSSDNLDKYFDSLLQHKP -VLQVVADAFIHLDSYKIYKDAQQAYDEAVERGAEASEIKKALKAVNIAKAEYDRDVAAEKKLAKLADSAL -KSMYLAERSEDRKAKLTSGLTAMLYHMLRRVDSDKVKALFELAKSDVIPLHAITGSSTDGLKVIIGDQQT -YEQYVTGNQVIFRGRTYVINTMYDLDNQILQEKPQSFPIVLECTKLNVLEKLHNMGWDTIDIKLQNNELY -VRNVFCAQSTCTDANDNQSTGKTFYVSQSGNKILVAVTSTNDNLRTVTVTKDDGSKVVLNLEQPMRFAHM -VNGKHLNTYLYFVANIKTIYRGMIIGHISSTVSLQSSGTSVEYQENNSLLTYLAFAVNPREAYLAHISNG -GKPIQGAVRVIAPQGEGFAVTTKPQPNAMQHAYGGASICLYCRAHVTHPSMDGRCNYKGRFVHIDKDLEP -TQFALTHEPCTACHRWVNHDCTCTNLQLGYSSNQNNSYLNRVKGSSDARLEPCTSDNRPDVVVRAFNIYN -NATAGIFKSTKNNCTRFKSTRPGSIINKPVRTIETFFVTKQCTENVFRAEEQCYNMLPKSIVSTDDKFSC -VAYHDFFKFDGVNNVVRRHLTKYTLLDLVYALRHLSTSQEIIQEILTTMCGTSEEWFVDGWYDPIENPTF -YDEFHKLGSLINNCVVMANKFADTCKTVGLVGILTADNQDLGGQIYDFGDFVVTQPGNGCIEMDAYLSYI -MPSMSMTHMLKCECLDDNGSYKDYSIYQYDFTDYKMELFNKYFRHWSQTYHPNCVDCVDDRCIVHCANFN -ILFAMCLPNTCFGNLCSQATVDGHPIVQTVGLHSKELGIVMNQDVNNHMSNINMPTLLRLVGDPTTMCSV -ADACLDLRTPCQTIASIASGATKQSVKPGHFNAHFYEHALESGILSEDSGIDIRHFYYMQDGEAAIKDYS -YYRYNTPTMVDIKQFLFVMEVADKYLSFYDGGCIPAETVVVNNLDKSAGYPFNKLGKARNYYDLTYAEQN -AMFEYTKRNVLPTVTQMNLKYAISAKDRARTVAGVSIISTMTNRQYHQKLLKSISVARNQTIVIGTTKFY -GGWDNMLRNLMANINNPKLAGWDYPKCDRSMPNLLRITSSLLLARKHACCTHSQRFYRLANECAQVLSEI -VVSGNVMYVKPGGTSSGDATTAYANSVFNILQVVSANIARFMSTSAATHHDVDVMHLHRQIYDDIYRGNS -NDSVAIQSFYEHLQKYFGLMILSDDGVACIDQEAAKQGMVADLDDFRDVLFYQNNVYMSDSKCWIETDMS -KGPHEFCSQHTVLAEYDGEPCYYPYPDVSRILGACIFVNETEKTDPVQNLERYISLAIDAYPLTKVDNKK -GKVFYVLLDYIRKLANELQEGIMDAFQTSTDTSYINNFVTENFYSDMYAKAPVLQATGSCVVCGSPTILR -CGECIRRPLLCCVCCYNHVTRTDHKRVIAINNYICSVENCNEDNVEELFISGTAIYCRAHKPVLCIPIVA -NGTVFGIYRHTARGSDDIDLFNQLATSDYTTIEPYQLANKAPVPLMLFAAETIKAKEEAIKRSYATATVK -EVYDSKLIKLSWEHNKKVPPITKNHIFTGYHFNKNGKTQVGDYILVKCDGDSYSYKATSTYKLQVGDALV -LMSHVVTPLSAPPMLAQTNYTKPQQYASPSEAAFYATHFKSYDSIAKQKITTVLGPPGTGKSTFAIGLAK -YFPNARICYTASSHAAIDALCEKAYKTLPREQCSRIVPTRTTVECFSDFVVNNTTAKYVFSTINALPDIK -CDIVVVDEISMLTNYELSSVNARLSYNHIVYVGDPYQLPSPRTMLTSGQLQPVDYNVVTSIMVQAGADVM -LNICFRCPAEIVYTVSKLVYDNKLLSAKPASKQCYKVIVNNGNNDICYEGCSAFNQIQLDFALAFVQHKR -WTNSTFISPYNAMNVKASMAGFNTQTVDSSQGSEYDYVVFCVTTDSGHALNMARLNVALTRAKIGILVVF -RNNDELYKQLEFEELDVRAVVYSTVGKSTQPQVQSLEDENRSSTRSGLSGTGLTSLFKRCAINYNGLPPQ -YALTWNDVGQDYKLQEPLAKIVGVEDETTISYKYLVSCLGMVMSTSIETYHSMFLTKQDSKKYVNSWIGF -DVEAAHAIKPHTGTNLPLQLGFSTGHNFSVIPEGLWVTETGTCNDLVAAKIPPGVQFSHLKKDMKNGKPW -TSIRPKLVQLIAETTMDSDFVTFVTWAHQLELATMRYFVKIGQEQKCDCGRRANFTTKEGTSYGCKIHYH -GFDYVYNPFLVDVANWGYTGSLSSNHDPICHYHKNAHVASSDAEMTICVAINHLFDKVDWDLTFPITPEN -DALNKACRLVQSNYLDILLTTTKAKIVHDVGNPKGIKAVHKQGVNYKFYDMHPVSAKVQKLRYTSDYETH -IQDGLSMFWNCNVDVYPSNALVCRYDTHKQKHLIGPNGSALYINKHAFLTPPMPVYATHKLRLAPIVYYS -HTDCSTEQPIVMTARDCITRCNNGSTICAKHVSEYNMFVQSVNLMAKHGFNVYVPKDINLYNCWLSFTNL -QTLENLAYNCYYKNTNQHVNGSLDVIINNNTVSTVVDGKTVKLFENYTNLPVSVAFEHYTNRHTDTIPSI -SLLASLGITCTRNFTVWRENDTVFTNTINVSAYTDVLPDKYTVLMDERYGTSYSEFCQYDNAVFLSPFLY -KKAKPIVITFLTKNGVRVYDCTLYIYWRYNGEFQQITDTLYSQQRSVVNFKPLTKMEADFLSMTQDQFIQ -TYDLTNLGVEHILYGDTSKTILGGCHALISLVHSEFSSDFVTHLFNPVQNMIVTADNGSSKNVCSVVDLT -IDNYIDIIRQAHSVYETKSKVFTVVIDNMPIKFTIWHDSQKVDTLYPLVQALTNGYQMPSVYKHVQVDRQ -PCDIKNYHEYVPKYPGVTKNVLKYRQLCQYINKVDKLAIPHNMTILHLGAASIQETAPGTTVLRQMFPEG -TVIIDLDIRDFVSNAYQVIVADYRTYMPPQHVDVIFSDLYSNEGESFFKNLVHIVKNRLAIGGSMFIKFT -ETSYSAELYELAGYFSDYNLFTTAVNATSSEVWLCCFNYLNECKTVINGEQLHSSYILWRNETILTPTYS -TLADNNGLCFKLKATPCVTEKEYVKKPILKLLVAEGKLLVKPPTRCNVLF - ->YP_002308505.1 orf1ab polyprotein [Munia coronavirus HKU13-3514] -MAKNKEKRSPIVLPELVPPPLQLFISVAACEEGHPNNTTYLANYNLYTTKAPPGVQVIKPGISLTDFEAI -FGSQPTLRAIRNLVCERNASWANSKDDFYLKAKTLTFSDPVLRAIIRYAPPRISSLAALALFDRLVKIEY -DELKKIALDAAVVLAYEGKITTTLADAKSVVLTHKDSYLTLSNDVVGAKFTSVLLAQASPANAAMRFLNF -YLYPSATAVEKDGKMQPVACKPIPANAKGKPIQEDCHLIPDYQQLVVSQVEGVDSIVDGVLYLKTSSVPP -IYYPRVKGGALLIPLKPQGTSSKKLNVVFHTEPSDVLMAFVQMQQFLSRTEQSWIEVTDAQTYDVSPTVT -VRVGVSKPGDVVVSTEEEYLNCFNDPDVKELYKLFRTESWAKLERKFAGLRVRISSALSNFISFLHAIAD -RFKPLYDVIHAVIYKLQCLSLDAAARIASVKLVYRAGKLIVDAASFVASAIQPLCDFFLPFLKKVADYAT -YAAGNYMLMFTSAGTFVLKKAPAKIINKVKYIFDVNPDYPVEATTNKVVTHDSLAITDATPTRALEAVDV -VVGSTVLQMATDGTSYFPSDGNSASLPGFRAGADHLTVSFVCDLFDDPTNQAINELLAGYELNNLVAPSD -STPRDIARLVVDALVDALTDHFPERVMDLPEDYQVFSSHDDLPLATHHIPDHLTLYVQAMESEDDCEDEL -YVEDDDYCDQPATNFDDEEGPIPQQWDLPDVDHFLAKLRKEPAGDQAPANTSDQQQDQITSNQTQPTDEE -PSAYEPDEVNSDDEIIIPIVPDQPADFKAAVSTIAEYKTKDKLPPIQEETSSQESSRSTTPNQEGIDATS -DVNSVDSADESAESSSETDSTFEDATEDVQPQQDSSSAVRSVGLDDQNSIANAAHDRGNCDSKVIFEDVE -SAQATTAEAVNTVQSSNQNSIANAAYDRGDCDSTAICDDGETIITDSSEGDITDEPLATIVNTPTTVDNN -LPAVAVKQPSPTKVELVVGQLTSIKYDNSVLVNPANEALSNGGGAAKAIADMAGPKYQAYCDSIAPITGN -VTTEPFDAAKYGVSCILHVVPPRGSDPNVQELLYQAYKSILTEPAHYVIPILGAGIFGCNPVHSLDAFKK -ACPSDIGRVTLVTLDSNHLQIWDAINRTVVRTTKDFDQVTTKALTPQGILDANLFDGQEFVQDPKPGQVY -LEVTDEIQQQAKELDLTLQQYVTYLKLCHANWPISRTNGVMHLKQHRNNCFVSAALNLFQNTRFQLRPAI -DQLYKEFLNGNPSRLVAWVYAATNHTIGEFGCPQEVIALFVNNANSAFSATTVCCGTYFNHTGVISVSKD -YDPLVPKVYCMKCDTWTPFNPVSGVGAIAVGTSDEEPTLPAIKYAQDHCWYTNGKKTINGYNAKAKVVAT -YHNFDVAPAPRTETVSMPLSTNKYSVLPTEDIPQSSPLLLEPPPRELPQDEDKPKAEVLDNPTHLDLMDL -WIRKPKFILVKSWSILGKALCKTGTVVFLSAKLLLKFYNHLVEIGAIDKTVKLSVGLACKVARHFMPSAA -TLRKTCLGLFYSTKTIFMALAPFLMLPAVASIFSTGYKLGVHLYARTGIPCVYNTTMHYDYNAFCAGDLT -CLTCFNGQDSLHLYQHLRVNQQPVMEVDYTLYALAFILLLANATLVLAALAFVFIVNMYGFTIPYIGTLY -IDYQTFLVMSFIVYYVYRVCAFLRHLAKGCKQPTCTLCSKMRTSPTITVETIVQGRKYPSVVETNGGFSI -CKEHNFYCKNCTAEKPGTFIPTEAVESLSRTTKLSVKPTAPAFLLARDVECQTDVVVARAMHNQTAHVCI -SKYSDIRTVDQLLKPTPLFSYTPDVMIAADFDNSGSLKTARELAVVLSMDLKRTIIIIDQAYSRPLNTYD -EVAARMEKYFTLQKITPSGDIFNDLKQATAGQATDSAINAAILAVQRGLDFTIDNPNNILPHYAFDFTTL -TADDQATIIETGCAKGNLKGTGVGVILSANLVTRLSQSALRIIANAASRNGVTCAVTPSTLVMRGNIATQ -PLTRLKAGAVPSRRVVYTILAICAVYAFAFALSCAAARLTLHTVPSIKTDMRVDNFYVIRDGVLDTIRAT -DNCFANKFLSFDSFHQATYTNSPACPVVVGVADVKTHSVPGIPAGIILRDNLVLHIYELSIYEREQRASM -VRDALKLHTGSIFNLDNRVVVGYTQHEVVVGSSYLRSPALFNAKCTYLQRDGNRTLYCYDAVDVPHKLYS -DVLPHVEYQAVDFNGEVVPLKIPEQILYYPHIVRYVSNTYCRMGQCFNTNPGVCVSFTDEFPYSGNEMPG -VYCADTSFQLASKLVMGTVSGIHVFTSTAALIASTVVIIVCVVLVLALQRLFREYTTFVMYTCGLAVINL -IGIALMYKCLALAVPFYVIYLYFVCTFSSVKRSVALFYFSVVMLPHVSNMQLLAVVVCSIFYCLYNYVYA -VTKTGGKFSSFLDASKSTFVIDNDKYVLLRDLAGSDFDAYLASYNKYKYFSGTASDKDYDRVCMAFLAKA -LSSFREGGGSQLYTPPKLAVVQGVVSKLQAGVKLLLHPSGVVERCMVSVVYNGVALNGIWLKNVVYCPRH -VIGKYRGTQWAQMVSIVDCHDFCVKCPTQGVQLNVQSVKMVGALLQLTVHTSNTLTPDYRFERITPGSSM -TIACTYDGVVRHVYHVVLQLNNLIYASFLNGACGSVGFTLKGKTLCLHYMHHIEFNNKTHSGTDLEGTFY -GPYVDEEIAQNQTAFQYYTDNVVAQMYAHLLTVDANPRWLAQAAISVDDFNAWASSNAFAHFPCEQTNKA -YILGLAQVTRVPLERILNTIIQLTLNRDGVMIMGAPDFDCDWTPEMVYNQAPIALQSGVVKKTCMWLFHC -LFMAIAIMLAALHVLPVTLYPLALPFAAAIAVFLVLTIKHTVVFTTTFLLPSLIMMVVSANTFWIPNTYL -RTLYQYVFGSSISQQLYCYSVALYIGVYVVLAVNYTLRSLRYRATSISTFSIQCFQFGYLLAILYRLFTT -KWSENLVFTAASTFTSHPVLAGVCWWVARRIPLPLFVPDVAVHVFTYTVIGYVICMRFGLMWIINRFTAI -PMGTYSYMVSIEQLKYMMAVKMSPPRNAFEVLMANLRLLGIGGVRNIAISTVQNKILDAKATAVVVANLL -EKAGVTNKHAICKKIVKLHNETLKATTYQDAETSLVKLLAHIVEFLPTDQVDAYLADEEEAQHVNNYLDN -LLENTTVVQAVADANINLDSYRIYKEADAIYRRSVEMNESPQEQKKKLKAVNIAKAEWERDAASQRKLEK -LADAAMKSMYLAERAEDRRVKLTSGLTAMLYHMLRRLDSDRVKALFECAKQQILPIHAIVGISNDNFKVI -FNDKDSYLQYVEGNTLIYKGVRYTIVKKLSLDNAPIEGVPEEYPVVVETVKEGVPQLQNNELCLRNVFTA -QNTAIDINGTESTEKSFYITKTGKKILVAVTSTKDNLKTVTCATDTGKAVLNLDPPMRFAHTVGGKQSVV -YLYFIQNCSSLNRGMVIGHISGTTILQANGTHIEYQENASLLTYLAFAVDPKAAYLKHLADGGKPIQGCV -QMVAAMGPGFAVTIKPQPSEHQNSYGGASICLYCRAHIPHPGVDGRCPYKGRFVQIDKDKEPVSFALTHE -PCSSCQRWVAYDCTCGTSLQNSAYLNRVVGSSEARLEPQQPGTIPDAVKRAFHVHNNTTSGIFLSTKTNC -ARFKTQRCNLPIPYKGLVDLYFVSKQCSLSVFETEEACYNAFDKALITTEDTFGVLAKTEFFKFDKIPNV -NRQYLTKYTLLDLAYAIRHLSTSRDVIKEILITICGTPEDWFGELWYDPIENPTFYREFHKLGGVLNRCV -LNANKFAEACQQAGLVGILTPDNQDLLGQIYDFGDFISTQPGNGCCDMSSYYSYLMPIMSMTHMLKCECY -GPDGNAIEYDGFQYDFTDLKLEWFEKYFKFWDRPYHPNTVDCPDDRCVLHCANFNILFAMCIPNTAFGNL -CSHATVDGHSVVQTVGVHLKELGIVLNQDVTTHMSNINLNTLLRLVGDPTTIAAVSDKCLDLRTPCQTLA -TMSSGLTKQSVKPGHFNQHFYKHLLDSDLLDQLGIDIRHFYYMQDGEAAITDYSYYRYNTPTMVDIKMFL -FCLEVADKYLSPYEGGCINAQSVVVSNLDKSAGYPFNKLGKARNYYDMTYVEQNQLFEYTKRNVLPTLTQ -MNLKYAISAKDRARTVAGVSIISTMTNRQYHQKILKSISLARNQTIVIGTTKFYGGWDNMLRRLMHNINN -PILVGWDYPKCDRSMPNILRIASSCLLARKHTCCNQSQRFYRLANECCQVLSEVVVSGNNLYVKPGGTSS -GDATTAYANSVFNILQVVSANVAAFLSTSTTSHSNNDIACLHRALYEDIYRGDSSDTAVINSFYHHLQTY -FGLMILSDDGVACIDSDAAKQGSVADLDGFRDVLFYQNNVYMADSKCWTETDMSVGPHEFCSQHTVLAEH -EGKPYYLPYPDVSRILGACIFVDDVNKADPVQNLERYISLAIDAYPLTKVDPIKGKVFYLLLDYIRVLAQ -ELQDGILDSFQTLTDMSYVNNFLQEAFYAQMYEQSPTLQASGVCVVCSSPTILRCGDCIRRPLLCCVCAY -QHVTRTSHKRVIAINNYICSVDNCNEDNVEKLYISGTAIYCENHKPTLCIPIVANGSVFGIYRHTARGSD -DIDLFNELATSTYDTIAPYQKANRAPLSLMLFAAETIKALEESIKKSYATATVRDVYDQRFIKLVWEQGK -KPPPITKNHIFTGYHFNKNGKTQVGDYILAKTDGTETYTYRGTSTYKLQTGDVLVLMAHVVTPLSAPPVL -AQTTYVRKSLLPDTVGASFYVQHFKSYNEIAMQRVTTVLGPPGTGKSTFAIGLAKYYPNARICYTASSHA -AIDALCEKAFKTLPVGQCSRIVPTRTTVECFQEFVVNNTTAQYIFSTINALPDIKCDIVVVDEVSMLTNY -ELSSVNARLVYTHIVYVGDPYQLPSPRTMLTSGQLSPADYNVVTDIMVHAGADVMLDMCYRCPREIVDTV -SKLVYDNKLKAAKPNSRQCYKTIVNFGPGDIAHEGQSAYNEAQLRFALAFRQQKRWDNVTFISPYNAMNV -KAAMAGFSTQTVDSSQGSEYDYVIFCVTTDSAHALNMARLNVALTRAKVGILVVFRQANELYNSLQFEPI -DSQLQAGEPLTSLFKRCSFEYSGQHPAHALTWHDCGAEYRCDEPLAKLVGVTDGTLISYKTLVSALGFLP -SLKIDTYHNMFLTRDVCRAYVQSWIGIDVEAAHATKPNVGTNMPLQVGFSTGKNFSVTPEGIWVNEHGSC -TEPVPAKIPPGDEFKHLKKDMRQARPWKVVRYEIVAHLADVAPHTDYLCFVTWAHQLELATMRYFVKIGV -EEQCYCGRRACFTNGVQFACKAHYALTTPPCDYVYNPFLVDVATWGFSGRLSTNHDAVCTYHSNAHVASA -DAAMTICLAIHELFSSVDWSLEFPVTPEQSQLNKACRLVQANYLNILLTTTKATVVHDIGNPKGIPIVRR -PGVKYHYYDQAPIVKHVQKLRYKPEMEARFVDGLTMFWNCNVDTYPANALVCRYDTHRQKHLIGPNGAAL -YVNKHAFLTPEMHTYATHKLTLAPLVYYSTTDCSSEQPIVVTYRDCVTRCNTGTTICPTHALEYQEFISA -YNLMARHGFNVYIPRNVNVYNCWLTFTNLQNLENLAYNCYYKNCNAHVDGALDVVINSNAVYAKVDNNLV -KLFDNRTNLPVSVAFEHYTNRHTKTLPTTQLLSGLGVTATRNFTVWVDDDTAFTNTLNVSTYTDVDPTNH -VVLCDDRYGTDWSQFNQLPNAVLLTTIKVKKAEPFCFALLLHSMALAIDGQELYIYKRLNGQLVSIDTIC -TQGRSVDKFIPKTPMERDFLEKSSEEFINLYQLHDLGVEHIIYGDDSKPIIGGTHTLISLVRNKFDFQLV -NHIYNPVQNCVVTSTKASSKNVCTILDVLLDDYIDIIRQAHANYTTKSKTFSVVIDNQPIRFMLWHDAKV -NTCYPILQSLTNGYQMPSVYKTLITDMQPADIPNYHSYTPKVPGVVKNVIKYRQLFNYIVKKDRLAVPHN -MTVLHLGAASALGTAPGSSVIKQMLPDGTVLIDLDIRDFTSDANQIIVSDYRTYMPPHHIDAIFSDLYCG -DDIHFFDNLIRIVKERLALGGSIFVKITEHSFSPELYSLAGWFDEYQLYCTAVNATSSEAFLCCFNYLGH -AKENVDGYNLHASYIRWRNEIVLTPTYSSLADNPATACKLKATPIISVKELEKKPILKYLVSSGRLLVRP -PECRALY - ->YP_002308496.1 orf1ab polyprotein [Thrush coronavirus HKU12-600] -MAMNIAKRSPIVLPQIQPPPLQLFISVAAAEEGHPKDLTHLGDYNLITTKAIPGVPVLNPKTSLTEFEQL -FGAQPVLRALRNLVSESRSAEWHNSKQAFVVKAQQLVFSDHVLRAMIRHCPARVSALASLALFNRIVKID -AEDLRNIALDVAAEVTYGGSAGVALVDCKTITLTHKDAYITLTNECFGVPFTSTLLAQAKVTNGAMAYAD -YLFYPGATLRMVNGKALPIASKPLVAIIQKVPLLSEDVNLLPDYTQAPNIQVEGAEVSVNGINYLKTTDT -PPLYYPRVKGGVLNVALKQQGTADKKLSVVFHAKPDDVLLAFIQLQDFLNRSSGGFIDVNTEVYEVAPNV -NVSIGVSKPGDVVVATAEDYLKCFDDPDVSGLYKVFQTESWAKVQQTFRKLRVKTSAVVQEFLKLLSLIA -EKFKSLSTIVDAIVSQLQNLTLDVVARVQTLQISYKAGRLVVDAVGVISKIVQPFCDFVTPFLHKVAGFA -TYAFGTNMLLCTSRGVFVLQQSAAKIVNKLRYVFTVSPEYAVETTTNKVIYEDSLHPVDDKPSRALEVVD -VVVGDTVLQMATDGNAYFPTDGNYASLPAFKAGSDELNISFICDIFDDDTNAMINETLAGYELNQLVAPR -TLTPRDIANLVVNTLVDAITDHYPEKCIDLPEDYQVFSSYDDLPLTNHHIPKHLSLYVQAMESDDGDEDV -IIEDDDYQCDTGDDDGGVIPQCWDIPNIDTLLVKLQKNKPVSVEVVPNDTRDTTVTMNISTPDDVAIVEQ -ITESAAIQAESVQSEPGDQVDQQPVEEINVEQMVQEESEVSTSNDDESTQPRVIDTLGEDELLFIPQCDF -PEHRPLKAYIYDRKLKNDVIEQSPITSDADSELKSVDSDVIYEEAVCDDDTIITDSTENGEEEQLPATIV -NQPLTIDNNIPTVALKQPSPTRVELVVGDLSTIKFDNSVLVNPANPQLTNGGGAARAIAELAGPEYQAHC -NKIAPINGVVTTEAYNAKTLGVACILHVVPPKGTDHDVQEQLYNAYRSILTEPAHYVIPLLGAGIYGCNP -VHSLDAFKKACPPDIGRVTLVTKDVNHLQLWDALNRVVVRTTKDFDQITTQALTPQGVLDANLFDGDKFV -QEPQPDCVYLAVDDVVQTKAKDLGLSLSQYCSYLKMCHHKWATVRTNGILHLKQKDNNCFISAAINLFQV -THYKLRPVIDELYQEYVNGNPNRLVAWIYASINQNIGEMGCPQQVTSLLVSNANSKFFGTVHCCKTYFTH -DGVIANVKDFDLLQPKVYCMRCESWTTFTPEQVEGVVVLGNTIGPAPTHAICFGNAHCWYTNGRKAINGY -DINANVVVVYHKFQMMKTQVVVSAPLSTSNNFDVLKVQDIPEDSPLNSDPPAVEAAVKSVTKCEVHDNPT -YLDLLDVWVRKPKHILVKSWSVIGKPLFKTGKVILLSGKYLLKIYNYLCSIGVIDTTAKLSIGLACKCVK -RVLPSTLTITRTVKGLFYSFRTIIFACAPFLLLPAVASILSSSYQLGVYLYAKTGTPCAAYMNEDHTDYQ -SFCAGDLTCLVCFNGMDSLHLYKHLHVSQKVYETFDYTPYALALVLLLANTTLVICTMLCVFLFNIYKFT -VPYYGVVTLDYQNTLTFVFVVYYMWCVIRFFRHLARGCKTPTCSICSKLRISPTIIVDTIVQGRKYPSIV -HTNAGFKICPEHNFYCKNCTGENPDTFIPTEAIESLSRVTKLSVKPTAPAYLLARDVECQTDAIVARATH -GGQSMVCISKYSDVRTVDALLKPTPLFSYTPDVIIAADFDNAGSLKTAKELAVVLSMDLKRTIFIIDQSY -SMPVDNYTEVVSRMEKYYTFQKITPTGDIFMDVKTATHGQATDSAINAAILAVQKGLDFTIDDPNHILPH -YAFEFSNLAVEDQSILIETGCAKGKLKGTNVGVILAANLVERLSQSAIRVISNAASRNGVTCSVTPSTMV -LRGNIATQPLQRIKAGSAAKHSITTFVVCLIALYALAYGMATLAVYVYQPIYPTVMSDIPTSGFYVIRDG -VLDNIRSTDTCFSNKFVSFDAYLQADYVNSHNCPVVVGVADVATKSIPGIPAGVIHRDSGILHIYEQSLY -DRLQRQSMVQEALGLKTRALFNIGYRTVVGYTRSDVVVGASYMHSPSLFNAKCTYLEYQDKRHLYCFDKV -DREHKLYADVIPHVEYKAVDFNGELVPFKIPEQLLLYPHIVRYTSNYYCRMGHCFKTNPGVCVSFTDEFP -YSENVQPGVYCADSGFQLLTNFIIGTVTGVHIFTSTAALLGSTCFILLCVFAILAFQRLFKEYTTFVLHT -LIIAGVNIIGIALVYKFTALAIVYYAIYLYCILTFVPIKRNIALFYFAVVLVPHISNMQLLAIAVVFVCY -LCYSYVRVVSKTAGKFSSFLGASKATFVIDNDKYVMLRDLAGSEYDSYLASYNKYKYFSGTASDKDYDKV -CMAFLAKALSSFRDGGGSQLYTPPQLAVVQSIKTKLQAGIKILLHPSGVVERCMVSVTYNGSALNGIWLN -NVVYCPRHVFGKFKGEQWQHIASIADCRDFCVVCPTQGIQLTVQSVKMVGAILQLTVHTNNTATPDYEFV -RLTPGSSMTIACAYDGVVRNVYHVVLQTNNLIYASFLNGACGSVGYTLKGKTLCLHYMHHLEFNNQTHGG -TDLHGVFYGPYVDEEIAQQQTTFQYYTDNVVAQLYAHLLTVDASPRWLAQAEVSETDFNAWASNNSFANF -PCEPANMSYILGLAQTSRVSIGRVLNTIIQLTLNRNGSLIMGKPDFECDWTPEMVYNQAPITLQSGIVQR -TSMWLLHFLFNTLLAVLAMLHVLPTELYPLGLPVVTVFSSIITVTVKHSVVFTTTFLLPAFLMFVAKAPT -LWIPNTYLRSLYEWVFGLTMSERLTCYTVGVYIVVYCLIALNYTLRCVRYRATSYVSIFMQCVQYAYVVH -IVYRLLTQAWTDKLLFTAFSLLTSHPLLAVCGWWLAGKFPVPFLLPDLAIQIVAYIVIGYILCVRFGLLW -ILNKFTTLPIGTYNYMVSIEQLKYMMAIKMGPPRNVFEVLVANLRLLGLGGNRNIAISTVQNKILDAKAA -AVVVANLLDKAGVTNKHSVCKKIVKLHNDTLKATTYEEAELSLVKLLTHIIEFLPTDQVDAYLADTVKVQ -ALNQYLDHLLENKLVLQAVVDANINLDSYRIYKEADAIYKKSVDMDEPLQIQKKKLKAVNIAKAEWEREA -ASQRKLEKLADAAMKSMYLAERAEDRRIKLTSGLTAMLYHMLRRLDSDRVKALFECAKQQILPIHAIVGV -SNDNLKVIFNDKDSYIQYVDGNTLFYKGQRYTIVKKLSLDNAPIEGTPEEYPIVVETVREGIPQIQNNEL -CLRNVFTAQAPVFDSNGKESTAKSFYVSRAGRKILVAVTSTKDDLRTVTCHTDNGKTILNLDPPMRFAHV -IGGKQHVVYLYFIQNMSSLNRGTIIGHISGTTILQANGTQIEYQENASLLTYLAFAVNPKEAYLKHLADG -GNPIRGCIQMIASLGPGFAVTTKPQPNEHQYSYGGASICLYCRAHIPHPGADGRCLYKGRFVQIDKDKEP -ISFALTHEPCNACQHWVNYDCTCGSALQSSPYLNRVTGSSGARLEPLQHGVTPDVVQRAFHVHNNVNSGI -FLSTKTNCSRFKTIRDHLPLPTDKAVELYFVSKQCSQQSFEIEEKCYNLLADNIKSTPETFGVLARTEFF -KFDKIPNVNRQNLTKYTLLDLAYALRHLSTSKDVIKEVLMTMCGTPEEWFDEQWYDPIENQTFYREFHKL -GSILNRCVINANTFAKACADAGLVGILTPDNQDLLGQIYDFGDFIITQQGNGCVDLASYYSYLMPIMSMT -HMLKCECYDSDGNDLVYDGFQYDFTEFKLSLFSKYFTYWDRPYHPNTVDCPDDRCVLHCANFNVLFAMCI -PNTAFGNLCSQATVDGHKIIQTVGVHLKELGIVLNQDVTTHMSNINLNTLLRLVGDPTTIASVSDKCLDL -RTPCQTLATMSSGITKQSVKPGHFNQHFYKHLLNSDILNQLGIDLRHFYYMQDGEAAITDYSYYRYNTPT -MVDIKMFLFCLEVADKYLQPYEGGCLNAQSVVVNNLDKSAGYPFNKLGKARNYYDMTYVEQNQLFEYTKR -NVLPTLTQMNLKYAISAKDRARTVAGVSIISTMTNRQYHQKMLKSISLARNQTIVIGTTKFYGGWDNMLR -RLMDGINNPILVGWDYPKCDRSMPNMLRIAASCLLARKHTCCTQSQRFYRLANECCQVLSEVVVSGNNLY -VKPGGTSSGDATTAYANSVFNILQVVSANVATFLSTSTSNHNSREIASLHRNLYEDIYRGDSNNTSVIED -FYQHLRKYFGLMILSDDGVACIDSEAAASGVVSNLDGFRDILFYQNNVYMADSKCWTETDMNVGPHEFCS -QHTVLAEHEGKPYYLPYPDVSRILGACIFVDDVNKADPVQNLERYISLAIDAYPLTKVDPVKGKVFYLLL -DYIRVLAQELQDGILDTFQSMTDMSYVNNFVQEAFYAQMYEQSPTLQASGVCVVCASPTILRCGDCIRRP -LLCCVCAYQHVTQTTHKRIIAINNYICSVDNCNEDNVEKLFISGTAIYCENHKPTLCIPIVANGTVFGIY -RHTARGSDDIELFNELATSNFDTIEPYRKANRAPLSLMLFAAETIKALEESIKKSYATATVKDVYDQRFI -KLVWEQGKKPPPITKNHIFTGYHFNKNGKTQVGDYILTKTDGNDSYTYRGTSTYRLQTGDVLVLMAHVVT -PLSAPPVLAQSTYVRKSLIPDTVGASFYVQHFKSYNEIALQKVTTVLGPPGTGKSTFAIGLAKYYPNARI -CYTASSHAAIDALCEKAFKTLPVGQCSRIVPTRTTVECFQGFVVNNTTAQYIFSTINALPDIKCDIVVVD -EVSMLTNYELSSVNARLVYNYIVYVGDPYQLPSPRTMLTTGQLSPADYNVVTDIMVHTGADVMLDMCYRC -PKEIVETVSKLVYDNKLKAAKPNSRQCYKTIVNFGTSDIAHEGQSAYNEAQLRFALAFRRYKRWENVTFI -SPYNAMNVKAAMAGFSTQTVDSSQGSEYDYVIFCVTTDSAHALNMSRLNVALTRAKIGILVVFRQANELY -NSLQFESIDPSLVGQEGVLLMTDRRSTALAKSGSTPMKVQSSEDTTQEVLPEGSSSTRLLCPLFKRCSYE -YSGLHPAHALTWHDCGAEYRCDEPLAKLVGVSDGTLVSYKTLVSALGFLPSLKIETYHNMFLTKEACRTY -VQSWIGIDVEAAHAVKPNVGTNLPLQIGFSTGKNFSVTPEGIWVNEFGSCTEPVPAKIPPGEQFRHLKRD -MRQARPWKVVRNEIATHLAEVAPYTDHICFVTWAHQLELATMRYFVKIGTEESCFCGRRACFTNETEFTC -KAHRALSVPSCDYVYNPFLIDVATWGFSGRLSTNHDEVCTYHSNAHVASADATMTVCLAIHELFRSVDWD -LEFPVTPEQSQLNKACRLVQANYLNILLTTTKATVVHDIGNPKGIPTVRRAGVKYHFYDQAPIVKHVQKL -KYKPEMEARFMEGLTMFWNCNVDTYPANALVCRYDTHRQKHLIGPNGSALYINKHAFLTPEMHTYATHKL -TLAPLIYYSTTDCSSEQPIVVSYRDCVTRCNTGTTICPTHALEYQEFINAYNLMARHGFNVYIPRNVNVY -NCWLSFTNLQNLENLAYNCYYKNCNAHVDGQLDVVINNNAVYAKVENNLVKLFDNRTNLPVSTAFEHYTN -RHTKALPTTQLLAGLGVTATRNFTIWVDDDTVFQHTVNVSTYTDCDPNLHVVLCDDRYGTDWSQFNQMPN -AVFLTKTKVKKTVPFICTALTLNGVAIDGDELYIYYRKDNQLINFTTILTQGRVVDKFIPKTPMEKDFLE -MNSEDFINSYELQGLGVEHIIYGEDNTPVIGGTHALISLVRNKFSFELVNHIYNPVQNCVVTSQNASSKE -VCTLLDITLDDYINIIKSAHANFETKSKVFSVNIDCQNVKFMLWHDEQVQTCYPIVQSLTNGYQMPSVYK -TLVCDLEPCDIPNYHAYVPRVPGVVKNVLKYRQLFNYIIKKDRLAVPHNMTVLHLGAASIEGTAPGTDVI -KHMLPEGTVIIDLDIREFTSDANQIIISDYRTYMPPHHIDAIFSDMYCGDDIHFFDNLIRIVKERLALGG -SLFVKITEHSYSPELYALAGHFDDYQLFCTAVNASSSESFLCCFNYLGSVKENVNGHMLHAAYIRWRNEI -ALTPTYSPLADNAAVVCKLKATPIISAKELEKKPILKYLVASGRLLVRPPECRELY - ->YP_001876435.1 ORF 1ab polyprotein [Beluga whale coronavirus SW1] -MSKYQKSVVSTRNAKVEVVYTRPGLPFLDYLRIMYGPTKKLDQIKDTSIGEVHCGDKVLKFIKNPVVGIV -CNEGDVPFSELEEKYGGNELTKLYQHLAATQSIWSKSSADLVAKAESVAHVEGIDRLVGCYNLRINMCAT -LAVLKNFLFKIEGLVKNVAESYIEPMQGEFDALLGNYLASVKITPTVVKELAKLAKSIPMLKVENHVLRL -FGAGGDFVDCINKFLSDVYYKHPGHVEYHGVKVSATIFYRNNRAKISRAYIMPPKVVCKPDVYFEATPAT -QFQTVIVEGSGEEVHELRQVIGEVPEGQKGIIVLSDGAAYFKYLGSDGKEYLSLWVGVGCLKYPIYVSEQ -PAAVKFAEAVSVLEYEQEEEPDIAIRCKFRCFNGNHIEKDLDKAIDEIVFETECTIEEVHAVFYTRMCEY -FEEQGSSEEIPLFEETALVDPDYRLLDGLRKVVRLVMLGEVADAEDEDNYDWNDEDAESQPPDVESEEQV -QDEDDSVEVDEGDYEEDTFNLAMGVPMIHSDDELDLVCDVDDDELVDEGEEPDVRSSSEETDLEVNVQCN -DDAGWSEDPLVVDEDSADTSAQEEDENESASAPETSQEDMETTPETSHNKNEVASAMETTYITNDMAVCN -PEAFVDGDIVEIENQFSSVIEEGEKNATSASADIVKSAVGLVDPRSDSLTDQQSGVGDLVCKQVVSSVAT -VQSEVVGEGSPVHTPDADALIASSTANQGVVTKGFESASNDEESVSGAESVLPLTDCDTSSSSNSAKPLQ -QRQKRLKKRKAKKSGADADTDPSNYSGIKGVRDDCRDESAQASCKSVSSEEVTDVVKEDEDWGKAVDAQE -CKNLAGQTKPFIFYGDLQELLKQLGGFGSIIINAANERLQHGGGFAKVVASLAGQLFIKKCEGIIRTKGP -VPMDTRMVQTGPYNCSMYGVKAIRNAVAPRGNEQDIESKLNTTYYHAIQDIVNKPEVIITPLLGAGIFNV -DPELSLKALLRYATNNVVIITNEKKQFEMLKAHGLIEATFSGVKASAPGTSVKSEKELDWSDKRTEEEEA -NVPGTSVKDISTPRSEAQVVTPEEKINEEPKPEKAEEVKNYWKSECTRELNLGAVRGLNGIYKCKKSVFH -GSCNNPEHKGYCCVCNGHVSEVVYYSTFDGVNYKTHKFSNLGKLVKDVIGDKVYVDGVCVDNKPLFEIQP -GSTFLRLYPISDADKQHIKNYYELSSKQYGVFAAVYAREHPIIESGGLRYLKQKNNNCFVSTALVMLQHL -KLEFKGIVKELWSNFLAGDARGIVAYTYALLYPTVKYGDMGDAEEVVLKYLNKAKLCAQLTLNIKCKCGE -RNHTFSGVDAVYCIADVSTRHKALTSRIVCACDNVKSSIVYADLPFVFVHRNVTTKIKLEEDFVCANIFK -HGSHYCGTNAMYSYDSMSSKAGKPILESSFSAIVFEGFFKANTEVSEAIDEAIENGVDIHGSEENQDADA -GKQHAGSTFTPPRKGDTRGAIGRTVVDVPSKGDVGSAVKTVESVSNVISVRQDPHSHVNNFEVLSVQSLP -AESADPVVKKASVVTMTGDFKPFKVGNTTVKPGKGPQLEEYLTLDVIKQAWITGDYNVVIRRTSTLTKML -GVVAMDTGKIVFDSMLKAANAIIDYVKRHNLQKKAIQEAKEVATMLSPYMDVKVVKGMLFNVWSYLLTVL -PLLQKPTELYGECLKRSSKVVGGVKASTWAVMILACMCWWRQRQIAAGAVRLANWFTLGYLCYDYNTYSD -YHFNVFDYCNGTLCELCLSGVDSLHLYKHAKTTYQKVVTTDFVGYAPLYFILQAVFFKSAAMLSGLLFMI -KFTINFGVFNTGIYIVDYVVSTIANTIDPIMISTIVCGMIKLYRIANHYFYGCKNPACDKCHAATVSERT -ELSCVVGSKRFPYYVYANSVAKMCGKHMWCCKNCDVFGEGNTFISTAIVGDLTDKIRRKVKATSEALAIA -TLIEEGDNYELHYKLNGAEGSRVYDKNQFWNCAIPVSDASKCGKFEPDNFITYNASSQSELSLAKQCAVY -YAQVLSRPTIIVPLSQEEYTSGKVTRTQVTRLLDLCDDYYGISDAEVKMSVIKSNTGATLSAAVQQYVAK -IVPSQVMNLDVDFLLFCDRYGLTPSQAAYNNAVPNSTCNLDAYEGEQFLRVKEQVKSAFVQFGVKYVDFK -MALNDSKIAILQYDFLKSLPGNYLPSLLMRIRQAGVTLRVTTSQIMQQDTLGGVRVTMVEDRVSKTQKMP -KKKGGNPPSWTRRFFNFMQESFKMSLIGVLLGALMISLIAPTFTPSVVELAASGYKVIDNGVIRPIVETD -NCFANKYAGFNDWYYGFVGKYPYNSVDCPVVVALTTIMDANMKGAIVPGYAGNMAWLNGQIVHYRHEFSW -FTGSSAEGIVGYTRDIVVYGEDFIKSLALISARCVKLMMVSERLYCYGGSNDAIDALPFTAIQPHVVYND -AIGVGKLVVPEQLLYKPYIVYTQAREYCRAGVCEQAKEGYCINFNGEWALFNQHYTSKDGVYCGETPMSV -VMSIINAYIYQGTTTSFFNRFCVLSVMMLIALVALVYVVKFQAFFKTYAGLACAILLSWGFNAIMLLSYS -VNPIVVMPLIVVYMYVALTVTTPTAIIMHVAFLVTIVPMLPYSLMAIYGAYVLLMYTSVACWFVKTKVSS -GKLFEKGEFVADFDTAARSTFLINNSVYVKLVNEVGDKFQKYLSGYARLKYYSGSGGDQECLDACRATLA -NALENFKNTQVEVLYTPPRFGVNLVTRLQAGIKKMVAPSSAVEQCVVSVVHGNTQLNGLWLNDYVLCPRH -ILGKYTGEQWRDALINANNFDFHILYKGMELQVVGRELVGALLKLKVSMVNANTPKYKFAKARIGDNFSI -ACAYNGHVSGLYTVTLRENGTLKGSFMSGSCGSVGYNVTNEGVEFVYMHHLELPGCVHGGSDLHGIFYGG -YVDEEVLQRIPPAPANSRNIVAWLYAAVYNNCDWFVKYGPKQVMSVEDFNEWASGYGFTKFEYHLAFDVF -SAATGVSVEQMLAAIKELADGWNYAPVLGSFHLDDEYSPEMIMQQTSGIVLQSNVKKATKGFLSRLGYWL -VMVILTVYASCNVVPLDMLPQLIMSVGVGFAIMFVTLKHQHFFMTTAIIPYVVTSILGMALEKPVFYNGW -YFSFFHDFLKYVGIEATHQYAWMLLPFALYVAYNVAKGVGSTLINFNAGGISLWNSLVYGMRWGSTLRFL -YTALMSDNVFETFGSSGEFYINMHMACVGLFSSDPASGYIIMWIVKQVNYVGYLAYFTELSYYTFVFYSC -VLCYMFSCYFGLFWFTNKVLGTTFGKYPYKVSAGQFKYMMLNGMRGPRSTADVLITNMRLAGIGGERTIT -VSTVQSKLTDVKCATVVLMQLLTKLNVEANSRLHKHLVQTHNDILAESDPAICIEKLTGMLMTLLSIDST -LDVKALCDELLAKESVLQAVTDEFCALPSYVDYEKARAAYEEIQKNSTNPQEIKAYKKAMNIAKSVLDRD -IAVQKKLDAMAERAMSTMYKEAKSSEKKTRLVSSLHALLFSMIKRLDSDQVADVFEKARNGVVPLASVPI -TCSNKLTIVIPDMSLWEKVVVHDYVVYGNIVWDINEVVDMDGNQILPCRVSGEASWPLEVRLVRNGKLVG -DLALLQNNELLPTGIKKSPCVAGANQERCAVPANAYYTSLKGQHFVAAITSEDPTLKYASFTGASGNLVV -VELEPPCKFGVKTPDGAVKVMHLYFVKNVKNIVRGMVLGALTNVVALQHGVSTESVENCGILSLCSFALD -AKECYLEYIKEGGAPLSNCVKMLTLHTGSGAAVTVKPAPTPEQDSFGGASVCLYCRAHISHPGVYGVCQF -KGKFVQIPVEEKDPVGFCLRNKICTVCQMWIGFGCQCDSLRKDPLPIDPKPAAMPIRPKELLGVRAAGEN -SQDSAGFHHPGLDFTRVGANSESLELQSVPQNYLNRVRGLSEARLRPCASGLLPDVVKRAFDLYNSNTAG -MYASLKHNCARFQELDENDDEIDSFFVVKQTTPHNFEHEEKCYLDLKADCVAVHDFFRFEGMYSICRQRL -TKYTMMDLCYAFRHFDPNDCDVLKEILVVKGCCEWDYFDQPNWYDPVENPDWFSLISRLGPIFQRALIKV -AEFCDLMVEKGYIGVVTLDNQDLNGNFYDFGDFKKVLPGCGVPVTTSYYSYMMPCLTACDALASERFFEF -KATSGYKQYDLTKYDFTEEKLQLFMKYFKYWDRTYHPNCVECIDDRCLIHCANFNILFATLFPQTAFGCL -CKRVYIDGVPFISTTGYHSKELGVLLNKDNSMSFSKMSIGELMRFAADPSLLVSASDAFVDLRTSCFSLS -ALSTGLTYQTVKPGHFNEDFYNFAEKKGFFKEGSSIPLKHFFYIQDGNAAIADFDYYRFNKPTMVDIQQF -LFCFEVTDKYFEQYDGGCIPANQVVVTNLDKSAGYPFNKFGKARLYYESLSYAEQDQLFELTKRNILPTI -TQINMKYAISAKSRARTVAGVSILSSMTNRQFHQKCLKSIVNTRNATVVIGTTKFYGGWDNMLRNLMRGV -EDPVLMGWDYPKCDRAVPSLLRLSASLILARRHKGCCDWNERIYRLANEAAQVLSEVALSNGGLYVKPGG -TSSGDATTAYANSAFNLFQATAANVAQLLATPTSRIYAEEVRALQHELYTQVYRRDKPDMDFVYTFYAYL -NKHFSLMILSDDGVVCYNKSYAEAGMVASIASFREVLFYQNNVFMADSKCWTEEDVKIGPHEFCSQHSML -VEIDGEMRYLPYPDPSRILGACVFVDDVEKTEPVVVMERYVALAIDAYPLIYHENEEYGKVFYLLLSYIQ -TLYQRLSNDMLMDYSFVMNIDTSSKFWEEDFYRQMYGSSPTLQASGVCVVCNSQTVLRCGNCLRKPFLCC -KCCYDHVMETEHKNVLSINPYVCSVAGCGESNVCKLFLGGMSYFCLDHKPRLAIPLVSGGTVFGIYRATC -SGSPDVAVFNKLATTDWKGIDSYVLANNCSDSLRRFAAETVKATEELEKQQYASAEIKEIVGEKELVLSW -EPGKTRPPLNRNYVFTGYHFTRTGKTQLGEFTFEKSDSQQSVYYKATSTSKLAVGDLFVLTSHNISPLTA -PTICNQQIYTKFQNITPNLFVSDAFADNIPLYHIVGKQRRTTVQGPPGSGKSHFAIGLAAYFSNARIVYT -ACSHAAVDALCAKAFKFLKHDDCTRVVPMRVGVDCFSDFKVNDMTRKYIFSTINALPEVSCDILLVDEVS -MLTNYELSYLNQKISYQYVVYVGDPAQLPAPRTLLNGCLEPAHYNLVTNIMVSTKPDIFLAKCYRCPKEI -VDTVSELVYEGKFIANNPVSGQCFKCVVNTGNSDVKRELGSAYNQVQLDFVADFLKHNPLWRKACFISPY -NSMNQRARRQLGLEVQTVDSSQGSEYDYVIFAVTADSPHAMNINRFNVAVTRAKVGILVLMRHNDSFYQG -LKFVEIEGPRGKLQSQTTGLFKICSRNFKGLPPAYAPTYIALDEKYKVGDSVLATLLKVDTGSCVSYSHL -VSLLGFKFDKGTGSSQIVSKYSPFITRDMAIRNVRGWVGFDVEASHAANEHIGTNLPLMVGFSIGTDYLV -ANEGLVMTEYNDEFRKIPARCPPGDQFAYLKPLLHKGKPWNVVRPQIVRMMADHLQDISDCVVLVTWSHS -LELTTMRYFCKMGKEQKCKQCEGRGCAYNSAAETFHCWKHSFGCDFLYNPYLVDVQQWGYSGNLSSNHDR -YCNMHGNAHVASADAKMTRCLAVYDCFVKNINWDVEYPIIANEEDVNRCCRHLQKLFVKSVCIGMNYDKV -HDIGNPKGIKSANGISFNFYDRDPVADNVIRLDYDYDSMCERFKDGLALFWNCNVNCYPDNALVCRFDTR -TLNALNLPGCNGGSLYVNKHAFHTEKYDRSAFRNLKSMPFFFFDDSPCDVKLVNDVAQDLVALSARDCIT -RCNIGGAVCWKHAKAYAEFVHAYNTCTLAGFTFWVSEKFDPYVLWKKFYKLQSLDQPVANVVKRDHFDDV -KDDVPYVITGDKVFYRKGLQDVLIFENKTSMATSIAFEYYARRNIDVIPKSAILYGLGVDVTAGFTLWDR -ELSQPVFNGVVDTSKVIDIEPNEKLCVLYDDRVKGSRQRFLQTKNAVLISTIRVKVDGIELESVRIPASS -CQIDSTPVSTVRDGNGQMFVYVRKDGKHVVPTSQLFTEQRSFEDFLPLSVMERDFLNMEAPQFIAKYDCK -GLGLEHVLYGDFSKKVIGGAHLTIGLARLYIQEKFDVHEISPSSFDVFKSYFVVTHAGAMKQVCTVLDVL -LDDFISILKEIKVSHKGVVSEVLHYIVDYQTVDFMLWMGDNAINTFYPKLQADYWDSIKGKHPSVWSPGF -NMPELYKVQNCQLEKCELANYGKTVLLPPGILMNVAKYTQLCQYLSKTTMCVPHNMRVMHFGAGSDKGVA -PGTSVLRQWLPEGALLIDNDINRYVSDADACVISDCNVFKSQGKFDLIISDMYTSPKGVDIHEGIIKNNG -ISDCFGYLCHFIKNNLSLGGTFAVKITETSWLPELYELAQKCAYWTCFCTAVNTSSSEAFLVGVNYLGDV -EKPIIDGSVMHANYLFWRNVTDLPLSARSLFDIAKFGLKVKATPVVNLKKENITELVINLLKNGKIIIRD -SVSVHYVDESFIN - ->NP_066134.1 ORF1ab polyprotein [Infectious bronchitis virus] -MASSLKQGVSPKPRDVILVSKDIPEQLCDALFFYTSHNPKDYADAFAVRQKFDRSLQTGKQFKFETVCGL -FLLKGVDKITPGVPAKVLKATSKLADLEDIFGVSPLARKYRELLKTACQWSLTVEALDVRAQTLDEIFDP -TEILWLQVAAKIHVSSMAMRRLVGEVTAKVMDALGSNLSALFQIVKQQIARIFQKALAIFENVNELPQRI -AALKMAFAKCARSITVVVVERTLVVKEFAGTCLASINGAVAKFFEELPNGFMGSKIFTTLAFFKEAAVRV -VENIPNAPRGTKGFEVVGNAKGTQVVVRGMRNDLTLLDQKADIPVEPEGWSAILDGHLCYVFRSGDRFYA -APLSGNFALSDVHCCERVVCLSDGVTPEINDGLILAAIYSSFSVSELVTALKKGEPFKFLGHKFVYAKDA -AVSFTLAKAATIADVLRLFQSARVIAEDVWSSFTEKSFEFWKLAYGKVRNLEEFVKTYVCKAQMSIVILA -AVLGEDIWHLVSQVIYKLGVLFTKVVDFCDKHWKGFCVQLKRAKLIVTETFCVLKGVAQHCFQLLLDAIH -SLYKSFKKCALGRIHGDLLFWKGGVHKIVQDGDEIWFDAIDSVDVEDLGVVQEKSIDFEVCDDVTLPENQ -PGHMVQIEDDGKNYMFFRFKKDENIYYTPMSQLGAINVVCKAGGKTVTFGETTVQEIPPPDVVPIKVSIE -CCGEPWNTIFKKAYKEPIEVDTDLTVEQLLSVIYEKMCDDLKLFPEAPEPPPFENVALVDKNGKDLDCIK -SCHLIYRDYESDDDIEEEDAEECDTDSGEAEECDTNSECEEEDEDTKVLALIQDPASIKYPLPLDEDYSV -YNGCIVHKDALDVVNLPSGEETFVVNNCFEGAVKPLPQKVVDVLGDWGEAVDAQEQLCQQEPLQHTFEEP -VENSTGSSKTMTEQVVVEDQELPVVEQDQDVVVYTPTDLEVAKETAEEVDEFILIFAVPKEEVVSQKDGA -QIKQEPIQVVKPQREKKAKKFKVKPATCEKPKFLEYKTCVGDLTVVIAKALDEFKEFCIVNAANEHMTHG -SGVAKAIADFCGLDFVEYCEDYVKKHGPQQRLVTPSFVKGIQCVNNVVGPRHGDNNLHEKLVAAYKNVLV -DGVVNYVVPVLSLGIFGVDFKMSIDAMREAFEGCTIRVLLFSLSQEHIDYFDVTCKQKTIYLTEDGVKYR -SIVLKPGDSLGQFGQVYAKNKIVFTADDVEDKEILYVPTTDKSILEYYGLDAQKYVIYLQTLAQKWNVQY -RDNFLILEWRDGNCWISSAIVLLQAAKIRFKGFLTEAWAKLLGGDPTDFVAWCYASCTAKVGDFSDANWL -LANLAEHFDADYTNAFLKKRVSCNCGIKSYELRGLEACIQPVRATNLLHFKTQYSNCPTCGANNTDEVIE -ASLPYLLLFATDGPATVDCDEDAVGTVVFVGSTNSGHCYTQAAGQAFDNLAKDRKFGKKSPYITAMYTRF -AFKNETSLPVAKQSKGKSKSVKEDVSNLATSSKASFDNLTDFEQWYDSNIYESLKVQESPDNFDKYVSFT -TKEDSKLPLTLKVRGIKSVVDFRSKDGFIYKLTPDTDENSKAPVYYPVLDAISLKAIWVEGNANFVVGHP -NYYSKSLHIPTFWENAENFVKMGDKIGGVTMGLWRAEHLNKPNLERIFNIAKKAIVGSSVVTTQCGKLIG -KAATFIADKVGGGVVRNITDSIKGLCGITRGHFERKMSPQFLKTLMFFLFYFLKASVKSVVASYKTVLCK -VVLATLLIVWFVYTSNPVMFTGIRVLDFLFEGSLCGPYKDYGKDSFDVLRYCADDFICRVCLHDKDSLHL -YKHAYSVEQVYKDAASGFIFNWNWLYLVFLILFVKPVAGFVIICYCVKYLVLNSTVLQTGVCFLDWFVQT -VFSHFNFMGAGFYFWLFYKIYIQVHHILYCKDVTCEVCKRVARSNRQEVSVVVGGRKQIVHVYTNSGYNF -CKRHNWYCRNCDDYGHQNTFMSPEVAGELSEKLKRHVKPTAYAYHVVDEACLVDDFVNLKYKAATPGKDS -ASSAVKCFSVTDFLKKAVFLKEALKCEQISNDGFIVCNTQSAHALEEAKNAAIYYAQYLCKPILILDQAL -YEQLVVEPVSKSVIDKVCSILSSIISVDTAALNYKAGTLRDALLSITKDEEAVDMAIFCHNHDVDYTGDG -FTNVIPSYGIDTGKLTPRDRGFLINADASIANLRVKNAPPVVWKFSELIKLSDSCLKYLISATVKSGVRF -FITKSGAKQVIACHTQKLLVEKKAGGIVSGTFKCFKSYFKWLLIFYILFTACCSGYYYMEVSKSFVHPMY -DVNSTLHVEGFKVIDKGVLREIVPEDTCFSNKFVNFDAFWGRPYDNSRNCPIVTAVIDGDGTVATGVPGF -VSWVMDGVMFIHMTQTERKPWYIPTWFNREIVGYTQDSIITEGSFYTSIALFSARCLYLTASNTPQLYCF -NGDNDAPGALPFGSIIPHRVYFQPNGVRLIVPQQILHTPYVVKFVSDSYCRGSVCEYTRPGYCVSLNPQW -VLFNDEYTSKPGVFCGSTVRELMFSMVSTFFTGVNPNIYMQLATMFLILVVVVLIFAMVIKFQGVFKAYA -TTVFITMLVWVINAFILCVHSYNSVLAVILLVLYCYASLVTSRNTVIIMHCWLVFTFGLIVPTWLACCYL -GFIIYMYTPLFLWCYGTTKNTRKLYDGNEFVGNYDLAAKSTFVIRGSEFVKLTNEIGDKFEAYLSAYARL -KYYSGTGSEQDYLQACRAWLAYALDQYRNSGVEIVYTPPRYSIGVSRLQSGFKKLVSPSSAVEKCIVSVS -YRGNNLNGLWLGDTIYCPRHVLGKFSGDQWNDVLNLANNHEFEVTTQHGVTLNVVSRRLKGAVLILQTAV -ANAETPKYKFIKANCGDSFTIACAYGGTVVGLYPVTMRSNGTIRASFLAGACGSVGFNIEKGVVNFFYMH -HLELPNALHTGTDLMGEFYGGYVDEEVAQRVPPDNLVTNNIVAWLYAAIISVKESSFSLPKWLESTTVSV -DDYNKWAGDNGFTPFSTSTAITKLSAITGVDVCKLLRTIMVKNSQWGGDPILGQYNFEDELTPESVFNQI -GGVRLQSSFVRKATSWFWSRCVLACFLFVLCAIVLFTAVPLKFYVYAAVILLMAVLFISFTVKHVMAYMD -TFLLPTLITVIIGVCAEVPFIYNTLISQVVIFLSQWYDPVVFDTMVPWMFLPLVLYTAFKCVQGCYMNSF -NTSLLMLYQFVKLGFVIYTSSNTLTAYTEGNWELFFELVHTTVLANVSSNSLIGLFVFKCAKWMLYYCNA -TYLNNYVLMAVMVNCIGWLCTCYFGLYWWVNKVFGLTLGKYNFKVSVDQYRYMCLHKINPPKTVWEVFST -NILIQGIGGDRVLPIATVQAKLSDVKCTTVVLMQLLTKLNVEANSKMHVYLVELHNKILASDDVGECMDN -LLGMLITLFCIDSTIDLSEYCDDILKRSTVLQSVTQEFSHIPSYAEYERAKNLYEKVLVDSKNGGVTQQE -LAAYRKAANIAKSVFDRDLAVQKKLDSMAERAMTTMYKEARVTDRRAKLVSSLHALLFSMLKKIDSEKLN -VLFDQASSGVVPLATVPIVCSNKLTLVIPDPETWVKCVEGVHVTYSTVVWNIDTVIDADGTELHPTSTGS -GLTYCISGANIAWPLKVNLTRNGHNKVDVVLQNNELMPHGVKTKACVAGVDQAHCSVESKCYYTNISGNS -VVAAITSSNPNLKVASFLNEAGNQIYVDLDPPCKFGMKVGVKVEVVYLYFIKNTRSIVRGMVLGAISNVV -VLQSKGHETEEVDAVGILSLCSFAVDPADTYCKYVAAGNQPLGNCVKMLTVHNGSGFAITSKPSPTPDQD -SYGGASVCLYCRAHIAHPGSVGNLDGRCQFKGSFVQIPTTEKDPVGFCLRNKVCTVCQCWIGYGCQCDSL -RQPKSSVQSVAGASDFDKNYLNRVRGSSEARLIPLASGCDPDVVKRAFDVCNKESAGMFQNLKRNCARFQ -ELRDTEDGNLEYLDSYFVVKQTTPSNYEHEKSCYEDLKSEVTADHDFFVFNKNIYNISRQRLTKYTMMDF -CYALRHFDPKDCEVLKEILVTYGCIEDYHPKWFEENKDWYDPIENSKYYVMLAKMGPIVRRALLNAIEFG -NLMVEKGYVGVITLDNQDLNGKFYDFGDFQKTAPGAGVPVFDTYYSYMMPIIAMTDALAPERYFEYDVHK -GYKSYDLLKYDYTEEKQELFQKYFKYWDQEYHPNCRDCSDDRCLIHCANFNILFSTLIPQTSFGNLCRKV -FVDGVPFIATCGYHSKELGVIMNQDNTMSFSKMGLSQLMQFVGDPALLVGTSNNLVDLRTSCFSVCALTS -GITHQTVKPGHFNKDFYDFAEKAGMFKEGSSIPLKHFFYPQTGNAAINDYDYYRYNRPTMFDICQLLFCL -EVTSKYFECYEGGCIPASQVVVNNLDKSAGYPFNKFGKARLYYEMSLEEQDQLFEITKKNVLPTITQMNL -KYAISAKNRARTVAGVSILSTMTNRQFHQKILKSIVNTRNASVVIGTTKFYGGWDNMLRNLIQGVEDPIL -MGWDYPKCDRAMPNLLRIAASLVLARKHTNCCSWSERIYRLYNECAQVLSETVLATGGIYVKPGGTSSGD -ATTAYANSVFNIIQATSANVARLLSVITRDIVYDNIKSLQYELYQQVYRRVNFDPAFVEKFYSYLCKNFS -LMILSDDGVVCYNNTLAKQGLVADISGFREVLYYQNNVFMADSKCWVEPDLEKGPHEFCSQHTMLVEVDG -EPKYLPYPDPSRILGACVFVDDVDKTEPVAVMERYIALAIDAYPLVHHENEEYKKVFFVLLAYIRKLYQE -LSQNMLMDYSFVMDIDKGSKFWEQEFYENMYRAPTTLQSCGVCVVCNSQTILRCGNCIRKPFLCCKCCYD -HVMHTDHKNVLSINPYICSQLGCGEADVTKLYLGGMSYFCGNHKPKLSIPLVSNGTVFGIYRANCAGSEN -VDDFNQLATTNWSIVEPYILANRCSDSLRRFAAETVKATEELHKQQFASAEVREVFSDRELILSWEPGKT -RPPLNRNYVFTGYHFTRTSKVQLGDFTFEKGEGKDVVYYKATSTAKLSVGDIFVLTSHNVVSLVAPTLCP -QQTFSRFVNLRPNVMVPECFVNNIPLYHLVGKQKRTTVQGPPGSGKSHFAIGLAVYFSSARVVFTACSHA -AVDALCEKAFKFLKVDDCTRIVPQRTTVDCFSKFKANDTGKKYIFSTINALPEVSCDILLVDEVSMLTNY -ELSFINGKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDYNVVTNLMVCVKPDIFLAKCYRCPKEIVDTVS -TLVYDGKFIANNPESRECFKVIVNNGNSDVGHESGSAYNTTQLEFVKDFVCRNKQWREAIFISPYNAMNQ -RAYRMLGLNVQTVDSSQGSEYDYVIFCVTADSQHALNINRFNVALTRAKRGILVVMRQRDELYSALKFTE -LDSETSLQGTGLFKICNKEFSGVHPAYAVTTKALAATYKVNDELAALVNVEAGSEITYKHLISLLGFKMS -VNVEGCHNMFITRDEAIRNVRGWVGFDVEATHACGTNIGTNLPFQVGFSTGADFVVTPEGLVDTSIGNNF -EPVNSKAPPGEQFNHLRVLFKSAKPWHVIRPRIVQMLADNLCNVSDCVVFVTWCHGLELTTLRYFVKIGK -EQVCSCGSRATTFNSHTQAYACWKHCLGFDFVYNPLLVDIQQWGYSGNLQFNHDLHCNVHGHAHVASVDA -IMTRCLAINNAFCQDVNWDLTYPHIANEDEVNSSCRYLQRMYLNACVDALKVNVVYDIGNPKGIKCVRRG -DVNFRFYDKNPIVRNVKQFEYDYNQHKDKFADGLCMFWNCNVDCYPDNSLVCRYDTRNLSVFNLPGCNGG -SLYVNKHAFYTPKFDRISFRNLKAMPFFFYDSSPCETIQVDGVAQDLVSLATKDCITKCNIGGAVCKKHA -QMYAEFVTSYNAAVTAGFTFWVTNKLNPYNLWKSFSALQSIDNIAYNMYKGGHYDAIAGEMPTVITGDKV -FVIDQGVEKAVFVNQTTLPTSVAFELYAKRNIRTLPNNRILKGLGVDVTNGFVIWDYANQTPLYRNTVKV -CAYTDIEPNGLVVLYDDRYGDYQSFLAADNAVLVSTQCYKRYSYVEIPSNLLVQNGMPLKDGANLYVYKR -VNGAFVTLPNTINTQGRSYETFEPRSDIERDFLAMSEESFVERYGKDLGLQHILYGEVDKPQLGGLHTVI -GMYRLLRANKLNAKSVTNSDSDVMQNYFVLSDNGSYKQVCTVVDLLLDDFLELLRNILKEYGTNKSKVVT -VSIDYHSINFMTWFEDGSIKTCYPQLQSAWTCGYNMPELYKVQNCVMEPCNIPNYGVGITLPSGILMNVA -KYTQLCQYLSKTTICVPHNMRVMHFGAGSDKGVAPGSTVLKQWLPEGTLLVDNDIVDYVSDAHVSVLSDC -NKYNTEHKFDLVISDMYTDNDSKRKHEGVIANNGNDDVFIYLSSFLRNNLALGGSFAVKVTETSWHEVLY -DIAQDCAWWTMFCTAVNASSSEAFLIGVNYLGASEKVKVSGKTLHANYIFWRNCNYLQTSAYSIFDVAKF -DLRLKATPVVNLKTEQKTDLVFNLIKCGKLLVRDVGNTSFTSDSFVCTM - ->sp|P0C6Y3.1|R1AB_IBVM RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p87; Contains: RecName: Full=Papain-like protease; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p195; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p41; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=Main protease; Short=Mpro; AltName: Full=Non-structural protein 5; Short=nsp5; AltName: Full=p33; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; AltName: Full=p34; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p9; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p24; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p16; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p68; Contains: RecName: Full=Proofreading exoribonuclease; Short=ExoN; AltName: Full=Guanine-N7 methyltransferase; AltName: Full=Non-structural protein 14; Short=nsp14; AltName: Full=p58; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=Non-structural protein 15; Short=nsp15; AltName: Full=p39; Contains: RecName: Full=2'-O-methyl transferase; AltName: Full=Non-structural protein 16; Short=nsp16; AltName: Full=p35 -MASSLKQGVSPKLRDVILVSKDIPEQLCDALFFYTSHNPKDYADAFAVRQKFDRNLQTGKQFKFETVCGL -FLLKGVDKITPGVPAKVLKATSKLADLEDIFGVSPFARKYRELLKTACQWSLTVETLDARAQTLDEIFDP -TEILWLQVAAKIQVSAMAMRRLVGEVTAKVMDALGSNMSALFQIFKQQIVRIFQKALAIFENVSELPQRI -AALKMAFAKCAKSITVVVMERTLVVREFAGTCLASINGAVAKFFEELPNGFMGAKIFTTLAFFREAAVKI -VDNIPNAPRGTKGFEVVGNAKGTQVVVRGMRNDLTLLDQKAEIPVESEGWSAILGGHLCYVFKSGDRFYA -APLSGNFALHDVHCCERVVCLSDGVTPEINDGLILAAIYSSFSVAELVAAIKRGEPFKFLGHKFVYAKDA -AVSFTLAKAATIADVLKLFQSARVKVEDVWSSLTEKSFEFWRLAYGKVRNLEEFVKTCFCKAQMAIVILA -TVLGEGIWHLVSQVIYKVGGLFTKVVDFCEKYWKGFCAQLKRAKLIVTETLCVLKGVAQHCFQLLLDAIQ -FMYKSFKKCALGRIHGDLLFWKGGVHKIIQEGDEIWFDAIDSIDVEDLGVVQEKLIDFDVCDNVTLPENQ -PGHMVQIEDDGKNYMFFRFKKDENIYYTPMSQLGAINVVCKAGGKTVTFGETTVQEIPPPDVVFIKVSIE -CCGEPWNTIFKKAYKEPIEVETDLTVEQLLSVVYEKMCDDLKLFPEAPEPPPFENVTLVDKNGKDLDCIK -SCHLIYRDYESDDDIEEEDAEECDTDSGDAEECDTNLECEEEDEDTKVLALIQDPASNKYPLPLDDDYSV -YNGCIVHKDALDVVNLPSGEETFVVNNCFEGAVKALPQKVIDVLGDWGEAVDAQEQLCQQESTRVISEKS -VEGFTGSCDAMAEQAIVEEQEIVPVVEQSQDVVVFTPADLEVVKETAEEVDEFILISAVPKEEVVSQEKE -EPQVEQEPTLVVKAQREKKAKKFKVKPATCEKPKFLEYKTCVGDLAVVIAKALDEFKEFCIVNAANEHMS -HGGGVAKAIADFCGPDFVEYCADYVKKHGPQQKLVTPSFVKGIQCVNNVVGPRHGDSNLREKLVAAYKSV -LVGGVVNYVVPVLSSGIFGVDFKISIDAMREAFKGCAIRVLLFSLSQEHIDYFDATCKQKTIYLTEDGVK -YRSVVLKPGDSLGQFGQVFARNKVVFSADDVEDKEILFIPTTDKTILEYYGLDAQKYVTYLQTLAQKWDV -QYRDNFVILEWRDGNCWISSAIVLLQAAKIRFKGFLAEAWAKLLGGDPTDFVAWCYASCNAKVGDFSDAN -WLLANLAEHFDADYTNALLKKCVSCNCGVKSYELRGLEACIQPVRAPNLLHFKTQYSNCPTCGASSTDEV -IEASLPYLLLFATDGPATVDCDENAVGTVVFIGSTNSGHCYTQADGKAFDNLAKDRKFGRKSPYITAMYT -RFSLRSENPLLVVEHSKGKAKVVKEDVSNLATSSKASFDDLTDFEQWYDSNIYESLKVQETPDNLDEYVS -FTTKEDSKLPLTLKVRGIKSVVDFRSKDGFTYKLTPDTDENSKTPVYYPVLDSISLRAIWVEGSANFVVG -HPNYYSKSLRIPTFWENAESFVKMGYKIDGVTMGLWRAEHLNKPNLERIFNIAKKAIVGSSVVTTQCGKI -LVKAATYVADKVGDGVVRNITDRIKGLCGFTRGHFEKKMSLQFLKTLVFFFFYFLKASSKSLVSSYKIVL -CKVVFATLLIVWFIYTSNPVVFTGIRVLDFLFEGSLCGPYNDYGKDSFDVLRYCAGDFTCRVCLHDRDSL -HLYKHAYSVEQIYKDAASGINFNWNWLYLVFLILFVKPVAGFVIICYCVKYLVLSSTVLQTGVGFLDWFV -KTVFTHFNFMGAGFYFWLFYKIYVQVHHILYCKDVTCEVCKRVARSNRQEVSVVVGGRKQIVHVYTNSGY -NFCKRHNWYCRNCDDYGHQNTFMSPEVAGELSEKLKRHVKPTAYAYHVVYEACVVDDFVNLKYKAAIPGK -DNASSAVKCFSVTDFLKKAVFLKEALKCEQISNDGFIVCNTQSAHALEEAKNAAVYYAQYLCKPILILDQ -ALYEQLIVEPVSKSVIDKVCSILSNIISVDTAALNYKAGTLRDALLSITKDEEAVDMAIFCHNHEVEYTG -DGFTNVIPSYGMDTDKLTPRDRGFLINADASIANLRVKNAPPVVWKFSDLIKLSDSCLKYLISATVKSGG -RFFITKSGAKQVISCHTQKLLVEKKAGGVINNTFKWFMSCFKWLFVFYILFTACCLGYYYMEMNKSFVHP -MYDVNSTLHVEGFKVIDKGVIREIVSEDNCFSNKFVNFDAFWGKSYENNKNCPIVTVVIDGDGTVAVGVP -GFVSWVMDGVMFVHMTQTDRRPWYIPTWFNREIVGYTQDSIITEGSFYTSIALFSARCLYLTASNTPQLY -CFNGDNDAPGALPFGSIIPHRVYFQPNGVRLIVPQQILHTPYIVKFVSDSYCRGSVCEYTKPGYCVSLDS -QWVLFNDEYISKPGVFCGSTVRELMFNMVSTFFTGVNPNIYIQLATMFLILVVIVLIFAMVIKFQGVFKA -YATIVFTIMLVWVINAFVLCVHSYNSVLAVILLVLYCYASMVTSRNTAIIMHCWLVFTFGLIVPTWLACC -YLGFILYMYTPLVFWCYGTTKNTRKLYDGNEFVGNYDLAAKSTFVIRGTEFVKLTNEIGDKFEAYLSAYA -RLKYYSGTGSEQDYLQACRAWLAYALDQYRNSGVEVVYTPPRYSIGVSRLQAGFKKLVSPSSAVEKCIVS -VSYRGNNLNGLWLGDSIYCPRHVLGKFSGDQWGDVLNLANNHEFEVVTQNGVTLNVVSRRLKGAVLILQT -AVANAETPKYKFVKANCGDSFTIACSYGGTVIGLYPVTMRSNGTIRASFLAGACGSVGFNIEKGVVNFFY -MHHLELPNALHTGTDLMGEFYGGYVDEEVAQRVPPDNLVTNNIVAWLYAAIISVKESSFSQPKWLESTTV -SIEDYNRWASDNGFTPFSTSTAITKLSAITGVDVCKLLRTIMVKSAQWGSDPILGQYNFEDELTPESVFN -QVGGVRLQSSFVRKATSWFWSRCVLACFLFVLCAIVLFTAVPLKFYVHAAVILLMAVLFISFTVKHVMAY -MDTFLLPTLITVIIGVCAEVPFIYNTLISQVVIFLSQWYDPVVFDTMVPWMLLPLVLYTAFKCVQGCYMN -SFNTSLLMLYQFMKLGFVIYTSSNTLTAYTEGNWELFFELVHTIVLANVSSNSLIGLIVFKCAKWMLYYC -NATYFNNYVLMAVMVNGIGWLCTCYFGLYWWVNKVFGLTLGKYNFKVSVDQYRYMCLHKVNPPKTVWEVF -TTNILIQGIGGDRVLPIATVQSKLSDVKCTTVVLMQLLTKLNVEANSKMHAYLVELHNKILASDDVGECM -DNLLGMLITLFCIDSTIDLGEYCDDILKRSTVLQSVTQEFSHIPSYAEYERAKSIYEKVLADSKNGGVTQ -QELAAYRKAANIAKSVFDRDLAVQKKLDSMAERAMTTMYKEARVTDRRAKLVSSLHALLFSMLKKIDSEK -LNVLFDQANSGVVPLATVPIVCSNKLTLVIPDPETWVKCVEGVHVTYSTVVWNIDCVTDADGTELHPTST -GSGLTYCISGDNIAWPLKVNLTRNGHNKVDVALQNNELMPHGVKTKACVAGVDQAHCSVESKCYYTSISG -SSVVAAITSSNPNLKVASFLNEAGNQIYVDLDPPCKFGMKVGDKVEVVYLYFIKNTRSIVRGMVLGAISN -VVVLQSKGHETEEVDAVGILSLCSFAVDPADTYCKYVAAGNQPLGNCVKMLTVHNGSGFAITSKPSPTPD -QDSYGGASVCLYCRAHIAHPGGAGNLDGRCQFKGSFVQIPTTEKDPVGFCLRNKVCTVCQCWIGYGCQCD -SLRQPKPSVQSVAVASGFDKNYLNRVRGSSEARLIPLANGCDPDVVKRAFDVCNKESAGMFQNLKRNCAR -FQEVRDTEDGNLEYCDSYFVVKQTTPSNYEHEKACYEDLKSEVTADHDFFVFNKNIYNISRQRLTKYTMM -DFCYALRHFDPKDCEVLKEILVTYGCIEDYHPKWFEENKDWYDPIENPKYYAMLAKMGPIVRRALLNAIE -FGNLMVEKGYVGVITLDNQDLNGKFYDFGDFQKTAPGAGVPVFDTYYSYMMPIIAMTDALAPERYFEYDV -HKGYKSYDLLKYDYTEEKQDLFQKYFKYWDQEYHPNCRDCSDDRCLIHCANFNILFSTLVPQTSFGNLCR -KVFVDGVPFIATCGYHSKELGVIMNQDNTMSFSKMGLSQLMQFVGDPALLVGTSNKLVDLRTSCFSVCAL -ASGITHQTVKPGHFNKDFYDFAEKAGMFKEGSSIPLKHFFYPQTGNAAINDYDYYRYNRPTMFDIRQLLF -CLEVTSKYFECYEGGCIPASQVVVNNLDKSAGYPFNKFGKARLYYEMSLEEQDQLFESTKKNVLPTITQM -NLKYAISAKNRARTVAGVSILSTMTNRQFHQKILKSIVNTRNAPVVIGTTKFYGGWDNMLRNLIQGVEDP -ILMGWDYPKCDRAMPNLLRIAASLVLARKHTNCCTWSERVYRLYNECAQVLSETVLATGGIYVKPGGTSS -GDATTAYANSVFNIIQATSANVARLLSVITRDIVYDDIKSLQYELYQQVYRRVNFDPAFVEKFYSYLCKN -FSLMILSDDGVVCYNNTLAKQGLVADISGFREVLYYQNNVFMADSKCWVEPDLEKGPHEFCSQHTMLVEV -DGEPRYLPYPDPSRILCACVFVDDLDKTESVAVMERYIALAIDAYPLVHHENEEYKKVFFVLLSYIRKLY -QELSQNMLMDYSFVMDIDKGSKFWEQEFYENMYRAPTTLQSCGVCVVCNSQTILRCGNCIRKPFLCCKCC -YDHVMHTDHKNVLSINPYICSQPGCGEADVTKLYLGGMSYFCGNHKPKLSIPLVSNGTVFGIYRANCAGS -ENVDDFNQLATTNWSTVEPYILANRCVDSLRRFAAETVKATEELHKQQFASAEVREVLSDRELILSWEPG -KTRPPLNRNYVFTGFHFTRTSKVQLGDFTFEKGEGKDVVYYRATSTAKLSVGDIFVLTSHNVVSLIAPTL -CPQQTFSRFVNLRPNVMVPACFVNNIPLYHLVGKQKRTTVQGPPGSGKSHFAIGLAAYFSNARVVFTACS -HAAVDALCEKAFKFLKVDDCTRIVPQRTTIDCFSKFKANDTGKKYIFSTINALPEVSCDILLVDEVSMLT -NYELSFINGKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDYNVVTNLMVCVKPDIFLAKCYRCPKEIVDT -VSTLVYDGKFIANNPESRQCFKVIVNNGNSDVGHESGSAYNITQLEFVKDFVCRNKEWREATFISPYNAM -NQRAYRMLGLNVQTVDSSQGSEYDYVIFCVTADSQHALNINRFNVALTRAKRGILVVMRQRDELYSALKF -IELDSVASLQGTGLFKICNKEFSGVHPAYAVTTKALAATYKVNDELAALVNVEAGSEITYKHLISLLGFK -MSVNVEGCHNMFITRDEAIRNVRGWVGFDVEATHACGTNIGTNLPFQVGFSTGADFVVTPEGLVDTSIGN -NFEPVNSKAPPGEQFNHLRALFKSAKPWHVVRPRIVQMLADNLCNVSDCVVFVTWCHGLELTTLRYFVKI -GKDQVCSCGSRATTFNSHTQAYACWKHCLGFDFVYNPLLVDIQQWGYSGNLQFNHDLHCNVHGHAHVASA -DAIMTRCLAINNAFCQDVNWDLTYPHIANEDEVNSSCRYLQRMYLNACVDALKVNVVYDIGNPKGIKCVR -RGDLNFRFYDKNPIVPNVKQFEYDYNQHKDKFADGLCMFWNCNVDCYPDNSLVCRYDTRNLSVFNLPGCN -GGSLYVNKHAFHTPKFDRTSFRNLKAMPFFFYDSSPCETIQLDGVAQDLVSLATKDCITKCNIGGAVCKK -HAQMYADFVTSYNAAVTAGFTFWVTNNFNPYNLWKSFSALQSIDNIAYNMYKGGHYDAIAGEMPTIVTGD -KVFVIDQGVEKAVFFNQTILPTSVAFELYAKRNIRTLPNNRILKGLGVDVTNGFVIWDYTNQTPLYRNTV -KVCAYTDIEPNGLIVLYDDRYGDYQSFLAADNAVLVSTQCYKRYSYVEIPSNLLVQNGIPLKDGANLYVY -KRVNGAFVTLPNTLNTQGRSYETFEPRSDVERDFLDMSEESFVEKYGKELGLQHILYGEVDKPQLGGLHT -VIGMCRLLRANKLNAKSVTNSDSDVMQNYFVLADNGSYKQVCTVVDLLLDDFLELLRNILKEYGTNKSKV -VTVSIDYHSINFMAWFEDGIIKTCYPQLQSAWTCGYNMPELYKVQNCVMEPCNIPNYGVGIALPSGIMMN -VAKYTQLCQYLSKTTMCVPHNMRVMHFGAGSDKGVAPGSTVLKQWLPEGTLLVDNDIVDYVSDAHVSVLS -DCNKYKTEHKFDLVISDMYTDNDSKRKHEGVIANNGNDDVFIYLSSFLRNNLALGGSFAVKVTETSWHEV -LYDIAQDCAWWTMFCTAVNASSSEAFLVGVNYLGASEKVKVSGKTLHANYIFWRNCNYLQTSAYSIFDVA -KFDLRLKATPVVNLKTEQKTDLVFNLIKCGKLLVRDVGNTSFTSDSFVCTM - ->sp|P0C6X8.1|R1AB_CVM2 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MAKMGKYGLGFKWAPEFPWMLPNASEKLGSPERSEEDGFCPSAAQEPKTKGKTLINHVRVDCSRLPALEC -CVQSAIIRDIFVDEDPLNVEASTMMALQFGSAVLVKPSKRLSIQAWAKLGVLPKTPAMGLFKRFCLCNTR -ECVCDAHVAFQLFTVQPDGVCLGNGRFIGWFVPVTAIPAYAKQWLQPWSILLRKGGNKGSVTSGHFRRAV -TMPVYDFNVEDACEEVHLNPKGKYSRKAYALLKGYRGVKSILFLDQYGCDYTGRLAKGLEDYGDCTLEEM -KELFPVWCDSLDNEVVVAWHVDRDPRAVMRLQTLATIRSIGYVGQPTEDLVDGDVVVREPAHLLAANAIV -KRLPRLVETMLYTDSSVTEFCYKTKLCDCGFITQFGYVDCCGDACDFRGWVPGNMMDGFLCPGCSKSYMP -WELEAQSSGVIPKGGVLFTQSTDTVNRESFKLYGHAVVPFGSAVYWSPYPGMWLPVIWSSVKSYADLTYT -GVVGCKAIVQETDAICRSLYMDYVQHKCGNLEQRAILGLDDVYHRQLLVNRGDYSLLLENVDLFVKRRAE -FACKFATCGDGLVPLLLDGLVPRSYYLIKSGQAFTSMMVNFSHEVTDMCMDMALLFMHDVKVATKYVKKV -TGKLAVRFKALGVAVVRKITEWFDLAVDTAASAAGWLCYQLVNGLFAVANGGITFLSDVPELVKNFVDKF -KVFFKVLIDSMSVSVLSGLTVVKTASNRVCLAGCKVYEVVQKRLSAYVMPVGCNEATCLVGEIEPAVVED -DVVDVVKAPLTYQGCCKPPTSFEKICVVDKLYMAKCGDQFYPVVVDNDTIGVLDQCWRFPCAGKKVEFND -KPKVKEIPSTRKIKINFALDATFDSVLSKACSEFEVDKDVTLDELLDVVLDAVESTLSPCKEHDVIGTKV -CALLNRLAEDYVYLFDEGGEEVIAPKMYCSFSAPDDEDCVAADVVDADENQGDDADDSAALVTDTQEEDG -VAKGQVGVAESDARLDQVEAFDIEKVEDPILNELSAELNAPADKTYEDVLAFDAIYSEALSAFYAVPGDE -THFKVCGFYSPAIERTNCWLRSTLIVMQSLPLEFKDLEMQKLWLSYKSSYNKEFVDKLVKSVPKSIILPQ -GGYVADFAYFFLSQCSFKAYANWRCLKCDMDLKLQGLDAMFFYGDVVSHVCKCGTGMTLLSADIPYTLHF -GLRDDKFCAFYTPRKVFRAACVVDVNDCHSMAVVDGKQIDGKVVTKFNGDKYDFMVGHGMAFSMSAFEIA -QLYGSCITPNVCFVKGDVIKVLRRVGAEVIVNPANGRMAHGAGVAGAIAKAAGKSFIKETADMVKNQGVC -QVGECYESTGGNLCKTVLNIVGPDARGHGKQCYSFLERAYQHINKCDDVVTTLISAGIFSVPTDVSLTYL -IGVVTKNVILVSNNKDDFDVIEKCQVTSIAGTKALSLQLAKNLCRDVKFETNACDSLFSDSCFVSSYDVL -QEVELLRHDIQLDDDARVFVQAHMDNLPADWRLVNKFDSVDGVRTVKYFECPGEIFVSSQGKKFGYVQNG -SFKVASVSQIRALLANKVDVLCTVDGVNFRSCCVAEGEVFGKTLGSVFCDGINVTKVRCSAIHKGKVFFQ -YSGLSAADLVAVTDAFGFDEPQLLKYYNMLGMCKWPVVVCGNYFAFKQSNNNCYINVACLMLQHLSLKFH -KWQWQEAWNEFRSGKPLRFVSLVLAKGSFKFNEPSDSTDFMRVVLREADLSGATCDFEFVCKCGVKQEQR -KGVDAVMHFGTLDKGDLAKGYTIACTCGNKLVHCTQLNVPFLICSNKPEGKKLPDDVVAANIFTGGSLGH -YTHVKCKPKYQLYDACNVSKVSEAKGNFTDCLYLKNLKQTFSSKLTTFYLDDVKCVEYNPDLSQYYCESG -KYYTKPIIKAQFRTFEKVEGVYTNFKLVGHSIAEKFNAKLGFDCNSPFTEYKITEWPTATGDVVLASDDL -YVSRYSGGCVTFGKPVIWLGHEEASLKSLTYFNRPSVVCENKFNVLPVDVSEPTDKGPVPAAVLVTGALS -GAATAPGTAKEQKVCASDSVVDQVVSGFLSDLSGATVDVKEVKLNGVKKPIKVEDSVVVNDPTSETKVVK -SLSIVDVYDMFLTGCRYVVWMANELSRLVNSPTVREYVKWGMTKIVIPAKLVLLRDEKQEFVAPKVVKAK -VIACYSAVKWFFLYCFSWIKFNTDNKVIYTTEVASKLTFNLCCLAFKNALQTFNWNVVSRGFFLVATVFL -LWFNFLYANVILSDFYLPNIGFFPTFVGQIVAWVKTTFGIFTLCDLYQVSDVGYRSSFCNGSMVCELCFS -GFDMLDNYDAINVVQHVVDRRVSFDYISLFKLVVELVIGYSLYTVCFYPLFGLIGMQLLTTWLPEFFMLE -TMHWSARFFVFVANMLPAFTLLRFYIVVTAMYKIFCLCRHVMYGCSRPGCLFCYKRNRSVRVKCSTVVGG -TLRYYDVMANGGTGFCAKHQWNCLNCSAFGPGNTFITHEAAADLSKELKRPVNPTDSAYYLVTEVKQVGC -SMRLFYERDGQRVYDDVSASLFVDMNGLLHSKVKGVPETHVVVVENEADKAGFLNAAVFYAQSLYRPMLL -VEKKLITTANTGLSVSQTMFDLYVDSLLGVLDVDRKSLTSFVNAAHNSLKEGVQLEQVMDTFIGCARRKC -AIDSDVETKSITKSIMSAVNAGVDFTDESCNNLVPTYVKSDTIVAADLGVLIQNNAKHVQANVAKAANVA -CIWSVDAFNQLSADLQHRLRKACSKTGLKIKLTYNKQEANVPILTTPFSLKGGAVFSKVLQWLFVVNLIC -FIVLWALMPTYAVHKSDMQLPLYASFKVIDNGVLRDVTVTDACFANKFIQFDQWYESTFGLVYYRNSRAC -PVVVAVIDQDIGYTLFNVPTKVLRYGFHVLHFITHAFATDSVQCYTPHMQIPYDNFYASGCVLSSLCTML -AHADGTPHPYCYTEGIMHNASLYDSLAPHVRYNLANSNGYIRFPEVVSEGIVRIVRTRSMTYCRVGLCED -AEEGVCFNFNSSWVLNNPYYRAMPGTFCGRNAFDLIHQVLGGLVRPIDFFALTASSVAGAILAIIVVLAF -YYLIKLKRAFGDYTSVVVINVIVWCINFLMLFVFQVYPTLSCLYACFYFYTTLYFPSEISVVMHLQWLVM -YGAIMPLWFCIIYVAVVVSNHALWLFSYCRKLGTEVRSDGTFEEMSLTTFMITKESYCKLKNSVSDVAFN -RYLSLYNKYRYFSGKMDTAAYREAACSQLAKAMETFNHNNGNDVLYQPPTASVTTSFLQSGIVKMVFPTS -KVEPCVVSVTYGNMTLNGLWLDDKVYCPRHVICSSADMTDPDYSNLLCRVISSDFCVMSGRMSLTVMSYQ -MQGSLLVLTVTLQNPNTPKYSFGVVKPGETFTVLAAYNGKSQGAFHVTMRSSYTIKGSFLCGSCGSVGYV -LTGDSVRFVYMHQLELSTGCHTGTDFSGNFYGPYRDAQVVQLPVQDYTQTVNVVAWLYAAILNRCNWFVQ -SDSCSLEEFNVWAMTNGFSSIKADLVLDALASMTGVTVEQILAAIKRLYSGFQGKQILGSCVLEDELTPS -DVYQQLAGVKLQSKRTRVVKGTCCWILASTLLFCSIISAFVKWTMFMYVTTHMLGVTLCALCFVSFAMLL -VKHKHLYLTMFIMPVLCTLFYTNYLVVYKQSFRGLAYAWLSHFVPAVDYTYMDEVLYGVVLLVAMVFVTM -RSINHDVFSVMFLVGRLVSLVSMWYFGANLEEEVLLFLTSLFGTYTWTTMLSLATAKVIAKWLAVNVLYF -TDVPQVKLVLLSYLCIGYVCCCYWGVLSLLNSIFRMPLGVYNYKISVQELRYMNANGLRPPRNSFEALVL -NFKLLGIGGVPVIEVSQIQSRLTDVKCVNVVLLNCLQHLHIASSSKLWQYCSTLHNEILATSDLSVAFDK -LAQLLVVLFANPAAVDSKCLASIEEVSDDYVRDSTVLQALQSEFVNMASFVEYELAKKNLDEAKASGSAN -QQQIKQLEKACNIAKSAYERDRAVARKLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMIRKLDNQ -ALNSILDNAVKGCVPLNAIPSLTSNTLTIIVPDKQVFDQVVDNVYVTYAGNVWHIQSIQDADGAVKQLNE -IDVNITWPLVIAANRHNEVSSVVLQNNELMPQKLRTQVVNSGSDMNCNTPTQCYYNTTGMGKIVYAILSD -CDGLKYTKIVKEDGNCVVLELDPPCKFSVQDVKGLKIKYLYFVKGCNTLARGWVVGTLSSTVRLQAGTAT -EYASNSAIRSLCAFSVDPKKTYLDYIQQGGAPVTNCVKMLCDHAGTGMAITIKPEATTNQDSYGGASVCI -YCRSRVEHPDVDGLCKLRGKFVQVPLGIKDPVSYVLTHDVCQVCGFWRDGSCSCVGTGSQFQSKDTNFLN -RVRGTSVNARLVPCASGLDTDVQLRAFDICNANRAGIGLYYKVNCCRFQRADEDGNTLDKFFVIKRTNLE -VYNKEKECYELTKECGVVAEHEFFTFDVEGSRVPHIVRKDLSKYTMLDLCYALRHFDRNDCSTLKEILLT -YAECDESYFQKKDWYDFVENSDIINVYKKLGPIFNRALLNTAKFADTLVEAGLVGVLTLDNQDLYGQWYD -FGDFVKTVPGCGVAVADSYYSYMMPMLTMCHALDSELFINGTYREFDLVQYDFTDFKLELFNKYFKYWSM -TYHPNTCECEDDRCIIHCANFNILFSMVLPKTCFGPLVRQIFVDGVPFVVSIGYHYKELGVVMNMDVDTH -RYRLSLKDLLLYAADPALHVASASALLDLRTCCFSVAAITSGVKFQTVKPGNFNQDFYEFILSKGLLKEG -SSVDLKHFFFTQDGNAAITDYNYYKYNLPTMVDIKQLLFVLEVVNKYFEIYDGGCIPATQVIVNNYDKSA -GYPFNKFGKARLYYEALSFEEQDEVYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFH -QKCLKSIAATRGVPVVIGTTKFYGGWDDMLRRLIKDVDSPVLMGWDYPKCDRAMPNILRIISSLVLARKH -DSCCSHTDRFYRLANECAQVLSEIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVSANVCSLMACNG -HKIEDLSIRELQKRLYSNVYRADHVDPAFVNEYYEFLNKHFSMMILSDDGVVCYNSEFASKGYIANISAF -QQVLYYQNNVFMSEAKCWVETDIEKGPHEFCSQHTMLVKMDGDEVYLPYPDPSRILGAGCFVDDLLKTDS -VLLIERFVSLAIDAYPLVYHENPEYQNVFRVYLEYIKKLYNDLGNQILDSYSVILSTCDGQKFTDETFYK -NMYLRSAVMQSVGACVVCSSQTSLRCGSCIRKPLLCCKCAYDHVMSTDHKYVLSVSPYVCNSPGCDVNDV -TKLYLGGMSYYCEDHKPQYSFKLVMNGMVFGLYKQSCTGSPYIEDFNKIASCKWTEVDDYVLANECTERL -KLFAAETQKATEESFKQCYASATIREIVSDRELILSWEIGKVRPPLNKNYVFTGYHFTSNGKTVLGEYVF -DKSELTNGVYYRATTTYKLSVGDVFILTSHAVSSLSAPTLVPQENYTSIRFASVYSVPETFQNNVPNYQH -IGMKRYCTVQGPPGTGKSHLAIGLAVYYCTARVVYTAASHAAVDALCEKAYKFLNINDCTRIVPAKVRVD -CYDKFKVNDTTRKYVFTTINALPELVTDIIVVDEVSMLTNYELSVINSRVRAKHYVYIGDPAQLPAPRVL -LNKGTLEPRYFNSVTKLMCCLGPDIFLGTCYRCPKEIVDTVSALVYHNKLKAKNDNSSMCFKVYYKGQTT -HESSSAVNMQQIYLISKFLKANPSWSNAVFISPYNSQNYVAKRVLGLQTQTVDSAQGSEYDFVIYSQTAE -TAHSVNVNRFNVAITRAKKGILCVMSSMQLFESLNFSTLTLDKINNPRLQCTTNLFKDCSRSYAGYHPAH -APSFLAVDDKYKVGGDLAVCLNVADSAVTYSRLISLMGFKLDLTLDGYCKLFITRDEAIRRVRAWVGFDA -EGAHATRDSIGTNFPLQLGFSTGIDFVVEATGMFAERDGYVFKKAVARAPPGEQFKHLVPLMSRGQKWDV -VRIRIVQMLSDHLVDLADSVVLVTWAASFELTCLRYFAKVGKEVVCSVCNKRATCFNSRTGYYGCWRHSY -SCDYLYNPLIVDIQQWGYTGSLTSNHDLICSVHKGAHVASSDAIMTRCLAVHDCFCKSVNWSLEYPIISN -EVSVNTSCRLLQRVMFRAAMLCNRYDVCYDIGNPKGLACVKGYDFKFYDASPVVKSVKQFVYKYEAHKDQ -FLDGLCMFWNCNVDKYPANAVVCRFDTRVLNKLNLPGCNGGSLYVNKHAFHTSPFTRAAFENLKPMPFFY -YSDTPCVYMEGMESKQVDYVPLRSATCITRCNLGGAVCLKHAEDYREYLESYNTATTAGFTFWVYKTFDF -YNLWNTFTRLQSLENVVYNLVNAGHFDGRAGELPCAVIGEKVIAKIQNEDVVVFKNNTPFPTNVAVELFA -KRSIRPHPELKLFRNLNIDVCWSHVLWDYAKDSVFCSSTYKVCKYTDLQCIESLNVLFDGRDNGALEAFK -KCRDGVYINTTKIKSLSMIKGPQRADLNGVVVEKVGDSDVEFWFAMRRDGDDVIFSRTGSLEPSHYRSPQ -GNPGGNRVGDLSGNEALARGTIFTQSRFLSSFAPRSEMEKDFMDLDEDVFIAKYSLQDYAFEHVVYGSFN -QKIIGGLHLLIGLARRQQKSNLVIQEFVPYDSSIHSYFITDENSGSSKSVCTVIDLLLDDFVDIVKSLNL -NCVSKVVNVNVDFKDFQFMLWCNEEKVMTFYPRLQAAADWKPGYVMPVLYKYLESPLERVNLWNYGKPIT -LPTGCLMNVAKYTQLCQYLNTTTLAVPANMRVLHLGAGSDKDVAPGSAVLRQWLPAGSILVDNDINPFVS -DSVASYYGNCITLPIACQWDLIISDMYDPLTKNIGEYNVSKDGFFTYLCHLIRDKLALGGSVAIKITEFS -WNAELYSLMGKFAFWTIFCTNVNASSSEGFLIGINWLNRTRTEIDGKTMHANYLFWRNSTMWNGGAYSLF -DMSKFPLKVAGTAVVSLKPDQINDLVLSLIEKGKLLVRDTRKEVFVGDSLVNVK - ->sp|P0C6X4.1|R1AB_CVHN5 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MIKTSKYGLGFKWAPEFRWLLPDAAEELASPMKSDEGGLCPSTGQAMESVGFVYDNHVKIDCRCILGQEW -HVQSNLIRDIFVHEDLHVVEVLTKTAVKSGTAILIKSPLHSLGGFPKGYVMGLFRSYKTKRYVVHHLSMT -TSTTNFGEDFFGWIVPFGFMPSYVHKWFQFCRLYIEESDLIISNFKFDDYDFSVEAAYAEVHAEPKGKYS -QKAYALLRQYRGIKPVLFVDQYGCDYSGKLADCLQAYGHYSLQDMRQKQSVWLANCDFDIVVAWHVVRDS -RFVMRLQTIATICGIKYVAQPTEDVVDGDVVIREPVHLLSADAIVLKLPSLMKVMTHMDDFSIKSIYNVD -LCDCGFVMQYGYVDCFNDNCDFYGWVSGNMMDGFSCPLCCTVYDSSEVKAQSSGVIPENPVLFTNSTDTV -NPDSFNLYGYSVTPFGSCIYWSPRPGLWIPIIKSSVKSYDDLVYSGVVGCKSIVKETALITHALYLDYVQ -CKCGNLEQNHILGVNNSWCRQLLLNRGDYNMLLKNIDLFVKRRADFACKFAVCGDGFVPFLLDGLIPRSY -YLIQSGIFFTSLMSQFSQEVSDMCLKMCILFMDRVSVATFYIEHYVNRLVTQFKLLGTTLVNKMVNWFNT -MLDASAPATGWLLYQLLNGFFVVSQANLNFVALIPDYAKILVNKFYTFFKLLLECVTVDVLKDMPVLKTI -NGLVCIVGNKFYNVSTGLIPGFVLPCNAQEQQIYFFEGVAESVIVEDDVIENVKSSLSSYEYCQPPKSVE -KICIIDNMYMGKCGDKFFPIVMNDKNICLLDHAWRFPCAGRKVNFNEKPVVMEIPSLMTVKVMFDLDSTF -DDILGKVCSEFEVEKGVTVDDFVAVVCDAIENALNSCKEHPVVGYQVRAFLNKLNENVVYLFDEAGDEAM -ASRMYCTFAIEDVEDVISSEAVEDTIDGVVEDTINDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDV -VTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNNDEDVVTGDNNDEESVTGDNDDQIV -VTGDDVDDIESIYDFDTYKALLVFNDVYNDALFVSYGSSVETETYFKVNGLWSPTITHTNCWLRSVLLVM -QKLPFKFKDLAIENMWLSYKVGYNQSFVDYLLTTIPKAIVLPQGGYVADFAYWFLNQFDINAYANWCCLK -CGFSFDLNGLDAVFFYGDIVSHVCKCGHNMTLIAADLPCTLHFSLFDDNFCAFCTPKKIFIAACAVDVNV -CHSVAVIGDEQIDGKFVTKFSGDKFDFIVGYGMSFSMSSFELAQLYGLCITPNVCFVKGDIINVARLVKA -DVIVNPANGHMLHGGGVAKAIAVAAGKKFSKETAAMVKSKGVCQVGDCYVSTGGKLCKTILNIVGPDARQ -DGRQSYVLLARAYKHLNNYDCCLSTLISAGIFSVPADVSLTYLLGVVDKQVILVSNNKEDFDIIQKCQIT -SVVGTKALAVRLTANVGRVIKFETDAYKLFLSGDDCFVSNSSVIQEVLLLRHDIQLNNDVRDYLLSKMTS -LPKDWRLINKFDVINGVKTVKYFECPNSIYICSQGKDFGYVCDGSFYKATVNQVCVLLAKKIDVLLTVDG -VNFKSISLTVGEVFGKILGNVFCDGIDVTKLKCSDFYADKILYQYENLSLADISAVQSSFGFDQQQLLAY -YNFLTVCKWSVVVNGPFFSFEQSHNNCYVNVACLMLQHINLKFNKWQWQEAWYEFRAGRPHRLVALVLAK -GHFKFDEPSDATDFIRVVLKQADLSGAICELELICDCGIKQESRVGVDAVMHFGTLAKTDLFNGYKIGCN -CAGRIVHCTKLNVPFLICSNTPLSKDLPDDVVAANMFMGVGVGHYTHLKCGSPYQHYDACSVKKYTGVSG -CLTDCLYLKNLTQTFTSMLTNYFLDDVEMVAYNPDLSQYYCDNGKYYTKPIIKAQFKPFAKVDGVYTNFK -LVGHDICAQLNDKLGFNVDLPFVEYKVTVWPVATGDVVLASDDLYVKRYFKGCETFGKPVIWFCHDEASL -NSLTYFNKPSFKSENRYSVLSVDSVSEESQGNVVTSVMESQISTKEVKLKGVRKTVKIEDAIIVNDENSS -IKVVKSLSLVDVWDMYLTGCDYVVWVANELSRLVKSPTVREYIRYGIKPITIPIDLLCLRDDNQTLLVPK -IFKARAIEFYGFLKWLFIYVFSLLHFTNDKTIFYTTEIASKFTFNLFCLALKNAFQTFRWSIFIKGFLVV -ATVFLFWFNFLYINVIFSDFYLPNISVFPIFVGRIVMWIKATFGLVTICDFYSKLGVGFTSHFCNGSFIC -ELCHSGFDMLDTYAAIDFVQYEVDRRVLFDYVSLVKLIVELVIGYSLYTVWFYPLFCLIGLQLFTTWLPD -LFMLETMHWLIRFIVFVANMLPAFVLLRFYIVVTAMYKVVGFIRHIVYGCNKAGCLFCYKRNCSVRVKCS -TIVGGVIRYYDITANGGTGFCVKHQWNCFNCHSFKPGNTFITVEAAIELSKELKRPVNPTDASHYVVTDI -KQVGCMMRLFYDRDGQRVYDDVDASLFVDINNLLHSKVKVVPNLYVVVVESDADRANFLNAVVFYAQSLY -RPILLVDKKLITTACNGISVTQIMFDVYVDTFMSHFDVDRKSFNNFVNIAHASLREGVQLEKVLDTFVGC -VRKCCSIDSDVETRFITKSMISAVAAGLEFTDENYNNLVPTYLKSDNIVAADLGVLIQNGAKHVQGNVAK -VANISCIWFIDAFNQLTADLQHKLKKACVKTGLKLKLTFNKQEASVPILTTPFSLKGGVVLSNLLYILFF -ISLICFILLWALLPTYSVYKSDIHLPAYASFKVIDNGVVRDISVNDLCFANKFFQFDQWYESTFGSVYYH -NSMDCPIVVAVMDEDIGSTMFNVPTKVLRYGFHVLHFLTYAFASDSVQCYTPHIQISYNDFYASGCVLSS -LCTMFKRGDGTPHPYCYTDGVMKNASLYTSLVPHTRYSLANSNGFIRFPDVISEGIVRIVRTRSMTYCRV -GACEYAEEGICFNFNSSWVLNNDYYRSMPGTFCGRDFFDLFYQFFSSLIRPIDFFSLTASSIFGAILAIV -VVLVFYYLIKLKRAFGDYTSVVVINVIVWCINFLMLFVFQVYPICACVYACFYFYVTLYFPSEISVIMHL -QWIVMYGAIMPFWFCVTYVAMVIANHVLWLFSYCRKIGVNVCSDSTFEETSLTTFMITKDSYCRLKNSVS -DVAYNRYLSLYNKYRYYSGKMDTAAYREAACSQLAKAMETFNHNNGNDVLYQPPTASVSTSFLQSGIVKM -VSPTSKIEPCLVSVTYGSMTLNGLWLDDKVYCPRHVICLSSNMNEPDYSALLCRVTLGDFTIMSGRMSLT -VVSYQMQGCQLVLTVSLQNPYTPKYTFGVVKPGETFTVLAAYNGRPQGAFHVTMRSSYTIKGSFLCGSCG -SVGYVLTGDSVKFVYMHQLELSTGCHTGTDFNGNFYGPYRDAQVVQLPVKDYVQTVNVIAWLYAAILNNC -AWFVQNDVCSIEDFNVWAMTNGFSQVKADLVLDALASMTGVSIETLLAAIKRLYMGFQGRQILGSCTFED -ELAPSDVYQQLAGVKLQSKTKRFIKETIYWILISTFLFSCIISAFVKWTIFMYINTHMIGVTLCVLCFVS -FMMLLVKHKHFYLTMYIIPVLCTLFYVNYLVVYKEGFRGFTYVWLSHFVPAVNFTYVYEVFYGCILCVFA -IFITMHSINHDIFSLMFLVGRIVTLISMWYFGSNLEEDVLLFITAFLGTYTWTTILSLAIAKIVANWLSV -NIFYFTDVPYIKLILLSYLFIGYILSCYWGFFSLLNSVFRMPMGVYNYKISVQELRYMNANGLRPPRNSF -EAILLNLKLLGIGGVPVIEVSQIQSKLTDVKCANVVLLNCLQHLHVASNSKLWQYCSVLHNEILSTSDLS -VAFDKLAQLLIVLFSNPAAVDTKCLASIDEVSDDYVQDSTVLQALQSEFVNMASFVEYEVAKKNLADAKN -SGSVNQQQIKQLEKACNIAKSVYERDKAVARKLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMVR -KLDNQALNSILDNAVKGCVPLSAIPALAANTLTIIIPDKQVFDKVVDNVYVTYAGSVWHIQTVQDADGIN -KQLTDISVDSNWPLVIIANRYNEVANAVMQNNELMPHKLKIQVVNSGSDINCNIPTQCYYNNVSSGRIVY -AVLSDVDGLKYTKIMKDDGNCVVLELDPPCKFSIQDVKGLKIKYLYFIKGCNTLARGWVVGTLSSTIRLQ -AGVATEYAANSSILSLCAFSVDPKKTYLDYIQQGGVPIINCVKMLCDHAGTGMAITIKPEATINQDSYGG -ASVCIYCRARVEHPDVDGICKLRGKFVQVPLGIKDPILYVLTHDVCQVCGFWRDGSCSCVGSSVAVQSKD -LNFLNRVRGTSVNARLVPCASGLSTDVQLRAFDICNTNRAGIGLYYKVNCCRFQRIDDDGNKLDKFFVVK -RTNLEVYNKEKTYYELTKSCGVVAEHDFFTFDIDGSRVPHIVRRNLSKYTMLDLCYALRHFDRNDCSILC -EILCEYADCKESYFSKKDWYDFVENPDIINIYKKLGPIFNRALLNTVIFADTLVEVGLVGVLTLDNQDLY -GQWYDFGDFIQTAPGFGVAVADSYYSYMMPMLTMCHVLDCELFVNDSYRQFDLVQYDFTDYKLELFNKYF -KYWGMKYHPNTVDCDNDRCIIHCANFNILFSMVLPNTCFGPLVRQIFVDGVPFVVSIGYHYKELGVVMNL -DVDTHRYRLSLKDLLLYAADPAMHVASASALLDLRTCCFSVAAITSGIKFQTVKPGNFNQDFYEFVKSKG -LFKEGSTVDLKHFFFTQDGNAAITDYNYYKYNLPTMVDIKQLLFVLEVVYKYFEIYDGGCIPASQVIVNN -YDKSAGYPFNKFGKARLYYEALSFEEQNEIYAYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMT -GRMFHQKCLKSIAATRGVPVVIGTTKFYGGWDDMLRHLIKDVDNPVLMGWDYPKCDRAMPNILRIVSSLV -LARKHEFCCSHGDRFYRLANECAQVLSEIVMCGGCYYVKPGGTSSGDATTAFANSVFNICQAVTANVCSL -MACNGHKIEDLSIRNLQKRLYSNVYRTDYVDYTFVNEYYEFLCKHFSMMILSDDGVVCYNSDYANKGYIA -NISAFQQVLYYQNNVFMSESKCWVENDITNGPHEFCSQHTMLVKIDGDYVYLPYPDPSRILGAGCFVDDL -LKTDSVLLIERFVSLAIDAYPLVYHENEEYQKVFRVYLEYIKKLYNDLGTQILDSYSVILSTCDGLKFTE -ESFYKNMYLKSAVMQSVGACVVCSSQTSLRCGSCIRKPLLCCKCCYDHVMATNHKYVLSVSPYVCNAPNC -DVSDVTKLYLGGMSYYCENHKPHYSFKLVMNGMVFGLYKQSCTGSPYIDDFNKIASCKWTEVDDYVLANE -CIERLKLFAAETQKATEEAFKQSYASATIQEIVSDREVILCWETGKVKPPLNKNYVFTGYHFTSTGKTVL -GEYVFDKSELTNGVYYRATTTYKLSIGDVFVLTSHSVASLSAPTLVPQENYASIRFSSVYSVPLVFQNNV -ANYQHIGMKRYCTVQGPPGTGKSHLAIGLAVYYYTARVVYTAASHAAVDALCEKAYKFLNINDCTRIIPA -KVRVDCYDKFKINDTTCKYVFTTINALPELVTDIVVVDEVSMLTNYELSVINARIKAKHYVYIGDPAQLP -APRVLLSKGSLEPRHFNSITKIMCCLGPDIFLGNCYRCPKEIVETVSALVYDNKLKAKNDNSSLCFKVYF -KGQTTHESSSAVNIQQIYLISKFLKANPVWNSAVFISPYNSQNYVAKRVLGVQTQTVDSAQGSEYDYVIY -SQTAETAHSVNVNRFNVAITRAKKGIFCVMSNMQLFESLNFITLPLDKIQNQTLPRLHCTTNLFKDCSKS -CLGYHPAHAPSFLAVDDKYKVNENLAVNLNICEPVLTYSRLISLMGFKLDLTLDGYSKLFITKDEAIKRV -RGWVGFDVEGAHATRENIGTNFPLQIGFSTGVDFVVEATGLFAERDCYTFKKTVAKAPPGEKFKHLIPLM -SKGQKWDIVRIRIVQMLSDYLLDLSDSVVFITWSASFELTCLRYFAKLGRELNCNVCSNRATCYNSRTGY -YGCWRHSYTCDYVYNPLIVDIQQWGYTGSLTSNHDIICNVHKGAHVASADAIMTRCLAIYDCFCKSVNWN -LEYPIISNEVSINTSCRLLQRVMLKAAMLCNRYNLCYDIGNPKGLACVKDYEFKFYDAFPVAKSVKQLFY -VYDVHKDNFKDGLCMFWNCNVDKYPSNSIVCRFDTRVLNKLNLPGCNGGSLYVNKHAFHTNPFTRTVFEN -LKPMPFFYYSDTPCVYVDGLESKQVDYVPLRSATCITRCNLGGAVCSKHAEEYCNYLESYNIVTTAGFTF -WVYKTFDFYNLWNTFTTLQSLENVIYNLVNVGHYDGRTGELPCAIMNDKVVVKINNVDTVIFKNNTSFPT -NIAVELFTKRSIRHHPELKILRNLNIDICWKHVLWDYVKDSLFCSSTYGVCKYTDLKFIENLNILFDGRD -TGALEAFRKARNGVFISTEKLSRLSMIKGPQRADLNGVIVDKVGELKVEFWFAMRKDGDDVIFSRTDSLC -SSHYWSPQGNLGGNCAGNVIGNDALTRFTIFTQSRVLSSFEPRSDLERDFIDMDDNLFIAKYGLEDYAFD -HIVYGSFNHKVIGGLHLLIGLFRRLKKSNLLIQEFLQYDSSIHSYFITDQECGSSKSVCTVIDLLLDDFV -SIVKSLNLSCVSKVVNINVDFKDFQFMLWCNDNKIMTFYPKMQATNDWKPGYSMPVLYKYLNVPLERVSL -WNYGKPINLPTGCMMNVAKYTQLCQYLNTTTLAVPVNMRVLHLGAGSDKEVAPGSAVLRQWLPSGSILVD -NDLNPFVSDSLVTYFGDCMTLPFDCHWDLIISDMYDPLTKNIGDYNVSKDGFFTYICHLIRDKLSLGGSV -AIKITEFSWNADLYKLMSCFAFWTVFCTNVNASSSEGFLIGINYLGKSSFEIDGNVMHANYLFWRNSTTW -NGGAYSLFDMTKFSLKLAGTAVVNLRPDQLNDLVYSLIERGKLLVRDTRKEIFVGDSLVNTC - ->sp|P0C6X3.1|R1AB_CVHN2 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MIKTSKYGLGFKWAPEFRWLLPDAAEELASPMKSDEGGLCPSTGQAMESVGFVYDNHVKIDCRCILGQEW -HVQSNLIRDIFVHEDLHVVEVLTKTAVKSGTAILIKSPLHSLGGFPKGYVMGLFRSYKTKRYVVHHLSMT -TSTTNFGEDFLGWIVPFGFMPSYVHKWFQFCRLYIEESDLIISNFKFDDYDFSVEDAYAEVHAEPKGKYS -QKAYALLRQYRGIKPVLFVDQYGCDYSGKLADCLQAYGHYSLQDMRQKQSVWLANCDFDIVVAWHVVRDS -RFVMRLQTIATICGIKYVAQPTEDVVDGAVVIREPVHLLSADAIVLKLPSLMKVMTHMDDFSIKSIYNVD -LCDCGFVMQYGYVDCFNDNCDFYGWVSGNMMDGFSCPLCCTVYDSSEVKAQSSGVIPENPVLFTNSTDTV -NPDSFNLYGYSVTPFGSCIYWSPRPGLWIPIIKSSVKSYDDLVYSGVVGCKSIVKETALITHALYLDYVQ -CKCGNLEQNHILGVNNSWCRQLLLNRGDYNMLLKNIDLFVKRRADFACKFAVCGDGFVPFLLDGLIPRSY -YLIQSGIFFTSLMSQFSQEVSDMCLKMCILFMDRVSVATFYIEHYVNRLVTQFKLLGTTLVNKMVNWFNT -MLDASAPATGWLLYQLLNGLFVVSQANFNFVALIPDYAKILVNKFYTFFKLLLECVTVDVLKDMPVLKTI -NGLVCIVGNKFYNVSTGLIPGFVLPCNAQEQQIYFFEGVAESVIVEDDVIENVKSSLSSYEYCQPPKSVE -KICIIDNMYMGKCGDKFFPIVMNDKNICLLDQAWRFPCAGRKVNFNEKPVVMEIPSLMTVKVMFDLDSTF -DDILGKVCSEFEVEKGVTVDDFVAVVCDAIENALNSCKDHPVVGYQVRAFLNKLNENVVYLFDEAGDEAM -ASRMYCTFAIEDVEDVISSEAVEDTIDGVVEDTINDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDV -VTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDV -VTGDNDDEEIVTGDNDDQIVVTGDDVDDIESVYDFDTYKALLVFNDVYNDALFVSYGSSVETETYFKVNG -LWSPTITHTNCWLRSVLLVMQKLPFKFKDLAIENMWLSYKVGYNQSFVDYLLTTIPKAIVLPQGGYVADF -AYWFLNQFDINAYANWCCLKCGFSFDLNGLDAVFFYGDIVSHVCKCGHNMTLIAADLPCTLHFSLFDDNF -CAFCTPKKIFIAACAVDVNVCHSVAVIGDEQIDGKFVTKFSGDKFDFIVGYGMSFSMSSFELAQLYGLCI -TPNVCFVKGDIINVARLVKADVIVNPANGHMLHGGGVAKAIAVAAGKKFSKETAAMVKSKGVCQVGDCYV -STGGKLCKTILNIVGPDARQDGRQSYVLLARAYKHLNNYDCCLSTLISAGIFSVPADVSLTYLLGVVDKQ -VILVSNNKEDFDIIQKCQITSVVGTKALAVRLTANVGRVIKFETDAYKLFLSGDDCFVSNSSVIQEVLLL -RHDIQLNNDVRDYLLSKMTSLPKDWRLINKFDVINGVKTVKYFECPNSIYICSQGKDFGYVCDGSFYKAT -VNQVCVLLAKKIDVLLTVDGVNFKSISLTVGEVFGKILGNVFCDGIDVTKLKCSDFYADKILYQYENLSL -ADISAVQSSFGFDQQQLLAYYNFLTVCKWSVVVNGPFFSFEQSHNNCYVNVACLMLQHINLKFNKWQWQE -AWYEFRAGRPHRLVALVLAKGHFKFDEPSDATDFIRVVLKQADLSGAICELELICDCGIKQESRVGVDAV -MHFGTLAKTDLFNGYKIGCNCAGRIVHCTKLNVPFLICSNTPLSKDLPDDVVAANMFMGVGVGHYTHLKC -GSPYQHYDACSVKKYTGVSGCLTDCLYLKNLTQTFTSMLTNYFLDDVEMVAYNPDLSQYYCDNGKYYTKP -IIKAQFKPFAKVDGVYTNFKLVGHDICAQLNDKLGFNVDLPFVEYKVTVWPVATGDVVLASDDLYVKRYF -KGCETFGKPVIWLCHDEASLNSLTYFNKPSFKSENRYSVLSVDSVSEESQGNVVTSVMESQISTKEVKLK -GVRKTVKIEDAIIVNDENSSIKVVKSLSLVDVWDMYLTGCDYVVWVANELSRLVKSPTVREYIRYGIKPI -TIPIDLLCLRDDNQTLLVPKIFKARAIEFYGFLKWLFIYVFSLLHFTNDKTIFYTTEIASKFTFNLFCLA -LKNAFQTFRWSIFIKGFLVVATVFLFWFNFLYINVIFSDFYLPNISVFPIFVGRIVMWIKATFGLVTICD -FYSKLGVGFTSHFCNGSFICELCYSGFDMLDTYAAIDFVQYEVDRRVLFDYVSLVKLIVELVIGYSLYTV -WFYPLFCLIGLQLFTTWLPDLFMLETMHWLIRFIVFVANMLPAFVLLRFYIVVTAMYKVVGFIRHIVYGC -NKAGCLFCYKRNCSVRVKCSTIVGGVIRYYDITANGGTGFCVKHQWNCFNCHSFKPGNTFITVEAAIELS -KELKRPVNPTDASHYVVTDIKQVGCMMRLFYDRDGQRVYDDVDASLFVDINNLLHSKVKVVPNLYVVVVE -SDADRANFLNAVVFYAQSLYRPILLVDKKLITTACNGISVTQTMFDVYVDTFMSHFDVDRKSFNNFVNIA -HASLREGVQLEKVLDTFVGCVRKCCSIDSDVETRFITKSMISAVAAGLEFTDENYNNLVPTYLKSDNIVA -ADLGVLIQNGAKHVQGNVAKAANISCIWFIDTFNQLTADLQHKLKKACVKTGLKLKLTFNKQEASVPILT -TPFSLKGGVVLSNLLYILFFISLICFILLWALLPTYSVYKSDIHLPAYASFKVIDNGVVRDISVNDLCFA -NKFFQFDQWYESTFGSFYYHNSMDCPIVVAVMDEDIGSTMFNVPTKVLRHGFHVLHFLTYAFASDSVQCY -TPHIQISYNDFYASGCVLSSLCTMFKRGDGTPHPYCYSDGVMKNASLYTSLVPHTRYSLANSNGFIRFPD -VISEGIVRIVRTRSMTYCRVGACEYAEEGICFNFNSSWVLNNDYYRSMPGTFCGRDLFDLFYQFFSSLIR -PIDFFSLTASSIFGAILAIVVVLVFYYLIKLKRAFGDYTSVVVINVIVWCINFLMLFVFQVYPICACVYA -CFYFYVTLYFPSEISVIMHLQWIVMYGAIMPFWFCVTYVAMVIANHVLWLFSYCRKIGVNVCNDSTFEET -SLTTFMITKDSYCRLKNSVSDVAYNRYLSLYNKYRYYSGKMDTAAYREAACSQLAKAMETFNHNNGNDVL -YQPPTASVSTSFLQSGIVKMVSPTSKIEPCIVSVTYGSMTLNGLWLDDKVYCPRHVICLSSNMNEPDYSA -LLCRVTLGDFTIMSGRMSLTVVSYQMQGCQLVLTVSLQNPYTPKYTFGVVKPGETFTVLAAYNGRPQGAF -HVTMRSSYTIKGSFLCGSCGSVGYVLTGDSVKFVYMHQLELSTGCHTGTDFTGNFYGPYRDAQVVQLPVK -DYVQTVNVIAWLYAAILNNCAWFVQNDVCSIEDFNVWAMTNGFSQVKADLVLDALASMTGVSIETLLAAI -KRLYMGFQGRQILGSCTFEDELAPSDVYQQLAGVKLQSKTKRFIKETIYWILISTFLFSCIISAFVKWTI -FMYINTHMIGVTLCVLCFVSFMMLLVKHKHFYLTMYIIPVLCTLFYVNYLVVYKEGFRGLTYVWLSYFVP -AVNFTYVYEVFYGCILCVFAIFITMHSINHDIFSLMFLVGRIVTLISMWYFGSNLEEDVLLFITAFLGTY -TWTTILSLAIAKIVANWLSVNIFYFTDVPYIKLILLSYLFIGYILSCYWGFFSLLNSVFRMPMGVYNYKI -SVQELRYMNANGLRPPRNSFEAILLNLKLLGIGGVPVIEVSQIQSKLTDVKCANVVLLNCLQHLHVASNS -RLWQYCSILHNEILSTSDLSVAFDKLAQLLIVLFANPAAVDTKCLASIDEVSDDYVQDSTVLQALQSEFV -NMASFVEYEVAKKNLADAKNSGSVNQQQIKQLEKACNIAKSVYERDKAVARKLERMADLALTNMYKEARI -NDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPALAANTLTIIIPDKQVFDKVVDNVY -VAYAGSVWHIQTVQDADGINKQLTDISVDSNWPLVIIANRYNEVANAVMQNNELMPHKLKIQVVNSGSDM -NCNIPTQCYYNNGSSGRIVYAVLSDVDGLKYTKIIKDDGNCVVLELDPPCKFSIQDVKGLKIKYLYFIKG -CNTLARGWVVGTLSSTIRLQAGVATEYAANSSILSLCAFSVDPKKTYLDYIQQGGVPIINCVKMLCDHAG -TGMAITIKPEATINQDSYGGASVCIYCRARVEHPDVDGLCKLRGKFVQVPLGIKDPILYVLTHDVCQVCG -FWRDGSCSCVGSGVAVQSKDLNFLNRVRGTSVNARLVPCASGLSTDVQLRAFDICNTNRAGIGLYYKVNC -CRFQRIDDDGNKLDKFFVVKRTNLEVYNKEKTYYELTKSCGVVAEHDFFTFDIDGSRVPHIVRKNLSKYT -MLDLCYALRHFDCNDCSVLCEILCEYADCKESYFSKKDWYDFVENPDIINIYKKLGPIFNRALLNTVSFA -DTLVKVGLVGVLTLDNQDLYGQWYDFGDFIQTAPGFGVAVADSYYSYMMPMLTMCHVLDCELFVNDSYRQ -FDLVQYDFTDYKLELFNKYFKYWGMKYHPNTVDCDNDRCIIHCANFNILFSMVLPNTCFGPLVRQIFVDG -VPFVVSIGYHYKELGVVMNLDVDTHRYRLSLKDLLLYAADPAMHVASASALLDLRTCCFSVAAITSGIKF -QTVKPGNFNQDFYEFVKSKGLFKEGSTVDLKHFFFTQDGNAAITDYNYYKYNLPTMVDIKQLLFVLEVVY -KYFEIYDGGCIPASQVIVNNYDKSAGYPFNKFGKARLYYEALSFEEQNEIYAYTKRNVLPTLTQMNLKYA -ISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVVIGTTKFYGGWDDMLRHLIKDVDNPVLMGW -DYPKCDRAMPNILRIVSSLVLARKHEFCCSHGDRFYRLANECAQVLSEIVMCGGCYYVKPGGTSSGDATT -AFANSVFNICQAVTANVCSLMACNGHKIEDLSIRNLQKRLYSNVYRTDYVDYTFVNEYYEFLCKHFSMMI -LSDDGVVCYNSDYASKGYIANISVFQQVLYYQNNVFMSESKCWVENDITNGPHEFCSQHTMLVKIDGDYV -YLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVHHENEEYQKVFRVYLEYIKKLYNDLGN -QILDSYSVILSTCDGLKFTDESFYKNMYLKSAVMQSVGACVVCSSQTSLRCGSCIRKPLLCCKCCYDHVM -ATNHKYVLSVSPYVCNAPNCDVSDVTKLYLGGMSYYCENHKPHYSFKLVMNGMVFGLYKQSCTGSPYIDD -FNKIASCKWTEVDDYVLANECIERLKLFAAETQKATEEAFKQSYASATIQEIVSDREIILCWETGKVKPP -LNKNYVFTGYHFTSTGKTVLGEYVFDKSELTNGVYYRATTTYKLSIGDVFVLTSHSVANLSAPTLVPQEN -YASIRFSSVYSVPLLFQTNVANYQHIGMKRYCTVQGPPGTGKSHLAIGLAVYYYTARVVYTAASHAAVDA -LCEKAYKFLNINDCTRIIPAKVRVDCYDKFKINDTTCKYVFTTINALPELVTDIVVVDEVSMLTNYELSV -INARVKAKHYVYIGDPAQLPAPRVLLSKGSLEPRHFNSITKIMCCLGPDIFLGNCYRCPKEIVETVSALV -YDNKLKAKNDNSSLCFKVYFKGQTTHESSSAVNIQQIYLISKFLKANPVWNSAVFISPYNSQNYVAKRIL -GVQTQTVDSAQGSEYDYVIYSQTAETAHSINVNRFNVAITRAKKGIFCVMSNMQLFESLNFITLPLDKIQ -NQTLSRLHCTTNLFKDCSKNFLGYHPAHAPSFLSVDDKYKVNEDLAVCLNICEPVLTYSRLISLMGFKLD -LTLDGYSKFFITKDEAIKRVRGWVGFDVEGAHATRDNIGTNFPLQIGFSTGVDFVVEATGLFAERDCYIF -KRTVAKAPPGDNFKHLIPLMSKGQKWDVVRIRIVQMLSDYLLDLSDSVVFITWSASFELTCLRYFAKLGR -ELNCDVCPNRATCYNSRTGYYGCWRHSYTCDYVYNPLIVDIQQWGYTGSLTSNHDIICNVHKGAHVASSD -AIMTRCLAIYDCFCKSVNWNLEYPIISNEVSINTSCRLLQRVMLKAAMLCNRYNLCYDIGNPKGIACVKD -YEFKFYDASPVVKSVKQLFYVYDVHKDNFKDGLCMFWNCNVDKYPSNSIVCRFDTRVLNKLNLPGCNGGS -LYVNKHAFHTNPFTRTVFENLKPMPFFYYSDTPCVYVDGLESKQVDYVPLRSATCITRCNLGGAVCSKHA -EDYCKYLESYNVATTAGFTFWVYKTFDFYNLWNTFTMLQSLENVIYNLVNAGHYDGRIGELPCAIMNDKV -VVKINNVDTVIFKNNTSLPTNIAVELFTKRSIRHHPELKILRNLNIDICWKHVLWDYVKDSLFCSSTYGV -CKYTDLNFIENLNVLFDGRDNGALEAFRKARNGVFISTGKLSSLSMIKGPQRADLNGVIVDKVGELNVEF -WFAMRKDGDDVIFSRADSLSPSHYWSPQGNLGGNCAGNASGNDALARFTIFTQSRVLSTFEPRSDLERDF -IDMEDSLFIAKYGLEDYAFDHIVYGSFNYKVIGGLHLLIGLFRRLKKSNLVIQEFLQYDSSIHSYFITDQ -ECGSSKSVCTVIDLLLDDFVVIVKSLNLNCVSKVVNINVDFKDFQFMLWCNDNKIMTFYPKMQATSDWKP -GYSMPVLYKYLNVPLERVSLWNYGKAINLPTGCMMNVAKYTQLCQYLNTTTLAVPVNMRVLHLGAGSDKE -VAPGSAVLRQWLPSGSILVDNDLNPFVSDSLVTYFGDCMTLPFDCHWDLIISDMYDPLTKNIGDYNVSKD -GFFTYICYLIRDKLSLGGSVAIKITEFSWNADLYKLMSYFAFWTVFCTNVNASSSEGFLIGINYLGKSCF -EIDGNVMHANYLFWRNSTTWNGGAYSLFDMSKFSLKLAGTAVVNLRPDQLNDLVYSLIERGKLLVRDTRK -EIFVGDSLVNTC - ->sp|P0C6X0.1|R1AB_CVBQ RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=p28; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; AltName: Full=p210; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; AltName: Full=Peptide HD2; AltName: Full=p44; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=M-PRO; AltName: Full=nsp5; AltName: Full=p27; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; AltName: Full=p10; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; AltName: Full=p22; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; AltName: Full=p12; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; AltName: Full=p15; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; AltName: Full=p100; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; AltName: Full=p67; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; AltName: Full=p35; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MSKINKYGLELHWAPEFPWMFEDAEEKLDNPSSSEVDIVCSTTAQKLETGGICPENHVMVDCRRLLKQEC -CVQSSLIREIVMNTRPYDLEVLLQDALQSREAVLVTPPLGMSLEACYVRGCNPNGWTMGLFRRRSVCNTG -RCAVNKHVAYQLYMIDPAGVCFGAGQFVGWVIPLAFMPVQSRKFIAPWVMYLRKCGEKGAYIKDYKRGGF -EHVYNFKVEDAYDLVHDEPKGKFSKKAYALIRGYRGVKPLLYVDQYGCDYTGGLADGLEAYADKTLQEMK -ALFPIWSQELPFDVTVAWHVVRDPRYVMRLQSASTIRSVAYVANPTEDLCDGSVVIKEPVHVYADDSIIL -RQHNLVDIMSCFYMEADAVVNAFYGVDLKDCGFVMQFGYIDCEQDLCDFKGWVPGNMIDGFACTTCGHVY -ETGDLLAQSSGVLPVNPVLHTKSAAGYGGFGCKDSFTLYGQTVVYFGGCVYWSPARNIWIPILKSSVKSY -DGLVYTGVVGCKAIVKETNLICKALYLDYVQHKCGNLHQRELLGVSDVWHKQLLLNRGVYKPLLENIDYF -NMRRAKFSLETFTVCADGFMPFLLDDLVPRAYYLAVSGQAFCDYAGKICHAVVSKSKELLDVSVDSLGAA -IHYLNSKIVDLAQHFSDFGTSFVSKIVHFFKTFTTSTALAFAWVLFHVLHGAYIVVESDIYFGKNIPRYA -SAVAQAFRSGAKVGLDSLRVTFIDGLSCFKIGRRRICLSGSKIYEVERGLLHSSQLPLDVYDLTMPSQVQ -KTKQKGIYLKGSGSDFSLADSVVEVVTTSLTPCGYSEPPKVADKICIVDNVYMAKAGDKYYPVVVDGHVG -LLDQAWRVPCAGRCVTFKEQPTVNEIASTPKTIKVFYELDKDFNTILNTACGEFEVDDTVDMEEFYAVVI -DAIEEKLSPCKELEGVGAKVSAFLQKLEDNSLFLFDEAGEEVLAPKLYCAFTAPEDDDFLEESGVEEDDV -EGEETDLTVTSAGEPCVASEQEESSEILEDTLDDGPCVETSDSQVEEDVQMSDFGDLESVIQDYENVCFE -FYTTEPEFVKVLDLYVPKATRNNCWLRSVLAVMQKLPCQFKDKNLQDLWVLYKQQYSQLFVDTLVNKIPA -NIVVPQGGYVADFAYWFLTLCDWQCVAYWKCIKCDLALKLKGLDAMFFYGDVVSHVCKCGESMVLIDVDV -PFTAHFALKDKLFCAFITKRSVYKAACVVDVNDSHSMAVVDGKQIDDHRITSITSDKFDFIIGHGTSFSM -TTFEIAQLYGSCITPNVCFVKGDIIKVSKRVKAEVVVNPANGHMAHGGGVAKAIAVAAGQQFVKETTDMV -KSKGVCATGDCYVSTGGKLCKTVLNVVGPDARTQGKQSYALLERVYKHLNKYDCVVTTLISAGIFSVPSD -VSLTYLLGTAKKQVVLVSNNQEDFDLISKCQITAVEGTKKLAERLSFNVGRSIVYETDANKLILSNDVAF -VSTFNVLQDVLSLRHDIALDDDARTFVQSNVDVVPEGWRVVNKFYQINGVRPVKYFECPGGIDICSQDKV -FGYVQQGSFNKATVAQIKALFLDKVDILLTVDGVNFTNRFVPVGESFGKSLGNVFCDGVNVTKHKCDINY -KGKVFFQFDNLSSEDLKAVRSSFNFDQKELLAYYNMLVNCSKWQVVFNGKYFTFKQANNNCFVNVSCLML -QSLNLKFKIVQWQEAWLEFRSGRPARFVSLVLAKGGFKFGDPADSRDFLRVVFSQVDLTGAICDFEIACK -CGVKQEQRTGVDAVMHFGTLSREDLEIGYTVDCSCGKKLIHCVRFDVPFLICSNTPASVKLPKGVGSANI -FKGDKVGHYVHVKCEQSYQLYDASNVKKVTDVTGNLSDCLYLKNLKQTFKSVLTTYYLDDVKKIEYKPDL -SQYYCDGGKYYTQRIIKAQFKTFEKVDGVYTNFKLIGHTVCDILNAKLGFDSSKEFVEYKVTEWPTATGD -VVLATDDLYVKRYERGCITFGKPVIWLSHEQASLNSLTYFNRPLLVDENKFDVLKVDDVDDGGDISESDA -KEPKEINIIKLSGVKKPFKVEDSVIVNDDTSEIKYVKSLSIVDVYDMWLTGCRCVVRTANALSRAVNVPT -IRKFIKFGMTLVSIPIDLLNLREIKPVFNVVKAVRNKISACFNFIKWLFVLLFGWIKISADNKVIYTTEV -ASKLTCKLVALAFKNAFLTFKWSVVARGACIIATIFLLWFNFIYANVIFSDFYLPKIGFLPTFVGKIVQW -IKNTFSLVTICDLYSIQDVGFKNQYCNGSIACQFCLAGFDMLDNYKAIDVVQYEADRRAFVDYTGVLKIV -IELIVSYALYTAWFYPLFALISIQILTTWLPELLMLSTLHWSVRLLVSLANMLPAHVFMRFYIIIASFIK -LFSLFRHVAYGCSKSGCLFCYKRNRSLRVKCSTIVGGMIRYYDVMANGGTGFCSKHQWNCIDCDSYKPGN -TFITVEAALDLSKELKRPIQPTDVAYHTVTDVKQVGCYMRLFYDRDGQRTYDDVNASLFVDYSNLLHSKV -KSVPNMHVVVVENDADKANFLNAAVFYAQSLFRPILMVDKILITTANTGTSVTETMFDVYVDTFLSMFDV -DKKSLNALIATAHSSIKQGTQICKVLDTFLSCARKSCSIDSDVDTKCLADSVMSAVSAGLELTDESCNNL -VPTYLKGDNIVAADLGVLIQNSAKHVQGNVAKIAGVSCIWSVDAFNQLSSDFQHKLKKACCKTGLKLELT -YNKQMANVSVLTTPFSLKGGAVFSYFVYVCFVLSLVCFIGLWCLMPTYTVHKSDFQLPVYASYKVLDNGV -IRDVSVEDVCFANKFEQFDQWYESTFGLSYYSNSMACPIVVAVVDQDFGSTVFNVPTKVLRYGYHVLHFI -THALSADGVQCYTPHSQISYSNFYASGCVLSSACTMFAMADGSPQPYCYTDGLMQNASLYSSLVPHVRYN -LANAKGFIRLPEVLREGLVRIVRTRSMSYCRVGLCEEADEGICFNFNGSWVLNNDYYRSLPGTFCGRDVF -DLIYQLFKGLAQPVDFLALTASSIAGAILAVIVVLGFYYLIKLKRAFGDYTSIVFVNVIVWCVNFMMLFV -FQVYPTLSCVYAICYFYATLYFPSEISVIMHLQWLVMYGTIMPLWFCLLYISVVVSNHAFWVFSYCRQLG -TSVRSDGTFEEMALTTFMITKDSYCKLKNSLSDVAFNRYLSLYNKYRYYSGKMDTAAYREAACSQLAKAM -DTFTNNNGSDVLYQPPTASVSTSFLQSGIVKMVNPTSKVEPCIVSVTYGNMTLNGLWLDDKVYCPRHVIC -SASDMTNPDYTNLLCRVTSSDFTVLFDRLSLTVMSYQMQGCMLVLTVTLQNSRTPKYTFGVVKPGETFTV -LAAYNGKPQGAFHVTMRSSYTIKGSFLCGSCGSVGYVIMGDCVKFVYMHQLELSTGCHTGTDFNGDFYGP -YKDAQVVQLPVQDYIQSVNFVAWLYAAILNNCNWFVQSDKCSVEDFNVWALSNGFSQVKSDLVIDALASM -TGVSLETLLAAIKRLKNGFQGRQIMGSCSFEDELTPSDVYQQLAGIKLQSKRTRLVKGIVCWIMASTFLF -SCIITAFVKWTMFMYVTTNMLSITFCALCVISLAMLLVKHKHLYLTMYIIPVLFTLLYNNYLVVYKQTFR -GYVYAWLSYYVPSVEYTYTDEVIYGMLLLIGMVFVTLRSINHDLFSFIMFVGRVISVVSLWYMGSNLEEE -ILLMLASLFGTYTWTTALSMAAAKVIAKWVAVNVLYFTDIPQIKIVLVCYLFIGYIISCYWGLFSLMNSL -FRMPLGVYNYKISVQELRYMNANGLRPPKNSFEALMLNFKLLGIGGVPIIEVSQFQSKLTDVKCANGGLL -NCLQHLHVASNSKLWQYCSTLHNEILATSDLGVAFEKLAQLLIVLFANPAAVDSKCLTSIEEVCDDYAKD -NTVLQALQSEFVNMASFVEYEVAKKNLDEACSSGSANQQQLKQLEKACNIAKSAYERDRAVARKLERMAD -LALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLNAIPSLAANTLTIIVPD -KSVYDQVVDNVYVTYAGNVWQIQTIQDSDGTNKQLHEISDDCNWPLVIIANRHNEVSATVLQNNELMPAK -LKTQVVNSGPDQTCNTPTQCYYNNSYNGKIVYAILSDVDGLKYTKILKDDGNFVVLELDPPCKFTVQDVK -GLKIKYLYFVKGCNTLARGWVVGTISSTVRLQAGTATEYASNSSILSLCAFSVDPKKTYLDFIQQGGTPI -ANCVKMLCDHAGTGMAITVKPDATTSQDSYGGASVCIYCRARVEHPDVDGLCKLRGKFVQVPVGIKDPVS -YVLTHDVCQVCGFWRDGSCSCVSTDTTVQSKDTNFLNRVRGTSVDARLVPCASGLSTDVQLRAFDICNAS -VAGIGLHLKVNCCRFQRVDENGDKLDQFFVVKRTDLTIYNREMECYERVKDCKFVAEHDFFTFDVEGSRV -PHIVRKDLTKYTMLDLCYALRHFDRNDCMLLCDILSIYAGCEQSYFTKKDWYDFVENPDIINVYKKLGPI -FNRALVSATEFADKLVEVGLVGILTLDNQDLNGKWYDFGDYVIAAPGCGVAIADSYYSYMMPMLTMCHAL -DCELYVNNAYRLFDLVQYDFTDYKLELFNKYFKHWSMPYHPNTVDCQDDRCIIHCANFNILFSMVLPNTC -FGPLVRQIFVDGVPFVVSIGYHYKELGIVMNMDVDTHRYRLSLKDLLLYAADPALHVASASALYDLRTCC -FSVAAITSGVKFQTVKPGNFNQDFYDFILSKGLLKEGSSVDLKHFFFTQDGNAAITDYNYYKYNLPTMVD -IKQLLFVLEVVYKYFEIYDGGCIPAAQVIVNNYDKSAGYPFNKFGKARLYYEALSFEEQDEIYAYTKRNV -LPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVVIGTTKFYGGWDDMLRRL -IKDVDNPVLMGWDYPKCDRAMPNILRIVSSLVLARKHEACCSQSDRFYRLANECAQVLSEIVMCGGCYYV -KPGGTSSGDATTAFANSVFNICQAVSANVCALMSCNGNKIEDLSIRALQKRLYSHVYRSDMVDSTFVTEY -YEFLNKHFSMMILSDDGVVCYNSDYASKGYIANISAFQQVLYYQNNVFMSESKCWVENDINNGPHEFCSQ -HTMLVKMDGDDVYLPYPVPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVYHENEEYQKVFRVYL -EYIKKLYNELGNQILDSYSVILSTCDGQKFTDESFYKNMYLRSAVMQSVGACVVCSSQTSLRCGSCIRKP -LLCCKCCYDHVMATDHKYVLSVSPYVCNAPGCDVNDVTKLYLGGMSYYCEDHKPQYSFKLVMNGMVFGLY -KQSCTGSPYIDDFNRIASCKWTDVDDYILANECTERLKLFAAETQKATEEAFKQSYASATIQEIVSEREL -ILSWEIGKVKPPLNKNYVFTGYHFTKNGKTVLGEYVFDKSELTNGVYYRATTTYKLSVGDVFVLTSHSVA -NLSAPTLVPQENYSSIRFASVYSVLETFQNNVVNYQHIGMKRYCTVQGPPGTGKSHLAIGLAVYYCTARV -VYTAASHAAVDALCEKAYKFLNINDCTRIVPAKVRVECYDKFKINDTTRKYVFTTINALPEMVTDIVVVD -EVSMLTNYELSVINARIRAKHYVYIGDPAQLPAPRVLLSKGTLEPKYFNTVTKLMCCLGPDIFLGTCYRC -PKEIVDTVSALVYENKLKAKNESSSLCFKVYYKGVTTHESSSAVNMQQIYLINKFLKANPLWHKAVFISP -YNSQNFAAKRVLGLQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRFNVAITRAKKGILCVMSNMQLFEA -LQFTTLTVDKVPQAVETRVQCSTNLFKDCSKSYSGYHPAHAPSFLAVDDKYKATGDLAVCLGIGDSAVTY -SRLISLMGFKLDVTLDGYCKLFITKEEAVKRVRAWVGFDAEGAHATRDSIGTNFPLQLGFSTGIDFVVEA -TGLFADRDGYSFKKAVAKAPPGEQFKHLIPLMTRGQRWDVVRPRIVQMFADHLIDLSDCVVLVTWAANFE -LTCLRYFAKVGREISCNVSTKRATAYNSRTGYYGCWRHSVTCDYLYNPLIVDIQQWGYIGSLSSNHDLYC -SVHKGAHVASSDAIMTRCLAVYDCFCNNINWNVEYPIISNELSINTSCRVLQRVMLKAAMLCNRYTLCYD -IGNPKAIACVKDFDFKFYDAQPIVKSVKTLLYFFEAHKDSFKDGLCMFWNCNVDKYPPNAVVCRFDTRVL -NNLNLPGCNGGSLYVNKHAFHTKPFSRAAFEHLKPMPFFYYSDTPCVYMDGMDAKQVDYVPLKSATCITR -CNLGGAVCLKHAEEYREYLESYNTATTAGFTFWVYKTFDFYNLWNTFTKLQSLENVVYNLVKTGHYTGQA -GEMPCAIINDKVVAKIDKEDVVIFINNTTYPTNVAVELFAKRSIRHHPELKLFRNLNIDVCWKHVIWDYA -RESIFCSNTYGVCMYTDLKLIDKLNVLFDGRDNGALEAFKRSNNGVYISTTKVKSLSMIRGPPRAELNGV -VVDKVGDTDCVFYFAVRKEGQDVIFSQFDSLRVSSNQSPQGNLGSNEPGNVGGNDALATSTIFTQSRVIS -SFTCRTDMEKDFIALDQDVFIQKYGLEDYAFEHIVYGNFNQKIIGGLHLLIGLYRRQQTSNLVIQEFVSY -DSSIHSYFITDEKSGGSKSVCTVIDILLDDFVALVKSLNLNCVSKVVNVNVDFKDFQFMLWCNDEKVMTF -YPRLQAASDWKPGYSMPVLYKYLNSPMERVSLWNYGKPVTLPTGCMMNVAKYTQLCQYLNTTTLAVPVNT -RVLHLGAGSEKGVAPGSAVLRQWLPAGTILRQWLPAGTILVHNDLYPFVSDSVATYFGDCITLPFDCQWD -LIISDMYDLLLDIGVHVVRCSYIHCHMIRDKLALGGSVAIKITEFSWNAELYKLMGYFAFWTVFCTNANA -SSSEGFLIGINYLGKPKVEIDGNVMHAIICFGEIPQFGTGVLIACLIWLNSRLSWLVMP - ->sp|P0C6W2.1|R1AB_BCHK3 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MESLVLGVNEKTHVQLSLPVLQVRDVLVRGFGDSVEEALSEAREHLKNGTCGLVELEKGVLPQLEQPYVF -IKRSDALSTNHGHKVVELVAELDGIQFGRSGITLGVLVPHVGETPIAYRNVLLRKNGNKGAGGHSFGIDL -KSYDLGDELGTDPIEDYEQNWNTKHGSGALRELTRELNGGVVTRYVDNNFCGPDGYPLECIKDFLARAGK -SMCTLSEQLDYIESKRGVYCCREHEHEIVWFTERSEKSYEHQTPFEIKSAKKFDTFKGECPKFVFPLNSK -VKVIQPRVEKKKTEGFMGRIRSVYPVATPQECNDMHLSTLMKCNHCDEVSWQTCDFLKATCEQCGTENLV -CEGPTTCGYLPTNAVVKMPCPACQDPEVGPEHSVADYHNHSNIETRLRKGGRTKCFGGCVFSYVGCYNKR -AYWVPRASANIGANHTGITGENVETLNEDLLEILNRERVNINIVGDFRFNEEVAIILASFSASPSAFIET -VKGLDYKSFKVIVESCGNYKVTNGKPVTGAWNIGQQRSILTPLCGFPSQAAGVIRSIFSRTLDAANHSIL -DLQRAAVTTLDGISEQSLRLVDAMVYTSDLLTNSVVVMAYVTGGLVQQTMQWLSNMLGTAVDKLKPVFTW -VEAKLSAGVEFLRDAWEILKFLITGVFDVIKGQIQVATDNIKECVKIFLGVVNKALEMCLDQVTIAGTKL -RALNLGEVFIAQSRGLYRQCIRGKEQLQLLMPLKAPKEVTFLEGDAHDTVLTSEEVVLKSGELEALETPI -DSFTSGAVVGTPVCINGLMLLELENKEQYCALSPGLLATNNVFRLKGGAPVKGVTFGEDTVLEVQGYKNV -KITFELDVRVDKVLNEKCSVYTVESGTEVTEFACVVAEAVVKTLQPVSDLLTPMGIDLDEWSVATFYLFD -DAGEEKLSSRMYCSFYPPDEEEDCEECEDEEETCEHEYGTEDDYKGLPLEFGASTETPHVEEEEEEEDWL -DDAIEAEPEPEPLPEEPVNQFVGYLKLTDNVAIKCIDIVKEAQSAKPTVIVNAANTHLKHGGGVAGALNK -ATNGAMQNESDEYIRQNGPLTVGGSCLLSGHNLAEKCLHVVGPNLNAGEDVQLLKRAYENFNSQDVLLAP -LLSAGIFGAKPLQSLKMCVEIVRTQVYLAVNDKSLYDQIVLDYLDSLKPKVESPNKEEEPKLEEPKAVQP -VAEKPVDVKPKIKACIDEVTTTLEETKFLTNKLLLFADINGKLYQDSQNMLRGEDMSFLEKDAPYIVGDV -ITSGDITCVIIPAKKSGGTTEMLARALKEVPVAEYITTYPGQGCAGYTLEEAKTALKKCKSAFYVLPSET -PNEKEEVLGTVSWNLREMLAHAEETRKLMPICLDVRAIMATIQRKYKGIKVQEGIVDYGVRFFFYTSKEP -VASIITKLNSLNEPLVTMPIGYVTHGLNLEEAARCMRSLKAPAVVSVSSPDAVTAYNGYLTSSSKTPEEY -FVETTSLAGSYRDWSYSGQRTELGVEFLKRGDKIVYHTTGSPIEFHLDGEVLPLDKLKSLLSLREVKTIK -VFTTVDNTNLHTHIVDMSMTYGQQFGPTYLDGADVTKIKPHVNHEGKTFFVLPSDDTLRSEAFEYYHTID -ESFLGRYMSALNHTKKWKFPQVGGLTSIKWADNNCYLSSVLLALQQVEVKFNAPALQEAYYRARAGDAAN -FCALILAYSNKTVGELGDVRETMTHLLQHANLESAKRVLNVVCKHCGQKTTTLKGVEAVMYMGTLSYDEL -KTGVSIPCVCGRNATQYLVQQESSFVMMSAPPAEYKLQQGAFLCANEYTGNYQCGHYTHITAKETLYRVD -GAHLTKMSEYKGPVTDVFYKETSYTTAIKPVSYKLDGVTYTEIEPKLDGYYKKGNAYYTEQPIDLVPTQP -MPNASFDNFKLTCSNTKFADDLNQMTGFKKPASRELTVTFFPDLNGDVVAIDYRHYSTSFKKGAKLVHKP -ILWHINQTTNKTTYKPNIWCLRCLWSTKPVDTSNSFEVLVVEDTQGMDNLACESQTTTSEEVVENPTVQK -EIIECDVKTTEVVGNVILKPSEEGVKVTQELGHEDLMAAYVEETSITIKKPNELSLALGLKTLATHGAAA -INSVPWSKILAYVKPFLGQTAVITSNCIKKCVQRVFSNYMPYVITLLFQLCTFTKSTNSRIKASLPTTIA -KNSVKSVAKLCLDVCINYVKSPKFSKLFTIVMWLLLLSICLGSLTYVTAVLGVCLSSLGVPSYCDGVREL -YINSSNVTTMDFCQGYFPCSVCLSGLDSLDSYPALETIQVTISSYKLDLTFLGLAAEWLLAYMLFTKFFY -LLGLSAIMQAFFGYFASHFISNSWLMWFIISIVQMAPVSAMVRMYIFFASFYYVWKSYVHIMDGCTSSTC -MMCYKRNRATRVECTTIVNGVKRSFYVYANGGRGFCKAHNWNCLNCDTFCAGSTFISDEVARDLSLQFKR -PINPTDQSAYVVDSVTVKNGALHLYFDKAGQKTYERHPLSHFVNLDNLRANNTKGSLPINVIVFDGKSKC -EESAAKSASVYYSQLMCQPILLLDQALVSDVGDSTEVSVKMFDAYVDTFSATFSVPMEKLKALVATAHSE -LAKGVALDGVLSTFVSAARQGVVDTDVDTKDVIECLKLSHHSDIEVTGDSCNNFMLTYNKVENMTPRDLG -ACIDCNARHINAQVAKSHNVSLVWNVKDYMSLSEQLRKQIRSAAKKNNIPFRLTCATTRQVVNVITTKIS -LKGGKVVSTWFKLLLKVTLLCVLAALFCYVIMPVHSLSVHDGYTNEIIGYKAIQDGVTRDIVSTDDCFAN -KHAGFDSWFSQRGGSYRNDKNCPVVAAIITREIGFIVPGLPGTVLRALNGDFLHFLPRVFSAVGNICYTP -SKLIEYSDFATSACVLAAECTIFKDAMGKPVPYCYDTNLLEGSISYSELRPDTRYVLMDGSIIQFPNTYL -EGSVRVVTTFDAEYCRHGTCERSEVGVCLSTSGRWVLNNEHYRALPGVFCGVDAMNLIANIFTPLVQPVG -ALDVSASVVAGGIIAILVTCAAYYFMKFRRAFGEYNHVVAANALLFLMSFTILCLAPAYSFLPGVYSIFY -LYLTFYFTNDVSFLAHLQWFAMFSPIVPFWITAIYVFCISLKHFHWFFSNYLKKRVMFNGVTFSTFEEAA -LCTFLLNKEMYLRLRSETLLPLTQYNRYLALYNKYKYFSGALDTTSYREAACCHLAKALNDFSNSGADVL -YQPPQTSITSAVLQSGFRKMAFPSGKVEGCMVQVTCGTTTLNGLWLDDTVYCPRHVVCTAEDMLNPNYDD -LLIRKSNHSFLVQAGNVQLRVIGHSMQNCLLRLKVDTSNPKTPKYKFVRIQPGQTFSVLACYNGSPSGVY -QCAMRPNHTIKGSFLNGSCGSVGFNIDYDCVSFCYMHHMELPTGVHAGTDLEGKFYGPFVDRQTAQAAGT -DTTITLNVLAWLYAAVINGDRWFLNRFTTTLNDFNLVAMKYNYEPLTQDHVDILGPLSAQTGIAVLDMCA -ALKELLQNGMNGRTILGSTILEDEFTPFDVVRQCSGVTFQGKFKKIVKGTHHWMLLTFLTSLLILVQSTQ -WSLFFFVYENAFLPFALGIMAVAACAMLLVKHKHAFLCLFLLPSLATVAYFNMVYMPASWVMRIMTWLEL -ADTSLSGYRLKDCVMYASALVLLILMTARTVYDDAARRVWTLMNVITLVYKVYYGNSLDQAISMWALVIS -VTSNYSGVVTTIMFLARAIVFVCVEYYPLLFITGNTLQCIMLVYCFLGYCCCCYFGLFCLLNRYFRLTLG -VYDYLVSTQEFRYMNSQGLLPPKSSIDAFKLNIKLLGIGGKPCIKVATVQSKMSDVKCTSVVLLSVLQQL -RVESSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLSMQGAVDINKLCEEMLDNRATLQAIASEFS -SLPSYAAYATAQEAYEQAVSNGDSEVVLKKLKKSLNVAKSEFDHDAAMQRKLEKMADQAMTQMYKQARSE -DKRAKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLNIIPLTTAAKLMVVVPDYGTYKNTCDGNTF -TYASALWEIQQVVDADSKIVQLSEINMDNSPNLAWPLIVTALRANSAVKLQNNELSPVALRQMSCAAGTT -QTACTDDNALAYYNNAKGGRFVLALLSDHQDLKWARFPKSDGTGTIYTELEPPCRFVTDTPKGPKVKYLY -FIKGLNNLNRGMVLGSLAATVRLQAGNATEVPANSTVLSFCAFAVDPAKAYKDYLASGGQPITNCVKMLC -THTGTGQAITVTPEANMDQESFGGASCCLYCRCHIDHPNPKGFCDLKGKYVQIPTTCANDPVGFTLRNTV -CTVCGMWKGYGCSCDQLREPMMQSADASTFLNRVCGVSAARLTPCGTGTSTDVVYRAFDIYNEKVAGFAK -FLKTNCCRFQEKDEEGNLLDSYFVVKRHTMSNYQHEETIYNLIKECPAVAVHDFFKFRVDGDMVPHISRQ -RLTKYTMADLVYALRHFDEGNCDTLKEILVTYNCCDDNYFNKKDWYDFVENPDVLRVYANLGERVRRALL -KTVQFCDAMRDAGIVGVLTLDNQDLNGNWYDFGDFVQVAPGCGVPIVDSYYSLLMPILTLTKALAAESHM -DADLAKPLVKWDLLKYDFTEERLCLFDRYFKYWDQTYHPNCINCLDDRCILHCANFNVLFSTVFPPTSFG -PLVRKIFVDGVPFVVSTGYHFRELGVVHNQDVNLHSSRLSFKELLVYAADPAMHAASGNLLLDKRTTCFS -VAALTNNVAFQTVKPGNFNKDFYDFAVSKGFFKEGSSVELKHFFFAQDGNAAISDYDYYRYNLPTMCDIR -QLLFVVEVVDKYFDCYDGGCINANQVIVNNLDKSAGFPFNKWGKARLYYDSMSYEDQDALFAYTKRNVIP -TITQMNLKYAISAKNRARTVAGVSICSTMTNRQFHQKLLKSIAATRGATVVIGTSKFYGGWHNMLKTVYS -DVESPHLMGWDYPKCDRAMPNMLRIMASLILARKHSTCCNLSHRFYRLANECAQVLSEMVMCGGSLYVKP -GGTSSGDATTAYANSVFNICQAVTANVNALLSTDGNKIADKYVRNLQHRLYECLYRNRDVDHEFVDEFYA -YLRKHFSMMILSDDAVVCYNSNYAAQGLVASIKNFKAVLYYQNNVFMSEAKCWTETDLTRGPHEFCSQHT -MLVKQGDDYVYLPYPDPSRILGAGCFVDDIVKTDGTLMIERFVSLAIDAYPLTKHPNQEYADVFHLYLQY -IRKLHDELTGHMLDMYSVMLTNDNTSRYWEPEFYEAMYTPHTVLQAVGACVLCNSQTSLRCGACIRRPFL -CCKCCYDHVISTSHKLVLSVNPYVCNAPGCDVTDVTQLYLGGMSYYCKSHKPPISFPLCANGQVFGLYKN -TCVGSDNVTDFNAIATCDWTNAGDYILANTCTERLKLFAAETLKATEETFKLSYGIATVREVLSDRELYL -SWEVGKPRPPLNRNYVFTGYRVTKNSKVQIGEYTFEKGDYGDAVVYRGTTTYKLNVGDYFVLTSHTVMPL -SAPTLVPQEHYVRITGLYPTLNISNEFSSNVANYQKIGMQKYSTLQGPPGTGKSHFAIGLALYYPSARIV -YTACSHAAVDALCEKALKYLPIDKCSRIIPARARVECFDKFKVNSTLEQYVFCTVNALPETTADIVVFDE -ISMATNYDLSVVNARLRAKHYVYIGDPAQLPAPRTLLTKGTLEPEYFNSVCRLMKTIGPDMFLGTCRRCP -AEIVDTVSALVYDNKLKAHKEKSAQCFKMYYKGVITHDVSSAINRPQIGVVREFLTRNPAWRKAVFISPY -NSQNAVASKILGLPTQTVDSSQGSEYDYVIFTQTTETAHSCNVNRFNVAITRAKIGILCIMSDRDLYDKL -QFTSLEVPRRNVATLQAENVTGLFKDCSKIITGLHPTQAPTHLSVDTKFKTEGLCVDIPGIPKDMTYRRL -ISMMGFKMNYQVNGYPNMFITREEAIRHVRAWIGFDVEGCHATRDAVGTNLPLQLGFSTGVNLVAVPTGY -VDTENSTEFTRVNAKPPPGDQFKHLIPLMYKGLPWNVVRIKIVQMLSDTLKGLSDRVVFVLWAHGFELTS -MKYFVKIGPERTCCLCDKRATCFSTSSDTYACWNHSVGFDYVYNPFMIDVQQWGFTGNLQSNHDQHCQVH -GNAHVASCDAIMTRCLAVHECFVKRVDWSVEYPIIGDELKINAACRKVQHMVVKSALLADKFTVLHDIGN -PKAIRCVPQAEVDWKFYDAQPCSDKAYKIEELFYSYATHHDKFTDGVCLFWNCNVDRYPANAIVCRFDTR -VLSNLNLPGCDGGSLYVNKHAFHTPAFDKSAFTHLKQLPFFYYSDSPCESHGKQVVSDIDYVPLKSATCI -TRCNLGGAVCRHHANEYRQYLDAYNMMISAGFSLWIYKQFDTYNLWNTFTKLQSLENVAYNVVNKGHFDG -QSGEAPVSIINNAVYTKVDGIDVEIFENKTTLPVNVAFELWAKRNIKPVPEIKILNNLGVDIAANNVIWD -YKREAPAHVSTIGVCTMTDIAKKPTESACSSLIVLFDGRVEGQVDFFRNARNGVLITEGSVKGLTPSKGP -AQASVNGVTLIGESVKTQFNYFKKVDGIIQQLPETYFTQSRDLEDFKPRSQMETDFLELAMDEFIQRYKL -EGYAFEHIVYGDFSHGQLGGLHLMIGLAKRSQDSLLKLEDFIPMDSTVKNYFITDAQTGSSKCVCSVIDL -LLDDFVEIIKSQDLSVVSKVVKVTIDYAEISFMLWCKDGHVETFYPKLQASQAWQPGVAMPNLYKMQRML -LEKCDLQNYGENAVIPKGIMMNVAKYTQLCQYLNTLTLAVPYNMRVIHFGAGSDKGVAPGTAVLRQWLPT -GTLLVDSDLNDFVSDADSTLIGDCATVHTANKWDLIISDMYDPKTKHVLKDNDSKEGFFTYLCGFIKQKL -ALGGSVAVKITEHSWNADLYKLMGHFSWWTAFVTNVNASSSEAFLIGVNYLGKPKEQIDGYTMHANYIFW -RNTNPIQLSSYSLFDMSKFPLKLRGTAVMSLKENQINDMIYSLLEKGRLIIRENNRVVVSSDILVNN - ->sp|P0C6V9.1|R1AB_BC279 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MESLALGVSEKTHVQLSLPVLQVRDVLVRGFGDSVEEALAEAREHLKNGTCGLVELEKGVLPQLEQPYVF -IKRSDAQGTNHGYKVVELVAELDGIQYGRSGTTLGVLVPHVGETPVAYRNVLLRKNGNKGAGGHSYGIDL -KSYDLGVELGTDPIEDYEQNWNTKHGGGVLRELIRELNGGAFTRYVDNNFCGPDGYPLECIKDLLARAGK -SMCTLSEQLDYIESKRGVYCCREHEHEIVWFTERSEKSYERQTPFEIKSAKKFDTFKGECPKFVFPLNSK -VKVIQPRVEKKKTEGFMGRIRSVYPVATPQECNDMHLSTLMKCNHCDEVSWQTCDFLKATCEQCGTENLV -CEGPTTCGYLPANAVVKMPCPACQDPEVGPEHSVADYHNHSNIETRLRKGGRTKCFGGCVFAYVGCYNKR -AYWVPRASANIGASHTGITGDNVETLNEDLMEILNRDRVNINIVGDFHLNEEVAIILASFSASTCAFVDT -VKGLDYKTFKDIVESCGNFKVTRGRAKKGAWNIGQEKSILTPLYGFPSQAAGVIRSIFTRALDTANHSIP -DLQRAAITILDGISEQSLRLIDAMVYTSDLLTNSVIVMAYVTGGLVQQITQWLSNMLGTTVDKLKPVFTW -VEAKLSAGIEFLRDAWEILKFLVTGVFDIVKGQIQVASDNLKECVKAFLDVLNKALEMCIDQVIIAGAKL -RTLNLGEVFIAQSKGLYRQCIRGKEQLQLLMPLRAPKEVTFFEGDSHDTVFTSEEVVLKNGELEALETPV -DSFTNGAVIGTPVCVNGLMLLELKDKEQYCALSPGLLATNNVFSLKGGAPVKGVTFGEDTVLEVQGYKNV -KITFELDERVDKVLNEKCSVYTVESGTEVTEFACVVAEAVVKTLQPVSDLLTNMGIDLDEWSVATFYLFD -DAGEEKLSSRMYCSFYPPDEEEDCEEYEDEEEIPEETCEHEYGTEDDYKGLPLEFGASTEIQQVDEEEEE -DWLEEAIAAKPEPEPLPEEPVNQFTGYLKLTDNVAIKCVDIVKEAQHAKPTVIVNAANVHLKHGGGVAGA -LNKATNGAMQQESDDYIKKNGPLTVGGSCLLSGHNLAKKCMHVVGPNLNAGEDVQLLKAAYANFNSQDVL -LAPLLSAGIFGAKPLQSLKMCVETVRTQVYFAVNDQDLYDHVVLGYLDSLKPKVETPTQENLELKEQPAV -ETLTQENLELEELPVIEKPVDVKFKARIEEVNTSLEETKFLTSRLLLFADINGKLYQDSQNMLRGEDMFF -LEKDAPYIVGDVISSGDITCVIIPAKKAGGTTEMLAKALKKVPVSEYITTYPGQGCAGYTLEEAKTALRK -CKSVFYVLPSKTPNDKEEILGTVSWNLREMLAHAEETRKLMLICMDVKALMSTIHRRYKGIKVQEGIVDY -GVRFFFYTSKEPVASIITKLNLLNEPLVTMPIGYVTHGLNLEEAARCMRSLKAPAVVSVSSPDAVTTYNG -YLTSSSKTSEEHFIETVSLAGMYRDWSYSGQRTELGVEFLKRGDKVVYHTVGSPIQFHLDGEVLLLDKLK -SLLSLREVRTIKVFTTVDNTNLHTQIVDMSMTYGQQFGPTYLDGADVTKIKPHAKHEGKTFFVLPSDDTL -RSEAFEYYHTLDESFLGRYMSALNHTKKWKFPQIGGLTSIKWADNNCYLSSVLLALQQIEVKFNAPALQE -AYYRARAGDAANFCALILAYSNRTVGELGDVRETMTHLLQHANLESAKRVLNVVCKTCGQKSTTLTGVEA -VMYMGTLSYEELKTGVTIPCICGRDATQYLVQQESSFVMMSAPPSEYTLQQGAFLCANEYTGSYQCGHYT -HVTVKETLYRIDGAYLTKMSEYKGPVTDVFYKEISYTTTIKPVSYKLDGVIYTEIQPKLDEYYKKDNAYY -TEQPIDLVPTQPLPNASFDNFKLTCSNTKFADDLNQMTGFKKPASRELSVTFFPDLNGDVVAIDYRHYSA -SFKKGAKLLHKPIIWHINQTTNKTTYKPNTWCLRCLWSTKPVETSNSFEVLEVEDTQGMDNLACESQTPT -SEEVVENPTIQKEVIECDVKTIEVVGNVILKPSEEGVKVTQELGHEDLMAAYVEETSITIKKPNELSLAL -GLRTLATHGAAAINSVPWSKILAYVKPFLGQAAVTTTNCIKRCVQRVFNNYMPYVITLLFQLCTFTRSTN -SRIRASLPTTIAKNSVKSVAKLCLDVCINYVKSPKFSKLFTIAMWLLLLSICLGSLIYVTAAFGVLLSNL -GIPSYCDGVRESYVNSSNVTTMDFCEGSFLCSVCLNGLDSLDSYPALETIQVTISSYKLDLTSLGLAAEW -FLAYMLFTKFFYLLGLSAIMQVFFGYFASHFISNSWLMWFIISIVQMAPVSAMVRMYIFFAFCYYVWKSY -VHIMDGCTSSTCMMCYKRNRATRVECTTIVNGMKRSFYVYANGGRGFCKAHNWNCLNCDTFCAGSTFISD -EVARDLSLQFKRPINPTDQSSYVVDSVAVKNGALHLYFDKAGQKTYERHPLSHFVNLDNLRANNTKGSLP -INVIVFDGKSKCDESAAKSASVYYSQLMCQPILLLDQALVSDVGDSTEVSVKMFDAYVDTFSATFSVPME -KLKALVATAHSELAKGVALDGVLSTFVSAARQGVVDTDVDTKDVIECLKLSHHSDLEVTGDSCNNFMLTY -NKVENMTPRDLGACIDCNARHINAQVAKSHNVSLIWNVKDYMSLSEQLRKQIRSAAKKNNIPFRLTCATT -RQVVNAITTKISLKGGKIVSTWFKLMLKATLLCVLAALFCYIIMPVHSLSVHDGYTNEIIGYKAIQDGVT -RDIMATDDCFANKHAGFDSWFSQRGGSYRNDKSCPVVAAIITREIGFIVPGLPGTVLRAINGDFLHFLPR -VFSAVGNICYTPSKLIEYSDFATSACVLAAECTIFKDAMGKPVPYCYDTNLLEGSISYSELRPDTRYVLM -DGSIIQFPNTYLEGSVRVVTTFDAEYCRHGTCERSEAGVCLSTSGRWVLNNEHYRALPGVFCGVDAMNLI -ANIFTPLVQPVGALDVSASVVAGGIIAILVTCAAYYFMKFRRAFGEYNHVVAANALLFLMSFTILCLAPA -YSFLPGVYSIFYLYLTFYFTNDVSFLAHLQWFAMFSPIVPFWITAIYVFCISLKHCHWFFNNYLRKRVMF -NGVTFSTFEEAALCTFLLNKEMYLKLRSETLLPLTQYNRYLALYNKYKYFSGALDTTSYREAACCHLAKA -LNDFSNSGADVLYQPPQTSITSAVLQSGFRKMAFPSGKVEGCMVQVTCGTTTLNGLWLDDTVYCPRHVIC -TAEDMLNPNYEDLLIRKSNHSFLVQAGNVQLRVIGHSMQNCLLRLKVDTSNPKTPKYKFVRIQPGQTFSV -LACYNGSPSGVYQCAMRPNYTIKGSFLNGSCGSVGFNIDYDCVSFCYMHHMELPTGVHAGTDLEGKFYGP -FVDRQTAQAAGTDTTITLNVLAWLYAAVINGDRWFLNRFTTTLNDFNLVAMKYNYEPLTQDHVDILGPLS -AQTGIAVLDMCAALKELLQNGMNGRTILGSTILEDEFTPFDVVRQCSGVTFQGKFKKIVKGTHHWMLLTF -LTSLLILVQSTQWSLFFFVYENAFLPFTLGIMAIAACAMLLVKHKHAFLCLFLLPSLATVAYFNMVYMPA -SWVMRIMTWLELADTSLSGYRLKDCVMYASALVLLVLMTARTVYDDAARRVWTLMNVITLVYKVYYGNSL -DQAISMWALVISVTSNYSGVVTTIMFLARAIVFVCVEYYPLLFITGNTLQCIMLVYCFLGYCCCCYFGLF -CLLNRYFRLTLGVYDYLVSTQEFRYMNSQGLLPPKSSIDAFKLNIKLLGIGGKPCIKVATVQSKMSDVKC -TSVVLLSVLQQLRVESSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLSMQGAVDINKLCEEMLDN -RATLQAIASEFSSLPSYAAYATAQEAYEQAVANGDSEVVLKKLKKSLNVAKSEFDRDAAMQRKLEKMADQ -AMTQMYKQARSEDKRAKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLNIIPLTTAAKLMVVVPDY -GTYKNTCDGNTFTYASALWEIQQVVDADSKIVQLSEINMDNSQNLAWPLIVTALRANSAVKLQNNELSPV -ALRQMSCAAGTTQTACTDDNALAYYNNSKGGRFVLALLSDHQDLKWARFPKSDGTGTIYTELEPPCRFVT -DTPRGPKVKYLYFIKGLNNLNRGMVLGSLAATVRLQAGNATEVPANSAVLSFCAFAVDPAKAYKDYLASG -GQPITNCVKMLCTHTGTGQAITVTPEANMDQESFGGASCCLYCRCHIDHPNPKGFCDLKGKYVQIPATCA -NDPVGFTLKNTVCTVCGTWKGYGCSCDQLREPMMQSADASTFLNRVCGVSAARLTPCGTGTSTDVVYRAF -DIYNERVAGFAKFLKTNCCRFQEKDEEGNLLDSYFVVKRHTMSNYQHEETIYNLVKECPAVAVHDFFKFR -VDGDMVPHISRQRLTKYTMADLVYALRHFDEGNCDTLKEILVTYNCCDDDYFNKKDWYDFVENPDILRVY -ANLGERVRQALLKTVQFCDAMRDAGIVGVLTLDNQDLNGNWYDFGDFVQVAPGCGVPIVDSYYSLLMPIL -TLTKALAAESHMDADLAKPLIKWDLLKYDFTEERLCLFDRYFKYWDQTYHPNCINCLDDRCILHCANFNV -LFSTVFPPTSFGPLVRKIFVDGVPFVVSTGYHFRELGVVHNQDVNLHSSRLSFKELLVYAADPAMHAASG -NLLLDKRTTCFSVAALTNNVAFQTVKPGNFNKDFYDFAVSKGFFKEGSSVELKHFFFAQDGNAAISDYDY -YRYNLPTMCDIRQLLFVVEVVDKYFDCYDGGCINANQVIVNNLDKSAGFPFNKWGKARLYYDSMSYEDQD -VLFAYTKRNVIPTITQMNLKYAISAKNRARTVAGVSICSTMTNRQFHQKLLKSIAATRGATVVIGTSKFY -GGWHNMLKTVYSDVETPHLMGWDYPKCDRAMPNMLRIMASLVLARKHSTCCNLSHRFYRLANECAQVLSE -MVMCGGSLYVKPGGTSSGDATTAYANSVFNICQAVTANVNALLSTDGNKIADKYVRNLQHRLYECLYRNR -DVDHEFVDEFYAYLRKHFSMMILSDDAVVCYNSNYAAQGLVASIKNFKAVHYYQNNVFMSEAKCWTETDL -TKGPHEFCSQHTMLVKQGDDYVYLPYPDPSRILGAGCFVDDIVKTDGTLMIERFVSLAIDAYPLTKHPNQ -EYADVFHLYLQYIRKLHDELTGHMLDMYSVMLTNDNTSRYWEPEFYEAMYTPHTVLQAVGACVLCNSQTS -LRCGACIRRPFLCCKCCYDHVISTSHKLVLSVNPYVCNAPGCDVTDVTQLYLGGMSYYCKLHKPPISFPL -CANGQVFGLYKNTCVGSDNVTDFNAIATCDWTNAGDYILANTCTERLKLFAAETLKATEETFKLSYGIAT -VREVLSDRELHLSWEVGKPRPPLNRNYVFTGYRVTKNSKVQIGEYTFEKGDYGDAVVYRGTTTYKLNVGD -YFVLTSHTVMPLSAPTLVPQEHYVRITGLYPTLNISDEFSSNVANYQKVGMQKYSTLQGPPGTGKSHFAI -GLALYYPSARIVYTACSHAAVDALCEKALKYLPIDKCSRIIPARARVECFDKFKVNSTLEQYVFCTVNAL -PETTADIVVFDEISMATNYDLSVVNARLRAKHYVYIGDPAQLPAPRTLLTKGTLEPEYFNSVCRLMKTIG -PDMFLGTCRRCPAEIVDTVSALVYDNKLKAHKEKSAQCFKMFYKGVITHDVSSAINRPQIGVVREFLTRN -PAWRKAVFISPYNSQNAVASKILGLPTQTVDSSQGSEYDYVIFTQTTETAHSCNVNRFNVAITRAKIGIL -CIMSDRDLYDKLQFTSLEVPRRNVATLQAENVTGLFKDCSKIITGLHPTQAPTHLSVDTKFKTEGLCVDI -PGIPKDMTYRRLISMMGFKMNYQVNGYPNMFITREEAIRHVRAWIGFDVEGCHATRDAVGTNLPLQLGFS -TGVNLVAVPTGYVDTENNTEFTRVNAKPPPGDQFKHLIPLMYKGLPWNVVRIKIVQMLSDTLKGLSDRVV -FVLWAHGFELTSMKYFVKIGPERTCCLCDRRATCFSTSSDTYACWNHSVGFDYVYNPFMIDVQQWGFTGN -LQSNHDQHCQVHGNAHVASCDAIMTRCLAVHECFVKRVDWSVEYPIIGDELKINAACRKVQHMVVKSALL -ADKFSVLHDIGNPKAIKCVPQAEVDWKFYDAQPCSDKAYKIEELFYSYATHHDKFTDGVCLFWNCNVDRY -PANAIVCRFDTRVLSNLNLPGCDGGSLYVNKHAFHTPAFDKSAFTYLKQLPFFYYSDSPCESHGKQVVSD -IDYVPLKSATCITRCNLGGAVCRRHANEYRQYLDAYNMMISAGFSLWIYKQFDTYNLWNTFTRLQSLENV -AYNVVNKGHFDGQIGEAPVSIINNAVYTKVDGNDVEIFENKTTLPVNVAFELWAKRNIKPVPEIKILNNL -GVDIAANTVIWDYKREAPAHVSTIGVCTMTDIAKKPTESACSSLTVLFDGRVEGQVDLFRNARNGVLITE -GSVKGLTPSKGPAQASVNGVTLIGESVKTQFNYFKKVDGIIQQLPETYFTQSRDLEDFKPRSKMETDFLE -LAMDEFIQRYKLEGYAFEHIVYGDFSHGQLGGLHLMIGLAKRSQDSPLKLEDFIPTDSTVKNYFITDAQT -GSSKCVCSVIDLLLDDFVEIIKSQDLSVISKVVKVTIDYAEISFMLWCKDGHVETFYPKLQASQAWQPGV -AMPNLYKMQRMLLEKCDLQNYGENAVIPKGIMMNVAKYTQLCQYLNTLTLAVPYNMRVIHFGAGSDKGVA -PGTAVLRQWLPTGALLVDSDLNDFVSDADSTLIGDCATVHTANKWDLIISDMYDPKTKHVTKENDSKEGF -FTYLCGFIKQKLALGGSVAVKITEHSWNADLYKLMGHFSWWTAFVTNVNASSSEAFLIGVNYLGKLREQI -DGYTMHANYIFWRNTNPIQLSSYSLFDMSKFPLKLRGTAVMSLKENQINDMIYSLLENGRLIIRENNRVV -VSSDILVNN - ->sp|P0C6W1.1|R1AB_BC133 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MLSKAGVTTQGARGKYRAELYNEKRSDHVACTVPLCDTEDMASKLTPWFEDGETAFNQVSSILKEKGKIL -FVPMHMQRAMKFLPGPRVYLVERLTGGMLSKHFLVNQLAYKDHVGAAMMRTTLNVKPLGMFFPYDSSLET -GEHTFLLRKNGLGGQLFRERPWDRKETPYVEILDDLEADPTGKYSQNLLKKLIGGDCIPVDQYMCGKNGK -PIADYAKIVAKEGLTTLADIEVDVKSRMDSDRFIVLNKKLYRVVWNVTRRNVPYSKQTAFTVVSVIQCDD -KESVPEHTFTIGSQILMVSPLKATNNKNFNLKQRLLHTFYGKEAVQQPGYIYHSAYVDCNACGRGTWCTG -NAIQGFACDCGANYSANDVDLQSSGLVPKNALFLANCPCANNGACSHNAAQVYSILDGKACVEVGGKSFT -LTFGGVVYAYMGCCDGTMYFVPRAKSCVSRIGDAIFTGCTGTWDKVVETANLFLEKAQHSLNFCQQFALT -EVVLAILSGTTSTFEELRDLCHNASYEKVRDHLVNHGFVVTIGDYIRDAINIGANGVCNATINAPFIAFT -GLGESFKKVAAIPWKICSNLKSALDYYCSNIMFRVFPYDIPCDVNDFVELLLDCGKLTVATSYFVLRYLD -EKFDTVLGTVSNACQTALSSFLNACVAASRATAGFISDMFKLFKVLMHKLYVYTSCGYVAVAEHSSKIVQ -QVLDIMSKAMKLLHTNVSWAGTKLSAIIYEGREALLFNSGTYFCLSTKAKTLQDQMNLVLPGDYNKKTLG -ILDPVPNADTIDVTANSTVVDVVHGQLEPTNEHGPSMIVGNYVLVSDKLFVRTDDEEFYPLCINGKVVST -LFRLKGGMPSKKVTFGDVNTVEVTAYRSVSITYDIHPVLDALLSSSKLATFTVEKDLLVEDFVDVIKDEV -LTLLTPLLRGYDIDGFDVEDFIDVPCYVYNQDGDCAWSSNMTFSINPVEDVEEVEEFIEDDYLSDELPIA -DDEEAWTRAVEEVMPLDDILVAEIELEEDLPLETALESVEAEVGESISDELCVVETAKAQEPSVESTDST -PSTSTVVSENDLSVKPMSRVAETGDVLEVETAVVGGPVSDVTASVVTNDIVSVEQAQQCGVSSLPIQDEA -SENQVHQVPDLQCTSETKVEIVQPRQDLRPRRLRKSKVDLSKYKHTVINNSVTLVLGDAIQIASLLPKCV -LVNAANRHLKHGGGIAGAINKASGGDVQEESDEYISNSGPLHVGDSVLLKGYGLADAILRVVGPDARNNE -DAALLKRCYKTFNKHTIVVTPLISSGIFSVDPKVSFEYLLANVTTTTYVVVNNEDIYNTLATPSKPDGLV -YSFEGWRGTVRTAKNYGFTCFICTEYSANVKFLRTKGVDTTKKIQTVDGVSYYLYSARDALTDVIAAANG -CPGICAMPFGYVTHGLDLAQSGNYVRQVKVPYVCLLASKEQIPIMNSDVAIQTPETAFINNVTSNGGYHS -WHLVSGDLIVKDVCYKKLLHWSGQTICYADNKFYVVKNDVALPFSDLEACRAYLTSRAAQQVNIEVLVTI -DGVNFRTVILNDATTFRKQLGATFYKGVDISDALPTVKMGGESLFVADNLSESEEVVLKEYYGTSDVTFL -QRYYSLQPLVQQWKFVVHDGVKSLKLSNYNCYINATIMMIDMLHDIKFVVPALQNAYLRYKGGDPYDFLA -LIMAYGDCTFDNPDDEAKLLHTLLAKAELTVSAKMVWREWCTVCGIRDIEYTGMRACVYAGVNSMEELQS -VFNETCVCGSVKHRQLVEHSTPWLLVSGLNEVKVSTSTDPVYRAFNVFQGVETSVGHYVHVRVKDGLFYK -YDSGSLTKTSDMKCKMTSVWYPKVRYTADCNVVVYDLDGVTKVEVNPDLSNYYMKDGKYYTSKPTIKYSP -ATILPGSVYSNSCLVGVDGTPGSDTISKFFNDLLGFDETKPISKKLTYSLLPNEDGDVLLSEFNNYNPVY -KKGVMLKGKPILWVNNGVCDSALNKPNRASLRQLYDVAPIVLDNKYTVLQDNTSQLIEPNVPVVEDVSIT -TRKLIEVKCKGLNKPFVKGNFSFVNDPNGVTVVDTLGLTELRALYVDINTRYIVLRDNNWSSLFKLHTVE -SGDLQIVANGGSVTRRARVLLGASSLFASFAKITVTATTAACKTAGRSFCKFVVNYGVLQNMFLFLKMLF -FLPFNYLWPKKQPTVDVGVSGLRTAGVVTTNIVKQCGTAAYYMLLGKFKRVDWKATLRLFLLLCTTILLL -SSIYHLVIFNQVLSSDVMLEDATGILAMYKEVRSYLGIRTLCDGLAVEYRNTSFDVVDFCSNRSVLCQWC -LIGQDSLTRYSALQMLQTHITSYVLNIDWIWFALEFFLAYVLYTSSFNVLLLVVTAQYFFAYTSAFVNWR -AYNYIVSGLFFLVTHIPLHGLVRVYNFLACLWFLRKFYSHVINGCKDTACLLCYKRNRLTRVEASTIVCG -TKRTFYIAANGGTSYCCKHNWNCVECDTAGVGNTFICTEVANDLTTTLRRLIKPTDQSHYYVDSVVVKDA -VVELHYNRDGSSCYERYPLCYFTNLEKLKFKEVCKTPTGIPEHNFLIYDTNDRGQENLARSACVYYSQVL -CKPMLLVDVNLVTTVGDSREIAIKMLDSFINSFISLFSVSRDKLEKLINTARDCVRRGDDFQTVLKTFTD -AARGHAGVESDVETTMVVDALQYAHKNDIQLTTECYNNYVPGYIKPDSINTLDLGCLIDLKAASVNQTSM -RNANGACVWNSGDYMKLSDSFKRQIRIACRKCNIPFRLTTSKLRAADNILSVKFSATKIVGGAPSWLLRV -RDLTVKGYCILTLFVFTVAVLSWFCLPSYSIATVNFNDDRILTYKVIENGIVRDIAPNDACFANKYGHFS -KWFNENHGGVYRNSVDCPITIAVIAGVAGARVANVPATLAWVGRQIVLFVSRVFANTNVCFTPTNEIPYD -TFSDSGCVLSSECTLFRDAEGNLNPFCYDPTVLPGASSYADMKPHVRYDMYDSDMYIKFPEVIFESTLRI -TKTLATQYCRFGSCEESAAGVCISTNGSWALYNQNYSTRPGIYCGDDYFDIVRRLAVSLFQPVTYFQLST -SLAMGLVLCVFLTAAFYYINKVKRALADYTQCAVVAVVAALLNSLCLCFIVANPLLVAPYTAMYYYATFY -LTGEPAFIMHISWYVMFGTVVPIWMLASYTVGVMLRHLFWVLAYFSKKHVDVFTDGKLNCSFQDAASNIF -VIGKDTYVALRNAITQDSFVRYLSLFNKYKYYSGAMDTASYREACAAHLCKALQTYSETGSDILYQPPNC -SVTSSVLQSGLVKMSAPSGAVENCIVQVTCGSMTLNGLWLDNTVWCPRHIMCPADQLTDPNYDALLISKT -NHSFIVQKHIGAQANLRVVAHSMVGVLLKLTVDVANPSTPAYTFSTVKPGASFSVLACYNGKPTGVFTVN -LRHNSTIKGSFLCGSCGSVGYTENGGVLNFVYMHQMELSNGTHTGSSFDGVMYGAFEDKQTHQLQLTDKY -CTINVVAWLYAAVLNGCKWFVKPTRVGIVTYNEWALSNQFTEFVGTQSIDMLAHRTGVSVEQMLAAIQSL -HAGFQGKTILGQSTLEDEFTPDDVNMQVMGVVMQSGVKRISYGFMHWLMSTLVLAYVSVMQLTKFTMWTY -LFETIPTQMTPLLFGFMACVMFTVKHKHTFLSLFLLPVALCLTYANIVYEPQTLVSSTLIAVANWLTPTS -VYMRTTHLDFGLYISLSFVLAIIVRRLYRPSMSNLALALCSGVMWFYTYVIGDHSSPITYLMFITTLTSD -YTITVFATVNLAKFISGLVFLYAPHLGFILPEVKLVLLIYLCLGYMCTMYFGVFSLLNLKLRVPLGVYDY -SVSTQEFRFLTGNGLHAPRNSWEALILNFKLLGIGGTPCIKVATVQSKLTDLKCTSVVLLTVLQQLHLES -NSKAWSYCVKLHNEILAAVDPTEAFERFVCLFATLMSFSANVDLDALANDLFENSSVLQATLTEFSHLAT -YAELETAQSSYQKALNSGDASPQVLKALQKAVNVAKNAYEKDKAVARKLERMAEQAMTSMYKQARAEDKK -AKIVSAMQTMLFGMIKKLDNDVLNGVIANARNGCVPLSIVPLCASNKLRVVIPDISVWNKVVNWPSVSYA -GSLWDVTVINNVDNEVVKPTDVVETNESLTWPLVIECSRASSSAVKLQNNEIHPKGLKTMVVTAGIDQVN -CSSSAVAYYEPVQGHRMVMGLLSENAHLKWAKVEGKDGFINIELQPPCKFLIAGPKGPEIRYLYFVKNLN -NLHRGQLLGHIAATVRLQAGANTEFASNSTVLTLVAFAVDPAKAYLDYVGSGGTPLSNYVKMLAPKTGTG -VAISVKPEATADQETYGGASVCLYCRAHIEHPDVSGVCKYKTRFVQIPAHVRDPVGFLLKNVPCNVCQYW -VGYGCNCDALRNNTVPQSKDTNFLNRVRGSSVNARLEPCSSGLTTDVVYRAFDICNFKARVAGIGKYYKT -NTCRFVQVDDEGHKLDSYFIVKRHTMSNYELEKRCYDLLKDCDAVAIHDFFIFDVDKTKTPHIVRQSLTE -YTMMDLVYALRHFDQNNCEVLKSILVKYGCCEQSYFDNKLWFDFVENPSVIGVYHKLGERIRQAMLNTVK -MCDHMVKSGLVGVLTLDNQDLNGKWYDFGDFVITQPGAGVAIVDSYYSYLMPVLSMTNCLAAETHKDCDF -NKPLIEWLLLEYDYTDYKIGLFNKYFKHWDQTYHPNCVNCGDDRCILHCANFNVLFSMVLPNTSFGPIVR -KIFVDGVPFIVSCGYHYKELGLVMNMDVNIHRHRLALKELMMYAADPAMHIASASALWDLRTPCFSVAAL -TTGLTFQTVRPGNFNKDFYDFVVSRGFFKEGSSVTLKHFFFAQDGHAAITDYSYYAYNLPTMVDIKQMLF -CMEVVDKYFDIYDGGCLNASEVIVNNLDKSAGHPFNKFGKARVYYESMSYQEQDELFAVTKRNVLPTITQ -MNLKYAISAKNRARTVAGVSILSTMTNRQYHQKMLKSMAATRGATCVIGTTKFYGGWDFMLKTLYKDVES -PHLMGWDYPKCDRAMPNMCRILASLILARKHSTCCTNSDRFYRLANECAQVLSEYVLCGGGYYVKPGGTS -SGDATTAYANSVFNILQATTANVSALMSANGNTIIDREIKDMQFDLYINVYRKVVPDPKFVDKYYAFLNK -HFSMMILSDDGVVCYNSDYAAKGYVASIQNFKETLYYQNNVFMSEAKCWVETNLEKGPHEFCSQHTLYIK -DGDDGYFLPYPDPSRILSAGCFVDDIVKTDGTVMMERYVSLAIDAYPLTKHDDTEYQNVFWVYLQYIEKL -YKDLTGHMLDSYSVMLCGDDSAKFWEEGFYRDLYSSPTTLQAVGSCVVCHSQTSLRCGTCIRRPFLCCKC -CYDHVIATTHKMVLSVSPYVCNAPGCDVSDVTKLYLGGMSYYCNDHRPVCSFPLCANGLVFGLYKNMCTG -SSSIMEFNRLATCDWSDSGDYTLANTTTEPLKLFAAETLRATEEASKQSYAIATIKEIVGERELILVWEV -GKSKPPLNRNYVFTGYHLTKNSKVQLGEYVFERIDYSDAVSYKSSTTYKLAVGDIFVLTSHSVATLSAPT -IVNQERYLKITGIYPTITVPEEFANHVVNFQKAGFSKYVTVQGPPGTGKSHFAIGLAIYYPTARIVYTAC -SHAAVDALCAKAFKYLNIAKCSRIIPAKARVECYDRFKVNDTNAQYLFSTVNALPEISVDILVVDEVSMC -TNYDLSIINSRVKAKHIVYVGDPAQLPAPRTLLTRGTLEPENFNSVTRLMCNLGPDIFLSVCYRCPKEIV -NTVSALVYNNKLSAKKDASGQCFKILFKGSVTHDASSAINRPQLNFVKTFIAANPNWSKAVFISPYNSQN -AVARSMLGLTTQTVDSSQGSEYPYVIFCQTADTAHANNLNRFNVAVTRAQKGILCVMTSQVLFDSLEFAE -LSLNNYKLQSQIVTGLFKDCSREDVGLPPAYAPTYLSVDAKYKTTDELCVNLNITPNVTYSRVISRMGFK -LDATIPGYPKLFITRDEAIRQVRSWIGFDVEGAHASRNACGTNVPLQLGFSTGVNFVVQPVGVVDTEWGS -MLTTISARPPPGEQFKHLVPLMNKGATWPIVRRRIVQMLSDTLDKLSDYCTFVCWAHGFELTSASYFCKI -GKEQRCCMCSRRASTFSSPLQSYACWSHSSGYDYVYNPFFVDVQQWGYVGNLATNHDRYCGIHAGAHVAS -SDAIMTRCLAIYDCFIERVDWDVTYPYISHEQKLNSCCRTVERNVVRSAVLSGKFDKIYDIGNPKGIPII -SEPVEWHFYDAQPLSNKVKKLFYTDDVAKQFEDGLCLFWNCNVSKYPSNAVVCRFDTRVHSEFNLPGCNG -GSLYVNKHAFHTPAYDINAFRDLKPLPFFYYSTTPCEVHGSGNMLEDIDYVPLKSAVCITACNLGGAVCR -KHAAEYRDYMEAYNIVSAAGFRLWVYKTFDIYNLWSTFVKVQGLENIAFNVIKQGHFTGVDGELPVAVVN -DKIFTKNGTDDVCIFKNETALPTNVAFELYAKRAVRSHPDLNLLRNLEVDVCYNFVLWDYDRNNIYGTTT -IGVCKYTDIDVNPNLNMCFDIRDKGSLERFMSMPNGVLISDRKIKNYPCIIGPKHAYFNGAILRNIDAKQ -PITFYLYKKVNNEFVSFSDTFYTCGRTVNDFTALTPMEEDFLVLDSDVFIKKYSLEDYAFEHVVYGDFSH -TTLGGLHLLIGLYKKMRDGHILMEEMLKDRATVHNYFITDSNTASYKAVCSVIDLRLDDFVNIIKEMDLD -VVSKVVKVPIDLTMIEFMLWCKDGKVQTFYPRLQATNDWKPGLTMPSLFKVQQMNLEPCLLANYKQSIPM -PNGVHMNVAKYMQLCQYLNTCTLAVPANMRVIHFGAGCEKGVAPGTSVLRQWLPLDAVLIDNDLNEFVSD -ADITIFGDCVTVHVGQQVDLLISDMYDPCTKAVGEVNQTKALFFVYLCNFIKNNLALGGSVAIKITEHSW -SADLYKIMGRFAYWTVFCTNANASSSEGFLIGINFLGELKEEIDGNVMHANYIFWRNSTPMNLSTYSLFD -LSRFPLKLKGTPVLQLKESQINELVISLLSQGKLLIRDNDTLNVSTDVLVNFRKRL - ->sp|P0C6W6.1|R1AB_BCRP3 RecName: Full=Replicase polyprotein 1ab; Short=pp1ab; AltName: Full=ORF1ab polyprotein; Contains: RecName: Full=Host translation inhibitor nsp1; Short=nsp1; AltName: Full=Leader protein; Contains: RecName: Full=Non-structural protein 2; Short=nsp2; AltName: Full=p65 homolog; Contains: RecName: Full=Papain-like proteinase; Short=PL-PRO; AltName: Full=Non-structural protein 3; Short=nsp3; Contains: RecName: Full=Non-structural protein 4; Short=nsp4; Contains: RecName: Full=3C-like proteinase; Short=3CL-PRO; Short=3CLp; AltName: Full=nsp5; Contains: RecName: Full=Non-structural protein 6; Short=nsp6; Contains: RecName: Full=Non-structural protein 7; Short=nsp7; Contains: RecName: Full=Non-structural protein 8; Short=nsp8; Contains: RecName: Full=Non-structural protein 9; Short=nsp9; Contains: RecName: Full=Non-structural protein 10; Short=nsp10; AltName: Full=Growth factor-like peptide; Short=GFL; Contains: RecName: Full=RNA-directed RNA polymerase; Short=Pol; Short=RdRp; AltName: Full=nsp12; Contains: RecName: Full=Helicase; Short=Hel; AltName: Full=nsp13; Contains: RecName: Full=Guanine-N7 methyltransferase; Short=ExoN; AltName: Full=nsp14; Contains: RecName: Full=Uridylate-specific endoribonuclease; AltName: Full=NendoU; AltName: Full=nsp15; Contains: RecName: Full=2'-O-methyltransferase; AltName: Full=nsp16 -MESLVLGINEKTHVQLSLPVLQVRDVLVRGFGDSVEEALSEAREHLKSGTCGIVELEKGVLPQPEQPYVF -IKRSDAQGTDHGHRVRELVAELDGVQYGRSGITLGVLVPHVGETPIAYRNVLLRKNGNKGAGGHSFGIDL -KSYDLGDELGTDPIEDYEQNWNTKHGSGVLRELTRELNGGALTRYVDNNFCGPDGYPLECIKDLLARAGK -SMCTLSEQLDYIESKRGVYCCRDHGHEIAWFTERSDKSYEHQTPFEIKSAKKFDTFKGECPKFVFPLNSK -VKVIQPRVEKKKTEGFMGRIRSVYPVATPQECNNMHLSTLMKCNHCDEVSWQTCDFLKATCEQCGTENLV -SEGPNTCGYLPTNAVVKMPCPACQDPEIGPEHSAADYHNHSNIETRLRKGGRTRCFGGCVFAYVGCYNKR -AYWVPRASADIGSGHTGITGDNVETLNEDLLEILSRERVNINIVGDFQLNEEVAIILASFSASTSAFIDT -IKSLDYKSFKTIVESCGNYKVTKGKPIKGAWNIGQHRSVLTPLCGFPSQAAGVIRSIFSRTLDAANHSIP -DLQRAAVTILDSISEQSLRLVDAMVYTSNLLTNSVIIMAYVTGGLVQQTSQWLSNLLDTTVEKLRPIFAW -IEAKLSAGVEFLKDAWEILKFLITGVFDIVKGQIQVASDNIKDCVKCFVDVVNKALEMCIDQVTIAGAKL -RSLNLGEVFIAQSKGLYRQCIRGKEQLQLLMPLKAPKEVTFLEGDSHDTVLTSEEVVLKNGELEALETPV -DSFTNGAVVGTPVCINGLMLLEIKANEQYCALSPGLLATNNVFRLKGGAPTKGVTFGEDTVVEVQGYKNV -RITFELDERVDKVLNEKCSVYTVESGTEVTEFACVVAEAVVKTLQPVSDLLTNMGIDLDEWSVATFYLFD -DSGEEKLSSRMYCSFYPPDEEEDCEEYEEEEEVSERTCEHEYGTEEDYKGLPLEFGASTDIIQVEEQEEE -DWLDDAVEAEPEPEPLHEEPVNQLTGYLKLTDNVAIKCVDIVEEAQNANPMVIVNAANIHLKHGGGVAGA -LNKATNGAMQKESDHYIKLNGPLTVGGSCLLSGHNLAKKCLHVVGPNLNAGEDIQLLKAAYENFNSQDIL -LAPLLSAGIFGAKPLQSLQMCVQTVRTQVYIVVNDKVLYEQVVMDYLDSLKPKVEAPKQEVLPKAEYPKV -DEKSVVQKTIDVKPKIKACIDEVTTTLEETKFLTNKLLLFTDINGKLYQDSKNMLRGEDMSFLEKDAPYM -VGDVITSGDITCVVIPSKKAGGTTEMLSRALKKVPINEYITTYPGQGCAGYTLEEAKTALKKCKSAFYVL -PSETPNAKEEILGTVSWNLREMLAHAEETRKLMPVCMDVRAIMATIQRKYKGIKIQEGIVDYGVRFFFYT -SKEPVASIITKLNSLNEPLVTMPIGYVTHGFNLEEAARCMRSLKAPAIVSVSSPDAVTTYNGYLTSSSKT -SEDHFVETVSLAGSYRDWSYSGQRTELGVEFLKRGEKIVYHTLESPVKFHLDGEVLPLDKLKSLLSLREV -KTIKVFTTVDNTNLHTQLVDMSMTYGQQLGPTYLEGADVTKIKPHVNHEGKTFFVLPSDDTLRSEAFEYY -HTLDESFLGRYMSALNHTKKWKFPQVGGLTSIKWADNNCYLSSVLLALQQIEVKFNAPALQEAYYRARAG -DAANFCALILAYSNKTVGELGDVRETMTHLLQHANLESAKRVLNVVCKHCGQKTTTLTGVEAVMYMGTLS -YDNLKMGVSIPCVCGRDATQYLVQQESSFVMMSAPPAEYKLQQGTFLCANEYTGNYQCGHYTHITAKETL -YRIDGAHLTKMSEYKGPVTDVFYKETSYTTTIKPVSYKLDGVTYTEIEPKLDGYYKKDNAYYTEQPIDLI -PTQPLPNASFDNFKLTCSNTKFADDLNQMTGFTKPASRELSVTFFPDLNGDVVAIDYRHYSASFKKGAKL -LHKPIVWHINQATTKTTFKPNTWCLRCLWSTKPVDTSNSFEVLAVEDTQGMDNLACESQQPTPEEVVENP -TIQKEVIECDVKTTEVVGNVILKPSDEGVKVTQELDHEDLMAAYVENTSITIKKPNELSLALGLKTIATH -GIAAINSVPWGKILAYVKPFLGQAAVTTSNCAKRLVQRMFNNYMPYVLTLLFQLCTFTKSTNSRIRASLP -TTIAKNSVRGIVRLCLDAGINYVKSPKFSKLFTIAMWLLLLSICLGSLIYVTAALGVLLSNFGAPSYCSG -VRESYLNSSNVTTMDFCEGSFPCSVCLSGLDSLDSYPALETIQVTISSYKLDLTILGLAAEWFFAYMLFT -KFFYLLGLSAIMQVFFGYFASHFISNSWLMWFIISIVQMAPVSAMVRMYIFFASFYYIWKSYVHIMDGCT -SSTCMMCYKRNRATRVECTTIVNGMKRSFYVYANGGRGFCKTHNWNCLNCDTFCAGSTFISDEVARDLSL -QFKRPINPTDQSSYVVDSVAVKNGALHLYFDKAGQKTYERHPLSHFVNLDNLRANNTKGSLPINVIVFDG -KSKCDESAAKSASVYYSQLMCQPILLLDQALVSDVGDSTEVSVKMFDAYVDTFSATFSVPMEKLKALVAT -AHSELAKGVALDGVLSTFVSASRQGVVDTDVDTKDVIECLKLSHHSDLEVTGDSCNNFMLTYNKVENMTP -RDLGACIDCNARHINAQVARSHNVSLIWNVKDYMSLSEQLRKQIRSAAKKNNIPFRLTCATTRQVVNVIT -TKISLKGGKIVSTWFKIMLKATLLCVLAALVCYIVMPVHILSVHGGYTNEIIGYKAIQDGVTRDIVSTDD -CFANKHAGFDSWFSQRGGSYKNDKSCPVVAAIITREIGFIVPGLPGTVLRAINGDFLHFLPRVFSAVGNI -CYTPSKLIEYSDFSTSACVLAAECTIFKDAMGKPVPYCYDTNLLEGSISYSELRPDTRYVLMDGSIIQFP -NAYLEGSVRVVTTFDAEYCRHGTCERSEAGICLSTSGRWVLNNEHYRALPGVFCGVDAMNLIANIFTPLV -QPVGALDVSASVVAGGIIAILVTCAAYYFMKFRRAFGEYNHVVAANAPLFLMSFTILCLAPAYSFLPGVY -SVFYLYLTFYFTNDVSFLAHLQWFAMFSPIVPFWITAIYVFCISLKHFHWFFNNYLRKRVVFNGVTFSTF -EEAALCTFLLNKEMYLKLRSETLLPLTQYNRYLALYNKYKYFSGALDTTSYREAACCHLAKALNDFSNSG -ADVLYQPPQTSITSAVLQSGFRKMAFPSGKVEGCMVQVTCGTTTLNGLWLDDTVYCPRHVICTAEDMLNP -NYEDLLIRKSNHSFLVQAGNVQLRVIGHSMQNCLLRLKVDTSNPKTPKYKFVRIQPGQTFSVLACYNGSP -SGVYQCAMRPNHTIKGSFLNGSCGSVGFNIDYDCVSFCYMHHMELPTEVHAGTDLEGKFYGPFVDRQTAQ -AAGTDTTITLNVLAWLYAAVINGDRWFLNRFTTTLNDFNLVAMKYNYEPLTQDHVDILGPLSAQTGIAVL -DMCAALKELLQNGMNGRTILGSTILEDEFTPFDVVRQCSGVTFQGKFKRIVKGTHHWMLLTFLTSLLILV -QSTQWSLFFFVYENAFLPFTLGIMAVAACAMLLVKHKHAFLCLFLLPSLATVAYFNMVYMPASWVMRIMT -WLELADTSLSGYRLKDCVMYASALVLLVLMTARTVYDDAARRVWTLMNVITLVYKVYYGNALDQAISMWA -LVISVTSNYSGVVTTIMFLARAIVFVCVEYYPLLFITGNTLQCIMLVYCFLGYCCCCYFGLFCLLNRYFR -LTLGVYDYLVSTQEFRYMNSQGLLPPKSSIDAFKLNIKLLGIGGKPCIKVATVQSKMSDVKCTSVVLLSV -LQQLRVESSSKLWAQCVQLHNDILLAKDTTEAFEKMVSLLSVLLSMQGAVDINKLCEEMLDNRATLQAIA -SEFSSLPSYAAYATAQEAYEQAVANGDSEVVLKKLKKSLNVAKSEFDRNAAMQRKLEKMADQAMTQMYKQ -ARSEDKRAKVTSAMQTMLFTMLRKLDNDALNNIINNARDGCVPLNIIPLTTAAKLMVVVPDYGTYKNTCD -GNTFTYASALWEIQQVVDADSKIVQLSEINMENSSNLAWPLIVTALRANSAVKLQNNELSPVALRQMSCA -AGTTQTACTDDNALAYYNNSKGGRFVLALLSDHQDLKWARFPKSDGTGTIYTELEPPCRFVTDTPKGPKV -KYLHFIKGLNNLNRGMVLGSLAATVRLQAGNATEVPANSTVLSFCAFAVDPAKAYKDYLASGGQPITNCV -KMLCTHTGTGQAITVTPEANMDQESFGGASCCLYCRCHIDHPNPKGFCDLKGKYVQIPTTCANDPVGFTL -RNTVCTVCGMWKGYGCSCDQLREPMMQSADASTFLNRVCGVSAARLTPCGTGISTDVVYRAFDIYNEKVA -GFAKFLKTNCCRFQEKDEEGNLLDSYFVVKRHTMSNYQHEETIYNLVKDCPAVAVHDFFKFRVDGDMVPH -ISRQRLTKYTMADLVYALRHFDEGNCDTLKEILVTYNCCDDDYFNKKDWYDFVENPDILRVYANLGERVR -QALLKTVQFCDAMRDAGIVGVLTLDNQDLNGNWYDFGDFVQVAPGCGVPIVDSYYSLLMPILTLTRALAA -ESHMDADLAKPLIKWDLLKYDFTAERLCLFDRYFKYWDQTYHPNCINCLDDRCILHCANFNVLFSTVFPP -TSFGPLVRKIFVDGVPFVVSTGYHFRELGVVHNQDVNLHSSRLSFKELLVYAADPAMHAASGNLLLDKRT -TCFSVAALTNNVAFQTVKPGNFNKDFYDFAVSKGFFKEGSSVELKHFFFAQDGNAAISDYDYYRYNLPTM -CDIRQLLFVVEVVDKYFDCYDGGCINANQVIVNNLDKSAGFPFNKWGKARLYYDSMSYEDQDALFAYTKR -NVIPTITQMNLKYAISAKNRARTVAGVSICSTMTNRQFHQKLLKSIAATRGATVVIGTSKFYGGWHNMLK -TVYSDVETPHLMGWDYPKCDRAMPNMLRIMASLVLARKHSTCCNLSHRFYRLANECAQVLSEMVMCGGSL -YVKPGGTSSGDATTAYANSVFNICQAVTANVNALLSTDGNKIADKYVRNLQHRLYECLYRNRDVDHEFVG -EFYAYLRKHFSMMILSDDAVVCYNSNYAAQGLVASIKNFKAVLYYQNNVFMSEAKCWTETDLTKGPHEFC -SQHTMLVKQGDDYVYLPYPDPSRILGAGCFVDDIVKTDGTLMIERFVSLAIDAYPLTKHPNQEYADVFHL -YLQYIRKLHDELTGHMLDMYSVMLTNDNTSRYWEPEFYEAMYTPHTVLQAVGACVLCNSQTSLRCGACIR -RPFLCCKCCYDHVISTSHKLVLSVNPYVCNAPGCDVTDVTQLYLGGMSYYCKSHKPPISFPLCANGQVFG -LYKNTCVGSDNVTDFNAIATCDWTNAGDYILANTCTERLKLFAAETLKATEETFKLSYGIATVREVLSDR -ELHLSWEVGKPRPPLNRNYVFTGYRVTKNSKVQIGEYTFEKGDYGDAVVYRGTTTYKLNIGDYFVLTSHT -VMPLSAPTLVPQEHYVRITGLYPTLNISDEFSSNVANYQKVGMQKYSTLQGPPGTGKSHFAIGLALYYPS -ARIVYTACSHAAVDALCEKALKYLPIDKCSRIIPARARVECFDKFKVNSTLEQYVFCTVNALPETTADIV -VFDEISMATNYDLSVVNARLRAKHYVYIGDPAQLPAPRTLLTKGTLEPEYFNSVCRLMKTIGPDMFLGTC -RRCPAEIVDTVSALVYDNKLKAHKEKSAQCFKMFYKGVITHDVSSAINRPQIGVVREFLTRNPAWRKAVF -ISPYNSQNAVASKILGLPTQTVDSSQGSEYDYVIFTQTTETAHSCNVNRFNVAITRAKIGILCIMSDRDL -YDKLQFTSLEVPRRNVATLQAENVTGLFKDCSKIITGLHPTQAPTHLSVDTKFKTEGLCVDIPGIPKDMT -YRRLISMMGFKMNYQVNGYPNMFITREEAIRHVRAWIGFDVEGCHATRDAVGTNLPLQLGFSTGVNLVAI -PTGYVDTENNTEFTRVNAKPPPGDQFKHLIPLMYKGLPWNVVRIKIVQMLSDTLKGLSDRVVFVLWAHGF -ELTSMKYFVKIGPERTCCLCDKRATCFSTSSDTYACWNHSVGFDYVYNPFMIDVQQWGFTGNLQSNHDQH -CQVHGNAHVASCDAIMTRCLAVHECFVKRVDWSVEYPIIGDELKINSACRKVQHMVVKSALLADKFPVLH -DIGNPKAIKCVPQAEVEWKFYDAQPCSDKAYKIEELFYSYATHHDKFTDGVCLFWNCNVDRYPANAIVCR -FDTRVLSNLNLPGCDGGSLYVNKHAFHTPAFDKSAFTNLKQLPFFYYSDSPCESHGKQVVSDIDYVPLKS -ATCITRCNLGGAVCRHHANEYRQYLDAYNMMISAGFSLWIYKQFDTYNLWNTFTRLQSLENVAYNVVNKG -HFDGQAGETPVSIINNAVYTKVDGFDVEIFENKTTLPVNVAFELWAKRNIKSVPEIKILNNLGVDIAANT -VIWDHKREAPVHMSTIGVCTMTDIAKKPTESACSSLTVLFDGRVEGQVDLFRNARNGVLITEGSVKGLTP -SKGPAQASVNGVTLIGESVKTQFNYFKKVDGIIQQLPETYFTQSRDLEDFKPRSQMETDFLELAMDEFIQ -RYKLEGYAFEHIVYGDFSHGQLGGLHLMIGLAKRSRDSPLKLEDFIPMDSTVKNYFITDAQTGSSKCVCS -VIDLLLDDFVEIIKSQDLSVVSKVVKVTIDYAEISFMLWCKDGHVETFYPKLQASQAWQPGVAMPNLYKM -QRMLLEKCDLQNYGENAVIPKGIMMNVAKYTQLCQYLNTLTLAVPYNMRVIHFGAGSDKGVAPGTAVLRQ -WLPTGTLLVDSDLNDFVSDADSTLIGDCATVHTANKWDLIVSDMYDPKAKHVTKENDSKEGFFTYLCGFI -KQKLALGGSVAVKITEHSWNADLYKLMGHFSWWTAFVTNVNASSSEAFLIGVNYLGKPKEQIDGYTMHAN -YIFWRNTNPIQLSSYSLFDMSKFPLKLRGTAVMSLKENQINDMIYSLLEKGRLIIRENNRVVVSSDILVN -N - ->AAT70770.1 replicase polyprotein 1ab [Avian infectious bronchitis virus partridge/GD/S14/2003] -MASSLKQGVSSQNQRSVVLVAKDIPDQLRDALFFYTSHNPKDYADAFAFKRKFDRNLGAGKQFKFETVCG -PFFLKGVDKVTPGVPAKVLKATSKLADLEDIFGVSPFARKYRELLKTACQWSLTVDALNARAQTLEEIFG -PTEVLWLQVASKLQVSAMAMRRLVGEVTAKVMDALGSKLSSLFQIVKQQIARIFQKALAIFEDVKDLPQR -IAALQMAFAKCAKTITVVVVEKAIVVREFSGTCLASINGAIAKFFAELPNGFMGSKVFSTLAFFKEAAVK -IVENVPGAPQGTKGFEVVGNAKGTQVVIRGMRNDLTLLDQKAEIPVEPEGWSAIMDGHLCYVFKSGDRFY -AAPLSGNFALHDVHCCERVVCLVDGVTPEINDGLILAAIYSSFSVSELVAALKKGEPFKFLGHKFVYAKE -AAVSFTLAKAATISDVLKLFQSARVETEDVWSALTEKSFEFWKLAYGKVRNLEEFVKTHFCKAQMSIVVL -AVVLGEGIWHLVSQVIYRLGCSFSKVIDFCAKYWKGFCGQLKKAKLLVTEHLCVLKGVAQHCFQLLLDAI -HSMYKSFQKCALGRIHGDLLFWKGGVHKVVQEGDEIWFDAIDSIDVEELGVIQEKPVDFEVCDGIILPEN -QPGHMVQFEDDGKNYMFFRFKREDNVYYTPMSQLGAINVVCKAGGKTVTFGETTVKEIPPPDVVPIKVSI -ECCGEPWNTIFKKAYKEPIEVETDLTVEQLLSVIYEKMCDDLKLFPEAPEPPPFENVALVDKNGKDLDCI -KSCHLVYRDYESDDDIEEEDAEECDTASDDAEECDTGSECEEEDEGTKVLALIQDPASQKYPLPLDDDYS -VYNGCIVHKDALDVVNLPSGEETFVINNCFEGAVKQLPKSVVDVLGDWGEAVDAQEKSLNDVADSSSVSL -PIEEPVIIHEQEFVPVVEEELDVIVCTPAELSVVKEAPGEVDEFILIPSVSNGEEVVSTVSAPQQEESRE -EVVPDILQQEEPKIEEPPQVVKIQRERKAKKFKVKPTTQKAPNCLEYKTCVGDLTVVIAKALDEFKEFCL -VNAANDYMFHGGGVAKAIADFCGPDFVEYCEDYVKKHGPQKRLVTPSYVKGIQCVNNVVGPRHADIDVFG -KLLIAYKNVIVDGVVNYVVPVLSSGIFGVDFKLSIDAMRAAFKDCNIRVLLFSLNQDHIDYFDATCKQKT -IYLTEDGVKYRSGVKYRSVIVKPGDSLSQFGQVFTRHKTVFTADDVEDKEILFIPTTDKAVLDYYGLDAK -KYVIYLHTLSQKWKIQCKDNFIQLEWRDGNCWINSAIVILQAAKIKFKGFLAEAWAKLLGGDPTDFVAWC -YASCNANVGDFSDTNWLSANLAEYFEVDYTNALLKKRVSCNCGVKNYEFRGLEACIQPVKAPNLLHFKTQ -YSNCLTCGSNSVDEVIEASLPYLLLLATDGPAAVDCDENAVGNVVFIGSTNSGHCYTQAAGKAFDNLAQD -RKFGKKSPYITAMYTRFSLKSKNLMPIAKKSKGKNQVVKEDVSNLAISSKASFDNLTDFEQWYDSNIYES -LKVQETPDNLYEYVSFTTKEDSKLPLTLKVRGIKSVVDFKSKDGFTYKLTPDTDENSKAPVYYPVLDSVS -LKAIWVDGSANFVVGHPNYNSRALRIPTFWEYAESFVKMGVKVNGVTMGLWRAEHLNKPNLENLFNIVKK -MIVGTNIITTQCGRLIGKTASFIADKVGDGVVLNVTDRIKGCFGFTREHFERRVSLQFFKTLFFFFFCLL -KASVKSLLSSYKSVLRKVVFTALLILWFVYTSNPVIFTGIRVLDFLLEGSFCSPYADYGKESFDILRYCG -SDFTCRVCLHGKDSLHLYKHAYSVKQFYKDAVNGISFTWNWLYMLFLILFVKPVAGFVIICYCIRYLVLS -TTVLQTGVGFLDWFVQTVFANFNFMGAGFYFWLFYKIYIQVHHIMYCKDITCEVCKKVARSNRHEVSVVV -NGRKQLVHVYTNSGYTFCKKHNWYCKNCDKYGHQNTFMSPEVAGELSEKLKRHVKPTAHAYHVVDDACLV -DDFVNLKYNAATPGKEGVHSAVKCFSVSDFLKKAVFLKDAQKCEQIANDSFIVCNTHSAHALEEAKNAAI -YYAQCLCKPILILDQALYDQLIVEPVSKSVVDKVCNILSNIISVDSAALNYKAGTLRDALLSVTKDEEAV -DMAIFCHNNDVEYTTDGFTNVVPSYGIDTDKLTPRDRGFLINADAAIANLKVKNSPPVVWKYSDLVKLSD -SCLKYLISATVKSGGRFFITRSGAKQVIACYTQKLLVEKKAGGVISSTVNRFKSCCKWLLVFYLLFTACC -LCYYQWEMSKEFAHPMYDVNSTMHVEGFKVIDKGVLRDIMLEDTCFSNKYVNFDSFWGKPYVNSRDCPIV -IAIIDGAGTVAAGVPGFVQWVMDGVMFTHMAQTERRPWYIPTWFNREIVGYTHDSIVTEGEFYTSIALFA -SRCLYLTSSNTPQLYCFNGDSDAPGALPFASILPHRVYFQPNGVRLIVPQQIMHTPYIVRLVSDSYCRGS -VCEYTKPGYCVSLNSQWVLFNDEYTSKPGVFCGSTVRELLFNMVSTFFTGVNPNIYMQLATMFLILVAVV -LVFAMVIKFQGVFKAYATTVFVIMLVWLINAFVLCVHSYNSVLAIILLVLYCYVSLATSRNTAIVMHCWL -VFTFGLIVPNWIVCVYLGFILYMYTPLFLWCYGTTKNSRKLYEGNEFVGNYDLAAKSTFVVRGPEFVKLT -NELGDKFESYLSAYARLKYYSGTGSEQDYLQACRAWLAYALDQFRSSGVEVVYTPPRYSIGVSRLQAGFK -KLASPSSAVEKCIVSVSYRGNNLNGLWLGDSIYCPRHVLGKFSGDQWDDVLNFANNHEFEVITGRGVALN -VVSRRLRGAVLILQTAIANAETPKYKFMKANCGDSFTIACSYGGTVIGLYPVTMRSNGTIRASFLAGACG -SVGFNIEKGVVNFYYMHHLELPNALHTGTDLTGEFYGGYIDEEVAQKVQPDKLVTNNILAWLYAAIISVK -ESSFSMPKWLESTTISIDDYNKWAADNGFTSFVSCTAITKLSAITGVDVCKLLRTIMAKSAQWGSDPILG -QYNFEDELTPESVFNQVGGVRLQFSVVKKAASWFWSRCVLACFLFVLCSIVLFTAIPYRYYLHGAVVLFA -TVLFISFTVKHVMAYMDTFLLPTLITVIIGVCAEVPFIYNTLISQIVIFFSQWYDPVVFDTVVPWMFLPL -VLYTSFKCIQGCYSVNSFNTSLLLLYQFMKLGFVIVTSSNTLAAYSEGNWELFFELVHTTVLANVSSNSL -IGLFVFKLAKWMLYYCNASYLNNYVLMAVIVNGIGWMFTCYFGFYWWINKVFGLTLGKYNFKVSVDQYRY -MCLHKIDPPKTVWEVFSTNILIQGIGGERVLPIATVQSKLSDVKCTTVVLMQLLTKLNVEANSKMHAYLV -ELHNKILASDDVNECMDNLLGMLVTLFCVDSTIDLSEYCDDILKRSTVLQSVTKEFSHIPSYAEYERAKD -LYEKVLAESKNGGVTQQELAAYRKAANIAKSVFDRDLAVQKKLDSMAERAMTTMYKEARVTDRRAKLVSS -LHALLFSMLKKIDSEKLNVLFDQASSGVVPLATVPIVCSNKLTLVVPDPETWVKCVEGMHVTYSTVVWNI -DNVTDADGTELQPISTGNGLTYCISGDTIAWPLKVTLTRNAHNKVDAVLQNNELMPHGVKTKACVAGVDQ -AHCSVESKCYYTNISGNSVVAAITSSNPNLKVASFLNEAGNQIYVDLDPPCKFCMKVGDKVEVVYLYFIK -NTRSIVRGMVLGAISNVVVLQSKGYETEEVDSVGILSLCSFAVDPADTYCKYVAAGNQPLGNCVKMLTVH -NGSGFAITSKPSPTPDQDSYGGASVCLYCRAHIAHPGSAGNLDGRCQFKGSFVQIPTTEKDPVGFCLRNK -VCTVCQCWIGHGCQCDALRQPKPAIQADAGAPGFDKNYLNRVRGSSEARLIPLANGCEPDVVKRAFDVCN -KESAGMFQNWKRNCARFQEVHDTEDGNLEYCDSYFVVKQTTPSNYEHEKSCYEDLKSEVTASHDFFVFNK -NIYNISRQRLTKYTMMDFCYALRHFDPKDCEVLKEILVTYGCIDDYHPKWFEENKDWYDSIENPKYYAML -AKMGPIVRRALLNAVEFGNLMVEKGYVGVVTLDNQDLNGKFYDFGDFQKTALGAGVPVFDTYYSYMMPII -AMTDALASERYFEYDVHKGYKSYDLLKYDYTEEKQELFQKYFKYWDQEYHPNCCDCYDDRCLIHCANFNI -LFSTLIPQTSFGNLCRKVFVDGVPFIATCGYHSKELGVIMNQDNTMSFSKMGLSQLMKFVGDPSLLVGTS -NNLVDLRTSCFSVCALTSGITHQTVKPGHFNKDFYDFAEKAGMFKEGSSIPLKHFFYPQTGNAAINDYDY -YRYNRPTMFDIRQLLFCLEVASKYFECYEGGCIPASQVVVTNLDKSAGYPFNKFGKARLYYEMSLEEQDQ -LFESTKKNVLPTITQMNLKYAISAKNRARTVAGVSILSTMTNRQFHQKVLKSIVNTRNASVVIGTTKFYG -GWDNMLRNLIQGVEDPMLMGWDYPKCDRAMPNLLRIAASLVLARKHTNCCTWSERIYRLYNECAQVLSET -VLATGGIYVKPGGTSSGDATTAYANSVFNIIQATSANVARLLSVITRDIVYDDIKSLQYELYQQVYRRVN -FDPAFVEKFYSYMCKNFSLMILSDDGVVCYNNTLAKQGLVADISGFREILYYQNNVYMADSKCWVEPDVE -KGPHEFRVDGEPRYLPYPDPSRILGACVFVDEVDKTEPVAVMERYIALAIDAYPLVHHENEEYRKVFFVL -LSYIRKLYQELSQSMLIDYSFVMDIDKGSKFWEQEFYENMYRAPTTLQSCGVCVVCNSQTILRCGNCIRK -PFLCCKCCYDHVMHTDHKNVLSINPYICSQPGCGEADVTKLYLGGMSYFCGNHKPKLSIPLVSNGTVFGI -YRANCVGSENVDDFNQLATTNWSAVEPYILANRCSDSLRRFAAETVKATEELHKQQFASAEVREIISDRE -LILAWEPGKTRPPLNRNYVFTGYHFTRTSKVQLGEFTFEKGEGRDVVYYRATSTAKLSPGDIFFLTSHNV -VSLVAPTLCPQQTFSRFVNLRPNVMVPECFANNIPLYHLVGKQKRTTVQGPPGSGKSHFAIGLAAYFSNA -RVVFTACSHAAVDALCEKAFKFLKVDDCTRIVPQRTTVECFSKFKANDTGKKYIFSTINALPEVSCDILL -VDEVSMLTNYELSFINGKINYQYVVYVGDPAQLPAPRTLLNGSLSPKDYNVITNLMVCVKPDIFLAKCYR -CPKEIVDTVSTLVYDGKFVANNPESRECFKVIVNKGNSDVGHESGSAYNTTQLEFVKDFVCRNKQWREAT -FISPYNAMNQRAYRMLGLNVQTVDSSQGSEYDYVIFCVTADSQHALNINRFNVALTRAKRGILVVMRQRD -ELYSALKFTELDAEASLQGTGLFKICNKEFSGVHPAYAVTTKALAATYKVNDELAALVNIEAGSEITYKH -LISLLGFKMSVNVEGCHNMFITRDEAIRNVRGWIGFDVEATHACGTNIGTNLPFQVGFSTGADFVVTPEG -LVDTSIGNNFEPVNSKAPPGEQFNHLRALFKSAKPWHVIRPRIVQMLADNLCNVSDCVVFVTWCHGLELT -TLRYFVKIGKEQVCSCGSRATTFNSHTQAYACWKHCLGFDFVYNPLLVDVQQWGYTGNLQFNHDLHCNVH -GHAHVASADAVMTRCLAINNAFCKDVNWELTYPHIANEDEVNSSCRYLQRMYLNACVDALKVNVVYDIGN -PKGIKCVRRGDLTFRFYDKNPIVPNVKQFEYDYSQHKDKFADGLCMFWNCNVDCYPDNSLVCRYDTRNLS -VFNLPGCNGGSLYVNKHAFHTPKFERVSFRNLKAMPFFFYDSSPCETIQVDGVAQDLVSLATKDCITKCN -IGGAVCKKHAQMYAEFVASYNAAVTAGFTFWVSNQFNPYNLWKNFSALQSIDNIAYNMYKGGHYDAIAGE -IPTVITGDKVFVIDQGIEKAVFVNQTTLPTSVAFELYAKRNIRTLPNNRILSGLGVDVTYGFVIWDYANQ -TPLYRNTVKVCAYTDIEPNGLVVLYDDRYGDYQAFLAADNAVLVSTQCYKRYSYVEVPSQLLVQNGMPLK -DGANLYVYKRVNGAFVTLPNTLNTQGRNYEAFEPRSDVERDFLNMSEEDFIEKYGKDLGLQHVLYGEVEK -PQLGGLHTVIGMYRLLRANKLDAKSVTNSDSDVMQNYFVLADNGSYKQVCTVVDLLLDDFLELLRNILKE -YGSNKSKVVTVSIDYHSINFMTWFEDGSIKTCYPQLQSAWTCGYNMPELYKVQNCVMEPCNIPNYGVGIT -LPSGIMMNVAKYTQLCQYLSKTTMCVPHNMRVMHFGAGSDKGVAPGSTVLKQWLPEGTLIVDNDIVDYVS -DAHVSVLSDCNKYKTEHKFDLVISDMYTDNDSKRKHEGIVANNGNDDVFIYLSNFLSNNLALGGSFAIKV -TETSWHESLYEVAQDCAWWTLFCTAVNASSSEAFLVGINYLGASDKVKVSGKTLHANYIFWRNCNYLQTS -AYSAFDVAKFGLKLKATPVVNLKKEQKTDLVSNLLKNGKLLIRDVGEVTVFSDHFVCTM ->YP_008719930.1 orf1ab [Betacoronavirus Erinaceus/VMC/DEU/2012] -MSSATGEGSQGARATYRAALNNEKRHDHVALTVPCCGTGAKVTALSPWFMDGVVAYETVKEMLLKGENLL -FAPINLSGFIKFLPGPRVYLVERLTGGTYSEPFIVNQLAFSDEQDGPMMGTTLQGKPIGFFFPFDEELVT -GTYTFKLRKNGLGGQLFREVPRFENHDFHGIEGFSQIVEDLQEDPKGKFSNKLYKKLCGGDVTPVDQYMC -GFDGSPIKPYLDLANKEGLIKLADVEADVRSRVDKHGFLIFKGTTYRVVWFTERKNVDYSKQTLFTVNCV -VQRNGVQDVPAHPFTLGGRVDQLKPHVAKGNLVGLTLKAKILYTMYGEDAVEEPSYIYHSAFVDCGNCNE -GKWCTGNAVAGFACECGASYTARDVLLQSSGLVKPNALFCATCPFAEGDRCSSECKHTTPQIVSHLSEKC -VVFPSGKSFVLAFGGALFTYMGCAEGTMYFVPRAKSVVSRIGTACFTGCVGAWDKVQQVANLFTQKAQQQ -LNFVNEFVVSDVVLAILTGTTSTLDELRSLLQGITFEKMRDYCVKHGIKVTMGDYVDSAINVGGASVRSA -AINAPFVVLSALGESFKKIAAVPFKVGSSFLKTWEYLSDCIVYRVLPYELEDVSDFVQLLFNCVEISAAS -MYFASVVIREKVNTMFNALPLSVQTAADNIIDVCLRATLCTVKFLNDLLSLVKLVVCKAFVYTNAGFMST -LEKTSPAAQKLLDVLSKAFKLLHKKVSWAGSKVHAVIYEGKDALVFSSGTYHCVTAPGSVVGAHLDATIP -GEVVKKQLSMLTATNYSTTVDVRPRTRSVELVYGQLETTNMHSPDVVVGDYVIISDKVFVRSEEDGQLAF -YPMCTNGKAVPCMFTLKGGAPIKKVSFGNDEVHEIDSVRTVTVEYNIHPVLDTLLSNTELKTFVVDKDLT -VSEFAFVVQEAVADLLAKLLRGIALDDFDLEDFVDTKVYVFNLDGDEVWKSTMIFSVHPVDCDDEEIDDA -LEEDDSFNEEEESDSWAEMVDAIFPLSDEAEGDVAVEEQSLVDDAGVVSPSAAAEKIVPMHDVSDDSASN -NEESVCADVEPKGVAPPVEDVIVETKVPTTPILEESDNPVLAEADSSQQSDCVEHSVVVETTNTPEVCVD -VEHCGVDQISEEVPKGAAPPAMEEEKPSQEVSVSPEPSLKEDKPHEDCQQQLADKKQLVEVVSDDQIDPL -KNYKHKVLSGNVTIVLADAIKLAKCFSTSVLVNAANSHLKHAGGIAHAIDSASKGAVQRESDDYIKNNGA -LQVGDAVLLKGHGLAKHILHVVGPDARQGQDVTLLSKCYKAMNAHPLVVTPLVSAGIFGVDPKVSLQMLQ -QVAKTRFLVCVNSANTYEELTKVVIPQGLTFSFEGMKSAVSKAKEYGFTMFICVDNKQNLKLLKTLGVKV -DKKQSTVNGVRYYCYTSEDTVPNLVAVANKQKGIVALPLGYVTHGFDLMQAAAIVKMVTVPYVCLLANKE -QLAILQGHVLKSTPFEEFVSGIKDNGYAHWQLVQGEIIVNGVSYSKLLQWSDQTVVYSCSKLFVLKNGIL -LPFKSVEQCRSYLNSRTTQQLDIEVLVTVDGVNFRTVILNNKNTFRSQLGTVFLDGVDVSDTIPSVDKNG -ASMYIADNFSKEELAAVKETYGVEDPAFLYKYYSIRAKVIKWKMAMCEGSPSLCLNSNNCYLNAAVMMLD -CLRDVRFNIPALQSAYMKFKGGDFGDFISLLMAYGNCTYGQPDDASMLLHTALSKAELLVSARMVWREWC -DHCGVKDVVITGIKACVYVGVQTLNELRECNHYICQCGGVRFRQLVECVTPWLLLSGPPNEELVANPDFV -AFNVFIGHATGVGHFVHARVKKGLLYKYDSGTLTKASDWRCKVTDKLYPGQKYTAECEIVVYSLDGNQKA -EKQPDLSAYYVKDGKYYTNKPSLEFTPATFASGVVYTNSCFIVKDDDNIGHAFNKLLGFDKNKPASKQLT -YSLLPNEEGDVLLAEFKSYDPMYKNGAAYKGKPILWVNNGLYDSKLNKYNRASLRQIFDIQPVETKNRFA -SLKVEEVDERPTSLVQEETVVSEKSELKIVKCKGLSKPFVKNGFSFISDDKGILTVEYLTKEDMHTLYVN -PKSQIIVLKDNWLSGLFQMHTVQSGDLNVIASSGSLTKKVKLLFKTSSMCKEFLSRTFVATKCVNSVVSS -TVRKICCNKDIFLKAFSFIKILCFVPLRHFNKQKECINVDVNALSIAGVVTGNVIKQCCSTGFYLFKQKL -RRIDWKASLRWLLFMLTTVVMLFSVYHLYVFNAVLTSDVIKEVNTGIKGVYYRISSYLGVTSVCDGFSNN -YRNVSFNRDDYCEKFGYVCHWCLMGQDSLTHYSAIQIVQTNLSHYVLSIDWMWFWIELSVAYLMYTPAFN -WVLLVCTLQYFFSQTNHIINWRSYNFVMSGVYLLTTYIPLCGLLRVYNVLATLWYLRRFYNHVINGCKDT -ACMLCYKRNRLTRVEASTVVCGVKRTFYITANGGISFCSRHNWNCVDCDTTGLGHTFICEEVANDLTTSL -RRLVKPTDRSHYYVESVEVKNSVVQLNYTRDGQLCYERVPLCNFSNIDKFKFKEVCKSTTGIPEFNFVIY -DSTDRGQENLARSACVYYSQVLCKSILLVDSNLMNTVGDSSAIAIRLLDSFINSFASLYNVSRDKLEKLI -STAKDCVKRGEDLQSVLKTFIQAARVHANVESDVETASIVDGIQYAHKNDIELVTDSFNNYIPSYVKPDS -IATVDLGCLIDLKAASVNPASMRNANGACVWNIDAYLKLSDSLKRQIRVACRKCSLNFKLTTSKLRAQDN -ILSVKFSVTKFVGGSLNSKLGSFLFKMYAGFTICLVILAILMYCILPTFNMAKVDFNNDRILGYKVLDNG -IVRDIGIDDKCFVNKYNTFDAWYQQEFGNSYDNDYNCPVVVAVIAGISGERVPGVPTSLIWAGNQILFFV -SRVFATNSNICYTPSMEIAYEKFSDSGCVLAAECTLFKDAVGSMIPYCYDANVLPGAVPYDTMLPHVRYD -LYDSNMFIKFPEVIVEGTLRVVKTLKTQYCRLGSCEWSEAGICVSTNGSWMLNNEHYASKPGVYCGSDYL -DMVRRSLMFLFQPITYFQLTTSLFMGLCLCLGIVVIFYYVNKFKRAFADYTQCVLIAVMATGLNGLCLCF -VASNPFLIVPYSAFYYYSTFYVTNEPAVVMHASWLIMFLPVASVWVVCSYLAAICFRHCFWVLAYFSRKK -VDVFTDGKLNCTFQEAAANIFVVNRDTYVALRNAISQDAYNKYLGMFNKYKYFSGVMDTAAYREASAAHL -AKALQVFSENGSDLLYQPPNCSLASSVLQSGLVKMAHPSGAVEQCIVQVTCGSMTLNGLWLDNIVYCPRH -VMCPQDQLVDPNYDALLNSMTNHSFTIQRHGRSTANLRCTGHAMHGTLLKLTVDSANPETPAYTFTTIKQ -GSSFSVLACYNGRPSGTYTVVMRPNSTIKGSFLCGSCGSVGYVKEGNVINFCYMHQMELANGTHTGSSFD -GKMYGNFQDRQIYQAQLSDKHCTINVVAWLYAAVLNGCNWFVKPNKTGVAAFNEWALSNQFTEFVSTQAL -ELLAVKTGVQIEQLLYSIQQLNNGFQGNVILGSTMLEDEYTPEDVNMQMMGVVMQSSVRKITYGFTHWLL -ATCVLTYVVILQLTKFTIWNYLFNVIPLQLTPIMFVVLALAMLCVKHKHAFLTTFLLPGALCLTYANLVY -EPNTPVSSFLITFVNWLNPDGTYMRTTHMDLGVYVSLCLALLVAVRRLYKPSVTNCAFALTSLVMWFYSY -SIGDASSPIVYLQFVTAATSDYMVTVFLAVNVAKCFAYLTSMYFTTLSVVVPEVKIVLLMYICIGFICTM -YFGVFSFLNLKMRAPMGVYSYEVSTQEFRYMNANGLRAPRNSWDAMVLNFKLLGVGGVPCIKIASVQSKL -TDLKCTSVVLLSVLQQLHLEANSKAWSHCVKLHNDILSATDPSEAFDKFVALLATLMSFSGSVDLDALAS -ELLDNPSVLQSTLTEFSHLASYAELETAQKSYQEAIAAGDASPQMLKALQKAVNVAKNTYEKDKAIARKL -ERMAEQAMTSMYKQARAEDKKSKIVSAMQTMLFGMIKKLDNDVLNGIISNARNGCVPLSIIPLCASNKLR -VVIPDMQIWKQVVTYPVLSYAGALWDITLINNVDGEVVRPSDVVDTNESLTWPLLLECTRAVASAVKLQN -NEIKPTGLKTMVVSAGQEQNSCTVKSVAYYEPVQGRKMLMGILSEDAHLKWARVEGQDGFITIELQPPCK -FLIAGSKGPEVRYLYFVKNLNNLHRGQLLGHIAATVRLQAGSNTEYASNSSVLSLVNFAVDPARAYTDYV -NAGGTPLTNCVKMLTPKTGTGIAISVKPESNLDQETYGGASVCLYCRAHIEHPDVSGVCKFKGKFVQIPA -QCTRDPVGFCLANVQCNVCQYWVGYGCNCDSLRENTMLHSKDTNFLNRVRGSIVDARIEPCASGLATDVV -YRAFDICNYKARVAGIGKHYKTNTCRFVELDDQGHKLDSYFVVKRHTLENYELERHCYDLLKACDSVAAH -DFFVFDVDKTKTPHIVRQRLTEYTMMDLVYALRHFDQNNCEVLKTILVRYGCCEESYFDNKLWFDFVENP -DVIRVYHKLGELVRRAMLSTVKFCDHMVKSGLVGVLTLDNQDLNGKWYDFGDFVVTQPGAGVAVVDSYYS -YLMPVLSMTDALAAETHKDCELSKPLIEWSLLDYDFTDYKLCLFEKYFKHWDQPYHPNCVNCVDDRCILH -CANFNVLFSMTLPKTCFGPLVRKVYVDGVPFVVSCGYHYKELGLVMNMDVNMHSHRLSLKELMMYAADPA -MHVASSNALLDLRTSCFSVAALATGLTFQTVRPGNFNQDFYDFVVSKGFFKEGSSVTLKHFFYAQDGHAA -ITDYNYYSYNLPTMCDIKQLLFCLEVVNKYFDIYDGGCLNASEVIVNNLDKSAGYPFNKFGKARVYYESM -SYQEQDELFALTKRNVVPTITQMNLKYAISAKNRARTVAGVSILSTMTNRQYHQKMLKSMAATRGATCVI -GTTKFYGGWDFMLKTLYKDVDNPELMGWDYPKCDRAMPNMCRIFASLVLARKHSTCCTNSDRFYRLANEC -AQVLSEYVLCGGGYYVKPGGTSSGDATTAYANSVFNILQAVTSNVGALMGANGNKIIDKEVKDMQFELYV -NIYRNSKPDFKFVDKYYAFLNRHFSMMILSDDGVVCYNKDYAARGYIAGIQNFKETLYYQNNVFLSEAKC -WVEPDIDKGPHEFCSQHTLLIKEGEDHYFLPYPDPSRILSAGCFVDDIIKTDGTLMVERFVSLAIDAYPL -TKHDNIEYQNVFWVYLQYIEKLYKDLTGHMLDTYSVMLCGDNSSKFWEEQFYKDLYSAPTTLQAVGSCVV -CHSQTSLRCGTCIRRPFLCCKCCYDHVIATPHKMVLSVSPYVCNAPGCDVSDVTKLYLGGMSYFCVNHKP -ICSFPLCANGLVFGLYKNMCTGSASIAEFNRLATCDWSESGDYTLANTTTEPLKLFAAETLRATEEASKQ -SYAIATIKEIVGERELILVWEAGKSKPPLNRNYVFTGYHITKNSKVQLGEYVFERVDYSETVTYKSSTTY -KLSIGDIFVLTSHSVATLTAPTVVNQEKYVKIVGLYPTLTVPTEFSTHVVNFQKVGYNKFVTVQGPPGTG -KSHFAVGLAIYYPTARIIFTACSHAAVDALCEKAFKYLNIAKCSRIIPAKARVECYDRFKVNETTSQYVF -STINALPETTADILVVDEVSMCTNYDLSIINARVKAKHIVYVGDPAQLPAPRTLLTRGTLEPENFNSVTR -FMCNLGPDIFLSTCYRCPKEIVTTVSALVYNNKLLSKKEQSGQCFKLLYKGCVTHDASSAINRPQLVFVK -NFIAANPAWSKAVFISPYNSQNAVARSMLGLNTQTVDSSQGSEYPYVIFCQTADTAHANNINRFNVAVTR -AQKGILCVMTSQALFDSLQFSELSVNNYKLQSQIVTGLYKDCSREMSGLSPAYAPTYMSVDEKFKTNDEL -CVNLNIPANVSYSRVISRMGFKLDASIPNYPKLFITKEEAIRQVRSWIGFDVEGAHASRNACGTNVPLQL -GFSTGVNFVVQPVGVVDTDWGNMLTNISAKPPPGEQFKHLVPLMHKGAAWPVVRRRIVQMVSDTLDKLSD -HCTFVCWAHGFELTSASYFCKIGKEQKCCMCNRRAGAYSSPLHSYACWAHSSGYDYVYNPFFVDVQQWGY -VGNLATNHDRYCTLHQGAHVASNDAIMTRCLAVHSCFIERVDWDIEYPYISHEKQLNSFCRIVERNVVRA -ALLAGGFNHVYDIGNPKGIPIVEDPVVDWHYYDAQPLRKNVKQLFYTEAMASDFSDGLCLFWNCNVPLYP -NNAIVCRFDTRVHSEFNLPGCNGGSLYVNKHAFHTPAYDVSAFRNLKPMPFFYYSTTPCEVHGTGSMLED -IDYVPLKSAVCVTACNLGGAVCRKHAIEYREYVEAYNLVSAAGFRLWCYKTFDIYNLWSTFSRVQGLENI -AFNVVKHGHFKGVEGELPVAVVNDKIFTKSGVNDVCVFENKTNLPTNVAFELYAKRAVRSHPDFKLLKNL -QADVCYKHVLWDYDRSNIYGTATIGVCKYTDIDINASLNICFDIRDQGSLEKFLTLQNGILISDRKIKNY -PCVVGPDYAYFNGAIIRDSETIKQPVKFYIYKKLNGEFLQFSDSIYTQGRSCSDFEPCTQMEKDFLSMES -NVFIKKYNLEDYAFEHVVYGDFSHTTLGGLHLLIGLYKKSLEGHIIMEEMLKESATIHNYFITETNTASF -KAVCSVIDLTLDDFVQILKSLDLGVVSKVVKISIDLTFVEFMLWCREGQVKTFYPRLQASNDWKPGLAMP -SLFKVQNVNLEPCQLVNYGQSISMPRGVHMNIAKYMQLAQYLNTCTIAVPANMRVMHFGAGSDKGVSPGT -SVLRQWLPSDAVLIDNDINNFVSDADITLFGDCATVRIGQQVDLVISDMYDPSTKNVRESNESKALFFTY -LCNFINRNLALGGSVAIKITEHSWSAELYELMGKFAWWTVFCTNANASSSEGFLIGVNYLGTFKEVIDGS -AMHANYIFWRNSTPMNLSTYSLFDLSKFCLKLKGTPILQLKESQINELVVSLLSQGKLLIRDNDTLSVST -DVLVNVYKSNPKRGNMYIC ->AHB63494.1 replicase polyprotein [Bottlenose dolphin coronavirus HKU22] -MSKYQKSVVSTRNAKVEVVYTRPGLPFLDYLRIMYGPTKKLDQIKDTSIGEVHCGDKVLKFINNPVVGIV -CNEGDVPFPELEEKYGGNELTKLYQHLAATQSIWSKSSADLVAKAESVAHVEGIDRLVGCYNLRINMCAT -LAVLKNFLWKIEGLVKNVAEGYIEPMQDKFNTLLGDYLVSVKITPTVVKELAKLAKSIPMLKVENHVLRL -FGAGGDFVDCINKFLSDVYYRHPGHVEYHGVKVSATIFYRNNCAKISRAYIMPPKVVCKPDVYFEATPAT -QFQTVIVEGSGEEVHELRQVIGEVPEGQKGIIVLSDGAAYFKYLGSDGKEYLSLWVGVGCLKYPIYVPEQ -PAAVKFAEAVSVLEYEQEEEPDIAIRCKFRCFNGNHIEKDLDKAIDEIVFEAECTIEEVHAVFYTRMCEY -FEEQGSSEEIPLFEETALVDPDYRLLDGLRKVVRLVMLGEVADAEDEDNYDWNDEDAESQPPDVEFEEQV -QDEDDSVEVDEGDYEEDTFNLAMGVPMIHSDDELDLVCDVDDDELVDEGEEPDVRSSSEETDLEVNVQCN -DDAGWSEDPLVVDEDSADTSAQEEDENESASAPETSQEDMATTPETSHNKNEVASAMETTYITNDMAVCN -PEAVVDGDIVEIENQFSSVIEEGEKNATSASADIVKSAVGLVDPRSDSLTDQQSGVGDLVCKQVVSSVAT -VQSEVVGEGSPVHTPDADALIASFTANQGVATKGFESASNDEESVSGAESVLPLTDCDTSSSSNSAKPLQ -QRQKRLKKRKAKKSGADADTDPSNYSGIKGVRDDCRDESAQASCKSVSSEEVTDVVKEDEDWGKAVDAQE -CKNLAGQTKPFIFYGDLQELLKQLGGFGSIIINAANERLQHGGGFAKAVASLAGQLFIKKCEGIIRTKGP -VPMDTRMVQTGPYNCSMYGVKAIRNAVAPRGNEQDIEAKLNTTYYHAIQDIVNKPEVIITPLLGAGIFNV -DPELSLKALLRYATNNVVIITNEKKQFEMLKAHGLIEATFSGVKTSAPGTSVKSEKELDWSDKRTEEEEA -NVSGTSVKDISTPRSEAQVVTPEEKINEEPKPEKAEEVKNYWKSECTRELNLGAVRGLNGIYKCKKSVFH -GSCNNPEHKGYCCVCNGHVSEVVYYSTFDGVNYKTHKFSNLGKLVKDVIGDKVYVDGVCVDNKPLFEIQP -GSTFLRLYPISEADKQHIRDINELSLKQYGVFAAVYAREHPIIESGGLRYLKQKNNNCFVSTALVMLQHL -KLEFKGIVKELWSNFLAGDARGIVAYTYALLYPTVKYGDMGDAEEVVLKYLNKANLCAQLTLNIKCKCGE -RNHTFNGVDAVYCIADVSTRHKALTSRIVCACNNVKSSIVYADLPFVFVHRNVTTKIKLEEDFVCANVFK -HGSHYCGTNAMYSYDSMSSKAGKPILESSFSAIVFEGFFKANTEVSEAIDEAIESGVDIHGSEENQDADA -GKQHAGSTFTPPRKGDTRGATGRTVVDVPSKGDVGSAVKTVESVSNAISVRQDPHFHVNNFEVLSVQSLP -AESADPVVKKASVVTMKGDFKPFKVGNTTVKPGKGPQLEEYLTLDVIKQAWITGDYNVVIRRTSTLTKML -GVVAMDTGKIVFDSMLKAANAIIDYAKRHNLQKKAIQEAKEVATMLSPYMDVKVVKGMLFNVWSYLLTVL -PLLQKPTELYGECLKKSSKVVGGVKASTWAVMGLACMCWWRQRQIAAGAVRLANWFTLGYLCYDYNTYSD -YHFNVFDYCNGTLCELCLSGVDSLHLYKHAKTTYQKVVTTDFVGYAPLYFILQAVFFKSAAMLSGLLFMI -KFTINFGVFNTGIYIVDYVVSTIANTIDPIMISTIVCGMIKLYRMANHYFYGCKNPACDRCHAANVSERT -ELSCVVGSKRFPYYVYANSVAKMCSKHMWCCKNCDVFGEGNTFISTAIVGDLTDKIRRKVKATSEALAIA -TLVEEGDNYELHYKLNGAEGSRVYDKNQFWNCAIPVSDASKCGKFEPDNFITYNASSQSELSLAKQCAVY -YAQVLSRPTIIVPLSQEEYTSGKVTRTQVTRLLDLCDDYYGISDAEVKMSVIKSNTGATLSAAVQQYVAK -IVPSQVMNLDVDFLLFCDRYGLTPSQAAYNNAVPNSTCNLDAYEGEQFLRVKEQVKSAFVQFGVKYVDFK -MALNDSKIAILQYDFLKSLPGNYLPSLLMRIRQAGVTLRVTTSQIMQQDTLGGVRVTMVEDRVSKTQKMP -KKKGGNPPSWIQRFFNFMQESFKMSLIGVLLGALMISLIAPTFTPSVVELASSGYKVIDNGVIRPIVETD -NCFANKYAGFNDWYYGFVGRYPYNSVDCPVVVALTTIMDANMKGAIVPGYAGNMAWLNGQIVHYRHEFSW -FTGSSAEGIVGYTRDIVVYGEDFIKSLALISARCVKLMMVSERLYCYGGSNDAIDALPFTAIQPHVVYND -AVGVGKLVVPEQLLYKPYIVYTQAREYCRAGVCEQAKEGYCINFNGEWALFNQHYTSKDGVYCGETPMSV -VMSIINAYIYQGTTTSFFNRFCVLSVMMLLALIALVYVVKFQAFFKTYAGLACAILLSWGFNAIMLLSYS -VNPIVVMPLIVVYMYVALTVTTPTAMIMHVAFLVTIVPMLPYSLMAIYGAYVLLMYTSVACWFVKTKVSS -GKLFEKGEFVADFDTAARSTFLINNSVYVKLVNEVGDKFQKYLSGYARLKYYSGSGGDQECLDACRATLA -NALENFKNTQVEVLYTPPRFGVNLVTRLQAGIKKMVAPSSAVEQCVVSVVHGNTQLNGLWLNDYVLCPRH -ILGKYTGEQWRDALINANNFDFHILYKGMELQVVGRELVGALLKLKVSMVNANTPKYKFAKARIGDNFSI -ACAYNGHVSGLYTVTLRENGTLKGSFMSGSCGSVGYNVTNEGVEFVYMHHLELPGCVHGGSDLHGMFYGG -YVDEEVLQRIPPAPANSRNIVAWLYAAVYNNCDWFVKYGPKQVMSVEDFNEWASGYGFTKFEYHLAFDVF -SAATGVSVEQMLAALKELADGWNYAPILGSFHLDDEYSPEMIMQQTSGIVLQSNVKKATKGFLSRLGYWL -VMVILTVYASCNVVPLDMLPQLIMSVGVGFVIMFVTLKHQHFFMTTAIIPYVVTSILGMALEKPVFYNGW -YFSFFHDFLKYVGIEATHQYAWMLLPFALYVAYNVAKGVGSTLINFNAGGISLWNSLVYGMRWGSTLRFL -YTALMSDNVFETFGSSGEFYINMHMACVGLFSSDPASGYIIMWIVKQVNYVGYLAYFTELSYYTFVFYSC -VLCYMFSCYFGLFWFTNKVLGTTFGKYPYKVSAGQFKYMMLNGMRGPRSTADVLITNMRLAGIGGERTIT -VSTVQSKLTDVKCATVVLMQLLTKLNVEANSRLHKHLVQTHNDILAESDPAICIEKLTGMLMTLLSIDST -LDVKALCDELLAKESVLQAVTDEFCALPSYVDYEKARAAYEEIQKNSTNPQEIKAYKKAMNIAKSVLDRD -IAVQKKLDAMAERAMSTMYKEAKSSEKKTRLVSSLHALLFSMIKRLDSDQVADVFEKARNGVVPLASVPI -TCSNKLTIVIPDMSLWEKVVVHDYVVYGNIVWDINEVVDMDGNQILPCRVSGEASWPLEVRLVRNGKLVG -DLALLQNNELLPTGIKKSPCVAGANQERCAVPANAYYTSLKGQHFVAAITSEDPTLKYASFTGASGNLVV -VELEPPCKFGVKTPDGAVKVMHLYFVKNVKNIVRGMVLGALTNVVALQHGVSTESVENCGILSLCSFALD -AKECYLEYIKEGGTPLSNCVKMLTLHTGSGAAVTVKPAPTPEQDSFGGASVCLYCRAHISHPGVYGVCQF -KGKFVQIPVEEKDPVGFCLRNKICTVCQMWIGFGCQCDSLRKDPLSIDPKPAAMPIRPKELLGVRAAGEN -SQDSAGFHHPGLDFTRVGANSESLELQSVPQNYLNRVRGLSEARLRPCASGLLPDVVKRAFDLYNSNTAG -MYASLKHNCARFQELDENDDEIDSFFVVKQTTPHNFEHEEKCYLDLKADCVAVHDFFRFEGMYNICRQRL -TKYTMMDLCYAFRHFDPNDCDVLKEILVVKGCCEWDYFDQPNWYDPVENPDWFSLISRLGPIFQRALIKV -AEFCDLMVEKGYIGVVTLDNQDLNGNFYDFGDFKKVLPGCGVPVTTSYYSYMMPCLTACDALASERFFEF -KATSGYKQYDLTKYDFTEEKLQLFMKYFKYWDRTYHPNCVECIDDRCLIHCANFNILFATLFPQTAFGCL -CKRVYIDGVPFISTTGYHSKELGVLLNKDNSMSFSKMSIGELMRFAADPSLLVSASDAFVDLRTSCFSLS -ALSTGLTYQTVKPGHFNEDFYNFAEKKGFFKEGSSIPLKHFFYIQDGNAAIADFDYYRFNKPTMVDIQQF -LFCFEVTDKYFEQYDGGCIPANQVVVTNLDKSAGYPFNKFGKARLYYESLSYAEQDQLFELTKRNILPTI -TQINMKYAISAKSRARTVAGVSILSTMTNRQFHQKCLKSIVNTRNATVVIGTTKFYGGWDNMLRNLMRGV -EDPVLMGWDYPKCDRAMPSLLRLSASLILARRHKGCCDWNERIYRLANEAAQVLSEVALSNGGLYVKPGG -TSSGDATTAYANSAFNLFQATAANVAQLLATPTSRIYVEEVRALQHELYTQVYRRDKPDMDFVYTFYAYL -NKHFSLMILSDDGVVCYNKSYAEAGMVASIASFREVLFYQNNVFMADSKCWTEEDVKIGPHEFCSQHSML -VEIDGEMRYLPYPDPSRILGACVFVDDVEKTEPVVVMERYVALAIDAYPLIYHENEEYGKVFYLLLSYIQ -TLYQRLSNDMLMDYSFVMNIDTSSKFWEEDFYRQMYESSPTLQASGVCVVCNSQTVLRCGNCLRKPFLCC -KCCYDHVMETEHKNVLSINSYVCSVAGCGESNVCKLFLGGMSYFCLDHKPRLAIPLVSGGTVFGIYRATC -SGSPDVAVFNKLATTDWKSIDSYVLANNCSDSLRRFAAETVKATEELEKQQYASAEIKEIVGEKELVLSW -EPGKTRPPLNRNYVFTGYHFTRNGKTQLGEFTFEKSDSQQSVYYKATSTSKLAVGDLFVLTSHNISPLTA -PTICNQQIYTKFQNITPNLFLSEAFADNIPLYHIVGKQRRTTVQGPPGSGKSHFAIGLAAYFSNARIVYT -ACSHAAVDALCAKAFKFLKHDDCTRVVPMRVGVDCFSDFKVNDMTRKYIFSTINALPEVSCDILLVDEVS -MLTNYELSYLNQKISYQYVVYVGDPAQLPAPRTLLNGCLEPAHYNLVTNIMVSTKPDIFLAKCYRCPKEI -VDTVSELVYEGKFIANNPVSGQCFKCVVNTGNSDVKRELGSAYNQVQLDFVADFLKHNPLWRKACFISPY -NSMNQRARRQLGLEVQTVDSSQGSEYDYVIFAVTADSPHAMNINRFNVAVTRAKVGILVLMRHNDSFYQG -LKFVEIEGPRGKLQSQTTGLFKICSRNFKGLPPAYAPTYIALDEKYKVGDSVLATLLKVDTGSCVPYSHL -VSLLGFKFDKGAGSSQIVSKYSPFITRDMAIRNVRGWVGFDVEASHAANEHIGTNLPLMVGFSIGTDYLV -ANEGLVMTEYNDEFRKIPARCPPGDQFAYLKPLLHKGKPWNVIRPQIVRMMADHLQDISDCVVLVTWSHS -LELTTMRYFCKMGKEQKCKQCEGRGCAYNSAAETFHCWKHSFGCDFLYNPYLVDVQQWGYSGNLSSNHDR -YCNMHGNAHVASADAKMTRCLAVYDCFVKNINWDVEYPIIANEEDVNRCCRHLQKLFVKSVCIGMNYDKV -HDIGNPKGIKSANGISFNFYDKDPVADNVIRLDYDYDSMCERFKDGLALFWNCNVNCYPDNALVCRFDTR -TLNALNLPGCNGGSLYVNKHAFHTEKYDRSAFRNLKSMPFFFFDDSPCDVKLVNDVAQDLVALSARDCIT -RCNIGGAVCWKHAKAYAEFVHAYNTCTLAGFTFWVSEKFDPYVLWKKFYKLQSLDQLVANVVKRDHFDDV -KDDVPYVITGDKVFYRKGLQDVLIFENKTSMATSIAFEYYARRNIDVIPKSAILYGLGVDVTAGFTLWDR -ELSQPVFNGVVDTSKVIDIEPNEKLCVLYDDRVKGSRQRFLQTKNAVLISTTRVKVDGIELESVRIPASS -CQIDSTPVSTVRDGNGQMFVYVRKDGKHVVPTSQLFTEQRSFEDFFPLSVMERDFLNMEAPQFIAKYDCK -GLGLEHVLYGDFSKKVIGGAHLTIGLARLYTQEKFDVHEISPSSFDVFKSYFVVTHAGAMKQVCTVLDVL -LDDFINILKEIKVSHKGVVSEVLHYVVDYQTVDFMLWMGDNAINTFYPKLQADYWDSIKGKHPSVWSPGF -NMPELYKVQNCQLEKCELANYGKTVLLPPGILMNVAKYTQLCQYLSKTTMCVPHNMRVMHFGAGSDKGVA -PGTSVLRQWLPEGALLIDNDINRYVSDADACVISDCNVFKSQGKFDLIISDMYTSPKGVDIHEGIIKNNG -ISDCFGYLCHFIKNNLSLGGTFAVKITETSWLPELYELAQKCAYWTCFCTAVNTSSSEAFLVGVNYLGDV -EKPIIDGSVMHANYLFWRNVTDLPLSARSLFDIAKFGLKVKATPVVNLKKENITELVINLLKNGKIVIRD -SASVHYVDDSLII ->ABD75543.1 orf1ab polyprotein [Human coronavirus HKU1] -MIKTSKYGLGFKWAPEFRWLLPDAAEELASPMKSDEGGLCPSTGQAMESVGFVYDNHVKIDCRCILGQEW -HVQSNLIRDIFVHEDLHVVEVLTKTAVKSGTAILIKSPLHSLGGFPKGYVMGLFRSYKTKRYVVHHLSMT -TSTTNFGEDFLGWIVPFGFMPSYVHKWFQFCRLYIEESDLIISNFKFDDYDFSVEDAYAEVHAEPKGKYS -QKAYALLRQYRGIKPVLFVDQYGCDYSGKLADCLQAYGHYSLQDMRQKQSVWLANCDFDIVVAWHVVRDS -RFVMRLQTIATICGIKYVAQPTEDVVDGDVVIREPVHLLSADAIVLKLPSLMKVMTHMDDFSIKSIYNVD -LCDCGFVMQYGYVDCFNDNCDFYGWVSGNMMDGFSCPLCCTVYDSSEVKAQSSGVIPENPVLFTNSTDTV -NHDSFNLYGYSVTPFGSCIYWSPRPGLWIPIIKSSVKSYDDLVYSGVVGCKSIVKETALITHALYLDYVQ -CKCGNLEQNHILGVNNSWCRQLLLNRGDYNMLLKNIDLFVKRRADFACKFAVCGDGFVPFLLDGLIPRSY -YLIQSGIFFTSLMSQFSQEVSDMCLKMCILFMDRVSVATFYIEHYVNRLVTQFKLLGTTLVNKMVNWFNT -MLDASAPATGWLLYQLLNGLFVVSQANFNFVALIPDYAKILVNKFYTFFKLLLECVTVDVLKDMPVLKTI -NGLVCIVGNKFYNVSTGLIPGFVLPCNAQEQQIYFFEGVAESVIVEDDVIENVKSSLSSYEYCQPPKSVE -KICIIDNMYMGKCGDKFFPIVMNDKNICLLDQAWRFPCAGRKVNFNEKPVVMEIPSLMTVKVMFDLDSTF -DDILGKVCSEFEVEKGVTVDDFVAVVCDAIENALNSCKEHPVVGYQVRAFLNKLNENVVYLFDEAGDEAM -ASRMYCTFAIEDVEDVISSEVVEDTIDGVVEDTINDDEDVVTGDNDDEHVVTGDNDDEHVVTGDNDDEDV -VTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDV -VTGDNDDEDVVTGDNDDEHVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDVVTGDNDDEDV -VTGDNDDEDVVTGDNDDEDVVTGDNDDDNDDQIVVIGDDVDDIDTINCESIYEFDTYKALLVFNDVYNDA -LFVSYGSSVETETYFKVKGLWSPTITHTNCWLRSVLLVMQKLPFKFKDLAIENMWLSYKVGYNQSFVDYL -LTTIPKAIVLPQGGFVADFAYWFLNQFDINAYANWCCLKCGFSFDLNGLDAVFFYGDIVSHVCKCGYNMT -LIAADLPCTLHFSLFDDNFCAFCTPKKNFIAACAVDVNVCHSVAVIGDEQIDGKFVTKFSGDKFDFIVGY -GMSFSMSSFELAQLYGLCITPNVCFVKGDIINVARLVKADVIVNPANGHMLHGGGVAKAIAVAAGKKFSK -ETAAMVKSKGVCQVGDCYVSTGGKLCKTILNIVGPDARQDGRQSYVLLARAYKHLNKYDCCLSTLISAGI -FSVPADVSLTYLLGVVDKQVILVSNNKEDFDIIQKCQITSVVGTKALAVRLTANVGRVIKFETDAYKLFL -SGDDCFVSNSSVIQEVLLLRHDIQLNNDVRDYLLSKMTSLPKDWRLINKFDVINGVKTVKYFECPNSIYI -CSQGKDFGYVCDGSFYKATVNQVCVLLAKKIDVLLTVDGVNFKSISLTVGEVFGKILGNVFCDGIDVTKL -KCSDFYADKILYQYENLSLADISAVQSSFGFDQQQLLAYYNFLTVCKWSVVVNGPFFSFEQSHNNCYVNV -ACLMLQHINLKFNKWQWQEAWYEFRAGRPHRLVALVLAKGHFKFDEPSDATDFIRVVLKQADLSGAICEL -ELICDCGIKQESRVGVDAVMHFGTLAKTDLFNGYKIGCNCAGRIVHCTKLNVPFLICSNTPLSKDLPDDV -VAANMFMGVGVGHYTHLKCGSPYQHYDACSVKKYTGVSGCLTDCLYLKNLTQTFTSMLTNYFLDDVEMVA -YNPDLSQYYCDNGKYYTKPIIKAQFKPFAKVNGVYTNFKLVGHDICAQLNDKLGFNVDLPFVEYKVTVWP -VATGDVVLASDDLYVKRYFKGCETFGKPVIWFCHDEASLNSLTYFNKPSFKSENRYSVLSVDSVSEESQG -NVVTSVMESQISTKEVKLKGVRKTVKIEDAIIVNDENSSIKVVKSLSLVDVWDMYLTGCDYVVWVANELS -RLVKSPTVREYIRYGIKPITIPIDLLCLRDDNQTLLVPKIFKARAIEFYGFLKWLFIYVFSLLHFTNDKT -IFYTTEIASKFTFNLFCLALKNAFQTFRWSIFIKGFLVVATVFLFWFNFLYINVIFSDFYLPNISVFPIF -VGRIVMWIKATFGLVTICDFYSKLGVGFTSHFCNGSFICELCYSGFDMLDTYAAIDFVQYEVDRRVLFDY -VSLVKLIVELVIGYSLYTVWFYPLFCLIGLQLFTTWLPDLFMLETMHWLIRFIVFVANMLPAFVLLRFYI -VVTAMYKVVGFIRHIVYGCNKAGCLFCYKRNCSVRVKCSTIVGGVIRYYDITANGGTGFCVKHQWNCFIC -HSFKPGNTFITVEAAIELSKELKRPVNPTDASHYVVTDIKQVGCMMRLFYDRDGQRVYDDVDASLFVDIN -NLLHSKVKVVPNLYVVVVESDADRANFLNAVVFYAQSLYRPILLVDKKLITTACNGISVTQTMFDVYVDT -FMSHFDVDRKSFNNFVNIAHASLREGVQLEKVLDTFVGCVRKCCSIDSDVETRFITKSMISAVAAGLEFT -DENYNNLVPTYLKSDNIVAADLGVLIQNGAKHVQGNVAKAANISCIWFIDAFNQLTADLQHKLKKACVKT -GLKLKLTFNKQEASVPILTTPFSLKGGVVLSNLLYILFFISLICFILLWALLPTYSVYKSDIHLPAYASF -KVIDNGVVRDISVNDLCFANKFFQFDQWYESTFGSVYYHNSMDCPIVVAVMDEDIGSTMFNVPTKVLRHG -FHVLHFLTYAFASDSVQCYTPHIQISYNDFYASGCVLSSLCTMFKRGDGTPHPYCYSDGVMKNASLYTSL -VPHTRYSLADSNGFIRFPDVISEGIVRIVRTRSMTYCRVGACEYAEEGICFNFNSSWVLNNDYYRSMPGT -FCGRDLFDLFYQFFSSLIRPIDFFSLTASSIFGAILAIVVVLVFYYLIKLKRAFGDYTSVVVINVVVWCI -NFLMLFVFQVYPICACVYACFYFYVTLYFPSEISVIMHLQWIVMYGAIMPFWFCVTYVAMVIANHVLWLF -SYCRKIGVNVCSDSTFEETSLTTFMITKDSYCRLKNSVSDVAYNRYLSLYNKYRYYSGKMDTAAYREAAC -SQLAKAMETFNHNNGNDVLYQPPTASVSTSFLQSGIVKMVSPTSKIEPCIVSVTYGSMTLNGLWLDDKVY -CPRHVICSSSNMNEPDYSALLCRVTLGDFTIMSGRMSLTVVSYQMQGCQLVLTVSLQNPYTPKYTFGNVK -PGETFTVLAAYNGRPQGAFHVTMRSSYTIKGSFLCGSCGSVGYVLTGDSVKFVYMHQLELSTGCHTGTDF -TGNFYGPYRDAQVVQLPVKDYVQTVNVIAWLYAAILNNCAWFVQNDVCSTEDFNVWAMANGFSQVKADLV -LDALASMTGVSIETLLAAIKRLYMGFQGRQILGSCTFEDELAPSDVYQQLAGVKLQSKTKRFIKETIYWI -LISTFLFSCIISAFVKWTIFMYINTHMIGVTLCVLCFVSFMMLLVKHKHFYLTMYIIPVLCTLFYVNYLV -VYKEGFRGFTYVWLSYFVPAVNFTYVYEVFYGCILCVFAIFITMHSINHDIFSLMFLVGRIVTLISMWYF -GSNLEEDVLLFITAFLGTYTWTTILSLAIAKIVANWLSVNIFYFTDVPYIKLILLSYLFIGYILSCYWGF -FSLLNSVFRMPMGVYNYKISVQELRYMNANGLRPPRNSFEAILLNLKLLGIGGVPVIEVSQIQSKLTDVK -CANVVLLNCLQHLHVASNSKLWQYCSVLHNEILSTSDLSVAFDKLAQLLIVLFANPAAVDTKCLASIDEV -SDDYVQDSTVLQALQSEFVNMASFVEYEVAKKNLADAKNSGSVNQQQIKQLEKACNIAKSVYERDKAVAR -KLERMADLALTNMYKEARINDKKSKVVSALQTMLFSMVRKLDNQALNSILDNAVKGCVPLSAIPALAANT -LTIIIPDKQVFDKVVDNVYVTYAGSVWHIQTVQDADGINKQLTDISVDSNWPLVIIANRYNEVANAVMQN -NELMPHKLKIQVVNSGSDMNCNIPTQCYYNNGSSGRIVYAVLSDVDGLKYTKIMKDDGNCVVLELDPPCK -FSIQDVKGLKIKYLYFIKGCNTLARGWVVGTLSSTIRLQAGVATEYAANSSILSLCAFSVDPKKTYLDYI -QQGGVPIINCVKMLCDHAGTGMAITIKPEATINQDSYGGASVCIYCRARVEHPDVDGICKLRGKFVQVPL -GIKDPILYVLTHDVCQVCGFWRDGSCSCVGSSVAVQSKDLNFLNRVRGTSVNARLVPCASGLSTDVQLRA -FDICNTNRAGIGLYYKVNCCRFQRIDDDGNKLDKFFVVKRTNLEVYNKEKTYYELTKSCGVVAEHDFFTF -DIDGSRVPHIVRRNLSKYTMLDLCYALRHFDRNDCSILCEILCEYADCKESYFSKKDWYDFVENPDIINI -YKKLGPIFNRALLNTVIFADTLVEVGLVGVLTLDNQDLYGQWYDFGDFIQTAPGFGVAVADSYYSYMMPM -LTMCHVLDCELFVNDSYRQFDLVQYDFTDYKLELFNKYFKYWGMKYHPNTVDCDNDRCIIHCANFNILFS -MVLPNTCFGPLVRQIFVDGVPFVVSIGYHYKELGVVMNLDVDTHRYRLSLKDLLLYAADPAMHVASASAL -LDLRTCCFSVAAITSGIKFQTVKPGNFNQDFYEFVKSKGLFKEGSTVDLKHFFFTQDGNAAITDYNYYKY -NLPTMVDIKQLLFVLEVVYKYFEIYDGGCIPASQVIVNNYDKSAGYPFNKFGKARLYYEALSFEEQNEIY -AYTKRNVLPTLTQMNLKYAISAKNRARTVAGVSILSTMTGRMFHQKCLKSIAATRGVPVVIGTTKFYGGW -DDMLRHLIKDVDNPVLMGWDYPKCDRAMPNILRIVSSLVLARKHEFCCSHGDRFYRLANECAQVLSEIVM -CGGCYYVKPGGTSSGDATTAFANSVFNICQAVTANVCSLMACNGHKIEDLSIRNLQKRLYSNVYRTDYVD -YTFVNEYYEFLCKHFSMMILSDDGVVCYNSDYASKGYIANISVFQQVLYYQNNVFMSESKCWVENDITNG -PHEFCSQHTMLVKIDGDYVYLPYPDPSRILGAGCFVDDLLKTDSVLLIERFVSLAIDAYPLVYHENEEYQ -KVFRVYLEYIKKLYNDLGTQILDSYSVILSTCDGLKFTEESFYKNMYLKSAVMQSVGACVVCSSQTSLRC -GSCIRKPLLCCKCCYDHVMATNHKYVLSVSPYVCNAPNCDVSDVTKLYLGGMSYYCENHKPHYSFKLVMN -GMVFGLYKQSCTGSPYIDDFNKIASCKWTEVDDYVLANECIERLKLFAAETQKATEEAFKQSYASATIQE -IVSDREVILCWETGKVKPPLNKNYVFTGYHFTSTGKTVLGEYVFDKSELTNGVYYRATTTYKLSIGDVFV -LTSHSVASLSAPTLVPQENYASIRFSSVYSVPLVFQNNVANYQHIGMKRYCTVQGPPGTGKSHLAIGLAV -YYYTARVVYTAASHAAVDALCEKAYKFLNINDCTRIIPAKVRVDCYDKFKINDTTCKYVFTTINALPELV -TDIVVVDEVSMLTNYELSVINARIKAKHYVYIGDPAQLPAPRVLLSKGSLEPRHFNSITKIMCCLGPDIF -LGNCYRCPKEIVETVSALVYDNKLKAKNDNSSLCFKVYFKGQTTHESSSAVNIQQIYLISKFLKANPVWN -SAVFISPYNSQNYVAKRVLGVQTQTVDSAQGSEYDYVIYSQTAETAHSVNVNRFNVAITRAKKGIFCVMS -NMQLFESLNFITLPLDKIQNQTLPRLHCTTNLFKDCSKSCLGYHPAHAPSFLAVDDKYKVNENLAVNLNI -CEPVLTYSRLISLMGFKLDLTLDGYSKLFITKDEAIKRVRGWVGFDVEGAHATRENIGTNFPLQIGFSTG -VDFVVEATGLFAERDCYTFKKTVAKAPPGEKFKHLIPLMSKGQKWDIVRIRIVQMLSDYLLDLSDSVVFI -TWSASFELTCLRYFAKLGRELNCNVCSNRATCYNSRTGYYGCWRHSYTCDYVYNPLIVDIQQWGYTGSLT -SNHDIICNVHKGAHVASADAIMTRCLAIYDCFCKSVNWNLEYPIISNEVSINTSCRLLQRVMLKAAMLCN -RYNLCYDIGNPKGLACVKDYEFKFYDAFPVAKSVKQLFYVYDVHKDNFKDGLCMFWNCNVDKYPSNSIVC -RFDTRVLNKLNLPGCNGGSLYVNKHAFHTNPFTRTVFENLKPMPFFYYSDTPCVYVDGLESKQVDYVPLR -SATCITRCNLGGAVCSKHAEEYCNYLESYNIVTTAGFTFWVYKNFDFYNLWNTFTTLQSLENVIYNLVNV -GHYDGRTGELPCAIMNDKVVVKINNVDTVIFKNNTSFPTNIAVELFTKRSIRHHPELKILRNLNIDICWK -HVLWDYVKDSLFCSSTYGVCKYTDLKFIENLNILFDGRDTGALEAFRKARNGVFISTEKLSRLSMIKGPQ -RADLNGVIVDKVGELKVEFWFAMRKDGDDVIFSRTDSLCSSHYWSPQGNLGGNCAGNVIGNDALTRFTIF -TQSRVLSSFEPRSDLERDFIDMDDNLFIAKYGLEDYAFDHIVYGSFNHKVIGGLHLLIGLFRRLKKSNLL -IQEFLQYDSSIHSYFITDQECGSSKSVCTVIDLLLDDFVSIVKSLNLSCVSKVVNINVDFKDFQFMLWCN -DNKIMTFYPKMQATNDWKPGYSMPVLYKYLNVPLERVSLWNYGKPINLPTGCMMNVAKYTQLCQYLNTTT -LAVPVNMRVLHLGAGSDKEVAPGSAVLRQWLPSGSILVDNDLNPFVSDSLVTYFGDCMTLPFDCHWDLII -SDMYDPLTKNIGDYNVSKDGFFTYICHLIRDKLSLGGSVAIKITEFSWNADLYKLMSCFAFWTVFCTNVN -ASSSEGFLIGINYLGKSSFEIDGNVMHANYLFWRNSTTWNGGAYSLFDMTKFSLKLAGTAVVNLRPDQLN -DLVYSLIERGKLLVRDTRKEIFVGDSLVNTC diff --git a/seq/clusters_seq/cluster_630 b/seq/clusters_seq/cluster_630 deleted file mode 100644 index 3330660..0000000 --- a/seq/clusters_seq/cluster_630 +++ /dev/null @@ -1,55 +0,0 @@ ->APG78284.1 RdRp [Hubei partiti-like virus 50] -MERLLKCNTSCRRLTLIPDQPNEYVTGNRNAWPILRKFFEPKTFNLSTDTYEKVKSYFKNYFGKLKPTHF -NDAVLTIPQNTSPGYDLKKQGYTTKGDVLRSPEAYNNLRYYCHRVKEQKPVYLPRSRVVVVKSKFKDGKF -KNRTVWTYPLQITAIESIFYAEFGKHIKNDPFWIPKPESHHKGFCGKRSHDVDFSNFDSSIHRNLIKAAF -SIIYSCIDFSTYACGSIPYSSRSLRRLFKFIENYFIYTPFLIELEGRDCRGTKSHGVPSGSMFTNLVDTI -VSRLVLSEIHENKCKIITYGDDAHIANCIHGPRIYEDGEATLKIKRSAPNEHGCLTYCKAECHNGISFHS -GQWYANILWNLKNKYLISPVAHCLQYTNPTYDQFLQLRKLQYTHKREKIKSPFVRAKLQRVHDYIIGEHA -NLTISRL ->APG78219.1 RdRp [Hubei coleoptera virus 5] -MLSRFARSVERLTIPSKMRSRLRDPEPLVRKFLARHKNDIPSDFFDELPTFENLLPKQEYRPTHFKEVRC -NNPSGSPGQYLRRKFHVRTQGDAYASKHVVDHMHFLTHLLKRGCPMTFVANACVVSSVCDFGDENIFSRT -FEPTHKLRVAWVYDLTLLHIEKMFAQSISDEIDFTKHIPKPETFHNQWCTPFTYQLDISSFDASVPRWLI -YTAFAIIFSRFNLEYYLGRGKVNKRFSLQHLVNVIIHNFIYTKFTTTTNSTIRQKKNGVPSGSAFTNIID -SIISKLLCSYVLRKELSRPLYHVHTYGDDTMFKTALSFNPDSILATYESLGFKIRFEPQLANGCNIYCKA -WCLGGIQFHPGKWFSNILSCCRDDRYWNSLIYALILTYRPTTLQTTELLRLVRDPIETLDIPSWMSYMLT -NGRSATMAVQLD ->APG78221.1 RdRp [Hubei coleoptera virus 6] -MVAIDKIRTITYLPPFARECEAVAQRPTKFRSSSRCLKNAVNKYLDTLRSTEPSGFDLNIDLDDILTQET -YYPLHFNDVKEYNHKGSPGPMFSSYGYKTQHDVYSDPKAVRTMRKIQHLIKSDKPVSFCWRAAVASATET -IDGKVKQRVVMVPDLTLLHMEKMFAQPIVDSIHHESRALGARNYCKRMCGPNLVQLDIKSFDMSVPAWLI -QRAFQILFSRFCFTHYYSDSAGFQEVSKRYSLLHCVNVIISNFIHSKFLADQYKFQKHHGVPSGSAFTNL -VDTVVSRLLAHNALLDQGISDAIVSTYGDDTSIKLQRLLDFDPDKCGEYYRNLGFEIEFEPLLPNNHGVF -GKEWCLGNGVGYHPGIFYRNIINCIRDTRYLGLLGYALISTFSMTPRQATGIIQYTGTDFEVRKPPLWLT -RLITHGGDKMPGLEAIL ->APG78279.1 RdRp [Wuhan spider virus 10] -MLSKLKCGCLRSANIFGATPSKLPSGDRNPNGILRKFLNQVPSTRPADFDRAEESVFDWLYSTFGTLNPY -HFNDIIEGLKVNTSPGLYYRKLGFKTKRDVLEQRPNAIRYQVHRLKSTKFGFRPKSFVCVVDSTETANGI -KNRVAWVYPLVICAAEAMFFEGFRRVIVSTPDWVPAPSTMHDQYCGSTSKSYDFKNFDSSVPRWLIEIAF -DMIKAILDFDRYAPDDTGRIGVPYSGTSLEQLFDRIVEYFIQTPFVSSTGYEGVSVGGVPSGSTFTNLVD -TIVSRLILTYMHRPRCSIKTYGDDCHVKCSCNVLPTVEYGTAQELGFKLKIEEPNEHQCLTYCKAECHLG -QPFHPGSWFAGIFMCAREEMAGNIAYCLALSVYPTRDQAAELLDIVSTDGLYVPHSSEERKLAKIVSGGQ -TSDLEAALKGKTVQCSSL ->APG78321.1 RdRp [Hubei partiti-like virus 51] -MQFPVRTKIADVIFSCVRDRPQPTKVDSPHTKDVAPILRKFLARHAPRPANFVIPEFPFLKEHYRVRHLL -DAINNLSQQSLTSSPGFFYKSKGFRTVKDVISSHENVRRIRARAHHIKSGHGKPLPVCTVSVARAVYDME -KKEVKRRVAWNFPFLVRIYEQMFAQPIIEQLPEHYILDPRTHHNTLCGIGGVKTDFSNFDASVPYWLLEA -CVNNLFSRIEDEYEDGGKKITLMPLLDAIRQYILRTPYIYKGRRFVKYHGVPSGSAFTNILDSMASYALL -FNAHRKCLGPLYIATYGDDAAYRCEGCRFEDVARYLEGECGMKVKKEEGLGNNCLVYCKKYCYLGEPIHP -TLWFINILNCVRHWKYRRGVAWQLAHWPFNPTPDQYDLLIKRYRARPGPLPRRLLEYLNLLRE ->APG78280.1 RdRp [Hubei partiti-like virus 52] -MTISSMILDGDKATLWCGCQRQHSIFVTPTKCRSNSREVTHNIRSFLSAHSETPPSDFDKYDADLSDLLL -DTHYPTHFNDLIDRFVEKSSCGLFFKEQYGYRTQGDVLQDPVAVHTARTYIHRIKSGLPVKTTPWHVVCV -SAKFDFDTKETKHRVIWVPDMCRLWAEKMFAQDIYDTIDFDRVVPTPENRCYSLCGEDTIDTDFSGFDAS -VPAWLINAAFDRIKETFDFSTYICGSPVSKRYSLERLFEWVRYGFIHSQYQSLSLRGTKHHGVPSGSAFT -WLINTICSRMLLDYVTKHLGLKESVVDTYGDDGHITHAKPHSEAICEVLTSLSFSVKIDFASPCGHNTYC -KTECHNGIPFHEGLWARNILACCREGYEGAVAWCLLKSNSWTILQESQLRSLATRPDKFPTWLLYMFGKG -RPLGTFEIPP ->APG78220.1 RdRp [Hubei coleoptera virus 4] -MRYLHPFSILRLVSIFEATARACRSLYDDALPFIRKYCSAHKEHVYVPPHARRITLEWLRSKYGFYQYKP -MHFNDAVKFMLSNSNSLDTSPGITFRSLGYKTKREVLENDSCIGKLHSMMHAVKKYEAYYTPIVFACVMK -AKEILGQVKARFAFVMPIQVLIAETMLFGPLIRDLPVDWVPTPKTHHDRFCGNKSKSFDFASFDSSVPRE -LIRAAFDIFVQLIDFEHYEGYGTPSNLEELFLYVENVYLHTRVRVPQSDRVFQLNHGVPSGGLVTNLLDT -VISRLVIETLHDEECESISTTYGDDCHSVNCSCDNDLLVDRAKALFGMDLKIIPPNELGCLTFCKCECIM -GHPFHSGQWFKDIIDTTEASILPDVIECLTHMSPTKDQAAELLTIAQDCGPTNPHPALLRKVSRMREFLV -GVNFSPQFTSL diff --git a/seq/clusters_seq/cluster_631 b/seq/clusters_seq/cluster_631 deleted file mode 100644 index 052cb81..0000000 --- a/seq/clusters_seq/cluster_631 +++ /dev/null @@ -1,31 +0,0 @@ ->YP_009255396.1 VP5 protein [Victorian trout aquabirnavirus] -MNHEHKQSNRNLLEIHYASREWSSKHSGRHNRETHLKTRDLVIQPRGLRLRKWASCLLPWCSWLKNWCSL -QVESEPDGSGIRPVAGDIPGPEESIQLREADISEIRHPEFYASSWSVCAQRDPERSHVRRKSV - ->YP_009177607.1 VP5 protein [Tasmanian aquabirnavirus] -MQDEHKQGNRNLLEIHYASRDWTSKHPGRHNGETHLKTRDLVIQLRGLRIRKWHSCLFPWSARIKGRCSL -QMECEPDGAGVRPVAGDVAGPEEGLQLWEADFTEVRHPKLHTAGWPLCPERNAQRCHIRRQSV - ->YP_899471.1 Protein VP5 [Yellowtail ascites virus] -MNHEHNKGNRNLLEIHYASREWSSKHSGRHNRETHTKTRDLVIQLRGLRLRKWASCLLPWSSWIQSRCPL -QVESEPDGTGIRPVAGNITGPEEGIQLREADLTEIRRPELNPTRWSVCPEWDPERRDLRRKSV - ->NP_047195.1 hypothetical protein IPNVsAgp1 [Infectious pancreatic necrosis virus] -MAKALSNKQPTILIYMNHEHIQGNRNLLEIHYASREWASKHSGRHNREAYTKTRDLVIQLRGIRIRKWAS -CLLPRSSWIQGRCPLQVESEPDGTRIRPVARDVTGPKEGIQLRETDLTEIRHPELNPSRWSVCTQWDPER -CHLRRKSV - ->sp|Q6U2P6.1|VP5_IPNVS RecName: Full=Protein VP5 -MQDEHKQGNRNLPEIHYASRDWTSKHPGRHNGETHLKTRDLVIQLRGLRIRKWHSCLFPWGTRLTDRCTL -QMECEPDGAGVRPVAGDVAGPEESLQLREADLKEIRHPKLHTTGRSLCSERDAQRCHLRRQSV - ->sp|P22496.1|VP5_IPNVN RecName: Full=Protein VP5 -MAKALSNKQTNNLYSIQDEHKQGNRNLLEIHYASRDWTSKHPGRHNGETHPKTRDLVIQPRGLRIRKWHS -CLFPWGTRLTDRCTLQMECEPDGAGVRPVAGDVAGPEESLQLREADLKEIRHPKLHTTGRSLCSERDAQR -CHLRRQSV - ->sp|P22931.1|VP5_IPNVJ RecName: Full=Protein VP5 -MAKALSNKPTNILIYMNHEHIQGNRNLLEIHYASREWASKHSGRHNREAYTKTRDLVIQLRGIRIRKWAS -CLLPRSSWIQGRCPLQVESEPDGTRIRPVARDVTGPKEGIQLRETDLTEIRHPELNPSRWSVCTQWDPER -CHLRRKSV - diff --git a/seq/clusters_seq/cluster_632 b/seq/clusters_seq/cluster_632 deleted file mode 100644 index 799d696..0000000 --- a/seq/clusters_seq/cluster_632 +++ /dev/null @@ -1,230 +0,0 @@ ->NP_062883.2 non-structural polyprotein [Rubella virus] -MEKLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVTAAQKRAIVAVIPRPVFTQMQVSDHPALH -AISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALAETASEAWHADYVC -ALRGAPSGPFYVHPEDVPHGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPVALAAHVGDDWDDL -GIAWHLDHDGGCPADCRGAGAGPTPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWTRDCAVAELSWEVA -QHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGRWRWFSLPRPVFQRMLSYCKTLSPDAYY -SERVFKFKNALCHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGIMKGAKRCAADSLSVAG -WLDTIWDAIKRFLGSVPLAERMEEWEQDAAVAAFDRGPLEDGGRHLDTVQPPKSPPRPEIAATWIVHAAS -EDRHCACAPRCDVPRERPSAPAGQPDDEALIPPWLFAERRALRCREWDFEALRARADTAAAPAPPAPRPA -RYPTVLYRHPAHHGPWLTLDEPGEADAALVLCDPLGQPLRGPERHFAAGAHMCAQARGLQAFVRVVPPPE -RPWADGGARAWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPQLIALALRTLAQQGAALALSVRDLPGGAA -FDANAVTAAVRAGPRQSAAASPPPGDPPPPRRARRSQRHSDARGTPPPAPARDPPPPAPSPPAPPRAGDP -VPPIPAGPADRARDAELEVACEPSGPPTSTRADPDSDIVESYARAAGPVHLRVRDIMDPPPGCKVVVNAA -NEGLLAGSGVCGAIFANATAALAANCRRLAPCPTGEAVATPGHGCGYTHIIHAVAPRRPRDPAALEEGEA -LLERAYRSIVALAAARRWACVACPLLGAGVYGWSAAESLRAALAATRTEPVERVSLHICHPDRATLTHAS -VLVGAGLAARRVSPPPTEPLASCPAGDPGRPAQRSASPPATPLGDATAPEPRGCQGCELCRYTRVTNDRA -YVNLWLERDRGATSWAMRIPEVVVYGPEHLATHFPLNHYSVLKPAEVRPPRGMCGSDMWRCRGWHGMPQV -RCTPSNAHAALCRTGVPPRASTRGGELDPNTCWLRAAANVAQAARACGAYTSAGCPKCAYGRALSEARTH -EDFAALSQRWSASHADASPDGTGDPLDPLMETVGCACSRVWVGSEHEAPPDHLLVSLHRAPNGPWGVVLE -VRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYDDLEVRRLGDDAMA -RAALASVQRPRKGPYNIRVWNMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKLRARDIDIKNAATY -ERRLTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRTPVPDRWPTERSRH -TWRFPDCWAARLRAGLDYDIEGERTGTFACNLWDGRQVDLHLAFSRETVRRLHEAGIRAYTVREAQGMSV -GTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGSLRAAGLSAFLDAGALAELKEVPAGIDR -VVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREVRYMRISRHLLNKN -HTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEWRMTYLRERIDLTD -VYTQMGVAARELTDRYARRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTEDCHAAQGKAGLEIR -AWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDFTEFDMNQTLATRD -VELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAMCMAMRMVPKGVRW -AGIFQGDDMVIFLPEGARSAALKWTPAEVGLFGFHIPVKHVSTPTPSFCGHVGTAAGLFHDVMHQAIKVL -CRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYARGRGLDHPATIGAL -EEIQTPYARANLHDAD - ->sp|Q86500.2|POLN_RUBVM RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -MEKLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVTAAQKRAIVAVIPRPVFTQMQVSDHPALH -AISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALAETASEAWHADYVC -ALRGAPSGPFYVHPEDVPHGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPVALAAHVGDDWDDL -GIAWHLDHDGGCPADCRGAGAGPTPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWTRDCAVAELSWEVA -QHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGWWRWFSLPRPVFQRMLSYCKTLSPDAYY -SERVFKFKNALSHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGIMKSAKRCAADSLSVAG -WLDTIWDAIKRFFGSVPLAERMEEWEQDAAVAAFDRGPLEDGGRHLDTVQPPKSPPRPEIAATWIVHAAS -ADRHCACAPRCDVPRERPSAPAGPPDDEALIPPWLFAERRALRCREWDFEALRARADTAAAPAPLAPRPA -RYPTVLYRHPAHHGPWLTLDEPGGADAALVLCDPLGQPLRGPERHYAAGAHMCAQARGLQAFVRVVPPPE -RPWADGGARAWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPKLIALALRTLAQQGAALALSVRDLPRGTA -FEANAVTAAVRAGPGQLAATSPPPGDPPPPRRARRSQRHSDARGTPPPAPVRDPPRPQPSPPAPPRVGDP -VPPTTAEPADRARHAELEVVYEPSGPPTSTKADPDSDIVESYARAAGPVHLRVRDIMDPPPGCKVVVNAA -NEGLLAGSGVCGAIFANATAALAADCRRLAPCPIGEAVATPGHGCGYTHIIHAVAPRRPRDPAALEEGEA -LLERAYRSIVALAAARRWARVACPLLGAGVYGWSAAESLRAALAATRAEPAERVSLHICHPDRATLTHAS -VLVGAGLAARRVSPPPTEPLASCPAGDPGRPAQRSASPPATPLGDATAPEPRGCQGCELCRYTRVTNDRA -YVNLWLERDRGATSWAMRIPEVVVYGPEHLATHFPLNHYSVLKPAEVRPPRGMCGSDMWRCRGWQGMPQV -RCTPSNAHAALCRTGVPPRVSTRGGELDPNTCWLRAAANVAQAARACGAYTSAGCPKCAYGRALSEARTH -EDFAALSQWWSASHADASPDGTGDPLDPLMETVGCACSRVWVGSEHEAPPDHLLVSLHRAPNGPWGVVLE -VRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYDDLEVRRLGDDAMA -RAALASIQRPRKGPYNIRVWNMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKLRARDIDIKNAATY -ERALTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRTPVPDRWPTGRSRH -TWRFPDCWAARLRAGLDYDIEGERTGTFACNLWDGRQVDLHLAFSRETVRRLHEAGIRAYTVREAQGMSV -GTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGLLRAAGLSAFLDAGALAELKEVPAGIDR -VVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREVRYMRISRHLLNKN -HTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEWRMTYLRERIDLTD -VYTQMGVAARELTDRYTRRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTEDCHAAQGKAGLEIR -AWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDFTEFDMNQTLATRD -VELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAMCMAMRMVPKGVRW -AGIFQGDDMVIFLPEGARNAALKWTPAEVGLFGFHIPVKHVSTPTPSFCGHVGTAAGLFHDVMHQAIKVL -CRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYARGRGLDHPATIGAL -EEIQTPYARANLHDAD - ->sp|O40955.1|POLN_RUBVR RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -MERLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVSAAQKRAIVAVIPRPVFTQMQVSDHPALH -AISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALAETASEAWHADYVC -ALRGAPSGPFYVHPEDVPHGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPVALAAHVGDDWDDL -GIAWHLDHDGGCPADCRGAGAGPTPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWTRDCAVAELSWEVA -QHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGRWRWFSLPRPVFQRMLSYCKTLSPDAYY -SERVFKFKNALSHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGIMKSAKRCAADSLSVAG -WLDTIWGAIKRFFGSVPLAERMEEWEQDAAVAAFDRGPLEDGGRHLDTVQPPKSPPRPEIAATWIVHAAS -ADRHCACAPRCDVPRERPSAPAGPPDDEALIPPWLFAEHRALRCREWDFEVLRARADTAAAPAPLAPRPA -RYPTVLYRHPAHHGPWLTLDEPGEADAALVLCDPLGQPLRGPERHFAAGAHMCAQARGLQAFVRVVPPPE -RPWADGGARAWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPQLIALALRTLAQQGAALALSVRDLPGGAA -FDANAVTAAVRAGPGQSAATSSPPGDPPPPRCARRSQRHSDARGTPPPAPARDPPPPAPSPPAPPRAGDP -VPPTSAGPADRARDAELEVAYEPSGPPTSTKADPDSDIVESYARAAGPVHLRVRDIMDPPPGCKVVVNAA -NEGLLAGSGVCGAIFANATAALAADCRRLAPCPTGEAVATPGHGCGYTHIIHAVAPRRPRDPAALEEGEA -LLERAYRSIVALAAARRWARVACPLLGAGVYGWSAAESLRAALAATRTEPAERVSLHICHPDRATLTHAS -VLVGAGLAARRVSPPPTEPLASCPAGDPGRPAQRSASPPATPLGDATAPEPRGCQGCELCRYTRVTNDRA -YVNLWLERDRGATSWAMRIPEVVVYGPEHLATHFPLNHYSVLKPAEVRPPRGMCGSDMWRCRGWQGVPQV -RCTPSNAHAALCRTGVPPRVSTRGGELDPNTCWLRAAANVAQAARACGAYTSAGCPRCAYGRALSEARTH -KDFAALSQRWSASHADASSDGTGDPLDPLMETVGCACSRVWVGSEHEAPPDHLLVSLHRAPNGPWGVVLE -VRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYDDLEVRRLGDDAMA -RAALASVQRPRKGPYNIRVWNMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKLRARDIEIKNAATY -ERALTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRTPVPDRWPTERSRH -TWRFPDCWAARLRAGLDYDIEGERTGTFACNLWDGRQVDLHLAFSRETVRRLHEAGIRAYTVREAQGMSV -GTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGSLRAAGLSAFLDAGALAELKEVPAGIDR -VVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREVRYMRISRHLLNKN -HTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEWRMTYLRERIDLTD -VYTQMGVAARELTDRYARRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTEDCHAAQGKAGLEIR -AWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDFTEFDMNQTLATRD -VELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAMCMAMRMVPKGVRW -AGIFQGDDMVIFLPEGARSAALKWTPAEVGLFGFHIPVKHVSTPTPSFCGHVGTAAGLFHDVMHQAIKVL -CRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYARGRGLDHPATIGAL -EEIQTPYARANLHDAD - ->sp|Q99IE7.1|POLN_RUBVO RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -MEKLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVTAAQKRAIVAVIPRPVFTQMQVSDHPALH -AISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALAETASEAWHADYVC -ALRGAPSGPFYVHPEDVPHGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPVALAAHVGDDWDDL -GIAWHLDHDGGCPADCRGAGAGPTPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWTRDCAVAELSWEVA -QHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGRWRWFSLPRPVFQRMLSYCKTLSPDAYY -SERVFKFKNALSHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGIMKSAKRCAADSLSVAG -WLDTIWDAIKRFFGSVPLAERMEEWEQDAAVAAFDRGPLEDGGRHLDTVQPPKSPPRPEIAATWIVHAAS -ADRHCACAPRRDAPRERPSAPAGPPDDEALIPPWLFAERRALRCREWDFEALRARADTAAAPAPLAPRPA -RCPTVLYRHPAHYGPWLTLDEPGEADAALVLCDPLGQPLRGPERHFAAGAHMCAQARGLQAFVRVVPPPE -RPWADGGARAWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPQLIALALRTLAQQGAALALSVRDLPGGAA -FDANAVTAAVRAGPGQSAATSPPPGDPPPPRRARRSQRHLDARGTPPPAPARDPPPPAPSPPAPPRAGDP -VLPTSAGPADRARHAELEVAYEPSDPPTPTKADPDSDIVESYARAAGPVHLRVRDIMDPPPGCKVVVNAA -NEGLLAGSGVCGAIFANATAALAADCRRLAPCPTGEAVATPGHGCGYTHIIHAVAPRRPRDPAALEEGEA -LLERAYRSIVALAAARRWACVACPLLGAGVYGWSAAESLRAALAATRAEPAERVSLHICHPDRATLTHAS -VLVGAGLAARRVSPPPTEPLASCPAGDPGRPAQRSASPPATPLGDATAPEPRGCQGCELCRYTRVTNDRA -YVNLWLERDRGATSWAMRIPEVVVYGPEHLATHFPLNHYSVLKPAEVRPPRGMCGSDMWRCRGWQGMPQV -RCTPSNAHAALCRTGVPPRVSTRGGELDPNTCWLRAAASVAQAARACGAYTSAGCPKCAYGRALSEARTH -EDFAALSQRWSASHADASPDGTGDPLDPLMETVGCACSRVWVGSEHEAPPDHLLVSLHRAPNGPWGVVLE -VRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYDDLEVRRLGDDAMA -RAALASVQRPRKGPYNIRVWDMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKLRARDIDIKNAATY -ERALTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRTPVPDRWPTERSRH -TWRFPDCWAARLRAGLDYDIEGERTGTFACNLWDGRQVDLHLAFSRETVRRLHEAGIRAYTVREAQGMSV -GTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGSLRAAGLSAFLDAGALAELKEVPAGIDR -VVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREVRYMRISRHLLNKN -HTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEWRMTYLRERIDLTD -VYTQMGVAARELTDRYARRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTEDCHAAQGKAGLEIR -AWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDFTEFDMNQTLATRD -VELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAMCMAMRMVPKGVRW -AGIFQGDDMVIFLPEGARSAALKWTPAEVGLFGFHIPVKHVSTPTPSFCGHVGTAAGLFHDVMHQAIKVL -CRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYARGRGLDHPATIGAL -EEIQTPYARANLHDAD - ->sp|Q6X2U4.1|POLN_RUBVB RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -MEKLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVTAAQKRAIVAVIPRPVFTQMQVSDHPALH -AISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALAETAGEAWHADYVC -ALRGAPSGPFYVHPEDVPRGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPVALAAHVGDDWDDL -GIAWHLDHDGGCPADCRGAGAGPTPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWTRDCAVAELSWEVA -QHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGRWRWFSLPRPVFQRMLSYCKTLSPDAYY -SERVFKFKNALSHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGIMKGAKRCAADSLSVAG -WLDTIWDAIKRFFGSVPLAERMEEWEQDAAVAAFDRGPLEDGGHHLDTVQPPKPLPRPEIAATWIVHAAS -ADRHCACAPRCDVPRERPSAPAGPPDDEALIPPWLFAERRTLRCREWDFEALRARADTAATPAPLAPRPA -RYPTVLYRHPAHHGPWLTLDEPGEADAALVLCDPLGQPLRGPERHFAAGAHMCAQARGLQAFVRVVPPPE -RPWADGGARTWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPQLIALALRTLAQQGAALALSVRDLPGGAA -FDANAVTAAVRADPGQLALTSPPPDNPPPPRRARRSQRHADARGPPPPAPVRDPPPLPPSPPAPRRAGDP -ASPISAEPADRARDAEPEVACEPGGPATPTRADPDSDIVESYARAAGPVHLRVRNIMDPPPGCKVVVNAA -NEGLLAGSGVCGAIFASAAATLAEDCRRLAPCPTGEAVATPGHGCGYTHIIHAVAPRRPQDPAALEQSEA -LLERAYRSVVALAAARRWACVACPLLGAGIYGWSAAESLRAALAAARTEPAERVSLHICHPDRATLMHAS -VLVGAGLAARRVSPPPTEPLASCPADDPGRSTQRTASPPAAPPGDAAAPESRGCQGCELCRYTRVTNDRA -YVNLWLERDRGATGWAMRIPEVVVYGPEHLAAHFPLNHYSVLKPAEVRPPRGMCGSDMWRCRGWQGMPQV -RCTPSNAHAALCRIGIPPRVSTRGDERDPNTCWLRAAANVAQAARACGAYTSAGCPKCAYGRALSEARTH -EAFAALSQRWIASHADASLDGTGDPLDPLMETVGCACSRVWVGSEHEAPPDHLLVSLHRAPNGPWGVVLE -VRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYDDLEVRRLGDDAMA -RAALASVQRPRKGPYNIKVWNMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKLRARDIDIKNAATY -ERALTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRTPVPDRWPTERSRH -TWRFPDCWAARLRAGLDYDVEGEHAGTFACNLWDGRQVDLHLAFSRETVRRLHEAGIRAYTVREAQGMSV -GTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGSLRAAGLSAFLDAGALAELKEVPAGIDR -VVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREVRYMRISRHLLNKN -HTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEWRMTYLRERIDLTD -VYTQMGVAARELTDRYARRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTEDCHAAQGKAGLEIR -AWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDFTEFDMNQTLATRD -VELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAMCMAMRMVPKGVRW -AGIFQGDDMVIFLPEGARSAALKWTPSEVGLFGFHIPVKHVSTPTPSFCGHVGTAAGLFHDVMHQAIKVL -CRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYARGRGLDHPATIGAL -EEIQTPYARANLHDAD - ->sp|Q6X2U2.1|POLN_RUBVC RecName: Full=Non-structural polyprotein p200; Short=p200; Contains: RecName: Full=Protease/methyltransferase p150; Short=p150; Contains: RecName: Full=RNA-directed RNA polymerase p90; Short=p90 -MEKLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVTAAQKRAIVAVIPRPVFTQMQVSDHPALH -AISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALAETAGEAWHADYVC -ALRGAPSGPFYVHPEDVPRGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPVALAAHVGDDWDDL -GIAWHLDHDGGCPADCRGAGAGPMPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWTRDCAVAELSWEVA -QHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGRWRWFSLPRPVFQRMLSYCKTLSPDAYY -SERVFKFKNALSHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGVMKGAKRCAADSLSVAG -WLGTVWDAIKRFFGSVPLAERMEEWEQDAAVAAFDRGPLEDGGHHLDTVQPPKPLPRPEIAATWIVHAAS -ADRHCACAPRCDVPRERPSAPAGPPDDEAIIPPWLFAECRTLRCREWDFEALRARADTAATPAPLAPRPA -RHPTVLYRHPAHHGPWLTLDEPGEADAALVLCDPLGQPLRGPERHFAVGAHMCAQARGLQAFVRVVPPPE -RPWADGGARTWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPQLIALALRTLAQQGAALALSVRDLPGGAA -FDANAVTAAVRADPGQLALTSPPPDNPPPPRRARRSQRHADARGPPPPAPARDPPPPAPSPPAPPRAGDP -ASPISAEPADRARDAEPEVACEPGGPATPARADPDSDIVESYARAAGPVHLRVRNIMDPPPGCKVVVNAA -NEGLLAGSGVCGAIFASAAASLAEDCRRLAPCPTGEAVATPGHGCGYAHIIHAVAPRRPQDPAALEQSEA -LLERAYRSIVALAAARRWTCVACPLLGAGIYGWSAAESLRAALAAARTEPAERVSLHICHPDRATLMHAS -VLVGAGLAARRVSPPPTEPPASRPADDPGRSAQRTAPPPAAPPGDAAAPELRGCQGCELCRYTRVTNDRA -YVNLWLERDRGATGWAMRIPEVVVYGPEHLAAHFPLNHYSVLKPAEVRPPRGMCGSDMWRCRGWQGMPQV -RCTPSNAHAALCRIGIPPRVSTRGDERDPNTCWLRAAANVAQAARACGAYTSAGCPKCAYGRALSEARTH -EDFAALSQRWIASHADASLDGTGDPLDPLMATVGCACSRVWVGSEHEAPPDHLLVSLHRAPNGPWGVVLE -VRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYDDLEVRRLGDDAMA -RAALASVQRPRKGPYNIKVWNMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKLRARDIDIKNAATY -ERALTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRTPVPDRWPTERSRH -TWRFPDCWAARLRAGLDYDVEGEHAGTFACNLWDGRQVDLHLAFSRETVRRLHEAGIRAYTVREAQGMSV -GTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGSLRAAGLSAFLDAGALAELKEVPAGIDR -VVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREVRYMRISRHLLNKN -HTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEWRMTYLRERIDLTD -VYTQMGVAARELTDRYARRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTEDCHAAQGKAGLEIR -AWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDFTEFDMNQTLATRD -VELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAMCMAMRMVPKGVRW -AGIFQGDDMVIFLPEGARSAALKWTPSEVGLFGSHIPVKHVSTPTPSFCGHVGTAAGLFHDVMHQAIKVL -CRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYARGRGLDHPATIGAL -EEIQTPYARANLHDAD - ->AAF26709.1 nonstructural capsid protein, partial [Rubella virus] -NGSYRTSLRTPIPMEKLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVTAAQKRAIVAVIPRPV -FTQMQVSDHPALHAISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALA -ETASEAWHADYVCALRGAPSGPFYVHPEDVPHGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPV -ALAAHVGDDWDDLGIAWHLDHDGGCPADCRGAGAGPTPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWT -RDCAVAELSWEVAQHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGRWRWFSLPRPVFQRM -LSYCKTLSPDAYYSERVFKFKNALSHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGIMKG -AKRCAADSLSVAGWLDTIWDAIKRFFGSVPLAERMEEWEQDAAVAAFDRGPLEDGGRHLDTVQPPKSPPR -PEIAATWIVHAASADRHCACAPRCDVPRERPSAPAGQPDDEALIPPWLFAERRALRCREWDFEALRARAD -TAAAPAPLAPRPARYPTVLYRHPAHHGPWLTLDEPGEADAALVLCDPLGQPLRGPERHFAAGAHMCAQAR -GLQAFVRVVPPPERPWADGGARAWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPQLIALALRTLAQQGAA -LALSVRDLPGGAAFDANAVTAAVRAGPGQLAATSPPPGDPPPPRRARRSQRHSDARGTPPPAPVRDPPPP -APSPPAPPRAGDPVPPTPAEPADRARDAELEVAYEPSGPPTSTKADPDSDIVESYARAAGPVHLRVRDIM -DPPPGCKVVVNAANEGLLAGSGVCGAIFANATAALAADCRRLAPCPTGEAVATPGHGCGYTHIIHAVAPR -RPRDPAALEEGEALLERAYRSIVALAAARRWAYVACPLLGAGVYGWSAAESLRAALAATRAEPVERVSLH -ICHPDRATLTHASVLVGAGLAARRVSPPPTEPLASCPAGGPGRPAQRSASPPATPLGDATAPEPRGCQGC -ELCRHTRVTNDRAYVNLWLERDRGATSWAMRIPEVVVYGPEHLATHFPLNHYSVLKPAEVRPPRGMCGSD -MWRCRGWQGMPQVRCTPSNAHAALCRTGVPPRVSTRGGELDPNTCWLRAAANVAQVARACGAYTSAGCPK -CAYGRALSEARTHEDFAALSQRWSASHADASPDGTGDPLDPLMETVGCACSRVWVGSEQEAPPDHLLVSL -HRAPNGPWGVVLEVRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYD -DLEVRRLGDDAMARAALASVQRPRKGPYNIRVWNMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKL -RARDIDIKNAATYERALTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRT -PVPDRWPTERSRHTWRFPDCWAARLRAGLDYDIEGERTGIFACNLWDGRQVDLHLAFSRETVRRLHEAGI -RAYTVREAQGMSVGTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGSLRAAGLSAFLDAGA -LAELKEVPAGIDRVVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREV -RYMRISRHLLNKNHTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEW -RMTYLRERIDLTDVYTQMGVAARELTDRYARRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTED -CHAAQGKAGLEIRAWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDF -TEFDMNQTLATRDVELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAM -CMAMRMVPKGVRWAGIFQGDDMVIFLPEGARSAALKWTPAEVGLFGFHIPVKHVSTPTPSFCGHVGTAAG -LFHDVMHQAIKVLCRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYAR -GRGLDHPATIGALEEIQTPYARANLHDAD diff --git a/seq/clusters_seq/cluster_633 b/seq/clusters_seq/cluster_633 deleted file mode 100644 index d4645d0..0000000 --- a/seq/clusters_seq/cluster_633 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_003864075.1 hypothetical protein HEVgp9 [Orthohepevirus A] -MGSRPCALGLFCCCSSCFCLCCPRHRPVSRLAAAVGGAAAVPAVVSGVTGLILSPSQSPIFIQPTPSPPM -SPLRPGLDLVFANPPDHSAPLGVTRPSAPPLPHVVDLPQLGPRR - ->sp|Q04612.2|ORF3_HEVMY RecName: Full=Protein ORF3; Short=pORF3 -MGSRPCDLGLFCCCSSCFCLCCPRHRPVSRLAAVVGGAAAVPAVVSGVTGLILSPSQSPIFIQPTPSPPM -SPLRPGLDLVFANQPDHSAPLGVTRPSAPPLPHVVDLPQLGPRR - ->sp|Q03499.2|ORF3_HEVME RecName: Full=Protein ORF3; Short=pORF3 -MGSPPCALGLFCCCSSCFCLCCPRHRPVSRLAAVVGGAAAVPAVVSGVTGLILSPSQSPIFIQPTPLPQT -LPLRPGLDLAFANQPGHLAPLGEIRPSAPPLPPVADLPQPGLRR - ->sp|Q6J8G1.2|ORF3_HEVMG RecName: Full=Protein ORF3; Short=pORF3 -MGSPCALGLFCCCSSCFCLCCPRHRPASRLAAVVGGATAVPAVVSGVTGLILSPSPSPIFIQPTPSLPMS -FHNPGLELALDSRPAPLAPLGVTSPSAPPLPPVVDLPQLGLRR - ->sp|O90299.2|ORF3_HEVHY RecName: Full=Protein ORF3; Short=pORF3 -MGSRPWALGLFCCCSSCFCLCCSRHRPVSRLAAVVGGAAAVPAVVSGVTGLILSPSQSPIFIQPTPSPRM -SPLRPGLDLVFANPSDHSAPLGATRPSAPPLPHVVDLPQLGPRR - ->sp|Q9IVZ7.1|ORF3_HEVCT RecName: Full=Protein ORF3; Short=pORF3 -MEMPPCALGLFCFCSSCFCLCCPRHRPVSRLAVAAGKRGAAVVSGVTGLILSPSPSPIFIQPTPSHLTFQ -PPPGLELALGSQSVHSAPLGVTSPSAPPLPPVVDLPQLGLRR - ->sp|Q9YLR0.2|ORF3_HEVUS RecName: Full=Protein ORF3; Short=pORF3 -MGSPCALGLFCCCSSCFCLCCPRHRPASRLAAVVGGAAAVPAVVSGVTGLILSPSPSPIFIQPTPSPPMS -FHNPGLELALDSRPAPLXPLGVTSPSAPPLPPVVDLPQLGLRR - diff --git a/seq/clusters_seq/cluster_634 b/seq/clusters_seq/cluster_634 deleted file mode 100644 index e0b1cda..0000000 --- a/seq/clusters_seq/cluster_634 +++ /dev/null @@ -1,126 +0,0 @@ ->NP_062884.1 structural polyprotein [Rubella virus] -MASTTPITMEDLQKALEAQSRALRAELAAGASQSRRPRPPRQRDSSTSGDDSGRDSGGPRRRRGNRGRGQ -RRDWSRAPPPPEERQETRSQTPAPKPSRAPPQQPQPPRMQTGRGGSAPRPELGPPTNPFQAAVARGLRPP -LHDPDTEAPTEACVTSWLWSEGEGAVFYRVDLHFTNLGTPPLDEDGRWDPALMYNPCGPEPPAHVVRAYN -QPAGDVRGVWGKGERTYAEQDFRVGGTRWHRLLRMPVRGLDGDSAPLPPHTTERIETRSARHPWRIRFGA -PQAFLAGLLLATVAVGTARAGLQPRADMAAPPTLPQPPCAHGQHYGHHHHQLPFLGHDGHHGGTLRVGQH -YRNASDVLPGHWLQGGWGCYNLSDWHQGTHVCHTKHMDFWCVEHDRPPPATPTPLTTAANSTTAATPATA -PAPCHAGLNDSCGGFLSGCGPMRLRHGADTRCGRLICGLSTTAQYPPTRFGCAMRWGLPPWELVVLTARP -EDGWTCRGVPAHPGARCPELVSPMGRATCSPASALWLATANALSLDHALAAFVLLVPWVLIFMVCRRACR -RRGAAAALTAVVLQGYNPPAYGEEAFTYLCTAPGCATQAPVPVRLAGVRFESKIVDGGCFAPWDLEATGA -CICEIPTDVSCEGLGAWVPAAPCARIWNGTQRACTFWAVNAYSSGGYAQLASYFNPGGSYYKQYHPTACE -VEPAFGHSDAACWGFPTDTVMSVFALASYVQHPHKTVRVKFHTETRTVWQLSVAGVSCNVTTEHPFCNTP -HGQLEVQVPPDPGDLVEYIMNYTGNQQSRWGLGSPNCHGPDWASPVCQRHSPDCSRLVGATPERPRLRLV -DADDPLLRTAPGPGEVWVTPVIGSQARKCGLHIRAGPYGHATVEMPEWIHAHTTSDPWHPPGPLGLKFKT -VRPVALPRTLAPPRNVRVTGCYQCGTPALVEGLAPGGGNCHLTVNGEDLGAVPPGKFVTAALLNTPPPYQ -VSCGGESDRATARVIDPAAQSFTGVVYGTHTTAVSETRQTWAEWAAAHWWQLTLGAICALPLAGLLACCA -KCLYYLRGAIAPR - ->sp|P08564.3|POLS_RUBVV RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MASTTPITMEDLQKALEAQSRALRAELAAGASQSRRPRPPRQRDSSTSGDDSGRDSGGPRRRRGNRGRGQ -LRDWSRAPPPPEERQESRSQTPAPKPSRAPPQQPQPPRMQTGRGGSAPRPELGPPTNPFQAAVARGLRPP -LHDPDTEAPTEACVTSWLWSEGEGAVFYRVDLHFTNLGTPPLDEDGRWDPALMYNPCGPEPPAHVVRAYN -QPAGDVRGVWGKGERTYAEQDFRVGGTRWHRLLRMPVRGLDGDSAPLPPHTTERIETRSARHPWRIRFGA -PQAFLAGLLLAAVAVGTARAGLQPRADMAAPPTLPQPPRAHGQHYGHHHHQLPFLGHDGHHGGTLRVGQH -HRNASDVLPGHWLQGGWGCYNLSDWHQGTHVCHTKHMDFWCVEHDRPPPATPTPFTTAANSTTAATPATA -PAPCHAGLNDSCGGFLSGCGPMRLRHGADTRCGRLICGLSTTAQYPPTRFGCAMRWGLPPWELVVLTARP -EDGWTCRGVPAHPGTRCPELVSPMGRATCSPASALWLATANALSLDHALAAFVLLVPWVLIFMVCRRACR -RRGAAAALTAVVLQGYNPPAYGEEAFTYLCTAPGCATQTPVPVRLAGVRFESKIVDGGCFAPWDLEATGA -CICEIPTDVSCEGLGAWVPTAPCARIWNGTQRACTFWAVNAYSSGGYAQLASYFNPGGSYYKQYHPTACE -VEPAFGHSDAACWGFPTDTVMSVFALASYVQHPHKTVRVKFHTETRTVWQLSVAGASCNVTTEHPFCNTP -HGQLEVQVPPDPGDLVEYIMNYTGNQQSRWGLGSPNCHGPDWASPVCQRHSPDCSRLVGATPERPRLRLV -DADDPLLRTAPGPGEVWVTPVIGSQARKCGLHIRAGPYGHATVEMPEWIHAHTTSDPWHPPGPLGLKFKT -VRPVALPRALAPPRNVRVTGCYQCGTPALVEGLAPGGGNCHLTVNGEDVGAFPPGKFVTAALLNTPPPYQ -VSCGGESDRASARVIDPAAQSFTGVVYGTHTTAVSETRQTWAEWAAAHWWQLTLGAICALLLAGLLACCA -KCLYYLRGAIAPR - ->sp|P19725.2|POLS_RUBVR RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MASTTPITMEDLQKALETQSRALRAELAAGASQSRRPRPPRQRDSSTTGDDSGRDSGGPRRRRGNRGRGQ -RRDWSRAPPPPEERQETRSQTPAPKPSRAPPQQPQPPRMQTGRGGSAPRPELGPPTNPFQAAVARGLRPP -LHDPDTEAPTEACVTSWLWSEGEGAVFYRVDLHFTNLGTPPLDEDGRWDPALMYNPCGPEPPAHVVRAYN -QPAGDVRGVWGKGERTYAEQDFRVGGTRWHRLLRMPVRGLDGDSAPLPPHTTERIETRSARHPWRIRFGA -PQAFLAGLLLAAVAVGTARAGLQPRADMAAPPTLPQPPRAHGQHYGHHHHQLPFLGHDGHHGGTLRVGQH -HRNASDVLPGHWLQGGWGCYNLSDWHQGTHVCHTKHMDFWCVEHDRPPPATPTPLTTAANSTTAATPATA -PAPCHAGLNDSCGGFLSGCGPMRLRHGADTRCGRLICGLSTTAQYPPTRFGCAMRWGLPPWELVVLTARP -EDGWTCRGVPAHPGTRCPELVSPMGRATCSPASALWLATANALSLDHALAAFVLLVPWVLIFMVCRRTCR -RRGAAAALTAVVLQGYNPPAYGEEAFTYLCTAPGCATQAPVPVRLAGVRFESKIVDGGCFAPWDLEATGA -CICEIPTDVSCEGLGAWVPTAPCARIWNGTQRACTFWAVNAYSSGGYAQLASYFNPGGSYYKQYHPTACE -VEPAFGHSDAACWGFPTDTVMSVFALASYVQHPHKTVRVKFHTETRTVWQLSVAGVSCNVTTEHPFCNTP -HGQLEVQVPPDPGDLVEYIMNHTGNQQSRWGLGSPNCHGPDWASPVCQRHSPDCSRLVGATPERPRLRLV -DADDPLLRTAPGPGEVWVTPVIGSQARKCGLHIRAGPYGHATVEMPEWIHAHTTSDPWHPPGPLGLKFKT -VRPVALPRTLAPPRNVRVTGCYQCGTPALVEGLAPGGGNCHLTVNGEDLGAFPPGKFVTAALLNTPPPYQ -VSCGGESDRASARVIDPAAQSFTGVVYGTHTTAVSETRQTWAEWAAAHWWQLTLGAICALLLAGLLACCA -KCLYYLRGAIAPR - ->sp|P08563.2|POLS_RUBVM RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MASTTPITMEDLQKALEAQSRALRAGLAAGASQSRRPRPPRQRDSSTSGDDSGRDSGGPRRRRGNRGRGQ -RKDWSRAPPPPEERQESRSQTPAPKPSRAPPQQPQPPRMQTGRGGSAPRPELGPPTNPFQAAVARGLRPP -LHDPDTEAPTEACVTSWLWSEGEGAVFYRVDLHFTNLGTPPLDEDGRWDPALMYNPCGPEPPAHVVRAYN -QPAGDVRGVWGKGERTYAEQDFRVGGTRWHRLLRMPVRGLDGDTAPLPPHTTERIETRSARHPWRIRFGA -PQAFLAGLLLAAVAVGTARAGLQPRADMAAPPMPPQPPRAHGQHYGHHHHQLPFLGHDGHHGGTLRVGQH -HRNASDVLPGHWLQGGWGCYNLSDWHQGTHVCHTKHMDFWCVEHDRPPPATPTSLTTAANSTTAATPATA -PPPCHAGLNDSCGGFLSGCGPMRLRHGADTRCGRLICGLSTTAQYPPTRFGCAMRWGLPPWELVVLTARP -EDGWTCRGVPAHPGTRCPELVSPMGRATCSPASALWLATANALSLDHAFAAFVLLVPWVLIFMVCRRACR -RRGAAAALTAVVLQGYNPPAYGEEAFTYLCTAPGCATQTPVPVRLAGVRFESKIVDGGCFAPWDLEATGA -CICEIPTDVSCEGLGAWVPTAPCARIWNGTQRACTFWAVNAYSSGGYAQLASYFNPGGSYYKQYHPTACE -VEPAFGHSDAACWGFPTDTVMSVFALASYVQHPHKTVRVKFHTETRTVWQLSVAGVSCNVTTEHPFCNTP -HGQLEVQVPPDPGDLVEYIMNYTGNQQSRWGLGSPNCHGPDWASPVCQRHSPDCSRLVGATPERPRLRLV -DADDPLLRTAPGPGEVWVTPVIGSQARKCGLHIRAGPYGHATVEMPEWIHAHTTSDPWHPPGPLGLKFKT -VRPVALPRALAPPRNVRVTGCYQCGTPALVEGLAPGGGNCHLTVNGEDVGAFPPGKFVTAALLNTPPPYQ -VSCGGESDRASARVIDPAAQSFTGVVYGTHTTAVSETRQTWAEWAAAHWWQLTLGAICALLLAGLLACCA -KCLYYLRGAIAPR - ->sp|Q9J6K8.1|POLS_RUBVD RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MASTTPITMEDLQKALEAQSRALRAELAAGASQPRRPRPPRQRDSSTSGDDSGRDSGGPRRRRGNRGRGQ -RKDWSRAPPPPEERQEGRSQTPAPKPSRAPPQQPQPPRMQTGRGGSAPRPELGPPTNPFQAAVARGLRPP -LHDPDTEAPTEACVTSWLWSEGEGAVFYRVDLHFTNLGTPPLDEDGRWDPALMYNPCGPEPPAHVVRAYN -QPAGDVRGVWGKGERTYAEQDFRVGGTRWHRLLRMPVRGLDGDSAPLPPHTTERIETRSARHPWRIRFGA -PQAFLAGLLLAAVAVGTARAGLQPRVDMAAPPTPPQPPRAHGQHYGHHHHQLPFLGHDGHHGGTLRVGQH -HRNASDVLPGHWLQGGWGCYNLSDWHQGTHVCHTKHMDFWCVEHDRPPPATPTPLTTAANSITAATPATA -PAPCHAGLNDSCGGFLSGCGPMRLRHGADTRCGRLICGLSTTAQYPPTRFGCAMRWGLPPWELVVLTARP -EDGWTCRGVPAHPGTRCPELVSPMGRATCSPASALWLATANALSLDHALAAFVLLVPWVLIFMVCRRACR -RRGAAAALTAVVLQGYNPPAYGEEAFTYLCTAPGCATQTPVPVRLAGVRFESKIVDGGCFAPWDLEATGA -CICEIPTDVSCEGLGAWVPTAPCARIWNGTQRACTFWAVNAYSSGGYAQLASYFNPGGSYYKQYHPTACE -VEPAFGHSDAACWGFPTDTVMSVFALASYVQHPHKTVRVKFHTETRTVWQLSVAGVSCDVTTEHPFCNTP -HGQLEVQVPPDPGDMVEYIMNYTGNQQSRWGLGSPNCHGPDWASPVCQRHSPDCSRLVGATPERPRLRLV -DADDPLLRTAPGPGEVWVTPVIGSQARKCGLHIRAGPYGHATVEMPEWIHAHTTSDPWHPPGPLGLKFKT -VRPVTLPRALAPPRNVRVTGCYQCGTPALVEGLAPGGGNCHLTVNGEDVGAFPPGKFVTAALLNTPPPYQ -VSCGGESDRASARVIDPAAQSFTGVVYGTHTTAVSETRQTWAEWAAAHWWQLTLGAICALPLAGLLACCA -KCLYYLRGAIAPR - ->sp|Q8VA10.1|POLS_RUBVN RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MASTTPITMEDLQKALEAQSRALRAELAAGASQSRRPRPPRQRDSSTSGDDSGRDSGGPRRRRGNRGRGQ -RKDWSMAPPPPEERQESRSQTPAPKPSRAPPQQPQPPRMQTGRGGSAPRPELGPPTNPFQAAVARGLRPP -LHDPDTEAPTEACVTSWLWSEGEGAVFYRVDLHFTNLGTPPLDEDGRWDPALMYNPCGPEPPAHVVRAYN -QPAGDVRGVWGKGERTYTEQDFRVGGTRWHRLLRMPVRGLDGDSAPLPPHTTERIETRSARHPWRIRFGA -PQAFLAGLLLAAVAVGTARAGLQPRADMAAPPAPPQPPCAHGQHYGHHHHQLPFLGHDGHHGGTLRVGQH -HRNASDVLPGHCLQGGWGCYNLSDWHQGTHVCHTKHMDFWCVEHDRPPPATPTPLTTAANSTTAATPATA -PAPCHAGLNDSCGGFLSGCGPMRLRHGADTRCGRLICGLSTTAQYPPTRFACAMRWGLPPWELVVLTARP -EDGWTCRGVPAHPGTRCPELVSPMGRATCSPASALWLATANALSLDHALAAFVLLFPWVLIFMVCRRACR -RRGAAAALTAVVLQGYNPPAYGEEAFTYLCTAPGCATQTPVPVRLAGVRFESKIVDGGCFAPWDLEATGA -CICEIPTDVSCEGLGAWVPTAPCARIWNGTQRACTFWAVNAYSSGGYAQLASYFNPGGSYYKQYHPTACE -VEPAFGHSDAACWGFPTDTVMSVFALASYVQHPHKTVRVKFHTETRTVWQLSVAGVSCNVTTEHPFCNTP -HGQLEVQVPPDPGDLVEYIMNYTGNQQSRWGLGSPNCHGPDWASPVCQRHSPDCSRLVGATPERPRLRLV -DADDPLLRTAPGPGEVWVTPVIGSQARKCGLHIRAGPYGHATVEMPEWIHAHTTSDPWHPPGPLGLKFKT -VRPVALPRALAPPRNVRVTGCYQCGTPALVEGLAPGGGNCHLTVNGEDVGAVPPGKFVTAALLNTPPPYQ -VSCGGESDRASARVIDPAAQSFTGVVYGTHTTAVSETRQTWAEWAAAHWWQLTLGAVCALLLAGLLACCA -KCLYYLRGAIAPR - ->sp|Q6X2U3.1|POLS_RUBVB RecName: Full=Structural polyprotein; AltName: Full=p110; Contains: RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=C; Contains: RecName: Full=Spike glycoprotein E2; AltName: Full=E2 envelope glycoprotein; Contains: RecName: Full=Spike glycoprotein E1; AltName: Full=E1 envelope glycoprotein -MASTTPITMEDLQKALEAQSRALRAELAAGASQLRRPRPPRQRDSSTSGDDSGRDSGGPRRRRGNRGRGQ -RKDWSKAPPPPEERQESRSQTPAPKPPRAPPQPPQPPRMQTGRGGTAPRPELGPPTNPFQAAVARGLRPP -LHDPDTEAPTEACVTSWLWSEGEGAVFYRVDLHFTNLGTPPLDEDGRWDPALMYNPCGPEPPAHVVRAYN -QPAGDVRGIWGKGERTYAEQDFRVGGTRWHRLLRMPVRGLDGDSAPLPPHTTERIETRSARHPWRIRFGA -PQVFLAGLLLAAVAVGTARAGLQPRTDIAAPPAPPQAPRAHGKHYGHHHHQLPFLGHDGHHGGTLRVGQH -HRNASDVLPGHWLQGSWGCYNLSDWHQGTHICHTKHMDFWCVEHDRPPPVTPTPLTTAANSTTAATPATT -PAPCHAGLNDSCGGFLSGCGPMRLRHGADTRCGRLICGLSTTAQYPPTRFGCTMRWGLPPWELVVLTARP -EDGWTCRGVPAHPGTRCPELVSPMGHATCSPASALWLATANALSLDHALAAVVLLVPWVLIFMLCRRACR -RRGAAAALTAVVLQGYNPPAYGEEAFTYLCTAPGCATQTPVPVRLAGVRFESKIVDGGCFAPWDLEATGA -CICEIPTDVSCEGLGAWVPAAPCARIWNGTQRACTLWAVNAYSSGGYAQLASYFNPGGSYYKQYHPTACD -VEPAFGHSDAACWGFPTDTVMSVFALASYVQHPDKTVRVKFHTETRTVWQLSVAGVSCNVTTEHPFCNTP -HGQLEVQVPPDPGDLVEYIMNYTGNQQSRWGLGSPNCHGPDWASPVCQRHSPDCSRLVGATPERPRLRLV -DADDPLLRTAPGPGEVWVTPVIGSQARKCGLHIRAGPYGHATVEMPEWIHAHTTSDPWHPPGPLGLKFKT -VRPVALPRALAPPRNVRVTGCYQCGTPALVEGLAPGGGNCHLTVNGEDVGAFPPGKFVTAALLNTPPPYQ -VSCGGESDRASARVIDPAAQSFTGVVYGTHTTAVSETRQTWAEWAAAHWWQLTLGAICALLLAGLLACCA -KCLYYLRGAIAPR - diff --git a/seq/clusters_seq/cluster_635 b/seq/clusters_seq/cluster_635 deleted file mode 100644 index 901a86e..0000000 --- a/seq/clusters_seq/cluster_635 +++ /dev/null @@ -1,117 +0,0 @@ ->NP_041253.1 env protein precursor [Ovine lentivirus] -MASSKNMPSRITQKSMEPPLRETWQQVVQEMVMRKQRDEEEKQNLVTGKEKSWVSIDLLGTKQEGKRQKV -NIWGPWEKWGIKIVWVMLWVIQLMLWGCLIWEMGKKHSCNAEEVIALVDDPGGFQKVKYVESVPVTCMTK -NFTQWGCQPEGAYPDPDLEYRNISQDILEQVYKQEWPWNTYHWPLWQMENMRQWMKENEKEYTSRNNKTK -EDIDALLAGKIRGRFCVPYPFALLKCEEWCWYPANINQETGHAQQIKINCTKAKAVSCTEQMPLAAVQRV -YWEKEDEEGMKFMNIQACNESQLRCKTSPGGCVQGYPIPVGAEIIPESMKYLRGKKSPYGGIKDKNGELK -LPLSVRVWVRMANLSGWVNGTPPYWSARIKGSTGINGTRWYGIGTLHHLGYNISSNPEKGLCNFTKELWI -GGDRFQYQYKPSWNCSQNWTGYPVWHVFRYLDMTEHMTSRCVQRPLRHNITVGNGTITGNCSVTDWEGCN -CTRSGNYLYNSTTGGLLVIICRQNSTITGIMGTNTNWTTMWGIYKNCSECKNSTLDRTDNGTLGTVNNIN -CSLPHYNESNKWTCAARNSKKKRDSLYIAGRDFWGRVKALYSCESNLGGLDGMMHQQMVLQKYQVIKVRA -YTYGVVDMPKAYREKNMRNKRSTEISRPRKKRGIGLVIVLAIMAIIAAAGAGLGVANAVQQSYTRTAVQS -LANATAAQQNVLEATYAMVQHVAKGVRILEARVARVEAIVDRMMLYHELDCWHYQHYCVTSTRTEVAQYV -NWTRYKDNCTWQQWEEEIEQHEANLSLLLKEAALQVQIAQRDAQRIPDVWKALQEAFDWSGWFSWLKYIP -WIVVCIVGVICFRLLMCVITMCLQAYRQVREIRYTRVTVVIEAPVDLEEKQREERDGSSGSENLEHEKRT -SPRSFIQIWRATVQAWKTSPWGKGWKKILYMTLLPLLTLQIWMEETGWNGDKRCKKKKERVDCQDRESMP -AIENEYVELS - ->NP_040843.1 envelope polyprotein [Visna-maedi virus] -MASKESKPSRTTRRGMEPPLRETWNQVLQELVKRQQQEEEEQQGLVSGKKKSWVSIDLLGTEGKDIKKVN -IWEPCEKWFAQVVWGVLWVLQIVLWGCLMWEVRKGNQCQAEEVIALVSDPGGFQRVQHVETVPVTCVTKN -FTQWGCQPEGAYPDPELEYRNISREILEEVYKQDWPWNTYHWPLWQMENMRQWMKENEKEYKERTNKTKE -DIDDLVAGRIRGRFCVPYPYALLRCEEWCWYPESINQETGHAEKIKINCTKAKAVSCTEKMSLAAVQRVY -WEKEDEESMKFLNIKACNISLRCQDEGKSPGGCVQGYPIPKGAEIIPEAMKYLRGKKSRYGGIKDKNGEL -KLPLSVRVWVRMANLSGWVNGTPPYWSARINGSTGINGTRWYGIGTLHHLGCNISSNPERGICNFTGELW -IGGDKFPYYYTPSWNCSQNWTGHPVWHVFRYLDMTEHMTSRCIQRPKRHNITVGNGTITGNCSVTNWDGC -NCTRSGNHLYNSTSGGLLVIICRQNSTITGIMGTNTNWTTMWNIYQNCSRCNNSSLDRTGSGTLGTVNNL -KCSLPHRNESNKWTCKSQRDSYIAGRDFWGKVKAKYSCESNLGGLDSMMHQQMLLQRYQVIRVRAYTYGV -VEMPQSYMEAQGENKRSRRNLQRKKRGIGLVIVLAIMAIIAAAGAGLGVANAVQQSYTRTAVQSLANATA -AQQEVLEASYAMVQHIAKGIRILEARVARVEALVDRMMVYQELDCWHYQHYCVTSTRSEVANYVNWTRFK -DNCTWQQWEEEIEQHEGNLSLLLREAALQVHIAQRDARRIPDAWKAIQEAFNWSSWFSWLKYIPWIIMGI -VGLMCFRILMCVISMCLQAYKQVKQIRYTQVTVVIEAPVELEEKQKRNGDGTNGCASLEHERRTSHRSFI -QIWRATWWAWKTSPWRHNWRTMPYITLLPILVIWQWMEENGWNGENQHKKKKERVDCQDREQMPTLENDY -VEL - ->sp|P31627.2|ENV_CAEVG RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 38; Short=gp38; Flags: Precursor -MDAGASYMRLTGEENWVEVTMDEEKERKGKDVQQGKYRPQVSKPIINRDTNTSFAYKGIFLWGIQITMWI -LLWTNMCVRAEDYITLISDPYGFSPIKNVSGVPVTCVTKEFARWGCQPLGAYPDPEIEYRNVSQEIVKEV -YQENWPWNTYHWPLWQMENVRYWLKENIAENKKRKNSTKKGIEELLAGTIRGRFCVPYPFALLKCTKWCW -YPAEIDQETGRARKIKINCTEARAVSCTEEMPLASIHRAYWDEKDRESMAFMNIRACDSNLRCQKRPGGC -VEGYPIPVGANIIPENMKYLRGQKSQYGGIKDKNGELKLPLTVRVWVKLANVSTWVNGTPPYWQNRINGS -KGINGTLWGQLSGMHHLGFNLSQTGKWCNYTGKIKIGQETFSYHYKPNWNCTGNWTQHPVWQVMRDLDMV -EHMTGECVQRPQRHNITVDRNQTITGNCSVTNWDGCNCSRSGNYLYNSTTGGLLVIICRNNNTITGIMGT -NTNWTTMWRIYRNCSGCENATLDRKETGTLGGVANKNCSLPHKNESNKWTCAPRQREGKTDSLYIAGGKK -FWTREKAQYSCENNIGELDGMLHQQILLQKYQVIKVRAYTYGVIEMPENYAKTRIINRRKRELSHTRKKR -GVGLVIMLVIMAIVAAAGASLGVANAIQQSYTKAAVQTLANATAAQQDALEATYAMVQHVAKGVRILEAR -VARVEAITDRIMLYQELDCWHYHQYCVTSTRADVAKYINWTRFKDNCTWQQWERELQGYDGNLTMLLRES -ARQTQLAEEQVRRIPDVWESLKEVFDWSGWFSWLKYIPIIVVGLVGCILIRAVICVCQPLVQIYRTLSTP -TYQRVTVIMEKRADVAGENQDFGDGLEESDDSKTDQKVTVQKAWSRAWELWQNSPWKEPWKRSLLKLLIL -PLTMGIWINGRLGEHLKNKKERVDCETWGKGD - ->sp|P31626.1|ENV_CAEVC RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 38; Short=gp38; Flags: Precursor -MDAGARYMRLTGKENWVEVTMDGEKERKREGFTAGQQGKYNPQVSKNIGNRNTNDCFAYKGIFLWRISLT -MWILLGINMCVSAEDYITLISDPYGFSPIKNVSGVPVTCVTKEFAKWGCQPLGAYPDPEIEYRNVSQEVV -KEVYQENWPWNTYHWPLWQMENVRYWLKENMQENQQRKNNTKEGIEELLAGTIRGRFCVPYPFALLKCTK -WCWYTAAINNESGKAGKIKINCTEARAVSCTEDMPLASIQRAYWDEKDRESMAFMNIKACDSNLRCQKRP -GGCMEGYPIPVGAEIIPESMKYLRGAKSQYGGIKDKNGELKLPLTLRVWVKLANVSEWVNGTPPDWQDRI -NGSKGINGTLWGELNSMHHLGFALSQNGKWCNYTGEIKLGQETFQYHYKPNWNCTGNWTQYPVWQVIRNL -DMVEHMTGECVQRPQRHNITVGNGTITGNCSTTNWDGCNCSRSGNYLYNSSEGGLLLILCRQNSTLTRIL -GTNTNWTTMWGIYKNCSGCENATLDNTGEGTLGGVANKNCSLPHKNESNKWTCAPRQRDGKTDSLYIAGG -KKFWTRIKAQFSCESNIGQLDGMLHQQILLQKYQVIKVRAYTYGVIEMPENYAKTRIINRKKRELSHKRK -KRGVGLVIMLVIMAIVAAAGASLGVANAIQQSYTKAAVQTLANATAAQQDVLEATYAMVQHVAKGVRILE -ARVARVEAITDRIMLYQELDCWHYHQYCITSTKTEVAKYINWTRFKDNCTWQQWERGLQGYDTNLTILLK -ESAAMTQLAEEQARRIPEVWESLKDVFDWSGWFSWLKYIPIIVVGLLGCILIRAVICVCQPLVEIYRTLS -TPTYQRVTVIMETRADVAGENQDFGDGLEESDNSETSERVTVQKAWSRAWELWQNSPWKEPWKRGLLRLL -VLPLTMGIWINGWLGEHHKNKKRKGGLETWHKKGTDIGLGQIPVDHLWCYKKSKSL - ->sp|P23423.1|ENV_VILV2 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 46; Short=gp46; Flags: Precursor -MTSKESKPSRTTWRGMEPPLRETWNQVLQELVKRQQQEEEEQQGLVSGKKKSWVSIDLLGTEGKDIKKVN -IWEPCEKWFAQVVWGVLWVLQIVLWGCLMWEMRKGNQCQAEEVIALVSDPGGFQRVQHVETVPVTCVTKN -FTQWGCQPEGAYPDPELEYRNISREILEEVYKQDWPWNTYHWPLWQMENMRQWMKENEKEYKERTNKTKE -DIDDLVAGRIRGRFCVPYPYALLRCEEWCWYPESINQETGHAEKIKINCTKAKAVSCTEKMPLAAVQRVY -WEKEDEESMKFLNIKACNISLRCQDEEKSPGGCVQGYPIPKGAEIIPEAMKYLRGKKSRYGGIKDKNGEL -KLPLSVRVWVRMANLSGWVNGTPPYWNARINGSTGINGTRWYGVGTLHHLGYNISSNPERGICDFTGELW -IGGDKFPYYYKPSWNCSQNWTGHPVWQVFRYLDMTEHMTSRCIQRPERHNITVGNGTITGNCSVTNWDGC -NCTRSGNHLYNSTSGGLLVIICRQNSTITGIMGTNTNWTTMWNIYQNCSKCNNSSLDRTGKGTLGTVNDL -KCSLPHRNESNKWTCAARTGRKGSQRDSLYIAGRDFWGRVKAKYSCESNLGGLDSMMHQQMLLQRYQVIR -VRAYTYGVVEMPQSYMEAQGKNRRSRRNLQRKKRGIGLVIVLAIMAIIAAAGAGLGVANAVQQSYTRTAV -QSLANATAAQQEVLEASYAMVQHIAKGIRILEARVARVEALVDRMMVYHELDCWHYQHYCVTSTRSEVAN -YVNWTRFKDNCTWQQWEEEIEQHEGNLSLLLREAALQVHIAQRDARRIPDAWKAIQEAFNWSSWFSWLKY -VPWIIMGIVGLICFRILMCVISMCLQAYKQVKQIRYTQVTVVIEAPVELEEKQKRNGDGTNGCASLEHER -RTSHRSFIQIWRATWWAWKTSPWRHNWRTMPYITLLPILVIWQWMEENGWNGENQHKKKKERVDCQDREQ -MPTLENDYVEL - ->sp|P23422.1|ENV_VILV1 RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 46; Short=gp46; Flags: Precursor -MTSKESKPSRTTWRGMEPPLRETWNQVLQELVKRQQQEEEEQQGLVSGKKKSWVSIDLLGTEGKDIKKVN -IWEPCEKWFAQVIWGVLWVLQIVLWGCLMWEMRKGNQCQAEEVIALVSDPGGFQRVQHVETVPVTCVTKN -FTQWGCQPEGAYPDPELEYRNISREILEEVYKQDWPWNTYHWPLWQMENMRQWMKENEKEYKERTNKTKE -DIDDLVAGRIRGRFCVPYPYALLRCEEWCWYPESINQETGHAEKIKINCTKAKAVSCTEKMPLAAVQRVY -WEKEDEESMKFLNIKACNISLRCQDEGKSPGGCVQGYPIPKGAEIIPEAMKYLRGKKSRYGGIKDKNGEL -KLPLSVRVWVRMANLSGWVNGTPPYWSARVNGSTGINGTRWYGVGTLHHLGYNISSNPERGICDFTGELW -IGGDKFPYYYKPSWNCSQNWTGHPVWQVFRYLDMTEHMTSRCIQRPERHNITVGNGTITGNCSVTNWDGC -NCTRSGNHLYNSTSGGLLVIICRQNSTITGIMGTNTNWTTMWNIYQNCSKCNNSSLDRTGNGTLGTVNDL -KCSLPHRNESNKWTCAARRKGSRRDSLYIAGRDFWGRVKAKYSCESNLGGLDSMMHQQMLLQRYQVIRVR -AYTYGVVEMPQSYMEAQGENRRSRRNLQRKKRGIGLVIVLAIMAIIAAAGAGLGVANAVQQSYTRTAVQS -LANATAAQQEVLEASYAMVQHIAKGIRILEARVARVEALVDRMMVYHELDCWHYQHYCVTSTRSEVANYV -NWTRFKDNCTWQQWEEEIEQHEGNLSLLLREAALQVHIAQRDARRIPDAWKAIQEAFNWSSWFSWLKYIP -WIIMGIVGLICFRILMCVISMCLQAYKQVKQIRYTQVTVVIEAPVELEEKQKRNGDGTNGCASLERERRT -SHRSFIQIWRATWWAWKTSPWRHSWRTMPYITLLPMLVIWQWMEENGWNGENQHKKKKERVDCQDREQMP -TLENDYVEL - ->sp|P03379.1|ENV_VILV RecName: Full=Envelope glycoprotein gp160; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; AltName: Full=Glycoprotein 135; Short=gp135; Contains: RecName: Full=Transmembrane protein; AltName: Full=Glycoprotein 46; Short=gp46; Flags: Precursor -MASKESKPSRTTWRDMEPPLRETWNQVLQELVKRQQQEEEEQQGLVSGKKKSWVSIDLLGTEGKDIKKVN -IWEPCEKWFAQVVWGVLWVLQIVLWGCLMWEVRKGNQCQAEEVIALVSDPGGFQRVQHVETVPVTCVTKN -FTQWGCQPEGAYPDPELEYRNISREILEEVYKQDWPWNTYHWPLWQMENMRQWMKENEKEYKERTNKTKE -DIDDLVAGRIRGRFCVPYPYALLRCEEWCWYPESINQETGHAEKIKINCTKAKAVSCTEKMPLAAVQRVY -WEKEDEESMKFLNIKACNISLRCQDEGKSPGGCVQGYPIPKGAEIIPEAMKYLRGKKSRYGGIKDKNGEL -KLPLSVRVWVRMANLSGWVNGTPPYWSARINGSTGINGTRWYGVGTLHHLGYNISSNPEGGICNFTGELW -IGGDRFPYYYKPSWNCSQNWTGHPVWHVFRYLDMTEHMTSRCIQRPKRHNITVGNGTITGNCSVTNWDGC -NCTRSGNHLYNSTSGGLLVIICRQNSTITGIMGTNTNWTTMWNIYQNCSRCNNSSLDRTGSGTLGTVNNL -KCSLPHRNESNKWTCKSQRDSYIAGRDFWGKVKAKYSCESNLGGLDSMMHQQMLLQRYQVIRVRAYTYGV -VEMPQSYMEERGENRRSRRNLQRKKRGIGLVIVLAIMAIIAAAGAGLGVANAVQQSYTRTAVQSLANATA -AQQEVLEASYAMVQHIAKGIRILEARVARVEALVDMMVYQELDCWHYQHYCVTSTRSEVANYVNWTRFKD -NCTWQQWEEEIEQHEGNLSLLLREAALQVHIAQRDARRIPDAWKAIQEAFNWSSWFSWLKYIPWIIMGIV -GLMCFRILMCVISMCLQAYKQVKQIRYTQVTVVIEAPVELEEKQKRNGDGTNGCASLEHERRTSHRSFIQ -IWRATWWAWKTSPWRHNWRTMPYITLLPILVIWQWMEENGWNGENQHKKKKERVDCQDREQMPTLENDYV -EL - diff --git a/seq/clusters_seq/cluster_636 b/seq/clusters_seq/cluster_636 deleted file mode 100644 index ed5ee87..0000000 --- a/seq/clusters_seq/cluster_636 +++ /dev/null @@ -1,142 +0,0 @@ ->APG79087.1 RdRp [Hubei reo-like virus 14] -MESYLNRRVKDILMAVDFGKIGASTAFSALWEIDQYWDRCEDSIYGDAVDVVTLSKVNIVRIRNARNLDN -IWMDVLKSFKISPDYALVNSMSRRSDNLNYAGIFDPFLDTFKINEKLPCHDFLIGRARNETMTYGSMPLY -KWLIFFLSLCETNNVPVELKYISGIVSYVAKHHDGAPPVVVTRKDYSFLKYVSGFYNMNNVLESLLNLCN -FRFGLNFTVLRFGSSTVDLLSICDELALRVCVSPKIIIKEIRNFLTLCVRRAGIFSSNPSYLMHKDSDPE -RGNPSSVFQIVDAPAALVSKFVTPSFLSALEEGKKVLKDLKLIKLLTLCERLLSCTLINGKEGYVSIDHF -VAASGFLMGVMSIAGYGRGFKFAATPRSRPDNPEKYASMGIEVKEIINEIHEAACKKGFIPVNDDDWVSS -CMAYWKSTSSGMRPVPLNVNIDGKVTSVKVSRKLAVGTSLGMDLFKRNSLSKKMDINNPGKTGVRDVPYK -LTRLIYVVPLPTLHAMVAVASHMVRYVSSSDVTSTRVGRPFTCDHIASGSDDTTGVRLADNVSTLLASGT -EFYISYDCDFSNFDSSCVATNFRRPLIDGLKSLGIDNVYGPDKIPYSEMVDYAFGDGYIHNTYWDVGRQP -LWVLKPDTPVEEQSSMIRKYSLVSITTQKTNVTISSLHGSKFMNPETTYYITENDIEPVDMEKFFIGSRQ -DGRDLALLTSEASGELTTLMMNSIANLAAQNIFLKRIKETKFGRCVRAVTQKAIGDDVTILLKIDSHEFS -SEDVEDFIVFTAKVFDQCGFILSVPKTHLSIFSAEFVQTYCNLGIYQPKDQIMIIPSEKPRVIDSPPEFL -QSLKRLYLSKISRGFSHNFSFLSLLYQARHIQKFDMRRFKMDLMPAKEMRADTRSPCILSRPLHVSKIHE -SLTSVKKSGSYEFSELFLSSHWLFLPPSAGGVGLNALCLNLVNTPAFYLFNVSQMSDDERMNWSSFFQYA -KSRYKIKSASSSVAAHVDKSDLKLLTYNNIFNPSVVNRILTIRKFGFKNKSINAEDIPARMLNDGLQYEN -FIKEYSFSQREEEHLKFLKSLSSGLPTYRCDGDEYLLSYTYEYATVSPKSVATFIGGLSPRIKLMQQSFD -AQIVKSQKFRRFDRLRMIISRDPILKSRLSPELVCRALEDEHVLSESDRAKGLVVLSSMGFDISVSEAIL -DYRFGPESLPPGNEFGALSDDVSNLFEMIPPEDVKTFFTPKGVDFSIRHSMFVFATQVALFYLFNRGKSG -DRYKPIASYLTSDRENYDKGKVKISIISELLSLRNTFRLPSVASYVSRVGAKEVNYVKLSSIIMEQISR ->AQU42768.1 VP1 [Morris orbivirus] -MYINRLLDSDLAHVVARAKWKSNGSADACRNVAEMDALLERMEDIFLERRQTVVQTAMPLITEYRMKWAE -SYWMSIIDKFKIKPDLRLYRECVKRAKSNALNPRRDFLDTYTIDEKHPFHNVLLGRQIETMTYGLLKTVE -WYNFFCFLTNGSCAELKFIAGLVYKSGGSPFKVKRGDNSIIEDTKLFYNIQNIVECCLNICDLRFSLNFP -VVELYTSRDQTMRLEIIRLDDILDEIALRIPVSPKIICSEIKKYLTFAIRVGGAYAVRQATFDHGGVTHA -DRKTTNTFTKIEFDGSSFFEPFMKTQSMMETEREGRDVLKKYGLIKLERMIDTYLHTSLIKTSCDHFTAI -SSFLHRLMSVSGYGRALNYSSSPRSAEPLVKDELGLAEEIKNIILSLDRQAIEKGFLPPTGGRWIPTCIA -SWKSTSAGVKGLKANIIVNGKSKRVRISKKTAVGAFLGPKAFTRKYLQIKNSKEQPGGVGFRDVPYKPTR -AIYVIPISNLCAQIAVISHIVDFASTKGKNSGLISKDIDPSHFTTGSNATSGIRVFDNMDTIKASGSIDT -LALGTDLSSFDAHCISWNFRKPMLSALAHAGAGRVYGPENITQQEMLHYAFGKGIIEESYWDNGREPIIY -IKEEDMPFFDGLGRYIHETEIHESSTLYRILPGAKSIPKGRIKIFDIDNAIKDGVQFQSEKLSIGVRMDG -ADLVYLTSEASGEKSTLTMNTIANLAMQRKALLALKRTTFGSIFTPMFQKGVGDDAEWIGRLGRVSDPSI -IQDAVNVLEETYAKMGHIFSPSKTFILPMSAEFVQTFARFGLYLPRDQIPVIASEKPRDIRNPVAFLNSF -KSILLAKQARGMNSDYAFMLYYIHFRKITELSLKRHKLTIMSPEFIYLAVPLIEDVFIKVANLQCERESE -RITNIRENVIIFRYSTSVCYIPVTSGGGGLNPILMGIIHSPAFFYQFISYYPRDFQKMMIATYHFMTNRE -LNDGSETGNRSGRNCDRIKLGDDFTPFSPQQLFSRGVMDNLEHTKTLNIGRLDAHNVPRTIMMNGLQMEK -FMHVETNFIREEYAERFVLHMKDKLHRIAPKHDEWILNFKFILDENSNVPDSHSFWNGLCAEYSFLIRTC -KIATQVNRISGTSDRMRAIISRDPVLRSIRTPDEIISILDKYGVVTPADREVGLVILLRMGFESSVANSL -LDLRFSAQDDAIQEKTYGMFADDFLSSLNIMTRERLESVSFPNGFDHLAKKLLFAFGSQYQLFTTFFFGK -NYILSEIKDIITDSDPNSIRKFTLFPRTLRKLLQTRRNKIGILSSFVNDLNAAPGVVDHNIITPKATT ->APG79103.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 5] -MDALMEDIEDIFLKEAQKVVHHTLPLLKEYNMKWSESYWIDIINKHKIRMDLGLYRECVKYSKCVIPNPR -QDFLDTYMIDESHPFHKVLMGRVSETMTFGLLKTFEWFNLFANLSNGRLKELQYIAGLVSKSGGSPFKVK -RGDNSVVEDIKLFYNIQNIIECCLNICDLRFSLIRPQLCLYVSKPEGFKKYELSLDTVLDEIALRVPVSP -KVICSNIKRYLTFVIRTGGAYAERQATFDHGSVTHSDRATTANLKRIDFNGREFFEPFMSTTMMKETEKE -GKSMLAKYRLCKLQELLDVYMYTSLIKTDPSHFVAVSSFLHRLMSVSGFGRALNFSSSPRSAEPLDEDKH -GLAEEIRNIILTLDREAIAHGMVPPTGEKWIPTCIASWKSTSAGISGIKAKVIINNKPTTVRISKKTAVG -AYLGPKAFTRKMLAIKTSKLKPGSVGFRDVPYKPTRAIYVIPLPTLCAQVGTTSHIVDYASTRGKNGGLV -TKPIDPSHFATGSGATSGIRVFDNQDTIKASGDMGSLALGNDLSSFDAHCVNWNFRRPILRALAEAGAGR -VYGPESIPQVEMLEYAFGEGHIDGSFWDNGREPIVYVEEADLGHFSGLKQYIHKEKIGSIVARYRVLAGA -PVISDQEVAIFDIDRAIECGENVPHRFLRVGVRMDGHDLVYLTSEASGEKTTLTANTAATLAMQRIALRA -LKTTRFGKVYNPIFQKGVGDDSEWIGTLGIVKDPAIIEEAVKLLQQTYSEMGHLFSPSKTFIIPLSAEFV -QTFARFGLYLHRDQIPVIASEKPRDIRNPIAFLNSFKSVLLAKLARGMNPDYAYVLYYVHFRKITELILK -RHGVKKGRKEGIRLDIPYLDGMQIHVSDDTYYVTSDKPTNIREEVRVFRFSTSLCYIPTVSGGGGLNPLL -MGVVHSPAFFHQFIAMYDREFQKAMYATYVFMSNQPLNDGSGAEKNTSDKENRVRLTNEFTPFSIEQIFS -HGVRKNLQSTSSIDIGRMDARRVPQQIMMNGVQMEKFMHVETNFVREEYAENFLKSMKKRLTKVLSLNDE -WILNFKFIFCQDLELPKEHTFWVGLCDEYDFLLRTCGLSSLGSRMYGVTDRLRMIISRDPVLKSIRTPDE -IISVLDKYGVTSQLDRDIGLVILTRMGFEHSVSNAILDLRFNSQDDVLTEKTYGMFSDDFLASLNIMTRD -RMRDIGFPMGMDSLGIRLLHAYGSQLQVFYTFYHEKNFKLESVNDIVSHSDRSIAQKFTQVPRTLRKVIQ -SRRNKAGILLALCADLANSSNS ->APG79114.1 RdRp [Hubei reo-like virus 12] -MDKLMRDSVTQAFGTLCNHSFGVDVSALCKGIDDEFQRIDSYIHKGRRNLVSIGGVDVISCYELNWGENV -WKDVITSNGIPIKHALMNLMERRSKTVPIDPTSIFLDTWKIDDSAPYHGFLLGRGAENATYGTLHLYEWF -GFLAGLTKPGSCIELSYLAGIVDRYKLPIFKSKHADKSVIDDVQGFYNMPNIVESLLNICVLRLGMEKEK -LCLSGHDISLYSVLDELALRVCVSPKVIMNDIRKYLVYVVRYAGILTEDQVSYFSHGSKVSVYVRSYVQE -RVIVDNSIFSVYKNSTIFNDEIRKGRDKLKALGLHRLNSLCDVLLSVSLKTTEKDHFIIASKFLLSLLAI -PGYGRALNFSSSPRSGPEQTVDELGIAHRLREMILHFHNCAVDAGYDIVHPNDWKITCISFWKSTGAGVD -PEMIDITVDGEERRVKATKKPTIGAIYGDRAFRLATLDNILTRESPGSVGYRDVPGKSTRAIYVLRLPTL -HAQVAVVHHLVNYVSTRGVGDGDANIPFNASHIHSGPGTTTGMRIFDNLETIKASSSVNDFYIGTDLSSY -DSNNVIWNFREPMISALKQIGEHTNYEYGTDKIPWKDMVDKAFGPGHVLGTMWDNGREPIVYSDNEICPL -PKFMSSYTVGKTLPKVRPLPGVSAIKEGSIIWILDVKSLLESGGRIPWDVCKVGFLKDGKDFVYLTSEAS -GELSTLMMNSLMNLAMQSIIRDRLCETKFGSRIILKKMKAVGDDSEWIGSLKSVPESYDEIDDFLKWLKE -MYRKMGHVVKEENMILIPLGSEFVQTFAKFGLYIPRDLISVIPSEKPRKIVDRLGFVRSFRNVLLSKIAR -GFSSIFSNIIFLYIYRKLSSLDLRRHKIQFRNSRKVLANDNSGRAFGRVRVDWQEVVTEDISVIKLTDFK -KEKIYVFVRSVMCAMLPNEADGVMWSPISLCIYNFSAFFLYQLGLSKSQTDQSGILITLYAYMLTRYKND -SKIKYTAKVSLEKYKLSISDIFPPSTCQFLLRKPVRIGHLDGLNVPERMVAEGLKMEKFMQPFQSLDLEV -ESENFLDSYNQKIRGVDPDRDSWLLNYAFNMKVIDGEAAFLGSLFYGGLSHEFELIKTSFGLIDMNFRLS -IHTDNMRILISRDPALRNVLSPESLISLLQSYGINDVNMYEEGMMLLACTGMRQEVASSLLKLYFSDQYG -GYPSSTGGAFSDDFCSSLSLVNVKDLMMVDFPTSFTKSQRIHCMIHSAQISVATLLQTSRAYKLSSVTPL -SAENLFVGTYPNTHALLNSRAKNSTIRAHNVFTVLLREWRDRDVSIVFKDSSNKLVVLPPRCGKTTLAKL -DEMMLDVDEFIRDAQVIYSRQTLYFGHPSWFEKLIRCYNSVDPALWWNTDKIPMVSSIQMVQYLTIDKLH -PRDVIYIIPNEKFTKKLLNTNKGDIFKQQVEDIRSKVGKPGFEVIEFASWSQLRIITSSMH ->APG79155.1 RNA-dependent RNA polymerase [Hubei tetragnatha maxillosa virus 9] -MDKANKAVKKFLDLSSWASHDWTLIEGNVVAWERFLDNVFKCEYISEGVLVKSGFDLIYHGKTKWSEDIW -KSISIDLEIRPKFKLYEECIKRSRSVPVNPRAIYLDTWEINEKMSYHESLFGRMDENMTFGSLRLYMWYS -FFGHIFKGDPPIELEYISGFIVKSGKPLFIQKWGDNRVLEKVQLFYNLPNIIEALLTICQIRCSLIRSQV -VLGGKRFDLNLLLDEFALRIAVSPKRIISDIRGYITFIIRFWGVRSKNMAAYRHVDWRMGSRVVGENFIL -EKVDHNMSALFWESKTIQAIYLEGRAVIKNLNFVYLDSICESLLDVSLEDSSSEDIIEVLKLFGSCMMIA -GYGRALKYASSPRSAKPRDDIDPSVAAGVYDIMTDFNRLSLENGFVATTPDLWKTRCINMWQSKSAGVEP -MKMEIEIKDEKPVKVSVSKKLLVGCLLGSKSFSKNELSKIYDLSNPGSVGYRDVPYKATRAIYAVRLPTL -HAQVAVASHLVDYASSGGSLNAATGMRFDASHVTSGRNFMSGVRLYDNYDTIASSGDRNCMYIGIDLSEY -DSHNVWNNFREPMLRALRDMDASKGEGYGPDKISWSEMVDFAFGDGHIYNSYWDNGREPLVVVQHEKETL -YANSPFITIITVKEDVRRYKRSRGLKALKPGTYMVLKVEELLEAGKDIVWDDVYVAERHDGGDFVHLTSE -ASGELTTLIMNSVINLAMQRIIIRDLQDTPFGRRFLVRYNKAVGDDSEWYCQLLSSFETSEEIDDFFTFL -AKKYDSFGHILSKYKVFICPYSSEYVQTFSRFGLYIPRDQISLINSERPRRVLDPIGFLASFKDILLTKM -ARGMNSTFARLVYSLFFRKLTTIDLRRHGIKVSNMEKRKLCKDLEPFYISPLKWKKIDTFKVEEMFVVTF -SIIVMFMPFKARGGGVNPLNLGLSSTPSFFFWWCSNFDEMISASLISAYLYLKDKSVSLNLSDERPSDVK -ISASNNSLSAEDIFPPAVLEKAKALKSLGLDLGRYNAEEVPNRLFREGIKMERFMEKFQLMDRETTTEKF -IMNLSRNFSLPRFSEDEWVLSFEFRFSDHAHKTERSYPFYVGLNSDYTVLLNDYGLNGFENRAKIGLEAI -RKIISRDSSLKGVWTPEIISSQLDKYGINDIVDHDIGVAILSRMGFSERTCLEIVDLRLKDMLLSGRENS -FGIFSDDFFASLDLVTESRLNSMSFPLKLSKGERVILLAFACQMAVYRTLAEGKRYYIPIDIKPRVVETG -IMGIKFPRFYKAVVQSHRRDIGVTSKFLADLRDQNI ->APG79144.1 RNA-dependent RNA polymerase [Hubei odonate virus 15] -MESYIRPEIHEVIRGLRTSSGVEPGYYMSLDEKWMEGERFLYNHWERLVTCEETLMYSARMCWEPDLFKK -ICEGFKIKPSYKLFDRCVKYARKNVFDVSNVFVDTFMIDENWSMHDYMVARCSKECLTFGTMPLYRWFMF -FATLCKNSLLELRYIAGFVYKSNGPIFISSKRDHSSLTDVKLFYNLPNIVECLLNICELRLSLIYPCLRL -NGELYDLGLVLDELALIVAVSPRIMIKRIRGFLNFVVRHAGSYSDKPSYIRHVGGDALTSLQSGQFEVVL -DDKHLIRRFLDNATTVAALKEGREEFTKLGLNKLLALCEAILIMSFVESEEKAPTTRVEFTAACSFLLNI -MRVAGYGRGLKCFAINKSKADRKDGYGGIAETVLDMWKRFDSQAKKAGFLPVNPQNFTQYCVGTWKATSS -GMSPLKVNVTIDDKTSEVNLRKKAAVGALMGDKLFRRSEMNKKMDRNAPGKVGARDVPYKATRIIYPIRM -ATMNAQIAVAQHLVDYASFSTGEVPYSNREFSCDHVASGADDMRGVRIVDNLYTLITSGSTQYIALDLDM -AGYDASCVWSNFRKPMLEALHSCRNDGLYGPDQLTWDELVEFAFGDGYVHNTYWDVGRVPMCYLNVDGLA -NREYLEQKGVTLRKVTIGVERREDLSRFPGLKVMPPGDYFVAEAAMPLELTKYFSIGVCMDGQDLLHMTS -EGSGEKTTLCANSIENLAIQSRLFQDIKDTKLGRCVTPMVNRAVGDDISIILRIDDFNFDADDVEDFIQH -IKTRLELYGFDISLPKTCFLPRQSEFVQTYAIRGLYIPKDQIMSISSEKPRRIDDPLAFMESMKKLYLSK -VSRGYSESLAIVSIIYLYRYVMCFDIRRHSLVLGRRISKQCVREVPLEVTSMNIKLRPRLSAVEFKRKKS -AEDVTVFFFSSYLVIFPHEAGGIGLNANSLMLQHNIPFFIYVASLNANKRVGAKAIALLSYLINLKWPKS -YSSNDKVFVSLAGKAASSLIPVDTIFPLNVVRHLSKMTFSLGRLDAENVPSALMKKGLMFESFMKQVDFA -QREACNLDLLHSVLNAKSNFAKVLHDCLELNFKYEFGELCPDDKESFVWNLEPMYCSLIRKLGLATTTPH -RRDRSEKLRILIMREPALRSVRTPDDLISLFKKYSVTSQGDRDFGIILLMRMGFSCENANALFDVLIDSQ -EVLVDDGLFGALTDDLSVLMPVLDDSMLKSFILPDGIPMQFRYQIILFAMQIALLNFFTSFHKKQEVLSL -RLPIAAVPCKRTSDSLVLNNYYKIPRLYTFMSRCGDIRTHLQAQIALAGDILDSDI ->APG79108.1 RNA-dependent RNA polymerase [Hubei lepidoptera virus 4] -MDALMEMVEKPFLEKVRVNVHINLPVLNEYKMRWEESYWTAIIQHHKIKADLALYRGCIKRSNEITPNPR -RDFLDTYAIDETHPFHTVFLGRVSETMTYGIYKSFEWFNFFAAISNGKLKELEYIAGLVQRAKGSPYIVK -RGDNSVVEKLKLFYNIQNIIECCLNVCDLRFSLITNVLILYTSDEDGFNEHVIDLDLILDEMALRISVSP -KIICGDIRRYLTMVIRTAGAYAIEQATFDHCSITPSNRQNTGHLKKISFKGREYFEPFMSTEVMQEAEAE -GKKMLRSYKLLKLLQFTDIYMRTSLVRTQSSDFVRAGALLHKIMALSGYGRALNYSSSPRSAEPLEHDVH -NVAGEIENILMNLDKGAISKGIHPPTGERWIPSCVASWKSTSAGVSGIKAKVTVNGVEKEVRISKKAAVG -AYLGPRAFSRSTLSKKTSIDNPGSVGFRDVPYKPTRAIYVIPLPALCAQMALVSHIVDFSSTSGQNSGMT -SKEIDPSHFATGSTSSTGIRVLDNLDTISTSGSMDMLALGTDLSSFDAHCVWWNFRLPMIKALLKMGSDR -KYGPSSISQAEMLEYAFGSGIIADSYWDNGREPIVYIPEENFDQFAHLKDYIRKEMIGEPRRMYRILPGA -KTLGGEVLHIFDIDRAINDGKVFPHKKLAIGVRMDGMDLVYLTSEASGEKSTLTMNTACTLAMQRIAIRE -LKKTAFGQLFTVLYQKGVGDDSEWIGTLGRIKDSNQIDEALNCLSTVYSEMGHVFSPAKTFIVPLSGEFV -QTFARFGLYIFRDQIPMIASEKPRDIRNPVGFLNSFKSILLAKLARGMNPDYAYLLYYFHLRKLTEFSLK -RHDYIGKENDFHVLRISEKLNITEGTSVQVQKGKYRRNSEKPTTNREEIRVFRFSTALALLPTSVGGGGL -NPIMMGLVHTPAFFLQFLSYYEKSFKEMLYLFYVFMANMPLNDGSGSELSTCKKKDRVTLGKDFTPFSIE -QLFSASVRKNLDVLSKVNIGHLSAENVPRQIMRNGLSMEKFMHLETNYVREEYAECFLNGMMKDLKRVST -RNDEWVLNFKIIHDEMSVEPKDHTFWTGMCDEYELLIRTYGISTLGAKVRNQGEKLRLVISRDPVLRAIR -VPDEIIAILDKFGVSTPADNDIGLIILLKMGFEISVANAILEIRFSSKEDVLLDKTYGTFADDFLSALNI -MTKYQLDNTAFPVGMDTLGKKILLAFATQVVIWNTFFYGNLYTLRSIEEISSLDDPHISRKFTEFPRTYR -KVVQSRRNKAGVITALSHDINSLIHRKI diff --git a/seq/clusters_seq/cluster_637 b/seq/clusters_seq/cluster_637 deleted file mode 100644 index b1478ec..0000000 --- a/seq/clusters_seq/cluster_637 +++ /dev/null @@ -1,122 +0,0 @@ ->YP_009551542.1 polyprotein [Potato virus U] -MVYSSTQVPGFLSFTGTHVEFAQHFMATWGAEIKATMPVVASPEILALRAKVASVASTCDISADAHKCAN -AAAESHRLRVLAGITERAIKLASEKVYTGTLFQWRVGRAIYALGDKVLQYARRWGITFATRVVKDVEWVP -ICGSQKVSGPLDRISTIVGKVLTPLESLSATSQKVDSVGLVSQQVDVLSNIHSAVNSNTAVEHTVNVQVS -ILSDGYSEENSNTSVVEIPPPHSYILQYSCDDGIGDIPISGWACVNAIPASSLCNTQRGNENYSSLLGFE -PCAPWFVTTEEHTALEQAIIALVQSEQDSLASEIEACGWDAAPKSKSRTSEKKDKYIRPVMALNIFQSLP -KEEIPVVKQEVCILANVQPERVSNISIAHSALEVPTTLSVDSSELVSQICDDQILHQAPLCLKFEKVITT -LTVDSSELVSQICDDQILHQAPPCLKFEGVTTTLTVDSSELVSQICDDQILHQVVPTLKETVSTTVIVDG -IAPVWQNLKPFVSNSDLYLKRTNGSLPTMPRVLMSAKEMRDGETCKSALHECLAHRDIWTERFYLSQCLY -CAFDALQQWGIEEAQLKAWRVADFNSQFEKLPAAEIEKAGVSKSSLFGGLFDASTRHNVWCEYVHAVDYV -QWRASQAKKLLMDRNPNDITYCHSEREDGETSAKLQDKAEEDHDKYWSSVESVKDKLKRKKGKKVPNSGE -GMLGDKKTKLVEKDVFTKVVHGGHFDKLRHKFTSDGSMILTEQTFPLRDEEIRIGTTKDCPIYTRLPTFT -EAELRRLLDKNAMSNTGVVALDMAIQSHVPEGTPMVAFSTIMDGRTDDPHVAAQCGAYCDLGRGRCQILS -LPLVNFNLNELSKRLGNDDPELYLATYFNDTLGYRPGELVCTYGSSELLEHKPDAYTNKSLCKDTWDDIL -KRNVQKGNRIVKGFNVIDSISQDYDQEVPDFGEVSFKTKPRSGVAPTKAFTAKGVVEVPNERKLTRTFSL -ARTQFGRAPTRNPSQFGGLANVSTSHVDYTGSNREHNSFIAPRHSTAQSELENPFVVATTSVFKVAKDAK -EGTFIGTIDFYDLVAKQHKSPYQQWLGSGLVDPEIQIKIFSGANAFMGTTVAIVHDFYNRLDIATKLGGR -LPRLVGNCMPQTLHPLSEGGVTIDTVNISRYLGHSLYISAKGFADPRFHVYIYDDNAVEAAEGWRLTVEI -LVRKATNTTEEVVDNALLTVPMAPSSFIELDIYKGFGSIALADEPLFVPIGMNFASEVTYATGKTCLGFT -QAKYRVYQGAGGRLQGRLRRVGTTLTSCILRLVMWWGSTYPTLEETSAIPHVDMDLAKVDGSFDLEIRSP -YGRVPNREMQGYLVIYPIGGPISPSGSTAPFNFSVYIGGIQATQQIPTLLLPDKEYVWCQLDAFNPGVVT -FDLPNHICDFTVVGAAVHLRSNPLSAIFGSCGFFKGSLSITLEWTQEGKLPDKGSVVWIAHKYGTPADSE -ILDSCTSNSYLPGKCTFLLHTGDYTNANKPGGSGNKLQFITVWLGEASAVDNIRVSVRLMPGFSFYGRSI -SFPT - ->YP_009666020.1 capsid protein, partial [Apricot latent ringspot virus] -GLSSPILDIGLDFAGLRTYSGVKTCIGMYGAMFRALQGSGGILHGTLRRIGTSLVSGNLRMLSWFGSNIP -TFEESSNYPHVDIDFSRGDGKFALQIRAPLSRVPNRGNLGKVVFYLLGGPLAAKDVTADLYFSIFIEGIK -ESVVPSVLQYSEMSCNWAEISAIVGTGQTIIVPNHICDWKLSNATVALKNNPLSAIFGACGYFRGKLEMS -LTWTANGKLSDAGSRLWIGKCWGDPKRAEVLESTVTNVYIPGSCSFVLDVGDYTGCNKPGNMPASLTNET -QHINIWVEDGSKIGNLQLSIRLLPGFAFYGRSVLLSG - ->YP_009507922.1 polyprotein [Melon mild mottle virus] -MAVVRPSSFPLSVEELKLFTAEIYHQYFVRADARRAARARVREILRSVGGWFYCPAAGHHAPLYEEVYDL -PREEIEALCRWARAHPEVFPPIEVEEAFEEEPEFVIPVAKPEFVNVAWRSVERSTTVVVEDCETVPGVEW -SEVAALAEAVDALAVADVLEAFEELPLEYPAPAPVKVGLAFFQARRKCVQVEHKELLRQSLPKAIAAASA -PRCGYPVKGIFEGDGRVFVACAPASSEQAESSAAQQQLRDEGGIPTVVQVAKRLRNRNVALTSTSKKSFF -FKEAGESRTIEGGGVELTEKDVFHRMGVVKSWRTPDHNKTFVACVRPIEQETVRMPKLRPDEKLECVPIF -SPLPRCLEETVRRLLEAGWKNTSSVCTDILVQSHLGVGTPVSALLTIVDSRTDDATEALLCGAFIKLGSD -FAKFLSTPLINFPLSKEIQDLDQYLGGLRLVTYLNNVQGFYEGTPLFSYGTVEFQEHRPVVSSVTRTREG -WDDLIANNERQGFRVQAGFNTIQPIEKDTQPMLPDFPDFDLVSVPRQVQRPILVGQDGVQAPLQRCSSVR -VPGFRMAGGAPRFSVERGRVSRQTDVNIYDVNDNPRHFQAMADCPIVDTVGNLAYVSGFNIPKDAKQGTV -LLTSNLRAIARADLMPCWWKWWEENLASLEFRFEFELAGSPYSGLALACTIDWYSRLDVGKMGNIMAPVV -STLLPTEVFSCRAGGMQSYTFSTDEVANYAQATWSAAYDINPMVYVYVFTTNQMVMASDWVVDYRLYMKS -QKTTQFLQQPYHVWPPALPSTVKIDRWFPPLSFKLGATTRSVQIPLNLARIEETGYGKCINLISAFLSNF -QSIGGRLRCRLLPTSSIFVGAELAAAITSTGDPVPRKDLWTMPHVRLDSLGCEFELEIRSSYLVTPLRSK -DPKLHPHLQIYLVSGVTAPKDSSSDFEFAIKIESIEQAHSPMRVLSDETWFCWFTLTDFTEDLVSLKIPS -RIADLEVKSATIMHATNPFSLMVASAGLMAGDCELEFTWDWSMKFGEHVGAIEFTTGYGADEDFQSYGRN -LTVPLSQLRWVERYTIGSFSGATTSKALTEYSNFIRFRGSQLKSLRSVRVNVRPLAGFAFYGRSVSPLVK - ->YP_009507919.1 polyprotein [Blueberry latent spherical virus] -MMTYDPDFAERMISAMKTDPKGFMAFVEKTKAAYAKGGLEATWAVVPPTVTEPLPKVQVPFVSRATVLRG -IVERAIQLASTKICSSVLFQRRVGRAIWALAEGVVKYATLLGVRAALAMEEASQWVPICSSGSYSNVVSS -NSPFCTSNWGVPPPSSKMAVVVSATTQIPALQEQVEMIPPMGFVTFKSQGAETPKNDALKALQIPAHQEQ -VEMIPPMGFVTFKSQGAETPKNDALKALQIPAHQEQVAVAPPTELVVLKPQEVETSKSGTLKVSQIPVLQ -EQVVMAPPIELVVLKPQEVETSRIDAPKALDVVPPMAFGNSVSIQDETWGPEEAYLPFEIPISKANLCGP -CIHVVGLPTTPLSGWSSFCGFENPPLPVTDKECFSLYSACIALAMQVELSLDNCPAFDFCGWDEPSLSFI -PTAPSLEAYASFSYSFTPDFQEYYKNFLFCGPFENPSFYEGPIGPLSFGATIMASHSLDHDCDLSFSSLS -ATAGILHSSIEVSAKLGSWPKRDFSHSPALLMKGESFCGFKSLPWPITTKDFDLLMGALDALHETPQQGT -WPCGGTTSFNPSYTPRFKRGDENYSLPSNWKGKYSASNEALDRIYTRISEWGVRVNPKSGHFIACKGACC -IPRCVSYEHGWICGQDVLYAHDCWICAIEALNATGKQDRKERKLFAALLEHTSIVGSAEIVKEPVPEKPS -GGFLGCFYATKHEVAPKKNSLSTIATLFAAPALAFIHSEREEAETSAKLQDKADEEHDKYWSSVESVKSK -LKAKKGKKVPNSAEGMLGDKKTKLVERDVFAKVVHGSTMDRLKNRFISDGSMILTDQTFPLKDEEVRLGS -TVGCPIYTRLPTFTEKELRKLTDKWEMSNTGVVALDMAIQSHVPEGTPMVAFATIMDGRTDDPHVAAQCG -SYFDLGRGRCQALSLPLVNFNLNDLCKRLGNDDPELYLATYFNDLLGYRPGELVFTYGSSELLEHKPDAY -TNKSLCKDTWDDILKRNVQKGNRIVKGFNVIDSISQDYEQEIPDFGDVNFNTKPRSIVAPTKAFTAKGVK -EHSDPSTLRRSFSLARTQFGRVPTRNPTPFGGLAMGQAKHDPMPSNLDSKSMFEAPRHSTTCSGLESPYE -IAISETYNVPKDAREGTHIGTIDFYTSIASQHKMPYQKWLGLGLIDAEVMLNIYCGGNPFLGTTIGIVYD -FYNKLDIATTLGGKLPRILGNCLPQTLHPMALGGFGSYKVNMSQYLGHSLFVSAKSFADPRFHLYIYDDN -TTEASAEWRCTVEILVRRCSEERENFNIPILTIPCSNFNSFINLDLFKGFGTIPLGKDPAIMPIGLDFAV -AKEYATGKTCLGTTQAIFRCFLGVGGTLEGQLIRTSTIMVSCNVRILIWYGLSLPTLQETGSIPHEDLDF -SRSDGTFRLKIQSPFARIANRTIDARLLVYPLGGPIATKGCNAPFSFAIYVKGIHFDEAVQPLLFPDREY -HWFQLDSFAKGALTIPLPNHICDFALDKQKVATVHLRSNPLSAIFGSCGFFKGNLTMIFRWTMERKISDG -GSAIWIARCYGTTDSHEVLESQISNVYTPGEIRLELNTGDFSGANIPGGTTSPKQFPLIWIADGASVGNI -QCSVLLHSGFAFYGRSCLAIK - ->YP_009351879.1 polyprotein [Peach rosette mosaic virus] -MLPLHERMILVRQDLEKMGVPEMGILQVLSAMGDYAAFVAATDEEKEKISARQRAVKLPISLSSYSERYA -KCMAGIVETAIVRASTKSGPTRFLFQRRVGRAIWALTTGVLKYALRWGITLALALEKGSTWIPICSEGPY -ASLGCSNTPISLAPSKVQDKIPVVQQQVALAPPMGLNLEVQPQVAGEHDTQVVCSFPGHTLEPGCQCSFC -AVPEAQLKLSVQQGVAGELDTQLENLPVQQEVAGELDTQNSNLLVQQEVAGEPDTYILHGLSVGHFPCSY -TCGCSLYTSPFEEYYLNFFCGPFENPRFYEVPIGPLTFGATTLAQHFLSHDCDSSFRSYSPIGGLLFSSI -EERSLGSWPKAAFSFDDALLLKGSVNFNYLNSDPLPMLDREFNSLFLALDSLSESEQPSQGHWPCGGVNS -FKSSFTPRFKRGEENYCLPKMPPRILKKEAINSLSGHFSFCECARCIPRCVDNTHAYLCGKATLYAHECW -ICPILALSKVAKQERKERAKFEALAKHLDELDFCDQLNSIDKAAMKLETAATPKQTYGGLFSGVAKHIPS -KVSLVDVATFIAAPAFAFIHSERESEERAADLEDHAANVHSEHGSLTIAQSITNLRKKKRKNKKGQILEY -GEGRLADRNTKILEKDVFVRVAHGGWADKAQKWLGSNSAGILTQQTFPTRAETVYMPSDIRQCIHTPLPQ -FTENQLRHLLDVQDMKSSGITAIDLAIQSHAGEGIPLSAFCTIMDGDNGDPNMAALSGSKFDLGRDRCQV -ITLPLVNVNLNNLHKQVNEDNKTRLYLATLFNNSCGIYPNAPVFQYGTSQLLEHRPDAYTNATLCKDEWH -DIERRNAKKGCRILKGFNVEEHIAQDYDQELLPFSEGSLLTCRTTERSAPTHAFSAAGVVKNAESSRLQR -SCSVRFLNDSSSRSRNPSQFGGLAMGSAKHDPKPNNTIPGEAPRHSTCMSAPDNPFIVACTSVVKVPKDA -KEGHYLGTIDFYALLAKQRKAPYLNWCGKGLIAPEIIFRFFSGSNSFVGTTIGVVHDFFNRLDVKTTLGG -KLPRQLANCMPLSLHPLCEGGISEQSVNIRQYAGHALYAKSKGFCDPKFHIFIYDDNALEMSAAWRLTVE -LLMKEDVEVEEVGETPFLRVSDSHLDYINLDLYKGFGTIPLTDDPALAPLGLDFAMQREYTDGKYCLGTT -QAMYRQVLGAGGLLEGRLRKVGTAMVSCILRLVMWWDLELPTLEETSEFPHIDIDLQDPKQGDFSILMRS -PFARVPNRETRGRLLMYPIGGPIAATGVNSPFNFVIYIKGIRPLQRVPIISLANAWYSWMQIDTFSKGHI -ELTIPNHVCDVKFTGAEARLRTNPLSVLFGTCGFFSGHIKMRFEWAQTGKITDGGTVIWLGKMYGTMADR -EVLESVTNNTYSPGLAEFVIDVADFSGYNIPGGSKQKTQFIVIWIDNGEVIHNIRVSVQLQSDFSFYGRS -CLLT - ->YP_009330272.1 polyprotein [Soybean latent spherical virus] -MVWSPDKVLSLHAQIRASFAQKNSFSSTVVVSPLPEGDNAISTAVLSPVVPATGALNALREKLSAEGVVP -SKVVLPIVGPSYAARRATVLLGLVERAMKLASTIVYRTLFQRRSGRKVYALEPWRVPFARLLGIVVAMRM -ENAPVAGPVDREVKLLEEPVEGPVDHQFVDMFPHINNYFLFYEEEEQERVTPSLEERYGKFRPITPMLGP -MKWTYERKELLPPPSTIEDLCTLHGAFLSMIQAWIHMESQGIDSLEEYYADDGWETSSDQDYEEDEEDEE -EEECVVAHDEYYATAFCGPFNNPQQYAYAIGPFKPNRMSRESFSPILSLIDTIFPQIKEDDFIFRVSVEK -RIPNWGPFHGLPKTIPYHFSRGEENYFFPARPKVIYHEWELRNFEKCPWLHHQMMACDGFRFVDRFFVFQ -CYWCSFEALKHQGKVEQAVRAHRIAHFNKQFEEPPPAEIKKGGPSGTIAQVGMVFGGKAITTIRNTVEFA -TCKYVNAHSGREDEEMRSNLHDRASSEIAEHGAPTISNAIEVLRTRKRSKNNKTLVHGEGRFGDENTHIT -EKDVFEKVVHNNMSRRVLSKLGAPDPKILTQNTFPTLHETVFMPQNRKKCIYSPLPVFKEDELRALLDKH -EMKSSGITAIDLAIQSHIPEGHPAVAFCTVMDGDCGNPNLAALSGSKFDLGRDRCQVITLPLVNVNLNYA -LNQLNLDNDVRLYLATLLNEGVDKLVGRPVFSYGTSILLEHRRDALTNQTLCKDDWLEIEKRNVQKGNRI -LEGFNVEQHIAQDYNQELAPFAPDLKLECRPNVVAEGATHAFSAKGIVKNSLNSRLGRSMSVQFLNDIGS -SSRRTEFRPPVVQDFGGIAAGFANHTEGSTSKFEAPRYTNAQSGLENPYVVAVTKPFAVKKDAKEGTLIT -SLDFYNLIKQQHRSPFYDWAAKGLIDPEIVIKTYAGSNQFVGTTIAIAHDFFSRVDVQGKLGGKMSRVIG -NCLPQTLHPLSEGAIGTHNVNIEKYVGHSFYFNSPTFADPQFHVYIYSTNAVEMESDWQLTIEILIRKRE -SEMSASLTPILTVPQNPSAYVDLDLYKGFGEIKLASRPQEVMIAMDIAESRAYSDSHYCLGLPQAMFRTM -QGSSGILHGRLRRVGSCLVSCVARLVMWWGSDPPTLAETSMYPFVDIDFQVSDGEFSLKIQSAFARFPNR -ERKAWLVVYPLGGPLAAKGVGTSFQFAIYLKGIEVSEPMPPVLLPDHEYVWMQVNPPNQGEFTFDLPNHI -CDVKVSGATTLLRENPLACIFGACGFFAGTLTMTVGWSNRGGISTKESTLWFGKCYGDRVGTREILDHCT -FNAHQAGSCSYALEVGDFSGFQIPGSTGNKHQYISVWMENAGSVSHFRISVRLHRGFSFYGRSCLPIK - diff --git a/seq/clusters_seq/cluster_638 b/seq/clusters_seq/cluster_638 deleted file mode 100644 index d7159da..0000000 --- a/seq/clusters_seq/cluster_638 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_010229214.1 nucleoprotein [Balsa almendravirus] -MSNKVFYVNNAGTSVYKVLTDLTDETPIDDPTEWLASGDIPKVHIKRINTDEGSITNVKKVIVKSLTNTL -SVDVVNSYLYYIGDKLLTGNLDKKWRLKTGLELPRGRVSLSSILGKVDTGMLTISDSDIDSSAPALDDID -VAAQLLANYRIHSLNKNSTESHKAIIKARLDTNLINNNIITENIKDHVYEHSAWIIDPDYRKICAAYDMF -LKKFPEHQYSILRMCVQGARLKDCSLLSDIIFAKKLLGVDESAFLNYAVDGSVSHELVNYLNYLEDDTPL -NGYFFYLKELGIIGKSPFSTTQNPNTHNWIHMMGALLGEDRSINSRIVSGQKTQVMNLAISCAYLARNKA -DISPRFAKDQATLNKYRELAEADGESAITPEKVIGAIREFSTDSGEADVMREWARSKVHNFVELREGSIG -SFLKSQLSVIN - ->YP_010229221.1 nucleoprotein [Rio Chico almendravirus] -MSLVKVTSVYRTKNGQVVQVKVPHFNEETDVDNPLPWLSSGEKPKLTIPKSKMDTLEGLKDGAALIGKNL -GGIMPIEYILAFMGNALRLLFTEKLEVPWELTSKVIIQPGDITPMDLVNLNIEGDSKESEMDNTGTGDMD -ELNKKTPIEVLANLIAGYRILSLNRSGTLQYQSNLKTRISDTLKQSGIIQNSIDSGVYNQTTWITNSDYR -KMCALIDLYFAKFTNTAYSPIRICVQGSRYKDCAIINDYAYAEKISGLTTWGFLSLGINSAISNEIEKEL -DYINVECNPNGYYPYIKEFGIISKSPYSATVNPNTHNWINVTAALLGETRGANSRLAPGGSNSQVLMNGI -ASSWMLRKKNAIDPKIGESAEKLEEYRRAASTVESVEVDAIAIIEIIQILEEQTTEADEIMNWAKTRAAM -IQEPREGSVGAYLKSILA - ->YP_010229208.1 nucleoprotein [Coot Bay almendravirus] -MSKKFSLIYLDPNGPQRTINSIFSTDVVKVDDPTEWLKTNEKPELIVHLAPEAKIKDFLKVFKKMEATPD -LLDPKMINSLLYYLAKKHLIFSLTTEVRLKGDLYLRTGDHNIGELFRETPEQFNLGSEEIGNDAYPELET -LAIILSNYRISSMPSNVTEAYRSKIKDNMSRAFKQASLIGEDIPENYFNTTWINNKEFKKICAMIDLALS -IDPTNKYSVLRMGTQTSRNKDCIVIDEMWKMCKIMGEEPENLFELSLETLLSKEIVGVADLLGDFIGEYS -PYVKELGIMNKSPFSATVNPNLHNWINMIGCFFNVGRCMRAKLVEGMHLKMYLNALAVAYSYRNKVKTTI -LLPTGKNVQFAKDFNAGKKENGDQTETTNILKDVVQNLQSLQSEDFDSEAILEWAKGIKGTIANDRADSV -GQYVYNRII - ->YP_009552120.1 N protein [Menghai rhabdovirus] -MDAHKFRYVDGSGKAWVKDVPSINMGDSVDDPTTWLNDGKRPKLTIPKAKSNMLKTVCKYFASQSASPEN -IEPDVALYLLYHLSVKYIKVDIKNKLTLKKNIYLNSGLKTVDDLFDVEEGEELKLSGDNETSDREMPELE -ILSALLANYRICGMASNADQKYVQTLKARMNRTFVQKQIIKEEIQENFYNALTWVNNYNYTRLCAGIDLL -LFSDKNHPYSALRMATQSSKFKDCALIGEINHFLTTLSEAPMDAWEHALEQSISKEIINIMTCLGRGFEG -EYANYAKEMGAMDKSPFSVTANPNLHNWMHMICAMLSSERSMNARLASGNQHTVFLTALACAYTYRSKTK -IQAVIYKDDHDSHARSINESTDDQIGMLETAENLKALRDDGEEASEIWEWFNNKKTIISDERPGTIGSYL -ISKMH - ->YP_009094389.1 N protein [Puerto Almendras virus] -MSSKQTIYIIKNGAHKSVSIPIISDSENPDDPSIWLKRRENIVINYKVPEEELDEKTLRTIISNGIQDKL -NLDTVIYYLVKYFLMEYKFIPSTKWIINSDTVFEPDQEYTIGDAIKFNILNEKLSTGDWVKTGDPQYTQQ -GLIGILLSVYRYNNVGRGVLGTYQDNLKNSMSQLLATEPFSVEEFPDNILANASWLTNKYYKVICAMSDM -FFNKFPMEEISKLKITTVSARYKDCAIISDINHAKKIFSFEDSQFYRILLEPSITREMISYLEKLEKLPI -EYAICPYIKELGGMNKSPFSALMSPNTHNFIHIVGVLHGYQRSFNSRIFEDSNLPSVTRYATIISYLLRD -NVELSAVFAATEEEGRMLAERKGKGANTDTLTPNNIAMTLNRYIEDSNFRDIIDKWARQRASKISDPRLG -SVGKYIDTIYGIRE - ->YP_009094378.1 N protein [Arboretum almendravirus] -MEMQKIYIVKNGISKTVDIPVISNTDMPDDPSVWLKQSHNVTLNVKKSPKVLNELQLRRIICNGIKEKLN -LDTVIYYLVHFYLKEFSFTPMIDWKINKDITFTANIKYTMAQALEIREEDEVLDTGDWAESGEPTYTQLG -LIGILLSVYRYNNVSRGQLGNYQENLKKSMATLLMGEPFNVELYPDNIVSNSSWLNNKNYKMICAISDMF -FNKFPTEEISKLKITTVSARYKDCAIISDINHAKKIFSMTDAEFYPTLLEPSITNEMVNYLEKLSKLPIE -YAIVPYVKELGGMNKSPFSALMSPNTHNYIHMVGGYHGYQRSINSRLFEDSNLPAVSKYAVNIAFKIRDN -LELMPVFATNDRDRQNFIENSLRRGVESGALTPQSIGAAMVQYIEDKEYQAEVDSWAREKASKIIDPREG -SVGRYLVTLYGTVY - diff --git a/seq/clusters_seq/cluster_639 b/seq/clusters_seq/cluster_639 deleted file mode 100644 index 0a24e54..0000000 --- a/seq/clusters_seq/cluster_639 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_010086057.1 nucleoprotein, partial [North Creek virus] -MRRVSSTPDNRVQITHMEVGTERPIVYPSAWFAGAGRDKPELMVIPTENVSRASLHATVRAGFANNNLDA -RVVVRFLFDEFRLKPSNLNEDWISFGRVIGARGGAVTVGCLLSVSENENPPPLLQGAQLMDDQEILKYVI -MMCSIYRLGQISREDYRTKISDNVRNLLEPLGGEELDPLQCAMEFKKWIAYQPYVKMMATIDMFLQEFPL -HTFSQARVGTIITRFKDCSALISTQAITKCLGLDFVDFAPWIWTNRCADQYVRVTRGGEEMDNPRSYAMY -FMDWGLSSKSQYSASVNPDLHFFYHVIGTCSGIQRSRNARFVGQPEVNNILLNALVLHYVMRTSATINEQ -FSATVEPIPDARRAGRRRNTFCTRKDPALWLGFMMQRSGRIPTHMRRRAAQEWLQHPDTREDTIGRYLYE -KGAAFIAEEN - ->YP_010086782.1 nucleoprotein [Ohlsdorf virus] -MCIVDQGCHHSIYRFLSTNLISVNMVVKRVSTDPTKRVTVTHMNIATDRPIAYPSEWFDNPLNDKPKILI -AAEGDITRAQLHATVQTNFPEGDLDHRVAMMFLRHEMMRKSHMLGEDWTSFGRAIGVRGGDITIGNLVIF -DQTETRPDVIDGEDCMTDSEVLKYIILICSMYRLAQISRDDYRTNVVNNVTALIEGLDGEAVEFSTQILS -YRKWLAYHPYIKMMAAIDMYLNEFPYHQYASARIGTIVTRFKDCSVLVATKTITEALGLTFDTFAEWIWT -ARCANQFVNVIKGGEEMDNQRSYSMYFMDFGLSPKSPYSATVNADLHLFYHTIGVSSGLERSRNARYIGN -PEHNNIINNAMVIHYVLGTFSTFDAQFSADGVPIELEADDLVDDDLPGDNSPELWLGYIISKNGRIPPIV -ARQSILEWNQFPGCRESSIGLYLYKRAQTLMVSLVAPAQ - ->YP_009388612.1 nucleoprotein [Culex rhabdo-like virus] -MAEPKVVRRVSATPAKRVIITHMEVGTERPIVYPSAWFAGEGRDKPELMVIPTANVSRASLHATVRSGFA -NNNLDARVVVRFLFDEFRMKASNLNEDWTSFGRVIGARGGAVTVASLVSVSEDETPPPLIQGAQLMEEAE -ILKYVIMMCSIYRLGQISREDYRNKIAENVRSLLEPLGGDELDPLQCAIEFKKWIAYQPYVKMMATIDMF -LQEFPLHTFSQARVGTIITRFKDCSALISTQAITKCLGLDFVDFAPWIWTNRCAEQYVRVTQGGEEMDNP -RSYAMYFMDLGLSSKSPYSASVNPDLHFFYHVIGTCSGIQRSRNARFVGQPEVNNILLNALVLHYVMGTF -ATINEQFSATGEPIADIEEQQAEAGLPQGKDAALWLGFMLQQNGRIPTHMRRRAAQEWLQHPDTREDTIG -RYLYEKGAAFIAEEN - ->YP_009182182.1 putative nucleoprotein [Tongilchon virus 1] -MADQRVIRRVSTDPDKRVQITHMEIGTEKPIAYPSEWFAVEGREKPTMMLIPTGGVTREGIHATVRAGFA -DGSLDARVAVRFLYDEFHLKSGTLNTDWTSFGKAIGARGGAVTIACLLSVTEDEQCPPLVQGANLMSDSE -ILKYVIMICSVYRIGQISREDYRTKISDNVRNLLEPLEGDDMDPQQYSADFKRWIAYQPYVKMMAAIDMF -LQEFPFHVYSQARVGTIITRFKDCSALISTQAITKCLGLDFVDFAPWIWTSRCAEQFVRVTKGGEEMDNP -RSYAMYFMDLGLSSKSPYSASVNPDLHFFYHVIGTCSGLQRSRNARFVGQPEVNNILLNALVVHYVMGTF -ATINEQFSVTGEPIQDVEEQQAEPGLPQGKDPALWLGYMMQRNGQIPVHMRRRAGQEWLQHPESRDDTIG -RYLYDKGQAFIANDVA - ->YP_009552816.1 nucleoprotein [Riverside virus 1] -MAVNRPIKRISDHPELSPEVVHFDIGTDRPIGYPSAWFAQNVNDKPTIMMIPDASVTREQLHAAIRAGLL -TGTVDHRFVVRFLYNEFNLVPGLLNSDWRSFGRNLGNRGERIGPSSIIVPREQESVPALVAGNGLMDDQE -VLRCIILICSVYRAGPISRVDYRDQVLENVGNLLTPLGMDQDTDIGDIIDKCKQWIAYQPYVQMMATIDM -FLNEFPFHPYSQARIGTIVTRFKDCAALIATRMITQCLGLKFPEFAEWIWTSRCADQFERIIKGDEEMDE -PRSYSMYFMDLGLSSKSPYSASVNLDLHYFFHTLGVSAGLERSKRARVVGDPEVNNIISNAVVMHYVMGR -FATLSQQFGVDGEGDELEDENNRPMPEGEPQEKDSSLWLAYIMRNNGKVPDYIVRKVATEWEQNPACRDG -TIGKALYDKSGPLLLN - ->YP_009304477.1 nucleocapsid [Taishun Tick Virus] -MTSKKQFDLVKGKRKFNFRSKNDETESVQMDEVIWKEISGADELATYMENPDKTKPAVTIIQDARVNVPL -LDLVNGGLRACSLDGNLAAAFLHEVASSFKQILEEDYIVDGIKIGEKGKTVNPLHLLTVTVVPEEFAQLK -TGTVARGLFSQEVAVFLICFCHRMASAGALATTEYLTELANRATSLLSVFPYYAPVDEVKNILAAGATIG -NEFLACKSCSILVAAYDMFLRTFEHQKFSSITMSTLQSYCRDYTVFIDLKFMTDVTGLEYGDWAQWIWVP -EIHEEMHNLAKILGFGKMRLSYFPYLRSMDVISRSPLAATVSPHLHTFIHATGILRGEARSINARMVAGG -IHYVIFKNVRVFLMALGYRTEIFRMGVFSSKEDENTAKAQEAAIRQLRSIAAATVGQRPKLFNATHWLTY -AVAFPRLKVPGSVDACKVVLDCIEEPRPGTVAAQMKVEIREAMTAAPNGS - diff --git a/seq/clusters_seq/cluster_64 b/seq/clusters_seq/cluster_64 deleted file mode 100644 index 0929dec..0000000 --- a/seq/clusters_seq/cluster_64 +++ /dev/null @@ -1,1622 +0,0 @@ ->YP_010088081.1 RNA-dependent RNA polymerase [Passion fruit green spot virus] -MTTHGKIRNLDFDKLRKIMTKPSVTSTYIESKPVEQHQLNTQSQPLSYTIANGQLVSNSEVVTSTEAKAA -ALLAVMGSYPQETQRAISNKLERCAVDNPDVEDAARCIYSARMHTVLTNVLQKRVINVPENISNDMEKLL -SEQFLSYKIRVTFNKNVTHNNAAALRRVMRHYMRDIVGYRKDYGIPEGYDMQLCDVGSNGFDILNEELTG -VHACMPDLDFRDHIRLERYKHYLFSHVCPNSKEHRTICDGLREGSTLFRCENIGQHCNIRAPLLTFVHSA -YDITAAGIVDCMVASNAHRAIMCLHFDSAIVNGSTSGVNKILNYKWDIISLKGVKYYQQKFLNDTQAAYV -HRLDVYLDKFFTKVVLGSDKRFYMFEILEIICGVAIIEVFRRDKEFIAGSRLTFNIPRTAPAGTVTIHTW -EYNTGYESFFSSRTRINSAVMKPVSITLSEEFFLTMIKYGLTVDANKFIYDGLLKSGVGLSARRNLGGTT -IVASDQDIPVRKMTTIVVAIYMLLYSEKWEATQGLVTIKHLADKYRSRSASSGFIRFLTNMLSSKGQTTH -PSTDTNIDNIKSSLMLDPKIISKQFNLNDDEQERVWGFNSIIEWFTEYARVKQQCPIVVHDSSHSVEYVV -DIPDMVVLNLKSLRDETTIGHLCHINYEDYKSSVTVDYNDKHVCDTPLQHVSVAGDGNCLYNCFVKAGLY -KGVTVCDLKARLRDSIFYSEVDVLATEEGDTEFSESLHRDGVFGNKFTLMLIAKTFHINICVHLMFRETT -FMRFTVNKGSRYIHLQLRDQHYDLLIPYVKMGLMDRTIVACGSVALQTPSDCTRTKLDNLYKVYSMDGTL -SKYRNVFCTVFKGPFLNLREMRYMELLNAAELCQRHSNKFLITDANMRDAVRALRILDPSSYVIAMRCNS -NKKPNDRLGVCDFTMDSSFHEESFCLSTVLSDVLEVGLYSQCNVTLSDLSRVSHVSYSSSMRTRTSEAER -SNKIYLTWASLSSGGIAIYRIFRPEQMVDSLNLLTSLYEDIRFYRPHVTPMSTVEGFLICSGKQHSRGKS -YSILSEVSDCFYKAHVENFCVNVLSESEAQKYAKDLCGFYSGGGTYRSSRKHSHNRSYIIDRSLVVSKFL -SCLGTSSFGVFSDRLTSYKLHVGVDHDLRFFSVELESLLESKCLHCESRFLKFPDLKELVNFENIVTDNV -FNYVQYFDNCSDFSAILSFINYIVKAGFTDVCVVSNCFFNERILTGFLSFCRCFKHVEICLRLYRGNLVM -MISCRSNWYGPLFGEFELISCNRRDFCVSFVKTMLEHAKLKARFNDKSDAIRTKADAIRLGLSIGKFDPK -CQIKTDIQKFPKFKPQVKIHNTDALVNNIKQLLGSNGSDLSTNKEDKPEVEFVPVEQKDDLGKRLASVFE -YEKYLKEELAHSTDTVSKAVDNILSFTRTRDPKRLQEMFFPNRSFFNEQKKLKDGIGIISASGKVLKNSE -PIVCGDDINSVYDIVHGKVVAKSDYFSMFRGRSIDQVGGFAIFTNLIAHNQVEPVLLALSDVTSREHRME -FIRTISVDWIQAVAGAGKTTLLVQTFTPNDVVVCPTVENRDSLRKKLSLAYSSLKAEDINNRVRTLNGFL -VDHNSKIGKISAGLITESSRLLIDEAIMYHAGCLFALCSLFGINRMFCVGDKRQIPFISRINFVLRYEKL -SDFVTSQAKPLARTFRSPPDVTYLMQKIYGKDLGGLTIKCLSSNQTHLRTVSKHIISKNCNFNYDLLKKH -FPTDRCTFEENKIKLLFFLREDMISFLANGGERYSQYCCTVHQFQGSDAEYIIVFRLSYPEKSIFQDERQ -CLVALTRHTKKLCYVSVNEADDVLSKWIKTPISEADLKPFLKLSGGGPTRPNNFVSYRSIPPVDLMKGDR -CTKVGFSDRFDIVLNKRDTLPILLDKLKTSPIKGGNLVFSSMVLDKFSQQRLKPAVYSVLGKNVNLFCSG -KNQNISSTVFDIMQLNAVEHVPDSHLSPFYEDEFEEVHFPTLKQITTEGVICKTYSFEDKFSILQNFLSS -TFPNSCYVNTSMDAWMTYNLDLDLAIDDVSINTIKFATVSKTYDCMIPRLSFCSPVVRKACLVESLIAVQ -KRNRNVPQLSSTVSPYKMADELFEAFVSLLDQRYYRKVHYGPAEVAAWLQDQKSSVVNEVVGEHSIYSTA -VEKYSLITKSSPKPTLTDEAYMEFAAPQVVLHQTKDVNAVFCVIFRSLKSIVQRMLRHRKNLVFYADMDP -DDLADHLTKYVSTDISRTKSSLEIDIKKFDKSQELSVLLFECKVMRYFGVSEELIYLWFHSHVESIVKDT -RNGLKFKLQVQRRSGDGGTFFGNTMFLIAVMARNFDLNSLDLALFSGDDSLLVGDKKDLNCDSKNFSDLF -NLDVKFFSNYDYYHFCSKFLIPVGDRWYFVPDPVKLCVRLARLDLINWAHVDEYRISLLDSTKHFLDREV -VEMLALAVQDRYPVLMDPCEVFEVIRCLVEAPLDFRNLFEEPLEMLPDTVCLPSDR - ->YP_009270625.1 hypothetical protein 1 [Brejeira virus] -MGSTDTTMAATSFDDHTKNAILDQLRQNTSMDHSAMQENVKTAMLKQLMASEQTKEIFVAAAFNEAFQAL -DAKSSQEKVIVHQRLSKEDQDRLRKNFCMFSLDFSKATDCSSHAFWRAHRSLSERKMLRAAGIKPCSRPC -NGYDVVYKDVGGNPTTHLNRGEKYVHTCAPLLSNNDDKRHSAYKERLRRENPRKKNDVYELHVKKDSQVI -CNRRSENCSIKAEVLIFLHSSYDMSLGNIADAMHRADARTAYGVFHFNPRVLYEQRGKLLNGMCFEKRVV -NGRVKIRFWYENDCQEGYEHDYIRYVSLIRTFRIQSSSEKPRYYNVQFDTTDDDTAFFVIRQSINGDIPA -SNCFRVFTNESLEGKMLLYTWEWSSLNSGTFSSSTLDRMRPVRLIIEEKLYNKGMAFAATLPDSKLSVKN -ILIALTSFNSREVISGQNIGVIEPVDPYTVRLLAVTIFMLTYVQNYEGTKCLSSMMKDEDRIRDESDSSF -FCRLFRVKVRLPWNHRVQKFRGRMNELRSEIQSFEEKLTTVEDPTTSNLKKMITEFKSWTDVERRYEIHI -EHMCNFLTIDQELDCLCLDATPSDRGFVSHSPITDIIDTDSIREAALNSFESVKTIDTSYDSSSLKTYQC -DVDLAIVKNDSNGHCVFQSMIDSGVVTDLNVEQLRLRLAHSSYMVNLRHASLQRSLLNCLDGSEKGFGDL -DTFILFSLEFQQGVCVHVDGLCKSFGENLFVHFEIKDRHCSFLKIHHNFDAIPSYSLHDDCEEIEYDSCA -RDAMFDAFFNLKSQFQSNTSYKKRLALAKKNYCPLSELGDGNYVCRSGLKTAEMFARYFDDNIASAVTIG -GPGGEAQFLCNKGIRTFGITKVDLIDFSSVLSNYHHFTQLRGDNYDGDIMVMSNIISFRDDVRAVYPEGV -DFFGGDAATASDHETKVDSKDMVSLISWEVVCMSVLLRKGGDAYFKIFDLLEHGMPVVILVLNRIFDSVH -IVKLETSRSASTELHVICKNFLLEDDIPSFVHSRLLVDKFPVPKGIVNNCRRAQKMFDAFIVKGLNEYRM -AFNTIGTPNELINRFPSSKIEGYRSTLCLPTRVSAGGIVDKVCRTFRRIYNVDGDRDYVAELRNYKYVVS -VEKPENTAEVEKPALALDWTPEEALEIAEDYRPLRFEKFLKTRKRVDPFLLSTEKDGSFTVMGQTDHTGI -TAYIPNSNHVSVDFGVSRKVSPPVVEVSESVEHVRKVNKVSYQDAMRECLELTKCTLNSHVSNHSRLLKK -LNLVPLKSTFVKEENGVYSYCQYVKDVGVKFLLGAPIGDRTFNKFFYSGGFHSVKDMDRVLSDGDRFLYS -EYCEIAIEQELIETYSSINISDFKLPDGVGIVQAGPGCGKTTFVVNNSVPPHMPGATNVILSTIEGKDDF -IRRIEKKYGVVLTKEQLVHIRTMASFLVNPSKNAYSEMLIIDEALMAHPGQLFFAIAISKAKEVKLLGDC -LQIPYVNRTPAYTTKCHRLIDFVPVIETLYISYRCPSDVAARLNKKYLVHNKPNGVNFGLLSTRYCMNSC -KVIKLSNDNFPKDHDVQYLVFTQAEKQKLELHKLRVSTVHEYQGKESKRIRVVRLNPFPQDEIYKRENYA -LVALTRHTESLEYYTRVTSDALSQMIKVDGISCHVAMTDDENRKCVYVKAGVIEHEVFRISNNSPNPMLA -TIAVSPTSISAVKEPRLFFVPRFGRKEDCKFKPLVMNDDFSIQTRNGVTNIFVVSSDTFTQKHNLKTITR -NLKALSPVISKLNLPRLFVAGAVEKDIERSAVGLVFYKNIRTKAVLCSTVNQYDVPKEVFDLLVKNGINN -APNSTFSSVMFSEYEAPTTHEIRRPFDVTSAQFFITSFFGECVYAEQAYDAWDVRNTDLNIEVGRIRFNP -VLCVQLNRDYDMMRPLLKTPMPYMRDYNCREIMLALEKRNRNVPNMNGVVDYEEGSSLMLDALIRECFDE -NLLRFHLREKIVVSMNSVYEWLSKQPTGTKELIVPDFALHQTALNAYTFSIKRKPKPNLTVDATKSYLAL -QTIVYHEKPINAMFCSIFREIKLGSLCLLRNTSRSFVICQRKILKNVLNRDIQPRSLSPFLEKLEIDISK -YDKSQRELALEFECKLMSYFGVDADIVELWFNAHVLTEVYDKTTKMRALIPYQRKSGDASTFIGNTLFLM -AVVCDLVPVSELELALFSGDDSLLYGHNMDMYRDSQHFGLKFNLEIKFFSFEMSYFCSKFLLVVNDKWTF -TPDPVKFMTKLGRHDLVNPLHVEEYRISFVDTVRNYRDYHVCSNVAKALIERYGIVTDHTSFLMSLDDMT -SSENFSLLFYSLSGDKIDYSITFNKDF - ->YP_009551530.1 helicase-RNA polymerase [Tea plant necrotic ring blotch virus] -MTTIRADAFSTSRLLGEEFILTPKSLVELDDVSLTFGDLLERFLIEAPSLEYLGPEDTNLILRVRITELR -SEFFANSSSHDYYRPSDAELADMKSLVYPPRFKKVPRDDLPVDIHESSLMGPAAFLRELNSMHYNTEPQN -QVQDVPKGNDEEVFLDRSLEDVEIENIIDNTVLPTYAGEYMEIRLDPMLAVKEFLLYSRLYVKSMLHVPD -CDKLIQKVSGVFVKGCVADLTNYRCFVDKRRKEVVFTSEYDSRRHTDIFTCEESRVCPYLNFSRLHPPVV -ENLRNKCLLSASFTQAGPGCGKTSRLISMAKNWPTTWLILTSNKRTAVEIDTKLGRTFKNRVFTLDSYVM -HKGYRCDTLFIDEVTLSHPGLVYIAIALSSCSRAHGFGDMMQIGFVDRKNLMHMRYSNCKNFYPVIDTLK -HSYRMPPEIAKALSPAYVSAGLGDIESLNKSSTSVSSYPLSKFRPSPRYKLLVFCRDDKKLFPDYDVSTV -HEFQGCESDHVQLYCSRSSKILQNSLPHVIVALSRHRLRLSVYTDYDDTLLWNLLCSAKYGGDEISGGYT -MMESKLLGWVYKKPHSMTTAPRSSSVPESWKDQDTLEIVRRESNENYLRVGQHALLRVDKSVSFKNFEET -VAPMADVIIGRKLFIHDDAFSFEVHLAVRVLSKYKPRSIRVKSDPTAVSNEVFEFAQLNALMPGYQIEPL -IEDRFEVEEKPDDSDDDVDDADTSDHLDVVQNFVDNLYTTDISRYNNEFDEFNFHHSDQVFPIDNIKFCE -VRGTYEPSKFDKMRPALITPCPRVQMDTNINAFHSALKRNLQVPKLTTVDDTYKVAERKVDELMGLCKGI -CTDYMAPSVGSMQDWLNDQPNSIVNQLELELAIHDRDVSWYSFMLKRCPKPELTPAAYSSYPAVQTILCQ -DKEMNMYFCPVFRDLRDRIIATLDKRILFFTKCDDEGFSKQLNERLPVYEAENYNCAEFDMSKYDKSQGL -LVLLIECMLLERLGAGRRVSRLWFMAHACCKAVNPATGLTIKTVFQRKSGDASTYIGNTIILMLSILDVV -PIKDIALLLCSGDDSLLWTSKSVKSINFDVLTRRYNFEVKLFDFKYHYFCSKFIVPVCGKWCIVPDPLKR -AVKLGRSDLANKEHVEEYRISFRDNVRIYRSFEVAYTTSQAIQERYKTDYNSVFAILAMYQLSKQKHFGN -LFYTEPGDVLCLDPSRSKLDM - ->YP_009551524.1 methyltransferase-helicase [Tea plant necrotic ring blotch virus] -MADQEGPVVSPQLDATNYNLGFSPPLRRSEVQYGFTSAPGENDRRHLGNVLKNVVGPDNAKELMDRSSFD -PLVTAAVAQEIVRRTHSQFVVDSSRKKYFTKYVSDSADLDELQKNFPQFFIVSDTCVPALGHPYARETRM -LEHKEIFEINLGYNDGDTVPPGFDVLVKDIGGNPAVHDMQGRSLVHSCCPFLSGKDALRYDAWKEHVARH -GKDAKVSEGATKVSQTVVQKYPVTLDYLSPVPKLTCTRLGQHCRVKSPIVTMIHSVYDMSLEQIGDCFDM -ANCCKAYVTFLFDPRMFIQREGELGPHDCHWVRYGYGDSQNINYSFRAGACDSYTHRFSNIVSLVTSTYF -VTPTGRVFVAERTLRNYTMSIHYVYCPNRLPCGEVSRVRMPFFDDKEAVFVATWWFNTQMVDNKVIPVVI -ETTQKFLHKVSNYAATLNDNKFNIDNVKKFAVSCNSDIVVGQVSSGGPKHSIEEIIQLVVAIYMNTYDNN -YYASQIVKRCVEDTAKVRRINSSSYFVRMLYKMFSLNYSNVDKFGRNDHPLIKRNGEYVINSTLDQSNQI -AHIDRFDKLVEEYRDQSSGFLGINRILDKFEELFPAVKKKYPIYFVRERFFTLTQAVEFGMVKNTPVSYT -HARPVSPNMYLESAPALLYSNFTNAMKSDPYIRDVIAVVQSSTGKAYDAFRKPHVCRGSGFVSVEVPGDG -NCFYHCLIKALELSVSPNAVRNRLSKSKYVDVLCSTVISDSLTIDLEARNELINRLSPVQVPSDRSRWAN -DTVIALAALEYSVAICVHKRSTQYEYNGHMHSRQGNECSGTIIHLKYNDNHFALYVENVTGVSATLIDVD -LEIAGADEPQNLEVSEDLMDVITVKNKVTNETELPKSIYRSVYCYTNDYNPYNKLDKYGYSSFYGPMLYT -ALKDKKFGENSRVLDVDSRNLSSLQVLCDNEFDEKFLNVTSLQLTSTSEEIQTCRSYEKKLLWISSNNFE -SRMRMVTRPFLPNEVYDLITINPAYYSDKIGRICPKRHFDHLKRTILTISRLKKDGSLVLAMPFPIDQYV -GSVIMYLRSKFESFSMTVPSVQPAYLTWWFLKCENFVGPECVIDDLEYHEIPTLPASINGLLTSAHDSHS -IKLKEEIDKIVNKKYTIPSKSWVQKMLSLMPNRDDNLEAGYDESLLPEFSDEVAPAKLPVFGKDWVVKNY -GEYAYAFDKVRRTELIEKFSIEFRNSVYELIGDPGQYLRDVAVSRIQGDKFLQQYAAVLVQNKSPILKLR -VHDVNKPVRSEHFYDRSILSKGFISRIKESLFPTDEYYTEQVGRPMNADMFDVSNVIPSIVHIPLEKVGE -PSSFDSSEPDDPQVVVNAGSGDKSEKVYWAENRYSTDVNRNLELAMVEYSDCISVHVDKVKANCRDTVRV -LMAYRDYFSGNKHDVRKNLAPFVAQYNMDEFAFRYDGRYIFGNDGVLGRYVFAFVSGPNRELMTEMVKVD -EKRILPKNFIYTSDLKVLMELDHMESFPTIRHEPVVDVTMVQAGPGCGKTHDILEQLVQSVSTGSSVFAI -MKNKENVESAKSRLRSMINSKAIDQRKSAQIMKRISSIDVVLKDPGKYTQEFGVLDKVLVDEVITQPAGK -IGFLAKSLNAKLTVCYGDVAQISWACRLNDFEPKYSEVSRALPISGFLRDSFRCPGDICYFISRYYYDIA -KTLGIPCVDPHSVLRTKSKTRGVTIKLQHIGSVDNIPFDDKLQLLSFTQADKEALIQKFGRKKGLPIHTV -HEYQGNENPRVALVRLSVHKNTDFPGDDGAFGSDSHIVSAISRHTQSSVYYTVNITDKLSKVINDINLNY -PSWNMKRSDSVSEGNENRASTSYSIENFSGIMDMYKSIPMY - ->YP_009553581.1 ORF1 [Andrena haemorrhoa nege-like virus] -MEINPSYQMENTISHNTASLLRYAETAKSLRIDTEELALKIGQKLVSSNTALTTEFENDLVNSINEKILN -IKQINKNIYLNQRLSDAEKTSLLQNFSPPYKLVFSNNPTDIGSHKYYRALNEIATFRCYDLIDMDEKVPP -GYDILVKESGAAIMKLVKYNRNVHGCTPNLCLDDSLRITNTEHSLLRSLNTSDKKKNFLAKQYLNRNPLY -RCFNRSQYCTIKSKYIVFAHSSYDNSMYALANIMDAANAVLGIGFIHYSSKIISSLRNGSDNGLNWRTIL -RNKTYFIEFWFDNDYQNSYVHNLDTYLAIIKNSTCTSRRGVTYIVQRREELGGLLFYNIIKPIVDIPRSH -IFRQLPFSDEDNVIIHYYKLQNDASKFFYHELIPIKLIVPKKFFEKLYFYLETMPDGKFTIQNALIFAST -LANRTIINGSYVSSPYNLDIEQVDNIAYATYFIVYCRRYDMISVLSKLKGFEDLKRNMTFYKRLCNLFHN -VRDSIMSVNFSKYKPEKVLEDVIQNLESELENEEVLTYSKNVLQWLCRLWRVNPRYDVRFYPVTRVVSIE -EDIAMSKSVIDVLPVLANDKDENLLKYISDTLNITRVKSDACKINECKCNVPLIEVRNNFSNFCVFKAFS -NSLNIDFKQLLNIIETSETFLNLMPTMKINVSKSLTTGVCDLEIFELLALEFHVNICVHTESFDRLYNVS -SEITKHFKITDNHCIQLIEKLNMTLPTFQCKSYRPFVYNNPSKNIDYYSQLNKYLESENKKEIRFKSFLY -KIDNIYPFPCKSFLKLMEIDMSMGLITSGNVVELSAAPGSWIKYVELFHSQSNILFSYYYNGLKMEIDCE -GMTCLNDDIQGDMTNEDDVIEILDKLYLEGCADTFLSDIAIMDGDALNVEMFQKYIYTVFNTLPLILNRG -ANVLIKSFTEIDEKLYTSLQYFQSVSFVKPNFSNPLSSEYYVVMKNFDPNHNIEHEFTNIHTPILERQYL -FLKRANEGRFNAQKNFVLPYMYSVETKATHEALEPVVKMVPELDLVETIQECDLSVERTFESDLLNSSSR -FQFSNTDNACLRVVDADVVSFNPDILLVLYSHSIPELAGAIYSTDYMIDVSNLNVEIGLKCTGELDVFAR -ISSIFSAFHTFKLKYQIDVRNLNNPVSEKKIFDLLEEYQHSNDIILITNIDPTVSSCSLTTFEKSISEYI -SYCNNLRAVNNNTYAYYFNSMRVSDYKLSTTMIANLKNDSQNISVRMGETYVFKHPKAKEDGYSHFFNGT -EFLPASDLESNIFNLVGDYCHRMFDSQITKKLKQIDVNKLGDVQFKLIQGVAGHGKTREIVNKHKMKLKS -NRGTADLVLSPTKAGRQVLISRTVAHNKIKINNIDQNYYKTITSFLINDNRLNVNNVFVDEVMMVHSALI -LAIAYYSKSKFIYLYGDTCQIPFHSALGDFSFTYNNPLSLFTINEVREKSYRIPADVAATLNDIYFEKHS -SFGFRKGIITTSVKLRSVKVVKINSVNEMLNYFNDDTVYLTFTHTTENELNKLKREFNPQTIAAYQGSES -KQIAVVRTSFSPAEKIYNDIHLCVTALTRHTDSFTYYTTCTNDALTRFAESAMAFTDYKIKGYSTSLNVA -GTEVDVLPFMENTSAVKKFFVSTNKMPDKFILVDHESYGTERELVNAVHSLSLKTRKQIVLRKGVFKKFD -MNMLKKNFYKYAPSIKSIKVKVSSVSFDENLEVKDIVETYKCTNVIQTSVTEKLEQIVTPYFPDIPKITN -YIEVSPSIEMLQTFLSHLFPQCCFVNTSLDSTFVHSSDVEYTLSNMSICTLKDIPKMQRYDKLRPVLSTP -CPNIRDCTQREIILGVQKRNLNPPELILNSSPDHSSDHLLQNFCGKLCIKNFELVINEMEPLRVTSESVI -SWLEKQDRVVLKMIQNEIPFFLSSLSDCALSLKRSPKIRITQDAVDVYDSVQTITYHPKFINAYFCSLID -QLQDRILNCLLPYIVFNTKLNLEELDRICTRKYQQYNKIYMFAGDDSLLVNNFNFKEMDMSKFDKSQLLF -ALEFLCKLFVRFGMTTADSQLYFEMMYFRICRDPSNKVTMYLTPQMESGCAATFLGNTCFCAAVIASCIE -FDTFNYLPQMSKFSLMFNLETKEFDFKYPYFCSKFLVISETEFKFIPDPLKIAIKLGRRDLVNYVHMQEF -HTSLLDLIAAYNNSVDLEVLAAAISERYSYPYNCTYHIRNLVSVIRDKNLFKHLYFTLPEDILNSKLEKF -PDYF - ->YP_009552739.1 putative RNA-dependent RNA polymerase [Ying Kou virus] -MNSLSGNLSDAASNLTLDNVSKTRLVDQLRQNVSLDSEAVSKVVNNSIIKNLLNSPQTQELLVNATIDES -IDSLHAKDLQQKVYVYQRLSKEDQDRLRRSFDMFNLDFTRATDCSGHPFWRAHRLLSEKKMIRQAGVRPG -SRPVNGYDVVYKDVGGNPTTHLNRGEMYVHTCAPLLSNSDDKRHSAYKERLRRETCKKKNEVYAMHLERN -SQVICNRRSQNCCIKAEVLIFLHSTYDMSLVDIANSMHRADARTAYGCFHFNPRLLYEKEGKLLNGMFFK -KIVVNGRVKIRFWYENDNQEGYEHDFLSYLSLVRTFRISSTAQKPRHYNVQFDTTDDDTAFFVIRQSING -TIPRSHPFRVFTNTELSDKMLVYSWHWDTINPGNFSASVFSRMRPVRLIVPRKLFYKMCSFADTLPESKF -TVKNILIAGTSFNTREVISGQSIGVVDPIEPSALKMLAVTVFVITYISNYESSKTMSAMVDDEKRVRDES -DSGFFSRMFRVKNRLPWNNRVQKFRKKMSDLTSEMSTFEEKLSAEDDPATSRLKKVVGVIRDCVSVERRF -KIVIEKMCNFLTVEEELDCLTLADVPCDRGFVSDNPLSEFIDPETVRDAVVECLENVKITETLYDSSSYT -LHNCSSDLEPVPNNSNGDCVFQSMIDSGVCKDMTPRNLRNHLLNSSYLNNLRNSVHQKRLLGCFDGSRTG -FGDLDTFILFSLEFQQGVCIHINGQNKIFGSVPYRHFLIENDHCSYLKPSHNFDSIPTYTLVNNVEEFVY -SSQARDLQFESFFNLRSQYSRAQYNKRLALAKRNYCPLSELGDGNYVCRSGLKTAEMFARYFTSEHFSAV -SIGGPGGEVQFLCEKGIRTFGVTKTDLIDFSPVVRNHLFTQLLGQTYDGDIMKIQNILSFRDDVRALYPA -GVCFFGGDAATTDPQSDNVDVDHMSELISWEILCMTVTLRKGGDAYFKVVDLLSNRMLKNLYFLSRNFSR -FEIVKLETSRSASTELHVICRDFLLEDTVPGLAHSQLLASHFPVPKSFYHNMCHAQKYFDDYIVKGLREY -RRAFDTAGERNEHVNRFPEEKIEGYRNVLCLPVRVSAGGIVSAVRRTFQRIYSDVNSVSRDFEFELRNYR -YVDVDTISVESFSTAVEDLDEDDVVVVAEEGCAEEAVEIFDPVAESVSSYFGLFSRGETAPEKPDPFLVS -TEDDGSFTEMGQTRPTGITIVAPLINHSKPSFELRSGCVPVSDEPEEVAAATPAVVESPVTYRDAMKECL -ELTKFTLASQVSNHDRVLRRIDSMPLASTFTEQENGAYSYMVYSNPNQVRVRFGNPVGDRKFNKFFFRGA -FHPTKEMNNILSPGDHYLVSEYCEIALEQELISTYKSIDIDSFEIPNGSGIVQAGPGCGKTTFVVNNTVP -PHMPEPTNVLLATIEGKEDFISRLEKKYGRKYSKQQLVHIRTLASFLVNTGKNVRSPTLVIDEALMSHPG -QIFFAIALSGAKEVKLLGDMLQIPFVNRTPEFRTKCNRLSMFVPVIETLYVSYRCPSDVVARLNSKYLEC -NIPNGVNYGLLSTKYAANTCKLVRLSNDNFPKDHDVQYLVFTQPEKTKLLTMKLKVSTVHEFQGKEAKHI -RVVRLNPFPQTEIFHRFSYALVALTRHTESLTYYTRVTSDALSKLIKVDGITTHLALSEDENRKCLYVSA -GGAAVEVFRIANDHPVSSLSTMSVVSSHISRIQDTKLYFVPRFGRKPDCKHKPLVMNSDVCVSHSNGHLN -FFVVSSESHAQKHNLSTLTRNLRKLSLMLVEFGVSRLYVSGEVEKDVDRASLGYAMYKHVRAKSFLCSVI -NQYDVPSEVFDLMTKNGICDLPNSKFDSIGFEISEYIPVFKIQRDFSVESAQSFVNSFFGECAYVDQSYD -GWDVRNGDLNIEIGDVSFAPVGCVQLNRQFDCMRPLLKTPMPHMRDYNMRELTLALEKRNRNVPFMNGVV -DYDSTSSAMLESLVRECFDSQLLDFHRTQPVTISLNSIGEWLSGQPTPVRNMIVPDFALHCTAINSYTFS -IKRKPKPNLTIDAANSYLALQTIVYHEKPINAMFCSVFRELKRRITYSLKPHVKIFCDMSAEDFEDVMNR -DVPPSQLSPFLEKLEIDISKYDKSQRELALEFECKLMRYFGVSDDIIELWFNAHVLTEIYDKTTKLKALI -PYQRKSGDASTFIGNTLFLMAVVCHLIPVSKLELAVFSGDDSLLYGYGMKRYQDSQHFGLTFNLEIKFFE -FEYSYFCSKFLLVVNDRWTFTPCPVKFMTKLGRHDLVNQAHVEEYRISFIDTTRNYSNYYICMAVAKALK -ERYGIVTDHTEFLSSLSDMTSRDNFSKLFYSEVGDRIDESVVFSREF - ->YP_009552459.1 RNA-dependent RNA polymerase [Nephila clavipes virus 3] -MWNFLKGVAPSSAPLSDGGSTSSSVSAGDSRLRDDLIRHYYSNQPGYCYPPRDQVEIESDSIDTARAYLG -AVSSHAGLDMRGLIRQYANTALQDSSSDVHKTFNEALHMVSTDEINKRKRLHEISVTDHLTIDEQIQLNQ -AFPQYKFNYSNVVSNCGHRLARTHRKVARRQLLDSLGYKKGVKANGFKIKDLGSSPLSHIKEGNTGIHFC -CPILSQRDAQRHSTNFHQAKELIYETSKTVSLLATSYVTTMQRIHAGLNTTPTDVVCNNTAQRCPVKADK -LMMLHSSYDVSPQEIAQAMDRAEAYELKGCIHFSQDILYNKEGEIAECQMRYRRIKRDNTVYLRFHFKGD -FDLGYEHTIDGLLSAIFKTSVTSALSGITYHISIDQYKLGLLFFTYTRSLVTYIPKSIESKHFRTIEEVT -GTPMLEITYYDWMNAGWSSDPYEHMKKMRFITPKYLYDSLYQMARNLSAGRFNVDTLVTAASSYNTRMFI -NGRDVMMPVRVDSTIACLTAHVVYMEAFKDKYNQGKVLETLRKQEDLIRETGQKGILSNLWQKIRNFHIL -DFINKGEDDVFDRAFRTDPSKIDHINKYVKYYMWIKSQLIYTGTYETKVEKGTKITEIEYYDQPVRGDYR -VDTSYIYDPSAVRIEIPVYESDGKIVKQPEPPKSLKLDIESNYKIGSSGSSSVVTKTTWVTTTENFLENP -KINESNNEKSIAGLSESTYVLPSIENECMQPERQKRLRNISGEQNMCLYRSLTVGMGQDDSLKSAKELSY -EMARREKDPITKDTILNGDMGDDSAISSFCKHYNMSVCVHRENGVYWHFGTSTRVIHLKHTLFKDPDTDL -EGGHFDLYESKITKLTQEIIDSSLRKVPAKLRRNILHNMDPYRGLKNFISNRTALTIAEMVDEGPGEALI -IDPEDDSIASTMRYLGYKTTVISNSDNITDIKYNIKDLDVKKKYDIILDVSRADKTKQLMSNLSLYSQFK -REEGQYVILSGLTESDYQLIKNLFATNHLEVSLPKSQKLSTIKLWLKVGHTKEQQNLNKLTNQINDRLET -FHNLRDEPQSIDHSIFERYIRTKLQHQEDQSQTSSHKLKAENTSHLEPAKAYEEVQKLNERRLQTGLSEI -DSVYIKTSPTNNRVINQSITEYREYLERSVSDRIKQLQDLSELYITNKSYQSSDLEVNLHVSPENVGLIE -RTPDSIRFLVHPKIQKKNYIYCFDCSTKEIKLTDDLKVGRKALINDFTEALVDSRALENIKTVPFERHNF -NKVTFTFIRGVPGCGKTYYLVTNFDLLNHDLILASTRSGRDELRHKVTERYKQANNGEISTAYRKQINEK -IKTYAAILLGKTSRGRKVFMDEALMQAPGLIFTITAMVGAEEVIVVGDDQQIGFVPRLASFAPIYTNLAQ -VIPITKHINISRRCPADVAAYWSYLYDSPGKPKGFVTISKVRRSMRLVRIHNLNDIPRDSTAVYLTFLQR -DKMELKTFLGVKDDNCDGICLGVHTVHEYQGGQADNVILVRLNDKNTEQIFNNEQYVLVATTRHKKSLIY -ATRYEKDLVSNVVSAPLSEAKIHPHIVELEGGGYFKPHWLRVPGIPLKEPKDESRSIMNLMEKMDNLVIR -TVYGSEEKYLLGRVLHYDQSTNVIVDYNCRRNDRSSIGSLVDAIENESKSKDLMIHIPRSQTKNLYEDSL -LNKVKLELNRRGRRLILCSKFKSHSFMDDRNVYEAVTSLMEINLMVEYPNDIYEEEPVEKEMLLPRTYFT -DGNIAGAETLQDYHDRILPGNSAHFDQNDVMQANLGDISFDCEKMRMDSHGALYKMDEYDQIQPKIKTMA -PKVRPNTKKEMILGIIKRNLNIPDNQGNVYERKVATDCIEAFKEVLDHSLLKEYQENPIKVTHREVAEWL -YNQPSDITNAIGMDMPLWQQSNNTYHMSIKRVPKPDLTTNAPYEYASLQTIVFHPKNLNAIWCPLFREVK -SRIMKALQPNIILFSDMSPKDLGDRLSDLNIPPEMFASFGEGDIGKYDKSQALIAAYIDYFVLLLVGVPE -PLALMWFEAHINPKLKDFLNKIVLMVAFQRKSGDASTFILNTILVICLLLYLFKKYNAWIRKHKLNSLSV -GNKREILIRECILLASGDDTALIGSKLPKEFAEWARIIFNFEMKVFDYQSKYFCSKFVVQDQRDNSIKFI -PDPLKLLVKLGRSDLRNPEHIEQFRISLEDLTLEYNDLHIIEEVERAIEDRYSCPGQAQGILYALSSVIH -NKDAFHSLYYIDPNGNYLYDPSIIDY - ->YP_009362298.1 ORF1 [Castlerea virus] -MSVSQTSPGTASSASANNCNASHALQNNTEVTQEKILGVDDFSKNAILDQLRQNTSLDNEELTKNVSNNM -LKQLLVSPQTQEIIVGAMLNETVDALNAKCSQQKISVKQRLSKEDQDRLRKSFCMFNLDFSKATDCLGHP -FWRAHRSLSERRMLKQAGIKPGSRPAKGYDVVYKDIGGNPTTHLLRGEIFTHTCAPLLSNNDDKRHSSYK -EQLRRFSPRKRSACYNMHIEKNSQVICNRTAQNCCIKAEVLIFLHSAYDMSLVDIADAMHRADARVAYGC -LHFNPIVLYESKGKLLNGMCFEKRVVNGRVKIRFWYTNDNQEGYEHDFLNYVALLRTFRIASSAKNKRYY -NVQFDTTDDDTCFFVIRQSISGDIPRSRPFRVFTNDALSDKMIVYSWHWDTINPGNISASVLSRMRPQRI -IVPRKLFYKMCAFADTLPDSKFTVKNILIAGTSFNTREVISGQSIGVVEPIEPAQLKMLAVCVYVLTYIS -NYECTKSLSLMIDDETRVREESGNGFFSRLFRIGIRLPWNHRVQKFQQKMGKVMDEIKSFEPQLTDQEDL -TTGNIKTVFNAIKEFARVERRFDITLDKMCNFLTVEEELDCLSLDSIPCDRGFTSDSPIAELIDPGMVRE -AVINSLEEVKVVETLYDESAFVTVNCDSDLKIVENTSNGDCVFQSMIDSGVTHTLEPKQMRMKLLNSNYF -RNIKDVTSQRRLLECLDGSTQGYGDLVSFLLFSLEFQQGVCIHANGQNLRFGRAPFKHFLIKDNHCSFLK -PTHNFDPIPSYTIAGEASPIHYSTNERDKQFELFFGLREQYSRTQYNKRLLAAKHNYCPLSELGDGNYVC -RSGLKTAEMFVRYFHKEYESAVSIGGPGGEVQFLTNKGVRVFGITKTDLIDFSPSIRSHLFSQLIGDTYD -GDITKECNIVSFRDAVRRFYPSGVCFYGGDVATAADNTALDCPMLSNLISWEILLSCVVLREGGDAYFKV -FDLLSDNMPKNVEFLVSVYEKVEIVKLETSRSASTELHLICTGFKLSNETPIDCYNYLLQDNEIVASPVI -MNHLMHAQKLIDLHIMTGLREYRRCFNTAGENNEHVNRFPLEKIEGYRNVLCLPQRISAGGIVEGVKRTF -RSIYTMSVVKRDFDSELRNYEYVVERVVPPKPAVTSSAPTPIIHRALSAENLLALEIPVAEPVPGLFQSV -VKAFVTQKPNFEESTEKDRTFTVMGQTGNTGITNISEPFHTTNVPFSIAPKRVATEPTPVIVTPKVETPK -ADEPDTCRAAMHEFLELQKLTLSCEVSNHARMLHRISSVPLAATFTAKESGTYTYMSYTSAGEMKFRFGC -PIGSRTFNKFFFNGKFHPTRDAGNILTPGDNFLFSEYCEIAIESEMIAACESIDVSKFVLPDGAGIVQAA -AGCGKTYFVVKNCVPPHLPGASNVLLSTVEGKEDFIRRMEKEYSVVLTQAQKVHIRTLASFLVNHKRNQK -SESLFIDEALMSHPGQLFYAIAMSGATDVKFLGDVLQIPYVNRTPAYTTKYHKLASFVPIVETLYVSYRC -PTDVAARLDPYYVDYNSTGIGMKSVKYRANTCKLVRLSNDNFPKEQGVQYLTFTQTEKAKLESLKLKVST -VHEFQGKEAKRIIVVRINPFPQEELYLRFNYALVAITRHTEQLTYYTRVTTDALSKLIKVDGITCHVAVT -EDECRRVHHVSAGAADVEVFRIAREMPVATYTTMNVINTSISKISEPRLFFVPKYGKKADCRHKPLVMRK -NVVIDSSSGRVNIYVVSSDLQNQKHNLCTITRNLRECSTQLSDRELRRVFVSGSVENDIDRAALGYTLYK -QLRARCTLCTTANNYDVPTEVFDLLTKNGLNPLPNASFHSVEISIADYIAHFSVPHIFLPETAQMFVNSF -FGPCAYTDQSFDGWDVRNSDLNIEIGEIAFAPVGCVQLPKKFDSMRPILKTPMPLMRDYNTRELMLALEK -RNRNVPSMNGVVDIEVSSTEMLESMITEVFDAAKLKFHLATPITISTNSVGEWLSGQPTAVRPMIVPDFA -LHCLALNSYNFSIKRKPKPNLTVDATSSYLALQTIVYHEKPINALFCSVFREIKKRVTMSLKPHVKIFCD -MSAEEFENVLQRDMPPQNISPLVEKLEIDISKYDKSQRELALEFECKLMRYFGVDDDIVELWFNAHVLTE -VYDKTTKLRALIPYQRKSGDASTFIGNTLFLMAVICDLIPVSQLDLALFSGDDSLLYGYGLNQYKNAQHF -GLKFNLEIKFFTFEHSYFCSKFLLPVQGHWKFTPDPLKFITKLGRHDLVNPLHVEEYRISFVDTVRNYED -YHTCESVALALRERYGVFRDFTSFLASLPDMTSSENFTKLFYSEVGDVLDLTVVFNRDF - ->YP_009508070.1 replicase [Citrus leprosis virus C2] -MSTHGNLRKLDFVKVRQMLGEAPAASVVCAKPKLDDSTSLSTEKQPLSYVIANGQLVSNSEVVTSTEAKA -AALLAVMGSYPQDTQRAIANKLDRCAVDNPDVEEAARCIHSARMHNVLTNVLQKRVITVPENVSSDMERL -LSEQFLSYKIRVTFSKNVTHNNAAALRRVLRHYMRDVVGYRKDNGIPDGYDAQLCDVGSNGFDILTEELV -GVHACMPDLDFRDHIRLERYKHFLYSHICPNSKDHRVICDGLREGSTLYRCENIGQHCNIRAPLLTFVHS -AYDITAAGIVDCMVASNAHRAIMCLHFDSAMLNGETSGVNKLLNYKWDIISIKGVKYYQQKFLNDTQAAY -VHRLDVYLDKFFTKVVLGSDKRFYMFEITEIICGVAIIEVFRRDKEFIAGSRLTFNIPRTAPSGTVTIHT -WEYNTGYESFLRSRSSINSAVMKPVSITLSEEYFLTLFKYGLTVDPNKFIYDGLLKSGVGLSARRNLGGT -TIVSTDYDIPVRKLTTIVVAVYMLLYSEKWEATQGLVTIKNLVDQYRAKSSSSGLVRFFTNMMSSKGHNI -VHPNNDTNPDHIKTSLMVDPRIISKQFDLSDDERERVGGFNSIIEWFVNFSRVKQRCPVVVHDSSHSVEY -IVDVPDMVVLNIKSIRDETTLGHLCHIDYDDYTTPLVVDYSEKHVCDTPLQHISVAGDGNCRYNCFVKAG -LYRGITVCDLKARLRDSPFFYEVDKLATDEGDTEFVDSLHRDGVFGNKFTLMLIAKTFYINICVHLVFRE -TTFMRFTGNKGSRYIHLQLRDQHYDLLIPHVKTGLVDQVIVSCGALAMQISSDSTKNRLDTLYKVYSVDG -TLSKYRNVFCNVFKGPFIDLREMRYMELINAVGLCQRHSNKFLITDANMHKSIRALRAYDPSSIVVAMRC -TNNRKPNDRLGVCDFTMDSSFHEESFCLSTVLADVLDIGAYSQCNLTIADLSRVSDISYSVYMRTRTSEA -ERFNKIYLTWAALSSGGVAIYKIFCPEQIVESLNLISSLFEDIRFYRPHVTPTSAVEGFLICSGKRHSQG -KPFSILTEVSECFYKVHIDNYCVNALGESEAQKFAKDLCGFYSGGSNFRSSRKHSHNRSYIVDRSLVVSK -FLSYVNTASFGVFCNRFSSYKLHVGVDRDLQFHSRELDALLDSKCLHCESRFLKFPDLKDIGQFDNIVTE -TTFNYLQYFDNCNDFSSILSFIVYIIRGGFSNICVVSNCFLNEKVLTSFLAFCRCFKFVEICLRLWQDNL -VMMISCKDNWYGPLDGDFELISCNRREFCTDFVKTMLEHAKLKARFNDKSDAIRTKADAIRLGLSIGKFG -QIKSDLDKFPKFKPQIKLHNTDALINSIKQIVGGNNKLSLLAQPNKEVEFIPVEHPQDMGKRLASIFEFE -KYMEAELAHSSSTISKAVDNLLSFTTTRDPKRLNELWFPNRSFYTDQKKLKDGIGIFAATGKILKNSEPI -VCADDINAVFDLVTGSVVLKSDYLKMYRGRSVDQVGGFAIYTNLVAHNQVEPMLHAISDVTSREHRLDFV -RTISVDWIQAVAGAGKTTLLVETFTPNDIVVCPTVENRDSLRRKLANAYSDLKGDEINSRVRTLNGFLVD -HNSKIGKISAGLVSESSRLLIDEAIMYHAGCLFALCSLFGINRMFCVGDKRQIPFISRINFSLRYEKLSD -FVTSQAKPLARTFRSPPDVTYLMQKIYDKDLDGLTIKCLSSNQTCQKAISKHIVSKNCNFNYDLLKKHFP -GERCTFEENKIKLLFFLREDMLSFLLNGGERYSQYCCTVHQFQGSDAEYILVFRLTYPEKSIFMDDRQCL -VALTRHTKKLCFVSVNEADDVLSKWIKIDVTEADLKAHLKLSGGGPTKPNNFVSYRSIPPVELMHGDKCT -RVGFSGRNDIILGKKDTLPVLLEKLQNQPIKGNNLVFSSLVLDKFSQQRLKPAVYAAVGRNVNLFCSGKN -QNISSTVFEVMQLNAVEHVPDSTIIPFYEDEFEEMHFPRLKTIELDGPILKSYSLEDKFMTLQNFLSSTF -PNSCYVNNSMDAWITYNLDLDLAIDDVSINTVKFATVSRTYDCMIPRLSFCSPVVRKACLVESLIAVQKR -NRNVPQLSSTVSPYKMADELFDAFISLLDNRYYRTVHYGPAELAAWLNDQKGSVVQEVLGEHSIYSTAVE -NYSLITKSNPKPTLTDEAYMEFAAPQVVLHQTKDINAVFCVIFRSLKSIVKDMLRHHKNIVFFADMDPDD -MAEHLTKHVSTKVFRTKSSLEIDIKKYDKSQDLAVLLLECKVMEHFGVPSELIHIWFHSHVESTVKDSRN -GLKFKLQVQRRSGDGGTFFGNTMFLMAVMACNFDLESLDIALFSGDDSLLVGDKNLLNCDSRNFSDLYNL -DVKFFPNFEYYHFCSKFLFPVGDRWYFIPDPVKLCVRLARYDLVNWTHIEEYRISLLDSTKHFVDQEVLI -ELALAVQDRYPVFVDPKEVFEVIRVLVQDPVDFASLFEEPPEMLPNTIHIPLDR - ->YP_009351835.1 hypothetical protein 1 [Loreto virus] -MTSIPTVSNVVPKETIVDYIADNLQLELGVTNSKLIHQNLVRKVLDNTDLGNLVTEAHIDRANAHALQKA -NQKKVFVKQKVSKDQERILRDRFWGFQLDFSAAMDSGSHSFHRAHRILSRSKFMHDLGIERNSKPRGSYD -AVYKDVGGNPITHLHENFVHTCFPLLSNNDDKRHSNYLHKLRTSRPSHAHREIIKKHTEGDSRVICHRVS -QNCKIKAPYLIFLHSTYDMTPLNIAEAMYHADALIGKGCFIFSPKILYENSGSLNHDLNFSKFIRDGEIY -IRFWFTNDNQEGYEHLFSTYISLLRTTRIGFSGCSYNVEFEDQKEDDVVFFTIRKSISGSIPRSNVFRTF -TDSSLDSKIIVYYWRWETLNEGTFFNSLENHMKPIRLVAPMRLYLKLRGYADTLSDTKFTVQNLLKAAVN -FNTREVISGQSVGLVDPVDPDTLKHLVFATYLVTYISNYECFKTLSTLQKDEDRVRSLSSSFFLRRMFSN -GFWALRKHIMGLTSMSTRNISFDIKDLDSLDSCRNSLHIRNTLEKLLGNDKLEVRRRFGIAIRDRLVRFI -TVDEELEALTLDRELHNVSVVPDETINSAVDPVLVRDAIMSNLEQSLPNERSFSRADLVHETCTAFVTIH -PNTSNGDCFFQSVIDLGLFPGTTCELRSRLKNSTHLLSMYDSSSIRQSLNVTDGSRNGFMPLETFVLLSL -EFDIRVCVHYSGRSLRFGKGKVYHFDVRNDHCSALKYRHEFQDAPSVPFSTDSRSPHNTTEDRDKNFSMF -FEGEHNMTKSQFRANLKTARELYYPLSALGNGGYICRSGLKTAEMFERYFDKDIESAISIGGPGAEVQYL -VNKLGIRVFGITHTEKIDFAIPDYPAFVQLYGETGDGDIIPSKNKVDFIKKIKLEFPNGVDFFGGDIADN -SEYITDYEGAADLVIHEIVLANAILKNGGQAYFKVFDLTSPKSLKFAFVLEKMFGNVTAVKLDTSRAACT -EIHLICHDFNQSNSSENFNLLTSNCIEIPSDFEQKMNYITNRFNDIFNGGLNRLKKCFFKIGTRSPQSFQ -FSDEIVEGFRASLALDNEVRMGTNWTRISSAVSAVHQFFSPRDVEIELADLMVRPIEVVVDDITETSIDD -EPSIYEEAVEDVVDPPQPEIVPPSEPEVIIPKRRSFKKLFSKKKKKPVNDTPVEYTTLDQNVPTGIDSDL -LRSPIAVDLESRPEAPVVGISLGDCDEEDFTPPRIQSHGYRAAMREFIELTKFTYESERSNHSRVFKRLS -HLLPTNHIIRNERGNFGLIETDGERFQYVFRPEIAVENYNKCFDGESFIEFADAIAKPGRYLVSDYCELC -LDKEMIDACSQVDIDSFILPDECSIVQAAPGCGKTHFIVNNCVPPSSEDASNILLSTREGRDDFARRLKK -RDPSATENQIKTHVRTLASFLLNSSRNKKSRTLFIDEALMAHPGMIFFAIAISGADVIRMLGDILQIPFV -NRTPAFRCKYLNLQSFVSISETLYVSYRCPPDVAMRLNSHYLKENSKNGFNFGMSSAVRNLENTCTYVHI -QNDNFNKEAHRGKKILVFTQGEKTKLKGYGLDVSTVHEYQGKEADEIVVVRLDPYKTSEIYLRFNYALVA -LTRHKRKLTYYTRVPTDALATLIRVNGTTVKELYSREEIEQSIHVSMGAWEDVEFDFTQYTTPKSYSSLK -TVQTSLKHVSSHNRIFFVPRLGKHYHRSKPYFMSSPVHIVRNFYGKFDIFLTSKFSCKKKTDLNMLKESL -SVLVKKKFFDDGTLFFCADSITDDLDSEILSHVLFKHANNYRFAFCINEYLDDIPSQVFELECMNGFSYI -DNKVITRCETNEYETPIIFSESTCSFDINHAQIFLNSVFSDICFIDLSFDEYDVFNSDINLELGDITYSR -ISAQNYESPYENMIPNLHSPCPFDRKYNYREILLALEKRNRNVPYFNGVVDYDRVSSEMLDALLRECFDP -YRLRLACSDPVRIGSGDIHSWLKKQPLEVHDQIVPSFAMHNDALNQYSFSIKKQAKPNLTVDAASSYAAL -QTIVYHEKHINAVFCTMFTELKKRVRYSLRKNVKIFSDISTSDFEKMLDSDIPPESLDPLLDHLEIDISK -YDKSQRELALELECKILRQFGIEEELVQLWFNAHILTYIYDKNSKLKAAIPYQRKSGDASTFIGNTLFLM -AVISLLIPVSQMYLALFSGDDSLIYGYHLKKYMDTQHFGLMFNLEIKFFQFLLSYFCSRFLLIVDGHWTF -TPDPVKLFVKLGRHNLINPAHVEEYRISLIDNCRGFENSLICDHVAMAVCERYGIINNFSTLFYSIPDML -KKENFHTLYTIPNGKINPNTFSNSFDF - ->YP_009351830.1 hypothetical protein 1 [Piura virus] -MTSTSVPTTFDDHTRNAILDQLRQNTSMDYGAMQENVKTAMLKQIIASEQTKEIFVAAAFNEATQALDAK -SSQEKIVVHQRLSKEDQDRLRKTFCMFSLDFSRATDCASHAFWRAHRFLSERKMLRAAGIKPCSRPCNGY -DVVYKDVGGNPTTHLNRGELYVHTCAPLLSNNDDKRHSAYKERLRRGNPRFKNLVYEKHVNGDSQVICNR -RSENCSIKAEVLIFLHSSYDMSLGNIADAMHRANARTAYGVFHFNPRVLYEKRGKLLNGMCFEKRVVNGR -VKIRFWYENDCQEGYEHDYIRYVSLLRTFRIQSSSDKPRYYNVQFDTSDDDTAFFIIRQSISGDIPASNC -FRVFTNESLEGKLLLYTWEWSTLNTGTFSSSTLSRMKPVRLIIEEKLYNKGMAFAATLPDSKLSVKNILI -ALTSFNSREVISGQNIGVVDPTDPYTVRLLAVTIFMLTYVQNYEGSKCLSNLMRDEDRVREESDSGFFVR -LFRIKVRLPWNHRVQKFRGRMDELRNEIQSFEDKLSVVDDLTTSNIKRMITEFKSWTDVERRFEMHIDHM -CNFLTINQELDCLLMDASPCDRGFVSYSPVVDIVDTNSIREAALNAYESVKTADTSYDSGSYKIDNCVVD -LAPVPNSSNGHCVFQSMIDSGVELNVEQLRLRLAASPFMVNLRHASLQRDLLNCMDGSEKGYGDLDTFIL -FSLEFQQGVCVHVDGLCKTFGPPPLKHFEIKEKHCTFLKLCHTFDAIPSYSLSTLDDKEKIEYDSCARDA -MFDAFFDLKSQFPSNNVYKQRLAAAKRNYCPLSELGNGNYLCRSGLKTAEMFARYFDEKLNSAVTIGGPG -GEAQFLCNKGIRTFGITKISLIDFSPALSNYHHFTQLTGDEYDGDIMRMSNILSFRASVRTVYPAGVDFF -GGDAATASDHDSQVDSGEMVELISWEVVCMSVLLRKGGDAYFKVFGLLEHGMPRIIMVLNRIFSSMQIVK -LETSRAASTELHIICKDYQLEDDIPSYVYSRLIESSVLPVPTGIVMNCRHAQKMFDSFIIKGLRQYEMAF -NTIGTSNELINRFPSSKIEGYRSVLCLPSRITAGGITDAVRRTFRKIYNVDSDRDYLAELRDFKYVVEIP -EPAPSDVNVAHAALDWEPEEGLLFAEVPESANVVKTIFSRNRSDPFLTSTEKDGSFTVIGETRHTGITYD -APKVDVPRVDFDIARKTSGEVADVPALTAGPSKTKVHKLTPSDAMTECLELTKCTLSTHVSNHSRLLRKL -DQIPMKSSFVREESGVYSYCEYRKDSGPTLLLGVAIANRSFNKFFYSDGFHSMKDIDRVLTDGDRFLLSE -YCEIAIEQELIETYSSVDIKGFKMPDGVGIVQAGPGCGKTTFVVNNSIPPHMPGATNVILSTVEGKDDFI -RRIEKKYKVTLTKEQLVYIRTMASFLVNPKKNAFSDLLIIDEALMAHPGQLFFAIAISRAKEVKLLGDCL -QIPYVNRTPAFVTKCHKLIDFVPVVETLYISYRCPTDVAARLDKKYLAHNRPNGVNRGLLSTRFSLNTCK -VIKLSNDNFPKDPEIQYLVFTQAEKQKLLLHKLQVSTVHEYQGKESKRIRVVRLNPYPQDEIYKRENYAL -VALTRHTDSLEYYTRVTSDALSQMIKVDGVTCHVAMSEDENRRCFHVSAGAIEHEVFRVANSSPNPMLST -ITVVPTPISAIKEPRLYFVPRFGRKDDCKFKPLVMQSDFSIQTRNGVVNIFVVSSDHPAQKHNLKTITRN -LKSLSPTISRIGMPRIFVAGSVENDIERSAVGLVLYKNIRSKAVLCSTVNQYDVPREVFDLLTKNGICSS -PNSTFTSTTFIEPEASFIFEIERDFDVTTAQHFITSFFGECAYVDQAYDAWDVRNSPLNIEVGRVQFNPV -VCVQLNREFDMMRPVLYTPMPFMRDYNCREIMLALEKRNRNVPNMNGVVDFDEGSSAMLESLIRECFDEK -LLQFHLKERVAVSMNSVYEWLSKQPTGTKELIVPDFALHQSALNSYTFSIKRKPKPNLTVDATKSYLALQ -TIVYHEKPINAMFCSIFREIKSRVTMSLKRHVKIFCDMSAEDFEDVLNRDIPPSSLSACIDKLEIDISKY -DKSQRELALDFECKLMSYFGVDADIVELWFNAHVLTEVYDKTTKMKALIPYQRKSGDASTFIGNTLFLMA -VVCDLIPVSELELALFSGDDSLLYGHDMNRYRDSQHFGLKFNLEIKFFEFELSYFCSKFLLVVRDRWTFT -PDPVKFMTKLGRHDLVNPLHVEEYRISFVDTVRNYRSYHVCKAVAVALRERYGIRTDHTAFLMTLHSMTS -LTNFPKLFYSIDGDRIDYSIVFSRDF - ->YP_009345002.1 RdRp [Wuhan insect virus 9] -MDNQGNVNVNGIGQSTKFNDNGIMENDTINYMCSRVGADVDDLLTQVFRASVTDPTSYHGIAIRNMCMDK -IEKDAKKHSRVALANVGISQAMNQEDQDLLKSLYPEYMIYFTNKSRETHGFAHASRILETSLIMQRLHYY -AATKTAPHNVYLKDVGGSRLYHGLKGNSAVHVCAPILSQEDEIRRLKADLKLSSISNSGYRNDKVANSVK -LMRSTGSHYCNNLSQKCNVKASALMFVHSIYDMTMSDVADAMTSAGAKFAGGSFIFDPLIPMLKKGILSK -LNVMWEKYEVKNVTYIKFSFLNDTQPAYIHSYKNYLNIINTLYFTDSKTKYHYGFRLEDNRAGIQFFSIY -RLSYHKIPRSNLTVDYYTFVKNDYYRISYYSILEDFSTLTKIKKIYMFVPAILWDQVYDSLYQQTDGSFT -MSRAHELVMSYNRKTIICGQTVSHNVKLPSHMIDKVASAAYVRVYIDKYLSSKTLLCVLNDVDRVRKLTN -VGYLSYLWHLIKEKFSELFVNHDMKSQEIITPYLAWDELSKLYRLPVEVNQAVTKTTVSHMLPELIQAQL -DSNIYSDVDFYAEETSKLQPISKTPSCESLATTTDSDYKSIASSEITYPINDVDFDVYDVIPGRIIPVPG -DGDCLFHSVIVAMSYSGLKCPETPHDMRMQLAHDISENRNKYFGDTDDLVAYTDFMTDLTTDGRPTDYST -FTALAIFYGFSAEMYFDTEIKDFKKKFMKYSNGDEIISIKFTISTGSVGHYEPVVEELAIKDFNDENLAI -LQETQRSIDNITEYVSEDPTLQERFHVTLKLSDEYDSASSVKHLMKEYGICKFPAAVITKSSTVCGAFDD -LSGIMTVISDSDICWNHKDASKSTMSFDKLDEYFDCVPDSHDLLVVDTMSTDDEDCNKDVINIISKSSIA -MTLGSDMIVRSRIISDLTTLKHYLYVSTLYEERYYTYGMSEGTTSPIRYMICVNLKKKYDKIEHDAYMIG -DITRTEFKFIHEELCKFNMHVDAIKLLYDNKNISYVSDSDYETYSSMIASIRKGGGAPATDIIRNMLKVQ -KIVDNTPQVTKKNLFKAILNEYMTNVDNSVKTIADMFIKIKSTVTKYSDIIKKMYPTKVNSVHAIIASVI -EKRCTCFTLTYLPNIDGLYVPSCGCDVILYQIADGYITQYRADKIFVKTTIDTMKVHRAYVSKTEATHKY -VDYVSLQTFKLNFRRNLYNDFVKSKKIEQEILESIKTSIDFNDCKIKEEKSSENITDPPEQNNMTLALAL -HSALKKNVVSTPRFENYATFSNNNQINALKNSFVEIVNIWRYTHASHESEIKLVYDVSKSTSDVNNLSNW -IETRSDNVYVKKNNVWLPSKPTKDYMYVYNDKGFQRSEENMSDTYVVADYTEKKFEPVFLYKTQEILDKL -HKIPLDVKFEFISGVPGCGKTHYIMTHHSDTDLILSATKAGALEFQERAEKLKKPNIKKRYRTVYSYLYN -DTTEFKTVYIDEAMMLHPGMIFSIAVLSRCKTIHMIGDHMQIPYYTRVDYPSRYHKFTDVFKITKELSTS -YRCPQDVAIYMRKYYKKFTSKSKVVKSIDRMYVSGKAELPTGYDVYLTFTQSDKQMLQTDFRNVYTVHEY -QGKQTKSVCLFRGSPTPIKVYDSKEHHIVALTRHTVKFVYASVVMDQLYDSLGGYKGAGYLHNVKYTSDN -VMRLVKTKAVLYFDTGLSHGVHKEMRTYIDSMKIEATYYGKPDSFVINKYTGKTGKILISIVVRKTKLNA -QLLKSAVELVRQLELIRDIDVVTVDTFSELVDWRYFVELIDRTGLSITVCDEKRKLDKYRLADVVKKYHD -LTYGDDDFYEEEYEEPIIKKPKYMPDCCVLVYTDMSLKLNGANKFVIVDLDSAVVSNNYDNTIYANVAIL -SKHKNKHLTKTVENKIVKNFRSIDAMMHYFSNKDVVSDIYNLQDFINIMLPNAYDIRTDMDVEMVANSDI -SLHLQNAIFDASMMHRPIRIFDGVKPVLNTAMSPPRPTNSLKELAKAIEKRNCAVPKIQVTMDVWSKATE -LFNRMIKIVYDDSVHDDIFLTDKSLQDWLDTQNSNISNLIKYKHYNELDIARYNLTIKKNSKPALDMSCV -NTYASLQTVVFTPKDFNTLFCPMFKIMKKRMIKTMNDKFLMYTDMPPEKFSELLTRKFSGYILDSYHSLE -FDVSKYDKSQNLLHLIVDCMIMRHFGIPECFVSMWFYGHCNTKLYDPCNKFYCDVFFQRKSGDPSTWLLN -TQQILTMIVNCIPDRCWDQVFLVVASGDDSEIFSYDKLQINHNRFSDVFNYEVKIYDKFTSFYFCSKFLI -VSEERTYMLPDLYKLIKKLGRHDMKNREHVLSFRNSVLDSIKDFKVENDVMISYERSLNDRYKFEGAVSV -RLIYESLCRIVYDSNNFEKLFIPNASYYSTGLGQISDL - ->YP_009344994.1 hypothetical protein [Wuhan insect virus 8] -MSSRRPFAGSDDILNNAYSGIITQKIAAHLIHNVAESTNKSVEDVEARITERLLLNTDIQNCMANTCIEN -ARKQAANTRERSLTIRDCLTSAQQAKLQDSFSMFNLQFTATETGAHAFSRAHRVCEINFMYREMGYTSSM -IPINGYDVALKDVGGNPLVHLNAGHVNVHTCFPLMDIADNTRHCTYGRKLRQFDYRRKSKLVRDCYKMHI -DGHPRVICGKPAQYCDCKSMYIIFVHSIYNIPNDDIAMIMARSSALYAMGCFIFDPIILITDRGTLTSIQ -CSYLKFKRHGTQFIRFWFENDVQPSYEHRYEDYIQLIRTRSISCVYNGVRHYYTITPKELKNSVMFFSID -EANNGFIPRSFSTVCYTCDELKNSVVLYTYTWDTIGKKLVNDASSHMTPVRVIVPKRMFDSMYGYAIVLP -DGKLTVKNLLTAGMTFNTREIINGTSVSEFQKMEPRDVQYLAYAIFYLTYVSQYESSKAMSHLLSSEERL -RNYESRSFLSRFIRGFNPLSTKPEPHVYVNLLANADVSCEVTSKFHTVWNRFQSWIVSKSHQPREYDVFV -SDTMCRFLTISEELDAISAPRIKHNRGTYNFEVQDLISVEQVKEAMFCELVEEPCPLVSQSDMVEQACVS -NNLRLIENSSDGDCIYISLRDSQVTAETPQVIRRRLLNSVHINRLKYSDDVKKNLVSTTSPDNYGDENNF -LLIAMEYQITVCVHTSMKCHRYGVGPLHHFLVRNEHCSALVLEYRLDETEIEVLDQTSVDVDELSEPHVS -GVACSCPGDVVHLLDRVKLHHERERLASSNKDSLNRCAFNTKLYAARRTIDDHSFLADHNELGYRSRYGL -VLKEMLFRYVNDYDIDSAFLTTGVDNIEAFSEMISGRKYVYSYNVVTIDHDVAVVQNDFNVHDYSDLNSL -NNVAFVRNSFKDLNAEMTELFVGTIYSYNPLSFNISLVENQHSFAFQVMLCSQVLKPGHDALFLVCVLAY -KKIYEIFDVLSDMFEEVSICQVHTMRAINPYAAVVCRRRRNNLSECFSYDHLAAFEVDPDRKSLKSYGSL -CSYFHSYNNYYSHVMTLFYKHVSRANTQHQTKLSVSEYIKSSYLELLKYTGSGDSMVSGSVAGKILSGFN -SLKSVLKSSVVVDADFYKSPFIPEVSSVVSFETACEVITESSGIGTPYGTCLGDTGDLYQESSNCDTSIG -VFEPHQPVTRTLKDKLYSFCMSPFEKKKNFHITLNKKDWCEYSTGITIPDMNLKFPVDSVNTISNIKKNK -NIDVDPPDDPLGDLGLPSVQCDTPCAYAQYEYMEYLKATHEASVNNVKLFVSRCLENASNLNSIVQEWPG -GFSIYDITNKRFMVKSKEHNPALKYLKYFDSNYNLMPFTSFEELSDIQHCFLVRGSSCIIFNEYCLFGFE -QEIYNNLKNVYDPAWNLPDDVSIIQAGPGTGKTTHIIKNHSLCTSIDPSTVILSTLEGCVDFRRRVARFY -NCDETSEFEVYYRTLASYLLNLKKNRRTSTLYIDEALMSHPGSLFFAIKLSGAKYVRFLGDVLQIPFVNR -TPDFECKYLDLASFVPISSVLDISYRCPVDIAWRLNSEYYAINSSFGINKGLRSTNAKFNSAEYIKITNI -NDVPVNRSFKYLTFTHSDKDAVRNLGLDVSTVHEFQGKEHDTVVVVRLNQYVQEEIFKNFSYALVALTRH -RVRCMYYTRVDTDALSTLIKVNNVTVSSVASDAELKKLLVTKIGSVCGSVIYEDTCLFDSNYYNLVYCVP -RDLKTSKGIADVIKKKFIHLRCNSYVDCPDILYQYDARHKRFILSIGMKYDTHQRPTYESIYQSLEQLFI -VCRRLNLSMLHMPKTSRDLDNFDWHFVSDRLAELCKKKKICVVVHSVTNDAAVDRSFVEYGVLPVYQVKP -NSSYATFEHRTGRMTYSIHDELHFVPKRGRYDFNPSYIPFGYHPGVYSFNRHNKVIYCIESSENHRQKPT -TKYIMKTLRTHIQSVKSVPKSFCIDSGLFDYIEPVLVSSILWKFFSARVYLYDIAYVDELESEIFSLLNV -NATIEIPNMYVQQFSFVSPIVVKSTTIVFPSLIANYQVLIDSVFFETAYIDQSLDAWLVHSSDLVLYSGD -YRYSSLKGLYSFPTFDTMSPVLKTPMYFTRDTTSREIRLALEKRNLAVPRMSGIIDIELVADDMLDRFIY -NCFDENMLRFHNVDTLTLSANDVHFWLKNQETHVLSQIVPDFCLHKRAIDTYNFSIKRHPKPNLTIDATR -SYAALQTIVYHEKDINAMFCTQFRKIKDRMTACLLPHVMMYCDMSPAEFSDKLNRNHYSQLAVFSGDDSY -LTDGNVNLEIDISKYDKSQGLLALEFDCRVLRYFGMPEYLIELWYYGHYLTKVYDRGTSLRCLIPFQRKS -GDASTFILNTAFLMGVISHEIPIRHLRNIDLQKYKDPMKYALLFNLEVKFFTYVYPYFCSKFLIKTREGW -VFCPDPVKLLVKLGRSDLVNDRHVEYYRVSFADNVECYKNISTCKAVSSAAQERYSLGMDITYILNSFPN -IACQDNFKNFFFVKPGSRIDDKRYSFVLKD - ->YP_009342435.1 RdRp [Wuhan house centipede virus 1] -MSVIPDSSVVAANLISSISSSTKKSREEVENNLLSNALRNKDVQDCIANAVIVSAQNSEKASKRVPVRIF -QKLTSSEQSILQDSFSCFNLDFKESFDSSPHGFARAHRELERRYIMHQLRITPQSVPVNGYDVVLKDVGG -NPITHLNRESIYVHTCYPNLSDADDFRHSNYLQELRNMKVESMSRQQKKCYRMHINGDRRVICHDAAQRC -DVTSQNVLFLHSVYDITTDELAMIMARADALTGMGCFIFYPEILITQSGEIPHLKCHYAKFRRNKRLMIR -FWFENDTQNAYEHDYNTYISMLSSTRISCEYQGRTHYYHVKPLEIKNNVMFFKVQKSICGTIPASFSTIV -YSLNSLRDRLVLYYYSWDSMIAGKKNNLVPIRVIVPHKMYENLYSYALGLPEGKLTIKNLMTAGFTFNSR -EIINGVSVVDHERIPSEDVQKLVHAIFLFVYISQYEASQTTSYLINQEVKIRAFCKKGYFTRFLQNRLKA -IGRVFSSNTNSHKLLEQVALEDIKSCSTTQSYISKFEKFLDYIDGLANPEKEYRTFISDYMCRFVTVEEE -LNKLICSREKRDRGFHTIAFSDSITPEMVKEAMFNEIPVEDTKTYSVDDFVSYECDTTVLKEKPNYSDGD -CIYESLRDHLLTTESSAAIRKRLLNSIFIHSIKDNESLRKNLSALRSPDNYGDEKNFLLIAFEFQITVCI -HTGNLCRRFGAGRCYHFMIRNQHCTALLPDYQLENTTVIVLPPNELSEVVPVGTSTDLRTYELIDEMYTR -EKDTQVSVRQYKLKLNVAMRTVDPFCHLNNGNFSHHDCLVLNEVFVRYPQLLPENLECSFLATSGSTLEW -YADCVPGRRYIFSTNDENPAVDYSMFVNLPGGWNRDRTHILALRNSINEYNKEPVDLFISSLRPSIFLPN -ALTNEEKVLESCSQFSHYSLLCACILRPGGNAVFLTNMIESEHTTRTLYSLRGMFETVYLVKPHTSHPTS -VEYAVVCLNRTADMYYDGTVCDILLDASPCELPSLFVERITEMYSIIARDLLSSNRVCCRHISQAKTKHE -RAIELDSFVQESYEKLLFKNRCVTNMVAGALTDVVRRGVSFAAEFLGMNTNVGTFGDGVFCAESECSEFT -YDDCSYDSFETALDDALTVSPIINVREFREKQLPSAPPVEQVSDVPVTDRAYTPPTLPILKKKKFSGSLV -VKKIRNSLRKKKESKLTEKSMIEDPSTGITYFEYHPPIIPDSKLQPRDEISLRGRKEVPLPVEEVALVEK -PIRNCYSAMKEYLGYLEAVYTAENHNIVLFYERVLKTKSNLTTAIQNYAGGHGLYCPSRNSFYIYPKEYS -TTFVYNKYYNSKFELKNFSEVSDETELFHHNDIDFVIVNEYCFHGFEKEELDQLTPHLDQNYSLPEDCSL -VQAGPGTGKTYYIVKNHNLCISNDPSTVLLATREGCADFQDRVIKTNPEVSREKARQYYRTLASYLLNPS -KNRSTRTLFIDEALMSHPGALFFAVKLSGAIRVRFLGDTLQIPFVNQMPDYRCVNLCLTDFVKIDEVLEI -SYRCPVDVVYRLNPDYLKCNQSHGIHKGLKSANHRYNTAHYVKIENLNQIPVKKETGYKYLTFTQSEKDQ -VACLGVDVSTVHEYQGKESDNIIVVRLNSQKQTEIFLQFSYALVALTRHRVSCVYYTKVTGDALSKMILV -DKVRVLEYATSSQMKDVVALAPTGVYHPFPVMSVVPNTTYATFEQRSGRLSHSAVTELHFVPRYGRYAFN -PPYLPTYCVGCVSFSRRDKMVYCLESSDNHNQSPSAKYITNVIRKHSIKIPSVVGISAGIFRYIDTGILS -QLLYKNFKSHIIVYDTSFVDQIDPQVFELLNINAIIEVPNSKIVNEIEYIPNTPILGSHLERPFCVSLAQ -NLVDSVFMETAYIDQTLDAWMVHTSDLILHSGEYSFKLNKGIYSHPTYDGMTPVLKTPMYQLRGVTSREV -RLALEKRNLAVPKLSGTVDYVETSMNMLDRMIDQCFDQSKLQLYNKEKITVSATDVHEWLRGQETFVTQQ -IVPDYSIFQSAVNEYNYSIKRNPKPNLTVDATSTYAALQTIVYHEKPINAMFCVQFRKIKERITKCLKNY -IYLYCDMSPSEFEAVLTENVDRVVAVFSGDDSFLCDGENNMEIDISKYDKSQGLLALEFDCLVLSYFGMP -QYFVELWRNAHMLTKIMDRSSGLSCLIPFQRKSGDASTFILNTVFLMGVISHEIPIGSLDIIDLNNYRSI -DVFALLFNLEVKMFSYNFPYFCSKFLLKIRGRWIFCPDPLKLFVKLGRSDLVNEKHVEFYRVSFSDNVRC -YEDPEICSAVSFAMRERYCLKNDISYLLGSLPSLAKPSEFKKLFYIKDGSKIDHSRYQFSNKD - ->YP_009337898.1 hypothetical protein [Hubei Wuhan insect virus 9] -MDVWAKAKELFDKLVRLVYDDTVHDDISFTELDLQDWIDTQDSNIINLVKNIHYNDLKVNSYNLTIKKNS -KPALDMSAVNTYASLQTVVFTPKDFNTLFCPMFKIMKKRMIDTMNDKFIMYTDMPPSKFSELLTKKFSTY -ILKEYHSLEFDVSKYDKSQNLLHLLVDCMIMRHFGIPECFVTMWFNGHCDTKLYDPGNRFYCDVYFQRKS -GDPSTWLLNTQQILTMIVNCIPDSAWAQIILVVASGDDSEIFSTGKLQICTSRFSNVFNYEVKVYDKFTS -MYFCSKFLIVTEYGTYMLPDIYKLIKKLGRHDLKSRDHVLSFRNSVLDSLKDFSVPHDILIQYEKSMYDR -YKFPGTISINTVYQSLCKLTYDSNAFENLYIPNPDYYSNKYGKLSDL - ->YP_009337819.1 hypothetical protein [Hubei virga-like virus 12] -MLEKDEKIKNKKYKYITKIRKLDLIDDVEILDIIKNCYTKRKSNIKRIVISDAPYSITFQEKENLTNLYY -NNGFTRGLVEEINAFDNTDFKTLSYFPVFRNKNLIPSVDILQDYFDNMIPNASYRDYYYDPKIIETANLK -LNIDPFRFNPGFELPAKNNFDKLQPFLKTHMPATRPNSYKETLLAALKRNLCVPQLQTIVSYNTLKKIMV -KKFISAYIPKENRDILRGYLGNKIDVNIDNAYTWLNTQQAKIDRTIDPNFELENTDLEYYNYMIKPTPKP -VLDTSGINTYSALQTIAYHNKNINSIFCSIFKIIKNRLINVLDKRFRIFTDCSTEEFSDELNKDFSADML -DKLYKLEIDLSKYDKSQGKLFLDIEIEIYRILGVPIYLLKQWYNAHLNTTLYDRINKLKFFVQYQRKSGN -ASTYLGNTIVLMVVIASLFNMDDVELGLFSGDDSLLLSKTKIADRNHECANLFNLESKFFNYKYSYFCSK -FILNIDGYFKIIPDPLKILIKFGRSDLVNYQHLEDYRISTKDLLILYNDANIDEKLGNAMRERYKLTMDV -TYLIENILAFVNDKEKFKTLFYIEHNAIISRDPSRPNLD - ->YP_009337818.1 hypothetical protein [Hubei virga-like virus 12] -MNEDQDIVNIDDLLIGGGHEDTRTLRFVKNLETTVKSFKYKLLKRQFGHETFKDLVEDDVDDGMELIEDI -TKQLLIDNKVKETDKRIICNNLKKISKKFYNCYQSFIMKIHNKLEYNDRNFNSTYNTTFDFFKFAAYLIY -NDMKYRGSENAHVTTKIKFNYQDKPNTYTSHMINYKTEYKPNKPVVKHEEIIKNTKDLFRNEISLTNNII -QNKLQEEDSEDTDDDISESSGFIDDDNITSTASVELSISPIDESDVEIDEIEDMEENTVNTTTLEMEENS -VLSSNIEILDNKKIRDELNFVFFSNTMNLYTTLETSSIPNYQLVFSNDIKCKNYTKLNIENLYYDKDYKK -LYYDVDITNFNKFLDMLSIIIDDIINKYGNINSLFKLPYNPFYDYQRLLILSRNIINKHELINIAIIIPN -ESKVNTLKQHLNYLLPQTPSKHKDYSSFLSRDELIKKEINDYTVALVSENNMNYKILNAMNESALKYKIT -KLVITNNCANIYTMYNTGSTVIGCTIPGNEKYTFVKNTKIDNPHMYGYDGKALVEYNFKTERYETNSNTI -LISKQTKLIQDQKIYNNIEEYLKTELYIPIIYYVNGVAGCGKTQNIISNFDINDDFIISTTKANTEELIE -RISKHYKIKKEIIISRVKTIDSVLINKPRQNIKKLFIDEIFMVHAGTIMFAIQILKPKYVLALGDTKQIP -YIERLALIPNNYSSIIDISVKLENLNVSSRCPADVVEIFKNDYKDSGGFYTTNGRTRSVRIQNFISKDNL -KSLIDEETMVICFKEADAEELILYGIDAYTIHKKQGTTKKKVILVRLSVKPNEEIFKKREQILVALTRHT -EQFIYYTKLTSDDVCKCINKVNNTPLTTIMKNIVTQDKIKKLQLPACMSEKLNELKGGANENFWKRASKK -IRKKK - ->YP_009337768.1 hypothetical protein [Hubei virga-like virus 7] -MAYYPAIDSNSELTTSLCAGLFADKFNIDYEETKELLGRRMLTNSSTLATQVEHHFLNSVNQKINNYNSL -SKIIYINQKLSDKEKKRLSDFWMPPYKLVFSNNPKDIGAHMYFRSLNEIATFRCYDLLNLEQESIPNNYD -MLVKEVGASIVKLIKYKRTKVHACTPIMDLDDAIRNTNTCNSLANIIHCETDSIKVSMAKHFYNYSPLHR -CQNLSQHCNIRAKYVVFAHSAYNCNSSVMADIMYAANAIKGVGFIHYSKTILSNLYSGSDNGLYWKVFIK -NKITYIQFWFENDYQNSYVHELQTYLSVLKCTIITTSNRKQSYIIQRLEEIDGLLFFNVLKPQHNIPQCS -IVRSIPYSDESKIIVHFYEMQTDPSEYFYHHLIPKRLVVPVKFFEKLYFYLITLPEGKFTVQNSVIMAST -MANRVVVNGTHVSEPFEMSIDVVEKIAYATYFIAYCNKYDMSKTLHKLQNDIDMQRHPNFINKIKSIFSD -FKQRLTGARFVDSIQEKNFDDVTTNLENTLHNEVKQKYSDNILQWIKRMFMCKGKYRVKFYPITRIVNLD -EDVDIIKNLITNVPYSLDPMHKSLRDETMNVLREQLQLDLVNADEKEFVDCVCTETLVLVENTYINGKCV -HYAVATALSTTVDNLEGKLLSSQHLDSLDYATRVSVTNQIKNGNSDMDLFKLIANEFNINICVHMDCNYH -KILNVGSSLTYHFEITNGHCSYLRAKINYDTFSVDKNEFGDFRKIPEHKVISLLNQYLGKTMIQRKECKF -NSFKYSIESNKNQKTYVCHSAYKLIEIVETYNILKGKIFDISAAPGSWLQVCRKYMPESPIFYSSYVDGL -DMSIPIEIEKNCYNVNINNDGDLTDYNTILDIVKYFDETFEEKVNVDTLLCDAAVMTVDDVVDVVKMQKI -LTNTSRLVDSVLNNGGNVVIKTFCQIAPEYIGVSKHFSEVYMCKPHTSCKVSSEYYLILMNYKKDYVNDI -NYEELKNCANYVWYRNLYDSLNNSSANHIPFPFPIFYHYRAPTDAVKPKIVPEITPVSTTVQLPSAPTEK -EILDEPVDSIHEHNTFNFVFQQHSRVLDFCECHEPGMVVMNTLEENIADVQIRITENKVPSIKPDGSMEY -QDFSIDNNNMRLNVNLHHSNNLTTLSNISYILQTMSNLKLRYSINIMFLKDESLYPAIKSLLLNYPNNLY -TIYTHVDINSDDGVEDKVFQYQQSIAEYMAYLEKLNSINLNAYQFYYRNFENHSFNLNQVIIANFINDAQ -NMSLLKGDKYLIRHDKCKPNYSHGYDGKTFVPISEIDPSKHYIVGDFTYRLFNDNIIHMLRNIDVNELTS -VNFQLYQGVAGHGKTEEICTKHSAKLSKNTTPGDLVLTPTIAGKEVLIERTLKKKNLPKHMLDLSYYRTI -NSYVLKDTKLQSNIVYVDEVMMVHTAMILAIAYYSKAKMIYMYGDTCQIPSHSQIGDFKFSYNSPLSLFR -ITDVRNKSYRIPLDVASTLSEIYLERHRQFKFDKPLLTYSNHLRSLELVKICNVQEILSYYSDKFTVLVF -THTVESELSKLGIPSHTIASYQGNESDNIMIVRTSYSPADRIYADEHICVTALTRHKRKCIYYTTCDTDT -LSGLIKNAIKCSDIKVKNSSANKNICGIGNQDTTALVCNYENGSSNKKLFQPTTKYVENSVTVDPLKFKT -PLTFVYHCNSLKPKIIYIPTYASHKIDLGIYARLFKKHTNIRVIHYKVKQLSYVDDSVTTDLVNEYMVRN -CISSHVNEHIVSEHRLEESPALYFQQELLVDPNIHDLQIFFNSLFPHQFGTNTNLDHWFVHNSDINYVLS -NTTFSPIKDVTTYARYDKLRPTLYTCVPNVRECTQREIILGIQKRNLNPPELICNISHEESANDLMQSFV -SKCLLPNSRKVLTNLNPIQPSTISILNWLYRQDRAVAKSLDSDIPFMVDKLNKCSLSLKRSPKIRITPDC -LEEYSSVQTITYHHKVVNAYLCSVIDEVQKRCKEFLLPYIKFFTGYSNAEFGKLCYDSLNNFGKMFLFSG -DDSYLYYKGNTKEMDMSKFDKSQLWFVVKFLRKILRFFGCSEFICEMYERMMVYRICTDISTKVTVTLTP -QMESGSAITYFGNTVFCTAVIASCIDFDTLDYSSNFSKFSQKFNLEVKEFSYKLPYFCSKFVIVSPEYVK -FLPDPVKILIKLGRKDLTNNVHRDEFHTSLLDLVSGYENMYDIEIVSKSIQERYNFPHSCVMHIRNLISV -IKNKDEFNKLYYTCTNDMIDTKCINFSNNYS - ->YP_009337659.1 hypothetical protein [Hubei virga-like virus 21] -MYDYRRIEFQFGYCYIALLNDVCVQLGLPATGRILLGERALASLGRFPSVPSLLKFLTELLPYAQRVEVA -SLFHVGGMTLHYPGRRRMMLPDFVEYYTCFKSYSVGSLTVQPGKLMQDLGVSPSDVTTSALKAVVPMEAA -TNLVAATIAGEVDKCARIHKSDRHVRIPFRPTRVLQRVIETSYDHYNIEFAGGMTQHAYAAASRILEVEE -MLHKLNYSNNKPPRRFDAHIIDVGGNWMSHLDKGRKYIHCDCPILGANDARRAADRLTRLRMRHGATLNQ -VRILKNAADKVQYYDRSCPMFCMNKGGACTVSAPACMFVHSVYDMTGRDIADAMDSHQSYIGYGTFIFNP -DILASMDLDTAGDIPVYDARWKIEMHNKVKYIRFSFRDDSSYHYVHRLDDYLELVCKSHIVSSRGVTYMV -EMLNNVNSTQFFRLTRIDITTCFPEQIHHSWWLPVDDAVNVTYYVLDDAAFKFGYRRLLKRNMIVSGSFL -TPLMQYACRASESKFTVSDIYGFACSLASRVVINGNVVVARNADFAKDTDFRLLDLVQFVYIRTFETKYS -SGIVLQKIMQEIKKERLRSEGGFLMTLWDAAKTKILGKMENWSNSLCNFFLGALVKRQYGLEYGVIDREI -TFSSLIHVRKTVLARREGVTHNELDAVADVTGVYFSEKAVMDDLLGGKKSDVLCGTGRNSCLENHKEFVQ -VGVPGDGDCQFHAIALASRRWLDGKAVRTFFAGLPGAPNCLLKGDWGDDESLAFISAKAGMRFCVHMTGT -AAQYEHFRTFGDHGQVYHLSYNGSHYDALLQLSEIEPMTPVGPATVETSEGGPPHSVLDYLHRKLLGQDV -AQYLREGDDPAVRSALEFRLRMLNLFARNADKMPKILRTNVSYEELFKSLGAGKFKIACGKRVYMHVFDK -PLYHRTERVGADLEHEEAFPGMSGTEQEKSERLYMKDFVSVARDIAHMCVKQPVNKTITSATPLPTVAEA -PAQDTQAQTGRAQSTQAPVPVVVAQPTVQDTQKEVRTDSTPSEPRHSRPVSRATARSRSGSVTSVTSESS -SVTVVTTTGESVREIRTVLATNAVSDVITAERSYYPDIPFSCVDRQHARVLDILTQSCVYGETCLDLSNG -QGGSAIALAGLFQRVYAVHDTAQQHQQAVLPQITHLQLTESNSIETTEFVSALCDRVTSVELLLYDYHTE -VESIPLEDTVLPKISVVASIATRLVKTGGVLILKCYDLLNTATRSVIKALAAHFETVEYLYSEHAPPFTG -AVFLVFKQRRDLLQMDITVQTRAVREKMRGLSSKSLGQLRKLTEDVYAVVARSIAGGGTKRMPTVAKPGK -QCNDPNELHKRDQVIAICPETYQFCCTDDIGVRESVLPPPGLGIVAIRGKPFKVDKRQHLMVIHFRNTDE -LLSYIQKFFVNMNVRVAVVGGSKGDFPAVSEHLYIVHRDNRQITTTHACAAGGGLEWYWSQTNAYKQYWD -KADGEYEVDFSIPPRRETFCRNAVRECREQWYISLKNVGQKYGSFYKWHIDNIASVPPEDVRGIKKLCQD -SGEDFGIIKNGEFIVRPMDIEFYEKAYDGERFIDLTYPDNDPMCPRADHVGYLLVGKSSRLMQGASMLEA -TRDFDPYGTYIPPIALRNGVPGCGKTKYIIDNAEQADYILTTTRENKQDIVSRCPTMRSRVRTVHSVIIN -SKTVENTSVRRLFIDEALMSHAGELLIAITILRPESVEMSGDVNQIPFINRAAAIIMKFDDAARICDSIT -HASVSYRVPKDVAALFSSSYEQGFTTNNKIESSMKWVEVTGYNELPKADPVLVFKQAEKAMLRLEGYDVS -TVHEYQGKQSQKISLYRHSTIPSDQIYMSDPHILVALSRHTQSLVYYTRLKDKVCDVIDKAVGELEKVQQ -RSMSGGGPSCAMIMNTNYAGPKYTKILEYGLAYDVPRYRLFKSVASILRPRHTRIRLNNVTPHVEILQQW -YDTILPTISTADRTFDNHMIHNDPLSVSIAGKVTLDLSKLKYDGRKFDNKRPVLRTGIGLERIRSQRESL -LAYIKRNDAVPIPLEPVDPTYVVNLMMEKFQGYFDPERLEGVLGVPLTLNSESMTKWALAQDKSIDTTVD -QYLHEQDLSRYEFMIKPRPKPDLTKLANSTYAALQTIAYQPGKINQFLCPLIKDMKERILFCLRDRFKIF -SDVTIEEFAAKVTDLFPDGFDPNSLIYEFDISKFDKSQNEIALMLDAAIMRMFGINEEIVQLWISGHTAT -TLVDYKGGLKAEVTYQRKSGDPFTFLGNTLFLMSCLAVIVPLEQIEFAAFGGDDQIIVTKTDIGLSSVQY -LENVFNLEAKLFVRKYPYFCSKFLLHAGDRWYFLPDLLKLVTKLGRHDLRNDTHIEEYRVSLNDLLQVYR -DKTVFPVFNQAFNERYPSPIVDHTYIIEVVLALCERELSFRSLFYSRPDDIVCRDPQRPKFKGE - ->YP_009337147.1 replicase [Changjiang hepe-like virus 1] -MQSSLAEFGVRSVYDAAAVRSQNLHHTVALNANTTRITSTQLLVNDNLSTEDLIIVNSVASRLGFTVRVK -QRGALKQTSPHPVSNTFKRIAKEMAYGHISGTVRNIGASAVELFDSRNTHCCQITLDLRQQTRNQVAYQR -ALRILGDDNANLEKKKRAADVCETLSKSHPTDTMCNKGAQHCFFRATNLVGFDSLYDITPEQLETIFMNS -HAIVGYFAMLLPAALVAGRNNGSSHEVSWQTTRRGTVVDNYEPLKLGDRIAFSFGNNDGFRHDGSAGYEH -CAINIRRWATLGAYQGRQHGLNFLIERTYYGNIALLTIRAGTLPVTVPHMPNGSYRRTIFPDHRFYLYLL -GKSAITTTQYHDIATDLFKKLLSYGVSRDPKVHNFHSFAAYVKAQATTITIGGATVLGYDMLDVKACDDP -DWLASIWFQVLIARNRVSKAINKLRDAVDRYSNTGTDRDKTLFEKFGDVCLDAVAVFKALSTTAVTGEGK -RRALEKIANNMRRLQNDRPLDGYYLCFKSRQKALREVCDATHRFFSAPYKEVVPTIKIDFADGVHHVGTT -RALGRFQPHFAYSKITPAGSSQPGAPELLTASFIESAIAEYRTNVAQYEVINANEQLHHGADQLQETIKA -ACEFLLRQEVPKQPCHINIITGGPGTGKTHHIISNLHDDDIILVATAETREELTNRINEVNVMNGRKGLR -QFSGVRVYTPHACVKHARDKIASAQPNLTKTLWVDEAFLQHPGLACFAALVLNVEKINVIGDHKQITHKD -FNIGKQKIKTHIRWNDIVDIVPTTILRDNYRLPDAHVHAVNARFGYDMTPKTGAAGTCNLLKVPDLKAAI -AAIPQDSHVVTKQQYVKTLVQAVHKNVNTIHEAQGLTKRDITFILTPDHVEQFNEEPGYWIVALTRHTNA -LTILLVDGDYANLQLPHSSCPTIEALLDLSVPVVPVTMQKPQPLQATSIVIAEARAHRPATTNYDFDVAT -ETLRRLWITSDLGGEDANAIHSIIPQNAPRPACLVRVKPHMVKPIKQEVYVSRLTDYPLFQQQDSDNAAF -SIHTWMNRNASGRKIPNLETMVKKTPEMRARVLKLFFKEKPKPLTDVELQEAMVRVLANIQVRGKQDGYA -KIIPELYDIDKVSGFIKSQLKVKVEPTDADFTRLLADSLASAKPSALLGGKAGQGVAAHSKARNLMLGAY -LNAIEKRQQHMLKDFVLHATGYSDEDLARTLEERMQRNGLILAIKGDDVIAGKRDNDGHWSYYESDQSQF -DASFSTLHYAYEAEWYREFGMPEYLVQYNQKHAMKYSIMDTSRLLQLINVTCGNCSGKVQTLPLNSAISL -LICAYALDWREVDGTVLGFEKNARARALAEDMFGVQVKTGAGVVGSFVGYLLHEGAVVPDLLRMTGKFLD -RQIYSEANIPKLDKMTLEEEGYKEHELVTAWRLKQQVIALRDRTKMLDNEMTKQKTVIANNIHYFRSNDN -HFVANEMTQLMNFLLTASQFEAIPYYTKRHVERRKELHFIYYDNKPPEDKDYEYLSASQSAAIYDMLDGH -AQALANDFHNRVIRELDTNLHVAETETVWAKSVKAIANKIAPNTVPLRAPMKFQQYIPTSDEIALENVGV -SLKVGAIVPDLAGLEDFVRRRPKYRSLWLRGNLESLAFYADIMETEFRVRWVRQNGTVAWLIINAGAKSC -ELVGFMEPDCFYKLGKTQVIRTPPVTKHGLLKKIKRNVHKAKVYDQVTDTPPSVDVARSVIVRPVVPEII -NRRGDQQTCFYVAIHTLTGCDVDDLRDGMIAYMKQHPMLPNEIAETKNKRSMVPDSGPYAAAAYLGRQIH -ILTRSAQGQFIASFGVGEPLILHLANEHYMPALMPGQTITNSAGTTIAEPTVDLEDVQIDYEKKLANYVA -NVSFEGPEHLARRRYEDRRSRATAKFEELVAPIAPGLQFGDGLTVVDIGAAPGAMARRCAQFNGVKRVVA -VCAPGTAISKIYRDPKIQQVESLLADIRALEPAHLVYCDANDIGIADAQRFIGLVQASNAFAFFKIQHTY -TKVANVFHAAGWVFVKPTRSNATSSECYAVNYYHPLSQTIFEICARQASAITDPPITPREHPDNILCTKA -FDDFDLEVARTFYAPAVDDWSCIKLWAQDLLAHWLATDQLQPGDIDLNAGRAKLSFDFNKKIVRGENDIQ -NTPATDFIDEEDISDRAEYHADPWIHPVTGMQIFVKKPGKFIANHITTINGKQRVAHGDPIWSQDATEDP -NYADCPELIGTPLLALRCGLVKHPRNAIDDWADVDDELSTPGSHVGSPAD - ->YP_009336482.1 ORF2 [Nesidiocoris tenuis virus] -MILPENPSSLYEWALNHYSYMIKSRPKPPLTEEAIDEYTALQTIAYHEKFINAIFCPLMREVKKRLLAIL -DPRFVLFTDMSPDDFADRLTRTFSEELFSKDYVGHVKESDIRKFDKSQQEKVLRAEQKVLMLFGFPPQLA -ELWLRVHEDTILIDRGLGIMFRVRWHRKSGDASTFLGNSLVLLMVLCSTYDLTKAVMVVFPGDDFYIIGP -YSLAIDRSAYLAYNYNFESEDLDYKYIYFCPKFLPISIGGSVLGFLPTQLSMLSHDSLPRSRCSVNGQLD -QSAHGARCHERERR - ->YP_009333216.1 RdRp [Beihai barnacle virus 2] -MANLQDVSGPVVATGQRNKGANDLTSQLFYEALAAATGFESLDRDSVAGQILRSDPVLRENLAQGLLQHC -RRAAEKGGDRRAHTVDYVLTDSEKSVMKKMYPQYLLEFTGKIRHEHAFSAATRDADERMFLDEFRGMRQS -QHYDVMVKDIGGNPGRHLTRGRLNVHSCFPRLRPADQVRHTRFMADMARFRPDLRGRDSRCVYNNYLRGI -NLCSRVAEECRVTAPYLISVHSAYDMGREKLASAMISADANILRGSMIFHPDVLVFDKGLIPDQEMHFQR -FERNGVPYIRFFHPMGGSTDYTHDYRKYIELIETIGFTHRGFDFSVEIDQMCGGRIIFTMFRHYASGVPR -GVESRTIQLGHDDKLVVYYWRARDPGVGIWSFLPFVNQTRLSRVRMVVPKKLFEKMYTYATTLTEGKFVP -QNIVNAGHAYNQRQVIGGTVIAERSENLSAADVVTLSHVIYLRVYMQRYVESQTMAHVKEAIDASRRHKT -LWSALINFLTSPVWSVRTDVDLPQNLSLETVVAESPVPWLSTDKLLLVVEKLPAIYTIEQDIEFTGVGAT -IRPVFAEPEPDDDLPPEPPTDPGAPYSCTGKFVEIPVAADGNCFFHALRAVGASALDPVSLRQKLLAARD -SWGLEPYLASEQVSRLTDFSEKPLVPHWADETTFLVAARVLGVTICLHMRGTVRRYGKGPVFHLQLTSEH -VTGLKEYVPLPSCLSVDVSSTKTLSVPDLDDYKSRVFSSMSLKKAKTCVNAAYKYSGLGNCGYVCRSGVK -LAEICYRFPALLKNVTAALDLCAGPGGFTQFLVSRGLVVSYVNCERYVPMIYRSTAAVDVSEGGGDLLDD -VVFQRLTETEDMYPLVVGDGCPPGDARGVVHADDFFPLLERQVDLALTVVTIGGSYVQKFMFPQDPRSAV -LLRRVARVFETVKLVRPCSTRPASDEFYVVALSHDTSEREVDVFPSLSTVACDQYEALREVEVLSRAVTI -PRRVAAVADLRSALEFSPFAGGELVLERKSAVAEFYTDAFRPVAKTSLDAEAGGVIQRRLSPKLITGAQL -TQQLCAEGKCATTSGSSSRKLPSPVESVARSATKLLQPVVGLKVGISPAVRFPYQKPEPSSIDTTSVYLH -RPVLERVRHLPVVDILRRADHDFGSSTTFYTADSWGTVSSSSSATYYRSLSSADLSDASDFAPPTLLGVS -HTLPFVSEESDFDRLVRTIKRIPPIHRSEVSEKSENVAPASLASDMVTEYLSMLRHIIATEKSNFSMYFE -KSIELDFRSFATMIQHVPGTDIGYGRVVDAKLHFVVSPKNPLDYVFCFDGSDFVRVSEAEGKMVLVSELT -EKFFEVEFLAKHDRVDVGDVDVKLVQAAAGCGKTTDIVRKARVTRDAVILAGTVEGREDIERRLGDSNVR -VRTIQSFLLSPFVCRVLFIDEAMMQHPGMVVLAAHLSGAAEVYAYGDRCQIPFVNRARQFYVKYTNLADS -FPTVAHLMRSYRCPVSVAARLHDRYLSATGVGMTSVRDQRDGEELKVITGLDAVPRAGFDVYLTFKQSEK -QTLLVHGYNATTVHSFQGKEAKHVAVVRLSSNAQDEIYLREEYALVALTRHTTRLVYFTMCTTDALARLI -ASVPSVDKVDECLDPVAGGTYDVPDLDFLSVPVAPRGEITHDLVLTTQSVRDWKRHISTLRVDRPVAIDV -RTSCDLPTFLGLARRLLRVPVFLKSAISGYAPFCVFSVMNRSGMRVCPRPVSLSLPEVDEPKVAFDVPAI -TTTAPVEQLQAIVNAIFPGCVYVNQDFDTLQFHSEDLNVPISNVSVLPYRDVYVPPRYDSLRPRLITSMP -RRRPTTMRESLVALLKRNANVPDMAGVVDIPALVSRMFESVVAVLMKTDFDEIQLSAGDIADWLHDQPPA -VAEKLLEPLGTHEMEMTSYEFMIKRDVKPDLTNNAAYTYSALQTVLSHPKTINAITCPMIRRLKERILGA -LPSNILFFTEVTPDEFCEKISRVIPPALSATIRQSLEVDISKYDKSQGIVALVYECRMMKFFGVPDWFVS -MWFRGHIATTFSDPTTGIRGRIAFQRKSGDASTYLGNTMFLLGVLLATFKYSEMDLLLCSGDDSLILGRD -FDAGVDVQDFNLIFNLETKFLRYQHYYFCSKYLFSDGQRWWLVPDPVKVMVKLGRHDLVNWEHCEEYRVS -YSDNVVAYADARVATVLARAVRERYAYADVNIETLISALHVIASPDYFSSLFFTLPSDVLCHDVSRPTLD -N - ->YP_009256205.1 hypothetical protein 1 [Negev virus] -MSSLQDKFGLASSATATISASSPDVLPPSAATGKSLGIDDYSHNCVLDQLRQNTALDYEDISKNVNSNIL -KQLLTSPQTQEIIVSAMLNDTVDALNAKNRLTKVPVYQRLSKEDQDRLRKTFCMFNLDFSKAYDCAGHPF -WRAYRTLSERKMLRQAGITPGSRPAKGYDAVYKDVGGNPVTHLTRGECYCHTCMPLLSNNDDKRHSAYKE -RLRRYSTHKHSPCFNLHLSQDSRVICNRMSQNCSVKAEVLIFLHSTYDMSLSDVADAMHRADARTAYGVM -HFNPCVLYEKKGKLLNGMNFEKRVVNGRMRIRFWYTNDSQEGYEHDFLNYVALLRTFRIASTSKDTRYYN -VQFDTTDDDTAFFVIRQSISGTIPRSHPFRVFTNEALADKMIVYSWHWDTINPGNISSSVISRMRPQRII -VPRKLFYKMCAFADTLPDSKFTVKNILIAGTSFNTREVISGQSIGVVDPIEPAELKMLAVCIYVLTYISN -YECTKSLSTMIADEDRVREESSSGFFSRLFRLHIRLPWNHRVQKFQQKMDRLIDEMKSFEPQLTEDEDLT -TSNVKTIINGVKSFAAVNRRFDITLDKMCNFLTVEEELDCLSAGVIPCDRGFTSDSPVCDYIDPEMVREA -VISSLEDVKVTETVYDTSSFVTTACVSDLAVVENSSNGDCVFQSMIDASELHDYEPRQIRNRLLTSTYFH -NLRDVASQRRLLECTDGSPDGYGDLSTFILYSLELQQGVCIHVNGISMRFGAAPFKHFLIRDNHCSYLKP -THNFDAIPTYKFSEDAPPIEYSTVSRDKQFDQFFGLRSQYSRSQFNKRLIAAKHNYCPLSELGDGNYVCR -SGMKTAEMFVRYFSTREYESAVSIGGPGGEVQYLTNKGIRVFGITKTDLIDFSPSVKHHLFTQLIGDTYD -GDITKECNIVAFRDFIRNCYSSGVCFFGGDVATSESDSNHNFPALAKLVAWEIVLCTTVLKNGGDAYFKV -LDLLSDQMPYNIEFLNNSFESVEIVKLETSRAASTELHIICRGFKLTNDLPVACYEKLILGESHDVADVT -MVNLMHAQKMFDAHIISGLREYRKCFNTAGENNEHVNRFPEEKIEGYRNVLCLASRVTAGGLTDTVKRTF -RNIYTIAGPKRDYVAELRDFVYDVPADVKPVAATPPTVTPTVFPSSPAEAEEPLELLVAEPAPGVVTRIV -EYMKKPKIDKFLVSTEPDGTFTEIGQSKPLGITAPPPLVFNSVPFNVKPKSHVPAHKPDEPSAPAVVEEV -TVEHDVCRAAMNEFVELQKLTLSCEISNHARILQRISTLPLAKTFTEEENGKYTYCTVAIGHEIRHRFGN -KEERKYNKFFFNGKFHRVADMKRILNVGDSFLFSEYCTIAIEEEMIAACESVDVSKFVIPHGTGIVQAAA -GCGKTYFVVKNCVPAHMPCASNVLLSTVEGKEDFARRIEKEYKVELTPEHRVHIRTLASFIVNYKRNVKS -DTLFIDEALMSHPGQIFYAIALSGATEVKLLGDVLQIPYVNRTPAYTTKYHRLADFVPIIDTLYISYRCP -TDVAARLDSHYAELNKNGCGMKSVKFSTNTCKVVRLSNENFPHDKNVQYLTFTQNEKKKLELLHLRVSTI -HEFQGKEAKVIRVVRLNPFPQEELFLRFNYALVALTRHTEQLTYYTRVTTDALSKLIKVDGITCHVAVSE -DECRRVHHITAGVADTEVFRVAECLPVATYTTMSVVKSPITTITEPRLYFVPQFGKKSDCKHKPLVMRSN -VVVDSSRGQLNVYVVSSVSQNQKHNLTTITKNLRECAPILYERGVGRIFVSGAVESDIDRAALGYTMYKS -LRAKAYLCSTNDVYDVPSEVFELLTRNGMNPLPNCQFESSKFSTADYIPVHEVPHVFSVCTAQTFITSFF -GPCAFTDQSFDGWDVRNSDLNIEVGDISFSPVGCVQLGKTFDTMRPILRTPMPHIRDYNTRELMLALEKR -NRNVPSMNGVVDIEVSSSEMLDSLLEECFDPALLRFHLAEPITISSNSVGEWLSGQPLSVRPMIVPDFAL -HCLALNEYNFSIKRKPKPNLTVDATASYLALQTIVYHEKSINAMFCSIFREIKKRVTSSLLPHVKIFCDM -SSEDFEEVLQRDIPPSKLSAVCDKLEIDISKYDKSQRELALEFECKLMLRFGVAPDIVELWFNAHVLTRV -YDKTTKLKALVSYQRKSGDASTFIGNTLFLMAVVCDLIPVSQLELALFSGDDSLLYGHSLSQFKNAQHFG -LKFNLEIKFFEFDKSYFCSKFLLVVNDHWTFTPDPLKFITKLGRHDLVNPLHVEEYRISFVDTVKNYKNY -AVCVAVADALCERYGVFKNFTNFLASLPNMTDERNFNQLFYSELGDRIDHTVVFSRDF - ->YP_009001772.1 hypothetical protein [Wallerfield virus] -MCSNYELCHFGNLCNIELQPFNMNTVSQSDDNGVATNSLLFVSEMAKTVNVDQDQLRLNLTEKLMSRSAA -ITNEYEHHIVNSVSDSIQRYQNLTKEIHINQRLTDAEKSRLLQLFSPPYKLNFSKAASDIGAHLFYRSLN -EIATYRCYDLLGVNEKISPGYDVLIKEVGASVPKLIKYNREYVHACTPNLSLDDTIRLANTARTLDTYIV -EGRGTSKQRHLAKRFTNDPIYRCYNKSEYCFIKAKYIVFAHSSYDCSLKNVANMMDASNAYRAIGFIHFS -PKILSNLTGGRDNGLNWKLEYKQLSIYGENYKPKYYIIFWFDNDYQNSYVHDLDTYLGIVKHSICTSRKG -NTYIIQRLEEIGGLLFYTIIKPLHTIPNSYVIRNMPFGEPEHIIVHYYDLQNDPSKYHYHELIPVRLVVH -RKYFEKLYYYLQCLPEGKFTTQNAMIMASTMASRTIVNGMYVSQPYDMDIDLIDRIAYATYFIVYCRRYD -FMKVLQKLKNFEDIKRNPTLLNRMSILFRRAKNFVFSSNFEDYKEEKEFHDLLSNCTATIDNDFHTKHSF -NILQWVLKLFRINNRYNVKFIPITRVVSIEEDIETIKIVTTSLPRIAPDYDNSDIKTAIAENLRITRIDA -DVCDIIESHRCDANLIEIPNVYESHCVLLCFCNRHNIKLSELQNILLNSEYYTRLPVKYSIKNSIIGKTA -EIRLFELIACVLNVNMCIHFEHTCTQYNVHASTTYHFKVTDNHCTELRERIPFSPVDFPLASISRPRENF -PDISTVSKTDKFKMNRVVVDSFSPYVCRSVLKLHEIDANYGVLFPGNICELSCAPGSWIQYSKNVCSTSK -FFYSHFIDGLDLIMDTDDMTCLNDLYDGDLTKPDSLTEIGNNIERHNGMDIILSDAVIMMENEDVVDEVK -FRQYQDDFFNNLVNWLKPNGNIIFKSFSQLDVSEDVNKVLNHFAEVIFCKPNTSRPISTEYYIVAKRFNA -DVEIDIMKDYSAIPCAIFAKAVTAAKSALKKRFPSQINYVMPFLQIPCSAPPAEVEIIEEDNAEDEDEES -PEDPVECDTFETRVIKQLNKLEFSPLLVNNGIIEHEQICDVNPDVHISCYDTPLIAEELTDHIVYDLPLL -RIDLSTVKLHDLLAHISFVAIKVATFKLRVLFDLSSIQDVNVVKKIKAHVINQFAPSEPSIPNRHELVFI -APPVDCTFSISDYEKSIIEYCTYFRTLRASNTNQYSHLYRMLRNNSFNVTHSFKANVVVNTQHLSILMGS -TYQFRHPSIRESYTHAYDGENNTFVPFAECASNPSRYYLVGEFTHKMFDQKLVEIVSAVDVNTLKDVQFV -LIQGVAGHGKTREIVEKHEPCIKSSPLGDLVIAPTTAGIEVLINRTMSHYKLDSNVLDKRCYRTTTSYLL -NHHSRRSYSAVYIDEAIMMHFAQILAVASYSKAKRVYLYGDVTQIPFHSALGDYELKKHSPQSVLSAKAV -RNKSYRIPADVACALHEEYLKCHKGFGYDMGIKTTSTVMRSMKVVKLGGISQMLEFYNPNVKYLTFTHTA -SNDLMKLDPKFQPSTIASYQGSEHPDIAIVRTSISEADPMYNIINICVTALTRHTKSLTYYTMCDKDDFI -SRCIRYTEQCTDLNIRSYSTSENIGSFAPDIVPVSISGITTKFFQSRQKLTSSYTLVDHRTLKTEREFAL -NLTRIKNDIFVDKSIFKKFTMSDILKWVKKLAPHVKKIYVRTHGESFENDPKIHEIVEEYKCRNAVTTTV -AEKLEAVVQPYIPEEIRLSNFIRVSPTVEMLQVFMTHLFPNSVFVSNQFDAYFVATNDVNYTFENVSFSY -HWDRYTPSPYVGMRCAISTPAPAVRPESQREIGFGVMKRNENAPKLTENTCDEDVACHLLQNFKKMLIPE -SRLVLEDMEPITPTTESIVSWLERQDRNVLKAIIHDIPIQLQSLTDCSLSLKRHPKVRITANAIDIYDSV -QTITCHPKFVNAYFCSVVDSAQTRLMKLMLPYFKFFTKSTTEQFGIDCYDVWKDYGRLFLFSGDDSLLIH -GTKYREMDMSKFDKSQLNFALLFLCKLFKYLGVPDYITCLYYEMMYYRLCRNVNNKFTVKLTPQMESGSA -ATYFGNTCFCAAVVLSTLDLDDFRYTPRIEKYSIMFNLEVKEFKYDNPYFCSKFVVIDENQIKFYPDPVK -ILIKLGRVDLKNPGHVHEFHISLKDLVSQYDSLLDISVISAGVRERYGFPYDCTCHIQNLISVILDDSTF -SSLYYTLPGDNLDLSACKYVKD - ->YP_004928118.1 polyprotein [Hibiscus green spot virus 2] -MESFVLHKDSNKLRGKARAEYLKSGIRYLGRDKSGKKIFHRVSHTKYDIDHTGGDYSGSSFSGKSEDSAT -PGDAMAQSMWINMEGYSQEAKENIRRAADAAITHRNPLFESNAQAVFNSGIETVLQEKLKKRPIVLPHRV -PSKVQQELVCKFVGMNMKFTSVLDHNHAVAAVLRVILQYYMLAELGYEKYDEMPSGYDSIVKDVGGNVLF -HIKQEHIGVHSCFCDLGGRDCSRQAALNNYIRYHKCPSSPLHKHMCDSIQAGSTNVFCRSRGEVCHVRAP -FMVFNHSAYDMTPEAIVDCMIAADSVKALISLHYNEDMFRGATSGKCEALDSEWHITKVNGRLYYNQVFR -GCVQAYYTHDLAIYLSKFTTKAVLGGDNRAYIFEVKDVFMNTAIIEVNRLESNCLKGGRIHFPLPRTVPD -DVHVLYTYDFMPGMVQQFLGAFTAATKWCLKPKRIEVSSVLFDQVMRYIATIDSAKFTITTVTKAVVALM -SRRNIGGTYITGTSDSVRIAPKDMFSFCVTCYLIGYEWKYNATQCFTAVKGDIDWFRKRSASSGIVRCFS -KLCDKLASKVFGDGCASKTGKHVRNVGDLDKLTADEEVERRRLVGDSFGLLTKEDDLTLGEKIRSCFSYQ -VKVHRKFPIEVVTRDDTMFMTVEIPDMLLPDKPVMRGEVLTRDLMISADNLEDDSVYEDVVDSVYEKTDR -PPSCCKLKCRVQSVAADSNCVFGAMVRVGVYPGCTVDGIKVRLRDSAHYDAVLDSIPEAESRSKEAFQRA -LCKDRAWATEHVLGLASLVFDIQFCIHRFDDSNGFVSVTLLGRASASRKVHLKFFDGHFEVLIVKDAIGL -AELIDPTVDGEYFGEKELLRLVGSCKLLDADRHSVNSLYGTSPGIYKSFSSCGKAELLYAISERYGVTDY -RKALIIDPHEDDPLVALRAVNVNMPIVVIHALSRVLTKSHKRSSVVRLDTPIGHAECDMLAVVQQVFKTC -KVGLCDFVYGDMARVPSFYPYREYKRVVTTADERANKALLAWSIVTKSGFVVFRFLGMEHMRPVIAMLYG -LFANVCFFKPNVVDGTDCECFLVCSGKVDEPETQPDSVADSVLHTYYCAMQEAYAAVMSGVGLVEIDKKS -VESALSMNTLLGGGAILPTMSVVRNRVSGAASSSGFAPLLARLKAWVHESVLRVDYVRSNEAVVVNHGGG -LRPKYYLDFEMDSKGCCYCDTCYSRGYEEFAESIDIDLVYDGRGVCVVAGSASNRGYSLTQLKSVSVGTK -DFSRVTYIWDGQCGDTGSFSADLLLFLQSYPTAVNEMLFVSPVLCDKGVLKFVLSLSYLFDTVNIKVVGT -SHPSLMLLFRGKDVHFPKLHLGVGTVLKCSGADVDVSMLEQLFPKVNATGCEIQESVRIHSKSKNPRVRK -AVEAHKKKVAATGVADVPMYPDSKLYVPERIRGKATLSRIADELKPEIEDNPIVGAVGVVEDDPLGEVDV -SVRSVSTSDSMVTAPSTAGRNGLRDVVSVIKNELDRFAALDEAASYHAEMVSHDVSSLRGAADYIKNRFP -RSRTAPTGAQWAKTKMPESVLNIYLKFNDKVGLIDATGKILKHSEPRVAFEDISKVFDLAYEATVPLRGR -DSAVDDLGFMHDRKDFQGCVGYYALFTSVTAHDQEPVLHQNSRALRLDRNVRGFLRDLKVEILDAAPGAG -KTKYLVDNFTLRDMVVTSTKENRDEFRERLKKRLSETGVLFDPSEVDARVRTLNGFLIDYGSKRKYKGAN -LISNDSYCFIDEANMYHAGDVFSVAFLYKVPVLHCVGDRRQIPFISRLPRVVTCHQIHKYCDVTLKPFNR -SFRSPVDIVARIQRFYPHMPIIEAHNEIGLYEPSAKVISVGPDVAYTKDFIATFFGHDKAGKHNTSLLFF -VKEDLFRFVERNPEYASLCCTVHQKQGCESDYIIVFRLSFPDKSTYNDESQVVVALTRHRKGLVYATAGP -DDRMKSVIENAPTLAEVKQHLPEDIRNKLAGGRQYSRDVYYKSVPSVSLMKGKSFFSIGTKKVLNVRNKW -KYDIRLSPGFRTKEVLSAVTENKEQIDRAGHLVLDAAILRRLDQQVLKPQIRRICDVLVYASGDSGELDS -TIFRIMERDGCEHTPDPELSGDLKFVCDDEEYVLGEDVLEEQVEVEELDNMPVDVGDYVGHLQSAIGSCF -PSCTYHLNQLDSFITYKFDLDLKLEDMSLQDIRFVSPDRKYECMVPVLSHSGPTFRTACLVESLIAVGKR -NRNVPKLDAECSPYIMADMLFDRFIDVYYRAEGFVPVHRGPKDVAEWCDGQENLVPDRVVGECSLYLQDL -SVYNFITKGNPKVNLTDRSCEEFTAPQTVLFQGKDVNSMYCVIFRRIKKSLLKMLRISGKYFIYTDMDAI -DFAAMLTKKVPPHMVKYSKALEIDISKYDKSQGLIALLFECKIMRWFGVEEHLVQLWFDMHMCSRVDDRH -TSLKFEVRLQRRSGDAATLLGNTMFLMAVIAYHYKVEEMDLCAFAGDDSLLIGANHLLDTDATEFTDLFN -LEVKFFRYEYYHFCSKFLIPVGDRWYFVPDPVKLLVKLARCDLRNRVHIERYRVSYMDSVQFFCNDEVLL -VLEKAVHERYVPSMCCRDWIKLLYYVAADPEMFDSLFEDPEGEPFPSGGMLPRDR - ->YP_004901701.1 polymerase [Blueberry necrotic ring blotch virus] -MSSSKPSTTGLMPPLANLTAWEKLFVPVFLSSAECMNDSYVKSLPDILRVERAYGIVSPIELEDHNHQQI -RVAKRFEIYQALKNGKTLNSYGDIDVSKYMKITDFQVEDTEIMSPEDAFSWREDSKCNIIKRHEKLDKFK -VLSDYLQECAMVVEQSLKDEVLFLVKDGVKIQGTGNELYESSIAYDFDQNKFVLPRTVDPLTAKNILVTA -AMKNLIEERIISHANLHIPKCELLISHGSAGSGKTNRLVQLATKLNPASVGIVTHSGYNRDHIKGLLRKR -GVTTVRVETYDHFIRNPSHFDVLLLDECHLVHPGMLLTTMCLVKPQFAHGFADLSQCVYPLYPGSTRLPL -YRIFRICEFINQSNTIPKCVMGKLGLHYNLHLRHHELVSTSQIVGSVVHHPVDSFNLVPLTPGTVVYCSD -RDSDRKKILDIGSRKVKHLCLYLRNEIPLVELMYALTRFTESLTVYSAVNGDAHNFIKDVQCIDPDLSGG -ATIVYSEDEYLYDQPREFMPIVTVPRVQNMQLPEHWKGKNTLSIERADVRFPIVRAGKNAKLLLPHHLKI -NYAALVDVLSIAPVKDRGVFYKEDDFPQMMSFILNRAINKYYPIGIRQIAGIRNYATKEMAEVLIETPLE -PVLEMERVYGNGIVVDVPTSDITVEEPDELISPVQEFLNHYFTPNVNYTDKSTFAFDFHRGEFQFPVDGV -TYNNSVEPFRERNFDCLTPVLYSPCPLNQVSTPRHVLNSILKRNANVPRLTSLEDIAGQAEILVDRLFAI -CPGVDTSSLSVTVESIADWMKTQPDSVMGRLTDGNPLHCKPLDRYQFLLKSEPKPDLTVEALSKYPIPQS -ILCHSKDINAIFCPLIRTIRDRIMEKMDKKYQFFTKVDEEGFAEKMNRVFPADSAKFYYSYEFDMSKYDK -SQGQLVLDFECALMRRLGVPEYIVGCWRVAHTRTRARDLTNKISFETVFQRKSGDASTYFGNTVILMIAL -LDVLPDEDVVFGAFSGDDSLIFSTRPPDKIDFKILSTRYNFEVKLFDFQYHYFCSKFVLNVNGRWVVTPD -PLKRAVKLGRADLRNFDHVEEYRVSFIDNVKTYNNSAVVEKLSLAVMERYGSFHNPSLAIQCMYHLGKKK -NFSSLFYHAAGDILCYDPSRPRLD - ->YP_004901700.1 methyltransferase helicase [Blueberry necrotic ring blotch virus] -MTTATIRSRFVEEKSSLQCETDRDGGILQSSNPSYPVCNEVDEHLATLSGVTDDIKEHFLRDALLRNAMV -SDHLKSSIQQNLLAQASQRRARTPRYVKYCNEDDAKFLQVSFPVYRIERREAADFTPHGYARDSRALDTW -YAYDHILKYKPGYTLDSHYDVYCKEVGANLAFFASAGEYFSNVHLCMPVLNHKDQFRHVGFRQLANEKAA -IAALNPRHNPHIHSFNNNGLLTCFKKSEYCTVKAMKVNISHVYDLSVNMIAGIIDSSHAKEVCLTMLFDS -RMWIENSGLLPRFNMTWEKFKVLGRTRIRFFFLNDTNPAFDHDFDVYTHVLRTRVIRTPEGRVFLVNQDR -LVNYTVVVQMIQAVGNYIPPETIVQRFPLLSDIGFKVLKTFRYDTLTNETYIHIRTIPNNFYERIYSILS -SCKQETFTIQHTNRVIQSLNSSVVTGGLTTAGPNMDPDELLDISTSIYHCLWRRFFEQGKTVSSMSSEEQ -KLRALNSSSAGVTGFFYYLWKVFWVMHKDKLPISEVITPEALDAHLSSSAAINHDSWGVQFLKHIGLKPS -RRFNLQVLNLTVIELYNYIEEIQLEKDNPLSFSGPIDYNSALLHSASQSPIDGPTLQIVRDMHNETIDFC -DNCAAELNPITVPGDGNCFYNAFLKCIGSTASPNTVRQRLMDSRFLPFLCMTKIGDNYVVSAEKVTALRD -RLRPDNKLGPEKWVNNDVVVLTAYEYEVTICIHKVGSHCRINRKSVIGSKDTELDHIVHLELVDKHYSAL -LPVEYSPAADQVDLSNYSNESSQMELMSLDGWSMFSIHEAFAAIPIFERKYGPTGSSLLTKDYRFESKYV -EASILSSSFSNIPNSYRSFYGPMLAQCMDHFREKFGSLNSNVYDVHQANGCPTRVLADYYLASGIVRVNF -SGSKEMVLDAVTNTAAVARTLSVPRRNLNPHIKGTIDFLLINPMVFLDALMYVDLQRYHEYLIDCYPLFS -SLSVKGNVVMCVPKFIELPTLKMMYLLSKFFEEVTVYFPSCVPDHIPYCFWVFQTKLEEKEQKDKLPERI -TEAIDNSPDECSGAFAYFIDSVVATIGESCDAAVQRIINYASDPTTKCRLDAKTLKACEIFYMTKFVIDD -DTPLNSNERAISSVDVEPRIVSGEVRESVIDTISISPLGLNKSVSISFESESSGTSSSDPSSRIWASSKD -NIFTRSLSKVQRTTRLTAQVTRNVVGNAKVVRDSFFGKFKFCEKWVETHVGEQYQFRRHDMYMHIFSRDV -YDQYLKYLKIFDFEQTIQLEYLVSRYRTLSIKDNVFEVKYLLVLLRNLYNFLQSTQNVQPYVVFNIPRSQ -FGQHLCTSFFFKLCDLRFFMYDVLPILDSTPVVKNDPQLLAPLVTPIVDTVVKNVRVVIPCVNEEPTALV -VESKEVQEHFEDDTDMTGGFLKDAYEDCMKNSYRPVLRDYSTHLSQVVKTTLAKAKDLSTKVVNVYNSVH -KSEIKNNLMVVWGEDYDSAYINIKGKQDLFGGFAAIGKDNSNRYFVPIYQSAGTYSIGSVRRAPNTSAVD -GPYCTFECLSVFTELDIYRNLNTFEFHEVEKQLSTTVFSIVQAGPGCGKTYDIVRRLVDAFLSGTVSLMA -VLKSRNDYPSVKQKFEKQIKDKKLSFNKKKIDEFLTSHVTSIDSILMAKLHTYRHETLLFDEAMISHPGK -ILYACFLTGCKYAECYGDVMQIPFIDRTNSAVPCQYPETAKCFPISRVMDVSFRCPGDIVRFLAPKYKRL -YIQLGMSIPADETQLFRTHSAVGNSVEVKKISGISDVKFLPSDYIILTFTQVEKTTIAQQKTHAVHTIHE -YQGLEARNIAIVRLNTLNAYENTFNIYESEHHIVTGISRHTVSLKYLTVIDTDHLSKLLKEIEKYTF - ->YP_654538.1 replicase [Citrus leprosis virus C] -MSTHSTVETLDVNRVRELLRSHREASSHCDEPRFTATHTKGRVVASSEKPPVSFTIANGQIVSDSEVITS -TEAKAAAILSVISSYPKEIREQLNGRIERGFTDNPDVDEAARCIHSARLHNITTTALRKKPLLVHENVSN -DMERFLNEKFLGYKIRLTFSKNVAHNNAAALRRVLRFYMRDKVGYRKDDDIPDGYHVKNKDVGASGMDVI -ADELTDVHCCTPDLDFRDHIRLERLKKYIYSHVCPTSKDHGIICEGFREGSTKYRCENIGQQCYIEAPTL -TFVHSAYDITAAGIVDCMIAANAHHAIMCLHFPSAILSGSTSGKDDLLQYKWDIVVEDGIKYYKQKFLND -TQASYVHRLDVYLDKFLTKVVLGSDKRFYMFEITEMFGSVAIIEVFRREKDFIAGSKLTFNIPRTEHPNT -VTIHTWEYVTGYESLFKGRNGLKSGVMRPVSIEVPEEFFLSVFKYGMTVDSNKFVYDGLLKSGVGIAARR -NIGGTTMVDPSFPIPVRKLQTFIVTMYMLLYQEKWEATQGLVTMKMLADQYRTRSSKNGIVRFLTNVFSP -DKVGETQHPSSTYDLGKLGFSHSEDNKFVCDRNLNTEERSRLSQFERLVQWFREFSRVHRKCPIVVHDST -HMLEYVLDIPDMVVQNIKSLRDDLTLSNLCDHDFDAYLPSHIEVNDAKCTKDLTVIQVPGDGNCLYYCFV -KACLYRGISVCDLKSRLRDSPYFLEVAKLARDAGEDEFLDSLERDGVYGNKFTLILISKTFNVNICVHLK -GGRELITHFISNKGSRFIHLQLEHSHYSLLVPCIKAGLIDEHVLCHGALSMVVPTTHDYRRLVDLYKIYT -RDGTIASYFNIFNNSYKGPFLNVYELGFMEIASSLEIPSSSGNKFLITDVWLHHCIKALRVLDPHSNVIV -LRSSNTSRIPDRYGVCDFTMDSEFHEESFCLSTTLSDVLNLGIYSQCMVVFSDLSRVPLKPFAPGFRTRT -SVAERSNKILLAWSALSSGGTAVFRVFRPEEVPESLNMLTTLFEDIRFFKPKAISTSIVDGYLLCSGKRS -HPGSEFSITSEVRNHFYTVNVENFYKQTLEESEVSNYVKDLCGLYAGGGFVKPTRKHSYNRSFVLDRSLI -LSKLMEFSSSVSFGLFGRKFSTYKLHVGCDTKLKFCNRSIEEILDSQCLHCKYSKYDFNSLRSVSDLASF -ATRERAVVVETFDDCGDYVSIISFFHKLYSMCFKDLRVVSDCFLNNVVLKSFLAYARCYSDVEISLCQLK -GRLLVDITCRSSWYNGCEFGDFELVSCNRHDYDTAFVEVLIQHAMHNQRYNNETIVINSRADAIRAGLSV -RKFKPCGDVLDDLNKSVKYKPKFVTYNTESLVNSIKAIVGVGDETTKEPTDNSVVEKPVVVFEKVDSPNL -DDRIKAVYEYRSYMGRELSHSNDVLEKTVSNLLRFTETRDPKRLNEMYFPNSSFLSDEMKLKDSVGIITC -NGKILKNSEPIVQFDDISAVYDIVNGSVVDKSDYFKMHRGKTTKQVGGFAIYTSLVAHNQVESILKAVDC -VYASEKINDLSAISIDWVQAVAGAGKTTLLVETFLITDLVVCPTVENRDSIRLRIKRRYPDLDPKEVDCR -VRTINGYLVDFSTKLAKVTLNENTRLLVDEAIMYHAGCLFVLCMIYNIRRMFCVGDKKQIPFVSRIDFKL -NYEKLCDFVNTEARPLARTFRSPPDVTYRMQQIYGKSLKGLTIQCLSKNQDTSPSVSKLVITKNYRFGQN -FIREVFEKDKIDFDGKNLRILFFLREDMLSFYGNGGMIFTDCCSTIHQFQGSDAEYVVVMRLTYAEKSIF -MDERQCLVALTRHTKRMVYVSVNEGTDVLTRWINMPVVESMLVPHLSLSGGGTTTPSRYVTYRSIPSVDL -MKGDKCVRVGYHPRSDIILDKRDTLTAVLNKIADARPKGNLVVSSAVLDKFNQQRLKPLLKSIVGHSNIF -CAGVNSNINSTVFEVMQLNAVDHVPNHFIDPIFDDDVVRSADIGYKPYRQHDNHDPVLSDYGFDDKFAVI -QNFLCTTFPNSCYVPNYMDAWITYNLDLDLAIDDIVINVIKFATIDRTYDCMIPRLSFCSPVVRKACLVE -SLIAVQKRNRNVPQLSSEVSPYVMADQLFDSLRSLLDERYYQEVHYGPAELAAWLNDQKGSVVDEVIGEY -CIYSTAVERYQLITKNSPKPTLSDEAYMEFAAPQVVLHQTKDINAVFCVIWRGIKTVVQSMLRHHNNIFM -FADMDPDSFADLLTEKVSTKVQETFDSLEIDIKKYDKSQDLKVLLLECKLLRYFGVSEELVIIWFKSHVE -SIVKDRRSGLKFKVQVQRRSGDGGTFIGNTLFLIALCARNFDLRKLKLAVFSGDDSLLVGEKRDLQCDSQ -NFSDLFNLDVKFFPNFKYYHFCSKFLIAVEDRWYFIPDPVKLCIRLARLDLVNWGHIEEYRISLKDTTKY -YCDDSIVRELSKAVCDRYPVAVDPAEVFRVVCSIVSSKDEFRLLFEEPLACLPEGNLLPVIN - ->YP_009351834.1 hypothetical protein 1 [Fort Crockett virus] -MATTDFGPLSVAFAEAFAEGIQEDTQRLLLNELTATAERTVLKSKLTEGIVTKIHNQAAEKLRLKKITIH -INLSESDKRVLNASFPQFHISYSNTNIEAHGFSHAHRILEYYTCLSNVGYTFTKDVRSSYDFTIKDVGGN -LRFHIYRGFNSIHCCLPLLSHNDHQRMSAALVDLRSTSLKVNYQRRLINDAISPEHKIYCNNKSQHCKYT -APFLIAIHSLYDMTVTDIADSLTSAKAERLVGTMFFSYDMLFNERGYIRNHNLSWVKRRKTKGYGYELVF -NFDNDFQEPYVHDYDVYLSKLRTVFFFDSTHKNAYHLQITSFINNILFINITRDQTGYAQRETIYRPVCI -PRNGDEVVIRYYTPKISGYNNFKHLKKQYITVPVQLYEKQLENLLTLSQADFTYQKSLEYASSCNNRTII -NGVSVSQKAKVDIDTLHVFAFATYLYAYEKKYDYVRTMSIVKEEIDRHRKPSPFSAFVSSISDKFKAKIG -AILDSWAQWFDSLFGCVDLTPSTIIQCMKYSPVVVNIAQEISDMLFPDSGTLLSPVFVDLVPSASDSAPE -IVESKSKPMFDVSYTVAERPCSYASCDPAEVPGDGDCFFHSLVALGLSDCVDDCKTELLKYLPELNVSSI -TFNQQRDILSSRASSDSNNWADNVTIAIAASYYKCCLCIHRFDGQFELYGDKTQSTFHINYTPNHFRPIL -LGKPSNLSFVSIAVKAGDPEGYTSVPYLETDELFVNHPDYHDWCTKYSLPLPSLDLVKNRSYYKIRDILT -YFKINVNGLRILDVGSGPGGFIKNFLDDDPTHIDYITHNDYPLKLTSSKLRQLPINKVPDYKYDLISYDA -VSPSAQLQSFENNMETYVTYFPDLIDNVHSGGVMIVKYFLPQSGMAITFLDKIFRCFREVYLVRSSLSSL -FNIEFYIIAIDRLDYPIERRVSLETLNTMRDVAAQYKQQLLTKGVINLSYDSYQLAELIDYRRDYYVSDN -RGGYDLYKVRPNPDSPIQRRALVDTDMDQFVKLIEEDEILITNILVDNNIPSKHNISPKNFLAYLKLMFA -YVKPVRHAILKILTVLPSLKRVSSFITLQLKDAWKQINFNSSSGCQHATGSSFYNVCYLCDNTYYFCFTP -DLILSINRVSTGNMVEFLRDFGFVLKSCNAVSVPPVLVPISSDLLKEATVTEKTEDRIKENNVVEDGVPN -KEANDEPLSIIEDHFSDYQPKDYGVGLGAAFRELSDLWTQSVSACKTDWELDAAYLRTNSSPLSILAHCA -RKSEPYFVCYEGKFYPYTPEEFSPMYVYDFNNNQLVRFGPDRATSELPKNFFVDKDLFVYLEPELVTKFG -AKLDDISKYSFTGKIEFITGPPGCGKTTAICNRYKPGQLILCATTAGVEDYVQKLNYPKRSKSVRTVYSY -VMNDDSNFDTVYFDEVLMVHPGYVQLVLYLTKCRNIIMFGDYRQIPYYPRIAYEIKYAKLIDIVQPDQFI -PVTYRCPQDVARFLQKYYQPDKFDSKNSVSKSIYPMTYSGDITELPIDHEAVYLTFMQDEKNQIEKNLGV -KVYTIHEYQGKQDRHVILVRVNYKACKVYDSVPHWIVAISRHTQSFRYYVPSTLSPPDKFFVELTDFINK -GGYLLTKDYLPSYLKFVSTSDAPISSVGGHLFFHSSSLYDDRYVNILSKKTLKKFSIGSVDIYFGKRKIV -VANGLDAPVMDVLRLLGISHIHMPDYTKRFTSKQFSLFSKYNIKITIHSRKLTVISSDSVMRVLKKNLLP -VVDEFRYSGELLSPVITEYPVVTLPKIFAKINDKTQINYFSLNQCLYFTVIPDPCFPATTFRFSVSFSST -AKYQRYTKSRSARDFVIYLQSFVSSYFSGIDSYDYSKDVSIVQQSDKIYTLENVSFVTNSVSKPYTIPDN -VIPRLITGIGYDRPYNTNELLLALSKRNMAVPKSDTLYVYYSDAKRAFENMLRTFCDSVSSFPDLVVSSA -TVYEWLSTQDVSIQKQFTNLSIYDLPLNEYSVTIKKNPKPLLDFSVFTTYSALQTIVFSSKDYNSFFCPI -FKLVKERLMSILKPNVMLFTDYSPEEFTVRLNKLGFDSSRFCHSLEIDLSKYDKSQQLFHLVVECYALSY -FGVPDVIVKMWFHGHYYTRARDQQTKTVFTSFCQRKSGDPSTWLGNTLINLVMLADVHRPGVNDLIYLFS -GDDSLVLSTHPVNLNVEYLKRKYNFDSKVLDYKYSLFCSKFIIRHSGLFYFLPDIFKMVVKLGRRDLKDK -YHLEEYRVSFLDNIKALIAPESVLQELYEAIKERYPGIVIPPDLVDTLFLLASSDENFKKLYIPNKEYDL -KHGNIKDI ->APG77656.1 hypothetical protein [Hubei virga-like virus 22] -MGRKILNESVVILLLASCFVFLVVFLDSFVKSFYVVEVAVPDNYYQLFKIHAYEVYSAIKLSFKDFDYLE -LYNNLHHSITYSCTEVTSVFGYSYTECRERHLLDMVSYSVYNLLDKFENFFDNTFNVVEPEPALVPEEES -YYYFIDVLSYISRLVGFCIFDVIVPFIRFCFLSAMLVFLSYLLQQYFDSIVCLPIISNNDDKPEGGDGSS -AKIPEDVVPILEDLTPTFPIDYKVRIARFRNHQNWQNSDTVYDLSELFTLIQPHDTENAEETTEVIVKPV -PKTVNRRVIEMQYDLFELLEKLNSHDSLIVAHCVSSDFKMSAGIAKTFVSKFKTIKDQLCVTGVVPDLQI -VQSRLDSNQQQYMIAHLITKNFYYEKPTLQCVRESIVMLFDEISTMSLIDTLVIPKLGCGLDKLIWADVR -KIIDDELKKITRNFTVVVCDYNPAKKVDKVEEKVFDEISTDYTPLFKLNKNYLWLPALTTTADVTLDDLR -EAFNQFSESYKKKFGQPVQLITSYNHEIAPGKNFYACLSCKDINECPYLVEFQYKIHKNSYLTDKLVDVD -GILSRYNFRFIPYSCDYELAYNSILEKLLINVLTKEIIEKEMKTLNIQIRSNLETTYDKKINSIQELKEN -KNFIISVYDSNKCEFLTHNKFQCEKAVDSLGNIVDVEIRRDNYFVFKKPANLSVEDDGIYIFCNRIEIFN -EPVIIPKQVTVLKNFVINYFTPAFNLINGVPGCGKTTRTIRDFKPNQKILIVTATRDAAKDVRNRLSKLH -DVNCGVSLSVYNKLLTKFVRTIDSVLVNENRLLVDNLFVDEALMQHAGDVYSLIVLCNPRVINLVGDIAQ -IPFVNRIASYVTLYQNFPFKISERLYTSYRCPVDVMLAISKSYDSEIHSASKVVESLDFQLINVVSEIDF -CSLNEKEGTKQVIVFKQAEKLAIISLLKQLNLNIKVNTVHEFQGQQAAHIYIIRLSDRPQEEVYLRNAYA -LVAISRHTVTCKYWSFCNSDAITKLMLNAKRSSGGAYTTAADIQRQQNIVLNQPVNQSHTCLVELETKYI -NYIHDLQRADGVNGLIDLTVELGRGYTSIDKDFVDTDKTVYTHISSAHNYIHETIYDVNSIRCFDPALLQ -MEFDRILPRNAVSDLAGTAMLLQHSDLSLNLDNVRVRGKFMAPVDIYKHDNRTLKPVLKTSCPINRVETT -QEILLGIQKRNSNVPEMQGLVDAGHNANAIYKDFIRTFIDPRKYHVLADYKQSCLGASKRNVLQWFDLSS -FKPSYMFNDFYIDILSAEFNKFSYSIKPQPKPVQTYNASVTYQPLQTISAHKRYLNSYFCPIIKEFKARL -TSVLKKKYHIFTDESNADFVKNLNTFCNPGLVQKLLLSDQLFIKELDISKYDKSQGAEALLFCCALMKLF -GISEDVVDYYFKCNLLNYLKCGTYGISFTVNYQMKSGTAPTLIFNTLFTMAVNGLVYDDSFVYYACFCGD -DATFFLSTNIKRYLKDFDTSQFTSGLLNLETKELSFKYTYFCSRFMLASNNSWYVIADPVKLLNKLGRSD -ILDFAHAEEYRVSACDNFKVSNNEILFLLNFAISERYNIPTSNVNLIKNLQICLSSPELFQSLFYKPSNY -VEPKGFFRASIKF ->AMO03256.1 putative polyprotein [Bofa virus] -MTDFRRLGPYPELIKFLYLLASKVDTNLLVPEPILKEGLVYHFEEEPLRYVKLIDLIRSKDRDPLRVGGE -DVEQPELSNVQVQQVFKIIESAGGDLGAVVAQQKMEWLKDHGKEILNEAISKQMLTNMTKPSKKLKLPFT -STNRKTDGVASDEMLLLRYPEFDITFNSNKGHPHSIAANCRILERELLLQKCSYAINKIATNQKYDFLFC -EIGANPMMVIDMPNMHACVGIIGIRDNSRFTKFVAQIDDRIAEEKIRSEELERYKKIVSGKSHQICYGRA -QNCKITAKYLIFLHSTYDMKLNDILDIMVKKKSVVAHICFIFDESVLVQEEGYIRSMGVYFKKFFKRDVF -EKVKYIQFSFVGDASLSYTHRWDTYTALLLRTYITRGIHTYEVQKSDHRINTIFIEIVKCTTPQTVVHKR -EIVHYRYDCISTDRKVLLWYDLKVDLTKRKGYKITKKYIVVSSVLYNLIYQFLIKTEKNAFTIAHALKAA -SSYNTRCTYNGSDIIPPEYQLDSEQLFDAVFVIYMIVYRDKNKNGTLLQSLVADEKVISELMNAGFVTRF -CRAFNTSWTGQSNWLDRITKVLKILKHFNIDKLRCPVGNVDVIDQIDITQYIKHLLISDETENIGNTGTF -NILENGENEIFSFDEDDHILKSVIPITPKVDDIRKKNKNVNIESIFELPKTTAERNKEIFEMTVTEISGA -NNHCCFRAILQAIGIDYDTKKFLDIFKSYWATNRNVLDFGETSEVIREGLDLVFKEEIGSLDFLVLFAKI -SLINILVVTGKDVIQIIDDATDDTSLNNSIILIYSRPLNARIGHYNLVNNTHLKRNIIYNKTFKMLTTLV -FDNDKYKKLYMNIKKKFFSPIYNYINLNKETTKTVFKINDIFRTLKINKIDKYLEIGAAPGGTVLFVQDY -MKANTIKAYSIENDKIKTFESAKGKIKFANYLDDQIKYNDYDVVFFDAALDTGNNKINRPILENFLSDLM -RDEVNICKTTIIVKIADIFDFFSDYEYYQMLYPIVMQNIDFIKPETSNPCSSEAYAILGHAKEDGVGINN -LIAIIKNTLVAYQFLNVKVAEIDTKMFGGFECKDNELDFKTAQNLFPIFVKYYRGMIDYGECCDAIQMQS -IIYNPKEEEQRLNEEENANKKKNGKESKNVFEKIFKKEKKNKDKNKSNINPNCDQNNGNDKEEWFFRVFR -AVLSNLVIADCSKEIFILMSVFAGFMKMSIEQCYKILYNQTFGEECAQIFNNSSKLETCKAVAQLWLKAF -KKDKYLPIHCSSTSSNIFRTFYNSHYVTVEDGNKMLEYNRNNDKKLNAEINNEIIRATKYRLNKRNLDLF -IKNKQKTMNVEEIINKNEKLVKEVMVEAEVESESQKAESESVESLVWDSYQSNLERDNGIKEGKPDNKGT -NINAVEEQAVVIDEGPSKIEKVEQAKEIDETEDITNIAKGAKLELITLTHIIHYKLNKKNFISNKQFRIF -KDRNEITNMESKIHIMFHFDSSYDLYNNVQDRDCMNYDHRDNILHIGVSTNCYAYVSTGXXXXXXXXXXX -XXXXXXXXXXXXXXXXXXXXXXXXXLKKYDVNTFSINLVINNPETVDLLNKRYAETFPFDKDESLFAQLQ -SDSNIDQYLNKVERNFVNPNVQHAYEKNARAEILAKLNVTSDIIKNNLAKVWLQYYNNMIGRVAGSDDAE -FIMNGXXXEHSYCFDGKSLVSKVGSRFTTKSKIILVSKETKIMNDKIMSKNIMRYSQQNIHECEYMFING -LAGCGKSYNIIMNYDLNCDMILTATRANAEDLRNKFINIKKVKSSDREKHKKLKMHIRTIDSLLCSEGFE -YRNVRTLYVDEVFMVHAGSVSWCAQIIKPKYVLAFGDIRQIPYIERTLQSVRFSNITKFSKCIDQLNVSL -RCPMDVAYLFNSCYQVRNSDPFKIKAGFFTTNSRSNSLKLVIDISMNCLKGYIAQYQKDVIFITFKEAEA -ATLRESKIPALTVHQQQGVTSKYVVIVRLSTKPNDEIYKSDEHILVALTRHTIGAFYHTPIQDKMAAMIK -RNQSVQSIRIKKQLIEEVCTFKLPEKMNIYQEMSIVKENIFKSKMIGGGSDNTKEQEYVVEMLNKYENES -NRYEGKKNKKYLKTKNPFTMDKKEIAEVLKGGSLGRGDRKSVXXLGRGNIFCVNEEFQYTFQENSKIKNM -LLANGYTDGLTEVLIKEFHYNDLNLMKYRQDFRPRLLEPSIIILQEFFDTLIPGASYREYDQDPLLIEVS -NLELFSDPFKFDPSMKLPAKRLYDKLTPRLKTHMPATRPNSQLETLIAVIKRNLLVPHLQAKIDHSNMVK -MMVKKFINTYIPKDNMSVLQGFYINKIVPNFSHTNSWLETQAAKLDRNIDEEFSLEETDLSYYNCMIKPT -PKPVLDSTGINTYSALQTICYHDKNVNTIFCSIFRILKKRLIMVLDNRFKIFTDVSPEDYSEMLSAEFDA -EILDTMHKLEIDISKYDKSQGKLFLDIEMEIYRLFGIPEQLLLDWYKAHQHTTLYDRVNKLKFFVDYQRK -SGNASTYLGNTIVLMVVLSCLFNLDDAVLAMFSGDDSLIISKNQLYDRNSECADLFNLESKFFRYKNSYF -CSKFLINIDGYFRLIPDPLKILIKFGRSDLVNFEHVEDYRVSCCDLLSVYDDIRIDEKISCAMDERYGKT -FKLIDYSSLLHNILGLIRDKEQFRNLYYIDSGANINYDPSRPKLD ->AHX42605.1 ORF1 [Tanay virus] -MDNLNQGFAGINPSSSLYAAEIAKHLDVTPDEVKQRFATRMLTTSNPLCQHYESFVITAVGDHIDTVRKL -KKEVFLNQRLSDTEKARLLQLFSPPYRLVFAHDPSDIGAHLYYRALNEIATYRCYDLLAKDLYNDPNYDL -LIKEIGASVPKLVKYKRNHVHACTPNLNIDDTIRITNTLSTLDYYQRNGDKEQRHLAKLYMKDPKYRCYN -KSQYCFIRCKFLVFAHSSYDCTLTDIANMMDSAGAIKAIGFIHYSPKILCNLTKGSDNGLNWELTMRHKK -FFITFWFDNDYQNSYHHELDTYLGIIKTSICTSSNGNSYIIQRTEELGGLLFYTILKPARAINKSTIIRK -LPFSDSDDIIVHYYNLQSDPSKYNYHELVPVRLVVPKKFFERLYYYLQILPEGKFTVQNALSMASTMASR -TIVNGAYVSQPYNLSIDCIDNVAYAAYFIVYCRRYDYLEVLKTLKNFEDIKRNPTFFRKICCIFNSLKNF -VLSSNFDPYIPEEELEHVIANAKKTIVQNESLKHSHNVFQWLLKLFRINNRYDVRFYPVTRVVTVEEDIE -VVKSVVTTLPIISNNDEMVENFIKEQLKITRVRPEMCQVVEKICKEKLYEVKNNYETQCVLKCIANALNL -DLTFVINALRKSKFLEEVSLSLKNSLLQYINGDLAEVELFELVACEFGVNVCVHFETKHTLYDVGATMTY -HFNVVDNHCTEMRVRCACSPFVFDYETTTRRNVFDFDVLKECSSRSRADKYKLYPVTCDYKPYVCRSVLK -LHEIDCHYNVLSHGKICEFSAAPGSWMQYVRNMYTSSKRYYTHYDDGLPITIDQNAILLNPTTDGDLTKY -NVRREIEAQIEKNEYMDTVLCDAAIMSQDDVADREAFPDFQDYFFGNLINFLNDGGNVVFKSFCEFDLSD -VVNYVLNHFKEVYFVKPTFSSAISTEYYIVAKGLDSKLVVDTPVNYAPYVLSAFGRVMKYCSLLQKNIFP -VQTSYELPRLFSYCEPVKCVIDVTTVEVEPEPEPDGVIDCNTFESNFINIFNKFTFHDNAVSGFEVTQDQ -KDINPDVEIHCYDGALCDTNGEYTDYLFNSRNLSIRLDLSAQNKLRVLSMMSHVANKLQCLRLKVYIDIS -HINDAADAKEILAYIKQAFERHYVVVVSSHLDCSYNISEYKRAIIEYTDYIHTYRGKCSNEYRYYYNMFK -NANYTLSVSLISNIAKFTEQICIYHGSDMIFKHPNREPDAYSHCFDGTNFIRTSEIQTGRYYFVGKYTHK -MFDDHILEAMSQVDVRSLENVQFVLVQGVAGHGKTREIVDSHTPSLKCQIGGDLILTPTTAGKQVLVERT -VLRKEIDRNNLDMLKYRTINSFLINRNTSADKVYIDEVMMVHVALILTAAYHAKAKTVFLYGDTLQIPAH -SQLGDFEMRYNSPLSLFKVSEVRHKSYRIPMDVAAVLNEEYAAAHRNFGFNKGLVTANNQLRSLHAVKIN -ADSAMKDYFSAECKYLTFTHTAASELRKVNPAFEPSTIAAFQGSENKDIAVVRFSPSPSDPIYNNIHICI -TAITRHTRSFTYYTTCENDILYNLIKKSKSLSDLAIREFGTSVNIGSVLPLIYPTSEPVSSNRFFVSRKA -FTHEYTFVDHRNYVSEKEFVIATKSITKDLFVEKSIFKKFNMSELIKWYKKLAPHVKKIYVKVYNEPFAD -DSTIVDIVETYKCANTIETKVVERLEEFKPYEPTETKLFNCIAVKPCLDMLQCFMSHLFPQSVFVNTDYD -AYFVHTQDIEYFLSNVSFSPLWDRFTAKEHGFDCLRPKLSTPCPALRDVTQREILLGIQKRNLNPPELIT -LSSPDDTSEHLLQNFCSKFFIKNFDAVIRDMEPVYPTTRSIVSWLERQDRSVLKSLINEVPFFLESLSEC -SLSLKRSPKVRITPDSIDVYDSVQTITFHKKHINAYFCSIIEAVQDRIMKLMLPWVIFNTKITAEDLGDK -CYEIWSTYGKVYLFSGDDSLLINGNRFKEMDMSKFDKSQLLFALEFICILLVRFGVPKHISQLYFEMMYY -RVCKDPLNKVTMRLTPQMESGSAATYLGNTCFCAAVIASCLDLDDYSYTPRFEKFSQMFNLETKEFNYVN -PYFCSKFVVITDRTFRFYPDPVKILIKLGRKDLKNFDHLREFFVSMRDLVKQYDSLTDVQSISSAICERY -SFPYDCTHHILNLVSTLNDFSSFKSLYFTCPHDVLDLNSNKFSEM ->AFI24681.1 hypothetical protein 1 [Negev virus] -MSSLQDKFGLASSATATISASSSDVLPPSAATGKSLGIDDFSHNCVLDQLRQNTALDYEDISKNVNSNIL -KQLLTSPQTQEIIVSAMLNDTVDALNAKNRLTKVPVYQRLSKEDQDRLRKTFCMFNLDFSKAYDCAGHPF -WRAYRTLSERKMLRQAGITPGSRPAKGYDAVYKDVGGNPVTHLNRGECYCHTCMPLLSNNDDKRHSAYKE -RLRRYSTHKHSPCFNLHLSQDSRVICNRMSQNCSVKSEVLIFLHSTYDMTLSDIADAMHRADARTAYGVM -HFNPCVLYEKKGKLLNGMNFEKRVVNGRMRIRFWYTNDSQEGYEHDFLNYVALLRTFRIASTSKDTRYYN -VQFDTTDDDTAFFVIRQSISGTIPRSHPFRVFTNEALADKMIVYSWHWDTINPGNISSSVISRMRPQRLI -VPRKLFYKMCAFADTLPDSKFTVKNILIAGTSFNTREVISGQSIGVVDPIEPAELKMLAVCIYVLTYISN -YECTKSLSTMIADEDRVREESSSGFFSRLFRLHIRLPWNHRVQKFQQKMDRLIDEMKSFEPQLTEDEDLT -TSNVKTIINGVKSFAAVNRRFDITLDKMCNFLTVEEELDCLSVGVIPCDRGFTSDSPVCDYIDPEMVREA -VISSLEDVKVTETVYDTSSFVTTACVSDLAVVENNSNGDCVFQSMIDASELHDYEPRQIRNRLLTSTYFH -NLRDVASQRRLLECTDGSPDGYGDLSTFILYSLELQQGVCIHVNGISMRFGAAPFKHFLIRDNHCSYLRP -THNFDAVPTYKFSEDVPPIEYSTASRDKQFDQFFGLRSQYSRSQFNKRLIAAKHNYCPLSELGDGNYVCR -SGMKTAEMFVRYFSTREYESAVSIGGPGGEVQYLTNKGIRVFGITKTDLIDFSPSVKHHLFTQLIGDTYD -GDITKECNVVAFRDSIRKCYSSGVCFFGGDVATSESDSNHNFPALAKLVAWEIVLCTTVLKNGGDAYFKV -LDLLSDQMPHNIEFLNNSFESVEIVKLETSRAASTELHLICRGFKLTNELPVACYENLILGESHDVADAT -TVNLMHAQKMFDAHIISGLREYRKCFNTAGENNEHVNRFPEEKIEGYRNVLCLASRVTAGGLTDAVKRTF -RNIYTIAGPRRDYVAELRDFVYDVPADVRPAATTPPVVTPPVFPSSPAEAEEPLELLVAEPAPGVVTRIV -EYMKKPKIDKFLVSTEPDGTFTEIGQSKSLGITAPPPLVFNSVPFNVKPKSHVPTHKPDNPPAPAVVEEV -TVEHDVCRAAMNEFVELQKLTLSCEISNHARMLQRISSLPLAKTFTEEENGKYTYCTVAIGHEIRYRFGN -KEERKYNKFFFNGKFHRVADMKRILSVGDSFLFSEYCTIAIEEEMIAACESVDVSKFVIPHGTGIVQAAA -GCGKTYFVVKNCVPAHMPCASNVLLSTVEGKEDFVRRIEKEYKVELTSEHRIHIRTLASFIVNYKRNIKS -DTLFIDEALMSHPGQIFYAIALSGATEVKLLGDVLQIPYVNRTPAYTTKYHRLADFVPIIDTLYISYRCP -TDVAARLDSHYAELNNNGCGMKSVKFSTNTCKVVRLSNENFPHDKNVQYLTFTQNEKKKLELLHLRVSTI -HEFQGKEAKVIRVVRLNPFPQEELFLRFNYALVALTRHTEQLTYYTRVTTDALSKLIKVDGITCHVAVSE -DECRRVHHITAGVADTEVFRIAENLPVATYTTMSVVKSPITTITEPRLYFVPQFGKKSDCKHKPLVMRSN -VVVDSSRGQLNVYVVSSVSQNQKHNLTTITKNLRECAPILHERGVGRIFVSGAVETDIDRAALGYTMYKS -LRAKSYLCSTNDVYDVPSEVFELLTRNGMNPLPNCQFESSKFSTADYIPVHDVPHVFSVCTAQTFITSFF -GPCAFTDQSFDGWDVRNSDLNIEVGEISFSPVGCVQLGKTFDTMRPILRTPMPHIRDYNTRELMLALEKR -NRNVPSMNGVVDIEVSSSEMLDSLLEECFDPALLRFHLAEPITISSNSVGEWLSGQPLSVRPMIVPDFAL -HCLALNEYNFSIKRKPKPNLTVDATASYLALQTIVYHEKSINAMFCSIFREIKKRVTSSLLPHVKIFCDM -SSEDFEEVLQRDIPPSKLSAVCDKLEIDISKYDKSQRELALEFECKLMLRFGVAPDIVELWFNAHVLTRV -YDKTTKLKALVSYQRKSGDASTFIGNTLFLMAVVCDLIPVSQLELALFSGDDSLLYGHSLSQFKNAQHFG -LKFNLEIKFFEFDKSYFCSKFLLVVNDHWTFTPDPLKFITKLGRHDLVNPLHVEEYRISFVDTVKNYKNY -AVCVAVADALCERYGVFKNFTNFLASLPNMTDERNFNQLFYSELGDRIDHTVVFSRDF ->AGM16551.1 replication associated protein [Citrus leprosis virus cytoplasmic type 2] -MSTHGNLRKLDFGKVRQLLGEAPSTSVVRTEPKPDAPVSHSSEKQPLSYVIANGQLVSNSEVVTSTEAKA -AALLAVMGSYPQDTQRAIANKLDRCAVDNPDVEEVARCIHSARMHNVLTNVLQKRVITVPENVSSDMERL -LSEQFLSYKIRVTFSKNVTHNNAAALRRVLRHYMRDIVGYRKDNGIPDGYDAQLCDVGSNGFDILTEELV -GVHACMPDLDLRDHIRLERYKHFLYSHLCPNSKDHRVICDGLREGSTLYRCENIGQHCNIRAPLLTFVHS -AYDITAAGIVDCMVASNAHRAIMCLHFDSAMVNGETSGINKLLNYKWDIISIKGVKYYQQKFLNDTQAAY -VHRLDVYLDKFFTKVVLGSDKRFYMFEITEIICGVAIIEVFRRDKEFIAGSRLTFNIPRTAPSGTVTIHT -WEYNTGYESFFRSRSSINSAVMKPVSITLSEEYFLTLFKYGLTVDPNKFIYDGLLKSGVGLSARRNLGGT -TIVSTDYDIPVRKLTTIVVAVYMLLYSEKWEATQGLVTIKNLVDQYRAKSSSSGIVRFFTNMMSSKGHNV -VHPSTDTNIDNIKTSLMVDPRVISKQFDLSDDERERVGGFNSIIEWFINFSRVKQRCPVVVHDSSHSVEY -IVDIPDMVVLNIKSIRDDTTLGHLCHIDYDDYITPLVVDYSDKHVCDTPLQHISVAGDGNCLYNCFVKAG -LYRGITVCDLKARLRDSPFFFEVDKLATDEGDTEFVDSLYRDGVFGNKFTLMLIAKTFYINICVHLVFRE -TTFMRFTGNKGSRYIHLQLRDQHYDLLIPHVKTGLVDQVIVSCGAGALQNSTDSTKNRLDTLYKVYSTDG -TLSKYRNVFCNVFKGPFIDLREMRYMELINAIGLCQRHSNKFLITDANMHKSIRALRAHDPSSIVVAMRC -TNNRKPNDRLGVCDFTMDSSFHEESFCLSAVLADVLDVGVYSQCNLTIADLSRVSDVSYSTYMRTRTSAA -ERSNKIYLTWAALSSGGVAVYKIFCPEQIVESLNLISSLFEDIRFYRPHVTPASAVEGFLICCGKRHSQG -KPFSILTEVSEWFYKVHIDNYCVNALSESEAQKFAKDLCGFYSGGSNFRSSRRPSHNRSYIVDRSQVVSK -FLSYISTASFGVFCNRFSSYKLHVGVDRDLQFHSRELDALLDSKCLHCESRFLKFPDLKDIGQFDNTVTE -TTFNYLQYFDNCNDFSSILSFIIYVVKGGFSNICVVSNCFLNEKILTSFLAFCRCFKFVEICLRMWQDNL -VMMISCKDNWYGPLDGDFELISCNRREFCTDFVRTMLEHAKLKARFNDKSDAIRTKADAIRLGLSIGKFD -PKCQIKSDLDKFPKFKPQIKLHNTDALINSVKQIVGGNNKQHSLAQSNKEVEFVPVDHPQDMGKRLASIF -EFEKYMEAELAHSSSTISKAVDHLLSFTTTRDPKRLNELWFPNRSFYTDQKKLKDGIGIIAANGKILKNS -EPIVCADDINAVFDLVSGSVVLKTDYFRMYRGRSVDQVGGFAIFTNLVAHNQVEPMLRAISDVTSREHRL -DFVRTVSVDWIQAVAGAGKTTLLVETFTPNDIVVCPTVENRDSLRRKLISAYPDMKSDEINSRVRTLNGF -LVDHNSKIGKISAGLVSESSRLLIDEAIMYHAGCLFALCSLFCINRMFCVGDKRQIPFISRINFTLRYEK -LSDFVTSQAKPLARTFRSPPDVTYLMQKIYDKDLDGLTIKCLSNNQTCQKTISKHIVSKKCNFNYDLLKK -HFPGERCTFEENRIKLLFFLREDMLSFLLNGGERYSQYCCTVHQFQGSDAEYILVFRLTYPEKSIFMDER -QCLVALTRHTKKLCYVSVNETDDVLSKWIKIDVTEADLKAHLKLSGGGPTKPNNFVSYRSIPPVELMHGD -KCTRVGFSGRSDVILGKKDTLPVLLEKLQSQPIKGNNLVFSSLVLDKFSQQRLKPAVYAAVGKNVNLFCS -GKNQNISSTVFEVMQLNAVEHIPDSTITPFYEDEFEELHFPRLKTIELDGSILKSYSLEDKFMTLQNFLS -PTFPNSCYVNNSMDAWITYNLDLDLAIDDISINTVKFATVSRTYDCMIPRLSFCSPVVRKACLVESLIAV -QKRNRNVPQLSSTVSPYKMADELFDAFVSLLDNRYFRTVHYGPAELAAWLNDQKGSVVQEVLGEHSIYST -AVEKYSLITKSNPKPTLTDEAYMEFAAPQVVLHQTKDINAVFCVIFRSLKSIVKDMLRHHKNIVFFADMD -PDDMAEHLTKYVSTKVFRTKSSLEIDIKKYDKSQDLAVLLLECKVMEYFGVPRELIHIWFNSHVESTVKD -SRNGLKFKLQVQRRSGDGGTFFGNTMFLMAVMACNFNLQLLDIALFSGDDSLLVGDKNLLNCDSRNFSDL -FNLDVKFFPNYEYYHFCSKFLFPVDDRWYFVPDPVKLCVRLARYDLINWTHIEEYRISLLDSTKHFVDQE -VVTVLALAVQDRYPVFMDPKEVFEVIRVLVQDPVDFASLFEEPPEMLPNTVHIPLDR ->AFI24669.1 hypothetical protein 1, partial [Dezidougou virus] -NKQTNQTNFESFDTTSPVKLQPLNMALNSQQVDNGVATNSILFVSELSKQLNVDIDQIRSDLAVKLLTRS -AAVTSAYENHVVESVTDTIAHFKNLNKEIHLNQRLSDSEKTRLMQLFSPPYKLVFSRNPTDIGAHLFYRS -LNEIATYRCYDLLGIDEPVPIGYDVLIKEVGASVAKLVKYCRPNVHACTPNLNYDDTLRLANTVSTLDRY -INPTGQFCQKRQHLARLYSTDPIFRCYNKSEHCFIKAKYLVFAHSSYDCTLTSMANMMDSALAFRAMGFI -HYSPKILSNLNSGSDNGLNWRLECRSMCSGKLHYSTIGNPEDIPDKYYITFWFDNDYQNSYVHELDTYLG -IIRSSICTSRKGNSYMIRRLEEIGGLLFYEIIKPFHSIPSSLIIRNIPLSDPDSVIIHYYSLQNDPSKYH -YHDLIPARLVLPRKFFEKLYYYLQSLPEGKFTTQNAIIMASTMASRTVVNGAYVSQPYDLSVEEIDMAAY -AVYFIVYCRRYDFMEVLKKLKNFEDLKRNPTLFNRLACLFRGIKNYILSSTFEEFTEEVELEDVTDNAKA -QIRNDIHTKMTYNIFQWIMRLFRIKNRYNVRFIPVTRVVTIEEDIDAIKAMNTTLPKIVSIPNPAELRET -VAEKLKITRVDADVCELREDYCCKANLYESRNNYDKSCVLLCFCNRRNMTLHDLKHTLLNSTYFNNLFVT -AKIAMKNSIENARADSKIFELLACEFHVNICVHYETTCTFHDVKSVTTYHFSVKDNHCVEMREKLTIAPF -EFPHMPDLPAITDDSPLTQAYQQPAADKYKMHKVTVDSYSPFVCRSALKLHEMDSTYGVIIPGNVCELSC -APGSWMQYVHMNFSQSKLFYSHYVDGLELKYDHEDMTCLNTITDGDLTDFDCITEITHMIERNDRMDVVL -SDAAILKANEDCVDTVKFFEYQRMFFANISNWLNDKGNVVFKSFADVKVCSEMNWALNHFENVIFCKPNF -SSPISTEYYVVALNFDANKEVIVPVSYYNYPVLVKNKTIQTCKSFLRKQFPPQTKYVLPQPASIHPGVTQ -PPPSVNIPVTPIPNTDDDELPVVILPIDTFETRIIKKLSTLELHDVTVPPSCTVVHEHSDVNPDVFIQIS -DTPLIADEISNAIVYDLPMLRVDLSIVQRRNAFSYISFIATKIATFKLRIVVDLTAIQDIDEIKKVQSHV -ATEFGKHELIIVSNYTDCAYPIHLYEKSINEYVAYNRSLRASNSNNYNFVYRSLCNNNFQVTQSFLANVA -VNKQNMSILMGNVYKYKHPNRKDEYTHAYDGAAGKFLPFDQCTDITKHYLVGDYTHLMFDEPTVEAVSQI -DIEQLKNVQFVLVQGVAGHGKTREIVEKHKPSLRMGGKGDLIVAPTKAGINVLWERTLAHHKLDVNNLDV -KCYRTVSSYLLNNKTAKQHDTVFVDEAIMMHVAQVLAVAYYSGAKTVYMYGDSAQIPAHSALGDFEFFYH -SPQSLFTAKAIRDKSYRIPADVAAALDPEYRACHARFGSNTGIKTASTVIRSLKIVRINDVSQMKNFYNE -DVKYLTFTHSTCSELKKLDPKFDPKTVAAYQGSEHPRIAIVRTSVSEADQIYNNANLCVTALTRHTKELT -YYTMCDKDDYLSRVIKHALSCTDLNIKSFSTSENIGSTVVSTFGSATVNRFFKSRQKLTSSFTMVDHKHM -VTEKEFATSLVGIRNDIFVDKSVFKKFDMGEMIRWIKKLAPQVKEVFVRVRSEPFESNSSVIDLVEEYKC -ANTISTTVAEKLVAVVEPYIPEPIQLTNFIRISPNIELLQTFMSHLFPNSVYISNQFDAYFVHTNDIHYT -LKDVSFSPLWDRYAPSSYACLRPVLSTPAPAVRDVSQREILLGIQKRNLNPPELIENVCSEDVADHLIQN -FAKMLVPGYRKVIHEMEPILPTTSSIVSWLERQDRSVLKNIRNDIPICLQSLSNCSLSLKRNPKVRISPT -AIDIYDSVQTITCHPKFINAYFCSIVEMAQDRLMKLMLPYFKFFTKCTTEKFGEECYEIWSKYGKLYLFS -GDDSLLINGNTFKEMDMSKFDKSQLIFALSFLCKVFVNLGVPSYVAQLYYEMMYYRICSDVHNKVTMFLT -PQMESGSAATYFGNTCFCAAVVLSTLDMGDFSYTPRFEKFSLMFNLEVKEFSYVNPYFCSKFLVIDENRM -KFYPDPVKILIKLGRADLKNYKHLQEFHTSLKDLVSQYNSLLDIDMISAAIRERYGFPYDCSFLIQNLIS -LIQDDKLFPTLFYTLPGDRLDFSAVRFVNE ->YP_009315901.1 RNA-dependent RNA polymerase [Lodeiro virus] -MILFHSYNSCVLRMELTSSAHETLRAYLSVCGISVGNLVKSYAQDQLKNPDSQVKVFLDEYIEKEASNEK -LIRSMREKCIIRESLRSELKTRLLMEFPEVRIEFAAPRHFGSHSIARALRHICRVKMLTSMGFHKLEKRG -FLVKDVGSYSAGVVLSGETNIHMCCPILSHRDAQRHSDSMLDIEMNLDQLEEGTRIRAETFIRQWSELNR -NVGKRFDHSYMVCKNISQECSVQSKFCMFNHSSYDISVEDMVEVMEKAGSVRAKGAFIFDQELLMKDRGE -IPDIECMFEKYDENGVKRIRFWFRDDVSIAYDHDWKKYISIFSKFSVKSKSGKYYYVQLNEHKFSHIFFT -ITKNMVRANVPKEIIQRPVCPPMGEDLVVLHYYDWDETAGVIEYEKMVPTRVIVPKRLYESVSAYVRNLP -AGKTDISRAVTCASSYNTRIVQNGVEVQIPKSVPADIAQKLAHAVLFEVYVSRYKDSKVLQELQKDQNEI -RDTRGLWESLKLKISRLKDPKPVKIYKDPILTDIDHPEAVKTEHSWWLPKVIFCMIRRFRELTKPESKYD -YRVYDFVKVFDWNIVCEAAKLEASPLPDYHEYVEILEGPDGEKHDEEEVKERPKENVKKTRAPKPAPLFI -SDKCQEEDTDFEMVNASSTSGTHEGVCCYDAVRIALLKKKTLDEFLKELREKGVNVDCQGDIDFLIWVHQ -RERVNICLHSELEDHPEGIMYTRIVSQQFNQWIHLFFRQSGDSGHYQAYVKKSKAEETLESLDEGTFENL -KKKVYPDLKSSVCRSEYKLFEILEKHQIMEGTGKRALDLGAYPGGWSLLLKQLGYHVTSVIQPHQKIKEK -QTFVVRSGIEAFEGKLGFDLIVSDAAPDDLDQLMQNNNKALVEFYKLVWNKITQVSKGEEHFVIKMHLVD -GIHEVLESMKVEFVHIERPKVVRKISREFYLYGRIGRTPIDSQNLLLKIKEMRDEGIIRYLKGEAEYESE -KLENQYESTVKEKEKEVESSKYERKFKICRQEYVNYLEHSVDEREKQLAKTAETYKKHPLFRARAYKRIQ -IAIRQSPDNIALVRKEADGQLKALTDPKSLKPEYKYAYRYETKKIEGVEKMAKGEMGMVSDFTEFLTDKK -ILERVKLMSIGERKVQYRFIQGVPGCGKTTWIVQNFKPGSSLVLVSTVNGRDDVIGRLKEEYGGEHNRNV -QTYASILMNGPKQEGIEWVICDEAGMQHPGAIDFSIKMTQCQKVTVLGDGNQIAFIDRHHFNIVHGDLLE -ILKADEHLSVSWRVPQDIASYFSDQYPGGFMTRNKVRKSVNWVKIQSLKSLDWDHDVYLTFTQQEKTEVL -IEGKGKKENIKVRTIHEYQGDQARSVAIVRNRDKDVNRIYESDEHILVALTRHTQKLVYYSASSDDKMKR -IIKKMQTFTDDRLDKSFYHSTGAGEDLLKMRMYFQQENLPPQGQYTRHVINLMKTYSNLVVVESGTDQKV -CRIGKKMRIQEAINIVLETRGKKSIPFEVLKKLPEKLIISVDKKNQSDEVEKMARRLRKMKKEVLLYDRD -SSHKYVIDANAREAIDSVLDCSLLRDLPNARLEDLYEMEQVEPEENKSGLGSYTVESLQKYHDEMFPNCS -YNITELDTTQVYLNDLEVYTENMTHTNHSEIMQEPEFDTVKSSLSTMAPIVRPLVKKESVIAYSKRNFMV -PDGQFTVDSHKKAEEIMGAIRKYTYRKDYQKLLDYYQQHPVRTSDLEISQWLEDQETNIVNAIYSDKAFW -EEGLDVYHAGLKRMPKANLTEDAWLKYPALQTIVFHPKRFNAVFCPIFKECKNRIRNLMAEETVFFSDMS -PKELGELITRKGPCLNGMTGEGDISKFDVCQQLESALVDYYAMRDAGLDPFLALLWFWAHTVGICKDFKN -KIRFMTSWQRKSGDAWTLPGNSCYMIGCVLRALEILQEKYKKIYKKKKAMDPKLARKFLLKHGEFMRADL -RKLVKLMSGDDHYIFGIRFPEEINSVVKDLFNLDMKVFDYDSHYFCSKFVIRNPISLNWYALPDPVKLLV -KLGRQDLRNQEHKMDFRNSLRDLVKEYVHLGNFEVLNSATSDRYNLKTPGLAQALYSVINDDGEFDRLFP -IDPAANYTKDPSRPRE ->YP_009351824.1 hypothetical protein 1 [Biratnagar virus] -MASYDYNDCSTQSLLFVSEVAKALDIDSNQIKVELGQKLMTRSAAITTEFENHVVDHVKESIIRFKSLDK -EIYLDQRLSDSEKTKLMQLFSPPYKLKFSHNASDIGAHLYYRSLNEIATYRCYDLLSRNEKTPSNRDVLI -KEVGASVAKIVKYQRTNVHACTPNLTLDDTIRLANTNRTLESIVCDPTASQSRRDHAKRMMYDQKYRCFS -KSEHCKITSKYLVFAHSSYDCDLTTIADMMDGAEAVRAIGFIHYSPAILSNITEGSDNGLNWKLECCTFS -APIRKYGKIIGTPLGHVNNFVTTNKKCLIKKNPESNWGLNKKKFVIKFWFDQDYQNAYVHDLDTYLGLIR -HSICTSRNKVSYMIQRRENLGGLLFYEIIRPTHPIPQTHISRMIPFADPDNIIIHYYQLQNDPDKYYYHE -LIPDRLVAPKKFFEKLYYYLFSLPDGKFTTQNAVTMASTMASRTVVNGAYVSQPYSLSIDLIDKIAHATY -FIVYCRRYDLMLTLKKLKGFEDMRRNPTMYDRLCVMMRHVKNYVFGSAMNELKDEETFEDIIDNGTNRLL -NDIALDHSTNIFRWLCKLFRINHRYKVDFFPITRVVSIEEDIEIIKNIDIVLPVIAPVNELDDVTDFIRE -KLHISYVDKDTCSVVDHVCDKNLYSLLNNYKKQCVLMCFSNRHNISVPELQSVLSNSKYFSRLFITTKKN -FKDSIYGPIADLSLFELIACEFAINICIHTEDKEIFYDVKSTTTYHFRIVDNHCVELREKISVSPFEFRP -VRELIPQQDPSIILEAAKRTKEDKFKMHPVIVDSYFPYVCRSALKLHEMDSNYGIITHGKILEISAAPGS -WIQYCHLNHSLAQLYYTYYVDGLEFKYDHPDITNLIEDTNGDVTVLANLELLGVECERLGRMDVVLSDAF -ISKKDEDVVDREAFLVYQDTLFSNLTELLNDNGNVCFKSFGDVPISESVNTVLRHFEEVIFCKPNFSSPL -STEYYIVGKGFKPERIDLPDVSFYGIPSQVMNKVINKAKMLKVNKYPPQLKYVLPPVTPKASKKFTLEEE -IDLTPEEEEDIPPTPIAEADSFEDRLVKTINKLQFHDVPSSAFVVKQENNGNPDFTHDVILGFFDTELIS -DTPGYIIYDELRIHVDFSTVQFRDMLTNLFSIANKIATHRLRIYVDLTSVNDQPQAESLLTHIKEQFSRH -DVTILSNYVNCTASIRSYERSILEYITYTKSVKAANMSTYRFFYNQLECNSFVLTHTLKANVKVNTQNIS -IMLGDKVLLCDDNAYPEYTHVYCGVLDQFIPFADRLPNRWYFYGNYTYRMFDDILIDKVSKVDVDKLKDV -QFVLIQGVAGHGKTQEIVTAHKPSLKTSKGDMLITPTIAGKNVLIERTAKVHKIDTRLLDRTCYRTVTSF -LTRAEDIKNFRDVYFDEAIMVHVALILATAYYSGAKFVHMYGDTAQVPAHSTLGDFVFRYHSPQSLFPAQ -AIRNKSHRLPADVPAALDDEYRRCHRNYGHDIGIVTTSTQLRSLNCVRINDITEMKNYFSENVKYLTFTN -ATANDLEKMDPRFKPSTIAAFQGSEHPEIAIVRTSLSEADQIYNNANLCVTALTRHTKKLTYYTACHKND -YISTAVHYANSLTDQSVKRFSTSLSAGSVEGSLLVPTYGDSTILRFFKSRQKLTHSFTMVDHRSIKTEKE -FALMLGGIRNDIFVDKSIFKRFSMPDMLKWIKKLAPHVKEIFVRVQNESFQNDSKVIDLVEDYKCRNVIQ -TSVTEKLVAVVEPYFDPEIRIENFISVSPTIELVQTFMSHLFPNSVYVPNQFDSYFVHTEDIEYTLKDVS -FSPLWDRYSRAKFDSLRPVLSTPAPAKRDVTQREIILGIQKRNLNPPELVENVSPIDVAHHLLANFEKML -VRGYSDIIGQMELITPTTQSIVSWLEKQDRSVLKSISNDIPICLASLSDCSLSLKRSPKVRISPTTVEIY -DSVQTITCHPKFVNAYFCSVVDMAQDRLMKLMLPWFKIYTKKTVADFGRDCHQSMTDYGKCYMFSGDDSL -LCNGNHYKEMDMSKFDKSQLIFALEFLCLLFQRLGVPKYICQLYYEMMYFRVCRDPGNKVTVALTPQMES -GSAATYFGNTCFCAAVVLSSLDLTDYSYTPRFEKFAMMFNLEVKEFKYKNPYFCSKFVVIDENKFKLLPD -PVKIMIKLGRCDLKNFQHMKEFHISLKDLVSEYDCLMDISVVSAAIRERYDFPYDCTYLIQNLISLIKDD -KLFSKLFYVLPTDVLDYRAVKFSED ->AGI44298.1 RNA dependent RNA polymerase [Blueberry necrotic ring blotch virus] -MSSSKPSTTGLMPLLANLTAWEKLFVPVFLSSAECMNDSYVKSLPDILRVERAYGIVSPIELEDHNHQQI -RVAKRFEIYQALKGGKALKSYGDIDVSKYMKITDFQVEDTVIVSPEDAFSWREESKCNIIKRHEKLDKFK -VLTDYLHECATVVEQSLKDKILFLVKDGVKIQGTGCELYESSIAYDFDQNKFVLPRTVDPLTAKNILVTA -AMKNLIEERILSHANLHIPKCELLISHGSAGSGKTNRLVQLATKLDPALVGIVTHSGYNRDHIKGLLRKR -GLTSFRVETYDHFVRNPSHFDVLLMDECHLVHPGMLLTTMCLVKPQFAHGFADLSQCVYPLYPGSTRLPL -YRIFRVCEFINQSSTIPKCVMGKLGLHYNLHLRYHELVSTSQIVGSVVHHPVDSFNLVPLTPGTVVYCSD -RGSDQKKILDIGSRKVKHLCLYLRNEIPLVELMYALTRFTESLTVYSAVDGDAHNFIKDVQCIDPDLSGG -ATIVYSEDEYLYDQPREFMPIVTVPRVQNMQLPEHWKGKNTLSIERADVRFPIVRAGKNAKLLLPHHLKI -NYAALVDVLSIAPVKDRGVFYKEDDFPQLMSFILNRAINKYYPIGIRQVAGVRNYATKEMAEVLIETPLE -PVLEMERVYGNGIVVDVPTSDITVEEPDELISPVQEFLNHYFTPNVNYIDKSTFAFDFHRGEFQFPVDGV -TYNNSVEPFRERKFDCLTPVLYSPCPLNQVSTPRHVLNSILKRNANVPRLTSLEDIAGQAEILVDRLFAI -CPGVDTSSLSVTVESIADWMKTQPDSVMGRLTDGNPLHCKPLDRYQFLLKSEPKPDLTVEALSKYPIPQS -ILCHSKDINAIFFVHSFRTIRDRIMEKMDKKYQFFTKVDEEGFAEKMNRVFPANSAKFYYSYEFDMSKYD -KSQGQLVLDFECALMRRLGVPEYIVGCWRVAHTRTRARDLTNKISFETVFQRKSGDASTYFGNTVILMIA -LLDVLPDEDVVFGAFSGDDSLIFSTRPPDKIDFKILSTRYNFEVKLFDFQYHYFCSKFVLNVNGRWVVTP -DPLKRAVKLGRADLRNFEHVEEYRVSFIDNVKTYNNSAVVEKLSLAVMERYGSYYNPSLAIQCMYHLGKK -KNFSSLFYHAAGDILCYDPSRPRLD ->AQM55433.1 hypothetical protein 1 [Piura virus] -MTSSSVPTTFDDHTRNAILDQLRQNTSMDYGAMQENVKTAMLKQIIASEQTKEIFVAAAFNEATQALDAK -SSQEKIVVHQRLSKEDQDRLRKTFCMFSLDFSRATDCASHAFWRAHRFLSERKMLRAAGIKPCSRPCNGY -DVVYKDVGGNPTTHLNRGELYVHTCAPLLSNNDDKRHSAYKERLRRGNPRFKNLVYEKHVNGDSQVICNR -RSENCSIKAEVLIFLHSSYDMSLGNIADAMHRANARTAYGVFHFNPRVLYEKRGKLLNGMCFEKRVVNGR -VKIRFWYENDCQEGYEHDYIRYVSLLRTFRIQSSSDKPRYYNVQFDTSDDDTAFFIIRQSISGDIPASNC -FRVFTNESLEGKLLLYTWEWSTLNSGTFSSSTLSRMKPVRLIIEEKLYNKGMAFAATLPDSKLSVKNILI -ALTSFNSREVISGQNIGVVDPTDPYTVRLLAVTIFMLTYVQNYEGSKCLSNLMRDEDRVREESDSGFFVR -LFRIKVRLPWNHRVQKFRGRMDELRSEIQSFEDKLSVVDDLTTSNIKRMITEFKSWTDVERRFEMHIDHM -CNFLTINQELDCLLMDASPCDRGFVSYSPVVDIVDTNSIREAALNAYESVKTADTSYDSGSYKIDNCVVD -LAPVPNSSNGHCVFQSMIDSGVELNVEQLRLRLAASPFMVNLRHASLQRDLLNCMDGSEKGYGDLDTFIL -FSLEFQQGVCVHVDGLCKTFGPPPLKHFEIKEKHCTFLKLCHTFDAIPSYSLSTLDDKEKFEYDSCARDA -MFDAFFDLKSQFPSNNVYKQRLAAAKRNYCPLSELGNGNYLCRSGLKTAEMFARYFDEKLNSAVTIGGPG -GEAQFLCNKGIRTFGITKISLIDFSPALSNYHHFTQLTGDEYDGDIMRMSNILSFRASVRAVYPAGVDFF -GGDAATASDHDSQVDSGEMVELISWEVVCMSVLLRKGGDAYFKVFGLLEHGMPRIVMVLNRIFSSMQIVK -LETSRAASTELHIICKDYQLEDDIPSYVYSRLIESSVLPVPTGIVMNCRHAQKMFDSFIIKGLRQYEMAF -NTIGTSNELINRFPSSKIEGYRSVLCLPSRITAGGITDAVRRTFRKIYNVDSDRDYLAELRDFKYVVEIP -EPAPSDVNVAHAALDWEPEEGLLFAEVPESANVVKKIFARNRSDPFLTSTEKDGSFTVIGETRHTGITYD -APKVDVPRVDFDIARKTSGEVADVPAAIAGPSKAKLHKLAPSDAMIECLELTKCTLSTHVSNHSRLLRKL -DQIPMKSSFVREESGVYSYCEYRKDTGPTLLFGAAIANRSFNKFFFSDGFHSMKDIDRVMIDGGRFLLSE -YCEIAIEQELIETYSSVDIRGFKMPDGVGIVQAGPGCGKTTFVVNNSIPPHMPGATNVILSTVEGKDDFI -RRIEKKYKVTLTKEQLVYIRTMASFLVNPKKNAFSDLLIIDEALMAHPGQLFFAIAISRAKEVKLLGDCL -QIPYVNRTPAFVTKCHRLIDFVPVVETLYISYRCPTDVAARLDKKYLAHNRPNGVDRGLMSTRFSLNTCK -VIKLSNDNFPKDPEIQYLVFTQAEKQKLLLHKLQVSTVHEYQGKESKRIRVVRLNPYPQDEIYKRENYAL -VALTRHTESLEYYTRVTSDALSQMIKVDGVTCHVAMSEDENRRCFHVSAGAIEHEVFRVANSSPNPMLST -ITVVPTPISAIKEPRLYFVPRFGRKDDCKFKPLVMQSDFSIQTRNGVVNIFVVSSDHPAQKHNLKTITRN -LKSLSPTISRIGMPRIFVAGSVENDIERSAVGLVLYKNIRSKAVLCSTVNQYDVPREVFDLLTKNGICSS -PNSIFTSTTFIEPEASFIFEIERDFDVTTAQHFITSFFGECAYVEQAYDAWDVRNSPLNIEVGRVQFNPV -VCVQLNREFDMMRPVLYTPMPFMRDYNCREIMLALEKRNRNVPNMNGIVDFDEGSSAMLESLIRECFDEK -LLKFHLKERVAVSMNSVYEWLSKQPTGTKELIVPDFALHQSALNSYTFSIKRKPKPNLTVDATKSYLALQ -TIVYHEKPINAMFCSIFREIKSRVTMSLKRHVKIFCDMSAEDFEDVLNRDIPPSSLSACIDKLEIDISKY -DKSQRELALDFECKLMSYFGVDADIVELWFNAHVLTEVYDKTTKMKALIPYQRKSGDASTFIGNTLFLMA -VVCDLIPVSELELALFSGDDSLLYGHDMNRYRDSQHFGLKFNLEIKFFEFELSYFCSKFLLVVRDRWTFT -PDPVKFMTKLGRHDLVNPLHVEEYRISFVDTVRNYRSYHVCKAVAVALRERYGIRTDHTAFLMTLHSMTS -LTNFPKLFYSIDGDRIDYSIVFSRDF ->APG77603.1 hypothetical protein, partial [Hubei negev-like virus 2] -MSNLADYPFRAVADQLRFNTSLEFTESADVIKREAARRFFSDEKIGELLVNAKLDEVKEDLNTMSKLREV -FVYQKLSKDDQDKLRKSFCMFNLNFTRAHDCSGHPFWRAHRLLSEQKMLHLAGVTKYSKPANGYDCVYKD -VGGNPTTHLTRREMYVHTCSPLLSHNDDKRHSAYKEAIRRWNKDKNSPVYKLHVNENERVICHNKSQNCR -IKSEVLIFLHSTYDMTPLDIADSMSAASALTAYGCFHFSPIVLYKNSGEISNGMWFSKYMRSGRLRIRFF -YRNDNQEGYDHDWITYVSLIRSFRIKSSVGDVYNVQFDTTENDTVYFVIRKSINGSIPVSRPFRVFTNES -LEDKLIVYYWRWETVSDSERPRLPTMVPIRLIVPRKLYFKMIAFADTLPESKFTVKNILVAATSFNTREI -ISGQNVGIVEPIDPVSLKHLAHAVYLMVYISNYECSKALSQILSDEDDVRDLSRRGFFRRFFSFRSNSNY -DPQINDKIYNLDKVVDPETSFFRKIIDKILSLAKIDRYYKTVVKDNLVQFLTVEQELDAYISEVSEHYCG -FSSDNPLCDQIDGNLVRGVIASDLPIDTSSEDYSFSQLPIRDCCDSLKCVDNAVVGDCVYSAIILSRKFT -GTVSSLKTRLLHSRYLSQFVNYESIRSVLESDVQPDADVLLLAALELSFSLCLHLNGGCLHYGVEPFVHF -QIVDSHCSFMTPVYCDKLPMIDLFLNDNSHCTPMYDGFYDSIKRSKYMERAVTALEFSHQVGLCGYTSYD -AHKTFELFNALKFNPSECCVIGDSSGSLEMLHSMGCNVVHLSRSDYQFVGRYTHISFDIRSTSESEMCDI -RDSVRDSYPEGVPVVISLFDYIAPCNLKRPSSQLKHHLDSHLLSCALLKEGGMFIFRIYQLSDCYPLVLR -LYNSFESIRVIKPKCASVVHTELFVVCDGFRFDPKLLSRTFDQFVDPLRSDALADAHNTFLHLTDGYRNS -VRRLTMSLEKNKNRVDVTPYGSVGSFRRAMPCVVSRVIVRSMDARAGERVVFRGVAYGRKLIDYNYKFRR -STVPITEVSKVENDHPDCSFDRVSLNSEVSSCYQTVTSGESDSGVIDAMRECIELTKCTLDSQISNHARV -LERLALLPVSSQLVSAENGDYVLCEYTDSRVKIICGNYLGLESYNKFFFNSKFHKVKDMSSVLTNGDSFL -FSSYCEIAIDEELLRCYNNVRLEEFVLPNDCGLVQAAPGCGKTTYIVNKYEEKNMTVLLSTREGRADFLD -RVGKKFPLNETSKKQIRTVASYLVNYESITSTDVLYIDEALMSHPGQLFFAIYYCRPKVVRFLGDVLQIP -FVNRTPAITAKHTELSKYVPTLDTLYVSYRCPLDIAYRLNPYYLAYNSSHGFEKGMMSVKLNLSSCMVVK -LNNELFPVDKNVQYLTFTQSEKQKLLKKDLRVSTVHEFQGKEAPVVYVVRLNPYPNDEIFLRFNYALVAM -TRHSEKLVYYTRVSSDALSRLIGVDGVVKKTIAPEDDLKAFLYTNAGSIVFDIPHYEFSAEITTVNVTSA -RFDRVVGHKAVFVPKFGYKECPEKPIKMSNAVFSRKQVNSNFNIYYVVSSEFQTQRHNLNVIRNSLLHLN -DLLVRDNVTRVYLSGSFESDVSRSSVGYLLHKYVRSKFVVTTSRTTYDIPDEVVDFTIVNGINSLQNATY -TSVETESPDTIPVCPVDYPFSISTCQDFINSFFGEVAFVDQRFDSWDVANSDINIELGDVSVSSLFGTQP -QKNFDMMRPTLYTPKPFLRDVNKREVILAMEKRNRNVPFMNGVVDFDKTSSRMVENLIREAFDSDKFRKY -TAEPIYISAYSVNDWLSKQPPAVKKLIVPDFAVHQSAVNSYLFSIKRAAKPNLTVDAASSYLALQTIVYH -EKPINAYFCSVFRELKFRLLKVLKRNVKIFTDMSADMFENILNDDIPTSTVNDMLEKLEIDISKYDKSQR -ELALEFECKIMLAFGVPRHIVELWYNAHILTEVYDRCSRLKAFIVYQRKSGDASTFVGNTLFLMAVICDL -IPVSQLTMALFSGDDSLLIGSGLRKYHNVQHFALKFNLEIKFLNFTYSYFCSKFLLVVNDRWRFVCDPLK -LCVKLGRSDLVNPAHVEEYRISVADHVRSFNDIALCHIISKALCERYMISRDHTFFLLSLPNVASPDNFY -QLFYNLSTDIIDHSITYS ->AIX97862.1 hypothetical protein 1 [Goutanap virus] -MNDMDPVDSNDVNTNTLAYVAELSKSLNVDIEEIRHELGAKLLSRSAILSSNFENHIVDSIADTLEKNKN -LKKEIRLDQRLSDSEKSKLLQLFTPPYKLKFSHNPNDIGSHLYYRALNEIGTYRCYDLLGNEKIPSGYDV -LIKEVGCNISKLVKYNRDNVHGCTPILSVDDVIRAANTERSLHNYVINGDKSQKKLSKLFLHDPIYRCIQ -KSEHCRVKAKYIVFAHSVYDITTRGMADVMDAASAYRGIGFIHYSSKILSNLTSGSDEGLNWKIEIRRNG -TLNLGKIQQYIMFWFDNDYQNAYVHELDTYLGIIRNTKCVSSKGVEYLIQRTEEIGGLLFFSIIRPIAPM -GRFISVPNSSIVRKIPFSDPDNVIIHYYTLQNDPSKYNYHDLIPIRLVVPTPYFAKLYQFLFMLPDGKFT -TQNAISFASTMSSRTVVNGAYVTQPYKLDTDTVDYTAFAVYFIVYCRRYDLLKVLSKLKEFEDLKRNPTI -FNRFVALIKSVGSFVFSSRFTEYVKEDKFEDAITNAKTELDNQYHLTHSKNILQWIMKLFRIKNKYTVRY -FPITRVVSLEEDIQFVSDLAQSVPYVIPEDNQDLVLETISECLKISRIETHQCSIDQGYDCQDNLLEIPN -NFKYSCLLKCFCNTHNIAFSDLKIKLLKSQLFENLFPTLQNLLRNSIEGKYADIRLFELIACVFSVNVCL -HCESSCTLYNTNSMTTYHFSIKDGHCSELRKKLTISPFEFSSQLRFEKESNANFQQAYQSPSSEKYKLYR -VVTESFSPYVARSVLKLHEIDGNYGVLRTGKICELSAAPGSWLQYADLHYSQSQLFYSHYTGNTGCDFLF -HSDKLTLLNETTKGDLASTDDFHEISNAISHHGMMDVILSDAFIPKPDEDVVDAIVFSEYQKTFFDSLIY -WLKEDGNVVFKTFSDVSISDTTSLILNKFKTVVCCKPNFSSPISTEYYIVAKGFSRESSDMPPIDYTFIP -NLAHNRVARACDALINKRFPVQIKYSIPHPLSIIPVEPEENTSPTAPLLEEDEDINTSDDIPAVTFESEL -TKYINKMEFLDMVTPTQVVRSPQRPDSIPDVRVVVYDTNLLDNLGSETTYLLHDSEFVFVELSADPLVTL -LSRISFIAVKIATFKLRVHFDLMNIRDIALIEKIVSLIINKFLLHGLYIIAPIASNNIPLNIFDKSIVEY -TTYLRALSAANSNSYNTLYTAFKNNQYLLTHTFKANLAVDIQSVSVLKGDKFMFKHPRLREKYTHAYDGE -DRVFVPFSHCQCNPSKYYLVGEYTFCMFDDQIAETLLSVDTNALSTVQFILVQGVAGHGKTTEIVQKHVP -STKSTSGDLVVAPTKASIDVIRQRTIQHYKIDANNLDKDCYRTMASYLLNLKDRKYDTVFIDEAIMAHAS -YILAVAFYSGARTVYMYGDTAQIPFHSALGDVKLYYHSPQSMFTASHIRNKSYRIPADVACALDEEYREC -HKRNGSNLGIITASPVIRSLEVIKINDISFMKSCFNESFKYLTFTHTACNDLKKLDSKFDVSTIASYQGS -EHPNIAILRTSLSEADQIYNNSPLCVTAITRHTKKLIYYTMCEKDDHLSNLIKYTKSVTDLKIKSFSTSC -VVGSVDFPFKAVDTIYPSYDANSVSKFFKSKNGFSKNFTLIRTDSVHTEKEFATKCIPIHNDIFVTKDIF -KNLSMSEMIKWTRKLAPHVKRIHVKVQNEPFIDNSAVNDLVEDYKIKNCIPTVPSQSLNVIYTPDIAPPQ -FKESVFDIPPSIEMLQTFMSHLYPNCVYIPRQYDAHFVHNNDISLTLSNVTANFNISNYRIPIYDSLRPI -LSTPAPYTRDVTVLELLLGAAKRNLNPPELIENVCSDDVSDHLLQNFKKCLIPNVRKILVDLDPIIPTTD -SIISWLERQDRSVLKLIIDDIPLQCADLSRCAFSLKRTPKVRITPNAVDIYDSVQTITCHPKFVNAYFCS -IVEKAQDRLMKLMLPYFKMFTKVTTEDFGKECFDIWTHYGKLYLFSGDDSLLINGHSFKEMDMSKFDKSQ -LIFALSFLCKLFVYLGVPTYIAQLYYEMMYYRTCSNPLNKVTLRLTPQMESGSAATYFGNTCFCMAVVLS -SIELIGFEFLPRFEKMAQMFNLEVKEFKYVNPYFCSKFMVISDTRIRFYPDPIKILIKLGRTDLKNFRHL -REFHTSLKDLLSQYDDHLDIAMISAAIRERYGFGYDCTGHILNLISVIRNDEAFHSLYFSLPTDILDTEA -VRYTEE ->APG77744.1 RdRp [Hubei virga-like viurs 8] -MEIRHTTMETSNSSSCLSDSTSEISENSVRSLSFDVSATSQARVVEYLSRLTDPVNPNDTIAGLVKAELR -KSDSSLRNSINDVLIGGVNNILVNQSKLKKIKINDTLTNDQITALATVFPKFDLDTSQPKEYGAHAYARV -SRKLEILDLLDSFNIVANIKVQKIYTHALIDVGGSPMFYINSELDNVHCCCPALSQNDVRRHAQTDVFVR -ANYIPTVSGKDSIKQKLISQYLSTSSKFVCRNLSQNCYQYTAPYCMFVHSTYDMTLFDIGDIMDSHKADC -GRGTFMFKPEFLLLSSGRDATLELRWRHVTRSSVRYIRFSFDGDEQLGYEHRLDTYTALLSAPLLYSTDY -RNVFSISLDKNLNGIQFFSLYRIREGKIPRSFEFRDISISSHRDKVLVRSWEYTYPNDRDVNCIVTSNSL -RPIRIIVPKHLYSNLYAYIMSLPDGRFTIANCNVVANAFNRRYVVGGASIMSPEKIDEEDLYRLVTALYF -ICYIKRYVQTKTLSSLLQDENKARESTSEVSKLFNAISSWFSGGKFDVPLVDPDFASLANGITLESDPAK -AERDRGTCLSKYRAFILKYSSGRKYPVSASALFDYIEINYETKAICKTVHKLNDFQYFFQPSYVRGAINT -DKAEDENFLPQSETIDSEGVTPESIISQPQNTYCFRKGIVPNKEEAVIRYSPYCMESNISLTLINSPGAG -YCGYHSLQHAMGLDVETKQFIEILKINVKSPQLKKTLTFDTSSATIEVEHWVNEFVIAYVAQLFSINICV -HADDHHQHFFNPQYTSTIHIQHVTNHFNYYKKLLSMTYIMPPAPLYLTTFLEVDYVPRYNNHWQEYDDYY -AKFNALPEHLKSSAYSRYHQLSSLRITDKDSVSRNFYHFAELNKRISFIPGPQGNVLDMGAAPGAFSAYI -LKNFPGLNVYVPIYDRVKLHPVVSTYNNAIILELESGGDLLARGAALDLFNSVNNNKMSLTICGAFDSRN -QETSGHLLGNQVAISIACLAKDGNMVVHCHRLNEYSKIVLSFAATLFNQVIALRPYTMLPHSPTCYYVFT -GFLADRAPPGIADILTEIFSQNFKFNINNFFTQADNLVSGLALDGVKLLLDSTKSGNQKINHSYSSGKLN -DLKLYINPRISAVGGGGLKPELRRNYFYTIKDYIVSSFTSYVKSTPIVTNLVDGLPEDQDTKSVALSVID -EQTELDTDIDVSVSVSLPSLADSYRPLSVPIDFPDDGHDSTLTIDDVLQWEPYAGIISPPSGFTDSSTEN -LDYSTAEEVLAATYASSNRLCPSTIAEPYSPPPEYDGDTDPPELRQHLLSILEPIEEYKPASVIITAPNT -DCMVKTIHRQCFVDTKTAVGIQLNTPHVYHPSATDTVPYDDIEESVEAFDHFSIEKTAKVSFALEPLKIK -TYTEFQSPYQETSPKKVHFRLDGLEKLLVKFNYSDTKINSIIYSLDIRFKPVILFVPNSLDFAQTDAGQV -EWSLVQGTLQIVFPDGDFYFYLRRFFAYLKSKGNFSISLDDGSISLFNLEPYKFRDTLAVLTVEFHQNVN -IVVNDRNSMPQEPKAKCTITDPPILTKEPDNDFDSIARNSAREFLNYCLASHNKQLATYRSIYNTYGGDR -SSYSVAELQALKNDGEHFVFVYGSGRIRAGELPSDGLTKFKFAFNGRDFIPNENLLKEHLYLYGDYTSAL -FEFQYYKNLSILDITTFVLPKSIQFVQAIPGAGKTTYIVKSVCDNLNSSSVPTDLVLCSTREGKLDVADR -IKARHNSLDSRVKYSELVKTMGSYLLNKTSKVENLLVDEAMMHHCGAIVFAAHISQCRTLIMVGDQNQIP -FVSRLGTMNLTHYRADKLTSNIETMSNSYRIPADVACHLSAFYRQELRTHNQIKRSIVVAKITGINGVPK -RRDVTYLVFTQPEKRQLSTLFAGVKVFTVHEFQGKESEHIILVRLNTVKSHVLFTQAPYQIVAISRHTRT -FHYFTVLEDSLYTLLSKTIIPDRLNKAVVKTAGYLYNEHVNHPFAGLMTLDLPKSSKDFTIQYCTGSVYT -SNVSMFIVHAVSRDGAVRAGFAKSVRADHKAYRNNRRPFKFSDNSRVNVTYGTKRKYIHLVTKEKCYQRP -KYRIVRAALVELRGFLMASEITRVAMPRICCGLDTLEWKRISKILLDVFQYTGIKLFVYNSHSSVNLDLL -EAVCSLFDTNAIDDRKNMSVTPRHLGDYHAIRPYYVESVAPYVDSCELLQIAHDSCLPGTSYNYLETDQW -QVHNNDIDLPFSNMVIRGFGGQFKLPTFDCLRPMVNTAMPDIRPYTRDESILAARKRNDDVPKYDRVVDE -DEMAHDMWDKCKESFFNSDLVAYFRSNEITHSIDDTLEWLKTQTPLRPENFTSEFSLLESDLLTYNFSIK -RNPKPNLTVDSHLLYSALQTIVYHPKHINTIFCPIFRELKRRILASLNKNIFLFTDEDPSSFVNRISEYC -AGVNPYNFSPLEADISKYDKSQGSLALLYECLWMLDFGCPDFIVKLWFLMHRCTKLKDFSSKFAFYVMYQ -RKSGDASTFLGNSMFLLGVLSYLFVADNIYLLLFAGDDSLILSYNTVEDTSILANILFNLEVKYFYFKSF -YFCSKFLIWDEGRFSFVPDPVKLLVKLGRRDIRNFQHLEEYRVSHVDLLKIYDDYRVCSLIGPAIQERYP -TCVLNFSVVLPSIYYCFKSIDNLKKLFYSLPGDNLLRDPSFPTLD ->AQM55308.1 hypothetical protein 1 [Cordoba virus] -MESSELGTTGAHSDCASQENVPTISMYDNMLKICTENRAERSEILKNTVSRVINNDDEIRALLSQAVRAE -AAKKTSALLSKSRPVIRLSDKVSTEQEMILSEEFSEFELNFDRATERGDHPFYRCYRRLSEKRIDRSFVH -KFRQQDKRYDVLIKDVGGNPITHLNSEYDEHTCMPILDDNDALRHARYLKRLRSYIPANERSRFLLNKHI -KQEKTYICHRRSQNCSVKAPYLKFLHSSYDISVENICRSMYRANAVEGKGVFLFNVKILNDESGELGHGL -NFVKFKNDSGEMKVRYYFDDDMQAAYTHDLVNIYKLMKTFRYELRIGNKTYVYLFSFRKGLANHIEFDVY -RHEFGNIPANNMCRVLKESGIEESVVVYWYRWETLHNGDSMFDFIKNHMVPIRLVVPRKLWADLLAYANT -LGDKLTVLNIMIAANAMNTREVINGQSVGISVKVDPDTLKHLANCIWFITFITNYECSKVIQVLREDEER -VRRLSRKYVHKRFFSRVVQRILKNHRSDFDSFDPKHVECPSTLKMKGLLDNMRSNGSVIRNYDFCVNDRL -CNVMTLEEEMDMLVHDVDLLGSTYDESYIEDELLKKKELRNNLLLSISEERSATVYNKNLCEREVCFETL -TEIRNVNEGDCFYQAMRDINITSESTEIIRSRLLKSIYLNKFETKADLIERFVARIGTKEAFANIEVAML -VALEYDVGLCIHTPTSHLQFNMNSERETYHFRFNRNHCTALKIRQSVEDVLELYSGKESPDYDRESLRKQ -LENFHSINKNADKLKRAKANAYYIERLGKCGYNNRAGLKTAEMFNRYFGKYEINTAVTLGGPGAEAEFLI -ERLGCRVYGMTLVDGSKSGFDIKDYGAFTQIYGDRGNGDILDIKNVASAVEEILEINGERVDFCGCDIAT -CDDNKDVDTKLNARMFLNEVKMVAAVLKEGGNAYFKVFSLVEDEAVAAQNYLHDSFRDVKYVKLETSRPW -STERHIICTHFRYVKEDVVKLCEGMYETEPKGKYLWLQKEFENYVRRSLNILQRCINTVDTKNPIRNEIS -DARVRYYTNLYGISNDYEDVGVFDKVKKNVRYFFNPSRRLIDTLELANEVLTRDIVVVNHEDDCEVESEQ -DNFSIDSLEVDSTDTDFVSACSNPSISTNDLYSITTNSSLDWDYESSSDEEVVEHIVPMRKNEFTRFVGN -RKDGKSLTRSLRKGTVKMLKKSMGINPKQKPVIQYSSNNRIKNTGIAEWKNEVAETNVEETVPQSQLASK -DVVKKSSDGMVDTFDVEAAIASMQEYKELLKFTLASERSNHKRMASKISSPLAKKYFENEVGDFGLVELK -GGRTIVKFAPKEMLSYNKYYDPKSNNFIRISDDLEDGQYLISAYCEKALEDDILNVVQEIDVERIRKVTE -IGIVQAGPGCGKTYYITQNAVPAHANDADNILLSTKSGREDFVKRMESKHDHQFDKNELCRMRTLVSFLI -NVNKNKRSDKLYIDEALMSHPGSIMYAIILSESTKVKCLGDVLQIPFANRTPGFNVKYGELSKIVPIVET -LYNTWRCSADVAFRLRKAYEVVNNKVGIKKGLIAKNNFGNTCKFVKLTNSNIPIKEGVKYLTFTQSDKLE -VNRKLKNARLPENCETVHEFQGKEAKIIYVVRFDSNKQSELFLRPNYALVAISRHTRQLVYYTRVTTDAL -SKLIGVDGITVFDVATKSELEECTDNSVGYFEDEPLQMNTFAEISKANQSIKGNIEDILDNRVIIRYDST -RQDKLKVTQDFKTYTVYMRPGELLKLKVKGIINENFKGCKRIYVGNTVTQFEDDRIFSVSEKFDKDMNEY -LEGIMNVNAMNCDPEYMFRQTVLHIEEPQTSYAESSNTASKSEINFIMDRLFAGTRFQDQQLDAWMVCNY -DLEMSIDGVRFSRMPVNQRKRFDTLTPEIKTVVGWERVVSYRENLIAMDKRNKNVPLISGVVDVELTSDE -MLEKFVDRCLDIKKVNRRPLKFTKYYFNDWISRQRTGIEKTIVSDFALHQRTLNRYNFSIKKQAKPVLTI -DAVESYAALQTIVYHDKDINAIFCNIFNNIKARVMRSLRSNLVLFADMNNEEFESKLNRIVSNMKGKEAL -EIDISKYDKSQGNVALEFECKLMRYFGVEEYYIKLWYNAHILSVVYDKDTKIKAVVSYQRKSGDASTFIG -NTLFLMAVTADLIDYRFVEFAAFSGDDSYIIGNGLEQYKNTQHFALKFNLDVKFYTFKYGYFCSRFLVHF -ENKFHFIPDPIKFLVKLGRHNMANFDHVNEYRISAKDNMKCLRRRQVLYILGLAIKERFGIEYNMEYLLG -TIINLTKKEVFMEFYHAEKGAVLDRNKVFSIKNM ->AQM55272.1 hypothetical protein 1 [Big Cypress virus] -MDVNATPIDLQKVDHSSAAILDQLRQNMSFNNGISQSDIMNNVTRTLLNNPTTVDVITRANFDEVIETLN -NKKKLRQIFVYDKVTKENQDKLRKTFCMFNLDFSKATNESGHPFWRAHRRLSEQKMLASLGIRTSSKPTA -AYDAVYKDVGGNPTTHLSRGELFVHTCAPLLSNNDDKRASAYKHAMRRTSPRLSSACLSLHLEGNSRVIC -NRKAQNCNIKTECLIFLHSTYDMSPLDIADSMEAANALSAAGCFHFDTVILYTNEGHISNGLYFKKFLRN -DRIRIRFWFENDNQEGYEHDYINYINLIRSTRIVSSSGKSTYNISFETTEDSVTYFKIFKSINGNVPEDR -PFRVFSDPKLTDKSIVYYWSWDSIACGSTSDKMKKIRLVAPKKLIQKMISYADTLPESKFTVKNIHVAAT -SFNTREVINGQSIGVVESIKPEDLKHLSHLVFLMVYISNYETSKTLSTLINDEERSRVNASKNKFMRFFT -KTHYTTRNFDEMNFNNLVDEKDDALTIETKNRFSQFLTFIRDRMSVTRKYDHSICDSLVQFFTVEEELKM -LIAESEKHNRGFISDTVAAEALDYSAVKEACYESLEELKSDEKLYSLNDYKNTACVSELTSVPNPGKGNC -VFDSIADAMKIERDNKKIRNRLYSSIYLTRLSQPAKQRKILLTLDGSRSGWGDLETFILFSLEFQQSVCV -HVDGMCKRFGDAPYKHFLIENNHCTFLTPSHNLEPIPKFNFDNEENVLEYDTSSRDALYENFFASKDELS -KSAFTKRLNLARYNYYALSELGNGGYVCRSGLKTAEMFQRFFDIEIDSAVTIGGPGGEAQFFCERGIHTF -GITHIDKVDFHPLVNPHYFNFRQLLGNTNDGDITRPQNIIDFRDTILKKYPSGIEFFGGDVADSRDFENP -NELESHSNLLAWEVLLCLNVLRNNGQAYFKIFDILDGSTSNIISFLQTVFSIVEIVKLETSRPASTELHV -VCRGFTRRNETVGIFYRCLNSSDFSQFEAPWALTAQKYFDGFSVAGLTLLKRAFHSIGVREFTNKLDPER -LESYRNLLCRDNTNDAGSLINKVKRQFTKIVSEFASAKRDFQREFDDFEYAVDDDFEEFDDLSVTTYATA -ELPVVTPTPEPVANMKKNKKNFLSSMKKVFKKNTKKGKRPDTTEIGQTNPTGITQYPIVDTVPHTIAVKP -VRVPIECVADNIEEVDECESTLPVSTPAAASTIADSMNEFLELTKFTLQSQISNHQRFLSHATILPAANS -FAILENGNYSLCKVISSGEEFNFVFVLKNKPKKLDEYNKFFFSGQFHKIADIESVLSVGDIFVLSEYCEL -AIEPEMIEACERIDVSSFAPPPMSLVQAAPGTGKTTFIVNNSIPPHVLGASNILISTKEGKNDFIKRIET -KYQITLSKTQRNHIRTIASFLINSSKNIPSDLLYVDEAFMPHPGQIFFAAAISGAKEVKLLGDALQIPYV -NRTPAFHTKHDDLLKYVTVSEFLHISYRCPTDVAARLNSHYLKFNTANGKAVGMQSTRLALNTCKYVQLT -NDNFPKDKEIQYLTFTQAEKQKLENFGLKVSTVHEYQGKEAKNIRVVRLNAFKQEEIFLRFNYALVALTR -HTESLEYYTRVTSDALSKLIGVDGITNFTFTSEDTIRASHYVNAGGLEHEVMTYFEDSPVMDVSTINVIN -APMRKIHGAKLYFVPQFGYKEEVPFKPYVMTSPYMVTRYKGNTVIFVVSSQSYKQNYSITTIRKNLVQLR -SVINDYEISHLFVHGAAEKDIARATLGYILKKHLPVKLSLVSELPCTGVPDEVFQLLNFNCLNEIPNAER -KTVTYEDADVYEPFNCFAPEFNLSLAQEFINSIFGPCAYVDQQFDSWMLNNSDLIMEIGDVTFSSIAGVS -LPKTYDTMRPALRTPMPFIRNYDRREILTALEKRNRNVPFMNGTVDFDVCSSEMLQKLLHECFDPVKLAR -NNRDPIRISRNEVTQWLSNQPTDTAKKILPEFALHQTAVNSYNFSIKRKPKPALTVDAADSYLALQTIVY -HEKPINAIFCSIWREIKKRVVTSLKNHVKLFCDMSPSDFEKELDRDVPSHTLSSLLEKLEIDISKYDKSQ -RELALEFECKLMRFFGVDEYFISLWFNAHVLSMIYDKTSKLKCLIPYQRKSGDASTFIGNTLFLMAVICD -FIPVSLLKLALFSGDDSLLYGFDLSKYKNTQHFGLKFNLEIKFFNYEFSYFCSKFLLPVDGKWKFTPDPV -KFFTKIGRHDLVNPAHVEEYRISCIDNLSNYNSSAICASVASAVKERYGIYKDFTGFFTSIPSMCKRDTF -HSLFYSEHTDRIDHTITFNNNFD ->AQM55436.1 hypothetical protein 1 [San Bernardo virus] -MASNNVKPSIDEFQKHEILDQLRQTTALNEKEISETISRNITRQVLSSDAALEIAIAAAMDDTVNKLAAK -RNQKRVFVKELLSKEDQDKLRRTFCMFNLDFTKASNCSGHPFWRAHRKLSEMRMLNSARITPTCRPSVGY -DVVYKDVGGNPTTHFSRNEEYVHTCAPLLSNNDDKRRSAYKEVLRRTDRDNKCVRLHLEQNSRVICNRRS -QNCAIKAEVLIFLHSSYDMSAEDIADSMHRADARVAYGCFHFNPRVLYEKEGKLSNGMYFKKLVVNGRLH -IRFWFENDNQEGYEHDYLTYLSLVRTFRIKSTCKKNPRYYNVQFDVTEDDTTFFTIRHSDNGNIPRSNPY -RVFTNENLKDKLIVYTWHWDTLNPGNFSSSNLSRMRPVRLIVPRKLFHKMCSFADTLPDSKFTVKNILIA -GTSFNTREVITGQNVGVVESIEPSDLKLLAVAVYVITYVSNYESTQCLSNLIDDESRVRDESDNSFLSRL -TRVRSRLPWADRVRKFQTKQKHLMQEMNTIRENLSEEDDPTTGNVKYIINKIKSVSAVERKFSITIERMC -NFLTVEEELECLEVAEGKGDRGFIETVPLAEFIDPQVVRDAVIESFEKVTVRHNTYGAENFDKCMCLADL -EVHPNDSNGHCVFQSMLDSGSTCSSVTEIRNKLLNSNHITSLKNPALQRKLLDVYDGSEKGFGDLETFIL -YSLEFDQGVCIHTNGLTQRFGSAPYKHFQIRDCHCTWLKPLHTLDQIPVSKIVECDETDYTSANRDKQFS -EFFSLKKNYTASAYKRRLYAAKVNYCPLSELGDGNYVCRSGLKTAEMFTRFFTNDIESAFSIGGPGGEVE -FLCDRGIRTFGATKTDLIDFSPAVRHHLFTQLLGDTYDGDITDLSNIISFGKSVRKYYSEGVDFFGGDAA -DSSRENEIDLKSMSHLLSWEILCCITVLKEGGCAYFKVFDLLSHKNPSLIHYLHSHFDDVQVVKLETSRS -ASTELHIICRGFIRECAVKFKNDYVVSDCACPTPFSNSLRHVQKSMDEHIAKGLHEYRRAFNTAGDSGQY -INRFPLEKLEGYRALLCRKARSVSAGGIVSRVKRACHNIFSFGERNLDDELRTLLERTESVESFVTAEDS -CVPAIQLAEDGMAIEVEEYEIAKPVTAMDCLWNLFEDRRCFEQSTEKDRTFTQIGQTNPLGITPYPRRIA -NLPPATVDLVVKPTEHTDPQNVVVESPVSVVEKPVDCRDSMRECLELTKYTLQCEISNHRRILRNYEAVP -LRRTLMREEVGMYTLLEINNGSPRVVSGHPIEGRNFNKYFLNEDFHSFSGISNLENGTVILVSEYCEIAL -EQELIRTYESIDIESFALPSGTGIVQAGPGCGKTTYVVNNSVPPHVSGASNVLLATTEGKYDYIDRLQKK -YNRIFTKDQLSHVRTLASFLVNPHKNVKSSVLIIDEALMSHPGQIFFAISLSGATTVKLLGDRLQIPFVN -RTPAFPTKFDKLPDFVPVVETLYISYRCRTDVVARLDAEYLKCNAPLGVNKGLMSVHYSPNTCKVVKICN -DDFPKDPNVQYLVFTQPEKTILKNLKLHVSTVHEYQGKEAKEIRVVRLNAQPQTEIYKRFNYALVALTRH -TEKLTYYTRVTDDALSKLIKVDGITCHTAMSEDDNRKCHYKSAGRAQPQNRDTFKPVARDMTVRVKAPTI -PVYDTRRNWDNVRAGVADTEVFRIARPEVVSGVSTVAVTPAHISKVQDCKLYFVPRYGVKPDCPFKPIVM -ENEVHVETRKGHKNFYVVSSNSADQNHNLCTITKNLKKLNSILSDHSVSKIYVSGDAEKCIDRSALGYAL -HKHLRVRSVLCSNMNIFDTPSEVFDLLTKNGMNDLPNSSFDSYSFSIPEVHVPLRIKQDFSPESAQSFIN -NFFGECAFEDQSFDAWDVRNSDLDLEMGQLTYAPVLGVQLNRRFDNLRPILKTPMPHMRDYNTRELMLAL -QKRNRNVPYMNGTVDFDKSSDEMLDNLLRECFDPALLEFHTREPVSISCNSVSDWLSGQPPAVKGMIVPS -FAMHCSALNSYSFSIKRKPKPNLTVDATRSYLALQTIVYHEKPINAMFCSVFREIKKRVTSSLLPHVKIF -CDMSAEEFEEILNRDIPIEKLHKILEKLEIDISKYDKSQRELALEFECKLMRRFGVSEDIIELWYNAHIL -TEVYDRNTKLKALIPYQRKSGDASTFIGNTLFLMAVISDLIPISQMDLALFSGDDSLIYGLGLKKYKNAQ -HFGLKFNLEIKFFEFEHSYFCSKFLLPVNGRWRFTPCPVKFMTKLGRHDMVNFEHVEQYRVSFADTVKNY -ADYHVCAAVAVAVKERFGIFTDFTNFFSSLTSMVLPENFKKLFFSEKTDVIDDSATFSLDF ->APG77679.1 hypothetical protein [Hubei negev-like virus 1] -MIDSDSLSSRIRSVLGVSVVALHKHNSTKAGSLVQYDSYQFDRDPVDITTLNRVSKHRDSSNVTRVVFVP -KVGSYPGCSAMHLDFSSTSSVYFRTKSLMYVVASNSGSEQPSVSILRNSLRDVRDLVVCYNIAELSFDYS -LFHHVSPAVLSNTLFRTLGRICKYTFSSKHSLHNDTIRPEVFAATLTSGLNMLPNKAIVEAELEVPDLVL -VPTPSPVSCHVEILQILVDQVFLGKGFIDQRLDAWMVHNYDLDVHAGDLSYNRTKGVFKYNTYDYLSPVL -KTPMPHIRNETNRESLLALAKRNRNVPDMAGVVDVSKSSEDMLDSFLLHCCSYEKIVSTRFQEMRVSPFE -ISEWLENQKHDVVNHIVPTFAMHMTALNEYMFSIKRTPKPNLTPDCSSTYAALQTIVYHEKSVNAVFCSL -FREIKRRVVAVLRNDVVMYTDMSPLDLENHMNNYIGTDAFFCFSGDDSLLFDGSSSLEIDISKYDKSQGD -LALHFECALMRYFGTPDYFVHLWFNAHVLTKIYSRNTKLKCLVPYQRKSGDASTFLGNTLFLMGVISSQI -PLSRLVPGSLRYYTNVTTYSLLYNLEVKFFRFKYMYFCSKYLLRCQGQWFFSPDPIKLLCKLGRNDLVNY -NHVECYRVSVADHVKNFSDFALCVELSDAVRERHAVDFDFSWGISSMAALSDRLVFNSLYYIDDNALIDD -TRLDFSMRD ->AFI24675.1 hypothetical protein 1 [Santana virus] -MADHDEAATRSLLFISEMAKHLEISTEDVRSELGSKLLSRSTAITSQYEDHVVSNVLERITNFKELSKEI -YIDQRLSDAEKTKLMQLWSPPYKLKFSKNSKDIGAHMYYRSMNEIATYRCYDLICGKEKNAPVNRDVLVK -EVGAAVCKIVKYNRNSVHACTPNLTIDDTLRISNTQRSLESIIHDPTSPPHLVEKAKRYQSSGKYRCFRK -SQHCKISSKFLVLAHCAYDCTLRQIANMMESAGAVRGIGFIHFSQKILSNLVEGSDNGLNWKIECRTYID -SRRNFGELGNLAGHAVSLYNSFRNKSDRIMNNINHRDFVIKFWFDNDYQNSYVHDLDTYLGIIRKSVCEA -DNGQTFMIQRKEEIGGLLFFEIIRPTIDIPPCVIHRMLPFSEPEDIIIHYYRLQNDPQGYHYHDLVPERL -VCPKKFFEKLYYYLFCLPEGKFTVQNAVIMASTMASRTVINGTSVSQPYNLSINVIDKVSHAAYFIVYCR -RYDLMLTLKKLKNFEDIRRNPTMYNRLCVLLRRVRNYVVGAPFEDLKTESTFDDAVDNATCSEANEIATK -HSLNIIQWMMKLFRVNNRYKVDFFPITRVVSIEEDIQTFKAVEFGLPKFDEPVDDDSLRKIIKEKLHIDH -VDVDKCTVSDTPCKCDLVPYHNNYEKRCVLLCFCNKRNISLNELQLSLYKSKYFEALFSTTKTKYHESIF -GDKADIILFELIACVYNVNICLHTELKEIFHDVRSDETYHFMIKDNHCYELREKPSVRPFEFLPVPDKVN -LLQNNLREAYSRPKAEKYKLRSVVVDDHHPYVCRSALKLLEIDGSYGVVKHGNVCEISAAPGSWIQYCHI -NHSHSKLFYTYFIEGNDFLYDHEDLNCLCESTSGDVTSPEFFQCFTVEAEKSGRFDTLLSDAVIMSSTED -HVDVERFTNYQNAFFLNLKEWVRDNGNIVFKSFADISISDTVNTVLNHFENVYFVKPNFSAALSTEYYII -GKNFLPETADEIPERKFFSIPSLVMNKVLRTANLFLKGKYPPQKKFVLPAVNPSVTTFPDVPAPSAPVEI -AEDLAVLPNENDSFEDKVQKVINSLEFFDVDRADIEIVHEDTESFHHVHDVEISLHDSAMLGERNDYIIH -PCPALKIDMSVVNYSEAVARIHSVSYKINSFRLKVKFDLTGVSDETVSSSLLTHIKERYSRHKLLIRTNY -RECSVNISAFEKSTTEYIAYTRLVKSCNLSTYRHWYGQLKMNDFVVSMTIRRNVSMDTQNISYMQGCDFR -FKHEKSQDEYTHCYCGETDCFIPFDEKLPDRWYLVGDYTAKMFDDALVEKVARIDLSKLKDVQFVLVQGV -AGHGKTHEIVSKHTPSLRSCRGDLLVTPTIAGKKVIMERTANLHKLEIDSLDISSYRTVTSFLVKPTVHK -YNTVYFDEAIMVHVALVIAVAYYSGAKTVYMYGDVAQIPAHSRLGSFDFNYHAPQKLFNAQHIRNKSYRI -PADVAAALNPVYVDCHSQFGQSTGITTVSTVARSLNVVLINDVSEMKRHYREGVKYLAFTHTTANELNRL -SSEFSASTIAAFQGSESKEVAIVRTSVSEADQMYNNINLCVTALTRHTLKLTYYTCCHKGDFLKKTIEST -KALSDLAIKNFSTSINAGSVTNNEYVPMIDKPSVSRFFKSREKYSHSFTIVEHENVVSEKEFAYKLSKIN -NDIFVRKSIFKKFSMSELAKWIRKISPHIKQVYVKVYNEPFENNPIIIDIAEEYKVRNALTTEVSEHLEP -INEVELITPIPVYPCMQLKPCLETLREFMSSLYPDQMYVNTDFDAYFVHTNDIDYTLSDTTLSMLWDRPH -VRKYDCLTPWLSTPAPARRDVSFREILLGLMKRNMNPPQMLENTAPDDAAMHLMQSISSKAFIRGWKSVI -SEMLPIVPTTESIVRWLEKQDRSVLKSMMHDIPLWIATITRCSLSLKRNPKVRITPNAISIYDSVQTITC -HPKFLNAYFCPIIDEVMDRFRKVLLPWFLIYTKENTESFSVKCSRAYAKYGKLGLFAGDDSFLINGIKMK -EADISKCDKSELLLALKFLCMLLENFGVPEPSVALYYCMMYYRICSDPSNKITVSFTPQMESGSPATFFG -NTTYCMAVILSVVDFETFEYTPRFEKFSMMFNLEVKEFKYDNPYFCSKFIVIDDLRFKFLPDPVKILIKL -GRKDLKNFSHLKEFHTSLKDLVAEYKCVMDISVVSLAVQERYGFPFDCSNFISNMIAVINDETSFNALFT -ALPGAILDLKATRFSED ->APG77770.1 hypothetical protein [Hubei virga-like virus 4] -MANLTHDVVNSLVSSLSAVLMRPTETVEKELYATAFKNSEVQNCVVSSLVSRATNSISRSKQPVIRIYQK -LTSAQQLLLQDSYMNFNLDFERSYDTSPHAFARASRKCERRYLLHLLKISTSSVPINGYDVVVKDVGGKP -VMNLNEGAVHFHACFPLLSNADDYRHSMFHHHLRTLNPHTLKPEQQKVYYNFIAGNTTALCHKKSQNCTI -RAQSLMFLHSTYDMSPEDVAISMYRADALIAAGCFIFSPDVLINDSGYIDILDCYYKKFLKIVSGKKKIF -IRFWFNNDFQDAYTHLYSNYVSMLYTRRVNYNGNSYFFQPQEIKNSVYFFLVTKSIYSTVPMSEVCTIMT -IPSLQEKLVLYYYKWETLLVHFSEKKSLVPIRVVVHKKFFDNLYAYALTLPEGKFTVKNIMTAAMTFNTR -EVINGMTVNDSHRLSPDVVCYASHVVYFLVYVAQYELSRATGLLLEQESKIRRFRNKSLFMRFFSNFLNT -LKPTSSPIHVPINSVDTPCNETTQIKTIIEKIYDYINSTAQPERTYDIFVSDYSCKFITIEQECGYITSD -KIISTVNRGHFDHSLSELIDRKTLLSTITQAMDDETPQTITETSPFVTVDCQDKLEIVPNHSNGLCFYQS -LIDAGVFNGTIDSLKLLLLNSNEIDLFPPSVRDIVENDEPPHCYATEEVMKLAAHFFNITICIHINGACL -RYGTGELYHFSVENNHCQALVESYVVSPVIIYDIVSDMSPVTPEFPHFITFFEQYIRQQYIVLEKFTKTN -YKKKYSTARRTVDAFVDYKTRAFDERVSLQLCELFSLVLSGKTYSSSFMFSSRDGTSWYDQCLDSVKYLY -IIGESNINYETVHQLPVTWTGEVDIQQIFQSLININQEPTDLTIFDLTFMQPYELPLFPLSFEETLSKFI -GVANASVQFTKTGGDSVILVPFVFSPTFYESVKMLVSKFESVRMVRLLCSRFDGFEIAIICQNRSDRVIT -EVMDTYLDVVDSFCNRFIYCSKIFSKHILNAGTKFEVTVTHPKVFANYFTKMLDTQLQSTVNVNKPLKIQ -TPVGDCHFYDSNLLSSSAPTYLRRSKNVKTQNKISSHVSVTIQGESSGIARSPARKKPTSSSSSLSLSKY -SADYCSQWSDTPLGITDPPPFVFVPDNPMKIITDTPLNKENLNNDESKALQRMTPSEPTDNSSETSPIVD -FLCQAADEYLRYLEFTIQCDYSLVKTFVERVIRMAVFSKEAVMSFPSSFFLYSKSGTIAGEPHKFTHYYN -SDLDIIKYDAEAELVSPFLIDGLPPFVIVNEFCNYGYLPEELRQLKQHSLELTNVKIEMLQAGPGTGKTT -FIINNHSVCTSSQPSTVILSTCEGRDDFRRRVKQKFSITSEYLLKKYYRTAASYLLNHNKNVRTASLYID -EALMHHAGALAYLISLSGCSIVTLIGDKNQIPFVNRTPDFRCQYSAISEVISSSKNLNISFRCPLDIVYR -ISDTYPGGLFAANKITSSIYYKKISSLIDVPRVPTSQYIVFTQAEKTALAELNLKVATVHEFQGKEADHV -IIVRINPYIQDEIFNSKTYVLVAITRHRKSCVYYTKVTSDLISKTIGVDRVLKHELVPINTMLKHYKISV -GSTVVDYVIDPVCPNSYFSISNVSVFYKKIYFPSSSRSSVHDNTVHITRNTFCSCRLNHFIMTMDFIGSL -KNLRAYFKNNIHSIVPLLSYKYHVIDYSDFCSVSTEYLFIIFYKSLKTKGLFFSDSESFATPASVFENLN -INACATVRTHQIQSTVLHDLWQDITLPSLIEPVVELSAVDFLSTAQTILDERFGDTNMADQSYDEYMIMT -NDLEFGTGKYRFCKIRGMYSHPHFGTMQPVLKTVVYRNRNLNYREILLALEKRNFSAPKLAGVVDYEELS -THLVENMFSRCIDEDNFRVSLLTPIEYCQTSVAEWLEGQKPEVVNMIVPDFALHLSSIDTYNFSIKRKPK -PSLECDANSTYAALQTILYHPKSVNAVFCAIFKQIKSKLLFSLKPNCFIYADMSPSQLADTLTRNLSGQL -LPFSIFSGDDSIIFDGQHFTEIDISKYDKSQNLLALLIDCKLLRKFQVPEFFINLWFNCHFLTYIYDKNI -KLRAKIPFQRKSGDASTFLFNTTFAMCVIANEIPLDNLHSFNLPDFRKKNISIFPLLYNLEVKIFSFKYA -YFCSKFLLYSEQRKKFYFIPDPLKLLVKLGRSDLINFQHVECYRVSMKDSVSVGYHDLHIATLLSTAVND -RYSMTSFSPEFMKSFYLISDSKAMFSSLYYINENHPVNNRIQYFSPDYFYRD ->AQM55317.1 hypothetical protein 1 [Ngewotan negevirus] -MSSLQDKFCRASPACANSNAPSPGASASASSPGAPHSTEVQGKSFGIDDFSRNAILDQLRQNTSLDYEEI -TKNVNNNVLKQLLSSPQTQEIIVGAMLNDTVEALNAKCSQQKISVKQRLSKEDQDRLRKTFCMFNLDFSR -ATDCAGHPFWRAHRTLSERLMHKKAGITNGSRPAKGYDVVYKDVGGNPSLHLMRGELYAHTCAPLLSNND -DKRHSVYKEKLRRYPTRKYSPCYNLHVEQNSRVICTRKSQNCAIKAEVLIFLHSAYDMSLTDIADAMHRA -DARTAYGCLHFNPRVLYEKRGKLLNGMNFEKRVVNGRMKIRFWYTNDNQEGYEHDFLRYVALLRTFRIAS -SSKEPRSYNVQFDTTDDDTSFFVIRQSISGDIPQSHPFRVFTNEALADKMIVYSWHWDTINPGNISSSVI -SRMRPQRIIVPRKLFYKMCAFADTLPDSKFTVKNILIAGTSFNTREVISGQSIGVVDPIEPAELKMLAVC -IYVLTYISNYECTKSLSTMISDEDRVREESNSGFFSRLFRVRIRLPWNHRVQKFQGKMGRIMDEVRSFEP -QLTDDEDLTTSNVRTIINGVKEFARVNRRFDITLDKMCNFLTVEEELDCLYANAVPCNRGFLSESPLVDL -VDPEMVREAVLSSLEDVKQTESIYDESSFTTNACTSDLLVVENKSNGDCVFQSMIDASGLDLEPRQLRHK -LLMSSFFHNIRDLASQRRLLECLDGSPEGYGDLVTFILYSLEFQQGVCIHANGVSLRFGSAPFKHFQIKD -NHCSYLKPTHNFDAIPAYSFSGEPPQITYTTEIRDRQFDQFFSLRNSPQYTRSQFNKRIIAAKHNYCPLS -ELGDGNYVCRSGLKTAEMFVRYFVGEYESAMSIGGPGAEVQFLNNRGIRTFGVTKTDLIDFSPAIRNHLF -TQLVGDTYDGDITKECNIISFRDSVRGLYPGGLCFFGGDVATAEGDSALNFSMLAELVAWEIVLCCTVLK -NGGDAYFKVLDLLTDRIPVCIEYLHKVFEKVEIVKLETSRAASTELHVICRGFKLTNGVPVECYQRLILD -EEPVADPGVVNHLMHAQRMFDMHIVSGLREYRKCFNTAGETNEHVNRFPEEKIEGYRNVLCLPVRISAGG -VVEGFKRTFRNIYTIAAPKRDYIAELRDFNYEHHVEKPPTQPVAPEPVVCRAAPALPVSLVEPAEVMEAE -PVSGMLAHLRILLSKPKVDNFMISTETDGTFTEIGQTGETGITALPLPTFRTAPFSVVRREHGATLTPSA -PQSPPVIEVEVERDACRAAMNEFLELQRLTLSCEVSNHARMLHRISKLPLAKTFTEEEAGKYSFYTFTDN -FEPRFRFGNPDNRKYNKFFFNGRFHRMVDIGRILKPGDNFLCSEYCVVAIEEEMITACESVDVSKFVVPK -GTGIVQAAPGCGKTYFVVKNCVPAHMPCASNVLLSTIEGKEDFVRRIEKEYEVELSQEHRIHIRTLASFI -VNNKRNVRSDTLFIDEALMSHPGQIFYAIALSGATDVKLLGDVLQIPYANRTPAYTTKYHKLADFVPIVD -TLYISYRCSTDIAARLDPHYLELNKNGCGMKSVRYRTNTCKVVKISNDSFPKDKNIQYLTFTQTEKKKLE -LLQLRVSTVHEFQGKEAKIIYVVRLNPYPQEELFLRFNYALVALTRHTEQLVYYTRVTSDALSKLIKVDG -VTCHVAVTEDECRRVHHISAGVAETEVFRVAHESPVSTYTTMSVVRTPIAAVTEPRVFFVPKYGKKADCR -HKPLVMRSNVAIDSSTGRTNIYVVSSDTQMQKHNLSTVTRNLRECAPLLIERGIGRVFVSGSVETDIDRA -ALGYTLYKQLRAKSYLCSTSDVYDTPSEVFELLTKNGLNPLPNCSYASVKFQLSDFVPSFSVQYIFSPSS -AQNFIDNFFGACAFVDQSFDGWDVRNSDLDIEVGDIKFAPVGCVQLPKKFDTMRPVLKTPMPYVRDYNMR -ELMLALEKRNRNVPSMNGTVDVEISSSSMLESLLDECFDPALLRFHLTEPITISANSVGEWLSGQPLSVR -PMIVPDFALHCAALNQYNFSIKRKPKPNLTVDATSSYLALQTIVYHEKSINAMFCSVFREIKKRVTMSLL -PHVKIFCDMSSEEFEETLQRDVPPTSLSPLLDKLEIDISKYDKSQRELALEFECKLMRKFGVDDDIVELW -FNAHVLSQVYDKTTKLSALISYQRKSGDASTFIGNTLFLMAVVCDLIPVSSLDLALFSGDDSLLYGHSLG -QFKNAQHFGLKFNLEIKFFEFEYSYFCSKFLLPVDGRWTFTPDPLKFMTKLGRHDLVNALHVEEYRISFV -DIVKNYREYSVCVAIALALRERYGVFYDFTAFLASLPGMTEPENFSRMFYAEVGDVIDDTIVFSRDF ->YP_009182191.1 putative RNA-dependent RNA polymerase [Daeseongdong virus 1] -MSASTASQPSAAPMVPGDNLDKFSRGAIMDQLRQNTSLNHGALADTVKNSILKQVINAPETQEIFVSATI -NDVVDSLTAKSLQQKVYVYQRLEKTEQDRLRRTFHMFNLDFTRATDCSGHPFWRAHRLLSERKMIRDSGI -RPGSKPASGYDVVYKDVGGNPTTHLNREELHVHTCAPLLNNSDDKRMSAYKERLRREPGKKPKSRAFALH -QEQNSRVICSRKSQNCKIKAEVLIFLHSTYDMSLSDIANSMHRADARTARGCFHFNPRVLYEKEGELMLG -MYFKKFVVNGRVRIRFWYKDDNQEGYEHDFLNYVSLVRTFRIASNSTKHPRYYNVQFETTDDDVAFFTIR -QSISGDIPKSCPFRVFTNNALSDKVIVYSWHWDTLNPGNISTSSLSRMRPVRLIVPRKLYNKMCSFADTL -PDSKFTVKNILIAGTSFNTREVISGQTIGAVDPIDPSELKMLAVAVFVLTFISNYECTKAMSTMIDDEKR -ARDESDTSFLSRLTRIRSRLPWNFRPDKFKNEMQEFTAQVTSFEQKITTEEDPQSSNLKKTVMAVRSWAT -VERRFDILIQKMCNFLTVEEELECLTISSVKCDRGFTSDHPITEYLDPAAVRDAVIDCLENAKVPETLYD -PTSFVDVNCQSDLELVSNTSNGDCVFQSMIDSGVAKGMTPKQLRLHLLNSTFFTNIRDAVSQRKLLECVD -GSRNGYGDLDTFILFSLEFQQGVCIHVNGLHRTFGSAPFKHFIISNDHCSYLKPSHNFDQIPSYKIDPNS -PDIAYDTSVRDSLFDQFFRLRSTYSRSQYNKRLAAAKRNYCPLSELGDGNYVCRSGMKTAEMFERFFIED -YFSAVSVGGPGGEVQYLTEKGVRTFGITKTDLIDFSPTVRSHLFTQLMGDTYDGDITKFENIISFRDEVR -TLFPSGVDFFGGDVATARNDGTIDLDVMANLIKWEVLCMSVTLRKGGDAYFKVFDLLSHNMPNVIRFLYD -NFVDVEIVKLETSRSASTELHVICRGFKLQDALPLEAKNLLECRFPVYRTFRNNMAHAQKYFDSFAIKGL -REYRRAFDTAFQRNEHVNRFSEEKIEGYRNVLCLPARISAGGVVDTVRRTFRRIYSGVNSKQRNFDIELQ -NFNYVEPDCESVDSFVTAEDSDEDLPAPSALDASVEEAVELEVGEVVSVSSYIESVFKRNTPSPAVVDPF -TVSTESDGTFTELGQTKDLGISDPEPLNVLPATPFTLKSGTVSTVAPVAVPIPAPVSVPAAVKPTCQDAM -RECLALTEYTLECQKSNHNRILKRLKDLPLASTFTREENGLYSYYQYCGDGRVNFRFGTPVGDRTFNKFF -FDGGFHPMKSMRTILEPGDHYLVSEYCEIALEQELIAAYRSIDIDSFALPKGTEIVQAGPGCGKTTFVVN -NTIPPHMPGASNVLLATIEGKHDFIDRMQKKYQRSYSKQELVHIRTLASFLVNRNKNVRSKELIIDEALM -SHPGQIFFAIALSGAENVKLLGDMLQIPYVNRTPAFHAKFDKLSAFVPVGETLYISYRCPSDVVARLDTH -YLACNKPNGVNVGLRAANYAANTCKVVRLTNDNFPKDPNVQHLVFTQAEKMKLQSMKLKVSTVHEFQGKE -AKDIRVVRLNPYPQDELYHRFNYALVALTRHTTSLVYYTRVTSDALSKLIKVDGVTCHIAVSEDENRRCL -HVKAGVAETEVFRVAVDSPVGMLCTIPVLATHISRIYDTKLFFVPKFGKKDDCKTKPLVMNRDVSMSFVN -NNEVFYVVSSESHTQKHNLKTITKNLKILSGILADMGIRKIYVSGDVEKDIERSAIGYALHKQLRARSVL -CSTFGTYDVPSEVFDLLTKNGISDLPNSTFDSYGFAEPEIVRLFEIKHEFCVETAQSFINSFFGECAYVD -QSHDAWDVRNGDLDIQVGDVSFSPIGCVQLNKMYDCMRPVIKTPMPFMRDYNMRELILALEKRNRNVPFM -NGVVDYEESSTQMLDSLINECFDPVLLEFHRSQPITISLNSVGEWLSGQPTPVRDMIVPDFALHCTAVNS -YTFSIKRKPKPNLTVDATNSYLALQTIVYHEKPINAMFCSIFREIKKRVTLSLLPHVKIFCDMSAEEFED -ALNRDVPSTKLSPLLEKLEIDISKYDKSQRELALEYECKLMRYFGVSEDMIELWFNAHVLTEIYDRTTKL -KALIPYQRKSGDASTFIGNTLFLMGVICDLIPVSTLDLAIFSGDDSLLYGHNLNQYKNSQHFGLKFNLEI -KFFEFEHSYFCSKFLLIVNDRWTFTPCPVKFMTKLGRHDMVNPGHVEEYRISFIDTVRNYSDYHVCLSVA -EALKERYGIFTDHTNFLASLRHLTTKENFSKLFYSEVGDRIDESVVFNREF ->API61901.1 replicase large subunit, partial [Biggievirus Mos11] -EKTALRDNNFDPSSRRINLSTKIVFCSENNLIFWLQPPKPKNEMQQLDSDDVNTDTLVFASELSKNLDVS -VEQIRLELGSKLLSRSAVFSSAFETHITESISQTIKFNNTLNKTVRLDQRLTDAEKSKLIQLFTPPYKLH -FSKNPHDIGSHLYYRALNEIGTFRCFDLLGNEPIPPGYDVLIKEVGSNINKLVKYERSDSHGCTPVLYVD -DVIRATNTTRSLQAYLVNGNKQQKMLAKRFLYDPIYRCCQKSEHCRIRAKYVVFAHSAYDISPRGIADVM -DASCAYRGVGFIHYSPRILSNLVSGSDNGLNWKVEIRKNGTFELGKFKQYIMFWFDNDYQNAYVHELDTY -LGIIRNTKCVSTKGNEYLIQRTEEIGGLLFFTVIKPISPIGSFLKVPNSSIVRMIPFSDPDHVIIHYYTL -QDDPSKYNYHNLIPKRLVVPAVYFAKLYQFLFMLPDGKFTTQNAMSFASTMASRTVVNGAYVSQPYKLDT -DTVDNVAYAVYFIVYCRRFNLLKVLSTLKEYEDLKRNPTIFNRFVALIKSVGSYVFSSRFKEYVKEEKFE -DAITNAQTELQNEYHLTHSKNILQWVMKLFRIKNKYNVQFFPITRVVSLEEDIKFVTELSDSIPHVIPED -NQELVYETISEYLKMSRVDTHQCTKEEFHECDENLIVVPNSYKGSCLLKCFCNTHNISLHDLKLKLLKDP -LYDSLFPTLKNLMKSSIEGKYADLRLFELIACVFSVNICVHCESGCSLYSTNSPMTYHFSVKDGHCSELR -KKIDIAPFEFCPSLDPQRMGYTEIEQAYILPKEEKYRSHKVITACISPYVARSALKLHEIDGNYGVLKSG -KICELSAAPGSWLQYCNLHYSQSTLYYSHYSGEGGCDFKFLSDNITCLNESTDGDVTQTESFHEISTAIM -FHGHMDVMLSDAYIPQLTADALDAPVFEEYQRMFFTSLHYWLAEGGNAVFKSFSDVPITEEVNAILGRFS -EVICCKPNFSSPISTEYYIVCKDYRRERENLHPINYHNIPNVAHNKVVMSCRALLAKKYPLQKEYNIPHP -VSIVPIERTDDPKPSAPEIEGLSDEGIPELPVVCDTFESQLIKVINRLEFTDMITPASCTRSPQLSELSP -DVKIVIHDTCLIGQDGENTSYLIHDQHFVYCELSTIPLVDLLARLSFVAVKVATFKLRVHVDVMNIRDKN -TIEKIVTHVTDKFSQHGLYIQAPISENNIPLNMFERSIIEYTSYLKAVAAANANAYAILYLSYKNNHFLL -THTLKANLSVDPQSISIMKGSNFLFVHPRKRDSYTHAYDGEIRQFVPFSECANNPDRFYIVGEYTYCMFD -DQIIELLMGIDVRELTKVKFVLVQGVAGHGKTTEIVSKHIPSTVSSRGDLVIAPTKAGISVLIDRTLAHY -KIDLNNLDKTCYRTKHSYLLNRHVKSDTVYFDEAIMVHVASILAIAYYSDARTVYMYGDTAQIPFHSALG -DFHLSHHTPQSLFKATEIRNKSYRIPADVACALDAEYRECHKKSGRDLGVITASPVIRSMDVVRINDISH -MKTVFNESFKYLTFTHTACNDLRKLDSRFDVSTIAAYQGSENPNIAILRTSLSEADQIYNNTHLCVTAIT -RHTKKLVYYTMCEKDDYLSKLIKCVRNETDLTIKSFSTSCMVGSIDYVYSGSSEMTYPVYETSNVSRFFV -SKNRYTKDFTLINTQKVHTEKQFALKLSGVNNDIFVTKDIFKKLSMSDMVKWTRKLAPNVKKVYVKVYNE -PFSNNSDVISLVEDYKIKNAIPTVVSERLEVVRAPEVPKLVTRESIFDTIPIPEMLQAFMSHLYPSCVYI -PRQFDSHFVHNNDMELTLSNVSANFGISNYRIPVYDTLRPVLSTPAPYLRDVTVLELLLGAAKRNLNPPE -MIENVCSEDVADHLMQNFKKSLVPHSGKLLAEMEPIVPTTDSIVSWLERQDRGVLKQIIDEIPLQLADLS -RCSFSLKRNPKVRITPNAVDIYDSVQTITCHPKFVNAYFCSIVESAQDRLMKLMLPYFKMFTKVTTEEFG -DDCFETWSRYGKLYLFSGDDSLLMNGHKFKEMDMSKFDKSQLIFALKYLCKLFVYLGVPPYTAQLYYEMM -YYRTCTNPSNKVTLKLTPQMESGSAATYFGNTCFCMAVVLSTLDISDFTYTPRFEKFSLMFNLEVKEFNY -VNPYFCSKFMVVEENRITFYPDPVKILIKLGRTDLKNIKHLREFHTSVKDLLSHYKDHMDVMVISAAIRE -RYGFPYDCTGHILNLISVVRNTEAFESLFYSLPTDVLDSDSVRYSDDY diff --git a/seq/clusters_seq/cluster_640 b/seq/clusters_seq/cluster_640 deleted file mode 100644 index 980dff4..0000000 --- a/seq/clusters_seq/cluster_640 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_003858589.1 ORF7a protein [Bat coronavirus BM48-31/BGR/2008] -MKFLLLVAIVSIASAELYHYQECARGTTVLLKEPCQPNTYEGNSPYHPLADNKFAITCTNTKFSFVCQDE -TRHVFQLRARSISPRLFASPKHHSDDFTPVILIIVTLLFVIYCCMKRQ - ->YP_009825057.1 ORF7a protein [SARS coronavirus Tor2] -MKIILFLTLIVFTSCELYHYQECVRGTTVLLKEPCPSGTYEGNSPFHPLADNKFALTCTSTHFAFACADG -TRHTYQLRARSVSPKLFIRQEEVQQELYSPLFLIVAALVFLILCFTIKRKTE - ->YP_009724395.1 ORF7a protein [Severe acute respiratory syndrome coronavirus 2] -MKIILFLALITLATCELYHYQECVRGTTVLLKEPCSSGTYEGNSPFHPLADNKFALTCFSTQFAFACPDG -VKHVYQLRARSVSPKLFIRQEEVQELYSPIFLIVAAIVFITLCFTLKRKTE - ->sp|Q0Q470.1|NS7A_BC279 RecName: Full=Protein 7a; AltName: Full=Accessory protein 7a; Flags: Precursor -MKIILFLTLIALASSELYHYQECVRGTTVLLKEPCPSGTYEGNSPFHPLADNKFALTCISTHFAFACADG -TRHTYQLRARSVSPKLFTRQEEVHQELYSPLFLIVAALVFIILCFTIKRKTE - ->sp|Q3LZX7.1|NS7A_BCHK3 RecName: Full=Protein 7a; AltName: Full=Accessory protein 7a; Flags: Precursor -MKIILFLTLIALATCELYHYQECVRGTTVLLKEPCPSGTYEGNSPFHPLADNKFALTCSSTHFAFACADG -TRHTYQLRARSVSPKLFIRQEEVYQELYSPLFLIVAALVFIILCFTIKRKTE - ->sp|Q3I5J0.1|NS7A_BCRP3 RecName: Full=Protein 7a; AltName: Full=Accessory protein 7a; Flags: Precursor -MKIILFLTLIALASCELYHYQECVRGTTVLLKEPCPSGTYEGNSPFHPLADNKFALTCTSTHFAFACADG -TRHTYQLRARSVSPKLFIRQEEVHQELYSPLFLIVAALVFITLCFTIKRKTE - diff --git a/seq/clusters_seq/cluster_641 b/seq/clusters_seq/cluster_641 deleted file mode 100644 index f073895..0000000 --- a/seq/clusters_seq/cluster_641 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_007188584.1 envelope protein [Betacoronavirus England 1] -MLPFVQERIGLFIVNFFIFTVVCAITLLVCMAFLTATRLCVQCMTGFNTLLVQPALYLYNTGRSVYVKFQ -DSKPPLPPDEWV - ->YP_009361862.1 small envelope protein [Bat coronavirus] -MLPFVQQQLGSFIVNFFIFTVACAVILLVCMAFLTATRLCVQCITGVNTLLVQPAVYMYNTGRSVYVKFQ -ESKPPLPPDEWV - ->YP_001039967.1 small membrane protein [Pipistrellus bat coronavirus HKU5] -MLPFVQEQIGAFIVNFFILSVVCAVTLVVCLAILTAIRLCVQCVSGCHTLVFLPAVHIYNTGRAAYVKFQ -ESHPPYPPEDWV - ->YP_001039958.1 small membrane protein [Tylonycteris bat coronavirus HKU4] -MLPFVHEQIGTIIVNFFILTVVCAITLVVCLAILTAIRLCVQCASGVNTLLFVPAFYIYNTGRNAYFKFQ -ENRPPFPPEDWV - ->YP_009513016.1 E [Betacoronavirus Erinaceus/VMC/DEU/2012] -MLPFVHQQLGSFIVNFFIFTVACAVILLVSMAILTACRLCVQCLTGVNTLLVQPAIYVYNMGHSVYVKYQ -NSKPELPPADWF - ->sp|Q0Q4E8.1|VEMP_BC133 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MLPFVHEQIGTIIVNFFILTVVCAITLLVCLAVLTAIRLCVQCASGVNTLLFVPAFYIYNTGRNAYFKFQ -ENRPPFPPEDWV - diff --git a/seq/clusters_seq/cluster_642 b/seq/clusters_seq/cluster_642 deleted file mode 100644 index f52e063..0000000 --- a/seq/clusters_seq/cluster_642 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_003858585.1 ORF3 protein [Bat coronavirus BM48-31/BGR/2008] -MDLFLNIFTLGSITRQPGKVENVSPASSFHSTASIPLQATLPFGWLVVGVAFLAVFQSAAKLIPFNSLWQ -RCLYQSFQLLCNVLLIALTVYSHLLLVAAGLEAPFLYLLALIYFLQCVVFGRLLVRCWLCWKCKSKNPLI -YDSNYFVCWHTHTHDYCIPYNSITNTIVLTAGDGVTIPIRTQDYQIGGYFEKWESGVKDYLTLIGPFTEV -YYQLESTQISTDTGINNATFFLFSKNDEREQESVQVHTIDGSSGVVNPIYDEPTPTTSVPL - ->YP_009825052.1 ORF3a protein [SARS coronavirus Tor2] -MDLFMRFFTLRSITAQPVKIDNASPASTVHATATIPLQASLPFGWLVIGVAFLAVFQSATKIIALNKRWQ -LALYKGFQFICNLLLLFVTIYSHLLLVAAGMEAQFLYLYALIYFLQCINACRIIMRCWLCWKCKSKNPLL -YDANYFVCWHTHNYDYCIPYNSVTDTIVVTEGDGISTPKLKEDYQIGGYSEDRHSGVKDYVVVHGYFTEV -YYQLESTQITTDTGIENATFFIFNKLVKDPPNVQIHTIDGSSGVANPAMDPIYDEPTTTTSVPL - ->YP_009724391.1 ORF3a protein [Severe acute respiratory syndrome coronavirus 2] -MDLFMRIFTIGTVTLKQGEIKDATPSDFVRATATIPIQASLPFGWLIVGVALLAVFQSASKIITLKKRWQ -LALSKGVHFVCNLLLLFVTVYSHLLLVAAGLEAPFLYLYALVYFLQSINFVRIIMRLWLCWKCRSKNPLL -YDANYFLCWHTNCYDYCIPYNSVTSSIVITSGDGTTSPISEHDYQIGGYTEKWESGVKDCVVLHSYFTSD -YYQLYSTQLSTDTGVEHVTFFIYNKIVDEPEEHVQIHTIDGSSGVVNPVMEPIYDEPTTTTSVPL - ->sp|Q3LZX0.1|AP3A_BCHK3 RecName: Full=Protein 3; AltName: Full=Accessory protein 3 -MDLFMSIFTLGAITRNPAKIENASPASTVHATATIPLQATFPFGWLIVGVALLAVFQSASKVIALHRRWQ -LALYKGVQLVCNMLLLFVTIYSHLLLLAACMEAQFLYIYALIYILQIVSFCRFIMRCWLCWKCRSKNPLL -YDANYFVCWHTNNYDYCIPYNSVTDTVVITSGDGTNQPKLKEDYQIGGYSEDWHSGVKDYVVIYGYFTEV -YYQLESTQLSTDTGAENATFFIYSKLVKDVDHVQIHTIDGSSGVVNPAMDPIYDEPTTTTSVPL - ->sp|Q0Q474.1|AP3A_BC279 RecName: Full=Protein 3; AltName: Full=Accessory protein 3 -MDLFMSIFTLGAITRQPAKVENASPASTVHATATIPLQASLPFGWLVVGVALLAVFQSASKVIALHKRWQ -LALYKGIQFVCNLLLLFVTIYSHLLLLAAGMEAQFLYIYALIYILQIVSFCRFIMRCWLCWKCRSKNPLL -YDANYFVCWHTNCFDYCIPYNSITDTIVLTSGDGTTQPKLKEDYQIGGYSEDWHSGVKDYVVIHGYFTEI -YYQLESTQLSTDTGAENATFFIYSKLVKDVDHVQIHTIDGSSGVVNPAMDPIYDEPTTTTSVPL - ->sp|Q3I5J4.1|AP3A_BCRP3 RecName: Full=Protein 3; AltName: Full=Accessory protein 3 -MDLFMSIFTLGAITRQPAKIENASPASTVHATATIPLQASLPFGWLVVGVALLAVFQSASKVIALHKRWQ -LALHKGIQLVCNLLLLFVTIYSHLLLLAAGMEAQFLYIYALIYILQIVSFCRFIMRCWLCWKCRSKNPLL -YDANYFVCWHTNCFDYCIPYNSITDTIVLTSGDGTTQPKLKEDYQIGGYSEDWHSGVKDYVVIHGYFTEV -YYQLESTQLSTDTGAENATFFIYSKLVKDVDHVQIHTIDGSSGVVNPAMDPIYDEPTTTTSVPL - diff --git a/seq/clusters_seq/cluster_643 b/seq/clusters_seq/cluster_643 deleted file mode 100644 index fe543b7..0000000 --- a/seq/clusters_seq/cluster_643 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_164826.1 2B [anativirus A1] -GLGDWLKNLVSSGGEAFSDGLTRGITSALDDYNAKSSEVTPRTAKWVKTILKWLTRIISSMVIAVRSGGD -PGIMAALGVSLGIDILTTDPFDYMKQMILANFGWAHKQ - ->YP_005352650.1 2B [Canine picornavirus] -GVKEWCQDIAAGAGLSFGTEAMNGIVKEAEKMMVNAALGQINWKTEMAKKIIAIIIKTICAIVLIAKSDD -KTSTAIAVGTILGVDLLLEDPFEWLKIKVYKALGIPVAQNQ - ->YP_004934025.1 unnamed protein product [Feline picornavirus] -GPGDWLAGIFENMGGAFGEGLIQPIKDKLDDIRANLTPNTIRSDLTKTTITTLVKIICAMVLISKAYDKV -ETAALVGTMLGVDFLSKDPFEWLKERIAGPQEQ - ->YP_004782563.1 2B [Bat picornavirus 2] -GPDADEQGLRDIMNNVAKELGHSFGASTMDGVADVIEDAVKKYTFDKANAQYTYVKLVLKWLVKTITAVT -MIARSDPDRRIEVASGLGVIFGIDLLTTEPFEWLKSKVLDCLGYKNASEQ - ->YP_004782549.1 2B [Bat picornavirus 1] -GPDAEEQGLRDMMNDVARELGHSFGDSTMTGVANVIEDAVKQYTFNKANAQMSYVKQIIKWLVKVIASVT -MIARAAPDRRIETAAGLGVIFGIDLLTTDPFEWLQDKIMNCISLRSARAQ - ->YP_004782536.1 2B [Bat picornavirus 3] -GISDWFSDFASQLGSAFGEGAAEQVADKVQGMISTSGIAQLPNAACKDIMVLLTKILCACVIISKSEDVL -ASAVSVGVMVGVDFLTTSPFTFLRQKVAEMCGLVYAEEQ - diff --git a/seq/clusters_seq/cluster_644 b/seq/clusters_seq/cluster_644 deleted file mode 100644 index f46baae..0000000 --- a/seq/clusters_seq/cluster_644 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009118280.1 VP4 [rabbit kobuvirus] -GMGNVVTNMHLNYYGTNYANASSTATQVMDPDKFTKPVVDVLNGPALK - ->YP_005352645.1 VP4 [Canine picornavirus] -GAAVSKGETGNKHQVAHAGGNITQINYYGSDYAQAHTAAQNNMDPGKFTKPLTDLALATRGPTLK - ->YP_004934020.1 unnamed protein product [Feline picornavirus] -GLAMSTNSTGNKPQVAQAGGNVYQINYYGSDYAVAKGEATTQMDPEKFTRPVADILASKGTALK - ->YP_004782557.1 VP4 [Bat picornavirus 2] -GAAASRTSTESHKNQSATAGGNITQINYYGSDYASAHGAAQTRLDPDSLARPLANLADMTGPALK - ->YP_004782543.1 VP4 [Bat picornavirus 1] -GAAASRTSTESHKNQSANAGGNITQINYYGSDYASAHGLASTQMDPEKFTRPLADMAAAAGPALK - ->YP_004782531.1 VP4 [Bat picornavirus 3] -GIAASKSDVGDRHELSSGGGNITMINYYGAQYSQAHANPSVQMDPEKFTKPLTDLALAGTGPALK - diff --git a/seq/clusters_seq/cluster_645 b/seq/clusters_seq/cluster_645 deleted file mode 100644 index 105faad..0000000 --- a/seq/clusters_seq/cluster_645 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_010084024.1 maturation, partial [Leviviridae sp.] -ETDMTNLTFAFTNEWRKVAYFIQHDVGTPSEPPPRFKPFKTFWERDGVAGSAPLVHSELITTGVTYSADP -VVLKQAQELAPKGHTVKVVCGGCTEWIACANGWYRAIIHLGSGWCTLSFCSLNYIRFMFEDSITYYFNTY -GYRWIKEGYGGSQDVATSNNVLDDSPAYWFSKYYKGIPSGRFGFASDPSFCEQDLPIPPEPEFTFKDSEL -ETYYGVKDLGICMNSVHARAFASAYTDAASRLPEASCNMIANILECVTFIRNFFKGRGLSIPKTAGDAWL -TYRYVLKTSELDLSELANVSARLGALMLNGQTMTTYGSYRYGDVEYRCGIKLAVQDILPHGFAQTLEKQF -GLKLSLYNAWDMIPYSFVVDWFLDIGGMLETFEDHRKSLELKPLEVWYSMTTRYFVDGGYQTTYVRLQGS -NAAPSALPFYTRTRTSSKTLLMRITDTFALFLS - ->YP_009208145.1 A-protein [Escherichia virus FI] -MPTLPIGLRFGSKGEILNDFSALWFPKRVSFDSQLGRYELSGYLNGQFSDYGRNPALQVSKTPHRATVPV -NHLGYRPVTTVEYVPNGTFVRLDGTVRISGELVNGTVRLDNYIVNLAAQGGFDYQSVIGPRFSSQFSAFS -TKYGTLLGEGRETLSYLLLLFRRMREGFLAVKRGDLKRLRNVIRTFEPRSQAGKRQRSSFNKSYCDKLAS -GEWKNSSASNLWLEFRYGLMPLFYDIQSVMEDFMRVHKRIAKIQRFSAGHGKLEKVSDIFYPSTYFQLEV -TAVLQRRHRWGVIYQDTDTFATFDNGRLIPVRDWQTAALAFLNPAETAWELTPLSFVADWFVNVGDMLEQ -IGQLYRYVDVVDGFDRKDVKLKSVSVRVIAPSADSATFELRRAELLHGFYSRVHTVAFPQISPQIDAEVR -SVKHVIDSLALLTQRFKR - ->NP_085471.2 maturation protein [Acinetobacter phage AP205] -MNMYKWVPESIRDSGEGQPSYSNNGDYAPSGPWVAAGIHTMPQSLRDSMRNSIMVTAQARRDVIGPEWGP -DGRFTGYASVIGTPDPKPADIVNKFTVERRPVSNGNFQQRVKAGDIVVAPYTSDGKITVKLVAGQKDISS -TPDYDYRIDSSLASSAGFVVAGERWYYTKRHFIIPRYFQNWRMRRRKYVTGWVMPTFYSPKEIFNRLKDS -LVPDTGLVTQVWADNNTKRMDFLTAMAEIPQTLSSFLDALGYLGSLIKDFKRRRFFLNKAHQRIRNKLGV -SFAERRSQIVSKYDRKIASARKPAIIVKLRQRKEKALKALDKMRVREEKKMIREFATQAASLWLSFRYEI -MPLYYQSQDVLDVIANSTSEFMTSRDFVAKAINIGIPLEWNLDQENLVSQPRHNVMVKSKLSPENNIGKT -LSVNPFTTAWELLTLSFVVDWFVNFGDVIAGFTGGYSDDSGATASWRFDDKKVFHLKNIPSAMVIVDINF -YTRQVIDPRLCGGLAFSPKLNLFRYLDAMSLSWNRSRLKISRAT - ->NP_695025.1 unnamed protein product [Enterobacteria phage SP] -MPTLPRGLRFGSNGEVLNDFEALWFPERHTVDLSNGTCKLTGYITNLPGYSDIFPNKGVTAARTPYRSTV -PVNHLGYRPVTTVEYIPDGTYVRLDGHVKFEGDLVNGSVDLTNFVISLAAQGGFDYQSVIGPRFSARFSA -FSTKYGVLLGEGRETLKYLLLVVRRMREGYRAVRRGDLKRLRNVISTFEPSTIKGKRARAEFSQTYRDKL -TGNKVEVRPSEGKWNSSSASDLWLEFRYGLMPLFYDIQSVMEDFMRVHKKIAKIQRFSAGHGKLETVSSR -FYPDVHFSLEVTAVLQRRHRWGVIYQDTGSFATFNNGRLVPVKDWKTAAFALLNPAEVAWEVTPYSFVVD -WFVNVGDMLEQMGQLYRHVDVVDGFDRKDIKLKSVSVRVLTNDVAHVASFQLRQAKLLHSYYSRVHTVAF -PQISPQLDTEIRSVKHVIDSIALLTQRVKR - ->NP_046749.1 maturation protein [Escherichia virus Qbeta] -MPRLPRALRFGPNMEVLSDFQELWYPESIIDSDVKYPLYTFRGSIGGSFFDSYGTNNIVREIRRTPHCAT -VPIASSGLRPCTSVWYDPTSLLFRIPEMRAEWDNGMGDAGDIVYKDFLFSTPAPKEFDFSNSLAPRYSNA -FSAFNAKYGVIIGEGHETLKYFALLLRRLHKAVRAVRHGDLRGLRKILDSYNKGRWKPATAGNLWLEFRY -GLTPLFHDIKSVMDDWNRINDKIQKLRRFSVGHGEDFKLSIDGLYPGLTHFRLSGEITVQRRHRWGITYA -NREGYATFDNGSIRPVSDWKELANAFINPGEVAWELTPYSFIVDWFINVGDIIEQQKQWYQNIDIVDGYQ -RRDIRMRSVSLKGVRNGIPVRVTGSVELVDSFYNRSHTTRIPQATLAIDTSFSSIKHVMDSISLITQRIK -R - ->sp|Q8LTE2.1|MATA2_BPQBE RecName: Full=Maturation protein A2; Short=MP; AltName: Full=A2 protein -MPKLPRGLRFGADNEILNDFQELWFPDLFIESSDTHPWYTLKGRVLNAHLDDRLPNVGGRQVRRTPHRVT -VPIASSGLRPVTTVQYDPAALSFLLNARVDWDFGNGDSANLVINDFLFRTFAPKEFDFSNSLVPRYTQAF -SAFNAKYGTMIGEGLETIKYLGLLLRRLREGYRAVKRGDLRALRRVIQSYHNGKWKPATAGNLWLEFRYG -LMPLFYDIRDVMLDWQNRHDKIQRLLRFSVGHGEDYVVEFDNLYPAVAYFKLKGEITLERRHRHGISYAN -REGYAVFDNGSLRPVSDWKELATAFINPHEVAWELTPYSFVVDWFLNVGDILAQQGQLYHNIDIVDGFDR -RDIRLKSFTIKGERNGRPVNVSASLSAVDLFYSRLHTSNLPFATLDLDTTFSSFKHVLDSIFLLTQRVKR - diff --git a/seq/clusters_seq/cluster_646 b/seq/clusters_seq/cluster_646 deleted file mode 100644 index a1e10da..0000000 --- a/seq/clusters_seq/cluster_646 +++ /dev/null @@ -1,160 +0,0 @@ ->YP_009551519.1 putative RNA-dependent RNA polymerase [Bipolaris maydis botybirnavirus 1] -MSLNFASISDFASAASIALPLEMWIEIVANLSTWDAACIVKNIPVCRAPVYTALLARANQGFNFGCCVEE -IISSIPCSHSRPCEIVSKDAQFSLACVEHISASCGSSCEKVLISGYDLGVRRKHREAERAQRRLDRIYGP -LATEHDMMVIDDQHSETSSYRDYLRYGAEIAYTEEDLHISSLFEAVSFQPEGSDVEKTRGGMDAADAGPS -ESAQAAPTPVDDEVPQDGPVTGEPTVGLPPGSGEPGHPGPDVPLDPRVTNGDDFGHIPNTEDASDPVDAV -ATNGWFEYPYATGGGQTTSYAGLITQADPTSEYVTNISDRFRSISPQFEELERNIRVTSGFGVQSYLVQT -LWGFGPRGASSLVTQSANADSRTVAFWTTNPKSEIIPVSEDTIMYDTLAGLSIEGQMVRLNTTFNGNMVT -DLYNSIGDRAIAERYYDHVVTAAVAGSNYVAFLTMCYTRLVSLKIMAEQNQPATMRVEGDTLNANILLDN -VAANSVTRRVADAVLRSKPTNAVMLPHGSNDLDVETMLYLMGHGRVIKGVATEDEEIAVFSPFDRFHTDS -NFKLVGLVGENAIGHFPAGNLEFQIDFGQAFVLLNRYVNQNDLWDQFAIARNIALGMIFSRSFSASVGLP -KPYHSRDLALNRTSTGANQHGRRRVMEFKESFHALVVSGTWHCAAMEETLFESVVNVMEETAGIGPRAPN -FYATIDTMQDDFDLEYKTAMVCLPVVERMTGTPSNHIHQYVSKSNTAFMKAISFGWESKPIRISSYLALE -ITPEDKNFKFLFDKSARTALLKQEKYTWRESVLTSFVCHSQWGPSEQHRFYGEFYDDGISALREQTPFYG -YLGRSQLEHLEGTPTIWNTSTAATRIEFTKPASLARATHGLNEDEASQVDSLWATMKAALEAASADNDAE -ESDDDESVSPPQPTANPTQKGRRFEVREPKGKEIARVVPEPEHQRAGTDRPIPTSQWQKPKHTAKAVIAA -NQNGLVQKNYFQKLTPAPTLIGLNPNGGTPGAQAQGPSRQLTTSTIKRMTPKQSDRADHAYLDSQIKRVT -SERRLFEQANRGGATRSGSKKKEKVIPAVLRDEVEQLCSRMVTDLRFRVDLLTRLPSTEDESKAVDFLYP -RGKDGSLKRAVYTIGTLLRKLKTDRKLTVEQQADISLFLNSNVGGKNAWAVAIVMFITLNTLTPECYQML -KSYGLLTTQYNHWNDKWSRINDMFRNQMDSETWGFSETDFPQCLYIAGFVGRPHREADWEAENIKRSAEP -KPIKKYTKSGFQDMPEEDERVMILDFLYSEASFRIKRVQGFERWYRNRAEWMIKGSMSGEKTILDTEPVV -MAKLKDLGLKVDGHANKMHIAEKVDYTWMIAVLDMDPVHLAKMHTKGQENGKVRSIQGSCYSHYVFGNYW -STHLESTLTLKAATMNKRSSQLLEEKEERRRASFNTNTYKVCADYPDFGATHSCRQQRLVLECILEVACS -QGFLPDEEFLRIHKWYSQSFENQYWMRPDTYEWYRATTGMFSGVVQTTLINTVMNGALRRHYLKTLSKMG -SPVSMLRNYELGDDGWAEFPTREQAESYIAVIPLCGKELNPLKQLISSISSEYLREWYTNGTIYGCASRA -LAMLVSGNVESNIASAGAVRLRELYESFSTLRLRHFKPQMCQYYFEDLAVYEVRHGKLGRVKVLRYLYSS -RDQMGMGLYPIDQMPRDLQDYANMSTADQTNQTGDVERAAEILFEQKVYGRFKASKDYVDDTTKRYNVTW -RHQGKARATATIAAQNVVEGNKTTHAQHDELEVAVLLSSFSSKVWARKSDILVCAKPARISALEIEKQYF -KATFEDQRLLSQIGQLAKIAKYMTEESVSRIAMDIALENSIPLEKVEKAIRTLSSLKGEGLDYPPRPLLS -QELMGIYSQWKTVDKREDDMYLPEWLMVLAPHYRT - ->YP_009182198.1 polyprotein [Red clover powdery mildew-associated totivirus 9] -MAYTNIMSSAQSANLVKSSMGQAKVATINTVSEADILSTSRLFYNRASNGNGECSNEHSFNGVYNAPLVT -EPCRPGNYSKIWGNRVSMPCESSRSSKKWRAQCHNCGHKKNMHRRCMPCSCGDVNERCCAPGCARGEQCL -PCFMYCSCGGPTSWSAFSMYAAGLPDDLRNAVAGRNDCRMKYNYEGEIYGIQSKLCSLFNSAGHLKVHTR -FGVPGFSGRLLFDVQNDIVVNSMVQQELWLPWNPQRWSITFGTGPSWEMQCPPGLLADMNRAKHMDIGRN -MLGDMLGCQETATIASIAIGYMDELSKGDNHLALLLVLAGYLSECHWGSQLMATAVRGNNPRNSMITMVK -QWTAESARAAYVSKAGNAIHLPENQEGAALFWAAASNRLPKWQVTIDGQTYSLPWSAIEIPGIAEQAIGK -EVAPEIGMVPTDPLRVAAHIAGYVSRLGLSEQWEIAVDLAAILPWSRGESWVKHIPKPHHVADFYYQYNN -METRSLGTLGGIRVMTDSAVGCATQALVGSAVTHGLLSQVNHTLRDTTEESLQSVVDGLYVNAPTNCLQW -AESARSRSTLLGKIHDVAMFLGGEHSEGPENVVKTVLTPKLTPIGITALFGMESDWLAEALLNGFKLNPS -KIGHSVTGLKKRDLTVFKMSGLSMPAHVMPDEPEINRAPAGMAISAALTVAQVRRLVEIAGREMKLVVRR -LDFDVKDWRLPRELFFGPTYLQDVGMNGRGPEAEVMEWAEMVPDDQIGHDDSDGGDDRQHRIETAESWAA -CMPECTPEDLADDADEQADAKASLDKGKEPLVLKDGDQPPAEIQNDVQQRRDYADLALWEMDPMYKPTPL -LPGDQLAPINRAEVVENAGAGDCAVHAMHDSLKGMYGITRFSRQDIRDMVDEAFPNSIKSGGLTTSQMAH -IAKRFGMGISVLGEDGRFISRQGKQGRLLPIRWQGAGHVVGMRLKHDKESEKAGMAVRASESHTSSLAHL -MSYRDRIMDQDADERWCAAVVKILGPNMQVPTNEIKRLVNACIMEDRPATSEEWKRMLSSGRKPRGMAGK -WWSQWRANRQCSNKSLEQLITCPGLLPSTEEVEQVMQNMTLSTQWVTGGQKVSQSLPVSGKAWSMWVARV -FANPKLAIKIDNKNKIERRFPIASAAGEGGARIRMHEAWNAYGDDLKAMWPRSVRAIWQKQGTDNQSVTA -ATIGLFAAKDVRDRVMYDKAIAAAWWCKDRIGALKRVAEWAEKAGAIELTYCNLWTARATEEADWQAEKR -NRTQSAPVIVDPKGNSLEALLMEEIDRVVEGVTVGKTLAMETFSEYWQRRAEWAVSGAAQSQNNLRERII -RPFCVEAEWKERPNKRLLVDLLPNDYHEKIRAMEPMIVAYPHTKRNELGGKTRAIYGTDFESYAIASYAA -AGYERQLLRDYPEMQPNAKEWYKTITDLTRIRQPWENMAAYDYADFNVQHSLSAMARFYEARARWWERIP -GKQEAIDDKVWACRWMADGIRNQLVCNTHTNDQYRPKRGMFSGIRDTTNINTMLSRCYDAVVQRAWRQPG -IAAIEGGRFYGDDLHQGPCSMEQGYRLMKTKRKAGLVAQMSKCEVGTNIQFLRVKYKQKEARASIQRSVA -SAVNGNWEVKGHIGREEGLQGVITTLVARGMPTKNLVKLLVSGIQRQEDPNKIDPECREYEIKPLEKLMD -IEEEEIPAFRLSHKNQEKLKASKKMTEMRLNQLQNYGINPSKRQEYAIKRAVYSQLGNKGGVALSKGITL -RVPPTEAKRRLKVPLSKITRKRTELSNLLEIANGKAEDIDVALEALEKQCKDELQPYAISGAVTHNKVSI -DTSVCI - ->YP_009141011.1 cap-pol fusion protein [Sclerotinia sclerotiorum botybirnavirus 1] -MSFSNNIVSVSALPTLATLPYEMWSSVVSNLSTWDAACIVKNIPMCQSLLYQALLARANLDYNFGCTHEK -IISTMPCNHPRTCEVLPKGGHFAFVCAEHIVGTCSASCDSVLMSADDVYSRFKRYEESRLQRKRDHMYGP -FALEHDMMVIDDQNAATSSHRDYMRYRAEMVYVEEDLALGTLFGGDLASTDTLVVERTRGGMDAAGSGAP -EASAAAPTPVEDEVPQDGPVNEEPVVGLPPGSEEPSHPGPDVPLDPRVTNGDDFGNIPNTEDASDPVDAV -ATNGWFEYPYAEGSGQVASYAGLITQANPSSEYVTNISDRFRNISPQFEELERNIRVTSGSGVQSYLVQT -IWGFGPRGAASLMTQSANADTRTVAFWTTNPQFEIVPVSEDTIMYDTLAGLSVEGQMVRLNTTFNDNMIT -DLYNSVGDRAIAQRYYDHVVTAAVAGSNYVAFLTICYTRLVALKIMSEQNQPAQMRVSGDTLNANILLDT -VAANPVTRRVADAVLRSKPTNAVMLPHGSNDLDVETMLYLMGHGRVIKSVATDEDEVAVFSPFDRFHTDS -NFKLLGVVGERAIGNFPPGNLEFQIDFGQAFDLLNRYINQNDLWDQFAIARNIALGMIFSRTFSSSVGLP -KPYHSRDLALNRTHTGANQHGRRRVMEFKESFHAVVASGTWHCAAMEETLFESVVNVMEETAGIGPRAPN -FYATIDTMQDDFDLEYKTAMVCLPVVERMTGTSSSHIHQYVSKSNVAFMKAISFGWESKPIRISSYLALE -ITPEDKNFKFLFDKSARTALLKQEKFTWREAVLTSFVCHSQWGPSEQHRFYGEFYDDNISDLREQVPFYG -YLGRSQLEHLEGSPTIWNTSTAATPLEFTKPKSLTRVTRDLNEEEAMQVDSLWATMKAALEAASAENDAE -EDEDDEEFEYPQPTANPTGQGQRFELHEPKGKEVERSIPEPEQQRAGTDRPIPASQWQRPKNAARVSASV -EKTQVQARNYFQGLATPSRLIGFNQSTPTPEMVPQQRNSPLAIKTLKKVSDRQKDYEEHVYLDTQVKKTA -AERRQFEQYHRGGMQKKANRKDKRVAPAQVRDEVEQLCSRMVTDLRFRTELLTRLPATENESKAVDFLYP -RGKDGSLKRAVYTIGTLLRKLRCDEKLTATQKSDINLFLTTNVGGKNAWAVAVVMFISLNTLTPDCYEML -KSYGFLTTPYNQWNDKWSKINDLFRNQMDSETWLYSETDFPQCLYIAGFVGRPHREADWEAENAKRSAEP -KPIRKFTKSGFVDMSEEDEKRMILDFLYSEATFRIKRVQGFERWYRSRAEWMIKGSMSGEKTILDTEPVV -MAKLKDLGLKVDGHANKMHIAEKVDYTWMIAVLDMDPVHLAKMHTKGQENGKIRSIQGSCYSHYVFGNYW -STHLESTLTLKAATMNKKNSQLLEEKEERRKSSINTATYKVCADYPDFGATHSCRQQRLVLECILEVACA -QGFLPDKEFLRIHEWYAKSFENQYWMRPDTYEWYRATTGMFSGVVQTTLINTVMNGALRRHYLKTLSLMG -NPVSMLRNFELGDDGWAEFPSRAQAEAYIAVIPLCGKELNSLKQLISSISSEYLREWYTNGTIYGCASRA -LAMLVSGNVESNIASAGAVRLRELYESFSTLRLRHFKPEMCQYYFEDLAVYEVKHGKLGRVKVLRYLYSS -RDQMGMGLYPIHQMPGDLQDYANMNSAEQTNQLGDVERAAEIIFEQKVYGRFKASRDYVDDTTKRYNVTW -RHQGKARATATIAAQNVVEGNKTTQTQHSELEVAVLLSSFSSKIWEKRSDVLERAKPASISAREIEKQYF -KATLEDQRLLSQIGQLAKIAKYMTEESITRIAMDIALENGIPLEKVQKAIRTLSSLKGEGLDYPPRPLLS -QELMGIYSQWSAVDKRESGAYLPDWLITLAPHYRT - ->YP_006390636.1 cap-pol fusion protein [Botrytis porri botybirnavirus 1] -MKFKMPYNTQTASSAQEDNRVENVAKSAPQNINLPAELWALVSSSLQSYDCAVVSKCVGVSSHPLVVAMM -SRAADEYKYPCPAARRVYAVPCGCKAFMRSTVGHLVVKKDEVGSFICCAYNGTRSCRCKSGSMSWVTTQD -REEALRQDLLATYARQTKGRYGPNPTESEMEEKDREDRDLADWRDYLRYCAEKVVLEEDLCLKALFFSRE -LTVLGPKGADEPGSDPVPPPPSGPVLDRETVGDDTVPDQVTGPDLPVAAPTPVNPGDEAAAEQENSGNKY -ENVLSNERSGQPVEEPEHAGWLSWLNDEDDTMFDRYAGTIMRSARHKAWEPALQGHWNNVFTIGGDIMRD -VKAHHKTVAMSLSPHVTWGYGEGTPDATITKAGYIDSYEVVQCTTDRRTVMIPFARDTIAYNVPFGMSVY -NRAQPFNSTASVTPLSDILSAVEDKALTSAYNSLILTSSSKGNNHIALGCTMYMRLMALEMLAEQGAATY -IDLTAEGFNRFLLPVEDGDDAPIRKVARSVVAHQPYNMITLPDQSADSDAILMYYLAGNSRITTYVTAGP -GAPDLAVHSTIDLYVPEQRFTLCPLNGSELIEERDSDDDGAFKVDAYRARSLVSRYLTAHNLWQQFPVMR -AFAWALLAHPATSVNIQYPAPMHTADLQLNLFPNPASIEHRGVILGERDHQTSLHASVVTAARMAEEVLT -DSIVSTVVEAGIEYTNPAYTGTVEQELGRHLYHGYAHLIMPIVEKLLGDTFPELTQYLADSVLALEHCTT -GRDLDRKKFRASSYLCMEETPEGEGWQVVFSESRRKQILQQEYCTEREQLTCLWLTQKVAQENVGAVTYY -QHEILPSESVSDTPVVRGWLGKNRLDYLRGAPILWGTSTTAVAYKHSPQRVKEFQLESNELGPPTGLFAQ -LYEKRMQVNSEAMIREDEEALGTASHREEKGWAPKLEPKREEKKEEVVRVRPTATKKTKPVRQQVPVLAV -KAVPSPDKEGFLPVKGGARPTSVQVTRMTTMPMGKSPMKVANSTPKVRRTLSGGYKKPTPWYLRASSREE -EEAALKKGAEARKSFESRPLSLGRLRILLEKEQTSAEDRKEIDRYYDRGMDAALKRAPYTAGVMWSKRQI -IAKNKTRIAHLKTAMLNGAYGKNAWMVTLGLWIMTNTMTDNCFEDLIKEGILKTEYSDWNSKWASYNDII -RNKWAKGEFQHTPDDLVQCLYIANMVGRPHRECDWDDEVKKRTRNVGEIKIARNGKMVPADESQLEEEIL -QMLLKEGSIRVKKPKTFEQFYSSRGNWMIKGSASGERMLISEYKEIVGQVKGLGVELRERATKTDVAEYV -SAQAVLALLDDMAIHLAKAHTKGNEHGKVRAIYGSLYAHYVLGSFWSTYLEDTVTLASASMNKDNSRLIA -ETMQRAISCRSGRWIVCLDYADFNAQHSGMAQRSVIRTLYKWAKMMGFQPTEEFNRISEWYADSFTNQWF -QRPDNKQWVRAVSGMFSGVRQTTLINTILNLTYHHIAMKNCYNLGQKVECLQTYVLGDDGWVEFSTKEEA -EMYVVAARMGGMEINAIKQLIGQGKGEYLRLIYDVDGRVRGCPVRSLASFVHGNVENKQASVGQQRITEM -YSQACMLVRRGLDPARWQKVFEDLAIYEIGYTTQVSRGQCLKYLYGSKRSGGLGLMPLNTATDRVAKLPV -EAEEAAEEVDVAQILADSLVEGRVANKFKASSDYVGTLEREYGVVWKHNGKIKATAQVAASNLVEGITNV -GAEHEVLKMRVLGAQLEKAKWLEAMNWDRWNNGPLIGPENIEKQYRKIRVSEDKLLSTVTKVAKLAHLMS -AESVEAVQMKIAADLDVSVTAVKHSFKSASVLRGEQIDYIPKPVMAPELEGIYTQWLTVNNNDSQQMSIP -RWIADYTAVLRY - ->ALM62244.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated botybirnavirus 1] -MDREFPRGEDENLKRAIYTIGNVLLELSDAGLSPQVRSDILTFLENGAGGKNAWAVGVVMYLASHQLSEP -CYAYLKDLGLLTTRYEDWADKWASLNDVFRNMVDSESWMFTNQDFPSCLYLAGLVGRPHRDVEWDTEVEK -RQAPDLPLRKYTPTGFQDMGDADTKTMIMDFLEAEGHIRIRKCQAFEEYYKERAQWMIKGSMGGEKTVLD -TEPEVRARLTEEGFKVTRNTTKVHVAETVDYEWMRGVLALDPIHLAKMHTKGQENAKIRSIQASLYSHYV -FGSYWSKHLETTLTLKSATMNKHNYQLLEEAEVRRRYSEDGQSVKVCLDYPDFGATHSCRQQYLVLECIF -EFAVKKGFKPTPDFVEIQDWYKRSFLNQWAMRPDTRTWFKCTTGMFSGVVQTTLFNTVLNGALRRHAVKT -LALLGNPVEMVANYELGDDGWALFPEESQAQAYVAVIPILGKQLNPLKQLVSRMGSEYLREWYMGGFVFG -CPLRALAMVVSGNVENNIASAGSTRIRELYESFSTLARRGLSRRMCQFFFERLAVYEARRGTLGRRRVLT -YLYTSKEQGGLALYPIEHMPPIHSGSVRTNPEEESSQRHGEEDLVARVLLEGRIVKRFKASTDYITRVED -KYQVRWKKDGKREAAAAIAASNIVGGSATTSLQHEQLEVAVLQAVWSGKDWALYDNMLEKSRKVRIGAGE -ISKQYNKITSEDRVLMSEIGKIAKVIRFMSEESERDLAQRMADSEGISVAKVAGALRSLKGLKQEALQYT -PSPYLSQELMGLYTRWRAIDVT ->AMT92139.1 cap-pol fusion protein [Sclerotinia sclerotiorum botybirnavirus 2] -MLHDLNIMASSNTNNSAQTVFSANNITSSESKTPTTKKAKANKKQLKRAEVNFFNGYCYLALIHSDLRAK -AHEYLGAWPLVSEVEEFIGGDWDRYSTEKVGVQVVPYPTSDSILVAYHITMGNVFPSEILDEMVSTSRVG -GTPQAPADTTTLPPPVSDPEFGDVVDEPADPENPSPNPPEQPEPENPGSDNPSTRYDYIKIDSGVADKPE -SEGTVTGMMDWDAPDFSGSNGRGGSLFSKAGVEHTWTSKLYPKFRNSFVNFDALVASKEGNGSVSIGNMV -VGTAWGYGSYTDVNLAAKPFFVDNRTIPYWTSDQKKETLDTSKQQVLYDAPISITPAGRAVGVSSLLVPG -MKAKFQKQIGDHTITNHYFGNMLSTSGVGDSHLAALTIACTRLMTVKIQREQEIASIVEFDSEEYDDYFL -QVGNTDHSIYRKVAVAANDLQSENLVFLPPGSRIEAFPTMAYLLGMGRTTARVPTADPTKKQIVYSPFDR -FCSRQGFAIVPSIDGKEYTGRYAGPSKIEVTLRECVSVLASYVDYHGLWSQLAMARALAFAIMSSPNTAS -LTTLPKPNHTSDMQIGLNGRAIMVKNSQSIVDPDESYMALIAASTILSRSAEEALLEAIIFTAESAGYSP -FSFSFKAVIDQFLGDSLPWGLSHATIPVFSKLMDLDLNDLVLCIDRHMVGCISKLLLGFNNRLFRASSYM -MLKEQPNSTELLAVHKKSVRDSIASRRALSERESKVLQYLTQTGVGNGTNQLFYHDVYLDDLTNDRSWVR -LSEAMNRYQLKFMVEDPQIWEVNAVGDGLVIESTAFGSSDRQVGTSELTGFEALIEFLATKGGIAELDED -DYRNMSRPGFPALNISASEPSTTTVVKPRIPLKTQTATFTKESYKKQAKVTEITKPTMPVRPETPEVPQK -TRESTATKTTTSSPKKEESTTSKDQGNVKPSEGKGYKMVTKGPLRPVITGGNFGSSAIDRSTRYFSEATL -PVEHSDDEEERDDAGLTQVVPKTITKTKSKKRVVTKEGDWYSESIAYNAKIKSQRKSDKNFIETLNFQAM -SDDEKKRVDALYPRGHDAEIRRAPYTVANLIAEMFTPQDTTISERRWIRRRLLTGIGGKNAWMVSCLLTI -YGNALSTPAWNELIDMGFLDTPYSQWNAKFGSYNDILRNQWSRGEFKHTPDDFPQMLYIATLVGRPNREV -DWKAEDEKRMKVVPEIKCRIKRATIELSTAQLRTRLRRMFETEGTLKVRPVQKFEDMYRTRYQWMIGGSL -AGEKTVVDTDPVMKQKLEEQGFQAPHHSTKKHIAERVSFEEVAAVLEMHPLNLAKGHTKGNENGKLRAIY -GSLFSQYVIGRYWSYHIEQNVTFKSASMNKPNSQLLREAEDRTRVCANGDWVVCLDYTDFNASHSLKLMR -LIIEVATEWAISKGFKPTEEFLKISDWYAKSFENSWYYNPESKEWVRVLSGLFSGLPQTTFDNTVANLTY -DQCYFDSLRQAGIEITPTSRYVLGDDGWVSFKTKEEADLYLTAAKMGGIETNEIKQLISKGRGEYLRLLY -DNDGMIRGSPLRALANICHGSVESSVPSVGRTRIQELHSNISVLVRRGFVRSKMHRMFENLAYYEVNGKL -EKSVPVQDLLYGTRETGGLALVPIDSVEYDSKKRLDLAVPEKENNNVDEIAKVIFDTKGQKKFRSSSDFV -NKIEERYSITWKFQGKGNATAMLAARNAVEGTQDQRYEHQILLVQARTARVDIKALRRGFEWKDQKTTFN -MSADDFEKLFGKAIANDDRVLSSISPYIRVIRYMNDECREKFITQLAAETGVTLATIKQGIKSLASLGGE -NVDYLPTPYLSQELLGVLSKWRTIRQFTGEREFYDPQWIIDLAHRHKY diff --git a/seq/clusters_seq/cluster_647 b/seq/clusters_seq/cluster_647 deleted file mode 100644 index 3420b48..0000000 --- a/seq/clusters_seq/cluster_647 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_010088079.1 movement protein [Passion fruit green spot virus] -MAMIGADDFSELESILNANYDEEGVFKASKSVCLRTSKRVGIGFLTPNDFISRINGFIRRKAEDAGLRNV -ESFRQLSDVVIIIVPQVAFPATLTLKLVDSCNALEAIFDQSVVVNSTSGPQIAIFNSGYSIPNEDRVSVG -GNESHRRLGLSYEIEHSENISGGHITTFALTLLWREAFYFQPSNFKVHEPRLIPITVGYKKAQMSRSHAD -LKRAISRGMVTTGHSSVPSIRSERSISVIEKKRVTAPEQDIVVEEIDSAIESKKPSSSQVRIPPRVLKFT -TLDKYEKSDNGPALS - ->YP_009551525.1 movement protein [Tea plant necrotic ring blotch virus] -MSIAALYSKNGDISTSSLDELNSILTENYKEDDVIVKRLSRRFTCSGSTGIGWFTPDELLKRFSDHIVRL -FEDKGVVSKNYQNSFRLYHDLVLVIVPQVRKSSEVSMTLSLVDSGTDLVEDLLDLDVSTVTVSASEGPQI -VIFHPSHSIPNMDRLTLNGKESHRRLGIRYMIETNSSVADTTRDVTYFTMSATWKREMSLNPSYYDEQVP -TVIPILPGFREHAALKNPKLLKVCVGAGLNLRKHLSAQHAVVGDVKLAVTDSKKTDVSELFKKPVRKKNK -LITGNRRETTTATQQPSITMPEDGERAGAVPPITF - ->YP_009508075.1 p32 movement protein [Citrus leprosis virus C2] -MVSVGADDFAELESILTADYNEEGVFKSSKSVCLRTSKRVGIGFLTPNDFISRLNGFIRRKAEDSGLRDM -ESFRQMSDIVIIIVPQVAFSATLTLKLVDSCNALEAVYDQTAVLCSTSGPHIAVFNTGYSIPNEDRISIG -GNETHRRLGISYEIEHSENLGGGHITFFTLTLLWREAFSLRPSFYKVHEPRLIPITVGYKKALMSKSHAD -LKRSISRGMVTTGHSAVPSIKNESAISVIEDKKKTVAERGVVIEELDSSIESKNPSSSQNLKPKKLKFFE -VEKRDNDGPTLS - ->YP_004901706.1 movement protein [Blueberry necrotic ring blotch virus] -MSLAAFAGAATSSSSASSLEELHNILNDKYDEKNVLSKRLARTINCRNLSGVAWLTPDNMLQRINDFVTR -IYEDKGVSDEASQNSYRHYSDFLLLVVPTVGTTRAISANLFLVDSDDPTNILNGDQEVDVDSSDGPVLVG -FNMGHCVVNRDRTHVSGAETHRRVGLCWDITVDGEQGSAQNTTTYFSIKATWRRKISLKPSYYKVGSPLV -IPIDVGFCEHVMSKNPTLVRQFVRAGLDVSRHMKFAPTVKNNLSLVSDVDKKIMDASEVKVVKPVVKRKP -RRGRASSRGSVPSRASSADSMVSRNSAGVIP - ->YP_003126905.1 unnamed protein product [Japanese holly fern mottle virus] -MSQVIKGSDLTALLSDLYGEVTHKELKSMGLGTLVRSVVDEPTAVTLLVPPKTRSLWKDLFSKDPVSRST -GYVYVPCVVRVFVPHVELGSPGFVTFHLSDSGLAGLVALRDQTVSVTLGEGPQIIAFYPSYSLPLCDSGT -GQTKHGGRTFVIVSTSTGASVESGNSAFSTFDLWTPQFSARTTSCVARPAQALRISVGRVKQAFDSVLTP -SLYASAVMTAEHYSDRDDPVPDDVSLTRVTSVRRNHHLYVRPDVLPEGHGNFHFPASPRKSHKPDRGVRR -VSSRLDPGMSVLEVSESE - ->YP_654542.1 putative movement protein [Citrus leprosis virus C] -MALSTNNNSSHVGADDFLELENILSSEYNEEGIFKTSKTVCIRTDKRIGVGFLTPNDMISRLVGFINRKA -EDAGVRSVESFRQISDVVLIIVPQIALPAELSLKLVDSANILEAVNDQEVTVNSTGGPCVVVMNCAHSIP -NEDRTHVNGSEVHRRLGIQYQVDCDNISGRVTTFSITALWREAFSFRPSFYKVSDPLVVPISVGFRKAVI -AKSHADLQRSIGRGLIVTHHSSESSVTSESPIDLTVKKSTGLKIRDKSEDDNQRKHPVPLTSSNNKLKTL -RVSTTPIVNGRSTSTSE - diff --git a/seq/clusters_seq/cluster_648 b/seq/clusters_seq/cluster_648 deleted file mode 100644 index 076bd97..0000000 --- a/seq/clusters_seq/cluster_648 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_010088074.1 P5 [Actinidia emaravirus 2] -MDCITPFSFCNKAKFDSTGYERIPKLTKLGKVEKIISDTIVDEFNSCDELEKGFFYDEFKLAPVMSSINY -IEVNEDMPKFAYAIQRRGLKKKHQYAILELNEILTAALNSCLYSHIIKEFENDFETIYATIKGIAPYMHQ -FFIVKVLCKETLVKAAKENWNNIIMSTSLVDRESIIDHYKDMSRFYYKVVKNQTNVGSVYKFIDDNKHLM -GHMLPFIDIKPKSYDQEILNLVNKHIDKCIEMNDYDIIFKKETISEEYPDKDFQIDVSNAIYLDVTLKLR -EIREEHSELECLKVCTLRKKKTNTKERFQEIIGSDQEMISHKPGENKDEDIFYYGLPETLNVVCKHVEKS -MVKNLVDEDMIDDIDYISNYPFKQDFKKVVFRLYKDHNNTVRMSQLGLQYCCLYIYYSALSTKRSGIGEL -QYSRMMLEKARTFSKVNVAKLRSKVMNMKHYTRNSDCVSLEITNYF - ->YP_009380549.1 p7 [Rose rosette emaravirus] -MDSIIPYTRSDSEVIDFSNYEKLGKLNNLGAFYGTIYSNIKTMFNADVSTEKKFFYGSHNKIPKHSSICY -LEINHKKIPNTVASVFNKTQVEVETLPEDVCLALQSILYNYVIENFNNLDTLSAVIMHHKLVRRYFIMIK -IVDKQWMIDEVKRNWANILSSTYLSQREVILSHYTSMTAFYYKIVKNSDTEKSVYSFLDDNKHLLNHVLP -SLDVDFKLYNAQIIKYVKSHLQICKDKSDYNLAFDYTTISESDSIYGEKFPADVLAAIHIDVTAKLREVK -VNRSEEDVLKKPIIDCVDDVVVLNKVTFWKHTDETVSYKPGDDEIEDTFYYGIPDTIETVIDHLEDALEK -NIHDVDDINNIDYIPQFPFKNSFKREIFTALKVRNDTVKVSHLTLHYICLFLYISKVASLKIDTGCYTAH -SAVLERARLFAKTNVSYLRNRLLFLNQNRKQFKNECVQISLKFNM - ->YP_009380546.1 p5 [Rose rosette emaravirus] -MEKIIPYVRPDPERVDFSNHEKLPKLPCLGDFYGPIYKLIRENFNSCNCVEKKLFYGEYTRIPCNSSIGY -IEINRGEMPTVIATVLNRGTVRLEVLPEDVVNALKSIVYNYVIENYENLEMVTAVIKNAAAVKNYFIMVK -IIDKQWIINETKKNWANIIGSTYLAQKELIISHYLRMKSFYYRIVCNSRYEKSVYSFIDENKHLSNEIIP -SLDIMLRTYNIHVFKYVKEHIQYCKKMLDYDIPFDCNKIKESDFMYGEFFPLDVKTAIYEDVTIRLREVR -IENSEVDVLKKPIIEYIDKEILLNDIALNKAVDEKIKYLPGDNEIEDMFYYGLPGSIKTVVNNINSSMKK -NISDDTKIDDIDYIPNYPFKSSYKKEVYNLYKLKNSDLKMSHLTLHYICLYLYMAKVSAIRTGYSENIGT -VEVLERAREFAKVNVSHLRNRLLFLHQNRISLKDGCMQIFLKVNWTQ - ->YP_009268861.1 p5 protein [Pigeonpea sterility mosaic emaravirus 2] -MESVVPFCIGKKEVIDTSKYEKLKPNKNLGRMERVIYDMIKNEYNSCNAIEKGMFCDDFNLVPINSCIGY -MEISDDIPTYIYAIKKGSYFKGEYQYAVIELNDAVSCALNSILYSAVIRDFEGDMNTLYITIKGSLPIKE -YFIIVKVENKQTLIQRVKSEWANILSSTSLVGTDSIISHYREMTKFYYRIIKNQDDVGSVYSMINENQHL -VNHVLPSLTMYLTSYNNVILEHVKNHIKKCYDSNDYNLIFRKDDIECDHLTEPYIDEGLKLEISNAIYND -VTLRLREIKNCEAEANYLKKNLLRSENNKIISNFEAVTSSETEMIKYLPGANEAEDFFQYGLPEHINIAR -THLENSMVKRITDANMIDDVDYIPSYPFTTEFKKVVFESYKMKNKTVKMSHLGLHYACLYIYFSIVSTKR -AGYERFNYDHKLIERSRKFAKVNIEYLRFRLQTVRTISTSINNCVTITLKRNL - ->YP_009237276.1 p5 [Pigeonpea sterility mosaic emaravirus 1] -MESIVPFCISKKEVINNSNYEKLKPNKNLGRMERIIYDMIKDEYNRCNAVEKGMFCDDFNMIPINSCIGY -MEIGDDIPTYVYAIKKGAYFKGEFQYAVIELSDAVSGAINSILYSAVIRDFEGDMDTLYITVKGSLPIKE -YFIIVKIENKQTLIQRAKSEWANILSSTSLVGTDSIVSHYREMTRFCYRIIKNQSDVGSVYSMISENQHL -VNHVLPSLTMYLTSYNSVILEHVKNHIKRCYESNDYNLIFRKDDIECDHLTEPYIDEGLKLEISNAIYND -VTLRLREIKNCEAEAKYIKKNLFRSDNNKIISDFEAVTSSETEMIKYLPGTNEAEDFFQYGLPEHINIAR -THLENSMVKRITDANMIDDVDYIPSYPFTTEFKKVVFESYRIKNKTVKMSHLGLHYACLYIYFSIVSTRR -AGYERFNFDHKLIEKSRKFAKVNIEYLRFRIQTVRTVSTPINNCVTITLKKNL - ->YP_009237273.1 p5 protein [Fig mosaic emaravirus] -MDKVTPYVSCETGEYDFTKYEKIQDNNQTGLGKHAYNYIKDMFNNSTCIQKKFFYGESQDFRNESVYLMP -RFNAKIIHLEIDDEIPIAPFAICKKRDRKQTEFRFSTHVLSDTELVALKGIIYNFVIENYRERDTKNIIY -GNYKTINGYVNYFFMIEIHNWDWLINETKNQWEDIISSSLLVGDETIKQHYDSMRPLYYEIVRKLAHVKS -ICKSFEDNAHLSDHIFPSIYGKVKHHNHIVMKYVRLHLQKCYQKKDFSLRFNKEDIDPVDIYYDKDIDVD -ASNAIFCDVTIQLLDQNIREPELNILKKSIVELIQDEKTLTDEVIKKVDNIDIASTGVDIAGTSDIEYIK -HLPTENKPEDIFYYGLPEDSSTVIQHFDIAMKRTISCEDDIDDVDYIQSFPFKRSYKIDTFRVLKQKNDT -IRISPLTLNYICLYMYMCQLASFRAGYTDISSLKKKRLEMARDFAKLNIANLRENLNDLHRKRKDYPLGN -YIQVCLEFNTFN - diff --git a/seq/clusters_seq/cluster_649 b/seq/clusters_seq/cluster_649 deleted file mode 100644 index 96de837..0000000 --- a/seq/clusters_seq/cluster_649 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_010086171.1 nonstructural protein [Medjerda Valley virus] -MSLQYLCDRVTPSYDYPCLGDVVANFETVNSEVGLPVSVYDDLEFEVAEHRHSLSYRQTLYDFLDQGEMP -WRWGPHMWGSRVTMDELPILNPLMQTFQGLPVTDLMDSRLHFTRNALSWPTNHVSLKAFRILYPCRFSTF -SSARRELAEYIFECTKAPSLEKGIVILAGKVREMAGKLGIHERLVPCKDIIKSICILQFIRMMKGFQVDR -NRSILYGGVLIPFMQSCSDAIARDFPGLVAAWMPQAGGVNILEANPYDSGISTDSEFDSDIEV - ->YP_010086161.1 nonstructural protein [Alcube virus] -MSLHYLYDRVTPSYDYPNIGEVVANFVAVNSNVGLPVCVYDDLEFELAGHRQSLTYRETLFDFIDNDEMP -WRWGPRLWGSRVTLDEVPLLDPLMKTFQGLPVTDLMDPRLHFTRNALFWPLDHVSLKAFRILYPCRFVSF -NSSRRELAEYIFEATRAPNLESGLVQLAKKVRDMALSLGLTERLVPCKDIIKSICILQFIRMLKGFQLDR -ERSILQGGTLIPFMIGCTEAVVRDFPGLISTWEPRLNVVDFLHVTPYDSGVSTDSEFDSDIEV - ->YP_010086142.1 nonstructural protein [Odrenisrou virus] -MNAYSYIHDLVVPYYNSPILRDVSVEFRAVNDYLDSPVCVYNSLEFPLHNLSLCTMESENLYDCLDSGLM -PWRWGPEMFVSRIVTEETRLFDPFMKQFHLAPLTQILGRGRSGLRSALAWPTGNCSLRFFRQQWGSESMS -FNSSRRLQAQLLFQSTQADNLEIAISALAKDVRGMALSMSFPLNLVPCLDICKSVSILQFMRVLRSVPEM -EMEREELGLRDDLDIFLGSVRDTLISDFPGLIEVLTPQDYDSGWDSLSSGEDEVEV - ->YP_010086060.1 nonstructural protein, partial [Salehabad virus] -TKTPYCFIQTITMSLHYLCDRITPTYDYPCLGEVMVSFEAVNKEVGGPVCVYDDLEFELFESRQSLSYRN -TLYDFIDSGELPWRWGPHMWGSRVTLDELPLLDSLMKTFQGLPVSDLMDSRLHYTRNSLIWPTGHVSLKA -FRILYPCIFVSFSYSRRELAEYIFEVTKAPHLEKGIVDMAGRIRSMAHSLGIQENLVPCKDMMKSICILQ -FFRMIRGFQRDRTRSVLFGGTVIPFFQACIDALTRDFPGLLDVWSPGADIFDAITPSPCDSGVSTDSEFD -SDIEV - ->YP_009227129.1 nonstructural protein [Adana virus] -MSLKYLCDRITPTYDYPVIGEVVVSFEAVNSQVDLPVCVYDDLEFELAGFRLSLAYKNTLYEFIDNDEIP -WRWGPHMWESRVTMDEIPLLDSLMKTFQGLPVTDLMDPRLHFTRNALSWPIGRPSLKAFRILYPCRFVGF -SCSKRELAEYIFEVTKAVNLECGIVQMAKMIRSTAQSMGVQESLVPCKDMVKCICILQFIKMIKGFQLDK -TRNVLFGGALIPFLHACTEALTRDFPGLWRAWNPQADIMDLITADPYDSGISTDSEFDSDIEV - ->YP_009010956.1 nonstructural protein [Arumowot virus] -MASPYNYIHDLVTPNYNGPIPGEVVVSFEPVNSYVNNPVCVYEDLEFEISGMGLCTMTSESLYDFLDSGL -MPWRWGKGMFESRLTAMETPLFDSFLKQIPMIPTTQLFSVGRDPLRAALSWPTGRCSLRFFRQQWGSDAM -SYQSNRKLRARLLFQSTQSSDLETAIITLAKDIRGMSSILDFPLNLIPGFDLLKCVSILQFIRALRSYPE -TEAERQSNGLRDDLDIFLGSAREALSRDFPALIPAITPESDDSLWDSGSSCEDDVDV - diff --git a/seq/clusters_seq/cluster_65 b/seq/clusters_seq/cluster_65 deleted file mode 100644 index b80ca9c..0000000 --- a/seq/clusters_seq/cluster_65 +++ /dev/null @@ -1,1675 +0,0 @@ ->YP_010085015.1 RNA-dependent RNA polymerase [bank vole virus 1] -MDLLDETILYPESHLNSPLVCQKLVTFIEYSCLPHNQELSDKTIIPTIRSKISNIGHPHHLRILYHSGRI -VHPWLTTQGNYIHIPYPECNKTLFRYVDHKLTRKLDQVLSHANAAYNSVAERIYDCLKSIRLKLGSTLSK -EDIMNNDDSTKILDLPEIMISSQWYGPFLFWFTIKTEMRSCIKDYTNMRGRKQEKPMVLKSADSLIVINR -LLVYIINIKLREIYYLTFEMVLMMCDVVEGRLMCEIAMICDSKYHVLHPNVKSLWILIDGLFPDLGNNTY -NIVSLLEPLTLGFLQLKDEAPILAGAFLEHCFGEVVNELNNTGYTSEVDQKEIIRIIREIFNLDDVHLLA -EMFSFFRSFGHPILEAEEAAHKVREHMHKPKLISFEVLMKGHAIFCATIINGYRDRHGGAWPPLQLPSHA -TTAIKTAQVNNEAITDALAVTHWKSFCGLTFSCFMPLSLDEDLTMYMKDKALAAISKEWDSPYVYDVMKY -RPPKQTTSRRLIDVFVNDSKFDPYNMINYVLSGEYLTDKDFNLSYSLKEKETKKVGRLFAKMSYKMRACQ -VIAESLISNGVGKYFKDNGMAKDEHDLTRTLHKLSISSVPKDNRYHHESYGHYLQSQHVGSSTFASSSPL -RAQDDINVGSSDQETSLGPSRRQNVRPSTSQFEVISTFLTTDLQKFCLNWRAETVNIFAERLNEIYGLPG -FFQWLHKRLEVSTLYVCDPYCPPKNDYHISINDQPDDHIFIKNPMGGIEGFCQKLWTISTIPFLYLTAYE -TGVRISSVVQGDNEAIAITKRVPSTYPYWLKKAESAQTAREYFKRLRFNFGMIGHNLKANETLISSHFFV -YSKQIFYDGVVLSQALKSLSRCVFWSETIVDETRSACSNIATTVAKAIEKGYSRDLGYALCILKTFQQIV -ISLDYTINPYMNPEIRNPIMGSPTWFIHAALTPAPLGGFNYLNMSRIYVRNIGDPVTASLADVKRMIKSK -MLEPNMLQKIMNQSPGDSTYLDWANDPYSSNIPNTQSITKMIKNITARNILIHSPNPMLKGLFHTGSIDE -DHRLAGFLMDRKVIIPRAAHEIMDNSIPGAREHIAGMLDTTKGLIRSGLRIGGLRSRLISKIATYDVEQF -RRFNYLVLVKTRNDLIDISACSVALAKALRQHMWSHLSKGRIIYGLEVPDILEAMTGDFITGHENCQYCS -AGSQNYAWFFVPANCDLDDVHLPTNSLRVPYIGSSTDERSDIRIGHIRNPSKALKSAIRIATIYTWAFGD -DQQSWEEAHIIASQRANLSIEDLRLVTPISTSTNLAHRMRDRSTQIKYSSSSLSRVSRYTTISNDNLNFV -IEGNKTDTNYIYQQGMLLGLASIEDRFRFNQSTGSHNTVYHLHTIDECCVIKMVDYQNVVSLGECPIIIP -PKNNKLIYDDNPIIERDQLRLDQQRYRVALVDYTMWSSHELSQGLAQSLALTLIESITKSENDHLNEIKS -VEGDDDIKSLITEFMIVDPKLLCLHLGQAIAVNWSFEIHYRKPRGPYEMVDLLHKLLGRSSHGSLSVLVN -ALSHLRIFKKFWDSNLIEPITGHLLDQQNLITISIEFLTECYRSYLHYWGGHKSNNLQYIICEPDEDVIT -TRYDLVQAKHLAMLNDYYNFSVAVPHIRGLEPLDKCKVLDESLKKAAELNPNSRDWNLNNLNIIAYPTSL -TYIRRGTIKQLRLRSPDPSLLIGSSPVSNYPSKQMQTHALTAPSIESLELPININLNDLFKTVGEERSVP -GNELLSTNANEYSHHIFRRTGLNSTSCYKAVEICDFIKGHVNHSGKRLFLGEGAGAMLSVYYYMLGPAYS -YFNTGVYSQSVRGQREFHPFPSEVALVSKQNCDNESLLSNVEVLFNGNPESTWLGNLDCFTYIINTVKTN -TCALIHCDLETIGDKTIKQGFEELCHVVCLALAVGDLNSMFIMKLLPTPEDWTLQLLTFIAEHYECCHLI -SPWYSSPESTEVYLIAKGLIQHQIIDPNLLYYRLMDREPDRDGRLSGWIADQKIRYHSEFRILNKMNPYN -RVGQSNLDSWLGSLTSVEQALLTVGFQLNGPKLIKRLVSFDPGSDLESLKSAIGMAYKELLYNYLKSNQE -HHFFQPYPILESSKVREIVYDIIRKXSVYXLLDGKANRINFACHLAQSISKGHIIFSLYNKSDTSLCPHK -VYNKLIRTSFQKIWIMDLTTAEIKIWWKILGYTYLI - ->YP_010085024.1 L protein [Pohorje myodes paramyxovirus 1] -MSAQISDILYPECHLDSPIVTGKLISLLIKGNFPHREDLKDPTLLRNLDANIGDIHRLQITIDQQKLRDA -LITKYHDLSSLSPVPYPYGNNYLFKIRDNEYTKDISTILTYANTCYKKISGKIIRLEDLVQSKLGSYNQN -SNYTTNDERAKIRRLPNIMEGSKWYNPFLFWFKCKYMMRKMIKRGVKLKYNNYNTEQVFDLYDHFIAINK -HLTIIVDKNTNNIYYLTFEMVLMFSDVLEGRLMIDTGMEADDRFQLFKEKGHALWNFMDSLFPNLGNETY -NIVAMIEPLVLGFLQLRDESNLLRGAFLQFALGEIENEFKSHGFTSRADLDLVLDTITNIFTIDDIHMIS -EFFSFFRTFGHPTLEAKEAASKVREHMNKPKIVSFEIMMKGHALFCGIIINGFRDRHGGAWPPHEFPEHV -SQLIKNAQTNSEALTHELCIQEWKSFVGFKFKCFMSLTLDEDLTMYMKDKALASIKKEWDSVYPREFMTY -TPPKQTTSRRLVEVFLNDTEFDPINLINYVISGEYLVDEDFNLSYSLKEKEIKKVGRLFAKMTYKMRACQ -VVGESLIATGVGQFFKENGMVKNEHELLKTLHKLSVSSVSRDNKAGSKAELRVPGSSGQSSKVKGKLIRQ -QYGDQGSARSNLPRINDVQYETMSTFLTTDLQKFCLNWRQETTNIFAERLDEIYGLPGFFSWLHKRLEKS -TLYVADPHCPPYNKKHISLDDTKNKQIFIKYPMGGIEGYCQKMWTIITIPILFLSAYECGAKIAAVVQGD -NQAIAITKRVHPNIPYKQKKYLCSQLAQQYFDRLRMNMAGIGHNLKANETIVSSHFFIYSKRIYYDGQVL -SQALKPLSRCVFWSETVVDETRSACSNICTSISKSIEQGYSRWIGYSIAMLKTIQQITIALQYTLNESMT -RDIVDPLIQNPNWIIAAALIPSQLGGFNYINMSRLYVRNIGDPVTASLADVKRMIKVGMLDERILQRIMH -QDKGTCTYLDWASDPYSINIPSSQSVTIMLKNITARTVLQHSQNPMLHGLFHEDFDQEDQDLAKYLLDRP -IIIPRAAHEIMDKSLTGARQEIAGMLDSTKGLIRNGLRAGGLRPRLIERLSFYDYEQFRVFNCLMGVKET -SPLISSDACSVELARRLRNVMWNHLSAGRPIYGLEVPDTIEAMNGFLIDNCSDCYYCQANNNEFCWFFVP -NGCELDQVRQESNNIRVPYFGSTTEERSEIKLSSVRSSSRALKAAIRIATVYTWAYGDTDECWEQAWYLA -SFRANITLAELKAITPISTSNNIAHRLRDKSTQMKYSGSSLNRVSRYTMISNDNLNFIKDGNKIDTNLIY -QQVMLLGLASLEDLFRFQLSTGTTNTVYHLHVEQNCCVIEMEDHPYVDVTEPPPVLQGVYTNRLIYDDNP -LIEKDVERIYTQTYRSVTIDFPRYSMNELNTVLAQSLALTIVDIITKETKDHLTEFKVLANDDDINSLIT -EFMLVDPSEFALYLGLGVAVNWSFDIYYRRPQGKYQMVEYLASNMRIMSRSILSVLANALSHPKVFRRFW -DVGLVEPIYGPNVNTQNYSQIAIDLITKSYEIYIDYWLNGKALEYIITETNQDIVDQRYEGIQSRHLCFV -SCLYVERKYMPPILGLTSLEKCSVLQDALDTMIKVQPNSKSWNTKLLKVEIYPVSSTYLRRGSIKHIRLR -KFLVFEDPLSDKPKLDPLDPKSFIIMKNTTKGINTTFKAFQASILLSDDFRKLNQLEACIPTKNRWESHT -LRRVGINSTSCYKAMEIGMYIVNKVDLGGDRLFLGEGSGAMLSTYYMLLGPALCYYNTGVLNTNLIGQRV -FSIYPSEVMLVAHNNTTDLDIESNIKVLFNGKPECSWVGDMECFSYIMNNCKCDTFALVHNDMESSLEKN -PEIILTEQVHSLCIALNMLKKDGIYICKVAPRDDDYSQTLITLLYSYFSEVTCFIPSYSNPMSPECYLIC -TQKKFYSLLYPNIILDNIKEGFRTRNIIISRNILDMKFSIRKEMCKVRGLFGDYLASDLTTLDETEKALM -TYGFQINGPKLIKQITGHDIGSGAPNLRGYINSSVNNLINYCDPDRQNSNFLDAYPLNRDSKLREYIDLL -GKKLAVYILLYMKDSDQDLRRSLINNLRRKYIYLDICNYSFRGLIQPYLMKKLIKLDLNTNWMYQLDTPE -VKIWWKIVGYSVLHQEDD - ->YP_009666855.1 L protein [Mount Mabu Lophuromys virus 2] -MDSSNISDVLYPECHLNSPIVSGKLISLLIKGEFPHRELLDDRTLIVNLHSNLSKYKRTVTCRDQLQLKA -KLISYYPDLRKLNPIPYPYANKILFRITDQHLQKALQNVMEYANRCYKKISPRIVKLRDHVHAKLGVLSQ -MDRIESNKDARVILDLTQTHEGSPWYNPFLFWFTVKYQMRRLIKRSIKLRDRNYNTIFEHNSQNNLILIN -KNLCIIVTKKTDQIYYLTFEMVLMMCDVLEGRLMIDTSMKCDQRFSEFLPRGSNLWELIDSLFEDLGNDT -YNIVAMIEPLVLGYLQLRDESDLLRGAFLDFCLKEICSELETHGYTDPKDVDLVMNSLCDIFSIDDIHMT -AEFFSFFRTFGHPTLEAAEAANKVRAHMNNPKVIDFQVMMKGHAIFCGIIINGFRERHGGAWPPHKFSDH -VSKRIRNLAANSEALTHEDCIQNWKSFVGFSFGCFLPLSLDEDLTMYMKDKALAAIRSEWDSVYPSENLS -YKPPNQTTSRRLVEVFLTDNEFDPYNLINYVLSGDYLNDDEFNLSYSLKEKEIKKVGRLFAKMTYKMRAC -QVVGESLIATGVGKYFKENGMVKDEHELLKTLHKLSVSSVAKDNKLYKASEIHQLQSNGNHHYHLTHEKN -GCEPNLDRSSSSKVLAGDVQYETMSTFLTTDLQKFCLNWRQETTNLFAERLNEIYGLPGFFGWLHKRLEK -SVLYVADPYCPPNQKNHVDLDDVDNDQIFIKYPMGGIEGYCQKLWTIITIPFLFLSAYESGSRIAAVVQG -DNQAIAITKRVHPNLPYKQKKLLCSQLAQQYFNRLRMNMAGIGHNLKANETIVSSHFFVYSKRIYYDGQV -LSQSLKPISRAVFWSETIVDETRSACSNICTAISKSIEQGYNRWVGYSLSILKTLQQLCISLQFTINDSM -TRDITTPLIQNPNWLIACSIIPSQLGGFNYMNISRLYVRNIGDPVTASLADIKRMIHVGLLHSNVLQKII -HQTPGESTYLDWASDPYSVNIPSSQSVTIMLKNITARLILQESGNPMLSGLFHSDFEQEDRDLARFLMDR -PVIIPRAAHEIMDKSLTGARQEIAGMLDSTKGLIRNGLVAGGLRPKLIDKLSMYDYEQFRLFNNLMMINQ -TDDLITSENCSVELARLMRNRMWHHLTLGRPIYGLEVPDIIEAVNGFLIENCSDCYFCEAQNKEYCWFFV -PNYCELDQVYKETNAIRVPYFGSTTEERSEIKLSSVRSSSRALKAAIRIATVYTWAFGDDDQSWEEAWYL -SSFRANLTIDELKAITPISTSNNIAHRLRDKSTQMKYSGSSLNRVSRYTMISNDNLNFVIDGKKVDTNLI -YQQVMLLGLSTLEDKFRYLRNTGEFNTVYHLHVEQNCCVVEMEDHPYLNTEYPIPVLHGVTNNRLIYDDN -PLTEDDVNKIHTQMFLSNNLDFPRWSIKELNTVLAQSLANTIVEIITRDNKDHLTEFKVLANDDDINSLI -TEFLLVDPVEFSLNLGLSVAISWSYDIYYRRPNGKYHMSEILSTNMRITSKSYFNVLANALSHPKVFKRF -WDVGLVEPIYGPNVNTQDYTRIAIDLIVTSYETYLDYWLEGNTLEFIMPESRDEIVNDRYDLIQSRHLCM -LCCLYLDRKDMPKILGMTSIEKCSILTEHLANGRYTYHNYYTWNLDKLNIAVYPVSLTYLRRGSIKHIRV -RKMIAYQDPIHEDPKIDPLNQKSFIIQKSINNGIDTNFKAFQAILLYSDDFRQLNGIEERVPTKNRWESH -CRRRVGINSTSCYKAAEISLYILDKINLKGDRLFLGEGSGAMLTTYFMMLGPAKCYYNTGVVNTNLIGQR -VFQIYPSEALLVDHNNPSDINIERHITVLFNGKPESTWIGDMQTFSYIMNSIEIESLSLVHNDMESSLEK -SPDVIYQEQIHSMCLGYNLLDNHGIYIIKMAPREDDFTHQIINHLYDHFNEVTCFLPGYSNPSSPECYMV -CSEKKSKGIIYPSMFPSNPTNHSLLKSRQISNNILDMKFNMAKYIKEDRKLYGDYLESSLLHLDEIEKSL -LSYGFQINGPKLIRQVCGHDVSTGEGHLKGYINSAANEIVNYCDPKRDTSSFLEAYPLLRDSKLRELVNN -LCFKLTIYILLYMKERGVIVRKTLIAGLRKKYLSLDIYNDCANSIIQKYLIKKLAKSNINRNWHYPLSTA -EIKVWWKIVGYSMIHTSY - ->YP_009666847.1 L protein [Mount Mabu Lophuromys virus 1] -MAGTSLSDILYPECHLNSPIVTGKLVELILVTGLPNNQHLDDQTIITNIRKNLSLGYHNSLILQQRQFNH -ILTKKYPNLRVLRHIPYPNGNYFLFNITNNTIISELKSLLSYAHSCYRKISDRLVHLVNHVESTLGENHT -RDKDVDDDRKLQIVNLPNILESSKWYKPFLFWFSIKKEMRECIKISTSNINRGVYPNKVFDVDNLYIQLN -RNILIIVNKLTNEVHYLTFEMVLMFSDVLEGRLMIDFAMRSDLRYRQFKNRGYLLWEFIDSLFCDLGNET -YNIVALIEPLVLGFLQLHDESYLLQGAFLQFCLNEIMNEFSNLGFDHKDDHHEILATIYKIFDIEDLHMI -AEFFSFFRTFGHPTLEAEEAAAKVRAHMNKPKVIKFQTMMKGHALFCGTIINGFRDRHGGAWPPVVFPNH -VSKRLKQLHLNSESLTDEICIQEWKSFVGFKFKCFMPLTLDEDLTMYMKDKALAAISSEWDSVYPRETMA -YTPPKQTTSRRLVEVFLNDENFDPVNLINYVLSGDYLKDDTFNLSYSLKEKEIKKVGRLFAKMTYQMRAC -QVVAESLIATGVGKYFKENGMVKDEHELLKTLHKLSVSSVPKDNKLGNKAENLFSNDNLSEVNKKFGQGG -NIKDAQFETISTFLTTDLQKFCLNWRQETTNIFAQRLNEIYGLPGFFNWLHKRLEKSVLYVADPHCPPNL -SKHEHLDNVPNNQIFIKYPMGGIEGYCQKLWTIITIPFLFLSAYEVGARVAAVVQGDNQAIAITKRVHPN -LPFQVKKIISSQLAQKYFDRLRSNLFDIGHNLKANETIVSSHFFVYSKRIYYDGLVLSQALKPMSRVVFW -SETIVDETRSACSNISTAVSKSIEQGYSRWIGYNINILKTIEQLVISLSFTINPSMTKDISQPILQSPYW -LISAAIIPSQLGGFNYMNMCRLYVRNIGDPITASIADVKRMIKVKLLTPSILQKIMHQTPGDNTYLDWAS -DPYSVNIPHSQSISMMLKNVTSRMILQHSDNPMLKGLFHFDFEQEDRDLASFLLDRPIIIPRAAHEIMDK -SLTGARQEIAGMLDSTKGLIRNSLKTGGLRPRLTEKLSMYDYEQFRTFNNLMNNKKSDELITIDACSVEL -AILLRKRMWHRLARGRPIYGLEVPDVIEAVNGYTLKDCEDCFYCQAQNKEYGWFFVPKRCQLDAVHEESN -QMRVPYFGSTTEERSEIKLSSLRSASRALKAAVRIATVYTWAFGDDDDSWSEAWYLASFRANLTIDELKA -ITPISTSNNIAHRLKDKSTQMKYSGNTLNRVSRYTIISNDNLNFIINGRKVDTNLVYQQIMLLGLSILEG -KFRFKSDTGDSNTVLHLHIVKNCCVIEMEDHPYTNSLLPVPNLVKVECNRLIYDDDPILERDTEQIHRQL -YNLPSLDFPRWTITELNEGLAQSLAMTIVDIITKENKDHLTEFKVFANDDDTNSLITEFMLVDPSQLTIH -IGLSIAINWAYNIYYRRPEGKYQMVEYLYTIMIQSPRGYFSVLANALSHPQIFNKFWDAGYVEPIYGPNI -SSQDFIRIAIDYMILCYSTYLNYWTNDNSIQYLLTEYSDDIIEQRFEIVQSKHLCMLNNLYNQRSDMPII -RGLTSIEKCTILTDALRNAQFGNPGSVSWNLDPLEVVAYPASLTYLRRGTIKHIRLRNVLSKSILYINEV -KFEVPNITQDKLFEEQLLGYNKGAYYFPITYLFTDDFRQTLGNHKIAPVKNKWECHVLRRLGLNSTSCYK -AVEIGLYLKDKIDLKGDRLFLGEGSGAMMTTYYAILGQATNYYNTGVFASEVVGQRVLTLSPAEAYLVAK -NNPNEMNLRKNIIPLFNGKPESTWIGNPSAFVYINNSIKSHSLALIHNDMESSFEKDTYTIACEQCHSLA -LALNLGRSDSIYVTKLAPRPNDYSFAIFDILQIYYEEVFCFIPMYSNPYSSEVYIISSVPRNTSLVYPDL -LMKYLDKVGPSDIFELQNTIINFKLGKYLEKRATEKLYGNYSKSRLSNLSNIDKILIRIGFSLNGPKLIK -QLTGHDVGTGSHSLVSSIQLLANSLVTYLDPERDKSYFFDPYPLKMDSKVKEMIESISIKIIIYYIVYAQ -PKSNQQRSRYINCLRKQYLYHDLTDEQCNTVVPSYLIRKLKKTGYQLDWKEDLDAGSIKAWWKIVGYSML -IDEQ - ->YP_009512964.1 RNA polymerase [Feline morbillivirus] -MEQSDYQDILYPEVHLNSPIVISKLVGILEYAQIAHNQQLSDRTIIKNIQFRLRNGFNSSRVQVLSAMGE -IINKIRNKYPNYLHIPYPECNQKLFRIVDPELTSKLESLLNKGDTLYLKIRSDIIKCFDRLKMKMNIKND -LLNDNSQLILDLPLIIKGSQWFFPFLFWFSIKTETRSCIRQNQKTRVRSQYRPHLSETKRITLVVTSDLI -TIFDHINKCIFYLTFEMLLMYCDVIEGRLMTETAMSLDCRFTNLLPRVQYMWDLLDGMFESLGNQLYSVI -ALLEPLSLAYLQLIDADPQIRGTFLHHCFSELEEIIFDKTPFDPFVYENLINGLDYIYLTGDIHLTAEVF -SFFRSFGHPFLEAQNAANNVRKYMNKPKVISYQTLMQGHAIFCGIIINGFRDRHGGTWPPVELPNHASAV -IRNAQLSGEGLTSEQCAQHWRSFCGFRFKCFMPLSLDSDLTMYLRDKALSPVRNEWDSVYAKEYLRYNPG -LPTSSRRLVNVFLEDDKFDPYEMIMYVINGDYLRDKEFNLSYSLKEKEIKEVGRLFAKMTYKMRACQVIA -ENLIANGVGKFFKDNGMAKDEHKLTKTLHKLAISGVPKDNSKLYLDECWEQVIRQCSSSTQIREQTMNSQ -SNREIESKSSRARLNNRDILKGKRDSNKQVKYPSNTEYYETISSFITTDLKKYCLNWRYESSSMFAERLN -EIYGLPGFFQWLHKILEKSVLYVSDPSSPPDFDQHVDIESVPNDHIFIKYPMGGIEGFCQKLWTISTIPF -LYLAAFDTGVRISSLVQGDNQAIAVTKRVPSSWSYSKKKEESTKITTQYFLNLRQRLHDIGHELKANETI -ISSHFFVYSKGIYYDGILLSQALKSIARCVFWSETIVDETRSACSNISTTLAKAIERGYDKFVAYAINIY -KTIHQVLIALSFTINPTMTPDITEPFYKSLDLLKNLVLIPAPLGGMNYMNMSRLFVRNIGDPITASFADI -KRMIECGLLGCSILSQIMYQKCGSSKYLDWASDPYSINLPYSQSMTKVLKNVTARYVLMHSPNPMLKDLF -HEKSQEEDEILAEFLLDRHLIIPRAAHEILSNSVTGARESIAGMLDTTKGLIRASMSRGGLTSSLVLKLS -TYDYQQFRTCLEWLYAPTTGIAVSVDSCSVFLAKTIRKRMWVHLTKGREIYGLEVPDILECMQNNIIVDH -EDCYSCIQGSRYYTWFFVPSNCQLDQINKSTNSLRVPYVGSTTEERSDMKLSYVRSPSRPLKAAVRIAAV -YTWAYGDDNLSWHEAWYLARTRANITFDELKLITPIATSTNLAHRLRDRSTQVKYSGTSLVRVARYTTIS -NDNMSFIINNKKVDTNFVYQQGMLLGLSILEYIFRYCTSTGQSNTVIHLHADVNCCIVQMTDQPYTPSLT -KKLPDIRPINNKLIYDPAPIIDTDAARLYSQKYLSHLIDFPSWSTTQLNTVLAKVVAVSIVELITKASKD -HLNEIIAVVGDDDINSFITEFLLVDPRLFTLYLGQYTSLQWAYEVHYHRPVGKYQMAEVLHNLLSRASRG -IFSILTNAFSHPRVYKRFWECGLLEPIYGPYIGSQNLHNAMIDYIYNAYITYLDAYLSDQVDDTDIIICE -TEETCLANRIDNYQSRHLAVLIDLYCDSTRCPNIKGADTIMRNSILRSFIDNERRTNPLGLTWNLDPLLV -DHFSCSITYLRRGIIKQMRLRFDPSVSLELSRMIKPDAVYQAPKIPSSWALIDINPEVNDLNVIFGELNS -KWKDIPIGQIRIQNYEIHAYRRIGVNSTACYKALELLSVLNRFMSNPSGALFLGEGAGSMLVTYRAFVPF -KTIYYNSGISVQNVQGQRELSLYPSEVALVDNKNRLANDPNIKVLFNGKPESTWVGNIDCFAYILSHIET -SSLTLIHSDIESSLSKTKNKILEELCHILSMALILGKIGSLLVVKLLPRVGDYTYSFCRYASEFYQQSLL -VLPRFSNMSSSEVYYIGIHLNTNRLIDPDRIVQYIVRNLQPTPVTFLSYIFETKYRNNMVTNYGLCLSDG -HKSDYLSSITKIENVLLSCGLELNGPKIIQQLSGHDYANGETSLESSIMILVREYLNATIQGRETLGLFS -PYPVLHESQLREINKCIALKYVVYLLFYSSSTLSSKQIMSNLRKGILMYDLRDEFFISRLSANYKKKVMS -QEVKTTWIFNLDTPTRKALYKLVGYSLIINHV - ->YP_009179212.1 large polymerase subunit [Caprine parainfluenza virus 3] -MEFEIQNRTTSDILYPECHLNSPIVKGKIAQLHTIMSLPQPYDMDDSSILNITRHKLKLNKLDKRQRSIR -KLRPILIEKVADLGKYTFIRYPDMSYDMFHLHIPGITTRLDDLLGRAQKTYNGMTDGLRNLWINVLSKLA -STNDRCTYDINEDISNISNVHTVYQSDRWFGPFKTWFTIKYDMRRLQKGKNEILCSNQKDYNLLEDLKNI -LVIHSEFVLVLDKQRHRGYMLTPELVLMYCDVIEGRWNISSCAKLDPKLYSMHIKGNSLWDVMDGLFPIL -GEKIFDIISLLEPLALSLIQTYDPVKQLRGAFLNHVLAEMESVLSTKQNTDEANNIDYIDRILDVFKGST -IDEIAEIFSFFRTFGHPPLEASIAAEKVRKYMYAEKSLNFDTINKCHAVFCTIIINGYRERHGGQWPPVV -LPAHAHEFIRNAYGTNSALSYENAVDYYQSFIGIKFTQFIEPQLDEDLTIYMKDKALSPKKSNWDTVYPA -ANLLYRISSSCESRRLVEVFIADKKFDPHQVLEYVESGYWLEDPEFNISYSLKEKEIKQEGRLFAKMTYK -MRAAQVLAETLLANNIGKFFQENGMVKGEIELLKRLTAISMSGVPRHNEIYNNSKSYSNEIKNHETVVNS -ELFSKNKTRKFEFKSTDIYNDGYETISCFLTTDLKKYCLNWRYESTALFGETCNQIFGLRELFNWLHPRL -ENSTIYVGDPYCPPADTEHLSLDDHPDSGFYVHNPRGGIEGFCQKLWTLISISAIHLAAVKIDVRVTAMV -QGDNQAIAVTTRVPTNYDYKTKKEIVYKDVVRFFDSLREVMDDLGHELKLNETIISSKMFIYSKRIYYDG -RILPQALKALSRCVFWSETIIDETRSASSNLATSFAKAIENGYSPVLGYVCSIFKNIQQLYIALGMNINP -TITQNIKDQYFRNPNWLKYASLIPASVGGFNYMAMSRCFVRNIGDPAVAALADIKRFITVNLIDRGVLYR -IMNQEPGESSFLDWASDPYSCNLPQSQNITTMIKNITARNVLQDSPNPLLSGLFTPTMIEEDEELAEFLM -DRKIILPRVAHDILDNSLTGIRNAIAGMLDTTKSLIRVGINRGGLTYNLLRKISTYDLVQYETLSKTLKL -VVSDKIRYEDMCSVDLAISLRQKMWIHLSGGRIINGLETPDPLELLSGILITGSEHCRICYSTEGNSPYT -WMYLPNNLNIGSAESGISSLRVPYFGSVTDERSEAQLGYIKNLSKPAKAAIRIAMIYTWAFGNDEISWME -ASQIAQTRANFTLDNLKILTPVTTSTNLSHRLKDTATQMKFSSTSLVRVSRFITISNDNMTIKEANETKD -TNLIYQQVMLTGLSTFEYLFRLRDNTGHNPIVMHLHIEDKCCIKESYNDEHINPESTLELIKYPESNEFI -YDKDPLKDIDLSKLMSIRDHSYTIDMNYWDDEDIIHAISICTASTIADTMSQLDRDNLKELVVIANDDDI -NSLITEFLTLDILIFLKTFGGLLVNQFAYTIYGLKIEGRDPIWDYITRTLRDTSHSVLKVLSNALSHPKV -FKRFWDCGVLNPIYGPNIASQDQIRLALSVCEYSLDLFMREWLNGSSLEIYICDSDMEIADDRRQAFISR -HLAFVCCLAEIASFGPDLTNLTYLDRLETLKCYLDLNIKEDPTLKYVQVSGLLIKSFPSTVTYVRKTAIK -HLRIRGISPPSIIEDWDPVEDENILDNIAKVISDNCDNNWSKNKNKCNYFWGLSLKNYQVIKVRSITINS -EFPETSIQTNHGLLLPEGGNYLSHQLRLFGVNSTSCLKALELSQILMREVSKDKDRLFLGEGAGAMLACY -DATLGPAMNYYNSGLNITDVVGQRELKIFPSEVSLVGKKLGNVTQILNRVKVLFNGNPNSTWIGNMECES -LIWSELNDKSIGLVHCDMEGAIGKSEETVLHEHYSVIRITYLVGDDDVILVSKIIPSLTPNWSKIITLYK -LYWKDVRIISLKTSNPASTELYLISKEAYCTVMEPSSVILSKLNRISPMEENNLLKWMIISKKKNSEWLR -HEINEGERDYGIMRPYHTALQIFGFQINLNHLAREFLTSPDLTNINVIIQSFIRTMKDVIFEWINITHST -KRYKLGGKYNLFPLRDKGKMKLISRRVILSWISLSLSTRLLTGRFPDERFESRAQAGYVSLADTDLESLR -LLPKGIIQNYKDHIGSISYWFITREIKILMKLIGGVKLLGIPRHHKQLDDQVSQYDEYLNEFDID - ->YP_009177604.1 large protein [Phocine morbillivirus] -MDSVSVNQILYPEVHLDSPIVTNKLVAILEYARIKHNYQLTDTTLVHNIRERITEGLSNQMIIHCIEIGS -IINQTLLSYPQYKHVIYPNCNKSLFHAQDQIISLKLRTIFKRGNSIYSKITDGIKRCLVNINLNIGLGET -LDKTVRTNIDEVGIVMQSSQWFEPFLLWFTIKTEMRSVIKSSTHNCRKRKQNPIFVKGESFKVLVSRDLV -CLIDLANYSVYYLTFEMVLMYCDVIEGRLMTDTAMAIDPRYSALHVRIKYLWDLIDGFFPDLGNSTYQLV -ALLEPLSLAYLQLKDITFFLKGAFLSHCFTEIQGILQASGFYTDETFQTLTQALDFIFITEDIHITGEIF -SFFRSFGHPRLEAVTAAENVRKHMNQPKVVSYETMMKGHAIFCGIIINGYRDRHGGTWPPMDLPVHASPL -IRNACVSGEGITYSQCVENWKSFAGIKFRCFMPLSLDSDLTMYLKDKALAALKKEWDSVYPKEFLRYNPP -RSTESRRLVNVFLEDAEFDPYNMIMYVISGQYLKDPDFNLSYSLKEKEIKEVGRLFAKMTYKMRACQVIA -ENLISNGIGKYFKDNGMAKDEHDLTKALHTLAVSGVPKDKKDSHRGFNKQQRSFNSEPNLKTLHPSSLSN -NHRKSQIPNFCTSRQEDNDTEIYETVSAFITTDLKKYCLNWRYETISIFAQRLNEIYGLPSFFQWLHRRL -EQSTLYVSDPHCPPDLDRHINLDATPNSQIFIKYPMGGVEGYCQKLWTISTIPYLYLAAHESGVRIASLV -QGDNQTIAVTKRVPSTWPYELKKAEASRVTTEYFIALRQRLHDVGHHLKANETIVSSHFFVYSKGIYYDG -MLISQSLKSVARCVFWSETIVDETRAACSNISTTLAKAIEKGFDRYLAYALNILKIIQQVLISLGFTINS -AMTQDVIEPLLQNHCLLTKMAILPAPIGGLNYLNMSRLFVRNIGDPVTSSIADLKRMIKSGLLGEEILHQ -VMTQNPGDSTYLDWASDPYSANLPCVQSITRLLKNITARHVLINSPNPMLKGLFHDDSQAEDEALAAFLM -DRKVIIPRAAHEILDNTITGAREAIAGMLDTTKGLIRASMRRGGLTPRIITRLSTYDYEQFRAGIRLLSG -KRRDPLIDQDSCSVQLARALRNHMWAKLAKGRPIYGLEVPDILESMRGYMIRRHESCSMCSAGSLNYGWF -FVPANCQLDSITEGTSALRVPYIGSTTEERTDMKLAFVKSPSRSLKSAVRIATVYSWAYGDDDSSWQEAW -TLAKQRANISLEELRMITPISTSTNLAHRLRDKSTQVKYSGTSLIRVARYTTISNDNLSFVIADKKVDTN -FIYQQGMLLGLGILEHHFRLSSNTGESNTVLHLHVETDCCVIPMTDHPRVLALRQVVVPRNICTNPLIYD -SNPIIEKDAIRLYNQSHRKHIVEFVTWTTGQLYHVLAKSTAMSMVEMITKFEKDHLNEVSALIGDDDINS -FITEFLLVEPRLFTVYLGQCAAINWGFEIHYHRPSGKYQMGELLFSFLSRMSKGVFKILTNALSHPKVYR -RFWDSGMIEPIHGPSLDAQNLHITVCNLIYNCYMIYLDLLLNDELDEFSFILCESDEDVIPERFDNIQAR -HLCILADLYCNPRSCPQIRGLTPTQKCAVLIKYLKLQALESHVGLTWNDKPILIDQYSCSLTYLRRGSIK -QIRLRVDPGFIADAIGDVGNSPLKRGPASVPLTLKTGFDPPKDDLTKLLSQLSTKTHNLPITGLGVRNYE -IHAFRRIGVNSTACYKAVEIISLIKKEFSTGEHGLFLGEGSGAMLTVYKELLRLTRCYYNSGVSVESRTG -QREISPYPSEVSLVEHQLGLDRLATVLFNGRPEVTWVGSVDCYKYILSQIPASSLGLIHSDIESLPNKDA -IEKLEELSAILSMTLILGKVGSVLVIKIMPMSGDWVQGFILYTLPHFLQSFIVYPRYSNFVSTEAYLVFI -GLRAGRLVNPEGIKQQIIRIGIRTSPGLVGHILSTKQTTCIQSLHGPPFHNRSLNPYLRSLTCIEKVLIN -CGLTINGLKVCKNLFHHDISSGEEGLKGSIIILYRELARFKDNYQTSHGMFHAYPVLATSQERELVSIIA -RKYCGYIMLYSEDIYELSKIVRNLKSNHIIFDLHHNLFMENLSRFDRSLIFSTIPKRDWLFQLETKEIKE -WFKLLGYSALIRNS - ->YP_009094450.1 RNA polymerase [Porcine respirovirus 1] -MDHFNMSQNPSDILYPECHLNSPIVKGKIAQLHVLLDINQPYEMRDPSIIEITKVKIKSGGLNQRLIRIR -SLGKEMRRIIPDFDKYTFEPYPIFSKELFRLEIPEICDKIRSVFAVSDKLSKDISQPLQYLWRDVRRQLG -GDCSKDLSNNEIDIHKIPEIHTKFTRNNWYKSFMTWFSIKYDMRRCQKNRENINLDSRKSYNYLNCKYYS -IIIHPDLLMILDKVKYTGYLLTPELVLMYCDVVEGRWNMSAAGQLDDKSHKITLKGEELWDRIDELFKII -GEETFNIISLLEPLSLALIQLTDPVMSLKGAFMRHVISEMNETLSKCGNLTELEVDHIMDSILNIFMDTT -VDEKAEIFSFFRTFGHPSLEASIAAEKVRQHMYAQKSIKYKTLCECHAIFCTIIINGYRDRHGGQWPPCQ -FPDHVCQELRNSQGSNSAISYETAVDNFESFIGFRFEKFIDPQLDEDLTIYMRDKALSPRREAWDSVYPD -GNLLYKVPFSEETRRLIEVFISDSNFNPEDIIQYVETGEWLNDETFNISYSLKEKEIKQEGRLFAKMTYK -MRAVQVLAETLLAKGIGGLFNENGMVKGEIDLLKSLTTLSISGVPRTSEIYNESVREEEDRKRWERENSS -YYWDKRKKSKHEFKATDSSTNGYETLSCFLTTDLKKYCLNWRFESTSLFGQRCNEIFGFKRFFNWMHPVL -EECTIYVGDPYCPVPDKIHMNLEDHEDSGIFIHRPKGGIEGYCQKLWTLISISAIHLAAVKVGVRVSAMV -QGDNQAIAVTSRVPVTATYKSKKEQVYTEITKYFKSLRDVMSDLGHELKLNETIISSKMFVYSKRIYYDG -KILPQCLKALTRCVFWSETLVDENRSACSNLATAIAKAIENGYSPILGYSIALYKTCQQVCISLGMTINP -TITPSIRDQYYLGKNWLRCAVLIPANVGGFNYMAMSRCFVRNIGDPAVAALADLKRFIRAGLLDRQILYR -VMNQESGESNFLDWASDPYSCNLPHSQSITTIIKNITARSVLQESPNPLLSGLFTYDSKEEDLNLATFLM -DRKVILPRVAHEILDNSLTGIRESIAGMLDTTKSLVRVSIRKGGLSYNILRKLINYDLLQYETLTRTLRK -VVTNNIEYEYMCSVELAIGLRQKMWSHLTYGRPIHGLETPDPLELLKGTFIKGSEVCKICRSEGDNPIYT -WFYLPEEIDLDNLEQGNPSIRIPYFGSTTDERSEAQLGYVKTLSKPAKAAIRIAMIYTWAYGTDEISWME -AAQIAQTRANLSLDNLKLLTPVSTSTNLSHRLKDTATQMKFSSATLVRASRFITISNDKMALKEAGETKD -TNLIYQQIMLTGLSVFEFNTRYIKGKTKQPMILHLHLNNGCCIMESPQETCIPPKSTLDLEVTNEENKLI -YDNNPLESVDLSIFQKIRDIVHTVDMTFWSDLEIMRAVTICTSMTIADTMSQLDRDNLKEVIVLTNDDDI -NSLITEFMIIDIPLFCSTFGGILVNQFAYALYGLNIRGREEIWGYVTRTLKDTSHAVLKVLANALSHPKV -FKRFWDFGVLEPVYGPNLSNQDKIMLALSVCEYSIDLFMRDWQSGIPLETFICDNDPEVVELRKGAYLAR -HLAYLCSLGEISSYGPRLETLTSLERLEVLKSYLEISCLEDPTLRYSQVTGLVLKVFPSTVVYIRKLAIK -MLRIRGIGVPEVLEDWDPSHEQALLDNIAQEIQHNIPINQSIKTPFWGLKVNNSQVLRLRGYKEVKDRKS -GRSGVGLTLPCDNRYLSHQIRLFGINSTSCLKALELTYLIGPLIDKSKDRLFLGEGAGAMLSCYDATLGP -SMNYYNSGVSSCDINGQRELGIYPSEAALVAKKLNNVTNLDQRIKVLFNGNPGSTWVGNQECETLIWSEL -QDKSIGLIHCDLEGGELKDTPTVLHEHYSIIRIAYLVGDNDVLLVTKIAPKLGTDWTQQLCLYLRYWNEV -NLVVLKTSNPSSTEMYLLSRNPSKDVIEDSLTVLSDLKPLSKKDSIQLEKWILIEKDKVKEWLIKELREG -ELMSGSLRPYHQALQIFGFEANLHKLCRDFLSTMSISDIQMCINSFYRVLKDTIFEWNRITNDHKTCKLT -GKYELYPIRDSGKLKVISRRLIISWIALSMSTRLLTGAFPDVKFESRLNIGLVSLSTNEIKSLKLISKAT -VDRFQEVIHSVSYRFLTKEIKILMKILGAVKLFGARQTYNHSALTQESLSDIEGYLDD - ->YP_009094339.1 large protein [Salem virus] -MTDLSLNEVLYPEVHLNSPIVTGKLVGLLNRVGLPHRVNLRDQTIVENINFRVVHQPSDPDWIKYSELRV -HILNLLDQNKIEHYPSYPEGNHFLFRLHDGKLAPRLETLLERSFRIYRNNYLDLAGMLAQVRSKLGLTNS -ESYDFKLYSEELPLIMKTSKWWHPFLNWFSIKTLMRCVIKRTYGRSSKRKPIIETAFGESLIVVITHQLI -VIIDKQGRSVHYLTPEHVLLFCDVVEGRLMIDTGSKVDPKLSVLSDKGNSLWELIDSLFCHLGNSTYEIV -GLLEPLTLAILQTRDVVLDLRGAFLNHCLSELDAILIKANFTTLDDFASIADTLQQLLNLSDINLVAEFF -SYFRTFGHPTLEAVTAADKVRTYMADPKLINYEIMMKAHAVYCGIIINGYRDRHSGIWPPVEFPSHADQA -ILRARNNNDALSDVMCVLNWKSFIGFHFKCFLDLNLDEDLSIYLKDRALAAVKDHWDAIYPTEHMKYSPE -RNPTSRRLVDIFIQDANFDPAHLIEYVLSGEYLTDPEFNISYSLKEKEIKQAGRLFAKMTYKMRACQVIA -ESLIAHGVGRYFKDNGMVKSEVELSKTLHRMSVSAVPRSDRSQMSSGGNVIPPSNNSEYTGHSNPDGSQK -ESYINVGKFNNSHQRDNRFGGCISNKNDLKTHRKYQSLPIQSLKHEENYETVSSFITTDLQKYCLNWRYE -STAVFAERLNEIYGLPGFFNWQHKRLERSILYVADPSCPPDHEEFIHLEDTDNSGIFIHYPMGGIEGYSQ -KLWTISTIPYLYLTAVEVGVRITALVQGDNTVIAVTKRVPTTWPYHVKKESAMDVTRRYFKQLRWNLSGI -GHNLKMNETIISSHFFVYSKGIYYDGMLLSQSLKSISRCVFWSETLVDETRAACSNIATTICKAVERGYD -KYAGYFLVFLKTLQQLMISLGFSINQTLTADIVSPILNSDWYLYLSVILPAPLGGFNYLNLSRLFVRNIG -DPVTASFADLKRLITSGVLHPSVLGQIFAQKPGTSKFLDWASDPYSANLPSAQSITKLIKNLTGRVVLSQ -SPNPLLQGLFHINSEEEDEALAKFLMDRSIIIPRAAHEILANSMTGARESLAGLLDTTKGLIKTGLMNGG -LSHNLLKKLQNYDYTQFSHLLCLLTVKPANIKVSVDYCSVDLAIMLRHQMRRDLALGRPIYGLEVPDMLE -CMTGVLLRGATLCQQCAIGSQYYGWFFVPAELELDEVHGSSNSIRVPYTGSVTEERTEIQVGQSRHSSRS -LKAAIRIATVYTWAFGDSDQSWEESWYLASQRANLTIDELKLLTPVSTATNLAHRLRDRNTQVKYSATSQ -ARVSRYTTISNDKLSFEIDGDKVDTNFIYQQSMLLGLSILEDFFRVQNFTGTLNTILHLHADVHCCVKKM -IDHPKVEPYRPLPTLTSVTCNRLLYDDEPLGSEDQNRLYHQIFQSSMPHFPLWDRTEFPLWDRTELINTL -ASSLASTVIDVISQSEHDHLNESLALQAPDDIGTLITEHLLVDPRKYSLYLGLYIATNWALEIHYRRPEG -RSEMRDTLYGLLIRTSRASFGILINALTHPKIFNKWLAIGLISPAFGPTLQTQDLHKLVVNYLCDCYDMY -MLGWLQGHSVDLKYLICESDEYVIQSRIDLVQARHLAFLVDLYSCSKACPRIRGLTSIEKCAVLDQYIKM -AQTENVGGKHWYLKIKQIECYPSTLTYLRRGVVKQIRLRGISNLGDLGQAFKSKEVEACDDLPLRNAPGD -SFSHSNNTSVEDVTSVFRELETNHKRTPIGSVVTEFYAFHSFRRIGVNSTACYKGLEICRFLTTSLNYNT -PRLFVGEGAGSIMSTFSLYFPGSTTYYNTGVSSEVGIGQRELTVEPAEPYLINRTRASGSMNKIEYIPLF -NGSPDVTWIGNDQTYIYILSAIKPSSLGLMHSDIEGSWEKSPEQIAREYAHILSLSLLLLDLDGILVQKL -MPKGNDHTVSYIKLILQYFSGVYFFYPTYSNPCSTEVYLVALYPRMNKLISPDKIFTAILRPAENWGSSL -VEHILSVKGKHLVRYQSALTSTVKDSRTDMSLNRLNDMEKWLLNQGFELNGPWVLEKFACSQLLTDEDAF -SRSIQSQLREAAYWIKEGLPDSLFMMPYQVRFESQIAETLRSLARRVTVLWFFRSSREEGCSLLRSIVRS -RKLVVSSVSPQSVFLRNKSYTAIIWDYLGPAGIIIHLETPEQKLWQKLFGYRCLLTDTT - ->YP_009094096.1 polymerase [Mojiang virus] -MNFSDVSVSDILYPECHLNSPIVTGKIVQVVRFSDIPCNQILIDPTLNEIIDIKLKSNKPGLIRRRQKEY -GILLKQVAGDITSYQHIPYPECNTRLFYISDSSLVCCLSEIMTHANQCYLKISSKILDLLDKTECNLTGI -GSRDDDETRIIYNNVETLPKIMAQSKWYKPFLFWFTVKTEMRNNIKENKKYSRHNRPHITQLSGKLIYVQ -LNMNLISIIEWEKKCIYHLTPELVLMYCDVIEGRLMIDTVMKADTRYHPLYNRAHQLWDLLDLMFEEIGN -NMYNIISLIEPLTLGILQLQDKAVALVGSFLSFCISEIRSELVKNGFHDEQDIEDFTSRIINIMSLPDIH -LVAEFFSFFRTFGHPTLEALTAAEKVRTHMQKPKILDFLPIMKAHAIFCGIIINGYRDRHGGAWPPVIFP -EHVSVLIKRLHNSSEALTHEICCQEWKSFCGFKFKCFLPLELDNDLSMYMKDKALSPVKNEWDSVYPYES -MCYNPPASTTSRRLVDVFVNDAEFDPYEIIDYVLSGRYIDDPEFNISYSLKEKETKQAGRLFAKMTYKMR -ACQVVAESLIANGIGKYFKENGMVKDEHELLKSLHQLSASSVPKNEGFNEDNWKISKRPFKRIESKDSLS -KENKTLLNSKVITNTIGHNTFNTTLQNKQPRTPRINPQLNKNKNSLQDTISNYISKDYTIQDYTSKAFDR -SDDKYDTISSFLTTDLQKFCLNWRYESTALFAERVDEIYGLPGFFNWLHNRLERCTLYVADPHCPPPFTD -HLELDEVPDEGIFIRHPMGGIEGYSQKLWTIITIPFLFLSGVETNTRVAAVVQGDNQSIAITHKVHPNMS -YIAKKDVSAEKARIYFERLRTNLDALGHNLKLTETIISTHFFVYSKRIYYDGMVLSQGLKSVSRCVFWSE -TLVDETRSACSNISTSIAKAIENGLSKDIGYSLNVLKTIQQIIVSLKFSINETMTPDVTNPLIQNINWLL -TACLMPASLGGFNYLNLSRLYVRNIGDPITASLADLKRMINSGLMTERVLHQVMTQTPGDASFLDWASDP -YSANLPSSQSITKTIKNVTARLVLSNAKNPILKGLFHNSYHEEDKSLAEFLMDRNIIMPRAAHEILDNSI -TGAREEISGMLDTTKGLIRTGLSKGGIQPRLVSRLSHYDYEQFRVFNNLMRNKNMSPYIKPTICSVELAR -YLRSHMWRRLSQGRLIYGLEVPDPIESMQGTIIRGSEECSLCASEKSPYCWFFIPKDMDLDKVHKPTNSI -RVPYIGSSTDERSEIKLGHVKSSSRALKSAIRIATVYTWAFGDDDESWLEAWYLASQRVNIDLEVLRAIT -PISTSNNLAHRLRDRATQVKFNGSSLNRVSRYVTISNDRLEFIINDQKVDTNLIFQQVMLLGLSAIEQRY -RYKTCTGKENVIYHLHARENCCVIEMSSTGNVPSLLEPPFYKEIRENRLIYDDNPIIDKDLRKLRTQAWY -ASDVDFSNWKSSDLHCVLAQSLAHTIIDSISKSDNDVLKQFVTISSDDNINSLITEFLLVDVNLLSVYLG -QACSNKWAFDIHFKRPQGKWQMIELLTDLLSDTSVHVFRVLTNALSHPRVFKKFWDSGLLSPHYGPYFYN -QDTTKLAIDLIISSYTMYLTIWLEGRTVSFLMAEQSVDAVDIRSQTVQAKHLCMLCDLYCNEQGPPHIRD -LMPHQKIDILSDFIKKTRLNIVGSDSWNIHNLHINVYPASLTYLRRGVIKQLRIRQDIGEMFDIIEMDRK -YHKFMVETAINYKPRSSCTVLNLNDIDRPLNSTLYIDLEDSVPVNGWESHKYRRVGINSTSGYKALELYS -IIERYYTPNSPRLFVGEGSGAMMSVYFKLLGKAINYYNSGISELEVVGQRELRLYPAEACIVDHNMGEEY -GLLQSIIPLFNGRPETTWIGNMDCFVHIMRMIKSHSLGLVHSDMESGLDKESITILNEHSHLLSLAINLL -RFDGILVSKINPSSSFPLTALFNMYKACFVDIKIALPKYSNPNSTECYLICTQPRILTVVTPEIILEHSI -DKPEDCNKSVLNYILILKFRIGISFEDRGRSVCDGKIVGDMSHGIVEYKRSGSDRIELTGQVKANICDSD -NITLINLIQGLTEDDKILLSCGLSLNGPTICQKLLGYDVSGPLETILESSFLLLNEALNIYDGNRMPSSF -FEPYPILESTRLTRYMHKFVRKIIGYTYLKYNTLDLSLVNQHISNLKRRYLIFDFNTYKHTKIIPNYYYK -RINKGKFKQNWMISLTTKEIKLWWKLISYVPIFNKTT - ->YP_009094152.1 RNA polymerase [Salmon aquaparamyxovirus] -MDADQPVQSNKDCSSILYPECHLNSPIILGKIVQCHVAMGVHQPYMMEDDTIITNTRKNLRTRRETGRLC -SLRKIGGILKGIVPSLPNYRFEAYPYNTKEMLRLDLKERCDELDNLLGRADSCFNYNKEKVSAMLKSCLV -KGVGLPSQDIPGLSDDCAAGESLPAAARRSRWFKSFKSWFTIKREMRILQKACNKGARMNKEQTKWLPGN -PYDVFITAEVVVILSKSGKIGHILTPELVLMYCDVVEGRWNMATAGSLDTKTSGITRRGEKLWSLIDTLF -PRIGEKTFDVVALLEPLSLALIQLSDPVEEIKGAFLEFVINELSDALSKDDWYTPKRVSAFSRKLLGILS -TDLVSEAAEIFSFFRTFGHPALEAVQAAEKVRKYMYEPKILSFETIMQAHAIFCGIIIGGYRERHGGQWP -PCTIPEHASNSIKAAKLQGSALTHEMCYDNWRSFTGFKFEKFLEPQLCEDLTIYMKDKALSPPKSNWDSV -YPNHNMCYNPNVCEESRRLVEVFVADYKFDPKDILKYVEEDEWLADEEFNISYSLKEKEIKQAGRLFAKM -TYKTRAVQVLSETLLAAGIGPLFRENGMAKNEIDLLKQLSTLSISGVSRSSGESPLSETSEYLKLEQNKD -AKKGTATKTQNDKYCYKRPNLEMEDHETISAFLTTDLKKYCLNWRSETTSIFGLRCCEIFGLKKFFNWMH -PILEKSVLYVGDPYCPIPDETYTSLDDEDDSGIFIHNPRGGIEGYCQKMWTLISIALIHLTAVRTSVRVS -AMVQGDNQAIAVTQRVPHGLSYKEKKHRVYDAVVRYFYQMQKVMAEMGHELKLQETVISSKFFVYSKRIY -YDGQILPQCLKALTRCVFWSETLVDESRAACSNIATAVAKSIEQGYSPVVGYFFQVYKVFQQLMISLGFS -VNPTLTKSVTGPLIRSTEWLTVAAFVPASVGGFNYMAMARCFVRNIGDPATAAMADIKRMIEVDILTTEV -LNKLLEQEPGDSTFLDWGSDPYSCNLPYSQSISAVIKNVTARSVLVDSPNPLLQGLFDIDNQEEDEDLAM -FLMDRGVIMPRVCHEILDNSLTGVRESIAGMLDTTKTLIRAGVRSGCLSLTMMRRLVNHDLLQFETFTKI -MKQKVKDKDDFSTYCSVDLAKIIRTKLWCSLSSGRHIAGLETPDPLEVINGQIIKGHEMCSLCESEGEDK -RYSWFFIPKDINLEDLSKATESIRVPYFGSTTEERSEIRLGYAKNLSKPAKAAIRIAMVYTWAFGDDEIS -WEEASTIAKTRANFTTEELKLLTPASTSTNLSHRLRDSSTQMKFAGTSLLRVSRYVTISNDQMVMKEAGE -SKDTNLVYQQVMLIGLSFLEYLSRHESTTGDENTLLHLHLNPGCCIVTNEDEEKNPSTVEVEIKTRTDAN -VMIYDPEPVSKDDLKKLQMIKTEIHEVDFSLWDNSSILGALNIATAQTVVDTISQIDRDDLKEVVAIASD -DSINSLITEFLLIDINNFCIALGGLLVNQFAYEIYTLGVEGRHLIYDHVFNRLRITSSAVFKVLTNAVSH -PRIFKRFWDFGVVKPNRGANLKAQDFKLHALDICMHAVEYFMNQWDCGTPLEVYLCESDLDVVDERRETF -TARHLAFLCSFYGLSSRGPKLQGLDSLQRYSAIRDYLEVQVTKDHTSRFWQLTGLIIRAVPSTVSYLMQS -AVKQFRLRGLGVPTVLETWNPTFNDLLCDTVEAEKDKNMKGTSEYPRDYWGIEIPRSNIFPCHGLVPSLT -RDEEKYDTSIELPLGPSYLSHQLRIVGVNSTSCLKALELTSHLSQVANLTGNRLFVGEGAGAMLALYDSV -LGPVTNWYNSGISPRDLTGQRELNIYPSEVALTDNNQKGVNDLMSRTKVLFNGRPEVTWIGSDTCERFIT -DSLCDDRVSIVHSDMEGGSGKDEDAVMIEQYSVTRIAYRVGSDDVTLVTKLAPRLGSAWHRVLVMLIKYW -GVVQMISLRTSNPSSMEVYVVCSGKNQRVLLSGSEVLSETMPLSVESAADIEDWLINTKTQSWKWLAREI -DVGDGKGKGLRSYHRGLITFGFSTNMETISSQFLGVGDLTDLERVTTLFCSTMEQTFRSMEDRSENLSGA -LMMGKFDVYPVRDEGKRRERIKNLILKWIALNLSTFFVHRRFTVGSFEKQCSVGCINMDIDTVYKSGLLT -KSMYKRFNKVLRRCAYTFPTRDIKKLMKLVGSVKFMGAT - ->YP_009094120.1 RNA polymerase [Tailam virus] -MSNTAITDILYPECHLDSPIVTGKLYSLLEKGGFPHREELNDKTLDRNLKVNHNYVRHLQTTIDQIALRK -KLLEKYPNLAKLNPVPYPYGNKYLFRITDQEFAQDISNILSLSSTCYRKISTRIVKLRDLTTAKLGMNKD -ILQGQVDHEGQMMTRLPSIMEGGRWYEPFLFWFKCKYIMRKLIKRNTKLRYNSYQNELVSDTSLHYILVN -KHMCIIINKRNLNVYYFTLELVLMFCDVLEGRMMIDLGMTCDMRFEDFRHRGHNLWELIDSLFEDLGNDT -YDMVAMIEPLVLGFLQLKDDSILLRGAFLQFCFSEIYELFSSRGFDSQQDIASVIESLTNIFLMKDIHMT -GEFFSFFRTFGHPTLEAEEAADKVRLHMNKPKIIDFEIMMKGHALFCGIIINGFRERHGGAWPPHEFPDH -VSNIVKAAAANNEALTHEICIQEWKSFTGFKFKCFMPLTLDEDLTMYMKDKALAAIRSEWDSVYLRENMM -YSPPKQTTSRRLVEVFLNDTEFDPVNLINYVLSGEYLDDKEFNLSYSLKEKEIKRVGRLFAKMTYKMRAC -QVVGESLIATGVGQYFKENGMVKNEHELLKTLHKLSVSSVARDNKVGNKAEIFRAQRRKSQTSRPENSEI -SDVQYETMSTFLTTDLQKFCLNWRQETTNIFAERLDEIYGLPGFFSWLHKRLEKSTLYVADPNCPPYNTE -RIKIDDTENKQIFIKNPMGGIEGYCQKMWTIITIPLLFLSAYECGAKIAAVVQGDNQAIAITKRVHPNIP -YRQKKFLCSQLAQQYFNRLRMNMAGIGHNLKANETIVSSHFFIYSKRIYYDGQVLSQALKPLSRCVFWSE -TVVDETRSACSNICTSVAKSIEQGYSRWLGYSICILKTLQQLIISLKFTINDSMTKDITEPLLKNPNWIL -AATLLPSQLGGFNYINISRLYVRNIGDPVTASLADLKRMIKVGLLDERVLQKVMHQKPGDCTYLDWASDP -YSINIPASQSVTIVLKNITARMVLQNSKNPMLSGLFHDDFDQEDKDLARFLLDRAIIIPRAAHEIMDKSL -TGARQEIAGMLDSTKGLIRNGLRAGGLRPRLVEKLSMYDYEQFRVFNSLMSGREFSYLITSDACAVELAR -RLRNVMWNHLTHGRPIYGLEVPDSIEAMNGFLIESCSDCYYCQANNQEYCWFFVPNHCELDQVKKESNNI -RVPYFGSTTEERSEIKLSSVRSASRALKAAIRIATVYTWAYGDTDECWEEAWYLASFRANLTLAELKAIT -PISTSNNIAHRLRDKSTQMKYSGNSLSRVSRYTMISNDNLNFTKDGSKIDTNLIYQQVMLLGLASLEDLF -RFNRTTGSENTVYHLHVEENCCVIEMEDHPYVITEEPPPILRGVYSNRLIYDDHPLEDREVENIYKQTYV -SAVLDFPRYNMKELNTVLAQSLAITLIDIITKENKDHLTEFKVLANDDDINSLITEFLLVDPSEFVLYLG -LAVSINWAFDVYYRRPQGKYQMIEYLSSYLRISSRSFINVFANALSHPKVFRRFWDVGLIEPIYGPNLNT -QNFTLIAVELLIKGFEVYLDYWLDDNTAEYMLPESVQDIVDQRYESIQSRHLCILCCLYLDREYMPKILG -LTSIEKCSILTDVLQNHKYTTPQFFTWNLEPLPVTVYPVSLTYIRRGSVKHIRLRRMIQFNDPLADKVTV -DPLNMKSFIVMRNNIAGITTSFRAFQAVLLISDDFRKLQGLDEYLPTRNRWEAHVHRRVGLNSTSCYKAC -EIGIYIINKIDVNGPRLFLGEGSGSMMTTYYMMLGPAKCYYNTGVLNVGLVGQRVFQVFPSEVMLVSHNN -PRDIGLEHDIKVLFNGKPECTWVGDMECFSYLMNSIETHSISLVHNDMESSLEKSPETILQEQVHSLCIA -VNLTKSDGIYITKIAPRAGDMSHILLSLLYHYFREVTCFIPAYSNSCSPECYLICSGKKYHSLIYPEIIM -PGISKSNYSKNIVISQNILDMKFNIRKGIINDLHLYGDYLGSSLNTLDETEKLLMSYGFQINGPKIIRQL -TGHDVGSGSSNLKAYINSSFNNIINYCDSERITSHFLEPYPLARDSKLRELVDALCKKICIYTLLYMKDT -NRDSRKQLINMIRGKYLRVTINSNMVRSIVQDYLMKKMTKTSINMHWHYPLQTAEVKVWWKIVGYSVLHK -EV - ->YP_009094087.1 polymerase [Cedar virus] -MESDFDISVSDVLYPECHLDSPIVGGKLITSLEYANLTHNQPHEDQTLLTNINVNKKKKIKSPLISQQSL -FGNEVNKEIFDLKNYYHVPYPECNRDLFLISDDKIAFKLSKIMDNSNKLFDGLERKLSRLISNVDNQLLN -ATSLHNNSEMDRKGKEHPCFPEKSTIDDVRQQRQTRDFPKNSTREGRSPKHPDAGPTPENSAKNDLHRDN -TDNMPTGHSSTSMKKPKISGEEYLSMWLDSEDLGSKRISAQLGKDVSCKGHLHTTEDKPIIVPDTRYIQN -HESNNDIFPKKEKKFCKLPPSSDNLTKIMVNSKWYNPFLFWFTVKTELRACQKENYKRKNRKLGIITSIK -GSCYKLILNQNLVAIFEEDSSGYSDHKKRKKRCYYLTPEMVLMFSDVTEGRLMIDVAMRFDKKYKTLEKK -ALKLWFLIDELFPSMGNRVYNIISMLEPLTLAILQVKDESRLLRGAFMHHCLGDLFEELRESKNYPEDEI -KRFANDLINVMTCRDIHLVAEFFSFFRTFGHPILNAQTAARKVREYMLADKILEYEPIMKGHAIFCAIII -NGFRDRHGGVWPPLDLPKHCSKNIISLKNTGEGVTYEVAINNWRSFVGLKFKCFMGLNLDNDLSMYMKDK -ALSPLRDLWDSIYSREVMSYQPPRNKKSRRLVEVFVDDQDFDPVDMINYVLTGEYLRDDDFNASYSLKEK -ETKQVGRLFAKMTYKMRACQVIAENLIAHGIGRYFHENGMVKDEHELSKSLFQLSISGIPRGNKNNKSTN -DTIHESKIENNHSFKNIQNRSFRKTDNPYNRFNIDNPTFLSPNCNPKYNRKNSETIGIFSRAETKSMIRE -QKSHREVKINKLDIGSDNEEQGKEIDAAKYKITDNPNPHINPQDQPGICQEDKGKEGAKSDLTEGMSFLE -MHTLFNPSKSDIRTNLELEKSSLSNPGFISQKEKRGKTYNESHSLGKFSKEDEERYDVISAFLTTDLRKF -CLNWRHESIGIFARRMDEIYGLPGFFNWMHRRLERSVLYVADPHCPPSINEHIDLNDSPERDIFIHHPKG -GIEGYSQKLWTIATIPFLFLSAHETNTRIAAVVQGDNQSIAITHKVHPHLPYKMKKELSAMQAKKYFSRL -RHNMKALGHELKATETIISTHFFIYSKKIHYDGAVLSQSLKSMARCVFWSETLVDETRAACSNISTTIAK -AIENGYSRRSGYLINVLKTIQQINISLSFNINECMTDDIIRPFRDNPNWIKHAALIPASLGGLNYMNMSR -LYVRNIGDPVTASIADVKRMILGGVLPIGILHNIMLQEPGDATYLDWCSDPYSINLKQTQSITKVIKNIT -ARVILRNSVNPLLKGLFHEGAYEEDTELATFILDRRVILPRVGHEILNNSITGAREEISGLLDTTKGLIR -IGIAKGGLTQRTLSRISNYDYEQFLNLMNMLKNKEQNSVISLSACSVDFAIALRSRMWRKLAKGRLIYGL -EVPDPIEAMIGFLILGSENCLLCDSGSKNYTWFFIPKDVQLDKIDKDHASIRVPYVGSTTEERSEIKLGS -VKNPSKSLKSAIRLATVYTWAFGTSDAEWWEAWYLSNQRANIPLDVLKTITPISTSTNIAHRLRDRSTQV -KYASTSLNRVSRHVTISNDNMNFEFDGVKMDTNLIYQQVMLLGLSCLESLFRNRKMTNSYNIVYHLHVQE -HCCVKALNDLPYTPSTHPVPNYTEVRDNRLIYDPQPILEFDELRLAIQQTKKVDLEFSLWDTKELHENLA -QSLAITVTDIMTKSDKDHIKDQRSIDVDDNIKTLITEFLLVDPEMFAVNLGLHISIKWSFDIHFKRPRGR -YSMIEYLTDLLDNTSSHVYRILTNVLSHPRVMRKFTNAGLLVPKYGPYLTSQDFKKMAVDFIITAYTTFL -TNWCNNNKFSILIPEQDPDILELRKDITHARHLCMISDLYCYSFKQPWIKELTPQEKICVMEDFIANCVA -NDQTSAGWNITPLRVYNLPASTTYIRRGIIKQLRIRQSNEPIDLEDIRIGQNPDFVNKPIEFCSSEFGIT -IYNLEEILQSNVHLSVNMNIDSSTSNNTENHLFRRVGLNSTSSYKALSLTPVIKRYHQQNTNRLFIGEGS -GSMMYLYQKTLGETICFFNSGVQYNEDLGQREQSLYPSEYSICEQGVKKENPLTGHVIPLFNGRPETTWV -GNDDSFKYILEHTINRDIGLVHSDMETGIGKDNYTILNEHAHLIALSLTVMIDDGILVSKVAYAPGFCIS -SLLNMYRTFFSLVLCAFPPYSNFESTEFYLICLQKSIPGPITPARAIQQTTKQSREEDNSITNNILKIKN -LVQKEFIKTVKKKYEIHPSFNCPINFTKDDKYLMSVGFQANGPDMIRKETGYDIGSNVENLRDVLIKLFA -DAVTFYDDVTNKKNFLNPYPVYTRTQYKILMDKICKKVTLYTLIISCKGSNQYCWEIKSQIRKHCLILDL -KSKVFTKLIPKGLRERGDSKGMKSIWFTKLTSQEVKRWWKMISYIVIISNP - ->YP_009091839.1 large protein [Ghana virus] -MELEGNVSLTDILYPECHLDSPLVTGKLIQALEYANLTHNQYLKDKTLLNNIQLNKSKGKRSRYTIAQEQ -FGNFIKDKINNLSQFYHVPYPECNYNLFRLSSDRIVARLSDVLKVPYDCYLSNIEKLLGMLTAVDRKLGG -QPLCKDVTGSAPDDKLVHLPDTMKQSDWYMPFLFWFTVKTELRLLQKESQKVKNRGSSNIVQFGDNHLTV -VMNPSLVTIWAQKSKQCYYLTPEHVLMFCDVLEGRLMIDVTVKSDDRYKSLRTKSKELWELIDPFFPIIG -NETYNIVSMLEPFTLATLQLKDRSQQLRGAFLHHCIKDLKSELVSNGFTDDEKIERFCDKLVNILNNNDI -HMIAEMFSFFRTFGHPTLEAVTAASKVRDYMKADKVLEYETIMKGHAIFCGIIINGYRDKHGGVWPPLTF -PKHVHQEIKRLQSSGERLTYEICIKHWKSFCGLNFKCFMDLNLDEDLSMYMKDKALAAIRNEWDSVYPKE -NLSYQPTKNTTPRRLVDYFINDEDFDPYSMLQYVINGDYLNDPDFNVSYSLKEKETKEVGRLFAKMTYKM -RACQVMAESLIAHGVGKFFKENGMVKDEQDLLKSLFQMSISGVPRGNIRGGAPSKMSQSERKMRSQDFYK -CQVHKQHNASAKAKSPIDDKGCSELCQRIRENAQYNRVIGRSSHNSKNKNIYKKDFFYMHYGLDPRDSII -KDLTAEETVKRFEEENNIKYDVISSFLTTDYKKFCLNWRHESVAIFARRMDEIYGLPNFFNWMHKILDKS -TIYVADPNCPPSNRSHVDLDFQPDDHIYIVHPKGGIEGYSQKLWTIITIPFLFLSAYETGTRIAAVVQGD -NQSIAITTKVHPSLPYKIKKEISASKAKEYFYRLRDNLRSLGHDMKATETVISTNFFIYSKRIYYDGVML -SQGLKTISRCVFWSETLVDETRSACSNISTAITKSIENGIDHRFGFCLNYLKTMQQLSISLNFSINETIT -DDIKMAFTNHPEWYKISSMIPAALGGFNYLNVSRLYVRNIGDVLVASLADIKRYISNNLLRDEIIQKIMT -QVPGTASYLDWGSDPYSANIPHMQSITKMIKTITAKSILSNSKNPLLKGLFHSKSHEEDNELAAFLMDRS -IIIPRAAHEIIDNSVTGAREQIAGLLDTTKGLIRSGLRKGGIQPKTVDKIANYDYQQFIVLQDLLLNTNS -NPLIDYRACSIDLAVALRTHMWRSLAKGREIYGLEVPDPLECSKGVFIKGSEECSECISHSNDYTWFFVP -RLVHLDQVTSEMTSIRVPYIGSTTEERSDVKLGTVKNMSRALKAAVRIATVYTWAFGSDDQSWDEACILA -NQRANIQLEVLKTITPIATSNNLFHRLRDKTTQFKFAGSVINRVSRYVTISNDNINFKAGDEKQDTNFIF -QQSMLLGLSHLEKVYKNYARTGSESIVYHLHVESYCCIRPTKEIPYCHSLRPVPLIEHSSENVLIYDPSP -VTDYQKIKLEIQYLDKEELDFTVWSTLELQELLAESTAYTIIDIITKSERDHLKEFVSVSSDDNISSLIT -EFLLVDPELFSYYLGMYSALKWSFDIHYRRPRGKNAMVEIIRDLLNQASRHSYKVIANALSHPRVLKKFI -NSGLVTPSYGPYVYQQDFYVMSQNLLISSYNMFLTKILNSEIVRIMIAEQDENVVEIREKTQLSKYLCLL -IDLYAYRGEIPWIVDLDPFEKAIVLENYLFEQCQKEGMIGVWNITSPKFSQYRASVTYLRRSSVKQLRIR -QNDEVIEISRLENDVMVKFNSSILSQVDLNDSCFLMNLEEAIFQSTEIMTSHSVVSRSPRLLENHMNRRI -GINSTSCYKAVDLTPIVKRYLPSEGRRLFLGEGSGAMMYVYQNTLGPSLCYYNSGVTDQGLEGQRELKMY -PAEYYLCSTSSNREEELLPSVIPLFNGRPETTWIGNIDAFRLITEFVNPLETVMTHSDMESGMTKDEETI -LVEHSHIISLHINLTVEDSILVSKIAFGNNLNIMRLFKMYRSFFSLVIIAMPISSNPQSSEIYLICLQKL -IRGIITPQRVLGASMGSTPDITLSFINLVEKIKMKNYLQMIKDDIRKSVREPEMLIPGKLTSKEKFLLSI -GFSANGPTLIESETGHDPGSTKEDLRTALIITLNELLNHLDLERHPSSFFQPYQVLENSRILSLKDKIAK -KYALFILLYINTVESKRKVICNLKRGKIVCDFGEKQFLKILPKKLRERVHTALENSIHIINLERVIQKRW -WKIVGYTGIL - ->NP_047113.3 polymerase [Hendra henipavirus] -MAHELSISDIIYPECHLDSPIVSGKLISAIEYAQLRHNQPNGDKRLTENIKINLQGKRRSVYISRQSRLG -NYIRDNIKNLKEFLHVSYPECNKSLFSLKSPGMTSKLSNIMKKSFKAYNIVSRKIIEMLQNITRNLITQD -QKDEVLGIYEQDRLSNIGKYMSQSQWYECFLFWFTIKTEMRAVIKNSQKPKFRSDSCIIHMKDNNMEIVM -NPNLVCIYKNDKDGKRCYYLTPEIVLMCCDVLEGRMMIETSIKSDIKYQSLITRSNALWTFIDSLFPIMG -NRIYNIVSMIEPLVLALLQLKDEARILRGAFLHHCIKEIHQELIGCGFTDQKTRSIFIDDLLSVMNIDNI -HLLAEFFSFFRTFGHPILEAKTAADKVREHMLADKVLEYGPIMKAHAVFCGTIINGYRDRHRGAWPPLYL -PSHASKHIIRLKNSGESLTVDDCVKNWESFCGIQFDCFMELKLDSDLSMYMKDKALSPIKEEWDSVYPRE -VLNYTPPRSTEPRRLVDVFVNDENFDPYNMLEYVLTGDYLTDEQFNVSYSLKEKETKQAGRLFAKMTYKM -RACQVIAEALIASGVGKYFKENGMVKDEHELLKTLFQLSISSVPRGNSQGRDSEFSNNTEKSLISLKRTT -GRLLNNEVPCRMNIMSALIDKNQSDQKKHNILPNTRNRHKCDNTSQTFLDYHMEFSPYKSDRMDRTETSD -FSKYDDGTGTKFDTVSAFLTTDLKKFCLNWRYESMAIFAERLDEIYGLPGFFNWMHKRLEKSVIYVADPN -CPPDIGKHINLDDTPEDDIFIHSPKGGIEGYSQKTWTIATIPFLFLSAYETNTRIAAIVQGDNESIAITQ -KVHPNLPYKVKKEICARQAQLYFDRLRMNLRALGLNLKATETIISTHLFVYSKKIHYDGAVLSQALKSMS -RCCFWSETLVDETRSACSNISTTIAKAIENGLSRNVGYCINVLKVIQQLLISTEFSINETLTADVTSPIS -NNLDWLVTASRIPAPIGGFNYLNLSRIFVRNIGDPVTASLADLKRMIEHDLMTDKVLQKVMNQEPGDASF -LDWASDPYSGNLPDSQSITKTIKNITARTILRTSPNPMLKGLFHDKSFEEDLELATFLMDRRIILPRAAH -EILDNSLTGAREEIAGLLDTTKGLIRSGLKKSGIQPKLVSRLSNHDYNQFLILNRLLSNKKRNDLISPKT -CSVDLAKALRCHMWRDLALGRSIYGLEVPDALEAMTGRYITGSMECQLCDQGNTMYGWFFVPRDSQLDQV -NKEHSSIRVPYVGSSTDERSDIKLGNVKRPTRALRSAIRIATVYTWAYGDSEESWYEAWYLASQRVNIDI -DVLKAITPVSTSNNLSHRLRDRSTQFKLPGSVLNRVSRYVNISNDNLDFRVEGEKVDTNLIYQQTMLLGL -SVLEGKFRLRTETDDYNGIYHLHVRDNCCVKEVADIGGVNAELPVPEYTEVENNRLIYDPDPVSEIDCDR -LSKQESKARELDFPLWSTEELHDVLAKTVAQTVLEIITKADKDVLKQHLAIDSDDSINSLITEFLMVDPE -LFALYLGQSISVKWAFEIHHRRPHGRHTMVDLLSDLISNTSKHTYKVLSNALSHPRVFKRFVNCGLLLPT -QGPYLHQQDFEKLSQNLLITSYMNYLMNWCDFKKFPFLIAEQDEAVVELREDIITSKHLCMIIDLYANHH -KPPWIIDLNPQEKICVLRDFISKCRHTDVSSRSWNITDLDFMVFYASLTYLRRGIIKQLRIRQVTEVIDT -TTMLRDNILVENPPIKTGVLDIRGCIIYNLEEILSMNTKSTSRKVFNLGSKLSVENHKYRRIGLNSSSCY -KALNLSPLIQRYLPAGSQRLFVGEGSGSMMLLYQQTLGCSISFYNSGIDGDYIPGQRELRLFPSEYSIAE -DDPSQSDKLKGLVVPLFNGRPETTWIGNLDSYEYIINRTAGRNIGLVHSDMESGIDKQVEEIMIEHSHLI -SIAINVMIEDGVLVSKIAFAPGFPISRLLNMYRSYFGLVLVCFPVYSNPESTEVYLICLQKTIKTIIPPQ -KVLDHSYLSDEINDQGITSVIFKIKNIQSKQFHEDLVKHYQVEQPFFVPSHITCDEKLLMQAGLKMNGPE -ILKNEVGYDIGSDINTLRSTIIILLNEAMNYFDDERSPSHHLEPFPVLEKTRVKTIMGRVTRKVTVYSLI -KLKETKSPELYNIKNYIRRKVLILDFRSHTMIKLLPKGMKERREKSGFKEIWIFDLSNREVKIWWKIIGY -LSLV - ->YP_006347589.1 L protein [Nariva virus] -MEETDTILYPESHLNSPIVGQRLVTYLTYSHLPHNQVLKDETALRNIRLRSQISGQPYHLRILGISGQMV -LPYTRPPYSCHHIPYPECNKILFNYVDDRIAKRLDDVLAHANSAFSMVSQHIAISLASIRADLGLSAEPA -IDPEALCHDSIIKLPEVMTRSQWYHPFLFWFTLKTEMRACIKKYSIHSKTAREIPVIIRTHNNIIVINRF -LTYIINLKRRETYYLTFEIVLMLCDVVEGRMMCDVAMCSDPKYGPIVPNVYKLWSFIDGLFQDLGNRTYN -VVSLLEPLTLGFLQLRDESPILAGAFLDHSLNELIAELNDAGYTHEEDQKSVLRIVRSIFNIDSIHLLAE -MFSFFRSFGHPILEAENAANKVRDHMHKPKLISFEVMMKGHAIFCGTIINGFRDRHGGVWPPVTLPDHCA -LIVKSAQLNNEALTDPICITNWKSFCGIRFGCFMPLSLDEDLTMYMKDKALAALKKEWDSAYPIEVMPYR -PPKATTSRRLIDVFVSDPKFDPYEMIQYVLSGDYLEDKDFNLSYSLKEKETKQVGRLFAKMTYKMRACQV -IAENLIANGVGKYFKDNGMAKDEHELAKTLHRLSISAVPRNNALREEVNRLLIGSPTIYAGEGTGIGHRK -PDEQPRHRGDHEGTYVNPISLESGGQYETISTFLTTDLQKFCLNWRAETINVFAQRLDEIYGLQGFFQWL -HRRLERSVLYVCDPYCPPGNLLHIDLDACPDEHIFIKYPMGGIEGFCQKLWTIATIPYLYLSAYEVGVRI -SSVVQGDNEAIAITKRVPSRYPYWLKKEESSRAARLYFHRLRENLAMVGHNLKANETVISSHFFIYSKQI -YYDGVALSQSLKAISRCVFWSETIVDETRSACSNIATSLAKAIEKGYSRNVGYGLCLLKTVQQLMISLDF -TINPFMTHDIKNPIIGNKQWLLHAALTPAPLGGFNYMNMSRLYVRNIGDPLTASLADVKRLMKSSVLSKS -ILQKVMNQEPGDSSFLDWANDPYSANIPNTQSITKMLKNITARNILVHSPNPMLRGLFHNGTTDEDQELA -RFLMDRRVIIPRAAHEIIDNSMTGAREHIAGMLDSTKGFVRSGLRVGGLKSGMITKIATYDIEQFRRFNQ -LMAVRNTNPLIDNDACSVSLAQAMRQHMWQNLSMGRLIYGLEVPDILELCTGTFIRGHEDCCQCISGNQY -YGWFFTPSGCDLDAVGRATNAIRVPYIGSTTEERSDLKVGHIRNPSKALKAAIRIATIYTWAYGDTDESW -HEAWTIANQRANLSIDDLKLITPISTSTNLAHRMRDKSTQVKYSSSSLNRVARYTTISNDNLSFMIDGVK -VDTNYVYQQGMLLGLSVMEDHFRFDSTTGLTNTVLHLHVMDSCCLVKMEDHPNVGSRYRVPVTIPPMNNK -LVYDNSPIIERDQLRLDQQRFRIALVDFTIWSTEDLSRGLAQSLAVTLIDIITKAENDHLNEIKAVESDD -DISSLIAEFLIASPKLLSLHLGQVIAVNWAFEIHYRRPRGRYQMVELLHTLLSRMSKGSLNILVNAFSHP -RVFRRMWDADLIEPRAGHLVDQQNLVELIRDYLVECYSMYLSVWESDDDVHIPYMICEPDEDVLSQRYDV -VQSKHLAMISDLYVNEHFSVIIRGLDPINKCRVLRDSIDRAARLTTASMDWNIEDLTIFAYPASVTYIRR -GTIKQLRLRMPDPGLILQGFITDRIPPDVVSTPHSIVSIPDELPKNITLSATEVLSTITSAFACPAIPNL -SRQAVDYSHHLYRRLGINSTSCYKALEIANVIAGRFDQTGQRLFLGEGSGAMLVTYYYMLGNTKCYYNTG -VFTDSVRGQREFNPYPSEVALVGKQNSVQNLLNDQIEILFNGKPESTWIGNLECYAYILNQVKIGTCSMV -HCDMEGTSEKTRLQVLEELCHVVSIAVATGAPGSVLVLKLMPLGDDWMSELIKFICEQYEEVFLHIPWYS -NPDSTEMYVIAINLKISQVLDPGIIMAKLSSSSCNTSSQLHSWIIQSKSHLVMNHGPVDGNQILSHQGMT -TLAHSMIKLTPIERTLATIGFQQNGTKVVKQLIANDPSNGIDPIIAGIVMAYKELLYTYSVHQSDHHFFQ -PYPVLDSSKVREVVYDIIRKTYMVSILDSSVDRTQTWIDLGINLRKRVLPFDFHDENHTRYCPHYVRVKL -LKSDIKRFWLLHMTPREIKLWWKAISYSFLV - ->NP_067153.2 large protein [Human respirovirus 3] -MDTESNNGTVSDILYPECHLNSPIVKGKIAQLHTIMSLPQPYDMDDDSILVITRQKIKLNKLDKRQRSIR -RLKLILTEKVNDLGKYTFIRYPEMSKEMFKLYIPGINSKVTELLLKADRTYSQMTDGLRDLWINVLSKLA -SKNDGSNYDLNEEINNISKVHTTYKSDKWYNPFKTWFTIKYDMRRLQKARNEITFNVGKDYNLLEDQKNF -LLIHPELVLILDKQNYNGYLITPELVLMYCDVVEGRWNISACAKLDPKLQSMYQKGNNLWEVIDKLFPIM -GEKTFDVISLLEPLALSLIQTHDPVKQLRGAFLNHVLSEMELIFESGESIREFLSVDYIDKILDIFNEST -IDEIAEIFSFFRTFGHPPLEASIAAEKVRKYMYIEKQLKFDTVNKCHAIFCTIIINGYRERHGGQWPPVT -LPDHAHEFIINAYGSNSAISYENAVDYYQSFIGIKFNKFIEPQLDEDLTIYMKDKALSPKKSNWDTVYPA -SNLLYRTNASNESRRLVEVFIADSKFDPHQILDYVESGDWLDDPEFNISYSLKEKEIKQEGRLFAKMTYK -MRATQVLSETLLANNIGKFFQENGMVKGEIELLKRLTTISISGVPRYNEVYNNSKSHTDDLKTYNKISNL -NLSSNQKSKKFEFKSTDIYNDGYETVSCFLTTDLKKYCLNWRYESTALFGETCNQIFGLNKLFNWLHPRL -EGSTIYVGDPYCPPSDKEHISLEDHPDSGFYVHNPRGGIEGFCQKLWTLISISAIHLAAVRIGVRVTAMV -QGDNQAIAVTTRVPNNYDYRIKKEIVYKDVVRFFDSLREVMDDLGHELKLNETIISSKMFIYSKRIYYDG -RILPQALKALSRCVFWSETVIDETRSASSNLATSFAKAIENGYSPVLGYACSIFKNIQQLYIALGMNINP -TITQNIKDQYFKNSNWMQYASLIPASVGGFNYMAMSRCFVRNIGDPSVAALADIKRFIKANLLDRSVLYR -IMNQEPGESSFLDWASDPYSCNLPQSQNITTMIKNITARNVLQDSPNPLLSGLFTNTMIEEDEELAEFLM -DRKVILPRVAHDILDNSLTGIRNAIAGMLDTTKSLIRVGINRGGLTYSLLRKISNYDLVQYETLSRTLRL -IVSDKIRYEDMCSVDLAIALRQKMWIHLSGGRMISGLETPDPLELLSGVVITGSEHCKICYSSDGTNPYT -WMYLPGNIKIGSAETGVSSLRVPYFGSVTDERSEAQLGYIKNLSKPAKAAIRIAMIYTWAFGNDEISWME -ASQIAQTRANFTLDSLKILTPVATSTNLSHRLKDTATQMKFSSTSLIRVSRFITMSNDNMSIKEANETKD -TNLIYQQIMLTGLSVFEYLFRLKETTGHNPIVMHLHIEDECCIKESFNDEHINPESTLELIRYPESNEFI -YDKDPLKDVDLSKLMVIKDHSYTIDMNYWDDTDIIHAISICTAITIADTMSQLDRDNLKEIIVIANDDDI -NSLITEFLTLDILVFLKTFGGLLVNQFAYTLYSLKIEGRDLIWDYIMRTLRDTSHSILKVLSNALSHPKV -FKRFWDCGVLNPIYGPNTASQDQIKLALSICEYALDLFMREWLNGVSLEIYICDSDMEVANDRKQAFISR -HLSFVCCLAEIASFGPNLLNLTYLERLDLLKQYLELNIKEDPTLKYVQISGLLIKSFPSTVTYVRKTAIK -YLRIRGISPPEVIDDWDPIEDENMLDNIVKTINDNCNKDNKGNKINNFWGLALKNYQVLKIRSITSDSDD -NDRLDASTSGLTLPQGGNYLSHQLRLFGINSTSCLKALELSQILMKEVNKDKDRLFLGEGAGAMLACYDA -TLGPAINYYNSGLNITDVIGQRELKIFPSEVSLVGKKLGNVTQILNRVKVLFNGNPNSTWIGNMECESLI -WSELNDKSIGLVHCDMEGAIGKSEETVLHEHYSVIRITYLIGDDDVVLVSKIIPTITPNWSRILYLYKLY -WKDVSIISLKTSNPASTELYLISKDAYCTIMEPSEVVLSKLKRLSLLEENNLLKWIILSKKRNNEWLHHE -IKEGERDYGVMRPYHMALQIFGFQINLNHLAKEFLSTPDLTNINNIIQSFQRTIKDVLFEWINITHDDKR -HKLGGRYNIFPLKNKGKLRLLSRRLVLSWISLSLSTRLLTGRFPDEKFEHRAQTGYVSLADTDLESLKLL -SKNIIKNYRECIGSISYWFLTKEVKILMKLIGGAKLLGIPRQYKEPEEQLLENYNQHDEFDID - ->YP_512254.1 large protein [Beilong virus] -MAHPTISDVLYPECHLDSPIVTGKLYSLLEKGGFPHREELNDKTLDKNLKLNTHYIRHLQTTIDQNALKR -KLLEKYPNLNKLTPIPYPYGNKYLFRITDVEYTQDISSVLSLASTCYRKISTRIVKLRDLTASKLGINKA -IPTDRVDHEASMITKLPSVMEGGRWYEPFLFWFKCKYTMRKLIKRNTKLRYNSYQNEIISDTSVHFIAIN -KHMCLIVNKRNLHIYYFTLEMVLMFCDVLEGRVMIDLGMTCDLRFEDFKHRGHNLWELMDSLFEDLGNDT -YDMVAMIEPLVLGFLQLKDDSLLLRGAFLQFCFNEIQEMLISKGFDNPQDIASVIESLTAIFLTKDIHMT -GEFFSFFRTFGHPTLEAEEAADKVRAHMNKPKLVDFEIMMKGHALFCGIIVNGFRERHGGAWPPHHFPEH -VSSIIKSAAANNEALTHEVCIQEWKSFVGFQFKCFMPLTLDEDLTMYMKDKALAAVKAEWDSVYLRENMA -YPPPKQTTSRRLVEVFLNDHNFDPVNLINYVLSGDYLEDKEFNLSYSLKEKEIKRVGRLFAKMTYKMRAC -QVVGESLIATGVGQYFKENGMVKNEHELLKTLHKLSVSSVARDNKTGNKSEVKPRRTSKGKILYSENVDI -KDIQYETMSTFLTTDLQKFCLNWRQETTNIFAQRLDEIYGLPGFFSWLHKRLEKSTLYVSDPNCPPYNDM -RLGIDDVENRQIFIKNPMGGIEGYCQKMWTIITIPLLFLSAYECGAKIAAVVQGDNQAIAITKRVHPNIP -YRQKKFLCSQLAQQYFNRLRQNMAGIGHNLKANETIVSSHFFIYSKRIYYDGQVLSQALKPLSRCVFWSE -TVVDETRSACSNICTAVAKSIEQGYSRWIGYSICIFKTLQQIVISLRYTINDSMTKDITDPLIKNPNWIL -AATLLPSQLGGFNYINIGRLYIRNIGDPVTASLADLKRMIKVGLLDERILQKVMHQKPGDCTYLDWASDP -YSINIPSSQSVTIVLKNITARMILQNSRNPMLSGLFHDDFDQEDRDLARFLLDRAIIIPRAAHEIMAKSL -TGARQEIAGMLDSTKGLIRNGLRAGGLRPRLVEKLSLYDYEQFRVFNNLMSVKENSILITADACAVELAR -RIRNVMWNHLTHGRPIYGLEVPDTIEAMNGFLIESCSDCYYCQANNQEFCWFFVPNHCELDQVKKESNSI -RVPYFGSTTEERSEIKLSSVRSASRALKAAIRIATVYTWAYGDTDECWDEAWYLASFRANLTLAELKAIT -PISTSNNIAHRLRDKSTQMKYSGNSLSRVSRYTMISNDNLNFTRDGNKIDTNLVYQQVMLLGLASLEDLF -RFNKTTGMENTVYHLHVEENCCVIEMEDHPYVVTEEPPPVLRGVYNNKLIYDDHPLEDKEVENIYKQTYT -SAILDFPRYNIQELNTVLAQSLSITIIDIITRENKDHLTEFKVLANDDDVNSLITEFLLVDPSEFVLYLG -LAVAINWSFDIYYRRPQGKYQMVEYLSSYLRITSRSFINVFANALSHPRVFRRFWDVGLIEPVYGPNLNT -QNFNLIAIELLIRGFEVYLDYWLDGETAEYMLPESVQDIVDQRYESIQSRHLCIICCLYLEREYMPKILG -LTSIEKCSLLTDILQKHKYTTPQFFTWNLEPLPVVVYPVSLTYIRRGSVKHLRLRRMIQFNDPVADKSRI -DPLNMKSFVVQRNNVAGITTSFKAFQAVLLISDDFRKLQGLEEYLPTKNRWEAHVHRRVGVNSTSCYKAC -EIGIYIINKMDTKGPRLFLGEGSGSMLTTYYMLLGPSKTYYNTEVLNVGLVGQRVFQVFPSEVMLVSHNN -PRDMGLEHDIKVLFNGKPECTWVGDMECFSYLMNSVEAHTLALVHNDMESSLEKSPETILLEQVHSLCIA -VNLTKDDGLYITKVAPRSGDMSHILLSLLYHYFSEVTCFIPAYSNSCSPECYLVCSGKKYHSLIYPEIIM -PGISKSNFAKNIIISQNILDMKFNMRKSLVNELKLYGDYLQSTLQSLDETEKLLMSYGFQINGPKLIKQV -TGHDVGSGSHNLKAYINSSVNNIINYCDTERTTSHFLEPYPLARDSKLRELMDSLCKKICVYILLYMKET -NKESRRSLINMIRGKVLRVSLSSNITRSIIQDYLLKKLSKTSVNLQWAYPLPTAEVKIWWKIVGYSVLHK -ES - ->YP_338085.1 large protein [J-virus] -MSLLTMDSNISITDILYPECHLDSPIVTGKLYSLLCRGGFPHREHLDDKTLDINYRVNQSYIKRLPITVD -QQKLKQCLNEKYKNMEKLNPIPYPFANKYLFRINDLEFISDIASTFSLANTCYKKISNRLVKLKSHTLAK -LGMKTTVDQQSEIHEEKSIKSLPTIMEGTIWYQPFLFWFKCKFIMRSLIKRHTRLRHNAYHTESVFDTQN -HFVACNKHLLLIVRKSDLQIYYFTFEMVLMFCDVVEGRLMIETGMRSDWRYSEFLIRGSKLWELMDCMFE -DLGNDTYNIVAMIEPLVLGYLQLKDESSLLKGAFLDFCFNEVISELESHGYTDKRDIKFVLDYISDIFQC -DDIHMISEFFSFFRTFGHPTLEAEEAANKVRAHMNKPKVISFEIMMKGHALFCGIIINGFRDRHGGAWPP -HTFPDHVDKPVREASMNSEALTHELCIQNWKSFVGFKFKCFMPLTLDEDLTMYMKDKALAAIKPEWDSVY -PRENLPYPPPKQTTSRRLVEVFLKDTSFDPVNLINYVLSGDYLKDNDFNLSYSLKEKEIKKVGRLFAKMT -YKMRACQVIGESLIATGVGKYFKENGMVKDEHELLKTLHKLSVSSVSKNNKVGLKTERYDNKSETRKTRG -KNNSRNIIKCKSCKSSVNKSYSRRETCENKDLNDVQYETMSTFLTTDLQKFCLNWRQETTNIFAQRLDEI -YGLPGFFSWLHKRLEISTLYVADPHCPPYNSCKIKLDDTENKQIFIKYPMGGIEGYCQKMWTIITIPLLF -LSAYECGAKIAAVVQGDNQAIAITKRVHPNLPYKQKKYLCSKLAQEYFNRLRINMGGIGHNLKANETIVS -SHFFIYSKRIYYDGQVLSQALKPLSRCVFWSETIVDETRSACSNICTAVAKSIEQGFSRWVGYSIAVLKT -LQQLVISLKFTINESMTRDIAEPILKNPNWMIAAALIPSQLGGFNYINISRLYIRNIGDPVTASIADLKR -MISVGLMDERVLQKVMHQEPGDCNFLDWASEPYSINIPSSQSVTIMLKNITARMILKGSANPMLKGLFHD -DFDQEDRDLAKFLMDRAIIIPRAAHEIMDKSLTGARQEIAGMLDSTKGLIRNGLIAGGLRPRLVERLSQY -DYEQFRVFNALMSIKTTSSLINWDACAVELARRLRNTMWVHLTHGRPIYGLEVPDTIEAMNGFLIESCSD -CYYCQANNTEYCWFFVPINCELDQVAHESNNIRVPYFGSTTEERSEIKLSSVRSASRALKAAIRIATVYT -WAYGDSDERWEEAWYLASFRARLTIDELKAITPISTSNNIAHRLRDKSTQMKYSGSSLNRVSRYTMISND -NLNFVKEGNKIDTNLIYQQVMLLGLASLEDLFRFSQTTGSENTVYHLHVEQNCCVIEMEDHPYVSSDDVL -PTLRGVYDNRLIYDETPLLDKEVETIHTQMYRSNVLDFPRYSIKELNTLLSQSLACTIIDIITKDTKDHL -TEFKVLANDDDINSLITEFLLVDPSEFSVHLGMVIAINWSYDVYYRRPHGKYQMSEYLSTYLRVTSRSFL -SVLSNALSHPRVFRRFWDVGLVEPIYGSNINTQNYTMVAIDLLTRSYENYLDLWLDGEELEYILPESNEE -YVDQRYELVQSKHLCCLCCLYLDREEMPRILGMTSIEKCSILTDSLNRFKYTKPGFYTWNLSPLSVCVYP -VSLTYIRRGTIKHIRLRCAIQFSDPITENAKFDPLNQKSFVITRNIENGLLTSFKAFDCVLLLSSDFRKF -NNLEPSLPTHNRWESHVTRRVGLNSTSCYKAAEVGLYILNKISLSGDRLFLGEGSGAMLTFYYMLFGAAK -CYYNTGVLNTGLVGQRVFQVFPSEVLIVSHNNPNDLDLEKNIKVLFNGKPECSWIGDMECFAYIMNSIPL -NSLSLIHNDTESSLEKTPEVILNEQIHSLCLALNLGDNNSIYVVKLAPRDNDYSSTLISLFYQYYSNVIC -LLPSYSNPASPECYIICTEKKHHSLIYPALVVNSLNIISNSTNTTINHNIMDMKFNMRKEIVANRKWYGD -YLDSDLTTLDEVEKLMLSYGFQINGPKLIKQIIGHDVASGEINFKSYVNSTLNNIVNHCDPDRSTSAFLE -PYPLLKDSKLRELMDMLGFKLCVYILLYMKVHNQSYRRNLINDIRRKYLRVDLKSDEIKCVLQEYLLRKL -KKFGVNMRWHYNLSTPEVKIWWKIVGYSVLYSED - ->YP_087126.2 L protein [Rinderpest virus (strain Kabete O)] -MDSLSVNQILYPEVHLDSPIVTNKLVAILEYARIPHKYVLEDPTLCKNIKHRLDSGFSNQMIINNVEIGN -VVQSKLKGYPNHEHIPYPECNQELFNARDKKATEKIRSLFKKGTTLYTKISDKVCTCLETANSRLGLGAD -LGIGIKEKILSLSLYMQSSQWYEPFLFWFTIKTEMRSTIKSSVHTSHRRRYVPSFFSGDSFEILISRDLV -AIVHRRLHHVYYLTFELVLMYCDIIEGRLMTETAMVIDPRYTELLERVRYMWKLIDGFFPTLGNSTYQVV -ALLEPLSLAYLQLRDVTTELRGAFLNHCFTEIREILNQNGVTDDNTYHEIVEALDYIFITDDIHLTGEIF -SFFRSFGHPRLEAITAADNVRKHMNQPKVIVYETLMKGHAIFCGIIINGYRDRHGGSWPPIHLPVHAATS -IRNAQASGEGLTYEQCVDNWKSFAGVRFGCFMPLSLDSDLTMYLKDKALAALKKEWDSAYPKEFLRYNPP -KSTSSRRLVDVFLNDSTFDPYNMIMYVVSGDYLRDPDFNSSYSLKEKEIKETGRLFAKMTYKMRACQVIA -ENLIPNGIGKYFRDNGMAKDEHDLTKALHTLAVSGVPKDFKDNYRGGPRAKTFSSKKTHTGAGISRVSRN -EANYRSPEQRGCNTGGPDQIESYETVSAFITADLKKYCLNWRYETISLFAQRLNEIYGLPSFFQWLHRRL -ERSVLYVSDPHCPPDLDSHVNLDNVPNDQIFIKYPMGGIEGYCQKLWTISTIPYLYLAAHESGVRIASLV -QGDNQTIAVTKRVPSSWPYHLKKREAARVTREYFCLLRQRLHDIGHHLKANETIVSSHFFVYSKGIYYDG -LLISQSLKSIARCVFWSETIVDETRAACSNIATTIAKSIERGFDRYLAYSLNILKVIQQILISLGFTINS -TMTQDVVVPLLSNHDLLIRMALLPAPIGGMNYLNMSRLFVRNIGDPVTSSIADLKRMIGASLMPEETLHQ -VMTQQPGDSSFLDWASDPYSANLVCIQSITRLLKNITAKYVLINSPNPMLRGLFHDDSKEEDEQLATFLM -DRSVIVPRAAHEILDHSITGAREAIAGMLDTTKGLIRTSSRRGGLTTRVIARLSTYDYEQFRSGMVLLTG -SKRNYLIDRDSCSVQLARALRSHMWARLARGRPIYGLEVPDVLESMRGHLIQRHATCILCDFGSANYGWF -FVPSNCQLDDIDRETSALRVPYIGSTTDERTDMKLAFVKSPSRTLRSAVRIATVYSWAYGDDDKSWNEAL -KLAQQRAKVSLEELKMITPISTSTNLAHRLRDRSTQVKYSGTSLVRVARYTTISNDNLSFVISDKKVDTN -FIYQQGMLLGLGVLETLFRLDKDTGPSNTVLHLHVETDCCVIPMVDHPRIPSLHELKFRRELCTNPLIYD -SAPIIDREATKLYTQSHRRHLVEFVTWSTSQLYHILAKSTALSMIDLVTKFEKDHMNEVSALIGDDDINS -FITEFLLVEPRLFTVYLGQCTAINWAFDIHYHRPSGKYQMGELLTSFLARMSKSVFKVFVNALSHPKIYR -KFWHSGIIEPIHGPSLDTQNLHITVCNMIYSCYMTYLDLLLNDELDEFTYLLCESDEDIVADRFDNIQAR -HLCVLSDLYCNPRGCPTIRGLQPVEKCAIMTKHIEAEAKLSLAGPSWNIGPIVIDHFSCSLTYLRRGSIK -QIRLRVDPGFIFEALTVTDPQKPNFQHEQAADMHISNFRPPYDGVAELLGTINSSKHNLPILGTGVYNYE -VHAFRRIGLNSSACYKAVEISTLIKSSMDPEEDSLFLGEGSGSMLITYKEILKLKKCFYNSGVSAESRSG -QRELAPYPSEVSLVEHQLGAEKTVKVLFNGRPEVTWIGSVDCFNYIISNIQTSSLGLIHSDIETLPTKDI -TEKLEELSAILALALLLGKVGSVLVIKVMPISGDYVQGFMSYVVPYYREVLIIYPRYSNFISTEAYLVLL -GLRANRLIDPERIKQQVMESGIRTIPGLVGHILSIKQLNCIQSQAGPAITRGEINPILRKLTPIERILIS -CGLTINGTKICSDVVHHDISSGPDGLLNSSIILLKELARFRDNQRSQQGMFHAYPVLLSSRQRELISRVA -RKFWGYILLYSSDRRLLSRLVSNLKSGYLLFDLHQNLFMKNLSKSEKQLIRTGGLKREWLFKLTTKEIKE -WFKLIGYSALIRE - ->YP_133828.1 large protein [Peste des petits ruminants virus] -MDSLSVNQVLYPEVHLDSPIVTNKLVAILEYSGIDHNYVLEDQTLVKNIRYRLGCGFSNQMIINNRGVGE -TVNSKLKSYPHNRHIIYPDCNKELFCIKDSCISKKLSELFKKGNSLYSKISHQVLDCLKRVNGKLGLGTD -LTYGLKEGILDLGLHMHSSQWFETFLFWFTIKTEMRSMIKEQSHICHKRRYNPIFVSGDAFEVLVSRDLV -VIIDKNTQYVFYLTFELVLMYCDVIEGRLMTETAMAIDQRYSELLSRVRYLWDLIDGFFPTLGNTTYQVV -ALLEPLSLAYLQLQDVTLELRGAFLDHCFKELYEILEHCGIDTEGTYNSITEGLDYVFITHDIHLTGEIF -SFFRSFGHPRLEAVTAAENVRKHMNQPKVISYETMMKGHAVFCGIIINGFRDRHGGSWPPVALPEHASAA -IRNAQASGEGLTHDLCIDNWKSFVGFKFGCFMPLSLDSDLTMYLKDKALAALKNEWDSVYPKEYLRYNPP -RGTESRRLVEVFLNDSSFDPYNMIMYVVNGSYLKDPEFNLSYSLKEKEIKETGRLFAKMTYKMRACQVIA -ENLISNGVGKYFRDNGMAKDEHDLTKALHTLAVSGVPKNNKDNHRGGPPRRTTNREVRSSQDTKTQNRDK -VQGGPVYNYLRCQPISPDQGESYETVSAFITADLKKYCLNWRYETISIFAQRLNEIYGLPSFFQWLHRIL -EKSVLYVSDPHCPPDLDNHIPLDSVPNAQIFIKYPMGGIEGYCQKLWTISTIPYLYLAAYESGVRIASLV -QGDNQTIAVTKRVPSSWPYSLKKREASKAAQNYFVVLRQRLHDVGHHLKANETIVSSHFFVYSKGIYYDG -LLVSQSLKSIARCVFWSETIVDETRAACSNIATTVAKSIERGYDRYLAYSLNILKIFQQILISLNFTINT -TMTQDVVAPIIENGDLLIRMALLPAPIGGLNYLNMSRLFVRNIGDPVTSSIADLKRMIDAGLMPEETLHQ -VMTQTPGESSYLDWASDPYSANLPCVQSITRLLKNITARYILISSPNPMLKGLFHEGSRDEDEELASFLM -DRHIIVPRAAHEILDHSITGAREAIAGMLDTTKGLIRTSMKRGGLTPRVLARLSNYDYEQFRSGITLLTK -KGQCYLIDKDSCSVQLAIALRSHMWARLARGRPIYGLEVPDILESMNGYLIKRHESCAICETGSSHYGWF -FVPAGCQLDDVSRETSALRVPYVGSTTEERTDMKLAFVRSPSRSLKSAVRIATVYSWAYGDDEKSWGEAW -MLARQRANITLDELRMITPVSTSTNLAHRLRDRSTQVKYSGTSLVRVARYTTISNDNLSFVISEKKVDTN -FIYQQGMLLGLGILENLFRLEATTGVSNTVLHLHVETECCVVPMVDHPRIPSLRNIKVTDELCTNPLIYD -RSPIIEHDATRLYSQSHRRHLVEFVTWSTSQLYHILAKSTAMSMIELITRFEKDHMNEIAALIGDDDINS -FITEFLLVEPRLFIVYLGQCAAINWAFDIHYHRPSGKHQMGELLYSLLSRMSKGVYKIFTNALSHPKVYK -KFWRSGVIEPIHGPSLDTQNLHVTVCDMIYGSYVTYLDLLLNDELDDYPYLLCESDEDVVTDRFDNIQAK -HLCVLADVYCSSKRCPSIIGMSPIEKCTILTHYIKGESVQSPSGTSWNTDPLVVDHYSCSLTYLRCGSIK -QIRLRVDPGFVFEALTDVDFKQPRKAKLDISVVGLTDFSPPCDNVGDFLGTINTLRHNLPVTGTGVSNYE -VHAYRRIGLNSSACYKAVEISTLIRPSLEVGEHGLFLGEGSGSMLAAYKEVLKLANCYYNSGVTAEGRAG -QREISPYPSEMSLVENQMGIERSVKVLFNGKPEVTWVGTTDCYKYIISNIQTSSLGFIHSDIETLPTKDA -VEKLEEFASILSLSLILGKIGSITVVKVMPISGDFTQGFIAYAIQYFRESLLAYPRYSNFISTECYLIMI -GLKANRLINPEAIKQSIIRAGVRTAPGLVSHILSGKQKGCIQSFLGDPYIQGDFNKHLKSLTPIEKILVN -CGLSINGTKICRDLIHHDIASGPDGLMSSTIILYRELAHFKDNIRSQHGMFHPYPVLANSRQRELILRIA -KKFWGYVLLYSDDPALIRQTIKNLKRNHLTFDLHSNPFIKGLSKAEKLLVRTSSLRREWLFTLDTKEVKE -WFKLVGYSALIRG - ->NP_958055.1 large protein [Mossman virus] -MDPSPHDTILYPESHLNSPIVAQRLVAYLEYSLLPHNQVLSDETVTANIRNRISTFGIPLHLRVLQTSGH -IVSPWIAPRGRYNHVPYPECNSRLFKYSDPKISKRLEQVLAHANNTYSSVSDKITKCLTDVRHSLGLHQL -PRDDEENIGLSRIMDLPDIMISSQWYDSFLFWFTLKTEMRSCLKIATPIPSNSSGTPVVIRSDGTVIVIN -RYLLYMISHELSEVFYLTFEMVLMMCDVVEGRLMCEVAMAADPKYGSLIPHVKTLWIFIDGLFPDLGNNT -YNIVSLLEPLTLGFLQLKDEAPILAGAFLEHCLSEVTNELISNGFTDEYDIREVLRIIRTIFSIDDIHML -AEMFSFFRSFGHPILEAEEAAEKVREHMHKPKLISFEVMMKGHALFCATIINGYRDRHGGAWPPVQFPDH -ISNPIRSAQLNNEAITDAVAVTNWKSFCGVQFGCFLPLSLDEDLTMYMKDKALAAIAKEWDSPYPIEVMN -YRPPKQTTSRRLVDVFINDPKFDPYDMITYVTSGEYLHDPDFNLSYSLKEKETKKVGRLFAKMSYKMRAC -QVIAESLIANGVGSYFKDNGMSKDEHELAKTLHRLSISSVPRNNKYRQQVADLMNRRNFGPQSSNSNNRG -SVNHDYVQQGNVKGLSGVVNDNNAEQYETISTFLTTDLQKFCLNWRAETINIFAERLNEIYGLPGFFQWL -HKRLEISNLYVCDPYCPPKNDHHIDINDNLNEHIFIKHPMGGIEGFNQKLWTIATIPFLYLSAYETGLRI -SSVVQGDNEAIAITKRVPSSYPYWLKKQESAEAARVYFHQLRHNFAMIGHNLKANETLISSHFFIYSKQI -YYDGVVLSQALKSIARCVFWSETIVDETRSACSNIATTITKSIEKGYSRNVGYALCILKTIQQLMIALDF -TINPHMTPDIKNPILGNRSWLIHAALTPAPLGGFNYINMSRIYVRNIGDPVTASLADVKRLTSAGILAKC -MIQKIMNQPPGDSTFLDWANDPYSANIPNTQSITKMIKNITARNILIHSPNPMLRGLFHDGTAEEDHKLA -AFLMDRSVIIPRAAHEIIDNSISGAREQIAGMLDTTKGLIRSGLRMGGLKTRLITRIATYDVEQFKRFNT -LMNVQESNPLIENDACSVALARALRQHMWGYLTYGRPIYGLEVPDIIEAMRGTFITGHEDCNQCASGSPN -YAWFFVPSGCDLDDVHNPTNAIRVPYVGSSTDERSDIKVGHVRNPSKALKAAIRIAMIYTWAFGDSDESW -AEAWNISSQRAALTLDDLKLITPISTSTNLAHRMRDRSTQIKYSSSSLNRAARYTTISNDNLNFIIDGVK -TDTNYVYQQGMLLGISVIEDFFRYENTTGLHNTVLHLHTVDSCCIIRMTDHPNVASTQSCPIIRPPNNNK -LIYDASPIIEKDQIKLDQQRYRVSLVDFTIWSTSDLEKGLAQSLSLTLIELITKSENDHLNELKTVESDD -DIKSLITEFLLVNPKLFSLYLGQAIAVNWSFEIHYRRPAGIYQMVELLHKLLMRTSHGSLTVLSNALSHK -QIYRRLWDADLIEPTSGHLLDQQNLVVTAIELLVECYSIYLHYWLSTPTSGLQYIICEADEDVLNSRYDV -VQAKHLAMLNDLYNTSGNIPAIRGLAPIQKCKVLRDALMKYAAVTNNALDWNLSDLEILAYPASLTYIRR -GTIKQLRMRSPQPNILALAETKQHIPIEVLQMSITPHQNLEEMPPSVKVNAHDIIPKLGTLKSGPVLPSS -RSDLADYGHHIFRRVGLNSTSAYKAVEITECIKGRFDHAGTRLFLAEGSGAMLTTYYYLLGAAKCYYNTG -VFTDAVRGQREFAPYPAEVALVSKANGNNMSLTDNISILFNGKPESTWIGNLECFSYILNQVKLGTCSLV -HCDMEGVGDKTSHQVLEEMCHVISLALAVGEYGSCFVLKMMPLGQDWSAEIIRVISEHYDETLIYIPWFS -NPDSTEVYVIGIGLKNNQVIDPTLIYSRLSTRFTHASVIFHNWVVDTKHLLVNSYLDSVQFPLLSDQSFI -NLHSYLSKLTKVEQALITIGFQLNGPKIFKRLIGHDPSHGTRPIVAGVVMSYKELLYTYTSHKSEHHFFQ -PYPVLEESRIRELVTDIVKKFHIIQILEKGQLGDNARSKLAQALRRQQFIFDLSNHEMNTLCPKYIYNKL -IKAEIKKQWIIPITTPEAKMWWKAIGYSVLMDPVP - ->NP_945030.1 large protein [Dolphin morbillivirus] -MESISINQILYPEVHLDSPIVTNKLVAILEYSRVTHGYILEDQTLTKNIRYRVENGYSNQMIINNLEIGN -VVNLRLMSYPYHRHKIYPDCNYDLFHISDHQISSRLLTLFKKGNTIYTKISGKIIECMKGVNSRLGISSD -LSKEVTTGITDLGAYMQSSQWYGPFLYWFTIKTEMRSIIKSATHTSHRHRIVPSFVHGERCEVLISRDLV -TIINKRSQDIYYLTFEMVLMYCDVVEGRLMTETAMTVDPRYTELLCRVKYLWNLIDGFFPTLGNSTYQIV -ALLEPLSLAYLQLKDITLELRGAFLSHCFNEIHDILESSGVLTEETYSDVVNALDYIFITDDIHLTGEIF -SFFRSFGHPRLEAVTAANNVRKYMNQPKVINYETMMKGHAIFCGIIINGYRDRHGGSWPPISLPTHASSI -VRNALASGEGLTYSQCIDNWRSFAGVKFGCFMPLSLDSDLTMYLKDKALAALKKEWDSAYPKEYLRYNPP -KPTGSRRLVNVFLDDSTFDPYNMILYVINGSYLEDPDFNLSYSLKEKEIKEVGRLFAKMTYQMRACQVIA -ENLISNGIGKYFKDNGMAKDEHDLTKALHTLAVSGIPKNKKDYHRGEGGRQTNPWWFGDKSKINKRHGQT -STAHSNYAGAGCGIKNGHDQEAYETVSAFITTDLKKYCLNWRYETISIFAQRLNEIYGLPSFFQWLHKRL -EKSVLYVSDPHCPPDLDTHMDLDAVPNSQIFIKYPMGGIEGYCQKLWTISTIPYLYLAAHESGVRIASLV -QGDNQTIAVTKRVPSTWPYDLKKREATKITIEYFLILRQRLHDIGHHLKANETIISSHFFVYSKGIYYDG -MLISQSLKSVARCVFWSETIVDETRAACSNISTTLAKSIERGFDRYLAYSLNVLKIIQQILISLGFTINT -SMTQDIAIPLLQNQDLLIKMALLPAPIGGLNYLNMSRLFVRNIGDPVTSSLADLKRMIIAGIMPEESIHQ -VMTQQPGDSSFLDWASDPYSANLPCVQSITRLLKNITARHVLINSPNPMLRGLFHADSHEVDESLATFLM -DRHIIRPRAAHEILDNSIAVARESLAGMLDTTKGLIRASMKRGGLTPRIITRLSNYDYDQSKMGISLLTV -KKRNNLIDRESCSVQLARALRSHMWAKLARGRSIYGLEVPVVLESMKGYIIKRHESCSLCETGSLNYGWF -FGPANCQLDNISKETSSLRVPYIGSTTEERTDMKLAFAKSPSRSLKSAVRIATVYSWAYGDDDQSWHEAW -TLARQRANITLEELRMITPISTSTNLAHRLRDRNTQVKYSGTSLIRVARYTTISNDNLSFIIADKKVDTN -FIYQQGMLLGLGILETYFRLQTNTGSSNTVLHLHVEAECCVIPMTDHPRVPSHRTAPSARKMCTNPLIYD -NSPIIEKDAVRLYSQSHRKHLVEFVTWSTGQLYHVLAKSTAMSMIELVTKFEKDHLNEIAALIGDDDINS -FITEFLLVEPRLFTVYLGQCAAINWAFEIHYHRPSGKYQMGELLFSFLCRMSKGVFKILTNALSHPKVYR -RFWDCGIIEPIHGPSLDTQNLHLTVCNMIYHCYMIYLDLLLNDELDDFTFLLCESDEDVVSDRFENIQAR -YLCILADLYCNAKNCPSIRELAPIKKCAVLTQFIKSEALISPGGLDWNDEPIVVDHFSCSLTYLRRGAVK -QIRLRVDPGFVSEVLIDASDHNLGPIKAKEIKLDSINFYPPKEDVARLLSTIGTAQHDLPIIGTRVINYE -VHAYRRIGLNSSACYKAVEVSSVIKSMIEPGEDGLFLGEGSGSMLVTYREILKLKRCYYNRGVSVESRSG -QREISPYPSEVSLVEHQLGLDRSVKVLFNGKPEVTWVGNVDCYKYIISNIPSSSLGLIHSDIETLPNKDL -VEKLEELTAILSMTFILGKIGSLLIIKIMPTSGDLVQGFIGYTTPFFRESIIVYPRYSNFISTECYLVFV -GLKYNRLINPEGIKQQLLKLSIRTSPGFVAHLLSMKQANYLQSLIGLPVQKGFFNRVLSGLTPIEKVLIN -CGLTVNGPKVCKNLVHHDIASGSEGLVNSTVILYKELARFKENTRSQQGMFHAYPVLADSRQRELVSRIA -RKYWGYIILYSTEQGALNQLVRNLKAGYLLFDVHHNFLVKNLSKSERVLIRTLIPRREWLFKLETSEIKE -WFKLIGYGALIRE - ->NP_899661.1 large putative polymerase L [Fer-de-lance virus] -MDPKDILYPECHLDSPIVLGKVIRLHYLLDLTVEYDIKDSSILRNLDYNAKSGRLSNRDRELVKHKDILR -KEGFVRSGYRGIDYPRGNKELFRLVIPNLTKPLECLLKQSNKVFDRIESGLRDTFLASANKISGKGKEVF -TEQNLTESGMAGVSRSIHNNGWNQPFMTWFTIKKEMRKLKKDYRKNAKSTGMTRLASHHERYSLYIHSDF -VLISDHVLEVYHLLTDDMVLGFCDVVEGRLMVSAVASMDPNYVNIGIRGEQLWSLIDSLFILLGNKVYDV -VGMLEPLALSYIQLRDPIKEIRGAFLYYNLSELDQLLKEDTILSTGEVKDFIELISEIINMGSVSEISEI -FSFFRSFGHPVLEAVTAADKVRDHMCKPKVLDYETLQKGHAVFCSMIINGFRERHGGSWPPCFLPDYASS -ELKAVMANHAAIPYELSVNNWESFIGFKFDKFEEVNLDEDLTIFMKDKALSPIRAEWDTVYPQENMQYSP -GRSSTSRRLVDVFLEDMEFNPQDIIDYVVSGSYLIDQDFNISYSLKEKEIKQAGRLFAKMTYKMRAAQVL -AESLVAHGVGKYFQENGMVKDEHELLKSLTTLSLAGVPRSRPGEDPSATEESKFVKIAQKGKEILRNNQT -RRSTQESRDQDGLANEKHETVASFITTDLQKFCLNWRYESVILFAQRLDEIYGLPGFFEWLHKRLEKSVL -YVADPNCPPDFRTKMSLEDTPDTGIFIHNPMGGIEGYSQKMWTIISISMIHLAAVQTGVRVSAVIQGDNQ -SIAVTTRVPVRMNYNQKKTECYKSTIRYFETLRKVMGGLGHNLKLNETIISNQFFIYSKRIYFDGSILPQ -GLKTISRCVFWSETLVDETRAACSNISTSLAKAVENGISPLLCYLLNAWKTLQQLHISLAFSINPTITKD -LYGPILSSQDWMIIAVIVPSQLGGFNYMSLSRLFVRNIGDPLVAALADVKRYIEIGLLTTAALTKFTTQV -PGDSTELDWASDPYSANLPHSQSVTTVIKHVTARSVLSKSPNPMLEGLFHENTDEEDHDLARFLIDREII -LPRVANVILDQSVTGARSAIAGLLDTTKTLIKNTANKGGLSNRTVSKLLYHDYQQFIVFNKILRSQVDDT -TDFSLVCSVTLAKIMRSRMWVKVAKGRRIEGLEVPDPLECLRGELIVGSGSCTICERGMDQYSWFYIPPG -MDLEGQHRENGSLRVPYFGSSTSERSEASVNTTRGLSRAAKAAIRIASVYTWAYGESQLSWYEAYALASQ -RANLTLEQLKVITPKSTSTSLSHRLNDSSTQMKFASTNLQRVSRFVTISNDHIKLKGNGDAGDTNLIYQQ -VMLVGLSIIELTHRRQENTGEDSKVLHLHIREDCCVEFTQDQGDIPSLNNLPEFEDHPTNRLIYDPNPLD -NIYITRIKETSVYLHELDFLAWHDEDIKTVLGNSVGQMIEEVIATTERDNLKDINALSNEDNINSIITEM -MLSNPDDVLSSLGCMLVLRFAYECYARHIEGKEQYISYVSDKIETMSRSNLSILINSLTHPRVFRKYCDE -GVLVPDRGQTLSTQDFRAHSVEYCIASLQRFFEKWTISGNITILLCDQDEVIIGERELTLKGRIKAMIIC -IYCLTENSPSLVGLNTKERDEVLTEYLEEVGQSNIESRYWVLGSIGIKKYNTSLTYIRRSLVKQYRLRDL -DVQLLIDEMAEQDMESLSMADPIFDQVNKTETMGSVSLWQSIKVKDLTLDRNPRAESAEYRIPTTCEIPS -QILRIVGVNSTSCSKAHELNSVICTLPLEGKSCLFLAEGSGSMMTYYMLRHRLNNVYFNTGVGSEDINSQ -RETDPSPSEPVLVEGNLRIKHCLSEKVTVLFNGRPETTWVGNEDCESYVTTQIGEDKVSLVHSDMESGIE -KSNQAVLVEHVSLIKIFQGVPTPGATLVSKIAPRLGTNWTQIISLYLETFTNVTILCLKNSNPASSELYV -ICDGVKTRIRVKSIVYAQCVHYLTEESQSRLEQRIIDAKLKAGEHLMSVINDLKSGEKINQIESLLKIGF -SANMTTVARQFLRVTDLTDTTTIFTKLRLALVDLLRVTSEESTTLSLYQPYPLSQSGKCRVVKERAMKGW -ISINLNTIFTKRNYLKHDFEYLAVRNKVIIDMKCLLACGVVNKKTGDRLNRMSHLLYSEITNRDKKKLFK -LIGSAFYFSGR - ->NP_604442.1 L polymerase protein [Human respirovirus 1] -MDKQESTQNSSDILYPECHLNSPIVKSKIAQLHVLLDINQPYDLKDNSIINITKYKIRNGGLSPRQIKIR -SLGKILKQEIKDIDRYTFEPYPIFSLELLRLDIPEICDKIRSIFSVSDRLIRELSSGFQELWLNILRQLG -CVEGKEGFDSLKDVDIIPDITDKYNKNTWYRPFLTWFSIKYDMRWMQKNKSGNHLDVSNSHNFLDCKSYI -LIIYRDLVIIINKLKLTGYVLTPELVLMYCDVVEGRWNMSSAGRLDKRSSKITCKGEELWELIDSLFPNL -GEDVYNIISLLEPLSLALIQLDDPVTNLKGAFMRHVLTELHTILIKDNIYTDSEADSIMESLIKIFRETS -IDEKAEIFSFFRTFGHPSLEAITAADKVRTHMYSSKKIILKTLYECHAIFCAIIINGYRERHGGQWPPCE -FPNHVCLELKNAQGSNSAISYECAVDNYSSFIGFKFLKFIEPQLDEDLTIYMKDKALSPRKAAWDSVYPD -SNLYYKVPESEETRRLIEVFINDNNFNPADIINYVESGEWLNDDSFNISYSLKEKEIKQEGRLFAKMTYK -MRAVQVLAETLLAKGVGELFSENGMVKGEIDLLKRLTTLSVSGVPRSNSVYNNPILHEKLIKNMNKCNSN -GYWDERKKSKNEFKAADSSTEGYETLSCFLTTDLKKYCLNWRFESTALFGQRCNEIFGFKTFFNWMHPIL -EKSTIYVGDPYCPVPDRMHKELQDHDDTGIFIHNPRGGIEGYCQKLWTLISISAIHLAAVKVGVRVSAMV -QGDNQAIAVTSRVPVTQTYKQKKTHVYEEITRYFGALREVMFDIGHELKLNETIISSKMFVYSKRIYYDG -KILPQCLKALTRCVFWSETLVDENRSACSNIATSIAKAIENGYSPILGYCIALFKTCQQVCISLGMTINP -TITSTIKDQYFKGKNWLRCAILIPANIGGFNYMSTARCFVRNIGDPAVAALADLKRFIKAGLLDKQVLYR -VMNQEPGDSSFLDWASDPYSCNLPHSQSITTIIKNVTARSVLQESPNPLLSGLFSESSSEEDLNLASFLM -DRKAILPRVAHEILDNSLTGVREAIAGMLDTTKSLVRASVRRGGLSYSILRRLINYDLLQYETLTRTLRK -PVKDNIEYEYMCSVELAIGLRQKMWFHLTYGRPIHGLETPDPLELLRGSFIEGSEICKFCRSEGNNPMYT -WFYLPDNIDLDTLSNGSPAIRIPYFGSATDERSEAQLGYVKNLSKPAKAAIRIAMVYTWAYGTDEISWME -AALIAQTRANLSLENLKLLTPVSTSTNLSHRLRDTATQMKFSSATLVRASRFITISNDNMALKEAGESKD -TNLVYQQIMLTGLSLFEFNMRYKQGSLSKPMILHLHLNNKCCIIESPQELNIPPRSTLDLEITQENNKLI -YDPDPLKDIDLELFSKVRDVVHTIDMNYWSDDEIIRATSICTAMTIADTMSQLDRDNLKEMIALINDDDI -NSLITEFMVIDIPLFCSTFGGILINQFAYSLYGLNVRGRDEIWGYVIRIIKDTSHAVLKVLSNALSHPKI -FKRFWDAGVVEPVYGPNLSNQDKILLAISVCEYSVDLFMRDWQEGIPLEIFICDNDPNIAEMRKLSFLAR -HLAYLCSLAEIAKEGPKLESMTSLERLESLKEYLELTFLDDPILRYSQLTGLVIKIFPSTLTYIRKSSIK -VLRVRGIGIPEVLEDWDPDADSMLLDNITAEVQHNIPLKKNERTPFWGLRVSKSQVLRLRGYEEIKREER -GRSGVGLTLPFDGRYLSHQLRLFGINSTSCLKALELTYLLNPLVNKDKDRLYLGEGAGAMLSCYDATLGP -CMNYYNSGVNSCDLNGQRELNIYPSEVALVGKKLNNVTSLCQRVKVLFNGNPGSTWIGNDECETLIWNEL -QNNSIGFIHCDMEGGEHKCDQVVLHEHYSVIRIAYLVGDKDVILVSKIAPRLGTDWTKQLSLYLRYWRDV -SLIVLKTSNPASTEMYLISKDPKSDIIEDSNTVLANLLPLSKEDSIKIEKWILVEKAKVHDWIVRELKEG -SASSGMLRPYHQALQIFGFEPNLNKLCRDFLSTLNIVDTKNCIITFDRVLRDTIFEWTRIKDADKKLRLT -GKYDLYPLRDSGKLKVISRRLVISWIALSMSTRLVTGSFPDIKFESRLQLGIVSISSREIKNLRVISKIV -IDKFEDIIHSVTYRFLTKEIKILMKILGAVKLFGARQSTSADITNIDTSDSIQ - ->NP_112028.1 polymerase [Nipah henipavirus] -MADELSISDIIYPECHLDSPIVSGKLISAIEYAQLRHNQPSDDKRLSENIRLNLHGKRKSLYILRQSKQG -DYIRNNIKNLKEFMHIAYPECNNILFSITSQGMTSKLDNIMKKSFKAYNIISKKVIGMLQNITRNLITQD -RRDEIINIHECRRLGDLGKNMSQSKWYECFLFWFTIKTEMRAVIKNSQKPKFRSDSCIIHMRDKSTEIIL -NPNLICIFKSDKTGKKCYYLTPEMVLMYCDVLEGRMMMETTVKSDIKYQPLISRSNALWGLIDPLFPVMG -NRIYNIVSMIEPLVLALLQLKDEARILRGAFLHHCIKEMHQELSECGFTDQKIRSMFIDDLLSILNIDNI -HLLAEFFSFFRTFGHPILEAKVAAEKVREHMLADKVLEYAPIMKAHAIFCGTIINGYRDRHGGAWPPLYL -PAHASKHIIRLKNSGESLTIDDCVKNWESFCGIQFDCFMELKLDSDLSMYMKDKALSPIKDEWDSVYPRE -VLSYTPPKSTEPRRLVDVFVNDENFDPYNMLEYVLSGAYLEDEQFNVSYSLKEKETKQAGRLFAKMTYKM -RACQVIAEALIASGVGKYFKENGMVKDEHELLKTLFQLSISSVPRGNSQGNDPQSINNIERDFQYFKGVT -TNVKDKKNNSFNKVKSALNNPCQADGVHHNMSPNTRNRYKCSNTSKSFLDYHTEFNPHNHYKSDNTEAAV -LSRYEDNTGTKFDTVSAFLTTDLKKFCLNWRYESMAIFAERLDEIYGLPGFFNWMHKRLERSVIYVADPN -CPPNIDKHMELEKTPEDDIFIHYPKGGIEGYSQKTWTIATIPFLFLSAYETNTRIAAIVQGDNESIAITQ -KVHPNLPYKVKKEICAKQAQLYFERLRMNLRALGHNLKATETIISTHLFIYSKKIHYDGAVLSQALKSMS -RCCFWSETLVDETRSACSNISTTIAKAIENGLSRNVGYCINILKVIQQLLISTEFSINETLTLDVTSPIS -NNLDWLITAALIPAPIGGFNYLNLSRIFVRNIGDPVTASLADLKRMIDHSIMTESVLQKVMNQEPGDASF -LDWASDPYSGNLPDSQSITKTIKNITARTILRNSPNPMLKGLFHDKSFDEDLELASFLMDRRVILPRAAH -EILDNSLTGAREEIAGLLDTTKGLIRSGLRKSGLQPKLVSRLSHHDYNQFLILNKLLSNRRQNDLISSNT -CSVDLARALRSHMWRELALGRVIYGLEVPDALEAMVGRYITGSLECQICEQGNTMYGWFFVPRDSQLDQV -DREHSSIRVPYVGSSTDERSDIKLGNVKRPTKALRSAIRIATVYTWAYGDNEECWYEAWYLASQRVNIDL -DVLKAITPVSTSNNLSHRLRDKSTQFKFAGSVLNRVSRYVNISNDNLDFRIEGEKVDTNLIYQQAMLLGL -SVLEGKFRLRLETDDYNGIYHLHVKDNCCVKEVADVGQVDAELPIPEYTEVDNNHLIYDPDPVSEIDCSR -LSNQESKSRELDFPLWSTEELHDVLAKTVAQTVLEIITKADKDVLKQHLAIDSDDNINSLITEFLIVDPE -LFALYLGQSISIKWAFEIHHRRPRGRHTMVDLLSDLVSNTSKHTYKVLSNALSHPRVFKRFVNCGLLLPT -QGPYLHQQDFEKLSQNLLVTSYMIYLMNWCDFKKSPFLIAEQDETVISLREDIITSKHLCVIIDLYANHH -KPPWIIDLNPQEKICVLRDFISKSRHVDTSSRSWNTSDLDFVIFYASLTYLRRGIIKQLRIRQVTEVIDT -TTMLRDNIIVENPPIKTGVLDIRGCIIYNLEEILSMNTKSASKKIFNLNSRPSVENHKYRRIGLNSSSCY -KALNLSPLIQRYLPSGAQRLFIGEGSGSMMLLYQSTLGQSISFYNSGIDGDYIPGQRELKLFPSEYSIAE -EDPSLTGKLKGLVVPLFNGRPETTWIGNLDSYEYIINRTAGRSIGLVHSDMESGIDKNVEEILVEHSHLI -SIAINVMMEDGLLVSKIAYTPGFPISRLFNMYRSYFGLVLVCFPVYSNPDSTEVYLLCLQKTVKTIVPPQ -KVLEHSNLHDEVNDQGITSVIFKIKNSQSKQFHDDLKKYYQIDQPFFVPTKITSDEQVLLQAGLKLNGPE -ILKSEISYDIGSDINTLRDTIIIMLNEAMNYFDDNRSPSHHLEPYPVLERTRIKTIMNCVTKKVIVYSLI -KFKDTKSSELYHIKNNIRRKVLILDFRSKLMTKTLPKGMQERREKNGFKEVWIVDLSNREVKIWWKIIGY -ISII - ->NP_054697.1 RNA polymerase [Tupaia paramyxovirus] -MDNQLGDVRDVLYPESHLDSPLVAAKLVQAIEYAELHHNQKLKDTTIIDVAKIKLARSQKSPYLVAQRQL -QETIYQMDKRFDSRNPVPYPECNYDLFRISDPTFSSKLEMLLEYSGRCFGKIEHLISNTLSKLRLKFGVN -QKSSSSLTGPSEASNIRLYSVMKSSRWYNSFLFWFTLKTEMRYLIKNSNKQKLIQGRGQLVVETKDHKIV -GNRNLVVIMDQGYSHGKVYYLTYELVLMYCDVIEGRLMVETTMSLDKRYGPLYPRAMRLWDLFDSLFVDL -GNNTYNIISQIEPLALSYLQLRDESGILAGAFLNHTLTEITDELKQLGYTNEDDISQFLGHIDDIFNIND -VNLTAEFFSFFRSFGHPFLEAETAADKVREHMSKPKLVSFEVMMKGHAIFCGIIINGHRDRHGGAWPPVT -FPNHCSSHILNAQRNSEALTDQMCITNWKSFCGMRFGCFMPLSLDEDLSMYMKDKALAAIKKEWDSAYPL -DSLPYTPPVQTTSRRLVDVFLQDSKFDPYQILMYVINGEYLHDPEFNISYSLKEKETKQAGRLFAKMTHK -MRACQVIAESLIANGIGDYFKDNGMAKSEHDLLKTLHKLSISSVPKTRSYKEGCQDDHSGHSPGRRTGNS -SSLTDPYLKKIDLTSMNTQSKTLYSSLKFSHKTPTTRVSGRVRKKCTFRDIHQALKPGLKSACCKGNVKD -VTRAYEVGQPSDNNLFDDQETQYETVSSFLTTDLQKFCLNWRYETSAIYAQRLDEIYGLPNFFEWLHKRL -ERSTLYVCDPSCPPKLAKHVDLDTMPNEHIFIKNPMGGIEGYSQKLWTIATIPYLYLSAYEVGVRIASVV -QGDNEVGAITKRVKSSLPYSVKKRMSTQMALEFFDRLRWNFSMVGHNLKASETIISSHFFVYSKRIYYDG -VCMTQGLKAVARCVFWSETIVDETRSACSNISTSLAKAIENGVDRELMYKMNILKTIQQLIISLGFSIND -SLTPDVTDPILKSPNWIIVAALVPSSLGGFNYLNMARLLVRNIGDPVTASLADVKRMIDGKLLPESILMK -IMTQESGTSDYIDWVSDPYYTNIPHSQSITKIIKNITARFILQSSPNPMLEGLFHINSDKEDRELARFLL -DRKVILPRAASEIVDNSITGARESLAGLLDTTKGLIRTGLKRGGLRPNLLNKISNYDYNQFRQFNRLMQN -DKYNSLIETGSCSVELARAFRQHMWINLSKGRVIYGLETPDVIESSSGYFLEGYEDCPHCSNGNQYYSWF -FVPNSCELDNVGNSNSSLRVPYVGSTTEERSEIKLGNIRSPSRALKAAIRIATVYTWAYGDTEKEWNEAL -YLANQRCKITLAELKTVTPISTSTNIAHRLRDKSTQMKYAAASNSRVSRYVTISNDNLNFEFDGVKMDTN -FVYQQFMLTGLAILEDKFRYYSTTGCYNTIYHLHVTDSCCVVPMEDYSYIPSWMNPPPYQSIKSNKLIYD -PEPIQGKDLIKTYKQYINSLDVDFCTWSLADLDDGLAGSLAHSIIEIIDKSLKDHLGDFQVIASDDDINS -FITEFLLVDPKLFMLHLGQTVAIHWAFDIHYRRASGKYEMTELLISMLYRSSRSAFKILANAFTHPKVYK -RFWDCGFIEPVYGPMLIQQDYVRCCLDLIITAFEMYTEQWLNGEGVEPDYILCEPDEDILPTRLATVQAR -HLSYLCDLYCPPGKMPSIRGLDVYQKAQVLSNHLQTMAMEYDSTLSWNLDQIKVITYPSTLTYLRRGCIK -QIRIRRPLSLLPYSNPLDGIQQLKSPTILSHTDAEGSNPDFCQAVKNYYPCSISCDKVLPILGTIGNDSK -YSCYGVTRWESHKYRRVGINSTSCYKAWSLSKYLSTRMNSTGPRVFLGEGSGAMLATYYACLGPAMTYYN -SGVTKDDVLGQRELNIYPAEVELINNLTDVRVGLKNDLKILFNGRPESTWLGNPECYCYILSTVSHESVS -LIHCDLESNFEKDTETVIEEQCHILSLGLTLLSKDGILVTKLCPDASGYIVPLLRSYQNFFSTVEIVLPQ -FSNPESTEFYLVAYQIKRNLIVEPWLMSSKYKNLSPVESTRLINLILDQKYHMWNTLTSSGNKADNSFET -DPDMFKLSRVEQELLSFGFKLNGPYVCKYLLHHDPAGGKEALVGSILVLYKELLQMYDSEHETSSMFNPY -PVRDGSKTREVVYSIMRKYYGLLILYPEQSQSKAHPYVVKALRSQTMFLDLLSRHLIALMPSHIRNYLSK -LRIKRYWTMAIITKEIKLWWKLLGYSYLLR - ->NP_037646.1 large polymerase subunit L [Bovine respirovirus 3] -MDTESHSGTTSDILYPECHLNSPIVKGKIAQLHTIMSLPQPYDMDDDSILIITRQKIKLNKLDKRQRSIR -KLRSVLMERVSDLGKYTFIRYPEMSSEMFQLCIPGINNKINELLSKASKTYNQMTDGLRDLWVTILSKLA -SKNDGSNYDINEDISNISNVHMTYQSDKWYNPFKTWFTIKYDMRRLQKAKNEITFNRHKDYNLLEDQKNI -LLIHPELVLILDKQNYNGYIMTPELVLMYCDVVEGRWNISSCAKLDPKLQSMYYKGNNLWEIIDGLFSTL -GERTFDIISLLEPLALSLIQTYDPVKQLRGAFLNHVLSEMELIFAAECTTEEIPNVDYIDKILDVFKEST -IDEIAEIFSFFRTFGHPPLEASIAAEKVRKYMYTEKCLKFDTINKCHAIFCTIIINGYRERHGGQWPPVT -LPVHAHEFIINAYGSNSAISYENAVDYYKSFIGIKFDKFIEPQLDEDLTIYMKDKALSPKKSNWDTVYPA -SNLLYRTNVSHDSRRLVEVFIADSKFDPHQVLDYVESGYWLDDPEFNISYSLKEKEIKQEGRLFAKMTYK -MRATQVLSETLLANNIGKFFQENGMVKGEIELLKRLTTISMSGVPRYNEVYNNSKSHTEELQAYNAISSS -NLSSNQKSKKFEFKSTDIYNDGYETVSCFLTTDLKKYCLNWRYESTALFGDTCNQIFGLKELFNWLHPRL -EKSTIYVGDPYCPPSDIEHLPLDDHPDSGFYVHNPKGGIEGFCQKLWTLISISAIHLAAVKIGVRVTAMV -QGDNQAIAVTTRVPNNYDYKVKKEIVYKDVVRFFDSLREVMDDLGHELKLNETIISSKMFIYSKRIYYDG -RILPQALKALSRCVFWSETIIDETRSASSNLATSFAKAIENGYSPVLGYVCSIFKNIQQLYIALGMNINP -TITQNIKDQYFRNIHWMQYASLIPASVGGFNYMAMSRCFVRNIGDPTVAALADIKRFIKANLLDRGVLYR -IMNQEPGESSFLDWASDPYSCNLPQSQNITTMIKNITARNVLQDSPNPLLSGLFTSTMIEEDEELAEFLM -DRRIILPRVAHDILDNSLTGIRNAIAGMLDTTKSLIRVGISRGGLTYNLLRKISNYDLVQYETLSKTLRL -IVSDKIKYEDMCSVDLAISLRQKMWMHLSGGRMINGLETPDPLELLSGVIITGSEHCRICYSTEGESPYT -WMYLPGNLNIGSAETGIASLRVPYFGSVTDERSEAQLGYIKNLSKPAKAAIRIAMIYTWAFGNDEISWME -ASQIAQTRANFTLDSLKILTPVTTSTNLSHRLKDTATQMKFSSTSLIRVSRFITISNDNMSIKEANETKD -TNLIYQQVMLTGLSVFEYLFRLEESTGHNPMVMHLHIEDGCCIKESYNDEHINPESTLELIKYPESNEFI -YDKDPLKDIDLSKLMVIRDHSYTIDMNYWDDTDIVHAISICTAVTIADTMSQLDRDNLKELVVIANDDDI -NSLITEFLTLDILVFLKTFGGLLVNQFAYTLYGLKIEGRDPIWDYIMRTLKDTSHSVLKVLSNALSHPKV -FKRFWDCGVLNPIYGPNTASQDQVKLALSICEYSLDLFMREWLNGASLEIYICDSDMEIANDRRQAFLSR -HLAFVCCLAEIASFGPNLLNLTYLERLDELKQYLDLNIKEDPTLKYVQVSGLLIKSFPSTVTYVRKTAIK -YLRIRGINPPETIEDWDPIEDENILDNIVKTVNDNCSDNQKRNKSSYFWGLALKNYQVVKIRSITSDSEV -NEASNVTTHGMTLPQGGSYLSHQLRLFGVNSTSCLKALELSQILMREVKKDKDRLFLGEGAGAMLACYDA -TLGPAINYYNSGLNITDVIGQRELKIFPSEVSLVGKKLGNVTQILNRVRVLFNGNPNSTWIGNMECESLI -WSELNDKSIGLVHCDMEGAIGKSEETVLHEHYSIIRITYLIGDDDVVLVSKIIPTITPNWSKILYLYKLY -WKDVSVVSLKTSNPASTELYLISKDAYCTVMEPSNLVLSKLKRISSIEENNLLKWIILSKRKNNEWLQHE -IKEGERDYGIMRPYHTALQIFGFQINLNHLAREFLSTPDLTNINNIIQSFTRTIKDVMFEWVNITHDNKR -HKLGGRYNLFPLKNKGKLRLLSRRLVLSWISLSLSTRLLTGRFPDEKFENRAQTGYVSLADIDLESLKLL -SRNIVKNYKEHIGLISYWFLTKEVKILMKLIGGVKLLGIPKQYKELEDRSSQGYEYDNEFDID - ->NP_047207.1 large polymerase protein L [Canine morbillivirus] -MDSVSVNQILYPEVHLDSPIVTNKLVSILEYARIRHNYQLLDTTLVRNIKERISEGFSNQMIINCIEIGS -IINQTLLSYPKHNHVIYPNCNKLLFHAQDRVISLRLRNIFKRGNSIYSKITDGVKKCLNDINLNIGLGGA -LDKTIGTKIDEAGIIMQSSQWFEPFLLWFTIKTEMRSVIKSSTHNCRKRRQNPVFVKGESLNVLVSRDLV -CIIDLTSHIVYYLTFEMVLMYCDVIEGRLMTDTAMAIDQRYSTLHVRIRYLWDLIDGFFPDLGNSTYQLV -ALLEPLSLAYLQLKDITFSLRGAFLSHCFAEIQEILQDNGFYTEETFQTLTQALDFVFITEDIHITGEIF -SFFRSFGHPRLEAITAAENVRKHMNQPKVVSYETMMKGHAIFCGIIINGYRDRHGGTWPPMDLPVHASPI -IRNAHASGEGITYSQCIENWKSFAGIRFKCFMPLSLDSDLTMYLKDKALAALRKEWDSVYPKEFLRYNPP -RSTESRRLVNVFLEDSQFDPYNMIMYVISGQYLEDPDFNLSYSLKEKEIKEVGRLFAKMTYKMRACQVIA -ENLISNGIGKYFKDNGMAKDEHDLTKSLHTLAVSGVPKDKKDSHRGLTNQRKSLKPAPYRGTRHSVSSPS -SRYIDPNPNFCTSRREDNDIEIYETVSAFITTDLKKYCLNWRYETISIFAQRLNEIYGLPSFFQWLHRRL -EQSILYVSDPHCPPDLDRHVDLNTAPNSQIFIKYPMGGVEGYCQKLWTISTIPYLYLAAHESGVRIASLV -QGDNQTIAVTKRVPSTWSYALKKSEASRVTTEYFIALRQRLHDVGHHLKANETIISSHFFVYSKGIYYDG -MLISQSLKSIARCVFWSETIVDETRAACSNISTTLAKAIEKGFDRYLAYTLNILKIIQQVLISLGFTINS -AMTRDVIEPLLQDHCLLTKMAILPAPIGGFNYLNMSRLFVRNIGDPVTSSIADLKRMIRSGLLGVEILHQ -VMTQYPGDSSYLDWASDPYSANLPCVQSITRLLKNITARHVLINSPNPMLRGLFHDESQDEDEALAAFLM -DRKIIIPRAAHEILDNTITGAREAIAGMLDTTKGLIRASMKRGGLTPRIITRLSTYDYEQFRAGIRLFSG -KGHDQLIDQDSCSVQLARALRNHMWAKLAKGRPIYGLEVPDILESMKGYMIRRHESCLLCASGSHNYGWF -FIPANCQLDSITEGTSALRVPYIGSTTEERTDMKLAFVKSPSRSLKSAVRIATVYSWAYGDDDESWQEAW -TLAKQRADISLEELRMITPISTSTNLAHRLRDKSTQVKYSGTSLIRVARYATISNDNLSFIIDDKKVDTN -FIYQQGMLLGLGILEHLFRLSSTTGDSNTVLHLHVETDCCVIPMSDHPRVPGLRKVVIPRNICTNPLIYD -SNPIIEKDAVRLYNQSHRKHIVEFVTWTTGQLYHVLAKSTAMSMVEMITKFEKDHLNEVTALIGDDDINS -FITEFLLVEPRLFTVYLGQCAAINWGFEIHYHRPSGKYQMGELLFSFLSRMSKGVFKILANALSHPKVYR -RFWDSGMIEPVHGPSLDSQNLHITVCNLIYNCYMIYLDLLLNDELDDFSFILCESDEDVIPERFDNIQAR -HLCILSDLYCNPRDCPQIRGLTPTQKCAVLSGYLKSKALESHVGLTWNDKPILIDQYSCSLTYLRRGSIK -QIRLRVDPGFITDAVGCLERRPLRNNSTSKASELTSGFDPPKDDLAKLLSQLSTRTHNLPITGLGVRNYE -VHSFRRIGINSTACYKAVEIASVIKNEFTSEEHGLFLGEGSGAMLTVYKELLRLSRCYYNSGVSVESRTG -QREISPYPSEVSLVEHQLGLDKLVTVLFNGRPEVTWVGSVDCYKYILSQISASSLGLIHSDIESLPDKDI -IEKLEELSAILSMTLILGKVGSVLVIKIMPVSGDWVQGFILYALPHFLRSFIVYPRYSNFVSTEAYLVFT -GLRAGRLINPEGIKQQILRVGIRTSPGLVGHILSSKQTACVQSLHGPPFHAKSFNPHLQGLTSIEKVLIN -CGLTINGLKVCKNLLHHDISSGEEGLKGSITILYRELARFKDNHQSSHGMFHAYPVLIASQERELVSIIA -KKYCGYILLYSGDLYEITRIVRNLKANHIIFDLHRNLFMDNLSRSDRSLILTTIPKKNWLFQLETKEIKE -WFKLLGYSALIRNH - ->NP_056879.1 RNA polymerase protein [Murine respirovirus] -MDGQESTQNPSDILYPECHLNSPIVRGKIAQLHVLLDVNQPYILKDDSIINITKHKIRNGGLSLRQIKIR -SLGKALQRTIKDLDRYTFEPYPTYSQELLRLDIPEICDKIRSVFAVSDRLTKELSNGFQDLWLNIFKQLG -NIEGREGYDPLQDISTIPEITERYSRNKWYRPFLTWFSIKYDMRWMQKTRPGGPLDTSNSHNLLECKSYT -LVTYGDLVMILNKSTLTGYILTPELVLMYCDVVEGRWNMSAAGQLDKRSTGITSKGEELWELVDSLFSSL -GEEIYNVIALLEPLSLALIQLSDPVIPLRGAFMRHVLTELQTVLTSKDVYTDPEADAIVESLLAIFHGTS -IDEKAEIFSFFRTFGHPSLEAVTAADKVRAHMYAQKAIKLKTLHECHAVFCTIIINGYRERHGGQWPPCD -FPDHVCLELRNAQGSNTAISYECAVDNYTSFIGFKFRKFIEPQLDEDLTIYMKDKALSPRKEAWDSVYPD -SNLYYKVPESEETRRLIEVFINDENFNPEDIIDYVESGDWLKDEKFNISYSLKEKEIKQEGRLFAKMTYK -MRAVQVLAETLLAKGIGELFSENGMVKGEIDLLKRLTTLSVSGVPRTDSVYNNPRSSEKRNESMKKRNSK -GYWDEKKRSRHEFKATDSSTDGYETLSCFLTTDLKKYCLNWRFESTALFGQRCNEIFGFKTFFNWMHPVL -EKCTIYVGDPYCPVADRMHRQLQDHADSGIFIHNPRGGIEGYCQKLWTLISISAIHLAAVRVGVRVSAMV -QGDNQAIAVTSRVPVAQTYKQKKNHVYEEITRYFGALRHVMFDIGHELKLNETIISSKMFVYSKRIYYDG -KILPQCLKALTRCVFWSETLVDENRSACSNISTSIAKAIENGYSPILGYCIALYKTCQQVCISLGMTINP -TISPTVRDQYFKGKNWLRCAVLIPANVGGFNYMSTSRCFVRNIGDPAVAALADLKRFIRADLLDKQVLYR -VMNQEPGDSSFLDWASDPYSCNLPHSQSITTIIKNITARSVLQESPNPLLSGLFTETSGEEDLNLASFLM -DRKVILPRVAHEILSNSLTGVREAIAGMLDTTKSLVRASVKRGGLSYGILRRLVNYDLLQYETLTRTLRK -PVKDNIEYEYMCSVELAVGLRQKMWIHLTYGRPIHGLETPDPLELLRGTFIEGSEVCKLCRSEGADPIYT -WFYLPDNIDLDTLTNGSPAIRIPYFGSATDERSEAQLGYVRNLSKPAKAAIRIAMVYTWAYGTDEISWME -AALIAQTRANLSLENLKLLTPVSTSTNLSHRLKDTATQMKFSSATLVRASRFITISNDNMALKEAGESKD -TNLVYQQIMLTGLSLFEFNMRYKKGSLEKPLILHLHLNNGCCIMESPQEANIPPRSTLDLEITQENNKLI -YDPDPLRDVDLELFSKVRDVVHTVDMTYWSDDEVIRATSICTAMTIADTMSQLDRDNLKEMIALVNDDDV -NSLITEFMVIDVPLFCSTFGGILVNQFAYSLYGLNIRGREEIWGHVVRILKDTSHAVLKVLSNALSHPKI -FKRFWNAGVVEPVYGPNLSNQDKTLLALSVCEYSVDLFMHDWQGGVPLEVFICDNDPDVADMRRSSFLAR -HLAYLCSLAEISRDGPRLESMNSLERLETLKSYLELTFLDDPVLRYSQLTGLVIKVFPSTLTYIRKSSIK -VLRTRGIGVPEVLEDWDPEADNALLDGIAAEIQQNIPLGHQTRAPFWGLRVSKSQVLRLRGYEEITRGEV -GRSGVGLTLPFDGRYLSHQLRLFGVNSTSCLKALELTYLLSPLVDKDKDRLFLGEGAGAMLSCYDATLGP -CINYYNSGVYSCDVNGQRELNIYPAEVALVGKKLNNVTSLGQRVKVLFNGNPGSTWIGNDECEALIWNEL -QNNSIGLVHCDMEGGDHKDDQVVLHEHYSVIRIAYLVGDRDVVLISKIAPRLGTDWTRQLSLYLRYWDEV -NLVVLKTSNPASTEMYLLSRHPKSDIIEDSKTVLASLHPLSKEDSIKIEKWILIEKAKAHEWVTRELREG -SSSSGMLRPYHQALQTFGFEPNLYKLSRDFLSTMNIADTHNCMTAFNRVLKDTIFEWARITESDKRLKLT -GKYDLYPVRDSGKLKTISRRLVLSWVSLSMSTRLVTGSFPDQKFEARLQLGIVSLSSREIRNLRVITKTI -LDRFENTIHSITYRFLTKEVKILMKILGAVKMFGARQNEYTTVVDDGSLDDIEPYDSL - ->NP_056924.1 large polymerase protein [Measles morbillivirus] -MDSLSVNQILYPEVHLDSPIVTNKIVAILEYARVPHAYSLEDPTLCQNIKHRLKNGFSNQMIINNVEVGN -VIKSKLRSYPAHSHIPYPNCNQDLFNIEDKESTRKIRELLKKGNSLYSKVSDKVFQCLRDTNSRLGLGSE -LREDIKEKIINLGVYMHSSQWFEPFLFWFTVKTEMRSVIKSQTHTCHRRRHTPVFFTGSSVELLISRDLV -AIISKESQHVYYLTFELVLMYCDVIEGRLMTETAMTIDARYAELLGRVRYMWKLIDGFFPALGNPTYQIV -AMLEPLSLAYLQLRDITVELRGAFLNHCFTEIHDVLDQNGFSDEGTYHELIEALDYIFITDDIHLTGEIF -SFFRSFGHPRLEAVTAAENVRKYMNQPKVIVYETLMKGHAIFCGIIINGYRDRHGGSWPPLTLPLHAADT -IRNAQASGEGLTHEQCVDNWKSFAGVRFGCFMPLSLDSDLTMYLKDKALAALQREWDSVYPKEFLRYDPP -KGTGSRRLVDVFLNDSSFDPYDMIMYVVSGAYLHDPEFNLSYSLKEKEIKETGRLFAKMTYKMRACQVIA -ENLISNGIGKYFKDNGMAKDEHDLTKALHTLAVSGVPKDLKESHRGGPVLKTYSRSPVHTSTRNVKAEKG -FVGFPHVIRQNQDTDHPENIETYETVSAFITTDLKKYCLNWRYETISLFAQRLNEIYGLPSFFQWLHKRL -ETSVLYVSDPHCPPDLDAHVPLCKVPNDQIFIKYPMGGIEGYCQKLWTISTIPYLYLAAYESGVRIASLV -QGDNQTIAVTKRVPSTWPYNLKKREAARVTRDYFVILRQRLHDIGHHLKANETIVSSHFFVYSKGIYYDG -LLVSQSLKSIARCVFWSETIVDETRAACSNIATTMAKSIERGYDRYLAYSLNVLKVIQQILISLGFTINS -TMTRDVVIPLLTNNDLLIRMALLPAPIGGMNYLNMSRLFVRNIGDPVTSSIADLKRMILASLMPEETLHQ -VMTQQPGDSSFLDWASDPYSANLVCVQSITRLLKNITARFVLIHSPNPMLKGLFHDDSKEEDERLAAFLM -DRHIIVPRAAHEILDHSVTGARESIAGMLDTTKGLIRASMRKGGLTSRVITRLSNYDYEQFRAGMVLLTG -RKRNVLIDKESCSVQLARALRSHMWARLARGRPIYGLEVPDVLESMRGHLIRRHETCVICECGSVNYGWF -FVPSGCQLDDIDKETSSLRVPYIGSTTDERTDMKLAFVRAPSRSLRSAVRIATVYSWAYGDDDSSWNEAW -LLARQRANVSLEELRVITPISTSTNLAHRLRDRSTQVKYSGTSLVRVARYTTISNDNLSFVISDKKVDTN -FIYQQGMLLGLGVLETLFRLEKDTGSSNTVLHLHVETDCCVIPMIDHPRIPSSRKLELRAELCTNPLIYD -NAPLIDRDATRLYTQSHRRHLVEFVTWSTPQLYHILAKSTALSMIDLVTKFEKDHMNEISALIGDDDINS -FITEFLLIEPRLFTIYLGQCAAINWAFDVHYHRPSGKYQMGELLSSFLSRMSKGVFKVLVNALSHPKIYK -KFWHCGIIEPIHGPSLDAQNLHTTVCNMVYTCYMTYLDLLLNEELEEFTFLLCESDEDVVPDRFDNIQAK -HLCVLADLYCQPGTCPPIRGLRPVEKCAVLTDHIKAEARLSPAGSSWNINPIIVDHYSCSLTYLRRGSIK -QIRLRVDPGFIFDALAEVNVSQPKVGSNNISNMSIKDFRPPHDDVAKLLKDINTSKHNLPISGGSLANYE -IHAFRRIGLNSSACYKAVEISTLIRRCLEPGEDGLFLGEGSGSMLITYKEILKLNKCFYNSGVSANSRSG -QRELAPYPSEVGLVEHRMGVGNIVKVLFNGRPEVTWVGSIDCFNFIVSNIPTSSVGFIHSDIETLPNKDT -IEKLEELAAILSMALLLGKIGSILVIKLMPFSGDFVQGFISYVGSHYREVNLVYPRYSNFISTESYLVMT -DLKANRLMNPEKIKQQIIESSVRTSPGLIGHILSIKQLSCIQAIVGGAVSRGDINPILKKLTPIEQVLIS -CGLAINGPKLCKELIHHDVASGQDGLLNSILILYRELARFKDNQRSQQGMFHAYPVLVSSRQRELVSRIT -RKFWGHILLYSGNRKLINRFIQNLKSGYLVLDLHQNIFVKNLSKSEKQIIMTGGLKREWVFKVTVKETKE -WYKLVGYSALIKD - ->sp|P35975.1|L_MEASA RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDSLSVNQILYPEVHLDSPIVTNKIVAILEYARVPHAYSLEDPTLCQNIKHRLKNGFSNQMIINNVEVGN -VIKSKLRSYPAHSHIPYPNCNQDLFNIEDKESTRKIRELLKKGNSLYSKVSDKVFQCLRDTNSRLGLGSE -LREDIKEKVINLGVYMHSSQWFEPFLFWFTVKTEMRSVIKSQTHTCHRRRHTPVFFTGSSVELLISRDLV -AIISKESQHVYYLTFELVLMYCDVIEGRLMTETAMTIDARYTELLGRVRYMWKLIDGFFPALGNPTYQIV -AMLEPLSLAYLQLRDITVELRGAFLNHCFTEIHDVLDQNGFSDEGTYHELIEALDYIFITDDIHLTGEIF -SFFRSFGHPRLEAVTAAENVRKYMNQPKVIVYETLMKGHAIFCGIIINGYRDRHGGSWPPLTLPLHAADT -IRNAQASGEGLTHEQCVDNWKSFAGVKFGCFMPLSLDSDLTMYLKDKALAALQREWDSVYPKEFLRYDPP -KGTGSRRLVDVFLNDSSFDPYDVIMYVVSGAYLHDPEFNLSYSLKEKEIKETGRLFAKMTYKMRACQVIA -ENLISNGIGKYFKDNGMAKDEHDLTKALHTLAVSGVPKDLKESHRGGPVLKTYSRSPVHTSTRNVRAAKG -FIGFPQVIRQDQDTDHPENMEAYETVSAFITTDLKKYCLNWRYETISLFAQRLNEIYGLPSFFQWLHKRL -ETSVLYVSDPHCPPDLDAHIPLYKVPNDQIFIKYPMGGIEGYCQKLWTISTIPYLYLAAYESGVRIASLV -QGDNQTIAVTKRVPSTWPYNLKKREAARVTRDYFVILRQRLHDIGHHLKANETIVSSHFFVYSKGIYYDG -LLVSQSLKSIARCVFWSETIVDETRAACSNIATTMAKSIERGYDRYLAYSLNVLKVIQQILISLGFTINS -TMTRDVVIPLLTNNDLLIRMALLPAPIGGMNYLNMSRLFVRNIGDPVTSSIADLKRMILASLMPEETLHQ -VMTQQPGDSSFLDWASDPYSANLVCVQSITRLLKNITARFVLIHSPNPMLKGLFHDDSKEEDEGLAAFLM -DRHIIVPRAAHEILDHSVTGARESIAGMLDTTKGLIRASMRKGGLTSRVITRLSNYDYEQFRAGMVLLTG -RKRNVLIDKESCSVQLARALRSHMWARLARGRPIYGLEVPDVLESMRGHLIRRHETCVICECGSVNYGWF -FVPSGCQLDDIDKETSSLRVPYIGSTTDERTDMKLAFVRAPSRSLRSAVRIATVYSWAYGDDDSSWNEAW -LLARQRANVSLEELRVITPISTSTNLAHRLRDRSTQVKYSGTSLVRVARYTTISNDNLSFVISDKKVDTN -FIYQQGMLLGLGVLETLFRLEKDTGSSNTVLHLHVETDCCVIPMIDHPRIPSSRKLELRAELCTNPLIYD -NAPLIDRDTTRLYTQSHRRHLVEFVTWSTPQLYHILAKSTALSMIDLVTKFEKDHMNEISALIGDDDINS -FITEFLVIEPRLFTIYLGQCAAINWAFDVHYHRPSGKYQMGELLSSFLSRMSKGVFKVLVNALSHPKIYK -KFWHCGIIEPIHGPSLDAQNLHTTVCNMVYTCYMTYLDLLLNEELEEFTFLLCESDEDVVPDRFDNIQAK -HLCVLADLYCQPGACPPIRGLRPVEKCAVLTDHIKAEARLSPAGSSWNINPIIVDHYSCSLTYLRRGSIK -QIRLRVDPGFIFDALAEVNVSQPKIGSNNISNMSIKAFRPPHDDVAKLLKDINTSKHNLPISGGNLANYE -IHAFRRIGLNSSACYKAVEISTLIRRCLEPGEDGLFLGEGSGSMLITYKEILKLNKCFYNSGVSANSRSG -QRELAPYPSEVGLVEHRMGVGNIVKVLFNGRPEVTWVGSVDCFNFIVSNIPTSSVGFIHSDIETLPNKDT -IEKLEELAAILSMALLLGKIGSILVIKLMPFSGDFVQGFISYVGSYYREVNLVYPRYSNFISTESYLVMT -DLKANRLMNPEKIKQQIIESSVRTSPGLIGHILSIKQLSCIQAIVGDVVSRGDINPTLKKLTPIEQVLIN -CGLAINGPKLCKELIHHDVASGQDGLLNSILILYRELARFKDNRRSQQGMFHAYPVLVSSRQRELISRIT -RKFWGHILLYSGNRKLINKFIQNLKSGYLILDLHQNIFVKNLSKSEKQIIMTGGLKREWVFKVTVKETKE -WYKLVGYSALIKD - ->sp|P12577.1|L_PI3H4 RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDTESNNGTVSDILYPECHLNSPIVKGKIAQLHTIMSLPQPYDMDDDSILVITRQKIKLNKLDKRQRSIR -RLKLILTEKVNDLGKYTFIRYPEMSKEMFKLHIPGINSKVTELLLKADRTYSQMTDGLRDLWINVLSKLA -SKNDGSNYDLNEEINNISKVHTTYKSDKWYNPFKTWFTIKYDMRRLQKARNEVTFNMGKDYNLLEDQKNF -LLIHPELVLILDKQNYNGYLITPELVLPYCDVVEGRWNISACAKLDPKLQSMYQKGNNLWEVIDKLFPIM -GEKTFDVISLLEPLALSLIQTHDPVKQLRGAFLNHVLSEMELIFESRESIKEFLSVDYIDKILDIFNKST -IDEIAEIFSFFRTFGHPPLEASIAAEKVRKYMYIGKQLKFDTINKCHAIFCTIIINGYRERHGGQWPPVT -LPDHAHEFIINAYGSNSAISYENAVDYYQSFIGIKFNKFIEPQLDEDLTIYMKDKALSPKKSNWDTVSPA -SNLLYRTNASNESRRLVEKFIADSKFDPNQILDYVESGDWLDDPEFNISYSLKEKEIKQEGRLFAKMTYK -MRATQVLSETLLANNIGKFFQENGMVKGEIELLKRLTTISISGVPRYNEVYNNSKSHTDDLKTYNKISNL -NLSSNQKSKKFEFKSTDIYNDGYETVSCFLTTDLKKYCLNWRYESTALFGETCNQIFGLNKLFNWLHPRL -EGSTIYVGDPYCPPSDKEHISLEDHPDSGFYVHNPRGGIEGFCQKLWTLISISAIHLAAVRIGVRVTAMV -QGDNQAIAVTTRVPNNYDYRVKKEIVYKDVVRFFDSLREVMDDLGHELKLNETIISSKMFIYSKRIYYDG -RILPQALKALSRCVFWSETVIDETRSASSNLATSFAKAIENGYSPVLGYACSIFKNIQQLYIALGMNINP -TITQNIKDLYFRNPNWMQYASLIPASVGGFNYMAMSRCFVRNIGDPSVAALADIKRFIKANLLDRSVLYR -IMNQEPGESSFLDWASDPYSCNLPQSQNITTMIKNITARNVLQDSPNPLLSGLFTNTMIEEDEELAEFLM -DRKVILPRVAHDILDNSLTGIRNAIAGMLDTTKSLIRVGINRGGLTYSLLRKISNYDLVQYETLSRTLRL -IVSDKIRYEDMCSVDLAIALRQKMWIHLSGGRMISGLETPDPLELLSGVIITGSEHCKICYSSDGTNPYT -WMYLPGNIKIGSAETGISSLRVPYFGSVTDERSEAQLGYIKNLSKPAKAAIRIAMIYTWAFGNDEISWME -ASQIAQTRANFTLDSLKILTPVATSTNLSHRLKDTATQMKFSSTSLIRVSRFITMSNDNMSIKEANETKD -TNLIYQQIMLTGLSVFEYLFRLEETTGHNPIVMHLHIEDECCIKESFNDEHINPESTLELIRYPESNEFI -YDKDPLKDVDLSKLMVIKDHSYTIDMNYWDDTDIIHAISICTAITIADTMSQLDRDNLKEIIVIANDDDI -NSLITEFLTLDILVFLKTFGGLLVNQFAYTLYSLKTEGRDLIWDYIMRTLRDTSHSILKVLSNALSHPKV -FKRFWDCGVLNPIYGPNTASQDQIKLALSICEYSLDLFMREWLNGVSLEIYICDSDMEVANDRKQAFISR -HLSFVCCLAEIASFGPNLLNLTYLERLDLLKQYLELNIKDDPTLKYVQISGLLIKSFPSTVTYVRKTAIK -YLRIRGISPPEVIDDWDPIEDENMLDNIVKTINDNCNKDNKGNKINNFWGLALKNYQVLKIRSITSDSDN -NDRSDASTGGLTLPQGGNYLSHQLRLFGINSTSCLKALELSQILMKEVNKDQDRLFLGEGAGAMLACYDA -TLGPAVNYYNSGLNITDVIGQRELKIFPSEVSLVGKKLGNVTQILNRVKVLFNGNPNSTWIGNMECETLI -WSELNDKSIGLVHCDMEGAIGKSEETVLHEHYSVIRITYLIGDDDVVLISKIIPTITPNWSRILYLYKLY -WKDVSIISLKTSNPASTELYLISKDAYCTIMEPSEVVLSKLKRLSLLEENNLLKWIILSKKKNNEWLHHE -IKEGERDYGVMRPYHMALQIFGFQINLNHLAKEFLSTPDLTNINNIIQSFQRTIKDVLFEWINITHDGKR -HKLGGRYNIFPLKNKGKLRLLSRRLVLSWISLSLSTRLLTGRFPDEKFEHRAQTGYVSLPDTDLESLKLL -SKNTIKNYRECIGSISYWFLTKEVKILMKLIGGAKLLGIPRQYKEPEEQLLEDYNQHDEFDID - ->sp|P06829.2|L_SENDE RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDGQESSQNPSDILYPECHLNSPIVRGKIAQLHVLLDVNQPYRLKDDSIINITKHKIRNGGLSPRQIKIR -SLGKALQRTIKDLDRYTFEPYPTYSQELLRLDIPEICDKIRSVFAVSDRLTRELSSGFQDLWLNIFKQLG -NIEGREGYDPLQDISTIPEITDKYSRNRWYRPFLTWFSIKYDMRWMQKTRPGGPIDTSNSHNLLECKSYT -LVTYGDLVMILNKLTLTGYILTPELVLMYCDVVEGRWNMSAAGHLDKRSIGITSKGEELWELVDSLFSSL -GEEIYNVIALLEPLSLALIQLNDPVIPLRGAFMRHVLTELQTVLTSRDVYTDAEADTIVESLLAIFHGTS -IDEKAEIFSFFRTFGHPSLEAVTAADKVRAHMYAQKAIKLKTLYECHAVFCTIIINGYRERHGGQWPPCD -FPDHVCLELRNAQGSNTAISYECAVDNYTSFIGFKFRKFIEPQLDEDLTIYMKDKALSPRKEAWDSVYPD -SNLYYKAPESEETRRLIEVFINDENFNPEEIINYVESGDWLKDEKFNISYSLKEKEIKQEGRLFAKMTYK -MRAVQVLAETLLAKGIGELFSENGMVKGEIDLLKRLTTLSVSGVPRTDSVYNNSKSSEKRNEGMKKKNSG -GYWDEKKRSRHEFKATDSSTDGYETLSCFLTTDLKKYCLNWRFESTALFGQRCNEIFGFKTFFNWMHPIL -ERCTIYVGDPYCPVADRMHRQLQDHADSGIFIHNPRGGIEGYCQKLWTLISISAIHLAAVRVGVRVSAMV -QGDNQAIAVTSRVPVAQTYKQKKNHVYEETTKYFGALRHVMFDVGHELKLNETIISSKMFVYSKRIYYDG -KILPQCLKALTRCVFWSETLVDENRSACSNISTSIAKAIENGYSPILGYCIALYKTCQQVCISLGMTINP -TISPTVRDQYFKGKNWLRCAVLIPANVGGFNYMSTSRCFVRNIGDPAVAALADLKRFIRADLLDKQVLYR -VMNQEPGDSSFLDWAPDPYSCNLPHSQSITTIIKNITARSVLQESPNPLLSGLFTETSGEEDLNLASFLM -DRKVILPRVAHEILGNSLTGVREAIAGMLDTTKSLVRASVRKGGLSYGILRRLVNYDLLQYETLTRTLRK -PVKDNIEYEYMCSVELAVGLRQKMWIHLTYGRPIHGLETPDPLELLRGTFIEGSEVCKLCRSEGADPIYT -WFYLPDNIDLDTLTNGSPAIRIPYFGSATDERSEAQLGYVRNLSKPAKAAIRIAMVYTWAYGTDEISWME -AALIAQTRANLSLENLKLLTPVSTPTNLSHRLKDTATQMKFSSATLVRASRFITISNDNMALKEAGESKD -TNLVYQQIMLTGLSLFEFNMRYKKGSLGKPLILHLHLNNGCCIMESPQEANIPPRSTLDLEITQENNKLI -YDPDPLKDVDLELFSKVRDVVHTVDMTYWSDDEVIRATSICTAMTIADTMSQLDRDNLKEMIALVNDDDV -NSLITEFMVIDVPLFCSTFGGILVNQFAYSLYGLNIRGREEIWGHVVRILKDTSHAVLKVLSNALSHPKI -FKRFWNAGVVEPVYGPNLSNQDKILLALSVCEYSVDLFMHDWQGGVPLEIFICDNDPDVADMRRSSFLAR -HLAYLCSLAEISRDGPRLESMNSLERLESLKSYLELTFLDDPVLRYSQLTGLVIKVFPSTLTYIRKSSIK -VLRTRGIGVPEVLEDWDPEADNALLDGIAAEIQQNIPLGHQTRAPFWGLRVSKSQVLRLRGYKEITRGEI -GRSGVGLTLPFDGRYLSHQLRLFGINSTSCLKALELTYLLSPLVDKDKDRLYLGEGAGAMLSCYDATLGP -CINYYNSGVYSCDVNGQRELNIYPAEVALVGKKLNNVTSLGQRVKVLFNGNPGSTWIGNDECEALIWNEL -QNSSIGLVHCDMEGGDHKDDQVVLHEHYSVIRIAYLVGDRDVVLISKIAPRLGTDWTRQLSLYLRYWDEV -NLIVLKTSNPASTEMYLLSRHPKSDIIEDSKTVLASLLPLSKEDSIKIEKWILIEKAKAHEWVTRELREG -SSSSGMLRPYHQALQTFGFEPNLYKLSRDFLSTMNIADTHNCMIAFNRVLKDTIFEWARITESDKRLKLT -GKYDLYPVRDSGKLKTISRRLVLSWISLSMSTRLVTGSFPDQKFEARLQLGIVSLSSREIRNLRVITKTL -LDRFEDIIHSITYRFLTKEIKILMKILGAVKMFGARQNEYTTVIDDGSLGDIEPYDSS - ->AIF74185.1 polymerase, partial [Bat paramyxovirus] -SILIHHLEDHTVFLNRNLVVIINHNDYTTHYLTFEMVLLMSDVLEGRLMIDLGMSSDHRFHILRGKGHKL -WEFIDSQFEDLGNNTYDLVAMIEPLVLGFLQLRDPSELLCGAFLQYCMTDLLDIYKSHGYTNQEDLDNVT -NIIFDIFDIDDIHMISEFFSFFRTFGHPTLEAWNAADKVRSHMNKPKVVKFITMMKGHALFCSTIINGYR -DRHGGAWPPLILPPHASDRIKQAHNNSEGLIDDLVIRNWQSFSGIKFKCFMPLTLDEDLTMYMKDKALAA -LKSEWDSVYPKEVMIYNPPKQTSSRRLVEVFLQDRNFDPSTLIDYVISGKYLEDKEFNISYSLKEKEIKQ -VGRLFAKMTYNMRACQVVAESLIATGIGKYFKENGMTKNEHDLLKTLHKLSVSSVPKDNKMFHTADIFKK -NDNVTTSKITKIDNTIQYETVSTFLTTDLQKFCLNWRQETTNLFAERLNEIYGLPGFFNWQHKILEKSVL -YVADPHCPPKYKEHVGLNDVSNDHIYIKYPMGGIEGYSQKLWTITTIPFLFLSAYEIGAKIAAVVQGDNQ -AIAITMRVHPNLPYRKKKLMCSKLAQQFFYQLRSNLGDIGHNLKANETIVSSNFFVYSKRIYYDGVVLSQ -SLKPLSRVVFWSETIVDETRSACSNISTAISKSIEQGFSRWIGYAINTLKIIQQLVISLKFTINPSLTSD -VTNPIYQNMYWLISAALVPSQIGGFNYMNLSRLYVRNIGDPITASFADLKRLIKAGLLNQSILQKILHQN -PGQSTYLDWSSDPYSVNIPHSQSVTTILKNITARTILQASENPMLRGLFHFDFEKEDHDLARFLLDRPVI -IPRAAHEIMDKSLTGARQEIAGMLDSTKGLIRNSIRAGGVSPGMLTRISLYDYEQFRVFNDLMKTKEEDR -LITVEACSVRLAITLRKRMWRDLAQGRQIYGLEVPDSLEVVKGYFLRECEDCYYCTANQVQYGWFFCPKN -CELDAVNHETNAIRVPYFGSTTEERSEIKLSNVKNSSRALKSAIRIATVYTWAFGDSDENWEEAWYLASF -RANVTLDELKAITPISTSNNIAHRLRDKSTQMKYSSTALNRVGRYVTISNDNLNFIIDGRKVDTNLVYQQ -IMLTGLAILEELFRFQSTTGSKNTVLHLHIQKECCVQEMSDHPYIDSNSILPTLQRVEGNKLIYDDDPII -ERTKNIITQQVYKSGVLDFPRWSIQELNSTLSKALAATIIEIITKENHDHLSEFKTLSSEDDINSLITEF -MLVSPDEFSLDLGLYMSINWAYEIYYRRPQGKYQMIEYLSTILNQASRSLFAVMSNALSHPRIFQRFWDS -GLVEPIYGPNLSSQDFIKITIDFMIKSYQHYLNYWLGGDETEYILSESDEEIVDQRFENIQSKHLCMVSS -LYLERSVMPKILNMTSIEKCAILTDVLQREQFKNGIYSDWNMDPLEVINYPASITYMRRGTIKHIRLRQA -LTSEVIGFDRLTIERHPTKEFVPQIKFDEFHDNYSHFFPITAIYTSDYIQLQFNPWAKKSNNYWENHISR -RVGINSTSCHKALEISHYILDKIEKEGPRLYLGEGSGAMMITYYITLGKTQVYYNTGVFNREVMGQRVLT -VQPSEAMMVERQNPLELGFSRNLKVLFNGKPESSWVGSDENFAYIMSQIETHSLSFIHSDMESTPDKDQI -SVIQEQTYAMALAINLGNSNSIFVTKVAPQENSYTTQFLNVLMIHYEEVFGFIPASSNPYSTEFYIICSY -PRVKTMVNPHILMSTYASPLCAILFDVEIGVIALSSS ->ALW95387.1 RNA polymerase [Porcine parainfluenza virus] -MDHFNMSQNPSDILYPECHLNSPIVKGKIAQLHVLLDINQPYEMRDPSIIEIMKVKIKSGGLNQRLIRIR -SLGKEMRRIIFDFDKYTFEPYPIFSKELFRLEIPEICDKIQSVFAVSDKLSKDISQPLQYLWRDVRRQLG -GDCSKDLSNNEIDIHKIPEIHTKFTRNNWYKPFMTWFSIKYDMRRCQKNRENINLDSRQSYNYLNCKYYF -IIIHPDLLMILDKIKYTGYLLTPELVLMYCDVVEGRWNMSAAGQLDDKSHKITLKGEELWGRIDELFKII -GEETFNIISLLEPLSLALIQLTDPVMSLKGAFMRHVISEMNEILVKCGNLTELEVDHIMDSILNIFMDTT -VDEKAEIFSFFRTFGHPSLEASIAAEKVRQHMYAQKSIKYKTLCECHAIFCTIIINGYRDRHGGQWPPCQ -FPDHVCQELRNSQGSNSAISYETAVDNFESFIGFRFEKFIDPQLDEDLTIYMRDKALSPRREAWDSVYPD -GNLLYKVPFSEETRRLIEVFISDSNFNPEDIIQYVETGEWLNDDTFNISYSLKEKEIKQEGRLFAKMTYK -MRAVQVLAETLLAKGIGGLFNENGMVKGEIDLLKSLTTLSISGVPRTSEIYNESVSEEADRRRWERENSS -YYWEKRKRSKHEFKATDSSTNGYETLSCFLTTDLKKYCLNWRFESTSLFGQRCNEIFGFKRFFNWMHPVL -EECIIYVGDPYCPVPDKIHKNLEDHEDSGIFIHRPKGGIEGYCQKLWTLISISAIHLAAVKVGVRVSAMV -QGDNQAIAVTSRVPVTATYKFKKEQVYTEITKYFKSLRDVMSDLGHELKLNETIISSKMFVYSKRIYYDG -KILPQCLKALTRCVFWSETLVDENRSACSNLATAIAKAIENGYSPILGYSIALYKTCQQVCISLGMTINP -TITPNIRDQYYLGKNWLRCAVLIPANVGGFNYMAMSRCFVRNIGDPAVAALADLKRFIRAGLLDKQVLYR -VMNQESGESNFLDWASDPYSCNLPHSQSITTIIKNITARSVLQESPNPLLSGLFTCDSKEEDLNLATFLM -DRKVILPRVAHEILDNSLTGIRESIAGMLDTTKSLVRVSIRKGGLSYNLLRKLINYDLLQYETLTRTLRK -VVTNNIEYEYMCSVELAIGLRQKMWSHLTYGRPIHGLETPDPLELLKGTFIKGSEVCKICRSEGDNPIYT -WFYLPEEIDLDNLEQGNPSIRIPYFGSTTDERSEAQLGYVKTLSKPAKAAIRIAMIYTWAYGTDEISWME -AAQIAQTRANLSLDNLKLLTPVSTSTNLSHRLKDTATQMKFSSATLVRASRFITISNDKMALKEAGETKD -TNLIYQQIMLTGLSVFEFNTRYIKGKTKQPMILHLHLNNGCCIMESPQETCIPPKSTLDLEVTNEENKLI -YDNNPLKNVDLGIFQKIRDIVHTVDMTFWSDLEIMRAVTICTSMTIADTMSQLDRDNLKEVIVLTNDDDI -NSLITEFMIIDIPLFCSTFGGILVNQFAYALYGLNIRGREEIWGYITRTLKDTSHAVLKVLANALSHPKV -FKRFWDFGILEPVYGPNLSNQDKIMLALSVCEYSIDLFMRDWQSGIPLETFICDNDPEVVELRKGAYLAR -HLAYLCSLGEISSYGPRLETLTSLERLEVLKSYLEISCLEDPTLRYSQVTGLVLKVFPSTVVYIRKLAIK -MLRIRGIGVPEVLEDWDPSHEQALLDNIAQEIQHNIPINQSIKTPFWGLKVNNSQVLRLRGYKEVKDRKS -GRSGVGLTLPCDNRYLSHQIRLFGINSTSCLKALELTYLIGPLIDKSKDRLFLGEGAGAMLSCYDATLGP -SMNYYNSGVSSYDINGQRELGIYPSEAALVAKKLNNVTNLGQRIKVLFNGNPGSTWVGNQECETLIWSEL -QDKSIGLIHCDLEGGELKDTQTVLHEHYSIIRIAYLVGDNDVLLVTKIAPKLGTDWTQQLCLYLRYWNEV -NLVVLKTSNPSSTEMYLLSRNPSKDVIEDSLTVISDLKPLSKKDSIQLEKWILVEKDKVKEWLIKELREG -ELMSGSLRPYHQALQIFGFEANLHKLCRDFLSTMSISDIQMCINSFYRVLKDTIFEWSRVTNDHKTCKLT -GKYELYPIRDSGKLKVISRRLIISWIALSMSTRLLTGTFPDVKFESRLNIGLVSLSTNEIKSLKLISKAT -VDRFQEVIHSVSYRFLTKEIKILMKILGAVKLFGARQTYNHLALTPEPLSDIEGYLDD ->AEX65767.1 L [Rinderpest morbillivirus] -MDSLSVNQILYPEVHLDSPIVTNKLVAILEYARIPHKYILEDPTLCKNIKHRLDSGFSNQRIINNIEIGN -VVQSKLKSYPNHEHIPYPECNQELFSAQDKEATVKIRSLLKKGTTLYSKISDRVCICLETANSRLGLGAD -LGVGVKEKVLNLSLYMQSSQWFEPFLFWFTIKTEMRSTIKSSAHTSHRRRYVPSFFTGNTFEILISRDLV -AIVHRKLHHVYYLTFEMVLMYCDIIEGRLMTETAMVIDPRYIELLGRVQYLWKLIDGFFPTLGNSTYQVV -ALLEPLSLAYLQLRDVTIELRGAFLNHCFTEIHEILNQNGVTNENTYHEIIEALDYIFITDDIHLTGEIF -SFFRSFGHPRLEAITAADNVRKHMNQPKVIVYETLMKGHAIFCGIIINGYRDRHGGSWPPLHLPVHAASS -IRNAQASGEGLTYEQCVDNWKSFAGVRFGCFMPLSLDSDLTMYLKDKALAALKREWDSAYPKEFLRYNPP -KSTSSRRLVDVFLNDSTFDPYNMIMYVVSGDYLRDPDFNLSYSLKEKEIKETGRLFAKMTYKMRACQVIA -ENLISNGIGKYFRDNGMAKDEHDLTKALHTLAVSGVPKDLKGNYRGGPRAKTFSLKKTHTGTGISRVSRN -ETHYRSPEIRDRNTDSPDQIENYETVSAFITADLKKYCLNWRYETISLFAQRLNEIYGLPSFFQWLHRRL -ERAVLYVSDPHCPPDLDNHVDLDNVSNDQIFIKYPMGGIEGYCQKLWTISTIPYLYLAAHESGVRIASLV -QGDNQTIAVTKRVPSSWPYHIKKREAARVTREYFCLLRQRLHDIGHHLKANETIVSSHFFVYSKGIYYDG -LLVSQSLKSIARCVFWSETIVDETRAACSNIATTIAKSIERGFDRYLAYSLNILKIIQQILISLGFTINS -TMTQDVVVPLLSNNDLLIRMALLPAPIGGMNYLNMSRLFVRNIGDPVTSSIADLKRMIGASLMPEETLHQ -VMTQQPGDSSFLDWASDPYSANLVCIQSITRLLKNITARYVLINSPNPMLRGLFHNDSKEEDEQLATFLM -DRSVIVPRAAHEILDHSITGAREAIAGMLDTTKGLIRTSMRRGGLTTRVIARLSTYDYEQFRSGMVLLTG -SKRNYLIDRDSCSVQLARALRSHMWAKLARGRPIYGLEVPDVLESMRGHLIQRHATCVLCDFGSPNYGWF -FVPSNCQLDDIDRETSALRVPYIGSTTEERTDMKLAFVKSPSRSLRSAVRIATVYSWAYGDDDKSWTEAL -KLAQQRAKVSLEELKMITPISTSTNLAHRLRDRSTQVKYSGTSLVRVARYTTISNDNLSFVISDKKVDTN -FIYQQGMLLGLGVLETLFRLDKDTGPSNTVLHLHVETDCCVIPMVDHPRIPSLHDLKFKRELCTNPLIYD -NAPIINRDATKLYTQSHRRHLVEFVTWSTSQLYHILAKSTALAMIDLVTKFEKDHMNEVSALIGDDDINS -FITEFLLVEPRLFTVYLGQCTAINWAFDIHYHRPSGKYQMGELLTSFLARMSKSVFKVLVNALSHPKIYR -KFWHSGIIEPIHGPSLDTQNLHITVCNMIYSCYMTYLDLLLNDEPDEFTYLLCESDEDIVADRFDNIQAR -HLCVLSDLYCNPRGCPTIRGLQPVEKCAIMTKHIEAEAKLSPAGPSWIIGPIVIDHFSCSLTYLRRGSIK -QIRLRVDPGFIFEALAETDPQKPNLQHEQVADMHISNFRPPYDNVAELLGIINSSKHNLPILGTGVCNYE -VHAFRRIGLNSSACYKAVEISTLIKSSIDPGEDSLFLGEGSGSMLVTYKKVLKLKQCFYNSGVSAESRSG -QRELSPYPSEVSLVEHQLGVGETVKVLFNGRPEVTWVGSVDCFNYIISNIRTSSLGLVHSDIETLPTKDI -TEKLEELSAILALALLLGKVGSVLVIKVMPISGDYVQGFMSYAVPYYREILIIYPRYSNFISTEAYLVLL -GLKANRLIDPERIKQQVVDSGVRTIPGLVGHILSVKQLNCIQSQVGPAITRGETNPILRKLTPIERILIN -CGLTINGTKICSDIVHHDISSGSDGLLNSSIILLKELARFRDNQRSQQGLFHAYPVLLSSRQRELISRVA -RKFWGYILLYSSDRRLLSRLISNLKSGYLLFDLHQNLFMKSLSKSEKQLIRTGGLKREWLFKLTTKEIKE -WFKLIGYSALIQE ->AIF74192.1 polymerase, partial [Bat paramyxovirus] -MGSNEKYSADIGDIIYPECHLDSPIVTGKLIELVFYTSLPLPTNLEDKTLLRNLERNTKIGRRSFIYKSQ -NYLGNSVRDCYPSIHDYKVIDYPSGNYTLFRLESKNTTVVLDNLLNYAGVCYRKVSDRLIKLRKSINQSL -GLNENTKNDHTADNHEESSIRNLSEILKGSKWYNPFLFWFTIKTEMRDLIKTSPKDSRVNRTPYIVHHME -YYSVFLNRNLCIIVNPQNKEIHYFTNEMVLMFSDVLEGRLMIDIGMTADYRFIDFRQKGYKLWEFIDLQF -TDLGNNTYDLVAMIEPLVLGFLQLRDPTSELRGAFLDYCLHDLIQIYIDKGFTNRENHKLILDIVFDIFN -IDDIHMIAEFFSFFRTFGHPTLEATNAANKVRTYMNKPKVIKFLTMMKGHALFCGIIINGYRDRHGGAWP -PLDLPAHASNRIKQAMNNSEGLTDHLCITYWQSFSGIKFRCFMPLTLDDDLTIYMKDKALAALKSEWDSV -YPNETLPYDSPRQTSSRRLVEVFLNDSTFDPVRLINYVLSGEYLNDPEFNISYSLKEKEIKQVGRLFAKM -TYKMRACQVVAESLISNGVGKYFHENGMAKNEHELLKTLHKLSVSSVPKNNKLDKESKFLQNKKGNSSNH -NFKSPSNNVNKKHGNLPGSIQYETVSTFLTTDLQKFCLNWRQETSNLFAERLNEIYGLPGFFNWQHKILE -KSVLYVADPHCPPDHLVHTELDNVENNQIFIKYPMGGIEGYSQKLWTITTIPFLFLSAYEVGTKIAAVVQ -GDNQAIAITARVHPNLPFLEKKRKSVKLAQQFFYRLRSNLGDIGHNLKANETIISSNFFVYSKRIYFDGL -VLSQSLKPLSRTVFWSETLVDETRSACSNISTAIAKSIEQGFSRWIGYAMNVLKIIQQLLISIKFTINEN -MTNDITSPIYQNPYWIICAALVPAQIGGYNYMNISRLYVRNIGDPVTASFADIKRLIKVGLLNSRILQKI -MHQKPGNSTFLDWASDPYSVNIPHSQSITTMLKNITSRTILQNSENPMLKGLFHFEFEKEDHDLAEFLLN -RPIIIPRAAHEIMDKSLTGARQEIAGMLDTTKGLVRNSIRAGGIHPGLIKKLSMYDYEQFRIFNNLMSIK -ESDPLINSDACSVRLAITLRKRMWKDLAYGRQIYGLEVPDTLEVVQGYFLTDCEDCYYCVSNQQQYGWFF -CPPECELDEVNRETNTLRVPYFGSTTEERSEIKLSTVKNSSRALKSAIRIATVYTWAFGDTDENWEEAWY -LASFRANVTLDELKAITPISTSNNIAHRLRDKSTQMKYASTTLNRVGRYVTISNDSLNFIIDGRKVDTNL -VYQQIMLLGIAVFEEKFRFVSTTGYCNNVLHLHIQTSCCVTEMCDHPYIESHKPLPVLQKVDCNKLIYDD -NPIIERTQNLITQQIYRLGLLDFPRWNIKELNDCLCKSLAATLIEILTKENKDHLSEFKTLSSEDDINSL -ITEFLLVDPETFTLNLGMYIAVNWAYDIYYRRPSGKYQMIDYLSTILVSSSRSLFIVIANALSHPRVFQR -FWDSGIVEPVYGPNLSSQDYIRAAIDLLTWSYQQYLNFWLDDNETDYILSEGDEEIIDQRYEGTQARHLC -MLSSLYLDRSRMPIILNLTSIEKCALLNARLSEDQFKDGVYNDWNLEPLPIIVYPASTTYLRRGSIKHIR -LRQIMESEVIGLDKLQMIRHPSNQVKMPKLDYKDQDYYSFYFETKEFYTSDYVQLQESPWDKNHRNYWEN -HIARRIGINSTSCYKAVEIANYLRDKLDTEGDRLFLGEGSGAMMITYYNLLGPTKTFYNTGVFNNEVLGQ -RILTVNPSEALLVEKNGMWSHEFTKNLVRLFNGKPESSWIGTNESFTYIMSQIEIHSISFIHNDMESSSD -KDASVIIKEQIYSLALALNLGSENSYYVTKLAPKNGDYTDQF ->ADQ43756.1 large polymerase subunit L [Bovine respirovirus 3] -MDTEFSGGTTSDILYPECHLNSPIVKGKIAQLHTIMSLPQPYDMDDDSILVITRQKIRLNKLDKRQRSIR -KLKSVLMERVIDLGKYNFIRYPEMSNEMFQLCIPGINSRINELLSKAHRTYNQMTDGLRNLWITILSKLA -SKNDGSNYNINEDISNISSVHTTYQSDRWYNPFKTWFTIKYDMRRLQKAKNEVTCNKYKDYNLLEDQRNV -LLIHTELVLILDKQNYKGYIITPELVLMYCDVVEGRWNISSCAKLDPKLHSMYYKGNNLWETIDGLFPKL -GEKTFDIISLLEPLALSLIQTHDPVKQLRGAFLNHVLSEMESILDTRYKTEETPELDYIDKILDVFKEST -IDEIAEIFSFFRTFGHPPLEASIAAEKVRKYMYTEKSLNFDTINKCHAIFCTIIINGYRERHGGQWPPIT -LPAHAHEFIINAYGSNSAISYENAVDYYRSFIGIKFNKFIEPQLDEDLTIYMKDKALSPKKSNWDTVYPS -SNLLYRTSTSHDSRRLVEVFIADSKFDPHQVLDYVESGEWLNDPEFNISYSLKEKEIKQEGRLFAKMTYK -MRAAQVLSETLLANNIGKFFQENGMVKGEIELLKRLTTISMSGVPRYNEVYNNPKSHTDEIKAYNAISNS -NLSSNQKSRRFEFKSTDIYNDGYETVSCFLTTDLKKYCLNWRYESTALFGDTCNQIFGLKELFNWLHPRL -ENSTIYVGDPYCPPLDIEHLQLDDHPDSGFYVHNPRGGIEGFCQKLWTLISISAIHLAAVKIGVRVTAMV -QGDNQAIAVTTRVPNNYDYRIKKEIVYKDVVKFFDSLREVMDDLGHELKLNETIISSKMFIYSKRIYYDG -RILPQALKALSRCVFWSETIIDETRSASSNLATSFAKAIENGYSPVLGYVCSIFKNIQQLYIALGMNINP -TITQNIRDQYFRNTHWMQYASLIPASVGGFNYMAMSRCFVRNIGDPTVAALADIKRFIKANLLDRGVLYR -IMNQEPGESSFLDWASDPYSCNLPQSQNITTMIKNITARNVLQDSPNPLLSGLFTSTMIEEDEELAEFLM -DRRIILPRVAHDILDNSLTGIRNAIAGMLDTTKSLIRVGINRGGLTYSLLRKISNYDLVQYETLSRTLRL -IVSDKIKYEDMCSVDLAISLRQKMWMHLSGGRMINGLETPDPLELLSGVIITGSEHCRICYSTEGESPYT -WMYLPGNLSIGSAEKGIASLRVPYFGSVTDERSEAQLGYIKNLSKPARAAIRIAMIYTWAFGNDEISWME -ASQIAQTRANFTLDSLKILTPVTTSTNLSHRLKDTATQMKFSSTSLIRVSRFITISNDNMSIKEANETKD -TNLIYQQVMLTGLSIFEYLFRLEENTGHNPMVMHLHIEDGCCIKESYNDDHINPESTLELIRYPESNEFI -YDNDPLRDVDLTKLMIIRDHSYTIDMNYWDDTDIIHAVSICTANHNSRHHVTADRDNLKELIVIANDDDI -NSLITEFLTLDILVFLKTFGGLLVNQFAYTLYGLKIEGRDPIWDYIMRTLKDTSHSILKVLSNALSHPKV -FKRFWDYGVLNPIYGPNTASQDQIKLALSVCEYSLDLFMREWLNGASLEIYICDSDMEIANDRRQAFVSR -HLAFVCCLAEIASFGPNLLNLTYLERLEELKRYLDLNIKEDPTLKYVQVSGLLIKSFPSTVTYVRKTAIK -YLRIRGIDPPNILEDWDPIEDENILDNIVRTVNDNCDNNPRKCKSNYFWGLALKNYQVVRIRSITSDPEV -YETSDDIEHGLTLPEGGNYLSHQLRLFGVNSTSCIKALELSQILMKEVKRDKDRLFLGEGAGAMLACYDA -TLGPAINYYNSGLNITDVIGQRELKIFPSEVSLVGKKLGNVTQILNRVKVLFNGNPNSTWIGNMECESLI -WSELNDKSIGLVHCDMEGAIGKSEETVLHEHYSIIRITYLVGDDDVILVSKIIPTITPNWSKILHLYKLY -WKDVRIISLKTSNPASTELYLISKEAYCTVMEPSNLVLSKLRRISSIEENNLLKWIILSKKKNNEWLQHE -IKEGERDYGVMRPYHTALQIFGFQINLNHLAKEFLSTPDLTNINIVIQSFIRTVKDVIFEWVNITHDNKR -HKLGGKYNLFPLKNRGKLKLLSRRLVLSWISLSLSTRLLTGRFPDEKFEGRAQTGFVSLADTDLEPLKLL -SKTLIRDYKEHIGLISYWFLTKEIKILMKLIGGVKLLGIPKQYKELEHRSYPNYEYDNEFDID ->AGU69461.1 large protein, partial [Miniopterus schreibersii paramyxovirus] -MAGTQDVTDVIYPECHLDSPIVFGKLLELIFYTELPFTQTLLDNTLLRNLEHNIKNGRRSYTYNAQLQLG -NAVRASYPDDRKINQIVYPSGNYRLFRMKSYQLTKKLAAIMNYSGICYKKVSEKLIRLRKDISSGLGLTT -TDFEQGQNNEHEINSIKHLNELMAGSVWYQSFLYWFTLKTEMRDLIKNARKDYRQNRTSVLTHHLEDHSV -FLNRNLLIIIEYDTQSTHYLTFEMVLLMCDVLEGRLMIDLGMTADYRFVQLKPKAHKLWEFIDSQFEDLK -NNTYDLVSMIEPLVLGFLQLRDPSDLLQGAFLDYCLHDLIDIYINNGFTDENIHSKILDIVFDIFDIEDI -HMISEFFSFFRTFGHPGLEAINAAEKVRSHMNKPKVIKFITMMKGHALFCSTIINGYRDRHGGAWPPLIL -PNHASNRIKQAQNNSEGLTDDLVIRNWQSFSGIQFKCFMGLTLDEDLTMYMKDKALAAKRSEWDSVYPKE -TMVYDPPRQTSTRRLVEHFLQDSEFDPSVLIDYVISGKYLQDKEFNISYSLKEKEIKQVGRLFAKMTYNM -RACQVVAEALISTGIGKYFKENGMAKNEHDLLKTLHKLSVSSVPKDNKKGRESDLRIKEHTPSKSSRSRY -QDNIQYETISTFLTTDLQKFCLNWRQETTNLFAERLNEIYGLPNFFNWQHKILEQSVLYVADPYCPPFYN -EHIDLNDVANEQIFIKYPMGGIEGYSQKLWTIITIPFLFLSAYEVGAKIAAVVQGDNEAIAITMRVHPNL -PYRKKKNMCSRLAQQYFYRLRANLADIGHNLKANETIISSNFFVYSKRIYFDGVVLSQALKPLSRAVFWS -ETIVDETRSACSNIGTAISKSIEQGFSRWIGYSINYLKTIQQLLISLKFSINPSLTADVVNPIYQNISWI -IAAGLVPSQIGGFNYMNLSRLFVRNIGDPVTASCADLKRLIKNGLLSETLLQKILHQRPGQSTYLDWASD -PYSLNIPNSQDLTTMLKNITSRTILQNSDNPMLKGLFHFDFEKEDHDLAQFLLDRTIIIPRAAHEIMDKS -LTGARQEISGMLDSTKGLIRNSIRIGGISPGLLSKITSYDYEQFRVFNDLMSIREEDNLITVEACSVKLA -ITLRKRMWRELAQGRMIYGLEVPDALEVVAGYFLQECEDCYYCTANREQYGWFFCPKFCELDGVNHETNA -IRVPYFGSTTEERSEIKLSNVKNASRALKSAIRITTVYTWAFGDTDEQWQEAWYLASFRANVTLDELKAI -TPISTSNNIAHRLRDKSTTMKYSSTALNRVGRYVTISNDKLNFVIDGRKVDTNLVYQQVMLLGLSILEEK -FRFEESTGEKNTVLHLHIQSSCCVQEMSDHPYVDTNNILPVLRHVKGNKLIYDENPVIDKTKDLITQQIY -KSGVLDFPRWDLHELNEVLSKSLAATLIEIITKETKDHLSDFKALSSDDDINSLLTEFMLVDPESFSLDL -GMYVAVHWAYDIYYRRPAGKYQMLDYLQTILTTASKALFQVLANAFSHPKIFHKFWDLGLVEPIYGPNIS -SQDFTKIAIDFIIKSYQNYLNYWLDDNSNQYILTESDEIVVEQRFDNLQAKHLCMISSLYLERSKMPKIL -NMTSLEKCAVLTEKLHEEQSNLGFMSSWHLDTLDH ->AOO35462.1 large polymerase protein [Canine morbillivirus] -MDSVSVNQILYPEVHLDSPIVTNKLVAILEYARIRHNYRLLDTTLVRNIKERISEGLSNQMIINRIEIGS -IVNQTLLSYPKHNHVIYPNCNKLLFHAQDRVISLRLRNIFKRGNSIYSKITDGVKKCLNDINSSIGLGGA -LDKAIGAKIDEAGIIMRSSQWFEPFLLWFTIKTEMRSVIKSSTHNCRKRRQNPVFVRGESFNVLVSRDLV -CIIDLSSHNVYYLTFEMVLMYCDVIEGRLMTDTAMAIDHRYSTLHVRVRYLWDLIDGFFPDLGNSTYQLV -ALLEPLSLAYLQLKDITFSLRGAFLSHCFAEIQEILQDNGFYTEETFQTLTQALDFVFITEDIHITGEIF -SFFRSFGHPRLEAITAAENVRKHMNQPKVVSYETMMKGHAIFCGIIINGYRDRHGGTWPPMDLPVHASPI -IRNAHASGEGITYSQCIENWKSFAGIRFKCFMPLSLDSDLTMYLKDKALAALKKEWDSVYPKEFLRYNPP -RSTESRRLVNVFLEDSQFDPYNMIMYVISGQYLDDPDFNLSYSLKEKEIKEVGRLFAKMTYKMRACQVIA -ENLISNGIGKYFKDNGMAKDEHDLTKALHTLAVSGVPKDKKDSHRGLTNQYKSKKPTPYRGALHSVSSPS -SRHMDPNPNFCTSRREDNDIEIYETVSAFITTDLKKYCLNWRYETISIFAQRLNEIYGLPSFFQWLHRRL -EQSILYVSDPHCPPDLDRHVDLNTAPNSQIFIKYPMGGVEGYCQKLWTISTIPYLYLAAHESGVRIASLV -QGDNQTIAVTKRVPSTWSYALKKSEASRVTTEYFIALRQRLHDVGHHLKANETIISSHFFVYSKGIYYDG -MLISQSLKSIARCVFWSETIVDETRAACSNISTTLAKAIEKGFDRYLAYALNVLKIIQQVLISLGFTINS -AMTRDVIEPLLQDHCLLTKMAILPAPIGGLNYLNMSRLFVRNIGDPVTSSIADLKRMIRSGLLGVEILHQ -VMTQYPGDSSYLDWASDPYSANLPCVQSITRLLKNITARHVLINSPNPMLKGLFHDESQDEDEALAAFLM -DRKIIIPRAAHEILDNTITGAREAIAGMLDTTKGLIRASMKRGGLTPRIINRLSTYDYEQFRAGIRLLSG -KGHDPLIDQDSCSVQLARALRNHMWAKLAKGRPIYGLEVPDILESMKGYMIRRHESCLLCASGSHNYGWF -FVPANCQLDSITEGTSALRVPYIGSTTEERTDMKLAFVKSPSRSLKSAVRIATVYSWAYGDDDESWQEAW -TLAKQRANISLEELRMITPISTSTNLAHRLRDKSTQVKYSGTSLIRVARYATISNDNLSFVIADKKVDTN -FIYQQGMLLGLGILEHLFRLSSTTGDTNTVLHLHVETDCCVIPMSDHPRVPGLRRVVIPRNICTNPLIYD -SNPIIEKDAVRLYNQSHRKHIVEFVTWTTGQLYHVLAKSTAMSMVEMITKFEKDHLNEVSALIGDDDINS -FITEFLLVEPRLFTVYLGQCAAINWGFEIHYHRPSGKYQMGELLFSFLSRMSKGVFKILTNALSHPKVYR -RFWDSGMIEPVHGPSLDSQNLHITVCNLIYNCYMIYLDLLLNDELDDFSYILCESDEDVIPERFDNIQAR -HLCILSDLYCNPRDCPQIRGLTPTQKCAVLSSYLKSKALESHVGLTWNDKPILIDQYSCSLTYLRRGSIK -QIRLRVDPGFITDAVGCLEKRPLRKSPISKASELKSEFDPPKDDLVKLLSQLSTRTHNLPITGLGVRNYE -VHSFRRIGINSTACYKAVEIVSVIKNEFTSEEHGLFLGEGSGAMLTVYKELLRLSRCYYNSGVSAESRTG -QREISPYPSEVSLVEHQLGLDKLVTVLFNGRPEVTWVGSVDCYKYILSQISASSLGLVHSDIESLPDKDI -IEKLEELSAILSMTLILGKVGSVLVIKIMPASGDWVQGFILYALPHFLRSYIIYPRYSNFVSTEAYLVFT -GLRAGRLVNPEGIKQQILRVGIRTSPGLVGHILSSKQTACVQSLHGPPFQAKSFNPYLQGLTSIEKILIN -CGLTINGLKVCKNLLHHDISSGEEGLKGSITILYRELARFKDNHQFSHGMFHAYPVLIASQERELVSIIA -RKYCGYILLYSGDLYEITRVVRDLKANHIIFDLHRNLFMNNLSRSDRSLILTTIPKRNWLFQLETKEIKE -WFKLLGYSALIRNH ->AIJ01297.1 putative large polymerase L [Anaconda paramyxovirus] -MDPRDILYPECHLDSPIVLGKVIRLHYLLDLTVEYDIKDSSILRNLDYNAKSGRLSNRDRELTKHKDILR -REGFVRSGYRGIDYPRGNKELFRLVIPNLTKPLECLLKQSNRVFDRIESGLRDTFLASANKISGRGKEVF -TEQNLTEGGMAGVSRSVHNNGWNQPFMTWFTIKKEMRRLKKDYRKNAKSADMTKLASHHERYSLYIHSDF -VLISDHISEVYHLLTDDMVLGFCDVVEGRLMVSAVASMDPNYVNIGIKGEQLWSLIDSLFILLGNKVYDV -VGMLEPLALSYIQLRDPIKEIRGAFLYYNLSELDQLLKEDTILSTSEVKDFIELISEIINMGSVSEISEI -FSFFRSFGHPVLEAVTAADKVRDHMCKPKILDYEILQKGHAVFCSMIINGFRERHGGSWPPCSLPDYASS -EIKAVMANHAAIPYELSVNNWESFIGFKFDKFEEVNLDEDLTIFMKDKALSPIKAEWDTVYPQENMQYSP -GRSSTSRRLVDVFLEDMEFNPQDIIDYVVSGDYLTDQDFNISYSLKEKEIKQAGRLFAKMTYKMRAAQVL -AESLVAHGVGKYFQENGMVKDEHELLKSLTTLSLAGVPRSRPGEDPSATEENKYVRIAQKGKEILRNNQT -RRSTQESRDQDGLANEKHETVASFITTDLQKFCLNWRYESVILFAQRLDEIYGLPGFFEWLHKRLERSVL -YVADPNCPPDFRTKMSLEDTPDTGIFIHNPMGGIEGYSQKMWTIISISMIHLAAVQTGVRVSAVIQGDNQ -SIAVTTRVPVRMNYNQKKTECYRNTIRYFETLRKVMGGLGHNLKLNETIISNQFFIYSKRIYFDGSILPQ -GLKTISRCVFWSETLVDETRAACSNISTSLAKAVENGISPLLCYLLNAWKTLQQLHISLAFSINPTITKD -LYGPILSSQDWMIIAVIVPSQLGGFNYMSLSRLFVRNIGDPLVAALADVKRYIEIGLLTTAALTKFTTQI -PGDSTELDWASDPYSANLPHSQSVTTVIKHVTARSVLSKSPNPMLEGLFHENTDEEDHDLARFLIDREII -LPRVANVILDQSVTGARSAIAGLLDTTKTLIKNTANKGGLSNRTVSKLLYHDYQQFIVFNKILRSQVDDT -TDFSLVCSVTLAKIMRSRMWVKVAKGRRIEGLEVPDPLECLRGELIIGSRSCTICERGMDQYSWFYIPPG -MDLEGQRRENGSLRVPYFGSSTSERSEASVNTTRGLSRAAKAAIRIASVYTWAYGESQLSWYEAYALASQ -RANLTLEQLKVITPKSTSTSLSHRLNDSSTQMKFASTNLQRVSRFVTISNDHIKLKGNGDAGDTNLIYQQ -VMLVGLSIIELTHRRQENTGEDSKVLHLHIREDCCVEFTQDQGDIPSLTNLPEFEDHPTNRLIYDPNPLD -NVYITRIKETSVYLHELDFLAWHDEDIKTVLGNSVGQMIEEVIATTERDNLKDINALSNEDNINSIITEM -MLSDPDDVLSSLGCMLVLRFAYECYARHIEGKEQYISYVSDKIETMSRSNLSILVNSLTHPRVFRKYCDE -GVLVPDRGQTLSTQDFKAHSVEYCIASLQRFFEKWTISGNITILLCDQDEIIIGERELTIKGRIKAMIIC -IYCLTDNSPSLVGLNTKERDEVLTEYLEEVGQSNIESRYWVLGSIGIKRYNTSLTYIRRSLVKQYRLRDL -DVQLLIDEMAEQDLESLSITDQIPDQVDKAGTMGAVSLWQSIKVKDLTLDRNPRAESAEYRIPTTCEIPS -QILRIAGVNSTSCSKAHELNSVICTLPLEGKSCLFLAEGSGSMMTYYMLRHRLNNVYFNTGVGSEDINSQ -RETDPSPSEPVLVEGNLRIKHCLSEKITVLFNGRPETTWVGNEDCESYVIAQIGEDKVSLVHSDMESGIE -KSNQAVLVEHVSLIRIFQNVTTPGATLVSKIAPRLGTNWTQIIALYLETFVNVTILCLKNSNPASSELYV -ICDGVKTRIGVKSIVYAQCVHYLTEESQSRLEQRIIDAKLKAGEHLISVINDLKSGRKINQIESLLKIGF -STNMTTVARQFLRVTDLTDTTTIFTKLRLALVDLLRVTSDESTTLSLYQPYPLSQSGKCRVVKERAMKGW -ISINLNTILTKRSYLKHDFEYLAVRNKVIIDIRCLLACGVVNKKTGDRLNRMSHLLYSEITNRDKKKLFK -LIGSAFYFSKR ->AGU69459.1 large protein, partial [Miniopterus schreibersii paramyxovirus] -EIRAAYNNSESLTDELCVRYWKSFSGLRFKCFMPLTLDEDLTMYMKDKALAALKSEWDSVYPNETLRYQP -PKGTTSRRLVEVFLEDNQFDPVNLINYVISGEYLKDDEFNVSYSLKEKEIKQVGRLFAKMTYKMRACQVV -AESLIATGVGKYFKENGMAKNEHELLKTLHKLSVSAVPKKSVQADLKRHHNHTKMRSKFIDRGSITVNPT -TSNVQYETVSTFLTTDLQKFCLNWRYETTAIFAERLNEIYGLPGFFNWQHNRLEKSILYVADPSCPPKYR -EHIRLDDVENDQIFIKYPMGGIEGYSQKLWTIITIPVLFLSAYELGIKIAAVVQGDNEAIAITTRVHPNL -PFKVKKNMAAETAQRYFYHLRQNLGDIGHNLKANETIISSHFFVYSKRTYYDGLVLSQSLKPMSRVVFWS -ETLVDETRSACSNISTAISKSIEQGYSRWIGYSLNILKILRQLVISIKFTINENLTPDIVTPIYQNPYWL -ICAALIPSQIGGYNYMNISRLFVRNTGDPATASLADLKRLIQAGLVNESMLQKIMHQRPGTSSYLDWASD -PYSLNIPHSQSVTTILKNITSRTILQNSDNPMLRGLFHFDFEKEDQDLAEFLLDRPVIIPRAAHEIMDKS -LTGARQEIAGMLDTTKGLIRNSIKAGGISPGLIRRLAMYDYEQFRTFNNLMLVRHHDPLITVEACSVKLA -MTLRKRMWKELAHGRRIYGLEVPDSIEVINGYFLKECEECYYCAAHQDQFGWFFCPAECQLDDVHRPTES -LRVPYFGSTTEERSEIKLGSVKSSSRALKSAIRIATVYTWAFGDSDENWEEAWYLASFRANITLDELKAI -TPISTSNNIAHRLRDKCTQVKYASTTLNRVGRYVTISNDSLNFLLDGRKIDTNLVYQQIMLMGLSTLEER -FRFESDTGPTNTVLHLHIQQQCCVVEMEDHPYIASHNILPTLVGVYTNKLVYDDDPIIEKDKNIIAQQVF -KRGTLDFPRWTLPELSEALSKSLAITIIEIITKETKDHISEFKTLSSEDGINSLITEFMLVDPEQFSLHM -GMYIAINWAFDIYYRRPEGKYQMVEYLNSILLNSSRALLSVLANAFSHPKVFHRFWDSGLIEPIYGPNLS -SQDFTRVSIDFLTNAYQEYLNYWMSDNEITYVASESAEEIIDQRFELIQSKHLCVLSSLYLDRTVMPRIL -NMTAIEKCQLLYDKLKSEQYKYGIYSDWNLDPLDVVFYPASVTYIRRGTIKHIRLRSLMSSETTGFDKFL -MERHPSQNYHVPELTVKDYQTKSYYFPIMSLYSSDYIQLQDYPISSKRANYWENHVTRRVGINSTSQYKA -LEIAKFLEDKIEQKGPRLFLGEGSGAMMMMYYLVLGRTDVYYNTGVFNSEVLGQRILTVTPAEALLVERN -NTSDLEFSHHLRTLFNGKPESTWIGSRESFLYIMSQIEPHSISLIHNDMESSGEKDPIIMLQEQLHSLAL -ALNLGKTESIYVTKLAPVMGDYANQLIGLMTDYYDEVFGFIPISSNPYSSEFYIIMCYPRLETLVSPDDL -MSKIHIGGISKTLDIGRIIMAFKIRNPIAENTELKRHDDYLASDLVNLTAHEKIMLSGGFKMNGPKIAKQ -LLGHDPASGEGVLRGSINTFINNMLVSLDMERESSTFFEPYPIKQDSKIREILFSITRRIAGYFILYGSM -NYTDLRKETITNLRKKHLYLDWTSPGVVSLIQKNLYKKIKDSRIKEVVLLELETVEVKMWWKLVGYSLLI -Q ->AIF74184.1 polymerase, partial [Bat paramyxovirus] -GAFLSYALEELDAELLSNGIYHEEDRDIIQNSINKIFNTQDIHMTAEFFSFFRTFGHPTLEAEEAANKVR -EHMNKTKLIKFKTLMKGHALFCGIIINGYRDRHGGSWPPVTLPNHASRSIKNAQLNNESLIDSLCINEWK -SFVGIKFKCFMPLSLDDDLTMFMKDKALAAIKSEWDSVYPRETMIYNPPPQTTSRRLVETFLADTDFDPA -VLINYVLSGEYLNDPEFNLSYSLKEKEIKRVGRLFAKMTYKMRACQVVAESLIANGVGKYFKENGMAKDE -HELLKTLHRLSVSAVPKDNKMTNKSEDHKKETTRDNRPINKQIFNPIKHKNKSSKVIKRLSKFDNEEIQY -ETISTFLTTDLQKFCLNWRQETSNIFAQRLNEIYGLPHFFDWLHKRLEKSVLYVADPHCPPEFKRHIDLD -DVSNDHIFIKYPMGGIEGYCQKLWTIITIPFLFLSAYEVGAKIAAVVQGDNQAIAITRRVHPNLSYLTKK -IKSTQLAQEYFDQLRKNMGDIGHNLKANETIVSSHFFVYSKRIYYDGIILSQSLKPISRVVFWSETLVDE -TKSACSNISTAISKSIEQGLSRWLGYALNVLKVLQQIVISLKYSINPSMTDDIVNPLYRNQNWLICSALI -PSQLGGFNYMNMSRLYVRNIGDPVTASLADIKRMIHVKLIDESILQKIMNQEPGNSDYLDWASDPYSVNL -PHSQSVTVILKNVTARTILSNSDNPMLRGLFHFDFDEEDRDLARFLMDRPLILPRAAHEIMDNSLTGARQ -EIAGMLDTTKGLIRNSIRLGGIRPRLVDKLSMYDYEQIRTFNNMMKNNKVDPLLDSDACSVTLAVNLRKR -MWFNLTLGRPIYGLEVPDTIEVVKGYFINNCEDCDICVTGNLEYGWFFVPSSCELDGINKESNKMRVPYF -GSTTDERSEIKLSHVRSPSRALRAAVRIAMVYTWAFGDSEESWNEAWYLASFRANVTLPELQAITPISTS -NNIAHRLKDKSTTMKYSGNALNRVGRYIVISNDHLNFIIDGVKVDTNLVYQQIMLIGISTIEDKFRFQTS -TGDENTLLHLHVNKSCCILEMNEHPYVYSEQPLPNLQQVDCNKLIYDDNPILDSDKTRLHTQLFRSSDLD -FPNWDIQQLNQGLAQSLAMTIVEIITKETHDHMSEFKVLAHDDDVNSLITEFLLVNPSEFMLYLGMYVAV -NWSYDIYYRRPEGKYQMLEFLTSIMNIAPKSNFTVLSNAMSHPIVFRRFWDTGVIEPIYGPNLANQDFTR -ISIEMIITAYSIYMDYWLNGNQLEYMLTESDENVIDQRFEITQARHLCFLSCLYLPRSEMPIIRGLTSIE -KCNKLSEMLDEGRTNAGIYQDWNLEILPVIIHPASLTYVRRGTIKHIKLRNYLAAESFGYDKVMEIGREI -RSFDLPKINFKLDHDILTYFPAIMLFSGQYDEFNYRLKENDDINRWENHVKRRVGMNSTSCYKALEISAY -L ->ABS18757.1 large protein [Murine respirovirus] -MDRQEFSQNPSDILYPECHLNSPIVRGKIAQLHVLLDVNQPYSLKDDSIINITKHKIRNGGLSPRQIKIR -SLGKALQRTIKDLDRYTFEPYPTYSQELFRLDIPEICDKIRSVFSVSDRLTKELANGFQDLWLNIFKQLG -NIEGREGYDPLQDISTIPEITDKYSRNKWYRPFLTWFSIKYDMRWIQKTRPGGPLDTSNSHNLLECKSYT -LVTYGDLVMILNKLTLTGYILTPELVLMYCDVVEGRWNMSAAGHLDKRSSGITSKGEELWKLVDSLFPSL -GEEIYNVIALLEPLSLALIQLSDPVMPLRGAFMRHVLTELQTVLTNKDVYTDLEADVIVESLLAIFHGTS -IDEKAEIFSFFRTFGHPSLEAVTAADKVRAHMYAQKAIKLKTLHECHAVFCTIIINGYRERHGGQWPPCD -FPDHVCLELRNAQGSNTAISYECAVDNYTSFIGFKFRKFIEPQLDEDLTIYMKDKALSPRREAWDSVYPD -SNLYYKVPESEETRRLIEVFINDKNFNPEDIIDYVESGDWLKDEKFNISYSLKEKEIKQEGRLFAKMTYK -MRAVQVLAETLLAKGIGELFSENGMVKGEIDLLKRLTTLSVSGVPRTDSVYNNPRSSEKRNEDIKKRNAK -GYWDEKKRSRHEFKATDSSTDGYETLSCFLTTDLKKYCLNWRFESTALFGQRCNEIFGFKTFFNWMHPVL -EKCTIYVGDPYCPVADRTHQQLQDHADSGIFIHNPRGGIEGYCQKLWTLISISAIHLAAVRVGVRVSAMV -QGDNQAIAVTSRVPVAQTYKQKKNHVYEEITRYFSALRHVMFDIGHELKLNETIISSKMFVYSKRIYYDG -KILPQCLKALTRCVFWSETLVDENRSACSNISTSIAKAIENGYSPILGYCIALYKTCQQVCISLGMTINP -TINPTVRDQYFKGKNWLRCAVLIPANVGGFNYMSTSRCFVRNIGDPAVAALADLKRFIRADLLDKQVLYR -VMNQEPGDSSFLDWASDPYSCNLPHSQSITTIIKNITARSVLQESPNPLLSGLFTESSGEEDLNLASFLM -DRKVILPRVAHEILGNSLTGVREAIAGMLDTTKSLVRASIKKGGLSYGILRRLVNYDLLQYETLTRTLRK -PVKDNIEYEYMCSVELAVGLRQKMWIHLTYGRPIHGLETPDPLELLRGTFIEGSEVCKLCRSEGADPIYT -WFYLPDNIDLDTLTNGSPAIRIPYFGSATDERSEAQLGYVRNLSKPAKAAIRIAMVYTWAYGTDEVSWME -AALIAQTRANLSLENLKLLTPVSTSTNLSHRLKDTATQMKFSSATLVRASRFITISNDNMALKEAGESKD -TNLVYQQIMLTGLSLFEFNMRYKKGSLEKPLILHLHLNNGCCIMESPQEANIPPRSTLDLEITQENNKLI -YDPNPLRDVDLELFSKVRDVVHTVDMTYWSDDEVIRATSICTAMTIADTMSQLDRDNLKEMIALVNDDDV -NSLITEFMVVDVPLFCSTFGGILVNQFAYSLYGLNIRGREEIWGHIVRILKDTSHAVLKVLSNALSHPKI -FKRFWNAGVLEPVYGPNLSNQDKTLLALSVCEYSVDLFMHDWQGGVPLEVFICDNDPDVADMRKSSFLAR -HLAYLCSLAEISRDGPRLESMTSLERLDSLKSYLELTFLDDPMLRYSQLTGLVIKVFPSTLTYIRKSSIK -VLRTRGIGVPEVLEDWDPDADNALLDGIAAEIQQNIPLGHQIRAPFWGLRVSKSQVLRLRGYKEITRGEV -GRSGVGLTLPFDGRYLSHQLRLFGINSTSCLKALELTYLLSPLVDKDKDRLFLGEGAGAMLSCYDATLGP -CINYYNSGVYSCDVNGQRELNIYPAEVALVGKKLNNVTSLSQRVKVLFNGNPGSTWIGNDECEALIWNEL -QNSSIGLVHCDMEGGDHKDDQVVLHEHYSVIRITYLVGDRDVVLISKIAPRLGTDWTRQLSLYLRYWDEV -NLVVLKTSNPASAEMYLLSRHPKSDIMEDSKTVLASLKPLSREDSIKIEKWILIEKAKAHEWVTRELREG -SSSSGMLRPYHQALQTFGFEPNLYKLSRDFLSTMNIADTHNCMTAFNRVLKDTIFEWARIIESDKRLKLT -GKYDLYPIRDSGKLKTISRRLVLSWISLSMSTRLVTGSFPDQKFEARLQLGIVSLSSREIRNLRVITKTI -LDKFENTIHSITYRFLTKEIKILMKILGAVKMFGARHNEYMIVVDDGSLDDIEPYDSSE ->AIF74195.1 polymerase, partial [Bat paramyxovirus] -DKARNLSTPLIKSQQRFYQTLQNSGFNIKSINHIPYPNGNKELFDLTESEITRNLGSIFKLANSCYSKIS -HRLVDLKNLVESKLGVSSSGIIDVEEKNYTMHSIVNLQHRFEGSRWFKGFLFWFCLKTDMRELIKTDSKF -NRNNQSGVIIHDLRRLTIVMNRNLLMIHNKSTNYTHYLTFEMVLMFCDVLEGRMMIDIAMASDLRFANFR -AHGHALWDFIDSLFAELGNQTYNIVAMIEPLTLGYLQLNDHSPLLRGAFLKYALEELINEFKDAGFNSND -DHATLISTVNRIFSIDDIHMTAEFFSFFRTFGHPTLEAQEAAKKVREHMNKSKVIGFQTMMKGHALFCGT -IINGYRDQHGGSWPPLTLPDHATREIKNCQNNNESLTDETCIINWKSFVGIRFKCFLPLRLDDDLTMFMK -DKALAAIKSEWDSVYPKEGMIYNPPAQSTSRRLVETFLSDSEFDPANLINYVISGDYLNDPDFNISYSLK -EKEIKRVGRLFAKMTYKMRACQVVAESLIANGVGKYFKENGMSKDEHELLKTLHKLSLSSVPRDNKIQTR -SEINLGPNNGTLTSNKGPSQIKRNHHKKGNQISQSKAYTVAGNIQYETMSTFLTTDLQKFCLNWRQETTN -IFAERLNEIYGLPGFFNWMHKILEKSILYVADPYCPPYLQHHSDLDLVENNQIFIKYPMGGIEGYCQKLW -TIITIPFLFLSAYEVGTKIAAVVQGDNQAIAITKRVHPNLPYKIKKLRCTELAQKYFNRLRHNLSLIGHN -LKANETIVSSNFFVYSKRIYYDGLVLSQSLKPISRVVFWSETIVDETRSACSNISTAISKSIEQGFSRWI -GYAINIMKVFQQLIISLKYSINPSMTSDIVEPLFRNQSWLICAALIPSQLGGFNYMNICRLYVRNIGDPV -TASIADVKRMIQVKLLPPTIMQKILNQDKGDSTFLDWASDPYSINIPNSQSVTVMLKNITARTILSHSDN -PMLQGLFHFDFEQEDRDLAQFLLDRPIILPRAAHEIMDNTLTGARQEIAGMLDTTKGLIRNSIRMGGVRP -NLVDKLSLYDYEQFRVFNNLMKVTKSDILLDASACSVQLAIYLRKRMWSHLTAGRPIYGLEVPDNIEVVN -GCYVDDCEDCLFCSGGSTEYGWFFVPQDCELDSVSQESNRMRVPYFGSTTDERSDIKLSHIRSPSRALRA -AVRIAMVYTWAFGDSDNAWAEAWYLASFRANITMNELKAITPISTSNNIAHRLRDRSTQMKYSGSALNRV -SRYTVISNDNLNFIVDGKKIDTNLVYQQIMLLGLAILEDQFRFLSNTGSTNTLFHLHINSNCCITEMNDH -PYIDSEFVLPQLQLVEGN ->AGU69458.1 large protein, partial [Miniopterus schreibersii paramyxovirus] -MQNLNDIIYPESHLDSPLVAGKLIELLEYAGLPHNQPLNDQTLLQNIAINKNKNKRTPLINTQLEFRQHL -LANGIPISRLNHVPYPSGNKELFRLSDSQLTRKLQVLLKLSNSCYSKISPRLVALKNYVETGLGLKNRYH -KKDDHDIHTESSMINLHRKMEGSRWYHPFLFWFTLKTDMRQVIKQNAHHRRRDIQNVIDYDLPRYYIQLN -RNLVMILNKSDMTTHYLTNEMVLMFCDVAEGRLMIDLAMSSDIRYKDFLPRGQALWEIIDSLFIDLGNNT -YNIVAMIEPLTLGYLQLNDKSPILKGAFLKYALDELISELRDNGINDDDEINTILEAFNRIFELHDIHMV -AEYFSFFRTFGHPILEASDAATKVREHMNKPKIIDFKVMMKGHALFCGFIINGFRDRHGGSWPPLKLPDH -ATKRIKNAQVNNEGLTDEMCIENWKSFVGLKFECFMGLSLDDDLTMYMKDKALAALKSEWDSVYPKETMI -YNPPAQTSSRRLVETFLSDSEFDPVNLINYVITGEYLTDPEFNISYSLKEKEIKKVGRLFAKMTYKMRAC -QVVAESLIANGVGKFFKENGMAKDEHELLKTLHRLSVSSVPRDNKIIERSHINSNLKLQSQPKTTPVTES -SYTKTINNLANKKKRFYDANDDIQYETMSTFLTTDLQKFCLNWRQETSNIFAERLNEIYGLPRFFNWMHK -RLELSVLYVSDPHCPPNLKEHTDLDNVPNDQLFIKYPMGGIEGYCQKLWTISTIPFLFLSAYEVGTKIAA -VVQGDNQAIAITRRVHPNLSYRTKKIKSTEMAQKYFNQLRLNMAAIGHNLKANETIVSSHFFVYSKRIYY -DGLVLSQALKPLSRVVFWSETLVDETRSACSNISTAISKSIEQGFNRWIGYSLNILKVLQQLVISLKFTI -NPSMTDDIVEPLIRNQNWLISAAIVPSQLGGFNYMNMCRLYLRNIGDPVTASIADIKRMIKVKLLDESII -QKIMHQETGNSDYLDWASDPYSINIPSSQSVTVMLKNITARTILSNSDNPMLQGLFHFDFDEEDRDLARF -LLDRPVVLPRAAHEIMDNSLTGARQEIAGMLDTTKGLIRNSIRLGGIRPKLVDKLSLYDYEQFRVFTNLM -RNKKENQLIKADACSVQLAITLRKKMWFHLTQGRPIYGLEVPDTIEVVTGIFLDDYEDCELCVSNRLEYG -WFFVPKDCELDTVSKESNQMRVPYFGSTTDERSEIKLSHVRSPSRALRAAIRIAMVYTWAFGDTDTCWEE -AWYLASFRANVDLDELKAITPISTSNNIAHRLRDKSTQMKYSSSTLSRVGRYTVISNDNLNFTSEGNKID -TNLIYQQIMLMGLSILEDKFRFLLETGSRNTLLHLHINTSCCIVEMNEHPYIPTEVILPEMQHVNSNKLI -YDDSPIIDKDQVKIHNQLYRSNDLDFPNWTLADLNKGLSQALSMTIIEIITKENRDHLNEFKVLALDDDV -NSLITEFLLVNPSEFTLYLGLYIAIHWSFDIYYRRPEGKYQMQEFLSSIMTISPKSNFRVLANALSHPSV -LRRFWDSGLIEPSYGPNLLHQDFIKMSIDLLIGSYTTYMDYWLDGEDLEYMITESDDVIVDQRFEITQAR -HLCFLSCLYLPKSEMPIIRGMTSIEKCAKLTSNLEQQKAIYGLYQDWNLEVLPIVIHPASLTYVRRGTVK -HIKLRNYLSAEAFGYDKAMNQVQEKKVFDLPMKQVHFKDVLSYYYPANALATDQFSEFNFKIDTTKDTNR -WESHALRRIGYNLHL ->BAO58314.1 RNA polymerase [Feline morbillivirus] -MEQSDYQDILYPEVHLNSPIVISKLVGILEYAQVIHNQLLTDHTIIKNIQFRLRNGFNSPRIQTLSTMGE -IINKIKNKYSNYFHIPYPECNQKLFRIVDPELTSKLESLLNKGDTLYLKIRSDIIKCFDKLKMKMNISND -LLNDNSQLILDLPLIIKGSQWFFPFLFWFSIKTETRNCIRQNQKARVRSQYRPHLSETKRITLVVTSDLI -TIFDHINKCIFYLTFEMLLMYCDVIEGRLMTETAMSLDCRFTNLLPRVQYMWDLLDGMFESLGNQIYSVI -ALLEPLSLAYLQLIDADPQIRGTFLHHCFSELEEIIFDKSPFDPFVYENLINGLDYIYLTDDIHLTAEVF -SFFRSFGHPYLEAQNAANNVRKYMNQPKVISYQTLMQGHAIFCGIIINGFRDRHGGTWPPVELPSHASAV -IRNAQLSGEGLTSEQCAQHWRSFCGFKFKCFMPLSLDSDLTMYLRDKALSPVRSEWDSVYAKEYLRYNPG -LPTSSRRLVNVFLEDDKFDPYEMIMYVINGDYLIDDEFNLSYSLKEKEIKEVGRLFAKMTYKMRACQVIA -ENLIANGVGKFFKDNGMAKDEHKLTKTLHKLAISGVPKDNSQLYLDECWEQAVRHCSSDTHTKKQTMGSQ -SKRIIESKSSRSPLNNRDTLKGKRDPNTQLKYPSNTEYYETISSFITTDLKKYCLNWRYESSSVFAERLN -EIYGLPGFFQWLHKILEKSVLYVSDPSSPPDFDQHIDIESVPNDHIFIKYPMGGIEGFCQKLWTISTIPF -LYLAAFDTGVRIASLVQGDNQAIAVTKRVPSSWSYSKKKEESTKITTQYFLNLRQRLHDIGHELKANETI -ISSHFFVYSKGIYYDGILLSQALKSMARCVFWSETIVDETRSACSNISTTLAKAIERGYDKFVAYAINIY -KTIHQVLIALSFTINPTMTPDITEPFYKSLDLLKNLVLIPAPLGGMNYMNMSRLFVRNIGDPITASFADI -KRMIECGLLGCSILSQIMYQKCGSSKYLDWASDPYSINLPYSQSMTKVLKNVTARYVLMHSPNPMLKDLF -HEKSQEEDEILAEFLLDRHLIIPRAAHEILSNSVTGARESIAGMLDTTKGLIRASMSRGGLTSSLVLKLS -TYDYQQFRTCLEWLYAPTTGIAVSVDSCSVFLAKTIRKRMWVHLTKGREIYGLEVPDILECMQNNIIIDH -EDCYSCIQGSRYYTWFFVPSNCQLDQINKSTNSLRVPYVGSTTEERSDMRLSYVRSPSRPLKAAVRIAAV -YTWAYGDDDLSWHEAWYLARTRANITFDELKLITPIATSTNLAHRLRDRSTQVKYSGTSLVRVARYTTIS -NDNMSFIINNKKVDTNFVYQQGMLLGLSILEYIFRYCTSTGQSNTVIHLHADINCCIVQMTDQPYTPSLT -KKLPDIKPINNKLIYDPAPIIDTDAARLYSQKYLSHLIDFPSWSTTQLNTVLAKVVAVSIVELITKASKD -HLNEIIAVVGDDDINSFITEFLLVDPRLFTLYLGQYTSLQWAYEVHYHRPVGKYQMAEVLHNLLSRASRG -IFSVLTNAFSHPRVYRRFWECGLLEPIYGPYIGSQNLHTAVIDYIYNAYITYLDAYLSDQVDDTDIIICE -TEETCLANRIDNYQSRHLAVLIDMYCDSTRCPNIKGSDTIMRNSILRSFIDNERRTNPLGLTWNLDPLLV -DHFSCSITYLRRGIIKQMRLRFDPNISLELAKMIKPDVIYQAPKVPSSWALIDINPEVNDLNTIFGELNS -KWKDIPIGQIKIQNYEIHAYRRVGVNSTACYKALEILSVLNRFMSNPLGALFLGEGAGSMLVTYRAFIPF -KTIYYNSGISVQHVQGQRELSLYPSEVALVDNKNRLANDPNIKVLFNGKPESTWVGNIDCFAYILSHIET -SSLTLIHSDIESSLSKTKNKILEELCHILSMALILGKLGSVLVIKLLPRVGDYTYSFCKYASEFYQQNFL -ILPRFSNMSSSEIYYIGVHLNTNRLIDPDRIVQYIIRNLQPTPVTFLSYIFETKYRNNMVTNYGLCLSDG -HKSDYLSSITKIENVLLSCGLELNGPKIIQQLSGHDYANGETSLESSIMILVREYLNATIQGRETLGLFS -PYPVLHESQLREINKCIALKYVVYLLFYLNSISSSKQIMSNLRKGILMYDLRDEFFISRLSANYKKKVML -QEVKTTWIFNIDTPTRKALYKLVGYSLIINHI ->AIG60042.1 RNA polymerase [Human respirovirus 3] -MIINQQSDNRQKGNIKNLGAKRARNMDTESNNGTVSDILYPECHLNSPIVKGKIAQLHTIMSLPQPYDMD -DDSILVITRQKIKLNKLDKRQRSIRRLKLILTEKVSDLGKYTFIRYPEMSKEMFKLHIPGINSKVTELLL -KADRTYSQMTDGLRDLWINVLSKLASKNDGSNYDLNEEINNISKVHTTYKLDKWYNPFKTWFTIKYDMRR -LQKARNEVTFNMGKDYNLLEDQKNLLLIHPELVLILDKQNYNGYLITPELVLMYCDVIEGRWNISTCAKL -DPKLQSMYQKGNNLWEVIDKLFPIMGEKTFDVISLLEPLALSLIQTHDPVKQLRGAFLNHVLSEMELIFE -SRESIKEFLSVDYIDKILDIFDKSTIDEIAEIFSFFRTFGHPPLEASIAAEKVRKYMYIEKQLKFDTINK -CHAIFCTIIINGYRERHGGQWPPVTLPDHAHEFIINAYGSNSAISYENAVDYYQSFIGIKFNKFIEPQLD -EDLTIYMKDKALSPKKSNWDTVYPASNLLYRTNASNESRRLVEVFIADSKFDPHQILDYVESGDWLDDPE -FNISYSLKEKEIKQEGRLFAKMTYKMRATQVLSETLLANNIGKFFQENGMVKGEIELLKRLTTISISGVP -RYNEVYNNSKSHTDDLKTYNKISNLNLSSNQKSKKFEFKSTDIYNDGYETVSCFLTTDLKKYCLNWRYES -TALFGETCNQIFGLNKLFNWLHPRLEGSTIYVGDPYCPPSDKEHISLEDHPDSGFYVHNPRGGIEGFCQK -LWTLISISAIHLAAVRIGVRVTAMVQGDNQAIAVTTRVPNNYDYRVKKEIVYKDVVRFFDSLREVMDDLG -HELKLNETIISSKMFIYSKRIYYDGRILPQALKALSRCVFWSETVIDETRSASSNLATSFAKAIENGYSP -VLGYACSIFKNIQQLYIALGMNINPTITQNIKDQYFRNPNWMQYASLIPASVGGFNYMAMSRCFVRNIGD -PSVAALADIKRFIKANLLDRSVLYRIMNQEPGESSFLDWASDPYSCNLPQSQNITTMIKNITARNVLQDS -PNPLLSGLFTNTMIEEDEELAEFLMDRKVILPRVAHDILDNSLTGIRNAIAGMLDTTKSLIRVGINRGGL -TYSLLRKISNYDLAQYETLSRTLRLIVSDKIRYEDMCSVDLAIALRQKMWIHLSGGRMISGLETPDPLEL -LSGVVITGSEHCKICYSSDGTNPYTWMYLPGNIKIGSAETGISSLRVPYFGSVTDERSEAQLGYIKNLSK -PAKAAIRIAMIYTWAFGNDEISWMEASQIAQTRANFTLDNLKILTPVATSTNLSHRLKDTATQMKFSSTS -LIRVSRFITMSNDNMSIKEANETKDTNLIYQQIMLTGLSVFEYLFRLKETTGHNPIVMHLHIEDECCIKE -SFNDEHINPESTLELIRYPESNEFIYDKDPLKDVDLSRLMVIKDHSYTIDMNYWDDTDIIHAISICTAIT -IADTMSQLDRDNLKEIIVIANDDDINSLITEFLTLDILVFLKTFGGLLVNQFAYTLYSLKIEGRDLIWDY -IMRTLRDTSHSILKVLSNALSHPKVFKRFWDCGVLNPIYGPNTASQDQIKLALSICEYSLDLFMREWLNG -VSLEIYICDSDMEVANDRKQAFISRHLSFVCCLAEIASFGPNLLNLTYLERLDLLKQYLELNIKEDPTLK -YVQISGLLIKSFPSTVTYVRKTAIKYLRIRGISPPEVIDDWDPIEDENMLDNIVKSINDNCNKDNKGNKI -NNFWGLALKNYQVLKIRSITSDSDNNDGLDVSTGGLTLPQGGNYLSHQLRLFGINSTSCLKALELSQILM -KEVNKDKDRLFLGEGAGAMLACYDATLGPAVNYYNSGLNITDVIGQRELKIFPSEVSLVGKKLGNVTQIL -NRVKVLFNGNPNSTWIGNMECESLIWSELNDKSIGLVHCDMEGAIGKSEETVLHEHYSVIRITYLIGDDD -VVLVSKIIPTITPNWSRILYLYRLYWKDVSIISLKTSNPASTELYLISKDAYCTIMEPSEVVLSKLKRLS -LLEENNLLKWIILSKKRNNEWLHHEIKEGERDYGVMRPYHMALQIFGFQINLNHLAKEFLSTPDLTNINN -IIQSFQRTIKDVLFEWINITHDDKRHKLGGRYNIFPLKNKGKLRLLSRRLVLSWISLSLSTRLLTGRFPD -EKFEHRAQTGYVSLADTDLESLKLLSKNIIKNYKECIGSISYWFLTKEIKILMKLIGGAKLLGIPRQYKE -PEEQLLENYNQHDEFDID ->ABB71671.1 large protein [Measles morbillivirus] -MDSLSVNQILYPEVHLDSPIVTNKIVAILEYARVPHAYSLEDPTLCQNIKHRLKNGFSNQMIINNVEVGN -VIKSKLRSYPAHSHIPYPNCNQDLFNIEDKESTRKIRELLKKGNSLYSKVSDKVFQCLRDTNSRLGLGSE -LREDIKEKIINLGVYMHSSQWFEPFLFWFTVKTEMRSVIKSQTHTCHRRRYTPVFFTGSSVELLISRDLV -AIISKESQHVYYLTFELVLMYCDVIEGRLMTETAMTIDARYTELLGRVRYMWKLIDGFFPALGNPTYQIV -AMLEPLSLAYLQLRDITVELRGAFLNHCFTEIHDVLDQNGFSDEGTYHELTEALDYIFITDDIHLTGEIF -SFFRSFGHPRLEAVTAAENVRKYMNQPKVIVYETLMKGHAIFCGIIINGYRDRHGGSWPPLTLPLHAADT -IRNAQASGEGLTHEQCVDNWKSFAGVKFGCFMPLSLDSDLTMYLKDKALAALQREWDSVYPKEFLRYDPP -KGTGSRRLVDVFLNDSSFDPYDMIMYVVSGAYLHDPEFNLSYSLKEKEIKETGRLFAKMTYKMRACQVIA -ENLISNGIGKYFKDNGMAKDEHDLTKALHTLAVSGVPKDLKESHRGGPVSKTHSRSPAHSSTRNVKAAKG -FIGFPHVIRQDQDTDHPENMEAYETVSAFITTDLKKYCLNWRYETISLFAQRLNEIYGLPSFFQWLHKRL -ETSVLYVSDPHCPPNLDAHVPLCKVPNDQIFIKYPMGGVEGYCQKLWTISTIPYLYLAAYESGVRIASLV -QGDNQTIAVTKRVPSTWPYNLKKREAARVTRDYFVILRQRLHDIGHHLKANETIVSSHFFVYSKGIYYDG -LLVSQSLKSIARCVFWSETIVDETRAACSNIATTMAKSIERGYDRYLAYSLNVLKVIQQILISLGFTINS -TMTRDVVIPLLTNNDLLIRMALLPAPIGGMNYLNMSRLFVRNIGDPVTSSIADLKRMILASLMPEETLHQ -VMTQQPGDSSFLDWASDPYSANLVCVQSITRLLKNITARFVLVHSPNPMLKGLFHDDSKEEDEGLAAFLM -DRHIIVPRAAHEILDHSVTGARESIAGMLDTTKGLIRASMRKGGLTSRVITRLSNYDYEQFRAGMVLLTG -RKRNVLIDKESCSVQLARALRSHMWARLARGRPIYGLEVPDVLESMRGHLIRRHETCVICECGSVNYGWF -FVPSGCQLDDIDRETSSLRVPYIGSTTDERTDMKLAFVRAPSRSLRSAVRIATVYSWAYGDDDSSWNEAW -LLARQRANVSLEELRVITPISTSTNLAHRLRDRSTQVKYSGTSLVRVARYTTISNDNLSFVISDKKVDTN -FIYQQGMLLGLGVLETLFRLEKDTGSSNTVLHLHVETDCCVIPMIDHPRIPSSRKLELRAELCTNPLIYD -NAPLIDRDATRLYTQSHRRHLVEFVTWSTPQLYHILAKSTALSMIDLVTKFEKDHMNEISALIGDDDINS -FITEFLLIEPRLFTIYLGQCAAINWAFDVHYHRPSGKYQMGELLSSFLSRMSKGVFKVLVNALSHPKIYK -KFWNCGIIEPIHGPSLDAQNLHTTVCNMIYTCYMTYLDLLLNEELEEFTFLLCESDEDVVPDRFDNIQAK -HSCVLADLYCQPGTCPPIRGLRPVEKCAVLTDHIKAEARLSPAGSSWNINPIIVDHYSCSLTYLRRGSIK -QIRLRVDPGFIFDALAEVNVSQPKIGSNNISNMSIKDFRPPHDDVAKLLKDINTSKHNLPISGSNLANYE -IHAFRRIGLNSSACYKAVEISTLIRRCLEPGEDGLFLGEGSGSMLIAYKEILKLNKCFYNSGVSANSRSG -QRELAPYPSEVGLVEHRMGVGNIVKVLFNGRPEVTWVGSIDCFNFIGSNIPTSSVGFIHSDIETLPNKDT -IEKLEELAAILSMALLLGKIGSILVIKLMPFSGDFVQGFISYVGSHYREVNLVYPRYSNFISTESYLVMT -DLKANRLMNPEKIKQQIIESSVRTSPGLIGHILSIKQLSCIQAIVGDAVSRGDINPILKKLTPIEQVLIN -CGLAINGPKLCKELIHHDVASGQDGLLNSILILYRELARFKDNQRIQQGMFHAYPVLVSSRQRELISRIT -RKFWGHILLYSGNRKLINRFVQNLKSGYLILDLHQNIFVKNLSKSEKQIIMTGGLKREWVFKVTVKETKE -WYKLVGYSALIKD ->AGG09147.1 RNA-dependent RNA polymerase [Peste des petits ruminants virus] -MDSLSVNQVLYPEVHLDSPIVTNKLVAILEYSGIDHNYVLEDQTLVKNIRYRLGCGFSNQMIINNRGVGE -TVNSKLKSYPHNRHIIYPDCNKELFCIKDSCISKKLSELFKKGNSLYSKISHQVLDCLKRVNGKLGLGTD -LTHGLKEGILDLGLHMHSSQWFETFLFWFTIKTEMRSMIKEQSHICHKKRYNPIFVSGDAFEVLVSRDLV -VIIDKNTQYVFYLTFELVLMYCDVIEGRLMTETAMAIDQRYSELLSRVRYLWDLIDGFFPTLGNTTYQIV -ALLEPLSLAYLQLQDVTLELRGAFLDHCFKELYEILEHCGIDTEGTYNSITEGLDYVFITHDIHLTGEIF -SFFRSFGHPRLEAVTAAENVRKHMNQPKVISYETMMKGHAVFCGIIINGFRDRHGGSWPPVALPEHASAA -IRNAQASGEGLTHDLCIDNWKSFVGFKFGCFMPLSLDSDLTMYLKDKALAALKNEWDSVYPKEYLRYNPP -RGTESRRLVEVFLNDSSFDPYNMIMYVVNGSYLKDPEFNLSYSLKEKEIKETGRLFAKMTYKMRACQVIA -ENLISNGVGKYFRDNGMAKDEHDLTKALHTLAVSGVPKNNKDNHRGGPPRRKTSRDVRPNQDTKTQDRDK -VQGGPMYNYLRCRPISPDQGESYETVSAFITADLKKYCLNWRYETISIFAQRLNEIYGLPSFFQWLHRIL -EKSVLYVSDPHCPPDLDNHIPLDSVPNAQIFIKYPMGGIEGYCQKLWTISTIPYLYLAAYESGVRIASLV -QGDNQTIAVTKRVPSSWPYSLKKREASKAARNYFVVLRQRLHDVGHHLKANETIVSSHFFVYSKGIYYDG -LLVSQSLKSIARCVFWSETIVDETRAACSNIATTVAKSIERGYDRYLAYSLNILKIFQQILISLNFTINT -TMTQDVVAPIIENGDLLIRMALLPAPIGGLNYLNMSRLFVRNIGDPVTSSIADLKRMIDAGLMPEETLHQ -VMTQTPGESSYLDWASDPYSANLPCVQSITRLLKNITARYILISSPNPMLKGLFHEGSRDEDEELASFLM -DRHIIVPRAAHEILDHSITGAREAIAGMLDTTKGLIRTSMRRGGLTPRVLARLSNYDYEQFRSGIALLTK -KGQCYLIDKDSCSVQLAIALRSHMWARLARGRPIYGLEVPDILESMNGYLIKRHESCAICETGSSHYGWF -FVPAGCQLDDVSRETSALRVPYVGSTTEERTDMKLAFVRSPSRSLKSAVRIATVYSWAYGDDEKSWSEAW -MLARQRANITLDELRMITPVSTSTNLAHRLRDRSTQVKYSGTSLVRVARYTTISNDNLSFVISEKKVDTN -FIYQQGMLLGLGILENLFRLEATTGVSNTVLHLHVETECCVVPMVDHPRIPSLRNIKVTDELCTNPLIYD -RSPIIEHDATRLYSQSHRRHLVEFVTWSTSQLYHILAKSTAMSMIELITRFEKDHMNEIAALIGDDDINS -FITEFLLVEPRLFIVYLGQCAAINWAFDIHYHRPSGKYQMGELLYSLLSRMSKGVYKIFTNALSHPKVYK -KFWRSGVIEPIHGPSLDTQNLHVTVCDMIYGSYVTYLDLLLNDELDDYPYLLCESDEDVVTDRFDNIQAK -HLCVLADVYCSSKRCPSIIGMSPIEKCTILTHYIKGESVQSPSGTSWNTDPLVVDHYSCSLTYLRRGSIK -QIRLRVDPGFIFEALTDVDFKQPRKAKLDISVVGLTDFSPPCDNVGDFLGTINTLRHNLPVTGTGVSNYE -VHAYRRIGLNSSACYKAVEISTLIKPYLEVGEHGLFLGEGSGSMLAAYKEVLKLANCYYNSGVTAEGRAG -QREISPYPSEMSLVENQMGIERSVKVLFNGKPEVTWVGTTDCYKYIISNIQTSSLGFIHSDIETLPTKDA -VEKLEEFASILSLSLILGKIGSITVVKIMPISGDFTQGFIAYAIQYFRESLLAYPRYSNFISTECYLIMI -GLKANRLMNPEAIKQGIIRAGVRTAPGLVSHILSEKQKGCIQSFLGDPYIQGDFNKHLKSLTPIEKILVN -CGLSINGTKICRDLIHHDIASGPDGLMSSTIILYRELAHFKDNIRSQHGMFHPYPVLANSRQRELILRIA -KKFWGYVLLYSDDPALIRQTIKNLKRNHLTFDLHNNPFIKGLSKAEKLLVRTSSLRREWLFTLDTKEVKE -WFKLVGYSALIRG diff --git a/seq/clusters_seq/cluster_650 b/seq/clusters_seq/cluster_650 deleted file mode 100644 index d20b4fb..0000000 --- a/seq/clusters_seq/cluster_650 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_010086030.1 VP3 [Rotavirus J] -MAKVIVRTRNEGYKIESHEDIFKISNKLETEIIVNCTDYIFEKIKQQTFYVTIDKTSAKGNDAYERYSQI -FPTPIFSDNEENYLFGTCRHVISNTLHYTNELFIPYESVINDLLPAGWKAEHINSDDYPIGNDVIPNYFD -NISNISVAKFLQFSDRFDNKLPEMRVDHRLKESILNDCLAYVYAPAIDYDVQSYNYRITRREIGNKVRDL -VFNMCKGKIHLIGPELESVRNIVQYLNMKGNTIKILHVKHHEKNLIMTQRGAAFRRRKLKFSNLLAPQRK -NQNNFVKGLIHHLNKSSAIVDRVYYIGAYPSYWLENMRWINFQIVCYDPKFRLVDNPNVLWRDKLFLDED -IENVENGSYVYIDIRSDIRTQSDVVKNKIFKDEDNMILDLTRKLVKKRCTVMAKRKIVEQDIVSIGEECY -PPGENQIGREFYNLADASSVEKLLTQKEVFAKIVNARSNNKQNYVYGGTKFDSSTIIEDDETVIGLYSLS -NSFNSLATIEHVIKSNHIITFPTDREHGDWRDISKAQSKPFVGKIKQLNFEDWAVNPKAYCKKYNVEMLA -EQVFLQYGNTRAFIPDLYCHMISTNMAEKLKYSDRFFAHIGIRQPSIYARDKYMTSRISAYISRKLTHGI -DLRDLERDNFAGYSGHLIAIETSFNSLVYTMSPFRWIQRAQRRETRKDKFQIGKGEPHTKEEYKNTYDYL -DDHNKQIIDFRTELL - ->YP_009130676.1 VP3 [Rotavirus I] -MAKLLTVSEKGRLLLDSEDIFKLSNSLEEYIEINPSEEARKLLDNTFYTVIDKNENQQLFKVISDLYPSS -VYKDIDKSWKVGFCNHLTSNVVHCSNSYIFHHENDLKTYLPSGWSFLHKDDIDVSIGDHILTMLFDRLND -HTIQSFNEFRKSNPDSLPKCLKSENEKNDCMISVLKDICKQPDDNNFTETFIYGLDRKLAGNILRNTSFN -IFNRNVSVIGPELESLKNIVNHYYNGYDVELFTMSTEKERTYKQNIDWMKQTKLTFKLAMSSQNKNEHNF -LKGLIAHCKKFGFPSKILYLGSYPSFWLENISWFPAMIHCYDPKYRQTNLKYVKWHTTEFTIKDCSAIIS -NTYVYIDIRTDIRGMSEDAFIREDNMITDIAMEISSKPSCSVFFKRKILSDNFTFNDPIYPPDSIHFGKE -YYNYMSGVTDKRKISKEDLFRGINNAITENIPHYIYDGTVYIGNSKYPIIGLYSLSNKFNRISIIEETLR -NKDEFIITFPVQKFQNWRDIKLYDEPFNGYVHQGKYIDHTISPKAISSKFKIEVVSEEVFVSILDGRINM -PTNFLHMVTFKFKWRDFFSDRYFQHIGIRQPSIYARDRFRVSRITAYINRQLTHSSDMSKLEKNNFEGYS -GHLIAVEQFFNSLVYTMSPYRWMIRSIHQIRKKETSKYRIGRFQQHSEQEYINTYEYLEKLGIDPLHKKL -Q - ->YP_008136241.1 VP3 [Rotavirus G chicken/03V0567/DEU/2003] -MAKLIEFSELGARVQNTEDVFRISNSTEKFIIINPSRNAENYIKERTHYVTIDKRNVDQSIKEYEELFPT -SVSTNNSEIVKLGACGHIVTNCLHVDHPYFTSNITSLGDYAPTGWRYEAMGKRDDQLGDFIFNHIFDQCN -GWQNGEYINRFNYGVIPNKKTSQKEVDSYFSELMKEIVKVQDDVDADSYYYNIQRRQIGTAVRETVFELI -EKKNWNVNLIGPEFESFALIYKLLMSNYTGDFKMFTINETQEHNYKKELTVWKKKTLSLRTVLANKWKYE -NAFCKLLMSHLCKRRKYSYIYINNRYNVDNWVINYPYIMNVILTDDLITHAENSIIFGFEVTDNTSSYAV -NKISDKVVYTSTPYADENNAWTMLIKGERIGDKFNKDDSWYAKKNNYSNFVYGGDIFTTKDLNLNYVNIA -LYSLSNSKNSIELIKSVLSYEHIVTFPLPVRMDWRQMEDYEEKPFLGLVRQNKFEDHVIHPKILALEHDC -EIVTEMVFLQLGSRRCIISDMYQHAVVMRIKTDSFYSDKYFSHIGIRQVSVYNRDNFLTSRLNAYVDRQL -SRSIDFTQIIKNKFGGFSGHLVAVERYFNQLIYTMSPIRWAKRAFSEAKYKKRDQYKNADGEKHEMTDFI -NTYHYLNLYYTTFEDLIECSGIADYPQYYIAVKSGMSHITLQLTEVDPTILLDDIVFKIKGVRLKRVGET -KFKDLNVVLYQTKEVLQYHIVKILRQNKIACQQSRPYIMHMNVKEDGDDKDEIVINERNVYVKKIVRT - ->YP_008126855.1 VP3 [Human rotavirus B] -MSKLIEFSDLGAEISNREDLFKLSNDTSSFKIIKPTVAIKDYIGTSTHYVVLDRRDNDETADVLTTLFPT -SVIFNCEEGYKFGGCRHLLNNTLHISDGMFSYGVNSIQNWIPRGWIVDKCDRLDDHVGDYIIKLLVDSCS -SQTIREMNKMRPGTYPRMERVEEEFTNFLKKMTTPQKEIDFQSYNYAVQRRQIGYVVRKTVFSLIKSNNW -NVNYIGPEFESFKEIINLLTDKNYTGKFITFTFNSSKKHSYKHHIREYLNKKAGWNYVHRMRHKYENAFC -HLIYNHVAKQRSYSTIYVNTLYNVGNWTEAYQWLDINVVDHLPVIQKNSIIFGYMLSSKECSFSVNAESD -LVVYSPSPYDDDSNVWTVSIMGNKLGTTYNEDDRIAVKTNNLPNYVFGGVPFTAAALKFDYINIALYSLS -NSVNSPELIKATLSYDHIFTFPSYSKGDWRDERATTDDIFVTTEKQLRFEDWIIDAKNLSLEMDVEVVSE -AVFLQFGKHRAFISDMYQHLISFRFKQKNFFSDQKFSHFGIRQPSIYNRDIYLSSRLNAYINRQLTLSTD -LSLIKQNNFEGFSGHLIAVEKYFHALVYTMSPMRWAKRALSDAIYSKTDSFSNAIGDRHSLHDFRNTYAY -LGDTINPIFRSNLVTNKFADDPKFAITLSCGVNHITLQLTTKNPQIALQDIGNTIHGMVIKKLDKNDFGN -IKVILYQTKEMIQYKICNILRSMDIPCQQHRPYIMHMTVKTERNVPDVIIINRNDLIIKEIKR - ->YP_392493.1 VP3 [Adult diarrheal rotavirus strain J19] -MAKLIIINSEKGEKVETHEDIFKLSNLQQREIYAITNERTKSILLNQTFYTILDIENEPKDKVAFDSYNS -LFPTSIFSYSRQDRLFGTCNHVLDNNIHYSFALFDSMVDNLSTYLPNDWNIIKISDSIDYPIGNDLLFYV -FDNLVHMTIDQFVNSEEKQMNTVPKCKESQDKIKEVFTDIMSHLYMPAIDYDPQSYNYRVSRREIGNLVR -DQVFSLVKGHIHLIGPEMESLRNIIMFLHAGNSITFHTIDTSTKSSYIKELEFNKKTKLTMASVLVNQRK -NMNNFFKGLIRHYITYGIPRKVYYIGAYPSYWLELITWVPFNIVAYDPKYRRVDNDKIIWYDRLFDRNDI -ETIESKSYIYIDIRTDVRNLDTTKKQRIFKEEDDMIVDMAIKLASKQCTVMFKRKIFPGNNMSFGDPLFH -PKLTQLGREYYNCITTIVSPSVYKESELYSLLLSARSNNVSNYVYGGSKFDQFSIVNCNSTVVALYSLSN -TVNSLKTIEHAIKYNHIITFPHRTDRGDWRNIEELDNSSPFQNRKRQLEFEDWSIDPKNYVMKFRCEMVS -ESVFLQLGHSRALIPDLYNHMISLRMEMPLFYSDRFFSHIGIRQPSIFKRDSYMTSRLSAYISRQLTHSI -NLSVLKRNHFEGYSGHLIAIETSFSSLVFTMSPYRWLIRAKKLLTKNKMRDKFKIGDGQPHTREEFENTY -DYLKINRLVNSTFRSLLLD - ->sp|A9Q1K9.1|VP3_ROTB2 RecName: Full=Protein VP3; Includes: RecName: Full=mRNA guanylyltransferase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase -MAKLIIINSEKGEKVETHEDIFKLSNLQQREIYAITNERTKSILLNQTFYTILDIENEPKDRVAFDSYNS -LFPTSIFSYNRQDRLFGTCNHVLDNNIHYSFALFDSMVDNLSTYLPNDWNIIKIPDSIDYPIGNDLLFYV -FDNLVHMTIDQFVNSEEKQMNTVPKCKESQDRIKEVFTDIMSHLYMPAIDYDPQSYNYRISRREIGNLVR -DQVFSLVKGHIHLIGPEMESLRNIIMFLHAGNSITFHTIDTSKKSNYIKELEFNKKTKLTMANVLINQRK -NMNNFFKGLIKHYMTYGIPNKVYYIGAYPSYWLELITWVPFNIITYDPKYRHVDNDKIIWHDKLFDRNDI -ETIESKSYIYIDIRTDIRKLDMTKKQRIFKEEDDMIVEIATKLASKQCTVMFKRKIFPGNNMSFGDPLFH -PKLTQLGREYYNCITTIVSPSIYKESELYSLLLSARSNNVSNYVYGGSKFDQSSIVNYNSTVIALYSLSN -TVNSLETIEHAIKFNHIITFPHRTDRGDWRNIEELNNLSPFQNKKRQLEFEDWSIDPKNYAMKFGCEIVS -ESVFLQLGHSRALIPDLYNHIISIRMEMPLFYPDRFFSHIGIRQPSIFKRDSYMTSRLSAYISRQLTHSI -DLSVLKKNHFEGYSGHLIAIETSFSSLVFTMSPYRWLIRAKKSLTKSKIRDKFKIGDGQPHTREEFENTY -DYLKINRLVNSTFHSLLLG - diff --git a/seq/clusters_seq/cluster_651 b/seq/clusters_seq/cluster_651 deleted file mode 100644 index a64e3ec..0000000 --- a/seq/clusters_seq/cluster_651 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_004442832.1 virion associated protein [Banana streak UM virus] -MTSDNNEYRRPWQTKNIYGSDSEGFLSSAGTQASTTKQLNTIIQLLIQVHVRISALEEELEVIKTKGYSS -DLEDIQEKLSNLRIGTSTSKQETKGTLKVLKKF - ->YP_004442829.1 virion associated protein [Banana streak UL virus] -MSISNTDYTSALEKTKNIFGNTSVGFVGENPSQTSTAKQLNTLIQLVIQVHTKLGVIEDEVKRLKERLPT -TGTSTGGKDYLADLDDITKRLSNLKVTKEPVVQGTLKVLKKF - ->YP_004442826.1 virion associated protein [Banana streak UI virus] -MSVSNTEYTEALKKTKTLFGDQSTGFVTENPSQTTTAKQLNTIIQLLIQVHTKLGVLEDQLSKVKAKVDS -PPSSSGKDYLADLEDITKKLSELKVKKAEPSKGTLKILKKF - ->YP_595724.1 ORF2 [Sugarcane bacilliform MO virus] -MSINEPAYAKALEKTKNILGESGEGFVAGNASITTLTKQNNLTIELLLTLHGKIKSLEDKIQDLKEDLTK -KADKPSSSGLDKQLDDLAKRIEGLRTGAAPVKVVERGKLKVHANPFELLRKIQ - ->NP_758807.1 unknown [Taro bacilliform virus] -MSVPNSTYPGYIKSLEETKVLGDPSVGFSEIPTTAIGTATGFSTLYKQNNTIINLLISLHKKVDSLSKKT -DVDELATELSKLTIKDTPKVKAKTPLYVFKSPRLILEEERYKIGLPPTTTDWTWPVGHPFAPPPKTSTKA -STSS - ->NP_149412.1 hypothetical protein SCBVgp2 [Sugarcane bacilliform IM virus] -MSINEPAYAQALAKTKTIFGESGEGFVSATPGATTAAKQLNTVIELILQLHGKLKALEDKLQDLKEDISK -KADKPSTSGFDKQFDDLAKKIEGLKTGSEPKKPIERGKLKVKANPFDLLKKIQ - diff --git a/seq/clusters_seq/cluster_652 b/seq/clusters_seq/cluster_652 deleted file mode 100644 index b75cad3..0000000 --- a/seq/clusters_seq/cluster_652 +++ /dev/null @@ -1,184 +0,0 @@ ->YP_010086258.1 RNA-dependent RNA polymerase [Veterinary Pathology Zurich virus 1] -MDVVYKEIVEIIVQLNNARFPFVPDAEQLKLVSSLESKSSKAEAILRLRGNIAERVLCSKYGLVFNDDSS -KKNIKQILQEISVSGCSSNLTPDAYSFTEDGKVMVYEFGIRKRKKEEKEFMDRKKWLKLSEKYPILIHVE -TISSFDDIENPYVRMTLQKIERKMVEFFKKLDKDEELREINLKYFWEIRDLFQQYPYSNKFINITKEGKQ -VERTNKKDAAITTFPSLDKSKLKKDMEKTIAQRVKNIVNKRIKEGTTFYRETTKENINKIWTTFKKESLK -GVRTIKQTKLGFEKTSKQVKSEIERLTPAINIINKLNKEKRLKKLDYSYLFKLAGFNNGLVPGPHDSIRW -LEDIIAKCEKAIIQKGKNAIKEKEHLEKVWNTNKEILSISHPIIKSVESKLIQIEQHLQFTQKSEEERCQ -KIVETSHNENYILQCAERRLKKWEKADLELVENTSVCILRSANTSYTYQNNFLCFQIFNDQVLFYKSRGH -KTKKFAIVGENNFTYFTAHPSRYIAPVNLKLCLKNLEIEYHKLNGTEMPIQLKSCLIEILLNQNKTSQKN -LQNIRYLLMTVKSRFHCFNIGKKLGIQIKNDKNCVDYWIYKQIFQRFTQDGGVYPFLKTEDQQLETRKML -FMSYLCNLITKDSQEKDIERIKANQKYFELKVDWEKERGWKLDNNLSVEELAYGDIKGPTIYPECLNNFY -EWFKLECMDYLDKFSLTKLKEPLAFDVSNSNSCMTQVKSQKFYQKASIYQNLSEFQRKKMSKIRNLPFEV -DKGKTTKLQLLNSDLQKYIDFYKDNGQAWVIEELKTVEPFELCVNLPHLEGLKEMAQASMEDEDNDDLTT -IIKSRIAMSEDTDSLHMCLLMKIKSLKDELRIIQKRENCYNQNRFVNKLSSRNTTAVELLNEIRKAKIKN -NEIISELTCSDLLDEIPQLYFALSYKEQVGGTRELYIGDILSKISTKIVEEFAKQIKDINPTSCLYDHSS -ETLIRKHVRNCQNVRNTILRFTPEELMDLNEDQLSSVFVENEDFLFGSLDHSKWGPLSMPSIFADLMDIF -NDVLGLVGKSENDLSLISEILWSHVTKKVEVSSEYVEYLIKKKNTELKTSINHLNNNELDNDADTYATKL -LEEGKLGFQTYPYDMGQGILHGWSDIWAGKTEEYIWKIIKDHLYDYTDSYNCVTSDDQATVLIGSENNLK -TIEAHYILSKCLNKKISEKSVWGTEVFEFKSVFVSNGQEIPPTIKFLVIPSFGFEVFDPLNYLNTTDTIM -QEAYDNCASIDQCENLLKMTLKLLACAGFGKNFLEDLSCKHFYQCNLKAIMFDNMTFTERKLHSLRENKL -FSSKENQMLVAKIDKELEDWMAEPYRSIQRAKEIAKLCKNMVWDPTKYGPIRVCSGRMKSNKENNIILSL -DPSRNLDDPVSSKLYNFIRKHFQSTSFSSLEQSIIESIKDSLRQMTSGESYSGLVATVRSQSFKTADAYA -TMLESFAKCKETLLEGYLQLKIQLIIEKFTLDEKHYYPVQPMSEKPQVNTEMRGEKINDDFLIPALASLE -LREPEFFKKIVEPSIPYKRMKLINSQQLIRMKLIEAADASFNMEIREPYEVFRLTSISNNSTYFQGNDGI -CWETTEAVGKAKTIHFLKQTEVHLILNSFINPVPLNYESILKNWQSITNLEFPANVEITGTTIQILMAHA -INEKRQTQICELLKSFPQHLSEKRIYQDQYTMIVSREVLWEGQSVTLCFTRNKLDRTNFFTIYWDTVPKW -PEKWASAELLEKLLESELITEWNLKGMKIKNRSKLHPNLFDKLSSPILIKEGSLGYYKFVDGIPAFQEVV -TPRIESNLLIGALTKKGVTSFLKEVLESYSLMGKTIFEGTVDTKPDYLTTKAGNILNLKSSVVTSIKMLS -DREKFTAMKLFTECFNECIITEIDQGYILCKPGLNGWVFSTQSRFLKGTSILLDKPDDIPSVPEEDSWLD - ->YP_010086255.1 RNA-dependent RNA polymerase [Old schoolhouse virus 1] -MGSSLINDIYQELINKVESLSRSGFQFVPDGCQLRMFGKLDSKMFKTEGILRLRGNIAERILCSKYGLKF -EEEQEKPTIKELLELINIKDCSSNLKPDAHALTEDGKLLIYEFGISKSKKNEKESSDRQKWNKIVTFYPV -ILHIETMSSFCEIENPYVRLTLQDLEKLMIKFFRNLERDETIREINLKYYWEIRDLFQQFPYYNKFKHGR -AESEQPKQQVLKIFPDLDPSSLPKSNTNLLSNQVKNVVKKRIKEGNSFYRETNKNTMMQMWSRIKESSLK -RFRSLKSTKQGFRKTHPDVLLYFEKNNDIILKLNQLNKRKKLKKLDYSYIFKLLGFNEGLVPGPHDSLRW -LEAIVLDCESKLKHKGKTAMSELDYLQNQLKENIVLFSSYTPMENSVQTRITLLEQNLTLSGERKVQTKV -DTSHNENYVLKLAEKRLQFWKNEDLKTIQDTSVCILRSANTSYTYKTSFICFQKYKDQVVFYKTRGHKTK -KFGIITISGFDCFKAHPSRYISPINISLCLENLQIEYEKLTRKTMDWKTRSFLIEILINQNKTSQKNLQN -LRYLFMTLRSKYHCHNIGDKLSLQIKNDKNCVDYWLFKNIFDSYTENENNTIFPFDKGENKQIETRKMLF -MSYLCNLITRDSQEKDIERVKANQKYFELKINWDKERSWRLNNNLSVEELAYGDSTGPTVCPQALSGFLD -WFKLDCLDYLDKFGLTKLKDPLAFEVSNSNSCMTQVACQKFYSKASISRQLQRHHDNKMSLIRGEPTPAD -STVTSRVQDLNESLKKLIEFYNDSGLHWVIEKLKTVEPYQLCESLPYLENLKDMALQCFEESDKDNLTEI -IKSRLIQSDDPDALHMCILMKIKSIKDELRVSQRKNKTIISDKFVNKISSRNSTAIELLNEIRKEKLKSK -EIISELTCIDLLDEIPNLNFGLSYKEQVGGTRELYIGDIKTKIATKIVEEFAKQIKDLNPISCLYDHASE -NLIRKHVVNCQTVNNNLIDVNFEDLLSLNDEQLSSVLLEKEEFLFGSLDHSKWGPLSMPSLFALLMDTFN -DCITLIDPNKDKLDLISDILWKHTIKRVETSSEYVEYLIKKENTDLKTSCRSVVYNELEQKSDQYAQELL -KENKLGLQQYPFDMGQGILHGWSDLWAGKTEEFIWSFIKNNLKDYSDVYNCVTSDDQATVLIGAEDSLFT -IESHYVLSKCLNKKISEKSVWGTEVFEFKSVFVSDCQELPPTIKFLVIPSFGFEVFDPLSYLNTTDTIMQ -EAYDNCATIQQCHNLLKMSIKILNCAGFNKSHLSELSEKHFYSCKPVAVMFDNMTFTERKLYSLRLRKDF -NTLDNQKLVARIDKELESWMKHPNLSIERAKLIAKTSEVKTWDPLKDGPIRVCSGRIKNNKENNIILSLD -PSRYVDDPLSAKLYNFIRKHFQSTTFSSLEQSVIESIKDSLRLMSGGENYSGLVATIRSQSFKLGNEFVD -IDSSMKNSVNTLAEDYLQLKIQLLIEKYTLDEQHFFSSTALDESPRVVTEVRGTKINDDFLIPALASLEL -RDPVFFEKIVKPTIPAKKMKLTNEQQMIRMKLIEASDASFNLEIREPYEVFRLTSLSNNSTYFQGDDGLC -WETSMVVGTAKRIYDLKQTENKLILNSFIKPVALNCDTIAHCWSEVTQSEFPNNVTIDGSILQILIAHAI -NEKRQIQVCELVKSFPQHLSEKRIYQDPFSIIISRDILINNQSTTISFSRNKQTKENNFTIYWDSVPKFP -EKKATRSLLLKLLEEDEILEWHLENMRIRNKSKLNPNLFDKLVSPVYIKEGSLGYYKYIDGVPTFQEVHT -PHLDHSGLTTQLCNIDLIGYLRDILSSYSQMGQAIFEGLVDTKPDYLTTRAGNIITFKSCVATCLETLTD -KKKLEAQKLFVECFAGPLVVEVTAGFVLCRPEFEGWIFCTQSSFIKTLSRVIHSPDELPEAGEEESWLD - ->YP_010086250.1 RNA-dependent RNA polymerase [Dante Muikkunen virus 1] -MDDQEAFNKIVDRLRQLNKDGFPFVPNVAQMEMFQNFTSKALRVEGVLRLRGNIAERVLCSKYGLVYRDE -ERKKNITQLLEEISIKGCSSNLTPDAYAITEDGKLMLYEFGISKNRKSEKEFSDRQKWLKLSEKFPILIH -VEIMSSFDEVDNPYVRSTLQNLEKLMMNFFKKIEKNEELREINLKYFWEIRDLFQQYPYFNKFGDLGRAG -GREAALSVFPDLDRGFLPGFNQKDLANQVKNVAKKKLKENKSFYVKTNNESLQALWCEYKSESLLKYRDI -KLNKSGFNSTDPIIQEYFTKNEPVVALINSLNKNKKLKRLDYCYIYYLSGLDRGLVPGPHDSLRWLEDIV -VDCRLKIRQGGKNAKMEEAHLTKCYEEHKNTFTNYSPMTEQMEARLKLLEQRLIKATVPHKQNAVQSSHN -ENYILKCAQKRLNMWSFQDLRTVEDVSMCILRSANTSYTYKNSYLCYQKFNEQVLFYKTRGQKAKQFGLI -STHTVDVFTAHPSRYMCPINLRLCLNNIEQEYKKLTKKPMETDLRNCILEILMNQNKTTQKNLQNIRYLI -MALKSNYHSRHLGKKISSQLKNDKNCVDYWLINKIFSTFKRTGAIYPFDKTDNQQIETRKMLFMSYLCNL -ITKDTQEKDIERIKANQKYFELKKDWEQERGWRLNNSLSIEELCYEQIKGPTIQPGILSEFYKWFKLECL -DYLDKFSYTKLKEPLAFDVSNSNSCMTQVKSEKFYSKASMTNQLQNLHNAKMRAIRGFKQEKDPQTTTRL -KDINDELVQYVEFYKSNGNEWILEKLKTTEPYLLCENLPHLDNLKETALKTLENDEQDDLTKIIKQRIVM -TEDPDALHLCILLKIKSLKDELRVTQRQGKALSQLKFKTKLSSRNSTAIELLNEIRKEKLKNKEIISELT -CLDLLDKLPEMNFALSYKEQVGGTRELYIGDIKTKIATKVVEEFAKQIKDLNPISCLYDHSSETLIRKHV -RNCQMQRATNLDLRFEDFMNLNDEQLSSVFVENEEYLFGSLDHSKWGPLSMPSLFADMMDIFNESVDLVN -MNRNDLTIISDILWKHVLKRVETSSEYAEYLIKQQNTELKTSINPICFNELQNDTDLYARDLLEKGKLGM -QTYPYDMGQGILHGWSDIWAGKTEEFIWQFIKTNLNDFSDVYNCVTSDDQATVLMGPENAAFVLECHYIL -SKCLNKKLSEKSVWGTDVFEFKSVFVSGGQEIPPTIKFLTIPSFGFEVFDPLAYLNTTDTIIQEAYDNCA -SIVQCKNLLNMSKKILSCAGFGSQYQNALSEKHFYSKELHPIMFDNMSFTERKLLSLRERKDFNNKKNKK -LVAEIDNELSNWMNAPILCIEKANLIAKKCEETTWDPTLFGPIRVCSGRLKNNKENNIILSLDPSRKMED -PICSKLFNFIRKHFQSTTYSSLEQSIIESIKDSLRNMSSGENFSGLVATIRSQSFKLSSTFGNIETSLKE -NRQTLLEDYVQLKVQLLIEKFSLDEKHFYSTVGVEELPSISTEMRGSTINDDFLVPALASLEARAPVFFK -EIVEPSIPLKKLKLMNSHQLIRMKLIEAADASFNMEVREPYEVFRLTSLSNNSTYFQGDDELCWETTNEV -GISKRDFNLKQTEIVLLLKSFIKPIPLNYEIVFNAWKEITNSEFPNSVPVDGNILQILIAHSINEKRQIQ -VCELLKSFPQHLSEKRIYQDQYSIIYSREVIWEQQSVTICFSRNKQEMTNEFTIYWDHLPRYPTKKASSE -LLEKLLTIEEIIEWHAFEMRIKNKTKLNPNLFDKLSSPIFIKEGTLGHYKYVEGIPTFQEVHTPRLEHHL -LTSQMSKIDLCNYLTEILTSYAELGEALFEGLVDTKPDYLTTHTGNILTFKSSVSSCIHLLPDSKKFLAT -KLFVQCFNESIIVNIKAGFLLCKPGMENWVYSAQAGFSKSSSRLVSGLVDVPDPGVEESWLD - ->YP_009551605.1 L protein [Wenling frogfish arenavirus 2] -MDWSKPIRQIRTYSGNCPLINSAKNWVLGRGRLHDLNHECKECRLIIKHPSMLLKELGEKISPPRDKLNM -VIREGPIWLPSQLRTEEELGVVASLEKCSRIIGCMVENALCQKNLCPYTPEEGKLNAGDIFKKIGHDLKG -DEKRLTPDSYVIEDGKVTLYEFGFARMKYIKERDDKIKWIRLPSDKFTLRVETMNSINECEAFLTTSEFE -YLKSVSEIQDKCRNICRKCNFWSSYDSLIAATNDGVRLLDVPNLVLQESGKSYDERYKEFMNKAGCSNEI -GPLDNYELYGNYKTGWKHRSDLASSLNAYRISSVEECKKKLNSLHEKIPIPSADPVCLLFTQMRKILEKH -RPSMDMRDEVVWKRYSQLLWEDNELPLLPGSNDSIHTNRKLRRGMVSEPPTRAKKLLKKWGSIPVPAYDP -VCISSLQNLLEKLNSDRSDRVNRVKEEVINNMVPKPENKIITGLDIIEMRPLPETVHPCQDDSTDEFFKY -CLTMNPFEEEAIKRLKELKEQHHQSIPLKQTVARMGVRLCTPTYRTPGAIAFYQSSDSMMIVSRSKSSKS -RTFWVSGTWEKFKCHPERLFPLINFTEALLMCEVRASCSGVKLNERALCIVSRIYEHQSKGCQEMLQNMR -YFWMACKSEFHRDKLVDKLSCTVKNRIEWEVASLIKKWMIKNADLPAGRYHSFLNDEEMEENMEFSLLEI -YLCHLITKRDSSEGISLMKCFEKFLAPKMKYDPSMSGRLLSGKLEQEIQNEMFGDNLPLYSRSLFTEFLE -WITARHKIAVKSALTRLPEPTLSLVANPKSTVVRAPIGGKVDEKLKYLINSKLNLKKIKGECITGATPTL -QGDPTCDVYSPKEGCECFSCQIGRECGKDSLISIASHAENSEFMESCKQSVAAILESMEIDEILSHVCKI -FYDEDLNVTKRRVGLQAAVFKLCHDSLKEGDHLTTAGLLLLKMCRKRKKPGGKKKEAIQRVEDELRRIGR -RTRYSSRTTTSAALKSVIDSEIEDPHILACREASPDFNLYFSIANKEQIGGPRELFIGDLNTKLITRRLE -EVCRGLTPLFSSSCLNNPEREDSFRDLLKTSLTQCRSQSILCMTLDHSKWGPTQCVDAFIYLIMELAGEQ -MGIHVDDLKRHMMKRVEIPFSCSEAVMKKVILGQPLKPHEEHIKSRLMQGEPWINSVFDMGQGILHNHSD -LLGCMTEEFICEKSCRKTEEKLGLEMHSITVKSMNTSDDSCLFAQCSNPHLYWKKTFIHYHSLFSELMNK -KISPKSTCDSKMAEFKSRFVKRDTEVPAVFKFTSCVLHGLNMSNVEQLSNTSLSLSVNAFNQGASVEECR -LVQSVFFKLIRFITPEVNLNITLDQHCGLICLPTPDEMMILNHESIVLKEIFKRMGLGNEAFMELDTGCT -LLSQGKIKIQSLIDSVSSILVNAKRGQTCLPEATLENYSPIRPYGRGADREMLHHLKGEMEKARAVKDPK -LRKIQKYLDGKGASHNLRSLRRSLILSMADNISGCQENLMQSLLTLIYQTRGKFYKGKDELKSLKDSLRE -GIPTGYIVDKVLNMMLSTPWAIRQLSAETFDSCNTIGIEQGHYSHFSRDPNWADNFIPEVIDCLKEDGGI -EAMEVLSDCGVKLNRKYKRTEEKKARLEIMFHIGEICEVRSSGECCLRRSDDGTVEGMIRRSYMTPISRL -PCLTVNSISPSTTEMMVESFLSVISVCTPGVDVTPELIDKVCMCISMYLGRTEHEFMQSLMSYDNPSALV -KMCRMMIKTRDSSVSLRDIMTFNNEPVKMIDADVWGKNREHIRRVLWELPSSKGRVKVHEKIVDSELSKI -TITPLYFGLLTKEDEGKLFSESTLLRMPERLHSEFRRILDRPLNCKVKVNNSITQSDDIQASMRLKLCSG -TLCQITSSIMTKEQKREFFYGKCDDITGCREGEPLGVKTVYEMKFFRSYVQNCLRASAMLGWDLCGDYIE -TMERRVRTICEVYGIQMTDNNIAKLFSECPDLTDVLSDMSVSATGCKRDIEDFIMEMKPEIEKRLSLITG -RLFTRDGLLVIGTREGKEVVSPGSRAYRRRAMEGRSMRHFKLFGRVCLQVEDSLDDVPELEI - ->YP_009551555.1 L protein [Wenling frogfish arenavirus 1] -MVGWQNAIKKIRDYQGQCPKVNQVRNWLEGNCPLQEVEHKCNDCSALIKHPRCLISEIGRKFPRKGSDPI -TLPASPVSLQIPLELEIQGSLGLMQASEGLNRILGHIAELSLCRKHSVGFTPEMSKEPFSEFCIRNGINF -PPLIGKLTPDHVTIEGDKLIIWEFGLSVVKWRKKLSDEAKWSKMVEHNETGKGPEVEVRVEVIECISKAY -DYLNEEETRYAMVIKNLSQKVRRECVRAGIWNQYDSLIAAANDGVRIVEYELLPPGERNSDVDESFKAFM -ENHHLDEKMGPCESLDDIIEEARGWNGRWLPRSKLKDQPLDGFITSTEDRKEKLELMFEKVPVTNSSELP -QFLQSCNRVLDLLRKKLSKDEESADLFKKGIHLCWDGDSQVVVGSHDSLHIRNNEASFSHRKQVLQKKCL -LKFGRLPLPKFSENCRLECENVIRSISCENKDRKERATRERETMNQWKDPSCIYNPDVVEMRGLPHCDRP -PVEDPFWKYMENYERGAEVGEYLKQLDDSLPNCVRAKEDVSRMAFRLCLPTYRTPGSFCFFQSDGNRSVY -TRNKGTGSRWFYTGFGAERFKLHPERIYPVINLSEAIMMVFCRAEACGVKLNDRAITVLVRIVEHQSKAT -QELLQGMRYYIMACKSEFFNEGLIDKLSVGCRNRSDLEMASLMKKWMQENATLRREEYFSFLNGEVMTTN -IDFLLLEMYLCHLITKRDSSSGKSLQKCFEKFMKPKEKYFKDTEKYSDRLLYGKNKQNISLSDIKDPSLP -LYSRTLFKEFKHWISKRYMVHMKNKLRSMPEPALGLLANPKSTVITTKQTHIERKIEHYIKSKVKVRETK -ADLITGEKVEQFKRPCTVQHPTEDCLCEMCEISHCLSGLDLSLIAECMEDCEEFSDAVSYLTPLLEDWGM -EKTLKFMAEVYHDGEVDRLSELEKLEYLTSMKAMEVNDFSTPAELLCRKLIQKRKDFNKGKANILTHKRK -EEEVLRRKSRYSSRTTTSIALMDRLKQGEGTTLESRKGPATLPLYFGMANKEQIGGTRELFIGDLETKLV -TKRMEEIGRRLTTCLDNSCLNDHKAEDSFRDLLTGSLGKTVTSDTMCLTLDHSKWGPTQCVDAYIEVFEM -IFPDMLRSHITDLKRHLMKRVEIPHLYIERLIRKKLSGGTMTDIEEYVSKRLQQDEPWVACPFDMGQGIL -HNHSDILGALTEEFICERAAATTCVEKGMSENSITFRSMNTSDDSCLFVKCTEPKPGWKLEFLKWHSLFS -ELLNKKISAKSTCDSTMAEFKSRFITSESEIPATIKFTACVLHGLNISSMEDLNNSGLSLSINAFNQGAT -VEECRIVQKVFNKLQRMVCPEYDPMKSTDLFLPFLCFPSVEEMMMLSQEAIILQEVVRRTGADREAMFLS -ISDNLSLLERGKITAEELLQKASIPEFGTGGLTCLPDKLEDEFRPIKPKGRGKNRELLGRLRSLRGAVDS -SPIGKMKTYLDGKLRDLSEASLDRTVSKAVIDSLESPLANLMQCMITLVYSVRGRFYRQADGELVNLKGV -VREGSSRDYILNLVLRAAAYTPWSIKQLCSPEVIVQCSKSEGGSYRHFSRDANWVEDFTPEVVEKLISMG -EEGNNALRDFGIRPNRRYRKSEERMNRLPLMFQMKEVKDPNSSDAGCLRMSDSNDACSMFGYCYLSGAGE -IRARSRTGIQPQFIEGFMETSLCLMSMCNPGLELTSELIHKVLACFLFFFAVSEVEAKRALKSYEKPSKC -IQICRMLLESSGSVISLRDITKLCLNPVSMITISKYNKEGTLVTKDVWDIPTRKGRVNLTATWTDEALTS -LSVRVDYLGEFTKDDYTAIMSNKTLLMCSSDLSPKFSHLMEKQKDCPEIRLRESSISTSDWNVTGSMRLI -NCGICITTTNVMNKVEDYRETIIEDLERLTGAVGGEWEDHKHVVKLNYYKGQAASCIQALRLGGLHPLEN -CISSLERKAKALCEVHGLEFNETSLFKLNSKFLSFNDVLSEASDSSISCKRDLEVILSRDTNTSPIRVSL -LLGRTVTPEGFLCYSRSVGSPIVVEGSKAHMSLSETHIGHPVVITGSRYLEMTPGTVTAEIIDL - ->YP_009666122.1 RdRp [Haartman Institute snake virus] -MAKEIFERIVSEIRLLNGQGFPFVPDVSQVEMFGALESESLKAEGILRLRGNIAERLLCSKYGLKYEDES -NKRNITELLKLINVTNCSSNLTPDAYAITEDGKLMIYEFGISKNRREEKEHSDRMKWTKVCNNYPVLVHV -ETMTSFDEVENPHVRSTLQNLEKKMIKFFKKMEKNEELREINLKYFWEIRDLFQQYPYLNKFKDPKRPNK -EREEALEVFPDLDRKNFPIIDNKILSNQIRNVAKERIKNNKSFYVKTNDESMEELWLKFKTNSLKAYRSI -KTTKQGFKKTDEAVLNYFQQNQNIINLLNKFNKEQKLKRLDYSYIFSIMGLEGGLVPGPHDSLRWLDAVV -RDCEVRLKHGGKVAQKERKRLEDCFEQNKLIFESYKPMHDTLTNKLKLLEQKLLKSKKTRIQDDVNKSHN -ETYILNCAKKRMENWSNQNLQTVEETSMCILRSANTSYTYKNSFLCYQVFGSQVVFYKTRGQRAKQFGLI -SNNGISSFTAHPSRYICPSNIQLCIKNIELEYKKYTNEPMNKEVRNCILEILLNQNKTTQKNIQNIRYML -MGLNSMFHARDLGKKISIQLKNDKNAVDYWLFKNLYDNYRKNGSIFPFEKTDDQQLETRKMLFMSYLCNL -ITKDSQEKDIERIKANQKYFELKQNWANERNWRLSNMLSIEEISYGENKGPTISPAILNSFYEWFKLECL -NYLDKFSYTKLKEPLAFDISNSNSCMTQVKNEKNYNKISITNQLQKYHDRKMMSINKNNDLKDNKNPDTT -NKVRQLNEELQEYTDFYVNSGNSWVLDQLKTTEPYQLCLNLPYIENLKELALRTLESNEEDDLTTIIKQR -LISTEDPDSLHMCLLLRIKNIKNDLRITLKQEKGLKQTKFQNKLSSRNSTAIELLNEIRKEKIKNKEIIS -ELTCIDLLSEIPNMNFALSYKEQVGGTRELYIGDIKTKIATKIAEEFAKQIKDINPISCLYDHSSETLIR -KHVRNCQLAKNAIVDLKFEDISNLNDEQLSSVMTQSEEYLFGSLDHSKWGPLSMPSLFADMMDIFNDAIG -IVGTLKNDLSLISDILWKHVLKKVEISSEYAEYLIKNDNTELKTSIEPVEINLLENTIDVYANKLLKEGK -LGMQTYPYDMGQGILHGWSDIWAGKTEEFIWDFIKNHITDFTDVYNCVTSDDQASVLIGPENAALTLELH -YILSKCLNKKISEKSVWGTKVFEFKSVFVSGGQELPPTLKYLIIPSFGFEVFDPLNYLNTTDTIMQEAYD -NCATIEQCSNLLDMSKKLLSCAGFGSQYLNDLSQRHFYTKKLHATMFDNMTYTERKLTSLKESSEFKTIK -NQKLVAEIDTELSNWMTRPYQSVENARKIAKQCKEDSWDPLMHGPIRVCSGRMKNNKENNIILSLDPSKN -IEDPISAKLYNFIRKHFNSTTYSSLEQSIIESIKDSLRQMSSGESYSGLVSTIRSNSFKLKEGYGNILTS -QKENRQTILEDYVQLKVQLLIEKFSLDDRHFFPTIGIEEKPMISTEIRGNSVNDDFLVPALASLEIRSPI -FFKEVVEPSIPLKKMKLMNSQQLIRMKLIEAADASFNMQIREPYEVFRLVSLNNNSTYYQGNDNICWEET -DHVGLSKRNYNLKQTETVMLLKSFVKPVPLNYETILNAWESVTRCEFPNNVPIEGSVIQILMANAINEKR -QTQVCELLKSFPQHLSEKRTYQDQFTIIVSREILWQQQSVTICFSRNKIDKTNIFTIYWDKLPRYPEKKV -THELLKKLLSDDEIEEWQLSGMRIRNKNKLNPNLFDKLSSPVFIKEGSLGYYKYLEGIPTFFEVHSPRLD -HHSLTSQLNKLNICDYLASILESYAEMGHTVYEGIVDTKPDYLTTHMGNIITFKASVHSAIEYLTDKQKL -PASKLFNQCFDEAIILEVAQGFVLSRPDVEGWIYSKNSCFKKRDSAIVWRIEDIEAPEEEEDESWLN - diff --git a/seq/clusters_seq/cluster_653 b/seq/clusters_seq/cluster_653 deleted file mode 100644 index 693ccd5..0000000 --- a/seq/clusters_seq/cluster_653 +++ /dev/null @@ -1,66 +0,0 @@ ->YP_010086257.1 nucleoprotein [Veterinary Pathology Zurich virus 1] -MSQIKDLTYESVKEIHSKYGIEENVIDELKQMWDKVTDDIIISCDNINEHFRGGGTAQAVASELKEINKS -IRDIHNPEFIEDPDQVPVVITTHSMTLKELLELKGDVETMKKKTNNNLSQRTGTKEGWEKFVRESIGDLI -LFTQTGYLDPKFLKKQGTGTLAGFLANYHGMTNDCKKAAKTFKLCKESIFDYNETENQEILTNQLQVDYL -FILIFCAKKQNMDLEALLELSGRCKLIFNKLPFTQKVLTQLSKSAKYSILREIENNLVLHDSPFRLNRQR -FQSAISALTGCVSDRMVSSSKADLFLKDLLYCKHKDGITVNASEGSTTTYELLLHSILTTPTINAKIKNR -TNVRRNGLNTVRFIEDPIKLTMPLPNQEDSITQPTSKNVKTYFYDDAPDVVGPFHKPDVQSLRLTPKAEK -LMTFIDIEGSSSEPNEVAISTFFIVEGRCWLREAVFFSSNAGKDYLQNAQYCNGLNLDALEVSGLCSRDI -FQEASKELSQFSKVYYYGDDVKTFLKLIGFKGNATELKLPNWNEREKANYIAKKSSRICTKSSFHSIKLK -AKEQDIKLKQLPHCAAEDNQRMYNYLTSK - ->YP_010086254.1 nucleoprotein [Old schoolhouse virus 1] -MAVQKDLIYESIKELHGEFGIKESVTDELKKIWDNLDGELIQKCNLINSHFKSGGDSQGISEELLEVNKR -IRNIHSDKFVESSEQIPVVVTTENMSLNDLIELKNDVDTIRKKNQSTISGAGSGTVEGWNKFIESSAEDL -ILFIHTGFLDEKFLRKQGSGKLAGYIAKQHGMTKECKKAAIEFKTVKEDIFDYEDSTATEILTNQLQVDY -LFLIVFICKKQSMTFNEMLELCTRCKMLFNKLPFTKKVYSQLLKSSKYPALREIEDNLKLYDSPFRTNRA -RFQSAVSAITGCVSDRMISSELPSKLLSTLIKLKHRDGVTVNTEIGSTSTYELLIHSTLTTPSVNSKLRN -RTNVRRNGLNTVKFIQSEEISVNKHNRQNPPSTKNVKERKIKSPKSEQHLSFANEKEKAVTGATKISTFV -DIEGSASEPNEVAITSFFTREGNYWMREAVFFSSQAGKDYLQQAQYCNGINLEAINELGLNSRDIFFDAQ -SELDNFKSVYFFGSDINTFLNLCSYKGNRIEINLPEWRERKEQGYICSVGSIVCNKSVIHNLRLKAKPNE -MELKQLPHCASEDNMRLHNFVVNSKPSQTEL - ->YP_010086252.1 nucleoprotein [Dante Muikkunen virus 1] -MSLSKDLTYESVKELHSKYGINEDVVDELKHLWQRVDEELIQSCNTINYHFKNGGDSQSISSELIKTNNE -IRKLHTAGFVESPDQVPVMITTNEMSLQNLIELKTDVEVIKKKTFGGLRTSTGTEEGWKKFIESSVEDLM -LFVQTGFLDEKYLRNHGTGKLAGFMAKQHGMTRDCKQAAKLFKTIKEDVFDFDEQVDQEALTNQLQVDYI -FLIVYVAKKQSMTIESLLEMCERCKLIFNKLPFTNKVLTQLSKSSKYPVLREIESNLKLHDSPFRMNRAR -FQSAISAITGCASDRMISSPDTAKLLSDLLELKRRDGVKVDSSEGTTTTYELILHGVLTTPKINSKLKNR -TNVKRNGLNTVKFCETNSGNDAVCLTDKHSNRSKNIAEFSIKPPVSTQALKTASEQEKKITGATKIITFV -DIEGSASEPNEVAINCFFTRGGHYWMREAVYFSSAAGKDYLQQAQFCHGLNLDAIEESGLQSSNIFKDVK -HELDNFETIHYYGKDIEAFLDLCSYGGRRRELNLPDWKDRAKQGYVLSFPSSVCNKPKFHNIKLRAKEKD -IQLKQLPHCASEDNIRMHNFLVYG - ->YP_009551604.1 nucleoprotein [Wenling frogfish arenavirus 2] -MAGTSDENQLTFEELKQLHGANHVIDDNALVEVKIIFDKLDGAIIKELDDMSKRIAKSELKDYIDDLSDV -NRKIRSLHTSEYVSEGSQEPVQLSINELTAGEVVQLDQDIEDMRKKSNTGRGTGNKDNWISGCEKDLELL -KSTGYFDMSKGNPQSLANYCLEAGRELELNQALEDSLILTDEDKARFVTEKREGLAAANHFQVDPLFLLA -LFMKASQLTWQDCVKCITILKTLLMERPLSLKLKDQLGKKPGGVFSFLNTLYEGKLGLFGSPFIMTRARL -TYCLSVMYGIPSRLAISASRGVPSLIKDMLKIRITKKLIISPDREDSTWYEHGCHRVFTTPDPEDVWKNR -TAVVKNGLSTVVINTKADLRSVKEVAAAPKSSVRRSPENKQTIAKTSSHLPIDTFLVRKTPESQSSGPFW -VIDVEGEAKSPVEICIMKFDPEIGGKGGIKDRFFRQIKSNIGDSSFTHGLNKSKSRDDVDWADDMREFWV -KVHGNVYCKGSRDIKDFLDNLGLSGTILDLEGIHKSWDDINKTQYACILDLESTQVCSRKDIHDKLPQKQ -NGDINKKHLPHCAEVDCLHLICMAMGKVPDEFIKT - ->YP_009551554.1 nucleoprotein [Wenling frogfish arenavirus 1] -MSTNTNNISFSNLIEVFSKNSVVNDSLFEEVVHMVNALKDDPTLMEEIDSLSASIDDPSVDPKVYINRLR -ALNLKVVKAHNPEFVADAEQTPVVLTVNDMSLANLAVFGDDIRIMRKKISGGEGSKAKWETSCEETLGDL -RDSGLIDLSDDPEKKTGRRFTGKQKARSLANYCEKNGKMDELKRLLDDSMFISDEQKVWFTPEDSQEERE -NMVNAFQMDPTLIMAVLIIRSKASPNDVRPQLEQLASAFMKRPLTETWLQSLIKAGKCEILKHVKESTNA -LFTNPFLMTRSRLSYFLSLWKGVPSRLGLSASRGAAYLLNDIVTIRVKMHMKISESRDDTDWYSAVTSRV -LTTPKAEDAWRNRTQVKSNGIWTVKIDFSGVGAGHIINMIEKGEEEQKAQASSGVASVKNYKKSYNAALR -AVPNPDNWKDNMIGYVERNSVFVTQKSEPVMSMDIEGTWDNPREICCLVFDPEPQGKGGIIDCILWHFPE -NMDGNDWCHGLDGKGSDYKQAPEDWKEQLKTFMEAHGSEPICLSGEDVAQVMKSCDIAGVIQEIEPMSPT -WEKRSQGPEKAILSLDSCGTCSKTKNHNKRCKGADKRKHTPHCAEIDSWANIVIAMGKIPAEFS - ->YP_009666124.1 NP [Haartman Institute snake virus] -MSLNKDLAYESVKELHGKYGIEEDVVDELRHIWDGLTEELIQSCNSINHHFRDGGEAQSIGSELVRVNGE -IRKLHTAKFIEPSDQSPVVISTQDISLMNLIELKDDVRIIKEKTQTNQTGGTGTEEGWKKFIESSVEDLV -IFTQTGYLDEKYLRKQGSSKLAGFMARQHGMTKECKHAAKFFRTVKEEVFDFEETSDQEVLTNQLQVDYL -FLIVYAARKQSMSIEALLELSQRCKLIFNRLPFTHKVQSQLSKSSKYPILREIETNLSLHDSPFRMNRAR -FQSSISAITGCTSDRMISSQNIPQLLSDLLDLKHRDNVTVTSTVGSTNTYELLLHSILTTPNVNSKLRNR -TNVKRNGLNTVKFIEGTTNSDEAKSSPNTEEKNIKTFKINEPKNLQLMRNANNLEREVTKGHRLIVFVDI -EGSSSEPNEVAIGCFFQKNDEFWMREVIFYSSTPGKDYIQQAQYCNGLNLDAIENSGKQSSNIFKDAKSE -LDIYSAVYFYGKDVEKFLELCSFKGAKHEVILPEWKDRAAQGYRLIFSSKLCNKNEFHNIKLKAKEKDVQ -LKQLPHCASEDNIRMFNYIMNN - diff --git a/seq/clusters_seq/cluster_654 b/seq/clusters_seq/cluster_654 deleted file mode 100644 index 9fb23ea..0000000 --- a/seq/clusters_seq/cluster_654 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_010086138.1 nonstructural protein [Zaliv Terpeniya virus] -MSYYIISEKDLPQGYSLCPINKFYNTLWDMMDDGLFPKIIPLKSSIPGVDLPSTGWLEVEEGLYDSIIHG -LYSVDPIVEQRIVSAVGWPLEKKRALILNFFRYLKLDMVWTQTLRNAFLTIVSIALFGDQNPKLRRKQKS -RLTPGVMLFLLAQKLRSKIRKMNLSERQVTGKNLVKDLCLIHSLNLQKRLVLRGTMVDKRFFTHFEQSPP -SSKVDKHGSKNKHLKFLFDSDLDNLKVHPKFVNLEEHWEAFESVRERLLDTSFEKDWPVVGRL - ->YP_010086153.1 nonstructural protein [Rukutama virus] -MHELTISNTGPFEGFTIWRGPAIYPSLWDMLDMKVLPASIGITGFTTGVIMPPSQVPLVQDWIVFRLATA -DWKRPQCLDRELLRCMGWPYSTPNTHHLRLIKYNEEGWELDWNQVKPVLFTVAFGQVDKKARKRLYKTID -QNTLLKALFDKIRKRIDKSGLSEMQINGFSLFDDISTLMLMDLQERYRRMSKLSLKRYLTLCRVKERDKR -IPKRSKTRFLWLQFHSDLENMKKPPNEVDLTEHYREWEDLRTASLNPAWGCDWPSL - ->YP_010086133.1 non-structural protein [Murre virus] -MPDIRISEEDLPPGFVPIIGIDLVETIWELIDEKMFPANIYVDDIQECISYGSMGWFLIDNQIYQLLCSA -YWESDYGERDPLISCAGWPLERPRPLIVNLYRCYISRFISSARLLKMLKTAMGIILFGDQNARKRRKLMS -RISLGQAVFQTYMAISKKMSKMRLNREAISGRNIISDICLMHFLDLQARYVRREKLSIKWSCQTFVTHQD -PEEKARKKKKRNQLFLPFLCDAQNLKRSPERVDFTEHLIQSEHLRTIWLDVSWTKDWPVVGTQ - ->YP_010086113.1 nonstructural protein [Precarious point virus] -MDYIKIKKEDLPPGVSPEPSLWQSKTIWQMMDSGRFPKVFHLCGVGFSVMENSLAWLILDEGIFTYLKDG -LWYKQMVGEDPFLDCVGWPLERPRPLILNMYRYYKHGLVPQDKLAAMMKTIIGIILFGDQNPKKRKKEMK -KISMGTAIFRTYQALRRQLERQDLCEDSVTGKNLLTDIVLVHALNMQDRFLKRSTVILKRIILAKKHGGA -EGKTNKKEKKLKKGQLKFPFESDKENLLLPPHLVDLSEHFKAFPEARAQMLSTSWGPDWPAIQSL - ->YP_010086111.1 non-structural protein [Grand Arbaud virus] -MASYQIHEEDLPFGYSLSRPGMEYPTLWDMMEEGYFPRWISLDMPIFGVTNSSLGWLDVDEGLHSIILSG -SINFDDDVRKRILPGIGWPLERCRPLIMNFFMYLRNDALWVVTLERMFLTIASIICFGDQKPKRRRKLKS -RVSTGKMIYNVAVKVYRKARKMGLGTNQVTGKNLIKDVCLLHFLDLQERLYRRGSLQDKRIWVWFDTAET -VVLNKERRHKKKHLDFPFQSDVENLKRHPGKVDIQEHWNAFPGLVERLLDVSFTKDWPAITKL - ->NP_941981.1 nonstructural protein [Uukuniemi virus] -MSYFTIQNEDLPQGFTFRPHDKIYDSLWEMMDDGYFPSTIPLKTTINGVDMPSVGWLEVDEGLYDILIDG -LDVLRPTDEEMIVSATGWPLEKNRALILNFFRNLRMDIIGTYTLQRSFITIMSIVLFGDQNPRLRRKKRS -RVSLGKMLFDLALRMRSKIRRMKLTEVQVTGQNLVKDLCLLHILDLQKRLVTRGTIAEKRFFTAIEQAPC -NYEPKRYGMKKKHMNFMFESDRKNLTVHPTLVNLEEHWITFESARERLLDTTFTKDWPVVGSL - diff --git a/seq/clusters_seq/cluster_655 b/seq/clusters_seq/cluster_655 deleted file mode 100644 index f796739..0000000 --- a/seq/clusters_seq/cluster_655 +++ /dev/null @@ -1,217 +0,0 @@ ->YP_009664793.1 polyprotein, partial [Pineapple mealybug wilt-associated virus 2] -QVACRYDLVARTDASLLDVSRFRLYHLRVSPSALVVVYSCTGVATNNTSSKAPPVKIERRESPRVAVPAA -DQQAIADARWKKYEVMDGVDARACPATLTTRGPVDKLTGLVITNVKLYSWIRMYSHYLKRYTWLYFRPGG -CVQMQFDRRYPTVADFVSSAVRDDAPALPKLRFYCPFLHRSVLSSRGWCWLTIPGVQKALRHCETFPSIV -SISYLRKLGCGRFKVMPTGDPKVYHFSNNNGILIDSLSYHLRVAGERGRVGTELVASIGKALNQEEVYAG -VVSSITQKLMLKDQSACVNHIDTQLYKIFKNRDEVLGKKRVCYISSHLPQEGKDLLRESFPELNLVFFDS -VHNEHPMCNSVRYCFNVLFASAYKNVKFVDVGGSESYHVNMGNVNAHVCNPMVDWKDGGRRVRESMYLSD -DGYKVCNVESLYKEVAAAKVTSCGGRFEDCGVSADAGFFVDVYDMSLQQLADGMEKKNMRTFSLALMYPV -ELLAADGEIYLETLDVLVKREGDCVRYSVGVTGESYTHSYRCISAYFTAPAVVARGGTVFKVQYEGYRLG -YHHISLCLSDSSSASVSVQRHIRTSFHGKSIVMIPRLNNGVISFERMVYDTDFVDRIYAYSLNTIANLEN -RTFEYAVGNVRAQKTHVITGTRVVHSRVDITSNDLWGLVVAVSTQAVKDRRKSLDTYSLVKAWDGNFLSV -INVMVRHLLRYSTTLFRGLMWSSLALNFDTFRSLVAVPENYIYRVPKSVCLNLRSVLPLVTLNFDCAAAE -TRQRLLQDIRSKRYKYVSKVLVKDAISLMKKRRCSDGEDRDITEEDVVSFIMSNMHNLHLGFGGLRGGAR -IPIPTVGLASVLSNASSSTTSRVALKVLASAVRSVPRVATVGVSAATSAVTICYKCWDNIKQYSDHACSF -LGDVGRRCAMTMGDCWESDAQSWTVDKHTSWSEWVFLALDKARQFAIGTFKSLPSIVEESFRDGVRCFDS -TWKSGQITNSHLCATVGAIGLTTAMYVCYKKRSEIRAYASTVVTTVNPHLSNAAARLLSWYDGVKTVLCS -DAFLGWLYTRYNCLAQLIRKTHIEGRCFRYGAVALSSYIVMRFGCYQAVYICAGPQAKVAMALATLLGLI -KGVVPWTVRNVVVNGVVSLLGLYYGTLPETSPGVIIVRPVNTCNVYEACEAYFDPSRDSLTRNDESNSDD -DASSSSGVALDSVKSLDDSTIQRIMYRTKESQPLQSGIPTCSYAPVTEPAVHTGPEIVELGPSPLDKGKA -IERVNTHSSSEIVELEPLPLVKGKAVECVNMPHIPISGAVDTNQASSSCTAPPAEFIPTKPRTNRALSDS -VVPSKSMSTTLSMMRDSSRFSRNKWLLGRDPVIALDEQSEPVIVGDVGAFGEEAPVVEYILYVATGLFRL -YRSLVNAARLRIVNDQKRVVFPAVIHESCPGISEVSPTHIIFSKSQGEDVEIDSLRYVFSVNRKRFETVA -ALKMADAATERYLVCDEMVPFHNALNLVAAIHLCAAGKVGKNFSKVTKVCVNAPPGGGKTTKLIQEYFKD -RSGSCIMTANRGSAIDINDTIESIDAANASKAASNNVSGVESIDNYVCARTVNSQIMNCKGVMNYTCALV -DEMYLMHKGLLMLGVFSSGARRAIFYGDINQIPFINREKCFYSKEGVYCPGKDEIIYTSESYRCPADVCM -WSSSLKAQAGSNRYLKGVSCNQREVVLRSLSKRPVVYAEQVIQLEADAYITFKQECKEKVVRALRAVGRR -DKVFTSHEAQGMTFGRVVLCRLSATDDSVFSSEPHILVALSRHTQSCVYATLSSKLADKVGAAIDSVTRK -EVSDTVLKTFVASALFRAD - ->YP_009241366.1 polyprotein 1a [Grapevine leafroll-associated virus 13] -MAFSITFGSMAPVTVNNTTFKPFIAKATEVAKVLPAPQSCISKANSQSSSVSPKTNSGVKPAFQEVKQGK -TLKQSWVRGKRPNVVVGKEEIPQTINPTKPQTVKQGVKVPEFTKVRPFGDLNGIFRSIPLGKGREVNFAA -ISRGETTPFRLTVGNKARNIRNACQAKDMRHFSSALGVSTAQNEVIVKLNGMGNGLNVIIKIFSGRMNVS -MPGVKGVDLEAQTDENVIGSLDGDTLIFKPKNRAVFVIYQGGRVLNSEVVQAANERRRVERVKATMNAFV -AEGGRWKSGAIVLKNGKFFNTFINQPLEVKTTNAWLRVYDIDAEKPIYVYAREGRIFKLCVEGRAPSVID -FIRATQKGHYVELPRTSFFCPATKKMVSTEVGWCWAPLYGMAGVRYSPHAACSWRRAALVHNETGLDIGT -KPTSSKGFFHILRGGPILLSSLKGREMVGGSNSFMASISQALTSEDVFDGLVSSVANKMSLKEGSAVIRE -LDNSVAAILQNKANILSSKPSVTINPSLTTTEKSLLTQHFPELKLEFKDSVFSSHPMCNAVRMCFNALFS -HNYKDIPFVDIGGSISYHIKHGNVNAHCCNPTVDAKDAKRRESELLTLRRESYGDATIQQLSSSVASKTA -TFCMKDTRCCTKKCEAGFMVDVYDLSSYEVARSLAIKGVRTFDIVFMLPVELIARDGSYTIQELNTVVTR -KGDLLTYSVGGTGDSYQHSFQKVFSLLTCPAVSFSDVGAYTTEYMGYRCGYHHIQMSMYSGGEAIRSVTR -RIHTTMYGKTIVKAPFYLRDILIFKEIAVDTEFVQRVYNYALNVTTTFSERTFEYAMSSFRSQKTNIIVG -TRVIHSKVDIEMGDEAGVVLAILIEALRSRRGAMNAYSKIELSTVSVRQFILLLWERLKVMAKKYFLESS -QSYMLQMVPWVEDILAAQTSLFYVVPQYCSLDLSGEITPIRLDYGQALTNSVKEYGKTTKERFNSIITTD -MAREAYKILKEKKRIEAERAEMTPSPVTIDELAEFINENSSDLHATLRRHKPFGGSSKMSALQMAKWLKY -SDGSAIRKGCTAWFAMITPAFLIRPQEEIAEASVNQIGMSRWANLLSKVDVTSSNKRWAATGLVAVTLAT -SAYVHRRKLSEASLRAFRTSQEQTIHGSRRFRGLANAGVAKTIEGLRSVHEDLRVQFTGHLSDQRRHKYI -WGVIGGYICYHKPLMWAGVASVCHPDMILMSIVGGISGALMNASGYSLFLPQWIGNRLGKVSGTSSAVSI -TAMAALYFACRHKRFPQKVTVVKNLAGSNVYEILASVLNSEDNAIKWTNEVGGDEIEVGEDVGASVSLID -GSDEARDDDLKEVEVEREISPSTPSERAHIIETSTPAEVVEQDGEEAEEEENVEVEEAVDAQIEKPKDDG -GDDGGAPSSPGEAGPSSTTREEDGDVQKRDDKPGCEEPSQQASESKDQGHQHAGSGSAGLDKGKARATNT -SVVARRSALKCSCGTSLEIHKTCLPRPNFNFTDKVKGREIVLYTREQEVYTYGPISHTGQSWFEGLDEIL -RKTEGDLSYNQCLVQRYPEGTMIPLHSDNEACYEPDHKVLTVNLTGKALFSVKCNVGGGSATLGNDEWFV -MIQGFQRTHKHSVISRSPQRISLTFRKSIYQGRFTIVKSPIEIKEGAAPATAGKYMTPHKRARSVIAEAV -KANTPEPSGKANSEIIAASAANFSTLKVMQSYNALSPLKWMYDPDPALCIDVSSQCPVTPNLTNTLEEAA -SIEYILYLAYRVYNLYRSLSAAATYAKLDNVVGDKFPKSYLDSTPGLREHSMLNKNLYVVSESVSTIYDL -DYVFSVSRNRFIRGRDINVLCTNNDKFLVCDDLVPFHDALNLKAVISLARTSLIGKNFSSIKMKYVNSPP -GGGKTTRLCDTFMKRKDGVVIATANVGSAEDINKEIAKRASSGKAEKPVDGNEARTANSWIINPMKRGSS -VLGLIDEVYLLHKGQLTFCLAAMRCKEAICYGDVNQIPFSNREKMFVMHYSAIKPNETEIEYTNISYRCP -ADVCYLLSKMVNLDGKPCYPNGVQAFEDRRPLRSMRVIPINGPSEAIREDVDVFLTYTQAEKAELIRERI -SASRNVPVYTIHEAQGKTFDRVALVRLKKADDSVIKGLPHALVGISRHTRSFVYMTYNSRLSDKVGSLCS -DVSDKNVSDVVLQGLQRFDRFRGV - ->YP_008411009.1 polyprotein 1a [Blackberry vein banding-associated virus] -MAANSSVSSSQRYPKAPLDYVAPLRILNFPYGTGNHSVAAVNTSHDGHKVCKERIYSYVPVREMDLKPRS -IALVSVNRVTKGTRLTLKPLCIPGYVRQVALVKGSIRSMQQGMGCTYLRARSPDSFFVDASKETRVFTVE -TTAIVVVHHFRGVRYEGNKRRPFPDERKEKNSRAVNPVAPSSNLPPPSAATPSIMVGQIRVPLSSPAPVK -PPAKVEVGTEKLRPEVIPTSTRKIFDVYMKRGGGFFGEDIFLRDAVPYCRSTRSFVDVKNTYRWTKVWDE -QTGRRGYFYMVGGECVFMSPRSRFPTITEFLFGVGPDDEVQLPDMAFYCPRLRRLVHVSEGWCWYNYPRL -RTRLTSRAQLCSFYTAKEVMDMGLRKVRMVRTAKVGFYHVVSKWELLTLRYPEKIVDLQTCRPVNVLAGD -RVRAGNEVLASVANALNQEEVYSSVVSGIANRLALKDQSSLLAHLDNRLCEMFAQRDALIREKPNHRCDT -MLKPSEKDMIKEAFPELTITFLDSVRSSHPVANAVRGCFNACFSKRCANVKFVDIGGSLTYHVKAGDVNC -HVCNPVLDVKDMKRRISEMLYMTSGAGEVYKSTEIISEAASRSISYCSTPSQECSVRCEGGYMVDVYDLG -FDELAEALDVKGVMIFDLCFMLPVELLAGSGEVYLEELDTSVRRAGDKLIYSVGLCGESYTHEFSRVSSY -LTSAYTRTKSGTVYKVEYEGYRVGYHHLTVSRSQKVATKEVTRRMVVSSFPTKTIVMIPVLEGTYMSFKS -LVLDTDFVDRVYSYALNTVSNFEMRTFEYAVGAVRSQKTHVITGTRVVHSKVEISSDDMWGLVVAIMAQA -VKDRLKSLESFSIIKASNGCLVSTINIIYRRVMKIVTSAMTGYFKGVIRDNFSVLELLVNNPKKLIVRVP -RAMEVEITTVGGVVEVNTANAFSVARSKMHDNFRKGRLRTFSRALVEDTIKVLGRMVDSEGKPVPVTEDS -VYKKIVENLGDLHCTKSGALKAASLVPIRSLRPSGVVLTIHRLLAHGVVVPLRSLLLGLLSVDGVFRRSC -GDSLSRVYNASLDLNDPFSQCYDYHGDYNPQCETVNAPEDNSVQKLCLTAGLVVGGVVVARSAWVLLRKG -FGKKPGCGKSRKSSVGVQKKDNITRGQRMVLASLAVTAIVAVNRMGVQTHSVYPEKTTSYERAPILGGGF -GGVLGCSANSFNPTGARMARCLFKISRVFMESKVWDVFYRDPSTYKTSIQRLLGSVMVKLRKGKAVWFST -YGSIVSTIPVADGKGSNRSNNLKIQMNDPFGVDDGDLTFMDPEVVVEVGSPPVDVLWYVSGGIAAVISLA -VVGGAVYVTFKGLRYIGRRLSKLTPPAEEVKETVRGWSDASLGLAGLLCRESAGVVRATRRCVISVSKTM -AVKAAEGISRNCRTTVGTRQVPSALLYSTLSYWVGGVCAGDLWAHILPRNLMMFVGVAGLARVLLRSGEV -RLLPASVWGVGMPTLVWKGLTRRQVLVQVAISMSLVLRSLFTDPVPQVQVLQTIEGRNVYDEALKYYKDL -APMDEPAAEGFSQEDRSTEKEESIRGSSSLSSLPLSDSELSVDISCMRKTPTVGVDDIIHAVEKGKPEGQ -KESMHLPEAKPASDVKAVSAVEEVLPTTNNTSVEPGHNESESQRTTIDVKQAVSDVTGCGKCDKLEIVRV -EGLTDAYAGGFIFHERLPGRVAVFFSRNGAPYSYNGGSHPSRGWPHVLDEIIVKCGYLPYFDHCLVQQYS -VGGSIGFHADNERCYPPDNPILTVNMRGDADFKTECKDCKVRRTYMVASDDVFVMGQGFQRRNRHAVVSK -TEGRISLTFRSTIPTTLQRVQPKVSSLPGDGVGSSGGAKESETRESRSIAPPAMEQKVLSLRPQKPKSEA -NTRSTRRESTYTVWTEQDFVIKCDWLKADDPILALRPGTPRMAFESVATRTTEDACIEYLKYTAIGIERL -YNAFIAVSKIAVRDAKGVLKFPAQAYEQLPGLRAYKRHDEYVYHPTEDGLRLCDIPYVFLMEKGIFCKGQ -SLCGGMHGDETALLCDSLLVFHDALNFCGCLKIARLGLVGKEFKSFNYTCVNAPPGGGKTTMMVEKFLEQ -PRTTTMVTANLGSADDINKKLDKKLEELGLDRAATTINSKVVNFSLRLMYDRILVDEVYMMHEGLLQFGC -FASGAKEGIFYGDVHQIPFINREKIFRCQHSFLKPEKHLIKYTKETYRCPLDVCFMLSNLKDSKGEKCYS -TGVVSGLKTPVVRSFEKRPIGSVADVAKIEADVYLCQTQAEKGEMKRELNSLGRVEPVMTVHEAQGKTFE -KVVLFRTKRADDSVFNSQPHALVALSRHTSSLVYAALSSKLSDRVGSMIDSTASSTVSNALLHTFAPSGC -FRDV - ->YP_004940641.1 MET/HEL gene product [Grapevine leafroll-associated virus 1] -MAVKSIFAQEDLISIQKDFRFPFVSSSTSLFLCNLPSPPIIFQKGSRFIAPTRSSNGFLRKAIDYAVCCK -NYGRSLKFDATPVQSTHHIIVKAVFRNTYYRAASGKWVTLRAGTASGTVRLVRPAVVELESDHAGMFSVF -FVRKQLSEAPEKAKSPQCVIDRKPAQSKIPVPTPIKAKQVAAAVPGLNGNNAQSHARFGKFFNEGGSFQR -PAFLLRSGRLWDIQGQCFFTPKFCHKWLLTRDWSSGKRYVFCFVEGAVIAVPLEVIRYDMRVLWRRLPVG -VYVALPHLLFRCEDTGELFYGDKYWCWLQLAVLNGNNLLAGSFESCISVRKLKRMLRFNVKLEKTDEANI -FHVGNKPTVSLAEVDDRCFVGMAAKGGQQSLVASVSNALNQEDLFEGIVSTIANRLVLKEGSTLVTHLDE -KISELFMMKEDTLGKKNKCVVTVALSAVAKESLTRAFPELFITFLDSVSSSHSLCNAVRSCFNSLYASKY -RGVPFVDIGGSVAYHVRNGDKDCHCCNPVLDYKDCRRREEECLRLATAEEKVMTVESVLKKEAAKNITYC -QMDTRFCDHKAPVGFMVDVYDLDVFELAQALEKKDIKIFELCLMFPIELTARDGSLTIPELGVEVVRKGD -VIMYTVGGVGDAYTHSVQKIISFFGSNVVQLPSGSAFSVEYVGYRLGYHQFSLCVIDTAHASYNLTRKVS -TTFKGHSLVMIPEIADGFLSFRQMYLDSDFVDRVYSYLLNTTSAFVDRTFEYAVSCARSQKTHVIVGTRV -VHDKVELSPEEQWGLVVALMIQAITDRKKAHVAHHSIEALRGNLWNCIVLIVRKLCSSFFSGMNEYALTM -LQALGSNLDVLMDQNFRFVRTVPATVTLHLVAETNPCFTDRSMLLDEGLMAYRLHLRNGTNIKVAKDLVR -EAHKILRSMRAAEKAKAESKGLEVDEREITEDDILTFIQTKNLHVGLKGGVRSRIINQGVKSYVNSAAST -FTSSGNPASWAKSFAELKVAENGYTVGKIFSKSPANGVVKLPIAATVASLVMKDDGGLGEKIEVGQPAWV -SLLASSLRALSERAAYVLRSKSSLLMFGGGLLLMASMLAFRRQILNRAHGLAQGVRTSLKKTKGKLVLGL -SDLKERLGSVRRNAVVSAQGSVRSLKVVARAEFKDRVSGYVEEMGYIYGDSLNVQTVCLTAAAYLSSSYE -KSAILILLAPKRFRYIGSVGYGIAALRCIKGGEYGRASKLMGVAACLALTAKKGASRAASLQIVFPKRGD -VYEGVTSFYRQRAERKGAAIEPSRRSEGEAMDDILDEATCGTSSEVEQEKEDLTSKTEDSTDASDDESVE -SSQHTAQSHFVTTSVNESKHESGILADGKVESRKEEEPRRIVDKGKKVVDYDEELNMRKEKCRLIIEKRR -EEHGGLIPVVFKEPKCEGKDDESEEESEGYLCLSSTKVERGDASPSGCKSADCKSISEESPERKTKSSAI -IEDVTPVKVEVSAPRNVQTAMEREKFKGARKLVSSDIFVDKLRGRDVAFYSKYSRRYVYNGGSHASQGWN -KALDELREELKLDESYDHCLIQKYRKGATIGFHADDEKCYTSGVSVVTVNLNGQARFRVRSNKTGEIVEH -LLGDGDVFVMSPGMQRDFKHSVESLDEGRVSITLRNATVDYCKREATEGKRVKGEVTPQSRVSVNDGKTS -KEAKGLLGPVPSSGVSSSSGESVATVRQSASKSTIGTAVEMGCIDKDSWVLGEDPVLGIQSFNDVVYCKD -LKTAGTEAPAIEFIMYLARRCFDLFIKLKRARDLVAKTDKRVGHKFPLAVYENLPGLRVYDANFKVVANA -PEDGTTIFDLEYVFLVSTGTFVPGRNLEAVLSRQDAVLVCDDLLVFHDAMNLRGCVRLAKRALVGEYMKN -VRLSAVNSPPGGGKTTRLVDEYFGRKKRAKIATANTGSAADINSAIRAREGKKETDLVAKTANSWIVNSR -PRPNSHVGLIDEVYMLHKGMFQLTVVAMGVKEVIAYGDKNQIPFINREKTFVTPNEAVEFAEELIEYTDI -SYRCPADVCYVLSSMTDMRGAKLYPNGVFPNGDTRPLRSFEKVPIATPEDALLYEADVYLTMTQNEKAEM -QRVVAKMEIAAGKKRPDVLTTHEAQGKTYGDVVLVRLKKADDPIFSRKPHIVVALSRHTRSMKYAVLSSK -MSDTISKLIDGTYAGKVSDVLLQQLQRNDRFRVD - ->NP_813795.3 polyprotein [Grapevine leafroll-associated virus 3] -MDYIRPLRVFSFPHVNNTLEYVRYNKANGDVGAFLTTMKFIGNVKLSDFTPRCAAMIYIGKLTKGVKRTF -VPPPVKGFARQYAVVSGSVSALRGDGKKVLMEARTSTSATSDVSDFDVVFEAVSNALLVVHYHRVVPYAP -VKREQPKPAVKQDEQKPKRQASHWAVKPTAVGVHVPLPKKQEALEPAQSVPQQSLEEKAALTFGLFFSKG -GGDESDAVILRKGKLFNRALNVPIDVKNTFVWAKIWDEASRRRGYFYVKDRAVKFFPIVRGRATIEDFIV -NTAPGCDVALPRIELWSMRERAFVCTTKGWCWFNNERLRGEIYRRRCFSSSFSIGFLMHLGFRSLKVIRF -AGTNILHMPSLNEERTFGWKGGDVYLPNVPKTAIVAGDRTRLGGEILASVANALNQEEVYSSVVSSITNR -LVLRDQSALLSHLDTKLCDMFSQRDAMIREKPSHRCDVFLKPREREKLRELFPELSIQFSDSVRSSHPFA -NAMRSCFNGIFSRRCGNVCFFDIGGSFTYHVKAGHVNCHVCNPVLDVKDVKRRINEILFLSTAGGDSYVS -SDLLTEAASKSVSYCSRESQNCDSRADAGFMVDVYDISPQQVAEAMDKKGALVFDIALMFPVELLYGNGE -VYLEELDTLVKREGDYLAYNVGQCGEMYEHSFSNVSGFFTFSYVRTSSGNVFKLEYEGYRCGYHHLTMCR -AQKSPGTEVTYRSLVPSFVGKSLVFIPVVAGSSVSFKTIVLDSDFVDRIYSYALNTIGTFENRTFEYAVG -AVRSQKTHVITGSRVVHSKVDISPDDMWGLVVAVMAQAIKDRAKSIRSYNFIKASEGSLAGVFKLFFQTV -GDCFSNAVSVYAKAMVHDNFNVLETLMSMPRAFIRKVPGSVVVTICTSGASDRLELRGAFDISKETFGRK -LKNSRLRVFSRAIVEDSIKVMKAMKTEDGKPLPITEDSVYAFIMGNVSNVHCTRAGLLGGSKATVVSSVS -KGLVARGAATKAFSGITSFFSTGSLFYDRGLTEDERLDALVRTENAINSPVGILETSRVAVSKVVAGTKE -FWSEVSLNDFTTFVLRNKVLIGIFVASLGAAPIAWKYRRGIAANARRYAGSSYETLSSLSSQAAGGLRGL -TSSTVSGGSLVVRRGFSSAVTVTRATVAKRQVPLALLSFSTSYAISGCSMLGIWAHALPRHLMFFFGLGT -LLGARASANTWKFGGFSNNWCAVPEVVWRGKSVSSLLLPITLGVSLIIRGLLNDTIPQLAYVPPVEGRNV -YDETLRYYRDFDYDEGAGPSGTQHEAVPGDDNDGSTSSVSSYDVVTNVRDVGISTNGEVTGEEETHSPRS -VQYTYVEEEVAPSAAVAERQGDPSGSGTADAMAFVESVKKGVDDVFHQQSSGETAREVEVDGKGLLPESV -VGEAPTQERGRAADGNTAQTAVNEGDREPVQSSLVSSPQADIPKVTQSEVHAQKEVKQEVPLATVSGATP -IVDEKPAPSVTTRGVKIIDKGKAVAHVAEKKQVQVEQPKQRSLTINEGKAGKQLCMFRTCSCGVQLDVYN -EATIATRFSNAFTFVDNLKGRSAVFFSKLGEGYTYNGGSHVSSGWPRALEDILTAIKYPSVFDHCLVQKY -KMGGGVPFHADDEECYPSDNPILTVNLVGKANFSTKCRKGGKVMVINVASGDYFLMPCGFQRTHLHSVNS -IDEGRISLTFRATRRVFGVGRMLQLAGGVSDEKSPGVPNQQPQSQGATRTITPKSGGKALSEGSGREVKG -RSTYSIWCEQDYVRKCEWLRADNPVMALEPDYTPMTFEVVKTGTSEDAVVEYLKYLAIGIERTYRALLMA -RNIAVTTAEGVLKVPNQVYESLPGFHVYKSGTDLIFHSTQDGLRVRDLPYVLIAEKGIFTKGKDVDAVVA -LGDNLFVCDDILVFHDAINLIGALKVARCGMVGESFKSFEYKCYNAPPGGGKTTTLVDEFVKSPNSTATI -TANVGSSEDINMAVKKRDPNLEGLNSATTVNSRVVNFIVRGMYKRVLVDEVHMMHQGLLQLGVFATGASE -GLFFGDINQIPFINREKVFRMDCAVFVPKKESVVYTSKSYRCPLDVCYLLSSMTVRGTEKCYPEKVVSGK -DKPVVRSLSKRPIGTTDDVAEINADVYLCMTQLEKSDMKRSLKGKGKETPVMTVHEAQGKTFSDVVLFRT -KKADDSLFTKQPHILVGLSRHTRSLVYAALSSKLDDKVGTYISDASPQSVSDALLHTFAPAGCFEVYERM -NFGPTFEGELVRKIPTSHFVAVNGFLEDLLDGCPAFDYDFFEDDFETSDQSFLIEDVRISESFSHFTSKI -EDRFYSFIRSSVGLPKRNTLKCNLVTFENRNFNADRGCNVGCDDSVAHELKEIFFEEVVNKARLAEVTES -HLSSNTMLLSDWLDKRAPNAYKSLKRALGSFVFHPSMLTSYTLMVKADVKPKLDNTPLSKYVTGQNIVYH -DRCVTALFSCIFTACVERLKYVVDERWLFYHGMDTAELAAALRNNLGDIRQYYTYELDISKYDKSQSALM -KQVEELILLTLGVDREVLSTFFCGEYDSVVRTMTKELVLSVGSQRRSGGANTWLGNSLVLCTLLSVVLRG -LDYSYIVVSGDDSLIFSRQPLDIDTSVLSDNFGFDVKIFNQAAPYFCSKFLVQVEDSLFFVPDPLKLFVK -FGASKTSDIDLLHEIFQSFVDLSKGFNREDVIQELAKLVTRKYKHSGWTYSALCVLHVLSANFSQFCRLY -YHNSVNLDVRPIQRTESLSLLALKARILRWKASRFAFSIKRG - ->AOS89854.1 polyprotein [Grapevine leafroll-associated virus 3] -MDYVRPLRVFSFPHVNNTLEYIRYNKANSDVGAFLTAMKFAGNVKLSDFTPKSAALIYIGKLTKGVKRTF -VPPPVKGFARQYAVVSGTVSALRGDGKKVLMEARTSTSAVSDASSYDAVFEAVTHALIVVHYHRVVPFTP -VKREQPKPAVKQDEQKPKRQASQWAVKPTAVGVHVPLPNKQEAPKPAQSVPQQSLEEKATLTFGLFFSKG -GGDESDAVILRKGKLFNRALNVPINVKNTFVWAKIWDEASRRRGYFYVKDSIVKFFPIVRGRATIEDFIV -NTAPGCDVALPRIELWSMRERAFVSTTKGWCWFNNERLRGEIYRRRCFSSSFSIGFLMHLGFRSLKVIRF -AGTNILHIPSLNEERTFGWKGGDVYLPNVPKTAIVAGDRTRLGGEILASVANALNQEEVYSSVVSSITNR -LVLRDQSALLSHLDTKLCDMFSQRDAMIREKPSHRCDVFLKPQEKEKLRELFPELSIQFSDSVRSSHPFA -NAMRSCFNGIFSRRCGSVCFFDIGGSFTYHVKAGHVNCHVCNPVLDVKDVKRRINEILFLSTAGGDSYVS -SDLLTEAASKSVSYCSRESQNCDSRADAGFMVDVYDISPQQVAEAMDKKGALVFDIALMFPVELLYGNGE -VYLEELDTLVKREGDYLAYNVGQCGEMYEHSFSNVSGFFTFSYVRTSSGNVFKLEYEGYRCGYHHLTMCR -AQKSPGTEVTYRSLVPSFVGKSLVFIPVVAGSSVSFKTIVLDSDFVDRIYSYALNTIGTFENRTFEYAVG -AVRSQKTHVITGSRVVHSKVDISPDDMWGLVVAVMAQAIKDRAKSIRSYNFIKASEGSLAGVFKLFFQTV -GDCFSNAVSVYAKAMVHDNFNVLETLMSMPRAFIRKVPGSVVVTICTSGASDRLELRGAFDISKETFGRK -LKNSRLRVFSRAIVEDSIKVMKAMKTEDGKPLPITEDSVYAFIMGNVSNVHCTRAGLLGGSKATVVSSVS -KGLVARGAATKAFSGITSFFSTGSLFYDRGLTEDERLDALVRTENAINSPVGLLETSRVAVSKVVAGSKE -FWSEVSLNDFTTFVLQNKVLIGIFVASLGAAPVAWKYRRGIAAYARRYAGSSYETLSSLSSQAAGGLRGI -TASTVSGGSFVVRRGFSSAVAVTRATVAKRQVPLALLSFSTSYAISGCSMLGIWAHALPRHLMFFFGLGT -LLGARASANTWKFGGFSNNWCAVPEVVWRGRSISSLLLPITLGASLVIRGLLNDTIPQLAYVPPVEGRNV -YDETLRYYRDFDYDEGAGPSGTRHDTVPGDDSDGSSSGISSYDGVTNVCDVGISTNGEVVGEEETHSPRS -VLYTYVEEEVAPSAAVVERQSDPSSSNTADAMAFVESVQKGVDDVFHQQSSGETVREVEADGKGLLPESV -VGEAPTQERGRAADGNTAQTAVNEGDREPVQSSLVSLPQADIPKVTQSEVHAQKEVKQEVPLATVSGATP -IVDEKPAPSVTTRGVKIIDKGKAVAHVAEKKQVQVEQPKQRSLTINEGKAGKQLCMFRTCSCGVQLDVYN -EATIATRFSNAFTFVDSLKGRSAVFFSKLGEGYTYNGGSHVSSGWPRVLEDILTAIKYPSVFDHCLVQKY -KMGGGVPFHADDEECYPSDNPILTVNLVGKANFSIKCRKGGKVMVINVASGDYFLMPCGFQRTHLHSVSS -IDEGRISLTFRATRRVFGVGRILQLAGGVSDERSPSVPNQQPQNQGTTGTVAQKSRGKALSEGSGREVKG -RSTYSIWCEQDYVKKCEWLRADNPVMALKPDYTPMTFEVVKTGTSEDAVVEYLKYLAIGIERTYRALLMA -RNIAVATAEGVLKVPNQVYESLPGFHVYKSGSDLIFHSTQDGLRVRDLPYVFIAEKGIFIKGKDVDTVVA -LGDNLFVCDDILVFHDAINLMGALKVARCGMVGESFKSFEYKCYNAPPGGGKTTMLVDEFVKSPNSTATI -TANVGSSEDINMAVKKRDPNLEGLNSATTVNSRVVNFIVRGMYKRVLVDEVYMMHQGLLQLGVFATGASE -GLFFGDINQIPFINREKVFRMDCAVFVPKKESVVYTSKSYRCPLDVCYLLSSMTVRGTEKCYPEKVVSGK -DKPVVRSLSKRPIGTTDDVAEVNADVYLCMTQLEKSDMKRSLKGKGKETPVMTVHEAQGKTFGDVVLFRT -KKADDSLFTKQPHILVGLSRHTRSLVYAALSSKLDDKVGTYVSDVSPQSVSDALLHTFAPAGCFRGIWRM -NFGPTFEGELVRKIPTSHFVAVNGFLEDLLDGCPAFDYDLFEDDFETSDQSFLIEDVRISDSFSHFTSKI -EDRFFSFIRSSVGLPKRNTLKCNLVTFENRNFNADRGCNVGCDDSVAHELKEIFFEEVVNKARLAEVTES -HLSSNATLLSDWLDKRAPNAYKSLKRALGSFVFHPSMLTSYTLMVKADVKPKLDNTPLSKYVTGQNIVYH -DRCVTALFSCIFTACVERLKYVVDERWLFYHGMDTAELAVALRNNLGDIRQYYTYELDISKYDKSQSALM -KQVEELILLTLGVDREVLSTFFCGEYDSIVRTMTKELVLSVGSQRRSGGANTWLGNSLVLCTLLSVVLRG -LDYSYIVVSGDDSLIFSRQPLDIDTSVLSENFGFDVKIFNQAAPYFCSKFLVQVEDSLFFVPDPLKLFVK -FGASKTSDIDLLHEIFQSFVDLSKGFNREDVIQELAKLVTRKYKHSGWTYSALCVLHVLSANFSQFCRLY -YHNSVNLDVRPIQRTESLSLLALKARILRWKASRYAFSIKRGLSR diff --git a/seq/clusters_seq/cluster_656 b/seq/clusters_seq/cluster_656 deleted file mode 100644 index f8cfb4c..0000000 --- a/seq/clusters_seq/cluster_656 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009509086.1 NIa-Pr protein [Tomato mild mottle virus] -SGVNEADVFLASRLVRLNAPIGYVHGFMHGKFCVAPRHFVKFCQTGDEPCEVVTKAGVYTVPHVFKKSII -NIDNLDLIVMKMPDDFMTFKIKKVLRKPVVEEEVYFLGLIRDSSGLKAKRSGLSRAYPFEGCGKGLWAYD -FNSNHGDCGGIVVAVKDRKVVGFHNGICKEWGVYKNGVFVPVTQSLIDSVGIDVNGDQWKFDDRVVMWSQ -LKPPSNCFPVTKSISPIEVH - ->YP_007032444.1 NIa-Pro [Cassava brown streak virus] -VAKPEVTNPYEHVLVRLGRAHLGTRVLNCFFHGSKCVIPYHLAENGDLSESLIISTTRGQFDFGPLKNIK -CKKIKDYDITVCPLPRDVQPFRAKIVFREPKLGEEVVVVYFARIDGRIVMKVSEKSNTYRAGGLFTHLWT -YQHDGNPGDCGGPIVATSDLKVIGFHSGVVRNGAGEKLRAVYTPVNQELISSLSSEVQMTDFWTFNPDLV -EWNSVARVSTFFPMSKAINTITVQ - ->YP_004063981.1 NIa-Pro [Ugandan cassava brown streak virus] -VAKPELTNPYDHILVRLGRARLGTRVLNCFFHGSKCVIPYHLAEKGDREESLVIATTRGQFDFGPMKNIK -CRKITDYDITICPLPNDVQPFRSKIVMREPKLGEEVVIVCFTRINGKIVMKVSDKSTTYPAGGQFAHLWA -YKYDGQPGDCGGPIVATVDQKVVGFHSGVIRNSREEKLRAVYTPVNQELLNCISGDIQMTDFWTFNPDLV -EWNSVARVSTFFPMTKAINTITVQ - ->YP_001788999.1 NIa [Squash vein yellowing virus] -VGFPTTAAPYAHLFHRLGRANYNGLALNTVFHGNKCIIPYHLGKNGSSDKHVIITTPRGQFDFGPFSNLR -CKKLGDFDIVIINNPRDLQPFKESSIFRMPKMDEEVVPIALRGEKGKLIARVGEASKTYRAGAEYSHLWV -YFYPANAGDCGSAIVARADNKIVGFHSGIVRDDKGVYLRSVYTPVSELLLQALKEKCDDDFWTFDHRNIS -WNALVKSSTLFPITKEIQEIEVQ - ->YP_308884.1 NIa-Pro protein [Cucumber vein yellowing virus] -VAETAIASKFAHIFQRLGRLGFSGRGLNCVFHGDKSIMPCHLASGGDPGDSLIVTTSRGQFDMGPMEMIK -CKKITDFDLVVGQLPKDMQPFKSTNIMRKPKMDEDVVIITLKRDKGKMLIRTSDTSKIYKADDKYAHLWV -HFIKSESGDCGSPIVALSDNKIVGFHSGMIKDKLGVFLRSVFTPVNDELLRTLNEKSEMNDFWKFNQDAI -SWNAVIKTSSLFPVMKDILGVHLQ - ->NP_734291.1 NIa-Pro protein, partial [Sweet potato mild mottle virus] -DKHIASRLAIVRTHKGETHGIFHGDKLITPFHTFKNACGNDTLTVQSLRGLYDYGILSRQKMEQVPKQDI -MVLVNPIDVTPFKQSQIFRPPIQCEVAYMIVCRRTPNGLRFEKTQETEIFPLGKQYGGVWKHGCDTRLGD -CGGPIIACRDRKIVGFNGGRLMQMKYNTVLAHIFEPVNETFIEMLAKMEYAKGFWKFNPELVEWSRLLPT -TTSFPIQ - diff --git a/seq/clusters_seq/cluster_657 b/seq/clusters_seq/cluster_657 deleted file mode 100644 index 2234346..0000000 --- a/seq/clusters_seq/cluster_657 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_010086752.1 PIPO protein [Gomphocarpus mosaic virus] -MGKNLRSKVAQGMARARLVGKILFNKALLQTQEVFFRCFAKRKWRRGRSQVRNIIQLVLWKDQGSPQWNK -KVHWPTGRKRCKHNQADGCQ - ->YP_006423972.1 PIPO, partial [Celery mosaic virus] -NLSKRIGRFMVRFRLVGKISCNALLASMAQVFFKSVQPNKVRRFGKQVQFLSEIITWKNQEMCAKSCCKC -LQEVAYHKTHYTTKGVFKIPTFVCKHASQRLHFH - ->YP_006423945.1 PIPO, partial [Panax virus Y] -NLHKRIGGIMGRTKLVWKIVCNAGGVSMAQIYFKTINPIKERRFRRQIRYLTYVFTGKVEKFCEFRDEEK -YRKIKISCDHYHSQSIFKIPRLVAKHDT - ->YP_006405403.1 PIPO, partial [Basella rugose mosaic virus] -NVPATIRTGVARFRLVWKIIFNHFLTEAQTKIYSCTAPHKVKRFRRQIRYISYLVCWQDKGTPQHSACVR -GE - ->YP_006395317.1 PIPO, partial [Cocksfoot streak virus] -IHQRYSRFMVRLKLVCKISISLVCLEAAQIFYKQVSPVRYGRFQRQRIRICARLGCPVSRRNYPASSQYI -RRVDKSVHRRH - ->YP_006395315.1 PIPO, partial [Lettuce mosaic virus] -KLSHGFGGLVARIAIVWKIISNASLAAMAGHLYSRSNPNRCRRFERQIQYLGWVCFQKRDLAPKGNLLRR -SKES - diff --git a/seq/clusters_seq/cluster_658 b/seq/clusters_seq/cluster_658 deleted file mode 100644 index 1769b5c..0000000 --- a/seq/clusters_seq/cluster_658 +++ /dev/null @@ -1,76 +0,0 @@ ->YP_010084711.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum ourmia-like virus 1] -MATTTFDKDIAVPVVPELEDPATNCRLYKARTNDLVKRASLTVGELHALKIEPFLLKDGTCLETYKQVKK -YLSQDVSELPEVQLAFQSIKKLLPDACECMQGSMLEDLKTRLTRPAPTLPSGYVNFCKKIVKELFPNGWD -RNWETATANFSPSLGSCVNFSRKSGGQLAALATDGQDHWRERIKMPHGSLKGELLLVNSSGKPRPLTRFE -SDSSYLRPLHGLIYDQISKNPWLLRGDVTAEKLKNAGFSGSSETSLISGDYVSASDNLPIEIAELILDVI -WSSSRHIPASILRFAIAAQRPELTFERQDHTIDSFVPSIGQMMGSYLCFPLLCIQNYLAFRWATKDMVTV -PPALINGDDILVEENDKFFNRWSRTISDVGFVVEETKTSVSTEWGTINSTLLRRRGGNLVPIQTTRMGLL -RRSRHPANLGASFEKFARVGDPSLWFKRGITFLRWHIYLLRWGCVAQDMNFFGRLARSCWKYAFSGALWM -REASLRASDIPSLEPEPCPHNIVMAGNEFQSFPREQVTAEVVKDIGGWMATRKWQLGRSFQATKASSLLK -VRQSQFLSGYQKWLDLRTTKRAAKNAIWRFFPRESLGRRTTQLFSLSGQSRCGFGRSWWTRPEKCTDVRV -PVELCLKHNLVPQHVSVDVVISRRDNSLFGKGFNMLMNSSAREERMRRIVADYDAL - ->YP_010084710.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani ourmia-like virus 1] -IGPENRGPLCLSTQMTVDSGETPAFTAAVDRACALLREAIPVWEAQCSLDTGSLPVPDWARERSAPPSVK -LFKEFCVGLLENPCCHAWWPALSRVSLEMRTAVSGGLFLLRKVLPSLPSPWEEHAGRVCSPGPTLPVGYL -SHVRRTVHRLFPYGWDREYYDAVHRFIPRSSSCLGFPRSVGGARAAWRGLRAEFRNLVSPSGGDSPLPVD -EFPAKFMNVLENGKCRSVTVGGADQVLLGPLHKTIYGTLSRNEWLLRGAAKPSAFSGFSQCPGEVFVSGD -YESATDNLSLEVAEAILDVLRRKALFVPDKLWSVAALSLRATLLYPGGVEMAQLRGQLMGNYLSFPLLCI -QNYCAFRFCVRPEEVSDHLVRINGDDIVFLATREVARRGVALVFPTGLKLSPGKTLVSSSVFSLNSTFFR -GVRDRLVPRLIPVLRFGSLGPVRAEMTPHSLAPSLAAFRKGFRGEARVVAEAMWLRWRRKELLATGRSVV -RDLRVPVTFEALSRVGMVRRECVALTLPANSLPFDSVRFNGALPPGWTRREVSGRGRRRRARELEEEFWE -QVRQRTWDQGTPPKKALAREVWDRTVETGQGRAIHVWLRHMRDWSKAPKLYRPLAKRLRPDLSLLRRACE -EDAPRRVGAIWVPDGYSDRVGRWEQSFVPGGVMEESFPFIACLSFDGHPLGSELGTFDDSTLRAWCGERR - ->YP_009667033.1 RNA dependent RNA polymerase [Magnaporthe oryzae ourmia-like virus] -MSYSESDGSAACNSAGRVAVPSLQMRNLVSFSGLLSETFRVDLRVPETLGDSTRELKEFCGRLLEPSGSH -PWAHILRPLPAVDRLSIAGSLFSFSKTLPSSDPDCDAYVRHMSVPGVKTPDGYLRFVRKLIMREFPVGWD -KGWRSTYLGTTPTSSSALGSSKGKGGARYQLRGSRQWFLKSCMGLERELLLDPVRKVGIARCDGKSRRVT -QSPAESVVLSPLHTLIYNHLSRKDWLLRGEATPDKFADFCQKDGEVFVSGDYESASDNLSIEAAETVLSA -IFAKARYIPQAIRRVAMDSLRCVLVSEGAVGIQARGQLMGNFLCFPLLCLQNYAAFRYLAGNYPVRINGD -DIVFRAPEHVRARWAAGVQALGLTLSVGKTFVHKRFFSLNSTYFRARTKNGVKGVPIVRATLFYKGVESA -DSIVGRFSSVGSFFPVATSSMLRVRILQAMQKEIRCTQRSVRRGLGLNATPAELARSGLLVREKFYSNLP -RESAVPSKLAVSGALPPGWVKVRPLWTGMTLVEDPEFKKELVQHCWNSVVSRVETQDYWNVVRSGGCPYR -STSGQAYRRKAKLLKLSVNATRRYLEGRLGAVPPRKKGVWQRADR - ->YP_009666498.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated ourmiavirus 2] -MTDKRSRTKSQFSSVLHLPKGTPRPCRDFMARTTLVVQRASSSVRRLHAVSLPVFAPCRVRTCSDLLRQV -KEYLASDLEIQEECRMAFQSIKKLLPESCKCLKHSMLADLRSRLSRPPPSLPPGYIQFVREISSEIFTNG -WDYAWSSKVQSFSPSLGSCIGTSRKLGGQLATLATAGQLAWQESLVKPQPGSLEGELLLVNSSGKPRALT -RFGAEAASLRPLHGLLYDTLSKLPWLLRGDVSAEKLGKAGFDYGKSSEEPLTSGDYKSASDNLSIEVAEA -ILDVAWTRSKHVPAPVFRYAVAAQRPNLSYEGDDGLKEHFVPTRGQMMGSYLCFPLLCLQNYIAFRYAER -SCGLSDIPVLINGDDILFQSSAKFSESWMSVVGGLGLEVEKTKTSVSKEFGTLNSTLVRWVGGSLTVIKT -LRLGMLRECGHPGNLGDVALLFSRVGPRDSWLKNSLEFIRW - ->YP_009666497.1 RNA-dependent RNA polymerase [Soybean leaf-associated ourmiavirus 1] -MGSENVVGSASRNGRTLIPLFASMTDTSYYKNNRYSSVLYLPKGTPRPCRDFMARTTIVVQRATSSVRRI -HPGVYPTFSPSRIHTCADLLKQLKQFLATELDGASQEAQMAFQSVKKLLPASCKCLKHGMLGDLRVRLSR -PPPSLPVGYLSFARKVSREIFTKGWDHRWGSKVSTFSPSLGSCIGKSRKHGGQLSELSVAGQQAWQESLN -LPLPGSLEGELLLVDSSGKPRPLTRFVSEAATLRPLHGLLYDTLSKQPWLLRGEITAEKLRGAGFDRARE -EPLTSGDYKSATDNLSIEVAETILDVAWSSAKYVPASVFRYALAAQRPSLSYEDDEGLISTFVPTRGQMM -GSYLCFPLLCLQNYIAFRYAEYVSGVEGTPVLINGDDILFQSELSFSKAWMGIVGDLGLEVEPTKTSVST -EYGSLNSTLLRWGPQGLAVVKTIRMGMLREVSHPSNLGTTALQFARVGPRNTWLLNFEEFLSWHVSTIVK -WRCVASDMGFTGRLALRAWSRFRGGRLLWRDDVLHQMKIDRLPSAHCPHNIVMGSEEFVTVPEESLTKEL -KRDAAVWMASRKWELGREYTAFKQGKVVSERANATRIPNLLQDWRTSARELKEASSAVMRRKEYYWNHKV -LCHGDFASRGLLVRDRPSVSTWRWVGLRRTWWRDRVAREGTRVPKVLWEALHPPLSPFSRDDVVGDLLKQ -KTGGIFSSAYLALKQAGVESMVGQFFALVGP - ->YP_009333280.1 RNA-dependent RNA polymerase [Beihai narna-like virus 20] -MAPKHRPQGRTKALLQKTNLEEMCRERLRYREEPIPSRKGEDDVCLKDIESFMELRRTRKLDREVIAAGD -WYPRFVAWCETPAGETGKPERLFGAYDTLSGTEQFQLRPCQASGSYLRRLRESIPNEMLFLQFLAWKGDQ -VGGRGHGWDVKFIKYIGELYQSIFWSKVPNHPSFYMKLGPVVGYDHSRPSRNDGRDPLGEIRLWVTKPIR -AKMPKSRNNRYREHLLQVAREKKIQEKNPVGYGLIERGLECPDGSDLFPPAFPEMMRVLQASGLVNPRPD -PPSFLAAWSARDTMGRDWGVPYGPLQGWAKKAMSAVWTGNASNVLRAAHQRGHAGPTASLLLLRPSIVMG -LSQVKRGLPDGRAPLETAAVKTMAALTERPRTIFEEVREARRTGKDVDESKTFVVTLFYAATRKIIRNIV -KSVAWASEPQVSSIRLSTRGSLKSSRAKGGTRAAVIKRLAALPVAHSVEALASASWDDLEAEWNLRRDAL -TPRQPRGDDPPARVGIDLDDREADAFLRADQDGGVLPLSDWTSIQYPLLDDALSLDTEWAEVRLAPIYDA -GKTRTISADHEITQVYGDRVLREVLAPIRRYFPAANGTVTSAMLAERLGKFPGTGTLLSGDFTSATDSLD -GQVSRIIALATAQEQSLSGPELRQLYASLTNHMIKNPSKEEGAPAFLPQARGQLMGSFESFPVLCVANLV -VAWLADSGLSPSDVREEYRVNPRRARAQWAERIKRLTPRRQERLPALVNGDDLLIGDRPPAYFEAWGGWC -ESVGWKFSPSKNGVDSPTSDIPYAIGSINSKFFIVLNHEKNSGIELWGDEDEDSEPTEPHVVRMYFNVPH -LSLRHVAGPLLSSDKGPSKLTDPDHYNGYTLASEIEKAVENAHVSRYSPAAAHIANTMWRRRFKWVRSRW -LHHARRLPAWAGGLDIPGPLSKKDERLMSILAFRLAKGDRLPVPNWRAVVDDDFEEVPDTAAVMATMHPP -VGEGDVSHQGGPTRYDVPKDTLVGSKLTVTYGELQQRLLTLWQLEQLISNPESLRALPPREAFHVRRREA -LRNDRLMMRLVERFEGPPPHIYLANDADGIEAGALRTKGPGDARVSLDELRRARFRPSLAPRWADSLSWA -ADVVESRVERLQSLVRPRDPVALRQAEHELSVPVGPDPPGAGRPAGWDPNSGV - diff --git a/seq/clusters_seq/cluster_659 b/seq/clusters_seq/cluster_659 deleted file mode 100644 index 42c4b76..0000000 --- a/seq/clusters_seq/cluster_659 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_010084236.1 coat protein [Freesia sneak ophiovirus] -MSGKYSVQDVVKVMRASIYSPEQKELLMSLKLINDKEQVNEVNRTLLYQASKENPDNIVHLKTDDTLMFI -ASETVKQPQASITAPEETLAFKPEIIKDIIEAKTLALDQDKIQAVLDQYVKDLPKSGDTYKSGDVIVKEF -KNVKLNISSLLAAGTKVLDAILYMTYKDSADHSFIFSKETLSPESVVKKEIASRIDIGNKAIKSSFCLVY -NQGGLPSKSSSNKTLSKFIKETVFRDSKMDSDTLCDFLSSADPSFFPASVFLNIPLDHVHTEVASRCKMA -IAGNKAIRYAKFAAKFEKDQVNEADYKSKENIKELVVKEEKLKTAHAIVEFLCSLASNFEAQKKMHPLSP -GRSSRKNFTLQLTCAIMFSRSKQGRMDMRSAITSQKIESFKRDENLYGSVDSLGHVSFPIFENGEADFSE -LSVPAIRAAYGFTI - ->YP_009665967.1 coat protein, partial [Tulip mild mottle mosaic virus] -VSEIQNILQKDVNSEDETAILISLGLMTKDEKAVPAKMGLFTSADPNATVFIHEDGSLLFEIPKKTGESS -AKGKEVGEKVKTGEKFAFSAAKVKELIEGKSLTLDQDKIQKVLEDYVKNLPRTAETYKPKEIEIKYFKGV -ELNVSSLLSAGTRILDAVLYSTYKDSNEHNFIFDVKVLSPDFVDSKVLVSNIETGNRAIKAAFCLVYNQG -GLPSKTNEERPLSKFIRETIFREKDLKSNDLCALLSSADPSLFPSQVFLKIALENLPTEVSSRCKMSIAG -NKAMRYALLAQKFDKDEVAVPDPMTATAGAEYMQKKEKLEKAKKIVDVLCSLASDFQSQVRMHPLSPERS -SRKNFTLQLTCAIVTSLSYKGRIEMRKAIEEKKIEAFKRDENIFGKLNAVGNPIFPVLTNSEADFSELSV -D - ->YP_009449564.1 50 kDa Nucleocapsid protein [Blueberry mosaic associated virus] -MEKQIDAQRLMDAYELFNTAGDGAPQDTIDLIKQFHIYPGVSKMATKNAITLGRAAVEKKSVILVGEELR -KASPMGKDDQEKPAEVEVKDIGSSKDPKEKGVNEGFVGVEKITDIEIVKDTIKKSSYKIDVDAIKKHLEN -FLKSVTIENEAYTTGEVVVKFFKEKSTDVGMLVASGTKILDALMFVAMHDNEEAKGIFSFMEVKELSALS -IAENMSQAKKAIQAAGVLVYINGGLPPNKGTDTRPVPKFVKEFIYQNEIGGLIAIGEQLSSGNTRKFPAK -VFLNVDLNSFPLPVATRCKLAIAGNKAVRYAVFAGSCQKASMISAQGITTVEAMENVMKVNARRKLAIEI -ADFLRTLSGAVEAQKRMHPLSPNKPTIKDLTLKLTRAIIESLSTTGLAQLYEKMEASNNNAFMKDTNFAG -TEADGKRTWHIVVNSDAEFAELSVGSLKAMYGIV - ->YP_089664.1 49 kDa protein [Citrus psorosis virus] -MSIPIKVSQLIDAKTKWESEKEKSPAEILTLLKTYGVLRDTGALSSKSTAFLSGLSKDKRVILTDEGLAE -FKSVENPHDQGEKPAFTLASSSSIKITNIEVIKQKMEQETFQIDQLKLKEQIENFIKTVTLDDESYTEGE -IIIKHFGNPDAELNMLITAGTKILDGLVYVSMKGDTKSLNLFKMEQVDGVCDSDIIKNIRVAKRAIQAAF -VLIFTQGSLPGKADDKRKVPEFVKSKLYDGDVSLSQISEELSHAPTKKFPARVFLKIDIDNLPSAVCSRC -KLNIAGNRSVRYAGFASSFQTKQKLSPAVGATPESLMPLLETNQKIEKSIAIRDFLKTMEGQWKNQKRLH -PLSDEKPTIKNFTLKLTCAIIYSLTPDGRIDMAERIITDKNKGFQNDRNFFGDGEGPTRTWSVLTKPEAD -FSNITVDGLKGIFGVASTM - ->YP_053239.1 48 kDa protein [Lettuce ring necrosis virus] -MSGAYTVQQLTDILRKPIFTGEDTTLLKTFNLVDSKESPNTTLIESLRVQLAINPEAVVSLKTDGTLDYS -NGPSRAVEKSTVGETSTGPVVNYSIEKVKELLGGKLFKIDENKVKEALENYVKLLPKTSDSYKSGDVKVF -YYDGVEKSVSSLLAAGTKVLDSILYMAHKDSAEHSFIFDESKLSPKTLDSRNFIENVNLGNKAIKAAFCS -VYNQGGLPTKTSEERNLSKFIRETVFKNKDLKSNVFCDMLSSSDPSFFPSSIFLKIPLDLLPSEVSSRCK -MAVAGNKAIRYAILARRFEQSSLAEPTTGKTEDIKNYMSMQAKLEKACKITELLSSLGSNFEAQKKMHPL -SDTRTVRKNFTLQLTCAIVHSLSHTGRLAMRAEIESKKIEAFKRDENIYGQKNAMDVICFPVLTNSDADF -SELSVEAVKTAYGIIS - ->sp|Q8BCV5.1|NCAP_MILVL RecName: Full=Nucleoprotein; Short=NP; AltName: Full=Nucleocapsid protein; Short=Protein N -MSGVYKVSEIQSILQKDVTSEGETAILISLGLMTKEEKPVPAKMAMVASAKANSIIFVSEDGSLSFEAPK -ETGETSKPGEKKGEKKVEVGVKFPFSAAKVKELIEGKSLTLDQDKIQKVLEEYVKNLPRTAETYKPKEIE -IKCFKGVDFSISSLLSSGTKILDAILYSTYKDSAEHNFIFDVKVLSPDFIDSKLLVNNIETGNRAIKAAF -CLVYNQGGLPSKTSEERPLSKFVRETIFREKDLKANELCEYLSSADPSLFPSQVFLKISLENLPTEVSSR -CKMSIAGNKAMRYALLAQKFDKDEIPVPTEVNPTTSSEYMQKKEKIEKAKKIVDVLCSLASDFQAQVKMH -PLSPERSSRKNFTLQLTSAIVTSLSYKGRLDMRKAIEEKKIEAFKRDENIFGRLNALGQPTFPVLTNADA -DFSELSVEAVKTAYGKK - diff --git a/seq/clusters_seq/cluster_66 b/seq/clusters_seq/cluster_66 deleted file mode 100644 index 0f5db3b..0000000 --- a/seq/clusters_seq/cluster_66 +++ /dev/null @@ -1,198 +0,0 @@ ->NP_042686.1 alternative tat protein [Jembrana disease virus] -MPGPWATTLTFPGHNGGFGGGPKCWLFWNTCAGPRRVCPKCSCPICVWHCQLCFLQKGLGIRHDGRRKKR -GTRGKGRKIHYARSITESGGQRAPNCASSSASCQTWALKHGINC - ->NP_758891.1 tat protein [Simian immunodeficiency virus SIV-mnd 2] -MDAGKAVSDKKEGDVTPYDPFRDRTTPCDTCYCKRCCYHCQLCFLQKALGVHYHAYRNRRSRQRLLEKIS -EDSRVIALFLMSSRETISVPTTSNSQAKKEKKSPTQKNRVPNQTAPK - ->NP_057853.1 Tat [Human immunodeficiency virus 1] -MEPVDPRLEPWKHPGSQPKTACTNCYCKKCCFHCQVCFITKALGISYGRKKRRQRRRAHQNSQTHQASLS -KQPTSQPRGDPTGPKE - ->NP_040565.1 protein S; putative [Bovine immunodeficiency virus] -MPGPWVAMIMLPQPKESFGGKPIGWLFWNTCKGPRRDCPHCCCPICSWHCQLCFLQKNLGINYGSGPRRR -GTRGKGRRIRRTASGGDQRREADSQRSFTNMDQ - ->sp|P0C1K2.1|TAT_HV1MV RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPEMPPWHHPGSKPQTPCNNCYCKRCCYHCYVCFTKKGLGISHGRKKRRRPAAAASYPDNKDPVPE -QHTGRKQKRQEEQEKKVEKETGPSGQPCHQDSCNSCTRISGQ - ->sp|Q9QSR0.1|TAT_HV1VI RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPSLDPWNHPGSQPTTPCTKCYCKRCCFHCQWCFTTKGLGISYGRKKRRQRHRTPQSSQVHQNSLP -KQPLSQARGDPTGPKESKKEVESKAKTDPCA - ->sp|Q9IDV5.1|TAT_HV1YB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWNHPGSQPKTACNKCYCKKCCYHCMCCFTKKGLGISYGRKKRSQRRRPPKSSKDHQDPIP -EQPLSRQQPGDQTGQKKQKKALEGKTEADPCD - ->sp|Q8AIH8.1|TAT_SIVTN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MNPIDPQVAPWEHPGAAPETPCTNCYCKKCCFHCPVCFTKKALGISYGRKRRGRKSAVHSTNNQDPVRQQ -SLPKRSRIQNSQEESQEEVEAETTSGGRPRQQDSSVSSGRTSGTSSSGYTRPFKTSSGSSGSACKH - ->sp|O12161.1|TAT_HV192 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNLEPWNHPGSQPKTACNNCYCKRCSYHCLVCFQTKGLGISYGRKKRRQRRSAPPSSEDHQNPIP -KQPLPQTRGDQTGSEESKKKVESKTETDPFD - ->sp|P69697.1|TAT_HV1B1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACTNCYCKKCCFHCQVCFITKALGISYGRKKRRQRRRPPQGSQTHQVSLS -KQPTSQSRGDPTGPKE - ->sp|P17285.1|TAT_SIVCZ RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPIDPDLEPWKHPGSQPRTVCNNCYCKACCYHCIYCFTKKGLGISYGRKKRTTRRRTAPAGSKNNQDSI -PKQPLSQSRGNKEGSEKSTKEVASKTEADQ - ->sp|P05908.1|TAT_HV1RH RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACNNCYCKKCCYHCQVCFLTKGLGISYGRKKRRQRRGPPQGSQTHQVSLS -KQPTSQPRGDPTGPKESKEKVERETETDPAVQ - ->sp|P12507.1|TAT_HV1BN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -APEDSQSHQVSLSKQPASQAGGDPTGPKESKKKVESETETDPVP - ->sp|P04610.1|TAT_HV1BR RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACTTCYCKKCCFHCQVCFTTKALGISYGRKKRRQRRRPPQGSQTHQVSLS -KQPTSQPRGDPTGPKE - ->sp|P04326.1|TAT_HV112 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACTNCYCKKCCFHCQVCFITKALGISYGRKKRRQRRRAPQGSQTHQVSLS -KQPTSQSRGDPTGPKE - ->sp|Q1A264.1|TAT_SIVMB RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPIDPNLEPWNHPGSQPKTACNNCYCKQCCYHCQLCFTKKGLGISYGRRKRKQRRRTSESSQNHQDPVP -KQPLSQPGGIETGQKKSKKEVESQTTSDQFA - ->sp|Q73370.1|TAT_HV1B9 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVNPSLEPWKHPGSQPKTACTNCYCKKCCFHCQACFITKGLGISYGRKKRRQRRRPPQDSQTHQVSLS -KPSSQPRGDPTGPKEQKKKVERETETDPVH - ->sp|P35965.1|TAT_HV1Y2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNLEPWKHPGSQPRTACNNCYCKKCCFHCQVCFTKKGLGISYGRKKRRQRRRPPQDSQTHQSSLS -KQPTSQLRGDPTGPTESKKKVERETETDPVH - ->sp|P05905.1|TAT_HV1MN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACTTCYCKKCCFHCQVCFTKKALGISYGRKKRRQRRRAPEDSQTHQVSLP -KQPAPQFRGDPTGPKESKKKVERETETHPVD - ->sp|P12508.1|TAT_HV1J3 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -EAETATKSCSGRQANQVSLPKQPASQPRGDPTGPKESKKKVETETETDPVN - ->sp|P12512.1|TAT_HV1ZH RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -PLPTTRGNPTGPKESKKEVESKTETDPFAW - ->sp|P20879.1|TAT_HV1JR RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPSLEPWKHPGSQPKTACTNCYCKKCCLHCQVCFTTKGLGISYGRKKRRQRRRPPQDSQTHQVSLP -KQPSSQQRGDPTGPKESKKKVERETETDPDN - ->sp|P04612.1|TAT_HV1B5 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACTTCYCKKCCFHCQVCFITKALGISYGRKKRRQRRRA - ->sp|P12510.1|TAT_HV1Z3 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -PSSQPRGDPTGQEEPKKKVEKKTTTDPFD - ->sp|Q1A246.1|TAT_SIVEK RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPELEPWNHPGSQPKTACNNCHCKVCCYHCVYCFTKKGLGISYGRKKRSQRRRTPQSNKSHQDPLP -KQPLSQRLGDQTGQKEQKKTLES - ->sp|P0C1J9.1|TAT_HV1MP RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEVVDPKIDPWNHPGSQPETPCNNCYCKKCCFHCPLCFMKKGLGISYGRKKRRQRRRTPQGSKIHQDPVP -KQPLSQTRGDPTGPEESKKKVESQTETDP - ->sp|P0C1K0.1|TAT_HV1M2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEVVDPNLDPWKHPGSQPETPCNKCYCKKCCFHCQLCFTRKGLGISYGRKKRRQRRRTPQSGEVHQDPVS -KQPLSQTRGDPKGPEESKKKVESKTKTDPSD - ->sp|P0C1K1.1|TAT_HV1AN RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPEVPPWHHPGSQPQIPCNNCYCKRCCYHCYVCFVRKGLGISYGRKKRGRPAAASHPDHKDPVPKQ -SPTITKRKQERQEEQEEEVEKKAGPGGYPRRKGSCHCCTRTSEQ - ->sp|P0C1K3.1|TAT_HV197 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNIEPWNQPGSQPKTACNQCYCKKCCYHCQLCFLQKGLGICYGREKRRQRTTTPYASKNHKDPIP -KQPLPQARGDPTGPKESKKEVESKTKTDP - ->sp|P0C1K4.1|TAT_HV196 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNIEPWNQPGSQPKTACNQCYCKRCCYHCQICFLKKGLGISNGRKKRRPRRTTPYNSENHQDPLR -KQPLSQPRGEQTDPKESKKKVESKTKTDQFD - ->sp|Q9WC66.1|TAT_HV1S9 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNREPWNHPGSQPKTACTNCYCKKCCYHCQVCFLQKGLGISYGRKKRRQRRSAPPGSKNHQDLIP -EQPLFQTQRKPTGPEESKKEVESKAEPDRFD - ->sp|Q9WC57.1|TAT_HV1S2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNREPWNHPGSQPKTACTNCYCKKCCYHCQVCFLQKGLGISYGRKKRRQRRSAPPGSKTHQDLIP -KQPLSQTQRKPTGPEESKKEVESKAEPDRFD - ->sp|Q9Q717.1|TAT_HV1V9 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNQEPWNHPGSQPRTACNNCYCKKCCYHCQLCFLKKGLGIYYGRKKRRQRRGTPKSLQDHQTLIP -KQPLSRTSGDPTGPEK - ->sp|O91083.1|TAT_HV1YF RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWNHPGSQPKTACNNCYCKRCCYHCLYCFTKKGLGISYGRKKRSQRRRTPQSSKSHQDLIP -EQPLSQQQGDQTGQKKQKEALESKTEADPCD - ->sp|O89943.1|TAT_HV1SE RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNLEPWNHPGSQPKTPCNKCFCKVCCWHCQVCFLNKGLGISYGRKKRKHRRGTPQSSKGHQDPVP -KQPLPTTRGNPTGPKESKKEVASKAEADQCD - ->sp|O70899.1|TAT_HV190 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPKLEPWNHPGSQPQTACNNCYCKKCCYHCQMCFLKKGLGISYGRKKRSQRHRTPASLQDHQNSIS -KQPLSRTHGDPTGPKEQKKEVASKTETDP - ->sp|O70889.1|TAT_HV193 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MELVDPNLDPWNHPGSQPTTPCTRCYCKWCCFHCYWCFTTKGLGISYGRKKRRQRPRTPQSSQIHQDFVP -KQPISQARGNPTGPKESKKEVESKAKTDP - ->sp|O41801.1|TAT_HV19N RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPKLEPWNHPGSQPTTPCNKCYCKVCCWHCQVCFLNKGLGISYGRKKRRPRRGTPQGSKDHQNPVP -KQPLPITSGNPTGSEKPKKEVASKTETDPLD - ->sp|P04609.1|TAT_HV1Z6 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNLEPWNHPGSQPKTACNRCHCKKCCYHCQVCFITKGLGISYGRKKRRQRRRPSQGGQTHQDPIP -KQPSSQPRGNPTGPKE - ->sp|P24738.1|TAT_HV1U4 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNLEPWKHPGSQPTTACSNCYCKVCCWHCQLCFLKKGLGISYGKKKRKPRRGPPQGSKDHQTLIP -KQPLPQSQRVSAGQEESKKKVESKAKTDRFA - ->sp|P05906.1|TAT_HV1SC RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPRLEPWKHPGSQPKAACTSCYCKKCCFHCQVCFTTKGLGISYGRKKRRQRRRAPQDSQTHQVSLP -KQPASQARGDPTGPKESKKKVERETETDPVD - ->sp|P20893.1|TAT_HV1OY RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTASNNCYCKRCCLHCQVCFTKKGLGISYGRKKRRQRRRAPQDSKTHQVSLS -KQPASQPRGDPTGPKESKKKVERETETDPED - ->sp|P04613.1|TAT_HV1MA RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNLEPWNHPGSQPRTPCNKCYCKKCCYHCQMCFITKGLGISYGRKKRRQRRRPPQGNQAHQDPLP -EQPSSQHRGDHPTGPKE - ->sp|P18804.1|TAT_HV1ND RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNLESWNHPGSQPRTACNKCHCKKCCYHCQVCFITKGLGISYGRKKRRQRRKPPQGDQAHQVPIP -EQPSSQSRGDPTGPKK - ->sp|P19553.1|TAT_HV1S1 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACTNCYCKKCCFHCQVCFITKGLGISYGRKKRRQRRRAPPDSEVHQVSLP -KQPASQPQGDPTGPKESKKKVERETETDPVH - ->sp|P19552.1|TAT_HV1S3 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNLEPWKHPGSQPRTACTNCYCKKCCFHCQVCFITKGLGISYGRKKRRQRQRAPDSSQNHQDSLS -KQPSSQPRGDPTGPKESKKEVERETETDPLD - ->sp|P04611.1|TAT_HV1EL RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNLEPWNHPGSQPRTPCNKCHCKKCCYHCPVCFLNKGLGISYGRKKRRQRRGPPQGGQAHQVPIP -KQPSSQPRGDPTGPKEQKKKVESEAETDP - ->sp|P05907.1|TAT_HV1C4 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPRLEPWKHPGSQPKTACTNCYCKKCCFHCQVCFTKKALGISYGRKKRRQRRRAHQDSQNHQASLS -KQPSSQTRGDPTGPKEPKKEVEREAETDPLD - ->sp|P04614.1|TAT_HV1A2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNLEPWKHPGSQPRTACNNCYCKKCCFHCYACFTRKGLGISYGRKKRRQRRRAPQDSQTHQASLS -KQPASQSRGDPTGPTESKKKVERETETDPFD - ->sp|P12506.1|TAT_HV1Z2 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MDPVDPNIEPWNHPGSQPKTACNRCHCKKCCYHCQVCFITKGLGISYGRKKRRQRRRPSQGGQTHQDPIP -KQPSSQPRGDPTGPKE - ->sp|Q75005.1|TAT_HV1ET RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -MEPVDPNLEPWNHPGSQPKTACNQCYCKKCSYHCLVCFLTKA - diff --git a/seq/clusters_seq/cluster_660 b/seq/clusters_seq/cluster_660 deleted file mode 100644 index 69b93e0..0000000 --- a/seq/clusters_seq/cluster_660 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_010087348.1 p7K [Jasmine virus H] -MDIATTDKPIVKPNEKQAKRGKTKNRLDVAHSGVAKSTSSDIVGANFITVADHVTFTVSLHF - ->YP_009345602.1 MP-1 [Clematis chlorotic mottle virus] -MDFGESNTTELDKTKTNVGVEKKRKRGSAKNKLDVAHNSVGKSQSHDLVGANFITIADKVKFTVHLHF - ->YP_009116641.1 putative movement protein 1 [Pelargonium ringspot virus] -MDTQKPSGSLANKEVVRGKPKKLDVAHSGISKGTNSSTTGAVFVTVAEKVEFVVTLNF - ->YP_009116636.1 putative movement protein 1 [Elderberry latent virus] -MDTRAQDKDIDQGKAAALRKIERGKPKKLDVAHSGISKGTHSDTTGAVFVTVADQVTFHVTLNF - ->YP_238479.1 movement protein [Pelargonium line pattern virus] -MDIQSKDSNLSVKEAGKSAKRGSTKNKLDVAHSGVSKGTSRDLVGANFVTVADKVEFVVHLNF - ->YP_052928.1 putative movement protein 1 [Pelargonium chlorotic ring pattern virus] -MDPSPPSADITSTQQNQKRGKAKNRLDVAHSGVSKASNSDLVGANFITVAETVNFTVHLNF - diff --git a/seq/clusters_seq/cluster_661 b/seq/clusters_seq/cluster_661 deleted file mode 100644 index 1fd1e45..0000000 --- a/seq/clusters_seq/cluster_661 +++ /dev/null @@ -1,62 +0,0 @@ ->YP_009505502.1 glycoprotein [Drosophila melanogaster sigmavirus HAP23] -MVHYETHILFIHLWMLALIFITTSVWLAASQKTFTPDLVFPEMNRNSSWSVANYGEILCPTSFQSYDPKK -HQILTRVLVERPSLNTDTKVEGYTCHKVKYETICDMPWYFSPTISHSISPLRVKESECKDAIAEHQLGTH -VSLSFPPEDCSWNSVNTKAYEDIIVKDHPVMLDPYTNNYVDAIFPGGISSPGMGGTIHDDMMWVSKDLAV -SPECSGWQQSMGLIYSSRLYGEREPMLEVGSIHIEGHRDKNLTLACRISFCGEIGVRFHDGEWMKVSVNL -DHPNSVTFQVTDFPPCPPGTTIQTAVVENINPEIQELTVNMMYRLKCQETISKMVSGLPTSALDLSYLIQ -VQEGPGIVYKREKGVLYQSVGMYQYIDTVTLNTEENQLGENARGQKVFWTEWSDSPTRPDLQEGINGIVK -YEGQIRVPLGMSLRLEAATELMWGHPVHTVSHPILHVISNHTEQSVTTWNRGVNSTNLIGLATRSISGFY -NDLKLYLILALIVVSIVALVVLDVIPFKYILFILCPPLLLCRFIKCSRRRPETGDRYHVEYNRPGQVSSA -F - ->YP_003126912.1 glycoprotein [Drosophila melanogaster sigmavirus AP30] -MAHYELHVLFVHSWMLALILITTLVWLAASQKAFTPDLVFPEMNRNSSWSVANYGEILCPTSFQSYDPKK -HQILTRVLVERPSLNTDTKVEGYTCHKVKYETICDMPWYFSPTISHSISPLRVKESECKDAIAEHQLGTH -VPLSFPPEDCSWNSVNTKEYEDIIVKEHPVMLDPYTNNYVDAIFPGGISSPGMGGTIHDDMMWVSKDLAV -SPECSGWQRSMGLIYSSRLYGEREPMLEVGSIHIEGHRDKNLTLACRISFCGEIGVRFHDGEWMKVSVNL -DHPNSVTFQVTDFPPCPPGTTIQTAVVENINPEIQELTVNMMYRLKCQETISKMVSGLPTSALDLSYLIQ -VQEGPGIVYKREKGILYQSVGMYQYIDTVTLNKEENQLGENSRGQKVFWTEWSDSPTRPGLQEGINGIVK -YEGQVRVPLGMSLRLEAATELMWGHPVHTVSHPILHVISNHTEQSVTTWNRGVNSTNLIGLATRSISGFY -DNLKLYLILALIFVSLIALVVLDVIPFKYILFVLCPPLLLCRFIKCSRRKPETRDRYHVEYNRPGQVSSA -F - ->YP_009337215.1 putative glycoprotein [Hubei dimarhabdovirus virus 1] -MNSVKVNFLFLLSSISPFIPITQSITFTFPILTNPTWTETNPYQLECPQTHHTFNPETHRVESYVLALKP -RFASELKVNGFLCKKIRKWTKCTETPWFTKSTQRGIEYIPVSASSCEAGLTKYMHGEEDSSDFPKESCNW -ASSNTENTEALTLTPHSVHLDPYSSKVVDPIFPGGLSEPRPSETIHKNILWIPIDMNPFHSCAATEKYIG -VIYSNSDFPTTTPLADIASLHIDGHKDKPFKGSCKINYCGRSGIRFTDGEWVSLEYFRNQSINQEQFIRN -LPSCASDTIIRIQSPQSDTDEDSELTINLLYRLKCQETISKIIEKSLISPYDISFLAQSYPGPGPVFLLD -NGHVLQTYGNYIEISQTDRTSGEDGYLGINTLTKARVYNTIWRSDPSDKLMKHGPNGLISYNRTIHLQKG -LVTRSFNSRMLLTTQHLSDIPHESLQILSNYTKAVGGSLIQVPTNATNLGDAIINTVENVFDRIGYIQSA -LYSISGLIIIYIIIKCILKLRLLLCSVKPKPKQTNSRSTDLEMDYF - ->YP_009305106.1 glycoprotein [Wuhan Louse Fly Virus 9] -MLLQTLFFMLNVQVSFSILYPQFIGPSWSVANPSHIYCPRITTNYDASIHTKVADLLLLETSIDDHIETP -GYLCHKTVYTVTCEENFVGAKTVTYHVIGAKVKKEECLEAIEEYKEGEQTLESFPAPVCHYMEKTDTSST -VITVSPHSVLLDPYTMLLIDPIFVTGRSKGNFSNTIHQDVVWVRSSVENIDVCKIGSVVSGFLFRNVQKE -ETRDPKNLSIQLDTGRVYHLEGSCSLMYCGENGIRLPSGEWLNVQPVTTSVRLQTFIELSPCKSELLISI -DHNHGARLEDISPLSAISHVQCLNTLSKLLEGSPVSQYDISFLVQTTEGPGVIYWLKEGTLLQSRGNYLE -VTLNSKDFNSGVIGSDKDGHIVIEQNRYRVDPSKELYYLPNGFTITNNELITPPGLILTSTLHNLLLHPT -QLVPIHHPIINTLPTDPNILPSWKDLSTNSTMIPWLSWDGSTPWWLNWKIYVSGLLSLVTVILSIFISLK -LTICLCKNAFKRKGRKQTANIEIQEGDPIPLAVFKRHTPQTNDTYTF - ->YP_009302017.1 glycoprotein [Wuhan Louse Fly Virus 10] -MARLLKYLIVIILVLKFVNKSHQLLYPFSKGGSWSIIEPTNIKCPNPYQVFDPEIHVRLDEYTANEYSFS -SIPVVPGYLCHKVRHFVTCTENLFGSKTLHRKISPDKISEGECLEAVNKYKQGTLIIGDQPDPICHYFEE -TEVSKSEIYISDHNVLFDPYTLNLLDPIFPNGRGSGKIVETIHSETIWIKSRRKTEVVCDDMIQTKGFIY -QEKQYLNSTKSKSTFLQLENGRTFDLSKACKMNFCGIGGFRMKTGEWYQFTKQNKIPSMKFSENLPICEL -NNTIYFERDPKVNPEKISSLAISTHLKCLETVSKILEGRAISQFDISFLTQPRKGNGIMYWIKRGKVFQS -QCVYSELIPNGKNSSSGVIGTDTKGNIVIEQNMDKIPGTTGTYNLPNGFMIIDGKLIIPPGLILSETIHL -SLLKPHKLIPFHHPMVTKAQVSSDFPENWEDIHFNSTSSKWLSWKYHIPWWLNWKTCIQVGIGIIVCLLL -LLMILSCIKSFFIKFAQSTLKFRYSREKVKTVNTLEADQSLNPMTLSEF - ->sp|P12647.1|GLYCO_SIGMA RecName: Full=Spike glycoprotein; Flags: Precursor -MLALIFITTSVWLAASQKTFTPDLVFPEMNRNSSWSVANYGEILCPTSFQSYEPKKHQILTRVLVERPSL -NTDTKVEGYTCHKVKYETICDMPWYFSPTISHSISPLRVKESECKDAIAEHQLGTHVSLSFPPEDCSWNS -VNTKAYEDIIVKDHPVMLDPYTNNYVDAIFPGGISSPGMGGTIHDDMMWVSKDLAVSPECSGGNKVWGLF -IHLGCMGGGSRCWKSAPPHRGPQGQEPHFSLPVSFYNKIGVRFHDGEWMKVSVNLDHPNSVTFQVTDFPP -CPPGTTIQTAVVENINPEIQELTVNMMYRLKCQETISKMVSGLPTSVFDLSYLIQVQEGPSIVYKREKGV -LYQSVGMYQYIDTVTLNTEENQLGENARGQKVFWTEWSDSPTRPDPQEGSNGFFKYEGQIRVPLGMSLRL -EAATELMWGHPVHTVSHPILHVISNHTEQSVTTWNRGVNSTNLIGQATRSISGFYNDLKLYLILALIVVS -IVALVVLDVIPFKYILFILCPPLLLCRFIKCSTKEA - diff --git a/seq/clusters_seq/cluster_662 b/seq/clusters_seq/cluster_662 deleted file mode 100644 index 7a6ff7d..0000000 --- a/seq/clusters_seq/cluster_662 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009709868.1 NS4B protein [Hepatitis C virus (isolate H77)] -SQHLPYIEQGMMLAEQFKQKALGLLQTASRHAEVITPAVQTNWQKLEVFWAKHMWNFISGIQYLAGLSTL -PGNPAIASLMAFTAAVTSPLTTGQTLLFNILGGWVAAQLAAPGAATAFVGAGLAGAAIGSVGLGKVLVDI -LAGYGAGVAGALVAFKIMSGEVPSTEDLVNLLPAILSPGALVVGVVCAAILRRHVGPGEGAVQWMNRLIA -FASRGNHVSPTHYVPESDAAARVTAILSSLTVTQLLRRLHQWISSECTTPC - ->YP_009664190.1 nonstructural protein NS4B [Bat hepacivirus] -ADYADLVSSCRDYAVYAAEQITNAYNKLTATAGAATLSDPTFASKLECFISENWWSLLSGLQYVAGVATL -PYNPPVASLMAFVAGLCSPLPTNTSIFLSVLGGWAASRLAPPQAAVGFVGATIGGVLFQSVGIGTALADL -LCGYSAGLAGATIIFKLLQGQTPNMEECLAALTCFFSPGAMVVGCIAGYLLHAYSGGSNVEWMNRLIAFC -SKANHVSPQHYCPSDSTRDKILQTLENLSLISLIKNLLRFLSSEGDRNC - ->YP_009664180.1 nonstructural protein NS4B [Hepacivirus K] -LSYADFVEGATQYVNWAKQNIVTAYQAYVGSNPPPVVLTNWQKFEGFMNQHWWSIMSGVQYVAGLSTVGS -NPALATLMAFSASLCSPFSTVTTIMLNILGGWIATRIGNPRSATAFVLAGGAGAAVAATSLGQVIVDVLT -GYYAGLSGAAVAFKLCEGQMPAWDEAMGVLCGAFSPGALVVGAISGLLLHRFSGGSNAEWLNRLIAFCSR -GNHVGPGHYVQAEDQKQAILDMLDLLSPMKLAMRLIQWISGETTSEC - ->YP_009664200.1 nonstructural protein NS4B [Non-primate hepacivirus NZP1] -FDHHQYIQQGYEWASRAAQKIREVAASIDPPTGQAQPLLSAVEKFWNQHMWNILSGVQYLAGLTTLPYNP -SVACLMGFVSGLTTGLPRPAMAFLTILGGWAASMVAPPQAASTFVGAGLAGIAIGAVGFTDVIVGLLAGY -GAGVAGALTAFKILSGVTPSGEDLINLLPSLLNPGALAVGVGAAFILKRYTGGSEGLVAWVNRLIAFCSR -GNHVSPDHYVQQQQVVRDVIACLESLTLTRLVKTIHNFVTSENDQNC - ->YP_009325389.1 nonstructural protein NS4B [Equine hepacivirus JPN3/JAPAN/2013] -FDHHQYIQQGYEWASRAAQKIREVASSIDPPTGQAQPVLSAIEKFWNQHMWNILSGVQYLAGLTTLPYNP -SVACLMGFVSGLTTGLPRPAMAFLTILGGWAASMVAPPQAASTFVGAGLAGIAIGAVGFTDVIVGLLAGY -GAGVAGALTAFKILSGVTPSGEDLINLLPSLLNPGALAVGVGAAFILKRYTGGSEGLVAWVNRLIAFCSR -GNHVSPDHYVQQQQVVKDVIACLESLTLTRLVKTIHNFVTSENDQNC - ->YP_009227298.1 putative NS4B peptide [Human pegivirus 2] -RPDATDETAAYAQRLYQACADSGIFASLQGTASAALGKLADASRGASQYLAAAPPSPAPLVQVLQFLETN -FSSIASFGLLCAGCQAGECFTALAGLVSGATAGLGGAHKWLLAIAGTWLVSLQTGSRGGMVAGLSILAGC -CIGSVTGLDFLFGCLTGWEAVVGAAVATQKILSGSADMTTLVDLLPALFSPGAGIAGIVLVFILSNSSVT -TWANRLLSMCAKQTICENYFLSERFGQQLSKLSLWRSVYHWAQAREGYTQCG - diff --git a/seq/clusters_seq/cluster_663 b/seq/clusters_seq/cluster_663 deleted file mode 100644 index f59b5b7..0000000 --- a/seq/clusters_seq/cluster_663 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009709865.1 NS2 protein [Hepatitis C virus (isolate H77)] -LDTEVAASCGGVVLVGLMALTLSPYYKRYISWCMWWLQYFLTRVEAQLHVWVPPLNVRGGRDAVILLMCV -VHPTLVFDITKLLLAIFGPLWILQASLLKVPYFVRVQGLLRICALARKIAGGHYVQMAIIKLGALTGTYV -YNHLTPLRDWAHNGLRDLAVAVEPVVFSRMETKLITWGADTAACGDIINGLPVSARRGQEILLGPADGMV -SKGWRLL - ->YP_009664187.1 nonstructural protein NS2 [Bat hepacivirus] -GPVEVACAGVPVVAWALLLLSITPAGWRLQLRIKWTVQYVFTRFELWAWSWNRALRPDHQAGAFLLFCSF -FYPSLVLEVAFYLFMSITVFCNIFIFFFNLCSNKQAAALMVLKNITVIGGKTAAYVQAAVIWLLGLCGIY -IYDHLTPLKWWAAPGLRKLLLSVEPCTASPMEERIIRCSAESVACGDEKHGLPVSARLGELIHLGPTNVL -PTGWRHC - ->YP_009664177.1 nonstructural protein NS2 [Hepacivirus K] -GPYEVAFAGVPIVLWASLLLAITPAGRRLQLRIRWAAIYLRTRLEINMRDIRHEFNPELPGGALLILLAV -FYPDLVLQMSMAVYSIVVIIAQVLELCLRLGDNRTAAAIRMLRWAGGMGRVTGPIAQKVGVWFFQLTGTY -IYDHLTPLADWAAPGLKEMLDSIEPCTIGPVESKIIEDNAMTLACGDEIDGLPVAARMGDLVRLGPVKVL -PTGWRHC - ->YP_009664167.1 nonstructural protein NS2 [Hepacivirus M] -GPVEVACASVPVVAWALLLLSITPAGWRLQLRIKWTVQYIFTRFELWAWSWNRALRPDHQAGAFLLFCSF -FYPSFVLEVAFYLFMSITVFCNIFIFFFNLCSNKQAAALMVLKNITVIGGKTAAYVQAAVIWLLGLCGIY -IYDHLTPLKWWAAPGLRKLLLSVEPCTASPMEERIIRCSAESVACGDEKHGLPVSARLGELIHLGPTNVL -PTGWRHC - ->YP_009664197.1 nonstructural protein NS2 [Non-primate hepacivirus NZP1] -FDNASAVTAAFSIALFCLYITCLSCYKKLFMLVKWWLEYWDVRIECAWRYLGPRVNPRDEKLAFALLFSF -FHPSLFRCIYLPLAVICGSFSMINKRVQKISYLRRAEVLVRVLSICRDVYGSKWVQWCILWLASHLGTFL -YDHLTPIDTWAAPGLRDLMHSLEPITLSPMERKVVKWGARKIACGDILRGLPVSARLGREICLGPADKLT -SKGWRLL - ->YP_009325386.1 nonstructural protein NS2 [Equine hepacivirus JPN3/JAPAN/2013] -FDNASAVTAAFSMALFCLYITCLSCYKRLFMLVKWWLEYWDVRVECAWRYLGPRVNPRDEKLAFALIFTF -FYPSLFRCIYLPLAVVCGSFSMINKRVQKITYLRRAEVLIKILTFCRDFYGSKWVQWCVLWVASYFGTFL -YNHLTPIDTWAAPGLRDLMHSLEPITLSPMERKVVKWGARKVACGDILHGLPVSARLGREICLGPADKLS -SKGWRLL - diff --git a/seq/clusters_seq/cluster_664 b/seq/clusters_seq/cluster_664 deleted file mode 100644 index 7f9f218..0000000 --- a/seq/clusters_seq/cluster_664 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009518850.1 nonstructural protein 1 [Human orthopneumovirus] -MGSNSLSMIKVRLQNLFDNDEVALLKITCYTDKLIHLTNALAKAVIHTIKLNGIVFVHVITSSDICPNNN -IVVKSNFTTMPVLQNGGYIWEMMELTHCSQPNGLLDDNCEIKFSKKLSDSTMTNYMNQLSELLGFDLNP - ->YP_009505448.1 nonstructural protein 1 [Bovine respiratory syncytial virus ATCC51908] -MGSETLSVIQVRLRNIYDNDKVALLKITCHTNRLILLTHTLAKSVIHTIKLSGIVFIHIITSSDYCPTSD -IINSANFTSMPILQNGGYIWELMELTHCFQTNGLIDDNCEITFSKRLSDSELAKYSNQLSTLLGLN - ->NP_056856.1 nonstructural protein 1 [Human orthopneumovirus] -MGCNSLSMIKVRLQNLFDNDEVALLKITCYTDKLILLTNALAKAAIHTIKLNGIVFIHVITSSEVCPDNN -IVVKSNFTTMPILQNGGYIWELIELTHCSQLNGLMDDNCEIKFSKRLSDSVMTNYMNQISDLLGLDLNS - ->sp|Q65703.1|NS1_ORSVW RecName: Full=Non-structural protein 1; AltName: Full=Non-structural protein 1C -MGSETLSVIQVRLQNIYDNDKVALLKITCNTNRLILLTHTLAKSVIHTIKLNGTVFLHIVTSSDFCPTSD -IIESANFTTMPVLQNGGYIWELIELTHCFQTNGLIDDNCEVTFSKRLSDSELEKYSSQLSDLLGLN - ->sp|Q86306.1|NS1_HRSVL RecName: Full=Non-structural protein 1; AltName: Full=Non-structural protein 1C -MGSNSLSMIKVRLQNLFDNDEVALLKITCYTDKLIHLTNALAKAVIHTIKLNGIVFVHVITSSDICPNNN -IVVKSNFTTMPALQNGGYIWEMMELTHCSQPNGLIDDNCEIKFSKKLSDSTMTNYMNQLSELLGFDLNP - ->sp|P24568.1|NS1_HRSV1 RecName: Full=Non-structural protein 1; AltName: Full=Non-structural protein 1C -MGCNSLSMIKVRLQNLFDNDEVALLKITCYTDKLILLTNALAKAVIHTIKLNGIVFIHVITSSEVCPDNN -IVVKSNFTTMPILQNGGYIWELIELTHCSQSNGLMVDNCEIKFSKRLSDSVMTNYMNQISDLLGLDLNS - diff --git a/seq/clusters_seq/cluster_665 b/seq/clusters_seq/cluster_665 deleted file mode 100644 index 207e85a..0000000 --- a/seq/clusters_seq/cluster_665 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_007188583.1 NS3D protein [Betacoronavirus England 1] -MAFSASLFKPVQLVPVSPAFHRIESTDSIVFTYIPASGYVAALAVNVCLIPLLLLLRQDTCRRSIIRTMV -LYFLVLYNFLLAIVLVNGVHYPTGSCLIAFLVILIILWFVDRIRFCLMLNSYIPLFDMRSHFIRVSTVSS -HGMVPVIHTKPLFIRNFDQRCSCSRCFYLHSSTYIECTYISRFSKISLVSVTDFSLNGNVSTVFVPATRD -SVPLHIIAPSSLIV - ->YP_009361861.1 ORF5 protein [Bat coronavirus] -MAFSLALFKPISLVPAFPEAHGGEPAQFANVFTCIPTVGYIAALTVNVCILPLLLLIPQDTCRRSIFKTS -ILYGLFVYNFILAITLINGVYTPTGGTLVAFLVVLMITWLADRVRFCLLLRSYIPLFDMRSHFIRVSTVS -SYGMVPVNQTKPLFIRNFDQRCRCSRCFYVHSSHYLECTYISRFTKVSLVAVTDFSLNGITSTVFVPSTR -DSVPLHIIAPSVLSV - ->YP_001039966.1 hypothetical protein BatCoVHKU5_gp6 [Pipistrellus bat coronavirus HKU5] -MAFSPSLFQPLVIQKETHGGEPSSPNHVIACIPLTGYVAALVVNACFYPLLFCLPYSSCRASVCKTLVLY -VLMLYNFILSCILVEDTQQPVGICLMVYCIILMAIWTIDRVRFCLLIRSLRPLIDMRSNFIRVNTVAGGV -VIPVNYSKPWFVKNFNQRCRCTNCFFAHSATYLECTFISRFSKTTLVSISDFQLNGSHSTVFVPFNSRDS -VPLHIIAPSVLTV - ->YP_001039957.1 hypothetical protein BatCoVHKU4_gp6 [Tylonycteris bat coronavirus HKU4] -MAFSASLFRTKTVHTEDAFCPRSAIQAEQPPNIIDCIPVAGYEAALITNALFLLVLFVFNPLTCKGNWIK -AILFYSLLLYNMILAIFLVVDTQHFVSALLLAYVVTFLVLWTADRIRLSCAVGSVLPFVDMRSSYIRVDN -GNSSVVVPMNHTKHWFIRNFEQSCHCENCFYIHSSSYVECTFISRLKKSILVSVCDFSLGGNVSTVFVPS -SDKTVPLHIIAPSKLYV - ->YP_009513015.1 ORF5 [Betacoronavirus Erinaceus/VMC/DEU/2012] -MAYTLSLFKPVQASLASPELISNNQESVAYVDRSLTMLTCIPIQAYTALLAVNACIFPLLLSLKCDTCRS -SMIKTFAVYLLMFYNFIIATVLTSSNNTTLGTPILVFIVVVSIIWLVDRIRFCLMLKTLLPLVDMRSHFI -RVSTCTSHTVVGVSHSRPYFIKNFEQTCICPKCCFIHSINFLECTFYSRFTKISLVSVAEFSVADNISTV -YAPQTRGAVPLHIIAPSVLTHFF - ->sp|Q0Q4E9.1|NS3D_BC133 RecName: Full=Non-structural protein 3d; Short=ns3d; AltName: Full=Accessory protein 3d -MAFSASLFRTKTVHTEDALCPRSAIQAEQPPNIIDCIPVAGYEAALVTNALFLLVLFVFNPLTCKGNWIK -AILFYSLLLYNMILAIFLVIDTQHFVSALLLAYVVTFLILWTADRVRLSCAVGSVLPFVDMRSSYIRVDN -GNSSVVVPMNHTKHWFIRNFEQSCHCENCFYIHSSSYVECTFISRLKKSILVSVCDFSLGGNVSTVFVPS -SDKTVPLHIIAPSKLYV - diff --git a/seq/clusters_seq/cluster_666 b/seq/clusters_seq/cluster_666 deleted file mode 100644 index 6164f9d..0000000 --- a/seq/clusters_seq/cluster_666 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_007188580.1 NS3A protein [Betacoronavirus England 1] -MRVQRPPTLLLVFSLSLLVTAFSKPLYVPEHCQNYSGCMLRACIKTAQADTAGLYTNFRIDVPSAESTGT -QSVSVDRESTSTHDGPTEHVTSVNLFDVGYSVN - ->YP_009361858.1 ORF3 protein [Bat coronavirus] -MRVQRPPTLLLVVGLTLLALAYSKPLYVPEHCQNYSGRMLRACIRTAQTDTVGLYTNLVIQTGTATFESA -VPVDRGSPSTHADTYELNTSVTLFDVGYSVN - ->YP_001039963.1 hypothetical protein BatCoVHKU5_gp3 [Pipistrellus bat coronavirus HKU5] -MMSMRSRRSMFIEHFNELMMRVQRPPTLLLILLVANAFSKPIGTPMPEHCSTLVGREFQSCIRQAQFDTA -GMYTNRVIVLDRARTHRYPIDRDTTTAHDTSYDTSDPQLLSDIGYSFDYGK - ->YP_001039954.1 hypothetical protein BatCoVHKU4_gp3 [Tylonycteris bat coronavirus HKU4] -MVSFNATAILLLLLANAFSKPLYVPEHCGGMSGTLFQACIRQTMVDTTGMYTNSAMSHDGVTIPFDRDGI -VHEDHYTETNPTPLFDAGFSV - ->YP_009047205.1 NS3 protein [Middle East respiratory syndrome-related coronavirus] -MRVQRPPTLLLVFSLSLLVTASSKPLYVPEHCQNYSGCMLRACIKTAQADTAGLYTNFRIDVPSAESTGT -QSVSVDLESTSTHDGPTEHVTSVNLFDVGYSVN - ->sp|Q0Q4F1.1|NS3A_BC133 RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; Flags: Precursor -MVSFNATAILLVLVANAFSKPLYVPEHCVGMSGTLFQACIRQTMVDTTGMYTNSAMSYDGTTIPFDRDGI -VHQDHYTDTKPTPLSDVGFSV - diff --git a/seq/clusters_seq/cluster_667 b/seq/clusters_seq/cluster_667 deleted file mode 100644 index 0c36254..0000000 --- a/seq/clusters_seq/cluster_667 +++ /dev/null @@ -1,66 +0,0 @@ ->YP_009944270.1 nsp2 [Human coronavirus HKU1] -IKPVLFVDQYGCDYSGKLADCLQAYGHYSLQDMRQKQSVWLANCDFDIVVAWHVVRDSRFVMRLQTIATI -CGIKYVAQPTEDVVDGDVVIREPVHLLSADAIVLKLPSLMKVMTHMDDFSIKSIYNVDLCDCGFVMQYGY -VDCFNDNCDFYGWVSGNMMDGFSCPLCCTVYDSSEVKAQSSGVIPENPVLFTNSTDTVNHDSFNLYGYSV -TPFGSCIYWSPRPGLWIPIIKSSVKSYDDLVYSGVVGCKSIVKETALITHALYLDYVQCKCGNLEQNHIL -GVNNSWCRQLLLNRGDYNMLLKNIDLFVKRRADFACKFAVCGDGFVPFLLDGLIPRSYYLIQSGIFFTSL -MSQFSQEVSDMCLKMCILFMDRVSVATFYIEHYVNRLVTQFKLLGTTLVNKMVNWFNTMLDASAPATGWL -LYQLLNGLFVVSQANFNFVALIPDYAKILVNKFYTFFKLLLECVTVDVLKDMPVLKTINGLVCIVGNKFY -NVSTGLIPGFVLPCNAQEQQIYFFEGVAESVIVEDDVIENVKSSLSSYEYCQPPKSVEKICIIDNMYMGK -CGDKFFPIVMNDKNICLLDQAWRFPCA - ->YP_009944255.1 nsp2 [Rabbit coronavirus HKU14] -VKPLLYVDQYGCDYTGNLAEGLEAYAEFSLQEMKELFPIWSLSLPYDVVVAWHVVRDPQFVMKLQSLATI -RSIEYVAEPTEDLVDGTVVIKEPVHTLAPDAIVLKLPKLIDLMQHTDSTVVESIYKTKLKHCGFVMQFGY -VECSQDDCTFTGWVPGNMIDGFACTSCAFVYGTVDLLAQSSGVMPQNPVLFTKGQAITNGDSFKLYGNSV -IPFGGCLYWSPTPGVWLPLIKSSVKAYDGMVYTGVVGCKTIVKETEAVCKALYLDYVQYKCSDLKQREGL -GLADVWHKQLLINRGDYQPLLDNVDYFSMRRARFSMETATVCSEGFMPFLLDGLVPRTYYLVKSGQAFCD -MLCEFGQEVADLSKELLVVTLDSVTSALQFLTLNVGRLTECLKGFGIKFVNKLIQYFKTATRCTALAFAW -VLLHVLRGAYIVVESDIYFIMSIPDYARVVVRTFQNVFKMALDCVKVSFLKGLSAFKIGREKICFVGSKF -YKVERGNLNDLVRRDLVVPSVTQRAKNQQPVYLTGNCAPVNVDDDVVEVVTNPVTSCGYQKPPQKCDKIC -IVDNVYMAKCGEKFFPVVVNEDYIGLLDQAWRFPCA - ->YP_009915689.1 nsp2 [Murine hepatitis virus] -VKPILFVDQYGCDYTGCLAKGLEDYGDLTLSEMKELFPVWRDSLDSEVLVAWHVDRDPRAAMRLQTLATV -RCIDYVGQPTEDVVDGDVVVREPAHLLAANAIVKRLPRLVETMLYTDSSVTEFCYKTKLCECGFITQFGY -VDCCGDTCDFRGWVAGNMMDGFPCPGCTKNYMPWELEAQSSGVIPEGGVLFTQSTDTVNRESFKLYGHAV -VPFGSAVYWSPCPGMWLPVIWSSVKSYSGLTYTGVVGCKAIVQETDAICRSLYMDYVQHKCGNLEQRAIL -GLDDVYHRQLLVNRGDYSLLLENVDLFVKRRAEFACKFATCGDGLVPLLLDGLVPRSYYLIKSGQAFTSM -MVNFSHEVTDMCMDMALLFMHDVKVATKYVKKVTGKLAVRFKALGVAVVRKITEWFDLAVDIAASAAGWL -CYQLVNGLFAVANGVITFVQEVPELVKNFVDKFKAFFKVLIDSMSVSILSGLTVVKTASNRVCLAGSKVY -EVVQKSLSAYVMPVGCSEATCLVGEIEPAVFEDDVVDVVKAPLTYQGCCKPPTSFEKICIVDKLYMAKCG -DQFYPVVVDNDTVGVLDQCWRFPCA - ->YP_009924369.1 nsp2 [Rat coronavirus Parker] -VKPILFVDQYGCDYTGCLAKGLEDYGDLTLSEMKELFPVWRESLDNEVVVAWHVDRDPRAVMRLQTLATL -RSIDYVGQPTEDVVDGDVVVREPAHLLAADALVKRLPRLVETMLYTDSSVTEFCYKTKLCDCGFITQFGY -VDCCGDTCDFRGWVPGNMLDGFPCPGCSKSYMPWELEAQSSGVIPEGGVLFTQSTDTVNREAFKLYGHAV -VPFGSAVYWSPYPGMWLPVVWSSVKSYSGLTYTGVVGCKAIVQETDAICRSLYMDYVQHKCGNLDQRATL -GLDDVYHRQLLVNRGDYSLLLENVDLFVKRRAEFACKFATCGDGFVPLLLDGLVPRSYYLIKSGQAYTSM -MVNFSHEVIDMCMDMALLFMHDVKVATKYVKKFTGKLAVRFKALGVAVVRKITEWFDLAVDIAASAAGWL -CYQLVNGLFAVANGVITFVQEAPELVKNFVAKFRAFFKVLIDSMSVSILSGLTVVKTASNRVCLAGSKVY -EVVQKSLSAYVLPVGCSEATCLVGESEPAVFEDDVVGVVKTPLTYQGCCKPPTSFEKICIVDKLYMAKCG -DQFYPVVVDNDTVGVLDQCWRFPCA - ->YP_209245.1 nsp2 or p65 [Murine hepatitis virus strain JHM] -VKPILFVDQYGCDYTGCLAKGLEDYGDLTLSEMKELSPVWRDSLDNEVVVAWHVDRDPRAVMRLQTLATV -RSIEYVGQPIEDMVDGDVVMREPAHLLAPNAIVKRLPRLVETMLYTDSSVTEFCYKTKLCDCGFITQFGY -VDCCGDTCGFRGWVPGNMMDGFPCPGCCKSYMPWELEAQSSGVIPEGGVLFTQSTDTVNRESFKLYGHAV -VPFGGAAYWSPYPGMWLPVIWSSVKSYSYLTYTGVVGCKAIVQETDAICRFLYMDYVQHKCGNLEQRAIL -GLDDVYHRQLLVNRGDYSLLLENVDLFVKRRAEFACKFATCGDGLVPLLLDGLVPRSYYLIKSGQAFTSL -MVNFSREVVDMCMDMALLFMHDVKVATKYVKKVTGKVAVRFKALGIAVVRKITEWFDLAVDTAASAAGWL -CYQLVNGLFAVANGVITFIQEVPELVKNFVDKFKTFFKVLIDSMSVSILSGLTVVKTASNRVCLAGSKVY -EVVQKSLPAYIMPVGCSEATCLVGEIEPAVFEDDVVDVVKAPLTYQGCCKPPSSFEKICIVDKLYMAKCG -DQFYPVVVDNDTVGVLDQCWRFPCA - ->YP_009924320.1 nsp2 [Human coronavirus OC43] -VKPLLYVDQYGCDYTGSLADGLEAYADKTLQEMKALFPTWSQELLFDVIVAWHVVRDPRYVMRLQSAATI -RSVAYVANPTEDLCDGSVVIKEPVHVYADDSIILRQYNLVDIMSHFYMEADTVVNAFYGVALKDCGFVMQ -FGYIDCEQDSCDFKGWIPGNMIDGFACTTCGHVYEVGDLMAQSSGVLPVNPVLHTKSAAGYGGFGCKDSF -TLYGQTVVYFGGCVYWSPARNIWIPILKSSVKSYDSLVYTGVLGCKAIVKETNLICKALYLDYVQHKCGN -LHQRELLGVSDVWHKQLLLNRGVYKPLLENIDYFNMRRAKFSLETFTVCADGFMPFLLDDLVPRAYYLAV -SGQAFCDYADKLCHAVVSKSKELLDVSLDSLGAAIHYLNSKIVDLAQHFSDFGTSFVSKIVHFFKTFTTS -TALAFAWVLFHVLHGAYIVVESDIYFVKNIPRYASAVAQAFQSVAKVVLDSLRVTFIDGLSCFKIGRRRI -CLSGRKIYEVERGLLHSSQLPLDVYDLTMPSQVQKAKQKPIYLKGSGSDFSLADSVVEVVTTSLTPCGYS -EPPKVAAKICIVDNVYMAKAGDKYYPVVVDDHVGLLDQAWRVPCA - diff --git a/seq/clusters_seq/cluster_668 b/seq/clusters_seq/cluster_668 deleted file mode 100644 index 56bdaf3..0000000 --- a/seq/clusters_seq/cluster_668 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_173239.1 non-structural protein [Human coronavirus HKU1] -MDVWRPSYTHSLVIREFGVTNLEDLCLKYNYCQPIVGYCIVPLNVWCRKFGKFASHFTLRSHDISHSNNF -GVVTSFTTYGNTVSEAVSRLVESASEFIVWRAEALNKYG - ->YP_009113027.1 non-structural protein NS5 [Betacoronavirus HKU24] -MDPWAVDNIVYTRAFDVYNLESYCSIFGYKRPAVGYSFVHNNSWCRNQGKVLATLTLYGKTDKNFGIISG -FKSFGNSPSEAVNKLVSETIEFILWRAEHLNRYG - ->YP_209235.1 accessory protein 5a [Murine hepatitis virus strain JHM] -MEIWLVSDAWLRRTRDFGVTRLEDFCFQFNYCQPRVGYCRVPLKAWCSNQGKFAAQFTLKSCEKSGHQKF -ITSFTAYGKTVKQAVSKLVEEAADFIIWRATQLERNV - ->YP_009824984.1 ORF5a protein [Murine hepatitis virus] -MRPTATWIWHVSDAWLRRTRDFGVIRLEDFCFQFNYSQPRVGYCRVPLKAWCSNQGKFAAQFTLKSCEKP -GHEKFITSFTAYGRTVQQAVSKLVEEAVDFILFRATQLERNV - ->sp|Q0ZME6.1|NS12_CVHN5 RecName: Full=Non-structural protein 4; Short=ns4; AltName: Full=Accessory protein 4; AltName: Full=Non-structural protein of 12.5 kDa; Short=ns12.5; AltName: Full=Orf4 protein -MEVWRPSYKYSLITREFGVTDLEDLCFKYNYCQPCVGYCIVPLNVWCRKFGKFASYFVLRSHDTSHKNNF -GVITSFTSYGNTVSEAVSKLVESASDFIAWRAEALNKYG - ->sp|Q9IKC9.1|NS12_CVRSD RecName: Full=Non-structural protein of 12.7 kDa; Short=ns12.7; AltName: Full=12.7 kDa accessory protein -MEIWHVSDARLRRTRDFGVTRLEDFCFQFNYIQPRVGYCRVPLKAWCSNQGKFAAQFTLKSCEKSGQEKV -ITSFTAYDKTVKKAVSKLVEEAVDFIIWRATYLERNV - diff --git a/seq/clusters_seq/cluster_669 b/seq/clusters_seq/cluster_669 deleted file mode 100644 index ffd03f3..0000000 --- a/seq/clusters_seq/cluster_669 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009113026.1 non-structural protein NS4 [Betacoronavirus HKU24] -MSDSVKIIAILVLTPLLIALVVGVCLLHLSFVISGLDIRNTTNPSARIGTVCYTIRTPPTSVVTSGDDGR -VTLIKPTDTYTSVYLGKFRGFDTGTTGFKTPDYSTSTKPILTTGKPYNFRHLPKFRRLTPTWIPGL - ->YP_003029849.1 15 kD non-structural protein [Rat coronavirus Parker] -MAALGHKAKLAAVFIGPFIVACMLGISLVYLYQLQVQIFHVNNTIRVTGKPATVSYTLSTPVTPVATTLD -GTTYTLIRPTSSYTRVYLGKTRGFDTSTFGPKVLNYITSSKPHLNSGRPYTFRHMPKYMKGLV - ->YP_209234.1 accessory protein 4 [Murine hepatitis virus strain JHM] -MALIGPKTTIAAVFIGPFLVACMLGIGLVYLLQLQVQIFHVKDTIRVTGKPATVSYTTSTPVTPVATTLD -GTTYTLIRPTSSYTRVYLGSSRGFDTSTFGPKTLDYITSSKPHLNSGRPYTLRHLPKYMTPPATWRFGL - ->sp|P0C5A8.1|NS4_CVMA5 RecName: Full=Non-structural protein 4; Short=ns4; AltName: Full=Accessory protein 4 -MAVLGPKATLAAVFIGPFIVACMLGIGLVYLLQLQVQIFHVKDTIRVTGKPATVSYTTSTPVTPSATTLD -GTTYTLIRPTSSYTRVYLGTPRGFDYSTFGPKTLDYVTNLNLILILVVHILLRHCPGI - ->sp|Q9IKD0.1|NS4_CVRSD RecName: Full=Non-structural protein 4; AltName: Full=15 kDa non-structural protein; AltName: Full=Accessory protein 4; AltName: Full=ns4 -MAALGHKAKLAAVFIGPFIVACMLGISLVYLYQLQVQIFHVNNTIRVTGKPATVSYTLSTPVTPVATTLD -GTTYTLIRPTSSYTRVYLGKTRGFDTSTFGPKVLNYITSSKPHLNSGRPYTFRHMPKYMTPSATWRFGM - ->sp|P29075.1|NS4_CVMS RecName: Full=Non-structural protein 4; Short=ns4; AltName: Full=Accessory protein 4 -MAVLGPKATLAAVFIGPFIVACMLGIGLVYLLQLQVQIFHVKDTIRVTGKPATVSYTISTPVTPSATTLD -GTTYTLIRPTSSYTRVYLGKTRGFDTSTFGPKVLDYITSSKPHLNSGRPYSLSC - diff --git a/seq/clusters_seq/cluster_67 b/seq/clusters_seq/cluster_67 deleted file mode 100644 index 85e6083..0000000 --- a/seq/clusters_seq/cluster_67 +++ /dev/null @@ -1,150 +0,0 @@ ->YP_010088114.1 6K2 [Platycodon mild mottle virus] -DEKSMSKHLGLKGHWNKSLITKDIMILIAASAGCAWMLYEYFVAKVSEPMEFQ - ->YP_009667119.1 6K2 protein [Tuberose mild mottle virus] -SCNSVSEKLGLKGRWNKELMTRDLVVSASVGIGAAIMLYYWFKGEVESPVHHQ - ->YP_009667066.1 6K2 [Iris mild mosaic virus] -SRDDVIKTLGLQGKWNGTLLTRDILVCLGAVGGGIWLLYQYLKDFMSEAVNHQ - ->YP_009509103.1 6K2 [Daphne virus Y] -GKDNVAKFAELEGRWNGSLMTKDILIVGSILVGGCWMIYESFTERMNEKVSYQ - ->YP_009466010.1 6K2 [Hyacinth mosaic virus] -GVNEVACELALKGRWNKSLVTQDLFLLVPLFVGGVWMTYTYFKNMYEKKVYHQ - ->YP_009389255.1 6K2 [Cucurbit vein banding virus] -KESVSSHLKLKGKWNASKITNDLFIMLFVLIGGCWMLYERFKTAMTEPVYHQG - ->YP_009310045.1 6K2 [Euphorbia ringspot virus] -SKDSVAKNLELKGRWNRSLITKDLLVIGGIICGGAWMLYEHLVAKMQCEVQHQ - ->YP_009272675.1 6K2 [Callistephus mottle virus] -STQAMAQHLQLQGKWNGSLITKDILIMLATLLGGSWLLYTWFRESWNQPVYHQ - ->YP_009259517.1 6K2 protein [Wild onion symptomless virus] -TTHSVSKFFNLKGRWNASLITRDILILAGILSGGIWMILQHFSTSFKDVVHHE - ->YP_009221988.1 6K2 protein [Jasmine virus T] -SKSGMAKHLSLQGMWKKNLITRDVLVLLGVVGGSAWMLYELFRARTNEAVHFQ - ->YP_009126866.1 6K2 protein [Tamarillo leaf malformation virus] -SRNEVAKFLKLEGHWNKSLATRDFLVMISVAIGGASMLYSWFKKETNESISLE - ->YP_009010963.1 6K2 [Narcissus late season yellows virus] -STHEVSKCLGIKGRWNTSLITRDVLVLAGVFGGGIWMLLSHYATAANEVVHHE - ->YP_008719793.1 6K2 protein [Pokeweed mosaic virus] -SQAGVAQALKLKGIWKKSLITRDLLIIFCVFIGGLYMILLYFYETFHSEVKFE - ->YP_008320587.1 second 6-kDa protein [Habenaria mosaic virus] -SRDGIIKTLNLQGKWKGTLITRDLLVCAGLACGGVWLLYQYIRNFMNEPVEHQ - ->YP_007969889.1 6K2 [Donkey orchid virus A] -SAPEVSEFLELKGVWNYSLITKDLLICGGVLIGGMYMAYSCFTQAVGEVVHHQ - ->YP_007001279.1 6K2 [Ornithogalum mosaic virus] -DTKSISRALGLRGKWNGELLTRDIFVNGCVLSGGIWMIWQFFKGKFGEEVSHQ - ->YP_006493339.1 6K2 [Sweet potato virus G] -SEDAMKKHLKLKGIWSKSLITQDILVLAGVFIGGMWMILQNTKDSFDEIVQHQ - ->YP_006438193.1 6K2 protein [Sweet potato virus 2] -SAEAMAKHLKLKGIWSKSIMTQDLLDQAGVFIGGIWMTMQGAKDTFDETVRHQ - ->YP_006423990.1 6K2 protein [Chilli ringspot virus] -SKEGVSKALKLKSRWNKSLLTRDVLVCAGVAVGGVWLLYQYLVDQFKAPVSHQ - ->YP_006423978.1 6K2 protein [Celery mosaic virus] -NANEVSKQLQLKGRWNKSVVTKDFIVLGGIFAGGSYLIYTWFTETFDKQVYHQ - ->YP_006390062.1 6K2 [Hippeastrum mosaic virus] -NKNDMSKFVGLKGKWDSSLLTRDLIVCSVVLVGGIWLTYEHLLRGHQEDVTYQ - ->YP_006280736.1 6K2 protein [Tomato necrotic stunt virus] -SKNEMSKGLELKGKWNKSLIARDGIIVTSVIVGGVWMLYSWFTNSVNEVRHQ - ->YP_004123947.1 6K2 [Lupinus mosaic virus] -SGSDMSKALKLKGVWNKGAITRDILITLGIIAGGTVMLWQHFRSKWCEDVYHQ - ->YP_004123959.1 6K2 [Apium virus Y] -STHGISKVLQLQGRWNKSVITKDLIVVGGILLGGSYMIYTWFRESFAMEVYHQ - ->YP_004046676.1 6K2 [Sweet potato virus C] -TKSDLAKHLQLKGIWNKSVITQDLLVLAGVFVGGVWMVFSGAKESFEQTVAHQ - ->YP_003725724.1 6K2 [Panax virus Y] -SSGGISNSLGLKGLWNKSAITKDVVVIGGLLVGGAWMTYTWFKESYYQPVFHQ - ->YP_003717812.1 6K2 [Freesia mosaic virus] -DNKEEIGKRLGLKGRWNGSLLTHDLMVCGLVAIGGFWMAWEYYVAESKDLVRYQ - ->YP_002539447.1 6K2 [Pepper veinal mottle virus] -STEEIGKFLGLKGFWNKKLLTRDVLIGAGVACGGAWMLYQFVMDSFGDDVSHQ - ->YP_002308560.1 6K2 protein [Narcissus yellow stripe virus] -SKHDISKCLGIKGRWNSSLITRDVLVLAGVLGGGIWMLLSHYLKSANELVHHE - ->YP_001816851.1 6K2 protein [Wild tomato mosaic virus] -SKQDISKFLKLKGVWNGALLTRDVLIAAGVACGGAWMMYQYLMDSFSDNVDHQ - ->YP_001552417.1 6K2 [Tobacco vein banding mosaic virus] -SKDEIATALKLKSRWNKSLITRDLLVCFGVAAGGAWMIYQYLMNKCNEVVEHQ - ->YP_611116.1 6K2 protein [Daphne mosaic virus] -GKDALANFSGVQGRWNGWLITKDLLVVGGVKAGGFWLLYSSFIHRMSERVEYQ - ->YP_529495.1 6K2 protein [Konjac mosaic virus] -SSNAVSEVLNLKGKWNKSLMTCDLIVTAAVAIGGGYMIVKWFRDRMTEKVVHQ - ->YP_331419.1 6K2 protein [Shallot yellow stripe virus] -TKEQLSKGLCLQGKYEMSKISKDVIVCGLTLAGGLFMIYKSFCDGVESKVHYQ - ->YP_022757.1 6K2 protein [Yam mosaic virus] -SENEMSKYLGLKGRWNKQLLTKDILVMIAVFGGGVWMAYEYLVKSMETVKHQ - ->NP_945141.1 6K2 protein [Lily mottle virus] -TLESMAQHLQLKGHWNKSLLTHDVVICGAVLLGCVLMVGSYFKERCSGVVKRYNENVKFQ - ->NP_871733.1 6K2 [Papaya leaf distortion mosaic virus] -SRQEISKFLNLRGKWNKSLITRDILVLLGVTIGGFWMIWDKFKSNIEEVHHE - ->NP_734394.1 6K2 protein [Cocksfoot streak virus] -TSSKLSTALGLKGRWDGSLITRDIIVLGCVLIGGLLMVCEFFKWKKNEPVHHQ - ->NP_734128.1 6K2 protein [Scallion mosaic virus] -TLSGVSKSLNLKGRWDKSLITRDIFVLLGVICGGCWMLYNHLKASFEEIVIHE - ->NP_734098.1 6K2 protein [Leek yellow stripe virus] -SENEMSDFLGLKGRWNKSVIIKDIVLASVTAVGGVLMMYEYVKGKLEEPMDYQ - ->NP_734228.1 6K2 protein [Japanese yam mosaic virus] -SEKAMSEHFKLKGRWNKPLITRDIMIMIGVLLGGLMMVYKQFKSQMTEEVHHE - ->NP_734414.1 6K2 protein [Peanut mottle virus] -SKNELSKRLGLKGRWNKSLVTNDLLVCGMVLFGGVWMVWEYAKSAMNEPVRYQ - ->NP_734314.1 6K2 protein [Sweet potato feathery mottle virus] -TKDSLSKHLRLKGVWNKSVITQDLFILAGVFAGGLWMIMAGLKESFDQTVQHQ - ->NP_734218.1 6K2 protein [Turnip mosaic virus] -NTSDMSKFLKLKGKWNKTLITRDVLVLCGVLGGGLWMVIQHLRSKMSEPVTHE - ->NP_734332.1 6K2 protein [Tobacco vein mottling virus] -SESEMARGLKLSGHWKWSLISRDLIVVSGVGIGLGCMLWQFFKEKMHEPVKFQ - ->NP_734203.1 6K2 protein [Tobacco etch virus] -SDSEVAKHLKLKSHWNKSQITRDIIIALSVLIGGGWMLATYFKDKFNEPVYFQ - ->NP_734364.1 6K2 protein [Potato virus A] -SGTQVSNFLGLEGHWKKSLITKDLLIVGGVCVGAAWMIGEYFFKKSKGVVAFQ - ->NP_734344.1 6K2 protein [Plum pox virus] -TKEGVSKALQLKGHWNKRLITRDATLMLGVLGGGAWMIFSYLRDSFKEEVIHQ - ->NP_734424.1 6K2 protein [Pea seed-borne mosaic virus] -SKSEVSKTLQLKGKWNKPLITSDFFVVCMVSIGCVVLMYQIFMAKWNEPVKLE - ->NP_734158.1 6K2 protein [Lettuce mosaic virus] -SKGALSKRLGLKGRWNQSLICKDLLIFCGVAIGGTWMMFQSFKDGMADVIRHQ - diff --git a/seq/clusters_seq/cluster_670 b/seq/clusters_seq/cluster_670 deleted file mode 100644 index cb20d25..0000000 --- a/seq/clusters_seq/cluster_670 +++ /dev/null @@ -1,18 +0,0 @@ ->NP_150078.1 4.9 kDa non-structural protein [Bovine coronavirus] -MKTKFVFDLLTPDDILHPSNHVNLIIRPI - ->sp|P0C2R7.1|NS49_CVBON RecName: Full=Truncated non-structural protein of 4.9 kDa; Short=Truncated ns4.9; AltName: Full=Truncated 4.9 kDa accessory protein -MKTKFVFDLLAPDDILHPSNHVNLIIRLI - ->sp|Q9QAS1.1|NS49_CVBLY RecName: Full=Non-structural protein of 4.9 kDa; Short=ns4.9; AltName: Full=4.9 kDa accessory protein -MTTKFVFDLLAPDDILHPFNHVKLIIIRPIEVEHIIIATTMPAV - ->sp|Q9QAR4.1|NS49_CVBLS RecName: Full=Truncated non-structural protein of 4.9 kDa; Short=Truncated ns4.9; AltName: Full=Truncated 4.9 kDa accessory protein -MTTKFVFDLLAPDDILHPSNHVNLIIRPI - ->sp|Q9QAQ7.1|NS49_CVBOK RecName: Full=Non-structural protein of 4.9 kDa; Short=ns4.9; AltName: Full=4.9 kDa accessory protein -MTTKFVFDLLAPDDILHPSNHVNLIIRLIEVEHIIIATTMPAV - ->sp|Q8V435.1|NS49_CVBLU RecName: Full=Truncated non-structural protein of 4.9 kDa; Short=Truncated ns4.9; AltName: Full=Truncated 4.9 kDa accessory protein -MKIKFVFDLLTPDDILHPSNHVNLIIRPI - diff --git a/seq/clusters_seq/cluster_671 b/seq/clusters_seq/cluster_671 deleted file mode 100644 index 9ac5441..0000000 --- a/seq/clusters_seq/cluster_671 +++ /dev/null @@ -1,207 +0,0 @@ ->YP_009407905.1 RNA-dependent RNA polymerase [Lasius neglectus virus 1] -MNMQSTTNQTKQNNQGRKKFGVSSRIINDLRRVIKTSSVWVHYTPSSLLKTFEYDATRKFLPVRGNRVIK -FDYSNLDVLSLLKTFETEVHEPFHGKRLAHSPIYSAPSVEIDPAKELGYENEFPYFTSNSTDHDTCFDKF -VELNKKYQSLNHFNIDEEFRYSSMDTFMLCLTESLKILESNSPHKLNHLLFDHLNRYKFSRIDNYGIYMF -ILHLKIRGIAKIDNLTSISKWKNAWSMRTLPERQELLTNFYQGKLTTNFAAFQQSYISELVSRLSIISHE -AKLVRYPDRIGRRAFDPEFVHYATWKYGLYDQMSVTERYRDSKGMPISHLLFGTPTMFKSGATDIVSDAI -NKNMPEFKDTIASVVDAKLKEAPNMLKKTIQDTLSDPDTITTIRDTITNVMQPTIDQFSQQAHDLSESTI -RNIESTIGPVMDQTFNLFTSLNGLIDFFKSIINQAMGAFPSEYFGEKLGLNISPDDMLSMFKYYIVYVNI -DSKPLKAILIYLMLKQIGLLSWILKWGSQLFSFAFGGKGPEVPLDGSEIPGEPTSGMEWMSVLIEKLQNR -GSEVSLCSMFAALIVLVYKHVSCAKDAGTMRWNEYNTIAGFVVGMCKNFHWIGSGLFGLDRIFRYFVIIS -KTVTSYIKEHLLGIKEESITNEKAVAKWLVQLKFFSTDTGRNAIRVSKKTLERAERIMAEGLAFVTAASK -DPTFISRDTLMLIHRSWKDVTTLSNYLYRIRSTSNFKPAMFHVQFVGEPGIGKSTITESFINDLSERIYP -EDKEVSHWTYNPNVDHFDGYNGQTFMIIDDLFRYNEPKHLSLIIGLITNTPVPLPMAHLEDKGVHLDSDI -LISSTNIPYPIGKDIFCMEAVHRRRHILVDVQMDQRVKKDGKFSKQLFEKYYPGQNSLDFPHLKFSLMKP -VMSGADSDKYQTTDKDTMEWQYDLVKKLKKANSSLKFDPEFFFGPDARPPDGLKVPCTNWSYKTFIENVA -VAYSHLRAGENKMTAKQKYEHVMEDFAEIDNIFLQSDDIKDGVAASTTFKLIADKFLDMSLQYGADDPLG -QRIYYQSDSLNDIAPDLFNLDVEEEVNRIMDDSEQKPTCDDGESSYEESVYDDSIEDQILTSDDGRIVLI -QKYLQEKVDITPELRERMTLLMHKIIMRQTLDSDDEYTMGIAKGNVEAKVLPPYQARDEKQRSEMSRREA -ILHKHRKQVRDPHYDNMVRVVAKDEKKFIPIRSFYTEWSGYSSNSHPGEKFEYFPDANEKMTTHLIARFG -GKTIEPVKARKMIEFVKRLNSGHKFVFPKRSPYSEAHQNQGSSHISLEFLSRLNYVNGEWSMDVSDLDWV -VHDTCKFVEQINDVVKEYYVPFDVAFVLGMTQAFKYTANIFSLLSVSEQSDMVESAKWMFTHLYDCNLTN -IRERIRTIAVQARRTVLSHVFHTASKIWEVLKPFIPVIIRVATFLGSFYITRQLIKLLNGVEQPTSKVLH -RHNVQVGMRYRGIPQNGIFSKIDTQQQVAQNYLNRNIKFFHMTDSEGITYTAHGIHTQQFLIINAHTADN -IKGPTMIQYRPTFNTNVEWEIEIWPNQVYKYPNNDLAIIFSRHLPMAKDITSHFITNEDFKTCETTTELW -SLTNFQHQQSVEIRDNCIPAEKITLSAPDGRRGEIAMAIMVEGATIAGKSGSMLMIPSRKPGHRSIVGIQ -AWKVNDFYKKTIIYQVVTQEMLQDMITQVQKQVNRPVISQEGPLVCEPTAGKATELFTSHVNVEGSVPAD -KVVGMIGRTQFRKTKIASIMDSEAYTSPRVPAALNPYDSRLLIYKHPMQHSINKYGTGKVGSFDLAILER -ATQDLAYWLRERLDKTKFNTNLTLEECVTGIREPGSNPVDCRASAGLPYIWDKFPGKLAGKKSYVQIDEL -GECQVQSEEFRKNFEITFEKLSRGVIPKHTSYDFPKDELRPYYKALGDPISQTPPKTRSVTCMNMEFIFS -WRRVTLDLFASLHRAARGDFPFGPGINPEGPDWTRLFNYLNRHNNVLDFDVSNWDGHMPPELMYAAADIL -VIVLGLKPNDPSAKVIYSLLTEVLFGHVQFEDTIYQKLRGLISGFPGTAEVNTLVHLILMYYFYLYIAQI -QDKNQYANITDFFKLVSPVFYGDDVIMSVSDEIIDWFNGKTIACMYTEHGYPVTTASKDTDMPLRKDIFD -CQFLKSGFNFIHPGRVDRKMDISVVYDLMYWVRAKEHPYDQFRSNLYDAFRILHGHGHDTYEQVRVQVNS -WLRKAHLEPFDYRWGNFEDNHIKLYYSD - ->YP_009407943.1 RNA-dependent RNA polymerase, partial [Lasius niger virus 1] -MAQSPRKKFNLSSEVALALRRYKNQQDSGWRIQLDRNIVRIVCQAYRDKFLYVHGTPSENCPTLPIYTFG -ELFEYLKVLPVPSKKETVTKKLKGTPLFSGIVSIGRTNGNQTIGYKLPMNELLKSYTECEISHKRLETHH -PDGVGWNGGIMSTIECVFDVFNSLLRTNTISSAYSKLFNILLDSKFANLDIQGIFYAFLHMSIRGISSSE -RIYASGDWRREPVSQQLLFKVSRDFANGMPPRSLIASYVTETYLHIHSLTGELRRLRSPSPHHDLSKLSP -NMIYAYAWHWGIYQRFTDTNKNRFNAGIYEEVPDLGVCNPTMFSLGSNLAQGVVDNPAVAEVIALLKEMP -KQIGDRLDSSVDKMSTKIDEIATSQRLGLTENLEKVAQSSAEIHEEKGKAILDEAIKKSEAIGQGLIKNF -EPVVDAINSFKGMIDGIMKQVQEYLSPIPGFTAVKLDPKSIFDAVSYYIIYINTQSTALRTILALLILNS -FGLVRTAYNEIMNFWSWTQDEVSCDDGTQFVGNSETSGGIFEWLSSSPSAIASVFGGLMASIAKNAPLCA -KEFLSLSKKLADQLKNFHFISQGLLGIARLFEYCKKIYTSIAEWISINIFKRTPERDLLAREVIKLTIKV -KYLHTEAGMNAIRMSENVRKQAETILPTFLGLQSDLKQKPELRHLAADLEKVTRQVKEVSDFVTRLRAIS -NFQPTMFHVQFVGRPGIGKSTITKNVISDLSKTLWPEEEKPSFYSYNTDLEYFDGYAGQKIMVVDDLYKI -NDPKHLTASMFLVTNTPVILPMANLNDKGVQLTSEVLLSSTNTAYPLGKDVLCMEAIHRRRHMLVEVICD -PDVLDPSLGQFSLSMFKKKYPERKTSDFPHLTFNLLRPVPQEFGGAATVDADEFEIYREYATKLKNANMH -IAYADKKLDPTFYFSENNKPPQGISLPATGWNYEQFISNCAVRFSAFRGAEGTYSAQKKYGHVENCLEEI -DALLDQRSDIPDAPELPITNSIRRLFCRAQHPYGTTDELGEKIYAGEKLAPELDHIDFEKLVDEILRETN -PTGITLTEEQQRTKNLLERKKKSIRDPVLAEALKIEMNEGRKIEICTNNWSFYIVVYAPQQFLEIMENNK -LKDVFNVMRNNPNLLGGTTPIDWNRVQDESNIILLYAKILKCKLGQEFKDINTFLRWWFSKEMVYPDNSA -FPSELRGKTTNFPISLFKDMKKIGTQWYIDVTSMDVAHGNERLTLELSTYDEGPKYKIPADIAYMLSSMQ -SYREFATNFDNMSISQQDMLVADAKFRSQYFGSYTYQGIASQCTNIFKKAALKTLHYVMSPIRYLAERYP -LIMIYAAYFMTFFAIRFSLRQIGQLLNPTDNPTSKYLYKGVASNLVYHGRPTSSPGAMQNCMQVANALMD -RSIREIIVSDSAAGCKVQCLLSQQYIILNKHVLKHMKDKELMLAIQSTNKDKEYVRYLVTWDNIYQDPNG -DLAIIYCRDLPSSRKITQHLITEDEYQNHECTDEMIFLSNSRSGGIIEHHACISKVRNLKLKNQQYENII -GEAILVKGHTIGGRSGSTVLTAIQAKPRIIGIQAWEVDILVNPKIAIQVVTLEKFEELQRNVALTAGTPV -ERLCEPEVQEIEGFETAAFAHVDPLNLICKEKESVGDIGKTQIRSSMIRTELENEGIFSQAVPAALTRKD -KRLYHKGSIHPLAHSLGKYFRGNIEPYKPSILSYAKRNISHYLKHKLDKNNFRILSIEETITGTREDGSN -PMNLKSSPGIPFVFEQRKNKGKLDYMRIDEEGQVSHIDSEFIQNYYKFIQTLSTRKLPYTRAYDFPKDEL -RPSEKALGTNETPPKTRSVTCMNVFYILAWRQLTLDFWASMHRLADGNHPFCPGINPEGPDWNNLYHYLN -KHPNAVDFDVSNWDGFLRAELFNASCDIIKDILKLSEQNNNILDSIAFEVMNCYIQYGTIIYFKDRGLVS -GFPGTAEINTLSHWLLILYIYLIKTKNTIYNTFSAFLNHVSVAIYGDDIILTFSDEIKTYFNGHTIKEGY -LEIGYPVTSASKTTEIPFSKPLLKCTFLKSTWREFLPFYYIRVMDEEVLNNLVVWTRSKQDPAQQFYENY -IDALRIAFGNGPAKFYEFREKVNRALSKANKDIIVYDYLDFERDY - ->YP_009407937.1 RNA-dependent RNA polymerase [Solenopsis invicta virus 4] -MSVLAQTTVKKGTFLGRIKNEIRRTLRQEAVWTHIIPCTLLRQYEKDVNKKVLPVKGTNSGDVNFPLMDV -LALFNHIDLKIHDSKIAKKLKDQPMFSTPERNITPEQELGIPVFEHFHKQGTYNMFIENYEFKHERHIAR -QQHKINGYFHYDKWTTFAMLVDMSLDFLAENSVKRLYQYLYDTLITHKYARIDPSGVYSFILHLTVRGIA -VPTNLAVDRAWRRTWHLRSKLEQIELLKHFTSGESYPDVDGMQESYIQEIAGKLTMLRREIKLVRSTYKP -DERIFNPDYVFYATFYHGIYKQMTDVQRHRAALGMTIYHYSQIKNEIKCTCSNSRIIREGILEGRIEPTI -CFVCNYDYDKTVYEPTMLKSAATSFMQETLAESGPAVTKIVNDTLTDPDTIANMQNMASVAAKPVIAELT -SRVEELKNKTVEELKNTVEPVMAESMGTFSALNGILSFLKDTMNSVTNMIPVDLLGKIGIKIDMDTLLHV -FKYYILYINTDSTFIKSCLFLLIVKQLGVFDILKKFGTTILAWMRFDKVVEESTISNVEPTGAMDWVQTI -MNMFSGHLPEITICTFITLALTIVFKLAMKPNAPLSRKDHASVHSSILDGFKNIHFIGAGMFGFERIIKY -LNLIGTTLTKWISKYIFGSETDERKNEKAVSIWYGKLQYFKTEAGRAAIRVSEKTMKMAEQIQPEGLAFI -HGVASDPKFLSRESAQLVQRSQKDASDLASFCYRIRAMSNFQPAMFHIQFVGDAGVGKSKLTEEIIQRLH -KELYPPDQKLSYYSYNPNIDHFDGYQQQKFMIIDDLFRYNEPKHMSLLIGLVTNTPVMLPMAHLEEKGIQ -LNSDILVSSTNVPYPEAKDLFCMEAVHRRRHVLVEVYVNDRSVMDEANSKFDGEKYKKSIYGQKGIPSTE -FPHLRFNLLKPVVKPTEDMIRQTTLEEEETYFANLNYYQRLNRTHNFTENEYYSRAEMAPSGVSFPCKDW -TFEQLIKNIAGRYASLRKHEGKLSLKEKFSQVMDSFTEIDAIQHQTNQTNSNYSLDTTLPLVAKQYLNMT -YAYGCDDPLGERIYLKENNTDKTQLGKLSEIPELDDVELFETELKTLINDEEQPTMKTEDTESIYMDSET -RLDYLDLLSEYIAKYNPQGHTLTKIQSLIRSFETNHDVEDLSFEEKELLDEILDDMDSGCNWDLQKEIAT -ERLAEHHDNYRSEIAKKAEAQRKLYEEEMKNKDSTSEWITYKKQKRFIIHIKDTYQNELDALSCQASTSA -GTNGTVVEPLIDPIHFAKSLIFKERIDKLQKAGKLKPILARKIQKFLQEADNPKSCTMIPRSNHFSQKMQ -GTQTVIPRSWFKRMIKVEGNWVLDVTDLYFEDDSTESATFKSKHAITQLLLSQLSFTLAMQQFAMFTRVQ -QDFLVKHNHWILKYLPDITGSSWRGIVKKIFEKIKNVTMTYLFSPLQYFWNAISAPNSPYLKIIQHTMMF -VVGIMCIKQVSTLLKGKDEPTSKVMHRVAAKSVPRGGKFQPTAAFPTQNTDAQLCQVYLDRNIRFIELVD -KSGIPIKCHAIHIEQFLLVNRHMVERVGKEMVEINFCPTPRHTDRWSFLINEDNIYKAPRSDAAIIFCRR -FPMAKDISRHFITEADYEHLDTSLEMISLSRYEEEAMIEIRTGGVIEQDLFLSNEDLGISSHLCRALRVA -GQTITGKSGAMLIVPNKASGHRNILGIQAWRLKSYTKPEIYYQIITSEMLEDMKSKVVAKSNYPYISQTG -PVIVEPTGTSKSEYLVEHHVEICGSVPADKVVGKVGKTSFRKTPIAMLMERDGCKSDRVPAALNEHDRRL -NVKEHPLKNSINKCGRGIVGPFDIELLTRASQDLAYWFKDRLDKKKFRTDLSFEECVTGVREEGSNPIDC -RASPGIPYIWDKYPGKLPGKKSMIQINEEGNTEIIDPEYPPKFEKFFESLQKGVIPPHTSYDFPKDELRP -EAKALGDPIAGTPPKTRSVTCMSLDIILAWRRVTCDLFASLHRAARGNFPFAPGMNPEGPDWGRLFNWLN -VFPHIVDFDVSNWDGHMTADLMMAVADMLCIMLGISPHSPAAKVIYAIVTEVIFGHVQFEDLVYHKLRGL -ISGFPGTAETNTLAHILLFYYFYLYLARINNLTHLMNIHTFMRYVHAIFYGDDVQASISEFIISWFNGQT -IAWAYEQHGYPVTDAAKGKEIQKSKNIMDSQFLKSSFNPISPARIDRKLDINVVYDMFYWVRAKEHPREQ -FLSNVHDAFRVLHGHGLETYEAVRNQFNGWMREIGEEPFSVYWHDFERSHIENYYAE - ->YP_009407949.1 RNA-dependent RNA polymerase [Myrmica scabrinodis virus 1] -MSTNQKTTGSAILPQKQTATQGRKKFGVSSKIITDLRRVVKTSSVWVHYTPASLLKIFEYDSNRKYLPVR -GNRVIKFDYSNLDVLSLLKTFETTVHESFNGKKLHQSPMFSTPETCIDPSKEVGYIEEFPYFNAHASNNE -TSLDKFLALDREHKTLQNYIIDGEFRYSSIDTYMLCVHEALKILEANSPYKLNSLLFDRLSGYKFHRIDN -YGIYMFILHLKTRGIAKITNLLSIKKWRNAWSLRTLSERQDLLNNYYKGITTSNFIAFQQSYISELIARL -SILSHEAKLVRYPSKIARKAFDPEYVYYSTWKYGIFDQMSPTEKFRDMRGLPISHLLFGSPTMMKNAARQ -LLNTTVQDNMPELKNLIGEVIEEKSNKVSGVIKKSIQDTMTDPDVVTSIRDAITNVMQPTINNFQQSATE -ITEKAIGEMKETITPVIDQTFTLFSSLNGVADFIKSIFNQALGAFPSEFFGKRLGLSITAENLMTLIKYY -IVYVNVTSQPLKVILIYLMLQELGMLKWIMKWGQMLFNYAFVKKEENVPEVPLDGTEIPGEPTSGMQWLT -NLVEKLTNHGSEVGLCSMFTALIVMVFKHVTKAKDAGTMRFNEYSTITGMIVGMCKNFHWIGSGLFGLDR -IYRYFVIISKASTKYIKETILGIKEDVITNEKAVAKWLVQLKFFSTDTGRNAIRVSKKTLERAEKIMSEG -LAFITAASKDPNFISRDTLMLIHRSWKDVTTLANYVHRIRSTSNFRPAMFHVQFVGEPGIGKSTITESFI -KDLSSKIFPKDKEVSHWTYNPNVDHFDGYNGQTYMIIDDLFRYNEPKHLSLIIGLITNTPVPLPMAHLED -KGVHLESDILISSTNMPYPIGKDIFCMEAVHRRRHILCEVKMDHRVKADGKFSHQLFEKFYPGQNSLDFP -HLTFSLMKPVIAPGENQYQSTTFDTMKWQHELVKKLQKANQTLKFDPDFFFGDDARPPSGMTVPCVNWNY -KKFIENTAIAYANLRNGEGKMSAKQKYEHVMEDFAEIDNIFAQSDDIAEGVEASKTFKLISDKFLDMSLQ -YGMDDPLGERIYMNPDGLKDIAPDLVDLDIEQVVEDILKEDQSAPTNGNPFEEEKDDDDDPSSSFEDANM -NYDHKKDSDDIRIHSIQQHLEKHPQMDTVQREKFTFVMHKIVNKQELTSEDENIIDIANGISKFAPSYVS -QDPEMTQELSRRQRILNKMKKNIRDPLIEDQIKVVDIEGKKYIPIRGYYTEWTGYVLQESHSGPNFEKWR -QVCLEHIRGYSNLLVKYKSLDNEDIMLPIFTFIRKITSDNKFIFPNREPYDDEHRKQGSSQVSIDFLSRL -EYKNNEWHLDVSDMAFDPKEVAIHKEKQNGVEKEYKIPVDIAYMLGMTHSFKYTANIFSLLSVAEQNDMV -ESGKWLKEHLYDCSLTNIKQRIRTLTKQTHKHLFTHIFDTVKYVWNILSEFRELIILLACFFGGIAMMRA -SLKMLTGVEQPTSKVLHRQNVQVGMRYRGTPQNGMFSKNDTQQSIAQAYLDKNIKFFHLTDEQGITYTAH -GIHTKQFLIINSHTADNITGPTIIQYRPTNNTNVDWEIEIWPNQVYKYPGNDLAIIFSRHLPMAKDITSH -FITNEDFKTCETTVEMWSLTNFGHQQAVEIRDNCIPAEKITLTAQDGRKGEISMAMMVEGATVAGKSGSM -LMIPSRKPGHRSIVGIQAWKVNDFYKKTIIYQCVTQEMLEHMIEKVQFQVNKPIITQEGPLVCEPTVGKA -AELFTSHVQVEGSVPNDKVVGIVGRTDFRKTKIAPIMDSEGYTSPRVPAALNAYDSRLLIYKHPMQHSVN -KYGTGKVGSFDMLILERATQDLAYWLRDRLDKTKFRTDLTLEECVVGLRVPGSNPVDCRASAGLPYNWDK -YPGKEKGKKSYVSIDEIGECIVHSEEFRESFEKTYSKLSQGVIPRHTSYDFPKDELRPYYKALGDPISQT -PPKTRSVTCMNMEFIFAWRRVTLDLFASLHRAARGNFPFGPGINPEGPDWTRLFNYLNRHNNVLDFDVSN -WDGHMPPELMYSAADIIITVLRLDSNSPQAKVIYSLLTEVLFGHVQFEDTVYQKMRGLISGFPGTAEVNT -LVHLILMYYFYLYIAQLREKDMYATITDFFKLTSPMFYGDDVIISISDEILDWFNGKTISTMYVEHGYPV -TTAAKDTDMPYRKDIFDCQFLKSGFNYIHAGRVDRKMDISVVYDLMYWVRAKEHPYDQFRSNLHDAFRIL -HGHGKIEYEQVREQVNSWLRSARLEPFDHRWENFEDNHIKLYYSE - ->YP_009513239.1 RNA-dependent RNA polymerase [Solenopsis invicta virus 2] -MASSSSSSNLQPRRKFQLRDEIKCALARFKHQQSEGWRIHLEKSKLASLVKMYTERYLYVKGMPFEPVEF -HRVELLEYLRALPLPEEKETATGRRLAQQPVFGVPDIPIYYYNYKVPKVTPVDLKSMVNHYQCQEHIHKR -EESHHPDGLGWGGGTDSTIECVIDVYSCLLRTHAVKTAYIKLLDILKGDKFSNLTSEGILFACLHLIIRG -VAGSAICKTHSEWRQEQYTQDQLWKYTKDFQNGVPPQHIIGQYMRETLYHQLMVTKETKAMRISSSKHDH -TKFRPYSVYWYTWYWGIFQKMSKQNQRMVELGVDMSDPEISSYPTMFNISKSMGESFMEGALSSPEFNQV -LNLSRTLPEEVATKIDQVTKEREDSLKESAREILTEFKTSMHDLAEETLDKLVMKSKDIGQVLTEAVEPF -VAVLESLQSLAENAISQINGFLKPMEGFSGINLSVTSILECLKYYIVYINTETTSLKMILVLLMMNALGI -TSKLFSWMLEFWRMYSSSELEGHTVDAEPTSFLDWLVNAPTKFMVLLGATFASMAKGAKLSTSEFFKLAK -DLSDKMRSIHFISMGVAAFERLFDYGVRFWKFISEWISTHIFGRTPDRVTMARKVMKLILKIKYFNTEAG -LNAVRMAENVRVEAEKLFPEWNALLAQCRDNPEYRQMYQDLERQTRAVKEVSDFVTRFRAVSNFQPTMFH -VQLVGRPGIGKSTLIKTMTADLTRSLWPSEPKPSFYSMNMNLEFFDGYAGQRIMIADDVYKMNEPKHLTA -TIGLITNTPVILPMANLADKGVQLTSEVFLSTTNTAYPLGKDVLCMEAVHRRRHMLVDVTCDERVIEEGS -GQFSEALFRQHYPGQDKSKFPHLKFGLMKPVPKEFGGAAETVLVGEDEQIVYNEYAKLLRDANFKVSLGH -RELDPTFYFNEENLPQGFSYPARGWSYEQFMTNCMVRFRSFRGMEESYSTAVKYAHTATCLAEIDALLDQ -NSDCDGPEIPTGVGRFDLIKMYGKECMHPMGTDDPLGKRIASDIDAHRATAPELEHFDLDAWVEKTLDGY -IGRNEKPTGITLEEESIRRTTILRRRKKAIVPPQLQEALKVHRHNLDWYIKIHDHPTTWDSCVFEGKNLE -VEMLQAVMMQALSRVIPTRAAFGELVSEDKPESNVWWRWFRRLATIPGLAVDAYAAYNQVIRLLLPSENL -VWPEGFGSRTGYVSDMSIAFLQRLEKINGEWCLNVTDLHSIFPSPCVAKVYSNGLTQETYEIPVDIAFWL -SHAQHFRIFLNRFCNFTAEQQQTLVDEAHFRNRFTGTYTYEYFAKQAEGTLKGTVYAALSYLTKPYKYLA -VRFPQITITATYILAVTAVVFIVKSIASLFSHPTSKVLHRGPVSNIVYRGNYPTSQRLPELSTTILKRNV -ANICISTTMESRKAQCLRTEQFVLCNSHIFDGLVPDGGQYLVTLTDGSLTNDFWVPETQVYIDKDRDLAI -IFSRLFPAVRKISDHFIKQSDYERSEFTGQMVICSKTPEYGIVEHYPVVGKVDRLDLKGITRPAVLTQVM -MLNGSTVSGRSGSPVIAQVNGLARLIGIQSWAMDTLYQPKVAVQTVTAELFEELVKNVSAQSEDLLVRRI -AEPEYGECYPTHAFASVPEFMLACEDEHVVGDVGMNKIKPSFISNHLTAVGIATKRIPAVMSDRDPRLPR -DSRHPLEHSLGKYYRGKVNPIPHNIINRAKDYLIKYYKGRLDTKNFAALTIEEAITGTREDGSNPMNLKS -SPGIPFIFDKRERKGKKDYMEIDEFGEVDHIDPEFLQGYYKFEDSLSKGEVPYTRAYDFPKDELRPINKV -LGDETTPPRTRSVTCMNVYYILAWRRYTMRFWSAMHRAADGTSMFGPGINPEGPEWSALYHHLNRHPNAV -DFDVSNWDGFLFAQLFYAVLDIIKAIMNVKKGTPVDYILTSIFFDVMNCFIQFLNIIYQKSRGIISGFPG -TAEVNTLAHILLIVCIYLMLVAKTIWDSFEMFLRMVSAILYGDDILLTIHDDILHLFNGKTIQREYERLG -YTVTSATKSSEIVEAKPLSQCQFLKSSWRQLLPGYYIRVLDLEVAYDLVHWVRAKQHPRGQFFQNYMDAL -WICFGHGQQVFESFQLTVNQILTKFSEDNIVFSYKDFEDDYFARYLPNFKFNL - ->YP_009337002.1 hypothetical protein 4 [Shuangao insect virus 8] -MATLKSMSPIKKFTSFMQIKNQIRSTLRKENVWTHVTPSGLLKRYLNDVEKKVLPVRGTLVRDLEDNITL -LDVISLMEHFHLEVHASNNGRKLRNSPLFSTPQKRVIPEKEIGVEVFEHFFKEGEYNLFLENYEVKQSRY -LARQSHKINGNFKYDRWSTFVLMLDLSLDFLKLQSPHSLLKYLVATLKQYEYSRLDVNGLYAFVLHLSIR -GVARPESLIIDHRWVEQWRLRSDLERVALLQHFYSGNYYPDVVALQESYLQEVVTKTSLLRTETKLARIS -YVPDEKIFNPELVFYNTWWYGVHEQMTPVERHRDACGLIIIPPGQLNKSKKQEHTCNRGNNRLIYEGLLE -GTIIKSDCHRCRKEEEGVAEPTGLQGIIDKSVQDSISSAGPALTKAINETISDPDTMANIEKLASTAAKP -VIAEMAVKLDDLKNKTVEELRATVEPVMTESLGTFSALNGILSFLKDTMKSVTNMIPVDLLGKIGINIDM -ETLIHTFKYYILYINTESAFIKSALLILMLKQLGIFELLKRFGTTLMAWMKPYKFTETSTNAILAEPTSA -MDWIQTIMNMLSGNAPGIAICTFVTLALTVIFKIALKPNAPLNRKEHASVHSTILDGFKNLHFIGAGMFG -FERILKYLNLIGTSLTKWIATYIFGCESDDRKNEKAVSIWYGKLQYFKTEAGRAAIRVSEKTMLEAEKIQ -PDGLAFIHGVASDPKFLSRESAQLVQRSMKDASDIASFCYRIRAMSNFQPSMFHVQFVGKAGVGKSTMTE -QLIYRLHRELAPSDQKLSYYSYNPNIDHFDGYQQQKFMIIDDLFRYNEPKHMSLLIGLITNTPVMLPMAH -LDEKGIQLQSDILISSTNTPYPEVKDLFCMEAVHRRRHVLIEVYVHDDRVMDKQNSSFDKNKYKALQEQG -VYKGIPSTEFPHLRFNLLKPVIKPCEDQIRTTTEDEEDHFWKSLQYYRNINSTHKFTDKEYFTTPEHTPS -GMEYPCKGWTYNQLIQNICGRYAALRANEGKLTKQEKFTQVMDSFTTIDAIQHQVTETDSQFSLDKSLPL -IAKQYLNASYAYGCADPLGERIYLTEEEDAENRKAVDKNRMTLGKINEIPELDDLERFGEEAIKLIEDEA -QPTGLSDGDSEYFDTSDEIINDIDLIDEYFITCKPTGHKLTRVESLRRTLTTNRTKDDFSEDEQKLWDEI -VEEMAEADDAELRDNMIQENLMRHHNHYQSEIAKQAAKQREKFQQEAMTRDFACRRIKYGKRDKLVMLMK -DCYQNELEGLNATNCNISKKLTGDKVFEPLLDPVHFTRSLMYKDRITKLVKSGAIKPLLGNKILKFLNEA -EDLRSCTLIPRTPHFSENKQGTQLMIPRSWFKRMIKVDGEWVLDVTDLQFEDPESNSNPISREPIARLLI -AQPSFTLAMQQFAMLSRIQQDFLVKHNHWILKHFPDITGSVWRKTIRNIFDTIKNTTMTYLFNPLKHFWD -TIVSSKIADYVKIVQHALMFVIGILCIKQVGRLFRGVSEPTSKVMHRVNTRSVPFVGKNFQPTGLLNTRN -TDSQLAQTYLDRNVRFIHIVTKDGISTSCHVIHTEQFLILNRHMVEDINEEVEFIFAPTPRHTDKWSFRI -TPENIYVEPKSDVAIVFCRQLPMARDISRHFITEKEYLHLDSALEMIALSRFEDEAAIEIRTGGVIAEDL -ALSNDNLGVSSYLSRALVVKGETVCGKSGSMLIVPNKASGNKNILGIQAWRIKSYTNPEIIYQIVTYEML -DHMKYEVTKKSKYPYISQLGPVIVEPTGAPKAEALVENHIEVLGSVPDDKVVGKVGRTSFRKTPIAALMD -RDGFTSPRVPAALNPWDHRLLVKDAPLKNSLNKCGRGIVGPFDMKLLARASNDIAFWIKDRLDKRVFRTD -LSIEEAVTGVREDGSNPIDCRASPGIPYIWDKYPGKAPGKKSLLEINEFGYTQINDPEYPAKFEKFFASL -EMGVIPHHTSYDFPKDELRPFYKALGNPEEQTPPKTRSVTCMSLDIILAWRRVTCDLIASLHRAAKGNFP -FAPGMNPEGPDWGRLFNYLNKFPHVVDFDVSNWDGHMTIDLMMAVGDLLVTLLGLHPHSKTAKVIYSILT -EVVFGHVQYEDMVYHKLRGLISGFPGTAEVNTLAHLILFYYYYLFIAKAHGHIHLMNVSTFMNNCHSIFY -GDDVQASISSNIIEWFNGQTIARAYELHGYPVTDAAKGKDIAPFKNIMDSQFLKSSFNPISPARIDRKLD -ISVVYDMFYWVRAKEHPEEQFRSNLHDAFRVLHGHGEQVYEAVRNQLNGWMRELGKAPFDVYWTDFERSH -VDNYYAN - diff --git a/seq/clusters_seq/cluster_672 b/seq/clusters_seq/cluster_672 deleted file mode 100644 index eb8f22a..0000000 --- a/seq/clusters_seq/cluster_672 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_009407904.1 putative capsid protein [Lasius neglectus virus 1] -MMDNEEPQLSEIANLQISHEGHKLPEQSRVATTQSPRGFDFDHLIRQWQPMGIRVKLAVPFYGNDQDYIF -AIRNGPFIPSNTYIYQDSSGLTDVSEGDFPDPTKRKLTTLNTYQSYAWNNMINVTHAYDSIKAQTTPSDQ -FAVTITQFDEPPILSSLATMFRRWRGTMHYRLRVVAGFTTQGYIFVTLVRNSPSLVLASNPYSTVRGVKR -EDSSYRESMINSYVMGDTAMFRHFEFQVPYEYPTPYYDQFSWIGKRTRPAKNFAWGDISNNKGRYYEPFR -PVKMEPHGDNYILVGLRGDIQTTSQNSQITFEIEYRAGDDFQFADPFLPFPNHYLRPFYRTSKLSKVKGI -VTIPDDKKISDGVGEFQNKSTYIPESPLPPPPSLTSADQATRRLERLLTFEQQQQLAEAKKQQENLVTQG -QQAQRAIQEAQIRERELQAAAAARAKRRNPRSLDEVLDGHDEVDSDYDDASVASDVSDLSELRQPLRKSL -RNRMV - ->YP_009407942.1 putative capsid protein [Lasius niger virus 1] -MSDNQMPIRAINENQIVSTNGDDLPESVSQPQISMPRQISILNLINQWQPMGFRVILNLPFISDDQNFIF -AIRNGPFIPTRPVHGHYDIADPGPAGTFATPIYSYSYNNMVPVVLPSDNGSAYPDPAKPTITLTNYDLPP -PIATFAQSFRRWRGDMQYRLRMVAGFATQGYVIGTSVKNVFSPIGVYNQFKNRPAIERQDISFRPAMMNA -YALSDTSMYRHLELTMPYDYPTAYYDQLAWISRRCTPGFLFRRFPTGNNVTQSRTLLQTEPHGDNWIVIG -IRGDLNSTQAGSQIIFELEYRCAEGFQFADPFLPPSLTTLPLSSIPQSQRVYRVPDDKYTSDGIGMYTAV -SVSSGTSTTTSSTTTTTTQAPLIGIGVGVQSQTASRDARGININISGQNANLGIQGNEQKYNANPNSQPI -IDIPAGKNQGRRRKHRDIPQDLDPDNIETEHYIPEQVDMSSLSALERLKLASGIPGGFSLGKTSRNE - ->YP_009407936.1 putative capsid protein [Solenopsis invicta virus 4] -MNNEEPQLTQIANLQISHEGHKLPEQSQVATTTIPRPFTFMSLINQWQPMGVRVVLNIPFIGNDKDFIFA -IRNGPFIPRYDKTYTDAGIYADAGETKDISINSYAFNNMKNVIHATKKWKNPPADVKQSIYITYYDYPPI -LAQLSMMFRKWRGSMEYRIRTVSGFSTQGYLFAGMIRNSPSYVGIFDQYKVLNSVARQDDSYREVMQNSY -ISSDTSMFRHFKIHVPYEYPSPWYDQFRWIGNRSRPSELFVSGGSGQPRIAGNKVWNEPHGDNFIVIGMR -GALNTSVNQAQISFELEYRAGEDFQFADPGLPVGEFMIPASKKTMSSVQYPDPRMKSDGIGLPTSAAAPA -MASRMGNNRNGNRRTREINPEDLQDHVSTLQAREGSAILRNDDGTPFTYSQWRKELENEEGYDTVDDNSS -VTSERSRLEDLEGINLRKSLRLRNL - ->YP_009407948.1 putative capsid protein [Myrmica scabrinodis virus 1] -MDNEEPQLTQIANLQISDDGHKLPEQSRIPTLQIPRPFNFNDLIHQWQPMGIRVKLNLPFTGNDQDFLFA -IRNGPFIPMPGYLYQDSNAQITVSDTGSADYSTRNFSKQTKYDSYAWNNMFPVRHGTALLQTTDPGKSSV -YITQYDAPPSFSAFSTMFRRWRGTIHYRIRVVSGFTTQGYIFMSMIRNSPSIPMVYNESNTTAGPPRQDN -SYREAMQNSYIMGDTAMFRHFELQVPYEYPTPYYDQYAWIGKRSRPARYFLSSNTDSDSSKATNRYQYNI -RPIQYEPHGDNWIVMGLRGTLESSVQNSQVTFELEYRAGDDFQFADPFLPFPDFYAATNQQIKDFGSPVP -FRTLPSSTSFSGGYVSPTKVTKEQAARLKQIADREKQLAARRRLVRSVTQDDLAEYQRDHQDYDSDGHDT -IDEDTRSVASDIGDVSSLRQSLRKSLRDKLPK - ->YP_009513238.1 putative capsid protein [Solenopsis invicta virus 2] -MNAEEPIQSVGANQIVGTQGDPLQEQPQVPTTAMPRQMSFSSLIYQWQPMGLRVVVNLPFVGDDKDFLFY -IRNGPFIPYPCKYRDDSISQEPGVSLYPAWVMSYGVNNMAAVFLFSDSFREYPDYNGTKNFPITLTQYDT -PPIISSMAMSFRRWRGAMQYRIRVVAGSITQGYIIVTPLKNIFVPIAIYNQFKYQPAIQRQDHSYKASMM -NSYGLVDIAMIRHSEITMPFDYPVAYYDQFAWMSRRVSPSQDWAGISDVSKLKPVPVGPTLKSEPHGDNF -IAVGLRGALSASAVGSQLEFELEYRCMEGFQFADPYLPPRRLCADTRNYLKNGRLPYRIPSREWESDGIG -EPTKVKKQSRLTEVITGTAGINLGKSSRAHYG - ->YP_009337001.1 hypothetical protein 3 [Shuangao insect virus 8] -MNNEEPTLTQIANLQISHEGHKLPEQADVAVTSIPRPFNFMNLINQWQPMGCRVVLNLPFIGDDKDFLFA -IRNGPFIPRFDRTYKDAGLYNEGGEASDLTINSFAFNNMRNVIHAKKKWSVPPENEKEAVYITYYDYPPV -LSQLALMFRKWRGSMEYRIRVISGFSTQGYLFAGVLRNSPTYAAVFDQFKVMNSVARQDDSYREVMQNSY -IMSDTSMFRHFKIHVPYEYPSPWYDQFRWIGNRSRPSELFEAGGAGDPTKAVNSIWNEPHGDNFIVIGMR -GALNTSVNQAQISFELEYRAGEDFQFADPGLPAGDFLLPASQKSLPKVQIPDKTYTSDGIGFPKPVPAVS -NRMGTLTSDGNVITDNRRPPPTPTNPADNRSLPGRVLDAAGGVVGNVLPGRNRNRARNKRDIDDGIDTVD -DLSDTSDVSQLSDFDGINLRKSLRRLL - diff --git a/seq/clusters_seq/cluster_673 b/seq/clusters_seq/cluster_673 deleted file mode 100644 index b533070..0000000 --- a/seq/clusters_seq/cluster_673 +++ /dev/null @@ -1,38 +0,0 @@ ->YP_009407900.1 putative capsid protein [Lasius neglectus virus 1] -MDQPTEIEKIETRARAKEFDHGTIPMQMANVILGLPTKPGPSFDDRSSVSSTGWTFDQLVSQRVLLTTIN -IDPSTGTTSTTPQFLLQNSWENIRKIHFRTLDPLFFLKSWKWHLTFQFRSNFQQVGLMAISYVNAPIDAY -PYLTGKPQQYLETQLASDTTGNYGKTLQLLEHPLDSMEAIYQLPHTLVMMGEDQDVECTFNWLSPFKSAF -NNVNPTPNEISTKDSYPEKYGTTYDMGNVRLHTLIPMTLASGIQANQLTVRIWSHLTDVEYAGYVPTDEL -I - ->YP_009407938.1 putative capsid protein [Lasius niger virus 1] -MEVIQNKETGNNLSRAKDIDTGAIPLPMSNVILGLPVKPDPSNVTEKSGVISPTWTLQDLLSQKQLLTDI -NINPNITGIVWEFTNSWRDVIAKVLRPNLASAFCLKSWTINFDFQFRSNFQQVGQFVIFYSNLPKLAYSY -HFNLESIAASTHPYVNYLYQTQLPHRKIPMGENVDVPVSLKWVSPLKSSFGAPRYSISGSEFGSDPLYDM -GTLHLAVPWPMEVSTGVTQDMTVRIWVSLSDVTYSGYIPDDTHL - ->YP_009407932.1 putative capsid protein [Solenopsis invicta virus 4] -MFTNSKVKIQIYYFKMTQPTESMKIDTDSRAKEIDHGTIPVSMSNAILGLPNKPGNQFDDRSSISAIKWT -YGQLVGQKQLITTLTINKTTDAIKPIFVFPFTWNQINKQFFNSLAKLFYFKSFKLNFCFQFRSNFQQVGM -MAISYSNYPFSCVPYIFGQKANTPYTPATTAVGDEMFYPKILNRYFTTELDSLQAIYQLPHTLVMMGEDQ -DVPVTIDWLSPFKAGFDNVDDTSASCQWYTNDYDMGNLRLHVPIKMNTAPGVSDTLTVRVYGWISDIEYS -GYVPDDSL - ->YP_009407944.1 putative capsid protein [Myrmica scabrinodis virus 1] -MLQPVEDQKIETTSRAKEIDHGTLPLTLENVMLGLPVKPGIQFDDRSSVNATKWTYDQLVSQKQLVQTIS -ITPSTSSTTPVFAMRNGWKTIRDLHFRNLDNIFYFKSWKWHLTFEFRSNFQQVGMMTLSYVNLPADAYPY -ITGQNLSNIEAEPGIYKTSSKTVSNYHPGELDTLSSIYQLPHTLIMMGEDQDVECCFNWLSPFKSSFTEP -YNDPYPPADWPVFDDPSEEEYDMGMIRLHVPVPMTLATGVNPNLTVRIWSHLTDVDYAGYIPQDTLL - ->YP_009513234.1 putative capsid protein [Solenopsis invicta virus 2] -MEPQEQQKNEVISRAKEIDTGAVPLPMSNVILGLPYKPDPTTVDKTGVMSRPWSFADLVSQKRIVADLHI -DNTTNGKVWEFHNTWMNVLNTIFKTTGSTAGEEHLRNLFGLKSWTLNFTFQFRSNFQQVGQLIIFYTNMP -RLLKNYHSATDVTEDYYSSYMVQTQLPHRKIPMGEDQDVDVSLKWISPHAAAFGSDMYADGQTVYDYTSY -LYDMGTLRLHVPFPMEVATGVDSAMTVRVWAWLSDLTTGAYKPYDSVL - ->YP_009336999.1 hypothetical protein 1 [Shuangao insect virus 8] -MNQPTESQKIETTSRAKEFDHGTIPVPMTNAILGLPTKPGNQFDNRSSIAATKWTYDQLAGQRQLIGSFS -ISKSTDAVKPVFVFPFTWNQINKTFFNSLAKLFYFKSFKLNFCFQFRSNFQQVGMMAISYSNYPFSAVPY -IFGSKANTPYTPITTGDGNEMFYPKIMDRYFTTELDSMKAIYQLPHTLVFMGEDQDVPITINWLSPFKAG -FENKEYTTQNAYYYTNDYDMGNIRLHVPIKMNTAPGVSENLNVRVYGWISDLEYSGYTPDDTL - diff --git a/seq/clusters_seq/cluster_674 b/seq/clusters_seq/cluster_674 deleted file mode 100644 index d109b59..0000000 --- a/seq/clusters_seq/cluster_674 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009824964.1 nucleocapsid protein [Rodent arterivirus] -MPNKNQRQQPRKKGNGQSVNQLCQLLGQMIKQQNSRQKGGPGKKRKTQEKPHFPLAGEDDVRHHFNPGER -QLCLASIQTAFNQGAGSCTLLDSGRIAYTVEFSLPHQHTVRLIRATTTNLSSS - ->YP_009551710.1 nucleocapsid protein [Rodent arterivirus] -MPGNKNKKGKQPKKGNGQPVNQLCQMLGKMLKTQQRQAGRGGQKNRKGRSSEKPHFPLAGEDDVRHHFNQ -VETRLCLASIQTAFNQGAGVCTMSDSGRIAYQVEFSLPTSHTVRLIRVTAPTP - ->YP_009667154.1 nucleocapsid protein [Lelystad virus] -MAGKNQSQKKKKSTAPMGNGQPVNQLCQLLGAMIKSQRQQPRGGQAKKKKPEKPHFPLAAEDDIRHHLTQ -TERSLCLQSIQTAFNQGAGTASLSSSGKVSFQVEFMLPVAHTVRLIRVTSTSASQGAS - ->YP_009337031.1 N [Rat arterivirus 1] -MPNNTRQRPRKKGNGQPVNQLCQLLGQMLKQQRQVPKGGRAKNKSRPEKPHFPLAGEDDVRHHFTPSERQ -LCLTSIQTAFNQGAGTCTFMESGRIAYAVEFSLPHQHTVRLIRVTNPALAKD - ->YP_009214666.1 nucleocapsid protein [Rat arterivirus 1] -MPNNNKRQQPRKKGNGQPVNQLCQLLGQMIKQNRQNPKGGRAKNKKKPEKPHFPLAGEDDVRHHFTPGER -GLCLASIQTAFNQGAGVCTLTDSGRIAYTVEFSLPHQHTVRLIRATSPSLAQS - ->YP_009505555.1 N nucleocapsid protein [Porcine reproductive and respiratory syndrome virus 2] -MPNNNGKQQKRKKGDGQPVNQLCQMLGKIIAQQNQSRGKGPGKKNKKKNPEKPHFPLATEDDVRHHFTPS -ERQLCLSSIQTAFNQGAGTCTLSDSGRISYTVEFSLPTHHTVRLIRVTASPSA - diff --git a/seq/clusters_seq/cluster_675 b/seq/clusters_seq/cluster_675 deleted file mode 100644 index 1c7062b..0000000 --- a/seq/clusters_seq/cluster_675 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009755886.1 hypothetical protein [Guangdong red-banded snake torovirus] -MESSVSVLSKSHNWAKDYIRTLVSLDSALQSLAIFLVFQYLLQMQFNFLPRLNSNFIIRKLHNLVHVIHL -ILIFVLFYSLDSFFAERRTSAQTFTLVIFSVLVIGLILYVLFYVTTSVLMFIRHRSFQLAFAGPRSFILD -NRVYPVDCFSPVIVFYKIDANGFTEIRFGEHCLDAIPTKVTYKSLLNSISFTYHSTIPQGRSSVVVFKSN -ETNNHSI - ->YP_009755847.1 membrane protein [Bellinger River virus] -MESTSNVLHSASNAIKAQLAAYMPLSDTLVSLAFFLAFAMVLETTTSWMPKLTHWFIIRKTLQLVKFCKL -LFFVVVFFITDSTSPERSTTQKVALAIFCLIIIYMICLITWYTIVAAIMLVRYQSLTMALSGSKVVIIDG -AVFAVDCYSPILTFQKTVTNGTEEVRFGDSCLSSVPRNVAYRGILYAEDYIYHSTVKAGAATIIIFRTNK -TAILP - ->YP_009666303.1 M protein [Xinzhou nematode virus 6] -MDYANSILESGHDYIKRLVLSSLQMDSVLHWLAIFLVVFFILDVVRSFLPYLNSFFLFRKILNCANIVKL -LFFFIIFFLQDSTIATDRSSTQKITLAVFSILVIATIVYVLFYVLTSIYMLFKYRSFKIALAGPKVLIVD -GKCFTLDRFECAPVFIKSICHGEEVFHYGEHQLDKAPTVVVYRSAFYAIDYKFFSAFEHDNQKAIVFRPS -ATKQSV - ->YP_009666263.1 membrane protein [Shingleback nidovirus 1] -MSTAVDGGHKGFAHSIVDTIIGYSSKPSDYLKAKIASYLSTQDTLVALAFFLVVVFLFDILCMLWPRARS -FIFTRQIAKAIGLVKLLFFLIIFYQLDSGADRTLTHKIAFSLFIIITIALILFVLWRGAICVMMFVRYKN -IKIAIASPRAIIMDGSVYPVDCFEPVIVVTREIHHGTEEVRFGEHCLFDVPKNIAYRSILKAYDYTYHST -AKWGTMSAYIFKVDKTSDASL - ->YP_009408173.1 putative membrane protein [Morelia viridis nidovirus] -MYSFYQINNKIRAHAQAYLPIQDALVVLAVVLAIGLIMDMLVKWMPKIAHLFIFRKLTQILHFVKFLFYL -ILFFLTDANVQRTNVQKFALAVFSILAIYLILCLLWYFIIAIIMFAKYGSIRIALAGSHTVIINGSVYPV -DCYSPILVFSRHVINGNEEIRFGEACLSSVPKYVAYRGIFSAEDFAYHSTTKAGNATIILFRTNRLSSSP -I - ->YP_009052479.1 putative membrane protein [Ball python nidovirus 1] -MADPQPSYYQQANTKIRTHLQAYLPIQDALVVLAVVLAVGLIMDLLFKWFPKAAHLFIFRKMNQLVHFVK -VLFYLILFFLTDANVQRTNVQKFALAVFSVLAIYLILCVLWYFIIAIVMLAKYGSLRIALAGSHTVIVNG -AVFPVDCYSPVLVFSKHVINGNEEIRFGEACLNSVPRYVAYRGIFTAEDFTYHSTVKAGNANIILFRTNR -LMSPA - diff --git a/seq/clusters_seq/cluster_676 b/seq/clusters_seq/cluster_676 deleted file mode 100644 index 8823505..0000000 --- a/seq/clusters_seq/cluster_676 +++ /dev/null @@ -1,76 +0,0 @@ ->YP_009711348.1 P1a-P1b [Beet western yellows ST9 associated virus] -MSSHLLSSSFSLLKALSSVGLPSVNTIKYSILGASIVAAGTLGSIEYLRYRLGRSDAAHLVEDSIDSIET -VLEVDDPDVELWESSLPSDPRERATLVLKGKKKLSRRVRPSRTQSFIRVLRAEVKAEMGTPAYNAANVAV -IRHMVDRYCRERNIRKTSYAHLLADVVAAVFVPYKAEYRQAAASGSLANRLRRWFVEVKXGGLQIVGGYE -HDHTSLEGIPGLDPTNLRPRPRHTNPSFRRIVAPSFRYDVTYYTNNSNNLIKGLVNRVLTYKGGPILAPS -PGAWRSLSVIARRVGSWSRTSPMSPDEFLACYAGRKKTIYAKAVSSLAETPLNIRDFRVRAFIKKEKDKL -LSSNSDPRIIQPRHPRFLVSLGRYIRPLEQIVYKSLTRLFKRFTVSDTPVCFKGLNYLRRGNYMKEKWES -FNDPVAICLDASRFDLHVSEDALQHTHLLYTTAIGNDPQLAYILENRLVTDGVGWSSDGAFRYHKKGGRC -SGDNDTSLGNVIIMLSITYAFCEESEIPHIEITNDGDDQVIMVEREHMHTVLQIEDTFRKFGFLLKVEDP -VYELERIDFCQTRPVQLTPDVCTMVRHPRLAMTKDLTTFIPIERGRLKYHMLTAIGKCGSACYDDIPVLR -AMYRKMEIIGTRNKGDERRWWNSTHADPAFRTLSSKAATNVGLTTQCRISFWKAFGILPDQQEALENEWR -KWEPSLNRVEFVHSFLGDYPVCEGDV - ->YP_009121770.1 RNA-dependent RNA polymerase [Cucurbit aphid borne yellows virus associated RNA] -MKMQLPSFSVGQHITSKLVGVMPKLGNKLATAGKVSATCGLVVAAGFSLYAYRKVCINKLELVRINTVPL -PDDCIEDALEMEDDAASELVQAKIAKEAAIAAALEPTKPAEQFAAAAKIRAQPPPNPLAKRMNRLRPGST -GKFIRVVRAEIKAQMGTPTITAANEAVIRHMLSKYCAVRNIRTSSYAHLVSRVVREVMTPYPGDSEQVER -ASSVVNRIHNWLVQYKKXGGLELARGFTHNETRTDVPGLDATNLGESRPLTDTNIRRVVGPVSSDYDIVF -YTNSRNNLMRGLVNRVLTYKGGPVLEPTPGAWRELRSLAHSLGNRCLTTPLSSEEFLACYAGRKRAIYAK -AIESFNTKPWDADKDMIVKAFIKKEKDKLLSSNSDPRIIQPRHPRFLVSLGRYIRPLEQKVYKTYTRLFK -RFAATNTPVCFKGLNYLRRGSCLKEKWESFNSPVAVCLDASRFDLHVSEDALQHTHLLYRTAIGNDPLLA -YILENRLVTDGVGWSSDGAYRYHKRGGRCSGDNDTSLGNVIIMLSITHAFCEESEIPHIEVANDGDDQVI -IVESEHVEKVLRIEDIFREFGFLLKVEEPVHELERIDFCQTRPVQLTPDVCTMVRHPRLAMTKDLTTFIP -IERGKLKYHMLTAIGKCGMACYNDIPVLGAMYRKLVKIGSMNKGNEERWWKSTHADPAFRSLSSKAATNV -GLTPQCRASFWKAFGILPDQQVALEKEWNDWEPLLDKIEYVHSFLGDYPVCEGDV - ->NP_624318.1 putative RNA dependent RNA polymerase [Carrot red leaf luteovirus associated RNA] -MTNLNIESLVETQPAVSKLVGLLPKLSSRLIDAAKVPATCGLVVAAGLGLYAYRKVCINRLEFSRENTTP -RPTDCIEDVLEAEEDAVTCLALTKIAKEAAMEAALNPGEITERVREAAKINAQPSTDPLAKRKTRLRPNK -TGQFIRVLRAEIKSQMGTPTITAANEAVIRHMISKFCASHNIRTTSYAHLVSRVVREVMTPYPGDAEEVE -RASSVVNRIHNWLVQYRKXGGLELARGFTHEAVCTDVPGLDATNLGDSRPLTDTNIRRVVGPVSSDYDIV -FYTNSRNNLMRGLVNRVLTYKGGPVLEPSPGAWKSLRGLATSLGNRCLTTPLSPDEFLACYVGRKRTIYA -KAIESFKTKPWDARKDMIVKAFIKKEKDKLVDESCDPRIIQPRTPRFVTRFGRYVRAIEKRLYSEWTKSF -SSFTVTNTPVCLKGMNYRTRARALLEKWQSFDSPVAVCLDASRFDLHVSVDALKFTDQIYLSAFTGIDRS -ELKEILRNRHKTTGFATFKEGTFHYEKVGGRCSGDSDTSLGNVSIMLAITRVICEGLKGIHIEVANDGDD -QVLMVETNQLDNLVKELSPTFARFGFRVKVEDPVWEFERIDFCQTRPIFLSPGEPIMCRYPMQSMSKDVA -SFLNIERSEGWKYMLRAIGACGSSSFSQVPVLGEFYSALSSTSNKDETKWWRRTGVDLGFKQLTNNVGTI -PYDEVTARSSFYKAFGILPDTQAALESKIRNWNMSSVPHIEQVHKFSSEFPVVDGWA - ->AJM87503.1 RNA-dependent RNA polymerase [Carrot red leaf luteovirus associated RNA] -MTNLDIESLVETQPAVSKLVGLLPKISSKIIDAAKVPATCGLIVAAGLGLYAYRKVCINRLELVRENTTP -RPADCIEDVLEVEEDAAAELTLAKIAKEAAMEAALNPGEITERVRDAAKINAQPSANPLTKRKTRVRPNK -TGQFIRVLRAEIKAQMGTPTITAANEAVIRHMISKFCASHNIRTTSYAHLISRVVREIMTPYPGDAEEVE -RANSVVNRIHNWLVQYRKXGGLELARGFTHDAVCTDVPGLDATNLGDSRPLTDTNIRRVVGPVSSDYDIV -FYTNSRNNLMRGLVNRVLTYKGGPVLEPSPGAWKSLRGLATSLGNRCLTTPLSPEEFLACYVGRKRTIYT -KAIESFKTKPWDARKDMIVKAFIKKEKDKLVDESCDPRIIQPRTPRFVTRFGRYVRAIEKRLYIEWTKSF -SSFTVTTSPVCLKGMNYRTRARALLEKWQSFDSPVAVCLDASRFDLHVSVDALKFTDQIYLSAFTGIDRS -ELKEILRNRHKTTGFATCKEGTFHYEKVGGRCSGDSDTSLGNVSIMLAITRVICEELKGIHIEVANDGDD -QVLMVETNQLDNLIKELSPTFARFGFRVKVEDPVWEFERIDFCQTRPIFLSPGEPLMCRYPMQSMSKDVA -SFLNIERSEGWKYMLRAIGACGSSSFSQVPVLGKFYSALSSTSNKDESRWWKRTGVDLGFQQLTSGVGDI -PYDEVTARSSFYKAFGILPDTQVALESKIENWNMSSVPPIEQVHKFSSEFPVVDGWA ->ABV01917.1 putative RNA-dependent RNA polymerase [Tobacco bushy top disease-associated RNA] -METARGLLDALGDPCDHVVRAFKARPVIHSFDQVVHAFSAENPFSGCSERVALAVPHIIMGNSPLERLLE -LLATSRRVVAQFKRSIIDWAHRNSIYLGLSAATSAAALVLVERWRLSQAPPMQEEPVRLEDCLEIDDPDL -PLLXXTVPDEPTIDRARAQLAIRKRVTRRVRPNSTARFIRVLRAEVKATVGTPTYTAANVAVIRHIVEKY -AKEYNIRTSSYSHLMGDVISAVMTPYKSEYRQAAYCGSLTSRLRRWLVELKXGGLQLVGGYEHDMPDLTN -VPGLDPRQLRPSIVXYNKEFRRIVAPSYNYGVTYFNNSRANLLRGLVNRVLTYKGGPILEPSPGSWRSLS -PLARKIGIRCRTSPMSPDEFLACYAGRKRTIYTKALRSLEDTPLNNKDFVVRAFLKKEKDKLLSSSSDPR -IIQPRHPRFLVSFGRYIRPLEQKCYKAFSSLFQRFAVSRTPVCFKGLNYLRRGEMLLKKWESFVNPVAIC -LDASRFDLHVSVEALQYTHILYYTAFGRDPLLMQLLENRLETRGVAICKEGSYRYKKKGGRCSGDNDTSL -GNVIIMLSITYHLLEGLPFPHIEVANDXDDQVLIVEKENELALLEAVEPCFAKFGFRLTVEQPVYEFERI -DFCQTRPVRLSPDVTTMVRHPRLAMTKDLTSFIPIERGLMKYHMLSAIGACGKACYNDIPVLGAMYRKLE -SVGRAAGGREEXWWASTNANPDFQRLSSKAATNVGLTVEARVSFWKAFDILPDHQTALEREWENWKPDFE -LATVPVFVGTYAVVDGDV ->AJM87494.1 RNA-dependent RNA polymerase [Carrot red leaf luteovirus associated RNA] -MKMQLPSLSVGQHITSKLVGVMPKIGNKLATAGKISATCGLVVAAGFSLYAYRKVCINKLELVRVNTVPL -PDDCIEDALEMEDDAASELVQAKIAKEAAIAAALEPTKPAEQFAAAAKIRAQPPPNPLAKRMNRLRPGST -GKFIRVVRAEIKAQMGTPTITAANEAVIRHMLSKYCAVRNIRTSSYAHLVSRVVREVMTPYPGDSEQVER -ASSVVNRIHNWLVQYKKXGGLELARGFTHNETRTDVPGLDATNLGESRPLTDTNIRRVVGPVSSDYDIVF -YTNSRNNLMRGLVNRVLTYKGGPVLEPTPGAWRELRSLAHSLGNRCLTTPLSPGEFLACYAGRKRAIYAK -AIESFNTKPWDADKDMIVKAFIKKEKDKLVDESSDPRIIQPRTPRFVTRFGRYVRAIEKRLYKEWTKSFS -SFTVTRSPVCLKGLNYKQRAEELLVKWQSFDSPVAVCLDASRFDLHVSVDALDFTDRIYLSAFTGNDRSE -LKEMLKKRRKTVGYATCKEGTYRYEKTGGRCSGDSDTSLGNVSIMLAITRVVCERLKGIHVEIANDGDDQ -VLMVEENHLNSLVEELSPTFMRFGFRVKVEDPVWEFERIDFCQTRPIFLSPNAPIMCRYPMQSMSKDVAS -FLNIERSEGWKYMLRAIGACGSSSFSQVPVLGKFYRALSSTSNKSEHTWWKRTGVDLGFKQLTTNVGPVQ -YDEITARSSFYKAFGILPDTQMALERAIDEWYISPTPPIEHVHKFSSEYPVVEGWA diff --git a/seq/clusters_seq/cluster_677 b/seq/clusters_seq/cluster_677 deleted file mode 100644 index d74e93f..0000000 --- a/seq/clusters_seq/cluster_677 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009551621.1 VP7 [Guangxi orbivirus] -MEGIYARTFCYMECLGSNRDPRARRTYIPSDGFPLFVTRFNSTTDRPITQTPSTIEEHRNCFYAGLDLLI -AALGVNFNYNLPDYTPNVQVLSLLAREDLPYSTHAYIRAQRIVNEPAAGQSTRRFYTPWPDVNFIYPPAT -PYRTGADEPQPMCTGPQSMEVTLNANEDLEITNTVMPNYPDVVDTCITWYTLSHFRGAGGALIEGSQLCN -LMIGEDEVEAGTEIVVSADAQIRVANQSAVNAGMVHIDVRWFTRAQPSEDIYDTMRVDIASSYSFHSQQW -YDLRTYLLRVMGLPAHMPTTEPIRNPQRILTLALISRLLDIYVAHSPIDLTAIQGANGQAGIPDAALDAL -RRV - ->YP_009507686.1 VP7 protein [Corriparta virus] -MDAVRARALSLLESLASINDPRNSRDLGSINGLALFCTKYNATSERVLTITPSTQDEHTTCFFAALDIIS -AVTGIGFMNRYNGYTPNAQVISILAREDMPYTISDYRMALRIRHEVQAGSNYTRFTAPYPENQTVVPPGY -FNRAAGAGHVAYPVTYNTIEVPMNGGERHDYTRHIRPGAAGLTRYVISWRGYLRFTGHNNAMVDGSVNLR -VLKNAATPLRAGELHEITPNQTLTIHNTNANLPAIVSITCHEYSVAGHTNHVYPGMEADLAAVYSYLDAD -WHALRTYIMVESNLPPHHPPIEAIDTEEQVLAIALTGRLYDVYIAHQPRLIAVAAQGRGRQGRQAAIVNA -MGAP - ->YP_009047265.1 VP7 [Umatilla virus] -MESARARALSLLEQLATLGDPRNQRDLNAVSGLTLFLTKYNATCDRLLTLTPSTQEEHRVCFLAALDIVG -AILGINLSGILHNYVPNAQVIAHLAREDLPYSTQAYRNVLRILNETSGHSNSSRLYDPYDDRAQLVPPGM -FFRQNAQAQHFAYTSSREMSVAVMAGETIDISQTIRPSMIGATQYCFSWAPYHLFYSYAGQVTRQQPRLN -VEINRRDAAVGIQHMAAPNSVVTITNTGNAASLVQFTVHWYTKIQAGWDVYPGYKSDCAAVYTYQDAIWS -HIRSNICGMKGLPGNRLPINPPGNEDHVFTMAVLARLFEVYTCHRPVVAQAVNRVGGPGLYNQAVQNMGA -I - ->YP_008719909.1 VP7 [Mobuck virus] -MEGIFSRAFCFLECLGANRDPRARRTYAPSDGFSLFVTRFNATTERPITQTPSTVEEHRACFYAGLDLVI -AALGINFNYRLPNYTPNVQVLSILAREDLPYTTEAFTRSSRILNETTAGQSTRRFTQPWPDVSFMYLAGT -PFRTDDNEPQPMAVGYNDMEVTLNVNEDIEITDIVMPNYPDVVNACITWYTLSHYRGAAGALIEGSRLCT -LMIGDEEVDAGVELIVPSGTAIRVANNSGVNTGIIHITLKWFTRAQPGEHLYDTMLMDIDACYSFHSEDW -YELRSFLLRSVGLPTHAPPLEPIRVPNRVLALALIARLQNVYVAHSPIDIRAIQDVNGQGGLPNAALEAL -RRV - ->YP_460044.1 VP7 [Peruvian horse sickness virus] -MGGIYARTFCYLECLASNRDPRARRTFLPSDGFPLFVTRFNATTNRPITQTPSTPEEHRACFYAALDLLI -GATGINFNYRLPEYSPNVQVLSILARDDLPYTSEAFIRATRITNETAAGQSTRRFNLPWPDVNFIYPPAT -PYRTVDDQPQPMVIGPQDMEITLNVNEDVEITDIVMPNYPDVINTCFVWYTLSHYRGAAGALVEGSQQCS -VTAGDEEAESGVEIIVPAGTAIRVSNTSAVYAGMIHFNIRWFTRAQPSEDLYDTMRVDFAASYSFHSEQW -YNLRSYLLRLIGLPPHIPPNDPIRVPNRVLMLALISRLQDVYVAHSPIDIVAQQEGNGQAGLPDAALEAL -RRV - ->YP_443932.1 VP7 [Yunnan orbivirus] -MEGIYARAFCYLECLASNRDPRARRTFQPSDGFALFVTRFNATTDRPISQTPSTQDEHRSCFYAGLDLVL -GALGINFNYNLPGYTPNVQILSILARDDLPYTSEAYVRASRIINEPGAGQSTRHFTLPWPDVKFLYPPAT -PYRTAADEPQPMAVGAQDMEVTLVPAEELEITETVMPNYPDVINACIIWYTLSHYRGPGGALIEGSDTCQ -LTIDDEEVEAGVELIVPVGSPIRVINSSAVNTGIIHITVKWFTRAQPSDDLYDTMRVDIGASYSYHDQQW -YDLRSYLLRQMDIPPNVPPNEPIRVANRILALALVSRLQNAYVAHSPMNLQAPQNLNINGQIGVPNAVLD -ALRRA - diff --git a/seq/clusters_seq/cluster_678 b/seq/clusters_seq/cluster_678 deleted file mode 100644 index 0f4db75..0000000 --- a/seq/clusters_seq/cluster_678 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009507685.1 NS2 protein [Corriparta virus] -MAHTLEAVTRERRRFPKTFLFWSDDESNFVGMMSKVTGKPYLAIRTGMTTQVSMMDYVVPRASLVEILSC -GSYRIQDRDEATFFVISEHGIEVAPERWPKLLFETVDLQNRFCEINVGGDLVKTDVKYGRGHGTIPPYTE -EADSSTDSDIHLPGISFYRVEGDVREERERLKNAREEREEKIFTALVEAGGTRSEGRMLGLKFDKIANLQ -ISGESEKRKPKSKISKKVEGEKIREWPDVDSSDDDPKIGIQLGHERLKPKPARRMSVEDSVEHRDAQNEQ -GQAKDNWKKLATSIMKMKAIKDDAAGLKVVEPAHTGVFDSVMTSVSFDSVCVPIFKVDSHMKEYSRVGYA -LSKRAHIVISGETLTILPESA - ->YP_009158908.1 viral inclusion body protein [Chobar Gorge virus] -MAESAQKRTFTRTVVIYSKGEHDFVARMMKSMAFPYLLIKIGQSVQLLGCKVPPPRSWLVEIHKEGSYRL -VDRESSVYLVVSADGVEVQQDRWPRLCFETVDLRARFCEMTVGSSELQSEIKFGVGKGYVAPYTEESDTG -NDQQIILPGIRLIRISGDAREFREKLKEERDTRDRIIVEGIRATGGTRCTARAFGIRFDRMQRIDGEFDE -PPRPTTPRRSEAPPPPHNAPFVTMIPEPARQNSSPPLPSLDIRESKKRPSVARADETRPQILTVPAYRPP -DDPMEQLLLEMEKLQGETPDLSNYIMTLPNRVGSYDRVFGTVQIAPTHLPTYRLNDGSREYERVGDAVVT -SVGLAICGHQVIILPGANA - ->YP_009158895.1 viral inclusion body protein [Chenuda virus] -MAAEQPKMIRRPYTKVLAIKSSGTDFVAQMCKALSREYLVVKHGMNTQIVGADAPPPKAMLLLIPHEGAF -RLLDRDQSFFVMVDRDGIEVTQDRWPGMRFETIDLMTRGVELMIAGQPITTEVRYGVAAGLVPPYVPDES -RSKEDEPEFPGVKFIEVEGGMREFRERLKEDAEIRASALTSALHEAQSTRCLGRLMGMRNADLGRLRIKE -MPPRPRAHTSHEVIAVPASETRVLAPPPPPPNRPALTRLAAFEPIQTKTTAAPPPPRPLVLPVTRDSQAS -TPSTRSPSPALVTGSSAALYDELVQKAYSSLVQREPELADFTPQHPERVGLFSKDLGSYSIDPIATECYT -VDTLKCRFSRGGVAEATRLRVLVNDDRVYFIPEQ - ->YP_009158888.1 viral inclusion body protein [Wad Medani virus] -MASQDSRVPMIRRPFLRTISIHSTGTDDYVSKTCHVMKGSYLIVKQGMGSQQVVSDTPATGGMVLVIPGP -GSYRLLDRDQSTFIIVDVNGIEVMPDRWPGFCFETVDMSAHSATIDVGSEEVPGIVSYGRAAGTIPPYTP -DGNGPTNTITLPGIKFVKSDEPMRELRVRLKEEREEHATKITRAVRALGASRAAGRALGVRAEDLSGLTP -PVRESLSFSSSTSIRPSPTTSFTPVTRPAKPMASSTPPPPPVTPLATRPAPPSAALQKMAPVPAVKTAPA -PPVTDTITFGPDFNALLEEMAQGVMREVPDASFSSLSAPGAVGYYSDDFGVFSIPATTLPAYTFDATSQK -YEYTGVRSVTSVHAVASDGVLYAVPE - ->YP_009047264.1 NS2 [Umatilla virus] -MEQISHQRTERKQMYTRTFAFYSKERNNYVGASCAAQGKNYLVVKIGATTQMCFTDSPVSRGAIIDVRKQ -CSIRIQDRDQSTFFVISSCSIEWSLDRWPRMVFETVDLHTKFGHLIINDKEVETEFKCGVAHGVVAPYTE -EADQAGEGDIELPGVKFIKIEGSLREERERLKEKRDEREEVLAVALKTSGSTRAQGRIHGLRFERVIELE -KSSDVVPQGRAFKRGSSSGSSFECEGDQLIKQDTLQQGVKTIMSQSFSGIPGVQTHNSELIKPPRMDWAD -EMEQGAGRIKMPTIRLGTTQNSLEEAVKVMTLDEPKFNDNYYKVVNYLSESPDCAELLNDAKIQEPSSSG -TYDRMLTQISFKEIDLPLYIVESQTKNYTYKGVGKATSAVVIESQGIVHIVPTMNRN - ->YP_003896065.1 NS2 [Great Island virus] -MSADKQIPMIRKPFTRTIVLKSTGKDDYVAKMCNALGCEYLVVRHGLGMHVAGSNTPTHGCMLLLIPGAG -SFRLIDRDQSTFVVVSSDGLEVQQDRWPGMRFEAVDMFPRCCRIVVGDVAADSEIRFGSASGTVPPYTAG -ESMEAQDEISLPGLDFSVPDSDLREYREKLREEKEERAAAILSALRTTQQSRTLGRLHGVKTSDLVTLRP -PQPPTPGRNGHSALMSSAPVPPPLVPTSSSTGSPALSTTGLKRTQKLAPPPVAESAGPTFGADSNRFIEE -ALEAVMQDDASGRLNFGGEPESVGLFSQNLGSFDIPPSQLPAYEIDETRNKYKYVGMRTSTRLHALACDD -RVYFVPSAE - diff --git a/seq/clusters_seq/cluster_679 b/seq/clusters_seq/cluster_679 deleted file mode 100644 index 15a4793..0000000 --- a/seq/clusters_seq/cluster_679 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_611152.1 movement protein [Prune dwarf virus] -MAFSGVSRTITGQTSEANASSAFEVSAEDWNKILSEVDDFYSQTMMKNLPTKKCFSLQLKNGVPIQPMKL -QSKESRSALARLSAKAKGHVYVHHSIIYLLYIPTILETTSGVLTLKLFNVNTGESIDIDTDSPLNEAAIF -VGRWPRAVHADDGDGICLLASAVSVDAKHASIVGTVYPFWDDSLHKKKPYEKMYPTLRFPIEKSEALAAV -DDVKILQTFAKSRLVIGSSGKVDINPRLIEIKSDESKKALTVDFKNVDVPIKGKSSLEKFKEAESVPLKE -EKSDKEAFGVTIG - ->YP_164804.1 movement protein [Fragaria chiloensis latent virus] -MAFAGSSRTINETLSRSRSVGVSSAFEFSESEWASTMSDLDKFYEDVLIQNAPTRKCFKIDLKNNVPIEP -LRLQSKENRGVLRTMADKVKGCVYINHSIIYLVYIPSILESSTGVLTLKLFNTNTGEIIDVDTDAPANEA -AIFVTRWPRSLHVTEGNGLTLLPSVNVIGCKQGAIVGTLYPMWDDSFSRKKSYEKEYPSLRFPIEMTDAR -SAVTDVKLLKGFTMQRMIGGGTSSDIAPEVVKVRSEPGKKAKTAIFKRVSTSKREFAGRPSSVSDDVLNS -SNVAEGVTIPVNADEGKADFKKKRFQEGFTSDDC - ->NP_041194.1 32.4 kd protein [Alfalfa mosaic virus] -MENTKTNASSSGMSSSSSFSVSYAEEMLLADEVSKINSMSILGPNQLKLCTQLVLSNGAAPVVLSLVSKE -KKSILNRMLPKIGQRMYVHHSAIYLLYMPNILKSSSGSITLKLFNEATGELVDVDTDHDATQACIFAGRY -PRSILAKDAAKGHDLKLVVHAVASTNANSAVGVLYPIWEDELSRKQILERGADFLKFPIAETEPVRDLLN -AGKLTDFVLDRTRLGVGSKNDPSPVLLEPRAKITGKAKTVFIPEGPSVPNTTINGMAPTVRIDAGSPKGL -GVPKGFTYESFIKDEILPDH - ->sp|P24265.1|MVP_AMVYS RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MENTKTNASSSGMSSSSSFSVSYAEEMLLADEVSKINSMSILGPNQLKLCTQLVLSNGAAPVVLSLVSKE -KKSILSRILPKIGQRLYVHHSAIYLLYMPNILKSSSGSITLKLFDEATEELVDVDTDHDATQACIFAGRY -PRSILAKDAAKGHDLKLVVHAVASTKANSAVGVLYPIWEDELSRKQILERGADFLKFPIAETEPVRDLLN -AGKLTDFVLDRTRLGVGSKNDPSPVLLEPRAKITGKAKTVFIPEGPSVPNTTISGMAPTVRIDAGSPKGL -GVPKGFTYESFIKDEILPDH - ->sp|P03595.1|MVP_AMVLE RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MENTKTNASSSGMSSSSSFSVSYAEEMLLADEVSKTNSMSILGPNQLKLCTQLVLSNGAAPVVLSLVSKE -KKSILNRMLPKIGQRMYVHHSAIYLLYMPNILKSSSGSITLKLFNEATGELVDVDTDHDATQACIFAGRY -PRSILAKDAAKGHDLKLVVHAVASTNMNSAVGVLYPIWEDELSRKQILERGADFLKFPIAKTEPVRDLLN -AGKLTDFVLNRTRLGVGSKSDPSPVLLEPRAKIAGKAKTLFIPEGPSVPSTTINGMAPTVRIDAGSPKGL -GVPKGFTYESFIKDEILPDH - ->sp|P03596.1|MVP_AMVST RecName: Full=Movement protein; Short=MP; AltName: Full=Protein 3A -MENTKTNASSSGMFSSSSFSVSYAEEMLLADEVSKINSMSILGPNQLKLCTQLVLSNGAAPVVLSLVSKE -KKSISNRMLPKIGQRMYVHHSAIYLLYMPNILKSSSGSITLKLFNEATEELVDVDTDHDATQACIFAGRY -PRSILAKDAAKGHDLKLVVHAVASTKANSAVGVLYPIWEDELSRKQILERGADFLKFPIAETEPVRDLLN -AGKLTNFVLDRTRLGVGSKSDPSPVLLEPRAKITGKAKTFFIPEGPSVPNTTISGIAPSVRIDAGSPKGL -GVPKGFTYESFIKDEILPDH - diff --git a/seq/clusters_seq/cluster_68 b/seq/clusters_seq/cluster_68 deleted file mode 100644 index d9014b2..0000000 --- a/seq/clusters_seq/cluster_68 +++ /dev/null @@ -1,249 +0,0 @@ ->YP_009553016.2 coat protein [Opuntia virus 2] -MPYVGVSTRSLALLTPSFVRYVDVLSLIQSILGSQSFQTQSGRDQLRSQLAGLLTAPASRDVRFPEETVF -VRVFDSVIEPLWLSVLAATDTRNRIIEEQMVQGPTTPEVLNATKRVDDATVACRTALSKLRSGISDNLDT -VCYDRTKFELELGSAWLQPGGAPGGGNPAGSGARTGTST - ->YP_009665961.1 coat protein [Sunn-hemp mosaic virus] -MMAYSIPTPSQLVYFTENYADYIPFVNRLINARSNSFQTQSGRDELREILIKSQVSVVSPISRFPAEPAY -YIYLRDPSISTVYTALLQSTDTRNRVIEVENSTNVTTAEQLNAVRRTDDASTAIHNNLEQLLSLLTNGTG -VFNRTSFESASGLTWLVTTTPRTA - ->YP_009362090.1 CP [Hoya chlorotic spot virus] -MVYQITSPNQVAYLTASWIPSVTLINLCNRGLGQLFQAQAARDVTREQFSNCLGVLVTEDTRFPETGFFV -YLNDPVIKPIYEALMKSFDTKNRIIEVEEESRPGNGEIQSATRRVDDATVAIRSEIQNMLNVLVNGGAFY -NRTSFERIFTWTEASSSGN - ->YP_009507843.1 coat protein [Tobacco latent virus] -MSYTITSPSQFAFLGSSWADGLALINLCTNSLGNQFQTQNARTTVQQQFSDVWKTVASGTVRFPESAFFV -YRYDPTLEPLITALLNAFDTRNRIIEVDTPAAPTTAEALNATQRVDDATVNIRACINNLASELVRGTGFY -NQASFERASALVWTPVS - ->YP_009254750.1 coat protein [Tropical soda apple mosaic virus] -MSYTISNPNQLVYLASVWADPTELLNLCTSALGNQFQTQQARTTVQQQFSDVWKTVPATNIRFPNAGFKV -YRYNAVLDSLITALLGSFDTRNRIIEVENPQNPTTAETLDATRRVDDATVAIRSSINNLMNELVRGTGMY -NQAQFESVSGLTWATTATSS - ->YP_009182171.1 17.5 kDa coat protein [Tomato brown rugose fruit virus] -MSYTIATPSQFVFLSSAWADPIELINLCTNSLGNQFQTQQARTTVQRQFSEVWKPVPQVTVRFPDSGFKV -YRYNAVLDPLVTALLGAFDTRNRIIEVENQANPTTAETLDATRRVDDATVAIRSAINNLVVELVKGTGLY -NQSTFESASGLQWSSAPAS - ->YP_009130656.1 coat protein [Plumeria mosaic virus] -MAYTNISTVNLQYFSRSYIPGVEFINLIISGLGQSFQTQSARDEFRAQLIGSYKVVVTSTVRFPENSIYL -WANNPAIRPLLLAVFQALDTRNRIIEVESANAINPTSSETREATRRVDDATVAVRSQLQLLFDALSGGTG -LYDRKSFEEASGLVWEEAAATGTAGTSGAGTSTTV - ->YP_009094326.1 18 kDa coat protein [Hibiscus latent Fort Pierce virus] -MSYSNITALNLIYSSPTFAPYDVLLEILIKSRSNSFQTQAGRDILREQLVNALQIVVTPTTRFPADRWYV -WSRNPTLGPVFEALLQATDTKNRIIETEEDSRPTTAETLNATQRVDDATVAIHKEIDNILGLLQGGTAVY -NQASFEIASGWTWTAPAN - ->YP_720000.2 coat protein [Hibiscus latent Singapore virus] -MPYLNLTPLNLIYTSGTFAPYDVFLEILVKSRSNSFQTQAGRDTLREQLINSLQIVANLNTRYPLLGFYV -WVRNPTLAPVFEALLRATDTKNRIIEVEEESRPTTAETLNATQRVDDATVAIHKEIDNILLLLQGGTAVY -DRTAFEVVSGLSWADPTTTSTTT - ->YP_008802587.1 coat protein [Yellow tailflower mild mottle virus] -MSYAITSPKQFAYLTNAYADPIALISLCNNALGNQFQTQNARTTVQQQFNDIWKELPTQISRFPVGIYRV -YRFDSTIDPLITSVLNSFDTRNRIIETENPANPSTTEVVNATQRVDDATVNIRSSINNLCNELIRGTGFL -NQATFEEASQLTWTARTT - ->YP_008492931.1 17.7 kDa coat protein [Tomato mottle mosaic virus] -MSYAITSPSQFVFLSSAWADPVELINICTNSLGNQFQTQQARTTVQQQFSEVWKPFPQSTVRFPDNVFKV -YRYNAVIDPLITALLGTFDTRNRIIEVENQQSPTTAETLDATRRVDDATVAIRSAVNNLVNELVRGTGFY -NQSTFESMSGLAWTSAPAS - ->YP_005476603.1 coat protein [Ribgrass mosaic virus] -MSYNITSSNQYQYFAAVWAEPTPMLNQCVSALSQSFQTQAGRDTVRQQFSNLLSAIVAPNQRFPDTGFRV -YVNSAVIKPLYEALMKSFDTKNRIIETEEESRPSASEVANATQRVDDATVAIRSQIQLLLNELSNGHGYM -NRAEFEAILPWTTAPAT - ->YP_004956730.1 unnamed protein product [Clitoria yellow mottle virus] -MAYHTPRPNQLVYFTNAFAEYFGFVNSLQKARVNSFQTQAGRDQLREVLVNSQVSVASPTVRFPENSFYV -SFVDASVSTVYNALLQSTDTRNRVIEVENSSSVSTTEQINAVRRTDDASTAIHNNLDQLLNLLAAGTGVL -DRQSFESTSGLTWTAQGALRATT - ->YP_004936169.1 CP gene product [Rattail cactus necrosis-associated virus] -MPYINVQPKDFVYLTRSWVDPQRLIQFLSDMQTQAFQAQQSRTQLLNELSTMVVYGPTKSDRFPIDTYLI -NITKGGLSAYWFGLTQSADTKDRVFEVSEARAVTNAESKLATQRVDDATVAIRNAIKSTLSYLIAGEDIY -SRTSFEAALGWIWQENLPPPPQTAASDSRT - ->YP_004465361.1 unnamed protein product [Passion fruit mosaic virus] -MPYQPVSLQTLPWLSANWADYKTLLSVLRATSATSFQTQAGRDSIRSQLAGCVSELVQVNVRFPERYLVY -VNDPSISDVWGALLKATDTKNRIIEVDNERNPSNNEIESVTRRVDDASVAIRINVERLLKLLGEVHGVYD -RALFEQVSGLRWADDSAPASTSK - ->YP_003915156.1 18 kDa coat protein [Frangipani mosaic virus] -MSYTNISTTNIIYLARSYIPGVDFIDLIISGLGQSFQTQSARDEFRSQLIGSYKVVVSKTVRFPENTIYL -WANNPTIRPLLHAVFQALDTRNRIIEVESTNVVNPTSSETREATRRVDDATVAVRSQLQLLFDALSGGSG -LYDRKAFEDASGLVWEEAAAVGTAGTSGTGTTTA - ->YP_002455907.1 18 kDa [Cactus mild mottle virus] -MAGSYTNVKPNTFVYRTQSWVEPEKLLNYLTQAQLLIFQTQQARTQLANELQAQLRYGPRNDVRFPTDTF -LVNTAVGTLAPAWFALAQACDTKDRIFEVGDNRGVTSAETKLATQRVDDATIAIRNAIRTCVNILVSAVD -IYDRTTFEDATGWTWHLRCHT - ->YP_001974326.1 coat protein [Brugmansia mild mottle virus] -MAYTITAPNQIVYLGVAYADPILLMNLCTTSQGNQFQTQNARTTVQQQFADIWKAVPAVNIRFPENGFLV -YRYNAVLDQLVTSLLNSFDTRNRIIEVENPPNPTNGEIMSATQRVDDATVNIRASITNLMNELVRGTGFL -NRSSFESTSGLTWSTAPTT - ->YP_001333653.1 coat protein [Bell pepper mottle virus] -MSYTVNSPSQLVYLSSAWADPTDLLNLCTNSLGNQFQTQQARTTVQQQFSDVWKPTPQAGVRFPASGFKV -YRYNAVLDPLITALLGTFDTRNRIIEVENQQNPTTAETLDATRRVDDATVAIRSAINNLANELVRGTGMY -NQTSFESMAGLTWTTTPPSG - ->YP_001041892.1 17.5 kDa protein [Rehmannia mosaic virus] -MSYTIATPSQFVYLSSAWADPVELINLCTNALGNQFQTQQARTVVQRQFSEVWKPSPQVTVRFPANDFKV -YRYNAVLDPLVTALLGAFDTRNRIIEVENQANPTTAETLDATRRVDDATVAIRSAINNLVVELIRGTGSY -NRSAFESSSGLVWTSGPAT - ->YP_950424.1 18 kDa coat protein [Maracuja mosaic virus] -MPYQPITIKQLPWVSANWADYQTLVNVLRNTSATSFQTQAGRDSIRSQLTGCVDSAVQVNVRFPERFLVF -INDPAIADVWFALLKATDTKNRIIEVDTERSPSNSEIESVTRRVDDASVAIRINVERLLKALNDVQGVYD -RTLFEQVSGLTWVEAGQVASASK - ->YP_908763.1 18 kDa coat protein [Cucumber mottle virus] -MAYSPITPTNLSLFSSNYVPFTEFYNYLVTAQGEAFQTQQGRDSVRDSLSGFFSSPVSPTVRFPDGVFYV -FLGNPVLDPLFKALLQSLDTRNRVIEVDNPSNPTTAESLNAVQRTDDSTVSSRVGLINLRAAITQGNGVV -NRSIFESSNGLTWATSGSSSSK - ->YP_762620.1 coat protein [Streptocarpus flower break virus] -MMNLCSASLSQIFQTQAARDAVREQFGNTLRSVVTQTTRFPEAGFQVYINDAALKPLWENLLKSFDTKNR -ILETEEETRPSTAEVLNATQRVDDSTTAIRGALQRLSDELNRGSGYMNRTSFETILLWSAATAK - ->NP_740759.1 coat protein [Youcai mosaic virus] -MVYNITSSNQYQYFAAMWAEPTAMLNQCVSALSQSYQTQAARDTVRQQFSNLLSAIVTPNQRFPEAGYRV -YINSAVLKPLYESLMKSFDTRNRIIETEEESRPSASEVANATQRVDDATVAIRSQIQLLLNELSNGHGLM -NRAEFEVLLPWATAPAT - ->NP_671721.1 17kDa protein [Paprika mild mottle virus] -MPYTVSSPNQLVYFGSVWADPIALIDLCTVSLGNQFQTQNARTTVQQQFSDLFKTVPTRTIRFSDGENGF -RVFRYNSTLDPLITALLNSFDTRNRIIETENPANPNTAEIASATQRVDDATVSIRACINNLMNELARGTG -MLNTASFETVSNLTWTTAATT - ->NP_620844.1 coat protein [Obuda pepper virus] -MPYTVTSPSQLVYFGSVWADPITFIDLCTVALGNQFQTQNARTTVQQQFSDLFKTVPTRTNRFNDGENGF -RVFRYNSTLDPLISALMNSFDTRNRIIEVDNPANPNTSEVASATQRVDDATVNIRACINNLMNELVRGTG -MMNTASFETVSNLTWTTTTTT - ->NP_619743.1 coat protein [Pepper mild mottle virus] -MAYTVSSANQLVYLGSVWADPLELQNLCTSALGNQFQTQQARTTVQQQFSDVWKTIPTATVRFPATGFKV -FRYNAVLDSLVSALLGAFDTRNRIIEVENPQNPTTAETLDATRRVDDATVAIRASISNLMNELVRGTGMY -NQALFESASGLTWATTP - ->NP_597750.1 Coat protein [Tobacco mosaic virus] -MSYSITTPSQFVFLSSAWADPIELINLCTNALGNQFQTQQARTVVQRQFSEVWKPSPQVTVRFPDSDFKV -YRYNAVLDPLVTALLGAFDTRNRIIEVENQANPTTAETLDATRRVDDATVAIRSAINNLIVELIRGTGSY -NRSSFESSSGLVWTSGPAT - ->NP_543052.1 unnamed protein product [Wasabi mottle virus] -MSYNITNSNQYQFFAAVWAEPIAMLNQCISALSQSYQTQAARDTVRQQFSNLLSAIVTPNQRFPETGYRV -YVNSAVLKPLYEALMKSFDTRNRIIETEEESRPSASEVANATQRVDDATVAIRSQIQLLLSELSSGHGLM -NRAEFEVLIPWATAPAK - ->NP_078449.1 unnamed protein product [Tomato mosaic virus] -MSYSITSPSQFVFLSSVWADPIELLNVCTNSLGNQFQTQQARTTVQQQFSEVWKPFPQSTVRFPGDVYKV -YRYNAVLDPLITALLGAFDTRNRIIEVENQQSPTTAETLDATRRVDDATVAIRSAINNLVNELVRGTGLY -NQNTFESMSGLVWTSAPAS - ->NP_062916.1 coat protein [Tobacco mild green mosaic virus] -MPYTINSPSQFVYLSSAYADPVQLINLCTNALGNQFQTQQARTTVQQQFADAWKPVPSMTVRFPASDFYV -YRYNSTLDPLITALLNSFDTRNRIIEVDNQPAPNTTEIVNATQRVDDATVAIRASINNLANELVRGTGMF -NQAGFETASGLVWTTTPAT - ->NP_046154.1 unnamed protein product [Turnip vein-clearing virus] -MSYNITNPNQYQYFAAVWAEPIPMLNQCMSALSQSYQTQAARDTVRQQFSNLLSAVVTPSQRFPDTGSRV -YVNSAVIKPLYEALMKSFDTRNRIIETEEESRPSASEVANATQRVDDATVAIRSQIQLLLSELSNGHGYM -NRAEFEALLPWTTAPAT - ->NP_044580.1 coat protein [Cucumber green mottle mosaic virus] -MAYNPITPSKLIAFSASYVPVRTLLNFLVASQGTAFQTQAGRDSFRESLSALPSSVVDINSRFPDAGFYA -FLNGPVLRPIFVSLLSSTDTRNRVIEVVDPSNPTTAESLNAVKRTDDASTAARAEIDNLIESISKGFDVY -DRASFEAAFSVVWSEATTSKA - ->NP_056812.1 18KDa coat protein [Odontoglossum ringspot virus] -MSYTITDPSKLAYLSSAWADPNSLINLCTNSLGNQFQTQQARTTVQQQFADVWQPVPTLTSRFPAGAGHF -RVYRYELILEPLITFLMGTFDTRNRIIEVRNPQNPTTTETLDATRRVDDATVAIRSAINNLLNELVRGNG -MYNQVSFETMSGLTWTSS - ->sp|P03575.2|CAPSD_TMVDA RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYSITSPSQFVFLSSVWADPIELLNVCTSSLGNQFQTQQARTTVQQQFSEVWKPFPQSTVRFPGDVYKV -YRYNAVLDPLITALLGTFDTRNRIIEVENQQSPTTAETLDATRRVDDATVAIRSAINNLVNELVRGTGLY -NQNTFESMSGLVWTSAPAS - ->sp|P03574.2|CAPSD_TMV06 RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYSITTPSHFVFLSSAWADPIELINLCTNALGNQFQTQQARTVVQRQFSEVWKPSPQVTVRFPDRDFKV -YRYNAVLDPLVTALLGAFDTRNRIIEVENQANPTTAETLDATRRVDDATVAIRSAINNLMVELIRGTGSY -NRSSFESSSGLVWTSGPAT - ->sp|P03573.2|CAPSD_TMVER RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYNITTPSQFVFLSSAWADPLELINLCTNALGNQFQTQQARTVVQRQFSEVWKPSPQVTVRFPDRDFKV -YRYNAVLDPLVTALLGAFDTRNRIIEVENQANPTTAETLDATRRVDDATVAIRSAINNLIVELIRGTGSY -NRSSFESSSGLVWTSGPAT - ->sp|P03571.2|CAPSD_TMVOM RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYSITTPSQFVFLSSAWADPIELINLCTNALGNQFQTQQARTVVQRQFSEVWKPSPQVTVRFPDSDFKV -YRYNAVLDPLVTALLGAFDTRNRIIEVENQANPTTAETLDATRRVDDATVAIRSAINNLVVELIRGTGSY -NRSSFESSSGLVWNSGPAT - ->sp|Q9WDG5.3|CAPSD_PMMV2 RecName: Full=Capsid protein; AltName: Full=Coat protein -MAYTVSSANQLVYLGSVWADPLELQNLCTSALGNQFQTQQARTTVQQQFSDVWKTIPTATVRFPATGFKR -FRYNAVLDSLVSALLGAFDTRNRIIEVENPQNPTTAETLDATMRVDDATVAIRASISPIMNELVRGTGMY -NQALFESASGLTWATTP - ->sp|Q9WDG4.3|CAPSD_PMMV1 RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYSITSPSQFVFLSSVWADPIELLNFGTNSLGNQFQTQQARTTVQQQFSEVWKPFPQSTVRFPGDVYKV -YRYNAVLDPLITALLGSFDTRNRIIEVENQQNPTTAETLDATRRVDDATVAIRSAINNLVNELVRGTGLY -NQNTFESMSGLVWTSAPAS - ->sp|Q9WDG3.3|CAPSD_PMMV0 RecName: Full=Capsid protein; AltName: Full=Coat protein -MAYTVSSANQLVYLGSVWADPLELQNLCTSALGNQFQTQQARTTVQQQFSDVWKTIPTATVRFPATGFKV -FRYNAVLDSLVSALLGAFDTRNRIIEVENPQNPTTAETLDATKRVDDATVAIRASISNLMNELIRGTGMY -NQALFEMASGFTWATIPYT - ->sp|Q84843.3|CAPSD_PMMVI RecName: Full=Capsid protein; AltName: Full=Coat protein -MAYTVTSANQLVYLGSVWADPLELQNLCTSALGNQFQTQQARTTVQQQFSDVWKTIPTATVRFPATGFKV -FRYNAVLDSLVSALLGAFDTRNRIIEVENPQNPTTAETLDATRRVDDATVAIRASISNLMNELVRGTGNY -NQALFESVSGLTWATTP - ->sp|Q84842.3|CAPSD_PMMV RecName: Full=Capsid protein; AltName: Full=Coat protein -MPYTVSSPNQLVYFGSVWADPIALIDLCTVSLGNQFQTQNARTTVQQQFSDLFKTVPTRTIRFSDGENGF -RVFRYNSTLDPLITALLNSFDTRNRIIETENPANPNTAEIASATQRVDDATVSIRACINNLMNELARGTG -MLNTVSFETISNLTWTTAATT - ->sp|Q9Q1T6.3|CAPSD_TOMK1 RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYPITSPSQFVFLSSVWADPIELLNVCTNSLGNQFQTQQARTTVQQQFSEVWEPFPQSTVRFPGDVYKV -YRYNAVLDPLITALLGTFDTRNRIIEVENRQSPTTAETLDATRRVDDATVAIRSAINNLVNELVRGTGLY -NQNTFESMSGLVWTSAPAS - ->sp|P89677.3|CAPSD_TOMK2 RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYPITSPSQFVFLSSVWADPIELLNVCTNSLGNQFQTQQARTTVQKQFSEVWKPFPQSTVRFPGDVYKV -YRYNAVLDPLITALLGTFDTRNSIIEVENRQSPTTAETLDATRRVDDATVAIRSAINNLVNELVRGTGLY -NQNTFESMSGLVWTSAPAS - ->sp|Q9WDG7.3|CAPSD_RMVCA RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYNITSSNQYQYFAAMWAEPQAMLNQCVSALSQSYQTQAARDTVRQQFSNLLSAIVTPNQRFPESGYRV -YINSAVLKPLYEALMKSFDTRNRIIETEEESRPSASEVANATQRVDDATVAIRSQIQLLLNELSNGHGLM -NRAEFEVLLPWTTAPAT - ->sp|Q98747.3|CAPSD_TMVRA RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYNINTPSQFVFLSSAWADPIELINLCTNALGNQFQTQQARTVVQRQFSEVWKPSPQVTVRFPDSDFKV -YRFNAVLDPLVTALLGAFDTRNRIIEVENQANPSTAETLDATRRVDDATVAIRSAINNLIVELTRGTGSY -NRSSFESSSGLVWTSSPAT - ->sp|Q9QEE3.3|CAPSD_RMV RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYNITSSNQYQYFAAMWAEPTAMLNQCVSALSQSYQTQAARDTVRQQFSNLLSAIVTPNQRFPETGYRM -YINSAVLKPLYESLMKSFDTRNRIIETEEESRPSASEVANATQRVDDATVAIRSQIQLLLNELSNGHGLM -NRAEFEVLLPWATAPAT - ->sp|P03578.3|CAPSD_ORSVJ RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYTITDPSKLAYLSSAWADPNSLINLCTNSLGNQFQTQQARTTVQQQFADVWQPVPTLTSRFPAGAGYF -RVYRYDPILDPLITFLMGTFDTRNRIIEVENPQNPTTTETLDATRRVDDATVAIRSAINNLLNELVRGTG -MYNQVSFETISGLTWTSS - ->sp|P03580.3|CAPSD_RMVHR RecName: Full=Capsid protein; AltName: Full=Coat protein -MSYNITNSNQYQYFAAVWAEPTPMLNQCVSALSQSYQTQAGRDTVRQQFANLLSTIVAPNQRFPDTGFRV -YVNSAVIKPLYEALMKSFDTRNRIIETEEESRPSASEVANATQRVDDATVAIRSQIQLLLNELSNGHGYM -NRAEFEAILPWTTAPAT - diff --git a/seq/clusters_seq/cluster_680 b/seq/clusters_seq/cluster_680 deleted file mode 100644 index 98c7c11..0000000 --- a/seq/clusters_seq/cluster_680 +++ /dev/null @@ -1,81 +0,0 @@ ->YP_009553680.1 fusion protein [Ustilaginoidea virens nonsegmented virus 2] -MHYHLCSPPHAMADKALQQELELEALVKAFNENFKTTAGPDAVKKLLGSPHVRGWYAEYRQEQAKEKGLP -EDWAAVGLTAQEWAEAQSARSLRREARAEAAKTLAAEAARYDAAVKRINATCAAALDRAAAPMVLALEGG -YEQLPLAAQIRVESSADPEARAKCLKAELADARAVWVAKARAGEAPFSLPMPLDGPTWSCPAYGLLDRGT -LTAVVSRLSRKWHRLRESVFSRVPCDSPQSHVKGQLHMFWSARLDEAVEHRETYKLVQSLPETDRIRMAW -KYLNRRKAQAAKARDDSSGSHLPRVQAAALWLVLDDFSLTPARATVLAHAEYRRKRFEKPERKIRTTEWV -GGAPNPIALTSERQVSTKWKRAQSNAERLAALCDDAPFPPTAVARLDGRLELPSGCRPQKFVLPGGPALE -FIRERRPSLLKWLGPSDACVGGSWDTSKWSFEVACQPNKGSGHAGDLYRALSSGYKDLKLGRLPQPSIRA -LECVKVNGRAYPGIFSSRIGNNRKTAYGACVEIAKQHYLDARERFEPDLSLWACGGRGKPSQMVQVGDRL -KSRLILMPETPSALLESAFAQPFTAMLSAVRGDIMIGASMTDRGFRRVLSPVEDAHHVKAFDWSGFDSRV -REDMIVTAFGIVRACFKGDDAWLDNVFLRFISHFLVKRVVTPGGWLYTLANGVPSGSPFTSIIDSLVNWL -VITDLEICMGGLSAPTKNRRRVYGDDFMQAFFAPCLERDAYIALAFERWGFVAKPSAALEGVACANTADA -SLPFLSFRFPFGLPARPIQDALKIGLLPQKARYSYSAQAARVCYLDHFAPYDPETIEYHREYFNWLQTKI -PGMTWADGRPQPDLVSPWIHKAMVNFVAAGFAPGVVSLGEWFRQEDPRRWPDRWVPRRCGRLVPRAAWSQ -GKLQSALSTLRWGNCAESTFARLRWKTL - ->YP_009553682.1 RNA-dependent RNA polymerase [Ustilaginoidea virens nonsegmented virus 2] -MSSFRWLLRSGWNPQRTPRHGRSVSKPSSRTHVPCGLLKPGLARLPFSLPMPLDGPTWSCPAYGLLDRGT -LTAVVSRLSRKWHRLRESVFSRVPCDSPQSHVKGQLHMFWSARLDEAVEHRETYKLVQSLPETDRIRMAW -KYLNRRKAQAAKARDDSSGSHLPRVQAAALWLVLDDFSLTPARATVLAHAEYRRKRFEKPERKIRTTEWV -GGAPNPIALTSERQVSTKWKRAQSNAERLAALCDDAPFPPTAVARLDGRLELPSGCRPQKFVLPGGPALE -FIRERRPSLLKWLGPSDACVGGSWDTSKWSFEVACQPNKGSGHAGDLYRALSSGYKDLKLGRLPQPSIRA -LECVKVNGRAYPGIFSSRIGNNRKTAYGACVEIAKQHYLDARERFEPDLSLWACGGRGKPSQMVQVGDRL -KSRLILMPETPSALLESAFAQPFTAMLSAVRGDIMIGASMTDRGFRRVLSPVEDAHHVKAFDWSGFDSRV -REDMIVTAFGIVRACFKGDDAWLDNVFLRFISHFLVKRVVTPGGWLYTLANGVPSGSPFTSIIDSLVNWL -VITDLEICMGGLSAPTKNRRRVYGDDFMQAFFAPCLERDAYIALAFERWGFVAKPSAALEGVACANTADA -SLPFLSFRFPFGLPARPIQDALKIGLLPQKARYSYSAQAARVCYLDHFAPYDPETIEYHREYFNWLQTKI -PGMTWADGRPQPDLVSPWIHKAMVNFVAAGFAPGVVSLGEWFRQEDPRRWPDRWVPRRCGRLVPRAAWSQ -GKLQSALSTLRWGNCAESTFARLRWKTL - ->AIE77248.1 putative RNA-dependent RNA polymerase [Ustilaginoidea virens nonsegmented virus 1] -MLIHMAKRYAVPRVKALVDATDPLVRRAAAVDELRRRSAAAGKAKHHTRLWAISEIERRLDEANVVEYVA -AWSVRLLPHEQAEFLRLHARWFVGARRCEKRLFRGCDDHKSVAYGAPPEVPPAAISRRLARTTGTRPLKI -GCGDDLPLATYIRKVRPDLFSRLPQGGVFTPTGSFDASAPHLGKYFGEEPPAPDRDEVASVILNCAESLK -VIRLGELSPAAFETTGVKPDAAPGFDSKLFCRTKGEGMDIVAHACKQLYELLAHEQVLDASAWELGGREK -RQWRDVGEALASRVIMQPEALLSQFGCAIAHPITLAMQRAKGDLHVGWSFAHGKWRDFVSKYSGEHVKAF -DWSQFDARVGRELMITSMGILRSYYPPGRQMDRCFIYLLSNMLDKDVVVPGGHVARVSWGVPSGHPFTSL -VDSVANWLVHRDCLTAMVGPGVAREVRLGCLGDDTIMSFPLGRDAPETKEYLEVALARWGMVGKLDFNLE -GFLCSNWAPRCLPFLGTRFQCGFPGVSLEDWVTMDLGDRAPRRDYAWRAXELYVYRGLPPFCKEQYDLHA -ALCRWLVDQTGDHPIVNSPTVEWLITSVYEFAALVYLTEDTGYYAWAEDMQGSRSVWADLPPRVKRGNGY -AQSDAEVFSRLTPRGREAWNTCINNAHWRIHLDPFTGGFYWFKDNGE ->AOO52900.1 fusion protein [Purpureocillium lilacinum nonsegmented virus 1] -MADRSLASDQELEALVTAFNAHFKTTASPEAVKKLLGSPHVRGWYAEYRQEQAREKGLPEDWADVGLTAK -EWTDAQAARKLRREARAEAARLLSAEAEKYDAAVRRVNKQCAAALDQAATPMALALEGGYEQLPLAAQIR -VESQGDPEARSKTLKSELREARAAWVAKARSGEAPFSLVIPLDGPTWSSPAYGLLDEGILLATVSRVARK -WRALRDSVFKRVPCDTPQSHVSGQRHMFWSARVQEAVDHVDTYKLVQAMPEADRVRMAWKYLNRRKTQAS -RARDDATSTHLPRLQAAALWLALDDFQLTPARASILAHSEYRRRRFEKPERVIKSTEWLGGTPNPLPSVA -EGPASSKWETAKSNSERLAALEDDAPFRPTSVARLDGRLELPSGCRPQSFVLPGGPALEYLRERRPSLLK -YLGPSDANVGGSWDTSKWSFEVAMTPNKGFGHAGDLRRALRSGHKSLKLGRLPQPSLRALECVKVNGRAY -PGVLSSRVGSNRKQVFASCVEIAKREYAEAKQRFEPDLSFWVCGGRGKPSQRVQPGDRLKSRLILMPETP -SAILESAFAQPFTDMLKAVGGDIMIGSSMTDRGFRRVLSPIEDALHVKAFDWSGFDSRVREDMIVAAFGV -VRACFKGDDEMLDNVFMRFISHFLVKRVVTPGGWVYTLANGVPSGSPFTSIIDSLVNWLVIVDLEICGGG -PQAPRINRRRVYGDDFAQAFYSRCMSKESYIRLAYNKWGFVAKESAALEGVAITTTADTSLPFLSFRFPN -GLPARPVDDAIKIGLLPQKARFGYSQQAARVMYLDHFAPYDPETAEYHRGYFTWLSTKIPGGTFTDGRSN -PDMVTPWLIKAMVNFVAAGFAPGVVSLGEWFRQEDPRRWPERWVPRTCGRSPPRPTWGQGKMRAALSTLR -WGNCAETTYARLRFETL ->ALR87111.1 RNA-dependent RNA polymerase [Nigrospora oryzae unassigned RNA virus 1] -MVASIRRLANKWGRVRNDCFSRVATDHPDDHLSGQTHIFWSARHDDRIRNAARYDEFISTHNRDKRLELA -QWFVRKRVGDSERDRKDKTANALQVAQATARKRMFQSMAITSNNNLNLLAFAGYNRRRKEARAMVPKQYR -RWYAGAPVPFCRTYDAPQPAELRPKQRYAKNYLSQLATQGPVPESKVCALRGRLDTPMGERPQKFVLPGG -PALWYLKEKRPDLLQYLGPSDCTVKGSWDTNRSVLEDMATQNVGTGHLGDLIQAVNIAGKSLKLQQLPAP -SRSAIGQVRCNPVAYPGLVSSRCAPSRKGMFRAATAIAEHHFDEAADKFTPDTSFWTCGGREKPSQYAQP -GAQLKSRLVLMPETPSTLLESAYSQPLTRMIQKVEGDIGIGMELTNRGFKRVTRKFNRFSHCKAYDWSGF -DTRVREDMIVAAFGIMRACFYGDRAHLDNLFLRFISHTLVKQVATPGGWYYTLTQGVPSGSPFTSLLDSI -VNWLVLVDLENQFVGRDGVKQNERLVYGDDFVQGWHHGAPASDVYVDYARRRWGFIAKPKAIKEGFFSCG -STEDSLPFLSVRYPNGLPARPMEDAVRLALLPKRERYTWGGQCLRSSYLSHFPPFDFEVAQYHAEYLGYA -LDEANYQPHNQPRGHNYVWAQIHSAMADFGGSMWARNKVFNDEWFRAQDPRKWGDSRVPRSWVGKVRGVY -LNQPRITRSIAMLKYGQDFLHRPRDDG ->BAA25883.1 RNA-dependent RNA polymerase, partial [Bryopsis mitochondria-associated dsRNA] -SYVRGFWVLRPGTSSRVLVAYRRRKNHADFYENMRWKPNYSFFKSFQTDDGLKITPRSFSYMALLNEIYR -FSKTDDKYVPFIHRKRKITKYYEPVFQYEGGIPTLHKIRKHFIKDGGPLPETPVCSMVGYLPDYVGFTLD -KKIPRNEEFLSYIKSHSHYVTDAQYKAATTERQMWEGGYSTSLSILKEFATPNPSKVTAEEYLDAAESCN -FLGQVWPNMPPLKIDDLDRISVNLNANSGHYTSLLFGSKKRDSCVHSNLIAKELFKKITKQPYKSTMLWR -IYGRAKDIKLFSLTLKRVQHGPFDSEEALSHLCLIVVQPLTKQFQRAYNSPLVIGRGFTNKEIPSIMRRQ -GFFEFVINNDWRHFDALLHSEAILAAVSLVRKCYPNDKAHTRYFSFICDTLIDKLVIIPPGYIYRIKQGM -PSGHPFVTIINSIVNVLLWIAVASRVYGKHNISNNFYIDSSGDDGQIYFRPHRNLFNIDAIIKEVTGMEC -NSVLASLTDRSASSHFRRWNTSLFLKRAIFHGSIVGWDGPSMMRKFLYREKPDFSLRAESSWLRDQMITA -PGNLSFNMLMIRNLIFRIIKVHKLDASELRDEGVYGGLPLFQCNDSERTVRYWLEPVLDAVKVGCGYFIH -GKYDFSITDLSSSQKLEPIDDSMILPYKTKGTILSSLSSLAAGGGFISNVLFDMILGDVKSIPYEKRQQL -LKDLSSYGGLSYDPTAIFRKGKYGIHCNFIRFCKITHHVFNCPLPFIPKSTLALDKGDMGYLKTFLKFFT -RTRPPGVSEDEFLPFILDQLQTNSLRLRRSRLSTNVRLSDMVDSHVCKLFPEPP diff --git a/seq/clusters_seq/cluster_681 b/seq/clusters_seq/cluster_681 deleted file mode 100644 index f94744b..0000000 --- a/seq/clusters_seq/cluster_681 +++ /dev/null @@ -1,63 +0,0 @@ ->YP_009177217.1 RNA-dependent RNA polymerase [Colletotrichum higginsianum non-segmented dsRNA virus 1] -MTEASGLGVKDWSFFLEPAFKSKELRGVRCLGFSKGLKYKYVQPQTKNSQFFLSFLDQCTMELAGIPGPS -LEITTSEYVPPSESDLFAHIAGFGESGSSGSQLSNRVYAALREELTMIEHWDREITGWLDPRLLVDIKVP -SQTSPGIRWKKLGYKTKKEALMPATMEAAKVLLSMIETGTEYEVPPCGVAGRGKRMDMNRKVDTGGKKEG -RLIVMPDLVRHLVGTLGSGAYMSKVKEVDKSQGGILLGMGPFSESYQSIANWARGASKFVFLDFKKFDHR -VPARVLQEVFKHIASRYEGCPGSKAYWRSEFQQLVNTKIAMPDGTVYQKKRGVASGDPWTSIAGSYANWM -MLKHVCNVLGLVAKIWTFGDDSVIALYDVDPTLDLLPLFTKHLASSFGMHVSQEKSYVSDCLVDIAEDPE -PKSSGSFLSLYFLQTPMGVRPTRPLQDMYEMMMVPERNQGTLRWEIVRTSMAYLTFYYNDRARYVLEEYW -DWLHRKYRVPELKGNFTDLNLLREMDIPWSSFKLEWLVRLPRPGEVELMYKYGHTGFFPPLLWGHWYARY -DRDVHGNNVSFAFQEPPT - ->YP_009154711.1 RNA-dependent RNA polymerase [Beauveria bassiana RNA virus 1] -MMVDWIAENPSTVSEASGNGVKEWRFFLKHCSWQRRLPGVSSLGHSPAVRYRYVQPETSPSFLFSKFLDE -SQSSLGGIEGPARDVLFSEFVPPSEDDLFEHIAGFGEPGPDGSHLPGSDFAQLFRELRRLEQWDVPISGL -LDATMLPKIRVPSATSPGIRWKKLGYKTKRQALVPAVVEATRALNRMVEEEVAYTVPPCGVAGRGKRVNI -LEGGSRKGKKDGRLIVMPDLVRHLLGSLGSAPYMSRLRGMDHSGGGVLLGMGPFQDQYQLIADWATGASA -FCFIDFKKFDQRVPRRLLRGVMNHIRSAFIGEPGSRAYWESEFRHLVDTEIAMPDGSCYRKRGCVASGDP -WTSLAGSYANWIILKWIFLKLGLEVKIWTFGDDSVVAIYGQVDTGGLMSQVVRLAWEGFGMNVSREKSYV -TCHLVDIADDPEPQKAGSFLSMYFLQTPMGVRPTRPLQDMYELLLKPEKCRGTVEWEVVRTSMAYLVFYY -NETARYVLEEYWVWLHRVHRIPELTGTADDMALLREMDIPWSSFRMEWLNRLAFHGEVELMYKYGHTKFF -PPVLWNAWYQQYDESICGNEIVPDQRAPND - ->YP_009154709.1 RNA-dependent RNA polymerase [Ustilaginoidea virens unassigned RNA virus HNND-1] -MTCMAGPSEEVVMSEFVPPAISDLYKHMEGFGNRNPGRVKPTTDTYAGLFSELRAVEGWTRSISGWLDPA -LLPKIVVPSQTSPGIRWKRLGYRTKRAALMPAVVEASRLVRRMADTGEKYEVPPAGVAGRGKRLDSCRDT -NAPDRKEGRLIVMPDLVRHLLGTMAAGPYMSMVKGLDKRDGGVLLGMGPLSDSYQRIADWCKGADSFIFL -DFSKFDQRLPRDLLQQVMKHIRGRFDNVPGGRAYWESECEQLIDTQIAMPDGNVYRKSQGVASGDPWTSI -VGSYANWIILRRVCDVLGLRAKIWTFGDDSIIAVYGQKVGSGIIPRIAEVALSEFNMQVSMEKSYASTTL -VDIDDDPEPHVSGSFLSLYFLQTPMGVRPTRPLQDLHEMFLVPERNRKDLRWEIVRTSMAYLVFYYNEKA -RYVIEEYWDWIHTRYKVPQLRGTAEDLTLLREMDIPWSAFKWEWLERLPRPGEVELLYKYGHTGFFPPSL -WGAWYSKYDDDDLGNRVSLPMRTPQGSDHGGGPVN - ->YP_009094186.1 RNA directed RNA polymerase [Ustilaginoidea virens RNA virus M] -MSEYVPPSIEDLWKHLQGFGANPIALRDHITVPVTEMLSELRGLDLGRGRIAGWLEPENILRVEVPAATS -PGIRWKKLGYKTKRDAMLQAAVEARGNIVRMRDVGGGYEVPPCGVAGRGKRVPIDRPSDGERKEGRFIVM -PDLVRHLMGMIAVQPLMKKIKQADKSNGGVMLGMGPFGGSYDRLAEYASGGKAYLCIDFKKFDQSVPRSV -LRAVMQRVREEFDEEEGSDGYWRSEFKQLVDTEVAMPDGHTYAKRAGVSSGDPWTSIVGSVANWLVLRIA -LKRLGVDARIWTFGDDSLIAVDTDVPSESWLLEAVARELKSVFGMTVSKEKSIATRHLVGVFEEPEVGQT -ANFLSAYFLQTGTSVVPVRPIQDLHELMLVPERRKDTVWWEVARTAMAYLVFFWNDSARMTLEWYWDFLH -REYEIPQLRGDPEILGMLRLMDLPWDQFKEEWLIRLPYRYEVELLYSHGYTTFFPPALWALTYKDHVPLD -GGNKVWWELEGQ - ->YP_009052469.1 RNA-dependent RNA polymerase [Alternaria longipes dsRNA virus 1] -MAENPSTTSDAAGKRRPPTEWKMFLENRSHRPVPGVKYMGSSSHLRYKYVQPETNTSSFFCKFLDQSTVV -GGEIDPPSADVVMSEFVPPAEEDLWKHVEGFGQNQNRGGGPSQASVSDILNELRRLEGARPIIDDWFEAS -ALPKIRVPAHTSPGIRWKKLGYKTKRDALMPAIIEATRIIGKLKETGHEYDVPPAGVAGRGKRVALDRPD -DPDRKEGRLIVMPDLVRHLLGAMAAAPYMSMQRSLDKSRGGVMLGMGPFQESYQDIAGWAAGAKRYVFID -FKKFDQRIPRRVLRAVMKHISHSYSNGPGTGAYWASEFRHLVETEIAMPNGSVYKKFMGVASGDPWTSLA -DSYANWVMLSMACKALGLRAKIWTFGDDSVLAIDEGDTRGDLLGRISEWVSKEFGMVVSKEKSYMATDLV -TIDEDPQEGLSGSFLSMYFLATPMGVRPTRPLQHFYELFLKPERNGGTVEWEVARTSMAYLVFYYNWKVR -YILHEYWDWLHERYKIPELRGTLDDLRLLRELDIPWAHFKLSWLSHLPPAGEVELLYKYGHTCFYPPVLW -DKLYSRNSELPGGNSFADW - ->ALO50135.1 67 kDa protein [Penicillium janczewskii Beauveria bassiana-like virus 1] -MMVDWIAENPTTVSEASGKGVKEWRYFLRRSDFSRRIPGVRCLGYSPAVRYKYVQPETEDSSLFSDFLDD -PTVSFGDIDGPSRDVVMSEFVPPTEDDLYQHVGGFGAPGPDGSHMSGLDLAQLFEELSRLEHWGPQIEGL -LDVQLLPKIKVPSSTSPGIRWKKLGYKTKRQALVPATVEATMMVNRMVEEEREYDVPPCGVAGRGKRVDS -NRDLSVSDRKDGRLIVMPDLCRHLIGSLGSAPYMARLRSLDHSGGGVMLGMGPFQDQYQQIAEWAKGATS -YAFIDFKKFDQRIPRRLLRAVMNHISTRFRTGPGTKAYWSSEMRQLIETEIAMPDGTVYKKQRGVASGDP -WTSLADSYANWIILKRVFNRLGLEVKIWTFGDDSVVAVYGPPFQGDLMAAIGRSAWEEFGMVVSKEKSYV -TDVMVDIDDDPEPKKSGSFLSMYFLWTEMGVRPTRPLQDLYELMLKPERCRGDVEWEVVRTSMAYLTFYY -NSNARYVLREYWDWLHRRFRIPELTGTVRDLELLREMDIPWSSFKLEWLNRLPLDPEVELMYKYGHTKFF -PPTLWAHWYSSWDDDPSGNDLIRDIAPD diff --git a/seq/clusters_seq/cluster_682 b/seq/clusters_seq/cluster_682 deleted file mode 100644 index 12e2153..0000000 --- a/seq/clusters_seq/cluster_682 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009553663.1 HSP90 [Blackcurrant leafroll-associated virus 1] -MQTAPSYYWGDLFKVFYGAEESNEFLSKAEANFDANVVTWNHRKEDGTEVSKAHMLEATGYTILRELCLL -HYSVHLNGIARLCGVRVSSALKGIECYTSEDLKPLPKVNIKSKFEAGCKFSLNAVGQYVADRAFGTGKAY -VQHFWALSNSAGVLIGPKDVLKYKAMVFKDTLGSKEKVEIVTKLKSYLAFCVSSYRNDYLSKSRDERLVT -EPVMNWIRSYYSTTTLNVDPIENPLLTGVFLEFISKYIVFDTSFKKNVTHMNRFIGEYAPLISEIWEYEG -RAKTQDARLIVGLRPDDFCRNMNSLSLEDMEVTLGKSVVSLEVVIGERLMEEIEEMVDALLLESNPSVDY -SHRWIGFFVFYGVHRTSKSRVDPRPEFIDVALSKDRSIRVNMSMVEEFFNTCQRWNPRINVRRSFNGRKS -NVAFEIFRRLNLKFPPLVEMKLQTNMDYLNIDYYKQVIFDGLNNEEIAYLCSVRKKVDLKLGARISLLPK -RKPKQDGRITLLERERKRNDNAHSKITRIAKSLISLSDL - ->YP_009553644.1 61 kDa protein [Blackcurrant-associated closterovirus 1] -MTQTAPSYYWGDLFKVFYGAEESNEFLSKAEANFDSNVLTWNHKKNNGTEVSKAHMLEATGNTILRELCL -LHFSVHLNGIARLCGVRVSSALKGIECYTTEDLKPIPLVNINSKFEAGCKFSLNAVGQHITDRPFGSGKA -YVQHFWALSNSAGVLIGPKDVLKYKATVFKDTLGSKEKVEIVTKLKSYLAFCVSTYRNDYLSKSNDERLV -AEPVMNWIRSYYSTTTLNVDPIENPLLTGMFLEFISKYTVFDTSFKKNVKHVTQFVSEYAPLISEIWEYE -GRAKTQDARLIVGLRADDFCRNMNSLSLEDMEVTLGKSVVALELVIGERLMEEIEEMVDALLFESNPTVE -YSHRWIGFFVYYGIHRTSKSRVDPRPEYIDVVLSEKYSIRVHMSKVEDFFNTCQRWNPRINVRRSFNGRK -SNVAFEIFRRLNLRFPPLVEMKMQTNMGYLNIDYYKQVIFDGLNNEEMAYLCSVRKKVDLKLGAKISLLP -KRKPTRNGRITLLERERKRSDNAHAKITRISKSLISLGDL - ->YP_009553249.1 HSP90 [Blackcurrant leafroll-associated virus 1] -MTQRPLTYCWGDLFKVFYGVEESNEFLLEAAQNFNPSMVTWGHKKEKGGEVSNKQLLEATGNDILRELCL -LHYSVAVYGVAKQCGVRVNSALKGIECYTAEDLAPSEAVTAKTKFESGCKFSLNAVGQHVINRPAGTGKA -YVQHFWALSNSAGVLIGPSEILKYKAIVFKDTLGVTPDVNIELKLKSYLAFCVASYRSDFLSKSVDERVV -VEPVLRWLRDYYSTTSLSTDAFENPLLTGLFLEFVSKYTVFDTTFRKNAANVQRFINEYAPLVAEIWEYE -GSPKSQDSRIIVGLHPDDFCRNLSTLSLDDMEVTLGGIVHSLEIVIGEKLMDDIDEMIDALLLQSNPNVV -YSHRWVGFFVYYGLHRTNRDRIDKRPDYIDVVISKNTTVRVRMVEVESFFNECQRWNPGINVRRSFNGRK -SCVAFEIFKRLNLKFSPLVEVKVPVNMEYLNVDYYKQVVYEGLNNDEIAYLNIVRKKVDLKLGAKLSLKS -KEPVDKRMRSTFLERERKRSDNQHLRVSKISKSLISLGDL - ->YP_009552060.1 HSP90 [Blackcurrant leafroll-associated virus 1] -MNQTPLSYSWGDLFKVFYGVEDSNAFLSEAIKNYNPDMITWGHKKSTGGELPCSHLKGATGDTIVRELCL -LHYSVAIYGFAKLCGVRVNSMLKGIESYTAEDLRPSGSVTNMTKFESGCKFSLNTVGQHVINRPAGTGRA -YVQHFWALSNSAGQLIGPSDILKYKSIVFKETLGFQEKVEIELKLRSYLAFCVASYRSDYLSKSTDERVV -VRPVLKWLEDYYSTTTLSTDAFENPLLVGLFLEFISKYTVFDTTFKKNVMNLQRFINEYAPMIADIWEYE -GAPKSQDPRIIVGLHPDDFSRNLNSLSLDDMEVTLSGVVNSLEIVIGEQLFDDIEMMVDALLSESNPTVD -YSDRWVGFFVYYGIHRTNRDRIDKRPDYIDVAMPKGRSVRVRMVEVENFFHECQRWNPNINIRRSFNGRK -SSVAFEIFKRLNLGFRPLVEVKLPINLDYLNVDYYKQVTFEGLNNDEIAYLNIVRKKVDLKLGAKISLKP -KKSGNPRKQPTFLEKEREKSDNKHLRISKISKSLISLTDL - ->YP_009058933.1 putative protein p58 [Rose leaf rosette-associated virus] -MSLTHEWGSLFRGVYGEPMWSQFLSDAAKTYNSSVFQEDHVTKDKTKILAKTLRSAPMGSRDHEIALLHH -SNNMTGWSEICGIPKAMFLQGSEEVRSDVTLFMDVDPTKVGCRFSMDTVKNFIVDTCGEKDIAKVRVEHS -WALSNACGKLTDADDLHEYKAMVFGEKSKDSVIDAVDCPFGDYLSHCLGLYKKCTVATDSEMRVRAEFFK -YMAEIVNAYDLNYNEPSDNPVLTGIMLDFVLSRKIFPSSYAVNLANLRKFIYDFLPMILKVWVVTPRDVH -FDERLIPPCELVDLAMDVPKFNFHDLNTVIKGKLRSLEIECEDPDMNVISEIVFSKLEADNRNVDKHLLR -EALFLYYGKYCTAKTRVVPRPSRFRVSGVEVSFTAVESWFSRVQFAELNINFRRDFMSHHATEALQVYKK -FGVKYPPKSDYIVPLNMAYLNFDFYKGVKDSGVNEEEHYHLKKICAAVDAKCRGLYSLQKVSENDVRRRV -GKRGRRRRGELRTPNA - ->NP_042865.1 61-kDa protein [Citrus tristeza virus] -MSSHHVWGSLFRKFYGEAIWKEYLSESTRNFDERNVSLDHTLSSGVVVRRQSLLNAPQGTFENELALLYN -SVVINDFVELTGMPLKSLMTGIEDRKVPDELISVDPHEVGCRFTLNDVESYLMSRGEDFADLAAVEHSWC -LSNSCGRLLSSTEIDAYKTLVFTKNFDSNVSGVTTKLETYLSYCISLYKKHCMKDDDYFNLILPMFNCLM -KVLASLGLFYEKHADNPLLTGMLIEFCLENKVYYSTFKVNLDNVRLFKSKVLPVVLTVWDISEPDDPMDE -RVLIPFDPTDFVLDLPKLNIHDTMVVVGNQIRQLEYVVESDALDDLSQHVDLRLAADNPDLRVGLRWAGM -FVYYGVYRCVVDRAVERPTLFRLPQKLLSQDDGESCSLHMGSVEALFNLVQKVNKDINVRRQFMGRHSEV -ALRLYRNLGLRFPPISSVRLPAHHGYLYVDFYKRVPDGAVTADELESLRQLRSSVDVMCKDRVSITPPPF -NRLRRGSSRTFRGRGARGASSRHMSRDVATSGFNLPYHGRLYSTS - diff --git a/seq/clusters_seq/cluster_683 b/seq/clusters_seq/cluster_683 deleted file mode 100644 index c73f35f..0000000 --- a/seq/clusters_seq/cluster_683 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009553504.1 movement protein [Actinidia seed borne latent virus] -MSKSIKVNSIVNRVNVDKSILGSSEISSLYGSKYAPLVFKDEVKMIVPGNILGGPIKLQANILTKERLGI -IRGQKFGGSNCAYLHLGFVPIVIQSLLVSGNELVKGRCSLVDLSRGSEKTGLIDRFNFKFTKNEPFAAKI -LTINAPIDINCDTSINSIQILLELEGIDIRTERSVIAVVTGLSCVPTNSTIMLPGLKRETPKWSICNVFN -VPEESEEDNERFNSLFNGANPKLIDLGKDTVLDNGKKFGFWGPSVKPVHRRELTTKNIIKEQMSQVMSET -VSNLNICEERKKLRNCLERSKSVRGNVSEVGKEEVPVRRSLRVDMEELHRSGRQDARMEGGQQWECEASK -YKHDGTEVKDYDGSAESDIREYCRLLGGSRNKLSIDYSGLQCDECEWDRKSDINRWEFQSEDNSGNNEDL -HSNPSGSEDIIINAKGGLQEFCELRP - ->YP_009553027.1 movement protein [Salvia divinorum RNA virus 1] -MASLIRVDRLVSRIENNQSMLGSNEINNLYGSECARVFKDEVKLIVPGNLDGSPVVMQAPILTDERIEEI -RATRIKHRGVHKRPCYLHLGFVPIAINSLLPSGNDDVTGICALVDTSRSSYKNAVIDAFNFKWTKDEPYA -AKLLTINAAIDIDCDVSVRSLQIIFKISGIDLDKERSVAAITVGLSCVPTVDMFQLPRLKRECPKISIMN -IISSIESDVESREAFVEMFNAKKIDLLGSGKSEMLDKGKRWGFFGPVVKPVSRRNLTSANRLKNVQIKSE -RCSLKRNGSLNLDRSISNARLSLSQIESEILKIRSNELKDKFSRANHEEDDGLNELFTGEK - ->YP_009505633.1 movement protein [Caucasus prunus virus] -MSKAIKVSSFVNRVNLDKSLLGSNEINALYGNGFAPLVFKDEIKMTIPGNVLGKPIKVQANVLTKKRLEQ -IRAQKFKGKACSYIHLGVVPIAIQSLLVSGHENVWGRCSLVDLSRGSEETALIDRFKFRFTNDEPYAAKI -LTINAAVDINCDTSVGSLQVLLEIHGIDVRSERSVAAITVGLSCVPTNNMVMLPGLKRSTPKWSLVNVFN -VPEDSEAEKNAFENLFDAANPGLVDMGNDKLLETGKRMHLWGNSLKPVYRRELSTRNLIKEQLSHVMSET -AKSLKSEGSISRCCSSRDVSQIGKEALHLQRGIRMGMEEFHRPRGSDASMGDGCWWRGKEFDTTFARAKG -ESFGGQAENFIRQHSRSLSRPINRLPEHGCELHETDSARCGWSAGDGGFIQPQNLCGKSEDTCSDTSGQE -NDGSDAKGSLPEFCKLCP - ->YP_009357236.1 movement protein [Watermelon virus A] -MASTSMTLVKSLEKRIEADKSLMNSSEVDKLYGNLATHVFKDEVKIKIGGNENGNVVSTQCRLIGASRMQ -QILNSAQGKKAAYLHIGCIPITIQSLLPSGNSKIKGRCALVDVSRTSLDTGLIDGFTFSFTDEKPYTGRM -IIVNKVIDFECDASVGSIQLVLEVEGIDLRIQRSALAVTVGMTCIPSNTAIVFPSLQRSKMTWALTNSVD -MKEQEIKEDEQFKELFENTNTNLIDQGETVLLEEKGSMLNLFGTKRKTVARRILKNKGPICRIENWDMGS -VKSGPVKMKRSNSLSSASSFESKFDGRFARQSTSGHSRETSS - ->YP_008997791.1 movement protein [Apricot vein clearing associated virus] -MALINVQSLCEKLSLDESILGSSEINKLYPKDHHEFIFKDEVKLMIGGNLDGSLVSLQAPILTSERLSQI -KTAKPKSAYLHLGFVPIVLQSLLPSGNDLIKGTCALIDTSRCSLSTGLIDIFKFKFTSKNPRAGKLLTIN -APIDINDEVSVGSVQLLLQVEGVDLREKRSVMSITVGMSCVPTTNASLLHKLSGERPSWNLLNVESLSSE -DKESEQAFQDLFLNCKTGVVETGKIEYLKGGRKLPFFGKRFQPVFRRDIKTTGLLEIPTESRSLSRSLSQ -RSIGSEYNSGFV - ->NP_624334.1 movement protein [Citrus leaf blotch virus] -MASLINVSSLVNRVKLDQSIIGSDEINKLYGSDAPLVFKDEVKMVIPGNAEGEAIKLQANILTADRLQSI -RNAKVNGKEAAYLHLGFVPIAIRSLLPSGNEQIWGRCALVDTSRTRAETAVIDEFEFKFTKKQPFAAKLL -TINAAVDINCKVSVGSIQVLLELHGVDLREERSVAAIITGLTCTPTNKMVLLHKIECDTPKWSLCNIIEQ -VEDEEESKKAFENMFNASSSNLIDLGQEQWLDEGKRTPLIGSLAIKGFGRKVMPVRRRNLTTRNLMKDYV -SHVKSETASLKRSQSGRDWGNDRLRKYLEEQALEQARSSTDHQLVKAPKFKTIEVTGLETVHDLKDKIDK -AESSTASDTGTK - diff --git a/seq/clusters_seq/cluster_684 b/seq/clusters_seq/cluster_684 deleted file mode 100644 index 4edb8db..0000000 --- a/seq/clusters_seq/cluster_684 +++ /dev/null @@ -1,74 +0,0 @@ ->YP_009553335.1 coat protein [Diatom colony associated dsRNA virus 3] -MKLSAYFPVSATLGASSLYDSPTPNWRRLSVACKHTVTGRVAGKDRAGSASVQYNSDFRPAVDLGEVVNL -DSSVLIALQSRDVVKSHMDKLRPRLPYDVSATTPGFADFRALMAVISAAEAAGSARQRVIYSRGTAEYQV -TPSGHREPRIGDLHIGPSVCRTSREFITFLKLVGQAGVKRVTILSDTSLTPEAVPLAGQGLAVFCAKIKA -IVQQGANELCAYGSHELASVGGTTAAMSLNAHSDEGGWVRGMLTSMSYPPSTGILLGTGSSFNGVPLQEC -LHKEDIMTKVIADYLSSIALLHVADVTLNGSTSVYEREPGSRACLDSYGTLSADIDRVLEQWALLICRRD -DMHPDATTDGSAFSACYDRRAIDRHFEDNLTIVPWWFVETAPLLLESYPGYDVCARHGTISKLPLLNVEE -VTHDSPVLDDRGRVMHGATVGFVRRSGPFRMEGASYLFNERYSDGETLARFRINDRSIRKPSDAFMFAGD -TGPDIESMRWKVPHNPLPHPLEGISDAAVEFQAMSVSYLEDPTPADLASGTVNSFFGLFSVVQKTEAAAR -VTYRGCPRTLRRKMKLESPHFQRLFRIKVNGEPSPPRPGPPPVQEHAPPLSDVESVDDNSELSEADDPGP -PPPDVPTTEAVPRPPQDSDPAGENDFISSADSTVQIARSGDPMSRPTPPITTKAVKVTAAGKDGGASATP -V - ->YP_009552790.1 coat protein [Diatom colony associated dsRNA virus 6] -MLLGNLPTRKFLSSERFKAMGKTPFKQRLWLKSTHTVFSDFGSGRRGGSAPVWYGLEVDSRPEAARPSAS -LTVDPLVLPVGTQSLTSVVGVMREVGARLAVAEGSECDLKCDFRSLAYICGFVSSYTSAGLEPVFSQAAA -AVPSTSNYTMQAGDCLMFTRPIFKNNNEFRTLLYLCGEAGNEEVHFACGSVPKAGARLKGESLGVFANRV -YANIMAETTSIAAAASHAEAKFSGSVAAMKLLGHSQEGGAMRKIIRTRNYPKSVGLIRTHLTSIVGLNTN -ENLHEKDLLRYEIASLLEGAGRVARSDPTKSKNGLPSMYKPDEFSGKPSSMSGFENSVYDLFANWRKLAV -EELSLVSDTTSDFQSFSAYFDRDSDEDHYKHARVVPFYWVEPGPISTSLNSSIQMLGCQGKGVTLDMIPN -AVGYKTAAYQEHKGVAFPGSVVRLVSSGGYHARSAGINLLFSGRYTKANGLSQFKQVTFSASGVLNGDLA -MAGDSSGTLADRRWHTFHNPTPNPGECFTDRPFACSYTYSGGLEEPTLEEFVNGKVESLFGTLHLADPED -KASKYHRSVPDYLEETFASTNGLLGFLEDAANVVDMATIVSREVVPVVHPVERGVFVGPSQVGEVEQNER -DTGEPEPGSLGPSEETETPSSAAEVRPEMSTEGAEGGS - ->YP_009552788.1 coat protein [Diatom colony associated dsRNA virus 5] -MSLSKFIPTYTSLTPMSFTLREKGGRVTRLLVLATPHSVYAMFGGDAVSGKAEVFYKIETTGENETPEFF -KSGVAFDEAPIGYQSAEDLRALLEIMRVRQSFSLAAIGDAVFDMRGVAFTCGVMAASVTAGILYAYRFNA -CSVGLLSPSWNVPSVTNLTVAVGIAETGPEFATLARIAAAAGGKSITLMSDVIPPRTNRVLQGLSLGAFA -LKVFCNVINAAQACSCAGMHVEAFYSGLCRVFKLHSHTDEGGMIREILSSARYPPSVGVLAVGASSYFGL -SPREKLMDVHVPQVVLGLFLELTGTLITSDPGGMEPTILVKDGDSNRPSDFPGLRPAFYKLMSRFRKNVC -DDYGLHHALVSDTSSHDGYFETDAEDRHLMADTIVPFFWVEPGPLSTKERDYPLPMIQGKQTNLDLSRAE -VVQESAGYTEVFGRVPVGAAVFHRTEKARPRMEGYQYILSPRYRPENGLSRMEVITDDRLGVTTSDALFV -KPGVTNVSRRRWVTPHNPVPSPAEGITPVAQATRYVYNGMYAEPTKSDWKDGKVASFCGPFRVVKSTVSL -GDKITCSHVSETNRRWLNSRGRYAPKHVLDLSALPTGFSLPQRVLMFGVEAMPRSPEGAPDPEDDAEGRS -GESGGGSQGTTSSSNKTPNRMETQSATPLQGEQEAPKIEGPQTDLEADPGTGRRIRVTDAEVDTEESVGS -IDR - ->YP_009551610.1 coat protein [Diatom colony associated dsRNA virus 9 genome type A] -MLLPFLPNQKVLRPGAFKLVLGTDKKRLTLACTHSAVMALGKSDIHGVDEVQYLIDTKDSWNKPNHNLTV -DNSVPPVGEQSASSVLAFYKSINPRRSGNTVLDGTFTFDFRSTEYVLGAVSGQVTAKVTTDFGNVILPKI -VLLSPTHTLSFSDQPLINQMMWSNANEYVTLLTLLGASGARSVAVPGDTVPRKGKKLTGLALGSYVLRIH -AVILSMANSTSCTANHDQAFFEGQTSVLRLNAHTDEGGFVRGPLGKKMYPAPRGALLPGTAEISGLSTVN -SVRPSEVAVWSIANFLEGCGRLSRADPSNVVGVPTIMENEDGLDKPSSYPGLEQGFYDLMKNWRLLCAEE -RGYVATAYPDGSSSRPYFDTDASDRHLGAPQIVPFFFVEPSGISTVKKSAVTMPAVQGSGGSLPFLKDAK -VIKTKAYSEYKGRVGTGSKVFLYMPKGHHARENGLHYIFSSRYRKENGLSQFEAVTAHSLGVVAKDYAMA -DNETDLLSEKRWETPHNCLPNPAELYTERRACFRYTYSGARYEPTAEDFERGEVDFTISPLYIPKKLDGS -TKAIHKDVPDHLLEMVRNTHLNRKLGFGAEEDEFEEGYEAFLEFGPNPVPLAVDPGALTPAAGELVPEIA -NEEDIDPSETVEDEEIEIVLDQSGGLIRPGVESQSLSAEIEHDTAYDAANSVSG - ->YP_009551608.1 coat protein [Diatom colony associated dsRNA virus 4 genome type B] -MLLNSVQSREFLKKAAFAMVPGKDGKADPSKADFRRLWLHSTHHVSAQMCGRTKTGHASVWYGIEYSRKR -VDPKYSLTIDDSIPAVASQSLSTIMSTLKTLGARHALSGTSEYDCPFDMRALGFICGFVSAATSAGVDPL -LNDKSAIKPLTANTAIHLGTCASFSETCFSNQDELVSLTYLTGATGLKSIRLATQTASSTGRCLKGEALG -VYALKLYANLLAESHYVSSSAYLAEAKFSGELAFYKILGHSHEGSGVRQALINRSYPTPVGFVNPVATNI -QGLSTVASLHTSDLLRHVVASVLSGVGRIARSDPTRNEEGLPTIYRPNSSTGKPSSMPGFEFDYYQMLAN -WRTKIAKEEGLADSDYKDGMSSRRYFDDDEDNDHYKRKAVVPFFYVEPGPVSTSLDSSITMLGCQGEGVS -VPLVEKAEGYKTLAYGEYNGTPFPGSVVRLVTKEGYAARRSGINYLMSGRYSRGNGLSQFHQVSFSASGI -FTNDMAMSGDPAGSLSDRRWHTYHCPLPNPGENFTNKSACFSYTYSGGTVEPSLNEFISTKVDSLFGTLI -VGTPGTRDASYHRDIPDHLSDLVSMTAGVFTFLTSKSNVVDMASRVGPEGGSFAPVSLPEAPARLTLADV -GATEELDRDDEPEEPVELGEEEQNELPEGSTNLRPDVTSGPAAPGS - ->YP_009551556.1 coat protein [Diatom colony associated dsRNA virus 4 genome type A] -MLLNSVQSREFLKKAAFTRVLGKDGKADPSKADFRRLWLHSTHHVSAEMCGRTKTGHASVWYGIEYSSKR -IDPKYSLTIDDSIPAIASQSLSTIVSTLKTLGARHALAGTSEYDCPYDMRALGFICGFVGAATSVGVDPL -LNDKSAVKPLTANTAIHLGTSASFSETCFSNQDELVSLTYLTGAAGLKSIRLATQTASSSGRCLKGEALG -VYALKLYANLLAESHYVSSSADLAEAKFSGELAFFKVLGHSHEGSGVRQALINRSYPTPVGFVNPVATNI -QGLSTVASLHTSDLLRHVVASVLGGVGRIARSDPTRSEEGLPTIYRPNPSTGCPSSMPGFEFDYYKMLSN -WRTKIAKEEGLACSDYKDGMSSRRFFDDDEENDHYKRKAVVPFFYVEPGPVSTSLDSSITMLGCQGEGVS -IPLVEKAEGYKTLAYGEYNGTPFPGSVVRLVTRSGYAARRSGINYLMSGRYTRGNGLSQFHQVAFGASGI -FTNDMAMSGASAGSLSDRRWHTYHCPLPNPGENFTNKPACFSYTYSGGTVEPTLDEFVSTKVDSLFGTLI -VGKPGTRDATYHRDIPDHLADLVSMTAGVFTFLTSRSNVVDMASRVGPEGGNFDPPSLPEAPAPLTLAEV -GDTEQLERDDEPEDPIELGEEEQNELPEGSTVLRPDTTAGPATPGS - diff --git a/seq/clusters_seq/cluster_685 b/seq/clusters_seq/cluster_685 deleted file mode 100644 index dd1a6a2..0000000 --- a/seq/clusters_seq/cluster_685 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009551999.1 coat protein [Arracacha virus 1] -MGDAAKLLAAKNAAGGTDGTTDDAGQTLGGRLLGLTFGSPVLKTGNDKVKLLKTLGEFMVSKGAKPGEVS -DAIGLLLHAYNIRSTSPKVERDVDDILFSAVLSAGSAIVVLEGEVNAWLNNQSELKTHVNKARVLCRTLG -DEYIAFLRENHSVLPPVPRANKHGISAAHSYMASDFYTSNKALSNEEQAVHLHGSNHALKTEGKEVTEIT -SLFQLGRH - ->YP_009664810.1 coat protein [Beet yellow stunt virus] -MAGGNDEGSDDSSASQTMTAKDMIFAPFENFARASATCLNGENKKKLFEEFSVRVKTQDVTESGIPTTLG -MTLYALATLSTSSKIDIEDKTPLVSAKIDAVNVTITYEDIKNFVNSLTLLKNYKNKLRVFARTFEEEYLR -FVRQYKHILPNIARANKHGIPADYSYLAADFVQTSNLLKEHEQAVLLEGRNAATASSGTTRESAVNLKYL -GGSSK - ->YP_008858536.1 CP [Carnation yellow fleck virus] -MVTVDADIQNLDDVTFADQTCLGGAEKEELKKIFESFLKANNVPEEKVKFVTGLFLYSSALRGTTNKGAD -DPTSIFLSLKLGEGKTYTLTYGELRNFLNSQPVLATKPNKLRFFCRTFQKEYLDFAKRYRSDLQPLARAN -RYGIPAEDHYLAADFLTTSPELTELQQGRLLLARENATKTESSYEPSVTSLKQLGRGLATSR - ->YP_337917.1 major capsid protein [Grapevine leafroll-associated virus 2] -MELMSDDNLGNLVITDASSLNGVDKKLLSAEIIKMLVQKGAPNDGIEVVFGLLLYALAARTTSPKVQRAD -SDVIFSNRFGESNVVVTEGDLKKVLDGCAPLTRFTNKLRTFGRTFTEAYVDFCIAYKHKLPQLNAAAELG -IPAEDSYLAADFLGTCPKLSELQQSRKMFASMYALKTEGGVVNTPVSNLRQLGRREVM - ->NP_835250.1 coat protein [Grapevine rootstock stem lesion associated virus] -MELMSDDNLSGLVITDASSLNGVDKKLLSAEVIKMLVQKGAPSQGIETVFGLLLYALAARTTSPKVQRAD -SDIIFQNTYGDKTVVVTEGDLKKVLEGCAPLNRFTNKLRTFGRTFTEAYVDFCVAYKHKMPQLNAAAELG -IPAEDSYLAADFLGACPKLSELQQSRKMFASMYALKTEGGVVNTPVSNLRQLGRREVM - ->NP_041875.1 coat protein [Beet yellows virus] -MGSAEPISAIATFENVSLADQTCLHGEDCDKLRKNFEECLKLKGVPEDNLGIALGLCLYSCATIGTSNKV -NVQPTSTFIKASFGGGKELYLTHGELNSFLGSQKLLEGKPNKLRCFCRTFQKDYISLRKEYRGKLPPIAR -ANRHGLPAEDHYLAADFISTSTELTDLQQSRLLLARENATHTEFSSESPVTSLKQLGRGLGTGR - diff --git a/seq/clusters_seq/cluster_686 b/seq/clusters_seq/cluster_686 deleted file mode 100644 index 8052157..0000000 --- a/seq/clusters_seq/cluster_686 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009551998.1 coat-like protein [Arracacha virus 1] -MGDDVTKKYGSGDISVSAPPDASPLGKELGLSLRAVEAFFQSFQSTEYKASSSETYSQPELERLVGGLKA -AFKATVKANEDDIGLHICFILLRAGQIQTSLKYKNDTSYSYRLSNTEYTVSDLWVRSTIARLGDSIKKKP -NPFKCFCASFEDLYIAMAKTHPELFKNRTIGRRGTPSGYEYLSADFLSGCSPLLEDRERAVAIRASENAL -ARHNGSNSEKLLISLYDL - ->YP_009664809.1 p25 [Beet yellow stunt virus] -MPPQPGAELVEHNANKSSLEVFSSETREKVGKFFNNFDHKTFKQVNPNLLNEDELREVLGKLKTELKTNL -KALDEDIYHHVAFFLLRASVVSTSPKVEYKGSYSYSIDQRKYTVNDAWIFPQVKILASKHNKPNGLRAFC -ASLEGMYLSVARLGPDAFGTRSVGKRGAPSGSEYLGADFLTSTCPLMSDHDRAVALSASRNALDRSAASQ -IDKKMVSLYDFGKVVYT - ->YP_008858535.1 CPm [Carnation yellow fleck virus] -MPGPEDNVGIVRFSDNTRDAIKSYFNNYDLTDYTEVNPNKLNRKEADELLGIIQERFKSDLVIAEEDFIK -HLAFVLIRAANITTSTKVNYVGAYGYTIGGKEFNVKDAWIFPLLKDCMKKFGKPNPVRTFCSTFEDAYLV -SARSIPRTFLNRTIGKRGIPTGYEYLGADFLTGTSVLLNDHEKAIVLQASRAAVERAASSSVDGKIVSLF -DLGRLS - ->YP_337916.1 minor capsid protein [Grapevine leafroll-associated virus 2] -MSSNTSVPVGGLEALETSGVVLTTRKEAVDKFFNELKNENYSSVDSSRLSDSEVKEVLEKSKESFKSELA -STDEHFVYHVIFFLIRCAIISTSEKVKYVGSHKYVVDGKTYTVLDAWVFNMMKSLTKKYKRVNSLRAFCC -ACEDLYLTVAPMMSERFKTKAIGMKGLPVGKEYLGADFLSGTSKLMSDHDRAISIVAAKNAVDRSAFTGG -ERKIVSLYDLGRY - ->NP_835249.1 coat protein duplicate [Grapevine rootstock stem lesion associated virus] -MSSGTGIAVGGSEAIETSGFVLSTRKEAVDKFFNELRNENYSSVDSSRLSDQEVKEVLEKSKESFKSELA -STDEHFVYHIIFFLIRSAIISTSDKVKYVGSHKYVVEGKTYTVQDVWVFNMIKGLTKQYKRVNGLRAFCC -ACEDLYLTVAPAMSERFKTKAIGMKGLPVGKEYLGADFLSGTSKLMSDHDRAVSIVAAKNAVDRSAFTGG -ERKIVSLYDLGRN - ->NP_041874.1 coat protein homolog [Beet yellows virus] -MLAPEARGDLIHFTENTRDAMETFFNSYDLAEYSEVNPNKLNRKETDELLGVIRERFKSELVITDEDFVK -HLAFALIRAANITTSVKVNYVGAYEYTIGGKKFLVKDAWVFPLIKECMKKFNKPNPVRTFCATFEDAYIV -IARSLPKLFLNRTIGKRGIPSGYEFLGADFLTATSVCLNDHEKAIVLQASRAAIDRAVSSSVDGKIVSLF -DLGRLS - diff --git a/seq/clusters_seq/cluster_687 b/seq/clusters_seq/cluster_687 deleted file mode 100644 index 2ef04ab..0000000 --- a/seq/clusters_seq/cluster_687 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009665978.1 TGBp3 [Drakaea virus A] -MEEVPVIQHSLNCSCQSCSWSPSRSYRASAVTAVAKNVSTVPKLSHYLMIFLVAFSGSLMTVLLLYVCGI -FDKHCDASYSYYYQDLNSVEFKSVPGNPIDPETVKAIHHFQKFPFGLSPMFSMFISIFQSLSVPGFIMIL -CVCLFKIAH - ->YP_009508257.1 beta-C protein [Lychnis ringspot virus] -MPHPLTCGCSDCVLPPLQREFTESIYANENMMSAPPAPQTAKSVVGGDDLFLMMYSFLAGVLLTLLVIWS -VGGTTCSNPQKASYYYQDLNKIEMEVAPGSPIDPEVIKAIHHFQNFPYGRTPGLGWIDDVTTLVTVWFTR -LIYLGIIFFFIWVFKNI - ->YP_009220373.1 triple gene block protein 3 [Colombian potato soil-borne virus] -MDPPVIIHSPNCSCQYCSSELPSTHTCGSQDPIAQSHAGGIAARITGANSEYFSLSYVLLVAVVSVLLGF -SACVYIKSVSNEDPADMTYYYQDLNSVEIKLGKNPLDPEVIKAIHSFQEYPFGYVPSIRGGPEHEVSNEG -SGAVALTDSRNVRQVDDSPCAHSTLTSLWKDDLSFTIIAVTVLALGVVFAPR - ->NP_740766.1 unnamed protein product [Broad bean necrosis virus] -MDPPVIINSRDCSCQHCYLPQRCSHSSGSVSQDVQSPVEEIVQIVSNRESVFDSYYLLICCSVCFILGLS -IMLFINNLYFRNVSSVGGSYYYQDLNSVEYKSSGPIDADVIERIHHFQQGPLGRFKDDATFAIKVKEDDF -FEDAVDIRETSLFISDKVVSFVFIIILILLLKVCYG - ->NP_620440.1 triple-gene-block protein 3 [Potato mop-top virus] -MDPPVILHSPNCSCQFCSSELPSTHTCGSQDRTVPLHVEATAAGHMEAKNFSLQYVLLVAFVSVLLGFSF -CVYLKSMSNDEASDMTYYYQDLNSVEIKLGKNPLDPEVIKAIHSFQEFPYGNIPSIRREAEFDVQNDESS -AVVLSGSNNNRRQVASTPCENNVLLKLWKDDLSFTIIAVTVLVGAMLARC - ->NP_612613.1 Third triple gene block protein [Beet virus Q] -MDPPVIEHSQDCCCQHCSWQPPCTPTCAGLNRNAMSHVEEIVRREESSFSLSYVALCCVVCLLLGVTFSL -YLKSGAEVDSSAFSYYYQDLNSVEVKIGSYPIDPEIIKAIHHFQEAPFGVSLSQSDDSDVDDVPDVAELA -LQIDRLTLSCVVFEFIEKLCYRFFCVCLVVFCFYCYFHFC - diff --git a/seq/clusters_seq/cluster_688 b/seq/clusters_seq/cluster_688 deleted file mode 100644 index 6bd6077..0000000 --- a/seq/clusters_seq/cluster_688 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009665960.1 30 kDa protein [Sunn-hemp mosaic virus] -MSEVSKISTLLAPEKFVKLSVSDKFKWKAPSRVCSIVQSDTISMTANGRSLFTFDVLKDVLKHAEEYTYV -DVLGVVLSGQWLLPKGTPGSAEIILLDSRLKGKASVLAVFNCRAATQEFQFLISPGYSLTCADALKKPFE -ISCNVIDLPVKDGFTPLSVEIACLVQFSNCVITRSLTMKLKENPATRTFSAEEVDELLGSMTTLRSIEGL -RKKKEPNDVVQGHLSAEYDVKRSVKRTKSENTPGKRRVNVDSVSLGLGKGKSVSAKNEDTESVFDDGILD -SDS - ->YP_009094325.1 31 kDa movement protein [Hibiscus latent Fort Pierce virus] -MTLDLANPLKLLDESTVFKVSIVDKLVGGLKKNLRVCNIINSDVAKLSGNGQSLFGINLTSNLTVEQKQY -KYVHLLAVVISGKWHLHNTVGGGATIALLDKRITDPKQAQLAKMVVAAKVGEFQGRLSPNYSVTLDDAVK -DPWDIFVSIKDVPIEQGFHPLSIEVAALLMFSDICILKSLRSKMVEAQIGFTDGIDGDVPCENLDAFISN -VDLIQDLKRLRQSGKTLGTGVVAGRDKKGKRFEKKFKKRVIESDASDTEISGADNSYQSELEEAQDVLLE -HNSS - ->YP_719999.2 movement protein [Hibiscus latent Singapore virus] -MSLNLADPMKLLDESTVFKTNILDRFRGGMKKNLKACNVVDCDVAKLSGNGQSLFSVNLTSNLTLEQKSY -KYVHLLAIVISGKWHLHNTVGGGATVALLDKRITNPQQAQLAKMVVAAKVGEFQGRLTPNYSVTLEDALK -DPWEVFISIKDVPIEQGFHPLSVEIAALLMFSDICILKSLRAKMIESQIGFTDGTDGDIPSENMDAFVQS -ASIMQDLRRLRMANNSLGSGVIGGRDKKGKKFVKDFKKKTVVSDNSDTDFSGAESSYTTEFDSRSETSYA -LP - ->YP_004956729.1 unnamed protein product [Clitoria yellow mottle virus] -MSEVSKVESLLAPSKFVKISMSDKFKWKTPSRICTIVQSDTISMTGNGRQLFSFDVLKDSLKFAEEFIYM -DLLGITLTGQWLLPKGTPGAAEVILLDSRLKGRASVLSVFKCVAADQEFQFLLKPGYSIACEDAKKSPFE -LVCNVTDLPVKQGFVPLSVEIACLVQFSNCVLTRSLTRKLGSKPNEKQFAVEEVDELMGSMTTLKQIEGL -RRTKKDVVDSAVVQSYKVGKDIRPLPSEKVGRANSGFGPKKTKKKEIKVDLNKVRSGLSDMEFSDEASVN -NDADSVIDHGLSYSET - ->YP_004936168.1 MP gene product [Rattail cactus necrosis-associated virus] -MSSLCVSDPAVLLSPELHLKVPQLKSLVQFWKKPFKTCSISMSDVIKVASSNPLSIAVDFSSAMPSGPRN -FKYVYILAVVLTGRWHLSESCPGGATFVLYDKRLCGRAEGIYGSFFSKVSASKFQVRFSVGHSMTVNDLL -RNPLHLCFSLESVPCQEGYEPLSVEVSSLQLFTDCILEESLSAKLVKYPALCTDNMSVISNVDDVIFKFN -SVLNVDNVPNSVKNVVKCNKVFKGRRSRGKWVGSQNEVVVKDGTNVTPHRLIAKDALHQRTTERLRLPDQ -VMGRPPASDTVSERYADSGFPSTAVSHTTSERTVDDGGLRSDQIGPFPYRYVPY - ->YP_002455906.1 33 kDa [Cactus mild mottle virus] -MGFVVEDPAKHLKVNEFLGVSGLERFKSVLTQPFRTVSIVNSDIVRVASAAPLSVPIDILSSLKSSKLTY -KYVYVLAVLISGRWHISSASPGSVLLVLYDRRLQGHRSCIYGGCMSKVSANKFQVKYSVGHSLTVNDFSR -NPLSLCVALNGVPCDDGWEPLSIEVATLLMFTNYILEESLTSNILKVPPLSFDNNSVCLDQDIIMSKFNS -VLSTVAVPRNVLKCTTNFEKKRLRKGKWVGNKSEFVLKGDTNVDPMRYNGGFLHQREAEHICVPDSVVGR -TGKITQLLNPGATSHLSDAASSDTTC - diff --git a/seq/clusters_seq/cluster_689 b/seq/clusters_seq/cluster_689 deleted file mode 100644 index e70b899..0000000 --- a/seq/clusters_seq/cluster_689 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009163756.1 nonstructural protein NS4b [Spanish goat encephalitis virus] -NEMGFLEKTKADLSAVLWSEHEELRQWSEWTNVDIQPARSWGTYVLVVSLFTPYIIHQLQTKIQQLVNSA -VASGAQAMRDLGGGAPFFGVAGHVMTLGVVSLIGATPTSLMVGIGLAAFHLAIVVSGLEAELTQRAHKAF -FTSMVRNPMVDGDVLNPFREGEAKPALYERKMSLVLAIALCLMSVVMNRTAASMAEATAVGLAAAGQLLR -PEADTLWTMPVACGMSSVVRGSLWGFLPLGHRLWLRASGARR - ->NP_932092.1 non-structural protein NS4b, partial [Omsk hemorrhagic fever virus] -NEMGFLEKTKADLSAVLWSEREEPRVWSEWTNIDIQPAKSWGTYVLVVSLFTPYIIHQLQTRIQQLVNSA -VASGAQAMRDLGGGTPFFGVAGHVLTLGVVSLVGATPTSLVVGVGLAAFHLAIVVSGLEAELTQRAHKVF -FSAMVRNPMVDGDVINPFGDGEVKPALYERKMSLILAMILCFMSVVLNRTVPAVTEASAVGLAAAGQLIR -PEADTLWTMPVACGLSGVVRGSLWGFLPLGHRLWLRTSGTRR - ->NP_775510.1 non-structural protein NS4b [Tick-borne encephalitis virus] -NEMGFLEKTKADLSTALWSEREEPRPWSEWTNVDIQPARSWGTYVLVVSLFTPYIIHQLQTKIQQLVNSA -VASGAQAMRDLGGGAPFFGVAGHVMTLGVVSLIGATPTSLMVGVGLAALHLAIVVSGLEAELTQRAHKVF -FSAMVRNPMVDGDVINPFGEGEAKPALYERKMSLVLATVLCLMSVVMNRTVASITEASAVGLAAAGQLLR -PEADTLWTMPVACGMSGVVRGSLWGFLPLGHRLWLRASGGRR - ->NP_775523.1 non-structural protein NS4b [Powassan virus] -NELGYLEQTKTDISGLFRREDQGGMVWDAWTNIDIQPARSWGTYVLIVSLFTPYMLHQLQTKIQRLVNSS -VAAGTQAMRDLGGGTPFFGVAGHVVALGVTSLVGATPTSLALGVALAALHLAVVTSGLEAELTQRAHRAF -FSAMVKNPMVDGEIINPIPDGDPKPALYERKMSLFLAIGLCIAAVALNRTAAAMTEAGAVAVAALGQLLR -PEEESWWTMPMACGMAGLVRGSLWGLLPVLHRIWLRTQGARR - ->NP_740728.1 nonstructural protein NS4b [Louping ill virus] -NEMGFLEKTKADLSAMLWSGHEEHRQWSEWTNVDIQPARSWGTYVLVVSLFTPYIIHQLQTKIQQLVNSA -VASGAQAMRDLGGGAPFFGVAGHVMTLGVVSLVGATPTSLIVGIGLAAFHLAIVVSGLEAELTQRAHKVF -FSAMVRNPMVDGDVINPFGEGEAKPALYERKMSLVLAIVLCLVSVVMNRTVASMTEAAAVGLAATGQLLR -PEADTLWTMPVACGMSGVVRGSLWGFLPLGHRLWLRASGGRR - ->NP_740301.1 nonstructural protein NS4b [Langat virus] -NEMGLLEKTKADLAALFARDQGETVRWGEWTNLDIQPARSWGTYVLVVSLFTPYMLHQLQTRIQQLVNSA -VASGAQAMRDLGGGTPFFGVAGHVLALGIASLVGATPTSLILGVGLAAFHLAIVVSGLEAELTQRAHKVF -FSAMVRNPMVDGDVINPFGDGEAKPALYERKLSLILALVLCLASVVMNRTFVAVTEAGAVGVAAAMQLLR -PEMDVLWTMPVACGMSGVVRGSLWGLLPLGHRLWLRTTGTRR - diff --git a/seq/clusters_seq/cluster_69 b/seq/clusters_seq/cluster_69 deleted file mode 100644 index b8edd19..0000000 --- a/seq/clusters_seq/cluster_69 +++ /dev/null @@ -1,258 +0,0 @@ ->NP_057857.2 Nef [Human immunodeficiency virus 1] -MGGKWSKSSVIGWPTVRERMRRAEPAADRVGAASRDLEKHGAITSSNTAATNAACAWLEAQEEEEVGFPV -TPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQRRQDILDLWIYHTQGYFPDWQNYTPGPGVRYPLTFG -WCYKLVPVEPDKIEEANKGENTSLLHPVSLHGMDDPEREVLEWRFDSRLAFHHVARELHPEYFKNC - ->NP_758893.1 nef protein [Simian immunodeficiency virus SIV-mnd 2] -MGGKSSKQQEEKYLKYYKAMRRGYGAEGTNGDYQQLHASEPLLGALSTSQEEFDREQKSSSTDEEEETGF -PVYPQCPVREPTYKDLVDMPHFLKEKGGLEGIWHSKRREEILDLYAQNEWGFIPTWQSYTDGPGIRYPKT -FRFLFKLCPVAVPPDQENNECNKLLQSSQLGIQEESLGREASVEV - ->sp|P03404.4|NEF_HV1B1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSVIGWPAVRERMRRAEPAADGVGAASRDLEKHGAITSSNTAANNAACAWLEAQEEEKVGFPV -TPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQRRQDILDLWIYHTQGYFPDWQNYTPGPGIRYPLTFG -WCYKLVPVEPDKVEEANKGENTSLLHPVSLHGMDDPEREVLEWRFDSRLAFHHVARELHPEYFKNC - ->sp|Q1A260.3|NEF_SIVMB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSLVGWPEVRDRLRRTQTAAEGVGPVSRDLARHGAITSRNTSQTNETLAWLEEVQNEEVGFPV -RPQVPLRPMTFKGAFDLSHFLKEKGGLEGLVYSKKRQEILDLWVYHTQGFFPDWQNYTPGPGIRYPLTFG -WCFKLVPLEPEEVERANEGDNNILLHPICQHGQEDEAREVLVWTFDSRLALKHRARELHPEYYKDC - ->sp|Q1A242.3|NEF_SIVEK RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGKVWSKSSLVGWPQVIDRMRRQQDPAEGVGAVSQDLANRGAITIRNTKENNQALAWLEEQKEEAVGFPV -CPQVPLRPMTYKEAFDLSFFLREKGGLEGLVWSTKRQEILDLWVYHTQGIFPDWQNYTPGPGVRYPLTFG -WCFKLVPLSPEEVEEANQGDNNVLLHPMCQHGMEDPDKEVLVWRFDSSLARVHRARELHPEFYQNC - ->sp|Q9QBZ3.3|NEF_HV1MP RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPNVRERMRAAEGVGKVSQDLDKHGAITSSNTRATNADLAWLEAQEEEVGFPVRPQVP -LRPMTYKAAVDLSHFLKEKGGLEGLIYSKKRQEILDLWVYHTQGYFPDWQNYTPGPGIRYPLTLGWCFKL -VPVDPEEIEKANEGENNCLLHPISLHGMEDEDREVLRWKFDSSLALRHVARERHPEFYQD - ->sp|Q9QBY9.3|NEF_HV1M2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPAIRERIRAAEGVGAVSQDLDKRGAITNSNTGATNADLAWLEAQEEEVGFPVRPQVP -LRPMTYKAALDLSHFLKEKGGLEGLIYSRKRQEILDLWVYHTQGYFPDWQNYTPGPGPRFPLTFGWCFKL -VPVDPEEVEKANEGENNCLLHPMSLHGMEDDDKEVLKWQFDSRLALRHIARERHPEYYKD - ->sp|Q9QBZ7.2|NEF_HV197 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWSTVRERMRKTPPAADGVGAVSQDLDKHGAVTSSNTAFNNPDCAWLEAQEDEDVGFPV -RPQVPLRPMTFKGAFDLGFFLKEKGGLDGLIYSKRRQEILDLWVYHTQGFFPDWQNYTPGPGIRYPLTFG -WCYKLVPVDPREVEEATEGENNCLLHPVNQHGMEDEHREVLKWKFDSSLARKHVAREMHPEYYKDC - ->sp|Q9QBY1.3|NEF_HV196 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPAIRERMRRARPAADRVGTQPAADGVGAVSQDLARHGAVTSSNTSHNNPDCAWLEAQ -EEEEVGFPVRPQVPLRPMTYKAAFDLGFFLKEKGGLDGLIYSKKRQEILDLWVYHTQGFFPDWQNYTPGP -GIRYPLTFGWCYKLVPVDPAEVEETTEGEDNCLLHPINQHGMEDEHREILMWKFDSSLARRHVARELHPD -YYKDC - ->sp|Q89842.3|NEF_HV1B9 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKRRAEGWQTIRERMRRAEPAEPAADGVGAVSRDLARHGAITSSNTNNADIAWLEAQEEGEVGFP -VRPQVPLRPMTYKAAVDLSHFLKEKGGLEGLVHSQKRQDILDLWVYHTQGFFPDWQNYTPGPGIRYPLTF -GWCYKLVPVEPDEGENNREDNSLLHPANQHGVEDSERQVLVWRFDSRLAFHHVARELHPEYFKN - ->sp|Q9WC70.3|NEF_HV1S9 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGNKWSKSWPQVRDRMRRAAPAPAADGVGAVSQDLAKHGAITSSNTAATNDDCAWLEAQTEEEVGFPVRP -QVPLRPMTYKGAFDLSFFLKEKGGLDGLIYSKKRQEILDLWVHNTQGYFPDWQNYTPGPGTXYPLTFGWC -FKLVPVDPSEVEEANEGENNCLLHPACQHGIEDEEREVLKWKFDSSLARRHIARELHPEFYKDC - ->sp|Q9WC61.3|NEF_HV1S2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGNKWSKSWPQVRERMRRAPAPAADGVGAVSQDLAKHGAITSSNTAATNADCAWLEAQTEEEVGFPVKPQ -IPLRPMTYKGAVDLSFFLKEKGGLDGLIYSKKRQEILDLWVHNTQGYFPDWQNYTPGPGIRYPLTFGWCY -KLVPVDPSEVEEANEGENNCLLHPICQHGIEDEEREVLQWKFDSSLARRHIARELHPEFYKDC - ->sp|Q9QSQ6.3|NEF_HV1VI RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPAVGERMRQTPTAAEGVGAVSRDLDRRGAITSSNTRTTNPDLAWLEAQEEEEVGFPV -RPQVPVRPMTYKAAVDLSHFLKEKGGLEGLIYSKKRGDTLDLWVYHTQGYFPDWQNYTPGPGIRYPLTLG -WCFKLVPVDPEEVEKANEGENNCLLHPMSQHGMEDEDREVLRWKFDSSLALRHIARERHPEFYQD - ->sp|Q9Q713.3|NEF_HV1V9 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKGCISGWPAVRERIRQTEPAAEGVGAVSQDLDRRGAVTINNIASNNADSAWLEAQEEEEEVGFP -VRPQVPLRPMTYKGAFDLSHFLKEKGGLEGLIYSKKRQEILDLWVYNTQGYFPDWHNYTPGPGERYPLTF -GWCFKLVPVDPQDVEKANEGENNSLLHPMCQHGIEDPEREVLMWKFDSRLALRHRAKELHPEFYKDC - ->sp|Q9IDV1.3|NEF_HV1YB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGKIWSKSSLVGWPEIRERIRRQTPEPAVGVGAVSQDLANRGAITTSNTKDNNQTVAWLEAQEEZEVGFP -VRPQVPLRPMTYKAAFDLSFFLKEKGGLEGLVWSRKRQEILDLWVYHTQGFFPDWQNYTPGPGVRYPLCF -GWCFKLVPLSEEAVEEANEGDNNALLHPICQHGVDDDHKQVLVWRFDSSLARRHVAKELHPDFYKNC - ->sp|Q8AIH4.3|NEF_SIVTN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGNIFGRWPGARKAIEDLHNTSSEPVGQASQDLQNKGGLTTNTLGTSADVLEYSADHTEEEVGFPVRPAV -PMRPMTEKLAIDLSWFLKEKGGLDGLFFSPKRAAILDTWMYNTQGVFPDWQNYTPGPGIRYPLCRGWLFK -LVPVDPPEDDEKNILLHPACSHGTTDPDGETLIWRFDSSLARRHIARERYPEYFK - ->sp|Q79671.3|NEF_HV1MV RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGNAWSKSKFAGWSEVRDRMRRSSSDPQQPCAPGVGAVSRELATRGGISSSHTPQNNAALAFLDSHKDED -VGFPVRPQVPLRPMTFKAAFDLSFFLKEKGGLDGLIYSHKRAEILDLWIYHTQGFFPDWQCYTPGPGPRF -PLTFGWLFKLVPVSAEEAERLGNTNEDASLLHPACNHGAEDAHGEILKWQFDRSLGLTHIALQKHPELFP -K - ->sp|Q77378.3|NEF_HV1AN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGNALRKGKFEGWAAVRERMRRTRTFPESEPCAPGVGQISRELAARGGIPSSHTPQNNAALAFLESHQEE -EVGFPVAPQVPLRPMTYKGAFDLSFFLKEKGGLEGLIYSHKRAEILDLWVYNTQGFFPDWQNYTPGPGTR -FPLTFGWLFKLVPVSEEEAERLGNTCERANLLHPACAHGFEDTHKEILMWKFDRSLGNTHVAMITHPELF -QKD - ->sp|Q75009.3|NEF_HV1ET RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGTMSKCSPVGWPAIRERIRRAAPAAEGVGAASRDLDKYGALTSSNTPANNPDCAWLEAQEEEEEVGFP -VRPQVPLRPMTYKAAFDLSLFLKEKGGLEGLIYSKKRQEILDLWVYNTQGFFPDWQNYTPGPGVRYPLTF -GWCFKLVPVDPSEVEEINEGENNCLLHPASLHGMEDEDREVLKWKFDSHLARRHMARELHPEYYKDC - ->sp|O91087.3|NEF_HV1YF RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGKIWSKSSLVGWPEIRERMRRQTQEPAVEPAVGAGAASQDLANRGAITIRNTRDNNESIAWLEAQEEEE -EVGFPVRPQVPLRPITYKQAFDLSFFLKDKGGLEGLVWSRKRQDILDLWMYHTQGILPDWHNYTPGPGIR -YPVTFGWCFKLVPLSAEEVEEANEGDNNALLHPICQHGADDDHKEVLVWRFDSSLARRHVARELHPEFYK -NC - ->sp|O89945.3|NEF_HV1SE RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPEVRERIRNTPTAAEGVGAVSQDLDRHGAITSSNTAANNPDCAWLEAQEEDSEVGFP -VRPQVPLRPMTFKGAFDLSFFLKEKGGLDGLIYSKKRQEILDLWVYNTQGYFPDWQNYTPGPGTRFPLTF -GWCFKLVPMDPAEVEEANKGENNSLLHPICQHGMEDEDREVLVWRFDSSLARRHIARELHPEYYKDC - ->sp|O89293.3|NEF_HV193 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPAIRERMRRTPPTPPAAEGVGAVSQDLERRGAITSSNTRANNPDLAWLEAQEEDEVG -FPVRPQVPLRPMTYKGAVDLSHFLKEKGGLEGLIYSKRRQEILDLWVYHTQGYFPDWQNYTPGPGIRYPL -TMGWCFKLVPVDPEEVEKANEGENNCLLHPMSQHGMEDEDKEVLKWEFDSRLALRHIARERHPEYYQD - ->sp|O70903.3|NEF_HV190 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSRMGGWSTIRERMRRAEPVAEGVGAVSRDLDRRGAVTINNTASTNRDAAWLEAQEDGEEVGFP -VRPQVPLRPMTYKGAFDLSHFLKEKGGLDGLIYSKQRQDILDLWVYNTQGYFPDWQNYTPGPGERFPLTF -GWCFKLVPVNPQEVEQANEGENNSLLHPMSLHGMEDDGREVLMWKFDSRLALTHLARVKHPEYKDC - ->sp|O41804.3|NEF_HV19N RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPQIRERIRQTPVAAEGVGAVSQDLARHGAITSSNTATNNPDCAWLEAQEEDSDVGFP -VRPQVPLRPMTYKAAFDLSFFLKEKGGLDGLIYSKRRQDILDLWVYNTQGFFPDWQNYTPGPGTRLPLTF -GWCFKLVPMDPAEIEEANKGENISLLHPICQHGMEDEDREVLVWRFNSSLARRHLARELHPEYYKDC - ->sp|O12165.3|NEF_HV192 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGNKWSKCSTVGRPAIRERMRRAPAAEGVGPASQDSDKYGALTSSSTPANNADCAWLEAQQEEEEVGFPV -RPQVPLRPMTYKAVVDLSFFLEEKGGLEGLIYSKKRQDILDLWVYNTQGYFPDWQNYTPGPGVRFPLTFG -WCFKLVPVDPREVEEANTGENNSLLHPMSLHGMEDSHREVLQWKFDSLLARRHMARELHPEYYKDC - ->sp|P05857.4|NEF_HV1SC RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKRSVVGWPTVRERMRKTEPAADGVGAASRDLEKHGAITSSNTPANNADCAWLEAQEEEEVGFPV -RPQVPLRPMTYKAAVDLSHFLKEQGGLEGLIHSQRRQDILDLWIYHTQGYFPDWQNYTPGPGIRYPLCFG -WCFKLVPVKPEKIEEANEGENNSLLHPMSLHGMEDPEREVLEWRFDNRLAFHHMARDLHPEYYKDCLTSM -CLQGTFRWGISREARLGGTGEWRALRCCI - ->sp|P05856.4|NEF_HV1MN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKRVTGWPTVRERMRRAEPAELAADGVGAASRDLEKHGALTSSNTAATNADCAWLEAQEEEEVGF -PVKPQVPLRPMTYKAALDLSHFLKEKGGLDGLIYSQKRQDILDLWVYHTQGYFPDWQNYTPGPGIRYPLT -FGWCFKLVPVEPEKIEEANKGENNCLLHPMSQHGMDDPEREVLVWKSDSHLAFQHYARELHPEYYKNC - ->sp|P05854.4|NEF_HV1H3 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSVVGWPAVRERMRRAEPAADGVGAASRDLEKHGAITSSNTAANNAACAWLEAQEEEKVGFPV -TPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQRRQDILDLWIYHTQGYFPDWQNYTPGPGIRYPLTFG -WRYKLVPVEPEKLEEANKGENTSLLHPVSLHGMDDPEREVLEWRFDSRLAFHHVARELHPEYFKNC - ->sp|Q9QPN3.3|NEF_HV1LA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSVVGWPAVRERMRRAEPAADGVGAVSRDLEKHGAITSSNTAATNADCAWLEAQEEEEVGFPV -TPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQRRQDILDLWIYHTQGYFPDWQNYTPEPGVRYPLTFG -WCYKLVPVEPDKVEEANKGENTRLLHPVSLHGMDDPEREVLEWRFDSRLAFHHVARELHPEY - ->sp|Q70627.3|NEF_HV1LW RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSVIGWPTVRERMRRAEPAADGVGAASQDLEKHGAITSSNTAATNADCAWLEAQEEEEVGFPV -TPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQRRQDILDLWIYHTQGYFPDWQNYTPGPGIRYPLTFG -WCYKLVPVEPEKLEEANKGENTSLLHPVSLHGMDDPEREVLEWRFDSRLAFHHVARELHPEYFKNC - ->sp|P35959.3|NEF_HV1Y2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKRSMAGWPTVRERMRRAEPAAERMRRAEPAADGVGAVSRDLERHGAITSSNTAATNADCAWLEA -QEEEEVGFPVRPQVPLRPMTHKAAMDLSHFLKEKGGLEGLIHSQQRQDILDLWVYHTQGYFPDWQNYTPG -GTRWPLTFGWCFKLVPVEPEKIEEANAGENNCLLHPMSQHGMDDPEREGLEWRFDSRLAFHHVARELHPE -YYKN - ->sp|P17664.3|NEF_SIVCZ RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGTKWSKSSLVGWPEVRRRIREAPTAAEGVGEVSKDLERHGAITSRNTPETNQTLAWLEEMDNEEVGFPV -RPQVPTRPMTYKAAFDLSHFLKEKGGLEGLVYSRRRQEILDLWVYHTQGFFPDWQNYTTGPGTRFPLCFG -WCFKLVPLTEEQVEQANEGDNNCLLHPICQHGMEDEDKEVLVWRFDSRLALRHIAREQHPEYYKD - ->sp|P04602.3|NEF_HV1Z6 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGRWSKSSIVGWPAIRERIRRTDPRRTDPAADGVGAASRDLEKHGAITSSNTRDTNADCAWLEAQEESE -EVGFPVRPQVPLRPMTYKLAVDLSHFLKEKGGLEGLIWSKKRQEILDLWVYNTQGIFPDWQNYTPGPGIR -YPLTFGWCFELVPVDPREVEEATEGETNCLLHPVCQHGMEDTEREVLKWRFNSRLAFEHKAREMHPEFYK -DC - ->sp|P24741.3|NEF_HV1U4 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKKSRVEWPEVRKRMRETPAAAKGVGAVSQDLDKYGAVTSSNTSSTNASCAWLEAQEEGDVGFPV -RPQVPLRPMTYKAAFDLSFFLKEKGGLDGLIHSQKRQEILDLWVYHTQGFFPDWQNYTPGPGIRYPLTFG -WCYKLVPVDPAEVEEATGGENNSLLHPICQHGVDDEEKEVLMWKFDSTLALKHRAYELHPEFYKD - ->sp|P05858.3|NEF_HV1RH RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSKMGGWPAVRERMQKAEPAADGVGAASRDLEKHGTITSSNTAANNAACTWLEAQEDEDEEVGF -PVRPQVPLRPMTFKAAVDLSHFLKEKGGLDGLVFSQKRQDILDLWVYHTQGYFPDWQNYTPGPGTRYPLT -FGWCFKLVPVEPDKVEEATEGENNSLLHPICLHGMDDPEKEVLVWKFDSRLAFHHVAREKHPEYYKDC - ->sp|P20886.3|NEF_HV1OY RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKCSMKGWPTIRERMKRAELQPPEPAAEGVGAASRDLEKHGAITSSNTAATNADCAWLEAQEDEE -VGFPVRPQVPLRPMTYKGALDLSHFLKEKGGLEGLIYSQKRQDILDLWVYHTQGYFPDWQNYTPGPGIRY -PLCFGWCFKLVPMDPDQVEEANEGENNSLLHPISLHGMDDPEKEVLVWKFDSRLAFRHMAREVHPEYYKD -C - ->sp|P04603.3|NEF_HV1MA RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPKIRERIRRTPPTETGVGAVSQDAVSQDLDKCGAAASSSPAANNASCEPPEEEEEVG -FPVRPQVPLRPMTYKGAFDLSHFLKEKGGLDGLVWSPKRQEILDLWVYHTQGYFPDWQNYTPGPGIRFPL -TFGWCFKLVPMSPEEVEEANEGENNCLLHPISQHGMEDAEREVLKWKFDSSLALRHRAREQHPEYYKDC - ->sp|P18801.3|NEF_HV1ND RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSLVGWPAIRERIRKTDPAADGVGAVSRDLEKHGAITSSNTASTNDTCAWLEAQEESEEVGFP -VRPQVPLRPMTYKEAVDLSHFLKEKGGLEGLIWSKKRQEILDLWVYNTQGIFPDWQNYTPGPGIRYPLTF -GWCFQLVPVDPQEVEEATEREDNCLLHPMCQQGMEDPERQVLMWRFNSRLALEHKARELHPEFYKDC - ->sp|P12480.3|NEF_HV1J3 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGGKWSKRSVVGWPAVR - ->sp|P12479.3|NEF_HV1BN RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKMAGWSTVRERMRRAEPARERMRRAEPRAEPAADGVGAVSRDLEKHGAITSSNTAATNADCAWL -EAQEDEEVGFPVKPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQQRQDILDLWVYHTQGYFPDWQNYT -PGPGVRYPLTFGWCFKLVPVEPEKIEEANEGENNSLLHPMSQHGMDDPEREVLQWRFDSRLAFHHMAREL -HPEYYKNC - ->sp|P05859.3|NEF_HV1ZH RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGNKWSKGWPAVRERIRQTPPAPPAAEGVGAASQDLAKHGAISSSNTATNNPDCAWLEAQEESEEVGFPV -RPQVPLRPMTFKGAFDLSFFLKEKGGLDGLIYSKKRQEILDLWVYHTQGFFPDWHNYTPGPGTRYPLCFG -WCFKLVPVDPREVEEANTGENNCLLHPMSQHGMDDDEREVLMWKFDSSLARKHLAREMHPEFYKD - ->sp|P19546.3|NEF_HV1S1 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKRMSGWSAVRERMKRAEPAEPAADGVGAVSRDLEKHGAITSSNTAANNADCAWLEAQEDEDVGF -PVRPQVPLRPMTYKAALDLSHFLKEKGGLEGLIYSQKRQDILDLWIHHTQGYFPDWQNYTPGPGIRYPLT -FGWCFKLVPVDPDYVEEANAGENNSLLHPMSQHGMDDPEKEVLVWRFDSRLAFHHMARELHPEYYKDC - ->sp|P19545.3|NEF_HV1S3 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSKMGWPAVRERMKRAEPAADGVGAASRDLEKHGALTSSNTAATNADCAWLEAQEDEEVGFPVK -PQVPLRPMTYKAALDLSHFLKEKGGLEGLVYSQKRQDILDLWIYHTQGYFPDWQNYTPGPGVRFPLTFGW -CFKLVPVEPEKVEEANEGENNSLLHPMSLHGMEDPEKEVLVWKFDSHLAFRHMARELHPEYYKDC - ->sp|P04604.3|NEF_HV1EL RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSIVGWPAIRERIRRTNPAADGVGAVSRDLEKHGAITSSNTASTNADCAWLEAQEESDEVGFP -VRPQVPLRPMTYKEALDLSHFLKEKGGLEGLIWSKKRQEILDLWVYNTQGIFPDWQNYTPGPGIRYPLTF -GWCYELVPVDPQEVEEDTEGETNSLLHPICQHGMEDPERQVLKWRFNSRLAFEHKAREMHPEFYKN - ->sp|P20867.3|NEF_HV1JR RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKHSVPGWSTVRERMRRAEPATDRVRQTEPAAVGVGAVSRDLEKHGAITSSNTAATNADCAWLEA -YEDEEVGFPVRPQVPLRPMTYKAAIDLSHFLKEKGGLEGLIYSQKRQDILDLWIYHTQGYFPDWQNYTAG -PGVRFPLTFGWCFKLVPVDPEKVEEANEGENNCLLHPMSQHGMDDPEKEVLVWKFDSKLALHHVARELHP -EYYKDC - ->sp|P03406.3|NEF_HV1BR RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSVVGWPTVRERMRRAEPAADGVGAASRDLEKHGAITSSNTAATNAACAWLEAQEEEEVGFPV -TPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQRRQDILDLWIYHTQGYFPDWQNYTPGPGVRYPLTFG -WCYKLVPVEPDKVEEANKGENTSLLHPVSLHGMDDPEREVLEWRFDSRLAFHHVARELHPEYFKNC - ->sp|P03407.3|NEF_HV1A2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKRSMGGWSAIRERMRRAEPRAEPAADGVGAVSRDLEKHGAITSSNTAATNADCAWLEAQEEEEV -GFPVRPQVPLRPMTYKAALDISHFLKEKGGLEGLIWSQRRQEILDLWIYHTQGYFPDWQNYTPGPGIRYP -LTFGWCFKLVPVEPEKVEEANEGENNSLLHPMSLHGMEDAEKEVLVWRFDSKLAFHHMARELHPEYYKDC - ->sp|P05855.3|NEF_HV1B8 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGKWSKSSVVGWPAVRERMRRAEPAADGVGAVSRDLEKHGAITSSNTAATNADCAWLEAQEEEEVGFPV -TPQVPLRPMTYKAAVDLSHFLKEKGGLEGLIHSQRRQDILDLWIHHTQGYFPDWQNYTPGPGVRYPLTFG -WCYKLVPVEPEKEEANKGENTSLLHPVSLHGMDDPEREVLEWRFDSRLAFHHMARELHPEYFKNC - ->sp|P12478.3|NEF_HV1Z2 RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein; Contains: RecName: Full=C-terminal core protein -MGGRWSKSSIVGWPAIRERIRRTDPAADGVGAVSRDLEKHGAITSSNTRGTNADCAWLEAQEESEEVGFP -VRPQVPLRPMTYKGALDLSHFLKEKGG - ->sp|P22378.3|NEF_SIVGB RecName: Full=Protein Nef; AltName: Full=3'ORF; AltName: Full=Negative factor; Short=F-protein -MGSSQSKKRSEAWVRYSSALRQLVGGPVTPDGYKQIESSQGAEKQSLLRGRAYGTYSEGLDKVQNDPLTK -DEKLDLTQQDPEEEEEVGFPVCRQVSLRVPSYKDLIDFSHFIKEKGGLGGIYYSRRREEILDLYAENEWG -FEPGWQQYTTGPGTRYPKTFGFLFKLEPVSRAIGDEYAANNHLLHSSQLCPQEDPEGETLMWSGTLILPM -TLQH - diff --git a/seq/clusters_seq/cluster_690 b/seq/clusters_seq/cluster_690 deleted file mode 100644 index 8b64de6..0000000 --- a/seq/clusters_seq/cluster_690 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009666008.1 24.1 kDa protein [Pineapple mealybug wilt-associated virus 3] -MERVVLVSKEKQLLGVSSNEVFYKPFNSLFFYALEGRNLAFFYDKLGNTLTEARKRNSELFSFLDNLGNV -VATSNEQFLNFLDGTCVNDYMERRYGTVSGRVLNPTEKKATYLTVKVPVLDLLWNVLEDSYDNLTKVFCV -GFKRSTGCSFDDQYNFESSFAVFDSESGFEAIFGEKIDFSSDFLKERYDSLRKAEETHGVERVVNLLSSD - ->YP_004935380.1 unnamed protein product [Grapevine leafroll-associated virus 6] -MEVTLCLSKVKEDIPSTCDELFYMRNAGVFAAVLPAERLSFFYDKFSIGNNSDRNKYRELFSFLDNLGNV -VATNNGNFIKFLDVDSVMDFFKRKYPGSSSVSNMNKTPASDYCCLTVPALTTFLSSVCNCLEGLTTVLAV -GFTRKGDTDELWSFKDTFVVFENSGVISTFYGDNMDTSNSALLEVLDSFGSTSGKSDFEKVVNLLSI - ->YP_004935374.1 unnamed protein product [Grapevine leafroll-associated virus 4] -MEVILCLSKVKTDIPVVEQDIFHLKNAGVFAAIAPPERLSFFYDKLSINNNTERNKYQELFRFLDNLGNV -VATNNGSFIKFLDASSVTEYFRKRYRTFNNVVNTSSAPASDYCCLTVPALATFLSGFCSCLEGLTRVLAV -GFTRKCDSEELWEFKDSFVVFENSGEISTFYGDNMDTSNTALLEVFEAFASASGKPDFEKAVSFLSI - ->YP_004901692.1 CPm gene product [Grapevine leafroll-associated virus 5] -MEVTLCLSKVKEKLPVTCDEIFYLRNAGVYATVMPPERLSFFYDKLSVGNNNDRNKYRELFQFLDNLGNV -VATNNGNFIKFLDVDSVMEYFRRRYPDGGNLSSINKTPSSDYCCLTVPALTTFLNSVCGCIEGLTTVLAV -GFTRKSDTDELWSFKNTFVVFENSGEISTFYGDSMDTSNSALLEVLDSFGSTSGKTDFEKVVSLLSI - ->YP_002364308.1 hypothetical protein [Grapevine leafroll-associated virus 10] -METVICLSKVKHEVPVPEEQVFFLVNSGVFAGVLPSEHLSFFYDKLNTGDNKDRRTYPELFKFLDNLGNV -VATNNGNFMKFLDAVTIKEYFKRRYPSTVHIDSSSKVSGGDYCCLTVPALATFLSGVAHHLEGLTKVLAV -GFTRSCDSEELWAFKDSFVVFENAGKLDVFCGDNMNTSNSAVLEVFNSFRAASSATDMERVVNLLSI - ->YP_001642342.1 hypothetical protein [Pineapple mealybug wilt-associated virus 1] -MERIILVSKDKNLLNANEEEIFYKVKYSLFMRAKEGNECSFFYDKLNVFSDSSRRRYAELFSFLDSLGNV -VATNNETFLNFLDGLSVDDYMLRRYGGRGKRELSEGEKRAPYLTIPVPALETLWGVIEESGKKLHKVHCV -GFVRNRGSSCDELYDFDRSFAVFDYGEGFEVVYGGSVDSSNVALKGKLKILKEAEEKTGLEKVVGILSEI - diff --git a/seq/clusters_seq/cluster_691 b/seq/clusters_seq/cluster_691 deleted file mode 100644 index 72af3ae..0000000 --- a/seq/clusters_seq/cluster_691 +++ /dev/null @@ -1,200 +0,0 @@ ->YP_009665966.1 putative RNA polymerase, partial [Ranunculus white mottle virus] -SESEKKFLDYYTSLLYEPEDIRIGMGCLYETTCLLLSDMKTLSASMPILDNVVESINVSLNHTEKLIEIC -QESEPEVCIRMSSIGKTLIIASTKPGKGISRYTQRTNRDNIVNENTIRRLRSLFKQRVISAYIKKHGRVP -NLVSVPEDLGAQLEMKAAGGNYINQMISETSRYNEVKLGKFLEPGEEMNLQSRIIDKACSKDNYSQESNS -EKEIHYYITHNMEDVFSEPMGIKKNAYRSSSRIAEVIHRSEGLQKNIEKYMLVKLSEKEREQKIAARFYG -IASFKLKLWISSTMEMVKRAMKLLPGQMMTMTDDERREIMFKMSEKLLEEDTYSLFLDYSGHNTSQRPEN -TNFIMEEIANMYGYFEGSLEYEEFTSLPYVFSNIHLIVEDTWSDMIYYSKGQLGAIEGWLGGLWGIQSQL -MLEDMFMQLGVKDFIGTTYSDDSCGVFTQKSMDVQKLNGIIKNVQRCGEDMGLIVKLSQTQVTNGRCSML -KEHYYKGIPIEMTLKKIMSISPNGPKILCDELESSTLIDSGFTSSCTRSSTIWTQALIRNFRIIKLLSSS -LRKMTEEILQDNLDERYLSSKHNYEISMKSALRGLNKNMNNKVFIPAPRSRNIEFFQFHQNDQDVLDIIL -MFLYSPYTMYGFALTPLPDVLISGYSLSNVKRISYLQGILKIENVRKMGSLINLSQNAISYLDNPFPMIG -GRKDTSLFVRPEIERILSRVVTNKELLDFLSLYTREDELEFKGQLVSAFKNCFSSRITGKFLESSLYAYV -QEILSKIDNAATMKMLLGGRKMMMLIDKAWQANFRMKIKLNANTIINYDDLMFARGNKALVLPNKESVSL -KFLEIEEIPIMGKVVHSNLKSMIQPIFKGTTKLTEEGRKARPPQRTFHNSAKFDRELGVDGMFEHKLIFK -AYDLVRYVKWLMMEQEKFSSGMSEIDKTTLISICDTVLNXFTDAKYSDIEENVVCPKSGRYFHRALTGGF -NPKTGDLSSNLLSGNYDITGVDQLIAKTGGVDNNLNLQYLMIYIRIGLAFLEPSAWELVPLTLTNDIXEH -IKDVSFVIKGLNEIKINKKLYKMASKKKIKERGKMYYNYSTFVEKNEDIADKFINHVSTTKEYFVNQESA -FRSVHSYMVDQMIVSPELVSDDILKGLIGEEIFKEGRMTFFDKFYRYYKSLNVIGNETPSRSVIRGLLYE -EMFSVNENSIGKQLWTDEMTRFGYSSGFKKALMRLFILSTSLSYRMVDQENNTVRLQVNKHRTIINSKSN -FEKMRKGVCQFYIKDKRITEMIVNSFPTLGYTYSDIHSGASILCDEISNIEFEQMRLGSYYLKLHKLYTE -KKENVHYGQ - ->YP_009449565.1 RNA-dependent RNA polymerase [Blueberry mosaic associated virus] -MSKVMRKSWGERVEDMEEMDFSDDDVLATMSEIEQAEEKSVDVKDPNYMKVMFELAEEKYNDLMKRAEKY -KSFSELMAKYGKQYCDLMMSRLEEEQKEPPKTVVDYSQGYIYMDNWTMSDYHRNYVDKPSVITKLNQPYR -TFSKSVVDMISEDGKFPEKFSLKAKIELFRAREFIKEFCQNKKLISSQNEVFKFYNYLKSFQHQSKFSEE -CLNFGSALENLETNRISLNLLNKKIRTEAQKIECTIDTLNDMWILNLIETINLKIKMSYSMLNKKYDGRE -SVTFHENRRINTRCNNDGSSVTKIEIQDYNEEIEIFLFFSNSFSVLVYGDKALIGNGDMMNYLLSIADHN -VNLSIISELKEQEVKDVISPETKFIRYIKSLSVLPTKLRVGLAGLYESTCLLMADYRTKSSVLPLIDNLV -DSAELSLGKTDEIIQICLSVKPEDCIKMSCIGKTIIYAEIDTKKGLEKYTQRTDRNHPIDPSVVEKLRLI -FRMKVVTSYISKYGVVPQLQEVSDLLTQELSLMAAKGSYSRDIVYNPFNYKNFRLGKMLELGQETNISSR -VIDKACSKDEYDEKGNSVKELIYYIENNNLDDLIEEVYLDRKEFDKDRTVQVLPRKHKLLKECKKYMLVR -LVEKEKELKPAARFFGVASFKIKLYVSTVMELVKRAMKLIPGQMMTMTEDERRSIMYKMSSMLEEKDSYS -IFLDYSGHNTSQRPGNNAFILEEICDMYGFTEGSREREHVINVLYIFSNMEAIYESSLSDFVYKSNYQNG -AIEGWLGPLWGIQSQLMMEDMLSSLGFKRYIGTTYSDDSCGVFIEKDLNNEKLDDIIMRIQWYAERMGLL -VKLSQTQVTNGRCSMLKNHYFKDLPIENNYKRMMAISPNSSVIWGDELEQVKNIDSGYTSSCSRSNHHKF -QTIIKNYRMVMLLSREIMRFGKFMEIELDKRFMSHVNAEQTLNKICMKSSEYVKMNYHLIPNEEDMLVHY -LRYNNKNEDLLECTLILFYLPFTMFGYATTSIPDASLSGYSVSNVKRICYVESLISKKDRVIKTYNLSKL -SKNAYSYISTPFPMEGGRFDTGTLLKDVLKQELPRRIINEELKTIHKVKMSIEEEQFKAELVSTFKDVFS -HRIVSKFYECSIFNYFEEIYSKIDNSTTFTFILGKLKMNKLWNKAWTINHKIEFKEQSSNLYSNYNEMID -VRSSLELEYKLRGNIYQIKLNFLEIEEVPLLGKVTTKSDFTSIQPILRVNDYWSEIKTKRAPPLKTNINS -TKFDRDLEIEGMFQNKLIFLAYELVRYVKWIIMDMEKYSSCSEDTKQSLYEIANVTLSTFSNANFSDLSE -YVVAPKGGRYFHRANAGGFNPKTGDLSSNEETSKYEIAGIDKLIESTGGIDNNLNLQYLMLSIKASLAYL -KPKQYELKPLSLCQDVALVLRDVSFSLDGIKSRHKMTRFEPCKIVNDLSSIKNKSKLYKSFSYFISTDDS -ITGKFINHASIVPNEVIMRYSSFKSVYKYMEDQEILSPEVIPDEVLMRLAPEIVDYGSRDQYFDAFYKFY -QGLNIIDNETPTRSVIRSLIYSEVFRKDKDGNSWAKDICEKGYSLNYRNALLKLFIVTTSLVYRLEEKKP -SEFTITMNRSRTLANSIINFKRMKNNTAHFYVKDKRISELLMLAFPTTGYKLSEIHKAVEEVNEELDGKR -FHQYQMNTYYERDIKDYLNPEDEIFKCAGVKYEEIEISEKEFMDKKTLGAAIKAFEMICSMNCKPRNVSS -PTMPDIYPSIDSVLDLLTKRGFISEDDEIADIFAGRGDCHLAMMKKGIKHTSISRNDGYNLLYRVRGMTE -VKTSIDMVKKENYTQYLDRDIFLLDISHFSGKDSDLLSMITDIVNFGKKMIIRLNSVIKIFSPELSLALQ -SRDLSIMIPSIESPGYLYLIVDDVKRRKSLTGAKGSSFTNSLLTEKLTNEIIHMRPVSLFNKEPEEVKDH -TIEAISDRKLINILLDSDPDYVELPQCLEEEIKSKDDLEKILFTPLPTGVDIERNNFLHKLIDEEKLKMY -YPRLLVSDSSERMSYRKIWKIVKDKNFPKEIIINYEIERKVADGFVIGFENISEDELFQLIRIMITSNKT -NSDTEMAWRFMLKLCSTTDIRRTFSSMEIIENLNLAKLQPDKMRSIDKIYNIAHIAGTAYRSSKVDEGLM -ILSGMKSTTLAELMKNKSKERRYNCLNYKLIINRMRLLHRKLNLNIQRYGYNIPQLVDKFSKYERVVNDA -EKERMVKFIQSFETMEEYFATLSSNELFDAIISGIKEEKVIESSIGVIEASSSNMSTFIEKFIGTSEEEI -ERNKNMTQEELESFLEEMSDIESDLEE - ->YP_089661.1 280 kDa protein [Citrus psorosis virus] -MEWSDEKASSKITLEDEIEIITSDIVASEDTIIELAKKEEEAKKALEARKGYAEEYIKLIEEMKNYPSAY -SILSGKKEEYIMLRTAQDHEKSLEPKKEKIKYTSMIKYWIKWDHLAYIGTYVDRPALISRLDSPYKTITN -ETIEDFLYREDYPEKFGVRAKIDLFRLREFVGQEKWSSKIKQGNQKETEIWVSYLKETKNKSKKLETLLE -YGKNMADEELLSNREKITGKMQKTYKEAWKIEPSEDTQTSLWFINLLEIIIFKIKMTYSQLLNRIESVSE -SMEREGRKIITKVNLDGSSTTTLVVNNAQTNEKIKTEIFISNTFHAIKYNGVILIGDNTLLKFVLSTEEN -ELNMNIVKDYNFMKGEKSDNFFIDFMMRLKKVPQPLRTLIAATYETVCLMMADHKCSSSTLPIIDAVIEL -MKLDKKIGEELLSICMTSDGVXCIKASTLAKMNTYAEVNELEGLRKYIQRTNRNHDVSKESIERLRCMMR -MKIITNYIKKFGVVPQMTCNSGLLEQELNLMASGGSYNNHIIRNTSHYKDVKLGKMLQPGNEVNISSRVI -DKACTKDEYDFSGNSVKELVYYITKNDLVDLIDEIEIGKVYEENKNQDRETKCFFRKDKELARMQKYKIC -RLIEKEKELKTEGRFYGVASFKLKIYISIIMEMIKRAMKLIPGQMMTMTEDERRTVMHRMSVMLEEKDAY -TLFLDYSGHNTSQRPENNLFILEEIADMYGFEENSIERKRLTQVVYLFNELEILYEHLFSDMVVWSRRQK -GAIEGWFGPLWGIQSQLMLDDMMVSMGIEKYIGTTYSDDSCGVFIKKNLDQEELNRMIEYIQSYSLKMGL -LVKLSQTQITNGRCSMLKNHYYFDKPIDTSYKRIMSISPNSDILWGNDSERVSVIDSAYTSSVLRADDNY -IQTVIRNFRIMIEIEKDVIRWALFYDLELDPRFINLRGSLSTLGKIYRKIVDEASELRELKEIENSMPNE -DEIVTQFFLFHIKNRKLLKLTLALMYLPSTCYGHALTSMVDSFISGYSYSNVKRLSYVESLFNDKKDKVF -IYSLVRLSENAISYVGEPFPMTGGRYDTKTILKDELKQLLKHKVQNNELKEMLNSFSEDKEHLFKAVLVH -TFEKCFSHRIASKFYECSIFNYINELYAKINNSTTMSYLVGKKKMNKLWNKAWKVNHKIEYKFQGNIINE -IKSDPSYFGLIMGRNKVDKRIVQNNEMKYFRMNFLNIEELPIIGKMSADNLTGQLRCIIKPMRVYSKIKG -LRLPGPVRTAINITKFDRDLEIEGMFQNKLIFMAYELVRYVKWMIFDMEKYNKQDNTQAIKSLRKLTDIT -IGTFSNAKINDIEEAVVCPKGGRYFHRALSGGFNPKTGDLSSNMLSSRVEVTGLDRLTNETGGVDNNINL -QLVITALKVQLALIGYTNNETISIGLDSDVKYFARDVTFMLDQIKSEKELECDLGLSHRFDSMTLDKLNE -KRTLYHNFSHFVSVNEDIAGKFIDHKTILPSSKIEEISSFQSLNKYMQDMEILVPTQIPENIMKELVPNL -NSYGSIDRYLEEFYKFYKGLNIIGNESFTKAVVRSIINKELFDRKNIEGKDWLKEIELTGFSYGYRKSLL -KIFIVSCCMVFRVEEPTGNTLKITIFEDKTKINCLNNIKRIKNSEAHLHINDKRISDLINMAFPLVGYQL -KDVFVAVREIMEEHNGKIIDGTKVIQYFNVENTSYASQLEEENCGRISYSNIVLKPSDLSDEKQFIASIK -AFEMIATLNCKPQHVSSPTKSDVYPSAKALLDYLINSNVVSVDDKIADIFAGRGDFHLIMDNMGITHTSI -SRNDGYNLINRIPGMKEIKANIDMTYSENYGQYLDHDVFILDISHFTGDPNNIIRMIDDILAVGKKVIIR -WNSIAPMCTKLLYNFVIKRHHKIDILMPTIESPGYIYLLFNGGFYEPKEILEGENSKKEKKTYNENIITS -NMVLELNRIRKTSILNCSGIKVSDHTQELISDDKLISILEENESKSYISNDIEMRIEEGEKLEELLMVLN -PSDKLLGLENIIRQEIRVEEAERGAKSLKYFKRLIAETKPISGMKKIKLDKNFLKWMIRENKMKLENDKL -IIVEGVKNGHCKEIKKTIDLIINDKNTSKMNQEAWTCVKAGINQFISVEGEETECDMKVILMMIQGSTEY -KSRFFSYDRILRLAHMASDAIKTGTMAESILILSGLRSGVLSSLKKNKGKALRYDCLNIKLIMNRMLVLS -EERNIIIQSSNLRLSEIVKDILLFRKIGQGDKRVKKSIRGKFKRGEKNNKHIISWFDEDEELELKCTELL -EQREIEEEILKLREFDRLEDYLESQGINELFSAITDELSKEGVRMEMTEEHLAQGIDEQANILSEGFKSF -LGVQDEEVEKNRNMTVEEMRKLVDDDDDCEYLSDEE - ->YP_053236.1 261 kDa protein [Lettuce ring necrosis virus] -MEEFIIKEKLSCFEYPNIRKLLANYGTEYSSLMKTIEAEKQREAKPVNTGFKHILTNFVTRSEEEVLSYN -KIGTPTVILKIDTPYKEVTEENLQMILGTSYPTKWSNKSHLELYLFRNVFLALANVNKRELSFNTSQSSA -IDLVQNLKNISIASPESSVLAKFAKKLLESEIKSIERSLLGTNEIRKSDFETTNDCNKNYWVLDIFEHIN -KTIVKHASARQNNTELEETVDFYEGKRINTKYFSVGCSMSHIEFERENMSLNIFVSSTLSGYFWDNDKII -HIGRPEMLQYGLFLADNLFSLSIIRSNVTEEEGKFIDFYVNLLNEESATRVGMGSLFETTCLLMSDQKTL -SSSMPIIDNLVENISVSKELTEKLVSICLVSKPETCIKMSSIGKTLILASTDPGKGIGKYTQRTNRDNKV -NGNTIRRLRSLFKQKVILSYIKKHGRVPNLLFVPEDLGAQLEMKAAGGNYLGQMVTEISRYDQVKLGKFL -ESGNEMNVQSRIIDKACTKDNYDPEGNSEREINYYIKNDMSSVFAEKLNIDKSKYKATERKVSILNRRDN -ILRMMDKHLIVRLSEKEREQKTSARFYGIASFKLKLWISSVMEMIKRAMKLLPGQMMTMTDDERREIMFK -MSEKLMEKDAYSLFLDYSGHNTSQRPENCVFLLEEIANMYGFFEGSDQYREIVSLAYVFANIHVIVEDNW -SDIVYYSHGQLGAIEGWLGGLWGIQSQLMLEDMFLQLGVKDYIGTTYSDDSCGVFTQQKLNVSKLNDIVR -NVQKYGEDMGLIVKLSQTQVTNGRCSMLKEHYYKGEPIDMTLKKMMSISPNGPKVLFDETESVKLIDSGY -TSSCNRSTKIELQTLLRNMRTVKLLSSSIRKLSESMIMDELSEIYRAGKHNYEISAKIAIRKISKTNKPF -IPAPRSRNIEFYQFHSENQNVLDISLMLLYGPYTTYGYSMTPMPDVLISGYSLSNVKRISYLQGMLGTIG -RNVLSKTINLSSNALSYIDNPFPFTGGRRDPSLILKEEIGKNLTKYVTNPELLRFLTLYSKEDEIEYKKE -LIKVFSNSFSSRVASKFYESSLFAYVDEIISKIDNASTMKMLLGGKKMMNIINKAWTLNYKMKVKLTTTP -ICTYDELIYERNHKNFKLGKEEEIKLKFINIEEIPIMGKVIHSEYKSMIQPIFKGKNILTNEGRRQHAPQ -KTFYNAAKFDREVGVEGMFEHKLIFKAYDLVRYVKWIIMDQEKFSGGMSEEDKSKLIKVCDETLRTFTDA -KYDDIESNVVCPKGGRYFHRASSGGFNPKTGDMSSNLLTSNYDVTGVDQLLSKTGGTDNNLNLQYLIIYI -RVGLSLLEPKPHHLTSLTLTNDILYNIKDVSFKLSNLNNIGELKKLYNITSKERIRNKGKMYYNYSTFVS -CDEDLNDKFLNHVSTMREYFIEQESSFRSVHSYMLDQMIVSPELISEVILEQLGGETYGLEGKESFFDKF -YRYYKSLNIIANETPSRSVIRGLLYEELFKTNLNSRTNEIWTTELIRHGYSSSFKSSLMRLFLLSTSLSY -RMSETNSGKMKLIVDKGRTFINSKQNYEKIRKGKCQFYIKDKRITEMIVNSFPTLGYNYEDISNEANNLC -EDIDGIEFEQFRMGSYFLKMHQFYTKKSDKVHYGKVDFNELSISWIDLLDNLGVESALKGFETACSLMVT -PDKVSSPTMSAVYPSAKGLIELLKGNNFIREEDKVIELCGGRGDFHLAMMEEKVNHTTLSREDGYNLAMR -IPGMTSRKVNFNCFKQKDYIHYFDHDIILMDIPHITDKRDCLSSIIGDCKEPRKKIILRLNGLNKFLNST -ILHEMSEAEINVCIPSLESPGYLYLTVDFSKDTETIQQKNEKTEKLGYNRSLLSSALINAVSKVDLKNTI -SIPAIRVQDQTEEIISDEVLEEMLLEEEPDYIHVNTEVRNKIKSREDFQDNLFVYIDDTTALKYRKKLIF -IEERLASKGENREDTSIPPDLIALSRKIRGGDFSIIEVAPNLIKNNKLKIIRGMRGASHDELSEVISSIM -SIKYNKRMAVECWKLLLKLSVQSDIVDSEKLTEIVMITKLNKTTERTINSSYRIASQAVLSYKSGRIIEG -LLCVAGMDNVRKKAILNHKDKTTRTNLLHYKLYINRIMMMSKTFYTDPTFIGISRDEYLRIWNNIEEAET -NIDINRIHNIVREPSEMNQFFRELDKELFSFAGHFSDAVNDMNARIVSDIRPNEELVEELKAFGLAASEE -EIILNSQLQSHEELVDYYGEEEVYDAWGGEDWGDIED - ->sp|Q8BCV9.1|L_MILVL RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=mRNA (guanine-N(7))-methyltransferase; Includes: RecName: Full=mRNA guanylyltransferase -MDDFMKEKKINYLKTPYLRTLLESYKEDYVSLKSTIEAEKKKEAREIDVNYPHILTDLIERDRDEVVSLE -KIGTPSVISKINSPYTEISEENLNMIKGALYPEKWNRKAHLELLLFREFFLSRLDKESEISYDLSQDGSI -ELVKRLYNGGYASPESNVVYKFANTLVSHELSKIEMNMLGTQEMKFNEDEISLDSAKKYWVLDVLEHLNK -NIMMKASARENKRDAPDSIDFYEGKRIKTNYFGVGSSISRIEFENSLPPLVIFLSSTICGYYFEGEAKCF -IGKAEMMNYSMYLADNLLTLSLIRTKLSTEEKKFMDYYVSLLDQPLKTRVGMGALYETTCLLMSDQKTLS -SSMPILDNLIESIEVSTEQTEIIVEVCISVGPETCIKMSSLGKTLILASTNPSKGLSKYTQRTNRDNPVN -INTIRRLRSLFRQRVIISYIEKHGRVPNLISVPEDLGAQLEMKAAGGNYLGHMISEISRYDSVRLGKFLD -TGKEMNLQSRIIDKACTKDSYDSENNSEKEIQYYISNDMKEVFKDPIAIDRDQYKSKERLVKVVHRKEPL -MMPMKKYLNVRLSAKEKEQKTAARFYGIASFKLKLWISSTMEMIKRAMKLLPGQMMTMTDDERRLIMFKM -SEKLLSKNSYSLFLDYSGHNTSQRPENTNFILEEIANMYGYYEGTPEFNELTSLSYVFSNINIIVEDSWS -DYVYISQGQLGAIEGWLGSLWGIQSQLMIEDMFMQLGMNDYIGTTYSDDSCGVFTQSSLDVHKLNGIIKN -VQRYGEDMGLIVKLSQTQVTNGRCSMLKEHYYRGKPMDMSIKKMMSISPNGPKLLGDELESATLIDSGYT -SSCTRASEIGIQTLLRNFRIVKLLSNSTRKLIEDIDNDILDERYLSSKNNYEISMKIAAKNLSKNRLSSY -IPAPRSRVIEFYQFHVTNEKVLDLYLMIMYSPYTLYGYALTPMPDVLISGYSLSNVKRLAYLQGILGKEA -LIVLSKLINLSGNALSYIDNPFPFVGGRKDTKLLIKPIIVKQLPKRVRNPELLKLLSLQKDKEEINFKTK -IVEVFENCFSSRIASKFYECSIYSYISGVISKVDNSTTMKMLLGGRKMMSLINDAWMRNHKLRIRLNDKG -IMNYNELLFARNQKVLKYKNDEEIKLNFLEIEEIPIMGKVKYSEYRNMMQPIFKGSTKLTEQGKKNVPPQ -KTFFNIAKFDRELGVDGMFEHKLIFQAYDLVRYVKWLMMEQERFSKKMNEKDELNLTKLCNMTLHTFTDA -SYHDLQEHVVCPKGGRYFHRALTSGFNPKTGDLSSNIYSSSYDITGIDQLLAKTGGADNNLNIQYLLIYV -RICLSLLRPSPNKLRSLTLTNDIYFNIKDVTFSLENLNDPGSSETLYEVASRDKIQSRGKLYYNYSTYIE -MDEDLENKFIDHVSTTRQEFIEKESAFRSVHSYMLDQMIISPELISDLILEGLIGKKMISKGRERFFDQF -YKYYKSLNVIGAETPARSVIRGLLYEELFKVNPKSKKGELWSTEIIKHGYSSGFKDSLMKLFILSTSLSY -RMLDKQNGKMKLIVNLNRTVQNSKSNFERIRKGECQFYIKDKRITEMILNSFPTLGYTYSDVHQAATDVC -CEISDMEFEQVRLGSYYLKLHKAETNKIEGMVYGYVNFSELEINYQDLLDNLGLESALKGFETACSLMVS -PEQLSSPTLSAVYPSAKGLLDSLIGNGFIKNSDTIIELCGGRGDFHLAMMEKEIKHTTLSREDGYNLAMR -IPGMTSKKVSFNCFRQSDYIPYFDHSIILLDISHITEKKDCLSGILGDCITSKKKVILRLNGLNKFLNYE -ILQELKMYEMKAYLPVLESPGYVYLTIDASKKLEEEKQLDRKIFTDQLGYSRSILTCSLINSISIVNLQG -VISVPPKRVQDQTMEVISDEVLTEMILEEDPEYVHVNPEIKTQVKTADDFKDNLYVYISEKLSIKYKNKL -KFLEERLITKGKIEKSENKPKNLIELARKIRRKESDTIEVDYRMLINSKVNIISGVTGMDHNELVEVLND -AMSVKFNKRMSFECWKLILNLSANEKLIDSDLIVETINIQKFRKDVDRTINSSFEIASKAVISFKTGRIV -EGLLAVARLDNVRRKSILNHKDKTTRNNILHYKLYMNRIMIISQSMYVEPQFPGISDSKFGKIWRSLGSI -ESDIDIERANAALDSIESLNKFFKEMNDEYFSFLNNFDLSVDNMTERIKEECQPIDALVDTLTTFGLEVT -EEDIARNKELDTWEKVQEYCGEEELFDAWAQEDIGDWGDE - ->BAV13384.1 RNA-dependent RNA polymerase [Blueberry mosaic associated virus] -MSSKLRKSWGERVEDMEEMDFSDDDALATMSEIEQVEEKSVDMRDPNYMKMMFELAEEKYSDLMKRAEKY -KSFSELMAKYGKQYCDLMMSRLEEEQKEPPKTIVDYSQGYIYMDNWTMSDYHRNYVDKPSVITKLNQPYR -TFSKSVVDMISEDGKFPEKFSSKAKIELFRAREFIKEFCQNKNLISSQNEVFKFYNYLKSFQHQSKYSEE -CLNFGSALENLETNRISLNLLNKKIRTEAQKIECTIDTLNDMWILNLIETINLKIKMSYSMLNKKYDGKE -SVTFHENRRINTRCNNDGSSVTKIEIQDYNEEIEIFLFFSNSFSVLVYGDKALIGNGDMMNYLLSIADHN -VNLSIISELKEQEVKDTISPETKFIRYIKSLSVLPTKLRVGLAGLYESTCLLMADYRTKSSVLPLIDNLV -DSAELSLGKTDEIIQICLSVKPEDCIKMSCIGKTIIYAEIDTKKGLEKYTQRTDRNHPIDPSVVEKLRLI -FRMKVVTSYISKYGVVPQLQEVSDLLSQELSLMAAKGSYSRDIVYNPFNYKNFRLGKMLELGQETNISSR -VIDKACSKDEYDEKGNSVKELIYYIENNNLDDLIEEVYLDRKEFDKDRTVQVLPRKHKLLKECKKYMLVR -LVEKEKELKPAARFFGVASFKIKLYVSTVMELVKRAMKLIPGQMMTMTEDERRSIMYKMSSMLEEKDSYS -IFLDYSGHNTSQRPGNNAFILEEICDMYGFTEGSREREHVINVLYIFSNMEAIYESSLSDFVYKSNYQNG -AIEGWLGPLWGIQSQLMMEDMLSSLGFKRYIGTTYSDDSCGVFIEKDLNNEKLDDIIMRIQWYAERMGLL -VKLSQTQVTNGRCSMLKNHYFKDLPIENNYKRMMAISPNSSVIWGDELEQVKNIDSGYTSSCSRSNHHKF -QTIIKNYRMVMLLSREIMRFGKFMEIELDKRFMSHVNAEQTLNKICMKSSEYVKMNYHLIPNEEDMLVHY -LRYNNKNEDLLECTLILFYLPFTMFGYATTSIPDASLSGYSVSNVKRICYVESLISKKNRVIKTYNLSKL -SKNAYSYISTPFPMEGGRFDTGTLLKDVLKQELPRRIINEELKTIHKVKMSIEEEQFKAELVSTFRDVFS -HRIVSKFYECSIFNYFEEIYSKIDNSTTFTFILGKLKMNKLWNKAWTINHKIEFKEQSSNLYSSYNEMID -VRSSLELEYKLRGNIYHIKLNFLEIEEVPLLGKVTTKSDFTSIQPILRINDYWSDVKTKRAPPLKTNINS -TKFDRDLEIEGMFQNKLIFLAYELVRYVKWIIMDMEKYSSCSEDTKQSLYEIANVTLSTFSNASFSDLSE -YVVAPKGGRYFHRANAGGFNPKTGDLSSNEETSKYEIAGIDKLIESTGGIDNNLNLQYLMLSIKASLAYL -KPKQYELKPLSLCQDVALVLRDVSFSLDGIKSRHKMTRFEPCKIVNDLSSIKNKSKLYKSFSYFISTDDS -ITGKFINHSSIVPNEVIMRYSSFKSVYKYMEDQEILSPEVIPDEVLMRLAPEIVDYGSRDQYFDAFYKFY -QGLNIIDNETPTRSVIRSLIYSEVFRKDKDGNSWAKDICEKGYSLNYRNALLKLFIVTTSLVYRLEEKKP -SEFTITMNRSRTLANSIINFKRMKNNTAHFYVKDKRISELLMLAFPTTGYKLSEIHKAVEEVNEELDGKR -FHQYQMNTYYEKDIKDYLNPEDEIFKCAGVKYEEIEISEKEFMDKKTLGAAIKAFEMICSMNCKPRNVSS -PTMSDIYPSIDSVLDLLTKRGFISEGDEIADIFAGRGDCHLAMMKKGIKHASISRNDGYNLLYRVRGMTE -VKTSIDMVKKENYTQYLDRDIFLLDISHFSGKDSDLLSMITDIVNFGKKMIIRLNSVIKIFSPELSLALQ -SRDLSIMIPSIESPGYLYLIVDDVKRRKSLTGAKGSSFTNSLLTEKLTNEIIHMRPVSLFNKEPEEVKDH -TMEAISDRKLINILLDSDPDYVELPQCLEEEIKSKDDLEKILFTPLPTGVDIERNNFLHKLIDEEKLKMY -YPRLLVSDSSERMSYRKIWKVVKDKNFPKEIIINHEIERKVSDGFVIGFENISEDELFQLIRIMITSNKT -NSDTEMAWRFILKLCSTTDVRRTFSSMEIIENLNLAKLQPDKMRSIDKIYNIAHIAGTAYRSSKVDEGLM -ILSGMKSTTLAELMKNKSKERRYNCLNYKLIINRMRLLHRKLNLNIQRYGYNIPQLVDKFSKYERVVNDV -EKERMVKFIQSFETMEEYFATLSSNELFDAIISGIKEEKVIENSIGVIEASSSNMSTFIEKFIGTSEEEI -ERNKNMTQEELESFLEEMSDIESDLEE diff --git a/seq/clusters_seq/cluster_692 b/seq/clusters_seq/cluster_692 deleted file mode 100644 index d10fd45..0000000 --- a/seq/clusters_seq/cluster_692 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009665186.1 long-distance movement protein [Tobacco mottle virus] -MASVVNVNLNSKSGLQTRTTGRPLRGGNYERTPGKQSRSSHPRQRKCAGNTHPAATPRHSKPAVQGTKEV -PPHSPHRRNVVHREGGYCFDAPRAGRLSRRGGGLHSRQPTPQSQLNGREGESRAQWRAEVDGLLSPLLDT -IVGHGWNPTKVFLRGIQLGRVYTRNKRGEPILNVSDVAPKRGEQVSQLPATKPQITPSLPTQGPANATPI -GPPAEVPQRRDVEQVCTTCDGNKY - ->YP_009162615.1 putative phloem RNA movement protein [Opium poppy mosaic virus] -MASLPNVSSSSKSRMQGRTTQRAIRRGDGQRARRNRPGHTDPPPSRAEACRNPAPAPKNPGKNRGSLEET -PHHKKHRGPVVPRENRGSVHPTRPRRRRRRGDHMGSRQHATTPEQCWGACVLSTERRAEIDGLLSPLLHT -ALMQGWGDPEVLVYSIRVLRGELRQWRKPVQSLHNVATEDRGNSAQLSAPIATEPPNMQAPSKEQPAVDG -SPKICNLGCNIDKVCGHPKPGEGNSGSTTQAGNTW - ->YP_009056850.1 long-distance movement protein [Ethiopian tobacco bushy top virus] -MAEHSLLCLVTGRPARLAADNGRWVSMTCNINVYTSNKSSNSRRAPRGPVWRGHRQGASRNRPGRVNASQ -RRPEGGVYPPPAPKNTKQNSGGVAQVPPNPKHRGATIHRESGCGIHPPRSRRCARRSRSVDPRRPTPKPK -QRWAAAEISAERRAEIDGLLSPLFDTLGRQVQGDAAVLRYCFGATQRQLRKWRKPVQPAYNVAAPNRECS -AQLSSTATTNAEDLQGDGKGRSGQLDKSEVLHSGSDVPKVHTDCGRTATNKW - ->NP_733849.1 hypothetical protein TBTVgp3 [Tobacco bushy top virus] -MSTIINVNTHPQGRYERGAAQRSIRGSDVKGARGIKPRRSNPPSGRKQGGNDPTAATQKSRKNRQRPETV -PPHETHGGTFIHREGCGGVHAPHPGRCWRRGRNLGPRQHATTPKQRWAPRKISDERRAQVDSILSPLLDT -LKLSSEGGAPELLHCIGVLRRELRRRREPVQPVHNVGTEDRVRSAQLSDEAPQSNANLQTSGEGQSVLPN -PDSGGTEKCNVESVCNNCDRAPTTNKW - ->NP_620847.1 long-distance movement protein [Pea enation mosaic virus 2] -MAVGKYMTIIINVNNDERKQPEGATGSSVRRGDNKRTRGNKPRSHHPGSRERKGYNHPSPTPKNSKQGQL -RTEAVQEHPKHGGTAFRRESGGSVHPSHPRRRARRGGDMAPRQHPPPPRERRTKTETQAERRAQALSVLP -TLLDCIGGLDLGPAEVLLHCHRAVRRQLRTGVQPIQPVPHVESTHRSSDPQLLESSTTCSANLQNDGAGR -VIGGGIPTAIPEGSDVEQVCHASHYG - ->NP_619660.1 orf3 [Groundnut rosette virus] -MDTTPASRGQWPVGIMSSVINVFASGKSCNSGGAPRSSVRRGHRAGAARDKSRGFDAPPRRPKGGVHPAT -TSKDPNKDIRGPPAPTPHKKYRGPAIPGEGRSGVHTTRPRRRAGRSGGMDPRQLVAQPQQRWAKTEIPTE -RRAEIDGLLPSLLNTLDGQIQGDAALLRYCVGAIKRELRRRWESVQPAHHVAASSGKPSPQLFNEAAQNA -EDLSGDGKGCAGQSVQQEVLHSGSGVPPVCADCGKPAANKW - diff --git a/seq/clusters_seq/cluster_693 b/seq/clusters_seq/cluster_693 deleted file mode 100644 index 6eff12c..0000000 --- a/seq/clusters_seq/cluster_693 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_009507790.1 gag [Puma lentivirus 14] -MGNNQGKELKAALRRACNVTVGEGKRSKRYTEGNLMWAIKFGNACTGRDPADVPETLVEIRNFIHELQDK -LQKFGGSKELENCIKTLKVLTVAGVLKLPCQNTESAIKLYETMGLLGPATDKKIEENLEEKPAEAYPVQV -ANGVHQHVSFNPRTAAIWMEKARGGLGSEEAVLWFTAFSADLTATDMASLITAAPGCAADKKIIDDKLKE -LTAKYAQDHPDGPRPLPYFTAEEIMGIGIPQNVQSQPQYGPARAQARLWFLEALGHLQKIKAGEPKAVTL -RQGPKESYKDFIDRLFQQIDQEQASDEVRDYLKQSLSISNANGECRKAMTHLRPESTLEEKLRACQDIGS -TQYKMQMLAEAFNQMQVNQVQRGGFRGGRGGNRGRGGRGRGRGRGLGPLNCFNCGKPGHLASQCRQPIKC -YKCGGSGHLAIDCLGGNDSKNGQNRGTAAPRQFQVQQNNTLYPSLKEMQTEPTAPPMEI - ->NP_040972.1 gag protein [Feline immunodeficiency virus] -MGNGQGRDWKMAIKRCSNVAVGVGGKSKKFGEGNFRWAIRMANVSTGREPGDIPETLDQLRLVICDLQER -REKFGSSKEIDMAIVTLKVFAVAGLLNMTVSTAAAAENMYSQMGLDTRPSMKEAGGKEEGPPQAYPIQTV -NGVPQYVALDPKMVSIFMEKAREGLGGEEVQLWFTAFSANLTPTDMATLIMAAPGCAADKEILDESLKQL -TAEYDRTHPPDAPRPLPYFTAAEIMGIGLTQEQQAEARFAPARMQCRAWYLEALGKLAAIKAKSPRAVQL -RQGAKEDYSSFIDRLFAQIDQEQNTAEVKLYLKQSLSIANANADCKKAMSHLKPESTLEEKLRACQEIGS -PGYKMQLLAEALTKVQVVQSKGSGPVCFNCKKPGHLARQCREVKKCNKCGKPGHVAAKCWQGNRKNSGNW -KAGRAAAPVNQMQQAVMPSAPPMEEKLLDL - ->NP_056901.1 gag protein [Equine infectious anemia virus] -MGDPLTWSKALKKLEKVTVQGSQKLTTGNCNWALSLVDLFHDTNFVKEKDWQLRDVIPLLEDVTQTLSGQ -EREAFERTWWAISAVKMGLQINNVVDGKASFQLLRAKYEKKTANKKQSEPSEEYPIMIDGAGNRNFRPLT -PRGYTTWVNTIQTNGLLNEASQNLFGILSVDCTSEEMNAFLDVVPGQAGQKQILLDAIDKIADDWDNRHP -LPNAPLVAPPQGPIPMTARFIRGLGVPRERQMEPAFDQFRQTYRQWIIEAMSEGIKVMIGKPKAQNIRQG -AKEPYPEFVDRLLSQIKSEGHPQEISKFLTDTLTIQNANEECRNAMRHLRPEDTLEEKMYACRDIGTTKQ -KMMLLAKALQTGLAGPFKGGALKGGPLKAAQTCYNCGKPGHLSSQCRAPKVCFKCKQPGHFSKQCRSVPK -NGKQGAQGRPQKQTFPIQQKSQHNKSVVQETPQTQNLYPDLSEIKKEYNVKEKDQVEDLNLDSLWE - ->sp|Q05313.1|GAG_FIVWO RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=p1; Contains: RecName: Full=Nucleocapsid protein p13; Short=NC -MGNGQGRDWKMAIKRCSNGAVGVGGKSKKFGEGNFRWAIRMANVSTGREPGDIPETLDQLRLVICDLQER -REKFGSSKEIDMAIAALKVFAVVGLLNMTVSTAAAAENMYTQMGLDTRPSTKEAGGKEEGPPQAYPIQTV -NGTTQYVALDPKMVSIFMEKAREGLGGEEVQLWFTAFSANLTPTDMATLIMARPGCAADKEILDESLKQL -TAEYDRTHPPDGPRPLPYFTAAEIMGIGLTQEQQAEARFAPARMQCRAWYLEALGKLAAIKAKSPRAVQL -RQGAKEDYSSFIDRLFAQIDQEQNTAEVKLYLKQSLSIANANADCKKAMSHLKPESTLEEKLRACQEIGF -PGYKMQLLAEALTKVQVVQSKGPGPVCFNCKRPGHLARQCRDVKKCNKCGKPGHLAAKCWQGGKKNSGNW -KAGRAAAPVNQVQQAVMPSAPPMEEKLLDL - ->sp|P31821.1|GAG_FIVT2 RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=p1; Contains: RecName: Full=Nucleocapsid protein p13; Short=NC -MGNGQGRDWKMAIKRCSNVAVGVGSKSKRFGEGNFRWAIRMANVTTGREPGDIPETLEQLRSIICDLQDR -REHYGSSKEIDMAITTLKVFAVAGILNMTVSTATAAENMYAQMGLDTRPSVKESGGKEEGPPQAYPIQTV -NGAPQYVALDPKMVSIFMEKAREGLGGEEVQLWFTAFSANLTSTDMATLIMSAPGCAADKEILDETLKQM -TAEYDRTHPPDGPRPLPYFTAAEIMGIGLTQEQQAEPRFAPARMQCRAWYLEALGKLAAIKAKSPRAVQL -KQGAKEDYSSFIDRLFAQIDQEQNTAEVKLYLKQSLSIANANPDCKRAMSHLKPESTLEEKLRACQEVGS -PGYKMQLLAEALTRVQTVQTKGPRLVCFNCKKPGHLARQCKEAKRCNNCGKPGHLAANCWQGGRKTSGNE -KVGRAAAPVNQVQQIVPSAPPMEEKLLDL - ->sp|P19027.1|GAG_FIVSD RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=p1; Contains: RecName: Full=Nucleocapsid protein p13; Short=NC -MGNGQGRDWKMAIKRCSNVAVGVGGKSKKFGEGNFRWAIRMANVSTGREPGDIPETLDQLRLVICDLQER -REKFGSSKEIDMAITTLKVFAVVGLLNMTVSTAAAAENMYTQMGLDTRPSTKEAGGKEEGPPQAYPIQTV -NGAPQYVALDPKMVSIFMEKAREGLGGEEVQLWFTAFSANLTPTDMATLIMAAPGCAADKEILDESLKQL -TAEYDRTNPPDGPRPLPYFTAAEIMGIGLTQEQQAEARFAPARMQCRAWYLEALGKLAAIKAKSPRAVQL -RQGAKEDYSSFIDRLFAQIDQEQNTAEVKLYLKQSLSIANANAECKKAMSHLKPESTLEEKLRACQEIGS -PGYKMQLLAEALTKVQVVQSKGSGPVCFNCKKPGHLARQCRDVKKCNKCGKPGHLAAKCWQGGKRNSGNW -KAGRAAAPVNQVQQTVMPSAPPMEEKLLDL - diff --git a/seq/clusters_seq/cluster_694 b/seq/clusters_seq/cluster_694 deleted file mode 100644 index b35703d..0000000 --- a/seq/clusters_seq/cluster_694 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009163752.1 nonstructural protein NS2a [Spanish goat encephalitis virus] -DNGELLSEGGIPGIVALFVVLEYIIRRRPSTGTTVVWGGVIVLALLVTGMVRIEGLVRYVVAVGIAFHLE -LGPEIVALMLLQAVFELRVGLLSAFALRRGLTVREMVTTYFLLLVLEMGLPSVSFEDLWKWSDALAMGAL -IFRACSAEGKTGTGLLIIALMTQQDVVTIHHGLVCFLAVASACSVWRLLRGHKEQKGLTWIVPLARLLGG -EGSGIRLLAFWELAAHRRKR - ->NP_932087.1 non-structural protein NS2a, partial [Omsk hemorrhagic fever virus] -DNGELLSEGGIPGIVAVFVVLEYIIRKRPSAGLTVVWGGVVVLALLVTGMVTLQSMLRYVIAVGVTFHLE -LGPEIVALMLLQAVFELRVGLLGAFVLRRSLTTREVVTIYFLLLVLELGLPSANLEALWGWADALAMGAM -IFRACTAEGKTGLGLLLVALMTQQNAVIVHQGLVIFLSVASACSVWKLLRGQREQKGLSWIVPLAGRLGG -KGSGIRLLAFWELASRRDRR - ->NP_775505.1 non-structural protein NS2a [Tick-borne encephalitis virus] -DNGELLSEGGVPGIVALFVVLEYIIRRRPSTGTTVVWGGIVVLALLVTGMVRIESLVRYVVAVGITFHLE -LGPEIVALMLLQAVFELRVGLLSAFALRRSLTVREMVTTYFLLLVLELGLPGASLEEFWKWGDALAMGAL -IFRACTAEGKTGAGLLLMALMTQQDVVTVHHGLVCFLSVASACSVWRLLKGHREQKGLTWVVPLAGLLGG -EGSGIRLLAFWELSAHRGRR - ->NP_775518.1 non-structural protein NS2a [Powassan virus] -DNGALLSEGGVPGLVAVFVLMEFLLRRRPGSVTSILWGGILMLGLLVTGLVRVEEIVRYVIAVGVTFHLE -LGPETMVLVMLQAVFNMRTCYLMGFLVKRVITTREVVTVYFLLLVLEMGIPEMNFGHLWEWADALAMGLL -IIKASAMEDRRGLGFLLAGLMTQRHLVAVHHGLMVFLTVALAVVGRNIYNGQKERKGLCFTVPLASLLGG -SGSGLRMLALWECLGGRGRR - ->NP_740724.1 nonstructural protein NS2a [Louping ill virus] -DNGELLSEGGVPGIVALFVVLECIIRRRPSTGVTVVWGGVVVLALLVTGMVRIESLVRYVVAVGIAFHLE -LGPETVALMLLQAVFELRVGLLSAFALRRGLTVREMVTTYFLLLVLELGLSSAGLGDLWKWSDALAMGAL -IFRACTAEGKTGTGLLLIALMTQRDVVTVHHGLVCFLAAAAACSVWRLLRGHREQKGLTWIIPLARLLGG -EGSVIRLLAFWELAAHRGRR - ->NP_740297.1 nonstructural protein NS2a [Langat virus] -DNGALLSEGGVPGVVALFVVLELVIRRRPATGGTVIWGGIAILALLVTGLVSVESLFRYLVAVGLVFQLE -LGPEAVAMVLLQAVFEMRTCLLSGFVLRRSITTREIVTVYFLLLVLEMGIPVKGLEHLWRWTDALAMGAI -IFRACTAEGKTGIGLLLAAFMTQSDMNIIHDGLTAFLCVATTMAIWRYIRGQGERKGLTWIVPLAGILGG -EGSGVRLLAFWELAASRGRR - diff --git a/seq/clusters_seq/cluster_695 b/seq/clusters_seq/cluster_695 deleted file mode 100644 index 38c99d1..0000000 --- a/seq/clusters_seq/cluster_695 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009163753.1 nonstructural protein NS2b [Spanish goat encephalitis virus] -SFSEPLTVVGVMLTLASGMMRHTSQEALCALAVASFFLLMLVLGTRRMQLVAEWSGCVEWHPELVNEGGE -ISLRVRQDSMGNFHLTELEKEERMMAFWLLAGLVASAFHWSGILGVMGLWTLTEMMRSSRR - ->NP_932088.1 non-structural protein NS2b, partial [Omsk hemorrhagic fever virus] -SFSEPLTVVGVMLTLASGMMRHTSQEALCALAAASFLLLMLVLGTRKMQLVAEWSGCVEWHPDLADEGGE -ISLRVRQDALGNFHLTELEKEERMMAFWLLAGLTASALHWTGILVVMGLWTMSEMLRSARR - ->NP_775506.1 non-structural protein NS2b [Tick-borne encephalitis virus] -SFSEPLTVVGVMLTLASGMMRHTSQEALCALAVASFLLLMLVLGTRKMQLVAEWSGCVEWYPELVNEGGE -VSLRVRQDAMGNFHLTELEKEERMMAFWLIAGLAASAIHWSGILGVMGLWTLTEMLRSSRR - ->NP_775519.1 non-structural protein NS2b [Powassan virus] -SLSEPLTVVGVMLAMASGLLRHSSQEALLALSAGSFLILMLILGTRRLQLTAEWAGVVEWNPELVNEGGE -VSLKVRQDAMGNLHLTEVEREERRLALWLVFGLLASAYHWSGILVTMGAWTVYELFSSTRR - ->NP_740725.1 nonstructural protein NS2b [Louping ill virus] -SFSEPLTVVVVMLTLASGMMRHTSQEALCALAVASFFLLMLVSGTRKMQLVAEWSGCVEWHPETVNEGGE -ISLRVRQDSMGNFHLTELEKEERMMAFWLLAGLVASALHWSGILGVMGLWTLTEIMRSSRR - ->NP_740298.1 nonstructural protein NS2b [Langat virus] -SFNEPMTVIGVMLTLASGMMRHTSQEAVCAMALAAFLLLMLTLGTRKMQLLAEWSGNIEWNPELTSEGGE -VSLRVRQDALGNLHLTELEKEERMMAFWLVVGLIASAFHWSGILIVMGLWTISEMLGSPRR - diff --git a/seq/clusters_seq/cluster_696 b/seq/clusters_seq/cluster_696 deleted file mode 100644 index 87670cb..0000000 --- a/seq/clusters_seq/cluster_696 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009163747.1 capsid protein [Spanish goat encephalitis virus] -MGRKSILKGKGGGPPRRVSKETATKTRQPRVQMPNGLVLMRMMGILWHAVAGTARNPVLKAFWNSVPLRQ -ATAALRKIKRTVSALMVGLQRRGKRRSMTDWMNWLLLIALLG - ->NP_932082.1 anchored core protein C, partial [Omsk hemorrhagic fever virus] -MAGKAILKGKGGGPPRRVSKETAKKTRQRVVQMPNGLVLKRIMEILWHAMVGTARSPLLKSFWKVVPLKQ -AMAALRKIKKAVSTLMIGLQKRGKRRSTTDWTGWLLVAMLLS - ->NP_775500.1 anchored core protein C [Tick-borne encephalitis virus] -MVKKAILKGKGGGPPRRVSKETATKTRQPRVQMPNGLVLMRMMGILWHAVAGTARNPVLKAFWNSVPLKQ -ATAALRKIKRTVSALMVGLQKRGKRRSATDWMSWLLVITLLG - ->NP_775513.1 anchored core protein C [Powassan virus] -MMTTSKGKGGGPPRRKLKVTANKSRPATSPMPKGFVLSRMLGILWHAVTGTARPPVLKMFWKTVPLRQAE -AVLKKIKRVIGNLMQSLHMRGRRRSGVDWTWIFLTMALMT - ->NP_740722.1 putative enchored capsid (core) protein [Louping ill virus] -MGRKTILKGKGGGPPRRVSKETATKTRQSRVQMPNGLVLMRMMGILWHAVAGTARNPVLKAFWNSVPLRQ -ATAALRKIKRTVSALMVGLQRRGKRRSVTNWMNWLLVIALLG - ->NP_740293.1 capsid protein [Langat virus] -MAGKAVLKGKGGGPPRRASKVAPKKTRQLRVQMPNGLVLMRMLGVLWHALTGTARSPVLKAFWKVVPLKQ -ATLALRKIKRTVSTLMVGLHRRGSRR - diff --git a/seq/clusters_seq/cluster_697 b/seq/clusters_seq/cluster_697 deleted file mode 100644 index 484acfa..0000000 --- a/seq/clusters_seq/cluster_697 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009508465.1 Z protein [University of Giessen virus] -MSSTTAIGLTTEVIAIITFVITVVILVIQVINCVTMMTLKALTLKKRLAFCQGCGKNASLVILPCKNKVC -MECALAMRCPVCYEACLWCENPDGSLSSLALINKERNRDRTPQP - ->YP_009508469.1 Z-protein [Tavallinen suomalainen mies virus] -MSDPTSIGLTTEVISIITFILVIAIFVLEVVSCITMLTLKAITLKKRLMFCQGCGKNASLVILPCKNKVC -MECALEMRCPVCYEACLWCENPDGSLSSLALIKKERSKGKAARPERESDP - ->YP_009019202.1 Z protein [University of Helsinki virus] -MSESTAIGLTTEIISIITFILVIAIFVIEIVSCITMMTLKAITLKKRLSFCQGCGKNASLVILPCKNKVC -MDCALKMRCPVCYEACLWCENPDGSLSSLALINKERNKHRDTLPEP - ->YP_009019196.1 Z protein [ROUT virus] -MSESTAIGLTTEVISIITFILVVIIFIVVIVNCVAMMTLKAITLKKRLMFCQGCGKNASLVILPCKNKVC -MECALKMRCPVCYEACLWCENPDGSLSSLALINKERNKHRDNPPEP - ->YP_006590092.1 Z protein [CAS virus] -MSMCINGTNSIGISNEVVLSLTLISSLTTLILLIINTITMFGLKALTLKKRLSFCTACGKNSSLVKLPCK -HKCCIQCPLANLKCPICYEPCLWCEKADGSLESLSLMKKNLQELP - ->YP_006590088.1 Z protein [Golden Gate virus] -MSGSTAIGLTTEVISIITFILVIAIFVIEIVSCVTMMTLKAITLKKRLSFCQGCGKNASLVILPCKNKVC -MECALKMRCPVCYEACLWCENPDGSLSSLALINKERNKVRDNLPEP - diff --git a/seq/clusters_seq/cluster_698 b/seq/clusters_seq/cluster_698 deleted file mode 100644 index 98e9217..0000000 --- a/seq/clusters_seq/cluster_698 +++ /dev/null @@ -1,65 +0,0 @@ ->YP_009508464.1 NP [University of Giessen virus] -MAALQRAAVNQLALKKKLNKMLSPFQRELNNQIFKDVKALRGGLDINKINDVLRRLRKETKGPNDLERLR -SLNETTAGLSGMVAVQKVIEIDSTLMSDEELIQCIENIDMIKKKAEYKGGSKPRTSEFESETGMTKSDHE -VFNKLFNRFVPRKESGPAGPSTPKSWTGINPADLANQFGTSPAITICLIMMRTNSPFKQIIDALYDISIL -DSGMFVNASVIKAMTPQHPCLECVEYTVPKNSSGYNITVKAIVKAANVLSKLPKLEKLVLDDDNRVEIIR -TLLSIQRELGIKIQINEERGLFEDVFYKICVSPNGPCVVSIRSELTGRGWENTVFRLRRPPPYAPKLYPD -LMDLDLDMTLPAKKDQIEDESRTIYIFKPDASEIDEYIRSPNNSNSDSEVSDPRILYMSNACKELFKGGD -TVFMDIEGTAQDPVEIALLNPDTGKFIHIFRMPKDKDDFKKASKHAHGLLLDDISNHPDLQTEKNIEAFF -SDIPPSAKIFCQGSDIEECLKFFGRKDLKTTDCKWKREEYMKYHESILDELSEILPCKHSGTVKDKKGAL -AAPHCALIDCLMFSKTASGGKKIKDPTPATA - ->YP_009508468.1 nucleoprotein [Tavallinen suomalainen mies virus] -MATFQRAAVNQLALKKRLNKLLAPFQSELNNQILKDVKALRAGLDANKINDVLRRLRKDTKGPNDLARLR -ELNETAAGLSAMVAVQRTVEIDSSLMSDEELIQCIENIEAIKKKAEYKGGSKPRTSEFESETGMSRSDHE -TFNRLFSRFVPRKDSGPTGPTTPKSWVGIDARDLANQFGTSPAITISLMMMRTNSPFKQIIEALYDISLL -DSGMFVNSSVVKAMTAQHPCLECVEYSVPKNSSGYNITVKAVVKAANVLSKLPKVEKLVIDDDNRVEIIR -TLLSIQKELGIKIQISEERGIFEDVFYKICVSPNGPCVVSIRSELTGRGWDNTVFRLKRPPPYAPRLYPD -LMDLDMDALLPVKGHEKDDDSKQIYIFKPSTNEIDEYIRSPESPNSEVEVSDPRILYMSQMSDELFKGGE -TVFMDIEGTAQDPVEIVLLNGETSKFVHIFRMPKDKDNFKRSSKHAHGLLLDDISDHPNLCTEKSIEVFF -SRIPQSAKIFSQGSDIEECLKFFLGEKI - ->YP_009019205.1 nucleoprotein [University of Helsinki virus] -MAALQRAAVSQLAIKKKLNKILTPFQGDVNQQIFVDIKALRMGLDSKKINDVLRRLRKETKGSSDLQKLR -DLNEDVAGMSGMVAKQTTVEVDSTMLTDDEIMLCVENIEMIKKKAEYKGGLRPKLSGFELETGMSSEDHA -KFNKLFSRFVPKREDTRGSVSKPRSWVGINPKDLANQFGTSPAVTITLMMMRTNSPFKDILEALNDIAIL -DSGMFVNSAVIKAMAISHTCLDCIEFSVPKNSSGYNITVKSVVKAANAISGLPKLEKIVLDDENRVKVLQ -SLLEVQKEFKIKIVIDEERSLFEDLFYKVCVSPNGPCVISVRSELTGRGWENTVFRLRRPPPYAPRLYPD -LMDLDMDVVPPLKLSLESDSSSNEMDEYIKSTKKEDTMEMEVIDPRMPHLLKVCEKIFQNKKPVFVDIEG -PPRDPVEIAFLNTDTSEYIHIFRMPKDKDDFKKSSKHCHGLLLDDMSNHPDLQPDKSIEAMFAKIPHDCT -ILSQGSDIEDCFKFFGRKDITVNDCKWKKEDYNIYHDELLDNYADSLPYVHSGAVKDKKGALIAPHCALL -DCMMFSRKYSGKNIKCPGPVEV - ->YP_009019195.1 nucleocapsid protein [ROUT virus] -MAALQRAAVNQLALKKKLNRVLTPYQGDLNQQIFIDIKALKQGLDPKKVNDVLRRLRKETKGAKDLEKLR -DLNEEVAGWSSMVAKQTTVEIDSTMLTDEEIMQCVENIEIIKKKAEYKGGLRPKLSEFERDTGMSSDEHE -QFNKLFSRFVPKKEENKRNEVLKPRSWVGINPKDLANQFGTSPAVTITLMMMRTNSPFKDILEALNDISI -LDSGMFVNSAVIKAMAISHACLECVEFSVPKNSSGYNVTVKSVVKAANAISGLPKLEKIVLDDENRVKVL -QSLLEVQKEFGIKIVVDEERSLFEDLFYKVCVSPNGPCVISVRSELTGRGWENTIFRLRRPPPYAPRLYP -DLMDLDMDAIAPIKPIPASSDPSSNEMDEFIKGEKTNEEPEVEVIDPRTPFLLKACEKIFRBKKPVFLDI -EGSPQDPVEIAFLNTDSSEFIHIFRMPKDKENFKKSSKHCHGLLLDDISNHPNLYSDKNIEALFAKLPSD -SQILSQGSDIEECLKFFGRKDITVNDCKWKKEDYLIYHEDLLDNYADSLPCVHSGAVKDKKGALMAPHCA -LLDCMMFSRKYSGKSIKHPEPATI - ->YP_006590091.1 nucleoprotein [Golden Gate virus] -MAAFQKAAVNQLALKKKLNKMLAPYQRELNNQIFKDVKALRVGLDINKVNDTLRRLRKETKGPNDLKNLR -DLNETAAGLSGMVATQRTVEIDSSLMSDEELIQCIENIDVIKKKAEYKGGSRPRISEFESETGMSKSDHE -MFNKLFNRFAPKKDPGPAAFSTPKSWVGISPADLANQFGTSPAITICLIMMRTNSPFKQILDALHDISLL -DQGMFVNASVVKAMTSQHPCLDCVEYTVPKNSSGYNITVKAVVKAANVLSKLPKVEKLVIDDDNRVEIIR -TLLTIQRELNIKIQVNEERGLFEDIFYKICVSPNGPCVVSIRSELTGRGWENTIFRLRRPPPYAPRLYPD -LMDLDLDALPPVKGDKKTEEESKIYIFKPAADEIDEYIRSPESASSDSEIPDPRVLYMANACEDLFKGGD -SVFMDIEGTAQDPVEIALFNPDTGKFVHIFRMPKDKDGFKKASKHAHGLLLDDISDHPDLQTDKNIEAFF -SKVPLSARIFCQGSDIEECLKFFGRKDLKPTDCKWKREEFMKYHENILDELSEVFPCKHSGTVKDKKGAL -TAPHCALLDCLMFSRTASGGKKTKDPTPATI - ->YP_006590087.1 nucleoprotein [CAS virus] -MNQLVVKKHLNKVLRPFQANLNNDLFKDVKLISAKININEVNDVLRRLRKETKTRDDLQKLRKLNKYLAE -GTNVTSEQVVVEIDSTQMSDEDLMQCIDNLERIKKKAEYKGGRDKPSSKFEFETGLSHEDHERFSSLFAS -FVPQKPNPRNENNKPKSWIGVSSEELANQFGTSPSITVSIMLQRSGCTFKELFEALNDISLLDAGMFVNA -SVIKALSSKHHCLDVVEFSVPKNSSGYNITVKSVVKAANSISSHPKLEKIMVTDQNRTKLLSVLVAAQKD -LQLEIKLDEERTLFEDLFYKVCVSPNGACVVSIRSNLTGRGWDNTVFKLRRPPPYAPSKLYPDLMDLDLP -QSPPNKWLKDDSKKILKPVELETSGGIDDFLSSPSEDSPNELEIIDPRSLVLSDMAQKLFKNNKEVFIDI -EGSATDPVEIALYGVEKNQYIHIFRLPKDSESFKKASRHSHGLIASDMADHINLFIDKNIKSMFSAIPKD -QIVHCQGSDIKELLKFYGRSDINVADSKWKKKDYMSYHEGILDIVSDILPCKHSGTVKDKTGALTSPHCA -LVDCMMFACAAKGHITIEDPKPVQ - diff --git a/seq/clusters_seq/cluster_699 b/seq/clusters_seq/cluster_699 deleted file mode 100644 index 3c2e907..0000000 --- a/seq/clusters_seq/cluster_699 +++ /dev/null @@ -1,53 +0,0 @@ ->YP_009508463.1 GPC [University of Giessen virus] -MAGHLNRSMLLLSLLAVMPQFTTGTIGEMLSLAASSNSSICHGMQFTEPTQSFMGILPNETQPMFKFSLM -HSEAAPRIGKVLRISHDMRLFGEEAINYTIFVNKIAFEPVSYYNISGTCRQQGFSACVRVYSDLTNNPRG -FPSMKLGFTAIELNKTRNSDFHNMGFKICFSCRDYKGVRLVVYSKKNHTAQLMMCPEELIFSQDFTINST -SVGGGEEEVAVPLLNTTGYACIALHNKNMLTHHSLALSSGTKVDNTLEPGCDSNVGLFGHSTGTDYGWGL -ANFFSAGITNSLQISQLEHVTDTIACKIAKTSNYTTTALFLLNKEEGEIRDHVIEHEVALNYLLAHQGGL -CNVVKGPMCCSDIDDFRQNVSDMIDKVHEEMKKFYHEPDPFGGLGTWGFYGTVLGHILQWIPIIIMVIIV -CFVCNWVRR - ->YP_009508467.1 glycoprotein precursor [Tavallinen suomalainen mies virus] -MAGWIQLPILSILLFMVPQLTLGTIGEMLSLAASGNSSICHGMQFTEPTQSFMGILPNGSRPMFKFSIVH -SETVPRVGKTLKISHDMKLFGEEALNYMIFVNKIVFEPSKFHNISGSCRQRGFKACVRVYSDLVNNSRGY -PSTKLGFTADELNKTHRTNPNDMGFKICISCRDHNGVRLVVYSKINRTAQLMMCPSELIYSYDFTMNSSS -TSTDEVVLPLLNVTGYSCVALHNKNMLTHHSLALSSGSKVDNTLEPGCDSNVGLFGHSTGTDYGWGLANF -FSAGITNSLQISQLEHVTDAIACKIAKTSNYTTTALFLLNKEEGEIRDHVIEHEIALNYLLAHQGGLCNI -VKGPMCCSDIDDFRRNVSDMIDKVHEEMKKFYHEPDPFGGLGTWGFYGTIFGHILQWIPIIIMVIIVCFV -CSWVRR - ->YP_009019204.1 glycoprotein [University of Helsinki virus] -MSRNLSVVVLLVLAIGTSATITELLSLAASDNSSICHGLQFIEPTQSFLGITPNDTWPLFEFSIRAADGP -PSPGRTLTMSHEMKLFGNEAVNYTIRTSVVRYENISYNLAIGSCRAQGFTACVQISVMKGRQVLHNRKVG -FTSHELNRTHHQSSDKNFKSRGYKICISCKDFKRIKLVVYNKLNKTAALIMCPTELIFNDNFVMNSSAAK -DYVTEDIANTSNYVCIALHNRNLLTHHSLALTSGSKVDNTIEPGCDSNVGLFGHSTGTDYGWGLGQLLQC -WNNQLFTDIPTEHVTDAIACKIAKTPNYTTTALFLLNKEQGEIRDHVIEHEMALNYLLAHQGGLCGVFKG -PLCCSDIDDFKRNVSDMIDKVHEEMKKFFHEPDPFGGLGTLGFYGSLLGHVIQWIPIIILVVLACFVCSW -MKR - ->YP_009019194.1 glycoprotein [ROUT virus] -MAGWTQLPLFSILLLMVPQLTLGTIGEMLSLAASGNSSICHGMQFTEPTQSFMGILPNESRPMFIFSIMH -SETVPKVGKTLKISHDMKLFGEEALNYMIFVNRIVFEPMKYHNISGSCRQQGFKACVRVYSDLVNNSRGF -PSVKLGFTANELNKTHRANPNNMGFKICISCRDHNGVRLVVYSKINRTAQLMMCPNELIYGFDFTVNSTS -TKTDEETVLPLLNVTGYSCVALHNKNMLTHHNLALSSGSKVDNTLEPGCDSNVGLFGHSTGTDYGWGLAN -FFSAGITNSLQISQLEHVTDAIACKIAKTSNYTTTALFLLNKEEGEIRDHVIEHEIALNYLLAHQGGLCN -IVKGPMCCSDIDDFRRNVSDMIDRVHEEMKKFYHEPDPFGGLGTWGFYGTMFGHILQWIPIMIMVIIVCF -VCNWVRR - ->YP_006590090.1 glycoprotein [Golden Gate virus] -MMSHIRLVLCSALLSMMSCPTSGTIGEMLSLAASSNSSICHGMQFTEPTESFMGILPNETLPMFIFSIMH -SEAVPKVGKTLRISHDMKLFGGEAVNYMIFVNKILYEPISYYNYTGSCRQQGLSSCVRVYSDLVNGSKGN -PSVKLGFTAIELNKTKNTDFHNLGFKICFSCRDHNGIRLVVYNKNNRTAQLMMCPSELIFSQDFTINSTS -VNPSEEAAVPLLNVTGYTCIALHNKNMLTHHSPALSSGSKVDNTLEPGCDSNVGLFGHSTGTDYGWGLAN -FFSAGITNSLQISQLEHVTDAIACKIAKTSNYTTTALFLLNKEEGEIRDHVIEHEVALNYLLAHQGGLCS -VVKGPMCCSDIDDFRRNVSDMIDKVHEEMKKFYHEPDPFGGLGTWGFYGTIFGHVLQWIPIIIMVVVVCF -VCSWVRK - ->YP_006590086.1 glycoprotein [CAS virus] -MHYPWLHSRGRTKCEAHTAKALIIILLTANCKALIAEMLQAAAGNDSSICHGMMFTEPVGSFLGINPNTT -DDPFELHALSLNQNVTDKIMIFQNGLKLFGSETRNYSITSGPGLLVGFFGHSRSGVYKNLTFTNNTTFKI -CVSCKSRFNITLVVYNKFTKKASTTICPDEFVRKKRSDVIVSYNLTCVILHNKNMITHHKTALNAEFSPR -GLQQLSTKNDVEPGCDSNVGIFGHSSGTDAGWGIAGFFTLGITNSLQISEIEHVTDAIACKIIKTANYTT -NALFLLNKEESEIRDHVVEHELALNYLLAHQGGLCNVVKGPMCCSDIDDFSKNVSDMIDKVHEEMKKFYH -EPDPFGGLGSIGFFGTLFGHILQWIPIILLTIFMCFICSWVKK - diff --git a/seq/clusters_seq/cluster_7 b/seq/clusters_seq/cluster_7 deleted file mode 100644 index ab501c3..0000000 --- a/seq/clusters_seq/cluster_7 +++ /dev/null @@ -1,1584 +0,0 @@ ->YP_010087203.1 P1-P2 fusion protein [Pumpkin polerovirus] -MNMRFVVFFFFSLSLCAATWPEQGTELIPPQKADFNSSFDGLLNAEWSSDQPRVRWIERTFHWPPQEQEE -ITCDYSYHTIFQKLSENIQEDGKRLWSGATQQFKDFSISIYNLSRALASDACTLLIDWMIYLWRSMLWGV -VWCVYQFVRTHFLRALTIAFLVACTACMAKCCKALFGLLAPFPLILLNTIKWICSIFYGMLTPFRNMRVR -KINCWEKQLKGWNSFEIPMSPPSKSVIEITYDNGSHCGYAVTVQTYKKTASGAFESAVLTSLHCLEGSDD -KFLFSRRTGSRISLAKCSTLAVWKSLDLCLLTPPENFQSTLGVKPCPMVCMDKLAGCEASFFRFNQEGVW -SMTNSKLLGALKDGSVAVLVNSLPGHSGAPFFNGKHVLGVLRGPDPENNYNIMNPIPAIEGLTVPVLRFE -TTAPTGKLFNDANLSKALSEEYARLKDYKPASGKAWADYDSDEEYYEAAAKDFNGPSTVQGKRAGQARLP -NQRGPSRLPENDAGNDGENDGQHFSGGHKRDCSGEACGKTIPHEQASPETYPPVSSAKQAEDFRHYFNSF -YKWDVCAPSSEVPGFRNCGYLPQFYRPRKKGETEWGKTLTKEYPALGAATRGFGWPEFGPAAELKSLRLQ -SARWRERASSATIPCQSERERVIAELTERYSSVKSCAPACARQEELSWEGFLEDIKSAIPFLSLDAGVGV -PYIAYGKTTLAQWVHDESLLPLVARLAFDRLKKMSEVSFEALSPEELVQAGLCDPIRVFVKGEPHKQSKL -DEGRYRLIMSVSMVDQLVARVLFQNQNKTEIALWRAIPSKPGLGLSTDEQITDFARNLSQYVKESRGDII -ERWHECVVPTDCSGFDWSVSSWMLEDDMVVRNNLTLNNTELTKRLRAAWLKCIANSVLCLSDGTLLAQEF -PGVQKSGSYNTSSSNSRIRVMASIYAGASWCIAMGDDALESSDTDLRVYSDLGLKVEVSDKLEFCSHHFK -SSSLAIPVNVGKMLYKLIHGYNIRPGCTPMEVIANYMSACYSVLNELRHVPEAIPLVSACLFSS - ->YP_010087204.1 P1 [Pumpkin polerovirus] -MNMRFVVFFFFSLSLCAATWPEQGTELIPPQKADFNSSFDGLLNAEWSSDQPRVRWIERTFHWPPQEQEE -ITCDYSYHTIFQKLSENIQEDGKRLWSGATQQFKDFSISIYNLSRALASDACTLLIDWMIYLWRSMLWGV -VWCVYQFVRTHFLRALTIAFLVACTACMAKCCKALFGLLAPFPLILLNTIKWICSIFYGMLTPFRNMRVR -KINCWEKQLKGWNSFEIPMSPPSKSVIEITYDNGSHCGYAVTVQTYKKTASGAFESAVLTSLHCLEGSDD -KFLFSRRTGSRISLAKCSTLAVWKSLDLCLLTPPENFQSTLGVKPCPMVCMDKLAGCEASFFRFNQEGVW -SMTNSKLLGALKDGSVAVLVNSLPGHSGAPFFNGKHVLGVLRGPDPENNYNIMNPIPAIEGLTVPVLRFE -TTAPTGKLFNDANLSKALSEEYARLKDYKPASGKAWADYDSDEEYYEAAAKDFNGPSTVQGNEQGRPDCQ -INGAPADFQKMMQEMMEKMMANISLEGIKETAAEKLAEKLFHMNKQAPKRTRRSRRPSKQRTSDTISTVS -TNGTYVPPHRKSPGSATAATCPNSTAPGKRARQNGGRPSPKSIPHWVRRQEGSAGPSSGRPRS - ->YP_010084332.1 P1 [Pepper vein yellows virus 2] -MRLQTIFFALFVLSSLSFSAANVPFYQGIHRTGTASGNRSESLNSLFTVELTSLLAGTERPRPPLGSKPI -VTSEPHEPTLRDALDLLWQVISRDSRRLSSKAQKSFQDSCAYGLTTAKAWLKGAFQSFLWTVVSLWSFGI -WVIVSWTFYLVTTFTIPVVCLALLYAFTTFMVVALRWMFTGWPTCLALLILKAGKIIFTVPKFRRNYSEE -KQVKGFISLKIPQSPPRGSVLLVQHEDNSHAGYASCVRLYDGTLALMTCHHVGTGVPGGKVTSTKTPNKI -PLRLFTPLISSEKGDFMLMSGPPNWESLLGCKGAHFVSASQLAKSKMRFFFIEKNEWMADHGEIVGPRDH -WFATTLCNSEPGHSGTPIFNGKTIVGVHAGGENEQNFNVMATIPPVPGLTTPRYVFETTAPQGRVFTDED -LSEMMKSVTSYPQLEKFKSHTGKNWADYEDFEAEVKSKPAPAPVAQEAKPKSGAEGTPPVSKSRQWYPRV -PESGNEKGRAACQTNSNPAPVQEKGKEKKVEAEKAPASSTPSKLPESADGGEKYLEKLLEKLVERIDLST -IEKKVVEVVAQKAMKKPQGSQRRRRPRRTSNDTSKEATNGRYQPPYKRSQGSNNAEASPSTTTQSKSKVV -NGAPSSSKNTLSWERKSPASAGQQ - ->YP_010086856.1 P1 protein [Faba bean polerovirus 1] -MAPTKLFLCSLFFFSCLLSMAASNYVEELSFFHIPANPKNDGRLTNSSLTMGYMPSNWVIEERPLPQIFK -SPLEEVTYTSIFKTLWQKISGDMQMSLNLAKTISKDYLTRGACISRDAISSFIQSSAWAITWLWSWILLR -TISWVLQVLINNTMLLVSLGLLGTCTVFMAKLLHLLFGSFSVWIVVPVWKTLRFLTSSSSKKGSKVKKEK -MVKGFGSYDMMMSPPKNCILEVMHDNGQHSGYASCVLLASGELALLTSFHLYEDADSVYSRKTGNKIPIG -EFKPIAGSQNGDLLLLAGPPNWTGLLGCKSVQLITMKSLAGGDARIFYLKNGEWYSGVAKIQGRNERHGF -NFVDVLSNTEPGFSGTPYFIGNKIAGVHTGGCAEDNVNLMAAIPSLDGITASRYVFETTAPKGKIFDQDL -WEELLEEFSMQEARTIMKRKAYIPEVTQEASPKTPLNGERGAVRGTTGNASTPMSKPEDGELMEKIMARL -VEKINTSHIEEEAARLIARKSKTNKPRRSRRRSNGQHGGEIKQTPSGSSSKPNTTGKYLPPQKRFQGSKL -AEKFPNSTTQSKNNRVDGVKKSSPSTQKWVEKSPVSAGQSLARRLN - ->YP_010086855.1 RNA-dependent RNA polymerase [Faba bean polerovirus 1] -MAPTKLFLCSLFFFSCLLSMAASNYVEELSFFHIPANPKNDGRLTNSSLTMGYMPSNWVIEERPLPQIFK -SPLEEVTYTSIFKTLWQKISGDMQMSLNLAKTISKDYLTRGACISRDAISSFIQSSAWAITWLWSWILLR -TISWVLQVLINNTMLLVSLGLLGTCTVFMAKLLHLLFGSFSVWIVVPVWKTLRFLTSSSSKKGSKVKKEK -MVKGFGSYDMMMSPPKNCILEVMHDNGQHSGYASCVLLASGELALLTSFHLYEDADSVYSRKTGNKIPIG -EFKPIAGSQNGDLLLLAGPPNWTGLLGCKSVQLITMKSLAGGDARIFYLKNGEWYSGVAKIQGRNERHGF -NFVDVLSNTEPGFSGTPYFIGNKIAGVHTGGCAEDNVNLMAAIPSLDGITASRYVFETTAPKGKIFDQDL -WEELLEEFSMQEARTIMKRKAYIPESHPGSFSQNSFKRGARRRPRNNRKRLHPHEQARRWRAYGENNGPI -GRENKHQSYRGGSRKTYCPEIQDKQAPPFSAQEQRATWRRDQANSFRQFFETQYNWEIPTTSKEVPGFEA -CGKIPQFYHPKQKQQGRWGEKVVAEHPEMGRKVSGFGWPEFGSEAELKSLQLQTARWLKRAESAKIPSAE -ARERVVRQTVEAYKNVKSHCPAVTRLGQLSWDQFQKSFQAAVHSLELDAGVGVPYIAYGLPTHKGWVENK -ERELLPVLAQLTFDRLKRMSEVNFEKLTAEELVQLGLCDPIRLFVKGEPHKQSKLDEGRYRLIMSVSLVD -QLVARVLFQEQNKLEINLWRAIPSKPGMGLSTDAQVADFLDSLAQHVQVPVEDLVYNWEKHVVPTDCSGF -DWSVTDWLLEDEMEVRNRLTQGNNALTRRLRGCWLKCISNSVLALSNGILYAQRVPGVQKSGSYNTSSSN -SRIRFMCSKYAGASWAIAMGDDALESVDTDLDVYKEIGLKVEVSGQLEFCSHIFEKPDLAVPVNVGKMLY -KLIYGYNPECGSIQVLRNYIDACTSVLNELRHDPELVALLHQWLLNPVLPQKI - ->YP_009198211.2 RNA-dependent RNA polymerase [Phasey bean mild yellows virus] -MNSFSVLFFFFCAFFCVAPVISGPGPVQPEWAASKAANSMWPDLQPGWGFTSPCPPCPVASCQFLLAQSS -LDVEQTSAPPTYKGLILDLWGLASSDAKTSFSAACLSLQNSWASTAVFLSRGFETAFRHLCWLAIYVWYS -LLRSIIVTSARFILNNSILALAGTSLFVCTALLVKLVRWIFGLMPIYLVFTFPISVGRWICQKRFWGKFS -RNYAEEKCCEQFLSFTINQDPPKKCQLVFQKEDGKHAGYGTCVRLFNGTNGLLTAYHVASNSSKVVSTRT -GNKIPLSQFKPLIVSPLYDQVLYAGPTEWESLLGCKGVNFAPAKTLGASKCNIYHIQKNGKWGCTNAEIE -GQLKHCEGNRXXKHCEGNRDVLPGQLSVLSNTEPGQSGAGYFNGKTLVAIHVGGSLEREDSCATYNVAVP -VLPKPGLTSPHYVFETTAPTSGVYDSKIFTALDEAVEQAERWVKMKLSKGETLWADLEDDLPYEVETNKT -AKAPPSSSSPTETSLDCEQSGKRDARLRPRNNRKDRIKPRKRGLRHPSKRYADWGTNNEDVGGENRFQYQ -PERSREGFCGSDQGTGPEETKPVITPAAKQERRQQWIAKTNNYRRFFDSQFNWEVCPSEQEEVAGFRFCG -KAPQWYHPKQKQEGGWGEEVCRXHPEMGEKTHGFGWPQFGPQAELKSLRLQAARWLQRAQSAEVPPLPER -ERVIKRLVSAYKQAQSINPVATMSGELLWEDFLESFKEAVSSLQLDAGVGVPYVALGKPTHRSLVEDPEM -LPVLARLTFDRLEKLSKGEICRLTPEELVREGYCDPIRVFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVA -RVLFQEQNKKEIQLWRVVPSKPGFGLSTDGQVLEFTEALAHKVGVTPQDLIENWKQYLVPTDCSGFDWSV -ADWMLDDDIEVRNRLTRGLTPVTALLRRNWKHCXANSVLCLSDGTLLAQCVPGVQKSGSYNTSSSNSRIR -VMSAYHCGATWCCAMGDDALESVDSNLEEYKRLGLKVEVSGKLEFCSHIFESPSLATPVNVGKMLYKLIY -GYNPGCENLEVTANYLAACFSVFNELRHDPELVRVLYEWLVLPVQSQNNT - ->YP_009198212.1 P1 protein [Phasey bean mild yellows virus] -MNSFSVLFFFFCAFFCVAPVISGPGPVQPEWAASKAANSMWPDLQPGWGFTSPCPPCPVASCQFLLAQSS -LDVEQTSAPPTYKGLILDLWGLASSDAKTSFSAACLSLQNSWASTAVFLSRGFETAFRHLCWLAIYVWYS -LLRSIIVTSARFILNNSILALAGTSLFVCTALLVKLVRWIFGLMPIYLVFTFPISVGRWICQKRFWGKFS -RNYAEEKCCEQFLSFTINQDPPKKCQLVFQKEDGKHAGYGTCVRLFNGTNGLLTAYHVASNSSKVVSTRT -GNKIPLSQFKPLIVSPLYDQVLYAGPTEWESLLGCKGVNFAPAKTLGASKCNIYHIQKNGKWGCTNAEIE -GQLKHCEGNRXXKHCEGNRDVLPGQLSVLSNTEPGQSGAGYFNGKTLVAIHVGGSLEREDSCATYNVAVP -VLPKPGLTSPHYVFETTAPTSGVYDSKIFTALDEAVEQAERWVKMKLSKGETLWADLEDDLPYEVETNKT -AKAPPSSSSPTETSLDCEQSGNEMRGSVRGTTGKTASNPGNAASATPVKDMPTGEQIMKMLVEKIASNIN -LKEVEKASVEAIKAQALKKPSRSSRRRRNKNGGNNGLPKQTTTAVSSTPSSTGKYVPPNRRKSPASGSAA -KPPNGTIPSKNKKEDGGKRSAGXIPRWVRKPTGSAGPSSAPKRN - ->YP_004869991.1 P1-2 fusion protein [Brassica yellows virus] -MNSRLIFLFALCSISFLSFSETTSTMTLGSPYLSLNQSSAPGSLYKRDMLPPPPQAVLTYTCQEPRPLVE -ESYNDLLRAISQKSSSDFQNVYSLALNISSDFCLLGLEMLKDASFLAVEKFLWGLTRLWSSLMLASFSAL -WWLMSNFTTPVFCLALLYTVTRFMVKMVSFLFGGLPIWIISIAFSLLKKSFSALRSTPKCLYEKAIDGFK -SFTIPQSPPKTCVIPITHASGNHAGYASCVKLYNGENALLTATHVLRDCPNAIAVSARGLQARIPLAEFK -TIAKSDKGDVTLLRGPPNWEGLLGCKAANVITAANLAKCKATIYSLEKDGWACGYAEIVGSDGTDAMVLS -QTEEGHSGSPYFNGKTVLGVHSGASKKRNYNLMAPIPSIPGLTSPTYVFETTAPQGRIFAQEDVAEIENL -YSGYKRLQNFKSKTGTNWGDMSEDDDIFFESKEDFSGKRSARRRPRNKRRRQLHPKDKQRRWERDDGENN -LISSGKDKSREHREESGRTDLRESDEDIKTPPQKSPKETAGEFERYFSSLYDWEVPTSPCEVPGFRHCGK -LPQYYHPKQKEESRWGKTLIGIHPALGEKTSGFGWPKFGPEAELKSLRLQASRWLERAQSAEIPSDAERE -RVIQKTADVYHPCQTNGPAATRGGTLTWNNFMIDFKQAVFSLEFDAGIGVPYIAYGKPTHRGWVEDQRLL -PVLAQLTFIRLQKMLEVNFEAMEPEELIRNGLCDPIRLFVKGEPHKQAKLDEGRYRLIMSVSLVDQLVAR -VLFQNQNKREIALWRAVPSKPGFGLSTDEQVLDFVKCLARQVGTTTSEVITNWKDHLTPTDCSGFDWSVA -DWMLQDDMIVRNRLTIDLNPVTERLRSCWLRCVSNSVLCLSDGTLLAQTHPGVQKSGSYNTSSSNSRIRV -MAAFHTGAAWAMAMGDDALESNPADLAAYKRLGFKVEVSGQLEFCSHIFRAPDLALPVNENKMIYKLIFG -YNPGSGNAEVVANYLAACFSVLNELRHDPASVELLYSWLVDPVLPQKISRE - ->YP_004869992.1 P1 [Brassica yellows virus] -MNSRLIFLFALCSISFLSFSETTSTMTLGSPYLSLNQSSAPGSLYKRDMLPPPPQAVLTYTCQEPRPLVE -ESYNDLLRAISQKSSSDFQNVYSLALNISSDFCLLGLEMLKDASFLAVEKFLWGLTRLWSSLMLASFSAL -WWLMSNFTTPVFCLALLYTVTRFMVKMVSFLFGGLPIWIISIAFSLLKKSFSALRSTPKCLYEKAIDGFK -SFTIPQSPPKTCVIPITHASGNHAGYASCVKLYNGENALLTATHVLRDCPNAIAVSARGLQARIPLAEFK -TIAKSDKGDVTLLRGPPNWEGLLGCKAANVITAANLAKCKATIYSLEKDGWACGYAEIVGSDGTDAMVLS -QTEEGHSGSPYFNGKTVLGVHSGASKKRNYNLMAPIPSIPGLTSPTYVFETTAPQGRIFAQEDVAEIENL -YSGYKRLQNFKSKTGTNWGDMSEDDDIFFESKEDFSGNGVRGADRETNGEGSSTPKTNNVDGKEMMEKII -SSLVGKINLENIEKKVVEQISAKAMKTSRPHRRRAPKKQPESSKDTSPHSTTGRYQPPHVRSPASVTAAS -CPNTTTPSKRKNHAGGRRSSGSIPHWVRKQAASAGPSSAPKQN - ->YP_009351865.1 P1-P2 [African eggplant yellowing virus] -MVKILLALFAFFSLFSLGTPSHLGLPLDGTLFRDSGNELIASPSTAELRHFPSINLSVLRPMRSPGRETL -DSKDLTFSDAYRLMWEVSLRDTKHLSLEATRILQNSFASGRATLTNCLEKALGALLWMIVWIWSSVIWAF -GYWTFYMVTTFTMPVVCLALLFAFTKFMVLAAEKMLAGWPVYLGKLFLRLLKTASTALFSKRNYVPEKSV -KGFISVKIPQSPPRGSVLLIQHDDGSHAGYASCVKLYDGTLALMTCEHVATGVEGGRVVSAKTRNKIPLN -LFTPLLISQKGDFALLSGPPNWESLLGCKGAMFVPASQLAKSKMRFYFVENEEWMADHGEVVSARDHWFA -TTLCNSEPGFSGTPIFNGKTIIGVHAGSEVEENSNLMSVIPPVPGLTTPQYVYETTAPQGRLFIDEDVSV -LLKSVKTRIPDIAKYVSVSGKNWADYRSDDDDDFFESSVTFEGETKPAPIAEPVTPPIQFGTVPEAPVGK -QQWVPRAQPSSGNRERQSCLPKQQHPSSEAKAHSGGKAKAKGPRNQHPAKAARIARKRAQAARAAEERAG -NVRKAHGENGGEDRPIRHRKESGASSCREGNEEAKRLSAQEIAENFEAHFGGLYEWEVSTSPQEIPGFER -CGCLPRYYFPKQIQGSSWGAQLIEEHPELGEKVAGFGWPLVGPRAEVTSLTLQAERWLQRAQSAKIPSTE -DRERVINKTVDAYKDVKTMGPTATRGNKLEWSQFLEDFKTAVNSLELDAGIGVPYISYGRPTHRGWVEDP -KLLPVLARLTFSRLQKMLEVEADLLTAEQLVQEGLCDPIRVFVKREPHKQSKLDEGRYRLIMSVSLVDQL -VARVLFQNQNKREIALWRCNPSKPGFGLSTDEQVLEFVETLAAQVEVTPEDLVASWEKYLVPTDCSGFDW -SVAEWMLHDDMVVRNKLTLDLNSTTEKLRKTWLRCISNSVLCLSDGTLLAQRVPGVQKSGSYNTSSSNSR -IRVMAAYHAGASWAIAMGDDALESVNTNLEVYKSLGFKVEVSGQLEFCSHIFRAPDLATPVNENKMLYKL -IYGYNVGCGNLEVVSNYIAACASVLNELRHDPDSVALLYQWLVDPVLPQKI - ->YP_009351866.1 P1 [African eggplant yellowing virus] -MVKILLALFAFFSLFSLGTPSHLGLPLDGTLFRDSGNELIASPSTAELRHFPSINLSVLRPMRSPGRETL -DSKDLTFSDAYRLMWEVSLRDTKHLSLEATRILQNSFASGRATLTNCLEKALGALLWMIVWIWSSVIWAF -GYWTFYMVTTFTMPVVCLALLFAFTKFMVLAAEKMLAGWPVYLGKLFLRLLKTASTALFSKRNYVPEKSV -KGFISVKIPQSPPRGSVLLIQHDDGSHAGYASCVKLYDGTLALMTCEHVATGVEGGRVVSAKTRNKIPLN -LFTPLLISQKGDFALLSGPPNWESLLGCKGAMFVPASQLAKSKMRFYFVENEEWMADHGEVVSARDHWFA -TTLCNSEPGFSGTPIFNGKTIIGVHAGSEVEENSNLMSVIPPVPGLTTPQYVYETTAPQGRLFIDEDVSV -LLKSVKTRIPDIAKYVSVSGKNWADYRSDDDDDFFESSVTFEGETKPAPIAEPVTPPIQFGTVPEAPVGK -QQWVPRAQPSSGNEKGRAVCQNNSTPAVRPKPTQEARPKQKAPATSTPPKQQESLVKEPKLPELPKSEQE -MFEKLMAKMVERIDLSAIEKKVVQVVAEKAMKKPKGSPRKRSRKTSKPTSEDSTNGRYQPPHKRSPASSV -ADASRATTSQSKSKEVVGAPSSSKNTQSWAKKSQASAGH - ->NP_620026.3 RNA-dependent RNA polymerase [Pea enation mosaic virus 1] -MASFLKPVNSQGLWLSLLLAITYLFLLPSAGQSLDPSGIGLAAGCSQSQGGISSFAALPRPCNDSVCTLP -DLGWSCQRTAQDTANQQQSPFNHTGHFLTTSGWTWPNWTCSPSQCQLLIHLPTWQIVKQDFLLLLKEWDL -LTMCQRCSDLLTKTPGFILRFAGETLILVANLIEFVLVSWSLWLCSVLVYVAQAVPGKFLLYMAAFCTTF -WAWPRETASSLIRIVTTPLTLIGFLNKTGIGLISHCLALTWNMFMTWSLLPWVTLMKMMKILITSSRVLT -RSGRPKRTSSKSLKHKLKISRAIQKKQGKKTPVEERTIPGVQIKKLREDPPKGVILRCTDQFGDHVGYAS -AVKLEKGQTGIVLPIHVWTDTVYINGPNGKLKMADFTALYEVTNHDSLIMTSAMAGWGSILGVRPRPLTT -IDAVKLKNYSLFTERDGKWYVQAAKCIAPAEGMFRVVSDTRPGDSGLPLFDMKMNVVAVHRGTWPSERFP -ENRAFAILPVPDLTSSSSPKFTGCETYSEAETAYEMADNFSDGEEILIRTKGQSYRTFIGSNKVALLSIR -KLEEELSRGPIGLWADDTEDDESAPRRSGKRIIPVDSGETKSSEDPLPKGRGVSSTPSRSKSRKGKACPS -FRNDAGTEESRQPQEEKGQSCQEDSLNSTQEIQGQSTHFVPSSGTGRKSCESSPHRPTTKITSIFEDFYR -WKEPREEAPGFNSVGSCPFTVYKCPPKGLSSWGERVARTSAFLQACTEKYSWPETGAEAELSSLRYQAAR -RQSAQTTAVIPPKDVREDLIKRTTEAYRSTALPAPMWAHNFDESHMRFEFWECVRKLKGQAGSGVPYAAF -SGRKTNDKWVFDHESTEDLWETVRDRLFRLLNQDFIDPVQAVKDGLVDPIRLFVKLEPHKMEKIRNKRYR -LIASVSIVDQLVARMLFRDQNEEELLQHMAIPSKPGLGFSQDHQVLAFTESVAALAGTSAQDLVDNWSRY -LTPTDCSGFDWSVPMWLLEDDLAVRNELTLGLPHGLRKMRETWLKCLGQSVFCLSNGLLLAQTSPGIQKS -GSFNTSSTNSRMRYMLALYAGASWAVTMGDDALESVGSDLSQYARLGIKCERAEEFDFCSHLFRAPDVVI -PKNLEKMVYGLLSGTSPESPLLADRFSWLSALQSILEEMRHMPQDFVNMLIEHLGVGDLVE - ->YP_009373263.2 RNA-dependent RNA polymerase [Grapevine enamovirus 1] -MSPNQILIMIVALPLFFISLASSCSHIRQVGAAPLEGTLPMTPRSSAVFHLFADSEPKTMTSWEDLEPDL -ELSIPNPSLYTLLKDAVQESRTQGCATFEMRVNDYSLTLDGTYICIARAMGVTVFKWLLACRTTQYLMSF -PGLIQEILLTSCGALLGLLLWLIDGLHICFEVALTTYFWFVGYLIYAAVMYKPTTTMTLMGMVWLHCWAT -SQPIVRFLVRRLMWPILTTLTSIHWALLTPMRMMISILTRLVLKSPQKSNPTTGVDSSPELCHGENCSCK -TWEVVGERKESVMQGVHSLCLPAEPPKGCIAHFDYEDGSHCGFGVCVKLQSGKVAIAVPCHVLKNATSVW -GPKGAIDVKDFTPLYLDGKGDMALITGAANWQSLCGFKPRRMLPITQVHTGPHNMFFQNGKGSWFNQPAM -ILGRNGVALRVKYNSDYGFSGLPIFDDRSRVVALHVGADEVLPGAYENKAGFLLDFPGVTTSTMSYKPES -AYKDSALARYVDDFDYEVTDKPIKVKVGKIWYGIVLSGADQKKPDVWADADDEDLDEYLDYIGSKMHTES -APFSRSLNCAPLHRQGKSNGSCRACERGSQGSQSCGTQAGNDLHPTQRGGKGGQGCPANTRGGEEAETLP -QTSQIGFNGGGREGGVQESGASQEQGQEGQEIVQGGQSRTGGAPIRHLQAENSGPPTQVNPDCTGNRVSW -FVQRFSNMAERFRVWNQLAQNRGRGYQEPITKANSWTAIEEVFKGFYNLEAKSKHQEGEPPEGFKWAGRC -KANFWPAQGTDWSEWGARKLEASEWLRRKVEGYGWPSFGAEAELRSLRLQAARRAARSKSAVLPSNEKRE -RVIKETVSEYAHTAQRSPDWIRNGLNWRLARLYFGECLNSMTMDSGAGVPYASFLNRKINQKWLDSEDKV -EAVWDLVRARLERMLTYSWVSPEQAVLDGVCDPVRVFVKGEPHKVAKLHEGRFRIIASVSMVDQLVARML -FQSQNKQELRMWFSIPSKPGMGLSTDDQVREFLDSLVAVSGAPSADALVADWQKWCVPTDCSGFDWSVPM -WLLEDDMECRNRLTAEITQDLITLRSVWLKCIGNSLFSLSDGCLLAQTTPGIQKSGSYNTSSSNSRMRVL -MSKHAGAKWCVAMGDDAIESTDTNLSAYEEMGFKCEAADKFDFCSHIFHSAKVALPTNVGKMLVGPLCGV -SPESQSQQDRIRWLMSVGSILQELRHLPPEDLAELHSALGL - ->YP_009249823.2 RNA-dependent RNA polymerase [Alfalfa enamovirus 1] -MAYMQKPESSMGPWPLLLLAIICSFLLPSAGHTLGRSGTDPAVGCSLSQGETSSSADLPQFCADFGYMPP -DLDWSYVKTVQGVREQPQYPSNHTGPFQTISGWTWPNWTCSPLQCHLQIYLPSWQVVRQDILHLLKVWDL -LTIYQRCSELLTRTPGYILRFAGETLILVATLIEHVLVYWNLWLYSVLLYVVQALPGRFLLYMGALSIVS -WVWPKKTASYLVQLTTTPLITIRYLNSTGIALISLCLAWTWNTLMTWSLMPWVMIVKVMRTLMIISRFFV -RERGSKKKKVSSKSYKAKLKVARSVQRKKGSQKKTPVEERTIPGVQIKKLREDPPKGVILRCTDQFGDHV -GYASAVKLEKGLTGIVLPIHVWADSVFITGPNGKMKMADFSALYECIPHDSLIMTSAVNGWGSKLGVRPR -PLATIEAVKLKNYSLFTERDGKWLVQAAKLIAPAEGMFRVVSETAPGDSGLPLFDMKMNVVAVHRGTWPA -EKFPENRAFAILPVPDLKTPSSPKFTGCETFSETESAYDMAEGFSDGEEVVVKTKGKAYKTFIGLNRVAT -LSETALREELSRGPIGVWADIEDDESAPKRSGKWTKPVDSGETKSCEEDLSKGRGVSSTLPRPCKRRGRK -AKAPQAVRNDPRTEESRQPKEEEVKSCQEDSNPKEDPGQGTYTVPSGRISREGCQSSPYSAATKISSIFE -GFYRWKEPREEAPGFNAVGSCPFTVYKCPPKGLSRWGERVARASSFLQACTEKYSWPETGAEAELSSLRY -QAARRQSAQTTAVIPPKDVREDLIKRTTEAYRSTALPAPMWAHNFDESHMRFEFWECVRKLKGQAGSGVP -YAAFSNRRTNDKWVFDHESTEDLWETVRDRLFRLLNGEFIDPVQAVKDGLVDPIRLFVKLEPHKMEKIRN -KRYRLIASVSIVDQLVARMLFREQNEEELLQHMAIPSKPGLGFSQDRQVLAFTESVAALAGTTADDLVEN -WSRYLTPTDCSGFDWSVPMWLLEDDLAVRNELTLGLPYGLRKMRETWLKCLGQSVFCLSNGLLLAQTSPG -IQKSGSFNTSSTNSRMRYMLALYAGADWAVTMGDDALESVNSDLSQYARLGIKCERAEEFDFCSHLFRAP -DVIIPKNLEKMVYGLLSGTSPESPLLADRFCWLASLQSILEEMRHMPQEFVDMLIEHLGVGHLVE - ->YP_009465710.1 replicase polyprotein [Pepper enamovirus] -MSNFTVAALTVPLLLTLHLWLTCLCGATHTREPDVLEWTLNPPHFPAGSFSWDLPRSPLHCAYTVPDWAQ -SCPTVSSGQMGEWLFQSRLPNPSLLFSIGQFLEVEEDSGNLELTLRFPSPGKIILRTGLDRLPGFITRSW -LTCVRVANRLPSLFLEGCGVAIIRLARIAEGEVFIWASSLAVLLWQCLLQAKLRTISWLGAASVAWFLWE -SKTVRTLVKVLLLPLWVHYQLMLLAPRAIILLARALRNLSLRSLAFSTSLLSSRTQRRKKRAGMVLGPRK -SLRKKNTKERALKGFNPTFISQDPPKNVIGLMLEEGDQIGYCSAVKLQSGERALMTAWHVWKHATSVRGP -SGNKLSTGQFELLAYSQSKQLDFVLAKGPSGWESVLGFKARPLLPANDLSKGRCVFFTQHQRDATRDPGK -WWAHAANVLEFDGEDVLIECLSHPGDSGLPIFSQQGTKITTMLLGGRKGQEVNRAAAIPPIPGLTVPDSK -VFKTTESLYVANRVVDIEEDLDDPVREFDLVVGGKPLKIKSRKGGITIASLRDEAFVPKGKDWAEWAEEP -DSEDECDRPGNGQPQITPLGEQKGTACPPPAEQGMPAKAEEAVSTSSLERQEATPPSRTEESSKDEGAES -RAEEGIPRAPKGQTWQPLSDQAQGPSLVGGEHRESGGLRQAGEEGRGDSPRPIGREQSPTALEKAFTGFY -RWWEPELAAPGFEFVGSCSYKAFKCAPGSLSGWGLERVLQSDFLGRCCEEYGWPETGAEAELSSLRFQAA -RRQAAKTNSAVPSDSEREQVIARTVEAYRESAVCADRWTLSGDERLMRIAFADCVRSMKAEAGCGVPYAA -FVGRKTHESWCYDDDSYDKLQELVFARLKRLSEFTFQGPIQAIEDGLCDPVRLFVKPEPHKREKIRNKRF -RLIASISIVDQLVARMLFRLQNERELELHMQIPSKPGLGFTKDSQVLSFVENLACLASTTPKSLVENWAE -YVVPTDCSGFDWSVQAWMLEDELEVRNRLTQGITPQLRKMRKEWLNCLLQSVFCLSNGLLVAQTEPGIQK -SGSFNTSSSNSRVRYMASLYAGAPWCVTMGDDALEGVGTNLARYEALGLKCERADDFDFCSHLFRAPSEV -VPKNVSKMVFGLLCGVSPVHESSLAKFTWWQAYDSIMEEMRHLNPALLREIQEALGTFHDDQE - ->YP_009455740.1 P2 [Pepper vein yellows virus 5] -MQLQTIFFALFVLSSLSFSAANVPFYQGIHRTGTASGNGSESLSSLFTVELTSLLAGTERPFPPLGSKPI -ATSEPHEPTLKDALDLLWQVISRDSGRLYSTARVNFQNSCASGLATAKRWLREAFQSFLWAVISLWSLCI -WVIVSWTFYLVTTFTIPVVCLALLYAFTTFMVMVLLWMFSGWPTCLARLILKAGKTIFTVPRFRRNYSEE -KQVKGFISLKIPQSPPRGSVLLVQHEDNSHAGYASCVRLYDGTLALMTCHHVGTGVPGGKVTSTKTPNKI -PLRLFTPLISSEKGDFMLMSGPPNWESLLGCKGAHFVSASQLAKSKMRFFFIEKNEWMADHGEIVGPRDH -WFATTLCNSEPGHSGTPIFNGKTIVGVHAGGENEQNFNVMSTIPPVPGLTTPQYVFETTAPQGRVFTDED -LSEMMKSVTSYPQLEKFKSHTGKNWADDEDFEVEVKSKPTPAPVAQEAKPKSGAEGTPPVSKIPAVVSTC -FRVGKRERQSCLPNQQQPCPSTREGQGEEGRGRKGPRIVHPLKASRISRRRRKIFREAFGEASGEDRSLH -YRKESSGSSGPESHEETPGKPAAQTTAENFQRYFEGSYKWEVSAPSQEIPGFQQCGSLPQYYHPKQIKGS -EWGTQLIQEHPELGEKVSGFGWPTVGPQAEVTSLTLQAERWLQRAQLAKIPSSEDRERVINKTVEAYSNV -KTFGPTATRGNKLEWRQFLEDFKSAVFSLELDAGIGVPYIAYGRPTHKGWVEDPKLLPVLARLTFNRLQK -MLEVESSDMSAEELVQVGLCDPIRTFVKREPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIAL -WRANPSKPGFGLSTDEQVLEFVQALAAQVEVPPEEVITSWEKYLVPTDCSGFDWSVAEWMLHDDMVVRNK -LTLDLNPTTEKLRSVWLKCICNSVLCLSDGTLLAQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGADWAMA -MGDDALESVNTNLEVYKDLGFKVEVSGQLEFCSHIFRAPDLALPVNERKMLYKLIFGYNPGSGNLEVISN -YIAACVSVLNELRHDPDSVALLHQWLVSPVLPQNN - ->YP_009455741.1 P1 [Pepper vein yellows virus 5] -MQLQTIFFALFVLSSLSFSAANVPFYQGIHRTGTASGNGSESLSSLFTVELTSLLAGTERPFPPLGSKPI -ATSEPHEPTLKDALDLLWQVISRDSGRLYSTARVNFQNSCASGLATAKRWLREAFQSFLWAVISLWSLCI -WVIVSWTFYLVTTFTIPVVCLALLYAFTTFMVMVLLWMFSGWPTCLARLILKAGKTIFTVPRFRRNYSEE -KQVKGFISLKIPQSPPRGSVLLVQHEDNSHAGYASCVRLYDGTLALMTCHHVGTGVPGGKVTSTKTPNKI -PLRLFTPLISSEKGDFMLMSGPPNWESLLGCKGAHFVSASQLAKSKMRFFFIEKNEWMADHGEIVGPRDH -WFATTLCNSEPGHSGTPIFNGKTIVGVHAGGENEQNFNVMSTIPPVPGLTTPQYVFETTAPQGRVFTDED -LSEMMKSVTSYPQLEKFKSHTGKNWADDEDFEVEVKSKPTPAPVAQEAKPKSGAEGTPPVSKSRQWYPRV -SESGNEKGRAACQTNSNPAPVQEKGKEKRAEAEKAPASSTPSKLPESADGGEKYLEKLLEKLVERIDLST -IEKKVVEVVAQKAMKKPQGSQRRRRPRRTSNDTSKEATNGRYQPPHKRSQGFNNAEASPSTTTQNKSKVA -SGAPSSSKNTLSWERKSPASAGQQ - ->YP_009407908.1 P1 protein [Wheat leaf yellowing-associated virus] -MARFTNGVWLCALICALLFQSYSCLEQPSTLSLYQQMGYSGSPSTYGWLDSNNITELLAVVEGASFTISS -PMDPLNLTYGELFGHLSCKVSNDLKELRKEVSSLLKQHSTSTFCLLSEYTKSFVESLLWTMIKLYLSSLG -MMIYLMGMILKTTLWKPVLVCAFLVAVSTMTYWMLRKICSYIPLWWVGAPLIAPFMIIRWLAKQLIKSVF -GESSGDEKMVAGFKSFSVAMSPPGNSILEILHANDSHMGYATCVKLVNGEDALLTATHCCGPDMKIRSLR -NGYKIPMREFQIMFTSQELDITILRGPPEWKSLLGAKAVSFTPVNQLNKGPVSLYTYEDGWLMHNAKVVG -SDKKYASVLSNTEKGYSGTPYWNGKTVIGVHKGFNYGDSSKNYNLMAPIPPIKGLTAPAYVYESPALQGD -AFSEQEIEEIADHAAEIYEKATSAYTWKPRDGKHWWEMVEEEEEFEAASNPSSLPIQGNDQRGADHVEKP -ESTLAKPDDSTEMLKEIVAQLVKNIDMTSITKRVEEKLLSQAQNSHQELKGRKKSQNTQKTSKNSSKLPT -TGKKENATSQGSGVQAASQRYTIPQNRKAKNGGSASSQSTQKWRPKSPVSGGQSSAHKPNRQV - ->YP_009407907.1 P1-P2 fusion protein [Wheat leaf yellowing-associated virus] -MARFTNGVWLCALICALLFQSYSCLEQPSTLSLYQQMGYSGSPSTYGWLDSNNITELLAVVEGASFTISS -PMDPLNLTYGELFGHLSCKVSNDLKELRKEVSSLLKQHSTSTFCLLSEYTKSFVESLLWTMIKLYLSSLG -MMIYLMGMILKTTLWKPVLVCAFLVAVSTMTYWMLRKICSYIPLWWVGAPLIAPFMIIRWLAKQLIKSVF -GESSGDEKMVAGFKSFSVAMSPPGNSILEILHANDSHMGYATCVKLVNGEDALLTATHCCGPDMKIRSLR -NGYKIPMREFQIMFTSQELDITILRGPPEWKSLLGAKAVSFTPVNQLNKGPVSLYTYEDGWLMHNAKVVG -SDKKYASVLSNTEKGYSGTPYWNGKTVIGVHKGFNYGDSSKNYNLMAPIPPIKGLTAPAYVYESPALQGD -AFSEQEIEEIADHAAEIYEKATSAYTWKPRDGKHWWEMVEEEEEFEAASNPSSLPIQGKRPAWRRPRRKT -GIHPCQARRLYRNAQRDRCTVGEEHRHDVYNEEGGGETPEPSTEQSSGVKREEEIAEHTKDLEEFFQAAY -DWEEGECDIPGFRRSGSLPALYYPPKPKSKEWGERVISEHPEMAAKVAGFGWPKFGAQAEQTSLRLQAAR -WLQRAESAKVPSKQQRKLVIDRVVKAYEPCKTNAPHTSSSGTLSWNNFLKDFKEAVNSLELDAGIGLPYK -LLRKDTHRQMVEDPHYLPLLTRLTWNRLQKMSQVDPSEYTPEQLVKEGLCDPIRLFIKGEPHKQSKLDEG -RYRLIMSVSLVDQLVARVLFQNQNKREISLWREIPSKPGFGLSTDRDTREFLESLSKVVGCSTGKIISDW -KDKIIPTDCSGFDWSVADWMLEDDMEVRNALTINNNQLTRHLRKVWLKCITNSVLCTSDGALYAQTYPGV -QKSGSYNTSSSNSRIRVLAAYHCGADWAIAMGDDALESPTTKLEKYKELGFKVEVSSQLEFCSHVFEQED -LARPINVNKMLYRLIYGYNPACGNPEVLCNYLQAVASVLNELRHDPQLVAALYKCLVPGASTKEQ - ->YP_009373264.1 P1 protein [Grapevine enamovirus 1] -MSPNQILIMIVALPLFFISLASSCSHIRQVGAAPLEGTLPMTPRSSAVFHLFADSEPKTMTSWEDLEPDL -ELSIPNPSLYTLLKDAVQESRTQGCATFEMRVNDYSLTLDGTYICIARAMGVTVFKWLLACRTTQYLMSF -PGLIQEILLTSCGALLGLLLWLIDGLHICFEVALTTYFWFVGYLIYAAVMYKPTTTMTLMGMVWLHCWAT -SQPIVRFLVRRLMWPILTTLTSIHWALLTPMRMMISILTRLVLKSPQKSNPTTGVDSSPELCHGENCSCK -TWEVVGERKESVMQGVHSLCLPAEPPKGCIAHFDYEDGSHCGFGVCVKLQSGKVAIAVPCHVLKNATSVW -GPKGAIDVKDFTPLYLDGKGDMALITGAANWQSLCGFKPRRMLPITQVHTGPHNMFFQNGKGSWFNQPAM -ILGRNGVALRVKYNSDYGFSGLPIFDDRSRVVALHVGADEVLPGAYENKAGFLLDFPGVTTSTMSYKPES -AYKDSALARYVDDFDYEVTDKPIKVKVGKIWYGIVLSGADQKKPDVWADADDEDLDEYLDYIGSKMHTES -APFSRSLNCAPLHRQGNQTVVAEPVKEALKDPKAVEPRPAMISTPHKEEVKADRDVQQTPVVEKKQKLSR -RQAKLASMAVEEREAYKKAERARSKAKRGKKLSKEAKAELEEHPFDISKPKTPAPPPKSTPIAQATESAG -SSKDSPIWLNGSESGINWRRIEDEVIKNLSQKPIAGPQSKRSSRGSTTWKRNQSTKKVNPQKVSNGQEDV -RPTFGLPKGQTGVSGEPGSLRPQSGLDVKSKAMVGQVSEQRQS - ->YP_009352889.1 ORF2 [Tobacco virus 2] -MSEANFESMTPEELVQEGLCDPIRLFVKGEPHKQSKLDEGRYRLIMSVSLIDQLVARVLFQSQNKKEIAL -WRSIPSKPGFGLSTDFQTTEFLECLKQEAGAPSMEKLCENYREYLRPTDCSGFDWSVAFWMLEDDMEVRN -RLTYNNTELTKRLRAAWLKCIGNSVLCLSDGTLLAQQVPGVQKSGSYNTSSSNSRIRVMAAYHCGADWAV -AMGDDALESPHSNLEEYKNLGFKVEVSRELEFCSHIFRTPTLAIPVNTNKMLYKLIHGYNPECGNPEVIA -NYLAAVLSVLHELRYDPELVARLHKWLAPSATTKEH - ->YP_009352888.1 ORF1 [Tobacco virus 2] -MNKSITFLVVFSIYSLCSADAKVGYHHPAYLYRGTGTANVSNGGYYAAPIPLYKLGTLPSPSKLVSPPPL -QLTDASYQELIQTLTSKMRADFTTLGDKTWQHLSKMLCVSLKSAKDATRSAVSTLLWTIISIWFGVYWTL -AKILAIFLWTFSIQSFCIILLCFITSLIFKAVKYCFESLPVYLFTYPAKLIWRAAFSKRNYKDEKAVEGF -KGFVVPQKPPKSAVIELQHENGSHLGYANCIRLYSGENALVTAEHCLQGAYAASMKTGNRIPMSSFTPIY -KSNPRDIAIMAGPPNWEGLLAVKGASFQTADKIGRGPASFFTLEKGEWMCNNAQIDGSHDKFVTVLCNTE -AGCSGTGFWSSKTLLGVLKGYPLEEGCNYNVISVIPSIPGLTSPNYVFESTTIRGRVFSAEAIEEMEREA -MEAVRKLLSFKSQTGKNWADYSDDEEYGDEKKAAEAPKKKAQAPKEETKEVPAEKTAQTNPQASLNGVAA -PSAITTGTSATPSGATSAPPMDKMVEQIITAMVGRINLSEVERKIVERVSEKALKKPTQSKRGRRGGKNK -PDNSSPISKPSTRGAPRKVQLPQASKDAVGPRVTTTPVPEVKPNGGANSAKFIPSWRIKQQASAGRKPEH -KQNSKA - ->YP_009352253.1 P1 protein [Cowpea polerovirus 2] -MNSFCAVLFFFCLFFCAAPKILGSESQAISLAWSVPSNFTLHGLQRDWGFTPPLSPVYKEQCLLGCQTWS -SPGTGEKPPLSYRGIMLDLWELVSRDAKTSYSAVSDTLNSSFKLTADFLITSTEEIFRSLCWFLIFVWYS -LLRMIIVSVWHFVTRNFTLALAAAWLFILSVALAKTVRWFSGLMPTFLVAIFFSIPRWCLRRLFWGKSSR -NYEEEKCCEQFLSFTINQDPPKRSQLVFQTEEGKHAGYGTCVRLYNGTNGLLTAYHVASSASKVVSTRTG -NKIPLSSFKPLMVSTLYDQVLYAGPVEWESILGCKGVNFAPAKSLGASDCNIFHIQENGKWGCSNATIEG -QLKHHGKENGVVLKTLPGQLSVLSHTVKGQSGAGYYNGKTLVAVHVGGSLECEDEDATYNVAVPVLPKAG -ITAPNYVFETSAPTSGVFDCYAIDELSRAYDKAVEWMNSKREKGEVLWADMEDEIFYEAETSAPKPEPVS -GNGKRGADRRTTGKSVQTPGSAVSATPVKDIPTGEQIMTMLVERIANNINLKEVERASIKAIQEHALKKP -SRSSRRRKNKKQDGNNGGPKLQTTAASSTLNSSGRYQPPHKRSPASGSVAKPLNGTTQNRNNKVNGGKRS -SGNIPTWVRKPKASGGPSSVRKPN - ->YP_009352252.1 P1-P2 fusion protein [Cowpea polerovirus 2] -MNSFCAVLFFFCLFFCAAPKILGSESQAISLAWSVPSNFTLHGLQRDWGFTPPLSPVYKEQCLLGCQTWS -SPGTGEKPPLSYRGIMLDLWELVSRDAKTSYSAVSDTLNSSFKLTADFLITSTEEIFRSLCWFLIFVWYS -LLRMIIVSVWHFVTRNFTLALAAAWLFILSVALAKTVRWFSGLMPTFLVAIFFSIPRWCLRRLFWGKSSR -NYEEEKCCEQFLSFTINQDPPKRSQLVFQTEEGKHAGYGTCVRLYNGTNGLLTAYHVASSASKVVSTRTG -NKIPLSSFKPLMVSTLYDQVLYAGPVEWESILGCKGVNFAPAKSLGASDCNIFHIQENGKWGCSNATIEG -QLKHHGKENGVVLKTLPGQLSVLSHTVKGQSGAGYYNGKTLVAVHVGGSLECEDEDATYNVAVPVLPKAG -ITAPNYVFETSAPTSGVFDCYAIDELSRAYDKAVEWMNSKREKGEVLWADMEDEIFYEAETSAPKPEPVS -GKREARRRPQNNRKIRANSRKRGLRHPGKRYSNWGTNNDYVGGADRQQYQPEGGGEGLHQGDPRTCPEET -EPVFPTKEKQEARRQQWRSKAANYRRFFDTQFKWEISTPPQEVPGFRFCGKAPQWYHPKQKQQGEWGEEV -IRKHPDLGEKTQGFGWPQFGPQAELKSLRLQASRWLDRAQSAQVPSSTERERVIKRLVSSYKQAQSVNPV -ATMSGELLWDDFLQSFKEAVSSLQLDAGVGVPYVALGKPTHRSLVEDPEMLPVLARLTFDRLEKLSKEGV -CRLTPEELVKQGLCDPIRVFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQEQNKKEIALWRVVPS -KPGFGLSTDKQVLEFTEALAHKVGVTPQVLIEEWKDHLVPTDCSGFDWSVADWMLEDDIEVRNRLTRGLT -PVTATMRRNWKHCIANSVLCLSDGTLLAQTVPGVQKSGSYNTSSTNSRVRIMCAYHCGATWCCAMGDDAL -ESVDSNLEEYKRLGLKVEVSGKLEFCSHIFESPSLATPVNVGKMLYKLIFGYNPGCGNLEVIANYLAACF -SVFNELRHDPELVLQLYEWLVLPVQPQNIS - ->YP_009352246.1 P1 protein [Cowpea polerovirus 1] -MTSSVVLFFFFLLSCVGSTSTMPGVLTGQHSLDFPISWELPWNQTSVEHRGFLEPPTPPAKTEQYVERTY -SALALGLWELLLSDTQKYCQEGDIILQHSWQYACETFNKTTLILFEGVLELLIRVWSFVIFAIFYTAWRF -ITQWTMHALLLLCLGLLTKISIRTLRFVLGDWLVSLIAALIVAIIKLPWTCFKTVFFKPFSGIKPEMAVK -GFVTHDIHMKPPRDSQLLMAWDDGSHAGYATCIRLYNGTNALVTAAHCRKHEGFVVSTKTKNRIPINAFK -PLCVDVKNDFALFEGPMEWESLLGCKAVAATPMYLIRKGSASHYRYVNGEWFQRYAQIGEIVSPAHSNKY -ALQVLSNTDEGDSGAGYFVGKNLVGIHLGASLTDNHNAMLPILPIPGLTIPDYQLETTAPEGKVFTSSIY -EEALKATERTMKFIANLRSKGKEVWSDLVDDLDFDPEAAPRVHPESSAKRSGNARRGTDREPTGKVAPSH -ASNTPTTDTDISSSSMLEAIVKAVVAKIDTASIEKAVIDRVSTKTTKPRRRQRRNKQKNTAPTSPPSIAG -VKTQRPPKPPVSKPLAASPNITSQNRKGSRSSGGSSSGNTHTWRPKQRGSVGPSSARKPNSRH - ->YP_009352245.1 P1-P2 fusion protein [Cowpea polerovirus 1] -MTSSVVLFFFFLLSCVGSTSTMPGVLTGQHSLDFPISWELPWNQTSVEHRGFLEPPTPPAKTEQYVERTY -SALALGLWELLLSDTQKYCQEGDIILQHSWQYACETFNKTTLILFEGVLELLIRVWSFVIFAIFYTAWRF -ITQWTMHALLLLCLGLLTKISIRTLRFVLGDWLVSLIAALIVAIIKLPWTCFKTVFFKPFSGIKPEMAVK -GFVTHDIHMKPPRDSQLLMAWDDGSHAGYATCIRLYNGTNALVTAAHCRKHEGFVVSTKTKNRIPINAFK -PLCVDVKNDFALFEGPMEWESLLGCKAVAATPMYLIRKGSASHYRYVNGEWFQRYAQIGEIVSPAHSNKY -ALQVLSNTDEGDSGAGYFVGKNLVGIHLGASLTDNHNAMLPILPIPGLTIPDYQLETTAPEGKVFTSSIY -EEALKATERTMKFIANLRSKGKEVWSDLVDDLDFDPEAAPRVHPESSAKRSGKRQARHRPRTNRQGRTLT -RLKHPYNRYGYKLQFYAGSDCKGGGGEDRHRFHRESGDRQGVDQDHQAPAKTKAQQAEEYCAYFASLYRW -SENPAPSEAPGFKTVGRLPKYYFAKQKGEPEFGRELVRKHPYLATKTEGFGWPQFGAEAELTSLILQAGR -WRSRAAGTEIPSSQARERVINRTVQAYQAVRTPCPIIASSGKLEWSTFLLGMEEAIRSLELDAGVGVPYI -SLKRPTHRGMIEDPQLLPVVTHLVFSRLQKMLEMDFESMTPVELVQNGLCDPIRLFVKGEPHKKAKLEEG -RYRLIMSVSLVDQLVARLLFQDQNKREIELWRAVPSKPGFGLSTDSQVQEFVEVLARQVGLPSEEVITSW -RNHLIPTDCSGFDWSVQDWMLQDEMEVRNRLTLNNNDCLRRLRSGWLKCLSNSVLCLSDGTLLSQEFPGI -QKSGSYNTSSSNSRIRVMCAFHTGASWCMAMGDDALESVDGDLSRYSELGLKVERSEQLEFCSHIFESPS -RAIPVNANKMLYKLIYGYNPGSGNVEVITNYLTACLSILNELRHDPSLVEQLYRDLFVPVEAQKNSGRH - ->YP_009337731.1 hypothetical protein 3 [Hubei polero-like virus 2] -MYSTVQTSSPDLVGYTETGHLTLSWEKFQEHFKVAVHSLELDAGIGIPYIKYRRPTHRGWVEDPELLPVL -AQMTFDRLQKMSKVFNNNLTPEECVQLGLCDPIRVFIKNEPHKQSKLDEGRYRLIMSVSLVDQLVARILF -QRQNKMEIELWRSIPSKPGFGLSTDEQTLEFIKLLSKQANIEAAELLTNWADYVVPTDCSGFDWSVSQWM -LEDEMEVRNRLQSHYSGHTEKQNFNLQQVWLHCLGNSVLALSDGRLLAQEVSGVQKSGSYNTSSTNSRIR -VMAALHCGASWAIAMGDDAIESNDSNLELYAQLGFKVEVSTKLEFCSHIFEKLNLAIPINKGKMLYKLIF -GYDPGCGNVEVVTNYLNALWSVLHELRYDPDLVSRLHKWLVPV - ->YP_009337730.1 hypothetical protein 2 [Hubei polero-like virus 2] -MSPFFLALLLLSSLCSSTANVASHEAAYTRFLAPRPEPILNGGYTSGFSPNLYCPPMECSLICENPPLRE -LTAESYEVLLTTLSAKAYVDTQNAYSWGLNTSAKYCRMLFDIGAQTLDKCVSTVLWTAVSFWQLLALVIF -SLIKYLLTRQTIPFLLLTLLTILTKLIMNMVVRAFGNLPSWIVSASCFLPRKLYRSLACSKNFINEKAIQ -GFTSYTIPQSPPKSSVLEIIHSDGSHLGYATCIILHNGETALMTANHCVCEGAKIRGPKTGNLIPISEFR -AKIQDSESDFALFSGPPSWESLLGCKGAQTVTSKHLAICAATFYRLSDNGWVSSSAKLIGNHGNRVKILS -NTQHGDSGTPYWNGKAVLGVHTGSPAGNNDNFNLMTPIPHIPGLTAPIYVYESTAPQGKIFFQDLEVPEY -REDFTIDEEDEYYETMEKIRFYSRTGVKPRYVKGDIDDMILECSAGDHVISDYLYDVETDESFPKWIDRL -ICAKLGNQTFATTMQQDITHHSLGDISRAMKKYGANKLKIKEFKKIHMEQAVLEKTNKGKQPIEESTQSE -TSEFSDDSFFTDQNVEHIETEKPFIKESLNSQGSTDCPTTGTKVAGETASTPVITPKSGEKMMEEILSTL -VSKIDLQAINRQIVEEVVKKIPTPTVHQPANRSANIKPQNSRNSSKRTTPGPQKSNQKSQGSGIAGTSRA -TTTQKPNRTQNGAKTWSRVTPNSGKQ - ->YP_009337098.1 hypothetical protein 2 [Changjiang polero-like virus 1] -MARHNQLSWTNFLQDFEEAVHSLEPDAGVGVPYIAYGIPHHRGWIENPEFLPVLARLTFARLQKMAEVSD -VDTMSAEQFVQLGLCDPIRLFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIALWRSVP -SKPGFGLSTDSQASEFIGLLASKVGVAPSELTSNWRHHLVPTDCSGFDWSVSEWMLHDDMEVRNRLTTDL -TPLTAHLRRVWVACISRSVLCLSDGTLLAQRIPGVQKSGSYNTSSSNSRIRFMAALHCGASWAVTMGDDA -LESTDSNLEEYKRLGFKVEVSEQLEFCSHNFVQPDLALPVNANKMLYKLIHGYDPGSGHLEVVRNYLAAC -FSVLNELRHDPDGVEQLYEWLVLPVQPQNDT - ->YP_009337097.1 hypothetical protein 1 [Changjiang polero-like virus 1] -MVIAISWMFGGLPGFLFGVALWLLKSGWRVLTSGPSYMKEKAVAGYLSYTIPQEPPKKSIVLIQNSDGSH -AGYGTCVRLYNGETALLTADHVYDPALLVVSARNGTKVPLSQFQPVVRGKETDLIILRGPPNWEGLLATK -AVQTVPVSQLAKSKFSLYSFDGAWQATNGELVGVEGGFATTLCNTTPGFSGTPLFSGKRVVGVHVGASKK -ANVNLMAPIPSIAGLTSPQYVFETTAPTGRLFTSDEAVQLSETFQVLHSELEKVLNFKSQSGKQWSEMLD -IETTPTAPTPAVKAPVPAIQGNEPRGADRVINGEATVDSGSTVATRRTSPVDGEDILAKLMASLMSKVDV -KSIEKEAIRMISEKALKAPRRRGPRKPRTSRPSSIPTTPGKYVPPQRRVTHQQASSGPANSPASTTLNKE -AKQSGGKHSPGTIQRWVRKSEASAGRCSAPKQS - ->YP_009336800.1 hypothetical protein 2 [Beihai sobemo-like virus 2] -MEDDFTVTIPRLWDMMSAYHLSCNTKAHPGVPAYVLADEKDTILKTHLASVIKAGFHRAVRRIAFYYVIR -ENNYDYHFSAEQLVVFGLCDPIRLMIKDEPHSLKKQLQGRHRLIWVCSMFDEMADKAFLRLDKLCIDQWR -NIPMKPGAPLGHQQGDANMIQFMKRNPKLKSTDVSYFDWMYNEHLHRCNIIARFGLKKYDFNELERLYSN -PLEVDDFYWAGLYVQHLCHMRKVIVLSDGNMYGQQFTGINPSGNAGTTNHNNFGRLLVHAEACVQSGVHD -LQAVVFGDDCVTQYGDELKDEDAILREYGVIVKEEETIISENNGRHTMSFCSRRYDMWMEGDQLMCTDTF -LNVDKLLSSYLHSNRDKQHQDSVEMELGIEFVEWLNSLERSTGGLEGARKNL - ->YP_009330062.1 hypothetical protein 2 [Hubei polero-like virus 1] -MSVSLVDQLVARVLFQNQNKREIALWRALPSKPGFGLSTDGQVLDFVQCLARQINVPSEEVVENWERYLV -PTDCSGFDWSVAEWMLQDDMEVRNRLTTDLNPLTRRLRAGWLKCLSNSVLSLSDGTLLAQRVPGVQKSGS -YNTSSTNSRIRVMAAYHCGADWAIAMGDDALESANSNLEEYKSLGFKVEVSGQLEFCSHTFVRPDLALPV -NIGKMLYKLIYGYEAGSGSLEVVTNYLNACFAVLNELRHDPETVRLLYQWLVLPVQPQNE - ->YP_009330061.1 hypothetical protein 1 [Hubei polero-like virus 1] -MASLFYVLFLLSSLSFSVAFNSATTVEGTEWGRWPTNGTSEYLEHLALDAHGILTLDLRSSPPLPCLEFS -RENLRKQPYSELVLTLWDKALYDTQEHFHSAMNVSYVYCVFGVEMLKNGFSVVLENFLWGLIYLWSSILW -VILCFIWRVIQTHFAAALSLILLGIFTRYTVKCLLWMLGGFPVYLGKLFLSFLWTILTGAWFRKNYVEEK -AIEGFQSFKIESIPPRHSVLLIQYSDGSHAGYATCVETYDGDVALFTAEHVYDQAQAQGSVVSARTGSKI -PLGVFKRLIQHSEMDLAILRGPPNWVSLLGCKAVSAVPVSQLAKSKFSLFSHDGKHWQMTNGEIVGSQGK -FIETLCNTEPGCSGAPLFSGKKMLAVHIGSSKTANVNLATPIPSLPGLTSPNYVFETTAPTGRLFTDEEI -AEVASTYAEALEKAKQLINFKSVTGKNWADYDEESGPKPSSTAGKKNPTPAPKAPRAPKAAAQQGSGNGP -SGTDRKTTGEDSTPTPSLEDGENIMTSVVKALVAKINTAAIEKEVVRQLAERAMRKPRPNRRGQRKPKNT -APTSTPSTPGKYVVPSRRSPALNASAPSQPSTTPSRNATHAGVKSSPGNIPRWVRRQPGSAGPSSGQPQS - ->YP_009330048.1 hypothetical protein 2 [Hubei sobemo-like virus 3] -MEGDDVKECSTKVAEMYQAAGVAWDFAFRSYETFAEDFENAYESNVCHIKPTSGPGYPYRLFGDENREIL -ESHGAEIKRLTKMRLETILFGGHDFESIAKDPMQWLEKGLRDPDRLFPKNQANPLRKPLPRVIAGSSLVD -QLVTRILFSGFTDAEGEAYPFLPTKKGIGFSDEHAELLGEQFEGLNKALGRPPAVSDVAGWEKNFSEPVA -ECTRIPMKETMKSGSKELFDVGFNWWKFSLLSNLAVTDSGKLVRFKDLKVQRSGNFLTTTSNGIGRKCVA -LSVGSVANTAGDDCHEWNDLSVEELIQAYARIGVPVRDVVQMDSKTLVFCSHSFQRDGDGRWKCWLSEWE -RMLYEASRSKLLDVGTDLNWIKEVENHPDPEMRRKFHAFVSGRRLLLGAVAEHDEVSESGSSHPAEKGCA -KRNESE - ->YP_009330027.1 hypothetical protein 2 [Hubei sobemo-like virus 1] -MRIAATNKELLELHWSLVENAAVARLQLLEEVDYEDLRAMSASELVEGGYTDEVRVFVKNELHSRAKVAE -GRMRLIMSVSVVDQIVERVLNSAQNQLEISKWETISSKPGMGLDDDSLFSIQEQVHKLGSGVGDPVSSDI -SGFDWSVPQWALDLDARVRAALSGAPTWLRVHEKRAVCLGLSQLVFSDGVVWDQLEPGIQKSGSYNTSST -NSRIRVMLAWLVARRIPQSRYGGRDALEGGGRAMAMGDDAIETTTCMELLKPNYERLGFVLKEVSRDIEF -CAYAFDLAQGYRPLRVVKMVAGLLRTAVRDEQHEEELRVALEYELRHSDKQAWAKHVIRASGWGARK - ->YP_009330026.1 hypothetical protein 1 [Hubei sobemo-like virus 1] -MASIMLKAAFACVENVFESYPILAAMAFVYASLVASSVLIASTLALLIGLFRMTRMVCKCVVLGIGYVHG -FPRFVLELLLIPIAGPMILLSRAFYTEKIELKVVSPPVVVDKEDVVDELEMANPIFPSRNIKLPSSMVSV -ITPDGSHLGFATYVKTVAIGRVLVTALHVWRASLQHTSDLKVRNEAGVAVTYKYGEYTVARASSELDQVY -FNLPASFSSTMAVKAAEQGMYDPSIPITVLSPPTKPGGSFASSSSKAFVVSPLKLKYPATTIAGSSGSAL -FQGKFVVGIHVSGSRDGLKVTNRGTALLGIVSPETSSKAAEKPWQFDDGSDFGAEEGFEVIDDYLDVPAK -QRGSRILYKAGGKRVMIHSVASDLAPHYHPVEYWADDDDGFLEYEARFPSIRKVPSGCFQGTSSGSSRCE -TSATGGFRLLSLRQPEVSSALENAEQYDPIPSPKPLPRSIVPKKSSPSSESGSPPIGAPKPSEPPLGSRP -ASASKALRRRRGKGKVSFETPVSSTQQPTILPTSPKKATQRSGGVPR - ->YP_009315897.1 RNA-dependent RNA polymerase P1 protein [White clover mottle virus] -MAPKIALLIALFLSAPFLLGGFNFRDGKLVIPSSSRNRHRYELFRELLARENFAFSPPLKMERLNYTPPI -QIPVTEQTYIDLLTALWQKAYLDIQKYLGTALHSSKHSLAHILEKSSEVFSSCVQSFLWAIVLVWTYALW -VFCYWTVEVIITNTMLIIAVGLLMFCTVFMVKALHWLFGDFFNWTVVPVFRCLVYLWKLRSLKVLAGKTQ -MMKEKMTKGFGSYDMMMSPPKSSVLEVLHDDEQHCGYASCILLADGTVGLLTSQHVAEDAYWIKSHKTGN -KIKFSEFKPLIKSQKGDITILVGPPNWQGLLGCSAVLYVTMKNLSVSDARIYYRKEGDWYSGVAKLMGPN -LYNFVDVLSNTEPGYSGTPYFNGNKIVGVHTGGASNTNCNVMAAIPHIEGLTASKYIYETTAPKGKIFDF -DDELWNELMEDFSVAESQYLYNKIKNVEPECSMNLTMRGDQHTEQYRVNDAFSPECTINMKLRDPNNGRE -ARIRSPEEHKGCFPDRAWVDCNHDLSKEEIEKFLSHNLGIDAVIIPRHKQFLCKDCDVDLRESLGDWLST -KIKPPVQSEDWSGAEFHDAPTELKTTKEEWLDEISFETSKKANLNGERGTDLVKTGEGCTQKNKSDDGDT -VQRVIEALVAKMNVSQLEERVVEVVSKKISTPPVKKQRRRGKRGGGNKQTASTTSSAPNTTGKYLPPQKR -SQASKPAAKSPNTTIQSKNNKENGERKSSPNTQKWVRKSKDLGGQSSARKLN - ->YP_009315896.1 RNA-dependent RNA polymerase [White clover mottle virus] -MAPKIALLIALFLSAPFLLGGFNFRDGKLVIPSSSRNRHRYELFRELLARENFAFSPPLKMERLNYTPPI -QIPVTEQTYIDLLTALWQKAYLDIQKYLGTALHSSKHSLAHILEKSSEVFSSCVQSFLWAIVLVWTYALW -VFCYWTVEVIITNTMLIIAVGLLMFCTVFMVKALHWLFGDFFNWTVVPVFRCLVYLWKLRSLKVLAGKTQ -MMKEKMTKGFGSYDMMMSPPKSSVLEVLHDDEQHCGYASCILLADGTVGLLTSQHVAEDAYWIKSHKTGN -KIKFSEFKPLIKSQKGDITILVGPPNWQGLLGCSAVLYVTMKNLSVSDARIYYRKEGDWYSGVAKLMGPN -LYNFVDVLSNTEPGYSGTPYFNGNKIVGVHTGGASNTNCNVMAAIPHIEGLTASKYIYETTAPKGKIFDF -DDELWNELMEDFSVAESQYLYNKIKNVEPECSMNLTMRGDQHTEQYRVNDAFSPECTINMKLRDPNNGRE -ARIRSPEEHKGCFPDRAWVDCNHDLSKEEIEKFLSHNLGIDAVIIPRHKQFLCKDCDVDLRESLGDWLST -KIKPPVQSEDWSGAEFHDAPTELKTTKEEWLDEISFETSKKANFKRGARHRPRKNRRRLHPKEQERRWRH -CPESDRSSRRQDECKPTGGESSGSGIKEDIHPSSEETKAQRKAWRREQANSFNHFFSSQYNWEIPAASKE -IPGFEACGKIPKYYHPKQKQQGEWGEKIVTQHPEMGEKIKGFGWPEFGKEAELKSLQLQAARWLKRAESA -KIPSAEEREHVIQKTVEAYKNVKSNCPAVTRLNELSWDQFQKSFQPAVHSLELDAGIGVPYIAYGLPTHR -GWVENHERQLLPILAQLTYDRLQKMSKVNFENLKAEELVQRGLCDPIRVFVKGEPHKQSKLDEGRYRLIM -SVSLVDQLVARVLFQEQNKLEINLWKAIPSKPGMGLSTDSQVIEFINSLSHLVQIPVEDLVYNWEKHVVP -TDCSGFDWSVSHWLLTDEMEVRNRLTRNNNTLTRKLRDCWLKCLSNSVLAFSDGSLYAQRVPGVQKSGSY -NTSSTNSRIRVMCAYYAGASWAIAMGDDALEAVDTDLSVYKNIGLKVEVSGQLEFCSHIFEKPDLAIPVN -VGKMLYKLIYGYNPECGSIQVLKNYIDACTSVLNELRHDPELVQLLYSWLLHPVLPQK - ->YP_009254738.1 P1-P2 fusion protein [Pepo aphid-borne yellows virus] -MNMLFVLFFSLSLSLCGATSPVSGTALIQPQPLNFNSSFDGLLSAEWVSPPPEVRWIEGTLQWPPQDQEE -TSCDYSYHTIFQKLQENILEDGKKLWLGGHQQLTNFSTNIYNLSRALASDACTLLIDSIIFLWSFILWGV -VWCVFRFVKTYFLRALTIAFLVACTACMAKCLRALSGLLAPFPRLLLSVINFIYSVFLGMLTPFQSSKTR -KTNCWEKQLKGWNSFEIPMNPPSKSVIEITYDNGSHCGYAVTVQTYKKTASGAFESAVLTSLHCLDGGDE -KFLFSRRTGQGIPLAQCTTLAVWKSLDLCLLTPPANFQSTLGVKPCPMVCMDRLAGCDASFFRLNKEGAW -SMTNCKLLGAQKDGSVAVLVNSQPGHSGSPFFNGKNVLGVLRGPDPDNNFNIMNPIPAVEGLTVPELRFE -TTAPTGKLFTDDNLMKALAEEYTRMKNFVPASGKAWADYDSDEEYFEAAAKDLAGPSTLQGNRRGQARLP -NQRGPCRLPENDAGNDGKDDIQHFSGGHKRDCGGEAGRKAVPYEQASPEEEPPVSSAKQAEDFRQYFNSL -YKWDVCAPSSEVPGFRNCGHLPQFYRPGKKAETEWGKTLTKEYPALGAATRGFGWPEFGPAAELKSLRLQ -SARWRERASSATEPCQSERERVIAELTERYSNVKSCAPACARQETLSWDGFLEDIKSAIPFLSLDAGVGV -PYIAYGKPTLAQWVHDESLLPLVARLAFDRLKKMSEVSFEALSPEELVQEGLCDPIRVFVKGEPHKQSKL -DEGRYRLIMSVSMVDQLVARVLFQNQNRAEIALWRAIPSKPGLGLSTDEQITDFAQNLSQYVRESRGDII -ERWHECVVPTDCSGFDWSVSSWMLEDDIVVRNNLTLDNTELTKRLRAAWLKCIANSVLCLSDGTLLAQEF -PGVQKSGSYNTSSSNSRIRVMASIYAGASWCIAMGDDALESSDTDLKVYSDLGLKVEVSDKLEFCSHHFK -SSSLAIPVNVGKMLYKLIHGYNIRPGCTSVEVIANYMSACYSVLNELRHVPEAIPLVSACLFSS - ->YP_009254739.1 P1 [Pepo aphid-borne yellows virus] -MNMLFVLFFSLSLSLCGATSPVSGTALIQPQPLNFNSSFDGLLSAEWVSPPPEVRWIEGTLQWPPQDQEE -TSCDYSYHTIFQKLQENILEDGKKLWLGGHQQLTNFSTNIYNLSRALASDACTLLIDSIIFLWSFILWGV -VWCVFRFVKTYFLRALTIAFLVACTACMAKCLRALSGLLAPFPRLLLSVINFIYSVFLGMLTPFQSSKTR -KTNCWEKQLKGWNSFEIPMNPPSKSVIEITYDNGSHCGYAVTVQTYKKTASGAFESAVLTSLHCLDGGDE -KFLFSRRTGQGIPLAQCTTLAVWKSLDLCLLTPPANFQSTLGVKPCPMVCMDRLAGCDASFFRLNKEGAW -SMTNCKLLGAQKDGSVAVLVNSQPGHSGSPFFNGKNVLGVLRGPDPDNNFNIMNPIPAVEGLTVPELRFE -TTAPTGKLFTDDNLMKALAEEYTRMKNFVPASGKAWADYDSDEEYFEAAAKDLAGPSTLQGNEEGRPDCQ -INGAPADFQKMMQEMMEKMISNISLEGIKETAAEKLAEKLFHMNKPAPKKNRRSRRPSKQRTSDNISTAS -TSGTYVPPHRKSPGSVTADTCPNSTGPGKRPRRNGGKPSPKNIPHWVRRQEGSAGPSSGRPRS - ->YP_009249824.1 hypothetical protein [Alfalfa enamovirus 1] -MAYMQKPESSMGPWPLLLLAIICSFLLPSAGHTLGRSGTDPAVGCSLSQGETSSSADLPQFCADFGYMPP -DLDWSYVKTVQGVREQPQYPSNHTGPFQTISGWTWPNWTCSPLQCHLQIYLPSWQVVRQDILHLLKVWDL -LTIYQRCSELLTRTPGYILRFAGETLILVATLIEHVLVYWNLWLYSVLLYVVQALPGRFLLYMGALSIVS -WVWPKKTASYLVQLTTTPLITIRYLNSTGIALISLCLAWTWNTLMTWSLMPWVMIVKVMRTLMIISRFFV -RERGSKKKKVSSKSYKAKLKVARSVQRKKGSQKKTPVEERTIPGVQIKKLREDPPKGVILRCTDQFGDHV -GYASAVKLEKGLTGIVLPIHVWADSVFITGPNGKMKMADFSALYECIPHDSLIMTSAVNGWGSKLGVRPR -PLATIEAVKLKNYSLFTERDGKWLVQAAKLIAPAEGMFRVVSETAPGDSGLPLFDMKMNVVAVHRGTWPA -EKFPENRAFAILPVPDLKTPSSPKFTGCETFSETESAYDMAEGFSDGEEVVVKTKGKAYKTFIGLNRVAT -LSETALREELSRGPIGVWADIEDDESAPKRSGNGPNRSTPVKQSHAKKTSPKVEESAAPSPAPVKEEGEK -RRHLKRSEMTPEQRKADNLRRRKSKAAKKTPTPKKTQAKAPTLSQVAELVEKAVKAALTVRPRRSRVSSR -VSTGGKNQGRKPQDSTRSDPAPSPSTSVLRKDSQDGGSVWLGPRRSFRPVQKSTAGQKQEPRRN - ->YP_009249568.1 P1 [Maize yellow dwarf virus-RMV2] -MQKLSSVAFFLCCLLCYATYPTRGDFLEMYGLPLRGTQFGLEETSLLPRNASLPFKFLLAPDSCIEISYS -ALSREIARLALQDLHSISLAGSRALSSSWKGFAEEWNTSVVKLAHATSGFYQQASDYVVSCVLELLGSCL -VAIIYTWSFFISSLFWVVTFMLQHYTPHVLSIAVLYICTTLLVRLATKIFGGWPVTMISWIGTSTLGFFK -TLLSKGNSISYEIPTPGYKSIEIPQKPPKDCVLLVQHNDALNSPGGYASCVRLLNGSNALLTAEHVAAQK -GELLVASTRTGNKIKLSLFNRILTTTNSDVSLFQGPPGWESLLGCKAADITPVDGLTTCEASLFRFDGHW -MRSNASLVGTEETYVSVLSNTEEGYSGTPYFNGKSILGVHVGGNATQTNNLMAPIPSIPGLTKHRYVFES -PQLKGRLFTEQEVEQLEVDIDEAFKKAYDLVHFKSKTGRNWADYEDDISYEAPKFQGNDARGSVRGNKTD -LPTPTVSPVATSNSDILNKVVEALVNKIDVSVIERMVVQQIAESAMKRPKNNRRRQSKPKTSPLTSPPST -GGKYVTPALRPQGSKPVGLSQGTTSQNRRKNQNGGGYLQRETRRWQKKSAVSGGPSSVPQPN - ->YP_009246452.1 P1 [Barley virus G] -MPKLYSALFLLCCLLCFDPPLIQGESLAKHGLPLRGTRYELGDEFLFPQNSTITLMNLRLCSADSYRELM -QELMRMLSRDVKKISSQACAVSSSNWKAFVEEQKILTDTLKEGTSKFCQELFERLTTMAKAFIGTFLMLI -IFTWSYLIWGVLWSLIFLIKRYTLQILSLGCLYICTTLLVRLASKIFGGWPISAISSLYTLTSSTFRILT -SRRSSPTFEIPTPGFKSVEIPQKPPKDSVLLVQHNDALNSPGGYASCVRLLNGSNALLTAKHVSTQEGEL -LIASTRTGNKIKLSLFNKILTTQNSDVALYQGPPGWESILGCKAADIVSVDSLSCCEASIYRFDGHWMRA -NASLVGTEGTYVSVLSNTERGYSGTPYFNGKSILGVHVGGNDARTNNLMAPIPSIPGLTKHRYVFESPQL -KGRLFTEKEVEQLQYDIDAAYQEAHRLANFKSITGRNWADDDDVYYEAPQFQGNDARGPVRENKTDLPTP -TLSPVATSSSDILNKVVEALVNKIDVSAIERVVVQQIAASAMKRPKNNRRRPSKPKTSPPISLPSTDGKY -VAPPRRSQASKPVDPSPNTTSQNKKKNQNGGGYLQRETRRWQRKSVASGGPNSVPQPN - ->YP_009246451.1 P1-P2 fusion protein [Barley virus G] -MPKLYSALFLLCCLLCFDPPLIQGESLAKHGLPLRGTRYELGDEFLFPQNSTITLMNLRLCSADSYRELM -QELMRMLSRDVKKISSQACAVSSSNWKAFVEEQKILTDTLKEGTSKFCQELFERLTTMAKAFIGTFLMLI -IFTWSYLIWGVLWSLIFLIKRYTLQILSLGCLYICTTLLVRLASKIFGGWPISAISSLYTLTSSTFRILT -SRRSSPTFEIPTPGFKSVEIPQKPPKDSVLLVQHNDALNSPGGYASCVRLLNGSNALLTAKHVSTQEGEL -LIASTRTGNKIKLSLFNKILTTQNSDVALYQGPPGWESILGCKAADIVSVDSLSCCEASIYRFDGHWMRA -NASLVGTEGTYVSVLSNTERGYSGTPYFNGKSILGVHVGGNDARTNNLMAPIPSIPGLTKHRYVFESPQL -KGRLFTEKEVEQLQYDIDAAYQEAHRLANFKSITGRNWADDDDVYYEAPQFQGKRRSRSRPRKQNGLAHP -YTLARRYFKQRYSQQGGGSVGQQDRRLSNREGGCSTNCGISYETTQEQPKAAIEAQNFTAYFASLYRWEV -RGTPSEIPGFEACGSLPKYYFTKQKEESEWGRLLAAGNPALAEKVSGFGWPQFGPAAELKSLRLQAQRWL -SRAESAKIPSAEDRERVIRKTVEVYKTCQTQCPKTSQNNLLVWEDFLEDFKQAVFSLEPDAGVGVPFVGY -DRRTHRGWIEDPALLPALARMAFDRLHKMSEAKFDQMTAEQLVQAGLCDPIRLFVKGEPHKQSKLDEGRY -RLIMSVSLLDQLVARVLFQNQNKREIALWRAIPSKPGFGLSTDDQTREFVQNLAVQCGVNTDQLLQDWQN -HTVPTDCSGFDWSVAEWMLQDDMEVRNRLTLNNTDLTRRLRACWLKCISNSVLCLSDGTLLAQRVAGVQK -SGSYNTSSSNSRIRVMAAYHCGATWAMAMGDDALESVDTNLDVYKNLGFKVEVSKQLEFCSHVFEKEDLA -RPVNANKMIYKLVYGYNPANGSVEVIQRYLDACMSVLNELRHDPGTVELLYQWLVTPVQQQNV - ->NP_050007.4 RNA-dependent RNA polymerase, partial [Sugarcane yellow leaf virus] -SADSRPGKRAKWRRPLKNTGIHPAQARRIYKRVAQQHRLAVDSKDRPELHCNEGGGETAASGPEQTAAER -REEVAQKTQDLEHFFQAAYKWEESTSDIPGFRKCGKLPALYHPPKPKSTQWGERIISEHPEMASKVAGFG -WPSFGAQAEETSLRLQAARWLKRAESAEKPTEKQRKLVIDRVVKAYEPCRTNAPHTSSSGSLIWSDFLKD -FKEAVNSLELDAGIGLPYKLLHKDTHRQMVEDPKFLPLLTRLTWNRLQKMSQVDFQEMTPEQLVREGLCD -PIRLFVKGEPHKQAKLDEGRYRLIMSVSLVDQLVARVLFQAQNKREIALWRAIPSKPGFGLSTDREAREF -IESLSKTVGCSPAEVIHGWRDKIVPTDCSGFDWSVADWMLEDDMEVRNXLTINNNELTRRLRACWLKCIT -NSVICTSGGVLYAQTHPGVQKSGSYNTSSSNSRIRVMAAFHCGADWAIAMGDDALESPNSNINAYQKLGF -KVEVASQLEFCSHVFEQEDLARPLNVNKMLYRLIFGYNPACGNAEVLCNYLQAVASVLNELRHDPQLVAT -LHEWLVPGAATK - ->YP_009238502.1 putative protein P1 [Ixeridium yellow mottle virus 1] -MEKHSIKLFLLGLFFSSFPFFFKNAFASSEMELSSSLALNQSPFSNGLYSPVISRSLQCPISYELICVEK -PPGELISESYNDLIQALLEKSYADALSYYFRALETCARGFATFIGYSGRILSDLVSMTLWFAIFLWLHTF -GVILSIVYYLMTQHTVPTLILVSLTLLTRYIIRRTEWVFGELPYFVMRASCFLLRSSYQALSSSKSYIRE -KAVAGFTSYSIPQAPPKNSVLELVHPDGSHLGYATCISLFNGENALITALHCVNGNALVKGKTGNKIPLK -EFAARIEDKGSDFALFSGPPSWESLVGCKGARFVTSNDLARCSASLYKLTESGWISTNAKVVGPYENRVS -VLSETFPGDSGAPYWNGKTVLGVHTGAPTHENINVMTPIPHIPGVTAPIYVYETTAPQGKIFAKPLNPPK -YKPIKLQNHWDDRESEGSSFYDDPEFLRSFKSTKSMKAKFIEECEEGDHVISAWLYSETKESTFLQWATT -LLDAILNKAPFEHDVYGDISTWFNTSEVLTAIRSYEANLKATDIYEESVSVHTSDTDSVATAPSFVKESL -NEPGSTACPPTGNPAVNTDDTPKTTPRNGDEIMERILSLSLAKINFKGIEDRIVSEVSKKVMPTVQKRAE -NARKTSPNTSKRNTTGRRKAGSPTSPAFATAGPSQATTTRKENKIPGGEKKWLPTTPNSGKQ - ->YP_009238501.1 putative fusion protein P1-P2 [Ixeridium yellow mottle virus 1] -MEKHSIKLFLLGLFFSSFPFFFKNAFASSEMELSSSLALNQSPFSNGLYSPVISRSLQCPISYELICVEK -PPGELISESYNDLIQALLEKSYADALSYYFRALETCARGFATFIGYSGRILSDLVSMTLWFAIFLWLHTF -GVILSIVYYLMTQHTVPTLILVSLTLLTRYIIRRTEWVFGELPYFVMRASCFLLRSSYQALSSSKSYIRE -KAVAGFTSYSIPQAPPKNSVLELVHPDGSHLGYATCISLFNGENALITALHCVNGNALVKGKTGNKIPLK -EFAARIEDKGSDFALFSGPPSWESLVGCKGARFVTSNDLARCSASLYKLTESGWISTNAKVVGPYENRVS -VLSETFPGDSGAPYWNGKTVLGVHTGAPTHENINVMTPIPHIPGVTAPIYVYETTAPQGKIFAKPLNPPK -YKPIKLQNHWDDRESEGSSFYDDPEFLRSFKSTKSMKAKFIEECEEGDHVISAWLYSETKESTFLQWATT -LLDAILNKAPFEHDVYGDISTWFNTSEVLTAIRSYEANLKATDIYEESVSVHTSDTDSVATAPSFVKESL -NRARQHRLPTNRKPRSKHRRHPKNYAKEWRRNNGTDPVTIISEDQFQGNRGQDCQRGVKESDADRAKTRR -ERTENFSQYFKEKYNWETEGRFSDIPGFRDCGSIPSYYHPKGKQNSGWGEEMVANYPELGEAVKGFGWPQ -FGAHAELKSLRLQSARWLERLKSAKIPSSEEREAVIMKTLKAYKPVETQAPDIFNAKEDALDWKKFLEVF -KVAVHSLELDAGIGVPYIAYGKPTHRGWVEDPELLPVLAQLTFDRLQKMLEVGYEAHLSPEQRVQMGLCD -PIRVFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQHQNKREIDLWKAIPSKPGFGLSTDDQTVEF -VKLLAKQANLQVDELLQDWEKHLYPTDCSGFDWSVSQWMLIDDLLVRQSLQTSSFKFDILQRVWTDCLGN -SVLALSDGRLLAQTIPGIQKSGSYNTSSTNSRIRVMAAFHCGASWAIAMGDDALESKDSDLSRYAELGFK -VEVSAKLEFCSHIFEQLNLAIPVNKGKMLYKLIFGYDPGCGNQEVVKNYLDALFSVLHELRHDPELVQRL -HGWLVPV - ->YP_009162334.1 P1 [Luffa aphid-borne yellows virus] -MTSAPLILLGLFCAFSPLFSEGFLIPLDTTFLEGYXQRSWPSAREQGTCPLPPTGQIKDYTLTCQCPEPP -SLSEMTYADLLHGLYVKMHSDVSSFSPIEANSFREWSHSLREMLANASKDTGRALLHLWAYTIWRAFSWL -WFLLRNHFLDSCIVVLLYVLTRLMVQGLHKLFGNLPVYLWSTIGILTWRIMRSSFKIVKSKSSYVKEKAV -EGFLSFKVPQKPPKSSVLLVQYSDGSLAGYASCILLHTGECALLTAEHVATLPGVKVVSTKTGNKIPISE -FNCVLSSKKSDIYLGMGPHAWTSLLGCKAANYLTVHQLSKGKFSLYTFDGQWQSANGELVGRDGLFASHL -SNTTKGHSGAPLFVGKTIVGIHIGGSAENNYNLMTAIPAVEGLTTPQYAFETTAPQGRLFDDTDAIALGK -ILQVAHQKLKDYKPLSGKAWADMAEEDEDEFYNSNDFLRESQPPVPSAPEVPVHTCKCVCGEHVTWGCLN -EHSYPFPCCQCHKAKGIVAESGNGLGGANRLNKAQPASGTLCDGKEIVKQVVEAMVAKISTASIEKEVIQ -QLITKSNPPSQGKQRRRQRKPKTSEATSKTSSAGKFVPPHRRSQASSAAGTSRDSTTPNKKEDHRGVKPS -ASNTLSWRKKPEGSAGPSSGPKPN - ->YP_009162333.1 P1-P2 [Luffa aphid-borne yellows virus] -MTSAPLILLGLFCAFSPLFSEGFLIPLDTTFLEGYXQRSWPSAREQGTCPLPPTGQIKDYTLTCQCPEPP -SLSEMTYADLLHGLYVKMHSDVSSFSPIEANSFREWSHSLREMLANASKDTGRALLHLWAYTIWRAFSWL -WFLLRNHFLDSCIVVLLYVLTRLMVQGLHKLFGNLPVYLWSTIGILTWRIMRSSFKIVKSKSSYVKEKAV -EGFLSFKVPQKPPKSSVLLVQYSDGSLAGYASCILLHTGECALLTAEHVATLPGVKVVSTKTGNKIPISE -FNCVLSSKKSDIYLGMGPHAWTSLLGCKAANYLTVHQLSKGKFSLYTFDGQWQSANGELVGRDGLFASHL -SNTTKGHSGAPLFVGKTIVGIHIGGSAENNYNLMTAIPAVEGLTTPQYAFETTAPQGRLFDDTDAIALGK -ILQVAHQKLKDYKPLSGKAWADMAEEDEDEFYNSNDFLRESQPPVPSAPEVPVHTCKCVCGEHVTWGCLN -EHSYPFPCCQCHKAKGIVAESGNRLGRSQPPKQGSTCFRNAMRRKRNRKAGCRGDGREDQHSLNREGGDP -TVNHEIQSSFSGKTTAPAEEAKNFRGYFQNLFCWEVCTSPSEVAGFERCGNLPRFYHPKQKGRSPWGEAL -CLQHPKLEEETRGFGWPLFGAEAELRSLRLQTARWLARAESSKIPSSAERERVIKKTVEAYASCRTNRPA -ATKDNVLSWPAFLEDFKQAVFSLELDAGVGVPYIAYGIPSHRGWVEDPALLPVLTRLVFDRLQKMSEASF -EQLTAEQLVQQGLCDPIRVFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREICLWRAIPS -KPGFGLSTDGQTSEFLELLADQMSTTPEVVVARWREFLVPTDCSGFDWSVAAWMLEDEMEVRNRLTLENN -DLTRRLRACWLKCLSTSVLCLSDGSLYAQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGATWAMAMGDDAL -ESVDTNLEVYKDLGFKVEVSGELEFCSHIFVRPDLALPVNRAKMLYKLIHGYNPGVGSVEVISNYLDACF -SVLNELRHDPHFVSLLKMWLVDPVLPQKDLRE - ->YP_009111314.1 P1-P2 fusion protein [Sauropus yellowing virus] -MAGYYLTTFLVLLFLFSHSSSFPTYDGRVEGNFTSTFPMLPPLSNGDGGSDISPVSVLMETLYVSISDEP -PVAPLTNYTYGALLGAVSSKFVFDTIALCNEAQEIWVTLFRSFCDNTVNGLKWAIEKLILSLVSIWSWLV -YQAILCILSCAVNYAPVLITLVLCGVCTRYLFLAVIWMYGIQVTCLLSFLRIISKVLRFRRPSGFKNEKS -VEGFTEITFEQSPPGKSVLLLEERRNGEKRHVGYAFAGKLYNGEVGLFTVAHNLESCDNLWVLSERTKNS -LKLKQFQPIVLSKSADLAILRGPVNWEALLGCKGGIYTTSNRLAVSGCTWFDYTESWRSHSAKVVGTDGD -LVRVLSNTRPGHSGTPYFNGKSCLGLHKGYRLAGDNNNCMVPIPPIPGYTTPDYKFETSAPQGLLFDSKT -IARLEKQAMEMVRHSGGVWDFDDAFAVVSSDYVGETTLPKPDVDESNKIPKDHQSFLRDYGTVLTKHFGQ -YQSPDSAVDLNLLFPGVKPEQAQQKKSSGNRVSRAGGKNTGIRRTIHPFERKRRYEANRAHGCAYWADQL -RENRRTGSRQNSEFVDETTQDTRSSWRKEEARNIREHFASRYQWNIPSPTPEVEGFQACGTFAYRYHCKQ -LQESDWGRFLTGQYPALGEETRGFGWPEKGAAAELKSLKLQASRWRERANRAEIPTGSARQNVIEQTVRA -YSAVANTDTPLCVRSGQLVWSDFIEDFKQAVSTLELDAGVGIPYVEFGKPTHRGWIEDSYLAPILAQLTF -DRLQKMSEVNLAELTSAESLVQLGLCDPIRTFVKGEPHKQAKLDEGRYRLIMSVSLVDQMVARVLFQQQN -KREIALWRAIPSKPGFGVSSDEQVAEFISLLAQSVGKTPIETVGEWKKYIIPTDCSGFDWSVAEWMLDDD -MEVRNRLTANNNGLLRKLRAVWLQCIKQSVLCLSDGSLLAQRIPGIQKSGSYNTSSSNSRIRVMCALHCG -APWAIAMGDDALEGVGSDLALYHKLGLKVEVSPKLEFCSHLFESETLALPVNINKMLWKLIYGYNPASGN -LEVISNYLAACASVMHEMRHLPDKVDIIKRWLVAPIQPQN - ->YP_009111315.1 P1 [Sauropus yellowing virus] -MAGYYLTTFLVLLFLFSHSSSFPTYDGRVEGNFTSTFPMLPPLSNGDGGSDISPVSVLMETLYVSISDEP -PVAPLTNYTYGALLGAVSSKFVFDTIALCNEAQEIWVTLFRSFCDNTVNGLKWAIEKLILSLVSIWSWLV -YQAILCILSCAVNYAPVLITLVLCGVCTRYLFLAVIWMYGIQVTCLLSFLRIISKVLRFRRPSGFKNEKS -VEGFTEITFEQSPPGKSVLLLEERRNGEKRHVGYAFAGKLYNGEVGLFTVAHNLESCDNLWVLSERTKNS -LKLKQFQPIVLSKSADLAILRGPVNWEALLGCKGGIYTTSNRLAVSGCTWFDYTESWRSHSAKVVGTDGD -LVRVLSNTRPGHSGTPYFNGKSCLGLHKGYRLAGDNNNCMVPIPPIPGYTTPDYKFETSAPQGLLFDSKT -IARLEKQAMEMVRHSGGVWDFDDAFAVVSSDYVGETTLPKPDVDESNKIPKDHQSFLRDYGTVLTKHFGQ -YQSPDSAVDLNLLFPGVKPEQAQQKKSSGNESAVPAAKIPASVEPSIHSSAKDDTKQTELMDALIGRINF -EKIEERVVDKIASLSMRRPKTRGRRGGKKRRETSGNTSPPATNGIYLPPHRRSKDSKPAVPLHTGTTASS -SRKATGAVSSPGSIPRWVRKPEASAGLKKAQQPNSKA - ->YP_009100303.1 P1-P2 fusion protein [Strawberry polerovirus 1] -MQLTVMLTLLLSSIFLFSHSQAISHNPAIPSAFPLHVISNLSSGPSLSDIFRSYASYPRPSKCVVHIVQP -KLTIDESYGNLTQLMLQKMWLDVNIYHSLVLTRLLTLCVNVSNFFKMNTGRLYRSLVTLALKSIIFTWYY -ALRTIWVFLWSLITNHTVDVLLLMLLAGYTALAFSALRWIYSKLPFFVVTLPWRIWKRSTRRRPAVFEKR -IEGFIDRRIPHKPPHKSVLHIIYGNQTEAGYASCIRLLDEGQSMALLTAAHVLRDPCNKFVYSLRTKNSI -SISNFTPVYWDVEGDVVVLKGPSNWTSVLGCTPAHYATADKVSKSNVEWYRYEDGEWYMHNAEITDVYDT -GSAPSAAVHSITEFGDSGTPYFCGKIIQGVHTGGAIKDNCNILSPLPPIPGLFSMRYITESPKPKGRIFS -DEDIADFVERAQSLIKFKSATGMNWSDMLDDNSLPPVPIYKESKILSEEEDAVEDYLSQRVSPPLTTPTI -SAEKAIPEEESAVDEYIAHFTGPKYILESSGNRDGQSRLPKQRRGNKVKCCIEYGETGEPTCSHADPATT -CYCYRKSHLKCPWRKWNSEFGRPNHPTTRNCGRKDRQQESAGGGSTSDNAASPTKEAQAQRETWRKEQAN -SFREHFRSLYQWEVSAAAPEIAGFRNSGKLPQFYHPKGKVDSNWGRTLAATYPELAEHVKGFGWPEAGAK -AELKSLALQASRWLERAQLAKIPSEAERERVIKRTVCEYRACQTSTPQCARQSKLSWEGFQNDYKEALSS -LELDAGVGLPYIKHGLPTHRGWVEDPTLAPILAQLVFDRLQLLSETEFADMSPEELVKAGLCDPIRLFVK -GEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKKEIALWRAVPSKPGFGLSTDEQTKDFISCLSKT -VSGAIDPSSKLDSKISEDEVVQNWPRYIVPTDCSGFDWSVSAWMLEDDMEVRNRLTRNNTELCRRLRGGW -LHCISNSVLCLSDGTLFAQTTPGVQKSGSYNTSSSNSRIRVMAAYHAGASWAMAMGDDGLESPDTNLDVY -KNLGFKVEVSDRLEFCSHIFERPDLAIPVNVNKMLYRLIYGYNVGCGNEEVVCNYARALYSVLNELRHNP -ELVSKLAVWLSPVEPQKNH - ->YP_009100304.1 P1 [Strawberry polerovirus 1] -MQLTVMLTLLLSSIFLFSHSQAISHNPAIPSAFPLHVISNLSSGPSLSDIFRSYASYPRPSKCVVHIVQP -KLTIDESYGNLTQLMLQKMWLDVNIYHSLVLTRLLTLCVNVSNFFKMNTGRLYRSLVTLALKSIIFTWYY -ALRTIWVFLWSLITNHTVDVLLLMLLAGYTALAFSALRWIYSKLPFFVVTLPWRIWKRSTRRRPAVFEKR -IEGFIDRRIPHKPPHKSVLHIIYGNQTEAGYASCIRLLDEGQSMALLTAAHVLRDPCNKFVYSLRTKNSI -SISNFTPVYWDVEGDVVVLKGPSNWTSVLGCTPAHYATADKVSKSNVEWYRYEDGEWYMHNAEITDVYDT -GSAPSAAVHSITEFGDSGTPYFCGKIIQGVHTGGAIKDNCNILSPLPPIPGLFSMRYITESPKPKGRIFS -DEDIADFVERAQSLIKFKSATGMNWSDMLDDNSLPPVPIYKESKILSEEEDAVEDYLSQRVSPPLTTPTI -SAEKAIPEEESAVDEYIAHFTGPKYILESSGNGMGRADCLNNGEATKLSAVLNTEKLESPLAPTLTQPPP -ATVTEKATSSVPGGNGIPSLEDLTTRLLEIVAEKIDSKKVQEEAAHLIMQQARQRKRKPRGKRGGKSKQT -PSENTSEASISGKYQPPHQRSPDSATPVSYPSSTTRKEKWIATGVVHSRPPTLNSPNMSKDSAGLKQGPK -QS - ->YP_004207916.3 putative P1-P2 fusion protein [Pepper vein yellows virus] -MQPQVIFFALFVLSSLSFSAANVPLFQGIPRTGTPSGNKSEFQGLPFTVGSMSLPVVEERFLPPPGSKPM -TISEPHEPTSRDALDLLWQVISRDSRRLFSKAQKDFQDSCAYGLTTVKAWLRGAFQSFLWTVISLWSFGI -WVIVSWTFYLVTTFTMPVVCLALLFACTEFMVMALRWMFTGWPTCLASLVLKTGKTIFTVPRFRRNYSEE -KQVKGFISLKIPQSPPRGSVLLVQHEDNSHAGYASCVRLYDGTLALMTCHHVGTGVPGGKVTSTKTPNKI -PLRLFTPLISSEKGDFMLMSGPPNWESLLGCKGAHFVSASQLAKSKMRFFFIEKDEWMADHGEIVGPRDH -WFATTLCNSEPGHSGTPIFNGKTIVGVHAGGENGQNFNVMATIPPVPGLTTPRYVFETTAPQGRVFTDED -LSEMMKSVTSYPQLEKFKSHTGKNWADDEDFETEVKSKPAPAPVAQEAKPMSSAEEAPPVSKIPAVVPTF -SRVGKRERQSCLPNQQQSCPRTREGQGEEGRGRKGPRIAHPLKASRISRRRRKIFREAFGEASGENRPCH -YREESGGSGGSESHEEAPGKPAAQTTAENFQRYFEGSYKWEVPASPQEIPGFQRCGSLPQYYHPKQIKSS -DWGTQLIQEHLELGEKVSGFGWPTVGPKAEVTSLTLQAERWLQRAQSAKTPSSEDRERVINKTVEASSNV -KTFGPTATRGNKLEWRQFLEDFKSAVLSLELDAGIGVPYIAYGRPTHKGWVEDPKLLPVLARLTFNRLQK -MLEVESSDMSAEELVQAGLCDPIRTFVKREPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIAL -WRANPSKPGFGLSTDEQVLEFVQALAAQVEVSPEEMITSWEKYLVPTDCSGFDWSVAEWMLHDDMVVRNK -LTLDLNPTTEKLRSAWLKCICNSVLCLSDGTLLAQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGADWAMA -MGDDALESVNTNLEVYKSLGFKVEVSGQLEFCSHIFRAPDLALPVNERKMLYKLIFGYNPGSGNLEVVAN -YIAACVSVLNELRHDPDLVALLHSWLVSPVLPQNN - ->YP_008130303.1 100 kDa protein [Citrus vein enation virus] -MIKECLATMSSPTTTFSLSTLLTGWELAYLLSLIMLGWAVLHRRERHARNQLAWRFPTSYYVLLLCYGVT -LVAAGGVAGSRLRPSLCHAGSTSLGLPISCGTLEFVPLESGLSSPNLTGGMTDVGRSPSLTVRYSPLFHV -GSWLQVARQNTTVRVTLRLSFSYLVTAQNTLSGWKRNGLAGMSLLLGCLEPIRMLPAYSVYCLTEALLLT -AKCLENAILSWMLLLASALWQLARINPLRTAIWMIVLSLGSFAYENRICRAMIQIILAPVYILPSLGLKF -LRVASILPTLLLNWTKNLLIFWLTFTSMMTRRILVGSLMMVRVILRIPLLPIILMKKILGILMMMTPSVE -TPVADPRLRFVNRERSVSLEGQARQVCLPAVPPKGVIGKVLNANNIQIGYFSCVKLMGGTDGIMVPIHVY -EDAHMFMGNNLALSKDAFTVVYRDVEGDVLILKGPPNYRVVLGVKPRLLLTANFARLGFHSLYFERDGQW -KVQSANVKGAEDGFLAVVSQTLPGDSGLPLFDTKHRICAMHIGHYGEKRPENMATVVLPIRGFSVPDTEV -FKPQVKTTESLYEDDKIFDLVEDLEKEIDEGVVRDLEFEGQHYKTVIGKTSIALLKEAEFLDHMKQVGRD -AVKGKQPWSDWLDDEEDERECLRPIADTKSKYQQPLNCEPQYALGKQKRQDGASTSETRIVVGAVACPVP -QPKESKIPSTKAVPKLPGKSKRAERFAQMSPEEAAAKRAAENRRRRNSRKGKKVPPLAVKEPLPMKMEEV -SKLVDKKLAELVKVRPRRSVPKAQLASKSSSTASTTGSQSYVVPPRRRGNKWRNTSAPINPTNAQTGGRP -YIMTSEGLTFLDSVKSAPVTLQNGKAPPKNSQNGDSIELRPQRSYKPVRKAMAGQTQVQRPN - ->YP_008130302.1 replicase polyprotein [Citrus vein enation virus] -MIKECLATMSSPTTTFSLSTLLTGWELAYLLSLIMLGWAVLHRRERHARNQLAWRFPTSYYVLLLCYGVT -LVAAGGVAGSRLRPSLCHAGSTSLGLPISCGTLEFVPLESGLSSPNLTGGMTDVGRSPSLTVRYSPLFHV -GSWLQVARQNTTVRVTLRLSFSYLVTAQNTLSGWKRNGLAGMSLLLGCLEPIRMLPAYSVYCLTEALLLT -AKCLENAILSWMLLLASALWQLARINPLRTAIWMIVLSLGSFAYENRICRAMIQIILAPVYILPSLGLKF -LRVASILPTLLLNWTKNLLIFWLTFTSMMTRRILVGSLMMVRVILRIPLLPIILMKKILGILMMMTPSVE -TPVADPRLRFVNRERSVSLEGQARQVCLPAVPPKGVIGKVLNANNIQIGYFSCVKLMGGTDGIMVPIHVY -EDAHMFMGNNLALSKDAFTVVYRDVEGDVLILKGPPNYRVVLGVKPRLLLTANFARLGFHSLYFERDGQW -KVQSANVKGAEDGFLAVVSQTLPGDSGLPLFDTKHRICAMHIGHYGEKRPENMATVVLPIRGFSVPDTEV -FKPQVKTTESLYEDDKIFDLVEDLEKEIDEGVVRDLEFEGQHYKTVIGKTSIALLKEAEFLDHMKQVGRD -AVKGKQPWSDWLDDEEDERECLRPIADTKSKYQQPFKLRAPVRSGKTKAAGRCLHLGNEDCCWCRCLPGT -PTQGIQNSLHQGGSKAAGKVEARRKVRSDESRGSSGQTCRGKPKEEKLPKGKESSTSCSERTSAHENGRG -IQTGGQEIGRAREGSAKEECAQGTTSLEVLFDGFYHWLPELCGSSEKAWEQMAQYERPHQPDERSNGGTP -LYNDERRVNLLGFCKVGSCDFTKWKSPTKELSEWGFHRAQASTLLQACQESYGWPDTGAEAELSSLRYQA -AKRAAAQTKALTPSKAARWSVIQRTCVAYGVTRRHAPRWTRTMNRADARFYFCEAVRSLKPDSGSGLPFA -AFYNRTTHSDWCYDDASFEALFDLVWARLGRLRSGSFRNPVQAVQDGLCDPIRLFVKLEPHKRAKIEQKR -FRLIASVSLADQLVARMLFQEQNQAELDMYMYIPSKPGLGFSKDSQVLEFTKSIAYLAHTTPEDLVNNWS -EHLIPTDCSGFDWSVPMWLLEDDLAVRNELTIDCSDDLIKMRSEWLRCLGQSVLVLSNGMMVAQLEPGIQ -KSGSFNTSSTNSRMRYMASLYAGASWAVTMGDDALESVDSDLSQYALLGLICERAEEFDFCSHIFKAPSV -VVPKNIHKMIFGLLSGVSPISPVQEARIQWLQAFQSISEEMRHMPAEFWEEFRTAIGILGDGW - ->YP_008083739.1 P1-P2 fusion [Maize yellow dwarf virus RMV] -MLKLWCALLLLFCLSCSVNSHSLGSSLVRYTPPLRSMRYVPPAEYLLPWNSSSMVTRQNTCIEISYTALS -REILQLAYRDLTRISSVAINASNSGWKVFAEELSSLVTHLHQNISRSFHGLRGLAVDGVQALAGGFLLAV -IYTWSYLISLLFWVVTTLVCRYTFQMSSIACLYVCTMLLVRLASKIFGGWPVMIISLIGTLTSKLFRALS -FKKSSISFEIPTPGYKTVEIPQKPPKDCVLLVQHNDALNSPGGYASCVRLLNGSNALLTAKHVSTQEGDL -LIASSRTGNRIKLSLFNTILTTKNSDVGLYQGPPGWESILGCKAADIVPVDGLSCCEASIYRHDGNWMRS -NASLVGTEGTFVSVLSNTIEGFSGTPYFNGKSILGVHVGGNETRTNNLMAPIPSIPGLTKHKYVFESPQL -RGRLFTDEEVEKLEFEIDEAFQKAHNLIHFKSKTGRNWADDEDDIHFEAPKFQGKRRTRSRPRKQNGLSH -PYTLARRYFRQRHSQQGGGSVGLEDRRLSNRADGCSKDLGISFETPNEQQSETQAKAQNFSAYFASLYRW -EVRGARSQIPGFEACGSLPKYYFTKQKEESEWGRLLAEGNPALASKVSGFGWPQFGPEAELKSLRLQAQR -WLSRAEFAKIPSSEDRERVIRKTVEAYKTCQTQCPKTSQSNLLVWEDFLEDFKQAVFSLEPDAGVGVPFV -GYDRRTHRGWIEDPTLLPVLARMTFDRLHKMSTVKFEQLTAEQLVQQGLCDPIRLFVKGEPHKQSKLDEG -RYRLIMSVSLLDQLVARVLFQNQNKREIALWRAIPSKPGFGLSTDAQSREFVQNLAKQCGVNTTELLANW -PTYTVPTDCSGFDWSVADWMLQDDMEVRNRLTRNNTDLTKRLRACWLKCISNSVLCLSDGTLLAQRIAGI -QKSGSYNTSSSNSRIRVMAAYHCGATWAMAMGDDALESVDTNLDVYKTLGFKVEVSKQLEFCSHIFEKED -LARPVNQNKMIYKLLHGYNPANGSSEVIQRYLDACMSVLNELRHDPETVELLYQWLVAPVQKQKV - ->YP_008083740.1 P1 [Maize yellow dwarf virus RMV] -MLKLWCALLLLFCLSCSVNSHSLGSSLVRYTPPLRSMRYVPPAEYLLPWNSSSMVTRQNTCIEISYTALS -REILQLAYRDLTRISSVAINASNSGWKVFAEELSSLVTHLHQNISRSFHGLRGLAVDGVQALAGGFLLAV -IYTWSYLISLLFWVVTTLVCRYTFQMSSIACLYVCTMLLVRLASKIFGGWPVMIISLIGTLTSKLFRALS -FKKSSISFEIPTPGYKTVEIPQKPPKDCVLLVQHNDALNSPGGYASCVRLLNGSNALLTAKHVSTQEGDL -LIASSRTGNRIKLSLFNTILTTKNSDVGLYQGPPGWESILGCKAADIVPVDGLSCCEASIYRHDGNWMRS -NASLVGTEGTFVSVLSNTIEGFSGTPYFNGKSILGVHVGGNETRTNNLMAPIPSIPGLTKHKYVFESPQL -RGRLFTDEEVEKLEFEIDEAFQKAHNLIHFKSKTGRNWADDEDDIHFEAPKFQGNDARGPVRENKTVSPT -PTHSPVVTSGNDTLNKVVEALVSKIDVSVIERMVVQKISESALKRPTNNNRKHRRKPKTSQPTLPPSTDG -RYVAPARRSPASKPADPSPNTTSQNKKKNQSGGGYLQRETRRWQVKSAASGGPNSDPRPN - ->YP_003029839.2 RNA-dependent RNA polymerase [Wheat yellow dwarf virus-GPV] -MGHMKFAFSAFLWLTCCLSCLQGNHTYGAMDYHYPSDSMPIYSGGVWPLATPPLSPPGKEQCTSTCAPCP -AQPLIDRSYKDLSQALSLRFWRDTRTLCSTALNTSSNYLQYTYDVLSNAARNCFGQVLWFLVYLWTGFLQ -QAFWTAFSVVTGYYLQVASLMSLAIITSWIYKALKWTFGTLPALACIKLVRTILRVLTCKRYFNEKSVDG -YDSYSVPQTPPRKSVFTIRRKDKSHIGYATCITLFNKMNAVVTSEHNLEEGCEFYSPRTGRSIPIAEFTT -LYTSSAMDISILTGPNNWESVLGCKGVFFTTYERLAQCPAQLYVKEGEDWRAHSAKVVGHFDNFAQVLSN -TKPGFSGAGYFHGKTLVGVHKGHAGKEYNFNLMAPLPAIPGVTSPQYVVESDPPQGLVFPTEVADQISSL -VKQAYNKLNFKHDNTIHANDKQWKHGVAWADMEDESGNRQGGSVRRTNRSSEQPRRKLKHPRRRTVQQDC -CSFFTKGTEGPRCIPTHTHNTDNDPKLPRCYCNIPGHGCVYWTITARNNEQFNEFAGPEDRCVENREIGY -RLVGLESSQETTRQARFKQEAQQWRQFFADIYTWEVPTAEQAVPGFQRVGQLPPQYYPRPKTTSAWGELL -CAEHPLLGEKTAGFGWPQVGASAELTSLRLQVARWIERSDSATVPSDAARENVINRTVRAYANCKTNTPR -CLRDKLNWEDFKVDFLEAISSLQLDAGVGIPMITAGLPTHRGWVEDPDLLPVLAQLTFDRLLTMSKSSLE -ARSPEQLVKENICDPIRLFVKQEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQRQNKSEIALWSAIPSK -PGFGLSTEDQVQKFMDVLAGTVGTSAEEVCANWRNLLVPTDCSGFDWSVSDWMLADDMEVRNRLTIDCNE -LTRHLRAVWLQGISNSILCLSDGTMLAQTRPGVQKSGSYNTSSTNSRIRVMAAYHCGASWAIAMGDDALE -APDSDLSRYKSLGFKVEVSGELEFCSHILKSPTLAIPVNANKMLYRLIHGYNPECGNAEVISNYLNAACS -VLHELRHDQELCAQLQKWLISDVTTKLT - ->YP_001931931.2 putative protein P2 [Tobacco vein distorting virus] -MQLXTLFFVLFALFSLSFSAADVPSFQGMPRTGTASGNESGFHGSLFIVEPISLPAVEKRPLPPPGSKPT -INSEPHEPTLKDALVLLWQVTSRDSRRLYSRAQNSLVSSCASGLAIVKTWSQGALRSFLWAVVSIWSLSI -WVIVSWIFYLVTTFTMPVVCLVLLYAFTTFMVKALQWMFTGWPTCLALLILRVGKTIFTVPRFKRNYSEE -KQVKGFVSLKIPQSPPRGCVLLVQHEDGSHAGYASCVKLFDGTLALMTCHHVGTGVPKGKVASSKTTNKI -PLSLFTPLISSEKGDFMLMSGPPNWESLLGCKGATFVPASQLAKSKMRFFFIEKNEWMADHGEIVGXRDH -WFATTLCNSEPGHSGTPIFNGKSIVGVHAGGENEQNFNVMSTIPPIPGLTTPQYVFETTAPQGRVFTDED -LGEXLQSVHSSVPQLHKFLSKTGKNWADYSBEEDFSVEVKNKPVQEPAAETTPPQLDTIEAPPVTESRQW -YPRLPETGNREGQSCLPKQQHPSLSTKQGQGKRGXGRKGPRKLHPAEASRISRRRQRMFREAFGENGGKN -RPFCYRKESGGSISTESHEEASRIPXAQTTAENFRRYFEGKYKWEVPASPQEIPGFEECGSLPQYYHPKQ -IKGSQWGIQLIQEHPELGEKVSGFGWPAVGPQAEVTSLTLQAERWLQRAQSAKIPSSEDRERVINKTVEA -YSNVKTFGPTATRGNKLEWRQFIEDFKSAVFSLELDAGIGVPYIAYGRPTHKGWVEDPKLLPVLARLTFN -RLQKMLEVESSEMSAEELVQAGLCDPIRTFVKREPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKR -EIALWRANPSKPGFGLSTDEQVLEFVQALAAQVEVPPEEVITSWEKYLVPTDCSGFDWSVAEWMLHDDMV -VRNKLTLDLNPTTEKLRFAWLKCISNSVLCLSDGTLLXQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGAD -WAMAMGDDALESVNTNLEVYKSLGFKVEVSGQLEFCSHIFRAPDLALPVNERKMLYKLIFGYNPGSGSLE -VISNYXAACASVLNELRHDPDSVALLXXWLVHPVLPQND - ->NP_620485.2 RNA-dependent RNA polymerase fusion protein [Turnip yellows virus] -MYSKLMFFFALCSISFLFTSEAASTMLLESSYLPLNQSYAPGFLYKRDMLPPPLQAVLTYTCPEPRPLAE -ESYNDLLRAISQKSSSDFQNAYSLALSFSSDFYQHGLKTLKDVSFLAVEKFLWGLTRLWSSLILASFSAL -WWLVSNFTTPVFCLALLYTVTKYMVKTVSFLFGGLPIWIISIAFSLLKKSFSALRSTPKCLYEKAIDGFK -SFTIPQSPPKSCVIPITHASGNHAGYASCIKLYNGENALMTATHVLRDCPNAVAVSAKGLKTRIPLAEFK -TIAKSDKGDVTLLRGPPNWEGLLGCKAANVITAANLAKCKASIYSFDRDGWVSSYAEIVGSEGTDVMVLS -HTEGGHSGSPYFNGKTILGVHSGASATGNYNLMAPIPSLPGLTSPTYVFETTAPQGRVFAQEDIAEIEGL -YAQVMKRVQQAEDFKPKTGKYWGDMEDDEDIFFESKEDLSGNRSARHRPRNKRRRQLHPKDKQRRWERDD -GENNLISSGKDKSREHREESDRGDLRESDENSEIPPQKSPKETAGEFERYFSSLYNWEVPTSPREVPGFR -HCGKLPQYYHPKQKEESSWGKTLVGNHPALGEKTSGFGWPKFGPEAELKSLRLQASRWLERAQSAEIPSD -AERERVIQKTADVYHPCQTNGPAATRGGTLTWNNFMIDFKQAVFSLEFDAGIELPYIAYGKPTHRGWVED -QKLLPILAQLTFFRLQKMLEVNFEDMGPEELVRNGLCDPIRLFVKGEPHKQAKLDEGRYRLIMSVSLVDQ -LVARVLFQNQNKREIALWRAIPSKPGFGLSTDEQVLDFVESLARQVGTTTTEVVANWKNYLTPTDCSGFD -WSVADWMLHDDMIVRNRLTIDLNPATERLRSCWLRCISNSVLCLSDGTLLAQIHPGVQKSGSYNTSSSNS -RIRVMAAFHTGAIWAMAMGDDALESNPADLAAYKKLGFKVEVSGQLEFCSHIFRAPDLALPVNENKMIYK -LIYGYNPGSGNAEVVSNYLAACFSVLNELRHDPASVELLYSWLVDPVLPQKIPGE - ->YP_001949870.2 P1-P2 fusion protein [Melon aphid-borne yellows virus] -MVKTFYAFFLLACLCSSVSSYQGTMFTPLESPDASWWLDSTATAVLPSPPQAQLIYDCPLQKTLRDFSSR -DIIQELWERGCNDARQTSLEVMQNLRNFLTSGVRQLRAGLGSLLHTLFQLIAYLWSSLIWASACAVWHLL -REYTIEMLSLASLYMCTVYMVKTAAWIFGDLPIFLLKAGLSAASGISRVLWFKGNYKAEKSVEGFLSFKI -PQTPPGKSVLQVQHQDGSHAGYATCVALFNGSTGLITAHHVITPGAKIVSTRNGSKIPASEFQIKLENSK -RDLILMTGPPNWEGALACKASQIQTANNLCKSKATFFAWNGEDWESSNAEIVGVSACRNYVSVLSNTNPG -HSGTPYFNGKTLLGVHIGGANDENANYLAPIPAVPGLTSPKYVFETTAPQGRLFNDEEITALVEEFSMSE -VASIMRARKGKQVYVEEAAPKQGNRRRGGDRANNRPTLSHPGQRRKQYKRNINNCCSFFTEGTLAPRTIA -SHEHEPWNDEKPRCFCAIPGHDCFFRNFIGNQERNTGENKPPVNREAGCGSLDEEGHEESRKEATKRQAE -DFQRFFDSQYTWEPGSGKEAPGFKQVGRLPEFYHPKQKTGSKWGAKICRQHPEMDAYTQGFGWPQFGAQA -ELKSLRLQAARWLERAQSVKIPSSEERERVIRKCCEAYRNAKTIGPNATRGDSLSWEGFLEDFKQAVFSL -EFDAGIGVPYIAYGRPTHRGWVEDPKLLPILARLTFNRLQKMLEVRFEHLSPAELVQAGLCDPIRVFVKG -EPHKQSKLDEGRYRLIMSVSLIDQLVARVLFQNQNKREITLWRAVPSKPGFGLSTDEQVVEFMEILSAQV -GVAPKELIGNWQHHLIATDCSGFDWSVSDWLLEDDMEVRNRLTLDINETTKRLRSAWLKCISNSVLSLSD -GTLLSQQVPGVQKSGSYNTSSSNSRIRVMAAYHCGAEWAMAMGDDALESVGSNLAKYAELGFKVEVSSKL -EFCSHIFEREDLAIPVNKAKMIYKLIHGYEPECGNAEVLINYLTACFAVLNELRSDPQLVSTLHQWLVLP -VQPQKI - ->YP_667838.2 RNA-dependent RNA polymerase [Chickpea chlorotic stunt virus] -MALTKVLAIALFCFCFHFFLGASSSLATNWSSPGMAGITAITGFSNDYLQTPGFVPYVYNLVEKPVTIPQ -SKLPLPELNYTDIFKVLWLKGYQDTRTCLVLAFTTSQSSLAHMYDNLSEITSACALRLSWAIVSIWTLVI -WAFCSWMVRIITTHTMLIVAVGLLIACTVATAKLLHLIFGSFSVWIIVPVYRSLAFLWKLRSPKTVSNSM -KIVKEKMTKGFGSYDMIMSPPKSCVLEMLHDDEQHCGYASCILLADGTVGLLTSYHVMEEAYWVKSNKTG -NKIKTSDFRPLTQSQNADLSILVGPPNWQGLLGCSAAQYVTVKHLAAGDARIFYRKNGEWYSGVAKLVGP -HKLNFVNVLSNTEPGFSGTPYFSGNKIVGVHTGGDEEENRNYMAAIPHLEGLTASKYIYETTAPKGRIFD -EDLYQELLEEFSTQEARSIMKHKGFDMECSGKFKGRWVWIDCNNDLTPAEINNILSSKGKTRLESESPRF -FEDAEEFYDAADSMELETSKKANLNRASRRRPRKNRKRRLHPKDQERRWRHCPESDRGSRRENECSRVGE -TSDLQGGSEGAEEYKHPTKEEQKAQRKAWRREQANSFNYFFASQYKWEVPAASEEAPGFEACGKIPQFYH -PKQKQQGRWGEKVVAQHPEMGEKVRGFGWPEFGKEAELKSLRLQTARWLKRAESAKIPSSEERERVIGKT -VEAYQNVKSNCPAATRLNELSWDQFQKSFQPAVHSLELDAGIGVPYIAYGLPTHRGWVENHERQLLPILA -QLTYDRLKKMSQVNFEDMSAEELVQKGLCDPIRVFVKGEPHKQAKLDEGRYRLIMSVSLVDQLVARVLFQ -EQNKLEITLWRSIPSKPGMGLSTDAQVTEFMSSLSQHVQVPVEDLVYDWEKHVLPTDCSGFDWSVSDWLL -QDEMEVRNRLTENNNDLTKRLRGCWLKCLSNSVLALSDGSLFAQRVPGVQKSGSYNTSSTNSRIRVMCAF -HAGASWCIAMGDDALESVDTDLSVYKDIGLKVEVSGQLEFCSHIFEKPDLAIPVNVGKMLYKLIYGYNPE -CGSIQVLRNYIDACTSVLNELRHDPEMVQLLYSWLLDPVLPQN - ->NP_620101.2 replicase fusion protein [Cucurbit aphid-borne yellows virus] -MAPTYFAFFLLVCLCSSVSSYQGTMFIPLEPANASYWLDSTDIAVPPSHPQVQLIYDCPPQKMLRDFSSR -DITLELWERGYNETRQAFSEAMQNLQNLLMSGVRQFHTGLESLLHVILQTAAYLWTSLIWATACATWYLL -SKYTIEMLTLASLYISTVYMVKMAAWIFGDLPISLLKAGLSMVRGVSRALWYKRSYNAEKSVEGYLSFKI -PQNPPKNSVLQVQYKDGSHAGYATCVTLYNGTNGLLTAYHVAVPGSKVVSTRNGNKVPLSEFRSIMESEK -RDLVLLAGPPNWEGTLACKAVQFQSAQNLCKSKASFYAYDGEGWISSNAEIVGIAEGKTHASVLSNTDAG -HSGTPYFNGRTVLGVHVGGAKDENFNYMAPIPPVYGLTSPSYEFETTAPQGRLFTQEEIEELIEEFSFSE -ITSIMGHRRFHQMHDSQRHQADYEYESGNRAGGGDRRNNRTRCHPRGWAHKWRRTVSCCSLFPEGQHVHT -SEQTGKPHCFCSIPHHQCFYRHFVGNQTGNNGQNRCPFNREASGPSLGGQSHEETEVAQTRQEKFEELAT -DFSSFFDAQYTWEQGGEEAPGFDKVGSLPQFYHAKQKKSSNWGDKICKQHPEMGDLTKGFGWPQFGAKAE -LKSLRLQAARWLERAQSVKIPSSEEREHVIERCCRAYQAAKTNGPMATRGDRLSWDNFLQDFKQAVLSLE -MDAGIGVPYIAYGKPTHRGWVEDKKLLPILARLTFNRLQKMLEVRYVDLSPAELVRRGLCDPIRVFVKGE -PHKQAKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIALWRVVPSKPGFGLSTDEQVAEFMQILSAQVG -LTPSDLITEWRAHMIATDCSGFDWSVSDWLLEDDMEVRNRLTLDLNETTRRLRSWLYCISNSVLCLSDGT -LLAQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGAEWAMAMGDDALESACSNLERYKSLGFKVEESSKLEF -CSHIFEKEDLAIPVNKAKMLYKLIHGYEPECGNVEVLINYLAACFSILNELRSDPSLVETLHQWLVAPVQ -PQKI - ->NP_840022.3 RNA-dependent RNA polymerase P1-P2 fusion protein [Cereal yellow dwarf virus RPV] -MKSIYFVCLLAFCCQFSSQENLTLGAVTLTSPFLTPNYLFDGGSQWGTSLPSPLMVTFDRTQNSASTCPP -CQPALSTSSSYSDIIQVGWQKLSLDSKSAFKAAWSLLKDSCTTASRALKAAFHDLVGKALWLLVLIWTGL -LRQLFSAVWSAITNYSLPVCLLISLGIITSWLWKACRWLFGTLPALLCITLVKNIFRILTFKRFFNEKTV -SGYDSYSIPSTPPKRSVIMMRRQNKEHIGYANCIRLFDGRNAIVTVAHNIEEGCSFYSSRTSGSIPITEF -RVIFESKTMDIAILVGPINWESILGCKGVHFTTADRLAECPAALYLLDSDGQWRSNSAKICGHFDNFAQV -LSNTKVGHSGAGYFYGKTLVGLHKGHPGKDFNFNLMAPLPGIPGLTSPQYVVESDPPQGLVFPEEVTESI -EAAIKEATMYKNVFANRGRGAFKSKSGINWEDIEDESGNREGGGVRRNKRSSGKQGYRHPRRRQVPKEDC -CSFFAEGTAAPRCIPTHQHNWEQNSYMSNCYCNIPGHGCAYWTIAARNHEQHNESAGPEDRYVEDREIDS -GPSRESSSEETTRQAWLKETARSWQKFFADIYTWDVSTSKQEVPGFEQVGKFSPQYYPRPRSESEWGRKL -CAEHPLLGEKTAGFGWPQVGASAELTSLRLQAARWLERSESAKIPSDAARENVINRTVQAYSNCKTNTPR -CTRGELSWETFKIDFLEAIKSLQLDAGVGLPMITAGLPTHRGWVEDPDRLPVLAQLTFDRLLTMSKASLE -ARSPEQLVKENLCDPIRLFVKQEPHKQSKLDEGRYRLIMSVSLIDQLVARVLFQRQNKSEIALWSAIPSK -PGFGLSTEDQVSKFMDVLAGNVGASPEEVCDNWRDLLVPTDCSGFDWSVSDWMLADDMEVRNRLTIDCNE -LTRHLRAVWLQGISNSVLCLSDGTMLAQRVPGVQKSGSYNTSSTNSRVRVMAAYHCGASWAIAMGDDALE -APDTDLSKYKDLGFKVEVSGELEFCSRIFKTPNLAIPVNENKMLYRLIHGYNPECGNFEVVQNYLNAAVS -VLHELRHDRELCLKLQEWLISDVTTKLN - ->NP_054685.2 RNA-dependent RNA polymerase P1-P2 fusion protein [Cereal yellow dwarf virus RPS] -MGPKKLSFSVFLWLMCFLSFSQGNHTGMATGSDFPSPTTLIYSGGVWPLVTPHSSPVAGEKCTLTCAPCP -VRSITEPSYKELLQQLWVKAWLDSRALCFTAIDSSSRFLSHAYELSSATARALFGQVLWFAVYLWTNVLL -QTARIVCSVVASYYLQVASLISLGITTSWIYKILRWTFGTLPASLCIRLGKSICRVLTCRKFFNEKSVAG -FDSYSIPQSPPKKSVITLRRADKTHVGFAVCIRLFNNSNALVTSEHNLREADAFYSPRTGRAIKLAEFKV -IFKDADLDVAIVAGPDNWESAFGCGSVHFTTHDRLAKCPAQIYVIDGEDWRAHSAKVVGHFDNFAQVLSN -TKPGFSGAGYFHGKTLLGVHKGHAGKDYNFNLMAPLPAIPGLTSPKYEIESDPPQGLIFPSEVAEEITKT -IQSVYNDFLKLDKSIHSKGKEWKGGVAWADLEDESGKSGNRQGGRVRRTNRSTKSQGRYKRNKESCCTFF -AEGTAAPRCIPTHTHNLDDNPMFSRCYCNIPGHKCPFWDVSARRDAELNEFARTEDRHVEDREIDNRSGR -CTSSEETSRQARLQQETRAWQQYFADVYTWEISTSEQEVPGFQRVGKLSPQYYPRARSTTAWGERLCAEH -PLLGEKTKGFGWPAVGATAELTSLRLQAARWLERSESAKIPSDAARKNVIDRTVQAYSNCKTNVPRCTRD -QLNWDDFRIDFLEAIKSLQLDAGVGIPMITAGLPTHRGWVEDPDLLPVLARLTFDRLLTMSKASLETRSP -EQLVKENLCDPIRLFVKQEPHKQSKLDEGRYRLIMSVSLIDQLVARVLFQAQNKSEIALWSAIPSKPGFG -LSTEDQVESFINVLADTAGARPEEICDKWRDLLVPTDCSGFDWSVSDWMLADDMEVRNRLTIDCNELTRH -LRAVWLQGISNSVLCLSDGTMLSQVKPGVQKSGSYNTSSTNSRIRVMAAYHCGASWAIAMGDDALEAPDT -DLSKYKDLGFKVEVSKELEFCSHIFKSPTLAIPVNANKMLYRLIHGYNPECGNAEVIVNYLNAASSVLHE -LRHDQELCALLHMWLVSGITTKDN - ->NP_840097.3 RNA-dependent RNA polymerase P1-P2 fusion protein [Beet western yellows virus] -MTMRMLTFFLLASLCSLASSYKGTSVMSPGAANVTVWPGYTKPVAPHYIPQAQLTYDCPPPKTLQDYSSH -EMLHEVWGRGSTNTKTSLLRVKTSLIDFCRSGVPMLSAVSHKLLKYVLRGTTYVWSSLIWASLCALWFLI -REYTVEVLMLGSLYVFTVFMVKIAAWIFGAWPIFLFNVGLTILRSISRVLWFRRSYKCEKSVEGFLSFKI -PQSPPKNSVLQVQHPDGSHAGYATCVTLYNGTTGLLTAQHVVSSGCKVVSTRNGNKIPLSEFKVEIESPT -RDLMLMTGPPNWEGTLACKAVNFQTASNLCKSKASFFTFNGEAWESSNAEITGISPCRKFVSVLSNTDPG -HSGTPYFNGKTLLGVHIGGAKEENANYLAPVPPRAGLTTPNYVFETTAPQGRIFTEEEIRELAEDYSLSE -VRSILAARKGKMSYEVETAKSSGNRESGSARRNNRNPQRKHPQGRRKQWRRNPPSCCSYFTEGTLGPRCH -ASHVHTPDDEGQPGCWCEVPNHDCFFRRFQRDQRSHPAEDRYSFDREAGRGDPCREGNEETPESRAEKIC -EQAENFCQYFSSLYRWESGSGKEAPGFEQIGSLPQFYHPKQKGESRWGAKVLQLHPELEAQTRGFGWPQF -GAQAELKSLRLQAARWLERAQQVKIPSTEERERVIRKCCEAYQNAKSNGPNATRGNKLSWDNFLEDFKQA -VFSLEFDAGIGVPYIAYGKPTHRGWVEDQELLPILARLTFIRLQKMLEARFEELSPEQLVQEGLCDPIRV -FVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIALWRAVPSKPGFGLSTDEQVVEFVQML -SAQVKVRPPQLISDWRQHLVATDCSGFDWSVSDWLLEDDMEVRNRLTTDLNETTSKLRAAWLKCISNSVL -CLSDGTLLAQRVPGVQKSGSYNTSSSNSRIRVMAAFHCGAEWAMAMGDDALESVSTDLGKYAALGFKVEE -SSKLEFCSHIFEREDLAVPVNKAKMIYKLIHGYEPECGNPEVLVNYLTACFAILNELRSDPQMVQTLYSW -LVKPVQPQKN - ->YP_007417781.1 putative protein P1 [Beet chlorosis virus] -MDTKLNSSYALFFFSSLILFGALGTMAPSYMVTGPLHAPKISSDFPLPPDITQLLLTQDSVWDCPLQKKL -SDYSYKELVIHVLQRGYNDTQKYLPQLASMDFGKHWDTISGQLTGLNILERLMWGLIRLWGYVIWVVSSS -TMSFLMNNLSLAIIVASLMALSVLMARAAQLFFKILQLCLPVLAARMVMSAFTTMKRVCIERPKSYVKEC -AVRGFTTWAVPMKPPKNSILLISHDDGSHAGYATCVTLHDRQSTTIGLITCSHAPINGSVFSTVTGNKIK -MESFKTLYDDAETDVKILFGPPNWESVMGCKALKLVTRDSLAKGPATIYTFGDNGWTLSQASISGAYDKN -KASVLSITDKGHSGAPYISGKNVIGIHSGGDVVDNVNVCSTIPKIVNLTTPQLVFETTAPQGRLFLEKEM -DDLLEYDWSGQEARAIVKERFERGLYEGKGVKSYDFETTSDNVSYPIVPPHLPGNGLSGADRQTTGTFES -IQSQMPACGDSSRHDLAATQPNFIPTTQVAGTQMTPVQGVSVMTQAIPSAPSVPNTEVELMGLLLNRINL -GNIEEKVAGLIAKNVEKLSRPRRRISKNFSKVNTGGAWVPPHRRSVDSKKSDPSPSSTTLNKGSTQNTGL -EWRKSTVSSKSSQRDLGGPSSAPKPN - ->NP_620479.2 Fusion protein [Beet mild yellowing virus] -MKTVFVLFSLLCLCCSVSSSTPGASFTLPGNASLYDWPGSTITAEPCYPALATLTYECPPEKTLKDFTLP -EIQAEIWGRGYNAAEKFSLAVKQSLKSSFQYGVLKARESYGRVLKSILEWTILLWSYAIWALSCTVWYLL -KNYTIEILMLSSLFAFTTFLVKLVVWIFGGWLTSLVNGLFALTKCILKTLSSRKSYVCERSVKGFLTFTI -KQSPPRNCILQIQHADGSHAGYATCVTLFDGTNGLLTAQHVVDDFYEGDPRKTLKVVSTRNGNKIPLDEF -RVTYTSEKRDQLLMHGPPNWEGVLACKAVHMIPASSVAKSKATFFALSDGEWHSSNAELVGTSKCGKFIS -VLSDTKSGHSGTPYFNGKSVLGVHIGSPKEFESENVNYMSPIPRFPGLTSPNYIFETTALAGKFFSQEEV -EELMEDFSLQEIYSIATARGKYIKYEACPGEETFHDVLTESSPMQGERKGGSDRRNNRKRKHPREIRRKW -KKPSCCSFYTAGTLGENCTASHVHCTSKEEYDEWPRCWCQIAGHDCHYRSNLRDKEGSDRQNGFEIDRET -SGRDTIVDGHEEAPLKRAEKIQEQAKQFGRFFKTQYHWERAAEVCPGFIKVGELPKFYFSKQKGCSDWGT -KLTSLHPELEEKTRGFGWPKFGPAAELKSLRLQAARWLERAEQVKIPSTEERERVVRKCVEAFSPTQTRG -PMATRGNKLSWNNFLEDFKTAVFSLELEAGVGVPYVAYGRRTHRGWIEDPDLLPVLARFTFDRLQKLSEV -KFEHMSPEQLVQEGLCDPIRLFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIALWRAI -PSKPGFGLSTDGQVVDFMQALSAQVGVNTAELLQNWKSHLIPTDCSGFDWSVSDWLLEDEMEVRNRLTLD -INDLTRRLRAGWLKCLANSVLCLSDGTLLSQQVPGVQKSGSYNTSSSNSRIRVMAAYHSGASWAIAMGDD -ALESVDADLSRYSSLGFKVEVSSQLEFCSHIFEEENLAVPVNKAKMLYKLIHGYEPECGNLEVLTNYLAA -CFSILNELRSDQELVASLYQWLVLPVQPQKI - ->NP_114361.2 putative fusion protein P1-P2 [Beet chlorosis virus] -MDTKLNSSYALFFFSSLILFGALGTMAPSYMVTGPLHAPKISSDFPLPPDITQLLLTQDSVWDCPLQKKL -SDYSYKELVIHVLQRGYNDTQKYLPQLASMDFGKHWDTISGQLTGLNILERLMWGLIRLWGYVIWVVSSS -TMSFLMNNLSLAIIVASLMALSVLMARAAQLFFKILQLCLPVLAARMVMSAFTTMKRVCIERPKSYVKEC -AVRGFTTWAVPMKPPKNSILLISHDDGSHAGYATCVTLHDRQSTTIGLITCSHAPINGSVFSTVTGNKIK -MESFKTLYDDAETDVKILFGPPNWESVMGCKALKLVTRDSLAKGPATIYTFGDNGWTLSQASISGAYDKN -KASVLSITDKGHSGAPYISGKNVIGIHSGGDVVDNVNVCSTIPKIVNLTTPQLVFETTAPQGRLFLEKEM -DDLLEYDWSGQEARAIVKERFERGLYEGKGVKSYDFETTSDNVSYPIVPPHLPGNWTERRRPPNNRHLRK -HPKSNARLWRFLKARSCCDSAKFHTHNASGWNTDDTRPRCFCDDPSHTECTFGSKHRSGIDGSPIEPNKS -REYRRESGWTDSEKRREAIKTQAKDFEKFFEGQYRWGMGPTTQEVCGFEEVGSLPKFYHSKQRLNSEYGV -RVAEEYSELKELTAGFGWPKFGAQAELTSLRLQADRWLQRMESAQIPSTEGEESVINRLVEAYSCARTNA -PTSTAGNSLTWEGFIEDIKEAVSSLELDAGVGVPYIAYGTRTHRDWVFNQELLPVLTRLTFNRLQKMLEV -NSDDLNAEQLVQHGLCDPIRVFVKGEPHKVSKLEEGRYRLIMSVSLVDQLVARVLFQNQNKREIELWRAV -PSKPGFGLSTDDQIEDFVKVLASQLGEEPQEVFNNWSTKLIPTDCSGFDWSVADWMLEDDMEVRNRLTRN -NNHTTKRLRSVWLKCISNSVLCLSDGCLLSQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGASWAMAMGDD -ALESVDSNLTEYKKLGFKVEVAKQLEFCSHIFKNERLALPLNVKKMLYKLIYGYNPDSGNLEAIKNYLDA -CHSIVNEIRHDESLVQKIISWLVIPVQPQN - ->NP_056748.3 RNA-dependent RNA polymerase [Potato leafroll virus] -MNRFTAYAALFFMFSLCSTAKEAGFLHPAFNFRGTSTMSASSGDYSAAPTPLYKSWALPSSLNLTTQPPP -PLTDRSYYELVQALTSKMRLDCQTVGDMTWRHLSEMLFASWNSVKEVSLKAASVTLWAIINIWFGLYWTL -ARLITLFLWTFSIEALCLILLGCITSLIYKGALSLSEHLPVFLFMSPLKIIWRAAFSKRNYKNERAVEGY -KGFSVPQKPPKSAVIELQHENGSHLGYANCIRLYSGENALVTAEHCLEGAFATSLKTGNRIPMSTFFPIF -KSARNDISILVGPPNWEGLLSVKGAHFITADKIGKGPASFYTLEKGEWMCHSATIDGAHHQFVSVLCNTG -PGYSGTGFWSSKNLLGVLKGFPLEEECNYNVMSVIPSIPGITSPNYVFESTAVKGRVFSDEAVKELEREA -SEAVKKLARFKSLTDKNWADDYDSDEDYGLEREAATNAPAEKTAQTNSAEKTAPSTSAEKTALTNKPLNW -ASGTVRQNKRQLRHPRRRYKRTTNGQNGRTDHHSYGGENQSLGDRGEDSEQGVSESPAEAQTKEARKAWR -EEQAKQFTSYFNAIYKWGAQEGGCPPGFRKCGHIPRYYHPRTRGETQWGQKLCQVHPELADKTAGFGWPK -AGSEAELQSLNLQAARWLQRAESATIPGAEARKRVIEKTVEAYRNCVTNAPLCSLKSKLDWAGFQQDIRE -AVQSLELDAGVGIPYIAYGLPAHRGWVEDHKLLPVLTQLTFDRLQKMSEASFEDMSAEELVQEGLCDPIR -LFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREISLWRSVPSKPGFGLSTDTQTAEFLEC -LQKVSGAPSVEELCANHKEYTRPTDCSGFDWSVAYWMLEDDMEVRNRLTFNNTQLTKRLRAAWLKCIGNS -VLCLSDGTLLAQTVPGVQKSGSYNTSSSNSRIRVMAAYHCGADWAMAMGDDALEAPNSDLEEYKTLGFKV -EVGRELEFCSHIFRNPTLAVPVNTNKMLYKLIHGYNPECGNPEVIQNYLAAVFSVLQELRHDRELVAKLH -QWLVPSATTKEH - ->YP_006666506.1 P1-P2 fusion protein [Suakwa aphid-borne yellows virus] -MKNMQFVVLFFFCLSASTLCWPEVEPESAQALYPGPTYNLSYDGLSVLELPFHTDWKEIKENFGFFCEEP -GELDLNQLIAESSYTDILTSLSNKILLDFKSVVSVALDTFLVFWALCKGSIQAYAFRAFKAWLEVIITLW -ISVISAILSGLTWALLNIFPHTLTLIFLFFFTNLLVKGFRILCGTACLAFLRMLFSPLLPVKRILTWTLA -RLLGNSFGRKLEKATEGWKSFEIKMAPPSSSALPVRNRITKEHAGYCGFVQTHMKNADGTYVEAFITARH -VIDDDLGEIHSPKTKNFIACADLEVLGDWESIDLILLRAPKHARSYLGCKPASMVAIDRLATCDASTFHL -IDGEWHMSNARLVGDVKNGVAVLSNSVCGHSGSPFYNGKHILGVLYGGGSYFENFNVMNPIPAIPGLTTP -ERRLETTAPRGKIFNNEDFFQKLEEEYLQMKNFKSKTGVDWADLPSDDEMDFQDALPSFRKAEKAEPSHP -VANTREPAVVVGDIRCNISGNRREQGRLLQQRPHVRCCEEPRESCGPDGGQCGHPGDPTRGSSDSCCPAL -RQPEKDESRTVPAWESRGTEADNFRRYFQNEYDWEVRAPAPQVPGFRHCGTLPRYYFSRQKGETEWGKTL -TRDNPSLGEATAGYGWPEFGPGAELKSLKLQAARWRERASSAKVPYPSERERVIRIATERYSKVKSVAPA -CSRSGELKWEGLVEDLKDCLPSLSLEAGVGVPYIAYGRPTLGSWVNDQALLPILSRLVFDRLKKMSETRF -DDMTPEELVQNGLCDPIRTFIKGEPHKSSKLKEGRYRLIMSVSIVDQLVARVLFQNQNKSEIALWRAIPS -KPGLGLSTDEQVLDFVHNLAENTEYRESVEDFIKHWHRTVVPTDCSGFDWSVSSWMLEDDMEVRNNLTIN -NNELTRRLRACWLKCISNSVICLSDGTLLAQEFPGIQKSGSYNTSSTNSRVRVMLSIYAGASWAIAMGDD -ALESADTIIPLYEQYGLKVEVSDKLEFCSHEFKSSSLAIPTNIGKMLYKLIHGYEIFPGMSSIQVTINYL -TACYSVLNELRHVPEAASLVAQCLAPVEAQKV - ->YP_006666507.1 P1 [Suakwa aphid-borne yellows virus] -MKNMQFVVLFFFCLSASTLCWPEVEPESAQALYPGPTYNLSYDGLSVLELPFHTDWKEIKENFGFFCEEP -GELDLNQLIAESSYTDILTSLSNKILLDFKSVVSVALDTFLVFWALCKGSIQAYAFRAFKAWLEVIITLW -ISVISAILSGLTWALLNIFPHTLTLIFLFFFTNLLVKGFRILCGTACLAFLRMLFSPLLPVKRILTWTLA -RLLGNSFGRKLEKATEGWKSFEIKMAPPSSSALPVRNRITKEHAGYCGFVQTHMKNADGTYVEAFITARH -VIDDDLGEIHSPKTKNFIACADLEVLGDWESIDLILLRAPKHARSYLGCKPASMVAIDRLATCDASTFHL -IDGEWHMSNARLVGDVKNGVAVLSNSVCGHSGSPFYNGKHILGVLYGGGSYFENFNVMNPIPAIPGLTTP -ERRLETTAPRGKIFNNEDFFQKLEEEYLQMKNFKSKTGVDWADLPSDDEMDFQDALPSFRKAEKAEPSHP -VANTREPAVVVGDIRCNISGNAESRVDCYNNAPTFDAAKSLEKVVDQMVANVDTLAIQHEAAQILAARLF -DNQKKMKAEQYRRGSRGAPKPTISEGTSKTNTTGKYVPLHRKSPGSVTAEPYRDTTSPARRERQNGARRS -PGTIPHWVRRQPATAGRNSAQGPN - ->YP_004207917.1 putative P1 protein [Pepper vein yellows virus] -MQPQVIFFALFVLSSLSFSAANVPLFQGIPRTGTPSGNKSEFQGLPFTVGSMSLPVVEERFLPPPGSKPM -TISEPHEPTSRDALDLLWQVISRDSRRLFSKAQKDFQDSCAYGLTTVKAWLRGAFQSFLWTVISLWSFGI -WVIVSWTFYLVTTFTMPVVCLALLFACTEFMVMALRWMFTGWPTCLASLVLKTGKTIFTVPRFRRNYSEE -KQVKGFISLKIPQSPPRGSVLLVQHEDNSHAGYASCVRLYDGTLALMTCHHVGTGVPGGKVTSTKTPNKI -PLRLFTPLISSEKGDFMLMSGPPNWESLLGCKGAHFVSASQLAKSKMRFFFIEKDEWMADHGEIVGPRDH -WFATTLCNSEPGHSGTPIFNGKTIVGVHAGGENGQNFNVMATIPPVPGLTTPRYVFETTAPQGRVFTDED -LSEMMKSVTSYPQLEKFKSHTGKNWADDEDFETEVKSKPAPAPVAQEAKPMSSAEEAPPVSKSRQWYPRF -PESGNEKGRAACQTNSNPAPAQEKGKEKKVEAEKAPASPTPSKLPESADGGEKYLEKLLEKLVERIDLAT -IEKKVVEVVAQKAMKKPQGSQRRRRPRRTSNDTSKEATNGRYQPPHKRSQGSNDAEASPSTTTRSKSKVV -TGAPSSSKSTWSWERKSPASAGQQ - ->YP_003915149.1 P1 protein [Cotton leafroll dwarf virus] -MMALMSLFSFVLFFFSFHLSSGEFLPLDSLFPVGFEQTLWPSQEPPSICPRLPISKATISLPCICPPAEP -LAERPAKDLLFALWSKTTGSSLSISALADTNTLKDSLKLWREKLESAPKSAGRFALHVWAFALWQFVSWT -WYLVTNHFLTVAVIVFLYLCTTCMVTALRFLFGGLLGFLIRHITILTMKAVWGCYTKAKSSSSYVKEKAV -EGFLHFTVPQKPPRSSVLLVQHPDGSHVGYATCILLYNGEYGLLTAEHVWNTPGAKVVSTKGDRNKIPFS -EFRRILSVDGGDLVILRGPPSWNSLLSTKAVPFTTQSRLEKGKTTLYSFDGEWKAINGEVVGTEGKFAAH -LSNTSGGYSGTPLFHGKCVVGVHVGGSKLNNWNLAATIPTVSGLTSPNYAFETTAPQGRVFDEAAIENYE -SLVRLTESLVNFQPKSGKKWADYSEEEDFFVEASETLPLQQPVPSAPPLSPRFYPQVDASLVAAPMVPES -ASGNEPGGADRPNKASPSATPVEQQNGGPSVMDRLVAELVNKISTSSIEREVAKQVASKMPILETPPLQP -KQQRRRRKQSKTSETTSTPSTTGKYQPPHKRSQASKPVAPSPSSTTPNKRANRPGGSSSAQNSLNWRKKP -EASGGLNSAPKRS - ->YP_003915148.1 RNA-dependent RNA polymerase P1-P2 protein [Cotton leafroll dwarf virus] -MMALMSLFSFVLFFFSFHLSSGEFLPLDSLFPVGFEQTLWPSQEPPSICPRLPISKATISLPCICPPAEP -LAERPAKDLLFALWSKTTGSSLSISALADTNTLKDSLKLWREKLESAPKSAGRFALHVWAFALWQFVSWT -WYLVTNHFLTVAVIVFLYLCTTCMVTALRFLFGGLLGFLIRHITILTMKAVWGCYTKAKSSSSYVKEKAV -EGFLHFTVPQKPPRSSVLLVQHPDGSHVGYATCILLYNGEYGLLTAEHVWNTPGAKVVSTKGDRNKIPFS -EFRRILSVDGGDLVILRGPPSWNSLLSTKAVPFTTQSRLEKGKTTLYSFDGEWKAINGEVVGTEGKFAAH -LSNTSGGYSGTPLFHGKCVVGVHVGGSKLNNWNLAATIPTVSGLTSPNYAFETTAPQGRVFDEAAIENYE -SLVRLTESLVNFQPKSGKKWADYSEEEDFFVEASETLPLQQPVPSAPPLSPRFYPQVDASLVAAPMVPES -ASGNRTRRSRPPKQGKSICHPRRAAERWSIRNGSAGGRAGKQNFNQLDREGGSQTSGIQNAHSRDPSSTT -QAAAAASEAIKDFRNYFHAFYNWQVPASAQEVPGFQACGALPQFYYAKQKGKSPWGEQLCAEFPQLEEET -RGFGWPQFGPQAELKSLQLQAARWLERAQSAQIPSKEQRERVIQKTVEAYASCRTNRPAATARDVLSWPD -FLEDLKEAVHSLELDAGVGVPYVGYGLPTHRGWVEDPKLLPVLSRLVFDRLQKMSEASFESMTAEELVRA -GLCDPIRLFVKGEPHKQAKLDEGRYRLIMSVSLVDQLVARVLFQAQNKREIALWRAIPSKPGFGLSTDGQ -TQEFLNLLANQMSTTAEDVVARWREYLVPTDCSGFDWSVADWMLHDEMEVRNRLTVQNNGLTRRLRACWL -RCISNSVLCLSDGTLLAQRVAGVQKSGSYNTSSSNSRIRVMSAYHCGASWAMAMGDDALESVDTDLSVYK -QLGFKVEVSGELEFCSHIFLSPTLAIPVNRAKMLYKLIHGYNPGSGNLEVISNYLNACFSVLNELRSDPD -FVALLYSWLVTPVLPQKNDTED - ->YP_003029838.1 replicase [Wheat yellow dwarf virus-GPV] -MGHMKFAFSAFLWLTCCLSCLQGNHTYGAMDYHYPSDSMPIYSGGVWPLATPPLSPPGKEQCTSTCAPCP -AQPLIDRSYKDLSQALSLRFWRDTRTLCSTALNTSSNYLQYTYDVLSNAARNCFGQVLWFLVYLWTGFLQ -QAFWTAFSVVTGYYLQVASLMSLAIITSWIYKALKWTFGTLPALACIKLVRTILRVLTCKRYFNEKSVDG -YDSYSVPQTPPRKSVFTIRRKDKSHIGYATCITLFNKMNAVVTSEHNLEEGCEFYSPRTGRSIPIAEFTT -LYTSSAMDISILTGPNNWESVLGCKGVFFTTYERLAQCPAQLYVKEGEDWRAHSAKVVGHFDNFAQVLSN -TKPGFSGAGYFHGKTLVGVHKGHAGKEYNFNLMAPLPAIPGVTSPQYVVESDPPQGLVFPTEVADQISSL -VKQAYNKLNFKHDNTIHANDKQWKHGVAWADMEDESGNAKAAASAVPTAAASNLGGNSNTPAGGRSNKTV -APSSPKVQKAPAASRPTRTTPTMTRSSPDATATSLDTGACTGPSQQEIMNNLMNLLVQKIDVSKIEKSVI -DLLASKALKKPRGKRGSNKRHNNGDSSSPTSTPGKYQPPNKRSQVSNGSDNSPHSTTHDRKQHQRGGNYS -VPNTLSWVRKLPVSDGPKSGHPQN - ->YP_002308461.1 hypothetical protein PnCV_gp2 [Poinsettia latent virus] -MALLGIKLMTLVFAAWLSCCHSSSALPSSGLSGPCLNHSCLLRNSLNGASQWGTILHSPAVGSNCPPCPM -MSIMGCSPPKPLQSNSYGVLCSTIASKAKQDLKLCWKEVQTRSEMYSKRISAALIDSLHQAVGMLLMIII -WIWSSIFLVVYHVLAYMTTYHLSSAVCVGFLIFCTICAFRLISWICGDLLAFNVSGLTPIWVNFSESSCP -AGLSLRRYKNEKTVEGYKPFIIPQKSPKKSVIELSFSNGSHLGYATCVRLWDGSICLMTAKHCLVKEALL -KGRVAGHSLPVKNFDLFLTCDEIDFSLLRGPKQWEAYLGVKGADLITSNRIGRSPVTFYNLSKDGEWLAN -SAQITGRHGKLCSVLSNTSPGDSGTPYYSGKNVVGIHKGTSELENYNLMIPIPNIPGLTSPDFKFETTNV -RGNLYNDEGFRLSVGEDDKAEHWTDRLMKSITFKTKRWADWAEEESESDDERGKVVPPAKPSNYGEGCPP -EHNQYLSDVGDLLTKVIGPEQNEKCVDILMGIMGVDKNEVAPHKEEKAEKGNEAVVSATVKTVKEPTTQC -DEDIISEIVKRVVDKMNLKAIEKSVVEILAEKAMTKAPRGKRKNSKDTSRPSTPGSYIIPAKRTPDSGPV -EKSLNSTGRAKEESPSGARTLPGNIPAWVR - ->YP_001949871.1 P1 [Melon aphid-borne yellows virus] -MVKTFYAFFLLACLCSSVSSYQGTMFTPLESPDASWWLDSTATAVLPSPPQAQLIYDCPLQKTLRDFSSR -DIIQELWERGCNDARQTSLEVMQNLRNFLTSGVRQLRAGLGSLLHTLFQLIAYLWSSLIWASACAVWHLL -REYTIEMLSLASLYMCTVYMVKTAAWIFGDLPIFLLKAGLSAASGISRVLWFKGNYKAEKSVEGFLSFKI -PQTPPGKSVLQVQHQDGSHAGYATCVALFNGSTGLITAHHVITPGAKIVSTRNGSKIPASEFQIKLENSK -RDLILMTGPPNWEGALACKASQIQTANNLCKSKATFFAWNGEDWESSNAEIVGVSACRNYVSVLSNTNPG -HSGTPYFNGKTLLGVHIGGANDENANYLAPIPAVPGLTSPKYVFETTAPQGRLFNDEEITALVEEFSMSE -VASIMRARKGKQVYVEEAAPKQGNDDAAATAQTTGPHSATRGNGESSTKETSITAAPSSPKEPLPQEPSR -ATSTNRGMMKSRDVSVQSPDTTASSETLSEIKNAILERINLQSIEKQVVEALMKKATRNRGKRQQKDKPK -TSSDSSTPNTHGSREVEKKPLDSNRSAASPSFTTPSKRRGRNGGPRYADSTQRWMPTPRASGGHSSVPRP -N - ->YP_001931930.1 putative protein P1 [Tobacco vein distorting virus] -MQLXTLFFVLFALFSLSFSAADVPSFQGMPRTGTASGNESGFHGSLFIVEPISLPAVEKRPLPPPGSKPT -INSEPHEPTLKDALVLLWQVTSRDSRRLYSRAQNSLVSSCASGLAIVKTWSQGALRSFLWAVVSIWSLSI -WVIVSWIFYLVTTFTMPVVCLVLLYAFTTFMVKALQWMFTGWPTCLALLILRVGKTIFTVPRFKRNYSEE -KQVKGFVSLKIPQSPPRGCVLLVQHEDGSHAGYASCVKLFDGTLALMTCHHVGTGVPKGKVASSKTTNKI -PLSLFTPLISSEKGDFMLMSGPPNWESLLGCKGATFVPASQLAKSKMRFFFIEKNEWMADHGEIVGXRDH -WFATTLCNSEPGHSGTPIFNGKSIVGVHAGGENEQNFNVMSTIPPIPGLTTPQYVFETTAPQGRVFTDED -LGEXLQSVHSSVPQLHKFLSKTGKNWADYSBEEDFSVEVKNKPVQEPAAETTPPQLDTIEAPPVTESRQW -YPRLPETGNEKGRAVCQNNSTPASAQNKGKEKEVVAEKAPANSTQPKLPESADGGKECLEKLLEKMVERI -DLSAIEKKVVEVLAQKAMKKPRGSQXRKRPQKTSDDISKESTNGRYQPPHKRSPALKNAEASPSTTXQSK -SKVVNGASNSSKSTRSWVKKSPASVGQQ - ->YP_667839.1 P1 protein [Chickpea chlorotic stunt virus] -MALTKVLAIALFCFCFHFFLGASSSLATNWSSPGMAGITAITGFSNDYLQTPGFVPYVYNLVEKPVTIPQ -SKLPLPELNYTDIFKVLWLKGYQDTRTCLVLAFTTSQSSLAHMYDNLSEITSACALRLSWAIVSIWTLVI -WAFCSWMVRIITTHTMLIVAVGLLIACTVATAKLLHLIFGSFSVWIIVPVYRSLAFLWKLRSPKTVSNSM -KIVKEKMTKGFGSYDMIMSPPKSCVLEMLHDDEQHCGYASCILLADGTVGLLTSYHVMEEAYWVKSNKTG -NKIKTSDFRPLTQSQNADLSILVGPPNWQGLLGCSAAQYVTVKHLAAGDARIFYRKNGEWYSGVAKLVGP -HKLNFVNVLSNTEPGFSGTPYFSGNKIVGVHTGGDEEENRNYMAAIPHLEGLTASKYIYETTAPKGRIFD -EDLYQELLEEFSTQEARSIMKHKGFDMECSGKFKGRWVWIDCNNDLTPAEINNILSSKGKTRLESESPRF -FEDAEEFYDAADSMELETSKKANLNGLRGADLVKTGKEGSTQKTKSDDGDTVQKVIEALVAKMNVQELEK -QVISKVAQKVQKNTSTPPKKNRRRRGKRGGESKQIALTTSSPPSTSGKYLPPQKRPQGLKPVEKSPNSTI -QSKNNKVDGERKSSPNTQRWVKKSEASAGQSSAKKLN - ->YP_077186.1 RNA-dependent RNA polymerase [Carrot red leaf virus] -MSSRVFMAIFLVLCYISCPAFSTMTTKWAGLPSGASQIIFANLSYGDLFVDSTPEYKIGEGEVTGLTYKD -SGIAELTSHSYTGLMGAILAKIYSDVQKPFSPLWKISLERLESFTKSGIELSHTLIESCLWTVVTIWLAA -YSMIARLGWSLITNFTLPVLALAILCLLTTVICRALIWLYSQLPMFLLTFPWAMIKLVTKLRFRRSKVIK -EQACEGYTTFSIPQNPPKHSVVEIIHPDGSHSGYATCVKLHNGHNGLLTAYHVVSSEGKAVHSLRNGAKI -KLDAFKPFFENEFLDISLFQGPPSWESALACSSVNMVCVNSLALCEARLFGFEGDQWKSRNAKIIGSYEK -QVSVLSNTDKGDSGSAYFHGKNVVGVHSGYPTDGENFNLMAPIPNIPGLTSNKLVFETTAPQGRVFDDET -LNYFDELCEEYSIEEARYIMQNRRGKNLEGKSQNQGNRATLHRQRNKRRSKHPKDKAREYYATPSNGGPR -RKSNTSSTGGHDGEDLRGSPKPSKHGGHRGENRRESGQAGCGEAQQIPRNARWEEDARGFEEYFARIYNW -QVPPTSLQVPGFRDVGATPKYYHSKQKEESKWGRKLTEQHPELAEKTAGFGWPQFGAQAELKSLRLQASR -WLDRAQTAKTPSDAQREHVINRTVSAFAAAQTQTPSFCTKDKLTWPEFLENFKLAVNSLELDAGVGVPFV -SYGLRTHRDWVENPKLLPVLARMTFDRLQKISEVNFSDMTPEELVQNGLCDPIRLFVKGEPHKQSKLDEG -RYRLIMSVSLLDQLVARVLFQSQNKLEIALWRAVPSKPGFGLSTDNQIENFVDCLAKQVGETPEEVIANW -PKYLIPTDCSGFDWSVSDWLLEDDMEVRNRLTIDCTPLLKRLRAGWLKCISNSVLCLSDGTLLAQTVPGV -QKSGSYNTSSSNSRIRVMAAYHCGASWAMAMGDDALESIDTDLSVYKNLGFKVEVSAQLEFCSHIFKERN -LAIPVNINKMLYKLIHGYNPECGNAEVLSNYLSAVVSVMNELRHDPALVSKLFQWLVPSAATKEF - ->YP_077187.1 p1 protein [Carrot red leaf virus] -MSSRVFMAIFLVLCYISCPAFSTMTTKWAGLPSGASQIIFANLSYGDLFVDSTPEYKIGEGEVTGLTYKD -SGIAELTSHSYTGLMGAILAKIYSDVQKPFSPLWKISLERLESFTKSGIELSHTLIESCLWTVVTIWLAA -YSMIARLGWSLITNFTLPVLALAILCLLTTVICRALIWLYSQLPMFLLTFPWAMIKLVTKLRFRRSKVIK -EQACEGYTTFSIPQNPPKHSVVEIIHPDGSHSGYATCVKLHNGHNGLLTAYHVVSSEGKAVHSLRNGAKI -KLDAFKPFFENEFLDISLFQGPPSWESALACSSVNMVCVNSLALCEARLFGFEGDQWKSRNAKIIGSYEK -QVSVLSNTDKGDSGSAYFHGKNVVGVHSGYPTDGENFNLMAPIPNIPGLTSNKLVFETTAPQGRVFDDET -LNYFDELCEEYSIEEARYIMQNRRGKNLEGKSQNQGNEQRCTASATNGAPNIQKTKRVNTTPPPVMEVPV -ESQTPLPQEDMMGKILEALLSRVNMADIEAKIVEKVAKQAVEKHNKSRGTRGGKKTPEASKNTSQESTTG -KYRPPHSRSPGSVTSEQPPNTTTPNKRRNQNGGGNSRSSTQSWQRKQPVSGGPSSGPKQS - ->NP_840096.1 RNA-dependent RNA polymerase P1 protein [Beet western yellows virus] -MTMRMLTFFLLASLCSLASSYKGTSVMSPGAANVTVWPGYTKPVAPHYIPQAQLTYDCPPPKTLQDYSSH -EMLHEVWGRGSTNTKTSLLRVKTSLIDFCRSGVPMLSAVSHKLLKYVLRGTTYVWSSLIWASLCALWFLI -REYTVEVLMLGSLYVFTVFMVKIAAWIFGAWPIFLFNVGLTILRSISRVLWFRRSYKCEKSVEGFLSFKI -PQSPPKNSVLQVQHPDGSHAGYATCVTLYNGTTGLLTAQHVVSSGCKVVSTRNGNKIPLSEFKVEIESPT -RDLMLMTGPPNWEGTLACKAVNFQTASNLCKSKASFFTFNGEAWESSNAEITGISPCRKFVSVLSNTDPG -HSGTPYFNGKTLLGVHIGGAKEENANYLAPVPPRAGLTTPNYVFETTAPQGRIFTEEEIRELAEDYSLSE -VRSILAARKGKMSYEVETAKSSGNGRAAAPAETTATRKESTHKAGESSGVETHQAAVPISQKERSAQDVT -QATYTPPMMRANQVAGAKSQTTTASSDAFSEIKEAILRKIDIRSIERQVVETLAEKAMKKPRRAGRRRSA -NKQKTFVNTSAPSTDGNQGQGKKPPVSSKSEVSPSFTTLNKRANRVGEQKSSSSTQSWKPKPAASGGPSS -GPKRN - ->NP_840021.1 RNA-dependent RNA polymerase P1 [Cereal yellow dwarf virus RPV] -MKSIYFVCLLAFCCQFSSQENLTLGAVTLTSPFLTPNYLFDGGSQWGTSLPSPLMVTFDRTQNSASTCPP -CQPALSTSSSYSDIIQVGWQKLSLDSKSAFKAAWSLLKDSCTTASRALKAAFHDLVGKALWLLVLIWTGL -LRQLFSAVWSAITNYSLPVCLLISLGIITSWLWKACRWLFGTLPALLCITLVKNIFRILTFKRFFNEKTV -SGYDSYSIPSTPPKRSVIMMRRQNKEHIGYANCIRLFDGRNAIVTVAHNIEEGCSFYSSRTSGSIPITEF -RVIFESKTMDIAILVGPINWESILGCKGVHFTTADRLAECPAALYLLDSDGQWRSNSAKICGHFDNFAQV -LSNTKVGHSGAGYFYGKTLVGLHKGHPGKDFNFNLMAPLPGIPGLTSPQYVVESDPPQGLVFPEEVTESI -EAAIKEATMYKNVFANRGRGAFKSKSGINWEDIEDESGNGKAAASAVTNAAAANKVIATPGVAKSQKKTA -VPSSPKAPQPPAASQPTSTTGSRTPICPIATATSPDTAVPTGPSQQEIMNNIMNLLVQRIDMSKIEKSIV -DQVANQALKKPRGKRGSKKRPAAGKSSSPTSTPGTYQHPNKKSQASNRLGNSPPSTTRAPAANQNGGENS -VPNTLSWVRKLPVSGGPKSGHPLN - ->NP_620486.1 hypothetical protein [Turnip yellows virus] -MYSKLMFFFALCSISFLFTSEAASTMLLESSYLPLNQSYAPGFLYKRDMLPPPLQAVLTYTCPEPRPLAE -ESYNDLLRAISQKSSSDFQNAYSLALSFSSDFYQHGLKTLKDVSFLAVEKFLWGLTRLWSSLILASFSAL -WWLVSNFTTPVFCLALLYTVTKYMVKTVSFLFGGLPIWIISIAFSLLKKSFSALRSTPKCLYEKAIDGFK -SFTIPQSPPKSCVIPITHASGNHAGYASCIKLYNGENALMTATHVLRDCPNAVAVSAKGLKTRIPLAEFK -TIAKSDKGDVTLLRGPPNWEGLLGCKAANVITAANLAKCKASIYSFDRDGWVSSYAEIVGSEGTDVMVLS -HTEGGHSGSPYFNGKTILGVHSGASATGNYNLMAPIPSLPGLTSPTYVFETTAPQGRVFAQEDIAEIEGL -YAQVMKRVQQAEDFKPKTGKYWGDMEDDEDIFFESKEDLSGNGVRGTVRGTNGEGSSTPKTSNVDGKEMM -EKIISSLVGKINLENIERKVIEEISAKAMKTPKSRRRRAPKKQPESSKDTSPRSTTGKYQPPHVRSPASV -TAANCPNTTTPSKKKNLAGGRPSSGTIPRWVRKQAASAGPSSAPKQN - ->NP_620102.1 hypothetical protein P2 [Cucurbit aphid-borne yellows virus] -MAPTYFAFFLLVCLCSSVSSYQGTMFIPLEPANASYWLDSTDIAVPPSHPQVQLIYDCPPQKMLRDFSSR -DITLELWERGYNETRQAFSEAMQNLQNLLMSGVRQFHTGLESLLHVILQTAAYLWTSLIWATACATWYLL -SKYTIEMLTLASLYISTVYMVKMAAWIFGDLPISLLKAGLSMVRGVSRALWYKRSYNAEKSVEGYLSFKI -PQNPPKNSVLQVQYKDGSHAGYATCVTLYNGTNGLLTAYHVAVPGSKVVSTRNGNKVPLSEFRSIMESEK -RDLVLLAGPPNWEGTLACKAVQFQSAQNLCKSKASFYAYDGEGWISSNAEIVGIAEGKTHASVLSNTDAG -HSGTPYFNGRTVLGVHVGGAKDENFNYMAPIPPVYGLTSPSYEFETTAPQGRLFTQEEIEELIEEFSFSE -ITSIMGHRRFHQMHDSQRHQADYEYESGNGQAAATAETTAPDATPAVGRTSGDAQSPAAPSSPRVNTYTP -PNKRENRTASAVSPTTSASTDTLSEIKQVIMDKIDVHSIEKQVVQALADKAMKKPRSRRRGKRSSKNSQP -TSQVSSMPSTPGNKAGKKPQDSTKSDPSPSFTTLNKRKARIGETKSANSTQKWVISQRASGGPSSAQKLN - ->NP_619736.1 hypothetical protein [Pea enation mosaic virus 1] -MASFLKPVNSQGLWLSLLLAITYLFLLPSAGQSLDPSGIGLAAGCSQSQGGISSFAALPRPCNDSVCTLP -DLGWSCQRTAQDTANQQQSPFNHTGHFLTTSGWTWPNWTCSPSQCQLLIHLPTWQIVKQDFLLLLKEWDL -LTMCQRCSDLLTKTPGFILRFAGETLILVANLIEFVLVSWSLWLCSVLVYVAQAVPGKFLLYMAAFCTTF -WAWPRETASSLIRIVTTPLTLIGFLNKTGIGLISHCLALTWNMFMTWSLLPWVTLMKMMKILITSSRVLT -RSGRPKRTSSKSLKHKLKISRAIQKKQGKKTPVEERTIPGVQIKKLREDPPKGVILRCTDQFGDHVGYAS -AVKLEKGQTGIVLPIHVWTDTVYINGPNGKLKMADFTALYEVTNHDSLIMTSAMAGWGSILGVRPRPLTT -IDAVKLKNYSLFTERDGKWYVQAAKCIAPAEGMFRVVSDTRPGDSGLPLFDMKMNVVAVHRGTWPSERFP -ENRAFAILPVPDLTSSSSPKFTGCETYSEAETAYEMADNFSDGEEILIRTKGQSYRTFIGSNKVALLSIR -KLEEELSRGPIGLWADDTEDDESAPRRSGNGLFRSTPEKQSQAKTPSPKVEESAAPPPAPRAEKVRHVRR -SEMTPEQKRADNLRRRKAKAAKKTPSTPPKKSKDKAPTLSQVAELVEKAVRAALTVQPRRSRASSKISIG -GRNPGRKPQVSIQLDPVPSQSTSVPPKDSQAGESAWLGPRRSYRPVQKSTVGQKQEPRRN - ->NP_612215.1 hypothetical protein P2 [Beet mild yellowing virus] -MKTVFVLFSLLCLCCSVSSSTPGASFTLPGNASLYDWPGSTITAEPCYPALATLTYECPPEKTLKDFTLP -EIQAEIWGRGYNAAEKFSLAVKQSLKSSFQYGVLKARESYGRVLKSILEWTILLWSYAIWALSCTVWYLL -KNYTIEILMLSSLFAFTTFLVKLVVWIFGGWLTSLVNGLFALTKCILKTLSSRKSYVCERSVKGFLTFTI -KQSPPRNCILQIQHADGSHAGYATCVTLFDGTNGLLTAQHVVDDFYEGDPRKTLKVVSTRNGNKIPLDEF -RVTYTSEKRDQLLMHGPPNWEGVLACKAVHMIPASSVAKSKATFFALSDGEWHSSNAELVGTSKCGKFIS -VLSDTKSGHSGTPYFNGKSVLGVHIGSPKEFESENVNYMSPIPRFPGLTSPNYIFETTALAGKFFSQEEV -EELMEDFSLQEIYSIATARGKYIKYEACPGEETFHDVLTESSPMQGEGRAAPTAGTTGNASTHERSAGNG -KSPRAAPSTPREPLVKTAPQATYIVPQKRNMTNGRDAGAKLQATTATTDQISEIKKALIDKMDLKSIERQ -VVETLSSMAMKKPRSRGRRRSKNKQNNLDASSKPSTTGKEPQRSAPVSSKSVSSPSFTSLNKKDARIGAR -SSPASTQNWRRKPEASGGPSSGQRRN - ->NP_054686.1 RNA-dependent RNA polymerase P1 [Cereal yellow dwarf virus RPS] -MGPKKLSFSVFLWLMCFLSFSQGNHTGMATGSDFPSPTTLIYSGGVWPLVTPHSSPVAGEKCTLTCAPCP -VRSITEPSYKELLQQLWVKAWLDSRALCFTAIDSSSRFLSHAYELSSATARALFGQVLWFAVYLWTNVLL -QTARIVCSVVASYYLQVASLISLGITTSWIYKILRWTFGTLPASLCIRLGKSICRVLTCRKFFNEKSVAG -FDSYSIPQSPPKKSVITLRRADKTHVGFAVCIRLFNNSNALVTSEHNLREADAFYSPRTGRAIKLAEFKV -IFKDADLDVAIVAGPDNWESAFGCGSVHFTTHDRLAKCPAQIYVIDGEDWRAHSAKVVGHFDNFAQVLSN -TKPGFSGAGYFHGKTLLGVHKGHAGKDYNFNLMAPLPAIPGLTSPKYEIESDPPQGLIFPSEVAEEITKT -IQSVYNDFLKLDKSIHSKGKEWKGGVAWADLEDESGKSGNAKAAASAVPTAAPKAKAVISATKKVAAPSS -QKEPPRQGASQPTPTTSTTTPCSPVAIATSPDTSVPSGMSQQDVMQNLMSLLVQRIDMSKIEKSIIDQVA -AQALKKPRGKRGSNKKRAPGNSTSPMSTPGRYLPPNKRSQGSKGLENSPPSTIPVPDQQQPGGSVSAPNT -RSWVRKQKVSGGPPLAPPQN - ->NP_050006.1 multifunctional protein [Sugarcane yellow leaf virus] -MAPTLPFTTGWSTFALLLSLWCLFCSCQTQPLDGTSILRDSTSSLSFYGLPATNSTIELRDAVPSGLYSS -KITSAPNFSELSYSSMLGWMFFKMWRDCKTFSREATTLSDRFLEYTFSNTKGLLVEFLNNLLWNLIKLYV -YAFTIITRLIMTMTILAWKPILIICLLVVLTSMVYHLMRKLFSFIQIWWVVAPILMIYRTVTYIHRRLFA -SRGDEKMVQGFKSFAVPMSPPGHSVLEIVHPDDSHMGYASCVRLKNGEEALMTSVHCISDSFKVRSFRNG -IKIPLTEFQVLLPANAMDIVLLRGPPEWKSILGAKAAHFTPVNQLNKGAVSFFIFDKEWMMHNAKVTGTD -GFYATVLSNTEKGYSGAPYWNGKSIVGVHKGHVYGDDSKNYNLMSPIPPVKGLTAPNFVYESPSLQGDVF -SDADVSDIADYAEEVYEKTLAEPKVWKPASGKYWWEMAEEEDDFVVEAKSPPPDKPVENATAKEQTPAST -VEKAMVADQPTPDQGNEPSGADHLKTPESTPLKQDESTKELLNNIVSQLIQKIDLSSIATRVEEKLLRQA -QNKQQQNGGKKSPRKRKTSNTSSKPHTNGKSPPVTSQGSGNAANSPRYTIPQNRRAHNGESASSQSTQKW -RPKSPVSGGPPSAPKPKRQV - ->NP_056747.1 P1 protein [Potato leafroll virus] -MNRFTAYAALFFMFSLCSTAKEAGFLHPAFNFRGTSTMSASSGDYSAAPTPLYKSWALPSSLNLTTQPPP -PLTDRSYYELVQALTSKMRLDCQTVGDMTWRHLSEMLFASWNSVKEVSLKAASVTLWAIINIWFGLYWTL -ARLITLFLWTFSIEALCLILLGCITSLIYKGALSLSEHLPVFLFMSPLKIIWRAAFSKRNYKNERAVEGY -KGFSVPQKPPKSAVIELQHENGSHLGYANCIRLYSGENALVTAEHCLEGAFATSLKTGNRIPMSTFFPIF -KSARNDISILVGPPNWEGLLSVKGAHFITADKIGKGPASFYTLEKGEWMCHSATIDGAHHQFVSVLCNTG -PGYSGTGFWSSKNLLGVLKGFPLEEECNYNVMSVIPSIPGITSPNYVFESTAVKGRVFSDEAVKELEREA -SEAVKKLARFKSLTDKNWADDYDSDEDYGLEREAATNAPAEKTAQTNSAEKTAPSTSAEKTALTNKPLNG -QAAPSAKTNGNSDIPDAATSAPPMDKMVEQIITAMVGRINLSEIEEKIVSRVSQKALQKPKQKKRGRRGG -KNKQNSLPPTSTQSTSGAPKKEAAPQASGSAGTSRATTTPAPEAKPSGGKNSAKFTPSWRIKQQDSAGQK -PDLKLNSKA - ->sp|P11623.2|RDRP_PLRVW RecName: Full=Protein P1-P2; Contains: RecName: Full=Serine protease; Contains: RecName: Full=RNA-directed RNA polymerase; AltName: Full=69.6 kDa protein; Flags: Precursor -MNRFTAYAALFFIFSLCSTAKEAGFQHPAFNFRGTSTMSALSGDYSAAPTPLYKSWALPSSLNLTTQPPP -LLTDRSYYELVQALISKMRLDCQTVGDMTWRHLSEMLFASWNSVKEVSLKAASVTLWAIISIWFGLYWTL -ARLITLFLWTFSIEALCLILLGCITSLIYKGALSLSEHLPVFLFMSPLKIIWRAAFSKRNYKNEKAVEGY -KGFSVPQKPPKSAVIELQHENGSHLGYANCIRLYSGENALVTAEHCLEGAFATSLKTGNRIPMSTFFPIF -KSARNDISILVGPPNWEGLLSVKGAHFITADKIGKGPASFYTLEKGEWMCHSATIDGAHHQFVSVLCNTG -PGYSGTGFWSSKNLLGVLKGFPLEEECNYNVMSVIPSIPGITSPNYVFESTAVKGRVFSDETVKELEREA -SEAVKKLARFKSLTGKNWANDYDSDEDYGLEKEAATNAPAEKTAQTNSAEKTAPSTSAEKTAPTNKPFKW -ASGTARQNKRQLRHPRRRYKRTTNGQNGRTDHHSYGGENQSLGDRGEDSEQGVSESPAEAQTKQTRKTWR -EEQAKQFTSYFDAIYKWGAQEEGCPPGFRKCGNIPGYYHPRTKGETKWGQKLCQVHPELADKTAGFGWPK -AGFEAELQSLNLQAARWLQRAESATIPGAEARKRVIEKTVEAYRNCITNAPLCSLKSKLDWAGFQQDIRE -AVQSLELDAGVGIPYIAYGLPTHRGWVEDHKLLPVLTQLTFDRLQKMSEASFEDMSAEELVQEGLCDPIR -LFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREISLWRSVPSKPGFGLSTDTQTAEFLEC -LQKVSGAPSVEELCANHKEHTRPTDCSGFDWSVAYWMLEDDMEVRNRLTFNNTQLTERLRAAWLKCIGNS -VLCLSDGTLLAQTVPGVQKSGSYNTSSSNSRIRVMAAYHCGADWAMAMGDDALEAPNSDLEEYKTLGFKV -EVGRELEFCSHIFRNPTLAVPVNTNKMLYKLIHGYNPECGNPEVIQNYLAAVFSVLQELRHDRELVAKLH -QWLVPSATTKEH - ->sp|P11622.1|P1_PLRVW RecName: Full=Protein P1; AltName: Full=69.7 kDa protein; AltName: Full=Genome-linked protein precursor; AltName: Full=Protein ORF1; Contains: RecName: Full=Serine protease; Contains: RecName: Full=VPg/P1-C25; Flags: Precursor -MNRFTAYAALFFIFSLCSTAKEAGFQHPAFNFRGTSTMSALSGDYSAAPTPLYKSWALPSSLNLTTQPPP -LLTDRSYYELVQALISKMRLDCQTVGDMTWRHLSEMLFASWNSVKEVSLKAASVTLWAIISIWFGLYWTL -ARLITLFLWTFSIEALCLILLGCITSLIYKGALSLSEHLPVFLFMSPLKIIWRAAFSKRNYKNEKAVEGY -KGFSVPQKPPKSAVIELQHENGSHLGYANCIRLYSGENALVTAEHCLEGAFATSLKTGNRIPMSTFFPIF -KSARNDISILVGPPNWEGLLSVKGAHFITADKIGKGPASFYTLEKGEWMCHSATIDGAHHQFVSVLCNTG -PGYSGTGFWSSKNLLGVLKGFPLEEECNYNVMSVIPSIPGITSPNYVFESTAVKGRVFSDETVKELEREA -SEAVKKLARFKSLTGKNWANDYDSDEDYGLEKEAATNAPAEKTAQTNSAEKTAPSTSAEKTAPTNKPLNG -RAAPPAKTNGNSDIPDAAISAPPMDKMVEQIITAMVGRINLSEIEEKIVSRVSQKALQKPKQNKRGRRGG -KNKQNNLPPTSTQSISGAPKKKAVPQASGSAGISPATTTPAPKEKPSGGKNSAKFIPSWRIKQQDSAGQK -PDLKLNSKA - ->sp|Q5NDM9.2|RDRP_PNLV RecName: Full=Protein P2-P3; Contains: RecName: Full=Serine protease; Contains: RecName: Full=RNA-directed RNA polymerase; Flags: Precursor -MALLGIKLMTLVFAAWLSCCHSSSALPSSGLSGPCLNHSCLLRNSLNGASQWGTILHSPAVGSNCPPCPM -MSIMGCSPPKPLQSNSYGVLCSTIASKAKQDLKLCWKEVQTRSEMYSKRISAALIDSLHQAVGMLLMIII -WIWSSIFLVVYHVLAYMTTYHLSSAVCVGFLIFCTICAFRLISWICGDLLAFNVSGLTPIWVNFSESSCP -AGLSLRRYKNEKTVEGYKPFIIPQKSPKKSVIELSFSNGSHLGYATCVRLWDGSICLMTAKHCLVKEALL -KGRVAGHSLPVKNFDLFLTCDEIDFSLLRGPKQWEAYLGVKGADLITSNRIGRSPVTFYNLSKDGEWLAN -SAQITGRHGKLCSVLSNTSPGDSGTPYYSGKNVVGIHKGTSELENYNLMIPIPNIPGLTSPDFKFETTNV -RGNLYNDEGFRLSVGEDDKAEHWTDRLMKSITFKTKRWADWAEEESESDDERGKVVPPAKPSNYGEGCPP -EHNQYLSDVGDLLTKVIGPEQNEKCVDILMGIMGVDKNEVAPHKEEKAEKGKRSSGFGHGKNRKGTNHPM -RRGYNFRNCKKGGGQDESESHREISGRDPGRESNDKSPQGEAEEFERYFSSFYSWKLHNSGEANSGFRPC -GKIPKFYRPRKRRVSEWGQNLARKHSSLGEITQGFGWPEAGAEAELRSLRLQAQRWLERSKSSVIPSAIE -REIVISRLVESYKICRSEAPLCSSGSDLSWKGFLEDFREAVSSLELDAGIGVPYIGYGYPTHRGWVENPR -LLPVLSRLVYARLQRLATLSVDGKTPEELVRDGLVDPVRVFVKGEPHKQSKLDEGRYRLIMSVSLIDQLV -ARVLFQKQNKLELLLWRSIPSKPGFGLSTVEQVEEFIDHLARVVDVKSDDLLENWRELMVPTDCSGFDWS -VSDWMLKDEMEVRNRLTINCNDLTRRLRNSWLYCLSNSDLALSDGSLLAQEVPGVQKSGSYNTSSTNSRI -RVMAAYFAGASWAVAIGDDALESIDTTLAVYKSLGFKVEVSEDLEFCSHIFKTRSLAIPVNTSKMLYRLI -YGYEPECGNLDVLRNYLCALASVLHELRHDQDLVQNLSKWLIPDGSQKIS - ->APG31666.1 RNA-dependent RNA polymerase fusion protein [Turnip yellows virus] -MNSKLMFFFVLCSISFLFTLEAASTVLFESTHLPFNQSFAPGSLYKRDMLPPPPQVALIYTCPEPRPLVE -ESYNDLLRAISQKSSSDFQNVYSLALSFSSDFCQHGLEILKDVSFLAVEKFLWGLTHLWSSLILASFSAL -WWLVSNFTTPVFCLALLYIVTRYMVKMVLFLFGGLPIWIILTFFSLLKKSFSALRSTPKCLYEKAIDGFK -SFTIPQSPPKSCVIPITHASGNHAGYASCIKLFNGENALMTATHVLRDCPSAVAISAKGLKTRIPLAEFK -IIASSDKGDVTLLRGPPNWEGLLGCKAANVITVANLAKCKASIYSLERDGWVSSYAEIVGSEGTDVVILS -HTEEGHSGSPYFNGKTILGVHSGASATGNYNLMAPIPSLPGLTSPTYVFETTAPQGRVFTQEDITAIEEL -YSEAKARLERVANFKPKSGIYWGDVEDDDDIVFENKEDKSGNRSARHRPRNKRRRQLHPKDKQRRWERDD -GENNLISSWKNKSREYREESDRGSLRESDEDSEVPPQKSSKETAGEFERYFSSLYNWEVPTSPCEVPGFR -HCGKLPQYYHPKQKEESSWGKTLVGNNPALGKKTSGFGWPKFGPEAELKSLRLQASRWLERAQSAEIPSD -AERERVIQKTADVYHSCQTNGPAATRGGALTWNNFMIDFKQAVFSLEFDAGIGVPYIAYGKPTHRGWVED -QKLLPVLAQLTFIRLQKMLEVSFEDMGPEELVRNGLCDPIRLFVKGEPHKQAKLDEGRYRLIMSVSLVDQ -LVARVLFQNQNKREIALWRAIPSKPGFGLSTDEQVLDFVKSLARQVGTTTSEVVTNWKDYLTPTDCSGFD -WSVADWMLQDDMIVRNRLTIDLNPATERLRSCWLRCVSNSVLCLSDGTLLAQTHPGVQKSGSYNTSSSNS -RIRVMAAFHTGAAWAMAMGDDALESNPADLAAYKRLGFKVEVSGQLEFCSHIFRAPDLALPVNENKMIYK -LIYGYNPGSGNAEVVSNYLAACFSVLNELRHDPASVELLYSWLVDPVLPQKIPGE ->AQU42692.1 P1-P2 [African eggplant yellowing virus] -MVKILLALFALFSLFSLGTPSHLGLPLDGTLFRGNGNELIASPSTAELHHFPSINLSVLRPMRSPGRETL -DSKDLTFSDAYRLMWEVSLRDTKHLSFEATRILQSSFASGRATLTNCLERALGALLWMIVWIWSSVIWAF -GYWTFYMVTTFTMPVVCLALLFAFTKFMVLAAEKMLAGWPVYLGKLFLRLLKTALTALFSKRNYVPEKSV -KGFISVKIPQSPPRGSVLLIQHDDGSHAGYASCVKLYDGTLALMTCEHVAMGVEGGRVVSAKTRNKIPLN -LFTPLLISQKGDFALLSGPPNWESLLGCKGAMFXPASQLAKSKMRFYFVENEEWMADHGEVVSARDHWFA -TTLCNSEPGFSGTPIFNGKTIIGVHAGSEVEENSNLMSVIPPVPGLTTPQYVYETTAPQGRLFIDEDVSV -LLKSVKTRIPDIAKYVSVSGRNWADYRSDDDDDFFESSVTFEGETKPASTVAPATPPIQFGTVPETPVGK -QQWVPRAQPSSGNRERQSCLPKQQHPSSEAKAHSGGKAKAKGPRNQHPAKAARIARKRAQAARAAEERAG -NVRKAHGENGGEDRPIRHRKESGASSCREGNEEAKRLSAQEIAENFEAHFGGLYEWEVSTSPQEIPGFER -CGYLPRYYFPKQIQGSSWGAQLIEEHPELGEKVAGFGWPLVGPRAEVTSLTLQAERWLQRAQSAKIPSTE -DRERVINKTVDAYKDVKTMGPTATRGNKLEWSQFLEDFKXAVNSLELDAGIGVPYISYGRPTHRGWVEDP -KLLPVLARLTFSRLQKMLEVEADLLTAEQLVQEGLCDPIRVFVKREPHKQSKLDEGRYRLIMSVSLVDQL -VARVLFQNQNKREIALWRCNPSKPGFGLSTDEQVLEFVETLAAQVEVTPEDLVASWEKYLVPTDCSGFDW -SVAEWMLHDDMVVRNKLTLDLNSTTEKLRKTWLRCISNSVFCLSDGTLLAQRVPGVQKSGSYNTSSSNSR -IRVMAAYHAGASWAIAMGDDALESVNTNLEVYKSLGFKVEVSGQLEFCSHIFRAPDLATPVNENKMLYKL -IYGYNVGCGNLEVVSNYIAACASVLNELRHDPDSVALLYQWLVDPVLPQKI ->AEI55839.1 RNA dependent RNA polymerase [Chickpea chlorotic stunt virus] -MALIQQLAIALFCFCSLFYSGASSSLMANWSSPAYTGVTVLGGTSSDYYQTLGFAPYVYNPVEVPKTIPQ -FKLPQPELAYTDIFNVLWLKGYQDTKTCLALVFNTSQKSLERMYANLNNITSACALKLSWAIVWVWTWIF -WALCSWMVRIITTHIMLIVAVGLLIACTVATAKLLHLIFGSFSVWIIVPVYRSLAFLWKLRSPKSVASTM -KIVKEKMTKGFGSYDMIMSPPKSCVLEMLHDDEQHCGYASCILLADGTVGLLTSYHVIEEAYWVKSHKTG -NKIKTSDFRPLTQSRNADLTIMVGPPNWQGLLGCSAAQYVTMKNLAAGDARIFYRRDGEWYSGVAKLVGP -HELNFVNVLSNTEPGFSGTPYFSGNKIVGVHTGGDENENRNYMAAIPHIEGLTASKYIYETTAPKGRIFD -ENLYQELLEEFSSAEVHSIMKHRGFDMECAHKFNGGWVWIDCNDDLTLAEIQKLLSSKGKTGYDGVMKIP -KSDVYEDKLLNGKNLKGSDTCHIELKEELPRITEETFYDAIDSMELETSKKANLKRGARRRPRKNRRKRL -HPKDQERRWRHCPESDRSSCRKNECSRIGETSNLQGGSEGAEEYKHPTQEEQKAQRKAWRRDQANSFNYF -FASQYKWEVPAAPEEVPGFEACGRLPQFYHPKQKQQGRWGEKVVAQHPEMGEKVRGFGWPEFGKEAELKS -LRLQTARWLKRAESSKIPSTEDRERVIGKTVEAYKNVKSTCPAATRLNELSWEQFQKSFQPAVHSLELDA -GIGVPYIAYGLPTHRGWVENHERQLLPILAQLTYDRLKKMSQVSFEDLSAEELVQKGLCDPIRVFVKGEP -HKQSKLDEGRYRLIMSVSLVDQLVARVLFQEQNKLEITLWRSIPSKPGMGLSTDAQVTEFMSSLSQHVQV -PVEDLVYDWEKHVLPTDCSGFDWSVSNWLLQDEMEVRNRLTQNNNDLTKRLRSCWLKCLSNSVLALSDGS -LFAQRVSGVQKSGSYNTSSTNSRVRVMCAFHAGATWCIAMGDDALESVDTNLEVYKNLGLKVEVSGQLEF -CSHIFEKPDLAVPVNVGKMLYKLIYGYNPECGSIQVLRNYIDACTSVLNELRHDPEMVQLLYSWLLDPVL -PQN ->ALR87185.2 RNA-dependent RNA polymerase [Phasey bean mild yellows virus] -MNSFFVLSFFFYVFFCVAPVTSGSDLAQPNWALLRAGNSTWPVSQPGWGFTSPCPPCPAAKCSFNFAQSS -LDAGPTSQPPTYKGLIQDLWGLALSDVETSFSAVYLSFQDSWVHTADSLSRGFEIAFQRLCWLAIYVWYS -VLRVLIVTIARFIMNNYILALAGGSLFVCTALLVKMVRWICGQLPIYLVFTFPISVGRWIFQRRSWGKFS -RNYAEEKCCEQFLSFTINQDPPKKCQLVFQKEDGKHAGYGTCVRLFNGTNGLLTAYHVASNSSKVVSTRT -GNKIPLSQFKPLIVSPLYDQVLYVGPTEWESLLGCKGVNFAPAKTLGASKCNIYHIQKDGKWGCTNAEIE -GQLKHRDFTMNGTKPTEIRDALPGQLSVLSNTEPGQSGAGYFNGKTLVAIHVGGSLEREDSDATYNVAVP -VLPKPGLTSPHYVFETTAPTSGVYDSKIFKALDEAVEQAERWVKMKLSKGETLWADLEDEFPYETETKKT -AKAPLSSSSSTETFHDCTEQSGKREARLRPRNNRKDRVKPRKRGLRHPSKRYADWGTDNENVGGENCFQY -QPEGGREGLCGSNQSPGPEETEPVISPAAKQERRQQWIAKTSNYRRFFNSQFNWEIHPSEQEEIAGFRFC -GKAPQWYHPKQKQEGGWGEEVCRQHPELGEKTHGFGWPQFGAKAELKSLRLQAARWLQRAQSAEVPPLPE -RERVIKRLVSAYKQAQSINPVATMSGELLWEDFLESFKEAVSSLQLDAGVGVPYIALGKPTHRSLVEDPE -MLPVLARLTFDRLEKLSKEEVCRLTPEELVREGLCDPIRVFVKGEPHKQSKLDEGRYRLIMSVSLVDQLV -ARVLFQEQNKKEIQLWRVVPSKPGFGLSTDGQVLEFTEALAHKVGVTPQDLIENWKQYLVPTDCSGFDWS -VADWMLDDDIEVRNRLTRGLTPVTALLRRNWKHCIANSVLCLSDGTLLAQCVPGVQKSGSYNTSSTNSRI -RVMCAYHCGATWSCAMGDDALESVDSNLEEYKRLGLKVEVSGKLEFCSHIFESPSLATPVNVGKMLYKLI -YGYNPGCENLEVTANYLAACFSVFNELRHDPELVRILYEWLVLPVQSQNNT ->BAP16754.1 P1 protein-P2 fusion protein [Beet western yellows virus] -MTTSMLTFFLLASLCSLASSYAGTSIMSPGIANATDWPGYIEPAVPHCTPQVQLTYDCPPSKTLQDYSSH -DILQEVWERGLSNTRTFWQEAKENLTNFYQSGVQVLSATSHKYIKLTLRGISYVWSSFIWATLCALWYLV -REYTIETLILGWLYVFTVYMVKAVAWIFGASPIFLFNIGLSTLRGISRILWFRRPYNCEKSVEGFLSFKI -PQSPPKHSVLQVQHPDGSHAGYATCVMLYNGTTGLLTARHVVSSGCKVTSTRNGNKIPLSEFKVETESST -RDLLLMAGPPNWEGTLACKAVHFQTAPYLCKCKATFFAFNEGAWVSSNAEITGASPCRNFISVLSVTDLG -HSGTPYFNGKTLLGVHVGGAKEENANYMAPIPPRAGLTTPNYVFETTAPQGRIFTEEEILGLAEDFSYSE -IHSIMAAQKGKAHYDMETAGSSGKREGGRVRRNNRIPQRKHPHSRRKQWRKSPPSCCSYYTEGTLGPRCQ -AGHIHAPDDEGRPGCWCEIPGHDCFFRRYQRDQRSHPAEDRRSFDREAGRGDPGREGNEETPESRAKKIC -EQAENFCQYFSSLYRWESGSGKEAPGFEQTGSLPQFYHPKQKGESRWGAKVLQQHPELEAHTRGFGWPQF -GAQAELKSLRLQAARWLERAQQVRIPSSEERERVIRKCCEAYKNVKSNGPNATRGNKLSWGNFLEDFKQA -VFSLEFDAGIGVPYVAYGKPTHRGWVENHELLPILARLTFIRLQKMLEVRFEDLTPEQLVQQGLCDPIRV -FVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREITLWRAVPSKPGFGLSTDEQVAEFIQLL -SAQVQVSPPQLINNWRQHLVATDCSGFDWSVSDWLLEDDMEVRNRLTTDLNETTSKLRAAWLKCISNSVL -CLSDGTLLAQRVPGVQKSGSYNTSSSNSRIRVMAAFHCGAEWAMAMGDDALESVSTDLGKYAALGFKVEE -SSKLEFCSHIFEREDLAIPVNKAKMIYKLIHGYEPECGNAEVLVNYLTACFAILNELRSDPQMVQTLYTW -LVEPVQPQNN ->AKS48297.1 P1-P2 [Luffa aphid-borne yellows virus] -MTSAQLILFVLFCAFSPLFSEGLTIPLDTTFLEGYGRRSWPFVREQGTCPQPPIGMTEVYASICQCPEPP -SLNEMTYADLLHGLYVKMHSDVSSFSPIEANSFREWSHSLREMLANASKDTGRALLHLWAYTIWRAFSWL -WFLLRNHFLDSCIVVLLYVLTRLMVQGLHKLFGNLPVYLWSTIGILTWRIMRSSFKIVKSKSSYVKEKAV -EGFLSFKVPQKPPKSSVLLVQYSDGSLAGYASCILLHTGECALLTAEHVATLPGVKVVSTKTGNKIPISE -FNCILSSKKSDIYLGMGPHAWTSLLGCKAANYLTVHQLSKGKFSLYTFDGQWQSTNGELVGRDGLFASHL -SNTTKGHSGAPLFVGKTIVGIHIGGSAENNYNLMTAIPAVEGLTTPQYAFETTAPQGRLFDDTDAIALGK -ILQVAHQKLKDYKPLSGKAWADMAEEDEDEFYNSNDFLRESQPLVPSAPEVPVHTCKCVCGEHVTWGCLN -EHSYPFPCCQCHKAKGIVAESGNRLGRSQPPKQGSTCFRNAMRRKRNRKAGCRGDGREDQHSLNREGGDP -TVNHEIQSSFSGKTTAPAEEAKNFRGYFQNLFCWEVCTSPSEVAGFERCGNLPRFYHPKQKGRSPWGEAL -CLQHPKLEEETRGFGWPLFGAEAELRSLRLQTARWLARAESSKIPSSAERERVIKKTVEAYASCRTNRPA -ATKDNVLSWPAFLEDFKQAVFSLELDAGVGVPYIAYGIPSHRGWVEDPALLPVLTRLVFDRLQKMSEASF -EQLTAEQLVQQGLCDPIRVFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREICLWRAIPS -KPGFGLSTDGQTSEFLELLADQMSTTPEVVVARWREFLVPTDCSGFDWSVAAWMLEDEMEVRNRLTLENN -DLTRRLRACWLKCLSTSVLCLSDGSLYAQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGATWAMAMGDDAL -ESVDTNLEVYKDLGFKVEVSGELEFCSHIFVRPDLALPVNRAKMLYKLIHGYNPGVGSVEVISNYLDACF -SVLNELRHDPHFVSLLKMWLVDPVLPQKDLRE ->ADB82793.1 RNA-directed RNA polymerase [Sugarcane yellow leaf virus] -MDPTRLFTIGWIIFALLLLQWCQYSSCQTQPWDSTSISRDSTSSLSFYGWPEINSTIELHGASPGGSLSS -KSPSQPNFSELNYSSMLGWIFFKMWLDCKTFSREATILSDRFLGYSLENAKGLSIEFLNNLLWILVRLYV -YAFTIMIRWIMTIVILTWKPLVTIAFLVFLSSVTYQMMKKLYSFIQIWWVLAPLLAVFRLIMYLRRRLFS -NRGDEKMVAGFKSFAVPMTPPGHAVLEIVHPDDSHMGYASCVRLKNGEEALLTSVHCINETFKVRSLRNG -VKIPLTEFQVLLPAKNIDLVLLRGPPEWKSILGAKAAHFTPANQLNKGAVSIFVHDGEWKMHNAKVTGTD -GFYATVLSNTEKGFSGAPYWNGKSIVGVHKGYVYGDDSKNYNLMAPIPPVVGLTAPAFVYESPSLQGDVF -SDADVSDMADYAEEVYEKALAEPKVWKPASGKYWWEMAEEEDDFVVEAKPPPPEPPVEKATEKKQTPVSP -VEKASVPVEADSGTGKRAEWRRPLKNTGIHPAQARRIYKRVAQQHRLAVDPKDRPELHCNEGGGETAAKS -TEQTAAERREEVAAQTQDLEHFFQAAYKWEETPSDIPGFRKCGKLPALYHPPKPKNSQWGERIISEHPEM -ATKVAGFGWPLFGAQAEETSLRLQAARWLKRAESAEKPTATQRRLVIDRVVKAYEPCKTNAPHTSSSGSL -LWNDFLKDFKEAINSLELDAGIGLPYKLLNKDTHRQMVEDPKFLPLLTRLTWNRLQKMSQVDFREMTPEQ -LVREGLCDPIRLFVKGEPHKQAKLDEGRYRLIMSVSLVDQLVARVLFQAQNKREIALWRAIPSKPGFGLS -TDREAREFIESLSKTVGCPPAEVIRGWKDKIVPTDCSGFDWSVADWMLEDDMEVRNLLTINNNELTRRLR -ACWLKCITNSVICTSGGVLYAQTHPGVQKSGSYNTSSSNSRVRVMAAFHCGADWAIAMGDDALESPNSNI -NAYQKLGFKVEVASQLEFCSHVFEQEDLARPLNVNKMLYRLIFGYNPACGNAEVLCNYLQAVASVLNELR -HDPQLVATLHQWLVPGATTK ->CRL92746.1 RdRp, partial [Lettuce mild yellows virus] -LIMSVSLIDQLVARVLFQSQNKKEIALWRSVPSKPGFGLSTDFQTTEFLECLKQEAGAPSMEELCGNHEE -YLRPTDCSGFDWSVAFWMLEDDMEVRNRLTYNNTELTKRLRAAWLKCIGNSVLCLSDGTLLAQQVPGVQK -SGSYNTSSSNSRIRVMAAYHCGADWAMAMGDDALESPHSNLEEYKNLGFKVEVSRELEFCSHIFRTPTLA -IPVNTNKMLYKLIHGYNPECGNPEVIANYLAAVLSVLHELRYDPELVARLHQWLAPSATTKEH ->ABP68690.1 RNA-dependent RNA polymerase [Cereal yellow dwarf virus RPV] -MKSIYFVCLLAFCCQFSSQENLTLGAVTSTSPFLTPNYLFDGGSQWGTSLPSPLMVTFDRTQNSASTCPP -CQPALSTSSSYSDITRVGWQKLSLDSKSAFKAAWSLLKDSYTTASRALKAAFHDLVGKVLWLLVLIWTGL -LRQLFSAVWSVITNYSLPVCLLISLGIITSWLWKACRWLFGTLPALLCITLVKNIFRILTFKRFFNEKTV -SGYDSYSIPNTPPKRSVIMMRRQNKEHIGYANCIRLFDGRNAIVTVAHNIEEGCSFYSSRTSGSIPITEF -RVIFESKIMDIAILVGPINWESILGCKGVHFTTADRLAECPAALYLLDSDGQWRSNSAKICGHFDNFAQV -LSNTKVGHSGAGYFYGKTLVGLHKGHPGKDFNFNLMAPLPGIPGLTSPQYVVESDPPQGLVFPEEVTESI -ETAIKEATMYKNVFTNKGRGAFKSKSGINWEDIEDESGNREGGSVRRNKRSSGKQGYRHPRRRQAPKEDC -CSFFAEGTAAPRCIPTHQHNWEQNSYMSNCYCNIPGHGCAYWTIAARNHEQHNESAGPEDRYVEDREIDS -GPSREPSSEETTRQAWLKETARSWQKFFADIYTWDVSTSKQEVPGFEQVGKFSPQYYPRPRGESEWGRKL -CAEHPLLGEKTAGFGWPQVGASAELTSLRLQAARWLERSESAKIPSDAARENVINRTVQAYSNCKTNTPR -CTRGELSWETFKIDFLEAIKSLQLDAGVGLPMITAGLPTHRGWVEDPDRLPVLAQLTFDRLLTMSKASLE -ARSPEQLVKENLCDPIRLFVKQEPHKQSKLDEGRYRLIMSVSLIDQLVARVLFQRQNKSEIALWSAIPSK -PGFGLSTEDQVSKFMDVLAGNVGASPEEVCDSWRDLLVPTDCSGFDWSVSDWMLADDMEVRNRLTIDCNE -LTRHLRAVWLQGISNSVLCLSDGTMLAQRVPGVQKSGSYNTSSTNSRVRVMAAYHCGASWAIAMGDDALE -APDTDLSKYKDLGFKVEVSGELEFCSHIFKTPNLAIPVNENKMLYRLIHGYNPECGNFEVVQNYLNAAVS -VLHELRHDRELCLKLQEWLISDVTTKLN ->AAK49964.1 putative fusion protein P1-P2 [Beet chlorosis virus] -MDTKLNSSYALFFFSSLILFGALGTMAPSYMVTGPLHAQKISSDFPLPPDITQLLLTQDSVWDCPLQKKL -SDYSYKELVIHVLQRGYNDTQKYLPQLASMDFGKHWDTISGQLIGLNILERLMWGLIRLWGYVIWVVSSS -TMSFLMNNLSLAIIVASLMALSVLMARAAQLFFKILQLCLPVLAVRMVMSAFTTMKRVCIERPKSYVKEC -AVRGFTTWAVPMKPPKNSILLISHDDGSHAGYATCVTLHDRQSTTIGLITCSHAPINGSVFSTVTGNKIK -MESFKTLYDDAETDVKILFGPPNWESVMGCKALKLVTRDSLAKGPATIYTFGDNGWTSSQASISGAYDKN -KASVLSITDKGHSGAPYISGKNVIGIHSGGDIVDNVNVCSTIPKIVNLTTPQLVFETTAPQGRLFLEKEM -DDLLEYDWSGQEARAIVKERFERGLYEGKGVKSYDFETTSDNCLLSYCPPASVGKWTERRRPPNNRHLRK -HPKSNARLWRFLKARSCCDSAKFHAHNASGWNTDDTRSRCFCDDPSHTECTFGSKHRGGTNGSFVKPNKS -REYRRESGWTDSEKRREAVKTQATEFEKFFEGQYRWGVGPTTQEVCGFEEVGSLSKFYHSKQKFDSTYGV -RVAEEHSELKELTAAFGWPKFGAQAELTSLRLQPDRWLQRMESAQIPSAEGEESVINRLVEAYSCARTNA -PTSTTGDSLTWEGFIEDIKEAVSSLELDAGVGVPYIAYGTRTHRDWVFNQELLPVLTRLTFNRLQKMLEV -NSDDLNAEQLVQHGLCDPIRVFVKGEPHKVSKLEEGRYRLIMSVSLVDQLVARVLFQNQNKREIELWRAV -PSKPGFGLSTDDQIEDFVKVLASQLGEEPQEVFNNWSAKLIPTDCSGFDWSVAHWMLEDDMEVRNRLTRN -NNYTTKRLRSVWLKCISNSILCLSDGRLFSQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGASWAMAMGDD -ALESVDSNLTEYKKLGFKVEVAKQLEFCSHIFKSERLALPLNVKKMLYKLIYGYNPDSGNLEAIKNYLDA -CHSIVNEIRHDESLVQKIISWLVIPVQPQN ->AKS03434.1 putative P1-P2 fusion protein [Pepper vein yellows virus] -MQPQVIFFALFVLSSLSFSAANVPFYQGIHRTGTASGNRSESLSSLFTVELTSLLAGMERPFPPLGSKPI -ITSEPHEPTLRDALDLLWQVISRDSRRLSSKAQKNFQDSCAYGLTTAKTWLKGAFQSFLWTVVSLWSFGI -WVIVSWTFYLVTTFTMPVVCLALLYACTEFMVKALRWMFTGWLTCLALLVLKVGKTIFTVPKFRRNYSEE -KQVKGFISLKIPQSPPRGSVLLVQHEDNSHAGYASCVRLYDGTLALMTCHHVGTGVPGGKVTSTKTPNKI -PLRLFTPLISSEKGDFMLMSGPPNWESLLGCKGAHFVSASQLAKSKMRFFFIEKNEWMADHGEIVGPRDH -WFATTLCNSEPGHSGTPIFNGKTIVGVHAGGENEQNFNVMATIPPVPGLTTPQYVFETTAPQGRVFTDED -LSEMMKSVTSYPQLEKFKSHTGKNWADDEDFEAEVKSKPTPAPVAQEAKPKSGAEEAPPVSKIPAVVSTS -SRVGKRERQSCLPNQQQPCPCTREGQGEGGRGRKGPRIAHPLKASRISRRRRKIFREAFREASGEDRPFH -YRKESGGSGGSESHEEAPGKPAAQTTAENFQRYFEGSYKWEVPASSQEIPGFQQCGSLPQYYHPKQIKGS -DWGSQLIQEHPELGEKVSGFGWPAVGPQAEVTSLTLQAERWLQRAQSAKTPSSEDRERVINKTVEAYSNV -KTFGPTATRGNKLEWRQFLEDFKSAVFSLELDAGIGVPYIAYGRPTHKGWVEDPKLLPVLARLTFNRLQK -MLEVESSDMSAEELVQAGLCDPIRTFVKREPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIAL -WRANPSKPGFGLSTDEQVLEFVQALAAQVGAPVEEVITSWDKYLVPTDCSGFDWSVAEWMLYDDMVIRNK -LTLDLNPTTEKLRFAWLKCISNSVLCLSDGTLLAQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGADWAIA -MGDDALESVNTNLEVYKDLGFKVEVSGQLEFCSHIFRAPDLALPVNERKMLYKLIFGYNPGSGNLEVISN -YIAACVSVLNELRHDPDSVALLHSWLVSPVLPQNN ->CRL92755.1 RdRp, partial [Spinach yellows virus] -LIMSVSLVDQLVARVLFQNQNKREIALWRAIPSKPGFGLSTDEQVLDFTTSLARQIGVPPAELITAWADH -LTPTDCSGFDWSVADWMLQDDMAVRNRLTIDLNPLTERIRSCWLRCIANSVLCLSDGTLLAQTVSGVQKS -GSYNTSSSNSRIRVMAAFHTGATWAIAMGDDALESSPAHLEGYKRLGFKVEVSGQLEFCSHIFCAPDLAL -PVNSNKMLYKLIFGYNPGSGNAEVVSNYLAACFSVLQELRHDPAAVEELYSWLVSPVLPQNKPGE ->CRL92742.1 RdRp, partial [Lettuce yellows virus] -LIMSVSLVDQLVARVLFQHQNKMEIELWRAVPSKPGFGLSTDGQILEFTELLSRQIGVDHESLFNSWESS -LIPTDCSGFDWSVSDWMLKDEMEVRNRLTVNCTQNLRKLRSSWLHCLSNSVLALSDGTLLAQRIPGVQKS -GSYNTSSSNSRIRVMAAYYCGADWAIAMGDDALEASNSNLQRYSELGFKVEVSGKLEFCSHIFESPGLAI -PVNIGKMLYKLIYGYNPECGNIEVVKNYLDALFSVLNELRSCPELVSKLQAWLVPV ->ADE48860.1 P1-P2 fusion protein [Cucurbit aphid-borne yellows virus] -MEAKYFSAFFLLAFLCSLASSYQGTMFIPLEPVNASYWLDSTAIAVPPSPHQVQLIYDCPPQKMLRDFSS -RDITRELWGRGYNETRLAFSEAMQNLQNLLMSGVRQSRAGLESLLHVTFRAVTYLWSSLIWASACAIWYL -LREYTIEMLSLASLYMSTVYMVKMAAWIFGDLPIFLLKAGLSMMRGISKALWFKRSYNAEKSVEGFLSFK -IPQSPPKHSVLQVQYKDGSHAGYATCVTLYNGTNGLLTAHHVAVPGSKIVSTRNGNKIPLSEFRSIMESE -KRDLVLLAGPPNWEGTLACKAVHFQDAQSLCKSKATFYAYNGEEWTSSNADIVGIAQGKTHASVLSNTDA -GHSGTPYFNGKTVLGVHVGGAKEENANYMAPIPGIYGLTSPSYEFETTAPQGRLFTQEEIEELIEEFSFS -EITSIMGHRRFHQMHDSQRHQADYEYESGKRAGGRDRRNNRTKCHPRGWAQKWRRTVSCCSLFPEGQHVH -TSEQTGKPHCFCSIPHHQCFYRHFVGNQTSHNGQNRCPFNREAGGSSPGGSSHEETQVAQTRQEKFEKLT -ADFSCFFDTQYIWEQGGEEAPGFDKVGSLPQFYHAKQKKSSNWGDKICKQHPEMGDLTKGFGWPQFGAKA -ELKSLRLQAARWLERAQSVKIPSPEEREHVIERCCRAYQAAKTNGPMATRGDRLSWDNFLQDFKQAVLSL -EMDAGIGVPYIAYGKPTHRGWVEDKQLLPILARLTFNRLQKMLEVRHDDLSPAELVREGLCDPIRVFVKG -EPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIALWRVVPSKPGFGLSTDEQVVEFMQILSAQV -GLTPSELITEWRAHMIATDCSGFDWSVSDWLLEDDMEVRNRLTLDLNETTRRLRAAWLFCISNSVLCLSD -GTLLAQRVPGVQKSGSYNTSSSNSRIRVMAAYHCGAEWAMAMGDDALESACSNLERYKSLGFKVEESSKL -EFCSHIFEKEDLAIPVNKAKMLYKLIHGYEPECGNVEVLINYLAACFSILNELRSDPSLVETLHQWLVLP -VQPQKI ->AHA91815.1 ORF2 [Cassava Polero-like virus] -MRTKNSLWGQKLTREHPELGEKTKGFGWPEFGREAEIHSLKLQAERWVERSAQASKPSAEKREAVICKLV -DAYKIAQTNLPNCCQGEELVRDEFMSDIVRAIDSLELDAGIGVPYSIYGLQKHRGWVEDPTLLKILVQLV -WLRLKKLSQVSADELSPEERVRQNLCDPIRVFIKNEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQN -KLEIKLWRSLPSKPGMGLSTDDQVLAFTESLAQLAGVSPDFLLSNWRSVLIPTDCSGFDWSVADWMLEDE -MEIRNRLTKDCNSLVRKLRANWLSCIQRPVLSLTDGTLLSQQIPGVQKSGSYNTSSSNSRIRVMCALHAG -ASWCVAMGDDALESVDSDLSEYKNLGLKVEASDKLEFCSHVFSESGLAYPLNIGKTLYKLVYSYEPECGN -LEVVTNYLQACISVLQCLRHYPELTAKLYNWLVTPMLPENNKGGEQ ->ANI26445.1 P1-P2 fusion protein [Maize yellow mosaic virus] -MQKLSSVAFFLCCLLCYATYPTRGDFLEMYGLPLRGTQFGLEETSLLPRNASLPFKFLLAPDSCIEISYS -ALSREIARLALQDLHTISSAGSRALSSSWKGFAEEWNTSVVKLAHATSGFYQQASDYVVSCVLELLGSCL -VAIIYTWSFFISSLFWVVTFMLQHYTPHVLSIAVLYICTTLLVRLATKIFGGWPVTMISWIGTSTLGLFK -TLLSKENSISYEIPTPGYKSIEIPQKPPKDCVLLVQHNDALNSPGGYASCVRLLNGSNALLTAEHVAAQK -GELLVASTRTGNKIKLSLFNRILTTTNSDVSLFQGPPGWESLLGCKAADITPVDGLTTCEASLFRFDGHW -MRSNASLVGTEETYVSVLSNTEEGYSGTPYFNGKSILGVHVGGNATQTNNLMAPIPSIPGLTKHRYVFES -PQLKGRLFTEQEVEQLEVDIDEAFKKAYDLVHFKSKTGRNWADYEDDISYEAPKFQGKRRTRFRPRKQNG -LAHPYSLARRYFKQRYSQQGGGSVGQQDRRLSHRADGCSTNCGISHETPKEQPEAAIKAQNFASYFSSLY -RWEVCDTRSETPGFETCGSLPRYYFTKQKEESEWGRLLAEGNPALAEKVSGFGWPQFGPAAELKSLRLQA -QRWLSRAESAKIPSTEDRERVIRKTVEAYKTCQTQCPKTSQSNLLVWENFLEDFKQAVFSLEPDAGVGVP -FVGYDKRTHRGWIEDPTLLPVLARMTFDRLHKMSTVKFEHLTAEELVQAGLCDPIRLFVKGEPHKQAKLD -EGRYRLIMSVSLLDQLVARVLFQNQNKREIALWRAIPSKPGFGLSTDDQSREFVQNLARQCGVSSDRLLQ -EWQLHTVPTDCSGFDWSVAEWMLQDDMEVRNRLTRNNTDLTRRLRACWLKCISNSVLCLSDGTLLAQRVA -GVQKSGSYNTSSSNSRIRVMAAYHCGATWAMAMGDDALESVDTCLDVYKDLGFKVEVSKQLEFCSHVFEK -EHLARPVNQNKMIYKLVYGYNPANGSSEVLQRYLDACMSVLHELRHDPETVEPLYKWLVSPVQQQKV ->AAZ57426.1 P1-P2 [Beet mild yellowing virus] -MKTAFVLFSLLCLCCLVSSSTLGASFTLPGNASLYDWPGSTITAEPLCPALATLTYECPPEKTLKDFTLP -EIQAEIWGRGYNAVEKFSFTVKQSLKSSFQYGVLKAKENYGRALRSTLKWIVLLWSYVIWALSCTAWYLL -KNYTIEILMLSSLFAFTTFLVKLAVWIFGGWLTSLVNGLFALTKRILKTLSSRKSYVCERSVKGFLTFTI -KQSPPRNCILQIQHADGSHAGYATCVTLFDGTNGLLTAQHVVDDFYEGDPKKTLKVVSTRNGNKIPLDEF -RVTYTSEKRDQLLMHGPPNWEGVLACKAVHMIPASSVAKSKATFFALSDGEWHSSNAELVGTSKCGKFIS -VLSDTKSGHSGTPYFNGKSVLGVHIGSPKEFESENVNYMSPIPRFPGLTSPNYIFETTALAGKFFSQEEV -EELMEDFSLQEIYSIATARGKYIKYEACPDEETFHDVLTESSPMQGGRKGGSDRRNNRKRKHPREIRRKW -KKPSCCSFYTAGTLGENCTASHVHCTSKEEYDEWPRCWCQIAGHDCHYRSNLRDKEGSDRQNGFEIDRET -SGRDTIVDGHEEAPLKRAEKIQEQAKQFGCFFKTQYHWERAAEVCPGFIKVGELPKFYFSKQKGCSDWGT -KLTSLHPELEEKTRGFGWPKFGPAAELKSLRLQAARWLERAEQVKIPSTEERERVIEKCVEAFSPTQTRG -PMATRGSKLSWNNFLEDFKTAVFSLELEAGVGVPYVAYGRRTHRGWIEDPDLLPVLARFTFDRLQKLSEA -KFEHMSPEQLVQEGLCDPIRLFVKGEPHKQSKLDEGRYRLIMSVSLVDQLVARVLFQNQNKREIALWRAI -PSKPGFGLSTDGQVVDFMQALSAQVGVNTAELLQDWKSHLIPTDCSGFDWSVSDWLLEDEMEVRNRLTLD -INDLTRRLRAGWLKCLANSVLCLSDGTLLSQQVPGVQKSGSYNTSSSNSRIRVMAAYHSGASWAIAMGDD -ALESVDADLSRYSSLGFKVEVSSQLEFCSHIFEEENLAVPVNKAKMLYKLIHGYEPECGNLEVLTNYLAA -CFSILNELRSDPELVAPLYQWLVLPVQPQKI diff --git a/seq/clusters_seq/cluster_70 b/seq/clusters_seq/cluster_70 deleted file mode 100644 index bf187f7..0000000 --- a/seq/clusters_seq/cluster_70 +++ /dev/null @@ -1,440 +0,0 @@ ->YP_009362041.1 nucleocapsid protein [Fugong virus] -MSQLTEIQEEITRHEQQLVIARQKLKDAERAVEVDPDEVNKSTLQSRRAAVSTLENKLGEFKRQLADLVA -KQKLDERPSDPTGVEPDDHLRERSTLRYGNVIDVNSIDLEEPSGQTADWLSIGDYIMSFTLPIVLKALYM -LSTRGRQTIKENKGTRIRFKDDSSFDDINGIRRPKHLYVSLPTAQSTMKAEEITPGRFRTIVCGLYPAQI -KARNIISPVMGVIGFAFFVKDWVEKIETFLKAQCPFLKSEIAVLKAEEEDESGFLTSNLVYMTNRQRQLE -ESMVQDITDLIDMAAQGDPTLFKEIESPQSVWVFACAPDRCPPTCLYVAGMAELGAFFSILQDMRNTIMA -SKSVGTAEEKLKKKCAFYQSYLRRTQSMGIQLDQRIILLYMLHWGKDIVNHFHLGDDMDPELRVMAQALI -DTKVKEIFNQEPMKL - ->YP_010088057.1 nucleocapsid [Tatenale orthohantavirus] -MSNLKEIQEDITRYEQQLVVARQKLKDAEKAVEMDPDDVNKNTLQVRRQAVSALEDKLADFKRQMADMVS -HQKMAEKPADPTGIEPDDHLKERSNLRYGNVLDVNAIDIEEPSGQTADWLSIGIYVIGFALPIILKALYM -LSTRGRQTVKENKGTRIRFKDDSSFEDVNGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPAQI -QVRNIISPVMGVIGFAFFVKEWPERIKAFLNKSCPFIKPEVRPGAPASETEFLSRNQIYFMKRQEVLDKN -HIPDIEKLIEYASSGDPTAPDSIESPYAPWVFACAPDRCPPTCIYLAGVAELGAFFSILQDMRNTIMASK -TVGTAEEKMKKKSSFYQSYLRRTQSMGIQLDQRIILLYMIEWGKEMIDHFHLGDDMDPELRALAQALIDQ -KVKEISNQEPLKI - ->YP_010088055.1 nucleocapsid [Robina orthohantavirus] -MASLKELEAEQNKIERELAIAQAKMVDAKTKIQEMEKPDDLDLKVFNERADNVANLKQRLEETRRVIAEQ -IKRDSTVKPQRKAHDLDEDEHLNERSSLRYGNVVDLNDTDIDEPSGSSADWLKIVLYLLTFPIVIVLKAL -YMLTTRGRQTVKENKGNRIRFRDDSSFTEKNGVKTPRHLYVSLPTGQSSMKADEITPGRYKTAVCGLYAA -EAKARKLVSPVMGVIGFNYLAEKWDELASAFMLEVCPFIDAGPTTKAPEVTNAAYFARRKEVLRESRSSE -ICQLYEAAKVAGCKLVKDIMEPHAPWVFACAPDRCPPTALYVAGMAELGAFFSILQDMRNTIMASKLVGT -AEEKLKRKSSFYQSYLRRTQSMGIQLDQRIIVLFMITWGKAMTDHFHLGDDMDSDLRKACQALIDEKVKQ -ISNQDALKL - ->YP_010086164.1 nucleocapsid protein, partial [Brno virus] -MSTEEDINEELRQIEREITKAKLKLEEAQKRHRSSGEKTDKRMIVEREKAVEDLENKRKNLMQRLVESKS -VKRTTGRNIDLDHDEHLTERSSLRYGNVIDLDSIDLAEPSGGTADWLQIGLYILTFPIELLLRCLYILTT -RGRQTIKENKGNRLRFKESSSVEEIGGVKTHRHLYISLPTAQSSMKSEEVTPGRFRTIACGLLAAETRLK -RMISPVMGVIGFNYFVENWEEHIDKLLNEVSPHLPVQPGKPEEATNLHYFKLRQEELNKSKTKEIEELCE -EAERYGFHLVKEISSVITPWLFAGSPDRCPPVVLYVAGIAELGAFFAILQDIRNTIMASKLVGTAEEKIK -KKSSFYQSYLRRTQSMGVQLDQRIIRTFILNWGKMMVDHFHLGDDMDAELRRSCQALIDEKVKQISSQEP -LKL - ->YP_009666522.1 nucleocapsid [Seewis virus] -MEDTKQFEAELKELMGQLETAQKKLEKATQDYQTDADETNKQTYDRRTLEVNHLQAKIAQVRKALADAAA -TGRQSMAAADDPTGKESDDYLSQRSMLRYGNTIDVNAIDLDEPSGQTADWVTIITYIVAFVDTILLKGLY -MLTTRGRQTVKDNKGTRIRLKDDTSFDETPTGRKPKHLYISMPNAQSSMRADEITPGRYRTVVCGLYPAQ -IRNRQMISPVMGVIGFPVISKNWTERIEKFLEADCPFLKQTLQVTLGALDKNKDFFDDRQKVLDKMVTEE -SKKIMDLVKPAVQSVPDKIDSPYAVWVFAGAPDRCPPTALYVAGMAELGAFFSVLQDMRNTIIASKTVGT -AEEKLKKKSSFYQSYLRRTQSMGVQLDQRIIILYMTAWGKEAVDHFHLGDDMDPELRATAQNLIDQKVKE -ISNMEPMKL - ->YP_009666011.1 nucleocapsid protein [Necocli virus] -MSNLQEVQENITLHEQQLVTARQKLKDAERAVEMDPDDVNKSTLQSRRAAVSTLESKLGELKRQLADLVA -AQKLATKPVDPTGIEPDDHLKEKSTLRYGNVLDVNSVDLEEPSGQTADWLSIGIYILSFAIPIVLKALYM -LSTRGRQTVKENKGTRIRFKDDSSFEEVNGIRKPKHLYVSMPTAQSTMRADEITPGRFRTIACGLFPAQV -KARNIISPVMGVIGFNFFVKDWMERIDDFLSSECPFLPRTKSQAEEFLSTNRAYFINRQAQVKESQVPEI -MDLIRAAEVESATLFHDIATPHSVWIFSCAPDRCPPTALYVAGVPELGAFFSILQDMRNTIMASKSVGTA -EEKLKKKSAFYQSYLRRTQSMGIQLDQKIIIMYMLHWGKEAVNHFHLGDDMDPELRQLAQALIDTKVKEI -SNQEPLKL - ->YP_009665159.1 nucleocapsid [Oxbow virus] -MGTIEELQKELDAQESQLTVAQQKAKAANSAFERDPDEVNKSVFVKRQEEVKMIQDKITQIKQMMVESVQ -SGKHSMLYDKDPTGMEPDDHLSQKSMLRYGNTLDTNPIDLEEPSGQTADWVSIVGYIVTFVDTILLKGLY -LLTTRGRQTIKDNKGTRIRLKDDTSFVDTEGIRKPKHLYISLPNAQSSMRADELTPGRYRTVVCGLFPAS -LKAKTMISPVMGVIGFQALARNWDDRIEKFLEAECPFLKQPLSVLAKPDDNRVFFSERQDALKNMETAEI -QAIKGMIQGKSCSCPDSIESPSSIWIFSGAPDRCPPTAIYVAGLAELGAFFSILQDMRNTIIASKTVGTA -EEKLRKKSSFYQSYLRRTQSMGVQLDQRIIVLYMTAWGKEAVDHFHLGDDLDPELRLTAQSLIDQKVKEI -SNQEPLKL - ->YP_009664869.1 nucleocapsid [Longquan virus] -MATGRDLQDELEQIEQEITQAKAKYEKQKAAIGEEPDTIELKVLQQREETIHMLEEKRKELLQRIAQQMK -SPRQPVKGRDLDEDEHLSERSTLRYGNVIDLEELDIDEPAGGSADWLAIGIYIFSFPVQLLLKTLYILTT -RGRQTIKENKGNRLRFKDSSSTMDVNGVKTPRHLYISLPTAQSSMKTEEITPGRYRTVACGILSGEMRAR -KLVSPVMGVIGFNYLAEKWGEYIDAFLMKQSPHLPVSTGSPESATNFAYLIQRQDVLNKSKTVEYLELWE -QAEKAGRHLVDRIESPLTPWLFAGSPDRCPPTSLYVAGIAELGAFFSILQDIRNAIMASKLVGTAEEKLK -RKSSFYQSYLRRTQSMGVQLDQRIIILYMMEWGKMMVDHFHLGDDMDSELRKACQSLIDEKVAQVSNQEA -LKL - ->YP_009664722.1 nucleocapsid protein [Asikkala orthohantavirus] -MGDIKELERELKNVEGQLEIAQKKLERATSDYQTDDDETNKATYDRRTLEVSQLQAKIAQLKKSLADATA -AGRQSMAAAEDPTGKESDDYLGQRSMLRYGNTIDVNAIDLDEPSGQTADWISIITYILTFVDTILLKGLY -MLTTRGRQTVKDNKGTRIRLKDDTSFVDTETGRKPRHLYISMPNAQSSMRADEITPGRYRTVVCGLFPAQ -VRQRQMISPVMGVIGFPVIAKNWTDRIEQFLASDCPFLKQVLQVALLSPDKNRDFISDRQTNLDKMITEE -ARKIQDAIAAKSHTVPTSLDSPFSVWVFAGAPDRCPPTSLYVAGMAELGAFFSILQDMRNTIIASKTVGT -AEEKLKKKSSFYQSYLRRTQSMGVQLDQRIIVLYMTAWGKEAVDHFHLGDDMDPELRATAQNLIDQKVKE -ISNMEPMKL - ->YP_009507251.1 nucleocapsid [Laibin virus] -MASIADLRKEMETLEKEVSLATAKLTEARANLGIEPDEIDLKSVKQREDTVAKLKEKLKEVSRQLAEKTV -LDTKPVPPKTGKDLDDDEHLKERSTLRYGNVIDLNELDVDEPAGASANWLEIVMYIVTFPTTILLKALYM -LTTRGRQTIKENKGNRVRFRDDSAFVEKGGIKVPRHLYISLPTGQASMKAEEVTPGRYRTVVSGLYAAEA -QARKLISPVMGVVGFSFIAEKWEELTTKFMIAPCPFLEGDVQAGDAQKTNAAYFQLRDQSLRSSRHEELL -KVYDTAKEAGCKLVTQIQNPIAPWVFAGAPDRCPPTAVYVAGMMELGAFFAILQDIRNTIMASKLVGTAE -EKLKRQSTFYQSYLRRTQSMGVQLDQRIIILYMINWGKFMVDHFHLGDDMDSDLRKLCQGLIDDKVKQIS -NQEPLRI - ->YP_009505459.1 nucleocapsid protein [Asama virus] -MDNIEDIQEELSTQESQLTIAMQKLQEATIIHAKDNDETSKMAYERRKVEVNTLQSKISQLKKMMAEAVE -VGRKSDQARDDPTGKEPDDEISAKSMLRYGNTIDVNTIDLDEPSGQTADWISIITYLIAFVDVILLKGLY -MLTTRGRQTIKDNKGTRIRLKDDSSYIEVNGIRKPKHLYISLPNAQSSMRAEELTPGRYRTVICGLYPAQ -IKAKSMISPVMGVIGFPKLSKDWDKRINDFLKEDCPFLRPVAFQPFSLTDSGQGSNKDFFNERQHVLDGM -KTSEADEIKKLITGKSCTCPDTISNPSSIWVFAGAPDRCPPTCVYIAGMAELGAFFSILQDMRNTIIASK -TVGTAEERLKKKSSFYQSYLRRTQSMGVQLDQRIIILYMNSWGKEAVDHFHLGDDMDPELRATAQALIDQ -KVKEISNMDPLKL - ->YP_009362292.1 nucleocapsid protein [Maporal virus] -MSNLQEIQENITVHEQQLVAARQKLKDAEKAVEVDPDDVNKSTLQNRRAAVSTLESKLGELKRQLADLVA -AQKLASKPVDPTGLEPDDHLKEKSSLRYGNVLDVNSIDLEEPSGQTADWLSIGMYILGFAIPIILKALYM -LSTRGRQTVKENKGTRIRFKDDSSFEEVNGIRKPKHLYVSMPTAQSTMKADEITPGRFRTIACGLFPAQV -KARNIISPVMGVIGFSFFVKDWMDRIEEFLGAECPFLPKPKSQSENFMSTNRAYLINRQQQVRESQVAEI -VDLIQTAEAESATLFHDIASPHSVWVFACAPDRCPPTALYVAGVPELGAFFSILQDMRNTIMASKSVGTS -EEKLKKKSAFYQSYLRRTQSMGIQLDQKIIIMYMLHWGKEAVNHFHLGDDMDPELRQLAQSLIDTKVKEI -SNQEPLKL - ->YP_009505595.1 nucleocapsid protein [Bayou orthohantavirus] -MSTLKEVQENITVHEQQLVTARQKLKDAERTVEVDPDDVNKSTLQSRRAAVSALETKLGELKRQLADLVA -AQKLAAKPVDPTGIEPDDHLKEKSSLRYGNVLDVNSIDLEEPSGQTADWKAIGVYILSFVLPIVLKALYM -LSTRGRQTVKENKGTRIRFKDDSSYDDVNGIRKPRHLYVSMPTAQSTMKADEITPGRFRTIVCGLFPAQI -KARNIISPVMGVIGFSFFVKDWVDKIEDFLKAECPFLPKPKGQTEPFLSTNGAYFLNRQAQVEESKVPDI -VDLIDTAEAEGATLFDDIASPHSAWVFSCAPDRCPPTALYVAGVPELGAFFAILQDMRNTIMASKSVGTA -EEKLKKKSAFYQSYLRRTQSMGIQLDQKIIILYMLHWGKEAVNHFHLGDDMDPELRQLAQSLVDVKVKEI -SNQEPLKI - ->YP_009362287.1 nucleocapsid [Kenkeme virus] -MGDIKELENELKNTETQLELATKKLEKATADYEHDDDETNKASYERRTLEVSQLQAKMAQLKKALADATA -TGRQSQAAADDPTGKESDDHLQQRSMLRYGNTLDMNAIDLDEPSGQTADWLSIITYILTFVDTILLKGLY -MLTTRGRQTVKDNKGTRIRLKDDTSFSDTAAGRKPKMLYISMPNAQSSMRADEITPGRYRTVVCGLYPAQ -IRQRQMISPVMGVIGFPAIAKNWTDRVEKFLDSDCPFLKQTLQITIGALDKNKDFLFDRQNTLDKMVTEE -ARQIKQMVEQASQTVPAGLDSPFAVWVFAGAPDRCPPTSLYVAGVAELGAFFSILQDMRNTIIASKTVGT -AEEKLKKKSSFYQSYLRRTQSMGVQLDQRIIILYMSFWGKEAVDHFHLGDDMDPELRATAQNLIDQKVKE -ISNMEPMKL - ->YP_009362286.1 nucleoprotein [Imjin virus] -MAQSRITREDIQKLEDAKKQIEIQLSSSIQKLATETEQFGPDPDPIQLQAVRQRSSVIEGYKEKLRDLEG -QLSIAYATFADEEEERKKKREVPGVEEGDYLSQKSSLRYGNVIDLNPLNLEEPAGQTANWNRIFEYIATI -TQVLLLKGLYILTTRGRQTSKDNKGTRMKLKDDSCMETINGIQRHKFLYISLPTSQSSIQDDELTPGRFR -TIVSGLLPNEIKSKKLMSPVMGVIGFLNLTEVWMDIIDRIMTDQCDYMTQDKSNPTASTNRAYFKERQNT -INSLNLPDLKQLRTDAERKHYLPDDIETECVPWIFANAPDRCPPTVLLVAGIPELGAFFALMQDIRSGIL -ASNLRGTAEEKIARKSSFYQSYIRRTQSMGLNCDQKIIHIYMDYLGTFCVDHFNLGDDMDPDLKIKAQAL -LDKKVKEISTQEPIKL - ->YP_009362103.1 nucleocapsid protein [Cano Delgadito orthohantavirus] -MSNLKEVQDSITAHEQQLVTARQRLKDAEREMEVAPDDVNKSALQARRSAVSALETKLGELKRQMADLVA -AQKLASKPVDPTGLEPDDHLKEKSALRYGNVLDVNSIDLEEPSGQTADWKTIGVYVLGFAIPIILKALYM -LSTRGRQTVKENKGTRIRFRDDSSFEEVNGIRKPKHLYVSMPTAQSTMKADEITPGRFRTIACGLFPAQV -KARNIISPVMGVIGFSFFVKDWMERIEDFLASDCPFLPKVSVQQGGIDTTIRTYFIRRQEQVRESMLAEI -MDLIDFAETESATLFQDIATPNSVWVFACAPDRCPPTSLYVAGIPELGAFFSILQDMRNTIMASKSVGTA -EEKLKRKSAFYQSYLRRTQSMGIQLDQKIIIMYMIHWGKEAVNHFHLGDDMDPELRQLAQSLVDTKVKEI -SNQDPLKI - ->YP_009362102.1 nucleocapsid [Khabarovsk orthohantavirus] -MSNLKDIQDEITRYEQQLIVARQKLRDAEKAVEEDPDDVNKNTLQARRQTVSALEDKLADFKRQLADHVS -RQKMDERPSDPTGIEPDDHLKERSSLRYGNVLDVNAIDIEEPSGQTADWFTIGVYIISFTLPIILKVLYM -LSTRGRQTVKENKGTRIRFKDDSSFDDVNGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPAQI -QARNIMSPVMGVIGFSFFVKDWPERVRRFLDNSCPFIKPEVKPGTPAGEVEFLSRNQIYFMRRQEVLEEN -HIPDIDKLLEYAASGDPTAPDSIESPYAPWVFACAPDRCPPTCIYIAGMAELGAFFSILQDMRNTIMASK -TAGTAEEKLKKKSSFYQSYLRRTQSMGIQLDQRITLLYMIEWGKEMVDHFHLGDDMDPDLRALAQSLIDQ -KVKEISNQEPLKI - ->YP_009362101.1 N protein [Sangassou orthohantavirus] -MATLEEIQKEINIHEGQLIIAKQKVKDAEKQYEKDPDDLNKRALSDREGIAHSIQSKIDELRRQLADRVA -AGRNLGQERDPTGVEPGDHLREKSMLSYGNVIDLNHLDIDEPTGQTADWLSIVIYLTSFVVPILLKALYM -LTTRGRQTTKDNKGMRIRFKDDSSFEDVNGIRKPKHLFLSMPNAQSSMKAEEITPGRFRTAVCGLYPAQI -KARNMVSPVMSVIGFITLARDWTERIENWLDQPCKFMSEPSQTSLQKGPATNRDYLNQRQASLAQMETKE -AQAVRQQAVDAGCNLVDHIDSPSSIWVFAGAPDRCPPTCLFISGMAELGAFFSILQDMRNTIMASKTVGT -SEEKLRKKSSFYQSYLRRTQSMGIQLDQRIIIMFMVEWGKEAVDGFHLGDDMDPELRSFAQALIDQKVKE -ISNQEPLKI - ->YP_009507844.1 nucleocapsid [Yakeshi virus] -MGDIKELEFEIKNVETQLAIATKKLEKATAEFEEDEDETNKATYEKRMLEVSQLQAKMAQLKKALADATE -TGKQSMAAAEDPTGKESDDPISQRSMLRYGNTIDVNAIDLDEPSGQTADWLTIITYVVSFVDTILLKGLY -MLTTRGRQTVKDNKGTRIRLKDDTSFSDTNIGRKPKYLYISMPNAQSSMRADEITPGRYRTVVCGLYPAQ -IRARAMISPVMGVIGFPIIAKNWPERIEKFLDEDCPFLKQTLQMTLGALDKNKDFFNERQHVLEKMITEE -AKQIKEMLEKEHHSVPAKIDSPFAIWVFAGAPDRCPPTSLYVAGMAELGAFFSILQDMRNTIIASKTVGT -AEEKLKKKSSFYQSYLRRTQSMGVQLDQRIIILYMSNWGKEAVDHFHLGDDMDPELRAAAQTLIDQKVKE -ISNMEPMKL - ->YP_009507828.1 nucleocapsid [Rockport virus] -MSHLKEIQEEITKHEQQLVTARQKLKDAERAVELDPDDVNKSTLQSRRTAVSALEGKLGEFKRQLADFVS -SQKVIDRPVDPTGLEPDDYLKERSTLRYGNVIDVNSIDLEEPSGQTADWQSICDYLVSFTLPIVLKALYM -LSTRGRQTIKDNKGTRIRFKDDSSFEEINGIRRPKHLYVSLPTAQSTMRADEITPGRFRTAVCGLYPAQV -KARNIISPVMGVIGFGFFVKDWAEKVESFLKSQCPFLKKTLELADQFMSTNHAYMIKRQDQLDDSKLQEI -EDLIDKMSTGDATLFTDIQSPHSVWVFAGAPDRCPPTCLYVAGMAELGAFFSILQDMRNTIMASKSVGTA -EEKLKKKSAFYQSYLRRTQSMGVQLDQRIILLYMLEWGKDIVNHFHLGDDMDPELRLIAQSLIDTKVKEI -SNQEPLKL - ->YP_009507332.1 nucleocapsid protein [Eothenomys miletus hantavirus LX309] -MSQLTDLQEEVTRHEQQLVIARQKLKDAERAVEVDPDEVNKSTLQSRRAAVSTLENKLGEFKRQLADLVA -KQKMDERPADPTGVEPDDHLKERSTLRYGNVIDVNSIDLEEPSGQTADWMSIGTYIMGFTLPIVLKALYM -LSTRGRQTIKENKGTRIRFKDDSSFDDINGIRRPKHLYVSLPTAQSTMKADEITPGRFRTIVCGLFPAQI -KARNIISPVMGVIGFAFFVKDWAEKIDQFLKSPCPFLKTPAGKLGFDDDDEDDPAGLLTSNLAYLTNRQR -QLEESMVTDITDLIETAAQGDPTLFKDIDSPQSVWVFSCAPDRCPPTCLYVAGMAELGAFFSILQDMRNT -IMASKSVGTADEKLKKKSAFYQSYLRRTQSMGIQLDQRIILLFMLQWGKDVVNHFHLGDDMDPELRVLAQ -SLIDVKVKEISNQEPLKL - ->YP_009506656.1 nucleocapsid protein [Laguna Negra orthohantavirus] -MSNLQEVQEGITLHEQQLVAARQKLKDAEKAVEVDPDDVNKSTLQSRRAAVSALENKLAELKRQLADLVA -AQKLAAKPVDPTGLEPDDHLKEKSALRYGNVLDVNAIDLEEPSGQTADWKAIGAYILGFVIPIILKALYM -LSTRGRQTVKENKGTRIRFKDDSSFEEVNGIRKPKHLYVSMPTAQSTMKADEITPGRFRTIACGLFPAQI -KARNIISPVMGVIGFGHFVKDWMERIDNFLGEDCPFLPKARVQSEAFMSTNRAYFLNRQRQVDESRIQDI -VDLIQAAEASSATLFNDIATPHSAWVFACAPDRCPPTALYVAGVPELGAFFAILQDMRNTIMASKSVGTA -EEKLKKKSAFYQSYLRRTQSMGIQLDQKIIILYMLSWGKEAVNHFHLGDDMDPELRQLAQTLIDAKVKEI -SNQEPLKL - ->YP_009506411.1 nucleocapsid protein [Hantavirus Fusong-Mf-682] -MSNLKEIQEDITRHEQQLIVARQKLKDAEKTVEMDPDDVNKNTLQARRQTVSALEDKLADFKRQLADLVS -RQKMDEKPSDPTGIEPDDHLKERSSLRYGNVLDVNAIDIDEPSGQTADWFAIGAYIISFTLPIILKALYM -LSTPGRQTVKENKGTRIRFKDDSSFDDVNGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPAQI -QARNIMSPVMGVIGFAFFVKDWPDRIREFLNSTCPFLKPDVRPNAPAGEVEFLSRNQIYFMKRQDALDEN -HLPDIDNLLEHAASGDPTAPDSIDSPYAPWVFACAPDRCPPTCIYIAGMAELGAFFSILQDMRNTIMASK -TVGTAEEKLKKKSSFYQSYLRRTQSMGIQLDQRIILLYMLSWGKEMVDHFHLGDDMDPDLRAMAQSLIDQ -KVKEISNQEPLKI - ->YP_009506354.1 nucleocapsid protein [El Moro Canyon orthohantavirus] -MSNLKELQDNITAHEQQLVTARQKLKDAEKAVEVDPDDVNKSTLQSRRAAVSALETKLGELKRQLADFVT -SQKLASKPVDPTGLEPDDHLKEKSSLRYGNVLDVNSIDLEEPSGQTADWKSIGLYILSFTLPIVLKALYM -LSTRGRQTVQENKGTRIRFKDDTSYEEVNGIRKPKHLYVSLPTAQSTMKADEITPGRFRTITCGLFPAQV -KARNIISPVMGVIGFSFFVKDWTEKIDGFLNSDCPFLPKVQGAGEKLLQTIRAYFITRQDQVMQSMLPDI -TDLMADAQAQGATLFSDITSPHSVWVFSCAPDRCPPTALYVAGLPELGAFFSILQDMRNTIMASKAVGTA -EEKMKKKSAFYQSYLRRTQSMGIQLDQRIIIMYMSHWGKEIVNHFHLGDDMDPELRQLAQALVDTKVKEI -SNQELLKL - ->YP_009506272.1 nucleoprotein [Dabieshan virus] -MATMEELQKEINAHEGQLIIAKQKVKDAEKQYEKDPDELNKRALTDREGVAASIQAKIDELKRQLADRIA -TGKNLGQDRDPTGVEPGDHLKERSMLSYGNILDLNHLDIDEPTGQTADWLSIIVYLTSFVVPILLKALYM -LTTRGRQTAKDNKGTRIRFKDDSSFEDVNGIRKPKHLYVSMPNAQSSMKAEEITPGRYRTAVCGLFPAQI -KARQMVSPVMSVIGFMALAKDWTDRIESWLGEPCKLLPDTAAVSLLGGPATNRDYIRQRQVALGNMETKE -AKAVRQHAESAGCSMIDNIDSPSSIWVFAGAPDRCPPTCLFIAGIAELGAFFSILQDMRNTIMASKTVGT -FEEKMRKKSSFYQSYLRRTQSMGIQLDQRIIVLFMVAWGKEAVDNFHLGDDMDPELRTLAQSLIDQKVKE -ISNQEPLKL - ->YP_009506069.1 nucleocapsid protein [Choclo virus] -MSTLKEVQENITLHEQQLVTARQKLKDAERAVEVDPDEVNKSTLQGRRAAVSTLETKLGELKRQLADLVA -AQKLASKPVDPTGIEPDDHLKEKSTLRYGNVLDVNSIDLEEPSGQTADWRSIGAYILGFALPIILKALYM -LSTRGRQTVKENKGTRIRFKDDSSFEEVNGIRKPKHLYVSMPTAQSTMKADEITPGRFRTIACGLFPAQV -KARNIISPVMGVIGFGFFVKDWMDRIDNFLSAECPFLQKPKVPSEAFTTTNRAYFLARQSQVRESILPDI -EDLIDTAESEGATLFKDIAVPHSVWVFACAPDRCPPTALYIAGMPELGAFFAILQDMRNTIMASKSVGTA -EEKLKKKSAFYQSYLRRTQSMGIQLDQRIIILYMLHWGKEAVNHFHLGDDMDPELRQLAQSLVDTKVKEI -SNQEPLKL - ->YP_009362283.1 nucleocapsid protein [Anjozorobe virus] -MATMEELQREINAHEGQLVVARQKVKDAERQYEKDPDDLNKRALHDRESVAASIQSKIDELKRQLADRVA -TGKTIGQDRDPTGVEPGDHLKERSMLSYGNVLDLNNLDIEEPTGQTADWLTIIVYLTSFVIPIILKALYM -LTTRGRQTTKDNKGMRIRFKDDSSYEDVNGIQKPKHLYISMPNAQSSMKAEEITPGRFRTAVCGLYPAQV -KARNMVSPVMSVIGFLALARDWTNRIQDWLGAPCKLLPDLSTQSLHASPPTNADYIAQRQGLLASMETKE -SKGIRDHARDAGCSLVDHLDSPSSIWVFAGAPDRCPPTCLFIAGMAELGAFFSILQDMRNTIMASKTVGT -SEEKLRKKSSFYQSYLRRTQSMGIQLDQRIIVMFMVAWGKEAVDNFHLGDDMDPELRNLAQLLIDQKVKE -ISNQEPLKL - ->YP_009362056.1 nucleocapsid protein [Cao Bang orthohantavirus] -MSAMEDLQKELALQESQLTIANQKLRDAQQQFDKDPDEVNKGSMIQRQADVKNVQDKIAQLKQLMAEKVR -EGSQSMAAKDPTGMEPDDHMSQKSMLRYGNTLDVNPIDLDEPSGQTADWVAIVTYVIGFVEAILLKGLYI -LTTRGRQTIKDNKGTRIRLKDDTSFIEENGIRKPKHLYISMPNAQSSMRADELTPGRYRTVVCGLYPAQV -KAKGMVSPVMGVIGFPSLAKDWEERIENFLEQDCPFLRTAVESAYPTTRSNRLFFMERQSALNKMKTAEA -MALEDLVKGTSCSPVNDIESPSAVWVFAGAPDRCPPTGLYVAGLAELGAFFSVLQDMRNTIIASKTVGTA -EEKLKKKSSFYQSYLRRTQSMGVQLDQRIIVMYMTAWGKEAVDHFHLGDDMDPELRTTAQLLIDQKVKEI -SNQEPLKL - ->YP_009362031.1 nucleocapsid [Nova virus] -MADIERLIAEGLEIEKELMTARIRLQEAKEAAERNPDELNLKGVDARQGVVTALEQKSAENQRLIAEQRH -ALPPTKPLKKPTDLDDDEHLTERSTLRYGSVIDLNDLDIDEPSGASANWVAICTYILGFGATILLKALYM -LTTRGRQTIKEHKGNRVRFRDDSSYTEKGGVKVPKHLYVSLPTAQSAIKAEELTPGRFRTIVCGLYPSEA -KVRGLISPVMGVIGFQHMAQNWSEMIHKFMHMRCPFLDNPGLQVDSALLTNQAYFEMRSDALEKIEAIEI -RSIREEAIDNDRKLINDILQPDAPWLFAGAPDRCPPTSLYVAGIPELGAFLALMQDVRNAIMASKMTGTV -EEKVKRKSSFYQSYMRRTQSMGMQMDQRIIHLFVMAWGKLMIDHFNLGDDMDPALRQMCQALLDEKVKEI -CNNEPMRL - ->YP_009361851.1 nucleocapsid [Bowe virus] -MNKMSTMEDIQRDLQSQESQLTIASQKLKDAEDQYRKDPDEVNKVSVLQRQADVKNIQDKVNQLKQLLAD -RAQTGTMSQAARDPTGMEPDDYMGQKSMLRYGNTMDLNPIDLEGPSGQTADWIALITYLTGFIEVILLKG -LYILTTRGRETVKDNKGTRIRLKDDSSFTEVGSIRKPKHLYISLPSAQSSMRADELTPGRFRTLVCGLLP -AQIKQRNMISPVMGVIGFPTIAKNWEERIENFMEEDCPFLKPAIAGPMAPPHANSDFFKERQDALKCMET -PESTAIKNIIQGKSFTVCDCIESPSAVWVFAGAPDRCPPTCLYVAGMAELGAFFSILQDMRNTIIASKTV -GTAEEKLKKKSSFYQSYLRRTQSMGIQLDQRIIILYMVSWGKEAVDHFHLGDEMDPELRATAQILIDQKV -KEISNQEPLKL - ->YP_009361844.1 nucleocapsid protein [Jeju virus] -MATIEELQKDLALQEGQLTITKQKLKDAQEQYEKDPDDINKASVLQRQMDAKMTEDRVNQLKQMIADRLK -AGAQSAAAQEPTGMESDDHLSQKSMLRYGNTMDLNMIDLEEPSGQTANWLALITYVIGFVDTILLKGLYM -FTTRGRETIKDNKGTRIKLKDDSSYVEVGSIRKPKHLYISLPSTQSSIKADELTPGRFRTLVCGLYPAKV -KQRCMISPVMGVIGFNYLAKDWDERIEDFMTQRCPFIQVVTQAAMAPQNANLDFMKERQDNLNKMRTPES -QAIEQMIQGTQCTVIDSIESPSAVWVFAGAPDRCPPNCLFIAGMAELGAFFSILQDMRNTIIASKTVGTA -EEKLKKKSSFYQSYLRRTQSMGIQLDQRIIILFMYEWGKEMVDHFHLGDDMDPELRNTAQVLIDQKVKEI -SNQEPLKI - ->YP_009361842.1 nucleocapsid protein [Montano orthohantavirus] -MSNLKELQDNITTHEQQLVTARQKLKDAEKAVEVDPDDVNKSTLQSRRAAVSALETKLGELKRQLADFIT -TQKLASKPVDPTGIEPDDHLKEKSSLRYGNILDVNSVDLEEPSGQTADWRSIGFYILSFTLPIILKALYM -LSTRGRQTIKENKGTRIRFKDDTSYEEVNGIRKPRHLYVSMPTAQSTMKADEITPGRFRTIVCGLFPAQV -KARNIISPVMGVIGFNFFVKDWVERIDDFLNAECPFLPKPKDAGERFLSTIRAYFLTRQEQVLQSMLPDI -TDLVADAQTQGATLFNDITSPHSVWVFACAPDRCPPTALYVAGMPELGAFFSILQDMRNTIMASKSVGTA -EEKLKKKSAFYQSYLRRTQSMGIQLDQRIIIMYMGHWGKEIVNHFHLGDDMDPELRILAQSLVDTKVREI -SNQEPLKL - ->YP_009361840.1 nucleocapsid protein [Bruges virus] -MGTVEELQKELTTQEGQLVVASQKVRDAEAQYNKDPDEINLKVLTDRQNTQTGVQAKIDELKRAIQDKMS -APSLLFQKRDPTGMENDDHLMERSTLRYGNVIDVNSLDIEEPTGQTADWLAIVTYITGFVVTILLKGLYM -LTTRGRQTVKDNKGTRIRFKDDSSYVEINNIRQPKHLYVSMPSAQSSMKADEITPGRFRTVVCGLYPAHI -KAKQMISPVMGVIGFPALVRNWDERIVEFYKEECPFKFEIKGYAGPAPAKPTMKDFLMTRQVDLNNMVNE -EYSKIRDMARQAGCSLFESISSPSAAWVFAGAPDRCPPSCLYIAGMAELGAFFSVLQDMRNAVLASKLAG -TAEEKLQKKSSFYQSYLRRTQSMGVQVDQRLAITFMACWGKEAVDHFHLGDDMDPGLRALAQALIDQKVK -EISNQEPIKL - ->YP_009361846.1 nucleocapsid [Quezon virus] -MASVKELEEEQQRIERELSIAQAKLTDAKSKITESDKPDDLDIKMFNERAETVSNLRQRLEETKRMIAED -IKKSVNQKPQKKGTDLDDDEHLTERSSLRYGNVIDLNDTDIDEPSGSSADWISIVGYLLSFSLVIILKAL -YMLTTRGRQTIKENKGNRIRFKDDSSFTEKGGVKIPKHLYVSLPTGQSSMKADEITPGRYKTAVCGLYPA -EAKARKLVSPVMGVIGFNALVDKWEDTVSEMMLEPCQFIEGNLMSQPEDLTNAAYFAKREEDLRGVRSPD -MVQLYDLAKSAGCKLVNDITEPHAPWVFACAPDRCPPTALYVAGLAELGAFFSILQDMRNTIMASKLVGT -AEEKLKRKSSFYQSYLRRTQSMGIQLDQRIIVIFMLSWGKMMTDHFHLGDDMDADLRKVCQSLIDEKVKQ -ISNQDALKL - ->YP_004928153.1 nucleocapsid protein [Tula orthohantavirus] -MSQLKEIQEEITRHEQQIVIARQKLKDAEKTVEADPDDVNKSTLQSRRAAVSALEDKLADFKRQLADLVS -SQKMGEKPVDPTGLEPDDHLKERSSLRYGNVLDVNAIDIDEPSGQTADWFSIGQYITGFALAIILKALYM -LSTRGRQTIKENKGTRIRFKDDSSYEEINGIRRPKHLYVSMPTAQSTMKADELTPGRFRTIVCGLFPAQI -MHRNIISPVMGVIGFSFFVKDWPEKIEEFLIKPCPFLKKSGPSKEEDFLVSNDAYLLGREKALRESHLAE -IDDLIDLAASGDPTPPDSIKSPQAPWVFACRPDRCPPTCIYIAGMAELGAFFSILQDMRNTIMASKTVGT -AEEKLKKKSSFYQSYLRRTQSMGIQLDQRIILLFMTEWGSDIVNHFHLGDDMDPELRTLAQSLIDQKVKE -ISNQEPLKI - ->YP_001911121.1 nucleocapsid protein [Thottopalayam virus] -MTQGKMTPEELKKLEHEYNQTEKALTESSQKLIQEIDQAGQNPDSIQQQSIKQRSDVVDAYRNKLKELQG -MIAAGQAMLAEEAKKKRKPDVPGVEEGDYLTAKSSLRYGNVVDLNPLSLEEPAGQTANWGKIFEYILTLT -QVLLLKGLYILTTRGRQTSKDNKGTRIKLKDDSCMEDRNGIKQHKYLYISLPTSQSSIQDDELTPGRFRT -MISGLLPNEIKAKKLMSPVMGVIGFQHLAEAWPGAMEKMLSDQCEYMTKDKANPSNSTNRVYFRTRQAQV -DALNLPDISALRMFADQNYKIPADIESEATPWLFANAPDRCPPTVLQVAGIPELGAFLALMQDIRGGILA -SSLRGTGEEKIAKKSSFYQSYVRRTQSMGVNCDQKIIHIFMDYLGSYCVDHFNLGDDMDPELKAKAQALL -EKKVKEISSQEPIKL - ->YP_138528.1 nucleocapsid protein [Hantavirus Z10] -MATMEELQREINAHEGQLVIARQKVRDAEKQYEKDPDELNKRTLTDREGVAASIQAKIDELKRQLADRIA -TGKNLGKEQDPTGVEPGDHLKERSKLSYGNVLDLNHLDIDEPTGQTADWLSIVIYLTSFVVPILLKALYM -LTTRGRQTTKDNKGTRIRFKDDSSFEDVNGIRKPKHLYVSLANAQSSMKAEEITPGRYRTAICGLYPAQI -KARQMISPVMSVIGFLALAKDWSDRIEQWLSEPCKLLPDTAAVSLHGGPATNRDYLRQRQVALGNMETKE -SKAIRQHAETAGCSMIEDIKSPSSIWVFAGAPDRCPPTCLFIAGIAELGAFFSILQDMRNTIMASKTVGT -SEEKLRKKSSFYQSYLRRTQSMGIQLDQRIIVLFMVAWGKEAVDNFHLGGDMDPELRTLAQSLIDVKVKE -ISNQEPLKL - ->NP_942556.1 nucleocapsid protein [Seoul orthohantavirus] -MATMEEIQREISAHEGQLVIARQKVKDAEKQYEKDPDDLNKRALHDRESVAASIQSKIDELKRQLADRIA -AGKNIGQDRDPTGVEPGDHLKERSALSYGNTLDLNSLDIDEPTGQTADWLTIIVYLTSFVVPIILKALYM -LTTRGRQTSKDNKGMRIRFKDDSSYEDVNGIRKPKHLYVSMPNAQSSMKAEEITPGRFRTAVCGLYPAQI -KARNMVSPVMSVVGFLALAKDWTSRIEEWLGAPCKFMAESPIAGSLSGNPVNRDYIRQRQGALAGMEPKE -FQALRQHSKDAGCTLVEHIESPSSIWVFAGAPDRCPPTCLFVGGMAELGAFFSILQDMRNTIMASKTVGT -ADEKLRKKSSFYQSYLRRTQSMGIQLDQRIIVMFMVAWGKEAVDNFHLGDDMDPELRSLAQILIDQKVKE -ISNQEPMKL - ->NP_942553.1 nucleocapsid protein [Dobrava-Belgrade orthohantavirus] -MATLEELQKEINNHEGQLVIARQKVKDAEKQYEKDPDDLNKRALSDRESIAQSIQGKIDELRRQLADRVA -AGKNIGKERDPTGLDPGDHLKEKSMLSYGNVIDLNHLDIDEPTGQTADWLSIVVYLTSFVVPILLKALYM -LTTRGRQTTKDNKGMRIRFKDDSSFEDVNGIRKPKHLFLSMPNAQSSMKADEITPGRFRTAICGLYPAQV -KARNLISPVMSVIGFLALAKNWTERVEEWLDLPCKLLSEPSPTSLTKGPSTNRDYLNQRQGALAKMETKE -AQAVRKHAIDAGCNLIDHIDSPSSIWVFAGAPDRCPPTCLFIAGMAELGAFFAVLQDMRNTIMASKTIGT -SEEKLKKKSSFYQSYLRRTQSMGIQLDQRIIVLFMVDWGKEAVDSFHLGDDMDPELRGLAQALIDQKVKE -ISNQEPLKL - ->NP_941975.1 nucleocapsid protein [Sin Nombre orthohantavirus] -MSTLKEVQDNITLHEQQLVTARQKLKDAERAVELDPDDVNKSTLQSRRAAVSALETKLGELKRELADLIA -AQKLASKPVDPTGIEPDDHLKEKSSLRYGNVLDVNSIDLEEPSGQTADWKSIGLYILSFALPIILKALYM -LSTRGRQTIKENKGTRIRFKDDSSYEEVNGIRKPRHLYVSMPTAQSTMKADEITPGRFRTIACGLFPAQV -KARNIISPVMGVIGFSFFVKDWMERIDDFLAARCPFLPEQKDPRDAALATNRAYFITRQLQVDESKVSDI -EDLIADARAESATIFADIATPHSVWVFACAPDRCPPTALYVAGMPELGAFFAILQDMRNTIMASKSVGTS -EEKLKKKSAFYQSYLRRTQSMGIQLDQKIIILYMSHWGREAVNHFHLGDDMDPELRELAQTLVDIKVREI -SNQEPLKL - ->NP_941984.1 nucleocapsid protein [Puumala orthohantavirus] -MSDLTDIQEDITRHEQQLIVARQKLKDAERAVEVDPDDVNKNTLQARQQTVSALEDKLADYKRRMADAVS -RKKMDTKPTDPTGIEPDDHLKERSSLRYGNVLDVNAIDIEEPSGQTADWYTIGVYVIGFTLPIILKALYM -LSTRGRQTVKENKGTRIRFKDDTSFEDINGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPTQI -QVRNIMSPVMGVIGFSFFVKDWSERIREFMEKECPFIKPEVKPGTPAQEIEMLKRNKIYFMQRQDVLDKN -HVADIDKLIDYAASGDPTSPDNIDSPNAPWVFACAPDRCPPTCIYVAGMAELGAFFSILQDMRNTIMASK -TVGTAEEKLKKKSSFYQSYLRRTQSMGIQLDQRIILLFMLEWGKEMVDHFHLGDDMDPELRGLAQALIDQ -KVKEISNQEPLKI - ->NP_941977.1 hypothetical protein [Hantaan orthohantavirus] -MATMEELQREINAHEGQLVIARQKVRDAEKQYEKDPDELNKRTLTDREGVAVSIQAKIDELKRQLADRIA -TGKNLGKEQDPTGVEPGDHLKERSMLSYGNVLDLNHLDIDEPTGQTADWLSIIVYLTSFVVPILLKALYM -LTTRGRQTTKDNKGTRIRFKDDSSFEDVNGIRKPKHLYVSLPNAQSSMKAEEITPGRYRTAVCGLYPAQI -KARQMISPVMSVIGFLALAKDWSDRIEQWLIEPCKLLPDTAAVSLLGGPATNRDYLRQRQVALGNMETKE -SKAIRQHAEAAGCSMIEDIESPSSIWVFAGAPDRCPPTCLFIAGIAELGAFFSILQDMRNTIMASKTVGT -SEEKLRKKSSFYQSYLRRTQSMGIQLGQRIIVLFMVAWGKEAVDNFHLGDDMDPELRTLAQSLIDVKVKE -ISNQEPLKL - ->NP_604471.1 nucleocapsid protein [Andes orthohantavirus] -MSTLQELQENITAHEQQLVTARQKLKDAEKAVEVDPDDVNKSTLQSRRAAVSTLETKLGELKRQLADLVA -AQKLATKPVDPTGLEPDDHLKEKSSLRYGNVLDVNSIDLEEPSGQTADWKAIGAYILGFAIPIILKALYM -LSTRGRQTVKDNKGTRIRFKDDSSFEEVNGIRKPKHLYVSMPTAQSTMKAEEITPGRFRTIACGLFPAQV -KARNIISPVMGVIGFGFFVKDWMDRIEEFLAAECPFLPKPKVASEAFMSTNKMYFLNRQRQVNESKVQDI -IDLIDHAETESATLFTEIATPHSVWVFACAPDRCPPTALYVAGVPELGAFFSILQDMRNTIMASKSVGTA -EEKLKKKSAFYQSYLRRTQSMGIQLDQKIIILYMLSWGKEAVNHFHLGDDMDPELRQLAQSLIDTKVKEI -SNQEPLKL - ->sp|P41268.1|NCAP_PUUME RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSDLTDIQEDITRHEQQLVVARQKLKDAERAVEVDPDDVNKNTLQARQQTVSALEDKLADYKRRMADAVS -RKKMDTKPTDPTGIEPDDHLKERSSLRYGNVLDVNAIDIEEPSGQTADWYTIGVYVIGFTIPIILKALYM -LSTRGRQTVKENKGTRIRFKDDTSFEDINGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPTQI -QVRNIMSPVMGVIGFSFFVKDWPERIREFMEKECPFIKPEIKPGTPAQEMEMLKRNKIYFMQRQDVLDKN -HVADIDKLIDYAASGDPTSPDNIDSPNAPWVFACAPDRCPPTCIYVAGMAELGAFFSILQDMRNTIMASK -TVGTAEEKLRKKSSFYQSYLRRTQSMGIQLDQRIILLFMLEWGKEMVDHFHLGDDMDPELRGLAQALIDQ -KVKEISNQEPLKI - ->sp|P17881.1|NCAP_SEOUS RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MATMEEIQREISAHEGQLVIARQKVKDAEKQYEKDPDDLNKRALHDRESVAASIQSKIDELKRQLADRLQ -QGRTSGQDRDPTGVEPGDHLKERSALSYGNTLDLNSLDIDEPTGQTADWLTIIVYLTSFVVPIILKALYM -LTTRGRQTSKDNKGMRIRFKDDSSYEDVNGIRKPKHLYVSMPNAQSSMKAEEITPGRFRTAVCGLYPAQI -KARNMVSPVMSVVGFLALAKDWTSRIEEWLGAPCKFMAESLIAGSLSGNPVNRDYIRQRQGALAGMEPKE -FQALRQHSKDAGCTLVEHIESPSSIWVFAGAPDRCPPTCLFVGGMAELGAFFSILQDMRNTIMASKTVGT -ADEKLRKKSSFYQSYLRRTQSMGIQLDQRIIVMFMVAWGKEAVDNFHLGDDMDPELRSLAQILIDQKVKE -ISNQEPMKL - ->sp|Q07513.1|NCAP_PUUMU RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSDLTDIQEEITRHEQQLVVARQKLKDAERAVEVDPDDVNKNTLQARQQTVSALEDKLADYKRRMADAVS -RKKMDTKPTDPTGIEPDDHLKERSSLRYGNVLDVNAIDIEEPSGQTADWYTIGVYVIGFTIPIILKALYM -LSTRGRQTVKENKGTRIRFKDDTSFEDINGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPTQI -QVRNIMSPVMGVIGFSFFVKDWPERIRDFMEKECPFIKPEVKPGTPAQEIEFLKRNRVYFMTRQDVLDKN -HVADIDKLIDYAASGDPTSPDDIESPNAPWVFACAPDRCPPTCIYVVGMAELGAFFSILQDMRNTIMASK -TVGTAEEKLKKKSSFYQSYLRRTQSMGIQLDQRIILLYMLEWGKEMVDHFHLGDDMDPELRGLAQSLIDQ -KVKEISNQEPLKI - ->sp|P41270.1|NCAP_PUUMP RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSDLTDIQEEITRHEQQLVVARQKLKDAERAVEVYPDDVNKNTLQARQQTVSALEDKLADYKRRMADAVS -RKKMDTKPTDPTGIEPDDHLKERSSLRYGNVLDVNAIDIEEPSGQTADWYTIGVYVIGFTIPIILKALYM -LSTRGRQTVKENKGTRIRFKDDTSFEDINGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPTQI -QVRNIMSPVMGVIGFSFFVKDWPEKIREFMEKECPFIKPEVKPGTPAQEVEFLKRNRVYFMTRQDVLDKN -HVADIDKLIDYAASGDPTSPDDIESPNAPWVFACAPDRCPPTCIYVAGMAELGAFFSILQDMRNTIMASK -TVGTAEEKLKKKSSFYQSYLRRTQSMGIQLDQRIILLYMLEWGKEMVDHFHLGDDMDPELRGLAQSLIDQ -KVKEISNQEPLKI - ->sp|P41267.1|NCAP_PUUMB RecName: Full=Nucleocapsid protein; Short=Nucleoprotein -LQARQQTVSALEDKIADYKRRMADAVSRKKMDTKPTDPTGIEPDDHLKERSSLRYGNVLDVNAIDIEEPS -GQTADWYTIGVYVIGFTLPIILKALYMLSTRGRQTVKENKGTRIRFKDDTSFEDINGIRRPKHLYVSMPT -AQSTMKAEELTPGRFRTIVCGLFPTQIQVRNIMSPVMGVIGFSFFVKDWADRIREFMEKECPFIKPEVKP -GTPAQEAEFLKRNKVYFMQRQDVLDKNHVADIDKLIDYAASGDPTSPDNIESPNAPWVFACAPDRCPPTC -IYVAGMAELGAFFSILQDMRNTIMASKTVGTAEEKLKKKSSFYQSYLRRTQSMGIQLDQRIILLYMLEWG -KEMVDH - ->sp|P22047.1|NCAP_PHV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSQLREIQEEITRHEQQLVIARQKLKEAERTVEVDPDDVNKSTLQSRRSAVSTLEDKLAEFKRQLADVIS -RQKMDEKPVDPTGIELDDHLKERSSLQYGNVLDVNSIDIEEPSGQTADWLKIGSYIIEFALPIILKALHM -LSTRGRQTVKENKGTRIRFKDDSSYEDVNGIRRPKHLYVSMPTAQSTMKAEELTPGRFRTIVCGLFPAQI -MARNIISPVMGVIGFAFFVKDWADKVKAFLDQKCPFLKAEPRPGQPAGEAEFLSSIRAYLMNRQAVLDET -HLPDIDALVELAASGDPTLPDSLENPHAAWVFACAPDRCPPTCIYIAGMAELGAFFAILQDMRNTIMASK -TVGTAEEKLKKKSAFYQSYLRRTQSMGIQLDQRIILMYMIEWGNEVVNHFHLGDDMDPELRQLAQALIDQ -KVKEISNQEPLKI - diff --git a/seq/clusters_seq/cluster_700 b/seq/clusters_seq/cluster_700 deleted file mode 100644 index 47848f9..0000000 --- a/seq/clusters_seq/cluster_700 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009508079.1 37-kDa movement protein [Japanese soil-borne wheat mosaic virus] -MTTKDVSSDSYEAAYNAMLDAQDATGLTADDVKNQRQRSFNVVNKYVEKALLQKDVATKMRDKWISFTKS -NKEEGTPYNISYSCVMLNIIPTVPSTYGGTVEISLIDSGLSLFNNVLPDQTQMMELGKGPQLMCFFMHYS -IPINDEGRVIKLAFKIDCEMASPKMSVMNVYTYWTQRQGYLSLYSEPQRSTISKLVVGYDETLKLKTRED -VRRFASRSLVLNNMKQHVPKMLPEQINLLKENVPLNRKESTVDLTKEEREKHEKLEQIRKQRAVATERSK -KEMQQREAQLQAEQKRKETADKLEEKSKLKNQREHQKLDSENIV - ->NP_659021.1 37-kDa movement protein [Sorghum chlorotic spot virus] -MANPTSLKSNKLGDVSADSLEAMINGMMEDLENKEFDEEDLRTNRSRAYNVESKFMRKPLVSDKVWKRLK -DRYQQWSKSNKEMGTAFNVTFENIMLNVVPNVPEGYSGTTKISLADTGLTLSQQVIPDQEQTMELGAGPH -VMYFNMHYSIPLNDDRPIVLQFETDCEMANSSMSVMTVFAYWKVSFNFRSTYYKPQRSTCTKLLVGYKKD -TRMKDAKDVRAFVARSLVIERMLNDRPYVLPDSVNVVKEAFKKKEADGTTSAIPEKGEFVDPMLKLNSVA -RRKARESAGNMTLKDREKAAKEGMRTEEADQKVKPKADIQFGSVQQL - ->NP_059515.1 movement protein [Chinese wheat mosaic virus] -MTSKDVKGESYESVYNKMLDMQTEAVGANELRLNRQRSFNVENRYVEKALIQPGIVTKMADAWTSFTKTN -KEEGMPYNLSFSCVLINVIPTVPKGYAGTVEVSLLDSGLSPLENIIPDQTQMMELGAGPHVMCFFMHYSI -PLNDKDRSIKLAFKIDAEMANKGMSVMNVYTYWTQRQGAQSSYSEPQRSTCSKLLLGYDKSLKMKTRGDV -RRFVGRSLTLHNLEQSVPQMLPANINVLKENVPLYRKESIMDLTKEEREKNAKLEELRKTRAIQTERNVE -EMKKRQMELLKEARRKTAEDSVAAADKRKYQIGSSSGQPDITFGSFNKV - ->NP_059512.1 putative movement protein [Oat golden stripe virus] -MSTKNISKDVTGSSYAEVYNGMLDAMAEKNPDDESLVTNRQRSFNVVNKYVEKALVQDKAITKMRALWTE -FTDRNGEKGTPYNMTYSCVLLNIIPTVPKGYPGTVVVKLIDSGLSLLDNVIPDQSQMMELGMGPCVMCFF -TNYSIPINDVGRAVNLAFQIDAEMASKHMSVMNVYAYWHVKSNFLSSYPEPQKSTVSQLILGYDKSLTLK -TRGDVRRFVGRSLNLASLVPKVPDLLPAHINVNKENVPLLRKEEFIDLTKAERQKDKDLADLKERSKISQ -ARNAAEMRRRASSLSAAEAEKTAAADVAAKLKAKNAPDISFGNF - ->NP_059452.1 putative movement protein [Soil-borne cereal mosaic virus] -MGSKDVKGDSFESVYNKILDLQTEGAVGADSLKTNRQRSFNIENKYVEKALVQQDIATKMREGWTTWTKT -NKEEGTPYNMSYSCVLLNVIPTVPRGYSGTVEISLIDSGLSPLENVIPDQTQMMELGNGPHVMCFFMHYG -IPLNDEGRVIKLSFKIEAEMASKKMSVMNVYSYWTQKQGHLSVYTEPQRSTCSKLLLGYDKSLKLKTRDD -VRRFVPRSLATNNLEQSVPKMLPNSINILKENVPLFKKESVLDLTEQEREKQKKLETLRSVNEAQRRKSA -QEMQKRNDDLIRANVQKQKAKQSEIDENRKNHYDVSGVKFGSVGSD - ->NP_049337.1 37 kDa protein [Soil-borne wheat mosaic virus] -MGSQDVKGDSYESVYNKILDMQSEPGGANDLRTNRQRSFNIENRYVEKALTQPGVVTKMQDAWTNWTKTN -KEEGTPYNMSYSCVLLNVIPTVPMGYAGTVEVSLLDSGLSPLENVIPDQTQMMELGKGPHVMCFFMHYSI -PLNDKGRAVKLAFKIDAEMASKGMSVMNVYSYWTQRQGHLSAYSEPQRSTISKLMLGYDKSLKMKTRNDV -RRFVGRSLSLHNQEQSVPALLPGQINVMKENVPLYRKESVIDLTREEREKAAQLEMLRKTREVHTQRSAE -EMKRRQAELAKDTQRKLAEEAKAVTEKRKNMAGVNSSNIKFGNFDSV - diff --git a/seq/clusters_seq/cluster_701 b/seq/clusters_seq/cluster_701 deleted file mode 100644 index 56ead9e..0000000 --- a/seq/clusters_seq/cluster_701 +++ /dev/null @@ -1,31 +0,0 @@ ->YP_009507903.1 nonstructural protein 1 [Maize stripe tenuivirus] -MNFYTSSVGVRQYDHSLLVLNDLTNIHITCEDVHCSAKLLCYIYDVYKSRYPSIDEHSFLRMLKGPDDAE -ILSTFLRTIIWILSHDRDFPDEFRIPATALVSVYIKYYSDLKPRAPTTNCWTCRMSKNNLPFQVPSIKGF -PAEAELYIVPISDHDGKTIEFSGMKTLYKSPSKKKHNYVISSDMPPLSARYTVWDGK - ->YP_009508260.1 putative [Echinochloa hoja blanca tenuivirus] -MNVSLYYSGAPVSDHSLLSKNGLSNIVLTCRDLPIPIDLLSLFFDILNERHPSFDEHMFLQMIRKPDDPE -NLSVFLKSAIWMLSHKRDLPGHYRLPLTCLVSTYSEYFVELKPRQPSTKCWFCKIAKDGLPFRVEGVHGF -PSEAELYIVPSKEHTIERFEVLSGKKLYRSPSKKKHGYLIASNKPPLTTKYIEYDPSKP - ->YP_009507911.1 cytoplasmic protein [Urochloa hoja blanca tenuivirus] -MNISLYYSGAPVSSHSLLSKNGLSNIVLTCRDLPIPIDLLSLFFDILNERHPSFDEHMFLQMLRKPDDPE -NLSVFLKSAIWMLSHKRDLPSHYRLPLTCLVSTYSEYFIELKPRQPSTKCWFCKIAKDGLPFRVEGVHGF -PPEAELYIVPSKEHTIESFEILSGKKLYRSPSKKKHGYLIASNKPPLTTKYVEYDPSKPEAKP - ->YP_009507896.1 NS3 protein [Iranian wheat stripe tenuivirus] -MNISLYFSGVPTVDHSLLSKNGLSNITLTCRDVTIPLSLLTSFYDTLHERHPSFDEHMFLQMLRKPDDSE -NLSVFLKSAIWMLSHKRDLPDHYRLPLSCLVSAFSECFVELKPRAPSTKCWFCRIAKDGLPFRAEGIHGF -PSDANLFIVPSKEHSSEKFEILSGKKLYRSPSKKKHSYLIASDKPPLTSKYVEFTSP - ->YP_009449447.1 NS3 protein [Rice hoja blanca tenuivirus] -MNVSLYYSGTPVSSHSLLSKNGLSNIVLTCKDLPIPIDLLSLFFDVLNERHPSFDEHMFLQMIRKPDDPE -NLSVFLKSAIWMLSHKRDLPGHYRLPLTCLVSTYSEYFVELKPRQPSTKCWFCKIAKDGLPFRVEGVHGF -PSEAELYIVPSKEHAIESFEVLSGKKLYRSPSKKKHGYLIASNKPPLTSKYVEYDPSKPDTKP - ->NP_620547.1 nonstructural protein [Rice stripe tenuivirus] -MNVFTSSVGSVEFDHPLLLENDLTSLSINCDDVHCSSRALCYIYDIHSSRHPSIDEHQFLRLLHGPDDAV -TLGSFLKTLIWILSHDKNLPEEYRLPTIMMSSSYVKFFTEVKPRPPSTNCWTCRMSKDNLPFTVPSVKGF -PPDAELYIVPISDHDGKPVKFDNRKTLYRSPSKKRHKYVISSDKPPLSARYVKYVDSSALESLPGSSPAV -L - diff --git a/seq/clusters_seq/cluster_702 b/seq/clusters_seq/cluster_702 deleted file mode 100644 index 43f4750..0000000 --- a/seq/clusters_seq/cluster_702 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009362138.1 hypothetical protein [Landjia virus] -MDISVNLNLSFDIPTGLYSKVVLDRLEWEIITWLKDNYRVSVEISAIVINLLFAHIYPIGPPVNHILTLQ -SKIHDVVSFQKRSLRQQYIGRQVINADPFFRLDGYFCEVKIRGFVTYPSPTIGRRIWELWYGPPREKVPI -TMKREIEDSAEKYNWMYLIEYW - ->YP_009362124.1 hypothetical protein [Manitoba virus] -MNISAGVSLSFNLPKELYKKEVLDRLEWNLVLWFKDTYHVSVEIASIIITLLFARLYPQYTEDNMVHLVS -EIHDIISFDHRSRREQYPGTALIGEKAMFKLDFYWCTINMGGFVTYPSPISGKKIWELWYGDHRRHIKPA -LRREIEDASEKYNYVYLIEYW - ->YP_009512993.1 hypothetical protein [Flanders hapavirus] -MDCTFNLSAFFYLPDYLYKKPVIDRLEWEIISWMRENYNMSTELCALTTTFLMSQVYPLAKDQDVLYLCG -ELRANVSFFKRSKHNQYPGIKWISENPSFVLGDYVCKVSICGRVSFPGRPGGQTPWEIWYSSTRSKIPKE -MRREIEDAAHSYHFEYLLDY - ->YP_009505466.1 hypothetical protein [Mossuril virus] -MNLAINLSMSFKLQENWYTKEVIDRLEWEIISWMRDNHHLSTEVAAIVTTFLISQVSPLYLDNGEYYMTS -ELRVNVSFMKRSRHVQYPGTTWILESPLFSLAGKIIKVCFAGRITYPGGSGGQTPWETWYTSVRSKIPKE -LRREIEDAAHSYNFEYLLEP - ->YP_009361985.1 hypothetical protein [Kamese virus] -MNLAINLSMSFKLQENWYTKEVIDRLEWEIVSWMRDNHRLSTEVAAIVTTFLISQMSPLYLDGGEYYMTS -ELRVNLSFMRKSRHTQYPGTTWILENPLFSLAGKIIKVCFAGRITYPGGPGGQTPWETWYTSVRSKIPRE -LRREIEDAAHSYNFEYLLEY - ->YP_009361955.1 hypothetical protein [Hart Park virus] -MNCTFNLSTFFYLPEYLYKKSVIDRLEWEIISWMRDNYNISTELCALTTTFLMSQVYPLTKDQDVLYLCG -ELRANVSFFKRSKHIQHPGIKWISESPSFVLGDHVCKVSICGRVSFPGRPGGQTPWEIWYSTIRSKIPKE -MRREIEDAAHSYNFEYLLDY - diff --git a/seq/clusters_seq/cluster_703 b/seq/clusters_seq/cluster_703 deleted file mode 100644 index 3d0bf88..0000000 --- a/seq/clusters_seq/cluster_703 +++ /dev/null @@ -1,104 +0,0 @@ ->YP_009507915.1 114 kDa polyprotein [Cucurbit mild mosaic virus] -MVLLLISFIVVVCVILDLEYTYIVKPFVCDCVRKYERERDTPRPLFRQDTYKHYTKENFLKFFFPSASSY -RRCTSSFSPTKTYKEMSSMANVTPVHTIPKEDLLKRAENYKLAQESKKSLLPDISKLYETPTGWKAALKK -LMRDKPEFVKTSELNVGSIRGTGATRMKVPITPGTFDWQSIDTRLPMEERKKGDQVMVAAVEIVADGFAS -VSSDVTMAAALYDKRHNKLENSFKGSFATRASGMPSHVVFYPTHRVPVEDDPNDTLELSVVSRDTDFSEE -FTLANFTARTVFVKAKGPDKVAETKELLTYRSEDLIKARQFVAEGNFTVAVPRMYPKVNLDNYTMPHGKG -VVQSYGTYNAGGIMFTKPKFAEGGSVVLNYTGAKCKKIATSEDSPKPSAPLCNYTSEDDEDPDVKYGQAL -MEEDIQDAETNMFAVTSATETMRMLVSGSGEIPLNTVTGHRLFTLYMNDLWKQTGPHVQLLNMLAKIPGS -IRMKVHCQLSPGCGIGLACSYVEGNESLRLGTDLGRLLGLQHKKWNPAIEPKFEFYFKPFSCCDWWNMHF -MGTNKFAPVLTILALSGWNGAPKVSASFTYALFYEPDIVLPKQIATLSFVPNHMIRKELGTLTFAQNERK -AYGFEVNFGKSQVHGSTVTHTFTSAYCGLAQFLECDVIFELTMMSNPLIGATFTLAYVAGTYLKHIKKIE -MLDALPHVTFTFERSFSSTKQVRFPKTIFPTYQALDRWDLSLDGNEEVSGHFVLYQRDKVSSLNDGDLVV -RLAVRAGSDVLMHGVSTGYPTTSSRDGKGKTGARNVLEAPRKAAGIKMGQSLIDLPDFQNVFYPVASWFY -DKGKHPGIRNELDISTHILKMRLDGTKNNEGFEVKHSAVTRLLQNCAWIKGTLHWKVVVKGSSEHMSYRR -TSQIEISVHENSLSSNEFFKSSSSLPSCCFEFSRKVIGPVDGFRAMGWNLQGDKKFYKLCVTLGNVHECE -SVNVYCRLGEDVQIAGQQKGGFYELSKPAKLFKEIDY - ->YP_008877635.1 polyprotein 2 [Lamium mild mosaic virus] -MHLLALWFYFISVLACSYTLIWKYCVVPILQTHIDNFRVEESKHAFYFRQRLWVPYWKKVYITLFSLQYH -TDWEAYDKFHAQVEEAIARVVEEKKREKMSQQSKAQDYTATESAPITPGHTLPKDLLLAKAQAYRTATAG -NESVLPQVSDLYQAHFLKRALGKFHEDMPTYVRTGELVVGKVYGDGTGILEVPVVPHNTEQIVDYRLKEK -ERKKGQQVMVAAVEIQADGFASNSSTTTMAAALFDKRHSLVANSFKGSFASAASGVPTHVVFYPSLRIAP -GDDPNEVLTLSTVSRDTDFDDNYTLAEFTARTVYVKARGPDKIKETKNLIFSSNLDRVKAHQFADETRCV -VSTPRVNPAVDLTNYKMPTPFGNLVRTEGIYSADGSILFPKARQGHNPEIVLNYTGPVGKSRVNAKKREE -VNWQKTSRSKTHDFSESEDDMDSYEGQAATANSSTRRTIPLNILHTELCQSLLDSEEEQDDCDAHSANQE -QIAFGQALMEQEVLEVEVINKPLLDMPETMRLLTSGFGSLPLNCVQGHKVVTVYLNKLGSLAGVHTQLLQ -MYARIPGSIRLRFHCELPPTCGIGLCAAYVEGNENASLGTVVGRLIGPQQKRWNPALESVIDFEFKPFSC -VDYWNMHMLGNATLAPAVTVLCLSGWLGSPKVAPNFSYALYFEPTSSIPKQIATMEHVPSSMMRKEIGTL -RFEQGVRKCYAFEANIGKCQVEGKVVTRNFASIYCGLFQYIETDIILDILLMSSPMLGATFTIAYVSGNH -LNSVINQQHLDSLPHVTFTFKKSLTSVLSLRFPKEIFPSYLPLDQWNLSVPGVQDSAGYFVLFQRDLVTS -QQEGALGMRISMRCGANMQFHGLSAGYPAVDSRNGKTRDKKKKPLPQVREIVQRQKGQGPQIPLGDVFMY -HQMASWEYKKHKYPGERTKLQLLTVNLKLRLDGTRNSEDLTILHSPVTNFLQGCAWIRGTIYWKVEIQAS -SDFRASDRCASNSIFIHENSLKSNTSHTAVSTTPNSTHEFSRRIVGPVGGFKPMAWHIGGDKKFYKMNIR -LGNVHEVESVRLFCRFGSDMEFGGQQKATYYTLAEEVEVFKEIELK - ->YP_002158823.1 unnamed protein product [Mikania micrantha mosaic virus] -MISLSILFLFCLFVCIYLYFFWKLVLRPEITLHFENSVQYIDSYTFKYHFRYSFDSEIEKILKWTLYYTF -PYQGESEFSPKFTAAEMEREYANVTPAHVIPKDVLLKKAGDYKLAKEGNRSLLPSISELYETNKFSKISS -LLRRNMPIFMQTSEMQAGVIKGDGNGLIKVPIVNQFEEERADVRLNPSTRAKADQVMVAAVEIVNDGFAS -VNSDITLAGALYDKRHKTLKNSFKGAYASRLGGAPSHVIFYPTHRVPVLDDPNETLELSLVSRDTDFEEQ -STLANISVRTVYAKAKGPDKVTETRNLLAAKLEDTVKAQQFASSSEVVLATPRLYPKVNLDNYALPRARN -VVQTYGQYSDSGITFRRPKFTNTGIVLNATGPITYASIDPENSSKEPIQHFEERLGSLSDEDTEDYKEGQ -ALMEEETLEACTNMFPLEGIPETMRLLFSGSASIPQNVTAGTKITVMYLNDLATHNGVHNQLLSMLGKIP -GSLKCRVYCEVAPTSGIGLAISYVEGNESVNLGNNLGRLLGIQHKKWNPAIEPTIDFLVKPFSCCDWWSM -HYLGSAKYAPVITILCLDKWLNAPKTESRISFALYFEPDVILPKQIATLYDIPGFMLRKELGNLRFPQGA -RKAYQFEVNFGKPQVDGKSVTMNLASAYCGLSQYMKADVILDLLIMSSPMMGATLTVALVCGGKIKHLGN -LQTLDSLPHVTFTFCKGGSSTRSLRFKKDLFPTLMTLDRWELDGEKTDEVGFYFVIYQRDSVSSALEGDM -VLRVSARSAGEVQLYGVSSGYPTMATRSLKGKTGVRDFGMKIRKPIGYKQAQAHTLGNEFGHVFYPVAFW -KYEEGKYDGSRGESDISTHYLKMRLDGTKSNEGFKILHSPFTRLLQNCAWFKGTLEWKVVILANSEMMSY -RRTSQAIITAHESSLSSYEFFSGVLSESSGTVSFSRNVVGTVDGFKSMGWDVQGEKKFY - ->NP_945135.1 polyprotein [Broad bean wilt virus 1] -MPLILLWFCFLCMIYVILKLEYTYVVKPFLKTAFLNTTSHYSDEYLREYSGIRWLHTFKEYREHTIFFNF -PSFYCSPGSGIKHTLSELIKMEAERVNLTKTETIPKDILLERAKNYRVAQESNKSLLPQVEDLYEVSKWK -RAISSLQKGEPSFVRTSEVAIGTMSGAGKMRIKVPVVKSYEEEVADMRLSQKVRAKADQIVVAAIEIVND -GFASVNSDVTLAASLYDKRHKTIASSFKGAYASRASGTPSHVVFYPTHRVAPGDNPNDTLELSAVSRDSD -FDENFTLANFSVRTVYAKAKGPEVIRETQHLLNCKLEDLVKAQQFASDEQVVLALPRVYPKVNLDNYVMP -GPDNVTKQEGEYSAKGIHFRKPIFNGSEIVLNATSKLPSSKSRGISKSEKIDDLGCMSDEEGIDYKYGQA -LMEEDVLEAQVDMFPLHNVAETMRLLFSGVSTIPMNVVEGTKISVAYLNELATHPGVHVPILNMLGRIPG -SILARVHCEVAPTCGIGLAATYVEGNESAALGTDLGRLLGIQHVKWNPAIEPVKEFRFKPFSCVDWWNMH -YLGSSKFSPVLAFVCLSKWINPPKGECKMSYALYFEPDIILPRQIASLNSVPSFMLRKELGTLSFKQGER -RAYAFEVNFGKPQVEGKSVTLNFASAYCGLSQYMESDIVIDLTLMSSPMMGGTFTLAYVAGSYLKSIKNM -QFLDALPHITFNFEKGGKSTRSLRFPSRLFPTYQSLDRWDLNASREDDVSGHFVLYQRDTVSSALEGDLV -FRVSARVSGEPMMHGVSVGYPTTLTRATTGKMSSRSLGEKVRKPIGLAKGQAHMNLADYKRVFYPMAEWI -YSSEKYEGRREDRDILKLLLKMRLDGTKATEDFRIVHSPLVRVLQNCAWLRGTIHFKIVVRANSEMMSYQ -RTSQVHVTAHENSLSSNEFFSGMLTATSGELEFSKEVVGPVEGFSSMGWNVQGNKKFYKLCIALGNVHEY -EAVKVMASFGDDVEFAGQQKAGHYALERGVSVFKEFKY - ->NP_149013.1 polyprotein [Broad bean wilt virus 2] -MNPELVAALDRYLSEIASSLFLGWIINLFLVFFYSSKSCFLLWAAFLYVNYYILRFEFAYIVVPFLETIY -SNSSQYHTVDWENAYTARSKSLWEQITDYNYCFNFPKPVVEGFVSDFSPRFALEELIAMNEANITPVHTI -PREILLKKASDYKLAVESKKSILPKVQDLYEMDKWHALRSRLSKNAPNYVVPSEIAVGAMSGAGNVKLAL -PVVEKYTEEVADDRLPDKVRSKADQIMVAAIELVADGFASVNSDVTMAGALYDKRHKTIASSFKGAFASR -ASGVPSHVIYYPMHRVPSNDDPNTTLELSMVSRDSDFDEGFTLANISVRTLYVRAKGPEKVTETRHLLKA -KTEDVVKAQQFASEAQVVFATPRLFPEVNLNNYNLPGPSNVQQTEAITTNGGILFPKPKFKGNEVVLNYT -GPTRVGNVSAQRPEKREFSSKSHVGSTDDLGCLSDEDGRDYRHGQGLMEEDVLDVQTNNFAIESATETMR -LLFSGCASIPLNVIPGTKLTVAYLNELSKHNAVHTGLLNMLSKVPGSLKVKINCQVAPTCGIGLAVSYVE -GNESVNLGSSLGRLLGIQHYKWNPAIEPYVEFVFKPFSCADWWNMHYLGSCKYAPVMVVQTLSKWLNAPK -VDAKMSFAIYYEPNVILPKQIATLDHAPAFMFRKELGTLAFKQGERAAYSFEVNFGKPQTDGKEVTSTFA -SSYCGLSQYMQSDVILDFTLMSSPMIGGTFSVAYVAGAYIEKVGDMQILDSLAPCDFTFSSGTKSTRSVR -FPKEIFGVLQALDRWDLNSARGDDVSGNFVIYQRDAVSSALEGELTFRIAARLSGDIDFVGVSAGYPTTI -TRIGKGKTQGRSLGPEIRKPLRYMLGQSHSTPLDFSSVRFVMGHWKYKAGLYPGSKSDEDIHPYSLKMRL -DGSKSSENFEIIHSPFVRLLQNCAWMKGTLNFYVVARASSDYMSYRRTSQLTVSAHENSLSSNQFYSGVL -TSPSGELGFSREIVGPVDGFASMGWNVRGSKKFYKIHVEMGNVHEYETVTLYGRFGPNVEFAGQQKGGHY -MLEKEAPTFKALKY - ->sp|Q9Q2Q3.1|POL2_BBWV2 RecName: Full=RNA2 polyprotein; AltName: Full=119kDa protein; AltName: Full=Genome polyprotein M; Contains: RecName: Full=VP53; AltName: Full=53 kDa protein; Contains: RecName: Full=Movement protein; Short=MP; AltName: Full=37 kDa protein; AltName: Full=VP37; Contains: RecName: Full=Large capsid protein; Short=LCP; AltName: Full=44 kDa protein; Contains: RecName: Full=Small capsid protein; Short=SCP; AltName: Full=22 kDa protein -MRPELVAVLDRYFSEIISCFFLGWLLNFLLVWFCSTKSTFLLWSVFLYICYYILRIEFAYIVAPFLKTIY -TNSSQYHTVDWAYAYTALPKGLWEQITDYNYCYNFPPPRVEGFVSDFSPRFTLKELEIMNEANITPVHTI -PKDTLLKRASDYKLAVESKKSILPRVQDLYEMDKWHNLRSKLSKNAPSYVTTSEIAVGAMSGAGNTKLAI -PVVEKYTEEVADDRLPDRVRAKADQIMVAAIELVADGFASVNSDVTMAGALYDKRHKTIASSFKGAFASR -ASGVPSHVIYFPMHRVPACDDPNTTLELSMVSRDSDFDEGYTLANISARTLYVRAKGPEKVTETRHLLKA -KTEDVVKARQFASEAQVVFATPRLFPEVNLDNYNLPGPSNAQQTEAITTDRGILFPKPKFKGNEVVLNYT -GSGKIRNVGSQRFETKKNATGEQFVRSVDDLGCLSDEDGKDYRYGQGLMEEDVLNVQTNNFAIESATETM -RLLFSGYASIPLNVIPGTKITVAYLNELSKHSAVHTGLLNMLSKIPGSLKVKINCQVAPTCGIGLAVSYV -EGNESANLGSSLGRLLGIQHYKWNPAIEPYVEFVFKPFSCADWWNMHYLGSFKFAPVVVIQTLSKWLNAP -KVDARISFAIYYEPTVVLPKQIATLEHAPAFMFRKEVGTLAFKQGERVAYSFEVNLGKPQTDGKEVTSTF -ASSYCGLSQYMQSDVILDFTLMSSPMIGGTFSIAYVAGAYIEKVGNMQILDSLPHIDFTFSSGSKSTRSV -RFPKEVFGVYQALDRWDLDSARGDDVSGNFVLYQRDAVSSALEGELTFRIAARLSGDISFTGVSAGYPTT -ITRIGKGKTIGRSLDPEIRKPLRYMLGQAHATPKDFSSVRFVMGHWKYRAGLYPGSKSDEDIHPFSLKMR -LDGSKSSENFEIIHSPFVRLLQNCAWMRGTLRFYVVARASSDYMSYRRTSQLTVSAHENSLSSNQFYSGV -LTSPSGELSFSREVVGPVDGFASMGWNVRGSKKFYKIHVEMGNVHEYDTVVLYGQFDSNVEFAGQRKGGH -YLLEKETPIFKTIKY - diff --git a/seq/clusters_seq/cluster_704 b/seq/clusters_seq/cluster_704 deleted file mode 100644 index e6b63b5..0000000 --- a/seq/clusters_seq/cluster_704 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009508278.1 polypeptide Pns11 [Wound tumor virus] -MDASVDRITNLHFEILAKAGGHEIHQKYEAIRKLNLTGDSSKSNISVSARSAILKWADAKQGYIASQLDD -RDYGDLIAKAVIFVPMSVITGGKNPKDLIPYGVVAAVLIFVPETLTLLDEIVINLMHDKKPLSSILLTKI -LRDMKIDVCGSNFDSFYYCPISRYNRHIIKLAGALPQMPTSVRLSVNDLARVAISEVHNQLISDKQMFFK -LPTGFSPKVHCLKVLCTTEMEIFQKWVRTFMSDRPNEFIYSDQFNILSRTTYFSSDDPFSFFTLWRGWST -YKEILSQDQASSFLEAIGSGKPLRSSIATFPSMFDEGAIYIRYEWITPKDSANSKKAGSSAPSAPKM - ->YP_002790893.1 non-structural protein [Homalodisca vitripennis reovirus] -MDVESSRVLNLHSGFLEKLAGHEIITKVDAIKRFKIEGLQGGNNVSVAALEKLRDYANKGEGYVISDIQE -RTTGDGVYKSLIFMRRSILSSGKSIKDVLPYGMMVGVLVFLPETAGILDDIPMVISTSKKPMTICIIHQL -AKNLHLDLDSDSYDSFYYCGSSAYGKNLLRINDHLPSIPPKIRLSVGDLAYYAASSLHTAATTYIRLFDN -LPAGFSPRSHLYKVVGILDMPTFKDVVKTKTKSCDEQFYYDDVKNVMIRDGVFSADHQYTAVILWRGWAS -TYMEMLSQEQQSQLQSQNAFAGDLGIHKFTVASKFDEGQVYVQYKFITPGMLARGAAQRSGSHQGGNLYP -SLSLDSLPKKV - ->YP_001111370.1 hypothetical protein RGDV_sS11gp1 [Rice gall dwarf virus] -MDADTERVIKLHVDLIKNHQVYGVLTKFDAIRKLRLTADGNSNNASRAALSKLQELASSGEAYVVSDLAS -RTVETSDIVKAVIFTPHSVISRGKLIKDIIPYGVMAAAIVYVPETITILDSIQFYGENHVSRPYSMVVLI -DACKEIGTEVVGSDYDTYYYCQAPEYGKNLLKMGTMRPNLPAIIRLSMGDLCYGAAISSHTMAAKYLPSY -LTRLPNGFTPKSHLLKIYLVLEMEQFKEIVQEMMAKGGHEYNDSKNLLSRTTMFNPDHAYTHIIFWRGWS -STYKEFLSQDQLTTFTGRPGVAGDLGVWTFSIPSMFNDGRVYIRYKFFPLHAKKREHNSGKGISLYPDLE -SLKLES - ->NP_620536.1 nonstructural protein [Rice dwarf virus] -MEVDTATFVRLHHELLCAHEGPSIISKFDAIKKVKLGTLANQSGGANNITEAFFDKLRNFERKSEAYLAS -DLAERELTRDTHKAIVFVTKSVLLGGKSLKDLLPYGVIVCAFIFIPETASVLDNVRVMIGNQKRPLTVAL -IKYMAKSLNCDLVGDSYDIFYYCNSSAYGKNLISVSENDFSNPQRALLSVGDLCYQAARSIHVAAANYIR -IFDRMPPGFQPSKHLFRIIGVLDMETLKTMVTSNIAREPGMFSHDNVKDVLHRTGVFSPNHHFSAVILWR -GWASTYAYMFNQEQLNMLSGTSGLAGDFGKYKLTYGSTFHEGVIHVQYQFVTPEVVRKRNIYPDLSALKG -GSS - ->sp|Q85434.1|VSR_RDVF RecName: Full=Suppressor of RNA-mediated gene silencing; AltName: Full=Non-structural protein 10; Short=Pns10 -MEVDTATFVRLHHELLCAHEGPSIISKFDAIKKVKLGTLANQSGGANNITEAFFDKLRNFERKSEAYLAS -DLAERELTRDTHKAIVFVTKSVLLGGKSLKDLLPYGVIVCAFIFIPETASVLDNVRVMIGNQKRPLTVAL -IKYMAKSLNCDLVGDSYDTFYYCNSSAYGKNLISVSENDFSNPQRALLSVGDLCYQAARSIHVAAANYIR -IFDRMPPGFQPSKHLFRIIGVLDMETLKTMVTSNIAREPGMFSHDNVKDVLHRTGVFSPNHHFSAVILWR -GWASTYAYMFNQEQLNMLSGTSGLAGDFGKYKLTYGSTFDEGVIHVQSQFVTPEAVRKRNIYPDLSALKG -GSS - ->sp|Q85447.1|VSR_RDVA RecName: Full=Suppressor of RNA-mediated gene silencing; AltName: Full=Non-structural protein 10; Short=Pns10 -MEVDTATFVRLHHELLCAHEGPSIISKFDAIKKVKLGTLANQSGGVNNITEAFLAKLRNFERKSEAYLAS -DLAERELTRDTHKAIVFVTKSVLLGGKSLKDLLPYGVIVCAFIFIPETASVLDNVPVMIGNQKRPLTVAL -IKYIAKSLNCDLVGDSYDTFYYCNSSAYGKNLISVSDNDFSNPQRALLSVGDLCYQAARSLHVAAANYIR -IFDRMPPGFQPSKHLFRIIGVLDMETLKTMVTSNIAREPGMFCHDNVKDVLHRIGVYSPNHHFSAVILWK -GWASTYAYMFNQEQLNMLSGTSGLAGDFGKYKLTYGSTFDEGVIHVQYQFVTPEVVRKRNIYPDLSALKG -GGS - diff --git a/seq/clusters_seq/cluster_705 b/seq/clusters_seq/cluster_705 deleted file mode 100644 index 9289d65..0000000 --- a/seq/clusters_seq/cluster_705 +++ /dev/null @@ -1,63 +0,0 @@ ->YP_004222726.1 envelope protein [Avian leukemia virus] -MEAVIKAFLTGHPGKVSKKDSKKKPPAASKKDPEKTPLLPSRGYFFFQVILVCVVIISVVPGVGGVHLMQ -QPGNVWVTWANKTGQTDFCLSLQSATSPFRTCLIGIPQYPLNTFEGYVTNVTACNNTELANQTACLIRAL -NTTLPWDPQELDILGSQMIKNGTTRTCVTFGSVCYKENNRSRVCHTFDGNFNGTGGAEAELRDFIAKWKS -DDPLIRPYINQSWTMVSPINTDSFSISSRYCGFTSNETRYYRGNLSDLSVWCKSKRGEWLEGYSNGTGCS -NNTTGCGGNCTAEWNYYAYGFTFGNQPEVLWNNGTAKALPPGIFLICGDRAWQGIPSNALGGPCYLGQLT -MLSPNFTTWMTYGPNITGHRRSRRSLSHLPSDCSDEVQLGSATARIFASFFAPGVAAAQALKEIERLACW -SVKQANLTSLILNAMLEDMNSIRHAVLQNRAAIDFLLLAQGHGCQDVEGMCCFNLSDHSESIHKTLQAMK -EHTEKIQVEDDPIGDWFTRTFGDLGRWLAKGVKTLLHALLVIVCLLAIIPCIIKCFQDCLPRTMNQFMDD -RIRYHRIMEQL - ->YP_031678.1 Gag-env fusion protein [Avian endogenous retrovirus EAV-HP] -MDQVIKVLVQFCKDYCGKSTPSRKEIATVLSLLNELGELDSPRHVLDSSRWDLLTLALCQRAMASQKATE -LKTWGLMLGALKAARAEHKLGAVMSGEGAPGSGSLEFCRTGAQTGAQTTANKTATEREEDCEKDNEESQR -LGGGATTPTAPPNSIALSPPPPYPKQPLYPSLATTSEQGAGPSPKGKGEGRLKLTDWGQIKEEVAQKGLA -ATYTLPVVVSEEGGPIWVPLDPKGVARMIEAVEKKGLKSPLTMNALEALTASGPMLPYDIENLMRMVLKP -VQYTLWREEWHTKLKQMLITAQGDQRNPIYGSDIQRLTGNAPGLLTPQAQVCQLRPGELIATTDAAIDAF -RKLARSAEPTTPWTEIAQGPTEPFQEFADRLIKAVEGSDLPRAVHGPVILDCLYQKSSEGVQGILRAAPG -RLQTPGEAIKYVLDKQKACPSVAGEVAAAVAGVMMACREADHRSADRQLGPCFKCGQLGHIRAQCRMGTG -GGVTCQQCGRKGHAAPQCRARRPPSQGNNNGRQSEHGDIIFRPMQAPDLSLPMAALSLSTHERPLVKATI -SCTNLPPDFQGPLSIFVTALIDSGADVTVVTETEWPSSWPAEASQSIMGVGGATPSRRSTNEVQAVVINR -DGSLEKPALLTPLVARVPGTLLGRDFLRQIGIPQYPLNTFKGYVTNVTACDNDADLASQTACLIKALNTT -LPWDPQELDILGSQMIKNGTTRTCVTFGSVCYKENNRSIVCHNFDGNFNGTGGAEAELRDFIAKWKSDDL -LIRPYVNQSWTMVSPINVESFSISRRYCGFTSNETRYYRGDLSNWCGSKRGKWSAGYSNGTKCSSNTTGC -GGNCTTEWNYYAYGFTFGKQPEVLWNNGTAKALPPGIFLICGDRAWQGIPRNALGGPCYLGQLTMLSPNF -TTWIAYGPNITGHRRSRRSLSRLSPDCGDELQLWSVTARIFASFFAPGIAAAQALKEIERLACWSVKQAN -LTSLILNAMLEDTSSIRHAVLQNRAAIDFLLLAQGHGCQDVEGMCCFNLSDHSESIHKALQAMKEHTEKI -RVEDDPIGDWFTRTFGDLGRWLAKGVKTLLFALLVIACLLAIIPCLIKCFQDCLLRTMNQFMDERIKYHR -IREQL - ->NP_597837.2 putative env protein gp37, partial [UR2 sarcoma virus] -VAAAQALREIERLACWSVKQANLTTSLLGDLLDDVTSIRHAVLQNRAAIDFLLLAHGHGCEDIAGMCCFN -LSDHSESIQKKFQLMKEHVNKIGVDSDPIGSWLRGLFGGIGEWAVHLLKGLLLGLVVILLLVVCLPCLLQ -FVSSSIRKMIDNSLGYREERKKFQEAYKQPERVV - ->NP_040548.1 envelope protein [Avian leukosis virus - RSA] -MEAVIKAFLTGYPGKTSKKDSKEKPLATSKKDPEKTPLLPTRVNYILIIGVLVLCEVTGVRADVHLLEQP -GNLWITWANRTGQTDFCLSTQSATSPFQTCLIGIPSPISEGDFKGYVSDNCTTLGTDRLVSSADFTGGPD -NSTTLTYRKVSCLLLKLNVSMWDEPHELQLLGSQSLPNITNIAQISGITGGCVGFRPQGVPWYLGWSRQE -ATRFLLRHPSFSKSTEPFTVVTADRHNLFMGSEYCGAYGYRFWNMYNCSQVGRQYRCGNARSPRPGLPEI -QCTRRGGKWVNQSQEINESEPFSFTVNCTASSLGNASGCCGKAGTILPGKWVDSTQGSFTKPKALPPAIF -LICGDRAWQGIPSRPVGGPCYLGKLTMLAPKHTDILKVLVNSSRTGIRRKRSTSHLDDTCSDEVQLWGPT -ARIFASILAPGVARAQALREIERLACWSVKQANLTTSFLGDLLDDVTSIRHAVLQNRAAIDFLLLAHGHG -CEDVAGMCCFNLSDHSESIQKKFQLMKEHVNKIGVDSDPIGSWLRGLFGGIGEWAVHLLKGLLLGLVVIL -LLVVCLPCLLQIVCGNIRKMINNSISYHTEYKKLQKACGQPESRIV - ->sp|P33498.1|ENV_AVIR3 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 85; Short=gp85; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 37; Short=gp37; Flags: Precursor -FPILPGVWVDSTQGNFTKPKALPPAIFLICGDRAWQGIPSRPVGGPCYLGKLTMLAPNHTDIHKILANSS -QTGVRHFRSVSHLDDTCSDEVQLWGPTARIFASILAPGVAAAQALREIERLACWSVKQANLTTSLLGDLL -DDVTSIRHAVLQNRAAIDFLLLAHGHGCKDIAGMCCFNLSDHSEAIQKKFQLMKEHVNKIGVDSDPIGSW -LRGLFGGIGEWAIHLLKGLLLGLVVILLLVVCLPCLLQFVSSSTRKM - ->sp|P03396.1|ENV_RSVP RecName: Full=Envelope glycoprotein gp95; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 85; Short=gp85; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Glycoprotein 37; Short=gp37; Flags: Precursor -MRRALFLQAFLTGYPGKTSKKDSKEKPLATSKKDPEKTPLLPTRVNYILIIGVLVLCEVTGVRADVHLLE -QPGNLWITWANRTGQTDFCLSTQSATSPFQTCLIGIPSPISEGDFKGYVSDTNCSTVGTDRLVLSASITG -GPDNSTTLTYRKVSCLLLKLNVSMWDEPPELQLLGSQSLPNVTNITQVSGVAGGCVYFAPRATGLFLGWS -KQGLSRFLLRHPFTSTSNSTEPFTVVTADRHNLFMGSEYCGAYGYRFWEIYNCSQTRNTYRCGDVGGTGL -PETWCRGKGGIWVNQSKEINETEPFSFTANCTGSNLGNVSGCCGEPITILPLGAWIDSTQGSFTKPKALP -PAIFLICGDRAWQGIPSRPVGGPCYLGKLTMLAPNHTDILKILANSSRTGIRRKRSVSHLDDTCSDEVQL -WGPTARIFASILAPGVAAAQALREIERLACWSVKQANLTTSLLGDLLDDVTSIRHAVLQNRAAIDFLLLA -HGHGCEDVAGMCCFNLSDHSESIQKKFQLMKKHVNKIGVDSDPIGSWLRGIFGGIGEWAVHLLKGLLLGL -VVILLLLVCLPCLLQFVSSSIRKMINSSINYHTEYRKMQGGAV - diff --git a/seq/clusters_seq/cluster_706 b/seq/clusters_seq/cluster_706 deleted file mode 100644 index d5a827e..0000000 --- a/seq/clusters_seq/cluster_706 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009509085.1 Vpg [Tomato mild mottle virus] -GKSKRIRLQRDKRSDAFHLHAPEDDFTEEYGVDYSWDVIEGRISKAEKTRRLKEKGREPNEMERTPNVFK -LIYGFNPSQFDQIMLALPNGAASEIVSGKDFNLDELFVSLQDTTQFLYKAKPSYLNLYFGNKGDDFVHKV -KLTPHEPRRAIGNTMKPMGFPEQAGVFRQSEKPVRVTRPEEMKLEEH - ->YP_007032443.1 VPg [Cassava brown streak virus] -AKHKYNRDKRTGRLMFDYSDQDTVETFGVEYSDAVITGKMSKAQKERESRKKGWKIGKVNRPMRVFHQLY -GVNPLEFDEVIMRVGDWATDPWTAKDVNVDGMLIELDDDYHILKDDRMLGKRVELAFTKSGSSDETVVQL -TPHRSRMASSMSLSPMGFPEEEGRWRQTGSPVVQKRTESGHTVEMQ - ->YP_004063980.1 NIa-Vpg [Ugandan cassava brown streak virus] -AKHKYNRDKRTGRLMFDMDDQETYENFGPEYTDDVISAKMTKAQKERDSRKKGWKAGKINRPMRVFHQLY -GVNPLEFDEVVMRVGKLETEPWDVKELNVDAMMIELDDDYHILRDDRMFGKKVSLAFRKEGADEETIVNL -TPHRSKMTSSMSLAPMGFPEEEGRWRQTGAPLIKKTEKEDEVEVQ - ->YP_001788998.1 VPg [Squash vein yellowing virus] -GRNNLRFKRDKRTARFVFDGEDQDMVETFGIEYSDDVIQKKMTKRQKQRAASNSGWKIGKVDRVKRVFHQ -LYGVNPLEFDKVYMTVGDLMGNEWSTNEKWTVEDLIVDMDDEFGVGRRGDLETEVVQIHFKRDDSNEEKV -VTLTPHRSKMASCMSLNPMGFPEEEGRWRQTGKPVDCVRVKKDESPGKIELQ - ->YP_308883.1 NIa-VPg protein [Cucumber vein yellowing virus] -GKVGYRRDKRVGRFVFDGPDEDIIENFGVEYSHDVVTKKMSKAQKLKQAKEKGWKIGKVDRPKKIFRQLY -GVNPLEFDEVYLTVGDFKGEVWETKDMDIDEMYSDLYSDFNLGNRKGYSKDVYLVFSKKDSDIEAVVDLQ -PHRSKMASSMSLNPMGFPEEEGRWRQSGDVKMRKRIEEEVEVQ - ->NP_734290.1 NIa-VPg protein [Sweet potato mild mottle virus] -HGKKIRLNRDKRNACFVFSGTDDAMVEEYGVEYSQDVIHGRMSKAQKARQMKLKGKKPGSDTRVKPFKVL -YGIDPNDYDTVALSAGGLTTEAVPVGEASLIDLMLELDDETGIFRKQVVNELKLKYTNNANGEQAMVRLT -PHDSRRATIGSFMPSGFPDHHGEWRQTGAAEIIKEKNVAVDSHVGTPTVDAE - diff --git a/seq/clusters_seq/cluster_707 b/seq/clusters_seq/cluster_707 deleted file mode 100644 index 2ca5005..0000000 --- a/seq/clusters_seq/cluster_707 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009507972.1 26.9-kDa protein [Tetterwort vein chlorosis virus] -MMEYPIEYARNDGGNNESLINIISHNFNSIVNIIENYCMYDVHVIDAAIETSHILINMCKTCSGEINLYS -LNNKRVESLRQMNISVNQVVEEKHTLFPTLKGWQLEEIIYQLIPVLSFLRSVMTCIMDDFNVNNLFAVHK -ITCVSELNDSLYYYLKNKFNFGENVLVDISLFVDNSDKLENLMLKQKIRKYLDKNAREYLKEYITKNIMF -ELNFSFTCFGINIKQIKNF - ->YP_006522433.1 hypothetical 26-kDa protein [Cucurbit chlorotic yellows virus] -MSLNDVIAKNFNTIVNIIQSHALFDKIHLENYRELCNVLHVMINENNNDINIYSENNRTLGSFRDAGLDI -NNISARRNKLFPTLTPWQIRDVLQQLESVLWFVTDLKTGLMDDFKINNLFSIYKINNVGDLINSVHSYLN -SHYNFRDNINAEFSLMIRDDDCVDSVIKNFKRKKFLNEKSRQKMKTLLANCLVYEITFNFGNLGLYMNPL -KNF - ->YP_003002364.1 unnamed protein product [Lettuce chlorosis virus] -MSNMEVDYPIQGFEFGGGGDKAMAEVISKNFNTIVNIIQNHEMYDMIHLNHLHELCQVLRVMINDCTEDI -NLFSENNKLVGSFRDAGLDMNNISSRRNKLFSTLTPRQLRDTLDQLETVLNFLTDARFGVMNDFKINNLF -SIYKINNVGDLINSVHGFLNSHYRFGSNIQADFSIMIKDGKTIDEVIKKYKKEKYLNDINRRKIKDLLSN -HLVYEISFHFGGLGLPIKPLKNF - ->YP_001816781.1 p27 [Bean yellow disorder virus] -MEVDYPIQEFELTTDSGKSMGELIAKNFHTIVNVIQSHQMFDIMNLEHVANLCYTLHVMIDNHEGYVNLY -AENNPRVDSFRDAGVKPEVLVNKKDKYFPTLTTWQLRDTLSQLEPVLNFVTELKGGLMNDFRVSNLFTVY -KINNVKDLINSVHHFLNDMFKFGENVKAEFNMLIKDGKSVERMIKNFKKQKYLDENLRIKFKDFISENLV -YEINFEFKNLGFYLKPIKNFN - ->YP_054414.1 hypothetical protein PYVVs3_gp3 [Potato yellow vein virus] -MENPELISYPSNGNTQFASDEFIAYQINAVVNALQKYALYSADELSAFRDHCGVILNLISRHGESVNMFS -RNSKIFESLTNNSVPEETILRNKGIYFPTLSVYELQDILNNFVVTLNFLIDVKTGIVTEVILSSCIDEFN -VKNFRGLKNILRAKLTTKYPLTRFVSLEMAFDDNGKQDIARFLKNMRTDDVDPKKVLRKIVQDLNLAFMF -ELSFHYLNDGLIIFKINNKH - ->NP_851578.1 p26 [Cucurbit yellow stunting disorder virus] -MEFPTNDIEHIQEDGEDFPAIISKNLNSVLNAIQNHSLYDMIMLDNAIETCYTLIIMCQKLYEDVNLYSA -TNPRTESFRNASVNVNTILNNKDKLFPKLSSWQIDDLVKQLIPILNFLKDSKTKIMNDLRVNNLFSVYRI -NDVRSLLTSLQTFLTSYYNFGRNTVPEFSLYIDDSEKLEKLIKGYKSKRYLTKSVRNELVNYFSNNLVYQ -ISFLFDNIGINIKPIKNF - diff --git a/seq/clusters_seq/cluster_708 b/seq/clusters_seq/cluster_708 deleted file mode 100644 index 2aeeef7..0000000 --- a/seq/clusters_seq/cluster_708 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_009342289.1 hypothetical protein 2 [Wenzhou tombus-like virus 15] -MSKQYVPVTYSRPVKSKAATIKRSGTSILVSHEEYIQDVVATTAFSCITIPINPGVQTSFPWLSLLAAGY -ESYSIKSLVVEYEPRCATTTQGTVILAFDSDASDPAPPGKVQAMQYDPNIAIPPWQREGLRFKPEIQKIS -RHFVRFGNLAANQDIKLYDVCNLFLITQGFTSAGIQVGEIHFRYVIEFQTPQIDYDGYALQTSARISVTP -ADQVLFTTGTVIAGGAPITINTGPLTLAKVAGGGSSAATLTTSNQAMVFGGPGQYYFNVLIGSTGGITIT -AANVGIYTLGTVSLIYFGTPGNASYVNYNFICKVNSPGDAIALVTGATSTTWTSAGSSIRVSYYAYALN - ->YP_009337342.1 hypothetical protein 3 [Changjiang tombus-like virus 17] -MKNKTSNSKKMEGKQISAPVATTKVIRAKTPSMRNTTDGNMIISHTEYCSDLYGTLASPVSAAVTLNPQD -ATTFPWLSAIASRFEMYRFKKLKFHYNPSCPTSTEGYMILAFDFDAQDEIPTAKTELLTWKYAMKIAPWA -PVSLDVSKDARLSTARYCQPSPRNISGVDNRLDALGNAIFNSGFNAISASLGEIMIEYTVELMIPSYRAP -PALFAKVVGTPTGSAFTFPTFVIDTLTNVLLSRISNNVLRLETPGSYQLTYDVGGTGFTGPAGLTVSADP -STPESTFVDYGSLANAFSSTSALNTTAFRLINGAVQISLPPPPGTATLTRAVLRLATYALTS - ->YP_009337308.1 putative capsid protein [Wenzhou narna-like virus 5] -MYSPSQSLKHPILMIKSPKTANVPMKEAKPRRRRSRPKQPQQKLQTEINEMAPVSYASTRSFRTDVLQSH -KNVKSREQISSITGSNSFLVGGTFHINPGLPECFPYLSDIAKKFQQYRFKSLTFEFVTSTATTTTGNVIL -SPEYNPKELPPATEQQATNTYGAVESVCWRNSKVSFSPSGMFPLGPRKMIRSCLVPSDLQAYDAGQLFVC -VTGQTGNPLIGKLWVSYDVDLYIPQNSLVQDYSPSSAAMFTIVSTALTSGVATLLTLTTVYDPFGFTNNG -NTLSLLKGVYRMDARFTVDGASVTNCLTQFSVGGVLSTTHTYVAGSPTLWTYFGTGLQMLIPSTEVQNFG -ISVASTFTGAANAGNGVLIINLV - ->YP_009337199.1 hypothetical protein 3 [Changjiang tombus-like virus 8] -MTNKQQPKKGNLRGAQQKNSVPTMARNDSVRAAPVALATRRNGTAARMTTTNTGVTVTHRSFLMPVTNTL -NYTAFSVPCNPGMAGSFPWLCKLARRYEEYRFKKLRYEFRSVTASSQSGVVMMSFDFDAADSAPSTKAEQ -AQTVPNTETNVWMNNDLVVTPDPKWQYVRSGFLSSNLDIKTYDMGNLWLSTAYGNNVVGGELYVEYTVEL -RKPTDGPETCGTWTADTGAFSAPVNQTNVVSTGASFPFRRIDNNNFEVVSGGEYIMVCRASGTGLTAVIA -TPTIASSGTTALVSSIQTCVGGSSTINVLRLRVDTGDVIYFANAGTGTTINATRYWVAPVDYTSIV - ->YP_009336791.1 hypothetical protein 3 [Hubei tombus-like virus 8] -MTRKGKNLRVGFQQGAPKSATARTSNQNDKKMMAPVSIATKRTGAAPRINNKPNGSVEISHRSFLCPITN -NINYTAQLFNVNPGLPGTFPWLSKLARRYEEYRFKKLKFEYRSVCATSTSGVIMMSFDYDAADGLPTNKA -SQAQTVPNSEVNAWSSNDLVINCDAGYKYVRAGVLQANLDIKTYDFGAMCLSSLYGNGVVSGELYVEYTV -ELRKPTDGPATSGKIAYATTVFATPFPGGGVVTGFNPYTSTANELVFTTPGEYMIAVNTVGTGITAACPL -PTMVTTTGAIQSLAVTFSATQGIHLFGVRAELGDVLQFATAGTGTTITALRIRSSTANYDAL - ->YP_009336752.1 hypothetical protein 3 [Beihai tombus-like virus 1] -MAIVPYNAAGQLASLITHPGIQQKVAKFASQGLPWASKLLSAGWQKLTKGQRKQARNALNLQPKIQANMV -PVEVGISKSAIVKGRIPRYKQSAGYVTISHREYLAEAELVNGTDIRGYVLNPSNPRAFTWLCTFARNFEK -YRFRNIRLTYVPQAPTTAAGRVILAYDKDSTDILPSGKAELYSYQGTVDTPVWQGTSIAFPCDNTLRFVD -SSNTAETRLVDLGQFITCTSAVSAFNGGEFFIDYTVELHTPQQPQPMSERIYTVVGIPAEYHGDLMVVES -NNSTTEFGIEWQSVGFYHVSITINGDCSSMATNTHDDAHIVRTTSSQNGTTSMTIAMFVRVVSFDPTAPP -GILFTLLSGRGSVHTYVTRVSSSVAFS - diff --git a/seq/clusters_seq/cluster_709 b/seq/clusters_seq/cluster_709 deleted file mode 100644 index 96638be..0000000 --- a/seq/clusters_seq/cluster_709 +++ /dev/null @@ -1,78 +0,0 @@ ->YP_009507751.1 VP4 [Scophthalmus maximus reovirus] -MITIVFIPGHGFNWNDSTPLKYIDSRIYRTRIPKDTLTLFAPAWFKSQLEHYVGTHGIEEVYSWCQRLIS -PLTNRFVLLPRPKSFAKWLLSTPSANIWDIPRWKLDLAAAGKAPPDLYDGIHPLLGHDATVTKSVSLIAG -HPIVYSRTRHVFGGPLYLATDVSAYSGFINQSALDAIFKHDADLPSSRRSAVHITILPNLTNSRSFMLDL -PDLTIDPDYPLSAFHGHLTRVGQNTTRMMPLDGLCWRLTRGSAKPTWTPEFDEAFRLLRLSRPAASDARP -NFGTETVLVHVDLTLNVDTRDNSAPRAPLHVHVLNVPLAYLTLMDLKLSQCYPLRTEDGNTVPWFLVLVL -LSDGVQLAGTKRPVLLQTSIAELQPWWEVTLNAFLNPHAVTVRSGVIKDIMGVALALPKGSYKSTFIDVI -TKNLSNPDAIFPQETVTDSDDLGDSLSPSFENQIMDVWHALGTDVLEQGVRAILTPGAYGAVFPIEIYQE -FSKLYHDVMIPAQRARAAFISQRGRSLVYVHTPYEIVSANVPMQVAPCQIALDSMVNVLIRHKRVGGVTG -QVLLDHCYRLMGATTTPQPAGLYYRSLFGPWLELAAHPTSTVPIWLETEVSAHTLREVGWSVDGDEPLLI -NILEGLVPTDSVFLVKLPQRVPSRASVVVTAVTDLRISLSPPLPTRMVHSSVLLPVTSVARFMAPNRILL -AGTSISVRGPVTWMTTSSPVAESGSGPSGP - ->YP_009507739.1 VP4 [Green River chinook virus] -MISIVFIPGHGFSWNETNLLNFIDTRLHNQRISRDRLTTFAPAWFKHQLEYYAGTHGIEPVYQWCQHLSS -PLSNRFILLPRPKSFAKWLLSTPSANIWHIPPFKLKLALEGKQPVDLYDDVLPLLEPGASVQKSVDLISS -NPIVYTRTQHVFGGPLYLATDPSSYSGFISPTVLSQIFKCDAEVPVFKRSELHLTIVPNLTSPRTFMLQV -PNQTLDANYPLSAFNTHLTSTATNRLKIFPLDALAWRLLKGSPKPEWTTDFDDAFKALRLSRPAIKIANA -SFGVDCELCHLECKLTVDPRDNPSPRKPLKITLLNVPVKLLTLFGLTTPKLYPLRREDGNVVPWFLVTVL -MSDGAVLTGSRRPVLLQTAHAELQPWWEVELTSIRNPHMSRVRDGDVRDIFGVAVALPKGSYKSTFIDAM -CGLISGPIDVFPQTTVTDSDDLGDSLNPTFETVVTEAWAKLGEPILETGVRSLLSANVHGESFPPEIYTT -FSDLYRELMLPAQRARSNIIARRGRSLAYAHTPYEYISANVPIQVFTCCISIDATINLLARPKRVGGVTG -QLLMDHCYRLIGATLAATPVGVIYRTLFGPWLEYAANPCPVIPIYLMTEISAASLRAAGWSVDGDEPLRL -VIMPALVPADSLLPASCPIPTASRASVICESKDVQYVSMTPPMPVKLVSPEILLPVTSVVHWLDPRRIIL -SGELVSLKGAVVWDVMGTPS - ->YP_009351852.1 VP4 [Fall chinook aquareovirus] -MLTIVFIPTHGFSWNDTCLLQYIDSRLTQHKYHKDRLSIFAPAWFKLQLEQFTGSHGTEEVFAWCKQLTS -PLTNRFILLPRPKAFARWLLDSPASNIWEIPRHKLLLAADGKAPPDLYDNIHPLVGPNASVGSSVNLIAS -NAVVYSRTQHVFGGPLYLATAPASYSGYISPSALSSIFKCDAQPIVHKRSELHLTILPNITNVRSFLLDV -PHPDIDPSYPLSSFQSHLSSLAANHSKLFPLDGLLWRLIKGSAKPTWTPDFDTAFKSLRLSRPAIPDDHA -SLGSNTTVCHLHFTLLVDLKDNDSPRSSLSVTALNVPHSLLGLFNLTIPHTYPLRLDDGNVVPWFLVIVL -FSDGLIKRGTNRPVMLQTAHAESQPWWEVTLDEVVNPHVIKTRDYLVKDIMGVSVALPKGSYKSTFIDAV -SSFLPDALNAFPQATVTDSDDLGETLSPSFETQIMALWENLDPSLLAEAVTSILGTSPRPVAFPPEVFAT -FSELYRSTMLPAQRERSFTIARRGRSLVYCHSPYEIISANVPIQVHPCRIAIDSMMNLLARPKRVGGVTG -QLILDHCYRLLGATLEPLYVGRIYKNLFGPWLEYALSPSPTVPVALSTEVSAHALRDAGWTIEGDDPLTI -DILICRASATSPHVSKCPIRTASRASTVCHDLTTLYINLAPPLPSRSVPDAFLLPVTSISAWRYPSRVIL -AGESISLKGPVFWDALVTPAMD - ->YP_009259511.1 putative NTPase [Etheostoma fonticola aquareovirus] -MITVVFIPGHGFNWNDSTLLKYIDSRLYRTRIPKDTLTLFAPVWFKAQLEHYVGTHGIEEVYAWCQKLTS -PLTNRFILLPRPKSFARWLLSTPSANVWEIPRWKLDLAAAGKAPPDLYDSIQPLLGPDASVSKSVTLIAS -HPIVYSRTRHVFGGPLYLATDVAAYSGFITQSALDAIFKHDADVPSSKRSAVHITILPNLTNVRAFMLDV -PDLTLDPDYPLSAFQGHLTRIGQNTTRMMPLDGLCWRLTRGSAKPTWTPEFDEAFRLLRLSRPAVPSTQP -NFGTEATLVHVDLTLRVDARDNKAPRAPLHVHVINVPLAYLTLMGLKTDQCYPLRTDDGNTVPWFLVLVL -LSDGVLLAGTRRPVLLQTSIAELQPWWEVTLNAFLNPHAVTVRSGVIKDIMGVALALPKGSYKSTFIDVI -TAHMENPDAIFPQATVTDSDDLGDSLTPTFETQIMDIWQGLGVDLLEQGVRAILTPGAYGAEFPIQIFQE -FSKLYHEVMLPAQRGRASYISQRGRSLVYVHTPYEIVSANVPMQVAPCQIALDSMVNVLIRHKRVGGVTG -QVLLDHCYRLMGATTAPQFAGLYYRSLFAPWLELAAHPTVTLPIRLETEVSAHTLREVGWSVHGDDPLLV -IILEGLIPADSLYLTKLPQRVQSRASIVVTAVDDLRVSLSPPLPTRMVHSTILLPVTSVVRFDAPARILL -SGTSISVRGPVTWTTTSSPVVE - ->YP_001837098.1 VP5 [American grass carp reovirus] -MITIVVIPTERFPWTDTNLLNSIDYRLNNIPKSNQRFAVYAPAWLRLQLEEAAVSLTPSQLLAAIQDIHV -PVTSTFALLPKAKRFAQWLLDDPSSNIWHIPVTVLNVTATSKHPTSDIFNYVVGHVSPNAELATTASRVS -GTQVVYTRTSKVLGAPLRLAAPTSYYSGYLSSQQLSHVYPSSWTPETFKKKEICFTILPSLTSPKTFLLD -VDAPRDPSFPLSVMWPLLRNDAVKSHRLMPPNALLRRTVDPALKPEWSADVDPSFRALRLSRPRGANTSS -CHNRHHVPVCDIQCALTPEPLNDSEKPPATHITIHAVPSDLLTVLDITVGKEYPLRLESGMYVPWMLMSL -LMSDDVTLTGTRRSVKLETAHAAQRPFTQVKILRCVSARVTSVRAGPATYLNAVCLTLPKGSFKSTMIDT -LPSLFPEWPVVSTTAIVDSDHLGDSLDPTFEQRFATMLETLPPGTVDQAIRVALATCPTADETALQLIVT -SFNELYASCMTEAQRNRVPILTQQGRTLVFAHSDYEMLAANVPIQVIRGAIPIDHTVNIIARPNRVGGTA -LQLLLDYCYRMQASPIATMPAGALYRQLFGPWLRAKADCEQLTPVSLIAEVPARVMRAAGWTIQDDMPLI -INVMRCYRNVDDQIDDVLTRTETSRAIITISADGIILVEYAPPLPLITLPSSILLPATYTATWLEPPRIL -LTGSNVSVTSGLSWAEVGSPLDVPPPGV - ->NP_938064.1 putative core protein NTPase/VP5 [Aquareovirus C] -MITIVVIPTAHFSWTDTNFLNSVDYRLTSQPKIRDRFAVYAPGWLRRQLDEFSASLTASELLQALQTIPI -PVKARCLLLPKPKRFAQWLLDVPSANIWHIPVTTLRATVASKHPSSDVYNYIPDHVPPSAEFDTVTRRVA -AGRDIYVRSTKVLGAPLCLAAPAKYYAGYLSTHQLDGVYPDNWAPDNFHKREFCLTILPSLLGPRTFLLD -VDADRDASYPLSVLWPQLRVLALKSRLLLPPVALLRRVVDPGLKPTWSADSDAAFRALRLSRPSSASKPT -GFDFSALPVVDIICLFESEPDDHGRVAPGTRLTIHSVPTDLLTSLSIQEGVRYPLRQESGMFVPWVLLAL -LMSDDVTISGTRRSVKLETAHASARPFVHITVERCASARVVDVRGSPAMYANAVCLTLPKGSYKSTIIDT -LPAMFSDLSILEQAAVIDSDALGDSLRPSFETQFLERLENLDPKLLDRAVASILSPASDTSDDAVTTVLD -VFNALYREVMTPAQRSRLPLLTQQGRVLAFAHSDYELLSANIPIQVVRGSIPIDHVVNLLARRNRVGGTA -LQVLLDYCYRTQASPLAPTPAGRLYKQLFGPWLMVPRLSDPLIKLRLVASAPAKVLRAAGWTIDGDPPLE -VSCLCAYVTDRAMAAALIERRLDSRALVNVGGDQLMFVEYAPPLPLVSIPRTFLLPVTYVVHWVSPQRVL -LNGGNVSFTSGLEWTFDDDPQVVTSTGV - diff --git a/seq/clusters_seq/cluster_71 b/seq/clusters_seq/cluster_71 deleted file mode 100644 index 232bd69..0000000 --- a/seq/clusters_seq/cluster_71 +++ /dev/null @@ -1,1661 +0,0 @@ ->YP_010085068.1 RNA polymerase [Facey's Paddock virus] -MEADRVNQFRNRIIAAREAEVAKEIWRDMLMERHNNFAREFCEAADLEYRNDVPSEDICMEVLDAGEARK -VRYCTPDNYLIDNGKVYIIDFKVSVDDKSARETRERYNQIFGEVFTRNGIDFEVVIIRHNPNDGTTIIDS -DQFRQLLPPLQLNINMAWFFELKDFLFDKFKDDEKFLSIINQGDFTMTLPWLEEETPELFEHPIYKEFIN -SMPEEERQHFIDAVNFETTKAEKWNNFLKITMEKYKDRYNSFVKTSAHEVFYTDGNYPKPTREEIDKGWK -EMVERVKNERDVTSDISKQKPSFHFIWSPNSEGSNSNIPKILKLSKKLQNISGTGTFTNAFKALGRLMDF -SSNVAGYEKYCQKLKLDARNTSGKIDRKIEPLICGTSTVLWEQQFKLDTNVISKEDRIHLMKDFFGIGNH -KSFNKRLNDDLDLEKPIILDFNDGDVIRKCKSDYQNVEQILGKVSNLEKIGNYLNNYSPRIQAASAEMWD -TVFKITRTQYWQAINDYSTLMKNMLAVSQYNRHNTFRVVTCANNNVFGIVMPSSDIKTKKATLVYCVITI -HEKEEDVAHLGGLYNTYKSANCYVSISKAFRLDKERCQRIVSSPGLFLMTACLFIGNNYTLHLDNLLNFS -FHTSVSVTKAMLSLTEPSRYMMMNSLAISSHVREYIAEKFSPYTKTAFSVVMTDLIKKGCYNAFKQKDKV -QLRDIHLTDYDITQKGVGDKRDLQSIWFPGKVNLKEYINQIYMPFYFNSKGLHEKHHVLIDLAKTILEIE -KDQRENLPAPWSTEPKKQTVNLPILIYSLAKNLNLDTSRHNFVRSRVENANNLNRSITTISTFTSSKSCV -KRGDFSEFKTRVQKKSDRVMKKEVKKLTIANPLLAEEISNENEIHHATYEDIKKAVPDYIDYMSTKVFDR -LYELIKNGTIDDRPTVKIIFETMLQHKEFVFAFFNKGQKTAKDREIFLGEFEAKLCLYLIERISKERCKL -NPEEMISEPGDSKLKVLERQAEDEIRYLARTIKNINKEIFERLNTSQWGKEFNLDDLGEDKTRGLKIEIN -ADMSKWSAQDVLFKYFWLFAMDPILYPAEKKRILYFLCNYMQKKLILPDELLQNILDQRIPRYNDIIGEM -TVGYRRNWVEIKRNWLQGNMNYTSSYLHSCSMSVFKDIMREVAQLLEGDTLVNSMVHSDDNQTSICLVQN -KLPDENIIQFICDTFEFTCLTFGNQANMKKTYLTNFIKEFVSLFNIHGEPFSIYGRFLLTAVGDCAYLGP -YEDLASRLSATQTAIKHGCPPSLAWISIALNMWLTHTTYNMLPGQVNDPLPFFPTHHREEIPIEMCGLLN -ADLSTIAIVGLEAGNLTYLTDLMRRMSPIMLQRESVQAQFNGIKDWDLSLLTSMDIIKLKALRYIALDNE -VSMDDGMGETSDMRSRSLLTPRKFTTSGSLNRLISYQDYQDTISDTTKTEEMFEYFIRYPQLLVTKGETT -GEFMNSILFRFNSKKFKESLSIQNPAQLFIEQILFSNKPIIDYTSIHDKIFGLQDHIEMQETDTIIGKKT -FVQCYTQIIDDLDRFKLDHDDIMTVYSFCMLNDPLMVTCANNIIMSVKGAQQERLGQSACRMPEMRSMKL -IYHSPALVLRAYVSNNPNIAGADPDEMRRDLTHLEEFIVKTHLRDHMRERIETNEKKMMKRDLPFEVKEL -TKFYQICYDYVKSTEHKVKIFILPYKVYTAIDFCGAVTGNLISDNKWYVVHYLKNIVSSNHKAQVTASPD -LELQVAMECFRLIAHFGDTFLNSDSRIQFLKRIVESFSYKNIRVDHLYDKLKQSKFRTKFLPILYHMGEL -KQEDLDKYDADKSDERITWNNWQVSREMNTGPIDLIIVGYSRQIRITGQDDRLIAAELQVTRMHKEYVYR -HGQAMLNKNHGLKFEKMQAYTNLTDGLDYIVYQQRAKRRYFYSILPKQIILEHNARVERSKNIKDSKWVP -VCPVAVSKLYQTGRPDKGKISRLNMQTTSLSRLQVNPDEYATIRKAHFQKMTFFTGPQLKSGGIDLAVLM -STPSLLSLNYDNLSQASLIDMCRVFKCDGIMDDQMAFEFLSDDVIETNVEEELECNPLFSITYTKKGDPT -MTYKNAFVQALKRECDKFEEAFDFMEDGFCSTENLSILEEVHWIIQELKTNQWSTELDQCIHMCLYRSGM -DVQYHKFDIPKIFLIDPIKREVNWNKVEEFIEILRDYEISIEPWKTILEHFCTKAKQLIREKLSSKSSKK -SLKDFIDSSKTGGRSNFDFV - ->YP_009667053.1 RNA-dependent RNA polymerase [Shuni orthobunyavirus] -MDPYRIDMFRNRILASNDPEDAKDIMSDLLMERHNYFGREVCQYLDIEFRNDTPAQDILLEFLPPGTVFN -VRNCSPDNYVILNGKLYIIDYKVSVDAGYGLSTKTKYEEIFGDALSPFGLDFEVVIIRADPIREMVHIDS -QDFINAFGNIYIDLDFTWFFNLRALLYDKFKDNDRFLEIVNQGEFTMTSPWIEENTPELYTHPIFKEFYN -SLNDEEKNTFNTSLEFDATKSEKWNQNLIAVIQKYEPFYKKFCNIAASGVFKCTGDYPAPSKDQISKGWE -DMYERVKLERDLTDDLSKQKPSFHFIWSPPDAERPNENISKILTLAKALQKIQGDSTFINGFRSLGLLMD -FSSNIGLYESHVSKLKNTARQTSKKIDQKLEEVEIGSATVLWEQQFKFNTKIMNAQDKSHLFKDFLGIGG -HKSFGKKSIDDLDIEKPKILDFNSKNVQDACNRIYRQVKNVLSNTNSLKKMGCYLEEYGYNISNASPDIW -ERVQEVCSTNYWAAILDYSTLMKNMLAASQYNRHNTFRVVTCANNNLYGIVMPSSDIKTKRSTLCYFVIA -LHDGKEDVVHSGSIHSTFQSGSKFVSISKGFRLDKERCQRIVSSPGLFLMTSCLFLSDNKSLNTNDVLNF -SFHTSVSITKAMLSLTEPSRYMIMNSLAISSHVREYMAEKFSPYTKTAFSVVMTQIIKRACYEAFDQKEK -IELKNIHLTDYEITQKGVKPQRDLKSIWFPGRVNLKEYINQVYMPFYFNSKGLHEKHHVMIDLAKTILEI -EKDQRLNIPGIWSDIPKKQTVNIRVLIYSLAKTLNMDTSRHNYIRSRIENNNNLKRSITTISTFTSSKSC -IKIGDFSEFKNRKEKKIIGNIKKEISKYTVANPEFAEDLDVSCAIHHADYADVRKAIPNYSDCISTKVFD -ALYEKIKNGEIDDKPTVSHIFEVMRKHKQFYFSFFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCR -LNPEEMISEPGDSKLKKLEDLAEAEIRYTAQTLKNLNRKIQKDMFGSEIDVDMKLHALKIEINADMSKWS -AQDVLYKYFWLFALDPVLYKCEKERILYFLCNYMQKRLILPDDLIANILDQRVQRQDDIIYEMSNGLTQN -WVEIKRNWLQGNLNYTSSYLHTCSMNVYKDVIKRSIDLLEGETLVNSMVHSDDNHTSLVIVQNKLNDNIL -IEFSIKLFEKICLTFGNQANMKKTYLTNFIKEFVSLFNIYGEPFSVYGRFLLTAVGDCAYLGPYEDCASR -LSATQTAIKHGCPPSLAWISIALNQWITHNTYNMLPGQNNDPCNILPCYNRFEVPIELCGLLNCSLPSIA -IAGLEADNLEFLVRTSRKLSPIMYQREPIQSQYDHILDWDLNQLTEIEAIRFKMLRFMALDSSMSSDDGM -GETSDMRSRSLLTPRKFTTQASLSRLTSYNDYQQIIQDQTKVDELLGYFIQYPQLLVTKGENVEEFCQSI -RFRYTSRKFKESLSIQNPAQLFIEQILFANKPMIDYTSIHDKLFGIQDDPNISDTTCIIGKKTFPETYNQ -IKCDLERFTLTNADIKTVYSYCLMNDPILIACANNIILSMKGMTIDRSAMTCAQMPEIKSLKVIYHSPAL -VLRAYVTGDMTIRGAEPDEMRRDIYHLEDFIEKTNIRNKMRERINKNEIRSNGRNIKFEIKELTKYYQIC -YDYIKSTEHKIKIFILPKRPATPSDFCSIVAGNLMLDNKWVTIHHLKQITSQTKKAEIATSTDLELQLSY -ECLRLVAHFADSFLADNSRKQFLKRVIEEFSYKGVPVKELYGKIKHSRLRSKIVPILYYMDDLDQLDLDR -YDAEKAEEQITWNNWQTSREFQTGPIDLSIKGYGRSIRIIGNNSRLTAAELQISRIRDDIISRHSQALLN -KNHGLKFELMEEYKDLDPRLFYICYQLRAKKRYHYNILSVSHILDHNRRIQESKIRSTNYWVPVCPVAIS -KLTYNDKPQLGLIKRLNMDNTKLTSIQVAVEDYAMVRKCNFAKMQFFDGPELPCGGIDISKMMKSQNILN -LQVDTLQSISLIELCRIFNCKGTKDDNNAFEFLSDEILDEEVDEELDSSPALKITYTKKSIKNNTYKNTI -VKALIRECDKFEECFDITDEGFTSDSNLEILESLVWILRELHTNQWSTELMQCIHMTLYRNEMDHLFHRF -SIPEQFVDNPISLNYKWSNVIEFLVTLMQIDYKQEPWVSIMAHSLNKAVEYATERKIQESTKENKLQKFI -KGKKMGGRSKFDF - ->YP_009667042.1 RNA-dependent RNA polymerase [Patois virus] -MAYTIEELYPRFRARIQACTKPELARDILAELLMCRHNYFAQEFCNSIGIEFRNDVPAEDLVLEMIPDFD -PLSIKIPNLTPDNYYRDGNKLYVIDFKVSVSDESSIHTYKKYNNMLGDVFNQLAISYEIVIIRMDPSTMR -LHISSDQFSQLFPNIVLNIEFNWYFNLRQQLFDKFENDPEFMEMVAHGEFTPTFPWVTESTPELFTHPIY -LEFIESLEPDLRRDFDQALNYNAFSAEKWNDLLHIMMFKNKDYYHKYTRNAAQEVFLMDGSYERPTRNEI -SKGWSEMIERINNTREVTSDISKQKPSIHFIWARHDPNSSNENISKLLKLSKYLKMIPQQDNHSTAFKYI -GQLMDFSSDVNRYTDFCTRLKVEARKTLRPKTTSVQPIKIGTCTILWEQQFKLDTDVMPKEVRIKFLKEF -CGIGNHKLFKDRLIEDLDLERPRILNFNDPDILIAAKQMVNNTKVTLSKPSGLEKIGNLLEEYKLQIENA -SKDTWENIKTITSSSFWQAINDISILVKNMLAVSQYNKHNTFRIVASANNSFFGIVYPAASIKSKKSTIV -YSTIVIHELEQEVLNCGALYKTYRVGDKFISISKAMRLDKERCQRIVTAPGLFMLTSLLFKHENEINLND -IMTFSFYTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYISEKFSPYTKTLFSVYMTELIRQGCMSAND -QKDLISLKSIYLNEYEITQKGVSQERNLQSIWFPGKVNLKQYINQVYLPFYFNAKGLHNKHHVMIDLTKT -VLEIEQEQRIDLPNPWSTDLKKQSVNCRVLIYSISKMLNNDTSKHNHLRNRVENRNNFKRSLTSISTFTS -SKSCIKIGDFTDIKSKSAKYLEKIRKKDANKTRIANTEFVSESERDYTIIHSTYLDLKKCIPRYTDYIST -KVFDRLYEKYKYEGLEDKPAIEIIMDTMLNHTDFKFCFFNKGQKTAKDREIFVGEFEAKLCLYGVERIAK -ERCKLNPEEMISEPGDGKLRKLEQNAENEIRTLISMSKSVTEEDRLLDELTDRVKGIKLEINADMSKWSA -QDVFFKYFWLIVLDPILYPYEKQRILYFLCNYMNKELILPDDLMCALLDQRVKRENDIIRDMTDNYNTNS -VNIKRNWLQGNLNYTSSYIHSCSMMVYKDIIKDAIELLRGQCHVSSMVHSDDNQTSLVLMQDKVSNDIMI -EFVCKKFEQCCLAFGNQANMKKTYITNHIKEFVSLFNIYGEPFSIYGRFLLPSVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITYSTYNMLPGQINDPSTIFGCNRNELPIELCGLLQADLPTVALV -GLESGNISFLTNILRKMSAPQYVKESVQVQCQHIDEWDMDRLTPMEELRFKLLRYVVLDAEVTEDDKMGE -TSDMRSRSLITPRKFTTLSSLEKLVSYKDFQEIISNKDLSTNLFEELLNKPELLVTKGEDSKEFLLTILY -RYNSKKFKESLSVQNPTQLFIEQILFSNKPIIDYTGIKERFLSILDIPKIQESDNIIGRKTIPEAFREIL -QDLSKLPLDLSDIKLVYSFCILNDPLNTTACNSILLSQVRSEMERTSLSSVTMPEFRNMKLIKHSPALVL -RAYVHNRFDIGNADEDALKRDVYHLVEFINQTKMEEKLKKKIEEHNQKSIERDLIFELREYTKFYQTCYD -YIKSTEHKVKVFILPLRAYTAFDFCAAIHGNLLSDHCWYSVHYLKQIVSGTTKAMISHTPSSEQMTIDEC -FKLLCHFCDTFISPESRLQFLHNVIDNFTYKGVKVKYMFEMLLNSPRRSQFLPLLFWTRSLKQSDLDKYD -ANKSNERISWNEWQINREMNTGKIDLTIKGYLRSIRIIGEDDQLILAELEILETDKTSIESHGRKLLNAR -HNLKFERMKPIQVMEPKSYYICAQKKTRFTYSYQLLMSEVIEARNKNTTSLTGDKYNMLVPVCYVNISKV -NSMEKIKMHTLRVLNQTYNITKLQLTKSEFATIRRCHFSKMTFFEGKMNPIGKIDMSKMLKTPMLLTTNY -SSLSQVSLYHISKIFHCDGDENDQDEFEFLSDDILEDYTVETINTMPIFEIAYTSKSRAGYTYKQALQEA -LRRGLDELEYEMSFTNEGFFSSKNISIISLIISLINRLKTDEWSQVLKNCIHISFFNNGKDRLFHTLKTP -KPFIKETIGEKLDWAMIKSFLHDTKCKTRGSFWDQIFDQFKEKCYRAIDLELKIEGKSWGEIVEELDDYD -GVEMFNF - ->YP_009666954.1 RNA-dependent RNA polymerase [Bellavista virus] -MAYLVHEMYPQFKARIENCGRPELARDIMSELLVHRHNYFAQEFCNAIGIEFRNDVPASEIIAEMIPGIN -PMEIEVPNVTPDNYYRDGNKIYIIDFKVSVSDESSIHTFKKYNNLLGDALTKLGIDYEIVIIRMDPSSMR -LFISSDQFLQLFPNIVLNVEFNWYFTLRQQLYDRFQNDPEFMEMMAHGEFTPTFPWVTKPTPELFEHPIY -AEFMDSLDPMLQKDFEEALNHNAFRAEKWNDLLHMTMYKHKDYYKSFVKKSAKEIFITDKNYKKPTRDEI -SKGWDEMVQRIYETREVVDDIGKQKPSIHFVWAPNDPETSNENIAKILKLSKYMKMIPMQDNHSKAFNYI -GHLMDFSEDVNKYTEFCTKIKAEARMSAKPKTSGVEPIKIGTSTIMWEQQFKFDTDIIPKEIRIKFLKEF -CGIGNHKLFKDRLLEDLDLGKPKILNFTNRDIQIAAHQMVDKTKQVLSKHSNLEKMGNFLEDFKPKIANA -SGETWENIEKITKSRFWQAINDISILVKSILAVSQYNKHNTFRIVTSANNNFFGIVYPAANIKSKKSTIV -YSTIVIHDTEQEVLNCGALFKTYRAGNKYISISRAMRLDKERCQRIVTAPGLFMLTTLLFKHENDIKLED -IMTFSFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFSVYMTELIRKGCMAAND -QKDLISLKSIYLNEYEITQKGVSQERNLQSIWFPGKINLKQYINQVYLPFYFNAKGLHNKHHVMIDLTKT -VLEIEQEQRRDLPNPWSEDLRKQSVNCRILIYSIAKMLNNDTSKHNHLRNRVENRNNFKRSLTSISTFTS -SKSCIKVGDFFDIKSKVALHIDKLKRKDAMKTRIANTEFVAENERDYTVVHSNYIDLTKCIPNYVDHMST -KVFDRLYEKFKYEGLEDKPAIEIIMDTMYEHRDFKFCFFNKGQKTAKDREIFVGEFEAKLCLYGVERISK -ERCKLNPEEMISEPGDSKLRKLEQNAENEIRTLITMARSMKEEDQLLQQVSNKVKGIKLEINADMSKWSA -QDVFFKYFWLIVLDPILYPYEKQRIIFFLCNYMQKELILPDELMCTLLDQKINRDDDIIREMTNNYQTNC -INIKRNWLQGNLNYTSSYIHSCSMMVFKDIIKDTAELLQGDFYASSMVHSDDNQTSVILMQEVINNDCVI -KFICQTFEDCCRTFGNQANMKKTYITNHIKEFVSLFNIYGEPFSIYGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITFSTYNMLPGQINDPCPIFGCERTELPIELCGLLQSELPTIALV -GLESGNISFLTNILRKMSTPQYVKESVQIQCQHIDSWNMSKLSDMDILRLKLLRYVVLDSEVTEDDKMGE -TSEMRSRSLITPRKFTTPSSLEKLVSYKDFQAIISNRDNSEQLFQQLLDKPELLVTKGENSEEFMLTVLY -RYNSKKFKESLSVQTPTQLFVEQILFSAKPIIDYSGIKEKFMSILDMPGVQESEHILERKTIPEAFREII -HDLSLLKLDLTDIKLIYSFCILNDPLNTTACNAILLSQIRSEMERASMCAITMPEFRNMKLIKHSPALVL -RAYVHNRFDIGNADEDALKRDVYHLVEFIEQTKMEEKLKRKIEENEMKMGGVRDYIYELREYTKFYQTCY -DYVKSTEHKIKVFILPMRAYTAFDFCAAIHGNLLADRCWFSVHYLRQIVSGTTKAMVSYTPSSEQMLIEE -CFKLIAHFCDAFISPESRLQFLRQMIDDFTYKGMKVNKLLEMLINSPRRMFYLPILYWTRNLTQADLDKY -DANRTNERVSWNAWQTNRQMNTGKIDLTIKGYQRTLRIIGEDNKLILGELEILATDTTKIETHGRRLLNT -FHNLKFERMQPIELMEPNQYYICAQKKTRFSYSYQLLMSNVIDGRNKNTRTLAGDKYNILVPVCLVLISR -VASMEKIRMATVKSMNTDYKITVLQLTKSDFATIRRSHFSKMMFFDGIKTPIGKIDMAKMIKTPSLLTTN -YSALAQVPLLHIAKIFYCDGLKNEQDEFEFLSDDILEDYTTETINTMPVFEIAYTAKSKHGYTYKQALHE -ALRRGLEELEYEMSFVGEGFFTGKNISIIGLIVNLINRMNDDEWCNMLKQCLHLSFYNNGKDTLFHTLKT -PKAFLVQTIGEKYNWELVRKFLSETNCKTEGSFWDQMFDTFKEKCYRAIDLELRLEGKSWGEIVDELDEY -DGVEMFTF - ->YP_009666945.1 RNA-dependent RNA polymerase [Enseada virus] -MATPINDLVRQFTARIRTCRTAEIGRDILNEITMARHNYFAYEFCNAINIEYRNDVPALDIVLEMIPDFD -PNTVKIPNLTPDNYFRDGNKIYVIDFKVSVSDESSEYTYKKYNTLLGEVFNHLGIPYEIVIIRIDPSNMR -LHISSDDFMRLFPNIILDVHFDWYFNLKEQLFERFKDDEEFMALTAYGEFTPTIPWVDEPTDELWDHPIF -KEFMLSMPEHQQEEFLNAMDFNSFTADKWNDLLHTTMRKYKGIYDEFIRNQSKKLFQMDENFKKPTREEI -LLGWNQMVERIRSAREVTPDLSKQKPSIHFIWSPNDPKQSNENIQKILRLSKLLKRIDDRDVNSQAFKYI -GELMDFSEDIEQYTNFCSRLKAQARSSLKPKDTKITPIQIGNSTVMWEQQFKFDTNVIPKEIRLKFLKEF -CGIGNHKLFEDRMLEDLDLEKPRILDFTNKDVLNAAHHMVVGTKQFLKEENNLDKIGNMLEEYKDKIRNA -NEITWDNVEKICKSRFWQAINDFSVIIKNMLSVSQYNKHNTFRVVCSANNNFYGLLYPAASIKSKRSTIV -FSTITIHNDEKDVLNCGALYKTYKIGNVYVSISKAIRLDKERCQRLVTSPGLFMLTSLMFKGDNDIDLND -IMSFSFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFSVYMTELIRRGCMSANN -QKDLISLKNVFLNEFEITQKGVSNDRDLQSIWFPGKVNLKEYINQVYLPFYFNAKGLHNKHHVMIDLAKT -VLEIELEQRQEVPSPWSKTMDKQSANLDILIFSIAKMLNMDTSKNNHLRSRIENRNNFKRSLTSISTFTS -SKSCIKIGDFFEYKSKTRKIVESIKMKESKKTRIANTEFVDEDDRDNVIAHSTYVDLIKSVPRYTDYIST -KVFDRLYEMYKTDEIEDKPAIEIIMDTMRNHKDFKFCFFNKGQKTAKDREIFVGEFEAKLCLYGLERISK -ERCKLNPEEMISEPGDGKLKKLELNAESEIRYLIEATRGNDRIKEQLDDLLDQVKGIKLEINADMSKWSA -QDVFFKYFWLVVLDPILYPYEKQRIIYFLCNYMNKELILPDEMMCSLLDQKAQRANDIIREMTNNFQTNC -VNIKRNWLQGNLNYTSSYIHSCSMMVFKDIVKDSANLLEGRCNVNSLVHSDDNQTSVIFIQSKLHNDFII -NFICQTFENCCRTFGNQANMKKTYITNHIKEFVSLFNIYGEPFSIYGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNFWITFSTYNMLPGQQNDPTPIFNCKRNELPIELCGTLESDLSTVALI -GLESGNISFLTKILKKMSKPQLVKESVQTQCNHIMEWDIDNLTDMELMRFKILRYIVLDTEISEDNKMGE -TSEMRSRSLITPRKFTTTSSLEKLISYKDFQATIVNKESIDDLFQYLIDRPELLVTKGETSEDYMKTILF -RYNSKKFKESLSIQSPTQLFIEQILFSNKPTIDYSGIQDRFTNILDMPKIQQGDNIIGRKTIPEAFKSIK -EDLSKITLTLEDINLVYTFCILNDPLNITACNAILLSQIQSLMEKTSLSSVTMPEFRNMKLIRYSPALVL -RAYIHNDFTIGHANENMLRRDVFHLHEFIKETRMLERMQVKIIENEELKGERDLLFEIKELTKFYQTCYD -YVKSTEHKVKVFILPMKVYTAFDFCATIHGNLIKDKGWYSVHYLKQIVSGTSKAIVSRTPASEQVVVDEC -FKLLSHFCDTFIAEDSRIPFLKKIFKDFTYKGIKVADLYNTLKKSHKKQHFLPLLFRTGDLEQGDLDRYD -AAKSYERVSWNDWQINRNLNTGAIDLTIKGYQRALRIIGEDDELKLAELEITKGDNTPIETHGRKLLNTK -HNLRFEKMMPVPVMKPNTYYICYQKKTSNSYIYLILSSALIESRNSMHVSLLENKPTKLTPVCPVVVAQV -DSQERINLYQLKFLNPECEVSRMKVTMNEFITIRRSHFSKMIFFDGPELVVGNINITKLIQTPALLSKNY -NSLSQIPLITLSKIFSCTGEQQQQDEFEFLSDEIMEDEESEQINTVPIFTITYTKKSKQGHTYKKCLQEA -LRRGLQEMESEFNFNKDGFFSPKNIGIISLIVSLIDQLHTNEWSSIVRECIHMAYFNNGKDVLFHNFRIP -KAFLKEAIGEKPNWEKIKVFLDGLHATNRDSYWDQLLEQFKEKCRRMIDEQIKIESQNWGDILDEIDDYQ -NIAAYTFD - ->YP_009666926.1 RNA polymerase [Buttonwillow virus] -MNTEKVEQYRMRIEACRDPERAKEIWRDLLSDRHNYFAREFCDAADWEYRNDVPSEDICSEVLPNDIARK -VRFCTPDNYLVDENQKVYIIDFKVAVDDKSSKETRDKYNQIFGEIFEPNGIDYEVVIIRHNPATSVTTID -SDNLQNMLTGIQLTVDLSWFNQLKDFLFDKFKEDERFLSIINQGDFTMTLPWLEEDTPELFHHPKYIQFI -NSMEEEERNTFINAVNFQTVKADKWNDGLKSVMEKYKDNYKAFVKRSAQEVFSTTGEYPKPTRSEIDAGW -LEMVERIKIERNVTTDMSKQKPSFHFIWSPNSDESNNNSAKIIKLSKKLQSITGTGSFVKPFKSLGKLMD -ISSDVNGYERFCNKLKAEARKTPRKIDKKIDEFSAGTFTALWEQQFKLDTNVIDKEDRIHLLKDFFGIGK -HKQFAKRLNDDLDLEKPIILDFDNQDIIRKCKSEYNNVESILSKVSNLDKMGNYLEHYSANIQAASQSMW -DNIYKITKTRFWQCINDYSTLMKNMLAVSQYNRHNTFRVVSCANNNVFGIVMPSSDIKTKKATLVYCVIT -IHEEEEEIAHLGSLYGTFRSSNCYVSISKAFRLDKERCQRIVSSPGLFMMTACLFLGNNYTLQFDKLLNF -SFHTSVSVTKAMLSLTEPSRYMMMNSLALSSHVREYISEKFSPYTKTAFSVIMTDLIKKGCYNAFEQKDR -VQLRNIHLTDYDITQKGVDSKRDLKSIWFPGKVNLKEYVNQIYMPFYFNSKGLHEKHHVLIDLAKTILEI -EKDQRLNLPKPWSETPKKQTVNLKIMIYAIAKNLNLDTSRHNFVRSRVENANNLNRSITTISTFTSSKSC -IKRGDFSEYKDKVQKKNDKYMKNEIKKLTIANPLLVEEITNDAEIKHATYSDIKKAVPEYIDYMSTKVFD -RLYEMIKDKIIENEKTIDIIFKTMKEHKEFVFAFFNKGQKTAKDREIFLGEFEAKLCLYLLERISKERCK -LNPDEMISEPGDAKLKVLEKQAEEEIRFLAKSIKNVNKELLSKLESAKWGEEFTLEDLGSSKAHGLKLEI -NADMSKWSAQDVIYKYFWLVALDPILYPAEKKRIIYFLCNYMQKKLILPDELLQTILDQRVPRYNDIIGE -MTDGYRKNWVTIERNWLQGNMNYTSSYLHSCSMSVFKDVIRECATLLEGETLVNSMVHSDDNQTSICMIQ -NKLEDNNIIEFITKLFEMICLTFGNQANMKKTYFTNFIKEFVSLFNIHGEPFSIFGRFLLTAVGDCAYLG -PYEDLASRLSATQTAIKHGCPPSLAWLSIALNHWMTHTTYNMMPGQVNDPLPFFPTTSRDEIPIEMCGLL -KSDLQTIALVGLEAGNLSYLVSLLRRMSPIQLQRESVQAQCTQIKSWDLSQLTTMDVIKLKALRHISLDS -DISTDDGMGETSEMRSRSLLTPRKFTTSCSLNRLVSYNDFQKIIADEEEKEKMFEYFVRNPQLLVTKGEN -IREYANSVIFRYNSKKFKESLSIQNPSQLFIEQILFSNKPVIDYTSIHDKMFGLQDHPDMVDMDTIIGKK -TFVQCYVQIVEDLSKFELTSEDIETVYSYCLLNDPLLITAANNVILSVKGAEQERLSQSACRMPEMRSLK -LIYHSPALVLRAYVTQNNNIQGADPDEMQRDVTHLEEFIEKTKIRNNMRERIRINEIKMMRRDIQFEIKE -LTKFYQTCYDYIKSTEHKIKIFILPYKVYTPIEFCAALTGTLISDNKWYIAHFLKNIISTSHKAHISSSP -DLEVQLALECFRLIAHFADSFLSEESRVRFLYNVIDKFSYKNVRVDYLFKKLKESKHRTKFLPILYHTNE -LTQRDLDKYDADKSDERISWNNWQVSREMNTGPIDLTIVGYDRQIRITGIDDKLLAAEMQLVRMGREYIS -RHAKAMLNKKHGLKFEKMKKYEELSDGLDYIVYQQRGRNRFFYNILSKQIIIDHNSRVDRSKSITESKWV -PVCPVAISKLYQTGKPDKTKISRLNMQSYSLTKLQVNPEEYATIRKAHFQKMSFFSGPDLKSGGMNISVL -MNTPSLLSLNYDTLQQASLIDMCRVFKCEGLQEDQMAFEFLSDEVMQTDLEEELECNPIFSITYNTKGDR -TMTYKNAFSSALIRECDKFENSFDFLDQGFCSNENLSILEEIHWIVRELKTNQWSTELDQCIHMCMYRNG -LDNEYHQFDIPKPFLNDPIKRDIKWKKVRKFIEALRDFKIKSEPWVSILDNFCDKASRLINQKIRENSEP -SNLSLFVDPNKKGGRSKFEFN - ->YP_009666923.1 RNA polymerase [Utinga virus] -MDLASQFRNRILACNDAEVAKDIWRDLLNERHNYFSREFCRAIDLEYRNDIPSEDICMEVLDYDQAKKVR -YCTPDNYLIDNGKVYIIDFKVSVDDKSAKLTKEKYDSIFGEVFEPNGIDFEVVIIRHNPNDSRTMIDSPN -FERVIGAFQLDMDLSWFFEMKDFLFGKFRDDEKFLSIINQGEFTMTLPWIEENTPELFNHPIYIEFINSM -PEEKRQLFIDAVNFKSFGAEKWNIFLKNTMEMHGKKYKEYVKFHSHKIFCLDGNFPKPAKEEIDEGWKLM -VKRVGLERNITQDVNKEKPSMHFIWSKNHQGSNNNIAKLIKLSTALRFMSGTSTYLNAFRSLGTLMDIST -DVEKYELFCAKLKSIARSTHKKIDKKIEPLSVGTSTVLWEQQFKIDTVYMTREDRIHLMKDFFGIGAHKS -FSKKQNADLDLEKPVILDFENSEILRKCNTNYNNVKSILSTDNDLDKIGNYLEHFSQRIESASPQMWDMI -FKITKTKYWQCINDYSVLMKNMLSVSQYNRHNTFRVVTCANNSMFGIVMPSSDIKTKKATLVYCIICLHE -DENDIVHFGSLHNTFKTKNGYISISKAFRLDKERCQRIVSSPGLFIMTSCLLYNGNDNLDIMSMLNFSFF -TSVSITKSMLSLTEPSRYMIMNSLAVSSHVKEYIAEKFSPYTKTGFSVIMTNLIKIGCYNAFEQRSRIQL -RDVKLTDYDITQKGVNSERDLKSIWFDGRVNLKEYLNQIYMPFYFNSKGLHEKHHVLIDLAKTILEIEKE -QRIEIPHPWSEEPKKQTVNLDILIYSIARNLNLDTSRHNFVRSRIENTNNFNRSISTISTFTSSKSCIKI -GDFHDIKSKSAKKNKNYIEKESKKISIANPALLEEVTNESEIIHSTYLDLKQAIPNYTDYMATKVFDRLY -EKFADKEIDDAPVIKTILKTMRSHTKFYFGFFNKGQKTAKDREIFLGEYEAKMCLYLVERIAKERCKLNP -EEMISEPGDSKLRILEKQAEEEIRYLSRSIKNINDEIKNRLSNKDENLLQDLEDLKTHGLKIEINADMSK -WSAQDVLFKYFWLIALDPILYPDERKRILYFLCNYMQKRLILPDELMQTILDQRITRNNDIISEMTDGYR -TNTVEIKRNWLQGNLNYTSSYLHSCSMSVYKDIVREAALLLEGDSLINSMVHSDDNHTSICFIQNKLDDD -IIINFAITVFEIVCLSFGNQANMKKTYLTNFIKEFVSLFNIHGEPFSIYGRFLLTAVGDCAYLGPYEDLA -SRLSATQTAIKHGCPPSLAWLSIAMNHWITHNTYNMLPGQSNDPMPYFPAQYRHEIPIEMCGILNTDLST -IALMGLESGNLTFLTDLLRRMSPVLLQRESVQSQIEAIKTWDLKLLTKMDILKIKALRYISLDNTITTDD -GMGETSDMRSRSLLTPRKFTTSGSLNRLRSYNDFQQVASSNEKIEDLFEYFVSKPQLLVTKGENMEEYMN -SILLRYNSKKFKESLSIQNPSQLFIEQILFSNKPVIDYTSIHDKMFSIQDIPGIEETDTIIGKKTFPESY -VQIVEDLNTFDLTTDDIITVYSFCLLNDPLTTTCANNIILSIKGHSQDRTGQSACKMPEMRSLKLIHHSP -ALVLRAYVKNERDDDCEDEEMDRDITHLKEFIKNTKIEEKMKERIANNEKKMMKRDMRFEIKELTKFYQI -CYDYIKSTEHKVKVFILPYKVYTSIDFCSAITGNTISDNQWFIIHYLKNIISTSHKAQIASSPDLEIQIA -DECFRLIAHFADTFLSQESRIPFLKKVVKEFSFKNIPVVQLLSKLLNTKIRTKFLPILFYVNQLTQEDLN -KYDADKSDERISWNNWQVSRELNTGPIDLIITGYSRQIRITGNDDTLIAAELQVTRISGDLIHRHGQAML -NKPHGLKFEKMRKVDELSDNLHYIVYQQRSKKRFFYSILPKQIILDHNKRVSLSKSLSESKWVPVCPVAI -SKLYQTGKPDLSKVKRLNMQEYHLSKLQVNPEEFAIIRRAQFQKMTFFNGPELKTGGIDLSKMMKTTSIL -NVNYDNILKTSLLDLCRIFKCDGLPEDQDAFEFLSDEVMEISVEEELECTPIFNISYSKKGSQNMTYKNA -FHKALIRECDKFEEAFDFVDNGFCSNENLSILEEIHWLVVELKTNQWSTELDKCIHMCMYRNNLDVEYHK -FDLPEVFCIDPINREVNWAKVTLFINALSEFPIRMEPWKSILENFCTKCRLLILEKTKIKNPSETMLTFV -NPKMKGGKSKFEF - ->YP_009666920.1 RNA polymerase [Mermet virus] -MDPEKVEEYRNKIENCRDPEKAQEIWRALLVERHNYFSREFCDAAGWEFRNDIPSEDICSEVLPNHIAKG -VKYCTPDNYYIDGNGKIYIIDFKVAVDEKSARDTREKYNEIFGAIFNANGIDYEIVIIKHNPATGMTMID -SDNLRREIGQINLTVDLSWFFELKEFLFGKFKDDERFLEIINQGDFTMTMPWLEEDTPELFEHPKFKEFL -ESMPEEERDTFFQSLNFRSDDAGKWNDCLQDIMEQYKGRYQSFVKRSAQEIFNTTGDYPKPTKAAIDEGW -ADMVERVKEERNVTTDMAKQKPSFHFIWSPNSDESNANIPKILKLAKKLQSITGVGTFVKAFKSIGKLMD -FSSDVAGYERFCNKLKADARSTPKRLDKKIEEFTAGTCTALWEQQFKLDTSVMAKEDRIHLMKDFFGIGN -HKSFAKRLNEDLDLEKPTILDFNNPDVVRKCQAQYSNVEQILGKVSNLDRIGNYLEHYSPKIQGASAEMW -DTVFKISRTQFWQCINDYSTLMKNMLAVSQYNKHNTFRVVTCANNNVFGIVMPSSDIKTKKATLVYCVVT -IHSNEEDVAHLGSLYGTFRSSNCFVSISKAFRLDKERCQRIVSSPGLFMMTACLFIGDNYTLHLDNLLNF -SFHTSVSITKAMLSLTEPSRYMMMNSLAMSSHVKEYIAEKFAPYTKTAFSVVMTDLIKKGCYNAFNQREK -VQLRDIHMTDYDVTQKGVQKKRDLRSIWFPGKVDLKEYINQIYMPFYFNSKGLHEKHHVLIDLAKTILEI -EKDQRENLPKPWSEHPKKQTVNLDILIYAIAKNLNLDTSRHNFVRSRVENANNLKRSITTIATFTSSKSC -IKRGDFTEFKTRIQKKVEKNIKKEVKKVTIANPALIDEITNDMEIHHATYLDIKRAVPEYIDFMSTKVFD -RLYELLKINKWGTERTIDVIFKAMKEHTEFVFAFFNKGQKTAKDREIFLGEFEAKLCLYLLERIAKERCK -LNPDEMISEPGDSKLRILEKQAEEEIRFLARTIKNVNKELLDKLKVGAWGGEFSLDDLGEDKAHGMKLEI -NADMSKWSAQDVIYKYFWLVAMDPILYPDEKKRILFFLCNYMQKKLLLPDELMQTILDQRVPRYNDIIGQ -MTEGYRRNWVVIERNWLQGNMNYTSSYLHSCSMSVFKDIMRECASLLEGEVLVNSLVHSDDNQTSICIVQ -NKLPDDNITEFVTKLFEFICLTFGNQANMKKTYITNFIKEFVSLFNIHGEPFSVYGRFLLTAVGDCAYLG -PYEDLASRLSATQTAIKHGCPASLAWISIALNHWITHTTYNMLPNQINDPLPFFPTNNREEIPIEMCGLL -NSELQTIALVGLEAGNLSYLTSLLRRMSPVTYQRESVQSQCSQIKTWDLSLLTAMDIIKLKALRYIALDS -EVTTDDGMGETSEMRSRSLLTPRKFTTSGSLNRLISYNDFQKIISDENERDRMFEFFIRRPELLVTKGEN -AREYMNSVVFRYNSKKFKESLSIQNPSQLFIEQILFSNKPIIDYTSIHDKIFGLQDHPDLEEMDTIIGKK -TFVQSYVQIIDDLDKFKLSHEDIETVYSYCLLNDPLLITASNNIVMSVKGADQERLGQSACRMPEMRSLK -LIYHSPALVLRAYVNQNPEIQGADPDEMLRDLTHLEEFIEKTKLRTHMRDRIELNQKKMMRRDIQFEVRE -LTRFYQVLYDYIKSTEHKIKVFILPYKVYTPIDFCAALTGNLIKDDKWCIVHFLKNIISTTHKAQIAICP -DLEIQLAMECFRLIAHFGDMFLAEKSRVPFLRTIIQNFSYKNVPVVRLYEKLRESKHRTKFIPILFHMDD -LTQRDLNKYDADKSDERITWNNWQVSREMNTGPIDLIITGYDRQIQIRGEDDRLLGAELRLARVSRETIS -GHAKSILNRKHGLRFEKMSKIEEMSDKLDYIVYQQRGRNRYFYNILPKQIIVDHNSRVDKTKSIGESKWI -PVCPVVVKKLYQQKRPDRNKIFNLNMQTYTLSKLQVNPNEYATVRKAHFQKMTFFNGPSIKSGGMDIAAL -MSSPSLLSLNYDTMSQASLIDMCRVFKCEGFKEDQMAFEFLSDEIMQVDIGEQLECNPIFSIVYNTKGEK -GMTYKSAFRTALIRECEKFERAFDFLDMGFCSNENLSILEEIHWIVIELKTNQWSTELDQCIHMCMYRNN -LDPEFHQFDIPKAFLDDPIKRTIRWKKVKKFIEVLRDFEVREEPWKSIVQHFCDKASRLTIEKIRETKKS -TGFEDFVDPDKKGGRSKFDFV - ->YP_009666917.1 RNA polymerase [Ingwavuma virus] -MNPEKVDEYRNKIENCRDPEKAQELWRALLVERHNYFSREFCDSAGLEYRNDVLAEDICSEVLPNHIAKK -VRHCTPDNFYIDGNGKIYIIDFKVAIDEKSAREAREKYNNIFGEIFNANGIDYEIVIIKHNPATGMTMID -SDNFRRELGQFNLTVDLSWFFELKDFLFGKFKDDERFLEIINQGDFTMTLPWLEEDTPELYEHVKFVEFI -KSMPDEEQETFFKALDFRTDDAAKWNECLQEIMEQYKGRYNSFVKRCAQEVFNTTGDYPKPTKEAIDEGW -AEMVDRIKTERNVTTDMAKQKPSFHFIWSPNSDESNANIPKIIKLAKKLQSITGVGTYVKAFKALGRLMD -FSSDVSGYERFCNKLKQEARSTSKRLDKKIEEFTAGTCTALWEQQFKLDTSVMAKEDRIHLMKDFFGIGN -HKSFAKRLNDDLDLEKPTILDFNDPDVIRKCKAQYGNVEQILGKVSNLERIGNYLEHYSPKIQGASAEMW -DTVFKISRTQFWQCINDYSTLMKNMLAVSQYNKHNTFRVVTCANNNVFGIVMPSSDIKTKKATLVYCVVT -IHSSEEDVAHLGSLYGTFRSNNCFVSISKAFRLDKERCQRIVSSPGLFMMTACLFIGDNYTLHLDNLLNF -SFHTSVSVTKAMLSLTEPSRYMMMNSLAMSSHVKEYIAEKFGPYTKTAFSVVMTDLIKKGCYNAFNQREK -VQLRDIHMTDYDVTQKGVQKKRDLRSIWFPGKVDLKEYINQIYMPFYFNSKGLHEKHHVLIDLAKTVLEI -EKDQRENLPKPWSERPAKQTVNLDILIYSVAKNLNLDTSRHNFVRSRVENSNNLKRSITTIATFTSSKSC -IKRGDFTEFKTRIQKKVDKNIKKEIKKVTIANPAFIDEVTNDMEIHHATYVDVKKAVPEYIDFMSTKVFD -RLYELLKENKYGTERTIDIIFKAMKDHTEFVFAFFNKGQKTAKDREIFLGEFEAKLCLYLLERIAKERCK -LNPDEMISEPGDSKLRILEKQAEEEIRFLARTIKNVNKELLDKLKIGAWGGEFSLDDLGQDKAHGMKIEI -NADMSKWSAQDVIYKYFWLVAMDPILYPDEKKRILFFLCNYMQKKLLLPDELMQTILDQRVPRYNDIIGQ -MTEGYRRNWVVIERNWLQGNMNYTSSYLHSCSMSVFKDVMRECASLLDGEVLVNSLVHSDDNQTSICMVQ -NKMSDENIIEFSIKLFEFICLTFGNQANMKKTYITNFIKEFVSLFNIHGEPFSVYGRFLLTAVGDCAYLG -PYEDLASRLSATQTAIKHGCPASLAWISIALNHWITHTTYNMLPNQMNDPLPFFPATNREEIPIEMCGLL -NSELQTIALVGLEAGNLSYLTSLLRRMSPVTYQREPVQSQCSQIKTWDLSLLTVMDVIRLKSLRYIALDS -EVTTDDGMGETSEMRSRSLLTPRKFTTSGSLNRLVSYNDFQRIISDEQEKELMFEYFVRHPELLVTKGEN -AREYMNSVVFRYNSKKFKESLSIQNPSQLFIEQILFSNKPIIDYTSIHDKIFGLQDHPEMEEMDTIIGKK -TFVQSYVQILDDLSKFNLTHEDIETVYSYCLLNDPLLVTASNNIVMSVKGAEQERLGQSACRMPEMRSLK -LIYHSPALVLRAYVSRNPEIPGADPDEMLRDLTHLEEFIDKTKLRVHMRERIELNQVKMMKRDLQFEVKE -LTRFYQVLYDYIKSTEHKIKVFILPYKVYTPIDFCAALTGNLIKDDKWCIVHFLKNIISTTHKAQVALCP -DLEVQLAMECFRLIAHFGDMFLSENSRVPFLKYVIEHFSYKNVPVTRLYDKLRESKHRTKFIPILFHMDD -LTQRDLNKYDADKSDERITWNNWQVSREMNTGPIDLIITGYDRQIQIRGEDDQLLGAELRLARVSRETIS -GHAKAMLNRKHGLRFEKMKKVEEMSDRLDYIVYQQRGRNRFFYNILPKQIILDQNSRVDKTKSIGESKWI -PVCPVVVRKLFQQKRPDKDKIMKLNMQVYTLSKLQVNPNEYATVRKAHFQKMTFFVGPPIKSGGMDIAAL -MSSPSLLSLNYDTMAQASLIDMCRVFKCEGFREDQMAFEFLSDEVMQVDIEEQLECNPIFSVVYNTKGEQ -GMTYKGAFRTALIRECEKFERAFDFLDLGFCSNENLSILEEIHWIVIELKTNQWSTELDQCIHMCMYRNN -LDPEFHQFDIPAAFLDNPIARTVKWKKVKKFIEVLRDFEVREEPWKAIVQHFCDKASRLVFEKIKETQKS -TGFEAFIDPSKKGGRSKFDFN - ->YP_009666911.1 RNA-dependent RNA polymerase [Schmallenberg virus] -METYKINIFRDRINQCRSAEEAKDIVADLLMARHDYFGREVCYYLDIEFRQDVPAYDILLEFLPAGTAFN -IRNCTPDNFIIHNGKLYIIDYKVSTDHAYGQKTYEKYTQIFGDALSELPFDFEVVIIRADPLRDTIHVNS -NQFLEIFGPLNINLDFTWFFNLRSLIYEKYKDDDRFLEIVNQGEFTMTGPWIDEDTPELYSHPVFLEFYD -SLDEMAKLTFHESMTFDATRGEKWNQNLQKVINRYGNDYNIFVKEAAAGIFRCEGNYPKPNHDEITIGWN -QMVQRVSTERNLTQDVSKQKPSIHFIWGQPDETSNATTPKLIKIAKALQNISGESTYISAFRALGMLMDF -SENTALYEAHTSKLKSMARQTSKRIDTKLEPIKIGTATIYWEQQFKLDTEIMNTKDKSHLLKDFLGIGGH -VQFSKKTIDDLDTDKPTILDFNKKEVIDFCKFQYENVKKILSGDNNLERIGCYLEEYGANIASCSKDTWD -QINQIGKSNYWACIKDFSVLMKNMLAVSQYNRHNTFRVVCCANNNLFGFVMPSSDIKAKRSTLVYFLAVL -HSTPQNVMHHGALHATFKTGSKYLSISKGMRLDKERCQRIVSSPGLFMLTTLMFAGDNPTLNLTDVMNFT -FHTSLSITKAMLSLTEPSRYMIMNSLAISSHVRDYIAEKFGPYTKTSFSVVMANLIKRGCYMAYNQRDKV -DMRNICLTDYEITQKGVRDNRDLSSIWFEGYVSLKEYINQIYLPFYFNSKGLHEKHHVMIDLAKTILDIE -RDQRLNIPGIWSTTPRKQTANLNITIYAVAKNLIMDTARHNYIRSRIENTNNLNRSICTISTFTSSKSCI -KVGDFEKEKSSATKKAADCMSKEIKKYTIANPEFVDEELLNATIRHSRYEDLKKAIPNYIDIMSTKVFDS -LYQKIKRKEIDDKPTVYHILSAMKNHTDFKFTFFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCKL -NPDEMISEPGDSKLKKLEELAESEIRFTAATMKQIKERYLAEMGEASHMIAYKPHSVKIEINADMSKWSA -QDVLFKYFWLFALDPALYLQEKERILYFLCNYMQKKLILPDEMLCSILDQRIKHEDDIIYEMTNGLSQNW -VNIKRNWLQGNLNYTSSYLHSCSMNVYKDILKRAATLLEGEVLVNSMVHSDDNHTSIVMIQDKLDDDIVI -EFSAKLFEKICLTFGNQANMKKTYITNFIKEFVSLFNIYGEPFSVYGRFILTSVGDCAFLGPYEDVASRL -SATQTAIKHGAPPSLAWTAIALTQWITHSTYNMLPGQINDPTSSLPSHDRFELPIELCGLINSELPTIAI -AGLEADNLSYLVRLSKRMSPIHLCREPIQHQYENIHTWDISKLTQCDIFRLKLLRYMTLDSTMSSDDGMG -ETSEMRSRSLLTPRKFTTASSLSRLHSYADYQKTIQDQQKIEELFEYFIANPQLLVTKGETCEEFCMSVL -FRYNSRKFKESLSIQNPAQLFIEQVLFANKPMIDYTSIHDRLFGIQDDPNINDATCIIGKKTFVETYQQI -KIDVEKFTLDVEDIKTIYSFCIMNDPILVACANNLLISIQGVEMQRLGMTCCYMPEIKSLKVIYHSPALV -LRAYVTDNYEQKGMEPDEMRRDIYHLEEFIEKTKLRTNMQGRIANNEIKLMKRDLKFEVQELTKFYQICY -EYVKSTEHKIKIFILPKKAYTPIDFCSLVTGNLISDNKWMVVHYLKQITVPAKKAQIATSIDLEIQIAYE -CFRLIAHFADMFLNDDSKKAYINAIINTYTYKDVQVSSLYKKIKNSRLRSKIIPLLYHLGDLQQIDVDRF -DAEKAEEQITWNNWQTSREFTTGPIDLSIKGYGRSIRIVGEDNKLTAAEMQLSRVRSDIVSRHGQALLNK -PHGLKLEKMEPVTDLNPKLWYIAYQLREKKRYHYGVFSTSYIEEHNSRIEASRIRKTNKWIPVCPIAISK -QSSDGKPSLAKIPMLNIGEIKFTKLQIAVDDHAMIRKAPFSKMVFFDGPPIQSGGIDIGKLMKNQNILNL -RLDNIQSITLLDLCRIFSCRGSKVDQDAFEFLSDEPLDEDVIDELDSSPALVVSYTKKSTKSNSFKNVIV -RALIRECDIFEDIMDITDDGFTSDSNLEVLENLTWILNMLATNQWSTELLACIHMCLYRNEMDHIYHNFQ -VPEIFVDNPISLNVKWDEVIMFLNILRDRDYKFEPWVSILNHSLTKAIEYAYKKMEEERKQKSTGINKFL -KGKKMGGRSKFDFQ - ->YP_009666904.1 RNA polymerase [Jatobal virus] -MSQLLLNQYRNRILHCREPEIAKDIWRDLLNDRHNYFSVEFCRAANLEHRNDVPAEDICAEVVDMSKARK -VRFCTPDNYLLHDGKMFIIDFKVSIDERSSRLTRDKYNEIFGEIFNPEGIDYEVVIIRLDPSNMTIHIDS -REFTDIIGPLTLNIDLQWFFDMKDFLFGKFRDDDKFHAIINQGEFTMTLPWIEEDTPELLTHPVYLEFMH -SMPLEEQQLFNNSLNFKSFGAEKWNIFLKEVLSNYEDYYKKFIKDNAKSIFLTTGNYPKPDKQQISAGWN -EMVARVGTERELVDDINQEKPSLHMIWSQNDSTSNNNIQKLIKLSKLLQSISGSGTYINAFRSLGKLMDI -SADTRKYEAFCNQLKSLARSSVKKLDRKIDPIQIGTSTVLWEQQFKLDTEVIKREDRIHLLKDFFGIGKH -KSFNKKLNSDINLEKPKILNFNNEDLIRKCKNKYNQAVYNLSQPNQLDKIGNYLEHFSAKINNCSVDMWD -FIYNTTKTRYWQCINDYSMLMKNMLAVSQYNRHNTFRIVSCANNNLFGLVMPSSDIKTKKATLVYAIIAI -HNDEKEVVELGSLYSTFKTNTGYLSISKAFRLDKERCQRIVSSPGLFLMTSCLLFNGNKSLELNELLGFS -FFTSVSITKAMLSLTEPSRYMIMNSLAVSSHVKEYISEKFSPYTKTCFSVIMTDLIKKGCYSAYEQRKKV -QIRDIKLTDYDITQKGVDSKRDLKSIWFPGKVSLKEYLNQIYLPFYFNSKGLHEKHHVLIDLAKTILEIE -RDQRESLPEPWSEIPKKQTVNLKILIYSIAKNLNLDTSRHNFVRSRVENANNFNRSITTISTFTSSKSCI -KIGDFEDLKKKKVKSDTKKLSKEIKKVSIANPLFLDEITNENEIKHSTYEDLKQSVPEYTDYMSVKVFDR -LYEKIKNKEMEDSETVKLILETMKTHKTFYFGFFNKGQKTAKDREIFLGEFEAKMCLYLVERIAKERCKL -NPEEMISEPGDSKLRVLEKQSEEEIRYISNSVKTFKNTVENLKSGKLNWSEIDENKTRGLKIEINADMSK -WSAQDVLFKYFWLISLDPILYPAERKRILYFLCNYMQKKLILPDELLTTILDQRVPHSNDIIGMMTNNYR -TNTVEIKRNWLQGNLNYTSSYLHSCSMSVYRDIIKEGAMLLEGEALVNSMVHSDDNQTSICMIQNKLSDD -SVIEFCINVFEKICLTFGNQANMKKTYLTNFIKEFVSLFNIHGEPFSIYGRFLLTAVGDCAYLGPYEDLA -SRLSATQTAVKHGCPPSLAWLSIALNHWITHTTYNMLPGQTNDPLPFFPTNNRNEIPVEMCGLLESDLST -IALTGLESGNVTFLTNMARKLAPPILQRESIQDQYNSIETWDLEKLSPIDILKFKMLRYIALDSSVTSDD -GMGETSEMRSRSLLTPRKFTTSGSLNRLVSYKDYQEIISDNQRMDELFETFIRYPELLVTKGENFEEFTK -TILFRYNSKKFKESLSIQNPAQLFIEQILFSNKPVIDYTSIHDKMFGLQDIPGIEELDTIIGRKTFVESY -VQIVDDLSNLKLDLDDIKTVYAFCLMNDPLLVTAANNIIMSVKGHGQERIGQSACKMPEVRSLKLIHYSP -AIVLRAYVRGINSIPNIDVDELSRDLSHLEEFIKSTNLREHMRDRIDKNEKKQLSRDLKFEIKELTRFYQ -VCYDYVKSTEHKIKVFILPYKVFTSIEFCGALTGNLISDKVWYITHYLKNIVSSTHKAQISASPELEVQI -ADEALRLIAHFADTFLSADSRVNFLKTVVEQFNYKGIPVKHLYAKIKNSKLRTKFLGILLWLDDLTQSDL -DKFDADKSDEKIIWNNWQVSRDMNTGPIDLIISGYSRQLRITGEDDRLIAAELQVTRLSEDLIHRHGQAM -LNKPHGLKLEKMQSIQEMSRQLYYIVYQQRSRKRYFYSILPTQIIEDHNSRVESSRLTKNSKWVPVCPVA -ISKLYQQGRPILSKVKKLNMQTYTLSRIQVNIEEYAITRRAHFQKMPFFEGPPIPSGGIDLSELMKSTSL -LSLNYDNIRNASLLDMSRVFKCNGSQNDQMAFEFLSDEVLEQDIIEEIECNPIFSISYTKKGDPNMTYKN -AFHKALIAECDKFETAFDFLDMGFCSNENLSILEEIHWIISYLKTNQWSTELDNCIHMCMYRNGYDAEFH -NFDIPSKFLKDPITRSINWPEVIEFILLIEEFETAIEPWASIKSHFCSKAHSVALESMRHERRSLAEFVD -TNKKTGKSKFDF - ->YP_009666879.1 RNA-dependent RNA polymerase [Sango virus] -MDPYRIDIFRNRILNCNDPEDAKDIMSDLLMERHNYFGREVCQFLDIEFRNDTPAQDILLDFLPPGSVFN -IRNCSPDNYVILNGKLYIIDYKVSVDATYGQSTKVKYEEIFGDALAPFGLDFEVVIIRADPIREMVHVDS -LDFVNVYGNIYIDLDFTWFFNLRALLYDRFKDNDRFLEIVNQGEFTMTSPWIEENTPELYTHPIFREFYN -SLNDEEKNTFNISLEFDATKSEKWNQNLVTILQRYEPFYKKFCNIAASGIFKCTGNYPAPNKDQISKGWD -DMYERIKTERDLTEDLSKQKPSFHFIWSPPDVSRPNENISKILTLAKALQKIQGDSTFTNSFRSLGLLMD -FSSNIGLYESHVSKLKNTARQTSKKIDQKLEEVEIGTSTVLWEQQFKFNSKIMNTQDKSHLFKDFLGIGG -HKPFSKKLSDDVDIEKPKILDFNSKNVMDACNRIYRQVRNTLGTTNTLQKMGCYLEEYGFNISSASPDMW -QRIQEVCATNYWAAILDYSTLMKNMLAASQYNRHNTFRVVTCANNNLYGIVMPSSDIKTKRSTLCYFIIA -LHDEKQNVAHSGSMHATFQSGSKYLSISKGFRLDKERCQRIVSAPGLFLMTSCLFLSDNKTLNINDVLNF -SFHTSVSITKAMLSLTEPSRYMIMNSLAISSHVREYMAEKFSPYTKTAFSVVMTQTIKRACYEAFDQKEK -IELKNIHLTDYEITQKGVKPQRDLKSIWFPGQVNLKEYINQVYMPFYFNSKGLHEKHHVMIDLAKTILDI -ERDQRLNIPGIWSDIPKKQTVNLRVLIYSLAKALNMDTSRHNYIRSRIENSNNLKRSITTISTFTSSKSC -IKIGDFSDLKIRKEKKTLENMRKEIKKYTVANPEFADELDISCAVHHADYNDVRKAIPNYADCMSTKVFD -ALYEKIKNHEIDDRPTVIHILDTMKKHKQFYFSFFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCR -LNPEEMISEPGDSKLKKLEDLAESEIRYTAQTLKNLNRKIQNDMFGSEIDMDMKLHALKIEINADMSKWS -AQDVLYKYFWLFALDPVLYKHEKERILYFLCNYMQKRLILPDDLIASILDQRVQRQNDIIYEMTNGLAQN -WVEIKRNWLQGNLNYTSSYLHTCSMNVYKDIVKRSIDLLEGEALINSMVHSDDNHTSLVIVQNKLDDNVL -IEFSIKLFEKVCLTFGNQANMKKTYLTNFIKEFVSLFNIYGEPFSVYGRFLLTSVGDCAYLGPYEDCASR -LSATQTAIKHGCPPSLAWISIALNQWITHNTYNMLPGQNNDPCSILPTYNRFEIPIELCGLLNSSLPSIA -IAGLEADNLEFLVRTSRKLSPVIHQREPIQTQYEHIAEWDISQLTEMEVIRFKMLRFMALDSSMSSDDGM -GETSDMRSRSLLTPRKFTTQASLNRLVSYNDYQQIIQDQASIDELLGYFIQYPQLLVTKGENVEEFCQSI -RFRYTSRKFKESLSIQNPAQLFIEQILFANKPMIDYTSIHDKLFGIQDDPNINDATCIIGKKTFPETYNQ -IKSDLEKFPLNNEDIKTVYSFCLMNDPILIACANNIILSMKGMTIDRSAMTCAQMPEIKSLKVIYHSPAL -VLRAYVTGDMSIRGAEPDEMRRDIYHLEEFIEKTGLKAKMRDRINKNEVRTNGRDIKFEIKELTKYYQIC -YDYIKSTEHKIKIFILPKRPATPSDFCSIVAGNMLLDDKWVTVHHLKQITSQAKKAQIATSTDLELQLSY -ECIRLIAHFADSFLAESSRKQFLKRVIGEFAYKGVPIRELYDKIKHSRLRSKIVPILYHMGDLDQLDLDR -YDAEKAEEQITWNNWQTSREFQTGPIDLSIKGYGRNIRVIGNNSKLTAAELQISRIRDDIISRHSQALLN -KNHGLKFELMEEYKDLDPRLFYICYQLRAKKRYHYNILSVSNILEHNKRIQESRIRSTNFWVPVCPVVIS -KLSYTDKPQLAMIKKLNMDNVRLTSIQVAIEDYAMIRKCNLAKMQFFDGPELPCGGIDISKMMKSQNILN -LQVDTLVSISLIELCRIFNCKGNIEDNNAFEFLSDEILDEEIDEELDSSPALRITYTKKSIRNNTYKNTI -VKALIRECDKFEECFDITDEGFTSDSNLEILESLVWILKELHTNQWSTELMQCIHMSLYRNDMDHLYHRF -SIPEQFVDNPIELNYRWSSVIEFLITLKQINYKQEPWISIMSHSLDKAIEYATERKIHESSKENKLLKFI -KGKKMGGRSKFDF - ->YP_009666875.1 RNA-dependent RNA polymerase [Sabo virus] -MENYKINQYRARIAESTDPETAKDIIADLMMERHNYFGKELCYFLDIEYRNDTSIVDILQDFLPPGTECH -PRYCTPDNYVIHNGKLYVIDYKVAVDDESSKRTFEKYDKIFGDVLIPLGLEYEIVIVRADPVRDLVHCNS -DEFIHIFGNLNLNLDFTWFYNLRGLVYDKYKDDERFLEIVSQGEFTMTGPWLDDPTPELYDHPIFLEFYN -SLDDRSKAVFHRSLNFDATKGDKWNQNLVEVLKQYEPDYSQFVKNASTGIFRCDGNYPKPSNLEITQGWA -EMSARIANEREITTDINKQKPSIHFIWAPPGPGSNENISKLINLSKHLQNLDGTSSYLSAFKSMGRLMDF -SSNIGLYESHTSKLKNMARQTHRRLDNKIEPITIGDSTILWEQQFKFDTNVITQTDKARLFKDFIGIGGH -KQFSKKTLDDMDFTKPTILDFNNKNVVDACNFQYNNVKKMLSLPNNLEKLGCYLEEYGQKISESSPMAWD -SIKTICSTMFWSAIKDFSTLMKNMLAVSQYNRHNTFRVVTCANNNVFGIVMPSSDIKTKRATLAFFTIVI -HAERDCIMHHGALHSTFKSSGKYVSISKGIRLDKERCQRLVTSPGLFLLTALLMHNNNETINILDVCNFA -FHTSLSITKAMLSLTEPSRYMIMNSLAISSHVKEYISEKFAPYTKTSFSVVMANLIKNGCYNAYKQRSKV -DLRSIHLTDYEITQKGVSNNRDLLSIWFPGKVSLKEYINQIYMPFYFNSKGLHEKHHVMIDLAKTVLEIE -HDQRINIPGIWSNTPKKQTVNLNVAIYSIAKNLMMDTSRHNYIRSRIENTNNLKRPITTISTFTSSKSCI -KVGDFFEFKSRERQQVQSNITKQIKKYTVANPEFIEEFNENAMVRHATYDDVRSAIPDFVDILSTKVFDA -LYSKISNGEIDNAPTVEHILKTMKDHKRFVFTYFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCKL -NPDEMISEPGDAKLKKLEDMAENEIRYTANTLKSMKDKVLKEMSAFSETINYKPHSTKVEINADMSKWSA -QDVMFKYFWLFALDPALYSCEKERILYFLCNYMQKILVLPDEVIASILDQRVKHDNDLIYNMTNGLQRNW -VAIKRNWLQGNLNYTSSYLHSCCMNVYKDILKNVASLLEGEVLVNSMVHSDDNHTSIVMIQDKVSDDIII -EYSIKLFEKICLTFGNQANMKKTYVTNFIKEFVSLFNIYGEPFSVFGRFLLTAVGDCAYLGPYEDAASRF -SSTQTAIKHGACPSIAWVAIALTQWITHNTYNMLPGQINDPLNVFPTSNRFEIPIELCGLLETELPTLAI -AGLEAGNLTYLVNLSRRMSNVQLLRESIQAQYAGVDNWDISQLTTMDKFKLKLLRFMTLDSAMSSDDGMG -ETSDMRSRSLLTPRKFTTQASLARLNSYKHFQEIIQDKAKIEDLFEFFVRNPQLLVTKGETVEEFQRSIL -FRYNSRKFKESLSIQTPSQLFIEQVLFANKPMIDYTSIHDRLFGLQDDPSIEDTTMIIGKKTFVETYRQI -ILDLEKFDLTVKDIKTIYSFCIMNDPVLVACANNILLSMHGLELDRTGMTCCMMPEIKSLKVIYHTPALV -LRAYVTGNINLKGAEPDEMQRDLYHLEEFIRQTRLRDHMRMRIQKNEEKVMSRDLKFEIQELTKFYQICY -DYIKSTEHKIKIFILPRRAYTPMDFCGMVTGNTIRDNSWCTIHYLKQITVPAKKAQIATSIDLENQIAYE -ALRLIAHFADTFLQDSSRVVFLKRVISDFKYKNVPMNVLYSKIKSSRLRTKIIPILYYMGDLEQSDIDRY -DAEKAEEQITWNNWQVSREFTTGPIDLSIKGYGRTLRIIGTDNKLTAAELQINRIRSDIVSRHGQALLNK -PHGLKLERMEEVESLDPRLHYIVYQLRDRKRYFYNILSSQAIIDHNHQLELTRSRRNNRWVPVCPVAVSK -YSNTEKPVLDKLEYLNIDSIKLTAIQLAVNDCAMVRKAPFAKMTFFEGPPIQFGGIDLSRLMANQTIINL -NIDKIESISLLDLCRIFSCRGFSNDQDAFEFLSDEIMNEDVAEELDSSPLLKITYTKKSKSSNTFKNAIV -RALIRECNKFEEIFDISDEGFTSDSNLELLENLIWVLNKLKTNQWSSELIECIHMCLYRNDLDHVYHNFQ -TPEIFVDNPIALNVKWADVIDFLLILTSHDYRVEPWVSIMKHSLSKAIDFAEIEHKKTLQPNNLSRFMKG -KKMGGKSKFDF - ->YP_009666872.1 RNA-dependent RNA polymerase [Peaton virus] -MDPYRIDTFRNRILNCNDPEDAKDIMSDLLMERHNYFGREVCHFLDIEFRNDTPAHDILHDFLPPGSVFN -IRNCSPDNYTILNGKLYIIDYKVSVDATYGQATKTKYEEIFGDALAPFGLDFEVVIIRADPVREMVHVDS -MDFINTYGNIYIDLDFTWFFNLRALLYDRFKDNDRFLEIVNQGEFTMTSPWIEENTPELYTHPVFKEFYN -SLNDEEKNTFNTSLEFDATKSEKWNQNLITILQKYEPFYKKFCNIAASSVFKCTGNYPAPSRDQISEGWN -DMYERIKTERELTEDLSKQKPSFHFIWSPPDIDRSNENISKILTLAKALQKIQGDSTFVNSFRSMGLLMD -FSSNIGLYESHVSKLKNTARQTSKKVDQKLEEIEIGTSTVLWEQQFKFNSKVMNTQDKSHLFKDFVGIGG -HKPFNKKLSDDIDIEKPKILDFNSKNVLDACNRIYRQVKNILGMPNTLQKMGCYLEEYGFNISNTSPDMW -QRIQEICATNYWAAILDYSTLMKNMLAASQYNRHNTFRVVTCANNNLYGIVMPSSDIKTKRSTLCYFIIA -LHDEKQNVAHSGSMHATFQSGSKYLSISKGFRLDKERCQRIVSAPGLFLMTSCLFLSDNKTLNIGDVLNF -SFHTSVSITKAMLSLTEPSRYMIMNSLAISSHVREYMAEKFSPYTKTAFSVVMTQTIKRACYEAFEQKEK -VELKNIHLTDYEITQKGVKAQRDLKSIWFPGQVNLKEYINQVYMPFYFNSKGLHEKHHVMIDLAKTILDI -ERDQRLNIPGIWSDIPRKQTVNLRVLIYSLAKALNMDTSRHNYIRSRIENSNNLKRSITTISTFTSSKSC -IKIGDFSDLKVRKEKKTLESLKKEIRKYTIANPEFADEMDIACAIHHADYNDVRKAVPNYADCMSTKVFD -ALYEKIKNQEIDDKPTVTHILDTMKNISSFILVFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCRL -NPEEMISEPGDSKLKKLEDLAESEIRYTAQTLKNLNRKIQNDMFGSEVDIDMKLRALKIEINADMSKWSA -QDVLYKYFWLFVLDPILYKHEKERILYFLCNYMQKRLILPDDLIASILDQRIQRQNDIIYEMTNGLTQNW -VEIKRNWLQGNLNYTSSYLHTCSMNVYKDIMKRSIDLLEGEALVNSMVHSDDNHTSLVIVQNKLNDKILI -EFSIKLFEKICLTFGNQANMKKTYLTNFIKEFVSLFNIYGEPFSVYGRFLLTSVGDCAYLGPYEDCASRL -SATQTAIKHGCPPSLAWVSIALNQWITHNTYNMLPGQNNDPCSILPTYNRFEIPIELCGLLNCSLPSIAI -AGLEADNLEFLVRTSRKLSPVIYQREPIQNQYEHIAEWDITKLTEMEIIRFKMLRFMALDSSMSSDDGMG -ETSDMRSRSLLTPRKFTTQASLNRLVSYNDYQQIIQDQASIDELLGYFIQYPQLLVTKGENVEEFCQSIR -YRYTSRKFKESLSIQNPAQLFIEQILFANKPMIDYTSIHDKLFGIQDDPNINDATCIIGKKTFPETYNQI -KCDLEKFTLTNDDIKTVYSFCLMNDPILIACANNIILSMKGMTIDRSAMTCAQMPEIKSLKVIYHSPALV -LRAYVTGDMSIRGAEPDEMRRDIYHLEEFIEKTGLKMKMRDRINKNEIRTNGRDIKFEIKELTKYYQICY -DYIKSTEHKIKIFILPKRPATPSDFCSIVAGNMLLDDKWVTIHHLKQITSQAKKAQIAISTDLELQISYE -CMRLIAHFADSFLAESSRRQFLKRVIGEFAYKGVPIRELYEKIKHSRLRSKIVPILYHMGDLDQLDLDRY -DAEKAEEQITWNNWQTSREFQTGPIDLSIKGYGRSIRIIGSNSKLTAAELQITRLRDDIISRHGQVLLNK -NHGLKFELMEEYKDLDPRLFYLCYQLRAKKRYHYNILSVNNIVDHNKRIQESRIRSTNFWIPVCPVVVSK -INYTDKPQLAMVKKLNMDSIKLTSVQVAIEDYAMVRKCNLAKMQFFDGPELPCGGIDISKMMKSQNILNL -QVDTLINVSLIDLCRIFNCKGNIEDNNAFEFLSDEILDEEINEELDSSPALKITYTKKSIKNNTYKNTIV -KALIRECDRFEECFDITDEGFTSDSNLEIMESLVWILKELHTNQWSTELMQCIHMSLYRNNMDHLYHRFS -IPEQFVDNPIELNYKWSNVIEFLMTLKQINYKQEPWLSIMSHSLDKAIEYATERKIQESSKENKLLRFIK -GKKMGGKSKFDF - ->YP_009666888.1 RNA polymerase [Leanyer virus] -MQNSKEIIRTRIATCKDADSAKDIYLDLLNERHNDFAKEFCLAINLEYRNDVPSQDICLEVLPYDIASKV -RYCTPDNYVVYNNKLYIIDFKVSVDDVSSRETMKKYNEIFGEILVPNGIPFEVVIIRFDPTRSVVTISSP -EFNQLITPYQLDYNVKWFDELKTMLFDKFKEDERFLEIISQGEFTLTTPWTMEETPELFEHENFIEFIES -LPEEERYLFMESLDRDPFEDDKWSDRLKNIKLMYEDYYKSFVKSKAQEIFLKDGNYPKPSAQEIDQGWQQ -MISRVKIERNLTNNVDDQKPSFHFIWSKPNAHNPTKNNEKIILLSKCLQNIKTKGKYTNAFKAIGKLMDF -SGDIAGYEAFCTKLKHEVKKVPGKINSNIKLEPKKFGSAVVFWEQQFKLSLDEIDIKDKNTLLREYFGIG -KHKRFSQKMMEDITQDKPEILNFENKDIIDKSIFKMNEIKDYLSETTQITTNTAYINEYIERIKDASNST -FENIKSITGSYFWNFINDYSTLMKNMLSVSQYNKHNTFRVVCCANNSVYGLVFPSTDIKTRKSTLVYCVI -TIHKERHDVCEHGSSRLCLETKDGFISISKAFRLDKERCQRIVTSPGLFLLTTCLLKSDNPNLDVYDVAN -FSLHTSLSVTKAMLSLTEPSRYMIMNSLAVSSHVKEYIAEKFSPYTKTLFSVYVTNLIKNGCYMANKQRE -NVKLRNIYLTDFDIMQKGVNNERDLTSIWFPGLVNLKEYINQIYLPFYFNAKGLHEKHHTLIDLAKTILE -IEKDQRENIICPWSEIPQKQTVNLKVLIYSIAKNLLLDTSRHNYVRSRIENANNFKRSISTVSTFTSSKS -CIKIGNFREIKMRSNRNQQKLVEKEVCKYTIANPLFAKEHIDNLSVKHSTYEDLINSIPNYIDHISTKVF -DRLYEQSKSGKITDKPLVEIIMDTMKNHNEFYFGFFNKEQKTAKDREIYVGEYEAKLCLYLIERISKERC -KLNPEEMISEPGDSKLRKLEQISESEMRYMASTIKDKNREINEQLETSRTLPSEIQSLLSNKPKGLKIEI -NADMSKWSAQDVLYKYFWLFCLDPVLYPTEKKRILYFLCNYLNKKLILPDEMLMNILDQKIVHENDLIGE -MTDYYQNNTVNIKKNWLQGNMNYTSSYLHTCSMSVYKDILKEASDLLEGDILVNSMVHSDDNHTSISWVQ -SKLPDEVLIQFAIDIFEMVCLTFGNQANMKKTYLTYFIKEFVSLFNIYGEPFSIFGRFLLTAVGDCAYLG -PYEDFASRLSATQTAIKHGCPASLAWLSIALNQWLTHTTYNMMPGQINDPIPHFPTMERSEIPLELCGTL -STDLATLAMVGLEADNLTYLTNLSIKMSNVMIKKETIQSQFERLDEWDLSKLTKMDIIRLKMLRYISLDS -VASVDDGMGETSDMRSRSLLTPRKFMTSASLSRLISYQDFQIVIKTEQEKEDLFNYFIDKPELLVTKGET -LEEYCKSILYRYNSKKFKESLSIQNPSQLFIEQILFSSKPTIDYSSIFDRMFGLQDHEKVQEMDTIIGRK -TFNECYQLLQEDLSKFTLELEDIKTVYSYCLLNDPLLVTCSNNIIMSIRGTEHERLAQSSSTMPEMRSLK -LITHSPAVVLRSYIHNKFDFPKVEEEELRRDVVHLENFIEKTHIREKMLERIAEKRLRDGKDDRLFQLKE -LTKFYQICYDYIKSTEHKVKIFILPYKVYTSAEFCCAVTGNLISDNKWCTIHYLKPIVSTSHKAQVAINP -DTEIKVAMECFRLICHFADMFLSEFSRINFLKSVIEEFTYHNIPVKILYQKLLNSRFRTKYLSVLFYTNT -LTQRDLDKFDAEKTEDKISWNDWQSSREMSTGVIDLIITSPRRKLKIYGEDDQLIAAELEIFKIDNSNIQ -MHGQAALNKPHGLKFEKMRPITEMSSKLHYIVYQQRAKRRYFYSILPKSIIEEHNDRVEASKMRTQAKWI -PVCPVAVSELIRTSRPMLYDIKYLNSENTELSRLKISVEEYQIIQRVSFQKAIFLEGPVLDTGGLNINEL -MKSTSILTSDFDKLIATDLLTLSRIFDCDGSQNSDSFEFLSDEIIDTNVTEKLECNPIFNISYNIKGNRK -MTYRNAIKIAVSRATQDFEECMDFSGEGFYSNENISIIECIHWIIQELKTNQWSTDLDRCFHLMMRRGNL -DEQYHMFDIPIQFMKTPITREVWWFEVNDFLDALAEHDIKISPWKTIIENFLIKAKELVKNKIKEKKKKK -KVTDFVSKKNFGGHSKFEFL - ->YP_009664555.1 RNA-dependent RNA polymerase, partial [Thimiri orthobunyavirus] -RILYFLCNYMEKKLLLPDELMQTILDQRIPRYNDIIGEMTEGYRRNWVNIERNWLQGNMNYTSSYLHSCS -MSVFKDIIRECANLLEGDALVNSMVHSDDNQTSICIVQNKLPDDNIIEFITKTFEFICLSFGNQANMKKT -YITNFIKEFVSLFNIHGEPFSIYGRFLLTAVGDCAYLGPYEDLASRLSATQTAIKHGCPPSLAWISIALN -QWITHTTYNMLPNQINDPLSYFPTSYREEIPIEMCGLLSSELQTIALVGLEAGNLSYLVSLLKRMSPIQF -QRESVQSQCTQIKTWDLSLLTKMDIIKLKALRYISLDNE - ->YP_009512923.1 RNA-dependent RNA polymerase [Tete orthobunyavirus] -MDQEMIRRFNGRIRAVKEPTVAKDILSDLYNERHNYFAREFCFANNLTYRNDVKATEIIGEMIPDFYYGD -VEHIKYTPDNYVRVGDKMFILDFKVSTDDTSSIETYNKYMNAFSDVFKDVDFEVVIIRANPMSGQIIIKS -DEFRTYFGRVPPGLSFNWFFDLRRLLMNKFKDNDEFQDMVDHGDFTLTAPWVNEDTPELYDHPIYREFID -SMGARHEALFNKTLNHDAYESKADKWNSNLVHLKEKTEEYYNDFVKSISDNVFMLDGNYSKPTKMEIEQG -WQIMTERVHEEREVIQDVNKQKPSIHMIWTPNDPQSSNDNIQKLIKLSKHLQDIKDTDKFAQNFQAIGRL -MDFSKNVPKYEKFCNELKRDARAKIYKKDQRIEPLKIDDCTILWEQQFKYEIIDHDKYSRAHFYKKFLGI -GGHKEFKDRTLEDLDLDKPRILDFNDPSVIMAAKVMMEKTKALLAQDNMLERAGNLLDEYRPKIENASPK -TWQNIEVITKTRYWQAINDISTLLKNMLSVAQYNKFNTFRVVACANNNMFGIVFPSSDIKTKKATMVFVT -ICIHDEESNVLDPGCLYRTYKSRGKYISISKGIRLDKERCQRLVSAPGLFMLTSLLLKGDNDMVSMLDIL -NFAFYTSLSITKAMLSLTEPSRYMIMNSLAISSDVKNYMAEKFSPYTKTLFAVFMTNLIKRGCYEANEQK -NNVELRDVFMSDIEITQKGVRSERKLKSIWFPGYVNLKEYINQVYLPFYFNSKGLHEKHHVMIDLAKTVL -EIEKDQRINIPGIWGDKFEKQTVNLDVLIHSLAKNLILDTSRHKHLRHRVESRNNFKRAISTISTFTSSK -SCIKIGDFENIKKQIVEKKRKALKKAEAALRIANPLIAGEVTNNEVHHADYLDLKKAVPDYVDMQSTKVF -DRLYEKIKEGEMGRTTIEEIMDTMRKHKQFYFAYFNKGQKTAKDREIFVGEFEAKMCLYGIERISKERCK -LNPEEMISEPGDGKLKRLEQMAEDEIRFIVENVKSLQPNEGDATRESEFLEKVAESGLKAQKIEINADMS -KWSAQDVMYKYFWLFAMDPILYPFEKKRILYFLCNYMQKRLILPDELMYNILDQRFVRENDIIVEMTNDF -KRNWVEIKRNWLQGNLNYTSSYLHSCAMSLYRDIFEVTAKRLKGEALVNSLVHSDDNQTAIAIIQSVVDP -EVIIHFSIDTFSKVCLTFGNQPNMKKTYLTNFIKEFVSLFNIYGEPFSVYGRFILTCVGDCAYIGPYEDF -ASRLSATQTAIKHGCPPSLAWVSIALNQWITYSTYNMLPGQNNDPAKNLRIQDRFKIPIELGGYLDADLS -TVALLGLESGNVSFLTRLIQKMSHIMYKKEDIVGQTLRIDSWNLEKLDNTEKFKLKMLRYVTLDSEMSID -NGLGETSDMRSRSLITPRKFTTIGSLAKLTSYRDYQLVAGSNEQTEELYEFFLQRPELLVTKGETLEEFC -KTIVYRYNSKKFKESLSIQNPVQLFIEQVLFSNKPTIDYTGLADRFANSLDFDENLEDNSISGRMTIADA -LSKISDDIESLPLTHEDIKTIYGFCITNDPLIITAANSLILQITGTGQERTCLSSNYMPEMRNFRLMQHS -PAVVLRAYIHGVESLAFADQAELSRDVIHLDTFIKKTKLKEKMEQRIKEYHENNDDDSKKFDLREVTKFY -QVCYDYIKSTEHKVKVFILPIKVHTAGDFCAVLHGNLLMDDQWFNIHFLRQIESSSHKGEITSLRNVEID -IACECFKLLAHFSDWFIAGASRANFVRRIIREYTYKGLVVQELYNLLLKSEQRINFMPILFHLGDLTQED -INKFDALKTNEKITWNDWQVSQRMNTGVINLTITGYNKKITIVGEDDRLDEAILYIKKDTYDQISNQSRK -LLNTRHNLMFEKMRQVKFVDPREWYICYQKGRRNKYDYVVLLGKQINARNEQIKVIQHRSQNYLIPVCPV -GINVFEDSKAITLEDIKTKNIINESMTKLRINDDEIATVRRAMITKMIHFSGPPMVAGVIDIEKLMHCRP -LLSITYTTVKNSSLMDIVQIFHCEGDDESKGGTYTFSEEPMEDEESEEIASQPVFNVTFRKKGKKYMTYK -NAIEEAIQKTTEEFQSAFDFSDDGFFSPKNLGIIKTISSLIKELGTNEWSTSLDKCIHLCMHRAGLDDTY -HLFDMPKYFLRKIDENKPDREVIVQGDWGEIVREAELEVVNRVNWEKVLSFIETLPEAKVAPWGEIFAHF -KRKARELVLRRIALCQKKMTLEEFAEELQIEEGHSMYHFNA - ->YP_009362027.1 RNA-dependent RNA polymerase [Kibale virus] -MDSYDGLKRRFNERSTNGFQNADIYNSLIKCRHDIFGEQICASFDIPIRNDVDFEVIVDDLQNTYDFQLE -KYFKVTPDNYKIQDDLLLIIDYKVSRSTMNIEKTLVKYNNAFNWVPLVLPIDFKTIIINLNPDTLMIWSN -QTEFLELYKFEIVLDQIRDINDMLEILEIQNSDDDIFMRNRTGDNIHVTDDWFDEENHEIEELLNNDLTF -FDFTKSLDSSMLSLYNKMINSDVELTGNQMQEVYRNVIKETQQSVKETKKSMLEKLVDLDSLVPNKTNID -VGWKMLEEKLEKNKTLSNDLSDSKPTIHTLISTIDLVDPELDMNNFKKCCLFGSLLKLLDWNRSTSYKNN -LIRVDHFQDFFKILAEDMDMAGHETAYNSMVAEFKCNRTSFSNNTKYQKKSQSKSVSPSLPGIMVNDMQF -LLRNFPYKRNFTSFCGIDVSKSNDFKKGVSPELQKPFSLCPFDPSLKEQVNEIFEGVKLASVNPTQEFTK -TVLKDYINKTRGIDNDNYELYETIIKTKGYKIATDISVLIKNALSVANFQNYKTYRLVFSANKSSFLILL -PSMSIKYAQSSICFISCCFVKKNTQLEYYSGCDRYRVPVIGTEFELIISNPIRLNKERAKRLVEAPYLMF -LVAASFITTGCDLDSIINYSFYSSLNITKSLMTLTEPARYILMGSSAKVSDVKSYIGDKFEPSLKTAFSV -WIYNKIKDASYIANEKLKGIVCKDVFFDEDKVKGRGVKSIKNLPSIYFKGDLDLKGYLNEIFIPFYINSK -GLHEFHHNIIDLTKVPCQIELETKKDLKDFWVESDNQYCNLPVFLNYSARYYQRLVKQGARYRNNVETSN -MFREPIYKIPTMTSSKSCVQVGDFFDIKVHGTDKKVQHLLKTKMPNTRSYHTIDYDPEVLDDMILYIPID -KAYTNKLYSSNMTIMESITMNKHFKEWLINGTLGDTFRFNVKNSCYVFSLCWDNLKTKFEWDLSSVGHLV -KAFKEYNNLDKMQGITNLFPLGFTKNINQFEYMCESLLVKSSLMVYNNSYYIFENGLRDYTHIIRENLEI -SPKDSDNNNLLKTGLYKHHDYKAIRSNIKNYTDYITKRVFDRLYDKIDEYQDKEFIKIAGSCITKHQVYY -STLFCKDQRTAKDREIYEMELEGKILLYVIERLFKTYSREDMNEMISRPGDVKVLDIENSRNRLFSFATQ -FQSNDRYKYNVYMNEINADMSKWSAKDITAKYLFLIALNPSLKSKEKKILTLGLCRYMRKILILPDSAIG -ILLDQYSHRLNDPIMLMTENLSSNCVKITQNWFQGNLNYMSSFCHSIAMDFYKEMNQEFGRSIGINDILT -VSLVHSDDNQTGVCMIEKMDLLKTEINMNEHTLKQDTKIATCVFKLLELSMRQFGFILNTKKTYISSIIK -EFISMHNLNGEPFSVFHRFLFPVIGACSFLGPYEDLTARLSGIQTAIRHGCSPSLAICAIGCATEMTYGT -YSMLPGMKNDPGPTFGYDRFLLPLEIGGYPFFDLSNFIELGTVANDIRLLRPIISKLTNTIKHKTIEDQL -LSFTESDMMLLNDYDRFIIKFFKEFCITDLFDPSGSIGETYEMSKRSLLTPRKFTTLKLIKKLTSYKEFS -KLTPISKLENFQFMLEHKELLVSKPLNSEAFKNVILFRYNSRKFKESLSIQSPVQLFLEQVISSGSKCID -REFLNDIDNEPDIDISEPNRNQLLGRLTFLEAFSKIKKITLKTQIGLNELLIVYKSKITNDPLTSTCYNM -EFAVEELSTTPKESLYSQKLQEYRQVRSFLSTPHNIITSLLTLLETGVEPNEDTVLYSDVMELYKYYVES -GLKEYMDNYNYKHKSDSTLYTKEQLSVWTEVLQILYLYTTQINKRNMSILLPKRAFSLTEFLLTLKGTLQ -QDKQFINYKLLFNPLKFKAKGITKLEQFDTVNTAEALFRSLTTFMENYIKPEFRANLILQIINNCSIGDV -PISDYYELVKLNCPHQFMALLNLLGDLQETTVKSYISNIKSVSQSWIQEQSFRQGMIGEFDVIYYNLRSS -LEVKGNNKTFTDMIFTYQKSLDLNQLIYKDLDIMLNKLKYDMKLDHIDFNPPLSQDDNSIYFVKAWNKGI -AKYTAKYYKDILQSDLMLCPISIHASGQTNYEIDDILGSNLDNMSCRYFKINESLKSYQTSRRGPISTLL -NLKGDIDALGTFSLSSYIRFISGFQGVQKPSFSSILNFIDLFSCRDTSKTFIEAPEEIKTEIFCFDDTNL -DIEFNFDVIFKMKVKTPYSSLNALMDVANNKDKLMEYMITRTRDVKTVKEYQRSLSILIRQFQVVQNMKL -KLGVKMDEDSIFVKLLHLYSLDTGSHKGFHDSNDLPGVFYKKGKVLELDIDFIEKNVMTVLELPNSFLEK -RSNSEVLDVFHKLKNEYRNLVSREFPDLSWADEVERSGFSFF - ->YP_009362026.1 RNA-dependent RNA polymerase [Tai virus] -MELYTVLRKRFNERGANAFQNADLYNSLIKCRHDIFGEQICASFDIPLRNDVDFEVIVDDLSNNYEFKLE -KFFKVTPDNYKIEEGMLLIIDYKVSRSTLNIEKTLVKYNNAFNWVPKLLPIDFKTIIINLNPDTLAVWSN -QMEFLDNYKFELNLDEIRDINDMLEVLEIQNSDDDTFMRNRVGDNIAVTDDWFDIEEANIEKVLENDVTF -YDFKRSLDSDTRKLFEKMLKSNVSIMGTEMQELYKDVIKTTQQSVNKTKKSLISKLTDLEKLVPSKENID -IGWKDLETKLTSTKDLSNNILDAKPTVHMMISTIDLVDKELDMNNFKKCCLFGGLIKLMDWSKCIPYKNG -LIRVDHYQDFFKVLGDDMDMVGYESEYNSMVQEFKSNRTGFSNNSKYQKKSQSRSISPNLPGIMVNDMQF -MLRNFTNKKEFTKFCGIDVSKNNDFKKGITPEQTKPFCLSPVDESLKEQVNELFNAAKLSEVNPTQEFQK -TVLKDYIVRTRSMDPGNYELYDSIIKTKGYKIATDISILIKNALSVANFQNFKTYRLIFSANKSSFLILL -PSMSIKYAQSSICFISCCFTKKNEDIDYYSGCNRYRVPLTGTDFDILISNPIRLNKERAKRLVEAPFLMF -LVASSFITTDCDVESIINYSFYSSLNITKSLMTLTEPARYILMGSSAKVSDVKSYIGEKFEPSLKTAFSI -WIYNKIKEASYIANEKLKGIVCKDVFFDEDKVKGRGVKSIKNLPSIYFKGNLDLKGYLNEVFIPFYINSK -GLHEFHHNIIDLTKVPCQIEIETKKDMKEFWIESENQYCNLPVFLNQSAKYYQRLVKQGARYRNNVETSN -MFNEPIYKIPTMTSSKSCVQIGDFFDIKVHGTDKKVHHALKTKMPNTRSYYTVDFDPEILEDTVLYIPID -KNFSSKLFSSKMTIISTITMNKEFKVWQSKSHIGDCFKQVSKNSTYVFCICWDTLKDRYEWDAVSANYLV -NCIKLNNNQDKLQGITNLFPLGFTKDINAIEYLIESKLIKSNLKIYNNSIYIFEQGLRDYTHHVRENLEI -TPKDSDNNNLLKTGLYKHHDYKAIRNNVKNYQDYITKRVFDRLYDKVDEFKDKEFIKIAGDCILKHQTYY -STLFCKDQRTAKDREIYEMELEGKILLYVIERLFKTYSREDMNEMISRPGDVKVLDIENSRNRLFTFATQ -FQSNARYKYNVYLNEINADMSKWSAKDLTAKYLFLIALNPSLKSKEKKLLILGLCRYMRKVLLLPDSAIG -ILLDQYSFREDDPIMKMTNNLSTNCVKISQNWFQGNLNYLSSFCHSIAMDFYKDLNFEFAKTLNIENTLT -VSLVHSDDNQTGVCMIEKIDSVTEPDKMTQEYSQNQDQKIASAIFRLLEFAMRQFGFILNTKKSFISSII -KEFISMHNLNGEPFSVFHRFLFPVIGACSFLGPYEDLTARLSGIQTAIRHGCPPSLATCAIGCATEMTYA -TYNMLPGMKNDPGPVYGYDRFQLPLEIGGYPAFDLSNFVELGTVANDIRLLRPIISKVVNTIKFKTIEEQ -LLNFDESYIKLLNTYEKFIVKFYKEFCITDLFDPSGSIGETYEMSKRSLLTPRKFTTMKLIKKLTSYKDF -VNLSGEAKLNNFQFMLQNKELLVSKPLDSASFKQVVLFRYNSRKFKESLSIQSPVQLFLEQIISSGSKCI -DREFLNDIDTEPLVDISEPNRNQLLGRLTFVEAFQKIKLIVQNKDLTLEDLLVVYKSKITNDPLTSTCHN -IEFAVEELSSVGKESYYSQKLQEYRQVRSFLSTPHNIITALLRLIETGVEPGEDTVLYSDVTELYKYFVE -SGLQSYLADYNFRHKNDPTLYVKEQLSIWTEVLQILYLYTTQINKRNMSILLPKRAYSLTDFLLTLKGSL -QKDKLLINYKLLFSPLKFKAKGITKLEQLDTINTAESFFRSLTTFMENYVKAEYRANLILQIIKNCSIGS -NTVSELYDLVRLNCPHQFMALLNLLGDLEEQTVKSYISNIKSVSNAWIKEQSFRQGMIGEFDVIYYNLRS -SLEVKGNNKTFTDMIFYYQSGLDLNQLIAKDLDIMLNKLRYDMKLDQIEFNAPLSEDDNSLYFVKTWIRG -IAKYSAKYLKDITSTDLMLCPISLHITKQSNYEFNEILDANLDNKSCRYFKINESLKSYQTSRRGPISVL -LNMKGDIDALGTFSLSSYIRFIAGYQGMQKPNFASLLNFIDLFSCRDTTQTLIETPSEIKTEIFCFDDTD -LDIEFNFDVIFKMKVRTPYSSLNALMDIINNKDKFLQYMTTNEKPKTSQEYHKNINILTRQFQVIQHMKS -RLGFQLEEDSIFIKLLHLYSLGTPTHLGFHDVNKLPEKFMKKDKFLELDLDFIEKNVMVDISLPNLFLER -KHQTEIKSVFDKLKSDYKSLINKDFPDLSWADEVEQSEKSGFSFF - ->YP_009507879.1 RNA-dependent RNA polymerase [Guama virus] -MAHQLGEIVRQFAARVRTCNNPELGRDLLSDITVARHNYFAQEFCYSIGIEYRNDVPALDIVMEMVPDFN -PAQIRVPNITPDNYYRDGNKIYIIDFKVSVSDESGNHTFKKYNTLFGDIFDQLGVEYEVVIIRMDPSSMH -LHISSDNFANIFPNVILNLDFSWYFRLKDELYERFRDNEEFMELVAHGEFTPTIPWVNEETAELFDHPVF -VDFMNSMGPEARNDFFQALNYNAFQADKWNDLLHIFIRKYSMKYKDFLKEMSRKVFMADENYNKPSRLEI -QKGWAEMIERVRDTRNMVTDIHKQKPSIHFIWSPHDSTKPQENNAKILELSGALQRIKDTDPMSTAFKYI -GKLMDFSSDINAYEQFCSKLKRDARSTPKQKSTPINPIKIGPCTVLWEQQFKMDTDVIPKEIRLRFLKEF -CGIGNHKQFKNRMLDDLDLEKPQILDFSDLNIQRNANLMFQDTKSMMSKTSGLQKIGNVLEEFRDKIEGA -NEKTWSHIEYIAQSRFWQAINDFSVIVKNILSVSQYNKHNTFRVVASANNRFFGLVYPSASIQSKKSTVV -FSTICLHKDSKDVLKCGALYKTYKIGDQYISISKAIRLDKERCQRLVTAPGIFMLTTLLLKGDTEIDLDE -IMAFSFFTSLSITKSMLSLTEPSRYMIMNSLAVSSHVREYIAEKFSPYTKTLFSVYMTELIHKGCMSANN -QRSKISVKNVFLNEYEITQKGVSEDRDLESIWFPGHVNLKEYINQVYLPFYFNAKGLHNKHHVMIDLAKT -VLEIELEQREQLPNPWGKDFQKQSVNLEILIYSIAKMLNNDTSKHNHLRSRIENRNNFKRSLASISTFTS -SKSCIKVGDFSEHKTKTVKRLKKIQEKDAKKTRIANTEFVAEEDRDLEIAHSTYLDLVKSVPEYTDYIST -KVFDRLYEKFKTGEFEDKPAIEIIMDVMKGHKDFKFCFFNKGQKTAKDREIFVGELEAKLCLYCVERIAK -ERCKLNPEEMISEPGDGKLKKLEINSESELRYLIEMTRREMSKEEEFVETFSKEPKGVKIEINADMSKWS -AQDVFFKYFWLIVLDPILYPYEKQRILYFFCNYMDKELILPDEMMCSLLDQKAERENDIIRQMTNNFHTN -TVNIRRNWLQGNLNYTSSYIHSCSMMVFRDIVKDATELLEGNCNVNSMVHSDDNQTSVIIIQDKLSNDCI -IHYICDLFEACCLTFGNQANMKKTYITNNIKEFVSLFNIYGEPFSVFGRFLLPAVGDCAYIGPYEDMASR -LSATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQVNDPTRIFYCDRDELPIELCGILKADLSTIAL -IGLEAGNISFLTNLLRRMSPPQLIKESVQSQCNNIEFWDLTKLTRMEIVKLKILRYIVLDTDITGDNTMG -ETSDMRSRSIITPRKFTTLSSLTRLVSYNDYQEITQSKEEFDNLLEDMLEHQELLVTKGECPEDFIKTIL -FRYNSKKFKESLSIQSPTQLFVEQILFSNKPIIDYSGIRDKYIGLLDMPQVQESDTIIGKKTIPEAFETL -SKDLDKFELDVDDVKLVYSFCILNDPLNTTACNAILLSQVQSLMDRTSMSAVTMPEFRNMKLIRYSPALV -LRAYLHGTYNLGGATEEGMKRDLYHLEEFINQTGILQKVEDRIREHETRIAERDLLFEIRERTKFLQTCY -DYIKSTEHRVKVFILPCKAYTAFDFCATIHGNLIKDKGWYSVHYLKQIVSGTAKAIVSQNPASEQINMDE -CFKLLAHFSDTFIEPSSRLKFARRIIEEYTYKNIPVKKLYDMLVKDPNKRQHFMPLLYHLNELKQSDLDK -FDANKTNERVSWNDWQVNRDMSTGIIDLTIKGYMRSIRIKGEDDRLEIAELQLVIGDNTSVESHGRKLLN -TRHNLKLERMQIHKFLEQGMYYICWQRRNKYTYTYQILLAEIIENRNRQPVSLISGRANLLNPVCPVIVS -RSPSMEKIRLTALRYLNPEAELSKLKITQNEFATTRRCHFSKMPFFYGGDFTVGNININKLMSTPSLLNV -NYASLCQTPLITLAQIFQCDGQTEEVDEFEFLSDETLEEIDTAPVNAIPFFNVSFPTRSKKGYTYKQALQ -QALSAGLEEMEREFDFTGQGYFSPKNIGVISFMIGLVNRLNTNEWSTVLVKCIHMSFFNNKKDRVFHLFK -IPKVFIKDPIGEIIDWNKAREFLMGIRPKDETNHWGQMFSHFKQKCLNAIDLEIKMEGTSWGDMLDMIDE -FKDEEMFHFE - ->YP_009507877.1 RNA-dependent RNA polymerase [Guajara orthobunyavirus] -MAHLIRELVRQYQARIRACTQPELGRDILTEITVARHNYFAQEFCESTNLTYRNDVPALEIVQAIRPGFD -PMSRQVPDLTPDNFLIHGNKMYIIDFKVSVSDESSIHTYRRYTERFTDIFNFIGVDFEVVIIRMDPSNMQ -LHISSDNFLQLYPNIILNLDFSWYFRLKNELFERFRDSEEFMELVAHGEFTPTIPWVTTETPELYDHPVF -IDFLNSMPPKDRNNFLRAMSDNAFHSDKWNDLLHTMMREYGDKYKAFIKDMAKRVFLADSNYDKPTRLEI -QKGWSEMILRVKESRNMTTDVHKQKPSIHFIWSPHDPKKTNENSMKLLMLSAGLQDIKEKDPFSIAFKHI -GKLMDFRSDIRGYERFCARLKQDARSTPKSKSSKIEPLQIDSCTVLWEQQFKMDTGIIPKDIRLKFLKEF -CGIGNHKQFKNRMLDDLDLDKPVILDFSDPDIQKHANLMFQDTKSMLSKESGLYKIGNVIEEFKDKIINA -NAKTWETIESIAKTRFWQAMNDFSILVKNILSVSQYNKHNTFRVVCTANNQFFGIVYPSASIQSRKSTIV -FSTVTFHDEAKDVIKCGSLFRTYKITKGYMSISKAIRLDKERCQRLVTAPGIFLLTTLLLKGDTEIDLNE -VMAFAFFTSLSITKSMLSLTEPSRYMIMNSLAVSSHVREYISEKFSPYTKTLFSVYMTELIRRGCMSANN -QRTKISVKDVFLNEFEITQKGVSENRDLESIWFPGFVNLKEYINQIYLPFYFNAKGLHNKHHVIIDLAKT -VLEIELEQREELPNPWGEDFKKQSVNLDILIYSIAKMLKNDTSKHNHLRSRVENRNNFKRSLASISTFTS -SKSCIKVGDFYAHKSDTVKRMKKIQNKEAKRTRIANTEFVDEEDRDLEIAHSTYLDLIKSVPNYTDYIST -KVFDRLYEKFKTEEFDDRPAIEIIMDVMKDHKNFKFCFFNKGQKTAKDREIFVGELEAKLCLYCVERISK -ERCKLNPEEMISEPGDGKLKKLEMNSESELRYLIEMTRRETTKEGSFLQEFTSEPKGIKIEINADMSKWS -AQDVFFKYFWLIALDPILYPYEKQRILYFLCNYMDKELILPDELMCSLLDQKAERENDIIRQMTNNFHTN -TVNIRRNWLQGNLNYTSSYIHSCSMMVFKDIVKECSDLLEGNCNVNSMVHSDDNQTSVIMIQDKIHNDCI -LNFICKLFEACCLTFGNQANMKKTYITNNIKEFVSLFNIYGEPFSVYGRFLLPAVGDCAYIGPYEDMASR -LSATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQANDPSKIFNCERSELPIELCGILKSELSTIAL -VGLESGNISFLTGLLRKMSPPQLVKEGVQTQCNNIENWDITKLTTMEIIKLKILRYIVLDTDITDDNTMG -ETSEMKSRSIITPRKFTTLSSLTKLTSYNDYQDVVSDPDQLEALLEGMLENQELLVTKGESPEDFRKTIL -FRYNSKKFKESLSIQSPTQLFVEQILFSNKPVIDYTGIREKYVGAVDMPNNQEDEGIMGKKTIPEALEIL -RDDLSKMLLTLDDIKLVYSFCILNDPLNTTACNAILLSQIQSLMDRTSMSAVTMPEFRNMRLIKYSPALV -LRAYLHGELTIGGAIEDDMKRDLYHLEEFIDQTGILRKVEAKIQAHEIQNGARDLLYEIRERTKFLQTCY -DYIKSTEHRVKVFILPCKAYTAFDFCATIHGNLIKDKGWYSVHYLKQIISGTAKAIVNQTPASEQINMDE -CFRLISHFADTFIEPSSRHYFADKIIKEYSYKNIPVTDLYDQLKRDMNKRQHFMPLLYHMQELKQSDLDR -YDANKTYEKVTWNNWQVNRDMSTGTIDLTIKGADRSMRILGEDDKLQIAELRLVQGDTTAVESHARRLLN -AKHNLQFEKMQEYTYLEPGMFYICWQKRSKFAYNYQMLLCEIIENRNNQPATLLSGKINLLHPVCPAVIS -RIPSPEKIRITALKYMNQNCELSRLQLLKNEFATTRRCHFSKMTHFNGKEFVVGNIDINRLMATPTLLSV -NYPSLSQTPLITLSQIFRCNGVEEEVDEFEFLSDEVLEDTDTAPVNAIPFFHVSYSTKSKHGYTYKQALQ -HALRIGLEEMENEFDFTGQNLGFFSSRNIGIISFLVGLVNRLNTNEWSTVMMKCIHMAFFNNQKDRTYHL -FKIPKIFIKDPIGEKVDWAKAKDFLQGIRPRDETNHWGQMFIHFKNKCIDAIDLEIKMEGASWGEMLEML -DEFKDEGMFNFG - ->YP_009507870.1 RNA-dependent RNA polymerase [Catu virus] -MAHQLGEIVRQFAARVRTCNNPELGRDLLSDITVARHNYFAQEFCYSIGIEYRNDVPALDIVMEMVPDFN -PTQIRVPNITPDNYYRDGNKIYIIDFKVSVSDESGNHTFKKYNTLFGDIFDQLGVEYEIVIIRMDPSSMH -LHISSDNFANIFPNVILNLDFSWYFRLKDELYERFRDNEEFMELVAHGEFTPTIPWVNEETAELFDHPVF -IDFMNSLGPEARNDFFQALNYNAFQADKWNDLLHMFIRKYSMKYKDFLKDMSKRVFMADEHFNKPSRLEI -QKGWAEMIDRVRETRDMVTDIHKQKPSIHFIWSPHDGTKPQENNAKILELSVSLQRIKETDHISTAFKYI -GRMMDFSSDINAYEQFCSRLKRDARSTSRQKSTPIEPIKIGPCTALWEQQFKMDTDVIPKEIRLRFLKEF -CGIGNHKQFKNRMLDDLDLEKPQILDFSDINIQRNANLMFQDTKSMMSKKSGLQKVGNVLEEFKDKIEGA -NEKTWEHIEHIAHSRFWQAINDFSVIIKNILSVSQYNKHNTFRVVASANNRFFGLVYPSASIQSKKSTVV -FSTVCLHKDQKDVLKCGALYKTYKIGDQYISISKAIRLDKERCQRLVTAPGIFMLTTLLLKGDTEIDLNE -IMAFSFFTSLSITKSMLSLTEPSRYMIMNSLAVSSHVREYIAEKFSPYTKTLFSVYMTELIHRGCMSANN -QRTKISVKDVFLNEYEITQKGVSEDRDLESIWFPGHVNLKEYINQVYLPFYFNAKGLHNKHHVMIDLAKT -VLEIELEQREQLPNPWGKDFQKQSVNLEILIYSIAKMLNNDTSKHNHLRSRIENRNNFKRSLASISTFTS -SKSCIKVGDFSEHKTKTVKRLKKVQEKDAKKTRIANTEFVAEEDRDLEIAHSTYLDLIKSVPEYTDYIST -KVFDRLYEKFKTGEFEDKPAIEIIMDVMKEHKDFKFCFFNKGQKTAKDREIFVGELEAKLCLYCVERIAK -ERCKLNPEEMISEPGDGKLKKLEINSESELRYLIEMTRREMSKEEEFIETLTKEPKGIKVEINADMSKWS -AQDVFFKYFWLIVLDPILYPYEKQRILYFFCNYMDKELILPDEMMCSLLDQKAERENDIIRQMTNNFHTN -TVNIRRNWLQGNLNYTSSYIHSCSMMVFRDIVKDATELLEGNCNVNSMVHSDDNQTSVIIIQDKISNDCI -LHYICDLFEACCLTFGNQANMKKTYITNNIKEFVSLFNIYGEPFSVYGRFLLPAVGDCAYIGPYEDMASR -LSATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQVNDPTRIFYCERDELPIELCGILKADLSTIAL -IGLEAGNISFLTNLLRKMSPPQLIKESVQSQCNNIEFWDLTKLTKMEIIKLKILRYIVLDTDITGDNTMG -ETSDMRSRSIITPRKFTTLSSLSRLVSYNDYQAITQSKEEFDNLLEDMLEHQELLVTKGECPGDFIKTIL -FRYNSKKFKESLSIQSPTQLFVEQILFSNKPIIDYSGIRDKYVGLLDMPQVQESETIIGKKTIPEAFETL -NKDLEKFELDVDDIKLVYSFCILNDPLNTTACNAILLSQVQSLMDRTSMSAVTMPEFRNMKLIRYSPALV -LRAYLHGTYTLGGATEEGMKRDLYHLEEFINQTGILQKVEERIRDHEARIAERDLLFEIRERTKFLQTCY -DYIKSTEHRVKVFILPSKAYTAFDFCATIHGNLIKDKGWYSVHYLKQIVSGTAKAIINQSPASEQINMDE -CFKLISHFSDTFIEPSSRLSFAKRIINEYTYKNIPVKKLYEMLIKDLNKRQHFMPLLYHMNELKQSDLDK -FDANKTNERVSWNDWQVNRDMSTGTIDLTIKGYMRSIRIKGEDDRLEIAELQLVIGDNTSVESHGRKLLN -TRHNLKLERMQTHKFLEQGMYYICWQRRNKYTYTYQILLAEIIENRNRQPVSLISGKANLLNPVCPVIVS -RAPSMEKIRLTALRYMNPEVELSKLKITQNEFATTRRCHFSKMPFFYGSEFTVGNININKLMSTPSLLNV -NYASLCQTPLITLAQIFQCDGQTEEVDEFEFLSDEALEEIDTAPVNAIPFFNVSFPTRSKKGYTYKQALQ -QALSTGLDEMEREFDFTGQGYFSPKNIGVISFMIGLVNRLNTNEWSTVLVKCIHMSFFNNKKDRVFHLFK -IPKVFIKDPIGEIVDWNKAREFLIGIKPKDETNHWGQMFNHFKQKCLNAIDLEIKMEGTSWGDMLDMIDE -FKDEEMFHFE - ->YP_009507866.1 RNA-dependent RNA polymerase [Bimiti virus] -MAHQLGEIVRQFAARVRTCNNPELGRDLLSDITVARHNYFAQEFCYSIGIEYRNDVPALDIVMEMVPDFN -PAQIRVPNITPDNYYRDGNKIYIIDFKVSVSDESGNHTFKKYNTLFGDIFDQLGVEYEVVIIRMDPSSMH -LHISSDNFANIFPNVILNLDFSWYFRLKDELYERFRDNEEFMELVAHGEFTPTIPWVNEETAELFDHPVF -VDFMNSMGPEARNDFFQALNYNAFQADKWNDLLHMFIRKYSMKYKDFLKEMSRKVFMADEDYNKPSRLEI -QKGWAEMIERVRDTRTMVTDIHKQKPSIHFIWSPHDSTKPQDNNSKILELSKALQKIKDTDPMSTAFKYI -GKLMDFSSDINAYEQFCSKLKRDARSTPRQKSAPIDPIKIGPCTALWEQQFKMDTDVIPKEIRLRFLKEF -CGIGNHKQFKNRMLDDLDLEKPQILDFSDLNIQRNANLMFQDTKSMMSKTSGLQKIGNVLEEFRDKIEGA -NEKTWSHIEYIAQSRFWQAVNDFSVIVKNILSVSQYNKHNTFRVVASANNRFFGLVYPSASIQSKKSTVV -FSTVCLHKDQRDVLKCGALYKTYKIGDQYISISKAIRLDKERCQRLVTAPGIFMLTTLLLKGDTEIDLDE -IMAFSFFTSLSITKSMLSLTEPSRYMIMNSLAVSSHVREYIAEKFSPYTKTLFSVYMTELIHKGCMSANN -QRTKISVKDVFLNEYEITQKGVSEDRDLESIWFPGHVNLKEYINQVYLPFYFNAKGLHNKHHVMIDLAKT -VLEIELEQREQLPNPWGKDFQKQSVNLEILIYSIAKMLNNDTSKHNHLRSRVENRNNFKRSLASISTFTS -SKSCIKVGDFSEHKTKTVKRLRKIQEKDAKKTRIANTEFVAEEDRDLEIAHGTYLDLVKSVPEYTDYIST -KVFDRLYEKFKTGEFEDKPTIEIIMDVMKEHKNFKFCFFNKGQKTAKDREIFVGELEAKLCLYCVERIAK -ERCKLNPEEMISEPGDGKLKKLEINSESELRYLIEMTRREMSKEEEFIETFTKEPKGVKIEINADMSKWS -AQDVFFKYFWLIVLDPILYPYEKQRILYFFCNYMDKELILPDEMMCSLLDQKAERENDIIRQMTNNFHTN -TVNIRRNWLQGNLNYTSSYIHSCSMMVFRDIVKDATELLEGNCNVNSMVHSDDNQTSVIIIQDKLSNDCI -LHYICDLFEACCLTFGNQANMKKTYITNNIKEFVSLFNIYGEPFSVFGRFLLPAVGDCAYIGPYEDMASR -LSATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQVNDPTRIFYCDRDELPIELCGILKADLSTIAL -IGLEAGNVSFLTSLLRKMSPPQLIKESVQSQCNNIEFWDLTKLTRMEIIKLKILRYIVLDTDITGDNTMG -ETSDMRSRSIITPRKFTTLSSLSRLVSYNDYQEIAQSKEEFDNLLEDMLKHQELLVTKGECPEDFIKTIL -FRYNSKKFKESLSIQSPTQLFVEQILFSNKPIIDYSGIRDKYIGLLDMPQVQESDTIIGKKTIPEAFETL -SKDLDNFELDVDDVKLVYSFCILNDPLNTTACNAILLSQVQSLMDRTSMSAVTMPEFRNMKLIRYSPALV -LRAYLHGTYNLGGATEEGMKRDLYHLEEFINQTGILQKVEDRIREHETRIAERDLLFEIRERTKFLQTCY -DYIKSTEHRVKVFILPCKAYTAFDFCATIHGNLIKDKGWYSVHYLKQIVSGTAKAIVSQNPASEQINMDE -CFKLLAHFSDTFIESSSRLKFARRIIDEYTYKNIPVKKLYDMLIKDPNKRQHFMPLLYHLNELKQSDLDK -FDANKTNERVSWNDWQVNRDMSTGIIDLTIKGYMRSIRIKGEDDRLEIAELQLVIGDNTSVESHGRKLLN -TRHNLKLERMQIHKFLEQGMYYICWQRRNKYTYTYQILLAEIIENRNRQPVSLISGRANLLNPVCPVIVS -RSPSMEKIRLTALRYLNPEVELSKLKITQNEFATTRRCHFSKMPFFYGSEFTVGNININKLMSTPSLLNV -NYASLCQTPLITLAQIFQCDGQTEEEDEFEFLSDETLEEIDTAPVNAIPFFNVSFPTRSKKGYTYKQALQ -QALSAGLEEMEKEFDFTGQGYFSPKNIGVISFMIGLVNRLNTNEWSTVLVKCIHMSFFNNKKDRVFHLFK -IPKVFIKDPIGEIIDWNKAREFLIGIKPKDETNHWGQMFNHFKQKCLNAIDLEIKMEGTSWGDMLDMIDE -FKDEEMFHFE - ->YP_009507855.1 RNA-dependent RNA polymerase [Herbert virus strain F23/CI/2004] -MENYEIIKKKFNERSNNGFQNAEIYNSLIKCRHDIFGEQICSALDIPIRNDVDFEVIIEDLLNKYDFRLE -KYFKVTPDNYKIEDNILLIIDYKVSRSTMNIEKTLIKYNNAFNWVPKLLPIDFKTIVINLNPDTLMIWSN -QMEFLDQYRFEINLEEIKSINDMLEVLEIQNSDDDIFMRNRIGDSIQVTEDWFDVDDSVVDQTLKNDVTF -FDFESSLDKDILGIYTKSLNSNVGMSGIEMQEIYKETIKKTQENANKTKKKMLGNLSDLEKLIPNKDNID -SGWRILESKLLETKSLSNNLSDSKPTVHLLVSTIDIVDPDLEMNNFKKCCLFGRLIKLLDWSKVIGYKNN -LIRNDHFQEFFKILGEDMDMAGYETSYNSMVQEFKSNRTGFSNNTKYQKKSQSKSVSPNLPGIMVNDMQF -LLRNFPNRKLFTSFCGIDISKSNDFKKGLVPEQTKPFSLSPFDESLKEQVNEIFNNIKLAQISPTQEFSK -TVLKDYINKTRDIDQDNYMLYENIIKTKGYKICTDMSILIKNALSVANFQNYKTYRLVFSANKSSFLILL -PSMSIKYAQSSICFISCCFVKKGDSIEYYAGCDRYRIPVTGTEFEMLISNPIRLNKERAKRLVEAPFLMF -LISSSFVTTGCDLDSIINYSFYSSLNITKSLMTLTEPARYILMGSSAKVSDVKSYIGEKFEPSLKTAFSI -WIYNKIKDASYVANEKLKGIVCKDVFFDEDKVKGRGVKSIKNLPSIYFKGDLDLKGYLNEVFIPFYINSK -GLHEFHHNIIDLTKVPCQIEIETKKDMKEFWKESDSQYCNLPVFLNYSAKYYQRLVKQGARYRNNVETSN -MFKEPIYKIPTMTSSKSCVQVGDFFDVKIHSTDKKVQLALKTKMPNTRSYITIDYDPEILDDMVLYIPID -KVFSSKLFSSKMVIMDTIKTSKQFKTWIQGSNIGDTFRLSGKNGYYIFCICWDNLKDKFEWDSPSVGFFV -NAMKLYNDSSKMQGITNIFPSGFTKNVNQLEYMIETGIMKANLKVYNNSYYLFEQGLRDYSHTVRENMEI -TPKDSDNSNLLKTGLYQHHDYKAIRSNIKNYTDYITKRVFDRLYDKIGEFQNKEFIKIAGECILSHETYY -STLFCKDQRTAKDREIYEMELEGKILLYVIERLFKTYSREDMNEMISRPGDVKVLDIENSRNRLFSFATQ -FQSNERYKYNVYMNEINADMSKWSAKDITAKYLFLIALNPSLRSKEKKVISLGLCRYMRKRLILPDSAMG -ILLDQYSKRIDDPIIEMTNNLSTNCVTISQNWFQGNLNYMSSFCHSIAMDFYKDLNFDFAKTMGIDNVLT -VSLVHSDDNQTGVCMIEKIDTLLETEKTTNIYNQSQDKKIATAIFKLLELSMRQFGFILNTKKTYISSII -KEFISMHNLNGEPFSVYHRFLFPVVGACSFLGPYEDLTARLSGIQTAIRHGCPPSLAICSIGCATEMTYG -TYSMLPGMKNDPGHIFGYDRFLLPLEIGGYPFFDLSNFVELGTVANDIRLLRPMIAKLVNTIKYKTIEEQ -LLNFNSSDIKLLNEYEKFIIKFYKEFCITDLFDPSGSIGETYEMSKRSLLTPRKFTTLKLIKKLTSYKHF -TSMSQAEKLQNYEFMLSNKELLVSKPLDFEAFKQVILFRYNSRKFKESLSIQSPVQLFLEQIISSGSKCI -DREFLNDIDNEPNIDLAEPNRNQLLGRLTFVEAFQRIRSIIQRKEISFDDMMVVYKSKITNDPLTSTCYN -IEYAVEELSHSAKESVYSQKLQEYRQVRSFLSTPHSIIMSLLKYIESGIEPSEDTVLYSDMMELLKYFIE -SGLQAYLRDYNYKHMNDQNLYVKEQLSIWTEVLQILYLYTTQINKRNMSILLPKRTYSLTEFLITLKGAL -QRDKFLVNYKLTFNPLKFKAKGITKLEQLDTINTAESFFRSMTTFMESYIKPEFRANLILQIIKNCSLGS -TTISELYDLVRINCPHQFMALLNLLGDLEETTVKSYVSNIKSVSQAWLKEQSFRQGMIGEFDVIYYNLRS -SLQVKGDNKKFTDMIFTYQRGLDLNQLIYKDLDIMLNKLRYDMKLDQIEFDPPISMDDNSIYFVKAWNKG -IAKYTAKYYKDILQTDLMLCPINIHISNETNYEINDIMNSNINNMSCRYFKINDALKSYQTSRRGPISVL -LNLKGDIDALGTFSLSSYIRFIASFQGTQKPSFASLLNFIDLFKCRDTNKTLVEAPKEIRTEIFCFDDAN -LDIEFNFDVIFKMKVKTPYSSLTTLMDIVNNKEKLVEYMMTKRDDKKTVRDYQKSLAILIRQFQVIQNMK -MKLGVSLEADSIFVKMLHLYSLDTPAHKGFHDINSLPEKFFKKDKLFELDLEFVEKNVMIKLDLPNNFLE -KKLEIEILEVFKKLKTEYRNFVSKEFPDLSWADEVEKSGFSFF - ->YP_009362071.1 RNA-dependent RNA polymerase [Madrid virus] -MAILLEDVIRQYSARIRNCNNPEIGRDILAEITMTRHNYFAQRFCEAIGIEYRNDVPAADIVLEMMPGLD -LTRIRIPNITPDNYYRDGTKIYIIDFKVSVSDESAQHTYKKYDSLFGDVFNQLNIEYEVVIIRMNPSDMH -LHISSDNFSALFPNITLNVTFDWYFRLRDDLFQQFRDNEEFMELIAHGEFTPTIPWVNETTPELFDHEVF -HDFIASMPLENREDFYYALNHNAFQSDKWNDLLHVIMRKYGDKYRDFIKANARRIFLTDSNYNRPTTEAI -LQGWSEMIERVHDQREVIDDLSKQKPSIHFIWGPNSRNESNENNTKIIRLSKKLQQIKEKDSFSLAFKNI -GLLMDFSEDIDKYEAFCAKLKSDARSSLKPKSKKIDPIKIGNSTILWEQQFKMDTDTIPKEIRLKFLKEF -CGIGNHKQFKDRMMEDLDLGKPKILNFENQDIKNQAYAMMQNTAYFMSKDSGLAKVGNILEEFKDKIINA -NEDTWNLISEIAKTRYWQSINDFSILIKNILAVSQYNKHNTFRIVCTANNNFFGIVYPSTSIQSKRSTIV -FSTIVLHESENEILKCGALYKTYRMKNGFLSISKAIRLDKERCQRLVISPGLFMLTALLFKGECEVNLTD -VMNFAFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFSVYMTDLIKRGCMSAND -QRQQISIKDVFLNEFEITQKGVTNDRNLQSIWFPGKVNLKEYINQIYMPFYFNAKGLHNKHHVMIDLAKT -VLEIELDQRVNLPTPWGTNLMKQSVNLDVLVFSIAKMLNLDTSRHNHLRSRVENRNNFKRSLASISTFTS -SKSCIKVGDFKEHKERNALHMKKLQGKEAKKTRIANTEFVSDNDRDLEIVHSTYLDLRKSVPNYTDYMST -KVFDRLYERFKNHDFEDKPAIEVIMDVMRNHKDFKFCFFNKGQKTAKDREIFVGELEAKLCLYGVERIAK -ERCKLNPDEMISEPGDGKLKKLEINGESEIRYLIDATKNLSREQSQIDELLDTPKGIKLEINADMSKWSA -QDVFYKYFWLIALDPILYPFEKQRIIYFFCNYMNKELILPDEMMCSLLDQKAQRDNDLIRQMTNGFTTNT -VNIRRNWLQGNLNYTSSYIHSCSMMVFKDILKEVSALLEGRCNVNSMVHSDDNQTSVIMVQDKLNNDIIT -KFVCDTFERCCLSFGNQANMKKTYITNHIKEFVSLFNIYGEPYSVYGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQINDPTKIFHFERKELPIELCGLLQADLSTIALV -GLESGNISFLTSLLKKMSPPQLVKESVQAQCQNIDQWDLENLSESEMMRLKILRYVVLDSEVREDSTMGE -TSDMRSRSLITPRKFTTPASLERLVSYKDFQEILADPLRTEDLLDTMINNPELLVTKGENSEEFMTTILY -RYNSKKFKESLSIQSPTQLFIEQILFANKPVIDYTGIQDRYLSILDVPKIQENEGIIGRKTIPETFVAIK -RDLSLMTIDNKDIKLVYSFCILNDPLNTTACNAMLLSQVQSLMDRTSLSAVTMPEFRNMKLIRYSPALVL -RSYIHNNLTIGGANENAMKRDLFHLQEFINQTKIRERLERRIADNEEIKGERDRMFEIKEITKFYQACYD -YIKSTEHRVKVFILPMKTYTAFDFCATIHGNLMKDKGWFAVHYLKQIISGTAKASVSQAPASEMIVVGEC -FRLIAHFCDTFIDVGSRLQFLYNIIDNFSYKNIPVKALLDTMMRTNKRQHFLPILHWLNELTQHDIDKYD -AYKANERVIWNDWQVNRDMNTGNIDLTIKGYQRTIRIIGEDDFLRIGELEVLKDDTTPIETHGRKLLNAR -HGLKFEKMQRYKVIEPNTYYICWQNRTRFSYTYQLLLSNIIEARNAQTVSVTGGKFNELVPVCPVIISKV -DSDEKMNLRQIKFLNMDCSLTRLQLNQNEFAIVKRCHFSKMVFFNGPEMIVGNMNITNLIQTPSLLTTNY -ISLSQMPMMTLTRIFNCNGEDSEVDEFEFLSDEILEETETATINAQPMFNIQYETKSKKGFTYKKALQEA -LSRGIQEIEENFDFCKDGFYSPKNIAIIALLVNVIDRLHTNEWSTIIRKSFHMCFFNNGKDRLFHMMNIP -KTFIKNPIGEIPNWEKIRTFIVQLETAFPGNNWHQMFEHFKEKCILLIDREIKMEGMSWGEMLDELDDYK -DTEMFHFS - ->YP_009362068.1 RNA-dependent RNA polymerase [Marituba virus] -MAILLGDVIRQYTARIRACTNPEVGRDILAEITMTRHNYFAQQFCEAINIEYRNDVPAADIILEMLPALD -LTTIKVPNITPDNYYRDGTKIYIIDFKVSVSDESALHTYKKYDTLLGDVFNQLNVDYEVVIIRMNPSDMH -LHISSDNFANLFPNIVLNLDFTWYFRLRDDLFQQFRDNEEFMELVAHGEFTPTIPWVIDDTPELYTHPVF -LEFVGSMPDDTTEDFFYALNHNAFQADKWNDLLHIMMRKYGTYYDKFIRDQAKNVFLLDNNYNKPSKEEI -LKGWSEMVERIRDQRNVIDDCSKQKPSIHFIWSPNDKNSSNENNTKLIKLAKKLQSIKDTDTFSLAFKNI -GHLMDFSEDVEKYETFCLKLKAEARSSLKPKSSKVTPITIGKCTVLWEQQFKLDTEIIPKEVRIRFLKEF -CGIGNHKQFKDRMMDDLDLGKPKILNFENPEIKNQAHIMMKNTQCFMSKESGLKKIGNVLEEFEYKIKDA -NPKTWETIGEVANSRYWQAINDFSVLIKNILSVSQYNKHNTFRVVCTANNNFFGILYPSASIKSRRSTVV -FSSVCLHENENEILKCGALYQTYKVKGGYLSISKAIRLDKERCQRLVTSPGIFLLTTLLFKSDNDVNLND -VMNFAFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFSVYMTDMIKKGCMSANE -QRQMISIRDVFLNEFEITQKGVSNEKNLQSIWFPGKISLKEYINQIYMPFYFNAKGLHNKHHVMIDLAKT -VLEIELDQRMNIPEPWSLDMKKQSANLYLLIFSISKMLNMDTSRHNHLRSRVENRNNFKRSLTSISTFTS -SKSCIKVGNFKDLKEKTAKHIKKINEKDARKTRIANTEFVDESERDFEITKSTYMDLIRCVPEYTDYIST -KVFDRLYEKYKLEEIEDKPAIEIIMDTMKNHKDFKFCFFNKGQKTAKDREIFVGEFEAKLCLYGVERIAK -ERCKLNPEEMISEPGDGKLKKLEINAESEIRYLIDATRNQNAEQSIIDDILDTPKGIKLEINADMSKWSA -QDVFFKYFWLIVLDPILYPAEKQRIIYFFCNYMNKELILPDEMMCSLLDQKAERENDLIKEMTNGFRRNT -VNIRRNWLQGNLNYTSSYIHSCSMMVFKDIMKEVASLLEGRCNVSSMVHSDDNQTSVIMVQDKIDNDIIT -NFVCTAFEQCCLSFGNQANMKKTYITNHIKEFVSLFNIYGEPFSVFGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQINDPTKVFLFDRRELPIELCGILQADLATIALV -GLEAGNISFLTNLLKKMSPPQLVKESVQSQCSNIENWDMDCLSDSEILKLKLLRYVVLDSEISEDSKMGE -TSEMRSRSLITPRKFTTTSSLEKLISYKDFQEIIVNSEKTEELLERILGKPELLVTKGENSTEFMTTILF -RYNSKKFKESLSIQSPTQLFIEQILFANKPVIDYTGIQDRYLSVLDMPKVQSGEGIIGRKTIPETFSAIK -KDLSQLPLEPADVKLIYSFCILNDPLNTTACNALLLSQIQSLLERTSMSAVTMPEFRNMKLIRYSPALVL -RAYIHGDLSVGGANEDAMRRDIFHLNEFIIQTRIRERLDQRIIENQEIKGERDRLFEIKELTKFYQACYD -YIKSTEHKIKVFILPSKAYTAFDFCATIHGNLMRDDGWFSVHYLKQIVSGTAKANISIAPASEMVIVEEC -FKLLSHFCDTFIDTSSRLTFALNVIENFSYKNIPVKELLNLMKHSFRRQQFIPLLYWIGELSQEDLDKYD -AFKTSERVSWNDWQINRTLNTGTVDLTIKGYQRTLRIVGEDDFLQIAELEILKGDNTSIETHGRKLLNCK -HNLRFEKMRKYQIMEPNTYYICWQMRTRFAYTYQMLLSNIIEARNSQTVSVTGGKFNELIPVCPVIVGRI -DSMERINLRQVKYLNMNCSLSRLQLTQKEFVTVKRSHFSKMIFFQGPNLIVGNMNLTNLIRTPTLLTTNY -PSLSQVPMMTLTRIFHCIGDEDQTDEFEFLSDELLEDIETTTVNTVPIFNAQYEVKSKKGYTYKQALQDA -LRRGIEEIENTLDFCGDGFYSPKNLAIIALLTNLIDRLQTNEWSTILQTAIHMSFFHNGKDRMYHLMKIP -KAFVKNPIGEILNWEKIRTFVIQLNTRNPGNHWDQMFNHFREKTLILIDREIKMEGMSWGEMLDELDDYK -DTEMFHFE - ->YP_009362063.1 RNA-dependent RNA polymerase [Caraparu virus] -MAILLEDVIRQYSARIRNCNNPEIGRDILAEITMTRHNYFAQKFCEAIGIEYRNDVPAADIVLEMMPGLD -LTRIRIPNITPDNYYRDGTKIYIIDFKVSVSDESAQHTYKKYDTLFGDVFNQLNIEYEVVIIRMNPSDMH -LHISSDNFAALFPNITLNVTFDWYFRLRDDLFHQFRDNEEFMELIAHGEFTPTIPWVNENTPELFDHEVF -QDFIASMPLENREDFYYALNHNAFQSDKWNDLLHVIMRKYGDRYHDFVKANARRIFLTDDKYNRPTKEAI -LQGWKEMIERVQEQREIIDDLSKQKPSIHFIWGPNSKNESNENNTKIIRLSKNLQSIKEKDSFSVAFKNI -GLLMDFSEDIDKYEAFCAKLKADARSSLKPKSKKIDPIKIGPSTILWEQQFKMDTDIIPKEIRLKFLKEF -CGIGNHKQFKDRMMEDLDLDKPKILNFENQDIKNQAYAMMQNTSYFMSKPSNLVKVGNVLEEFKDKIVNA -NEETWATIEEIAKTRYWQSINDFSVLIKNILAVSQYNKHNTFRIVCTANNNFFGLVYPSTSIQSKRSTIV -FSTIVLHDNESDVLKCGALYKTYRIKNGYLSISKAIRLDKERCQRLVISPGLFMLTSLLFKGDCDVSLND -VMNFAFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFAVYMTDLIKRGCMAAND -QRQQISIKDVFLNEFEITQKGVTNDRNLQSIWFPGKVNLKEYINQIYMPFYFNAKGLHNKHHVMIDLAKT -VLEIELDQRVNLPTPWGVDLKKQSVNLDVLIFSIAKMLNLDTSRHNHLRSRVENRNNFKRSLASISTFTS -SKSCIKVGDFKEFKERNALHMKKLQGKEAKKTRIANTEFVSDNDRDLEIVHSTYLDLRKSVPNYTDYMST -KVFDRLYERFKNHDFEDKPAIEIIMDVMRCHTDFKFCFFNKGQKTAKDREIFVGELEAKLCLYGVERIAK -ERCKLNPDEMISEPGDGKLKKLEINGESEIRYLIDATRNQTREQSKVDDLLDTPKGIKLEINADMSKWSA -QDVFYKYFWLIALDPILYPFEKQRIIFFFCNYMNKELILPDEMMCSLLDQKAQRENDLIRQMTNGFTTNT -VNIRRNWLQGNLNYTSSYIHSCSMMVFKDILKEVSALLEGRCNVNSMVHSDDNQTSVIMVQDKLHNDIIT -NFVCNTFERCCLTFGNQANMKKTYITNHIKEFVSLFNIYGEPYSVYGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQINDPTKVFHFERRELPIELCGLLQADLSTIALV -GLESGNISFLTSLLKRMSPPQLVKESVQAQCQNIESWDLNSLSESEIMKLKILRYVVLDSEVREDSTMGE -TSDMRSRSLITPRKFTTPASLERLVSYKDFQEILADPTRTEDLLETMINNPELLVTKGENSEEFMTTILY -RYNSKKFKESLSIQSPTQLFIEQILFANKPVIDYTGIQDRYLSILDVPRVQENEGIIGRKTIPETFVAIK -RDLSLMNLDHKDIKLVYSFCILNDPLNTTACNAILLSQVQSLMDRSSLSAVTMPEFRNMKLIRYSPALVL -RAYIHNNLTIAGANENAMRRDLFHLQEFINQTKIKERLDKRIQDNEEIKGERDRMFEIKETTKFYQACYD -YIKSTEHRVKVFILPMKAYTAFDFCATIHGNLMKDKGWFAVHYLKQIVSGTAKASVSQAPASEMIIVGEC -FRLIAHFCDTFIDVGSRLQFLYNIIDNFTYKNIPVKNLLEIMMRTNKRQHFLPILHWLEELTQHDIDKYD -AYKANERVVWNDWQVNRDMNTGNIDLTIKGYQRTLRVIGEDDTLKIGELEILKGDTTPIETHGRKLLNSK -HGLKFEKMQRYKIIEPNTYYICWQNRTRFSYTYQLLLSNIIEARNSQTVSVTGGKFNELVPVCPVIVSRI -DSDDKMNLRQIKYLNMDCSLTRLQLNQNEFAVVKRCHFSKMVFFNGPEMVVGNINITNLIQTPSLLTTNY -PSLSQMPMMTLTRIFNCNGEEKEVDEFEFLSDEILEETETAVINAQPMFNIQYETKSKKGYTYKRALQEA -LSRGIQEIEHNFDFCKDGFYSPKNIAIIALLVNVIDRLHTNEWSSIIRKSFHMCFFNNGKDKLFHMMNIP -KTFIKNPIGEVPNWEKIRTFIIQLETAYPGNNWHQMFEHFKEKCILLIDREIKMEGMSWGEMLDELDDYK -DTEMFHFN - ->YP_009362054.1 RNA-dependent RNA polymerase [Capim virus] -MAHLIRELVRQYRARIRACTQPELGRDILTEITVARHNYFAQEFCESTNIPYRNDVPALEIVQAIRPDFD -PMTRRIPDLTPDNYYIDGEKMYIIDFKVSVSDESSIHTYRRYTELLGDIFEFIGVEMEVVIIRMDPSNMQ -LHISSDNFLQLFPNIILNLDFNWYFQLKEELFERFRDNEDFMELVAHGEFTPTIPWTNEETPELFEHPVF -REFLNSMPERERANFQRALNNNAFQSDKWNDLLHTMMREYGDNYKTFIKDMAKRVFIADENYNKPSRSEI -QKGWNEMISRVRESREMTCDVHKQKPSIHFIWSPHNPEITNENTAKILRLSKGLQQIKERDNMSLAFKSL -GKLMDFSSDVRGYERFCNKLKQDARSTPKKKTQKIEPLVIDDCTILWEQQFKMDTNVIQKDIRLKFLKEF -CGIGNHKQFKDRMLDDLDLNKPTILDFSNIEIQRHANLMFQDTKSLLSKQSGLSKVGNIMDEFEEKITNA -NKDTWETIESVAKTRFWQAINDFSVIIKNILSVSQYNRHNTFRVVCSANNQFFGIVYPSASIQSRKSTIV -FSTIAFHDEAKDVLKCGSLFRTYKIDKGFVSISKAIRLDKERCQRLVTSPGIFLLTTLLFKGQTDIDLNE -IMAFAFFTSLSITKSMLSLTEPSRYMIMNSLAVSSHVREYIAEKFSPYTKTLFSVYMTELIRKGCMSANN -QRTKISVKDVFLNEYEITQKGVSDARDLESIWFPGHVNLKEYINQIYLPFYFNAKGLHNKHHVIIDLAKT -VLEIELEQREQLPNPWGSEFKKQSVNLDILIYAISKMLKNDTSKHNHLRSRVENRNNFKRSLASISTFTS -SKSCIKIGDFSEHKSDIVKRMKKIQNKEAKRTRIANTEFVADDERDLEISHSTYLDLLKSIPNYTDYIST -KVFDRLYEMFKIGEYDDRPAVQIIMEVMKNHKDFKFCFFNKGQKTAKDREIFVGELEAKLCLYCVERISK -ERCKLNPEEMISEPGDGKLKKLEINSESELRYLIEMTRRELSKEDKFLGELTSEPKGIKIEINADMSKWS -AQDVFFKYFWLIALDPILYPNEKQRILYFFCNYMNKELILPDELMCSLLDQKAERENDIIRQMTNNFHTN -TVNIRRNWLQGNLNYTSSYIHSCSMMVFRDIVKECSDLLEGNCNVNSMVHSDDNQTSVIMIQDKLHNDCI -LNYICSLFEACCLTFGNQANMKKTYITNNIKEFVSLFNIYGEPFSVYGRFLLPAVGDCAYIGPYEDMASR -LSATQTAIKHGCPPSLAWVSIALNHWITFSTYNMLPGQTNDPTKVFDCARSDLPIELCGLLKADLSTIAL -VGLESGNISFLTNLLRKMSPPQLIKEGVQSQCNNIQNWDLTKLTNMEVLKLKILRYIVLDTDITDDNTMG -ETSEMKSRSIITPRKFTTLSSLTKLVSYNDYQNVVSNANLLDELLENMLENQELLVTKGESSEDFRNTIL -FRYNSKKFKESLSIQSPTQLFVEQILFSNKPIIDYSGIREKYVGLVDIPNNQEDEGIMGKKTIPEALEIL -RNDLNRMILTLDDIKLVYSFCILNDPLNTTACNAILLSQIQSLMDRTSMSAVTMPEFRNMRLIKYSPALV -LRAYLHGDMSIGGAVEEDMRRDLYHLEEFINQTGILRKVEARIQAHQIENGARDMLFEIRERTKFLQTCY -DYIKSTEHRVKVFILPCKAYTAFDFCATIHGNLIKDKGWYSVHYLKQIISGTAKAIVNQSPASEQINMDE -CFRLVSHFADTFIEPSSRLYFADKIIKNYRYKNIPVIDLYNQLKRDANKRQHFIPLLYYMKELKQSDLDR -FDANKTYEKVSWNNWQVNRDMSTGTIDLTIKGANRSIRILGEDDQLKIAEMQLIEGDNTSVESHARRLLN -ARHNLQFEKMKEYTYLEPGMYYICWQKKSKFTFNYQMLLCEIIENRNKQPATLLASRINLLHPVCPAIVS -RIPSPEKIRITALKYLNQECELSRLQLLKNEFATTRRCHFSKMTHFAGVEFVVGNIDVNRLMGTPSLLSV -NYPSLSQTPLITISQIFRCNGVETEVDEFEFLSDEILEDTDTAPVNAIPFFHVSYSTKSKQGYTYKQALQ -HALRMGLEEMENEFDFTGQNLGFFSPKNIGIISFLVGLVNRLNTNEWSTVMLKCIHMSFFNNHKDRMFHM -FKIPKIFIQDPIGEKINWVKAKEFLNGVMPKDEMNHWGQMFMHFKRKCFEAIDLEMKMEGSSWGEMLDML -DDYKDEGMFSFG - ->YP_009362043.1 RNA-dependent RNA polymerase [Oriboca virus] -MAILLGDVIRQYTARIRACASPEIGRDILAEITMTRHNYFAQQFCEAINIEYRNDVPAADIILEMNPALD -LRTIKIPNVTPDNYYRDGAKIYIIDFKVSVSDESAMHTYKKYDTLLGDVFNQLGIEYEVVIIRMNPSDMH -LHISSDNFSNLFPNIVLNLDFTWYFRLRDELFQRYRDNEEFMELVAHGEFTPTIPWLVEDTPELYTHPVF -LEFIGSMPDGTIDDFYYALNHNAFQSDKWNDLLHIMMKKYGEYYTHFVKEQARNIFITDENYNKPSKDEI -KKGWAEMVERIKNQRDVTDDCSKQKPSIHFIWSPNDANASNENNTKLIKLAKKLQSIKESDTFSLAFKNI -GYLMDFSEDVDKYENFCLKLKAEARSNIKPKSNKIIPITIGKCTVLWEQQFKFDTEVIPKEVRIKFLKEF -CGIGNHKQFKDRMLDDLDLNKPKILNFENPEIKDQAYVMMRNTQCFMSKESGLQKVGNILEEFEYKINDA -NPKTWETILEIAKSRYWQGINDFSVLIKNILSVSQYNKHNTFRVVCTANNNFFGILYPSASIKSKKSTVV -FSTVSLHESENDVLKCGALYRTYKVKGGYLSVSKAIRLDKERCQRLVTSPGIFLLTSLLFKSDNDVSLND -VMNFAFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFSVYMTDMIKRGCMSAND -QRQLISIRDVFLNEFEITQKGVSSEKNLQSIWFPGKVSLKEYINQIYMPFYFNAKGLHNKHHVMIDLAKT -VLEIELDQRMNVPEPWSFDMKKQSANLYLLIFSVAKMLNMDTSRHNHLRSRVENRNNFKRSLTSISTFTS -SKSCIKVGDFRSLKEKTAKHIKKINEKDAKKTRIANTEFVEESDRDFEVTKSTYLDLVKCVPRYTDYIST -KVFDRLYEKYKLEEIEDKPAIEVIMDTMKSHKDFKFCFFNKGQKTAKDREIFVGEFEAKLCLYGVERIAK -ERCKLNPEEMISEPGDGKLKKLEINAESEIRYLIDATRSKNAEQSIIDDILETPKGIKLEINADMSKWSA -QDVFFKYFWLIVLDPILYPAEKQRILYFFCNYMNKELILPDEMMCSLLDQKAERENDLIREMTNGFRKNT -VNIRRNWLQGNLNYTSSYIHSCSMMVFKDIMKEVASLLEGRCNVCSMVHSDDNQTSVIMVQDKLNNDIIV -NFVCSTFERCCLSFGNQANMKKTYITNHIKEFVSLFNIYGEPFSVFGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQINDPTKIFLFDRRELPIELCGILQADLATIALV -GLEAGNISFLTNLLKKMSPPQLVKESVQTQCNNIEQWDLDCLSDSEILKLKLLRYVVLDSEITEDSKMGE -TSEMRSRSLITPRKFTTTSSLEKLISYKDFQEIIVNTERTEELLESILAQPELLVTKGENSREFMTTILF -RYNSKKFKESLSIQSPTQLFIEQILFANKPVIDYTGIQDRYLSVLDMPRVQNSDGIIGRKTIPETFAAVK -KDLNQMPLDQTDIKLIYSFCILNDPLNTTACNALLLSQVQSLLERTSMSAVTMPEFRNMKLIRYSPALVL -RAYIHNNLSVGGANEDAMRRDLYHLNEFIVQTRIKERLDQRIAENQEIKGERDRLFEIKEITKFYQACYD -YIKSTEHRIKVFILPSKAYTAFDFCATIHGNLIRDDGWYSVHYLKQIVSGTAKANVSLAPASEMVIVEEC -FKLLSHFCDTFIDVNSRLSFVINVIENFSYKNMPVKELLNLMKHSFKRQQFIPLLYWLGELQQDDLDKYD -AFKTSERVSWNDWQINRTLNTGTIDLTIKGYQRTLRIMGEDDFLQIAELEILKGDNTSIETHGRKLLNCK -HNLRFEKMRKYPIMEPNTYYICWQMRSRFAVTYQMLLSNIIEARNSQTVSVTGGKFNELIPVCPVIIGRI -ESIERINMRQVKYLNMECSLSRLQLNQKEFVTTKRSHFSKMVFFQGPNLIVGNLNLTNLIKTPTLLTTNY -PSLSQVPMMTLTRIFHCIGDEDQTDEFEFLSDEILEDIETTAVNTVPIFNAQYEVKSRKGYTYKKALQDA -LRRGIEEVEDTLDFCGDGFYSPKNLAIIALLTSLIDRLQTNEWSTILQTAIHMSFFHNGKDRMYHLMKVP -KAFIKNPIGEVLNWEKIRTFIIQLSTRHPGNHWDQMFNHFKEKILILIDREIKMEGMSWGEMLDELDDYK -DTEMFHFE - ->YP_009300681.1 RNA-dependent RNA polymerase [Shuangao Insect Virus 1] -MFGPGKKCIPMESIEQQKPTCHLLWEPNVQISMGQTNAKKIENFLHYVDLAANSPDIRLEQRSFLNMLVD -NCYLGESFNAYMGEFGDKPNQDIEDKMRAMSQPLLQKKILEEGKTVFNNRYCIDIDETGEIALTLHDKKT -RSYLKKRTEGNKNIASIQELEEAVRNNDWRLLCSQLVCKFVRFTTKSGNDSSYKRVYPNQTFGLSNDARS -REFLAWAGVGKSKHQVEKIDDTFDRETNKVKVLDWGDETIIESCNEQIKTNYRYVTNEYSRLFKQSPLVQ -YMSKIEEADPFLSKLIETACNTVAYSYLNDISDLLRGALAVSETSSSKTIRILCSASNSTYLFLYPNKSI -KNAGSDLCFQTATMTTQDNDRNYRMGCEWFSVKLNNGYQLNFSKPMRLEKDKIKRLQECPFQFLITVCEF -GIDLKSKKFKDVDYYQKIKESMKKDKASIDLLRSQGKIVSALPGKLMEYVDSPHEDMEQLQEIIGFSFHC -AVSVTKSFMTLTEPARYIITGCSALISDVRGYIATKFEPTTKTAFSVYVLNKLKTGAMVSYKSLQNTTKE -DIVIVEGFLQKTGIKDERTIDTLWFTGKVSYMSKLKEIFLPFYLNSKGLHNPVHNLLQLSKVPIELELEA -KQEELYSQPFLVRKKNDSYTPENEKFSLDLKMMVGGAATYLREHRLGARSRNFRERLEKGSGFKEPITTI -ETMTSSKSCVSVNEDEEYYKNIKGKIPSKASKKTIRYLMKRADPSGKFKDVDLSNLVKSKLLDTAKLEEI -NRLGFSIVKNNYNTISNIITNYKDYTTNKVFDELYKVIKKMYNLSGTPDEILAGLKNKEELDIGFITIME -NVFLYTDTLYFTLFPKNQRTAVDREIYEGTLAAKLGLYFIERIYKEYAGDDESEAISMPGESKWMAIGRK -RKTCLDFLVNHCSNQADVEAKEEIGAVELNLDDQLEYPDLLKRDGEWSEVLGRKSRSSSISSQHSDRGSP -KSSKTQSKKGSSTGSKKGKKKKVTKEAENTLKESQSLNATKNTFDVLRNLIWSEDVEQEEMESVEKERQE -KERKKEKVREEMEALKNEARTKPMILKVMNHKILSHGILEINADMSKFSAKDNLYKFMIFVILDPNLYKN -EKYFILKFFCIYLRKRLIIPDQVFGTILDQTTSNDQCVFKKMTNNFETNHIQVSHNWLQGNLNYISSCWH -SMVMDVFKRVFTSAMKHLNTTVLVEPLVHSDDNSTSIAFISYNKDVNTVSLGAFAMDSIRRTLAKGSLIL -NTKKTNVSTFHKEFVSLHDVNSEPVSIYGRFLYPVVGDCSYLGPYEDLSARLSTIQVALKHGCPPGVAHL -GVALGVQMTYRTYSMLPGQMHDPLPALDLQGESRFNIPVELGGYPDLDLWLFGSLGIEAHDLKKLAQIAE -FYDLGGYKISLGWHSFIEDLTEHNSQSIHRPYINGRIQYVKQSLWMDFYIMYMKNYAVGKDIQPDCDTGL -SHDMKQRSVLTMSMFTTESSIKKLESYNDHKSINRSGKSEELFCLLLELKHLLVTKAETAREYIIQILNR -YYSARFLESLSIQNSTQLFLECILYSNKPRVSSKFMDAFSLEENINEDLKTGISGRYTIREALQQFKTKI -DEVSPGLEITFLDFTNVLKHKILTSSVVQTHMNAYMCKLVTGYRTNESKQLVRAPNYNTVKPFNNTAAVI -LRSHALNTTNPLDFKRPVIEEVLKIDLENLDLYMQKTGLKNRHTTIINQLHAQIEMKSNDKHIYRKLVNE -TSKMYQTMYQYISQLNYSSKIFLLFTHRGRITPASFLSLLMTKCESADIRYHFTFSKDVHIEKTKGNITA -GVTDNTGIMREMLSSVAHFLNDHIEETCRWDLFDTMMENWQYRGHVCKELLEKAMDNDEIRKDFMSIAYH -LDKLQPADITAFFTSSKNKSIRWNSAQPIRHGYINNGPYSVTIQQSGVLVTFEKTVRTETSGTSWRPDKV -IIHKPKGVNVQNIEAIVKDCVNTATIKLNGHSWEHDNLLKPRNNMLLVWDRYYENDKRFSIVEPRDYDVM -KSKTYSKPNYKVGLICEVIELDKPLSFSDISSMSDINMDGDIVHFHITNEYSQRVTRVPHGMIKSFSGKD -ILVGSINITELIKCEQLLKSHIYLSAHSLSTDIPNCIGCDEGANDIDITGFTNSPVQVPAIVEASDDFSP -SVVWNVDPYAGMAVKSFRSALMQVIQASKENITKLLKNKNAFDISETRLNKLSFLCYLGSLLGCPLPGFI -HLRKIVHNYCKLNTTDNIYHESLGVPEYYTNPEIFADLSRETFNMALLRSEIVVNSISSLRFDDDHFEEI -TQPSLSWIKHELTERCMGSVQSDWD - ->YP_009028569.1 polymerase [Cat Que virus] -MNPDKIEEYRNRIELCRDPERAQEIWRALLVERHNYFSREFCDSAGWEYRNDVPSEDICSEVLPNDIARR -VRYCTPDNYYIDTNGKIYIIDFKVAIDERSAREAREKYNEIFGEIFNANGIDYEIVIIKHNPTTNTTMID -SDNLRRELGHINLTIDLSWFFELKDFLFGKFKDDERFLEIINQGDFTMTMPWLEEDTPELFEHRKFLEFI -ESMPEEERETFFQALGFRSDDASKWNECLQTIMDQYKSRYNSFIRKSAQEIFNTSGQYPRPTKEAIDEGW -AEMVARVKNERNVTTDMAKQKPSFHFIWSPNSDESNSNIPKILKLSKKLQSITGVGSFVKAFRSLGKLMD -FSGDISGYERFCNKLKIDARSTPKRLDKKIEEFTSGTCTALWEQQFKLDTAVITKEDRIHLMKDYFGIGN -HKSFAKRLNDDLDLDKPTILDFNDPDIIRKCKAQYNNVEEILGKVNRLDKIGNYLDHYSPKIQSASTKMW -DVVFKISKTQFWQCINDYSTLMKNMLAVSQYNKYNTFRVVTCANNNIFGIVMPSSDIKTKKATLVYCVVT -IHSNEEDVAHLGSLYGTFRSSNCYVSISKAFRLDKERCQRIVSSPGLFMMTACLFIGDNNTLHFDNLLNF -SFHTSVSITKAMLSLTEPSRYMMMNSLAMSSHVKEYISEKFAPYTKTAFSVVMTDLIKKGCYNAFNQREK -VQLRDIHMTDYDVTQKGVQKKRDLRSIWFPGKVDLKEYINQIYMPFYFNSKGLHEKHHVLVDLAKTILEI -EKDQRENLPEPWSEKPKKQTVNLDILIYAIAKNLNLDTSRHNFVRSRVENSNNLKRSITTIATFTSSKSC -IKRGDFTEFKTRIQKKVDKNIKKEVKKVTIANPSLIEEITNDMEIHHATYLDIKKAVPEYIDFMSTKVFD -RLYEILKENKYNTERTIDIIFKVMHEHTEFVFAFFNKGQKTAKDREIFLGEFEAKLCLYLIERIAKERCK -LNPDEMISEPGDSKLRILEKQAEDEIRFLAKTIKNVNKELLDKLKIGAWGGDFSLDDLGHDKAHGMKLEI -NADMSKWSAQDVIYKYFWLIAMDPILYPNEKKRILYFLCNYMEKKLLLPDELMQIILDQRVPRYNDIIGQ -MTEGYHRNWVVIERNWLQGNMNYTSSYLHSCSMSVFKDVMRECANLLEGEVLVNSLVHSDDNQTSICMVQ -NKVKDDNVIEFTIKLFEFICLTFGNQANMKKTYVTNFIKEFVSLFNIHGEPFSVYGRFLLTAVGDCAYLG -PYEDLASRLSATQTAIKHGCPASLAWISIALNHWITHTTYNMLPNQINDPLPFFPTTNREEIPIEMCGLL -NSELQTIALVGLEAGNLSYLTSLLRRMSPITHQRESVQSQCSQIKTWDLKLLTSMDLIKLKSLRYISLDS -EVTTDDGMGETSEMRSRSLLTPRKFTTSGSLNRLISYNDFQRVISDEAEKERMFEFFVRHPELLVTKGET -AQEYMNSVVFRYNSKKFKESLSIQNPSQLFIEQILFSSKPVIDYTSIHDKIFGLQDHPDLEEMDTIIGKK -TFVQSYVQIIDDLSKFDLTHDDIETVYSYCLLNDPLLVTASNNIVMSVKGAEQERLGQSACRMPEMRSLK -LIYHSPALVLRAYVNQSPEVPGADPDEMLRDLTHLEEFIEKTRLRSHMRERIEINQKKMMKRDIQFEVKE -LTRFYQVLYDYIKSTEHKIKVFILPYKVYTPIDFCAALTGNLIKDDKWHIIHFLKNIISTTHKAQVATSP -DLEVQLAMECFRLIAHFGDMFLAEESRVPFLKGIIEKFSYKAIPVSRLYDKLRESKHRIKFIPILFHMDD -LTQRDLNKYDADKSDERITWNNWQVSREMNTGPIDLIITGYDRQIQIRGEDDQLLGAELKLARVSRETIS -GHAKSILNRKHGLKFEKMKKIEEMSDKLDYIVYQQRGRNRFFYNILPKQIILDHNSRVDKTKSIGESKWI -PVCPVVVRKLYQQKRPDKDKIMRLNMQVYTLSKLQVNPNEYATVRKAHFQKMTFFSGPPIKSGGMDIAAL -MSSPSLLSLNYDTMAQASLIDMCRVFKCEGYKEDQMAFEFLSDEVMQVDIEEQLECNPIFSVVYNTKGER -GMTYKGAFRTALIRECEKFEKAFDFLDMGFCSNENLSILEEIHWIVIELKTNQWSTELDQCIHMCMYRNN -MDPEFHQFDIPKVFLEDPILRTIKWKRVRKFLEVLRDFKVKEEPWKSIIQHFCDKAFRLTNERIRQNQKS -EGFEAFIDPEKRGGRSKFEFN - ->YP_008400138.1 polymerase [Brazoran virus] -MEPFNLPPRDLVVEYNKLIRQCQDPHTGRDILTAMTMDRHNYFAREYCRAINIEYRDDVPAVDIVMEMVP -DLDLGKIEIPDVTPDNYYRDGAKIYIIDFKVSVSDETGQLTYKKYNNIFGDIFNPLGVQYEVVIIRMDPS -TMRMTISSDNFMQLFPQVAMNIDFNWYFRLKDSLFDKFRDDEDFLALTSHGEFTPTMPWVTDDTPELADH -PIFIEFMESMPIDQQRDFMTAMNYNAFKSEKWSDLLHIYMKRYGQKYEKFIRDQAKEVFLVDGNYNKPTQ -SEILEGWKEMYTRIQDAREITQDISKQKPSIHFIWTHHDNNKPTGNEAKIIRLAKLLQKIDVNDNASKAF -KAMGFLMDFSSDVEKYRNFCSNLKGEARSSIKPKSSRIEPVQINQCTILWEQQFKMDAGIMNKNVRIRFL -KDFCGIGGHKNFKDRMIDDIDLGKPRILDFEDKNVIQAAEIMFKQTKGYLSKESGLEKIGNILGEYKDEI -TGASEKTWLHIESISKSRFWQCINDISVLIKNMLSVSQYNKHHTFRVVTSANNNFFGIVYPSASIKSKQS -TIVFSSVCLHQDPYDVLTCGSLYRTYKLGPNEYISISKAIRLDKERCQRLVTSPGLFLMTCLLFKGDNDI -LLEEIMAFSFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFAPYTKTLFSVYMTQLIKKGCM -SANDQKDKISLKDVFLNEFEITQKGVSMERNLQSIWFPGKVNLQEYIMQVYLPFYFNPKGLHNKHHVMID -LAKTVLEIELEQRIELPNPWSTTFIKQSANLDILIYSIAKMLKMDTAKHNHLRARVESRNNFKRSLTSIS -TFTSSKSCIKIGDFEEIKSKTVDRLAKLKNKEARRTRVANSDFVAEDEIDLEVAHSNYKDLKKCVPNYTD -YMSTKVFDRLYESYRTGLIEDKPAIEVIMKTMKTHTDFKFCFFNKGQKTAKDREIFVGELEAKFCLYAVE -RIAKERCKLNPEEMISEPGDSKLKKLELNSESEIRYLIDALRDKNKDPDAKLDGIKIEINADMSKWSAQD -VFFKYFWLIVLDPILYPKEKKRIIYFFCNYMNKELILPDEMMCSLLDQKAEREDDIIRQMTNGFRTNTVN -IKRNWLQGNLNYTSSYVHSCSMMVFKDIIKEMALLLDGQCHVSSMVHSDDNQTSIIMVQDKVNNDMLIHS -FCNLFERVCRTFGNQANMKKTYVTNHIKEFVSLFNIYGEPFSIYGRFLLPAVGDCAYIGPYEDMASRLSA -TQTAIKHGCPPSLAWTSIALNQWVTFSTYNMLPGQTNDPARIFECRRDEIPIELCGLLKSELSTIALVGL -ESGNISFLTSLIKRMSPVKVLKESIQTQCQFINEWDLEKLTKMDILRLKILRYVVLDSELNEEDKMGETS -EMRSRSLITPRKFTTTSSLERLVSYKEFQDIISDQTRSNDLFEYLLAKPELLVTKGEDANEFMTTILYRY -NSKKFKESLSIQSPTQLFVEQILFSNKPTIDYSGIYEKIMSAADIPQLQNYTGIIGRKTIPETFKAIQED -LEGLQLTTSDIHMVYSFCILNDPLNTTACNAILLSQVQSLMDRTSMSAITMPEFRSMKLITHSPALVLRA -YIHRDFTVGGAVEDMMQRDVFHLEEFIQTTRIREKVERKIQEKNALTPDRDLVFELKEWTKFYQTCYDYI -KSTEHKVKVFILPTRAYTAFDFCAAIHGNLMKDKGWYAIHYLKQIVSGSKKAFVSTTPTGEQLVIDECFR -LVSHFADTFIDSSSRRYFVQTVVEQFTYKNLPVSELYRKMKNSSQRKHFLPLLFNMKDIKQNDLDKYNAD -KTANKATWNDWQINRHLNTGPIDLTIKGDTRTMRIVGEDNQLILAELYINEGDYTPPENHARKLLNTKHN -LRFEAMKEIPVMEPDCYYICWQKKSKYYTHYQMLLSNVINARNEAQATGANKYNRLIPVCIVHVARVQPE -SKVLVADLQYMNDIASFTRLKMSETDYAMVRRCHFSKMVFFSGPEIGVGRVNITRLLRNPSLLTINYSSL -SQTPLPALIDIFECNGVEIEDDEFNFLSDEILEETETQTINAVPMFNISYSVKTRRGHTYKNAIQEAIAR -GVNDFEEQFDFSGEGFFSSKGLSICALIVSLIDRCNMTEWSAVIKKCIHLTCYTNGNDRIFHNFKIPRIY -LLDPINYTIDWEKVRLFILGIKIRDENNHWGTVFKNFFKDLLDAMDEQRKVEGLSWADLMEQMDEYKGAC -GIDYQE - ->YP_006590082.1 RNA-dependent RNA polymerase [Simbu orthobunyavirus] -MDRPTINAFRDRINACNDPEDAKDIMSALLMARHDYFGKEVCYFLDIEYRSDVPASDIVAEVAPPGVTIH -VRHCTPDNYMIIDDKLFIIDYKVSVESAYGDLAKKKYEEIFHDALDEYGIDFEVVIIRADPVRDIIHVDS -EDFLNRVGAIHIILDFTWFYNLRALIYDKFKDNERFLEIVTQGEFTMTSPWIEEGTPELYNHPIFIEFYN -SLDEKAKIVFQESIHFDATQGDKWNQNLVKTMNLYRQDYNSFVKIASTSVFKCTGNYPKPDHDEITEGWD -LMVQRVSVERQITQDISKQKPSFHMIWSPPNDGSNENIKKILKLSSLLQKVSGESTYIGSFRAIGRLMDF -SENVGLYESHVSKLKNMSRQTSKKIDKKLDEIKIGTSTILWEQQFKFDSNVIDTKDKTHLFKDFLGIGGH -KQFNKKSIDDVDLSKPTILDFNDKNTIDKCKFQYKQVQSILSQENDRTKLGCYLEEYGHKISSCSADMWS -LICKVCKMQFWSAVNDYSTLMKNMLAVSQYNRHNTFRVVTCANNSLFGIVMPSSDIKTKRATLVYFIIAI -HDEPEDIVHHGALHGTFKSGSKFVSISKGMRLDKERCQRIVASPGLFLMTVCLMANNNPTIDLSEVCNFA -FHTSLSITKAMLSLTEPSRYMIMNSLAISSHVKDYMAEKFSPYTKTSFSVVMANIIKKACYNANTQKDRI -QLKNIHLTDYEITQKGVSSTRELLSIWFKGYVNLKEYINQIYMPFYFNSKGLHEKHHVMIDLAKTVLEIE -KDQRENIPGIWSSVPKKQTANLPVLIYSVAKNLAMDTSRHNYIRSRIENANNLKRPITTISTFTSSKSCI -KRGDFAEFKAKVSKKTANSLAKSIQKYVVANPEFADLEIENGQIRHAQYEDVVKAVPNYIDVMSTKVFDR -LYELISCGSIDNKPTIHHILQIMKDHTEFIFTFFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCKL -NPEEMISEPGDGKLKKLEELAESEIRYTAQTLKIMKEKKISEMFGGQIDIDYRPHSLKIEINADMSKWSA -QDVLFKYFWLFTLDPALYRHEKERILYFLCNYMQKKLILPDELVQTILDQRGHRDNDLIFEMTNGLSQNW -VEIKRNWLQGNLNYTSSYLHSCCMNVYKDVIKSASELLEGESLINSMVHSDDNHTSIVIIQDKLPDDTII -EFCIKLFEKICLTFGNQANMKKTYITNFIKEFVSLFNIYGEPFSVYGRFLLTAVGDCAYLGPYEDAASRL -SATQTAIKHGCPGSLAWISIALNQWITHTTYNMLPGQNNDPCNVFPTYNRQEIPIELCGLINADLSTIAI -AGLESGNLEYLVGLSKRMSSIELQREPIQSQYNKIEEWNIDSLTPMDRIKLKLLRFMTLDSSMSSDDGMG -ETSDMRSRSLLTPRKFTTNASLIRLKSYNDYQQILQDKDKLDQLFEYFVQNPQLLVTKGETVEEFCQSIR -FRYSSRKFKESLSIQNPAQLFIEQILFANKPMIDYTTIHDKLFGIQDDPNIENATAIIGKKTFPETYKQI -LLDLERFELTVDDVKTVFSYCLMNDPILIACANNILISVRGMEIDRTAMTCSTMPEIKSLKVIYHTPALV -LRAYVQDNIHLKGVEPDEMRRDLHHLEQFIEQTKLREHMRQRIQKNEIKTMGRDLKFEIRELTKFYQICY -DYVKSTEHKVKIFILPRRNYTPLEFCGAVTGNLLKDDKWVTIYYLKQITLPSKKAQIASSIDLEVQTGFE -AMRLIAHFADSFLSDNSRITFLKRMISDYTYKGVKVELLYRKLCSSRFRTKILPILFYMGDLSQSDIDRF -DAEKAEEQITWNNWQTSREFTTGPIDLSIKGYSRSIRIVGNDNELTAAELNIPKIRGDVITRHGQALLNK -PHGLKFENMKPVDELNPKLYYICYQLRAKKRYYYNILSVSTILEHNDRIDETRIRKNNKWVPVCPVAIGK -FSQSEKPLIDKIKYLNMEEIKLTKLQIAVDDHAMIRKAPMQKMSFFEGPPIPSGGIDVSKLMQSQVMINL -NMDTLSNISLLDLCRIFSCKGETTDQDAFEFLSDEIIDEDITEELDSSPALKVTYTRKSDKNNTYKNAII -RCLIRESDKFESCFDISDEGFTSDSNLEILECLVWILELLKTNQWSTELISCIHMCLYRNNLDQLFHNFT -APESFVSDAIIQKPLWTEIIDFLQILQATNYKLEPWVSIMKHSISKAIEYAKKKYDEENQLNPKNLRKFI -KGKKMGGKSKFEF - ->YP_006590079.1 RNA-dependent RNA polymerase [Aino virus] -MDPYRIDMFRNRILASDDPEDAKDIMSDLLMERHNYFGREVCQYLDIEFRNDTPAQDILFDFLPPGAVFT -VRNCSPDNYIIFNGKLYIIDYKVSVDASYGLSTKTKYEEIFGDALAPFGLDFEVVIIRADPIREMVHIDS -QDFINAFGNIYIDLDFTWFFNLRTLLYDKFKDNDRFLEIVNQGEFTMTSPWIEENTPELYTHPIFREFYN -SLNDEEKNTFNISLEFDATKSEKWNHNLIAIIQKYEPFYKKFCNIAASGVFKCTGDYPAPSKDQISKGWD -DMYERVKLERDLTDDLSKQKPSFHFIWSPPDPERPNENISKILTLAKALQKIQGDSTFINSFRALGLLMD -FSSNIGLYESHVSKLKNTARQTSKKIDQKLEEVEIGSATVLWEQQFKFNTKIMNAYDKSHLFKDFLGIGG -HKSFSKKSIDDLDTEKPKILDFNSKNVQDACNRIYRQVKNILSNVNSLKKMGCYLEEYGYNISNASPDMW -ERVQEVCSTNFWAAILDYSTLMKNMLAASQYNRHNTFRVVTCANNNLYGIVMPSSDIKTKRSTLCYFIIA -LHDKREDVAHSGSIHSTFQSGSKYVSISKGFRLDKERCQRIVSSPGLFLMTSCLFLSDNKSLNTNDVLNF -SFHTSVSITKAMLSLTEPSRYMIMNSLAISSHVREYMAEKFSPYTKTAFSVVMTQIIKRACYEAFDQKEK -IELKNIHLTDYEITQKGVKPQRDLKSIWFPGQVNLKEYINQVYMPFYFNSKGLHEKHHVMIDLAKTILEI -EKDQRLNIPGIWSDIPKKQTVNIRVLIYSLAKTLNMDTSRHNYIRSRIENNNNLKRSITTISTFTSSKSC -IKIGDFSEFKTVRKKMIDSMKKEINKYTVANPEFAEDLDTSYAIHHADYADVRKAIPNYADCISTKVFDA -LYEKIKNGEIDDKPTVSHILEIMKKHKQFYFSFFNKGQKTAKDREIFVGEFEAKMCLYLIERISKERCRL -NPEEMISEPGDSKLKKLEDLAEAEIRYTAQTLKNLNRKIQKDMFGSEIDIDMKLHALKIEINADMSKWSA -QDVLYKYFWLFVLDPVLYKYEKERILYFLCNYMQKRLILPDDLIANILDQRIQRQDDIIYEMSNGLTQNW -VEIKRNWLQGNLNYTSSYLHTCSMNVYKDIIKRSIDLLEGETLVNSMVHSDDNHTSLVIVQNKLNDNILI -EFSIKLFEKICLTFGNQANMKKTYLTNFIKEFVSLFNIYGEPFSVYGRFLLTAVGDCAYLGPYEDCASRL -SATQTAIKHGCPPSLAWISIALNQWITHNTYNMLPGQNNDPCNILPCYNRFEVPIELCGLLNCSLPSIAI -AGLEADNLEFLVRTSRKLSSVMYQREPIQVQYEHILDWDISQLTEIETIRFKMLRFMALDSSMSSDDGMG -ETSDMRSRSLLTPRKFTTQASLSRLVSYNDYQQIIQDQTKIDELLGYFIQCPQLLVTKGENVEEFCQSIR -FRYTSRKFKESLSIQNPAQLFIEQILFANKPMIDYTSIHDKLFGIQDDPNISDTTCIIGKKTFPETYNQI -KCDLERFALTSDDIKTVYSYCLMNDPILIACANNIILSMKGMSIDRSAMTCAQMPEIKSLKVIYHSPAMV -LRAYVTGDMNIRGAEPDEMRRDIYHLEEFIEKTNIRNKMRERINKNEIKSNGRDIKFEIKELTKYYQICY -DYIKSTEHKIKIFILPKRPVTPSDFCSIVAGNLMLDNKWITIHHLKQITSQTKKAQIATSTDLELQLAYE -CFRLIAHFADSFLAENSRKQFLKKVVEEFSYKGVSVKNLYDKIKHSRLRSKIVPILYYMNDLDQLDLDRH -DAEKAEEQITWNNWQTSREFQTGPIDLSIKGYGRTIRIIGNNSKLTAAELQISRIRDDIISRHSQALLNK -NHGLKFELMEEYKDLDPKLFYICYQLRAKKRYHYNILSVPHITEHNRRTQESKIRSTNYWVPVCPVAISK -LIYNDKPQLALIKRLNMDSIKLTSIQVAVEDYAMIRKCNFAKMQFFDGPELPCGGIDISKMMKSQNVLNL -QVDTLQSISLIELCRIFNCKGTKEDNNAFEFLSDEVLDEEIDEELDSSPVLKITYTKKSMRNNTYKNIIV -KALIRECDKFEECFDITDEGFTSDSNLEILESLVWILKELHTNQWSTELMQCIHMALYRNEMDHLFHRFT -IPEQFVDNPISLNYKWSNVIEFLVTLMQIDYKQEPWVSIMAHSLNKAVEYATERKIQESAKENKLQKFIK -GKKMGGKSKFDF - ->YP_006590076.1 RNA-dependent RNA polymerase [Shamonda orthobunyavirus] -METYKINIFRDRINQCRSAEEAKDIVADLLMARHDYFGREVCYYLDIEFRQDVPAYDILLEFLPAGTAFN -IRNCTPDNFIIHNGKLYVIDYKVSTDHAYGQKTYEKYTQIFGDALSELPFDFEVVIIRADPLRDTIHVNS -NQFLEIFGPLNINLDFTWFFNLRSLIYEKYKDDDRFLEIVNQGEFTMTGPWIDEDTPELYSHPVFLEFYD -SLDEMAKLTFHESMTFDATRGEKWNQNLQKVINRYGNDYNVFVKDAAAGIFRCEGNYPKPNHDEITIGWN -QMVQRVSTERNLTQDVSKQKPSIHFIWGQPDEASNATTPKLIKIAKALQNISGESTYIGAFRALGMLMDF -SENTALYEAHTSKLKSMARQTSKRIDTKLEPIKIGTATIYWEQQFKLDTEIMNTKDKSHLLKDFLGIGGH -VQFSKKTIDDLDTDKPTILDFNKKEVIDFCKFQYENVKKILSEDNNLERIGCYLEEYGANIASCSKDTWN -QINRIGKSNYWACIKDFSVLMKNMLAVSQYNRHNTFRVVCCANNNLFGFVMPSSDIKAKRSTLVYFLAVL -HSTPQNVMHHGALHATFKTGSKYLSISKGIRLDKERCQRIVSSPGLFMLTTLMFTGDNPTLNLTDVMNFT -FHTSLSITKAMLSLTEPSRYMIMNSLAISSHVRDYIAEKFGPYTKTSFSVVMANLIKRGCYMAYNQRDKV -DMRNICLTDYEITQKGVRDNRDLSSIWFEGYVSLKEYINQIYLPFYFNSKGLHEKHHVMIDLAKTILDIE -RDQRLNIPGIWSTTPRKQTANLNITIYAVAKNLIMDTARHNYIRSRIENTNNLNRSICTISTFTSSKSCI -KVGDFEKEKSSAIKKAADCMSKEIKKYTIANPEFVDEELMNATIRHSRYEDLKKAIPNYIDIMSTKVFDS -LYQKITRKEINDKPTVYHILSAMKNHTDFKFTFFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCKL -NPDEMISEPGDSKLKKLEELAESEIRFTAATMKQIKERYLAEMGEASHMITYKPHSVKIEINADMSKWSA -QDVLFKYFWLFALDPALYLQEKERILYFLCNYMQKKLVLPDEMLCSILDQRIKHEDDIIYEMTNGLSQNW -VNIKRNWLQGNLNYTSSYLHSCSMNVYKDILKRAATLLEGEVLVNSMVHSDDNHTSIVMIQDKLDDDIII -EFSAKLFEKICLTFGNQANMKKTYITNFIKEFVSLFNIYGEPFSVYGRFILTSVGDCAFLGPYEDVASRL -SATQTAIKHGAPPSLAWTAIALTQWITHSTYNMLPGQINDPTSVLPSHDRFELPIELCGLINSELPTIAI -AGLEADNLSYLVRLSKRMSPIHLCREPIQHQYENIHTWDISRLTQCDIFRLKLLRYMTLDSTMSSDDGMG -ETSEMRSRSLLTPRKFTTASSLSRLHSYADYQKTIQDQQKVEELFEYFIANPQLLVTKGETCEEFCMSVL -FRYNSRKFKESLSIQNPAQLFIEQVLFANKPMIDYTSIHDRLFGIQDDPNINDTTCIIGKKTFVETYQQI -KADVEKFTLDVEDIKTIYSFCIMNDPILVACANNLLISIQGVEMQRLGMTCCYMPEIKSLKVIYHSPALV -LRAYVTDNYEQKGMEPDEMRRDIYHLEEFIEKTKLRANMQGRIANNEVKLMKRDLKFEVQELTKFYQICY -EYVKSTEHKIKIFILPKKAYTPIDFCSLVTGNLISDNKWMVVHYLKQITVPAKKAQIATSIDLEIQIAYE -CFRLITHFADMFLNDDSKKAYINAIISTYTYKDVQVSNLYKKIKSSRLRSKIIPLLYHLGDLQQVDVDRF -DAEKAEEQITWNNWQTSREFTTGPIDLSIKGYGRSIRIIGEDNKLTAAEMQLSRVRSDIVSRHGQALLNK -PHGLKLEKMEPVTDLNPKLWYIAYQLREKKRYHYGVFSTSYIEEHNSRIEASRIRKTNKWIPVCPIAISK -QSSDGKPNLAKIPMLNIGEIKFTKLQIAVDDHAMIRKAPFSKMVFFDGPPIQSGGIDIGKLMKNQNILNL -RLDNIQSITLLDLCRIFSCRGSKVDQDAFEFLSDEPLDEDVIDELDSSPALVVSYTKKSTKSNSFKNVIV -RALIRECDIFEDIMDITDDGFTSDSNLEVLENLTWILNMLATNQWSTELLACIHMCLYRNEMDHIYHNFQ -VPEIFVDNPISLNVKWDEVVMFLNVLRDRDYKIEPWVSILDHSLTKAIEYAYKKMDEERKQKSTGINKFL -KGKKMGGRSKFDFQ - ->YP_006590073.1 RNA-dependent RNA polymerase [Sathuperi orthobunyavirus] -METYKINIFRDRINQCRSAEEAKDIVADLLMARHDYFGREVCYYLDIEYRQDVPAYDILLEFLPPGTAFD -VRNCTPDNFIVHNGKLYIIDYKVSTDHTYGQKTYEKYTQIFGDALSELPFEFEVVIIRADPLRDTIHVNS -NQFLEIFGPLNINLDFTWFFNLRSLIYEKYKDDDRFLEIVNQGEFTMTGPWIEEDTPELYSHPIFLEFYD -SLDEMAKLTFHESMTFDATRGEKWNQNLQKVINRYGNDYNIFVKDAAAGIFKCEGNYPKPDHDEITIGWN -QMVQRVSVERNLTQDISKQKPSIHFIWGQPDETSNATIPKLIKIAKALQNISGESTYINAFKALGSLMDF -SENIALYEAHTSKLKSMARQTSKRIDAKLEPIKIGTATIYWEQQFKLDSEIMNPKDKSHLLKDFLGIGGH -VQFSKKTIEDLDTDKPTILDFNKKEVIDFCKFQYENVKKILSEENNLERIGCYLEEYGANISSSSKDTWN -QINQIGKSSYWACIKDFSILMKNMLAVSQYNRHNTFRVVCCANNNLFGFVMPSSDIKAKRSTLVYFLAVL -HSGSQNIMHHGALHATFKTGSKYLSISKGIRLDKERCQRIVSSPGLFMLTTLMFAGDNPTLNLNDVMNFT -FHTSLSITKAMLSLTEPSRYMIMNSLAISSHVRDYIAEKFGPYTKTSFSVVMANLIKRGCYMAYNQRDKV -DMRNICLTDYEITQKGVRDNRDLSSIWFDGYVSLKEYINQIYLPFYFNSKGLHEKHHVMIDLAKTILDIE -RDQRLNIPGIWSSTPRKQTANLNVTIYAVAKNLIMDTARHNYIRSRIENTNNLNRSICTISTFTSSKSCI -KVGNFEKEKSLAVKKAADCMSKEIKKYTIANPEFVDEELMNATIRHSRYEDLKKAIPNYIDVMSTKVFDS -LYQKIKKKEIDDKPTVHHILTTMKNHTDFKFTFFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCKL -NPDEMISEPGDSKLKKLEELAESEIRFTAATMKQIKERYLAEMGEASHMITYKPHSVKIEINADMSKWSA -QDVLFKYFWLFALDPALYLQEKERILYFLCNYMQKKLILPDEMLCSILDQRIKHEDDIIYEMTNGLSQNW -VNIKRNWLQGNLNYTSSYLHSCSMNVYKDVLKRAAILLEGEVLVNSMVHSDDNHTSIVMIQDKLNDDVII -EFSAKLFEKICLTFGNQANMKKTYITNFIKEFVSLFNIYGEPFSVYGRFILTSVGDCAFLGPYEDVASRL -SATQTAIKHGAPPSLAWTAIALTQWITHSTYNMLPGQINDPTSVLPSHDRFELPIELCGLINSELPTIAI -AGLESDNLSYLVRLSKRMSPIHLCREPIQHQYENIHTWDINKLTQCDIFRLKLLRYMTLDSTMSSDDGMG -ETSEMRSRSLLTPRKFTTASSLSRLHSYADYQTTIQDQQKIEELFEYFIANPQLLVTKGETCEEFCMSVL -FRYNSRKFKESLSIQNPAQLFIEQVLFANKPMIDYTSIHDRLFGIQDDPNINDATCIIGKKTFIETYQQI -KADIEKFTLDTEDIKTIFSFCIMNDPILVACANNLLISIQGVEMQRLGMTCCYMPEIKSLKVIYHSPALV -LRAYVTDNYEQKGMEPDEMRRDIYHLEEFIEKTKLRTNMQARIGANEIKLMKRDLKFEVQELTKFYQICY -EYVKSTEHKIKIFILPKKAYTPIDFCSLVTGNLISDNKWMVVHYLKQITVPAKKAQIATSIDLEIQIAYE -CFRLITHFADMFLSDDSKKAYINAIISTYTYKDVQVSSLYKKIKSSKLRSKIIPLLYHLGDLQQVDIDRF -DAEKAEEQITWNNWQTSREFTTGPIDLSIKGYGRSIRIVGEDNKLTAAEMQLSRVRSDIVSRHGQALLNK -PHGLKLEKMEPVTDLNPKLWYIAYQLREKKRYHYGVFSMSYIEEHNSRIEASRIRKSNKWIPVCPIAISK -QTSDGRPNLAKIPMLNIGETKFTKLQIAVDDHAMIRKAPFSKMVFFDGPPIQSGGIDIGRLMKNQNILNL -RLDNIQNITLLDLCRIFSCRGTKIDQDAFEFLSDEPLDEDVIDELDSSPALVVSYTKKSTKSNSFKNVII -RALIRECDIFEDIMDITDDGFTSDSNLEVLENLTWILNKLATNQWSTELLACIHMCLYRNEMDHIYHNFQ -IPEIFVDDPISLNVKWDEVVMFLNILRDRDYKIEPWISILNHSLTKAVDYAYKKLEEERRQKSTGINKFL -KGKKMGGRSKFDFQ - ->YP_001497159.1 RNA-dependent RNA polymerase [Akabane virus] -MDNYKINQYRARINDANDPETAKDILADLLMDRHNYFGRELCYYLDIEYKNDTPIDDILLDFLPPGTDFK -ARYCTPDNYIIHNRKLYVLDYKVAVDNESSAKTFEKYDKIFGDVLVPLGLDYEIVIVRADPVRDVIHVNS -EDFLHEFGPINMNLDFTWFYNLRALIYDKFKDDERFLEIASQGEFTMTGPWLDDETPELYDHPIFKEFYD -SLPNESKITFQRSMNFDATKGDKWNQNLVDTIHEYTPSYNLFIKTASSGIFKCHGDYPKPSNSEITQGWQ -LMTERITSERELTNDINKQKPSIHFLWCPPSQESNENIKKLLRLSKMLQKLDGTSTYLDAFKAIGILMDF -SSNVGLYESHTSKLKNMSRQTSKKIDKKIEAIKIGTSTVMWEQQFVFDTNIIDHQSKGKLFKEFIGIGNH -KQFSKKTIEDIDISQPTILDFNNKNIIDKCTFQYKNVDKILSKPNMLDKMGCYLEEYGPQICAASEETWE -TLKLICNMSYWSAIKDFSTLMKNMLAVSQYNRHNTFRVVTCANNNLFAIVMPSSDIKTKRATLAYFIICI -HDHKDNIMHHGALHATFKSEGKYVSISKGIRLDKERCQRIVSSPGLFLLTTLLMYNNNPTIKIEDVANFA -FHTSLSITKAMLSLTEPSRYMIMNSLAISSHVKDYISEKFSPYTKTSFSVIMANLIKKGCYNAYKQRSKV -DLRSIHLTDYEITQKGVNNNRDLSSIWFEGKVSLKEYINQIYMPFYFNSKGLHEKHHVMIDLAKTVLEIE -HDQRLNIPGIWSSTPQKQTVNLPVLIYAISKNLLMDTSRHNYIRSRIENSNNLKRSITTISTFTSSKSCI -KVGDFADFKSRESRVVNAKLEKDIRKYTIANPEFVEDLTEKAIIRHAIYDDLKKAIPDYIDVMSTKVFDA -LYYKIKHGEITDKPAVEHILQVMKNHKRFVFTYFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCKL -NPDEMISEPGDGKLKKLEDMAEYEIRYTANTLKSMKDKALQEFSKFADDFNFKPHSTKIEINADMSKWSA -QDVLFKYFWLFALDPALYKPEKERILYFLCNYMDKVLVIPDDVMTSILDQRVKREKDIIYEMTNGLKQNW -VSIKRNWLQGNLNYTSSYLHSCCMNVYKDIIKNVATLLEGDVLVNSMVHSDDNHTSITMIQDKFPDDIII -EYCIKLFEKICLSFGNQANMKKTYVTNFIKEFVSLFNIYGEPFSVYGRFLLTAVGDCAFLGPYEDTASRL -SATQTAIKHGAQPSVAWVAIALTQWITHSTYNMLPGQNNDPLNVLTSQNRFDIPIELCGLLNTDLPTLAI -AGLESGNLTYLVNLSRRMSKVQLLRESIQSQYTDIESWDLSKLTQMDKFKLKLLRFMTLDSAMSSDDGMG -GTSDMRSRSLLTPRKFTTHASLVRLESYNDFQKLVQDQGQIDDLFEFFIRMPQLLVTKGETTEEFMKSIL -FRYNSRKFKESLSIQNPAQLFIEQVLFANKPMIDYTSIHDRLFGIQDDPNIDDSTMIIGKKTFVETYRQI -QIDLNKFEITNQDVKTIYAFCLMNDPILVACANNILLSMHGLQMNRNGMTCCMMPEIKSLKVIYHSPALV -LRAYVQENNNIKGAEPDEMQRDLYHLEEFIAKTKLRESMQARIAKNELKTMGRDFKFEIQELTKFYQICY -DYIKSTEHKIKIFILPKRAYTPIDFCGMITGNTLKDQCWFTIHYLKQITVPARKAQIATSLDLEIQIAYE -SLRLIAHFADTFIAENSRIPFLKQVISNFLYKGIQMQVLYNKIKASRLRTKILPILFYMGDLEQLDVDRY -DAEKAEEQITWNNWQVSREFTTGPIDLSIKGYGRSIRIVGEDTRLTAAELQINRMRNDIVSRHGQSLLNK -PHGLKFEKMDEVEFLDDKLHYIVYQLRDRKRYYYNILSTNAIYEHNERLSQTRSRRNNRWIPVCPVAISK -YINTDRPILDRIEMLNIDNTKLTSLQVAVSDTAMVKKAALAKMSFFEGPPIQCGGIDLSKLMQNQTIINL -DINKISSISLLDLCRIFSCRGYSNDQDAFEFLSDEVMNEDISEELDSSPVLKITYTKKSNSQNTFKNAIV -KALVRECDRFEEIFDLSDDGFTSDSNLELLENLVWILNHLKTNQWSTELMECIHMCLYRNEMDHIYHNFQ -IPDVFVTNPIELSIKWLDVMEFLEMILSHDFKSEPWISIMNHSITKAIDYSRLEHKKTSAQANISKFIKG -KKMGGRSKFDF - ->NP_982304.1 RNA polymerase [Oropouche virus] -MSQLLLNQYRNRILHCREPEIAKDIWRDLLNDRHNYFSREFCRAANLEYRNDVPAEDICAEVLDGYKARK -VRFCTPDNYLLHDGKMYIIDFKVSVDDRSSRITREKYNEIFGEVFNPEGVDFEIVIIRLDPSNMTIHVDS -RDFVNTIGPITLNISMQWFFDMKDFLFGKFRDDDKFHAIISQGEFTMTLPWIEEDTPELLTHPIYNEFMS -SMPEAEQALFKEALEFKSFGAEKWNIFLKGVMSKYGEYYKEFTKGHAHSIFLTTGDYPKPDKDQISAGWR -EMVNRVSSERDMSNDINQEKPSMHFIWAKNDSNSNNNIQKLIKLSKSLQAMSGTGSYVNAFKSLGRLMDI -SSDVKKYESFCGKLKSLARSSIKKLDRKIEPIQIGTATVLWEQQFKLDTDVIKREDRIHLMKDYLGIGKH -KSFSKKLNNDINTDKPKILNFNNDDIVRKCKDKYNQVIHNLSQINELDKIGNYLEHFSAKISACSVEMWD -FIYNTTKTKYWQCINDYSTLMKNMLAVSQYNRHNTFRIVSCANNNVFGLVMPSSDIKTKKATLVYAIMAL -HNEEAEIAELGSLYSTFKTATGYISISKAFRLDKERCQRIVSSPGLFLMTSCLLFNGNKSLEFDKLLGFS -FFTSISITKAMLSLTEPSRYMIMNSLAVSSHVREYISEKFSPYTKTSFSVVMTDLIKKGCYSAYEQRKKV -QIRDIKLTDYDITQKGVDSKRDLKSIWFPGKVNLKEYLNQIYLPFYFNSKGLHEKHHVLIDLAKTVLEIE -KEQRESLPEPWSEIPAKRLSLNVLIYSLQELNLDTSRHNFVRSRVENANNFNRSITTISTFTSSKSCIKI -GDFEEEKREKLRMIQKKLAKDISKLTIANPAFLDEITNEHEIRHSTYEDLKQSIPDYTDYMSVKVFDRLY -EKITTNEINDKETVKLILETMKKHKIFHFGFFNKGQKTAKDREIFLGEFEAKMCLYLVERIAKERCKLNP -EEMISEPGDSKLRVLEKQSEDEIRYISNTIKTLGNAIENLQSGSLNWADICENKARGLKIEINADMSKWS -AQDVLFKYFWLIVLDPILYPAERKRIIYFLCNYMQKRLIMPDELLTTILDQRVPYSNDIIGLMTNNYRSN -TVEIKRNWLQGNLNYTSSYLHSCSMSVYKDIIREAAILLEGEALVNSMVHSDDNQTSICMVQNKLPDDNI -IEFCIKIFEKICLTFGNQANMKKTYLTNFIKEFVSLFNIHGEPFSIYGRFLLTAVGDCAYLGPYEDLASR -LSATQTAIKHGCPPSLAWVSIALNHWITHTTYNMLPGQNNDPLPFFPTNNRSEIPVEMCGILESDLSTIA -LTGLEAGNVTFLTNIARKLSSPILQRESIQDQYNSIEKWDLSKLSQIDILRLKMLRYISLDSSVTSDDGM -GETSEMRSRSLLTPRKFTTSGSLNRLKSYKDFQDIIADEDKTNELFENFIRHPELLVTKGETFEEFVNTI -LFRYNSKKFKESLSIQNPAQLFIEQILFSNKPVIDYTSIHDKIFGLQDMPGIEELDTIIGRKTFVESYVQ -IVDDLSNLTLDINDVKTIFAFCLMNDPLLITSANNIIMSVKGHSQERIGQSACKMPEVRSLKLIHYSPAV -VLRAYVRGPTNVPNVDIDELARDLSHLEDFIQSTKLRENMRERIEINEKRHLGRDFKFEIKELTRFYQVC -YDYIKSTEHKVKVFILPYKVFTSIEFCGALTGNLINDKLWYITHYLKNIVSTTHKAQISSSPELELQIAD -EALRLVAHFADTFLASESRIQFLKKIIEEFTYKGIPVKHLYSKIKNSKLRVKFLGILLWLDDLTQNDLDK -FDADKSDEKIIWNNWQVSRDMNTGPIDLMISGYSRQLRITGEDDKLIAAELQVTRLSEDLIYRHGQAMLN -KPHGLKLEKMQPVTEMSKRLHYIVFQQRSRKRYFYSILPTQVIEDHNSRVESSRLSRDSKWVPVCPVAIS -KLYQQGRPILSKVRNLNMQTHSLSRIQVNVDEYAITRRAHFQKMPFFEGPSIPSGGMDLSELMKSTSLLS -LNYDNIKNASLLDMSRVFKCNGSGDDQMAFEFLSDEILEQDVVEEIECNPIFSISYTKRGESNMTYKNAF -HKALISECDKFEEAFDFLDMGFCSNENLSILEEIHWIISYLKTNQWSTELDNCIHMCMYRNGYDAEYHKF -DIPSKFLKDPINRTINWTEVIEFILLIEDFQTKIEPWSSMKSHFCSKAHSVALECMKNEKRSLAEFVDKS -KKTGKSKFDF - ->AGT15672.1 RNA-dependent RNA polymerase, partial [Sedlec virus] -KCYLPFYFNSKGLHEKHHVLFDLAKTVLEIEKDQRQNIQHPWGEDFKKQTVNLDVLIYSLAKNLALDNSR -HTHVRHRVESSNNFKRSITTISTFTSSKSCIQIGDFRSLKEQRAKRESKMKESDKKKLGIANPLFLEEIN -NEVEIHHANYNDLRAAVPEYIDFMSTKVFDRLYTMFKNKEIEDKPTIELVMDTMKNHKDFYFAFFNKGQK -TAKDREIFLGEFEAKMCLYVVERIAKERCKLNPEEMISRPGDIKLKKLEQRAEAEIKYLSQVIREHNKRL -NDLAGSGATDSEVVDLMLQKVHGLKIEINADMSKWSAQDVMYKYFWLFVLDPILYPAEKKRILYFFCNYM -NKTLLLPDELMCSILDQRMPRENDIIREMTDNYRRNWVKIEKNWLQGNLNFTSSYVHSCSMSVYRDIVRE -ATKRLDGQSLINSMVHSDDNHTSICMIQNKVPDSFIIQFLTTIFERVCLTFGNQANMKKTYLTNFIKEFV -SLFNIYGEPFSIFGRFLLTAVGDCALLGPYEDLASRLSATQTAIKHGCPPSLAWLSIALNHWITYTTYNM -MPGQSNDPMSHLPAHKRSDIPIELCGTLDADLPTIALV ->AJT39489.1 polymerase [Oropouche virus] -MSQLLLNQYRNRILHCREPEIAKDIWRDLLNDRHNYFSREFCRAANLEYRNDVPAEDICAEVLDSYKARK -VRFCTPDNYLLHDGKMYIIDFKVSVDDRSSRITREKYNEIFGEVFNPEGVDFEIVIIRLDPSNMAIHVDS -RDFMNTIGPITLNISMQWFFDMKDFLFGKFRDDDKFHAIISQGEFTMTLPWIEEDTPELLTHPIYNEFMN -SMPEAEQALFKEALEFKSFGAEKWNIFLKGVMSRYGEYYKEFTKGHAQSIFLTTGDYPRPDKDQISAGWR -EMVSRVSSERDMSNDINQEKPSMHFIWAQNDSSSNNNIQKLIKLSKSLQAMSGTGSYVSAFKSLGRLMDI -SSDIKKYESFCGKLKSLARSSVKKLDRKIEPIQIGTATVLWEQQFKLDTDVIKREDRIHLMKDYFGIGKH -KSFSKKLNSDINTDKPKILNFDNDDIVRKCKDKYNQVTHNLSQVNELDKIGNYLEHFSAKISACSVEMWD -FIYNTTKTKYWQCINDYSTLMKNMLAVSQYNRHNTFRVVSCANNNVFGLVMPSSDIKTKKATLVYAIIAL -HNEEAEIAELGSLYSTFKTTTGYISISKAFRLDKERCQRIVSSPGLFLMTSCLLFNGNKSLEFDKLLGFS -FFTSISITKAMLSLTEPSRYMIMNSLAVSSHVREYISEKFSPYTKTSFSVVMTDLIKKGCYSAYEQRKKV -QIRDIKLTDYDITQKGVDSKRDLKSIWFPGKVNLKEYLSQIYLPFYFNSKGLHEKHHVLIDLAKTVLEIE -KEQRESLPEPWSEIPAKQTVNLNVLIYSIARNLNLDTSRHNFVRSRVENANNFNRSITTISTFTSSKSCI -KIGDFEEEKKRKTKNDAKKLAKDISKLTIANPAFLDEITNEHEIRHSTYEDLKQSIPDYTDYMSVKVFDR -LYEKITTNEISDKETVKLILETMKKHKIFHFGFFNKGQKTAKDREIFLGEFEAKMCLYLVERIAKERCKL -NPEEMISEPGDSKLRVLEKQSEDEIRYISNTIKTLGNAIENLQSGSLDWADICENKARGLKIEINADMSK -WSAQDVLFKYFWLIVLDPILYPAERKRIIYFLCNYMQKRLIMPDELLTTILDQRVPYSNDIIGLMTNNYR -SNTVEIKRNWLQGNLNYTSSYLHSCSMSVYKDIIREAATLLEGEALVNSMVHSDDNQTSICMVQNKLPDD -NIIEFCIKIFEKICLTFGNQANMKKTYLTNFIKEFVSLFNIHGEPFSIYGRFLLTAVGDCAYLGPYEDLA -SRLSATQTAIKHGCPPSLAWVSIALNHWITHTTYNMLPGQNNDPLPFFPTNNRNEIPVEMCGILESDLST -IALTGLEAGNVTFLTNIARKLSSPILQRESIQDQYNSIEKWDLSRLSQIDILRLKMLRYISLDSSVTSDD -GMGETSEMRSRSLLTPRKFTTSGSLNRLKSYKDFQNIIADEDKTNELFENFIRHPELLVTKGETFEEFVN -TILFRYNSKKFKESLSIQNPAQLFIEQILFSNKPIIDYTSIHDKIFGLQDMPGIEELDTIIGRKTFVESY -VQIVDDLSNLTLDINDVKTIFAFCLMNDPLLITSANNIIMSVKGHSQERIGQSACKMPEVRSLKLIHYSP -AVVLRAYVRGPTNVPNVDIDELARDLSHLEDFIQSTKLRENMRERIEINEKRHLGRDFKFEIKELTRFYQ -VCYDYIKSTEHKIKVFILPYKVFTSIEFCGALTGNLINDKLWYITHYLKNIVSTTHKAQISSSPELELQI -ADEALRLVAHFADTFLASESRIQFLKKVIEEFTYKGIPVKHLYSKIKNSKLRVKFLGILLWLDDLTQNDL -DKFDADKSDEKIIWNNWQVSRDMNTGPIDLMISGYSRQLRITGEDDKLIAAESQVTRLSEDLIYRHGQAM -LNKPHGLKLEKMQPVTEMSKQLHYIVFQQRSRKRYFYSILPTQIIEDHNSRVESSRLNRDSKWVPVCPVA -VSKLYQQGRPILSKVKNLNMQTHSLSRIQVNVDEYAITRRAHFQKMPFFEGPSIPSGGMDLSELMKSTSL -LSLNYDNIKNASLLDMSRVFKCNGSENDQMAFEFLSDEILEQDVVEEIECNPIFSISYTKRGESNMTYKN -AFHKALISECDKFEEVFDFLDMGFCSNENLSILEEIHWIISYLKTNQWSTELDNCIHMCMYRNGYDAEYH -RFDIPSKFLKDPINRTINWTEVIEFILLIEDFQTKIEPWSSMKSHFCSKAHSVALECIKNEKRSLAEFVD -RSKKTGKSKFDF ->AGW82153.1 RNA-dependent RNA polymerase [Orthobunyavirus FSL2923] -MAILLEDVIRQYSARIRNCNNPEIGRDILAEITMTRHNYFAQRFCEAIGIEYRNDVPAADIVLEMVPGLD -LTRIRIPNITPDNYYRDGTKIYIIDFKVSVSDESAQHTYKKYDTLFGDVFNQLNIEYEVVIVRMNPSDMH -LHISSDNFSALFPNITLNVTFDWYFRLRDDLFHQFRDNEEFMELIAHGEFTPTIPWVNENTPELFDHEVF -QEFIASMPLENREDFYYALNHNAFQSDKWNDLLHVIMRKYGDRYHDFVKANARRIFLTDDKYNRPTKDAI -LQGWKEMIERVQEQREIIDDLSKQKPSIHFIWGPNSKTESNENNTKIIRLSKSLQSIREKDSFSIAFKNM -GMLMDFSDDIDKYEAFCAKLKADARSSLKPKSKKIDPIKIGPSTILWEQQFKMDTDIIPKEIRLKFLKEF -CGIGNHKQFKDRMMEDLDLGKPKILNFENQDIKNQAYAMMQNTSYFMSKPSNLTKVGNTLEEFKDKIINA -NEDTWALIEDIAKTRYWQSINDFSVLIKNILAVSQYNKHNTFRIVCTANNNFFGIVYPSTSIQSKRSTIV -FSTIVLHENETDILKCGALYKTYRIKNGYLSISKAIRLDKERCQRLVISPGLFMLTSLLFKGDCDVSLND -VMNFAFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFAVYMTDLIKRGCMAAND -QRQQISIKDVFLNEFEITQKGVTNDRNLQSIWFPGKVNLKEYINQIYMPFYFNAKGLHNKHHVMIDLAKT -VLEIELDQRVNLPTPWGTDLKKQSVNLDVLVFSIAKMLNLDTSRHNHLRSRVENRNNFKRSLASISTFTS -SKSCIKVGDFKEFKEKSALHMKKLQGKEAKKTRIANTEFVSDNDRDLEIVHSTYLDLRKSVPNYTDYMST -KVFDRLYERFKNHDFEDKPAIEIIMDVMRCHTDFKFCFFNKGQKTAKDREIFVGELEAKLCLYGVERIAK -ERCKLNPDEMISEPGDGKLKKLEINGESEIRYLIDATKNLTREQSKIDDILDTPKGIKLEINADMSKWSA -QDVFYKYFWLIALDPILYPFEKQRIIFFFCNYMNKELILPDEMMCSLLDQKAQRENDLIRQMTNGFTTNT -VNIRRNWLQGNLNYTSSYIHSCSMMVFKDILKEVSALLEGRCNVNSMVHSDDNQTSVIMVQDKLHNDVIT -NFVCSTFERCCLTFGNQANMKKTYITNHIKEFVSLFNIYGEPYSVYGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITFNTYNMLPGQINDPTKVFHFERRELPIELCGLLQADLSTIALV -GLESGNISFLTSLLKKMSPPQLVKESVQAQCQNIESWDLDLLSESEIMKLKILRYVVLDSEVREDSTMGE -TSDMRSRSLITPRKFTTPASLERLVSYKDFQEILADPVRTEDLLDTMINNPELLVTKGENSNEFMTTILY -RYNSKKFKESLSIQSPTQLFIEQILFANKPVIDYTGIQDRYLSILDVPRVQENEGIIGRRTIPETFIAIK -RDLSLMNLDHKDIKLVYSFCILNDPLNTTACNAILLSQVQSLMDRSSLSAVTMPEFRNMKLIRYSPALVL -RAYIHNNLTIAGANENAMKRDLFHLQEFINQTKIRERLDRRIQDNEEIKGERDRMFEIKEITKFYQACYD -YIKSTEHRVKVFILPMKAYTAFDFCATIHGNLMKDKGWFAVHYLKQIVSGTAKANVSQAPASEMIIVGEC -FRLIAHFCDTFIDVGSRLQFLYNIIDNFTYKNIPVKKLLEIMMRTNKRQHFLPILHWLEELTQHDIDKYD -AYKANERVVWNDWQVNRDMNTGNIDLTIKGYQRTLRIIGEDDVLKIGELEILKGDTTPIETHGRKLLNSK -HGLKFEKMQRYKIIEPNTYYICWQNRTRFSYTYQLLLSNIIEARNSQTVSVTGGKFNELVPVCPVIVSRV -DSDDKMNLRQIKYLNMDCSLTRLQLNQNEFAIVKRCHFSKMVFFNGPEMIVGNINITNLIQTPSLLTTNY -PSLSQMPMMTLTRIFNCNGEEKEVDEFEFLSDEILEETETAVINAQPMFNIQYETKSKKGYTYKKALQEA -LARGIQEIEHNFDFCKDGFYSPKNIAIVALLVNVIDRLHTNEWSSIIRKSFHMCFFNNGKDKLFHMMSIP -KTFIKNPIGEIPNWEKIRTFIVQLETAYPGNNWHQMFEHFKEKCILLIDREIKMEGMSWGEMLDELDDYK -DTEMFHFS ->AHL27169.1 RNA-dependent RNA polymerase [Khurdun virus] -MFQIQERITQLQRRQQHLHNGTIAAELLSDVYMLRHDVVGMLICDAINIPYKDDCPFDEIVNDVEAYVGY -KLDIKQKNYSPDNYLLDNRRLVIIDYKCTTSNQYIADTYNKYNEAFRELADRAHLELVVAVINVNHRTRQ -VESTTHLFDNELLAIDTYNQELNTLCTIGDEITRDWETDDDFLAGRAFGGQKSTPCWTDRDVDLFENDEF -VKFLQSLPSKYQDILLMNMENNPSKITEWDAKLNRTMEMLKADYNREIKEMADKLFLEKKSKEPTTKEIE -EGWLGLEAAMREKYDLTLEYEKQKPSAHFVWAPQREETKIINTNTRKITYLIKALKMIQPQNEYQKLFRD -LANRIDFTDHVEEYETFIREKKNGSCKLQKKQIGKADVYWEGQFSISQMEGRKLLLKEAGVGAHIPFKRK -NPEDADTSRPKMLNPFNELLCIKAESQFETAKMILSRKSPEIYTHNVIEHYKEKIKSCNHETEAMVDDIT -KSDFFRCLMDISTLLKGALSTSLASKKDSIRVICCANPNVFLITYPSTDIKTSGSTLCYSVIALHKEQIP -DFGSTAEKVCIGDSYITISRPMRLDKERVKRLIESPGLFILLTILMSEYCNSTLQDVMAFTFFCSTSITK -SFMTLTEPARYILMNSSAIISDVKSYLSEKFDPMTKTFFSIYCFKKLQTASLNVHNSFKSINPATVKMSD -TEIIHSGVESALDIPCVWFDGKSTLKGYINHAYLPFYTNSKGLHNPHHNIIELTKVPLEIERNLPKDSFW -GKGSKQKVDLNVFIYALAKHFIRLRKVNPNLRETVERTNMFKENIVKISTMTSSKSALVIGDFSDLKKSN -KEKKKINSLSIRKEETNEGEQQKFIEENLCYQHCDYDDVKNYVPNYIDHTTIPVFDILYRTAKEQDIDRP -FIDLAFDSIRNHNQYYFTLFPKDQRTAKDREIYEGEMQAKLALYVLERIYKTYSKGDINEMISEPGDRKM -KIMEENKNTMLRWIASQSQNGKQCSLLEINADMSKWSAEDITSKYIFVIALDPSLYPNEKKHLILFLCKY -IKKKLIIPDSAIKNIMDQKKEYDNCLIREATADLTTNVVEITQNWLQGNLNYTSSFVHSIAMAVYNEVIT -EAASRNESICKVISMVHSDDNQTSICATQGAIKDTEWAKFCSDTISEVMSRFGFQVNKKKTYASHIIKEF -VSMHNLAGESFSVYGRFLLPCISNCAFMGPYEDISARLSSIQTALRHGCPPSIAMVGIMMSTWATYDTYN -MLPGQTNDPEKILNRKRFDLPLEIGGFPDMDLAVFELLGVEAHDINLLCGIIKKYSNDPFGNYLINEVEL -TKPLENYDEWLMKYYLIFSVGEITDKDAIVGETFEMKQRSLITPRRFTTYRKIKKLESYNDYVVELANDQ -CETLFEFIENHQHLLIVKAEDSEEYKKSIIYRFNSRKFRESISVQSSVQLFLEQVLFSHRNCIDIDFLEH -HSKLIEEEQITETGLTGKITIVDAFNKLNEKVQSTTITQAGMQTVLKYKTQTDPMIATLINAQRMFHAGQ -SLEPLSLKCSTIPNFRTIKATNNSPAEILMYYINNRVNDKDIILLEQDKEYLLDFLKESGLLISHEMRLA -NASTRKEKLSERTRFLQSCYVYLTSSASKNKITLIQRKCYNLMEFLTTVFGATRIDGVFITTIFHKPIIL -QSVKGRAAKTRFTASNQALTLFKSLAYFADKFIAASSRRSFCQAIIDDFFFNGMKVKDLLDIALEERDVR -KEILPFLYRMKLTTSEMLRDAIVLTRKPKANWHVPQISRTPARGPFSVTYETDDTTLTVTGQDNLLEFAE -AHSIELKPSRLKDIFDRMSHDLDMDEFRTYPGKLDSGYFIVGRRFKRKWNLTIKRDLENLSNINVKVFCK -ADFHIRELVKVDMFRIHELNKFTDTMTKIEIETDRTLIMRRGNPRLLTALAGPDLTVSNISLNKLLESPA -LLSNRMRDDESAIADIINIFNCKGETITEFDFFGDEEMLDFVDIPFELNIPSGAQNLEGRKIKYKDDLHS -KFIYAGKKLTDELKLRFDFTEEDAIAYSTISGIIDFLKINSWCTHFQSAVHIAASVNGFDKIYHSGIHDS -FLTLKGLDYEKVIKLCNRISMIKNQKTYWNSVISKVMNTIVQKCHKELAQQAMSSESSLLDMFK ->AFH96035.1 RNA-dependent RNA polymerase [Akabane virus] -MDNYKINQYRARINDASDPETAKDILADLLMDRHNYFGRELCYYLDIEYKNDTPIDDILLDFLPPGTDFK -ARYCTPDNYIIHNRKLYVLDYKVAVDNESSAKTFEKYDKIFGDVLVPLGLDYEIVVVRADPVRDVIHVNS -EDFLNEFGPINMNLDFTWFYNLRALIYDKFKDDERFLEIASQGEFTMTGPWLDDETPELYDHPIFKEFYD -SLPNESKITFQRSMNFDATKGDKWNQNLVDTIHEYTPSYNLFIKNASSGIFKCHGDYPKPSNSEITQGWQ -LMTERITTERELTNDINKQKPSIHFLWCPPSEESNENIKKLLRLSKMLQKLDGTSTYLDAFKAIGILMDF -SSNVGLYESHTSKLKNMSRQTSKKIDKKIEAIKIGTSTVMWEQQFVFDTNIIDHQSKGKLFKEFMGIGNH -KQFSKKTIEDIDISKPTILDFNNKNIIDKCIFQYKNVDKILSKPNMLNKMGCYLEEYGPQICAASEETWE -TLKLICNMSYWSAIKDFSTLMKNMLAVSQYNRHNTFRVVTCANNNLFAIVMPSSDIKTKRATLAYFIICI -HNHKDDIMHHGALHATFRSEGKYVSVSKGIRLDKERCQRIVSSPGLFLLTTLLMYNNNPTIKIEDVANFA -FHTSLSITKAMLSLTEPSRYMIMNSLAISSHVKDYISEKFSPYTKTSFSVIMANLIKKGCYNAYKQRSKV -DLRSIHLTDYEITQKGVNNNRDLSSIWFEGKVSLKEYINQIYMPFYFNSKGLHEKHHVMIDLAKTVLEIE -HDQRLNIPGIWSSTPQKQTVNLPVLIYAISKNLLMDTSRHNYIRSRIENSNNLKRSITTISTFTSSKSCI -KVGDFTDFKSRESKVVNAKLEKDIRKYTIANPEFVEDLTEKATIRHAIYDDLKKAIPDYIDVMSTKVFDA -LYYKIKHGEITDRPAVEHILQVMKDHKRFVFTYFNKGQKTAKDREIFVGEFEAKMCLYLVERISKERCKL -NPDEMISEPGDGKLKKLEDMAEYEIRYTANTLKSMKDKALQEFSRFADDFNFKPHSTKIEINADMSKWSA -QDVLFKYFWLFALDPALYKAEKERILYFLCNYMDKVLVIPDDVMTSILDQRVKREQDIIYEMTNGLKQNW -VSIKRNWLQGNLNYTSSYLHSCCMNVYKDIIKNVALLLEGDVLVNSMVHSDDNHTSITMIQDKLSDDIII -EYCIKLFEKICLSFGNQANMKKTYVTNFIKEFVSLFNIYGEPFSVYGRFLLTAVGDCAFLGPYEDTASRL -SATQTAIKHGAQPSVAWVAIALTQWITHSTYNMLPGQNNDPLNVLTSQNRFDIPIELCGLLNTDLPTLAI -AGLEAGNLTYLVNLSRRMSKVQLLRESIQSQYADIESWDLSKLTQMDKFKLKLLRFMTLDSAMSSDDGMG -ETSDMRSRSLLTPRKFTTHASLVRLESYNDFQKLVQDQRQIDNLFEFFIRMPQLLVTKGETTEEFMKSIL -FRYNSRKFKESLSIQNPAQLFIEQVLFANKPMIDYTSIHDRLFGIQDDPNIDDCTMIIGKKTFIETYRQI -QVDLNKFEITNQDVKTIYAFCLMNDPILVACANNILLSMHGLQMNRNGMTCCMMPEIKSRKVIYHSPALV -LRAYVPENNNIKGAEPDEMHREQYHLEEFIAKTKLRESMQARIAKNELKTMGRDFKFEIQELTKFYQICY -DYIKSTEHKIKIFILPKRAYTPIDFCGMITGNTLKDQCWFTIHYLKQITVPARKAHIATSLDLEIQIAYE -ALRLIAHFADTFIAENSRVPFLKQVISNFLYKGIQMQVLYNKIKASRLRTKILPILFYMGDLEQLDVDRY -DAEKAEEQITWNNWQVSREFTTGPIDLSIKGYGRSIRIIGEDTRLTAAELQINRMRNDIISRHGQSLLNK -PHGLRFEKMDEVEFLDDKLHYIVYQLRDRKRYYYNILSTNAIYEHNDRLSQTRSRRNNRWIPVCPVAISK -YVNTDRPILDRIEMLNIDNTKLTSLQVAVSDTAMVKKAALAKMSFFEGPPIQCGGIDLSKLMQNQTIINL -DINKISSISLLDLCRIFSCRGYSNDQDAFEFLSDEVMNEDISEELDSSPVLKITYTKKSNSQNTFKNAIV -KALVRECDRFEEIFDLSDDGFTSDSNLELLENLVWILNHLKTNQWSTELMECIHMCLYRNEMDHIYHNFQ -IPDVFVTNPIELSIKWLDVIEFLEMILAHDFKSEPWISIMNHSITKAIDYSRLEHKKTSAQANISKFIKG -KKMGGRSKFDF ->AEE01391.1 RNA polymerase [Oyo virus] -MDPSKVNQFRHRINAVKEATLARDILSDMYMARHEYFATEYCLSNNIEYRNDVRASEIYCTVYPDRSPFD -IERIRYTPDNYVFLGDRLYIIDFKVSTDSDSSIETYNKYINAFQEFFPDIEFEVVIVRCNPMSMQITINS -DSFQQLFPNIPTNLSFHWFFELRRILLEKFRDNEEFQDMIDHGDFTLTAPWLDEDTEDLYTHPVYLEFIE -SLSSKHESLFQRSLNFDAYSSQTDKWNSNLIYLKEKTTETYNNYVHDMAQSIFETPDIDIPTKDKIIEGW -NNMYMRIQNERELSKSPVDQKPSIHFIWGKPDKNKPTGNNEKIIRLSKSLQGIKDNTGYANNFKSIGILS -DFSSDVNKYENFCSVLKKEARSKAKPNSKGVEPIKIKNCTILWEQQFKYEIIDNDKYSRSNFYKNYLGIG -KHKQFKDRTDIDLSRPKILDFEDMIIKLASKTMIQKAKSVLNDENILEHKGCFLDEYIENIKVCSVETFD -FINKLTKTNFWSSINDFSILMKNMLSVSQYNKYNTFRIVTCANNNLFGIVFPSSNIRTKKSTIVFVTIVL -HEDKSEILDAGALFNTYETSGGFISISKAIRLDKERCQRIISSPGLFILTAVLLKNDNKTIVDSDVMTFS -YFTSLSITKAMLSLTEPARYMIMNSLALSSQVKEYIEEKFSPYTKTLFSVYMTELIKRGCMNANSIREKI -NLRNIHMSDLEMTQKGVSDDKTLTGIWFPGEVSLKEYINQVYLPFYFNSKGLHEKHHVAIDLAKTVLEIE -KDQRESLPSAWGVNFEKQTVNLDILIYSLAENLMLDTSRHKHLRHRVESRNNFKRSLTTISTFTSSKSCI -KIGDFYEEKSKQNAKYLKDRNTEIKKYKVANPLFDNEILEHEIKHSDYNDLIRAIPEYIDMKSTKVFDEM -YVYIEKNPKNTIEEIMEVMKDHKTFYFTFFNKGQKTFKDREIFVGELQAKMCLYCIERISKERCKLNPEE -MISEPGDGKLKKLEQKAEDEMRFIVENLQPVGKCDPNSLIEAVNKPKGSKFEINADMSKWSAQDVLFKYF -WLFAMDPILYPNEKKRILYFLCNYMQKRLILPDEMMCSILDQKEVRQFDLIREMTENYKRNYVIIKRNWL -QGNLNYTSSYLHSCSMSVYKEVVKRATALLDGKVVVNSLVHSDDNQTSVNFIQDKLSNDYLLSFVMKTFQ -KVCASFGNQVNMKKTYATNFIKEFVSLFNIYGEPYSVYGRFLLTCVGDCAYIGPYEDFASRLSATQTALK -HGCPPSNAWLSIAMNSWMTYNTYNMLPGQANDPVKSLAMERKHIPIELGGLLNSELSTIALLGLESGNTT -FLTSLLKRMSGVMIRRENVTKQVQDIKKWDISSLKKHELFYLKLLRYVYMDAIITNDSTVGETSEMRNRS -LITPRKFTTKGSLKRLKSYDDYKEICESSEKIEELFQYMIRNPELLVTKGETITEYKMTILYRYNSKKFK -ESLSVQTPVQLMIEQILYSNKPTVDYNSISDKFYSIDDLELESDEIIGKYTISETLSKIVLDLEKLPLTN -DDVATVYNFCICNDPLTLSIANSLILKVESSEVSRTGLVATTMPEIRNLKLIQYSPAIVIRAYIHSNYDF -KGIDEAELRRDVLHLSEFIDKTGLKDRMEKKIEDYYNSNDDESLMFRLRELTKFYQICYDYIKSTEHKVK -VFILPTRTYTSQDFISVVMGNYLSDKSWSIVHFLKPLEGNNYKGQVAKDPMIELQIADECFRLLAHFTDY -FIADYTKKQFLIKILSEFKFKDFKVSNLWQLLKQSEHRLKFLPILYIMDELTNRDLVKYDSYRTDERITW -NDWQLSQRLNIGRIDLVINGYNKRIAIYGEDNKLLEAILYIKRDTFDQIPSQSRKLLNARHNLLLEKMEP -IKVLDSRSWYICYQKGRKNRYDYVVLNGYQINSRNKSIDDAAHRSKNYTVAVCPVGINTFTDTESVDIAS -VKNLNYEHTSCTKLKINEYETATIRRCNLSKMSFFDGPQIIAGSLNINNLIVSKSLLTLNYKSILKVDLL -SILPIFNCNQTDQEIDFEFLSEEPVDVDEVMDLESQPVFSITVSKKSKGKITYKKAIEECIKINTEKFED -LFDFFEDGFYSSKNLGVIRTIVALIDELTTNEWSTKLKESIHLCMSTKGYDAMFHTFTLQDAFLLKDGVN -KIINWEKIIIFLKKMPDIREEPWKEIFANFKTKAILNANEEMSKKSASLTLEDLAEELAEAGGHSKYQFH ->APG79230.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 5] -MEGAYLDPGEHMIGLDLGDFNYKEHNMVQYNAFNNVLQAVIGQMEQQDGDNPNIEEWAGLIDRGRMARHN -LCHVVACVSLGVDFQEERPFRDFLTAEEQAGLTEEGVEMTFGDPGHQRRPMNLTPDIVVADRTNQGVTFK -IYDVTVSYREAAVGEKISKYESTIGAALRFLDAGRGLIQGEFYGMRVEPEPAEIDGLTIGPEAVSPALRV -DTRQALIAYIAAERALTAHLNQQQYHAMMSYISRDYWVAEDPKFPVFDEWPDCTDREYTDYSEYIMVQFL -EHPPESPLTVQTHEGIERAFKEIEETAQKSFMECEPRSPFYISWTKPETSYVDESLSYPPDCLNAYMVGK -FMGDLKTAGTIGPLLSELCTSAPKTRYEYAVFTTGEYDITVQGDDEGSNFERLRVKRKIPYRNCLGLRVS -NDGIREDMNKGGFKVSGKPIPKKETDETHIKESVPFWTAEGLTRCQTLYDEAAAVLKAPFEPGIPLNEQY -SPMLNKQELLLEQKAAQGLRDEMLQMNMSGAAHYTMHVTRVAKSLMAVEKKARKHWYVFSAGNPDFCCIV -GPGMPIDTSGSTIGFKTIVRQRRSGNSPKILATQEAVHMTEEFVYFESKWMRLDILRIDGLLNSFYKFTC -GMVGMIDVIKTHEPGKDWKECLPFLTLISVSEHVLDLNLTDLLSYLVPSAVSAFSGLGKLLKKKLGKNLK -TWFQGWMYKRMKERTAALNEAARTTKFSPGQFSETGELDAESLISKWDVPSIMFDLTYSSIHSLISELSL -NSISEKGLHQGKSVMFQIHSTIAEYEIMVEDLAGVDETDLSEPVEVEIKQRITVSDMKKKAITQSNEYVT -QKRAEMHEILKRNPDAQLDKKLFRKTKAGKWEVIKTKKLVDFRRELRKEDQTTKVKKIRKLRDEDRITEK -VRQITYGEDDFKRYFEMCLGNDQNTKFRYSPDMVYQMGVEISRSLSGEALARMAKDLQKIDCTRSALNKT -STKGSMAHKCGITPPTRCKKQDALLLAHSDLQIDTQEQHILHFIDCEAMVCVVPKRQSTAVDREIYILDV -VSIMALNLVESVAKAICDQIDIEMITVSGDIKEIKMQMDFSKLVQDIQRLSEKLGINLMYGNATWDESKW -SVLQCFAMYIHLFKGLEHMLPKNLAKTCAVILQRMQEHLYLYLPDEFLAEAQKGEEKQDWSGSPLYRWTE -GYRKNKVKMNCNWMQGQMNFCSSLLHSAQKLFSLKVYRRVAFAIINKWDRMGLTPVFPGFEDKAKNAAKS -IMETKGYAKLKEFNYDIIVDDLYKDVADLLTGLIEDDPEKMKVMAVVRRYIVQKGIVVMYAHSDDSAIAM -ATFDGAEIILMSSITNVLGQLFAFQTSAEKSYMSNCLFEFVKHYFSGSDSVKPTVKACCAVAARPALYSY -RNDLIEVMGRISQACAWGLSDSGAYWLRCLANKRVGEFYSLQKGMRNYNPIRECMPPEFYGPYLMPIQKM -RYLSPIMEDQWKGECFKELPVDEQTPKMLETMANVLIASNGKAFGNYLSTGIEDAMGSTITRAKPVRTLT -YFKLTGEQERIFDRLKLPQEEFDHLMEIFPQARFLQPRNNDDLRYLTIRAMYNRPMFIESYNNLSSSALM -ARALKQVKGKCMAMMPQGPLQFLRKWDPKTATLHDFVFQETKFQASQCFEVEDDLDEEEDLELVEVEDFD -EDEMLSYEELFLADGEDEVNTGEIALTMKELWVVLEYLQHKFDFSSITPNDMTRVLGTTDPGVVQQKEFT -NSIQGAVLVDKTPISLAAVRTPHRKYQLDFANSAYDLVNMTFYTEEQRLDPITPRREDLIQSEAARLERI -YPFNEENRDRVAQEAYARLAASRKTKAVLHAPRLHSNGWEEIQRAIVEHMLVPGKKCVFAASRAVKQVSL -QTDELPPALENINKCLCDLIRYCVSVKMSQEKMASVIESLETSIPGMEGWEEIQARLRSLVKDATENQKR -RMMFWALWSDNKSLIGELETNLNVTRTEFLLPQRWDKVRKKWVGNLEVNYYHERSVRRGWDGIHLSRIGN -SNPEARTNISLWRNLRTALNTMGKDLLYPDGWWQEAMSTWRLFRGERETTGMYIVQSWRSTGRGLKQKYY -RIGSPQVNERYCISIIIDPNLILRRKVMRPVDVTYRVDEESLYRTLKKTATEEKVLTVTERTGEWSMLSI -KGSHTAGYADIGEASRLIGMDTMMARAPKVPLPKDFVKIFKPSPKYAEYTHLADKLSTEYKKLQHGEAKT -ERQEEEKVTPESLFYYAGEVTFMKESQGYVKQELEAGGLNSCELSYLYCQGVSLPKNIQLFAATWFIYLS -KTPVITEASSVKVTASDKRKKSKRRKELVAQKLKSEWTNKNMQERENLRLWLKFLSTVKISLDPRFLGRE -IHGVVVKGVFDPEKVKLTINGIKMRAWAVEEYQKDEYVPKGVRAFHNLVHGKKTEEDDWAVFDEMPEEEE -EDVVEEPITTEIPAGAEGGVGSSSEDEEEMQVPAEILAMMQKGQGIFNFKG ->AKO90169.1 RNA-dependent RNA polymerase [Matruh virus] -MDQEIIRRFNGRIRAVKEPTVARDILTDLYDERHNYFAREFCFANNLVYRNDVKATEIIGEMIPDFYIGD -VEHIKYTPDNYVKVGEKLFIIDFKVSTDDTSSIETYNKYMNAFADVFKDVDYEVVIVRANPMSNQIIIKS -DEFRTYFGRVPPGLTFNWFFDLRRMLMDKFKDNDEFQDMVDHGDFTLTAPWVNEDTPELYDHPIYREFID -SMGAKHEVLFNKTLNHDAYESKADKWNSNLVYLKEKTEDYYNDFVRKMSDNVFIADGKYSKPTKDEIEQG -WKEMTKRVHEEREVIQDVSKQKPSIHMIWSPNDPSASNENNAKILKLSKYLQNIKDTDKYAQNFQAIGKL -MDFSENVFKYERFCNELKRDARAKIQKRDQKIEPIKIGDCTILWEQQFKYDIIDHDKYSRSNFYKNYLGI -GGHKEFKNRGLDDINLEKPKVLDFNDSSIIMASRVMMDKTKALLAQNSTLQKCGNLLDEYQSKIENASPK -TWDNIKTICGTRYWQAINDISTLTKNMLSVAQYNKFNTFRVVCCANNNMFGIVFPSSDIKTKKATMVFVT -ICIHDSIEDVLDSGALYRTYKSNGKYISISKGIRLDKERCQRLVSAPGLFMLTALLFKSDNDLVSMLDIL -NFSFFTSLSITKAMLSLTEPSRYMIMNSLAISSDVKNYMAEKFSPYTKTLFAVYMTNLIKKGCYEANEQK -DSVELRDIFMSDIEITQKGVRSERKLKSIWFPGLVSLKEYINQIYLPFYFNSKGLHEKHHVMIDLAKTVL -EIEKDQRVNIPGIWGESFEKQTVNLDVLIHSLAKNLMLDTSRHKHLRHRVESRNNFKRVISTISTFTSSK -SCIKIGDFEKVKTEQVEKKRKAQKKADSTLRIANPLLAGEMGAEEVHHADYIDLKKAVPEYVDMQSTKVF -DRLYEKIKNGEIVDVTIEEIMKVMKNHKQFYFAYFNKGQKTAKDREIFVGEFEAKMCLYGVERISKERCK -LNPEEMISEPGDGKLKRLEQMAEDEIRFIIENVRSLKERDGEATAESEFLDKVSGENTRAQKIEINADMS -KWSAQDVMYKYFWLIAMDPILYPFEKKRIIYFLCNYMQKRLILPDELMYNILDQRYVRSDDIIMEMTNGF -KRNWVEIKRNWLQGNLNYTSSYLHSCAMSVYRDIFDITAKRLQGEVLVNSLVHSDDNQTSISMIQSRMDP -DVIIQFAINTFAKVCLAFGNQPNMKKTYITNFIKEFVSLFNIYGEPFSVFGRFILTCVGDCAYIGPYEDF -ASRLSATQTAIKHGCPPSIAWVSIALNQWITYSTYNMLPGQNNDPARNLGMENRFEIPIELGGYLESDLS -TIALLGLEAGNVTFLTRLIQKMSNIMYKKEDIVSQTLRIDTWNLEQLTKSELFRLKLLRYVSLDSEMSID -NGLGETSDMRSRSLITPRKFTTVGSLAKLTSYRDYQKIAESPDETEELFEFFIANPELLVTKGETAEEFC -KTIIYRYNSKKFKESLSIQNPVQLFIEQVLFSNKPTIDYTGLAERFVNAIDFDENLDETTIAGRMTIAEA -LRRIADDITQLELDHEDIKTIYGFCITNDPMIITASNSMILQITGTGQERTGMSSNYMPEMRNFRLMQHS -PAVVLRGYIHGVDSVEFADQSELRRDIIHLEDFIKKTGLKERMEERIKTYHETKDDDSKRFDLKEITKFY -QVCYDYIKSTEHKVKVFILPIKVHTASDFCAVLHGNLLMDDLWFNIHYLRQIESSSHKGEITSFRNVEID -VAVECFKLLAHFADWFIAGHSRKTYLKLMIQDYSYKGMTVKELYELLLKSEQRVNFIPILFRLGDLKQED -INKFDALKTNEKITWNNWQVSQRMNTGLIDLTITGYNKRITITGEDDRLDEAMLYIKKDSYDQISNQSRK -LLNTRHNLMFEKMKPVKFIDPREWYICHQKGRRGKCDYVVLLGKQINSRNEQISQIHHRSQNYLVPVCPV -GISIFEDSEATTLEDIEDMNLDNNSVTRLRVNRDEFAIVRRAMITKMIHFSGPPMVAGIIDIEKLMHCRP -LLNITYTTVRNSSLMDIVQIFSCTGDDDSKDGTFAFSEDPLEDEESQEIDSLPIFNVTFRKRGKSYMSYK -NAIEEAIKVTTDEFKVAFDFSDDGFFSSKNLGIIQTISSLIKELGTNEWSTSLDKCIHLCMYREGMDEIY -HLFEMPKYFLRKVDESKPDETVVNRGDWGDIVEQAEYEIINRINWEKVLGFIDALPNAKGAPWCEIFTHF -KKKAKELVLSRISALQKKMTLEDFAEELKVEGGKSMYQFF ->AGX32061.1 RNA-dependent RNA polymerase [Herbert herbevirus] -MENYEIIKKKFNERSNNGFQNAEIYNSLIKCRHDIFGEQICSALDIPIRNDVDFEVIIEDLLNKYDFRLE -KYFKVTPDNYKIEDNILLIIDYKVSRSTMNIEKTLIKYNNAFNWVPKLLPIDFKTIVINLNPDTLMIWSN -QMEFLDQYRFEINLEEIKSINDMLEVLEIQNSDDDIFMRNRIGDSIQVTEDWFDVDDSVVDQTLKNDVTF -FDFESSLSKDILGIYTKALNSNVAMSGIEMQEIYKETIKKTQENANRTKKKMLGNLSDLEKLIPNKDNID -SGWRILESKLLETKSLSNNLSDSKPTVHLLVSTIDIVDSDLEMNNFKKCCLFGRLIKLLDWSKVIGYKNN -LIRNDHFQEFFKILGEDMDMAGYETSYNSMVQEFKSNRTGFSNNTKYQKKSQSKSVSPNLPGIMVNDMQF -LLRNFPNRKLFTSFCGIDISKSNDFKKGLVPEQTKPFSLSPFDESLKEQVNEIFNNIKLAQISPTQEFSK -TVLKDYINKTRDIDQDNYTLYENIIKTKGYKICTDMSILIKNALSVANFQNYKTYRLVFSANKSSFLILL -PSMSIKYAQSSICFISCCFVKKGDSIEYYAGCDRYRIPVTGTEFEMLISNPIRLNKERAKRLVEAPFLMF -LISSSFVTTGCDLDSIINYSFYSSLNITKSLMTLTEPARYILMGSSAKVSDVKSYIGEKFEPSLKTAFSI -WIYNKIKDASYVANEKLKGIVCKDVFFDEDKVKGRGVKSIKNLPSIYFKGDLDLKGYLNEVFIPFYINSK -GLHEFHHNIIDLTKVPCQIEIETKKDMREFWKESDSQYCNLPVFLNYSAKYYQRLVKQGARYRNNVETSN -MFKEPIYKIPTMTSSKSCVQVGDFFDVKIHSTDKKVQLALKTKMPNTRSYITVDYDPEILDDMVLYIPID -KVFSSKLFSSKMVIMDTIKMNKQFKAWIQGSNIGDTFRLSGKNGYYIFCICWDNLKDKFEWDSHSVGFLV -NAMKLYNDSSKMQGVTNIFPSGFTKNVNQLEYMIETGIMKANLKVYNNSYYLFEQGLRDYSHTVRENMEI -TPKDSDNSNLLKTGLYQHHDYKAIRSNIKNYTDYITKRVFDRLYDKIGEFQNKEFIKIAGECILSHKTYY -STLFCKDQRTAKDREIYEMELEGKILLYVIERLFKTYSREDMNEMISRPGDVKVLDIENSRNRLFSFATQ -FQSNERYKYNVYMNEINADMSKWSAKDITAKYLFLIALNPSLRSREKKVISLGLCRYMRKKLILPDSAMG -ILLDQYSKRIDDPIIEMTNNLSTNCVTISQNWFQGNLNYMSSFCHSIAMDFYKDLNFDFAKTIGIDNVLT -VSLVHSDDNQTGVCMIEKIDSLLEPEKTTNIYNQSQDKKIATAIFKLLELSMRQFGFILNTKKTYISSII -KEFISMHNLNGEPFSVYHRFLFPVVGACSFLGPYEDLTARLSGIQTAIRHGCPPSLAICSIGCATEMTYG -TYNMLPGMKNDPGHVFGYDRFLLPLEIGGYPFFDLSNFVELGTVANDIRLLRPMIAKLVNTIKYKTIEEQ -LLNFNSGDIKLLNEYEKFIIKFYKEFCITDLFDPSGSIGETYEMSKRSLLTPRKFTTLKLIKKLTSYKHF -TSMSQAEKLQNYEFMLSNKELLVSKPLDFEAFKQVILFRYNSRKFKESLSIQSPVQLFLEQIISSGSKCI -DREFLNDIDNEPNIDLAEPDRNQLLGRLTFVEAFQRIRSIIQRKEINFDDMIVVYKSKITNDPLTSTCYN -IEYAVEELSHSAKESVYSQKLQEYRQVRSFLSTPHSIIMSLLKYIESGIEPSEDTVLYSDMMELLKYFIE -SGLQAYLRDYNYKHMNDQNLYVKEQLSIWTEVLQILYLYTTQINKRNMSILLPKRTYSLTEFLITLKGAL -QRDKFLVNYKLTFNPLKFKAKGITKLEQLDTINTAESFFRSMTTFMESYIKPEFRANLILQIIKNCSLGA -TTISELYDLVRINCPHQFMALLNLLGDLEETTVKSYVSNIKSVSQAWLKEQSFRQGMIGEFDVIYYNLRS -SLQVKGDNKKFTDMIFTYQRGLDLNQLIYKDLDIMLNKLRYDMKLDQIEFDPPISMDDNSIYFVKAWNKG -IAKYTAKYYKDILQTDLMLCPINIHISNETNYEINDIMNSNINNMSCRYFKINDALKSYQTSRRGPISVL -LNLKGDIDALGTFSLSSYIRFIASFQGTQKPSFASLLNFIDLFKCRDTDKTLVEAPKEIRTEIFCFDDAN -LDIEFNFDVIFKMKVKTPYSSLTTLMDIVNNKEKLVEYMMTKRDDKKTVRDYQKSLAILIRQFQVIQNMK -MKLGVSLEADSIFVKMLHLYSLDTPAHKGFHDINSLPEKFFKKDKLFELDLEFVEKNVMIKLDLPNNFLE -KKLEIEILEVFKKLKTEYRNFVSKEFPDLSWADEVEKSGFSFF ->APM83098.1 RNA-dependent RNA polymerase [Mirim virus] -MAILIEDIVRQYQARIRACTDPEIGRDILTEITVARHNYFAQEFCASIDLEYRNDVPALDIVMEMVPDFD -PTAIYVPNVTPDNFMRDGNKLYIIDFKVSVSDESSNHTYKKYTTIFGDIFNQLGVEFEVVIIRMNPSNMH -LTISSDQFAHLYPNIILNLDFSWYFRLKEALFTKFQDNEEFMELVAHGEFTPTFPWVTEPTFELMQDPIF -IEFIESMDAEHQDDFFYALNNNAFQSDKWNDMLHMFMQKYSQKYKAFVSKMAKEIFIIDENFQKPTSREI -TLGWNEMIKRVGEMRNVTKDVHKQKPSIHFIWSPHDYKSPVDNNKKIIRLAQALSSIKDKDNHSLAFKYI -GELMDFSSDISKYENFCISLKAAARSSIKKKSEQIKPITIGKCTILWEQQFKLDSDIIPKEIRLKFLKEF -CGIGGHKQFKNRMLDDLDLEKPTILDFSDIEIIRHAQIMVTDSKSFLSKKSGLTKIGNTLEDFKDKILNA -NENTWKIIEEISKTRFWQCINDISTLVKNILSVSQYNKHNTFRVVTSANNNFFGLVYPASSIQSKKATIV -FSTVTLHKNEKDVLNCGSLFKTYRIGAGFISISKAIRLDKERCQRLVISPGIFLLSALLFKGDSDVELTD -ILIFVFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYISEKFSPYTKTLFSVYMTELIRKGCMSANN -QRHKISVRDIFLNEYEITQKGVSDNKDLESIWFPGNVGLKDYINQVYLPFYFNAKGLHNKHHVMIDLAKT -ILEIELEQREELPNPWGDKFEKQSVNLDILIYSISKMLNNDTSKHNHLRSRIENRNNFKRSLTSISTFTS -SKSCIKVGNFYDLKDAMSKKIRKQLEKEAKKTRIANTEFVNESDRDLEVAHCTYSDLVKSIPHYTDYMST -KVFDRLYEKFKTKEYEDKPSIEIIMDVMKNHKNFRFCFFNKGQKTAKDREIFVGELESKLCLYCVERIAK -ERCKLNPDEMISEPGDGKLKKLEINAESEIRYLIEMTRKKTKEEEFLSKLTDNPKGIKLEINADMSKWSA -QDVFFKYFWLIALDPILYPFEKQRIIYFMCNYMKKELILPDEMMCSLLDQRQSREQDIIKQMTDNFSKNT -VNIKRNWLQGNLNYTSSYIHSCSMMVFKDIIKETSSLLEGSCNVSSLVHSDDNQTSVIIIQDKLHNDFII -NFVCNLFEACCLTFGNQANMKKTYVTNNIKEFVSLFNIYGEPFSVYGRFLLPAVGDCAYIGPYEDMASRL -SATQTAIKHGCPPSLAWVSIALNHWITFSTYNMLPGQQNDPTKVFNCSREELPIELCGILKSDLSTIALV -GLEAGNISFLTSLLKKMSPPQLTKESVQTQCNNIKNWDLNKLTRMEIFRLKILRYIVLDTELSEDSTMGE -TSEMRSRSLITPRKFTTASSLNRLISYKDYQDVISNPTELDSLLQDMLDHQELLVTKGETSEEFRKTILF -RFNSKKFKESLSIQSPTQLFIEQILFSNKPTIDYSGIQDRYLGLLDIPAIQENEGILGKKTIPETFGSLL -EDLSNFTITHEDIKLVYSFCILNDPLNTTACNALLLSQIQSLMAKTSLSAVTMPEFRNMKLIKYSPALVL -RAYLHGDLEVGGASEDAMRRDIYHLEEFIKQTGILQRLETRIEEHNRLTGQQDLLFEIRERTKFLQTCYD -YIKSTEHKVKVFILPSRPYTAFDFCATIHGNLIKDKGWFSVHYLKQIVSGSGKALVNQTPASEQINMDEC -FRLIAHFCDTFIEKTSRVSFLDNILKNYTYKNLPVKQLYNQLINSPTKRQHFLPLLFYTKELTQSDLDRF -DAYKSHERVTWNDWQVNRDMSTGAIDLTIKGYMRSIRILGEDDGLKIAEMELIVGDNTPIESHGRKLLNT -RHNLKLERMKKIQVLEPNMYYITWQKKSRNTFTYQILLSDIIANRNKQSFSITGSKYNELVPVCPVLISR -IKSVEKIKISAIRHLNQDYNLTKLKITQNDFAVIKRCHFSKMMFFYGPSFVVGNIDIAKLMTTPSLLTVN -YPSLCQIPLITLAQIFNCNGDNQDLDEFEFLSDELLEDIESEVVNSIPFFTVSYASKSKKGHTYKRALQE -ALRSGLTELESELDFCKLGFFSSKNVAILSTLVSVANRLNMNEWSTLLIKCIHMSFFNNNKDELFHKFRI -PKAFLKEIIGEVVDWQKVKLFIDGIEAINPDSHWGQMLGHFKTKAKLAIDLELKLEGSTWGEMLDMIDEF -RDEDMFQFN ->AKB96244.1 RNA-dependent RNA polymerase [Gumbo Limbo virus] -MAILLGDVIRQYNARIRTCSNPELGRDILSEITVIRHNYFAQQFCDAINIEYRNDVPAIEIIQEMVPDLD -PLTLKIPNITPDNYYRDGTKIYIIDFKVSVSDESSVYTYKRYNALMGDIFDGLNIDYEVVIVRMNPSDMH -LHISSDNFANLFPNIALNIDFTWYFRLKEDLFERFRDNEEFMELVAHGDFTPTIPWVDEDTPELMRDPIF -LEFLESMPDDTVNDFFYCLNNNAFESDKWNDLLHIMMRKYGDYYNKFIKDQAKNVFLLDGFFERPTKSEI -EKGWSGMVQRVMNEREIVDDVTKQKPSIHFLWAKHNDQLTNENNMKIIHLSKKLQNINISDNMSTAFKAI -GKLMDFSEDMPKYEQFCLRLKAEARSSLAPKSKKINPIKIGDCTILWEQQFKLDTDIIPKEIRIKFLREF -CGIGNHKQFKDRMLDDIDLDKPKILNFQNPDIINQAYIMMRNAQTFLEKDSGLKKMGNILEEFKDKIIGA -NEETWRIIEEITKSRYWQAINDFSILIKNMLAVSQYNKHNTFRVVCTANNSVFGLLYPAASIKSKKSTIV -FSTVVLHDNEKEVLSCGALYRTYKTKDGYISISKAIRLDKERCQRLVTSPGLFLLTTLLFKGDNNIKLSD -IMNFSFFTSLSITKSMLSLTEPSRYMIMNSLALSSHVREYISEKFSPYTKTLFSVYMTDLIKRGCMAAND -QRQLISIKDVFLNEFEITQKGVSNDRNLQSIWFPGKVNLKEYINQVYMPFYFNAKGLHNKHHVMIDLAKT -VLEIELDQRLNVPEPWSFDLKKQSANLYVLIYSIAKMLNMDTSKNNHLRNRIENRNNFKRSLTSISTFTS -SKSCIKIGNFQSIKEKKANHIKRIQEKDVKKTRIANTEFVDEIDRDYEVAKSTYKDLIKCVPEYTDYIST -KVFDRLYEMFKNGEIEDKPAIVTIMETMKNHKNFKFCFFNKGQKTAKDREIFVGEFEAKLCLYGVERIAK -ERCKLNPEEMISEPGDGKLKKLEINAESEIRYLIDTTRSKNTELSKVDDILDTPKGIKLEINADMSKWSA -QDVFFKYFWLIVLDPILYPNEKKRILYFFCNYMKKELILPDEMMCSLLDQKAERENDIIRQMTNGFRRNT -VNIKRNWLQGNLNYTSSYIHSCSMMVFKDIMKESSILLEGKCVVNSLVHSDDNQTSIIYIQDKVNNDIIT -HFVCDTFEKCCLTFGNQANMKKTYVTNHIKEFVSLFNIYGEPFSIYGRFLLPSVGDCAYIGPYEDMASRL -SATQIAIKHGCPPSIAWVSIALNHWITFNTYNMLPGQINDPCKVFLFDRRELPIELCGILQADLSTIALV -GLESGNISFLTGLLRKMSQPQLVKESVQAQCIDIPNWDLSKLTESEKIRLKILRYIVLDSEITEDSKMGE -TSEMRSRSLITPRKFTTTSSLERLVSYKDFQDIIVNEEKTNELLDKILDKPELLVTKGEDSEEFMTTVLF -RYNSKKFKESLSIQSPTQLFIEQILFSNKPIIDYSGIQDRFLSILDLPRVQENDTIIGRKTIPDTFHAIK -KDLSTLNLDHQDIKLVYSFCILNDPLNTTASNALLLSQVQSFLERTSLSAVTMPEFRNMKLIKHSPALVI -RSYIHNDLNILGVNEEAMRRDIYHLSEFIKETKMKEKLETRIAHNEEIKGERDRLFEIKEITKFYQACYD -YIKSTEHKIKVFILPSKAYTAFDFCATIHGNLIKDKGWFTVHYLKQIVSGSTKATINNTPTSEMIIVDEC -FKLISHFCDTFIDTNSRLAFLNNILDKFSYKNIPVKDLLAILLNSFKRQQFLPLLYWIGEITQNDIDKYD -ALKSGERISWNNWQINRTLNTGPIDLTIKGYQRTIRIIGEDEKLQIAELQVLKNDTTSVESHARKLLNSK -HNLKFEKMEKVEVMDQSSYYICWQFKTKFSYTYQMLLSNIIEARNNQIISVTGNRFNELVPVCPVIISKI -TSNEQMNLRQIKYLNMDCSLSRLKLTQYEYATVKRSHFSKMAFFNGPSLTVGNLNITSLIQTPSLLTTNY -PALSQIPMMTLTRLFHCTGETNQIDEFEFLSDELLEETETAIVNSVPLFNAQYEVKSKRGYTYKKAIQEA -IRKGIEEIEETFDFCSEGFFSPKNIAIIALLTNLIDRLQTNEWSTIFQTALHMAFFHNNKDDIFHCMKIP -KAFIKNPIGETINWEKTRKFIIQLQTKNIGSHWDQMFEHFKSKCLILIDREIKMEGMSWGEMLEELDDYK -DIEMFSFGF diff --git a/seq/clusters_seq/cluster_710 b/seq/clusters_seq/cluster_710 deleted file mode 100644 index 82e7be1..0000000 --- a/seq/clusters_seq/cluster_710 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009507772.1 Pns7-1 [Oat sterile dwarf virus] -MERSSRETTDYSYQYTKTQNKTMKRYKDSTADTIAYGEIQTCIGVNAPAMALSDYLTSVAINFASERPLD -PLEPELYHNLNYLQVYPHDVDLNEWMQQFMCATEDCKSLSINLLCMISTLYAGNAYIENGHYRYESKTQR -ITQQKDYDSLAILSRAAKMVLRLTSVKGDVVRDIQRWLIRYFTGKAHASLTISWSPNSLLPKLHDYSTNE -NLLTYYYRTKLRLYNILSNPTEIFGGNVDSVYTVIFLQYAISHGRHGTASTPKRFSELINTYALPNINPA -MALCTIRKPSVGLIAARGMLTTVLIRGYSPCLTPYVYIIKLETEHPFQNSLHVTDGSIRTEDEVVEPERE -LVDVENLVEPASKVKNGR - ->YP_009480340.1 nonstructural protein [Maize rough dwarf virus] -MDRPAREHLKFSKANTKNEIREMRIYKDDTADGLCFSEINVGCTSTTPKMSLSDYFSSVSCSFDGEMRIP -DIPLKMYGDLHFHEQFTNDVDLDLLCWQLLSSNQDSRALCVNILRMVTSLSLGNAFISEGRYHYAIDTTE -QTSAEDSDALRFLARIAKIVIKNDVDKSDVVAAQQTLIYYYFGNSYQGIHLNWDSKSSQQSIHGYSTSEV -CLDHYIRMKVDLFHGLRSKNLVYGGNYQLVYQALFYYYVITNGRFSSGFNVRKDSIKSYFVPNDDPSMCN -VSPRKPSLSLMFIRAVLITILIKDYSPVKEIPKYLRQLEVENPLTNSCLITDNGLRSEVPMNAAVPSAPT -PTELPVFSPPSS - ->YP_004021930.1 P7-1 [Southern rice black-streaked dwarf virus] -MDRPAREHLKFSKANTKNEIQEMRIYKDDTANGLCFSEINVGCTSTSPKMALSDYFSSVSCSFDGEMRTP -DLPLHLYGDLHFHDQFTNDVDLDLLCWQLLSSNQDSRALCVNILRMLTALSLGNAFISEGRYHYALDTTE -TTSSEDVDALRLLSRLAKIVIKNNLKSEDVTLAQQNLIYYYFGTSFKGIHLNWDSRSSQPSVHGYSTSEN -CLDHYIRMKIDLFQGIRDKNQVYGGNYQLVYQALFYYYVITNGRFSSGFSVRKDSINSYFIPNENPSTCN -VSPRKPSLSLMFIRAILITILIKDYSSVKEVPKYLRQLEVEHPLNNACLITDSGVRSEVPIVKESPGTLP -VFESPSS - ->YP_956851.1 ORF1 [Mal de Rio Cuarto virus] -MDRLFREHQKFSKANTREETKEMRIYKDDSVMELAYSEINVGSTPTSPRMALSDYFSAVSCTFDEEVRPP -ELQLFIYGDIHFHDRYEKDVELDLLVWQLLSPNQDSRALCVNILRMNATIAMGDAFIRDGSYNFCQNCQE -TTSEQDLAALRFMSRLAKIIIKNSLTKDDVINAQRSLISYYFGRVFKSVTLTWDSKCNLPSVHGYSTSET -SLDHYIRMKIDLFKALSHNNLVYGGNYQLVYQALFYYYVVTNGRYFSGFSSRREAIKSYTIPNDCPVVCN -SIPRKPNLSLMFIRAILVIMLIKDYSEIKETPIYQQQLELEDPARNACLVTDSGIRTELQNEPVTVPVTL -PTLPTFSSTKN - ->YP_249766.1 hypothetical protein FDV_s7gp1 [Fiji disease virus] -MERSSREHSKYSKANTLNETYQMRMYKDDSTPDYCYSEISVGLSSSSPKMSLSDYFSAVSVTYGDEARLD -EYKPLLYSDLLFAESYELDVDINLLVWQLLSSNQDSKSLCVNVLRMLHTYSLGNAYMGGGIYHFSQGTNT -ETLSDIVDILRLIGRLAKIIIKTKFSQMELKCVQTHLIYYFTGKAYKSLSLSWDSKSILSTSNGYSTSEG -LLDYYIRNKLDLFKALYSKNLVYGGNYYLIYQVLVYYYIITNGRYSTGFNLRKDSIKHYNIPNDNPKMCN -SILPRKPNLSMMYIRAILIMVMIKDYSPIKLVPLYLNALEIEDPAYMSSRITDGGIRMETDNMASTPDIS -RVLPAYFNGVKNDQ - ->NP_620454.1 hypothetical protein RBSDVs7gp1 [Rice black streaked dwarf virus] -MDRPAREHLKFSKANTKNEIREMRIYKDDTADGLCFSEINVGCTSSTPKMSLSDYFSSVSCSFDGEMRIP -DVPLKMYGDLHFHEQFTNDVDLDLLCWQLLSSNQDSRALCVNILRMVTSLSLGNAFISEGRYHYAIDTTE -QTSAEDADALRFLARIAKIVIKNDVEKTDLVAAQQTLIYYYFGNSYQGIHLNWDSKSSQQSIHGYSTSEV -CLDHYIRMKIDLFKGLRSKNLVYGGNYQLVYQALFYYYIVTNGRFSSGFNVRKDSIKSYFVPNDDPSVCN -VTPRKPSLSLMFIRALLVIALIKDYSPVKEIPRYLRQLEVENPLNNTCLITDNGLRSEVPINANSSSTAA -PTELPVFSSPSA - diff --git a/seq/clusters_seq/cluster_711 b/seq/clusters_seq/cluster_711 deleted file mode 100644 index efb7a53..0000000 --- a/seq/clusters_seq/cluster_711 +++ /dev/null @@ -1,73 +0,0 @@ ->YP_009507756.1 NS88 [Scophthalmus maximus reovirus] -MAARINLRMLSADSSLTTNKPSTPSHPPSDNPSTSAAAGFQSLPNLSFRSPPTWSLTYKGVAFHGVCDPP -CEPFIPIAGYLSQMISHMTPSSEPHRIEDVNNLVAVGLSQLGVTPSMSLGEVEVLLNDRVARVHDGSGPF -FDPVPAPVPAPLSPVPAATVSPPPPAPPASLAPAVTSLNPALAAAPTLKPSSVPTGTQTLLMPLQLTAAT -STATPTASPTVTDALSTTRADCGPTSVNEPSTLWSESALDEFDGPAHCSLATNPPLIDGRLYSQVVQPPV -KPVDQFIVCDSRRVSIGSTSKASSVASETPALMELNVTPASTGPRRPPRPAPGPFPPRTGRRRAHHIADD -NTYNEARLAYARGRPTTSPLYNQGLEIHSERAFFSSFPHHINGKWVAPTSVLNVVAGADEDLNDSTIHSV -RATDCSGMYEVTATNGEVISRLNVMFIDSDTTSQALTHFITPHSLIAITPYAAALMVQFRLTKGVFSKSH -RRMVMGIDPVMIHLNSRGVALWNVLTQHLLEYAELYASASLRDLVTALLDPVNVTTMRWMKRTLPNCVAA -VCEMRTDPIPTLSHILNVETPTTPVSSAASSLKLSELQAENTALTSQISTLEAQLEAATLSLATTRESIQ -REQAVHSADSLKQYLHDHVCVNCHEEPFLNATVGVEAAGQILSARQNARERAADKVRQAVSAGFAETVTM -LQERNLSLDQRVKATVDELNATATQLRSALSRLSSAEGRSHDLVQRNELLESQLVEARQLSSYQDSHQKE -TITALQTTIRDSLPYTPVHYGQGQFTMPSLPPVRSMMPDFDPSDLLM - ->YP_009351854.1 NS1 [Fall chinook aquareovirus] -MASTRISLKNLGSATSSMTSPALNQLLPSDSSNTASPPSITHPSSQPPPTWTITYLGTPFHGVCAANIIP -YIPLAGYLSDMLTHVNAPQVPSRIQDVNNLVTLGLAQLGVTHITDDNTYGESRLAYARGNPATSPLYKDG -TEIKMERAFFASFPTCVKGVWKAPTQFLPVIASQIEDLSLLTVHSLRSTATSNHFDVVSSSGECVAKLAI -LFINPGTELNAIHGFMASHPIISITPAAAAVMVRARLTDGVFSKSIRRIVMGIDPIMISMEQSGVGLWNV -LTQHRLNFAETHASASLRHFVSHIERPLYAAQLGWMKMSLPTNVSAACEMGNDVTLTLTHLLNAAEPARS -STPDPTMAHVKELEVENGGLRKQLSVVQSQLEFSNAALDESNAINECNRRADNRDEMVAYLSSHVCMNTQ -ECEFLTSRLGSQVASPIQAKRAENKSIAVGALTDKLTQASASTINHLQSRLSESQTKNDNYAANVEQLSA -HLRQTTSKAMDLERDFAQLQAAHDLISEECISLRQVVERHDVHNAATVSRLQNTLRDGLPLTPLTYGQGQ -FALPPRFDTHDTMSPIDPATLLDL - ->YP_009259510.2 putative non-structural protein [Etheostoma fonticola aquareovirus] -MAARVNLKMLGTDSSLTKNKQPTSLSYNQEQSAPAPSPSFQSLPNLSFKSPPTWSLTYKGVEFHGVCDPP -CEPFIPISGYLSHMISNMSPSTEPTRMEDVNNLVAVGLAQLGVTPSMSLGEVEVLLNDRLARVQSGDGLF -FDPPVIAPPPPPQMTSPLPVVNPAPAPAAPAPAPVLSPPQLAAPPVLDTASTIAQPMTPVSTKPAPSVPA -VASPPLSDFPALMTTSATPIADRGPTLNNEPSLLWSESTFDEIDGALTCPITTDFPPLIDNRLYSQVVRP -PAHATDSFLPCEPPSRPRSSESRPAFTTNEPVPLMELSVSPPPSATRRPPRTQTVQPALKTGRRKPHHIA -DDNTYNEARLAYARGRPTTSPLYNQGLEIHAERAFFSSFPHHVNGKWVPPASVLNVVAGTDEDLNDSTIH -SVRATDCHGMYEVTAANGEVVSRLNVMFIDGHTTAQSLTDFITPHSLIAVTPYAAALMVRFRLTKGVFSK -SHRRLVMGIDPVMIHLNPRGVALWDVLTQHMLEYAELYASASLRDLVTAILDPINAVTTRWVKRSLPPCV -SAVCEMRNDPIPTLSHILDVEAPPIQTSSVASSLRMSELQAENAALTSQVATLEAQLEAATLSLATTRES -IQREQAIHSADSLKQYLHDHVCVNCHEESFLNATVGVDAACQILTARQGAREQATDKIRQTVSSGFAETV -NMLQERTAALDQRVKTLSDELGATASQLRSTLSRLSSAEGRAHELSQRNELLESKLAETRQLALYTDDHQ -KATITALQDTVRANLPYVPPTMAKASSPCPPYPPVRSMMPDFDPSDLLM - ->YP_001837097.1 NS73 [American grass carp reovirus] -MSRRFRLGDIRSTGVAEYASTPVRADASPTTNPPPTAAPASLTSTDSHTMAPPNQLTFDLSGVIFTGSPP -AWLLQYRGFEKHMVTMLMLKSGVRTYPRFDDFISALVSTMALMGIVPQTSLTDGEVILMRYANAETLPEP -EETTRQWGDSPEAELANEGYGNDEIDNTPQLQAPLISGPPQTYAAAAAPPSLQQSTVPLISATPSPALSR -SSSVASLTSIPACSPAPSSLPSILTMQTRPPSPSSKSHQPRVTLPERRPTRRPEHIANDEDYNRSRAGYA -QGKPSHSPMYGSGRESHFEEAFFAAYPHSVDGRWHKFSASIVVLADPNEDPALLTLHSLEREEGAQETYI -MRTSAAFAVARVRVMRLRPTMSVHDVHDMLAGHPVVSITGRACAYMVRHKLTDGVFSKQFRRIVMGIDPV -MIRHGTQALSLFAILTDHRLDHAHTHAAMSLRLAMQFVESPLYDAQRSWLKAHAPATIHCAATSDSNADS -TLSAIYRSDSTPRDQPTPGDSTISQLTASNQELQIQITALTTTNNIQARAIAELKACVRPHSTDTHHALS -KYALAHTCINTQELPLLTSLLGEDTATAIQTARERAKDIAKRTLTERVAEPLRVMNASLEAELTHERAHS -SELRATLAILETDLNTAARERDAHAHMITSLQSEITELRQERLTVITSERTDVALLEQRVTDAERHAVAQ -HQSLPLLYGSVSLELPPSPTEDELLTSVAPADLWD - ->YP_398632.1 putative non-structural protein [Chum salmon reovirus CS] -MYEMTANNGEVVSKLNVMFIDATTTLASLTSFITSHPLVFVNPLAAALMVQLRLTKGVFSKSHRRMVMGI -DPIMIHLDPRGVAFGTFLTQHLLQSTLRCMHPLASVILFRPYCDPSTTVANRWTKRSLPSCVSAACEMRT -DPVPTLSHILNVENPPVHFTSAASGLRVSELEAENTALTAQISTLESQLEAATLALATTRESIQREQAVH -SADSLRQYLHDHVCVNCHEEPFLNATVGIEAAGQILSARQSARERAADKIRQAVSAGFADTVTTLQDRTR -SLDARVKATADELNATASQLRTTLSRLSAAEGRVHDLCQRNDLLETQLAETRQLASYTDRHQQQTITVLQ -DTVRDNIPYIPSHYGQGQFVMPTLPPVKSLMPDLDPADLLG - ->NP_938063.1 non-structural protein NS1 [Aquareovirus C] -MARRITLNSLKPLSAMSNHPSLTPTTTPTAATASTSTAPSIDSSTAPSLPSTFNQMIFEFNGVKYTGAPH -AWMMPYRGFEQHMVNMVIETRPDATFSRFDDLLSAIVTALAVNGITATTSLTDGELVLLRFADLATRHAS -LPSPSPAISDWSQSVPGPLLDTLEYGAPLIPAEPTATPAPTPLAPADYPPPPPHVADPAVSHSLVALDVP -DAALPSMPRASTSTASIPSLMSLPIASPPRSRSSTLSSSTSTSTPRLPGTVVPRRPSHIADDETYNRSRA -AYAMGVPAMSPMYCTGKERHFEQTFYSAYPHAANGVWTAYQHSIILIAAPTEDISLLTLHNVEREQSATE -AYHLRALDGATVARVAVFRLAPTMTCHDVHAMMAGHNVVSISGLAAAFMIRHKLTDGVFSKSFRRIVMGI -DPVMMRHDPVPLHLFAILTDHRLDHAATHAVMSMRLALMQIESASYQATKAWLRGHLPVTIFASATTDSS -SDSIHATILEADKGMRVADTPGSSTLRELEASNTALQRQVIDMDVQINALLRTISDLKSYTNHQQASHGY -SIQQYLHSHTCVNTQELPLIQSVMGDQAANAIQAMRTHANEAARSALTDKVTAPLTAQLSDTMAHLHEAR -AHNGDLTAQLAIAETDAMTAANERDRACELAVELESQLATMQREYDQTTRALLQDNEQLQHSAATAEAAA -VSAFRPTPPLTYGTAPSALPAVGPGLTVPLLAAAIDPASLLL - diff --git a/seq/clusters_seq/cluster_712 b/seq/clusters_seq/cluster_712 deleted file mode 100644 index f2d58de..0000000 --- a/seq/clusters_seq/cluster_712 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009505638.1 coat protein [Bermuda grass etched-line virus] -MPSKRDPLLLTMTVLIVSLLFLLLRAYWRPRPRNSSTCPSSGRSQTSPESPTKHKTDDLSAFTTLAKLTV -VYRHAELIHAEVELTPCPGSFSKPLMFLFVWTPASLSPATGWETSYYGGRQITVGGPVMLSSTTVIPADL -SRMNPVIKSSVSYNDCPRWSLTCPLVSGSSANTKLATLYIRGTVRLSSPSGNCIP - ->YP_009315885.1 minor coat protein [Grapevine asteroid mosaic associated virus] -MASPNVQAGPPPPSDDRTDRQPPLPRAPRLVEDASAIPFIDYPFQWVVASYDGSAAKNLTDVLSGSKTLS -TITANYRHAELLSVELEFAPLAGSFSKPITLSAVWTVGSITPATTTETSYYGGRVITIGGPVLMNSTTAV -PADLRRLNPIIKDQISYTDCPRFSYSVYANGGTAGTNLVTVLIRGVVRLRSPSGNLLA - ->YP_003475891.1 coat protein [Olive latent virus 3] -MFSRASKKSKSPSQSYQPRQPATPTSVLSPPSSPPLSPSTFAPPPEPPPPPPPPPPPPPSVQGIPLHFMA -NTTPPPSGPAPPRDDRVDHQPSLPLAPQIQETTSSVPHVDIPFQWLSASLTGEKDVQQTVSLSASQTLSK -LWALYRHAELRSVEAEFVPTASSFSKPISISCAWTIASITPASGDETDYFGGRFLTIGGPVLLSSTTHIP -CDLRSINPTLRSSVEFRDTPRVTFTARAVAGATANTPLAHFIIRGVLRLSGPSGAKFA - ->YP_002775441.1 capsid protein [Grapevine Syrah virus 1] -LLPPAVDAPMSDDSLAGRARSQRDPDHRVDPQPSLPLAPSVQETPGGPAITVPFQWVALVVKSESTIFTV -DPPRAKSLTQLIGPYRHARLLSLEAILMPTLNAFQNPVTVHMVWTVNTVQPASGEELFYPGGQALTVGGP -VSMSALATVPADVSRLNPVIKGAVAFLDTPRLTGTTMKCAKSETSPMAYVVIRGTLALSGPVGTRLSE - ->YP_224294.1 capsid protein [Citrus sudden death-associated virus] -SSAPILTPEAFSTSLAFSMASDAQAGPAPSRDDRVDRQPRLPAAPRVAEVGLNAPSVDYPFQWVVASYDG -SEAKNLSDDLSGSATLTKVMANYRHAELTSVELEVCPLAAAFSKPISVSAVWTIASISPASASETSYYGG -RLFTVGGPVLMSSTTHLPADLTRLNPVLKGPVKYTDCPRFSYSVYSNGGTKGTNLCTIILRGVVRLSGPS -GNLLA - ->NP_044448.1 21 kDa capsid protein [Oat blue dwarf virus] -MSGIHASQVGPPPASDDRTDRQPSLPLAPRIVESSLAVPHVDVPFQWAVASYAGDSAKFLTDDLSGSSHL -SRLTIGYRHAELISAELEFAPLAAAFAKPISVTAVWTIASIAPATTTELQYYGGRLLTLGGPVLMGSVTR -IPADLTRLNPVIKTAVGFTDCPRFTYSVYANGGSANTPLITVMVRGVIRLSGPSGNTVTAT - diff --git a/seq/clusters_seq/cluster_713 b/seq/clusters_seq/cluster_713 deleted file mode 100644 index 0d7708f..0000000 --- a/seq/clusters_seq/cluster_713 +++ /dev/null @@ -1,121 +0,0 @@ ->YP_009480346.1 structural protein [Maize rough dwarf virus] -MNSEEKRKKNANQDEDEKKEPDKEKRQDDSKDENNKTQSENEQTSSPDPKLVNDQEGITNDSRPEEITYQ -SGNTIQAKTALTVEAIMNIQGHLKQSDQKLVDEQTSQYLTYLTSNVPAYTTTFGITDCSEFQLVPFYRTI -DYTDDTSQQFPSLFCFNTFVNHYYDTDMTTFESKRYFEIFKDHPALLRSSEAFPVNAYKVSVGWNGNSFA -PEITDPTMIGDVSLIKNVSYVGVHSSDNYKDRKMFSRLKTFLEIAANCNLVLKGCRTKPLSIEYDNTRIS -KKLAGKKILYNDRAHIIFNGIYHAVTPFMNKVNDMLMDGNTEIDFDKIYKFGRDVEKRINTYDMICRGYG -NMDQFSSYLVNLSTQRICDNRMTANHYKTLMAANYPNRVSMHVFDTMIDDLLFVYCPTEEDWKFCMFVIM -IDKNIRSLIMNKSLSLMTSRNLFGQNDIGVKLTTLINNKNNSPTSREAVTYFMNVLAGGNVESFCQLLTA -EMYARFIDFKVENTYVETEYLDILVLLEVLFAFIITPRLAWWNSHRFGRTLFNLMYTFCNDEWNKWEGEF -GVFVKLQRGSWNKVNISIDHYDLNDLLDGVVFSFLVQRQYTQKQLSDYPFISSIYTLISPLGEYVNLTRK -INAKYPFFETVVRGYLPCSSEDYKEASMINDVNTRVNAIGAAVHNVTKNYFSHNTVKTSVKESYNTLLNT -IRIASNNLGLLMHYTVAPMLKTMYDSFLFVCDGFDKTSPWKRPRMISYSGYNVLQDTGNQNADLINLLKG -KGITVPLTPLHCFSKLYTYIAIGVHGVCRNDTVTTTGSFLSRNFYDPNTINILPFNGQEITDLALKIVGH -SKRINTVFSIFNYLHKESESDILATVRERLSAFWTTSNGRAVLNEITKTFNCPVERYFEHENAFYTMDSD -PRVYHPTLYTSDANGNIDPVQPATCAVHKKYKYGDEYLENMLKVISHVMFDDELPLFRQVEGLAFGYYSA -STASPTDFFNVENDTEYFTINLDDNPGVFRTTGTDGFSVQLAFTKDNVNHEYINPLSNAIPKIKFILKRL -GNMNEHFVIFMKRMIDTKRHMVVLTDVVVDYNIITLSNWKDFKISEENVFDQFVLNRDLNNIQLNFYDTR -FIIQNRTDELLDRYIYLSYRNITALKDFVVMGGIFGNPNQAINKLTDIDKDVYCFGEKNGQTSKYFISKR -DKIKGSTVNFNNHFTYLGPKSETRLPILDIRVSSQL - ->YP_009329897.1 Major core [Hubei insect virus 2] -MPKKKETISSNITKSITKPDTDIKPEITLKTQQAIPPDDNHGAHNQKSKKLLPTDIRSVKFVNSRKIQIS -SKIAENTNRGTPAKIGHEDNKLKEMGDLHLVGEKYAKVDTTEYKDESLNTPTENTSIPSTPTAKVIKEQA -VIKDLLTEQINNNLLRNDAEKIDYLKTQALLALQHQVMELKNKRASLLQDAIPLREKSSIYDVGLVININ -NETDLELLADIYDVVNIVENDGPAVFFNLVHEGTPYNYYSNIQNSNIVMDTDFPLISRNREMFQIGTGSV -KYVWQPSGWSEKLSDLHTYTDLELISTVTIGGALSRTNEYKKQFYTKMTVMLGIIRNKRPIYSDIKIYRP -GNKLSVSGRNLGVNMATIIPNCRPTEDSVELINTRLNGCLPLAVINADSELWARYVYTELVRQGIRTYFG -RYDIVTNNKYEIYGRAYCLYDNFSAYIACSAFNEMLNNRLTRGVIAQIYSALKHDETQIQIVSAVDAPEY -IKYNPTDSDLNNLLYRMMIDRDVLNYCINTAIEMCRLLKIFKEITNDNIFTEALALKVTAGKASTYMVEL -ASFYVGGDMDQFIKLLTLEAYSSFVKISFRTRALDASYLGIFNLMDVLLLFLIVPKLCWSNSAALGETIS -SIIAKCFPSEWAAFKHLYGEISRFNGVTWEPTGESSISQIDVLGPGDGTHMQWSILVVNYEGNSQLLNTI -FSISNLIQYIGGRIDITRKLNARFPYTSNVRDYNSSWPVIDPNMIGGDENNLSRRMSRVLDYSYELIRLS -KRNSQITNIHRDDASISEVFRVMNLRCVIFSRTLSQYANMFHSILVNSFLVCHEWFTPDNYLYAQPIIAN -FVVNGDVLRSILPMNLIECTLTTSLSTYSLLNIVSIDESEIAHINETKSALGQRNAYVNIPALPTQQLFS -SFLRMAYDSKDQCESLKTIQDWFGSAKYTNDIVGFANEINTSARFNIGQMMAKELGCTLFDKFMTVNNVG -IGSARNVELRGTWVLGLTNETANLNLNGTMHENRAFGYDLLTVDLHYTRVEQLAYFLTFSHDSPAYTRSH -GVIIDKLRGDTTVALQEYELDAEDFNVILVEDDQDLIDGIYRQDIIPDPTYIGSTINADRIHLGPHSYMN -VNDFIVNGIRCLITVPDATYLRPNDFDILYKLIIAGVVCLVYRDVYIDFTIEKKTQQMDYYRFEENEATS -WIRNELLGCTTGRVLNYIFFDVQLPFRTPHARLLEFNRYVFLVDRTQTNFIVETITDAVEVYEKVPRVIV -GDVWNTNTLADNINDDALELVTQHDVRFSNTLPYIRPVILRDIPALEVVGAVAP - ->YP_004021937.1 P2 [Southern rice black-streaked dwarf virus] -MNLEENRKKGAKQDEDEKKEPDKTQEAEEPAQEKQESQKSQEKTDSVDPDAVINQEGITNSLRPEEIKYK -DGSTIQAKTALSVEAIMNIQGHLKQSDQKLVDEQANQYLTYLTSNVPAYTTTFGITDCADFQLIPFYRSI -EFTDDNSQQFPSLFCFNTFVNQYYDTDMTTYESKRYFEIFKDHPALLRSSEAFPVNAYKVSVGWNGNSFA -PEITDPTIIGDTSLIKNVSYVGVHSSDNYKDKKMFSRLKTFLEIAANCNLLLKGCRTKPLSIEYDNTLIS -KKLAGKKILYNDRAHIIFNGIYHSVTPFQKKINDMLMDGNTEIDFDKIYKFGRTIEQTINTYDLICRGYG -NTDQFAAYLINLATQRIRDHRMTANHYKTLMAANYPNRVSMHVFDTMIDDLLFVYCPTEEDWKFSMFVIM -IDKNIRSLLMNKSLSLMTSRNLFGQNDIGVKLTTLINNKNNSPTSREAVTYFMNVLAGGNIESFCQLLTA -EMYARFIDFKVENTYVETEYLDILVLLEVLFAFIITPRLAWWNSHRFGRTLFDLMYTFCNDEWNKWEGEF -GVFVKLQRGTWNKVNISIDRYDLNDLLDGVVFSFLVQRQYTQKQLSDYPFISSIYNLIAPLGDYVNLTRK -INAKYPFFETVVRGYLPCSAEDYKEASMINAVNTRVNAIGAAVHLVTKNYFSHNTVKTSVKESYNTLLNT -IRIASNNLGLLMHYTVAPMLKTMYDSFLFVCDGFDKNSPWKRPRMIAYSGYNVLADTGNQNDNLVNLLKG -KGITVPLTPLHCFSKLYTYVAIGVHGVCRNDTVTTTGSFLSRNFYDPNTINILPFDGQSITELALKVVGH -SKRINTVFTIFNYLHKESTSEILSTVRERLFAFWTTSNGRAVLHEITRTFNCPVERYFEHENAFYTMDSD -PRVYLPTLYASDANGNIDQVQPATCAVHKKYQYGDTYLESMLKVISHVTFDDDLPLFRQVEGLAFGYYST -GTSSPADFFNVENDTEYFTINLDDHPEVFTTTGTDGFSVQFKFKKDNVDHKYINPLPNAIPKIKFILKRL -GNMNEHFVIFMKRMIDTRRHMVVLTDVIVDYNIVTLANWKDFKISDENIFNQFVLNRDLNNIQLNFYDTR -FIIQNRTDELLYRYISLSYRNIAVLKDFVVMGGIFGNPNQAIDKLTEIDKDVYCFGERNGHTSKYFISKK -DKIKGSTVNFNNHFTYLGPQSETRLPILDIRVSSQI - ->YP_956847.1 possible major core protein [Mal de Rio Cuarto virus] -MNSEDNRKKEAKKDEEEDKDQTDKTRNENKKDNFEKDESKNESTPKVDPKLVENQEGITNSQRPEDITYK -DGSTIQAKTALTVEAIMNIQGHLKQSDQKLVDEQATQYLSYLTSNVPTYTTTFGITDCSDFTLVPFYRTM -DYTDDSAQQFPSLFCFNTYVNQYYDTDMTTFESKRYFEIFQDHPALLRSSEAFPVNAYKVSVGWNGNSFA -PEISDPTVIGDVSLIKNVSYVGVHSSDNYKDKKMFSRLKTFLEIAANCNLVMRGCRTKPLSIEYENTAIS -KKLAGKKILYNDRAHIIFNGIYHAVTPFMNKINDMLMDGNTEINFDQIYKFGRTIITKINTYDMVCKGYS -NIDQFTAYLVNQATQRVIDNRMTANKYKTLMAANYPNRVSMHVFDTMIDDLLFVYCPTEDDWKFSMFVIM -IDKNVRSLMMNKALSLMSTRNLFGQNDIGVRLTTLINNKNNSPTSREAVTYFMSVLAGGNIESFCQLLTA -EMYARSLILKLKNTYVETEYLDILVLLEVLFAFIITPRLAWWNSHRFGRTLFNLMYTFCNDEWNKWEAEF -GVFVKHQNASWVKVNISVDQYDLNDLLDGIVFSFLVNRNYDQSQLSNYPFISSIYNLIAPLGEYVNLTRK -TAAKYPFFETTVRGYLPCSADDYRQASMINAVNTRVNAIGARVHLVTKNYFSHNTVKTSVKESYNTLLTT -IRIASNNLGLLMHYTVAPMLKTMYESFLFVCDGFDRNNPWRRPRMISYSGYNVWQRTNNANEDLINLLKG -KGITIPLTPLHCFSKIYTYIALGVHGVCRNDTVTTTGSFLSRDFYDPNTINILPFNGQEITDLALKIVGH -SKRINTVFTIFNYLHKESKENILETVRERLSTFWTTSNGRAVLNEITRTFNCPVERYFEHENAFYTMDSD -PRVYHPTLYASDANGNIDQNQPASCAVHQKYKYGDDNLVNMLKVISHVTFDDELPLFRQVEGLAFGYYST -SATEPSDYFNVDNDTEYYTIDLDATPGVFTTTGTDGFSVQLKFEKDNVNHEYINPLSEKIPKIKFVLKRL -GNMNEHFVIFMKRMIDTKRHMVVLKNVIVDYNIITLSNWKDFKITEENLFSKFVENRDLNNIQLDFYDTR -FIIQNRTDELLDRYIYLSYRNITPLKDFVVMGGIFRIPNQAIDKLTAIDKDVYCFGEESGQTSKYFVSKK -EKIKGSTVNFNNHFTYLGPKTEVRLPVLDIRVSSLI - ->YP_249756.1 hypothetical protein [Fiji disease virus] -MKSFKWKENTEEKDDEEKTNEKEQNKPKEIDSKTEQEAKQEKVSEADNSVKDQEGITNKNRPEDISYVDD -STIQAKTALTVEAITNIKGHLIESDQKLADERASQYLQTLTNNAVRYTTTFGISEISDEILIPYYHEIDF -QGSDKELPSLFSFETFVNEYYGTDLLTYENEKFKTMMCDHPALSRSSEIFKVNAYKISIKWTGETFNPSI -GDPSNIGTTSLIKNIAYVGVHNSDNYKDRKLYSRLKMFLELAANCNIILKGCATRPLGIDYELTKVSKKL -NGAKILYNDKAHIIYNGIYHPVTPFCDKVNDILKEGDVDIDFGKIFKYGREVVTKINTYDILNKGYAAID -QFTAYLINMGMQRLLDHRMNANSYKDLTQANYPSRVSLHVFDTLLDEFLYVYKPTDQDWEFCLFVIMIDK -EVRSLIMAKTKQMMLSRNLFGQSEIGLKLTNLITRRVQGNIAKNAADYFINVMSSGSIESLCNILCSEMY -ARFSKFNIECSYLETEYLDLFKFLEILLAFIITPRLAWWNSHKFGKHLYDLMSVFCRSELIAYEARYGCF -IKFERSAWVKVDKHVSVYGENDLYDGIYFSFLISRTYSAEEIKAFPFISKIYSLIEPLGDYINLDRKTRA -KYPFFETTVRGYLPCSIISYTDITTNNPVNTRVNNIGASIIDLSQRYFTHKPTKTSTKEAYDLILDTIGN -TANNLGILMHSTVAPMLATLYDTFLFFADGFNKTSPWVVPRKLGYSGYNVYADRNKSDADRATYLIGGRA -IVIPRNLDSTNSMIYTYIGIFVHGVVRCDMVTSTGSYITSSHYDPDSISIMPFNGSEITTLALKVVNHSK -RINTVFTLFNYLHSKSTDEILSPVRERLSKFWTTSNARALLNEITRIFNCPIERYFTQMNAYDTMHSDPR -VYKPTLYSVSSTGNIDSVQPANCAVNKQYEFGDTYLNEMLKVISHVAFDDELPLFRQTEGLVCGYFTSSS -NSPDDYFSVDEDTLYFSIDLDEHPEVFTTVGTNGFSIQLQFKKGNDDHAYTNPMSKDIPSIKFLINRIGN -LNAHFVQFINRMLSTQRHLIVLRKVVVDYNVITLGSWNDYTPTSSNVFDQFVKDRDLINIKLDFYDTRFI -IQNQSNQLLSQYLFESYRNITPLKDFVVMGGIFGNPNRAESKLTDINKDIYCFGDDNDQTTKYFTSKKSK -RVV - ->NP_620460.1 P2 protein [Rice black streaked dwarf virus] -MNSEEKRKKNANQNEDENKEPDKEERQEESKDEKKKNQSETEQTSSPDPKLINDQEGITNDSRPEEITYQ -SGNTIQAKTALTVEAIMNIQGHLKQSDQKLVDEQTSQYLTYLTSNVPAYTTTFGITDCSDFQLVPFYRTI -DYTEDTSQQFPSLFCFNTFVNQYYDTDMTTFESKRYFEIFKDHPALLRSSETFPVNAYKVSVGWNGNSFA -PEITDPTMIGDVSLIKNVSYVGVHSSDNYKDRKMFSRLKTFLEIAANCNLVLKGCRTKPLSIEYDNTRIS -KKLAGKKILYNDRAHIIFNGIYHAVTPFMNKINDMLMDGNTEIDFEKIYKFGRDVEKRINTYDMICRGYG -NMDQFSSYLINLATQRICDNRMTANHYKTLMAANYPNRVSMHVFDTMIDDLLFVYCPTEEDWKFCMFVIM -IDKNIRSLIMNKSLSLMTSRNLFGQNDIGVKLTTLINNKNNSPTSREAVTYFMNVLAGGNVESFCQLLTA -EMYARFIDFKVENTYVETEYLDILVLFEVLFAFLITPRLAWWNSHRFGRTLFNLMYTFCNDEWNKWEGEF -GVFVKLQRGAWNKVNISIDHYDLNDLLDGVVFSFLVQRQYTQKQLSDYPFISSIYTLIAPLGEYVNLTRK -INAKYPFFETVVRGYLPCSAEDYKAASMINDVNTRVNAIGAAVHTVTKNYFSHNTVKTSVKESYNTLLNT -IRIASNNLGLLMHYTVAPMLKTMYDSFLFVCDGFDKASPWKRPRMISYSGYNVLQDTGNQNADLINLLKG -KGITVPLTPLHCFSKLYTYIAIGVHGVCRNDTVTTTGSFLSRNFYDPNTINILPFDGQEITDLALKIVGH -SKRINTVFSIFNYLHKESESDILATVRERLAAFWTTSNGRAVLNEITKTFNCPVERYFEHENAFYTMDSD -PRVYHPTLYTSDANGNIDPVQPATCAVHKKYKYGDEYLENMLKVISHVMFDDELPLFRQVEGLAFGYYSS -STASPTDFFNVENDTEYFTINLDETPGVFRTTGTDGFSVQLAFTKDNVNHEYINPLSNAIPKIKFILKRL -GNMNEHFVIFMKRMIDTKRHMVILTDVVVDYNIVTLSNWKDFKISEENVFDQFVLNRDLNNIQLNFYDTR -FIIQNRTDELLDRYIYLSYRNITALKDFVVMGGIFGNPNQAINKLTDIDKDVYCFGEKNGQTSKYFISKR -DKIKGSTVNFNNHFTYLGPKSETRLPILDIRVSSQL - diff --git a/seq/clusters_seq/cluster_714 b/seq/clusters_seq/cluster_714 deleted file mode 100644 index 276329b..0000000 --- a/seq/clusters_seq/cluster_714 +++ /dev/null @@ -1,114 +0,0 @@ ->YP_009480337.1 structural protein [Maize rough dwarf virus] -MLKVNVQALIYPFSGQITDIRRNQLIPTAKFKHTVLHQPIFITKLKNKTSSPDPSKAKNTLKVNPVWSNA -FFDWNSALINPWTVLPSSTGSGSMSIPSSKNADSDNAVLKNAEFINERLPSYIKLLFDSLDLKGNGYVNQ -FGFWALLYLDYSLHCLVPLEYYRQMLNLRTKENVIFLDTYNEVDYAISYLPRFPKLLYVNGYGRKFIKLP -SFGKLGFTFEKDNIIGNVGNDLQYWTLWPLHNDYTQADTNRPNHANLLRNLSHFNNELVSIHYYPTFFYE -HYLYFETVQELIKHQIYNVIRTYRAQLKLQDNLGTNRLERRGYYLTAYSPFYESFTDAVIEEFGELYLYL -QLFGSLPFTSGNSSVIPNTYSQFDLYYHQRFRHLKEFGKLTFENLTEKYRRNNLKPFQLFFVYEQMYQLM -QTISPSLNLNPNLEIIDFEEYGEGANLEDFDINDASACRLIDYPLGDALPLNTLEVFPPNLFEVSEHIRM -MFESRFLSPYAMYPLPLVPGGHDSLIQTDESRLADFRKNTAQRKVFKALQKQVLNSKVVFRKYVEPNLIK -SSIPSADFLFWVVYCVASSPKFTVSSQGDLDVPPITSDISNLIYTSPNTSSFKLLSLFFQFLHEKTFLQL -NQPMSIVFLGAKNEPVANMLFNLSHGKWSVQRYGNDAEWPGKKANVLSINLKNVYDVVISDMDQSIGANV -PSITANALKQLRVCLEAFSKRMIFKLQYCLFHTLSSITAMLREYGAEIAHSGQFIKLKIIRHAWSKVGSL -EVFLVIDKVRTEETIPDDKELMKVVNTLGLSEPNQVFFTYMGTPRKYSLNDLNCKIFSVDITSEEMTNIC -SVFLNLSQCVSYGSSLYDKEHLNLTFFGTTNIQRIGLFMRNKQIYKALAITGNDHKPEGVFTPNTDFVIP -GVREVLVLADAQRMVGWKMLKALHFDKGTLDLNLTAYDIGCRDYECAYMTVMDENTIIRYVGYDRASVLD -VKRGIPVVKAEVDRAKLTELCSLGHVFAFNSYYMGFESRDELERELNYVADTLVIKGFFFISFYAMHDDL -KPILRHHGFIDITSADVAANKFTFGRYHAVATVSIDFVEEWKKKMMNKYDIYQIFLSANDVSFSCVLHGY -SVNLDSMYFAPIYNLVSPCFLIHPKK - ->YP_009329899.1 Inner core protein [Hubei insect virus 2] -MNLNYLQRTLNAIVDDRRVKTIQYAYDFHDLNIRNELEITHVKLNINNKIVYAKQLKLSKKLEFFCFTQA -EFNDLISHGLSEYKEKMMWKQKDKSKDNFRIDDDTLLSLIVDLFHDKIFGFITLTQREEWELSKINMFGF -GALFYLYITGKITNNFILKNQECMQYIYNYNEFNSEPDDFFSVFGDLLQCYCIDIGDGIRCLSLPTKKST -YNLFARHDYGNLIYNNNYRIFWPVKLNNVTEHIFPINSTYMLGIHLYDTFNYLHYHVFDSVLQVLEYAVF -NVWRSWRAQIFCQQKQLQFERRLNYVALCNDTCYNAMPATGRRYYHILKILCGTPTVASTISSFSTDKGL -FVEQIRKYLADELRGLGRQWGLNLGTLSDLYIRQHRMVSYIGNEQARVFNESPTPYIQIANLREIIRNGI -RYPAIDFNVTNEETSYQVDSNKRVVHIGYPLGPQRDIGRLLVTLRLLEYENYITFNSVHQMWMVFGHMEG -NPRCYVEPLATGIIDANLVNNVYANRRYRGLGTDQFKNKLEPTKFLKTIPKSSIRIDDDSLASKIVMAYT -SNKPILNIGSFSDSLDSDFNKDWNGSTLKLCSLMIYCYATDIYQNADNLANLTQERVYYRMLGVVNEPSI -GVIDRLTHGRWSGSGFGRNAEYPRQAADIEQVVESNQYNITVSDIDQTTIQGATVAVKNVAIADAVMGIV -RRYYNITGSFLLIKVNYLISSIFNALTTYFTDVGILEKPIIKFVKNQFSPFGSSECYLYIRKTLKAGEVP -LYTWTDFEISNIILSLGCYNTIYNNLPFRLQTDIPHVVNFDVNEYDELGFYNAGNLIALKETVDYFTDSL -SLFSHLCEEVTSGYVKPNFFKMFSMSGIISLKRCLLSARLFSPKRVLVGGVLRDLSGIYDVSAELDTQIL -YRHASLFKAFTNGQRFGIWTRYIVAELDSTIPVIDIGGRDFEGIIFTYCGQDVDERLILRNYTLYDPNVM -LADFDEYNITIHNEFITNDVFLTYLSGNIVIAYNSFYMLEGETHAALLARLRSLIGVPDITYLVISFYCY -DEAYLTNFNHISEIITIPTDDDDSMTFRNFGGCGYITQDEKVTLHNDLINGGAAVTEIYTTATEVMLGNC -LYGVSCDGHAINTLPVLNTSQVAWIIQS - ->YP_004021939.1 P4 [Southern rice black-streaked dwarf virus] -MLKVNVQALIYPFSGQITDIRRNQLIPTAKFKHTVLHQPIFITKLKNKFSSNDAPPTRNTLRVNPVWSNA -FFDWNSALLNPWTVLPSSTGSGSMSIPSHKNVESGNAILKNAEFINERLPAYLKLLFDSLNLKENGYVNQ -FGFWALLYLDYSLHCLVPTEYYRQMLNLRTKENIIFLDTYNEVEYAISYLSRFNKILYVKGQGRKFVKLP -SFGKLGFTFDKDTILGGIGNDLQYWTLWPLHNDYTHADTNRPNHANIFRNLGQFNNELVGILHYPTFFDE -LYLYFEPIPESVKPQIYTVVRTYHAQLKLQDNLGTNRLERRGYYLTAYSPFYELFIDAIAQEFGELYLYI -QLFGAIPFNSGSPSSIPMTYSDFDSYYHRRFGHLKEFGKLTFENLTEKYNRSKLKPFQLFFVYDQMYQLM -QTISPSLNLKPNLELIEIEEYGDGANLEDFDINDVSACRLIDYPLGDALPLNTLEVFPPNLFEVSEHIRM -MFESRFLSPYAMYSLPLVPGGHDVLIQTDESRLSDFRKNTAQRKVFKALQKQVLNSKNIFKKFVEPNLIK -SSLSSADFLFWVAYSVASSPKFTVNVKGELDVPPITADVDKLVYTSPNTSSFKLLSLFFQFLHEKTFVQL -NQPMSIVFLGAKSEPVADMLYRLSHENWSIQRYGNDAEWPGKKANVLSINLKNVYDVAISDMDQSIGSSV -ANITANTLRQLRVCLESFSKRLIFKLQYCLFHTLSSVTAILREYGAEIAASGHFITLRIIRHAWSKVGSL -EIFLIIDKVKTAESIPDDKELRKVVNTLGLSEPNQLFFTYMGTPRKYTLNDLNCKIFSVDITSEEMTNIC -SVFLNLSQCVSYGSSIYDKEHLNLTFFGTTNIQRIGLFMRNKQIYKALSITGSEHKPEGIFTPSLDFVIP -GVREVVVLGDAHRMVGWKILKALHFDKGVLDVSLTAYDIGCRDYECAYMTVIDDDTILNYVGYDRASVLD -VKRGITVIKAEVNRDKLTELCGLGHVFAFNSYYMGFSTRDELEKELNYIADTLVIKGFFFISFYEMEDDL -KPVLKHHGFIDITSADVAAYKFTFGKYHAVATVSVDFVKKWKAKMMTKYDVYQIFLSANDVSFSCIMHGY -SVNLNSMYFAPVYNLFSPCFLIHPKK - ->YP_956844.1 P-S4 protein [Mal de Rio Cuarto virus] -MLKVNVQSLIYPFSGQITDIRKNQLIPTSKFKHNLLHQPVFITKTKQKSTKDDTTHTKSTLKVSPLWSNA -FFDWNAALRNPWTILPSSTGSGSLSIPTQKKDSSENVILTNANFINERLPSYIKLIFDGIDLKSEGYVNQ -FGFWSLLYLDFSLNCLVPSSYYKQMLNLKTKENVIFLDTYNEVKYAMNYLSKFNKILYTNGSGRKFVKLP -SFGTLGFTFDKVRVIKNIGNDLQYWTLWPLNNEFIQYDINRPNHANILRNLNQFTNELVSIHYYPTFFYE -HYLYFESVSQLILHQIYNVVRTYRAQLKLQDNLGTNRLERRGYYLTVYSPFYELFMSEVKQEFGDIYLYL -QLFGSLPFDSGSIESIPTSYQEFDSYYQSRFGHLLDFGKVNFDALEAKYSRSNLKPFQLFFTYSQMFDLM -QTISPNLNLKPNVELITFDEIGEGNNVDEYDVNNTSVCRLVDYPLGEPMPINTLEVFPPNLFEVAENIRM -SFESKFLSPYAMYSLPLISGGHDVLIQTDNARLANFRRNTAQRKIFKSLQKQVLNAKSIFHKYVEPNLIK -NNIGAADFLFWTTYSVNTSPKFSVNAQGVLDVPPIAVSSDKLEFTTPNSSSFKLLSLLFQYLHEKAFNQL -LQPISIVFLGAKNEPVADMIYRLTHGKWSVQRYGNDAEWPGKKANLLSINLKNVYDIVVSDMDQSIGATV -ASISANSLKQLRVCLEAFSKRLIFKLQYCLFHTLSSITALLREYGVEIAHTGQFISYGIIRSAWSKVGSL -EIFLIIDKVRTEEKIPTDDELRKVVNTLGLSDPNQMFFTYMGTPRKFGLNDLNCKIFNVDVAAVEFPSVL -STFQNLSQCVNYGSSSYSDSNSHLTIFGTTNIQRIGLFMRNKQLYKVVSLTGGDHKPEGIFNPQRDYVIP -GVRDVLVLSDAQRMVGWKILKALHQDKGTLDITLTAYDIGCRDYECAYMTVMDENTILKYVGYDRATILD -VKRGITVVKEEVTHDRFVELCSKGHVFAYNSYFMGFNTRDELEKELTFVADQLVIKGFLFLSFYSMHDEL -RPVLKNHGFVDITKEDIAANKFTFGRYHAVATVSVDFVEEWKRKMIAKYDVYQIFLSANEVSFSCMMHGY -STNLDSMYFAPVFNLVSPCFLIHKK - ->YP_249757.1 hypothetical protein [Fiji disease virus] -MLNVNANSLIYPFSGQITDIRKNQFIPTSKFINTVINEPIFLTKQKVRTKPGTSHAPRKILEVNPSWNNT -FFNWNTALRNPSTVLPSFIYERTESSTSKKSHDQETNQVLINAEILNRKLPQFIKQKFGYIDKRKGGYVN -QFGFWALLYSEFCLKLNVPIEYYISMLNLKTQDNNIFLREYNEVEYALRYLVRFDELIYTNGKGRKFIKL -PSSGKLDFSVDVEEIENVIGCDLHYWTLWPLSNTYTVQGSGILNHGNIVRGICEFTNELVAAHYYPTYFY -EHYLYFEDVSNLIKHQIYNVIRTYKAQLKLQDNLGTNRLERRGYYLTMFCPFFEKYKYSVVDQFGELYLY -LNMFGALPFDSADSKSIPKTYNDFDIYYQDRFKNFKDFGYVTYNTTIPNEYKRRNLGPYQLFFTYQQMFD -LIQTISTNVNLMYNFKILGFNMMDISNINEIDTNVTSVCRNIEYPLGPSLHLNNLEAIPPNLLELANNVT -MQFNSNFLSPYSMYTLPLMRSSAERLIQEDGLRLAVFRLSTAHRKQLKTLQKQVLDPKVLFDRFIRPNLI -RCQIPKFEFLFWITYCVDEFPMYTISSTGDLDVTPLTSSDEKRKSSVTNNSSFKLLCMAFQFLSEFGIRE -IVKPNSVLFLGAKNEPVGDMLRRLSHGLWSVQRVGADAEYPSKKANINNVNLKNTYDLVISDMDQSTGTT -VEAISALCVSQLKKCIECFNRRLVFKIQYGLFHTLCAIRDCLIECGQDYAGQNLYFNMKIVRSCWSKVGS -MELFLILDKTKTEHELYTVDQLRAVVNSFGFSETNTVFYTYMGTPSRYQLNDLNCKIFSVDVTVNEFSDV -LSTFMNLSNCVSYGALKNEAYVDTLTIFGATNIQRIGLFMRNKQIYKSLALDGKDHRPEGIFDPRKCFVI -PGAREVLILSDAQRMKAWKILKKMHFDRAVLDTSLTIYDIGCRDFECAYLAVMDEDTILPYVGIDKSTIL -DVKRNLTIERREVNRTELYRLATLGHVFVYNSYFMDFPTRAKLEEELNYLYDNLVLKGVMLMSFYCLHDE -LLPVLKDHGFVDISSKDVKENKFSFGRYHGFGTVDYNFMLEWLTKMSQKFEVHTVILSASDISFSCVMHG -NAINLDSIYFAPIFNMVQPCFLIKNK - ->NP_620451.1 P3 [Rice black streaked dwarf virus] -MLKVNVQALIYPFSGQITDIRRNQLIPTAKFKHTVLHQPIFITKLKNKTSPPDPSKSKNTLKVNPVWSNA -FFDWNSALINPWTVLPSSTGSGSMSIPSSKNANSDNAVLKNAEFINERLPSYIKLLFDSLDLKGNGYVNQ -FGFWALLYLDYSLHCLVPLEYYRQMLNLRTKENVVFLDTYDEVDYAISYLSRFPKLLYINGHGRKFIKLP -SFGKLGFTFEKDNIIGNIGNDLQYWTLWPLHNDYTQADTNRPNHANILRNLSHFDNELVSIHYYPTFFYE -HYLYFETVQELIKHQIYNVIRTYRAQLKLQDNLGTNRLERRGYYLTAYSPFYESFTDAVVAEFGELYLYL -QLFGSLPFTSGNLNVIPNTYSQFDFYYHQRFGHLKEFGKLTFENLTEKYRRNNLKPFQLFFVYEQMYQLM -QTISPSLNLNPNLELVDFEEYGDGANLEDFDINDVSACRLIDYPLGDALPLNTLEVFPPNLFEVSEHIRM -MFDSRFLSPYAMYPLPLVPGGHDSLVQTDASRLSDFRKNTAQRKVFKALQKQVLNSKIVFRKYVEPNLIK -SSIPSADFLFWVVYCVASPPKFTVSSQGDLDVPPITSDISNLVYTSPNTSSFKLLSLFFQFLHEKTFLQL -NQPMSIVFLGAKSEPVANMLFNLSHGKWSVQRFGNDAEWPGKKADVLSINLKNVYDIVISDMDQSIGANV -NSITANTLKQLRVCLEAFSKRMIFKLQYCLFHTLSSITAMLREYGAEIAHSGQFIKLKITRHAWSKVGSL -EVFLIIDKVRTEETIPNDNELMKVVNTLGLSEPNQVFFTYMGTPRRYTLNDLNCKIFSVDITSEEMTNIC -SIFLNLSQCVSYGSLLHDKEHLNLTFFGTTNIQRIGLFMRNKQVYKALAITGNDHKPEGVFTPSTDFVIP -GVREVLVLADAQRMVGWKMLKALHFDKGALDLNSTAYDIGCRDYECAYMTVMDENTIIRYVGYDRASVLD -VKRGITIVKAEVNREKLIELCGLGHVFAFNSYYMGFESRDELEQELNYIADHLVIKGFFFISFYAMHDDL -KPILRHHGFIDITSADVAANKFTFGRYHAVATVSVDFVEEWKRKMMNKYDVYQIFLSANDVSFSCIMHGY -SVNLDSMYFAPIYNLVSPCFLIHPKK - diff --git a/seq/clusters_seq/cluster_715 b/seq/clusters_seq/cluster_715 deleted file mode 100644 index 424f1fe..0000000 --- a/seq/clusters_seq/cluster_715 +++ /dev/null @@ -1,98 +0,0 @@ ->YP_009337368.1 hypothetical protein 3 [Hubei picorna-like virus 66] -MSEIADLIFDSNSTLFNVLDKLDVPSLSLEVKEISESLDSSKEQVRQLAAVVDNNQVANNTKFVDVNTRL -DDLDDIVTGTTSDAVEALSKIDTLTTFVRENIMRIDSNIASLERTLTTKIAALEDQILKTDALANAAFND -ISLIGDDVIRVEVRVNNLDEESERLRLDVNRANNGVVATQNDVAGLTAQVETVQAEFSSVPISLEEIYFS -TGHVLPGVAYRVVNQAPTNYTQRTLTLNNPVPYRSIRMGAIYSGFIDGVLHELIQFTDAITNTTGGSYQT -QAGMVPIGGPINWRYRISAGQPLVSGVIRITKQFLRMMNPTQTIEIHDMPRCVRTGFTSLAKALPDPSLD -NGNIISEVGNPIVMKLPAWGDPFLVYELRKKRNLRLPAHMFGKQKLGNTYSAFLPRIVSAPPIGTHVIVD -PIVTPEQADLIRQYRAINAHVIWIVHVPSPIGVGILLEVFAPEIDRDTKTRGVRFKPSGVNTIAFACPWS -NDLTFVNQDSARPGQSGGSICIRTVEDNTGETVSTPLKITIYQAVVGVNTVGKVNRGDTGVSIPGLNFSP -IVIPEPPATVIEHGDNDPVTTNEVNAEGGAELVEQTTQEGAAADLVIETEKPAAPVKKPEARGNNKQQAT -AAARWYEADLVTLNSDTLLEWKNITLDPTKFSRRGENISKAYFRNVWFCGHMQKGYATTLRTKWVPARPP -TISGVVEIQDSRNDSSRYLVEFGGNVELDLIPDVHASLTAPARPRYFNNRWARTTEAKCQARYRLTGFNR -TSDTADIKLRILVRAGGAIFDVPTKPRPEVSPAVAWLVKFFEDWTEQKDLQVLLDMSVHEHGLDDDDLGD -GDYFAPKASNTDYEGEINSGEALDEDLEQDDYPVQVWEGNLPNNGSIVTVPLNLSVAEDLSGNGGISTIA -QKFERSAHIVPTEAGDMGPRLGNYVIEIRLPTNIAGNISHVSLPGDMSDEAVALSFGLGDILSMATSALQ -AVGGPAVSGGIAAGRAIFNVVKAIGGKLVNKSKSDSAEQPMLAGPIDVSRFINFIKPIVQNETLDPTFGS -VLMQARDFIGSSGDPITEIPARLWAQMSSRVERQLFDRTITPIGSLENEIYLPYDRYSYILERFGAHPKT -FVEGTHQNVCWKKFLTVLKKKAAKRDVMSLKLSEILTYELTDQDEQDLRDIISGKQFVFEP - ->YP_009337741.1 hypothetical protein 3 [Hubei odonate virus 7] -MNNTNYQEIKVINRPFDAHQFPGIPTNPEEDYQIENGGISNSEVGFAETVMTQFGPLQAFKMRGERPQKF -PNHLFGKINLGSTFSEFSVRVFDLPAAGGELRIEPECTTFQKYIMDQCQSSCADGLIILLLDEPFGGSQL -IEIYCPEIDTTSKTRGLRWKPSIQAAVCLRIPFSSDIRYRAPLPNDAKRPGFPGLGIVLRVLEDSSTEKV -VKPLKLTVFSCVDHVTAVAYRPGSSFETFTSLPTHLQSSLIAPEPSLQRVEMDQGSNEGVGATGDGDVQA -PPEVIQQESPEDPPVKETVPIEKTIKVSSSPMGKRTARPNAGEIANRWISYKDFSIDSTELSLIWKSITI -DPYNLKAGGDSISRMFRRNVWVSGSSKELGYMTGLRIRIVTNKPPHLSGTIEFSTVRNGGTQRILHKIGS -ASEFELMFDTHRYIGTNPRQYRSLNSPWVRTNQSGSNLGYRVISFNRSDESSGIRAQVFIAPGSLNVQTP -TRPRPKPTSTLAEVLSVLDGNLEVNIAEMGIEDQLGPSPVLGSEPGEVSFGDADSEEIDQDSFWVRMPDV -MLGNGETTMVDMNLAKIQDQFGFSGNNSITEKFERYANLIPRMQGPFGPVIGEYKLVPRLPTGITGNIAH -VCLPGDMNTDVATMIFGLDSILGIAGSALASIGGPLINSVVSTVGNIARPILGDGLVDTAQGLVSGILGG -LGQSPPQEAPAATTAAPPSIGGDIPISRDREYIKMIDATEGESPGFGKLLIQLLDMVTDSGVAPSTLKIP -VQVYAKLKAATERNLIDRSYQISQSSRNELMIEYSDALQLSADLLDDVRRKEKLRMDNSLQKERLTNLMY -ALDSVVRSGEDPESRMIDVNPDNFPVLNTPTRSQILSKLNLS - ->YP_009337220.1 hypothetical protein 4 [Hubei odonate virus 6] -MSRSNPICNIIMQDFPLRIREGFPTLSATAQESREMQDQGFVVSEVGDPINTRLRCYDKPFVSYPLRKAR -KNQIPNFIFGKMYLGEAFSAFTPRLQSIPAIGSRITIDPLITDGQKQMFSMFNYISCDFVWILHMPAPMG -TAPYLEIFAPEFDSTTVTRGVKWKPAALNTISVTCPWSSDLSVVPLSGQRQGQPGGSIIISTLENNTLET -VNTPLKILAYCCVTNVHLVGYKSTVTDGIGVPALNFAPLTTKLKQTGSHYVADGRLDYYEGEFQSDTTEG -TTEVEAEGGSSIKAQDISEESVPIAPAVETAVAETPVELDGVTASAIDIGYLGSKWYDYMNIELRGEPTL -TWTTVTVDPYKDVSLRKAGESMSLPWRRNVWSTGSNINGYITQLVCQINIPRPPQISGVLEVMDSLRASS -RYLINFGSRVEVPIVLAQLSGDHTSTTKPRQWTNPWVRTDNSANTFRYRLIAINRTAEIANLNVRVMLRP -AYARFNVPRKPIAGTSIALDSFLTTLYKVCTNSENFKRWMSDAERRLTPLTTSTTTTTTSTVPPPAESQP -SADQNYHNASRRKYEHYRVPQGEFQADFGEQGLTDNTNFITPLIGERPEQFSVEPDVGVEEDINLDAFPV -LCYSGEIDIGKITSIPIDLAKIIDKFDDSGAENPITQKFYRFANIIPKGNGAFGPVIGNYTVHFRLPTSV -AGEVLHNCLPGDMQDEVALRIFGLSSLASIAGTAFSAIGGPLLNGIVNTAAPILSGAVNAIGGKALGGLA -DVGINAIKGITNSILPTPGQAAVQGGATNAISGDLPISRYPQFVKYVKKNFEENPIFPTLMLELRNFYED -GKLKTGKVPVSVFANMRNCINVERSLFDRTVYPTLEQAETDVFLPLDRIPFVFEHFIRHSKTFDEGSEQN -INFKRFITYTDEQLKASLLSKERLNVSSMMRIKSNSFAPGTASELLRHTKLFKMCIAQPQR - ->YP_009047192.1 VP4 [Drosophila immigrans Nora virus] -MQNPTQTMHVYDMPLRVIAGLSTLAKSQEEDENTSTGVVVSEVGEPQVVNHPAWIDPFVAYQLRAPRENL -TPDFIFGRATVGNAFSAFLPRRFSAPAVGTRLVIDPVCTFPQYVMLQLYNFYHADFFYIVHVPAPLGTGI -YLKVYAPELDSTTVTRGIRFKPSASPTMAFSVPWSNDLSSVPTTSGRLGQSGGSIVIETIEDNSNETVNT -PLSITVWCCMANVDMTGYAIADATAYNYPGMNFIPTPVPTEAIAPANPDDKTPIPIYGEEQADNEVTAEG -GKLVQELIYDHSAIPVAPQVEKEAEQPEAPASTVATRKNDTGHLATKWYDFVKISLSNPKDMSWTTLTID -PYNNVTLSRNGEAMVLPWRRNVWTTGSKAIGYIRTMAAQINIPRPPQISGVLEVKDSINNSSISLVEFGG -KVEIPLLPKVFNGLANSTKLPRHWLNPWMRTAESKIELQYRIIAFNRTSDIADLSVSVLLRPGDSTFQMT -TKPDNSVDTRHFELVDRLMYEFENLRIHGEEQGLPEETPEREVNPAQFITPAVGFTAEKYNLHEELGECE -DLELDEFPVLVFKGEVPVGEVTPIQLDLATIYDFAWDGEQNAISQKFQRFAHLIPKSAGGFGPVIGNYTI -TANLPTGVAGRILHNCIPGDCVDLSVSRIFGLKSLFGLMGTAVTSIGGPLLSGVVNTAAPILSGAAHAIG -GNVVGGLTDTVLGAASNLLTHKEKEQPSANAEAMAGDIPISRFVEMLKYVKGNYESNPVFPTLLVEPQNF -VSNALAALTKIPIEVFANMRNIKVERNLFDRTVTPIVEEEAIADIVIPNHAYAFILRDFLQCKRAFKPGT -KQQVYFKQFLTVLSQRNTRTHITLKDITSCSIDEESVATKIERVKHYLDSNLGGETTEEFSRTDTGLPLN -AIRKLVLSESKRRTERYVAETVFPSVRQ - ->YP_009047188.1 VP4 [Drosophila subobscura Nora virus] -MQNPTQTMHIYDMPLRVIAGLSTLAKTPDEDDNTSTGVVVSEVGEPQATTHPAWIDSFISYQLRAPRETM -NPDFIFGGADVGNAFSAFLPRRFSAPAIGTRLVIDPVCTYPQYVMMQLYNFFHADFYYIIHVPAPLGTGI -YLKVYAPELDTSTVTRGIRFKPSALPTVAVSLPWSNDLSNVPVSEGRLGQSGGTIVIETIEDNSNETVNT -PLSITVWCCMANIRMTGYAIADSSAYNHPGMNFMPLPTPTPPPAQIVGEEQADNEISAEGGKPVQELIYD -QSAIPVAPTVEKEAEQPETPAANIATRKNDTGHLATKWYDFVKIKLSNPANMSWTVLTIDPYNNVTLSRD -GESMVLPWRRNVWTTGSKAIGYIRTMVAQINIPRPPQISGVLEVKDSINNSSISLIEFGGKVEVPLIPKV -LNGLASSTKLPRHWLNPWMRTAESKVELAYRIIAFNRTSDIADLNVSVLLRPGDSQFQLPMKPDNNVDTR -HIELVDMLMHEYNQLRIDGEEQSLPEESVPHTMNPAQFITPAVAQTAEQYNVHTAIGENEELELDEFPVL -VFKGEIPVGEVSSIQLDLAKIYDFAWDGEQNAISQKFQRFAHLIPKSAGGFGPIIGNYTITCNLPTGVAG -RIVHNCLPGDCVDLAVSRIFGLKSLLGIAGSAVSAIGGPLMNGLVNTAAPILSGAAHAIGGNVVGGLADG -VINTVSSLLTPKEKEQPSANSNAISGDIPISRFVEMLKYVQTNYQDNPVFPTLLIEPQNFISNAMSALTK -IPIEVFANMRNVDVERNLFDRAIVPVVEEETLSDVVIPSHSYAYIMRDFLQSKQAFRPGTKQNVYFKQFL -TVLQTRNTKSHINLKDIITCPIDDESVALKIGRVKHYLSKNLSGETTEEFSRTDTGSEVNKIRKILINRT -STERNVAETVFPSVKQNKTLFTAY - ->YP_004849310.1 capsid protein [Nora virus] -MQNPTQTMHIYDMPLRVIAGLSTLAKTTEEDDNTSTGIVVSEVGEPQVVNHPAWIDPFVAYQLRAPRKNI -TPDFIFGRADIGNAFSAFLPRRFSAPAVGTRLVVDPVFTYQQRTVLGLYNYFHADFYYIVHVPAPLGTGI -YLKIYAPEFDTTTVTRGIRFKPSASPTIALSVPWSNDLSTVETSVGRVGQSGGSIVIETIEDNSNETVNT -PLSITVWCCMANIKATGYRHADTSAYNEKGMNFIPVPVPKPPVPPTKPITGEEQADNEVTAEGGKLVQEL -VYDHSAIPVAPVVETQAEQPEVPVSLVATRKNDTGHLATKWYDFAKISLSNPANMNWTTLTIDPYNNVTL -SRDGESMVLPWRRNVWTTGSKSIGYIRTMVAQINIPRPPQISGVLEVKDSINNSSISLVEFGGKVEIPII -PKVMNGLATTASLPRHRLNPWMRTAESKVELQYRIIAFNRTSDIADLNVSVLLRPGDSQFQLPMKPDNNV -DTRHFELVEALMYHYDSLRIRGEEQSLPENAPNAVSNPQQFITPATALSAEEYNVHEALGETEELELDEF -PVLVFKGNVPVDSVTSIPLDLATIYDFAWDGEQNAISQKFQRFAHLIPKSAGGFGPVIGNYTITANLPTG -VAGRILHNCLPGDCVDLAVSRIFGLKSLLGVAGTAVSAIGGPLLNGLVNTAAPILSGAAHAIGGNVVGGL -ADAVIDIGSNLLTPKEKEQPSANSSAISGDIPISRFVEMLKYVKENYQDNPVFPTLLVEPQNFISNAMTA -LKTIPIEVFANMRNVKVERNLFDRTVVPTVKEATLADIVIPNHMYGYILRDFLQNKRAFQSGTKQNVYFQ -QFLTVLSQRNIRTHITLNDITSCSIDSESIANKIERVKHYLSTNSSGETTEEFSRTDTGLLPITTRKIVL -GESKRRTERYVAETVFPSVRQ - diff --git a/seq/clusters_seq/cluster_716 b/seq/clusters_seq/cluster_716 deleted file mode 100644 index f06ba27..0000000 --- a/seq/clusters_seq/cluster_716 +++ /dev/null @@ -1,61 +0,0 @@ ->YP_009408182.1 capsid protein [Lake Sinai virus 2] -MNPPTTTTTTTRTIRAPKVQLTPNSATRRRRNRRRRRPAATIAGPLSIQPSSINRRMVSRVTRRSAVISA -AGLAWLRQYLNPMGPDTTSVTGYPDGSAVTTCIADYSNTFNVSFPPREALYCTGSSSSETPTLVDADNYA -KIDKWSSYDITLCVLALPMLRNVVMLRLYPHTPTTFALTEQTPNFPQRFPNWSVYSADGTRFNNGDEPGY -LQSYVYLPNVDKHLSAARGYRLLSRGITGIFSAPALETQGFVTACQYLAEGSIQSQSIKSDAVRSVTVNS -DGTVKNVESSSQTVSSMPRYVFPLDGDNCAPSSLTETYHQAYQSKATDGFYMPMLSSSRDNPFHPPQPRA -IAVYGSFLARGCLDPVSEAHEADGPTHDIYRLNVADDVAPLFNTGVVWFEGISPKFSLKLKTRTVLQYIP -TSGSVLANFTRHEPTYDQIALDAADRLRNLMPHAYPAAYNDWGWLGDLLDSAISMLPGVGTVYNIAKPLI -KPAWNWLGNKVSDFFGNPVARDGDIFFDAK - ->YP_009408179.1 capsid protein [Lake Sinai virus 1] -MNSICSLYCLFRRVMPYSSEILSSTVYRPPFLATSGLFFTRDHYKFIIMNQQQINPAQRSLRPRAQSAPS -RSARRRRNRRRRNPSTPAGTVALQPSRITRRVVSNLARRPLTITTAGLAWLRQYLNPMGPSTSSVSGFPD -GSAVTTCIADYTNTFNISFPPREAIYCTGSNSDEKPVMLDAATYAKIDAWTKSDITLCILALPMLRNVVM -IRLYASTPTAFTLSEGVPNFVQRFPNWSAFTTEGKVLNNGDSPGYIQSFVYLPNVDKHLSAARGFRLLSR -GLTGIYTAPSLETQGFVTACQYLAEGALQTQTVGNDFVQSVEVNADKTVKNVNGKRLHYSGPPKFVFPLE -GDNCAPSSLVETYHQAYQARAVDGFYMPILSSSRDNPFISPKPQPIAVFNRWYYRGCLDPVPASKVADGP -SQYYYDLNVADDVAPLYNTGVVWMEGISSKFSLKLKTRTVIQYIPTSGSVLANFTRHEPTYDQVALDAAD -RIRNMMPHAYPAAYNDWGWLGDLLDSTLSMLPGIGTAYRFAKPLIKPAWNWLGGKVSDFFGNPVSRDGDI -YFDAK - ->YP_009388503.1 ORF3 [Lake Sinai Virus TO] -MNPPTTTTTTTRTIRAPKVQLTPNSAARRRRNRRRRRPAATIAGPLSIQPSSINRRMVSRVTRRSAVISA -AGLAWLRQYLNPMGPDTTSVTGYPDGSAVTTCIADYSNTFNVSFPPREALYCTGSSSSENPTLVDSDNYA -KIDKWSSYDITLCVLALPMLRNVVMLRLYPHTPTTFALTEQTPNFPQRFPNWSVYSADGTRFNNGDEPGY -LQSYVYLPNVDKHLSAARGYRLLSRGITGIFSAPALETQGFVTACQYLAEGSIQSQSIKSDAVRSVTVNS -DGTVKNVESSTQTVSSMPRYVFPLDGDNCAPSSLTETYHQAYQSKATDGFYMPMLSSSRDNPFHPPQPRA -IAVYGSFLARGCLDPVSEVHEADGPTHDIYRLNVADDVAPLFNTGVVWFEGISSKFSLKLKTRTVLQYIP -TSGSVLANFTRHEPTYDQIALDAADRLRNLMPHAYPAAYNDWGWLGDLLDSAISMLPGVGTVYNIAKPLI -KPAWNWLGNKVSDFFGNPVARDGDIFFDAK - ->YP_009388496.1 ORF3 [Lake Sinai Virus NE] -MNQQQQQPNLTQRPSRSRSMPATPRSSRRRRARRRRQNTSTLTGTVSLQPSRITRRVATSLARRPVTITT -AGLAWLRQYLNPMGPSTSSVTGFPDGSAVTTCIADYTNTFNISFPPREAIYCTGSNSSEKPVLLDAATYA -KIDAWTKADITLCILALPMLRNVVMLRLYATTPTAFTLSDGIPNFVQRFPNWSAFTTEGQLLNNGDSPGY -IQSFVYLPNVDKHLSAARCYRLLSRGLTGIYTAPSLETQGFVTACQYLAEGSLQTQTVGNDFVQSVEVNA -DKTVKNVNGKRLHYSGPPKYVFPLEGDNCAPSSLVETYHQAYQARAVDGFYMPVLSSSRDNPFCSPKPQP -IAVYNRWYYRGCLDPVPADKVADGPSQYFYNLNVADDVAERFNTGVVWMEGISSKFSLKLKTRTVIQYIP -TSGSVLANFTRHEPTYDQVALDAADRIRNMMPHAYPAAYNDWGWLGDLLDSTLSMLPGIGTAYRFAKPLI -KPAWNWLGGKVSDFFGNPVSRDGDIYFDAK - ->YP_009388492.1 ORF3 [Lake Sinai Virus SA2] -MNAPTSNPTTARTLRPVRTNPMPRRSRRSRRRRRPRIVTTGLTSLTPTAINRRTVTQVTRRQPALTGPGL -AWLRQYLNPMGLSTTSVTGFPDGSAVTTCVADYSNTFNVTFPPREALYCTGTSAQQHPVMLDQASYSKVD -KWSESDITLCILALPMLRNVVMLRLYPTTPTVFNLTDQTPNFPQRFPNWSVFASDGTRFNNGDNPGYLQS -YVYLTNVDKHLSAARGYRLLSRGLTGIFSAPALETQGFVTACQYLAEGSIQSQPIHSDAVRDITLDNDGK -VKNVRKSTDIVSSIPRYVFPLDGDNCAPSSLTETYHQAYQSKATDGFYMPLLSSSRDNPFQPPQPRAIAV -YGNMLRRGCLDPVSELDEAQGPTHVIHHLNVADDVAPLFNTGVVWLEGVSSKFSLKLKTRTILQYVPTSG -SVLANFTRHEPTYDQMALDAADRLRNMMPHAYPAAYNDWGWLGDLLDSAISMLPGVGTVYNIAKPLLKPA -WNWLGGKVSDFFGNPVHRDGDIFFDAKS - ->YP_009333195.1 hypothetical protein 3 [Lake Sinai virus] -MNQQQSTASPRLARPSPQAPTSRSARRRRNRRRRNGATAAVGPVAIQPSRITRRTVTNLTRRPFAITSAG -LAWLRQYLNPMGPSMSSVAGFPDGSAVTTCIADYSNNFNISFPPREAIYCTGTNSENQATLLDAATYAKI -DAWTKADITLCILALPMLRNVAMVRLYPTTPTAFTLSDGIPNFVQRFPNWSAFTTEGKLLNNGDSPGYIQ -SFIYLPNVDKHLSAARGYRLLSRGLTGIFTAPSLETQGFVTACQYLAQGTVLTQTVGNDFVQSVEVNADK -TVKNVAGKRLHYSGPPKYVFPLEGDNCAPSSLVETYHQAYQARAVDGFYMPLLSSSRDNPFQSPRPQPIA -VYNRWYYRGCLDPIPASKYADGPSQYFYDLNLADDVAPLYNTGVVWMEGISPNFSLKLKTRTVIQYIPTS -GSVLANFTRHEPTYDQIALDAADRVRSMMPHAYPAAYNDWGWLGDLLDSTLSTLPGISMAYRFAKPLIKP -AWNWLGGKVSDFFGNPVSRDGDIFYDAK - diff --git a/seq/clusters_seq/cluster_717 b/seq/clusters_seq/cluster_717 deleted file mode 100644 index 6127e2b..0000000 --- a/seq/clusters_seq/cluster_717 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_009388504.1 ORF4 [Lake Sinai Virus TO] -MPSILTLYFVFIVVWLLVISRRLLHAMRPRGSYSSMLATECLRVQLELARKVANYLGVDHVYVFDTTGFF -DSASVHVTSHGLRYRTREGHVAVLSQSAQLILPRADPNVFQQIARCRLINAAYGLCLDTPQSDRSDAVDA -SDRGRDEVDG - ->YP_009388497.1 ORF4 [Lake Sinai Virus NE] -MPIVLTLYVLFLLVWLLVISRRLLHTMPTRGSYSSMLATECLRAQLMLARQVADYLCVDHVYVFDTTGFF -DSASVHLTSSGLRYRSREGHVAVLSMAAQAILPMADPNVYQQIARCRLTNAAYGLCLETPRPESCSVTDA -ADRGRDEVDG - ->YP_009388493.1 ORF4 [Lake Sinai Virus SA2] -MEGNLCRLEIFDFVMLVFFALYHFLLAVWLLVISRRLFHTMAPRGSYSAMLATECLRVQLQLAKRVAEYL -GVECVYVFDTDGFFDTASVHVTTHGLRYRTRDGIVAVLSQSAQAILPRADPNVFQQIARRRLTPAEHGLC -LHIPLRPSTEPDTARDRGRDEVDG - ->YP_009388489.1 ORF4 [Lake Sinai Virus SA1] -MPSALALYFVFISVWLLVISRRLLHTMRPRGSYSSMLATECLRVQLELARRVADYLSVDHVYVFDTTGFF -DSASVHVTTHGLRYRTREGHVAVLSQSAQAILPRADPNVFQQIARCRLVNAAYGLCLDTPQPDRTDSVDA -SDRGRDEVDG - ->YP_009336680.1 hypothetical protein [Hubei virga-like virus 16] -MNIVIVSFITLLFVMAFVDVNANRASEFTRKSLSIQQNLASSLKTELSLDRVYLFDTSDYFSDAEKRISS -KNLRYLFKENKVAFLSETAQAVFKNFNEYNQFCAVPFLHDTIGVYMS - ->YP_009333196.1 hypothetical protein 4 [Lake Sinai virus] -MPALYAFYILFVLVWLLVISRRLFHTMPTRGSYSSMLATECLRAQLAMARQVADYLCVERVCVFDTTGFF -DTASVHLTSSGLRYRSRDGHVAVLSLAAQAILPRADPNVYQQIARCRLISSVHGLCLDTPQSTSSCVPDS -APRGRDEVDG - diff --git a/seq/clusters_seq/cluster_718 b/seq/clusters_seq/cluster_718 deleted file mode 100644 index a03a7c9..0000000 --- a/seq/clusters_seq/cluster_718 +++ /dev/null @@ -1,591 +0,0 @@ ->YP_009179225.1 polyprotein [Shayang fly virus 4] -MSITPNASGVARNANKLAEARILTAAVSGQTKRLTDEAKALADLANQANNAMMAVVTNMGPTVNSGIRKK -RKTKNGKGANASNGGIISGVSKTIKDATNNIFIITSLTLILIIAYSTASPLNQNTDSTSSNRLGLQFFGK -DFQEIEGKIAKTGLKCKSARDCTNVVVDLMNADQKDKPDCNILVNHATNLASIAPGKSVLRAKLVDYYME -PLLKCKTDIKNIFLRYDAIEYKEDPVKFMCYLLDCDQKSRADLQVEFETLKTQDTNTLREIEKLLNTYND -MVLDKMVNLQISSEITDKFQKTCKDNMNALNNRYGGRYGKISNLLEKIEKSTKNLITEIESNNKKLDNLA -EQIEDMPRRIKRETSQDKWANFKSDSQTIMTCLSKLNIVCSSQATCRTSINEVVDGLKDKSVEDNQFELN -FGTIKDLRECIVTGSAVHEAIEPKINEYIARHGRYVSCKAQVDVVQANLKYMTGVIVDDVEVMSEKRCPL -FLDVLNEKYIQIKRFGHETGTKIDEKAVLIKPCEENKNWQHDGWLGGNSGSCATGIDKTSWDVRECIKQP -YGTCMHKKSDTCVLGYGNVVVKKPNTLYTNSYKNASNPICCTMSCFELSDLYLTFTNQPLCAPCIKAFST -VFWGDVCLKHVSGEISGINIYSSQTVFDLPDHSKVTCPNAYRFNQCCAGKGPAPVGSQSVFKDKYCVCSL -KHPSWYDMILAKINTLKKNVFKVDHLIAFAILFLIFCWHHGLGTVLVVAYLSWIVISVEGACHVENMVPR -SAIVGLSNGLPIEHMRVRPGQCFVAGDATVEIVAIRVFHIYNFLRAIPYKIKPVCQVFDWGCKGGMSDAV -YNIKSDCYNNCVPGLRKQIKGAEAEWSGDACFFMGRVATRLDVCFSYGATSTFVDLYSRISGDPHIELTV -KFHGIGFEGVGHISTSSLDGPEKIKIYNIRAAAQLWPEMISYRLSKYLCAYHYVESANTCNSGDVFKPND -IDADCLNLQQRWNIEHGGYQVTYDTKDFEQQLHSSFVDCSLNSFINNTATTLKYYEDQVWAEFDISSTKF -TFASSVPLCLDLNSWNISAEAGITGYHRVTKIKFINAGSRCRLFFDMDDCLSTNGRLIVLGKNEGPHTIE -YWCGDNSTGRAEIHLAEDKAEIRTFNILTNFVPHKNTIRNTFTRVINGGSVADLSAILSNAFDSLNLASW -YHAITKFFGGFFKFGLFKLALGALLALGAWNCFVRGNMVGVFLCCLLFWLLILTDLVIATNQSHAAPIRI -VSTITDMVVGLVFAVVVFVLKCPMRAALTTSFVLRTFYCSCLWFVNVVFHMHIVKFELCLNILSETLAVI -LSVWSEDRIVMLCAFSWLCYIHGKHLMCNSPVVNKGTMYLHPLTALITLKDHSERRAIVNEANNAHNTLD -SIIDQNHHRVAGISNAFFCAPNKVKTDKIVVEIEDPLVSGGLTTIRTSKYGTVYVADINKKLCFAVMRHS -VEPEITMEDDVCYATPAILSLIEKTAVPYSVLRCHIGESGTAVVKDGKLQFLSGVRNGNPFFVGSVEPPK -SLEIVTKVKESTSEADPNIIKVKDPYGTEYQYSHGSLISKKIWAKHSSSKGVPLCADVQVADESTAIYSG -CEKLDRKIFGVPVHSAYTFIFNKATATCDAISCPIIGDRIWISKNIKEGLQDVAHVQKSYVFTTDKVLTS -GSGIYIKVSCSRYIMISVVTKSFRMEGSDLIHYYISRPNDCRILTTIKNVEIEQKIRNDCADETSPTTIV -AFSHYQRGRFHITEFIDTKEKCVMRLQATLAGVGFINKSHSDSGSDTDCGIVGQSPRKRFYDMDQSRMKK -FFNRKGFTWPDRFLVDSAIRSIFQDLAKSIPEQEVMLSVGLLKEMKDRRMFSHYNWAYVSWQMLQVRNKN -LELNPDAPWWKNYKGIIADTPIDHTAVDFGGDRDLVTPLMHVAAYGKAQIGYQGKVHLIVHPDPSFAKIT -EISEDNHWQIIDVPDRIPRMAKRYLHEAVSRGLRSIKILISKPYWQELGNEYQGEFDIPAALSLCCALWV -ETEYVDDFKTYVSNGRNLSRGFLPSLKAGLTQPWHTPDEKLKVSEYGEQLLTYSNFWKRTIASGCAEPHD -VLLTRTTNCNNISEARLANKRLHICSLRNFTSRGSCFIYDGSVVTSWHCTKGNAIRVTNCDKTMRFDAPV -MQSKAQDIAVYGKKLTFAKMSLGEIVVTFNPITHFGMYFVVESLNATLEGRPGAQFAKLLPVRIDNEANV -IRVPYHHGCSGSPIVNYQGDIVGVFGLGTDVAYTTATGKISTVAHFSPIGGLQLDSMAFFEACARNFLEA -PKSGEFTACYLNAPTGSGKTTQFPLALAEELIKRETGMGCTHILICQPNVDAVKNGAKRAATAATSMGFK -KLKISYTVGIGNEDSDLVMLECDRPTVHIEFKTYGKQWANLAQIEEYQYIILDEVHVIGDENVVAMMVHI -ETKYHKSNKGVLYMSATHISNANLYDVAQGETIAGSPHNITCESWTIVTDKSDSNAPEGLRNKSRVDRTA -GKLHLCSDVIKHKRDDHIVIDMRYISEKTTVFFCATQRDCESGASFAQANGITGYAYHADTKQAVFNSIL -SEEGTCWVFATNVIQQSVTIPNLVAVVDLGKECRPQVRISDCPLQFVCRLQARDTDLNTFVQRKGRVGRT -RPGFCISSPNKSHSNRSVEDFVLPYVVLKLLEHHRSLEELRCHNAELIDALQKLSWMSPENMREKIATAD -QDYVCDSLIEKHNRKQYRGVQFDWKIKDSGNKPYDPVKHYLRCWITDSEVSYWCSNGQGVYMKDLIKTHP -VIKLSEEQEWSEFSSFKDPHDAALLEKERKGKDDDLRVGDTKEYDDQAALEDISEMSLAGPFALGLLGLT -GAGVIIYELIDRKCDRYVTHMVSVAEVDVVSCAKFYAEESLAKMAPQSENIISALSVLKMMKNEVKHLLR -RKTNKLFELFPWLKPKDKKIKEMSSVDWMSAIEGMLNTAMAAVSNWTATFGMTMGSVFGSLGGGGLLGFI -YKDLEKNMGTVLAMVVGAILHGVVFGAMSIKAYGIFAIGQLVTMLVRCVYGNYTRRSGALYTENHNSGTF -WSAIIAGGIGVGFSQVFKQTAMQAACHNQISTVISQASVTTQAGAVGVPILLVKNLYHLFTRAKNFGEIA -GSATMVATLILTSSPLTFLYSGAAALTIFGLRCFAEYMIDQAARKERDGAGGAQHFEEQKRRLRCAVGAV -LDAAAVIVYPSSLISVVIGIISEMAMGSTWRDSCEQSWDAYSGVSPFIAAISEIWRHVISFNRNPVSREE -AQQMSITAVSEMWTKFTDLCKRYLGHFNGVGKKIVSTLRKVWDWLVEHLKACIVQPISSVMSGVVGKCVR -DNINNNWLTRSVFRMNDEPAEEKKQYPNPNYSEMSAVMLNRYSEGKMLDLDTFWLDSGAGSVKEYGSMFD -NMLISEVTLLRPNKGKKIVTTEPLALYQVPMDKLLELLNLTVANCQIKNNVMEFDVPTGSSACPVVKVSF -TNTFSEGSMLACIKHKAPVFAGYWLMWFYRNYQGATEINIIEYGDTDGITDQLLATLQAQCSLLAGQPKE -LTEREFLNLHESLFRVELPRTSVFSQMLHVTKSKVLNMMTEWPDQLRERVKVKHPLNFSYLHFLNIKDMS -SEEAKQMLDYCRYWQYYIIKCQNLYCRLSNTEYKSPKWNIPQGAQVHWMNVENPAEPDTAGHISAQLGCQ -LIRPGYSSYWDTPLLLTSNKSLTHRLLELVSVNYEINVRYCHRGANDQWIEEWVICGSTCPKHFISLIYD -GDDHFIRMPDCAIIDHCHKTPNVAFISKFAEFDIVMAEFVRDGDRIFTYEHINKIPLARAVSKFELQVQE -QSKKIVQPGTTRTNQVMTLLQDFSRKLGEKSRLVWTQLGDIAKVVAETRPSDIVEASTSIITKAIVHKSN -DEGEEMSASLFQSFSDLLKIYFSGQDQRVSPNKVDDDALIERVNFFLTAAQQKELLIERCPTYESIRASS -ELDTEMEFEDADSVRLLDNCAVIGFTEASKGRVPHWTWYKAEDASKFRRESITTVAPSDVMALYKKLNDR -CISKRQWEKLRYADRYRLPSSLEQKANEEVKACSRAFYKMQQLFEADPAFFENCHTILDPACGYGGFSQY -LSNKLASGAPKTILAGSLIQKGHRIPDMSRLTAHGSNVRVVNLCRPDLDNGDLRNKMVLNRYLHESHKFE -GMDLVLYDMGEFFDNSKDQYNWWTKPAKDISEDNQVSLIEGMATLLKTLRAGGRMLLKWTGYFGCGDELL -QKLLSCFKNFKAIKVGTSSLYTTEFYIYASGYTGLTSTSVGRVRRFYEEIGECIYSQLIRANHIMMHPSY -YPPMERGDWEYPASTGVVYRADANENRPGGIEWELHYAGKVLKESWMPNWDERHQIFQQVVRRELYRETP -TRRLRYNRGSNGTYSKLYQDGWFSRPVKNVHEKHSKNDLLNSLCYFVTKTTDDNSTMGQCQATKEYREAS -IKKRIDFEAPVLPGYVLEELAEILDLMTTEYGKTIEGKCRLLTKEEVYVMLNKNGATSILSKDANLRNYM -ETHPNWYELSMHYCVNRWRKNQPTHGFFNIMHKNEAKAKKNVEQGNICLERGSDMKYEPDELREELKTYN -NLPHRFIQYADEITRIAHYIILGDLIEKNSIQKIYKGTVNGCPPAYLGNVLRAAWDLNEPNDKHKVFTAT -HVDGKHVKEQAGANINVYTSSQLPEGVAPHEDDKPCGLSIDYSSWDGSVSVGERMLEANKLASFYPPGMR -STIMNACREMAFAICLDHDGNVCYRSGHRGSGEILTSIGNTQLVAANTIRAICKVLGLTFKEALQNRAVI -TAKVGQKTKIYEVTRIPQFSDGDDTVILTTRRIAKLIEMNIDEELAIAGKKIRSGTKSGCSKHDQFKTLE -FCSHTYESILVGKQAQYVSCMPHQHRSLCENFPEFRIRHLPTRPTTDIIGKLRATLKQSAFKYKHEDDSP -TGSKILTRSKLLSYLLLYPHCRYVRYLCLSGLIVTGDGTVNFDEMIRRYPDYRFVLGKTTLMGALDSVYG -VATFDDVGLRQYNDDKRDGRQLRRHAKLIGEVLDIRLQSIVSKAMVWTTNYKFRDWTVAAHDSKFCKMIW -KSIITRQVRLFPSEIRQIKSNLDLTKTQIEIPDESVYSTSEEAGILTNYQSDNKSNLNWLSKLFL - ->YP_009179224.1 polyprotein [Gamboa mosquito virus] -MARQREATRANLLVRVVTMLGNLVIDPLADLDPTHPDILTARDIREMMRNRRLYGKRRLGLYPFQGGFML -LRKKMTAWTTAEMETISSQPLTTANKIIVGKAKKEEPAWKELKKIRLQEILEARDKQKKCRHFAPLIRLD -GFLAHESDINAAGITRNDIRRFSSLNPGSVDFGVKRLKEERRHGKILTEGRGARKDFHAEIRNFLKVNFN -FKNTARTAFLKAGGKPEGFKDTRFRAHLMAKTTCTHHSWFTVAYSYVKKNNGRLADLEFRSVFGSEAGAE -AAAQAEQKRRSDAKAKWEATNAARALAAQRREENRGYGNWIMNQGLFAARQMFGREEFNNRQAARDARRQ -ERWQENQTLFSVKTLINRSEFEARRAAFQAREQRRKERWTENQAMFGMVQMFRQAEWKAPRVFYDVDELE -NWMARRPDETEEDWQLRLETFELQELARQHPSARRPQLDIVLSNNKEKCAVRYTIDYPQDPEPAPQEEQE -VPPVPTSDKEVFWQQGKPSGVEVLTSDSGVSSDEEKEAPAPKQEKKKNSMLGEYEFGFKKRIAPSEYFFT -KDDRPLFKAKATSIKIEGWWHQHKCVHCRHVYEHYHTYRYVNHKQKVGDCPNCRPATKSECLKLGIWEEP -AKTRKLTITGLQFTFKPEPKVLTAVSKSEDSGNSSQEEDDENIIKPIVPVFVHEMKARDIRAKVEKPPQP -IEEIVAISEQLREEPKPQRTIRIRGTQNFELPLNPEFEPKITVATENHNTEYVDQAAYIEDPMFTLIKNN -NGAYAYYKLDGFKQLAKVNHHNPSSARIPKAAYYGVDIQLKEKPGKLTDKVKVSGQEYTLNKTTLMRCAF -YDQRICQIAYGDFPTIKMDITKPVKPISGTPIYNSEGELISLITKSDSEGNYAVEHPDYVFNRSQKLSNL -NYIDTPQVENFSLTLLPLKALKIAKIITLASPNEGRNMSSDEVEIVLEKGYAYNHRCYGQLQDVTPEHCC -NDPINMKFDHDWNDVVLNKNGKMYFKKDANCNMLMNNPTVLTKAHVHTIQLDCNGRDATIRVGVGYNCNK -SLMSGDFTCSEDRKTLGIIDYSDGKGLYGLATTRIRTFEKCSVTYFFVDKGVPPEIFTIQGYHKKNELTV -IVGRDFVKITHLVKDEIVYSQLFQAKFLREPHNQPAIDISAHGVTAKINFTDDMVPYYTIPQYKIELFQF -LPDEIKKQRHNASVRYNGFFAEVVLPGEIGFSVKIMLPDGVSKEFDVMYDASKILESNDGVTHYIQMCPV -FRCKDELLPGTPIFDTNNKLISVVTSKMDDFYHVAVFNQCLVEITDKYEAKYHNNKITISKNGKEVGFIR -PGFKLLAKQMFQPVSMIASTDPGDDSGEDVPPPVPTPPAHSLHMVPFEGIVYDTSFTIGENGNKSFIQGV -SPKYDTIHSLSEEHISEWTATQNYADIYIDQNFARLSTNMVMAYWPNHQFTMYTISQITRNLVSSNAQNS -LNFELAPTFNSADSLISGAPIFNNSKLHSVVTNKSGNDYALVQGTDYCGHFDGKFTLSSTQDALFISDSE -ANKFASFFYKLPKAMMTFNIDGLQYLSGRGRGRPRGRGGRGAANVRNTVAQKTIDTIVKDVEANIRLDIV -NKEANRLANDAVHLHKLTQQARASLASVTPATRIAVNKSATPSQSSKTPMNFKPKPKQEKSVITKAAEAV -GIIPTTIIAIMFLFSFFTPALSVNCDAKLTSDNYLSTDCASGPLKNGTFNGTVWCECHKCTRNWAYMDHS -ICEGLSPKRHILGCRFGGPRWGINCWLNTYGIEEFWSQQEEKLATTVARNVSTRVYLDTNTLCYTIGDTK -ECYHQIKKRSSLDGCETTETDSLVTNECAHFHKINNTYLGEIFCLCKFSTLMQFIDHTFNRDLDYNHNLM -STVARFIGKTDRAPAWLFYEPEENCATINAKTICMNGDTSAHIERKQAIYKQLHIAEASNCSEIVEVLTT -EHQNAKFTIVGVTAFVTLVLTSVFYWFCKSCFIPCRKRGYKFSPIILTLLVLFTVVSAKPSSNIKSIFGK -GKVCESFSEIAKRNPWLLVIEGVDDSQFVANYKALVNTLPYHTAIKSKILNCLNNIATYHNRNEQVKNDI -AAINNDYATKIENVVLKSATSSEEGTDPGSSPSVDEPMQNDSGSSTATTTADGKCGNIINWQHYGILSNG -DREDPKPCSLGLVIGYETKNSICRQDVKRPVNRGKGECHLGYAGISRSENDVSTSTIINADDKFCSMGCF -DKHHIYETMTNQPMCLPCYFPFLDTLWNLKCVGSLKQTTQIEVLQLSIFSDKTYFKVKTPDGKTSDLKCD -NSYSFSECCGGKGPVSSDTLFHFLDKFCVCALDNHNTLTWLTQWFKFIVNNISWFEETHYLATLAIIVLM -SIVKPKFAFVVLLATVFWSFTNVEAKCSVDKIIPLDVSENANTSGRYHSALVTASKGNCFSIGDITYEVV -DISVNYTYSFYGFAPYKLKLLCTDFQWGCQGGMGTGVYEKESDCYKACTENMRFVETRLAPAFAGSECLM -FTSGVPSRIDTCFSNGQNATHVSLYEKVGFQPIIQLKFIKHTSNDVTYSSSLVIDGQGKTTDNMEIYDVN -FMTPYLPYVVAARSGAHFCSIYRPKMDNLCISRNYTDKYQYQPNCVNIKYIWNPTKNSFKLDYVEADFEH -KLVNDYSACPRNTKFDNTEQNGIFTTNLEVITFKFVSKLGVHAHSMQQCKQLSKMSASVVGGQSGYHATT -IFSINHAEDKCQVFVYMKGCTPLGGHTMYLNSLRNPIHVEMYCDMTADNDLVISTDNQTKHIAVSGVVKN -FMPHKTTLTVNFAKAMSLGSYKDSLGKVWSNVQTQTSEYWNQFVNLLSKYNINFTKIVIMGLAFYISFIN -FMRGYAVNSVIYLVVAYLACFSGYVLADSNVNSTLDVGLDIVNSSMFKLSIVLFIINYVNIAFVIGFVLN -TLNVNTVWFFRYSLNINNGNKIMYVNNMFKTICIIIDLVLGNCYFSLFYNSVEMSLRIAREYNRENDTIS -VETIDHHPFASWLANQVFIFYHRDVTYTIPAVVSSNDYYQQPINWRRCFNHLRTGALCGGTFTETLYVPL -QGLGFCYNLINYHIMGVRFAYFRTIFNYINAIFSRHVVNSVSSTFANQQEGTDSLVLSNQARMEITQKPP -AKELSATTYENKSKTYHTLTTENVLYTSKTGAEQLTGHSGLCFVDGRKAHIIDSTSDGDTVDLATNLIVE -NNEILDSTSNYPGIIVNPALQEEIFMTEQQVTELTSEIVQMLEDKPLTNSQQVTVSEARQQIEQAEKEQR -VVMAIEPEIQEPKVIHEIKCEESKDGQSSEYQDCNSITENLRPEQVDSCQEVIPEEENKPEPEALIVDLM -KTQIQPHQNPKPFNEIAIADKIVLLGKLIAMVSAQEKRTYDVPKLHIALKELTDLNALHSSKLSRHTNRI -IWNAINFSLNQHKTHRIIFKGNNKFVMIKSKFIDQFKLGLTATEIPITVISDESGRYIGTFYGDSNTSWI -IKTTKHEKLVSTPQKFASEIFDYNYRFTKNQPMHSSNDFILDTVFSIKIFDNGSIMVFRDCQEYFVKYNE -TLTWTSNPLANDCHNVPIKYNRSLGIHSIDFHFDINSIANTEMEMEDVAGNKCFLYELRDTRKCFVGCAQ -IPAVPQHVFPLGSLSSFDIIIQSNSFNFHKIDTDKPVWSTQLDNGKSYQIKCYINKRQTVIQTSNRLHIC -HTFYSELDFISGTPVYQDFGGWFTKEYHPVSVITARIPYKNGFKYVISNPLDSTIYLEDYLSVHHQSKHL -LTNLASKIISKTGQKVLVSGESVQVLDEYNNVIHQIESNNLVVDTQLQYRISMYYQRKIGFLCAYFGMPS -YNEDVFVEKFRKFPVPPPTDIKVCSEIYDALPAFLTLRLTPQVTDDLREVKDRLEKEIPESISRIESLTP -QRIIELYKKIGPTDLMIAVLKINRDKAEHIPIFRRMFEESKSRHLKLEDRTADEDGSIIKPGTIIGSHRI -KDTIFLMESVVSKLDTSRHFDYVYLDGPVTGPNAPTKALYAQKYPEWCTEEKVAFKFPVYCEAQKLETRK -KGAHSTLEFSTILDLHQIYNMPLCDSFAPKDKPAISDEEKLWNNTQKLDITLTRYKKMQDFWKHLFATVL -HDEPIDYITTAQACDIPYFSFIEEQQEDNKNLFTIVLDHHKDKLTPLTNGIYLAKRNLDETMHYLTSLIW -YLNDMGVKIITIQVVHHDVFLSMQNYLPVFYKRFTDFGDTIIQFYFDTSSYLDERGLWENFKQAIVDEIK -EITPHNLILTADVPLPQTYREHAGSMFGDVGNDIVAQQLKLWRQKMGKIAIQVNPKAVNTGPIITQNDIE -RGATTCPELADSNFCWYLLNTSVTSGSAFGDAKVIYTQHHVTKGCNINRKDNRVSLTYTPFKSNRVADFC -AYKLSNTAARHDFVDPVVGTIVAAVNPAFKFIMFFLVEEVDACIEGLVEKAAILSPIMIDANFTKFTRFT -PDPMYGCSGSPIINVNSEVVGIYGLSIMDKVHRPIDSKACVSPIETLVGNQRKYLITDPNLISNTQTFFE -TCVEEFLNFDTPKNSRYYMLQAPTGTGKSTRFPLFLMREIAKRNEARNILLLQPGNLATRNSYTRICDTL -FESERDYDKYQVVQQVGGTEIVSTGKGKVKLCLQTYGKYLTKLSVVHEYHYIIADECHDINNPDVMCGLV -FLLQPGISQRQKVKIVCMTATPLESDKAFVLNSNNKLPNTLHQISDHPLVAIEEGAELYSDPNLFYINTS -KLHITNPIRSRFQKALVTNIQHLGDGVTLIIVATKAETTNLFHELKHHYKGTQVFYLNSDHSDLPDNFNK -GIIVATDIAGQSITINNCTCVIDLMVENKPSVSLTENENGFTYTNKLELRIITTATQKQRKGRTGRTCPG -RYLSPFAVDPEPDRSFDPNTAPVCYFNYISKFPRFNNALIESSFMADAAKTILANAWMHPAEMHRRPWYA -NMVESKMDEYRLDTTKSPGLGELPDKLIAVNQMNNTDALYYYLTPAISRDVFVRRKKMFRTASEQQDTEL -YESLKDQYKLFETMEEAENYNNHVNMVVQSDREQAQLTDDVMDYYNTNKKDFDEKVTKKLAAQSQKLRKE -CNSFVIANLAIGTTAAAVFGVIVTYALYVDYNCDRQITDIFYADKNDLASVAVGIDRSAAKMKHHSLITK -MLNWISEGFKICWGSIKDFAYWIRSIFKFESNTPKADAVETVQQEAFQAQFMALFTKFKMYVLGMSGLTM -TQLSTLGSTIFGGFFWGMLWKNINDIFSTSVAFCFGIIVAALASFFGGSIGFIAYFVMAILGYFIERIKN -KSDQEKYAYVQKHSDPAYGLSIIISTLMGGAFGQLLAHNVVPVISSSKLLGAGAVTLGIGSTSQALVSTT -PFYMAISAGGGVRSGLVAAKNFYWLMVRCKDFDFDTLSNLTTLLFNLVALNPVGGLAVGASVIGLIVLRT -MVRHHFESQYQQARLPDAARRNDELMAKFDSVMMNILSIVAIGANPMSICSIALDVADKIVKGENVDIQS -FVGSVEAHAGEPILIAIVSKMMQVLSRAMANASRRQTQQESLLFIPCAIFYSFKTVFEMIPELFRKMKNM -YVATSSWLTAPRQESEHWFWSMWGRFADAMKSFWEWIKKICSKISEFTKQIITKIGSWFGDGVVDQIAER -IPRASFLIKEKEEDESWQLFQKQTEEYHDLSEVKVVDSVKDVHIDHLYGISRCTDLLSTQFFTDISDITI -AKGTIPTRGFQDIIVKDENKNCTIRSALTIDLAYPIELLKVSHDSLYTTADRLLPNVTKMDNGILVDFYG -IFLTVEVSYVNNKVHLFAMLEVSDAAKDRLIEVTNAPDSKGVKGFFKGTLAKVYQGVARLPSINKMGVYV -IAEPKPLEENSTIATVFAINMNLHTSKSFLDIFKDVHPLLKNSDNVQEFPLDKAFVLLEREINLFNKITH -YDLTDFKNRKGAFMTAGLIDMVISKLQQLAGDLTTENRFLIADKAPFNDADPEKQYQMSLFVRKVKMQSD -FLDISPELNSRKNILNTYPFGIVNRRFKVKLEYLEDQYFTGIKEEKRLKLSGEISPSDFEAILKSNQESD -CVHLATVFKSTTSADWNKTLYFEYNNFPHIDTPYTCGVKGKFATFSPKEKEGSMVERIKRICFSYGIVLY -LNMYDTYYLNTAISTCGCMCIFSRHSIMFRLKKECIKPGHLKNFKEFNPTVTEQYQIFRPTHSADLTSLE -CVELPGKIFVSEGIDIITKMHLFRVLAYFRLLGPRDKAWTDVEIEQITGNHKAELFTQLAWVNEIRSRFG -FRPIFEESAFNSFLNSYLSLNVMDGFDQLEHTTNFSAELEKNGVQASYIASYRTIIDEIQEENKLVSEMM -ISQNMFKFIKENKAKIESSIQCVERPILQTMWDKISNKMNDLATYAKDLTNTAEEALSRLVNKPLIPDPE -NPDPYIYREAANKWQMDKGDLQYTEEEIVQYIGYKIDDNMFPLIVTDCPKVDGLSFPSCFFDALAAAINM -PPDEAKAHFERHSPTANRIFKDTALNRDVDLSEAMRIILECSADAILLVKRLEFKSRDWYAIPVLSSHKC -GFSTIPVICLTDNHYRLVFPDNKGVLFNSIAKRFNYSYSKFCNKQSSYASLPLIHSEIDETFKNNFFSML -KSLKLQMQAKTPTVLRTKDEVVATSPSSETDDYADGYDPDNDELLANSSMSEEEPPKKSVKQDKKTVTVN -VYGTSVNFSPKFYIQLNMEDLDPKYHILWHYTTEKINNKKDGYTLKVFENLVIKVKKQTRLPVFDMSIEE -VHKTYQKYAPEIKRLQYICNHYLQTFIENSPDYNGQLAIMQTEIMGLMQTKSYEPHKLCDAAIEIAQHYI -KTKISEFIGQKYSGIILETLTNMGGFKNQQEDDTLILACLLNYFKQRKVDQREWPEFARTFKTEDEMQYL -LENKIRSDATPLKLDQLQHLQGEKTPPTDIRQESSTFWDVLSSNMSQDIKNKISKFFHPEQEDQPWLGPS -APTNDTDNFFSNLYERVTSFFSRIPYGFSADDAILEQSSKAFHEKQAYEYTRDMTKKSDPVTTTIFNIND -FIVANRQANKAIEYETKAKQTRDQLEKMLEADSEHLPEPSYVVDSNGDMIITDPEMSPDELTNTIIDYDY -YNFSSGFTKTLVRTPYDIRSINCKNLYQYITALNDYPSPLYGEIAICNRNLDTVARKKLKTFRSWEKVRY -CNRVVLPPRAQSEGHIASSRAFWKMQQITEFDPDFFSKCSNILDPCCGSGGYHEFLGHYFKKSRSPKQGF -INTMRGVGHRIPDTNRIAVDGSNYSVNYVDIPEKSNGDIRDTRTFLAYRNAVKDKNIDMIIFDIGENSND -LKKNEKFWYRNTHAYQLKILNDEIGSLLDLQLTSDDHSALSNVTSEFMATYILRPEQTVKLDGNLDPKTT -QLKKKIEENRTDIKQYIQHRSEIFGHVLGGETLVNNSNKNHIVNGENVIIGGGITSSITNNSESVTDGSG -GVAGNITNNNNNLDSRNSAGGRISEIPNGNNKANESIFYATELNLIQGVQRMITLLSKGGKMLLKMNGHF -KGSDFVIDSLTKFFHDVKICKLGTTPEISDEYYIFCYGYTGAASLYWDSNGNKNQWFEPPQRLTPSEAAS -KNPSKIFEVPEIRAKIIDRVAGTQILSRKHIADRILKSEGKDYKPIQRSDWVEPDIKGTFLKINKIAPEY -QDDTHLPPGISFDFQSKYGHVKATWNPRWTSRYNLIKHYVNRPQRFTDTRKQKKWNFEAPVVNKFNVLKT -IGRIKKRNPTVVKSKVVNDLYFNMLSDIWKMDQNSATHCHTQFCGEWKQKTIEARLDKDAGYATPEFLQQ -MWDCVNLMETQYGKSLHGKGRLLTKDEVLTMIVNKGAAGILDHHANMKDFIESNPDWYEQCIADYVIPWS -EGKDTPMYHTWRFKKEAKEKSCINNGRINLPRGCSKDELEEYNETKARGIQYANLAARLAELIAFGWVIK -ASNKNKLYKGSLNGTPPHKFNVLRAIHDVFEPPEHRLFHFGLNPHGGVTIRPTEAGLRKMQTVPKPKEKF -SRGGGAYEIHGPSFNLFEMFKYGKVNSKGEIETGIYKGGKLPTDTVFTDNTWQVGVNSNKPVLSRAKGAA -IDYSGFDGTVTSAERMLMAQFFKRYYPKLVWNPLHDPKYKGTRWYKLGAEFLVSANIIDNMMREMCFAIV -MNDDGDIWVRPGQRGSGELTTSVENTFIALANMYCAISYVLGITLEDLLETLFTVEYDVGISAGVPITKI -YEGTLLSLAGDGDDVILIGSEHLINRLINALEEPLLRSGKIIRSGNKQGMTVCNTFNDLFFCSHHYTAVL -VGPQADFISANQTEFNYVCYSQGLDHPAGAPTHSAVTQRSSLYRIIHLPSRNITTIFSKLMFTLKQCTSV -WDPNNNMCVEITRSKITSYLLLYPHIRTVRYFALAALAYIGDEKLNLEELVRRYDWSELRKYTTILGAIK -SVYNVNSLDDIGLTQYQEDKKGMSSMIHNVSYTGKNCPKSLQDFFQNTFRWMEKVQFKHIDVVTWSRKFA -EKFIVHFSTPNKQFYFAKKVAYVQEILKEKNN - ->YP_009179223.1 polyprotein [Shuangao lacewing virus 2] -MEDIPIDPSFVKYCKGDSFCYVKRDAKGKLIIAPVHSLTPDDAAEMKNRSINYVDAFANVPFAPITPNVY -VGARGGKMFNVCTNLKVLTGFDGIEVLVGTMRYITYPKNLVSGTPVFNQQGDLCSIVTSSDESDYALTGF -DYVNSRFLCDKYEEKTATSNFIYGQRCFNDKASLLNYIKQVENNPPTMHWTVVNWTRLDGTLHTTYVNNL -KQYSAVNWHLRPFWQAHSTPRRSRVIKAGVTAGVVAGEIANIEERLKILRIAAQDTEVQIRSSLRNQPTA -QRVVRKPKVKQEKGYLEKTAEAVTEAVAIIVCMIFFLSIGVNAGAVDTAAKNVTIDVTMQFKQGIVLKTF -CDALSFNLTPGDFADDYLSLAKSNELRGVFTNKLVKFEKDLRTGSIVSCATIAYLSYAVQDFITDKVLPP -ATGIDVKKYLNKCIDFINCKIEFERLLEWNSGVDLRKAVGAGKLNSDNCHAHTRQLEQISERLVNMGHWS -GLTANATKSEDLCGDNENWENDGYAALGGEPCYKSDFSKYTEPNKCVTDVPHDKCLNGITGHCQVGHGGI -GVDGFHTRSMTNSKYTCCSLKCFDNSIIYHYMTNQPKCSKCFYPFANYFWDVDRCHGKELWIGPTYVRTI -QIYKYQSEITLGDTVKVCTNIKSFDFCCGGNGPVISKDDVHYTDKYCMCGVNKHTIYGNIKSALSLAYIR -LHSRFYLVWFIFAFAMSMIAPRTRFGLLGATIVMLCPYAFAACSVENLLPVSSTVHLTRETAHVRMYIGQ -CINVGDATLELASAVISHEYKFLRAIPYKIKPICQEFDWGCEYGTGTSVYYHNSDCYNKCNIGLRASLKS -EVPDFTGDACALSSTVPTRLDACFSIGGPGTLVYLYEQISSEPSAVLTFKLHRQGIETINDITLSNLEHG -DHYNVFNIKNPYHVYPRMIVKRNDNYMCSYVYVETYGLCNSPNIYKPYDIDITCLQIEQEWSNAHTRYEL -KYKKVDAEQIIYNSFTKCASGSHINITGTNGYFGKHFDYAEFDIEGQMMTFGASVGMCSEEAYRKWEIVS -IPGVSGFHSPTIIEYRSKAPKCKLFAKLQGCHSTDGNLLIIGSDTYKRSIEYWCSDNATGLLDIKLDRGV -EKQIALNVVVNKIMHKNTIYNSLYNLETDLSMKNLHGFVMDKLLSINWVAWSQTITGFAHVGIWNLLLSV -FLVYISYIQFFRGNLTVSLVFGILAVISYRGEYVIASQDDVDYCDLTSILFITIMFLSFAGLPVNYVSYV -LCDISFVLGCTVKLVYSNLVHFLCVTFPVTQLQIIKLYDLMFYVIAYLLVGWCGVLTLFISKVVLEVVCY -TRQCGSVVNRGTLYVHPLNYFVFWFLPRIINMDQYLSKDVFTNEDTVIVSYWTRIFYVALPLKKCAPPVE -TIEITDEDRDMLEDIRARLARIFKDSIFEDVKKNFDADLASIDSNVKKVSELYDMAEDNLVTKTSESVEI -MAKLTDAANVLTGIEQKAYVDLYLADNKSSSVETDLIVDNSPNFYEAREHINEPTVTYPIDGCPGRLRFG -DELQKALVVLPANMGSSLFGDRSQLTKHVLGVSVVSNRTALIPDLSIVDVNRLKDRYFIPGTYYIQFNTS -TSFPVFVTMIRTYTTATFSTAAHLWMTKNQEFRIFVKHDTITFGDHLSGVDNEGDDVEALQGNCGLSKVV -NGSIYVLTNATVDGRPVYEHAINPCYSTFWDGKSCGELVKYIPMKSACISRDSDGYQVMKGISPFSGSYR -FSPVLYPAHLLNVGKTVSLDIRTSGFEDLRVSRCDDDYVYIDNDNGELEYYKLTDESFWYSYEDASTGIS -QSVKARAIRTKNRILSGSLIKRRVYNGFFRQDTYEAFSVINLKHTDVLEDLTMTYYYAVIQPDSLIYNKK -EKEGDFMYQNVECCTVLTDSHIKISKKDQDRLKVMLDNIMLADQSGDELEMNKTVKSLKKFFLQILPAYI -TKDQKAGLIAMFHNGYIDPCLEGVSPSIVDMALFIYVCSTKIKHDKITQDILSRAQRGSDLFDDLAENGV -ISLEQADCSSTASSTLSSKSDFLTSGFDEDSEVSDLTITRSKSKFKEALRWGNKILKGDELRIHTDQVNS -CDVKAIYRMESAGINIDLDLLCKGLTTEMIDMKPWLTKQHSNSHGIVELSRLCYEDFIHPTNLFVIDDDI -VMRARTIYYVHHGFLDLKKVIKSALITVKDWNVEEDIEPCPPHDDDIFNIIRCSRCETNAVKSFFHLNDA -GGFKMLTVNLPFSWKMIYEVKQHWPTNDRDIPAFSVKNWLRVCLYLHNFNEDMQYVPYGFRVIERLLARY -SVTTYPDLWIVAIQSALSGSDIWVDYAVKELDVVDMFSIPSGIQTCDAASRERVQLEIDSLGNKTNWTFD -PINREMCYNRDESIARKPLAFIIRVFLSDEIGNLSIAHRTSLTEIITSMEFGVYCINKAIEIVLDAGLPT -VEIQLCKSTSELQTQASSTMRAATTRYSEIIINSIGIPERYKHNRMIVFTYKTDYCRLPKDNINSIGGKL -YDEFVLNAIIDDQCIRRVVSTARSSSILAWNRIAQLQCNPIEKLGAEGDEIFYKDSEFASYDIIGLIRNA -TTVGSFYINGGKSQLFSSYHVTKGNTVNLCCDNKRIIFKHDSADIDKAADIIKYQNGDLETTRLAKLQID -ELVVAFNPVCNWVQLYIVESTSFRVDTRRTDFVRLRPVKIIGSNMAYTDEAGTKGLSGSPIFNLSGELVG -VYGLIRNEALVMSDETFKRIAPSAYVGASPFSSPVTDTFFRTCARNFIEWSPNSDKKFSYLNAPTGTGKT -TRFTTHVMEMVEAGTKALLIQPNVVSVKNSYHEICKNLADSEIFIEYEVGVQNAEHHADNGKNHASRHLK -IMTYGKFNNSPQITSDSYSIVFADEIHCIDDPNVAFADIYLEHNWPGKKICKITATHNASISEGYDVALD -ERLTSKNFDVNEDYKLLRQKMDDNTGYWELKREVFRSLVAETMYISKVPVSKGVTLWFVASIQECRNGVT -WAKSNGIKYAYAIHSESIADLDNIPDGQVWIFCTNVLEKSITVKNAHCVVDFQMEFINVQTCTYHHKSTP -TDAMPQLESVEYTNKIVRRLITSQSATQRKGRVGRTGSGYYVTYETQTHEASDEYARGPELFLKICSQNY -DPLLIAANYSNQSIRRAIMMNASLKPSIYQESIPYVTIHNLLKNSRQPSAVPLNRNLTEKLRILNGLNCD -ITAMYLRPFMSKEECSHMFKNHSRIGLCSTQEATNVALTTLKDYMSINEFSESWAHDNNILTLINIDSYM -LTCSMADDETAVELSAGAIKRLVDLGMKRNMQEDFDMRSLTAGLLCSIPILSIVGATYVVSDQIDKRTER -YVTHAYQIKIDHGRKTSIIQFAKYIYETHMQDNKPIYENVNFIHDWFMWLISPIRKVIQMITGTKEEKNL -ETHSLPIFLSGFSTQVAAIGASLAAVSPLVALPNLAVYLGTSVIGVMWTDLQKVLGKPLAIIVLAGFEAL -LVVTIGAPAAAIAAAVQLIAFTIKHVCSPNQRKLVGIPNDPDTSYSCWLQIVAMSLGGLAGTLFTMYSPV -ATKTVAQNTVLSRLSKTLDPNASGTSLTHSIKLVKVINRGLAYAIRDGTTISGFTATSLIVQGLYSSLHL -GVAGVAMVGGISVGICIVKWIICAAYEQQGLQSRWQGYLPRNPEELRAKVDDIADMAIDIAATLADPFSL -ITVAVSLAVDYFKHGRLPDGSFKNRFQDFAGVSPLLALPVLLTEAFTSYFDGGNLEEHSFAILTSTPAII -AAVTAVSGVVIGSLYATVPVVATAINITWEYAKRGLSAMWEAIKRLFGHSARSTGYQAGVGITAGVAAGL -ATVPVIRHFVPQKQIRAALEQTTESTPIYNPRNVRVEVAFGNMLKLVGKISLPEQLFFSMDINTSVCGGT -MPLSLLTEAVISNSIGQPSFAKRLVAPYCIDLLKITPSSLFHRLGTILPLMKLENGIMVMVTQDMVVKFE -MYYDKDHIQSHIQIECFGITIRMVCFITKRKGMSCEVNLLGFGVTQEIGNALMKKIYNIMFIMREAKEEH -VTLENMSKLFTDNNVLSVGTSSTVWQKCQQAVFSFADAETKINEIREIGEFLTPEYYNGAHFPINTHDDH -DFCLHNHPVIAGHYLHSVEWTDSLYLDPRYNLPAGVEGKWYCTPNKDWCSDLQPFKIMFMEREFTYTNSE -TVLNFRKIQYYIPYAVQGDNVAEVKHCLTVLAYWLNIQVEYSTSCNHIETYTMGGDCGCRLRIVHPSVSD -TNYYGASWCEKHANEHKVHVLEPTTENIRLSRLPSEYRQLKNCSIRIRNTVSQMIVNMSNEANKKILPRS -NKNTISEETQRRIDVSTTTVSRVKSSLLHSLKSVAANASGKLMNATGNTATSVIFSAGQGIIKTVFEKDN -EKVVIDDFVEYKFSLDDIKYAGNILKINVRKEDDEDAFAQFLSSLEIKMITATHDGQIRITRAELFSVID -NLIDFYRENNLGNVLYRIPKHEITYYHFNFPHNKAEAERLKAEKGSENMELHALTMPHVGEVFDRLWKAI -NGSNYEDYNVAEYNQIPLNTVKNVPESQDESITAKGFYGRLNTYCVQRALKEKIRTIETVNEDAFTTVCQ -QIASSEGVPVEVVAEALEEEILIMKDTTERQCNYIRGFKQPLKGRLRWSYYNRRHRVPMITSEDYTRIYN -MWRNMQHRIRNIRGEQKMRLEGAGRFQLPNITGSGEGDFIASRSFYKMQQLVEYDPIFFAEAQVVLDPAC -GAGGFVQFMSNYYANSKPKTIVVNTLIEHHHSIPDFDKMSVLDSNVTVLPIVDYTAAHNNNIKDVRVLVK -TIESISSIRSDGTYDLLIFDANEAYQNFEKRRQSWIGTTHSNQQSLLNTLRMLQTRLIKXTGKMLLKFNG -VYPGGLEIFYELVKNFDSIKVVKLGTTSYFSDEYYVYCRGYNGKQEFSRDHIHALWDEIAVSLLESYHTA -NYILNNNGRNYDIKRRNDWYKTHEYGKTAKQIVEHPLLPPGVEYDMVVDDEHIHDRWEPRWLERENILLN -YVKSKYGKRFPFTRQDNMHFENIIVRGTFRKKIAVSKPKKQHNGLIAEAQYSIFGLDDYTSTHGHTQSAG -QFKESSRKKRLDVDPGLMSQNTLKEMIKILKHMLTDIGKENLGRCRLSTADEILSMINPKGATALFDEQG -SLREFIDANPNWYEMVLIMVDLWAQGKSTPSYIMTMHKLEAKLKKNIVDSRITSPRNTTLEELDRENYIL -HRMIQFSDAVTRLAHYCVLGDLLTKANKNKLYKGTINGTPQTHQGRVLRALWELNGPAEGRYYKNGYNKE -SDLTIEPIDNDFVVGDYIHLNPGFKSTKIQQPAAASLDFSALDTTITPDERYLEYLFIRQFFPEKIWPVL -FNMIRELAFSLCVDSDGNFWFRNGQRGSGELLTSFGNTLLVALNIYRAISKIVGLSASEVLKTVCKLHYK -VREVNGEDIVKVIEIPRIPSISDGDDTVIFSDPATIQLLIEQLEPVLLQAKKVIRSGTKSGAHKYTNFSQ -VEFCSHRYVGAITGPEANNIELTYDKKLRVPSVDDRYKLWWLPHRHTAEVLGKMRLTLKRETQVWDPDND -ICVGITRSKIGSYLLMYPHIRIIRAFCIVMLMVTGDGSLEYSELRKKSPDLNDILHYQTTIGALKSVYNI -NSLDDISQMEYKLEVAHLKDLAHNISYNGCRIPKNVKPIVGLMADYTIRAGIKSVAPLGWDTKILRWYIQ -HLSRNPEYENQIALYLKSKDADNYLRILDYIDSAGVNRKLAPDTYLLSVAKNTFDFSQIERPPKEILIQK -KEESIKKKNYMRQHALMQRVLKTGLVKGTVYDKKSVSDYHPHVCKTCGIIYSHSHKYNSIDHKQHDFQCP -NKRCANHDYGLTNAVVVHDKN - ->YP_009179220.1 polyprotein [Xingshan cricket virus] -MTTAFSADTGLLRHLGLINYGTWLETAQERRPALDEFMREFFRTLVSLTDTDFKDCSDMIQASAACLDEL -PSSSGAELLVDEFLSEFVEVERKEVPRSAPASVDDISAVRKSLVVPGRRDNFDEVIINIAAFESCLEDKQ -SPMLVTNHPKLALSEKSSAARLIKSAARLNKNALTVCLTHNALTTSANEMGHAKVGDCSHCNILKKVYAC -RARARGLLRERNAKVNNALALYESCNRRELGTFTPLNTGEYLALGGYRQVQEGETSVYNRVHLDKSTRNR -HRVALSQASGHYANKYNVLAKYHIDDSWGEDVVFKKSTKVKGMQSRSGEPSTHFTAFVKKRSRVETPSNN -FWNDSKVYRDLREPPMMARVNYGVVNEVVVKKTTQRVDTDNLVPSGDDAPRIPTTCPYEEGETVPQIPYN -SGFMDQQHLDDYRRAQAERMRREALIGETIVLPSGRKRVVHVAGAAGRLALPPPGFALNQPKERPSIAEA -SRSTAADDYPELPAPSDVTYRPVKLPSGPVRGGVEYQFGVDHTYRCDQDSCGPCSCLSHAEKLVGITEPA -QFTAWDSLPRTIEVGGTELLFEDPYRIRVTKGKHCLFAHHVVTYRTLKKSPDIDYKDIKIEGCVFLNMAI -FGDYKHYYFEITASRRAITPWHVRSYQGPHRNLMAYNKVLEICGHDNNIRGVFLASKDFPNPGRIRDVAC -RSPVVTRKEGKVVYLLRDWARPLSGWNHRHTCRNCGSVYNHEHKYRRLDHKQRAEDCPVCANLVLRANPQ -EGEAQVVNQQHCKKATPFSGMLHGVKWVAKADHNQVEGNCGATHCGAPESVDKMHCLPDVYTDGELLKLR -LVTTLRSVTVPVSSTCVKVFPVTGSVVTHGRIGSHRYGFSKVPSFDCDDVLVSGTPIFDTETGELVSVVS -TSVNGRTYAITNLAYDSLDFELTGPVTLAELDKPSYGSHYGDYAEIKAKALVGDAGGDGLYINLDKNRMK -AEVVSRGRSVFCTRRFGGGACWTNEQHSTRGTGRGRGRGQRIVLNPAYVGRKDVLKTVNHQLAMATAEAK -VHQIQAEAEAAKAMSASVVRTAKQAVATSSKATNQTGIVLKSQQQVVDKKKTITKTPHYAKWIRAAVNSI -TTVAIAAALGLNCCSAAVAPQDRVVHNIVKRAAPDPLRETLARLISRDRIDAYNRELECKPEWKYGDAIT -VADTNIAACYTKTAASATKLKDCNPTLKKIALMKSGLNVAFLPKTVEAMNAYNEDESKLIACVSCYTDLA -RVSMLAAHTISGFTNDYIGAPELAIVDCVAKVASISETLKHAANESSVESMPASPHTISGCHAPVHAWKE -HGHLANRDGHSCSLVDNMNMTFSNKECVKHKYFTQCHSQPDSECRLGFGNVSTSGTVYTGKKHAMTGDIC -CSLGCFSEEELLLSFSNQPLCSPCIKFFRNVFWDSCEFEDHRKDFEGIQIFSDKTVFILTGSRRECKNRL -SFSSCCEGRGAVPSGDEANYFDKYCACAFDRHTTVATWLKKVQQVVRLVFEHPGFTALVVIALVRPNGRF -LWIVLILALTTYQWAYVSAACTVNNIVPLGDSSTYETSNIDQFTVSLSAGDCISISGATLEVKEVRITHS -YQFEMAVPFKTKLICHTFDWGCQGGRASSVWGASSDCYIHCGVGIRVKITDTVSSWAGAGCFLLNGIAAR -YDACFSVGAPQTFSLIYNRMTDNPRVEIDAIIHQGHLESRVIVNQENSKTSLGFSMRTIGASTTTYPHQI -VRRNSEVFCTYHYSETNKLCASNSLEDLTNIDPDCLEAKIEWDVKEHQYALTYKNHDFESVLRDHYVTCP -TSANVTWNKYSAVYTSKTDSVNVEITGHHFTLGEVSTPMCNKGEYSLSSEPGVQGYHKTTSISLISEKAC -CVGLSSHECEATSGGLLCSKTTAGRQSSVWWCNGNETTEFTVMLSGNSTERINLAVVVNWKPHLNVWKTW -NLPTTDSFSVSGSAAWVSNILSQVGSKITSFFGGDWTSKVVIIVFGYLGCVNLLRGKVLAGGILLGVAYI -SWIFPAAYGLDVSQVTGNTINATFDSCRLLVVLLTWASGCGYGVITKNKIASCWPFAGVLILWAYDFEAI -VLVTLLVCVYVYWAMKYIGKPSEEVWELADHNILLGYITDWRDEEDATMFPNPAIRYCTKDGLVGEAVNR -PVEGLRSSPVRTPALNLPDGTVVPVSAEDINLELSRMNKNPSLAYPLVCTSHELQFSSGTYWFMRDGQVL -AACGATHCNPQTASGHEAWMYYLEQSHLDSLMVYMNSQEPFRPEPGNSGKWDSEKQGLFIGVRGTEAIYV -AVDFIIRVDKLAETVTNDGAWSFDVSVEDGVYSVGSCYYEVEEQQLVGAFGDTVDNPQTIRGEGWYTGDI -SGILVHVNSTALVGFASVGDFLSAIADDKTYVEYVQGPVSRAKPLKLEKSTLSIQIVNEPPKFKDNNKLN -EPVTHQHEDVEVEVSFGHLSVRKAGKNALIANVSEESGASYCGNPFVSIPDELIIKTVPSKKTLVGAPVH -YSNTFYWVVERTELESTRVRLCLYPVHQTNQLQLSDDSWVKTIGLPVAVDPYGTKYEVVRRAGCKVALRN -PDLWPNHVASNGDYACFDTRCNGNRQLVTSKIRDNWFVWGTDWKDCAGELRPLRKRLGLVCGTAVVCEKD -VGPPTVARWQKVRLVRCNANIVSGSFIYQNSSFWKPVFTPVAVVTATVLINGINYIVLSSPYDDSGQFNC -VKRSWSRVDRTFNIANAPVGDKSAVRHYAEEQFGKVKKTFFLDKNNLSHLLLETTFGFQTNLTYKWTGEH -KHLPSGPSEGSLFIIGSARLASREWLTAWNELLDANDRAENRLNNYGGTFVWIYSNTLSDWREVWVSILK -SVGEQTINHVEIDLSLHPFDTADSSQLLIYAETHCKRDGGKLTFLYGTLERTLGLVQRNTIFTPNTYCLQ -LLQGEWRDLTVNLTHTDHLSRRHPSCDCFVCKVAEEWFKIPDEIRHYLKKTGLHEWAWRALGLDYVEPSE -KKRSQEAIVYATRKATGGGDALWPLSTKTATKVVRLGLSVENLNLRPTSKLANHQAQDVEAAINIWLQDQ -PVSHVLTKLIKENLKWLDGKMKGFYPKACSYKLVEEVYPVPWRRLPELALKNQLRYLQWPEDSTAKQILV -EPRIYSAEIVRGTLIQVVEDSPAGEKFVATAKRFVIQHSCASHKQWKNLANLLRNLVARNIHCVHLLGCS -KADILDIRVDWVTAAQLVLLVPKFDGEDKPDSIDQEWHVELSLNDDGSFFDTIHVNEAQGTQLQCFTSPD -DLLSPVHVSGICLSRYGMVDQPPENSRLFKQFGVGVCRTINGVGSFVVNNNSLATSYHVTKGNNLTVTHG -SEKFTFVKHEMLKGADLAVYNRECQLSTAHEGDILMAFNPATLFSQLFKVVSIGPASKDRPGLFYQMVPV -EYNGSDIVLSPWQRFPGMSGSPVINSTGELVTIYGLGTTITQETAGETLTTLMQHGPIKTIGAVNLAFFE -RAVERVLTPEAKQAVYCYLCAPTGTGKTTQFVSLLLKKLQLKQSITLLQPTVTACRNTYLFIGQLLLAEK -GSFSNWRVTCDIGKRNENAHEEQNGPAWDGTGPQLHIYTSEKWYVNCNNLMSDFIILDECHKINDRDMVK -TDIYCETVVGPNPKIVYVKMTASPICVEDGFDLAGGQRLVSTNYDIECPKLRIIQGEGELREGEICLPGE -VFSRRSNKKYAMRPDATGNTLVFVSTRAQCVQGAQIIDAALRSLPGSKWNRSVPLNAGCPGNVSQIATPC -FIIATNYVEQSITIQNLSDVIDWEEENTWRVTVNQTVPNPQTKFYEVIYSSSNQVRSISLESAMQRKGRC -GRVRTGRYWTPADNVQLESRRYDESIIPELLLSINEKIGEQIWSRYSNKALVEALQTVTFLLPERLNSRM -VYGPGMTRLANIVRGWNDIWGFVRSCPCDSVGLYLMPVATKELHDGLLALCEKRENSRPCDVEQFPAWWT -HYVSLVGLNDDVSVFFGTRVELGMVKSQFSAEYSDLFVSDAMRIESGMDTSGTVQDSYALPFATGLAAIG -CLLAGSAGLGLAIDKHATRQIVEYYDIKHVDVVRVARWCYKHRSTPKFSKKIKSAWAIVLAWFKDNWKRL -KDKVNGLLGRNSSPDTQTMVPSTSGVTPPNSEVQDNWDYFLTQCGVAVELAYAKISLIAPWFIWPHLAPI -FGNGVLGGMYQTLCESIGTCMTCILLTVCNALILFFGGVSVFVASAVTSLISGIIASVVKSCNKSKRQYG -AKVDDAGIWGLVASLAAGAGIGLAATSHLALITQRAHVTGYMGEALRITPSTTIGGFNNGYLIMKNAYYL -VSRCQISGTADVIPALMDLIARSCIAGPITVVTATLSGVLIGTLYIFAKRYIAEYAKTARTGEPGLATWK -EMVNNLDSMFDTIICGVAVLINPLSVVSIIIGCLNDFLLGRGACVSRNAVKYAGNNPIAALMGSIIDWCQ -YLSGDTAQQAEVHDSASTVLSVLGSASTLIWVFWTCGADIYAWLCRQFTSLKARFCHLFKLKTQELGKSV -ATGIIKSIPKNSITDALLAGRDLDDEVCVVASEGVIRSEERLYFTKVGLQKNGMGDHIMRLCAMRSDRVK -TCSPLISQNVKNCVAKLQAPKSVLRAGLNLPFNLLKVLMADVLQQHGPLIRGKVTTLSLTEFLLETRVPG -FEGIAGLLSTEPFDLALKVSYQFSEDQSYLLIDLKCKHIQTKFLMLFNRVPNAPQCIVELVDWVGISRNS -GFQKIIQDFCGGLLGPFSMVGYKNSNTERLFGEHFGTQSKGIWTSIVESSIAVGAGLIAKLFAEITVSLQ -IFDNQRLDKRLWGALSIKDVLWLQHVHMVTVCVCLTGRKPVFSYDATPDPTLNVLPVFISGERKVTLKEL -DQCLDEAMKIPRGDGYHVPFKNGTNVFVTAKTTSGVTWCWKFTPGTDQEARTFNQHVGMLVLTKIHGGDC -RIDRDHMLCGCCLAIDINTDRPDDAPPVKVLYLNHPKCKGHNIILGDRDSLEPEERVVSKEDWHDFQAFL -QRFTFDESQPHSGDADPAVQAKKTETTIDAATNRVGNYLQTRTKKVVSWWDCITKKKDKPGDSGEEHKDA -WELGLIRGAMRNAFLRVDPPVSTEWVKNIVEPINNLGLRLDKPIKTLGLERNSGADERVDIDPATELAEF -YDVTDGVFKRLVHGFGHASFTPGSEWTGVERGEEFHPAIPPKAVKETYDFWSVLAHSKSRVVTKDTWSQI -ERAHVYALPYKGAMINRSGITEAAGRAASRGYYKFQGLYAQDPKFFTSNVKCILDPACGFGGFMHAYCQL -LKNTKTRRYYLASTLDAKGHAAPEMDLIAQVGGPVNPVNLSSFLGESKGNLRDPRLTRIFEACLERLEHS -GIISSGNERGKVDLIISDFGEVKDTEQAQVDVWLKAPTVAKNVPQQINCSQCTDLRQRKADPNRVCDSCM -HLLQGQPPEYIPLARGIHRLLQKILRKGGKMLLKMTGVFSHIRHVLNLLIGKFTRVKISRAPTCSKFSPE -FYIWCDGFEPTAELDCTMVDTVIAHASTELYTCYQEAQSILSQSQTKSQLKYLRPRHWGSWMKPTGETGF -IHRNVDHAMGWPAGLRIKASDRFWEWKPDWLNRIELLKQRVAHSHVRRPKFVEIPHPYMTQVKVLGRWER -GGTDVRFKNTKTGLVDDFASHCFSLTDNTATYTHTQCTAEFKAASIQKRLDIQCDELPRNVSEDFLRISE -LLMTEWGRAHLDSLGLMTKEEVLHDQNSKGACGFFSDSHNIREYQEKHPDWYERAMGLIECWSEGKPTAS -YMTAMHKSEPSMKKNASDGRIEMPVGCSSEDLKAFNGKTHRYIQFMDELTRLANYILVGKLLRLANENKI -YKGTINGTPVMHQGKVMRAVWDSVNTRDPIVLEGDNPHIGVTYQPVPFDSEYQPDGQPHRLQGKPAAVGL -DFSAFDGTVTAEELAIAALFFMKFFKGELKQAVWSWCMEEAFPIVVIRDGWMFVRGGQVCSGSLWTSLRN -TLLSVINGVRAVAKSLGCSFEDVCKTVATVNFSVGEIHADTTTGALLHVPKIKTIEVMRIPVLADGDDQQ -IITEVNVAARITEHLPGHMREQCKTVHAGVGQTTALVTKFEDIQFCSHRYEPVLIGPGAVMMHGDDHKID -AVCRGHAAEQELISRLTGRQQSVFTEQLPATRLHRYQMWWLPSRHISNIFSRLRLSVKAATHRWDPTSEQ -CKMLTRSKIFSYLLMYPHIKTVRVACLTMLAKIGGDKVDFGEYYRRWGDLGPEILGSDSGTREPGTLAGA -FQSVYGVNILDSISFRNPRVEAAELQDLRDNVAFEGNSFPKGKPLLGRMMRWLGTEVADHAFLAMDSSTS -RAYCDFLATSCSLDAAKIRCGGNSRLLNTLQTCVSEHRVNVVDLFGPQALHDRVTIGPDNVARTPDGEVY -DPGAGPTHQSFKTTAKSPVDEKYGNILSATMNNRSAILHCISADKAMSAGLAEKIDLKWGIRDRLPPAPD -IGIAYAVPCQGSDMEGCARTTFPNQQPAPSEAHGGWVINMVTKSKKHNKPLLNTVVSTLHNALRQCDRLG -VREIHLPRIGCGLDRLDWSQVREAIIPVLRRWMLEDTGQRSVTIWNADPLTHAPSTHIPPVPRDVLRATD -IDAFQERVTEALEEVFGVRGIEGTDVGHELTLTCRKYLRRMFETRHRALASGSDEDRQKLREAEHEAYRQ -ARLGKTSELTLTILKAQRLADANLRLGRPSQPVRKAARSAAEALVNAAQQGRLTKIEFLDSARNVPDELR -ASTVAGSGENLHTVVGRSTIKRPTTVAGSRENLHTVVGQSTIKCPTTVAGSAENLHTVVERSTTKRRQSV -ARSGQHSQFHQTAEHHTAKVVQGPTQNPRFVQALLPTKHEPPNRVDATRDNHRVSRGGSKENRPPFFRRF -AQRGTSTSGIARPTSTQRSGAVAKRAYTVAGSVKPSSRQLKGVAYVVKPKTLFTGAYSSSAEKNIGGVIK -TRVLQSIEPARNRALNFKERIARSLALMTSQPAAPTPAEGVPRRNKYDADWLESIGLPSYARAFRENAAS - ->YP_009179217.1 polyprotein [Tacheng tick virus 8] -MALKLFNRFDVLVQFEHECEIAERYNNLGKVKPAAPGVQPKGRHLSRAGERLLKKQLRLEELDEERRLER -EERMLQEKVEHVAAQRLKSVAIREKQTAQVGLQGRVDDQQIPVVKLGKIEDVVEAGGFKLADQSVELAVQ -VVQSIRDCSKYEAKRKLAQVKLVPVTAEYIDLFNVISSDNEMCEMTISLAYQKKSVVWKRLRSLITCVRA -TCLEHELRTLFLTETKMIDVLNKIFGFLKTRENIVKILDDLKVKTTAAVCRALHAKWDEIQNFEQLLSVA -RGAERISREFAAKEAKMVREMYKNRLALIKKKTSDAVQKIREEVVKPKPSTLRDDWTARAILVESGKGNK -GDVVAIWSGGYYRFEQRTEAGNNKASHDLPPVPQPKKDLGFGHRGSTXALPRCFECHMRSMEEGNRKPMD -EVAACFRKDNPAPIPPGRTCAVHRSIDVNAVEHEEKQQCFSCLFVNKKKVSVLPRRTFELMRTGKNRPEL -GGVCPAHDRTNYKPVGMRVDNWKPSAYAAILMLALLKPARAEDVGMQVLDAGHSDFWWFSIPFILYAVTW -FIRKIGRGPVLMISLLILLHDTTANHVRHSHGARYKRAALMLHDVNTKWVDVEREADWIRSFEARVNERQ -HKRVSFMLENMLFTRNHLISANLYRSDSEVLGAAIIEFTGAALSIIEKLPVKFVERNSLTLNLVLAQAVA -ARFYRSVTAALQRTVQEKVQRIANMTTLNNENLRTIEFQVKAIDQYLLEMERRGLNISSDAFTDINFLAD -LSREMLKFDDSIWRTVMKSIEYFPESETKLTLRTIGFVRNQTANVERQIVKEEIEQQEVSREVTAIRAEP -GATETCYYLEYTPVLENGTWKFGPYECEGDSKCIGFANYCYDPISGCWTPGVPHLCYREQAQQQDGNAAD -FLNSIMVQPEDEIGSATNKRVRRSLVTVTRNIFDGEKFHTMKFDEEPRLVTAERMIKLILSILKDNMNAN -KFYRDWIQAHMLHKRSKRQLETENPSEFVADAVLTKCQIPVTGTQLENYELLQKLGEAEKRINQLAKEKE -ELEEKVNYQNQIIEQWNQQVFENRLPETRRGYATGVIPGAQAYFDTIRRAMLMAAPIRESEMDNKAHAVQ -TVGVTKIPYVDMPVKVKLTIEDNVKITPDSATAQQYIGEKDGFIFFSWGGAKTALVIETAFIGSGSKPRL -YSCGARVGLLPPNMYVTPQGEIRLVKFDSWFAQGIEHEAVRYAYVKDVTNVSTVCTNMGSIWFRLDEFVH -RLSRGNIGGQLFQYMVPFELHVWADRTCIKNVDNKYSCVETPYPRVLLGGPTRFAVYDINDTVQHYEIGK -VNLYKEIPSTPGDKIPKNLVAFRKHTRHGFFTPTEVDTHPFYYCFYITILFFLSWYVANRLGALVGIFFF -LGMSGVPVVWGDQCNLDAVVLQAKQVSEDSVKRVFSVSGNFIAGSCVQVGESMIRVDSIIQEPKYTFIGA -VPLTVDLHYASKHKCPTTLNQEDWKQHCGVHPIEGYPIVNASCGEECQAMSGAGGVAITGCAFLNPSAIK -TEWCSQVFDYADMLQVYELTDVESNTQVKFSALKGSNVANFQATPGVDISALGGSIRVTNMVSTGITRVK -NIIKYQQKYYASRISLRLSEVCYSTDPKRPALWANPKCAHETVRISGADSVIDWQSSHGRTWFGKDIYPI -EEMFGDVPEIEVTGQKATMKQPVSRVRLDLTVELPPKFMAKTLCSAIAIMSINEHDCLSGNFKVNKLELN -IRVSPAHCVLEVHLDPCTVIGGNEVDVDQDTKQFEVHYVCGLFSPGKIILTGSGINETRALRKTCRDPGY -FLTYTYKQVFQPYVGEMSGVSNVFTTIGNFFGSIGGTLRGFLSGIWAKIGIIIVAIVFILCGLFLAQQGS -YGLSAMCVLLASVIIGVDFALGQEIKVSTLSDAFDRYVSSIGYYINYSGYTRVLTWLVIIELVYMLVVSV -VQGRYFNGYCLTVVVGLIVFAYISGAHAYEECMLMDYTTIPLLPVLTYNYCLSKFPFLTLAFTSLFLYSL -VSCGVLNFVIGCMLIAVVVSRKLLTVNSATFGSTLRFAEGIVGSPVKMLKTDFKTFDANWCPLLATCVYA -YSREWYNGLYGIIEVYMFRELAKQLWRTSFELTLQLPEVWRSYRKYYSYTLPKMTNAVSYLLYRYPFKQV -EKPVHSRLYMQNPRYPTIGSSTRQQMLTLVDEWALKTVLVFSNFTITFFLRQWEGLEDPGLLYCWVLNAL -VVFFGLRFRYCLLVHAYYYNKTNYASPTEASGHKDHGENCMVKDLYTRASTGKLEKASKGNFPLMCGQRR -VGYYFTDGESILFAAHCCTEEVPRECIVSHDVVCVGETGLWRKRFSSDQRHSFKVSTKAYTVTGQMNGEN -FTTVVAKIQFSQKGVVQVRQTMKGLSGLTVLVGEKAFMFNMFLDNVGWYNANSLKGVSEWVLENGKQSRL -SRDDTVAYAVREGKLDAQLASAMQRLPTSAQGAIRKVVGTTTAKLEKKDLPGTSSTAVAVGEKLFTAGAM -IKKSRYYDILGPRQVKTKKDLLASVGLRSMWDDIEHLSDADKEAVIKIRKEYSKEQHDRWARYLIPSKFT -KYIKNFKLEVRGETSTFQLLKQLSEKLEEDQKLKAEFDNDVQKYCRNGPKIDDGDIMDVANWHTVQRQIL -LDKYAYQAKTGMEYKNKSAVEERMRAKYPQTKETSKEKIATLLSTKLTKSGDVRSGDYTQFLKKLNAQIH -APQTKFSMQEDDEVDEDFNEQADSWARLADEQEAALMPLPEVIRLPGNARELRTSIHYEGLVCETREING -AAIKWIVRGDMEPFGFFRAEKVRTGPVMARQNEFRFPAYLDWANNFCVVRGRNEQIPTYINYVLHHANRL -AKEYDTGLVEAYRLIEALNTENDKDASELPIISEELLAAQYLLGAMNPEKKLEAVGRYNSIRQPTRLPKT -HNWHPYSLTGNKPGIRAENIAMEIYGTAYVTDQIAAAAAFSQKTWEDISKIFEIYGKPSREQIVNAIKAV -ASYTVPIGLRADHVVMHDDDILCAGKDGTGMHLFSTYIQQSIARTQSLDTTRAKDRLSGLRRLINVRKIT -TRTTLDDMQGEVLRISEILEADEVLTPQMKFGVLNTLGLTGCLMEMRDDCPTWANTRCHLASFVPASVVP -TVTIFKTLQEVVKKIWPDSGINLCQIDHLLTLFSSLTECENESGMLRSLMTYLHNHPRVMDYDDDFEIEK -LKISKFARFWSRRNLLGRWYFMNRTEEEKEAIMNLDDTSLDVVALKLSIDIHYGLKDFDLLLTDEEKLNA -LAIYNEFINGRDFILSDEQIEQIICDKNLGLVLDPTMVVVKEVEIMKEEVSLLKPKITYHPSADLWAQKI -EQGGTYQGKLVEGMMIKSSTVLVQTGAYAVGANEKVTLGDWFSDKYVFAIVGNSLGVGSACKTPGYGFIG -AEHVMNGRPLYWTVPTDKATNLPSQASIDHFSTMKYPTAYSDKVLDRWSDRNVPIPICEQGEICAVVDVL -SMKRMFLMAINSGELGDEGEEKVTILTQVLYRDNEWHKTCISTRKGMSGSQIYGYDGKPRGVFSRVAPAK -QEVGMEPGALVTVVPNDRLNSRFEFNEKAIKILASNEILHILTAQTGSGKTIFFTWAVVEQLCQNGRALH -NIVLVLPTRASVRNAYMNLKGAHFNDDRKGWQYCTLAYRLGKQTEKTGNGPVKLNLMTYGAFVNDVASSK -LRYSNDVIIMDECHTQQPEVVLAVHSVSKQDTKYHKCILMSATVPKDILDLQSAYVKWDVAANRRYEVTF -QVMTEGEHKFTFQNFEFVISTQALACADGNVLIFLPTIAAVEEVADAIQAGTGDVKVVKCHSKTHGWEQW -ANISQGIIYVATNIVESSVTLSGIVTVIDSQLVNCTISKLLTTDRYGTYYEYKNIIRECTQAEHIQRAGR -TGRVCNGTVYTRVPVEKLPVIHPLSEDTLYINAAFLAIRTEFDTQHKKTMMYPKLREFHERLMLRSANYR -DRMAVARLAGLVDGDSVGVFILGMFPVQLWRRQGNAPIGFKELDYWTRQTCEDMLKVKWTHRIQDLVEGN -TIPGGDLLMESQFMTYLVEKAIPANPQLGAPVCEDCNDIAHLNLQSNDNVHMDNIKHLSDDILAYLANGN -LQPTLRKINQHITRIDVVEMMYKKEKPAPRKIAITPGARILSGAARAVSAAQRLLGNADPTEVQTGLATS -AALMAVVAGGCVSAWITNSLGMAADMYVKKAYVVDQERFHEFIISREDADDNDLCTSRKFQAYLAKLKIQ -FVNFVRMCEARVRGVKTDNYSLASTFFDDDTKTGSVDWELFFKTIVQSFEQAWAYVVAWFTTYVWPYLSG -DKALLPAMQLTIGSTSLNVCGILGFDRLAAALSAPIATIIVAAVSGVALICNAKAWLISSGVSIGIYFLY -DLWKRRDEEYNAVHSEHGTLWVLASQVMGVLFGRTIIPYFGLQATAATTIAAAVMSNTPLTVESTKSGVA -AGVSLVRRIMNILRAWQGGISPTLVIANATEIVSLLPQMGTKAWLTACIVAISIVVAKAIVRASLRAKLW -KAGDLKSRDTVEALEQEIHEKMDLAIHGILTAGAIILDPTSLIGVIIQTAVDWWTIKNYNIKTGLCLNIE -QLAGVNPFHVAIINLGRLANHFIKTTSDTTLTSMSIFNVFELLKQGFFSSGMSAAINGLFPNQLQFITDL -FAKIRVWLTEKVTMAGNTIGNAVAEGAIDSLRDRCWIISKIVGPKTKKEXDNISVQSFSSHISTYSTSDE -DPHWPQGFRIRENCRHMHATSQESSVATFNGNRYRVMLELVWTMAHTDELTQPDQLLVYENCVHYGQHHA -SVYENQVIVSALDVEDVIAKMAKLKDEYVLRNLWATFPDLKFQLNYGQPSKPARYNWTHLLNFMPFGHVM -ENRIVLTGRGENLVAHPDAQRIMGRCPSCTRMLMFLNKKTLDVACLECGNVIQCGEYDRMIDAITEGVAP -ETLRLLLVVCDPDVLSVYDILPTSLLFEEAVERVKAKMTVIRHPEKFIAPGNHASYIYIDKNDMSWFVCC -QNYAKVKLLVEGQSSFSSFCSYVFRGVDYVKKMRIQDMINVNEHLRRLWPSQATDPAVLTSTFADWGFRP -EPGTGAFMVSQFIGNWINFVASYKERNDRIIRMSQQAFHTQRSILRSKLMLQHLGDNLTGDEQLEEPSDD -ILVLDPPEINFAEKLRNLEPAKRKQIQDLIDEGRYSTVTASLPKHLQDPWKKLILEVMCGWEEERTKNNS -LPSWMIGLEPVEKGWGLVPATVPAINQGKVLVADTKLLDNATRQISSIRHVGDWDKIKHLGRSLVPKRLE -REEQPLASRAQIKFMDLYNLTGFAAEAKHMFDPMCGLGGAITAVLDINKSRKIKITFSTLVQEGHRLPQI -FYPSGRNQDDDTHFLVFEGTKMDIRDPTVLNAYAQYFKRERTQPDFILLDAWERSNNVYEEAAWLNTKLH -STGLSFIDAYLSIKNFLAKGGSMMVKLLTCFPDHLSSDVSDYVNSNPKKYSEYTKMTDFMTELCXGFTKV -SVVKSRYTSYASREVYIHLQRYRPDKPPKDTFDGKKIVTWIYDMQCRSALVFLRLLRRYVDGHRHAIYSQ -IPHPMPTYKLSSRVKPIVDKAPDIGFPDYSINISGKEEVFHSRIHEMLLVFLKQRKENFGRDTVIHSPRG -RFENLREVGTMRRTVKYAQFHDTTDYLCSQLAWPLLGLNALNCAVGRNDISKESVDAAIKKRLDVMPEET -DDSAIDSIIKLWDASRTPIAQELKGQMRPFTFDQIHYCVNPQGAAGISEAYSSIGDFLKKPDARKIVEDA -WEALLRGEGYVSPTTVREKKETKKNKISDDNGELILDRSQFKSDEEFKKALAEGQRCVPRQIQYTDVVTR -ILDIMMFGPRILFSNTIAKLDLGSVTGTPPQKIGGLMSACWNFYANDLQRIPEDVRIRAWADARNKIEQL -EKSKGATAVVMDYSRFDSTINIFDLYCEYRDMCYYYPQQYHTAIKRMFEARMFLLCINDHGDIFCRKGQR -SSGDQLTSVYNTKHNDVFARFYLQKSTGMSPKDVCQIRGTLNYDRTKPLSDYDWEPFKHLKHIVLKHEPK -VNTTRTYYMTQVCNFCDGDDYYGVGMSTQMKLMAANAPEFAERYIKKLLRSGTSTGVKICSSFKEVDFCS -HSYYPVRVDGKCWYLPVRPLPVLFGKLLKTMKHKCSKAENYEILVAKDNEAVGVTRSRLVSALLAYPHIK -SVRRFCLAGLSVIGDGRLIPTDSWKTKVYIRDYTNFENAVKSIYHVDSLHKIGYVEHSWETKSLYHMKQN -AAKIGYIKSEKQKITGMLRVLASLGANLNYIYKHMSKKDFFKGYLSLIGEQDDVDKRSC - ->YP_009175071.1 polyprotein [Macrosiphum euphorbiae virus 1] -MSGAWSLVKRAVKAPKPAPKKGKFGTSFNRGTIAAGLAAWGTECSLKVVKTAKPAPAPVAPWATEKHRAA -LAARRAATAAKTAALPAAAVVAVKAKRTTKRVVKKEVDPAPPSPITTAQKAVKRVRRPAQNNIPDSIRKA -VAKATKPVPFGATFAGISGTPSSHVEEPNVELAPANAPAEKVSPGLFIVLEGDVRDTLLRQEYVFAHCTS -RDWAEPKRSSAGVAKVVLEASGFDKPMPLDLVDDTSVTHQQSGDKHLYTLLTKEEYCGKPTYGSFTNAVT -SLRRSLLVEKLSEVTMPLIGCGRDRLPLEFVLFTIREELVNYGINVCIIDNDTPMVDATRNIVRAQRKRK -VDSKWTHALQNGLREFTERVAQPYEPERKLARRLYTNDRVLAEYTCSSQGGGSLTISVADIRHAIRDPAT -SVAIATSKDWNNPYRGVMAPASYVYAECGYPKPSQDDLVNSRLAHQKIGEKSIFTLFPKDREGAYPKLVD -YRRSLLELALGLDLIGEDHITMPALCMDRDRVQYESGLNSVLELLCERGINVRICTTSKSQFFTMKSLLS -KYGSDAEVVATDNSTPNHWVPRAGDVHNHKCYSCGIVYSHWHKFHNLDHPQFDKQCPNEECAEYHCGKNP -TNSQLVFAPVQKLELNTNIELLQDPTWLREASVFPAAIETVWESVAKYLPETAKSLFPKVIPDNSKEEVI -QLRRLGYAGAVDSKVKPVKVWTHSQLIGLAEVKPQAPKPVKVKKQAEPIRYKYHCTTPQQPISPGWCRRL -KPEQDSAWMSLGGWSSSYEGTHYRSEGTRSNHKTWAGHSSDYLRYGWVPAKGHGFASSRGGSRAFGVLPI -AKCVERVERSKYEAVDDSPSVPIRRLKAQKPILSDEYWMEHFKTLNVGVEPNISYAQAKKDVKRTRVATA -HLVKGEVAHSRPDLVGPVEGLAKVTGKISIFNFATKVRISRYLNDAEDNMVVSSKCGDKHVVGEVRTFGS -STRLASGSPIYNAVGRVCSLVTYSDGNGRYAVAAECTPSKTYVCSGLGCQYCKVESLLEKHSGKGKKKRP -NKNKGGSSSLAVMQKNMNQAAKEAAELTQLKLRAEKQQQDVAEITQRMSQLKANSTAIKQGADKLAQGTG -VSTIKLKPASKQGRRKAKPTTGSYSLSSLMIVGSLLLFSLMGAAFGQCFRGFIGSRFISGEVVCGLDESL -SDSNYLYTTLSENTRNCLQTNNTVLREWYRVSNKLVDVMQPCHVSIDKELHTFAINDYMRVYTEPEHDYY -VPLAILLVLTLLGLAVNTSCRKNRRVKVVSSYRPVVYSPIVKASIVALCIVAINAVTPVEKKPIDIVNDA -KAEFDIIATWFDTHKMTAAALKEKAVVLGNINDKLEKWAQKGDTVASRVSNLLNDWNAKVASLDNYIRNV -NTLTDEIKVLKERLVELEKANKNAKAAFEEFKTRFSRSAELEEHDDPEQMSEEELIAVQANLKARKDDII -SALAEYEESEVKRERNARQFSSVDVPRDTQTKNKHRSLLQGYDSNTPSQEVLPIPAAQTTENKPASPSSS -SESNSSEDDKGEALFCWRTESSKFPTVPESDIKVKFGVNGDVACDANHFKWCLYKNWKECQDHGSQNSAI -GLGWQECAIGRFVFNDRYYVAKKNDGDVSLRKLCTASCFALNDIYRAIRHNEANGYCVWPLIYSGHWDVS -AVIDNATEVAEKFKGLTILSSKLVIINSDDTIELTLLTRDAVYECCNGFLAKTLGAKLSFYDGPCLCVAN -SATVEKRIKDALVEKYSNLYKLRGYLSLKTVGSVALITAAAIVSPFFGLAAAMVVFATNAYADCSLKNFH -TLYVGDSNSKQIYTSLYMEKGDCFTLGESTYELIDIDDTYDYKQTEKFPSSFTSLCTDMDWGCGLADGSK -ICEEFNKDCKNKCDKTAGAIYRQHCIATTTFHGDGCYGFLVQDHSVSVHGGICLLTNASKAYTGYSQFSK -SESRKLKFRVSSFDQSKEFTINLADMIYSDSGFTIQDMKIQRDRIPIHILEAGQVLCSYTDNSGNDFCHT -VTDDTSKLTNQGCVNLDWTFLADDKKWKIDDTSSIITPYVYDLYEVCEFDNMSKNGKVISVTPTGMVVSL -KIDSDYKCSAFTTTRCRGVKIISIDTSPGFVELVQATWVKVQISSETDCYVSLKTSACSFISHNFCKVTK -DSVVCRWVIYCPTIMDVTATIIGEGDIDSFNFTSGKQSFPTWEYGRTAYTTGAETTSSAVSSILTSATKV -LSWVPGYDLISRLLNLSMSKIVVPLVIGLSFFLCMQIHSIEGMVISGIVAWLYFFTGLVSAGEGEAGDEE -FRFWHLLLILALASVNWIKLLSITYTMLCRRCSGLWHKYNLSNPVVGMPAVDWCLWFLSILLLPTIRLVV -LVSSSMTDLVEVCYKSFKRPQSTLFNSEELNHSPVAYTLCKYVSRASYSVDLHPAGVLAEVWGNSTSALT -ISPKTSFTSEIGCRLFNSPPSDGDQEDMTVEYGDKASSSESSNNDFPADATYVVEDWTDDEHFEDASSHS -DSSELNAMLEFAAKAAALKPVIKPYDHKIEALKKICFEDVVAAADLSDDSEDSELENVRREYSDEYVDEL -LREIKMAHMASGAIFDEPPLVLSAAAPKGKPSKNRTRRSPQQERERRHKKRKLEKGQPTPVDPDNVVSIV -IPKVIWKHAASITMELVKHGRNAAEGVDPSFDTHIIRLPAEIPAGRVIFVTVKHNDSHSAMLNLTPDGLK -RHNKEYEASCPKGAEKIELPNTQLESTVTTVVKSVVPDYTFKHYGCDLKRYFICVPTCLGFESLRESDLP -GFVIMPSEVDTIEGMRQHVRSEPTTTIIIVSNRVIIPSNWTHIVTVFVRDMQLMRKVMYTTKNMLEYEPI -KKRAEELKKDKAAVSVKDIPSLVSAVFSIGMTCKRRHEDVLEIRKPPMFTVKELVNSMDSVSVHCLFYAG -RVADGDFIKLSVAKFAISVYKDGVRNFLNGSNRTFLAFRHKVLGGESNTLDMGQLKSVWPIIKKTKIPTE -DYSTKVDFGDRGNSIYIATHRHGAFDGLSFEYIDKAIKQCGMNAEIDQIEHIYVLLPDDKGRKMTNTICK -ALVRDIVNRGIEVTILIGSNNDMKSVVKHAHVSLMVLAGGETIKIDSSINVEEENVRKDQVSKATALEIE -RAPKLEASPTISFYYKEENEDYILYFKKGFVDQEGWWQIDLEVTFQSLVQTLYRKPVFQKDRRRQIWLLS -IDQGKIAGLLPSESVRPKHFGIHFMGSRYFGVDAVGPDNKCPIYAPVCGADDKGRRCTYRTYPLVQHDGG -TATGIYTTEIAKKIGKAFVFSKNRLMSGCQVYIVPPNYENRYRETLHSLSSGIITTCSKFVLLGVEGFIH -PLHDLSKLAKTTDIPSRLNARLRISLQQGKIEDCFASTFNPNNVNLTVIGTIPSCEADDLITNTVEIVKP -GSELDPLTGAPNVELSNEDDDQEKPWWLESADYCFIEAWSDDKYPNTVPPTLGSLVAPWHYGPHVCCPTQ -NYSARDYPCPEKKFKSAQALQYHSNIGKAKVFCSPVCALHYNLVTMTRSNYMYMEFLSLMFDKDETINSL -TRFAIWKPTIVITSLPCLPELTRLQRAMEQSDFSRVKWYDNLLDAGEADNVFINYQLENRKRFARFDKEL -TAMCSANFDFPTNHNGSIWTPPHLYIRTIITMGIQRTSSMGIKVTTKHGDTCPSKPLRICPSLDVDRKPD -LRAYVQIRRACVLLLAEGWNYFDVYLDECIDWDPCKVGNSIIFSNEIFGVHCINVVTVSEKLHRGLSNIP -QLLENCNLVPPQLANASPFLWRRGVKTAAIVNSINEWSNCQPASWESIDVARSGLSKTECPDVIVSSENK -PAFMNDNSFAIWTISNGIKTGSCYSSGIDMVGANHCTLGNTICLETPAPYGNSFGVKRANYVSKIVKSFE -AGDMDLHNSTFVFAEAKKGEIYATLNPAQKRGRWLMCTAVAEFEPTMQSTFNKFVPVNINFADRTVEVAP -YKLYKGLSGSPIISSKGAVVGTYGLSTNVHYQDTSLTGVGRDTTLCHSMVADNTSESEGYFVQAAKELCE -MEPHINRKCFLEAPTGTGKSTLFPLAVLNTIVKNSRVTEYNVCMLEPTRAAVHNCYERVISLLDKQSDRW -NRIYTVRLATGKRGQTEGEHHKSKGNGKIQLCVMTYGRFIAEYRSQSEVPHNYQMLLMDEIHTRSKDVDV -ATAYLLSGDTRCGDVKICYMTATSVGRVCEVRLCEGRDLQGTRFKITEEFLIETRKEGKKAEEPGVVADN -AYFTVDLKAAKLNLKTRAQYVSWPRSAMEGGRCLVFLPSRNDCEKFVSWCRQNYSSEKESFVSLHAGSSV -KDLANLPPTAIIGCTDFASTAITVPNCNCVVDFMEDWTPMITLFANDEGFNYRNVITKEVVSKQVSTQRK -GRTGRTCNGTYFSCASIHTIEETKLSESMHAQIYFNLLIKMGRPKALRGFIMDNPEFERIYEHDWLEPEE -LSIRYTNKRDKWTNDPHRTAFNRFCVKMTNKLEIIREWEKDQLWWYLATCVGQDYLDFCFATENISTHGF -GVSNVKVTKLVNDCWLVVPTETEAHKVREDLLFKVDSYAEDMSNFDNYVQRVHDVAGSVSLHTLAKRIEK -TSPGMLKSIDPSVLKFKSDAKENVAVESTERNEESLIGISFGAVAAAGAIGALCSAGLFAFNHRADWSAL -EVHAVEKSELASATYHSMIKYCNHIAAGKPLDDEPTISAVSELIEWVEKKWKSLSDFFKNLLKGLISFRE -TPDTNLESSDVILEAILKNLNICKAWLVGFVIKYGYINVGATVASFGIGAMYNKMCDTFGVIFTNAILAL -VLAASSCFLGSTQTAINAVTLIISYISNGLISGKNTLYTNNATRAGALLLTAGGGAALSLLLKGAALSKP -LMCGAMSSGPNVTTGLLAMINPYNTGVSHVSDGIIIAKMVASLCKQDQWGKIDLMAVGSTLMSMLFRADA -MTCMVSLTAGLFLGFAKIYMSRTEFWFKVVSATNIKNADGYRDIMDEQTKRFEKVFDTILFSAGIIANPM -SLVSIVCNIISDVAVAHMQNDGSDVQYTDIVRNAAVYHSGVSMIYGMSCAVFKICRQLKNTVTKDWNNES -EVSWTSILSQLSELCSGFTFDCLWDKSLNIARESCNGISFDFMNMDTIKGMLYEMWDFIKGLFMSCYNWC -KEKIDVWMARTTDKFSNAIKAAMPFASTKDPKVGRTNYKMDSRPPLKTAYWMRAAIAFKSTFSSKLEVEQ -IMSDMQNRTGCNEESIFEGLTHQHHFMCGLIGWAMEKYSIDAVAFMNQCPKLFGLHHSDRFYDSKFFNFN -VHLIQFVSKVTGKDIDTVVSESGGRFVFVAGSDSVSGIEDLYDRVPLAEDLNIKPMMTMNGDSKPPSSIN -SQDPEGVITDLDHMLGQISGASYDYTWLDDLSLFPEKKDDYENYIGVDTRFWYKERLQDTGDRNIIPLFV -QSVSEANVANGSIPNIICDLGSSIYVKEDLSLGSGDYIYGELKRPWDVMRMDSVSLCKLLSLIGDVSDHG -THVTMKIGMSDKTVIQMSISHSYTSNVANTGLLCQQQDSETKLVVRDIAAVEIMSWASPEGFKMIATCPP -STAGAWDLLYDNILKYLPFFRDIVTRKITAVDAKSLFDDWAILAAPTCDTENFSEFISWKTEFAKRRFAP -ASIFSSVKSSVVRNVSRLASTYNKSMAESREINEQLSNPNNYGMIKEKVGYSSYYGDFAEAIHSRVGKVY -CNTFELPWGIEDVRISLDDYALGCWFRKLVTASSVEEFGCSRFDVNGVRVNPHRNLHHPAAFYPVTSIVD -VVDMKYLTDAKSRLVRHGYKCGYNVIIATVSGTEISYEMSGAAGTCRCTVIYLVDGFKLISAVAYRCLDG -ENCHDTTQGWLVYNTENPTGDSGSGVKPTVNLESDTWLPVIGLTTPKEMYDMAKLAVSEFLERTQLVAKG -QRAIQSIKNFEDELLTPAPLIVLNDGDQPCHTLADVAEDDSTNIFGETVKAYKVRSRRTKANHVKPEIAE -QERASLWLQSEGTRKMFAYKKSLGDEFFGWLRELALDEKARAIIPKGRSDNVVSVERAKELKSKYDMLTA -EELMWIKMMGEEIDDINDYLQLTHQSPACDEAPWCDGWDKVAVHSRSKDFWLTDGNMHMYTPHEVSDEVV -VRDIVRVNKMIDHCPKEVWESCRYKGRMELPLREQDIGNVYASRAACKAQLLYDYLPGFFNNHKVFFEPC -CGFGGFAQFFSHQMRNMDPRTYLVSSMNKSGHAMPNWSLMQASDSNCRVVRVMQDVRDGNICDPAVLAAC -KDVIRQNRVTLLLFDIGERHSNPTFDDAWYLAPRTVKGGFDHSASGWKTGHSICSAISEMIDVLPDGADG -VFKVNTFSMRVTDIIHWLSKSFAKVRALKLATTPEMSREFYLFCSNKKTGWAAPVYRSRRMKDCVRELTW -SAMFRAENMYRTKGRHATRPRTYKWFTPDTSGISYKMIPNVKGDRDELDVTTLPNGHAIHISTSTPRGEV -KVDLDFEPNWDKRFRCMKDYVQKVNKVSKSRTNRGRDNIKFDNIVRSDFTYVKGIGSFAVRQKNTAEKHA -ANDLISSYMKDVAGLNMVNATYGHTQGTPEYVKPALKKRLDVQPGQPDPTCVIDFTKAVHCLMSEAGSSM -LGSFRFMSKEETYAMIVKTGSTGILDPGSTLHEFMEIYPEWYELAWQHVLEPHTRGAPTPSYQSVRIKPE -PKGRKDVEDGRLQFKKGATLDEIRAGNSLSPRFIQFSDALTRIAHIIAFGHVLEYHGKKKLYKGSINGTP -PHIQGRVMRAYWDLHNPYKKRVAHVGNNKEMDICIDPTPGYLYNPRDSKIKSLDIEGRLGASIYEEGKTA -NEYQEDLPAGLTIDFSALDSTVTVSERMIMTDLWKRFFDNGDEKRIIEGLCRDMTYAICLDDAGNIWVRD -GQRGSGEILTSIENTWLVTANIVCALSHALGVSIEDLTATQGKIHVLTRPGGCGSPKVNVVEEIRNGAPH -KSFEFGDIPLLVDGDDVVIISTRKRINQIETYMNGVHQWLASNRKVIRSGNKGGATKYLEFEGLSFCSHR -YEAVFIGPSASKFNPKFLPRSEGGRKLTRGDLLSVAEDNDFKIYFLPIRPVADIMSKLMLTLKVKSLKWD -KTKTGPGECVDLTQSKIISYLLLYPQCRWVRYTCLTLLCVTGDNLATFIELRKRYRDLGSMDLKHTSKLL -GSMSSLYGVSSLDDISLREYRSDSAEIRKLYHNTRLTFNVTQVTKAAWLHHSFEWLSSQRPTDIYPLMWD -SSVFGHYQRFCQHSVDANVSHLRELLHQAGFSGTHDVENNKDGLWSRIQALVG - diff --git a/seq/clusters_seq/cluster_719 b/seq/clusters_seq/cluster_719 deleted file mode 100644 index 83fc971..0000000 --- a/seq/clusters_seq/cluster_719 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_009361818.1 VP4 [Miniopterus schreibersii picornavirus 1] -GGNSSKPSASGNNNEGTIVNNFYANNYYGSIDATGTAVGTGGGPESTLGSLISSAGSLAGTLLM - ->YP_009121755.1 VP4 [African bat icavirus PREDICT-06105] -GGNNSKPSVNNTGNEGTVYNNYYLNTYNGSLDLSGHTSGSSSKPSNAASDLLSTVGTLAGSMLM - ->YP_002956119.1 VP4 [Cosavirus D] -MGANNSKESVSSNGNQGTIVNNFYANSYYASIDASASSVGGDTPAENGTVSGILGSFASAFTSAALLA - ->YP_002956108.1 VP4 [Human cosavirus B] -MGANNSKESVNSSGNNGTTVNNFYANNYYGSIDASAQGVGTSSTPENGTVSGFLGMASSAFNALSLLA - ->YP_002956097.1 VP4 [Cosavirus A] -MGANNSKESVSSNGNEGTIVNNFYSNQYYASIDASAQGVGTSTTPENGNVSGFLGLAGSAFNALSLLA - ->YP_002956077.1 VP4 [Cosavirus E] -MGANNSKESVSSNGNQGTIVNNFYANQYYGSVDASAQGVGSDTTPENGTVSGFLGLAASAFNAAGFLA - diff --git a/seq/clusters_seq/cluster_72 b/seq/clusters_seq/cluster_72 deleted file mode 100644 index 41f9327..0000000 --- a/seq/clusters_seq/cluster_72 +++ /dev/null @@ -1,683 +0,0 @@ ->YP_009551937.1 putative RNA-dependent RNA polymerase [Panax notoginseng virus B] -MVRRAMSVAYSQVDQYDYSDWQLFRFLRRVFDVDRKLITHARTGSGPVEGEFPRAAITGEHHTHFRPEEV -WEVAKIYKAKLRAMSVVYRNLKKIEGITEAVVSTMFLYVLFARPQIAYLFACSRRIWASKDVGQLANVLK -EISTPLKSVQNYELSDVTQLFELQCLVNRGIGEVDWNKERRNRECPNVVKVDVVDVYKEAVDMFRMGVSH -GYKYARMDLKKYIKSRWEWVPSGSVHSQYIEDQHFIKTDHMHRTKFVTLNMMKTEHLRRMFQRRKEIQAW -ASVKYEWAKQRAIYGVDLTSSVITNFAMFRCEEVFKHRFPVGEEAAASRVHKRLKMMLDGNESFCYDFDD -FNAQHSTESMYAVLQAYLDVFKGNMSDDQVEAMKWVRDSILSVKVHNNEKNRDEMYSTNGTLLSGWRLTT -FMNTALNYIYFKLAGAFDIAGVKDSVHNGDDVLVAIKDLKSACSIHQAMAKINARAQATKCNIFSVGEFL -RVEHKISKEEGLGAQYLTRAAATLAHSRIESQEPTRLVDSIKGMVTRCEEITARSYDMVEVAYHLLTESV -TRLCGIFGVKKEDGMKVTRSHLLVGGASETFEGIVDETIEEEVLIDDTRITEENRDKRATIRELMPGIND -YAEILETQYGEFMPLDDVRKKVINATHRQLEITRGTNLKITDVRHTVKYKFGRALFRMYKDKVNIPYVEK -ARFLGIPPIAMLSHSSMKFVTKLISSVKDVDYTLRALL - ->YP_009551936.1 putative coat protein [Panax notoginseng virus B] -MDSFVKQFFTEFDSPGVDFNFDSDLKTHTYMGCGLRVYDGHINQSRHFHAFQPMTPLGYYDCEVTGGGSS -LDGITKNYLTPEGTVNLVTVADTLKGSSGLQTAMLSAHVSHMQHWSWADNHVGLLFNMFRYVLIEKIREC -AGSLDGKLGLYEDGHVGIDMDQFWEDEYCEDGGARKWPGGDVDASYPDYLRLTNTTPSTGDWALDLRGMN -ARDARFVLLMMGAWKRRSRLRLDCTTKKLCDCVLYRSSVEVANITDWIVDKEKGIVPPTLSSSEAWQALK -FYVTQNRLFDHFSATLYIIATMMYQFVPATAEATNWLRLKWTVNIPTFRSVRGRYEFLNEGEPALLSHRC -LNEWGYINGKMEKVNLMALVFSQAYHTGLAVRGVRKGLELHPDDIFSSEIDFYTSANFISAAASEATRTD -TPLPGMVGIHFVVNDDFDVYDENRRIETRENDDEHLDDYHTSKDKVSVKKGSTLLVDVNDSTLSRAALDL -ISKKIKTLMKGVRAKLEDDVDFELTDEEKLAQEGKMYVTLAADEQEQMEEKVFVHMPWYTFPGVPTMILP -LSPFPYNTPFNMKGRITTDIGKVDRRGLRLVSKTAWEVANLMRLCGYDSRFRDGGEIAGAGTYFSPNDAN -MVWPVLQVPDAQDDEVILTGQIDREAQFIDLPPMFNRFFIGKEVEYSIKVIRRGTATSFVDNRKDVAEWG -GAVTLTRGVTVSYNVPENVSKLRAYISRNETGFRFVDNAQAGVIPMPEPDLNAQGVAGV - ->YP_009507835.1 RNA-dependent RNA polymerase [Xanthophyllomyces dendrorhous virus L1B] -MTSESVQHCEELNGIKIIKSVRNKAMKMEMRDGYVPMCIKARPGIVYKWEATAFCDSEYVLVGSDMSEPS -ENESNVNIMGVLMSGLRLAGDNCTYLYAKVDQYLVTRKKAVIAIMTRHFNGLYGSVFLNDPLNMEAMFRD -RAPDDELMPKQNYEKICKKENTKITAQHHIHFTSEEVVRVLGKRTAEESEATRLPADATMSMAAGVLLWY -NELSPVLKEMILKCGLFKSKTIQAFKKIAKDISVEAKSLQNIVQTDLRSVFEIDTLINRIDGEVDWAEER -DHRVNPNVTNLSYSDVYDAAKDIFLQAAAVGRKPVSMDWDKYWASRWQWSAAGSIHSQYVEDDKYVIRTD -RNLKNKFIAIANMPKYGYDFFMSRKPQMHAWASIKYEWGKLRAIYGTDLTSYVLSNFAFYNCENVLPKRF -PVGKDANDANVVNRVAGVLKDRLPYCLDFEDFNSQHSVSSMKAVIYAYGDVYRQVFTEQQLEALAWTAES -LDDVSVNDNVGLKQTYKSNATLLSGWRLTTFVNSVLNAVYTDKICGEAKMPGSSLHNGDDVLIGATSMKV -ARESLRRSELYGIRVQASKCAFGGIAEFLRIDHARGSKGQYLTRAIATLMHSRIESKLSTDARDLIEAME -NRFSDCLNRGMTLDTVTKLRHVYYNRQSVICNMPVEDFYRIKTTHRVAGGVSEAIDSDVSMSVIRGIDKS -FNIKIPKLQGVHDYARAVAMELEMMKRLDYITDRMYKATYEAVVPKTRGMTVVPNKEEKWCYNVKAIYKA -FKGKIQTAGYGKAALVGMALDVIQTSDKDTTLKMALARSPDPIKLLRYLV - ->YP_009507833.1 RNA polymerase [Tuber aestivum virus 1] -MCAVSRHSSTHMLVDVIPCSQLGLGAVYYHTEVAVRVYGEARYCRLFRLDDVYGCYMRTDAYTPCLGPVT -KRLMSQVYSLVNSVCFNSCDISDYLSLTLGSTLQMPKIEWPVKHGIYERSKITATHHTHLRPQELEAVAE -GMGGRTKFVYAVCLQRLLSIGVVTEAVYSSFLAYIIGVEYNFALLLLRSTTVWSGTRGEEQLLSKLKSFA -SAIKASHSAKYQPLTQLFELTVLLNRGIGSVDWAGEKENRVNPNTVDLDPADVYKSSMTIFRKARKSGYK -YPKMHYDKFIESRWEWIPGGSVHSQYVDDDEYIYPGLYTRNKFITVNKMPSHHLQLFLSSTPQIKAWTST -KYEWGKQRAIYGTDLRSTIITNFAMYRCEEVLLHLFPVGDQADVEKVHRRISMMLDGYDSFCFDYDDFNS -QHSLSSMQAVLLAYMDAFSDVMSPEQLQAMEWVILSVADMQALSPTDNEWYRLTGTLLSGWRLTTFMNTV -LNWVYMDVAGVFNIDGVHDSVHNGDDVMISISSIKAANLVMNKMHKVNARAQPTKCNVLSISEFLRIEHG -MSGYDGLGAQYLSRSCATLVHSRVESKEPITATRLVEADLSRLKDYRSRCANVDAVDLIQQQLFCRTAKL -FNIELGNIYKISELHRVVGGCSDRRWAPIEQQVTTTSGKYEIPHEIDDPSFWPGVNDYSAMLYRKIGEQV -KMSVIVDAVSQGSRLTIAKSRSVTLAVERTANIKAKEWERALYRTYKGSTVHYYANLAKFLNVPPLFGIE -TRGGASAISAALASSDTMRALKVLL - ->YP_009507832.1 capsid protein [Tuber aestivum virus 1] -MYKTFNSLSENAPVSTFETIQSRGTTSLMTRLRLNLAYDNLDFNRVYSSKQDYTWLGKAAAFIVEAELSL -DGIAKQYLTLDGAINFDNVLAELRTGAGLQTTNVSNHSVSVSSWRWYDNHVTLLFNLLRLYVMADLQLKG -PITTGSYPKYDDGHVEIDLNAGLPLPDETIVWSWPGSKNSLNYPEWGNFTEYMPLNDEAYIDVGGLLPEE -VKMVLMATGAWERQTNFKLDFPTPKLAERIYYRYPSKVTMIDDWLDGEIPDINFGLPNPKVVWNAIRKYV -TTNNLYNQFYSAAAIINQVMLTPLPDSAEGMSWLIHEAQVVLPKFGSVRGRYPFLLRGEGALIQAKALED -WTMLQTNPNLLFSTSMVTASLFNIGLAVRDSMLRGIANDSLSGVDTSLFQQPELAWAAAVSLACGIDVPL -NGMSNCYVYYPTLADSNVRLALPALIKTPRGYWIEGDSIVIDGVPFVGSPYVLYPLAVYDFANPYSGAFA -LPKPIRRTRKGAVFTVIGAWMYSWVARLAGYDVHISVNGSNVDYYKYFASNENSWVHPLWNGISDEIEGV -FVHAIVRRNKHFLDIPDYTIPGNLTDVSVSVKILDRYVSDSSLTTKFRANYNVGSVTVPKSGIQVVTSGD -LRKFWGYVRRSQPGLTMVGMAQPAIMPQPKHQLVEIDQEPEVTSEMVNMIE - ->YP_009507829.1 polyprotein [Scheffersomyces segobiensis virus L] -MSYQLFDQLTGPLANVLPNFKYLAKTVKYGIKADVDIGVTSEFTNAYKRRGALFTNVLSPFGVFNAEVVR -DPPIFDGINARFFNDYGEIDERAIAETLRLKGTYRPNVIAELAPTIASCNSENHVSVLVNLLRFSIIKRS -DPKDFKFVNDDLFYDDGHLAVSYKNYLKREFNEELVFIFREGIQIERFSESTVVGDDFYCPNIENLSARE -FNILLTLLGSIKCDYPLRLAFSTPRLVDKLVLPLTTKHASYYEVVNDFTANEVDQIIRKYIFANRVETAF -DLAYLIVVTAMFAPLPRAIEANGWVSPVNLIKLPNVGSVRGLMPEMTGGQPLSKSPQKALTWLNYKQSPG -RIAIHAIAACEAYYTGFFEVLTASPNGIEDTLTAIGVSSSVTATPYKMFCESAAYRFGKEFDLIWLTNAG -VDCYSHLLATEPAALSILAKVVDTELEGYDVYTTSAFGEDTVHVITKEVKPALFPVLSMGINDDRYFANS -LEYSATLYADHSEGVLATTESDHMNKALSILRIGGYDAVMTDRLSGLSYRNWAANSNGQVLPMLPPKLKG -KSTYVIPFNTIVKRKKSWMELGSLTDSVTMTAKINLRGYVIMTNGKLVSTYLPKYQTINVYPKSLNKEEM -VAVEMKTSATTIKYRYSGFFVGCESSGSTTCPFTIIVYGHPWVAAHRNIERRGWCSGRRLGECRMSGLNY -HNPVPLYVDENMAGTVPEKSRYVLVHVSNDDVPVHNIDYPMLIPYCGEYTIGKRIKRRNIVCWYAKLDFI -SIYTPKLMSIVGGYISGNIRSGMTVENHRDFYMEFDKVIFNKVTSDEIRELNEYVRSLDVTKITGDHHLF -VNRQEVDTLLEEAMNLGKYNDRLAALMVLKDVASRIGIDNRVSFITHLVYILIAPPHAFVHLLSLIGEST -DAEDYASILKRESGAAKQLQTVFRSDLASLYELNVLVNRVDSVVDWNKEIDHRTNVKAVGISTEDVYKIS -TEIFKDAVREGRYPQRLEWQDYWVQRWSAMPNGSVISQYDEDIAIKKLLPFSARVKSAWFAAKTESNYDY -WLKRTPQIYASTSTKYEWGKVRALYGCDVTSFLHSDFGMTNCEDILPSYFPVGRKANEKYVRESIEKFRE -TVPVCFDYDDFNSQHSTSSMRTVLQAWLDVNQKYLTEEQVNSVEWTRDSLDSILARFNSSGDTISLSGTL -MSGWRLTSFMNTVLNRVYLVKANLFKHLVYALHNGDDMFGGAVNMAKAVAIIRDAKAIGVRAQVSKTNLG -TIGEFLRVDTRAIDPTNAQYLSRSVATLVHGRVESSAPNDLRELVSAIITRSEEVISRGGDFRLINKLTQ -KTLDFAARLFNVDNTIIDTMLSNHPVQGGMNKDAPVHLWRIERRTEPFERETLLARYSLIAPGLFNYVDR -VKEQFGVSENLIDKEELLYRAGVSLEKSRVYYELVIETTLGMDIYRALHGVWKSPGYVAPIAKIRSLGLI -PAKELRNLNSVPAHLIRTARNPILMMGTIF - ->YP_009507830.1 capsid [Scheffersomyces segobiensis virus L] -MSYQLFDQLTGPLANVLPNFKYLAKTVKYGIKADVDIGVTSEFTNAYKRRGALFTNVLSPFGVFNAEVVR -DPPIFDGINARFFNDYGEIDERAIAETLRLKGTYRPNVIAELAPTIASCNSENHVSVLVNLLRFSIIKRS -DPKDFKFVNDDLFYDDGHLAVSYKNYLKREFNEELVFIFREGIQIERFSESTVVGDDFYCPNIENLSARE -FNILLTLLGSIKCDYPLRLAFSTPRLVDKLVLPLTTKHASYYEVVNDFTANEVDQIIRKYIFANRVETAF -DLAYLIVVTAMFAPLPRAIEANGWVSPVNLIKLPNVGSVRGLMPEMTGGQPLSKSPQKALTWLNYKQSPG -RIAIHAIAACEAYYTGFFEVLTASPNGIEDTLTAIGVSSSVTATPYKMFCESAAYRFGKEFDLIWLTNAG -VDCYSHLLATEPAALSILAKVVDTELEGYDVYTTSAFGEDTVHVITKEVKPALFPVLSMGINDDRYFANS -LEYSATLYADHSEGVLATTESDHMNKALSILRIGGYDAVMTDRLSGLSYRNWAANSNGQVLPMLPPKLKG -KSTYVIPFNTIVKRKKSWMELGSLTDSVTMTAKINLRGYVIMTNGKLVSTYLPKYQTINVYPKSLNKEEM -VAVEMKTSATTIKYRYSGFLLAASQVEAPPARLQLLSTDILGSQPIETSSEEAGAVGDDLESVE - ->YP_009259485.2 coat protein [Maize-associated totivirus 2] -MDTFVRHFFKEVTTPGVPFVLDDACHTWTYFQGFKTVTTGDATKSSARDIYQAMTPLGMLDAAFESMGSN -YDGLTKNYLTPEGAINFSNIHDTLKQSGGMNNQDLSTFMAFCQTISWHDNHVTLLFNMLRYVILMKFKEC -KQNLNGALGRFQEDFLEINMDQYWPDSYPRDCAFDSWPVDVTEDGAIALEHLTTDQTRNIGPAIDLRGLT -SAESIFVIFMLHKWTRSSRFKLDVSTKLLTNTLQFRGVDVSALYDSYWKEETVMPALLSSNAAWHALAKY -VTQNRLFDQFSSALYLVTALTYQYVPETAEGVSWLSLNWRVVLPEFTSIRGKFAFFNTGDAAFLSQRSLD -EWEYIGGKMEKINLMSLTFCQAFYAGIALRTTRRGLEMMPADIYGTDAEFWNAENFVSAAVAEFARIKVP -MSGTPGLYITCDERLDIVNEHRFVMTNNPNRRDLPPTHTYGETQTNETVVDDIELDPTTLPDRDPEKQAL -INGMRVAKVGAEAMRAVDPECSLPAGFELALRDRVAARRPRVRQAARVTVACPLLPFPGVPTLLLPTQCT -PYPIPFELAGKFDDNLGRFDRRGTRLKIDAAWRVMNFLQDQSYTWECEYRGTRIGQQEYVRGRYSNMIWP -VLYQPEDNDEDVVLRRITEVGLPDVPLPPMHNEFFKGRSIEYKYQSIRRGLATRFRGAKIDISSYGAHVP -VLKKISTVTYAVNEGLRTVRGFIERAAQDFRLAPVAGPGVIPPVEQAPDASAATGGQETGGTSA - ->YP_009259484.2 CP-RdRp fusion protein [Maize-associated totivirus 2] -MDTFVRHFFKEVTTPGVPFVLDDACHTWTYFQGFKTVTTGDATKSSARDIYQAMTPLGMLDAAFESMGSN -YDGLTKNYLTPEGAINFSNIHDTLKQSGGMNNQDLSTFMAFCQTISWHDNHVTLLFNMLRYVILMKFKEC -KQNLNGALGRFQEDFLEINMDQYWPDSYPRDCAFDSWPVDVTEDGAIALEHLTTDQTRNIGPAIDLRGLT -SAESIFVIFMLHKWTRSSRFKLDVSTKLLTNTLQFRGVDVSALYDSYWKEETVMPALLSSNAAWHALAKY -VTQNRLFDQFSSALYLVTALTYQYVPETAEGVSWLSLNWRVVLPEFTSIRGKFAFFNTGDAAFLSQRSLD -EWEYIGGKMEKINLMSLTFCQAFYAGIALRTTRRGLEMMPADIYGTDAEFWNAENFVSAAVAEFARIKVP -MSGTPGLYITCDERLDIVNEHRFVMTNNPNRRDLPPTHTYGETQTNETVVDDIELDPTTLPDRDPEKQAL -INGMRVAKVGAEAMRAVDPECSLPAGFELALRDRVAARRPRVRQAARVTVACPLLPFPGVPTLLLPTQCT -PYPIPFELAGKFDDNLGRFDRRGTRLKIDAAWRVMNFLQDQSYTWECEYRGTRIGQQEYVRGRYSNMIWP -VLYQPEDNDEDVVLRRITEVGLPDVPLPPMHNEFFKGRSIEYKYQSIRRGLATRFRGAKIDISSYGAHVP -VLKKISTVTYAVNEGLRTVRGFIERAAQDFSSCPGCRSWRDTASRAGSRCLSCNRGTGNWWDQCLGEVGG -EAITMLNATPGGDGPYIKRKEPLTLNNIPVWVNIRHNHLTAVDRHDAKYVLGFILPGQSFEVGGFGRYLV -GKTCVAAVAIPCDGMWLLYVSVTTPANILPPIVKRTLSAMFSSVDGYYFHDADTTKYLRKTFDVERSAIA -HAAKPIPPREGEFERAVITAEHHTHYRPEEIWAIAEQHTTTLRAMGLVLANLRKIQGITEATVATFLAYI -MTVRPQVAYLVATSKRIWRSKNITELTEILKEIATPIKSMHQHEICDLTELFELQCLVNRGVGQIDWRKE -RSHRTRPDVVKVSIEDVVKYATEIFLLGKSHGYHYRKMDKQKYISARWEWSPTGSIHSQYPEDEPYIPKN -YRQKTKFVALNMMSRQHVDSMFLRKPEIHAWTSVKYEWAKQRAIYGVDLTSTVITNFAMYRCEEVFKHRF -PVGEEAAADRVHRRLKIMLEDNESFCYDFDDFNAQHSTQAMQAVLVAYFNVFQADMSDDQREAMLWVIDS -LSDVTIHNSNVQPPEQYELKGTLLSGWRLTTFMNTALNYVYFKAAGCFDIGGVRDSVDNGDDVLVSIKHI -GAAVRIHHRMALINARAQPTKCNVFSVGEFLRVEHKVDKDTGLGAQYLTRACATLVHSRCESQEPEHLTG -AVKAIVTRAREVFERAKISQALLADLVRSAIRRAATIFHRPAKEAFIIAELHAVVGGASTDDFAPIDFKI -NERCEYDKERCANDKNDMVVTQDLYPGIFDYARTLAQAYEGVLDEQQAKRRVISATTRQISVTRKTWLDI -VPLAADTFYRYGRALYKMYHGLVSMPHLEKARFVGIPPIALLDSRSRNMIRNIIVEASDVEYALRVLL - ->YP_009448195.1 coat protein [Maize-associated totivirus 3] -MDTFVRHFFKEVTTPGVPFVLDEACHTWTYFQGFKTVVTGDASKSSARDIYQAMTPLGMLETAFESMGSN -YDGLTKNYLTPEGAINFSNIHDTLKQSGGMNNQELSTFMAFCQTISWHDNHVTLLFNMLRYVILMKFKEC -KQNLNGALGRFQEDFLEINMDQYWPDSYPRDCAFDSWPVDVTEEGAIALEHLSTDQTRNTGPAIDLRGLT -STESIFVIFMLHKWTRSSRFKIDVSTKKLTDTLQFRGVDVSALYDSYWKQDTVMPALLSSNAAWHALAKY -VTQNRLFDQFSSALYLVTALTYQYVPETAEGVAWLSLNWRVVLPEFTSIRGKFAFFNTGDAAFLSQRSLD -EWEYIGGKTEKINLMSLTFCQAFYAGIALRTTRRGLEMMPADIYGTDAEFWNAENFVSAAVAEFARIKVP -MSGTPGLYITCDERLDIQNDDRVVTTNNPNRRDLPPTHTYGEVQIEETVLDDIELDPTTLPDRDPDKQVH -INRMRIAKVGAEAMRAMDPTCQLPAGFELALRDRVAATRPRVRQAARVTVACPLLPFPGVPTLLLPTQCT -PYPIPFELAGKFDDSMGRFDRRGTRLKIDVAWRVMNFLQDQSYTWQCEYRGTRIGQQEYVRGRYSNMIWP -VLYQPEDNDEDVILRRITEVGLPDVPLPPMHNEFFKGKSVEYKYQSIRRGLAARFRGAKIDISSYGAHVP -VLKKMSTVTYAVNEGLRTVRGFIERAAQDFRLAPVAGPGVIPPIEQAPDA - ->YP_009448193.1 gag-pol-like fusion protein [Maize-associated totivirus 3] -MDTFVRHFFKEVTTPGVPFVLDEACHTWTYFQGFKTVVTGDASKSSARDIYQAMTPLGMLETAFESMGSN -YDGLTKNYLTPEGAINFSNIHDTLKQSGGMNNQELSTFMAFCQTISWHDNHVTLLFNMLRYVILMKFKEC -KQNLNGALGRFQEDFLEINMDQYWPDSYPRDCAFDSWPVDVTEEGAIALEHLSTDQTRNTGPAIDLRGLT -STESIFVIFMLHKWTRSSRFKIDVSTKKLTDTLQFRGVDVSALYDSYWKQDTVMPALLSSNAAWHALAKY -VTQNRLFDQFSSALYLVTALTYQYVPETAEGVAWLSLNWRVVLPEFTSIRGKFAFFNTGDAAFLSQRSLD -EWEYIGGKTEKINLMSLTFCQAFYAGIALRTTRRGLEMMPADIYGTDAEFWNAENFVSAAVAEFARIKVP -MSGTPGLYITCDERLDIQNDDRVVTTNNPNRRDLPPTHTYGEVQIEETVLDDIELDPTTLPDRDPDKQVH -INRMRIAKVGAEAMRAMDPTCQLPAGFELALRDRVAATRPRVRQAARVTVACPLLPFPGVPTLLLPTQCT -PYPIPFELAGKFDDSMGRFDRRGTRLKIDVAWRVMNFLQDQSYTWQCEYRGTRIGQQEYVRGRYSNMIWP -VLYQPEDNDEDVILRRITEVGLPDVPLPPMHNEFFKGKSVEYKYQSIRRGLAARFRGAKIDISSYGAHVP -VLKKMSTVTYAVNEGLRTVRGFIERAAQDFSSCPGCRSWRDTANRAGTRCLSCNRGTGSWWDQCLGEVAG -EAITMLNATPGGDGPYIRRKEPLTLNNVPVWVNIRRNHLTAVDRHDAKHVLGFILPGESFDVGGFGRYLV -GKACVAAIAIPCDGMWLLYVSVTTPANILPPIVKRTLSAMFSTVDGYYFHDADTTKYLRKAFDVDRSVIA -HAAKPIPPHEGEFERAVITAEHHTHYRPEEIWAIAKQHKTTLKAMGIVLANLRKIQGVTEATVATFLAYI -MTVRPQVAYLMATSKRIWRSKNIAELTEVLKNIATPIKSMHQHEICDLTELFELQCLVNRGVGQIDWRKE -RSHRTSPDVVKVSLADVVKYATEIFLLGKAHGYHYRKMDKQKYISARWEWSPTGSIHSQYPEDDPYIPGN -YRQKTKFVALNMMSRQHVDSMFLRKPEIHAWTSVKYEWAKQRAIYGVDLTSTVITNFAMYRCEEVFKHRF -PVGEEAAADRVHRRLKIMLEDNESFCYDFDDFNAQHSTQAMQAVLVAYLNVFQADMSDDQRDAMSWVIDS -LSDVTIHNSNVQPPEQYELKGTLLSGWRLTTFMNTALNYVYFKAAGCFDIGGVRDSVHNGDDVLVSIKHI -GAAVKIHHRMALINARAQPTKCNVFSVGEFLRVEHKVDKDTGLGAQYLTRACATLVHSRCESQEPEHLTG -AVKAIVTRAREVFERAKISQALLADLVRSAIRRVAAVFHRPAKEAFVIAELHAVVGGASTEDFAPIDFKI -NERCEYSQERSASDKDDMVVTQDLYPGIFDYARTLAQAYEGVLDEQQAKRRVISATTRQISVTRKTWLDI -VPLPADTFYRFGRALYKMYHGLVSMPHLEKARFVGIPPIALLDSRSRNIIRNIIVEASDVEYALRVLL - ->YP_009342434.1 hypothetical protein 2 [Wuhan insect virus 27] -MTVEPTEERSVFRDYRFRDGAVPTGIRISGGGSLEAAPFSDADFVLVDRIRGKFNQGPVVIDVLNTSAAG -FAVPGPGYTFLYMRVNQELVPVNPQVMALAARHFMGDFNVYYNDLSDLKNVRLVLGESDNKIRNNATLQA -LPKPKISGSHHIHFTAAEVWGVLTDQEKERAMQYRRLGCDLTTSFVAGVMIWLVSLNPELYELVAASDLL -DSMTVKEFAKKAKRLSVQAKSLQNVVTQDLRVLFEADVLVNRVTGEVDWAGEKEHRTKCNLAQISPQRVK -EVATRLFNENNALGERPRRFEWKKFWNNRWQWSAAGSIHSQYSEDMQFVSKQRELKNKFIALITMPDMPI -EYFLERKPEIRAWSSIKYEWGKLRAIYGTDLTSYVLSHFAFYNCEDVLPAHFPVGKKARPSYVRSRIRSI -LSGSIPFCIDFEDFNSQHSNESMIAVIEAYLEVYQESFSKEQIMALQWTIQSIKETHVIDNMGTKTEYQT -KGTLMSGWRLTTFVNSVLNYVYTRELIGESKTVTRSVHNGDDVLVGITNLAVARDVVRNARKYNVRLQTV -KSNLGSIAEFLRVDHARGEYGQYLTRNIATLVHSRIESQKAVSLIDLIEAMEDRFGEFFTRGGSMDLITR -LRDKYYQHVAPIYNATVEIAYKIKQAHKVVGGASRLADASINYIIKQAKTVSEVELPKKLPGLDSYADEL -IASLGLSRHRKKVRERVQQATLNAVQLVRKAISVVSNVDMKRSRVLRALYKSYADVADSPMFGKAKMTGF -VIDVLANSTKLRTLSMKLRGSQDPIALLSVIT - ->YP_009342428.1 hypothetical protein 2 [Wuhan insect virus 26] -MQERDSFTALDICDGMREGREHTFAVRSGHVPTGLRITCNGTYLGVPFKQAELLLIDRLPAKLHECNVAY -DYYGTVVSARLVPGRDATYVYYYVDQDIQPVTQNLLGMLSRHFLGDFSGYYNDWCSLDNVFYSLSKCDNP -VKRHTIKTIRDLPKPKISGAHHIHYTASEVWSVLDDRGKDAARHALRLPADATTSFVGGVMLWLASLPTE -LLNPIIESDLLDAEDTIEFGKKAKKLSVTAKSFQNIVEADLRPIFEADVLVNRDVGEVDWAGEKNNRCKP -NLASVSPGEVYARTLALFTKEDDEKALPRRLEWEKFWDARWQWSASGSIHSQYQEDLDSLPKERELKNKF -IALSMQGDMPFEHFLNRKPEIVAWSSVKYEWGKMRAIYGTDLTSYVLAHYAFFNCEDTLPTDFPVGEKAR -PSFVSARVAAILEGTVPLCVDFEDFNSQHSNDAMEAVVQAYIDAYSQYMTPEQVQAAMWTRESISNTRVI -DNMGTKTSYKTKGTLMSGWRLTTFINSVLNYVYTQALISGSHAMSRSVHNGDDVLLGIRNFKIVRDIVAR -ADKYNVRLQRTKCAFGGLAEFLRVDHMRGDYGQYLTRNIATVMHSRIESKIAVSAVDVVQAMEDRLREYL -QRGGVWDYAVQLRELYYDRMAPIYSLRPQDLYDIRTAHRVVGGIAEDVSARVDKFIRTSSESIETTLPDT -LPGVDAYARLLKKVLELEVPVSVVSTRIRNATLNAVQLTRKTVTVEKTLNVQRYMVYRALYRAYADVTNN -PMFGKAMLTGFIFDVLTQNAQLSAVAQLVSSAQNPMEFLRVIS - ->YP_009336496.1 hypothetical protein 2 [Hubei toti-like virus 2] -MWLYYVSTRAEAKTLPAIIRRAMSAMYSLVDGYDFSDASGTRYLRREFDVDRYVVTRAGKNNKPVPGEFK -ISKVSAEHHTHYRPEEIWEIAANFRLKMRCLSILVDKMRKIEGVTEAMVSTCMAYVLFARPQVAYIFVSS -RRLWDCENVGELAVTLKSLATPLKSMHNGDLLDMTEMFELNSLLNRGIGSVNWDAEREHRQEPNVIKVSK -AEVYKQAKIVFSEGINHGYKYNKLSLDRYLESRWEWVPSGSVHSQYPEDSPYIKKEYRHRTKFVTLNKMP -RSHIAGMFKRKPEIQAWASVKYEWAKMRAIYGVDLTSSVITNFAMYRCEEVFKHRFPLGEEAAADRVHKR -LKIMLKDSESLCYDFDDFNAQHSTEAMQAVLEAYCDTFYYDMTAEQRVAMKWVCDSVKNTTIHNNEDGRC -EKYNTNGTLLSGWRLTTFMNTALNYIYFKIAGIFEIDGVHDSVHNGDDVLVAINNIKTANVVHERMRLIN -ARAQPAKCNVFSVGEFLRVEHKLDKEKGLGAQYLTRGVATLVHSRVESQEPTRLLEALKAATTRCEEVAQ -RSRCGVDTAAAFLDKAVERMSNIFGVEREICNRAVQAHVIVGGPIASREGKIDFEYFEDIQYEDEERMSE -EMREKRATVRELNPGIYDYCSVLYSQYGEFVPRGKILLSILGATRRQLAITRRTWLREVDVRAEGRYKFG -RALFRMYRGIIDVPFIEKARFVGISPISMISDSSARLIKQLISHVVDVDYTLRVLL - ->YP_009336495.1 hypothetical protein 1 [Hubei toti-like virus 2] -MDGFVKRFYPEHEAPGIEFKSEGDLKFGTYYGGVLRVFDGHVQSSRNHYAVQEMTAAGKLKAVLMGTSSS -LDGLTKQYLTPEGMVNISTVAEALKQSAGLQTQVWSTHVNRMQHWGWADNHVSLLVNLLRFSILLRTQES -NGGNLDCSLGVYMDGHVNVDMDQWWSSEYPEKYKMDTWPTGTGEENYPEYAHLTSTTPDTDSNAIDLRGL -TAVEANIILMMTGAWSRKSRCRLDFSTPRLTELLYYRHGSTMTGLESWLKEEQGAEMPKMPNWKQVWSAL -RAYVGQNRLYDQFSTALYIVSFLAYQFVPATAEACVWLSFDWQIVMPVFHSIRGRYEILNDGEAALVSHR -AINEWGFINGKLEKINLMCMLVAQCFQTGCAVRAMRKGLETDPHDLYSTETEFYSASSFMSAAVSEATRS -EFPMPGMAGIYLRTDVRFDDPGEFQIMTLNTSERGLEGYDTEVIESKTKEIKKVHKELSDLEGKEKYAEA -LAEISMLKQIAEIKLQMKSSTLSYQEKLAVENKYVVETEVETTVNLIAVKCVWVPMAGSPVLALPLNPFP -YNSPLTLKGTIEPAMGSLSRKGFKVSADKAWLIANFTRLCGYDVLFKQHGEQAGPSDYFAPNNVNMVWPI -LATDDDQDEPITITGMEERDRLFVSLPPIHNKFFSNQKLEYAIQINRKGVCIYYDAERSDITEYGGVVKI -AKDINVTINTGETVQRLRGYITREETGFRFVGGVQAGVIPPQQLDQGVPAAGED - ->YP_009333276.1 hypothetical protein 2 [Beihai blue swimmer crab virus 3] -MMAVTGTIEYVEKYLHYNQIVAGDCSGMFLATLEYERRVLSALVLRNVHTNTASLYLRADYKYGAYWPFF -KQVVSTNMCVNTSRAAVYGVDYSAPFRHLASSSKVKQSRKDIILLTTSEQVAYLEELPRAKISADHHIHY -SAIDSWNVLKEKDRDLATSLVSLLSRQKGWTMTGISGVLGYAALLGKCCAFRLHSRDFFTPGSSMNEWYG -FHKATSTNYKMHANIMNYRLEELYEVEVLVNRLDLGMDWDKEYVNRTTMNLTRLQDAQVYKAASWLFRTA -RASGKRPNKQNWNKFWSKRWEWSTTGATNYPYPNDFQYPAWYAKNKFMTYLQMRSGLELNELAAMPARIT -AKPSIKYEWGKTRAIYGCDDISHLVYTFVLGSPEELLPSWIPLGASSKDEYVHNLLNQVSSKGYSLCLDF -EDFNSQHSSGNMRAVLEAFFDVYSQDLTDDQLKLRRWVLDSVLNQYVMPGSGNTHAYRTEGTLFSGWRLT -TFMNTVMNYCYTQCLVEDSGAKSYGTLHSGDDVFMSVMEPNDYIRMQFSAERWNIRLSLQKCFMTSIKEF -LRKDHQHKAGAQYLARGVSTLVHGRTESVQHSDIIELLKANETRLEEIVNREGSPKVVDHFRKIYLARIK -RDPIYEGITDEEIRAIYELHPVNKGMNMAAEAKGYGLQLVSRRKHTLEGYDLPGVRDYVHELKKYLKDTK -HVKQLSRSIWNATERVIFSTVTRVSGFIEMDLAERRVMKSLYKLYSRYKADIHYGKCRLVGMDVVSRDLS -ESIRHVDIVIGDKPNYYEWLSILI - ->YP_009328932.1 major coat protein [Saccharomyces kudriavzevii virus L-A1] -MLRFVTKNSQDKSSDLFSICSDRGTFVAHNRVRTDFKFDNLVFNRVYGVSQKFTLVGNPTVCFNEGSSYL -EGIAKKYLTLDGGLAIDNILNELKSTCGIPGNAVTSHAYNITSWRWYDNHVALLMNMLRAYHLQVLSEQG -QYSAGAYPMYHDGHVKVKLDVAISEDAAPESFKWPGDRASDSFPEWAQFSESFPSIDVPYIDVRPLTVTE -VNFVLMMMSKWHRRTNLAIDYAAPTLADKFAYRHAITVQDADEWIEGDRTDDQFRPPSSKVMLSALRKYV -NHNRLYNQFYTAAQLLSQIMVKPVPNCAEGYAWLMHDALVNLPKFGSIRGRYPFLLAGDAALIQATALED -WSAIMAKPELVFTYAMQVAVALNTGLYLRRVKKTGFGTTIDDSYEDGPFLQPETFVQAALACCTGQDAPL -NGMSDVYVTYPDLLELDALTRVPVTVLEPAGYNIVDGALEVVGVPIACSPYMIFPVAAFDKANPYSGNFV -IQPAVKYLRKGALYDKLEAWKLAWAMRIAGYDTSFKVYGDVHGLTKFYADNSDSWTHIPEFVSDGDIMEV -YVTAIERRTRHFVELPRLNSPAFFKSVEVSTTIYDTYVQAGTFSVYHASRINLDYVKPVSAGIQVINAGE -LRNYWGSVRRTQQGLGVVGLTMPAVMPTGERTAGAAHEELIEQADDVSVE - ->YP_009328931.1 gag-pol fusion protein [Saccharomyces kudriavzevii virus L-A1] -MLRFVTKNSQDKSSDLFSICSDRGTFVAHNRVRTDFKFDNLVFNRVYGVSQKFTLVGNPTVCFNEGSSYL -EGIAKKYLTLDGGLAIDNILNELKSTCGIPGNAVTSHAYNITSWRWYDNHVALLMNMLRAYHLQVLSEQG -QYSAGAYPMYHDGHVKVKLDVAISEDAAPESFKWPGDRASDSFPEWAQFSESFPSIDVPYIDVRPLTVTE -VNFVLMMMSKWHRRTNLAIDYAAPTLADKFAYRHAITVQDADEWIEGDRTDDQFRPPSSKVMLSALRKYV -NHNRLYNQFYTAAQLLSQIMVKPVPNCAEGYAWLMHDALVNLPKFGSIRGRYPFLLAGDAALIQATALED -WSAIMAKPELVFTYAMQVAVALNTGLYLRRVKKTGFGTTIDDSYEDGPFLQPETFVQAALACCTGQDAPL -NGMSDVYVTYPDLLELDALTRVPVTVLEPAGYNIVDGALEVVGVPIACSPYMIFPVAAFDKANPYSGNFV -IQPAVKYLRKGALYDKLEAWKLAWAMRIAGYDTSFKVYGDVHGLTKFYADNSDSWTHIPEFVSDGDIMEV -YVTAIERRTRHFVELPRLNSPAFFKSVEVSTTIYDTYVQAGTFSVYHASRINLDYVKPVSAGIQVINAGE -LRNYWGSVRRTQQGFRSGRSYDASSNAYRRTYSWCCPRRVDRTGGRCFSRVNIIEPSHGSKPSRYILTDP -DTYPAWIRFRNRIQAVSRQKATHFLFDIVPASKLRSYTTGDIATFSYKSHTYATNVTAVKFADMYGLYVQ -VEANMTILSPAARRQASATYSQVTGFCFNSPTVMDTLVNILDVDRNIRPKHFKGLRDYERSKVTAQHHTH -LRPDEVLEAAARVSPRRKYYLLCTAELLAACEVTIEAAVATIMAFVLTLDEKFVALFLDSRSIWLGPKGP -DALTNRLKQASAQIKSVHTADYEPLTELFELAVLMNRGVGHVSWQTEREHREHPDVANVDQARLYSCVRD -MFEGAKETYNYPYMSWDDYTASRWEWVPGGSVHSQYSEDDEYIFPGQFTRNKFITVNKMPKHKIARMIAS -APEVRAWTSTKYEWGKQRAIYGTDLRSTLITNFAMFRCEDVLTHKFPVGDQAEAAKVHKRVNMMLDGASS -FCFDYDDFNSQHSIASMYTVLVAFRDAFCRNMSAEQKEAMDWVCESVKHMWVLDPDSKEWYQLRGTLLSG -WRLTTFMNTVLNWAYMQIAGVFDIDDVQDSVHNGDDVMISLNRVSTAVRIMDAMHKINARAQPAKCNLFS -ISEFLRVEHGMSGGDGLGAQYLSRSCATLVHSRIESNEPLSVVRVMEADQTRLKDLANRTSVKEAVTAIT -EQLKIRVTKIFSVDTSVVTQITRAHRVCGGISTDTWAPVDTKIQTDNEAYEIPYEIDDPSFWPGVNDYAY -KVWQNFGERLEFNKIKDAVSKGSRNTIALKRKAKISAKKNPFIHKSEWERTMYKAYKGLAVSYYANLSKF -MSIPPMANIEFGQARYAMQAALDSSDPLRALQIFL - ->YP_009225665.1 putative RNA-dependent RNA polymerase [Panax notoginseng virus A] -MSKVPLTRNNIPAWCNIRHNNLSACSREKAGYVLGHITYDIKSSVGGFGAHRVGNNSVRSVAVKCEDFWL -LYVKTTHDATLLPPMIRRALSVAYSQVDGYDYSDWQLFRYLRREFKVDRKMITHARVGTGPRPEEFPRAT -VTGEHHTHFRPEEVWEVSKKYRAKLRAMSVVYNNLKKIEGVTEAVVSTMFLYILFARPQTAYLFACSAKI -WSVRDINGLSDILKTISTPLKSVQNNDLCDVTQLFELQCLVNRGIGKVDWRKERANREKPNVVIVDEKKV -YHEAMNLFRMGISHGYKYARMDLNKYVKSRWEWVPSGSVHSQYEEDEPYIKKSNMHRTKFVTVNMLSRAH -IRRIFARKKEIHAWASVKYEWAKQRAIYGVDLTSSIITNFAMFRCEEVFKHRFPVGEEAAASRVHKRLKM -MLDGNESFCYDFDDFNAQHSTGSMYAVLQAYMDVFRGSMSRDQVSAMEWVRDSILSVKVHNHEEGREEFY -KTDGTLLSGWRLTTFMNTALNYIYFKLAGAFDVTGVRDSVHNGDDVLVAIRDIRSACSIHGAMARINARA -QATKCNVFSVGEFLRVEHKVTREEGLGAQYLTRAAATLAHSRIESQEPTRLVDSIKGMVTRCEEITARSF -GMETVAYELLTHSVRRLCGIFGVQAEDGYKVLKGHTLVGGAMETFDGSIKYKIREEVLIGKTVITADNRH -EYATLKDMMPGILDYAEILNNQYGDYIPIDNIKKKIIYATHRQLEVTRGTRLIVTDVQHDDKYKYGRALF -RMYKGLITIPYVEKARFLGIPPIAMLSHSSMKFVTKLISSVKDVDYTLRTLL - ->YP_009225664.1 putative coat protein [Panax notoginseng virus A] -MDSFVRQFFPDFNSPGVDFNFDSDLKTHTYMGCGLRVYDGHIDQNRHFHSFQPMTPLGHYDCEFTGGSTS -LDGLTKNYLTPEGTVDLTALADTLKGSAGLQTALLSAHVSHMLHWSWADNHVALLFNMFRYVMIERIREA -AGSLVGKLGLYEDGHIGIDMDQFWKESYPEDGGDRCWPGGGDDLSYPDYIRLTATTPSTGDWALDLRGMS -ARDARFVLLMLGSWKRRSRLRLDCSTPRLCDNVLYRSSVEVTNITDWISDKDKQAPPRTLSSSEAWRALK -LYVTQNRLYDHFSATLYTISTMMYQFVPATAEATNWLSLHWTVNIPTFRAVRGRYEFLNEGEAALLSHRS -LNEWGYINGKLEKVNLMALVFSQAYHTGLAVRGVRKGLELHPNDVFASEIDFYTSANFISAAASEATRTD -TPLPGMVGIHFVTDDDFDMYNDDRKVETRENDDELLDDYHTSISKVGLKKGTTILVDIADTRLEKVTLDQ -VKKKIRTLTIGANAKLKDDPEFELTEEESMAMEGKMYVSLSADEDDVREDRVFVHMPWYTFAGVPTMILP -LSPFPYNTPFNMKGTISPELGKMDRRGFRLMSRNAWEIANLLRLCGYDARMRDGGEIAGAGTYFAPNDAN -MVWPVMQVPDAQDDDVILTGQIDREVQFIDLPPVYNRFFTGKKIEYSITVLKRGTATSFTDNRKDVAEWG -GPVTLTREVTVTYEVPESVSRLRAYISRNESGFRFVDNAPAGVIPMLEREIDVQGVVED - ->YP_009182195.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 7] -MSSIPLALSQDSRGLAAGDRKRASWLLFDVITTRRINGPWVFATGAGAVPTFAIYFKTLKLSALYISNKT -PLLGQYRDVLMRFSRIQYGPELFPYGTVTERDIMSSLFRVTARSERYDNNAFPLVRQVLKGERQLEHASV -SRDHLRHVTPTELYAAYGDELLDSTGVCRMLERLLRIPTSRDGVYESAFVGLLLWARLIPADLRDWVHSS -NMWLHSYVDLPDFFTRVKSEYTLKFKALQNNLSVNLTPLFELEVLVNRGMGTMDWESEKANRVAPNLADF -SESQVYDVVKRILEESRVAGGRPARIEWDRHWAERWLWAPTGTFHSQYDEDQEFKAGCRTLNSKFYALSR -MPDVKYEHFYNRPPTCKARASVKYEWGKQRAIYGVDVTNFIISGFAFKGCEEAISKIYPIGLEAKEEKVA -AHVREVLKDGVPFCFDFEDFNSQHSLGSMRAVMLAYKNTFEHDITPEQLRGIDWMIESLRNTQVVSPAGE -FSIVDTLMSGSRLTTFMNTVLNDAYVRLLWRGRVTSTLHNGDDVLAAVTTYEQVQQLMAGAIDHNVRFQM -SKCHLASVAEFLRVDHMAGTGTQYLARACATLVHGPTDALDPRDPVQQLSAMRVRAEEAIARGAARAEVE -KLTTVLRESVERRFNIGFDTRILERVHVSRGGYSEVVDEETLSKEVTKCEVTVNSYGDKAVVDMTKRFPG -VDAYARLVTKKYGIEQEYQTIRGNMKSAIGRAAIEGGIGVDVRDVTPDHLAVLAAHKRKAYSHVRLSLKA -NIARAFGIPILLGAEYGQQYNVLLYGSVDKLKALRAWF - ->YP_009182190.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 6] -MDGIARSTTFERASYSLVEIIPGIDIRGQFFCPTYDGAMRVCSVYYRDLHMSAMYLSHVESLPALLPAAR -VAVSRTQFGYDLCPLGCVSQKEHLAFLTNYSSEDRYTSRHFEKLSAVLAGRIELPHEKASATHLRHIVLA -DIAAVMAERQQGVPDLGNVAYLLENAIRDGWATETFVVGMILWVLALRQPIRGWVLGSKWWLQAHANMKE -YHDFIKTKLSLRAKALQNLVGVDLSQLFEVEVLVNRGMGQVDWKAERLHRVRPSLATFGVDAIRAEACKL -FTKSKQLGGKLRPRDWGSYWDTRWMWAPTGAFFSQHPEDKAYLSKDFSSRSKFYALSSMPDYGLEHFLGR -RCEIQAKASQKYEWGKMRAIYGTDVTSFVLTNFCMGDCEEFLEPVFPLKEAATLSHVKARVKDVLNNGVP -YCFDFEDFNSQHSGDAMEAVLLAFGEVCEDMMSHEQRAAFEWTCGSIGKQTIHSPDGKDYTTKHTLLSGW -RLTTFMNTVLNYIYVQLCSEGELAATIHTGDDVLAAVENLGAVATLQRNAIEHNVRFQRSKCFLGGLAEF -VRVDHLSGTGAQYLARACSSFVHGPMDMSIPFDPLAQVEAMHTRTLECKERGADVELMNALERRAISYVL -QVTDCENVNVDDILNTHVAYGGMSREIDEGAVANKIERRAVVDLLEEPPGPEEDGSNKILPGCKAYARHV -ARTYNLQEHELKIRRRVQQALDASVTKTKYAIRVVKNVSSISQSIARISQFKKFANIKLGMKANLAKAFG -VPLTTGDSTQDVKTAMLISSEDQLAALRLWF - ->YP_009182188.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 5] -MPYVPTFLAPTDSSRVFREVDFNEADLVLFECLSGLELDGSMHLHIQESAVPIIAVYLPKVKVTACYISI -DYQIKHTNKDVLLRFSRMQYGPDLFPFGLVSDLDIVRYAFFISRKTIKKRKDYKIKDFPIIDSWFRGSTE -PPVSKVSVNHLRHMTMAEVRLMGRGVIEDKVSYILPFLSRMAKVGMSEAMFIGIVLWANSLPTQQHELCK -LSGLWDLSFTSMEDFATKVKARFSLRLKALQNLVSVDLHCFFELEVLVNRGVGELNWEKEKMNRTRPNLA -QFKPEQVFSKACSLFTDILRRGGRPKKRNWSEFWSNRWEWAPTGSSHSQYAEDDVYKSKDPFNRHKLFTL -CSMPERSFEYFLKRKPETIAWTSTKYEWTKMRAIYGVDITNFIMTTFAMGDCEDVLSSKFPIGKAANEVN -VRSTVKTLLGNGVPFCFDFEDFNSQHSIESMVQVIRAYSSTFKMHMSEQQYNAIDWVCRSFYDSFVVEDN -RGDKDKGPCNSSASSRERAKMYRTMGTLLSGCRLTTFLNTVLNYIYVNLSIEGDDLIATHNGDDILAVVS -SYREVQAISRGAERHQIRFQPSKCFLGSVAEFLRIDHHSGAGGQYLTRAISTLVHGPTEMAVPNNLVAQL -TAINTRANEAKARGADHAFIAGVVKSQLPYLCKVWQITEDEVEAVFSTHLCFGGLSNEITPKSLSKSIIL -EEDITANKRPSVLADEGRFFPGAFSYAKSLTSTIIPESYFGKVEHAVSRTVTALSCSRRFRLVVKDNKTP -GVTDYIKANRYGLFRSKLTAGKITLARAFNIPIIDIKYQKQEILEILASEQDKMEALRILF - ->YP_009182181.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 3] -MTWKAEDGVPMCIALAKDGANWNPSVFNLATHVFARVTESLAMEGTEVNTLLFGTITPAVHIKVGQLNCL -YLKIDQCCVTTHPALNIILSRHYSQLYESVDFVNPMSDSMLFRKRGINAEDGPEPVRGLLAMSKLPHSAI -TQQHHLHFNITEACQTIDRNRLSYLLEVLSVPSDATQAFASGLFMWGALVGDAMFERVRESGLFGSRSSA -QFAAIAKQISVEAKSLQNLHTEDYRSIFELDVLVNRGVGKVDWEKEQENRQHPKVATVDACEVRRTAYLV -FRKALEQGRRPVSMSWDEFWSARWEWTAAGAVHSNAPGDSKYIFKEVNEMKNKFITMSAMPKLPLSHFLQ -REPGIDGWASVKYEWGKQRAIYGTDLTSYVLSNFAMYACESVLPSAFPVGPDADEANVAARVGGVLNNRM -PLCIDFEDFNSQHSVEAMQAVLDAYSDVFDHLLTVEQKYALRWTAQSIGKTSITNNIANCGTYSTKGTML -SGWRLTTMMNSVLNYVYTTHLLGRDRDDTPSVHNGDDVLLGVKNMRVAQEMNRRAQRVGIRLQPSKCAFA -GIAEFLRVDRIRGSGGQYLTRSVATIVHSRVESKPKPNVKDLIEAMETRLADLHQRGASIRKIARIREVY -YHKLAGIFKSEVDTMYAIKSIHRVNGGISQDMYAAVDREVLNVVNLEKSSVPELPKLPGIHDYADYVAKV -LPIESKVKKMCEKLTKSTQDSVSMYFTTAVLADCTSVQDRLNMKKIYKAHKGEIKVSQYGKAVLTGYGME -LLRSRLRPGALRTLLTRSENPIELLSLVV - ->YP_009182176.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 2] -MLITVYILMGLRTRGAVDEALLNVKALHKLHGQYVLAIPVLFGNVIAYYVDKHDVTMADKKVAKQAGLVI -ANNVFVDFCPDARVDYIAVMGNMAKGLHKKTRINDRELIRSRISQRHHMHIKVGMLDSEGDENEIELDGV -KGYIMHRLMKMTTVRQTVTTFLLYVELAPSWAADVLAVIVSRHSEWAQLMDDLKLFSMYVKQYQHVIRSD -LAVLFELNVLANRSDSEMDWAADIAKRTKSVVATPDQERLYRDGVSMFTSARAEGKQPVTMKWEEYRNMT -WGLTPPGAVHSPEGDFRRNISTIPSKLRNKKTYNSVFRPKELKHYMAQRPKIIAKPSVKYEWGKNRALYG -CDLVSHMMTDFGMMQAESTLPSWMPTGRMADENRVKRQIATMGNGIPFCYDFDDFNSQHSVKSMQTVITA -WYSVYGDLISDEQKLCVDWVVQSLETQLIINSVGLGSSKYIEVDGTLFSGWRLTSFMNTCLNYLYLEQAN -VTKRTVGNIHNGDDVYANIRTIGDALDIMRDAKSIGVRAQMTKTNIGTIGEFLRIDNKAVDATGAQYLTR -SCATAVHGRIESDTPNSTRSVVEANMIRLEALKARGGDSNKIKVIKDNMISRIARKHDTSEETICDLIET -PKALGGLKDDNKPVPWRLIIKLEGELDSMAYELANKMKKAVNNYHKAVCDMLEVEMPTGYDMLNGINEGM -LQTKKMRIEKIKIDIKLAARICAMHKAWGGSGDGGMAATMRIFTDIKMHRKHRRLRDQVRRLVKSGDFNL -WFNTVY - ->YP_009182174.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 1] -MWPVFTRMSENRLEVVDRHKCTHVLVGVEEDTMTWQGNDIMYAGQECVRTCSYGDGGTRAHYIKMNKPVS -LLPPIVKQRLSAAYSMVGGYVYGDKDELASVRQILKPLKQNYKHDVKFSIRSLTYKKSATTGEHHTHYTP -MEVYNIVTCDARRRQLVLSMNARLRQMHGITEAFAATILMYIATARESVAVMIATSSTLWARNVDLTLLR -LKECSVPFKSLHHADLIDLTEMFELLSLANRGYGAVDWRAEKEHRTKVDDIKVKSIDVYRSAMKIFEMGN -KNGFRYKKLSIKDFTASRWEWAPAGSVHSQHEDDRVYIDPSNYRHRTKFVTLNSMPARHIETFLEREPEI -QAWPSEKIEWGKRRAIYGVDLTSATLAHLAMYNCEDVFRHRFPVGKEAEASRVHKRLRAMCEGEETFCYD -FDDFNAQHSTDAMIAVLCAYRDKYAADMSAVQREAMDWTIASQTKTIVNAADGRYRTAGTLMSGSRLTTF -LNTALNFIYMDIAGVFATPGFRDSVHNGDDVLLTVESMSTACSIHAKMAAINARAQAAKCNAFSIGEFLR -VEHKLSKQTGNGAQYLTRACATTVHSRIESQAPVKLTSMLGAYRNRQADIEARAFIPDHVKDAMVKHVIN -KASTVFTVPKETVEAIYHAHVVVGGVSTDSDASVGVEFEEHPAQRIFEDEDGKEKQLLPGIIDYSDLLAS -KYEGMLSDKVVFSAVMRATRNQLAVTREVEIRQISTPARGKFGLARSLYKLYKNVLQIPFVSQARFLGVP -PISLATPYQAHVLKRVVGNVQDPLRALRILL - ->YP_009182173.1 capsid protein [Red clover powdery mildew-associated totivirus 1] -MDKIIKHVFESEGMAGMPIKHESGNYNTLLKGNLAVDVNGDKNIKKLTMAQSMTYLGRSTVVMGSGSSDL -EGINKFCLTPEGNLNIVNVLATVAKESGMPLNEQHHKLEYMKTMSWADNHVSLLVNLMRFAILLELSESS -GGVGLKGALPKYNDGVVAVNRTALLPEEYPVGGDMTWFTRFSRQDMPDVVRVEEYCAPQSDVCLDLRSLT -ETEAVIVLLCCGKWYRQSRFLLDFDCPILTSKLYYRFDKRIDIGNFDQTSDGRVTQPKLPKAKEVWRTMS -RYLAANRLYGQYSTALCLVAQTMCQFIPATAEGNVWLTQQRVIHLPALKSVRGALPFINEDEPALVSQRS -LNEWGAFSTDVTKYNLLGNILVQAVQTGIAVRALRFRNEDNPEDLYRTYDTVTNPAVMYGALCSEALRCP -VPLAGMSGVFVEFELPDEREEVELEFPVLVYDPKRCGSTIEKRRDTEYLQLNSIPMAGVPTLLLPLNPIG -KMSPFNLRGVFDTADLKRTKMGWETDLYSAWSWAWLCRLCGYDIQMRQQYGQSCGSQGDYSPDASWTWPI -LGSVGHNGIVCISKLVERGNSFIDLPPLHSKSCHSVINYKFSINSHSVSLPERRQPELIGDRYSAAPVLN -LRHINIATTTEIIKLKGFIERSESDFRFADDVQAGQIPPPEVPHVASDVIE - ->YP_009170670.1 ORF1-ORF2 fusion protein [Maize-associated totivirus 1] -MDTFVRHFFKEVTTPGVPFVLDDACHTWTYFQGFKTVVTGDASKSSARDIYQAMTPLGMLETAFESMGSN -YDGLTKNYLTPEGAINYSNIHDTLKQSGGMNNQELSTFMAFCQTISWHDNHVTLLFNMLRYVILMKSKES -KQNLNGALGRFQEDFLEINMDPACQLPAGFELALRDRVAATRPRARQAARVTVACPLLPFPGVPTLLLPT -QCTPYPIPFELAGKFDDTMGRFDRRGTRLKIDVAWRVMNFLQDQSYTWQCEYRGTRIGQQEYVRGRYSNM -IWPVLYQPEDNDEDVVLRRITEVGLPDVPLPPMHNEFFKGKSVEYKYQSIRRGLAARFRGAKIDISSYGA -HVPVLKKISTVTYAVNEGLRTVRGFIERAAQDFSSCPGCRSWRDTASRAGTRCLSCNRGTGSWWDQCLGE -VAGEAITMLNATPGGDGPYIRRKEPLTLDNVPVWVNIRRNHLTAVDRQDAKHVLGFVLPGKSFEVGGFGR -YLVGKTSVAATAIPCDGVWLMYVSVTTPANILPPIVKRTLSAMFSAVDGYYFHDADTTKYLRKTFDVDRN -VIAHAARPTPPHEGEFERAVITAEHHTHYRPEEIWAIAKQHTTTLRAMGIVLANLRKIQGVTEATVATFL -AYIMTVRPQVAYLVATSRRIWRSKNVAELTEVLKDIATPIKSMHQHEVCDLTELFELQCLVNRGVGQIDW -RKERNHRTSPDVVKVSLEDVVKYATEIFLLGKAHGYHYRKMDRQKYISARWEWSPTGSIHSQYPEDERYI -PGNYRQKTKFVALNMMSRQHVDSMFLRKPEIHAWTSVKYEWAKQRAIYGVDLTSTVITNFAMYRCEEVFK -HRFPVGEEAAADRVHRRLKIMLEDNESFCYDFDDFNAQHSTQAMQAVLVAYLNVFQADMSDDQREAMSWV -IDSLSDVTIHNSNVQPPEQYELKGTLLSGWRLTTFMNTALNYVYFKAAGCFDIGGVRDSVHNGDDVLVSI -KHIGAAVKIHHRMAQINARAQPAKCNVFSVGEFLRVEHKVDKDTGLGAQYLTRACATLVHSRCESQEPEH -LTGAVKAIVTRAREVFERAKISQALLADLVRSAIRRVAAVFHRPAKEAFVIAELHAVVGGASTEDFAPID -FKINERCEYDRERCASDKDDMVVTQDLYPGIFDYARTLAQAYEGVLDEQQAKRRVISATTRQISVTRKTW -LDIVPLPTDTFYRYGRALYKMYHGLVSMPHLEKARFVGIPPIALLDSRSRNIIRNIIVEASDVEYALRVL -L - ->YP_009170671.1 capsid protein [Maize-associated totivirus 1] -MDTFVRHFFKEVTTPGVPFVLDDACHTWTYFQGFKTVVTGDASKSSARDIYQAMTPLGMLETAFESMGSN -YDGLTKNYLTPEGAINYSNIHDTLKQSGGMNNQELSTFMAFCQTISWHDNHVTLLFNMLRYVILMKSKES -KQNLNGALGRFQEDFLEINMDPACQLPAGFELALRDRVAATRPRARQAARVTVACPLLPFPGVPTLLLPT -QCTPYPIPFELAGKFDDTMGRFDRRGTRLKIDVAWRVMNFLQDQSYTWQCEYRGTRIGQQEYVRGRYSNM -IWPVLYQPEDNDEDVVLRRITEVGLPDVPLPPMHNEFFKGKSVEYKYQSIRRGLAARFRGAKIDISSYGA -HVPVLKKISTVTYAVNEGLRTVRGFIERAAQDFRLAPVAGPGVIPPAEQAPDASAATGGPEAGGTSA - ->YP_007697651.1 RNA-dependent RNA polymerase [Xanthophyllomyces dendrorhous virus L1A] -MDRESSNKFGIFEASKSVYGVTMSGLVIANGKAKFFFASIKQYLLTQSKAMLIAMTRHFSSLYESYAYDD -PTSPVNLFRDRFPGRSENEAMTFKQMEELPKSKISGAHHFHFTPMQVLKSIGRGRLERAIQASRLPKDAE -MTFATGMLLWYTSISDQMAELIRGAGIFKCDSVREYVKEMKQLSVEAKSLQNLVTDDLRTVFELEVLVNR -IDGVVDWEKEKENRQSVNVTNIKDSDVFRSACKIFEDAKGIGRRPKSFSWESYWANRWQWSAAGSIHSQY -PRDMEYVIRDQQSLKNKFITISNMPKCTVDYFSDREPQVQGWSSTKYEWGKQRAIYGTDLTSYVLSNFAF -YNCENVLPNQFPVGRDANDENVVNRVSGVLNNRMPFCLDFEDFNSQHSSGNMKAVIYAYIETFIDCLTPE -QEQAAMWTAASLDKQIINDNVGTKTTYESKGTLLSGWRLTTFMNSVLNYIYTTKLAAEEKRPGDSLHNGD -DVLIGVRSMALPQRCMQNAIKYNVRMQSSKCAVGAIAEFLRIDHKQGGNGQYLSRAVATMVHSRIESRVS -TDIRDLVQSMENRFADAKGRGMANDIISGLREQYYMRQSVLCDTDVEDIYLIKNAHRVVGGISEEKDSKM -GVLITSQLRAQKNVSIPYLPGVNEYANEIHKALKINVSIKTICDRLYDATYEAVSIKDRKMKILRENRDQ -WFVNVRRIYKAHKGSQLSQNYGKAALVGFALEVLGREMPDATITTILNTSQRPLDLIKHIL - ->YP_001497151.1 RNA-dependent RNA polymerase [Black raspberry virus F] -MNIPVWVTIRGECLTAVDREKAKYVLAHVSYSNTQDSPGFGMFRVGKECVKGFMARCEDIWVYYVKTSTP -AISLPPVVKRTMSAMYSGVEDYDFSDFRGHRFLRRKFGVVREEIIHAKNIKPPQKGEFEKSKITGEHHTH -YTPDEVWEIAVQTNTMRRALLLVVDKLREISGVTEAIVSTFMAYIMFAKPQVAYLLATSANIWRAKDVNT -LAEKLKEISTPLKSMHRHDVLDMTQLFELQVLVNRGIGKVDWVNERKHRQKPDTVKVGFTDVYCEARRLF -ELGVQRGFKYPTMDFDKYLKSRWEWVPTGSVHSQYEGDQEYIAKDYRHRSKFVTLNRLSCDQIREMFNRK -PEIQAWASVKYEWAKQRAIYGVDLTSSVITNYAMFRCEDVLRHHFPIGSEADAQRVHKRLTYMLKDTESF -CYDFDDFNAQHSIESMEAVLLAYYDQFKDQMTAAQASAMEWVCQSVKEMIVNNNEVQPPEKYKLKGTLLS -GWRLTTFMNTVLNYIYFRISGALKTPDVVDSVHNGDDVLLSINNLKAAVEVDSRMAKIGARAQAAKCNVF -SIGEFLRVDHKISREKGVGAQYLSRAAATLVHSRIESQAPLRLIEAVKAAYTRASEVAMRSDQSSEISAL -FFHKAAKRLSSIFGVDYEKVRIAANQHVVTGGIRQDFMASVEYLIHEEVEREKLGTDSLHNLGQVDVSDL -GEGIKDYGSVLMSQYGKYTTEERIYKSIVSATGRQLSITRSTRLSVVDVRHDTKYGYGRALYGYLRNLVN -LPYVEKARFVGISPLAMLDAKGMGTLRKYIMGASDVDYTLRVLL - ->YP_001497150.1 coat protein [Black raspberry virus F] -MDAFLKKFFEGFEAPGAEFSFNASPARIYTFFQSLLRVDCDGVIQNRPFYVNQPMTPLGKMTARFGSTVS -TLDGISKPYLTPEGVINLNAIGDVLKSTGGMTSNAWSLHMGVVKGWNWADNHVPLLVNMLRYTLIKHIQT -VAGTLDATLGTYDDGHVTINSDGCFTEAYPEEAAWDGWPFAVPEGQAAIEAWTQFTRFETAEPQANDVCL -DLRNLTPQESAFVLLMCTQWQRKSRLRLDFALPELAHAISYRASAEPAGFAGWLSGTYVAAQGPPAAPGP -ELAWSALKKYVNQNRLYDHFSTALYLVACSMYQFAPVTAEAHSWLDVDWDLSLPLFHSVRGRYTFLNEDE -AAFISHRALNEWSYYSVNINRINLLALTFSQAYHTGFAVRNVRKSITGKLDDIYTTEGELLAPISMIPSA -ASEAVRAPVPLSGMSGVYMYTQYNLLDYDPNRTITLENDYTGEDDGYDLIEPEVTTRTEVTVRAGVDLNA -LLLGGPPAAGTRGGNNAAARGRGLGRGGRNGGRGNGSTSAEAEDGDENLTIDNFDPNDYFEVVEIEEPAG -PTTLRVPWMTFPGYPTMLVPIDPFPDVNIFTLKGKIDGTTGDCTRWGFKTTAMRAWYVANLYRLCGYDLD -FSSAQNLVGGEKFYAPNNVGFVWPILYEPNHQHDEIVINYQQQRMHHFITLPQIINNFFKNKTIEFSHRI -IRRGTTTSAYGNWLDVVESSGVVQMFANMTVAYNTSAAVSRLRAYITRSEEGFRFVDNVQGGAIPPPTE - ->NP_620493.1 ssRNA-binding protein [Saccharomyces cerevisiae virus L-A] -MLRFVTKNSQDKSSDLFSICSDRGTFVAHNRVRTDFKFDNLVFNRVYGVSQKFTLVGNPTVCFNEGSSYL -EGIAKKYLTLDGGLAIDNVLNELRSTCGIPGNAVASHAYNITSWRWYDNHVALLMNMLRAYHLQVLTEQG -QYSAGDIPMYHDGHVKIKLPVTIDDTAGPTQFAWPSDRSTDSYPDWAQFSESFPSIDVPYLDVRPLTVTE -VNFVLMMMSKWHRRTNLAIDYEAPQLADKFAYRHALTVQDADEWIEGDRTDDQFRPPSSKVMLSALRKYV -NHNRLYNQFYTAAQLLAQIMMKPVPNCAEGYAWLMHDALVNIPKFGSIRGRYPFLLSGDAALIQATALED -WSAIMAKPELVFTYAMQVSVALNTGLYLRRVKKTGFGTTIDDSYEDGAFLQPETFVQAALACCTGQDAPL -NGMSDVYVTYPDLLEFDAVTQVPITVIEPAGYNIVDDHLVVVGVPVACSPYMIFPVAAFDTANPYCGNFV -IKAANKYLRKGAVYDKLEAWKLAWALRVAGYDTHFKVYGDTHGLTKFYADNGDTWTHIPEFVTDGDVMEV -FVTAIERRARHFVELPRLNSPAFFRSVEVSTTIYDTHVQAGAHAVYHASRINLDYVKPVSTGIQVINAGE -LKNYWGSVRRTQQGFRSGRSYDASCNAYRRTYSWRCPRRVDRTGGQCFSRVNVIEPSHGPRPTRYILQEP -GTYPAWIRFRNRVQAVSRQKATHFLFDIVPAAVISDFTTSDTSSFAYKSHTYAVNVTALRFSDTYALYVQ -TDTNMTILSPAARRQASATYSQVAGFCYNTPTVMDSLANILDVDRNIRPKHFKGLRLYTRSKVTAQHHTH -LRPDELVEAAAKVSPRRKYYLMCVVELLANLQVDLEAAVATILAYVLTLSEKFVPIFLDSRAIWVGEPGP -DALTARLKASSGQIKSIHTADYEPLTELFELAVLMNRGVGHVSWQAEKDHRLNPDVAVVDQARLYSCVRD -MFEGSKQTYKYPFMTWDDYTANRWEWVPGGSVHSQYEEDNDYIYPGQYTRNKFITVNKMPKHKISRMIAS -PPEVRAWTSTKYEWGKQRAIYGTDLRSTLITNFAMFRCEDVLTHKFPVGDQAEAAKVHKRVNMMLDGASS -FCFDYDDFNSQHSIASMYTVLCAFRDTFSRNMSDEQAEAMNWVCESVRHMWVLDPDTKEWYRLQGTLLSG -WRLTTFMNTVLNWAYMKLAGVFDLDDVQDSVHNGDDVMISLNRVSTAVRIMDAMHRINARAQPAKCNLFS -ISEFLRVEHGMSGGDGLGAQYLSRSCATLVHSRIESNEPLSVVRVMEADQARLRDLANRTRVQSAVTAIK -EQLDKRVTKIFGVGDDVVRDIHTAHRVCGGISTDTWAPVETKIITDNEAYEIPYEIDDPSFWPGVNDYAY -KVWKNFGERLEFNKIKDAVARGSRSTIALKRKARITSKKNEFANKSEWERTMYKAYKGLAVSYYANLSKF -MSIPPMANIEFGQARYAMQAALDSSDPLRALQVIL - ->NP_620494.1 major coat protein [Saccharomyces cerevisiae virus L-A] -MLRFVTKNSQDKSSDLFSICSDRGTFVAHNRVRTDFKFDNLVFNRVYGVSQKFTLVGNPTVCFNEGSSYL -EGIAKKYLTLDGGLAIDNVLNELRSTCGIPGNAVASHAYNITSWRWYDNHVALLMNMLRAYHLQVLTEQG -QYSAGDIPMYHDGHVKIKLPVTIDDTAGPTQFAWPSDRSTDSYPDWAQFSESFPSIDVPYLDVRPLTVTE -VNFVLMMMSKWHRRTNLAIDYEAPQLADKFAYRHALTVQDADEWIEGDRTDDQFRPPSSKVMLSALRKYV -NHNRLYNQFYTAAQLLAQIMMKPVPNCAEGYAWLMHDALVNIPKFGSIRGRYPFLLSGDAALIQATALED -WSAIMAKPELVFTYAMQVSVALNTGLYLRRVKKTGFGTTIDDSYEDGAFLQPETFVQAALACCTGQDAPL -NGMSDVYVTYPDLLEFDAVTQVPITVIEPAGYNIVDDHLVVVGVPVACSPYMIFPVAAFDTANPYCGNFV -IKAANKYLRKGAVYDKLEAWKLAWALRVAGYDTHFKVYGDTHGLTKFYADNGDTWTHIPEFVTDGDVMEV -FVTAIERRARHFVELPRLNSPAFFRSVEVSTTIYDTHVQAGAHAVYHASRINLDYVKPVSTGIQVINAGE -LKNYWGSVRRTQQGLGVVGLTMPAVMPTGEPTAGAAHEELIEQADNVLVE - ->BAT62492.1 RNA dependent RNA polymerase, partial [Red clover powdery mildew-associated totivirus 8] -MADHLDAEFMLLEIVDGMELNGMWWYSLQGQAVRVLAVYIADANCTAMYMSVNQNLVVLGNGVRARVSRI -EFGPTLFPFGGLHKDTILMQLVGYKNRPNSKNSWSSSVAQWLRGELDIPFTKVSAAHMRHISRHELMSAF -GLDQILTKASGACALLNDLIADGANVHEAFAVGLILWQLMLPSDMAKLMRNAVLWTKSYASVEEWAKQTK -EHLTTKAKALQSCVDTDLTPLFEIEVLVNRGIGTLDWDTERLNRVKPKLAKLSYKDALGGAMTLFRQMRN -RGAKAPNTTWQHYWQTRWSWAPVGSVHSQHEEDLEYKAAEPLLRTKMFTISSMPEVEMKHFIDRPAETCA -WPSVKYEWGKMRAIYGVDLTNFILTGYVFKSCEDILATMFPVGPAATEENVKRSVKEILRNGVPYCFDFE -DFNSQHSISSMTAVLVAYIEVFRSDLSEEQLAAARWVVDAIRTTRVFPKEGGSYETKGTMMSGHRLTTFL -NTVLNAVYVRKASGGRLNATLHNGDDVLAAVTTYADVQTLKRNAHAMGVRFQEKKCVLSGMAEFLRIDHT -AGTGTQYLARAVATAVHAPIDASLPNDVNNDIRNTVQRMAQCVARGALESVVKPLERVLCANIAEKYDIL -ECDVRMISTTHTVWGGIVEKAELANRECVVSREVDEYTRGKDNSLETGSDKGDTGNLACEQSMPEKMSQD -LATPMPGAVAYAKYIQGIAGVDLDLARLTRKIRRAIFAPIRDKRVKILVKQIQYTQRHEVRIAQYKMFSG -EKVGLRVNLAKAYGIPLLSHNGVDSSLAVRLSACQDPLQALADWSK ->ACA61232.1 RNA-dependent RNA polymerase, partial [Ribes virus F] -WRLFTEGLCRGYRYKKMNVEDYVNARWEWVPTGSVHSQYPEDEAYIRKEHRHRTKFVTLNMMPKEHIKKM -FNRPAQIKAWASVKYEWAKQRAIYGVDLTSSVVTNFAMYRCEEVFKHRFPIGEESAADRVHKRLVMMLEN -NESMCYDFDNFNAQHSTKSMYAVLRAYHDTFRGQMTREQDDAMRWVCNSILSTEVVNNLDGREDKYKPNG -TLLSGWRLTTFMNTALNYIYFKLAGVFETEGVKDSVHNGDDVLLAVRTTKSAVLTHHKMAQINARAQASK -CNVFSIGEFLRVEHKITKEEGLGAQYISRACATAVHSRTESQAPVRLTDAVKASVSRLEELAARTNEKK ->AMB17468.1 RNA-dependent RNA polymerase [Delisea pulchra totivirus IndA] -MLGCDRAQLGVNNVKDGRNNYILQRWVNRYLTACGEQDAKYTLVSVEETETTYSATMFCYVECGGQWVRG -CKIAVPGMHVSYANVDSISMFYSKVFKRVGATYAGNCRWLDADLTGRDWYEAVAARYCALNMEQQNTDVL -VIKKGEFKREKITQSHMVHLRPEEVINPRRDGKKIMKAVVEQDECKAIIRLLKSYKDTECTEVEVATWLT -YVRLAPLWCSRYVLMVGAGCKTRKEFYDTMKLEGIAAKQMQGVAKYNMSVLYELNVLQNRVMIGVDWKDD -RNKRENYHNDKKISRADVYERACQIFRVAMSEGKRPMICDWNTYWGSRWARLPTGSFISQYDTDMAVKRE -FKIKMNANKSTVCAAAEHIDLKTLLLRKPEIYATQSEKYEWGKMRALLGCDITSFLLADFSMGSCDDCLP -DYMPVGSAAEEKRVKQRVRTLKGGIPVCYDYDDFNSQHDKESMKAVVDAYLTIFGRFVTDEQRMAMVWTC -ASIDNMTVKGKEDKDTYKAAGTLFSGWRLTSFINTVLNRVYLEEAGLKEKLLYSVHNGDDVFGIAENFRD -VIDLMANAEALGLRAQKTKQNIGTIAEFLRFDLFAEDESASQYLARACSTIVHGRVECGESFSLDDEIKS -VMTRGKALMERGGCKMTANDLTKRQLLVKCDKYETDPAALDMALALHPMQGGINPDAELRQVRVVRKALK -EDTEDTKLVMRGVNDYIDKVAASLKISPSKIGYELRSRAAQMNFKTTKYRLIVVQDDRRGLASLRLIYKA -HNKSRSIAHLSKARLLGSVGLDSGALNSTQLAAVVARSRRPLEFLSVIS ->AMB17466.1 RNA-dependent RNA polymerase [Delisea pulchra totivirus IndA] -MDCVETGVTIYSHDEKNSDKVGDVLLRMNPKSVPAYLDDGGRAVLREDAIMYFVCTLRGQRVNTDVTASL -YGSTYKCRIYSDELNDCTYVFAYVDQDVCPIFTSPLVPMNAAFSGIYEYEQCRPASMETLKSATDKAQQK -RRPWTIKKLVSGAYTEKVSSGHHEHFRANEMWAAITKVGRARSQNLARLGFQPHHAMMSGLLLWMEILND -ELLDFILGTNLLDSNTLEEFVKRGKRISVKAKQLQNLLTMDLRPLFEIDVLTNRILKEVDWDQERMNRET -PNLAVFDEEFIYRKARDIFGRVDNKRKRPEKVKWDRFWNNRWQWSAAGSIFCTYDEDKQFVSKDRNLANK -FLSLIKMPERSCEYWLSRQPRIDARASVKYEWGKVRAIYGCDLTSYILSTYAFSGCEDSLPSEFPVGNKA -TAKYVSSVVTGLLDSRLPFTLDFEDFNSQHSTKSMQAVLKAWIDINESYLSEDQVSAGNWTINSLDYAAI -HNSMGSKSESYRSKGTLFSGWRLTSFVNTVLNKIYSDAIMEGSDMHPSLHNGDDVLVGTRNLKVAFFSLR -NAKKYNLRLQPTKTAFGSIAEFLRIDHTAPDGGQYANRSIATLVHSRVESGPPAEAISAIESYESRYKDF -WYRTGRLDLIVSMRNIAEIRMAKIFRVSKEFLEELRIHHRVVGGLGETVDHKIDYKFKITSEEMIEYDVD -EVSSVMKAPGISHYAVELARLFVRSDLVGKFKDELVDATLRSCAIKRKKLLVVENKEMQRYHVLRALYKA -HSYLSSSSLFGKAKLVGFSINALQRLRRNAAIRNHVYSSDNPVEFLRVVS ->BAT62478.1 RNA dependent RNA polymerase [Red clover powdery mildew-associated totivirus 1] -MEHRPITLLNVEPVAAASSRVDGRRRVLYDKVGGLWPVFTRMSDNRLEIVDRHRCTHVLVGVEEDTMTWQ -GNDIMYAGQECVRTCSYGDGGTRAHYIRMNKPVSLLAPVVKQRLSAAYSMVDGYVYGDKDELATVRQILK -PLKQNYKHDVKFSIRSLTYKKSATTGEHHTHYTPMEVYNIVTSDARRRQLVLSMNARLRQMHGITEAFAA -TILMYIATARESVAVMIATSSTLWARDVDLTLSRLKECSVPFKSLHHADLIDLTEMFELLSLANRGYGAV -DWRAEKEHRTKVDDIKVKSIDVYRSAMKIFEMGNKNGFKYKKLSIKDFTASRWEWAPAGSVHSQHEDDRV -YVDSSNYRHRTKFVTLNSMPARHIETFLEREPEIQAWPSEKIEWGKRRAIYGVDLTSATLAHLAMYNCED -VFRHRFPVGKEAEASRVHKRLKAMCEGEETFCYDFDDFNAQHSTDAMIAVLCAYRDKYAADMSAVQREAM -NWTIASQTKTIVNAADGRYRTAGTLMSGSRLTTFLNTALNFIYMDIAGVFATPGFRDSVHNGDDVLLTVE -SMSTACSIHAKMAAINARAQASKCNAFSIGEFLRVEHKLSKQTGNGAQYLTRACATTVHSRIESQAPVKL -TSMLGAYRNRQADIEARAVIPGHVKEAMVRHVINKASTVFTVPKETVEAIYNAHVVVGGVSTDSDASVGV -EFEEHPAQRIFDDDDSKEKQLLPGIIDYSDLLASKYEGMLSDKVVFSAVMRATRNQLAVTREVEIRQIST -PAHGKFGLARSLYKLYKNVLQIPFVSQARFLGVPPISLATPYQAHVLRRVVGNVQDPLRALRILL ->ALO81040.1 polymerase, partial [Phakopsora pachyrhizi mycovirus] -HFAFSGERLGCRSRAARKFCRIAISRSNGDARGNTRAKLMSASELDSVVYAYDNRAGLADVVGYNTANYI -LYDMLYGLEVQGNFSYCVDGTSVDVQAVYYHRLNVTALYLHSQSDLRTLTSHHSLRVSRIQYGPELAPYG -LVTHNEVLNYAFFITKRIKYIADRLTQDYDDTGSNGWPVKDSIIRSWFDGHSEPVLAKISQAHLRHLTIH -EIRKKGWNYYFPRLSFLLPFLNHMASIGMHESMFVGILTWADLLHGDSFLLAKYSGIWHVNYDSVEQFFN -KIKLEFSLRLKALQNVVAVGLEQFFELEVLVNRGLGEVDWQKEKINRVKPKLANVPKEFVYSKATALFNV -MKSRGAHHKKHYWKGFWEQRWAWAPTGVAHSQYAEDLVGKPKDSRLRSKWFMLCAQDNCTIDKYLSRNPS -IHAWPSVKYEWGKQRAIYGVDMTNFIMTSFCLPNIEEVMSSYFPIGEAAEAERVKKDVAQVLKNGVPYCF -DFEDFNSQHSVESMQAVLLAYSKVFGHHFSEEQAKAFNWVLQSLEYQYVKDGDEWYRTAGTLLSGWRLTT -AINTMLNKIYTMYCTVDEPIVSIHNGDDILAAVTTIEQISKLENKAKASGIRFQRQKCYLASIAEFLRVD -HKSGSNAQYLARGVSTFVHGPTETALPNDLLAVLKSNHTRANELIARGADADKVWSYLNLQIDHLAALWH -TERDKLDKIMSTHTSLGGFSQVVDEQSTAFEIIRDWDEDESGLDDVHEEDRNIVMHGAVDYARMVCRHMV -APEYEQRIAKRIKKSLFSSVRKKKLSTLVKKTSGSSNLYVRISTFGMFKSKQNSNKAIVAKTYGVPLFAV -NIKGDTLLERLAEEDDKLAAYIHFT ->BAT62484.1 RNA dependent RNA polymerase, partial [Red clover powdery mildew-associated totivirus 4] -MGIDIMVISKSDARGLREMDIRLRDGYVPACIAKSKGGILATEFADAEYVLMDVSEGPSSDKEVVVSIFG -VAMTGIMLQGVTKSYFYARIDQYIITRSKAMLALFTRHFSGLYGSVFWDTPLSTDALYRDRLPDEKPAKS -MTPMEIESLGYPKITSGHHIHYTYSEVLKSTPRDKLNRVAELARLPSNASATMATTVLLWGITASKEAYE -GVLMSGLFKTKTTKEFTRIAKSISVEAKSLQNIVEADLREVFELDVLLNRVDGEVDWIQEKENRENPKLA -KLDGGYVREQAQIIFRQAVQCGKKPVSMKWDAYWASRWQWSASGSVHSQYVEDDKYVIRTDRNLKNKFIM -ISNMPHFSSEHFINRQPEVHAWSSTKYEWGKLRAIYGTDTTSYILANFAMYNCENVLPNRFPVGKAANDR -NVVSRVRGVLKDRLPYCLDFEDFNSQHSPEAMRAVVLAYGDVFNKTLTEDQMRALQWTADSISNQIIHDN -TGTKTVYKANGTLLSGWRLTTFVNSVLNAIYSDAVLKGIKRQGSSLHNGDDVIIGATSMEVARRSVQVGR -KMGIRIQPSKCAFAAIAEFLRVDHARGSKGQYLSRACATLVHSRIESKPSTDARDLVESMENRFADCLAR -GMSLQIITNLRLRYYARQAVVCKTPIEAFYVIKNTHRVAGGISEAHDADVEQLIKPGPAGKLEVEVPKLI -GVHEYAVEVAKTLDMMKDVELLVSRVRMATLSAVTPKSRKMHIIPNPNVQASKHLKAIYKAFKGKVDVAG -YGKAA ->APG76044.1 hypothetical protein, partial [Hubei toti-like virus 4] -KLFNSRSLKEFETISKEISVRSKRLQNLQNQDLRSIFEINSLINRITTSVDWKKERYNREVPNLANIPLS -VIDNISLNLFKHSKLERRRANKMNWEKFWSNRWQWSAPGSIHTKYHQDFPYIPQEQLLKNKFISLNRFPS -KLKFDYFYNRRPEITAHASIKYEWGKVRAIYGCDLTSYILSTFAFQNIEDTLPRWFPIGTNSKNSIVSGI -IEGVLDNGLPLCLDFEDFNSQHSNEAMKLVILNWLKVFGKNLSEEQKMAASWTLESIDNTHVRQQKPDST -KIEFENYLVKGTLMTGWRLTTFVNTVLNYIYCSVLKPETMGVVSSVHNGDDVLMRIQNVKDLQNILKNTK -KYNIRLSKGKCSYGGVAEFLRVDHTRKGGGQYLSRNIATLIHSKIEGKKAVNIIDIVEATENRIKEHIER -GGDIEIISIMREIYYNRMGPVYDSLPQDLYKIKDIHRVNGGISEREDADITLKIIKINEEQELEEIKVLE -NIDLPGVVDFGLELAECLNLTKQKKEVIDGVMKATKSSVNLNRSKVIIRPETDLQLATEYKRLFKAYEKI -AKSATYGKAALSNCMLQVITEKSNLGSFSQMV ->APG76078.1 hypothetical protein, partial [Hubei toti-like virus 3] -SRCFYMFGMLERLARNGMHEAMFAGLIVWGTSIPVDHVLRVARFKLWRDTSIYDVESFVKVVKAKYTLKL -KALQNIVDEDLTKLFEFEVLSNRGVGQVDWDEERMNRIKPKLANIPSDIIYMHARQLFSTIKSSGTKPPT -LEWGKFWDRRWQWAPTGSYHSQYAEDDEFKAESRVLRHKLFAVNRMPNYPLEHWLSRKPGMVAWPSTKYE -WGKQRAIYGVDITSFILATYGMLGCEDLLARIFPIGESATKERVGKFVKRVTADRVPFCFDFEDFNSQHS -ISSMQAVMDAYIGTFGADLDPSQRAAVLWTRDSIANMTVLAQEGDYTTAGTLLSGWRLTTFMNTILNYIY -VQVCTSGQGLPSVHNGDDVLAGVMNLSQVQCLERGALKYGIRFQRTKCYLGSITEFLRIDHTGGTASQYL -SRACATFVHGPTESIIPNNLIAFLKACDTRANEIIERGADKDMVDKWYSHQLDFVAKEWHVSRDDIDKVR -KTHTVFGGLATEATRDAACYDIVINEIHRYTDTKVEIDRSKPLPGTYAYADDMCKRLIHEEFHEHVRKAA -RRAIFVNSVDRRYSISVIEKSYDAIRQLNMQQCGMFTHTLKGCRVNLAKAFNIPVYALTEHRWLLDRYLL -DCPDPIAALKVVC ->AMB17467.1 RNA-dependent RNA polymerase [Delisea pulchra totivirus IndA] -MCRIRGEVIPALFLTGKHHTYVYAPLSVLTNWTPKLAQVAGVHVLSQRSYIVLDSDKSEVNFDQHWKVDQ -SVEAGMVNPFTLRTVVRTATWERDEEKIPSTVFPFKWERSKVTAEHHTLLRPEEVVNKVRGRVRMRLVAR -MLEALIGTAHSEAMVSSLLLWACTARAWLVDKVIRSDLLNFQQSTEDWAESAKEACQAMKAHQHFQDSDL -VDVFELNVLVNRGIGSVDWETERKHRLRPDLVNITAEQVYKAATQIFRGRDVGRYRYRSESWSAFWERRW -AQTPRGSVHSQYLDDDKYVDKTSRVTRNKQLTVTRMPQLGASYFLNREPEIVAWPSTKYEWGKQRAIYGT -DLTSYLLTDFSMSNVEKSLPRDFPVGELANEKYVAERIDLAATDGIAFCFDYEDFNSQHSLTAQKAVIDA -FADLYWSEASPEQRQSLEWVAMAHLRQTVKDSERGDYTIRGTLLSGNRLTTLINTVLNKVYLDKAGLGDH -AITAVHNGDDVLAYTHTVHDAVLLLKKAEALGIRAQPAKCVIGGIHEFLRVDRRAGGGVGSQYLTRACAT -ATHARTESNEPVALRAVLEANHTRLTELGSRTDEKEYAERLLKRANGRVADLFNTDGAVTSIIESTHRVH -GGMSEDPDLLPCYEITELPVAADTMEIEAARKLPGVRAFARYLLRTLGLDKHLMLTTVEKIAILTAKAKL -LVRRALDVKRLADVDKAGAQQYLHKVCQDEAREKVQVGKGRLAGLPLGLVLATRGHSMASVRISQSDNPL -KTMSIIF ->AMB17469.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -MSQPACSVVHARQMGLVSYEKEKVKMEDVMGVNMSFLEAVRLVKKHGTMFTADWDNILPRSPISGEHHVH -LLPSEIYGQATEERKRMMRAVLDSVVQMKGATQHTLATIMLYVGCASKEISIWYIGWLCSINPTSVDEYS -KYGKGVSIRSKQLQHSLTQDLVQLFEIEVLLNRGVGVLDWNKEMDNRVNARLVLPRPGEVKKIAERIFRE -GKIRNKHPYRKAEWDSWWETRWLHVPGGSVHPRHPELAEYARKIKKEEIGLSKKLLLCSVRKWDFEYLMR -LEPAIIAHPSEKYEWGKRRAIYGTDLEGFMLSDFGLGEVEQMLPAYVVAGGDANEKRVRNLVGQYSHGLM -PFCFDYEDFNSQHSATSMAEVIEGFLTVYRSQMSGDQVRAVEWTRQSVRNMTVVRNPVAGCEGGQVPHTL -MSGWRLTTVINSVLNRAYLELAGSIDHSEASLHSGDDVFSGMVDLDNAYEFMRKSREYGIRAQLSKCATG -SIAEFLRIDHKTLSRTGSQYLTRACSTMVHSRPESREALSLQAWVVSNETRGSEAISRGANLGYIKRLVN -LQLIRAAKVYKTDPETARDVFRLHPVEGGINLKAQLQGRKIKPGSHTEMYSYLADCARPGIQDISVWLAK -KLGNVGLTGKIFNSVLDETTNSVVRVRRKLCVETYNVTERARRRALRYGAFRRELSRTNVPMYKGIDGKL -ATLAAIKSDSGPG ->AMB17475.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -MRPEEVLAPFGKVVPHRDDEHKIEMVLRGDNEHEVWSFLKLINRRYGGQGKLTEAMVATMLLYYINTTRL -GKRMLETCIRQCGSIARLTKCLKYEGRVCKQAQHHGRSDLNHIFELNVLVNRVQESVDWEAERRSRTEDA -EVCPVDYDTVLRHAKHIFGQGLVAGKVPVHMGWAQYWKKRWATMPVGSYISQYKPDLAFAKSLDLGTARN -KTTVLSAMGDVEFEHYIDRKPEMYASTSTKYEWGKVRALYGCDITNFLLSDFSMGDADDLLPSYFPVGRE -ATEANVLHRIIGMKGIPFCFDYDNFNSQHSISSMQAVMTAWQSVYSQYLSKEQHRAADWVRQSLANMQIS -DNVMGGTYAATATLLSGWRLTSFMNSVLNRVYLLEAGLAANANYAIHNGDDVYATVATIADGVEILRRAE -SVGVKAQATKMNIGTIAEFLRIDNLAVDPSGRQYLTRACATATHARVETEVADDITSSISAACERLHTIA -ARGGDREILSQISERMYKNTCRAFNTEEHIAKWYLRLHPLQGGMNSRAPISAVRLEKTVNLTEGTEETER -IASQLAPG ->AMB17474.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -MNVDGQYIYGITVVCPGMSFQYAQISAMHFMYKRVNMRAGALYAGNVRWADKDLTGVDWYHARHIGSYQS -PGKLKLERRMFQRVKVSSAHIVHLRPEEACDSFIKSTKGKVEAGYIDAGVRAEVLRRVMYMDGQAATEAE -MASWVVYVRTAPEWAVAYVNRVLSYITTRQEMYNVLKTEGIMAKQMQGNSLQNMSTLFELNVLQNRTDGQ -IDWANEKQKRKYFKKHIDITRNEVVEIASNIFRVALSEGKTPVTTSWKDYWDLRWSKAPSGSFVSQYDDD -MVYKKRFTHHTSATKANVLASMPGYKFNYFYQRKPEVYASTSVKYEWGKIRALYGCDITSFLMSDFSMPG -CDNCLPDYMPIGQSAEENKVRRRVNGMKGGIPVCYDYDDFNAQHSVEAMQGVILAYVNVFGPYMSREQKA -AADWTIKSLGNQNVYCSQAGESYKALGTLFSGWRLTSFVNTVLNRVYLEKANLKTHLLYALHNGDDVFAI -ADSFENVIKMFADAEEKGLKAQSTKQNIGTIAEFLRIDLFADEPDASQYLTRACATITHGRIEADESFSL -DDEIKAVITRGSAIVNRGGDREAA ->AMB17470.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -GSSVEGIIIPNSGCSHFYMKLNQYVRPRSNDLMAMLGAHFSGFPVYLSQPASTNNILSYVEEKTNKKYKN -KKPKRRHEIKNLHKLPKSKISGDHHIHFTAEEIFKTLKPDEKRRALLALNLPADATTTFMAGVMLWLATL -NSRELDVIIKAGLLSTDTQKEFGIVGKAISVRAKSLQNLAEYDLRKLFEIDVLINRYLGDPIWEEEKEKR -TNPDLVKLEPNLVYNAAKRLFQQSDRNRERPRKMSWEKYWNTRWQWAATGSIHSQYDVDKEVVAKERELK -NKFISLCKMDKKTMSYFTDRKEEIRAWTSIKYEWAKLRAIYGTDLTSYILTNFVFFNCEDTLPAQFPVGK -KARPSYVSAKVASVLESGEAFCLDFEDFNSQHSLENMQAVMEAWLSVYRDDLDEEQVRAARWVINSVADT -TIEDLEGTGTSYKVKGTLMSGWRLTTFVNSVLNYIYTQMIVAGSDEFPQSIHNGDDVLLGVKKPGTIAKM -MKNARELNIRVQHSKCAYGGIAEFLRVDHQRGDFGQYTTRSIATMMHARIESKVAVTTTDYVEAMESRLF -DFAVRTGNMNLTSRLRLQYYRRLEELYDLSVKDLYRVKRAHRVVGGASDKKDADLEWRITHNEPEKIVEL -DSFLPGVRDYTKKLISELDVDLDYEKVYDTIYKSTLNAVQLVRKETKITFTNRDARYKVYRGIYGAYKGI -NDNGVIG ->AMB17471.1 RNA-dependent RNA polymerase, partial [Delisea pulchra totivirus IndA] -MTKDEVPLLLALSGVDFALGSSESADYALVKVTDRAHSGPPTVSYVNVRGEVVIALKVGFDEQTYIYALT -KYVVGTMKKTRVTVGATMLCDRPLIIYNSDSPDLALEEEFCYGKITAHRGLLEKIVRAGVQMKKGEKISP -IHTWERASVTGNHHTLIRPEEAVASARGKSRLLLVAKVLGRLVHYPGTEAFASGVLLWAACADERLVELV -AGSKLLWSSTRVEDWLSCAKKLVASVKSHHHYGVLPLNQLFELQVLANRGVGKVSWADERDHRVRPNTVR -LEPGAVRKAAAKIFLQGKGSNFRFRRESWKGYWSRRWANTPAGSVHSQHKEDEQFISKERTERNKQYTVV -KMPEVDIDYFTKRRPEIVAWPSTKYEWGKERAIYGTDLTSYVLTDFCMPAVEESLGANFPVGSRANEEYV -SRRVQLAGAAGIGLCFDYEDFNSQHSLQSMSEVVEAFSDVFRHLMSPDQRKAMDWVAMSVLRQTVMDREN -GEYSLRGTLLSGWRLTTLVNTVLNRVYLQAAGCTDLMHDSVHNGDDVLAYVRDMEKVGKVMARARAFGIR -AQPAKCSAGSVEEFLRVDRAAEDSNGAQYLTRACATAVHARTESSEPESYLSAVESQRIRLLELG diff --git a/seq/clusters_seq/cluster_720 b/seq/clusters_seq/cluster_720 deleted file mode 100644 index 4ceb03d..0000000 --- a/seq/clusters_seq/cluster_720 +++ /dev/null @@ -1,314 +0,0 @@ ->YP_009342326.1 hypothetical protein [Wuhan house centipede virus 2] -MNSSSLKLTNAHGQMRPTSVTSGKRIVRKNSYKTTMTKRNATGYELNYEMAPLERYNYPYQRLYPTMEEF -EEEWHDFKRSYTMNNITASNILIYDFDVMENNLPVAHAISSDLQQSSGFARILRNKYFPDIKPIVPKMNR -VGTLHFQPVTKQDRVIIGLITKYAYYQKPTYRTVFNALNNLKQCLIVEKFKEIVMPMICCGLDKLDIKYI -IPMIKYCLKDLNTIVNISLTREDCKKNNIPIKTDISLDYQPSVQFQLSTQEKFLGSLMLSPKVDNTEISI -QSNDSDDDNVEIIIKPNIKREVDEIIESLKQESKWVTDIPSDDNNIVVEQEMSFESISEKLTYAQVAAPI -APKPITLETPKVLFPGGYVREISGWRIARVANYKPIIKKNKKSNFNTIKIVKENNLNIKSKVKCLQNNNT -PKIGKQSWYTIIKYKTIKPYINTSKPSFEPFNFGNPTGYFVSRGGFSGQLGCKYKNFIEPYRFELINEKL -NSLFQNLKIKNYTNITQIPSELKKNLFSIIIKQLNLNESVFKPIMVVNMVNCILTIICNCVVLSRITDKV -ALGACICTIVSNIVVLLVDLLTYFSMNKIEESLIEKTAHQLTTESINELFKEQGMSLIMSPESLQAFKLV -DIKNKSTLIQYIDTFQVKIDETQELTPLATDMIENYEEYLNIAKSRIESHKNQIGFKFIEQLNDAKSNDF -KTVIDKVIRTSSRGNMCLLHSTLGKLNTADVLEFNDKNLLAEFMRSNSKLYAVEIYMQAYAERKKSTLLS -FDELCNIWLNDSTMLEMDFCAVIAEYLGTTIDCEYNNKHYEYKPINKQNDDIIHIVLENQHFSRKEYEIT -AICAPIRTACECTVKFFRSLAAQYPAGTTRDTYLGVAALIIYTYNSKVLIQNLRIKGINNLDVKSIIGIV -IDVISTLAFTIGLATTKGDFGDRLIKAEKISTSSEKIGNTITDRVENITQRIFNVYMSSDGETTHRLIED -LNRLEEFNKIDEITYVNDLGLLNECLEYMSSVKKRMMSLNLKGKDVNVGLSNTQNNVKKVIDKLQSKIES -IQRLLKINAVRQEPVGIFMFGLKGAGKTTAVTTAVIPVLARKLKLRPGIYPAALDNNHWNPYRNESFTII -DELFVEGAEDPYIPKLHKMLSSFAVNMPGAHLDFKESYFTSQFLFAMANIAYQQTKLSKPAEEALYGRFT -GVQFVNLKQTPEQSRFKVEHIDVNDPTTYEVRVFDDQINPQFHGLEEDITPTIGKDEHFINGEYDDHLYS -VRNHKYRLMTFDQFSDLLISYYVKHKDAYQIGRKKNLKAELDYLATTTTDPDSVTQILKTKGYFDSEIAE -TLNIKNLVIEQDNFVISFFGDTGTGKTTFANNLALEIQTFLNYKIYTILDEEDMSKLTYDFPAIYVFHDS -IYDEQRYINVYDKIKKPSIIITTSNHKIRWVTRGMRELQFNDDGAADSVPEVNDGLFGYYQTKVSQIVSL -LTKSDKRAGWEIDTLPGYHPQPGYARRLGLVTGLHRVGDKFVYSQRPDGSSITVESTTGYRYIVDKEVVN -VHHVAKRTILQFTKLQQLFEPKMVKIQPAEMQAKISQVLNPDVIIKAPTIEELVDLTNCKEELVRAVLCP -YINPFGSASKKQVVVSPRVIKSNYSFNPLDFAIPRNIRLEEAVSVACKSYRLLMNANSSFTTLVECKEFV -ALGTEGTIWYTCDPYDVITYQHEFRNDTIVYQKKITKDDGSTVIEDVKTISVDDIIMLFCANKGISELLE -NYDYDLSRYIMKTRAEIETKYSDKFAKVESQTIIYSNSMREKMAFFVIWSEFKKTVWYKLGMALLGLCVG -YFIIQLVSALWKFFTGFSKEHTGVIHHKTNKDAWLMYILDKFDKNQNKAFITIFSVDNNLDSFSKRDLDL -LIKEDIKDNINELLDDNESDTINCENVEWNDVNIKSDWSKKKIETVLPQSLHRLYRINVKSMKTEKSVAV -QKQAMKTLGTVNVPRNTNIKGYVYDRHILEHIYNSMGVCTASFGTQQAMVRGIAICENYILCPRHNLRPN -ATYTFEWFRERNGRPKVVNCEHVLDEDVHDLAILKITDSGVPYSRNILKYFIDDNLSRIANVFLPTMRLE -SNSIEKVSGTAVHIPLSVPIKKDNVDMPSGTVVAVASLLDTNIVLPYGECGTPIIDRDTCRIAGIMFGDS -ADGHTIVGSIITKTMLSQCLAYLRGTTGDVNVKSSAVSILEECELIDDCLTRQEIEEYKIKNLEYIEEVE -PEFHDAVCGEEEEQWYDSLSDVTLLNVKFPDQDEFIIMPNECAEYITSAEVPRDPYPALDGTYTKCLGYQ -YKSQKSIMLKDKYIRTPFANLIREQFPQIPDDCANSMLDSTKVEDPSALVTSVSGKPSILLSQISKINDP -INAEMQAIGESCLDIARVQISERYVELYSKYDHKFLSKKEMINGLVDETNNLYGNLEAVNQDASSGIWTT -LQGKRSVQNLKSILEPAGINNYNKQPLYTLKTNDLGNAISRHISQQKNAWRHGVRYEGIVKDNLKVELRP -LDKVKKGKTRCFESFDVFTLLNFRALFGTIQAAMKVERAKGSCQIGLSTDRFTQIYFRLSRVSPFATHGD -FEAWDKHMFWQAAEAAVRVWSRMLWSSDHFLNWNLKQKYSFKNEDELTLMLTTAMRANIRAVSIADGYLL -SKSRGNCSGIGCTTTLNSTVNELLLRSCIIFLIQRHNATYNVLTQRGESMYEYYAKELGSILTPAQLTFV -LGSKFISSRISIDAKFIESNIDNITYGDDIGISISQDLLFIINFVSLKYAYDKLFGINFDSPYKDGSVVP -YIRTDELDFVSRAFHYEEQVRILFPRLKQTSIWRLLFWVTSDTYPQYEMNLTAMAQELFLHDEKEYKLFV -KVIKTIINPYLYSKYNLKYKIPNFSIGREEFINNSRQLVATVDVTVAGNIQTQIESLLSNLRIKNLLEKL -TKDTLLNMSYTPAQLREFTQQLAMGLPLKLSGTPAYLVTPKNALWSISYEPTDQSSYLEIRKLLQSACAK -TSGKAIFIDLAHVTICMNDAWVPRYQLQARLVDFGPPEPANEIVQAFKSGCSELYQLLVDDLKIKSLVAN -VDFGRLNTDIMDIRIKSKQVTVRNSVATTINAVTEPDVPGTALPAAVKMPPGRPEMLLGSMLYHHQNPTM -YSHRLQVVKQIVIPSTVTAGTVLFHISLGDLIRQDMVSATKDCNEFTGSIMAVIECLANPTIGGAAVIGL -LPPGYNASSATEASISEYDRVVLNMSESQKFSVNLRPYEQGLGSNPNAAISARMAWLEFNTTTGVYTIPA -QTLAKYPTIVGIARNDWVSINGSAKSTISLTISSAFGQDFCCYSGVPQITGATLTDSAWVGSKTKLGTLR -SVPLVELGISGSSRLILDGNYIAKRNIDVIRETGIAILSYLFNYNPSTVGTFIGRTCGIASGISASTEQL -FLQGDNGIYKVGGEFELVMLGTDSILQTIIITIEGDINVHIDQQTNSNLNHNSRLTGLLTMTYYVDGNQP -RIQKVTWQPAVTDQHAGDIAAKPDLNVCLYTYLGEDQGTVVSNGPILPAYADPLPADCINLLVQRDGVKV -PSAVVDTTGPLYATLLGYDSFAAYLRSAYGETALAVNLIETVRGTTLGTIVYDPANRSTFMPISGLIGSD -AYAVSKYTASDLAINNVRFLDSTGAYPYRPLDGFATRAVTSTTNTTLYDKYLGADIINSIVIKGNAIVGA -IGQGLSSYSNYEQQQQWQQFEKDQQEAQRDWQAKQNQNNLDFQREQLQQQNEIAANANQNRLDAIEDRGI -QDRETRAFDFTLRTGMTPSEFYGATQNSPQDSMRGNTAPRATNPWQPNPAWMSDNTKLIDDDTGSVFGQH -ETDTEQLPSYDSSEAVNSHVDGPKGPPKELPNTSMPEGSARFMENSSMAGPTEVDEIPELPAEVTDVVGE -DAAEGAMALL - ->YP_009337178.1 hypothetical protein [Hubei coleoptera virus 2] -MDTTQQQKLLEGEMGEAVVVRGNVNVTVEEKLLTKHYYTDPEEKMDYAIRVYMELTRLYDIIGPGRKQGI -LIEQRVHGVQYPRLAQTWKDECPMPKPALEEVYLIPSDIVASLKPFISCYLEHMQTDVYYHLEEEKEWEE -MILHILTLQHFVNAYIREKSMFLRPENFEAFQFFARNRKDMQQIKKFFSPQMPRRNLKKTVKIIKRNGSL -PQSSTGVNVGFISDAMMKVVKTHIQEEMTRIIGPMKNLLSWAVWGQLIAQFLSIILQVVHMTKNGFSGFI -LTSVILGLASLSIHIFQLFTLGDLGTEGITDFFLNTISNMINNYFNDQDIDSVSTYITAEDRVAEWRENV -YGFPIGSTTLSELGETTGSPASLSSSSRARDTTSMASSDDSRKATDLSERSDLETQSMSTDTCSNISTPE -NITTKVFMPSIINEFAKDRTPQEIMDQGRYVYDVSAGKTPRNYNNMFENNHIHSSYRPSEVLPLRPNPVN -INGSTNLEEMVRRIKTSKIVIDNKVMIEKKGLETDTVINIVVGTVALGVSAFGTFGSKRCVDFMKNYNIS -TTFKNNLKSNVKEIRELVETVSAECFNVVLSSSARSLVNVEELIRKMDNYIMMPIVEYQKDLQQFYTFKQ -MILEANQLLVLGSKSDLKEMVTARNLLTTTLLNAKTKYHRIMEALEANTTRQETLLVHIVGEPGHGKTHF -VNNWLIPELNRRMQWPDNCYAINFGSQPEFFPIYNGSNVGIFDEFLAMKDKDPLVPHINAIGSQGYCNFP -HAQLEHKTQPCNLKVLFLISNVAWAPLGATLMPGAAEAFYSRFHRFHIENKEVVPGMLRKDIPHSPDFAE -LKIQFCPKPACNSQEGNLEDAREVSKEAMLDSIVDRIHTFRDSFVPNRVCEVQKLGATVDNVAFTILGEP -GYGKSVLANRLGNHLSGLLKMPLYNITHQSFENLEVTRPSIIITHDRVTDERAYSNFYDKLPKPSILINT -CNLNLSKQRFLNSRSENRASGMIGTIINKSKNYLQQKVVLKGYSQINQEPGFIRRLGITGNLHHMGQCSW -RAEETGGVFVANPGFLFNKYGNSEVLDFNAILEEIYTKYLGVYKFAGGIKFIQTNHVEEKPADIVLRCEN -LAQLKTVVNSPTEVMQAYYNGLKNGNVLPSIKVSERIYSSSFNFTPQMFNIGECKTVEDVKELARRSYST -LRLANAESSCRIIAGTDFVAHAEDGEIVVSTNITDRAIYTHHEIDQEFVVIKHDDDGQAHVVAMWPMEHV -INGLENGFKGEDYSPEQVSIFRYCVDNYSLISQLPGYKLRKPHVQIKQVMIKNEMETKLDFALMWKKFVD -SVWFKVLIVILSLFVALAFVTMTYSLFSSKNVIVVGEKAENFLPNTMPVILDEEEGTYENHFLTYKINGA -SLDVTVSGPHAEMVTVEDVQVYIEDFIPSTHSNLHVTSVRTSIIKRSEYTRTRKYEKGKERARAVIKDNR -MIILKKGLSDIRKKVENNAVYCQAGARTMYGIGLKENILVTPAHLWTYSDVTVKTGTITRCVKRTTSAGY -IYEQKIYPIELVAYEPFKDVAIARVTDKTFENFPSVVQYLPLQKEIDTFRTALVSICDDKNEFSRRSLQS -GPVELRTYATSIDDDTATHYSFTSWEGRVKLRNGDCGSPMFYNNKDHPRPFIGFATGTTVGNFSMEGTVL -TKELIQENLDKIEAGMGIVKKSKEEKGLMVLDTENPHSSASNITVFIDEEYASWFSKITPSERSLEDTLW -CNEKNPNSKLEYLGYCEATAMMESDKHPYKEAEWLEHPKIREAVTELNITNAPSIVGRNQLTTEEVEALP -TIGGRKSLIASQIEQYNDVIEWNDTYAKELEEVMEIIQPKYNALYGKYEHRPLSRLEVINGLYMNSRDPF -FEQLEGMDLDTSAGHYAKTFLHTTTKRSLFLPVKDSFTSMARTVYDWNYTVKAEKMRARVEKTEDLAVKG -ARLFTVVQDNLKREVTKAGKIRVFQSMDLCEIMLIRKYYGTLMAVFKANHHEGHCQLGIDPLVEFNSLYK -RLIRTSDVGEAGDFKRWDKHMIAPLIRGAMKLITNIIRYSYKMENNFTRRKVADMLGTMQLYQNIEDVVG -DYIIHSFCIADKTVYRKHTGNPSGNVLTTIINSVVNDIYHIWFIGWAVDQLAIDVKEMDAATFEGKYNKS -LTAVKKQILQWPDRRSLIERINKNTDYITLGDDYLQVIDKSWTFLVNFQQRKKFFMEKFNIVYDTPNKDG -GVYNILPLKQLSFLSRTFDMDENTGVVVPRLKISSIHSVLKWTQNNIPDIAMQSLQDMLIESAAYGEEFY -DQYVSILMMIDRFYVQRRGKGLTFTISEYARQRSVLIDTIRYRRQFVNSYPENLQEAISGKSSEGITVIP -SNQISALKTIREEIQIDKKHATIATMATGTDNVSLITNSRSGEGEDLQVMEKGIGHINPGNVNQQLYTYD -LKQQAHNKFWVRNVIIPVNQESGVTLAVIPYGDQTWMSTPMKRWASQHSLMKATIRYEFHFITASTIVNN -LIVGMAEELRAPANYSFEQLQLLQWETTNANSDRRAIYLNFATPLNNMSGTTGVGPLVTGVDPNVQPLVG -HKVPTLVIKALTGVQNSFANDNVSINVVVYANFVQNGNSNDFYYRLKDLDAATSVSMTGGELTRITSYTG -MPMSELLRLPPKHPLNITCDGNVTSTFPTEYLVSGFQVPDATKVSTLHINRYNAEKENVNSPLVITRGPM -AYRSGSNMVFHTKRKYDQIMISTEVTPQPAYSEGALGFWMLYDPRDRNLKQNVFLNEKLGLVASDLEVYK -NLPRERFAQIAQRLSAWIGMTPGSYFTHVVFVNGIDVLRQMNPTSAIEPDDNTSFLTYTTQMQEEFELQL -DSMNMNYLVYQPVTQPEIEKAYYRNTADVNFVNYGTTIQSTLGVTNQSWEEVGTFPWKNFGTSNLTIYQV -SIGETTGVYYMVNFYFSDDHFSTDYIISPVTTNTSINTYSELDEPPGPFVANTISGIFDYKIAEIPSTSL -MRLSSASVQGNTMLNPNMSVVEFGNTIVPTLTETITQSGIRTVLREPLFAETWKNTMRAIGYDATGYNVR -FQLTNSMNILPIATIMYDGITDSFMLTNNPRTSVDNYSVYPRVNAEEVTINNLQIVPKGVPITINTDTTG -WITRTVTSTNSDLVFNGIKLANPDQAQTLKTKVTIAKKGAATSLLSIAGGAASGIGQSLTQIYQQSQQYK -QMDKMQQASLDAQMAQLMLQLDSAKDLQEASQAYQSIQAALDREHISMESELDRAAKEQNIITQGNVDLE -KMHEQDRLKNGYTGIAQHQRNNNNGVGYNDMKNFIYSSTYTPNTSQEAAEATPNIANNVDKPNWSNAVYK -DPATRSLSGFTSSDDSRTTTGMLDDAAVNTNVNKPVLKLGDNANYKLSSPGSLMQKVDTPPSSFFPIIKK -GKESFLPHDAATVLKATIDTLVRDKQLIYLTQPHGYPAVITEFPNEELFLSVGFAMLGQPRSKIQAETQI -IANFANKVLSTYQVGLSKITTAEIYLDNEWKTIYQLRLFLRRTTNMN - ->YP_009336820.1 hypothetical protein [Hubei picorna-like virus 53] -MLNFENEDDDDTANEILEKIYGTVCHLYEYEGHHSITAFTYLRGYALTYCLAPYAFKVELMDCEQEKLTL -KKMSKQTISYIESPLTNKITKYEVSQNIYLPKWQATFYRATPTSLSILFALRCRTQNIRVAFRYQVKTYS -YDSECKFYLASIPPPPDTYTLTSYTNAKRKSSGAIKVHRNITRFVFSRVPDALRKYLSQKHDIFIARQIH -VPVVVIKWNPYRHNCFTKPQNKKKNQLDRFICLVNDKNIDKKKSYELYELSQNGSVASDAVSVISKTLSA -TSTELLTKNLAGPIAKHIRHFTYAVWAFLATDILRLILRVIRIFRFGWDAQMGIEFALDVSSLIGHAVLQ -FGLMKMITADSIQKMSAMIKDLVVEQLSNKQFFFSPPGSQYESVESNQAGISVQTCIGLVIGIMSLGLTI -GTLAGFKGPQAAGKRLVDCNAIRKAATESATSAKDLVYAISEECFGYNMSPFANLRTSLSTMVRELDEYN -QYSQFMYAKDVTLYYRLRTAVIKAESLYTSVTKQNNTLENSVLNSLTLLNQSISVAKIKRDKIGEALASG -GPRVETAMFHVVGRRGCGKTYALNNILIPEICRKFGWREGTYGINFSGQVYWPPYAGQPIGIYDEFLGTK -EEDPIIPNLNLLGSTGHCNLPGAELVYKFQPCYMKALFLVSNQHYVDLGNKLTPTAAEAVYSRFRRYEFI -NTRQSVTDDHSRFGVYHSETLEEMEIRKYITNANKNSRVAVGGTRNPKRGQVYSLPNGDQYTIITPEEFV -IDVCAIVQSNMDLFRKQDPEAASKDIETTLAATFRPDLDLEETKEGGDEDKLFRQLSIQKHLESELKARR -KAVSSTSSASQTSIQASLNSNVSSLNTVESTTSESASSTSSDASVPLHQPGQIIETHQRPALYTIGEEES -SSDETIESNQMQLLPDEIAHIYRSLPATSNVRPSRPLTYDRKMFIAEGRHTFGQKSDRWYETNLHPYYEF -CFSRGFERCYRHTLQEVAKLRKLLNDPKRWANIPYEINDEFDYIRECRMNLPDMRDMEPVEVTDYRTSAM -STGFHYVGGRVYKVGGIEYYGRSAGKCTIKFHGKMIKDKGKHMISTFMPCTIIENRIEYPGFSFCMLYDE -FPGITSSYKLEDVMTYPTILLSLQIKNAFRNSKVHLRFAEILCRWFAKEDKDQIRRIENYLMTLEDKGMS -NYDLPIGVLDDLKYWACEELDFDSTKLSMRLENINHFNYNPHEYFYLISEIHPMFHMLFRNLFKSGRDVN -LWHYSLMRKMENLVKGNSFYLNPITYVQLLNLHRILPQDMYKKFGPMFAFHKLVKCYQEAYFHVQSPFEK -HATIRNLPYGHMEGFESPPDYYLDMCDKRAGRKVRAHYIPEISWPIMHFDEDVPEEWVGINVKWNQETYW -FIMEEVLKLKKQRLNIRTIADIFEGIPLAGPNVGWQQALSYSLPVAGKYPPEVVVNIEGCEKIEFNQSSD -SQYENYVVHFYGEPGSGKSFKSRQVAAKLSKIFKMPIFEVTQGDLSKIMPSPVPSIYVLHDQLADERAYV -QFYDTVVKPSIIILSSNIKVSSTYAWAQYETGTGLYSLLKIPMNIYYYLKAEASKISGKSQVNKEPGFIR -RIGITGVLKHQGQTSYRSEQMGSLIRFETGRRMYMVQSRTTEEVTDNDVMLKIITDFRRLYQQHGNIIFT -KVDDLSSITNADVLVEAPNSKKLRECVNDVGKVLKAFYNSHRGNPTEVNIEISDRVINSTFQFTPHMFHL -GREDKIPTEEELKALAERTYSTLRTADTDFTALIKTSDFTAFCVRGEIQYCTNSLPIPYVFSHSISDQSG -VKMITISKSDQDGEVDSQTYKMEEVVNALVNGFHKVKSIEEQEYVNYLITNEKLILDAPEAKIFLNQVKI -LSITGKTIQDQRNIFLVIWDKFVNSKVFRVISLFLVILLSLSVLWSLFSSIYNFFKPDKSDFQKLSNGVK -LPSSITVGGCVFEASYTISGDKVNVLLETSSTDSDYDRDEVHETLEEVIENETDGRYYLVGINYQHRQSE -YTKNQKHGKRSGKNAITTKRGARNQVEKTQSSIPIANLEEVQNDIRSSYIMKDHNFNTQTAISVRTKCAQ -NQVVVVVGGKAVYGLGYKNRYILCPAHTIRKQDVRQRDAVTVIYRKKLKSGVINQFTTSEVQVQYLDYDN -DLAVLHIDQPEFPNFTDISNYFITEEKTSKVFGAYLMCRARQANDSITNAILSEEWLIQAGIVEHTHTKY -SSITGDGIRLDHTAPSFGYERFSGQSFGTRPGDCGSVYLASSVSLDYEIILGLHLTARDAQSLSTRSNCL -TKEFLANVFNHLVEPTYSLLGPHRIEKTQDSSTLDDMLIKLDLSVYHPAVEDFVDVYTDEFYESIFDLPY -PAMYDQDLWWDEEFTESTLGLIGRSDKFKPLHLGKHAHHPTPWSTKLQLPNKSCLSVTSYKQLPKFEADK -LHTLRGRPSVVAEQLSFYNDPIKFGKTAKRLMNVASGILRKQYDIIYKGPHRVLTNLEAINGIYFDKRDP -YYGGLDALDMSSSIGDYGIRKHGLTRKSEIFKEIECEDSIRKVYGWKSTPKAMDIQYRCDVYERMALTGK -RLMTVARDNLKHEVVNKPKARAFQSMSIEEVLCMRKYTGTLQAAMVTRHMEGHCQIGIDPLTQFHWLMDR -MRDVGNIGEAGDFSRWDKHMLAPCIREGMDILCELMCNYLPKETDKEAVRNVFRVFAHSIIYTIVECEGF -YYVKTRGIPSGVAVTAMLNCTINELYTLMSVQFLLDRYFYYEKTDNLEGLKQYFGKGAKFLPEGYLPEQL -THKWFYKNFDFAVYGDDKFTVINPRIAQIFNFKSFKKFYKDVLGITYDTPRKDGFEYKYCGLHEIEFLSR -TMTVEDGVVFPALKKETIISLLHWSRQHQIEHYEDLLTDCLEEAALWDREFYESICKDVAIAISYLSRQA -GRTIRVILTPYDRKRNELRAKILHGRNSEKSLRTSLKNFSHKNEVRVPGLCRLKDISNTIQVEELCQMQS -QFTVEEAMDLMKAHFELDYTKLGLVAIKSIYNCPAVTFDGYRGYGSIYFDYHPGVPRNYYMSDLQKIINA -ANKKGLRNYAIKNFFDVYRRIGPEILPILSTTIIPDFTILRPSVTHPHAVLKQLQRTDLSEFNQDGCYLF -QKSNKVKFQIKQFDKHGLNEIVLREDLYKTSDDVQADSGINSGRSDVQDGKNQKPSNENVLPIERHHMPP -KVFNYSPWVDDMKALAHKPMVTVGSPITIAVNQPAGTVVDVVSLGELSTMSRAMRIWASVNSECCATIVY -SYKFVTAATIINELIFGLAVQKKASYTEDELQIIEWKAINPQEQTATIELNLAPVTSDRLMPNRYTFSET -IATGTFVPTLVILTRVPIQNSYANDNVSINVIRMAHFANHTEGPSAFRATAEDLEAGSLNSTSKTIIDAT -TSTTTTMSDLLRLPQGRPFQITCDGGIAKVDELAPNTALSYTAITGKVNFSPQYTFGINSSLDPTSITDY -TSIASFSLKQNNPMCWYMPMFTPILQTRSYTNAAGDRTYLDYNSFKKIALKTSSNPDETARGIMRGLAAS -GLAYPVTDLLIYEAFGRPIPALPDDAMSIKFGEKLTYNGMNRIAFNYRTALEASDVTSYRGEMITRMCNN -WADQGWAYVITQTDSFTEAVGTASTYDHTFSSRYQSIQNSDATGSAGVGYSMNYDITGVATGETVSQNFY -CVNTVKLWHQDLGQRLLIFLTSVDPSSPGDNVSVQIQNLLESVYQVTARLETGQINGYGDGSASSVVGNT -GFVGFANVTMSLNIDLTDIDVNTMANRATSLSQSSYFTVNTNQSIINFGTTLATTLPETLAETQSGVEMV -DTLFLSNWRQLIRSLGLANADDDNVTIAFTLWNRISSTPMAYVNYEWSEDVFWVLNNPGTDADRYKAYPK -LTYEDIRIGNVRRIVTSTGLVTDTSRWASRVVEDVSTAAFNGTRTTKSLASGRKRVVVSINTETTQMENA -MLATAGISGAFSGITNILQMIFMSNSVDDRLKQTLANQILQIQEKGAQDRETLQLQAALNGLSSEINMRR -YAGTGWFDKSDTESTASSGLPSYTSKGAEEEEIESLIGESTTEQPQQTTTDTPARWVAADDSDSHELQAR -DRFARENSIRSLKHNTHTPQPQKLDRSQIRAYLANPTSDNTEKKGAISMTHRDNITHGRSRTAQIHADNQ -IAETTV - ->YP_009337118.1 hypothetical protein [Hubei picorna-like virus 52] -MQTTMVNTMYMIKAKQFKRQHRVLVEEQILKEDLDFQPREPRQLFSNVEYIWECFPNYEHINYSLFKRDV -DELKILLRSIQRQFNIYERNSKSFKEDNLCFYVYELSECALNIFNRRNLLLRNLNSFDDTLLLRTKKYQR -LSKYNEILRSIVSQMLYAFEVIKVASKYEKVQLNLYWTKAQQNLNEIMEHNKIPIEPAAIPPARRRYRLE -RHEFITEPEPFAPIYQEPSRSRQMQRERISGPMTVRLQEILRRKVKKLVKKTTKGVQIQEDLQKVTFSKK -GKDLIFEKDDINYHQIVKEFNIQDETSQISSSVLKNVRDYFVNNTNVGQMLTKSEWASIALAVIQIIVNV -VQSLTGGKLFLSILGCVAAVGQIIISIIRLAGFGVIDVDIDKLNEHLASYIKAKVESIVSPDDCLPDTDA -EIIERIFHTQASNENFQEKFTQERTDVKKKKNMINEIIPLQEHIVHENAVSPWHSLFAKISEINFQNIIK -DKTFKIPIIKSLRTSQDNYLCLHHAIWGKRQKDGEVRMSERQVFTLDQIKVYPTDKAQILLMADNIDAPT -KDEEVYDKLLEYVNADGFKLMEVCDLYATILNKDIIILNTDLTVKQTYRVPKPTDTIFLKFEINHFERVI -FDTFKQKYTDNDSFLQTLKLINNWFYRIDMELVSELNIEVQKHFRNLHFALINDYNNMAIGGFSVQSFSY -RTMSPANIAKYATTSGISMLSVIIALTTMFCDPKMQVEGTTNKMLKFFSLTRSSKAEMRENVDLISEFMA -DMFGIYITPQTINYSLIADMCEKMQDYVDEENTTYLENIKKFYEVKSYVEYCKKQLIMFKTSTKDQTHGY -VNAKEQLTGLISKMETKLNSILSVYKTSAVRQETLPVRIYGAAGAGKTEFVSKHLVRLVAENLQIEPSVS -TINFGDNKFYPVLNGENFMIYDEFLARRHEDPILGDFNGIHSSVVFLMDSAEVMFKAQPTPFVCSFLLSN -SAYTDLSKKLECEAEKGFWSRLEDIHFCNVKQEQSHTRDQIPRGNFDDFTHYEYRQYTTTTGKHRNNPVI -CKPTPNLRQHYNEEEDKFDDTIYGAGDNMYKVLTLKQIVENISAKIKKFEKKFKNARSFNLKSKIVALKA -KKTNITDQQITEHFKDQGYSRIEVMQHLHQGKFQTQEMINFLNSPRFKQEIDTIAIQDQMQDVTQNETLD -HFVVNIKGPGGTGKTTIARKAATNLKLMLGMPLVEFNYLDRESAKSITKPSIIILNDCVYDELMYINFYD -SLPKACIIFVTHNLVLNRDVVNVQNNLTNNNPVFEYLNTQDDSYFPKRWFNNAIRWYGTQPRAPVWTVDS -QAKMQINGFPRRLGLFGMHMHGGMISKRSISSCQMYNTISGFRVFKIKEKGDRKGTLDYEGVTESEIISD -IYSKFNSYCKHWKIADIKKLNSQLIDELITQNSQDIDILICAPSAEDLIKFTNNPSALVKTWAIPISTVS -SGFTVKTTSRVNNCTFNFDPTEFAIPKNSKPDQYMAVARNMYRIVMQGNVDFTVIVKAGDFKAICKNGVI -NYCSDYEPEETAFTHTIVDKHITIQFTHENTERNLITNIHLKDFAQIVHNGIPPEEYITDITFDHYLMFL -NYINNISTTESEIVNYMRLLDVKQSVKLAEIQEKLGFVRYFKYFESTSIYKFIMVLFGLATGVMFAFLIN -MLIKMVWGSKKKTTQLEYKGHKIDLVFDWKLNKRIDDRADNLVCDVACDVLNYKDDLINFRELKNLIIQR -IKFNLIDNGFIKSDTCVELSNINIQSCKETKVLKTKPVETSNLTKVEGKEKSSMYDKQQKNIKLKTKEAD -ALQAVLDKPSILSKIENNLVKVKTTMGDGLSKSLYGLDIGNGVIITMYHNLCLVNTNSNKYEEADHVQTT -VKYLVTDEEFEVKPVMKDPYHDIVIFQIQNRNGYNARANLIKYFIRDKNRTKHTMSEMYTYDRVKNNNNG -LNRTKLVGTTANIQLVKDTVNLTKGAFVYVTSVLFCYGMATKEGDCGLPLYALNDSHEILCGLHYGGNAS -HIGAVQITQEYLETVYSQIMEIEDEEFFDAVEIELDQNELEDETYFEFTEVTFDAFGKEETVVLDNHSLS -ILQDCDLGSKQTFECLEVGENTEPFGYTHKGARAFRLKSKYIPTPYTDEIDERIPREEMNSATSNKKVED -PSNLPVNRRGEPSILIGQQDKLNDPVDALGKSLGDKYLARALEILIPHYKKLYGRFKHRTLTDLEVINGT -YINPRCPSFQNLEPIDRTGSIGYDVTSVFHIQHKNDVLKLFKTLPCGRHIYHYADTEAARYVRRQEEVTL -HYWEQDKRCESLVQSNLKCELRPLEKVRVGKTRCFESFTMANSNATRRILGTLFAAIKMYRSEGFAQVGI -DTVNFQQLYERFQRLGQFGEAGDFSAWDKHLMPNAIELVTDLWTETFFASWEEVDPTYRIKIRNQIHQIL -VSNYKAIIIADGNVFTKQRGNCSGAQTTTHLNGSVNDLYRVACILYLIDQHNAHCEISPKSEIKEKYEQI -PFIERYTRQMQLDKVEINSMEDILKITDWVDYGDDLASVINLNYVNILNFVSFKMAYNYLFGITYDSPAK -DGSIALLTPLIKLSFISRTFHLDENLNIITPRLKLSSINRLLHWTTSIDPDQLKTNLDEIFDELKFYDSS -LYSSYIRIVKEIINPYLLKNYRTAYSPPNYHLLRGHYENAVKNVRKEQDAYIDASLRINEKNKILENFQR -IIDLFATQGTQLTRRIPDLETNLLSENMTPETIKEYFESLFIRMRVNTQLKISGLPAKVTAENGAVFWSI -SCEPLYDTSLKEYRKDLQRILQSSRHFTFVTVEDLEIYIPNPLKRIQFRVIQRGESVGKEFSVHELRDAL -FNIQAATSAAMVDPMPKVTPGAPVPLQDSGLPVGLHQLGFSQKSTAMLAYEYAPLANYTIPVGSTSGKVI -LNLTRTDLVNEFMRACKTGDSYYNGSFKVKFVSQTNPGLTGTLLIGMTRSKLDSPTETDMLIKQTYQIDG -NSSQNFELTISPFTDDVVKARFAWPPSEADDDYYPALVIIVKCDFVSTFDNPSLYSQIQLYTAFSPDFVT -FFNGFPKNTGYNTRDAQLSINTVIPLDDVLGKDVRLFTDGNLFTPNIIQVTQSQVEVVASDTLHTAEAFE -IKDQKRITRTWATASGWGSFISYENSLLQLRNPKSSTVINSIELLIDYAEGPSFTLNSDVDINEKRIELA -SIGKVDDRTNYMDIEFTNVWCKCYVSQKERDEKLDRKNMQTFLKYIEPNFVSDVTTYQVLAPLIKVKIDN -KNKLQSFSVTFDFTELHDKVGTLFTMLSLNADDTSFNCLLTSVYGFNFTLVIPFDFSKLTQANLANFPDA -ITLPAGASSLQAVKLPFVVPPASTGAVNAAGSTLLPNISIFDNLSKVLATDKIYQLQLTTKDYSDLVGTF -IFHGPKRTCFFNTNKIEYLVSSYMSQELNLRVNNVISLNAQLPVTKYNSSAFVQRNTKSLVRREISAETF -NVQGAAASVGAGALMGVGQGLGNYLNMQQNFQNNKELLLLNQGFNKEQAKMMLEMQGANQLAAISAYGEN -QLGALGIKGANTLNAIAAQGENTKEQMFLQHTLNNLNTPGMSVQRSQNQNFVSGGHQYPDTQNSTTLETP -IEQFDLHTGARIKQTSPIQPTVNSAGDDAPNTSVDSLSRAAIENTLFEESYHSPTPNKNPLAGAAGEVRD -GMENLVDTIGDYRTLENLNMHDTSSTPTQQTRAMPKNKLANSHGLGADPGTKLSQMKFPGVTTSVSVI - ->YP_009329963.1 hypothetical protein 1 [Hubei earwig virus 3] -MCFTRVGRDGVDVEKDGWQSGFSDLKSFLSPNHIDYIFEHLQNSKNFSAFKTNRYGFLYVPRMLLPFRQY -MRGSYFKLKYRGSSRTFCVDKFQSAGNILKDAVNFELAGGYTEALMNPVYEGIYKALMKHLSSQVSLRML -NKIVIYQLASSLVQSIIFFYNTFVIKGVLSKLANVVGLILSLTNSLVSVVAMTAVSKYGKSVDEITHRLE -ELIKNKICHIVDKAETESLSSLNLDTLFDSGSDLLEVPQMPDKDTPIPMKDLPVMPEIEVDHNIYYDMTQ -WRSTIYEHDDERYVLLNRMIEPMMCNNFQWDFVTHPTNGLNNYCFWNAMFGHHTDAMGRKYWKTSTKTTF -QLVKHQLRKCLDICQSVYSGYVNHVPLEHHQTFKELITNACVAESSFRPRNPINSFEQLFTELGKKVPMT -LSSAILISACLGINVCILDTYYNPIAISMYAHLTDSPPDLQFLQFSDSHFSKVTLTYNGIVRPRTAVEYG -NFIKRIFEGCEGFDLDIAFLLRIAANPTAHRWLYKEVNVDRTKELVSEEWEMPPVEEQLEDTETFKASAE -ESLLSGEATPQMATPDLVQLCVKFGTRLLAYVFAITDVCTNESLAGKSSMAKLRAFNSSRKETLSFANDI -SDSVKEIAYDVFGYYIGNDASLLHEVNTAIERINKWNDIPTRRFLADPALYYEALHETVNVVKLTTESKL -PYTQQSVGTSNAIRTLAHMVVDLKKKLDEVRSLLLGATNRQVPLAILLFGLHGAGKSTYVQDHLIPALAK -KLNLSPNTYTISFTSVEGFFTAYAGQAFAVKDEFMAKYDQDAIIDKLNAIHSPTGFNMEGADLSCKHQPC -QFKVVFYISNSPYVDMRNSLTPAAARAMYSRFLTFEFVNLSAKEGIVRQNIEHREVDNEIYEYRFYPAGT -GEHMANTPLYPVATDPATKKALFDNIKKEYKDKQVNGYQLLTHNQVVDLISGHYYKQTEIYHQSLALSLN -RDFVAALKSYATIEDCIAHHVNVGMSEAELSRVACEHFTQLHKQHGADFENYLGLRYRITMQDKLLQTYL -NGRGGGESEQSFSMVTSSDCDVLTSQTNTVSVGDSREGGYMTNIVTNILDRVNILPKYEIQQQRSTADHF -VVCITGDGGSGKTYLAQKLAVTLAAAMNRKAYAVNKITETTLTLFREPCVVVLNDAIYDEEAYVNFYDSL -PAPSIILNTNNLQLKPRKVKSGEILQGSDNVPEMATTDDTSQSWSAWAVRKLMFFTSLAGTSWSFDVFNP -LTKQMVQHPGFVRRLGIPGNFIVNGSITERPPNNSLLLLSKARRFFAYTGKCGEGRFVQKNWPHLKEMVS -DDIFNYVLSKMQSYTVSMNNIKVVQCVDEKDFDQYKLPSYDLDLSSPTLQDLIDGTRSFNTKVGAALKTN -KKFNLKLSERVTSYEFMFDPGAFAIPANPTLFQIPDIAKQLYQFYTQVDPSFTIKVETKELRIIGNKQVL -YYLSETNIPVRHFEVSQELLRVVAGDKTLATFPTDIVAKSIVCGFDHADMLTYAPLDQVIFLYNNLDRLK -QNSYLDKRISYYETRIHTVNIHRSMMTSFLQYISDAVDSTKSTFSRIAWVIVIFLMSAATLTFICCIFKT -IFKLFKKETDIELIISFDTVQVPVRTQFEQKNNEIRVIEAWIDRQEFDDICSECCISEIESKIKEKLIDF -LHFTKNPCKYTLDTDFVLHTDGSKKDTDDYHTDRNKNNARTRGKKMNVRQRNFTDLKKSKPHSFELDASF -TDIQQTLYDEHVFPIYRDGIFRSYAICFAQKFLIANRHLYHSKDDKFKLIWKDKPYDVIPILEDPISDLL -ILQVDDKTFPNRRNIINWFATQEDLDSCSSSLFKSYSTNLTTTADACSSQLSYDFTNPAHPDWRLKAGSD -IIFSNVVQSENLSRPGDCGLPLFIRGGKRPCKIGGIHMAASTGCLYAAAVERELLQSIFDKQNLKESEFQ -TTEEIPFEEFNVFEIPQPDGTAAEISFFPQTEQILFDLVDARDQQAIDDLEQGSIKVIGYTKTCSRSWHL -QPKFSPTLFTKDIPEIPNNSAPSCTKNAQLVDDSNLIKYDSKPSISLTQVKKINNCAKYTPLLQKYFDKA -VKHVVPYYESTYGQFKHRFLTKMEAINGLIMNPRDKLFGCLDSVNRDGSVGIELQKEFKIQITRDLLESV -EEEMNKGPTACTRYSFKKKYEKHINSLIQQQWLMATSGHRFESFIQDNLKVELRPKEKVEVGNTRLFNSF -SFITMYNMRRLFGTIMAAFKKQHSEAYSQIGISPASMHYAAQRLRKTSPHVICGDYKNFDKSITKEEMQA -VQHILMKIFLASNKNIDQEQLSNLFSTFFDMIHNAISVCDGITYVTTNGNKSGNPITTLINCIVNHLRHV -TIFLYLIDQHNVKLRINRKEYINTLRDNSDKSLIPFFQDFQNLIFKPIGANTDTFKHYCDMLTYGDDVII -AVRPELLPIFNFLTISKVFKEQMNVIYTSSNKTDTNPPPFTSLDGSEFMSRTFQNVNGINVAKLKIISID -HQFHWISSHSLEQYNANLRNAFSELALWGEDIFNKYKQIYEQKIRPVLQNKFKFLIRQIYSPPLYETLFQ -EKLDEILCSQYCTNSIDELVSDNFQFIFESDLNKSSPHNNNIIVDYTDFFNKKWYIKTRPHKNKPLRESL -SDNRQDVEKSYRPKAQ - ->APF29090.1 nonstructural polyprotein [Diabrotica virgifera virgifera virus 3] -MESSNKNMCTGFPQTLKISTEISIINQSSQTPRDWSYVQENMQQFKNYLQLAWIEVPNNITIGNFDVFED -NMPIAHAISSDLHQTAGIAGVLRQNYFKNLPKVNVNKSNIGTLYLYPNKNLTRQIIGLITKDIYYLKPTY -ASLFTTLIELRKYLINNKIKNICLPMVGCNKDKLNPLAVLPMLMYCLGNIETQVKITNKGVYFNYQPIPV -APKINKIKFSKQMEKVNYDQTVDTFETNYVKPKKFKAKVTFEPSLLNLDSNSIIINESKTVKQIYKAKLE -KVIYQRSTVYEPQKKTYVVEAFSCKQPKPIVKIFKMKQAILTQTDLKENNNELIKERNSIDYYKFVTPNT -VKAIIGYDKVESVLTFDLDRKRRCGEKAFVHGKSLKSIAKRQLCYSYVPSLNSVQVYIKMLQLTAQKQVF -GHVVTKIQESIANMDVHGMQINNIPEELMKSLSRTILEQLQLDTKVIKPVLLANILNCFVNVVCALIIIF -TAKSKVASGAAIVQIISNILTLMLDIGIYYSLKHMTEERIKAITTTITQDAVQKVMKQHGVTMMASDKVI -TAMQNVDLNDAKSIKHFIKEFEKEEDTDISEISPDCVPNYSDMLPIGLQMKKKNRLDMDFVLMDKIAVVD -PTGKLMKIVSDCPYDTPSKNNQCLLHSLLGALDHRDRKVLVDESNIEKFFQWMSDNISDPDVIEAINVYK -MEYASDFPPEATNLDVLQGIKTHKKMLPVDLCKLLSKYLDRTIIVKHVNKVLTYGPERWDNNIPKILQLE -NQHFSYIDRVLVDNILPLKAAVECMVQFTLTRAAQFNTPDTLKQKEYYLAMAAAYVFTYNSRIAVCCMNV -KSNKLDAKSIIGICTDILSTIAFVVGMGVSSGDFASRMVKAEKFSTSMDTFASRTTNKLDSILSKAFGIY -MSEDGETVASLSKDIDILEKYKAHTVADYMNNMSKYQEVKTYVEGVTKRMTSLHLLNRDTTNAIQNTQNI -IRKSCDAIMAVLNDVDKLNRMESLRQEFVGLKGAGKTSTLNNYLIPNIAKRLALNPSTYAAEIDANGHWK -PYRYEDFALIDDLFNQGSNDPFIPHIVRMASSLNFDLPGADLSNKEKTLRARFLFATANVAYVRMKEEMP -ASAQEGFYGRFKCIQFINAKQGINQARDSVEYIDYEDHDTYELRMYEEQINEQFSQIERNDHITGERIQH -FDPSTKTYDDHIYKIGHLHYKIVTFKQLETICIDQFNKKYQHYKMARDRKCVVDFEYFRNIDMKSDAIVE -NMVRKGYLPAEIEAARQTVGLTAGPDEVHAKSESIINHYVVSVFGDTGTGKSVFATQLALDLSDFLNLKV -YSVEDINDTAHLDYLNPSIIVFHDSIYDELKYVALYDKLPKPCLIITTSNHTLKWHREKLCNIVDNSTSA -AERSSSALLEHGFFNKMKNKIGGLVSYFNLSSIREGYLVQTFPGYQPTPGYCRRLGLNTAFHPISTEDLT -SNLVYSQRPNKETTTIFATSGYHFHQDNKEVQLNEVVKEVLMKYRGAKKFIQPDIIKLGVSEFEEQFKKL -TTIDVEIQSKNIESLIKLTNDRAILVNSVTAARFVGGSNIVKVSSRVRSNNYSFNPLDFAIPINATVSDA -LDIAMKSYRLLLSANVDYTVFIKCEEFSCIGINGNIYYTSVPFNVTSYSHIATKVITFYKVDTNSDGITN -NSELIKFTPDEYAKLIITGEGEQKLFDKLPYAACKYIYGARAEIEPHFATTFTKYEVRTEVYDNHTREKM -AFFVIYSEFKKTIWYKIAIGILAITASVLIIELITQIWNMFIGLKEVFTSTVQKDNVKVVYQVLSVNPKE -QSAEVKVYGFKDDLDSFSNKELSNLIIDDIDVAKLDDSIPADTVIKALDMSQMTVHSDWNKKDFAKNKVP -TLVHRMLDMRVHSDKSQDNKKISRKANRVERILENMTVKGEFDFIKHKITNSLGKVVVSASGVVKTVVGM -NIKGHYVICPAHVYPVDTAFHYANFTPFNTGKNYTMVPMVCSRVDDLALFYITDKLHPLAKDITHHFDDQ -ERLYTRPFELPYMHLRTGNVVSWKGGDAIGLRSLVTKVHQDMLLTEGKPMVAGQFWSTVTRLTKGDCGFP -IIDTNSHKIFSIYCGDNNGVNAIYGNMVTSTLVKKMIDFAEGVSEVHAFTRYSPPMEEFFDAEDTGVMKF -SYPSGEQHIVMPVETCDYISKAYETENYYPCMDGEFTRNIAYSSDCQKNAFMKPKYQRTPFAEEILTQYP -QIKDDCRNAATDPRLVEDKSKLVNSVKGDPSIVMTQLAKINDPIDAAGGLMGEKFMEEAAEMLTEEYIDL -YSGFDHRFLTTEETINGIASPQSDLQGHLEAVNRDASAGHWCMLNGYHKISDLLEEGGSYPSGQLRYHFK -KENKVIAKLEKHISQQKKMWAKGIRYEGLTKDNLKAELRPFEKVRIGKTRVFESFDFFTTFNHRQLFGTI -QAAMKKQRARGTCQIGLAQYDFTHLYNRLLQTSPFAVHGDFEAWDKHMDWFSVQLACKMWTKVLWKSPQF -QHWNDKINAHKFECEENLYLMLETAMFSNVYAVTLADGHIIVKERSQCSGVVETTTLNSTVNDIKCVAVI -LYLIKKHNVVLRTLGASEADARKYYEDYLETLELSKKSRKALLTSKHIYSPIIPDRRTIKQNFDMIYYGD -DIGIVVNLEFLFIVNFVSIKNAYKYLFGINLDSPHKDGSLVPFVPLNELEFVSRTFDYHSDTRTVYPKLK -EQSIWRLLFWCTNLRPEQIESNMIAMANELFLYEESKYRLFSSIVNAIISPYLKRQYNWNLILPSYRLGR -VAFEEMSHELKEVPHSSTCFTELEIHSILQKAQNIGSN diff --git a/seq/clusters_seq/cluster_721 b/seq/clusters_seq/cluster_721 deleted file mode 100644 index 6ca371a..0000000 --- a/seq/clusters_seq/cluster_721 +++ /dev/null @@ -1,205 +0,0 @@ ->YP_009337345.1 replicase [Beihai tiger crab virus 1] -MLQFDAYERLCTNQLGTNSALEPTNYSGNACRETFHELDHELLAQRVAGAYRLPFDLKRSQLTKLNELIA -PLMALPDHDAIAARNFSQHGIAAAQHFIAADILKRWSGNEFGNHMNAISPLDDYHLPSQKMDGREDYRAT -KAVLSGLEFDQRLANYIHRTENWCGAEFNHDKTEKLVCNQVLFWLDMQSLFKLFHNKAVHQLKSAMIFPL -GLLEGISTYDDLSELNFIVKGQECLMAFAGDDSIPYAQDLKTWQSYLRAGVKDGRNHGYNFNLLFEHYKW -VGRICILSITRVQGEAKIVRFIPQRTDEIRITNWIPIMAELSNKLSMGWFGNFAVSLRKLAVAFRDAPHY -NVPRQMLSEIDAFLLNRKDDAVDRQTAGIILTSKMYKIVVGSYALQKGHNMSLGDLADLVSLLLLRSYIA -RSRCTRVVGYASTNVVRLTAEKGFFSSLKELFMTPSLTAASQSANLARAERFAHEVMKTTPLHDAFRQFV -LNEEKPVVDVYEEVARRHHITPVKLPRQTDFHYDPPVEGHCFNQCMAKVGAYIPLQKFPTEREVDNWKTF -VGGNAKYINVNGGHATLEIASDELCAHSTPKTLYVLDRMGRKHNCTEWSDRRYLSAVGESNYHKTDVALQ -LLRPLRGKFFKGRKERQRVLVNACAAPCNDETVWQNYKGKVEHWIDTRYARGHLLPNYPAKQTYNVDKNL -MCHECLSDVGGKDVFADLGADLPKQYLSAFQCTAMKNLRANAGKVVIKLQDFRYTMESGLNRAFLEELQH -WWVFTHKALQANEVYAANWSIYGGRRFRPVNENELPESHRCEGKAPDTISLGRTFPVDDTEDYGRENYIV -ESSLDSRLAAHQLAAGKVNPLPSAPPLALRMPVPTPRNIVRVPVPLPRSSKPPQAMPRSLAVVQAEDAKP -VRPGRKKDKQFEITARENDAQPAGVAGGPDSGPSVGAQPDECAASVTDAEEERDLYDEEEEPGELAEDRD -VPLVTRHIVEWMVKLPLTRPESPGEVLQLDLANLLTATTVNSNEIPEIARALAEHTLVCELGDTNIVSMN -FKGVVHDCYKDLPYDGVIQKIVDGKIHGPLYVEKEIQFHDAYIDLSREVHYDVTLKQALKETKGVHQKFH -REVYNAIEDWKFKPGKYKIKLMDGTYACGKSYAIKQHIKKSGVKDYLIVSPTKNLAQAFKDDGYRAASWS -AALLQAVAAEIEVFYIDELFLHDPRVVAFFLQKGRVTAVGDRRQMSPNLPAVTKLSEVTTKVPRYNISRT -AALDVVMRLNETEPEPTYTRSKIADSLCLRSHGDVEPCVEGCEEKHEHVKMFCYDLKNSTKKGWNTVATS -QGLRVEEFHLALTSVAGTLIKKIPSQTVVALTRAKQKTYLYCSKYTEQMAKSLFPSRKFCLCGRVGARSM -LVGARTKFSTPHGYSEALETRVIEKDTPKFAAMSAGAVLKPQGRNRLELVANIAEQMGDVVTMAVPKEYV -VNATHATGDIVPLQTVCGPDPKLVVDESGTARQFVEEQPTQHVPLESVRQCDASAASYVVATVAPTTSTL -AGDIRNVNVLKNRELKPGRRMTVKTAQPLTEDYATSGVFQMGFHFGMNQNNSANHRIHTTVERYGVVQRQ -HLTDAEVAVQAEQLINGFAKFVDINALRPPTVEELEICRTQSLLRAAAKQNQKETGLWGELFSTTEEIKC -FNKVQMKCKVGENSYINVKEADGLIYIKGGQMVSAQPKEVNQVVSPHINWAERIVGECLKPGVYLGYGQN -PKALSRKLQIRTERRDVKMDSLSLDISEQDTTKNRAVSQLMRYIYGKCGVPDVVVQAVDRPNDYWRMDNL -AASINVKGQFQSGRADTLASNTMHIIAETGRAYDVEGLSYALFQGDDCHIRATNIKRVNFEFANFKEDWS -GVGEFVSFLVNNGKLFIDLVRVAAKCLSRNLKDNGRIRELQVAVDDCLSLINDTQTEYENIMVVSVKYGI -GVGEAGLLLNYLRSFAREEEWFINRMKKKCGLIVESTSVGFDFEG - ->YP_009337320.1 replicase [Wenling hepe-like virus 1] -MQGGPFEEAAGLRPVDGKVTSVTSAINAKGADSRQLLNEYHSSELDKRLVSAVELPFIVSPPTLDTMNEI -FSPIVLRPSKSAVTNNVTNAHPFAAATTHLMQLELDRYNGPEFGGKISCRMDHGMLENDDQDKKHVTCLK -SDAKDDQRVIKALTLSERGSRLPQFLTQSGCVNGAENCKQPAKFLKCNNVLFWLTIKELFQLFKSRGTMR -LLAGMLFPKGIETGETTTDYENRIHLSIDKVKNTAVMSFLDDNSLPYCHSLDTWQNYSRSGIIDGRKYDC -NFNLLIERTKSFGIGSIVDITRVQRGLDITVGVKTTTTTVKLRNFVTMLPQLAKYGDEIDTCVGEISANF -LELRRITSFFEKMPIICVPEALLTKLEQFLFNRKDADIDRGHVGAYLSAVLHEVTISHYQIQKGNLLDLT -TFTDLCIVILIRSFIARSKASKSISFAALNITKKSNSSFKSFCMSVESVFNDLKAFHAYRSQGKEEKKKR -PKRPDPYNQRRDLKTFAKKVMEHNLKAELVRKMIIEHEKTAYDVFKSVAKTHNLLHDFPTSRVLTFDSPT -TGLCYQKVVTKLGILSQLKPFPTRGEIDAELAEYEDEFDIDFTDGHATLKVQSHDLCEHLVSMKNYNILP -EPSDKHIDMQHYYNKKLYSSVTDNTNYEKTALALTLLQPTIFEKLHNLAASPMNDAGLWANYHGKVVHWF -NTKLNDALLPVPPIKQTIKFNKNVLCADCLKEVDGPIYLDLGAEISHKYLPFMQLTVLQQLIATKQRFVM -KWQDFVGTMENSRTYSKFGNTTIYDTLKKHYVYYSSCLSPGEVYVTNFDVTKLYTKNASVLASQTPLGRV -LESWNINLRSKHPVLNTKYSLSQKYIDDFEIVQFSDFNFIYKLEKNETPRFKDASTQTTKPEYTITPTIT -TELQSTTDSDFSDATSYTTTESSGSSDWTTSSLESFDVDDWEVEEEKEFDFPVTFAEEQQPPPPPSIEPP -SDTETNTTEDSETSTSMNYSVEMVFGNIVDFEADYWVNSAKETLEGGGGIDGAIHRAAGTTALKLANKGK -YIVPGQVTVTSGFKSKAKQIIHTVAPRVNDEELYRKSVESALNQVAQGKMVYLPYLGSGIFGLNKEMCKN -LTTQIATEKAKERKIHIRVIDLPYVKKDALEIPKQKAKLERKGALSDEIYDFLCGEMRGDIPRKCDRDNK -FDFPTFLNGHIPITHETLRFGGVIKSNKIFSNYAKTIVYNGDTSYPHNEGFYQHVLKSSYAKGITLKAAT -NPNPQVVKKAAEGNPMCCHEDAIIVMAKAVESKFSRERLWKQLEQTNPKMLVEVSAKDRFWASSYTGMGC -NVLGKLLTLYRENTMFEEEEEVEEKKEEVKLEPPQQEALQTIQEEEIDPQEEEAKQRAFVAKKQECTIET -LSIRYYDATCARPENDLTYKDCYPEPNTKYVLSCDAHAFDKNEFMEQGKVLVFADSEHGKNLNMPFDGVS -RAIVNGKLCGVVYEQTTFEFTPAVITFPHKPEAIKRWERELRTERQAEFKQVHKACAEFIKETTFKYTGN -VHVMEGTYGSGKTFQLMKLIREKQQNKNYMVVTPTSALAQEYKHKKFNACSWSTGLRNLYDMDELPKGFT -IYIDEAYLIDPKVIHVLFSHAYKVYMIGDSRQLQPGDGKKILDYPLLEEMLNVKPIKIMIAQKTPLDVVS -TLNAYEPQKTVTRSKIINSINIVRVDNFSQVPKICKPKCELAHECCRGAVFDSYHAKCNGIQTVAQIQGC -RYNNFNLLLTSNSGQLINRAHGQKLVSISRHVEKLTLYESRDAQLHNKFGFIKPMLDKTIIAGRNDVPTI -FGAYDLNDVKYREPSGVEANKVKISKQTTPTDFASAKGVTRLAMQRDIKEKVGREVTLTIPTVTQVQAAV -HDFPHFPVSTITDNSEEIRVEMDFEQNMLEKGTFTKILGMHPDAPAIDHLIKKVSPTNAPLASGMRDINI -ATMREIDESKMLKFKNHTALFQEKQGTTIAGLASMFGHVQKNITPDHSINTAIERAASKPKKVDGPEVRS -RAKALINGVSKFLDLDKLKPPTLEEFALCRAQAEMRVAAKRTQPEPSMYGDLHSTTAAIKCFNKQQMKSK -VGENSWLTTKEDDLGLYIKGGQMVSAQSKNVNQITAGWVNWAERIVFSSLSPYVVPGYGRSPRTFRRKVL -NIIKQHREEFECKSIDLSEQDTIKDGATNLLMREIYARCGVPSHIMDILESPNHNWRMKTLFSETSLKYH -FQSGRMDTLFSNTIHVLAEVGKSYDIYDPILVLAQGDDVYIRASSIRKTLDFTPQIKEDNNQIGDFIGFL -IGKDEVFPDLPRIAQKHVSKSHDTKERREELRVAIKDLMSCFSTNVCYYQNMLYSVAYKYNLTTADVAIF -YDYLEHQAVDDSPFKEKQNLDRLILTAIPVYFETQRAQL - ->YP_009336596.1 replicase [Wenzhou shrimp virus 3] -MYFSEQHDGFNALPLGRRSQLDPNVYNGPDNRTQIEEQQGELLAQRIQNIIEIPIDIEQTELDEINRLIS -PLIVKPSEKAIENHAFSTHPRNFVYTFLCEYYLRKHSTEEIGPNMFKCQSGSHLACMKMDAREADRVSKA -MFARDDFDARYNSYLRGGCCVDGAEKCTKNSSALVCSNVLYHLDMKHLFKLFKQKSVNSLLASMVFPKGL -NERRSTYDPEHKTCFQMLGEGGKNALMTFHQDPSNGYLQDVDTWQEYMKGGIKDGRKYGQRFNLFFEHFK -VIGNMHLIRITKTHLGASTWHTQLGDPSMVTIVDWTDLIAEFGRKLGSRFGRSSMLTIRGIARQFAKLPR -FQIPVEIYSQVCAFLFNRPDDRVDRQASGAIIGSKMYQMIINSIVIQRGYTAGAGDYARIATLLLLKAWM -GRTNSSKVIAHFAANVMKINPLGILDSLKELFYQWGISRDHLFAEEQRIKRFAELVMGTNIEQVFLCDLL -LNDEKQPLEIYEAYAKKFNCQEFTFPDAREFVYDPLSDGFCYTMCVRKAGGETCIGPNPTEVQIEEDITR -RGYESINLEISEGHCKLVTMTNEFCSHGVSKSLMRDDALGVQYDITDICDNHWIANHGSDNYNKTKAAFE -IMGKPSKKDKILNGAAMPCNDELYWQETKRGKVLHWADTRYNDNRVLPIYEKNHTIVFDRNVFCDHCLSD -SAPWNTLTKVFFDLGSGLDKRFHSFFYAKAMQNLRKWQPDAQIVVKVQDLKNILGTGKNRKFLIEMAHWT -PLHIPELQANEYYMCYNTNAEASIVLPVLPVDTDGEIPPPPCVGDEDAEGCCGEPTIAEIVHEAVVDQNG -ATAPTAPADEAAANPANTAGWHLFAYYNQPVPAPPQAFLQAPVAVPTPAQAPAQAELQPPVAATAPPLPT -IEEEDEDVAEEQGQDSDKNDDEAAAEADTDSSDDEPVVARRKRGQPTAVVKLKKCGSGSVAIVGISDISV -DKSVYGASHNDVSPYGSGTAGAVDRMLTVPEERAAYEAVYRSAPKGFFKNSFGAWAWKSSPTDKDLLATA -IEQIPDGATIPAVGTGQWDWRVGNIYAIIHHAANAASYGKNIAIYTKEDQVEALYAQIPNKEGAWCDGSD -FSCDETDKMEIPVAPETRHFARDVTPTKIINGDWREGEYEPPFGNGLWDTPLVRSKPSPAEFIRSKYHPE -GEHKPPAPVCFNRSDLARLILDVGITKLKEHVERGMLQYDDLEPSKYSDIPYDGRIVTIQNNRIYGPLYA -EKPMLPHNAHADLGVYKKHMKQLSQELKHERQAKFKELHRDIGEIIEKGVAKTQFDFELIEGTYCSGKSY -WLKKLIRERHGEGAGKVIRDYLIVCPSSALAREYKEEKFTAMSWSTAISAVYNLEQPVSIYIDEIFLLDP -RVVLWFMDVAKNVVAVGDRRQMRPDIACLKNFDLANHITVVERHNIARSTPCDVVAMLNAEEPEKTYTRS -KVVNSVVMRKFDPAYKGCQPDCKKKHDHVELFCFDNAHTARFCSRTIASIQGLRRKEVNIVATANSKALI -NDVRGQKLVALTRHTEKLVVHHSQGTQGIAKLLCPKKLGLTVGARDRFESPYGECEFQQVHHVPSERNDS -KKQHDLFKIHHISEPIAVEPERPDIECLEVTAEERHLDEEPHVDIERLELEDENLVVEVDDVAPKCKLSR -QALIEQAASVGGEVAAAAPLNYAVNLARSSTLLPFSAPVTKHEHTVAGMQETDEHYMGDAVEPICGEGDP -TAATQVLAPVWKNQSRLADQRRFISVDKHRATKHTMRIKNQAHLVSDEGETSFVQYAKVFGVNQENNPNH -QLATAVERYGKVKTASLSRKAREEKLFKLKKGFEKFVDVKKLRAPTPEEFSIVQTQAVLRAAAKRNQMPT -GVYGETWKTISEIKCFNKQQLKAKGGDYAHVAIKEENGELFVKGGQMVSAQPKEVNQVAAAHVNWTERII -FGAMNDGVYPGYGASPRKLRKKIRARKIMGKNVVMSTDVSEQDTTKDEAVDDFMRWLYEMCGVPGHIVDA -IEIPNKKWRMKNATVSMNVVNQMQSGRADTLLFNTCYTMALVGMSYEITHLKLALFQGDDCCVIAESIER -TSDFFKNLKEERGDVGEFISFLVADNSLYLDIVRVAAKVLSKTWKDESRFEELRVAVKDGLGLNASFADR -QNNILVAAHKHSLSVGDVTILYDYLHAFATPRDNALLKGMRSKAQAVVTQQLNTTWHFQ - ->YP_009333229.1 hypothetical protein [Beihai mantis shrimp virus 1] -MLGVGASAGVRSLGDGHLEEVEGKGSNSNCEAYLGSDAREIFYADHARLAEQRLNNKVLLPIDMTQSQWE -KLNAIVAPLICGPSEEAIKTQNFSMHPIPFAQTYICEQIVQKFSDKQIGKNMRDEAKIPMVGEGKDKVPM -PKKGTKSCTHMSCMKMDGREEYRVLKAVLKTSNFEKRMNNYLQGACCVGGAENCVVKTPKLCCNNVLFWL -DMRSLFQLFDLKCVQQLYAAMVFPKGLLEAKDTFDQENEINFIVQGKNAMMSFRGDESIAYLQDLKVWQE -YFTAGVKDGRKFGYNFNLLFEHMTRVGRMTVVVVSRVYGKAMVPRLLTPPPDTILIPNWITIIAELGNKL -GMKWFSNLALSTNKIAKLFSELPTFKVSRQFLSELDLFLFNRPDAQIDRQSAGTMLSSKIHSIVVGNHVI -QRGHSLTLSEAVDLCTILLLRSFIARMRSSKVIGYGVKHVLQGKQDKGFLESCIELLRGIDLPVVKDWLM -IRRFAADVMGTSKTHEVVRRFILNVEQPVAKLYQEIAKEHRHASFVIPEEREFVFDPNNDGKCYQSCVAK -IGGKSNIAVPYPIRAEVEREMREMELPESKFQIKDGHCKLVAKTSDFCKHGVSKDMYIEDIPGKMQKLSG -IAERRWLRNTGNINGVKTSHMLKRLPKGKVANLCAAPANDELVWSKRKGDIHCYDLRYLEDVVLPRYHQG -NKAIFEFNILCHNCVQEIEGYSVLADLGTELPQRYMSVFFATALRNLRANVRHAAVKVQNFTTLMEQRNN -FMLLEESRHWSITFPDCFNANEALLLLGHKGEEDFIPDCMSEEKPDEYRRLKFVCDELKGKVRKIRKDTV -RGNYYVHSHGVRSEGKTKPEARVNWKSKYLQRRYGKENLFPRKIEKGKWFANVRIGTNDFTFVGKTFKDV -ALPYADLLTESEDLDLRVRHKPRRRTPWNDMRGFGEDFEIAIMRADPYGFWYYDVEEDEEEEGEDICGNA -QTAPCFYGGSLLQEQPTFKRDEVVSTEKLDDYAAYQRELQRGIQQQSHPQDILGKSQLRSLASQPSPMDV -IVEEVEDSEDEGGAYSAAAVNLPHTDLYRGIENPKAIQFRNERVLVPMKGDGKCLYRCIAHHFTGDQERW -QEFRQVLINHCEGKLQEHLQSDEWPEDCVLSYISDICEIDVVVQIGETNNHQSYGQRFQNRVYVRLKNGH -YDFLAPSVKKQTNWKKEVEFTECPQHDGSMEFDDSQAVAKATSGLFSKAATVHAEGVLFVANGKLSADPR -DCYSFSRSHLLKILLLVPSSEIKHLAQFVDTPVHPLSGKLPYWGHVLDVLDDEIVGIPFEEKQMEFKPVE -VDLRYAVSGMPALSRELKTPGQYEETHKKAKVNLDALETLQPVRKICLLEGTYASGKSYLMKKWIRNQEE -VCVVICPTSKLAKEYKDEGFNAFSWSVGMSKATEIPCNAVICIDEIFLFDPRVLLFFLSSWKKIIAVGDR -RQLVCDAKVMMAKTLREAISNENEKVMISRTAPIDVVMLLNKEEKEPTYTLSKVLRSVQLRALNDPKDVP -DAFVFDKKSATRHSESGHRTVATIQGLRTRHSDIIVNPNAKALITGCVGQKLVALTRHTQTATVWYTRSA -ELLARVFLCEETKSDDTVVEKVEDPNERIGGRDKYTTDIGEGEVLNVRYEGPNAIDDEVILTDNAEDDIH -SVHYGAEQKYRWKKKNVGIFRATADKERWYEVAGIENKDAEVEPEVEQASLGVLGDVVNPVQLQIEKARK -LQDKITRREEFLDKSEGRVLLTAPETYFVNQEWAKNTPVNFSFENVGIPEGHMKVMGKDMPEQVESRKMD -LCAYSQLAPTRVSSIVEKCYPTKSRMAESSRVLSVDKMRAVLPGKKLKVKTDTHLTSIVTSDQMVMHSKV -FGVQQTNEPNHRLNTAVERYGRVKKPKAGDLEKRLCKLKQGFAKFVNVSGLRPATKAEMEQFRSRALLRA -AMKQHQDVTGMYGESWQTTERIKCFNKVQLKAKGGNYPYLSVKEADGLLYVKGGQMVSAQPKEVNQVASP -YVNHAENMVMSCLKKGVFFGYGCSAKKLRNKMWLASRKGKAQSLSVDISEQDTTKDAAVTAMMRWIYESC -GVPGNVIDAMEKPNANWVMSARSVGLRVKGQFQSGRADTLFANTCHILAEVGAAFEISDLDLALFQGDDC -YLRAQHIYRKDDSFKNFKLCHDDVGEFISFLVSGGDLYLDTVRIASKLLSKSIVDDARFCELSTALRDLI -SINHELSRQYMNTLVVSAKYRITQGEAMELQQFLTSFARNDRPLMDKCRAVRRKGGVWNAADFEGVFSKN -VCTVLHFK - ->YP_009329849.1 hypothetical protein [Shahe hepe-like virus 2] -MDNIDFYTPSTYGVLDGSTCPTSAAQVHIDKFWDDLYSDRLSKIVDLPWEMDNETLILLNQIFAPRILRP -GAASVMDNGHVIPATAHFLAEQYLSRINGIEFGAQFNKVLTATTQHYCNLMTGRDQARLLTSMVENPTIT -NYQKGMSLYRGNGCTNGVENCTEPSFVLKANDVIYDISPKMMPRIFAQHKTFVGYFTILLPRELLMGISG -TNPTLRYHFEKTNGNILNRTQARMTFFDQSHGYEHDYKTWRDWALLDGVRGPYFNLTIERYRKIGPLTVI -RMARVEHSLKLHHFTGAPMFGYTEVINFLPILREMSKWVQSHIPIRNFMIKRWKKQCQKMYIPTAIISKT -FQFLWNRKDTDVSRNHAGSMITAATTQIKISEFFIQHGVDISNTNFDDLATLLFIQALIQRAMASKDISF -FIKKIHQARAGFWEKISNDFLICFEPINFLTTTEERYYRDVHGMSLNHIVVYALLMGKETNFDDHIVHTK -LKGHKPIVKKVTPPKKTFHYDPPSDGWCLASCLLKLTLHSFHAELGPDCDLTSAETILNRHGYTLSAAAD -KINVEIDCKNGHATFIADTDNFCKHSVPFMPICIKQTTGKFAINKATFDYTKVYLEESEDNKNFAKTSYV -MDVVRRPKLEPVKKFGFTSFKDKAKILNLAAAPFNDEEYWEKCKLEVVHNVVQTEGVSYHEIPYENVVFG -INVCCSECMQEISGAKFDFIYADIGNNVPLDRQASFYTKALLNIQLTGAQFVLKVPSFGEMISKSNCDEF -IEILHQFAVIKNELFAAGEVIITNQGPIRYNGFPYQAVFQEFFQQPIKITDKYEFIDHHKESTHNHQEKY -RDFTPTMHEDLIEYLNRPMIGWERAKTNARGPKQQTPPSAPPPEPTPPSDDDDDEMPPSAPPMDTIVEED -ESEPMEEETINEMNEEEDGNCFIELQPLETPEYYAKLREEALTEASKAREAARQKDKEFFDNAERLGLIK -PSLRAREKDAAVCHCGNGEELDTLCDCGKQVHRHCVKICPLASNDYRLILVDYKEAELALQKMRLLNPNL -ASANLTLSGKLYFDDKEDATYNALCGVVAGENARITGVDIATAVQLTSICKKVVYRSKKYEEVVFTNEKL -FDLPLADFEMPKLSSRFYGKGGDLEDIEGPEVPFAIYRGDIPNLKGKYRDGVFQIPDITSGCNKCIVPTF -DGLVVGVRDNTVFGPRRKVPLLPFTEIVLKALPNAIGADKMKLYRELEKTVGKFAEPHKEAAAVVLKTTW -KPEVKIQLVEGTAASGKSSMCKTLLKGIIDMVICPTAALAKEYRDDGFDAVSWAMGISNATGKKVLIDEV -FAFDQAALWQIASTATITYAVGDRHQMIGGDKDAIYLIPELRKQVPFDKIAKRMVSFTVPHDIVTSVNLH -NPDPKGMITTQSRILNSIVVHDTRPPAICGKKEDKKDKGVCSNGHAKKKQCNQGACFDRIHATRMKMPTV -ATIQGLRSREFHLFMSSSCKQLVNAVHGQKFVSLTRHTHALHIYQAVPTLSSLMAIRPILLDHSCGIGRR -DAHLTVYNKHTDIVNAHVYMTLNKDFDINNVEPIMEEVPVGDIIKITEGIAEVHYMKPKPEPKAFTLNHK -VTGKTRIEKLASMPDEHDYEFGVPASYMINQFMTGYDTLDVDMPTELDIESFEAAFNDEMTYQPMRMSPL -VLGSTLAASEELMKIAPTVSVNYETNRHILHTEMRPIIDKRIIMLKQHKRSLAQRDSKKTFVSISPAFGI -QQDNSLNHQLHTMIERYGANVQETAKGAEAIRQFEELKNGFMKFVDVEKMLPMTLDDVALKEVAALQKIQ -AKERYPDMEPFGTTYESTEKIACFNKKQLKAKIGENSFLGGKKAPNGKFYIKGGQPVSAQSKAVNQIAMK -FILAAEEIVMNACKPGVFFGYGHSRREFRRIVSKRLRRQKNFMKFAKTCSADITEQDTNKGPWTDLFMRW -IYEKCGVPTIVIDIIEKLNIHWVLHALDAKTRVKYRYQSGRADTIFANTCMAIGVAGMSFDFDHLITALF -QGDDINIKAVGLKTASSLYNKLKLDWNKIGDFVGFLISDEDIYLDIPRIAAKNMTRMVDDKRILDDYVTA -TKDLLNLHNNPQDKYKNVMIAAAKYGTSTSSMEIIYEYLVAFASSEVIADLKADKFGKRSPEYAEVDTIT -LLV - ->YP_009329843.1 replicase [Shahe hepe-like virus 1] -MFSRQSPAAILQRHAVIGETTETSTENRNTNSSIALSSYPTYKVEPNVSDFWKNLYDNRMADAIELPFNA -TNTELQNINEMLAPIVVTVNTKWQRVNTHFYEAALLFLAENFLNDKVAVAKNNGQKIIEIGANYRKIATS -PGHFCQTSSGREEARIRAAMSEATFPPQQIARLQNYLAQQHCTKGAEKCSEPCDLLIANNVTYDVTHEQL -EKIFLNHGATEGYFAMLIPDELLIGISSTNTRWQYTLDFRKDQTHFGFLDGSWKYVHKTSTWIEWATKDV -YEGFYLNLLFERYKKFGNMYIYRVAATPKTAKLSHNHFLNAPNGFTEIRDFLPAIPALALKLQAKWPIRS -LRVAASELEKVRIAAKIHYIPTEIVNKIVAFCWNRKDSEIDRHPAGAMFISAASRIVIGEYSVQKGVMFN -DRDFDPIVMNIFLRALIQRYKSTKETAYFINNISKLMSKNTTWGATLTNIADMFLGGITGVFSKDLTDIY -GVSKETLAVYALLLGIDPGIDEYTSQADSTHLHQMPVPESKDYVVDPIDDGFCLSDCLKPYLGMDKDSVT -PLKHMGPNLTETAFENVINTMGYTIQSSPGLIPLDISIDNGHATISTDTSKICLHEIMYKPYIPFDGLIY -NGKWKFYDASMAYYKRKEGNLNNQKTEFLLSLFEIAAHKPTKKDLFWRRLGYFNNSRQKALMAKAKDNDR -ILNAAAAPFNDRRTWVDMPHHDVEHNIVHTEDVSNFTQPLVPKTHKSLDGLNLFCHKCTNQITFRDIIIS -DLGLDLPNANLSMAIATELRNLRTSTNWARVNKESGKPVARKIAVKIQKFTEQLREGTCGSLILELAAFW -TILRVPCNAPDEVFAILDDTKNAQAFMKLRKIKPISLNINSIAFPLTGMATTTVFGPTIAKITIDYEVNR -FYSERMGLEHCTVPSWTNKHCSMTRRKMVDPSAPMLDDIGEVQSSTFERFTTDTFTSAPRAVLSQMEITI -TPSEALNNLPIGCTIPITKGVIYRATGRTDAVEIDKWQTFAGKEVVIKDASVEQALQLTSKFEKVYLDSK -RITSTYQGNGALFDLPADQNFGLPIYATFFHPEHSLIPLTQAVAVYRSDIPNIKGKLPPGSVQIVDVKED -CPCHANIPFHGIITSVNAGTVYGPQFVVPELAFTPVVLDYSKCSKSEISNLIRELKNTKGTFAELHKESA -EAIADLKPKEMVTVHGLLGTYGSGKTTMAAKYLRNKIDFVVTPTKNLADEYKSLGFKAYTWAIGLKQKKD -SNILIDEAWCFDPRVLWAYASLHNKVFVIGDDDQMKGGGKTLKTSVPDLRELIPKGTLLKRWITMSTPLD -AVAALNTNYNMKVTSLSRVLNSKVVKTIKGKLPKACSIKCEEEHQHFFGACFDEKHANNIKWPTVATIQG -LRKDEFNLFLSSNCAALIQNVHGQALVAMSRHRKTLNIWVDNIAHVNKLFFPILNDGHKCKIGARDRFHT -IMGATDVLSHSFKISEPKTKQVVDFKFENDAFKKSKRQELEEKMTMIIEGSEGKLPEAFGRTNEIRKENF -SKQMLNAGEVHHDIAVPLAYAATVSNYGPALMDYTLENIIDVEALEEETEPDHADKYGLSTATAGMVGPV -ALAFKENFGSTFPIESEIKRFEVLQMRQPKSKRSANIKGGVDLLPDQSKSTLVPLSETHGLNQRNISNHR -LHTITERYLSNGRNVVEDAEAEAHRLWTGFEKFVDVNKFREITPEEVNNARAQALTRVRLKRVQHNLNPF -GTDYESTEKISGFNKQQLKAKAAEGSELPLKLSGDEFHLKGGQPVSAQAKTINEIVSPYVYLLCQQVENA -CKPGVGLGYGHSRKRFRRRITKRLDAVRKGSNSKNHFPFSTFVNVDISEQDTTKTEGTRIFNKRLFAAIG -APKDAIAIMEEANVNWVCDMSDMSANVENQYQSGRADTIDSNTFDSMGEIGSSIKFDEDVDLAYFCGDDS -CVLAAGIEFPDPPKYLKIQHTEVGSFLNYLITKEDVYLDIPRMVAKVLSREYDDASRIEELKTAVSDIMH -LHDHVTDRHNNQVICSAFYKMPLGDVVLMYEYLIAYASPHFDTIPARAKKGQGEVFTSNVQTFSFKGAKD -RFV - diff --git a/seq/clusters_seq/cluster_722 b/seq/clusters_seq/cluster_722 deleted file mode 100644 index 99f7e5f..0000000 --- a/seq/clusters_seq/cluster_722 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009337275.1 hypothetical protein 2 [Changjiang tombus-like virus 14] -MAKTKVKKVKASSQYQRKMTGMYKQLELGKLDKEALQAAAMYADPCGADLVPSVYPGDRGFINRFTNYNT -VGNGATETCAIMIWRPGTQGLYQAGAVTSATTAAVTYGTFFPGLPFLNTNASKTRCAAFCATVRPVSAPN -TATGTIYFGIVNARAVRSGATLSPNDYISLCSESVSCSQALMAPLEVRWSPGDLDARYSEVNNPNVAADD -SDYNVLIVVAIGIPANTGMQFRATAVMEWAPNANIGITNDATSVKPSACDKECVINYLKKKDRDWWWNLG -KKTLNVGKSVVQGYYTGGAIGAMGSLLKYAK - ->YP_009337094.1 hypothetical protein 3 [Changjiang tombus-like virus 16] -MAKNKKSDVKKSKAGTSKYQKKAFGMYKQIEAGTLDRAALEAAVMYSDPCGADLVPTVYPGDAGYINRFN -TINTVGTVAGQTATVMIWRPGTQGCVEYGVADGGVGVVTAYNTNFPGLTFLNTNASKTRCGAFCATVRPV -AAPNTCTGTIYFGVVNARAVRSGLNLSPNAMIPLCSESVSASQALMAPLEVRWSPGDFDSRYSEVNNPNV -AADDSDYNCLLVVAIGLPAATGIQYRATSIMEWCPNSNLGIANDATRVKPSACDKECVLNFLKKKDKNWW -WSLGNKTLKVAKTVASGYYSGGAIGALGSLTKFM - ->YP_009337076.1 hypothetical protein 3 [Changjiang tombus-like virus 10] -MANKLTKATRKVKRAAKRVAKAAKPYLPKFNVKKGLACLDPAGQKAANMLLDPCNAQLSPAAYRGDQGYK -TRFVSNGSIGQAPNSTCAGIIFTPSLGRVYSADATTSTATVTWNVGSFPNPGQNFMQGNADSIRSLGACL -SCYPVSSVMNTSGFVYTGIVPESAALAATTLDGLSQLCNRTSRIQVAEPMETKFVPGAGDEVYNPVGGSI -QNDNDTMCIVFVFTGFSSASGIRIRATNIIEWKPDPSLGIVSESHLTNPSVNTIEHVKRCLFEQDPHWFS -SVGNIVKRTVGGYMSGGIAGAVFGGLGSLSL - ->YP_009336703.1 hypothetical protein [Sanxia narna-like virus 1] -MKYNLMTKSKTKSNQKPAQRAKPRRSRQRANKVQDGLDGYGLAAARMYADPCGADLVPTVYSGDRGYINR -FVANASVAITAGDTSLILLIKPGNQTAFFRADTAPTTPGAIGYDNSIFPGRSFYTSNASKARCAGYCINV -RPNSAPNNATGTIYFGNINASAVPNLASITASGLIPLLSESVSCSQALMQPLEVKWSPGGFDDRYNTIGT -ITDDDSDRNVLCIVVLGLPAASGMQIRQTVITEWSPANALTVTNDATAVKPSVCDKDCILRHLKRKDSAW -WWKLGHKVLDVGKSVGMGYYTGGAVGALGAAVRFM - ->YP_009336563.1 hypothetical protein 2 [Hubei tombus-like virus 9] -MARKKQMTKPRARRSRKQGKKSSAVPVPKRMLELAELLHNPCDADIPRGIYPGEVGAVERFIWEQAFVGV -NNTACFYAFHPNTGAIIYSNQTTSSGALAMTTTASFAPGQTFLSANAAKVRGLAACIQVACSSLSVTAIT -GEISLGVLTADVVTNNLLTTTTDQWFNMLQARGPISRDIKEVKWYPGLRDNSFTTYNGSLNSLATLQATG -SDLSDTHVVCIAIRNVPVGTTINVRTTYVAEWSPKLTVGITPSTAVSPGTNHLAAANILHKSKPNWFHNA -GSGLGNAASGFATAFMQSVGQNAADQTMKYITSAGANAGNNMARRGTVYAIEELAEELGPRLLTL - ->YP_009330075.1 hypothetical protein 2 [Changjiang tombus-like virus 15] -MAKKSNKSPQVQKTTRPKRQRKGRIAGVQGKALQLARLLNDPCSAEIPAGSIYSGETGIVSRFAVELGAG -SAAGETCGAILFHPNDNTLAVFTQANPATTFVVNAGNFVNTNGPGQLFLQSNAAKMRSLAACITAMPTSS -TLNCTGDVAVGNITLSSVYGATVSINSIFSLLTVRGPMVRKNYECKMVPGAFDSRYAKVIAAGNPSTTGT -DDSDTSVICLAFRGLPAASGLLYRLTSVVEWTPILNVGLTATTTSNVGIKHEQVVSALSKSHGGWWHNLW -NNIGSDLGGVASHLTSQVLTGGAKAIAGLLL - diff --git a/seq/clusters_seq/cluster_723 b/seq/clusters_seq/cluster_723 deleted file mode 100644 index 7dfc7ef..0000000 --- a/seq/clusters_seq/cluster_723 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_009337347.1 putative structural protein [Beihai tiger crab virus 1] -MSATTSTDGMAAAPSDRAPDTRVAPQTDYSLSTQDVDLTSDSATGYYNIKVVKVIRLAGSTKVGELLAVF -FLDGTLEPVLEEMLKAYERWGLDDLQVIVQTGSPFGTSSGSIQMAHIPDPENANFPAEGGQPSLDKIVRQ -DGSVQIRPRDSCTFKVKTPGTMYTMSSGSRRWSSFGAIVAVCRSVPDSTDFTEWNLTVTGTAKLIRTAVI -TISESVNYDFLVTAVELDGSCLKLTAASAIPASSGIVHFTRALRITHRNSRDGMLVTRVVKHAKLGVTIN -DKELVALIGTEGDEPVKIESQLVGTTCTVTIPKLDYLPVFN - ->YP_009337322.1 putative structural protein [Wenling hepe-like virus 1] -MLLNKLIPFLIKLSKMSSEGGVENTLALDTKFATSSGLRTINQPDEIQTHTIHKGVTIACSDASTTGLQV -LSLPVDVNIDEIAKQMATGFEFYSIENCSLTLNSSSPLGTSSGSLQIGWIQDPYNLLFGDDGALNLKKAI -RQDGSTMVRPRDSVHFPFAPQDRKYCRVGSDLRLSSFGGIFAVVRSKPEAGDQFTLQGDLTMTFKFSRMC -VYTPDTTFVGQTKMRVAFDFPEQKGKFHTVKLPFAVQRPMSFLKLRKPIIATYLNEKQHNTNIFTVLKLR -MLDGNKGLHKIVYDENVYNKLSFVRQNSAILEGEWTYNSLEDQNVDYL - ->YP_009336598.1 putative structural protein [Wenzhou shrimp virus 3] -MDLTKPQKSDGTSIKGSVTLSSPNDVATYTFNVVQSVRLTNASKVGEPLLNIPLDVNLDPTLPKIAQAYE -RYSLKNVKLMIQSGSPLGTSSGCAQIAHIPDPENAALPAGGGEAACKKIVRQAGSTIIRPRDSDVFTVPL -HGEMYTKLSGSRRWSSFGNIVAVVRSTPEASDWAEWSMTLTGNVEFYRTAVIDDSSTFFNYDVLLSNPVI -DGHHITFESSAEIPGCDGQVKFLDSMTVAARDGNITKPFKLITQPATFAGKSVRITTSKETVRVLTPGLK -LARLLINETSCFY - ->YP_009333231.1 hypothetical protein [Beihai mantis shrimp virus 1] -MAFSFTWNKLVQSKMESSKALDSDFTAGKREVVLTSGALPASYEVKVQKTVRITGTDNIGDLKLFLSLQP -SIDAACEEIAKRYERYSIDNANLWVQTASPLGTSSGGFQLAHIPDPENANLPAADPANATKAVRQQGSSF -IRPRDSVAFPIPLQGQLYTKQAGSQRWWSFGAIVGVVRAVPDATDYVEFTCTFTATFRFMRTAEVDNNQR -VAFRTLISEATVEEGRLRLRTTAPVPGRRGTVTLPRPAAVEVRDRSARGVIVSHSRTATFDCIIEGQCAT -SVRDDFVALHTPLTNSTCLFQLQRTFYCQ - ->YP_009329851.1 hypothetical protein [Shahe hepe-like virus 2] -MASIQVASETPNGGPDSSFLPPGNKITPSEPQHDNATHMVRRPVDLIQIVEGASPGTVAFSIVLDPTLDA -ALLSLSKFYTYYRFKKLDFEALATSPFGTASGAMQIAHIPDPMNIIPDSRNAVQQYVRQLGSRMARPRDN -TLMTPALQKWRFCKPGTDSRLSSFGAIVGIVRAPPGTGDFAQWAGTVIAEIELCYPTIWTNDSKFTFYEP -PFAEFRAATPKANGNYISLELSAISNPFHVPERFEFKTKSPLHITYTYLDEFARKTAVDLTSTVFKAEKV -REGIFKIVIDTKIPVGQHSEKISFKMDDEAEFNFSFGTYEADMRPTTLVNKYNRVKQNEH - ->YP_009329845.1 putative structural protein [Shahe hepe-like virus 1] -MNSDPAQANSVSEGAPVELSTGSTGLPSSDFTDSKPLFLEAGTQHTAVHCFRVAKDLVTLAEGSKPGEIC -FVQPLTPFGIDNTATNLASDYEYYSFKNVEIAIEAVSPFGTSSGGMQVAWITDPENVTLPQGDDGQKLTS -LAKVIRQDGSVLVRPRNSALFNISTEGARYCLPGTESRLSSFGSLVALVRSPPGTGDVADFAATITGEIH -FMRSTRNAPVYIGEFPIGTFTIDETQPLIEENDLILPVRLSSNDVQTDISRWDFRTPLKLTLRGKDGRFT -KIHEIKADFATFKLTNIDDQYAEGILMIDLPFYGLTNPVVRINSFPRQIINSYEVLRN - diff --git a/seq/clusters_seq/cluster_724 b/seq/clusters_seq/cluster_724 deleted file mode 100644 index e4423db..0000000 --- a/seq/clusters_seq/cluster_724 +++ /dev/null @@ -1,137 +0,0 @@ ->YP_009336929.1 hypothetical protein 1 [Wenzhou picorna-like virus 42] -MELITKQNKNENKNKKENNNRNIMSENILNNGNVEDNRPPREVSNTSKVRSDLLGNLDYVTLPKGSGSYY -ILNCQTGYLIAVKKQTFIIADYPVLEWGEVKVKFYSGTRPKATEIIRRALGSKRYAPEAILDDLVNTISE -LMRPVLQTCRGGVLVARALSTLGGNVDAPHRTEQSWMLMLKLLELINTAITTSMSVSVNSVIALLIRVTL -VANDIKNYFTPESGIEAMLLAIASLSLPPTVVEAFKRLSLFSNVKILDDVRVFKDIMLAIDTIVVSVLVK -LFPSFKDKIESMCGWASHHFLIHDITKLLKEAENTKNFMSDTFRERVKDLYKHVNDSAVLLQWRRNNGAV -DTYMRRLERTYKVVLNFEGHSRAEPICFAFEGGPGVGKSLAMGSLYKASGLSHYSHVTKAASDGKDFWDG -YNYQDVVTCDDLGQQGISQYRFLINIVSPIKYPLDCAAAELKDTKFFSSKALLFTTNLFSEIQNISKTDM -ITDITALWRRVVVFKFISTQHVEVRHYSTAEKQWRVGAPMEWRYTNIHKLNPVLKNYTQEELTAWLLAWF -ELALEEKALYYENNTSNLNVDAVHRRKSELLAAANAPDALQPQAWYSRVSDLIDTIDVVSSAWRVSEWIP -QLMDTIATKALDFKKLLMPLALIFGCVGLYSLTSVFRRQSARMFAENTLIVKKIEDSSPSTSGEYVRRQV -KYVKMEGTKESTTIGLLSGHYIVVVAHSVLDKKGKVTIYNGQPELDLRMVDHAQYELVYEDLYQDVAVLK -HSHGVVVPFKKLDTHFLANFRNKGLKFLHPDGCVVLNGRIAPTTQVWYEVRDTTILLKDNICYRDLQGPG -FCGSIVFDEDAGIKGMHVAGDPQDVVGCAVVWSPRLIEILRSLFREDGNVSQYEFSDRPVDSGLKIDKDF -KCNNIKVSNIIPSPLYGVFPVTKEPVNPSVNGPHTVKDSLKEALCHVNSINNDDLESARRLLGDIIEPYT -PITDYVNIKGDEVLAPMKDDTACGIGLNNDRHHYIDFEKGDLTPAGVDLITNFETKCVAETLDISDVIAK -ETVKDEIRPLTKNRFPRTFRIIPLHVNFMLKKYLGNVFKQLIRDKWVNGLMLQINPYSEFHVIYNHLRNR -NLLALDVSFWDKKMVVQVMHMVREVLVSKLTERYNTDRKVLDTVLANIIQGYVAANDDTYWLTHSMASGT -WITNMFNSLVQLSYVRMWYNSIKPNLSTMDFYNDLALFILGDDIICGVSTNAPELNAFTMRDYYTRLGLR -VTSSVKKDIVNPYESWEDVTFLKRRFYYHLELKKVVGVLSEETLLSSISWVDASKNIDTVVEDKIRAFQL -EAYLNPEGDDFVDKLENTCVERGVAFKRWPKHILLELFRSGMVKYHSFDGTVIAH - ->YP_009336898.1 hypothetical protein 1 [Wenzhou picorna-like virus 41] -MVAKMNSQKTDYNQGISQAINFSNQDLAIVTSFLRKRNVFHRKGLQRILFTIKIPGDWLFVDGVAGKIIQ -ISSESRIFTENSDTGKVYKYKNFKILVKRPIIELKSESLFSVLQSALKMTRFVFKSSMSALTDLKSIGWI -INILTVLIDMKDPYAVGFSRLFSILARIISIAMQAIGSYTAFSQAFNITDMALMLSIAGMPPKIVTKIDL -FSKLTGKKFSDSGFLMSVVNEFLDIVLTVMEWVAERTPTEYARHFVSKLREQLAFVTTYKYVKEMTELVS -TYHKNQQIILDVQYRLKAGDLYHKMKVHTPTYTFCKDPVNRSTCLLWNDFTSINVLVKNYSVSSRMEPVC -IVFEGAAGTGKSTFMNKIVAALKHDNMSVYCHTVPSIDSGKDFYDDYMNQDVFVMDDVGQQGKSQWRSII -NFVAPVKYPLECASAEKKNTKFFSSKLILCTTNSFMNLQGFTAKDCIGEPEALFRRCHVIHFSPRNSRTL -LRYFKYDYVMEHRWKNVKIAPWENCKFDTTIEGDDKNQLKSVYKMIKELLEIQGTLNTTNELSTEELDFI -IGEDEQFVDAAEVVSTPEFFNSRGLNMSDDVVQDNDAYFPTHGVGLRSEGLCSGVSHYAGIATSGVSELF -NSVKDKLQEFKNADITDPKIAISVACISLVISIIVYQVFANNKNIDVDDDEAGVAETISDWKEIHAQKHD -LFSESGTISTEDETNNTRRYFRFFEIFYYHEGVMKTEYCQGAVSGKYAFLPLHALGDDMTVNIFRDWDRY -QAKHYEFNLVPCRIVKSWQHLDIAVVEFYNLPTVPFKNANMIFKRQSPKTFSNDLYFCNVYHRIRNIYGT -NIKMTTDTFRVVNYRRELSFGPDAGLTYNISALGLCGSLIIDRMNGFVGMHIAGNGQEGFSIVPPADIRE -EIACIMLSSAEPNFDHRENYSQENFSGSRLKYEKAQLSMAMQRSNMVPTELHRVFNPTVDAVCEDFNVAP -KEPANIKKYGSPTNTLRVCSKKSFSPIFPISKEEVNYAKECLSTMLIEFEDLTDQETAFGDGDLLSGLNK -DSANGYGYKTKKSDYFDFENKIISDEFLQIVKEFKERVNKDVATWEDFVAKEALKDEMRPIGKDPRVFRV -MPLHHIFLTKKYLGKLFVHVRKNMWNNGIAVGMNPYKDWAKLYDTLRKGKVFALDFGKWDGSCHSQIQDL -VSDVVLQHYKGNERKVLEAILHSMVRGFTLVNDELMMTTHSLPSGAWITAFFNSLINRALTAITIFREMK -GDGKVPKISDFYECIDFVLGDDKICSSPPKMEKYFNALTLKKTATILGMTATDCRKQEVTTAFHPLEEVS -FLKRTFRVHPVLGLVGPLSIDTLLTTVQWFDTTKDYEVVMSGKAVVCQIEAYIHSKDMLQLFQRMLADRS -WYREFSEERIKHTLTDSDALFEFIKDTLDKKY - ->YP_009336862.1 hypothetical protein 1 [Wenzhou picorna-like virus 40] -MNDNTKLNKEIENNMNVNKLIKQLGREYNRIYGKDIPGFQYYNYANTSMFVCFMSRGILMQVHKRNFIFY -SNKYLGHPVLNVKVKEYRDNKVLIVSEKKPFLAQLGYAAIKNFFNNTLMEPFVLLSKAKTIINKATSEFG -KLLLIDLLAMLTHLRDGYFSFGKIAAVLMNIYTIHKRYMILFKDEFNAPQVNDFSPQAGASVTELLLGFT -ALGLPTKVLDAIRTFTALTGKRIFESDAFIESAKFMFESLITLIEWIAKPLPNVVLLSPALTQEMVSFVK -RMGSSLFLHSDITQICNIYSKYVGNPQILFDPTFRQDVMALYNQLKQNADFIDYVTNGNNKYFQTTWKLF -EDNVIKSCNAFDTSGRNEPVCFVFEGEAGSGKSQLMNAFVSLLREAGMTTICHSVPAAEDGKDFYDDYEN -QEVFVMDDVGQQGKSQWRYLINYVSPVKYPLPCATASKKNTKFFNSKVVLCTTNHFTDLGGFTSSDCISE -PEALYRRAHVIKVKRGVSDHFSQVLEYMKFDHINSKKWENKFINHTAVDVPPDVTVNFSSEELRDAKGLR -TLTWLYKLFKHVCRAEEMNNSHMALSQGDLLSVLNCVEDESVILRDEYQDAIEGEEWRAESFDFSRFIKN -IAFATIDKVIDISMVTSEFLRFYYDKLTEQFQKYTAMLSSCIAEFFNDDVITVWIKTHSHIFLAVLGCAI -IGAMLPSYSDVVLDTSPVFGEANIKIKDNESLASRLKKEQFFGPQADNLENEYRTWIKTIRKGCKTIIVK -GEKGDKDEHSQCVVSGKRIMLPAHMDIGNKFVDIYSTWEHYVNKHVEIENVQLRKIKSFLTTDVAIYEII -GTVPLYKLNHALFAGGATNSSNWFLINSTGDFPVSFDIDVMRNTDKVAYSTVSGKWQHLPQTGFYTPYSA -AGGCGTVLAAPGAGIIGFHVAGSSDLGFCVQPSKMVMEEIRNLMLSSPCATNFDLDEKIIPGFSGVRVRY -ENAIEQSRAVGETSFVRSVLHKNGCEEMAQLIHEVQTSSENYTSIPCESIDTKSPPNFKSQGTPAKTLKH -LSQKTFVRQGRVTQDEIDFIKKYLRTLFVKFDDLEDHEVAFGGKYVPPLNKDSSNGYHCMSGKDKYFDFE -NKIIKDEMHELAAAIEKEARNEIYNYDHFMCKEVFKDELRSSAKVDTPRTFRVMPLGHIWWTKKIFGQLL -KHFKDTRHETGISVGYNPYLDADLLAKKLIQCVETGDADFKEWDGKTLGIFIRIIIEVMKEFYVGKYPHM -IDWLSNTIANSFVLVNDEIWATTHGLPSGTWLTLLLNCLINKNLTALVIYRNKEDASVEDVWKVIDYVTG -DDKIMGTNSDMAPYFNLEKVRDVAESLGMKCTNGDKTIITRPTQEFDKLTYVKRHFRQHPVLKRYVGCLS -LDTIFNTLQWVDSTKNVHEAMIGKMRSMQIESYLHSPNLYRQLTKIFENKYPFEAFFSENKVINILNTPE -GYDEVINMQGKNFSF - ->YP_009336741.1 hypothetical protein 1 [Shahe picorna-like virus 12] -MVYNSSTNQGDRKNGNKNFLFINNCFSNYFIMANPYRCVRSSKNAFSSNEWPVLKCGLLLVKFNPAAGSR -ILYRETFIQSVLIHVDRMRVQGLTDIISDMKELLVSVFGVFRTMVKVVQKCSNMKTATALMDWLLDAILL -LSDVLTRRKVYGMNIIITLLRVFKLYKDSPLHPQSWQGLLVSLVSFGVPAAVIDVVKRMSLLSNTKVLDD -FSLFHQLISVCMDTLASFIETLGFTQTPVVKQIKEYGKDLIQHHSLLADIKDMCKLVKKDRRIFSESHFR -EKIFTTHEKVVGNTILLDWGKTSPSVANEIATIKELNKLALAYENNSRQEPLCMCFEGPPGVFKSTIMSL -LLKALNKSVYSHQVKSLMDGKDFYDQYDNQDIMLMDDLGAGGDSQFRFLVNLISPIKYPLDCASEKLKDT -KFFTSEAVLFTTNKFSTIRSTTSGSGIVDLNAVKRRVVLIDFSHVKRKGDWIEGILKASEFSLEHKEYVP -LFSIDITPECDVGNKVKLLGWLSAIYEMSIDLKKSHVNNNAANEDLLQEIRKATSEWKTKAQSAYDPYTG -VGILQSYMHHAFAICSSFVEERASYFSSYAPPVRTMIGNFVSKVEEVSSDMIVWGSIIGISSILLSYGLF -TYFFSQEQDEMQLQKEQDIRCQVVQGSTMAEAVAKQTFFMEFIKKDGSKEKATGTVSGHFILAPWHAAKD -CIRISILSKVADCRLLDNAPCTKVYEAYSSDLVVLKIPETLIVPFKSLVKVFDYKCDALKGHTLITPYGC -LPVVKRTAGCSILNIYGKNFQLDDSNSFPYTYSMDGLCGSLLFDNATGVIGAHIAGNEQYGRALKWNTGV -FSEVMSLLKGDRFLLELDFKDNGKLSSGTQLDTNFHQSTPKVTKIEPTIFSHMFPKHKEPVNLSKYGQHT -VKDVAKKSFSKVKEITQVELDFGLDYLSTLMQPFSSLSEQDTVKGFDKVAKINMDKSTGIGCERDRAAYI -NFERGYYTQLLRKEIKDIEDNLLKDEHVNLNLWVAKETLKDEVRSVSKDQEPRSFRVLRFPINVLCKQLT -GEMVNNIIANKFSNGIMIGINPYSEWETLYNTVGYKAKGIIAADIKKFDGGMLPQVQHGVVDTILSFFSG -TYKETELLKGILHNFINNPVAVNDDVYLTTHSMPSGCYLTAIMNSMVQKVYTAMWYKHVIPDATVTQYKQ -DVYDAVYGDDKLCSIKNKEDILNAITMRDYFQLIGLDLSTADKKEITQPFDSWEDVNFLKRKFVFHPVLK -RIMCPLIDETFYSMLAWWDTSKDFNDVIDGKLRSFTYESYLREDNDSNREKLKMYLENVAGMTWIMPSNM -EMYRQFLKNEVDYTTYA - ->YP_009336648.1 hypothetical protein 1 [Shahe heteroptera virus 4] -MENLNNKYNNNNELEGKTEKIRAICKRMGLMYTKIYKKETIGFNYYNYKNTSKFVCFLSRNLLMQVSVKN -FSFYGSKYNNHPALKIKYVNMEESTIMIIYEKNIDKFKPQVGFIDKCVDMLTTPFVMLESAKTFIHKLTS -TTSKLILIDLISLMLNLRDGYFTATKLVSCLMQIYTLHCRYMDLFHKPKPNFVPQTGANLTELLVGLSLM -GLPVSVMNAIKNFSALTGKRIFESDLLLDMGEKLFANLILIVEWIANPVMNIRLLSIENEQWIIMILRKL -GTSVFMHSDIKSVCEIYTKYVSNPQCLFDPTFRQEIMNKYDSLKSSAVFMDYIQNGNNKYFMTTWNLFEA -NVVKSCQAFDTSGREEPICFVFEGEAGSGKSCVMNQFVNLLRESGMTTICHSVPAAEDGKDFYDDYENQE -VFVMDDVGQQGKSQWRYLINYVSPVKYPLPCATASKKNTKFFNSKIILCTTNHFMDLNGFTSSDCISEPE -ALYRRAHVIKITRGNTDHFSQVLEYNKYDHIGSKVWENKFINHAALNVPNGLSPNFSTVEDYSVDNTKRI -LKWLYTTFLHVLKSERLNNSQMSIATSDYRDIIREVTMPVQEEVFVDVRDNIPAVDAFNAQIFDFVGFLS -NTFYGSVQQHLTTVIDYYAICEEYVRYYTLVIFEQMKIAAATIAECVFSLVPLIKQGASAFYDFISDGAV -IRGILMYVLMGFAGHWFFGESEVSLPTPEFNASTVKEFDLTKKHDFFGPQSDILVDDHNTWVDTVRKGCK -TLIVKDYKDKMMDEHTQCIVSGKRILLPAHLDIGDRFVDLYHSWQHYKERHVEIENVQLKLIKKYVISDL -AVYEIIGTVPLYKLNRHVFSGSATSSKNWYLINSAGHIPVVYDLDVKRNDEAVTYATVAGKWNHDKGSGF -YTPFTSSGACGTVLAAPGAGIIGFHVAGNSSLGFCVQPPNFVMNEVRELMLDAPCATNFELDTTIVPDFS -GVRVRYEGAIEQKRPMSKTTLTPSLLHMKVCDEMRELITYVEYTPTDYTLTPLEEIDIKGPPIFDSRGTP -AKTLKALSKKTFMHQGRVTPSEIEFMKQYIRAIMVNFDDLDDREVAFGGDYVPALNKDSSNGYHCLRGKD -KYFDFENKEIKDTMKELAERVRVNALKGNYDYNDFMCNETFKDELRKSTKVGDPRTFRVMPLGHMWWTKK -IFGKLLKHFKEHRMETGISVGYNPYKDADPLAKQLLLCEATGDADFGKWDGTIVAAIIRLITDVMKEFYT -GEHDYVIDWLTNTIANSFVLVNDEIWATTHGLPSGTWLTLLLNCLLNKCLTSLVIYRYKPNPCVDDVKNV -VDFVTGDDKVFGADKELSKYFNLLNIKNVSESLGMDCTNGDKTKITKASQPFDKLTYVKRHFRKHPILNR -YVGCLSLDTIFNTLQWVRTDVDDTHEAMLGKMRSMQVESYLHSPYLFNELTNIFNINYPFDAFFSEDKVI -KILQSDEGYDYITNLQGKNFSF - ->YP_009333491.1 hypothetical protein 1 [Beihai picorna-like virus 102] -MRVKYYKYNCYKFVNIAVEEYQPQIGLLDIYRKCKQAYYTTNYMFHQTITEEMFLIMIDLLRMVMELRDQ -YLSFTKFVTTIMSIYTMVKRTKKLYTPQTSDSELLLGLLAMGFPAQLVKSISTFTVLTGKRIFDADILQD -IMYRLFQFLKEFFEYLFNDLLKDYVHYGVKDFILNLIDKCSGNFLCYKMIKDVTALYTKYMRDQQAIFDI -NFRESVVQLNDRLKSDPMFLAYLSSNKYASQMYGCFKEGLVKSIEGFTASRRDEPLCIIFEGEAGSGKSA -LMNSFVDLLRKNNRTVYCHSVPSTNDAKDFYDDYENQEVFVMDDIGQQGKSQWRTLINFVSPVKYPLPCA -SASKKNTKFFNSKVILATTNHLRDLTGFTSADCITEPEALFRRCHCITVNRVPSADTFTQTFKYYKFDHI -ETKKWENALLHHFTNAPDGLTTQYECKGSESIKALAWFWNMFKHLESRHYNNNNNLLYTDAQLQDVLDDK -VVYHGQFYNCFSVLSKPFVYLTNGKHIVEEWFGTWYNSLSILYEDAITTLHSLYSHIVLKTPLVIKGAMA -FMAKYPQTTMMLGCSMIYLLTKLIFSNGKGEAIEEEEAVTAFNKAMIKVKEDLHIPVNASMYAPQSENKL -EVIRKHSKLIVLKEDALDRSRDKFSQAVVSGSYILLPMHLSRSNIICDIYHSFEHFKNDHKEMENINISI -VKTYPMCDLAVYKINNVIPLYKKCHSLFKEVGQISPELYLVNSIGVLPIILGSSAKFNEHNVTYTSYCGE -YTFNHNERAGIQTPYSAAGACGTMLVDKSGMIKGFHVAGTTGVGFCVIPSLDVANDIRNIMLGEVQEVNY -DIDDRINSGLSGVRLRYNDGDVKINRTLGETSFKPTIFAEHAAMAKLHQDLNSYEELRYKAPPNFKAKGN -PNALLKEISKKSMKHQGFVTQQELNYIDQCLSSLMVEFDDLSDHVTSFGNEEITAINKDSSNGYGCLPKK -QDYFDFDNKIMLPTMIELRDKFKEAANNEIYDYNLFLTRETFKDEIRLPHKVDKPRTFRVMPLGHIWWTK -KICGKLIPHFKSQMHHTGISVGFNPYKDFDVIAKKLLKCEVTGDIDFAEWDGSIMATFMDLICDVFLKYY -KGDNGKVLRYLFVTMSRSFVLCGDELWATTHGLPSGTWLTLLINCLLNKCLTALVIYRNKHDASVDDFYD -VVDYVMGDDKLVGASGHMKNVFNLHTINDVATSLGMKCTNGDKSPISHISQPFDKLTFVKRSFYFHSKLG -RYVGALSRETLYNTLRYYDSDKDYDIVTQGKAVAVQIEAWLHGDTIYDMFYRTIEEVLPFAPLLTEQRIK -RIMDADEGYKIVLNQMGKDISYLM - diff --git a/seq/clusters_seq/cluster_725 b/seq/clusters_seq/cluster_725 deleted file mode 100644 index 76e826b..0000000 --- a/seq/clusters_seq/cluster_725 +++ /dev/null @@ -1,66 +0,0 @@ ->YP_009315884.1 putative movement protein, partial [Grapevine asteroid mosaic associated virus] -LVAASRFHASRRHVHEALKVRQAASRAAELRCPVQLPHHSQRHHPLPHHLFLPPHRRDRVHARRSHVLRP -LPDPRPVRLLPPPPEALRLSGRPPRVGLHRRLSLPRPLPLPLPRLGPRLRAGTQPRTQLHPAPLRPPVAQ -DHYHPWRRDHPDCLPLGFLGLRPLPSHPARHPPRPCRVRHHFLPCPEGRGPPQPFVSHPAPPRPPCAEGR -LHCHLCVRPRCSHSARHRSRRVRPHPVLQARVLLGDRVRLGQPRPLRPFHRPPPAPDHLLPLPLPPRSSL -PLGAPPHPRPHHRRRLCRLSPRLCGLQDRRQPLQPAAPQSGLPSPLDRRPSASPPLPP - ->YP_007517182.1 putative movement protein [Andean potato mild mosaic virus] -MNHVFPDCPRSSQFNYSSRCFYKSNSQCRRGTSPRLSIPISLAAPKRVSATPSLLGHPELWSRHHPSPSP -YSQNSRNIHAVQSLALSCQNPFHCHVHEAQQVFKTPAPERQLYHSHQLPLDCRGHHQVPRDFSLVPYHRV -LLHARRADVLLSSADIDSLLREPQSSDSLLLSCGSPRVSLHRPFSTSRSLLLPDFRKHSPLHSGVPPLRS -LQPASSSPVLAKGGFHFSLFTPALSHEAGILGPRPLHPDPERTASKTFPQSPPKSCPNSPPLQPQQQPSP -VHRSSLQQFGTSRQPGFLPDSRLPRVAFRHVSESTSPPSAHSNRSVQCSLHLHKSRSDSPNFRPSRVCPN -TEQQGRVLLGYPKCLGQSPDLRSAQLSPSTLSKLPILPEPVQTTPIALLSALENVLVGSLAIPLIFSPSS -PGDEFKFSNSDSKATFSFPSPSSISLHFDCTSLQHGAFPTAALSPLPGKVSPVGCFPSPKKPSSKASICS -KDRVETPISRQLHSKAQHRSPNHFDRPSEHPSSSEILPLSYPSTASRPISSESSSSEVPALLVSSGTPCV -QSGALSPVSSSSYSSCGSLSSYSSPPRFPENQPRVAPTESTPRISASELRSRLLELHLKYPFIDEDC - ->YP_002308441.1 putative movement protein [Nemesia ring necrosis virus] -MEHGLPVSSRRPVHHLPPRFISESGSQSLGHAASAVARPLPLDHHQGLSAFPQLLRNPGLGIRHHPPPPR -CSQGYRDLPALLPLELSSLHSQLRHVYETIKVSQTGGHQPKLRRTHQLSSHSRRHRSLPHHFLLSPPARN -RLHARRADVLYAASNRRSFRTLPTPQPVALQPSGAPRKQLHRSLPSPNHLHLHSEFQQPSLHSRRSSRRK -LRPASVRHSLVEASTDLQPVLQSFSDYPGILGPRPLHPHPERVPRERLSRPPSRLVQRPSSSRASRGDVP -QPTSSSPPRSIRGLRRSVHLHPSRPNTSDLRPCRLRQDTLKQAEACMGDSSGLGQPANLRALERPCPPKS -RVQLLPLSPAKGSALPVPAPQSLLGPSPSILGPRPSNLEGAHSWLTSPLGVIPSDCTPGSSGTSARAFTS -RSPTRGSTHPPRTTEPIVFGTLSEDSGLQQVLPGRLPTPLCAPLGIEPIHDPPPFLAPDHSHLPHSGGRV -THSGLRAASVHPEPARRVPHPPSPPGIQPELAPRVFPCASSSELPAARHGGQPPTSALAFRVPPVSIDVS -LRCSADHSGQPSSVSRPSSPSCSSLDSGTSTPTISAPTRTERRSEHVSLSAPSSESLLSFRFPRFSLSGD -SC - ->YP_002048672.1 movement protein MP [Diascia yellow mottle virus] -MDHGLPACPRSPVHHLSPRFFPESGSVSLRESAPALSRPLSLDNHQGRSPLPQLMRHSCLRIWNHPAPPC -CPQGHRDLPPFLPLEFSGLHSQLRHVYETFQVSKTGCHQPKLRRTHQLSSHSRRHRSVPDDLLITPPTRD -RLHARRSHVLHPSSNRRSFRTLPSAQQAPLQPRCPSREQLHGSLSLPNHLHIPTKLEQPPLHPRRASRRK -LRPTSVRHPVVEAPTHHQPLLQPVRDHSGILGPCPLDSHSTWASDQRFSRAPARILQGAPGPSAARSHVS -QPTSPPSDGPFRGVRRPVHVHSSRPHPPNLRSSRLRQDPFKQAEARLGHSPSVGQPSNLRPPKRAGTPKS -GVQLLPLPHSEGPSLLGPAPQPLPGTSASILGPRPPCLEGAHHWLTSPQGIVPSSCSSSTGRAPPRALSH -SSPHSPPNRCVLSAESLLFGTLPKNSVPQQVLPVRLSATVGLPLGAPTIHTPPPIVASCAADLHDPSDGL -SNSHPRAAAVGPKPSRRLSHTPSPLRVQPELAAGILPRAHSSELSAPGHGFQPEPAPNSLRGTSLRHQLS -PSTSPRAPHRKPRSESAPRASSPDATDKPASSSELFRSPGALVVPEPPLRDLEPPLSALSFSFPKFPASS -RASTSPV - ->NP_619755.1 overlapping protein/movement protein [Physalis mottle virus] -MSHVLPGCSRCPQLNISSRCFYQPHTQFSRRASSRLSFLLSLPHTKGGHSSHLILRHSSFRTGYRPSPSP -HPQNHRNPSSFHSLAPPCSSPIHCDVHETFQIPEASGHQSQLLSSPQLPSNLGRHLPIPRDVNALANHDK -LLHARRSHVLPPLSNSRPLHELRTTANPLLQPHCSPRIPFHQPFSLSLRLYLSNSRPHPALHPRISPCRQ -LRPASRCPLMAQDSLHPPPISHPIRNSPGILGPMPLPTHTTRPPSKTIPLDSPATPPQQSNPTLDPITPQ -PGQCQACMPAGHSKVPTTLVSILHDTRLPGTSTGHLPPPTPQTPPHPALSVQLPLHLHPSRPYTSDLRPR -RLCKNPIQQTRAQMGHSKSLGQSPDFCPSQRSNTTSGRLRVSPKPSPTSSPPSSTTLAAIPPLLFPPPIN -TNHSPHSGSPTPNPFSHSPRQRPILPSVYRGPVSLNHPSISPIQQTPPNHPPLPETTPPTPQQYQTSPPR -PFQKKSPPLLIGSLPVFTEETTVHHPPRSHFKSPRPIPPPLHFFLSQLLGSAQPNSSRIRASARLSQLLQ -NFPYHHPTSPPSSPSQDPPHPNPPTPLPLLSRNLSSSPSTTPRQLSQFSPPRPIPPPLDPHHHLRFIPNP -LPPLRPPSPTSPFSINSTPPHSPFSIASSNSYHSFC - ->NP_040967.1 movement protein [Eggplant mosaic virus] -MPHGLSVCSRSSQLNYSQRCFYKSNSELRRGTSPRLSIPISLAPSQRSRSPPSILGHPELRPRSHSPPPP -NPQNSRDFSPVQSLACSRSPAFNCDVHETVQVSKTCGSKPKIPRVDQLSTHCRRHHSLPLHLTHFSKQFN -LLHARCSDVLFSSSDRRSLHSVSRTRDPVLQSHSASRVSFHRSLSLPRDLHLQDLRSDSPLHPGESPLRL -VQSAPPSPILAEDFLHPLAFPRFVCDQAGILGPSPLHIDPARPTTKALSLCTPPRPAKSTSPCNNSQLPK -PTAASDKPAILPTAAASTQPGLLPNSRLRRTATSHLSAPTSPPPASANKRLQRSLHLHSRSPHSSHFRPS -RICANSKQQTRARLGHSKRVGQSADLVCQCPPPPPSMLPLLLLPRGKVKAPLRPTLASLSFGSHPIPYHV -TSSPPLIQFQHPFPPPSATFSVSPLGVLTTAFALNPTQSAERSCDPESPTPTLGHKTTSLSRLPLPPPHS -PHSAQDRASALATDVSNSETKNCPSPTVPPPFLPNHLHPLLPGTDPPTTPRQLSPSPSSLSLRTFLDSAV -ISCDSSPVLPPSPSPSSHSSSSFQSCTSPPRFPCYPPPPSALDLLFSSTPGTDPFPPPDTPPPHKRTIAL -ERLRLRQCATPFHSCDDVC - diff --git a/seq/clusters_seq/cluster_726 b/seq/clusters_seq/cluster_726 deleted file mode 100644 index 82d0b79..0000000 --- a/seq/clusters_seq/cluster_726 +++ /dev/null @@ -1,23 +0,0 @@ ->YP_009246410.1 P8 [Potato necrosis virus] -MDYQTEVTRDQGYNSDRARENGGNRKHNNGGLSGVKRHAVNETVSKSQQGTGNGTMTNVAEEQTITVTYN -FNF - ->YP_007517176.1 movement protein [Furcraea necrotic streak virus] -MVSHQISRKLLSSGMTTYKWISVTRSPVIRANVSKALFYMEYNIQSDGERVVRTRRPVKDRDNKLSGVKE -QAVGQTSQKSHQGQGNGVMTNIAETQTITVTYNFNF - ->YP_224018.1 p8 [Olive mild mosaic virus] -MDYQTDINEDNVSISGRARRGTGDKKHNGSGMSGVKRHAVSETAQKSQQGTGNGTMTNIAEEQTITVTYN -FNF - ->NP_044734.1 movement protein [Galinsoga mosaic virus] -MNSHDDGNNSDPSPGNYSRNRGVRNKGMSGVKEQAVGQEIQKQHQGRGNSTMVNVADTQTITVTNNFNF - ->NP_056826.1 hypothetical protein TNVAgp2 [Tobacco necrosis virus A] -MDYQTDVTEDNVQIRGRARSVEGKKHNGSGLTGVKRHAVSETSQKSQQGTGNGTMTNIAEEQTITVTYNF -NF - ->NP_043909.1 hypothetical protein OLV1gp3 [Olive latent virus 1] -MDYQTEVSEDNVSVRGRARRGTEDKKHNGSGLTGVKRHAVSETSQKSQSRYWSNGTMTNIAEEQTITVTY -NFNF - diff --git a/seq/clusters_seq/cluster_727 b/seq/clusters_seq/cluster_727 deleted file mode 100644 index 38246ad..0000000 --- a/seq/clusters_seq/cluster_727 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009186837.1 triple gene block protein 3 [Actinidia virus X] -MPTTTSGPQQPPSPFFLFLFTHKVDFLLGIALILFVYAVTVPRQATTCTVTITGEAIRVVGCPDPGSVFT -NLNIAPWNGVKFPILDFEN - ->YP_001960943.1 triple-gene-block-protein 3 [Lettuce virus X] -MSDAPSSWPWRRSSGCQPLSWAINGTLGALLLVFILVCIAPTYHHPCRVVISGHTITITDCPNAAEILRG -LDVAPWNGVKFPQL - ->YP_001715615.1 Triple gene block protein 3 [Asparagus virus 3] -MAVQNSPPPAPFFSSLCSSLLSLNGLLVLSVVLCAYAATVTPTPPCQVIVTGEAVRIIGCAEPSHILANL -NLAPWNGVKFPILQV - ->YP_667847.1 ORF 4 [Malva mosaic virus] -MVLTTSGVPPAPSGFFHFLSSHKLDLILVLSVLLFVYALIAPPTPVCQVIITGESVKVLNCPAPDKIIAS -IQLAPWNGVKFPIL - ->NP_570729.1 triple gene block protein 3 [Asparagus virus 3] -MTTPNSQQGVSSSSSLSSFWFSLNGLLVLSGVLFMFALIAPKPQPCLVIITGEAVRISGCADPSLILAHI -NLAPWNGVKFPIS - ->NP_040781.1 11K triple gene block protein [Narcissus mosaic virus] -MQTAPREYSTSGPTAVLAPTTNTQHYAPYSLYRFLSSHKLDLLLGIALLVFLYVITAAPKEVCQVVITGE -SVVIRNCQQPDRILANLNLSPWNGVKFPLL - diff --git a/seq/clusters_seq/cluster_728 b/seq/clusters_seq/cluster_728 deleted file mode 100644 index 19e0afb..0000000 --- a/seq/clusters_seq/cluster_728 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_008400141.1 VPg protein [Broad bean true mosaic virus] -SRKPNRHDQEQHRYRNVPLTRRNWATAQ - ->NP_734055.1 vpg [Cowpea mosaic virus] -SRKPNRFDMQQYRYNNVPLKRRVWADAQ - ->NP_734010.1 VPg [Squash mosaic virus] -SRKPNRFDVAQYRYRNVPLKRRQWADAQ - ->NP_734028.1 VPg [Red clover mottle virus] -SRKPNRFEVQQYRYKNVPLTRRSWGNAQ - ->NP_734060.1 vpg [Cowpea severe mosaic virus] -SRKPNRFDVAQYRYRNIPLRKRNWAEGQ - ->NP_734068.1 VPg [Bean pod mottle virus] -SRKPNRYEVSQYRYRNVPIKRRAWVEGQ - diff --git a/seq/clusters_seq/cluster_729 b/seq/clusters_seq/cluster_729 deleted file mode 100644 index 6eec38d..0000000 --- a/seq/clusters_seq/cluster_729 +++ /dev/null @@ -1,18 +0,0 @@ ->YP_003587923.1 protein C', partial [Vesicular stomatitis Indiana virus] -MRSKHNELKSPIMSCSKRMEWKSILSPLIFRQQMILTQNLNQKLKTIKVCMHRIQKLSKLKALYRGL - ->sp|P0C2X6.1|C_VSIVG RecName: Full=Protein C' -MRSKHNELKSPIMSCSKRTEWKSILGPLIFRQQMILTQNLNRKLKTIKACMYQIRKLSKLKALYRGL - ->sp|P0C2X4.1|C_VSIVS RecName: Full=Protein C' -MRLKHNEMRSPIMSCSKRMEWKSMPSPLIFKQQMILTQSLNQKLKTIKACMYQIRKLSKLKALYRGL - ->sp|P0C2X3.1|C_VSIVC RecName: Full=Protein C' -MRLKHNGRKSPIMNCSKRTDRKSILSPLIFRQQMILIQNLNQKLKTIKACLYQTWKLSRLKALYRSL - ->sp|P0C2X1.1|C_VSIVN RecName: Full=Protein C' -MRSKHNEMKSPIMSCSKRTEWKSILSPLIFRQQMILTQNLNQKLKTIKACMYQIRKLSKLKALYKGL - ->sp|Q86132.2|C_VSIVM RecName: Full=Protein C' -MRSKHNELKSPIMSCSKRTEWKSILGPLIFRQQMILTQNLNQKLKTIKACMYQIRKLSKLKALYRGL - diff --git a/seq/clusters_seq/cluster_73 b/seq/clusters_seq/cluster_73 deleted file mode 100644 index eb8fcd7..0000000 --- a/seq/clusters_seq/cluster_73 +++ /dev/null @@ -1,1787 +0,0 @@ ->YP_010087634.1 nonstructural polyprotein [Caaingua virus] -MEKVKVHVDLDPEDRYVAELQRCFPNFEIEAKQTSPNDHARARAFSHLASKLIESEVPKSETILDIGSAP -ARRMYSEHKYHCVCPMMSAEDPDRIAKYSKVLVEKSAEICNKDLASKIEDLRAVMSVPSSETDSICLRND -ATCTFKGSVAVYQDVYAVHAATTIYRNACKGVRTIYWIGFDPVCFHGNNLAGSYPTYNTNWVDEKLMNSK -NLPIGNANVRERSYSGLSIMRKKYLKPGREVVFSVGATVYTEKRDYLRSWILPAILHLKGKKSYTCRCDT -IVSCEGYIVRKITVHPGITGHAPGYSSTYHSEGFISSKVTDIVDGERVSFSACTYIPTTICDQMTGITSS -PVTEEDAAKLLVGLNQRIVVSGHTHRNVNTMRNYTIALVAKGLSKWSHENKVDNENEGHLGERTRSLAYC -CLWTTRREKISAVYRRPGTQTIVKVPSVFDAYPFPVLGSEGLSIGVREGVKRLLDTRDKKHFITLDILKE -AKEAEEDFRKKEEANKLKSILPPLEPLPETQTPAFDIELLEQPGAGYIETPRNMIKVVPSPTDTMVGEYM -VLAPHIVLSCAKFDCIHELAEQVTTITHKGRIGRYAVEPFDARVLVPSGHAIPIPEFQAMSESATFTWNE -RTMINRRLHHIAVKGAAINTDEEQYKVMKAKDCKQEYMYDVDTRACIPTSAVTGFGLVGDLVNPPYHEFA -FEGLKSRPAAPYKICTIGIYGVPGSGKSGIIKSLVSSKDLVTSGKKGNCDEVEQDVLKQRKLKITARTVD -AVLLNGVKHRPEVLYVDEAFACHAGTLLSLIATVRPKQKVVLCGDPKQCGFFNVLMLKVNYNHEISTPGM -VYHKSISRRCILPVTAIVSTKFYEKRMKTTNPSTSKIVIDTTNSTHPAKCDLILTAFRGWVNTIKTTYKD -NEVMTAAASQGLTRHSVYAIRYKVNNNPLYAQNSEHINVLLTRTDDKLTWNTLADDPWIKTLTDIPEGDF -TATLEEWQEEHDAIVAKVKEPVQDVDCFQNKANVCWAKSLVPILATAGIVLSAEDWKSLIVAFEKDTAYS -PIVALNQICSRFFGHDIDSGLFSYPQIPLTLKDQHWDNSAGGTKYGFDREVLSSLAREYPIIKNARTGKA -AELSTGRLVTASAKVNPVPANRVLPHSLVNRYTQKAATEIATWLKIFPGESLLVIGHPCTVPGKRNAWLG -ELGSGAQYTGKLALGIPSNVPKYNLVFLNISTEFRGHHYTQCEDHAMSLHVLAGGALNHLHTGGTLIARA -YGYADRTTHALVNFLASKFAYFRTCQPTCTSSNTECFFVFHGLDGRKKTGDTAAAAASLNGIFTGSRLEF -AGAAPSYDTVRENIVRSKAECIVAPVTPDGPIGAGKALADKYPQLRKTKLPPGTALAVEGTPIIAVAVPD -YRALPKDAADNLLRASYAKVAEIVSEKNIKSVSTSVLSAGLCKQGMSTKVMEKSLELLFAAMDKTEARLS -IHCLDKQWEQKIKTRIAAKEAYEPIPEEPMSQEQTVRVHPLSSLRYRQGYSTSSGSLRSFLPETLFHSTA -KDVAEIRAMWNDEEANEQICDYIKGTPMATIKARCPVLISPTAEAPLTIPCLCEYAMSPERIHRLRAGGG -AFTVCSSFCLPKHNIPEVTKVVCMSPVTIKEIETEKLTPAPTSFEPVKEALKQARKTKAPKPPQKPQPET -ETDSSSASTASEESDISSIPLEEEETETIPLAQLQAELDYLKDHLDTLPNWRKFTKAIFPNKKGAAMKLK -AMIPIPSGKRILEIGSAPGTWTAELQGNEVHTVSVGKQEAGSERHFNGKFQDFRTKETFDYILSDAAFSP -VNETRQAEEHYMLHSEIIGRMPSMLRKGGTFIIKMYLTSFIPTMSLMDHVGRMFKSAIFCKPPASPTTNS -EIYLMCHGFMLGSTELNTTTAMACFMKGQSMYLKQLIQAHKAIPNMRCQIRTSLLSPKYEYTENKDIVFD -EITQVPAALKKLDIHLLLSALARVNFNTDQYLAWKEVQPKPNNNNNNNKRRKRRAPSPPKAXLERPGGYI -FSSDTGPGHLQQQSVRQHDLPLPTWERKLPEIFHPPRFNAAKEELLRHRMQMAPTQANKSRYQSRKVENM -KATIIERLLAGLNKYKSKTNTVECYQTKFPRVIYSTSVDRCYQEPYTAIAACNLFLKENYPTVTSYNIVD -EFDSYLDMVDGSVSSLDTANFCPAKLHSYPKAHHYKQPTISSAVPSPILNTLQNVLAAATKRNCNVTQMR -DLPTYDSAAFNVECFKKYACNQSGYWEDYKKNPIRITTENVTDYITTLKGPKAAALFARTHNLIPLQEVP -MDRFTMDLKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAVLLPNVHTLFDMSAED -FDAIIADAFQNGDWVLETDIASFDKSEDEAIALTALMILEDLGVDEKLLELIEASFGNIHSTHLPTGTKF -RFGAMMKSGMFLTLFINTVINIMIASRVLREKLTNSKCAAFIGDDNIIHGVQSDKLMADRCASWLNMEVK -IIDAQMGEKDPYFCGGFIINDSVTHTACRVADPLKRLFKLGKPLPSDDDHDEDRRRALSAECVTWNRVGI -REQLKKSLFSRYGVQFTDLVLDGLSCLSKSIKNFNHLRGTLRHLYV - ->YP_009665988.1 non structural polyprotein, partial [Una virus] -IVAVLHTPRPVYLLFPPLLRGLSPIMAKVHVDIDAESPFLKSLQRAFPSLEVEPQQVTPNDHANARAFSH -LATKLIEQETDKDTLILDLGSAPARRMMSEHKYHCVCPMRSAEDPERLVYYANKLAKAAGTVLDRNISGK -IHDLQQVMATPDLESPTFCLHTDETCRMRAEVAVYQDVYTVHGPTSLYHQAMKGVRVAYWIGFDTTPFMF -NTMAGAYPSYATNWADEQVITARNIGLCAADLTEGSTGKLSIMRKKKMNPSDKILFSVGSTLYTESRSLL -KSWHLPSVFHLKGKQSFTCRCDTIVSCEGYVIKKITMNPGVFGKVTGYAVTHHAEGFLVCKTTDTLKGER -VSFPVCMYVPATICDQMTGILATDVTPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPTVAVAFSKWA -KEYKQDLENEMKLGVRERSLAYCCLWAFKTHKTHTIYKKPDTQTIVKVNADFNSFVIPSLWSSGLSMTVR -QKIKLALSKVQNEPFLPHMDSSAALDAEKEERERVEAELTLEALPPLIPTAPPEIDQVVDVEELEYRAGA -GVVETPRNALKITVQAKDKVIGQYIVLSPQTVLQSKKLLPVHPLAEQVKIITHSGRAGRYPVEGYDGRVL -LPYGAAIPVEDFQALSESATMVYNEREFVNRKLYHIAVYGPALNTDEEGYEKVWVDRTDAEFVFDVDRRM -CVKREEASGLVLIGELVNPPFHEFAYEGLKIRPAAPYHTTVIGVFGVPGSGKSAIIKQLVTTADLVTSGK -KENCNEIMADVKRTRNLDIVAKTVDSILLNGTRKVVDVLYVDEAFACHSGTLLALIAMVRPRSKVVLCGD -PKQCGFFNMMQLKVNFNHNICTEVHHKSISRRCTRPVTAIVSTLHYGGKMRTTNPNNKQIIIDTTGQTKP -TAGDIVLTCFRGWVKQLQQDYRGHEVMTAAASQGLTRRGVYAVRQKVNENPLYSPSSEHVNVLLTRTEDR -LVWKTLAGDPWIKVLSNVPSGNFTATIDEWQEEHDAIMKAITGPSRSVDPFQNKVKVCWAKCLIPVLKTA -NLTLSADDWSTVVQAFHRDGAYSPEAALNEICTRYYGLDLDSGIFSEPTVSLYYENNHWDNRPGGKMYGF -SQQAALRMEARFPYLKNNWKTGKQVVISERRVQTLDGSVNIIPLNRRLPHALVAEHRSLKGERVEWLVNK -LRGYHLLLVSEYNLILPRRRVTWIAPLHVSGADRVYDLDLGLPADAGRFDLVFVNIHTPYRLHHYQQCVD -HSMKLQMLGGDSLRLLKPGGSLLIRAYGYADKISEAVVTSLSRKFGAFKVLRPPCVSSNTEVFLLFSNFD -NGRRVTTLHPLNDRLNAIYAGDTVMTAGCAPAYRVIRADIATSKEQAVVNAANHRGIIGDGVCRAIGRKW -PQAFVNSATPVGTAKTVECDGVHIIHAVGPNFSNTSEQEGDRDLAAAYRAIAAEVNRLRITTVAIPLLST -GAFSAGKDRVRQSLNHLFTALDTTDADVTIYCRDRDWEKRIKDAIDMRTAQELVSDTTDLEVDLVRVHPD -SSLAGRRGYSTTDGSLYSYLEGTKFHQVSIDMAEIITMWPKLAEANEQICLYAMGETMDNIRARCPVDDC -ESSTPPKTVPCLCRYAMTAERVTRLRMHHSKGFTVCSSFQLPKYRIEGIQRVKCDKVLLFDPTVPSLVSP -RRYVERRTSLISAGSDELVPHSPSRESVNAETMSTDGEFVPRSLSHAPVDLGSNSHLYVSMHNVTAMSPP -IPPPRPKRARRLAALLARPIPAPRTRITVTADIHVPAEPPVPIPRTRVRRPSEDSAVSLPWDLKETPLPA -GAPVPAPRSVRRPSEGTVVTGSMVSLPWDPEDIDLEFEAPLDDIITFGDFTEEEVASLDSEGVEMPWDAQ -PEIRHMPLTFGDFSDDEWETVSSSSS - ->YP_009665986.1 non structural polyprotein, partial [Trocara virus] -IEAVLHTLAPASKTIVTIMSAEKPQVSVDIDSQSQFVNKLQLCFPQFEVTAQQVTANDHANARAFSHLAS -KLIELELQEGVTVLDIGSAPARRMYSKVKYHCICPMRTPEDPDRLYNYADKLMAKYEDIKDKNLAGKLKD -LSTILDRPDEETETICFHTAATCRMRAPVAIMQDVYIDAPSAIYYQALKGVRRIYWIGFDTTQFMFESMA -GAYPSYGTNWADEKVLQARNIGLCSAQLHEESTSGLSILRKKIVKPGNRVFFSVGGTLYPESRAVLQSWH -LPSVFHLKGKQNYTCRCDVMVNCDGYVVKKITISPGLVGDPTGYAVTNHSEGFLLCKTTDTIKGERVSFP -VSMYVPAVICDQMTGILATDIQPEDAQKLLVGLNQRIVVNGKTTRNQNTMANYLLPAVAVGFSKWAKERK -KDLDNEKPLFTRERSLTMCCMWTFRRDKIHAFYRPPGTQTIVKTPSSYSALPLAQLWTSSVPIPFRQKMS -LLLRKKVKEPLVTIPESAIVSAEFAEKEYKEEQRAEELKVALPPLAPEPKQEETPKDEAALVDDIGAALV -DTPRGGVRITPSPDSLMIGDYLVITPQAVLQNEKLSRLHPLAEQVKLITHNGRSGRYAVERYDGRVLVPT -GGCVPWAQFLALSESATLVYNEREFVNRKLYHIATHGGAKNTDEEHYRVCKPTETDDEYVYDVDARTCVK -REEAGTLALTGDLTNPPYHELAYEGLKIRPTIPYNIETIGIIGVPGSGKSAIIKAAVTSHDLVASGKKEN -CTEIEKDVLASRGITIRARTVDSILLNGSPRRTKILYVDEAFACHAGTLLALIAIVRPTDKVVLCGDPKQ -CGFFNLMQLQVNFNDPTNTVCTATHYKYTSRRCIPAVTAVVSTLHYDGKMKTTNQSKSSIIIDINGTTKP -KKGDIILTCFRGWVKQLQQEYPGFEVMTAAASQGLTRKGVYAVRQKVNENPLYSTTSEHVNVLLTRTEDR -IVWKTLQGDPWIKTLTNIPKGPFTVSIDHWHEEHRAILASISTPSVERSPFNTRVHVCWAKALEPILQTA -GIKLTEDQWADLFPEFLRDQGHSALYALDTLAIKFFGVDLTSGIFSAKTVPLTFHPRASGRSLPHWDNAE -SNQRYGFSAIRLESLQKQYPALRKVKPGMQVDLSTGMVHEVTSKCNLVPWNRSLPHTLVPTYVHSGTGNI -NEILKKLRANRVLVVADRKPDAPQKQITWIAPVGTKEAPKTADLTYGIPDQGSFACVVIDIATPYRNHHF -QQCEDHVLKMRTLSNSALTMIKPGGTLVLKCYGYADRNSEDVITALRRKFTRVTATRSSSPESNTEVILA -FHCFDNRRWRDGNLMNLNSSVTRLYEGTSTGIGCAPSYRVKRMDISQADEQAVVNAANPQGKPGDGVCKA -VYKKWPDSFKDTKTEVGTAVAREAGGKHIIHAVGPDYRRVADSEANTLLQRAYYSAAKLVVEKNIKSVAV -PLLSTGIYSGGKDRMRDSLGYLFTAFDQTDADVSIYCLDKKWEADIQRAIDLKESVTVLEDVNVELDAEL -VRVHPDSCLAEHKGYSVTAGKNSSYLEGTKFHQTSLDIAEIVAMFPNRQHANEQICAYVLGDTMECIRDK -CPVADSPASVPPCTLPCMCMYAMTAERIQRLRAAGTKQFTVCSSFPLPKYRIVGVQKIVCSSPIMFNDNV -PAYIPARKYVQEQPDGQTTLPSVQHKESASPAQSIVNSTKKLLNTVLKINKETKDDLSAPSAAPSLRSQE -SEPEDQDAAVVELVLGDSPAPRRRRYESTGSDAVLPSLELPEDSASSVSEELHIVEVHRSLGSSVTTLYS -EESVNCMSETSTAPSTPPPTPPPRPKRKAKLLDIARALIPIPVAPIPKPRTMLNVRPIPLPRTKLPRTSS -TDSMTPLTFGDWNREEIDGVINPVCFGNFLPGEVDTICNRNEF - ->NP_690588.1 nonstructural polyprotein [Chikungunya virus] -MDPVYVDIDADSAFLKALQRAYPMFEVEPRQVTPNDHANARAFSHLAIKLIEQEIDPDSTILDIGSAPAR -RMMSDRKYHCVCPMRSAEDPERLANYARKLASAAGKVLDRNISGKIGDLQAVMAVPDTETPTFCLHTDVS -CRQRADVAIYQDVYAVHAPTSLYHQAIKGVRLAYWVGFDTTPFMYNAMAGAYPSYSTNWADEQVLKAKNI -GLCSTDLTEGRRGKLSIMRGKKLEPCDRVLFSVGSTLYPESRKLLKSWHLPSVFHLKGKLSFTCRCDTVV -SCEGYVVKRITMSPGLYGKTTGYAVTHHADGFLMCKTTDTVDGERVSFSVCTYVPATICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYMIPVVAQAFSKWAKECRKDMEDEKLLGVRERTLTCCCL -WAFKKQKTHTVYKRPDTQSIQKVQAEFDSFVVPSLWSSGLSIPLRTRIKWLLSKVPKTDLTPYSGDAQEA -RDAEKEAEEEREAELTLEALPPLQAAQEDVQVEIDVEQLEDRAGAGIIETPRGAIKVTAQPTDHVVGEYL -VLSPQTVLRSQKLSLIHALAEQVKTCTHSGRAGRYAVEAYDGRVLVPSGYAISPEDFQSLSESATMVYNE -REFVNRKLHHIAMHGPALNTDEESYELVRAERTEHEYVYDVDQRRCCKKEEAAGLVLVGDLTNPPYHEFA -YEGLKIRPACPYKIAVIGVFGVPGSGKSAIIKNLVTRQDLVTSGKKENCQEITTDVMRQRGLEISARTVD -SLLLNGCNRPVDVLYVDEAFACHSGTLLALIALVRPRQKVVLCGDPKQCGFFNMMQMKVNYNHNICTQVY -HKSISRRCTLPVTAIVSSLHYEGKMRTTNEYNKPIVVDTTGSTKPDPGDLVLTCFRGWVKQLQIDYRGHE -VMTAAASQGLTRKGVYAVRQKVNENPLYASTSEHVNVLLTRTEGKLVWKTLSGDPWIKTLQNPPKGNFKA -TIKEWEVEHASIMAGICSHQMTFDTFQNKANVCWAKSLVPILETAGIKLNDRQWSQIIQAFKEDKAYSPE -VALNEICTRMYGVDLDSGLFSKPLVSVYYADNHWDNRPGGKMFGFNPEAASILERKYPFTKGKWNINKQI -CVTTRRIEDFNPTTNIIPANRRLPHSLVAEHRPVKGERMEWLVNKINGHHVLLVSGCSLALPTKRVTWVA -PLGVRGADYTYNLELGLPATLGRYDLVVINIHTPFRIHHYQQCVDHAMKLQMLGGDSLRLLKPGGSLLIR -AYGYADRTSERVICVLGRKFRSSRALKPPCVTSNTEMFFLFSNFDNGRRNFTTHVMNNQLNAAFVGQATR -AGCAPSYRVKRMDIAKNDEECVVNAANPRGLPGDGVCKAVYKKWPESFKNSATPVGTAKTVMCGTYPVIH -AVGPNFSNYSESEGDRELAAAYREVAKEVTRLGVNSVAIPLLSTGVYSGGKDRLTQSLNHLFTAMDSTDA -DVVIYCRDKEWEKKISEAIQMRTQVELLDEHISIDCDVVRVHPDSSLAGRKGYSTTEGALYSYLEGTRFH -QTAVDMAEIYTMWPKQTEANEQVCLYALGESIESIRQKCPVDDADASSPPKTVPCLCRYAMTPERVTRLR -MNHVTSIIVCSSFPLPKYKIEGVQKVKCSKVMLFDHNVPSRVSPREYRPSQESVQEASTTTSLTHSQFDL -SVDGKILPVPSDLDADAPALEPALDDGAIHTLPSATGNLAAVSDWVMSTVPVAPPRRRRGRNLTVTCDER -EGNITPMASVRFFRAELCPVVQETAETRDTAMSLQAPPSTATELSHPPISFGAPSETFPITFGDFNEGEI -ESLSSELLTFGDFLPGEVDDLTDSDWSTCSDTDDELRLDRAGGYIFSSDTGPGHLQQKSVRQSVLPVNTL -EEVHEEKCYPPKLDEAKEQLLLKKLQESASMANRSRYQSRKVENMKATIIQRLKRGCRLYLMSETPKVPT -YRTTYPAPVYSPPINVRLSNPESAVAACNEFLARNYPTVSSYQITDEYDAYLDMVDGSESCLDRATFNPS -KLRSYPKQHAYHAPSIRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTLDSAVFNVECFKKFACNQEY -WEEFAASPIRITTENLTTYVTKLKGPKAAALFAKTHNLLPLQEVPMDRFTVDMKRDVKVTPGTKHTEERP -KVQVIQAAEPLATAYLCGIHRELVRRLNAVLLPNVHTLFDMSAEDFDAIIAAHFKPGDTVLETDIASFDK -SQDDSLALTALMLLEDLGVDHSLLDLIEAAFGEISSCHLPTGTRFKFGAMMKSGMFLTLFVNTLLNITIA -SRVLEDRLTKSACAAFIGDDNIIHGVVSDELMAARCATWMNMEVKIIDAVVSQKAPYFCGGFILHDIVTG -TACRVADPLKRLFKLGKPLAAGDEQDEDRRRALADEVVRWQRTGLIDELEKAVYSRYEVQGISVVVMSMA -TFASSRSNFEKLRGPVVTLYGGPK - ->YP_009333615.1 non-structural polyprotein [Tai Forest alphavirus] -MEKTTVHVDVDPQSPFLLQLQRNFPQFEIVANLVTPNDHANARAFSHCASKLIEAEVPVTTPIIDIGSAP -ARRMYSEHQYHCVCPMKCPEDPDRLTTYAHRLLENSTKIADKRLDAKIQDLKTALENPDIETGSICFHDD -ASCRWVAEVSVMQDVYIDAPSSIYLQALKGVRKIYWIGFDTTQFMFKAMAGSYPSYNTNWADEKVLEARN -IGLCSTTLSEGSTGKLSILRKKKLLPGSQVYFSVGSTLYPENRSHLMSWHLPSVFHLKGKTPFTCRCDTV -VNCDGYVVKRISISPQLIGNPTGYAVTNNSEGFLLCKVTDTVRGERVSFPVCMSIPATICDQMTGILATD -VTPEDAQKLLVGLNQRIVVNGKTNRNVNTMQNHLLPAVAQGFSKWARERKADGEDERDLGTRDRSLAFGC -MWAFRTKKVHSFYRPPGTQTIVKVAAVFSAELTAAPLWITPLSLPLRLKLKIALSKRRDQTLATIPDIAI -TNAVQFQKEADETKRAEELHVALPPLRGADPDEPVPLETVHCEVEELTDDVGGALVETPRGHVKILPQAT -DNMVGNYLVISPQAVLRNDKLARLHPLAEQVKIITHNGRKGRYEVEPYSGKMLLPTGNAVPWPQFCALAE -SATLVYNEREMIDRKLAYIARNGPALNTDEEQYKIVAATKADSDYVFDIDRVRCVPVKEASGLVLVGELT -QPPYHELALQGLYTRPSAPYPVETIGVIGTPGSGKSAIIKNTVTTRDLVTSGKKENCKEIENDVLRLRNL -VIKSRTVDSVLLNGCQHDVDVLHVDEAFACHAGTLLALIAIVKPKLKVVLYGDPKQCGFFNMLQIQVHFN -NPEQDVCSRLYYKYISRRCILPVTALVSTIHYDGKMRTTNPSTQRIEIDTTGTSKPKPSDLILTCFRGWV -KQLQLEYPRNEVMTAAASQGLTRKRVYAVRYKVNENPLYAFTSEHVNVLLTRTEHTLVWKTLQGDPWIKH -LTNIPKGNFVATLDEWHAEHDGIMAAIRMPVAATNAFSCKTNVCWAKALVPILATAGITLTGAQWAELFP -QFDRDEPHSATFALDVLCVKFFGFDLTSGLFSKPTVPLTFRPASASNARAHWDNTPGDQRYGFDKDVART -LTRQYPVMETAGRGHAISPVLGTTHILTSRDNFVPVNRCVPHTLKSSSVHCAKDSLTPILSTIAAHSILV -VSSRPVDLPTKRITWVAELGTPQCPNTFKLPWGFPKIFLHDAVAVNIETAFRAHHYQQCEDHVVTLKTLG -KSALANLRPGGTLILRTYGYADRNSENVVTALARKFARVTAIRSPSASCDTEIYLVFRKFDNNRSRQFTL -HNLNRCISAIYESSQEGDGVGAAPSYTVVRGNITATRAHAVVIPVTPDHKDGVYRSCSKKWGPLPPMEWS -EGGTLFSPGTPATLQVCVPALHNADSTATQQAYRAIAKVVVDEQIPSLSLPVLAIKKNGASDVVTESLNH -LVTALDQTDADVTIYCLDKGRHRKIAEVIARKEAVTELVDDDLEIDEELTWVHPDSCLKNRNGFSTSKGK -LYSYLEGTKFHQMAKDFAEIRSLFPSEAEANEHICSLILGETIEGIRESCPVSDNPPSTPPKTVPCLCMY -AMTPERALRLKSNSVTQITVCSSFTLGRHHIKGVQKIACLSPMLFNPAPLVARTIRKPPALATRAPIDLQ -MPATRPTPARLVPTRRAPSPPSYKTVKRPTAPPPPPPTSRPPTPVPAPRLSLLQTPVPAPRRHVSPPPSE -EGSLQLPATSEDTVSFSFGDFSEDEVSSLTGGEYXLTGAGGYIFSSDTGSGHLQQRSVLQNRTTETVLER -VTHDRIFAPQLNEQRESALKLKYQMYPSDANKSRYQARKVENQKAICVQRLTAGSRPYSFGVPEPECYRE -TYPAPLYSVPVPVAFKDPVTAVAVCNAYLAANYPTVASYQITDEYDAYLDMVDGTVACLDTATFNPSKLR -SFPKGHHYQEPTIRSAVPSPFQNTLQNVLTAATKRNCNVTQMRELPTLDSAVFNVECFRKYACNSDYWDE -YRQKPIRVTTEYVTAYVAKLKGGKAAALFAKSHDLPALGEVPMDRFVMDMKRDVKVTPGTKHTEERPKVQ -VIQAAEPLATAYLCGIHRELVRRLTSVLLPNIHTLFDMSAEDFDATLAHHFKAGDPVLETDIASFDKSQD -DALAVTGLMILEDLGVDPPLLDLIESAFGDITSTHLPTGARFRFGAMMKSGMFLTLFINTVLNVVIASRV -LERRLTDSACAAFIGDDNIIHGVRSDKIMADRCATWLNMEVKIIDAIVGDYPPYFCGGFILVDSVTETAC -RVADPLKRLFKLGKPLAVDDDHDDDRRRALKDETAAWFRVGIREGICTAVASRYEVDNIAPVLYALRTFA -DSPTNFSALRGPFKHLYGGPK - ->YP_009508088.1 nonstructural polyprotein [Mosso das Pedras virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVDPSDTILDIGSAPAR -RMYSKHKYHCICPMKCAEDPDRLFKYASKLKRNCKEITDKNLEKKMEDLAAVMSDPNLERDSMCLHDDES -CRFEGQVAIYQDVYAVDGPTSLYHQANKGVRVAYWVGFDTTPFMFKNLAGAYPSYSTNWSDEVVLTARNI -GLCSSDVMERSRRGLSIMRKKYLKPSNSIIFSVGSTIYHEKRDLLKSWHLPSVFHLRGKNNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYASTMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVMGCC -WAFKKHKVTSVYKKPDTQTIIKVQSDFHSFVLPRIGSTSLDIGLRDRIRKLMEDKKNPTPIITAEDVHEA -KAAADEAREVREAEELRAALPPLAPDVEEPALEAEVDLMLQEAGAGSVETPRGLIKVTSYKGEEKIGSYA -VLSPQAVLKSEKLSCIHPLAEQVVVVTHSGRKGRYAVEPYHGKVVVPEGHAIPVTDFQAMSESATIVYNE -REFVNRYLHHIAVHGGALNTDEEYYKVVKPSEYDGEYLYDIDKKQCVKKEQVSGLGLTGELIEPPFHEFA -YESLKTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCAEIIRDVKRMRGLDVTARTVD -SVLLNGCKYLVDTLYVDEAFACHTGTLRALIAIVKPKKTVLCGDPKQCGFFNMMCLKVHFNHELCTQVFH -KSISRRCTPAVTSIVSTLFYDKRMRTTNTRNTKIEIDTTGSTKPKKDDLILTCFRGWVKQLQIDYKGNEV -MTAAASQGLTRKGVYAVRYKVNENPLYASNSEHVNVLLTRTEDRIVWKTLAGDPWVKTLTARYPGNFSAT -LEEWQQEHDAIMKHIMEKPDPINVFQNKANVCWAKALVPILRTAGINMTAEQWNTVPYFKEDKAHSAEIV -LNQLCTRFFGLDLDSGLFSAPTVPLAIRNNHWDNSPSPNMYGLNSEVVRQLVKRYPQLPKATAAGRMLDM -NTGNLRDYDPVVNTVPVNRRLPHALVTVHTQQPASDYSAFVSKLKGRTVLVVGDKMNIPGKTVDWLSEHP -DATFRSRLDLGIPAEVPKYDLVFVNVRTPYIHHHYQQCEDHAIKLSMLTKKACLHLQPGGTCVSIGYGYA -DRASENIIGAIARQFKFTRVCKPKVSLEETEVLFVFIGYDRRNRTHNPYKLSTTLTNIYTGSGLHEAGSA -PSYHVVRGDIATASETVIVNAANSRGQPGGGVCGALYKKFPESFDLQAIEVGKARLVKNGAKHIIHAVGP -NFNKVAEVEGDRQLAEAYESIAKLVNDNNYRSVAIPLLSTGIFAGGKDRLMQSVNHLLTAMDTTDADVAI -YCRDKKWEMTLKEVIARREAAEEICISDDASEEPDAELVRVHPKSSLAGRKGYSTVDGKTFSYLEGTKFH -QAAKDIAEINAMWPKETEANEQVCLYILGESMSSIRSKCPVEESEASTPPTTLPCLCIHAMTPERVQRLK -ASRPEQITVCSSFALPKYRIAGVQKIQCSHPILFSPKVPDYIPPRRYLPATLPTPTLEAPELPAPALEVH -GQEGQPERPPLAESEPEEQPLEHEERPLEITPNVIIVQADVHMAPSMSEWSVPSASDFDLDSISVLDGSS -ETSSTSDAGTFSIRSAPSLTGSDISTRSRHFAHTTSNVPAASQQSAELTFTNSNIAARATSPTSTAVVSA -AASRANSRASRAGSGTGSVRSVHTTSSNDTRAEFSANRTPVASSRSSLTSNPPGVNRVITREEFEAFVAQ -QQXRYDAGAYIFSSDTGQGHLQQKSVRQTVLSEVILERTELEIAYAPRLDLAKEELLRKKLQVNPTPANR -SRYQSRKVENMKALITQRMLKGLGHYLRAEGKVECYRTLYPVPLYSACVDRAFSNPKFAVEACNVMLKEN -FPTVTSYGIVPEYDAYLDMVDGASCCLDTASFCPAKLRSFPKKHSYLDPTIRSAVPSAIQNTLQNVLAAA -TKRNCNVTQMRELPVLDSAAFNVECFKKYACNQEYWDTFKDNPIRLTTENVTNYITKLKGPKAAALYAKT -HNLAMLQDIPMDRFVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNV -HTLFDMSAEDFDAIIAEHFVQGDSVLETDIASFDKSEDDAMALTALMILEDLGVDQELLTLIEAAFGEIS -SVHLPTKTKFKFGAMMKSGMFLTLFVNTIINIVIASRVLRERLTHSPCSAFIGDDNIIKGVKSDKLMADR -CATWLNMEVKIIDAVVGEKAPYFCGGFILCDTVTGTACRVADPLKRLFKLGKPLAADDEHDDDRRRALQE -ETARWNRVGIFEELCKAVESRYEVVGTSVIIMAMAALARSTSSFRCLRGNPITLYG - ->YP_009507804.1 nonstructural polyprotein [Tonate virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVEPSDTILDIGSAPAR -RMYSNHKYHCICPMKCAEDPDRLYKYASKLKKNCKDITDKELDKKMAELAAVMEDPDLEQETICLHDDES -CRYEGNVAVYQDVYAVDGPTSIYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGLSILRKKYLKPSNNVIFSVGSTIYHEKRDLLKSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SAEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQDDERPLGLRDRQLVMGCC -WAFKKHKITSVYKRPDTQTVIKVPSDFHSFVLPRLGSSSLEMGLRTRIKKLLEDKKTTPPIITPEDISSA -KDAATEAKEIKEAEELRIALPPMVPDVEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYSGEDKIGSYA -VLSPQAVLRSEKLSCIHPLAEEVIVITHAGRKGRYAVEPYHGKVVVPEGHAIPVADFQALSESATIVYNE -REFVNRYLHHIAINGGALNTDEEYYKVVKPNEHDGEYLYDIDKKQCVKKEQVSGLGLAGELVEPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCTEIIRDVKKMRDLDIVARTVD -SVLLNGCKHPVDTLYIDEAFACHAGTLRALIAIIKPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKRMRTTNPKETKIEIDTTGSTKPKKDDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAPSSEHVNVLLTRTEDRIVWKTLAGDPWIKTLTARYVGDFTAT -LEEWQQEHDAIMKHILEKPDPTDVFQNKANVCWAKALVPVLKTAGIDLTTDQWNTVVHFKEDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTVPLSIKSNHWDNSPSPNMYGLNKDVVRQLSVRYPQLARATATGRVFDM -NTGTLRSYDPRVNLVPVNRKLPHALVTHRSEQPRSDFSTFVSKLRGRTVLVVGDKMNITGKTVDWLSENP -EATFKSRLDLGIPNGLPKYDIVFVNVRTPYKYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAIARQFKFSRVCKPKASLEETEVLFVFIGYDRRVRTHNPYKLSSTLTNIYTGSHLHEAGCA -PSYHVVRGDIANAEEGVIVNAANSRGQPGGGVCGALYKRFPESFDMQPIEVGKSRLVKGAAKHIIHAVGP -NFNKVSELEGDKQLAEAYESVAKIINDNHYRTVAIPLLSTGIFAGNKDRLMQSLNHLLTALDTTDADVAI -YCRDKKWEATLKEVIARREAVEEICISEDASIAEPDAELVRVHPKSSLAGRRGFSTTDGKTFSYLEGTKF -HQAAKDVAEINAMWPNATEANEQICLYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHSMTPERVHRL -KASRPEQITVCSSFSLPKYRITGVQKIQCSHPILFLPKVPEYIHPRRYLPQTENPVDPPELPEPSAVATP -TADGQTEEAMSIMSETTVASLVPNEIEPSTAATPAADSQTEETMSIMSETTVASFVPNEIVVEAQVHSDL -MRFSSSWSIPQASDFDVDSVSVQGTVDIFNSALQEDASSVASSYCSGIKPVPAPRTVFTQSPKPRRRRRR -SKASTSSTAPLVTTSRATSRSSLVSNPPGEKVTTSRATSRSSLVSNPPGEKRVITREEFEAFVAQQQXRY -EAGAYIFSSDTGQGHLQQKSVRQTTLSEVVLERTELEHSYAPRLDLEKEEILRKKLQLNPTPANRSRYQS -RRVENMKAVTTKRLLGGLGSYLKTEGKVECYRTLYPVPEYSASVNQVFSSPRVAIEACNAVLKENFPTVT -SYCITPEYDAYMDMVDGASCCLDTASFCPAKLRSFPKRHAYLEPTIRSAVPSAIQNTLQNVLAAATKRNC -NVTQMRELPVLDSAAFNVECFKKYACNNEYWETYREHPIRLTEENVTNYITKLKGPKAAALYAKTHNLSM -LQDIPMDRFVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNVHTLFD -MSAEDFDAIIAEHFQPGDSVLETDIASFDKSEDDAMALTALMILEDLGVDPELLTLIEAAFGEISSIHLP -TKTKFKFGAMMKSGMFLTLFINTVINIVIASRVLRERLTNSPCAAFIGDDNIVKGIKSDKLMADRCATWL -NMEVKIIDAVVGTKAPYFCGGFILCDTVTGTACRVADPLKRLFKLGKPLAADDEHDDDRRRALHEESARW -NRVGIHSELCKAVESRYETTGTSVIITAMTTLAQSVSAFKHLRGNPLTLYG - ->YP_009507802.1 nonstructural polyprotein [Rio Negro virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVDPSDTILDIGSAPAR -RMYSKHKYHCICPMKCAEDPDRLFKYASKLKKNCKDITDKNLDKKMEDLAAVMTEPDIERETLCLHDDES -CRYTGQVAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWSDEVVLTARNI -GLCSSDVMERSRRGLSIMRKKFLKPSSNIIFSVGSTIYHEKRDLLKSWHLPSVFHLRGKNNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVMGCC -WAFRKHKVTSVYKKPDTQTIVKVPSDFHSFVLPRSGSTALDIKLRDRIRKLMERKKQPPPLTTPEDVQEA -TAAAVEAREVREAEELRAALPPLAPDVEEPALEADVDLMLQEAGAGTVETPRGLIKVTSYPGEEKIGSYA -ILSPQAVLKSEKLSCIHPLAEQVVVITHSGRKGRYAVEPYHGKVVVPEGHAIPVTDFQALSESATIVYNE -REFVNRYLHHIAVNGGALNTDEEYYKTVKPSEYEGEYLYDIDKKQCVKKELITGLGLSGELIEPPFHEFA -YESLKTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCAEIIRDVKRMRGLDVIARTVD -SVLLNGCKYPVDTLYVDEAFACHTGTLRALIAIVKPKKTVLCGDPKQCGFFNMMCLKVHFNHELCTQVFH -KSISRRCTPAVTSIVSTLFYDKRMRTTNTRPTKIEIDTVGGTKPRKDDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAANSEHVNVLLTRTEDRIVWKTLAGDPWIKTLTAKYPGNFSAT -LEEWQQEHDAIMRHILEKPQPTDVFQNKANVCWAKALVPILRTAGISMTQEQWNTIPYFKEDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTVPLAIKNNHWDNSPSPNMYGINKEVVRQLARRYPQLPRATATGRMLDV -NTGTLREYDPKVNPVPVNRRLPHALVTSHTNHPACDYSAFASKLKGRTVLVIGEKMNIPGKTVDWLSDHP -DSTFRSRLDLGIPADVPKYDLIFINVRTQYIHHHYQQCEDHAIKLSMLTKKACLHLQPGGTCVSIGYGYA -DRASENIIGAVARQFKFARVCKPRISMEETEILFVFIGYDRKNRTHNPYKLSTTLTNIYTGSGLHEAGTA -PSYHVVRGDIATATESVIVNAANSKGQPGGGVCGALYKKFPDSFDMQAIEVGKARLVKGGKHIIHAVGPN -FNKVAEMEGDKQLAEAYESIAKLVNDNNYQSVAIPLLSTGIFAGSKDRLMQSLNHLLTAMDTTDADIAIY -CRDKKWETTLKEVIARREAVEEICISEDSNEEPDAELVRVHPKSSLAGRKGYSVTDGKTFSYLEGTKFHQ -AAKDIAEINAMWPKVTEANEQICLYILGESMSSIRSKCPVEESEASTPPTTLPCLCIHAMTPERVQRLKA -SRPEQITVCSSFALPKYRITGVQKIQCSTPILFSPKVPDYIPPRRYIPSSGQSSEVVLPEPPAAAAAPAQ -EPEPVAPEPEAVTPTVPPNAVIVQADVHAEQASSRWSIPSASDFELDSISILDGFSMHQSSSATSTGTFS -IRSAPSLNSLASSANQSQASSRASASTSRSNRSARTSRSSRASSRTSAGTRSSRTSNASVRTSTSNQPGN -VYQQIPAAAPTRAARSTGSRTSLVSNPPGVNRVITREEFEAFVAQQQXRYEAGAYIFSSDTGQGHLQQKS -VRQTVLSEVVLERTELEISYAPRLDLNKEEILRKKLQANPTPANRSRYQSRKVENMKAVTTQRVLKGLGH -FLKAEGKVECYRTLYPIPIYSSSVDRAFSNPRVAVEACNVMLKENFPTVTSYGIIPEYDAYLDMVDGATC -CLDTANFCPAKLRSFPKRHAYLEPTVRSAVPSAIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVEC -FKKFACNQEYWKTFKENPIRLTTENVTNYITKLKGPKAAALYAKTHNLAMLQDIPMDRFVMDLKRDVKVT -PGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNVHTLFDMSAEDFDAIIAEHFSQGDIV -LETDIASFDKSEDDAMALTALMILEDLGVDQELLTLIEAAFGEITSIHLPTKTKFRFGAMMKSGMFLTLF -VNTIINIVIASRVLRERLTNSPCAAFIGDDNIIKGVKSDKLMADRCATWLNMEVKIIDAVVGEKAPYFCG -GFILCDSVTGTACRVADPLKRLFKLGKPLAADDEHDDDRRRALYEEAERWCRVGIFAELCKAVESRYEVI -GTSIIIMAMAALAKDNAAFRHLRGNPIVLYG - ->YP_009507800.1 nonstructural polyprotein [Pixuna virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVDPSETILDIGSAPAR -RMYSKHKYHCICPMKCAEDPDRLYKYASKLKKNSKAITDKELDKKMTELAAVMSDPDLEQDTICLHDDES -CRFSGHIAVYQDVYAVDGPTSLYHQAEKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADESVLTARNI -GLCSSDVMERSRRGLSILRKKYLKPTDNIIFSVGSTIYHEKRELLRSWHLPSVFHLRGKNSYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPIVAQAFARWAKEYKEDQEDERPMGLRDRQLVMGCC -WAFKKHKITSVYKRPDTQTIVKVPSDFHSFVLPRVGSCTLDIGLRDRIKKMLADRKETAPLITADDIEEA -RSAAEEAKEVQAAEALREALPPLAPDVEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYPGEEKIGSYA -VLSPQAVLRSEKLSCIHPLAEQVIVITHSGRRGRYAVEPYHGKVVVPEGHAIPVPDFQALSESATIVYNE -REFVNRYLHHIAVNGGALNTDEEYYKVVKPEDHDGEYLYDIDRKQCVKKDQVHGLGLTGELVEPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCAEIMRDVKRMRNLEVSARTVD -SVLLNGCKHSVETLYVDEAFACHAGTLRALIAIVKPRKAVLCGDPKQCGFFNMMCLKVHYNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKKMRTTNPRETPIEIDTTGSTKPKKEDLILTCFRGWVKQLQIDYKGHEV -MTAAASQGLTRKGVYAVRYKVNENPLYSPTSEHVNVLLTRTEDRVVWKTLAGDPWIKTLTAKYAGNFSAT -LEEWQAEHDAIMQHIMEKPAPADVFQNKANVCWAKALVPILRTAGVTMTAEQWNTVGYFKEDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTVPLSIRNNHWDNSPSPNRYGLNKDVVRQLSHRYPQLPRAVATGQVLDM -SSGTLRNFDPCVNLVPVNRRLPHALVTHHTEQPRSDFSGFIGKLRGRTVLVVGDQANIPGKVVDWLSDNP -EANYRARLDLGIPSEIPKFDIVFVNVRTPYRYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAVARQFRFSRVCKPKTSLEETEVLFVFIGYDRRARTHNPYKLSSTLTNIYTGAGLHEAGCA -PSYHVVRGDIALAEEGVIVNAANSRGQPGSGVCGALYRKYPENFDLQPIEVGKARLVQGPLKHVIHAVGP -NFNKVSEVEGDRQLAEAYESVAKIINDNHYRSVAVPLLSTGVFAGNKDRLMQSFNHLLTALDSTDADVAI -YCRDKKWETTLKEVIARREATEEICLSEDESLCEPDAELVRVHPKSSLAGRKGYSTTDGKTFSYLEGTKF -HQAAKDIAEINAMWPVAADANEQICMYILGESMSSIRSKCPVEESEASMPPTTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRIAGVQKIQCACPILFSPKVPEHIHPRRYIQTPTVQESGLQQCDSTVAQAV -DADGSAPSITVDAGVDRLDTDSVWSDNPILPGPTGGAEVRLEGMDWSTDSTRLSDASGPIVIGAEIHVEQ -SSLSDVSISASTEDVSGPVVIKAEIHAEQGSLSDISVESWESYDGSTSTYAAMRPVPAPRTVFRRPPTPM -PRSLPLKPAPSRCGSQLSLSSRPPGVNRSITVEEFEAFIAQQQXRFDAGAYIFSSDTGQGHLQQKSVRQT -VLSEVVLERTELEIAYAPRLDLEKEEALRKKLQLNASQANRSRYLSRKVENMKAVTTNRILKGLGHYLRS -EGRVECYRTLHPVPLYSACVDRAFASPRVAIEACNVVLKDNFPTVASYCIMPEYDAYLDMVDGASCCLDT -ASFCPAKLRSFPKKHTYLEPTIRSAVPSAIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKKY -ACNNEYWETYRENPIRLTTENVTNYITKLKGPKAAALFAKTHDLTMLQDIPMDRFVMDLKRDVKVTPGTK -HTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDAIIAEHFLNGDSVLETD -IASFDKSEDDAMALTALMILEDLGVDQELLTLIEAAFGEITSIHLPTKTKFKFGAMMKSGMFLTLFVNTV -INIVIASRVLRERLTNSPCAAFIGDDNIVKGVKSDKLMADRCATWLNMEVKIIDAVVGEKAPYFCGGFIL -CDTVTGTACRVADPLKRLFKLGKPLAVDDEHDDDRRRALHEESQRWCRVGIFEELCKAVESRYETVGTAV -IVMAMATLAGSVQSFRHLRGDPICLYG - ->YP_009507798.1 nonstructural polyprotein [Mucambo virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVEPSDTILDIGSAPAR -RMYSKHKYHCVCPMRCAEDPDRLFKYAAKLKKNCKDITDKDLDKKMAELAAVMEDPDLEQDTICLHDDET -CRYEGHVAVYQDVYAVDGPTSIYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGLSILRKKYLKPSNNIIFSVGSTIYHEKRDLLKSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SAEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKDDQDDERPLGLRDRQLVMGCC -WAFKKHKITSVYKRPDTQTVIKVPSDFHSFVLPRPGSNTLEIGLRTRIKKLLEDKKIPPPIITPEDVSTA -KEAAAEAKEIREAEELRIALPPIVPDVEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYSGEDKIGSYA -VLSPQAVLRSEKLSCIHPLAEEVIVITHAGRKGRYAVEPYHGKVVVPEGHAIPVADFQALSESATIVYNE -REFVNRYLHHIAINGGALNTDEEYYKVVKPTEYDGEYLYDIDRKQCVKKEQVSGLGLTGELVEPPFHEFA -YESLKTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCAEVIRDVKKMRGLDIVARTVD -SVLLNGCKHPVDTLYIDEAFACHAGTLRALIAIIKPKKAVLCGDPKQCGFFNMMCLRVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKKMRTTNPRETKIEIDTTGSTKPRKDDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAANSEHVNVLLTRTEDRIVWKTLAGDPWIKTLTAKYTGDFTAT -LEEWQQEHDAIMKHILERPDPADVFQNKANVCWAKALVPVLKTAGIDLTAEQWNTIVQFRDDKAHSAEIA -LNQLCVRFFGLDLDSGLFSAPTVPLSIKNNHWDNSPSPNMYGLNKEVARQLSRRYPQLPRAVATGRVLDM -NTGTLRGYDPCINLVPVNRRLPHALVTHHSEQPRSDFSAFASKLRGRTVLVVGEKLNITGKNVDWLSESP -EATFKSRLDLGIPHELPKYDIVFVNVRTPYKYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIVGAIARQFKFSRVCKPKVSTEETEILFVFIGYDRKVRTHNPYKLSSTLTNIYTGSHLHEAGCA -PSYHVVRGDIANAEEGVIVNAANSRGQPGGGVCGALYKRFPENFDLQPIEVGKSRLVKGAAKHIIHAVGP -NFNKVSELDGDKQLAEAYESVAKIINDNHYRTVAIPLLSTGIFAGNKDRLMQSLNHLLTALDTTDADVAI -YCRDKKWETTLKEVIARREAVEEICISEDASVAEPDAELVRVHPKSSLAGRKGFSTVDGKTFSYLEGTKF -HQAAKDVAEINAMWPTVTEANEQICLYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHSMTPERVHRL -KASRPEQITVCSSFPLPKYRITGVQKIQCAQPILFLPKVPEHIHPRRYLPPQENREEEENSVETLPESPE -IPTVAAASEIDTQTEETMSITSETTVASFVPNEIVVEAEIHSDLMRFSSDWSIPQASDFDVDSVSVQGTV -DIFNSALQEDARSAVSSYCSGIKPIPAPRTVFIQPPKPRRRRRKSKASTSSTAPLVTVSSATSRSSLVSN -PPGRKGVITREEFEAFVAQQQXRYEAGAYIFSSDTGQGHLQQKSVRQTALSEVVLERTELENSYAPRLDL -IKEESLRKKLQLNPTQANRSRYQSRRVENMKAVTTKRLLGGLGHYLKSEGKVECYRTLYPVPLYSASVNR -VFSNPRVAVEACNAMLKENFPTVASYCITPEYDAYMDMVDGASCCLDTASFCPAKLRSFPKRHAYLEPTI -RSAVPSAIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKKYACNNEYWETYKECPIRLTEENV -TNYITKLKGPKAAALYAKTHNLSMLQDIPMDRFVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYL -CGIHRELVRRLNAVLLPNVHTLFDMSAEDFDAIIAEHFQPGDSVLETDIASFDKSEDDAMALTALMILED -LGVDQELLTLIEAAFGEISSIHLPTKTKFKFGAMMKSGMFLTLFVNTVINIVIASRVLRERLTQSPCAAF -IGDDNIVKGVKSDKLMADRCATWLNMEVKIIDAVVGIKAPYFCGGFILCDTVTGTACRVADPLKRLFKLG -KPLAADDEHDDDRRRALQEESARWNRVGIHSELCKAVESRYETTGTSVIITAMTTLAQSVPAFKHLRGNP -VTLYG - ->YP_009507796.1 nonstructural polyprotein [Everglades virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVDPSDTILDIGSAPAR -RMYSKHKYHCICPMRCAEDPDRLYKYATKLKKNCKEITDKELDKKMKELAAVMSDPDLETETVCLHDDES -CRYEGQVAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGMSILRKKYLKPSNNVLFSVGSTIYHEKRDLLKSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPAILCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVLGCC -WAFKRHKITSIYKRPDTQTIIKVNSDFHSFVLPRIGSNTLEIGLRNRIRKMLEEHKEPSPLITAEDVHEA -KCAADEAKEAREAEELRVALPPLAADVEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYAGEDKIGSYA -VLSPQAVLKSEKLSCIHPLAEQVIVITHSGRKGRYAVEPYHGKVVVPEGHAIPVQDFQALSESATIVYNE -REFVNRYLHHIATHGGALNTDEEYYRVIKPSEHDGEYLYDIDRKQCVRKELVTGLGLAGELVDPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCTEIIRDVKKMKGLDVNARTVD -SVLLNGCKHPVETLYIDEAFACHAGTLRALIAIIRPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDRKMRTTNPKETKIEIDTTGSTKPKQGDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAPTSEHVNVLLTRTEDRIVWKTLAGDPWIKTLTAKYSGNFTAT -MEEWQTEHDAIMRHILEKPDPTDVFQNKANVCWAKALVPVLKTAGIDMTTEQWSTVDHFETDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTIPLSIRNNHWDNSPSPNMYGLNKEVVRQLSRRYPQLPRAVATGRVYDM -NTGTLRNYDPRINLVPVNRRLPHALVLHHNEHLQSDFSSFVSKLKGRTVLVVGEKLSVPGKSVDWLSDRP -EATFRARLDLGIPGEVPKYDIVFINVRTPYKYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAIARQFKFSRVCKPKSSLEETEVLFVFIGYDRRARTHNPYKLSSTLTNIYTGSGLHEAGCA -PSYHVVRGDIATATEGVIVNAANSKGQPGGGVCGALYKKFPESFDLQPIEVGKARLVKGATKHIIHAVGP -NFNKVSEVEGDKQLAEAYESIAKIVNDNNYKSIAIPLLSTGIFSGNRDRLTQSLNHLLTALDTTDADVAI -YCRDKKWEMTLKEAVARREAVEEICISDDSSVTEPDAELVRVHPKSSLAGRKGYSTSDGKTFSYLEGTKF -HQAAKDIAEINAMWPVVTEANEQVCMYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRITGVQKIQCSQPILFSPKVPAYIHPRKYLVVENQSAEREMEQPANRTVSE -TSARMPEPVNIEEEEEGSISSLSDGPTRQVLQVEADVHRPPSVFGSSWSIPHASDFDVDSLSILTLEGAS -MIEAAPTETDSYSARSMEFMVRPVPAPRTIFRKPPHPAPRTRIQSHALSRFNSRTSLVSSPPDVNRVTTR -EELEALPSRAPSRPASRTSLVSNPPGVNREITREEFEAFVAQQQXRFDAGAYIFSSDTGQGHLQQKSVRQ -TVLSEVVLERTELEISYAPRLDLEKEELLRKKLQLNPTPANRSRYQSRKVENMKAITARRILQGLGHYLK -AEGKVECYRTLHPVPLYSSSVNRAFSSPKVAVEACNAMLKENFPTVASYCIIPEYDAYLDMVDGASCCLD -TASFCPAKLRSFPKKHSYLEPTIRSAVPSAIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKK -YACNNEYWKTFKENPIRLTEENVINYITKLKGPKAAALYAKTHNLNMLQDIPMDRFVMDLKRDVKVTPGT -KHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDAIIAEHFQPGDCVLET -DIASFDKSEDDAMALTAMMILEDLGVDAELLTLIEAAFGEISSIHLPTKTKFKFGAMMKSGMFLTLFVNT -VINIVIASRVLRERLTGSPCAAFIGDDNIVKGVKSDKLMADRCATWLNMEVKIIDAVVGEKAPYFCGGFI -LCDSVTGTACRVADPLKRLFKLGKPLAADDEHDDDRRRALHEESTRWNRVGILPELCKAVESRYETVGTS -VIVMAMATLASSVKSFSYLRGASITLYG - ->YP_009507794.1 nonstructural polyprotein [Cabassou virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVEPSDTILDIGSAPAR -RMYSKNKYHCICPMKCAEDPDRLYKYAAKLKKNCKDITDKGLDQKLADLAAVMQEPSLERESICLHDDES -CRYEGQIAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGLSILRKKYLKPSNNIIFSVGSTIYHEKRDLLRSWHLPSVFHLRGTHNYTCRCETIV -SCDGYVVKRIAISPGLYGTPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAREYKEDQDDERPLGLRDRQLVMGCC -WAFKKHKITSVYKRPDTQTVVKVPSDFHSFVLPRVGSSTLEIGLRDRIKKLLEKPKEPVPLVTDEDIGEA -KNAAAEAKEIKEAEELRAALPPLTADVEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYSGEEKIGSYA -ILSPQAVLRSEKLACIHALAEQVIVITHSGRKGRYAVEPYHGKVIVPEGTAIPVPDFQALSESATIVYNE -REFVNRYLHHIAVHGGALNTDEEYYKTVKPGDYDGEYLYDIDKKQCVKKELVSGLGMTGELIEPPFHEFA -YESLKTRPAAPHQVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIRDVKRARGLDVNARTVD -SVLLNGCKYPVETLYIDEAFACHAGTLRALIAIVKPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKKMRTTNQKETQIEIDTTGSTKPKKEDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAPNSEHVNVLLTRTEDRIVWKTLAGDPWIKTLTAKYPGEFSAT -LEEWQAEHDAIMKHIMEEPNPADVFQNKANVCWAKALVPVLKTAGISLTADQWNTVENFKTDKAHSAEIV -LNQLCVRFFGLDLDSGIFSAPTVPLSIKNNHWDNSPSPNMYGINMDVVRQLSRRYPQLPRAVTTGRALDM -NSGALRAYDPRINLVPMNRRLPHALVTNHSEHPPSDFSTFVSKLKGRTVLVVGDKMTVTGKTVDWLSESP -EATFRTRLDLGIPAELPKYDIVFVNVRTPYRYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSVGYGYA -DRASESIIGAVARQFKFARVCKPKISLEETEVLFVFIGYDRRTRTHNPYKLSSTLTNIYSGSNFHEAGCA -PSYHVVRGDIATAEDGVIINAANSRGQPGGGVCGALYKKFPESFDLQPIEVGKARLVKGAKKHIIHAVGP -NFNKVSEIEGDKQLAEAYESVAKLVNDNNYRSVAIPLLSTGIFAGNKDRLMQSLNHLLTAMDTTDADVAI -YCRDKKWETTLKEVVARREAVEEICISEDASLTEPDVELVRVHPKSSLAGRKGYSITDGKTFSYLEGTKF -HQAAKDVAEINAMWPNATEANEQICLYILGESMSSIRSKCPVEESEASTPPNTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRITGVQKIQCSCPILFSPKVPEYIHPRRYLPRPLEIEVERAGTPAPASNVE -AATEENFETISVVDSVVSSESTAETNLDGPNAIVVEADVHADLMRFSSTWSIPHASDFDADSISLALSEF -SSTDARSVVSSSSSDAGIRPIPAPRTIFRQAPVPRPRKTRSSSASLSPPIHARRQVSGSRSSLASNPPGV -NRVITREEFEAFVAQQQXRYEAGAYIFSSDTGQGHLQQKSVRQTVLSEVVLERTLLDDVYAPRLDLNKEE -LLRKKLQLNPTQANRSRYQSRKVENMKAITTMRMLRGLGHYLKTDNKVECYRTLYPVPLYSSSVNRSFSC -PKVAVEACNVVLKENFPTVASYCITPEYDAYLDMVDGATCCLDTASFCPAKLRSFPKKHSYLEPTIRSAV -PSAIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKKYACNNEYWDTYRDNPIRLTEENVTNYI -TKLKGPKAAALYAKTHNLCMLQDIPMDRFVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIH -RELVRRLNAVLLPNVHTLFDMSAEDFDAIIAEHFQHGDQVLETDIASFDKSEDDAMALTALMILEDLGVD -QELLTLIEAAFGEISSIHLPTKTKFKFGAMMKSGMFLTLFVNTIINIVIASRVLRERLTNSPCAAFIGDD -NIVKGVKSDKLMADRCATWLNMEVKIIDAVVGEKAPYFCGGFILCDTVTGTACRVADPLKRLFKLGKPLA -ADDEHDDDRRRALFEEAERWNRAGISVELCKAVESRYEIVGTSIIIAAMATLARNVATFKHLRGNPIHLY -G - ->YP_009058892.1 non-structural polyprotein [Middelburg virus] -MARPVVKIDVEAESPFVKSLQKAFPQFEIEAEQVTSNDHANARAFSHLASKLIEGEVEVGTTILDIGSAP -ARRMMSKHAYHCVCPLRSAEDPERLAGYAKKLMSAAGNVTDLNISGKITDLKNVIAIPDLETPTFCLHTD -QTCRYNADVAIYQDVYAVHAPTSLYHQALKGVRVVYWIGFDTTPFMFDVMAGAYPSYSTNWADELVLQAR -NIGLCSSRLSEGRSRGLSIMRKKAFRPSDRVMFSVGSTLYTESRSLLKSWHLPSTFHLKGKDSYTCRCDT -IVSCEGYVVKKITMSPGLFGKPVPYAVTHHADGFLVCKTTDTVKGERVSFPVCTYVPATICDQMTGILAT -DVTPDDAQKLLVGLNQRIVVNGRTQRNVNTMKNYLLPVVAQAFSKWAKEYKADLEDEKPLGVRERNLTMC -CLWAFRTRKTHTLYKKPDTQTIVKVPSEYTSLLIPSLWTSGISMTLRRRLKVLLTARLNQKLTCPLDAVT -AKIAEDNDREAREAELTREALPPLTATEPAGDDIQVDIEELDARAGAGVVNTPRNAVRVTAQSGDTLVGS -YLVLSPQTVLRSDKLRLIHELAEQVKIITHSGRAGRYPVEGYDGRVLVPTGSALSFADFQALSESATMVY -NEREFMNRKLYHIATHGPALNTDEENYEKVRAERSDAEYVFDVDARKCVKREQASGIVLVGDAINPPYHE -FAFEGLKTRPSVPYKVPTIGVFGVPGSGKSAIIKSVVTRRDLVTSGKKENCNEIMTDVKKQRGLDIVAKT -VDSILLNGCKHSPEVLFVDEAFACHAGTLLALIAIVRPTKKVVLCGDPKQCGFFNMMQLKVNYNHDICTE -VHHKSISRRCTIPVTSIVSTLHYGGRMRTTNPRNDPIEIDITSSTKPKPGDIVLTCFRGWVKQLQLDYRG -HEVMTAAASQGLTRKGVYAVRMKVNENPLYAPASEHVNVLLTRTEGRLVWKTLAGDPWIKVLTNVPKGNF -TATIDEWQEEHDNIMNAIRGEVAPTDPFQNKANVCWAKALEPVLATAGIRLSAAEWSDLIVAFKEDKAYS -PEVALNEICTRMYGFDLDSGLFSAPLVSMRYENHHWDNSPGGKMYGFNTQAASRLERRYPFLQGKWRLNQ -QVLLSERRTQPVSSSANIVPINRRLPHTLVLEHVKLPGDRVERIVMQIRAHHVLLVGEYNLHLPLRRVTW -IAPPYIRGADRVYDLSLGLPTDLGRYDLAFINVHTEFRNHHYQQCEDHAMKLQMLGGDALRHLKPGGCLL -MRAYGYADRTSEMVVNALARKFASIRVLRPACVASNTEVLLLLSGFDNGKRQLTMHTSNLRLSSVYSGNA -LHTAGCAPSYRVVRGNITDSDADVLVNQLGVNNKVCDGVCRAMVKKWPSCLPTTNGKVGDAVLTTEPRKI -VHAYCPNFGTSREEVADADLAAVYRAVASLADETVRTMAIPLLSTGTFAGGKDRVLQSLNHLFTALDTTD -VDVTIYCRDKSWEKKIQEAIDMRTATELLDDDTTVMKELTRVHPDSCLVGRSGFSTVDGRLHSYLEGTRF -HQTAVDVAEIATLWPRREEANEQITHYVLGESMEAIRTKCPVDDTDSSAPPCTVPCLCRYAMTPERIHRL -RAAQVKQFTVCSSFPLPKYKIPGVQRVACSAVMLFNHDVPALVSPRKYREPSISSESSSSGLSVFDLDIG -SDSEYEPMEPVQPEPLIDLAVVEETAPVRLERVAPVAAPRRARATPFTLEQRVVAPVPAPRTMPVRPPRR -KKAATRTPERISFGDLDAECMAIINDDLTFGDFGAGEFERLTSAXLDRAGAYIFSSDTGPGHLQQRSVRQ -TRLADCVAEDVHEERVFAPKCDKEKERLLLLQMQMAPTEANKSRYQSRKVENMKAEVIDRLLGGAKLFVT -PTTDCRYVTHKHPKPMYSTSVASYLSSAKTAVAACNEFLSRNYPTVASYQITDEYDAYLDMVDGSESCLD -RAAFCPSKLRSFPKKHSYHRAEIRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTLDSAVFNVECFKK -YACNNDYWDEFAQKPIRLTTENITSYVTRLKGPKAAALFAKTYDLKPLQEVPMDRFVVDMKRDVKVTPGT -KHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAVLLPNVHTLFDMSAEDFDAIISEHFRPGDAVLET -DIASFDKSQDDSLAYTGLMLLEDLGVDQPLLELIEASFGEITSTHLPTGTRFKFGAMMKSGMFLTLFVNT -MLNMTIASRVLEERLTNSKCAAFIGDDNIVHGVKSDKLLAERCAAWMNMEVKIIDAVMCERPPYFCGGFI -VFDQVTGTCCRVADPLKRLFKLGKPLPAEDKQDEDRRRALADEAQRWNRVGIQADLEAAMSSRYEVEGIR -NVITALTTLSRNYHNFRHLRGPVIDLYGGPK - ->YP_009020570.1 non-structural polyprotein precursor P1234 [Madariaga virus] -MEKVHVDLDADSPYVKSLQKCFPHFEIEATQVTDNDHANARAFSHLATKLIESEVDQDQVILDIGSAPVR -HTHSKHKYHCICPMISAEDPDRLHRYADKLRKSDVTDRFIASKAADLLTVMSTPDVETPSLCMHTDSTCR -YHGTVAVYQDVYAVHAPTSIYHQALKGVRTIYWIGFDTTPFMYKNMAGAYPTYNTNWADESVLEARNIGL -CGSDLHEKRLGKISIMRKKKLQPTNKVVFSVGSTIYTEERILLRSWHLPNVFHLKGKTSFTGRCNTIVSC -EGYVVKKITISPGIYGKVDNLASTLHREGFLSCKVTDTLRGERVSFPVCTYVPATLCDQMTGILATDVSV -DDAQKLLVGLNQRIVVNGRTQRNTNTMQNYLLPVVAQAFSRWAREYRADLEDEKDLGVRERSLVMGCCWA -FKTHKITSIYKKPGTQTIKKVPAVFNSFVIPQFNSYGLDIGLRRRIKMLLEEKRKPAPIITEADVAHLKG -MQEEAEAVAEAEAVRAALPPLLPEVERETIEADIDLIMQEAGAGSVETPRRHIKVTTYPGEETIGSYAVL -SPQAVLNSEKLACIHPLAEQVLVMTHKGRAGRYKVEPYHGRVVVPSGTAIPIPDFQALSESATIVYNERE -FVNRYLHHIAINGGAINTDEEYYKVLRSSEADSEYVFDIDARKCVKKADAGPMCLVGELVDPPFHEFAYE -SLKTRPAAPHKVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCTEIIKDVKRMRGMDIAARTVDSV -LLNGVKHPVDTLYIDEAFACHAGTLLALIAIVKPKKVVLCGDPKQCGFFNMMCLKVHFNHEICTEVYHKS -ISRRCTKTVTAIVSTLFYDKRMRTVNPCSDKIIIDTTSTTKPQRDDIILTCFRGWVKQLQIDYKNHEIMT -AAASQGLTRKGVYAVRYKVNENPLYAQTSEHVNVLLTRTEKRIVWKTLAGDPWIKTLTAHYPGEFSATLE -EWQAEHDAIMERILETPASSDVYQNKVHVCWAKALEPVLATANITLTRSQWETIPAFKDDKAFSPEMALN -FLCTRFFGVDIDSGLFSAPTVPLTYTNEHWDNSPGPNRYGLCMRTAKELARRYPCILKAVDTGRLADVRT -NTIKDYSPLINVVPLNRRLPHSLVVSHRYTGDGNYSQLLSKLIGKTVLVIGTPISVPGKRVETLGPGPQC -TYKADLDLGIPSTIGKYDIIFVNVRTPYKHHHYQQCEDHAIHHSMLTRKAVDHLNKGGTCVALGYGTADR -ATENIISAVARSFRFSRVCQPKCAWENTEVAFVFFGKDNGNHLRDQDQLSIVLNNIYQGSTQYEAGRAPA -YRVIRGDISKSTDEAIVNAANNKGQPGAGVCGALYKKWPGAFDKVPIATGTAHLVKHTPNIIHAVGPNFS -RVSEVEGNQKLSEVYMDIAKIINRERYNKVSIPLLSTGIYAGGKDRVMQSLNHLFTAMDTTDADVTIYCL -DKQWEARIKDAIVRKESVEELVEDDKPVDIELVRVHPLSSLVGRPGYSTDEGKVHSYLEGTRFHQTAKDI -AEIYAMWPNKQEANEQICLYVLGESMTSIRSKCPVEDSEASSPPHTIPCLCNYAMTAERVYRLRMAKNEQ -FAVCSSFQLPKYRITGVQKIQCNKPVIFSGVVPPAIHPRKFSAIEETVPVTIERLVPRRPAPPVPVPARI -PSPRCSPAVSMQSLGGSSTSDVVISEAEVHDSDSECSVPPMPFVVEAEVHASQGSHWSIPSASGFEIREL -PEDRSIPGSPTRTSVISDHSVNLITFDSVTDIFENFKQAPFQFLSEIRPIPAPRRRVGGLETDTKRYDKT -EEKPIPKPRTRTMKYKQPPGVARSISEAELDEFIRRHSNRRYEAGAYIFSSETGQGHLQQKSTRQCKLQN -PILERSVHEKFYAPRLDLEREKLLQKKLQLCASEGNRSRYQSRKVENMKAITAERLLQGIGAYLSAESQP -VECYKVNYPVPIYSTTRSNRFSSADVAVRVCNLVLQENFPTVASYTITDEYNAYLDMVDGASCCLDTATF -CPAKLRSFPKKHSYLRPEIRSAVPSPIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKKYACN -DEYWDTFKNNPIRLTTENVTQYVTKLKGPKAAALFAKTHNLQPLHEIPMDRFVMDLKRDVKVTPGTKHTE -ERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDAIIAEHFQFGDAVLETDIAS -FDKSEDDAIAMSALMILEDLGVDQALLDLIEAAFGNITSVHLPTGTRFKFGAMMKSGMFLTLFINTVVNI -MIASRVLRERLTNSPCAAFIGDDNIVKGVKSDALMAERCATWLNMEVKIIDAIVGVKAPYFCGGFIVVDQ -VTGTACRVADPLKRLFKLGKPLPLDDDQDGDRRRALYDEALRWNRIGITDELIKAVESRYEVFYTSLVIT -ALTTLAATVSNFKHIRGNPITLYG - ->YP_008901141.1 non-structural polyprotein precursor nsP1234 [Eilat virus] -MEKPTVNVDVDPQSPFVLQLQKHFPQFEIVANMVTPNDHANARAFSHCASKLIEAEVPVTTPIIDIGSAP -ARRMYSEHRYHCVCPMKCPEDPDRLTTYANRLVENATKIANKRLDAKLQDLKQVLETPDIETDSICFHDD -ATCRWVAEVSVMQDVYIDAPSSIYHQALKGIRKIYWIGFDTTPFMFKALAGSYPSYNTNWADEKVLEARN -IGLCSTTLSEGSTGKLSIMRKKRLLPGAQVYFSVGSTLYPENRSNLMSWHLPSVFHLKGRNAFTCRCDTV -VNCDGYVVKKITISPNLIGTPAGYAVTNNSEGFLLCKVTDTVRGERVSFPVCMSIPATICDQMTGILATD -INPEDAQKLLVGLNQRIVVNGKTNRNVNTMQNHLLPAVAQGFSKWAKERKADGDDEKHLGTRERSLTFGC -LWAFRTKKVHSFYRPPGTQTIVKVESVFTASPLAIPIRQTSLPISLRLKLKMAIAKKQNNPIATITQTQI -TNAIEFQKEATETARAVELNNALPPLRATEQDPTPSVEHVVCEVEELSDDIGGALVETPRGHVRILPQPT -DVKVGNYLVISPQAVLRNDKLSRLHPLAEQIKVITHTGRKGRYEVAPYSGKMLLPCGTSVPWPQFCALAE -SATLVFNEREMIDRKLAYIAQHGPALNTDEEQYKVIKASAADSEYVFDIDRMRCVPTKEANGLVLVGELT -QPPYHELAMQGLYTRPAAPYPIETIGVIGTPGSGKSAIIKNTVTTKDLVTSGKKENCKEIETDVLRLRNL -VIKSRTVDSVLLNGCTQEVDVLHVDEAFACHAGTLLALIAIVKPRCKVVLYGDPKQCGFFNLMQIKVHFN -NPEVDVCSQLHYKYISRRCILPVTAIVSSIHYDGKMRTTNTADQRIEIDTTGTSKPKPTDLILTCFRGWV -KQLQLEYPRNEVMTAAASQGLTRKRVYAVRYKVNENPLYAFTSEHVNVLLTRTEHTLVWKTLQGDPWIKH -LSNVPKGNFSATVDEWHAEHERIMNAIRMPTPEVNAFSCKTNVCWAKALVPVLATAGLKLSGAQWTELFP -QFERDEPHSATFALDVLCIKYFGMDLTSGIFAKPTVPLTFHPVSRYHPQAHWDNANGEQRYGFDPDIAKA -LARRFPVFSQAAKGHAISPILGTTHTLSSRDNYVPVNRIVPHTLKGEYTYVKQDSLASVLSAVQAFSVLV -VSSEPIASATKQITWVAPLGTAGCIHTHRLPWGFPKMSLHDAVAVNMETEYRGHHYQQCEDHVAILKTLG -KSALANLRPGGTLILRTYGYADRNSENVITALARKFARVTAVRSSNPSSNTEIYLIFRKFDNNRSRQFTL -HHLNRAISALYESPCDPDGVGAAPSYSVIRGDITATNSHAIVVPVTPERKDGVYRACSKKWGPLPRLEWT -EGATLFSPGSPATLQVCVPSLQNTDTTSTQQAYRAIAKVVVDEQIPSLSLPVLTMKKTGTADTVSESLNH -LVTALDQTDANVTIYCLDKSRLIKIKEVIARKEAVTELIDDDLEIDEELTWVHPDSCLRNRTGFSTDKGK -LYSYLEGTKFHQMAKDFAEIRSLFPDEMEANEHICSLILGETIDGIRERCPVTDNPPSSPPKTVPCLCMY -AMTPERALRLKSNSVTQITVCSSFVLKKHHIKGVQKIQCTAPMLFNPTPLTSRTVRTPPQVSARAALDLP -PVAPMPSVPAPVSLTPTRRAPPPPLTKRPVVVRPSTPPPPPPVRQTPTPVLAPRTGSTAAPTPTPRLSLS -TDQPSVDISFGDFSPAETMSLMLSSPGSDTASITFGDFDEDEVESIVGREYWLTGAGGYIFSSDTGSGHL -QQRSVLQNRTTETIIERVTHDRIHAPQLNEAREEVLKLKYQMYPSDANKSRYRARKVENQKAICISRLTA -GSRSYSFGTTEAECYRETYPAVMYSSSLPSSYSAPTTAVAVCNAYLAANYPTVASYQITDEYDAYLDMVD -GTMACLDTASFNPSKLRSFPKVHKYLEPTIRSAVPSPFQNTLQNVLTAATKRNCNVTQMRELPTLDSAAF -NVECFRKYACNNDYWQEYADKPIRITTEYVTAYVAKLKGPKAAALFSKTHDLPALGEVPMDRFVMDMKRD -VKVTPGSKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLTAALLPNIHTLFDMSAEDFDATLAHHFKK -GDPVLETDIASFDKSQDDALALTGLMILEDLGVDQPLMDLIEAAFGDITSTHLPTGARFRFGAMMKSGMF -LTLFINTVLNVVIASRVLEDKLTHSACAAFIGDDNIIHGVISDRIMADRCATWMNMEVKIIDAVMGDYPP -YFCGGFLIIDSVTNTACRVADPLKRLFKLGKPLTADDDHDDDRRRALEDETKAWFRVGIREGITAAVSSR -YEVDNILPVLLALRTFALSTRNFSALRGTLKTLYN - ->NP_632023.2 non-structural polyprotein precursor nsP1234 [Aura virus] -MEKPTVHVDVDPQSPFVLQLQKSFPQFEIVAQQVTPNDHANARAFSHLASKLIEHEIPTSVTILDIGSAP -ARRMYSEHKYHCVCPMRSPEDPDRLMNYASRLADKAGEITNKRLHDKLADLKSVLESPDAETGTICFHND -VICRTTAEVSVMQNVYINAPSTIYHQALKGVRKLYWIGFDTTQFMFSSMAGSYPSYNTNWADERVLEARN -IGLCSTKLREGTMGKLSTFRKKALKPGTNVYFSVGSTLYPENRADLQSWHLPSVFHLKGKQSFTCRCDTA -VNCEGYVVKKITISPGITGRVNRYTVTNNSEGFLLCKITDTVKGERVSFPVCTYIPPSICDQMTGILATD -IQPEDAQKLLVGLNQRIVVNGKTNRNTNTMQNYLLPAVATGLSKWAKERKADCSDEKPLNVRERKLAFGC -LWAFKTKKIHSFYRPPGTQTIVKVAAEFSAFPMSSVWTTSLPMSLRQKVKLLLVKKTNKPVVTITDTAVK -NAQEAYNEAVETAEAEEKAKALPPLKPTAPPVAEDVKCEVTDLVDDAGAALVETPRGKIKIIPQEGDVRI -GSYTVISPAAVLRNQQLEPIHELAEQVKIITHGGRTGRYSVEPYDAKVLLPTGCPMSWQHFAALSESATL -VYNEREFLNRKLHHIATKGAAKNTEEEQYKVCKAKDTDHEYVYDVDARKCVKREHAQGLVLVGELTNPPY -HELAYEGLRTRPAAPYHIETLGVIGTPGSGKSAIIKSTVTLKDLVTSGKKENCKEIENDVQKMRGMTIAT -RTVDSVLLNGWKKAVDVLYVDEAFACHAGTLMALIAIVKPRRKVVLCGDPKQWPFFNLMQLKVNFNNPER -DLCTSTHYKYISRRCTQPVTAIVSTLHYDGKMRTTNPCKRAIEIDVNGSTKPKKGDIVLTCFRGWVKQGQ -IDYPGPGGHDRAASQGLTRRGVYAVRQKVNENPLYAEKSEHVNVLLTRTEDRIVWKTLQGDPWIKYLTNV -PKGNFTATLEEWQAEHEDIMKAINSTSTVSDPFASKVNTCWAKAIIPILRTAGIELTFEQWEDLFPQFRN -DQPYSVMYALDVICTKMFGMDLSSGIFSRPEIPLTFHPADVGRVRAHWDNSPGGQKFGYNKAVIPTCKKY -PVYLRAGKGDQILPIYGRVSVPSARNNLVPLNRNLPHSLTASLQKKEAAPLHKFLNQLPGHSMLLVSKET -CYCVSKRITWVAPLGVRGADHNHDLHFGFPPLSRYDLVVVNMGQPYRFHHYQQCEEHAGLMRTLARSALN -CLKPGGTLALKAYGFADSNSEDVVLSLARKFVRASAVRPSCTQFNTEMFFVFRQLDNDRERQFTQHHLNL -AVSNIFDNYKDGSGAAPSYRVKRMNIADCTEEAVVNAANARGKPGDGVCRAIFKKWPKSFENATTEVETA -VMKPCHNKVVIHAVGPDFRKYTLEEATKLLQNAYHDVAKIVNEKGISSVAIPLLSTGIYAAGADRLDLSL -RCLFTALDRTDADVTIYCLDKKWEQRIADAIRMREQVTELKDPDIEIDEGLTRVHPDSCLKDHIGYSTQY -GKLYSYFEGTKFHQTAKDIAEIRALFPDVQAANEQICLYTLGEPMESIREKCPVEDSPASAPPKTIPCLC -MYAMTAERICRVRSNSVTNITVCSSFPLPKYRIKNVQKIQCTKVVLFNPDVPPYIPARVYINKDEPPVTP -HTDSPPDTCSSRLSLTPTLSNAESDIVSLTFSEIDSELSSLNEPARHVMISSFKLRYTAIQALPQKLSWM -REDRTPRQPPPVPPPRPKRAAKLSRLANQLNELRRHATISSVQAEVHYNSGFTPEAELNERGSILRKPPP -VPPLRPKQTTNLSRLANQLSMPITFGDFAEGELDRLLTPSPTPTFGDFSQEEMDRFFGNRQYWLTGVGGY -IFSSDTGPGHLQQKSVIQNSTTEILIERSRLEKIHAPVLDLQKEEMLKCRYQMSPTVANKSRYQSRKVEN -MKAVTTGRLLDGLKMYVTPDVEAECYKYTYPKPMYSASVPDRFVSPEVAVAVCNNFFHENYPTVASYQIT -DEYDAYLDMVEGSVSCLDTATFCPAKLRSFPKTHSYLEPTLRSAVPSAFQNTLQNVLSAATKRNCNVTQM -RELPVLDSAVFNVECFKKYACNTDYWEEFKEKPIRITTECVTSYVARLKGPEAAALFAKTHQLVPLQEVP -MDRFVMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLTAVLLPNIHTLFDMSAED -FDAIIAANFSYVHPVLETDIGSFDKSQDDSLALTALMILEDLGVDDRLMDLIECAFGEITSVHLPTATTF -KFGAMMKSGMFLTLFVNTVLNVVIASRVLEQRLRDSKCAAFIGDDNIIHGVVSDKIMADRCATWMNMEVK -IIDAVIGIKAPYFCGGFILEDQVTHTACRVSDPLKRLFKLGKPLPVDDEQDHDRRRALEDETRAWFRVGI -QGELLKAVESRYEVQEVQPVLLALATFSRSDKAFKALRGSPRHLYGGPK - ->YP_008901140.1 non-structural polyprotein precursor nsP1234 [Bebaru virus] -MNKVHVDIDEESPFLKSLQRAFPSLEIEAKQVTANDHACARAFSHLATKLIEQEVDKDTLILDIGSAPAR -RMMSDHKYHCVCPVRSAEDPERLVAYAKKLADAAAVVLDRKISQKIHDLNTVMATPDSESDTFCLHTDAT -CRMGAEVAVYQDVYAVHAPTSLYLQAVKGVRTAYWIGFDTTPFMFSAMAGAYPSYATNWSDEQVLQARNI -GLCATDLTEGRTGKLSILRKKMLRPSDTLMFSVGSTLYTESRKLLKSWHLPSTFHLKGKQSFTCRCDTIV -SCEGYVMKKITMCPGLYGKPVGYAVTHHAEGFLVCKVTDTVKGERMSFPVCTYVPSSICDQMTGIMATEV -TPDDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAMAFSKWAKEYKADLDDEKPLGVRERSLTYCCL -WAFKTRKTHTIYKKPDTQSIVKVPCEFNSFIVPSLWSSNLSLPIRRRVKLFLAKSATRSIVERKLDSADA -LAAESEELERIEAEKTREALPPLIASTTEDCREVDVEELEYRAGAGVVETPRCALKITAQPGDVTIGSYI -VLSPQTVLKSCKLQPVHALAEQVKIITHSGRSGRYQVEGYDGRVLLPCGVAVPTQEFQALSESATMVYNE -REYVNRKLYHIALHGPALNTDEENYEKIRAERAETEYVFDVDKKQCVKREEATGIVLTGELTNPPFHEFA -YEGLKRRPAAPYKITTVGVFGVPGSGKSAIIKNLVTADDLVTSGKKENCTEITTDVKRTRGLDITAKTVD -SILLNGVRKKVRVLFVDEAFACHAGTLLALIALVRPTEKVVLCGDPKQCGFFNLMQLKVNYNHNICTTIC -HKSISRRCTPAVTAIVSTLHYGGKMRTTNTRNSPIIIDTTGQTKPKRGDLVLTCFRGWVKQLQLDYRGHE -VMTAAASQGLTRKGVYAVRQKVNENPLYAPSSEHVNVLLTRTEDRLVWKTLAGDPWIKVLTNIPKGNFSA -SLEEWQAEHDDIMRQLERPGDDVDEFQNKANVCWAKCLVPVLQTAGIRLTAEEWSATIKAFNDDAAYSPE -VALNEICTRMYGVDLDSGLFSSPTVSLYYSDNHWDNRPGGKMYGFNREAAARIEQRHPFLAGKWQNGKQL -VVAERKEQPLDAACNIIPINRRLPHALVAEYKPLHGERVEWMLQKIKGYHVLLVSEYNLVLPSKRVTWIA -PLHVRGADRVYDLNLGLPTDAGRFDTVFVNIHTEYRLHHYQQCVDHAMRLQMLGGDALRLLKPGGTLLIR -AYGYADKVSESVVLCLSRKFQSFRVLRPMCVTSNTEVFLLFQNFDNGKRVVTLHQNNRKLTGIYSGEALH -TAGCAPSYRVKRADIATSEEEAVVNAANAKGRPGDGVCRAIHRKWPEAFVGAATATGTAKTIKVGQTYII -HAVGPNFSSTQEQEGDKLLAGAYRAVAEEVIKYGCRSVAIPLLSTGIYGGGKDRMYQSLNHLFTALDATD -ADVVIYCRDKTWETKIQEAIDRRLAVELVSDEMELQTDLVRVHPDSSLVGRRGYSTTDGKLYSYLEGTKF -HQCAVDMAEILVLWPNTREANEQIALYALGESMDTIRSRCPVDDNDSSSPPRTVPCLCRYAMTAERVTRL -RMHHTKSFTVCSSFPLPKYNVEGVQRVKCEKVLLFDPTVPSLVSPRKYVCNTTIQADDLSSITECSLSSR -RPSVSISVSSISTTDFMPRNTSVDNILRVIAEIHPVPTEVQTLPVPEQGDVPCGTLPVEHQAPVPPPRPK -RARALAAARIPPVPAPRHSKARPVPAPRTIFRTSRPVVRAAVELPWKIQVVPGLTFGDLPEPSSTPAVEL -PWEPEESSGLSFGDFGTFWLGRAGAYIFSSDTGPGHLQQKSVRQHNLPTHTLDEVPMEKTHPPTLDHAKE -KLLLARMQMAPTDANRSRYQSRKVENMKATVVQRLQSGAKLYTSCDAVRVPTYAVKYPKPLYSAPVVRAL -RRPETAVAACNEFLTRNYPTVASYQVTDEYDAYLDMVDGSESCLDRANFCPAKLRSYPKHHAYHQPAIRS -AVPSPFQNTLQNVLSAATKRNCNVTQMRELPTLDSAVFNVECFKAFACNNEYWKEFSEHPIRVTTENIMT -YVTRLKGPKAAALFAKTHSLVPLQEVPMDKFIMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCG -IHRELVRRLNAVLLPNIHTLFDMSAEDFDAIIAEHFHPGDRVLETDIASFDKSQDDSLALTGLMILEDLG -VDQPLLELIEAAFGEITSTHLPTGTRFKFGAMMKSGMFLTLFVNTMLNIVIASRVLERRLTESACAAFIG -DDNIIHGVTSDPLMAERCASWMNMEVKIIDAEMCVRPPYFCGGFILYDSVTQSACRVADPLKRLFKLGKP -LPADDVQDEDRRRALADEVKRWSRVGLVAELETAVCSRYEVQGCDNIVSAMATFSQNIRNFKTLRGPVIH -LYGGPK - ->NP_597797.2 non-structural polyprotein precursor nsP1234 [Barmah Forest virus] -MAKPVVKIDVEPESHFAKQVQSCFPQFEIEAVQTTPNDHAHARAFSHLATKLIEMETAKDQIILDIGSAP -ARRLYSEHKYHCVCPMKCTEDPERMLGYARKLIAGSAKGKAEKLRDLRDVLATPDIETQSLCLHTDASCR -YRGDVAVYQDVYAIDAPTTLYHQALKGVRTAYWIGFDTTPFMYDALAGAYPLYSTNWADEQVLESRNIGL -CSDKVSEGGKKGRSILRKKFLKQSDRVMFSVGSTLYTESRKLLQSWHLPSTFHLKGKSSFTCRCDTIVSC -EGYVLKKITMCPGVTGKPIGYAVTHHKEGFVVGKVTDTIRGERVSFAVCTYVPTTLCDQMTGILATEVTA -DDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPLVAQALAKWAKEAKQDMEDERPLNERQRTLTCLCCWA -FKRNKRHAIYKRPDTQSIVKVPCEFTSFPLVSLWSAGMSISLRQKLKMMLQARQPTQIAAVTEELIQEAA -AVEQEAVDTANAELDHAAWPSIVDTTERHVEVEVEELDQRAGEGVVETPRNSIKVSTQIGDALIGSYLIL -SPQAVLRSEKLACIHDLAEQVKLVTHSGRSGRYAVDKYXGRVLVPTGVAIDIQSFQALSESATLVYNERE -FVNRKLWHIAVYGAALNTDEEGYEKVPVERAESDYVFDVDQKMCLKKEQASGWVLCGELVNPPFHEFAYE -GLRTRPSAPYKVHTVGVYGVPGSGKSAIIKNTVTMSDLVLSGKKENCLEIMNDVLKHRALRITAKTVDSV -LLNGVKHTPNILYIDEAFSCHAGTLLATIAIVRPKQKVVLCGDPKQCGFFNMMQLKVNYNHDICSEVFHK -SISRRCTQDITAIVSKLHYQDRMRTTNPRKGDIIIDTTGTTKPAKTDLILTCFRGWVKQLQQDYRGNEVM -TAAASQGLTRASVYAVRTKVNENPLYAQTSEHVNVLLTRTENKLVWKTLSTDPWIKTLTNPPRGHYTATI -AEWEAEHQGIMKAIQGYAPPVNTFMNKVNVCWAKTLTPVLETAGISLSAEDWSELLPPFAQDVAYSPEVA -LNIICTKMYGFDLDTGLFSRPSVPMTYTKDHWDNRVGGKMYGFSQQAYDQLARRHPYLRGREKSGMQIVV -TEMRIQRPRSDANIIPINRRLPHSLVATHEYRRAARAEEFFTTTRGYTMLLVSEYNMNLPNKKITWLAPI -GTQGAHHTANLNLGIPPLLGSFDAVVVNMPTPFRNHHYQQCEDHAMKLQMLAGDALRHIKPGGSLWVKAY -GYADRHSEHVVLALARKFKSFRVTQPSCVTSNTEVFLHFSIFDNGKRAIALHSANRKANSIFQNTFLPAG -SAPAYRVKRGDISNAPEDAVVNAANQQGVKGAGVCGAIYRKWPDAFGDVATPTGTAVSKSVQDKLVIHAV -GPNFSKCSEEEGDRDLASAYRAAAEIVMDKKITTVAVPLLSTGIYAGGKNRVEQSLNHLFTAFDNTDADV -TIYCMDKTWEKKIKEAIDHRTSVEMVQDDVQLEEELVRVHPLSSLAGRKGYSTDSGRVFSYLEGTKFHQT -AVDIAEMQVLWPALKESNEQIVAYTLGESMDQIRGKCPTEDTDASTPPRTVPCLCRYAMTPERVYRLKCT -NTTQFTVCSSFELPKYHIQGVQRVKCERIIILDPTVPPTYKRPCIRRYPSTISCNSSEDSRSLSTFSVSS -DSSIGSLPVGDTRPIPAPRTIFRPVPAPRAPVLRTTPPPKPPRTFTVRAEVHQAPPTPVPPPRPKRAAKL -AREMHPGFTFGDFGEHEVEELTASPLTFGDFAEGEIQGMGVEFEWLGRAGGYIFSSDTGPGHLQQRSVLQ -NCTAECIYEPAKLEKIHAPKLDKTKEDILRSKYQMKPSEANKSRYQSRKVENMKAEIVGRLLDGLGEYLG -TEHPVECYRITYPVPIYSTSDLRGLSSAKTAVRACNAFLEANFPSVTSYKITDEYDAYLDMVDGSESCLD -RSSFSPSRLRSFPKTHSYLDPQINSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTYDSAVLNVEAFRK -YACKPDVWDEYRDNPICITTENVTTYVAKLKGPKAAALFAKTHNLIPLHQVPMDKFTVDMKRDVKVTPGT -KHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNNALFPNIHTLFDMSAEDFDAIIAEHFKHGDHVLET -DIASFDKSQDDSMALTALMILEDLGVDQNLMNLIEAAFGEIVSTHLPTGTRFKFGAMMKSGMFLTLFVNT -ILNVVIACRVLEDQLAQSPWPAFIGDDNIIHGIISDKLMADRCATWMNMEVKILDSIVGIRPPYFCGGFI -VCDDVTGTACRVADPLKRLFKLGKPLPLDDGQDEDRRRALHDEVKTWSRVGLRHRVCEAIEDRYAVHSSE -LVLLALTTLSKNLKSFRNIRGKPIHLYGGPK - ->YP_008888546.1 non-structural polyprotein precursor nsP1234 [Whataroa virus] -MEKPVVNVDVDPQSPFVAQLQKSFPQFEVVAQQATPNDHANARAFSHLASKLIELEVPTTATILDIGSAP -ARRMFSEHQYHCVCPMRSPEDPDRMMKYAAKLAEKAGSITNKKLYEKIRDLRTVLDTPDQETPSICFHND -VTCATRAEVSVMQDVYINAPATIYHQAMKGVRTLYWIGFDTTQFMFSAMAGSYPAYNTNWADEKVLEARN -IGLCSTKLSEGRVGKLSIMRKKSLKPGTQVYFSVGSTLYPENRANLQSWHLPSVFHLKGKQPYTCRCDTV -VSCEGYVVKKVTISPGITGETVGYAVTNNSEGFLLCKVTDTVKGERVSFPVCTYIPATICDQMTGIMATD -INPDDAQKLLVGLNQRIVINGKTNRNTNTMQNYLLPIIAQGFSKWAKERKEDLDNEKLLGVRERKLAFGC -LWAFRTKKIHSFYRPPGTQTIVKVPASFSAFPLSSVWTTSLPMSFRQKIKLMIKDKNEEKLLAIPKEVED -NAKFAQQEATETARAEELRKALPPLVADKNIDASAEVICEVEGLDNDIGAALVETPRGHVKIIAQDTDKL -IGKYIVVSPIAVLKNQKLSPVHPLAEQVKIITHSGRTGRYAVEPYDAKVLMPSGAAVPWPEFLALSESAT -LVFNEREFINRKLYHIAVHGPAKNTEEEQYKAMRAEAADTEYVFDVDKKKCVKREEASGLVLVGELTNPP -YHEMALEGLKTRPAVPYKVETIGVIGTPGSGKSAIIKNIVTTRDLVTSGKKENCREIEADVLKHRKMQIV -SKTVDSVLLNGCHKSVDILYVDEAYACHAGTLLALIAIVRPRNKVVLCGDPKQCGFFNMMQLKVHFNDPE -RDICTKTFYKYISRRCTQPVTAIVSTLHYNGKMRTTNPCNKNIVIDITGQTKPKPGDIILTCFRGWVKQL -QIEYPGHEVMTAAASQGLTRKGVFAVRQKVNENPLYAITSEHVNVLLTRTEDRIVWKTLQGDPWIKQLTN -IPKGNFHATVEEWEAEHKGIMEAITSPAPRSNPFSCKTNVCWAKALEPILSTAGISLTGCQWADLFPQFE -DDKPHSAIYALDVICVKFFGMDLTSGIFSKPLIPLTYHPAEGDRKTAHWDNSPGQRKYGFDKAVVAELSR -RFPVFCMADKGVQLDVQTGRTRVVSSRFNLVPFNRNLPHSLVPEYKTQTPGQLSAFIRQFKQNTILLVSE -TPAEHSTKSVEWIAPLGTLGATKCYNLAFGFPPQSRYDLVIINIGTKFRHHHYQQCEDHAATMKTLSRSA -LNCLNPGGTLVVKAYGYADRNSEDIITALARKFVRVSAARPQCVSSNTEMYFIFRQLDNSRTRQFTPHHL -NCVVSSVYEGTRDGVGAAPSYKSRRGNIIECTEEAVVNAANALGRPGEGVCKAIYKKWPNSFTGSATEVG -TAKMTTSLGKKVIHAVGPDFKKHSEEEALKLLQNAYHAIADIINENNIKSVAIPLLSTGIYAAGKDRLET -SLHCLTTAMDRTDADVTVYCLDKKWQQRIDAVLRLKEEVTELKDDDMEIDEELVWIHPDSCLKGRKGFST -TKGKLYSYFEGTKFHQAAKDMAEINVLFPDTIEANEQICMYILGESMEAIREKCPVDYNPSSSPPKTLPC -LCMYAMTPERVHRLRSNNVKEITVCSSTPLPKHKIKNVQRIQCSKIVLFNPQTPAFVPARKFIETEPKET -EDDAAQPDPTPAVQASVSTPVPQRQQDPLELIISADSLTEVNDTSDDISDIPFDTSVYASTSSLSSVLDC -HNVVEVEAEIHVVPQTPVAPPRKKKLARLAALSRASSISSIESNPPITFGSFEDGEIDNLQKKCTSEPFM -FGSFEPGEVNSLIETRSEPPRRGRRRRNKNRQEYWLTGVGGYIFSTDTGEGHLQKKSVLQNDLAVTILER -NILEKVHAPVYNAEKEEILKMKYQMMPTETNKSRYQSRKVENQKAVTTQRLLSGLKLYTYEPNQPECYKI -TYPRPLYSSSIPVSYDSAQVAVAVCNNYLHENYPTVASYQITDEYDAYLDMVDGAVACLDTATFCPAKLR -SFPKKHEYKTPEIRSAVPSAMQNTLQNVLIAATKRNCNVTQMRELPTLDSATFNVECFKKFACNDEYWSE -FRDKPIRITTEFVTSYVARLKGPKAAALFAKTHNLVPLQEVPMDRFVMDMKRDVKVTPGTKHTEERPKVQ -VIQAAEPLATAYLCGIHRELVRRLTAVLLPNIHTLFDMSAEDFDAIIAEHFNYGDPVLETDIASFDKSQD -DAMALTGLMILEDLGVDQPLLDLIECAFGEISSTHLPTGTRFKFGSMMKSGMFLTLFVNTVLNVVIASRV -LEHRLKESRCAAFIGDDNIIHGVVSDKEMAERCATWLNMEVKIIDAVIGIRPPYFCGGFILQDETTLTTC -RVADPLKRLFKLGKPLPAEDTQDEDRRRALMDETKAWFRVGIRNTLAVAVSTRYEVEDITPVLYALRTFA -QSKKAFQTIRGEIRQLYGGPK - ->YP_008888545.1 non-structural polyprotein precursor nsP1234 [Southern elephant seal virus] -MDGTLDCTTQFRMDGKTVFLDVDPEGPFMKHVERCFPSITVEARQVTPNDHANARAFSHLASKMIENEVQ -SDQVILDIGSAPSRRMFSAHHYHCICPMKAAEDPERMMRYAERLKAECERVTDKNLADKMADLQRVMQVP -SEETESICLKTDATCEMRAQVAVYQDVYAVHGPSSIYAQALKGVRTVYWIGFDTTPFAYDALAGSYPLYN -TNWADEAVLQSRNIGLCSSNLAEEKIGGWSLFRKKKLKATNSILFSVGSTLYKEDRNLLKSWHLPAVFHL -KGKECFTCRCETLVSCEGYVLRKISISQGLYGEPCQYAVTHHHEGFLVAKVTDTIDGVRVSFPVTTYVPA -IICDQMTGILATEVSPEDAQKLLVGLNQRIVVNGRTQRNTNSVKNFLLPLIAQAMSKWAQEYKTDVDGEK -KLGARTRTVKCCCVWAFKKQKQHTMYKKPDTQSIIKVPGEYSAFMPTPWSAGLPYTLRKKILLLLRTTQE -AHVLITNEDVERAKVAQTEAEEARLAEEQHAALPPLEPSAPELEDEIEVEELLERAGAGVVETPRNAIRV -VAHETDTMMGKYLVATPQLVLRSAKLECIHSLAEEVKVMTHSGRSGRYPVEAYDGRVLLPTGAALTVKHF -QALAESATMVYNEREFINRKLYYIAIHGAALNTDDEQYKCTKASEASGEFVFDVDKRRCVPLADASGLVL -VGELTNPPYHEMAYESLKTRPAHTHDVEIVGVYGVPGSGKSGIIKQLVTPNDLVSSGKKENCTEIMKDVK -KARGLDIVARTVDSVLLNGVRRKIDTLYVDEAFACHAGTLLALISIVRPRRRVVLCGDPKQCGFFNVMQM -KVHYNYELCDTVHHKSISRRCTQAVTAIVSTLHYDGKMKTVNTVYGKPVVDTTGCTKPRPGDLILTCFRG -WVKQLQLDYRGHEVMTAAASQGLTRHGVYAVRLKVNENPLYANKSEHVNVLLTRTDERIIWKTLSGDPWI -KLLTEIPTGNFSATMQEWQNEHDTILNALHQPPGDRDVFAGKTKVCWAKALAPVLRTAGVILSAEEWSHL -IPAFRNDEAFSPEVALNMICSRLFGHDLDSGLFSEEVVSMTYRNNHWDNSAGGKMWGFNEQVFNTLARRF -PIMHQARATRQCPLLMYGTLADITSARVVPPVNRCLPHPLTTPAKTPRDDTNQFWRKLPGSNILYVGCNP -PDFGNKRREWLGHLDCGAAQVKTDLGLGIPAAMGRYDGIFVDVKSTADDHYTRCEEHAIKMRMIAGDALF -HLKPGAWMLLTTYGYADRISESVLTAIVCKFRSYKVLPKQFASDTAEVTLLLQAFDNGRRQTNLGKLSAA -ISGLLHGLQPAGTAPNYRVVRADIAKTTTEAVVNAANPLGVPGAGVCGAIARQWPKGFPAGKMQVGECKA -VVTDDITILHTVGPDFRKTGEKEGDELLAMAYQNCAAEASSLGFHTLAIPLLSTGIYSAGVDRLDQSLKH -LLNAFDETNIEVHIYCRDKTWESRILRVISTRDTVEELVEENVELSDEIVRVHPASSLEGREGYSSTTGS -IYSFLPGTKFHQTAVDVAEIKALWPKEPEANEQICNYILGDSMNQIRDKCPVEDIGTTSPPCTVPCLCPY -AMTAERVYRVRATAPKSFIVCSSFQLPKYRITGVQRVPCTRPFKQVRSSGASKEVAEEVSLRPASSFSGR -YGERLTVKADVYSLIDSRWDSIDSVKLPSLDTDSTTLSETPPPRYDTPAVATTPPVPPPRLKKLARQQAL -SQISFGDFEPGEPDRLAAESRASREAPSITFGDFQENEVDSIVSAPPITKSQRRRRRRKARRSASRQPLL -DSPPLWLARAGAYIFSSDTGPGHLQQQSICQHELPVPALARTENEKWFPPKLDTEAEERLRLRYQQNPTE -ANKSRYQSRKVENMKAVIAGRLVGGAAEFLMDSLSVDTYRQLFPKCVHSKTVMRGLLDANAAIKACNTFL -ALNYPTVSGYRITDEYDAYLDMVDGSESCLDRAAFCPAKLRFFPKVHSYLKPMIDSAVPSPFQNTLQNVL -AAAMKRNCNVTQMRELPFLDSAVYNVECFKRYACNDEYWATFKQHPITLSSEDVKRYVTRLKGPKAAALF -AKTNHMQRLVDVPMDRFVMDMKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHKELVRRLKAVLL -PNIHTLFDMSAEDFDAIIGPHFHPGDYVLETDIASFDKSQDDAMALTALMILEDLGVDIHLLTLIEAAFG -EITSVHLPTATTFKFGAMMKSGMFLTLFVNTILNVVIASRILEKRLTESRCAAFIGDDNIVHGVRPDKLM -ADRCASWMNMEVKIIEARMCVKPPYFCGGFLLWDAVTGTACRVADPLKRLFKLGKPLPTDDDQDIDRCRA -LRDEVKAWRRVGVHLDLIRAVETRYEVTAVDIILDALEALSASVRNFKQIRGPVVTLYGCPK - ->YP_008888544.1 non-structural polyprotein precursor nsP1234 [Ndumu virus] -MAKPTVHVDIEADSPFVKTLQKSFPQFEVVAEQVTPNDHANARAFSHLASKLIELEVDKKATILDIGSAP -ARRMYSEHTYHCVCPMKTAEDPDRIMGYARKLKEKCLEITDRNLAAKLKDLKDVMARPDEESPSFCLHTD -STCRTCGDVAVYQDVYAVHAPTSIYHQAVKGVRTVYWIGFDTTPFMFPTLAGSYPSYATNWADETVLQAR -NIGLCANGLTEGGRKGLSILRKKALRPSGKILFSVGSTLYTENREMLRSWHLPSVFHLKGKKSFTARCDT -IVSCEGYVVKKISLCPGLYGRPSGYAVTYHSEGFLISKVTDTIRGERVSFPVCTYVPATLCDQMTGILAT -EVSPDDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVALAFSKWAKEYRADLEDEKLLGVRERELTCC -CMWTFKIRKSHTMYKKPETQTIVKVVSEYSSFLFKSPWTEGLSIGLCTKIKLMLDNVKPRKTVTITESDV -KEAQDRQQEAHEEREAELDREALPALQPTAPPVEQIQVDFEDLERAGAGVVETPRRAVRVTAQAGDTMIG -EYLLVSPQTVLRSAKLECVHELAEQVKIMTHSGRSGRYPVEGYDGRVLLPAGTALEIPDFQALSESATMV -YNEREFVNRKLKHIALHGASLNTDEENYDHVDAEAVDHEYVFDVDKGMCVKRDQTSGLVLVGDLTNPPYH -EFAYEGLRIRPSAVHKVPIIGVFGVPGSGKSAIIKSLVNTRDLVTSGKKENCTEIVNDVKKQRNMTIIAK -TVDSILLNGCRSRPETLYVDEAFACHAGTLLALISIVKPSKKVVLCGDPKQCGFFNMMQLKVNYNHDICT -QVFHKSISRRCTQPITAIVSTLHYGGKMRTTNPCTRPVEIDITGKTKPQKGDLILTCFRGWVKQLEIDYR -GHEVMTAAASQGLTRKGVYAVRQKVNMNPLYAEKSEHVNVLLTRTEDRLVWKTSSGDPWISVLTNVPPGN -FSATIEEWEAEHNAIVSVLEGAHAPIDVFACKSRVCWAKALQPVLDTAGIQMTADQWSELLPPFKEDQAY -SPEVALNAISTRFYGYDLDSGLFSADTVSLRYTENHWDNEPGGKKYGFDHAVARRLEQRHPYLKNKWKLN -QQLLVAEGVAQPVSTTCNVVPVNRRLPHPLVLQHEVLQGKPVEDFLMQFVAQDVAVVAPRRVSMPLRKVT -WVSDIKYDGDIRCHLDVGLPAVMGMYDLVAVLEDTTYRGHHYLQCEDHALKMHMLAGDAVKHLRPGGTLV -VKCYGYADRFSEMVVCALGRKFRRVRACRPPCVNSNTEMYLVFTHFDNRNRPFTLKTLNETYAQHLPRAG -AAPAYRVRRADIANCTEEVVVNAANSRGVIGEGVCGAIGRKWPAAFKGSATPVGTAKLTKSPRPVIHAVG -PNFHQVTELEGEQQLRAAYQAVADLVNKENYTSVAIPLLSTGIYAAGKDRLMQSLNHLFTAMDNTDADVT -IYCRDKKWERTIADAIRQRETPEALSLTVDEPLDVVRVHPLSSLVGRPGYSKETGKLHSYLEGTKFHQTS -MDMAEIYTMFPKVEDANEQICMYVMGETMDQIRQKCPVEDQDSSSPVATVPCLCRLAMTAERVQRLRAVS -TKQFAVCSSFPLPKYRIQGVQKVQCGQVLLFSSGTVNHVSPRKYTVVAPRSDSVSVCSVRTPSDACSQAS -VTFDIICDTPPAARRSMARQTLDEDIESITDTPIIHAPIVESVTEVEVHAPPPEHVIPVSAPRRQTPVPR -PRTIFRGRAPEFCPSSALLFGDFAEGEVEHILQRPIAKPRVRITFGDFTAEESEAIRLRSFALPQWLGRA -GAYIFSTDVGPGHLQQKSVRQNDSTETILNEVKPDKFYPPRYDEAAEEKLRVRHQLVPSAANRSRYQSRK -VENMKAVTINRLLSGLHKYLDSEEHEPTYRVTYPRPQYSATVVEELSSACTAVAACNAVLTENYPTVTSY -QITDEYDAYLDMVDGSESCLDRANFNPSKLRSFPKKHAYHDPQIRSAVPSAFQNNLQNVLAAATKRNCNV -TQMRELPVLDSAVFNVECFKKFACNNEYWEEFKQKPIRITTENVTQYVTKLKGPKAAALFAKTHNLIPLQ -EVPMDRFTMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYMCGIHRELVRRLNAVLLPNIHTLFDMS -AEDFDAIVAEHFHNGDKVLETDIASFDKSQDDSLALTALMILEDLGVDDRLLDLIEIAFGEITSIHLPTG -TKFKFGAMMKSGMFLTLFVNTLLNVVIASRVLESKLTGSRCAAFIGDDNIVHGVVSDKLMAERCATWMNM -EVKIIDAVIGEKHPYFCGGFILQDAVTGTACRVSDPLKRLFKLGKPLPADDEQDEDRRRALRDEVMRWFR -VGLRSEVCAAVYSRYGVQGLDVALMAMATLSKTRKHFDMIRGPVRVLYGGPKL - ->YP_003324587.1 nonstructural polyprotein [Fort Morgan virus] -MEKVHVDLDVDSPFVKSLQKSFPQFEIEAKQVTDNDHANARAFSHLATKLIESEVDREQVILDIGSAPVR -HAHSSHNYHCVCPMISAEDPDRLQRYAERLRRSDITNKRIASKAADLLQVLSAPDSETQSLCMHTDATCS -YQGTVAVYQDVYAVHAPTSIYYQAMKGVRTIYWIGFDTTPFMYKSMAGAYPSYNTNWADESVLEARNIGL -GDADVQESKLRRVPTFCRKKLRPTDKVVFSVGSTIYTEDRSLLESWHLPNVFHLKGKNNFTGRCGTLVSC -EGYVIKKITISPGLYGRVDNLASTMHREGFLCCKVTDTLRGERVSFAVCTYVPATLCDQMTGILATDVSV -DDAQKLLVGLNQRIVVNGRTQRNANTMQNYLLPVVAQAFSRWAREYRADLEDERMLGERDRSLAMGCCWT -FRTHKITSIYKKPGTQTIKKVPAVFDSFVLPRLSSHGLDITLRNRIKLYLEPAITHAPAITQADVHQLET -LQEEAEEVAAAEELREALPPLLPELDGETVEAEIDLIMQEAGAGSVETPRRHIRVTSYPGEEMIGSYAVL -SPKAVLNSDKLSCIHPLAEQVLIMTHKGRAGRYKVEPYTGKVVVPEGVAVPIQDFQALSESATIVYNERE -FVNRYLHHIATNGGALNTDEEYYKVIRSSEAESDYVFDIDQRKCVRKNDAGPLCLVGELVDPPYHEFAYE -SLKTRPAAPHKVPTIGIYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEITRDVKRLRKMDITARTVDSV -LLNGSKHAVQNLFIDEAFACHAGTLLALIAIVKPKKVVLCGDPKQCGFFNMMCLKVHFNHDICTEVHHKS -ISRRCTQTVTAVVSTLFYEKRMRTVNPCTQRIIIDTTGSTKPEKDDLILTCFRGWVKQLQIDYKHHEVMT -AAASQGLTRKGVYAVRYKVNENPLYAQNSEHVNVLLTRTEKRIVWKTLAGDPWIKTLTANYPGDFTATLD -EWQQEHDAIMARVLDAPVSTDVFQNKVNVCWARALEPVLATANITLTRAQWDTIPPFALDRAYSPEMALN -FLCTRFFGVDLDSGLFSARTVPLTYKNQHWDNSPGINMYGLNMTVARELARRYPCILKAIETGRMADIRV -DAVKEYSPTTNVVPLNRRLPHALVTEHRNYGVADYSGFLAKLKGSTLLVIGDPIHVQGKRVESLGPSPTA -TYRSSLDLGIPQEIGKYDIVFINVRTEYKYHHYQQCEDHAIHHSMLTCKALNHLNKGGTCVAVGYGMADR -ATENILTAVARSFRFTRVCQPRNTQENTEVLFVFFHKDNGNHLQDQDRLGIILNNIYQGSSQHEAGRAPA -YRVVRGDITKSSDEAIVNAANSKGQPGSGVCGAIYRKWPSAFDHRPVAVGTARMVDHRPCIIHAVGPNFS -RVSETEGDIKLAEAYASIAHIVNTKRINSISIPLLSTGIYSGGKDRVAQSLDHLFAAMDTTDADVTIYCL -DKTWESRIKDAIDKRNSVEEIAEEDKPVDVDLVRVHPRSSLAGRPGYSTSEGRIHSYLEGTRFHQTAKDI -AEIYAMWPDKAEANEQICLYILGESMPSIRSKCPVEDSEASSPPYTIPCLCDYAMTAERVFRLRAAKKEQ -FSVCSSFHLPKYRITGVQKIQCSKPVIFSGIAPPAVHPRKYTAIISERTTPEPTEIFSIEEPPNVIPSPT -QFLDYGAESLCFDNAVTTTGDSALSLCSSDGASETPEDLSVRRTVSTWSIPSATGFEIKEEATEDEHVYI -AEYDQRDYSNVTEILLEFSRAPVQFLSDFKPIPAPRSIRPKICPVPAPRTKVTGPSFGASTLQYSKVYER -PPGVARAISEAELDAYIQQQLNXRYEAGAYIFSSETGQGHLQQKSNRQGPYVYPVLEKSVHEKFYAPRLD -MEKEKILQKKLQLCATEGNRSRYQSRKVENMKAITVTRLLSGMGEYLSADAELPECYKVNYPVPSYSAQL -ECAFKSPIVAVKVCNLILQENYPTVASYGITDEYDAYLDMVDGASCCLDTASFCPAKLRSYPKKHSYLYP -EIRSAVPSPIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKKYACNTEYWDDFKEHPIRLTTE -NVTQYVTKLKGPKAAALFAKTHNLVPLHEIPMDRFVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATA -YLCGIHRELVRRLNAVLLPNVHTLFDMSAEDFDAIIAEHFHYGDPVLETDIASFDKSEDDAIATSALMIL -EDLGVDQPLLDLIEAAFGNITSVHLPTGTRFKFGAMMKSGMFLTLFVNTLVNIMIASRVLRERLTNSACA -AFIGDDNIIHGVVSDELMAERCATWLNMEVKIIDAVIGVKAPYFCGGFILVDQITGTACRVADPLKRLFK -LGKPLPTDDAQDCDRRRALHDEAKRWNRIGITDEIVKAVESRYEISLARLIIMALTTLAASVDNFKNIRG -QPIILYG - ->YP_002802299.1 nonstructural polyprotein [Highlands J virus] -MEKVHVDLDADSPFVKSLQRSFPQFEIEAKQVTDNDHANARAFSHVATKLIESEVDREQIILDIGSAPVR -HAHSNHKYHCICPMISAEDPDRLHRYAEKLKKSDITDKNIASKAADLLEVLSTPDVETPSLCMHTDTTCR -YFGSVAVYQDVYAVHAPTSIYHQALKGVRTIYWIGFDTTPFMYKNMAGSYPTYNTNWADESVLDARNIGL -GNSDLQESQFGKLSILRKKRLRPTNKIIFSVGSTIYTEDRSLLRSWHLPNVFHLKGKSSFTGRCGTIVSC -EGYVIKKITLSPGLYGKVENLASTMHREGFLSCKVTDTLRGERVSFAVCTYVPATLCDQMTGILATDVSV -DDAQKLLVGLNQRIVVNGRTQRNTNTMQNYLLPVVAQAFSRWAREYRADLEDEKELGVRERTLTMGCCWA -FKTHKITSIYKKPGTQTIKKVPAVFDSFVLPRLTSHGLDIGLRRRLKMLLEPAVTAAPNITSADVEHLRG -LQQEAEEVAAAEELREALPPLLPEIEKETLEAEIDLIMQEAGAGSVETPRRHIKVTSYPGEETIGSYAIL -TPQAVLNSEKLACIHPLAEQVLVMTHKGRAGRYKVEPYHGKVVVPEGVAIPIPDFQALSESATIVFNERE -FVNRYLHHIAINGGALNTDEEYYKVVKSHEAESEYVFDIDARKCVKKADAGPLCLIGELVDPPFHEFAYE -SLKTRPAAPLKVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIKDVKRMRQMDIAARTVDSV -LLNGVKHPVNILYIDEAFACHAGTLLAVIAIVKPKKAVLCGDPKQCGFFNMMCLRVHFNHDICTEVHHKS -ISRRCTQTVTAIVSTLFYDKRMKTVNPCADKIIIDTTGTTKPNKDDLILTCFRGWVKQLQIDYKNHEIMT -AAASQGLTRKGVYAVRYKVNENPLYAQTSEHVNVLLTRTEKRIVWKTLAGDPWIKTLTAQYPGDFTATLD -DWQREHDAIMARVLDAPYATDVYQNKVNVCWAKALEPVLATANIQLTRAQWETLAPFRNDKAYSPEMALN -FFCTRFFGVDLDSGLFSAPAVALTYKDHHWDNSPGRNMYGLNREVAKELAQRYPCLIKAIDTGRVADIRN -NTIKDYLPTINIVPLNRRLPHSLVVHHKNLGQTDYSDFLSKLKGRTVLVIGDPVKIPGKRVESIGPSPDN -TIRCNLDLGIPTTVGKYDMVFVNVRTPYQYHHYQQCEDHAIHHSMLTCKAVQHLNNGGTCVAVGYGMADR -ATENIITAVARSFRFTRVCQPKSTAENTEVLFVFFGKDNGNHLQDQDRLSVVLDSIYQGSTRYEAGRAPA -YRVIRGDICKSEDPVVVNAANSKGHPGSGVCGAIYRKWPGAFDNQPIAVGAARLIKHTPNVIHAVGPNFS -KVSEAEGDTKLAEVYMNIAAIINAERYTKVSIPLLSTGIYSGGKDRVMQSLNHLFTALDTTDADVTIYCL -DKQWEARIKDAIARKESVEVLDTEDRPVDIDLVRVHPNSSLANRPGYSVTEGKVYSYLEGTRFHQTAKDI -AEIHAMWPNKAEANEQICLYILGESMASIRSKCPVEESEASAPPHTLPCLCNYAMTAERVFRLRAAKKEQ -FAVCSSFPLPKYRITGVQKLQCSKPVLFSGIVPPAVHPRRYATTTIEVATSPIEQRPLREAPPVPARIPS -PISNRTISVESLLSVGTQSASISWDLHEQVITADVHRDAESSAWSIPSASGFEVLPSPTPSHSISNISWE -EVSADCTRERCVADIMQDFRSAPFQFLSDYKPIPAPRSRPTPAPRSTVSAPPIPKPRKIVYRQPPGVARS -ISEAELDEYIRQHTNXRYEAGAYIFSSETGQGHLQQKSVRQCKLQDAVLERVTHEKYYAPRLDLEKEKLL -QKKLQLCASEANRSRYQSRKVENMKAITADRLISGLGTYVSTEAQAVECYRVTYPVPQYSAVIPNKFSSA -TVAIKVCNLVIQENYPTVASYCITDEYDAYLDMVDGASCCLDTATFCPAKLRSYPKKHSYLQPEIRSAVP -SPIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKRFACNDEYWDIFKNSPIRLTTENVTQYVT -KLKGPKAAALFAKTHNLKPLHEIPMDQFVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHR -ELVRRLNSVLLPNIHTLFDMSAEDFDAIIAEHFHYGDPVLETDIASFDKSEDDAIALSALMILEDLGVDQ -PLLDLIEAAFGNITSVHLPTGTRFKFGAMMKSGMFLTLFVNTLVNIMIASRVLRERLTTSACAAFIGDDN -IVHGVVSDNLMADRCATWLNMEVKIIDAVIGVKAPYFCGGFILVDQITGTACRVADPLKRLFKLGKPLPL -DDDQDVDRRRALYDESLRWNRIGITSELVKAVESRYEVVLSSLIIIALSTLADSVKNFKRIRGNPITLYG - ->YP_913810.1 p123 protein [Eastern equine encephalitis virus] -MEKVHVDLDADSPFVKSLQRCFPHFEIEATQVTDNDHANARAFSHLATKLIEGEVDTDQVILDIGSAPVR -HTHSKHKYHCICPMKSAEDPDRLYRYADKLRKSDVTDKCIASKAADLLTVMSTPDAETPSLCMHTDSTCR -YHGSVAVYQDVYAVHAPTSIYYQALKGVRTIYWIGFDTTPFMYKNMAGAYPTYNTNWADESVLEARNIGL -GSSDLHEKSFGKVSIMRKKKLQPTNKVIFSVGSTIYTEERILLRSWHLPNVFHLKGKTSFTGRCNTIVSC -EGYVVKKITLSPGIYGKVDNLASTMHREGFLSCKVTDTLRGERVSFPVCTYVPATLCDQMTGILATDVSV -DDAQKLLVGLNQRIVVNGRTQRNTNTMQNYLLPVVAQAFSRCREYRADLEDEKGLGVRERSLVMGCCWAF -KTHKITSIYKRPGTQTIKKVPAVFNSFVIPQPTSYGPDIGLRRRIKMLFDAKKAPAPIITEADVAHLKGL -QDEAEAVAEAEAVRAALPPLLPEVDKETVEADIDLIMQEAGAGSVETPRRHIKVTTYPGEEMIGSYAVLS -PQAVLNSEKLACIHPLAEQVLVMTHKGRAGRYKVEPYHDRVIVPSGTAIPIPDFQALSESATIVFNEREF -VNRYLHHIAVNGGALNTDEEYYKVVKSTETDSEYVFDIDAKKCVKKGDAGPMCLVGELVDPPFHEFAYES -LKTRPAAPHKVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCMEIIKDVKRMRGMDIAARTVDSVL -LNGVKHSVDTLYIDEAFACHAGTLLALIAIVKPKKVVLCGDPKQCGFFNMMCLKVHFNHEICTEVYHKSI -SRRCTKTVTSIVSTLFYDKRMRTVNPCNDKIIIDTTSTTKPLKDDIILTCFRGWVKQLQIDYKNHEIMTA -AASQGFTRKGVYAVRYKVNENPLYAQTSEHVNVLLTRTEKRIVWKTLAGDPWIKTLTASYPGNFTATLEE -WQAEHDAIMAKILETPASSDVFQNKVNVCWAKALEPVLATANITLTRSQWETIPAFKDDKAYSPEMALNF -FCTRFFGVDIDSGLFSAPTVPLSYTNEHWDNSPGPNMYGLCMRNAKELARRYPCILKAVDTGRVVDVRTD -TIKDYNPLINVVPLNRRLPHSLVVTQRYTGNGDYSQLVTKMTGKTVLVVGTPMNIPGKRVETLGQSPQCT -YKAELDLGIPAALGKYDIIFINVRTPYRHHHYQQCEDHAIHHSMLTRKAVDHLNKGGTCIALGYGTADRA -TENIISAVARSFRFSRVCQPKCAWENTEVAFVFFGKDNGNHLQDQDRLSVVLNNIYQGSTQHEAGRAPAY -RVVRGDITKSNDEVIVNAANNKGQPGGGVCGALYRKWPGAFDKQPVATGKAHLVKHSPNVIHAVGPNFSR -LSENEGDQKLSEVYMDIARIINNERFTKVSIPLLSTGIYAGGKDRVMQSLNHLFTAMDTTDADITIYCLD -KQWESRIKEAITRKESVEELTEDDRPVDIELVRVHPLSSLAGRPGYSTTEGKVYSYLEGTRFHQTAKDVA -EINAMWPNKQEANEQICLYVLGESMNSIRSKCPVEESEASSPPHTIPCLCNYAMTAERVYRLRMAKNEQF -AVCSSFQLPKYRITGVQKIQCSKPVIFSGTVPPAIHPRKFASVTVEDTPVVQPERLVPRRPAPPVPVPAR -IPSPPCTSTNGSTTSIQSLGEYQSASASSGAEISVDQVSLWSIPSATGFDVRTSSSLSLEQPTFPTMVVE -AEIHASQGSLWSIPSITGFETRVPSPPSQDSRPSTPSASASHTFVDLITFDSVAEILEDFSRSPFQFLSE -IKPIPAPRTRVNNMSRSADTIKPIPKPRKCQVKYTQPPGVARAISAAEFDEFVRRHSN - ->YP_164438.1 nsP1234 polyprotein [Getah virus] -MKVTVDVEADSPFLKALQKAFPAFEVESQQVTPNDHANARAFSHLATKLIEQEVPTGVTILDVGSAPARR -LMSDHTYHCICPMKSAEDPERLANYARKLAKASGTVLDKNVSGKITDLQDVMATPDLESPTFCLHTDETC -RTRAEVAVYQDVYAVHAPTSLYHQAIKGVRTAYWIGFDTTPFMFEALAGAYPAYSTNWADEQVLQARNIG -LCATGLSEGRRGKLSIMRKKCLRPSDRVMFSVGSTLYTESRKLLRSWHLPSVFHLKGKNSFTCRCDTVVS -CEGYVVKKITISPGIYGKTVDYAVTHHAEGFLMCKITDTVRGERVSFPVCTYVPATICDQMTGILATDVT -PEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFSKWAREARADMEDEKPLGTRERTLTCCCLW -AFKSHKIHTMYKRPETQTIVKVPSTFDSFVIPSLWSSSLSMGIRQRIKLLLSARMAQGLPYSGDRTEARA -AEEEEKEVQEAELTRAALPPLVSGSCADDIAQVDVEELTFRAGAGVVETPRNALKVTPQAHDHLIGSYLI -LSPQTVLKSEKLAPIHPLAEQVTVMTHSGRSGRYPVDKYDGRVLIPTGAAIPVSEFQALSESATMVYNER -EFINRKLHHIALYGPALNTDEESYEKVRAERAETEYVFDVDKKACIKKEEASGLVLTGDLINPPFHEFAY -EGLKIRPAAPYHTTIIGVFGVPGSGKSAIIKNMVTTRDLVASGKKENCQEIMNDVKRQRGLDVTARTVDS -ILLNGCKKGVENLYVDEAFACHSGTLLALIALVRPSGKVVLCGDPKQCGFFNLMQLKVHYNHNICTRVLH -KSISRRCTLPVTAIVSTLHYQGKMRTTNRCNTPIQIDTTGSSKPASGDIVLTCFRGWVKQLQIDYRGHEV -MTAAASQGLTRKGVYAVRQKVNENPLYSPLSEHVNVLLTRTENRLVWKTLSGDPWIKVLTNVPRGDFSAT -LEEWHEEHDGIMRVLNERPAEVDPFQNKAKVCWAKCLVQVLETAGIRMTADEWNTILAFREDRAYSPEVA -LNEICTRYYGVDLDSGLFSAQSVSLFYENNHWDNRPGGRMYGFNHEVARKYAARFPFLRGNMNSGLQLNV -PERKLQPFSAECNIVPSNRRLPHALVTSYQQCRGERVEWLLKKIPGHQMLLVSEYNLVIPHKRVFWIAPP -RVSGADRTYDLDLGLPMDAGRYDLVFVNIHTEYRQHHYQQCVDHSMRLQMLGGDSLHLLRPGGSLLMRAY -GYADRVSEMVVTALARKFSAFRVLRPACVTSNTEVFLLFSNFDNGRRAVTLHQANQKLSSMYACNGLHTA -GCAPSYRVRRADISGHSEEAVVNAANAKGTVSDGVCRAVAKKWPSSFKGAATPVGTAKMIRADGMTVIHA -VGPNFSTVTEAEGDRELAAAYRAVASIISTNNIKSVAVPLLSTGTFSGGKDRVMQSLNHLFTALDATDAD -VVIYCRDKNWEKKIQEAIDRRTAIELVSEDVTLETDLVRVHPDSCLVGRNGYSATDGKLYSYLEGTRFHQ -TAVDMAEISTLWPRLQDANEQICLYALGETMDSIRTKCPVEDADSSTPPKTVPCLCRYAMTAERVARLRM -NNTKNIIVCSSFPLPKYRIEGVQKVKCDRVLIFDQTVPSLVSPRKYIQQPPEQLDNVSLTSTTSTGSAWS -FPSETTYETMEVVAEVHTEPPIPPPRRRRAAVAQLRQDLEVTEEIEPYVTQQAEIMVMERVATTDIRAIP -VPARRAITMPVPAPRVRKVATEPPLEPEAPIPAPRKRRTTSTSPPHNPEDFVPRVPVELPWEPEDLDIQF -GDLEPRRRNTRDRDVSTGIQFGDIDFNQSXLGRAGAYIFSSDTGPGHLQQKSVRQHELPCETLYAHEDER -IYPPAFDGEKEKVLQAKMQMAPTEANKSRYQSRKVENMKALIVERLREGAKLYLHEQTDKVPTYTSKYPR -PVYSPSVDDSLSDPEVAVAACNSFLEENYPTVANYQITDEYDAYLDLVDGSESCLDRATFCPAKLRCYPK -HHAYHQPQIRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTMDSAVFNVESFKKYACTGEYWQEFKDN -PIRITTENITTYVAKLKGPKAAALFAKTHNLVPLQEVPMDRFVMDMKRDVKVTPGTKHTEERPKVQVIQA -AEPLATAYLCGIHRELVRRLKAVLTPNIHTLFDMSAEDFDAIIAAHFQPGDAVLETDIASFDKSQDDSLA -LTALMLLEDLGVDQELLDLIEAAFGEITSVHLPTGTRFKFGAMMKSGMFLTLFINTLLNIVIACRVLRDK -LSSSACAAFIGDDNIVHGVRSDPLMAERCASWVNMEVKIIDATMCEKPPYFCGGFILYDSVAGTACRVAD -PLKRLFKLGKPLPADDNQDEDRRRALKDETVKWSRIGLREELDVALSSRYQVSGVGNITRAMSTLSKSLK -SFRKIRGPIIHLYGGPK - ->NP_740666.1 nonstructural protein nsP2 [Semliki Forest virus] -GVVETPRSALKVTAQPNDVLLGNYVVLSPQTVLKSSKLAPVHPLAEQVKIITHNGRAGGYQVDGYDGRVL -LPCGSAIPVPEFQALSESATMVYNEREFVNRKLYHIAVHGPSLNTDEENYEKVRAERTDAEYVFDVDKKC -CVKREEASGLVLVGELTNPPFHEFAYEGLKIRPSAPYKTTVVGVFGVPGSGKSAIIKSLVTKHDLVTSGK -KENCQEIVNDVKKHRGKGTSRENSDSILLNGCRRAVDILYVDEAFACHSGTLLALIALVKPRSKVVLCGD -PKQCGFFNMMQLKVNFNHNICTEVCHKSISRRCTRPVTAIVSTLHYGGKMRTTNPCNKPIIIDTTGQTKP -KPGDIVLTCFRGWAKQLQLDYRGHEVMTAAASQGLTRKGVYAVRQKVNENPLYAPASEHVNVLLTRTEDR -LVWKTLAGDPWIKVLSNIPQGNFTATLEEWQEEHDKIMKVIEGPAAPVDAFQNKANVCWAKSLVPVLDTA -GIRLTAEEWSTIITAFKEDRAYSPVVALNEICTKYYGVDLDSGLFSAPKVSLYYENNHWDNRPGGRMYGF -NAATAARLEARHTFLKGQWHTGKQAVIAERKIQPLSVLDNVIPINRRLPHALVAEYKTVKGSRVEWLVNK -VRGYHVLLVSEYNLALPRRRVTWLSPLNVTGADRCYDLSLGLPADAGRFDLVFVNIHTEFRIHHYQQCVD -HAMKLQMLGGDALRLLKPGGILMRAYGYADKISEAVVSSLSRKFSSARVLRPDCVTSNTEVFLLFSNFDN -GKRPSTLHQMNTKLSAVYAGEAMHTAGC - ->NP_647496.1 non-structural polyprotein [Salmon pancreas disease virus] -MMQNLTANPSAGATVTVNLPADHPALNQFKTAFPGFEVVASNRSSNDHAAARAFSHLATKWIERDIGGRQ -VIVADIGSAPARRIGAPDNVTYHSVCPRKCAEDPERLASYARKLVRAVERGDGHLVNEKITDLKDVLENP -DTSLETTSICLNDDVSCKVKADIAVYQDVYAVDAPSTIYAQADKGTRVVYWIGFEPFVFHTDAMAGSFPL -YDANWSDSAVLAAKNLPLCYSGLSEDSIKWRFRFRDKPLVPSGEIHYSVGSTHYVEDRDKLKSWHLPSTF -HFVAPNKYTCRCDTVVSCGGYVVKKITICEGIVGIPAKEELATSYHRDGVVVTKFSDTINHEQVSFPVVT -YIPAVICDQMTAMTANPVKYSDAVKLLVGLNQRIVVNGTTVRNVNSMDNSLIPVFARALCSWADEVRRDM -EDEQDLYGITSVTTWICICRAYDKRQQHTFYRRPKQSSGIYVPAKFTGSLRAALSATYLNLPLKQLLLNT -LKRAIKPMDQAIADETEALAHDAAEVHELTEEERRQQAANPSYIADVLGQDDDEEEAGDGMSDVDLGEED -GAGATIIDCQRGTVKVITAFGDNMMGEYLVLSPVTVLRTRKLAILLGPLAEEVMQYVHKGRTGRYAIEKN -NLKVLIPTGVSLKTDHFQALAESATLTYNDYLFTCRTLDQLATRGSARNTDEVYYKLVDAAKARDEYVYE -LSSKQCVKKEDATGTVLQGDICNPPYHQFAYEALRKRPAHTHDVHTIGIYGVPGAGKTAIITTEVTTRDL -VASGKKENCEDIKRCVLERRGLKIAARTVDSLFYGAYRGAVNTLYVDEAYACHSGTLLALIAAVRPTGKV -VLCGDPKQVGCVNQLQMRMHYNHEISDRVLRKNISRRCTHTLTAIVSNLNYEGRMKTTNPCKKPVLIDTT -GSTKPDKEALVLTCFRGWVKDLKFLYPHNELMTAAASQGLTREKVYAVRCRVTTNPLYEPTSEHITVLLT -RTNDELVWKTLPNDPLIPILSKPPKGDYSATMEDWEDEHNGILAALREACVPRMNFAHGKRNTCWAVTSS -RVLHEAGVQITPEDYNRIFPAFREDKPHSALAALDAVATLVWGLDTSSGILSGKGSFMRLENSHWSNSNR -GYEYGLNLDALEGYEIANPRMIKALKQRRGRECYDIETGKLVPLDPARVQVPINRIVPHVLVDTSAAAKP -GFLENRLTVDRWDQVHSFKTRAAVKFAELTKRVSYNSVLDLGAAPGGVTDYCVKKGKTVTSVSEQWDTKP -RGAVVVTADINGPLNNLGIFDLVFCDAAGPRRYHHYAQCEDHAVLFTSACKHGVERTAKGGVFIVKAYGM -ADRRTERAVEGTARYFRSVSVEKPVSSRITNVEVFFKFSGRCRPHARSIAHLGPQLTDIYARTWKAYKML -ARGSVADKVKVAEILNSMVGAAPGYRVLNRNIITAEEEVLVNAANSNGRPGDGVCGALYGAFGDAFPNGA -IGAGNAVLVRGLEATIIHAAGADFREVDEETGARQLRAAYRAAATLVTANGITSAAIPLLSTHIFSNGRN -RLEQSFSALVEAFDTTECDVTIYCLANNMAARIQQLIDAHAREEFDEEVVVEEEEEHEADAMSDTETLSS -FGDETVWVPKHSTLAGRPGYSAYYGDRRSLFVGTKFHRAAVAMSSIEAAWPKTKEANAKLIEYIRGQHLV -DVLKSCPVDDIPVGRPPSSLPCGCIYAMTPERVTVLKQRPQEGFVVCSAFKLPLTNIQDVTKVECTVRAP -AEEPRPVRHLQERRPAQAAVRQLRPAAVAASVAASHTASRTSTASSRRTPAPGSVQVRLLPPRDGTESRS -SRMGSQSSVTSSAGSVPPAPRRAPAVSAASLASSAHSRSVRSAPAMRAASAGARSVRSAQSGSTGHRAGA -FSVAGSVRQPSGPPSSVSTPAAIRGLTRDQFDAVRVRARRNLELEGSEHGSQSSFHSGSLAVGSSASSYS -QRSDDQDTGTEPSSRGAAVRTRRRGQRDGLGGYIFSSDQGTAHLSQHNTQTNNTTEVLMRTSVLPSNDHG -TPDLPAETRKRLAYQMRPTQKNKSRYLSAKVHNMKHKIVRCLQRGAGHYLREQHALPLWKNTFPKPRYSD -ACVVKFESVNTAIVAANMFIGCNYPTLSSFGITDKYDAYLDMVDGLNCNLDTVTFDPAKVRSLPKKSEYN -QPLIQSQVPGPMTSTLQSILMAATKRNCNVTQMRELPTMDSAAMNVEAFKSFACKDTDLWTEFAEKPVRL -SPGQIEEYVFHLQGAKANVMHSRVEAVCPDLSEVAMDRFTLDMKRDVKVTPGTKHVEERPKVQEIQAADP -MATAYLCAIHRELVRRLKAVLKPSIHVLFDMSSEDFDAIVGHGMKLGDKVLETDISSFDKSQDQAMAVTA -LMLLRDLGVEEDLLTLIEASFGDITSAHLPTGTRFQFGSMMKSGLFLTLFVNTLLNITIAARVLREQLAD -TRCAAFIGDDNVITGVVSDDMMVARCASWLNMEVKIMDMEIGNMSPYFCGGFLLLDTVTGTVSRVSDPVK -RLMKMGKPALNDPETDVDRCRALREEVESWYRVGIQWPLQVAAATRYGVNHLPLATMAMATLAQDLRSYL -GARGEYVSLYV - ->NP_640330.1 nonstructural polyprotein [Western equine encephalitis virus] -MERIHVDLDADSPYVKSLQRTFPQFEIEARQVTDNDHANARAFSHVATKLIESEVDRDQVILDIGSAPVR -HAHSNHRYHCICPMISAEDPDRLQRYAERLKKSDITDKNIASKAADLLEVMSTPDAETPSLCMHTDATCR -YFGSVAVYQDVYAVHAPTSIYHQALKGVRTIYWIGFDTTPFMYKNMAGSYPTYNTNWADERVLEARNIGL -GNSDLQESRLGKLSILRKKRLQPTNKIIFSVGSTIYTEDRSLLRSWHLPNVFHLKGKSNFTGRCGTIVSC -EGYVIKKITISPGLYGKVENLASTMHREGFLSCKVTDTLRGERVSFAVCTYVPATLCDQMTGILATDVSV -DDAQKLLVGLNQRIVVNGRTQRNTNTMQNYLLPVVAQAFSRWAREHRADLDDEKELGVRERTLTMGCCWA -FKTQKITSIYKKPGTQTIKKVPAVFDSFVIPRLTSHGLDMGFRRRLKLLLEPTVKPAPAITMADVEHLRG -LQQEAEEVAAAEEIREALPPLLPEIEKETVEAEVDLIMQEAGAGSVETPRGHIRVTSYPGEEKIGSYAIL -SPQAVLNSEKLACIHPLAEQVLVMTHKGRAGRYKVEPYHGKVIVPEGTAVPVQDFQALSESATIVFNERE -FVNRYLHHIAINGGALNTDEEYYKTVKTQDTDSEYVFDIDARKCVKREDAGPLCLTGDLVDPPFHEFAYE -SLKTRPAAPHKVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIRDVRRMRRMDVAARTVDSV -LLNGVKHPVNTLYIDEAFACHAGTLLALIAIVKPKKVVLCGDPKQCGFFNMMCLKVHFNHDICTEVYHKS -ISRRCTQTVTAIVSTLFYDKRMKTVNPCADKIIIDTTGTTKPHKDDLILTCFRGWVKQLQIDYKNHEIMT -AAASQGLTRKGVYAVRYKVNENPLYSQTSEHVNVLLTRTEKRIVWKTLAGDPWIKTLTAKYPGDFTASLD -DWQREHDAIMARVLDKPQTADVFQNKVNVCWAKALEPVLATANIVLTRQQWETLHPFKHDRAYSPEMALN -FFCTRFFGVDLDSGLFSAPTVALTYRDQHWDNSPGKNMYGLNREVAKELSRRYPCITKAVDTGRVADIRN -NTIKDYSPTINVVPLNRRLPHSLIVDHKGQGTTDHSGFLSKMKGKSVLVIGDPISIPGKKVESMGPLPTN -TIRCDLDLGIPSHVGKYDIIFVNVRTPYRNHHYQQCEDHAIHHSMLTCKAVHHLNTGGTCVAIGYGLADR -ATENIITAVARSFRFTRVCQPKNTAENTEVLFVFFGKDNGNHTHDQDRLGVVLDNIYQGSTRYEAGRAPA -YRVIRGDISKSADQAIVNAANSKGQPGSGVCGALYRKWPAAFDRQPIAVGTARLVKHEPLIIHAVGPNFS -KMPEPEGDLKLAAAYMSIASIVNAERITKISVPLLSTGIYSGGKDRVMQSLHHLFTAFDTTDADVTIYCL -DKQWETRIIEAIHRKESVEILDDDKPVDIDLVRVHPNSSLAGRPGYSVNEGKLYSYLEGTRFHQTAKDIA -EIHAMWPNKSEANEQICLYILGESMSSIRSKCPVEESEASAPPHTLPCLCNYAMTAERVYRLRSAKKEQF -AVCSSFLLPKYRITGVQKLQCSKPVLFSGVVPPAVHPRKYAEIILETPPPPATTTVICEPTVPERIPSPV -ISRAPSAESLLSLGGVSFSSSATRSSTAWSDYDRRFVVTADVHQANTSTWSIPSAPGLDVQLPSDVTDSH -WSIPSASGFEVRTPSVQDLTAECAKPRGLAEIMQDFNTAPFQFLSDYRPVPAPRRRPIPSPRSTASAPPV -PKPRRTKYQQPPGVARAISEAELDEYIRQHSNXRYEAGAYIFSSETGQGHLQQKSVRQCKLQEPILDRAV -HEKYYAPRLDLEREKMLQKKLQLCASEGNRSRYQSRKVENMKAITAERLISGLGTYLSSEVNPVECYRVN -YPVPIYSSTVINRFTSAEVAVKTCNLVIQENYPTVASYCITDEYDAYLDMVDGASCCLDTATFCPAKLRS -YPKKHSYLQPEIRSAVPSPIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVDCFKKYACNDEYWDTF -RDNPIRLTTENVTQYVTKLKGPKAAALFANTHNLKPLQEIPMDQFVMDLKRDVKVTPGTKHTEERPKVQV -IQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDAIIAEHFHHGDPVLETDIASFDKSEDD -AIAISALMILEDLGVDQPLLDLIEAAFGNITSVHLPTGTRFKFGAMMKSGMFLTLFVNTLVNIMIASRVL -RERLTTSACAASIGDDNIVHGVVSDTLMAERCATWLNMEVKIIDAVIGIKAPYFCGGFILVDQITGTACR -VADPLKRLFKLGKPLPVDDTQDCDRRRALHDEAMRWNRIGITDELVKAVESRYEIILAGLIITSLSTLAE -SVKNFKSIRGSPITLYG - ->NP_598184.1 Nonstructural polyprotein [Sleeping disease virus] -MMLNLTANPSAGTTVTVDLPADHPALNQFKTAFPGFEVVASNRSSNDHAAARAFSHLATKWIERDIDGRQ -VIVADIGSAPARRVGAPDNVTYHSVCPRKCAEDPERLASYARKLVRAVEKGDGHLVSDRITDLKDVLENP -DTSLETTSICLNDDVSCKVKADIAVYQDVYAVDAPSTIYAQADKGTRVVYWIGFEPFVFHTDAMAGSFPL -YDANWSDSAVLAAKNLPLCYSGLSEDSIKWRFRFRDKPLVPSGEIHYSVGSTHYVEDRDKLKSWHLPSTF -HFVAPNKYTCRCDTVVSCGGYVVKKITICEGIVGRPANEELATSYHRDGVVVTKFSDTINHEQVSFPVVT -YIPAVICDQMTAMTADPVKYPDVVKLLVGLNQRIVVNGTTVRNVNSMDNSLIPVFARALCSWADEARRDM -EDEQDMYGVTSVTTWICICRAYDKRQQHTFYRRPKQSSGIYVPAKFTGSLRASLSATYLNLPLKQLLLNT -LKRAIKPGDQALADETEARAHDAAEVHELTEEEGRQQAANPSYIADVLGQDDEEEVDDGMSNVDLGEEDG -VGSTIIDCQRGTVKVITAFGDNTMGEYLVLSPVTVLRTRKLAVLLGPLAEEVMQYVHKGRTGRYAIEKNN -LKVLIPTGVSLKTAHFQALTESATLTYNDYLFTCRTLDQLATRGSAKNTDEVYYKLVDAAKAKDEYVYEL -SSKQCVKKEDATGTVLQGDICNPPYHQFAFEALRKRPAHTHDVHTIGIYGVPGAGKTAIITTEVTTRDLV -ASGKKENCEDIKRCVLERRGLKIAARTVDSLLYGAYRGAVDTLYVDEAYACHSGTLLALIAAVRPTGKVV -LCGDPKQVGCVNQLQMRMHYNHEISDRVLRKNISRRCTHTLTAIVSNLNYEGRMKTTNPCKKPVLIDTTG -STKPDKEALVLTCFRGWVKDLKILYPHNELMTAAASQGLTREKVYAVRCRVTSNPLYEPTSEHITVLLTR -TNDELVWKTLPNDPLIPILSKPPKGDYSATMEDWEDEHNGILAALREACVPRMNFAHGKRNTCWAVTSSR -VLHEAGVLITPEDFNRIFPAFREDKPHSALAALDAVAALVWGLDTSSGILSGKGSFMRLENSHWSNSNRG -YEYGLNLDALEGYEIANPRMIKALKQRRGRECYDIETGKLVPMDPGRVQVPINRVVPHVLVDTSAAAKPG -FLENRLSVDRWDQVHSFKTRAAVKFAELTKRVSYNSVLDLGAARGGVTDYCVKKGKTVTCVSEQWDSKPR -GAVVITADINGPLNNLGIFDLVFCDAAGPRRYHHYAQCEDHARRSTSACKHGVERTAKGGVFIVKAYGMA -DRRTERAVECTARYFKSVSVEKPVSSRITNVEVFFKFSGRCRPHARSIAHLGPQLTDIYARTRKAYKMLA -RGSVADKVKVAEILNSMVGAAPGYRVLNKNIITAEEEVLVNAANSNGRPGDGVCGALYGAFGDAFPNGAI -GAGNAVLVRGLEATIIHAAGADFREVDEETGARQLRAAYRAAATLVTANGITSAAIPLLSTHIFSNGRNR -LEQSFGALVEAFDTTECDVTIYCLANNMAARIQQLIDDHAREEFDEEVVVEEEEEHEANAMCDTETLSSF -GDETVWVPKHSTLAGRPGYSATYGDRRSLFVGTKFHRAAVAMSSIEAAWPRTKEANAKLIEYIRGQHLVD -VLKSCPVNDIPVGRPPSSLPCGCIYAMTPERVTVLKQRPQEGFVVCSAFKLPLTNIQDVTKVECTVRAPA -EEPRPVRYLQERRPVQAAARQPRPAIVAASVAGTATSRRTPAPGSVQVRLLPPRDGTVSRSSRTSSQSSV -TSSAGPIMPVPRRAPVAPAASLAGSVHSHSVRSAPAILRAASTGARSVRSVQSGLTGHRDDAVSVAGSVR -QPSGPPSSVSTPAAPRGLTREQFGAVRARARRDLELEGSEHGSQASFRSGSLVVGSTASSYSQRPDDQDT -GSEPSGRGAAVRTRRRGQRDGPGGYIFSSDQGTAHLSQHNTQTNNTTEVLMRTSVLPSNDHGTPDLLAEM -KKRLAYQMRPTQKNKSRYLSAKVHNMKHKIVQCLQRGAGHYLREQHALPLWKNTFPKPRYSDACVVKFES -VNTAIVAANMFIGCNYPTLSSFGVTDKYDAYLDMVDGLNCNLDTVTFEPAKVRSLPKKSKYNQPLIQSQV -PGPMASTLQSILMAATKRNCNVTQMRELPTMDSAAMNVEAFKKFACKDTDLWTEFAEKPVRLSPGQIEEY -VFHLQGAKANVMHSRVEAACPDLSEVAMDRFTLDMKRDVKVTPGTKHVEERPKVQVIQAADPMATAYLCA -IHRELVRRLKAVLKPSIHVLFDMSSEDFDAIVGHGMKLGDKVLETDISSFDKSQDQAMAVTALMLLEDLG -VEEDLLTLIEASFGDITSVHLPTGTRFQFGSMMKSGLFLTLFVNTLLNITIAARVLREQLADTRCAAFIG -DDNVITGVVSDDMMVARCASWLNMEVKIMEMEIGDRSPYFCGGFLLLDTVTGTVSRVSDPVKRLMKMGKP -ALNDPETDVDRCRALREEVESWYRVGIQWPLQVAAATRYGVNHLPLATMAMATLAQDLRSYLGARGEYVS -LYA - ->NP_579968.1 nonstructural polyprotein nsP1-nsP2-nsP3-nsP4 [Mayaro virus] -MSKVFVDIEAESPFLKSLQRAFPAFEVEAQQVTPNDHANARAFSHLATKLIEQETEKDTLILDIGSAPAR -RMMSEHTYHCVCPMRSAEDPERLLYYARKLAKASGEVVDRNIAAKIDDLQSVMATPDNESRTFCLHTDQT -CRTQAEVAVYQDVYAVHAPTSLYFQAMKGVRTAYWIGFDTTPFMFDTMAGAYPTYATNWADEQVLKARNI -GLCSASLTEGHLGKLSIMRKKKMTPSDQIMFSVGSTLYIESRRLLKSWHLPSVFHLKGRQSYTCRCDTIV -SCEGYVVKKITMSPGVFGKTSGYAVTHHAEGFLVCKTTDTIAGERVSFPICTYVPSTICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVSQAFSKWAKEYRLDQEDEKNMGMRERTLTCCCL -WAFKTHKNHTMYKKPDTQTIVKVPSEFNSFVIPSLWSAGLSIGIRHRIRLLLQSRRVEPLVPSMDVGEAR -AAEREAAEAKEAEDTLAALPPLIPTAPVLDDIPEVDVEELEFRAGAGVVETPRNALKVTPQDRDTMVGSY -LVLSPQTVLKSVKLQALHPLAESVKIITHKGRAGRYQVDAYDGRVLLPTGAAIPVPDFQALSESATMVYN -EREFINRKLYHIAVHGAALNTDEEGYEKVRAESTDAEYVYDVDRKQCVKREEAEGLVMIGDLINPPFHEF -AYEGLKRRPAAPYKTTVVGVFGVPGSGKSGIIKSLVTRGDLVASGKKENCQEIMLDVKRYRDLDMTAKTV -DSVLLNGVKQTVDVLYVDEAFACHAGTLLALIATVRPRKKVVLCGDPKQCGFFNLMQLQVNFNHNICTEV -DHKSISRRCTLPITAIVSTLHYEGRMRTTNPYNKPVIIDTTGQTKPNREDIVLTCFRGWVKQLQLDYRGH -EVMTAAASQGLTRKGVYAVRMKVNENPLYAQSSEHVNVLLTRTEGRLVWKTLSGDPWIKTLSNIPKGNFT -ATLEDWQREHDTIMRAITQEAAPLDVFQNKAKVCWAKCLVPVLETAGIKLSATDWSAIILAFKEDRAYSP -EVALNEICTKIYGVDLDSGLFSAPRVSLHYTTNHWDNSPGGRMYGFSVEAANRLEQQHPFYRGRWASGQV -LVAERKTQPIDVTCNLIPFNRRLPHTLVTEYHPIKGERVEWLVNKIPGYHVLLVSEYNLILPRRKVTWIA -PPTVTGADLTYDLDLGLPPNAGRYDLVFVNMHTPYRLHHYQQCVDHAMKLQMLGGDALYLLKPGGSLLLS -TYAYADRTSEAVVTALARRFSSFRAVTVRCVTSNTEVFLLFTNFDNGRRTVTLHQTNGKLSSIYAGTVLQ -AAGCAPAYAVKRADIATAIEDAVVNAANHRGQVGDGVCRAVARKWPQAFRNAATPVGTAKTVKCDETYII -HAVGPNFNNTSEAEGDRDLAAAYRAVAAEINRLSISSVAIPLLSTGIFSAGKDRVHQSLSHLLAAMDTTE -ARVTIYCRDKTWEQKIKTVLQNRSATELVSDELQFEVNLTRVHPDSSLVGRPGYSTTDGTLYSYMEGTKF -HQAALDMAEITTLWPRVQDANEHICLYALGETMDNIRARCPVEDSDSSTPPKTVPCLCRYAMTPERVTRL -RMHHTKDFVVCSSFQLPKYRIPGVQRVKCEKVMLFDAAPPASVSPVQYLTNQSETTISLSSFSITSDSSS -LSTFPDLESAEELDHDSQSVRPALNEPDDHQPTPTAELATHPVPPPRPNRARRLAAARVQVQVEVHQPPS -NQPTKPIPAPRTSLRPVPAPRRYVPRPVVELPWPLETIDVEFGAPTEEESDITFGDFSASEWETISNSSX -LGRAGAYIFSSDVGPGHLQQKSVRQHDLEVPIMDRVIEEKVYPPKLDEAKEKQLLLKLQMHATDANRSRY -QSRKVENMKATIIDRLKQGSAYYVSAAADKAVTYHVRYAKPRYSVPVMQRLSSATIAVATCNEFLARNYP -TVASYQITDEYDAYLDMVDGSESCLDRANFCPAKLRCYPKHHAYHMPQIRSAVPSPFQNTLQNVLAAATK -RNCNVTQMRELPTLDSAVYNVECFRKYACNNEYWEEFAKKPIRITTENLTTYVTKLKGGKAAALFAKTHN -LVPLQEVPMDRFIMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAVLLPNIHT -LFDMSAEDFDAIISEHFKPGDHVLETDIASFDKSQDDSLALTGLMILEDLGVDNQLLDLIEAAFGQITSC -HLPTGTRFKFGAMMKSGMFLTLFINTVLNITIASRVLEARLTNSACAAFIGDDNVVHGVVSDKLMADRCA -TWVNMEVKIIDAVMCIKPPYFCGGFLVYDHVTRTACRIADPLKRLFKLGKPLPADDCQDEDRRRALYDEV -KKWSRSGLGSEIEVALASRYRLEGSYNLLLAMSTFAHSMKNFSALRGPVIHLYGGPK - ->NP_062888.1 hypothetical protein [Sindbis virus] -MEKPVVNVDVDPQSPFVVQLQKSFPQFEVVAQQVTPNDHANARAFSHLASKLIELEVPTTATILDIGSAP -ARRMFSEHQYHCVCPMRSPEDPDRMMKYASKLAEKACKITNKNLHEKIKDLRTVLDTPDAETPSLCFHND -VTCNMRAEYSVMQDVYINAPGTIYHQAMKGVRTLYWIGFDTTQFMFSAMAGSYPAYNTNWADEKVLEARN -IGLCSTKLSEGRTGKLSIMRKKELKPGSRVYFSVGSTLYPEHRASLQSWHLPSVFHLNGKQSYTCRCDTV -VSCEGYVVKKITISPGITGETVGYAVTHNSEGFLLCKVTDTVKGERVSFPVCTYIPATICDQMTGIMATD -ISPDDAQKLLVGLNQRIVINGRTNRNTNTMQNYLLPIIAQGFSKWAKERKDDLDNEKMLGTRERKLTYGC -LWAFRTKKVHSFYRPPGTQTCVKVPASFSAFPMSSVWTTSLPMSLRQKLKLALQPKKEEKLLQVSEELVM -EAKAAFEDAQEEARAEKLREALPPLVADKGIEAAAEVVCEVEGLQADIGAALVETPRGHVRIIPQANDRM -IGQYIVVSPNSVLKNAKLAPAHPLADQVKIITHSGRSGRYAVEPYDAKVLMPAGGAVPWPEFLALSESAT -LVYNEREFVNRKLYHIAMHGPAKNTEEEQYKVTKAELAETEYVFDVDKKRCVKKEEASGLVLSGELTNPP -YHELALEGLKTRPAVPYKVETIGVIGTPGSGKSAIIKSTVTARDLVTSGKKENCREIEADVLRLRGMQIT -SKTVDSVMLNGCHKAVEVLYVDEAFACHAGALLALIAIVRPRKKVVLCGDPMQCGFFNMMQLKVHFNHPE -KDICTKTFYKYISRRCTQPVTAIVSTLHYDGKMKTTNPCKKNIEIDITGATKPKPGDIILTCFRGWVKQL -QIDYPGHEVMTAAASQGLTRKGVYAVRQKVNENPLYAITSEHVNVLLTRTEDRLVWKTLQGDPWIKQPTN -IPKGNFQATIEDWEAEHKGIIAAINSPTPRANPFSCKTNVCWAKALEPILATAGIVLTGCQWSELFPQFA -DDKPHSAIYALDVICIKFFGMDLTSGLFSKQSIPLTYHPADSARPVAHWDNSPGTRKYGYDHAIAAELSR -RFPVFQLAGKGTQLDLQTGRTRVISAQHNLVPVNRNLPHALVPEYKEKQPGPVKKFLNQFKHHSVLVVSE -EKIEAPRKRIEWIAPIGIAGADKNYNLAFGFPPQARYDLVFINIGTKYRNHHFQQCEDHAATLKTLSRSA -LNCLNPGGTLVVKSYGYADRNSEDVVTALARKFVRVSAARPDCVSSNTEMYLIFRQLDNSRTRQFTPHHL -NCVISSVYEGTRDGVGAAPSYRTKRENIADCQEEAVVNAANPLGRPGEGVCRAIYKRWPTSFTDSATETG -TARMTVCLGKKVIHAVGPDFRKHPEAEALKLLQNAYHAVADLVNEHNIKSVAIPLLSTGIYAAGKDRLEV -SLNCLTTALDRTDADVTIYCLDKKWKERIDAALQLKESVTELKDEDMEIDDELVWIHPDSCLKGRKGFST -TKGKLYSYFEGTKFHQAAKDMAEIKVLFPNDQESNEQLCAYILGETMEAIREKCPVDHNPSSSPPKTLPC -LCMYAMTPERVHRLRSNNVKEVTVCSSTPLPKHKIKNVQKVQCTKVVLFNPHTPAFVPARKYIEVPEQPT -APPAQAEEAPEVVATPSPSTADNTSLDVTDISLDMDDSSEGSLFSSFSGSDNSITSMDSWSSGPSSLEIV -DRRQVVVADVHAVQEPAPIPPPRLKKMARLAAARKEPTPPASNSSESLHLSFGGVSMSLGSIFDGETARQ -AAVQPLATGPTDVPMSFGSFSDGEIDELSRRVTESEPVLFGSFEPGEVNSIISSRSAVSFPLRKQRRRRR -SRRTEYXLTGVGGYIFSTDTGPGHLQKKSVLQNQLTEPTLERNVLERIHAPVLDTSKEEQLKLRYQMMPT -EANKSRYQSRKVENQKAITTERLLSGLRLYNSATDQPECYKITYPKPLYSSSVPANYSDPQFAVAVCNNY -LHENYPTVASYQITDEYDAYLDMVDGTVACLDTATFCPAKLRSYPKKHEYRAPNIRSAVPSAMQNTLQNV -LIAATKRNCNVTQMRELPTLDSATFNVECFRKYACNDEYWEEFARKPIRITTEFVTAYVARLKGPKAAAL -FAKTYNLVPLQEVPMDRFVMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLTAVL -LPNIHTLFDMSAEDFDAIIAEHFKQGDPVLETDIASFDKSQDDAMALTGLMILEDLGVDQPLLDLIECAF -GEISSTHLPTGTRFKFGAMMKSGMFLTLFVNTVLNVVIASRVLEERLKTSRCAAFIGDDNIIHGVVSDKE -MAERCATWLNMEVKIIDAVIGERPPYFCGGFILQDSVTSTACRVADPLKRLFKLGKPLPADDEQDEDRRR -ALLDETKAWFRVGITGTLAVAVTTRYEVDNITPVLLALRTFAQSKRAFQAIRGEIKHLYGGPK - ->NP_062879.1 nonstructural polyprotein [Ross River virus] -MKVTVDVEADSPFLKALQKAFPAFEVESQQVTPNDHANARAFSHLATKLIEQEVPANITILDVGSAPARR -LMSDHSYHCICPMKSAEDPERLANYARKLAKTAGEVLDKNVSGKITDLQDVMATPDLESPTFCLHTDETC -RTRAEVAVYQDVXXHAPTSLYHQAMKGVRTVYWIGFDTTPFMFEVVAGAYPTYSTNWADEQVLQARNIGL -CATSLSEGHRGKISIMRKKRLRPSDRXMFSVGXTLYIESRRLLKSWHLPSVFHLKGKNSFTCRCDTIVSC -EGYVVKKITMSPGTYGKTVGYAVTHHAEGFLMCKVTDTVRGERVSFPVCTYVPATICDQMTGILATDVTP -EDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFSKWAREAKADMEDEKPLGTRERTLTCCCLWA -FKNHKTHTMYKRPDTQTIVKVPSTFDSFVIPSLWSSSLSIGIRQRIKLLLGPKLSRDLPYSGDRNEAREA -EKEAEETKEAELTREALPPLVGSNCADDVDQVDVEELTYRAGAGVVETPRNALKVTPQERDQLIGAYLIL -SPQTVLKSEKLTPIHPLAEQVTIMTHSGRSGRYPVDRYDGRVLVPTGAAIPVSEFQALSESATMVYNERE -FINRKLHHIALYGPALNTDEENYEKVRAERAEAEYVFDVDKRTCVKREDASGLVLVGDLINPPFHEFAYE -GLKIRPATPFQTTVIGVFGVPGSGKSAIIKSVVTTRDLVASGKKENCQEIVNDVKKQRGLDVTARTVDSI -LLNGCRRGVENLYVDEAFACHSGTLLALIAMVKPTGKVILCGDPKQCGFFNLMQLKVNFNHDICTQVLHK -SISRRCTLPITAIVSTLHYQGKMRTTNLCSAPIQIDTTGTTKPAKGDIVLTCFRXWVKQLQIDYRGHEVM -TAAASQGLTRKGVYAVRQKVNENPLYAPSSEHVNVLLTRTENRLVWKTLSGDPWIKVLTNIPKGDFSATL -EEWQEEHDNIMNALRERSTAVDPFQNKAKVCWAKCLVQVLETAGIRMTAEEWDTVLAFREDRAYSPEVAL -NEICTKYYGVDLDSGLFSAQSVSLYYENNHWDNRPGGRMYGFNREVARKFEQRYPFLRGKMDSGLQVNVP -ERKVQPFNAECNILLLNRRLPHALVTSYQQCRGERVEWLLKKLPGYHLLLVSEYNLALPHKRVFWIAPPH -VSGADRIYDLDLGLPLNAGRYDLVFVNIHTEYRTHHYQQCVDHSMKLQMLGGDSLHLLXPGGSLLIRAYG -YADRVSEMVVTALARKFSAFRVLRPACVTSNTEVFLLFTNFDNGRRAVTLHQANQRLSSMFACNGLHTAG -CAPSYRVRRTDISGHAEEAVVNAANAKGTVGVGVCRAVARKWPDSFKGAATPVGTAKLVQANGMNVIHAV -GPNFSTVTEAEGDRELAAAYRAVAGIINASNIKSVAIPLLSTGVFSGGKDRVMQSLNHLFTAMDTTDADV -VIYCRDKAWEKKIQEAIDRRTAVELVSEDISLESDLIRVHPDSCLVGRKGYSITDGKLHSYLEGTRFHQT -AVDMAEISTLWPKLQDANEQICLYALGESMDSIRTKCPVEDADSSTPPKTVPCLCRYAMTAERVARLRMN -NTKAIIVCSSFPLPKYRIEGVQKVKCDRVLIFDQTVPSLVSPRKYIPAAASMHADTVSLDSTVSTGSAWS -FPSEATYETMEVVAEVHHSEPPVPPPRRRRAQVTMHHQELLEVSDMHTPIAARVEIPVYDTAVVAERVAI -PCTSEYATPIPTPRAVRVVPVPAPRIQRASTYRVSPTPTPRVLRASVCSVTTSAGVEFPWAPEDLEVLTE -PVHCEMREPVELPWEPEDVDIQFGDFETPDKIQFGDIDFDQFXLSRAGAYIFSSDTGPGHLQQKSVRQHA -LPCEMLYAHEEERTYPPALDEAREKLLQAKMQMAPTEANKSRYQSRKVENMKAVIIDRLKDGARTYLAEQ -SEKIPTYASKYPRPVYSPSVEDSLQSPEVAVAACNAFLEANYPTVASYQITDEYDAYLDMVDGSESCLDR -ATFCPAKLRCYPKHHAYHQPQVRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTLDSAVFNVECFKKF -ACNGEYWQEFKDDPIRITTENITTYVTRLKGPKAAALFAKTHNLVPLQEVPMDRFVVDMKRDVKVTPGTK -HTEERPKVQVIQAAEPLATAYLCGIHRELVRRLKAVLAPNIHTLFDMSAEDFDAIIAAHFQPGDAVLETD -IASFDKSQDDSLALTALMLLEDLGVDQELLDLIEEAFGEITSVHLPTGTRFKFGAMMKSGMFLTLFINTL -LNIVIACRVLREKLTNSICAAFIGDDNIVHGVRSDPLMAERCASWVNMEVKIIDATMCEKPPYFCGGFIL -YDNVTGSACRVADPLKRLFKLGKPLPAGDTQDEDRRRALKDETDRWARVGLKSELEIALSSRYEVNGTGN -IVRAMATLAKSLKNFKKLRGPIVHLYGGPK - ->NP_041254.1 hypothetical protein Ovgp1 [Onyong-nyong virus] -MDSVYVDIDADSAFLKALQQAYPMFEVEPKQVTPNDHANARAFSHLAIKLIEQEIDPDSTILDIGSAPAR -RMMSDRKYHCVCPMRSAEDPERLANYARKLASAAGKVTDKNISGKINDLQAVMAVPNMETSTFCLHTDAT -CKQRGDVAIYQDVYAVHAPTSLYHQAIKGVRVAYWIGFDTTPFMYNAMAGAYPSYSTNWADEQVLKAKNI -GLCSTDLSEGRRGKLSIMRGKKLKPCDRVLFSVGSTLYPESRKLLQSWHLPSVFHLKGKLSFTCRCDTIV -SCEGYVVKRVTMSPGIYGKTSGYAVTHHAGGFLMCKTTDTVDGERVSFSVCTYVPATICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPIVAQAFSKWAKECRKDMEDEKLLGVRERTLTCCCL -WAFRKHKTHTVYKRPDTQSIQKVPAEFDSFVIPSLWSSGLSIPLRTRIKWLLSKAPKYEQLPHSGNAEEA -AQAETDAVEEQEAELTREAMPPLQATQDDIQVEIDVEQLEDRAGAGIVETPRGAIKVTAQPSDLVVGEYL -VLTPQAVLRSQKLSLIHALAEQVKTCTHSGRAGRYAVEAYDGRVLVPSGYAIPQEDFQSLSESATMVFNE -REFVNRKLHHIAMHGPALNTDEESYELVRVEKTEHEYVYDVDQKKCCKREEATGLVLVGDLTSPPYHEFA -YEGLKIRPACPYKTAVIGVFGVPGSGKSAIIKNLVTRQDLVTSGKKENCQEISNDVMRQRKLEISARTVD -SLLLNGCNKPVEVLYVDEAFACHSGTLLALIAMVRPRQKVVLCGDPKQCGFFNMMQMKVNYNHNICTQVY -HKSISRRCTLPVTAIVSSLHYESKMRTTNEYNQPIVVDTTGITKPEPGDLVLTCFRGWVKQLQIDYRGNE -VMTAAASQGLTRKGVYAVRQKVNENPLYAPTSEHVNVLLTRTEGKLTWKTLSGDPWIKILQNPPKGDFKA -TIKEWEAEHASIMAGICNHQMAFDTFQNKANVCWAKCLVPILDTAGIKLSDRQWSQIVQAFKEDRAYSPE -VALNEICTRIYGVDLDSGLFSKPLISVYYADNHWDNRPGGKMFGFNPEVALMLEKKYPFTKGKWNINKQI -CITTRKVDEFNPETNIIPANRRLPHSLVAEHHSVRGERMEWLVNKISGHHMLLVSGHNLILPTKRVTWVA -PLGTRGADYTYNLELGLPATLGRYDLVVINIHTPFRIHHYQQCVDHAMKLQMLGGDSLRLLKPGGSLLIR -AYGYADRTSERVISVLGRKFRSSRALKPQCITSNTEMFFLFSRFDNGRRNFTTHVMNNQLNAVYAGLATR -AGCAPSYRVKRMDIAKNTEECVVNAANPRGVPGDGVCKAVYRKWPESFRNSATPVGTAKTIMCGQYPVIH -AVGPNFSNYSEAEGDRELASVYREVAKEVSRLGVSSVAIPLLSTGVYSGGKDRLLQSLNHLFAAMDSTDA -DVVIYCRDKEWEKKITEAISLRSQVELLDDHISVDCDIVRVHPDSSLAGRKGYSTVEGALYSYLEGTRFH -QTAVDMAEIYTMWPKQTEANEQVCLYALGESIESVRQKCPVDDADASFPPKTVPCLCRYAMTPERVARLR -MNHTTSIIVCSSFPLPKYKIEGVQKVKCSKALLFDHNVPSRVSPRTYRPADEIIQTPQTPTEACQDAQLV -QSINDEAVPVPSDLEACDATMDWPSIGTVSTRQRHDSSDSEYSGSRSNIQLVTADVHAPMYAHSLASSGG -SMLSLSSEPAQNGTMILLDSEDTDSISRVSTPIAPPRRRLGRTINVTCDEREGKILPMASDRFFTAKPYT -VALSVSTADMTVYPIQAPLGLIPPPTLEPITFGDFAEGEIDNLLTGALTFGDFEPGEVEELTDSEWSTCS -DTDEELRLDRAGGYIFSSDTGQGHLQQKSVRQTTLPVNIVEEVHEEKCYPPKLDEIKEQLLLKRLQESAS -TANRSRYQSRKVENMKATIIHRLKEGCRLYLASETPRVPSYRVTYPAPIYSPSINIKLTNPETAVAVCNE -FLARNYPTVASYQVTDEYDAYLDMVDGSESCLDRATFNPSKLRSYPKQHSYHAPTIRSAVPSPFQNTLQN -VLAAATKRNCNVTQMRELPTMDSAVFNVECFKKYACNQEYWREFASSPIRVTTENLTMYVTKLKGPKAAA -LFAKTHNLLPLQEVPMDRFTMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAV -LLPNVHTLFDMSAEDFDAIIATHFKPGDAVLETDIASFDKSQDDSLASTAMMLLEDLGVDQPILDLIEAA -FGEISSCHLPTGTRFKFGAMMKSGMFLTLFVNTLLNITIASRVLEERLTTSACAAFIGDDNIIHGVVSDA -LMAARCATWMNMEVKIIDAVVSEKAPYFCGGFILHDTVTGTSCRVADPLKRLFKLGKPLAAGDEQDEDRR -RALADEVTRWQRTGLVTELEKAVYSRYEVQGITAVITSMATFANSKENFKKLRGPVVTLYGGPK - ->NP_040822.1 non-structural polyprotein precursor P1234 [Venezuelan equine encephalitis virus] -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVDPSDTILDIGSAPAR -RMYSKHKYHCICPMRCAEDPDRLYKYATKLKKNCKEITDKELDKKMKELAAVMSDPDLETETMCLHDDES -CRYEGQVAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGMSILRKKYLKPSNNVLFSVGSTIYHEKRDLLRSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVMGCC -WAFRRHKITSIYKRPDTQTIIKVNSDFHSFVLPRIGSNTLEIGLRTRIRKMLEEHKEPSPLITAEDIQEA -KCAADEAKEVREAEELRAALPPLAADFEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYAGEDKIGSYA -VLSPQAVLKSEKLSCIHPLAEQVIVITHSGRKGRYAVEPYHGKVVVPEGHAIPVQDFQALSESATIVYNE -REFVNRYLHHIATHGGALNTDEEYYKTVKPSEHDGEYLYDIDRKQCVKKELVTGLGLTGELVDPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIRDVKKMKGLDVNARTVD -SVLLNGCKHPVETLYIDEAFACHAGTLRALIAIIRPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKRMRTTNPKETKIVIDTTGSTKPKQDDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAPTSEHVNVLLTRTEDRIVWKTLAGDPWIKILTAKYPGNFTAT -IEEWQAEHDAIMRHILERPDPTDVFQNKANVCWAKALVPVLKTAGIDMTTEQWNTVDYFETDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTVPLSIRNNHWDNSPSPNMYGLNKEVVRQLSRRYPQLPRAVATGRVYDM -NTGTLRNYDPRINLVPVNRRLPHALVLHHNEHPQSDFSSFVSKLKGRTVLVVGEKLSVPGKKVDWLSDQP -EATFRARLDLGIPGDVPKYDIVFINVRTPYKYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAIARQFKFSRVCKPKSSHEETEVLFVFIGYDRKARTHNPYKLSSTLTNIYTGSRLHEAGCA -PSYHVVRGDIATATEGVIINAANSKGQPGGGVCGALYKKFPESFDLQPIEVGKARLVKGAAKHIIHAVGP -NFNKVSEVEGDKQLAEAYESIAKIVNDNNYKSVAIPLLSTGIFSGNKDRLTQSLNHLLTALDTTDADVAI -YCRDKKWEMTLKEAVARREAVEEICISDDSSVTEPDAELVRVHPKSSLAGRKGYSTSDGKTFSYLEGTKF -HQAAKDIAEINAMWPVATEANEQVCMYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRITGVQKIQCSQPILFSPKVPAYIHPRKYLVETPPVEETPESPAENQSTEG -TPEQPALVNVDATRTRMPEPIIIEEEEEDSISLLSDGPTHQVLQVEADIHGSPSVSSSSWSIPHASDFDV -DSLSILDTLDGASVTSGAVSAETNSYFARSMEFRARPVPAPRTVFRNPPHPAPRTRTPPLAHSRASSRTS -LVSTPPGVNRVITREELEALTPSRAPSRSASRTSLVSNPPGVNRVITREEFEAFVAQQQRRFDAGAYIFS -SDTGQGHLQQKSVRQTVLSEVVLERTELEISYAPRLDQEKEELLRKKLQLNPTPANRSRYQSRRVENMKA -ITARRILQGLGHYLKAEGKVECYRTLHPVPLYSSSVNRAFSSPKVAVEACNAMLKENFPTVASYCIIPEY -DAYLDMVDGASCCLDTASFCPAKLRSFPKKHSYLEPTIRSAVPSAIQNTLQNVLAAATKRNCNVTQMREL -PVLDSAAFNVECFKKYACNNEYWETFKENPIRLTEENVVNYITKLKGPKAAALFAKTHNLNMLQDIPMDR -FVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATADLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDA -IIAEHFQPGDCVLETDIASFDKSEDDAMALTALMILEDLGVDAELLTLIEAAFGEISSIHLPTKTKFKFG -AMMKSGMFLTLFVNTVINIVIASRVLRERLTGSPCAAFIGDDNIVKGVKSDKLMADRCATWLNMEVKIID -AVVGEKAPYFCGGFILCDSVTGTACRVADPLKRLFKLGKPLAVDDEHDDDRRRALHEESTRWNRVGILPE -LCKAVESRYETVGTSIIVMAMTTLASSVKSFSYLRGAPITLYG - ->sp|P27282.3|POLN_EEVVT RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVDPSDTILDIGSAPAR -RMYSKHKYHCICPMRCAEDPDRLYKYATKLKKNCKEITDKELDKKMKELAAVMSDPDLETETMCLHDDES -CRYEGQVAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGMSILRKKYLKPSNNVLFSVGSTIYHEKRDLLRSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVMGCC -WAFRRHKITSIYKRPDTQTIIKVNSDFHSFVLPRIGSNTLEIGLRTRIRKMLEEHKEPSPLITAEDVQEA -KCAADEAKEVREAEELRAALPPLAADVEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYAGEDKIGSYA -VLSPQAVLKSEKLSCIHPLAEQVIVITHSGRKGRYAVEPYHGKVVVPEGHAIPVQDFQALSESATIVYNE -REFVNRYLHHIATHGGALNTDEEYYKTVKPSEHDGEYLYDIDRKQCVKKELVTGLGLTGELVDPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIRDVKKMKGLDVNARTVD -SVLLNGCKHPVETLYIDEAFACHAGTLRALIAIIRPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKKMRTTNPKETKIVIDTTGSTKPKQDDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAPTSEHVNVLLTRTEDRIVWKTLAGDPWIKTLTAKYPGNFTAT -IEEWQAEHDAIMRHILERPDPTDVFQNKANVCWAKALVPVLKTAGIDMTTEQWNTVDYFETDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTVPLSIRNNHWDNSPSPNMYGLNKEVVRQLSRRYPQLPRAVATGRVYDM -NTGTLRNYDPRINLVPVNRRLPHALVLHHNEHPQSDFSSFVSKLKGRTVLVVGEKLSVPGKMVDWLSDRP -EATFRARLDLGIPGDVPKYDIIFVNVRTPYKYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAIARQFKFSRVCKPKSSLEETEVLFVFIGYDRKARTHNPYKLSSTLTNIYTGSRLHEAGCA -PSYHVVRGDIATATEGVIINAANSKGQPGGGVCGALYKKFPESFDLQPIEVGKARLVKGAAKHIIHAVGP -NFNKVSEVEGDKQLAEAYESIAKIVNDNNYKSVAIPLLSTGIFSGNKDRLTQSLNHLLTALDTTDADVAI -YCRDKKWEMTLKEAVARREAVEEICISDDSSVTEPDAELVRVHPKSSLAGRKGYSTSDGKTFSYLEGTKF -HQAAKDIAEINAMWPVATEANEQVCMYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRITGVQKIQCSQPILFSPKVPAYIHPRKYLVETPPVDETPEPSAENQSTEG -TPEQPPLITEDETRTRTPEPIIIEEEEEDSISLLSDGPTHQVLQVEADIHGPPSVSSSSWSIPHASDFDV -DSLSILDTLEGASVTSGATSAETNSYFAKSMEFLARPVPAPRTVFRNPPHPAPRTRTPSLAPSRACSRTS -LVSTPPGVNRVITREELEALTPSRTPSRSVSRTSLVSNPPGVNRVITREEFEAFVAQQQXRFDAGAYIFS -SDTGQGHLQQKSVRQTVLSEVVLERTELEISYAPRLDQEKEELLRKKLQLNPTPANRSRYQSRKVENMKA -ITARRILQGLGHYLKAEGKVECYRTLHPVPLYSSSVNRAFSSPKVAVEACNAMLKENFPTVASYCIIPEY -DAYLDMVDGASCCLDTASFCPAKLRSFPKKHSYLEPTIRSAVPSAIQNTLQNVLAAATKRNCNVTQMREL -PVLDSAAFNVECFKKYACNNEYWETFKENPIRLTEENVVNYITKLKGPKAAALFAKTHNLNMLQDIPMDR -FVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDA -IIAEHFQPGDCVLETDIASFDKSEDDAMALTALMILEDLGVDAELLTLIEAAFGEISSIHLPTKTKFKFG -AMMKSGMFLTLFVNTVINIVIASRVLRERLTGSPCAAFIGDDNIVKGVKSDKLMADRCATWLNMEVKIID -AVVGEKAPYFCGGFILCDSVTGTACRVADPLKRLFKLGKPLAADDEHDDDRRRALHEESTRWNRVGILSE -LCKAVESRYETVGTSIIVMAMTTLASSVKSFSYLRGAPITLYG - ->sp|Q9WJC7.3|POLN_EEVVM RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVEPSDTILDIGSAPAR -RMYSKHKYHCICPMKCAEDPDRLFKYAAKLKKNCKEITDKELDKKMKELAEVMNDPDLETETICLHDDET -CRFEGQVAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGMSILRKKFLKPSNNVLFSVGSTIYHEKRDLLRSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVMGCC -WAFRKHKITSVYKRPDTQTIIKVNSDFHSFVLPRIGSSTLEIGLRTRIKKLLEEPVDRPPLITADDIQEA -KNAADEAKEVKEAEELRAALPPLSADVEEPALEADVDLMLQEAGAGSVETPRGLIKVTSYGGEDKIGSYA -VLSPQAVLRSEKLTCIHPLAEQVIVITHSGRKGRYAVEPYHGKVVVPEGQAIPVQDFQALSESATIVYNE -REFVNRYLHHIATHGGALNTDEEYYRVVKPSEHEGEYLYDIDKKQCVKKELVSGLGLTGELVDPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIRDVKKMKGLDVNARTVD -SVLLNGCKHPVETLYIDEAFACHAGTLRALIAIIRPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKRMRTTNPRDSKIEIDTTGSTKSKKEDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKSVYAVRYKVNENPLYAPTSEHVNVLLTRTEDKIVWKTLAGDPWIKTLTAKYPGDFTAT -MEEWQAEHDAIMRHILEKPDPTDVFQNKANVCWAKALVPVLKTAGIDLTTEQWNTVDYFKEDKAHSAEIV -LNQLCVRYFGLDLDSGLFSAPTVPLSIRNNHWDNSPSPNMYGLNHEVVRQLSRRYPQLPRAVTTGRVYDM -NTGTLRNYDPRINLVPVNRRLPHALVTQHADHPPSDFSAFVSKLKGRTVLVVGEKMNISGKAVDWLSETP -DATFRARLDLGIPTELPKYDIVFVNVRTQYRYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAVARQFKFSRVCKPKVSKEETEVLFVFIGFDRKTRTHNPYKLSSTLTNIYTGSGLHEAGCA -PSYHVVRGDIATATEGVIVNAANSKGQPGSGVCGALYRKYPESFDLQPIEVGKARLVKGSSKHIIHAVGP -NFSKVSEVEGDKQLAEAYESIAKIINDNNYRSVAIPLLSTGIFAGNKDRLMQSLNHLLTALDTTDADVAI -YCRDKKWEVTLKEVVARREAVEEICISEDSSVAEPDAELVRVHPKSSLAGRKGYSTSDGKTFSYLEGTKF -HQAAKDMAEINAMWPTATEANEQVCLYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRITGVQKIQCSHPILFSPKVPEYIHPRKYLADATPADNEAAEPTMECVQPL -QEERPANTEQPVEEDDSISVLSEDAPHQVHQVEAEVHRSLCASAQSSSWSIPRASDFESLSVLDSLGAND -TISMGSSSNETALALRTIFRTPPIPKPRVRSTSTDVDSISVLESLGSTSDVRSIGSSSDETDVSVFDKGL -EFMARPVPAPRTIFRTPPVPKPRARRPLHPLSSRSSSRSSLVSNPPGVNRVITREEFEAFVAQQQXRFDA -GAYIFSSDTGQGHLQQKSVRQTVLSEVVLERTELEISYAPRLDLNKEEILRKKLQLNPTQANRSRYQSRR -VENMKAITTKRILQGLGHYLKSEGKVECYRTLHPVPLYSASVNRAFSSPKVAVEACNVVLKENFPTVASY -CIIPEYDAYLDMVDGASCCLDTASFCPAKLRSFPKKHAYLEPTIRSAVPSAIQNTLQNVLAAATKRNCNV -TQMRELPVLDSAAFNVECFKKYACNNEYWETYKKNPIRLTEENVVNYITKLKGPKAAALYAKTHNLDMLQ -DIPMDRFIMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMS -AEDFDAIIAEHFQPGDWVLETDIASFDKSEDDAMALTALMILEDLGVDPELLTLIEAAFGEISSIHLPTK -TKFRFGAMMKSGMFLTLFVNTVINMVIASRVLRERLTNSPCAAFIGDDNIVKGVKSDKLMADRCATWLNM -EVKIIDAVVGEKAPYFCGGFILCDSVTGTACRVADPLKRLFKLGKPLAVDDEHDDDRRRALQEESARWNR -VGIFSELCKAVESRYETVGTAVIIMAMTTLASSVGSFGYLRGAPISLYG - ->sp|Q8V294.3|POLN_EEVVC RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVEPSDTILDIGSAPAR -RMYSKHKYHCICPMKCAEDPDRLFKYAAKLKKNCKDITDKELDKKMKELAEVMSDPDLETETICLHDDET -CRFEGQVAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGMSILRKKFLKPSNNVLFSVGSTIYHEKRDLLRSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLDGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVMGCC -WAFRKHKITSVYKRPDTQTIIKVNSDFHSFVLPRIGSNTLEIGLRTRIRKLLEEPVDRPPLITADDIQEA -KNAADEAKEVKEAEELRAALPPLSADVEEPALEADVDLMLQEAGAGSVETPRGLIKVTSYAGEDKIGSYA -VLSPQAVLRSEKLTCIHPLAEQVIVITHSGRKGRYAVEPYHGKVVVPEGQAIPVQDFQALSESATIVYNE -REFVNRYLHHIATHGGALNTDEEYYRVVKPSEHEGEYLYDIDKKQCVKKELVSGLGLTGELVDPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIRDVKKMKGLDVNARTVD -SVLLNGCKHPVETLYIDEAFACHAGTLRALIAIIRPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKRMRTTNPRDSKIEIDTTGSTKPKKDDLILTCFRGWVKQLQIDYKGNEI -MTAAASQGLTRKGVYAVRYKVNENPLYAPTSEHVNVLLTRTEDKIVWKTLAGDPWIKTLTAKYPGDFTAT -MEEWQAEHDAIMRHILEKPDPTDVFQNKANVCWAKALVPVLKTAGIDLTTEQWNTVDYFKEDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTVPLSIRNNHWDNSPSPNMYGLNKEVVRQLSRRYPQLPRAVTTGRAYDM -NTGTLRNYDPRINLVPVNRRLPHALVTQHADYPPSDFSAFVSKLKGRTVLVVGEKMSISGKTVDWLSETP -DSTFRARLDLGIPSELPKYDIVFVNVRTQYRYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAVARQFKFSRVCKPKVSKEETEVLFVFIGFDRKTRTHNPYKLSSTLTNIYTGSRLHEAGCA -PSYHVVRGDIATATEGVIVNAANSKGQPGSGVCGALYRKYPESFDLQPIEVGKARLVKGNSKHLIHAVGP -NFNKVSEVEGDKQLAEAYESIARIINDNNYRSVAIPLLSTGIFAGNKDRLMQSLNHLLTALDTTDADVAI -YCRDKKWEVTLKEVVARREAVEEICISEDSSVAEPDAELVRVHPKSSLAGRKGYSTSDGKTFSYLEGTKF -HQAAKDMAEINAMWPAATEANEQVCLYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRITGVQKIQCSHPILFSPKVPEYIHPRKYLADAASANNEAAELTSVDVQPQ -LEESPENTEQLVEEEDSISVLSEAPHQVHQVEAEVHRFSASAQSSSWSIPRASDFDVESLSVLESLGAND -TISMESSSNETALALRTIFRTPPIPRPRVQSTSTDVDSISALESCDSTSDARSVDSDETDVSIFDKRLEF -MARPVPAPRTKFRTPPVPKPRARRPFHPLSSRSSSRSSLASNPPGVNRVITREEFEAFVAQQQXRFDAGA -YIFSSDTGQGHLQQKSVRQTVLSEVVLERTELEISYAPRLDLNKEEILRKKLQLNPTQANRSRYQSRRVE -NMKAITTKRILQGLGHYLKSEGKVECYRTLHPVPLYSASVNRAFSSPKVAVEACNVVLKENFPTVASYCI -IPEYDAYLDMVDGASCCLDTASFCPAKLRSFPKKHAYLEPTIRSAVPSAIQNTLQNVLAAATKRNCNVTQ -MRELPVLDSAAFNVECFKKYACNNEYWETYKKNPIRLTEENVVNYITKLKGPKAAALYAKTHNLDMLQDI -PMDRFIMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAE -DFDAIIAEHFQPGDWVLETDIASFDKSEDDAMALTALMILEDLGVDPELLTLIEAAFGEISSIHLPTKTK -FRFGAMMKSGMFLTLFVNTVINMVIASRVLRERLTNSPCAAFIGDDNIVKGVKSDKLMADRCATWLNMEV -KIIDAVVGEKAPYFCGGFILCDSVTGTACRVADPLKRLFKLGKPLAVDDEHDDDRRRALQEESARWNRVG -IFSELCKAVESRYETVGTAVIIMAMTTLASSVESFSCLRGAPIALYG - ->sp|P36327.3|POLN_EEVV3 RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MEKVHVDIEEDSPFLRALQRSFPQFEVEAKQVTDNDHANARAFSHLASKLIETEVDPSDTILDIGSAPAR -RMYSKHKYHCICPMRCAEDPDRLYKYATKLKKNCKEITDKELDKKMKELAAVMSDPDLETETMCLHDDES -CRYEGQVAVYQDVYAVDGPTSLYHQANKGVRVAYWIGFDTTPFMFKNLAGAYPSYSTNWADETVLTARNI -GLCSSDVMERSRRGMSILRKKYLKPSNNVLFSVGSTIYHEKRDLLRSWHLPSVFHLRGKQNYTCRCETIV -SCDGYVVKRIAISPGLYGKPSGYAATMHREGFLCCKVTDTLNGERVSFPVCTYVPATLCDQMTGILATDV -SADDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFARWAKEYKEDQEDERPLGLRDRQLVMGCC -WAFRRHKITSIYKRPDTQTIIKVNSDFHSFVLPRIGSNTLEIGLRTRIRKMLEEHKEPSPLITAEDIQEA -KCAADEAKEVREAEELRAVLPPLAADVEEPTLEADVDLMLQEAGAGSVETPRGLIKVTSYAGEDKIGSYA -VLSPQAVLKSEKLSCIHPLAEQVIVITHSGRKGRYAVEPYHGKVVVPEGHAIPVQDFQALSESATIVYNE -REFVNRYLHHIATHGGALNTDEEYYKTVKPSEHDGEYLYDIDRKQCVKKELVTGLGLTGELVDPPFHEFA -YESLRTRPAAPYQVPTIGVYGVPGSGKSGIIKSAVTKKDLVVSAKKENCAEIIRDVKRIKGLDVNARTVD -SVLLNGCKYPVETLYIDEAFACHAGTLRALIAIIRPKKAVLCGDPKQCGFFNMMCLKVHFNHEICTQVFH -KSISRRCTKSVTSVVSTLFYDKRMRTTNPKETKIEIDTTGSTKPKQDDLILTCFRGWVKQLQIDYKGNEV -MTAAASQGLTRKGVYAVRYKVNENPLYAPTSEHVNVLLTRTEDRIVWKTLAGDPWIKTLTAKYPGNFTAT -IEEWQAEHDAIMRHILERPDPTDVFQNKANVCWAKALVPVLKTAGIDMTTEQWNTVDYFETDKAHSAEIV -LNQLCVRFFGLDLDSGLFSAPTVPLSIRNNHWDNSPSPNMYGLNKEVVRQLSRRYPQLPRAVTTGRVYDM -NTGTLRNYDPRINLVPVNRRLPHALVLHHNEHPQSDFSSFVSKLKGRTVLVVGEKLSVPGKTVDWLSDRP -EATFRARLDLGIPGDVPKYDIIFINVRTPYKYHHYQQCEDHAIKLSMLTKKACLHLNPGGTCVSIGYGYA -DRASESIIGAIARQFKFSRVCKPKSSLEETEVLFVFIGYDRKARTHNPYKLSSTLTNIYTGSRLHEAGCA -PSYHVVRGDIATATEGVIINAANSKGQPGGGVCGALYKKFPESFDLQPIEVGKARLVKGAAKHIIHAVGP -NFNKVSEIEGDKQLAEAYESIAKIVNDNNYKSVAIPLLSTGIFSGNKDRLTQSLNHLLTALDTTDADVAI -YCRDKKWEMTLKEAVARREAVEEICISDDSSVTEPDAELVRVHPKSSLAGRKGYSTSDGKTFSYLEGTKF -HQAAKDIAEINAMWPVATEANEQVCMYILGESMSSIRSKCPVEESEASTPPSTLPCLCIHAMTPERVQRL -KASRPEQITVCSSFPLPKYRITGVQKIQCSQPILFSPKVPAYIHPRKYLVETPTVEENQSTEGTPEQPTL -ITVGETRTRTPEPIIIEEEEDSISLLSDGPTHQVLQVEADIHGPPSASSSSWSIPHASDFDVDSLSILDT -LEGASVTSEEASVETNSHFARSMEFLARPVPAPRTVFRNPPQPAPRTRTPSLAPSRASSRISLVSNPPGV -NRVITREELEALTPSRAPSRSVSRTSLVSNPPGVNRVITREEFEAFVAQQQXRFDAGAYIFSSDTGQGHL -QQKSVRQTVLSEVVLERTELEISYAPRLDQEKEELLRKKLQLNPTPANRSRYQSRRVENMKAITARRILQ -GLGHYLKAEGKVECYRTLHPVPLYSSSVNRAFSSPKVAVEACNAMLKENFPTVASYCIIPEYDAYLDMVD -GASCCLDTASFCPAKLRSFPKKHSYLEPTIRSAVPSAIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAF -NVECFKKYACNNEYWETFKENPIRLTEENVVNYITKLKGPKAAALFAKTHNLNMLQDIPMDRFVMDLKRD -VKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDAIIAEHFQP -GDCVLETDIASFDKSEDDAMALTALMILEDLGVDAELLTLIEAAFGEISSIHLPTKTKFKFGAMMKSGMF -LTLFVNTVINIVIASRVLRERLTGSPCAAFIGDDNIVKGVKSDKLMADRCATWLNMEVKIIDAVVGEKAP -YFCGGFILCDSVTGTACRVADPLKRLFKLGKPLAADDEHDDDRRRALHEESTRWNRVGILPELCKAVESR -YETVGTSIIVMAMTTLASSVKSFSYLRGAPITLYG - ->sp|Q4QXJ8.3|POLN_EEEVF RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MEKVHVDLDADSPFVKSLQRCFPHFEIEATQVTDNDHANARAFSHLATKLIEGEVDTDQVILDIGSAPVR -HTHSKHKYHCICPMKSAEDPDRLYRYADKLRKSDVTDKCIASKAADLLTVMSTPDAETPSLCMHTDSTCR -YHGSVAVYQDVYAVHAPTSIYYQALKGVRTIYWIGFDTTPFMYKNMAGAYPTYNTNWADESVLEARNIGL -GSSDLHEKSFGKVSIMRKKKLQPTNKVIFSVGSTIYTEERILLRSWHLPNVFHLKGKTSFTGRCNTIVSC -EGYVVKKITLSPGIYGKVDNLASTMHREGFLSCKVTDTLRGERVSFPVCTYVPATLCDQMTGILATDVSV -DDAQKLLVGLNQRIVVNGRTQRNTNTMQNYLLPVVAQAFSRWAREHRADLEDEKGLGVRERSLVMGCCWA -FKTHKITSIYKRPGTQTIKKVPAVFNSFVIPQPTSYGLDIGLRRRIKMLFDAKKAPAPIITEADVAHLKG -LQDEAEAVAEAEAVRAALPPLLPEVDKETVEADIDLIMQEAGAGSVETPRRHIKVTTYPGEEMIGSYAVL -SPQAVLNSEKLACIHPLAEQVLVMTHKGRAGRYKVEPYHGRVIVPSGTAIPILDFQALSESATIVFNERE -FVNRYLHHIAVNGGALNTDEEYYKVVKSTETDSEYVFDIDAKKCVKKGDAGPMCLVGELVDPPFHEFAYE -SLKTRPAAPHKVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCMEIIKDVKRMRGMDIAARTVDSV -LLNGVKHSVDTLYIDEAFACHAGTLLALIAIVKPKKVVLCGDPKQCGFFNMMCLKVHFNHEICTEVYHKS -ISRRCTKTVTSIVSTLFYDKRMRTVNPCNDKIIIDTTSTTKPLKDDIILTCFRGWVKQLQIDYKNHEIMT -AAASQGLTRKGVYAVRYKVNENPLYAQTSEHVNVLLTRTEKRIVWKTLAGDPWIKTLTASYPGNFTATLE -EWQAEHDAIMAKILETPASSDVFQNKVNVCWAKALEPVLATANITLTRSQWETIPAFKDDKAYSPEMALN -FFCTRFFGVDIDSGLFSAPTVPLTYTNEHWDNSPGPNMYGLCMRTAKELARRYPCILKAVDTGRVADVRT -DTIKDYNPLINVVPLNRRLPHSLVVTHRYTGNGDYSQLVTKMTGKTVLVVGTPMNIPGKRVETLGPSPQC -TYKAELDLGIPAALGKYDIIFINVRTPYRHHHYQQCEDHAIHHSMLTRKAVDHLNKGGTCIALGYGTADR -ATENIISAVARSFRFSRVCQPKCAWENTEVAFVFFGKDNGNHLQDQDRLSVVLNNIYQGSTQHEAGRAPA -YRVVRGDITKSNDEVIVNAANNKGQPGSGVCGALYRKWPGAFDKQPVATGKAHLVKHSPNVIHAVGPNFS -RLSENEGDQKLSEVYMDIARIINNERFTKVSIPLLSTGIYAGGKDRVMQSLNHLFTAMDTTDADITIYCL -DKQWESRIKEAITRKESVEELTEDDRPVDIELVRVHPLSSLAGRPGYSTTEGKVYSYLEGTRFHQTAKDI -AEIYAMWPNKQEANEQICLYVLGESMNSIRSKCPVEESEASSPPHTIPCLCNYAMTAERVYRLRMAKNEQ -FAVCSSFQLPKYRITGVQKIQCSKPVIFSGTVPPAIHPRKFASVTVEDTPVVQPERLVPRRPAPPVPVPA -RIPSPPCTSTNGSTTSIQSLGEDQSASASSGAEISVDQVSLWSIPSATGFDVRTSSSLSLEQPTFPTMVV -EAEIHASQGSLWSIPSITGSETRAPSPPSQDSRPSTPSASGSHTSVDLITFDSVAEILEDFSRSPFQFLS -EIKPIPAPRTRVNNMSRSADTIKPIPKPRKCQVKYTQPPGVARVISAAEFDEFVRRHSNXRYEAGAYIFS -SETGQGHLQQKSTRQCKLQYPILERSVHEKFYAPRLDLEREKLLQKKLQLCASEGNRSRYQSRKVENMKA -ITVERLLQGIGSYLSAEPQPVECYKVTYPAPMYSSTASNSFSSAEVAVKVCNLVLQENFPTVASYNITDE -YDAYLDMVDGASCCLDTATFCPAKLRSFPKKHSYLRPEIRSAVPSPIQNTLQNVLAAATKRNCNVTQMRE -LPVLDSAAFNVECFKKYACNDEYWDFYKTNPIRLTAENVTQYVTKLKGPKAAALFAKTHNLQPLHEIPMD -RFVMDLKRDVKVTPGTKHTEERPKVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFD -AIIAEHFQFGDAVLETDIASFDKSEDDAIAMSALMILEDLGVDQALLNLIEAAFGNITSVHLPTGTRFKF -GAMMKSGMFLTLFINTVVNIMIASRVLRERLTTSPCAAFIGDDNIVKGVTSDALMAERCATWLNMEVKII -DAVVGVKAPYFCGGFIVVDQITGTACRVADPLKRLFKLGKPLPLDDDQDVDRRRALHDEAARWNRIGITE -ELVKAVESRYEVNYVSLIITALTTLASSVSNFKHIRGHPITLYG - ->sp|Q306W6.3|POLN_EEEV1 RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MEKVHVDLDADSPYVKSLQKCFPHFEIEATQVTDNDHANARAFSHLATKLIESEVDPDQVILDIGSAPVR -HTHSKHKYHCICPMISAEDPDRLHRYADKLRKSDVTDRFIASKAADLLTVMSTPDVETPSLCMHTDSTCR -YHGTVAVYQDVYAVHAPTSIYHQALKGVRTIYWIGFDTTPFMYKNMAGAYPTYNTNWADESVLEARNIGL -CSSDLHEQRFGKISIMRKKKLQPTNKVVFSVGSTIYTEERILLRSWHLPNVFHLKGKTSFTGRCNTIVSC -EGYVVKKITISPGIYGKVDNLASTMHREGFLSCKVTDTLRGERVSFPVCTYVPATLCDQMTGILATDVSV -DDAQKLLVGLNQRIVVNGRTQRNTNTMPNYLLPIVAQAFSRWAREYHADLEDEKDLGVRERSLVMGCCWA -FKTHKITSIYKKPGTQTTKKVPAVFNSFVVPQLTSYGLDIELRRRIKMLLEEKKKPAPIITEADVAHLKG -MQEEAEVVAEAEAIRAALPPLLPEVERETVEADIDLIMQEAGAGSVETPRRHIKVTTYPGEEMIGSYAVL -SPQAVLNSEKLACIHPLAEQVLVMTHKGRAGRYKVEPYHGRVIVPSGTAIPIPDFQALSESATIVYNERE -FVNRYLHHIAINGGALNTDEEYYKVLRSGEAESEYVFDIDAKKCVKKAEAGPMCLVGDLVDPPFHEFAYE -SLKTRPAAPHKVPTIGVYGVPGSGKSGIIKSAVTKRDLVVSAKKENCTEIIKDVKRMRGMDVAARTVDSV -LLNGVKHPVDTLYIDEAFACHAGTLLALIAIVKPKKVVLCGDPKQCGFFNMMCLKVHFNHEICTEVYHKS -ISRRCTRTVTAIVSTLFYDKRMRTVNPCSDKIIIDTTSTTKPLKDDIILTCFRGWVKQLQIDYKNHEIMT -AAASQGLTRKGVYAVRYKVNENPLYAQTSEHVNVLLTRTEKRIVWKTLAGDPWIKTLTAHYPGEFSATLE -EWQAEHDAIMKRVLETPANSDVYQNKVHVCWAKALEPVLATANITLTRSQWETIPAFKDDKAFSPEMALN -FLCTRFFGVDIDSGLFSAPTVPLTYTNEHWDNSPGPNRYGLCMRTAKELARRYPCILKAVDTGRVADVRT -NTIRDYNPMINVVPLNRRLPHSLVVSHRYTGDGNYSQLLSKLTGKTILVIGTPINVPGKRVETLGPGPQC -TYKADLDLGIPSMIGKYDIIFVNVRTPYKHHHYQQCEDHAIHHSMLTRKAVDHLNKGGTCVALGYGTADR -ATENIISAVARSFRFSRVCQPKCAWENTEVAFVFFGKDNGNHLRDQDQLSVVLNNIYQGSTQYEAGRAPA -YRVIRGDISKSTDEVIVNAANNKGQPGAGVCGALYKKWPGAFDKAPIATGTAHLVKHTPNIIHAVGPNFS -RMSEVEGNQKLSEVYMDIAKIINKERYNKVSIPLLSTGVYAGGKDRVMQSLNHLFTAMDTTDADVTIYCL -DKQWETRIKDAIARKESVEELVEDDKPVDIELVRVHPQSSLVGRPGYSTNEGKVHSYLEGTRFHQTAKDI -AEIYAMWPNKQEANEQICLYVLGESMTSIRSKCPVEESEASSPPHTIPCLCNYAMTAERVYRLRMAKNEQ -FAVCSSFQLPKYRITGVQKIQCNKPVIFSGVVPPAIHPRKFSTVEETQPTTIAERLIPRRPAPPVPVPAR -IPSPRCSPAVSMQSLGGSSTSEVIISEAEVHDSDSDCSIPPMPFVVEAEVHASQGSQWSIPSASGFEIRE -PLDDLGSITRTPAISDHSVDLITFDSVTDIFENFKQAPFQFLSDIRPIPAPRRRREPETDIQRFDKSEEK -PVPKPRTRTAKYKKPPGVARSISEAELDEFIRRHSNXRYEAGAYIFSSETGQGHLQQKSTRQCKLQHPIL -ERSVHEKFYAPRLDLEREKLLQRKLQLCASEGNRSRYQSRKVENMKAITAERLLQGIGAYLSAEPQPVEC -YKINYPVPVYSTTRSNRFSSADVAVRVCNLVMQENFPTVASYTITDEYDAYLDMVDGASCCLDTATFCPA -KLRSFPKKHSYLKPEIRSAVPSPIQNTLQNVLAAATKRNCNVTQMRELPVLDSAAFNVECFKKYACNDEY -WETFKNNPIRLTTENVTQYVTKLKGPKAAALFAKTHNLQPLHEIPMDRFVMDLKRDVKVTPGTKHTEERP -KVQVIQAADPLATAYLCGIHRELVRRLNAVLLPNIHTLFDMSAEDFDAIIAEHFQFGDAVLETDIASFDK -SEDDAIAMSALMILEDLGVDQALLDLIEAAFGNITSVHLPTGTRFKFGAMMKSGMFLTLFINTVVNIMIA -SRVLRERLTNSPCAAFIGDDNIVKGVKSDALMAERCATWLNMEVKIIDAVVGVKAPYFCGGFIVVDQITG -TACRVADPLKRLFKLGKPLPLDDDQDGDRRRALYDEALRWNRIGITDELVKAVESRYDVLYISLVITALS -TLAATVSNFKHIRGNPITLYG - ->sp|P13888.2|POLN_RRVT RecName: Full=Polyprotein nsP1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -APSYRVRRTDISGHAEEAVVNAANAKGTVGDGVCRAVARKWPDSFKGAATPVGTAKLVQANGMNVIHAVG -PNFSTVTEAEGDRELAAAYRAVAGIINASNIKSVAIPLLSTGVFSGGKDRVMQSLNHLFTAMDTTDADVV -IYCRDKAWEKKIQEAIDRRTAVELVSEDISLESDLIRVHPDSCLVGRKGYSITDGKLHSYLEGTRFHQTA -VDMAEISTLWPKLQDANEQICLYALGESMDSIRTKCPVEDADSSTPPKTVPCLCRYAMTAERVARLRMNN -TKAIIVCSSFPLPKYRIEGVQKVKCDRVLIFDQTVPSLVSPRKYIPAAASTHADTVSLDSTVSTGSAWSF -PSEATYETMEVVAEVHHSEPPVPPPRRRRAQVTMHHQELLEVSDMHTPIAARVEIPVYDTAVVVERVAIP -CTSEYAKPIPAPRAARVVPVPAPRIQRASTYRVSPTPTPRVLRASVCSVTTSAGVEFPWAPEDLEVLTEP -VHCKMREPVELPWEPEDVDIQFGDFETSDKIQFGDIDFDQFXLGRAGAYIFSSDTGPGHLQQKSVRQHAL -PCEMLYVHEEERTYPPALDEAREKLLQAKMQMAPTEANKSRYQSRKVENMKAVIIDRLKDGARTYLTEQS -EKIPTYVSKYPRPVYSPSVEDSLQNPEVAVAACNAFLEANYPTVASYQITDEYDAYLDMVDGSESCLDRA -TFCPAKLRCYPKHHAYHQPQVRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTLDSAVLNVECFKKFA -CNGEYWQEFKDNPIRITTENITTYVTRLKGPKAAALFAKTHNLVPLQEVPMDRFVVDMKRDVKVTPGTKH -TEERPKVQVIQAAEPLATAYLCGIHRELVRRLKAVLAPNIHTLFDMSAEDFDAIIAAHFQPGDAVLETDI -ASFDKSQDDSLALTALMLLEDLGVDQELLDLIEAAFGEITSVHLPTGTRFKFGAMMKSGMFLTLFVNTLL -NIVIACRVLREKLTNSVCAAFIGDDNIVHGVRSDPLMAERCASWVNMEVKIIDATMCEKPPYFCGGFILY -DKVTGSACRVADPLKRLFKLGKPLPAGDTQDEDRRRALKDETDRWARVGLKSELEIALSSRYEVNGTGNI -VRAMATLAKSLKNFKKLRGPIVHLYGGPK - ->sp|P08411.2|POLN_SFV RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MAAKVHVDIEADSPFIKSLQKAFPSFEVESLQVTPNDHANARAFSHLATKLIEQETDKDTLILDIGSAPS -RRMMSTHKYHCVCPMRSAEDPERLVCYAKKLAAASGKVLDREIAGKITDLQTVMATPDAESPTFCLHTDV -TCRTAAEVAVYQDVYAVHAPTSLYHQAMKGVRTAYWIGFDTTPFMFDALAGAYPTYATNWADEQVLQARN -IGLCAASLTEGRLGKLSILRKKQLKPCDTVMFSVGSTLYTESRKLLRSWHLPSVFHLKGKQSFTCRCDTI -VSCEGYVVKKITMCPGLYGKTVGYAVTYHAEGFLVCKTTDTVKGERVSFPVCTYVPSTICDQMTGILATD -VTPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPIVAVAFSKWAREYKADLDDEKPLGVRERSLTCCC -LWAFKTRKMHTMYKKPDTQTIVKVPSEFNSFVIPSLWSTGLAIPVRSRIKMLLAKKTKRELIPVLDASSA -RDAEQEEKERLEAELTREALPPLVPIAPAETGVVDVDVEELEYHAGAGVVETPRSALKVTAQPNDVLLGN -YVVLSPQTVLKSSKLAPVHPLAEQVKIITHNGRAGRYQVDGYDGRVLLPCGSAIPVPEFQALSESATMVY -NEREFVNRKLYHIAVHGPSLNTDEENYEKVRAERTDAEYVFDVDKKCCVKREEASGLVLVGELTNPPFHE -FAYEGLKIRPSAPYKTTVVGVFGVPGSGKSAIIKSLVTKHDLVTSGKKENCQEIVNDVKKHRGLDIQAKT -VDSILLNGCRRAVDILYVDEAFACHSGTLLALIALVKPRSKVVLCGDPKQCGFFNMMQLKVNFNHNICTE -VCHKSISRRCTRPVTAIVSTLHYGGKMRTTNPCNKPIIIDTTGQTKPKPGDIVLTCFRGWVKQLQLDYRG -HEVMTAAASQGLTRKGVYAVRQKVNENPLYAPASEHVNVLLTRTEDRLVWKTLAGDPWIKVLSNIPQGNF -TATLEEWQEEHDKIMKVIEGPAAPVDAFQNKANVCWAKSLVPVLDTAGIRLTAEEWSTIITAFKEDRAYS -PVVALNEICTKYYGVDLDSGLFSAPKVSLYYENNHWDNRPGGRMYGFNAATAARLEARHTFLKGQWHTGK -QAVIAERKIQPLSVLDNVIPINRRLPHALVAEYKTVKGSRVEWLVNKVRGYHVLLVSEYNLALPRRRVTW -LSPLNVTGADRCYDLSLGLPADAGRFDLVFVNIHTEFRIHHYQQCVDHAMKLQMLGGDALRLLKPGGSLL -MRAYGYADKISEAVVSSLSRKFSSARVLRPDCVTSNTEVFLLFSNFDNGKRPSTLHQMNTKLSAVYAGEA -MHTAGCAPSYRVKRADIATCTEAAVVNAANARGTVGDGVCRAVAKKWPSAFKGAATPVGTIKTVMCGSYP -VIHAVAPNFSATTEAEGDRELAAVYRAVAAEVNRLSLSSVAIPLLSTGVFSGGRDRLQQSLNHLFTAMDA -TDADVTIYCRDKSWEKKIQEAIDMRTAVELLNDDVELTTDLVRVHPDSSLVGRKGYSTTDGSLYSYFEGT -KFNQAAIDMAEILTLWPRLQEANEQICLYALGETMDNIRSKCPVNDSDSSTPPRTVPCLCRYAMTAERIA -RLRSHQVKSMVVCSSFPLPKYHVDGVQKVKCEKGLLFDPTVPSVVSPRKYAASTTDHSDRSLRGFDLDWT -TDSSSTASDTMSLPSLQSCDIDSIYEPMAPIVVTADVHPEPAGIADLAADVHPEPADHVDLENPIPPPRP -KRAAYLASRAAERPVPAPRKPTPAPRTAFRNKLPLTFGDFDEHEVDALASGITFGDFDDVLRLGRAGAYI -FSSDTGSGHLQQKSVRQHNLQCAQLDAVEEEKMYPPKLDTEREKLLLLKMQMHPSEANKSRYQSRKVENM -KATVVDRLTSGARLYTGADVGRIPTYAVRYPRPVYSPTVIERFSSPDVAIAACNEYLSRNYPTVASYQIT -DEYDAYLDMVDGSDSCLDRATFCPAKLRCYPKHHAYHQPTVRSAVPSPFQNTLQNVLAAATKRNCNVTQM -RELPTMDSAVFNVECFKRYACSGEYWEEYAKQPIRITTENITTYVTKLKGPKAAALFAKTHNLVPLQEVP -MDRFTVDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAVLRPNVHTLFDMSAED -FDAIIASHFHPGDPVLETDIASFDKSQDDSLALTGLMILEDLGVDQYLLDLIEAAFGEISSCHLPTGTRF -KFGAMMKSGMFLTLFINTVLNITIASRVLEQRLTDSACAAFIGDDNIVHGVISDKLMAERCASWVNMEVK -IIDAVMGEKPPYFCGGFIVFDSVTQTACRVSDPLKRLFKLGKPLTAEDKQDEDRRRALSDEVSKWFRTGL -GAELEVALTSRYEVEGCKSILIAMATLARDIKAFKKLRGPVIHLYGGPRLVR - ->sp|Q5XXP4.1|POLN_CHIK3 RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MDPVYVDIDADSAFLKALQRAYPMFEVEPRQVTPNDHANARAFSHLAIKLIEQEIDPDSTILDIGSAPAR -RMMSDRKYHCVCPMRSAEDPERLANYARKLASAAGKVLDRNISEKIGDLQAVMAVPDAETPTFCLHTDVS -CRQRADVAIYQDVYAVHAPTSLYHQAIKGVRVAYWIGFDTTPFMYNAMAGAYPSYSTNWADEQVLKAKNI -GLCSTDLTEGRRGKLSIMRGKKMKPCDRVLFSVGSTLYPESRKLLKSWHLPSVFHLKGKLSFTCRCDTVV -SCEGYVVKRITISPGLYGKTTGYAVTHHADGFLMCKTTDTVDGERVSFSVCTYVPATICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFSKWAKECRKDMEDEKLLGIRERTLTCCCL -WAFKKQKTHTVYKRPDTQSIQKVPAEFDSFVVPSLWSSGLSIPLRTRIKWLLSKVPKTDLIPYSGDAKEA -RDAEKEAEEEREAELTREALPPLQAAQDDVQVEIDVEQLEDRAGAGIIETPRGAIKVTAQPTDHVVGEYL -VLSPQTVLRSQKLSLIHALAEQVKTCTHSGRAGRYAVEAYDGRILVPSGYAISPEDFQSLSESATMVYNE -REFVNRKLHHIALHGPALNTDEESYELVRAERTEHEYVYDVDQRRCCKKEEAAGLVLVGDLTNPPYHEFA -YEGLRIRPACPYKTAVIGVFGVPGSGKSAIIKNLVTRQDLVTSGKKENCQEISTDVMRQRNLEISARTVD -SLLLNGCNRPVDVLYVDEAFACHSGTLLALIALVRPRQKVVLCGDPKQCGFFNMMQMKVNYNHNICTQVY -HKSISRRCTLPVTAIVSSLHYEGKMRTTNEYNKPIVVDTTGSTKPDPGDLVLTCFRGWVKQLQIDYRGHE -VMTAAASQGLTRKGVYAVRQKVNENPLYASTSEHVNVLLTRTEGKLVWKTLSGDPWIKTLQNPPKGNFKA -TIKEWEVEHASIMAGICNHQVTFDTFQNKANVCWAKSLVPILETAGIKLNDRQWSQIIQAFKEDRAYSPE -VALNEICTRMYGVDLDSGLFSKPLVSVHYADNHWDNRPGGKMFGFNPEAASILERKYPFTKGKWNTNKQI -CVTTRRIEDFNPNTNIIPANRRLPHSLVAEHRPVKGERMEWLVNKINGHHVLLVSGYNLVLPTKRVTWVA -PLGIRGADYTYNLELGLPATLGRYDLVIINIHTPFRIHHYQQCVDHAMKLQMLGGDSLRLLKPGGSLLIR -AYGYADRTSERVVCVLGRKFRSSRALKPPCVTSNTEMFFLFSNFDNGRRNFTTHVMNNQLNAAFVGQATR -AGCAPSYRVKRMDIAKNDEECVVNAANPRGLPGDGVCKAVYKKWPESFKNSATPVGTAKTVMCGTYPVIH -AVGPNFSNYSESEGDRELAAAYREVAKEVTRLGVNSVAIPLLSTGVYSGGKDRLTQSLNHLFTALDSTDA -DVVIYCRDKEWEKKIAEAIQMRTQVELLDEHISVDCDIIRVHPDSSLAGRKGYSTTEGSLYSYLEGTRFH -QTAVDMAEVYTMWPKQTEANEQVCLYALGESIESIRQKCPVDDADASSPPKTVPCLCRYAMTPERVTRLR -MNHVTSIIVCSSFPLPKYKIEGVQKVKCSKVMLFDHNVPSRVSPREYKSPQETAQEVSSTTSLTHSQFDL -SVDGEELPAPSDLEADAPIPEPTPDDRAVLTLPPTIDNFSAVSDWVMNTAPVAPPRRRRGKNLNVTCDER -EGNVLPMASVRFFRADLHSIVQETAEIRDTAASLQAPLSVATEPNQLPISFGAPNETFPITFGDFDEGEI -ESLSSELLTFGDFSPGEVDDLTDSDWSTCSDTDDELXLDRAGGYIFSSDTGPGHLQQRSVRQTVLPVNTL -EEVQEEKCYPPKLDEVKEQLLLKKLQESASMANRSRYQSRKVENMKATIVQRLKGGCKLYLMSETPKVPT -YRTTYPAPVYSPPINIRLSNPESAVAACNEFLARNYPTVASYQITDEYDAYLDMVDGSESCLDRATFNPS -KLRSYPKQHSYHAPTIRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTLDSAVFNVECFKKFACNQEY -WKEFAASPIRITTENLTTYVTKLKGPKAAALFAKTHNLLPLQEVPMDRFTVDMKRDVKVTPGTKHTEERP -KVQVIQAAEPLATAYLCGIHRELVRRLNAVLLPNVHTLFDMSAEDFDAIIAAHFKPGDAVLETDIASFDK -SQDDSLALTALMLLEDLGVDHPLLDLIEAAFGEISSCHLPTGTRFKFGAMMKSGMFLTLFVNTLLNITIA -SRVLEDRLTRSACAAFIGDDNIIHGVVSDELMAARCATWMNMEVKIIDAVVSQKAPYFCGGFILYDTVAG -TACRVADPLKRLFKLGKPLAAGDEQDDDRRRALADEVVRWQRTGLTDELEKAVHSRYEVQGISVVVMSMA -TFASSRSNFEKLRGPVVTLYGGPK - ->sp|O90370.1|POLN_ONNVI RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MDSVYVDIDADSAFLKALQRAYPMFEVEPKQVTPNDHANARAFSHLAIKLIEQEIDPGSTILGIGSAPAR -RMMSDRKYHCVCPMRSAEDPERLANYARKLASAAGKVTDKNISGKINDLQAVMAVPNMETSTFCLHTDAT -CKQRGDVAIYQDVYAVHAPTSLYHQAIKGVHVAYWIGFDTTPFMYNAMAGAYPSYSTNWADEQVLKAKNI -GLCSTDLSEGRRGKLSIMRGKKFKPCDRVLFSVGSTLYPESRKLLQSWHLPSVFHLKGKLSFTCRCDTIV -SCEGYVVKRVTMSPGIYGKTSGYAVTHHADGFLMCKTTDTVDGERVSFSVCTYVPATICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPIVAQAFSKWAKECRKDMEDEKLLGVRERTLTCCCL -WAFRKHKTHTVYKRPDTQSIQKVPAEFDSFVIPSLWSSGLSIPLRTRIKWLLSKAPKHEQLPHSGNAEEA -AQAETDAVEEREAELTREAMPPLQATQDDVQVEIDVEQLEDRAGAGIVETPRGAIKVTAQPSDLVVGEYL -VLTPQAVLRSQKLGLIHALAEQVKTCTHSGRAGRYAVEAYDGRVLVPSGYAIPQEDFQSLSESATMVFNE -REFVNRKLHHIAMHGPALNTDEESYELVRVEKTEHEYVYDVDQKKCCKREEATGLVLVGDLTSPPYHEFA -YEGLKIRPACPYKTAVIGVFGVPGSGKSAIIKNLVTRQDLVTSGKKENCQEISNDVMRQRKLEISARTVD -SLLLNGCNKPVEVLYVDEAFACHSGTLLALIAMVRPRQKVVLCGDPKQCGFFNMMQMKVNYNHNICTQVY -HKSISRRCTLPVTAIVSSLHYESKMRTTNEYNQPIVVDTTGTTKPEPGDLVLTCFRGWVKQLQIDYRGNE -VMTAAASQGLTRKGVYAVRQKVNENPLYASTSEHVNVLLTRTEGKLIWKTLSGDPWIKILQNPPKGNFKA -TIKEWEAEHASIMAGICNYQMAFDTFQNKANVCWAKCLVPILDTAGIKLSDRQWSQIVQAFKEDRAYSPE -VALNEICTRIYGVDLDSGLFSKPLISVYYADNHWDNRPGGKMFGFNPEVALMLEKKYPFTKGKWNINKQI -CITTRKVDEFNPETNIIPANRRLPHSLVAEHHSVRGERMEWLVNKINGHHMLLVSGYNLILPTKRVTWVA -PLGTRGADYTYNLELGLPATLGRYDLVVINIHTPFRIHHYQQCVDHAMKLQMLGGDSLRLLKPGGSLLIR -AYGYADRTSERVISVLGRKFRSSRALKPQCITSNTEMFFLFSRFDNGRRNFTTHVMNNQLNAVYAGLATR -AGCAPSYRVKRMDIAKNTEECVVNAANPRGVPGDGVCKAVYRKWPESFRNSATPVGTAKTIMCGQYPVIH -AVGPNFSNYSEAEGDRELASAYREVAKEVSRLGVSSVAIPLLSTGVYSGGKDRLLQSLNHLFAAMDSTDA -DVVIYCRDKEWEKKITEAISLRSQVELLDDHISVDCDIVRVHPDSSLAGRKGYSTVEGALYSYLEGTRFH -QTAVDMAEIYTMWPKQTEANEQVCLYALGESIESVRQKCPVDDADASFPPKTVPCLCRYAMTPERVARLR -MNHTTSIIVCSSFPLPKYKIEGVQKVKCSKALLFDHNVPSRVSPRTYRPADEIIQTPQISTEACQDAQLV -QSINDEAVPVPSDLEACDATMDWPSIGTVPTRQRHDSFDSEYSSRSNIQLVTADVHAPMYANSLASSGGS -MLSLSSEPAQNGIMILPDSEDTDSISRVSTPIAPPRRRLGRTINVTCDEREGKILPMASDRFFTAKPYTV -ALSVSTADITAYPIQAPLGLTQPPTLEQITFGDFAEGEIDNLLTGALTFGDFEPGEVEELTDSEWSTCSD -TDEELRLDRAGGYIFSSDTGQGHLQQKSVRQTTLPVNIVEEVHEEKCYPPKLDETKEQLLLKRLQESAST -ANRSRYQSRKVENMKATIIHRLKEGCRLYLASDTPRVPSYRITYPAPVYSPSINIKLSNPETAVAVCNEF -LARNYPTVASYQVTDEYDAYLDMVDGSESCLDRATFNPSKLRSYPKQHSYHAPTIRSAVPSPFQNTLQNV -LAAATKRNCNVTQMRELPTMDSAVFNVECFKKYACNQEYWREFASSPIRVTTENLTMYVTKLKGPKAAAL -FAKTHNLLPLQEVPMDRFTMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAVL -LPNVHTLFDMSAEDFDAIIATHFKPGDAVLETDIASFDKSQDDSLALTAMMLLEDLGVDQPILDLIEAAF -GEISSCHLPTGTRFKFGAMMKSGMFLTLFVNTLLNITIASRVLEERLTTSACAAFIGDDNIIHGVVSDAL -MAARCATWMNMEVKIIDAVVSEKAPYFCGGFILHDTVTGTSCRVADPLKRLFKLGKPLAAGDEQDEDRRR -ALADEVTRWQRTGLVTELEKAVYSRYEVQGITAVITSMATFASSKENFKKLRGPVVTLYGGPK - ->sp|O90368.1|POLN_ONNVS RecName: Full=Polyprotein P1234; Short=P1234; AltName: Full=Non-structural polyprotein; Contains: RecName: Full=Polyprotein P123'; Short=P123'; Contains: RecName: Full=Polyprotein P123; Short=P123; Contains: RecName: Full=mRNA-capping enzyme nsP1; AltName: Full=Non-structural protein 1; Contains: RecName: Full=Protease nsP2; AltName: Full=Non-structural protein 2; Short=nsP2; Contains: RecName: Full=Non-structural protein 3'; Short=nsP3'; Contains: RecName: Full=Non-structural protein 3; Short=nsP3; Contains: RecName: Full=RNA-directed RNA polymerase nsP4; AltName: Full=Non-structural protein 4; Short=nsP4 -MDSVYVDIDADSAFLKALQRAYPMFEVEPKQVTPNDHANARAFSHLAIKLIEQEIDPDSTILDIGPAPAR -RMMSDRKYHCVCPMRSAEDPERLANYARKLASAAGKVTDKNISGKINDLQAVMAVPNMETSTFCLHTDAT -CKQRGDVAIYQDVYAVHAPTSLYHQAIKGVRVAYWIGFDTTPFMYNAMAGAYPSYSTNWADEQVLKAKNI -GLCSTDLSEGRRGKLSIMRGKKLKPCDRVLFSVGSTLYPESRKLLQSWHLPSVFHLKGKLSFTCRCDTIV -SCEGYVVKRVTMSPGIYGKTSGYAVTHHADGFLMCKTTDTVDGERVSFSVCTYVPATICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPIVAQAFSKWAKECRKDMEDEKLLGVRERTLTCCCL -WAFRKHKTHTVYKRPDTQSIQKVPAEFDSFVIPSLWSSGLSIPLRTRIKWLLSKAPKHEQLPHSGNAEEA -AQAEMDAAEEREAELTREAMPPLQATQDDVQVEIDVEQLEDRAGAGIVETPRGAIKVTAQPSDRVVGEYL -VLTPQAVLRSQKLSLIHALAEQVKTCTHSGRAGRYAVEAYDGRVLVPSGYAIPQEDFQSLSESATMVFNE -REFVNRKLHHIAMHGPALNTDEESYELVRVEKTEHEYVYDVDQKKCCKREEATGLVLVGDLTSPPYHEFA -YEGLKIRPACPYKTAVIGVFGVPGSGKSAIIKNLVTRQDLVTSGKKENCQEISNDVMRQRKLEISARTVD -SLLLNGCNKPVEVLYVDEAFACHSGTLLALIAMVRPRQKVVLCGDPKQCGFFNMMQMKVNYNHNICTQVY -HKSISRRCTLPVTAIVSSLHYESKMRTTNEYNQPIVVDTTGITKPEPGDLVLTCFRGWVKQLQIDYRGNE -VMTAAASQGLTRKGVYAVRQKVNENPLYASTSEHVNVLLTRTEGKLIWKTLSGDPWIKILQNPPKGNFKA -TIKEWEAEHASIMAGICNHQMAFDTFQNKANVCWAKCLVPILDTAGIKLSDRQWSQIVQAFKEDRAYSPE -VALNEICTRIYGVDLDSGLFSKPLISVYYADNHWDNRPGGKMFGFNPEVALMLEKKYPFTKGKWNINKQI -CITTRKVDEFNPETNIIPANRRLPHSLVAEHHTVRGERMEWLVNKINGHHMLLVSGYNLILPTKRVTWVA -PLGTRGADYTYNLELGLPATLGRYDLVVINIHTPFRIHHYQQCVDHAMKLQMLGGDSLRLLKPGGSLLIR -AYGYADRTSERVISVLGRKFRSSRALKPQCITSNTEMFFLFSRFDNGRRNFTTHVMNNQLNAVYAGLATR -AGCAPSYRVKRMDIAKNTEECVVNAANPRGVPGDGVCKAVYRKWPESFRNSATPVGTAKTIMCGQYPVIH -AVGPNFSNYSEAEGDRELASVYREVAKEVSRLGVSSVAIPLLSTGVYSGGKDRLLQSLNHLFTAMDSTDA -DVVIYCRDKEWEKKITEAISLRSQVELLDDHISVDCDIVRVHPDSSLAGRKGYSTVEGALYSYLEGTRFH -QTAVDMAEIYTMWPKQTEANEQVCLYALGESIESVRQKCPVDDADASFPPKTVPCLCRYAMTPERVARLR -MNHTTSIIVCSSFPLPKYKIEGVQKVKCSKALLFDHNVPSRVSPRTYRPADEIIQTPQIPTEACQDAQFV -QSITDEAVPVPSDLEACDATMDWPSIDIVPTRQRSDSFDSEYSSRSNIQLVTADVHAPMYANSLASSGGS -VLSLSSEQAQNGIMILPDSEDTDSISRVSTPIAPPRRRLGRTINVTCDEREGKILPMASDRLFTAKPYTV -ALGVSTADITAYPIQAPLGSTQPPALEQITFGDFAEGEIDNLLTGALTFGDFEPGEVEELTDSEWSTCSD -TDEELXLDRAGGYIFSSDTGQGHLQQKSVRQTTLPVNIVEEVHEEKCYPPKLDEIKEQLLLKRLQESAST -ANRSRYQSRKVENMKATIIHRLKEGCRLYLASDTPRVPSYRITYPAPVYSPSISIKLNNPETAVAVCNEF -LARNYPTVASYQVTDEYDAYLDMVDGSESCLDRATFNPSKLRSYPKQHSYHAPTIRSAVPSPFQNTLQNV -LAAATKRNCNVTQMRELPTMDSAVFNVECFKKYACNQEYWREFASSPIRVTTENLTMYVTKLKGPKAAAL -FAKTHNLLPLQEVPMDRFTMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAVL -LPNVHTLFDMSAEDFDAIISTHFKPGDAVLETDIASFDKSQDDSLALTAMMLLEDLGVDQPILDLIEAAF -GEISSCHLPTGTRFKFGAMMKSGMFLTLFVNTLLNITIASRVLEERLTTSACAAFIGDDNIIHGVVSDAL -MAARCATWMNMEVKIIDAVVSEKAPYFCGGFILHDTVTGTSCRVADPLKRLFKLGKPLAAGDEQDEDRRR -ALADEVTRWQRTGLITELEKAVYSRYEVQGITAVITSMATFASSKENFKKLRGPVVTLYGGPK - ->AFL65799.1 non-structural polyprotein [Sindbis virus] -MEKPVVNVDVDPQSPFVVQLQKSFPQFEVVAQQATPNDHANARAFSHLASKLIELEVPTTATILDIGSAP -ARRMFSEHQYHCVCPMRSPEDPDRMMKYASKLAEKACKITNKNLHEKIKDLRTVLDTPDAETPSLCFHND -VTCNTRAEYSVMQDVYINAPGTIYHQAMKGVRTLYWIGFDTTQFMFSAMAGSYPAYNTNWADEKVLEARN -IGLCSTKLSEGRTGKLSIMRKKELKPGSRVYFSVGSTLYPEHRASLQSWHLPSVFHLKGKQSYTCRCDTV -VSCEGYVVKKITISPGITGETVGYAVTNNSEGFLLCKVTDTVKGERVSFPVCTYIPATICDQMTGIMATD -ISPDDAQKLLVGLNQRIVINGKTNRNTNTMQNYLLPIIAQGFSKWAKERKEDLDNEKMLGTRERKLTYGC -LWAFRTKKVHSFYRPPGTQTSVKVPASFSAFPMSSVWTTSLPMSLRQKMKLALQPKKEEKLLQVPEELVM -EAKAAFEDAQEEARAEKLREALPPLVADKGIEAAAEVVCEVEGLQADIGAALVETPRGHVRIIPQANDRT -IGQYIVVSPTSVLKNAKLAPAHPLADQVKIITHSGRAGRYAVEPYDAKVLMPAGSAVPWPEFLALSESAT -LVYNEREFVNRKLYHIAMHGPAKNTEEEQYKVTKAELAETEYVFDVDKKRCVKKEEASGLVLSGELTNPP -YHELALEGLKTRPAVPYKVETIGVIGTPGSGKSAIIKSTVTARDLVTSGKKENCREIEADVLRLRGMQIT -SKTVDSVMLNGCHKAVEVLYVDEAFACHAGALLALIAIVRPRKKVVLCGDPKQCGFFNMMQLKVHFNHPE -KDICTKTFYKFISRRCTQPVTAIVSTLHYDGKMKTTNPCKKNIEIDITGATKPKPGDIILTCFRGWVKQL -QIDYPGHEVMTAAASQGLTRKGVYAVRQKVNENPLYAITSEHVNVLLTRTEDRLVWKTLQGDPWIKQLTN -VPKGNFQATIEDWEAEHKGIIAAINSPAPRTNPFSCKTNVCWAKALEPILATAGIVLTGCQWSELFPQFA -DDKPHSAIYALDVICIKFFGMDLTSGLFSKQSIPLTYHPADSARPVAHWDNSPGTRKYGYDHAVAAELSR -RFPVFQLAGKGTQLDLQTGRTRVISAQHNLVPVNRNLPHALVPEHKEKQPGPVEKFLNQFKHHSVLVVSE -EKIEVPHKRIEWIAPIGIAGADKNYNLAFGFPPQARYDLVFINIGTKYRNHHFQQCEDHAATLKTLSRSA -LNCLNPGGTLVVKSYGYADRNSEDVVTALARKFVRVSAARPECVSSNTEMYLIFRQLDNSRTRQFTPHHL -NCVISSVYEGTRDGVGAAPSYRTKRENIADCQEEAVVNAANPLGRPGEGVCRAIYKRWPNSFTDSATETG -TAKLTVCHGKKVIHAVGPDFRKHPEAEALKLLQNAYHAVADLVNEHNIKSVAIPLLSTGIYAAGKDRLEV -SLNCLTTALDRTDADVTIYCLDKKWKERIDAVLQLKESVTELKDEDMEIDDELVWIHPDSCLKGRKGFST -TKGKLYSYFEGTKFHQAAKDMAEIKVLFPNDQESNEQLCAYILGETMEAIREKCPVDHNPSSSPPKTLPC -LCMYAMTPERVHRLRSNNVKEVTVCSSTPLPKYKIKNVQKVQCTKVVLFNPHTPAFVPARKYIEVPEQPA -APPAQDEEAPEAVATPAPPAADNTSLDVTDISLDMDDSSEGSLFSSFSGSDNSITSMDRWSSGPSSLEIV -DRRQVVVADVHAVQEPAPVPPPRLKKMARLAAASKTQEEPIPPASTSSADESLHLSFGGVSMSFGSLLDG -EMARLAAAQPPATGLTDVPMSFGSFSDGEIEELSRRVTESEPVLFGSFEPGEVNSIISSRSAVSFPPRKQ -RRRRRSRRTEYXLTGVGGYIFSTDTGPGHLQMKSVLQNQLTEPTLERNVLERIYAPVLDTSKEEQLKLRY -QMMPTEANKSRYQSRKVENQKAITTERLLSGLRLYNSATDQPECYKITYPKPSYSSSVAANYSDPKFAVA -VCNNYLHENYPTVASYQITDEYDAYLDMVDGTVACLDTATFCPAKLRSYPKRHEYRAPNIRSAVPSAMQN -TLQNVLIAATKRNCNVTQMRELPTLDSATFNVECFRKYACNDEYWEEFARKPIRITTEFVTAYVARLKGP -KAAALFAKTHNLVPLQEVPMDRFVMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRR -LTAVLLPNIHTLFDMSAEDFDAIIAEHFKQGDPVLETDIASFDKSQDDAMALTGLMILEDLGVDQPLLDL -IECAFGEISSTHLPTGTRFKFGAMMKSGMFLTLFVNTVLNVVIASRVLEERLKTSKCAAFIGDDNIIHGV -VSDKEMAERCATWLNMEVKIIDAVIGERPPYFCGGFILQDSVTSTACRVADPLKRLFKLGKPLPADDEQD -EDRRRALLDETKAWFRVGITDTLAVAVATRYEVDNITPVLLALRTFAQSKRAFQAIRGEIKHLYGGPK ->ALG64705.1 nonstructural polyprotein [Mayaro virus] -MSKVFVDIEAESPFLKSLQRAFPAFEVEAQQVTPNDHANARAFSHLATKLIEQETEKDTLILDIGSAPAR -RMMSEHTYHCVCPMRSAEDPERLLYYARKLAKASGEVVDRNIAAKIDDLQSVMATPDNESRTFCLHTDQT -CRTQAEVAVYQDVYAVHAPTSLYFQAMKGVRTAYWIGFDTTPFMFDTMAGAYPTYATNWADEQVLKARNI -GLCSASLTEGHLGKLSIMRKKKMKPSDQIMFSVGSTLYIESRRLLKSWHLPSVFHLKGRQSYTCRCDTIV -SCEGYVVKKITMSPGVFGKTSGYAVTHHAEGFLVCKTTDTIAGERVSFPICTYVPSTICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVSQAFSKWAKEYRLDQEDEKNMGMRERTLTCCCL -WAFKTHKNHTMYKKPDTQTIVKVPSEFNSFVIPSLWSAGLSIGIRHRIRLLLQSRRVEPLVPSMDVGEAR -AAEREAAEAKEAEDTLAALPPLIPTAPALDDIPEVDVEELEFRAGAGVVETPRNALKVTPQDRDTMVGSY -LVLSPQTVLKSVKLQALHPLAEQVKIITHKGRAGRYQVDAYDGRVLLPTGAAIPVPDFQALSESATMVYN -EREFINRKLYHIAVHGAALNTDEEGYEKVRAERTDAEYVYDVDRKQCVKREEAEGLVMIGDLINPPFHEF -AYEGLKRRPAAPYKTTVVGVLGVPGSGKSGIIKSLVTRGDLVASGKKENCQEIMHDVKRYRDLDITAKTV -DSVLLNGVKQTVDVLYVDEAFACHAGTLLALIATVRPRKKVVLCGDPKQCGFFNLMQLQVNFNHNICTEV -HHKSISRRCTLPITAIVSTLHYEGRMRTTNPYNKPVIIDTTGQTKPSREDIVLTCFRGWVKQLQLDYRGH -EVMTAAASQGLTRKGVYAVRMKVNENPLYAQSSEHVNVLLTRTEGRLVWKTLSGDPWIKTLSNIPKGNFT -ATLEDWQREHDTIMRAITQEAAPLDVFQNKAKVCWAKCLVPVLETAGIKLSATDWSAIILAFKEDRAYSP -EVALNEICTKIYGVDLDSGLFSAPRVSLHYTTNHWDNSPGGRMYGFSVEAANRLEQRHPFYRGRWASGQV -LVAERKTQPIDVTCNLIPFNRRLPHTLVTEYHPIKGERVEWLVNKIPGYHVLLVSEYNLILPRRKVTWIA -PPTVTGADLTYDLDLGLPPNAGRYDLVFVNMHTPYRLHHYQQCVDHAMKLQMLGGDALYLLKPGGSLLLR -AYGYADRTSEAVVTALARRFSSFRAVRPPCVTSNTEVFLLFTNFDNGRRTVTLHQTNGKLSSIYAGTVLQ -AAGCAPVYAVKRADIATAIEDAVVNAANHRGQVGDGVCRAVARKWPQAFRNAATPVGTAKTVKCDETYII -HAVGPNFNNTSEAEGDRDLAAAYRAVAAEINRLSISSVAIPLLSTGIFSAGKDRVHQSLSHLLAAMDTTE -ARVTIYCRDKTWEQKIKTVLQNRSATELVSDELQFEVNLTRVHPDSSLVGRPGYSTTDGTLYSYMEGTKF -HQAALDMAEITTLWPRVQDANEHICLYALGETMDNIRARCPVEDSDSSTPPKTVPCLCRYAMTPERVTRL -RMHHTKDFVVCSSFQLPKYRIPGVQRVKCEKVMLFDAAPPASVSPVQYLTNQSETTISLSSFSITSDSSS -LSTFPDLESAEELDHDSQSVRPALNDPDDHQPTPTAELATHPIPPPRPNRARRLAAARVQVQVEVHQPPT -NQPTKPIPAPRTSLRPVPAPRRYVPRPACQDTVVELPWPLETIDVEFGAPTEEESDITFGDFSASEWETI -SNSSXLGRAGAYIFSSDVGPGHLQQKSVRQHDLEVPIMDRVIEEKVYPPRLDEAKEKQLLLKLQMHATDA -NRSRYQSRKVENMKATIIDRLKQGSASYVSAEADKAVTYHVRYAKPRYSVPVMQRLSSATIAVATCNEFL -ARNYPTVASYQITDEYDAYLDMVDGSESCLDRANFCPAKLRCYPKHHAYHMPQIRSAVPSPFQNTLQNVL -AAATKRNCNVTQMRELPTLDSAVYNVECFRKYACNNEYWEEFAKKPIRITTENLTTYVTKLKGGKAAALF -AKTHNLVPLQEVPMDRFIMDMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLNAVLL -PNIHTLFDMSAEDFDAIISEHFKPGDHVLETDIASFDKSQDDSLALTGLMILEDLGVDNQLLDLIEAAFG -QITSCHLPTGTRFKFGAMMKSGMFLTLFINTVLNITIASRVLEARLTNSACAAFIGDDNVVHGVVSDKLM -ADRCATWVNMEVKIIDAVMCIKPPYFCGGFLVYDHVTRTACRIADPLKRLFKLGKPLPADDCQDEDRRRA -LYDEVKKWSRSGLGSEIEVALASRYEVEGSYNLLLAMSTFAHSMKNFSALRGPVIHLYGGPK ->ACV66991.1 non-structural polyprotein [Ross River virus] -MKVTVDVEADSPFLKALQKAFPAFEVESQQVTPNDHANARAFSHLATKLIEQEVPTNITILDVGSAPARR -LMSDHSYHCVCPMKSAEDPERLANYARKLAKAAGEVLDKNVSGKIADLQDVMATPDLESPTFCLHTDETC -RTRAEVAVYQDVYAVHAPTSLYHQAMKGVRTVYWIGFDTTPFMFEALAGAYPTYSTNWADEQVLQARNIG -LCATSLSEGHRGKLSIMRKKRLRPSDRVMFSVGSTLYTESRRLLKSWHLPSVFHLKGKNSFTCRCDTVVS -CEGYVVKKITMSPGTYGKTVGYAVTHHAEGFLMCKVTDTVRGERVSFPVCTYVPATICDQMTGILATDVT -PEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFSKWAREAKADMEDEKPLGTRERTLTCCCLW -AFKSHKTHTMYKRPDTQTIVKVPSTFDSFVIPSLWSSSLSIGIRQRIKLLLGPKLSRDLPYSGDRNEARE -AEKEAEETKEADLTREALPPLVGSNCADDVDQVDVEELTYRAGAGVVETPRNALKVTPQERDQLIGAYLI -LSPQTVLKSEKLTPIHPLAEQVTIMTHSGRSGRYPVDRYDGRVLVPTGAAIPVSEFQALSESATMVYNER -EFINRKLHHIALYGPALNTDEENYEKVRAERAEAEYVFDVDKRTCVKREEASGLVLVGDLINPPFHEFAY -EGLKIRPATPFQTTVIGVFGVPGSGKSAIIKSVVTTRDLVASGKKENCQEIVNDVKKQRGLDVTARTVDS -ILLNGCRRGVENLYVDEAFACHSGTLLALIAMVKPTGKVILCGDPKQCGFFNLMQLKVNFNHDICTQVLH -KSISRRCTLPITAIVSTLHYQGKMRTTNLCSAPIQIDTTGTTKPAKGDIVLTCFRGWVKQLQIDYRGHEV -MTAAASQGLTRKGVYAVRQKVNENPLYAPSSEHVNVLLTRTENRLVWKTLSGDPWIKVLTNIPKGDFSAT -LEEWQEEHDNIMNALRERSTAVDPFQNKAKVCWAKCLVQVLETAGIRMTAEEWDTVLAFREDRAYSPEVA -LNEICTKYYGVDLDSGLFSAQSVSLYYENNHWDNRPGGRMYGFNREVARKFEQRYPFLRGKMDLGLQVNV -PERKVQPFNAECNILPSNRRLPHALVTSYQQCRGERVEWLLKKLPGYHLLLVSEYNLALPHKRVFWIAPP -HVSGADRIYDLDLGLPLNAGRYDLVFVNIHTEYRTHHYQQCVDHSMKLQMLGGDSLHLLKPGGSLLIRAY -GYADRVSEMVVTALARKFSAFRVLRPACVTSNTEVFLLFTNFDNGRRAVTLHQANQRLSSMFACNGLHTA -GCAPSYRVRRTDISGHAEEAVVNAANAKGTVGDGVCRAVARKWPDSFKGAATPVGTAKLVQANGMNVIHA -VGPNFSTVTEAEGDRELAAAYRAVAGIINASNIKSVAIPLLSTGVFSGGKDRVMQSLNHLFTAMDTTDAD -IVIYCRDKAWEKKIQEAIDRRTAVELVSEDISLESDLIRVHPDSCLVGRKGYSITDGKLHSYLEGTRFHQ -TAVDMAEISTLWPKLQDANEQICLYALGESMDSIRTKCPVEDADSSTPPKTVPCLCRYAMTAERVARLRM -NNTKAIIVCSSFPLPKYRIEGVQKVKCDRVLIFDQTVPSLVSPRKYIPAAASMHADTVSLDSTVLHADTV -SLDSTVSTGSAWSFPSEATYETMEVVAEVHHSEPPVPPPRRRRAQVTMHHQELLEVSDMHTPIAARVEIP -AYDTAVVVERVAIPCISEYATPIPAPHAARVVPMPAPRIQRASTYRVSPTPTPRVLKASVCSVTTSAGVE -FPWAPEDLEVLTEPVHCEMREPVELPWEPEDIDIQFGDFETPDKIQFGDIDFDQFXLGRAGAYIFSSDTG -PGHLQQKSVRQHALPCEMLYAHEEERTYPPALDEAREKLLLAKMQMAPTEANKSRYQSRKVENMKAVIID -RLKDGARIYLTEQSEKIPTYVSKYPRPVYSPSVEDSLQNPEVAVAACNAFLEANYPTVASYQITDEYDAY -LDMVDGSESCLDRATFCPAKLRCYPKHHAYHQPQVRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTL -DSAVFNVECFKKFACNGEYWQEFKDNPIRITTENITTYVTRLKGPKAAALFAKTHNLVPLQEVPMDRFVV -DMKRDVKVTPGTKHTEERPKVQVIQAAEPLATAYLCGIHRELVRRLKAVLAPNIHTLFDMSAEDFDAIIA -AHFQPGDAVLETDIASFDKSQDDSLALTALMLLEDLGVDQELLDLIEAAFGEITSVHLPTGTRFKFGAMM -KSGMFLTLFINTLLNIVIACRVLREKLTNSVCAAFIGDDNIVHGVRSDPLMAERCASWVNMEVKIIDATM -CEKPPYFCGGFILYDKVTGSACRVADPLKRLFKLGKPLPAGDTQDEDRRRALKDETDRWARVGLKSELEI -ALSSRYEVNGTGNIVRAMATLAKSLKNFKKLRGPIVHLYGGPK ->AND80849.1 non-structural polyprotein [Chikungunya virus] -MDSVYVDIDADSAFLKALQRAYPMFEVEPRQVTSNDHANARAFSHLAIKLIEQEIDPDSTILDIGSAPAR -RMMSDKKYHCVCPMRSAEDPERLANYARKLASAAGKVLDRNISGKIGDLQAVMAVPDTETPTFCLHTDVS -CRQRADVAIYQDVYAVHAPTSLYHQAIKGVRVAYWVGFDTTPFMYNAMAGAYPSYSTNWADEQVLKAKNI -GLCSTDLTEGGRGKLSIMRRKKLKPCDRVLFSVGSTLYPESRMLLKSWHLPSVFHLKGKLSFTCRCDTVV -SCEGYVVKRITMSPGLYGKTTGYAVTHHADGFLMCKTTDTVDGERVSFSVCTYVPATICDQMTGILATEV -TPEDAQKLLVGLNQRIVVNGRTQRNTNTMKNYLLPVVAQAFSKWAKECRKDMEDEKLLGVRERTLTCCCL -WACKKQKTHTVYKRPDTQSIQKVQAEFDSFVVPGLWSSGLSIPLRTRIKWLLRKVPKADLIPYSGNAQEA -QDAEKEAEEEREAELTHEALPPLQAAQEDVQVEIDVEQLEDRAGAGIIETPRGAIKVTAQLTDHVVGEYL -VLSPQTVLRSQKLSLIHALAEQVKTCTHSGRAGRYAVEAYDGRVLVPSGYAISPEDFQSLSESATMVYNE -REFVNRKLHHIAMHGPALNTDEESYELVRAERTEHEYVYDVDQRRCCKKEEAAGLVLVGDLTNPPYHEFA -YEGLKIRPACPYKIAVIGVFGVPGSGKSAIIKNLVTRQDLVTSGKKENCQEISTDVMRQRGLEIPARTVD -SLLLNGCNRPVDVLYVDEAFACHSGTLLALIALVRPRLKVVLCGDPKQCGFFNMMQMKVNYNHNICTQVY -HKSISRRCTLPVTAIVSSLHYEGKMRTTNEYNMPIVVDTTGSTKPDPGDLVLTCFRGWVKQLQIDYRGHE -VMTAAASQGLTRKGVYAVRQKVNENPLYASTSEHVNVLLTRTEGKLVWKTLSGDPWIKTLQNPPKGNFKA -TIKEWEVEHASIMAGICSHQVTFDTFQNKANVCWAKSLVPVLETAGIKLNDRQWSQIIQAFKEDKAYSPE -VALNEICTRVYGVDLDSGLFSKPLVSVYFADNHWDNRPGGKMFGFNPEAASILERKYPFTKGKWNINKQI -CVTTRRIEDFNPTTNIIPANRRLPHSLVAEHRPVKGERMEWLVNKINGHHVLLVSGYNLALPTKRVTWVA -PLGVRGADYTYNLELGLPATLGRYDLVVINIHTPFRIHHYQQCVDHAMKLQMLGGDSLRLLKPGGSLLIR -AYGYADRTSERVICVLGRKFRSSRALKPPCITSNTEMFFLFSSFDNGRRNFTTHVMNNQLNAAFVGQATR -AGCAPSYRVKRMDIAKNDEECVVNAANPRGLPGDGVCKAVYKKWPESFKNSATPVGTAKTVMCGTYPVIH -AVGPNFSNYTESEGDRELAAAYREVAKEVTRLGVNSVAIPLLSTGVYSGGKDRLTQSLNHLFTAMDSTDA -DVVIYCRDKGWEKKISEAIQMRTQVELLDEHISIDCDVIRVHPDSSLAGRKGYSTTEGALYSYLEGTRFH -QTAVDVAEIHTMWPKQTEANEQVCLYALGESIESIRQKCPVDDADASSPPKTVPCLCRYAMTPERVTRLR -MNHVTNIIVCSSFPLPKYKIEGVQKVKCSKVMLFDHNVPSRVSPREYRSSQESVREVSMTTSLTHSQFDL -SADGETLPVPSDLDADAPALEPALDDGAIGNLAAVSDCSDTDDVPSRVSPREYRSSQESVREVSMTTSLT -HSQFDLSADGETLPVPSDLDADAPALEPALDDGAIGNLAAVSDWVMSTVPVAPPRRRRGRNLTVICDERE -GNITPMASVRFFRAEQCPAVQETAETRDTAISFRAPPSITVELSHPPISFGAPSETFPITFGDFDDGEIE -SLSSELLTFGDFLPGEVDDLTDSDWSTCSDTDDELXLDRAGGYIFSSDTGPGHLQQKSVRQSVLPVNTLE -EVHEEKCYPPKLDELKEQLLLKKLQESASTANRSRYQSRKVENMKATIIQRLKRGCKLYLMAETPKVPTY -RTVYPAPVYSPPINVRLSNPESAVAACNEFLARNYPTVSSYQITDEYDAYLDMVDGSESCLDRATFNPSK -LRSYPKQHAYHAPSIRSAVPSPFQNTLQNVLAAATKRNCNVTQMRELPTLDSAVFNVECFKKFACNREYW -EEFAASPIRITTENLTTYVTKLKGPKAAALFARTHNLLPLQDVPMDRFTVDMKRDVKVTPGTKHTEERPK -VQVIQAAEPLATAYLCGIHRELVRRLNAVLLPNVHTLFDMSAEDFDAIIAAHFKPGDAVLETDIASFDKS -QDDSLALTALMLLEDLGVDHSLLDLIEAAFGEISSCHLPTGTRFKFGAMMKSGMFLTLFVNTLLNITIAS -RVLEDRLTKSVCAAFIGDDNIIHGVVSDELMAARCATWMNMEVKIIDAVVSQKAPYFCGGFILHDTVTGT -ACRVADPLKRLFKLGKPLAAGDEQDEDRRRALADEVIRWQRTGLIDELEKAVYSRYEVQGISVAVMSMAT -FASSRSNFEKLRGPVITLYGGPK diff --git a/seq/clusters_seq/cluster_730 b/seq/clusters_seq/cluster_730 deleted file mode 100644 index eabb38e..0000000 --- a/seq/clusters_seq/cluster_730 +++ /dev/null @@ -1,136 +0,0 @@ ->YP_002790884.1 RNA-directed RNA polymerase [Homalodisca vitripennis reovirus] -MSHVSYDKDILPTLISQFQAIINSDITKIDVSYEIECLLQRTLILWRNGEENLISNRDKYDMKGLFFKRP -VNPKELQLRYASMYNDIFKVNNYGVPTEIITKHDDVILSIINDELNKPLWWSLSDEDLHQSVLPEYKLQR -YDEYISNLNFSLDKDVNDTTRVTWLSDMEKDVTIELHNDLTPSGKKPVSKSRYISDRQSTEMDPHYVYHP -IQALFLTILMIRATSAFGSEKVSADNPTFYSYGLRYMELLCNTIARGNNLYRTFPVIFSTEGKIVGTRLY -SHYPIKLRIILNDLTYLLTYKDIHNYSRKYMDINDEVLLYMLQYPNKGRELKKTVTRLNLYYGLRFNAKT -TDTGKTVNGVSYIHDHPIHKAANFTQPVLAAVNEFTSVSRCYEHESKILNKAVFSPTVKRYVFEDILSVK -ETGLPVLAKFCETLVDMRVNSTIITDIVFLRTLLNFGGYSRSNQITDFKATIDDITVMNEKFLSNSDPAK -SINSLLEWMGPKMTDCGYGLTKAIIEGEISTASYPSANQAKTNIDTYITSRSAGIGNIKLIVNTGKKVYK -TRSTSKSTFANVIGSKIFDVNDVSTVPIMFSEFLSNLSQTERDKFIEQYDQGKITPSEVMRICGQNVIGS -RSTTAWRPVRPIYINVLQAHLAQSFIIGPHIDATTRQRRDRPTGLWFTGKELGIGFATLYQNGTSDMIAP -AIEASSTGKALSVLADCSSWDQTYLTATIIPYYEGIKKALAAHGIASQINFYMIDSSRRDVQGMTILETI -DWFNKFQEKRIFNASYLGELYSFVVKYMWSGRLDTFLMNSVQNGLITEKIAGDVFNQVNNIPLTWYQVAG -DDAIMVYDASSITHFGQVDSIRNITVKNYEQANHIINPQKTVVSHISGEYAKIYYYAGMHFRDPSIQLHE -SEKDSNASDIIERLRGFSQVCFEYNKRAIGSLRVNSLYARLLAGLAYTIVVRKPSRSSSQVKDADNITPS -KTSGPHDTYKYFPPPGAIITPSAYSGGLGMTYTGISLNEVLFIRLHLSKIVETGLSTVSTINFSANETLA -NALTKHFLKEKPEILKDLRLPVGTESIKSISYKSSDSVFSGGDFAQGLNMKRESLDATKVRISRQASDAL -GSIRVKLPNSLLYENIPYSALHQSFKQLAVDKDVARLTSESTLFRLLEDESDTPRMKITSSYPVYDLFVI -SAIKSRNVESSGPIRYISTPTEGKMLESTIGSRTGIQFRNKGYAGSQEIVRFIRQNGLIITEAQLIALVI -KSGVLNSYNPKKTMTDLFEALSGDPSTSSVLADFFIEEKPHWEDNAISVTIIGSLLENCDSRLENISNFV -GVPAINMERDITRMFKYIGYVYFAQRFILNNYNPDYINVQIKDEDLRLFLKSSKPVTNSKRNKSAQGKSH -NPAMDEVANTTEPGFHVTYVTNVNDSEADNLLDTLALVHPLAIPFLGNQIEKYAVTQSEI - ->YP_001111373.1 putative RNA dependent RNA polymerase [Rice gall dwarf virus] -MSGADVESYIFPRIREILTGGISGIREAYINELRVCEKLIQWRNGSDNQVDSTNDASVKGMVFHRVSERN -ELQNRYAGLYDDLFKLNNNNVDIDVITKHDETIDKIISKELKSKMWYELNDEDIHSSLLPEFQIQTLDDY -YDNMKKYLSQDDRDEKGNDNREEEDVKNRNDNVTRIQWQTLMEKDRKVKLHDDLSFSNEVETSLSTYINL -RTKEEMDPRYVYHPVPALFITLTLLKVLTGGKAFSYGVRYLEKLCKTISKGERSLATYPAIFGSNGELVA -TRLYSHYAIKMRLILNNMTYLLTYKSCHEFKDFYIDVNDEVLLYMLENPNGGRDLKKAVTRLNLYYGLRY -NPKTTDSLKIIDGVDYHHEHPKYSDRSYDAPIIEPENHFSASEQCYEHNAKLLNQAVYSKTVKEYIDSDI -KKVKDLNLPLLTKFTEKLVDMRCNKSIIYDIVFMRTLLNMGGYSRSNQITDFKGTIDDITKMNDEYLSDV -SEGGKRAKMSEWMYPKMEACGYGLTKSILNGQMVGVSYPSASESKAHIESYITPNSAGIGNLRFDVDVGG -KMYKVRTTSKSAFVNALGTNIFNIDTISMEPMFLSEYLTHLNQDEKMLLYDRRKNRQIQDIELMRLCGQN -VIGSRSTTAWRPVRPIYINVIQAHLAQAFIIGPHINATVGRQRTPPKGLWFTGEDVGIGFATIYQNGTSD -VISHAIEASASGRCLSVLADCSSWDQTFLTESIIPYYQGIKRAISEFGQADSENYYMYDNQRKDVVGMRL -TETIDWFNEYQKKRIFNASYLGERYSFKVQYMWSGRLDTFFMNSVQNALITERIANQVSSSVAGSPSLVW -FQVAGDDAIMVYNANSITSSDQVDAIRKTVVDEYTADNHIINPQKTVISHISGEYAKIYYYAGMHFRDPS -IQLHESEKISKATNITEVMRGYAQVAFEYNKRAIGSLRINSLYARLLASLAYSINVKRSDDESIENKPNR -RGSKAKARSTKTNNLRSFSSVKYYPPLTSVITPTGVKGGLGMSLSGISLNEILMIKELLLDLVEAGLKIV -DQVSFEQNEIVSKSLMRHFLRDRKDLIKEMGLDKGTKPVMAVRYRSSDSAFSSGNFENGISMRLESLDSH -KLSIARQSEAKLKSVGVNLPKMYTYENLPYSTINQSLKGITIDKDLSRMTNSDLVDQLRSIPESECKGNL -ISKYPVYGLFNARRIISMDEKVDNPIRYISTPDEGKALERLIGSRTGIQFKNQGYGGSPAIVRFIRRNGL -TITEENLIDLVISSGAISLANPKQNMIELFQAISGDQQSSLELANFFMNEKPHWEDKAISITINGSILEN -CDSRISNISRFVSIDAIRIPGDIKKMFTYIAYVYMCQMFILDENTPSKIHISINEEQLRDFLISAKPISK -NRMKQTIDMKFSNQNSGVTIEIDEESLSRTADLDYEVLKLVHPLSVPFLRNLSEGSPM - ->NP_620544.1 RNA-dependent RNA polymerase [Rice dwarf virus] -MDLARSDIIPHLLCLFQEIIQANIQKVSEAYDLELKIMNILTLWRNGSDNLISDNDDYMKKGLFFSRSND -PLALQARYARMYDDLFKLNNYEVPDDVVRRHDNKILDIILKESSVPFWYDISDDEAHESMLPEFRLQDIH -EFRLNLKRVRVVPDESEEIQMDESQSDKRRRKKRMEKSRPVWLSGSESDRRIELNDSLKPSQKFETKLSS -YLLNRLMNEMNPHYCGHPLPALFVTLIMLKAYSIKNKFFSYGIRYMELVCNEIAGPDLNTRTFPVLFGSD -GSFVGTRVYSHYPIKLRMILNDLTYLLTYSDLHKFQEFELDVNDEVLLHMLRTPNDGRQLKKAVTRLNHY -YGLKFNPKTTDCGVVNGMDFTHKHPITKTADFTSPVLPMTNSFNKAEICYGHNSKILNRAVFTDTVRGHI -REDLKNVADLDLPKLYEHVSKLVDMRVNYTIIYDLMFLRVMLNLGGYSRSNQITDFRKTIDEITKMNEGF -LSGADPEKNIDTLNAWMAPTMEDCGYRLTKSILFGKFRKAKYPSDLEAKSNIDYYVTARSAGIGNLRISI -ETDKRKYKVRTTSKSAFVNAMGSGILDVNPVSNEPMMLTDYLLTQTPETRANLEAAIDSGSKSDSELMRI -LGQNSIGSRSTTAWRPVRPIYINVLQAHLAQAFIIGPHINATVNQHEYQPTSLWFTGDDLGVGFATLYQS -GTADIIVPAIEASSTGKALSVLADCSSWDQTYLTATMIPYYNGIKRALLEYQQADMRNFYMIDSGRTGVP -GMKLSEIVDWFNSFQTKRIFNASYLKERHSFVVKYMWSGRLDTFFMNSVQNALITRRIAEEVSLRVSNTG -LSWFQVAGDDAIMVYDGSSISTTEQVTRINEITVRNYEESNHIINPQKTVISHISGEYAKIYYYAGMHFR -DPSIQLHESEKDSGASDVTESLREFGQVIYEYNKRAIGTLRVNALYGRLIAGLAYSVNCPQYDASKRTYA -NMKYYPPPTSVIAPAAFKGGLGLSFTGLSLNEVLFIKLHLHEAVSQGLHVISMISFEANEVVSNSLSAYY -LKDQKDLLRDMKLGKHLEKVKGISFKSSDLAFSGSDFSQGLNLKRESIDKVKLEVSRKSIRDLRSSGISV -PSTHAYENLPYASLHQSFKSLKVDRDTSKFTNERLLVSLLEYKSDIPRVSVTSQYPVYDLINISKVDELN -VRSGGPVRFISAPIEGKLLEENIGTRQGVQFKNRGYGGSQEVLHFIRSNGLVITEQALIDLIIKSGVLLM -INPQRGLIDLFQSLSGDTASSMHLANFFMAEKPHWEDNAISLTIAGSLLENCDSRIENVKNFVSVLATGM -QKDLQRMFYYVGFVYYAQRLIWSGGHSSKIFVSIDEDKLADFLRGSKPITRRRKAMAGTKREPINLSANF -SYEISEPDREISEYDPLILCHPLSMPFFGNWQEKYSVMQSDEQM - ->sp|Q02119.1|RDRP_RDVA RecName: Full=RNA-directed RNA polymerase P1; AltName: Full=Replicase -MDLARSDIIPHLLCLFQEIIQANIQKVSEAYDLELKIMNILTLWRNGSDNLISDNDDYMKKGLFFSRSND -PLALQARYAQMYDDLFKLNNYKVPDDVVRKHDTKILDIILKESSVPFWYDISDDEAHESMLPEFRLQDIH -EFRLNLKRVAVVPDESEEIQMDESQSDKRRRKKRMEKSRPVWLSGSENDRRIELNDSLKPSQKFETKLSS -YLLNRLMNEMNPHYCGHPLPALFVTLIMLKAYSLKNKFFSYGIRYMELVCNEIGGPDLNTRTFPVLFGSD -GSFVGTRVYSHYPIKLRMILNDLTYLLTYSDLHKFQEFELDVNDEVLLHMLHSPNDGRQLKKAVTRLNLY -YGLKFNPKTTDCGVVNGMDFTHKHPITKTADFTSPVLPMTNSFNKAEICYGHSSKILNRAVFTDTVRGYI -REDLKNVADLDLPKLHEHVSKLVDMRVNYTIIYDLMFLRVMLNLGGYSRSNQITDFRKTIDEITKMNEDF -LSGADPEKNIDILNAWMAPTMEDCGYRLTKSILFGKFRKAKYPSDLEAKSNIDYYVTARSAGIGNLRISI -ETDKRKYKVRTTSKSAFVNAMGSGILDVNPVSNEPMMLTDYLLTQTPETRANLEAAIDSGSISDSELMRI -LGQNSIGSRSTTAWRPVRPIYINVLQAHLAQAFIIGPHINATVNQHESQPTSLWFTGDDLGVGFATLYQN -GTADIIAPAIEASSTGKALSVLADCSSWDQTFLTATIIPYYNGIKKALLEYQQADMRNFYMIDSSRTGVP -GMKLSEIVDWFNSFQTKRIFNASYLKERHSFVVKYMWSGRLDTFFMNSVQNALITRRIAEEVSLKVSNTG -LSWFQVAGDDAIMVYDGSSISTTEQVTRVNEITVRNYEESNHIINPQKTVISHISGEYAKIYYYAGMHFR -DPSIQLHESEKDSGASDVTESLRGFGQVIYEYNKRAIGTLRVNALYGRLIAGLAYSVNVRRYDASKRTYA -NMKYYPPPTSVIAPAAFKGGLGLSFTGLSLNEVLFIKMHLHEAVSQGLHVISMISFEANEVVSNSLSAYY -LKDQKDLLRDMKLGKHLEKVKGISFKSSDLAFSGSDFSQGLNLKRESIDKVKLEVSRKSIRDLRSSGISV -PSTHAYENLPYASLHQSFKSLKVDRDTSKFTNERLLVSLLEYKSDIPRVSVTSQYPVYDLINISKVDELN -VRSGGPVRFISTPIEGKLLEENIGTRQGVQFKNRGYGGSQEVLHFIRSNGLVITEQALIDLIIKSGVLLM -INPQRGLIDLFQSLSGDTASSMHLANFFMAEKPHWEDNAISLTIAGSLLENCDSRIENVKNFVSVLATGM -QKDLQRMFYYVGFVYYAQRLIWSGGHSSKIFVSIDEDKLADFLRGSKPITRRRKAMAGTKREPINLSANF -SYEISEPDREISEYDPLVLCHPLSMPFFGNWQEKYSVMQSDEQM - ->ABF67520.1 RNA-dependent RNA polymerase [Rice gall dwarf virus] -MSGADVESYIFPRIREILTGGISGIREAYINELRVCEKLIQWRNGSDNQVDSTNDASVKGMVFHRVSERN -ELQNRYAGLYDDLFKLNNNNVDIDVITKHDETIGKIISKELKSKMWYELNDEDIYSSLLPEFRFQTLDDY -YDNMKKYLSQDDRDEKENNNKEEEDVKHRNDNATRIQWQTLMEKDRKVKLHDDLSFSNEVETSLSTYINL -RTKEEMDPRYVYHPVPALFITLTLLKVLTGGKSFSYGVRYLEKLCKTISKGERSLATYPAIFGSNGELVA -TRLYSHYAIKMRLTLNNMTYLLTYKSCHEFKDFYIDVNDEVLLYMLENPNGGRDLKKAVTRLNLYYGLRY -NPKTTDSLKIIDGVDYHHEHPKYSDRSYDAPIIESENHFSASEQCYEHNAKLLNKAVYSKTAKEYIDSDI -KKVKDLNLPLLTKFTEKLVDMRCNKSIVYDIVFMRTLLNMGGYSRSNQITDFKGTIDDITKMNDEYLSDV -SENGKRAKMSEWMYPKMEACGYGLTKSILNGQMVGVSYPSASESKAHIESYITPNSAGIGNLRLDVDVGG -KMYKVRTTSKSAFVNALGTNIFNIDTISMEPMFLSEYLTHLNQDEKMLLYDRRKNRQIQDIELMRLCGQN -VIGSRSTTAWRPVRPIYINVIQAHLAQAFIIGPHINATVGRQRTPPKGLWFTGEDVGIGFATIYQNGTSD -VISHAIEASASGRCLSVLADCSSWDQTFLTESIIPYYQGIKRAISEFGQADSENYYMYDNQRKDVVGMRL -TETIDWFNEYQKKRIFNASYLGERYSFKVQYMWSGRLDTFFMNSVQNALITERIANQVSSSVAGSPSLVW -FQVAGDDAIMVYNANSITSSDQVDAIRKTVVDEYTADNHIINPQKTVISHISGEYAKIYYYAGMHFRDPS -IQLHESEKISKATNITEVMRGYAQVAFEYNKRAIGSLRINSLYARLLASLAYSINVKRSDDQSIENKSSR -RGSKAKVRNTKTNKLRSFSSVKYYPPLTSVITPTGVKGGLGMSLSGISLNEILMIKELLLDLVEAGLKIV -DQVSFEQNEIVSKSLMRHFLRDRKDLIKEMGLDKGTKPVMAVRYRSSDSAFSSGNFENGISMRLESLDSH -KLSIARQSEAKLKSVGVNLPKMYTYENLPYSTINQSLKGITIDKDLSRMTNSDLVDQLRSIPESECKGNL -ISKYPVYGLFNARRIISMDEKVDNPIRYISTPDEGKALERLIGSRTGIQFKNQGYGGSPAIVRFIRRNGL -TITEENLIDLVISSGAISLANPKQNMIELFQAISGDQQSSLELANFFMNEKPHWEDKAISITINGSILEN -CDSRISNISRFVSIDAIRIPGDIKKMFTYIAYVYMCQMFILDDNTPSKVHISINEEQLRDFLISAKPISK -NRMKQTIDMKFSNQNSGVTIEIDEESLSRTADLDYEVLKLVHPLSVPFLRNLSEGSPM ->APG79149.1 RNA-dependent RNA polymerase [Hubei reo-like virus 10] -MDSNERLRFMLDELRLRLSFSNIKTIDAFKEFLKCELNFSEFIIKLRNEVGTNVKIDSYDANGLLFGGST -SVIINEEKFHNVWYNAINLIDDSKANYVDILARLILSFHNDIMWYKLTDADVMSSILPSFRLCDFDYHVN -RNANLLKRQPGAVSKFGEDIFQSVFLEDRDITLRNDLNKFGFSKIKLSKYLSFRIKNELDPHYLTHPSEL -FLCTVSSYYLLYGNKYNIVISYVKKLLLELSDDNSPFLHFPAQFSSDGNFVNLQYHSGILLCFRYCMNLL -GMHIINSDIFRYDILEYQEVYEEIFIFIISDVHYNGSKLKKILRAAYHYMGHDRNRDVSYEHLHPKYSFH -NYADSMLSSKYHFTSCGDCNSCNLERESSEYNRDVRIDVESMYSDLDKLHLYKLKKFLKYRIMSRNCNIR -LIEDITFIKCFIAAGGYAHSHQLTDFDNSLVSNLEKLKSFNSDGEADWLVSAMYRKGYDMTILTFNQYPT -GVQFKSNIERSLTSRSAGIGSFNAKFSYNDPSVNELQKHIQLTGTAKLAYSLFQGGKIFDLSNEIINPLN -IHEVTPSNWYNDKGDILPEKLNSALRMSGISNIGSRSTTNFRAVRPIYINPLMVHLAMEAVIGPHIKATN -HILNQNPTTAYISEKSTGSCIATLHIDGTSDFIAPAIMATSDDESLIASIDSSNWDQHFPSLLINEYYKG -IIHALEFLGADSMEDNSNYMYLNGIGMNLRQLAEWLIKYQTERKYMASYGIQKHIYNVPFMTSGRLDTFY -LNSVLNECIVEHLGVKVANLFKNKVVLSWSQVAGDDAIIVFNTYRHLASDEIANLKQVIVDVYTSAGQET -NISKTLLSYRAFEYSKQNAFCGMVFRDPTIQLFESEKDSRTDDRIEKMKGYSMKIFDSIRRSSGIASVQC -FFGRLILAVSHHLTIMSRNSRRDLNRDMRLASSLVSKIKNDNKGKMIKELPGTFTNLTNKYKYYYPFQSV -IIPTAFSAGLGFSLTSLSMNEVIFINCNLQDFVRTALPVTEAVNFEYSNDAADALFKYLIEKVKDKRTFD -VDVNSICSSLNISNSKSIVKNFSLSILNTDSGIDDVVGSLISRVSNINYNRLISAERAVMKIQKSYNIKL -NKKYFYSYLPFKSYLDSLRTVIKNGAIYKDASDRKLKHIFKMNLNDSNDKVEIDHSRLPRIDSIMIKYKL -MDGFRLIFNVNDFISDNELQSKSIRYATCNAVTKKLQLSYGTRNGLQPFVNFKGMPFLIMKFLSRTGLTI -TSTELVEILISSGCLSGGVELIKNVLISISGDESASETLSNDLFSQLNSWADESIAANIVGSSYELIDLR -TSIILPHISLSGINLNSNHKNIILYLSYMYMLQCSAILDRNLRSAVVENTGKLHKIWNNVDFIGPDDGFL -KEIRDILSQFMDDSTAHRTYNYYIKRGAFADECN diff --git a/seq/clusters_seq/cluster_731 b/seq/clusters_seq/cluster_731 deleted file mode 100644 index 619fb8a..0000000 --- a/seq/clusters_seq/cluster_731 +++ /dev/null @@ -1,184 +0,0 @@ ->NP_919035.1 RNA polymerase [Hirame novirhabdovirus] -MDFFDLDIEIKQERLPAECSLNSPLNISLSSQLTDRMTPQNENIRRQRERIRTHTKTHSRIKHLSKLDND -STRLHARLTEDLIKLQHLEVDSPVFDNWALLTSYYAALDYTLPERASFDWGQAAPYWNLYTQLRTILLQS -QKIRKKDRGVREIYSCGPLRLEFVEGTVLYFTDKQSGGEFTKSGELPSITPYADFLAWVKIISQRAQAVL -MAVILRVTDKGLSPLPESLLSVYQTVDDILKRAGQPAIDLLKLWEPLVITKLGELLGDRFGLEEDFRLTI -RGEATRLAKKLAITNGLNRLMTVLDSQTEAQPLFQFFGLFKHFAYPRVFSRDTIQAIQEVSDRPSSISAA -EFLHDQCEIRKEFYIRYLKAYHRAPGLDLSALSPSSFLRESLEHGKIPNEKSPHYSNKEWYFIKFTKSIE -WPISDTLSTFLSDKAITRDRAAWIEEGHSGRDMSEKRLLLKFIKENFSSVAEIVAAADAIYNNEGDRLIA -LKVKEMELKIKGRGFGLMTFMPRLLQVLRESIAKKTSKLFPEITMTSSDLDMKKRKFMLSKRSDDRRGFI -HINKSLDINKFCTSQRQFNSSAVFSSLDEMMGTFPLFSRVHEIFEKTWIVDGSASDPPDLSHFTRILEEC -RLHGIEAPHVWADGVFSGLKGGIEGLCQYVWTICLLLRVERVMQKTKLTHYILAQGDNVIITIIVPVEIH -RDGIISDQESRRLLTLSRNIDLSLESELEKSGLTLKIEETLTSENISIYGKDLHCPQHLTLALKKAASAA -IISSEQYQDIPTFLSGLGTSLESLSECVNSKTGAHLFGVLMGVAGWKDLATHQTWRGWRYPYHKAPLSGR -VRASDMKIGKGEAVELTIPVMSPRQQGKETLRELLANSLLGSALGMLAFPTPIDLEKRGVGDYITHRLAI -ARKALLSEKLDPHIEKRVRSACNLPLSSRVDLSKLFDSPFSLNLATEEDATAVIKRQAKKTLRLQEIGND -KLRAQIGNMDKGIAALDADLAGAETINPRLNHMIRDITDEKESEMFVTKFASARTMRTLAMGDSSEVPIV -VLLEKKSQQKELYTIWRARRPHATMWKCSTVLAKGLRDISWGKTIVGVTSPSPIEAMETTHIDPTDWEDS -RSRETLSINYYLSRAGIDEQTAKLTRGSLVPYYGTQTKPLIAKAYLELKGNPRTNKALLLLSVRESLVKT -GSNLDELIMQLCSHALDIDAASLPALRAQEEATAGEGLRGGIKESMSPVGPDNFYTNITHKVFNRKWVTP -YHVNIADFIIQGLIETRKHLILNEKMDGLLPLSSVKCTACFRKKEREFFDIPEGPTWKNDSTTSDPAYTY -FTTWCDLPRVSTLPTMDQQSATRLLGRGLSLNRPTAGEIITKFYSMSMESQRLLHPVDLLLGYGEGVVFG -YIRSQHIHHGALFQTKRETLTNKLRKFILDTKTQHAKQIGYLFQDEDSLHELMAQGLCPYVPRSIPLTIT -ELTNACAITTIRATEVILSAGSRVALMPVQAIDETDVDNSRLAANTMQTILGDSRPMNPVYLDCDLTTNM -TAWESSIELDVLKSENFHIDGLLMDLTARELPISDTPWKQRDWTCSNDPRIIAKGIKTKSLFIHQGVAEA -LNMTPDLLVVIGGGLGGCAVPYLQEWADVPLIFATLFDERERISEDGDLVVPPEILVRGMAPRMIERELL -EAELCDVTNDGNRRLLTRLVKKNRGKGTVVLIDEIENRGAPESLLQSSLQDLVRRLDKVCTLTSIHTVRE -STVEQFAQRTNSIKRDRKTVTLHWNRYNRRDQFEALVIVKGEETRSDYHVSTATAAQAFRKIDEQLEVEG -RLSATRWSLPTLPAREKEILFGYVSSVFLKTNLVLSADDMDRETLLETIEDTAPGLISWKEKLEHRDHAF -RSDIDEKGITQDKVFNLICLAWVITGLRYGIWETDAQSIITKTVYITRGPKLCPLGEKPKRVFASFKLQS -DKRVEDAKGFLSALLHLEGFFPLGRQ - ->NP_050585.1 polymerase protein [Snakehead virus] -MEFFDLDLEVSQERLPAECSLNAPLNLSLSLQLFGRIEPKTENIRRQSRRITKVLRERHNGYRLQDLIID -STRTQANLIPHLVSSASGDLNTPILEHWEMLSKYYQSLGYSLPSLDKFDFKESAGYWNAACSFRDMLLKS -QKVEKKVNKQQTYVIYDITFEFVEGVVFIHGGEDGFNDGFLAGGAIAAMTYTELLALFKILNQRAQALLM -CNISKGLEPDMVPSPSTIHSIYAEADHMLRMAGQGAIDLLKLWEPLVLTQLGDVLGDRFGLEDDFKLTIR -TEAALLADQLNLKRSFARMTELIKSETRKQPLFQLFGLFKHFAYPRVYSRDTINTILGVSDKPSANDPEE -YLHDQCEIRKEFYTRYVKAYHRAPQLDLGGLSPGSYLRRALEAGKMPNEKSPLYTNLEWFFVKFKKSIEW -PLSDTLSTFLSDKAITQNRSTWLDNETSSRDNSEKRLLLKFIKENEDSVARVVAQAKEIYDNEDDRIIAL -KVKEMELKLKGRGFGLMTFKPRLLQVLRESIAKKTSKLFPEITMTASDLDLKKRKFLVSRKSDDRRGYVH -MSKSLDINKFCTSQRQFNSQAVFQCLDELLGTGALFSRVHEIFEKTWIVDGSASDPPNLKKFKDRYQKLK -DLGIDAPHTWGDGVFSGLMGGIEGLCQYVWTICLLLRVERVLSKTSLTHFVMAQGDNVIINLIIPIEIER -DGSISPSEHRRVKSLSTSIDTQLAMELEKSGLTLKIEETLSSEHISIYGKDLHCPHHLTLSLKKAGSASI -ISSEQYQDVPTFLAGLSTSIETISECVNDKVSAHLFGVILAHAGWKSLCVSQTWKGWEYPYQKDETINRV -RSQGIKLTEGEQVTVEKRLERNPDKRCLEWILATSFLGSALGMLPFPTPVDLEKRGVGDYITHRLALTKK -ALSSRVLPRRIEKLIRSMVNLPHSRETDLAKLFDSPFSLNLATEEDATSVIKRLARSTLRDLDIKNERLR -AHIDIMDRGLQDLDRELGDSETINPRVAHLIRDITDEKESEMFVTKFATARTMRTVALENPQDVSVVSLL -NKKSRAKETYTIWRSKRAPAEDWECSTQRAKIERDSSWGKNVIGVTSPSPVEAMSYRLVDPSTWEEEKKD -QDFTINYYLSKPSLISHQARLERGPLVPYYGTQTQPLIAKAYNELKGNPKTNKALMLLSLRETIVKSGSN -LDKLIMRLCERALDLDLNTLPSLRAQEEASSGEGIRGGIKESMSPVGPDNFYTNITHKVFNRRWLSGFHI -NIADFIIWGLTCTRKSITTQGTLSGNLPICIPACRGCLRRKEREFLDIDNPPRWECKEGVKDKAYMYFTT -WCDLPRLSTLPSLDPQDAVFMIGRQLACSKGQDSGAATKFYNVSPESLGLLHPRMLLLGYSEGLIFSYLR -SQHIVLGCLYHPTITELLPSLEKYTLETIDQHARQLGYLFQEEETAKELLNAGLCPYTPRAIPLTITELK -NAVCITVSRSISVTLETKKSIHLMPESGISEEEVVAGRHAARTLGGLLNIKVPNLVYIDCDLTKGLLPWE -PELPSEVLQSENFKIDGKRVTLYAKSQKRDNSIWEERGWTCSNSREILAKGVKTKSLFIHQSVPSHLDID -PALIVVIGGGLGGCVVPYLQHWRRPPVIFTTLFSERERISEDGDLIIPPELLVRGLSGRMVERELLEAEL -CDVTVPGNRKAIVDAVKRRIKPNESVLLIDEIENRGDAEDVLQQSISTLLQSLEKHCSLTSVHTIRESNV -KHFTQRLNILRRGRYEANLFWNRYNRRDQYEALIVIPSESRMTECTFSVASVQAAFQKIDDGIEVEAKLE -AHSWGLPELPPREKKILLGYVSSVFLKLGLVVIERHMSSTKLIDLLESAGPQMISWEEKQTHRSWASTDS -IKEKGVTQDRIMALLCFAWTLKGLKHGVWDTNMDAVVEKTVYITHGPRLCALDEKPRVQYAEFKLQSKKR -VEDLKGYLGALLHLETFFPLGDR - ->NP_049550.1 large protein [Viral hemorrhagic septicemia virus Fil3] -MEMFELDREVHQERLPSECSLNSPLNLSLSLQLFGRLAPKTEHIRYQAGRIKRWLARQYQLVHLRELEID -STRIQGYLIPHLLKTQSNELGSSVMKNWGMVSKYYLSLGYTLPPKDKFEFREVAPYWNLASQLREVTLES -QKVDARGKEKRKLYQVEDVEFEFKEGVVVIRAGPDGLLNEFLGGAKLGAVTYVEYLALFKIINQRAQALL -LTAICQTLEPDLVPPCSGILSIYAEVDSVLRRAGQSAIDLLKLWEPLVLTKLGDVLGDRFGLEDDFKDTI -RGEANKLAKRLHVTRSYKRMMKTLDQETRAQALFQYFGLFKHFAYPRVYSRETIEAIQEVSDKPSDSSPL -NYLSDQCKIREEFYIRYTKAYHRAPAMNLGQLGQGSYLRQVLEAGKIPNTKNALYSLLEWFFVRFEKSIE -WPLSDTLSTFLSDKAITQNRDIWYDGGSSGRDTTEKRLLLKFIKENEDSVEKVILKADEIYDKKADQIIA -LKVKEMELKIKGRGFGLMAFRPRLLQVLRESIAKKTSKLFPEITMTFSDLELKKKKFQLSRKSDDRRGYI -HISKSLDINKFCTSQRQFNSLAVFQSLDELLGTDQLFTRVHEIFEKTWIVDGSASDPPDLVTFKARYEEA -LALGIEAPHVWADGAFSGLMGGIEGLCQYVWTICLLLRVERVLAVTQLTHFVMAQGDNVIINLIIPVEVD -RVGGVVEGERARIQHISKDIDSALERELLRSGLTLKIEETLTSENLSIYGKDLHCPQHLTLAVKKAGSAS -IISSEQYQDVPTFLSGLGTGMETISECVNNKVSAHLFGVILGAAGWKSLAQRQTWKGWEYPFQNEATRRQ -VRSQGILLQKGESTMVHKEPEVNPEKRTIELLLVSSLFGSALGMLPFPTPIDLEKRGVGDYVTHRLSIIK -MALVSKKLPNRMVEMIVSTMNLPLSREQDLTKLFDSPFSLNLATEEDAASVIKRLARGTLRGLDIKNKKL -ADHIATMDQGITQIDDALASADTINPRIAYQFRNITDQKESEMFVTKFATAKTMRMVALSSSQDVSVVGL -LNKRSQAKEIYTIWRTQRKGETLWTCSTQQAKKLRDLSWGKNIIGVTSPSPLEATRFKLIDPISWEEEKE -AHHFTIHYYLSKPSLSSKTAHTTRGPLVPYFGTQTKPLIAKAYMELKGNPRTNKALQLLSMRETMIKAGS -NLDKLLLSLCSNALDIDVNSLPSLQAQEEASAGEGVRGGIKESMSPVGPDNLYTHITHKVFERQWLSEFH -VNIADFIIWGITKTRQHLQVATDLGGSLPICVPACPECYREKERVFLDIPKEMEWVNTSTTSDKAQTYFS -TWCDLPRVSTLPSLDQKDATCLMGRSMATQKSTPGESITKFYSTAPDTHRLLHPVTLVLGYAEGTIFSYM -KSQHNIHGSLYHPHIDEIEPALEKYVIDTKTSHTKHLGYLFQDADSLQELLETGMTPYIPRSIPLTITEL -TSACCMTLAKAISIVLRTGVTIPLMPENGYGENDIQVARLTANSFSRLMPRGRIQLVYLDCDLTSQMTAW -VPTSQPSVLGSVNFHIEGIAIPLTATEMRVGQESWEERKWTCSNNRHIIAKGVKTKSLFIHQSVPETITH -PPDLIVVIGGGLGGCVVPYLQKWRDPKVIFCTLFDERERISEDGDLIIPPELLVRGLAGRMVEKELLEAE -MCDITVKGNRDLLIKVVQKWVQPNGHVLLIDEIENRGDQESVLQSSISELLARMDNVCNLTSVHTIRETG -PRQFAQRVNTIRRGRKTATLHWNQYNRRDQVEALLLIESHTRKTELHVTSSVVQAAFRKIDEKLESESRL -EHSKWSLPELPPREKDILLGYVASVFLKLGLVVTDRHMSAAALITLLEEAGPKMISWDKKMEHRTWASSD -AITEKGVTQDQIFSLLCFAWALRGLKSGDWEHNADAIILQDVHIDTGPRLCQMGESPKRTFASFRLHNTK -KAEDLKGYLGALLHLESFFPFGEQ - ->NP_042681.1 RNA polymerase [Infectious hematopoietic necrosis virus] -MDFFDLDIEIKQERLPAECSLNSPLNYSLSAQLTDRMTPRTENVRRQRERLRSHMREHFRVKDLSTLDND -STRLHARLTEDLITIQSPEIDSSVLENWPPLKSYIASLDYTLPEKTAFKWEQAAPYWNLFAQLRAILLQS -QKIKKQETGTRELYSCVPLQIEFVEGVVLYFTDRGSKEEFTKSGELPSVTPYADFLAWIKIISQRAQAVL -MAVILRVTDKGLSPLPESLLAIYQNVDDILKRAGQPAIDLLKLWEPLVITKLGELLGDRFGLEEDFRNTI -RGEATKLAKNLFISRGLNRLMDILDQQTDAQPLFQFFGLFKHFAYPRVFSRDTIQAIQEVSDRPSSISAV -DFLHDQCEIRKEFYIRYINAYHRAPGLDLSALSPSSFLRDSLERGKIPNERSPLYSNKEWYFVKFTKSIE -WPVSDTLSTFLSDKAITRDRPAWIEDGHSGRDMSEKRLLLKFIKENFSSVADIVGAAEAIYNKEEDLLIA -LKVKEMELKIKGRGFGLMTFMPRLLQVLRESIAKKTQKLFPEITMTSSDLDMKKRKFMLSKKSDDRRGFI -HVNKSLDINKFCTSQRQFNSNAVFSSLDELMGTFPLFSRVHEIFEKTWIVDGSSSDPPNLAHFTRILDEC -TALGLDTPHIWADGVFSGLKGGIEGLCQYVWTICLLLRVERVMQKTALTHYILAQGDNVIITIIVPVEIH -RDGTIPEQESRRILSLSREIDLSLESELEKSGLTLKIEETLTSENISIYGKDLHCPQHLTLAIKKAASAA -IISSEQYQDVPTFLSGLGTSLEALSECVNNKVGVHLFGVIMGVAGWRDLATHQTWRGWRYPYHKKAITGR -IRASEMKLSKGEPTELSISVLSKRRRERETLIELLSNSLLGSALGMLAFPTPLDLEKRGVGDYITHRLTI -ARKAILSGHLDPRIGRKVESACNIPLSSRTDLSKLFDSPFSLNIATEEDATAVIKRQATKILRLQEIKNE -KLRAQIDNMDKGIATLDAALAGATNINPRLNYMIRSITDEKESEMFVTKFASARTMRTLAMNHSSELPIV -TLLEMKSQQKETYTIWRTKRPPVTMWKCSTVLAKELRDTSWGKNIIGGTSPSPIEAMETIQIDPTEWEDR -RSQDAMSINYYLSRAGMDEQTAKLTRGFLVPYYGTQTKPLVAKAYLELKGNPRTNKALLLLSVRESLVKT -GSNLDKLIIKLCSHALDIDVASLPALRAQEEAAAGEGLRGGIKESMSPVGPDNFYTNITHKVFNRKWATP -YHVNIADFIIQGLIETRRHLLVNERMNGLLPVSSVKCTSCFREKEREFFDIPEEFTWKNESKTSDPAYTY -FTTWCDLPRVSNLPEMDQRSATRLLGRGLALNRSSSGEIITKFYSMPMESQRLLHPVELLLGYGEGVIFG -YLRSQHINHGALFHIGDESLAKKLRRYVLDTKTQHAKQIGYLFQDEDSLHELLGQGLCPYIPRSIPLTIT -ELTNACAITTIRATEVILSTKTRIHHMPVQAIDESDVDTSRLAANNMQTILGDPRPMNLVHLDCDLTHNM -VAWESEVELDILKSENFHIDGLLVELTARELPIGDTPWKQRDWTCSNDPKIIAKGIKTKSLFIHQGVTGA -INLIPDLLVVIGGGLGGCAVPYLQEWPDTPIIFATLFDERERISEDGDLIVPPELLVRGMAPRMIEREIL -EAELCDITNEGNRRLLIRLVTKNKRGGKVVLIDEIENRGAPESLLQSSLQDLFEKLDKVCKLNSVHTVRE -STVEQFSQRVNSIKRSRKAVTLHWNRYNRRDQFEALVIVKGEEAKSDYRISTITSAKAFRKIDEQLEIDG -RLSSTQWSLPALPSREKNILFGYVSSVFLKMNLALSANDMDRERLIETIEGTAPGLISWKEKLEHRDHAH -RSDIEEKGITQDKIFNLICLSWVLKGLRYGVWDTDAQSIVAQTVYITRGPKLCPLGEKPKRIFASFKLQS -GKRVEDAKGFLSALLHLEGFFPLGEQ - ->ACA34525.1 L protein [Viral hemorrhagic septicemia virus] -MEMFELDREVHQERLPSECSLNSPLNLSLSLQLFGRLTPKTEHIRYQAGRIKRWLVKQYQLVHLRELEID -STRIQGYLIPHLLKTQSNELGSSVIKNWGMVSKYYLSLGYTLPPKDKFDFREVAPYWNLASQLREVTLES -QKVDTRGKEKRKLYQVEDVEFEFKEGVVVIRAGLDGLLNEFLGGEKLGAVTYVEYLAFFKIINQRAQALL -LTAICQALEPDLVPPCSGILSVYAEVDSVLRRAGQSAIDLLKLWEPLVLTKLGDVLGDRFGLEDDFKDTI -RGEANKLAKKLHVTRSYKRMMKTLDQETRAQALFQYFGLFKHFAYPRVYSRETIEAIQEVSDKPSDSSPL -NYLSDQCKIREEFYIRYTKAYHRAPAMNLGQLGQGSYLRQVLEAGKIPNAKNALYSLLEWFFVRFEKSIE -WPLSDTLSTFLSDKAITQNRDIWYDGGSSGRDTTEKRLLLKFIKENEDSVEKVILKADEIYDKEADQIIA -LKVKEMELKIKGRGFGLMAFKPRLLQVLRESIAKKTSKLFPEITMTFSDLELKKKKFQLSRKSDDRRGYI -HISKSLDINKFCTSQRQFNSLAVFQSLDELLGTDQLFTRVHEIFEKTWIVDGSASDPPDLVTFKARYEEA -LALGIEAPHVWADGAFSGLMGGIEGLCQYVWTICLLLRVERVLAVTQLTHFVMAQGDNVIINLIIPVEVD -RVGGVVEGERARIQRISKDIDSALERELLRSGLTLKIEETLTSENLSIYGKDLHCPQHLTLAVKKAGSAS -IISSEQYQDVPTFLSGLGTGMETISECVNNKVSAHLFGVILGAAGWKSLAQRQTWKGWEYPFQNETSRRQ -VRSQGILLQKGESTMVHKEPEANPEKRTIELLLVSSLFGSALGMLPFPTPIDLEKRGVGDYVTHRLSIVK -MALVSKKLPNRMIEMIVSTMNLPLSREQDLTKLFDSPFSLNLATEEDAASVIKRLARGTLRGLDIKNKKL -ADHIATMDQGITQIDEALASADTINPRIAYQFRNITDQKESEMFVTKFATAKTMRMVALSSSQDVSVVGL -LNKRSQAKEIYTIWRTQRKGETLWTCSTQQAKKLRDRSWGKNIIGVTSPSPLEAILFKLIDPISWEEEKE -AHHFTIHYYLSKPSLSSKTAHTTRGPLVPYFGTQTKPLIAKAYMELKGNPRTNKALQLLSMRETMIKAGS -NLDKLLLSLCSNALDIDVNSLPSLQAQEEASAGEGVRGGIKESMSPVGPDNLYTHITHKVFERQWLSEFH -VNIADFIIWGITKTRQHLQVNTDLGGSLPICVPACQECYREKERVFLDIPRETEWVNTSTTSDKAQTYFS -TWCDLPRVSTLPSLDQKDATCLMGRSMATQKSTPGESITKFYSTAPDTHRLLHPVTLVLGYAEGTIFSYM -KSQHNIHGSLYHPNIEEIEPALEKYVIDTKTSHTKHLGYLFQDADSLQELLETGMTPYIPRSIPLTITEL -TSACCMTLAKAISIVLRTGVTIPLMPENGYGENDIQVARLTANSLSRLMPRGRIQLVYLDCDLTSQMTAW -VPTSQPSVLGSVNFHIEGVTISLTATEMRVGQESWEERKWTCSNNRHIIAKGVKTKSLFIHQSVPEIITH -PPDLIVVIGGGLGGCVVPYLQKWRGPKVIFCTLFDERERISEDGDLIIPPELLVRGLAGRMIEKELLEAE -MCDITVKGNRDLLIKVVQKWVQPNEHVLLIDEIENRGDQESVLQSSISELLTRMDSVCNLTSVHTIRETG -PRQFAQRVNTIRRGRRTATLHWNQYNRRDQVEALLLVESHTRKTELHVTSSAVQAAFRKIDEKLESESRL -EHSKWSLPELPPREKDILLGYVASVFLKLGLVVTDRHMSAAALITLLEEAGPKMISWDKKMEHRTWASSD -AITEKGITQDQIFSLLCFAWALRGLKSGDWEHNADAIILQDVHIDTGPRLCQMGESPKRTFASFRLHNTK -KAEDLKGYLGALLHLESFFPFGEQ ->CAA08837.1 polymerase [Viral hemorrhagic septicemia virus 07-71] -MEMFELDREVHQERLPSECSLNSPLNLSLSLQLFGRLAPKTEHIRYQAGRIKRWLARQYQLVHLRELEID -STRIQGYLIPHLLKTQSNELGSSVMKNWGMVSKYYLSLGYTLPPKDKFEFRELLPYWNLASQLREVTLES -QKVDARGKEKRKLYQVEDVEFEFKEGVVGDSRGSGWTPELISLGGQSLGAVTYVEYLALFKIINQRAQAL -LLTAICQGILSIYAESTPCSVGRDNPLLTCSNCGNLLFLQNWGTCSATDSALRTLPQIQLGEANKFQRNY -MFTRSYKRMMRTLVQETRAQALFQYFGLFKHSPASIRHKTSKAIPRSLLNKPSDSSPLHYLSDQCQIREI -LTSDNTKAYHRAPAMNLVQLGQGSYLRQVLEAGKIPNTKNALYSLLEWFFVRFEKSIEWPLSDTLSTFLS -DKAITQNRDIWYDGGSSGRDTTEKRLLLKFIKENEDSVEKVILKADEIYDKKADQIIALKVKAMELKIKG -RGFDLMAFRPRLLQVLRESIAKKTSKLFPEITMTFSDLELKKKKFQLSRKSDDRRGYIHISKSLDISKFC -TSQRQFNSLAVFQNLDELLGTDQLFTRVHEIFEKTWIVDGSASDPPDLVTFKARYEEALALGIEAPHVWA -DGAFSGLMGGIEGLCQYVWTICLLLRVERVLAVTQLTHFVMAQGDNVIINLIIPVEVDRVGGVVEGERAT -IQHISKDILTSENLSIYGKDLHCPQHLTLAVKKAGSASIISSEQYQDVPTFLSGLGTGMETISECVNNKV -SAHLFGVILGAAGWKSLAQRQTWKGWEYPFQNEATRRQVRSQGNPSSERGVHNGPQGARGQPRKTDYRTT -PGEQSLRVSSGDAPLSPLPLTSRKEVWEDYVTHRLSIIKMALVSKKLPNRMVEMIVFPHEPFSFPENGIS -RNYSIPPFSLNLGTEEDAASVIKRLARGTLRGLDIKNKKLADHIATMDQGITQIDDALASADTINPRIAY -QFRNITDQKESEMFVTKFATAKTMRMVVFSSSQDVSVVGFLNKRSQAKEIYTIWRTQRKGETLWTCSTQQ -AKKLRDLSWGKNIIGVTSPSPLEATRFKLIDPISWEEEKEAHHFTIHYYLSKPSLSSKTAHTTRGPLVPY -FGTQTKPLIAKAYMELKGNPRTNKALQLLSMRETMIKAGSNLDKLLLSLCSNALNIDVNSLPSLQAQKEA -IAGEGVRRGIKKSMFPVGPKNLYTHITHKVFLTPWLSEFMFGDADFIIWGITKTRQHLQVDTDLGGSLPI -CVPSVSRVLTERKNGSSWTSQRKWSGSIPPPPQTRPKPTSPPGVISQSVHPPLIRPEGCNLSDGEVHGNS -KIHPGRVHHQAWQLKNPPRESPSWQLKNPPRESPSPSSIAQPQTPIDSPSCDLGPGLCGGNNFFLHEKSA -QHSWVSLPSAYRSDRTSLEKYVIDTKTSHTKHLGYLFQDADSLQELLETGITPYIPRSIPLTITELTSAC -CMNTCQKQFHCPQNWSDHSIDARKWIGRKTISKWQDSPLTPFLDSCPRGRIQLVYLDCDLTSQMTAWVPT -SQRSVLGSVNFHIEGIAIPLTATEMRVGQESWEERKWTCSNNRHIIAKGVKTKSLFIHQSVPEIITHPPD -LIVVIGGGLGGCVVPYLQKWRDPKVIFCTLFDERERISEDGDLIIPPGAPGSRTCWENGPRKNSWRPKCV -TSRSGGNRELPHQSCAEMGSAERNFSFLIDEIENRGDQESVLQSSISELSGKNGQRVPNLTSVHTIRETG -PRQFAQRVNTIRRGRKTATLHWNQYNRRDQMEALLLIESHTRKTELHVTSSVVQAAFRKIDEKLESESRL -EHSKWSLPELPPREKDILLGYVASVFLKLGLVVTDRHMSAAALITLLEEAGPKMISWDKKMEHRTWASSD -AITEKGVTQDQIFSLLCFAWALRGLKSGDWEHNADAIILQDVHIDTGPRLCQMGESPKRTFASFRLHNTK -KAEDLKGYLGALLHLESFFPFGEQ diff --git a/seq/clusters_seq/cluster_732 b/seq/clusters_seq/cluster_732 deleted file mode 100644 index ea143db..0000000 --- a/seq/clusters_seq/cluster_732 +++ /dev/null @@ -1,29 +0,0 @@ ->NP_919032.1 matrix protein 2 [Hirame novirhabdovirus] -MSLFKRTKKTILIPPPHLLSGDEDRVTVLNAEGEIKISGKRPTTLDEKIYYSMSLAAAILGGNLHPSFQS -LTYLFQQEMEFGSTIEKVNFGSRKPAPLTPIRWQKPEKCSFRPQPLDKKIPPQIYTVSVEGATITFSGRF -LFSASHVGCDDNRVKLAGLDGFITSPNYQRVKDYYAQETVLALSFEIPTKKGK - ->NP_050582.1 matrix protein [Snakehead virus] -MPFIRRPKRAILIPPLHLTLKDDDKVLVVETVGTLIISGMTPSNLTEKLGLAMKLASAILGGDSHPAFNP -LVEIFSGAMEFGASVEKLDFMTRENKVITTYKVARGKAVALSNFPMEKRVGEKSYTTQIRNGSITYTGSF -LFSAEHVGLKDNRSLFAAGEGLRESPDMAQAREAFAGSLPKGKNESSRK - ->NP_049547.1 matrix protein [Viral hemorrhagic septicemia virus Fil3] -MTLFKRKRTILVPPPHLTSNDEDRVSTILTEGTLTITGPPPGNQVDKVCMAMKLARAILCEDQHPAFNPL -VHLFQSAMIFGETSEKIDFGTRSKTLITSFKIAEAKAIYLDSSPVRSRIEAKKYTTPIRHGSVTYYGPFI -FADDHVGGKGHREKLGALCGFLQSEPYGQAKDYYNRAVEEEIGIPPRDPKRRSGTSSVRPW - ->NP_042678.1 matrix protein [Infectious hematopoietic necrosis virus] -MSIFKRAKKTVLIPPPHLLSGDEERVTILSAEGEIKVTGRRPTTLEEKIYYSMNLAAAIVGGDLHPSFKS -MTYLFQKEMEFGSTQEKVNFGSRKPAPQTTYQVTKAREVYLQTQPLEKKIPMQTYSVSTEGATITFTGRF -LFSSSHVGCDDNRTKLAGLDGFTTSNSYQRVKDYYAQETALALTFAAPEKRGKEK - ->sp|Q08453.1|MATRX_IHNVO RecName: Full=Matrix protein; AltName: Full=Protein M2 -MSIFKRAKRTVLIPPPHLLSGDEERVTILSAEGEIKVTGRRPTTLEEKIYYSMNLAAAIVGGDLHPSFKS -MTYLFQKEMEFGSTQEKVNFGSRKPAPQTTYQVMKAREIYLQTQPLEKKIPMQTYSVSTEGATITFTGRF -LFSSSHVGCDDNRTKLAGLDGFTTSNSYQRVKDYYAQETALALTFAAPEKRGKEK - ->sp|P27663.1|MATRX_VHSV0 RecName: Full=Matrix protein; AltName: Full=M2 phosphoprotein -LFGETSEKIDFGTRSKTLITSFKIAEAKAIYLDSSPVRSRIEAKKYTTPIRHGSVTYYGPFIFADDHVGG -KGHREKLGALCGFLQSGPYGQAKDYYNRAVEEEIGIPPRDPKRRSGTSSVRPW - diff --git a/seq/clusters_seq/cluster_733 b/seq/clusters_seq/cluster_733 deleted file mode 100644 index 69c8e24..0000000 --- a/seq/clusters_seq/cluster_733 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_010085095.1 nucleocapsid [Citrus chlorotic spot virus] -MARYADVDYTSVLDIYSDTPEESQVASSAPTPVTYSREAAVAKPIVTLEAPPDTHADVITLFQTIMDHAN -SRMTKKDLLVIMSLGFCLTPPGCEGPSMWSVAQGAVVQPLSMPGITAVYDSRAAAASSTMNIDPDEGDQA -EDSQAPPDDEDAASQCRAISYICLSLMRLAVKRVETFMKGTPQLVTAYHILMGDHSPFLASFNYSRGLCS -NISSLFNQCDDMKRTLAHHCAVADESHHNNRTVHGPLRFLILQHMDLNGMVPYGMYVDMKRGLPLLKPGT -ILTWLHDAQVASTLMLISKINKDHDRTDKADRFWRYCRCIDPGFFIELQQSRCYILIARMADILVRGGCV -NVTEYSDPKKAESIKDKANILANAERFGIEFMAAYNALSGTSEGAGPVARALASAAVGQPTRRILVPRNP -RPQPTVNVTSNPPPQRVGALDSMIQDQS - ->YP_009976132.1 nucleocapsid [Citrus leprosis virus N] -MASSVMIDYTTVIPAYQATPTENQVASSAPTPKQYTRAAAVAVPIVKIPPPTDNPQDLVTRFETLMSTAS -SILTKAELAEIMGIGFCITPPGMEEPAMMMVAVGAVTQALTMGQIPATYDSRAAAANAMINIDPDEEEDK -SDTPKKPAEESAGSKAAAITYICLSLLRLCVKEVDTFLKGVQQLKSSYHILMGAHSEYMTSFQYSRGMCS -NIASLFNQCEDLRRTLAHHCAIADETYHQARNVHGPLRFLILQHMDLTGMIPYGMYIDLKMGLPLLSPGL -LLTWLHDAHVSNVLALISEINTKYDTLTSGDRFWRYSRGIDPGFFLPLQQSKCYILIARMADILVRSGIV -SVTQYSDPRNARCIADKTTVKAQAEIFGKEFWAAYSSLSGSSADSGPVAKTIASGSRTGNITVKRNLHPR -APPPAPVVNMPPPQSSAPGALDEMVDDDEA - ->YP_009666988.1 nucleocapsid [Clerodendrum chlorotic spot virus] -MARYTDVDYASVLDIYSDTPEESQVASSAPTPITYSREAAVAKPIVALENPPETHTDVITLFQTIMEHSS -SRMTKKDLLIIMSLGFCLTPPGCEGPAMWPVAQGANVQPLSMPGITAVYDSRAAASSSTMNIDPDEGEQS -ESTQAPAEEEDIASQCRAISYICLSLMRLAVKRVETFMKGTPQLITAYHVLMGDHSPFLSSFNYSRGLCS -NISSLFNQCDDMKRTLAHHCAVADESHHSNRTVHGPLRFLILQHMDLNGMVPYGMYVDMKRGLPLLSPGS -ILTWLHDAQVAPILLLLSKINKEHDRVDKPDRFWRYSRSIDPGFFVELQQSRCYILIARMADILVRGGCV -NVTEYSDPKKAESIKDKANIMANAERFGTEFMLSYNALSGAAEGAGPVARALANAAAGNPMRRILVQRNP -RPQPAVNVTVNQPPRVGALDSMIPE - ->YP_009507906.1 nucleocapsid [Coffee ringspot virus] -MARYADVDYASILDIYSGTPEESQVASSAPTPITYSREAAVAKPIVVLESPPDTYADVITLFQTIMEHAS -SRMTKKDLLIIMSLGFCLTPPGCEGPSMWPVAQGAVVQPLSMPGITAVYDSRAAAVNSTMNIDPDEGDQS -EGGQAPTEEEDAASQCRAISYICLSLMRLAVKRVDTFMKGTPQLVTAYHILMGDHSPFLSSFNYSRGLCS -NISSLFNQCDDLKRTLAHHCAVADESHHNNRTVHGPLRFLILQHMDLNGMVPYGMYVDMKRGLSMLSPGA -ILTWLHDAQVASTLMLISKINKDHDRVDKTDRFWRYSRCIDPGFFVELQQSRCHILIARMADILVRGGCV -NVTEYSDPKKAESIKDKANILANAEKFGTEFMLAYNALSGTSEGAGPVARALASASSGQPTRRILVSRNP -RPSPAVNVTNNQPPAMVGALDSMVQE - ->YP_001294924.1 nucleocapsid protein [Orchid fleck dichorhavirus] -MANPSEIDYMTPLSAYEGVPAEYQEATSSPTPKEYTRDAAKAIPICILPAPPGNEVEVAEAFREATQGTE -TVLSKLQLAQIMSLGFMIQMSGDPEALMQGVAEGSFLETMTMPDIKTRQDSRITAAIAAMALDPTEEGPD -DLEDRSQQADAESAMSKARAMVYICLSLMRLAVKPAESFMKGVHQIKQAYSVLVGEHSEFLFNYSYSEGM -CRNIADMFNQCDDLKATLCHHCAIADETHHTNRKRHGLLRFLILQHVDLTGMIPYGMYIDMRRYFTLLTP -GQLLTWLHDNQVSRPLSVIADINTRYDVSNGSDRFWRYSRGLDPGFFIALQQSKCVTLIARMAHILVKGG -AVAVNEYSDPRKAKSLENKPGLAAEADKFATEFVEAYNGLSGSSANAGPVSRKLYNQGRGIPTRRGLFTP -PSARPAPVVNVHVPAASSSLTGALDAMNSD - diff --git a/seq/clusters_seq/cluster_734 b/seq/clusters_seq/cluster_734 deleted file mode 100644 index 6437163..0000000 --- a/seq/clusters_seq/cluster_734 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_010229206.1 Pro [Potato virus B] -STESDGMLPAARLCVAIYTSAGDFVSAMQYKNKSIMLTRHQALRFREGERLTLIYSTDGERKMVNWHQCH -MTEIHQSEIVLWTAPSLSQLPHQYAKLFLEDAEVEMPLNFKAMGYVLRNDKDGYHYDTLDTYATVDRTPL -PLKDFSRGNCYSHEIPEKISFHYEARNHDCGMLILARISERYKVVGLLVAGKEKTSWACLLPNPHMAELK - ->YP_002000613.1 cysteine protease [Grapevine chrome mosaic virus] -SQAGNGLLPASRLCVAIYGPRGVFISGMQYKNKCVMMTRHQAQSLNEGDELSVVFASTGESMMIRFHAYH -IRENVGSEVVCWLAPSLPQLPCDLKGLFLEDAEVELPSNFKSMGYVLRQDSNAFHYDTLDTYAAVDKTPL -VLKGVNGDDLYIHEIPEKIVFHYESRNNDCGMLLTCQLSGKMKVVGMLVAGKDKTSWACILPNPHLAELK - ->NP_734016.2 cysteine protease [Cycas necrotic stunt virus] -GELDEEVPLGGQLAVALYGSQGRFISALQYKGKSVMLTRHQMLMFAEKERVTCIYLATGESVVLTFNRDD -VQEFPNHETCMWQAAGMLQLPAKFKDCFLEKGETELAPAFELEGYVLRPDSTAFIMTILKTWARVQYEPF -VVRGSLAKEKYVNELPTSIWFQYQSRNNDCGMVCLAQVGGKKKIVGLLVAGVDQQTWADNLPNPCMAEMK - ->NP_734034.2 cysteine protease [Beet ringspot virus] -SQAGDGLLPAARLCVAIYQPGGGFVSAMQYKNKSVRMTRHQALRFQEGEQLTVIFSSTGESQLIRWHKYH -MREEPGSEIVTWLAPSLPSLSPDLKDLFLEDKEVDLPNHFKTIGYVLRVDNTAFHYDLLDTYAAVDKTPL -PLKGVVGNELYLHEIPEKITFHYESRNDDCGMIILCQIKGKMRVVGMLVAGKDKTSWADIMPPNTLAELQ - ->NP_958840.1 Pro [Tomato black ring virus] -SQAGDGLLPAARLCVAIYQPGGGFVSAMQYKNKSVRMTRHQALRFKEGEQLTVIFASTGESQLIRWHKYH -MREEHGSEIVTWLAPSLPALSPDLKDLFLEDKEVDLPNHFKTIGYVLRVDSTAFHYDTLDTYGAVDKTPL -PLKGVVGNELYLHEIPEKIVFHYESRNDDCGMIMLCQIRGKMRVVGMLVAGKDKTSWADIMPPNSLAELK - diff --git a/seq/clusters_seq/cluster_735 b/seq/clusters_seq/cluster_735 deleted file mode 100644 index 591a9ad..0000000 --- a/seq/clusters_seq/cluster_735 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_009505498.1 nucleocapsid protein [Drosophila melanogaster sigmavirus HAP23] -MEQAKLYHIDTKTEFVVRTPEFTSPVEYPFTWFTNNKTKPLFKISVMADCSLETARVAALEFLMGEKVPP -SHVIDYLYEFCKTMTQELDTNWESYGQVIGKKGDTVTPINLLHVMISQDTRKYTPKNPRLLTDEVDIYLV -GNLLCSYRYNKTHEKMQTAYGTKVASILAPFSTHDTQNIRISTFLTNSKSLVDHPNFEIMASAIDMFLER -FPSHGMGKLRFGTIGLRYQGCSGLVDLTYLKQILKKSGLADAIKWLFAGCLVSEVFQMMINHQDEIDVKH -SYFPYMIGFKISNKSPFSAGSNPSVHTLVHLIGSLLGSPRSINAIMIEYGVISDIVVNAAIVFFAHRSDI -GAKVRFGEKGVMDEIHREEDMARQRKRSATAGGLPATQPTDPRGWLAEYQDRDYKFTQSEVDDLNKVIQG -IPQVRAGTVGEWVKLNFISNLPKGFDVTRV - ->YP_003126908.1 nucleocapsid protein [Drosophila melanogaster sigmavirus AP30] -MEQTRLYHIDTKTEFVVRTPEFTSPVEYPFTWFTNNKTKPLFKISVMADCSLETARVAALEFLMGEKVPP -SHVIDYIYEFCKTMTQELDTNWESYGQVIGKKGDTVTPLSLLHIMISQDTRKYTPKNPRLLTDEVDIYLV -GNLLCSYRYNKTHEKMQTAYGTKVASILAPFSTHDTQNIRIATFLTNSKSLVDHPNFEIMASAIDMFLER -FPSHGMGKLRFGTIGLRYQGCSGLVDLTYLKQILKKPGLADAIKWLFAGCLVSEVFQMMINHQDEIDVKH -SYFPYMIGFKISNKSPFSAGSNPSIHTLVHLIGSLLGSPRSINAIMIEYGVISDIVVNAAIVFFAHRSDI -GAKVRFGERGVMNEIHQEEDAARQRKRSAAAGGLPAVQPTDPRGWLAEYQDRDYKFTQSEVDDLNKVIQG -IPQVRAGTVGEWVKLNFISNLPKGFDVTRV - ->YP_009337212.1 putative nucleoprotein [Hubei dimarhabdovirus virus 1] -MSTNKNHQDVFFDDDKEPMPQDKSAPLRTPCKFLYEVLTEGKKPAYTLHLSKTSSLTTTRPWVIAYLNTD -DIGVHVVLEYMYWALNEIKGTMPDVWKSYGVTIPAGEVTPLTFIDVTTVPGNKEIIISNPEKLSDKDDLA -LLFFICALHRYSQTHVEQQSDLATKIKAMISDLGCPALLEMDVKALIDKGNAVHQSTHYSIFASVLDLFL -NIFKNHEFSRVRYGTIIYRYYGCAVLNDMAHMLTLQNTYDYLDCARWLFFFGAASEIHRQFKNPDEVSHD -YSYFPYGLGLKLIERSPYSASNNPNFHLVTHVFGSLRNHPRSLNAIMFDDCAVADSSSNGVLLYLAFNKT -SNPKLWFRTKTDKAQEVAVDGSNDMDMGPKTPSDWLSQFITNGERFTPEQIQVIEERLKNIKALRDGSVG -MWVKNNLIANLPADI - ->YP_009305103.1 nucleocapsid [Wuhan Louse Fly Virus 9] -MSEVKICDFETGDIVEVQEPEFAKTVEYPKDKFIDENSKPQQKIRGIKGDLTQLRSGVFAFIKGERVAPS -CISNYIYEMMSKVIQDTLYEDWVSYTIKIPKGKVHPSNLVSMTIDRSTPWNDLIQPEPLDDSADEYLLLS -LMCMYRFVHAHEKQREYLVEKIKTLLTQVKVENNHPVIISSSNANLVSLLANSQIDFMAAALDMFLEKFP -KNQYARIRFGTIITRYQGCSGYANLSYFKNVLGLPHIGNTLEWFFCTQIKKEVKQMVLSSKEEWATPHSY -LPYMMALQLSQKSPFSANNNPSTHMLIHLVGTLLGSNRSRNAILVENISYIPVVVNSVIVFLAHKGMTGL -SIQYASVQTREAVRRAKERIETLGIDTGSEKMSAESPMEWFDMFKLRNFRFTSEEIQEVASIVSKIEEPR -SNTIGEWASKNLVSLLSKLVESVE - ->YP_009302014.1 nucleocapsid [Wuhan Louse Fly Virus 10] -MESYDLVDFITGDLIKISEPELDKPVEYPHVKFKDAKSKPSLKVRGVQASLTQIRAAVLSFIKGNKMLPS -AITHYLYILMTDIITDELEADWVSYTITIPKGTITPGHLVDLTLDDSTAWTDITTPELLDRQHDPYLLLC -LMCMYRFAASHEKQREILAEKIKSMLSQARHDQFLPTMIQASNTNLPLMVSNPQLDFLVACLDMFFVRFP -RNEFAGARFGTIITRYQGCSGYSNLVHFKKILGIENIHKAVEWFFSPQMRLEILQMTASPEQEWVQPHSY -LPYMMCFRLSEKSPYSANNNPAIHTLTHIVGTLLGNVRSKNAILLGSVSMIPIAVNAAIIYLTHRHLVGL -AIQFASKKSRDRLVKIKALTETYAGDLTSPKLEAQSAAEWFSAYEERDYKLEEGEIRAIQSAIQTIEEPR -QGTIGHWVSRNFLPLLTNKSEE - diff --git a/seq/clusters_seq/cluster_736 b/seq/clusters_seq/cluster_736 deleted file mode 100644 index 71bc416..0000000 --- a/seq/clusters_seq/cluster_736 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009825014.1 5a protein [Duck coronavirus] -MKWLSSLGRAFISSYKSLLLFELRVLDKFILNYGPTCFLISCKRFLLFQVNILYRLVFTPTNSLV - ->YP_009825003.1 5a protein [Infectious bronchitis virus] -MKWLTSFVRAVISCYKPLLLTQLRVLEKLILDHGTNHILTCIRRVILFQLDLVYRLAYTPTQSLV - ->YP_001941172.1 5a protein [Turkey coronavirus] -MKWLTSFGRAVVSCYKALLLTQLRVLDRLILDYGPKRTLTCARRVLLVQLDLVYRLAYTPTQSLV - ->NP_040836.1 5a protein [Infectious bronchitis virus] -MKWLTSFGRAVISCYKSLLLTQLRVLDRLILDHGLLRVLTCSRRVLLVQLDLVYRLAYTPTQSLA - ->sp|Q5I5X4.1|NS5A_IBVM RecName: Full=Non-structural protein 5a; Short=ns5a; AltName: Full=Accessory protein 5a -MKWLTSFVRAVISCYKPLLLTQLRVLDRLILDHGPKHILTCVRCVILFQLDLVYRLAYTPTQSLV - diff --git a/seq/clusters_seq/cluster_737 b/seq/clusters_seq/cluster_737 deleted file mode 100644 index 13673ee..0000000 --- a/seq/clusters_seq/cluster_737 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_005352888.1 NS7c protein [Common moorhen coronavirus HKU21] -MDPFGNKIPVTRNRRVMQTYPLTMHNVTMVPSYCSNTVTPCHIPNGQGPYGPYIQEAFSLILDEIADTGE -SHSPHAALVLEESGSKVWKIHWQRGGDDGPGHCITVFQNRPAGSLDWHVDFTSHNVFTITLPPIIEDN - ->YP_005352860.1 NS7b protein [Magpie-robin coronavirus HKU18] -MFQRKTKLIYPLCGSHETFVPDSCSSRIEPCDISSMSPYQPYIAESFKLILAEIAETGQSSSPHAILRYS -EPGLKIWKVHWQRGGDCGPGHCITVFQKQGIRSGDWESYDFSTHSVYTIPSPP - ->YP_002308484.1 nonstructural protein [Bulbul coronavirus HKU11-934] -MNPQKRTKLTYPLGSSHESYVPESCALSIEPCDITTQEPYTPYIKESFRLILEEIAELGTTSSPHAVLFS -SEPECKIWKIHWQRGTDCGPGHCITVFQKPGTINWDLTDFTTNSVYTIPLPPQ - ->YP_002308511.1 nonstructural protein [Munia coronavirus HKU13-3514] -MSRKFSKLTYPLGGSHETFVPHNCANHMEPCDIPTMTPYQPYIAESFKLILEEIAETGQSCSPHAVLHYH -EQGLKVWKVHWQRGGDCGPGHCITVFQKQGINSIDWDSVDFTTHGVYTIPLPN - ->YP_002308502.1 nonstructural protein [Thrush coronavirus HKU12-600] -MAEKRTKLTYPLGGSHETYVPSSCCNSIEPCYISTMHPYQPYISESFKLILEEIAECGNTQSPHAFLYYQ -EPGLKIWKIHWQRGTDCGPGHCITVFQNKEKGSVNWEEQDFTSHSVFTIPLPE - diff --git a/seq/clusters_seq/cluster_738 b/seq/clusters_seq/cluster_738 deleted file mode 100644 index d9b881d..0000000 --- a/seq/clusters_seq/cluster_738 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_003858588.1 ORF6 protein [Bat coronavirus BM48-31/BGR/2008] -MFSLVAFQVTVAELLILIMKSFGLALTHIQIGIVSLLKILTNRLDRRYSKLDEEEPMEIDHP - ->YP_009825056.1 ORF6 protein [SARS coronavirus Tor2] -MFHLVDFQVTIAEILIIIMRTFRIAIWNLDVIISSIVRQLFKPLTKKNYSELDDEEPMELDYP - ->YP_009724394.1 ORF6 protein [Severe acute respiratory syndrome coronavirus 2] -MFHLVDFQVTIAEILLIIMRTFKVSIWNLDYIINLIIKNLSKSLTENKYSQLDEEQPMEID - ->sp|Q3LZX8.1|NS6_BCHK3 RecName: Full=ORF6 protein; Short=ORF6; AltName: Full=Accessory protein 6; AltName: Full=Non-structural protein 6; Short=ns6 -MFHLVDFQVTIAEILIIIMKTFRVAIWNLDILISSIVRQLFKPLTKKNYSELDDEEPMELDYP - ->sp|Q0Q471.1|NS6_BC279 RecName: Full=ORF6 protein; Short=ORF6; AltName: Full=Accessory protein 6; AltName: Full=Non-structural protein 6; Short=ns6 -MFHLVDFQVTIAEILIIIMKTFRVAIWNLDILISSIVRQLFKPLTKKKYSELDDEEPMELDYP - diff --git a/seq/clusters_seq/cluster_739 b/seq/clusters_seq/cluster_739 deleted file mode 100644 index 01eb289..0000000 --- a/seq/clusters_seq/cluster_739 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_003858586.1 envelope protein [Bat coronavirus BM48-31/BGR/2008] -MYSFVSEETGTLIVNSVLLFLAFVVFLLVTLAILTALRLCAYCCNIVNVSLVKPTFYVYSRVKSLNSSQE -VPEFLV - ->YP_009825054.1 small envelope protein [SARS coronavirus Tor2] -MYSFVSEETGTLIVNSVLLFLAFVVFLLVTLAILTALRLCAYCCNIVNVSLVKPTVYVYSRVKNLNSSEG -VPDLLV - ->YP_009072442.1 envelope protein [Bat Hp-betacoronavirus/Zhejiang2013] -MYSFVSQETGTVIVNAVFILVGFVALLIVALAILTCLRLCAYCCNILDQGVVRPTRYVYLQAQTFYNKLQ -PVESELLVV - ->YP_009724392.1 envelope protein [Severe acute respiratory syndrome coronavirus 2] -MYSFVSEETGTLIVNSVLLFLAFVVFLLVTLAILTALRLCAYCCNIVNVSLVKPSFYVYSRVKNLNSSRV -PDLLV - ->sp|Q0Q473.1|VEMP_BC279 RecName: Full=Envelope small membrane protein; Short=E protein; Short=sM protein -MYSFVSEETGTLIVNSVLLFFAFVVFLLVTLAILTALRLCAYCCNIVNVSLVKPTVYVYSRVKNLNSSEG -VPDLLV - diff --git a/seq/clusters_seq/cluster_74 b/seq/clusters_seq/cluster_74 deleted file mode 100644 index 4456745..0000000 --- a/seq/clusters_seq/cluster_74 +++ /dev/null @@ -1,198 +0,0 @@ ->YP_009553181.1 cysteine-rich protein [Chrysanthemum virus R] -MESRRLAEALSDCFLGLCGVSNLDVCYLIIQKARGHTPGLGKSSYARRRRAAKVSRCHRCYRVTPGFYYT -TRCDGKTCVPGISYNEKIETYVRTGVTEVIPDVLGRL - ->YP_009664748.1 nucleic acid binding protein [Phlox virus M] -MKHHLRVAMLINSVFNKLCGVNNFPIAFDIAIMAGRPVGGGRSSYARRRRAESIGRCHRCYRLWPPTAFT -TRCDNKTCVPGISFNERVKRYILEGVTEVIPSHVEKKKRT - ->YP_009664745.1 putative nucleic acid binding protein [Helleborus mosaic virus] -MRLMEYGRVISLIMAFNKKATSPDGSVCDLALRIISMSPYVPNEPKCGTSTFAKKRRAKAIGRCHRCYRV -APGFYFTKNCDGYTCKPPISVNSEVRDYILLGKRRLLEAIEAQGYGLKSNLVKKELGIE - ->YP_009664739.1 nucleic acid binding protein [Cucumber vein-clearing virus] -MIPAINIAVCLKLVSIKINAELPFDICLLISELNVRSRLNVGTSSFARKRRALQLGRCHRCYRVSPKFYF -TRKCDGKTCVPGIGYRRDIEILIKGVTSVIPNKSKFECHS - ->YP_009508322.1 nucleic acid binding protein [Atractylodes mottle virus] -MNSSDATRIKMLIIGAFAQHSSQVSVPICINIYRRAFVKVVGQGRSTYARKRRALSIGRCHRCYRVYPPL -PFSKKCDNRTCVPGISYNVKVANYILWGVTEVIPHPGYNF - ->YP_009508096.1 11.6 kD protein [Carnation latent virus] -MRERKLRKTLEDLFKRFASGQHGHSDCVNIIIAKIKSGQPGESKYAQATYELSQYARCPRCARVSPGFYF -TTRCDGKTCSLVYQPDADLLEFIGIDLCVRSK - ->YP_009505625.1 12.6K protein [Helenium virus S] -MDKRNKANVVLSLCSMFASRGNCIPIPIVFNIYMRAFPKLVGRGTSTYARRRRARSILRCERCYRVYPPL -PFSKKCDNRTCVPGISYNIKVADFIKWGVTEVIPHPGFNF - ->YP_009505623.1 11 kDa protein [Cole latent virus] -MKYVCEVAIIMARYMEANFGVFEFNIAYSIASKCDLPINRGRSTYARKRRAAFLGRCHRCYRVWPPISYS -RCDNKTCVPGMSYNERVARFIVDGVTEVIPRRNNRNKLVLNPI - ->YP_009357234.1 putative nucleic acid binding protein [Pepper virus A] -MIPMKERAVALAIAASRLGRHLPFDVCLYICSFNYKDCVGSGRSSYARKRRAKSIRRCHRCFRVRPGFYF -TTRCDGVSCKPGISYPIWVELFIRFGIPRSMSTSGTEERG - ->YP_009288961.1 nucleic acid binding protein [Ligustrum virus A] -MNSHKKDIVFLLLSVFKKRGGSFPLAICVLIYQLAFNKQVGGGTSTYARRRRAVSIGRCHRCYRVYPPPY -FGTKCDNKTCAPGLSYNVKVENFIRWGVTEVIPQRAKNKTSEVFRIKPN - ->YP_009275355.1 putative nucleic acid binding protein [Jasmine virus C] -MRYELEVALVINRVFQARGVHNLALALYISKKAVGPCVNNGRSTYARRRRAKSISRCYRCYRVYPPLCGN -TRCNGRTCFPGINYRVDVEKYIKFGVAAAIPNFKL - ->YP_009224957.1 nucleic acid binding protein [Elderberry carlavirus E] -MGRDRLTTLFLTIQACDAFIPMDLCVYILSKSKPPLCTGGSSTYARKRRARKIGRCWRCFRVSPPIFSSK -CNGSTCEPGISYNWRVAEFINRGVTEVIPRM - ->YP_009224951.1 nucleic acid binding protein [Elderberry carlavirus D] -MAGEHVQINPVVRALAEAFEVHCSTNNNYNYYSLCKRIVGLNKEVGTGRSNMAKRRRAAALGRSPRCGRV -APGFYFTTKCNGRTCFDAFGRRSELLRYIKFGQRKETDAPNDDANRPM - ->YP_009224945.1 nucleic acid binding protein [Elderberry carlavirus C] -MGSDRLSTMYLVLWKLKNVGSIDLCVLILSLSKPPLTLGGGSSSYAKKRRAAKIGRCWRCFRVVPPPYHS -KCDGRTCRPGISYRWEIALYISRGVTEVIPLEN - ->YP_009224939.1 nucleic acid binding protein [Elderberry carlavirus B] -MDRVKTMCEIISIMSSECRDSYHIDIARLIIKLSDKDRQQGKSSFAKRRRAKALDRCHRCYRVVPGFYHT -TRCNGIDCTDTFGTREKYRNQIVYGQRKVT - ->YP_009224933.1 nucleic acid binding protein [Elderberry carlavirus A] -MDRVKLMCDIIRILSSESREAYYIDIARYIVHLSAELHAPGKSTFAKRRRAKSLGRCHRCYRISPGFYHT -TKCDGISCTDSFGSRSKHRNYIVHGQRKHC - ->YP_009116873.1 nucleic acid binding protein [Yam latent virus] -MIWWKVALLLHLAFLNKCGKSDFHLCVNIAWRVLRPIGGGKSTYARRRRAASIGRCYRCYRLSPPLPQTT -RCDGVTCVPGISYNMKVARYIRDGVTEVIPSTPCYGGSSR - ->YP_009022069.1 nucleic acid binding protein [Gaillardia latent virus] -MNYDRIKSEVMFLLLSKFLERGSAAPLPIVFSIYVRAFNKRVGNGTSAYARRRRAVSIGRCHRCYRVYPP -LWFSKKCDNRTCVPGISFNHKVQNYILWGVTEVIPHPGYNF - ->YP_006522439.1 11K protein [Potato virus H] -MRLSSKVLRKVLVGVFKRHYGLGPRREYDDIASVIISKLEPPEVGLSTYAKRRRAKKLGRCPRCYRVIGL -SQKCDGFKCVPGISYNRKVEQNIRYGD - ->YP_006297591.1 unnamed protein product [American hop latent virus] -MKGARLATLCLVFNKLCGTYVMPVCIDIIKRSRAPLLTNGTSKYARRRRAKSVCRCERCYRVFPPLRNSK -CDNKTCKPGISYREDIKEYILWGVTEVIPQPGNCFSCRKNLNNI - ->YP_004901685.1 nucleic acid binding protein [Mirabilis jalapa mottle virus] -MNALGTWRLNSQEAYKVQRSCATIEMQTMVNSKRARRDIIMLLLAVFPIDVCVIIARLATPIEVGRGRSS -YARRRRAISIGRCERCFRVYPPVCNSKCDNSTCVPGLSYNERVANFINTE - ->YP_004035883.1 nucleic acid binding protein [Cowpea mild mottle virus] -MIGYKRIAILLHLCSLKMNKMLPLDLCILIALKAGPTSLYSGSSSYARRRRAKLIGRCHRCYRVSPGFYF -TTRCDGLSCVPGISYKQWVESFIRFGHFTREE - ->YP_003324586.1 putative nucleic acid binding protein [Butterbur mosaic virus] -MSKRFVKRFLQARFRDVSGLPKGSFGDLINIIVSRVFEGEPGQSKYAKERRAMKIGRCPRCYRVSPGFYF -TTRCDGVTCVPGISYNVAKMQYIKYGV - ->YP_003075963.1 nucleic acid binding protein [Kalanchoe latent virus] -MQTMGETKSWKKAVIFSLYNKLPLCLCVHIMRRASSRCVGTGRSTYARRRRAISIGRCERCYRCYPPICN -SKCDNKTCFPGISSNEKIVNFIRYGATTVIPYPGF - ->YP_002985641.1 putative nucleic acid-binding protein [Hydrangea chlorotic mottle virus] -MQAMEDRENKRLLAIINVCRKYGVYDVNICLHIFRRSSGLLLGNGKSSYARRRRAKSIGRCERCYRVYPP -ICNSKCDNRTCRPGLSPNNKVVDYIRCGVTEVIPHPGYNF - ->YP_002574619.1 putative nucleic acid binding protein [Helleborus net necrosis virus] -MRIRDQKRALCSVFSFYCGNNRNDADVVGLIMSFVLSNAVGFGTSNYAKKRRARQVNRCWRCYRVIGGLC -LPKNCNGVTCTPTSSNIASFILTGHRVLDTRSRRSRCH - ->YP_002308452.1 nucleic acid binding protein [Hippeastrum latent virus] -MRVDILIALCVNREFNKRGEHHIPLAIYIAKRVGPPLVSTGTSTYARRRRAASIGRCHRCYRVYPPFWWT -TRCDNKTCVPGISYKAEVESYVKWGVAEAIPHFKL - ->YP_002302562.1 11 kDa protein [Potato latent virus] -MQPISHYEAKLLAVSLAMYKFTGRCEPAVALNIVNKACNVGMGKSSFARRRRAALLGRCHRCFRTSMATR -CNGVTCYPGIGAKPKIEMFIKYGVSELKP - ->YP_001798597.1 nucleic acid binding protein [Hop mosaic virus] -MMHWWRAAMLLYKVMFDVCGRSSLYICVDIARRAGRPIGGGKSSYARKRRAIKMGRCVRCYRVSPPFYHT -TRCDGLSCVPGLSLNAGVARLIKGGVTEVIPS - ->YP_001661451.1 putative nucleotide binding protein [Ligustrum necrotic ringspot virus] -MPLTGSLRWTDRTGMRNSGAWSPRSLADYREPRLSVITGMPLMEMHEQRRLAALFTVCNYLNKDIRALCP -EIIRRSPGLVLGSGKSSYARRRRAKSIGRCERCYRVYPPICNSKCDNTTCVPGISPNQNVVNYIKHGVTE -VIPRF - ->YP_001429590.1 nucleic acid binding protein [Potato virus P] -MHNQEIKRLLSVILVCSKFGVLDINLCVHIARMATERRVGGGRSRYAKRRRAKSIGRCERCYRIFPPVCN -SKCDNKTCRVGISPNSAVVNFIEFGVAEAIPKFWF - ->YP_001165306.1 nucleic acid binding protein [Phlox virus S] -MKYDTVKSEIIFILLSKCLERGASAPLPIVCNIYMRAFNKRVGNGTSSYARRRRAASILRCHRCYRVYPP -FWFTKKCNNRTCVPGFKYNQKVRDFILWGVTEVIPHPGYNF - ->YP_001086457.1 Nucleic acid binding protein [Chrysanthemum virus B] -MDVIVKMLILRKFVEQGNVCPIHLCVDIYKRAFPRSVNKGRSSYARRRRALELGRCHRCYRVYPPLFPEI -SRCDNRTCVPGISYNSKVRDYILWGVTEVIPHPGYNF - ->YP_717538.1 NABP [Passiflora latent virus] -MQTMGKHSKCMEAIIFSLISVLPIDICVCIALRALKTEPGFGRSSYARRRRAKAIGRCERCYRVYPPICN -SKCDNKTCVPRISSNERVVQFIKYGVTEVIPYSQFNENRVLPKS - ->YP_699988.1 Nucleic acid-binding protein [Narcissus common latent virus] -MHHSLRVALLLTKVMYDSTGVYNFELAYNIAIASGRPVGGGKSSYARRRRAANIGRCHRCYRLWPPMHST -TRCDNRTCVPGISYNERIAQYIVDGVTEVIPS - ->YP_595732.1 hypothetical protein DVS_gp6 [Daphne virus S] -MNAEQSAVCEILISVFEAHGACVPLAICENICRRAFPFYPGGGRSSYARKRRALSIGRCHRCYRVWPPFY -YTTRCDNKTCVSGISLNKKVQDFILWGVTEVIPHPGFNF - ->YP_277434.1 11K protein [Potato virus S] -MKADRLATLLLCVHRLGYILPVEVCVNIISLSAGPVSGGRSTYARKRRARSIGRCWRCYRVYPPICNSKC -DNRTCRPGISQNYKVVTFIRGWSN - ->NP_958176.1 putative nucleic acid binding protein [Poplar mosaic virus] -MVNMRKVLALMQVFRERYDHKCDFNFCDIAVSIVCRSELDFINEPGLSNYAKKRRAKRLGRCVRCFRVNP -GFYFTKRCDGITCVPGISWNYDVEDYIKRGRVTGDRETPSTFHGYGYPVGHKT - ->NP_932793.1 16kDa protein [Lily symptomless virus] -MSVWGARKPNTPVGYKELKSSEIIDTQIMDEALKRRTTIVLCLLSAFPRDICRDILRRTSSHIVGLGRSR -YARRRRALQIGRCERCYRVYPPVCGSKCDNKTCWPGLSINTNVANHIDHGVTEVIPWISPHRGQFYLRPK - ->NP_619564.1 nucleic acid binding protein [Garlic latent virus] -MLIKQRTYRRLLRAIFKLHTNKNCVDLIDIIVSKIVCDNAGASRYARARRAKSIGRCPRCFRCSPGFSFT -KNCDSKTCVPGISYNVKVKDFIVNGVTM - ->NP_612813.1 16 kDa protein (putative nucleic acid-binding protein) [Blueberry scorch virus] -MLTKGMRWIDPIAMRSTGISKRSTLVGFKVQKSSAIIEMRAMDQHDQRRLLALIKVCRSFNLYDVGVCIH -ILNKVPPREVGNGCSSYAAKRRAKSIGRCERCYRVFPIGASKCYNRTCVPGISYNEKVANFIRCGVTEVI -PHPGFNF - ->NP_116492.1 11K protein [Aconitum latent virus] -MKWWREVALLLYADFINKCGRAEFALCVDIALASARPVGGGTSSYARKRRAKAMGRCHRCFRVWPPTYFT -KRCDGINCRPGISHNLSVERRIKLGVTAVIPSRPN - ->NP_066263.1 12 kDa protein [Hop latent virus] -MFYLRVALLLHNKFLEQCGRSDFHLCVMISLQVHRPVGVGRSSYARRRRAKLVGRCHRCYRLWPPTAFTT -RCDNKTCFPGLTYNASIARFIRDGVTEVIPSAPN - ->NP_056772.1 11K protein [Potato virus M] -MKDVTKVALLIARAMCASSGTFVFELAFSITEYTGRPLGGGRSKYARRRRAISIARCHRCYRLWPPTVFT -TRCDNKHCVPGISYNVRVAQFIDEGVTEVIPSVINKRE - ->sp|Q01687.1|VSR_PVMG RecName: Full=RNA silencing suppressor; AltName: Full=12 kDa protein; AltName: Full=Cysteine-rich protein; Short=CRP; AltName: Full=Nucleic acid-binding regulatory protein; AltName: Full=PR12 -MKDVTKVALLIARAMCTSSGTFVFELAFSIAECAGRPLGGGRSKYARRRRAISIARCHRCYRLWPPTVFT -TRCDNKYCVPGISYNVRVAQFIDEGVTEVIPSVINKRE - ->sp|P16654.1|VSR_PVSP RecName: Full=RNA silencing suppressor; AltName: Full=10.7 kDa protein; AltName: Full=Putative nucleic acid-binding protein -MKAERLEMLLLCVYRLGYILPVDVCIKIISVAQVSVQGRSTYSCKRRARSIGRCWRCYRVYPPVCNSKCD -NRTCRPGISPNFKVVTFIRGWSN - ->sp|P27336.1|VSR_LSV RecName: Full=RNA silencing suppressor; AltName: Full=16 kDa protein; AltName: Full=ORF6 protein; AltName: Full=Putative nucleic acid-binding protein -MSVWGAWKPNTPVGYKELKSSEIIDTQIMDEALKRRTTIVLCLLSAFPRDICRDILRRTSSHIVGLGRSR -YARRRRALQIGRCERCYRVYPPVCGSKCDNKTCRPGLSINTNVANYIDHGVTEVIPWISPHRGQFYLRPK - ->sp|P22625.1|VSR_CLV RecName: Full=RNA silencing suppressor; AltName: Full=11.6 kDa protein; AltName: Full=Putative nucleic acid-binding protein -MRERKLRKQLEDLFKRFASVQHGHSDCINIIIAKIKSDQPGESKYARRRRAKSIARCPRCARVSPGFYFT -TRCDGKTCRPGLSARPDLLEFIGIDLCVRSK - ->sp|Q02123.2|VSR_POPMV RecName: Full=RNA silencing suppressor; AltName: Full=14 kDa protein; AltName: Full=Putative nucleic acid-binding protein -MVNMRKVLALMQVFRERYDHKCDFNFCDIAVSIVCRSELDFINEPGLSNYAKRRRARRLGRCVRCFRVNP -GFYFTKRCDGITCVPGISWNYDVEDYIKRGRVTGDRETPSTFHGYGYPVGHKT - diff --git a/seq/clusters_seq/cluster_740 b/seq/clusters_seq/cluster_740 deleted file mode 100644 index 3cb4728..0000000 --- a/seq/clusters_seq/cluster_740 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009508873.1 movement protein [Tomato necrotic streak virus] -MALVKSKPIVMTAANEEDLYKQITGALTSVNANLKMFQACFPLELKNNSHTSFELCDENTRSIATALITK -VRKSINVDHPHIFLIWVPRILKSTFATAEIRIKYLATGDEKAVGKFPLNEAFMFSFGWERSIRMKDAYAG -KGLHLFIQTTAPNSEPNAPLGRLIPMWDNCATAKMRYTEDVGTSMSVADEMRVKNVLTDKITRGLLRSYM -AHEFTCKEAVEKFTAAPRLQLSDDLPESIDFTTSFSEGKSPPARIADAAKADIDSKVVSGNGVYEDLSTA -SKGQGQNTPNP - ->YP_002455926.1 putative movement protein [Asparagus virus 2] -MALVKTKPLVLTAANEEQLYKQISGALESVNKNLKMFRACVPLELKNNGFTSFELCDEDTRSLVTAFSVK -VRKNINVDHPHIFLLWVPRILKSTSATAIIKCKYLATGDEKAVGKFPLNEAFIFSFGWERSIRMRDAYDG -KGLHLFIQCFAPNSEAKAPLGRLVPMWDNCATAKMRYSEDVGSSLTTADEMRVRNVLTDKDTRNLLRSYM -ATEFACREFEDKFTGAPRVQLSDDLPETLDFTRLGGPAPECSDATENKRRTVVCGNGVVEIAMEAQSRNT -P - ->NP_620756.1 putative movement protein 3a [Tulare apple mosaic virus] -MALIRSKPIVLTAANEEELYKQITSALVSVNANLKMFQACFPLELKNNSHTSFELCDENTRSIVTAFTTK -IRKSINVDHPHIFLLWVPKILKTTFATADIRCKYLATGDEKAVGNFPLNEAFIFSFGWERSIRMKDAYSG -KGLHLFIQDTCPGFWPNAPLGRLVPLWDNCATAKMRYTEDIGTSMTIDGWMRVKNVLSDKVTRGLLSSYM -AHEFTCREKVEKFTGPPRVQLSDDLPETLDFTSQCSEVKRPPVLTDATKADEDSKVVSGNGVYEDLSTAS -KRQGQNTPTP - ->NP_620680.1 putative movement protein [Spinach latent virus] -MALVKTKPLVLTAANEEQLYKQISGALESVNKNMKMFRACVPLELKNNGYTSFELCDGDTRSLVTAFNVR -VQKNLNVDHPHIFLLWVPRILKSTTATAIIKCKYLATGDEKAVGKFPLNEAFIFAFGWERSIRMKDAYDG -KGLHLFIQCFAPNSEAKAPLGRLVPMWDNCATAKMRYTEDVGSSLTTADEMRVRNVLTDKDTRNLLRSYM -ATDSLVKSKKISSQVHLGCNCLMIYPLRWILRSVKCLFSVVYRMLPFLMVQLLVEMVRWEIAMSRPQPQN -AP - ->NP_619577.1 movement protein [Elm mottle virus] -MALVKSKPLVLTAANEDQLYKQISGALESVNKNLKMFRACVPLELKNNGYTSFELCDEDTRSLVTAFSVK -VRKNINVDHPHIFLLWIPRILKSTSATAIIKCKYLATGDEKAVGKFPLNEAFIFSFGWERSIRMKDAYNG -KGLHLFIQCFAPNSEARAPLGRLVPMWDNCATAKMRFTEDVGSSLTTADEMRVRNVLTDKDTRSLLRSYM -ATEFACREFEEKFTGAPRVQLSDDLPETLDFTCPEGLLPGKDPDAADPKRKSTVVSGNGVVAIAMDSQSH -NTP - diff --git a/seq/clusters_seq/cluster_741 b/seq/clusters_seq/cluster_741 deleted file mode 100644 index e852208..0000000 --- a/seq/clusters_seq/cluster_741 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009640125.1 coat protein [Escherichia phage MS2] -MASNFTQFVLVDNGGTGDVTVAPSNFANGVAEWISSNSRSQAYKVTCSVRQSSAQNRKYTIKVEVPKVAT -QTVGGVELPVAAWRSYLNMELTIPIFATNSDCELIVKAMQGLLKDGNPIPSAIAANSGIY - ->NP_040754.1 coat protein [Escherichia virus BZ13] -MATLRSFVLVDNGGTGNVTVVPVSNANGVAEWLSNNSRSQAYRVTASYRASGADKRKYAIKLEVPKIVTQ -VVNGVELPGSAWKAYASIDLTIPIFAATDDVTVISKSLAGLFKVGNPIAEAISSQSGFYA - ->sp|P69171.2|CAPSD_BPZR RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MASNFTQFVLVNDGGTGNVTVAPSNFANGVAEWISSNSRSQAYKVTCSVRQSSAQNRKYTIKVEVPKVAT -QTVGGVELPVAAWRSYLNMELTIPIFATNSDCELIVKAMQGLLKDGNPIPSAIAANSGIY - ->sp|P34700.2|CAPSD_BPJP3 RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MATLRSFVLVDNGGTGDVTVVPVSNANGVAEWLSNNSRSQAYRVTASYRASGADKRKYTIKLEVPKIVTQ -VVNGVELPVSAWKAYASIDLTIPIFAATDDVTVISKSLAGLFKVGNPIADAISSQSGFYA - ->sp|P03614.4|CAPSD_BPFR RecName: Full=Capsid protein; Short=CP; AltName: Full=Coat protein -MASNFEEFVLVDNGGTGDVKVAPSNFANGVAEWISSNSRSQAYKVTCSVRQSSANNRKYTVKVEVPKVAT -QVQGGVELPVAAWRSYMNMELTIPVFATNDDCALIVKALQGTFKTGNPIATAIAANSGIY - diff --git a/seq/clusters_seq/cluster_742 b/seq/clusters_seq/cluster_742 deleted file mode 100644 index 3c642d1..0000000 --- a/seq/clusters_seq/cluster_742 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_010088067.1 putative nucleocapsid protein [Ti ringspot-associated emaravirus] -MASSKQNTKIYVNKVEHNITDIREVNIHGKKFKVSDGLQGSRLNNFTDVTYNTLTELALTSDPYKITDYM -GNISISECYKIITNSEKMNRAKKAGNIQRVKGLHNDVSVFNIVPNAQPSNIFNTLSYNRFMAIPIAAIRL -NRTSHSYDWDKHEYVNKGPKVVEPGLDQGNKLALAIGMSPDHDYYWFYATGYEYTFDMFPIEVIATTIFR -VKHREELKLTKMQNEDIVRATASQMFKKNANIKDLFEKLGVARIKTEYQKLCDNRTTSITVKKTTDFMNE -LENIFN - ->YP_009237266.1 nucleocapsid [Raspberry leaf blotch emaravirus] -MATMSKAGSSNKSENSGSDLKSIVVDGKTYNINDVKDGITKDFNNNGNLKAVEPILVNFDQLTTSTDAFN -IKECISTSNFQLQTCYKCITNSLAMNNAKKANKVQKVQLSDVTYYIVPKVKPGAIKNVISYNRFMAICIA -GIRVNLTKKIYDWNKHEYVAANTETLQVPDGVGNKLALSCGMDEGHDLYWFYASGFEYTFDLYPVEVICC -VMLRLANAEEFKLNKVDDLDLVKNLASQIGKKGQIDDVLNSIGIDTISEAYAKYNSTRTDLVSVKRIKDT -LSSLQDILKNMK - ->YP_009237257.1 nucleocapsid [High Plains wheat mosaic emaravirus] -MALSFKNSSGVIKAKTIKDGFVTSSDIETTVHDFSYEKPDLSSVDGFSLKSLLSSDGWHIVVAYQSVTNS -ERLNNNKKNNKTQRFKLFTFDIIVIPGLKPNKSKNVVSYNRFMALCIGMICYHKKWKVFNWSNKRYEDNK -NTINFNEDDDFMNKLAMSAGFSKEHKYHWFYSTGFEYTFDIFPAEVIAMSLFRWSHRVELKVKYEHESDL -VAPMVRQVTKRGNISDVMDIVGKDIIAKKYEEIVKDRSSIGIGTKYNDILDEFKDIFNKIDSSSLDSTIK -NCFNKIDGE - ->sp|P83550.2|CAPSD_HPVKS RecName: Full=Capsid protein; AltName: Full=Coat protein -MALSFKNSSGVLKAKTLKDGFVTSSDIETTVHDFSYEKPDLSSVDGFSLKSLLSSDGWHIVVAYQSVTNS -ERLNNNKKNNKTQRFKLFTFDIIVIPGLKPNKSKNVVSYNRFMALCIGMICYHKKWKVFNWSNKRYEDNK -NTINFNEDDDFMNKLAMSAGFSKEHKYHWFYSTGFEYTFDIFPAEVIAMSLFRWSHRVELKIKYEHESDL -VAPMVRQVTKRGNISDVMDIVGKDIIAKKYEEIVKDRSSIGIGTKYNDILDEFKDIFNKIDSSSLDSTIK -NCFNKIDGE - ->sp|P85309.2|CASPD_HPVKA RecName: Full=Capsid protein; AltName: Full=Coat protein -MALSFKNSSGSIKAKRIKDGLVNANDIETTVIDFSYEKPDLSSVDGFSLKSLLSSDGWHIVVAYQCVTNS -EQLNNNKKNNKTQKFRLFTFDIIVIPGLKPNKSKNVVSYNRFMALCIGMICYHKKWKVFNWTRKSYEDNT -STIDFNEDEDFMNKLAMSAGFSKEHKYHWFYSTGFEYTFDIFPAEVIAMSLFRWSHRVELKIKYTHESDL -VEPMVRQLTKKGTISDVMDIIGKSTIAKRYEEIVKDRSSTGIGTKYNDVLDEFKEIIKKINSSSLDSTIK -DCLKDIEE - diff --git a/seq/clusters_seq/cluster_743 b/seq/clusters_seq/cluster_743 deleted file mode 100644 index 7fb967d..0000000 --- a/seq/clusters_seq/cluster_743 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_010086100.1 NSs [Cacao virus] -MNYYMLDMPLVSYSTLNIRRIQVDYVPFNKMSVCPVSKYEDMEFEVTSYSQKPGSKNTLYDFYSVGEIPV -SWGVNHPGVRLESSTKFLSLIHEISRLEINDVLKHNEPNLKRALCWPFSYPTLQFFSLIRLKDELGPWSY -KGIVMTQIMRASKCTQIDRCVVNFHKRIQREALELGDDIGCYPGRNLVLEACSVICLRLLQSYRYDLEYD -APHSKLMKLVQDFDESFELVSDADLRMEVARDEFDTIMKRREAEETFWGSDMTDSD - ->YP_010086193.1 nonstructural protein [La Gloria virus] -MTNRYCYDMPVTSWSANPLKRISIHYMAYNKPCTFQVSRFDTLEFPLEKFTQSTKTRATLNDFIKKKELP -VQWGGVSSQVFKPSPRVFLGLIMGLSDCSSEDYVKYDIPLLKKAISWPLTYPSHAFINLIAKKDSLGPWV -YKSMAATYMLRATNSICLEEAIVKMNNLIKSKAREMGLDMEVFSGENLLLEIAHIQCLLLISASRSTMLI -KSQIPKVFRIILDYDKLMSEYSKEIATFSEDVSGLSDMIEIDSGCYC - ->YP_010086103.1 nonstructural protein [Chagres virus] -MNYYATDIPLVSFSACQLRRIQVDYIPFNKQATEPHSNYSGMEFPVTSYTQVPGIRSKLQDFYNHNQLPV -SWGSGRPGVVNDSSSKYESLIKEISKLELRDVVKHNEPNIRRALCWPYSYPTLIFFDLCGNKDSMGPWMF -KGAAMTSFMRAGKCAQIDRSLVNFHRMIVREAIELGENEAEFTGKDIIMECCSVICRRLLRAYPFDRTYD -KPHSRLLTILEDFDNHFKFDYGESSELRVAVDEFDIHMKRREAEETFWGSDFTDSD - ->YP_009346037.1 nonstructural protein [Uriurana virus] -MNFFAVDYPLVSFSVNKLRRIQVDYVPYCKEDRDPISLYDCLEFPVTSFTQVPGIRSKLRDYYDFNQLPV -SWGPAKPGVSNESSTKFSSLISKIADLEVTDCLKHNEPNIKRALSWPYSYPSLLFFKLSAKKDDYGIWEY -KGLAMTSIMRASKVTQIDRCVVFFHKKILREAMELGEPEGEYPGRDLFLECASVICVRLLRAYKYDLIYD -EPHSKLLKLIREFDETFLLSTTEPHFGIAKDEFDTSMKRQEAEETFWGSDFTDSD - ->YP_009346028.1 nonstructural protein [Urucuri virus] -MNRFLRDRPYVSQSFLFLGKPQVDYIPFNKVWCEPVSAHNGMEFIVNHYRQVADCRPRLDDFYSRRELPV -TWGPFDGSVQNESTDQFLSLIHELSKLDILDANRYNEPSLRRALRWPFTYPTDQFFKLAADRENIGPWSW -KNFAMTCIIRSVNEMNLDKAVVSFHKKILAEVQLQGGRISEFPGRDLILEAASVQCMRLVNAYKADADKT -PRHSRYYLAVKDYDENCLHNDRLSKWTQDTDDYVDMDYLSQALESEWTIDESETE - diff --git a/seq/clusters_seq/cluster_744 b/seq/clusters_seq/cluster_744 deleted file mode 100644 index fa5d4a5..0000000 --- a/seq/clusters_seq/cluster_744 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_010086582.1 nucleoprotein [Sawgrass virus] -MASKRLVVNLPNCDKKSVNAGLPDTKVAVVYPAEWFKTNEGKRPVVRVYPAKASALYRALYPQVKAEKWD -DALVSSFLVATLRERSDLFKVESDEAWTSFGRQLLSGGQVGVADLLTLEDLEVKPPAPGPAQSTPGEELS -FFCALLTLYRRVQARENPAIKASDLTDKFKNVLGREPYNMNDEDARLIVPIADTANLTNGFMRILSAIDM -FFVKFPQAPGSAVRIATFTLRYRGCTAITALTHACITLGLDAHDLASLIVPQAPADDAVRVYEDGQEHDD -PYGYFPYHFVMGLSNHSPYSLAAAPSLTFYTRCLAAFGGSKNAAYTYTAGGIRNVPELIETAYLLIKKIR -RLEPGDLRFAESSAMDKWKAHKEARDKLLKDDDEDEVQLHEGAQGRGMLASLLALPTRQDLTPLHKRIDE -ALAELTIHHGLSRKNAMGLYHAAQGFKGADVGTVGHTIYDLYGRVPPYTE - ->YP_010086565.1 nucleoprotein [New Minto virus] -MTTKRLIVKLPGYEKQIVNAGLPDLKVDAVYPSEWFKANDGKKPNVKVFRKPVDVLYRAVYPQIKAEKWD -DTLVTSFMVALMDERKDLFTVEHSEGWSSFGRQLVNEGKVSVVDLLTVEDTESEFKDPENIPGGPAEQLS -LFCALLTIYRRIQARENQAVKVTDLNSKFKSILCREPYNMRDDDSMLIIPIATKANLTSGFLRVICAIDM -FFVKFPQAVGSAIRITSFTTRYRGCTAITALTHTCITLGMYAEDVASLIVPQAPAEDAMRIYQDGQEHDD -PYGYFPYHFVMGLSNHSPYSLASAPSLTFYCRCLSAFGGSKNAAYTYTAAGIRSLPELIETAYIIVKKVK -MIEPGDLRFATEDAMKNWEANKDARDKLLMDDEEDKVHLHDGVEETGTIASLRSLPTRKALTRLHRKIDD -ALIELSAYKGLSYQSAMGLFLMAQTYKSADVGTVGHTIYDLYGRNPPQRTE - ->YP_009552801.1 nucleoprotein [Zahedan rhabdovirus] -MVRVTPIDPAKRNVAVFSHDTTSGQPVVYPSVWGNLEGHPKPRVHVFDKTAVQAYTLLMQDFRQHQWKDP -VICTFMCQVIREWPEVFQVRCTEAWTSYQRVIGNAGEDISPLNILDVHNLQNPPDDPEAMESTRERRLSL -FYAILCIYRKSLGREITPQYRERINGILHTYFVTPTVGMNRDDINLVAEFTVTSSLTEGYKKIIAAIDMF -FFKYPTAPRSGMRVATMPSRYRGCTVLNAVGQIARRLGIPATHMGVYILTEQAADEYFQVFAEGHGADDI -RGYFPYQTDLELTTKSPYSMSANPNLHFTLHAAGYFMGVPRSAMARLPKELMSEEDMIKNAAGLAVTLIK -NSKLSMRMTVDGVEVADQFHARQAALLQGGNEIPAAGAHAAIRTGIHEIRATRIIEYIEENDGVDEDDKP -ALREHMERNGNLPEGTIGHHLRNHFRQ - ->YP_009094139.1 nucleoprotein [Moussa virus] -MRTNLPGHENTVLEATLPETSVTVVYPSVWFKNVGNVRPGVSHSLLTPSELYQTLHPMLTGGKWNDVLVS -SYMVSIINENPGIFEETLSANWVAQGRTIGEEGTKVNPLHCLSLDTKDIQLDNTPRTSDGSTRMSLFVAL -VMIYRRTLIKSTKTARTSELNAKMLDMMGSAPYGLRQEHMTLVSQFLEDKNLTEGYTRIICALDMFYTKF -PQGQGSKLRISTLVSRYRGCSAIVSVTHACNCLGITADELVTFILHNHVAAEAIRTFKAGEHSADKYGYF -PYQLGMRLTEHSPYTISANPSLTFYVRCLAAFGGSSNAGLTIMPNGVNAIQSLIEVAFMVTLKTGKLMNL -AVRFGKTDAEMKALADHVTAKDKLRDNTEEEIPDGEEEGIVQQGWAASVKDLPTRAGLTEPQKLADDIIS -EIEQNEGLDYLSRTRLHNASKRFKGYDEGTVGRVIYENYGRSAPNMI - ->YP_009094013.1 nucleocapsid [Long Island tick rhabdovirus] -MASKRLDVNLPNCDKKSVNAGLPDTKVSVVYPSEWFKTNEGKKPIVRIYRATSTELYRALYPQIKAEKWD -DALVTSFLVATLSERPDLFRVESDEEWVSFKQQLSVGGQVSVTDLLTLEELEAKPPVPGPSKPTPAEEIS -FFCALLTIYRRVQARENPAVKASDLTDKFKNVLGREPYNMSDEDARLMVPIAGTANLTSGFMRILSVIDM -FFVKFPQAPGSAVRIATFTLRYRGCTAITALTHACITLGLDAYDLASLIVPQSPADDAVRVYEDGQEHDD -PYGYFPYHFVMGLSNHSPYSLAAAPSLTFYTRCLAAFGGSKNAAYTYTAAGIRNVPELIETAYLLIKKIR -RLEPGDLRFAESSAMDKWKAHKEARDKLLKDDDEDEVQLHEGAQERGLVASLLALPTRQELTPLHRRIDE -ALAELSVHHGLSRKNAMGLYHAAQCFKGADVGTVGHTIYDQYGRVPPYVE - diff --git a/seq/clusters_seq/cluster_745 b/seq/clusters_seq/cluster_745 deleted file mode 100644 index 450f96a..0000000 --- a/seq/clusters_seq/cluster_745 +++ /dev/null @@ -1,50 +0,0 @@ ->YP_010086055.1 glycoprotein [North Creek virus] -MINHTNLLINTVLVMAYLNKPIFAASQQNIKILFPTSFKIEWHDVSSSNLKCPNTNVHQNIDHGTSFIVH -RPHIGKDPMISGTLCVSMELITTCSKGFFGGLDIMTSTSPADLSEEDCRKEIARQNQGEFSSSEHPQPVC -SWMKTSSTSRTVIHLNNMDVHYDPYSERLCLSLFIGGKFRIPGVDIVFENRLWVPHESLTKYCSEEHLVE -SSLIIYNTTATKSALWSPDFDVAEDELPCIMSFCGQRGLRFGSGEWVAYPGRMFLLEPWVGEYFYHLQFC -DSHTTINLVEANQDLKHMTKEVLTEFLDEQCEMTINKLKEGNLISRIELQTMYPRTPGFHPVYRYTPGKF -LMGMAHYSWVSIEPSDIFPYVLIRSSLNKTIEYPYWTLDNRTNIIDGPNGLYILDRTLIYGLEEEQTFKR -TLSKSSLHIFPIVSEQKNITKSFLKLISHKSYSFSDDASLVDVIWHPTLKYAITTSLIIAILLILICCAR -RQIFIKRCKYCVKTDARRPDDLSEEEGDYFDP - ->YP_010086785.1 glycoprotein [Ohlsdorf virus] -MVNYVKFPLLNMVSYFIWYSPDVLCQSILFPTTNTIDWIPLDPNDISCDFPYYPSESNSNLKIRGRSPIL -QTKYEINGFLCNAITTVTSCDKGFFGGETVTHSEYRPPLEFKDCENAIRKFKSGTLDTYEHPPPSCSWMK -KSDNLKSTVLVQERTVLFDPYSNQLISSWFADGKCEYSPCSTVKQNMKWISDVNHPPECISDHLDEVIMF -LTDSKSTNSYTIWSPDIHITDFNEICTMTYCSVNGFLFPNGEWVGINKNDIPSIPKLGEFLYNIKECNSS -THLHIKEHRYINRETEQTEFSILLSVECSKTKDDLLSQTSINRVQLQSLTPRIPGRHRVYRFHNNTLQMG -YSEYKWVRTEKSVTFPFIKIILANGKEVRWPYWYLDPNNDIIEGPNGLFIKNKKLAYALSDLINYNRSIL -INGKISYDIPHVHLPKVSPINNIISTRDFNTINDDNLISVIRGWSPFHTITQSIFWLSAIVMVILILKYT -VPLLINKIKNRRKSIDQDTELSVFYKP - ->YP_009388615.1 glycoprotein [Culex rhabdo-like virus] -MIHNSELLANAFLMIALISWTTCEDQSHNMKILFPTSSKIEWHDVSPSNLICSTARIHQNIAHGLSFVVN -RPVIGKDPKISGTLCVAIELTTTCSKGFFGGVDVTLSTSPADLSEENCRKEIASQNQGEFSSAEHPQPVC -SWMKTSSTSRTVIHLSKMDAHYDPYSDTLFSSIFLSGKCRASFCQTVFENRLWIPQESLTEYCSTEHLVE -SSMMIYNSSSNGSSLWSPDFDISEEEKPCIMNFCGQKGLRFASGEWVALSRQSIPKAPWVGEHFYHLKDC -DGHTTVNLVEAHQDLRHMTKVVLEEFIDEQCEMTVNKLKEGNMISRIELQTLYPRTPGFHPIYRYTQGKF -LMGMAHYSWVSIESSEIFPYISIRSSLNKSIEYSYWTMDNRTQIIDGPNGLYILDHQLIYGLEEEQKFRR -ILSKSSKHVFPILPEQKTNGQSFVRLLSHTSYSFSDDASLVDVIWHPVLTCLIITAILLPSMIGIIWCTK -NQVFIKKLKSFAQRDVEPSEDISEAEGEYFNP - ->YP_009182185.1 putative glycoprotein [Tongilchon virus 1] -MISDIRIIIKSVLIITYSGGLISAGQMLNIKLLFPISQNIEWHNVSTSNLICPDINFHKRVDSGLSFTVH -RPKIGKDPSIAGTVCAAIELITTCTKGFFGGVDVMVSANPATITEESCRQEIASQARGDFRSSEYPQPVC -SWMKTSTVKRTIVNLNSMDVHYDPYLDTLYSSLFLGGSCKGAVCPTIFENRIWIPHESLTEYCSSDHLIE -SKLIIYNTSESKTAFWSPEFRIVDEDNPCIMDFCGTKGLRFSSGEWVALSRQHVPKEAWVGEHFYHLKDC -KKETTVNLVETNRDVTYMTETILEEFMNEQCEITIDKIREGSLVSRIELQTLYPRAPGFFPVYKYTPGSF -AVGMAHYAWVSVQPSNTFPYVSIRTSLNKSVDYKYWTMDNRTNIIDGPNGLYILKGKLVYGLEEEQTFRR -LLAQSSKHIFPITSETNNIKRGFTQLLSYKSYSFSDDSSLTDAVWHPFITSVGVSVLVIIVLIGSYIGVR -KKIWHNLCIRNRESKRANADDNSEEEEDYFNP - ->YP_009552819.1 glycoprotein [Riverside virus 1] -MNEYRYKLNYLISIIIRIFTLIASISGSQSLEHQSTILFPTSDNVQWHEVTPNTLVCPIGDSYDKIESGV -TIPVKLPLIGTTINIAGSVCTFTKLSTTCSKGFFGGITLELHTEPLDVSESLCREEIQRVSEGAFSSSEH -PTPSCSWMKSSTTSRTLISVTSHSVSYNPYADAFKSSIFLTGLCPSSVCRTHFYNRLWISDHSIKESCNE -SQMLEGSLVIYYNTNHTVTSWSPDIYISEYDSPCTMNFCGRKGLRYPSGDWMALDRKDIPQQDWIGEYFY -KIKDCPTGSQVNIINDKELIQNAVLSLLDEFLDHECEKVKDKITSGDMVSRTELQTLTPRYPGFHPVYRY -SPGKFEMGLSLYQQVTVESSPNYPYIIIRRSDGRLWSWPYWIASNTTDIIDGPNGLYIKNKTLILGIQDI -EGYKRIARLSSHYRVPLAKQSPEHRTRQLHPGAETEYTFVDDSALTDLHWTPMLYTLTAFVFTLFIGILL -LMFWSKLVHCVKNKQYHVVKLFKKNTNDQEMEFYQPGP - diff --git a/seq/clusters_seq/cluster_746 b/seq/clusters_seq/cluster_746 deleted file mode 100644 index cb900a6..0000000 --- a/seq/clusters_seq/cluster_746 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_010085098.1 matrix protein [Citrus chlorotic spot virus] -MATSSKSTEELCTVMSVRSRVTLTSPSKPPMDAATIASMVKAIGVMKSKALTPEGTEVSGDWQALITEVA -RQSKPQVSGPFLTKDLESGNIYTINMIIGGPLNVKDNNHGRVMTLRSGPLYDTPHYSSNDKVDIMLSSGK -ASVGISTSIATVKRPRSSCEKLVNQYIILPKEKINPPAPRPSG - ->YP_009976135.1 matrix protein [Citrus leprosis virus N] -MSASSSPTLPEECTVMSVRSRITITSTEKIVPGPDITAKIIQSLSLINSKAKAPDGEDVQGDWAQMMEEV -AKLSKPQISGPFVDKDLERGNIYSLNMIIGGILDSQSGRAGKVMTLRTGGLYDTPNYHISGRSQAPKINK -DASLEITATVATVKRPVPLCKQKIQDYIILPKVVINPPTTGRGPSG - ->YP_009666991.1 matrix protein [Clerodendrum chlorotic spot virus] -MSTSINDAGELCTVMSVRSRVVLTSQSKPPMDAATIASMVKAISGMKSKAISSEGSEVAGDWPALMVEIA -KQSKPQVSGPFLSKDLVYGNIYTINMIIGGPLNIKDNTHGRVMTLRSGPLYDTPHYTSEDKAEISISSGR -ASVGITTSVATVKRPRSSCERLLSQYIILPKEKINPPSARPSG - ->YP_009507909.1 ORF4 [Coffee ringspot virus] -MSSSSGSSEELCTVMSVRSRITLTSHVKPPMDAATIASIVKAISIMKSKAVSSEGAEVSGEWHNLMVEIA -RQSKPQVSGPFLSKDLESGNVYTINMIIGGPLNTKDNNHGRVMTLRTGPLYDTPHYTAEDKVDILLSSGK -ASVGISASVATVKRPRSSCEKLISQYIILPKEKINPPNPRSSG - ->YP_001294927.1 ORF4 protein [Orchid fleck dichorhavirus] -MSKQINMCTVMSFRSLITVIGPRSVDLGAGFKEAVLRAITSLKVKAKDPKGAEIDGPWQELLVEIATMTK -SAFTGPTIKKDLEQGNVYRYAMTVGGMLSTSHNTNGRLMTVRTGPLYDTDHYHVSGEVDIDKMDGFKATI -TLSVATVKRERQQCEAGIGEYHVLPIKGKNPPRSASNTAGPSG - diff --git a/seq/clusters_seq/cluster_747 b/seq/clusters_seq/cluster_747 deleted file mode 100644 index b1d69fe..0000000 --- a/seq/clusters_seq/cluster_747 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_010085097.1 movement protein [Citrus chlorotic spot virus] -MSTLPPSVVSGPVHRDEVRETASRRMASRDESLDEFNKELQTAQAWQRKLIQKHPIKVLGREGEGKITMI -KKPIIINVANMISRTVGGAVKPIWIVGIAIKWKPSCDLATSGVLKLSIQNKAVNNPVLRDHTVVQMCQRV -SSAFDIQYTSSSKHNESGNPWSYSYEISNMDDAPVNMELGTAIIMPIIKQDNSNTQMFGGVQCEIYGGHF -PLNIPAVCYCAPGPRFKTNLSEIEKNVQMILRFLNVQGITDIDEELAYKVIQCCDPESARILYTGVRKQV -WSMVGPDHVNTLKSLVYDCKSGRAVSYITLDYMRNLGSLGGTKTHTYV - ->YP_009976134.1 movement protein [Citrus leprosis virus N] -MSTLPASTIHGAPARNEVLETAHRRMGGRSSDVEDFNKTLQTAEAWQKKLIQKHPIKITGKEGEGRVTMI -RRPLVFNIMNAASNMLSIGNKPIWIVGIAIKWVPSCDLATSGTLTLSLLNKAVTNPVLRDNTVMTMTQRV -ATPFEVQYTSSSKHSGGTGNPWMYSYEVKNMDDAPDDMEMGTAIIMPMIRVDEKASQVYGGVQCSVYGGY -FPLNVPVVTYCAPGPRFKTNLKDIMRNVEMLKRYLGVQGFTDLDDDLIFHLIQTCDPDTAKIITEGISGK -VWAPLKSEEDRSIIKSLIYDCRTGRAVAYITLKDITHLGTMGGDKKFTYT - ->YP_009666990.1 movement protein [Clerodendrum chlorotic spot virus] -MSTLPPSVVSGPVHRDEVRETAGRRMASRDESLDEFNKELQTAQAWQRKLIQKHPIKVLGKEGEGKITML -RRPIVINVANMISRTVGGAVKPIWIVGIAIKWKPSCDLATSGVLKLSIQNKAVNNPVLKDHTVVQISQRV -SSTFDIQYTSSSKHNESGNPWSYSYEISNMDDAPANMELGTAVIMPIIKQDNSNTQVFGGVQCEIYGGHF -PLNIPAVCYCAPGPRFKTNLAEIEKNIQMIFRFLNVQGVTDIDEELAYKVIQCCDPETARILYSGVKKQV -WSMLDKESVDVIKSLVYDCKSGRAVSYITLEYMRNLGSIGGTKTHTYS - ->YP_009507908.1 ORF3 [Coffee ringspot virus] -MSTLPPSVVSGPVHRDEVRETAGRRMASRDESLDEFNRELQTAQAWQRKLIQKHPIRVLGKEGEGKITMI -RKPIIINVANVVSRAVGGAVKPIWIVGIAIKWKPSCDLATSGVLKLSIQNKAVNNPVLRDHTVVQISQRV -SSAFDIQYTSSSKHNESGNPWSYSYEISNMDDAPVNMELGTAVIMPIIKQDSSNTQMFGGVQCEIYGGHF -PLNIPAVCYCAPGPRFKTNLPEIERNVQMILRFLNVQGITDIDEELAYKVIQCCDPETARILYTGVKKQV -WSTLEAEGINTLRSLVYDCKSGRAVSYITLEYMRNLGSLGGAKTHTYV - ->YP_001294926.1 ORF3 protein [Orchid fleck dichorhavirus] -MSTLPVSTLHRSNARDEVRETASRRMAHGSDSNVTDFNKNLQTAEAWQKRLIHKTSIKVTGSEGEGRITM -VRKPILFDIMNSLNSILPTSTKPTWIMGMAIKWVPTCDLSTTGTIKVSIQNKAVNNPVLRDHTVVSMTQR -VTTPFEVQYTSSSKLANRTGTRGNPWMYTYCIEGMDDAPIDMEVGDIVVMPMIRSDDTTTQWYEGVKCNV -YGGYFPLNIPVVTYCAPGPRFKTNMNEIKSNIEMLRRYLNVQGFTDIDEDLVFKMIQCCDGETAGSIMKG -IRSSVWAPLAKTDRDYVVIKEMLHDCRVGRVLSYITLSEVEGLSTMGGDKSHSYR - diff --git a/seq/clusters_seq/cluster_748 b/seq/clusters_seq/cluster_748 deleted file mode 100644 index f183150..0000000 --- a/seq/clusters_seq/cluster_748 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_010085096.1 phosphoprotein [Citrus chlorotic spot virus] -MANIGSTSTLYPDIPDMSQVQQDLDNQASNDPVDAFISKWPTLGVTPPLSLSSKIKGLISRKYSGRHVVL -DEFTMDLCCLVWNASSEHHHLINKSQVNKMSSLIDQLSEMMKDRNHTPTVDMSPPKPPMKRKVPIQEDET -DIHALIADTWTPGRSSSWSKKPLSEHFESLVWLLRDHLKLVTKDFSEEWIKKQDLPSLLGDITIFCYINR -DSLTEEQLDTIRAIVVDRMNRKKKRCLD - ->YP_009976133.1 phosphoprotein [Citrus leprosis virus N] -MNMIGTSTNMFPEVPESSQIQTDIESYGSDDHVSTFIRKWQAHGITPPMTLSNKIREWVKTKGDNNPIVL -DQNAVELGCIIWNACAEHHHLINKSQVTKMSDLVDQLGEHMRGQSIRPDERPPHQSSKRKHEDTCFNPDI -DNMIADSWTEKRKGLFAKKTPSQLLEIFSWLLIDYLSVITKTFTESWVTKQDLAGRIGDIAVFCFLNKDT -LTDEQKTIIRNRVVDRLSKSKKPCLN - ->YP_009666989.1 phosphoprotein [Clerodendrum chlorotic spot virus] -MAHIGSTTSLYPDIPNMSQVQQDLDNQTMNDPVDAFLSKWPVLGITPPLSLSSKIKTLISKKYAGKNVIL -DEFTTDLCCLVWNVSSEHHHLINKSQVNKMSQLIDQLSEMMRDQPPAPSVDLSPPKPPVKRKATTNDGET -DIQNLIADAWTPGRASNWSKKPISEHFESLVWLLKDHLKLVTKDFSEEWVKKQDLPNLLGDITVFCYINR -GTQTEEQLETIRAIVVDRMNKKKKRCLD - ->YP_009507907.1 ORF2 [Coffee ringspot virus] -MANIGSSALLYPDIPNTSQVQQDLDNQSLNDPVGAFMSKWPILGVTPPLSLSTKIRGLISQKYAGRHVVL -DDFTMDLCCLVWNASSEHHHLINKSQVNKMSSLIDQLAEMMKDQHHAPSVDLSPPKPPMKRKSTPSDGET -DLNNLIADTWTPGRLSSWSKKPLSEHFESLAWLLKDHLKLVTKDFTEDWVKKQGLPSLLGDITIFCYMNR -DTLTDEQLDIIRTIVIDRMNKKKKRCLD - ->YP_001294925.1 ORF2 protein [Orchid fleck dichorhavirus] -MFTTKVNMYPEVPSSSQVSDDIDNDTHVDEVAAFVRKWSAAGLSPPVTLAKNLRAWVASNTNPGNPLVLD -DRMLSLTTMIWNTAAEHYTMIGKSQVNRMSALIDQLGEISGRKPQQGPSFDMPPPPPKRKHPDSLDTNPI -LGLIGQDWDEKKDKQWREKPADKKHLVLNWVLHEYLGVLTKPVTIKWITDNPSSLELGAVSAYALKHQAS -LSDCDKEALRALVVQTVKNTPKRPCLD - diff --git a/seq/clusters_seq/cluster_749 b/seq/clusters_seq/cluster_749 deleted file mode 100644 index ca472a5..0000000 --- a/seq/clusters_seq/cluster_749 +++ /dev/null @@ -1,149 +0,0 @@ ->YP_010085090.1 putative RNA dependent RNA polymerase [Leptomonas moramango leishbunyavirus] -MYRNDPYQIRQYAIGPLTFSPNKIPLKGLITHEPVVQEISNNQIGAFTIESNGDKIYAYPPQGTITHKIL -GEVFVTDLHNVRKLPHDLVMKTLFSKENTNNILNEPIWTTQVEGICTPDWFTISNDQAFVVEVKTFYHDH -SKIFERAQSQYASVLSSCKIPAHNICICIGENEIIASEGLVVPYVLSHSLCELCLFAHMIQEYCFENKLL -HDYDYSKGLETIVLPPAPLPKTDPERLVITSEMRGTWSGLPAPVSAESVINSNLRWIDPPHLLKDVDKAK -LSALYKNCTSQVSHSALRDGLLLPYTTKAKLPATSEIVNWNLSHLVESLKMSTGQQKHFYIRGSLESQAA -HSSEDIKRGLWSTYFQYETHNVFRAKQKQRGEPSEVKTGDVDHAGGRNSAFVSKETKEWLEPQIKTHEAK -KKIQSLKPTPINLRFWSTQLCAETQAPSLIEPCLTTLEGNKVNIPYSNETWCLHSRFWQRLVEELNVGRY -SAKGPWNRFHYQTIEPYDAHLFVHGTGPDSHQFYYLLVRLHPDSNPGHDYVRIGKTDWWYNETCLSMNSS -KISQWLNLHERLLSLRSYWDSVFQFERDRAQDHFAASMLIGYEAKQATIDLLSLFRYTYMELCKESQHRN -VYKIHSKFPQLLRTPVQSWVAWSMLKLMDLSERDSAEVTIDEDDDGALDFKKLVSWVDFKPIPNFSIILS -LSYMHYAVPHPFSTGLHGRVAIMEKLLKEESTLPLNRNKIGWSSPSIEQISNHEFSVGFVKAMGKDSAKR -ISKVYPSYNLFWQEVSKRLKELTFSSFSTFKKSTKLNRKNVAVRDFCFATIQELAEKLNWDIHSVAGFTP -YDHLNDLLDLVERDPTTMNVTIFVKDQQTGIREIFVLTMCMRILVKFMEVVSRVINTTLPNETLSVPTRK -EKLIYQHTREVVQGKLAVLRGLDADKYDVITLRFSSSSDAKAWCQQFCMPVFGCFLDEALSIYGEDSQNL -RDILMRILNMITKKHIHVDPRVKEWFRTHTEIQSYSDVFTRLSDLFNERSLGLYEDDSIVNKSNMMQGIP -HETSSALHASYLMLASSALKQIVSSFSRSKHLDLLKIGDPIVTNMVSSDDSGIIFSLTIAFEKEKERDAL -CQVARIREILSRAGYNIEICKKLFAARVSLEKSTIFAETPVYEFNSKFYVGVSVHTAEIKFICSPLSLGY -HTTIRERVSEALSGLSGCLREGVRQDLLFNLQLLLRRMHHRFLYSNWWKPIISERLNNLSSSLLGTVPLI -QQGFVGFFNMQTLCDYSSNQVSTIAENFLKSCAITDHDEDPAYSLTLKLASNYHRVLKTFLPRLMIMANQ -YSSSEEGILKYLSRELFEDELVTLKMMSPGTKVAMAFVDVAKIHMASCYAATQPCIRMKGSNIKISLQNA -ISHLENLIGQTTAKRTHNQAVDRMIFLFNETFAVPSPNDQARFPCHLALSSEVYEHSGGKLARFQILEGW -KFGFAGERLETLKWSRTIDDRITDNISKTLENFGNDIRGLDRALNDITSRDYTIHVLCHKPLNDTFTEKI -ASYWLSSYSRSEGRTINESRFTPEPIPEMSQQLAQSVDDVMMNLSSAALGWPISKIKSHFLRRAEESAVS -CSDSLSIYGPRSPQELLLSIMAQTSSQPIDLFRLRFRGNKRIFISSTLGFQRLRTHWYKFYISNGEWTIG -RILSSPIHPIRTLKQEVISSANFVLHNTDFKVDISRNDNLQLKSRHWNSDSHKNYPRELSPQIWEAAIAK -INYFSINNNKYKENLPSPYDTMICKKLTEIVFCCRSNMNSWQFWEQLSSVKSTTHGPGGYALAYILQKSV -QAKWQPAAPTPVEPEIPDLGIIPEDSEFEDFFNEIMDAGLEGIDFDNMDIDETKQIGAEELYSIDYFGME -EFGFDASEALKIDSRESNLAGSLVLRSGAFSHATICFLHCATKRVNDPGLFGPDVELEEALDEAAKEGNP -IRLGGDRRKLEEPSSDLIQ - ->AJG39239.1 RNA-dependent RNA polymerase [Huangshi Humpbacked Fly Virus] -MITSSFSDIYRDMPQLIRLSSNHYSCFSNYKTFYKSKLSPEVPMHVVDAGNSIYLDFDVPWKLGHSGGNI -QQLSSSIRLGAERHKDNKELAHQLLCRLMSPFHEQSVQRLQPHFVNELPMRTPDIIIETDVLALVEIKTA -VQFSRKVYEESVRKYNMSGFGPVTPAGNMSIMVTGTSFTVPDGLVLQQTFVNEVCSVIGQIQACLIAKSS -YGPSNFDEQIRFPQVSLARVPGDSRLTVDDAALQRMWDIEPVDHFTRELKKEALRPKCRKIARAPVWTEV -VETKLLHDFPLVLSPATSIPDLTMIENGVLRQLTCNYWFKRNLESRKFLPSENREERKVNLQGTMESLIS -ENTEDVVRGLWLKSLVDSKISYFNTADMDAAQVAKLKRKLEKRVQTWRTCLVRDRIVHLLEEKEAAKREE -WVRNPADALTRFDTQELISDLLAEVAGAHMEEQSVPMETGGAQPILYQNEAWSCHMRFWQEVYSELNISV -RGAATRNQRRFLAQRIGSRDAYLFTQSKGHGQHIFYYVLAHLSNGPIRPKNWQKLNDGWWCTRRVQSVTQ -AVIEQRLYSFNKLYTLRTFFEDRASSEDWMMEFATAYLIATESKQRTLDLLSLFRYLYMEMSKPFQDADP -EKIFGKVDFPLRTKLDSHIVASMLQVIKAFREDRGSLLQNVSWITLRPLRTFDALINLSYYQHVIKNQQS -HGLSAIFQTTRKIIMEEAKLTSEVSSQIMSMDRNGLEDMKSHTYSPQFVAALGAHLSKKVKRVYSEYSKF -EEAWKEELMQMEYEYFATFRKTTDLDLKTRSNRTYCFIKITDLLKQYLVSPYQDLKNLVTIQSVRPDSRC -ITIFKKDQQTGVREIFVLPMVLRILVKAIESMSEMISRNCLDNEAMSNPRLKETILINHNTKVLNKMKFI -KKNVGIKPKKVYTGELKFMASSDAKTWSQQFTMPNFIQMFVTMLKHAYGDQSRSLVRFITFVLNQITNKE -IVFDQSAVDWCRDLSLEELAQIKSRDDDFSRFVDTLRGSNKGGRYGFKNESNMMQGITHFISSLLHSSYM -DLCKVKLNQVVKGLAEGLSRNMEWDLFSDGMVIDTIVSSDDSGMYCTFPYVIGYESEDQLPAIQAAIKRL -ANNITTFGRKIDEYKPLMGARMSPEKTTEFALNEVFEFNSRFFYKTGEYVPEIKFCTSVFHPGFHEFPSE -RVDEALSSLTNSLVNGISQTTLYSQQLMLNRMHRHLITNRVTLSPEEERLRSPIIGWVPLMRKGLIGFFN -TQQLTSYLVCTGAPNADLYNARFFLESEVYDTRVKLSLVRRTKLNKMLSKMGVSQETVTQQLMQVGPEFL -INRLPQALKIHMKLLSPGANAALAFTTLSRLHAVSCYANTRQVFTLRQEHDNASKMTYSDVLNWVAENRH -KLPVVKPEYYDVPSMAYLSSVNWDAAAEVEKSLVVRPFDRKANKFYLEGLKVLPDTSSVLLDCYDFWVGN -ENPRNRIFMSFLKSIDGRISESYSETIENFHKRPQELKTFLLNVEMKDKRILYFGPLLRTASLEVHLSNY -LKHNWSGHKSLLLQRSGAAAIETSCKEIQVSTAWEAVRDLVSNAFTGWESLRTFWLDEATSALHGLEVPL -SRTSQPDVQLLCLYIKHRKNPFMEIPVTRYLRSSVVCLHLSSSIKVLREGGRWHKLFSSCNRSFHEPTDK -DRERFMILSQASHETLFDEFSLDVGRMRIFPSQGSICLTTVGNALIERTSFCAVSIPPMRKDSYCHPDRK -LSMFVKKISEVVLFSPDTMLLPAFIPWLSKQVLKESKEKAVYKELTRIGQSMEDPQKLIKRARRKITRKE -LFQAGTLPAEAGEFLDPDDFLDERIVDLRELAEAHAPVPPAIACAQEEEDIMQFIVDAFTRPREEEEGVA -AEDSFHNSSDEEEESYDVDDMYEEWASELKGNPQNQDVPELDNLVELLGESWEDCAGASLLYMSGDIIVP -CETRDCWTKARNIVRTMKTNQETGALLFGEQPGDLFPSLES ->ANJ59513.1 putative RNA dependent RNA polymerase [Leptomonas moramango leishbunyavirus] -MQEITLSNKSIRLGWLKCLINENLKPGLNQFGPREIKAETINDANLSVINDSGIIKISYEESELSKHTFL -FPKECTLSSVRKLPHDHICKYLWGGENIGNLLKEPIWSTTVEGVATPDWFSLNDDHLFAVEVKTFNGDGL -IAFERAMGQYSGVLQSCIYPALNISICVGSKSIVCSECLTIEQHIVDTLCLLYRITKRIQNLCHDKGWLH -DFDYSKGTETVILPTMSLPPSSTEKLLITEEMRKVWKSLPAIPDAERLINDNIQHMKMSQSVKAEDYRSM -EAKYKGRPLSNGDQILRVGLMTALIGDDFPPVDLYANPVLNCLVEDFTRKRADHPGVEPKHVYLRGHLES -YYNLSKSDILRGLWSSYFQLPDVELFRSSNKPERPRETKELCLDPGRGSCHVSPLTLIETDNEIKAIRAE -KKSENSYRPSPIDLRYWSDLLFTKIEPVSEGTFTSVEGKEVSMPYQDELWYSMSRFWQRLVEELNIGRFS -ATGKWNRFHVQKLHPYDAYLFVHGTGADSHQFYYLICKTKIYSAVAGLDLLQIPGTDWWYTSKIQSMNSS -KINQWLNLHERLISLRFFWNSVFHRSVLRSQNHFTASFLIGFEAKQSTIDMLSLFRYLYMELCKEVSHRN -VFKIQSKFPEVLRTPVQCWIMHSMIKTMRLGDSSLGVLVSEDDPSSMDFKELRSWVDSYPVPSFSTILSL -SYMHYVVPHPFDSGLNGRVAIMEKLLREESKLPTDRSKIGWSSPSMSELGDHEFSVGFVKSMGLEASKYV -RNRYNNFSEFWVAVSKKLKEQTYSTFSTFKKSTYRDSKGDLVREFCFKTIEELSKDLGWNVDDEAGFSPF -DKLQQLIDLSTHDPGVRDVTIFVKDQQTGLREIFVLTMCMRILIKFMEIVSRVINTCLPNETLSVPSRKE -YLIKKHSRYVYESKKALLSKCNPQEYDHITLRYSSSSDAKSWCQQFCMPTFGCFYESCLSQFGPESEGLI -RIIHSILNLITQKHIHIDPRVKQWFMTHPDVIGSSDTFNTLSDLFNKRIPGLYEDESIVNLSNMMQGIPH -ETSSALHASYLMLASSSLKDLVLRMSKSSKIKNLVIGECVVTNMVSSDDSGIIFSLPIAYPKCKEEESQK -ELSVVREIFSRAGHNLEECKRYFSARVSLEKSTVFAETPVYEFNSKFYVGVSVNTAEIKFVCSPFTLGYH -TVIRERVSEALSTLSGCLREGVRQDQLNIIQLCLRRMHHRFLYFDWWDSSVNRDLNQLCSPVLGTVPLIR -EGLIGFFNLQNMGDYVRLIDPRSNILIDQNLPSYDISDDLRFSLSMRMISRYQKVLARVLPKFNSMIKNY -ESSEELSLKYLSRELFETEQVLIKLMSPGSKIAMSYVDVAKIHMASCFAATQNCIKLPGNERKISLREAV -EMTRKTQSAVPPNIEVDHNPTIKQLVEMLNDTYEVPCFAEQARFSQSMSLLPESQNFLTHADIRRTLVEG -WRYGFSGERLQCLSWCRRMDNRLKSDFSDTFRSMDCDIRELDRAINSMETRASTVHVLCFKPLSNNLNEI -YASFLSSSMSRRYSLCVGRSRFNCEEGREIDETLLKGANEVVKYLSMEGKGWKIRKIKTQFRERAISSAS -AFISNSGFCDCTNGMHLIICVLDASRNFRIDLRKLDIRGNKRFFISDSLGFMKVRTHWYVLKREMTKWCV -KRKEGSPMLNMDGCTQDIANNEDFIIDSTLLKIDTESEETFSIKSNVWTSDSHKKYPLSIDPKPWESSLL -SIKYQNISIDEYCELLGSPYNTALCKKITKISLSCGKEILGWRFWTHMTKLCRGKHGQGEHSLAYSLLNN -AMPHWKKPKSEEPPPITLLTKVEPPADFEDFFDDIFNNALGGDDGCESILPENPVTTVALEELFSHDFFP -GLEADPQDLYYNSLMPTADLTNHNDVIRSSFLKHSRICYITAATRETQDEGLFGVPEELIDALQKAADIS -KPIDIDTGEILMEPEESASTDI ->APG79301.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 5] -MKVALDYNQRTVIVSGQFPAELSHVSATTAADEVPINFDLLRDLPHQLCLYSLVGTIITPNIALWNIWGE -KGPPKKLITPDHAHMVSGKLFILEVKTTRGDPSRKVKEALQQYSMVTATCVNTTHLLHVCVSPLGVDFSS -VLGLSSDQCLALSALCSSGQQIQDLALEQGLPAELLGANPYDRIRIPKTVLPFKHDDKLIISHQMTEVWD -SQPDENQLSVELTSKMGQILSSAIRRSPNPFTINPGSSNKLLRQGLFLVEEGSVTPPSSLYVSNPILQSL -LTRTQFLGTKSKHVYLSGLKGSWMVESKSDVCHGLWGDIFDDGMSSLFRHYNKGEETKRKEMDAVTLSHS -GLSWSAYLELKDMVQEKRRIKRESVESFDVYDCPLDEIKLQLFRSVPQVETVYDKIVALDGTTSPLPYLD -EAWCQHTKFWQRLVEEVNIGRYSARGDWNRFHVQRIEPYDAWLWVHGTGPDSHQFFYLIMRLREPPSWGD -DWENCGDGWWASKVIQSLKTDKISQMLNIFEKLMSLRRYWDLTLGEARAKEHFTVSFLTAFDAKQATIDI -LSLFRYVYMELCKERLNRDPFKVLSKVPVFHRTRFQSWVIAKLVKLCGYEHGSLEEDETGAVSFRNLKSW -VDEGPVPDFRSILSLSYMHYATSHPVSSGLHGKVSICGKLLAEELKLPSDCSQIGWTSPGVDQIGPHGFS -VSSVKEMAKFAARRIKRFYPDFTSFYREYTQRLSDYKFSDFATFKKSTRIDDEDPGRRGYCFEEVQRFMT -RTSVPVGLTDTSPYELWGNLWSDQVGNPRNRNVSIFVKDQQTGLREIFVLPITLRMLVKSMEIFSRIVNL -ALPNETLSMPTRKTELIRHHSNKTTVNRMDLAKKASYENEDSDGKWKVVTLRYASSSDAKTWCQQFCMPN -FGCYLWCMLKEAYGDESLPLRKYLMSILNEMTQKHIHVDGRVKQWFSLNSNRTSNTQPFLDLRDTLANQN -GLLAKDGGIINRSNMMQGIPHETSSSLHASYLLVCSAYLKGLVRTMSDKANPDHVRLGQAEVTTLVSSDD -SGLLFSLPIMVKTDVSGEIIRESSLELSNIRRILSAFGLAIEESKPLFGARVSYEKSTIFAETPVFEFNS -KFYVGTSVNTAEIKFMTSQLTLGFHSDIRSRVNEALSSLSVLMGEGLNQHFLEIQQALLQRMHLRFLYGP -GLSAENHSRLAKLQSPALGWVPLLPMGLIGFFNLELVSDYLMVVNSPLSSLFATYCHRPGWEIDNAFSLY -LKLSSRHKTICQEFNVTRPLLLEEIRGLALGTRSYFKGSLPELLKIRLKLINPGASISMSFTDLSKIHMA -SCYAATTKCITVRFGSEEKMSLLECMSMVETKMEELRREPLALSVNPQIHRMCELLRSGMVMERVGGNKR -SHYSLKIFPSGLDADMPLRAILCKSWELGFGSKSREILDWAQSFEPRLREDLDETLGLFSGDVFELDAVL -YSLEEKSKMVHSLMPNPGSRELSAYFTTYLKYCWGPKTSMICSTSATAQPEFLGAETTQREEHAIKVMEL -AAQTNGGLSCYRYHYNLLFKNEIAKWSTPLDTHSIRRFADVICAMSPKLEDQELDLTKLLMTTERSMYRT -PGDYYKKQAGYWYHVVVDENQHLVERERGAPILVCPTYDQIVATDETFRIRCRDLNLIVDPLQGTIELIF -VQGRYRLRKMNRIWTRNLGAFFVPDYISNLPRMRKVEKSTVVNILKDVLYSNEITRTWEYWQNLERVSLS -NQYGVDERKSASTILTYVKSQIKTEPKTEETRMEQLTRLLWHNDDELEEDLVVVANPAPLDIDYLSLLGE -MAEVVAEGVSALNEGELLIPDPLTEFEAMIDAEPDYGYYEIAEALEFHLGDEGEVSQSLQQRRPPNLWES -VRRNYIRAFYTHQKASQGIFSRLSAGSSNTEVVTLNLDTGQLPAITDEGRRSKPTEFLDSERWLVTLEQR -WSFPTDHPPREWLINHINTRDVWQVSNPYQGDTEEFWNYERTTRELNGYGELEEASPIKPRWNDYV ->APG79326.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 6] -MLNLNLRLLKGKVQTHKLFTQSIDFLNVSSTIELAQSNLPPINVIADNASSRVECHIDSVINPESISEAS -SQRDSQAGIDILKIVKRDLLDLPHEVVSHYLFGQRSGMCLSMSSAFTQVLSQKPDFFKEDLVAKTLFIVE -LKTIRGDNTVPSFNEAINQYSFICDYLKPDWKVILSAIVVGPRVMSFSEGLHIMNTDDLSRCLRIAQYIQ -NRAYDLKVIDPPRKESRGALHQLNLLQTSENRLIITEEMLNTWENHQIDLTVWSKPFPALEEPKIPTWGE -TLPNDSLFKIPLIIPSHESCELASNQAFPHIVQMLIQKYSATKGKRTRLPGYLDDYIMVEPEQTERGLWA -TLIDNQERDSSCFRPSFLTAKPGMIYSSIHAEVMAERLKVKRKADNEINFVPSDINLTWLNDILFNKEEG -VLLDLIKPVLHKMEGSNAAHLPTDVETWVDPWQSESWSVAIRFYQRIVEEINNNIYSSIGEWDSFRVCKV -GPYPAWLFIHGTGPDSHQFYFLVTKGKILYDSCHLREIDGWVYTPLILSMNTNRISQHIAIYEQLASLRS -FWEDLFGANNRSKSHFVMSLLISQEGKQKTIDLCSLFRYVYMELAKPRRTRNASYILAKVDLPIRTRVQS -LILSRLIKLTQTDSELPYDVEDEYTFLSSLPSWVDDQPLNSLEAALCLSYMHYAVPHQNTSGLFPVVQSM -GKLLREEAKLPEDKTFIGWADKEPLELKDHEFSLSAILDLGQVVFSVVEQKYRDFDELMDEWMLRLGQTT -WADFATFHKSTNSDPSSPPHIRTYCFQEVLKLMELDDKLSPYQMLDKIWEKVNQNLDQVTRVSIFQKDQR -VGKREIFVLTMPMRILIKSVEILARLINETLSNEALADPSLKKRIMSEHSRKVRLAILEDSKDKSGVEYA -VVRCNSSNDAKTWCQQFCMPAFGSFWFSILRNYKQGTNKTVINHIMSTLNLITMKKIYLDDRTVNWMKTQ -SQDVISTTNSFLSLRDCILKYEDHHIDNLSNMMQGIAHETSSCLHASYLIKQTRIMKYQLNEVFEGLVGS -YVVKPVITTAVSSDDSGILSSLVMRFPRGCKRKKEICAIATRLLELQHNRVCQGMVLFSARPSIEKSTIG -ILTSLFEFNSKFQLGRSVYHATIKQVTAGFSMGYTLSYAGRVSSALSALASAASQGVTQKVLFDMQGYMN -RFLCRMCVQDPSIKIICNWEVTQSPYLSWLPLVPIGLVGFFNLQALSDYANHIGFYNTWYEVKERLDSES -FETVSLKLGSDSRYKSTLKKLNLSREQLKLKIADCQLEYLEREVNPRILIDAKLLCPGARVAMAFVNLAK -IHRSSCYSSQTSCLSLISDNERSKISFLELSEQVLNHHQSLDMHSCQLRSVHPIIIELQDILSNLIDVPL -QRKGRCESSFKISIDPEVQPLNVRKDVLCAWRGKSTIRQITLFNKLQSLGSRLKPTLEETLHQHGSDIFE -VDKTLMSYSNHYLSLNLLTGTHDKSSFKSFIESYYRVSWSAKMSKGVKWASVKNEAKQTIPPLLQKAVKE -TLEVYAVSAWSYPCLLKSIKSLGKQRARAEKDIPPNTYVSSLLGVLGEKKKTRDAIASVPIKHIIASWTD -EIRIGSKGYKTLKCGSAIYDYSTNTCSYNGDFPRSKMNWKYEKLDDDITIPLDLINLFINQDLKCIEFYV -GTLDDFQTLSRLNLMRPSAKSLQEWSLAGVEMLIKTHNIIMSDMDKHIVKNLIDCLNSDRLSYIEPWDEL -LSLMKAPRTNPLIRVLIANLVEAVGIDDHNASPGACVVSISASIKPEQDEDFDVEKFLSGEMDSTDPLLM -DIAEDLRNLVAENIRQGLENPEVDNVSKKTEDNQGIIDIKEYMLDDFS diff --git a/seq/clusters_seq/cluster_75 b/seq/clusters_seq/cluster_75 deleted file mode 100644 index 6678db4..0000000 --- a/seq/clusters_seq/cluster_75 +++ /dev/null @@ -1,385 +0,0 @@ ->YP_009345131.1 hypothetical protein [Hubei myriapoda virus 9] -MFYIELLEKALVTGSDTGVVMGFNPFNGGYKHFIRSLPEKCLMLDKSSWDWTVPTWLLSDFYQLLSNLGF -GGCKRFHRWRQLHGIDHGFIPAMVEGEDCDLPAGIQVTGNFLTYIGNSVMQLLLHFMAEDLLHEQPGRFY -CGGDDSIQSVPKNYDGYLEFFQSVGLKVRGGISDHWEFLGWKFSKERKPVPLYSQKHLFNQQQLDELIAP -DLLSSYQLLYTWEPIMLNHIKSALSWIKPEFVRSDWYLQCMWEGL - ->YP_009342461.1 hypothetical protein [Wuhan heteroptera virus 2] -MDFDVRVGRALKECQPDSVTGLGYFRNYQTIGDAFGHECGFYTNAHNIAILVNIVREKIRFLDSGGQYGH -GPDDAKVFIKFEATKQSKVQEQRYRLIWSLSVEDQIVDRLLFGHAFRAEVNHFTETTSKTGWSPLPGGYS -YFNSTFNGHVLATDASAFDWTVQNWMVAMLYDTLMDRTRGNTESYKRMAFNRIQQVLGPECVVRLPNGRR -FRQRVFGVMKSGWLLTLMANTNVMLASSLCAWARAAPAYFEATPDGLYPDMPLSWGMGDDVIMKWDPTLS -PEPYVRELNRTGLKVKQYSHEREFAGFRIQPSSGDQQPTVTPIYTAKHMTMIAYTPRERLEEMAIAYGLI -YALSDSASPQMKKFIDDYSPYGSSYFEHWARGQPLPGNDGPRVRMQLDNAYALARTQDQ - ->YP_009342451.1 hypothetical protein 2 [Wuhan house centipede virus 4] -MLATQKSGWSPLPGGYQRLLAEFPANESLAVDKALWDWTLPSWVIYIYVVLKFYQMKDSAEVDSGLYWRL -VWARLRHVLGPCTRFEMPNGLRWRQQFWGVMKSGCYLTLSLNSLAQEAQHVLAWLRCFGGESIPLLWAMG -DDTLVRASLTDEEKQLYWDALESTGCLVKKMEYSREFCGFLFNSEDDVRPLYPDKHRFIMKFVRPETEQD -TMYAFELLYALSKDRWVDCLRPHQQFVLGPAARLWARGLIKLKVLARDPDWVKLD - ->YP_009342307.1 hypothetical protein 2 [Wuhan arthropod virus 4] -MVDRVLFGWLAQAALSNVGKTPCAVGWAPVKSGWRVVRHRFPKSICLDKSAWDWTVQPWLITAYHRFVNE -LALEAPDWWRKAVHSRFTLLFRAAKFQFRNGTVIAQPEVGVMKSGCYLTLLLNSVGQVLAHIAAKLRLGE -DPYESLPFAMGDDTVQSPRGIHDLESYVRELEKLGCKVKGAVVRPDVEFAGFQMTDRRCVPAYRKKHLYK -LEYATDLPAYLRSMQLLYGHDHTMFSFFHNVAARKCPEAAISTSTAMMFMG - ->YP_009337877.1 hypothetical protein 2 [Hubei mosquito virus 2] -MKSTPGYCMLTYYGSNNAQIFGWDGVACDPDRVSMVRQHVKLRFDQLLAGNPISDDVKVFVKQEPHKLKK -IQDGTYRLISAVSLIDTFIDRILFAWIARAQLDTVGKTPCLVGWSPVRGGWRAIQNRFANSPVVCLDRSA -WDWTVQGYLVDLWILFLENLPVNPPEWWVSMMKLRFKLLFEDVWFKFEDGTRVKQQTRGVMKSGCYLTII -LNSLSQSLLHYVANSRCGRPMAERQPYSIGDDTVQEAFPWLEDYVKQLEILGVTVKGAKVQNWVEFAGFC -FDGRTCYPAYWQKFLFNLSHTRRLGETLLSYQYLYVNEPVMYEFICRVARELGPQFVLPKLEALDIMNQP -H - ->YP_009337843.1 hypothetical protein 2 [Sanxia water strider virus 10] -MQELLSGKEVADDIHVFVKQEPHKRAKLDEGRYRLISAVSAVDTMVDRILFGPLQAKVLETVGQTPTMIG -WTPLQGGYRHFRRMLAKRVMCADKSSWDWTVPGWLVDFWEDFVLSLCVDAPEWWQRLVRMRFRMLFSEAV -FQFADGTRVKQPVKGVMKSGCLLTILLNSISQVIIHVIVQLLLGNDPMEGLPYNIGDDTTQAFLEYFREY -FAEIEKLGIRVKEVKIQDWVEFAGFYIGCSETWPVYWEKHLYSVAHQEDKLLPQTLFMYQMLYAAQPSML -SFIRKHLKELDPTLCKPLWVLRGYVDGTLPLHITSNWI - ->YP_009337782.1 hypothetical protein 2 [Beihai sobemo-like virus 13] -MLDDLETFTDWINQQNRETMRMDPDSSPGIPWHSAGSTNGEIFDYVPGFGYNKNRLEELRLAVKQRLVEL -EVGSALDPISLFIKQEYHKQKKADEERWRLISSVGLTDQIVARMLFEPFFDYVLARPMQFRMAIGWGLTA -PGSLTFMNAHIGPDPVEAADKSAWDWTVQPWLFDVFYNICLALFDSRDDRFKTIMRHHLRAICYDKVFDI -NGRRYRQELPGIMPSGWFMTILFNSVSQLILHAYADDGDEFAWPLVMGDDTIQPQASPQYWDRIRKTGCI -LKELSNERDFCGFNFSPEAYLPVYGLKYDFAIHHIEPSVLKETLASFQWLYAFVPQQLSSIQRYIREIGY -ADLVVRPQDMQRRVLGLPVRMPRL - ->YP_009337747.1 hypothetical protein 2 [Wenling sobemo-like virus 1] -MSTLVSIVKDLVDVENPVDYPTLDWFNHVLLHDVTPSSSTGLPWKKRGMDKGSSFVEFGEIKENAIHLYD -AVVSRVLALSEGVESDPISCFIKPEPHKPSKVKDGAWRIISGVGITDCFVDRMLFGNFLNGSIEKARTLD -NPLLPGFVPYFGGYRDLARKFVRPETADKSSWDWTVQGWMVTFLRRFFEAVTDSKWHGILNNRLTALFQD -AELDFEGYRFRQNCDGIMKSGCLGTIIFNSLLQLAIHVLSCMRSGEDLKPFYAVGDDTIQETCSEEYWDE -VKKCGAIVKERIGGWPAEFIGIYFNDTVGLPMYATKNFFRLLFAEAELLDDILAGYMRLYANSPLFTILN -ELAIRKGVTLSKDDCLTWFNG - ->YP_009337376.1 hypothetical protein 2 [Wenzhou sobemo-like virus 4] -MPANGLHVPDTFGELPYGIPQVDACSAKRSFRAHCQKQKQTDAPPTAEERTRAVQTALRSYSAWRFDLTS -AADPNAWFDSEFNKALKEIDMNSTPGYCMLTNLGSNNAQIFGWDGLTFDPDRVSLVRQHVKLRFDELLYG -NAVCDDIKVFVKQEPHKLKKIQDGTYRLISAVSLIDTLIDRILFAWIARAQLSTVGETPCLIGWSPVRGG -WRLITNKFANEPVNCLDRSAWDWTVQGYLVDLWILFLENLPVNPPEWWLKMMKLRFKLLFEDAVFRFEDG -TRVRQGTKGVMKSGCYLTILLNSLSQSLLHYIANKRCGRPMALKQPFSIGDDTVQEAMSWLPEYVRQLEI -LGITVKGAKVQHWVEFAGFCFDGKTCYPAYWQKHLFNLKHTQRLGETLMSYQYLYVNEPVMYEFVCRVAR -ELGPRNVLPRIEALDIMNQPR - ->YP_009337354.1 hypothetical protein 3 [Wenzhou sobemo-like virus 3] -MFKAAVMDLDMNSVPGQCEMSRFGSTNGEALGWDADNYSFDYERYHYFKQLVKTRLLDVIKAPVSDEIKI -FVKQEPHKKAKVDEGRFRLISAVSLVDSMVDRMLFMRITHRVVPNYVNTGVMIGWNPSAGGFRYLSALFP -PGTPLLMADRSSWDWTVQYDMIVAAKEVIQRLAVGAPAWWSAAVEHRFIALFENPVFRFSDGERIMQQVP -GIMKSGCYLTILLNSLIQLLLHYELSDNPNIVVLGDDTLQEDEDDEYLEKLRDFGITLKVHRGERIEFAG -FYVDEKGYYPAYEDKHVFQLEHMCIDDPEKLGATLENYQILYACVPAKLKVLRSMVEEYGLYENYISDGR -LRGLKHG - ->YP_009337335.1 hypothetical protein 2 [Wenzhou sobemo-like virus 2] -MRTRIKIKSDVNTFVREEFIRALQDIDPNSSPGCCEMKVYGTTNRECLGIQGGPEGRYMDPVRVEALYRM -VIGRIQNLFEGNYVSDNLLTFVKDEPTKLSKIETKRWRLISGVSLVDTMVDRILLQFLFEQYMDRLGTTP -VAIGWNPYTSAYLFSIVMTPSEPKKVHPQYVAMDKSCWDWTVQTWLLDAIMQVFIGAVVEPPDWWVYLLK -TRFYCLFEAPTFEFQDGFTIKQKEPGIMKSGCYMTLMANSIAQVLLDSLCAQAAKIDVHYPFWCCGDDTI -QMLPSDFRQLVDYINAMERYSYNLKVSYHDEAEFVGSIMTRKGPVPAYQAKHCWLLQRLTLKPEDQIATL -RSYQIMYANEPEVLAKIRGLIDKWNIPEAYITAEELRELQQS - ->YP_009337145.1 hypothetical protein 2 [Beihai sobemo-like virus 12] -MLEDVTTFTSWINNINRETMRMDPDSSPGLPWGGTNGDRFGYVPCAGYDPNKLDELRMAVLIRIEELKVA -PALDPIFVFIKQEMHKKSKAVEGRWRLICGVGLTDQIVARILFEPYFDFLLDHPLIYKTAIGWGLTSHGS -LSYMNFFLGGGQLQAADKSAWDWTVQPWVFEVFKEIMHKMHIGREDLWHQLMEHHIDAICYHKVLDIVGR -KLDCPPGIMPSGWFMTIAFNSVAQLILHAYADDNNEFDWPFVMGDDTIQKPASDRYWKRMTTTGAKIKDI -VTTREFVGFVFKDRSYHPSYTVKYNCKMNNIPPEVLVETLTSYQWLYAFEPEKLKIIHRYMCEIGASSHI -VTSSEMADRVLGLKSLPVGSSW - ->YP_009336997.1 hypothetical protein 2 [Beihai sobemo-like virus 18] -MLKISGEAADQDIEVFDASPVMSRMTPAQRRDKYKMMADCEDVVVPMLQNVCSEDVPPDYLSFVAPNKPL -VDEIRSQLGIEFPLLTSDESFGTLISTKTSSGHVLNPDSSSGHYWAKYGANNGEVFGWSPEKARYTGENR -LSDANMIALFREKVVSRMVELYFMPVSDVIKVFIKHEPHKPEKVKQGKWRLIMGVCPTDQICAHLLFDTV -LAIFESIPLLSGTAIGWSPMMEGGVSLYRAYVGDYNMVTADRSSWDWTVQFWLALTFISLMLYLCNTRSL -LRQRIITNHILSMFGSRKFNVGASTFYMHILGVLLSGWKLTAFCNSLMQIICHHLACLAVGFQLPPPICM -GDDTLQNDPITYMRLFSNVLDDVDPVAVDREYWKFLSSTGAILKMVDRFEDYSEFCGFRFIPGECYPVYD -VKHAVALHNVPSEFLHSTLRSYLWLYCYDKEKYEALERWLRQTGGSTSFTRLKILSQINGVAPPCIRPLT -WA - ->YP_009336972.1 hypothetical protein 2 [Beihai sobemo-like virus 23] -MDDAAKRQSLCYHGRRNYQVSMNSIHPNDSLEIVDILEEAYTKCRFKVPKFNFSWFYNEVLHTVEFSSSP -GYPMNEKYSTNAELFGWDGENLDVDKVYEVYKKVKQRLINLLHGPEADPINLFIKDEPHKKSKEEVGAWR -LISGASIIDVMVDRYLFGTLSDMMINKCYDIPNKAGWSPVSGGYKWMYSVLGNSLKMMADKSSWDWTMQG -WLVPVFICLTQRLCLNTTESWMKQLQNRFAAVFLFARFLNGKEIYYQKVPGIMKSGFLGTIMYNSIAQVA -LHIATKLSLTGVVDSDGENYILPFAMGDDTIQLPENKEYLEKLPKFGCIIKEVAFSQVCEFAGYKFTNKY -CLPCYEDKHKFCLAHLEDSYTEALESYMYLYSFDPDMYQILREKLMEADPSRIKSRPYLVRWFNGY - ->YP_009336891.1 hypothetical protein 2 [Sanxia sobemo-like virus 2] -MDVDLSSIPGWCVLKDLGTTNREVFKVDEEGRYNLDRVKMVIEIVKKRWMEIKESDPIYAFVKPEPHKRE -KLNSGRLRLISGISLIDSLIDRLLFMKWTLKTRQMLTKTPIAVGWTPLDAVKFHHMMGGMHEQYLDVDKS -AWDWSLKPWILDMVKRVLCGLVVAPTWWHQRVNKRFELLFGNPIWKFQDSSMIQQKQPGIMKSGCYMTIW -INSIGQLILHQLAQSRCGVQMHVPMVVGDDTTQPYYGEDVDAYLQETRNLGFVIKPSIGRIAEFCGFRFD -GFKSLPAYRSKHQFLLRHLTLDDEIATQTIQSYQLLYYNDKYALQQIRTLAIQRGLLKAVVPDHVLWLII -DGLQT - ->YP_009336869.1 hypothetical protein 3 [Sanxia sobemo-like virus 1] -MEEHFDQLFYHCVRDLTWTSVPGHCELAKYGSTNAQVFDLAEDGTLDESRFELVKQVVYLRLQVLQDKPV -ADPIKLFIKSEFHKREKILNSRFRLISSVSLIDGLVDRMLFIIFAKKLTGNFANTGICIGYNPQKGGHRF -MHNTFPKHTEKLLVDQTAFDWTYKPWMANVLKNVINDLNMDPHQWWTRAVDNRFKALFDKPKFVFSDGER -IDQPIEGVMKSGCYLTIIANSIAVLSIHYLSSLRSNNPTDGIILVQGDDAVQDLPLYLEEYKEAVKTCGV -LPKFKTSKSIEFAGFRYENDIFVPEYRQKHLVALKHLTSDRLDAEMTLNSYLRMYTYEPTMLAYIRSLIA -KRNLPKAHLSDRQLKWFDA - ->YP_009336847.1 hypothetical protein 2 [Beihai sobemo-like virus 21] -MDEVTSRTLAPHRKDVEYPKRLDTLDQACSRGLLRDNVESGGTHTMGRRSEEETGKIARKLQQSERNAST -SCVIRPNSGVLVRGNGKTARTDNGDQRTLSATICDNRRRRCGPGRLRRGNGRSSARRPVRNSEKPNPTTV -DSTIGEGADIEISNEAPFRCPDCETDCRKITDYTTRCPSCDKQWRRLGGRCGCRELFGVPGCLTSYRGFH -RKIKFDFSSYVPATCPLRQGAETCECFKSIGDPAGFQWPDPERPTNPIAESICYHSGVFLRNYKPDVRPT -RDELDQVCKDLWKKFSIFASPRKPVRDCLAGKEQTYLQQEVSKPLDDGGKTRLGATSRLGQVDQQTSFPE -SEPLDGYLPTGILPDQTELESSIYSRPMGGLSSEGESGSTTGELENSTGENPTTGGFPQGICSSTPGSKA -EEKEREAGKCYPGCRTDHEQLVRGILPSFDDFTTLVNRQLDKNSTPGIPYNTIAPTNAEVFGWDGYKYTK -NLDMLYNDVFERMVDLLSGPASNPINLFLKPEPHKKSKCEAGAWRIIWGCSCVDQMVALVLWYPNVDKIV -NQWGMSPSMIGWTPQRGGHKWLSELFNARHESVQCADKSAWDWTVQFWVCVAVSYVLVNLMVGASEAQRV -IAHNHCMAMLGYQTVQFGKKKLKFQIPGRLPSGWFWTIFFNCAGQASVDSLASQRVGEVPDPKLKAMGDD -TAQTVRSDAYWKAVNQLCIVKQIDTFKPGDEFEFCGTLMNHERGTPAKRGSHAFRLHFLRDECAAEGLVS -YALLYAHDPAAFATISALQCEIGRYDTLITRDAAVAWYSGTALGIG - ->YP_009336746.1 hypothetical protein 2 [Beihai sobemo-like virus 22] -MDWNSSPGVELEKYGSTNRQVWQVENGVPDPQQKLLMWKIIQERIKELQIEPTLGPVKLFPKYEPHKISK -IRENRERLIFGVGAVDNIIAAMFFRNWTAALVEKWREIPIKVGYSPSQGGYYDLFSYVSRLTNKFTAADK -SQWDWSCQEWEVDVARQVLQHLGDFEDGTIADNIIRAHFGPATLVLGKAEIEKKGYGVMFSGTYFTLTFN -SLFQLILHEAACVMIGREYAAPACIGDDTVQPRCEDEQYWNAIRGFGHKLKDIVHTTDEFEFAGHRVTNK -GAWPVYLGKHRAQLQFLTDEPEIRMMTLASYQRLYACDADRLKTFTMALRGSQYYSSSQEIRNWYHGWES -AANQETAW - ->YP_009336717.1 hypothetical protein 2 [Beihai sobemo-like virus 20] -MDMVVDPTTSPGLPWTSIGTTNAQVFGYQEGIGFRGQQVEILYKEVILRLQQLREGPKMNDINLFIKPEP -HKPKKVEQGAWRLIHGVSITDQMVAEIVMGELMDLVYKCPGRYGPMIGWSPFTTEGIPYFNFFVGNGPKQ -SADKSSWDWTVQDWLAEVFIDLICYIQDDLNETCSTQLRNHMRAILGRKVIVGKGQRFTMDGGLPSGWKA -TIFANSVMQEIIHHVAEKRSGCYGKPPITMGDDTLQEPMPPAYWEALATTGCILKEVVTHQDRPYEFCGF -HFGSREYEPSYKQKHAFLVRHIERENFEATIQSYQILYLFDERRLNALRRWCELEGFAHCKIDVSDRKYV -VRGTPE - ->YP_009336705.1 hypothetical protein 2 [Beihai sobemo-like virus 16] -MFRPIMRSVQADCLSNGIAIGWAPNLPGGLRTLWSHIRNKDLCTDKSSFDWTVQPWMMEFVAELFSSLVD -PANSTVVYNHCCSMLGAKTFTAPGVSFHHPHDGVLPSGWKLTILVNSILQLSFHELAGGIGSIIAMGDDT -IQDRVDNTPAYMEALSRLGPLIKVATIGHEFCGMEFTAEKYLPVYLEKHSYALRHLKASVAVETLASYQY -LYAYDNARLAAIQDWMRQLGSPDLVKPRLQLQFEPRGWSIPISY - ->YP_009336666.1 hypothetical protein [Beihai sobemo-like virus 19] -MWRLISGVSVRDQVVAHYLFGDMMEVLIEHPGLYHTMIGWSPFQRAGQRYFHHLVKFPAQSSDKSSWDWT -LQPWAVDIFKELMLWLHDHRKPWTQKVMENHIEAMLGQKTFFLRGEVRKQQDRGLMPSGWKMTLAGNSIF -QIILHVLAALRAGVTVSLPMTMGDDTVGEECPPEYWEQYKRLGAIVKEVSQPSNTEIDFCGMIFHKDGTF -VPAYKEKHAFLVRRIKSDVLKETLMSYQLLYVYDQPRFDAIQRWMRSIGHGSMNFEREQLIRHLQGLRTK -RRFVGLSRLDIR - ->YP_009336640.1 hypothetical protein [Beihai sobemo-like virus 15] -MSGLEGFRAFISENHDGRHVLEPTSTPGYPWVQLGNSNAECFGYVPGLGYVGDKVADLYQAVRQRLYTLE -TTPKIDNIFLFIKQEPHKPAKAQQRRWRLISGIGATDQLIAEMLFRPFLDEMTNNPCRYGMAVGWAPVLE -NAIPFIMSHLSGLTVCADKSAWDWTVQPWLCDYMKSFLSKLCPGVSTVVQNHVEAVMGKKTFQWPGGKYH -WDKRGVFCSGWKLTITGNSIMQHACHRMAGGTGNMQANGDDTCQAEEPPEYWENLRKLGPIIKEIRRDGT -IEFCGLEITPDGYYPMYGAKHTFVLTHLKPKIAREVLLSYQYLYPFEPEKLAAIQAWIASLGFEKDLTPP -EVLRGVPLGVI - ->YP_009336602.1 hypothetical protein 2 [Shahe sobemo-like virus 1] -MFDWPNRNKAAVDESVRQHVKHRDDVADKTVAPTREEYSEILEMTLKAFGRGWSLFNPDEISVIRIREII -EHQVDKNSSPGYPYCLKTKTNKDFFGFDALGRVPDDRLAMVCGLVADRIDELATRPASDPIRVFIKEEPA -SINKRSTGALRLISSVSVVDTIVHRLFFGGVFDKLVKHWGATPNMAGWSLGGGKWRFFKKHFKGKAFMAD -KKKWDWSMQPWLANLTRDVLLNLGRFEGRERVIADNALRALYGMKFEGKNYPTTLVSACTRCGACSFYEQ -RTSGLQKSGWLGTIITNGMQQYALAALAKRRLGRSMGPIFTMGDDTIEEDVDDVEAPIYVSELEKGGCIV -GEYKSTEDYDFAGFTFPNGGGRPVPNYGDKHAFTLAYANDKEGVFADEQSRVASYITMYYNDKASYRKLL -QYAAVLEVDQVPSDFELMRWYG - ->YP_009337273.1 hypothetical protein 2 [Shuangao insect virus 9] -MRMLALEAGDLQADHIRVFVKPEPHKQAKLEEGRLRLISAVSLVDTCVDRILFTWCLEAALDNFLTTPSM -IGWTPIYGSWRQLWALYGGSALALDKSAWDWTVQPWLIDAIRDVIKELAIDAPPWWQALVDRRFELLFQS -PVFEFQDGTTVKQMGKGIMKSGCYLTIIANTLGQVITHFVACQQTGDNPVLSVPHALGDDTIQRGDRIND -IGLYCAALEQLGCKVKGGQVATDVVEFVGFEFREHSCVPAYREKHFYKQEFTPDLGGFLYAMQLLYAHDP -AFYAYYRRLAAEKVPSRVTSAIEAKGFMA - ->YP_009333478.1 hypothetical protein 2 [Beihai razor shell virus 3] -MPEGWQRPPKGKYARDAMLGSLEWHLEQNQRARSQCQSVPTSALSDIGGRVAATYCKQQELCRNLCQQGC -WLQSASGEPIAVRKGSRGSQRDGAQHQPVGQSFFSSEAEDGCDEGVLQFLGFTGSGQRIGPDQRFAEING -AIACEIEHHECCKTETEERECCQQVEAGRALDTERVTRLDDYSLGFRSLVSDVARSWGASGVDDAGVAAT -IDEIVATYPCLRDAAVFGRFLGSRFGNERVLNPLSTPGVEWRLFGADNQTVFGYDAFFGEYNGVHVEDLR -CAVIEKILRLIDGPHLDRIHTFLKDEPHKPEKVAEGRWRIISGVSATDQIACHLLMGEVLSSFSATPLTS -GTAIGWNVMMHGGLSSIFSLLPFGETGKLVSADRKSWDWTVQKLAVVLFTAFALLLLDYKTQWERKAIVN -HICSIFAIRRFDCQGRVVRFDNFGVLLSGWKFTALFNSVVQHLYHMLACSMLSLNGRLPLSMGDDTVQEE -MPQSYWDHLATFGVILKPTETFDEGFEFCGAHFKVNSFSPVYLPKHAYNLRDVSPENLPALLISYRYLYM -FDERRFNALNRWACEMGFDSLDRSELIFKVLGVSEMSEVMV - ->YP_009333455.1 hypothetical protein 2 [Beihai shrimp virus 4] -MQRSHAKLGARVARATGNWTERYLDFITPNLPTTSGGTERSQWSPGQEHQDQHARPELQSQQEQTVPVCD -VQNPTGRGQSAQAQMPQDWDRTIYDVRTNNLTDVDIDGGTAMKTKLRSGLDWKIEDLGIEGFRALMKKHV -DPTTSPGHPWKELADTNGQLFGYDPILGFVGEQVETLYEAVKIRLRELAEKPFIDDINLFIKDEPHKAKK -LENEAYRLISGVGITDQMVSTIMFEELLEEVISKPGEYSTMIGWGIHCEEGLLSMNRAVRSRFGQNLASA -DKSSWDWTAQPWTCSLLRRHLMWLHRHLDNSSLVQMSNHVLAMLGPKSVISSDYKVFDIIEGGMASGWKL -TILGNSWMQLILHHLAQLRAGTTGPPPLCMGDDTIQTTMPDEYWNELAATGCILKEVNNHLDGSPYEFCG -LHFGEEFYEPSYEGKHSFLLRFLEPELFRDVMISYQLLYLFDPVKLLALRRWLIISGLQDALIDVDAWQY -RLRGLSRWPLNPKPRIL - ->YP_009330128.1 hypothetical protein 2 [Hubei sobemo-like virus 14] -MVDTMVDRLLFQEWTANVLKKHMDLPLAMGWAPISGGYRQLLRRFPGKALMADKSSWDWTAQSWVFEALL -AVLFELGPDNDCWRRVARGRFRALFYEAVMQFSDGTLIQQDYTGVMKSGCFLTLAGNSVAQLLLTSLAKL -RLGWSDSGEFWALGDDTIEDVVPDVERYVKELQSCGCVIKEYSVSDVLEFVGFVFHRDSIPTPSYVDKHV -FQIEHIDDAVYEETLQQYLLLHLNSPLKPYLDRLAEREPAGTFMSDQKLKRIWLG - ->YP_009330098.1 hypothetical protein 3 [Hubei sobemo-like virus 13] -MNSVPGVCSLSRLGTTNAQVFGMDAEGRFDKSRLDLVYTAVKDRYLAVTQGKTESDPLKVFVKQEPHKVE -KLKDDRLRLIMSVSLVDALVDRILFMRLANQVIKKHTLTNILIGWSPLGGGYRLIDALFPGETISIDKKA -WDWSVPYWLLEAVKGVIKRLAVDAPQWWHDAVDTRFSCLFGDPEFVFPDGTRGRQEQPGIMKSGCYLTIL -INSIAQLVLHEMAKVSLGLGDEVEPVVVLGDDSLQRKFDRWQEYVDYITALGFRCEVEHHSDEPEFAGFR -YRDKFKPAYFQKHLFNLAHLTTDREVAQQTLQNYQLLYYFDEPMRRVITDMIRILNMPEAAADELRLRAL -AMG - ->YP_009330088.1 hypothetical protein 2 [Hubei sobemo-like virus 8] -MTSTPGCCILAKLGSTNAQIFKWDGVTMDPDRLAYVRAVVRQRTLSLLESPVADPLNVFVKPEPHSAKKM -EEGRYRLISAVSLVDTLVDRVLFGWLGRVSLDSVGRTPCLVGWSPISGGWRQLKALYRGEVLCLDKSAWD -WSVQGWMVDMWKTFVKELAFGHSDWWGKMVDQRFKLLFEDAIFQFKDGTQVFQGSPGIMKSGCYLTILLN -SVGQSFVHYLANLSLGREPTQNQPHTIGDDTVQGADIDFEVYVEAIKRLGFRVKGAHIRKNPEFAGFCFG -KTCWPAYWQKHLFAMAYAQDLGEMMHSYMPLYVNEPVMFNLLRRVAFELNPATVLTVGECREIMNGA - ->YP_009330054.1 hypothetical protein 2 [Beihai sobemo-like virus 14] -MVGYRGNRVEDLRLAVLLRLEELQEGKALNDVFSFIKQEPHKLAKAEQSMWRLISGLSCTDQLVATLLSE -ELMAYVVDHPMITNMGIGWGFAMEGGVSWLRNFMPSNPVAADKSSWDWTVQPWVYDCFLEIMLWLHDDFS -TCKQKILRNHILSVCEEKVFDTGGGRLKQPAPGIVASGWKWTILFNCFGQLFLHYYADPEGRFPPPLTMG -DDTIQEETDDEYWCQIKRTGAILKECQKEPIYCSMRLNDWGFVPENMGKYCYAFHHLDEELAVETLASYQ -WLFAYMPEQCAAIQRWLRLLGGAHFVTDMLYMQLYMEGRTNEW - ->YP_009330052.1 hypothetical protein 2 [Beihai sobemo-like virus 17] -MRDGTPMLDPKSCPGNPWVSLGSTNEVVFGYLPGFGYQGDRVNDLYHAVLNRFKQLETAPAMDPIFPFIK -QEPHKKTKLEEGRVRIISGVSATDQIVADLLFMPELQSQMQNTLYNGIAIGWAPNVPSGIVAFNEVTEGF -DTCADKSSWDWTVVLWMVNFACQFFVSRATGCHEVLKNHILAMLGPKKFKFRGGVFRTEHPGVMPSGWKV -TILLNSLWQKACHIMCGSPGKILSMGDDTIQEPVQDSYWDKMAKLGPKIKEIRKDGVKEFCGVTIDKNGY -YPVYTAKHSYVLHHLKPAVAIDTLRSYQTLYAYCPKQLSAIRRWLRELGRADAILPDDDLRKPPLGLG - ->YP_009330046.1 hypothetical protein 2 [Hubei sobemo-like virus 16] -MLDKAYRIIHGVSIIDNLVARLLFGNQFDNLISNWSVLPSKVGWTPGQGGYKLLAKKYPYSMSADKSAWD -WTMQPWCVDLMIAMLRNLGPQDEDYSRRVENHLVALFGIGAKFIAGNTVFTQRVQGIMKSGYLGTIHLNS -ILQTALHILAKIRLGQDVEPYPDSVGDDTIQRPEADPETYFRTLELGGCVIKQYETVKGVDFVGHRFNEQ -QCLPNYRSKHCYRLFHAEDQLVPETLEGYQYLYPHDTKFLKAIHSMMVDCDAVDRMRSPSYLRYWYDYAV - ->YP_009330010.1 hypothetical protein 2 [Hubei sobemo-like virus 10] -MAMTKDMSRYWREEFDKAMLEIDMDSSPGLCQFAQYGPTNAAVFKYKDGVWCKDREKEVRDVVFFRMQQL -LRGELVADDIKMFVKPEPHKPEKMAQGRFRLISAVSLVDALVDRILFGWLSRKALTVVGKTPCLTGWSPV -HGGWKYIEHRFSGKPVVCLDKSSWDWTVQEWLITAWFEFLKGLALGADSWWVELVSARFQMLFERPIYQF -ADGTRVQQKYVGIMKSGCFLTLILNSVGQSMLHYVASLRIGRNPIRNQPISVGDDTTQESFPELEEYINQ -ISRLGAKVKGFKVRHWVEFIGFAWIKGVCVPAYWQKHLFKLQYSHLEDVLQSYQILYANEPVMFELLRRL -AKEVNPELVMSSYEAKAIMNY - ->YP_009330007.1 hypothetical protein 2 [Hubei sobemo-like virus 5] -MVDRVLFGPWSAADTATAMERAGKSGWSLIPNGFYDLLAYFPDDVLATDCSAFDWTYPAWVIDPIIDVKL -EQMGSLPDGYATAVRTRITEVLGVNCTLRLPDGQRLRQLVPGIMKSGWFLTINLNSDAQDMLTTLAYRRA -YGSECPLLWAMGDDVLMRWPQGQDSAPLVQQLRRAGILSKFATPSREFSGFAVKRTGSDIAVNPLYPNKH -KYLLAHTSATDLEEVITSFGMIYALAEPDVKAWLEPLLRQYSRWPQSSFRAWAHGLLSHAPLLQTGDAAG -SFGLE - ->YP_009330005.1 hypothetical protein 2 [Hubei sobemo-like virus 7] -MVDRCLMHPFIQSEIRNPMDVVSKAGWAPLPAGYQRMIAEFPEKQAYAIDKKLWDWTMPGWVVLEYVLAK -LRQCREHSLLWEWMVWRRIYYSFGPGAVLAEPTGAIWRQTFWGLMKSGSLLTLSMNGASQYLEHALAWLR -MLDGGPISWPPRMWAMGDDTLARMNSEWVDGYLRELAKTGCIVKLAENSREFSGFRVEGDSVANAIVTPI -YPEKHKFLVKHVRAEDEHSVFLSFSLLYALARPGWLNNVIGRTDVTVGPMQRLWAKGMAKLELFDTLPEW -TRFWD - ->YP_009329994.1 hypothetical protein 2 [Hubei sobemo-like virus 6] -MPDWVVEAYFRARLAQCSNSDQRYEKLCWNRMMCVVGPGAVLRLPDGQRLRQTCVGFMKSGWLLTLSMNS -AAQFFQHVVAWRRSGYDLSSLPYMWAMGDDMLLRWEEGYKLEPYVAMLATTGCIVKHAVWSREFAGYAFK -GDGVSPLYPAKHKFTLSYVKEEVEQELLLSYHLLYALDPNSWLPAVREHCRFPVGRMFRMWAMGLIELEM -LPLMTRVGCDE - ->YP_009329992.1 hypothetical protein 2 [Hubei sobemo-like virus 11] -MLKNVVLERMHRLINGADVFDDIQVFIKQEPHKLRKIEEGRFRLISGVSFVDGIIDRIVFGWLADAAMNA -IGQTPALLGWNPLFGGDRLLFSKIQAPFFSLDKSLWDWTVPAWLVDLWLQFILGLYHDMPEWLELLIVKR -FNILFAKSRFRFPDGCVIEQKFSGIMKSGCFLTILLNSVGQYLVDRIVSYNLNIPTGEILCYGDDTIQEV -PDNVENYISAVRALGFLPKDPIVSNVAEFVGFVMDGRKVIPAYYKKHLYNLRHLDEKVAEATLENYQILY -ADSEELLPVIQAELTQRNPTRVIPRVALQRVVRG - ->YP_009329983.1 hypothetical protein 2 [Hubei sobemo-like virus 9] -MDSTPGNCQFKSLGSTNKDIFKYEAGICDPERVAMVRCAVKSRLDRLIAGYLEADTINVFVKPEPHTTAK -LTEGRLRLISAVSLVDTMIDRVLFGWVQRKALTVVGRTPCLCGWSPMVGGWRYIYGRFRNQPVLCLDKSS -WDWTVQEHVVIFWKRFLSELAVGHRNWWTIAASARFEVLFKLASFQFKDGTVVQQEGTGIMKSGCLLTLI -LNSVGQSYLHYLSMLRIGRNPLQHQPICVGDDTVQTSFEDIREYVYEMEKLGAVVKGVKIRNWVEFCGFA -FAKETCVPAYWQKHLFKLQYCKLEDSLASYQMIYANEPVMYDLLYRLAMQVNPELVITPVEAKLIMNG - ->YP_009329981.1 hypothetical protein [Beihai sobemo-like virus 24] -MDWDIYRKILEEIDPKKSPGYPFKAWGITTNKQILDSSKMLVQLYCMVRQRLDQLARGRVGGDDINLFIK -QEPHKKSKAAAKRWRLISGVGLVDNIVDRFLFGGLLEKVDYLAKRMKIPILAGWVPWGGGYRALANTIQE -PQSCDKSAWDWTMQGWIVQGFQQILRTIQCNPDWSLAIDARLATLFNASVFRVGERRFKQNVMGIMKSGF -LGTIVFNSIGQMLIHHLSLLRGKGKRLPYFVVGDDMVTEKQTPAYWSAVETSGCSLKEYQEKPGYPTEFM -GVKFSENVILPAYPDKNMFSLLIKEPEILKEALRCYQLLYSHHPLRSVVADLAKAYEVQLPESEVVSWVE -GWECA - ->YP_009329979.1 hypothetical protein 2 [Hubei sobemo-like virus 12] -MDFDQARVQDLHAVVFERIRQLEAGEYVCDPIKVFVKQEPHKAKKLTNEKYRLISAVSLVDTMIDRILGR -SFFESVLENNLEVPSAIGWSPNKGGYRALRAIFPKGEKVFTSDKSNWDWTVQKWLICAFFEVVNELCYTE -DKELYQRWYALLWKRCELLFNRAFFKFQDGTIVQQKLPGLQKSGCYWTIVLNTVGQDILHVAAGGVGRIL -GLGDDIAQEVEVDPEKYLERVQRYGCEVKEFSIDKVFDFGGYHYEGEIPTPVYHGKHVYQMVHAEVGDVA -LWDSYLGNYAGDEEWFEAIRKVARRVTGRIPKSRNYYLSLWKDE - ->YP_009330030.1 hypothetical protein 2 [Hubei sobemo-like virus 15] -MVSASTGRVEFSVDTQRQLFDAALEDLNMTSSVGKCQMDRYGATIGVALGYDPIAGCNQERVDFLYHLVS -ERLEEMRGGRLVSDPINLFVKQEPHKQSKIREGRYRLISAVSLVDTMIDRMIFGHIKQDAWQDSPVLIGW -TPRQGGYRWLWERLDGGKRLEIDKRAWDWSMQPWVVRGCLEVLEQLSLHHTDEWLKLARSRFEALFYRAR -FDGAGLTFDQAEPGIMKSGCFLTIFANSLSQLLLHFVAVRRSRLGNLIEAPFCMGDDTIQRCWASEDQVD -EYVRQLEEAGCVVGDCELLPAGSDFQFCGFRITKNKVVPAYTAKHCFVLQHLEDDTAVETLDSYQQDYVF -EPHIYGHIADGLLEIRPNALRSKGKMRRFLRGDE - ->NP_821130.1 polyprotein [Sclerophthora macrospora virus B] -MEPKTQVNYAEVVGGRYNRPAEVRAMRFLASNLYGAGIVFDATEGGAKCFTSPPPHPASLRFCSVRGMPA -LAVCQTQGWWASFVLKSLPFPARWLIFQFLNYCWEWVELQDRSAVFTATTWTLLPMAKRFMGPGLEYPEI -GEDWFDRIIDSPRYRGWEVVPQVSYLYQNVGNCCLSYSAHEKIVAFVTELYCRFSTYGIYLSARTLRQLS -HVHHIKYMVMLQLVRSVPVARALSTGDAWDLSLVFARFLEWERRWSLSLLDLIAPYLNFAWRDCVSPTLV -KTDVSLGMSCSKGTLVTFPSTLSLEFSQGLKFNVFEPSELCCEERHHPLLTVSMCLAYVLLWYLIIQLVI -ISADVVLDASKYICETSLHVCQRFATLLSSLLRWYRLKIDRSLVRDSRVVTSCSGEAPPVYRLVSKGPEA -VDSLEMSMRGSEIRTSVAIPGCLYLAVVHDSDVIFIGMAFRYKGYLVTAQHNILAMSSAPGKYYVLPFRP -GKDSEFCYLDQERMIELTSVMLHNDIVSEEFQGYDVAIIPIAAAAWSCLGVKSLLHADATWGINVTLYGL -EKTGKRKLQRSLGTIREDKESPLHSVYYNASTLRGWSGSPVLNGHKRVVALHCGTNGQVNRGLNFAFVRF -IIEIHEINTRESSTDSYEDEIEKSFRTRGGYLSRRQEELEVERRVDEGIAVYKRYIVSQSSRGIISFCDS -DYVDRQRATHTATTNVVEQVFDDNLESSYSPKCIQRCRTGEAEKSEDLSSAEQLFDYHDGDPFVASRVTT -RLSAPHYKGRPERYDEHVDLSRAKELGYDPEEYGMPRATDRTSAIKRSAASLSKSLEDAIRTSKFQKPSE -DLRSAAVSIMMDTLSSLRYPVDGSGVTRDKIASQLNSSAVGDGRSPGLPYASEFPTNRDLLSKFSVDELA -DLIYTKYREDRWEQPSINFLKIEPTKVEKLDQGLDRCVQAVGLDTQLYFRCHFGALADVASANYRKSPVM -QGWSPLKPGDGHYLYNVITRNSNRKILEYDGKAFEYVAHTAEAYSDVTDVIIGLSTPAVGANVDKVREWR -SEAQRFMMRVGESGYLLADGTLVSKRSPFVLSSGRWDTFLRNSLTGYYWLIIGLLDAGYTADDIKAKFLI -KVGGDDVILSVPSNFDTQTFTSALGRYGMKIHKVKLKPITDDFEFFSWKFSKNSRGLVQWTPTRFSKHLE -NFFNTKHEDRHQALVSHMMNWVHSEPHYNFFREIYMRGRESEPDIYELSKIPERRDLLFYLRGNESVIKD -WGSVGAFVSLASAAVLKNN - ->APB88808.1 ORF3 [Varroa destructor virus 3] -MFGMFENPSVRNDCCGKMHCMRDLWIPLRNTRKAVKNKLAGSFYDAETLSRVFSAEGFEHQFQYPMPNSV -DAPRYLGASLCYHARLALRSRSLLKPPTNKEMDLILDDVERVYGATRVLWHGVEEVDDDLMAEFMLKLDR -DASPGYPWKDEAPTSEVLFGLDAAHLPHNYTNVMRVKESVNARLRVLRKGVVEADNINVFIKQEPHKMKK -VDDGAWRLIQGVGLTDNLVDRLIFTHWFEALIEKHRSVPSKPGWAPIKGGFRWLANQFRRPMMADKSGWD -WTVQEWHVDFLKRFIPRMIVGVPEVLVNSRIDALLEECKWQLADTVIVQNAMGVQKSGCFGTIAFNSLWQ -FAVHALVCRRLGWSLERLGGFACLGDDTLQECVPNVPAYESEVAKTGAIVKTSEISDLVNPISEFAGFKF -DKETCLPAYGAKHAYILYHVSESEAPETLASYLLNYGMHEEISGFLRRELIRLGYPEFALSKDMLKHFWT -GLE ->APG75628.1 RdRp [Hubei mosquito virus 2] -MKSTPGYCVLTYYGSNNAQIFGWDGKDCDPDRVSIVRQHVKIRFDQLLMGNLISDDIKVFVKQEPHKLKK -IQDGTYRLISAVSLIDTLIDRILFAWIARAQLDTVGRTPCLVGWSPVRGGWRAMQNRFANSAVNCLDRSA -WDWTVQGYLVDLWILFLENLPINPPEWWLHMMKVRFKLLFEEVWFKFEDGTRVKQGTRGVMKSGCYLTIL -LNSLSQSLLHYIANDRCGQPMAKKQPYSIGDDTVQEALEWLEDYVRQLEILGVTVKGAKVQHWVEFAGFC -FDGKTCYPAYWQKFLYNLSHTERLGETLMSYQYLYVNEPVMYEFICRVARELGPQYVLPKLEALDIMNQP -K ->AOX15243.1 RNA-dependent RNA polymerase, partial [Baird Spence virus] -MAYNPATGFDPGAVQELRALVEARLLYLTDTEEAYADNISVFIKPEPHKQMKLDEGRLRLISAVSFVDTM -VDRVLFGWLAKRVLTTVGSTPVMVGWVPLFGQWRAFRERFSNKILCVDKSSWDWTVQAWMIDAFRLLIRR -LAIGAAPWWVRAFEKRFDLLFKDARFQFRDGTVVQQGEAGVMKSGCFLTIILNSLGQLLLHSVAILEMGV -PDPGMPFAMGDDTVQELGEIDVEWYTQTLKLLGASPKYEVLQDVEFAGFFMSRDTCEPVYRAKHLFRLEY -AAYLGEFCRSMQMLYANDEESYGLFAKVALNLAPDYY ->AMO03211.1 hypothetical protein 2, partial [Prestney Burn virus] -FASVVNTPFKTPVAIGWTPLGSGAAYFKAHFSGKTFDTDKKHWDWTFPFWLLEDCYSVLTNCHYAPKWWH -MLARTRFMALYSEAVFRFPDGSTLQQPYAGIQKSGCYLTLLLNSCGQWMLHVLAEAAIGCKVKSISFGDD -VTQESTEHDEKXXXXXRKLGFSLQTGEHDHPEFIGFHIYPKSAFLPAYRDKHVFLLSHLTEDEENAVATL -RSYQYLYWFDKSFLEFLKNIALARNLPQAILTDVQIEKVVYGR ->AII01812.1 hypothetical protein, partial [Ixodes scapularis associated virus 2] -MDSVPGHCELARLGSTNGQALRWNGVQCDPDRVRYFRALVLERVRQLESGLDSADDLKVFIKQEPHKLSK -IDEGRFRLISAVSVVDSMVDRMLFSRLQDLACSTACLTPSAVGWSPIRGGYRLVRARFPGRVLCADKSAW -DWTVSGWMVDAAKELLKRLCVNPSSRWSDLVDRRFEMLFKKAVFRVSDGSRFEQLDEGLMKSGCYLTILL -NTVMQVIAHYVASARSGESPGDILAMGDDTIQEVPRDLDRYLVELRGLGIFVKPKVVDVIDFAGFEFDMR -SCVPAYENKHRFQLEHLDEEHALETLMSYQLLYAHHPMLVEIQEMVRKREPALLRSK ->AII01797.1 hypothetical protein, partial [Ixodes scapularis associated virus 1] -MDRLQDLSKGKIVSDPIKLFVKQEPHKVSKIREGRLRLISAISLVDQVVDRVLFGRLQQRALETVGRTPC -MVGWSPASAGGYRTMRARLRGEVLCADKSAWDWTVVGWQTDLLLELILALAETDDAGWRTAVRTRFRALF -ENALFQFSDGQQVEQQCKGIMKSGWFLTILANSVWQVALHFAVQRERGLSPWDHVPYAMGDDTVQEVPDQ -LEDYLARLGHYCVLKPKVVQDIEFCGFEMAENWVRPCYQSKHRFILRHLDEATAAETLESYQYLYAFDET -GFRDQIRQVLQARDPTRLRSVRFLQRWVLQVPQNEPMFRPCYQSKHRFILRHLDEATAAETLESYQYLYA -SMRLVTPAGELPCVRVSRLSLRM ->AKH40291.1 orf2, partial [Motts Mill virus] -MTRFGTTIGEVLGFDGESFDPERVKILRMLVTDRINHLLSVKSLQELHLAADPIKLFIKQEPHKISKLIE -GRLRLISAVSMVDTMVDRMLFQELFASVVSSPFRTPVAIGWTPLGSGAAYFKAHFSGKTFDTDKKHWDWT -FPFWLLEDCYKVLTSCHYAPAWWHRLAHARFMALYSEAVFRFPDGSTLQQPYPGIQKSGCYLTLLLNSLG -QWMLHVLAEASIGCKVKCISFGDDVTQESTEFDESFADYYRKLGFSLQTGVHDDPEFIGFHIYPQSAFLP -SYRDKHVFLLSHLTRDEENAVATLRSYQYLYWFDKSFLEFLKNIALARNLPQAILPDEQIEKV diff --git a/seq/clusters_seq/cluster_750 b/seq/clusters_seq/cluster_750 deleted file mode 100644 index c2e7528..0000000 --- a/seq/clusters_seq/cluster_750 +++ /dev/null @@ -1,140 +0,0 @@ ->YP_010086262.1 replicase-associated polyprotein [Maize-associated pteridovirus] -MAIVKYSLMLIDSVMTHLSSGTEFCYLNMFDKTLYPDRYAEIQAVRMALLAKALRCGRTVGEHKHLLTGA -EIKSLVALVADGSKPPMTIRSFSVSYVEGVYIFHFLRNTGACVELSSLGDEDLFGASAPIGLFEPGGAPF -ENVREQMLERAVMNPDSRVFTYTALEFEERLRLADAQRAVLRTIKVRRSITPDQLATLRASFPGMNFEYC -PTTDGPHNIAAVFRMAETILLASSFPRHETVIDIGGCFFTHIRNGFTNVHCCAPILDTRDDVRLTTRMTH -LKSWLDSKSLSSYSKLTRKDKSKLKEDLPSEVNLSAMLSRIESMNSSLKVGEYIENDMFCTHTFQTSAMM -VRTSVFTPNERWAEVAKHLLDTSSGLSEDSVAYLKQVAEEKAPASIRALRALLGDDFYRYKTKVNNCCNV -KARYAIMVHSSYDVTPEDIARGMIEHGTWEVRGCMLASAEMLVSTCGHLETVDCNWRIVDSLGVQAKVNP -FVGTAHLQWTGWDVDHVLLGEWFIEYSFVDDVSQCYRHRFVNVLKWLTEQSVEVDGGQFRLERSRLRNGT -LYFNLTRLMFPQLSDELMVSCVWMQNLQDQYVLKVPTFKSFTYGGFLRTFRFEHYVVSRQLIDGVFSACL -RGLHSFDSKDHKKNLQIVATHLAAHSQSVIINGTSVTRREPIPALRLNGIALAIYYQLLVVKDSERQALT -NIDASIMTRLMLPHNFMKAVKEIACMVVQSVMGEETFKSLSSDIVKHADYINKAMKVVTLYEYFSTPAAG -RAVTFNAISPSEILHMDDDLLNNVRLQNKETISILESDKGKEDNKGETFMENKDPLPRADLECDEYEPST -FPTLKGSVTALSVSEIERDEPWAASVDEYVRYLTVDYNNSFNSMKKFHDDCVAQPGFLDRYALEVSKDWG -MYDVVGRAWLLKPNRTDHATCFTNGGFASVKWIRGHPQLVDKHVASGKYLCFSRDCVLLNNMLIARKYQN -HEVDRLTSKKIIFTIYDGVAGCGKTYNICDKIRKVKWKSTPLVISPIRSSVDDLRRNLQGVDRQYVRTLD -SYLMCPNVRSDVVFIDECFSCMPGAIALLVSLVKPQNVHMYGDMEQIPYAIRVSDFDQRFKSLLEIFGKG -AELTIDSLLDSYRCPRDVCELLISHGIYEKQIKSVSSVESSIFWSSIHSVNDIALDSKALYIAQTQADVA -EFMVRLKKEAPDGSVPSWKDFHVMTVHGAQGKTYDNVIYFRLKKPSMDLYEMSRKCYSLVAVTRHRKTFH -YYTVNPDDGKDVVLRLCRQAIPEDKGKCLMGEKLDVQTTTYSIRKCTVPRVQPITVIMTPQTEKILAINE -AFPTGTTPIQELEYASFQRENRRSEPGVSSKAAITDFIEEFLPGNTTMDLTHQQDMVDFSDLSLQLGTCR -IDLSKRVNPKTKQSLCYEPVVDTGSLPRSTPSQVTNLLAYESRNANVPYMMDNSDPVEVAKKAVSKFVKD -CMNHEKFKDFSPISIGPNPEYCQEYQDVVGGKLCDPVAMKLTALNNFSHMIKQSRKPVLDTSVQSDVKRP -ATITYHDKSVTQVTSPIFRQVCDRFLHGLNENICVPLGEKHDIAMWFHNRFPSIDHLNTIETDISKMDKS -QGAVHQYIQDMMFHVLGLDLEIIQMWSDAHGRCQIRDSDCGFSFATLWQRRTGDACTLLGNTIVTAVVNH -YVLDFTKFEAVGVIGDDFTGLYRTKLNFNADLFGTLFNFSVKVYESSSSLYLCSRFILDVNGKIVAVPDP -LRLAQRLGRKDIPQEEGMLREVFTGMRDASAPLFEFGVAEKLSYVMALKYNKSAHTVIPAILEMKTAFSS -FENFKSYFIERDDESKVLKMHKDLITEARYRGRRRKPVKPLN - ->YP_009361854.1 nonstructural polyprotein [Black currant leaf chlorosis associated virus] -MASYCVRNQLFTVIKAVLPSGQETYLDVDNTETWISFQARCYLNAVAMEVLSDDERTPVMAAMSDLEIIS -QPTGDELDELTGELPGYILKSILPLGAPIRCFSVSEEKVRTAYGIAHVGHFEDFTWAVTKVVADLPDDVV -FGCSVFSSEFEERVKELREKTLMDVDSGVSRILQGDFEEDLRRLVSENRKGQELPIPQLLADEDMKILRE -HFPNLTLRFTKCVDGPHNAAAAQRTLETWDLVHSFPKGEPIIDIGGNWFYHIRYGNENVHSCCPILDPRD -AARQTHRCVMLETLMSGIKAKYARVLEKDCESRRDKAALEGFYKDWAVHPKTLMRLHEKRHPGQGVYCHK -PFGQHDCDFRAKYAMMIHSGYDIRLEDLVKGMLNHGVLELRGYMIADPAMLVKDKGYLPFLKCNWEKKSG -YIWFSFRDDSTMGYRHKWGVYSQYLKRTVLWTKGKSDLFVLERDKYRHGTLSYTLIKCGTSVPPGTHTLY -HNAWFKEMFDRYVISVPFIRLKSLHNPEGSKLGFREVVVSRDLVDRVVEICLRGVKDVPPLDLSATDTKV -HCSNLQLVQTHLLSHSQTVVLNGTTIVRANPIPVEDFSPLSLAIYFEVLLTKKKEAIMFQWMANDCDAGF -LSRAWYKVLGSFVLDVLLSPFKLVVTGFKYFVEFFESQKFIDSLINEPVEKLTILDRIYHSVDSAVKEGY -YDGSKQTEDNVSQDFKDYDTSQDFFAAIEGLVKLEEREIEKETEDPEGDFLNREVEPTKVDAEHRPITVE -ETSKVQQAIGEYIAYSSTEYQRILQELSHAYDNVLVSYGTAGYTNVKENYGFYSKTRGWLLKPNSFDHEA -GYSSGMVWITYDGEIPIWEGGDMLVVNDHTKLLNNIRLARAVADIFGSVSKCPLPAVTIVDGVTGCGKTT -EIVKTGNNPKTIILSVCKANVEEIRRAIPNANKKRIRTVDSFLLNPDSRCEILFIDEYGLAHPGAIMAAI -LVSKCKSCILFGDSEQIPFCNRIDDFRLEFKSVEDVVDFKREIREKTYRCPKDITVILQSLYERKKIHTV -SKVEDSISIKSIRSENDIPIPSTFPKEVIYICMTQHDQALLSLRWKKEGIDSEVRTVHSAQGLSYPYVVY -FRLTRTDNDLFTSSKKPYHLVAISRHKEGIVYLTTKIDDAKDFSLRLLRMRYKASKEFVNLNATHDQEVV -PRLDSLVNNLYLNDVEISEKANAASNDNFPISTEPLFTVSEDGVYGVREATGRSIGNANAVVCAIEELCP -GNTTYDDLGINEMVNIGPLRCEVGKVRWDLSYLSGKWTDNPIAMPELPTGSLTRRGIHPKQTLLAVEKRN -ANVLNCQGFSDKRALAHEAVDKFFEFFIDSEKFNQLPQGVVGTSADMIQLYYNKAKRTVADHAVVQLSSI -DKYRHMIKRDIKPVLNEALQSEYTKAATITYHDTDITQIATSFFTVIKQRLMACKKSNLCIPIDNESDIS -RCLTRDHLGSEQGNFIEIDFSKFDKSQNEIHQLMQDEILLRFGGSPEFVSVWSQAHKHSRIKDFNCGISF -TTDYQRRTGDAFTFLGNTLVTACMLSYVISRADATAIRYMLVGGDDSLICSLTPITVDLNPLSTIFNMSC -KLIQPACPYFASRYIVRVGDELVCVPDPMKLLVKMGRKDLPDNEDSLEEVRIGLLDSCRPLLDDRVKQMV -CYLTQIRYGKSSPSLFDALGFITKALTTRKNFLELYRCNNTSTERRVTHKLRLT - ->YP_009305430.1 Replication-associated polyprotein [Privet leaf blotch-associated virus] -MAYFSQAKSAVLEVVFPDGAKRLTQIDNILSLINGDKACYLETVALEMVPDKLRPAVCVGLSDLATIAQP -LGDELDDLTDMLPGYILKQFLPASAPIRDFVVGPPQQTGWGYQSVGHFRSFTLKFGATVADLPDDVVFGC -SVFSSEFEEKVKELRLKVLNDVDSGVSRILQGDFEADLRHLIAENRKGQEIPVPQVLSDEDTKILRDHFP -NVSLKFTKSVDGPHNMAAAHRNLETWDLVHSFPTDTPVIDIGGNWFYHIRYGNTHVHSCCPILDPRDAAR -QTHRCVMLDSMMSNLKTKFSKIFSNLEEKVSRRDTRAMENFYRKWAVHPKTLYDMYSRRHPNQGVYCHHA -FGGKGYCAVQAKYAMMVHSGYDLALGDLINGLVAHGVLELRGYMIADPSMLVCDKGYLPVLKCNWEKRNG -DIWFSFKDDSTMGYKHNWKLYSQYLQRTTIWSKGKQCLYVMERDKYRHGTLQYTITGCDMQVPSGTYTLY -HNAWFRDLFDKYIIQIPEIKLKSLKDPEGSKLSFRDLVVARDLVDRVTEICLRGVKDFPPLQLDCKDEKQ -HAANLQLIQTHLLSHSQTVVLNGTTIVRANPIPCEDFSPLSLSIYFEVILTKKKEVLMYGWMSNDCDAGF -LSPAWYKTLGRFLKRVFLAPFSFLTRMFSALMPLFDDQKFIESLIRKPEEKVTVLDRVRVSLEGEYRTDI -YGNLVTLHSYRPVSKEFDDREFDGDFFDTIESLALLEEKVIKKEVEETLPALDVFLLEEVDHFKVDGRTR -PISPEDNVIQKSVAEYISYNSTEYNRIVEELRSAWNNVKVSGGAAGYSNDKCHYGIYSRKSGWLLPVQDL -DHECGFSESLVWITVENGLPVWEGDDLLVVNDNTRLVNNIKLARSVADNFDLLSIAKIPQFHIIDGVTGC -GKTTEIVKTGNKPSVVILSVCKANVEEIRRALPGCKSSRVRTLDSYLLNPNVTCETLFIDEFGLAHPGAL -PMAAVLTKCKRCILFGDTEQIPFCNRIDDFVQEYKSIEDIIQVTREVRTKTYRCPQDITYILQDVYLRKK -IETVSQVEESINIKSIRSENDIPLPGCFPDSVIYICMTQHDQALLSLRWKKEGIDCEVRTVHAAQGLSYP -YVVYFRLTRTDNDLFTINKRPYHLVAISRHKVGLTYATVKIEDEKDFSLRLLRKRYKASKSFVTENSVHQ -QMCTLPSTSTSLIPYLDQREISEKAIEASNMNFPVTTEPVFFIKENGEFGVNENVGRAIGNEMAVVMAIE -ELCPGNTLYDDTGVNDIVNLSPLRCEVGKIRWDLSYLTGKWTTNFVAEPLLPTGALTRRGINPKQSLLAI -EKRNANVLNCQGFSDRFKIAEKAVDKFFQFFFHEDKLQALPCGVVGSSSDSIQMYYDKAKKTVDEYAVLP -LSNIDRYRHMIKRDIKPVLDTSLQSEYTKAATITYHQTDITQIATSFFTVIKQRIMQCKKSKLIIPIDTE -SDISETLTRDHLGSETGDFTEIDFSKFDKSQNEVHQIMQDLILLRLGGSLELVSIWSQAHRHSRIKDFNC -GISFSTDFQRRTGDAFTFLGNTLVTACMLSYVLSHDDERKLRYMLVGGDDSLICGFEPIQVQLSPLSTLF -NMSCKLISPSCPYFASRYIVRVRDRMVCVPDPMKLLVKMGRKDLPDNEESLEEVRIGLLDCCKPLLDDEV -KQLVCILVQLRYRRESPSLFDALGFITKALATKTNFLKLFQVNGRSAEKRVFDKFHVR - ->YP_003126903.1 unnamed protein product [Japanese holly fern mottle virus] -MDKLLSLFTIPSVFLSALTDTGGFCYLNCFDVSLYPGRIFDILAAQFAMLSTAMSVKRHVGEYKFLLTGK -ELKEIVIRHGNMRIRSFRVVYSTSERCVCHIDKSTGALIDAAWLNDNDLFGADVPQFNLGSLPQVKAVDY -LEPGSLQFEQVRGSVIRRAVADDDSNVSASLRADYLEKLRQAELVRQSLRKVRVVRSISPDQLATLRSLF -PGFEFEYTPRYDGPHNMAAVIRTAETLEAVLSFPKDEPLIDIGGDFFFHVRNGFTHVHCCAPILDSRDDE -RFSKRCMHLQKWVIDKQVSAYSSMSRRVKATLKEIPNLELLCDRLREFNDACRTPDDNGMDCSMFCHRTF -QSSFETVEDSSSVPFEDAVVSGSFAVSPWDVETQVGRRLAGGKFPPKKTFDDLADVAVNWVTEGVNSVVS -SLKKERSGDISCDSSCVEEGAPEGVSVASASVASDESVRRTVSCGRRARFGIMIHSSYDMLPVPVCQGMV -EHDMTMVKGCMIAEPAMLVQTKGYLPILECNWRLVTPLGVPLTCHPCKLGDRFYRLSTTGDRPLSCPGFD -DVFIEYHFQDDSTMAYRHKFSTVFEWMTCHIVECDGARFCLERDKFRDGSLYFTITRLRFPSLRSELNFS -CAWLSSMASHYVLKVPMFRSFRYKGFLRHSYFDEYVVSKTLVDTVFSACLRSLYTFEPKDHRKNFQVVIT -YLASHSQSIIINGTAVTRREPLPAEWLNPIAMAIYYQLCVVKDDESQALLNIDNCQITKIFHFSNFVSAL -KDIVTSFLKFVLPSKLFEKFSDISNVGDFINNNMRVSTVYEYVASVSSIRHTSDFSDVVVHDVEQFREVN -VAALNWADEAEVADLMPADLKEESAVCAEVSFDPSMVDEYTVSTAPKAVDPVGPLPPVSSDAKSIVEASV -LEYLDLLSQDYNNLWNKMHSFLRNVCADVRLLPNYCMDPSDWGVYCLRKGEGWLLKPDHFNHAVAFCAAG -FISVDVEKGVPRPTAKASSKYHDKIGDYLVFCSDSKLINGFYIYDKYKSRSFSLNATSFNMVDGVAGCGK -TYEITNFVRNCDKKCLVVSPIKTSIEDLRENLPSVDRRYLRTIDSYLLNPNVEVDVLVVDEAYSTMPGAV -MLVASCCKAKELRVYGDTEQIPYAIRVPTFEQRFPFLGDLVKWKVSLREDTRRCPQDVVEAVNLMGLYKK -KKFRSLSKVTSSMSLQEVHSVNDIPLIKGALYISQTQKDVAMLMTRWKKILGEKRDKGKGKKPLVTVSTL -EEYLTTTVHGAQGSTYTDVVYFRLSKNSCDLYEMSRCYYSLVAVTRHTGQFTYCSVVPDDPKDVVAKLCK -LSRGSSVANADIPGEELIVDAPNLVVCDVPVVVKPTRISDRALEIVEMNNPSCSHHMPVGHVDLDVKIPH -LNDEPGVSGLAAIGDLIEAFYPGNTAVDTEIQQDAIEFSDLSLSLDRCRVDLSRRSSVVAPGIHFSPVLK -TGALERVRPSQTMSILAYESRNANVPLTSENIDIENLSTVAVEKFFSECVDESRLSNLSPFVVGVAADAA -QQYLDVVGDKIPKPSNLSFASCNKFSHMVKQTRKPCSDTSVQTEFKRPATITYHGKDITQVASPVFRQLT -DRLLAVLMPNICVPICDNHDMAQWVANRFSSIGVYKSIEIDISQMDKSQMELHQLIQDKVFLRLGADPEF -IFKWKEAHRLCHMAEASVGFAFTTSWQRRTGDAATLLGNSVVTLVVNNYVLGFKNFVAVGCVGDDFSGLY -TKSLSFDSNKYPKMFNFSVKVFESTSGFYLCSRWVLEVNGTFLWCPDIPRLAQRLSRKDIPQDESLLREV -FTGMKDATASLFKIGVADQLALVMAVKYRKEVGTILPAILAMKQSLSSFSNFKQLFELSDPSLDCVLKLQ -QDVVSSAKSNWRKSKSRFR - ->NP_620465.1 non-structural polyprotein [Raspberry bushy dwarf virus] -MECLDFSKLWFSTAAGLQQRCYYDCVAWECLGDDDLQIFISGLNRLIESVAVSCTGDEDLDFVVDSCNEF -VTGRDLKSFFAADLPVREVSSVGCISHFIPGSVSGLNVSDLLDNQLYGCSVFSSDFESKLRDIRDAALSD -AASGVSQLVSCHFEKDVRHLLAENANSVKIPVPQKLSDDDMRILRDHFPRYELKFTQNVDGPHNMAAAHR -LLETHDLLSNFPADAPILDIGGNWFSHFRYGRSNVHSCCPMLDLRDNERHTHRLTMTESLMSSLRHRYAG -TIDLDPDAHLSRKVSDSMKEFYKRWAVHPKDLIRLYAGIRDGNSSLYCHHKFGVSWNDVLWESERNNCLT -VPEPECPFKAKYAIMVHSGYDLPLKELIGGMVQHGVVELHGTMIADPAMLVATSGYIPALRCNWEKSKGQ -IWFSFRDDSTMGYRHDWEVYSKYLTSTVVSCGKHFYVMERDKYRHGVLFYSIIKCSGSLRKGDHTFFHNA -WFHEMYDKYIMKVPLVKVKDLTGDEGSVECSWREVVMSRKLVDRVIEVCLRGVKPINFGNCDDAVHMDNL -RIIQNHLLSHSQTLVLNGSTIIREEAIPFKDFSPVSVTIYFEILLTRYKESLSLAWFHAGLGPDFKLGSW -VSSLKRVFYRILGFPANLLKYVLNALFRCRDKVSDMEFVKPAVEKLTVLENTYIGKSLMGDCPTLKEYDD -SAFFNILENVGNELFNNSSTDSGKPETPEVTMTGNPNAVIAEAISYCRAEVDRIGKKCERILHAYQATGN -CGGYLNDTDNVGVFDKMTSWVQKPKEFDHEFGWDGSSFIKLSWFGKIPDFVGRYLVVTDGTRVTTNLKFS -RQYATIPATVTPTIKLVDGVTGCGKTTEIVRRYRPGILILSVCKANVDEIRRKLAAVDSKFIRTVDSYLL -SPSVTGSCDELFIDEYGLSHPGILLLAIHISGIRKVTLFGDSEQIPFCNRLADFPLKYNSVEDVGLNFDR -EIRSTTYRCPQDITLSLQKMYKTKPIKTVSTVESSITIKPIKSEFEIPLPNAFDGPVLYICMTKHDESLL -KLRWAKENISSEVRTVHAAQGLSYKNVVYFRLTRTDNDLYTKRKLPYHLVAISRHTDKIVYCTTKPEDSS -DFSLSALKNTIKTSRDLTQEASGSESSYAVVFESNSEVTATKPEVCENVRKAAEMNFPVSSDALYQKEVP -IYGAIPDPKGKASYNPGSVIRAIEELTPGNTSIDTDALDELVEVGPMSLQVGSIRWDVSKISPRLFTNNK -FAVPHLPTGALLRRNTSSRQVGLAIEKRNANVMNSQKYFDLENLANKAVERFFDFFIDMEKFSKLPTGVL -GSSAEQIQTYQNKTGNKVTDPVCVALSPIQKYKHMIKRDVKFNLTDGAQSEYTKAATITYHQPEITQVAT -AIFGQFKTRLLACRNKFLNIPLEHDNDLSGYLTKYHLGSENNTFTEIDFSKFDKSQGEIHQLIQDLILIK -FGCDPEFVALWSTAHRSSSIFDQNVGIGFKTDFQRRTGDAFTFLGNSLVTAAMLAFVISDPDREKIRYML -VGGDDSLICSYGPIQVPLEPLGDIFNMSCKLVQPACPYFASRYLIRRGDEILCVPDPYKLLVKLGRKDVP -DNQASLCEIRTGLADSAKYIFDDIVKQKLAILVQVRYNKAAPSLYDALCTVHWALSSNTNFSKFYTVTNT -SNEVRRNRRGVKIT - diff --git a/seq/clusters_seq/cluster_751 b/seq/clusters_seq/cluster_751 deleted file mode 100644 index 6b48b87..0000000 --- a/seq/clusters_seq/cluster_751 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_010086048.1 hypothetical protein KM556_s4gp1 [Magnaporthe oryzae polymycovirus 1] -MSLNDSVSAATAKSLTQHSPEVFTTFARLVTLGFSPSELRAALVRVANGEEVPLPGNLGRPRPLTINAWS -FCVDRGQYADTYGLDDSEAGRLKGVLRANADDGVAEITKLVTDKLRISGSARAVHVTKSGMPQSVVTGSG -LKPGKQGGADLKTVILTNPALFGMYTFVPSDSGVPGPLRYRCRIGSGLYACFPSKKGAIDVARICRVHGR -NHEAIAGRVYFIREGKAPLTGADIPEKVKFDGKLRPDEPVPADPVNSPTTEVN - ->YP_010086040.1 putative capsid protein [Fusarium redolens polymycovirus 1] -MSNVNQSQFVSQEDAAAVRDAGENVVKLVVQLSALGLKAPALMEYVTAVADGNEPSASLGVGRPKPVTIQ -LYSFLRDARQYNGVYGMSEERAAALRTLAASDLESARDEVAQFVSASPRTRASGRAVEVSTVGMPGTSNP -GASPLVGAHSVTASYAQEIASNSGVYGAYKFDAFDTRREETQRFAVDLGANFFVLAHSKKLAISVARVTR -VKGRTDPLAMPYVFYVDRTGKCVGNGDIPAESWMCACGPRDEPPSQSSRFPKADDSSVTQSVSQQPTGMS -VVGTSPPKSSGRAPTPKMGEKA - ->YP_009052473.1 hypothetical protein JT07_s4gp1 [Cladosporium cladosporioides virus 1] -MSDAYLTSREAKLLMQLPDEAIAGILKLASHGLKPAGIIEYASAVAKDQEPAPFPALTGPKPLTIQLHSF -LADPRQYSDTYSLAMDVVERLRALEMSDRDEARKELAAVISATPRIAASGKPVRVVDDGAPGGAPGSAQP -LAGASSVTKSLAAEIASNSTLYGSYKFDAFETQLGVTERFAVDLGENFYVVTFSKKNAIAIARLVCVHGR -SYASAAPYVVYVDSAGRMLRGGDIDSRRGDCRRGPRDTPDESTRVLGAPIRGGDHAPASLPSRARPEGAT -GTPSPPRAGTAVGA - ->YP_009551544.1 PAS-rp [Aspergillus fumigatus polymycovirus 1] -MSPAPILSAEQAAHLAQLTVDDVSLVIKLASLGLKAKDIQQYAAEIAEGGDPEIDLAPGAPRPVVIHAWS -FVKDRGQYHSTYGLSSAQAGELLDLLHTDPKDAVKRIQALVSERLRLRGSSRPVVVDLEGAPSSTPAKGG -APPSGDGGLSLLRQEIKRNSGVYGSYQFTAYPTNRPGGQAFAVGLGGGLYAFGQSKAVAVAAARIARVKG -RSDDLVRPYIHFWVEGSSPRAASDIPAKVWDGRLGPHTEPPAEPATKAKTEGPTTSGGRASTPKGGDAA - ->YP_009342471.1 hypothetical protein [Botryosphaeria dothidea virus 1] -MASTTTTNNGPLLLTKEQASLLAALGEGEVAAIIKLASLGLRPTGILEYARAVDGDEVPAPPTPNTAAKP -VTIVAWSFLKDRGQYHATYGLSAPRAGELLELLLTDADAAAREIHTIVADALRQRGSPRPVHVTLDGVPS -RKKAGGEGAPTGDGGLGLLSQEIASNPGTYGSYRFVPEQTGQPGARAYRVRLGGGLYVFAQSKKLATAAA -RITRVKGRSDPLVRDFVAFWATGSSAKFGGEIPDKVTFDGRQGPNEPLQSDPTTKAKTTAGPSSE - diff --git a/seq/clusters_seq/cluster_752 b/seq/clusters_seq/cluster_752 deleted file mode 100644 index 314ed40..0000000 --- a/seq/clusters_seq/cluster_752 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009094333.1 V protein [Salem virus] -MSDENRTLVKNALKVLADLKKQENKPIGEPRITLQNLREHYGKNDYAKFGEDLQEVHLSLQKRPQCNVEL -RQPGGDQDNSPRIKTGNQEGNLQVDTETTGEVSCTAGSSCGDSDSPHDAEQASRRGVADAGLAAFCTEGN -SGFSNHMRGHGPVSGDDFGLVPAKVPRSSARDPTYSSDVRGVSKLDDFADILLTVGASEFSFDHIVSTAQ -ADLEESLSLQPAPINEEALPSTSTGAPENGDKQEAIKKGSRHRREYSIIWDSEGIQIESWCNPVCSKVRS -TPRREKCRCGKCPARCSECGDD - ->YP_006347584.1 V protein [Nariva virus] -METNGQKVIRDALQVLAVVKETDSPTTENQCGRRLSVQGVTTQTSTTDPETKGESGSEEESGSGWGGEGN -SSQEASGSNQLVDNAERYGEGESKGPQGPGGFDGVHDSPVRQDHPDEGIRREPCYVLLGAASGGAYLSES -EGEGSPTRGSPVGESGDAKVIAPPNRGSTINDNPSCDDVKESLGFISQPKPRRLTGIDTSTDTGTPIPPP -RDYTKRGHRREYSLTWTDCGFLVESWCNPVCARVTPLPRRESCKCGKCPVFCPECVGPGVSQEDIYND - ->YP_006347583.1 phosphoprotein [Nariva virus] -METNGQKVIRDALQVLAVVKETDSPTTENQCGRRLSVQGVTTQTSTTDPETKGESGSEEESGSGWGGEGN -SSQEASGSNQLVDNAERYGEGESKGPQGPGGFDGVHDSPVRQDHPDEGIRREPCYVLLGAASGGAYLSES -EGEGSPTRGSPVGESGDAKVIAPPNRGSTINDNPSCDDVKESLGFISQPKPRRLTGIDTSTDTGTPIPPP -RDYTKRGIDGSTVSHGQTAGFLLNPGAIPCVPVSHHFLDVSRVSAGSAQCSARNVLVPVSRKRTSTMTSA -SELAIPERATSDRVSSDEPYCTSLSANSSFIDPDNLSTAESALTLLNEILDNQRTILVKLKALEEVKTEV -EVIKKTLSKHGLALSTLEGQISCVMIAIPSSGRSDAAVDPKPDLKPLLGRDKGRGINDAARPKGVNVSFE -SGSAGSWKASSTSTVTMEPKPGVFPEPIDPDKTNAAGFKPTGTNVSREVVLALIETRIKDPRVAERMISI -LSHAKTPKQLQEVHDAILAQLRKAKQ - ->NP_958050.1 V protein [Mossman virus] -METNCQKDIKNALQILAVAKETQSPKSEEELRERLDISGVTTTASTDTVEAESQDRSEKEPGSGRSGEEQ -QSSASSSSVGFTQYAEGDGDGVSDGGANGSISTRVFYSTVCENNPDESLGRSSCYVMLGKAGGIEPVPNT -AGDRESTRSNQLGEDDDAGQSGLTSARALESGTQSDEPVVKPKRKNKKSPMNESAVDENMREEDIKEAFG -MNPQPRARRLTGLEAAASTLPSIVVHKEQTKRGHRREYNFVWTDSGFRVEAWCNPICSRIRNLPRREKCR -CGWCPKECPECALGN - ->NP_958049.1 phosphoprotein [Mossman virus] -METNCQKDIKNALQILAVAKETQSPKSEEELRERLDISGVTTTASTDTVEAESQDRSEKEPGSGRSGEEQ -QSSASSSSVGFTQYAEGDGDGVSDGGANGSISTRVFYSTVCENNPDESLGRSSCYVMLGKAGGIEPVPNT -AGDRESTRSNQLGEDDDAGQSGLTSARALESGTQSDEPVVKPKRKNKKSPMNESAVDENMREEDIKEAFG -MNPQPRARRLTGLEAAASTLPSIVVHKEQTKRGIDESTTSSGQTLDSESKLGATQSAQGSGTYRGGRSAD -AGGVQKNARNVLLATNSAKQTYITSISEGINPSKRWYQSNETSMISSIEGSCRGSIADGDSTVVSDSPLV -IMSEILENQKWIMDKLKILDEIKHDVESIRKTVMKHSLSLATLEGQLSSVMIAVPSTGSQPHQVEVNQDL -RPLLGRDKGRGIPEVGKMKVQTVSFDEPNQSRSSRAQLPKIRVELDKKILPPPIDSSKTNATGYKPAPTL -ISKEVIIALIDSRIKDKDMNTRMRRLLNTAKTQRELVEIHKAIISALKNQGS - diff --git a/seq/clusters_seq/cluster_753 b/seq/clusters_seq/cluster_753 deleted file mode 100644 index 37ef26a..0000000 --- a/seq/clusters_seq/cluster_753 +++ /dev/null @@ -1,79 +0,0 @@ ->YP_010087806.1 coat protein [Epiphyllum virus 4] -MNNRFETLDLEQHTYKVNETKLPIDSYIKYPPFTHAAFTPYIVAGDAGSAEHKQLNWTNKILWNKLGTLT -TKDKEILGQTVLTEKDKQQLSSEARKVINEAFEDRVKYISQIDHTIDYKIWTVQQEQQKQTRLLLEKLQE -LRIEINKLQQKQKEAEIITPITPSSYTINMIRGKTIDSPDLTFDEISLFRPKLKSKEISEQDAIDKILNN -TFLLNENLELIYTNVRKGELPNRRPETLFDTPHLMDLLMDMKHELASLKEKVQEKEEKIQMIEKDEEFLD -PDNLSVEIQDLTISTVQQDSLYCDEKIESMTSSEEEVDNQLNVKIEGQEYAYDSQFREYKPKKEYPTLIR -GKRHQQAESSRRADYINQRRTQFEPSYTPNMNTSISVAGEILNLDCTTLEEAEERIQKWTQSMSISIVKQ -NLDTINTEKFIARTLLGNAKQWFENLSSSAKQKLKASSSLNTLSNTYLALRAEFGKLGFESEVEKLQKQK -DVARSKILQLQICDMKHENIKGYLCEFQDYYYDAAYNEQESETILDMFYSKLPDPWGQHILLNYKELIKG -KTLLDSIGTRMTYLQNSINDQCNKNWLTKSARKIQKSARLDCNYYGVGKYGCKAITKPYKQRYKFKRRYI -PIKRKYLNTYKKQRYFRPKQYFNKRKSKCKCYNCGQEGHISTNCKNKKIKTKINNINCYEFNTMNEQLEF -EIDDEDIIYITDSEPEITLQDANYSDQEYWYSDENNYVNMINQDNSNTDNVIKEYDKQDKDNHRPTKIIF -NNQQFKQIMEKDLNLHKTQIFNNPILKTYFGKRDVKYYVVTDIEHPIDVKYVLNQKRIVNLPLYNQQIFE -NEIQKIPEKDQNKIKNIHLSAVEIIIKAYFREGIDTPLEIMLCDDRITYPQDGSIVDTLIGNLIYQKVKF -TKIINYSISTQDKNLDKSLVMYWNLEGIEMIPNSKIFSVRLRNLYLLSDKHIVQNKKYYKHNIIIEPIFQ -DVIQNDKHKYLEFDKPESSFNKRQLKTYSKRFSNSNDNDESNTPSQSQGTNNNIINIPQIKMLKNSKQFH -IIGQVLDGSKQKTYPILIDTGAAQSYISSKIIDIEHIPKQQLGSPVKSKNTDNQTHEYTNQAHMLIGIYD -VNNLQHKINFIGLIETLPLLEDGRDQILIGINVLEQFNPFIITSNYLELNIGMKAVTIPRIKEDIYQIVE -SLSQMNQIK - ->YP_004347414.1 coat protein/movement protein [Sweet potato collusive virus] -MDKEFNNLNIGQHVYKISENKLPINSFLKWPGYTHATFTPYVVIGDAGDYPHRQLNWTNKFLWNKLGNLN -NNDINILRKPIITEEDKKELSEEAKKIIDEKFEDRIKYLKNINQTLDLKWFKFEQEQNKKTEELLDTLRI -LNKELKQLKEEIKNIKKKNEEIIYPPNTYTINMVTEEGKKFDQFLYMEQLERERISKEQAIEKILENTYI -LNNNIGYSINRIETLNTNVRTNKRPETILDTPQYAKYREQQRIIKEEIKNEPKDNEYEQFMNWKKDKEKE -KLEFEEAERIRKGKNKVIIIPDIMPDPPKEDINQQLLRIIEEMRKEIKELKTVKEDVEHLKIQLKQDDIQ -MMLESENELDPDNLDKEEVIEKIQNINIESEEENKSEESNSTSESESESIEPELNVKEEQYEEDDRRQYK -PYSKKYKTNVRYARNINGQENRRSDYINRRRTQFEPNYIHTDIGENGEALNLNCIDAKESEERIEKWAQS -MSITIAKQNMTNDNAKKFIIRTLLGDVKDWYENLTESAKSQLEGTSALSTLANIEVAIRAEFGKLGIEND -ATKMTRKKNSARAKLMQLSICNMRMENLKAYICEFKEYFYKAAYSDEEREQILDIFYTKLPDPWGMSILE -AYNLNEKGRITLDSLGSRITYLFESITSRCEQDRMNKIAKKTMKLDCGYYEVGQYGCKTKNYKKKTYKKR -KKRYIPIYRKNKPKPWMKYYRPKNKIKRNKKPCTCYNCGEIGHISPNCKKPKKSNKTINNIEMIEFIGDQ -EELEFIINDNDIIYIEQFIDTENSDIELEMEFGFDEINMFQESESSESSIIRSNDESEQDRPTKMIFSKE -KFSQIIEKDLDLTKNDIFKNKNLKQLFGKKDTEYFIVTDIEHPIDVKYVQNMEQKVNLPLYNQQIFEKEI -QKIPDKDKNKIKNIHLAAVEVIIKGYFREGIDTPIELLLCDDRIVYPNNGSIIATLVGNLIYQQVKFKKI -INYSISVRDKNLEKSLVMYWNLDGIKMIENSKIFSIRLRNLYVLSEKHIVKNKKQYTENIIIEPLFQEVI -QNNDVKYIDYIKPEKDYEQTKMITYKNKFETGESSRKNEQDIGRIIKESKGISNKIITLPRESYKQNRNQ -FHIKGKIGNKYYPILIDTGAARSYISSKIIDEQSIKIKPISESVISIDYNNIRTSYNNEAELDIEIIDLN -FKIHNIKFNGLIETIELLEQDKQQVLIGIDTLEQLKPYSITSDYLEINIGYKPIKIKRELKDIYELHRQL -SQHE - ->YP_004300273.1 movement protein [Sweet potato vein clearing virus] -MDLTEVKDFSIETVETEESSSRRAIFDKNKFEQVKDEGFSLTESKIFKNSLVKNLLKGHKEEYVVVSKKE -HILDCKYAKGKAYIPLISKELLQEELRQIKSKDTMRYVYLAATEILVKACFREGIDTPIELYIADDRIKH -PIEKSIVGSVKGNLTYQVARFIIKSNYSIALCDSNISKSFVLYWKISGIEMEPGSKIVSVKAKNMYVFSN -KHYISAKEKIADNIVIDKKFEDIIRVIDYDDKGYSSIDDKQLSIIQERLTNVTLNQNETNQFRILGILRG -QVIPILIDTGAVDNYITPNLVQTIVRSSSHRYGDFQGNIFKSIGNVEEIFNISNRDIPVKLEIQGQRKNS -DKEVIFGTKFLNQVKPYSIEFDQLKISWNNEVIVVPRDR - ->NP_569140.1 putative cell-to-cell movement protein [Tobacco vein clearing virus] -MTEKEIKVVAREEYQNEESSEQKIIFDNNIFEQIKGKELDLSVEKIFEVPTIKNWFKRQKEEYYVVSQKE -HIIDCKYTGGKAKIPIINKRIINKEIQDIKAKNPIKYVHLGGTEILIKACFREGIDTPIEIYLADDRIIQ -PIEKSIISAIKGNLIYQKFKFIISANYSVALNDRNIDKSLVLYWKMSGIELAPGSKVFTARCKNLYVLTT -KHKIAAKNKINKIKIENPFERIVTVIDNNEYSYKEIDMEEDLEIVKERLSTSSIPKQLTHETPTSSRIST -SKREYIIPKNLARNTIEEIKPYHYYITGIMEQRKYQILINTGQEENYIIRELVAESEIITTEQLCPELPK -ELIINEEITEKEIIIGGIPLIIQFKIYQGNENITLGIKWLERLKPYNLEDKQLTITYKNKKVIIKRTEE - ->NP_056846.1 coat protein/movement protein [Cassava vein mosaic virus] -MDSKDFTQLNLEEHSYKVNREKLPIDSYIQYGGFTYANFTPYIIHGDDGFGEHKQLNWTNKLLWNKLGKL -NIKDTQILMQNNISEEQHNELISLEAQKIARENLADRINYLQNINTSIDFKLWKMNKENLERQELLLRQI -NELKEEIKSLKNIPSTVAIIPTNTYTINMIRTETEDWKYFKYIEKELVQNKTEAIAKILDNSYIINDNLG -LLYERYEEINPTPKPYKRPETIFDTPQYAKYIRNQKRQEEYEKQQELKKENENKEYQEFLEWKEKQQKDK -GKGIQTVYPTLIIPDIKPEKQKKEDMMLEMIKNLQNELEQLKIQRHKEHEKQAELTKIQMLEEELEEELD -PDNLEKEVLNNIQNIQISSDISESSEINEISDNETEQISGSDSDYNNEQINVKIEGEEYEYKDNYRYYKP -QPPYYKKDIRRERQYKGQSSQRADYIKNRREQFESTYQANMNTTINDSGEILNLDCTTPEEAEDRIQKWT -QSMSIALVKQQLSNEQAKQFIRRTFIGNVKEWYKNLTNEAKQKLEGNAPLLSLTHMELGLRAEFGKLGIE -SDVEKHEKKTSIARHKILQLQICSMDHQNLNAYLCEFQEYYYSANYTEAESENILNMFYSKLPEPWGQQV -LNGYLSEIKGKNLLDSIGARMTYLQEFISDKCKENWTQKQARKIQLSKNLDCSYYEVGKYGCKQIRPHKR -KRYYKKYIPIKRKYFNKKRYKKYYRPKKFLKRKNPHKACKCYNCGEEGHISPNCKKPKKKTRINNLEALE -FKNTEMENLEFETNKNDIIWVEEIEVIQPLHYEEEEKYKGNYSDRILQNPYYINSISIEELDNLDWEFEY -QEDIEDDLEYQNFVYQESNDNWYSDQENWYSDEQYLGIYMFIGETSGENNQDNMEGIIKEYNKTEPEKIN -KIIFTSEKFKQIMENDLNMTKDKIFHNNKLKKLFGKKEIEYYIVTDIEHPIDVKYVQNQDKIINLPLYNQ -EIFENEIQKIPDKDQNKIRNIHLAAVEIVVKAYFREGIDTPFEIILCDDRITYPQEGSLVEVLIGNLIYQ -KVKFTKIINYSISIEDKNLDKSLVMYWNLEGIKMIKDSKIFSIRLRNLYVLSNKHIVKNKKQYNGNIIIE -PIFQDVIQNNNRNYIEYGKPGKFDRTKLKSYSRRFNEPLRLDDRTNIQREKDQIEKADHNLELQKELNNL -NYYSQQGQSSNVLDIPKILKIENTKNNYKQFHIIGKITEGRLNKFYPILIDTGAADSYISSKILEDEKLV -SNKLSKVVTSYNADNEKHIYDRNTEVIIELIDKNNEKYKINFIGLVDQLRLLEGGKAEILLGMNILQNLK -PYCITDDYLEINLGFRCIKINRIKKDIFEIREDLQQMNVLNE - diff --git a/seq/clusters_seq/cluster_754 b/seq/clusters_seq/cluster_754 deleted file mode 100644 index 747b1c1..0000000 --- a/seq/clusters_seq/cluster_754 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_010087626.1 putative P3 protein [Strawberry cytorhabdovirus 1] -MSEESGIVALTKKLNVWQSLSAKWSENVQISRILFAFESRAGPLAEGVVTASIIDNRTISDLSDNVLKSV -SFLVTQDVSLSWDHNVNFHRNDLRSGEESPLILMTEISECNMKPGYSLGQMRLRIEFQTSNKMIRRLTHS -PIIKLFRDHKMTAKENTARRVSLESLEARPGKPVVIRQIEEGGPSVLDRSVSAMQVPRRKYYVSKPVAEC - ->YP_010087291.1 movement protein [Raspberry vein chlorosis virus] -MTFMFNGTGTVSYSVKFELITGSENNAISLTRSLNLFQRIKFAKAENVRIKNLRITYKPRTGTKGKGRID -MLVRDNRIDADMDDPIINRCDILASRKAVITWSSCIWLAKSDLNESEDPPIMFEIELSECNMRPNYSIGR -SVINVELSASMNMDGFVHRAPTLAIGDDTPTVRGIQNGQEFILKHLKGKSVQAIEGGATRRTNDKDEETK -VHLREDALNRTSSSSSRSSVAKKFPRSRV - ->YP_009177017.1 putative movement protein [Alfalfa dwarf virus] -MPIRVGSTSYTLDKELVVGAENGEVPLTKTLSLFQRATMLNAKNYRIKQLRFVYKPRTGSLGEGTIETRV -KDNRIDSRISDPIINRFRFDASASADLKWESSFWIPKDDFKSGTKAPIILETDVLECNLQEGYSIGLLSI -IVSVSASDTMDNFIYRRPSAEIREDPFKILAVGGSKSAQSKFIQTPVQRMITDEQTGAPEDAEMKNHLRV -IARDSVGSRSSRMIEPARPSGYRQRRIREV - ->YP_009352240.1 P3 protein [Tomato yellow mottle-associated virus] -MASVFKFNVKTELTMSEEDGVVSLTKRLNLWQTLKSKWMENVQLSNINFSFESRGGPLAEGRVTASVHDN -RISDDTSDNTLKSVTFSVTQDISFSWNYNVNFEVDSLRHERESPLILVTKISECNMRPGYSLGQIRVQIE -MTTSDKMIRRIAKSPVIKLFRDHKMSENERLGRRTSIERLEAAQNKPLTIKEGPQGPYMELDRSNSVMNI -PRTKYYVAAPAKEI - ->YP_009301358.1 4b protein [Wuhan Insect virus 6] -MSLTFRDTVKYELVVSENGGVVSLTKRLNFFREWMTKGHDNVRIKNLIVKYNSRAGPLGSGTIITRVRDN -RIDSDLSESSDNTLCTAEFPVSESVILRWESNVWLYKGDLNNVDNPPLIFEIDLVACNMTLGNSVGQFAI -TCEMAFSKVMDKIRYKNPSAKLLDTSSVKGKNIEHITKFSLTDKGLENGAQLRARQTTHRADGIRKTIDP -GLSSNKEVLDSIATKRALGYHPDK - diff --git a/seq/clusters_seq/cluster_755 b/seq/clusters_seq/cluster_755 deleted file mode 100644 index 7a0e475..0000000 --- a/seq/clusters_seq/cluster_755 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_010086805.1 minor coat protein [Pistachio ampelovirus A] -MASDREAHLDLSVLNNDSITLYNRLLNGEITENDLPPSRVSTDVIRLVRCLNYGNFEYSASIRSDVNRSR -LDATNKDEVHFLRISLTNISKTTDVTFEVLTGGPYSGNYYVGYVLMDDTCVQTLPNLRDNGNFRQSSLDI -RIQRSGATIFVSQSGNRIMSLRAPSLYSTKILHVVTGIRRTVPYVNDNYKRIINENRELAFTSFVTVDDN -VVHGNVVLVGPTKENLMLRQSDLNTLSSEFKTDLIEERQEPALATTADDSKGGGSDLENLESSVKTKETP -APRESKSPTKDSERVIHRGDFKMEGAIKEGYADEGKPATSKDKPLLEFLEDFEETRSVDDLITGGEIVTV -LSSKPPLNVSIVKPGLPQLMDKDDALKVNILIRKYIVDQGEPLTQETLNCYLIALIQCALIYSTVWGMKT -QRKMSVLFSIGSNGRLKELDYLDIISYCFKPFEIPYLNPLRKYLRYYSTTAINLIRNGVCTVNNALAARH -GTPSKYSYLSFDFLILDNRFTSPTERVVHTKTRRFAIENVTRDTSDRNLHNTDELLWR - ->YP_009664799.1 diverged coat protein [Pineapple mealybug wilt-associated virus 2] -MEFQRIPAVEGSTFRLSDKLDDKRKYIDLDNGSLKWERVPHGERYDAYVRAITVDDYPIDMTKSDTIELD -ITVFPILTYDTTSGYIIHFEFIETQDKCLRVGLGHNTQYLGRQHFSVKSVDGNASSETMLDSNFVSNSWL -QSSYKILFSLVVGRIVVKIDNYYVFRTPAVPQINPKILRIVRTLRSTNSNLKYGTVTPAQFAKFGLKFGA -NVTNVDESKVRHRLVPPDWRAFMPNRQYVDMVPDESLDKDVPTPIPSVQTNTNATPSAEAIQELNKVLSA -DKIIESRGKAILEFLPNATQFNEADIYDERYLDEQLSLKVNTALSALCVELMGDKSRGALETLIIAMIQL -CVTYSTVKNMIIKKEYYVETTYTRKLIYVSYLAIRSCIDKAVGSSFEGNALRQYMRYFTYTTVYAMRAGL -VTPNYSAAAKHGVPKRFINYSFDYCMLDPRYSQYDELKAASLAHAYAIKLKATRGSDSEVYNTYSLGNNG -V - ->YP_009241371.1 putative minor coat protein [Grapevine leafroll-associated virus 13] -MTTETPRSFGRLEIPPEESVAARRAFQISSDGASLPGYPHSNGYVDIIRGWKLGVANSTVNITTNFTRTM -ENLPDSVVSARIMIILPTPLVDGGIFSKIVIQAKDVNGVYTAGTYNASTERASVDSKGVASSGTTMGHSG -GLVVFFCYATLGGAYKMTLQETTTGLRNVYVLEVFRLAVDPREVTRRTFEVLKELPIMFHKCYLDSVPKT -PQQMNVSSIFTRRDVYLSDPVEEPAVTLPDSSDTRRLEGASQRKEPEIPDSVQRLEDVVVTYSNVMDVIN -SGVNKGRYYDDKITKLSLVDFTPPQYMDIDDARKVSESILDYIRHRYGGSSEVFEMMGLLALIQCAVTYS -TVQDAKLDDRAGVVIKHAGESHIFKYNDFIQVINATETPRDYSNKLRKYMRWWSATTISLVKTGVIKPNY -ATQASHGVVKAYIPYCFDFVALDSRYNTKDEKIAAGLAKYVAIKNKLRYNQSSNDENLHNTIELGVLH - ->YP_008411016.1 minor coat protein [Blackberry vein banding-associated virus] -MATYTLTPFIETNSRRERLKYEKIKTFADLEALQVTDNRVDNFSRLCTVESCKIERTAELRVVGQVTLFK -ARGRDLQSGESLSCRIRFLAASGKFLEVAITHNFPYVRRENITTRRYDGSVAKEMATGRNFTLNSWDESP -YHLEISVSPSRMSVEVNNGWFLSDIAGIGEEIEEIKLYRVFRTVDNQAFPGKYDDSIIEKIFATVSRSNK -TLTFSQRLLELTRKTCTFSLKYVRLVDEGSLVDEPAVTEQPMNVTRPTSELEKLQMVGTLDKLVVERGKA -IYDTPEKVFNIRESDIYDERFMDKVEALKVNSRLNALMFSLGSDGSVTDKGNFLVGLIQLFLLYSTVKHP -TLNPAYKIRITLNGKEVDLSFQAVRRAILDGDDDYSSTNKVRTYMRYYGNTAVSLLLSGKLQPAYTAAAK -HGVPKRFLPFCFDFAILDSRYYTQDVMKANALASAYAIRLAKEKGEGKEQYNLLAP - ->NP_813802.1 53 kDa protein [Grapevine leafroll-associated virus 3] -MGAYTHVDFHESRLLKDKQDYLSFKSADEAPPDPPGYVRPDSYVRAYLIQRADFPNTQSLSVTLSIASNK -LASGLMGSDAVSSSFMLMNDVGDYFECGVCHNKPYLGREVIFCRKYIGGRGVEITTGKNYTSNNWNEASY -VIQVNVVDGLAQTTVNSTYTQTDVSGLPKNWTRIYKITKIVSVDQNLYPGCFSDSKLGVMRIRSLLVSPV -RIFFRDILLKPLKKSFNARIEDVLNIDDTSLLVPSPVVPESTGGVGPSEQLDVVALTSDVTELINTRGQG -KICFPDSVLSINEADIYDERYLPITEALQINARLRRLVLSKGGSQTPRDMGNMIVAMIQLFVLYSTVKNI -SVKDGYRVETELGQKRVYLSYSEVREAILGGKYGASPTNTVRSFMRYFAHTTITLLIEKKIQPACTALAK -HGVPKRFTPYCFDFALLDNRYYPADVLKANAMACAIAIKSANLRRKGSETYNILESI - diff --git a/seq/clusters_seq/cluster_756 b/seq/clusters_seq/cluster_756 deleted file mode 100644 index 120b238..0000000 --- a/seq/clusters_seq/cluster_756 +++ /dev/null @@ -1,45 +0,0 @@ ->YP_010086803.1 Hsp90 [Pistachio ampelovirus A] -MPILQYGEYADEVLIYFYGRKGSRSLFDHVLARAREIILFDSGFPVNIVMKGAGRLTITNIINGLIVYTG -TLTNREILGGTILYVLGALENTIYSADRTLRNFANSGFYLSWVNSNLGNDVTHLETQYPQLNQNEIEQCR -NIAANKHEVYKKFYVLVGCYLRRVPTDGDFSSTASIPIVESKAANEAAELLQKPMPLFVKDFLTSMVESE -RKLSDSATSAKFLPIKPFSNNISSIFDVKIGKVLATDLNDWLIVTMADLKPRKYDYEDRYLWYLRSANKV -RNRLHFWFGHEQTHTSKYIRGKMMILKSDKLHSIEAFFEDRLETDKGKSKLISTKELVDEINVLILNEWK -SEFGDYEAPRFYVICCYLLYLSIHGTNYRRVHPHLIQWEILINGYFVKISPDKLTRMINTQYRGLNAVRL -WARYYSSVTFYIRKMNDINTNNWDRFVDVPQYLCYDVVTYVSPELLTYEEYVAVCMISDFIRNNKRTSRG -NILTY - ->YP_009664797.1 p46 [Pineapple mealybug wilt-associated virus 2] -MHRESALTQILEYSNNLLTVQSLFGRKLYEIGDPMAVLSSSEKRAIQAITANMTAVDKATSEALSVYLRK -ATSVSEIKGDYTVPVVKHERMERVGAEEKLFPAVIKAFLVDFSEVTNFMTDTSLNIKSDVYSAYAQDTEE -YLQESIAKRIDTQFFKKWVNVRFFKSRPLDMTYENRVAWYSFVCDDIKVYLDKFFNYSFNPSVRSVVPYV -RIESSDNPHELKNYFSNVNFRHGARSASSCRAPSIMLEMVVLLIDSNVETRLAPVLAMVTILLWYSIYGT -NKTRLKKRYRYFINLRNPKGKRVDMQAVDDYANRKSVSSGVNIARYVCRYYSSVTFYSRKVLGITRNNWR -SLMDIEGILAYDTVDALPVALVPKDWLRSYARACEYIRFNSNVTRGGEYGSNT - ->YP_008411014.1 heat shock protein 90-like protein [Blackberry vein banding-associated virus] -MDKVCYATGLTAVSEAYAEYERVVGENLLEYSTFRIPVRGSTLTLVKSGNEILASYPSEGSTAERKAVAY -FTLVGGFSSTLFTADVALSLLCGSKDISRTLERRHKKGLSSERAVHAALDQLQEVKLLQMIETVSPTDKV -FLTALSVYLGKATGLSDLGPNLVVPLVKVTLEKRSDDTSKRWPPVVLSFLSTYASVCDDLVDDAFNLRGK -ALQPYLVEVLAYVDALAGVKLTGNFVNGFITGHLIRTKPKNLTYDSRVAWFTTVSSLLSAFIHKHFGRVV -SVSVTSARPFVPLEGTDQLHESNSYFSRVALTQGRSKQSSVVPELMMSAIDAVLDENLTVVENIGEAACI -IVLYYSIYGTNAIRINRRPGVLPVVLRDRPVVIKLSRVEAVLSERGKAMQINCFRTLARGYAALTLTLRL -KFNIYRNNWRTLGDIDGRVAFDTVNFVPRSLIPGYLENDYYKAVAFIKSNEKRVEGELQ - ->YP_004940645.1 unnamed protein product [Grapevine leafroll-associated virus 1] -MNPLVWLTGATDYMSVLRPLYEDFKAGGGRRVVNYIEVIDRGDKPHIIRGLSPSDENFELIAWAVAVGAF -ENSIYAFDTILSTMRNWKAYATPGSLQLDTPITKKKNKHFTLTAEQQAAVRTSPNSTNEAFAQLFLNLGV -FLKRVPKDEDISGRGNIPLVRVSQAESTDRIEQGRYSPLLAKFVRKYTHVVDAYDSVVTRQKIEVCSYYI -PELIGLLFRARDGAQAYKTVSLYLASFLQSKDPGKYDPETRVIWFARTLTMARELLEIYYNIRVEVSERE -IIRNFRIQPGDEFHQLSTMLLGLVADTSAKLTGITDVDVVLRRVLGLCLKAMVDENQHISNINYTGALYL -MLVYFSIVGTNYLRKKDTPNEMVFRINGVVRKVNFTHLKRLVAEASVDGRNYPREISSVFAGITLQLRQL -GNIDTVVWPDVVLSNPSLGFDTVLHSGYISLNPAMYKDIEIIKRKIRNSTHWVGGYKYGKRF - ->NP_813800.1 55 kDa protein [Grapevine leafroll-associated virus 3] -MDKYIYVTGILNPNEARDEVFSVVNKGYIGPGGRSFSNRGSKYTVVWENSAARISGFTSTSQSTIDAFAY -FLLKGGLTTTLSNPINCENWVRSSKDLSAFFRTLIKGKIYASRSVDSNLPKKDRDDIMEASRRLSPSDAA -FCRAVSVQVGKYVDVTQNLESTIVPLRVMEIKKRRGSAHVSLPKVVSAYVDFYTNLQELLSDEVTRARTD -TVSAYATDSMAFLVKMLPLTAREQWLKDVLGYLLVRRRPANFSYDVRVAWVYDVIATLKLVIRLFFNKDT -PGGIKDLKPCVPIESFDPFHELSSYFSRLSYEMTTGKGGKICPEIAEKLVRRLMEENYKLRLTPVMALII -ILVYYSIYGTNATRIKRRPDFLNVRIKGRVEKVSLRGVEDRAFRISEKRGINAQRVLCRYYSDLTCLARR -HYGIRRNNWKTLSYVDGTLAYDTADCITSKVRNTINTADHASIIHYIKTNENQVTGTTLPHQL - diff --git a/seq/clusters_seq/cluster_757 b/seq/clusters_seq/cluster_757 deleted file mode 100644 index ffab505..0000000 --- a/seq/clusters_seq/cluster_757 +++ /dev/null @@ -1,313 +0,0 @@ ->YP_009552081.1 hypothetical polyprotein [Diatom colony associated dsRNA virus 15] -MSVRAGVVVNNTTTKASTGGPLDLEYKNYRSHSRNTKLLRLHEHNLQLYHVGMLTSNIPKLKPKHFRNKR -NEYIDQSYFNTEPPYTGRKMTITGVIETAHGVNPQKTMDFPYVEDAVLWEPLRTRAGQWIFHEHKPRDEK -PTDIRKYRAQLLKHVKRSLPGGKGDLSSYFGEKISKVYPLYSGLINGKLNCRHGIFIKCNHCGAIASLPN -TLTPEYTDRDEETLIMHQKKPISRRDVADIIEGIENAELLGKYDFKGADVNEGMQSMIEWMIAKGSINCY -NCGWHVAATQFIKPGFYIVDQHYYGDYGINAMTLEEFKLCLHLDRPPPAELKLSRQSQERFDNVEIGRIL -GKMKVNHINMMYNVHENDESQALNDFSTTQLSRQQRLLEYMLTPLELQEVVLISEITRMCSGNMNFRPTS -INTEHCLHDIVTTTRASTWFDEANLPSRQVTLDMMHINVFTAAEETVRHNLTPGMYIMHINTNSTELWDT -DKTKLLTPVTGSDVPVLWDHELCHTLSNNASIKLNGRSYSLITVRATSNLCVTHIDDKPNSEHVRVMRGK -SKIKVLLPTIQLNSIAQTLGIVGTKWEYKMIDTELVYRLLVNGITGKKSAASLMTYIAGLTATRYSVGGK -LVDLTNIQVQDGIPELLYTMALLSNQRATRIWCQQHYIAINVRDTSQTLYDILTKKLLIILKETLPDMYQ -QLDEMLNTKFNLMFSRDNNVLKHRITANYDHIQPFVILTNKNFEVESRNPTLCCHHATGCYHAGKYECTC -CAMKTDNIDKLCDCCNTKHTVLHTHKCNHKCVGEASHECLDRREKGICKHTTICVCCKTRICAVRCPCCF -DELFCAPTDSKLERPQLRKSKLPQPVDLTQTKQVITVKESAPRESKQTKPADVSRTKPHTTFRTARVTKN -LRIGEERVRVKNLRDLEPQHFFFYNIEGFCYNFYNCVEGERLLEVTPLSNVDIVDSVNVKTKCLLQCISR -QTNLTIDQLTTTTEYKNEHTIKDAIAIAKQHALNMVIQDSQTTATMIRFGAMEGKYLLIAHNTAIVDDNP -RVVGHWCIPSTITLSKLPYGIWNNADYSLYDISRFIDVDVFYINDDEYTKHKMRINEVMSAVVSKGLAFP -GEFEVTSGDVTVVSNATTNEPVAGMYSYKLPAETAEIANAALTFDYLPGDLLAVTAFIGKETPVSEMVVE -KLKSQLRQIAFVREGVRNDTIDVKTRIQVKNAGNLLRLPNSLKVYKSLEYVRLKSKTGVHQWAVTGKNRA -GVFVKNPFGSDLVEVASTKLSFSSMHRELYMLSKAFNNVPHLNTMTLNNVVNGIPGSGKSFYIKENLAKP -DTVLLSKFASATQAFAAVGFKYESTLEAAAIRDIEETKIVVDEAGAMDVVDLLSVLNKHNMTVSLFGDTK -QITTVDFSSTPGVMLDVNVLDYYKDISTQWNESHRYGDPLLTDVIKLVYPGAAKHVDVTWQTTYALKHCD -DFDEIVELINEGSFDLVMCFHDEAKLYLESNVNEGVIVGKAHANQGIEGDNVAVVYFNPAGDATPTGILS -KKKYINNCITRCRKNLTVFTNMSSVHSVQEMVTYSVSGAGHAGTNLIDSDDLRLYRLPTTDEINVIKRYV -RKMYDGAAVLEKVGNAVDFRCRINGVAIDLTLTTTNTTPNNAKTRVVMVGFRSKLSAVLEELNDVAMSSQ -DINDATDMHMEVDTADESSESATTFYDAAESEEDMDMYLSDSIGTDETADRNGTIVTYRAVASHVVLSYK -LIPIMWSRLLMIADACLLNQRALSGLKLMLCGELVSVKIFDGCSLFCGMKFTFSDGQHVSMSSAHTKNIE -DTTTALSSQVRAFVGPKQRIQHLIANLEDPVNTELGLMQKTSLIRRIAERLKVVLNSKTRMLSNDDRVFG -NYYSELNRDFYEEFETRTGTVQKRITHQKVTKLLVHDESIVKLYPTQKVMYTLNDVMKQMSGVNAAVGAD -VNPANLAKMDAILQKKIVAVQTERKFINLPRQSWNLWSYVFNDLVYESNIVLLNQPMMDDTMCAIANSLA -LVIMYKVLDVAELDVSGVDAQTLVLQNYHEYRLLESDLSGIEMQYVNNTVDDMLSSINDNVTRAVGVERT -RMQQQLDKLRTVGAFVRQGKLHKTLFVGAGKQVQSEWFRKYDHVFALCVDECDDATKYHNGDLYVVGDNG -FKVYNARTDYEYNTVAVFGPFSLVKLVESHKHDYKTNWTKCNKYGLRTFEVLGTNSTEVSIPNVMFSKLL -SRTMVEHTTIDDLLAYCRSVASTVVYTNKGSYRKYKINLDQLQLMCIVVQNIADRHRKFFTSVDKLFFKN -DDDSDVKTMIKDRVVTMAGNLLTDYGIPQLISEYFDWLDHSDRLNPAISAVFKTLSDKHVVETTTQYKIV -KLGTSHHVTTLEEDESDDDDSTSSETIRPDNAENRDEDTTGGVVNVLGKTRTANLTGQQSSEEQTFVPKE -KSVSFALVDEGSKETLTMSNKASKLLSYRDVVRGVAKEVQDNPKKHRARIVKDGVVTDDFVKEPSQEKPE -IFNHEQVSAGIKIVKWYQQITFRRLCMPTGVHDAKCATCPKVTRAQLVSINRVAYDMFRLFNNYAKEHSL -TYTLCRGTVLAALYYGKVVYFNNNGYKHWVDGDIDIAVFLPMSEKPKLGPHKIHKDLAKLLGSTPYYRGN -TQVCAYLWYDKYPPLNLKKCPKVHPHHEKHDRIMHHYCLDVAIVYIDDKGVQVSNDKVSEQLGLPLGTYA -VSDLLPTNTVTVEFYGHQVAMPPKWWATMSYTAAVYKKGKFRDLSYPWFPGIETAGSVVCNNYTVDKSWW -KSYYNMLRLDNSKMYDWVVRSKESMFTTIERTKPTIACVVSGSMGDIKPMLAFANAILCHCTLVIFKPED -VQLPFKCHVVNYTATYKHMVMQENKAGRLENINNTIGDMVRNIRGQFDYVVGMHFAKESYLLTARYKQIR -LYPLVTKMKSVIFHAADLLTKVVTINTDNVVKYSCVRSDITSEYTNLGFACDASVTFLDENTRHILTMQA -AHGEKLVLITLGSMRVKNFSKLVQTIMDAHVQKILVVKGYNVGSMQLERGGAQFNITSEKFSADLTVVES -CNYHYLVPIVSDVYCHGGAGTLQTFAAEDVKIHVLPQGYDQRDNADWYHKIGKTLQRMDLKHEHSTFRRN -ACAIFGFTPNNVTLDFIPTNVPTFVKRWTKNDAPVVNAHNCTYETVSNVITTEHCVKISMEKVLGNGTNA -YKAFALTYDDFVSTRSVLSVSDVVLLVITSNCTCTVVDTDNSICYSVQNGGKMPDLAVAVNLTAMHAYVV -SVTKGDPCVEHTKQSILAMFPTTETYNATLLLSNFRDVLKGGRMQSRVLSERDEKTIRASMRLDKMKRYA -VYLRQANPMTLCKSKTTVGACILSGVNLMPWACYGMFTNKGYTIGIAVSVSGLKTSALYHGVQENLTVHA -IIKLNTIKNLAVTSKLSLGLVSDVTAVNQSTRKQCEKLKIPVQAVCVLNAKLCFVHTTWNRKHHLEKERE -CIQTAVDVNNVTKSLTPVDKLQLKKLRCPRAFVYGGNMAYGIEPDTEENAAVTNRLLSTMVGLKKLPHMN -VHYTNDAGLIDALRTIFTLSSDEFEKHMYAEVLKDFEFDRVTTVNGLIGKLRLQTVTSVVNAFVTSANLV -GATADNLQLEISSGHKFSIKVWEEAINSEAVFEYPFVIVGVNAMVYKAVASGYGIDNTAGIKVMADGEDA -MDYETKYDRQTENKFNVKRNDEYRKRTDGFVANVTAMSAMSHEHLSTENVDCPELVSTSRIVRVIESKAG -TFGVEDCVIPDIPDVKTMDYWDNFTDLHDNVVVLPTRADFSIKSRLEPDGVVTTAKLRMEQYPTVARPSM -TTTMASTLNSISTRQGSFETYKTKPTTTALEYKLLRDNYLRKDFEMLSARYKSKQINLDAEATMHWVDEH -NFPEMVRQSLKDLFDLGFEMNPINSIKAHGKVEQTTRLAKAQRWFTEVHTRSIMASAYCISALFSPVFLQ -IKKRFKDSLKQNIVYTDGMSPNQLTAHASTFECPTWIVEDDLSKQDAATTHDIIDVEFLLYADLGLDPGL -LELYKWMHMNWKWKSAGLSGISDAMRLTGQPTTSLGNTITNLVVHNRFYARNKIHVILMYLLGDDNIMFC -RKQLDVSMHGTETKEFYNIMSKISQHKGVGDYLCLIAHTVNGKVEFCPNFVRLRHRFSVCNYAFAGDERK -EKLRQRRLSYSLMLGNIKESKVWAQENFDGITNDWYDVAAAVKANAMYHECSEQVILDNIGRLLINMRSE -PIVARAAVWMSNSNKRSHRIDKATLSAFVHD - ->YP_009551959.1 polyprotein [Phaseolus vulgaris endornavirus 3] -MGEFLSITYDGLAWDCANAFGLNLFMLGVLQGGRVLGPKGIKAESFKSSYRAFTEKLMTLDKEEFSSKND -AIKISSMLKRELNLRLDHRGISEVAEYLGFDFMCPDPESYGSMIPENCTHDFTEAFLIHTCQFSQPGDFS -RLIGEAIYTEYIPVEKRNKNGNLRNDCFVCPACGTLNSKCDPEVFTCGKCLSVNVEISIDITELSRYDES -LYPISAYDHDLTINGPLANLTIQNYLDGGLLKLSKLSSELINQDVLRFVKARSRLQVKNQHVCSSLTDEE -VFSLRYHFKNLEIIKRKTWNDTYGMLGSESHCLLASLLTKRSRIGVVKGFNPSFVNSQKHELIFGRPTHL -LNWSETGLPDILGAQVFNYNSIPEVGHLIRAATKDEIFVIVPNILDQYNGFGSSNAFEVSTERGITTILF -NGSNKVIVRPIKDYMTLLCNDYIKVGDKVFSVDRLDKASTCTLVRIKRVTRIEKMDVAVGSKSVESNNFK -CVTLNLPDWQQNVKGLQFGPLLKRRIRFNVKFLRHLVSRCEAWPVSFHGLREYAIISSFSRIERDDKVNL -LFSVPFEDIPDHVFCAYNLYLRKQLSTQVASLLTNERTMGLEHIVQALAGGIGNVLINLVDSQVLDTNYA -KCLINDNETVNWLLSSQWAVVEESIKEWEVGKIQVVELDNTEIGLEYMVPDACEHGSEMNPIGHGCPCCG -QPTDLAGRYCSKCSVDSPCEHKCLHQCRSKRDHFCSGILINGSVSEGTKMPCGHKAVTCNCCRVISCYPT -CQNCFNWADYDNEMDNLVKVQIKVQEGEAPGMAVNRIISQARSEDVSGYQDGEENGDEKKVADSNLDGKA -FPVLKSNRRKGRKNRDDYKQKVFKPRLVTAEARNNDEKEKKNKGDLIPEQKPDEGKTTDCRAEVELASQA -TDEANIKLLEMKVFNQISASSSSQAASESSQSDEDEKSEEEANEEENIAEKFKLMIMIDKLRSATDDSYT -ELILNSGKAPRLEVRAATSTLSYIHQGEVAVNLTNIRAVNGINADYEGGYCLRDSFAYYNAEINEVSWRD -ACDDLNLPATWCLYTEISKYAQYFSMNVLVVHQARDELLSANMWISDPNQDVNFIRYITEMPTEETYELN -GHYQPCELTMSGCVSCVPCYTIDVTRDDVDMIYANVTGRGDINKFMDLTLEQRVAIGLAINENKGVGLFK -VGFPNIEYETKPRGFFLCNNANKENNPRGGKLAIFIPDVNVGPSFHIPNLQTEFELTEWVLKNQLDSEYL -SDELGIAKDRINMAVGQYLQLKLQVESLASGDKKFVQQIANRIKNIKFHKRKNYWFVITNPQLEKLKTGD -VVLIRQGPSYYCCQVLRHLRKLIFPVIDDSGFNFQSEMVTFKTSYASLLIELDSAAKPGMPMHRLKQIMA -DSVAISGYPGTGKTRRLIQMSQLEPGVIIGVTRGSQNSLRAEAMGAKVRIISAERALTERANSSCVYIDE -GTLLTVQRILSMLGPNVKKLVVSGDPSQIPAKEYSPICAYEPTNLLEFARNNGAEVVSLLETWRFGPRVC -GILNEAFGTDLKSVKPNDTPFHLEHSDGLNKKELNSIIEKRRIDTVLVFTTAVERQVNALLGPENRVRVA -RVHSSQGDSRDRVLIVQDYRKGPAQSSLEVQFKREYMIVAVTRCVKEVTLMCTYETCKHRESSNTNIARH -LNRELEVQYLASGGASETSILNQIIGGLSDQFNAELKSLEPSFMKDWASVIIGYVNGKINNAKYSHIAEL -VRTKNVGKLHDNLVQAGFPLITEVSTEDGKIYATMDYEQTDWNPLKKMFMMNFSRKQEILVKDNKIYLGG -IMILSKANENLIEVEAGDFDAWKYTKNEGCLFYIKNFHEVVNEGECQTINMNVRLLNHSAQLTWNAAKAP -LVIDYAGKKYYVKPTTGCSLCGGLEIRTKKNKLIVFVNNAYRTWTSRSLQYKSENNKLVRLLIGKWDKQY -NDGHLWEILCPLIPNVKHHMLHAMLWGERVLSGLRGLLRGKTVNPVEGHLFRNELEFNERVLIRYKNEAA -RRGITLIWKNDPSLAYFRCSSFLFSANQKGKEGYVYFEKHSNPVFVKEGWVKNNQYKLVLELWRNELYRF -PYNLAKITGPSDIKVEGYGELSRMLELDLEKHGKSNSKLISMLDEDLTRQAKDRLFANPEIQIPCDFLED -ARDLMIHHKYILAPDLQSFCCGISYLLDSVAVKQYCANVTVKSKGFVTRFPNLSLRLDVERYTRILIPEN -LGSQRMYDNLIEDCFARLEVKIKVLQDMIPAENSREAKMAGRGKNGKDRYSEPRAIAGVIQNMINRRDLA -TLVDGLGNCTEIGSLAFGVSALELSFEELRKIKTNNTNDSLLMMIPDFRNENIKVKTAVQGNRLVFKGEV -VDYEINPDWCELVSRLINAKRWETVECPDGLECVGQEDLFLVFTVCDKPQDIVRKLPVNMRNDESICSVP -QLNSITEMKRTKSLFRLAEFSLDTELLGRLVRRAMIPGCSLETLQTIARNRMHSTTISKLGRKSSNKDPT -TDASLCALVAMNLANHHDNQITRYMEKIEDFLLDNKDWKRANAALIHTIKMEMNTILGKVLNLRISIKEL -SEVAGNCLYTVLTDDNNLRALPRLTIKEQPHRIMYGQFFHHKLDVKNPDGFNFGPNIANKVLTNTVKAVL -KMANEVKEGYWVKKENNTFSSTLYGKIQEGTEVKVVLHRYDLAGGLWDVLSKRLEISSRNSMITHSSVRI -GNLEVSYGNGIKVTEVGKQSNGLQHSEILIGTVRISEEILRDIDESGKRIFVPKKYSPIGINCNLFSLWL -LIKLGFLWKIRVDRQSSEQLGDVASLVPEFGSNVPRIILDTFLDNNSKILKDSEIVRRIEDCLRYTMETS -RPVGNSELFCFIITQLTILTGLEEERLFRNIEEMRESMKMVEGGIAASTRASVIERDGNESDLCAVNVAG -DPVENERKFRALEIADGPFFLVEQSKMKQFNAAYQNQDEDEITGLTVIYPVMEKLTDMAKMDEQKDDVKH -VARSIILGLICQLVSGLSVSEFAAALEGINNEKIARKVKRIAVKITCGDKPATTVQTEPADDADKDPEDG -DGDVKGKDSGKIGKMIDESNKENPNTAMDKSTGGLDQNGDESEKHKECRKSERVSHQSEEKKSGQRMANE -KEAERKVTCQAPQETAEDGEAHKGMSFKLARYNGSETVSQLIKDVIESRDLNRNEFTVELATNTLVKYFL -EAGVDPTINTISNLTESAIQDVMALADKLTSDFVFGIDDINRLMANKVRFNMYNSRSKVSGGNKLRGIKV -GILAVGSFGDTLPVIRAAQILKNQGAWVALVTHADSPGLDGANIDKFYPINKSQEFTTGNIHNVGPTRKL -DHALSHNEEAVAPVIKALKEHEFDVFLSTPLCPAATAHLVNKGIPTADFFCTYCWQVPESAMDLPLGEGV -MTAVKDKVVDWVFSHGYPIAKQTVAELVLQNFNLESADISNTPRLVLSWSFLHSQQARDDNLCLFLGYTS -PCEKLNAFEVARKKLKILVGFGSMSVNLDIANKVIEILRTMDPKLFQLTLHSQYEHKTRALRSSLVANGF -KFKELTGYQNTGQMAVNHHVMICHGGIGTVQECLKATCIPIIVPVFADQPYVANNISERKFGIWYKGDPS -SLLASVKKISEYQKRVIDLKLDTKTVENNLVDSILDLCVATPDKETDAKSKEVGNLAHEGKTVPINPYLI -RNVLELPCPDPTNQLPGEYECSLKIEEGLIEKIGESYTGDDCLMEAMKQGITKTQQASGRARLVHSLSLF -HITRISELGKLFAMAYYNRVNLQVLGFKNRTTIFDSRWPLVSIFIVPVNNKTGLNNLHAYLVNPIIQLSK -KINRKNTNEDAANNEDVTNLGKIIGLPIGINPMGLLESLVTAKNLLVEWDWAAYGSYENFESRLHGTNID -SLLESDTGLIMPVDKANPIQNGVTIETRIDYQSKIGEIVFLGTEEGLIPTMITNAKNGKVYLYTGRETKP -LGLAFRARLIRSKKERISKRMLQDNVMEGKVCSLNKETINKLRETSPGLNLELVTEDLINSCPEIKILAT -SFDTRGHHSERSEMERAIIIKHAGTQKLKTLPVDLGEGLVRAIKYSKIAYFNYQNGHTWFNIETASKDVT -MFLSKIVTKMSSEKGGFFNQGTSFRLDVTNMRDVDSLVRLFNNHFKLAGHKIEFFKDENYCKEGIMTVRK -DDIVKKTVTFDSSVLDQLILDWFEGEEEIPACAIHDLNEHDWILDTVKYGICLDSTRTKLLVITPLIALS -KILLKGAGFVDEANPTGGDGSRVNINAKDLVMDQPSEAVIGPQFGKDNFGRFDKWLQANKHLKEIDNSVN -NKAKVELTRKKFVWEEIYGCLQADGQLQVDADISTKNYRIAEDLDFQAEMGFAPARRSTSDVGNIEDHRI -IDLWEEGLDLIDHVVIHCPTNEQKYVIREGNYSIINTVKTIFSKYPVQCRPIFHDETYASLNSLTGRLGR -GLSIRSMLARPKTHEVIDKIALYFFDLRWKELTNTFQSNPIMYNEKDFLDWVSKHKNPGKVIKELEKMSA -EGILLNPFNQFRSHVKLESINKNNPVMDFRQSAPRAIVWLPYFMPAIFSYMFKMASDRFKLLLRNNVHYT -SGVDVIDLSKIVNEVDTGFYLFDNDISKMDSQIDEDMVRVEWEVLRLLGIDAEVMEVYKLLKNNWRISNK -FLSVRGDWLRHSGEPTTALGNGIINLAITSLVLENTCRSNIKLCLFVGDDLIIANEVSEDLQMIKRKGRE -LANAILKPNQSRTNGPFCSFIIGASETSDRLCVVPNVPRLAYKWEVPNGQHETTEDAVFTRQLSYSCILG -SNEFSKRVEKLIKDKLGGELQVPSYYDGSEMIQLNCKYHKLEEMEVVECLNTLYQRIEKPTTRQVTALIT -SENIRKGVKSMSRIKELTGDSDQFEHRRLIDD - ->YP_009225663.1 polyprotein [Erysiphe cichoracearum alphaendornavirus] -MTPRQSQKSRQRNTTIHSGRRRNGGARNEIKLKTLMLFQHGVQGSHDIPPITMKQTLKGDNQYIDTYLAD -CNDVVNTKRLGISGVINIYHSKATYPVCEPYSSMTFNGNTSHVRDGKYYIDDYHGEVQDLCSFEKYRADL -LRDIRSSKKTHGTKKKMELINWLTDKSTAFPYGNMAQKRDGFLELLDGYYIKCAHCDCLTYLPLSTLINP -NVTKAQLTQFLRDFSTQKAEFFPDAEIYGELAMMVPMCFSSSSGSCFNCDEDLFSETINMMHAIYTESIA -YHNTIRGTHIEEMTKLNMLQGAPPDDMPVATAKYNELHNRHAAKALAKMNINHINTIYSLNDSTRLEMMH -ARAHTRITNTARMNTTPMSILESEEILLVSDLASATAGNLVHHPTTITTNASLRDIVDTVCNGPYFADTG -VTIDIPTAAADYLEIIPAQHDMDKMLNYAASGAYVMLIRPDVHNVIVKQDSKYYYTLATGTDVPVKWDIR -THALLSNCAKLHDGQNHYNIHTISHGAYLQIIKLELSDSSMHARTLGNQPTFTASIPVFDPLNPLASLGV -FGTKWEYRQINVELLRRLVTFALTADKSPGAIEAYYAGILSTHYTIGGKVVDLSNTPVSEGVPEIIYAMM -ILSNRKLVTMWASILHLNNYSSVNGLKTITNFFLSRLIRVAVQLADKVLPWFEGVLSKPSEFAKRLVNTE -ERIDVESVFAKLLPLLIITSVNDTQNPAKPAISVSESAVCHHHTSECNHQVGDVRCICCGVLNMNSICEC -CAKHTKCRHSCNHACTNKLHICKKCANGERCVMRARCPCCNVYGCIPCPICPQLEKFEVSDVQPTTAMSL -VTKVAHRTMKVPESHRRPVQTTPAISRPNLGNDALINLAKSQAVFKPSDTKHMLHTDYMNINKPNHLFVI -DHSDLYIPMLSFTGQYYVPINLPTPVVSNPIPGTHYCAYACIADQTNNTIESLKNMHGDRHSMDAQELRH -LIKLLGLNCLLLLNSRTAEILRYGPQASKYLLIAHGSITAEVPHNHWFVPHIPEFVDMPTGYYGPHNLGQ -PFEDMSQLNMWKASTLEMGRINKKISVMRRQLQHNKPGATLELPRVTLIGNSYYLTNGKTHEPRIGNIHF -MLPPMFDEELVEAVNGSSSKLVKSLYANQTNEWEQVETDAINLLKLKCCDINNFLTSINDVEDQNKCTRH -VIDSDEPFVPTHVLGKLKPLDVVRIVQGSQSTTIIVAGEAAQPVVCNPYGAGAMLYQFKVSLSSLYRSLI -MVTKAISNLDNVKTMKFGRVVDGVAGSGKSTLIKSFPNREKTTLVCKTRSAMESHIDSGFHSRMTMEAAG -LSEIITSTLVIDEASMITNVELLSCLDSPHMQVHMLGDSYQIGVKDMSSVLGSRDENSLLAQLKNEALTH -TYRFGQPLVDTMLKQFVPNITCQPDVTTKLTHLTADNYDKVLQYCSDHNVNAVYVFMHADYLQLMHLVGD -KLSINKVHASQGKEFDTVLVLHWGNFSQETSIVRSSKFIFTAATRARKHLIWVTPPAVKISLSSILDIAY -IGSGYADVSVFDVDRCALTRNLTKLEMELLGEMLPNHPTAKESNSKFVIKPHCINVTALGPPILNKRPTV -SFVVDKSGTYGTNTVARMGIEKIKSGIRKHFPSSFAKLQAEIQSIENPVDITTPTETSEMFSKMTLSPND -APSSDTTDIEHLKPKTSFTQLREPTILSNGSETSSSEDSLNQLAAEERTSHTNPSLLTAQLNYMVSNLNH -KAKEQAGQVLGNVLVDTDDHHTTAPYINDRFSFGTPTPIVVKQIHLNSELWSRMIVLADVVLAYQCTNAA -ITWKVDNSIFKLSIHNGCSLYCGFKFEFETETVLISSAHADDSRDGALTTLNACNRHIVGTTTAIVKVLS -FFSDNSIFGPVSRNVCMKTYRERLSNIFTAVISNCTFDSVGERFGNRNEEIAQSCIDYSDSWCVPTHQSM -THFIVNSDTGVTLQPANITVTGVHALIRMFSGIRGEDAVFTLNRANKATLDRTLIRHMNAEEKFDRPVSI -PTQLMRSELWAPFLQQVGSNVITPVALGVTSISTIHGAMLLGGAMLKQMFGTDTMHYVGLNAQLFTINYN -IGDTIEKVPGDGMIYIKNMLKAKVKSYTQQLEVESDPSKKEQLLSDINFLGDINNVLRSTIRGVVICHPP -YYDAYAGDNCYTCYPHTTYPTCLLVKGNLIESSEDGTKFSVDISHTVIMGVVGSYYLHKKVTNLPSLTVQ -ASELANPNYRVFASNVAGMETVKIPDAIFRRMVARAFAERDTTLPDMVAYSRSLLNTMTYTSKGYSFRYN -DNPLELLQYSVVVLNFANRQRKLTSFVGAVLGASADDNSNNIASPIITLLTEALKTIGLQVAQGVFEQPL -AILHEMLTRGTINTPIVSDVFAVLRDANYQEIFPSVRLIQRHHTDTESTMVVSPQHPGMVTQEEVDEVIG -EMPTSATLPSGLSQLVQPVVSKFKKDVAQVTTQSQVEIIRNTSNKLINTWYDMRDAWNKYVKNDDKFQPW -EFDAPIGDVLLLYAGSRGDAQPLDTIAEIAVKCGLSVKALIPADLHSRIPGVTYVEYCSSYDHLTNSGVN -GTLPDVGEFAKHAMDVYQHWLIEHMKHRIVIGLFFSSEANLVHGTEKNIRIIPQLDDEWDGNKKCAHGMG -SNSIRALFQLPQPKLTSYWAVPFDVLDRADNLGFLIPQYSLSIDNNTDMALRFSKKHNGKVRVITLGSML -PSDFAVRIDNMLRASELPPIFVTGKISTAQGTFSYKDISYEFSAQGMYEDICIVKSVNYLALTGHVQECH -NHCGAGTYLTFKMMGVRQVPWPVAFDQHYNAYYCNETDVKSNGAKPSLSVEEQINKSARNVQVMLGVKGA -VQNYELRLLHQVGEMVTIPTRIDSSIIMQEVITSGLKPIKNNYIDNCVLNVVLDCLERHPIISRQAENLY -RTQTFITVSDVMEFLIQLPVSYLICHGGTAIMCKRQSDAHVRIYVTEDMSHAEEFTYTGVVNAAPVVDDV -YQDLIVNGNFEDDIKIATRLLEGVPQPSVQQIINHLAQTSMRKLHPVEIFKGTTAVGHFQTTVRLSAGYS -YACFTSDGVVMALCVPSVSGNPILLHMHDRQYQCAVVMRMNKLINIQVGVSRSLIRSTTLVAINHSTATK -LKCRGIYVSSVGQTNADTLYIYDTWARKHHLEPEQDVIRSAKRIMGVPEEDKAMLFDLTTKRSLLLHNDY -PVCIAKGKLYFRLEHGCEQSAAIISRIRAVSKVSDNLAILPYSQEKVPMVMIVFKYDGTKVTYSNTSDVS -PPSTLQELVDLIKLDTQVAINQLKNMATQHGYMTLEACKIELVVKPISLPDLSMAVRRKLLIADTDILIN -LNAWRFQIVKQGAGFSKFDELIIPSLDDNKFNSGRYVVQNETESTTITNNMPALLPLDFSQADVNFVARQ -QLSYHAKENQIISVGSVGSVGMEVDAITLDEIPQSQVMDFWHNEELLDSNIILPTNTSFTVSSRIHPIRI -KSNAYLLMEMYPMYARPSRSKAFAEELNSITNRHGAYTVYRKVNLDVKMEVQMLLDNYMRADCNQIIAQY -QGSQINFEPAKAQAWIDKHNMPAKVRSDVIEMLNSGWERTPINAMSVHGKTEQTTKMKTTRWFDEVVTRS -IVAAPYAVSALFADIFLEAKQRLKALLSDKVFYSDGSTPLELAAVIRSSEDFTFCVEDDLTQQDRQVDHQ -LIAVEMELYKLLGVSGNVLAFYRMCHEKWSWKGHGISGVWDAMRLSGQVTTALGNAITNMIVHNRFMLRN -KSKICKMLFLGDDIIFLMKQEVTVTKHGTETKELYNMQSKIVSRRYVGGFISMVVYNIAGNVGICPHFKR -MRHRFSVCNYTYPVDETADKVKSRVLSYLYMIGDCAWARALVEKMGYSVQLPQWYHMDTAIVANSLYDEE -EEWQTRSHISALRYMLENQVVKRHKFLTWSSV - ->YP_007003829.1 polyprotein [Grapevine endophyte alphaendornavirus] -MTPRRSRKYCKRTKITHSGHYPKGSARNEIKLRSLHIYQYGIEGDHDIPKLTIHHTLKGEHQYIDTYLAD -QNDVINTRRLGISGVIDIFHQRKEYPVDHPYDELTFNGNTSHVRDGLYYIDDYHGEIKPTYNFNTYRAAL -MDDIYKSNHVHGTKRMHTLVNWLTDKSVAFPYGNMAQKRYGYLEALDGYYIKCAHCDCLTYLPLSTLLPP -TITRAQLTQFLREFRDQRECYMPDPEIFGDMAMMVPMCFSTSNGNCFNCDEDLFTETVKMAYAIYSESFA -YHNVIRGTQIEEMTKMNMLQGAPPDDMPAALSKYNELHNRHAAKALAKMNINHLNTIYTINDMTRTEMMS -TRSHLHITSTARINTTPMTSLESEEILLIGDLASATVGNLVHHPSTLVVNASLLHICDTICNGPYFADTG -ITVNVPMSVENYLEVIPAQHSFDKLINWAIDGAYIMLLRPDVSDIIVKQDASYYYTIATGTDVLVKWDVH -AHALLANSAKYFDGANYFNIQTITHGSHLQIVKLVPADNTWHARTLGNKPTFEALIPVFDPINPLATLGV -FGTKWEYKTINIELLRRIVTFALTADKSSSAIEAYFAGILSTHYTIGGKVVDLTNTPITEGLPELIYAMM -ILTNRKLVTMWSSILHLNNFSSSNGLETLTKFFLSRLVKVSVQIADKVLPWFSGVLSKPTEFAKRLLSSK -HPIDVDSVFAKLLPLLIITKQSDNTEPIAPPLHVLDSAICHHHSSSCNHSIGDVRCVCCGIMNANAVCAC -CVQHVKCRHSCQHKCTGNLHACKSCMNNKPCNTKARCACCNVYGCQPCPACPIMEPMQLHDVQPSSKMSI -VTRLANNAMKVPVAHQQPKTITPAVQRPNLGHDALMNVPKGGAVFKPNETAHRMMTDYLQITRPEHIFII -DNSDLYIPMLSFTGQYYVPIQLPNPVVSNPIHGEHYCAYACIAEQSNYTVQMLKNMHGDRHSMDVVELRH -LLKILSLNCLLILNDTHAEILRNGPQANNYILIGHGSITDEVPHAHWFVPHIPKFTDMPTGYYGPHNFGQ -PFEDFTNFNMWNATTTEMARIQQRLKVLRSQVRHSDKLGDLALPKVRLIKNVFYLTNGDEHTPRLGKIHL -PLPEFVDEELVDALNGVDSKLVKSLYANQTNEWSQVRQDAINMFKLRCCDINQLLVSDEALMSPLQSYST -TVDSDEPFVPVHLLNRVKPLDIVILKKGTQTHTCVVAGDARQPCVRNPYGSGVTLYICKTSLSSLYRNLI -MCVKAINNLDNIRSVEFGDVVDGVAGSGKTTLLRNLTNRDKATLVCKTRSAIESHYDSSFHTLMTMEAAG -MSHIVTNILVIDEASMITNVELLCCLDNPNMLVHMMGDSMQIGVKDMSNVLGNRKENSLLAQLANTKLYH -TYRFGQPLVDKMLKQFVPNITCEPNVHTELTQVTASSYDEIVQLTNNYKVDAVYVFMDADYVQLLEILGD -KIAVNKVHASQGKEFDNVLVVHWGNFQVETSIVKSSKFLFTAATRARKHLIWVTPKTVNINVSKIMDIAY -IGSGYESPHLFDVNECRLLRPMTELEMGLIETILSNHPSAIEANSKFTIRKSSITVEAQVKKWLTNINIS -FTVDRLGTHGNNLASRTAIDSIKDGIRANFPAGFAQLESRISEIMSYSETSSGITSDEENAIGTLYDADA -EPVDTNTHTVSYHSYNISIDETEQFATPPRPDTVRASDDRPVPMPLSGASPQIFEAESERLRKYLDDVEQ -QRLSAAPTISAAALKNTAQATTLLQEALGDASIAEALPTHMQPILTDDHNPTDSAVATVLTKQLAHMVRS -LPRDTRGTQPTPVGAVLSTDLAEPIQQCLDTRAFGILYKLQVKHMHLTAQLWSRMIILADIVLSYQCTST -ALTWKHQGHLFKLSIFGGCSLYCGFKFEYDDDTVLISSAHADDTRDHLLNTLNTCNRHITGTTEGIVRVI -SFLSDDTLFGSVPRNTCFKTYVERLTNICGGVLTDCSFDSVGTRFQTENTSRAISCDSYSDSFIIPTHQR -VEHYIVTTSDGIRLEPCNVIVHTAKQLVQLFSGIRGTDADFTLSRANKATLEKTMIRHLNAEEKFDRPVN -IPTQLVRSELWQTFMQQVGSNVITPIAIGTTAVSSIHGAMLMSALMLRQMFADEPIHYIGLNAQLFCLNY -VVGDTISEIPGDGAVYIRQMMSAKAAAIAALIEGESDADELKRLQDELAIVSDYRAIVASPVRKLTICHP -KYFNRYHSNRVFTCYPYTTDPTCIVQDGKLLEASEDGGSFEVSLDNTVIIGVVGSYYMHKHVEKLPEITL -QSSGIANPNYRVFASNVPGMETVSIPDTIFRRLVARAFADADTKLPDMIAYSRSLLNTMTYTSKGYSFKY -NDNPLELLQFSIVALNFANRQRKVTGFVNSVLGLGVDTDKSSSYSPIINLLVESLKTIGLNLMQNQFNQP -LQILHEMLNRGTMETPVISDIFAVLRDANYQEIYPSIRLKYRHSERSNQTVNSDTAANDNDVDSHPNTDA -GDTTDVQTTPAVAPTPKPDKGKGPALPDNSEYASSSQTQQIAKFFSDKVITTWHDMHEAWNKYVKSNNQY -QPWEFNTHVGDVLLLYAGSRGDAQPLDAIAEVAVAGGLSVRSLIPADLHSRIPGVHYIEYCDSYDALTTC -GVNGTIPDASTFMTHAMDVYRHWLKEHMRHRIVIGMFFSSEANLVHGTEKNIRIIPQLDDEWDGAAKCNH -GMSSNSIRKFFKLPKPVITPYWAVPYDILNRADNLGFLVPKYCLNIDNNTDMAIRFSIKHNGQVRVVTLG -SMIPDDYLVRINNMLLASPLPVILVTSKLHNKSDKFDYDGQTFALNMPGMYKSICIVPSVNYLALNNRIV -EAHHHCGAGTYLTFRMLGVKQVPYAVAFDQHYNAWHYNKDAVDSADKPPTSMSVEEQLNRSIRDVQQMLD -IHGAVTNIKLVDPTALGEIPFVERPIDTNIVVKPVILRGVKTIKNTYIDNCVFNVLLDCMQDDPACARLV -DAACATHTFMVPEDVIEFLLTMPCNFAITFRNTSLICDKKLNVNVRISITDDLSHAEEFTFDSYEEVAHA -TSDAFNDLIISGDYTRDVQTVVESISTLEPINFDKVRHSLVLTSMRKLRPLELFNGASGLAHITSSKRLS -AGYVYACLTSAGLVQALCVPSLNGNSMLVHMQPVMYNCAVIIRLNKLIDIPVGAKRSLIKTTNLVAINHA -TSLQLQTRGIFVSSVAQPQADTLYIYDTWARKHHFEAEQETIRTAKRIMAVPESKDKPLTDITPQKPTLL -SKAYPVCIAKGKFYFRCESANRATNDILNSLKFCSKLTDGLYLLPYKSKWTTLFTILFKYDGTKIEYCNT -VSVDPPTNLQELYDFTKVDTMAVKLRLENLSKQHGYTSMAECRIEQVTTMHTIETYSLAVRQKLHIEVDH -LITGANGWTFRIAKQGAGFVQFDEIHIPELEDSKFNNSKFATPLQPTPSTTLTQDMTALIPLDGKDLSNN -FVYKQTLNYHAKENQLISVGNVTSLGLEVDAIKYDEIPQSQVIDFWNNSELLDSNIILPTNAQFTVRSRI -QPIRIKSNAYLLMEAYPKFARPSRSKAFAEELNSITNRHGAYTVFRKTDLDTKQEVQFLIDNYFTKDYKS -ILAEFQNQPITFSPAKAQAWIDKHNMPKKVRADVMEMLATGWEKTPINAMSVHGKTEQTTKMKTTRWFDD -VVTRSIVAAPYAVSALFADIFLETKQRLKAVLGPKIFYSDGSTPLDLAAVIRSSDDFTYCVEDDLTQQDR -QVDHQLIAVEMELYRLLGVDGNVLAFYRMCHEKWSWKGHGISGVWDAMRLSGQVTTALGNAITNMIVHNR -FMLRNQNRISKMLFLGDDIIFLMKQEVNISKHGTETKELYNMQSKIISRKYVGGFISMIVYNINGDVGIC -PHFKRMRHRFSVCNYTYPVDDTDSKVQSRVLSYLFMLGKTKWTTDLVAKMGYSVSLPEWYHTNTAITANA -LYDETEEWVVRSHISALRHMLEKQVVKRHKFLTWSSV - ->ADN43901.1 polyprotein, partial [Chalara endornavirus CeEV1] -MMMRGGDMEVDQTAAAYHGMLTTKINFKLKKKTGLNRSIRDLPIVGNASKKAEAEIANNNLRVKKWIDHE -YHGRPSALIEHLTKIQPHPTVSTTPDARRGHILIRCACCGAYTAYGINKPMSARPGGGRMMRGRMRGPMR -GPAASTPAAPPTPITAKQIATAPSVSWLYQGLVMSMLMTTNDGTEGLIPLACFNCGHSYLDELMRYVHIL -QGVEPTVHTTIRGTLLEYFLKANQLQGAPPEAFTKSTQLYNDIANRKVNAMLSKLNPTHLNFIFALSEET -VSSTLSHRAYLSHNAKATMLQNEMNALEVEELSLFADLVDTLGKQIIVNPSSQVTLASMIDVTPVGFASS -WFSHINLSYQSKLSPPPDAKQILIATDGVNLSDVITNGHMLLVPRTTLLSHNDGISANSVFTEVTSCDIP -VAWDKKAITILNNAASFSIGGNWYDAHTVACTNNLQILTFTQCDRPTGLRSMLNDPNVEVKIPIIDITNP -LSTLGVIGTTWEYRKVNIDLTRRLITFAISGEKSISAIGAYMAGLVSTRYVVGNRSVDLTRFGISDGVPE -LTMACLLLMNRKVLSTWDATLLNNNMDITKGSKQLVNYLGSRLLELLVNLDNPISQHVKSYLENGTIFEA -RIADLARELNFTDMLLNNTPYTIISGANTVDVITSGSVAISGCVHHKSTCSHDTTGKRQCVCCGMTILMG -TLCSCCKGNHTCNHKCNHKCSGASAHICTICASGNHCLNRSVCECCGVESCAPCRVCKSELEFSTFTMVP -NTRLEETKKHTNKQSELAKELVGPSRTQVDLISSTTRRSELHTSGNKVSIPLKSTSGGTPETFETAKSAS -VNWKSIVVYNESDVFIPTLSVKGDFAASFKHMGKEHVADIINVENYCGLKAIATATGTDYNSLKYQYPEL -SMSSADDLKRVVKATQLNCIIIVNASSGYICRHSTMNDNYGVIALTDAIPELQQSGHWIVTHFPGLDGQP -NGFYYPMDFGYPFKSLDNYNITQHSDAENVDLRAQLVRASVRAQQIGATTITPTVQMINRSTYLSNGDSH -NIVDGHIHIELKPHQATILAHLEDKIPDTAWLGESAFYEDKWDDLEQAVNSALKLTVMELIRCSSNLRSN -YKPDVGGAVQLVRTVNATTLSDPSLKTLDVVCYCSHGKWYNTTVTKTPNGATVQIADASISEVYPTKVSI -ASLWRRICGLLKAYNNLGNSASCKFVTAVDGIAGSGKTTMIQALPGLQNATIIAKTRAALSGLSSTPCHK -LMSLESASYTKINSPTIVVEEAGMVLPTELLSVLDQPNMRIHLFGDSQQIGLVDMHTTPGLRGFESILQR -FKTETLTKTFRFGNPLARDWISKFYPTIETVETNQTTYGYSRLDSIEETVSVAINSKVDAIYCFLSHTQR -VIQDELAARSVDIPVFKVHSGQGAEFDKVLVAHIGGGASHSGVMYQKSYVVTAMTRARKHLIWVTGNNGP -PSMQSLQRLLLSGRGAWVDVAVNADNLSLTRQLSQLEVIGWNAALNSAVFSSTGGWNATVQPDCIFITQQ -TAVGLIKFHINMQGLHCDNLLTSLAKPMIQHKIKKALSQWNVTESADLVSEVPIKTLEMPGAFPNERNLR -NDIMITKSQFSLHTLAKLYTLGNICLAGWTTRTPIELNISGTKVLVKHFNGCSLYCGFKFESGGQTCLIS -SAHDEKCKDSLLFMLTPHIRAVSGDKGLMVKIMPWLESDDWAGVNTSLLLGAYKERVANLWTSIGDGCST -DKAGFTYASTNKITLQSLADYSEVWQTPTHQQVTKPLLKSGDGYRCAATGVQLSTFRALTMHYAGVGANE -DLELSRANMGVADRILESRYKAMLSGVQPITLPSNTFNYHKLAVENHLGANHITVAPRTALSAHSSNIAK -MAIVSILNTILNKPLITIAPMDVVTFVLNYRKGMRLYAPILNVIEREVVTKFARQKFEQLSAALERSNKP -EERQAIKDQADWFRDINNLTTNSTEGLVLTDPRYQHLFIGEVLVVQPFAMSADTVLDVDNGTWSHLGPME -PATPYQNTDGIALMHIMNYKVCVTPPRHAHVRLYRDMETMRHQRRFAATRGHLRTSTMPDYIYKKMMARF -FADFSTTVNDLVAYGRSLQQTVTYSSIGVHARSLDDPTQILDYAIIVHNIGKRQVKTLQLLDSLSTPKTQ -MNPEVLSNTFKVLWGAFGSSIVKLLQSRPEVELLNELAKLAVSEGVGKKLYDLASDVEIYEMQPKKWLVH -MSTPEPDDLTGDSTNTSDAHDGEDESNQQLQPTGYAPTKADPNMAPTSESDTDKDPKTTHDRSDESTYES -TKESFDWANEVEEHMEQAEMRNATAKPVSNVARWTPPAHWEKASREPAWSVVAKSKRVEIPDNKPTHISH -ANKFELLGEIGRPESTAQQPPPQKTQPPVLTTLSQTTKGLSREEVLKLEAMVDIPAAFGNNQPTDVCLVY -TGSTGDSQPLNAIAAVAHACGLTTTALAPADVSLPDTITVPLIYTDTYNHFTDSQVQKTWISWHHIVKHS -LDVLKKWRTQDRKHKYVVSLFFNGFSSLVRGTIDNIKLIPQLDQNWDGFASRTWHNDNLLTLLGGTRELQ -FQLHFCIPAGMSTTTNNLGFAMSKSDFTLSRTDVDDIACLRFIIANKHRRVVLITYGSMRPTDLSDRVRK -QISEHDGHPIILVCDKLPETELQQFCRAGDVLVVPRCKHKLIFPLVSKVVCHGGAGTMLTAMLAGVDMVL -DPCAFDQFHNKSTMAQLSHNIVNDLEHEYFHFQQNASEMLCGGNVGAEVVTTRTPTKVTAMLDREPDKLV -SVQNKMVVSVESVPNTYVGNCVMACIGDCISNATVLACVAENFESRPINTAEDVVLLLAVSGVSGTVIHQ -DRAWCVECCVEDEEGMIRCDLWLNNGLTHCELIKQCELKVTAPSPTLIRPDLVFSALGKEPMHMLVLLLN -HQWSKLGYSTTEFDTAEISPAMVMDCLHSSFSLLTIESSRIPGGYQVPSSLEVGVLYLCIGDQGSCFGLC -VDAGISTSGEIGTVIITSSNIGDHIALVSEVGETKLHQLSHACVLDLYTNIDVKSQLHERALTESSFISD -DDSVTSRTVEALDISPTHYTLITDDIIAQLDAGAPIERVPEGDQKTRGFILASHAIGVSEFTRIDSEIFA -KLPDVVGKPQDILLAIRGDEIKQLHGRKATASLSVVTDLLTLQQVRWVHDYPISDYVRIRNISELSNFLI -TRAGATRDHINTWMDTNQWTVMDELNSTDITPQDGVPSIALVNGYHMEGDKCWVPLLDRIFTVVKEGHGF -APTSQQTSVQLEDSRFMAHKFNETHDATDTVGVVKEILNVSAMIPSFSDSQQAPYAGEPFFLSQHEASNQ -VIEVVDIMDNNGSVEVMKVDDLPSAKTMDYWDNTELIDPVIILPSNPDFKITSRIQPIRTKMSRYVKMEE -WPEVARQSRSKAFGEELNSVSKRYGGYIDYQTTPLNIEDEVSAFVKNYFVPQFSSLSTMWMSNPVQVSPA -GVNNWIQAHSSKDMVLKSLNKLLEDGWGKTGINSLEVHGKTEQTTKMDEISRWYDDVTTRSIVAAPYAIS -AIFSQAFMEIKSRFKLALLPKVCYVDGMTPPEIAAKVRSTGGFKWAVEDDLSKQDRQTSWDILKIEQRLY -HLLGMDTELVDFYMQCHKAWKWKGHGISGVWDAMRLTGQVTTALGNAITNLVVHNRFYKRNAHSVKLMMI -LGDDNIILCDNMLDVRHHGTEVKEFYNMVSKVKQNERVGGFLSMLVYDIDGDVGLCPHFSRMRHRYSLCN -YTYSPREMSDKVQMRTLSYAFTLGGISEVQAIAKRINPGVTLPNWYHLQAAIAANTIYDNTSEWATRAKL -GKLIEMMQ diff --git a/seq/clusters_seq/cluster_758 b/seq/clusters_seq/cluster_758 deleted file mode 100644 index a0c125f..0000000 --- a/seq/clusters_seq/cluster_758 +++ /dev/null @@ -1,34 +0,0 @@ ->YP_009666939.1 nonstructural protein [Guertu virus] -MANSKHRDINLKSVALCTNTVKLEPNLGEFPTVRRGLVECSCSVLTVAMVKKMGKMTNTVWLFGNPENPL -HQLEPAIEHLLEKYYYDLNCYSQREKSALRWPSGKPSVWFLQAAHMFFSVKNTWAMETGKENWRGLFHRI -TRGCQYPFEGDMILDALDIIEKRRIRLGLPDSLITGLSPILDVALLQIEALARLRGMSLNHHMYTSASLR -KPLLDCFNFFIPKRKKTSDSSYRDDEHGEGDFLKGYPLLMAHYLNKCPFHNLIKYDEELREAALNTIWGK -DWPKL - ->YP_009666135.1 nonstructural protein [Severe fever with thrombocytopenia syndrome virus] -MSLSKCSNVDLKSVAMNANTVRLEPSLGEYPTLRRDLVECSCSVLTLSMVKRMGKMTNTVWLFGNPKNPL -HQLEPGLEQLLDMYYKDMRCYSQRELSALRWPSGKPSVWFLQAAHMFFSIKNSWAMETGRENWRGLFHRI -TKSQKYLFEGDMILDSLEAIEKRRLRLGLPEILITGLSPILDVALLQIESLARLRGMSLNHHLFTSSSLR -KPLLDCWDFFIPIRKKKTDGSYSVLDEDDEPGVLQGYPYLMAHYLNRCPFHNLIRFDEELRTAALDTIWG -RDWPAIGDLPKEV - ->YP_009162639.1 nucleoprotein [Hunter Island virus] -MACCLREQPTIVLMPMRLELPLSRKYSNQTTYNFKSWAEYKRRNLIPSEFWILGDPKNELHQTNGHLMSL -FRRYHSSFNSYCLEDQAIMSWPSGFQDNYFLQAAATYFGEELTWEINDGRVSPLGYLRKIAKHPKVSKAG -DLIILACERIEAKRLEMRMPETFITGLSPIRDVALIQIEMMCRSRSQCLNVPLLSCCLATEEYLNSHEPI -LTLDSDSEHDYESPHPSLTTSRSSLPEPDYESDLELREAMLNTAWGKDWPSLGEPSK - ->YP_009047243.1 nonstructural S [Heartland virus] -MSLSKASQPSVKSACVRLPIVVLEPNLAELSTSYVGLVSCKCSVLTCSMMRKMKAFTNTVWLFGNPNNPL -HALEPAVEQLLDEYSGDLGSYSQQEKSALRWPSGKPSVHFLQAAHLFFSLKNTWAVETGQENWRGFFHRI -TSGKKYKFEGDMVIDSCYKIDERRRRMGLPDTFITGLNPIMDVALLQIESLLRVRGLTLNYHLFTSSFLD -KPLLDSLYFAIWRDKKKDDGSYSQDEGARQDDPLNPLDELLYLSDLPKPLAHYLNKCPLHNIIMHDEEVR -EAYLNPIWGKDWPALSSSP - ->YP_006504093.1 nonstructural protein [SFTS virus HB29] -MSLSKCSNVDLKSVAMNANTVRLEPSLGEYPTLRRDLVECSCSVLTLSMVKRMGKMTNTVWLFGNPKNPL -HQLEPGLEQLLDMYYKDMRCYSQRELSALRWPSGKPSVWFLQAAHMFFSIKNSWAMETGRENWRGLFHRI -TKGQKYLFEGDMILDSLEAIEKRRLRLGLPEILITGLSPILDVALLQIESLARLRGLSLNHHLFTSPSLR -KPLLDCWDFFIPVRKKKTDGSYSVLDEDDEPGVLHGYPHLMAHYLNRCPFHNLIRFDEELRTAALNTIWG -RDWPAIGDLPKEV - diff --git a/seq/clusters_seq/cluster_759 b/seq/clusters_seq/cluster_759 deleted file mode 100644 index edc0a5d..0000000 --- a/seq/clusters_seq/cluster_759 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_010085022.1 TM protein [Pohorje myodes paramyxovirus 1] -METIYEDKVSEPTYYETTSSAPAIYKATQLRRGQRYRYNARSIQNIKNKEGTTYTTVIMAIGLTIQVFVF -VTLCYLLITYENADRRGCRIDGTKVKIDFKDLDERLDQLNMNVNTLLNAITFTLPQVLNTNRGQIIQRIN -YLMHEMRELIKLNSMTLDVRMGLNKTLNFKTGGSNMNIVKTTTDVYRTTTPRSATDHEITLVPRFRHTAI -PFYPLTKVDTEEDSSKNSLYERSDRKADDYEREAKLFDYSNMSPFF - ->YP_009666853.1 putative TM protein [Mount Mabu Lophuromys virus 2] -MTRTYEDPDEVGTEYASMKSGIPVYKATQVRNRTSYSNYKRSRSSLSTTSNYKRSYTEIYFIFIILLLGI -NVACTCYLIVSVETKYHDLINRIKDFDHRANRDDQGIYTQIDSRINTIMSSLSYHLPQVLHTTANSINGR -LIQVNHELKALIRSNFLELDVKLGNNRSFTLNTGSRRRGDDHEKHKEEMDNLPIPTIIPPMTNKVNNPSF -YPLQANPVGNMRVTNHDLNQKIEEARLNVKHTENWLKALKGLSYSPMSRYIGIGTALGTMPKKKS - ->YP_009094118.1 transmembrane protein [Tailam virus] -MSSDYEDPASIPSCYGSMSSSRTTYKSSQLRRSPPSPAPKHVRLSRSSNMRNRSYNIYFAFVIFVCSINM -ALLCYITIAIESKCQQIEKPSIRPLPKAEANTCPETDQIVSSINTMMNALTYTLPQVLTANKHSLVTRMN -HLVLEIKDLVKMNNLDLNVRLGINRTIALRTGNNGKQETAVSSAPQRPTKPPVVPSITLIPAKPTNKVMF -YPLIKNSMANLDDSHFDSIKRLNLHHNPEKGDLQDDIANLNPTF - ->YP_512252.1 transmembrane protein [Beilong virus] -MTSDYEDPASMPSCYGSMRSSGATYKATQIRRPYQNGVPRSLKSGYSTATARKSTNIYFAFILFVSSVNM -AMLCYIIISMEAKNCAPEKPATRPLPKVDNQNCPELDQISSSINTMMHALTYTLPQVLTSNKHALVSRLN -HLAFELRETVRMNNLDLNVRLGLNRTIALRTGSNTAKITATSSGPIGSTKPPLVPVFTLVPPRATSRVMF -YPLMKGERNMMSDENLENVRRLNKHHNPNNGGVQDDIAVLNPAF - ->YP_338083.1 transmembrane protein [J-virus] -MTSTVYEDPESALSGYESMRSDGARGLPALPRRCKTANTYRSARRVRVVTRRRVNNSVYFVFIIVCLAIL -VAMAAYVIIGIEGLKYTRYNSKDVGPDGSKRLEDIDQKLNQISSAVNTIMNALTYSVPSVLSTYRTSLLN -RINHLATELKEAARMNNVDLDVKWGSNRTVLLKTGSRFHQLNTRELTTKNTLVTSYPRMPTIIPKVDKKP -PSFYPLMKVDSDQDLNEKVKAVTKIFHDMSVTKDSQDEAIWNLNPASK - diff --git a/seq/clusters_seq/cluster_76 b/seq/clusters_seq/cluster_76 deleted file mode 100644 index ac1e9f4..0000000 --- a/seq/clusters_seq/cluster_76 +++ /dev/null @@ -1,196 +0,0 @@ ->NP_057854.1 Rev [Human immunodeficiency virus 1] -MAGRSGDSDEELIRTVRLIKLLYQSNPPPNPEGTRQARRNRRRRWRERQRQIHSISERILGTYLGRSAEP -VPLQLPPLERLTLDCNEDCGTSGTQGVGSPQILVESPTVLESGTKE - ->sp|Q73371.2|REV_HV1B9 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLKTVRLIKFLYQSNPPPSLEGTRQARRNRRRRWRERQRQIRSISERILGTFLGRFEEP -VPLPLPPLEKLTLDCNEDCGTSGTQGVGSPQILVESPAILEPGTKE - ->sp|Q1A263.1|REV_SIVMB RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGGSGNSDEELLRAVRIIKILYQSNPYPSPEGSRQARRNRRRRWRARQRQINSLSERILSTCLERPTGP -VSLPLPPIERLTLDSAEDIGTGGTDPPQGTETGTGSPNTPEGHSTILGTGAKN - ->sp|Q9IDV4.2|REV_HV1YB RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGVNDEDLLKAVKIIKILYQSNPYPDSSQGTRQARRNRRRRWRARQRQIRAISERILGAYLGGPQE -PVDLPLPPLGRLTLDHKEDSGDPGTESQQGTATTE - ->sp|P0C1L3.1|REV_HV1MV RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSEEDQQLLQAIQIIKILYQSNPCPTPAGSRNARKNRRRRWRRRQAQVDSLATRILATVVHGSQDNN -LVDLPPLEQLNIRDPEADRLPGTGTVDPGTKDN - ->sp|P0C1L2.1|REV_HV1MP RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEELLKAVRYIKILYQSNPYPKPEGTRQARRNRRRRWRARQRQIHEISERILTTYLGRPTEP -VLFQLPPLERLTINCSEDCGQGSERGVGSPQISVESHAALGSGIKE - ->sp|Q9QSQ9.1|REV_HV1VI RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDTELLKAVKCIKILYQSNPYPKPEGTRQARRNRRRRWRARQRQIRALSDRILSSCLGRSEEP -VPLQLPPLERLHINCSEDCGQGPEEGVGSSQISGESHAVLESGTKE - ->sp|Q8AIH7.1|REV_SIVTN RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MAGREEDANLLYTVRIIKILYDSNPYPSGAGSRTARRNRRRRWRQRQHQVDALASRILQYRLGGPQEPPH -LDIPDLSKLHLDPLDQPASTETGDNQLGTQPSNSA - ->sp|Q75006.1|REV_HV1ET RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEELLKAVRIIKILYQSNPYPTPEGTRQARRNRRRRWRARQRQIHTLSERILSNFLGRPAEP -VPLQLPPLERLNLDCSEDSGTSGTQQSQGTTEGVGNP - ->sp|O70890.1|REV_HV193 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDQELLKAVRYIKILYQSNPYPKPEGTRQARRNRRRRWRARQRQIREISDRILSSCLGRPAEP -VPLQLPPLERLHINCSEDCGQGAEEGVGSSQISGESHTVLGSGTKE - ->sp|P69718.1|REV_HV1H3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLKAVRLIKFLYQSNPPPNPEGTRQARRNRRRRWRERQRQIHSISERILSTYLGRSAEP -VPLQLPPLERLTLDCNEDCGTSGTQGVGSPQILVESPTILESGAKE - ->sp|P18803.2|REV_HV1ND RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDENLLKAIRLIKFLYQSNPPPSPEGTRQARRNRRRRWRARQRQIHSIGERIICTFLGRPEEP -VPLQLPPLERLNLNCSEDCGTSGTQGVGSPQIPVEPPAVLESGTEE - ->sp|P35960.1|REV_HV1Y2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLRTVRLIKVLYQSNPPPSSEGTRQARRNRRRRWRERQRQIRSISGWLLSNYLGRPTEP -VPFQLPPLERLTLDCNEDCGTSGTQGVGSPQILVESPPVLDSGTKE - ->sp|P17280.1|REV_SIVCZ RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSEPQDDARLLQAVKIIKILYQSNPYPSPEGTRKARRNRRRRWRARQKQISEISGRVLATYLGRPPK -PGDLELPELDKLSLQCVETTQDVGTSNTSQPQTATGETVPAGGNYSILGKGAKN - ->sp|P05866.1|REV_HV1W2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -PPPNPEGTRQARRNRRRRWRERQRQIRSIGAWILSTYLGRSAEPVPLQLPPLERLTLDCEEDCGTSGTQG -VGSPQVLVESPAVLEQGTKE - ->sp|P05870.1|REV_HV1RH RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRRGDSDEDLLKAVRLIKSLYQSNPPPSPEGTRQARRNRRRRWRERQRQIRRCSEWILDTYLGRSVDP -VQLQLPPLERLTLDSSEDCGTSGTQGVGSPQVLVESPAVLESGAKE - ->sp|P20887.1|REV_HV1OY RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEELLKTVRLIKFLYQSNPPPNPEGTRQARRNRRRRWRERQRQIRKISGWILSTYLGRSAEP -VPLQLPPLERLNLDCSEDCGTSGTQGVGSPEILVESPAVLEPGTKE - ->sp|P05871.1|REV_HV1MN RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEELLKTVRLIKFLYQSNPPPSSEGTRQARRNRRRRWRERQRHIRSISAWILSNYLGRPAEP -VPLQLPPQRLTLDCSEDCGTSGTQGVGSPQILVESPTVLESGTKE - ->sp|P19548.1|REV_HV1S1 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEELLQTVRFIKFLYQSNPLPSPKGTRQARRNRRRRWRERQRQIQSISAWIISTHLGRSTEP -VPLQLPPLERLNLDCSEDCGTSGTQGVGSPQVLGESPAVLDSGTKE - ->sp|P19547.1|REV_HV1S3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGGSDRELLTAVRIIKILYQSNPHPSPEGTRQARRNRRRRWRERQRQIHSISERILSTVLGRSSEP -VPLQLPPLDRLTLDCSEDCGTSGTQGVGSPQILVESPAVLESGTKE - ->sp|P04621.1|REV_HV1EL RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLKAVRLIKFLYQSNPPPSPEGTRQARRNRRRRWRARQRQIREIAERILGTYLGRPAEP -VPLQLPPLERLNLNCSEDCRTSGTQGVGHPQISVESPTVLESGTEEQC - ->sp|P20869.1|REV_HV1JR RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLKTVRLIKFLYQSNPPPSNEGTRQARRNRRRRWRERQRQIRTISERILSTYLGRPAEP -VPLQLPPLERLTLDCNEDCGTSGTQGVGNPEILVESPTVLESGTKE - ->sp|P05865.1|REV_HV1C4 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEELIKTVRIIKHLYQSNPPPKPEGTRQARRNRRRRWRERQRRIHSISAWLLSTCLGRSAEP -VPLQLPPLERLTLDCSEDCGTSGTQGVGSPQILVESPAVLESGTKE - ->sp|P04623.1|REV_HV1A2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEELLRTVRLIKLLYQSNPPPSPEGTRQARRNRRRRWRERQRQIRSISGWILSTYLGRSAEP -VPLQLPPLERLTLDCSEDCGNSGAQGVGSPQILVESPAVLDSGTKE - ->sp|P05864.1|REV_HV1B8 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -ELIEAVRLIKFLYQSNPPPKPEGTRQARRNRRRRWRERQRQIHSISERILSTYLGRSAEPVPLQLPPLER -LTLDCNEDCGTSGTQGVGSPQILVESPTVLESGTKE - ->sp|P12483.1|REV_HV1Z2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDRDEDLLKAVRLIKILYQSNPPPSPEGTRQARRNRRRRWRARQRQIHSIGERILSTYLGRSEEP -VPLQLPPLERLNLNCSEDCGASGTQGVGSPQISVESPTVLESGTEEQC - ->sp|P05869.1|REV_HV1Z8 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -PPPSPEGTRQARRNRRRRWRARQRQIHSISERILSTLLGRSPEPVPLQLPPLERLNLNCNEDCGTSGTQG -VGSHQISVESPAVLDSGTEE - ->sp|P05867.1|REV_HV1Z3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -PPPNPEGTRQARKNRRRRWRRRQQQIRSISERILSTCLGRPAEPVHLQLPPLERLNLDCSKGTATGVGST -QIPGESCAVLGSGTKE - ->sp|P12484.1|REV_HV1J3 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -KKRRQRRRAAQAVRLIKFLYQSNPPPSPEGTRQARRNRRRRWRQRQRQIRSISGWIISNYLGRPAEPVPL -QLPPLERLTLDCNEDCGTSGTQGVGSPQILVESPTILESGTKE - ->sp|P12485.1|REV_HV1BN RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -ELLKTVRVIKFLYQSNPPPRPEGTRQARRNRRRRWRARQRQIQSLSGWILSTFLGRSAEPVPLQLPPLER -LTLDCNEDCGTSGTQGVGSPQILVESPTVLESGTKE - ->sp|P05868.1|REV_HV1ZH RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -PYPPPEGTRQARKNRRRRWRARQRQIHSLGERILTTCLGRPTEPVPFLLPPIERLRIDCSEDRGDSDPQG -VGDSQIPGKSCDLLGSGTKE - ->sp|Q1A245.1|REV_SIVEK RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGVNDEELLRAIRVIKILYQSNPYPNDSGTRQARKNRRRRWRARQRQIRALSERILSSCVGGLQEP -STLPLPPLDRLSLNPEEDLGTSETEHPQGTATT - ->sp|O91084.2|REV_HV1YF RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGVNDEELLRAVRVIKILYQSNPYPNSKGTRQARRNRRRRWRARQRQIRAISERILSSCLGGPPEP -VDLPLPPLDRLTLDTEEDSGTPGTESQQGTATTE - ->sp|P0C1L7.1|REV_HV1SE RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGSTDEELLRAVKAIKILYQSNPYPPPEGTRQARRNRRRRWRARQRQISAISERILTAYLGRPAEP -VPLQLPPLERLHLDCSEDSGTSGTQQPQGTETGVGRS - ->sp|P0C1L1.1|REV_HV1M2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDRDEELLKAVRYIKILYQSNPYPKLEGTRKARRNRRRRWRARQRQIHQISERILSTCLGRLQEP -VRLQLPLLEKLHINCSEDCGQGTEKGVGSPQISVESRAVLGSGTKE - ->sp|P0C1L4.1|REV_HV1AN RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSEDDQLLQAIQIIKILYQSNPQPSPRGSRNARKNRRRRWRRRQAQVDTLAARVLATVVHGPQNNNI -VDLPPLEQLSIRDPEGDQLSEAWTVDPRAEDN - ->sp|P0C1L5.1|REV_HV197 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRRGDSEQQLLTPVRIIKILYQSNPYPKPEGTRQARRNRRRRWRARQRQIREISQRVLSSCLGRSTEP -VPLQLPPLERLSLNCDEDSGQGTEGELGSPQIPVEPDTVLGSGDKE - ->sp|P0C1L6.1|REV_HV196 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRRGDPDEQLLTTVRTIKILYESNPYPNLEGSRQTRRNRRRRWRARQKQISSISERLLSACLGRSAEP -VPLQLPPIEKLNLNCDEDPGKGTEGGLGSPQISVEPCTVLESGTKE - ->sp|Q9WC67.1|REV_HV1S9 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDNDDQLLLAVRIIKILYQSNPYSKPNGSRQARRNRRRRWRARQNQIDSISERILSSCLGRPAEP -VPLQLPPIERLRLDCSEDCGNSGTQGVGDPQISGEPCMVLGAGTKE - ->sp|Q9WC58.1|REV_HV1S2 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDDQLLLAVRLIKILYQSNPYPKPNGSRQARRNRRRRWRARQNQIDSISERIPSSCLGRPAEP -VPLQLPPIERLRLDCSEDCGNSGTQGVGDPQISGEPCMVLGAGTKE - ->sp|Q9Q716.1|REV_HV1V9 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDNDEGLLRACRIIRLLYQSNPYPEPAGTRQAQRNRRRRWRARQRQIHSIGERVLATCLGGPAEP -VPLQLPPLERLTLDCSEDCGTSGEKGVGSPQTSGESPAVLGTGAKE - ->sp|O70900.1|REV_HV190 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGASDTELLQVCKIIKILYQSNPCPEPTGTRQARRNRRRRWRARQRQIREISERILTSCLGRPPEP -VTLQLPPLERLTLNCSEDCGTSGEKGEGSPQISLESSTILGTGTKE - ->sp|O41802.1|REV_HV19N RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDPDEELLRAVRIIKTLYQSNPYPSPAGTRQARKNRRRRWRARQRQIHSISERILSACLGRPAEP -VPFQLPPLEGLSLDCSKDGGTSGTQQPQGTETGVGRPQVLVEPPVVLGSGTKE - ->sp|O12162.1|REV_HV192 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEALLQAVRIIKILYQSNPYPKPEGTRQARRNRRRRWRARQRQIHSISERILSTCVGRPAEP -VPFQLPPIERLNINCSESGGTSGTQQPQGNTERVGNP - ->sp|Q70624.1|REV_HV1LW RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLKAVRLIKFLYQSSSDPPPNPGGTRQARRNRRRRWRERQRQIHSISERILSTYLGRSA -KPVPLQLPPLERLTLDCNEDCGTSGTQGVGSPQILVESPTVLESGTKE - ->sp|P24739.1|REV_HV1U4 RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MARRSGNPDEDLLKAVRIIKLLYQSSPCPNPRGSRQARKNRRRRWRARQRQIDSLSERILSDCLGRPAEP -VPLQLPPIERLRLDCSESCGTSGTQQPQGTETGVGGPQISVESSAVLGSGTKN - ->sp|P05872.1|REV_HV1SC RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSEEELLKTVRLIKFLYQSNPPPKPEGTRQARRNRRRRWRERQRQIRSISGWILSNYLGRLAEP -VPLQLPPLERLTLDCNEDCGTSGTQGVGSPQILVESPTVLESGTKE - ->sp|P04622.1|REV_HV1MA RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLRAIRLIKILYQSNPPPNTEGTTRQARRNRRRRWRARQRQINSIGERILSTYLGRPEE -PVPLQLPPLERLTLNCNEDCGTSGTQGVGSPQISVESPAILGSGTEE - ->sp|P04620.1|REV_HV1BR RecName: Full=Protein Rev; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=Regulator of expression of viral proteins -MAGRSGDSDEDLLKAVRLIKFLYQSNPPPNPEGTRQARRNRRRRWRERQRQIHSISERILSTYLGRSAEP -VPLQLPPLERLTLDCNEDCGTSGTQGVGSPQILVESPTVLESGTKE - diff --git a/seq/clusters_seq/cluster_760 b/seq/clusters_seq/cluster_760 deleted file mode 100644 index d6d769a..0000000 --- a/seq/clusters_seq/cluster_760 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_010085018.1 C protein [Pohorje myodes paramyxovirus 1] -MESKLSSLYNRLRRTLRKHTGEVPSRNQEPRTESRPGRESQQTMITQETNRMECLERRRELRKERAKEVL -RIIEELEMKRILSRENPQPPPRLAISRTGMLKILLMSVQKTGEIPVLDYISLERAQILDQMEMQSLREAW -VMIQSVMRITV - ->YP_009666850.1 C protein [Mount Mabu Lophuromys virus 2] -MESALSTLSSRIRRTFRRHTGEVQSINHQPRIEQRRGRPSQRVRVEDLSVNPGEWEAAKETRKRTAAEVL -ELIDHLESKGTEVTAPRTPREQEMITKLGMLKILLNLVKETGVIPDPNKQSIQQSGLLTRVEMENLGEMI -PALKSILKN - ->YP_009094114.1 C protein [Tailam virus] -MESKLSNFYNRVRRTFRRRTAEVQSRNQPPEQGFKPGNPSIPIMITPVIKIREIRELKREQTRARARELL -QLMEDMEINPRVTGMTQRLGSKGQINRYGMLRIMMGIVAEIGEIPQVDFQRLEKEAILSSQEIKNLRDII -PTVQLMLESIVR - ->YP_512248.1 C protein [Beilong virus] -MSSSSLSRMESRLSSFYSRVRKTFRRRTAEVPSRSRRQEPESKVGSPAIPIMITPVIKIREARELKREQT -RARARELLQLMEDMEINPQITGVTPKTSTKGQINRYGMLRIMMGIVAELGEVPQVDFPRLEKEGILSQQE -IKNLRDTIPTVQLMLESIIR - ->YP_338079.1 C protein [J-virus] -MESKLPNFFRRIRRTFRRRTGEVQLDYQPPKKESQPGKPLQRLPMESRSSWVEETEKIREENKLKAKTIL -VAMDMVEKRYPPAQILQLYSCRDLITKYGMVRMLLLIVAEKGEIPGINMGGLIQARLLTQEEVTNLMEAV -PIVRLMLETLGK - diff --git a/seq/clusters_seq/cluster_761 b/seq/clusters_seq/cluster_761 deleted file mode 100644 index 3f7e391..0000000 --- a/seq/clusters_seq/cluster_761 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009111405.1 3C [torchivirus A1] -APDLELSVLRNCVPLDVDIPNKPKMMPFTALGLFELTFATNRHAIENCTSFEIQGHTYKIEDVDVKMVST -QEGKTDLAIVTLKKGTRFRNIMKHLLDEIVEPTGHVVGIVNSSLFPRTLFKGKALRTARKITASGKQMYN -VFSYDCPTYGGYCGAPIIGQVGNEKKILGIHCAGDGTTGWATVITKNIVKKIEEQ - ->YP_002956128.1 3C [Cosavirus D] -GPLLDLEKKVLANVQPFILRVAGRDYIQSCLFVGKRVFLVNKHAIDSVEQKFQVAGKTYDLDDVDVAILD -TEYGLTDVAAVKLNTGPEWKNLSKLFVSLDTTLHPGTRITILSNDQLNMVREGSFLRNEDDIPTNIGPIP -FVMLYKASSYFGMCGSAVVTRIGDCPGILGLHCAGGGGVCVASRVTKRMVETVLKYFYPPQVQ - ->YP_002956117.1 3C [Human cosavirus B] -SPMLDLEKKVFKNVLPFGLVYNGKTYQQSCLAIGKRVILVNAHAFNSIEDRFEVDGKTYHLDDVDVCVLD -TSEGLSDVCAVKLPPGPDFPSVVRLFMPFHADLHPGLRLTILSNDQLPMMREGSFLRNEDYAPTNIGNIP -FVCLYRSTSYFGMCGSPVMTRGPDSQGILGIHCAGGGGVSVLSRVTRRMAESVIDYFYPLQTQ - ->YP_002956106.1 3C [Cosavirus A] -SPLMDMEKKIAQNVMPFQIFYNGKRYTQSCLAIGKRVILVNKHAFESVEHKFVVDQKEYTLDQVTAISLD -CGSGVTDVCAVCLPPGPDFKSIKKHFLPFNTTMFPGTRLTILSNDHYPMSREGSFLRFEDEVPTNVGNMP -FVMLYKSTSYFGMCGSVVCSRFVDGGGIIGMHCAGGGGVSVGTRLTARMIESVFDYFYPPVAQ - ->YP_002956086.1 3C [Cosavirus E] -SPLLDLEKKIMNNVQPFVMRFGNREYVQSCLFVGKRVFLVNKHAIETVEERFSVAGTEYNIDDVEIAILD -TEYGLTDVAAVKISNGPEWKNLSKLFLNLETELLPGTRITVLSNDNINMLREGSFLRYEEEIPTNIGPIP -FVMLYKASSYFGMCGSAVVTRFGDSSGILGIHCAGGGGVSVASRVTRKMVETVLEYFYPPQVQ - diff --git a/seq/clusters_seq/cluster_762 b/seq/clusters_seq/cluster_762 deleted file mode 100644 index 3bb768c..0000000 --- a/seq/clusters_seq/cluster_762 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_010088092.1 2A [tottorivirus A1] -SGASNYSLLKLCGDVEENPG - ->YP_009513259.1 2A [Equine rhinitis A virus] -NYALLKLAGDVESNPG - ->YP_006846321.1 2A [Bovine hungarovirus 1] -SSGATNFSLLRLAGDVELNPG - ->NP_740353.1 2A protein [Teschovirus A] -GPGATNFSLLKQAGDVEENPG - ->NP_740365.1 2A [Equine rhinitis B virus 1] -NFSLLKLAGDVELNPG - diff --git a/seq/clusters_seq/cluster_763 b/seq/clusters_seq/cluster_763 deleted file mode 100644 index fcdd75f..0000000 --- a/seq/clusters_seq/cluster_763 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_010084331.1 P0 [Pepper vein yellows virus 2] -MNFELINGSHLKVSLTRKLGYRERILNLAVFLSQYLVTIQENETTNHFLCSICALLPFLLSSQCPFLSGN -TPHRNGKREQKRVSKLALYCGVNITPCWNGKASSSAWLQTDSNLGAPRAHLKRRAGSAMASYIQRFQTPL -FQGAEEFSRFLRVWTHHCEGVVEGSFPKLSVDRGLIVELWHLGHCLLDLLSGDDIYHTRRMSRIALCLHN -IYGGGIAMDVYRLAHLPRSAHIKGGEDYLHGSQIQKELQ - ->YP_009351864.1 P0 [African eggplant yellowing virus] -MQFCTNPEGLLFVFPTRALSYRDRLLNLANFLLNHYIAFKLFSNNGENFTRSLCILLPILLGHSIPFGVA -FRWNSFPRQRKRVDRISLYCGAAPLPLYKPLGFETYALAGTRDVGLERSNVLRRLSADVGSKLARYQTPL -FRGHADFTKFIRVWAGHADQLSRKGIGCIAVDDRLDLELRHLGFRILDLLHGDDVHHARCLSRLAVCLYQ -IYGIGCGEDACRLACIPRQAIPQAPEDSLNGSILQKELCA - ->YP_009455739.1 P0 [Pepper vein yellows virus 5] -MNFELINGSHLKVSLTRKLGYRERILNLAVFLSQYLVTVQENATTNHFLRSICALLPFLLSSQCPFLSGN -TPHRNGKRERKRVSKLALYCGVNITPCGNGKAFSPTWLQTDSDLGAPRAHLERCAGSAMASYIQRFRTSL -FHGAGEFSKFLRVWTRHCETVAEGSIPKLPVGRDLTMELMHLGHCLLDLLSGDDIYNTRRMSRIALCLHN -IYGDGIAVDVFRLAHLPRSAYIKSGEDYLHGSQIQEELQ - ->YP_004207915.1 putative P0 protein [Pepper vein yellows virus] -MNFELINGSHLKVSLTRKLGYRERILNLAVFLSQFLITVQENATTSHFLRSICALLPFLLSSKCPFISGN -TPHRNAKREQKRVSRLAIYCGVNVTPCCRGKVSSSTWLQTDDNLGAPRAHLKRCAGSAMASYIQRFQTPL -FQGAEGFSRFLRVWTHHCESVVEGSISKLPVDRDLIVELWHLGHCLLDLLSSDDIYHARRLSRVAFRLHR -IYGDGIAMDVYRLAHLPRFIGFKNGEDYLHGSQIQKELQ - ->YP_001931929.1 putative P0 protein [Tobacco vein distorting virus] -MNFELINGSHLKVSTTRKLSYKERLLNLAIFLSQFVNKFEENATTNTFLRSLCALLPXLLSSRCPFFSGN -APHRNSKRERKRISRLAIYSGANITPCCGEATSSPTWLQTDNKLGAPRAHLKRCAGSALASYLQRFQTPL -FQGAEQFSKFLRVWTRHCENVVARSPPKLPLGRGLNLELEHLGHCLLDLLSGDDIYHARRMSRIALCLHN -IYGEGVAVDVYRLAHLPRSAYPKSGEDYLHGSQIQKELQ - diff --git a/seq/clusters_seq/cluster_764 b/seq/clusters_seq/cluster_764 deleted file mode 100644 index f0d5282..0000000 --- a/seq/clusters_seq/cluster_764 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010087181.1 Gx [Cuiaba virus] -MDLSCLLSELFKLIAVMFFIGPARKIFIGIQLTIVLFSALYGVASYILPLMPASEPLYHTLLEVLKLTDI -AVTRLSGFRSVLSRGIGHLILNNMSEPCQSLTENVMT - ->YP_009362273.1 hypothetical protein [Chaco virus] -MDLSCWLSELFKLLMAIFFISPLKRIFIGTQLIILVFGALLGLAINIPDTVQMSSSVYHIVTQAISLMDT -AVTRLSGFQSVLRRGIGQLMLNNISGSCQ - ->YP_009362217.1 hypothetical protein [Sripur virus] -MESFSLFVELFRLLLIMYFTTPLKRIFIGIQLIIVVCGVLYGVLGYLTHLQVESLYNFPAIQATMIFLDT -VVTKLSGFQSVLKHGIGALMLRNTSGQSQ - ->YP_009362109.1 hypothetical protein [Sena Madureira virus] -MDLSCWLLELFKLLMAVFFISPVKRIFIGTQLTILVLGALLGLATSILGTVQMSSSLSHIVTLSISLMDT -AVTKLSGFRSVLNRGIGQLILNSISESCQ - ->YP_009094371.1 putative protein U2 [Almpiwar virus] -MEFSSYCACLGQLIVIMFFTGPLRKLMVGTLLVILSCGVLSELLRILSLNAEDQSLFWSQLLHTLLTLTD -TAATKLNGFLNVLRHGIGQLIFPK - diff --git a/seq/clusters_seq/cluster_765 b/seq/clusters_seq/cluster_765 deleted file mode 100644 index 773d86c..0000000 --- a/seq/clusters_seq/cluster_765 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_010086791.1 4b protein [Cabbage cytorhabdovirus 1] -MCLSCLKYVWNLCLTMCDLRKLVRVTMINVNDVRKQIIKSGSLTSAVGTGYVYDGSYNKYARKRELNLRV -SSSGDKNIMMRHVPIFDDEDLRALRSEANSNKYIHIGCLTISIEPLMHKRYMDLYGDKMRGICAVIDTTF -ADPAESIISAHRYELSKGRADFVSMPNHCLSLLDPNLKQRLSVMISLDGINVKKGNEMFNVCIGYIVTGV -NTLNPTKAVSMSNIPITGTSECEPGDLSEDMLEGIKGSNGNLLISHDPSDDDIYIKSKGSFLSNLSGKTK -IIKRRTMRAKPIDITPADHNSNVRSISSDEHLGESERRSCSKISASDCYQTLNHQAVLKRAMSSRYG - ->YP_009300686.1 4b protein [Wuhan Insect virus 4] -MSRSGLISVNDAKAVVAINGSLTSFIDSSKVYEGRARHIARKREVNVKILATKDNKYLMRCFPLLDNNDV -SEMRADKKNNKYIHIGCISVSIEPLIHARYLKKYGKEMEGICAIMDTTFHDIDQSIISLHRFDLSHKRAD -FICQPNHSLSITDDNLMRRISVLVIFDCPKVDEGNELFNICIGHITTCTNTLNPTSLEGETSFGVIGSTP -VEFAAVKDHIENALNPMGGTPCIELSEDGADDIIMKKKSLLSKVGLIKAPKVVIRKNYAMRPREHDRIES -SSDLKRCSSLPRNSLDLAVARVAKEGMFSAAFKSHRLGGDIDKQMILEKKKSIVRNRQN - ->YP_002308373.1 protein 3 [Lettuce yellow mottle virus] -MLNINSVRKHVLKSGSLTSAVGTGTVYSGMLNKYAKKRELNIVVTSSGSNNVLIRQVPLFDQMDLDMMKK -DKENNKYIHIGCVTISIEPLLHQRYISKFGTAISGHCALIDSTFRNLDESVISVHKYCLDKGRSDYVSYP -NHCLSLSDPHIQKRLSVILGIRGVNVDPGVELFSVCIGYIVSAVNTLHPNGMKGMVNFPILGTEDASVDD -LEGSDISEIESNYNNTSIISVPSEDDQYYKSKGGLWRGITGGGRVIKRRTMRTENKQSAPSLLARSTSSR -IDEKRVLGVLKNREITEKLTNIGRSGRFSMS - ->YP_002019749.1 movement protein [Potato virus T] -MELISVEKFRRQWEERESITGPVDSGAIYTNSAFHNLKTKWHVYKSECSIGLDLPDNGKIISKDIPLFDQ -EEIDNIMKDDKQVFVHLGAFVFGLVAHFPVDEEVEGLVSIIDKRRTDLRRATLACRKIKFVNGRCAFMMK -PNFSVRKEDLRDGDTFCAAIKIKNLGFEGGFFPFSACGGVIYRTSNVSFAHAVDKTFASRTVHDLVGTDI -LSLDQLDRATLEDLEEVRRSPILRLTAPDERVMIERGNWFQKKPAIRRRSFGKRRPQKSSSVRSASLPRF -SCSERLERGFESESLAGLVLDQKYGPNNFCADKRRSPESDSGSLQQSMGRSSHSGSEGWGKGTDVAIPLR -KNRNIFSE - ->YP_425089.1 4b protein [Lettuce necrotic yellows virus] -MLDVNSVRKHVLKTGSLTSAVGTGTIYQGTYNRYAKKKELNIIVTSSGSNNVIMRQVPLFDKEDLDAMKS -DTTSNKYLHIGCITVSIEPLLHQRYMKNFGKTIAGNCAIIDSTFRKVDQSIISLHKYDLSRGRADYVSYP -NHCLSLTDPMIQKRLSVLLGIKGIDVEPGVELFSICIGYIVSSVNTLHPVSQLGIQGVAINGTESADIDE -LGAEDIDQLSLSYNDSKIISLPSDEDIYYRSKGSLFSKGRTIKRRTMRTRVPDPEEPIKLTKSQSSRIEH -GKVMRLLKNKQIREKIERGMIA - diff --git a/seq/clusters_seq/cluster_766 b/seq/clusters_seq/cluster_766 deleted file mode 100644 index f6150d6..0000000 --- a/seq/clusters_seq/cluster_766 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_010086767.1 glycoprotein [Holmes Jungle virus] -MSFLIAIIILFINIDKLHCYVVQSNFGGIRESKVNILGNVIPYSTTFKHEVLKKQWDYRHDKGHRTVLPT -NCHSSWNTVSPATMKCPRRKIIGKDGLYNTYIGDFWHPHSDKGSEVKGFICQKTRWISTCIETWYFSTTK -ETQIDEIPTFKEDCLAAITLVDSGEYIEPFFPPHVCSWASTNKNAKDFVTVHEHSVVLDIYENSLLDPIF -LSGKCKDRVCHTIHKDVLWVEATDNERDDFCVATAWEFSHVFADIDIDHDKNNPIYSIGETIDSEIYGPR -SLEDACIIKVCGIPGIRFSHGEWWGIRSLSNRIPMEDFMYKCHNGTNVGFVHNIWTPSELIGEITYRDHK -CLDVLSSFLGRRKINPYELSYLVQDFPGEGPAYRIMKQYTGKDKTKATFTLQTKTCRYHVVYIDKLTFSP -INESDEVYELGIWGNGRKVILNSTEVGINPTYVNPSKDWELLETFNGLMRFGSDLVLPQAVYTDHPNITS -LLEDYEISLIGHPKEILIQDQDELSEVYKFHARSNSTNVVSLAENFVKGIGKSIGNFFGGTKNLIWWLVT -IILSTLGTYIAYKLGLFNFLKKILVQDADDKPSNRMSNIYEEPLKLGERKTHLVKNPFFDNGI - ->YP_009362226.1 glycoprotein [Ord River virus] -MFWIKFLVFIRASLITTKGYILPGGVGGVRSSKVHILGEIVPYTSSIDHGVLGTKWDFRHNDGHRTVLPT -HCHRTWKDVLIGNIRCPSRKVIGKEGLYNTYIGDVWHPHTDSGSEIKGFLCQKTRWVSTCTETWYFSTTK -ETQIDEIPISKDDCLAAITLVDSGEYIEPFFPPHVCSWASTNKNTKDFVTVHNHPVLIDIYKNQLMDPIF -ISGKCSDKVCKTIHKNVIWIEANDNERSDLCVASAWEFSHVFADIDIDHNEPGIVNDIGDSIDSELYGPR -SLKGACIIEICGITGIRFAHGEWWGLKTVSNKISFTHGLYHCPANSSVGFVHNIWTPSEVVGEITYREQK -CLDVMSSLLGHQKINPYELSYLIQDYPGEGPAYRIMKQFTGKNRTKSTVRLQMKMCRYHVAYIKNVSFLP -TDESHEIYEIGTWGNGNKIILNSSEVGIDPSYNGSDNDWELLLTFNGLMKFGKELVLPQAVFTDHPNVSN -ILEDYEINLIGHPKEIFQESQDELSQVYKFYERSNSTNIVQLAGNVVKSIGKSIGNFFGGTRNLMWWLVT -IVLSTLGTFIAYKLGLFNFIKRMILSDTLKKEEKRLSNIYEEPLKLGERKANLVRNPFFDHGI - ->YP_009362175.1 glycoprotein [La Joya virus] -MELIKIHLMLISFFTSSAGLERGSVGSISLISTEKLNLSTSHHDKGIFQNREKPLGHEVVLPVHCTGEWV -EKPAMSLACPKRKINGPDGYYDEYFAKMWHPPTHASPEVKGYLCQKTTWNAKCEETWYFSTSKSTTIDET -PINEDDCRAALILYKTGELLEPFFPPFSCYWNNININSKTFVTIHEHPTVLDLYKDTKKDPIFLHGECDG -EVCETVHSNVLWVEAPLEERDDFCDPALWESSNVYTEKGGPQIPIVLESDVYGPRYTQGMCWMRICGVWG -FRFSSGEWWGFRMVNKDFQGLWYTGKIPSCYGDFEVSFAHEAIAMTQLLENLSYKDHKCVDVLSTLRGNK -VINAYELSYLVPEHPGFGPAYRILMVKNKRNVTQPVFILQTKNCRYQRAYLTNLSFTITGEETSESVKVG -VWGDNQPVYLNWTEIGVNSTYKPNITGNWHQLMTFNGLMRFDKTLLFPQSVFVDAPNVSLLLDGFQLDLI -EHPHQYFGRDEKTPSSLYKFYPHGNSTNVGEVIEGWFKSAKNAIGSLFSGMSSLMWWIISTVLSLITLLV -CYRCGLLSLVKRMFTKRTRPSKKGRTSRSSHRMEHIYTEPNNPSSSSNPFFA - ->YP_009361977.1 glycoprotein [Parry Creek virus] -MSNNKMFKPMIIFALILCLIINVSSYIYSGSVGGYRPKKVRTYGEVIPYTEIVEHRNLYQNWNGKHRTGH -RTVLPTHCHTEWKDVTHGSIKCPHRKVIGTDGIYNTYIGDVWHPHTDSGSEIKGFLCQKTRWVSTCIETW -YFSTTKETKIEEVVINPEDCLASITLMDSGEYIEPFFPPHVCSWAATNENAKEFVTVHSHPVVVDIYKNE -MIDPIFLSGKCKEKVCHTIHKNVIWIEANDNERSDICVASAWESSHVFADLDIEPDIRAQKVEYIGESID -SEIYGPRSLKGACLIKICGIWGIRFSHGEWWGLKTLSNKISFADGLYNCGSNTSVGFIHNIWTPSGLIGE -ITYRDHKCLDVVSSLLGHQKINPYELSYLIQDFPGEGPAYRIMKQMTGLNRTKSSFKMQMKTCRYHTAYI -TNVTFQPIDSTQEVYKLGIWGSGHRIILNSTEIGINPTYTNSGSDWELLLTFNGLMRFGSELVLPHAVFS -DHPNTSDLLEDYEINLIGHPKEIFQSDQDELAQIYKFYRRANSTNVVSLASNFLKDIGKSIGNFFGGTKN -LIWWIVTLALSTLGTFIAYKLGLFKCLKRMILETDNESGNKRISNVYEEPLQLGERGHKPVKNPFFDHGI - ->YP_002333278.1 virion transmembrane glycoprotein [Wongabel hapavirus] -MSFLLAIIIFFFRTQDSNGYVVQSGIGGIRESKVNILGQVVPYSTKFKHSVLKSQWDYRHDKGHRTVLPT -NCHATWNDITPSNMRCPRRKIIGKDGLYNTYIGDFWHPHTDKGSEVKGFICQKTKWVSTCIETWYFSTTK -ETQIDEVPITKEDCLAAITLVDSGEYIEPFFPPHVCSWASTNKNSKEFVTVHEHSVVLDIYENKLMDPIF -LAGKCFDKVCKTIHRNVLWVEANDNERDDFCVATAWEYSHVFADIDIDHNNNHPIYSIGKTIDSEIYGPR -DLADACIIKICGIPGIRFSHGEWWGIKTLSDRIPLEDIIIKCHNGTSVGFVHNIWTPSELVGEITYRDHK -CLDVLSSFLGQRKINPYELSYLVQDFPGEGPAYRIMKQYTGNNKTKATFRLQTKTCRYHVAYIDKLTFDP -ENGTDEVYKLGTWGNGRTVILNSTEVGINPTYINKSFDWEPLETFNGLMRFGAELVLPQAVYTDHPNITN -LLEDYEISLIGHPKEIFEPEQDELSQVYKFYERSNSTNVVGLASNFVKTIGRSIGNFFGGTKNLIWWLVT -VALSTIGTYIAYKLGLFKFLGRILFQGSESKEDKRVSNIYEEPLKLGGRRSHLVKNPFFDNGI - diff --git a/seq/clusters_seq/cluster_767 b/seq/clusters_seq/cluster_767 deleted file mode 100644 index 2c58f4f..0000000 --- a/seq/clusters_seq/cluster_767 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_010086766.1 matrix protein [Holmes Jungle virus] -MLKIWRKKGKGAGSTSSNLSETTSPYDWAYGASEPIKLFSPTAPPVYETKNSKFHVMCQLRIVTKLSIEN -AEILCQILEQIVQKYNGSFKYKNHHLMNLILVGTHMKKELDHDSFIYKGLWDDVILYEGLDADTNVPGNK -FEIYHKYKIKGYDMAIHFESDLRMTTRSGMTFYEVYNIPMSSGRNPPILNWMKTELGI - ->YP_009362225.1 matrix [Ord River virus] -MLKIWRKKGKANYAQSSNLSDTTSPYDWAYGSSEPIELFSPTAPPVYETKNSKFHVMGQIKIVTKLSIDS -PEMLCQVLEQIVLKYNGSFKFKDHHLLNLILVGTHMKRELDHDTYVYKGLWDDVIVYEGIDADTNGFGNK -FELYHRYKIKGQELAVHFESDLRLTTRSGMTYYEVYNIPMSSGRNPPILNWMRTELGI - ->YP_009362172.1 matrix [La Joya virus] -MLTLWKKKKAGPPSEGEPSSGIYDWAYGADDRQLDIFNPTAPHVEDKNVLKCHATIEIRFLTKLEINSID -MLCHVLERIVEGYKGDFNLKPVHESNLLLVGTHMYRKLDREGTYEYKGQWDDIIVYDDIYAELKSVSSKY -SQSFKFKIRGMDIAGVFHSSLRASSRSGKSYYDIYRLPMSNGQVPPLLAWLKSELGL - ->YP_009361976.1 matrix [Parry Creek virus] -MLKIWRKKNKAHDAQSSTTSDTPSPYDWAYGSSEPIELFSPTAPPVYETKNSKYHVMVQLKVVTKMSIEN -PDLLCQVLEQIVLNYNGSFKYKNHHLLNLILVGTHMKKEIDHDSFVYKGLWDDVIVYEGIDADTNGFGNK -FESYQKYRIKGYDLATHFESNLRLTTRSGMTFYEVYNIPMSSGRNPPILNWMRSELGI - ->YP_002333277.1 matrix protein [Wongabel hapavirus] -MLKIWRKKGRKHESDVSTVSDTSSPYDWAYGTSEPIELFSPTAPPVYETKSSKFHVMSQLKIATKLSIGS -AEILCQILEQIVQKYNGSFKFKSHHLLNLILVGTHMKKELDHDSFIYKGLWDDVILYEGLDVDTNNQGNK -FEIYHKYKIKGFDMAIHFESDLRMTTRSGMTFYEVYNIPMSSGRNPPILNWMRTELGI - diff --git a/seq/clusters_seq/cluster_768 b/seq/clusters_seq/cluster_768 deleted file mode 100644 index 8c5681a..0000000 --- a/seq/clusters_seq/cluster_768 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009512994.1 matrix [Flanders hapavirus] -MLQLFKRKKGKSVDLHSNASNSSLWLYNPNSYEDTLPGYSQHVDLFAPSAPIDDSYTGFAQPEERTYDVA -AELEIRTKMNITSISGIIGILEELLDQYSGSISYKPLIISNILIMAFHMTKKTSDNSINLYTSEIYYPIS -YHLSDKYPKMKEKIDYALSTRFRRGKMDVFLNIKCKLTPTNKRGVPFHEIYLYPMSNGTQPPKFAEVSPI -FGIITKLEQNKLIFY - ->YP_009505467.1 matrix [Mossuril virus] -MLQLFKKRKPKADIEKSDSSLWLYNPNSYEDSLPGYSEQNNLFAPSAPTLEEKNTPTHYERTYDLDCDLE -IRTKLNLTTIAGVVGVLEELLDKYQGSVSYRPILMANVLVMALHMGKKTSENSLNTYHSDMCYPVTYHLS -SRYPKLREKVEYNLSIRFKRGRNDVFMNIKCKMTPTNKRGVPFLDIYNCKMSNGANPPSFSSLASIFKIN -TYPDGEKIVFN - ->YP_009361986.1 matrix [Kamese virus] -MLQLFKKRKPKADIEKSDSSLWLYNPNSYEDSLPGYSEQNNLFAPSAPTLEERSTPTHDERTYDLDCDLE -IRTKLNLTTIAGVIGVLEELLDKYQGSVSYRPLLMANVLVMALHMGKKTSENSLNTYHSDMCYPVTYHLS -SRYPKLKEKIEYNLSIRFKRGRNDVFMNIKCKMIPTNKRGVPFLDIYNCKMSNGASPPSFSSLASIFKIN -TYPDGEKIVFN - ->YP_009361967.1 matrix [Mosqueiro virus] -MLKLFKKKRSKDLKSQSENSLWSYNPSVYDEELPGYSQGPSLFLPSAPEEEPTVSKSDFTERVYDVEGEF -EIRTKLQITSVAGIIGILEELLDKYQGSVAYRPLLMSNILLMAFHVGRKGVDNNINTYNCEICYPISYQL -SSRYPKLRERIDYSLSTRFKRGKADIFLNIKCKMTPTNKRGVSYFDVYRSPMANGADPPNFDEVGGIFGI -NVIHELSEIVFN - ->YP_009361956.1 matrix [Hart Park virus] -MLHLFKRKKGKSVDLNSTPSNSSLWLYNPNSYEDTLPGYSQHADLFPPSAPPDETHPGLHQPEERPYDVA -AELEIRTKMNITSISGIIGILEELLDQYSGSISYKPLIISNILIMAFHMTKKASDNSLNFYTSEIYYPIS -YHLSDKYPKIREKIDYALSTRFRRGKMDVFLNIKCKLTPTNKRGVPFHEIYMYPMSNGSQPPKFSEVSPI -FGIITKLEQNKLIFN - diff --git a/seq/clusters_seq/cluster_769 b/seq/clusters_seq/cluster_769 deleted file mode 100644 index dd78fb2..0000000 --- a/seq/clusters_seq/cluster_769 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009508530.1 matrix protein [Beatrice Hill virus] -MLSRIKQGIKSRKSNSSGSSKSKASDDERSLMLRWVYDSDPPVKQTETFQYLMSPTAPAEKTSSSYIATT -YKVDCNVEIISRAAIRNFEELINIASCLIDSYDGQLLIKPWIVSVYLTIVTHLVKEPDHHGVKSSVNRYH -NGFTEILTLYINKNFAPENKKYSFKKNLSATHKGNQCNIIINIELSPTDRKGKSIKDVYEVKMPDNREIP -NFDQMILPYNLKAKEKRGKYLITHKMSNTDDLIDASDSDETDL - ->YP_009362246.1 matrix [Sweetwater Branch virus] -MLSLWKGSKGKKSSKSSASSISSDDNPQSMLRWVYDGEETNASYGLERKTPLGFLESPSAPPVYDSITYV -KTTYKIDCNVEIKYRGAIACQEDLNNITNHFIDEYDGSILIKPWVVLAYNVVITHLVKDQDKFGVKSSYS -KYYNGFSEILYTYIDRSFKPGQDTQSYKKSYNLTHKGNPCSVNIEFKMTPTGREGRSVLDIYYAKMSDSR -KIPPLTEALKMYGLNGVEQTNKLYITYKQETVEDEVTL - ->YP_009301741.1 matrix protein [Wuhan Insect virus 7] -MRRLTWRSKKPSSPTSPPSTEVELWNPYRPSEDEQSPWSAPLTEPELAVQNSLSWYTSMHLTVITQKEVE -NGVDLLEKLAIMSDRYEGSIFMKPIILLLYASAGVNLKPIARRQDGFHYAVELDELMSYTISGGNYQGTE -CNIDWFKVLGQGPNRITIRFKLKMIPSRRKGRPFFQYYSKDSTANDKPGLDILKEMFMIDNVEITNGDAV -FN - ->YP_009094407.1 matrix protein M [Coastal Plains virus] -MLSKIRSGLSSKKSSKSSISGDDPGEARQMLKWVYQGDTEPPDYHEMYFMSNPSAPPAYSPKRYKPQSAK -IVASVEILTRTPIENMNNLINIVETLIDHYDGPILIKPWVITSFLIVVTHMIKEPPKLGVKTSTHKYHNG -FSENLKILIHEDFLPDTVAYTYSKNIQTQYRGNPCNLSVTIKIEPTARMGRSVPPIYHLKMPDNRPIPDI -ADLLRPHGLEGKIIRGELCLTHKSVEDLDEGIEV - ->YP_007641370.1 matrix protein M [Tibrogargan virus] -MLSRIKQGIKTKRSSSSSSSRSKTGDEDSSLMLRWVYDNDPPLKQTDTFQYLMAPTAPTDKASSSYIATT -YKVDCKVEIISRASIRNFDELINIASCLIDSYDGQLLIKPWIITVYLTIITHLVKEPDTHGVRSSVNRYH -NGFNEILTLYINKNFAPENKKYSFKKNLSTTHKGNQCNIIISIDLLPTDRKGKSIKDVYEVKMPDNREIP -NFQQMLKPYNLKVKEKNGKYLISHKMSSSDDSIDVSDSDENEF - diff --git a/seq/clusters_seq/cluster_77 b/seq/clusters_seq/cluster_77 deleted file mode 100644 index 6d99666..0000000 --- a/seq/clusters_seq/cluster_77 +++ /dev/null @@ -1,427 +0,0 @@ ->YP_009118481.1 neuraminidase [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MNPNQKILCTSATAIIIGAIAVLIGMANLGLNIGLHLKPGCNCSHSQPETTNTSQTIINNYYNETNITNI -QMEERTSRNFNNLTKGLCTINSWHIYGKDNAVRIGESSDVLVTREPYVSCDPDECRFYALSQGTTIRGKH -SNGTIHDRSQYRALISWPLSSPPTVYNSRVECIGWSSTSCHDGKSRMSICISGPNNNASAVVWYNRRPVA -EINTWARNILRTQESECVCHNGVCPVVFTDGSATGPADTRIYYFKEGKILKWESLTGTAKHIEECSCYGE -RTGITCTCRDNWQGSNRPVIQIDPVAMTHTSQYICSPVLTDNPRPNDPNIGKCNDPYPGNNNNGVKGFSY -LDGANTWLGRTISTASRSGYEMLKVPNALTDDRSKPIQGQTIVLNADWSGYSGSFMDYWAEGDCYRACFY -VELIRGRPKEDKVWWTSNSIVSMCSSTEFLGQWNWPDGAKIEYFL - ->YP_308872.1 neuraminidase [Influenza A virus (A/Korea/426/1968(H2N2))] -MNPNQKIITIGSVSLTIATVCFLMQIAILVTTVTLHFKQHECDSPASNQVMPCEPIIIERNITEIVYLNN -TTIEKEICPEVVEYRNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRIPHRTLLMNELGVPFHLGTRQVCVAWSSSSCHDGKAWLHVCVTGDDKNATASFIYDGR -LMDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPDVRCICRDNWKGSNRPVIDINMEDYSIDSSYVCSGLVGDTPRNDDRSSNSNCRNPNNERGNPGVK -GWAFDNGDDVWMGRTISKDLRSGYETFKVIGGWSTPNSKSQINRQVIVDSNNWSGYSGIFSVEGKRCINR -CFYVELIRGRQQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->YP_308842.1 neuraminidase [Influenza A virus (A/New York/392/2004(H3N2))] -MNPNQKIITIGSVSLTISTICFFMQIAILITTVTLHFKQYEFNSPPNNQVMLCEPTIIERNITEIVYLTN -TTIEKEMCPKLAEYRNWSKPQCDITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPDKCYQFALGQGTTL -NNVHSNDTVHDRTPYRTLLMNELGVPFHLGTKQVCIAWSSSSCHDGKAWLHVCVTGDDKNATASFIYNGR -LVDSIVSWSKKILRTQESECVCINGTCTVVMTDGSASGKADTKILFIEEGKIIHTSTLSGSAQHVEECSC -YPRYPGVRCVCRDNWKGSNRPIVDINIKDYSIVSSYVCSGLVGDTPRKNDSSSSSHCLDPNNEEGGHGVK -GWAFDDGNDVWMGRTISEKLRSGYETFKVIEGWSKPNSKLQINRQVIVDRGNRSGYSGIFSVEGKSCINR -CFYVELIRGRKEETEVLWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->NP_859038.1 neuraminidase [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MNPNQKIIALGSVSITIATICLLMQIAILATTMTLHFNECTNPSNNQAVPCEPIIIERNITEIVHLNNTT -IEKESCPKVAEYKNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCGLGKCYQFALGQGTTLNN -KHSNGTIHDRSPHRTLLMNELGVPFHLGTKQVCIAWSSSSCHDGKAWLHVCVTGDDRNATASIIYDGMLT -DSIGSWSKNILRTQESECVCINGTCTVVMTDGSASGRADTKILFIREGKIVHIGPLSGSAQHVEECSCYP -RYPEVRCVCRDNWKGSNRPVLYINVADYSVDSSYVCSGLVGDTPRNDDSSSSSNCRDPNNERGGPGVKGW -AFDNGNDVWMGRTIKKDSRSGYETFRVVGGWTTANSKSQINRQVIVDSDNWSGYSGIFSVEGKTCINRCF -YVELIRGRPQETRVWWTSNSIIVFCGTSGTYGTGSWPDGANINFMSI - ->sp|P03476.2|NRAM_I71A2 RecName: Full=Neuraminidase -MNPNQKIITIGVVNTTLSTIALLIGVGNLVFNTVIHEKIGNHQTVIHPTITTPAVPNCSDTIITYNNTVI -NNITTTIITEAERLFKPPLPLCPFRGFFPFHKDNAIRLGENKDVIVTREPYVSCDNDNCWSFALAQGALL -GTKHSNGTIKDRTPYRSLIRFPIGTAPVLGNYKEICIAWSSSSCFDGKEWMHVCMTGNDNDASAQIIYAG -RMTDSIKSWRKDILRTQESECQCIGGTCVVAVTDGPAANSADHRVYWIREGRIVKYENVPKTKIQHLEEC -SCYVDIDVYCICRDNWKGSNRPWMRINNETILETGYVCSKFHSDTPRPADPSTVSCDSPSNINGGPGVKG -FGFKAGNDVWLGRTVSTSGRSGFEIIKVTDGWINSPNHAKSVTQTLVSNNDWSGYSGSFIVKTKGCFQPC -FYVELIRGRPNKNDDVSWTSNSIVTFCGLDNEPGSGNWPDGSNIGFMPK - ->sp|Q20NP0.1|NRAM_I80A8 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATACFLMQIAILATTVTLHFKQNECSIPANNQVVPCEPIVIERNITEIVYLNN -TTIEKEICPEVVEYRNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPSKCYQFALGQGTTL -DNKHSNGTIHDRIPHRTLLMNELGVPFHLGTKQVCIAWSSSSCHDGKAWLHVCVTGDDRNATASFIYDGM -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIREGKIVHISPLSGSAQHIEECSC -YPRYPNVRCVCRDNWKGSNRPVIDINMADYSIDSSYVCSGLVGDTPRNDDSSSSSNCRDPNNERGNPGVK -GWAFDNGNDVWMGRTISKDSRSGYETFRVIGGWATANSKSQTNRQVIVDNNNWSGYSGIFSVESKSCINR -CFYVELIRGRPQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->sp|Q6XV52.1|NRAM_I61A0 RecName: Full=Neuraminidase -MNPNQKIITIGVVNTTLSTIALLIGVGNLIFNTVIHEKIGDHQTVVYPTITAPVVPNCSDTIITYNNTVI -NNITTTIITEAERHFKPSLPLCPFRGFFPFHKDNAIRLGENKDVIVTREPYVSCDNDNCWSFALAQGALL -GTKHSNGTIKDRTPYRSLIRFPIGTAPVLGNYKEICVAWSSSSCFDGKEWMHVCMTGNDNDASAQIIYAG -KMTDSIKSWRRDILRTQESECQCIDGTCVVAVTDGPAANSADHRVYWIREGKVIKYENVPKTKIQHLEEC -SCYVDTDVYCVCRDNWKGSNRPWMRINNETILETGYVCSKFHSDTPRPADPSTVSCDSPSNVNGGPGVKG -FGFKTGDDVWLGRTVSTNGRSGFEIIKVTEGWINSPNHAKSVTQTLVSNNDWSGYSGSFIVENNGCFQPC -FYIELIRGRTNKNDDVSWTSNSIVTFCGLDNEPGSGNWPDGSNIGFMPK - ->sp|P88838.1|NRAM_I56A3 RecName: Full=Neuraminidase -MNPNQKLFASSGIAIALGIINLLIGISNMSLNISLYSKGENHKSDNLTCTNINQNNTTMVNTYINNTTII -DKNTKMENPGYLLLNKSLCNVEGWVVIAKDNAIRFGESEQIIVTREPYVSCDPLSCKMYALHQGTTIRNK -HSNGTTHDRTAFRGLISTPLGNPPTVSNSEFICVGWSSTSCHDGVSRMTICVQGNNENATATVYYNKRLT -TTIKTWAKNILRTQESECVCHNSTCVVVMTDGPANNQAFTKVIYFHKGTIIKEEPLKGSAKHIEECSCYG -HNQRVTCVCRDNWQGANRPVIEIDMNNLEHTSRYICTGVLTDTSRPKDKAIGECFNPITGSPGAPGIKGF -GFLNENNTWLGRTISPKLRSGFEMLKIPNAGTDPDSKIKERQEIVGNDNWSGYSGSFIDYWNDNSECYNP -CFYVELIRGRPEEAKYVEWTSNSLIALCGSPIPVGSGSFPDGAQIKYFS - ->sp|P05803.1|NRAM_I84A1 RecName: Full=Neuraminidase -MNPNQKILCTSATALVIGTIAVLIGIVNLGLNIGLHLKPSCNCSRSQPEATNASQTIINNYYNETNITQI -SNTNIQVEERASREFNNLTKGLCTINSWHIYGKDNAVRIGEDSDVLVTREPYVSCDPDECRFYALSQGTT -IRGKHSNGTIHDRSQYRDLISWPLSSPPTVYNSRVECIGWSSTSCHDGRARMSICISGPNNNASAVIWYN -RRPVTEINTWARNILRTQESECVCQNGVCPVVFTDGSATGPAETRIYYFKEGKILKWEPLTGTAKHIEEC -SCYGEQAGVTCTCRDNWQGSNRPVIQIDPVAMTHTSQYICSPVLTDNPRPNDPTVGKCNDPYPGNNNNGV -KGFSYLDGGNTWLGRTISIASRSGYEMLKVPNALTDDRSKPTQGQTIVLNTDWSGYSGSFMDYWAEGECY -RACFYVELIRGRPKEDKVWWTSNSIVSMCSSTEFLGQWNWPDGAKIEYFL - ->sp|P03479.1|NRAM_I76A1 RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSQLIGLANLGLNIGLHFKVGETPEIGTPSVNETNSTTTIINYNTQNNFTN -VTNIVLIKEEDEMFTNLSKPLCEVNSWHILSRT - ->sp|Q20NV8.1|NRAM_I80AD RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSLLVGIANLGLNIGLHYKVGYTPDVNTPNVNGTNSTTTTIINNNTQNNFT -NITNIIQNKNEERTFLNLTKPLCEVNSWHILSKDNAIRIGEDANILVTREPYLSCDPQGCRMFALSQGTT -LKGRHANGTIHDRSPFRALVSWEMGQAPSPYNAKIECIGWSSTSCHDGISRMSICMSGPNNNASAVVWYG -GRPVTEIPSWAGNILRTQESECVCHKGICPVVMTDGPANNKAATKIIYFKEGKIQKIEELTGTAQHIEEC -SCYGAKEVIKCICRDNWKGANRPVITIDPDMMTHTSKYLCSKILTDTSRPNDPNNGNCDAPITGGGPDPG -VKGFAFLDGENSWLGRTISKDSRSGYEVLKVPNAETNTQSGPITHQIIVNNQNWSGYSGAFIDYWANKEC -FNPCFYVELIRGRPKESSVLWTSNSIVALCGSRERLGSWSWHDGAEITYFK - ->sp|P0DOF9.1|NRAM_I72A8 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAIQVTTVTLHFKQYECDSPANNQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIGWSSSSCHDGKAWLHVCVTGYDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTKILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVKDYSIDSSYVCSGLVGDTPRNNDRSSNSYCRNPNNEKGNHGVK -GWAFDDGNDVWMGRTISEDSRSGYETFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q9EA42.2|NRAM_I77A4 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECDYPANNQAMPCEPIIIERNITEIVYLTN -TTIEKEVCPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGYDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTKILFIEEGKIVHTSPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVKDYSINSSYVCSGLVGDTPRNNDRSSSSYCQNPNNEKGTHGVK -GWAFDDGNDVWMGRTISEDSRSGYETFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINIMPI - ->sp|Q1K9Q1.2|NRAM_I57A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATVCFLMQIAILATTVTLHFKQHKCDSPASNQVMPCEPIIIERNITEIVYLNN -TTIEKEICPEVVEYRNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNGTIHDRIPHRTLLMNELGVPFHLGTKQVCVAWSSSSCHDGKAWLHVCVTGDDRNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIKEGKIVHIGPLSGSAQHIEECSC -YPRYPDVRCICRDNWKGSNRPVIDINMEDYSIDSSYVCSGLVGDTPRNDDSSSNSNCRDPNNERGNPGVK -GWAFDNGDDVWMGRTISKDLRSGYETFKVIGGWSTPNSKSQVNRQVIVDNNNWSGYSGIFSVEGKSCINR -CFYVELIRGRPQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->sp|P03471.2|NRAM_I71A1 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATVCFLMQIAILVTTVTLHFKQYECDSPANNQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRIPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGDDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVKDYSIDSRYVCSGLVGDTPRNNDRSSNSNCRNPNNDKGNHGVK -GWAFDDGNDVWMGRTISKDSRSGYETFKVIGGWSTPNSKSQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q38SQ5.1|NRAM_I83A8 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECSSPPNNQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -NNKHSNDTIHDRTPYRTLLMNELGVPFHLGTKQVCIAWSSSSCHDGKAWLHVCVTGHDKNATASFIYDGR -LVDSIGSWSKNILRTQESECVCINGTCTVVMTDGSASERADTKILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCVCRDNWKGSNRPIVDINVKDYSIVSSYVCSGLVGDTPRKNDRSSSSYCRNPNNEKGNHGVK -GWAFDDGNDVWMGRTIGEELRSGYETFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q30NP8.1|NRAM_I75A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECDSPANKQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGYDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTKILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVKDYSIDSSYVCSGLVGDTPRNNDRSSSSYCRNPNNEKGTHGVK -GWAFDDGNDVWMGRTISEDSRSGYETFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q2RCH3.1|NRAM_I80A4 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECSSPPNNQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRTPYRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGHDKNATASFIYDGR -LVDSIGSWSKNILRTQESECVCINGTCTVVMTDGSASERADTKILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCVCRDNWKGSNRPVVDINVKDYSIVSSYVCSGLVGDTPRKNDRSSSSYCRNPNNEKGNHGVK -GWAFDDGNDVWMGRTISEESRSGYETFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q91MA2.1|NRAM_I68A4 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATVCFLMQIAILVTTVTLHFKQYECDSPASNQVMPCEPIIIERNITEIVYLNN -TTIEKEICPKVVEYRNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDHGKCYQFALGQGTTL -DNKHSNDTIHDRIPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCITGDDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINMEDYSIDSSYVCSGLVGDTPRNDDRSSNSNCRNPNNERGNQGVK -GWAFDNGDDVWMGRTISKDLRSGYETFKVIGGWSTPNSKSQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGRKQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->sp|Q75VQ0.1|NRAM_I77AH RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECDSPANNQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGYDKNATASFIYDGR -LVDSIGSWSKNILRTQESECVCINGTCTVVMTDGSASERADTKILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCVCRDNWKGSNRPIVDINVKDYSIASSYVCSGLVGDTPRKNDRSSSSYCRNPNNEKGNHGVK -GWAFDDGNDVWMGRTISEESRSGYETFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q6XTN2.1|NRAM_I69A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATVCFLMQIAILVTTVTLHFRQYECDSPANNQVMPCEPTIIERNITEIVYLNN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRIPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGDDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVEDYSIDSSYVCSGLVGDTPRNNDRSSNSNCRNPNNERGNHGVK -GWAFDDGNDVWMGRTISKDLRSGYETFKVIGGWSTPNSKSQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q67344.1|NRAM_I82A7 RecName: Full=Neuraminidase -MQIAILVTTVTLHFNQYECDSLADNQVMPCEPIIIERNITEIIYLTNTTIEKEICPKLMEYRNWSRPQCK -ITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTLDNKHSNDTIHDRIPHRTLLMNEL -GVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGDDKNATASFIYDGRLVDSMGSWSQNILRTQESECVCI -NGTCTVVMTDGSASGRADTRILFIEEGKIVHISPLSGSAQHVEECSCYPRYPSVRCICRDNWKGSNRPIV -DINIKDYSIDSRYVCSGLVGDTPRNNDRSSSSDCKNPNNDKGNHGVKGWAFDDGNDVWMGRTISKDSRSG -YETFKVIDGWSTPNSKSQINRQVIVDRDNRSGYSGIFSVESKGCINRCFYVELIRGRKQETRVWWTSSSI -VVFCGTSGTYGKGSWPDGANINFMPI - ->sp|Q67212.2|NRAM_I57A1 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATACSLMQIAILATTVTLHFKQHECDSPASNQVMPCEPIIIERNITEIVYLNN -TTIEKEICPEVVEYRNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNGTIHDRIPHRTLLMNELGVPFHLGTKQVCVAWSSSSCHDGKAWLHVCVTGDDRNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIKEGKIVHISPLSGSAQHIEECSC -YPRYPDVRCICRDNWKGSNRPVIDINMEDYSIDSSYVCSGLVGDTPRNDDSSSNSNCRDPNNERGNPGVK -GWAFDNGDDVWMGRTISKDSRSGYETFKVIGGWSTPNSKSQVNRQVIVDNNNWSGYSGIFSVEGKSCINR -CFYVELIRGRPQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->sp|O91745.1|NRAM_I96A1 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECNSPPNNQVMLCEPTIIERNITEIVYLTN -TTIEKEICPKLAEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPDKCYQFALGQGTTL -NNRHSNDTVHDRTPYRTLLMNELGVPFHLGTKQVCIAWSSSSCHDGKAWLHVCVTGHDENATASFIYDGR -LVDSIGSWSKKILRTQESECVCINGTCTVVMTDGSASGRADTKILFIEEGKIVHISPLSGSAQHVEECSC -YPRYSGVRCVCRDNWKGSNRPIVDINVKDYSIVSSYVCSGLVGDTPRKNDSSSSSHCLNPNNEEGGHGVK -GWAFDDGNDVWMGRTISEKLRSGYETFKVIGGWSKPNSKLQINRQVIVDRGNRSGYSGIFSVEGKSCINR -CFYVELIRGRKQETEVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|O91744.1|NRAM_I93A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECSSPPNNQVIPCQPTIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -NNRHSNDTVHDRTPYRTLLMNELGVPFHLGTKQVCIAWSSSSCHDGKAWLHVCVTGHDENATASFIYDGR -LVDSIGSWSKNILRTQESECVCINGTCTVVMTDGSASERADTKILFIEEGKVVHISPLSGSAQHVEECSC -YPRYPGVRCVCRDNWKGSNRPIVDINVKDYSIVSSYVCSGLVGDTPRKNDSSSSSYCQNPNNEKGSHGVK -GWAFDDGNDVWMGRTISEELRSGYETFKVIGGWSTPNSKLQINRQVIVDSGNRSGYSGIFSVEGKSCINR -CFYVELIRGRKQETKVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|P06820.2|NRAM_I67A0 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATVCFLMQIAILVTTVTLHFKQHECDSPASNQVMPCEPIIIERNITEIVYLNN -TTIEKEICPKVVEYRNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPVKCYQFALGQGTTL -DNKHSNDTVHDRIPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCITGDDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIEEGKIVHISPLAGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINMEDYSIDSSYVCSGLVGDTPRNDDRSSNSNCRNPNNERGTQGVK -GWAFDNGNDLWMGRTISKDLRSGYETFKVIGGWSTPNSKSQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGRKQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->sp|Q09106.1|NRAM_I78AB RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTFAAICFLMQIAILVTTVTLNFKQYECDPPATNQVMPCEPIIIERNITEIVYLTN -TTIEREICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGRCYQFALGQGTTL -DNKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCITGYDKNATASFIYDGR -LVDSIGSWSKNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIEEGRIVHISPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVKDYSINSSYVCSGLVGDTPRNNDRSSNSYCQNPNNEKGNHGVK -GWAFDDGNDVWMGRTISEDSRSGYQTFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGRRQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q09105.1|NRAM_I76AB RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECDSPANNQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGYDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTKILFIEEGKIVHTSPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVKDYSIDSSYVCSGLVGDTPRKNDRSSSSYCRNPNNEKGTHGVK -GWAFDDGNDVWMGRTISEDSRSGYETFKVIGGWSTPNSKLQINRQVIVDSNDRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q09104.1|NRAM_I76A9 RecName: Full=Neuraminidase -MNPNQKIMTIGSVSLIIAAVCFLMQIAILVTTVTLHFKQCECDSPSNNQVKPCEPIIIERNITEIVYLNN -TTIEKETCPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNDTIHDRIPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGDDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPNVRCICRDNWKGSNRPIVDINMKDYSIDSSYVCSGLVGDTPRNDDRSSKSNCRNPNNEKGNHGVK -GWAFDNGDDVWMGRTISKDLRSGYETFKVIGGWFTPNSKSQVNRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGRGQETRVWWTSNSIVVFCGTSGTYGKGSWPDGANINFMPI - ->sp|P03482.1|NRAM_I75A3 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECDSPANNQVMPCEPISIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPRKCYQFALGQGTTL -ENKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGYDKNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTKILFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCICRDNWKGSNRPVVDINVKDYSIDSSYVCSGLVGDTPRKNDRSSSSYCRNPNNEKGIHGVK -GWAFDDGNDVWMGRTISEDSRSGYETFKVIGGWSTPNSKLQINRQVIVDSANRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|P03484.1|NRAM_I57A4 RecName: Full=Neuraminidase -MNPNQKTITIGSVSLTIATVCFLMQIAILATTVTLHFKQHECDSPASNQVMPCEPIIIERNITEIVYLNN -TTIEKEICPEVVEYRNWSKPQCQITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNGTIHDRIPHRTLLMNELGVPFHLGTKQVCVAWSSSSCHDGKAWLHVCVTGDDRNATASFIYDGR -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGRADTRILFIKEGKIVHISPLSGSAQHIEECSC -YPRYPDVRCICRDNWKGSNRPVIDINMEDYSIDSSYVCSGLVGDTPRNDDSSSNSNCRDPNNERGNPGVK -GWAFDNGDDVWMGRTINKESRSGYETFKVIGGWSTPNSKSQVNRQVIVDNNNWSGYSGIFSVEGKSCINR -CFYVELIRGRPQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->sp|P09573.2|NRAM_I83A6 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATVCFLMQIAILATNVTLHFRQNERSIPAYNQTTPCKPIIIERNIKYRNWSKP -QCQITGFAPFSKDNSIRLSAGGGIWVTREPYVSCDPSKCYQFALGQGTTLDNNHSNGTIHDRTPHRTLLM -NELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGDDRNATASFIYNGMLVDSIGSWSQNILRTQESEC -VCINGTCTVVMTDGSASGKADIRILFIREGKIVHISPLSGSAQHIEECSCYPRYPNVRCVCRDNWKGSNR -PVIDINMADYSIDSSYVCSGLVGDTPRNDDSSSSSNCRDPNNERGNPGVKGWAFDIGDDVWMGRTISKDS -RSGYETFRVIGGWATANSKSQTNRQVIVDNNNWSGYSGIFSVESKSCINRCFYVELIRGRPQETRVWWTS -NSIVVFCGTSGTYGTGSWPDGANINFMPL - ->sp|Q0A456.1|NRAM_I66A1 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATVCFLMQIAILATTVTLHFKQNECNPPANNQVVPCEPIIIERNITEIVYLNN -ITIEKEVCPEVAEYRNWSKPQCQITGFAPFSKDNSVRLSAGGDIWVTREPYVSCDPGKCYQFALGQGTTL -DNKHSNGTIHDRIPHRTLLMNELGVPFHLGTKQVCIAWSSSSCHDGKAWLHVCVTGDDRNATASFIYDGM -LVDSIGSWSQNILRTQESECVCINGTCTVVMTDGSASGNADTRVLFIREGKIIHISPLSGSAQHIEECSC -YPRYPDVRCVCRDNWKGSNRPVIDIKMADYSINSGYVCSGLVGDTPRSDDSSSNSNCRDPNNERGNPGVK -GWAFDNGDDVWMGRTISKDSRSGYETFRVIGGWTTPNSKSQVNRQVIVDSNNWSGYSGIFSVEGKSCINR -CFYVELIRGRPQETRVWWTSNSIVVFCGTSGTYGTGSWPDGANINFMPI - ->sp|Q6XV27.1|NRAM_I56A2 RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSLLVGIANLGLNIGLHYKVGDTPNVNIPNVNGTNSTTTIINNNTQNNFTN -ITNIIQSKGGERTFLNLTKPLCEVNSWHILSKDNAIRIGEDAHILVTREPYLSCDPQGCRMFALSQGTTL -RGRHANGTIHDRSPFRALISWEMGQAPSPYNTRVECIGWSSTSCHDGMSRMSICMSGPNNNASAVVWYGG -RPITEIPSWAGNILRTQESECVCHKGVCPVVMTDGPANNRAATKIIYFKEGKIQKIEELAGNAQHIEECS -CYGAGGVIKCICRDNWKGANRPVITIDPEMMTHTSKYLCSKVLTDTSRPNDPTNGNCDAPITGGSPDPGV -KGFAFLDGENSWLGRTISKDSRSGYEMLKVPNAETDIQSGPISNQVIVNNQNWSGYSGAFIDYWANKECF -NPCFYVELIRGRPKESSVLWTSNSIVALCGSKKRLGSWSWHDGAEIIYFE - ->sp|P31510.1|NRAM_I85A8 RecName: Full=Neuraminidase -MNPNQKILFASATAIVIGTIAVLIGIVNLGLNIGLHLKPSCNCSRSQPEATNASQTIINNYYNKTNITQI -SNTNIQVEERASREFNNLTKGLCTINSWHIYGKDNAVRIGEDSDVLVTREPYVSCDPDECRFYALSQGTT -IRGKHSNGTIHDRSQYRALISWPLSSPPTVYNSRVECIGWSSTSCHDGRARMSICISGPNNNASAVIWYN -RRPVTEINTWARNILRTQESECVCHNGVCPVVFTDGSATGPAETRIYYFKEGKILKWEPLTGTAKHIEEC -SCYGEQARVTCTCRDNWQGSNRPVIQIDPVAMTHTSQYICSPVLTDNPRPNDPTVGKCNDPYPGNNNNGV -KGFSYLDGGNTWLGRTISTASRSGYEMLKVPNALTDDRSKPTQGQTIVLNTDWSGYSGSFMDYWAEGECY -RACFYVELIRGRPKEDRVWWTSNSIVSMCSSTEFLGQWNWPDGAKIEYFL - ->sp|P03472.1|NRAM_I75A5 RecName: Full=Neuraminidase -MNPNQKILCTSATALVIGTIAVLIGITNLGLNIGLHLKPSCNCSHSQPEATNASQTIINNYYNDTNITQI -SNTNIQVEERAIRDFNNLTKGLCTINSWHIYGKDNAVRIGEDSDVLVTREPYVSCDPDECRFYALSQGTT -IRGKHSNGTIHDRSQYRALISWPLSSPPTVYNSRVECIGWSSTSCHDGKTRMSICISGPNNNASAVIWYN -RRPVTEINTWARNILRTQESECVCHNGVCPVVFTDGSATGPAETRIYYFKEGKILKWEPLAGTAKHIEEC -SCYGERAEITCTCRDNWQGSNRPVIRIDPVAMTHTSQYICSPVLTDNPRPNDPTVGKCNDPYPGNNNNGV -KGFSYLDGVNTWLGRTISIASRSGYEMLKVPNALTDDKSKPTQGQTIVLNTDWSGYSGSFMDYWAEGECY -RACFYVELIRGRPKEDKVWWTSNSIVSMCSSTEFLGQWDWPDGAKIEYFL - ->sp|P09574.1|NRAM_I83A7 RecName: Full=Neuraminidase -MNPNQKIITIGSMSLTIATVCFLMQIAILATNVTLHFRQNEESIPAYNQTTPCKPIIIERNIKYRNWSKP -QCQITGFAPFSKDNSIRLSAGGGIWVTREPYVSCDPSKCYQFALGQGTTLDHNHSNGTIHDRTPHRTLLM -NELGVPFHLGTRQVCIAWSRSSCHDGKAWLHVCVTGDDRNATASFIYNGMLVDSIGSWSQNILRTQESEC -VCINGTCTVVMTDGSASGKADTRILFIREGKIVHISPLSGSAQHIEECSCYPKSYPNVRCVCRDNWKGSN -RPVKLDINMADYSIDSSYVCSGLVGDTPRNDDSSSSSNCRDPNNERGNPGVKGWAFDIGDDVWMGRTISK -DSRSGYETFRVISGWATANSKSQTNRQVIVDNNNWSGYSGIFSVESKSCINRCFYVELIRGRPQENRVWW -TTNSIVVFCGTSGTYGTGSWPDGANINFMPL - ->sp|Q6XV28.1|NRAM_I77AG RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSLLIGIANLGLNIGLHYKVGDTPDANTPNVNETNSTTTIINNNTQNNFTN -ITNIIVSKNEERTFLNLTKPLCEVNSWHILSKDNAIRIGEDAHILVTREPYLSCDPQGCRMFALSQGTTL -RGRHANGTIHDRSPFRALISWEMGQAPSPYNVRVECIGWSSTSCHDGISRMSICMSGPNNNASAVVWYGG -RPVTEIPSWAGNILRTQESECVCHRGICPVVMTDGPANNRAATKIIYFKEGKIQKIEELAGNAQHIEECS -CYGAVGMIKCICRDNWKGANRPVITIDSEMMTHTSKYLCSKVLTDTSRPNDPTNGDCDAPITGGSPDPGV -KGFAFLDGENSWLGRTISKDSRSGYEMLKVPNAETDTRSEPTSHQVIINNQNWSGYSGAFIDYWANKECF -NPCFYVELIRGRPKESSVLWTSNSIVALCGSRERLGSWSWHDGAEIIYFK - ->sp|A3KF10.1|NRAM_I56A1 RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSLLIGIANLGLNIGLHYKVGDTPDVNIPNVNRTNSTTTIINNNTQNNFTN -ITNIIQNKNEERTFLNLTKPLCEVNSWHILSKDNAIRIGEDAHILVTREPYLSCDPQGCRMFALSQGTTL -RGRHANGTIHDRSPFRALVSWEMGQAPSPYNAKVECIGWSSTSCHDGISRMSICMSGPNNNASAVVWYGG -RPVTEIPSWAGNILRTQESECVCHKGVCPVVMTDGPATNRAATKIIYFKEGKIQKIEELTGKAQHIEECS -CYGAGGVIKCICRDNWKGANRPVITIDPEIMTHTSKYLCSKVLTDTSRPNDPTNGNCDAPITGGSPDPGV -KGFAFLDGENSWLGRTISKDSRSGYEMLKVPNAETDTQSGPISHQMIVNNQNWSGYSGAFIDYWANKECF -NPCFYVELIRGRPKESSVLWTSNSIVALCGSRERLGSWSWHDGAEIIYFK - ->sp|Q2VND0.1|NRAM_I78A8 RecName: Full=Neuraminidase -MNPNQKIITIGSVSLTIATICFLMQIAILVTTVTLHFKQYECDSPANNQVMPCEPIIIERNITEIVYLTN -TTIEKEICPKLVEYRNWSKPQCKITGFAPFSKDNSIRLSAGGDIWVTREPYVSCDPGRCYQFALGQGTTL -DNKHSNDTIHDRTPHRTLLMNELGVPFHLGTRQVCIAWSSSSCHDGKAWLHVCVTGYDKNATASFIYDGR -LVDSIGSWSKNILRTQESECVCINGTCTVVMTDGSASERADTKVLFIEEGKIVHISPLSGSAQHVEECSC -YPRYPGVRCVCRDNWKGSNRPVVDINVKDYSIASSYVCSGLVGDTPRKNDRYSSSYCRNPNNEKGNHGVK -GWAFDDGNDVWMGRTISDESRSGYETFKVIGGWSTPNSKLQINRQVIVDSDNRSGYSGIFSVEGKSCINR -CFYVELIRGREQETRVWWTSNSIVVFCGTSGTYGTGSWPDGADINLMPI - ->sp|Q2VC95.1|NRAM_I80A2 RecName: Full=Neuraminidase -MNPNQKLFALSGVAIALSIFNLLIGISNVVLNVSLHLKNNNDQDKNWTCTSITQNNTTLIENTYVNNTTV -INKETEAAKQNYLMLNKSLCKVEGWVVVAKDNAIRFGESEQVIVTREPYVSCDPLGCRMYALHQGTTIRN -KHSNGTIHDRTALRGLISTPLGSPPVVSNSDFLCVGWSSTSCHDGIGRMTICVQGNNDNATATVYYDRRL -TTTIKTWARNILRTQESECVCHNGTCVVVMTDGSASSQAHTKVLYFHKGLIIKEEALKGSARHIEECSCY -GHDSKVTCVCRDNWQGANRPVIEIDMNAMEHTSQYLCTGVLTDTSRPSDKSIGDCNNPITGSPGAPGVKG -FGFLDSSNTWLGRTISPRSRSGFEMLKIPNAGTDPNSRITERQEIVDSNNWSGYSGSFIDYWDESSECYN -PCFYVELIRGRPEEAKYVWWTSNSLVALCGSPVPVGSGSFPDGAQIQYFS - ->sp|Q0A2R1.1|NRAM_I85A3 RecName: Full=Neuraminidase -MNPNQKLFALSGVAIALSVLNLLIGISNVGLNVSLHLKGAGTKQEENLTCTTITQNNTTVVENTYVNNTT -IITKEPEFRAPSYLLLNKSLCNVEGWVVVAKDNAIRFGESEQIIVTREPYVSCDPSGCKMYALHQGTTIR -NKHSNGTIHDRTAFRGLISTHLGTPPTVSNSDFICVGWSSTSCHDGIGRMTICVQGNNDNATATVYYNRR -LTTTIKTWARNILRTQESECVCHNGTCAVVMTDGSASSQAYTKVMYFHKGLVIKEEPLKGSAKHIEECSC -YGHNQKITCVCRDNWQGANRPIIEIDMTTLEHTSRYVCTGVLTDTSRPGDKPSGDCSNPITGSPGAPGVK -GFGFLNGDNTWLGRTISPRSRSGFEMLKIPNAGTDPNSKIVERQEIVDNNNWSGYSGSFIDYWDDGNECY -NPCFYVELIRGRPEEAKYVWWTSNSLIALCGSPFPVGSGSFPDGAQIQYFS - ->sp|P18881.1|NRAM_I000F RecName: Full=Neuraminidase -MNPNQKLFALSGVAIALSVLNLLIGISNVGLNVSLHLKGEGVKQENNLTCTTITQNNTTVVENTYVNNTT -IINKGTNLKAPNYLLLNKSLCSVEGWVVIAKDNAIRFGESEQIIVTREPYVSCDPSGCKMYALHQGTTIR -NKHSNGTIHDRTTFRGLISTPLGTPPTVSNSDFICVGWSSTSCHDGVGRMTICIQGNNDNATATVYYNRR -LTTTIKTWAKNILRTQESECVCYNGTCAVVMTDGPASSQAYTKIMYFHKGLIIKEEPLRGSARHIEECSC -YGHDQKVSCVCRDNWQGANRPIIEIDMSTLEHTSRCVCTGVLTDTSRPGDKPNGDCSNPITGSPGAPGVK -GFGFLNGDNTWLGRTISPRSRSGFEMLKIPNAETDPNSRIIERQEIVDNSNWSGYSGSFIDCWDEANECY -NPCFYVELIRGRPEEAKYVWWTSNSLIALCGSPVSVGSGSFPDGAQIQYFS - ->sp|Q6XV24.1|NRAM_I84A4 RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSLLIGIANLGLNIGLHYKVGDTPDAPTPNVNGTNSTTTIINNNTQNNFTN -ITNIVQNKNEERTFLNLTKPLCEVNSWHILSKDNAIRIGEDAHILVTREPYLSCDPQGCRMFALSQGTTL -RGRHANGTIHDRSPFRALISWEMGQAPSPYNVKIECIGWSSTSCHDGISRMSICMSGPNNNASAVVWYGG -RPVTEIPSWAGNILRTQESECVCHKGICPVVMTDGPANNKAATKIIYFKEGKIQKIEELTGNAQHIEECS -CYGAKEVIKCICRDNWKGANRPVITIDPEMMTHTSKYLCSKVLTDTSRPNDPTSGNCDAPVTGGSPDPGV -KGFAFLDGENSWLGRTISKDSRSGYEMLKVPNAETSTQSGPIAHQVIVNNQNWSGYSGAFIDYWANKECF -NPCFYVELIRGRPKESSVLWTSNSIVALCGSRERLGSWSWHDGAEIIYFK - ->sp|Q6XV23.1|NRAM_I77AF RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSLLIGIANLGLNIGLHYKVGDTPDVNTPNVNGTNSTTTTIINNNTQNNFT -NITNIIHNKNEERTFLNLTKPLCEVNSWHILSKDNAIRIGEEAHILVTREPYLSCDPQGCRMFALSQGTT -LRGRHANGTIHDRSPFRALVSWEMGQAPSPYNAKIECIGWSSTSCHDGISRMSICMSGPNNNASAVVWYG -GRPVTEIPSWAGNILRTQESECVCHKGICPVVMTDGPANNKAATKIIYFKEGKIQKIEELTGNAQHIEEC -SCYGAKEVIKCICRDNWKGANRPVITIDPEMMTHTSKYLCSKILTDTSRPNDPTNGNCDAPITGGNPDPG -VKGFAFLDGENSWLGRTISKDSRSGYEMLKVPNAETNTQSGPITHQVIVNNQNWSGYSGAFIDYWANKEC -FNPCFYVELIRGRPKESSVLWTSNSIVALCGSKERLGSWSWHDGAEIIYFK - ->sp|P03480.2|NRAM_I49A1 RecName: Full=Neuraminidase -MNPNQKLFALSGVAIALSVMNLLIGISNVGLNVSLHLKEKGTKQEENLTCTTITQNNTTVVENTYVNNTT -IITKEPDLKAPSYLLLNKSLCSVEGWVVIAKDNAIRFGESEQIIVTREPYVSCDPSGCKMYALHQGTTIR -NKHSNGTIHDRTAFRGLISTPLGTPPTVSNSDFICVGWSSTSCHDGVGRMTICIQGNNDNATATVYYNRR -LTTTIKPWARNILRTQESECVCHNGTCAVVMTDGSASSQAYTKVMYFHKGLVIKEEPLKGSAKHIEECSC -YGHNQKITCVCRDNWQGANRPIIEIDMNTLEHTSRYVCTGILTDTSRPGDKPSGDCSNPITGSPSAPGVK -GFGFLNGDNTWLGRTISPRSRSGFEMLKIPNAGTDPNSRIAERQEIVDNNNWSGYSGSFIDYWDDDNECY -NPCFYVELIRGRPEEAKYVWWTSNSLIALCGSPFPVGSGSFPDGAQIQYFS - ->sp|Q6XV26.1|NRAM_I76AK RecName: Full=Neuraminidase -MNPNQKIICISATGMTLSVVSLLIGIANLGLNIGLHYKVGDTPGVNVPNENGTNSTTTIINNNTQNNFTN -ITNIIQTKTEEKTFLNLTKPLCEVNSWHILSKDNAIRIGEDAHILVTREPYLSCGPQGCRMFALSQGTTL -RGRHANGTIHDRSPYRALISWEMGQAPSPYNTRVECIGWSSTSCHDGKSRMSICMSGPNNNASAVVWYGG -RPATEIPSWAGNILRTQESECVCHNGVCPVVMTDGPANNRAATKIIYFKEGKIQKIEELTGSAQHIEECS -CYGAKSVIKCICRDNWKGANRPVITIDPEMMTHTSKYLCSKILTDTSRPNDPIDGDCDAPITGGSPDPGV -KGFAFLDGDNSWLGRTISKDSRSGYEMLKVPNAETSTQSGPVSHQVIVNNQNWSGYSGAFIDYWSNKECF -NPCFYVELIRGRPKESSVLWTSNSIVALCGSKERLGSWSWHDGAEIIYFK - ->sp|P08327.1|NRAM_I74A1 RecName: Full=Neuraminidase -MNPNQKLFASSGIAIVLGIINLLIGISNMSLNISLYSKGESHKNNNLTCTNINQNDTTMVNTYINNATII -DKSTKIENPGYLLLNKSLCNVEGWVVIAKDNAIRFGESEQIIVTREPYVSCDPLSCKMYALHQGTTIRNK -HSNSTTHDRTAFRGLISTPLGSPPTVSNSEFICVGWSSTSCHDGVNRMTICVQGDNENATATVYYNKRLT -TTIKTWAKNILRTQESECVCHNSTCVVVMTDGPANNQAFTKVIYFHKGMIIKEESLKGSAKHIEECSCYG -HNQRVTCVCRDNWQGANRPIIEIDMNKLEHTSRYICTGVLTDTSRPKDKTIGECFNPITGSPGAPGIKGF -GFLNEDNTWLGRTISPRLRSGFEMLKIPNAGTDPESKIKERQEIVSNDNWSGYSGSFIDYWNDNSECYNP -CFYVELIRGRPEEAKYVEWTSNSLIALCGSPISVGSGSFPDGAQIKYFS - diff --git a/seq/clusters_seq/cluster_770 b/seq/clusters_seq/cluster_770 deleted file mode 100644 index 13c26b0..0000000 --- a/seq/clusters_seq/cluster_770 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009337293.1 putative matrix protein [Hubei diptera virus 10] -MNNINKILSTFGKTVAKTSDLEKSSQLFSLDGSVMPSFSSMSTTFNPFIFDASDELPPYQGPLKTVDTWH -VMANMLYSTNRTIKAWEDIMEDLDVIIDKYEGSYNYRELFITLYYLMGTHLRPSSSGSNTMHLFKSEIDL -RLVINHNFKGLTEKTKDFSWKHESMYSAKKFRVCFNCTISSTKRKGVSLKRVYLVRSIPGLEHPDLSTLL -KPHNIDVKEEGDNYLLTYCPN - ->YP_009337137.1 putative matrix protein [Hubei diptera virus 9] -MNLKQLMSYVKKPGHSIRPSAPLVSRGSFSSYSTHFNPFDLPEYEAEDGNVHQEEGFMLNWHLLGSLEVN -TNRRIESWDDLLEDLDVMLDEYYGSTYYKDVFIIIYYLFGTHLRPHKNNSGKYKTYKIEADTRIAINHKF -PHVSQESRDFSWNYKSRSDIRLCSITFKCSLRPTRRLSTPYKSLYEVCSIPGLCTPDLEPILAPYGIKIQ -KNEFNYLFYKSEDSKLSQM - ->YP_009304978.1 matrix protein [Wuhan House Fly Virus 1] -MSNRKLSLGSFGKAINIWKPSAPSITTSSLLDGVSSNWKAFDVFDLDPEPTSSESATHPKQEIVWEVDAQ -LTVSTSKKIAIWEDLIEELDAIIDHYYGPSNQKEIFIIIYYLLGTHLKPGVGVNGEYKKFVIELSKRIKF -SYNFEGIQREAGDISWTYSQSRARKRLLIDFRCSITPTKRDGIPLKKLYDFQIYPNLPHPSIGSLLSGND -ITVLDVGTNYSFAKKQ - ->YP_009304654.1 matrix protein [Wuhan Fly Virus 2] -MKKTNTEIKTPPTSKMNFNNFLSSFGKQKGSPEKRDLSVGLYGPSAPFESISDSLNVFEYTEDDYRPLPE -TIPDVSKTWSVVGRMEYLTNRKVSSWEDVLEDLDVILDEYRGSVLYREIFIIFYYLMGTHLRPVQSPGGG -LRKFRIDMEARVNLVHGFKLDNDKEKQINWTYDSMKSIRKCRITYMCSLKDTNRKGMPFKTMYLYPSLEG -TPTPDLKKLLDPHNIFFEDLGDNYRFYK - ->YP_009300667.1 matrix protein [Shayang Fly Virus 2] -MNFNNFLSSFGKLKSSPERNQSAVELYGASAPFESISNSLNVFDYTEEDYNPLPESVPDVSKTWSVVGRM -EYITNRKVSSWEDVLEDLDVILDEYRGSVLYREVFIIFYYLMGTHLRPVQSPGGGLKKFRIEMEARVNLV -HGFKLDNEKEKQINWVHDSMKNIRKCRITYMCSLKDTNRKGMPFKTMYLYPSLEGTPTPDLKTILAPHKI -YYEDIGDNYRFYK - diff --git a/seq/clusters_seq/cluster_771 b/seq/clusters_seq/cluster_771 deleted file mode 100644 index e48dc16..0000000 --- a/seq/clusters_seq/cluster_771 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_010086761.1 phosphoprotein [Holmes Jungle virus] -MDNYKTPGKLDTGLNWDKLSSSMENQTDDTEAAAPDLSAFVHENADDLKAWATSLEDWASKLPDPPEEIK -NDKQEIPPPPESDQPNQPELQFSTFNLGQIRYRPGLLIGLIDDLCRMSRFGDIVTLSYKEEGKDTFLLYH -IENGLVRGRPGLINQQEEEEECNKKPTQCCPFYKEVLEDLSKGIIIKKRFGEGISKLTIKSLGDIEDIET -KWITTCHHTKRECLDYLLSLKGKKKTLLRTYNIDY - ->YP_009362221.1 phosphoprotein [Ord River virus] -MDNYKKPCDLNTGLNWEKVSNSMENQVDDTESAVPDLSAFVHENAEDLKTWATSLEDWASKLPDPPEELK -DKTEDVDQRKDSVDSIADQFSTFNIGPTRCRPGQLVGLIEDLCRVSRFGDMVTVSYKMDGNNLLLLYHVD -SAISRDQHRFVPAPNLDDITPKETKVTTTKCCPLYKEVLEDLSKGIILKKRFGEGTSKLTMKSLGDLEDI -ETKWITTCHSMKRECLDHLLSLKGKKKMLLRTYTIDY - ->YP_009362168.1 phosphoprotein [La Joya virus] -MSDYKKPAMLSTGVNWEKLSDSIAKSGDDQDADIPIQVNPTPNNLTEYVVSFEDWANKLPNPPADLKVES -DPPAVSKLVVKIPTTPPHSSLPSRSIERPRPIGPPSKNFPQHEIFDLGETQFDPWELKNLIAKLVTAARF -GEDLDIKITQEGRNYQIAYRINSLISSPGPSCPKSECAHLKQVLKDLEVGLVIKKRFGGACVKLTDKAIG -PIDILVLNHGIHDSKKDCLDSLLEAAGKKKSIYRTYQLDYH - ->YP_009361972.1 phosphoprotein [Parry Creek virus] -MDNYKQPSDLKTGLNWEKVSSSMENQVDDTESAAPDLSAFIHESADDLKAWATSLEDWASKLPDPPEELP -EASNQEPAPKAEEKQPDVKEEPHEPLFSSFNMGPVRFNPGLFLGLIDDLCRASRFGDLVTIDYKEVGSNF -IFLYHIESGLSRRHNLHPIDQEEDEREVQKEEPTQCCPYYKEVLEDLSKGIVLKKRFGDGSSKLTMRSLG -DIEDIETKWITTLHKSKRECLDNLLSLKGKKKMLLRTYNIDY - ->YP_002333273.1 polymerase-associated protein [Wongabel hapavirus] -MDNYRNPSSLKTGLNWDKVSSSMENQIDDTEAAAPDLSAFVHESAEDLKAWATNLEDWAAKLPDPPEEIK -NNITQSDEPVGEEPKSQNLQYSTFNLGQVGYRPRQLIGLIDDLCRMSRFGDMVTLDYKEEGKNTYLLYHI -ESGLTRGRPRESEPQDEHEHTDANQPTQCCPLYKEVLEDLSKGIIIKKRFGDGSSKLTMKSLGDIEDIES -KWITTCHSTKRECLDHLLSLKGKRKTLLRTYNIDY - diff --git a/seq/clusters_seq/cluster_772 b/seq/clusters_seq/cluster_772 deleted file mode 100644 index d4cc276..0000000 --- a/seq/clusters_seq/cluster_772 +++ /dev/null @@ -1,34 +0,0 @@ ->YP_010086410.1 P protein [Maize yellow striate virus] -MASSSDVQFLSGIPDDIHPRSYDLSADGIFSNAEEEEVMEERQAEQDLPDIEEEEPLVEKPAKGEKFVSG -TDELVSILKDYSNRKGMGMKKEWVNIMKRRFHEMGGKMLKTHVDFFLLGIQAERNMSVDRDFKDTATRLS -DEVNRVSGVGKRMLDAQQKMEREMEQKMKEITAHCKKMEMMVAKVETAVENASRPSSISSWAMGGPSREE -EEERDYDKFLSMIGFEDKHIKSATMKKCYPAISDEMYVHVITGEADHADMTNYYRLIMEYAESKVLKKKS -VAASRNDPYPGL - ->YP_009362276.1 phosphoprotein [Colocasia bobone disease-associated virus] -MSESDLFGDIHENILPADFDLDDDQIDFPTEARGVGSGSRGTGIEVAGEVEGDNDCYVDTEDMYSDVNSA -LKSLSTKLSDHGIKLRKEWTTMISRRFHVSGPLYPSHLDMFVLGIQAERNVGLNSDLKETAKRVQEEANH -MCGERKKMSDSAEKVISDFTKIIRDMQHQILEMSQVTENIKAASREASEIGSISDALELTKPKTVQDLLR -DLGFQESSINHPLMRDYIDKVAPASFVERYFKSRDRQDREKVREYILAGVKEHKEAAERAKLSKAKSVLV - ->YP_009506254.1 phosphoprotein [Cacao swollen shoot Togo A virus] -MDKKASGISGENALFGDVPNDVVGTTYEMGLDGILDDGETDVIESPADAEERVTTDIVADEGDNLITKVD -DMIGYLKRECQDHGIAVRKEWVNVLTRKFHMSKKIYASHLDFFLLGVMGERHVAVEKDFKDTAVRLSDEV -NKMSGISKKVADNETRMIKELDAKMKEMGSLIGKFNGVLNEFKGSMAVSSRPASVASWALDQTNETSREK -NYNEFLKKLGFQDHHIKSPLMKKCTVMITDEMYDEVMLENTSEDTLGIYKEQIITYGQSIQKKVEKPIKK -DPYADF - ->YP_009177223.1 P protein [Barley yellow striate mosaic cytorhabdovirus] -MSSSNAAVTFDDDVPDDIAPRAYDLDLDGIFGEQDVADADRQAENDVEEQEEEEKMVPTIKGERYISGVE -ELAALLKDHANRRGMEMKKEWVNIMKRKYHEMKDKMLKSHVELFLLGIQVERNTSVDKDFKDTATRLNDE -VNKVSGISKKLMDSQAKIAKDVDQKMKELTAYCRKMESMVSEVKTVVESASRPSSIASWAQGEEKNTSED -YNYDKFLSMIGFSANHIRSSVMKKCHVAITDEMYTHVISGEADSDDMADYYSRILNYADRVVKGSSKEER -TRPASSSRHDPYGDL - ->NP_057955.1 phosphoprotein [Northern cereal mosaic cytorhabdovirus] -MDKKASGISGENALFGDVPNDVVGTTYEMGLDGIFDDGETDVIESPADAEEHVTTDIVADEGDNLITKVD -DMIGYLKRECQDHGIAVRKEWVNVLTRKFHMSKKIYASHLDFFLLGVMGERHVAVEKDFKDTAVRLSDEV -NKMSGISKKVADNETRMIKELDAKMKEMGSLIGKFNGVLNEFKGSMAVSSRPASVASWALDQTTETSREK -NYNEFLKKLGFQDHHIKSPLMKKCTVMITDEMYDEVMLENTSEDTLGIYKEQIITYGQSIQKKVEKPIKK -DPYADF - diff --git a/seq/clusters_seq/cluster_773 b/seq/clusters_seq/cluster_773 deleted file mode 100644 index 6a7b32a..0000000 --- a/seq/clusters_seq/cluster_773 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_007641365.1 matrix protein [Perch perhabdovirus] -MALNIFKKKGKSNKEMDSGKALAMPSAPPVYSEAPGPFDAWNTSSIEELSKVSYLVDTCLSVTTKGPLQS -VADAYMVAQGMMDYYNGPVLSKPFFMTLFLGGVHGMQASVRGSQSIRYEREFHGPMTFPYHKSNPVDWTP -KSVSVHYTSSLRGKAVEVVFEARLKSTRQMGPSVWHYLDGLKGIQRPGNEAVLKQFGVPTMMLGNNLVFN -LDVQMSDL - ->YP_009505477.1 matrix protein [Lake trout rhabdovirus 903/87] -MALSLFKKKDKNTKMSKGAMVVAVPTAPPAYPDAPGPFDAWNHTEIEEISTVSYLIDTCMSVTTKRPIQS -VMEAYKIAQGILDHYSGPHLTRPFFISLFLGGIHGMQASARGTHSVRYEREFHGPLMFLYHLSNPLDWTP -RPVSMHYTSSLDGHPVEVVFEAKLTATRQTGPNVWTYFEGIKGLDRPNNEKVLNHFLVKTMQMGKNLVFN -LDA - ->YP_009315875.1 matrix protein [Orgi virus] -MKKSLILFLKKKPSKSSSSTPEDEKSNPFKIIPSAPPHVPEEDIVFSTYMLHGFLEIRTKLEIEGWRAML -DVLEVIVDKYTGPHYTRPFFESIYLLMGLHTIGRQDKEGWNTYRTELSTRVRVYYTGLTSDSLPTQWNWS -NASGTGGKYTTIAISVDMEKTKRKGVGLLDLWNHPVDDTAPPSLFSDLGQYNWKISQMGNHDVIFHF - ->YP_009094474.1 matrix protein [Dolphin rhabdovirus] -MFKSKALSLFRKTPKDKTMNRKDKSPVKRVMKYNEVADSEDGFPLFKPIPEGTYYRHITLKVNFKLSIVT -KEPIENLMEIYLIGQHILDNYNGPARSKPMYLALMIGGFNGLEKSHQTSRITCYEREFHGPIDFPYYRRD -PIDWVIMPLSTAYECSMKGHTEVTVYASLTPTNMTGPSLAQFCEGHPRMRKPPILDTLTNFKIDTKLKNE -EWHLDMSQC - ->YP_008686605.1 matrix protein [Eel virus European X] -MALSIFKKKGKKTESPKMFLMDANQMTPSAPPPYQAEPGPFDTWGNEELEEAMKVCYLVDTCLSVTTREP -IRSVVDAYIIAQGVLDHYTGPILTRPFYIALFLGGIHGMQAGVKGARSIRYEREHHGPLVFPYHRSNPLD -WTPRAIEFQYTTSLRGKPVEVSFQARLQATRQFGPGIEVYLDGLQRIERPSNELVLKQFRVPLLMLEKGK -WVFNLDSEGSYN - diff --git a/seq/clusters_seq/cluster_774 b/seq/clusters_seq/cluster_774 deleted file mode 100644 index bbf2b52..0000000 --- a/seq/clusters_seq/cluster_774 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009664183.1 core protein C [Bat hepacivirus] -MNTNSRLPSRQTRPSNLRGTARLSRGQGARFVPPVPRGKPRPPRQTRGVKGVPKSSEKGSRRPRRPPPRQ -DQAWTTRVANAWGRPGTRWPIIDPAIDWVSWLATPGALHRWPNDPRSRSRNLGRLVDGVFCGIADIAQAL -PLVGRPAGYLGRGAARLVRGVEDLFNFASGWTGFTIFILALLSLSGVDA - ->YP_009664173.1 core protein C [Hepacivirus K] -MDKSTPKTRRPPGRSARKPLGRVVRGVYIPNKKGAPAPKRRVPRGVPGVPKSGERGGGQPRPRRLPARNK -SFWDRHPYLGTWGTPGRPWTGFDPVADWVTWFGIPGSSSRWPSDPRSRARNLGRVVDGVFCGLADILHVL -PIVGRPAGFLGRGLARGVRILEDAINFGTRWTGATIFLLALLSCLQCGEA - ->YP_009664163.1 core protein C [Hepacivirus M] -MNTNSRLPSRQTRPSNLRGTARLSRGQGARFVPPVPRGKPRPPRQTRGVKGVPKSSEKGSRRPRRPPPRQ -DQAWTTRIANAWGRPGTRWPIIDPAIDWVSWLATPGALHRWPNDPRSRSRNLGRLVDGVFCGIADIAQAL -PLVGRPAGYLGRGAARLVRGVEDLFNFASGWTGFTIFVLALLSLSGVDA - ->YP_009664193.1 core protein C [Non-primate hepacivirus NZP1] -MSNKSKNQKPKPQRGPRGRVRGQSRSGPVVFPSGAVLVGGRYIPPPKKAIRGPRRGLVQAPKSSERTSPR -KKRQPPPQTDSSWRKYFSKFWGDRGYPWPYVDPVLQWGAWGSSPGAYRTRWGPRDPRHKSRNLGRVIDTL -TCGVADLAGYVPVLGAPAGALCRGAAHLVRFVEDGANFITGNIPGMGFSIFLLALFSAVSFGEA - ->YP_009325382.1 core protein C [Equine hepacivirus JPN3/JAPAN/2013] -MGNKSKNQKPQPQRGPRNRVKGQSRSGPVVFPSGAVLVGGRYIPPPKKAIRGPRRGLVQAPKSSERTAPR -KRRQPPPQTDSSWRKYFPKFWGDKGYPWPYVDPVLQWGAWGSSPGAYRTRWGPRDPRHRSRNLGRVIDTL -TCGVADLAGYVPVLGAPAGALCRGAAHLVRFVEDGANFITGNIPGMGFSIFLLALFSAVSFGEA - diff --git a/seq/clusters_seq/cluster_775 b/seq/clusters_seq/cluster_775 deleted file mode 100644 index e4b166d..0000000 --- a/seq/clusters_seq/cluster_775 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009518859.1 M2-2 protein [Human orthopneumovirus] -MTMPKIMILPDKYPCSITSILITSRCRVTMYNQKNTLYFNQNNPNNHMYSPNQTFNEIHWTSQELIDTIQ -IFLQHLGIIEDIYTIYILVS - ->NP_056865.3 M2-2 protein [Human orthopneumovirus] -MTKPKIMILPDKYPCSISSILISSESMIATFNHKNILQFNHNHLDNHQRLLNNIFDEIHWTPKNLLDATQ -QFLQHLNIPEDIYTIYILVS - ->YP_009505457.1 Matrix protein M2-2 [Bovine respiratory syncytial virus ATCC51908] -MNNSNIIIFPEKYPCSISSLLIKNENDVIVLSHQNVLDYLQFQYPCNMYSQNHMLDDIYWTSQELIEDVL -KILHLSGISISKYVIYVLVL - ->sp|P88812.1|M22_HRSVA RecName: Full=Protein M2-2 -MTMPKIMILPDKYPCSITSILITSRCRVTMYNQKNTLYFNQNNPNNHMYSPNQTFNEIHWTSQELIDTIQ -NFLQHLGIIEDIYTIYILVS - ->sp|Q77KZ6.2|M22_BRSVA RecName: Full=Protein M2-2 -MNNSNIIIFPEKYPCSISSLLIKDENDVFVLSHQNVLDCLQFQYPYNMYSQNHMLDDIYWTSQELIEDVL -KILHLSGISINKYVIYVLVL - diff --git a/seq/clusters_seq/cluster_776 b/seq/clusters_seq/cluster_776 deleted file mode 100644 index c485b0b..0000000 --- a/seq/clusters_seq/cluster_776 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009944280.1 ORF8b [Betacoronavirus England 1] -MPIPPLRKMLGIGGDRTEKLIPGMELSNWLPGGTSTTLELDPKQHSHSGLLRMASFGSMKMAPLMLLQLL -GRGTLTMIQLLLHNSRPVLSFLKTSTLRGLEAIVNHLQEPLA - ->YP_009944338.1 ORF8b [Pipistrellus bat coronavirus HKU5] -MTMKPLPTVSVQGVQELSPDLPLIPLSHGSRALPSTVSSLLPSLLDRAYLLMPIQPLHRMLGIGGDRTGK -LTLEMEQNLWHPGGISTTLEQDLRQISLSDQSRMESSGSMKTVPQMLLRFLGHATLQTIQLSSRSLLLVL -RYLKTSTSKALVAIVSHLREPPAEAHLDLVQETEEVTTVPAMLPQLHMESEM - ->YP_009944336.1 ORF8b [Tylonycteris bat coronavirus HKU4] -MTTSLISSSVDAEETLSHDLLPITLSPGTQGSPNTVKTRLPSLLDRVYLLMPILQQPRMLDTGGDKTGKS -TPVMVLNNWLPDGSSTTLGLDQRLIYLLDQSRMASSGFMKKVPLMLLQSLGLATQQMMLLSFASLRQVLS -FLKTSTLKGLGVIVSHLQEHPPTVGTLLDPVPEEDEALLTPEGLPQFHMESEVQNP - ->YP_009944307.1 Orf8b [Bat coronavirus] -MTTPIITSLEEEEETLNLDLHQITLSPGTRGLPNTGKSLFPSHLDRAYLLMPILPLRKMLGIGGDRTEKL -IQEMEPSHWLPGGTSTTLEPDLRPTSLSELSRTESSGSMRMAPLMLLQLLGRGTLTMMLLLLRNSRPVLS -FLKTSTLKGLEAIANHLQERLVPAETLLDPIPEVPDLVTPPAALPQVHLESEL - ->YP_009513019.1 ORF8b [Betacoronavirus Erinaceus/VMC/DEU/2012] -MEINKMEIIEVDQDNLNLDQPQMFLSHGTLALHSMVSSLLPFRLDKAYPLMPILHPSKMQGIGADKTESL -IQAMVLNNWPPGGISTTLEQDQKLTCHSEQSKMASTGFGKKVLVKPLQILVPGILLMTQLLSRSSRLALN -YLKIATLRALEVIVSHLLERPALAETPADLIPEAPNLVVEVTHQEVLDPQMHQHCSILN - diff --git a/seq/clusters_seq/cluster_777 b/seq/clusters_seq/cluster_777 deleted file mode 100644 index cf532df..0000000 --- a/seq/clusters_seq/cluster_777 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009825062.1 ORF9b protein [SARS coronavirus Tor2] -MDPNQTNVVPPALHLVDPQIQLTITRMEDAMGQGQNSADPKVYPIILRLGSQLSLSMARRNLDSLEARAF -QSTPIVVQMTKLATTEELPDEFVVVTAK - ->sp|P0DTD2.1|ORF9B_SARS2 RecName: Full=ORF9b protein; Short=ORF9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b; AltName: Full=Protein 9b -MDPKISEMHPALRLVDPQIQLAVTRMENAVGRDQNNVGPKVYPIILRLGSPLSLNMARKTLNSLEDKAFQ -LTPIAVQMTKLATTEELPDEFVVVTVK - ->sp|P0C5A6.1|ORF9B_BC279 RecName: Full=Protein 9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b -MDPKTSVVPPALHLVDPQIQLTIIRMEDAVVHGQSNAVPKVYPIILRLGSQLSLSMARRNLDSLEARAFQ -STPIVVKMTKLATTEELPDEFVVVTAK - ->sp|Q3LZX3.1|ORF9B_BCHK3 RecName: Full=Protein 9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b -MDPKVNVVPPALHLVDPQIQMTITRMEDAVVHDQNNAGPKVYPIILRLGSQLSLSMAKRNLDSLEARAFQ -STPIVVKMTKLATTEELPDEFVVVTAK - ->sp|Q3I5I6.1|ORF9B_BCRP3 RecName: Full=Protein 9b; AltName: Full=Accessory protein 9b; AltName: Full=ORF-9b -MDPKTSVVLPALHLVDPQIQLTTTRMEDAVVHGQNNADLKVYPIILRLGSQLSLSMVRRNLDSLEVRVFQ -STPIVVKMTKLATTEELPDEFVVVTAK - diff --git a/seq/clusters_seq/cluster_778 b/seq/clusters_seq/cluster_778 deleted file mode 100644 index ce6ea86..0000000 --- a/seq/clusters_seq/cluster_778 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_005454246.1 NS5a protein [Rabbit coronavirus HKU14] -MDVWRPEKKYLRYTNGFNVSELEDVCFKYNYQFPKVGYCRVPNYAWCRNQGSFCATFTLYGKSKRYDKYF -GIITSFTAFANTVEEAVNKLVFLAVDFITWRRQELNVYG - ->YP_009555242.1 ns12.9 [Human coronavirus OC43] -MDIWRPEKKYLRYINGFNVSELEDACFKFNYQFPKVGYCRVPSHAWCRNQGRFCATFTLYGKSKHYDKYF -GVINGFTAFANTVEDAVNKLVFLAVDFITWRRQELNVYG - ->NP_150080.1 12.7 kDa non-structural protein [Bovine coronavirus] -MDIWKPEIKYLRYTNGFNVSELEDACFKFNYKFPKVGYCRVPSHAWCRNQGSFCATLTLYGKSKHYDKYF -GVITGFTAFANTVEEAVNKLVFLAVDFITWRRQELNVYG - ->sp|Q774I1.1|NS12_CVP67 RecName: Full=Non-structural protein of 12.7 kDa; Short=ns12.7; AltName: Full=12.7 kDa accessory protein -MDIWCPEKKYLRYTNGFNVSELEDVCFKYNYQFPKVGYCRVPNYAWCRNQGSFCATFTLYGKSKHYDKYF -GIITGFTAFSNSLEEAVNKLVFLAVDFITWRSQSLNVYG - ->sp|Q9QAQ5.1|NS12_CVBOK RecName: Full=Non-structural protein of 12.7 kDa; Short=ns12.7; AltName: Full=12.7 kDa accessory protein -MDIWKPETKYLRYTNGFNVSDLEDACFKFNYKFPKVGYCRVPSHAWCRNQGSFCATLTLYGKSKHYDKYF -GVITGFTAFANTVEEAVNKLVFLAVDFITWRRQELNVYG - diff --git a/seq/clusters_seq/cluster_779 b/seq/clusters_seq/cluster_779 deleted file mode 100644 index e330187..0000000 --- a/seq/clusters_seq/cluster_779 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009167365.1 3A [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -GDKVVEATPTELPFEDALQFVASAKPIPVVPPLAAAIARNEPLTVCDAIWKYRKPIFATTTFFTVLGFLA -TIIGFAYRLWKSRGADQELNGEQ - ->YP_009167354.1 3A [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -GERTITRTPEHASYHTVIHDLLTSSHVSVPADLGRAIHSNQPLSVCDKIWQYRKPIFATTTFISVCSFLA -TLLYMAYRLWKSRHQEDGVEQ - ->YP_009001377.1 3A [Caprine kobuvirus] -GLTIETEPTELSYQDALEIDSHSKPVPTSLSFERAIAQNAPLSVIDTIWQYRRPLFACTTFLTIIGFLIT -IIALARTLWKAKDDTPDQTQ - ->NP_740441.2 3A [Aichi virus 1] -GNRVIDAEPREIPLEYADDLLEAMAHHRPVPCSLGLSQAIANNTPIQQISETFWKYRKPIFTCTTFLAVL -GFLCSVIPLARSLWKSKQDTPQEPQ - ->NP_859025.1 3A [Aichivirus B] -GAHSERTFETAPSEIDADEVLEILSKSKPAPTHLTLERAIASNAPLSFIDTLWKWRRPVFATTTFLTVLG -FVITVLGVAKVLWTKKEDTPDEPQ - diff --git a/seq/clusters_seq/cluster_78 b/seq/clusters_seq/cluster_78 deleted file mode 100644 index 4abe78d..0000000 --- a/seq/clusters_seq/cluster_78 +++ /dev/null @@ -1,947 +0,0 @@ ->YP_009551622.1 RNA-dependent RNA polymerase [Guangxi orbivirus] -MAVVTLRRKIAENAAARILPGIQFKPEDGATYFYKFSTLRKTKNGDEDENVNFNRNHESTQMGGKNSLHG -LPVLREASWQDILCNVLTNQESEDAINLLIDTAIDGTDLEPEEEFLRNYKINSFIQNDGSMNKNFDFVMN -RAREECQVYGDVAMKHWAALLVQLSETEQFTPLGLSFMKEFVDRFGEPFHQNTRDVSQVKEESFIVTVAL -IFEMCITESFLEFNAIFRSKEECVTKIRYANLSFQLYEIVRELFVLCIPHPKYINNKLRAVYSWFVKCWG -VACSSITVLHSEGGDDRNSKDVAYRGFKCITNPYRIAILKSRFFERSKQQNLDKIDEVVEYIKSLTSRRL -PALKIRAMLEKVYETEFNPTHTSDILLASYLLAIQVITGYGRAWIVNKGDDTEKMLAPNSENFVKRLSNM -TENFIIRAYTEAESQGYTIVYPEAMYSALLRLAKNTSSGMSTSVEVYKTYGPSAEKRSIPVRIVSRQKAL -VLMREGDKIYKRENMVKKFNTVESYQSKGQRDVPIKPTRIIYAIHISVLAPQLLLTLPINEYFSKVGGST -RPDSKEIGGKIIIGDLESTGSRIMDACDTFRNTSDPTIITLALDYSEYDQHMTRENFRDGMMSGMRKSLQ -KYHDLRYEGMTVEDLLQAGYGEGRLVGSLWNGRRRIRRMSRDEYESLPPSDKIPPDDAPFKFSPPGVFLI -RNFSLIKKDIDKDINNDFILVSVWDGSDLAKVTTHLSGENTTLVWNSIHNLAAGTIIREEIARRNPNCLN -IQSECYVGDDMLMYTTLINIRGDIIDRVIDSIFETIKLFGHEASESKTTFLPFSAEKTQTHAKQGVYIGQ -DRMMFISSERKKDIEDIGGYMRANVNVFITKCSRGFSADLAHLILCFKSMFVGYRKFKRTILENGRMRSR -YFSSEEDGYTLCMLRNPLSLYIPVEWNGYGAHPCALNVVMTPEVFIDSLMMDSICEYIKPLLAISGNLPP -QWNETKADKRSLKTRTAMSLFSKLARKTVSRVLQSQEIMKEVNELPLQGFGPNEISATMMHSALLKEPKA -RTLLAPNYEVDYHEEYNKWRRNANLTPQGSDLEISTQYCKIFEINFMQTSFYNQRHYFPDLNLSPEFKNQ -KLILGNRKSSRRKMSYIDQIDAILRSDTVMRGFITSNHILAVLEEIGIGHSAEDYATIFSLMNLDEKVCE -KLGNYIARDKIRFDAQKLNKGGALGDEFSMSLNVCTQEMVDELTTFPFELTQTERDAINLYASQILMLRA -SMGLSPVHLCFRVTEAHKRQVQRVRMQSKLPKRRHLKNLCTNIRSLSSTIISQQFL - ->YP_009507718.1 VP1 [Orungo virus] -MVITVEGAWLVRRALQRFLKFSKIDGESAVYEFYRYSSNIREIRRKHGTRYKMHDEELERRRDSGKLRFK -GMQVVVESTWMEILGKGRTTDEIEIFRSSILSLDELNPEEEFLRNYASSDKEHPSYLFVRGRAVNEMQIY -GDMPIKAWLSFLVECEVEYGYKLFGMEVARSFVQSFGSPFHQNSRDLSQIDDMAPAFTSPLLFEMCCMTS -ILEINIRMRMLEERVATLKFGEREIDPLEVLKEFFRICIPHPKKINNMLRAPYSWFVKLWGVSYHEPIVL -TARGGEDKNSKDIFFTGFHRVKNPYSIMLKKSRFFKKSLDENISKVKEAIDYSNSLTGMSLGLPVFLSML -QNVYDTEFNPTMVQHVLLASFLLSIQTITGYGRAWVKNVSSDNDTQMRPAEDNFIDRVAKQTEINFIQAY -EEALGHGEDIVKPEDMFTSILRLARNTSSGFSTAVDVYKRFGPKSGGRGERVKITSRIKALVIFTKGHEI -FTAENLAKRYDTEEDYQTKGSRDVPIKATRTIYSINLSILIPQLILTLPLNEYFARFGGSTAPDSRSLGG -KVIIGDLEATGSRTIDAADTFRNSSDPEIFTIAIDYSDYDQHLTVFNFRNGMLEGIRSAMKRYKELRYDG -YTLNELIEFGYGEGRIVNSKWNGKRRVKSVRMSDYMALSESQRAQGTFKPPQGVRPVSSMQVFDSVGEAS -GGDTLLISPTDGSDLATVNTHLSGENSTLVANSLHNMAIGRIIQEEIRKNHRAAFTFESEQYVGDDTLLY -AKLNTLNHEIFDKAIDTIFDTVGKCGHVASAAKTLIAPFSVEKTQTHAKQGIYIAQDRMMIISSERRKDI -EDVQGYMRSQVSVMATKVSRGFSHELAQLVFMMKSSIVGFRKLKRTVVEDGVYRDRALDSEDEDGFTLMQ -IRDPLVAFYPAEWNGYGMHPVALNIVMTEDMFIDTSTMVEFQHVMRPLLRFMGRIPPVWNETKADKRQIS -SITKMSFFSKMARPAVRAVLANSELSDQVRTLPLGDFSPFNLSATMMHSALLKEPRARALLTPAYEKEFQ -SELNSNRDSEVNFVGRNMEINTNYMKLFDVDLSTRIVAKQFSFPDQNMSLPFYFQKLLIGHRPVARMRMT -YIDRIDQILRGDVVMRGFITANTIMSVLEKIGTAHAVQDLTTIFQLMNIESKVAHRLAEYIASERVRFDA -LSIAKRGICGDEFSMSLDVCTERFAERFMELPAQFTKTERDIAILYAAQLTMLRCAANLDLRKIKIGVSA -DHVKRFKQRQARFKTHLPKMRTVTRLITPERISARLVQHQFT - ->YP_009507707.1 VP1 [Lebombo virus] -MAAPDIELIRRFLRRIFRGGDFDGSRPIYYYYRFSSNMREIRRKKGVVYGKSDEQIIRERERGTLRLYGI -EVIREATWEEVLGEPQGSDALQIYMRSLLDHEDLEPEEEFLRNYRVVEPDSAMSKFIEMRAKSEMQIYGD -MPIRFWAGLIEEISVTLKHVPLGLDVMRQFVRDYGSPFDQNTRDLSHLENFRVSYSTPLLFEMCCAESLL -EFNMLLRMEEEKIKNLEFGGKRINPYDVIREFFIVALPHPKKINNMLRSPYSWAVKVWGVAAEDIVILES -IGGEDRNSKEVYYKSFSRVKNPYSSMLTKSLFYASSLKDNVAKVNDAIKYSNDLGNHEKGLPIFQSMLES -IYSTPFDPSNIKHVILASLLLSIQVMTGYGRAWVMNKPSGDDQMKPAADNFIERVNDYTKQFFIQAYHEA -KVSGEEIVKPEDMYTSILRLARNTSSGFSTSVDVYKRYGPKAGKFGEKIKITSRIKALVIFVEGHKVFTR -DNLLRKYNSTEFYQSKGSRDVPIKSTRTIYSINLSILVPQLIVTLPLNEYFAHAGGSTLPKSTRLAGKVI -IGDLEATGSRVVDAADTFRNSSDPDILTIAIDYSDYDQHLTVHNFRKGMLDGIRSAMQPYAHLRYEGFSL -DEIIDFGYGEGRVVNSLWNGKRRVFKVSFSSYLMLSDDERQQGMFKPPIGVKPVSGMHVIDKLDTQGTDY -ILVAPTDGSDLAMINTHLSGENSTLVANSLHNMAIGRTIQEEVRAHHRGALEVLSEQYVGDDTLFYTKLH -TRDPVIFDRIVQTIFDTVAKCGHEASPAKTLIAPFSVEKTQTHAKQGIYIPQDRMMLISSERRKDIENVQ -GYMRSQAQTMVTKVSRGFSSRLANIIFMAKGSTIGYRKMKRTLLEGGVFRDRKFDSNEEDGFTLLQIRDP -LTSFLPTEWGGYGLHPAAMNLVMTEDLLLDSMRFGVIYDAMRMLTKFGGVRLPVWDETKADKRMIATDTQ -MGFFSKMARPAVRMTFEHAALADEVKKLPLGDYSPFNLSRTMMHGALLKESAARSLLAPAYEGEYQSELN -SSKLASFSLSAGNMELSTNYAKTFEVTFVNALTNQTFTFPDQNISPNFFVQKALLGPRVSSRVRMSYIDR -LDSILRGDVVMRGTLTANTIMNVLEKIGHSHGVSELTTVFQLMNIEDRVAQRLAEYIAAERVKFDALKLI -KRGVGGDEFTMSLDVCTQTMVERFARYPPQFTKSEVDMTILYITQLLILQAVAEGRVKRVDVNVSAEAKV -RQKQREARFKTHLPRLRVVNKLMNVDRLSARMVQNQFT - ->YP_009507705.1 VP1 [Eubenangee virus] -MVATVRGVEIVDRVLKRFYPTAEFDYTRGFWHLYRFSTNIRAIRRKKGTKYQRDDEVLANLVDRRTETLY -GIRVLNEASWNELTEEYNKRSRGLKIFENSVLDHEELDPEEEFLRNYKVVEDGAGHLSTFIETRAKIEMQ -IYGDLPMKIWFIFVEQLCRHIGFETEGLAVMKSFLSKYGTPFHQGLRDLSKLDGFRMSYSTPMLFEMSCM -ESLLEINIFKRMQEEKIRCLQFGNRNIDPIALLREFYLICLPHPKRINNILRSPYSWFVKTWGVAADPIV -VLKSSGGDDRNSKDVYYEKFQYVENSYVPIFDARFYQKSLEDNVEKVNEAIEYSRELGQHDEGLPIFMSM -LKDVYRTPFVPNKPSNLILASFMLSIQTITGYGRAWVKNVGTDFGKQKRPSKDNFIERVSDYTANNFIAA -YEEARQHHEDIVFPEDLYTSMLRLARNTSSGFSTSVKVRKAFGPNVKRKPELIDVTSRIKALVIFTKGHT -VFTPEELNKRYNTTTNYQTKGSREVPIKATRTIYSINLSVLVPQLVVTLPLNEYFARVGGSTSPYCKKMG -GKIIVGDLEATGSRVMDAADTFRNSADPGTFTLAIDYSEYDTHLTRHNFRGGMIKGLRAAMAKYRNLRYE -GYSLDELIDFGYGDGRVAMTLWNGKRRVFKVPAHLYIMLNEDDRKQGDFKPPPGVRPVTSMAVAKKLIQE -KSITDDYILVSPTDGSDLALIDTHLSGENSTLIANSMHNMAIGTIIQEEVLRKYPTEIAFQSEQYVGDDT -LLYTQLLTRDPKVVNGIIETTFTSVEKCGHEASASKTMVYPYSVEKTQTHAKQGVYVPQDRMMIISSERR -KDIENVNGYMRSQVHTMVTKVSRGFSHDLAQWILMLKTVFVGAWKLKRTIKDVHGYRDRKFDDDAEDGFT -LVTLKNPLALHIPQSWGGYGAHPAALNIVMTEEMFIDSMQMSRLEVEMQMLTKVAGKLPPAWDETQADKR -QISAETKMSFFSKMARPAVRATLMVPEIMDVVETLPLGDFGPGRLSKTMMHSALLKEANARSLLVSSYEL -EYQKQLNGWRELPMSFTLDEESGYVSSKYAKMFDIYFDEEIIEPAHVFPDQNLSPQFYVQKAIIGQRKST -RMRMSYIDRIDSILRKDVVMRGFLTANTIVNVLEKVGISHTAVDLVTLFTLMNIEVKVAEELAEYITSER -VRFDAVKLLKKGIVGDEFSMSLDVATQDMIDQLIRYPHELTKTELDAVSLYVSQLIMLRAALGMKKRRIR -ISISSDERERFRAKIQRYKTHTPKLKLIKKLIDINRLSVRALENQFV - ->YP_009507687.1 VP1 [Equine encephalosis virus] -MVITVDGAEVVREALNRIFKYGAFQGDTPVYEYYRYSSRIRQLRRKHGAKYSISDAEMERRRDRGELSLY -GLQVITENEVTQILKLPTHDQGVEIVDIFYNSVLPCDELEPDEEFLRNYRVEENHGLQTFISYRASQEMQ -VFGDLPLNFWCAFIESASSYFEHEPLGLTVLRQITCDKGVLFHQNSRDLSQIEDISYTYSGPLLFEMCIT -ESILEYNMVCRMREEKISDLQYGHDSIDPFEIIREFFIMCLPHPKKINNMLRSPYSWMVKTWGICCAEQV -ILDSRGGPDRNSKDVFYTGYRKVVNQYGPILLKTRFFKDSLKLNREKVEEAIKYSQCLGGHRRSLNIFRS -MLKKPYTTEFDPSNVRHVMLASLLLSIQTITGYGRAWVKNESSDVESQMKPNDNNLISRVSEYTGRNFYN -AYAEAKEAGEEIVKPEDMHTSLLRLAKNTSSGFSTEIVVNKRFGPGISASSFEKIKISSRIKALVIFTKG -HEVFTPSNLVQKYNTVEYYQTKGSRDVPIKSTRTIYAINLSILMPQLLLTLPLNEYFAKVGGSTLPDYKR -LGGKVIVGDLEATGSRTMDAADCFRNSSDPMILTIAIDYSDYDQHLTPYNFRSGMLKGIRDWVKHYEHYN -YDGQTVYDLIEYGYGEGRVQNSFWKGKSAVKKVDLDEYMDLNDDERFVGSFRPPRGSLPVRDRAVYDRLK -CTPGKEHVVISPTDGSDLARVNTHLSGENSTLVANSLHNMAIGRIMQEEIHKYCYGKIEFLSEQYVGDDT -LFYTKPHCRSPEDFDAIFDVIFNTIKKCGHEASPSKTLIAPFSVEKTQTHAKAGIYVGQDRMMIVSSERK -KDIEDVGGYLASQIQTLTTKVSRGFSHELAQLVFMMKSTVIGFRKLKRTIKDTHGYRDRSFDHQEEDGFT -LMMIKDPLVAFLPREWGGAGMNPLAINVVNTEEVFLDMAADPFFREIMDPLLKILQPMPPVWNETRGDKR -SLSSDTAMGFFSKMARPMVRLAFDNPIVGDLVKNLPLGDYSPFNLSHTMMRSALLKEQRARALLAPGYEL -EYQRELSKKWKEPSFTLGSKKDMEIDSGYAKIFDVVPFGREVVESHFFPDVNISPEFYIQKVKLGHRNAS -RQRMSYIDRIDGILRGDVVMRGFLTSGTIMSILDDIGPVHDASDLSMLFQMLNLSSIVADRLATYISSER -VKFDSLQIAKRGIGGDEFTMSLNVLTGEFMDRYLRVPPQFTKTEVDACLLYVAQLCMINCFKEGSLKRLD -INVSNNERRRIRQRIARYRTFVPPMRILRRAARSERIAARMVGNQFT - ->YP_009507729.1 VP1 [Warrego virus] -MAVVAQGATVIRRVVERLYPGINYDETDGVNMVYKFSGNIREIRMKHGIKYKESIDEIKSKIRTKKHELY -GVQVLDDTEWNEIRFKPLINDKGLEIYISSFVDPIKIDPEEEFLRNYKVCGDYAHNVETFIAMRAKNEMQ -IFGDLPIKIWVLFLLELSTELNHEPAGIRTIKAFLTKYGEPFHQGFRDLSRIDGFNPCYTTPLLFEMCCM -EAILEFNIKMRMEEESISYLEFGNEKIDPIEIIQEFFYICLPHPKKINNMLRSPYSWFVKMWGVGADEIV -ILHSDAGDDRNSKEVFYDRYQTRKNKYTNMYKQCRFYRQSLKQNMEKVDEAVAYSQELGHHDYELRVFKK -MLRVTYQIPFNPSKQTNYMLASLLLSIQTMSGYGRAWVKNESTDFEKIMKPSKDNFIERVSTYTANNFIQ -AYEEARDFGEEIVYPEDLYTSMLRLARNTSSGFATKVQVRKRFGPKMDRKVELVEVTSRIKALVIFTMGH -TIFTETELMRRYNTTEFYQTKGSRDVPIKSTRTIFSINLSVLVPQLVVTLPLNEYFARVGGPTTPDHKKL -GGKIIVGDLEATGSRVIDAADCFRNSCDPEIMTIAIDYSEYDTHLTRYNFRSGMLLGIKQALKRYTHLRY -EGYTLEQLVDFGYGEGRIANTLWNGKRRVYRVKASDYIMLSEDEKIQGSFRPPIGVKPVTSVSVAEKLAK -ADVTGEDLVLVSPTDGSDLAKIDTHLSGENSTLIANSMHNKAIGMIIQEEVKSKYGDDIKFLSEQYVGDD -TLLLTRLFTHDAKKIDGIIETIFGVVEKCGHEASESKTMITPFSVEKTQTHAKQGIYIPQDRMMLISSER -RKDIEDAQGYVRSQVHTMVTKVSRGFSHKLAVMILMLKSTFVGAWKMKRTVIEDGRMRDRKFDSDKEDGY -TLVMMRNPLSLFVPLSWNGYGAHFAALNVVMTEDVFVDSMMIETLAPTMKKIGMIAGKLPPLWNETQADK -RQIGSDTKMSFFNKMARPTVRYALNDPRILAEVEKLPLGDFAPQRISQTMMHSALLKEATARTLLVAGYE -LEYQVNFNKWKKDSVGITLVDEQGYITTNYVKMFEVRFDEEVIQAPNMFPDVNLSPEFYLQKSAIGQRMS -NRIRMSYIDKIDTILRKDVVMRGFITANSIINILEKIGVSHTSTDLTTLFTLMNIEDKVAQELAEYVTSE -KVRFDALRLLKKGIVGDEFSMSLEVATNEMVTKYMRHPHQLTKTELDAVTLYVSQMLMLRSACGLKLRRM -RLVVPDEAKERFKIRLNRFKAHAPKLKLIKRLIDINRLSVRALENQYV - ->YP_009507680.1 VP1 protein [Corriparta virus] -MATVEHRLQRTRKLIQKLVPNFTFDRKGYGIYYRYSPRNVYNKDAEKNVTLPEHGATTLYGIPVLSEITW -KTILSEIPESADTYEIMRRSIIPLNDLDPEEEFLRHYALDKSHVNFEFVMKRSREECAVYGDMALRHWAL -LLADLSDDIQHVPLGLKLMRSIINHSEEPFRQNTRDLALVRDEDLTVTIMLIFEMCISESILEYNAILRM -KEEGFECVCIGSHKICLIDLVRELFLICLPHPKKINNMLRATFSWYVKTLGTASEYVNVLRSNGGDDRNS -KDVVYHDFIRVKNPFFQTLRHSNFHKSALKKNIEKAEEAIKYSNSITGLDQGMPVFMSLLRTVYEDEFDP -TKSSHMVFTSYLLSLQVMSGYGRAWVKNVGDDENKIKLPTSSNFIKRVSEKTEANFIAAYKEAEEHGFTI -VKPIDMYSSLLRLAKNTSSGMSTRVEVLKSYTPSMRPETIELSSRQKALVIMGEGDKIYSKEFLEKKFNS -VDSFQTKGSRDVPIKATRTIYAIHISILAPQLILTLPLNEYFAQTGGPTTPDAKKLGGKIIVGDLEATGS -RVMDAADTFRNTADPNYITLALDYSEYDSHMSWYNFRSGMLDGMRKALRKYESLRYQGLTIEELIDYGYG -EGRVRDTLWSGKRAVRVVDRAWYESLPDSDRIVPEDAPFRTFYPGVNPIRSLSIIKPHNIQIPILVSPWD -GSDLAKVSTHLSGENSTLAANSLHNKGIGSVIIEEIARRLPGKSEIRSEMYVGDDTLFYLTMNCYRSETM -DKMLEIIFDVVDKCGHEASPAKTTCLPFSAEKTQTHAKQGVYIPQDRMMIVSSERRKDIENIGGYMRSNI -MTFCTKVSRGFSEYLAYRILEFKASILGYRKLKRTVFDGVYRSRIFSSKEDGYTLCIIRDPACLYTPVAW -RGFGCSPVALNVVNTPELFVDCLQMHCTQAIYKLLLPYINHFPPLWNETSADKHQIKTRTPMGLFSKLTR -PAVVASLSDPTMTEICKELPLQGFGPTQLSSTMMHNALLKEPRARALLSPGYELNYQRELNAEVEEYATL -DPTGHDLEITTAYTKIFEIHFGDLIPITTHRFPDRNLSPTFRAQKEMLGNRTMNRSRMSYIDRIDAILRG -DIVMRGFITANHILNVMEEVGAGQSVDDLATLFQLMNIEQKIARRLAEQLGKDRSRFDTQRLSKGGVGGD -EFTMSLNVLTEEFFEKYVTVPGGLFQAEKDAVCLHASQILMTRSACGLQPCKLVFRLNDEHKKGIRKVRV -VSKLPRIRVMKAFYHDVRSLSAAIVEQQHV - ->YP_009345879.1 VP1 [Orbivirus SX-2017a] -MVVITVQGADLIRRTIARLYPRLAVNDDDGVFQFYKFSDNIRKIRMKHGVTYSRSGDEIKMAIKKGSEML -YGLPVLSDASWHDILGGCTKQSEAFKILCDSVLPILELEPEEEFLRHYHIDKNDLSGVFKFIEFRAKNEM -QIYGDLPIKVWCSFLVELSNLRRHEPLGLTVMREYVERFGEPFHQGFRDLSRLEDSQGMCTAPLIFEMCC -MESILEFNILMRMEEEGVSALEFGDTQIDPIALLREFFIICLPHPKKINNMLRSPYSWFVKSWGVAANPI -VVLSSDGGDDRNAKDVYYKNFRTVKNTYTPIFKADFYKTSRKLNEDKVRETIEYSQKLGAHSKELPIFQK -MLHAVYETPFLPFKATHVMLASFLLSIQTITGYGRAWVKNVGTDFAAQKKPTPDNLIEAVSDCTRENFVV -AYKEAKDHKEEIVMPEDLYTSMLRLARNTSSGFSTKILVKKRFGPNSAKKEMVEILSRIKALVIFTKGHE -VFTETELKKKYNTKELYQTKGSRDVPIKSTRTIFSINLSVLVPQLLVTLPLNEYFARVGGSTSPDTRKIG -GKIIVGDLEATGSRVMDAADTFRNSADPDILTIAIDYSEYDTHLTRYNFRKGMLEGIRKAMEPYKHLRYE -GYTLDEIIDFGYGEGRVAKTLWNGKRRIYKTTADRYMKLSEEERMSGDFKVPMGVKPVSSTDVAGRIAVE -TGFDMLVAATDGSDLAMIDTHLSGENSTLIANSMHNMAIGGLIQEHVRREVGQEIVFLSEQYVGDDTLFY -AQLHTRDLKKIDHAYKTIFDVVEKCGHEASSSKTLIAPFSVEKTQTHAKQGIYIPQDRMMIVSSERRKDI -EDVQGYVRSQVQTMVTKVSRGFSHDLAVLILMLKSAFVGAWKLKRTILHDGIYRDRRFDSNDEDGYTLVQ -IRNPLSLFVPIAWNGFGAHPAAINIVMTEEIFIDSMMMSRLDELMRPIHKIVNKLPPLWNETAGDKRGVY -AETKMSFFGKMARPAVQVALQNPEIMDAVELLPLGDFSPGRLSRTMMHSALLKESTARGLLAAGYELEFQ -KDLNKWKGQGVSLKLREEPGVISTAYAKMFDVYFEEKIEEVNHTFPDQNLSPQFYIQKMKIGPRASTRLR -TSYIDRIDVILRKDVVMRGFITANTILNVLDQLGTSHTASDLTTVFTLMNIEQRVAEELSEYLTSERVRF -DALKLLKKGIAGDEFTMSLDVATQHMIDTYLRYPHQLTKTEVDAIALYVSQIIMLRCAAGYPLQKVRVVV -TEDEKRRYKVRAARFRTHVPRIKIVKKLMDLNRMSVRTLENQFV - ->YP_009163940.1 polymerase [Fengkai orbivirus] -MVVITVQGADLIRRTIARLYPRLAVNDDDGVFQFYKFSDNIRKIRMKHGVTYSRSGDEIKMAIKKGNEVL -YGLPVLSDASWHDILGGCTKQSEAFKILCDSVLPILELEPEEEFLRHYHIDKNDLSGVFKFIEFRAKNEM -QIYGDLPVKVWCSFLVELSNLRRHEPLGLTVMREYVERFGEPFHQGFRDLSRIEDSQGMCTAPLIFEMCC -MESILEFNMLMRMEEEGVSALEFGETQIDPIALLREFFIICLPHPKKINNMLRSPYSWFVKSWGVAANPI -VVLSSDGGDDRNAKDVYYKNFRTVKNTYTPIFKADFYKTSRKLNEDKVRETIEYSQKLGAHSKELPIFQK -MLHAVYETPFLPFKATHVMLASFLLSIQTITGYGRAWVKNVGTDFAAQKKPTPDNLIEAVSDCTRESFVI -AYKEAKDHKEEIVMPEDLYTSMLRLARNTSSGSSTKILVKKRFGPNSAKKEMVEILSRIKALVIFTKGHE -VFTEAELKKKYNTKELYQTKGSRDVPIKSTRTIFSINLSVLVPQLLVTLPLNEYFARVGGSTSPDTRKIG -GKIIVGDLEATGSRVMDAADTFRNSADPDILTIAIDYSEYDTHLTRYNFRKGMLEGIRKAMEPYKHLRYE -GYTLDEIIDFGYGEGRVAKTLWNGKRRIYKTTADRYMKLSEEERMSGDFKVPMGVKPVSSTEVADRIAVE -SGFDMLVAATDGSDLAMIDTHLSGENSTLIANSMHNMAIGGLIQEYVKKEVGQEIVFLSEQYVGDDTLFY -AQLHTRDLKKIDHAYKTIFDVVEKCGHEASSSKTLIAPFSVEKTQTHAKQGIYIPQDRMMIVSSERRKDI -EDVQGYVRSQVQTMVTKVSRGFSHDLAVLILMLKSAFVGAWKLKRTILHDGIYRDRRFDSNDEDGYTLVQ -IRNPLSLFVPIAWNGFGAHPAAINIVMTEEIFIDSMMMSRLDELMRPIHKVVNKLPPLWNETAGDKRGVY -AETKMSFFGKMARPAVQVALQNPEIMDAVELLPLGDFSPGRLSRTMMHSALLKESTARGLLAAGYELEFQ -KDLNKWKGQGVSLKLREEPGVISTAYAKMFDVYFEEKIEEVNHTFPDQNLSPQFYIQKMKIGPRASTRLR -TSYIDRIDVILRKDVVMRGFITANTVLNVLDQLGTSHTASDLTTVFTLMNIEQRVAEELSEYLTSERVRF -DALKLLKKGIAGDEFTMSLDVATQHMIDTYLRYPHQLTKTEVDAIALYVSQIIMLRCAAGYPLQKVRVVV -TEDEKRRYKVRAARFRTHVPRIKIVKKLMDLNRMSVRTLENQFV - ->YP_009158901.1 RNA-dependent RNA polymerase [Chobar Gorge virus] -MAAVVQQARIVERALELLLQRSIGTSRVFEFYKYSKWKESSSEKRDSLYGIPVISEATWEQLVDDSMLTE -MGNDAWEIYCTSLRESCELQPEEEFLRNYKLEGRPHAFDDFIRARGREESQTYGDLALRHWFALLVAISQ -TERLVPLGMSALAVCIREIGEPFHQNTRDLSKFESEKLSSSIVLYAEMCLAESLQEFNVFYRSKEEGVAI -SVACDGVSIDAVSLIREFFLACLPHPKRVCNMLRAAYTWFVKNWGTGVDEAVVLQSRAGDDRNAKDVHYT -GYATVRNPYGRVVLQTRFHREARNANQKKVSEVEEYIRTLVPCHQGLPLLTRLLRSVYRLPFSPRNISHV -IFASVCLSIQTITGYGRAWVKNVGDDPAKIVLPAPDNYVDRLATGTELNFRRAYAEALRHGYDMVPPEDM -YTSLLRLAKNTSSGIATSVTVRKDYGPRAAKRAEFVKITSRQKALVIMTHGDKIYTPEHLMVKYNTPQRY -QTKGSRDVPIKATRTIYAIHLGVLAPQLILTLPLNEYFAKAGGPTHPAAAEIGGKIIIGDLEATGSRLID -AADTFRNTGDPEIMTLALDYSEYDSHMSQHNFRSGMIRAIRGASAVHSAWRYDGYSLDQLIDFAYGDGRI -TGTLWNGRRSVMRVSRSLYESLPESDRCPPADAPFRFTPPGVKPIRTLGLLPQSEHADSLLVSPWDGSDL -AYVTTHLSGENSTLVANSLHNLAIGRIIQEELAIQAPGVVEVLSEMYVGDDTLMYTRLLTHDVRRIDKAI -DVIFDTVKKCGHEASPSKTTYLPLSAEKTQTHAKHGIYIPQDRMMLISSERRKDIESIRSYVRGQINVLV -TKISRGFSEFLAHLILMMKTSLIGYRKLKRTIKDGIYRSRSYHSDREDGYTLCRVRHPLVTYAPYAFGGV -GAHPEALNIVNTPELFLESLLEGSPWASLVLPYCVASDDWWDETQADKRQIGTETPMSLFSRLARRTVTA -ALSTPEIRAQVASLPLQGLGPTRLSATMMHGALLKEARARALLSPGYETDYQRALNATAQRPSFSVRGGD -LELSTAYSKIFTIWVHLEGAQPAHPHPDLNLSPSFRLQRTSLGTRWGPRLRMSYVDRIDAMVRADVVMRG -FVTAQHIMRVLEEIGIDHSTEDLTTIFSLMNLEERVARRIAEYVTKDRVRFDALSLNKGGIAGDEFTMSL -DVATQTMVDQKLRFPNELTKTEIDAIALHGSQIMMLRAARGACVSYIEFQVRPEHRAAFRRERVAQRTPR -RRMLRTLCADVRTLSALQSAHQFT - ->YP_009158878.1 RNA-dependent RNA polymerase [Chenuda virus] -MAAVGLRVELAIDALRTLFPTLDFNAPVIEFYKYAPWKGGQPEFRDELYGLPVIRERTWKEIFSDAPSTE -HGESLLDIFHASIRAPKEVDPEEEFTRHYRIQETCTRVDEFVRARGREESQVYGDLPLKHWAALIVVLTK -KTGHLSLGVEFMAAFVHRYGDPFHQSTRDLSRVSDEAVSTTIMLMFEMSIAESLQELNIWYRLTEEGLDS -TVCVGDQSFTYYELVRELFVLLIPHPKKVCNMLRATYSWYVKSWGTAARELKVLVSTASDDRNAKDVSYE -GFRLVQNPFRRLILSSTFHQNALSANLEKVEEAISYASALASLPLRLPVFERLLPDVYSAPFDPTHKPHV -ILASLMLSVQVMSGYGRAWVKNEGDDQSKLLRPHPTNFVSRLCRGTEELFVRAYHEAREHGFDIIAPEEM -YSSLLRLAKNTSSGFATTVQVQKKYGPQAERRSLTIPITSRQKSLFLLREGHRIFSPEMMEARYDTVGSY -QTRGSRDVPIKATRTIYAINVNVLAPQHILTLPLNEYFARAGGPTHPATREIGGKVIIGDLEATGSRVVD -AADTFRNTGDATVWTLALDYSNYDTHMGQDNFRDGMLRGMRYALRDKSELRYDSWTVEDLIRCGYGPGRV -HQTLWNGRRAVLRMDRCQYELLPEADRVPPADAPFLFRPPGTVPIRTLSCVVPAETDDIVLVSPWDGSDL -ARVTTHLSGENSTLVANSLHNMAMGRIIQEEIARGVPNTIEVLSEMYVGDDTLHYTRLLTADPARVDLAI -QRIFRTVELCGHEASAAKTTYLPFSAEKTQTHAKQGIYIPQDRMMIVSSERRKDIEDISGYLRAQCTTFI -TKVSRGFSEELAHIILLFKSAAVGFRKCKSTIRDASGTYRTRKFDSAEDGYTLCRLRHPMILYSPLDWNG -LGVCPYALNVVLTAELHMDLIQLNERYAQHACVNAHLLSHPPLWNENLADKHQIRTSTPMGLYSRITRPV -VRAVLSDPIALEATKQIPTLGFGPTELSSTMMHTALLKEKRARMLLSTGYEEAYQRQLTSWKPTCLLRAT -TGGELSSSFSKIFHTSVERLPPRLAAFPDQNLSPPFYAQKMAIGPRYGPRSRMSYIDRIDSILRADIVMR -GVITASTIMRILEKIGADHSPDDLMVVFQLLNLEARVARRLAEYVTADRVRFDAFSLNKHGICGDEFSMS -LDVCTDSSRDSHIVTPTELTPTERDACVLHAEQLRMAMAAMTGCNFRITLTARPEHRAAIRSARVRGRLP -RQRLIRMAARAIRNASLAVAEAQFV - ->YP_009158877.1 RNA-dependent RNA polymerase [Wad Medani virus] -MAAVERHVRAPLRALGLLLPGIRYDEPVFEYYKYSPWKKKDRKTEPNDQNDTRTDAKSAYHPPPRSHRGL -PVITEATWQDLAEHVPTSPRAQFALQIYTDSWLPHDKLEPEEEFLRNYIVEKSHLSDFILHRSRAESAVY -GDLPLRHWFALCIALSESYNHWPLGVTTLAAFIRDYGAPLHQNTRNLGQIREETGNVQVALFIEMCVNES -IQEFNIVARTMESRCEDKLTDFGFPVSCVDVLRELFLMMLPHPKCICNRLRATYSWFVKCWGVASPTVQL -LTSSASDDRNAKDVVYERFCTIENPYAETITQMDFFAKSLKANLEKLDETVTYAQSLSPHVPGLTLFRRM -LTRVYTTPFFPCSPQHMLMASVMLAMQTMSGYGRAWVKNAGDDPAKMLAPTSTNYVSRVAAMTANYFVRA -YEEASAHGFDIIPPEEMYTSLLRLAKNTSSGYLTEVSVEKRYGPRAQAKKEIVRLTSRQKALYLLAHGDK -IYSPAMMLKKYNTPSSFQTRGSRDVPIKATRTIYAINVEVLAAQHLLTLPLNEYFAKGRGSTHPSDSTLG -GKVIIGDLEATGSRVIDAADTFRNTGDPMIWTLALDYSEYDSHMTWHNFRSGMLRGMRDYLSKFSYYRYG -EWTIEDMIESGYGEGRVRATLWNGKRAVRKMSRDAYNRLSSHDTDPPDDAPIKSRPPGTFPIRTLALALE -PSEGQPFILVAPWDGSDLALVTTHLSGENSTLVANSLHNLAMGRIIQEEVARRNPGAFEVLSEMYVGDDT -LHYTRPLTRDPAILDGALTTIFETIERCGHVASPAKTTYLPFSAEKTQTHSKQGVYIPQDRMMIISSERP -KNIEDVQGYMRSQASTFTTKVSRGFSHDLAMIIYCFKASLVGYRKLKATVKTGGMYVQREFFGVDDGFTL -VRVPHPSIAFAPLEWGGYGVSPYSMNVVNTPELHSDMLMAVPDYVRWTRSDHCFIAFPPSWNETTADDSI -LRAQTPAGLFSRLARRTVRAVLTDQHLSEEIRRIPLGELGPHGLSRKMMHQAMMKESRARALISPAYEAQ -YRADLNAWRPVAAIGGARKREIGSEFVKMFRIDVSALPTPPSRAHPDLNLSPSFLVQKQLLGPRASSRLR -MNYAERIDSILRGDLVMRGVVTSNYIMSLLERIGADHNPDDLACVFELLNLTPKVSRALAEYVTKDRVRF -DTLLLHKGGAGGDEFSMSLNVGTESSAEECYTFPSEFRPVERDASILHCDQLRMTLAASLGFHSALTLSV -SPEHREKVRKARIKARTASARLVRSVARSARSASLALVEAQFT - ->YP_009047258.1 RNA-dependent RNA polymerase [Umatilla virus] -MAVVGHRLTRTRSTLQKIFKGLIIDGNSAYYLYYKYAPRNNVIGSTKSEEEWLQKKNRMYNLPVLQESTW -EELFEKTEFNYDQGERALNIYLDSLIEPEDIDPEEEFLRNYHMRSEDDSDVVEFIRARSREECAVYGDLS -LKYWCALVLDLSAENAHYPIGIQTLKALVTQYGTPFRQNSRDLSKISDEILASTIVLLVEMCITESIIEL -NAIYRMREIEETQIIIGAHKIKLHELMQELFLVCLPHPKKINNMLRAAYSWYVKTLGTASENITYLSSRG -GDDRNSKDVVYTHFINEKNVYAKMLRVSRFHRDSKKANIQKVVETIEYANSLLTTTKVDLPIFRTLMHSV -YTDYFDPRQKTHVLFASYLLSLQVISGYGRAWVKNKGDDEEKIMKPDESNLVARVCDKTKFFVQKAYDEA -KRNGFEIVKPEAMYSSLLRLAKNTSSGMSTTVEVSKSFSPRGENKEKVKINSRQKALVIMREGQKIYSSE -YLNKKFNTVVDYQTKGSRDVPIKATRTIYAIHVSVLAPQLLLTLPLNEYFARHGGPTNPDTNLLSGKVII -GDLEATGSRVMDASDTFRNTGDPAIITFALDYSEYDQHMTLFNFRNGLLSGMRSALSCYDTYRYDGYTVN -EMIEYGYGEGRVQNTLWNGKRAVMRISKDAYDQLPEERKQVPDDAPFRATKPGIKLIDNFEGLESYEGRE -VVLVSPWDGSDLARVSTHLSGENSTLVANSLHNMAIGSVIQEELAKKFGQTLKVLSEMYVGDDTLWYLRF -SSLDSGVLDACVDLIFDVVSKVGHEASPAKTTMLPFSAEKTQTHAKCGVYIPQDRMMMVSSERIKNIENI -QGYMRSNVMTYVTKVSRGFSEELAHRILLFKSAILGHRKLKRTIKDTERYRSRYFDSEEDGYTLCIIRDP -SILYTPVAWNGYGASPIALNIVMTPELYLDILQMPYASEWVAPYSHLVDCHLPPWNETEADTKQIRSNTE -MGLFSKLARKAVTATLLDPQLSEAVRTLPLQGFGPHKLSHTMMHSALLKEPRARTLLSTGYELEYQKALN -GHSGGKAKLDVAGHDLEISTSYAKIFRIDFGEEIVFNKLEFPDRNLSPRFLLQKLIIGNRTSSRMRMSYV -DKIDSILRGDVVMRGFITSNHIIRLMEDIGSGYNAEDLTTLFSLMNLDPKVANRLAEYLTQDRTKFDVQR -LAKGGVGGDEFTMSLNLMTTEFVEQLVEYPTQLFQAERDALILHASQIAMTRAALGLPLQKMHFVVTEEH -KKELRTVRVRSKLPKRSTVKNLVHDVRRLGAGIVEQQFL - ->YP_008719926.1 RNA polymarase [Changuinola virus] -MAATVQGACLVRHIIERYFKGFTITEKKGTYFTYKFSGFTRDLRMKRGTVYKRTIEEIEQAVRNRKEYVH -GRLVISEADWTDLLTEPVDYDVGVDIYSGSMLAIEEIEPEEEFLRNYKRNLETSSPMEKFVEMRSKNETQ -VFGDLPLKVWFCFVDEYSKYLHHVPAGLKMMRCFITKYGSPFSQSSRDLAKFEKINVCYTTPLIFEMCLM -ESLLEFNLIHRMREEQISYLEFGNEKINGINIIREFFYVCIPHPKKINNMLRSPYTWFVKMWGVGANPIQ -VLKSYASDDRNSKDVFYERFTTVNNKYEHLIKNTQFYKRSKTENINKIKEAVVYSQKSGHHNEDITIFKN -MLGKVYNTPFAPSKISNVMLASLLLSIQTMTGYGRAWVKNVGTDESNLMKPASDNLIQEVADYTRNNFIK -AYDEARRKGEEIVKPENLYTSMLRLARNTSSGFSTEIMIHKMFGPTVKRQKELIKIHSRIKALVIFTKGH -TVFTPEELHKKYNTVTDYQTKGSRDVPIKSTRTIYSINLSVLIPQLVLTLPLNEYFSRIGGSTHPDYPTM -GGKIIVGDLEATGSRVVDAADTFRNSSDSDILTIAIDYSDYDTHLTQYNFRSGMLSGLREVVKRYASYRY -EGFTLEQLIDFGYGEGRVARTLWNGKRRVSKVSAEAYMKLDDVDREIREFKPPIGVHPVSTGVILNKLEK -DTCIGAHCILVSPTDGSDLALVSTHLSGENSTLVANSMHNMAIGTLIQDNVNKNFTGKLTFLSEQYVGDD -TLFYCRLHAYESNDFNKIITTIFDTVKQCGHVASESKTMITPFSVEKTQTHAKQGIYIPQDRMMIISSER -RKDIEDVQGYMRSQVHTMITKVSRGFSHEFAINILMLKTSFIGAWKLKRTVLDEVFRDRKFDSDEEDGYT -LLQIRDPLTLFVPVAWNGYGAHPAALNVVMSEDIFIDSQTMPQLDTIMRELVQITKTCSPHWNETEADSR -QVMPETKMSFFSKMARPVVQIALTNKEVMDEVEKLPLGDFSPNRISRTMMHSALLKEQSARTLLTSGYEL -EYQAKLNKWLNSSISGEYVANGESGEITTNFAKLFNIQFGNVIEESPKHFPDQNLSPPFYLQKSAIGQRM -STRLRMSYIDRIDSILRKDVVMRGFITANTIINVLEKVGNTHTAIDLTTLFSLMNIEFKVAEELAMYLTS -EKVRFDALKLLKRGMCGDEFSMSLDVATQQMVDKYVSMPYEFTKTETDVISLYISQLVMLRSAMGMPKRK -IYVAPDEEMKNRYKLRVQRFKVHAPRLRLIKKLIDINRLSVRQLENQFI - ->YP_008719912.1 VP1 [Mobuck virus] -MAVVVQRRNIALSAAARILPGLQIDENAGYTFYYKYSKLRKTDKGLSKSSKNIKISTRSGIQTKDVQNED -EELEDDNIKEFYGLPVIKEASWTDLIMGVETSQESENAINILIESAIDDYDLEPEEEFLRNYRINSFLRN -DGNYKPAFDFVMSRARDECQVFGDLALKHWAGLLMEISKREKFFPLGLQVMKEFISRFGEPFHQNTRDLS -QIKNEAYIVTVVLFFEMCISESFSELNALFRCKEERLDEVEFGGIKFQLYQLVRELFIMCIPHPKYINNK -LRATYSWFVKCWGVGADEIVVLHSVGGDDRNSKEVGYVEYRKFQNPYKQMINHSNFFDRTKNENIKKVLE -MVDYVKTKTSRPLPNLLILRFLEEVYTTPFNPKKINDVLIASYLLSIQTITGYGRAWVKNLGDDESKMLK -PDDKNFVRRLADMTENFVIKSYEEAERNGFTIVRPESMYSSLLRMAKNTSSGMSTSVEVVKTYGPSAERR -RIPVRITSRQKALVLMREGDKIYKTENMERKFNTPYSYQAKGQRDVPIKATRTIYSIHISVLAPQLLLSL -PINEYFSRVGGSTRPNAREIGGKIIIGDLESTGSRVIDACDTFRNTADPSICTLALDYSEYDQHMTIDNF -RNGMMRGMEKALHKYSDLRYEGMTVYQLLQSGYGDGRLIGSLWNGKRHVMRMSKDEYENLEPEWIQPPED -APFKFSPPGVRMIKNFSCIKDKNYRGSDYILVSPWDGSDLAKVTTHLSGENTTLAWNSVHNLAAGTIIRE -EIAKKSPNKIVIESETYVGDDMLMYTTLNEKRGDVVDEILTTIFDTIKLFGHEASESKTTFLPFSAEKTQ -THAKQGIYIGQDRMMFVSSERRKDIEDVQSYMRANVNVFITKCSRGFSAELAHLILCYKTIFVGYRKFKR -SVLADGRMRSRYFDSNEDGFTLCVLRNPLTLYVPSEWNGYGAHPSAINVVMTPEIFLDCFMMDSFREEVI -VISRIAGDILPGWNETKADKHGLKTKASMSLFSKLARRAVSGVLQDAELTKKVQDLPLQGFGPTQISSTM -MHSALLKEPKARTLLSPNYEVDYQDEFNNWRKTASIKPAGTDLQITTQYCKIFEVEFESLLVKDIKRKHF -PDVNLSPELKNQKLIIGNRSSVRKRMSYVDQIDSILRGDVIMRGFVTSNHILAVLEEIGVGYNAEDYAAI -FSLMNLDEKVSEKLGNYIARDKLRFDAQKLNKSGACGDEFSMSLDVCTQEMVDKFTVFPYELTQTERDAV -ALYASQMIMLRAAKGIDITRMHFKVTNEHKRLVQRVRMQSKLPARRHIKTLCTNIRSLSGSIITQQFI - ->YP_008658416.1 RNA-dependent RNA polymerase [Wallal virus] -MVATVRGAEIIKRVIENFFPNHKFDVTKGFQHVYRFSAKIREIRRKKGTKYHTDDDVLEKQARARNTTLY -GIPIINEASWGELLVEKKNDDVGLKIYEDSQIPLAELEPEEEFLRNYEVVEREGEEMLQTYVEMRARNEM -QVYGDLPIKIWYAFIESVAVEEKHIPAGLQLMRAFITKYDEPFHQGLRDLSKIDTFKMSYTTPLLSPVCC -MESILEFNIKKRMEEEKLKNLEFGDTPVDPFELLREFFYVCIPHPKKINNTLRSPYSWFVKLWGVAADPI -IVLKSHASDDRNSKDVFYEKFSHVKNTYVELFKGTFYKKSRDDNIKKVEEAIEYSQELGCHDKQLKIFLS -MIRKVYETPFYPNRPSNLILASFMLSIQTITGYGRAWTVNKSTDFDKQMKPAKDNYIERVSNYTENNFIK -AYDEARAAREEIVMPEELYTSMLRLARNTSSGFSTKVLVKKSFGPSTHKKHELVEVSSRIKALVIFTKGH -TVFTPEELNRKYNTVTHYQTKGQREVPIKSTRIIYSINLSILVPQLIVTLPMNEYFARVGGTTSPESKRM -GGKIIVGDLEATGSRVMDAGDTFRNSGDPEIFSMAIDYSEYDTHLTRHNFRGGMIRGLRAAMKQYSNLRY -EGYTLDELIDFGYGDGRVSMSLWNGKRRVFKIALSEYLRLEDEDRITGDFRPPMGVKPIKSLDTLKKVNE -NEKGPYILVSPTDGSDLAMIDTHLSGENSTLIANSFHNMAIGTIIQEEVRRKFPDEIAFLSEQYVGDDTI -LYTKLHTRDSKKVNELIEIVFDVVKKCGHEASESKTMMTPFSVEKTQTHAKQGVYVPQDRMMIISSERRK -DIEDVQGYVRSQVQTMITKVSRGFSHELANWILLLKSTFIGAWKLKRTILCEDVYRDRKFDDDKEDGYTL -VTIRNPLSLYLPLSWNGFGAHFAALNVVMSDEIFLDSMMMSKLRDEMNNLVLLAGKLLPLWNETEADKRQ -IMPETKMSFFQKMARPAVRIALTTPEILEVVEQLPLGDYGPNRISRTMMHSALLKEATARSLLVSGYELE -YQQKLNGWREQPVYFNLNEESGYITSNYAKMFDVYFENDIVEEPYVFPDQNLSPQFYIQKAIIGPRRSDR -IRMSYIDRIDAILRKDVVMRGFLTANTIINVLEKVGLSHTSVDLTTLFTLMNIETKVAEELAQYLTSERV -KFDALKLLKRGMIGDEFCMSLNVSTQEMVDLVLRHPRELTKTEIDAVNLYVSQLTMLRAAIGLKRKTIRL -TVTPDAKSRYKMRIQRYRTHAPKLKLIKKLIDINRLSVRTLENQFV - ->YP_003896058.1 RNA-dependent RNA polymerase [Great Island virus] -MAAVEQRITSARSALRRIFNHKLFTQTQRCYEYYKFSQWQHSENEKRGYLYTVPVVRENGWQEIPRCVGA -RPRDALDVYYRSIQPISRIQPEEEFLRNYALDDGMESDLYRFMQHRAREEMAVYGDMALRHWYALVQQLA -DDFGIVPLGLSCMERFIDENGDPFHQSTRDLSKISDEVRSSSVVLLFEMSICEALLEYNVTLRSRESNIT -PTVRVGRLEIDRIEIIRELYTLMLPHPKKICNMLRASYSWFVKNWGIAASEVTLLESTAGDDRNSKDVTY -QRWRRIRNPYRDIILGTRFHRESLAANLKKVDEAVEYARSLANTPVSLSLFRTLMHDTYTQEFDPQNQGH -VQLASLLLAVQTMAGYGRAWVVNASDDPERMLQPTKDNFVERVSRETERFFVNAYEEARVHGFDIIPPED -MYTSLLRLAKNTSSGFSTEVEVRKSYGPKAARREELVRVRSRQKALYLLREGHNIYSPHMMQMRYDSPEC -FQTRGTRDVPIKATRTIYAINVNVLAPQHILTLPLNEYFARAGGPTHPSVASIGGKVIIGDLEATGSRVM -DAADTFRNTADSAIWTLALDYSNYDTHMTQHNFRRGMIAGIRSAIARHHALRYGQWDVFQLLEAGYGEGR -VANTLWNGKRRVLRMDATAYEALPEAERTVPPDAPFRFRPPGTHPIRTLSLAAPATGNNVVLVVPWDGSD -LARVSTHLSGENSTLVANSLHNMAMGRVIQDEVQARAPGVFEVLSEMYVGDDTLHYIRMLTLRPEHVDRA -IDVIFKTIELCGHEASASKTTFAPFSAEKTQTHAKQGIYIPQDRMMIISSERRKEIENVAGYMRAQVTTF -VTKVSRGFSEDLAHRILLFKSALVGYRRMKATIREGSVYRRRNFHSHEDGYTLCRVYDPTILYAPIDSGG -YGVHPFALNVVQTRELHLDSQQLFPVYRDLVARRVIMDAFPPSWNESDVDTRLISTKTPMGLFSKIVRQT -PRLALTDPELMALVDQLPLGEHSPTRLSSTMMRGALLKEPRARTLLSPAYEEQFSKTLSSWREPVEFSPI -GQHEVTSAYAKVLDLRVEKREAPIPFFPDQNLSPGFLAQKMYVGHRTTTRPLRSYVDQIDRILRGDTVMR -GILTSSAIMSLLEKIGFDHDPTDLATVFELLNLEPRVARRLAEFVTSDRLRFDVHLLNRRGIGGDEFSMS -LDVCTEGSRDERIDCPPEFTPVERDAVSLHGEQIQMLYASHFGSSCRVVFQVRPEHRSALRRIRVKMRAP -RQRIVRSASRAVRSASQALAEGQFL - ->YP_003240108.1 VP1 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MVAITVQGAELIKRVVERIYPGTSFDLSEGVIEFYKFSDHIRRIREKHGVVYKRKAEEIERNIKLRKEEL -FGIPVLRDSTWEEIFNIDYKDDAVFQVYMNSVLRQEDLEPEEEFLRNYRVHGEHAGLTQFIEQRAKNEMQ -IYGDIPIKVWAAFLLELDFETKHQSLGVKVMSSFIKRFGEPFHQGFRDLSNLEDFNISYSTPLLFEMCCM -ESILEHNIIMRMKEEGIQTLEYGDEKIDPVALLRELFIVCLPHPKKINNMLRSPYSWFVKMWGVGAEQIT -VLTSGAGDDRNSKDVFYDRYQTNLNRYVDIFKCKFYSDSLKSNSDKVQEAITYSQNLGMHHYGLPVFESL -LRSVYRRPFYPFKQSNLMLASFLLSLQVITGYGRAWVKNVGTDFDKQMKPTPDNLIAQVSEGTRDNFIQA -YNEAKEKREEIVKPEDLYTSMLRLARNTSSGFAAEILIQKRFGPNKRKELVKINSRIKAVVIFTRGHIVF -TPTELEKKYNTAELYQTKGSRDVPIKATRTIYSINLSVLVPQLIVTLPLNEYFARVGGNTSPEYKKLGGK -IIVGDLEATGSRVVDAADCFRNSGDKDILVIAIDYSEYDTHLTRYNFRKGMLEGIRESMKPYKDLRYEGY -DLDQIIEFGYGEGRVSRTLWNGKRRVFKTTLDKYLSLSEAERAQGEFKVPKGVLPVSTINVAEKIEVERN -FNTLISATDGSDLALIDTHLSGENSTLIANSMHNKAIGGLIQSELKKEHMHDITFLSEQYVGDDTLFYCK -LHTTDRTKIQQMITKIFETVTKCGHEAAPSKTMITPYSVEKTQTHAKQGIYVPQDRMMIISSERRKDIED -VQGYVRSQVQTMVTKVSRGFCHDLAQLILMLKTTFIGAWKMKRTIKEEGIYRDRKFDSNEEDGYTLVMLR -NPLALYVPIGWNGYGAHPVAINIVMTEEMFLDSMCIGNLDEVMRPILKIRGKIPPTWNETEADKRAIGSE -TKMSFFSKMARPAVQIALNNREIMEAVEHLPLGDFSPGKLSRTMMHSALLKESKARSLLAAGYELDYQKS -LNAWSEERVMVAMREEPGVISTSYGKLFDLYFEDEVMEAPYMFPDQNLSPQFYIQKMKIGPRCSSRIRTS -YIDRIDVILRKDVVMRGFITANTILNVIEKLGTNHTASDLTTVFTLMNIESKVAEELSEYITSEKIRFDA -LKLLKKGIAGDEFTMSIDVATQAMVDKFIKYPHQLTKTELDAIVLYCSQIIMLRAACGLPLKKMRLVVLD -EAKRRFKVRAQRFRTHIPRIKVIKKLMDLNRMSVRRLENQFV - ->YP_002925132.1 VP1 [Stretch Lagoon orbivirus] -MAVVGHRLTRTRSTLQRIFKGLTIDGNSTYYMYYKYAPRNNVIGSVKSEEEWLRKKDKLYNLPVLQESTW -EEIFEEYEFNYELGEKALAIYLDSLIEPEKIEPEEEFLRNYHMRAEDTSDTVEFIRARSREECAVYGDLS -LKYWSTLLLELATENKHYPIGLQTLKSLVTQYGAPFRQTHVTCQKYRMRFSPSTIVLLVEMCISEITFRT -ERIYRMREIEEKHVAIGSHKIELHHLIQELFLVCLPHPKKINNMLRAAYSWYVKTLGTASENITYLSSRG -GDDRNSKDVVYTHFVTEKNTYARMLRISRFHRDSKKANIQKVVETIEYANSLLTSTKVDLPIFRALMHSV -YTDYFDPRSKQHVVFASYLLSLQVISGYGRAWIKNKGDDEDKIMKPAENNLVSRVCDKTKYFVQKAYDEA -KRNGFEIVKPESMYSSLLRLAKNTSSGMSTTVEVTKSFSPKGENREKVKINSRQKAIVIMSEGQKIYSPE -YLNKKFNTVESYQTKGSRDVPIKATRVIYAIHVSILAPQLLLTLPLNEYFARHGGPTNPDTNLLSGKVII -GDLEATGSRVMDASDTFRNTGDPTIITFALDYSEYDQHMTLHNFREGMLSGMRSALSCYDTYRYDGHTVN -EMIEFGYGEGRIQNTLWSGKRAVMRISKEAYDQLPAIRKEVPDNAPFRATRPGIHLIDNFGGLEPYDGDD -VVLVSPWDGSDLAKVSTHLSGENSTLVANSLHNMAIGSVIQEELGKKFGHTLKVLSEMYVGDDTLWYLRF -SSSDSGLLDACVDLIFDVVSKVGHEASPAKTTMLPFSAEKTQTHAKCGIYIPQDRMMMVSSERVKNIENI -QGYMRSNVMTYVTKVSRGFSEELAHRILLFKSAILGHRKLKRTIKDNTQFRSRTFDSEEDGYTLCIIRDP -SILYTPVAWNGYGASPLSLNIVMTPELYLDMLQLPEACEWVKPYSHLIDNHLPPWNETEADTKQIRSNTE -MGLFSKLARKAVTASLMDPMLSESVRTLPLQGFGPHKLSHTMMHSALLKEPRARTLLSTGYELEYQKALN -GHCGGKAKVDVAGHDLEISTTYAKIFRVDFGEEVAFNKLEYPDRNLSPRFLLQKLIIGNRTSTRMRMSYV -DKIDSILRGDVVMRGFITSNHIIRLMEDIGSGYNAEDLTTLFSLMNLDPKVANRLAEYLTQDKTKFDVQR -LAKGGVGGDEFTMSLNLMTSEFVDQLVEFPTQLFQAERDALILHASQLAMTLAALGKPLRHMRFVVTEEH -KKELRNVRIRSKLPKRSTVKTLVQDIRRLGAGIVEQQFL - ->YP_460038.1 VP1 [Peruvian horse sickness virus] -MAVVAHRRELTQRAAERILNGIKLRRNAAQDFYYKYSELRKTNQPQDSSNKRDEKEGKIKGKTFYGLPVI -KEASWIELFENVVTTEESEIALKILIDSSVDRNELDPEEEFLRNYKINSFLTNGDQYHSSFEFVISRARD -ECQVFGDIALKHWCALLIQIAETEKIVPLGLQLMKQFIDRFGEPFHQNTRDLSQIKDETFIVTVVLLFEM -CISESFSELNAYYRCKEEGIEEFEFENCRFQLSDLVKELFLICLPYPKYVNNKLRAVYSWFVKCWGVGSK -EIIVLHSVGGDDRNSKEVDYKGFRKIQNPYSIAIQKSKFFEKTRQENITKVKEIKQYVQDRITYRLPKLL -IERFLDTVYLTPFDPREMKHMILASYMLSIQTITGYGRAWVKNTGDDPEKMLKPNDSNFITRLCDMTENY -IIQAYYEAERHGYKIVQPESMYSSLLRMAKNTSSGMSTSVEVMKTYGPGAERRNLPIRVVSRQKALVLMR -EGDKIYKAENLKKKFNTVESYQSKGQRDVPIKSTRIIYSIHISVLAPQLLLTLPINEYFAQIGGSTRPDA -RELGGKIIIGDLESTGSRVIDAGDTFRNTSDPTICTLALDYSEYDTHMTWHNFRKGMMEGMRKALSKYSD -LRYEGFTVNELLEAGYGKGRLIGSLWNGRRRVEKMLKDEYDQLPEIEKIVPADAPFKFSPPGVKLIRNLG -LIKNKEIGDNDEFVLVAPWDGSDLVSVSTHLSGENTTLVWNSIHNLAAGTIIREEIAKKNLNVLNVESEM -YVGDDMLMYVTLLNHRGDVIDGLLDTVFDTIKLFGHEASEAKTTFLPFSAEKTQTHAKQGVYIGQDRMMF -ISSERRKDIEDVKSYMRANVNVFITKCSRGFSAELAHIILCFKSIFVGYRKLERTIMENGKMRSRQFDSI -EDGYTLCMVRNPLTLYVPVDWNGYGAHPSALNVVMTPEIFFDSLMMESFHDEVRVVSEIAGNVYPGWDET -KVDKHSLKTKTSMSLFSKLARKTVSTVLANEEVLKEVNELPLQGFGPTQISKTMMHAALLKEPKARTLLS -PNYEVDYQKKVNDWISIANLTPQGMDLQITTQYVKIFQVEFEETREERETFYFPDRNLSPEFRNQKIMLG -NRKSPRQRMSYTDQIDSILRGDVIMRGFITSNHILAVLEEVGIGFSAEDYALIFSLMNLDEKVCEKLGNY -IARDKIRFDAQKLNKSGECGDEFSMSLDVCTNDMMERFSRFPHELTQTERDAVVLYTSQILMLRASKGIR -RQIINYKVTTEHKRQVQRVRMSSKLPKRKHIKNMCMNIRSLSSTMISQQFL - ->YP_443925.1 VP1 [Yunnan orbivirus] -MAVVVHRQKLTQNAAARILPGIHFNQNEGTTYYYKYSEMQKDKDKRTNVERKEVDDNEFNPHKSAKMLYS -LPVLREMSWTQLTSSITLEEYSEDTFELLVETAVDSSKLDPEEEFLQNYKINSFLQPDGTYVRAFDFVMS -RARDECQVYGDVALKHWVALLIELSSTENFTPLGLQVIARFIQRFGEPFHQNTRDLSQVKDEVFIVTIAL -IFEMCITESFSEFNALFRTKEERITHYVFAKKTFQLYDIVRELFRLCIPYPKYINNKLRAVYSWFVKCWG -VASDEIIVLKSHGGDDRNSKQVDYIGFERFKNPYSTAIKRSKFFAESKRENIQKVQDMLDLVKERSSQNV -QAPLIMHFLSNVYEESFNPTEISHVILASYMLSIQTLTGYGRAWIKNKGDDPEKMLKPDKNNFVTRLSDM -TENFVMQAYREAEEHGYTIVPPESMYSALLRMAKNTSSGMSTSVEVPKTYGPKAEKQKTTIRIVSRQKAL -VLMQEGDKIYKRENMIRKFDSVESYQSKGQRDVPIKATRIIYSIHISVLAPQLLLTLPINEYFSQTGGST -RPNAREIGGKVIIGDLESTGSRVIDASDTFRNTADQSIFTLALDYSEYDQHMTPDNFRLGMMNGMRRALS -KYHSLRYEGMTVEELLEAGYGKGRLVGSFWNGRRHVKKMLLSEYQNLDEQDRVPTEDSPFRFSPPGVYLI -RNLDLVRTEVKDEDEYVLVSPWDGSDLAKVSTHLSGENTTLVWNSVHNLAAGTIIQEELALKAPRLLTVE -SETYVGDDMLMYTNLNVIRGDAIDKIMETIFETIALFGHEASPAKTTFLPFSAEKTQTHAKQGVYIGQDR -MMFISSERKKDIEDVKSYMRANVNVFVTKCSRGFSAELAHIILCFKTIFVGYRKFKRTIMESGKLRSRHF -DSAEDGYTLCALRHPLTLYLPVEWNGYGAHPSALNVVMTPEIFLDSLLMDSFRDELLPIMKICTSAMPSW -NETQVDKRRLTTITKMSLFSKLARRPVSTVLQHPEARAKVQMLPLQGFGPTEISGTMMHSALLKEPKART -LLSPNYELDFHETFNTWRKEALLKPQGIDLQISMQYCKIFEVVFESGRGSGITRQYFPDLNLSPEFKNQK -LVIGNRKSNRKRISYVDQIDSILRSDVIMRGFITSNHILAVLEEIGIGHSAEDYALIFSMMNLDEKVCEK -LGNYIARDKIKFDAQKLNKGGACGDEFSMSLDVCTQQMIDDFLVFPHELTQTERDAVNLYASQLIMLRAS -KGISTAKIHFRATEEHKRQVQRVRMGSKALKRRQYRSLCANLRSLSGTIIEQQFL - ->YP_052935.1 RNA-dependent RNA polymerase VP1 [Palyam virus] -MQDASLVRSSVQRFLKYTKIDGKEPKYIYYRFSKNMREIRRKRGVKYKTDDTYFERRRDQGILNLYGIQV -AVESSWEDILDGEPQYDTLKIFERSVLNLRDLIPEEEFLRNYRVEKEHPFREFVEMRAKAEMQIYGDLPV -KAWCSFIREYAEQIKHEPLGLSTMREFVHKYGSPFNQNSRDLSQIEDFSMSYSTPLLFEMCCCESLIEFN -MFERMNEEGIKELEFGGLKINPFDLIREFFILCLPHPKKINNMLRAPYSWFVKMWGVCCSEVKVLRARGG -DDRNSKDVVYDDFVRVKNIYGPILYKTQFYRQGREENISKVEETIKYSQELGNHACVLSYFLTMLRQVYK -TEFDPTNIKHVMLASLLLSIQTITGYGRAWVKNVSSDIEKQMKPDKSNLISRVNEYTRNNFIKAYDEALA -AGEDIVKPEDMYTSMLRLARNTSSGFSTKFNVYKKYGPGIKNSIGKQIEITSRIKALVIFQEGHKIFVKE -ELEKKYNTVQNYQSKGSRDVPIKATRTIYAINLSILIPQLIVTLPLNEYFSKVGGSTRPQSGKLGGKIIV -GDLEATRSRVMDAADTFRNSSDPEILTIAIDYSDYDTHLTPYNFRDGMISGIRTAMMKHSTLRYEGHTLD -EIIEYGYGEGRVVNTLWNGKRAVYKADFAKYMELPPEDREKGEFRPPRGVKPIRTTKIIARIQSEDPDNQ -ILVSPVDGSDLAFINTHLSGENSTLVANSMHNLAIGTIIQEEVKHRCAGLISFLSEQYVGDDTLFYTQPC -ISTEHDFDCIIRVIFDTIERCGHIASASKTIIAPFSVEKTQTHAKQGIYIPQDRMMLISSERRKDIEDVR -GYLKSQVQTLTTKISRGFSHKLARMILMLKTSLVGFRKLKRTIFADGVYRDRRFDSDDEDGFTMYLIRDP -LCAFLPVEWNGVGAYYESLNIVMTEDIFLDLLQTGNEFVRHLAGFINGTLPFWNETEADKRQIGTDAKMS -FFTKMARPAVQSVLNSDELTDLVKQLPLGDYSPTNISKTMMHSALLKESSARSILTPTYESEYQRLLNVR -EEKSFKMFSHDLELSTNYIKMFDVQYSSGVQRHFYFPDQNLSPSFFLQKNLLGPRMSTRVRMSYVDRIDS -ILRGDVVMRGFITGNAIINILEKIGHTHNAADLSMLFQIMNIESRVADRLAEYISAERLRFDAMKLLKRG -ICGDEFSMSLDVCTQEMSDNFIRYPKEFTKTEQDAAILYASQLLMIRAAHGHHPGILIINASAEERQKFK -VRAARFKAHLPKLRLIRRLIQTERLSARMVQNQFV - ->YP_052942.1 putative RNA-dependent RNA polymerase VP1 [St Croix River virus] -MAAALQRLQSARDRVATLMHGLPPFPHCARNFHIAHRFSERSAVAYGGPVRSVRAGGQDRIHPSFETLQE -SKSNETQEARIPSDSPAFSSSLRRSPGDIVFGHPVVVERTWEEISRDVPFNTKGKLALRIFLSSIQHPDT -FQPEEEFLRHYRLIPDHPFTSFIRFREGRESATFGDLPLRHWFHLLTSLANERRIAPLGLSLLKELIEHL -GGPPIGQDTRSLDHVENLTEYSGISLLVEEALTEALLEINLVDREREIGSLTLGSRKFPALQILHEHFLV -CLPHPKHINNRLRSSYSWFVKMFSVCHPNPIVLRSHAGSDMNSKNVTYQGFSRVVNTFGPTLLQIKYLSH -AKDLNLQAIREAVEYSNSLSDASLSLPSFTSFYTDMFTSEFNPTDEGQVFSLSFLLQIQTMSGYGRAWVI -NRSENLEEMMHPASDNYIDKVCEYTQLYVFRSYEQAFANGFHPVRASEMYHSLTSLAKNTSAGMDVHFQV -RKTFSRREAWRRVKSKQKSLALLHYGHLFFTPDELRRTYNTPDSCQTKGARDVPVKPTREVYSIVMPTIA -QQYLVTNPLNRYLSYAETSDSSEPFTRGTTHPSDTRVAGKVIIGALEATGSRVMDGSDVFRGTSDPSHLI -LALDMSNFDKHMTPYNFRKGEIDGMVRALSRFDGDPAFDYGDGVFPAQMAKFAYADGKIVGSLWNGNRRV -YRARGPVPDELIDAKPKFRPPPGVFSVRSLSDVQLDEEGDVLVSVGDGSDLATIYTHLSGENSTLVANSL -HNMAIGRVIQDVLFQRFGDDVTFVSEMYVGDDTLAYLHFRTPSVSVVDEAISLVFQTVEKCGHVASPAKT -TLCPLSTEKTQTHAKQGVYIPQDRMMVISSERKKTIESVSAFFSSLVQKYVTKVSRGFSHDLAVDILLFT -SALIGYRKLKAVIADGGTFLKRPLRGPTAGYSTIIVRDPICAFVPKEMGGFGLSPTAINLISTPELLVDL -RRTNLHSWFFEHLMPCLERPFYPMWDESHPDPSLIQIDVQARAFSKYVRATPATFLSQPNLRKAIDMLPL -QRMGPYDLMHNMTKQAVLKDQSARSLLAPAYERDVFIGNLISLSPPRELLLSDSDGAIHTLISLLYDILL -TPLPPQRHYYPDITLSPIFKLQREAFGVRSSAAVQVSFMDKIDRILSRDSVMRGLITSEHILAIIQQLGL -ALPQDQYLFIFLLLNLDENVASSLASLVVDGKLRFDHTLIARGGICGDEFSMSLDVLTHSFQQSSFSCSR -MLTSGEQSCLLLAASQTSMLRATLGLPRAHLDYQVHTGPQSRIFKALRVQLTEHMNRRRNRYAKKFFHDP -EVRRTLAERSASLRF - ->YP_052968.1 hypothetical protein BTVs1gp1 [Bluetongue virus] -MVAITVQGAQLIKRVVERFYPGIAFDINEGACYIYKFSDHIRRIRMKHGTKYRRQAEEIMRSISLRKERL -YGIPVLDEVEWKYVFDGQTFQSYAFEVYVNSILPWSELDPEEEFLRNYRVSRETTEVEKFIEFRAKNEMQ -IYGDIPIKVWCCFINELSIELNPIPLGMQVMADFVNRFNSPFHQGNRDLSNLEDFQVAYTTPLLFEMCCM -ESILEFNIKMRMREEDISALEFGDIKIDPVGLLREFFILCLPHPKKINNVLRAPYSWFVKMWGVGADPIV -VLQSTAGDDRNSKDVFYDKFRTEPNRYKALFRSSFYNESRRMNEEKILEAVKYSQNLGSHDRRLPLFEKM -LKMVYTTPFYPHKSSNMILASFLLSIQTITGYGRAWVKNVSTEFDKQLKPNPSNLVRDVSDLTREFFKQA -YVEAKERREEMVKPEDLYTSMLRLARNTSSGFSTEIYVKKRFGPRLRDKDLVKINSRIKALVIFTKGHTV -FTDEELHKKYNSVELYQTKGSRDVPIKATRTIYSINLSVLVPQLIVTLPLNEYFSRVGGITRPDYKKIGG -KVIVGDLEATGSRVMDAADCFRNSADRDIFTIAIDYSEYDTHLTRHNFRTGMLQGIREAMAPYRALRYEG -YTLEQIIDFGYGEGRVANTLWNGKRRLFKTTFDAYIRLDESERDKGSFKVPKGVLPVSSVDVANRIAVDK -GFDTLIAATDGSDLALIDTHLSGENSTLIANSMHNMAIGTLIQRAVGREQPGILTFLSEQYVGDDTLFYT -KLHTTDITVFDKVAASIFDTVAKCGHEASPSKTMMTPYSVEKTQTHAKQGCYVPQDRMMIISSERRKDIE -DVQGYVRSQVQTMITKVSRGFCHDLAQLILMLKTTFIGAWKMKRTIKENAMYRDRKFDSNDEDGFTLIQI -RNPLALYVPIGWNGYGAHPAALNIVMTEEMYVDSIMISKLDEIMAPIRRIVHDIPPCWNETQGDKRGLIS -ATKLSFFSKMARPAVQAALSDPQIMNLVEELPLGEFSPGRISRTMMHSALLKESSAKALLSSGYRLEYQK -ALNGWIAQVSMRLGEESGVISTSYAKLFDVYFEGELDGAPYMFPDQNLSPQFYIQKMMIGPRVSSRVRNS -YVDRIDVILRKDVVMRGFITANTILNVIEKLGTNHSVGDLVTVFTLMNIETRVAEELAEYMTSEKIRFDA -LKLLKKGIAGDEFTMSLNVATQDFIDTYLAYPYQLTKTEVDAISLYCTQMVMLRAALGLPKKKMKIVVTD -DAKKRYKIRLQRFRTHVPKIKVLKKLIDPNRMTVRNLENQFV - ->YP_052966.1 RNA-dependent RNA polymerase [African horse sickness virus] -MVITVQGADLVRRALNRLFKYGRIDGTKMYYEYYRYSSKMRETRRKKGTKYKTDDEFLERERDAGRLKLY -DLQVIREASWEDLLYENVHTAELDIYVRSILKLEDLEPEEEFLRNYAVYDGVHPLKDFVEMRAKNEMQIF -GDMPIKAWISVLMEISRETKHKPLGLMVASDFVGRFGSPFEQNFRDLSQINEYGYCYSSPLLFEMCVTES -ILEFNMWYRMREERIQSLKFGLEVIDPFKLIREFFEICLPHPKKINNTLRSPYSWFVKNWGIGCSRVKVL -TSIGGEDRNSKEVFYTGYHETENLYSEIVLKSKFYRESLKQNMTKTEEAITYSQKLGNHGRTMPIFLKML -KAVYTTEFDPTKISHVILASLCLSIQTITGYGRAWVVNKSSDLEAQMKPSSDNYVQRVCDYTKNNFIKAY -EEARRGGEEIVMPEDMYTSILRLAKNTSSGFSTSIDVFKRYGPNAKGGRGEKIQITSRIKALVIFTKGHE -IFTPKNLALKYNTTEFFQTKGSRDVPIKSTRIVYSINLSILVPQLIVTLPLNEYFARAGGSTLPETQRMG -GKIIVGDLEATGSRVMDAADTFRNSSDPLNLTIAIDYSEFDTHLTPYNFRNGMLDGIREAMRRYQHLRYE -GYTLDELIEFGYGEGRVMNTLWNGKRRVFKVAFEDYVMLSDEDKVQGTFKPPIGVKPVKNIKICEELEKK -ADGRDLILVSPTDGSDLALINTHLSGENSTLIANSLHNLAIGTVIREEVKRIFGDDISFKSEQYVGDDTL -FYTELRTRSVERFDSIVDTIFEVIKKSGHEASMSKTLIAPFSVEKTQTHAKQGIYIPQDRMMLVSSERRK -DIEDVAGYLRSQVQTLTTKISRGFSHELAQIIFMMKSSIIGHRKLKRTIKDGGYRDRKYDDDKEDGFTLI -MLRDPLIAFYPVEWNGFGAHPAAMNIIMTEDMFVDSVMRGECRAWMEPLVKLIDQSPPLWNETSADKRMI -GTDSTMSFFSRMARPAVRTVLTNSEVGDAVRSLPLGDFSPFNISKTMMHSALLKEKNARSLLTPAYEMEY -QKELQGWRPRQKKFLVTSNEMEITTNYMKMFNVGKIPLHGLALKFFPDVNLSKEFFLQKSVLGNRESPRA -RMSYVDRIDSILRGDVVMRGFITANTIINILEKLGHTHSASDLTTLFEIMNLSSSVAQRLSEYITTERVR -FDAMKLSKRGICGDEFSMSLDVCTQTMVDRYIRAPTQFTKTELDAVNLYVAQHIMLDAATGLTPSRYDIN -VSGDERVRFKQRVARFNTHLPKMRMVKRLIETERLSARLVQNQFV - ->AIV43189.1 VP1, partial [Changuinola virus] -NIGTDEASLMKPSKDNLIQEVADYTRNNFVKAYDEAKKKGEEIVKPENLYTSMLRLARNTSSGFSTEIMI -HKLFGPTVRRQKELIKIHSRIKALVIFTKGHTVFTPEELHKKYNTVIDYQMKGSRDVPIKSTRTIYSINL -SVLIPQLVLTLPLNEYFSRVGGSTHPDYPVIGGKIIVGDLEATGSRVIDAADTFRNSSDSDILTIAIDYS -DYDTHLTQYNFRSGMLAGLREVVKQYANYRYEGFTLEQLIEFGYGEGRVTKTLWNGKRKVAKVSAHAYML -LDDSEREMRGFKPPIGVQPVSSAEILNSLVKKTQDNDNCILVSPTDGSDLALVSTHLSGENSTLVANSMH -NMAIGTLIQDITNRKYQGKLNFLSEQYVGDDTLFYSKLHTNDARIFDNIISDIFNTVKMCGHVASESKTM -ITPFSVEKTQTHAKQGIYIPQDRMMIISSGTTQRY ->AGE32260.1 VP1 [Sathuvachari virus] -MAVVVQDLAWIRGVLESMFDGLKFDGKQAEHFYYKYSKRVSEQFSKYRREKRGKDGGLTQVDEERGSIME -KIGKSFHGLKVINELTWEQVSEKYYTHWKIGVTGSGWQELEIYLASTLPLSELEPEEEFLRNYEISEKCE -TDAEKFVRQRMRNECAVYGDMGLRHWFHLLCELSYYLEYAPLGLDLLNQLIHKYGAPFKQNMRDLSQISE -EVLSSSIVLIFEMSLMESILEFNILLRLRDEGKMSNLRLSNGERINYFEVIRELYVLMLPHPKKINNGLR -AVYSWFVKCWGTGCHFQNVLESRGGDDRNSKDVVYCKFKKVENQFANLVLYSKFHQQSKKDNVTKMYDVI -KYSQMVGKHSRGLKNLEKLMLEVYELEFDPTKIEHMILASLLLSIQTMSGYGRAWVVNKGDDPEKILLPE -KNNFIDRVAKQTEVNFSLAYQEAERHGHKIVPPHEMYSSLLRLAKNTSSGMSTTVEVAKSYGPKTERREE -VIKITSRQKAMVIMREGDKIYTEENLKKKFNTPEFFQTKGSRDVPIKSTRTIFAIHISVLAPQLILTLPL -NEYFAQAGGATTPDTITLAGKVIIGDLEATGSRLMDASDTFRNTSDPNILTLALDYSEYDSHMTYYNFRR -GMLAGMRHALAKYSSYRYEGYTINDLIEFGYGDGRVHNTLWNGKRMVRKMSRIKYENLREEDRTPPDDAA -FAIRTPGVHPIRTASLISEDTGDGDFVLVSPWDGSDLARVTTHLSGENSTLIANSLHNMAIGRVMQEEIS -LKVPNTLQILSEMYVGDDTLFYIAPMTRNVARINEAIEVIFDVVKKCGHEASAAKTTFLPFSAEKTQTHA -KNGVYIPQDRMMILSSEKPKNIENIQAYMRSNVMTFVTKVSRGFDERLATIFLMYKSAILGYRKMKRTVY -SEVFRSRHFLSEEDGYTVCRVRDPGVLFLPVDWHGYGASMNSLNIVSTPELILDMLQMPXCVYQTVMLLR -LGETTLPCWNETEADKKQIRSHTSMALFSKLARPAVVASLTDEYLSNAVRELPLQGLGPHNLSETMLHSA -LLKEQRARTLLSPGYEIDFQKLLNEGAKQKLIKIYHGDMNIEAGYTKMFNLRYTPVENDVHFGPDLNLST -KFKMQRVVLGVRQTTKMRMSYVDKIDSILRGDVIMRGFITSNMIMRLLEEIGTGHTVEDLSTIFQLMNLD -ARVAMRLAEYVGKYKIRFDVLKVNRAGIAGDEFSMSLPICTQEMIDKYIVFPHELQQAERDAITLHVSQL -IMLRASLGLQPAVFYVDVNEEHKKQIRHARVQARLPSRRRITKLCTDVRNLVASMVEQQFL ->AIV43186.1 VP1, partial [Changuinola virus] -VQGACLVRRIIEAYFKGFKINEKQGTYFTYKFSGFTRELRMKRGTKYQRTIEEIEQSVQKQKEFLHGRMV -TIECEWTDLLKKPINYDIGLQIYEGSMLNVEDLEPEEEFLRNYKRNLETIGPLEKFIEMRAKNETQVFGD -LPLKVWYGFIEEYSDYLSHTPAGLKMIRCFLTKYGSPFSQSSRDLAKFEKINVCYTTPLIFEMCLMESLL -EFNLIHRMREENLTNLEFGEEKINGIEIIREFFYVCISHPKKINNMLRSPYTWFVKMWGVGAEQIQILRS -YGSDDRNSKDVFYERFITVKNKYCKLIKESFFYKRSKSENIDKVKEAVQYSQEIGKHCEDIVIFKKILNK -VYFTPFSPSKISNVMLASLLLSIQTMTGYGRAWVKNVGTDEGALMKPSKDNLIQEVSDYTKNNFIKAYDE -ARKKGEEIVKPENLYTSMLRLARNTSSGFSTEIMIHKMFGPSVKKQKELIKIHSRIKALVIFTKGHTVFT -PEELHKKYNTVLDYQTKGSRDVPIKSTRTIYSINLSILIPQLVLTLPLNEYFSRIGGSTHPDYPIIGGKI -IVGDLEATGSRVVDAADTFRNSSDGDILTIAIDYSDYDTHLTQYNFRSGMLAGLREVVKRYENYRYEGFT -LDQLIEFGYGEGRVAKTLWNGKRKVVKVSSEAYMLLDDSEREVKEFKPPIGVYPVSSSEVLDKLARKPSV -NKNYILVSPTDGSDLALVSTHLSGENSTLVANSMHNMAIGTLIQDNINKKYFGKLNFLSEQYVGDDTLFY -CKLHDYEPIEFNNMITEIFDTVKKCGHVASESKTMITPYSVEKTQTHAKQGIYIPQDRMMIISSERRKDI -ENVQGYMRSQIHTMVTKVSRGFSHDLAVNILMLKTAFIGAWKLKRTLYDDIFRDRIFDSNREDGFTLIQI -RDPLTLFIPVAWNGYGAHPLALNVVMSEDIFLDSQMITQLDEIMKELVIIGRGCTPHWNETEADSRQVMP -ETKMSFFSKMARPAVQIALNNKDIMTEVEKLPLGDFSPNRLSRTMMHSALLKEQTARTLLTGGYELEYQN -KLNAWLKNNTCGDFYANNESGEITTNFAKLFNISYGNIIIEDPKQFPDQNLSPPFFLQKMSIGQRMSTRL -RMSYIDRIDSILRKDVVMRGFITANTIINILEKIGNTHTAIDLTTLFSL ->ADM88606.1 RNA-dependent RNA polymerase [Tribec virus] -MAVVEQRITSARNTLRRIFPEQLLSDTKTHYLYYKYSKWKDKDAEERSSLFGIPVLKERDWNDIPRNHQT -RPRDVLDYYYQSQRPINDLQPEEEFLRNYALSNAEQSEVYAFLKHRAQEEMAVYGDLALRHWYALIQQLA -DDYGHAPLGLTCMERFIDDNGSPFHQSTRDLSKISDETYSSSVVLFFEMSINESLHEFNVILRAREAGVS -IDTRYGDFAVNRLAVVRELYTLMLAHPKKICNMLRASYSWFVKNWGIAAPELNVLVSEGGDDRNSKDVTY -RSWKRIRNPYEGIIQATAFHRASLQANIEKIDDTIAYAATLTGTVAGLPVFRRMMQSTYTTEFDPQDPAH -VQLASLLLAVQTMAGYGRAWIVNAGDDPDRMLPPSPGNHVARVAKETEKYFLRAYEEARRHGYDIIPPED -MYSSLLRLAKNTSSGFSTEVEVRKRYGPKAERKAESVKIKSRQKALFLMREGHNIYSREMMQMQYTTPQC -YQTRGTRDVPIKATRTIYAINVNVLAPQHILTLPLNEYFARAGGTTHPSSDEIGGKVIIGDLEATGSRVM -DAADTFRNTGDVGIWTLALDYSNYDTHMTHHNFRSGMIAGLRQATARHHALRYGEWDVGQLIEAGYGEGR -VAHTLWNGKKRVCRMGRAAYEALPQTDREPPADAPFRFRPPGTRPIRTMALVGSDAADYVLVTPWDGSDL -AMVSTHLSGENSTLVANSLHNMAMGRVIQDEVQIQQPATFEVLSEMYVGDDTLHYVRLLTLQAAKIDRAI -DVIFRTIELCGHEASAAKTTFAPFSAEKTQTHAKQGIYIPQDRMMIISSERRKEIENVQGYMRAQATTFI -TKVSRGFSEDLAHRILLVKASLVGYRRFKATMFDGSRYRRRNFFSAEDGYTLCRILDPTVLYAPCESNGY -GVHPFALNVVQTQELHLDSIQLFPAYADLASRGVIHIAFPPPWNETNVDTRLLSTSTPMGLYSKIVRPTV -RLALTDPDVGALISQIPLGEYAPDRLSSTMMHSALLKESRARALLAPAYEDSFLEELNKWKPEVTFKPTE -RSELSSAYAKVLDLHVETRPSPQPLFPDVNLSPGFLAQKRYIGHRTTSRPARTYMDQIDRILRGDIVMRG -VITSTVIMALLEKIGFDHDPADLAIIFELLNLERRVALRLSEFVTSDRLRFDVHALNKRGIGGDEFTMSL -DVCTDGSRENRIVFPAEFTVAERDAAALHAEQIQMLCAAHQGRGVRVTLQVRQEHRSALRRMRIKMRTPR -MRQIRSAARAIRTASLALAEGQFL ->AFX73365.1 VP1 [Changuinola virus] -MAATVQGACLVRRIIEQYFKGFEIKEINGTYFTYKFSGFTREVRMKRGTKYRRTIEEIEQSVRKQKEVLH -GRLVTIECDWPDLLSEHVDYDIGLQIYEGSMLPVEKIEPEEEFLRNYKRNLEVNSPMDRFVEMRAKNETQ -VYGDLPLKVWYCFIETYSKYLSHTPAGLKTMRCFLTKHGSPFSQSSRDLAKFERINVCYTTPLIFEMCLM -ESIQEFNLIHRMREENIQYLEFGEERVNGISIIREFFYVCLPHPKKINNMLRSPYTWFVKMWGVAADPIQ -VLRSYGSDDRNSKDIFYEKFMTVSNRYAHMIKGCHFYRKSKTENLDKIKEAVDYSQKIGKHCETIKTFVS -ILNKVYTTPFYPSKISNVMLASLLLSIQTMTGYGRAWVKNIGTDEASLMRPANDNLIQEVADYTKNNFIK -AYDEAKKRGEEIVKPENLYTSMLRLARNTSSGFSTEIMIHKLFGPTVKRQKELIKIHSRIKALVIFTKGH -TVFTPEELHKKYNTVTDYQTKGSRDVPIKSTRTIYSINLSVLIPQLVLTLPLNEYFSRVGGSTHPDYPVI -GGKIIVGDLEATGSRVIDAADTFRNSSDCDILTIAIDYSDYDTHLTQYNFRSGMLSGLKEVVKQYANYRY -EGFTLEQLIEFGYGEGRVARTLWNGKRKVAKVSAHAYMMLDDSDRETREFRPPIGVQPVSTGEVLNSLIK -KTSGDENCVLVSPTDGSDLALVSTHLSGENSTLVANSMHNMAIGTLIQDTVNRRYQGKLNFLSEQYVGDD -TLFYCKLHTSDLREFDNIISDIFGTVKKCGHVASESKTMITPFSVEKTQTHAKQGVYIPQDRMMIISSER -RKDIEDVQGYMRSQVHTMVTKVSRGFSHDFAVNILMLKTAFIGAWKLKRTILHERFRDREFDSDAEDGFT -LIQIRDPLTLFIPVAWNGYGAHPAALNVVMSEDMFIDSQMIPQLDAVMKELMLIAKSCTPHWNETEADSR -QVMPETKMSFFSKMARPAVQIALNNQEILNEVEKLPLGDFSPNRISRTMMHSALLKEQTARTLLTGGYEL -DYQMKLNGWLNSNICGEFFANNESGEITTKFAKLFNVFYGNGIVDAPHVFPDQNLSPPFFLQKTLIGQRM -SSRLRMSYVDRIDSILRKDVVMRGFVTANTIINILEKVGNTHTAIDLTTLFCLMNIEFKVAEELAMYLTS -EKVRFDALKLLKRGMCGDEFSMSLNIATQHMIDTYVQMPFEFTKTESDVVSLYISQLIMIRSALGMPKRK -IQISSDEGAKQRYKLRVQRFKIHAPRLRLIKKLIDINRLSVRQLENQFI ->BAD89093.1 minor structural protein VP1 [Ibaraki virus] -MVAITVQGAQLIKRVVERIYQGITFELDNGITEFYKFSEHIRRIREKHGVTYKRKAEEIEHTIKMRKEQL -FGLPVLRDSTWEEIFNIDYRDDSVLQVYLNSVLRQEKLNPEEEFLRNYKVQGEHAGLTQFIEQRAEERNA -NIWRHTNQRLAAFLIELDSEVTHQSLGVKVMSSFVKRYGEPFHQGFRDLSNLERFNVSYSTPLLFEMCCM -ESILEHNIIMRMKEEGVHNLEFGDEKIDPIALLRELFIICLPHPKKINNMLRSPYSWFVKLWGVGADQVT -VLTSGAGDDRNSKRRFMTNIKRIPIRTPPFLGEILYWNRKIQFRKRLKRRSLYSQELGMHHYSLPVFQSM -LRNVYTRPFYPFKQSNLMLASFLLSLQVITGYGRAWVKNVGTDFEKQMKPTPGNLIAEVSERTRENFIQA -YNEAREKREEIVKPEDLYTSMLRLARNTSSGFAAEILIQKRFGPNKRKEFVKINSRIKAVVIFTRGHIVF -TPAELEKKYDTTELYQTKGSRDVPIKATRTIYSINLSVLVPQLIVTLPLNEYFARVGGNTSPEYKKLGGK -IIVGDLEATGSRVIDAADCFRNSGDKDILVIAIDYSEYDTHLTRYNFRKGMLEGIREAMKHYKDLRYEGY -SLDQIIDFGYGEGRVSKTLMEWKKGMCSELPLDKYLSLSEAERIQGDFKTPKGVLPVTTIDVAKKIGVSD -NFNPLDFATEGSDLALNRYSTYRAKIQHWSVIFMHNKAIGGLIQSELQKEPMHDITFLSEQYVGDDTLFY -CKLHTTDRTKVQKMITTFFDTVAKCGHEAAPSKTMITPYSVEKTQTHAKQGVYVPQDRMMIISSERRKDI -EDVQGYVRSQVQTMVTKVSRGFCHDLAQMILMLKTTFIGAWKMKRTIKEGGTYRDRKFDSNEEDGFTLVM -LKNPLALYVPIGWNGYGAHPAAINIVMTEEMFLDSMCIGKLDEIMAPILKIKGKIPPAWNETQADKRAIG -SETKMAFFSKMARPAVQIALNNREIMDAVEHLPLGDFSPGRLSRTMMHSALLKESKARSLLPAGYELDYQ -KSINVWLEDQVTVAMREKPGVISTSYGKLFHLYFEEDIIEAPYMFPGPNLSPPILYPERWKIGTPVVVRA -SVRFRLIRLKVILRKDVVNAGIYNRLIQFWNGNREVGARIILGSDLTTVFTLMNIGNKVAEELSEYIPRG -KIRFDALKLLKKGIAGDEFPMSLDIATQVMVDKYIKYPHQLTKTELDAVVLYCSQIVMLRAACGLPLKRM -RLVVLDEAKRRFKVRAQRFRTHIPRIKVIKKLMDLNRMGVRRLENQFV ->AGZ62525.1 VP1, partial [Heramatsu virus] -ITVEPVELVLRALTRAAGVTVDKEKAVTEFYHYSARMRKQRERKGTRYTRGVEMVEKDIIDKPYLYGLPV -QREVKWVDVLHVDREGDDQILDIYSSSIRSLDELDPEEEFMRHYDSTQADHPYWEFVKFRADNEMQVYGD -MPLLYWCSLLEEISEYLQHCPLGLKVMRSFVRRYGAPFHQNVRDLSRLRDYHPTFTTPLFFEMSIAESLI -EYNLLLRGREEGMKRFLFSEMEFGLYDFIREFYITCIPHPKKINNMLRAPLSWFVKSWGIAYPEPWVLSS -SGGEDRNSKDVVYGNFVRSKNVCSTLIANSVFHIKSKEQNEAKVDETIKYASSVCGRTLSLPCFLRILGL -VYHSEFNPKHIEHVFLASHLLAIQVITGYGRAWAMNLSSKYEEQMRPARDNFIQRVRENTARNFVQAYDE -AFRAGEEIVKPHEVYANMLRLAKNTSSGFSAEVGIRKQYGPKIAKRYEEVKIKSRVKALVVFLKGHEMYT -PKSLTQQYNTIEHYQIKGSRDVPIKSTRTIYAINLAILVPQLILTLPLNEYFARAGGATTPWTKRLGGKL -IIGDLEATGSRVMDAADTFRNSADPGIFTIAIDYSDYDAHMTPYNFRLGMIEGIKTALGSRYPSVRYNGM -NILELVESAFGEGRVLNTMWDGKRRVYVVNARDYEQLSENERECGPFRPPKGVKPLRDVRSLEKCRREGV -GVLVSVTDGSDLAKINTHLSGENSTLVANSMHNMAIGQVIQEEVRRCGANVQFLSEQYVGDDTLFYCKLR -TMNSEKFNEAVSAIFSAISKCGHEASESKTLMAPFSVEKTQTHAKQGIYIPQDRMMIVSSERRKDIEDVQ -GYMRSQVQTMVTKCSRGFSDWLAYVVLMMKGSVVGMRKFKRTIKENGVYRDRRFDDDKEDGFTLAWIRHP -LTLFLPVGWNGYGCHPMALNVVMTEELFIDCCMDMQMAKIMEPIMGLLNKVPPHWNETNADKRQIKATSA -NTFFQKMARPAVHRALSDPRIMDEVKKLPLGDYSPDAIAGTMMHSALLKEKSARMLLAPGYEDQFRDELN -RWRPGDFRIQRAAMELSTNYVKIFDVSYGHEVEPYKMNYPDRNLPGSFRRQKERLGTRFMSRMRMSYVDK -IDSILRGDTIMRGFITANAIMNILEKVGHIHESQDMVTLFSLLNLDRRVAERLAEYIASERVRFDGIMVS -KGGIGGDEFSMSLNVLTQQMCDDYLRTPAEFTKTEVDVCSLYVAQRLMLWAACGEPLREVRVSVSQNERV -RWRQRIARYRAHVPRLRR ->AKC89306.1 VP1 protein, partial [Chenuda virus] -MASSSLANSFVKFNYLGLWLSIVGYRVELVVDALRLLYPSLTVNRPVFEFYKYAPWKGKHHELRTEKYGL -PVLSERTWRDVFAGFPPTDQGELLLDIFQAAIAPPEEVEPEEEFTRHYSIRETVGRVDEYVRARGREESQ -VYGDLPLKHWAALVVTLAKRTRHTPLGVEFMAAFVYRYGDPFHQSTRDLSRVSDEVVSTTIMLMFEMSIA -ESLQEFNVWYRLHEETMTPDVQVGDVRFTFYDIVRELYKLLLPHPKKVCNMLRATYSWYVKSWGTAAPNI -KVLVSSASDDRNAKDVSYEGFRQIANPYSRLILTSAFHKAALAANVKKLEEAIEYSSTLTRLPLDLPVFR -KLLPQAYTSTFDPTERAHVMLASLMLSIQVMSGYGRAWVKNKGDDESKLMRPRPDNFVSRLSRGTEELFV -RAYQEAREHGFDIIPPEDMYSSLLRLAKNTSSGFATTVQVQKRYGPHAERRAQNIPITSRQKSLFLLREG -HRIFSREMMDARYDTPTSYQTRGSRDVPIKATRTIYAINVNVLAPQHILTLPLNEYFARAGGPTHPSARE -IGGKVIIGDLEATGSRVVDAADTFRNTGDAAIWTLALDYSDYDTHMGQDNFREGMLNGMRYALRDKSDLR -YDNWTVGDLIQRGYGPGRVYRTLWNGRRAVFRMDRAAYESLPETDRVPPPDAPFLFRPPGTVPIRSVSCV -SRAETRDIVLVSPWDGSDLALVTTHLSGENSTLVANSLHNMAMGRIIQEEVARGSPNTIEVLSEMYVGDD -TLHYTRLLTADPAKVDCAIQRIFRTVELCGHEASAAKTTYLPFSAEKTQTHAKQGIYIPQDRMMVISSER -RKDIEDVGGYLRAQCTTFITKVSRGFSEELAHIILLFKSSLVGFRKCKSTIKTAEGAYRTRKFDSDEDGY -TLCRIRHPMILYSPLDWNGIGVCPLALNVVLTTELHADLILLDDGYAQHAQANIHLLAHPPLWNENAADK -RQIRTVTPMGLYSKITRPTVRAVLADPAALEAVKQVPTMGLGPTELSSTMMHTALLKEKRARMLLSTGYE -ELYQRSISNWTPQYLLRVPTGGELSSSFSKIFHVSIERLPPRNAAFPDQNLSPRFYAQKSALGPRFGPRS -RMSYVDRIDTILRADIVMRGVVTADTIMRILERIGADHSPDDLATIFQLLNLDARVARRLAEYVTADRVR -FDAFSLNKHGICGDEFSMSLGVCTDASREARIILPAELSPTERDACVLHAEQLRMVIAAHTGNDSRVTLS -ARPEHRAAVRAARIKGRLPRQRLVR ->ANH10670.1 VP1 [Parry's Lagoon virus] -MATVEHRLQRTRKLIQKLVPNFAFTKTGYGIYYRYSSRNVYNKGEEGNDTLPEQGAATLYGIPVLNEITW -KSILSEIPEDEDAYEVLRRSIIPLDNLEPEEEFLRHYAIEKQHINFEFVMKRSREECAVYGDMALRHWTL -LLTDISDDLQHVPLGLKLMRSIVKRSEEPFRQNTRDLALVRDEEITVTIMLIFEMCISESILEFNAILRM -KEEGFKIIPIGSHQVQLIDLVRELFLICLPHPKKINNMLRATFSWYVKTLGTASEYVNVLRSSGGDDRNS -KDVVYHEFIRVKNPFFQTLRHSNFHKSALKKNIEKAEEAIKYSNSITGLSQDMPVFMSLLRTVYEDEFDP -TKSSHMVFTSYLLSLQVMSGYGRAWVKNIGDDDNKIKLPTASNFVKRVAEKTEANFIAAYEEAETHGYTI -VKPTDMYSSLLRLAKNTSSGMSTRVEVQKSYTPSVRPETIEISSRQKALVIMGEGDKIYSKEFLEKKFNS -VESFQTKGSRDVPIKATRIIYAIHISILAPQLILTLPLNEYFARTGGPTTPDAKKLGGKIIVGDLEATGS -RVMDAADTFRNTSDPNYITLALDYSEYDSHMSWHNFRSGMIEGMRKALRKYESLRYQGFTIEELIDYGYG -EGRVRDTLWSGKRAVRVVDRAWYDALPDSDRVVPEDAPFRTFYPGVNPIRSLSIIKPHNVHTPILVSPWD -GSDLAKVSTHLSGENSTLAANSLHNKGIGSVIIEEIARRLPGKSEIRSEMYVGDDTLFYLTMNCYRSETM -DKMLEIIFDVVDKCGHEASPAKTTCLPFSAEKTQTHAKQGVYIPQDRMMIVSSERRKDIENISGYMRSNI -MTFCTKVSRGFSEYLSYRILEFKASILGYRKLKRTVYDGMYRSRAFASKEDGYTLCIIRDPVCLYTPVAW -RGFGCSPIALNIVNTPELFVDCLQMQCTQAIYRQFLPYINHLPPLWDETSADKHQIKTRTPMGLFSKLTR -PAVVASLSDPTVTEICKELPLQGFGPTQLSSTMMHNALLKEPRARALLAPGYELSYQKELNADVTEYATL -DPTGHDLEITTAYTKIFEIHFGDLVPITSHRFPDRNLSPTFRAQKEMLGNRTMNRSRMSYMDRIDAILRG -DIVMRGFITANHILNVMEEVGAGQSVDDLATLFQLMNIEQKIARRLAEQLGKDRSRFDTQRLSKGGVGGD -EFTMSLNVLTEEFFEKYVTTPGGLFQAEKDAVCLHASQILMTRSACGLQPCKLTFRLNDDHKKGVKKVRV -ASKLPRIRVMKAFYHDIRSLSAAIVERQHV ->ADU57375.1 VP1 protein [Equine encephalosis virus] -MVITVDGAGVVREALNRIFKYGAFQGDTPVYEYYRYSSRIRQLRRKHGAKYSISDAEMERRRDRGELSXY -GIQVITENEITQILKLPTHDQGVEIVDIFYNSVLPCDELEPDEEFLRNYRVEENHGLQTFISYRASQEMQ -VFGDLPLNFWCAFIESASSYFEHEPLGLTVLRQITSDKGILFHQNSRDLSQIEDISYTYSGPLLFEMCIT -ESMLEYNMVCRMREEKISDLQYGHDSINPFEIIREFFIMCLPHPKKINNMLRSPYSWMVKIWGICCAEQV -ILDSRGGPDRNSKDVFYTGYRKVVNQYGPILLKTRFFKDSLKLNREKVEEAIKYSQCLGGHRRGLNIFRS -MLKKPYTTEFDPSNVRHVMLASLLLSIQTITGYGRAWVKNESSDVENQMKPNDDNLISRVSEYTGRNFYN -AYAEAKEAGEEIVKPEDMHTSLLRLAKNTSSGFSTEIVVNKRFGPGISASSFEKIKISSRIKALVIFTKG -HEVFTPGNLVQKYNTVDYYQTKGSRDVPIKSTRTIYAINLSILMPQLLLTLPLNEYFAKVGGSTLPDYKR -LGGKVIVGDLEATGSRTMDAADCFRNSSDPMILTIAIDYSDYDQHLTPYNFRSGMLKGIRDWVKHYEHYN -YDGQTVYDLIEYGYGEGRVQNSFWRGKSAVKKVDLDDYMGLNDDERFMGSFRPPRGSLPVRDHAVFDRLR -CTPGKEHVVISPTDGSDLARVNTHLSGENSTLVANSLHNMAIGRIMQEEIHKYCYGKIEFLSEQYVGDDT -LFYTKPHCRTPEDFDAIFDVIFNTIKKCGHEASPSKTLIAPFSVEKTQTHAKAGVYVGQDRMMIVSSERK -KDIEDVGGYLASQIQTLTTKVSRGFSHELAQLVFMMKSTVIGFRKLKRTIKDTHGYRDRSFDHQEEDGFT -LMMIKDPLVAFLPREWGGAGMNPLAINVVNTEEVFLDMAADPFFRDIMDPLLKILQPMPPVWNETRGDKR -SLSSDTAMGFFSKMARPMVRLAFDNPTVGDLVKNLPLGDYSPFNLSHTMMRSALLKEQRARALLAPGYEL -EYQRELNKRWKEPSFALGSKKDMEIDSGYAKIFDVVPFGREVVENHFFPDVNISPEFYIQKVKLGHRNAS -RQRMSYIDRIDGILRGDVVMRGFLTSGTIMSILDDIGPVHDASDLSMLFQMLNLSSIVADRLATYISSER -VRFDSLQIAKRGIGGDEFTMSLNVLTGEFMDRYLRVPPQFTRTEVDACLLYVAQLCMINCFKEGSLKRLD -INVSNSERRRIRQRIARYRTFVPPMRILRRAARSERIAARMVGNQFT ->AGZ92012.1 RNA polymerase VP1 [Changuinola virus] -MAATVQGACLVRRIIEQYFKGFHITEKHGTYFTYKFSGFTRDLRMKRGTKYRRTIQEIEDSVNKGIEILH -GHKVIVECDWIDLLRATVDYDIGLQIYEGSMLLIESIEPEEEFLRNYKRNLETNEPMEKFVEMRAKNETQ -VFGDLPLKVWYGFVEEYSNYLNHVPAGLKMMRCFLTKYGSPFSQSSRDLAKFEKINVCYTTPLIFEMCLM -ESILEYNLIHRMKEENLKNLEFGDEQINGINLIREFFYVCIPHPKKINNMLRSPYTWFVKMWGVGASQLQ -VLRSYGSDDRNSKDVFYDKFITVNNKYEHLIKNSAFYKKSKLENIDKIKEAVNYSQDVGKHCENIKIFLK -LINKVYFTPFVPSKISNVILASLLLSIQTMTGYGRAWVKNVGTDEASLMKPSKDNLINEVSDYTRNNFIK -AYDEAKKKGEEIVKPENLYTSMLRLARNTSSGFSTEIMIHKMFGPTVKRQKELIKIHSRIKALVIFTKGH -TVFTPEELHKKYNTVLDYQTKGSRDVPIKSTRTIYSINLSVLIPQLVLTLPLNEYFSRVGGSTHPDYPMI -GGKIIVGDLEATGSRVVDAADTFRNSSDNDILTIAIDYSDYDTHLTQHNFRGGMLSGLKEVVKQYENYRY -EGFTLNQLIEFGYGEGRVARTLWNGKRKVAKVSSEAYMMLDDVDREIRDFKPPIGVHPVSTGEVLNALIK -KTNDNHNCILVSPTDGSDLALVSTHLSGENSTLVANSMHNMAIGTLIQDNINKKYTGKLSFLSEQYVGDD -TLFYCKLHTYDAEEFNNIITNIFDTVKKCGHVASESKTMITPYSVEKTQTHAKQGIYIPQDRMMIISSER -RKDIEDVQGYMRSQIHTMVTKVSRGFSHELATNILMLKTSFIGAWKMKRTILHDTFRDRIFDSDEEDGFT -LIQIRDPLTLFIPVAWNGYGAHPAALNVVMSEDIFIDSQIMPQLDAVMKELVLIANSCSPHWNETEADSR -QVMPETKMSFFSKMARPVVQLALNNAEIMNEVEKLPLGDFSPNRISRTMMHSALLKEQSARTLLTGGYEL -EYQNKLNGWLRNNECGDFIVNNSSGEITTNFSKLFNITYGNIIIEEPKKFPDQNLSPAFFLQKSSIGQRM -STRLRMSYIDRIDSILRKDVVMRGFITANTIINILEKIGNTHTAIDLTTLFCLMNIEFKVAEELAMYLTS -EKVRFDALKLLKRGMCGDEFSMSLNIATQHMIDTYVQMPHEFTKTESDVMSLYISQLIMLRCALGLQKRK -IQIHADENMKQKYKLRVQRFKIHAPRLRLIKKLIDINRLSVRQLENQFI ->APC23688.2 VP1 protein [Bluetongue virus] -MVAITVRGAQLIYRVVERFYPGIDFRSHEGACYIYKFSDHIRNVRAKHGTKYLRKADEIVHNIKMRKERL -YGIDVLEEAEWKDLFDGMTYQSYALEVYINSVLTWSALDPEEEFLRNYRVSREKNEVEKFIEFRAKNEMQ -IYGDIPIKVWCCFVKELSVEFQHTPLGVQVMADFVDRFGMPFHQGNRDLSNLEDFRVAYTTPLLFEMCCM -ESILEFNIKMRMHEENITTLEYGDYQVDPIGLLREFFTLCLPHPKKINNILRAPYSWFVKMWGVGADPIV -ILRSVAGDDRNSKDVFYEKYHTEQNRYKNLFRSSFYNESKRMNEEKVREAVRYSRELGSHNQGLPIFEKM -LKTVYTTPFYPCKSSNMILASFLLSIQTITGYGRAWVKNLSTDFEKQLKPSRDNLVQDVSDLTREFFKQA -YIEARERKEEIVKPEDLYTSMLRLARNTSSGFSTEVYVKKRFGPRLDNKDLVKINSRIKALVIFTKGHVV -FTDEELRKKYNSVELYQTKGSRDVPIKATRTIYSINLSVLVPQLIVTLPLNEYFSKVGGVTSPDYRKLGG -KIIVGDLEATGSRVMDAADCFRNSADRDIFTIAIDYSEYDTHLTRYNFRAGMIQGIKEAMIPYKDLRYEG -HTLEQIIEFGYGEGRVAKTLWNGKRRLFRTTFDAYLHLDESERAQGAFKIPKGVLPVSSVAVAERIAVNK -GFDILVAATDGSDLALIDTHLSGENSTLIANSMHNMAIGTLIQREVNRALPGVLTFLSEQYVGDDTLFYT -RLHTNDVKIFDKIANLVFEIVAKCGHEASPSKTMMTPYSVEKTQTHAKQGCYIPQDRMMIISSERRKDIE -DVQGYVRSQVQTMTTKISRGFCHDLAQFILMLKASFIGAWKMKRTIKDRTSFRDRKFDSNDEDGYTLIQI -RNPLALYVPIGWNGYGAHPAALNIVMTEEMYVDSIMISKLDEIMAPIRKIVHDIPPCWNETQGDKRGIMS -TTKMSFFSKMARPAVQVALNNPQIMSLVEELPLGEYSPGKISRTMMHSALLKESNARTLLSSGYELEYQR -SLNNWVSQVSLRLGEESGIISTSYAKLFDVYFEKDIDSTPYMFPDQNLSPQFYIQKMLIGPRVSTRVRNS -YVDRIDVILRKDIVMRGFITANTILNVVERLGTNHAVGDLVTVFTLMNIETRVAEELAEYITSEKIRFDA -LKLLKKGIAGDEFTMSLNVATQDFIDTYLSYPYQLTKTEIDAVSLYCAQMVMLRAAIGLPKKQMKIVVTD -DARKRFRIRLQRFRTHVPKIKVLKKLIDPNRMIVRNLENQFV ->AGZ92017.1 RNA polymerase VP1 [Changuinola virus] -MAATVQGACLVRRIIEQYFKGLQITEKHGTYFTYKFSGFTRNLRMKRGTKYRRTIQEIEDSVNKGNEILH -GRKVIVECEWMDLLKVAVNYDIGLQIYEGSMLSIESIEPEEEFLRNYKRNLETNGPMEKFVEMRAKNETQ -VFGDLPLKVWYGFIEEYSIYLNHTPAGLKMIRCFLTKYGSPFSQSSRDLAKFENINICYTTPLIFEMCLM -ESILEFNLIHRMREENLKTLEFGDESVNGINLIREFFYVCIPHPKKINNMLRSPYTWFVKMWGVGANQLQ -VLRSYGSDDRNSKDVFYDKFITVNNKYEQLIKNSLFYKKSKLENIDKIKEAVKYSRDTGRHDEDIKTFLK -LINKVYFTPFVPSKISNVILASLLLSIQTMTGYGRAWVKNVGTDEASLMKPSKENLINEVSDYTKNNFIK -AYDEARKKGEEIVKPENLYTSMLRLARNTSSGFSTEIMIHKMFGPTVKRQKELIKIHSRIKALVIFTKGH -TVFTPEELHKRYNTVLDYQTKGSRDVPIKSTRTIYSINLSVLIPQLVLTLPLNEYFSRVGGSTHPDYPMI -GGKIIVGDLEATGSRVVDAADTFRNSSDNDILTIAIDYSDYDTHLTEFNFRGGMLSGLREVVKQYENYRY -EGFTLNQLIEFGYGEGRVARTLWNGKRKVAKVSSEAYMMLDDVDREIREFKPPIGVHPVSTGEVLNTLIK -KTNNDHNCILVSPTDGSDLALVSTHLSGENSTLVANSMHNMAIGTLIQDNINKKYTGKLSFLSEQYVGDD -TLFYCKLHTYNPEEFNDIITNIFDTVKKCGHVASESKTMITPYSVEKTQTHAKQGIYVPQDRMMIISSER -RKDIEDVQGYMRSQIHTMVTKVSRGFSHELATNILMLKTSFIGAWKMKRTILHDKFRDRIFDSDEEDGFT -LIQIRDPLTLFIPVAWNGYGAHPAALNIVMSEDIFIDSQIMPQLDVIMKELIIIANSCSPHWNETEADSR -QVMPETKMSFFSKMARPVVQLALNNTEIMNEVEKLPLGDFAPNRISRTMMHSALLKEQSARTLLTGGYEL -EYQNKLNGWLQNNECGDFIVNNQTGEITTNFSKLFNITYGNIILEEPKKFPDQNLSPAFFLQKSSIGQRM -STRLRMSYIDRIDSILRKDVVMRGFITANTIINILEKIGNTHTAIDLTTLFCLMNIEFKVAEELAMYLTS -EKVRFDALKLLKRGMCGDEFSMSLDIATQHMIDTYVQMPYEFTKTESDVMSLYISQLIMLRSALGLQKRK -IQIHADENMKQKYKLRVQRFKIHAPRLRLIKKLIDINRLSVRQLENQFI ->ALL54833.1 VP1 [African horse sickness virus 1] -MVITVQGADLVRRALNRLFKYGRIDGTKMYYEYYRYSSKMRETRRKKGTKYKTDDEFLERERDAGRLKLY -DLQVIREASWEDLLYENVHTAELDIYVRSILKLEDLEPEEEFLRNYAVCDGVHPLKDFVEMRAKNEMQIF -GDMPIKAWISVLMEISRETKHKPLGLLVASDFVGRFGSPFEQNFRDLSQINEYGYCYSSPLLFEMCVTES -ILEFNMWYRMREERIQSLKFGLETIDPFKLIQEFFEICLPHPKKINNTLRSPYSWFVKNWGIGCPRVKVL -TSIGGEDRNSKEVFYTGYHETENLYSEIVLKSKFYRESLKQNMTKTEEAISYSQKLGNHGRTMPIFLKML -KAVYTTEFDPTKISHVILASLCLSIQTITGYGRAWVVNKSSDLEAQMKPSSDNYVQRVCDYTKNNFIKAY -EEARRGGEEIVMPEDMYTSILRLAKNTSSGFSTSIDVFKRYGPNAKGGRGEKIQITSRIKALVIFTKGHE -IFTPKNLALKYNTTEFFQTKGSRDVPIKSTRIVYSINLSILVPQLIVTLPLNEYFARAGGSTLPETQRMG -GKIIVGDLEATGSRVMDAADTFRNSSDPLNLTIAIDYSEFDTHLTPYNFRNGMLDGIREAMRRYQHLRYE -GYTLDELIEFGYGEGRVLNTLWNGKRRVFKVAFEDYVMLSDEDKVQGVFKPPIGVKPVKNIKICEELEKK -ADGRDLILVSPTDGSDLALINTHLSGENSTLIANSLHNLAIGTVIREEVKRIFGDDISFKSEQYVGDDTL -FYTELRTRSVERFDSIVDTIFEVIKKSGHEASMSKTLIAPFSVEKTQTHAKQGIYVPQDRMMLVSSERRK -DIEDVAGYLRSQVQTLTTKISRGFSHELAQLIFMMKSSIIGHRKLKRTIKDGGYRDRKYDDDKEDGFTLI -MLRDPLIAFYPVEWNGFGAHPAAMNIIMTEDMFVDSVMRGECRAWMEPLVKLIDQSPPLWNETSADKRMI -GTDSTMSFFSRMARPAVRTVLTNTEVGDAVRSLPLGDFSPFNISKTMMHSALLKEKNARSLLTPAYEMEY -QKELQGWRPRQKKFLVTSNEMEITTNYMKMFNVSKIPLHGLALKFFPDVNLSKEFFLQKSVLGSRESPRA -RMSYVDRIDSILRGDVVMRGFITANTIINILEKLGHTHSASDLTTLFEIMNLSSSVAQRLSEYITTERVR -FDAMKLSKRGICGDEFSMSLDVCTQTMVDKYIRAPTQFTKTELDAVNLYVAQHIMLDAATGLTPSRYDIN -VSGDERVRFKQRVARFNTHLPKMRMVKRLIETERLSARLVQNQFV ->AFH41519.1 VP1 [Pata virus] -MVAITVQGADLVKRIVERLYPFIEIDLTSGVFMFYKFSDNIRQIRSKHGVKYLRLAEEIERNIREGKEYL -HGLRVLRENSWRELFGEKNQNTESYQVYKNSIIPLSQLEPEEEFLRNYQVDLNDISGVSTFVEMRAKNEM -QIFGDLPIKVWALFIDELGKEMKMKPLGIDTVRDFVYMHGEPFHQGVRDLSRLEDFNTCYTTPLLLEMCC -MESILEFNVVMRMREEGISALEFGTLQIDPLALLREFFIICLPHPKKINNILRSPYSWFVKMWGVAADNI -TVLESDASDDRNAKDVYYTKFRTVSNSYTSIFKGKFYRDSLKMNQEKVQEAVKYSQELGLHQKELAIFSE -MLKKVYTTPFYPFKPSNVILASFLLSIQTITGYGRAWVKNAGTDFEKQMKPAADNLISDVSDRTRENFIK -AYKEAEEKREEIVKPEDLYTSMLRLARNTSSGFSTELLVQKRFGPKTGRREMVKITSRIKALVIFTKGHT -VFTESELRKKYNTTELYQTKGSRDVPIKSTRTIYSINLSVLVPQLVVTLPLNEYFARVGGVTSPEYSRIG -GKVIVGDLEATGSRVMDAADTFRNSGDETILTIAIDYSEYDTHLTYHNFRKGMLEGIRQYLTKYANLRYE -GYSLNELVEFGYGEGRVSKTLWNGKRRVFRASLSKYLALEEGERSMISFRPPFGVKPVSSLAVAEKIADE -KGEVLVSPTDGSDLALIDTHLSGENSTLIANSMHNMAIGGLIQEKVLALNRHDIVFLSEQYVGDDTLLYC -KLNTRDSETVNRIIDCIFDTVRKCGHEASPSKTVIAPFTVEKTQTHAKQGIYIPQDRMMIISSERRKDIE -DVQGYVRSQVQTMITKVSRGFCHDLAILILMLKATFIGAWKLKRTVKDQQGYRDRKFDSDEEDGFTLVVL -RNPLSLFVPLAWNGYGAHPAALNIVMTEEIFIDSLQMRKLDLIMEPIKKIVSKCLPPWNETTADKRNIYS -ETKMSFFSRMARPAVQVALASPEIMDAVDALPLGDFSPGRLSKTMMHSALLKESTARGLLAAGYEAEYQK -ALNRWSENAVCLQVFEEAGVISTTYAKLFDLLFEEEEQKREFIFPDQNLSPQFYIQKMKIGPRQSTRMRI -SYVDRIDVILRKDVVMRGFITANTILNVLEQLGTNHTASDLTTIFTLMNIEHRVAEELSEYLTSERIRFD -ALKLLKKGIAGDEFTMSLDVATQKFVDRFIVYPYQLTKTELDAVMLYCSQIVMLRAATGEVMKKMRISVP -DEEKRRFKIRAQRFRTHIPKIKVVKKLLDLNRMSVRNLENQFV ->AGG68141.1 VP1 [Kemerovo virus] -MAVVEQRITSANNAIRRILPNLPLNNDKTYHDYYKYSKWKDSKATARTTLHGVPVLSENSWRDIPRTTQA -RPRDVVEYYYRSHIPVVELQPEEEFLRNYLLDGKDAGPLLAFLRHRSQEEMAVYGDMALRHWYALVQQLS -DDYGHAPLGLACMERFIDDHGDPFHQSTRDLSKISDERYSSSVVLFFEMSICEALHEFNVILRSRESGIP -LTTRFGRQEVDRIAIVRELYTLMLTHPKKVCNMLRASYSWFVKNWGIAAPEVNLLESSGSDDRNSKDITF -HRWRRVRNPYSAIVLATEFHKSSLRANLDKVDEATAYASKLAGTPMELRVFRTMMANTYTTEFDPRDQSH -LQLASLLLAIQTMAGYGRAWIVNAGDDPERMLKPSRDNHVTRVARETEKFFVKAYEEARMHGHDIIPPED -MYSSLLRLAKNTSSGFSTEVEVKKKYGPRAERRDEIVRIKSRQKALYLMREGHKIYSPDMMNMSYTTPTC -YQTRGTRDVPIKATRTIYAINVNVLAPQHILTLPLNEYFARAGGSTHPASSEIGGKIIIGDLEATGSRVM -DAADTFRNTSDTGVWTLALDYSNYDTHMTQDNFRQGMISGIRQAVARHHSLRYEGWSVDQLIEAGYGAGR -VAGTLWNGKRRVCRMDRADYERLPLEDREVPPDAPFRFRPPGTSPIRSLTLVKEARTLDFVLVTPWDGSD -LARVSTHLSGENSTLVANSLHNMAMGRVIQDEVQTRHPGTFEVLSEMYVGDDTLHYVKLLTLDSARIDRA -INTIFQTIELCGHEASAAKTTFAPFSAEKTQTHAKQGVYIPQDRMMVISSERRKEIEDVQGYMRAQATTF -VTKVSRGFSEDLAHRILLLKASLVGYRRFKATMFDGAKFRRRKFFSEEDGYTLCRLLNPTVLYAPVECNG -YGVHPFALNVVQTCETHLDSIQLFPTYADLVSRNVIRTAFPPPWNETDVDSRLLSTQTPMGLYSRIVRPT -VRMALTDPDIATLVTQIPLGEHAPDRLSSTMMHSALLKESRARALLAPAYEDRFIESMNKWRPYDLFKPT -AGTELTAAYAKVLDLQISARDAPTPLFPDMNLSPAFLAQKQCVGHRTTVRPSRTYMDHIDRILRGDVVMR -GIITANTIMTLLEKIGFDHDPADLAIIFELLNLDRRVARRLAEFVTGDRLRFDVHALNKRGIGGDEFTMS -LDVCTDGSRDQRITFPPEFTLAERDAAALHAEQIQLLFAAHQGRSVRVALQVRPEHRSALRKARIKMRTP -RLRVIRSAARAIRSASLALAEGQFL ->ALW83178.1 VP1 [Palyam virus] -MQDASLVRNSVQRFLKYTKIDGKEPKYIYYRFSKNMREIRRKRGVKYKTDDTYFERRRDQGILNLYGIQV -AVESSWEDILDGEPQYDALKIFERSVLNLGDLIPEEEFLRNYRVEKEHPFREFVEMRAKAEMQIYGDLPV -KAWCSFIREYAEQIKHEPLGLSTMREFVHKYGSPFNQNSRDLSQIEDFSMSYSTPLLFEMCCCESLIEFN -MFERMNEEGIKELEFGGLKVNPFDLIREFFVLCLPHPKKINNMLRAPYSWFVKMWGVCCSEVKVLRARGG -DDRNSKDVVYDDFVRVKNIYGPILYKTQFYRQGREENISKVEETIKYSQELGNHAYGAKLFLTMLKQVYK -TEFDPTNIKHVMLASLLLSIQTITGYGRAWVKNVSSDIEKQMKPDKNNLISRVNEYTRNNFIKAYDEALA -AGEDIVKPEDMYTSMLRLARNTSSGFSTKFNVYKKYGPGIKSGIGKQIEITSRIKALVIFQEGHKIFVKE -ELEKKYNTVQNYQSKGSRDVPIKATRTIYAINLSILIPQLIVTLPLNEYFSKVGGSTRPQSGKLGGKIIV -GDLEATGSRVMDAADTFRNSSDPEILTIAIDYSDYDTHLTPYNFRDGMISGIRTAMMKHSTLRYEGHTLD -EIVEYGYGEGRVMNTLWNGKRAVYKADFAKYMELPPEDREKGEFRPPRGVKPIRTTKIIAEIQSEDPDNQ -ILVSPVDGSDLAFINTHLSGENSTLVANSMHNLAIGTIIQEEVKHRCAGLISFLSEQYVGDDTLFYTQPC -VSTEHDFDCIIRVIFDTIERCGHIASASKTIIAPFSVEKTQTHAKQGIYIPQDRMMLISSERRKDIEDVR -GYLKSQVQTLTTKISRGFSHKLARMILMLKTSLVGFRKLKRTIFADGVYRDRRFDSDDEDGFTMYLIRDP -LCAFLPVEWNGVGAYYESLNIVMTEDIFLDLLQTGNEFVRHLAGFINGTLPFWNETEADKRQIGTDAKMS -FFTKMARPAVQSVLNSDELTDLVKQLPLGDYSPTNISKTMMHSALLKESSARSILTPTYESEYQRLLNVR -EEKSFKMFSHDLELSTNYIKMFDVQYSSGVQRHFYFPDQNLSPSFFLQKNLLGPRMSTRVRMSYVDRIDS -ILRGDVVMRGFITGNAIINILEKIGHTHNAADLSMLFQIMNIESRVADRLAEYISAERLRFDAMKLLKRG -ICGDEFSMSLDVCTQEMSDNFIRYPKEFTKTEQDAAILYASQLLMIRAAHGHHPGVLIINASAEERQKFK -VRAARFKAHLPKLRLIRRLIQTERLSARMVQNQFV ->AFH41499.1 VP1 [Tilligerry virus] -MVATVRGVDIVDRVLKRFYPTAEFDYTRGFWHLYRFSSNIRIIRRKKGTKYRRDDDVLTNLVDRRSETLY -GIRVLNEASWEELTEEYSRRSKGLGIFENSVLDHEELDPEEEFLRNYRVIEDGAGHLSTFIETRAKVEMQ -VYGDLPMKIWFIFVEQLCKHVGFETEGLTVMKSFLFKYGAPFHQGLRDLSKLDGFKMSYSTPMLFEMSCM -ESLLEINIFKRMQEERIHCLQFGDREINPIALLREFYLICLPHPKRINNILRSPYSWFVKTWGVAANPIV -VLRSSGGDDRNSKDIYYEKFHYVENTYVPIFNARFYRKSLEDNMNKVNEAIEYSQGLGQHEEGLPIFTSM -LEEVYKTPFVPNKPSNLILASFLLSIQTITGYGRAWVKNVGTDFGKQMKPSKDNFIERVADYTANNFIAA -YEEARQHHEDIVFPEDLYTSMLRLARNTSSGFSTSVKVRKAFGPNVKRKPELIDVTSRIKALVIFTKGHT -VFTPEELNKKYDTATNYQTKGSREVPIKATRTIYSINLSVLVPQLVVTLPLNEYFARVGGSTSPNCKRMG -GKIIVGDLEATGSRVMDAADTFRNSADPSTFTLAIDYSEYDTHLTRYNFRNGMMRGLRAAMLKYRDLRYE -GYSLDDLIDFGYGDGRVAMTLWNGKRRVFKVPAHLYIMLDEEERRQGDFRPPPGVRPVTSMNVAEKLVRG -KTVSDDFILISPTDGSDLALIDTHLSGENSTLIANSMHNMAIGTIIQEEVLKRYPTEISFQSEQYVGDDT -LLYTQLLTRDPRVVNDIIETTFSSVEKCGHEASASKTMVYPFSVEKTQTHAKQGIYVPQDRMMIISSERR -KDIENVNGYMRSQVHTMVTKVSRGFSHDLAQWILMLKTVFVGAWKLKRTIKDTHGYRDRKFDDDTEDGFT -LVTLKNPLALHIPQNWGGYGAHPAALNIVMTEEMFIDSMQISKLETEMQLLVKIAGKLPPAWDETQADKR -QISAETKMSFFSKMARPAVRATLMVPEIMDVVETLPLGDFGPGRLSKTMMHSALLKEANARSLLVSSYEL -EYQKQLNGWKEQPMCFTLDEESGYVSSKYAKMFDIHFDEEIMEPAHVFPDQNLSPQFYVQKAIIGQRKST -RMRMSYIDRIDAILRKDVVMRGFLTANTIVNVLEKVGVSHTAVDLVTLFTLMNIEVKVAEELAEYITSER -VRFDAIKLLKKGIVGDEFSMSLDVATQDMIDQLIRYPHELTKTELDAVSLYVSQLIMLRAALGMKKRRIR -VSISPDERERFRAKVQRYKTHTPKLKLIKKLIDINRLSVRALENQFV ->CEK41871.1 RNA-dependent RNA polymerase; VP1 protein [Bluetongue virus] -MVAITVRGAQLIKRVVERFYPGVNFRDGEGVCYIYKFSDHIRRIRAKHGTKYHRSTDEIVRNIRARKEKL -YEIDVLEEVEWKDIFGGAIFRSYALEIYLNSILTWDALEPEEEFLRNYRVSREKTEVEEFIEFRAKSEMQ -IFGDVPIKVWCCFVKELSDELQHTPLGVQVMADFVNRFGVPFHQGNRDLSNLEDFRVAYTTPLLFEMCCM -ESILEFNIRMRMDEENIATLEYGNAKIDPIGLLREFFILCLPHPKKINNILRAPYSWFVKMWGVGADPIV -VLRSIGGDDRNSKDVFYDKFQTEPNRYKSLFRSSFYNESKRMNEEKVHEAVMYSQKLGSHDQGLPIFEKM -LKAVYTTPFYPFKSSNMMLASFLLSIQTITGYGRAWVKNVSTDFERQLKPGPNNLVQDVSDFTREFFKQA -YVEARERREEIVKPEDLYTSMLRLARNTSSGFSTEIYVKKRFGPRLSDKSLIKINSRIKALVIFTKGHTV -FTDEELRKKYNSVELYQTKGSRDVPIKATRTIYSINLSVLVPQLIVTLPLNEYFSRIGGITSPDYRRLGG -KVIVGDLEATGSRVMDAADCFRNSADRDIFTIAIDYSEYDTHLTRYNFRSGMIQGIREAMIPYKDLRYEG -YTLEQIIEFGYGEGRVANTLWNGKRRLFKTTFDAYLRLNEGDRTQGAFKVPKGVFPVSTVAVAQRIATEA -HFDTLIAATDGSDLALIDTHLSGENSTLIANSMHNMAIGTLIQREVSRVFPGVLTFLSEQYVGDDTLFYT -KLHTADAKIFDGVAALIFETVAKCGHEASPSKTMMTPYSVEKTQTHAKQGCYIPQDRMMIISSERRKDIE -DVQGYIRSQVQTMTTKVSRGFCHDLAQLILMLKASFIGAWKMKRTVKDRTTFRDRKFDSSDEDGFTLIQI -RNPLALYVPVSWNGYGAHPAALNIVMTEEMYVDSIMISKLDEMMAPIRKIVHDIPPCWNETRGDTRGLIS -ATKMSFFSKMARPAVQVALNNPQIMSLVEELPLGEYSPGRISRTMMHSALLKESNARTLLSSGYELEYQK -ELNSWMSQVSLRLGEESGVISTSYAKLFDVYFEGDLKTSPYMFPDQNLSPQFYIQKMEIGPRVSTRIRNS -YVDRIDVILRKDVVMRGFITANTILSVIEKLGTNHAVSDLVTVFTLMNIETRVAEELAEYITSEKIRFDA -LKLLKKGIAGDEFTMSLNVATQEFIDTYLAYPYQLTKTEVDAISLYCTQMIMLRAAIGLPKKQMRVVVTD -DAKKRFKVRLQRFRTHVPKIKVLKRLIDLNRMTVRNLENQFV ->ANK78265.1 VP1 [Bluetongue virus 16] -MAMVAITVQGAQLIKRVVERFYPGIEFRSDEGACYIYKFSDHIRRIRMKHGTKYQRQAEEIMRNISLRKE -KLHGIPVLDEVEWKYVFDGQTFQSYAFEVYINSILPWSELDPEEEFLRNYRVSREMTEVEKFIEFRAKNE -MQIYGDIPIKVWCCFINELSAEVNHTPLGMQVMADFVSRFGSPFHQGNRDLSNLEDFQVAYSTPLLFEMC -CMESILEFNIKMRMREEEISALEFGDTRIDPIGLLREFFVLCLPHPKKINNVLRAPYSWFVKMWGVGADP -IVVLQSAAGDDRNSKDVFYDKFRTEANRYKGLFRSSFYSESRRMNEEKILEAVKYSQELGSHDHRLPIFE -KMLKTVYTTPFYPHKSSNMILASFLLSIQTITGYGRAWVKNVSTEFDKQLKPNPNNLVQDVSDLTREFFK -QAYVEAKERREEIVKPEDLYTSMLRLARNTSSGFSTEIFVKKKFGPRLKDKDLIKINSRIKALVIFTKGH -TVFTDEELRKKYNSVELYQTKGSRDVPIKATRTIYSINLSVLVPQLIVTLPLNEYFSRVGGITSPDYRKI -GGKVIVGDLEATGSRVMDAADCFRNSADRDIFTIAIDYSEYDTHLTRYNFRTGMLQGIREAMAPYRDLRY -EGYTLEQIIDFGYGEGRVANTLWNGKRRLFRTTFDAYLRLDESERDKGTFKIPKGVLPVSNVDVAKRIAV -DKGFDTLVAATDGSDLALIDTHLSGENSTLIANSMHNMAIGTLIQREVGKEEPGVLTFLSEQYVGDDTLF -YAKLHTTDTKVFDRIATSIFNTVAKCGHEASPSKTMMTPYSVEKTQTHAKQGCYVPQDRMMIISSERRKD -IEDVQGYVRSQVQTMITKVSRGFCHDLAQLILMLKAAFIGAWKMKRTIKEDATYRDRKFDSNDEDGFTLI -QIRNPLALYVPIGWNGYGAHPAALNIVMTEEMYVDSIMISKLDEIMAPIRKIVHDIPPCWNETQGDKRGL -ISATKMSFFSKMARPAVQAALSDPQVMNLVEELPLGEFSPGRISRTMMHSALLKESSARTLLSSGYELEY -QKALNNWLSQVSMRLGEESGVISTSYAKLFDVYFEGELDSAPYMFPDQNLSPQFYIQKMMIGPRVSSRVR -NSYVDRIDVILRKDVVMRGFITANTILNVIEKLGTNHSVGDLVTVFTLMNIETRVAEELAEYMTSEKIRF -DALKLLKKGIAGDEFTMSLNVATQDFIDTYLAYPYQLTKTEVDAVSLYCTQMIMLRAAIGLPRRKMRIVV -TDDAKKRYKIRLQRFRTHVPKIKVLKKLIDPNRMTVRNLENQFV ->AIU36219.1 VP1, partial [Mulberry orbivirus] -EEKFLRNYKIERDHNFHMFVESRARNEMAVYGDMTLSHWYTLLTIVSSFYQISSVGLKVIEEISRGEGKP -LKQGKRDLSKLEDLKYSYTIPMFVELNLMLSVCELNYALRYEELKRYDGGVNKMEYSLDYVLELLYEFYL -MIIPHPKEINNKLRAPYSWFVKYWGMGCNKVIVLHSTAGEDRNSKDVIYLGYKRVRNRYSSLIEKSEFYR -RIREDNIGKVEEAVRYSRELGKHNEDLLRLKDLLANVYKREFSPIDQSHLLVAYVLLNIQVLSGYGRAWV -KPQDTEPLDDQESIIKYRRISDATRLLTLKLFNEAEAGGFGLVRPEARYISLLRLAKNTSSGQTVEVDVK -KHDVFSGRSEEVKIPTRIKSVVILQKGHELYSDESRKIKFNTMESFQGKGSRDVPVKSTRTVYNIDLRVL -AYQNLLFSQVNDYVASRGGDTALSEDKLVGKIIIGELESTGCRTIDGSDVFRNSGDPDIFSIGLDYSSFD -EHMGYANFRSGMVNGLREYMSGFKHWNYYDFKAEELVEAAYGEGRTYRTLWDGKRKVEKVCENVFTELRA -AGCVGRLYKDRFKPPKGVSPILQMKTCERIRKEHQCNCEKFVLISPSDGSDLSMVGTHLSGESSTLVMNS -LHNMAIGTIIKEDLNSKMPGTYKLLSEQYVGDDSMLYGVLFGEEDWERFDKMMDVIMQSISSCGHEAEPS -KMVVGPFSVEKTQTYGKQGVYIPQDRMMVISSERNKNLEDIQSYLDSQVSVYVTKVSRGFNHDLAKWILM -YKTAVIGYNKMKHIVYDRGEFRLRRRGVDDGYTTLTFRHPLALYTPRVWNGVGVSNRILSLKHTPEIFLY -EMRNGDNAACEEIVKMMPFLPFWNETKMNPRDIRAKTPMHLFTRLIRPTVRAVLQDADLSNVVQRMNLGA -YSPHNLSSTMLTEAMLKKRR ->AER60533.1 VP1 [Bluetongue virus] -MVAITVRGAQLIKRVVERFYPGITFNNDEGVCYIYKFSDHIRRIRAKHGTRYRRQTEEITHNIRVRREKL -HGIDVLEEVGWRDVFGGLTFQCYALEVYVNSILTWKELDPEEEFLRNYRVSREKTEIESFIEFRAKNEMQ -IYGDIPIKVWCCFIMEMSVEFEHTPLGMQVMADFVNRFDSPFHQGNRDLSNLEDFRVAYTTPLLFEMCCM -ECILEFNIKMRMCEENITTLEFGDTKVDPIGLLREFFILCLPHPKKINNILRAPYSWFVKMWGVGADPIV -VLYSAAGDDRNSKDVFYDRFRTEQNRYKDLFRSSFYNESRRMNMEKVQEAVKYSRKLGSHDRGLPIFERM -LKAVYTTPFYPHKSSNIILASFLLSIQTITGYGRAWVKNVSTDFENQLRPRSDNLVQDVSDLTREYFKQA -YIEARERREEIVKPEDLYTSMLRLARNTSSGFSTEIHVKKRFGPRLRDKELIKITSRIKALVIFTKGHIV -FTDEELRKKYNSVELYQTKGSRDVPIKATRTIYSINLSVLVPQLIVTLPLNEYFSRVGGITHPDYRKLGG -KVIVGDLEATGSRVMDAADCFRNSADRDIFTIAIDYSEYDTHLTRYNFRSGMIQGIKEAMSPYKDLRYEG -YTLEQIIDFGYGEGRVANTLWNGKRRLFKTTFDAYIRLDETERAQGVFKVPKGVLPVSSVAVAERIAIDE -NFDTLVAATDGSDLALIDTHLSGENSTLIANSMHNMAIGTLIQREVNKAHPGILTFLSEQYVGDDTLFYT -KLHKIDNKTFDQVAALVFETVAKCGHEASPSKTMMTPYSVEKTQTHAKQGCYIPQDRMMIISSERRKDIE -DVQGYVRSQVQTMTTKVSRGFCHDLAQLILMLKAAFIGAWKMKRTIKEGATFRDRKFDSNEEDGFTLIQI -RNPLALYVPISWNGYGAHPAALNIVMTEEMYVDSIMIAKLNEIMAPIRKIVHDIPPCWNETQGDKRGLIS -ATKMSFFSKMARPAVQVALNNPEIMNLVEELPLGEFSPGRISRTMMHSALLKEANARSLLSSGYELEYQR -DLNGWISQVSLRLGEESGIISTTYAKLFDVYFEGELDNTPHMFPDQNLSPQFYIQKMIIGPRVSSRIRNS -YIDRIDVILRKDVVMRGFITANTILNVIEKLGTNHAVSDLITVFTLMNIETRVAEELAEYVTSEKIRFDA -LKLLKKGIAGDEFTMSLDVATQNFVETYLSYPYQLTKTEVDAIALYCAQMTMLRAAIGLPKKQMRIVVTD -DAKKRYKIRLQRFRTHVPKIKVLKKLIDPNRMTVRGLENQFV diff --git a/seq/clusters_seq/cluster_780 b/seq/clusters_seq/cluster_780 deleted file mode 100644 index 4c23fd0..0000000 --- a/seq/clusters_seq/cluster_780 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009407903.1 putative capsid protein [Lasius neglectus virus 1] -MNQIQEAFNKDTHNPPQNFGLPTNPIPQTNTGLLEHTGIPISPSDLITDIPPSFSWMTGQWKYITKFKHQ -FTDDIGKNIWSSTVVGKNDTTAFTPILPNWFNLPFAASVWWNGTVSFRFTMIKPPRVSGKLIITYRQDAF -KAYTNSDTVTLSEDKLYRSINKEWDLSQSSQFEFDITGSLPIRARPTKIYKNLKGLGSGGQPSLNELAIS -GYQPPWINYEMGRILVRPAQKLNPGGLFPDEFVVIVEKSFKQANFYTPTDTKSTYQLVTESPFSSLNDG - ->YP_009407941.1 putative capsid protein [Lasius niger virus 1] -MSINTQNMLQYNPPRLVNEPMQPLPQTVCATLEHSGMPTSAPENITDVPPDFSWMSELQLYKGNFNMDIT -QEPKTSIYTTYVLNHVTSSDGTLRDKFPIPWNYLPFLASRWWTGTISYKFIAIKAPLTGGKILIRYSYDP -NFDMENLDKLRRGVAKEWDLSQGSECEFDIEGTFPIEARPTWIPHVGYTNAAPGVVWAPQSMPLPVWNMG -FIECEVAQRLVPGALFPDRIRILVFQVFKNCNFYLPTDMRGQTKHMLSFANDEITWNPNP - ->YP_009407935.1 putative capsid protein [Solenopsis invicta virus 4] -MSNTQQSMNIPPRDPLIANVPEAQTNMGTLENSGIPIEPIWPITDIPPDFKWMTEQWKFHTTFTITNQQQ -PGKVIYTTRVVQSESNIPRTLAHWHDIPYACSKWWNGKVSYRFTIIKPPRVVGKLLVRYRQDCFNSWKPT -APPANGWTVNDTKMRTILKEWDLGQSSQFEFDITGSNPIRARPTHIFEDKPQIDSTDLTLNDVAQFRTPW -ILFEMGRISIEVAQTISPGGIFPDTYQVIVEKSLKDCQFMTPTDPRTDHKLSLYSTIT - ->YP_009407947.1 putative capsid protein [Myrmica scabrinodis virus 1] -MNNTQELLQNNPPRSLGIPTVPIPQTNLGLLEHTGVPIEPQDPITDIPPDFTWMVSQWKLVDTFVVKDSD -AVGSISYSTPIVASKKDVDFPYWTTLPFHFSKWWTGSVSYRFTLVKPPRVTGKLLVVYRQDAFHNITDTA -LTIKDTLLRSIIKEWDISQSSQFEFDLTGSVPIRARPSQWIDPKDPFKIASLSGTGISYYQTPWTEREMG -AIQIQIAQQISPGGIFPDQFTVLVEKCIKTPKFMTPTDSRTHWIVAVEKEPDSSFKK - ->YP_009513237.1 putative capsid protein [Solenopsis invicta virus 2] -MLSFNPPRLVNVPQQPPPQTVSMTLEHSGVPVDEPLLVTDIPPDFQWMAQLQRYDTSFVVSKNKNPKDPL -WRDNVHTMVETSDGDPYKIIPSWNLLPMVTSRWWNGIISYKLIAIKPPRVTGKLLIRYSFDPHDDFSGDS -VRRGICKEWDLGQSSECEFDVVATNTIRARPTWLPLIRSGNVTGAYWLDQYLPYQTWHYGSLMIELAQRI -QVGSIFPDSIRILVFKCFKNAEFYLPTDARGDSPHFLATGTVPSGRKA - diff --git a/seq/clusters_seq/cluster_781 b/seq/clusters_seq/cluster_781 deleted file mode 100644 index e21b628..0000000 --- a/seq/clusters_seq/cluster_781 +++ /dev/null @@ -1,26 +0,0 @@ ->YP_009824952.1 GP3 protein [Zambian malbrouck virus 1] -MGHHPIFRILSFSSLLCCVFSYCFKLPDPDTHISVFLNYTTCHLQGNIQAGVNALHGCHSLPHNEFSGHF -DPLNINYTTAAPVGALALGLQLYARKHECSWELPSSFCCNITLHGTTVTPTSNPTSSPTGSSGSSERHAV -CVPCTSQEISNQAGLTFTRNESVFSGGCATNLQAGSTKFTESVHIHTDAFSVLHLLECITEALSTNGTFY -CRSNSSVVKCTSANHTVIAFCHNTTHYSPVDLPHPFAAIEWAAAIYTAITLVGIFILNYV - ->YP_009505576.1 ORF6 protein [Simian hemorrhagic encephalitis virus] -MHFQWFILLLQTTLQLAIATSTPSPSPSTPNFTGGSGGDTRHAVCVPCSGTSLHNQTGLSFSRNESVFSG -GCATHIYAGVSKFSERLEASTNAFSVLHLLECITESLTVNGTFFCRNNSQAVPCSETNLTVIAFCHNHST -YHLVDSPHPLAAIEWAAAIYSAITLTGTIILLNV - ->YP_009505575.1 ORF5 protein [Simian hemorrhagic encephalitis virus] -MGYLSSFSLLSFSQFLCCVFSFCFELPNPDIHVQIYLNYTTCHMQGRIQAGPSALGACSTFKHDQFTGQF -EPLNLNYSTAAPVGALVLGLQLFGHRHQCTFNGSYYCCKQPFNSPSPLQHHLPVLPHLILLGAVAVTHGM -LSACLVQGRRFTTKLD - ->YP_009249817.1 GP4 protein [Free State vervet virus] -MGRNQVLLLLACTTVIACGAAGTTTPSNTSGRSTPSWHHVCVPCSAEPIHNKTGLSFTRNESVFSGGCAT -QIHAGVSKFSETARFSTNAFSVLHLLECITEALSTNGTFFCKDDNKRAVECDHQNHTVIAFCHNTTLSVE -PHAPLAAIEWAAALYTAITLAGIFTFNYVY - ->YP_009249816.1 GP3 protein [Free State vervet virus] -MGHHAVFSLLSLSALLCCVYSYCFEFPNPDIVVQVFLNYTTCHMQGNIIAGYKSLADCHSFTHDEFVGQY -TPLNLTYATAAPVGAVVLGLSLLSHIHQNCSWEGTRYCCSSHAPRSLPVVQQALPHLVILAGGALLAGIM -SVCLAAQSPYTIKRD - diff --git a/seq/clusters_seq/cluster_782 b/seq/clusters_seq/cluster_782 deleted file mode 100644 index 4651ba8..0000000 --- a/seq/clusters_seq/cluster_782 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009270626.1 hypothetical protein 2 [Brejeira virus] -MKFLVLLVSVVNAAVFVKDVTRQLVTTRERYALYQNLSPTLVKIGNYQISPFHSDPTCISVYRTNDWFFA -GCELPSHCLGKTISVVDKKWYGQETVFCHATYHPKLTETYEFFNIEFKTSERKVRPRSPVELYGKVITEI -AKLRPVSFFEYFIVARNESGYGVGPKICMEKLRDGTQLPKNVQLRHSDGMMCVDEVNYDDHDCHPFMFST -VMEMIMYYDFPIEFTDVPFSQGSYAATNNKVIGADAYTTNHASDSPSHVFDRIGGSFMVSFVNITSDQPF -FIVKNSRNLRVTQTNCYDFHTHYKSPFSNILSTLSKFFQDELFELLKFLKKFSKSIAEILLFVVSELLLM -VVNIVPYTGEFYTGAFITLVFYYTTFNIGVSITVGLFAYFFRIYIDSLIF - ->YP_009552740.1 hypothetical protein [Ying Kou virus] -MLLMILIISVVPFVRSAVFVKDVTAQLQNTRERLILYSKIKPRSHFVGEYDVTPYSFEKECMSIYRTNDW -LFANCELPAHCSTPLVSKINKNWLGQEIVLCHGVHPVVDTPRLEFFTVDFIPQTFSFGRPISFEFGKVSN -FTNFQPVSFFEWFYVVRGPDGYGIVPKFCSETFRNSTALPPNVEIHSDGDSLCLRRVLFDKNDCRPTFYE -SFTGLLFKYNFPVQFDGAPFSQGAYASTNNKVIGADHYTTSNSGKLPTHIIDKVGRSYMVTYSSYEDPEP -IYIVKATQVVPFEDSECFPIVSKYQSPIEHILKKISNFFRDEIEYLLEFFLVVAEKIASILVAIIGELLS -LITTLIPYGELFYTSFFLACVTYVFTRDVLLSVFPTVSIYLLRIYLKTVIN - ->YP_009362299.1 ORF2 [Castlerea virus] -MNFPLTVSFLLHFVALVHSAVFKNDVLNQFPSTRQKNAFYQKLIPHLEPLGEFEIAPYAFNPECLSVYRT -NDWFYSQCALPSNCSEPSVIKLERSFFGQETVICHSDLKHSRKDVYEFFTPKFVPKNLTLRDPISILGNN -FNLLTNLTPVSFFEWFYIVKSADGRYGLLPRICDETHRNSTHLPKNVHLEHNGDRVCLIETSYTTHSCIP -KLYNSIFTLLQLYDFPIEFDGVPFSQGSFSLTNNKVIGADSYITNSVGKTPIVVDKVGGSYMLTYESFDS -NQPFYIVRNQRHLPTENHQCFNLLSHYSSPLTSIFHHISTFIRKELSYLVEFLKQLAGEIALILFKIVSE -LFEIFMSLIPYNSEFYTSLFVMTLTYFNTRDIPISCVVFVGVYVFKIYMTSFI - ->YP_009351831.1 hypothetical protein 2 [Piura virus] -MKFLVFFFSVANAAVFVKDVADQLSSTRERMALYQNLSPSLVKFGSHQISPYHTDPTCVSVYRTNDWFFA -GCELPPHCLGKVVSIIEKKWYGQETVFCHSSYHPDKTETYEFYSIDFPISKRTVKPKKPVELHGKVIPII -KNLHPVSFFEYFVVARNSSGFGLVPNFCMDRLRNGTQLPKNVKLRHESDRVCVDIVSHDEHDCHPVMFPT -VMQMIMHYNFPVEFDDVPFSQGSYAATNCKAMGADAYMSEHASQKPVHVLDKIGGSFMVTFERLSSDSRM -FVVKNSQRLSTTESRCYDFHTHYTSPLSNILSQVGRFLRDELVELLMFLKKLAKPIAEIFLFVVSELLTL -FTDLIPYTGDFYTGLFVFLVVYIASFNVGVSALVGLLVYLFRIYLDSVIF - ->YP_009256206.1 hypothetical protein 2 [Negev virus] -MRLPILFVCLFFTAECAVFSSDVVNQLSTRREKRAFYQKFHPVLKSLGRHRISPYVFDRSCLNVYRTNDY -LFGECTLPPNCSEPSVVKLDKTFYGQETVVCHSSSRQDMSSEFEFFNISFTPRPVALREPITLYGKVFTQ -LKNMKPVSFFEWYYIVKSDDNMFGIVPRICDELFHHSSRLPKTVRIEHRGDDVCFVELAHDSLQCDPILF -PSLPVLFTVHDFPIEFDGVPFSQGSFASTNNKVMGADAYSTNNLTRTPRVIDKVGGSYMVTYDALDYSGP -RFIVRSHGYLPTDKPYCFHLTAHYTSPLSNILSNVSKFFRNELGYLLEFLKEIAADLAFILFKIMSEIFD -IIMSFVPYNSHFYSALFVCSFTYLMLRDLVISLAVLVAIYCVKIYSDSSI - diff --git a/seq/clusters_seq/cluster_783 b/seq/clusters_seq/cluster_783 deleted file mode 100644 index 6f6d001..0000000 --- a/seq/clusters_seq/cluster_783 +++ /dev/null @@ -1,26 +0,0 @@ ->YP_009362256.1 matrix [Oita virus] -MLSVFKKSKKDRVSSSAPDTSQLVKETFFSVPSAPAPSIKKDIFTHTLRVQAWIEIRSEESIQDFEDCTK -ILEPWIDEASCPIWQYIIDSWVFFCMGVHARKDPLCTTHCLYRARIDQVLEFKHNVEELDPALCKAVTVR -FVTAYNGKKCEVSFWSSMTPSRRRGAPFFIMYYAPLKDGNPPPAMMKWKINLPFKILNDTEEGPYLSK - ->YP_009177012.1 M [Kumasi rhabdovirus] -MIRLFHKKKGKEVATLPDSAPVASEDIFSAPPEPVYSNVQSVCTHVMRVQAKFEMRCWEEMESFTDCKRI -LEIWVDEAKCPIWQFPINSWLFYCLGVHARQDVTCTSHFVYKAQIDEVIMFHHDITAFDPDKAKAVTVAY -DTSYKGRPCEVKFWCAMTHSKRRGVPFNVLYQAPLRNGSPPPPLTTWGINLPFSIKVGDDDREYIST - ->YP_009094344.1 matrix protein [Scophthalmus maximus rhabdovirus] -MALAKYFKRSNKKKDSQMDLMAAPPPVNPFAPPLYEEAVKVVEAHVDRSTKVCAELELRTQTPLKSLREM -YEVMVHMLDNYEGTSEGEFLNMALYVALAPRVTYERESVNLHSYTVNYEGGIAYKTSPNVCCPCKDWGVN -LAYVTSFRGRPASVTFKVEYSKTRLPGLPLEDIYNSQVEIPDKPGFWQAMQVFKIKVKPDEHGILAFDGT -VEREQTQA - ->YP_009094025.1 M protein [Kolente virus] -MLRLFKNSQKDPSPAKGSSPPPSPNRFNYPSAPDVGLEIFQHTLRVQASIEIKTKTPVSSLRDCLKILEI -WVDEARCPIWQYPLDSWMFFCMGVHAQRDPRCTFSALYRAQIDEVLTFSHTIKEFDPNTLRPVNISFDTA -YKGHPCEVRFINNMTKSRRVGAPFDVLYYAPLKDGTIPPPMTEWNINLPFKLKKTEQDLVYLMPAEL - ->YP_009094020.1 matrix protein [Fikirini rhabdovirus] -MLRLLKRPSRVAREEEKKDPSAPPPSQFCYPSIDDIGPEIFSHTLRVQSSIEIRTKSPISSFDDCLKILE -VWIDEAQCPIWQHVIDSWMFYCMGVHAQKDPRCTFAFFYRAQIDQVLTFSHSVKEFDPLTVKAVRVSYET -AYKGQLCEVSFYNNMTKSKRSGAPFNVLYYAPLKDGNPPKPMSEWRINLPFELKETEQGLTYLSPKSG - diff --git a/seq/clusters_seq/cluster_784 b/seq/clusters_seq/cluster_784 deleted file mode 100644 index d4fc3d5..0000000 --- a/seq/clusters_seq/cluster_784 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009273019.1 capsid protein [Arabidopsis halleri partitivirus 1] -MSMKRKSRPSSSTKDLEVEDTYLKQSGLDSMNKLEPVEQSKDEETTKVSMLPTASTAIIAPRKLTAEDFS -SKRKPDQTSAVSPFFGFLRTHILHPTQGRLSHYYPSCHMMDYILHSINSTLCDNYYFKRETPNYHPYILR -LYFGVLFWVQCLRAGNDVQVINDLHYDFLQRFLDCNPLESLAIPGPLLGLFKTLCSSQPEFPHYGKVYPR -IPASPGPRRRDMFSKNVPSAQFLPNVPGIFALIHHLHGLSEGEHPIYPKRKRHIPVTEEASNFGFKAFAA -FPNRIQRDRWMVSSPGLQYPCEADMKMNEAFAERFYDFDFPAFNADDNLSTITNFLHMRKSMAWFIRAKE -VACSAARFFSDSGTLADCSPHGLVSNQIIVAITPPPEETFADPRFSADPRALYPFSFKLKSTAHNLPPLA -EAAAAFSQTHIRIFPEYPLAGNFGQKTDESGPFWDIRPIGSSPTDDTSYLTIPPMVKAALIEKGSSR - ->YP_009551596.1 coat protein [Medicago sativa alphapartitivirus 1] -MDKSKKYLSDAKEIIKANGLEEKFLAAAGLSSMDELDPKNFAEFEAPAPPQVMKPSIAAAPIIQKPLSKQ -VADASTGFNPESASDMLRPFIGLKINYKARKTVSTYAPSSLMMDYILHQVNNLLVDNFYFRRACPDYHPY -ILRLYYGVLFWIQCMRAMNHARLLHAEASQCLSTFLDAFPADSLPISSPLLDLFKTLCCSQPEIPTFGVV -SPTLPFHPGPEARSAFMLADATSHVLPNIPGIFALIENLRTCLNPGDGQQPNLPAKGKHIPVTSTAAAAT -VFGHHQFPILANRSNAEKWSLCSSGLQYPCEADRRLHENFSERLDNFEFPVTAEDDDLRFYSDFLHITNS -LAWFAQVRDVAANEAAFFNGSGTLADCPPFGIAANQITAGYLAPIIQVAAPTRSADPASLFPFAIKLETA -SRALPELAEAMAALAQTNVNIYPTHPYYNHIGAESRRGPFWNLAPVESSITDHSSYLSLREVVRGLMKPK -G - ->YP_009362099.1 putative coat protein [Bipolaris maydis partitivirus 1] -MAPPSSKVTDSTLEAQFLKDANLDSVDDLKPKNFPELSEPSSSKKVVVQTSSAAPVPAPELKKTAADAKP -GYIPEHASDVLEPFIGMRITYASRKKLSGYWPSALMMDHIVHLLNNQFVDHFYFKRYCPDYHPYILRLYF -AILFYIQCLRSGLEVKAIPDDQHQFLVNFLEAFPPAKLPISGPLIVLFKSICASQPEIPQYGKVYPKIPS -NPGPVKRSEFRKSDLISFVQPNVPGLFALLEDLDTQINGEPPHIPRKGKHTPVNGKARTFGHHSFAASET -RSEDDKWSLVSSGLQYPCEADSKLNESFAERFDNFDFPVTRSDDNLSSISAFLSMDKNRSRFSQVRDVAD -AVAAYTHGSGTLADCSPVGVVSNQFLVSYLAPSVLPTPPEYSADKRSLFPFAFKLSTTMRSPPSLAEAIA -AYSPTNVRMFPTHPYAGDFGSGDYLTGSFWKIRPIETSDSDLETYLSLNEIVKKMIKPRL - ->YP_007419078.1 capsid protein [Rosellinia necatrix partitivirus 2] -MSTPSVTLSAFKAAVAKSGLEDQFLKLTGLTSMDELSADNFNEKFGQADSLTKSILAPSAAPVPHQEQKK -EDADAKRVSAPVSASDFLYGFKHMTIQYASRKKNNTFLPSAFMMMYIIHEMNVLLCEHFYFKREAPNYHP -LLLRTYFGILFIIQTLRAQHAANNLFGDQFEFLEKFLDAYPVDTLPIPAPLIHIFKSLCSSRPEIPQYGY -VTPFVPEELWGTQLKDSPANALYARNFFPNIPYILSLYATLNSSTIKGSVKEMNSYLGNGTDDRTFAGHA -YDKDPAQWTEERAFFLRSPGMEHALEGTSSFNKDFFENRFDNLEVPIPSTNDKVKFVDQFCYLSKNLAWF -RDLVEIASAAAKFFEGSGTLADCSPVGPPVCQVQTTISNVDLHTAPTSISKGKSFYAEAHMKLTTTSRTM -DQLSMIMASASHLNLRYAHATHSIQSRTSGPFWSLNPIESSVTDESSLIQVRQTVKKMMKSKV - ->YP_003082249.1 putative coat protein [Sclerotinia sclerotiorum partitivirus S] -MSSRSARKVSTQEKTSSQSGKNRASKKSGKKSAPPEYSVDTPSEQSDVESDILSELEVSDADDASRPVPA -SKSKKGTTRNKKKEKSVASSAGSSIPPYMMMLTALNFFSLTEIEHVTISTYTPSCWSMYAVLDAMHDLVG -DNASLRRFCPYYHVALSNIYYGIIFIIQVLRANQVANNLSQADFQFLRFFESNFALEELPVAGPLVLFFQ -NLAAFKPDGNRFNWVVPHYNNYGPANGANSPRVNAQSTALPQLPQMISLLNLFGASNAALLTAMDTAGQW -EPFTFAAGGTIAGFAYGAGFATDAAASDQTLRAPGINVPWQHDTAIHRKLVPISRRMSVPTMNGAKDSPR -TYCGLDGQFHWFHKAIGAVSQEAKYFAGSTTLANINPSTGPSAVVETTVAVPAAAIPQATAWYEGYPFNL -NLTVNTRVRSISETDVKIGTFAATCNIITGGNFTRWNGSSVFAGDFFVAATNPINFENPTEVNAIAMAQP -TMTLSLYRPKGGEANSDD - diff --git a/seq/clusters_seq/cluster_785 b/seq/clusters_seq/cluster_785 deleted file mode 100644 index 04666bb..0000000 --- a/seq/clusters_seq/cluster_785 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009709878.1 nonstructural protein NS4B [Hepacivirus P] -SLDALAEAANQVSNWLATKAISIGVRMGGKFELQPILKPFIPHILASIQYLAGLTIMRDAPGLGSVLGFV -GGALSPLPVKVNLFLTCLGGAFATRLTGQKAAAAFAVAGGLGAMVGAYSLSSFFASLFTTYTSFTSTALV -VLKLLDGQMPDFQEWTTLLFNISNPGGCVAGAAFAATAAFLTKVENNVWMNRLLAMLARGTTCDEYFVSV -TTVRQKLISVLEKLNIWELFTQFANWLNNPDEDFC - ->YP_007905991.1 NS4B protein [Rodent hepacivirus] -YNWDGFAASAQQAVNWLGDRLVEWGVAAGGQHPTLRVLENYIPHILAAVQYFAGLACLQDAPGLGSVLGF -VGGVLSPLPLKASLFLAALGGAVAARLTTQRGAAVFAVAGALGAVAGASGVGAMVAQALTTYGGATATCL -VVLKLIDGQMPELSEIASLAFNIASPGACLVGAAAAVMVAYCTRSESQAWMNRLLAILNRGTSCEDYFVA -STTLRASVIKVLESANLWAVFKWLANWLNSQDEDLC - ->YP_009325409.1 nonstructural protein NS4B [Norway rat hepacivirus 2] -ANEPIIDAAHKIWERLQATWNTLLNGANPTANQMIPHLLAGMQWLAGLWALGDAPGVGVVLGCIGGYMSP -FPLASNLFITGIGAAFATKIAPPRAAAAFGVAGALGAAAAVAGWGSVLCSILSAYSASTSACLVVIKLLN -GQLPTVAEAASAFFALASPGGALMGAATAMLIIWLTRAEDNTWMNRLLAMLHKGTSCDNYFTQATTMRQT -VITFLENANIWAVFNRLATWFNTSEEEIC - ->YP_009679001.1 nonstructural protein NS4B [Hepacivirus F] -YQWDGFAEAVNRASSWLGDKIVELGIHAGGAHPWQRTAQAVLPHLLAGIQYFAGLCCLQDAPGLGSVLGF -VGGVLSPLPLKASLFLAALGGAFASRLTTQRGAAAFALAGALGAGAGALGIGSLLASTLTTYGGATATCL -VVLKLIDGQLPEVSELASLAFNLACPGAVIVGAASAVMIAYCTRTESQAWMNRLLAMLNKGTSCEDYFVA -ATTLRKSVIHLLEKANLWAVFTEIASWLNRTDEEDC - ->YP_009325399.1 nonstructural protein NS4B [Norway rat hepacivirus 1] -STQWGSEALAEGAQKAAQWLGDKMTELGGKLGGKTALERKITEFLPHILAGVQYFAGLTCLREAPGVGAV -LGFVGSSLSPLPLKVNLFLSALGGAFATKLTTQTGAAVFGAAGAIGALVGAANLGAMVGHAFLTYGSATS -ACLVVLKLIDGQWPDFSEWASLAMSVASPGSFIVGAGAAIMVAFCTRSESQVWMNRLLAMLHRGTSCDEY -FVQATTLRQTIIKWLETASIWSAFRQLADWIMRADEDVC - diff --git a/seq/clusters_seq/cluster_786 b/seq/clusters_seq/cluster_786 deleted file mode 100644 index 0cff740..0000000 --- a/seq/clusters_seq/cluster_786 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009709877.1 nonstructural protein NS4A [Hepacivirus P] -FASTTITLAGVGLAVAATYVAIDSFGNIVIKRCFEVTTDSTASLASQPIDDPLTSGLEEC - ->YP_007905990.1 NS4A protein [Rodent hepacivirus] -SGYVTLAGVGLSMAAVAVAIDLLGNCCLKRTWEFTTDTTAARVVQPPEDDITENLEEC - ->YP_009325408.1 nonstructural protein NS4A [Norway rat hepacivirus 2] -GLVATISGIGLSCAAIYLAIDMFGHITIKRGWQITDDTTAAKGAEPXPLEQEMDTAGMEEC - ->YP_009679000.1 nonstructural protein NS4A [Hepacivirus F] -STTLTLAGVGLASAAVFAAVDLLGNIIIKHVWEKTTDSTAARVVEFEPLDTEEVLEEC - ->YP_009325398.1 nonstructural protein NS4A [Norway rat hepacivirus 1] -ETVMTLAGVGLAVSCVFMAIDLFGNCAILRAWDLTGDSSAALNPSPEMSILEEVEEC - diff --git a/seq/clusters_seq/cluster_787 b/seq/clusters_seq/cluster_787 deleted file mode 100644 index 0b288b0..0000000 --- a/seq/clusters_seq/cluster_787 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009665194.1 unnamed protein product [Berne virus] -MFETNYWPFPDQAPNPFTAQIEQLTATENVYIFLTTLFGILQLVYVMFKLLCTMFPSLHFSPIWRGLENF -WLFLSLASLAIAYWWLPSMTFTGYWALTIIATILVFILLIMMFVKFVNFVKLFYRTGSFAIAIRGPIVLV -ALDVTIKLHCTPFAILVKEIGNIFYLSEYCNKPLTAAQIAALRICVNGQWFAYTRSSTTSAARVAAANST -AKYHLFVLQGVAEYTQLSSVKFE - ->YP_009380538.1 membrane glycoprotein [Goat torovirus] -MFDTNYWPFPDQAPNPFNAQVEQLSATENVYIFLTTLFGILQLVYVMFKLLCTMFPALHWSPIWRGLENF -WLFLSLASLAIAYWWLPSMTFTGYWALTIIATILVLIMLIMMFIKFVSFVSLFYRTGSFAIAIRGPIVLV -ALDVTIKLHCTPFAILVKEVGNIFYLSEYCNKPLTAAQVAALRICVGGQWFAYTRSTTTSAAKVAAANST -AKYHLFILQGVADYTQLSSVKFE - ->YP_008798233.1 membrane glycoprotein [Porcine torovirus] -MFDTNFWPFPDQAPDPFKAQVEQLSPTENVYIFLTTLFGILQLVYVIFKLLCTMFPTLHFSPIWRGLENF -WLFLSLTSLAIAYWWLPSMTFTGYWALTVIATILVLVMLIMMFVKFINFVKLFYRTGSFAIAIRGPIVLV -ALDVTIKLHCTPFAILVKEVGSIFYLSEYCNKPLNAAQIAALKICVNGQWFAYTRSSTTSAAKVAAANST -AKYHLFILQGVADYTQLSSVKFE - ->YP_337908.1 membrane glycoprotein [Breda virus] -MFETNYWPFPDQAPNPFNAQVEQLSATENVYIFLTTLFGILQLVYVIFKLLCTMFPALHWSPIWRGLENF -WLFLSLASLAIAYWWLPSMTFTGYWALTIIATILVLIMLIMMFVKFVSFVRLFYRTGSFAIAIRGPIVLV -ALDVTIKLHCTPFAILVKEVGNIFYLSEYCNKPLTAAQVAALRICVGGQWFAYTRSTTTSAAKVAAANST -AKYHLFVLQGVADYTQLSSVKFE - ->sp|O90305.1|VME1_BRV1 RecName: Full=Membrane protein; Short=M protein; AltName: Full=E1 glycoprotein; AltName: Full=Matrix glycoprotein; AltName: Full=Membrane glycoprotein -MFETNYWPFPDQAPNPFNAQVEQLSATENVYIFLTTLFGILQLVYVIFKLLCTMFPALHWSPIWRGLENF -WLFLSLASLAIAYWWLPSMTFTGYWALTIIATILGLIMLIMMSVKFVSFVKLFYRTGSFAIAIRGPIVLV -ALDVTIKLHCTPFAILVKEVGNIFYLSEYCNKPLTAAQVAALRICVGGQWFAYTRSTTTSAAKVAAANST -AKYHLFVLQGVAEYTQLSSVKFE - diff --git a/seq/clusters_seq/cluster_788 b/seq/clusters_seq/cluster_788 deleted file mode 100644 index b34654c..0000000 --- a/seq/clusters_seq/cluster_788 +++ /dev/null @@ -1,125 +0,0 @@ ->YP_009665193.1 precursor polypeptide (AA -19 to 1562) [Berne virus] -MFLCFCAATVLCFWINSGGADVVPNGTLIFSEPVPYPFSLDVLRSFSQHVVLRNKRAVTTISWSYSYQIT -TSSLSVNSWYVTFTAPLGWNYYTGQSFGTVLNQNAMMRASQSTFTYDVISYVGQRPNLDCQVNSLVNGGL -DGWYSTVRVDNCFNAPCHVGGRPGCSIGLPYMSNGVCTRVLSTTQSPGLQYEIYSGQQFAVYQITPYTQY -TITMPSGILGYCQQTPLYVDCGTWTPFRVHSYGCDKVTQNCKYTLTSNWVVAFQNKATAVILPSELIVPV -AQKVTRRLGVNTPDYFWLVKQAYHYLSQANLSPNYALFSALCNSLYQQSATLSTLCFGSPFFVAQECYNN -ALYLPDAVFTTLFSTLFSWDYQINYPLNQVLTQNETFLQLPATNYQGQTLSQGRMLNLFKDAIVFLDFFD -TKFYRTNDAPSSDIFVVVARQAQLIRYGNFRIEQINGYFQVKCSSNIISTLEPHPAGVIMIARHHSMWSV -AARNSTSFYCVTHSLTTFGKLDISTSWFFHTLALPSGPVSQVSMPLLSTAAVGVYMHPMIEHWIPLLTLA -QSQYQPSFFNIGINKTITLTTQLQAYAQVYTAWFLSVIYVRLPEARRLTLGVQLVPFIQALLSIKQADLD -ATDVDSVARYNVLSLMWGRKYAVVNYNQLPEWTYPLFKGEIGESMWFRKKIMPTTEGCQTSAHFSSITGY -LQFSDYVYIPKYNKVSCPISTLAPSVLQVYEVQSLFVILIQCVSGSYDWYPGLSGGTAFVYKSYKLGTVC -VLLPSDVLSTGPNIGFYSGTALSIVTVQTTNDVLPNCIGLVQDNIFTPCHPSGCPVRNSYDNYIVCFDSS -TYTFKNYHRTTPPVMNVPIQEVPLQMEIPTVILQSYELKHTESVLLQDIEGGIIVDHNTGSIWYPDGQAY -DVSFYVSVIIRYAPPKLELPSTLANFTSCLDYICFGNYQCRTEAQTFCTSMDYFEQVFNKSLISLKTALQ -DLHYVLKLVLPETTLELTELTRRRRRAVYEFDDTISLLSESFERFMSPASQAYMANMLWWDDAFDGFSLP -QRTGSILSRSPSLSSVSSWNSYTSRTPLISNVKTPKTTFNVKLSMPKLPKASTLSKIGSVLSSGLSIASL -GLSIFSIVEDRRVTELTQQQIMALEDQITILTDYTEKNFKEIQSSLNTLGQQVQDFSQQVTMSLQQLSNG -LEQITQQLDKSIYYVTATQQYATYMSSLINHLTELAAAVYKTQDMYVTCIHSLQSGVLSPNCITPSQIFQ -LYQVARNLSGQCQPIFSEREVSRFYSLPLVTDAMVHNDTYWFSWSIPITCSNIQGSVYKVQPGYIVNPTH -PTSLQYDLPSHVVTSNAGALRFDDHYCDRYNQVYLCTKSAFDLQPSNYLTMLYSNISENVSLTFHPEPRP -DPCVYLSSSALYCYYSDQCNQCVVAVGNCSNQTVTYRNYTYPIMDPQCRGFDQITISSPIDIGVDFTALP -SRPPLPLHLSYVNVTFNVTIPHGLNWTDLVLDYSFKDKIYEISKNITDLHQQILQVSSWASGWFQRIRDF -LYNLLPTWITWLTLGFSLFSIVISGINIILFFEMNGKVKKS - ->YP_009380537.1 spike glycoprotein [Goat torovirus] -MFLCFCAAAILCLWINSGGAVVVSNETLVVCEPVSYPYSLQVLRSFSQHVNLRIKRAVTTDAWSFAYQIS -TNSLNVNGWYVNFTSPLGWNYPNGKLFGIVLGFDAMMRASQSSFTYDVISYVGQRPNLDCQINDVVNGGL -KNWYSTVRVDNCGNYPCHGGGKPGCSIGQPYMASGVCTRVLSTTQSPGTQYEIYSGQDYAVYQITPYTQY -IVTMPSGTSGYCQQTPLYVECGSWTPYRVHAYGCDKATQNCKYTISADWVVAFKGKTTAITLPSDLKVPV -VQKVTKRLGVTSPDYFWLIKQAYQYLSQAQISPNYALFSALSNSLYQQSLVLTDLCYGSPFFVVQECYNN -ALYLPDAVFTTLFSTLFNWDYQVNYPVNNVLQANETFLQLPTTGYLGQTISQGRMLNLFKDAIVFLDFYD -TKFYRTNEGPGGDIFVVVVKQVPVIAYSAFRIEQQAGDYLAIKCNGVTQATLSPHPSRVVLLARHMSMWS -IAAANSTTIYCPIYTLTQFGALDISTSWYFHTLAQPSGPIQQVSMPLLSTAAAGVYMHPMAEHWVTLLTQ -TQDIYQPSMFNMGVNRSVTLTIQLQAYAQVYTAWFLSILYTRLPESRRLTLGAQLTPFIQALLSFKQADI -DATDVDTVARYNVLSLMWGRKYAAVSYNQLPEWSYPLFKGGVGDSMWFRKEISCTTQNPSTPSHFPFIAG -YLDFLDYKYIPKYKDVACPTTMVAPTLLQVYETPQLFVIIVQCVSTTYSWYPGLRNPHTIYRSYKLGTIC -ILLPYSSPTSVYSSFGFFSQSALTIPTVQTTDDILPGCVGFVQDSVFIPCHPSGCPVRNSYDNYIICPGS -SASNYTLRNYYRTTTPVTNVPIEEVPLHLEIPTVSLTSYELKQSESVLLQDIEGGIVVDHNTGSIWYPGG -QAYDVSFYVSVIIRYAPPKLELPSTLANFTSCLDYICFGNQQCRGEAQTFCTSMDYFEQVFNKSLTSLII -ALQDLHYVLKLVLPETTLELTEDIRRRRRAVDEFSDTISLLSESFERFMSPASQAYMANMMWWDEAFDGI -SLPQRTGSILSRTPSLSSISSWHSYSSRTPLISNVKTPKTTFNVKLSMPKLPKASTLSTIGSVLSSGLSI -ASLGLSIFSIIEDRRVTELTQQQIMALENQITILTDYTEKNFKEIQSSLNTLGQQVQDFSQQVTLSLQQL -SNGLEQITQQLDKSIYYVMAVQQYATYMSSLINQLNELSQAVYKTQDMYITCIHSLQSGVLSPNCITPSQ -MFQLYQVAKNLSGECQPIFSEREISRFYSLPLVTDAMVHNDTYWFSWSIPITCSNISGSVYKVQPGYIVN -PYHPTSLQYDVPTHVVTSNAGALIFDEHYCDRYNQVYLCTKSAFDLAESSYLIMLYSNQTNNSSLTFHPE -PRPDPCVYLSASALYCYYSDECHQCVIAVGNCTNRTVTYENYTYPIMDPQCRGFDQITISSPIAIGADFT -ALPSRPPLPLHLSYVNVTFNVTLPNGFNWTDLVLDYSFKDKIYEISKNITQLHDQILQVSNWASGWFQRL -RDFLYGLIPAWITWLTLGFSLFSILISGVNIILFFEVNGKVKRS - ->YP_008798232.1 spike glycoprotein [Porcine torovirus] -MFLLFCATSILCFWISSGGTYVVSNESLIVSEPVSYPFSLAVLRSFTQHVTGRFRRDVVEQVWDFAYQIS -TNRISVNGWDVVFKSPFTLSYSNGMLNTSVLLYDAYMKSSISKFSYTVTAYTGQRPYLNTQVNDLANGGY -TGWSDSVRVDNCGNYACHPGGKPGCSIGQPRMLQGVCTRILPTTQSPGVQFLGINYQLTPYTDYVLSMPL -TQSGYCHNSPVYVQCGAWTPYRLHSFGCDRVGCNYNLTTDWVVGFKSKISPVTLPQELRLPIAQKVSRRL -GVNVPDYFWLVKQSYYYLAHSIKSPNYSLFAALLNSIFQQSRFLTDLCYSQPFFQVQQCYDNALYLPDAV -FTTLFSTLFQWDYQVKFPDSSGLQVNETFLQVPSYEYVGQTVSQGQILNLFKDAMVFLDFFDTKFYRVND -GPGGDVFVVVVKQMEEIKYSDFKVKLVNGFLQVSCKDVVNATLKPHDSQVILLARHMSMWSVAAANSTTI -YCPIYTLTRFGKLDISSSWFFHTLARPSGPLKEISMPILSTAAAGVYMYAMPEHWLPFLQQLQQVYQPSL -FSLGINMTPANSTQLQAYVQTYTAWYLSIIYTKLPEVRRLSFGAQLVPYIQALLSFRQSDIDATDVDTVA -RYNTLCLMWGRKYAVVSYSQLPEWSLPLFRGEVGSSMWFRKSITCENTPAHFYPIVGYLDFLDYKYIPKY -NSVRCPNTNVQPTLLQVYEVPNLFVIIVQCVFGSYSWFSGLTDSGAYTFYRSYKIGTICILLPYTTPSSS -MTTIGFYAQESLPIPVITTTEDLLSNCTGIFQDGVFTPCHPSGCPVRSSYDNYIVCKGDNESNYTLRNYH -RVSPPVYNVPLPEVSLNLTVPTVNLTSYNLSPSNSVLLQDIDGGIVVDHNTGSIWYPDGQAYDVSFYISV -IIRYAPPKLELPSSLANFTSCLDYVCFGNQQCRGEAQTFCASMDYFEQVFNKSLTSLVTALTDLHHVLKL -ILPETTLELTEPARRQRRAVDEFADSISLLSESFERYMSPASQAYMANMMWWDEAFDGVSLPQRSGSILS -RSPSISSTSSWRSYSSRTPLISNVRTPKTTFNVKLSMPKLPKASTLSTIGSVLSSGLSIASLGLSIFSII -QDRQVTELTQQQIMALENQVTILTDYTEKNFKEIQSSLNTLGQQVQDFSQQVTLSLQQLSNGLEQITQQL -DKSLYYVTATQQYSIYMSSLINQLNELSQAVYKTQDMYITCIHSLQSGVLSPTCITPSQILQLYQVAKNL -SGNCQPIFSEREISRFYSLPLVTDAMVHNDTYWFSWSIPITCSNILGSVFKVQPGYIVNPFHQTSLQYDL -PTHVVTSNAGALVFNNHYCDRYNQVYLCTKSAFDLAESNYLTMIYSNVTGNGSLTFHPEPKPDPCVYLSA -STLYCFYSDVCHQCVVTVGNCTNRTVTYENYTYFIMDQQCRGFDQITISSPVDIRYDFTAIPSKPPLPLH -LSYINVTFNVTLPNGVNWTDLVLDYSFKDKVYEISKNITQLHEQILQVSSWASGWFQRLRDFLYGLLPAW -ITWLTLGFSLFSIVISGVNIILFFEINGKAKRS - ->YP_337907.1 spike glycoprotein [Breda virus] -MFLCFCTAAILCLWINSGGAVVVSNETLVVCEPVSYPYSLQVLRSFSQRVNLRTKRAVIDDAWSFAYQIS -TNSLNVNGWYVNFTSPLGWSYPNGKLFGIVLGSDAMMRASQSFFTYDVISYVGQRPNLDCQINDLVNGGL -DSWYSTVRVDNCGNYPCYGGGKPGCSIGRPYMANGVCTRVLSTTQSPGIQYEIYSGQDYAVYQITPYTQY -TLTMPSGTPGYCQQTPLYVECGSWTPYRVHAYGCDKATQGCKYTISSDWVVAFKSKITAVTLPPDLKVPV -VQKVTKRLGVTSPDYFWLIKQAYQYLSQAAISPNYALFSALSNSLYQQSLVLTDLCYGSPFFVAQECYNN -ALYLPDAVFTTLFSILFNWDYQVNYPVKNVLQTNETFLQLPTTGYLGQTVSQGRMLNLFKDAIVFLDFYD -TKFYRTNDGPGGDIFAVVVKQVPVIAYSAFRIEQQTGDYLAVKCKDVTQATLAPHPSRVVLLARHMSMWS -IAAANSTTIYCPIYTLTQFGSLDISTSWYFHTLAQPSGPIHQVSMPLLSTAAAGVYMHPMVEHWVTLLTQ -TQDVYQPSMFNMGVNKSVTLTTQLQAYAQVYTAWFLSILYTRLPESRRLTLGAQLTPFVQALLSFKQADI -DATDVDTVARYNVLSLMWGRKYAAVSYNQLPEWSYPLFKGGVGDSMWFRKEISCSSQNPSTPSHFPFIAG -YLDFLDYKYIPKYKGVACPTTMVAPTLLQVYETPQLFVIIVQCVSTTYSWYPGLQTPHTIYRSYKLGTIC -VLVPYSSPTSVYSSFGFFSQSALTIPTVQTTDDILPGCVGFVQDGVFTPCHPSGCPVRNSYDNYIICPGS -SASNYTLRNYHRTTIPVMNVPIDEVPLQLEIPTVSLTSYELKQSESVLLQDIEGGIVVDHNTGSIWYPGG -QAYDVSFYVSVIIRYAPPKLELPSTLANFTSCLDYICFGNQQCRGEAQTFCTSMDYFEQVFNKSLTSLII -ALQDLHYVLKLVLPETTLELTEDTRRRRRAVDEFSDTISLLSESFERFMSPASQAYMANMMWWDEAFDGI -SLPQRTGSILSRTPSLSSTSSWRSYSSRTPLISNVKTPKTTFNVKLSMPKLPKASTLSTIGSVLSSGLSI -ASLGLSIFSIIEDRRVTELTQQQIMALENQITILTDYTEKNFKEIQSSLNTLGQQVQDFSQQVTLSLQQL -SNGLEQITQQLDKSIYYVMAVQQYATYMSSLVNQLNELSQAVYKTQDMYITCIHSLQSGVLSPNCITPSQ -MFHLYQVAKNLSGECQPIFSEREISRFYSLPLVTDAMVHNDTYWFSWSIPITCSNILGSVYKVQPGYIVN -PHHPTSLQYDVPTHVVTSNAGALIFDEHYCDRYNQVYLCTKSAFDLAESSYLTMLYSNQTDNSSLTFHPA -PRPDPCVYLSASALYCYYSDECHQCVIAVGNCTNRTVTYENYTYPIMDPQCRGFDQITISSPIAIGADFT -ALPSRPPLPLHLSYVNVTFNVTLPNGVNWTDLVLDYSFKDKVYEISKNITQLHEQILQVSNWASGWFQRL -RDFLYGLIPAWITWLTLGFSLFSILISGVNIILFFEMNGKVKKS - ->sp|O90304.1|SPIKE_BRV1 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MFLCFCTAPILCLWINSGGAVVVSNESLVVCEPVSYPYSLQVLRSFSQRVNLRTKRAVTTDAWSFAYQIS -TSSLNVNGWYVNFTSPLGWSYPNGKLFGIVLGSDAMMRASVSTFTYDVISYVGQRPNLDCQINDLANGGL -ESRYSTVRVDNCGNYPCHGGGKPGCSIGHPYMANGVRTRVLLTTQSPGIQYEIYSGQDYAVYQITPYTQY -TVTMPSGTSGYCQQTPLYVECGSWTPYRVHAYGCDKATQSCNYTISSDWVVAFKSKASAIILRSQLIVAL -AQKLSRTVGVNKAVYFWFLKQPYHYLSLVNFSPNYALFSPLCKSLRQQSATYSALSYGSPFFVAQECYNN -ALYLPDCCLYTLFSILFSWDYQVNYPVNNVLQANETFLQLPTTGYLGQTVSQGRMLNLFKDAIVFLDFYD -TKFYRTNDGPGGDIFAVVVKQVPVIAYSAFRIEQQTGYLAVKCNGVIQATLAPHSSRVVLLARHMSMWSI -AAANSTTIYCPIYTLTSFVRLDISTSWYFHTLAQPSGPIQQVSMPVLSTGAAGVYMHPMIEHWVTLLAQS -SVYQPSMFNMGVNKSVTLTTQLQAYAQVYTAWFLSILYTRLPESRRLTLGAQLTPFIQALLSFKQADIDA -TDVDTVARYNVLILMWGRKYAAVIYNQLPEWSYPLFKGGVGDSMWFRKKFLVTTKIHQTASHFPFIAGYL -DFLDYKYIPKYKDVACPLSTMVPSILQVYETPQLFVIIVQCVSTTYSWYPGLRNPHTIYRSYKLGTICVL -VPYSSPTDVYSSFGFFFQSALTIPTVQTTDDILPGCVGFVQDSVFTPCHPSGCPVRNSYDNYIICPGSSA -SNYTLRNYYRTTTPVTNVPIEEVPLQLEIPTVSLTSYELKQSESVLLQDIEGGIVVDHNTGSIWYPGGQA -YDVSFYVSVIIRYAPPKLELPSTLANFTSCLDYICFGNQQCRGEAQTFCTSMDYFEQVFNKSLTSLITAL -QDLHYVLKLVLPETTLELTEDTRRRRRAVDEFSDTISLLSESFERFMSPASQAYMANMMWWDEAFDGISL -PQRTGSILSSAPSLSSISSWHSYSSRTPLISNVKTPKTTFNVKLSMPKLPKASTLSTIGSVLSSGLSIAS -LGLSIFSIIEDRRVIELTQQQIMALEDQITILAGYTTKNFEEIQSSLNTLGQQVQDFSQTSALSLQQLSN -GFEQITQQLDKSIYYVMAVQQYATYMSSLVNQLNELSQAVYKTQDMYITCIHSLQSGVLSPNCITPFQIC -HLYQVAKNLSSGECQPILSEREISRFYSLPLVTDAMVHNDTYWFSWSIPITCSNILGSVYKVQPGYIVNP -HHPTSLQYDVPTHVVTSNAGALIFDEHYCDRYNQVYLCTKSAFDLAEASYLTMLYSNQTDNSSLTFHPAP -RPDPCVYLSASALYCYYSDECHQCVIAVGNCTNRTVTYENYTYPIMDPQCRGFDQITISSPIAIGADFTA -LPSRPPLPLHLSYVNVTFNVTLPNELNWTDLVLDYSFKDKVYEISKNITQLHEQILQVSNWASGWFQRLR -DFLYGLIPAWITWLTLGFSLFSILISGVNIILFFEMNGKVKKS - diff --git a/seq/clusters_seq/cluster_789 b/seq/clusters_seq/cluster_789 deleted file mode 100644 index a113f15..0000000 --- a/seq/clusters_seq/cluster_789 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009553318.1 TGB2 [Wheat stripe mosaic virus] -MSDKDYRFNGGGGGRGLAQKGDQTLWICVVAAVVIMCGMFVLGPNKHRTHSAGDYGVPTFANGGSYKDGT -RQATFNKASNMAYGGHGSKGLTNGFILAIVVCICWLAACWFLPKPEDECDGACCPVRGGGGC - ->YP_009513204.1 13 kDa protein [Beet soil-borne mosaic virus] -MSREITARANKNVPIVVGVCVVAFFVLLAFMQQKHKTHSGGDYGVPTFSNGGKYRDGTRSADFNSNNHRA -YGCGGSKSSVTGKVGQQLLVLALVVAVFVLFMRGCWSSPEHICNGSCG - ->YP_009507934.1 12K protein [Rice stripe necrosis virus] -MSGQYVSARPNKFIPICICIGVVCVAVCLVLATPRHKTHSAGDYGVPTFANGGSYADGTRRAKFNCNNDR -AYGSSQPQMSSNFVAFIAVILLVAFALRSCNSVGNCGERCNGGCCKN - ->YP_008219067.1 second triple-gene-block protein [Burdock mottle virus] -MTREIRARESNTKYIVLGVCVVAFICFLGFSQQKHATHSGDGVGVPRFANGGSYRDGTRSMNFNSNNPNA -YGCKSEGFFGGFEKLALLFLVLGIILYVAGGCAGGDHVCNGGCCKV - ->NP_612618.1 13k transport protein [Beet necrotic yellow vein virus] -MSREITARPNKNVPIVVGVCVVAFFVLLAFMQQKHKTHSGGDYGVPTFSNGGKYRDGTRSADFNSNNHRA -YGCGGSGGSVSSRVGQQLVVLAIVSVLIVSLLQRLRSPPEHICNGACG - diff --git a/seq/clusters_seq/cluster_79 b/seq/clusters_seq/cluster_79 deleted file mode 100644 index f0a31a4..0000000 --- a/seq/clusters_seq/cluster_79 +++ /dev/null @@ -1,1166 +0,0 @@ ->YP_009553013.2 187 kDa hypothetical polymerase [Opuntia virus 2] -MALLQAQVSSIYDGSATHGQSLIKQFAERRVHDEVVESAKFQDRRPKYVFSRVISQDATRVVTDSYPEFR -VSFSNTVNSVHPLAGGLRGLELEWMMMQVPFGHLTYDIGGTFSAHLLKGRDYVHCCSPALSMRDLMRYQS -QAQSLECYMAKRHTLPEFQRPAFELYSNSPRHIHCFDRFQECTLQAPDGVKPFAVALHSLYDIPVEEFAP -ALLRKNVECCYAAFHFSEDLLLDEEFCSGELDPIGGRFERDGDRLSFYFKDESTLVYEHSFKNVIGYVLR -TFFVASSRYVYMKEAMCTRVNTMFMKFVKVDTFQLHRSCFSHQIDTDALISGMNDSWELKRSLMLQSASR -NIFKDKAKVHVWFPGLRNKLLVPIFRGIVSRPGKVKKGNLLIDAEFFHTVSNHVRTYQGKQLTYESVLSL -IESLRSRIVINGTTVRSEWQLDKSVLQDFAMSIFLITQLKRLQDEQVLASFKNVNHDMMGNLKATISEWF -KNSVPNLFGFLLDNKLIVNNEKKLEVRVPDEYRSFEDYCTVHYGNSCDRPDVSVDDVVRNSDTALAAASV -ISEISSKPAVDLQQFMDFCNEHQIDAMHVATILKGLEDGVLGISMKSSVVPDVDPVKTTVSVNSPSASTP -TVTSELVPLHNEMIGEYNFWFPDEDGEPVDLNHFHGMSATPLLKVQKAAVIYRGSVRQRQMLNFLDYLSA -TLCATTNNLKLMLQGNWKKSDASPDSRGLYDCINCKWILPPPEKGHCWGVVVTTSGEFKIVILHYENNEP -IVELGWKTLAVSSDTKLFTAMKQLSVLRACLHDNVPPEPSATVTLIDGVPGCGKSKEIIESADLVNDLVL -TPGREAVSMLRRRFNSHYGRPVATKENVRTIDSFTMNPKPRTFKTLWIDEGLMVHPGLINFCIVLSQASS -VKVFGDRKQIPFISRVFEFDYPLELRNIVCDSVENRDVTRRCPLDITSFLAKQYDRGVLSTSSVSHSVSV -DSVKGAAVFDPVSKPLEGKIVTFTQSDKLLLQRKGYKDVNTVHEIQGETFPKVHLVRATSTPISIISQRD -KPHIIVALSRHTQCLVYHTVVQDVLCSVIKELSGIGDFLLELYSVSSGSIXQLQFGRLDKNLPLYCPTPK -TGSVSDLQFFYDSCLPGNSFVLNDFDQWQVQVGDVALNVADCKLTLSKDDPVRNALKEPTFLRPVLRTAH -ERPRRANLKENLLALIKRNFNAPELTGIVDIEASASFAVDRFFECFIDKSDNSLGSLRLRCRETLQRWLD -NQEPATLKRLANFDTSALPAIDQYSHMIKSQPKCRLDTSVQSEYGALQTIVFHSKEVNSIFGPIFQELTR -VLLSSIDQNRFLFYTRKSASDVEGFFSGISTNSNYEVLELDISKYDKSQNDFHCAVEYEIWKRLGFDDFL -LSVWEMGHRKTFLTDFKAGIKACIWYQRKSGDVTTFIGNTVLVATCLATLIPLENCLKAAFCGDDSLIFM -PKGFNSDDVQVRANLAWNFEAKLFKKRIGYFCGKYVIIHDSGCTIYPDPLKLISKLGAKSIKDWSHLEET -RVSLMDNAGNYGNCAYFPHLDEAIAEVFPAAVGGRFAFCALYKFLSDKVLFRSLFDGN - ->YP_009362087.1 protein 183 [Hoya chlorotic spot virus] -MENNNNTYTTKVIEANSGKNQLVNDLYQRRIYDSAVDELNAKARRPKVHFPKCISQEQVLLATNSYPEFE -IMFSATQNAVHSFAGGLRALELEYLMTLVPYGFPTYDIGGNFASHLFKGRSYVHCCMPNLDIRDVARHES -QKESVNSYLAKLGRTNRTLPRFQVDAFSKYHEAPDDICCFNKFEECSTSMDGDTYAVALHSIYDIPADGF -GAALLRKNVKFCFAAFHFSENLLLEVDSANMSEIGASFTRSEDKVSFFFHDESTLNYEHSYKNILHYVVR -TFFPASNRFVYHKEFLCTRVNTWFCKFTRVDTYSLFRSMYSKQPNTDAFYEAMEDSWHYKKTLAMLNVER -TLFKDHAAVNFWFPKMKNMVIMPLFQSSIVSRKTRRREILVNKDFVYTVLNHIKTYQAKALTYQNVLSFV -ESIRSRVIINGVTARSEWDVDKSVLQPLAMTFFLQTKLSAVQDEITVQYLKKGEASLSSLLWQKVEGFFG -DMFPSLKEQLVSSGFIKTTENKLEIKLPDLYVTFPERFVTEYKKTVDMEHLDISKPLEKYEQLWKAVSEL -STLSGDNFDFPLFEDLCAKANVDIDIAAKVVNALKTSGLTAAYTTATEKSVSEILSLPKRDDDIERRYNA -LRCVYQCPPPDISMKKVAENDLPLQAITNEARVFESVPEDELYNFQGKCVKEIQSMITSKMIYTGSLRVQ -QMKNYMDYLVASISAASSNLSKLIKDTYGSNYDTETKAGVYDVRANKWIIKPQDKAHAWGVVEDESRRIF -VSSLKWGEEFPICEPTWIKVAVSTDTLLYSDVGKYNACVSTVRTVDFQEPKTKIILVDGVPGCGKTKEIL -EKCDFETDLVLVPGKEASKMIIKRANGKGNLLATKDNVRTVDSFLMHPKPRTYKRLFIDEGLMLHPGCVY -FLVQISCCEVAYVYGDTQKIPFINRIANFPFPAHFAKLQYNEVETRRTTLRCPADVTHYLNSKYDGHVVC -TSDVQSSVTAEVVPGRGTLNPVSKPLRGKIVTFTQSDKAEMLHRGYKDVHTVHEIQGETYEDVSIVRLTS -TPLSIISAASPHVLVSLTRHTRSVCYYTVVFDPLVKIITDLSKVSSFVLDMYKVESGPRXQLQVDCVFKG -HNLFVPTPKTGDAQDLQWYYDFFLPGNSTVLNSFDAVTMNIRDIALNVKDCTIDFSKSVPMPKEEQGYLT -PVIRTAAEKPRKPGLLENLVAMIKRNMNAPELFGTIDIESTADDVVDSFFSAYTLPEFSGIEGELMTQDS -LTRWYEKQDSSTIGQLTKWDFIDLPPIDQFKHMIKTSPKQKLDDSILSEYPALQTIVYHSKQINSVFGPV -FSELTRILLERIDSSKYLFYTRKTPGQIEEFFSDLDTNNLMDVLELDISKYDKSQNEFHCAVEYKIWERL -GLNRFLGEVWKRGHMKTTLKDYVAGIKACIWYQRKSGDVSTFIGNTIIIAACISSIVSTEKVIKGAFCGD -DSVLYVPKSFDSSMIQSQANLMWNFEAKLYRKQYGYFCGRYIIHHDRGAIVYYDPLKLISKLGNKAIKDF -DHLEEFRISLFDVCSTLCNCAYYTQLSKAISEVYKTAVDGSFAFNCIVKYLSDKVLFRSLFTSQKPCLFK -RSNQR - ->YP_009333242.1 RdRp [Beihai charybdis crab virus 1] -MSSVASSTSLANSEEIVDVTRLSTENAEALITSVLRDPGGALYRKVEDIVVQRINRRETYHASRSPVTIY -QCLTACEQDRLQALFPEYNITFKSSCYASHSFSGACRKLETKLLYDNVGGTLGPIVDVGGNWATHLLSGR -PNVHSCCPHLDVRDAARHVERVWRIEMQRNTCEALTPLLPAYDANPDRFYCSNKVEECDVLGTSMISVHS -IYDMSPEDFGRAMIKHQTIVARGTFLFSPEVLVIDEGYVADQGFCFKKEGQKVKGPGGTNVFSCIKFWFK -GDASNGYVHHFPTYLRWVVNTSFTVDGYQFYYELLENRGGVQFFKIVRVQNLKGRQSRLFKRIEFNHLST -KVAVHLFDLDDRNSRVGYTDLVTRVAFIDRAFIDRVMSYILSVDDKDFSRASLFSYAKSANSRITINGVS -VATGETLGWKDLNDLVTTLYIRAVFLKADNSTINKQLCAANNTYRDIRKDRVLTLSLRHVWKKLFSGHHN -FMFEDFRQELLKQEKCAELASLIDVLPLYYETDDLVREEMDTYGEIVRFTEPLTTTREIAVNTCITITPD -GDVEELPRAAVAVFDEGKPREEKSTHVALTQQEALTRMVRPRPSDKRRLMKGLRRMFRKKSSYPDVPEGD -EDDIESSEEYDDNDGVSNFGSSTTIDKLGLKRSNSLDKLPPKLDKKCEDHETFPETVSLPPSYSSLTLSS -GEPSHHSVGMFRDNDRQALLEESEVGEINEGFPSPFDPIACMRHGIASLSMSDIVGPGDSISQVGGRDDE -IDLIMLSQIPPPPERLDSLELQDYITRKVNQKGEPRRSYSPITPTDTPPALDDPRSRSPEMYYEENLTCK -QRESPVPKARSISLSLGLKSNSTCSSGEETCSTIVGEEDSGVSSPVTLTPTGSVASEDTSSPYPIRPSRL -RDFMYGAIPKDEGAESKITTQLRNLIEKRTGIACITGEDTVQELSDAIARYDASSDVTTTSSKGARQRRR -RERARARQAIKASVGRPAYTTNAADLVNARVENYFDYLLAEKAHTTGHYQIMYRTVVKGERFDIIDKAAL -KAYARDQVIVLKKQTRGYVPQGETACTYTKAFDGERFVPLTYDGEKAAYSADSSRLFLLAGKQTVLMQAE -NLLNSFEENKPTLINTKVSLIEGVPGCGKTYEIIHTAEPGDLVLTVSKEANVDTAKRIQEMHGATVECRT -VDSYLLNSRKEYHRVFLDEGLMCHPGVIDLVAAYSRCAELLVYGDRSQIPFIVRVPGFRCTENVYSTFTS -IEQRNTSRRCPQDVARLFTDQYKDGFRTTKECKRSLDVKFIGSISDIPNREGTAYLTFTQDDKATLLKSK -FRNVHTIHEAQGKTYKSVVCVRLNTNNVQIFSSEPHILVALTRHTDNFLYLTKRDNDPMSSAIKKSQMFA -DLSKVFFAERASKVIDHVPIVTTEPHILPETVVPAPKNHRSRSSFAGFYDEVLPGSSLNSQEFDAYHVEH -EDLRLQVNDLEILLDKDAKSVTKLFKVPLFFPKLRTAQPPTRPRTQRQGLLCLQKRNCDVPQNNVAMHPS -TVIGNFIKFKEAYFHSEVDLNYFQAHPIKIDEKNILEWMTGAKPQKLARLGKITPVEDREWIKYQMMIKA -EPKNKLELGATQEYFSLQNIVHNYPKITAFWSSIFKELFRRFNSCLKPQICVLIRKNADEISQFFSTWLE -PNSPYKKLEVDFSKYDKSQQDFCLATELYVMRKLGLSDNLIEAWKFFHTLSTGTDFKAGIKAKFLYQRKT -GDSFTCLGNTIISMSALAACFDLSKLECGAFVGDDSLLMFKELPYVADGERDLRLMFNLESKVITEGAPY -FCSSFLVSNGTRFALVPDPLKRCERLGKTIYPSDEFTDLKDRWISFADQMHILKDYAFYESLKIAIASKY -CVDVNVECLLISLYKLVSNEKAYKALFRAP - ->YP_009254747.1 183 kDa replicase [Tropical soda apple mosaic virus] -MAYTQQATTAALASTLRGNNPLVNDLANRRLYDSAVEQCNAHDRRPKVNFPKTISEEQTLIATKAYPEFQ -ITFFNTQNAVHSLAGGLRTLELEYLMMQIPYGSTTYDIGGNFAAHMFKGRDYVHCCMPNMDLRDVMRHNS -QKESIELYLSRLAQRNKVIPSFQKPCFDKYTDDPQSVVCSKPFQHCDGVSNCTDKVYAIALHSLYDIPAD -EFGAALLRRGVNVCYAAFHFSENLLLEDSYVSLDDIGATFSREGDVLNFSFVAESTLNYSHSYSNVLKYV -CKTYFPASTREVYMKEFLVTRVNTWFCKFSRIDTFVLYRGVYHRGVDKEQFYTAMEDAWHYKKTLAMMNS -ERILLEDSSSVNYWFPKMKDMVIIPLFDISLQNENKRMSRREVMVSKDFVYTVLNHIRTYQSKALTYANV -LSFVESIRSRVIINGVTARSEWDVDKALLQSLSMTFFLQTKLAVLKDELLINKFQVHSKSLTEYVWDEVT -SAFHGCFPSIKERLIKQNLISVSEKALEIKVPDLYVTFHDRLVREYRCSVDMPTVDVRKSLEKAELMYNA -LSEISVLKDSDKFDVNVFSQMCDNLGVDPLVAAKVMVAVVSNESGLTLTFERPTEANVALALQPTIASKE -SGVLKIVSSDVGESSIKEVVQKSEISMLGLTGDTISDEFQRSTEIESLQQFHMASTDAIIRKQMHAMVYT -GPLKVQQCKNYLDNLVASLSAAVSNLKKIIRDTAAIDLETREKFGVFDVCSGKWLVKPSTKGHAWGVVMD -SNYKCFVALLTYDGDNIVCGETWRRVAVSSESMVYSDMGKIRAIRSVLRDGEPLISSARVTLVDGVPGCG -KTKEILARVNLDEDLILVPGKQAAEMIRRRANSSGLIVATKDNVKTVDSFLMNYGRGRCQYKRLFVDEGL -MLHPGCVNFLVAMSLCDEAFIYGDTQQIPYINRVANFPYPKHLSQLEVDDVETRRTTLRCPADITHFLNQ -RYEGQVMCTSTVVRSVAQEVVQGAAVMNPVSKPLKGKVITFTQADKSMLLSRGYTDVNTVHEVQGETYED -VSLVRLTPTPVGIISKESPHLLVALSRHTRSVKYYTVVVDAVVSVLRDLECVSSYLLDMYKVDVSVQXQL -QVEAVYKGENLFVAAPKTGDISDMQFYYDKCLPGNSTVLNEYDAVTMQIRDNKLNVKDCVLDMSKSVPLP -RETGKSLKPVIRTAAEMPRKPGLLENLVAMVKRNFNSPELSGIVDIEDTASYVVDKFFDAYLLKEKKKPK -DLPLLSRASLERWIGKQEKSTIGQLADFDFIDLPAVDQYRHMIKQQPKQRLDLTIQTEYPALQTIVYHSK -KINALFGPVFSELTRQLLDMIDSSRFMFYTRKTPSQIEEFFSDLDSEVPMDILELDISKYDKSQGEFHCA -VEYEIWRRLGLDDFLAEVWKHGHRKTTLKDYTAGIKACLWYQRKSGDVTTFIGNTVIIAACLSSMLPMDS -LIKGAFCGDDSILYFPKGSQFPDVQQCANLMWNFEAKLFRKKYGYFCGRYIIHHDRGCIVYYDPLKLISK -LGAKHIKDREHLEEFRTSLCDVAGSLNNCAYYTQLDEAVSEIIKTAPPGSFVYKSLVKFLCDKRLFQSLF -LD - ->YP_009182168.1 183 kDa replicase [Tomato brown rugose fruit virus] -MAYTQTATTSALLDTVRGNNTLVNDLAKRRLYDTAVDEFNARDRRPKVNFSKVISEEQTLIATRAYPEFQ -ITFYNTQNAVHSLAGGLRSLELEYLMMQIPYGSLTYDIGGNFASHLFKGRAYVHCCMPNLDVRDIMRHEG -QKDSIELYLSRLERGNKVVPNFQKEAFDRYAETPDEVVCHSTFQTCTHQQVENTGRVYAIALHSIYDIPA -DEFGAALLRKNVHVCYAAFHFSENLLLEDSHVNLDEINACFSRDGDKLTFSFASESTLNYCHSYSNILKY -VCKTYFPASNREVYMKEFLVTRVNTWFCKFSRIDTFLLYKGVAHKGVNSEQFYSAMEDAWHYKKTLAMCN -SERILLEDSSSVNYWFPKMRDMVIVPLFDISLDTSKRTRKEVLVSKDFVFTVLNHIRTYQAKALTYSNVL -SFVESIRSRVIINGVTARSEWDVDKSLLQSLSMTFFLHTKLAVLKDELLISKFSLGPKSVSQHVWDEISL -AFGNAFPSIKERLLNRKLIKVSGDALEIRVPDLYVTFHDRLVTEYKTSVDMPVLDIRKRMEETEVMYNAL -SELSVLKESDKFDVDVFSRMCQTLEVDPMTAAKVIVAVMSNESGLTLTFEQPTEANVALALKDSEKASEG -ALVVTSRDVEEPSMKGSMARGELQLAGLSGDQPESSYTRNEEIESLEQFHMATASSLIRKQMSSIVYTGP -IKVQQMKNFIDSLVASLSAAVSNLVKILKDTAAIDLETRQKFGVLDVATKRWLIKPLAKNHAWGVIETHA -RKYHVALLEYDEHGVVTCDSWRRVAVSSESMVYSDMAKLRTLRRLLRDGEPHVSSAKVVLVDGVPGCGKT -KEILSKVNFEEDLILVPGKQAAEMIKRRANASGIIQATRDNVRTVDSFIMNYGKGTRCQFKRLFIDEGLM -LHTGCVNFLVSMSLCEIAYVYGDTQQIPYINRVSGFPYPAHFAKIEVDEVETRRTTLRCPADITHYLNRR -YEGYVMCTSSVKKSVSQEMVSGAAMINPVSKPLNGKVLTFTQSDKEALLSRGYTDVHTVHEVQGETYADV -SLVRLTPTPVSIIAGDSPHVLVALSRHTQTLKYYTVVMDPLVSIIRDLEKLSSYLLDMYKVDAGTQXQLQ -VDSVFKGSNLFVAAPKTGDISDMQFYYDKCLPGNSTMLNNYDAVTMRLTDISLNVKDCILDFSKSVAAPK -DPIKPLIPMVRTAAEMPRQTGLLENLVAMIKRNFNSPELSGIIDIENTASLVVDKFFDSYLLKEKRKPNK -NVSLFCRESLNRWLEKQEQVTIGQLADFDFVDLPAVDQYRHMIKAQPKQKLDTSIQSEYPALQTIVYHSK -KINAIFGPLFSELTRQMLESIDSSKFLFFTRKTPAQIEDFFGDLDSHVPMDILELDISKYDKSQNEFHCA -VEYEIWRRLGLEDFLGEVWKQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACLASMLPMEK -IIKGAFCGDDSLLYFPKGCEFPDIQHTANLMWNFEAKLFRKQYGYFCGRYVIHHDRGCIVYYDPLKLISK -LGAKHIKDWDHLEEFRRSLCDVANSLNNCAYYTQLDDAVSEVHKTAPPGSFVYKSLVKYLSDKVLFRSLF -IDGSC - ->YP_009130653.1 replicase large protein [Plumeria mosaic virus] -MAHINDLATISGAPAAAVDKVVSELACKKIYDDTVSTLQSLDRRPKIHFSRALSQDQVALVSKAYPEFNV -QFTGTTNSVHNLAGGLRALELEWMMTQIPYGCPTYDIGGNFSAHMLKGRSYVHCCNPMLDIRDIARVQGY -HENIQRYICKHSKFAASDTHQQRAGLHRFSRALPEYQIEAFEIYQNNTSLITCNDKFQDCKIPVEDGSYA -VALHSIYDIDSHELGPALLRKGVRTMYAVFHMSEEVAMGYSEGTLPEIGASFSRQGEDILFSFHEESTLA -YKHKFKNLLAYTTRTFFPASTRYVYFKEFLCSRVCTKFVKFSLVDTFCLNKSVFRHQADIDDELDFCWEK -NSLCCSLAEQTPIFTDKALMSVWFPKGSKCVLVPIFDGFFEKSEHISESWELVDKTFVYTVLNHIQTYQA -KQLTFQNVLSFCESIRSRVVVNGTSVRSEWDIPLELISKLSLSLFLIAKFNNLKADTVVNSFDFKKRGVF -SLMKSKFKEFMQEHTQPLTCWLLNKGFVKSVEDRLEIRDVNLMMTFEDSIRLSLNGDGEVRKVNVGACLE -ECEKLYILASEITKNFPSVNFDQEKFRQFCDNMKVDVDTVSKVLVGLDYKGISDFTLAGLGHSECRESAL -AATLCEIPESEKSKRKEKISVVQSRVLGGVLRDSSPPKEHFVINDRLETKKIWREKKTFDMDIAGVSGEK -NMSFTLLDDDGVETDLSDMHGKLVKEFPGLHKKKVLAYTGTVKERQMKNAVDYYAATISASLNNLQKLVH -DYMPGQTKGFKSYGVYDCASKTWLLTPPTHGHAWGVADTDEGDKVVYLSADKEDQKNLLCPKNWKRVAVS -AESMLFSAMKIYQRLLNIEIKEPQCKIVLVDGVPGCGKSAEIIERCNLQEDLVLCAGRNAAEMLRGRLNK -LGKGATNVNVRTIDSFLMNPMPISFDTVWVDEGLMVHTGIINFIALFAKAKVINVFGDTKQIPFLNRVMD -FDYPDELRTLVVDNVEMRSVTKRCPLDVTLQLNEIYKRHVTSSSTVEKSLEVKNLIGAAEFEPSRYPEDF -DQVIVFTQAEKQTLKKRGYKSVHTVHEVQGETFNKVALVRLDPTQLSIAEKGSPHLLVALSRHTHRLVYY -TVKLDALSSLIEKLNNVPSFILQTFRVDSSAKXQLMDLPVYEHKNLHKETRKMNVASDLQYYYDLCLPGN -STVMNAFDAVTIRTSDIALNSQDVRLTLSKLDPVPELIKERSREYLEPILRTSVERPRTTGLLENWIAMI -KRNFDCPELSGDVDVDAVAEKVVDRFFKIFVNKDRLEPDSYIGSSGNISEWIDKQTPATLGQLEKCNFMG -AVNEYKHMIKRQAKAKLDNSIMSEYPALQTIVYHSKDINALYGPIFDDMTRRLLESLDASKFLFFTRKTP -SDIQDFFSDLSLKQELDVYELDISKYDKSQNEFHCAVEMKIWERLGFDSYLKFVWEKGHKYTTITDYAAG -IKTAVWYQRKSGDVTTFIGNTIIIAACLASCMPLEHCFKAAFCGDDSIVYMPRGIECPNIHQGASLMWNF -SAKLFRRTHGYFCGRYIVRHNSGCIVYPDPLKLITKLGNKSIKNLEHLEEFRVSLFDVFKPLANDCYVLF -LDDAIREVFPQACSCSFALCALYKYLSDPLSFRSLFVKPERYNGVG - ->YP_009109559.1 RNA-dependent RNA polymerase [Macrophomina phaseolina tobamo-like virus] -MIEQELMIAKCSRHHPVVDVGGNFSYWLRTGRQNVHSCCPLIDARDGKRFTDRMYTARQWLDAAHSAYAL -AFAERDKRKAKQKVDQAKHFLDYPGDHYCTRRSENCQFESEVVLFGDSLYDIPIQVGGMIMNSHKATKGL -GYIIFDVEMLLATEGFIPGMNCHWKREKRTHTVEVGGVPVRTYVQEIIRYTFSDDSSFEYSHDYQNVVRW -ATQQTIKEGGSVFVIERDYRHGILRLDITRVPTVEVTSTLSFSLWRDTVKGKTAVRIFDYDGIGTTYRGY -GIRSRVVMVDTQLVQKVTSYGFRLDTEAKFTPLNMYSYCASVNVRGTINGVEVSVSESEPSEIAYKVCFT -LWFYCFLVKYQQGHVMDFMVKRELGNRALRNAGVGKILGWLLFGNSATDYFTDQSWVSKKLDEFRTRMAK -GNKEKWEIPEPSVFDAEPLVRIEEFIDDCENEFVPSVGDVGYYQQLIDCYREVLEPSAIKDALTTVYRRI -RELDPADAERANLVEVAETLTRRIAIIDPDFFDNMDQSWSVQEDAERLLDTRLPLKGGPVGNVKSLSNLP -SLTPSETASQTPSVIQPFKTEDDGTTEQTASVCATLFSDTPKPKVRLPTSSSKGVSGGSTVVRLYDIHSV -RVRDFLTTSFPMGFDHVRVSGEDALCGPRTILEVLLQRGIIRKFGRVVSDCIQASNSVREDWFEFADICN -VLGSYGFSTIYLQFTSDSDAKVLVHDLETPFVVISHVNGSHWQTIRPGTSFHFDFSPSLPVVMSGNGENF -ESKSLDEFMDFVGFGEGKKDNYRPASVHTVSDSDSYIDKLVDALGSDSVTSVGSLRKEGASVDEVRGTLP -VPTKRRTFKNPCAFIGKGSCVTSLTQPMVEPTPSLVGGREVLGSSEESLSRSMELSMVNHSFIDRVKAYL -KSLFSVKTLAVSGIAFGPSTLYLLTKHGVIDTVITAIVKGFGIAVDVGIKGFFAVLSVKFLTFGFPIVAV -VTAPYWLPRVEAAVRRHGILVAVPAMSLSGCYFVWDLAKTVLCFPFGYLRELKKYFGKVYMACLDVDRSV -ISSLSDRPSPVYSDYPSTKTLVGGQLDTSDRFVNGRATVQARVSNLLSEFPVDENGRVTDFVRRITSNGI -PTAKPKTLAKVVKAAPITKVQSVQSVAETSKPRLQDLGKVDAERVRNDRLAWYVTYLDYLTKSVDAFTAY -CADLYRDYLEKGEVDFYKRGSKRHLRTDGAVIIDAVEPGKFMLPANYKLPVNARLSCVFSPAGKDNGILT -GAVLNVQQRTIITEAKSERIFLTMGMFEYLDKLTLDKIQRKSITEIEPRRNFHLVDGVPGCAKSTEIAQI -ARPGDLVAVASNAAAQELQEKFVKVGKDPAMVRTIGSRLIGRPESGSRLLVDEGLKVHPGELVLLAEIVG -AAQILVFGDRNQLGFKPRVAGYILPELPMHWTVEYRTNSYTVCRDAVVALGRLDSKGKGPDCDGKGIYPH -GFTTSNKIERSMSYKQIGSVGEVPRIPDAKYITWTQNDKNVLLSEHFKNVNTIDEFQGGRADKVVLVRLS -KNLEPGLRFDRGQTTVAITRHREQLIYASVEQAPSLTDNVKVLIDRVNKIDDINVAYGAFSGDLTVSAXD -VSVVQLIGGADVNPPIGLTNTAPLETIQSVLDTVLFPCSEINNEFDNSMIEHSNMSFTVVGTLKWSIEKM -FAILKPRKTLRPKLRTSQAPPRQTTTRQALLALNKRNLGGSMVASPLGYYHFAQDSFERMMDCFAVSDWR -QKLKKMDKIVPNRRILDDWYQFQNVSTKMALNKFQNTTVLSEVKRMISDYEFILKPEPKTSTETKPYSLY -PTVQTIMFHKKQVNAFFGPMVREADRRFRSLLRPNVLYNKGKALQDMEEFLELNYDRSLGNIIAENDFSD -YDRSQLEVAHALDRVMLTWLGLNPDDLETWMLGHYKHSNFNYSLGLCVYLRYQRKSGDVTTAFGNTVLNM -TSMAYSLDLQPEEVRAAMFLGDDSWFQLVDSPSLRRRIRECSDKISIHFNGEAKTAYFETGYFCGLYIID -TPSGVKLLADPIKRAVKLGRWDVKEKSVLYENWLSFKDLMRNYDDECAQELLARAVYERHPRATYDLAKP -LIESLNTLRLSFKQFCQLWDEEISVTNY - ->YP_009094908.1 187 kDa polymerase [Hibiscus latent Fort Pierce virus] -MTTSTTDIKQQITNVRDDAAHGAASLIKGLATRRVYDEAVNTLTLLDKRPKFSFSNLVSTENAKMVTEAY -PEFNISFVGNRNTVHSLAGGLRKLEMEYLMTLVPYGSATFDIGGNYAQHLLKGRAYVHCCNPCLDFRDIA -RNESYKDTVDSYLKRFTTPDCGWFSGAVRAITARPLPSFQKEAFERYRQVPSDVTCSQPFQDCAMRTPPN -QDCFAISVHSLYDIPVDELGCALLRKNVKILYAALHFSEDLLLGATDAPLGNIGARFYRNGDEVTFGFDG -ESTLLYTHSFKNICGYITRSFFYAGAKYAYMKEFMVKRVDTVFCKFVRIDTQCLYKSVFHTQIDSDAVLE -GMDSAFTARRQAAMLNAARPLFKDKAAFSVWFPNAIGKVLIPIFRGTTCLGAKIKMEKRLVDEDFVYTIL -NHIRTYTGKQLSYENVLSFVESIRSRVVINGANVRSEWNIPKSDIQDIAMSLFLITKLRTLQDSAVLSHF -DIKKKGFFDCVRETIMEVTGSIFEPLTQICIDHGWFKIKEDKLKVEVPDTSRTFMSYMQTEFSESKAIES -LDLWEYLDQSNQLYTHVSKLMERYSLPDFDVEKFKDLCAHLKVGPEVITAVIEAVMDKSLGFTVVGGKAE -HQFTDEMKAAVAVSADYGQHCTDKKQVASAACKNEVTKPLKKQWVEKTTAALPLQATTEKTQHFHFNDED -ESISVENLHLKRADTFKASKACMLYTGTVREQQMKNFLDYLSASICATISNIEKVLSDYWVSGTQTYQTY -GLWDCKKKKWVLQPPTAGHSWGIASIKGEHFIVMLSFNENVEPICDSSWDMVCVSNDTKLFSAMKILENL -TPLPVKESNAKITLVDGVPGCGKTAEILAKANFKKDLILTQGKQAAQMIRKRANAIDCTKPANTSNVRTV -DSFLMNPKPFTYDTLWIDEGLMLHTGMVNYCVLLSHCTKCFIYGDTQQIPFINRVMNFDYPGHLRTIVVD -DTEKRRITSRCPLDVTFYLTQRYKGPVMSTSSVTRSVETKFVAGPARFEPRATPLPGKIVTFTQADKETL -KKKGYNDVHTVHEIQGETFNEVSLVRLTATPLNIISPESPHVLVGLSRHTNRLTYYTVVHDCITYSISEL -SRLSDYIFDIYSVEGQKAXQLQQNRLYIHKNIFCPTPKIGCMSDMQDYYDRCLPGNSFVLNDYDRVTMRL -VDNVFNVEPCTLTMSKADPVDAEMKLASKNFLKPLIRTAVEKPRVPGLLENLVAMIKRNFNSPDLAGIID -TQMVAGRVVDKFFDDFFTDDGIKHIDSCKYNKYLYSKENLSVWYDKQQPQSVGQLANADFIDFPGVDEYK -HMIKTQPKGKLDNSIQTEYPALQTIVYHSKHVNSIFGPIFSEYTRILLESIDTNKFFFYTRKTVDELEGF -FSDLTDHSNMDVLELDISKYDKSQNDFHFCVEMKIWERLGIDSFLKQVWESGHKRTTLKDFQAGIKTIIY -HQRKSGDVTTFIGNTLIIAACVSSLLPIKDCIKAAFCGDDSVIYFKKGLDFSCVQSNANLMWNFEAKLFK -KTYGYFCGKYIIHHSGGCIIYPDPLKLIQKLGNKSLTSREHAEEFRVSLCDVSRNLGNCAYFDLLDKAIA -EVFKQAGGGSYAFNAFWKYITNPALFKNLYDDSGPC - ->YP_719997.2 RNA-dependent RNA polymerase [Hibiscus latent Singapore virus] -MTTDIKQQITNVRDDACQGASSLIKGLATRRVYDEAVQTLTVLDKRPKFTFSNMVTADQAKLVTEAYPEF -NISYVGNRNAVHSLAGGLRKLEMEYLMTLVPYGSPTYDIGGNYAQHLLKGRSYVHCCNPCLDFRDIARNE -NYKETLDSYLKRFTTPDCGWFSAACRKVTARPLPYFQQDAFERYRQNPKDVTCSEPFQDCCMQPPSNQDC -FAISVHSLYDIPVDELGAALLRKNVKTLYAALHFSEELLMGATEARLGTIGAKFFREGDEVTFGFDGEST -LLYTHSFKNICAYITRSFFYAGARFAYMKEFLVRRVDTVYCKFIKIDTQCLYKSVFHTDIDNENMIKGMD -EAFMYKREAALLNAARPIFKDKAAFRVWFPNSVGKVIIPFFKGSSCTSAKVKVKKRLVDEDFVFTVLNHI -RTYSGKQLSYENVLSFVESIRSRVVANGANVRSEWDIPKSEIQDIAMSLFLITRLRTLQDSNVLQQFSVK -GKSLTDLVKEALGGLVGSMFEPLTQVCIDRGWFKLAEHTLKVEVPELSQTFESYMQTEFSESKAIEALDV -WSYLDESNQLYTHVSKLMEKYTMPDFDVEKFRELCALLKVGPNVISTVIEAVLDNSLGFTIVGGKPEHQY -SDEMKAAVAVSSEYGKGKEYGNIAAAASTYTPEGPLKKEWVKKNAVQMPLQATTEKVQRFHLSEESEHIN -VENLHMVNTSVFTKAKACLLYTGTLKEQQMKNYLDYLSASICATISNIEKVLHDYWTTGTQQYQSYGLWD -VKKKKWVLCPPTAGHAWGVAAIKGKHHIVMLNFDGNDQPICDKEWDLVCVSNDTKLFSTMKILENLQGCK -IQEPKAKITLVDGVPGCGKTAEILSKADFKRDLIITQGKQAAAMIRKRANANDPTRPANNDNVRTVDSFL -MNPKPFEYKVLWIDEGLMLHTGAISFCVALSHCEQCYVFGDTQQIPFINRVMNFDYPKTLQTLVTDSVEK -RRITSRCPLDVTCYLAQRYKGPVVSTNNVERSLDTKLVAGAARFEPQLTPLPGKVITFTQADKETLKKRG -YQDVHTVHEVQGETYTEVSLVRLTPTPLSIISPDSPHVLVSLSRHKNRLVYYTVVNDCITYAIGELAKLN -NFMFDMYTVENQKAQQLQQHRLYIHKNIFCPTPKTGTISDLQEYYDRCLPGNSFVLNDYDRVCMRLVDNT -FNVEPCTLTLSKADPVEAEMRLNSKNFLTPLLRTAVEKPRVPGLLENLVALIKRNFNSPDLAGIIDTKQV -ATNVVDKFFSDYFTVEGLDHINRCRNNKFLYSKENLSVWYDKQQPQSIGQLANADFIDFPSVDEYKHMIK -TQPKGKLDCSIQSEYPALQTIVYHSKHVNAIFGPIFSEFTRIILESIDTNKFFFYTRKTVEDLELFFSDL -SDSSDMDVLELDISKYDKSQNDFHFCVEMLIWERLGIDNFVKSIWETGHKKTTLKDYQAGIKTIIYHQRK -SGDVTTFIGNTLIIAACVSSLLPVKSVIKGAFCGDDSVLYFPKGVDLTDVQANANLMWNFEAKLFRKKYG -YFCGKYIIHHSNGCIVYPDPLKLIQKLGNKSLTSREHAEEFRISLCDVSKSLGNCAYFDLLDKAIAEVFK -GAGGGSYAFRSYWKHITNPGLFKTLYDES - ->YP_008802584.1 183k replicase [Yellow tailflower mild mottle virus] -MAQTQQAITNALLDTMRGNNPLVNDLAKRRLYDTAVEEMNTRDRRPKVNFSKSINEEQTLVVSQAYPEFQ -ITFYNTQLAVHSMAAGLRNLELEYMMMQVPYGSLTYDIGGNFASHLFKGRDYVHCCMPNLDVRDVMRHEN -QKDAVEVYLGKLASKGRPIPHFQLPAFERYANSPDAVVCHDTFQQCKHDPFQHDGRKYAVALHSLYDIPA -DEFGAALLRKDIHTCYAAFHFAEELLLEASTVELPAIGGVFSRDGDRLNFCFSNESTLNYSHSYSNVLKF -VCKTYFLASNRYVYMKEFLVTRVNTMFCKFVKVDTYMLYKSVYKNSVDSEQFYASMEDAWHYKKTLAMLN -SERIMLHDKSAVNFWFPKMKDQVIVPLFDISLDSGKRTRKEVLVNKDFVYTVLNHIRTYQAKALTYNNVL -SFVESIRSRVIINGVTARSEWDVDKTLLQGLSMTFFLITKLAILKDEMMISKFKLGSKSISEHVWEECEK -AFGNLFPSLKEVLKRRKLIVTSESALEIKVPDVYVTFHDRFVSEYQSSVEMPSMDISKSLTEAEKYYEAL -SELTVVKGMKDFDAEKFTRMCQLYSVSPELAAKIITAVFANESGVSIPFKQATEENLSEALSSTQKEDDV -LVLTSTYQSERVDTASMVETGQLPMQGICKDIGTACFEHNEEINTLEDFHMMAAESVVQGNMASIIYQGS -LQVQQMENYVDSLAASLSASVSNLKKAIKDTSGFVSDSQSKYGVYDVRKKTWLLQPSGKNHAWGVVEKYN -GKCFLALLKHENSVPICHEDWRRVAVSSESLIYSDMAKLRNLRRSIPEGGKPFLSSAEMILVDGVPGCGK -TKEILSRVNLEEDLILVPGREAAAMIRKRANASMNGLTVANNENVKTVDSFLMNLGKGCKKQWKNLYIDE -GLMLHPGCVYFLVGLSHCEKAYIFGDTQQIPFINRVQNFPFPAHFAKLQVDSVEKRRTTLRCPADVTHFL -NERYEGAVTCTSSVDRSVSFEIVAGSAAVNPVSKPLKGKVITFTQSDKSTLVARGYENVNTVHEIQGETY -EEVSLVRLTPTPIGIISRDSPHVLVALSRHTKAFKYYTVVLDSMVSVVRDLESVSNFLLDVYKVESSSLX -QLQVDAVYINENLFVQAPKTGDVQDLQFYYDRCLPGNSTVLNDFDAVTINLSDISLNSKDCVLEFSKSVP -LPRDRPSVLTPVIRTAAEKPREAGLLENLIAMIKRNFNSPELSGIVDIENTASVVVDKFFESYILNDIKK -PKNMYSLDRQSLIRWMEKQEPATLGQLADFDFIDLPAIDQYKHMIKSQPKQKLDLSIQTEYPALQTIVYH -SKKINSLFGPVFSELTRQLLASIDSSRFMFYTRKTPEQIEEFFSDLDSHVPMDVLELDVSKYDKSQNEFH -CAVEYEIWRRLGIDEFLAEVWKQGHRKTTLKDYVAGIRTCLWYQRKSGDVTTFIGNTVIIAACISSMVPM -DKVIKAAFCGDDSLIYIPKGTQMPDIQAGANLMWNFEAKLFKKRYGYFCGRYVIHHDRGAIVYVDPLKII -SKLGAKHIKDKEHLEEFRVSLMDVCKSLNNCSYYLQLDEAIGEVHKTAPPGSFVFKCLVKYLSDKKLFES -LFLK - ->YP_008492928.1 RNA-dependent RNA polymerase [Tomato mottle mosaic virus] -MAYTQTATSSALLDTVRGNNTLVNDLAKRRLYDTAVDEFNARDRRPKVNFSKVVSEEQTLIATRAYPEFQ -ITFYNTQNAVHSLAGGLRSLELEYLMMQIPYGSLTYDIGGNFASHLFKGRAYVHCCMPNLDVRDIMRHEG -QKDSVELYLARLERGNKFVPNFQKEAFDRYAETPDEVVCHDTFQTCRHSQEMYTGRVYAIALHSIYDIPA -DEFGAALLRKNVHVCYAAFHFSENLLLEDSHVNLDEINACFQRDGDRLTFSFASESTLNYTHSFPNILKY -VCKTYFPASNREVYMKEFLVTRVNTWFCKFSRIDTFLLYKGVAHKGVDSEQFYKAMEDAWHYKKTLAMCN -SERILLEDSSSVNYWFPKMRDMVIVPLFDICLETSKRSRKEVLVSKDFVYTVLNHIRTYQAKALTYANVL -SFVESIRSRVIINGVTARSEWDVDKSLLQSLSMTFFLHTKLSVLKDDLLISKFSLGPKPVSQFVWEEISL -AFGNAFPTIKERLINRKLIQITENALEIRVPDLYVTFHDRLVSEYKLSVEMPALDIRKKMEETEVMYNAL -SELSVLKNSDKFDVDVFSQMCQSLEVDPMTAAKVIVAVMSNESGLTLTFEQPTEANIALALQDSEKASEG -ALVVTSRDVEEPSMKGSMARGELQLAGLAGDIPESSFTRSEEIESLEQFHMATASSLIQKQMCSIVYTGT -IKVQQMKNFIDSLVASLSAAVSNLVKILKDTAAIDLETRQKFGVLDVTSKKWLIKPSAKNHAWGVVETHA -RKYHVVLLEYDEFGVITCDDWRRVAVSSESVVYSDMAKLKTLRKLLLDGEPPVSSAKVVLVDGVPGCGKT -KEILSKVNFDEDLILVPGRQAAEMIRRRANSSGVIVATKDNVRTVDSFIMNYGKGGRCQFKRLFIDEGLM -LHTGCVNFLVTMSLCDIAYVYGDTQQIPYINRVTGFPYPAHFSKLEVDEVETRRTTLRCPADVTHFLNQR -YEGYVMCTSAEKKSVSQEMVSGAATINPVSKPLSGKVLTFTQSDKEALLSRGYSDVHTVHEVQGETYADV -SLVRLTPTPVSIIARDSPHVLVALSRHTKSFKYYTVVMDPLVSIIRELEQVSSYLLDMYKVEAGTQXQLQ -VDSVFKGSNLFVAAPKTGDISDMQFYYDKCLPGNSTMLNNFDAVTMKLTDISLNVKDCILDMSKSVAAPK -DEKVTLIPMVRTAAEMPRQTGLLENLVAMIKRNFNSPELSGVVDIENTASLVVDKFFDSYLLKEKRKPNK -NFSLFSRESLNRWLAKQEQVTIGQLSDFDFVDLPAVDQYRHMIKAQPKQKLDTSIQTEYPALQTIVYHSK -KINAIFGPLFSELTRQLLDSVDSSRFLFFTRKTPAQIEDFFGDLDSHVPMDVLELDISKYDKSQNEFHCA -VEYEIWRRLGLEDFLAEVWKQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACLASMLPMEK -LIKGAFCGDDSLLYFPKGCEFPDIQQAANLMWNFEAKLYKKKYGYFCGRYVIHHDRGCIVYYDPLKLISK -LGAKHIKDWDHLEEFRRSLCDVAGSLNNCAYYMQLDDAVGEVHKTAPPGSFVYKSLVKYLSDKVLFRSLF -INGSNC - ->YP_005476600.1 replicase readthrough component [Ribgrass mosaic virus] -MAQFQQTIDMQTLQAAAGRNSLVNDLASRRVYDNAVEELNARSRRPKVHFSKAVSTEQTLIATNAYPEFE -ISFTHTQSAVHSLAGGLRSLELEYLMMQVPFGSLTYDIGGNFSAHLFKGRDYVHCCMPNLDVRDIARHEG -HKEAIQSYINRLERQRRPVPEYQKAAFNNYAENPRYVHCDRPFQQCELTTANGTDTYAVALHSIYDIPVE -EFGSALLRKNVKTCFAAFHFHENMLLDCDTVTLDEIGATFQRAGDKLSFFFHNESTLNYTHSFSNIIKYV -CKTFFPASQRFVYHKEFLVTRVNTWYCKFTRVDTFTLFRGVYHNNVDCEEFYKAMDDAWEYKKTLAMLNA -ERTIFKDNAALNFWFPKVRDMVIVPLFDASITSGKMSRREVMVNKDFVYTVLNHIKTYQAKALTYANVLS -FVESIRSRVIINGVTARSEWDTDKAILGPLAMTFFLITKLGHVQDEIILKKFQKFDRSTKELIWSSLCDA -LMGVIPSVKETLVRGGFVKVAEEALEIKIPELYCTFSDRLVLQYKKAEEFQSCDLSKPLEESEKYYNALS -ELSVLENLDSFDLEAFKTLCQQKNVDPDMAAKVVVAIMKCELTLPFKKPTEEEISESLKTEEAKSAERDD -VLSLRNDAPYPCVKNLVEGSVPAYGICPKGGGFDKFDVDIADFHLKSVDAVKRGAMMSAVYTGSIKVQQM -KNYIDYLSASLSATVSNLCKVLRDVHGADPESQEKSGVWDVRRGRWLLKPNAKSHAWGVAEDANHKLVIV -LLNWDEGKPVCDETWFRVAVSSDSLVYSDMGKLKTLTSCCLDGEPPEPYTKVILVDGVPGCGKTKEIIEK -VNFSEDLILVPGKEASKMIIRRANHAGVMRADKDNVRTVDSFLMHPPRRVFKRLFIDEGLMLHTGCVNFL -LLLSHCDVAYVYGDTQQIPFICRVANFPYPAHFAKLVVDEKEVRRITLRCPADVTFFLNKKYDGAVMCTS -AVERSVGAEVVRGKGALNPITLPLEGKILTFTQADKFELLEKGYTDVNTVHEVQGETYEKTAIVRLTSTP -LGIISRASPHVLVALTRHTTRCRYYTVVLDPMVSVISEMEKLSNFILDMYKVEAGIQYQLQIDAVFKGTN -LFVQTPKSGDWRDMQFYYDTLLPGNSTILNEFDAVTMNLRDISLNVKDCRIDFSKSVQIPKEQPSFLKPK -IRTAAEMPRTAGLLENLVAMIKRNMNAPDLTGTIDIEDTASLVAEKFWDAYIDKEFSGTNKMSLTRESFS -RWLSKQETSTIGQLADFNFVDLPAVDEYKHMIKSQPKQKLDLSIQDEYPALQTIVYHSKKINAIFGPMFA -ELTRMLLERVDSSKFLFYTRKTPGQIEEFFSDLDSTQAMEILELDISKYDKSQNEFHCAVEYKIWEKLGI -DEWLAEVWKQGHRKTTLKDYTAGIKTCLWYQRKSGDVSTFIGNTIIIATCLSSMIPMDKVIKAAFCGDDS -LIYIPKGIDLPDIQAGANLMWNFEAKLFRKKYGYFCGRYVIHHDRGAIVYYDPLKLISKLGCKHIRDVVH -LEELRESLCDVTSNLNNCAYFSQLDEAVAEVHKTAVGGSFAFCSIVKYLSDKRLFKDLFFV - ->NP_062913.2 183 kDa protein [Tobacco mild green mosaic virus] -MAHIQSIISNALLESVSGKNTLVNDLARRRMYDTAVEEFNARDRRPKVNFSKTISEEQTLLVSNAYPEFQ -ITFYNTQNAVHSLAGGLRALELEYLMLQVPYGSPTYDIGGNFAAHLFKGRDYVHCCMPNLDIRDIMRHEG -QKDSIEMYLSRLSRSNKVIPEFQREAFNRYAEAPNEVCCSKTFQDCRIHPPENSGRRYAVALHSLYDIPV -HEFGAALISKNIHVCYAASILAEALLLDQTEVTLNEIGATFKREGDDVSFFFADESTLNYSHKYKNILHY -VVKSYFPASSRIVYFKEFLVTRVNTWFCKFTKVDTYILYKSVRQVGCDSDQFYEAMEDAFAYKKTLAMFN -TERAIFRDTASVNFWFPKMKDMVIVPLFEGSITSKKMTRSEVIVNRDFVYTVLNHIRTYQAKALTYQNVL -SFVESIRSRVIINGVTARSEWDVDKAILQPLSMTFFLQTKLAALQDDIVMGKFRCLDKTTSELIWDEVGK -FFGNVFPTIKERLVSRKILDVSENALKIKIPDLYVTWKDRFVAEYTKSEELPHLDIKKDLEEAEQMYDAL -SELSILKGADNFDIAKFKDMCKALDVSPDVAARVIVAVAENRSGLTLTFDKPTEENVAKALKSTASEAVV -CLEPTSEEVNVNKFSIAEKGRLPVCAESHGLTNANLEHQELESLNDFHKACVDSVITKQMASVVYTGSLK -VQQMKNYVDSLAASLSATVSNLCKSLKDEVGYDSDSREKVGVWDVTLKKWLLKPAAKGHSWGVVLDYKGK -MFTALLSYEGDRMVTESDWRRVAVSSDTMVYSDIAKLQNLRKTMRDGEPHEPTAKMVLVDGVPGCGKYKG -DFERFDLDEDLILVPGKQAAAMIRRRANSSGLIRATMDNVRTVDSLLMHPKPRSHKRLFIDEGLMLHTGC -VNFLVLISGCDIAYIYGDTQQIPFINRVQNFPYPKHFEKLQVDEVEMRRTTLRCPGDVNFFLQSKYEGAV -TTTSTVQRSVSSEMIGGKGVLNSVSKPLKGKIVTFTQADKFELEEKGYKNVNTVHEIQGETFEDVSLVRL -TATPLTLISKSSPHVLVALTRHTKSFKYYTVVLDPLVQIISDLSSLSSFLLEMYMVEAGSRXQLQMDAVF -KGHNLFVATPKSGDFPDLQFYYDVCLPGNSTILNKYDAVTMRLRDNSLNVKDCVLDFSKSIPMPKEVKPC -LEPVLRTAAEPPRAAGLLENLVAMIKRNFNAPDLTGTIDIESTASVVVDKFFDSYFIKKEKYTKNIAGVM -TKDSMMRWLENRKEVLLDDLANYNFTDLPAIDQYKHMIKAQPKQKLDLSIQNEYPALQTIVYHSKQINGI -LAGFSELTRLLLEAFDSKKFLFFTRKTPEQIQEFFSDLDSHVPMDVLELDISKYDKSQNEFHCAVEYEIW -KRLGLNEFLAEVWKQGHRKTTLKDYIAGIKTCLWYQRKSGDVTTFIGNTVIIAACLGSMLPMEKVIKGAF -CGDDSVLYFPKGLDFPDIQSCANLMWNFEAKLYRKRYGYFCGRYIIHHDKGAIVYYDPLKLISKLGAKHI -KDYDHLEELRVSLCDVACSLGNWCLGFPQLNAAIKEVHKTAIDGSFAFNCVNKFLCDKFLFRTLFLNGC - ->YP_004956727.1 unnamed protein product [Clitoria yellow mottle virus] -MSTSTLINDAQSALCVDPSVKDLLKRKVYDDTVKTMQGLDKRPKYRLNQALTPEQCNAVRGAYPEFQIEF -AGTQLASHAVAAGLRGLELEYLYMQVPFGSICYDIGGNFPVHMLKGRSYVHCCNPALDARDLARNENYRI -TIANYLSRFESKSGEDAVWGRRKKKMQKPLPAFQKSCFERYAADVAEVTCSHTFQTCPVSPPEGREDIYA -TSLHPLYDIPVDELAPALLRKGVKVLHAPLHFSEDLILGATEGTLTEIDGVFQRFGDVLTFSFLEESSLV -YTHSFRNVCDYITRTFFVADTRHAYMKEFRARRVDTVFCSFIRIDTFCLYRSVFKTESFSAFSDSMDAAW -EFKKKKAMLEAARPVFRDTAMFNIYFPKSKNKVCLPIFSVKSVSHSPVVTKHILIDKDFYWTVLNHIMTY -PDNRTDFRTVMSFIESVRSRVVINGTTTASQWDVDKSHLKDIALSIMLIAKLEKLKVSIIEKRIKVERQG -LVALLKEFMQGILDEYTVSLAEWVVNKGWVKSVDQVLEVSIPEFVKTFRDHFSCELGGIASYREVSVEEH -LMTANRYYQKVSDLVEKNPTIAFDIEKFQTYCENLGVDVNTATEFVDAITSGTAGITLSQQPDVERRTAE -TLASGSSYVDEGDDGMNELICLSDRAPVNRSTVLKEMSNNIVIFEGTLPKRSTFVAAPEDPEEIIAVDEL -HGRLVGDFLSLQKPINIVYTGDVQICQMKNYLDYLSASLVACVSNLKKYLQDQWIQRGEKFQKIGIWDNQ -DRKWIVIPPKKKHAWGLALEVNGDQRTVIISYDEHDNPILEKKYVRLAVSTDTYLFSVVSMLGFLRTQDQ -VKPTARITLVDGVTGCGKTGEILSRFNPKEDLILVQGREASEMIRRRANSKAPTSGTRDNIRTFDSFIMN -RKPGTFKTLWIDEGLMVHPGLINFCLNLSGCQQAFVYGDRKQIPFINRVMNFAVPENLAKLCHDEIEYRD -VTKRCPVDVTHFLNGVYERPIVTTSNVQHSLSTKSIEGRSRFVLLKDEISQGKVVTFTQDEKDYLIKIGY -NAVNTVHEVQGETYRDVSLVRMTATPVSIIAKGSPHLTVALSGHTNSLCYYTVLADVVSTEIEKCQNMPS -FLLDMYTADRLTKXQLQIRPFIRFEVPFIETKKVGHVSDLQYFYDSCLPGNSLVLGEHDQWSIVSRDISL -HSEPVVLDMSKRYIHRSQEDRFLRPVLRTAVELPRKPGLIENLLALIKRNFNAPALSGQIDYEYLSKRVA -DTFFEKLLPPDVEAVANLRLDPDDVFSIDNFVGWLEKQDTKVVGELANWDHIGMPAVDQYRHMIKRQPKA -KLDSSIQYEYPASQTIVYHSKHVNAVFGPIFSRLTEKLLSVIDPLKFRYFTRTTPEELQDFFKDLVSGDL -EILELDISKYDKSQNQFHFEVEMRIWERLGIDCYIAKLWENGHRRTFLKDYTAGIKTVIEYQKKSGDVTT -FIGNTIVIAACLCSILPLEKCYKAAFCGDDSIIYLPRNILYPDIQQTASHLWNFEAKLFRKLHGYFCGRF -ILRSNGHIRMIPDPLKIVTKLGCKSIRDRAHLEEFRISLFDMASEYKNMFMFDLLEAAVKESYPSAEGCS -IAFCAIYKYLSNKVLFGTLFEDV - ->YP_004936166.1 unnamed protein product [Rattail cactus necrosis-associated virus] -MSQLQNYVNKINSGAGVSSQHLLSNLAERVVYDQALESTEAQKRRPKYHFSKVVTPEQQNLITGAYPEFS -INFTGTSASVHAVAGGLRGLELELLMTQIPFGAACFDIGGNYVRHLLKGRSYVHCCNPVLSVRDGARYES -YRDELRKVSAQGAPVAGSWGVPGQGASCVRTRSLQPYQVAAFERYSVNPHAVVCDDTFQTCSFPPPAHGC -TYAVLLHSLYDIPVNELGPALLRKNVHVAYAAFHLSEEMLWKDADGIYPVNDIDAQFERNGDRLVFQFRN -EMTINYEHSFRNVAAHALKTFYPAGEGKIYFKEFLCRRVGTVFAKFTLVDTAKLHRSVFHRSVDGSAFID -AMDEAFEFKRDAALFGAERQLLRDKASLSLWFPHAHNKVEIPVFRASMIGKKKLNTSKMLVDKDFFYTVF -NHVMGYQEKNLNFQVVNNFVESVVSRVVINGTSVRAEWQVSKDLICDISLTLLLLVQLRRLENKEIISKI -PLASDGFWSTVTNNMCKFFSNLWPDFLSFSVEHGWLQVINSKLVVKAPSEFLTFDDYLVMEYRGSSDGTD -VVDVDALIENSDKLYAEVGRLAQLFPNLSVDVGKFREFCNNERLSPEHVAKVFEAIQNDQVGLTVTGGGS -LEQNLVEACKVAPSVDNVVVCSENAPERFLHDGIVSGKLVLPLSGSTASEHNFWFTDDDGNVTDLSDFHM -LPADVIAKPQKMAIFYKGSTKQQQMLNFLDYMAASLCATVNNLQRALKQWWAGDVKNPRDIGVFDCAKGK -WITEPGKKNHTWGVAQCHDMSMRIVCVDYIDGKIIPDERWKAVAVSSETKVYSYLKMLHNLRGTLRDGTP -PEPQCFTTLFDGVPGCGKTSEILRSCDFSKDLVLTPTREAAQMIRRRANEADKTRRADVHNVRTIDSFIM -NPSRCTFDVVWMDEGLMCHPGLIWFCAVMSQCSQLKVFGDTRQIPFLPRVDNFDFPDRLKTLGVDKVEGR -SVTHRCPTDVTVWLSDVYKRTVTTTSSIDRSVSVRLVPGKTCFDAKSFPLPGKVITFTQAEKFDLQKAGY -ENVSCLDDANKTNNLVNTVHEIQGETYPVVSLVRLNPHPIGIIKKDGPHIVVALSRHTQALVYYTVTADA -VVNTIDRIKSVNPFLLDMYKHVGGTAXQLTCRPLQSRSNMFIPTAKLGDPSDLQCFYDRILPNNSTVLND -YDSFTLRVRDIHLNLDDCRLDLSKDDPAICRRQSGYLRPVLRTGTERPRQPGLIENVLALIKRNFNAPHL -LGTVDVDAMADTVVDKFFKIFFPDGLDDCSNRLMSEESFNDWAAQQLPSTLSKLEVFDHIDLPAVDAYTH -IIKRRPKIKLDDSICSSYPALQTIVHHSKEINAIFGPIFKELTRAFLSRVDATKFLFYTRKTPEDIEEFF -SDLPSVDQTDVYELDISKYDKSQNELHCAVEYAVWKRLGFHGFLAKVWEQGHRRTVLRDFIAGIKAVIWF -QRKSGDVTTFIGNTIINAVAMSTLLPLEKCVKAAFCGDDSVVYLPKGTVVGDIQTKANLQWNFEAKLFKK -QYGYFCGRFILPHSTGCVVYPDVLKVIAKLGTKDVTDWTHLEELRVSMCDTYKQLGNSAYLDLLKVAMSE -VYPSVVDLRFVVCTLWRYITDKVLFRSLFLDL - ->YP_004465358.1 unnamed protein product [Passion fruit mosaic virus] -MTTISQLQQQTKERLVSDVRGPIQDLASRRVYDDAVNSLRVLDRRPKINFNKLIPADACKLITESYPEFT -ITFTGTVCSSHGLAGGLRTLETEYLMMSVPYGLPTYDIGGNFSLHMMKGRAYVHCCNPCMDLRDVARNES -YRDNIQAYLSKFQRREPISWTTRQFKGKPARALPEFQLDAFKRYDEEPNAVSCSSTFQHCSYSPPEGMDT -YAVSVHSLYDIPVAELGPALLRKRVKTLYACMHFSEALLLGSETGTLQKIGASFVVSGTKVTFGFFDEST -LLYVHDLSNVKEMMIRTWFVADTRFVYMKEFQARRVDTVFCKFQRVDTFRLNRTVFKNNADALPDMIDDA -WVRKRSTAMMSTEPIFKDAVSFNVWFPESKGKVLVPIFRGIFESGKVRKSTAVVDEDFVYTILNHIRTYP -AKQLTYENVLSFVESIRSRVIVNGCNVRSEWDVEKKILPDVAMTFLLITKLRQLQDQVVLERFDFKKTTI -WGRIREVFLEYFSDVSGCLFETMRSYGWIQVVDDKLRIITPEYHRTFTEELSLLYNRETKPEEVDVSDSL -KMSNEILDVVDQLSETFDFMTFDTEKLNEFVKKFNVRPSVLKDVITALYNGECGVAFKDTSTPCLQGMTV -ETKHAGVLKSDEASTSNVTVEEVKKTDDQFFSFAKFNGMNVKDVLASIDSSTAKTYEGEIEVRQMENYLD -YLRASLSGSLSNLDKILRDYWSQSSETYKTYGVWDTRKKQWILDPPDRKHGWGLVLIGNESYVQCLQYDG -DNAPVCAANWERVAVSNETKLFSNVQILNELMCVEKRVPDGDLVLVEGVPGCGKTKEILERCNFKSDLIL -TPGKEAAAMIRRRANNGLGAEHATTYNVRTFDSFLINKIPVRFDTVWVDEGLMVHTGVIQFCRLKTNCKR -MYVYGDTKQIPFINRVMTFDYPESLRNLKLNSVETRSVTKRCPADITVFLNSQYQCHVLTENHTQRSVEA -TLLKGAAVLQPRQTTLNGKIVVFTQADKALLKKNGYIGVNTVHEVQGDTFEEVSLVRATPTPVGIIAKDS -PHVLVALSRHTSRLHYYTVVPDYVVSKIAELKTVSNFLLDMHSFSGPSVLYQLQCKDIYIHNTKVFVPTP -KTGSIFDLQEWYDNILPGNSTVLNDYDGTVIRLFDNELNVQQCRLTLSKADPVPEIIRKNSKQFLRPVLR -TGCEMPRTSGMIENLLAMIKRNFNSPELTGDVDIDVLSSSVVDRFFDVYVSVDLRTKFNSYSTLGCVDNL -YISSHENFSDWLSKQQPDVVGQLANYDFIGIPPVDEYKHMIKKQPKLKGDCSIQSEYPALQTIVYHSKKI -NAIFGPIFKELTRRLIECFDSQRFMLYTRKTPADIEEFFNSVTGKNEMDILELDVSKYDKSQDDFHFTLE -MKIWERLGLDDYIKVLWQNGHKRTILSDYTAGIKTVLYYQRKSGDVTTFIGNTVIIAACVCNILPIEKCI -KASFCGDDSLVLMPKGLDYSEVQQKANLVWNFEAKLFMKKRHAYFCGKYVVFHDNGAVVFPDPLKLIGKL -GSKNIQSWDHCEDFRRSLCDVATNFGNCAYFSLLDEAVQENYKSAGSGCYAYRALWRFLTNPHLFQTLYF -N - ->YP_001974323.2 replicase [Brugmansia mild mottle virus] -MAHTQTTMQNALLDNVRGNNTLVNDLARRRMYDIAVDEFQAKDRRPKINFSKAISEEQTLIATDNYPEFQ -ITFYNTQNAVHSLAGGLRALELEYLMMQVPYGSTTFDIGGNFAAHLFKGRDYVHCCMPNLDCRDIMRHEN -QKDSVDMYLQRLKKSGKVIPHFQKPAFDRYCETPADVVCHDTFQSCSYDVSRNGAGRNYAIALHSIYDIP -ADDFGAALMRKNVHTCYAAFHFSEELLLENTHVSLEEIGAMFTREGDSLSFCFVNESTLNYSHSYSNLLR -YVCKTYFPASSTVVYMKEFLVTRVNTWFCKFTQVDTCFLHRGVHLRGADREAFYAGMEDAWHYKKTLALI -NSERVMLNDAASINFWFPKMKDKVIIPLFDISIDTYKRSRKEVLIDKDFVYTVLNHIRTYQAKALTYANV -LSFVESIRSRVIINGVTARAEWNVDKALLQSLSMTFFLQTKLAMLKDDLMISKFKVGAKTLSEHVWDEVR -ATLGNVFPSVKEGLIRRKLISVSANALEIKVPDLYTTFQDRFVSEYNSLVEMPTMNIVKQIEDAEQMYNA -MSELSVLENSDKFDVTIFSEMCKNLEVDPYTAAKVIVAVLQNESGVTLTFSKPTEINVATALAASEVEGE -EIVLSSKPAEYVSTRSMVAEGKLPMEGLIGDCSSSSMHLNPEIESLSQFHCASVDSLIKKQMASIVYTGP -LKVQQMKNYMDSLSASLSASVSNLKKIIRDTVTVDSEPGKFGVWDVSKRSWIVKPASKGHAWGVVELHNG -KLKLMLLEYSGAEMICEASWRRVAVSTDSMVYSDMKKLQTLRGCLKDGEPHVSSAKVTLVDGVPGCGKTK -EILQRVDLETDLILVPGKQAAAMIRKRANAKGLIVATTENVKTVDSFLMNLGKKPLGRVENLFIDEGLML -HPGCVNFLVSLTMCDRAFVFGDTQQIPYINRVQNFPYPKHFATLEVDEVETRRVTMRCPADVTHFLNERY -SGTVMCSSGVQKSVSSEILVGAGSVNPITKPLKGKILTFTQSDKFALTERGYEDVNTVHEVQGETYEDVS -VVRLTPTPLALISRDSPHVLVCLSRHTKSFKYYTVVLDPLVSLVRDLEKVSHYLLDMYKVSAGQQXQLQV -GFEFIRSNLFVQAPKTGDVQDMQFYYDACLPGNSTILNNFDAVTMRLMDNKLNVKDCTIDMSKAVAMPKE -KKQPLLPVIRTAAEMPRESGLLENLVAMIKRNFNAPDLSGIVDIENTASFVVDKFFDSYFLKEKQKRKDL -FTMSRSVLESWLSKQESVTIGQLADFDFIDLPAVDQYRHMIKAQPKQKLDLSIQSEYPALQTIVYHSKKI -NAIFGPLFSELTRQMLEQIDASRFMFFTRKTPGQIEEFFSDLDSHVPMDILELDVSKYDKSQNEFHCAVE -YEIWRRMGLDEYLAEVWKQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACLASMLPMDKVI -KGAFCGDDSLIYLPKGCELPDIQACANLMWNFEAKLYRKKYGYFCGRYVIHHDRGAIVYYDPLKLISKLG -AKHIMDEQHLEEFRTSLCDVASSLNNCAYYLQLDDAIREVHKTAPGGSFVFKAIVKFLSDKVLFKQLFTS -YDS - ->YP_003915153.1 187 kDa polymerase [Frangipani mosaic virus] -MAHISDIATLSGAPAAAVDKVVSELACKKIYEDTVNTLQSLDKRPKIYFSRALTQEHVALVTRAYPEFNV -QFTGTTNSVHNLAGGLRSLELEWMMTQIPYGYPTYDIGGNFSAHMLKGRSYVHCCNPMLDIRDIARVQGY -HENIKRHISKHSVKPTAISSHDVPKHRALPEYQLDAFRTYHEHPEYITCNDRFQECKIPVEESNYAVSLH -SLYDMDADELGPALLRKNVQTMYAAFHMSEEIAMGYAEGTLNDINAVFSRQGEDIVFTFLEESTLAYKHK -FSNLMAYTARTFFPASTRYVYFKEFLCSRVCTKFVKFCLVDTHCLNRSVFSVAPEIDTEMDDMWERSALC -CTLREKTPIFTDKALMSVWFPKGSKCVLLPIFEGFFEKSDHVTESWEMVDKNFVFTVLNHVQTYQAKQLT -FQNVLSFCESIRSRVVVNGTSVRSEWDIPLELISKISLSLFLIAKFNNLKAEAVIKSFNFEKRNVLSLGY -SAFKEFMQEHTEPLTCWLLKKGYMKSVEDRLEIRDIDLLMSFEDSIKLSYNGISKPKSCDVSESLSASDK -LFILASDISKRFPSVSFDQEKFIHFCNSLKVDLDVVSKVLAGLTTGDFGITLAGISASQCPESTLAATFA -HVDGSRGDNLCSATSLALDRCKKDREVYTVPKEHCIKGPNGEKGKRVWVEKPSIGLPLAGISGEASMKFT -LLDDDGVETDLSDLNGKMVSEFKNLKKKILLYSGSVREQQMKNAIDYYAAVVTATLNNLQKIVHDYMPGQ -AKGFKTYGVYDCATKSWLLEPPTYGHAWGVADTTEGDKIVYLSADKEHQEKLICPSNWKRVAVNGDSMLF -SSMKILQKLSNIEIRDPECKFVLVDGVPGCGKSAEIIERCDLSKDLVLCAGRSASEMLRGRLNKIGKKAT -NSNVRTIDSFLMNPIPLSYDKVWVDEGLMVHTGIISFIALFSKAKTCYIYGDTKQIPFLNRVMDFEYPER -LKNLVVDEVESRNVTHRCPMDVTLQLNEVYKRHVSTTSSVERSLHVENLIGNAVFQPERYKETWDKILVF -TQAEKQTLKKRGYKSVNTVHEVQGETFKDVALVRLDPTQLSLVEKGSPHILVALSRHTHRLVYYTVKLDN -LCTQIESLCSVPSFMLQTFRVDSSTKXQLMDLPVYEFRNLHKETAKSNSASDLQYYYDCCLPGNSTVLNN -FDATTIRLTDIALNSQDIRLTLSKLDPVPELVKESSRSYLQPVLRTAVEKPRTPGLLENWIAMIKRNFDC -PELSGDVDIDAVAEKVVSRFFDVYVNKDRLEADSYMGSESLVCEWLRKQTPATLGQLENIKCMGAVNEYK -HMIKRQPKAKLDNSILSEYPALQTIVYHSKDINAIFGPVFDDMTRRLLESIDSERFLFFTRKTPSDVQDF -FSNLSSKQELDVYELDVSKYDKSQNEFHCAVEMKIWERLGFDKYLKYVWEMGHKLTTITDYSAGIKTSVW -YQRKSGDVTTFIGNTIIIAACLASCMPMEKSFKAAFCGDDSIVYMPKGTPCDNVHAGASLMWNFSAKLYR -RSQGYFCGKYIVRHPGGCIVYPDPLKLISKLGNKSIKDWNHLEEFRVSLFDVFKPLSNNCYYMFLDDAIR -EVFPHACSCSFVLCALYKYLSDPVSFRSLFIEPEKNNGTS - ->YP_002455905.1 replicase [Cactus mild mottle virus] -MTISNNYVNKINAGASQSAQHLLTNLAERVVYDQALESTEAQKKRPRYYFSKVVTAEQQNLITSAYPEFT -ITFTGTSLSVHAVAGGVRGLETELLMTMVPYKAACYDIGGNYVRHLLKGRDYVHCCNPTLSIRDGARYET -YKDELRKVSCKDADSVGSWGAPGGSSYRSRSLLPHQQNAFERYATNSHAVVCTDTFETCTFAPPTNGCTY -AIMLHGLYDIPCESLGAALLRKNVHVAYAAFHLSEEMLWKDADGIFPVNDIDAIFERNGDRVIFRFRDEA -TIAYEHSFRNVAAHALKTYYPAGDGKVYFKEFLCRRLGTVFAKFTLVDTYKMHKSVFHKSVDGSQFIDAM -DEAFSVKREAALFAAERMMLRDKSSLALWFPEAKNKIEIPIFRASISGKRKIKADKVLVDKTFFYTVFNH -VMGYQDKNVSFQTINNFVESVVSRVVINGTSVRPEWNVDKDLICDISLTLHLMVQLRKMQNKVVADKMSV -TSDDFWSALKSNFCAGINAIWPNFLEFSRNRGWLSVIENKLVVTAPNEFLAFDDYIVMEYSKTVDDHPVD -VDFLISNSDKLYNEVSRLASLFPTLNVDIAAFRDFCNTEKIPADFVGKIFEALVSDKVGLSVTGGDNTLT -NLKTACTEQKVDGCVKVATTSSSTPIHDAALSGEAVFPLSGDSRAEYNFWFKDEDGVPVDLSDFHGLDTK -TIAKPQKMAVIYRGSLKQRQMLNFLDYMAASLCATVNNLQRALRQWWTGDKRNPKDVGIFDCKRGVWVTE -PSKKHHTWGVAQLHDLTFRVVVLNYNGDIPCCDSEWKSLGVSTDTKVFSFLKMLHNLRMCLKDGMPPEPQ -CRSILIDGVPGCGKTSEILRRCDFSKDLVLTPTREAAQMIRRRANEPRKQKIADESNVRTIDSFIMNPKP -IIYETVWIDEGLMVHPGLVWFCAQLSQCTTLNIFGDVKQIPFIPRVDNFDLPNELKSLTVDEVDSRDVTH -RCPVDVTAWLAKTYQRNITTTSSVDKSVEAALVPGKATFNAQTFPLPGKILTFTQAEKQDLIKAGYNDVS -TVTEFNVGSSVVNTVHEIQGETYPVVNIVRCNPHPISIISRNSPHVVVALSRHTVKCKYFSVVADVLVDA -INEIGSLNPFFYDLYKSLGSTAXQLTTQPITRGVNMYIPTAKCGDPSDLQFFYDAVLPNNSTVLNDFDSF -TLTALPMQLHVGDCTLDLSKADPNLYARKTGFLKPVLRTGTELPRQPNLWENLLALIKRNFNAPYLMGTV -DIDRMAESVVNRFFEVYIDGSIESCKFRLLAQNTFAEWLKLQNPDVIGKLANFDYIDLPAIDQYYHMIKR -KPKIKLDQSICTEYPALQTIVYHSKEINAIFGPIFKELTRVFLDSVDSSRFLFYTRKSADDVSEFFSDLP -HVSQTDVYELDISKYDKSQNELHCAIEYKIWERLGFDGFLRDVWAQGHRRTVLRDFQAGIKALIWFQRKS -GDVTTFIGNTFINAAAIATLMPLEHCIKAGFCGDDSVIYLPKGTPVGDVQAKANLEWNFEAKLYKKTYGY -FCGRFIIPHSTGAIVYPDVLKVIAKLGAKDVKDFDHLEELRVSMCDTYKQLGNCAYVDLLYSAMTEVYPS -VVDPRFAINTVWKYLTDKRLFKSCHGFCRRGPCEALESE - ->YP_001333649.1 181 kDa replicase [Bell pepper mottle virus] -MAYTQTASSAALLDTVRGNNTMVNDLAKRRLYDTAVEEFNARDRRPKVNFSKVVSEEQTLIATKAYPEFQ -ITFYNTQNAVHSLAGGLRSLELEYLMMQVPYGSLTYDIGGNFASHLFKGRDYVHCCMPNLDVRDIMRHEG -QKDSIELYLSRLERSKKTVPAFQKSAFDKYAEVKDEVVCHDTFQTCKHRQDAYTGRVYAIALHSIYDIPA -DEFGAALLRKDVHVCYAAFHFSEDLLLEDSHANLDEINACFSRDGDKLSFSFASESTLNYVHSFSNVLKY -VCKTYFPASNREVYMKEFLVTRVNTWFCKFSRIDTFLLYKGVAHRATDSEQFYAAMEDAWHYKKTLAMCN -SERILLEDSSSVNYWFPKMRDMVIVPLFDISLETNKRTRKEVLVSKDFVYTVLNHIRTYQAKALTYTNVL -SFVESIRSRVIINGVTARSEWDVDKSLLQSLSMTFFLHTKLAVLKDDLMISKFTLGTKSVSQYVWDEVTI -AFGNAFPSIKERLINRRLITVSEQALEITVPDLYVTFHDRLVTEYKQSVEMPGLDIRKKMEETEQMYNAL -SEISVLKNSDKFDVDVFSQMCCTLNVDPMVAAKVMVAVVSNESGLTLTFEKPTEANVAMALQDSVSASDG -AMVLTSRDIEEPSMKGSMARGELQFAGLTGDITETSFTKNEEIESLAQFHMATASSLIKKQMCSIVYTGP -LKVQQMKNYIDSLVASLSAAVSNLVKILKDTAAIDLETSQKFGVFDVAMKKWLIKPSAKNHAWGVVETQE -RSYHVALLEYNGSEIVMCENWRRIAVSAESVVYSDMAKLKTLRGLLRNGEPHVSTAKVVLVDGVPGCGKT -KEILSKVNFDEDLILVPGRQAAEMIRRRANATGILVATKDNVRTVDSFIMNYGKNARCQFKRLFVDEGLM -LHTGCVNFLVSMSLCDVAYIYGDTQQIPYINRVTGFPYPEHFSKLEVDEVETRRTTLRCPADVTFFLNQK -YEGQVMCTSSEKRSVSQEMVKGAAAINPVSKPMKGKILTFTQFDKDALLSRGYADVHTVHEVQGETYPDV -SLVRLTPTPVSIIARESPHVLVALSRHTKSLKYYTVVMDPLVSIIRDLERVSTFLLDMYKVDAGVQXQLQ -VDSVFSGTNLFVAAMQFYYDKCLPGNSSLFNDFDAVTMKLTDISLNVKDCTLDMSKSVAQPREKVGAPLI -PVVRTAAEMPRQTGLLENLVAMIKRNFNSPELSGIVDIENTASLVVDRFFDSYMLKEKRKPNKNVSMFSR -ESLNRWLAKQEQVTIGQLTDFDFVDLPAVDQYRHMIKAQPKQKLDLSIQTEYPALQTIVYHSKKINAIFG -PLFSELTRQLLDSIDSSRFLFFTRKTPEQIEEFFSDLDSHVPMDILELDVSKYDKSQNEFHCAVEYEIWR -RLGFEDFLAEVWKQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACLASMLPMEKVIKGAFC -GDDSLLYFPKGCDFPDVQQSANLMWNFEAKLYRKTYGYFCGRYVIHHDRGCIVYYDPLKLISKLGAKHIK -DREHLEEFRRSLCDVASSLNNCAYYGQLDDAVGEVHKTAPPGSFVYKSLVKFLSEWQL - ->YP_001041889.1 183 kDa protein [Rehmannia mosaic virus] -MAYTQTATTSALLDTVRGNNTLVNDLAKRRLYDTAVEEFNARDRRPKINFSKAISEEQTLIATRAYPEFQ -ITFYNTQNAVHSLAGGLRSLELEYLMMQVPYGSLTYDIGGNFASHLFKGRAYVHCCMPNLDVRDIMRHES -QKDSIELYLSRLDRGNKVVPSFQKEAFDRYAESPQDVVCHNTFQTCEHQQFQNSGRVYAIALHSIYDIPA -DEFGAALLRKNVHTCYAAFHFAEGLLLEDSYVNLDEINACFSRDGDRLTFSFASESTLNYSHSYSNILKY -VCKTYFPASNREVYMKEFLVTRVNTWFCKFSRIDTFLLYKGVAHKSVDSEQFYTAMEDAWHYKKTLAMCN -SERILLEDSSSVNYWFPKMKDMVIVPLFDISLETSKRTRSEVLVSKDFVYTALNHIRTYQAKALTYTNVL -SFVESIRSRVIINGVTARSEWDVDKSLLPSLSMTFFLHTKLAVLKDDLLIRKFSLGPKTVCQHIWDEISL -AFGNAFPSIKERLLNKKLIKVAGDALEIRVPDLYVTFHDRLVTEYKASVDMPALDIRKRMEETEVMYNAL -SELSILKESDKFDVDIFSQMCKNLEVDPMTAAKVIVAVMSNESGLTLTFEQPTEANVALALHDSEKASEG -ALVVTSRDVEEPSMKGSMARGELQLAGLTGDHPESSYTRNEEIESLEQFHMATAESLIRKQMSSIVYTGP -IKVQQMKNYIDSLVASLSAAVSNLVKILKDTAAIDLETRQKFGVFDVVSRKWLIKPTAKSHAWGVVETHA -RKYHVALLEYDEQGIITCDSWRRVAVSSESVVYSDMAKLRTLRKMLHNGEPHVSTARVVLVDGVPGCGKT -KEILSRVNFEEDLILVPGKQAAEMIKRRANASGVIVATKDNVRTVDSFMMNYGKVARCQFKRLFIDEGLM -LHTGCVNFLVSMSLCEIAYVYGDTQQIPYINRVTGFPYPAHFAKLEVDEVETRRTTLRCPADVTHYLNKR -YEGFVMSTSSVKRSVSQEMVSGAATINPISKPLHGKVLTFTQSDKEALLSRGYSDVHTVHEVQGETYSDV -SLVRLTPTPVSIISGDSPHVLVALSRHTCSLKYYTVVMDPLVSIIRDLEKLSTYLLDMYKVDAGTQXQLQ -INSVFKGSNLFVAAPKTGDISDMQFYYDKCLPGNSTMMNNYDAVTMRLTDISLNVKDCVLDMSKSVAAPK -DENIPLKPMVRTAAEMPRQTGLLENLVAMIKRNFNAPELSGIVDIENTASLVVDKFFDSYLLKEKRKPNK -NVSLFSRESLNRWLERQEQATIGQLADFDFVDLPAVDQYRHMIKAQPKQKLDTSIQTEYPALQTIVYHSK -KINAIFGPLFSELTRQLLDSVDSSRFLFFTRKTPAQIEEFFEDLDSHVPMEILELDISKYDKSQNEFHCA -VEYEIWRRLGFEDFLGEVWKQGHRKTTLKDYTAGIKTCIWYQRKSGDVTTFIGNTVIIAACLASMLPMEK -IIKGAFCGDDSLLYFPKGCEFPDVQQAANLMWNFEAKLFKKQYGYFCGRYVIHHDRGCIVYYDPLKLISK -LGAKHIKDWDHLEEFRRSLCDVAVSLNNCAYYTQLDDAIWEVHKTAPAGSFVYKSLVKYLSDKVLFRSLF -QDGSSC - ->YP_950422.1 55 kDa replicase [Maracuja mosaic virus] -MIQSFPGTRPYSTITTVLVIRLFDNELNVQPCRLTLSKADPVPEIIKINSKEFLKPVLRTGCEKPRTSGM -IENLLAMIKRNFNSPELNGDVDIDVLSSSVVDRFFDVYTSPDAAFILRSYTSLDCANNVYISSHENFSDW -LDKQLPEVVGQLANYDFIGIPPVDEYKHMIKKQPKLKGDCSIQNEYPALQTIVYHSKKINAIFGPVFKEL -TRRLLECFDSQRFMLYTRKTPGDIEEFFNSVTGKNDMDILELDVSKYDKSQDDFHFALEMKIWERLGLDN -FIKTLWSNGHKRTILKDYTAGIKTVLYYQRKSGDVTTFIGNTVIIAACVSNILPIERCIKASFCGDDSLV -LMPKGLNYGDVQSKANLVWNFEAKLFMKQRHAYFCGKYIVFHDNGAVVFPDPLKLVGKLGCKNLQSREHC -EELRRSLCDVAGNFGNCAYFSLLDEAIQETYKSAGSGCYAYRALWRFLTNPHLFQSLFYL - ->YP_950421.1 126 kDa replicase [Maracuja mosaic virus] -MTTISQQLQQTKERLVSDSRGPIQDLASRRVYDDAVNSLRVLDRRPKVNFNRLISADKCKLITESYPEFT -INFTGTVHSSHGLAGGLRTLETEYLMMSVPYGLPTYDIGGNFSQHMLKGRSYVHCCNPCMDLRDVARNDS -YRDTIQSYLSRFQRREPISWNTERFTGRPARALPEFQIEAFKEYQDDPFQLRAPPIPQCPFSPPEQMDTF -AVSVHSLYDIPVNELGPALLRKKVKTLYACMHFSEELLLGAETGILSHIGASFVVKGNKVTFGFFDESTL -LYTHDLRNVKDMMITTWFVADTRFVYMKEFQARRVDTVFCKFQRVDTYRLNRCVFGEDPDALGEFIDDAW -TRSRSTAMMSTEPVFKDSASFQVWFPQSKGKVLVPVFKGIFQSGKMESSTVIVDEDFVHTILNHIRTYPA -KQLTYENVLSFVESIRSRVIVNGCNVRSEWDVEKKILPDVAMTFLLITKLRQLQDQVVFDKFDFKRTTIW -GKVREVFSEYVSDITGFIFESLRSIGWIQIVDDKLKITTPEYYRTFTEELNIKFRRNSEQVEVDVTESLK -LSNEIFDVVAELGEQFDFMAFDTEKLQEFVKNHNVKPSVLKDVISALYNGECGVSFKDTSTPCLQGMSVE -NVSAVDVTNIPSTSGTKPDTVVTEITDTKESERFSFTKFNGMKVSDVLEQIRGPTEKHYVGEVEVRQMEN -YLDYLKASLCGSLSNLDKVLRDYWSQSNETYKTYGVWETQKKRWLLDPPERKHNWGLVLIGNESYIQCLQ -YDQNDQPVCLPGWQRVAVSNETKLFSNVQILNELNEVERVVPGGELILVEGVPGCGKTKEILERCNFKTD -LVLTPGKDAASMIRRRANHGLSKENATSYNVRTFDSFLINRVPVRFDTVWVDEGLMVHTGVIQFSRLRTN -CKRMYVFGDTKQIPFINRVMTFDYPECLRSLKVNSIETRSVTKRCPADVTVYLSSQYESHVLTTSNTLRS -VDAQLLKGAAVLTPRETVLNGKIVTFTQADKALLKKNGYIGVNTVHEVQGDTFDEVSLVRATPTPVGIIA -KDSPHVLVALSRHTCRLTYYTVVPDFVVSKITEIKAVSNFLLDIHMYSGPTKL - ->YP_908760.1 188 kDa replicase [Cucumber mottle virus] -MANIDQQINNVREAAALGKNPLVSQLAAKRVYDEAVRNLDAKDRRPKVTFARQLNTEHMRIVSDAYPEFT -INFSNSVNSVHSLAGGLRALELEYMMMQIPFGSPCYDIGGNFTSHLLKGRSYIHCCNPCLDLKDAARNVM -YHDSIQRYVNKFSRPRAQSPPEPSTTPFAGYAGRVLPDFQVKAIERYHTEPHSVSCTEVFQACPYTFPQS -GETYAVSLHSIYDIPFAEIGPALLRKGTKVLFAAFHFSEELLIGADKGEMNEIGAFFIKDGDNISFRFGN -ESTLHYEHSFENIRKIVTRTYFPASDRVVYVKEFMVKRVNTFFFRLVKVDTHVLHKSLMEYPSAGTKNEY -FSLNSSPIFKDKATFSVWFPSAAKSVVIPVFKRKSFFSGSVSVSQMLVESDFAYTVYNHICTYDNKALTW -KNVQSFVESIRSRVVVNGVSVRSEWNVPIDQLTDISFTLFLMVKLKKSEVEFLESKIDTGSKSLFQALCD -SVSSAVDGLKDHIVDALSATGWFSSHRDELVFKAPCLFMDFSDYLSGVFEADAQVEKARVGDALASSDSL -YKKISELCDKYTGLDFDTEKFQHFCEKFDVSPELITHVIEGIFSLDAGVTVAGDRGLAPPIAAAIALSPI -DADTCEDLMDEGEDSDISLIANCSNFSCEELRRDVLVEKAALQPFYRARNSMMVNYMVNNSPTKPKQWVE -KGSITLPQMGLSVRKNFHKVDFSDDEKVALSAIHLKPVDDLRLKESITPVLYTGPIRVRQMNNYLDYLSS -SLGAVINNLRRLVLATWESEGETMLNYGLFDCSKRSWVLVPNDKAHQWGIVLTDDKVVRVVLLQYDDDGL -PVVEKNWVRFAVSSDTKIFSVIRSLEVLSKEPVKDVTAEVTLVDGVPGCGKTAEIVSKVNWQTDLVLTPG -KEAAAMIRRRANSKFRKPVATHDNVRTFDSFIMNKKPYKFTTLWVDEGLMVHTGLLNFSINIAGVKKVFV -FGDRKQIPFINRVMNFDYPVELSKLIVDNVERRDVTKRCPVDVTKFLNEVYPNAVSTTSDVFYSLNAKRV -AGPGLLRPELTAFKGKIVTFTQSDKFTLEKAGYTDVNTVHEIQGETFDEVSLVRATATPIGLITRKSPHV -LVALTRHTKTLTYYTVTVDCICTIVDELNGVDQSILSMYQCVAGKAXQLKQSQLYFQKNLQLPVSKRGTI -SDMQFYYDRCLPGNSFVLNDFDSVTLRLTNNEFNIQPCRLTLSKLDPVPNLMKLETKNFLQPLLHTANER -PRIPGLLENLVAMIKRNMNTPDLAGTVDIDTMAKSVVEKFFSSFLRDEQLDNILDSVRAVSAESFQEWYD -VQATAALGQLANFDFIDLPPIDAYTHMIKRQPKAKLDTSVQTEYPALQTIVYHPKSVNAIFGPVFKFLTS -KFLSMLDTSKFFFYTRKTPEDLENFFSDLSDHSVMDVLELDVSKYDKSQNDFHFAVEMLIWERLGLDDVL -AKMWAKGHRRTLVTDFQSGIKTLIYYQRKSGDVTTFIGNSFIIAACVASILPLGQCVKAAFCGDDSLVYM -PTGLEYPDIQSAANLVWNFEAKLFKKRFGYFCGKYIIHHKTGCVVYPDPLKLIGKLGAKNITDWNHLEEF -RVSLMDVSKSLFNSAYHHLLDDAIHEVFPHAGGCGFVINSICKYLSDKRLFRNLFIECDVNK - ->YP_762617.1 putative replicase [Streptocarpus flower break virus] -MSHTQSTINTKFVEAGMGRNALVNDLATRAVYDKMASEMQLRARRPKINFSKAVNQEQTLLAMNAYPEFE -ITFVGNQNAVHSLAGGLRALELEYMMTLVPYGHVTYDIGGNFASHLFKGRDYVHCCAPNLDIRDVARHTA -QQDSIMNYSAKLESQQRVIPKFQLEAFNKYANNPRSVVCNNTFQTCEFEPSAERVYAVALHSIYDIPVDE -FGAALLRKNVHKCFAAFHFSENLLLEVDSCNLEEIGASFVRNNDNLSFFFHGESTLNYSHSYRNVIKYVC -KTFFPASNRYVYHKEFMVTRVNTWFCSFTKVDTYVLFRGVYRTDVDSEQFYQSMDEAWEYKKSLAMLNSE -RTILKDSSAVNYWFPKVKDMVIIPLFHGSLASGKTKRTEVMVDRDFVYTVLNHIRTYQAKALTYQNVLSF -VESIRARVILNGTTARSNWDVDKGNLQALAMTFFLQTKLAAAQDELVTAKFRTFDQSVKELLWQRVSDFF -GDVFPSVKDKLTAHGLIKISEKELEIKVPDLYVSFVDRLVSEYKASEELADLDLTKPLEKAEKFYKALSE -LSILKDSSEFDVEEFKTFCNEKDVDPEVVAKVLVAVAEGQLTLPYEKPTPASVSDALAPKIHEDEEEILL -VGNTDEFPRLKEIDSVTLPLAGISGTKRGFSPASSFHLLPVEDFRKNMLSVVYTGSLKVQQMKNYVDYLS -ASVSATVSNLSRLLNDTIGMDNDVREKAGVFDVRSGKWLITPTGKLHAWGVVEFLDRKKRIVLLDWTCDS -VPTCQPDWVRLAVSTDTLIYSDLAKLQNLRGSLRDGEPTEPKAKIILVDGVPGCGKTKEILERCDFTKDL -ILVPGKEASKMIIKRANAGGKNRANQDNVRTVDSFLIHMKGTQVKRLFIDEGLMLHTGCVNFLALFSHCE -EVLVYGDTHQIPFINRVANFPYPSHFAQLQYDSVEKRRVTLRCPADVTHHLNSQYDGKVMCTSSILRSVE -CEVVRGKAVLNPKTKPLSGKIITFTQSDKLELQNKGYGEVDVLDVNTVHEIQGETYEHVSLVRLTPTPLE -IVSHGSPHVLVALTRHTQSLKYYTVVWDPVVKVISDLGKLSNFILDMYKVEKPVQXQLQIESVFKGSNLF -VPAAKSGDPMDLQFYYDTLLPGNSTILNNFDAVSMVLSDNSLNVRDCVIDFSKSVPVPRTVASKEFLVPV -LRTAAERPRTPSLLENLVAMIKRNFNAPDLTGVIDIEQTAGYAAEKFWEAFILPEFSGGTSGMLTTDMVH -RWLAKQDEATIGQLANFDYVDLPEISAYKHMIKTQPKQKLDLSIQDEYPALQTIVYHSKQINAIFGPMFS -ELTRQLLERIDGSRFLSYTRKTPSQIEDFFSDLNANEAMDILELDISKYDKSQGEFHCAVEYKIWELLGI -DAYLEEVWKRGHMRTSLKDYTAGIKTVLWYQRKSGDVTTFIGNTIIIAACLATLVPMDRVIKAAFCGDDS -IIYLPKGLDLPDIQIGANLMWNFEAKLFRKNYGYFCGRYIIHHDRGAIVYYDPLKLISKLGCKHIKDFEH -LEEFRISLSDVCSSLSNCAYFGQLNDAIAEVYPTAVNGSFAFCCISKFLSDKTLFRRLFLDGNSSF - ->NP_740757.2 replicase [Youcai mosaic virus] -MAQFQQTVNMQTLQAAAGRNSLVNDLASRRVYDNAVEELNARSRRPKVHFSKSVSTEQTLLASNAYPEFE -ISFTHTQQAVHSLAGGLRTLELEYLMMQVPFGSLTYDIGGNFAAHLFKGRDYVHCCMPNLDVRDIARHEG -HKEAIFSYLSRLDRQRRPVPEYQRAAFHNYAENPHFVHCDRPFQQCELSTVNRWDTYAIALHSIYDIPAD -EFGAALLRKNVKICYAAFHFHENMLLDCDSVTLEDIGATFQRAGDKLNFFFHNESTLNYTHSFSNIIKYV -CKTFFPASQRYVYHKEFLVTRVNTWYCKFTRVDTFTLFRGVYKTSVDSEEFYKAMDDAWEYKKTLAMLNS -ERTIFKDSAAINFWFPKVRDMVIIPLFDASITTGRMSRREVLVNKDFVYTVLNHIKTYQAKALTYANVLS -FVESIRSRVIINGVTARSEWDTDKAILGPLAMTFFLVTKLSHVQDEIVLKKFQKFDATAKELIWSSLCDA -LKGVIPSVKETLARGGFVKLAEESLEIKIPELYCTFTDRLVLEYKRTEEFQSCDLSKPLEESEKYYNALS -ELSVLENLGSFDLDAFKELCQKKNVDPDVAAKVVVAIMNSELTLPFKKPTEEEVAEALSGEVVQDEGLRL -SNKAPFPCVSNLKEGLVPACGLCPNGANFDRVDMDISEFHLKSVDAVKKGAMMSAVYTGKIKVQQMKNYV -DYLSASLSATVSNLCKVLRDVHGVDPESQEKSGVWDVRRGRWLLKPNAKCHAWGVAEDANHKLVIVLLNW -DEGKPVCDETWFRLAVSSDSLVYSDMGKLKTLTSCCRDGEPPEPTAKLVLVDGVPGCGKTKEILEKVNFS -EDLVLVPGKEASKMIIRRANQAGITRADKDNVRTVDSFLMHPPKRVFKRLFIDEGLMLHTGCVNFLMLLS -HCDVAYVYVDTQQIPFICRVANFPYPAHFAKLVVDEKEDRRVTLRCPADVTYFLNQKYDGSVLCTSSVER -SVSAEVVRGKGALNPITLPLEGKILTFTQADKFELLDKGYKDVNTVHEVQGETYEKTAIVRLTATPLEII -SRASPHVLVALTRHTTRCKYYTVVLDPMVNVISELGKLSNFLLEMYKVESGTQXQLQIDTVFKGTNLFVP -TPKSGDWRDMQFYYDTLLPGNSTILNEFDAVTMNLRDISLNVKDCRIDFSKSVQVPKERPVFMKPKLRTA -AEMPRTAGLLENLVAMIKRNMNAPDLTGTIDIEDTASLVVEKFWDAYVVKEFSGTDGMAMTRESFSRWLS -KQESSTVGQLADFNFVDLPAVDEYKHMIKSQPKQKLDLSIQDEYPALQTIVYHSKKINAIFGPMFSELTR -MLLETIDTSKFLFYTRKTPTQIEEFFSDLDSSQAMEILELDISKYDKSQNEFHCAVEYKIWEKLGIDDWL -AEVWRQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTIIIAACLSSMIPMDKVIKAAFCGDDSLIYI -PKGLDLPDIQAGANLTWNFEAKLFRKKYGYFCGRYVIHHDRGAIVYYDPLKLISKLGCKHIRDEVHLEEL -RRSLCDVTSNLNNCAYFSQLDEAVAEVHKTAVGGAFVYCSIIKYLSDKRLFKDLFFV - ->NP_671718.1 183kDa protein [Paprika mild mottle virus] -MAHLQQSMQGALLDTIRGQNSLVNDLAKRKLYDTAVEELNAKDRRPKVNFSKTINEEQTLVVSQAYPEFQ -ITFYNTQLAVHSLAAGLRTLELEYLMMQIPYGSLTYDIGGNFAAHLFKGRDYVHCCMPNLDLRDIMRHEN -QKDSVATYISRLKARNKVIPAFQQDAFQRYAERSDEVVCSDTFQCCTSNRYHSGNRVYAIALHSLYDIPA -DELGAALLRKDVHTLYAAFHFAEELLLEASTVELPAIGGIFSRDGDRLDFCFSNESTLNYSHSYSNLLKY -VCKTYFPASSRYVYMKEFLVTRVNTWFCKFTKLDTYTLYRGVYHRGCDQNEFYAAMEDAWHYKKTLAMLN -TERIVLEDKSSVNYWFPKMRDMVIVPLFDVSLETQRRTRKEVLVNKDFVFTVLNHIRTYQAKALTYNNVL -SFVESIRSRVIINGVTARSEWDVDKSLIQSLSMTFFLITKLSVLKDELLTSKFTLSTKSLNEHVWDEIKS -ACGSIFPSLKETLLRRKMISSSADKLEIKVPDTYVTFHDRFVSEYKSSVEMPTIDISKDLSEAECFYSAL -SELSVLENSKDFDLEKFSRMCALNSVNPEVAAKVIVAVLSNESGVTLPFKEPTEGNIAEALKPNGRDEVL -TLENVTENTDLTCKSMVSSGSLPLCGISGEIACDTFVRNEEINSLEEYHMLAAESVINNRMASIVYSGPL -QVQQMQNYVDSLVASLSATVSNLKKLVKDSSVGFQDALSKVGVFDARMKRWLIKPTLKNHSWGVVQKFDG -KCFLAILSYDNENPICDADWCKVAVSNESMVYSDMAKLRVLKGSMGDKPPHVSSAKVTLVDGVPGCGKTK -EILRRVNFDEDLVLVPGKEAAAMIRKRANQCGNTVANNNNVKTVDSFLMNLGKGPRNHFKRLFIDEGLML -HPGCVYFLVTLSLCDEAFVFGDTQQIPYINRVQNFPFPKHFSKLIVDETEKRRTTLRCPVDVTHFLNQRY -EGAVTTTSTTTRSVGVDVVNGAATMNPVTRPLKGKIITFTQSDKITLASRGYENVNTVHEIQGETYDDVC -LVRLTPTPIHIIARDSPHVLVGLTRHTKTFKYYTVVLDPLVKIVRDLECVSNFLLDVYMVDSVNAXQLQV -GSVYMSESLFVQAPKSGDIQDMQFYYDKCLPGNSTVLNDFDAVTINNADISLNVKDCTLDFSKSVPLPKD -KLQSPLTPVIRTAAEKPRQSGLLENLVAMIKRNFNSPELSGIVDIENTASVVVDRFFDSYFLKDKISSCS -LGDSGGKNIIDRQALIRWMEKQEKSTIGQLADFDFIDLPAIDQYRHIIKSQPKQKLDLSIQSEYPSLQTI -VYHSKKINALFGPIFSELTRQMLSAIDSSRFLFFTRRTPEQIEEFFSDLDTSQPMEVLELDISKYDKSQN -EFHCAVEYEIWRRLGIDDFLAEVWKQGHRRTTLKDFTSGIKTCLWYQRKSGDVTTFIGNTVIIAACLASM -LPMEKVIKAAFCGDDSLVYLPKGCELPDIQSCANLMWNFEAKLFKKTYGYFCGRYVIHHDRGAIVYVDPL -KIISKLGAKHITDREHLEEFRVSLADVSKSLNNCAYYTQLDEAVREVHRTAPPGAFVYKCIVKFLSDKVL -FKSLFL - ->NP_624336.1 189 kDa replicase [Zucchini green mottle mosaic virus] -MANITQQIIDTREAAAAGRNPLIAQLASKRVYDEAVKSLDTQDKRPKVNFSRVLSTEQMRVVTENYPEFS -VSYTGSALSVHSLAGGLRYLEGEYLMMQVPYGSPCYDIGGNYSQHMLKGRSYVHCCNPCLDLKDIARNEM -YKDAIERYVTKKKDGPRSVAWRSQAESSQETKFAGLPAWQMDAFRRYHSDPTAVTCPDVFQQCEHEFHKS -GDRYAVALHSIYDIPCEQIGPALLRKNIKVLFAAFHFSEELLVGSEFGRLPNVGAFFSVDDDTVNFQFED -ESTLHYTHSFSNIRKIVTRTFFPASDRVVYVKEFMVKRVDTFFFRMVRVDTHMLHKSVGQFQVSKNDYYS -LKSSPIFQDKATFSVWFPNAKSKVVIPLFEMQGFFSGSLKAKKMLVDATFIHTVINHICTYDNKALTWRN -VQSFVESIRSRVVVNGVSVRSEWDVPIETLCDISFTVFLLVKVKKAQVEIMSEKIVTQPQGLLDRLVQKV -SEAFEGCTAAVHAALASTGWFRCHADELVVETPELFMDFHDFLSAAFEADAKIEAANVESVLDASDRLYT -TVTELCERYSGIEFDIEKFTDFCHHHDVNPGLIGTVIEAIFSQSAGITVTGLQAKSLEWAAAEALAPADD -GMDCESDEEDVCFPDYPGLSAEEQRYLTQVRVKEDSFVELQDAFNRKAVTETVTVGVGALPTLPKQWIAK -GKAHLPQVGYSVGKNKHSIDFNDDDVVAIRKIHMMETCNLRLKKTITPVIYTGPIRVRQMANYLDYLSAS -LTATIGNLERIVRSSWSGENELVQTYGLFDCQADKWILQPTERTHSWGVCLTTDDKLRIVLLQYDEFDWP -IVDKSSWKAFCVSADTKVFSVIRSLEVLSHLPLTDCTAKFTLIDGVPGCGKTQEIISSANFSTDLILTPG -KEAAAMIRRRANMKFRSCVATTDNVRTFDSFVMNLKPYNFKTLWVDEGLMVHTGLLNFCVNISKVSEVKI -FGDTKQIPFINRVMNFDYPLELKKIIVDNVEKRYTSKRCPRDVTHYLNEVYAAPVTTSSAVVHSVSQKKI -AGVGLLRPELTNLEGKIITFTQSDKQTLLKAGYQDVNTVHEVQGETYESTSVVRATATPIGLISRKSPHV -LVALSRHTKTMTYYTVTVDPVSCIIADLEKVDQSILSMYASVACTKXQLQQMSFHISENVVLPVSKAGFW -TDMQCFYDACLPGNSFVLNDYDSVTMRLADNEFNLQPCRLTLSKADPVAESLKLERKNFLVPSLKTAAER -PRIPGFLENLVAIVKRNFNTPELAGTLDISSISKNVVDNFFTTFLRDEQLCDHLARVRSLSLESFSAWFD -NQATVALGQLANFDFTDLPPVDAYTHMIKRQPKSKLDTSIQSEYPALQTIVYHSKVVNAVFGPVFRYLTS -EFLSMVDNSKFFFYTRKTPEELQSFFSTLSAKEPYEILELDVSKYDKSQTDFHQAVEMAIWERLGLDDFL -ARVWEIGHKRTSISDFQAGIKTVIYYQRKSGDVTTFIGNTFIIAACVASMIPLGRCFKASFCGDDSLIYM -PPNLEYPDIQATANLVWNFEAKLFKKRYGYFCGKYVIHHAKGCIVYPDPLKLISKLGNKSVESYEHLEEF -RISLMDVAKPLFNAAYFHLLDDAIHEYFPSVGGSSFAINSLCKYLSDKWLFRSLFYRSSS - ->NP_620841.1 180K protein [Obuda pepper virus] -MAHIQQSMQGALLDTVRGQNSLVNDLAKRRLYDTAVEEFNAKDRRPKINFSKSINEEQTLIVSQAYPEFQ -ITFYNTQLAVHSLAAGLRSLELEYLMMQVPYGSLTYDIGGNFAAHLFKGRDYVHCCMPNLDLRDIMRHEN -QKDSVATYLSRLKARNKVLPAFQQEAFQRYSERSDEVVCNNTFQCCESNRYSSGGRVYAISLHSLYDIPA -DELGAALLRKNVHTLYAAFHFAEELLLEVSTVELPTIGGIFSRDGDKINFCFSNESTLNYSHSYSNLLKY -VCKTYFPASNRFVYMKEFLITRVNTWFCKFTKLDTYTLYRGVYHRGCDQQEFYSAMEDAWHYKKTLAMLN -SERIVLEDHSSVNYWFPKMKDMVIVPLFDVSLETQKRTKKEVIVSKDFVYTVLNHIRTYQAKALTYNNVL -SFVESIRSRVIINGVTARSEWDVDKALLQSMAMTFFLITKLSMLKDELLVSKFTLSAKSVHEHVWDEIKR -GCGNMFPSLKESLLRKKLISGSAEELEIEVPDMYVTFHDRFVAEYKASVEMPTIDISKDLSEAESYYSAL -SELSVLENSKDFDLEKFSRMCAINCVNPDIAAKIVVAVLSNESGVTLPFKEPTEGNMAEAMKSGEKDEVL -TLGSQTDNTDLTSKSMVISGSLPLCGIASEISCDTFVRNEEINSLEEYHMLAAESVISNKMASIVYSGPL -QVQQMQNYVDSLAASLSATVSNLKKLVKDSSVGFQDSLSKVGVFDVRKKMWLIKPTLKNHSWGVVQKFDG -KCFLALLSYHNELPICDADWSKVAVSNESMVYSDMAKLRVLRKSIGEMPISVSSAKVTLVDGVPGCGKTK -EILRRVNFSEDLVLVPGKEAAAMIRKRANQSGNIVANNDNVKTVDSFLMNLGKGPVCQFKRLFVDEGLML -HPGCVYFLVKLSLCNEAFVFGDTQQIPYINRVQNFPFPQHFSKLIVDETEKRRTTLRCPVDVTHFLNQCY -DGAVTTTSKTQRSVGLEVVGGAAVMNPVTKPLKGKIVTFTQSDKLTMLSRGYQDVNTVHEIQGETYEEVS -LVRLTPTPIHIISRESPHVLVGLTRHTRCFKYYTVVLDPLVKLVRDLECVSNFLLDVYMVDSVSAXQLQV -SGVYLAENLFVQAPKSGDAQDLQFYYDKCLPGNSTVLNEFDAVTMNCSDISLNVKDCVLDFSKSVPLPRD -NTKVPMTPVIRTAAERPRSQGLLENLVAMIKRNFNSPELSGTVDMENTASVVADRFFDSYFLKDKLSGCS -LGDSGGKNIIDRQALIRWMEKQEKSTIGQLADYDFVDLPAIDQYRHIIKSQPKQKLDLSIQSEYPSLQTI -VYHSKKINALFGPIFSELTRQMLSAIDTSRYLFFTRKTPEQIEEFFSDLDAHQPMEVLELDVSKYDKSQN -EFHCAVEYEIWKRLGIDEFLAEVWKQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACMASM -LPMEKVIKAAFCGDDSLVYLPKGCELPNIQSCANLMWNFEAKLFKKTYGYFCGRYVIHHDRGAIVYVDPL -KIISKLGAKHITDKEHLEEFRISLADVSKSLNNCAYYAQLDEAVREVHKTAPPGSFVYKCIVKFLSNRVL -FESLFF - ->NP_619684.1 189 kDa replicase [Kyuri green mottle mosaic virus] -MANITQQIIDTREAAAAGRNPLIAQLASKRVYDEAVKSLDTQDKRPKVNFSRVLSTEQMRVVTENYPEFS -VSYTGSALSVHSLAGGLRYLEGEYLMMQVPYGSPCYDIGGNYSQHMLKGRSYVHCCNPCLDLKDVARNEM -YKDAIERYVTKKRDGPRSVAWRSQAETSQETKFAGLPSWQMDAFRRYHSDPSSVTCSDVFQQCEHEFARS -GDRYAVALHSIYDVPCEQIGPALLRKNIKVLFAAFHFSEDLLIGSEFGRLPNVGAFFSVDGDSVNFQFED -ESTLHYTHSFTNIRKIVTRTFFPASDRIVYVKEFMVKRVDTFFFRMVRVDTHMLHKSVGQYQVSKNDYYS -LKSSPIFQDKATFSVWFPQAKSKVVIPLFEMQGFFSGTLKSKKMLVDATFIHTVINHICTYDNKALTWRN -VQSFVESIRSRVVVNGVSVRSEWDVPIEMLCDISFTVFLLVKVKKAQIEIMSEKIVTQPQGLLERLAQKV -SEAFEGCNATIHAALMSTGWFRCQADELVVEAPELFMDFHDFLSAAFEADAKIEAANVESVLDASDRLYT -TVNELCERYSGIEFDLEKFTDFCHHHDVNPGLIGTVIEAIFSQSAGITVTGLQAKSPEWAAAEALAPVFD -DMDCSSDEEDVCQPKYPGLSAEEQRYLREVRTKEASFVELQDKFNRKSVTETVTVGVGALPTLPKQWIAK -GKAHLPQVGYSVGKNKHYTDFNDDDIVAVRKIHLMETCNLRLKKTITPVIYTGPIRVRQMANYLDYLSAS -LTATIGNLERIVRSSWSGENELVQTYGLFDCQADKWILQPTERTHSWGVCLTTDDKLRIVLLQYDEFDWP -IVDKSSWKAFCVSADTKVFSIIRSLEVLSSLPLQDPTAKFTLIDGVPGCGKTQEIINSADFKTDLILTPG -KESAAMIRRRANAKFRGCVATNDNVRTFDSFVMNKKPFTFKTLWVDEGLMVHTGLLNFCVNIAKVKEVKI -FGDTKQIPFINRVMNFDYPLELKKIIVDDVEKRYTSKRCPRDVTHYLNEVYAAPVTTSSAVVHSVSQKKI -AGVGLLRPELTSLEGKIITFTQSDKQTLLKAGYEDVNTVHEVQGETYECTSVVRATATPIGLISRKSPHV -LVALSRHTKTMTYYTVTVDPVSCIIADLEKVDQSILSMYATVASTKXQLQQMSFHITENVVLPVSKAGFW -TDMQSFYDACLPGNSFVLNDYDSVTMRLADNEFNLQPCRLTLSKADPVAESLKLERKNFLVPSLKTATER -PRIPGFLENLVAIVKRNFNTPDLAGTLDIDTISKSVVDNFFTTFLRDEQLCDHLVRVRSLSLECFSAWFD -NQATSAMGQLANFDFSDLPPVDMYTHMIKRQPKSKLDTSIQSEYPALQTIVYHSKLVNAVFGPVFRYLTS -EFLSMVDNSKFFFYTRKTPEDLQSFFSTLSAKESYEILELDVSKYDKSQTDFHQAVEMLIWERLGLDDIL -ARIWEMGHKKTSISDFQAGIKTVIYYQRKSGDVTTFIGNTFIIAACVASMVPLSKCFKASFCGDDSLIYM -PPNLEYPDIQATANLVWNFEAKLFKKRYGYFCGKYVIHHSKGCIVYPDPLKLISKLGNKSLESYEHLEEF -RISLMDVAKPLFNAAYFHLLDDAIHEYFPSVGGSSFAINSLCKYLSDKWLFRSLFSKSVV - ->NP_597746.1 Replicase [Tobacco mosaic virus] -MAYTQTATTSALLDTVRGNNSLVNDLAKRRLYDTAVEEFNARDRRPKVNFSKVISEEQTLIATRAYPEFQ -ITFYNTQNAVHSLAGGLRSLELEYLMMQIPYGSLTYDIGGNFASHLFKGRAYVHCCMPNLDVRDIMRHEG -QKDSIELYLSRLERGGKTVPNFQKEAFDRYAEIPEDAVCHNTFQTMRHQPMQQSGRVYAIALHSIYDIPA -DEFGAALLRKNVHTCYAAFHFSENLLLEDSYVNLDEINACFSRDGDKLTFSFASESTLNYCHSYSNILKY -VCKTYFPASNREVYMKEFLVTRVNTWFCKFSRIDTFLLYKGVAHKSVDSEQFYTAMEDAWHYKKTLAMCN -SERILLEDSSSVNYWFPKMRDMVIVPLFDISLETSKRTRKEVLVSKDFVFTVLNHIRTYQAKALTYANVL -SFVESIRSRVIINGVTARSEWDVDKSLLQSLSMTFYLHTKLAVLKDDLLISKFSLGSKTVCQHVWDEISL -AFGNAFPSVKERLLNRKLIRVAGDALEIRVPDLYVTFHDRLVTEYKASVDMPALDIRKKMEETEVMYNAL -SELSVLRESDKFDVDVFSQMCQSLEVDPMTAAKVIVAVMSNESGLTLTFERPTEANVALALQDQEKASEG -ALVVTSREVEEPSMKGSMARGELQLAGLAGDHPESSYSKNEEIESLEQFHMATADSLIRKQMSSIVYTGP -IKVQQMKNFIDSLVASLSAAVSNLVKILKDTAAIDLETRQKFGVLDVASRKWLIKPTAKSHAWGVVETHA -RKYHVALLEYDEQGVVTCDDWRRVAVSSESVVYSDMAKLRTLRRLLRNGEPHVSSAKVVLVDGVPGCGKT -KEILSRVNFDEDLILVPGKQAAEMIRRRANSSGIIVATKDNVKTVDSFMMNFGKSTRCQFKRLFIDEGLM -LHTGCVNFLVAMSLCEIAYVYGDTQQIPYINRVSGFPYPAHFAKLEVDEVETRRTTLRCPADVTHYLNRR -YEGFVMSTSSVKKSVSQEMVGGAAVINPISKPLHGKILTFTQSDKEALLSRGYSDVHTVHEVQGETYSDV -SLVRLTPTPVSIIAGDSPHVLVALSRHTCSLKYYTVVMDPLVSIIRDLEKLSSYLLDMYKVDAGTQXQLQ -IDSVFKGSNLFVAAPKTGDISDMQFYYDKCLPGNSTMMNNFDAVTMRLTDISLNVKDCILDMSKSVAAPK -DQIKPLIPMVRTAAEMPRQTGLLENLVAMIKRNFNAPELSGIIDIENTASLVVDKFFDSYLLKEKRKPNK -NVSLFSRESLNRWLEKQEQVTIGQLADFDFVDLPAVDQYRHMIKAQPKQKLDTSIQTEYPALQTIVYHSK -KINAIFGPLFSELTRQLLDSVDSSRFLFFTRKTPAQIEDFFGDLDSHVPMDVLELDISKYDKSQNEFHCA -VEYEIWRRLGFEDFLGEVWKQGHRKTTLKDYTAGIKTCIWYQRKSGDVTTFIGNTVIIAACLASMLPMEK -IIKGAFCGDDSLLYFPKGCEFPDVQHSANLMWNFEAKLFKKQYGYFCGRYVIHHDRGCIVYYDPLKLISK -LGAKHIKDWEHLEEFRRSLCDVAVSLNNCAYYTQLDDAVWEVHKTAPPGSFVYKSLVKYLSDKVLFRSLF -IDGSSC - ->NP_543049.1 unnamed protein product [Wasabi mottle virus] -MAQFQQTIDMQTLQAAAGRNSLVNDLASRRVYDNAVEELNARSRRPKVHFSKSVSTEQTLLASNAYPEFE -ISFTHTQHAVHSLAGGLRSLELEYLMMQVPFGSLTYDIGGNFAAHLFKGRDYVHCCMPNLDVRDIARHEG -HKEAVHGYISRLKRQRRPVPEYQRAAFNNYSENPHFVHCDQPFQQCALSTVNGDDTYAIALHSVYDIPVE -EFGSALLRKNVKVCYAAFHFHENMLLDCDTVTLEEIGATFQRAGDKLNFFFHNESTLNYTHSFSNIIKYV -CKTFFPASQRFVYHKEFLVTRVNTWYCKFTRVDTFTLFRGVYKNSVDSDEFYKAMDDAWEYKKTLAMLNA -ERTIFKDNAAINFWFPKCRDMVIVPLFDASITTGRMSRREVMVNKDFVYTVLNHIKTYQAKALTYANVLS -FVESIRSRVIINGVTARSEWDTDKAILGPLAMTFFPVTKLGHVQDEIVLKKFQKFDSTAKELIWTSLCDA -LMGVIPSVKETLARGGFVKLAEESLEIKIPELYCTFTDRLVLEYKKAEEFKSCDLSKPLEESEKYYNALS -ELSVLENLDSFDLEAFKELCQKKSVDPDVAAKVVVAIMKSELTLPFKKPTEEEIAESLSNDTIRNEGLSL -SNTAPFPCVSNLEGGLVPACGLCPKSGGFDKIDMDISEFHLRSVDAVKKGAMMSAVYTGNIKVQQMKNFV -DYLSASLSATVSNLCKVLRDVHGVDPESQEKSGVWDVRRGRWLLKPNAKCHAWGVAEDANHKLVIVLLNW -DDGKPVCDQTWYRLAVSSDSLVYSDMGKLKTLTSCCVDGEPPEPRAKVVLVDGVPGCGKTKEILEKVNFS -EDLVLVPGKEASKMIIRRANQAGVTRADRDNVRTVDSFLMHPPKRVFKRLFIDEGLMLHTGCVNFLTLIS -QCDIAYVYGDTQQIPFICRVANFPYPKHFARLVVDEKEDRRITLRCPADVTFFLNKKYDGSVLCTSSVER -SVSAQVVRGKGALNPITLPLEGKILTFTQADKFELLDKGYKDVNTVHEVQGETYEKTAIVRLTATPLEII -SRASPHVLVALTRHTTSCKYFSVVLDPLVSVISEMEKLSNFILDMYKVESGTQYQLQIDAVFKGVNLNVP -TPKSGDWRDMQFYYDTLLPGNSTILNEFDAVTMNLRDISLNVKDCRIDFSKSVQVPKEKPVFLKPKIRTA -AEMPRTAGLIENLVAMIKRNMNAPDLTGTIDIEDTASLVVEKFWDSYIVKEFSGIEGMAKTREGFSRWLS -KQESSTVGQLADFNFVDLPAVDEYKHMIKSQPKQKLDLSIQDEYPALQTIVYHSKKINAIFGPMFSELTR -MLLERVDTSKFLFYTRKTPTQIEEFFSDLDSSQAMEILELDISKYDKSQNEFHCAVEYKIWEKLGIDEWL -AEVWRQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTIIIAACLSSMIPMEKVIKAAFCGDDSLIYI -PKGLDLPDIQAGANLTWNFEAKLFRKKYGYFCGRYVIHHDRGAIVYYDPLKLISKLGCKHIKDEVHLEEL -RRSLCDVASNLNNCAYFSQLDEAVAEVHKTAVGGSFAYCSIIKYLSDKRLFKDLFFV - ->NP_078446.1 unnamed protein product [Tomato mosaic virus] -MAYTQTATSSALLETVRGNNTLVNDLAKRRLYDTAVDEFNARDRRPKVNFSKVVSEEQTLIATKAYPEFQ -ITFYNTQNAVHSLAGGLRSLELEYLMMQIPYGSLTYDIGGNFASHLFKGRAYVHCCMPNLDVRDIMRHEG -QKDSIELYLSRLERGNKHVPNFQKEAFDRYAEMPNEVVCHDTFQTCRHSQECYTGRVYAIALHSIYDIPA -DEFGAALLRKNVHVCYAAFHFSENLLLEDSHVNLDEINACFQRDGDRLTFSFASESTLNYSHSYSNILKY -VCKTYFPASNREVYMKEFLVTRVNTWFCKFSRIDTFLLYKGVAHKGVDSEQFYKAMEDAWHYKKTLAMCN -SERILLEDSSSVNYWFPKMRDMVIVPLFDISLETSKRTRKEVLVSKDFVYTVLNHIRTYQAKALTYSNVL -SFVESIRSRVIINGVTARSEWDVDKSLLQSLSMTFFLHTKLAVLKDDLLISKFALGPKTVSQHVWDEISL -AFGNAFPSIKERLINRKLIKITENALEIRVPDLYVTFHDRLVSEYKMSVDMPVLDIRKKMEETEEMYNAL -SELSVLKNSDKFDVDVFSQMCQSLEVDPMTAAKVIVAVMSNESGLTLTFEQPTEANVALALQDSEKASDG -ALVVTSRDVEEPSIKGSMARGELQLAGLSGDVPESSYTRSEEIESLEQFHMATASSLIHKQMCSIVYTGP -LKVQQMKNFIDSLVASLSAAVSNLVKILKDTAAIDLETRQKFGVLDVASKRWLVKPSAKNHAWGVVETHA -RKYHVALLEHDEFGIITCDNWRRVAVSSESVVYSDMAKLRTLRRLLKDGEPHVSSAKVVLVDGVPGCGKT -KEILSRVNFEEDLILVPGRQAAEMIRRRANASGIIVATKDNVRTVDSFLMNYGKGARCQFKRLFIDEGLM -LHTGCVNFLVEMSLCDIAYVYGDTQQIPYINRVTGFPYPAHFAKLEVDEVETRRTTLRCPADVTHFLNQR -YEGHVMCTSSEKKSVSQEMVSGAASINPVSKPLKGKILTFTQSDKEALLSRGYADVHTVHEVQGETYADV -SLVRLTPTPVSIIARDSPHVLVSLSRHTKSLKYYTVVMDPLVSIIRDLERVSSYLLDMYKVDAGTQXQLQ -VDSVFKNFNLFVAAPKTGDISDMQFYYDKCLPGNSTLLNNYDAVTMKLTDISLNVKDCILDMSKSVAAPK -DVKPTLIPMVRTAAEMPRQTGLLENLVAMIKRNFNSPELSGVVDIENTASLVVDKFFDSYLLKEKRKPNK -NFSLFSRESLNRWIAKQEQVTIGQLADFDFVDLPAVDQYRHMIKAQPKQKLDLSIQTEYPALQTIVYHSK -KINAIFGPLFSELTRQLLDSIDSSRFLFFTRKTPAQIEDFFGDLDSHVPMDVLELDVSKYDKSQNEFHCA -VEYEIWRRLGLEDFLAEVWKQGHRKTTLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIASCLASMLPMEK -LIKGAFCGDDSLLYFPKGCEYPDIQQAANLMWNFEAKLFKKQYGYFCGRYVIHHDRGCIVYYDPLKLISK -LGAKHIKDWDHLEEFRRSLCDVAESLNNCAYYTQLDDAVGEVHKTAPPGSFVYKSLVKYLSDKVLFRSLF -LDGSSC - ->NP_072162.1 unnamed protein product [Cucumber fruit mottle mosaic virus] -MANITQHINDTREAAAAGRNPLVAQLASKRVYDEAVKSLDSQDKRPKVNFARVLTTEQTRKVTESYPEFS -ISYTASALSVHSLAGGLRYLEGEYLMMQVPYGSPVYDIGGNYSQHMLKGRAYVHCCNPCLDLKDIARNEM -YKDAIDRYVHKKREAPRSNAWRARAESVQEIKDGRLPSWQIDAFQRYKDCPRAVTCNDVFQECQYEHTRR -GDRYAVALHSIYDIPFEQIGPALLRKNIKVLFAAFHFSEELLLGQSFGALPNIGAFFTVNGDSVEFQFEE -ESTLHYSHSFQNIRKIVTRTYFPASDRVVYVKEFMVKRVDTFFFRMVRVDTHMLHKSVGTYPVCATNYFS -LKSSPIFQDKATFSVWFPKAKSKVVIPIFKMQGFFTGSIVAEKMMIDASFIHTVINHICTYDNKALTWRN -VQSFVESIRSRVVVNGVSVRSEWDVPVELLTDISFTVFLLVKVKKTQIEIMSDKIVTQPQGLIERIVQRV -SEAFEGCTEAVQKALLTSGWFRTPADDLVLDIPELFMDFHDYLSGVFESRMLVLRRRTVEKCFKRFPTSF -IRLYRKLCERYSGIEFDLEQVSDFCHHHDVNPALVGPVIEAIFSQTAGITVTGLSTKSVEWAAAEALAPT -SVDMDCDSDDEELEQKFPNLSNEELRYLHEVRSKEAAFLELQDTFKTKKVTELVSVGVGALPTLPRQWIA -TGKVHLPQVGLSVGKNKHSVEICDEDGVSVKNLHLTETCNLRLKKTITPVIYTGPIRVRQMANYLDYLSA -NLAATIGILERIVRSNWSGNEVVQTYGLFDCQANKWILLPSEKTHSWGVCLTMDDKLRVVLLQYDSAGWP -IVDKSFWKAFCVCADTKVFSVIRSLEVLSALPLVEPDAKYVLIDGVPGCGKTQEIISSADFKTDLILTPG -KEAAAMIRRRANMKYRSPVATNDNVRTFDSFVMNKKPFTFKTLWVDEGLMVHTGLLNFCVNIAKVKEVRI -FGDTKQIPFINRVMNFDYPLELRKIIVDTVEKRYTSKRCPRDVTHYLNEVYSSPVCTTSPVVHSVTTKKI -AGVGLLRPELTALPGKIITFTQNDKQTLLKAGYADVNTVHEVQGETYEETSVVRATATPIGLISRKSPHV -LVALSRHTKAMTYYTVTVDPVSCIIADLEKVDQSILSMYASVAGTKXQLQQLSVYVHLPVSKAGFWTDMQ -NFYDACLPGNSFVLNDYDSVTMRLVDNEINLQPCRLTLSKADPVTESLKMEKKEFLIPLGKTATERPRIP -GLLENLIAIVKRNFNTPDLAGSLDISSISKGVVDNFFSTFLRDEQLADHLCKVRSLSLESFSAWFDNQST -CALGQLSNFDFVDLPPVDVYNHMIKRQPKSKLDTSIQSEYPALQTIVYHSKLVNAVFGPVFRYLTSEFLS -MVDNSKFFFYTRKLRMICKFLFPHFPNKQEYEILELDVSKYDKSQNDFHQAVEMLIWERLGLDDILARIW -EMGHKKTHISDFQAGIKTLIYYQRKSGDVTTFIGNTFIIAACVASMVPLSRSFKAAFCGDDSLIYMPPNL -EYNDIQSTANLVWNFEAKLYKKKYGYFCGKYVIHHANGCIVYPDPLKLISKLGNKSLESYDHLEEFRISL -MDVAKPLFNAAYFHLLDDAIHEYFPSVGGSTFAISSLCKYLSNKQLFGSLFIKPSV - ->NP_046151.1 unnamed protein product [Turnip vein-clearing virus] -MAQFQQTIDMQTLQAAAGRNSLVNDLASRRVYDNAVEELNARSRRPKVHFSKAVSTEQTLIATNAYPEFE -ISFTHTQSAVHSLAGGLRSLELEYLMMQVPFGSLTYDIGGNFSAHLFKGRDYVHCCMPNLDVRDIARHEG -HKEAIYSYVNRLKRQQRPVPEYQRAAFNNYAENPHFVHCDKPFQQCELTTAYGTDTYAVALHSIYDIPVE -EFGSALLRKNVKTCFAAFHFHENMLLDCDTVTLDEIGATFQKSGDNLSFFFHNESTLNYTHSFSNIIKYV -CKTFFPASQRFVYHKEFLVTRVNTWYCKFTRVDTFTLFRGVYHNNVDCEEFYKAMDDAWHYKKTLAMLNA -ERTIFKDNAALNFWFPKVRDMVIVPLFDASITTGRMSRREIMVNKDFVYTVLNHIKTYQAKALTYANVLS -FVESIRSRVIINGVTARSEWDTDKAILGPLAMTFFLITKLGHVQDEIILKKFQKFDRTTNELIWTSLCDA -LMGVIPSVKETLVRGGFVKVAEQALEIKVPELYCTFADRLVLQYKKAEEFQSCDLSKPLEESEKYYNALS -ELSVLENLDSFDLEAFKTLCQQKNVDPDMAAKVVVAIMKSELTLPFKKPTEEEISESLKPGEGSCAEHKE -VLSLQNDAPFPCVKNLVEGSVPAYGMCPKGGGFDKLDVDIADFHLKSVDAVKKGTMMSAVYTGSIKVQQM -KNYIDYLSASLAATVSNLCKVLRDVHGVDPESQEKSGVWDVRRGRWLLKPNAKSHAWGVAEDANHKLVIV -LLNWDDGKPVCDETWFRVAVSSDSLIYSDMGKLKTLTSCSPNGEPPEPNAKVILVDGVPGCGKTKEIIEK -VNFSEDLILVPGKEASKMIIRRANQAGVIRADKDNVRTVDSFLMHPSRRVFKRLFIDEGLMLHTGCVNFL -LLLSQCDVAYVYGDTKQIPFICRVANFPYPAHFAKLVADEKEVRRVTLRCPADVTYFLNKKYDGAVMCTS -AVERSVKAEVVRGKGALNPITLPLEGKILTFTQADKFELLEKGYKDVNTVHEVQGETYEKTAIVRLTSTP -LEIISSASPHVLVALTRHTTCCKYYTVVLDPMVNVISEMEKLSNFLLDMYRVEAGVQYQLQIDAVFRDSN -LFVQTPKSGDWRDMQFYYDALLPGNSTILNEFDAVTMNLRDISLNVKDCRIDFSKSVQLPKEQPIFLKPK -IRTAAEMPRTAGLLENLVAMIKRNMNAPDLTGTIDIEDTASLVVEKFWDSYVDKEFSGTNEMTMTRESFS -RWLSKQESSTVGQLADFNFVDLPAVDEYKHMIKSQPKQKLDLSIQDEYPALQTIVYHSKKINAIFGPMFS -ELTRMLLERIDSSKFLFYTRKTPAQIEDFFSDLDSTQAMEILELDISKYDKSQNEFHCAVEYKIWEKLGI -DEWLAEVWKQGHRKTTLKDYTAGVKTCLWYQRKSGDVTTFIGNTIIIAACLSSMIPMDKVIKAAFCGDDS -LIYIPKGLDLPDIQAGANLMWNFEAKLFRKKYGYFCGRYVIHHDRGAIVYYDPLKLISKLGCKHIRDVVH -LEELRESLCDVASNLNNCAYFSQLDEAVAEVHKTAVGGSFAFCSIIKYLSDKRLFRDLFFV - ->NP_044577.1 186K protein [Cucumber green mottle mosaic virus] -MANINEQINNQRDAAASGRNNLVSQLASKRVYDEAVRSLDHQDRRPKMNFSRVVSTEHTRLVTDAYPEFS -ISFTATKNSVHSLAGGLRLLELEYMMMQVPYGSPCYDIGGNYTQHLFKGRSYVHCCNPCLDLKDVARNVM -YNDMITQHVQRHKGSGGCRPLPTFQIDAFRRYDSSPCAVTCSDVFQECSYDFGSGRDNHAVSLHSIYDIP -YSSIGPALHRKNVRVCYAAFHFSEALLLGSPVGNLNSIGAQFRVDGDDVHFLFSEESTLHYTHSLENIKL -IVMRTYFPADDRFVYIKEFMVKRVDTFFFRLVRADTHMLHKSVGHYSKSKSEYFALNTPPIFQDKATFSV -WFPEAKRKVLIPKFELSRFLSGNVKISRMLVDADFVHTIINHISTYDNKALVWKNVQSFVESIRSRVIVN -GVSVKSEWNVPVDQLTDISFSIFLLVKVRKVQIELMSDKVVIEARGLLRRFADSLKSAVEGLGDCVYDAL -VQTGWFDTSSDELKVLLPEPFMTFSDYLEGMYEADAKIERESVSELLASGDDLFKKIDEIRNNYSGVEFD -VEKFQEFCKELNVNPMLIGHVIEAIFSQKAGVTVTGLGTLSPEMGASVALSSTSVDTCEDMDVTEDMEDI -VLMADKSHSYMSPEMARWADVKYGNNKGALVEYKVGTSMTLPATWAEKGKAVLPLSGICVRKPQFSKPLD -EEDDLRLSNMNFFKVSDLKLKKTITPVVYTGTIRERQMKNYIDYLSASLGSTLGNLERIVRSDWNGTEES -MQTFGLYDCEKCKWLLLPAEKKHAWAVVLASDDTTRIIFLSYDESGSPIIDKKNWKRFAVCSETKVYSVI -RSLEVLNKEAIVDPGVHITLVDGVPGCGKTAEIIARVNWKTDLVLTPGREAAAMIRRRACALHKSPVATN -DNVRTFDSFVMNRKIFKFDAVYVDEGLMVHTGLLNFALKISGCKKAFVFGDAKQIPFINRVMNFDYPKEL -RTLIVDNVERRYVTHRCPRDVTSFLNTIYKAAVATTSPVVHSVKAIKVSGAGILRPELTKIKGKIITFTQ -SDKQSLIKSGYNDVNTVHEIQGETFEETAVVRATPTPIGLIARDSPHVLVALTRHTKAMVYYTVVFDAVT -SIIADVEKVDQSILTMFATTVPTKXQLMQNSLYVHRNIFLPVSKTGFYTDMQEFYDRCLPGNSFVLNDFD -AVTMRLRDNEFNLQPCRLTLSNLDPVPALIKNEAQNFLIPVLRTACERPRIPGLLENLVAMIKRNMNTPD -LAGTVDITNMSISIVDNFFSSFVRDEVLLDHLDCVRASSIQSFSDWFSCQPTSAVGQLANFNFIDLPAFD -TYMHMIKRQPKSRLDTSIQSEYPALQTIVYHPKVVNAVFGPVFKYLTTKFLSMVDSSKFFFYTRKKPEDL -QEFFSDLSSHSDYEILELDVSKYDKSQSDFHFSIEMAIWEKLGLDDILAWMWSMGHKRTILQDFQAGIKT -LIYYQRKSGDVTTFIGNTFIIAACVASMLPLDKCFKASFCGDDSLIYLPKGLEYPDIQATANLVWNFEAK -LFRKKYGYFCGKYIIHHANGCIVYPDPLKLISKLGNKSLVGYEHVEEFRISLLDVAHSLFNGAYFHLLDD -AIHELFPNAGGCSFVINCLCKYLSDKRLFRSLYIDVSK - ->NP_056808.1 181 KDa readthrough protein [Odontoglossum ringspot virus] -MAHFQQTMNTKVTEAGIGRNSLINDLAQRRVYDKPVEELNHRSRRPKVNFSKVISQEQIIQATNAYAEFE -ITFYNTQLAVHSMAGGLRALELEYRRMQIPFGSITYDIAGNFSAHIYKGRDYVHSCMRNLDIRDVARHIN -QQDTVSSYVARLERSKRGLPVFQQSAFNKYMSDPDAVCSDKRFQECSYSVDLPGKTYAVGLHSIYDIPAD -EFGAALLRKDVHICYAAFHISENLLLETTSAPLDEIGATKYKSGDRLSFFIQNESTLNYEHSYKNVIKYV -CKTFIPASNRFVYHKEFMCTRVNTWFCKFTKVDTYFLFRGVYTRGEDSEQFYTAMDEAWEYKKTLAMLNS -ERTIFRDRAAVNFRFPKVKDMVIVPLFDGSVTSGKMKRREVMVNKDFVYTVLNHIRTYQDKALTYKNVLS -FVESIRSRVIMNGVTARSEWEVDKSVLQPLSMTFLLQTKLAEAKDQVVLKKFQKIDDTVTNLFWKQISDA -VGDLFPSIKERLISGGFVKVAEQSLQIKTPDEYITFADKLVMEYKATEELQHLDISKPLERAEKYYNALS -ELSVLKESDEFDITQFKNLCEEKDIAPDVLAKVIVPIMKNELTLPFNNPTPEALSDALSPLPKDLDMRFS -LLKLSTCAPFPSVKTLDSGLLPKQSYGDERQFESQSVVSVSDFHLKSVESVKIKSMSSAVYTGPLKVQQM -KNYMDYLSASISATVSNLCKVLKDVYGVDPESAEKSGVYDVVKGKWIIKPKDKCHAWGVADLNNGEKVIV -LLEWADGFPICGDWRRVAVSSDSLIYSDMGKLQTLLRCLKDGEPVLRMPKVTLVDGVLGCGKTKEILETV -NFDEELILVPGKEACKMIIKRANKSGHVRATKDNVRTVDSFLMHLKPKTYNKLFIDEGLMLHTGCVNFLI -ALSHCREAMVFGDTEQIPFINRVANFPYPKHFGHTCLHRREVRRLSLRCPADVTHFMNSKYDGKFLCTND -VIRSVDAEVVRGKGVFNPKSKPLKGKIITFTQSDKAELNERGYEEVSTFGEINTVHEIQGETFEDVSVVR -LTPTALELISKSSPHVLVALTRHTKSFKYYCVVLDPLVKVCSDLSKVSDFILDMYKVDAGILXQLQVGSI -FKGENLFVPCPKSGYISDMQFYYDTLLPGNSTILNEYDAVTMNLRENNLNVKDCTIDFSKSVSVPRQQEE -FFTPVIRTAAERPRSAGLLENLVAMIKRNFNSPDLTGILDIEDTAELVVNKFWDAYIIDELSGGNVTPMT -SDAFHRWMAKQEKSTIRQLADFDFVDLPAIDQYKHMIKAQPKQKLDLSPQDEYAALQTIVYHSKQINAIF -GPLFAELTRQLLERIDSSKFLFYTRKTPEQIEEFLSDLDSTVPMEALVLDISKYDKSQNEFHCAVEYFIW -EKLGLNGFLEEVWKQGHRKTSLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACLASMIPMDKVIKAAF -CGDDSILDIPKGLDLPDIQSEANLMWNFEAKLYRKRYGYFCARYIIHHDRGAIVYYDPLKLISKLGCKHI -KSLDHLEEFRMSLCDVSSSLNNCALFGQLNDAIAEVHKTAVNGSFAFCSIVKYLSD - ->sp|Q98745.1|RDRP_TMVRA RecName: Full=Replicase large subunit; AltName: Full=183 kDa protein; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Replicase small subunit; AltName: Full=126 kDa protein; AltName: Full=Methyltransferase/RNA helicase; Short=MT/HEL -MAYTQTATTSALLDTVRGNNSLVNDLAKRRLYDTAVDEFNARDRRPKVNFSKVISEEQTLIATRAYPEFQ -ITFYNTQNAVHSLAGGLRSLELEYLMMQIPYGSLTYDIGGNFASHLFKGRAYVHCCMPNLDVRDIMRHEG -QKDSIELYLSRLDRGGKTVPNFQKEAFDRYAEFPEDAVCHNTFQTCEHQPMQQSGKVYAIALHSIYDIPA -DEFGAALLRKNVHTCYAAFHFSENLLLEDSYVNLDEINACFSRDGDKLTFSFASESTLNYCHSFSNILKY -VCKTYFPASNREVYMKEFLVTRVNTWFCKFSRIDTFLLYKGVAHKSVDSEQFYTAMEDAWHYKKTLAMCN -SERILLEDSSSVNYWFPKMRDMVIIPLFDISLETSKRSRKEVLVSKDFVFTVLNHIRTYQAKALTYANVL -SFVESIRSRVIINGVTARSEWDVDKSLLQSLSMTFFLHTKLAVLKDDLLISKFSLGSKTVCQHVWDEISL -AFGNAFPSVKERLLNKKLIRAAGDALEIKVPDLYITFHDRLVAEYKSSVDMPALDIRKRMEETEVMYNAL -SELSVLRESDKFDVDVFSQMCKSLEVDPMTAAKVIVAVMSNESGLTLTFERPTEANVAQALQDQEKASEG -ALVVTSREVEEPSMKGSMARGELQLAGFAGDHPESSYSRNEEIESLEQFHMATADSLIRKQMSSIVYTGP -IKVQQMKNFIDSLVASLSAAVSNLVKILKDTAAIDLETRQKFGVLDVASRKWLIKPTAKSHAWGVVETHA -RKYHVALLEYDEQGIVTCDDWRRVAVSSESVVYSDMAKLRTLRRLLRDGEPHVSNAKVVLVDGVPGCGKT -KEILSRVNFDEDLILVPGKQAAEMIRRRANSSGIIVATKDNVRTVDSFMMNFGKTTRCQFKRLFIDEGLM -LHTGCVNFLVAMSLCDVAYVYGDTQQIPYINRVSGFPYPAHFSKLEVDEVETRRTTLRCPADVTHYLNRR -YEGFVVSTSSVKKSVSQEMVSGAAVINPISKPLHGKILTFTQSDKEALLSRGYSEVHTVHEVQGETYSDV -SLVRLTPTPISIIAGDSPHVLVALSRHTCSLKYYTVVMDPLVSIIRDLEKLSSYLLDMYKVDAGIQXQLQ -IDSVFKGSNLFVAAPKTGDISDMQFYYDKCLPGNSTMMNNFDAVTMRLTDISLNVKDCILDMSKSVAAPK -DQIKPLIPMVRTAAEMPRQTGLLENLVAMIKRNFNAPELSGIIDIENTASLVVDKFFDSYLLKEKRKPNK -NVSLFSRESLNRWLEKQERVTIGQLADFDFVDLPAVDQYRHMIKAQPKQKLDTSIQTEYPALQTIVYHSK -KINAIFGPLFSELTRQLLDSVDSSRFLFFTRKTPAQIEDFFGDLDSHVPMDVLELDISKYDKSQNEFHCA -VEYEIWRRLGFEDFLGEVWKQGHRKTTLKDYTAGIKTCIWYQRKSGDVTTFIGNTVIIAACLASMLRMEK -IIKGAFCGDDSLLYFPKGCEFPDIQHSVNLMWNFEAKLFKKQYGYFCGRYIIHHDRGCIVYYDPLKLISK -LGAKHIKDWEHLEEFRRSLCDVAVSLNNCAYYTQLDDAVWEVHKTAPPGSFVYKSLVKYLSDKVLFRSLF -INGSSC - ->sp|P89202.2|RDRP_SHMV RecName: Full=Replicase large subunit; AltName: Full=183 kDa protein; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Replicase small subunit; AltName: Full=126 kDa protein; AltName: Full=Methyltransferase/RNA helicase; Short=MT/HEL -MSTSTLINKAQTNSCGDVGVVDLLKRKVYDDTVKTMQGLDRRAKYRLNQCLGPEQCRTVRGGYPEFQIEF -TGASNTSHAMAAGLRGLELEYLYTLVPYGAVSYDIGGNFPAHMMKGRSYVHCCNPALDARDLARNENYRI -SIENYLSRFEDKSGDYCQWQRKKPKVSKPLPRYQKACFDRYNEDPEHVTCSETFEKCRISPPAERDDIYA -TSLHSLYDIPYQNLGPALARKRIKVLHAAFHFSEDLLLGASEGLLTQIGGTFQRNGDVLTFSFLDESSLI -YTHSFRNVFEYVTRTFFVACNRYAYMKEFRSRRVDTVFCSFIRIDTYCLYRSVFKDCDEHVFAAMDDAWE -FKKKRVMLEASRPIFNDVAQFNVYFPNAKDKVCLPIFAVKSVSGAPVTTRHILVEKDFYWTALNHILTYP -DGKADFRGVMSFLESIRSRVVINGTTTASQWEVDKSQLKDIALSLLLIAKLEKLKISVIEKRIKIERQGL -VSLLKEFLHGLLDEYTQTMAEWVVEKGWVKSVDQVLQVTIPDLVLNFRDHFRCEFRTSANVSEVNVSEHL -VATNEYYAKVSDLVDRNPTLAFDFEKFQDYCEKLGVDIDTVTELIDAISTGRAGITLDHTDDKEEQLPRT -LAGSSSYLEEEPSDDLVCLSDKAIVNRSTILGELKNNVVIFEGTLPKNSVFVSAPDDPSVTIELSELHAR -PVSDFLSMQKPVNIVYTGEVQICQMQNYLDYLSASLVACISNLKKYLQDQWLNPGEKFQKIGVWDNLNNK -WIVVPQKKKYAWGLAADVDGNQKTVILNYDEHGMPILEKSYVRLVVSTDTYLFTVVSMLGYLRHLDQKKP -TATITLVDGVPGCGKTQEILSRFDANSDLILVQGREACEMIRRRANDNVPGSATKENVRTFDSFVMNRKP -GKFKTLWVDEGLMVHPGLINFCINISCVSSVYIFGDRKQIPFINRVMNFSIPDNLAKLYYDEIVSRDTTK -RCPLDVTHFLNSVYEKRVMSYSNVQRSLECKMISGKAKINDYRSILAEGKLLTFTQEDKEYLLKAGFKDV -NTVHEAQGETYRDVNLIRVTATPLTIVSAGSPHVTVALSRHTNRFVYYTVVPDVVMTTVQKTQCVSNFLL -DMYAVAYTQKXQLQISPFYTHDIPFVETNKVGQISDLQYFYDSWLPGNSFVQNNHDQWSIISSDINLHSE -AVRLDMNKRHIPRTKGEFLRPLLNTAVEPPRIPGLLENLLALIKRNFNAPDLAGQLDYDFLSRKVCDGFF -GKLLPPDVEASELLRLPVDHMYSVQNFDDWLNKQEPGVVGQLANWDHIGMPAADQYRHMIKRTPKAKLDL -SIQSEYPALQTIVYHSKHVNAVFGPIFSCLTERLLSVVDPLRFKFFTRTTPADLEFFFRDMVVGDMEILE -LDISKYDKSQNKFHFEVEMRIWEMLGIDKYIEKVWENGHRKTHLRDYTAGIKTVIEYQRKSGDVTTFIGN -TIIIAACLCSILPMEKVFKAGFCGDDSIIYLPRNLLYPDIQSVSNNMWNFEAKLFKKLHGYFCGRYXLRN -GRYLRLLPDPLKIITKLGCKAIKDWDHLEEFRISMFDMACEYKNCFGFDVLESAVKESFPKAEGCNVAFC -AIYKFLSNKYLFRTLFSDV - ->sp|Q84133.2|RDRP_ORSVS RecName: Full=Replicase large subunit; AltName: Full=183 kDa protein; AltName: Full=RNA-directed RNA polymerase; Contains: RecName: Full=Replicase small subunit; AltName: Full=126 kDa protein; AltName: Full=Methyltransferase/RNA helicase; Short=MT/HEL -MAHFQQTMNNKVIEAGMGRNSLINDLAQRRVYDNAVEELNHRSRRPRVNFSKVISQEQIIQATNAYPEFE -ITFYNTQLAVHSMAGGLRALELEYLMMQIPFGSITYDIGGNFSAHLYKGRDYVHCCMPNLDIRDVARHIN -QQDTVSTYLARLERSKRGLPVFQQSAFNKYMNDPDAVCCDKRFQDCSYSAGLPGKTYAVGLHSIYDIPAD -EFGAALLRKDVHICYAAFHFSENLLLETTSAPLDEIGATFYKSGDRLSFFFQNESTLNYEHSYKNVIKYV -CKTFFPASNRFVYHKEFMCTRVNTWFCKFTKVDTYFLFRGVYTRGEDSEQFYTAMDEAWEYKKTLAMLNS -ERTIFRDRAAVNFWFPKVKDMVIVPLFDGSVTSGKMKRSEVMVNKDFVYTVLNHIRTYQDKALTYKNVLS -FVESIRSRVIINGVTARSEWDVDKSVLQALSMTFLLQTKLAEAKDQVVLKKFQKFDDTVTNLFWKQISDA -VGDLFPSIKERLISGGFVKVAEQSLQIKTPDEYITPADKLVMEYQATEELQHLDISKPLERAEKYYNALS -ELSVLKECDEFDITQFKNLCEEKDIAPDVVAKVIVPIMKNELTLPFKNPTPEALSDALSPLPKDLDMRFC -LLKLSTCAPFPSVKTLDSGLLPKQSYGDERQFESQSVVSVSDFHLKSVESVKMKSMSSAVYTGPLKVQQM -KNYMDYLSASISATVSNLCKVLKDVYGADPESAEKSGVYDVVKGKWLLKPKDKCHAWGVAELNNGEKVIV -LLEWADGFPICGDWRRVAVSSDSPIYSDMGKLQTLLSCLKDGEPVLRMPKVTLVDGVPGCGKTKEILETV -NFDEDLILVPGKEACKMIIKRANKSGHVRATRDNVRTVDSFLMHLKPKTYNKLFIDEGLMLHTGCVNFLV -ALSHCREAMVFGDAEQIPFINRVANFPYPKHFRYTCLYHREVRRLSLRCPADVTHFMNSKYDGKVLCTND -VIRSVDAEVVRGKGVFNPKSKPLKGKIITFTQSDKAELKERGYEEVSTFGEINTVHEIQGETFEDVSVVR -LTPTPLELISKSSPHVLVALTRHTKSFKYYSVVLDPLVKVWSDLSKVSDFILDMYKVDAGILXQLQVGSI -FKGENLFVPCPKSGYISDMQTYYDTLVPGNSTILNEYDAVTMNLRENNLNVKDCTIDFSKSVSVPRQQQE -FFTPAHRTAAERPRSAGLLENLVAMIKRNFNSPDLTGILDIEDTAELVVNKFWDAYIIDELSGGNVTPMT -SDAFHRWMAKQEKSTIGQLADFDFVDLPAIDQYKHMIKAQPKQKLGLSPQDEYAALQTIVYHSKQINAIF -GPLFSELTRQLLERIDSSKFLFYTRKTPEQIEAFFSDLDSTVPMEVLELDISKYDKSQNEFHCAVEYLIW -EKLGLNGFLEEVWKQGHRKTSLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACLASMIPMDKVIKAAF -CGDDSILYIPKGLDLPDIQSGANLMWNFEAKLYRKRYGYFCGRYIIHHDRGAIVYYDPLKLISKLGCKHI -KSLDHLEEFRISLCDVSSSLNNCAYFGQLNDAIAEVHKTAVNGSFAFCSIVKYLSDKNLFRTLFNNGSST -KG - ->AJA04604.1 183 kDa protein [Tobacco mild green mosaic virus] -MAHIQSTISNALLESVSGKNTLVNDLARRRMYDTAVDEFNARDRRPKVNFSKTISEEQTLLVSNAYPEFQ -ITFYNTQNAVHSLAGGLRALELEYLMLQVPYGSPTYDIGGNFAAHLFKGRDYVHCCMPNLDIRDIMRHEG -QKDSIEMYLSRLSRSNKVIPEFQREAFNRYAEAPSEVCCSKTFQDCRIHPPENSGRRYAVALHSLYDIPV -HEFGAALISKNIHVCYAAFHFAEALLLDQTEVTLSEIGATFKREGDDVSFFFADESTLNYSHKYKNILHY -VVKSYFPASSRIVYFKEFLVTRVNTWFCKFTKVDTYILYKSVRQVGCDSDQFYEAMEDAFAYKKTLAMFN -TERAIFRDTASVNFWFPKMKDMVIVPLFEGSITSKKMTRSEVIVNRDFVYTVLNHIRTYQAKALTYQNVL -SFVESIRSRVIINGVTARSEWDVDKAILQPLSMTFFLQTKLAALQDDIVMGKFRCLDKTTSELIWDEVGK -FFGNVFPTIKERLVSRKILDVSENALKIKIPDLYVTWKDRFVAEYTKSEELPHLDIKKDLEEAEQMYDAL -SELSILKGADNFDIAKFKDMCKALDVSPDVAARVIVAVAENRSGLTLTFDKPTEEILTNALKARCLRPWY -VFEPTSEEVNVNKFSIAEKGKLPVCAESHGLTNANLEHQELESLNDFHKACVDSVITKQMASVVYTGSLK -VQQMKNYVDSLAASLSATVSNLCKSLKDVVGYDSDSREKVGVWDVTLKKWLLKPAAKGHSWGVVLDYKGK -MFTALLSYEGDRMVTESDWRRVAVSSDTMVYSDIAKLQNLRKTMRDGEPHEPTAKMVLVDGVPGCGKTRR -FWKELILIKDLILVPGKQAAAMIRRRANSSGLIRATMDNVRTVDSFLMHPKPRSHKRLFIDEGLMLHTGC -VNFLVLISGCDIAYIYGDTQQIPFINRVQNFPYPKHFEKLQLDEVEMRRTTLRCPGDVNFFLQSKYEGAV -STTSTVQRSVSSEMIGGKGVLNSVSKPLKGKIVTFTQADKFELEEKGYKNVNTVHEIQGETFEDVSLVRL -TATPLTLISKSSPHVLVALTRHTKSFKYYTVVLDPLVQIISDLSSLSSFLLEMYMVEAGSRXQLQMDAVF -KGHNLFVATPKSGDFPDLQFYYDVCLPGNSTILNKYDAVTMRLRDNSLNVKDCVLDFSKSIPMPKEVKPC -LEPVLRTAAEPPRAAGLLENLVAMIKRNFNAPDLTGTIDIESTASVVVNKFFDSYFIKKEKYTKNIAGVM -TKDSMMRWLGKQERSTIGQLANYNFVDLPAIDQYKHMIKAQPKQKLDLSIQNEYPALQTIVYHSKQINGI -FGPVFSELTRLLLEAVDSQKFLFFTRKTPEQIQEFFSDLDSHVPMDVLELDISKYDKSQNEFHCAVEYEI -WKRLGLNEFLAEVWKQGHRKTTLKDYIAGIKTCLWYQRKSGDVTTFIGNTVIIAACLGSMLPMEKVIKGA -FCGDDSVLYFPKGLDFPDIQSCANLMWNFEAKLYRKRYGYFCGRYIIHHDKGAIVYYDPLKLISKLGAKH -IKDYDHLEELRVSLCDVACSLGNWCLGFPQLNAAIKEVHKTAIDGSFAFNCVNKFLCDKFLFRTLFLNGC ->BAD93195.1 RNA replicase [Kyuri green mottle mosaic virus] -MANITQQIIDTREAAAAGRNPLIAQLASKRVYDEAVKSLDTQDKRPKVNFSRVLSTEQMRVVTENYPEFS -VSYTGSALSVHSLAGGLRYLEGEYLMMQVPYGPPCYDIGGNYSQHMLKGRSYVHCCNPCLDLKDVARNEM -YKDAIERYVTKKRDGPRSVAWRSQAESSQETKFAGLPSWQMDAFRRYHSDPSSVTRSEVFQQCEHQFSRG -GDRYAVALHSIYEVPCQQKGPALLKKNIKVLFAAFHFSEDLLIGSEYGRLPNVGAFFSVDGDSVNFQFED -ESTLHYTHSFSNIRKIVTRTFFPASDRVVYVKEFMVKRVDTFFFRMVRVDTHMLHKSVGQYQVSKNDYYS -LKSSPVFQDKATFSVWFPQAKSKVVIPVFEMRGFFSGTLKSKKMLVDATFIHTVINHICTYDNKALTWRN -VQAFVESIRSRVVVNGVSVRSEWDVPIEMLCDISFTAFLLVKVKKAQIEIMSEKTVTPAQGLLERLAKKI -SGTFRVHRTIIHAALSSTGWFRCQADELVVEAPELFMDFHDFLSAALEADAKIEAANVESVLDASDRLYT -TVNELCERYSGIEFDLEKFTDFCHHHDVNPSLIGTVIEAIFSQSAGITVTGLQAKSPEWAAAEALAPVDD -DMDCSSDEEDFFDPKFPGLSAEEQRYLREVRTKEASFIELQDAFQRKAVTETVTVGVGALPTLPKQWIAK -GKAHLPQVGYSVGKNKHYTDFNDDDIVAVRKIHLMETCNLRLKKTITPVIYTGPIRVRQMANYLDYLSAS -LTATIGNLERIVRSSWTGENELVQTYGLFDCQADKWILQPTERTHSWGVCLTMDDKLRIVVLQYDQFIGP -IVDKSSWKAFCVSADTKVFSEIRSLKVLPSLLFQDPTATFTLIDGVPGCGKTQEIINSADFKTDLILTPG -KESAPMIRRRANAKFRGCVATNDNVRTFDSFVMNQKPFTFKTLWVDEGLMVHTGLLNFCVNISKVKEVKI -FGDTKQIPFINRVMNFDYPLELKKIIVDKVEKRYTSKRCPRDVTHYLNEVYAAPVTTSSAVVHSVSQKKI -AGVGLLRPELTSLEGKIITFTQSDKQTLLKAGYEDVNTVHEVQGETYECTSVVRATATPIGFISRKSPHV -LVALSRHTKTMTYYTVTVDPVSCIIADLEKVDQSILSMYATVASTKXQLQQMSFQITENVFLPVSKAGFW -TDMQSFYDACLPGNSFVLNDYDSVTMRLADNEFNLQPCRLTLSKADPVAESLKLERKNFLVPSLKTATER -PRIPGFLENLVAIVKRNFNTPDLAGTLDIDTISKSVVDNFFTTFLRDEQLCDHLVRVRSLSFECFSAWFD -NQATAAMGQLATFDFADLPPVDMYTHMIKRQPKSKLDTSIQSEYPALQTTVYQSKSVNTVFDHHFRSLTS -ESLSSRYNWQYLVYTGKTLEDLQSFFSTKSAYDHNVILMLDVSKYNESQTDFHQAAERLTWERVGFGDIL -AMSWKMGYKNTNKSDFQAAIKMSIHFQMRSGDVPIFIYKTYIITACIDSMEALGKCSTASICGDDSNIYM -PSDQESRDIKATANLVWNFEAKLFKKRYRYFCGKYVIHHSKGCIVYPDPLKLISKLGNKSLESYEHLQEF -RISLMDVAKPLFNAAYFHLLDDAIHEYFPSVGGSSFAINSLCKYLSDKWLFRSLFSKSVV ->APG77540.1 replicase, partial [Pepper mild mottle virus] -VVVNSSQFNNNNTNNKQHYKQITTTMAYTQQATNAALASTLRGNNPLVNDLANRRLYESAVEQCNAHDRR -PKVNFLRSISEEQTLIATKAYPEFQITFYNTQNAVHSLAGGLRSLELEYLMMQIPYGSTTYDIGGNFAAH -MFKGRDYVHCCMPNMDLRDVMRHNAQKDSIELYLSKLAQKKKVIPPYQKPVFDKYTDDPQSVVCSKPFQH -CEGVSHCTDKVYAVALHSLYDIPADEFGAALLRRNVHVCYAAFHFSENLLLEDSYVSLDDIGAFFSREGD -MLNFSFVAESTLNYTHSYSNVLKYVCKTYFPASSREVYMKEFLVTRVNTWFCKFSRLDTFVLYRGVYHRG -VDKEQFYSAMEDAWHYKKTLAMMNSERILLEDSSSVNYWFPKMKDMVIVPLFDVSLQNEGKRLARKEVMV -SKDFVYTVLNHIRTYQSKALTYANVLSFVESIRSRVIINGVTARSEWDVDKALLQSLSMTFFLQTKLAML -KDDLVVQKFQVHSKSLTEYVWDEITAAFHNCFPTIKERLINKKLITVSEKALEIKVPDLYVTFHDRLVKE -YKSSVEMPVLDVKKSLEEAEVMYNALSEISILKDSDKFDVDVFSRMCNTLGVDPLVAAKVMVAVVSNESG -LTLTFERPTEANVALALQPTIASKEEGSLKIVSSDVGESSIKEVVRKSEISMLGLTGSTVSDEFQRSTEI -ESLQQFHMVSTETIIRKQMHAMVYTGPLKVQQCKNYLDSLVASLSAAVSNLKKIIKDTAAIDLETKEKFG -VYDVCLKKWLVKPQSKGHAWGVVMDSDYKCFVALLTYDGENIVCGETWRRVAVSSESLVYSDMGKIRAIR -SVLKDGEPHISSAKVTLVDGVPGCGKTKEILSRVNFDEDLVLVPGKQAAEMIRRRANSSGLIVATKENVR -TVDSFLMNYGRGPCQYKRLFLDEGLMLHPGCVNFLVGMSLCSEAFVYGDTQQIPYINRVATFPYPKHLSQ -LEVDAVETRRTTLRCPADITFFLNQKYEGQVMCTSSVTRSVSHEVIQGAAVMNPVSKPLKGKVITFTQSD -KSLLLSRGYEDVHTVHEVQGETFEDVSLVRLTPTPVGIISKQSPHLLVSLSRHTRSIKYYTVVLDAVVSV -LRDLECVSSYLLDMYKVDVSTQXQLQIESVYKGVNLFVAAPKTGDVSDMQYYYDKCLPGNSTILNEYDAV -TMQIRENNLNVKDCVLDMSKSVPLPRESETTLKPVIRTAAEKPRKPGLLENLVAMIKRNFNSPELTGVVD -IEDTASLVVDKFFDAYFIKEKKKPKNIPLLSRASLERWIEKQEKSTIGQLADFDFIDLPAVDQYRHMIKQ -QPKQRLDLSIQTEYPALQTIVYHSKKINALFGPVFSELTRQLLESIDSSRFMFYTRKTPTQIEEFFSDLD -SNVPMDILELDISKYDKSQNEFHCAVEYEIWKRLGLDDFLAEVWKHGHRKTTLKDYTAGIKTCLWYQRKS -GDVTTFIGNTIIIAACLSSMLPMERLIKGAFCGDDSILYFPKGTDFPDIQQGANLLWNFEAKLFRKRYGY -FCGRYIIHHDRGCIVYYDPLKLISKLGAKHIKNREHLEEFRTSLCDVAGSLNNCAYYTHLDDAVGEVIKT -APPGSFVYRALVKYLCDKRLFQTLFLE ->APD13852.1 replicase large protein [Plumeria mosaic virus] -MAHINDLATISGAPAAAVDKVVSELACKKIYDDTVSTLQSLDRRPKIHFSRALSQDQVALVSKAYPEFNV -QFTGTTNSVHNLAGGLRALELEWMMTQIPYGCPTYDIGGNFSAHMLKGRSYVHCCNPMLDIRDIARVQGY -HENIQRYICKHSKFAASDTHQQRAGLHRFSRALPEYQIEAFEIYQNNTSLITCNDKFQDCKIPVEDGSYA -VALHSIYDIDSHELGPALLRKGVRTMYAAFHMSEEVAMGYSEGTLPEIGASFSRQGEDILFSFHEESTLA -YKHKFKNLLAYTTRTFFPASTRYVYFKEFLCSRVCTKFVKFSLVDTFCLNKSVFRHQADIDDELDFCWEK -NSLCCSLAEQTPIFTDKALMSVWFPKGSKCVLIPIFDGFFEKSEHISESWELVDKTFVYTVLNHIQTYQA -KQLTFQNVLSFCESIRSRVVVNGTSVRSEWDIPLELISKLSLSLFLIAKFNNLKADTVVNSFDFKKRGVF -SLMKSKFKEFMQEHTQPLTCWLLNKGFVRSVEDRLEIRDVNLMMTFEDSIRLSLNGDGEVRKVNVSACLE -ECEKLYILASEITKNFPSVNFDQEKFRQFCDNMKVDVDTVSKVLVGLDYKGISDFTLAGLGYSECRESAL -AATLCEIPESEKSKRKEKISVVQSRVLGGVLRDSSPPKEHFVINDRLETKKIWREKKTFDMDIAGVSGEK -NMSFTLLDDDGVETDLSDMHGKLVKEFPGLHKKKVLAYTGTVKERQMKNAVDYYAATISASLNNLQKLVH -DYMPGQTKGFKSYGVCDCASKTWLLTPPTHGHAWGVADTDEGDKVVYLSADKEDQKNLLCPKSWKRVAVS -AESMLFSAMKIYQRLLNIEIKEPQCKIILVDGVPGCGKSAEIIERCNLKEDLVLCAGRNAAEMLRGRLNK -LGKGATNANVRTIDSFLMNPMPVSFDTVWVDEGLMVHTGIINFIALFAKAKVINVFGDTKQIPFLNRVMD -FDYPDELRTLVVDGVEMRSVTKRCPLDVTLQLNEVYKRYVTSSSTVERSLEVKNLIGAAEFEPSRYPEDF -DQVIVFTQAEKQTLKKKGYKSVHTVHEVQGETFNKVALVRLDPTQLSIAEKGSPHLLVALSRHTHRLVYY -TVKLDALSSLIEKLNNVPSFILQTFRVDSSAKXQLADLPVYEHKNLHKETRKMNVASDLQYYYDLCLPGN -STVMNAFDAVTIRTSDIALNSQDVRLTLSKLDPVPELIKERSREYLEPILRTSVERPRTTGLLENWIAMI -KRNFDCPELSGDVDIDAVAEKVVDRFFKIFVNKDRLEPDSYIGSSGNISEWIDKQTPATLGQLEKCNFMG -AVNEYKHMIKRQAKAKLDNSIMSEYPALQTIVYHSKDINALYGPIFDDMTRRLLESLDASKFLFFTRKTP -SDIQDFFSDLSLKQELDVYELDISKYDKSQNEFHCAVEMKIWERLGFDSYLKFVWEKGHKYTTITDYAAG -IKTAVWYQRKSGDVTTFIGNTIIIAACLASCMPLEHCFKAAFCGDDSIVYMPKGIECPNIHQGASLMWNF -SAKLFRRTHGYFCGRYIVRHNSGCIVYPDPLKLITKLGNKSIKNLEHLEEFRVSLFDVFKPLANDCYVLF -LDDAIREVFPQACSCSFVLCALYKYLSDPLSFRSLFVKPERYNGVG ->AEV40683.1 replicase large component [Cucumber fruit mottle mosaic virus] -MANITKHINDTREAAAAGRNPLVAQLASKRVYDEAVKSLDSQDKRPKVNFARVLTTEQTRKVTESYPEFS -ISYTASALSVHSLAGGLRYLEGEYLMMQVPYGSPVYDIGGNFSQHMLKGRAYVHSCNPCLDLKDIARNEM -YKDAIDRYVHKKREAPRSNAWRARAESSNEIKTAGLPSWQIDAFQRYKDCPEAVTCNDVFQECQYEHTRR -GDRYAVALHSIYDIPFEQIGPALLRKNIKVLFAAFHFSEELLLGQSFGALPNIGAFFTVNGDSVEFQFEE -ESTLHYSHSFQNIRKIVTRTYFPASDRVVYVKEFMVKRVDTFFFRMVRVDTHMLHKSVGTYPVCATNYFS -LKSSPIFQDKATFSVWFPKAKSKVVIPIFKMQGFFTGSIVAEKMMIDASFIHTVINHICTYDNKALTWRN -VQSFVESIRSRVVVNGVSVRSEWDVPVELLTDISFTVFLLVKVKKTQIEIMSDKIVTQPQGLIERIVQRV -SEAFEGCTEVVQKALLTSGWFRTPADDLVLDIPELFMDFHDYLSGVFEADARIEATDVEDVLSASDKLYS -TVSELCERYSGIEFDLEKFSDFCHHHDVNPALVGTVIEAIFSQTAGITVTGLSTKSVEWAAAEALAPTSV -DMDCDSDDEELEQKFPNLSNEELRYLHEVRSKEAAFLELQDTFKTKKVTELVSVGVGALPTLPRQWIATG -KVHLPQVGLSVGKNKHSVEICDEDGVSVKNLHLTETCNLRLKKTITPVIYTGPIRVRQMANYLDYLSANL -AATIGNLERIVRSNWSGEDEVVQTYGLFDCQANKWILLPSEKTHSWGVCLTMDDKLRVVLLQYDSAGWPI -VDKSFWKAFCVCADTKVFSVIRSLEVLSALPLVEPDAKYVLIDGVPGCGKTQEIISSADFKTDLILTPGK -EAAAMIRRRANMKYRSPVATNDNVRTFDSFVMNKKPFTFKTLWVDEGLMVHTGLLNFCVNIAKVKEVRIF -GDTKQIPFINRVMNFDYPLELRKIIVDTVEKRYTSKRCPRDVTHYLNEVYSSPVCTTSPVVHSVTTKKIA -GVGLLRPELTALPGKIITFTQNDKQTLLKAGYADVNTVHEVQGETYEETSVVRATATPIGLISRKSPHVL -VALSRHTKAMTYYTVTVDPVSCIIADLEKVDQSILSMYASVAGTKXQLQQLSVYVHQNLVLPVSKAGFWT -DMQNFYDACLPGNSFVLNDYDSVTMRLVDNEINLQPCRLTLSKADPVTESLKMEKKEFLIPLVKTATERP -RIPGLLENLIAIVKRNFNTPDLAGSLDISSISKGVVDNFFSTFLRDEQLADHLCKVRSLSLESFSAWFDN -QSTCALGQLSNFDFVDLPPVDVYNHMIKRQPKSKLDTSIQSEYPALQTIVYHSKLVNAVFGPVFRYLTSE -FLSMVDNSKFFFYTRKTPDDLQSFFSTLSNKQEYEILELDVSKYDKSQNDFHQAVEMLIWERLGLDDILA -RIWEMGHKKTHISDFQAGIKTLIYYQRKSGDVTTFIGNTFIIAACVASMVPLSRSFKAAFCGDDSLIYMP -PNLEYNDIQSTANLVWNFEAKLYKKKYGYFCGKYVIHHANGCIVYPDPLKLISKLGNKSLESYDHLEEFR -ISLMDVAKPLFNAAYFHLLDDAIHEYFPSVGGSTFAISSLCKYLSNKQLFRSLFIKPSV ->AAZ81884.1 RdRp readthrough protein [Odontoglossum ringspot virus] -MAHFQQTMNNKVIEAGMGRNSLINDLAQRRVYDNAVEELNHRSRRPKVNFSKVISQEQIIQATNAYPEFE -ITFYNTQLAVHSMAGGLRALELEYLMMQIPFGSITYDIGGNFSAHLYKGRDYVHCCMPNLDIRDVARHIN -QQDTVSTYLARLERSKRGLPVFQQSAFNKYMNDPDAVCCDKRFQDCSYSVDLPGKTYAVALHSIYDIPAD -EFGAALLRKDVHICYAAFHFSENLLLETTSAPLDEIGATFYKSGDRLSFFFQNESTLNYEHSYKNVIKYV -CKTFFPASNRFVYHKEFMCTRVNTWFCKFTKVDTYFLFRGVYTRGEDSERFYTAMDEAWEYKKTLAMLNS -ERTIFRDRAAVNFWFPKVKDMVIVPLFDGSVTSGKMKRSEVMVNKDFVYTVLNHIRTYQDKALTYKNVLS -FVESIRSRVIINGVTARSEWDVDKSVLQALSMTFLLQTKLAEAKDQVVLKKFQKFDDTVTNLFWKQISDA -VGDLFPSIKERLISGGFVKVAEQSLQIKTPDEYITFADKLVMEYKATEELQHLDISKPLERAEKHYNALS -ELSVLKECDEFDITQFKNLCEEKDIDPDVVAKVIVAIMKNELTLPFKNPTPEALSDALSPLPKDLDMRFD -LLKLSTCAPFPSVKTLDSGLLPKQSYGDERQFESQSVVSVSDFHLKSVESVKMKSMSSAVYTGPLKVQQM -KNYMDYLSASISATVSNLCKVLKDVYGADPESAEKSGVYDVVKGKWLLKPKDKCHAWGVAELNNGEKVIV -LLEWADGFPICGDWRRVAVSSDSLIYSDMGKLQTLLSCLKDGEPVPSDAKVTLVDGVPGCGKTKEILETV -NFDEDLVLVPGKEACKMIIKRANKSGHVRATKDNVRTVDSFLMHLKPKTYNKLFIDEGLMLHTGCVNFLI -ALSHCREAMVFGDTEQIPFINRVANFPYPKHFATLVYDHREVRRLSLRCPADVTHFMNSKYDGKVLCTND -VIRSVDAEVVRGKGVFNPKSKPLKGKIITFTQSDKAELKERGYEEVSTFGEINTVHEIQGETFEDVSVVR -LTPTPLELISKSSPHVLVALTRHTKSFKYYSVVLDPLVKVCSDLSKVSDFILDMYKVDAGILXQLQVGSI -FKGENLFVPCPKSGYISDMQFYYDTLLPGNSTILNEYDAVTMNLRENNLNVKDCTIDFSKSVSVPRQQQE -FFTPVIRTAAERPRSAGLLENLVAMIKRNFNSPDLTGILDIEDTAELVVNKFWDAYIIDELSGGNVTPMT -SDAFHRWMAKQEKSTIGQLADFDFVDLPAIDQYKHMIKAQPKQKLDLSPQDEYAALQTIVYHSKQINAIF -GPLFSELTRQLLERIDSSKFLFYTRKTPEQIEEFFSDLDSTVPMEVLELDISKYDKSQNEFHCAVEYLIW -EKLGLNGFLEEVWKQGHRKTSLKDYTAGIKTCLWYQRKSGDVTTFIGNTVIIAACLASMIPMDKVIKAAF -CGDDSILYIPKGLDLPDIQSGANLMWNFEAKLYRKRYGYFCGRYIIHHDRGAIVYYDPLKLISKLGCKHI -KSLDHLEEFRISLCDVSSSLNNCAYFGQLNDAIAEVHKTAVNGSFAFCSIVKYLSDKNLFRTLFYNGSST -KGKHQNL diff --git a/seq/clusters_seq/cluster_790 b/seq/clusters_seq/cluster_790 deleted file mode 100644 index b3937d3..0000000 --- a/seq/clusters_seq/cluster_790 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009553317.1 TGB1 [Wheat stripe mosaic virus] -MSSHATKPQGASDEAASSGTKVPAWTDGGSVAKPQGDGGGQGLPKPVGAGGGPEPSRREGKEPMVPTVDG -TSRQPLPSGGGGAKPTADGGTKGKSQAEASGGGGAGFGRPPSSGGGGSSGLAPTRDPPPVPRGSSGRASE -GHGDGRAHSSPVADRGRSPVPGGNGPAGGDGVSAESAASQHRHTVLVILKEAGFVVTNQNMSYVDKFKLD -RSKALTSLKQLLEKRLVDTKDANMMVLQDQMMQRIDEIDFSKWAAKVGIVEGCAGSGKTTALLKCKEALG -AKALVITHSTEQLMRAFHGVSAVYTPLDVMTREENYSEPHVLLVDEYTKLHMCEIICCAAFLGCRSVFLF -GDAKQGLSHGLGSEKHYSFSIIAKSDTCHRLPEAGRDFLNKTTGRDVKGGKNKKKGSVDCGDIYQRIPHD -AAILCFTPETKKRIERELSGVVLVSDAQGATYENVALFIYKCDLQAMRDPNLLEVALTRQKNELVVCFES -QELYAMLVNQMVKSCCKHQSTAQL - ->YP_009513203.1 42 kDa protein [Beet soil-borne mosaic virus] -MAPEQHKQNASETASGRRNSSVRSRGMSKDDWSVTHPDDVFSIIEKTLVEDGYKWHGVKPGHCDWDKLEQ -SGAIKNFKGTLEGEVDSSCSLTCNAAAIKLDIVERLDVSSDWSARVGIVLGAPGVGKSTSIKHILDTYGS -RYKMVLCLPVKQLLDGVFSGRMDTFLIDDIFSRSVDYGKYHTMLVDEITRVHMCEVLVLAGYLGIKNVIC -FGDPAQGINFKAGSAVNYNFPVIAECYSSRRFGVATADLINSCNGGGKSVVGNNDVKDNWTFEELCGKIE -EMSTVLVATHATKEFLADDGIEAVYYEDAQGMTYDVVTIVLKDEFDDDAICDSNVRAVLLTRARKGGLLK -VDPNIAARFKNGDFNSRGVSKACTGDTFCEDR - ->YP_009507933.1 38K protein [Rice stripe necrosis virus] -MTSEWAREHPTDVFAIFETCAREAGFTWNDVPPHVVNFDSLEKSKAISNLTDLLENEVAKGCKEKGDVAA -IKLENVNGMTKEYNARVGVCVGAPGAGKTTLIKAVMSKASRVVIAVPNSTLLKNVYSGNPNAFLIDDLFS -RPVEFAKYETILIDEFTKVHVCEVLMLSALLRVKNILMFGDPQQGMHYRPGSFVYYNFPVLAESHASHRM -PQAIGEAYNNAMGTKIEPKSSQSGEFEIKDLLGMIRDKSKVLCLSEKTQSNLDDCGISAELVSKVQGCEF -AAVTLILEEPQDIAPFCNKSIRCVALSRAKEVLIIQATPYFKSMLCNAEFVDPYEVDSSCSGQTLCNSR - ->YP_008219066.1 first triple-gene-block protein [Burdock mottle virus] -MTEWASENPHDFFSVLERNCGNAGFSWTGVRPRTITYSDLMSSGALVNLQSLLESESFSGCVRSGDIAAV -KSDVVSKMDGKDWQARCGLVTGVAGSGKSTLIKTLLTSGEGRVVLGLPNSSLLKGVFSGCPNAFLIDDLF -TSEIHLQRYQTMLVDEFTKVHMCEVMCLCVLLGVKNLVCFGDFSQSLNYKAGSVVNYGLPVLAKSDTSKR -FGKKIAGLMSGSGCGNVRGSDSVNDDVSFEDLMGKLRDMSTVLVASEESQKELADCDIDSFLWSEVQGQT -FDVVEVVLYDEYDDKLICDSNIRTVLLSRARKCNVLRFGPNIRARFESGNFGCGGNDSSYSGDTLREER - ->NP_612617.1 42k transport protein [Beet necrotic yellow vein virus] -MVQVQRRTGGDKGAKGNRASSAPVRSRRMTQDDWSRTHPDDIFSVIEKTLVEDGYKWNGVKPGHCDWGKL -KESGAIDNFRGTLEGELGKNCDLTCNAAAVKLDTLQKVKMSSDWTARVGIVLGAPGVGKSTSIKNLLDKF -GAKHKMVLCLPFSQLLEGVFAGRLDTFLVDDLFCRSVGYGKYNTMLVDEVTRVHMCEILVLAGHLGVKNV -ICFGDPAQGLNYKAGSAVNYNFPIIAECYASRRFGKATADLINSSNGGGKPVVGNNEVKDSWTFEELCGK -ILDMSTVLVATRETQKFLLEDNIESILYSDAHGQTYDVVTIILEDEFDDAAICDPNVRAVLLTRARKGGM -IKMGPNIAARFKNGDFNSRGVSKSCTGDTFCEDR - diff --git a/seq/clusters_seq/cluster_791 b/seq/clusters_seq/cluster_791 deleted file mode 100644 index 5e4fc1a..0000000 --- a/seq/clusters_seq/cluster_791 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009553691.1 NIa-Pro protease [Wheat spindle streak mosaic virus] -EAATGITISQVGVDVANRVGRIAIGTYNLNCFLYSDWIIAPAHLQDRVGDVVIQFPGQTVCTNTDNLNAN -GVQRFPGLDLIAIRRPADLKPQKKLVKAHALTEPTLAQMVFIDPLGIRRFSQSDWARKEEGSGRWSHKIS -TQRGMCGCPVLDVGKNRLIGIHVATNYTTNRNEFQPFTQEVVNFINSSGRRLPLTSWKFVGSACGYLGSN -FVKVSDDIQTQ - ->NP_734280.1 NIa-Pro protein [Oat mosaic virus] -AATQITLSQVGIDVAKNVGKLTIGTFSVNCYFYHDWIVAPAHIQERSGGITIEMPDQTIHSTTDNINAHG -VRRFKGLDMVAIRRPSAMRPRKKLIKAFALQEATIAQMVFVDNNGVRKFTQSDWIRIEADSGRWSHKIST -IEGMCGCPVFDVGKNRLIGIHVATNLSTKRNEFQAFTQEVVDFINGPGTRVETGRWHFYRPDCGYKEGDA -VFIKEQ - ->NP_697038.1 NIa-Pro protein [Wheat yellow mosaic virus] -EASTGILLSQVSVDVATRIGRISIGTFNVNCFLYSDWILAPAHFQDRNGAVTIEFPDQTVSTTTDNLNAH -GVKRFYGLDLIAIRRPPSLRPEKKLVKAFAITEPVLAQMVFIDSQGIRKFSQSDWIRQEAGSNRWSHKIS -TQNGMCGCPVLDVGKNRLIGIHVATNRTTGRNEFQPFTREAVDFINGPGNKVPFSPWTFNRPACGYKQGE -ATFASRTIQNQ - ->NP_734298.1 NIa-Pro protein [Barley mild mosaic virus] -GMTMKPMSSFTIDSAKMVGFIKTAKDTLNCILYGDWIIAPAHIQQGEGDITFIFQHIQFTTTTERLASYG -IRQFKGLDLVVIRRPQQIRAVKKDMRASILDTPTEIQMLYLSVKGGKYQVSTSAVCFPHYNNRWGHVIST -AEGMCGCIVFDPTTNHIVGIHVSYNDTRRRNEFQAFTSDVLTTINAPGHEIPFSPWVFDWKFCGYTTKPR -NMQ - ->NP_734306.1 NIa-Pro protease [Barley yellow mosaic virus] -ASTGILLSQVGVDVATRVGRISIGTFNMNCYFYNDWILVPGHLQDRSGNVTIQFPDQTVQTTTDALNANG -VKRFYGLDVIAIRRPAILRPRTKLVKAFAIEEPVIAQMVFVDAQGVRKFTQSDWARKGENSGRWSHKIST -VLGMCGCQFWTLERQIDGIHVATNYTKKRNEFQPFTQEVVDFINGPGTKIPYCPWVFDRPACGYASHTAL -FEKPTTLTDVIHMQ - diff --git a/seq/clusters_seq/cluster_792 b/seq/clusters_seq/cluster_792 deleted file mode 100644 index a4810b3..0000000 --- a/seq/clusters_seq/cluster_792 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009553687.1 7K protein [Wheat spindle streak mosaic virus] -ARSANEKEKKLMMLLASAVGITYLFDYDIAEALGNCLHKVSRLSSYLIDDHQGIASRMLSASYGLQ - ->NP_734276.1 6K1 protein [Oat mosaic virus] -ARNAPESEKKLMMLLASVVGVTYLFDYDIAETMGNCLHKISRLANYLMDDYQGIASKLTQATYGLQ - ->NP_697036.1 6K1 protein [Wheat yellow mosaic virus] -GRSANEKEKKLMMLLASAVGITYLFDYDIAEALGNSLHKISRLSSYLMDDHQGIASRMFSASYGLQ - ->NP_734294.1 6k1 protein [Barley mild mosaic virus] -ASQKDKRLIGILAFCITVIYMFDVDLADSLSNNLHKISRLVNLFLDDNRGFATPALDNLTDFTTILQ - ->NP_734302.1 6K1 protein [Barley yellow mosaic virus] -ARSTAEKEKKLMMILASVVGITYLFDYDIAEALGNCLHKISRLSSYLLDDHQGIASRMFGASYGLQ - diff --git a/seq/clusters_seq/cluster_793 b/seq/clusters_seq/cluster_793 deleted file mode 100644 index 28c30d0..0000000 --- a/seq/clusters_seq/cluster_793 +++ /dev/null @@ -1,101 +0,0 @@ ->YP_009551646.1 structural protein [Chiqui virus] -MDGINYDLTLSNVQRNIKRIRNYGNETIYNYKTEIVENINEKQFTDEQRARLIKLLEDNERISKIQKQLL -SDTQLHQFANQCLQRAEIALPIRSGPWPYDVDLASKMMDELVNKEKQLRISYLSYNIQFNPLEFVGDLPN -ANDRIQLCEFTIIPHRDIFKTIYPSNPNNLKIKANWQWKTYPTEHVSGIGDFRIMIMDEHDDILHQDEWM -DMLMYDVYSYTMTFIVQTRISSVLTDRMVNDDIEWSPYNVSNESFTSNVIGAYHISKSKLVIAKNAANFL -PPVILTDTLISDMITYQNDQPLTKDVIPVATQDSYLQIKIGMGVHPTSLEEIPDNDDDNEKILLSVMESD -LEDRCRHDSMKIAKMLELAYYAQWQRDEQMFLDLNVGLDSGIFTNFGSQIATLYKMVLRTLQYEPFETEI -EPFTHTEYYPYLLSLGSETKQMHRVFRTGIWWAATTVNEPMGFKWQKRGDYLALTKPFTYTGKSMLSSFE -FDEGRTDVITIPLEGAEIIIKYTIVSTPSTVSYTEGTPPFHNFMWQTEELFERDSSNNRHIVFKRVLYPK -EWNGYKIMARSGNVKLKIPRVTLTIDRVFISINGSQIEPIMNNFDRKTLTVIVACIEYKTEIVGIRPGQY -DTSDGQKKYGFFCDLSQTTFINNNEGKEVDSIMRLPDDDKIWQNEKLDFSFKHQSPEGQGLIFYDLSNFH -LNSALGETFSGTGQVGMSGPARPEGIKAQFEGTLTSKLESTSQYIMIKENDNFKIPQLYPEMYERGEKVE -NTTKWAGRLFGTVLFKTDMSMKISAFVPRPPQPQDQLWIFDEKMDELLASLQMLIANWTRDHETLMNLVN -RIDRLEKIVEELVDGSEVQFWVDLVGGLLGFILPDKGIQELASLVGNKLVKGFKGILKRKGNSFSWRIGD -SFAKSKYHRLVEVPEVATELVGDYRIAEQTMKDVTAKVTGVPKRIAYATQKWEDVENESIELFEISGKSV -FFEQPEYLKATALIHTGLPKPDVLNRAITDNKILELATSNGARVNELPFNTAKFYAVPLDIAGERIGNFF -FRFSRKNPNKFDQLAAESFMKNNKRMLHAYTRTEVFVPRPDNKGMMCRVTYAGVQEGISFPVPETNKIWV -GAVTFNTDVVGKAKDGWILKLGKFEDSGYSEQDLARLTEYTFGYPHNSMRTDTKWKMVWEKLNRRDIYDA -HLYYEHRAADPNRIDAIGRFAEITGENWDYNLLFNNCQNFNRLMYEWTKNGTVPNDAHKQILLNAYIKSL -NSDVRAYMTGVVSYINSLGCTQTQLEYILDADNKHLVGIEQ - ->YP_009428582.1 structural protein P1, partial [Grapevine Cabernet Sauvignon reovirus] -GYTLHNTANQGCDFDIITPNDFQIFARMCVSYHEVDLIYAERLEVRSSIFEDVTVLDKIKANNIKGRILD -PQQKVSKGIYSDNVSFQEEGNWLIGYSLLEENSNINLHNVQSDFGVRYCEHIMDVLTKKVSATLLTLSVD -SILDFDASGQHQIVSSPDFSADTGSLNLLSEAIVANTRNITNILQNAIMYSEMTDSLDEQSFVSFPAQSI -CPPKISYKDEWNPGKTNHDSYNSWLSIMNATKIANFRGDGDKPSFHMSFTYKNDEVKNSPFLSRRLYEDE -VKIVEIQLLMASGVQTKEETELVGRTYIDPQSDRDPGFRMPYESLRVYGNTYDPSSGGRHEVYAVSCSTP -NMEVSVDSDHAVYVKELRCFVLFKNALNRVGLSGSGVTKVELELYGLGLKGNYQFDSWNSTEYTCSDGKK -YFGIFAQTMEGLGRRFIGDYRFRVGPYMYCDTTLIGVSPLESTKWSHERKAKFEFETGKFPTYPGVKGNI -DIALITEEGETGWDSWPYKGHFDFTIINDLADKNSINMFWECQDLRAHYNSVDREVFHLARQNSRVSSVI -DNEYMALSDTITGRILSLQLSLQIPITKVFLQELISTDQRFNDVEAAIASVRSIAEDSLTLSEQNEQRIN -EIENAVKAMESNASLDAGIQFLGFMAEMTMPVMGKVLTIMAEQTAKLSSVTARVLGKGLRKSCLASAMIA -NGAKSRGFEKSLSNIGRAAVETNILFRSIRKKPPSIPTDTIDLFKADKNFVLYDPLEFQKFNIFSAQKKR -LESDGIITGSMLNHSVSMKQDVSSSVLTVYHRPLGFLPDRISTLVHDLSTAGLSNADLTARERWLRKTIH -PTHSYVTISYDYPVIATGKTRHVITYTGIGDANPGGLKTGDKAAGVGSYTLEYDVIGLSKSDGYRVMELR -SHVDCGYTDDQCRSIYSTMFKREIPTHMSLQEAWEEIGAHSRRRTVIDTEIASTPVSLDREWRLRSMLEQ -KDWDYNLIFKNCQDYAHGLYYYAQGGPVPRWMSKKSQIQSYLDVSEKLDNLSTWKPYEPGCSYIDHGPLC -QT - ->YP_005255246.1 P1 gene product [Spissistilus festinus reovirus] -MDRRDLTLLLGGATESTPEDNTLLETITNVINFLFNDEPTETTVTSIRKRLSDFNRYRKLSSHLAAIYNL -APLHPIATTFTTRVSFNAYLTDLTPQASLALWYDLFGLQIVLQNELFGESPRSVGFQVDVSLYAELSDVF -KRRPCSPSILERSRNELYQRRYGIGLPHYHFPKVVNMRLTFTPTRVSPISSVYGITLICEVGAGRDDDVL -IFETNVLVEDDAPHVITLNHCLRASYDQSALSELQPHVFGTQLPQIVSEIQNQYVEVYCWDGVLRITDEG -MMTPAVAANLLYFSNTQKLTAQCLGCPAILDVDDDLVGFKVFFTPSSLSVPSTVDKKIKFSDAHGYVPRP -FSMVYDQYLCRKQMFDVLSLLCLYQLASLWSSYFRGSEGEPVKKKKGEGFLVGLLDGVSGNMAALTDLAK -RSIQYNACNVRAEAGKVCGVPVATSIARRISSKLVRDGHSMSDKNVFHFAPVGYTGISEVKNRAYFVPES -SFPPCPPGGTNIYKVKMTANVNLDSIAERVSVFEDENVQVFLLLQRHFKKDAMSDGEVVYTDDMSGLVLS -LPTLTDFEFVGRVPPAADFIDILSKNETSSDMSWFKSVVGVSADSITVTGVRCVVITKKAVIAPTLDADH -DNTITCTVAGAGLRQTTLTFSAWKALELTTEDKVRYHGVTCDVPITEAMVESYRDVVLWHQPFSFPKQKG -AIRRTYTSYFEPNAQRHYTVMNISQSLHHPAHPTDCYLIEARCELGQVNVEYTRTVDLSSSRTYFRGSSC -TSNHDLNRAFRTWVRDNAPCSIHKNGLLPGTFMGKVESISLNLLVPYSDVVPLSAIAWITALDDITFQLA -LIGDVAANALALASANRDRLDALERAQADAEKWAMIAFAIDLVAAVVPGGPVLAALGAIIAKIGERFSRL -GPRLIGLSIYLSKVGNAFKALIHEKGLDDISARMSRVSSESSILWKLTRKKNREDVSGESTDLLDKSLNF -TLVDPTEYIKFNIITNYSSAVTNHPTKDVLNILKESSHLDDTIASSLSVMHRPLQVLPPKLRDIAHWAAT -HRVEKASQRKLSKWLNDTRHPTHSYVTLTEDIPDLARGTLRKRLTMIGVGDPNPGGKPVGDKGAGIGSYF -IEFDVLGVHQSTKSAVLRPRSWRDIGYDDTDLSNIYRTMFGMSHYDNKLTLDDAWGAIIDRTKDRILYDT -RVTSIPLSYTRADQIRDIARNFSWEYNLLSNNCQNFAHGLYEYARGGVKPSWLSDGEMSAVFKNQIDALH -SKLTWDEVSLDDLSRPLPPSVTSAASDTQPLIPSFGLSVRKDERPSGSGG - ->YP_003934917.1 structural protein P1 [Raspberry latent virus] -MTENHEDLLRTILANQPNYSKQIAKLTELIISQSKMLSHIQRDLYGIHQGDCSTADHIARNMNLIFNHQR -ASEALARYIGSIPHSPQVGLYSYQSLKQYNHTLTDSTISSFCPSLKCFQIYLGEKFTGRIEESFESRIPM -RGTDRILFSEAYNIPRRQYFPIRNEAFTATISVKSSFLHASKVMQYDVQISALGDIYFSQTFRIPKYKIG -ALEYYLSSCRGNDGYVLNARASGDQIFDVVTPNQVQVYSEMCVIYHEVDLRFAEKVSAQSAEMRRVIVAD -TISVSDLGGIAFDPSHRVMNPDGTTSSDDEGLKWLVNYSMVEENSNINLKNVSDTFGMRFCSQLETAVRQ -RILASLFTMSVDSLLDFSPSGGEVDLDSQSFLPESGSINLLSEAIVANTRSITQILSSAIMYSEVDEDMK -DLPFVSRAIPCLLPRKVNFHDSWLPGPTSTNSFNAWLYLKDVGTTPNFRSKSDKPTFIMNCDIINECYIR -RPSMVLRVHEDSDMIVEMHYVIKYLDSSPSPSITKATIMPSAIVHRDPGLAMDVESLRVWGNTYAPSQGG -RHELFSVASSTPNLQITVSEDQGICVEEIKCFVLFKNALNKIGLVEEKENLVSCESYGAGICGTSFFKAW -NSTEYTTSDGRQYYGIFAIDEKLEANNIYGDFLLRLGPWKYHDKGLVGESSILRTSSWYRRDGEFEFDYG -GMPTYDGVKGKIKVTLTTADGETGWNEWPYTGEYSFNLTSNLSDPTATSMFYSAPDVEKRYSKVSGEVKR -LAQKVSKAVVFSNESGSRCPWLDTITARVLSLRLSLKVPITKATSGDLISCDQRFNDVEATIAGTRAIAE -EALSISERNEQRINEIDSALKAMEDQANFEAGIQMFAFAAELSMPVMGKVLTIMAEQTAKLSSVSARVIG -KGLKGSSVATPLINLNARSKGVEKAFNSIGKASVETRVMFKSIKKAPPKIDRPGIDLFQTDKNFVLYDPL -EFQKFNVFTAQKKSILGDGIIEGAGSNHKVAMKSDVTSSVLTVYHRPLQFLPKKISTAVHDLSVHGLSSV -DLTARERWLRKTLHPTHSYVTISYDYPVVKTNKTRHVITYTGIGDSNPGGLRTGDKAAGVGTYSLEYDII -GLSKADGYRMMELRSHVDCGYTDEQCRNIYSTMFQRDVPSHMSLQEAWEEIGAHSRRRTVVDTEVASTPV -SLDREWRLRSMLEQKDWEYNLLFKNCQDYAHGLYYYAQGGPVPKWISEKAQIQSYLDVSHRLDELSTWEA -TRDVVQYVDHGPLVSF - ->NP_620514.1 P1 [Rice ragged stunt virus] -MEQRQYILDLVRRELTDTPQTQDLQKLTERIISLEKTITQLGTLLLGPNSTTAHEVSSLATAMQKVLYYN -RAKAAISDFIMAIPRYPLYSYYGNDDIDDYHLAVVNRKPILPQFRYLGLMFGKPATGIQPYNFEVSFNLS -KVEQTLISELAPTESQVIEDMRRDSTMLLKAEGRYDKSLNVFTVLITIITSNKLIYSQRLNIPGHRVASF -ELMIIANAVHTTVFEPAKVTKLPSCELGTSSIMSTTFEIRVQDMSTLPSPAFHARTIQSIKKTWRNADFS -TGQLSAEDATYEPKMSGAEDVVIPHVYGLAESCEILTISDVYESVYNFESLCYCYSKKSGMEMAVRMMML -ALELMRDDYKQVNSIYDVDHQTSESVGILAGITEGLLANAKAIALLVKHAVLSEELQINLNSIEQSYSRL -VPLVPIYVTFDHVGTYSKGDAPIRDEPFSLTGLQLPMFAEGLSNSTIKTKFKSTWSVEPNVFGADHTIDC -YESDDFIVLLKPKFAYWDHRYSHQPVSAVSYSDRGKSRTSYVLDCASSYNEESSLGYTSLFLAGRKAASP -CALVNIPLNRSVKFESVSFLITSKRSVLPISGENLFGEIDMYIGRVRGTLNCNKFTSTEYIDRDGYKRYG -LIGKCTGGSLLNASLSPLWVRLFCGGHKNADQIRFIGFSRTPVVGTLSGESLEVYPMPGSIGSSGTLSQS -GRMSIYNDVTSLEVTLSVRHEASLETANVYAQSEIPGAALSTEIRDYIRQQNLYYSDLGLREEEAMIQGA -VVMRTTFRGKLYVTKGTDSIPQSVYVWNTQLNDLAVAVKMTADLANAAEIRSKENQFRLNEMEQAVREIE -NQLLLSGIIDGLAALTGPATPVVKKAASLVFGAMKNMSRSGFRILAAGLTNSYFKTVMTHFLGTRKGVEV -WRGLGSACAESSVMIKMSGKTKPIVKEGSTDLFLADKNFILADPIEFAKHNISTKYGGNVEQIGNVVSDS -ERLAVGGDLGSHVVTVFHRPLSILPEPLRTLLFKLSTSGLSKRDLSAREAWIRQTLHPTHSYATISYDYV -LPKTGGNRRVLCFAGVGDPNPYNLPTGDKNVGIGSYMVEFDIIGLDKDTGVKVMRPVPWKQCGYTEEQVW -SIYKTLGKEYRKVELKPYTVEDAWRVIAKSSHKRVLSDKAIISTPISYERQRAIEYMLSSHGFDYNLVLN -NCQDFTRGLFDYARGGPVPDFIENDVRIAMIHSTSRHFAEVCSWVGV - diff --git a/seq/clusters_seq/cluster_794 b/seq/clusters_seq/cluster_794 deleted file mode 100644 index 895cdc3..0000000 --- a/seq/clusters_seq/cluster_794 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009551645.1 nonstructural protein [Chiqui virus] -MDTLTGRITNDSSAQEDLQIWPKTLQNTREITYTQQDNQKQQGRKVQKSVKTHSYMLSTNEVLQLHEMLI -KIYNRHKGTLPNPKAIANLLNSQPVKMMIESKVKLSDDQKRNLTEIVTNTLANWTYDADHFNMNKQLRRA -ILNKHDLSILVMDNDDLQSATQTENTVTSITMALTIEEVAFLSNYGKGKSCFVLYTFNGPRFAKYIHPVY -TILSQVVPTISLSDVKNSLIDTQHPYYKARFRTLLTFDEFNDNQSNYRKVDVVIITNSSENKQKVSSYTR -KGWKFSQNKQTTFYWTMNGLTYAGYPLYFMTKITPSDYRDACVSYGATGLVNNFKIHPNFYLLLNSYCRI -NFKPIVSALTRSRISYISNKMPVMPTEDMSAYTKMTMWLREVDRIHNGTESKLRNSSIIIIADKGSGKTT -CTKYLLQQLRDTSPSSKTWGRVDSDAFGKWLSKRRSMGMRSTDILLSNWQELDALQNDESIPTYFAHEME -QMLLSAGMVEYGFDPLSELRILDEFRPIIYTIINDEEEGLAAFYNELDKFEDLPIGLMLESHTDVEISKM -FGTGHIVTLDAPYNAEAAVADRKRSKISINVELMLFRAWSRLRVSTYPMLRTQAFFDTQLLAIASDQETN -PR - ->YP_009428586.1 nonstructural protein NSP8, partial [Grapevine Cabernet Sauvignon reovirus] -RPGAIASLFQSKNKVSYFYTKKDYADKKKVFDKLKECDWINAQQVKAIQEVDPKVPILLPNGTMDPGNGI -DIVSPTKLAELLDILAEERKVSRGIILFQFGVDGLDQFVHPAIKAIASHMMSIRIASPGFGLNHSHPYCQ -AVFLRPFTNSMLSRLPENDLIKELRPWQGKCIVLGNELSPTVIKVMGALNIERLPTKVAQEGTEVSIYSK -LHGHNLIARYFSYLQKDIISRLLAEEDEDKLRKNVADILFTSRAIVPNPEPVLRLDDLSTYEYGVMPTVN -PFPLHTTTAGLFQSWSDAVYDPSASITPVLLIVQGPKGGMKSTLTKRLVDYFTSQVPVGSDIRYGRVDSD -AWGKWVANPRIFSTWVEFDSFQNNEVLKSQVEIDIEHILQVHNITDINTRELDLLSEVQSDFSMYLQTIL -TNENNGLEKLVAMLLQLPDLPRAIFWEVHTHAEIGLLPPTHYICNVMPCWNTAYAVTSRPRPTSSPLAQF -ALHGLYKSLSPFMIYMNIHLGECARAIGFCPGR - ->YP_005255243.1 P6 gene product [Spissistilus festinus reovirus] -MDTLSLSQPPHVLLKELGLAYQQSAVNISYSVSKIQSKIHNPHNFHLRHNLVILSPQLQYQYGAILCRIY -ERNGNVFRDPLCIANLLATGQVTMIVEKRKLDDYRAALTKLIPQGTLGGKLLGQNQLPAKSRKKGGKGAE -LGTSALEGNGRNATLGELTQQLLGVGSVKVYNADRKHGITSEDHCISVSSIEGMATLIVDLEDLCQLAML -RQKGYHMHLIHVTNVGVYGHYVKPAIFALANVLPSISITTPHLGLDWNAYYVRSTWFRPIVHLGMSFKEL -KIIIDSGKPVILQGPGFTTEQREYAKSRGIREVPSRHSWTEISLVHRTVSKLSVYLVSYLPEQVVLSIAR -GPNPLRDLLMSSFSLAVLGQSVICDFNIDSYNLTTSPTGLSYETRSAGVEHVERWFNSLGVPVTQPGETL -VVIGNKGSMKSSMTKFIVSQHNSVEQSAGIYRSRLGRVDSDSYGKWLNSEVTSFASWAEFQAFQDDDTQK -SYFEEFVDHYLVTWRAIYKDNELLREPLYSKVLREFINMMSVDCSVLLNGKDNKMSYAAFVNAILSIPNV -PKGLIWEVHYYEEVARVKSIHIVQLHPPYATRPCVLSRPRATSSPLVEILLHDAYDALSEGTNYPAIRLY -EMSRFFNFPGSHGGSGEMVPTDPTHPSPH - ->YP_003934925.1 non-structural protein NSP8 [Raspberry latent virus] -MDELTLSQGIFNYKLIHHTIVQKNVFEQSCTLTPAERATLRSRIVPRNGELTILPLKTQAAIGKWITSAY -ERAGNSFKRPRAIACLFQPTEKVTYYYTKKDQSDNKKVFEKILKCSWINFKQVKVLPEVEPDSPIILPNG -TLAQDQAIDTIPPTQISEIIELLKYEGERARGTVLFQFGVDGLDQFVHPAIKILASHLFSIRIASPGFGL -NYSHPYCQAVFLRPFTNGMLSRLSEDDLLKELKPWKDKCVVIGNELSPSVVNVMSMLDIPRVPNVSSQSG -SEISLYSRLLGHKLIARYYTYLDHSTVRELLSVDDEERLRKNMAGLLFCSRALIPNPEPIVRLDTLNDYE -FGVVPTVNPYPLHTAGADLLKSWVDAVSDPKASRTPVILIVCAPKGSMKSTLTKRMVDYFTSNVKGQEAL -RFGRVDSDAWGKWLANPKLFSTWVEFDSFQNNDTLKSQIEIDMELLLEQNKVSDINSVERDVMEGLQADF -CVYMQGMLVNQENGLEKFVNLLLQIPDLPRAVIWEVHTHSEIGLLPPTHFICNLMPSWNTAYAVQSRPRP -TSSPMVQLALHYLYKSVSQYMIYMNLHLGDCARSIGYVPGI - ->NP_620540.1 Pns7 [Rice ragged stunt virus] -MDELTLSIGGSPGKLAGTQLLYKQKIDKEIRLSPTDLLFSSNTVDHKLRNKRTTIALLSREVQARLGKWM -ISFADQKGTAADFGLQLAKWVQASTQLHYYVHPADMSALRAADQSLIRQLPRVTVEVCKTKKVSQTDPIE -HKYQSRPGMIEGGVTITPRQAVTLEEIYPLASRPEKGVIMFMLSQAHLEHLIHPVIKQVSNYFTCVKVGT -SGFSLNYNHPYIRAMFLEPITACDVVELPLQALHARFSAGKGHLYLCGDGHAPRTLTCAQMFDIPHVHDF -TMPSVTVVSFYAPEQHIAIARYASWYEKDTICRLLQSTLPDVEKLVWLCAMSYPIFPSLRPTLTGSIFSR -CKVVVSVSAERSRLLSDGLPKWAEWVDNVLSDRIAKPACLILIGPKASSKSFVTRQLVAKLNASSLSVEG -DNFGRVDSDAFGKWVTMMVSNSTLPTSWAQFDLMQNDKEIASYVDIRFNDICVKHGFCELDDLRTKNAGV -LQGEFARSFIEIIKDPSCGLRAFFSWLFSLYGLPRGLMLESHTNVEIAEYPPTTCILQLLPNYDVMSVLL -ERDARKGISKLAEMQMEEYYNGLRIGTYRSVLACELLRVAEVGPPVEG - diff --git a/seq/clusters_seq/cluster_795 b/seq/clusters_seq/cluster_795 deleted file mode 100644 index 5adbb3c..0000000 --- a/seq/clusters_seq/cluster_795 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009158907.1 major core protein [Chobar Gorge virus] -MDAVHARALSFLEAAAAVSDVRTRRDAQSATIYSAFATRYNTTTPNILVSSPLTVTERRNIFYAALDVVY -SVLNINSTLNLDNYTQNAQTIAILAREDIPYTTRSYRTVMRARVSSNAVGNSRVEYHPYVDSHTFSPAGT -EYGFRPGQAITVGKVSTREAEVHMQPGGHGDVAPPLRPTEGDTTRMQFVWQAMPTVIANRGFMQCTTDVT -VLVGGEEIDPGRPFWAYTTTDIHIRNNSAVMRAHVRFTCLRYFRATAPRVMFPGMDSDIATVYLYYDDTW -AQVRTYILREVGLPSTAPTINPITDARRALAYALLARLMDVYVSQNPELPPPEMFMNLGLQARLARALDH -MRGGR - ->YP_009158896.1 major core protein [Chenuda virus] -MDAYNARALSVLEGLALAADPRAHRDPVSETTLSIFMMRFNSTTTRPIVGAPTTREARRNNFYAALDVAY -AALGITSQFLMPGYVQNPQTLAILARDEIPYTPSTFRRVQRIRICSEGACTFREEYFPYQNYTMLTGRAM -PINPPADGGPRCYLVDPNTVQVHVEPEQTIAVTDVVVPTAPNWVAAELAWYIQTNGPGANAAEGYAQDVD -VYVNDRRLPAGLPYRLNPGDRVELTNHDDFNLGTAMFFIRRYWSAAPPQVIYDSMEADICAVYIYHDRTW -HRLRSYICTQVGLPPTYYPSEATQEPRRVLTIAILSRLFDVYCALSPEIHLPAPEVAPGDLAQRLREALQ -TLRGAPPPAAPRGGQ - ->YP_009158889.1 major core protein [Wad Medani virus] -MDAYHARALTVLESLSMASEARSHRDPVTETTISIFAMRFNATSNRPITASPFTREARRNNFYAALDVTY -AALNITTDFVLPDYSQNAQTLAILAREELPYTPGSFRRMLRIRECTEGAANVREEVDPYTQYQIVVQTGC -DLDTGAAGCAVFANSPNQLQVTIEAGREVDITADLFPQDREVIAIEVTVQILSHAFHNGAVMAHERALEM -AIDGMPLALGTRVTVSVGSRVTVANRGVQNRGIFVVTCHRFWVPDPPRIIYDTMEADILAVYTYKDRVWD -ALRAYVLAAVGLPERHFPLTPVANPRQVLAIALLSRLFDVYCATHPELALPMAVPGGALAQRLAAALQVF -RDAR - ->YP_003896064.1 VP7 [Great Island virus] -MDAYTARALSVLEGLAISGDPRSHRDPTTEATMSIFAMRFNSTTSRPVIGTPTTREARRNNFYAAVDVAF -ATLNITSTFVMPGYIQNPQTLAILARDEIPYTPSTFRRVQRIRICTNDHSNVREERHAYSHYEAVTSPGV -VLPAPAGGGPRCYAISATTLQIHIDAMQNEVLTPLIFPDQDDVIMAEFIWQRLANGIDNNAVINMGTNVE -LLRDNAAVEGGTPFMATPRDHVVLASYEPVNLCTIHLTCTRYWVTAPPRVVYDSMEADILAVYTYRDEFW -DALRSYVLQALGMPAHHYPTRPVTEFRQNLAIAILSRLFDVYCAMAPEINPPVAAQGVVGGLAHRLQAAL -RAFRLGR - ->sp|P35935.1|VP7_BRD RecName: Full=Core protein VP7 -MDAYTARALSVLEGLAISGDPRSHRDPTTEATMSIFAMRFNSTTSRPVMGRPQRGKRGVITSTPQRCGIR -DLEHHVAFVMPGYIQNPQTLAILARDEIPYTPSTFRRVQRYVSARMIIQMCERNDMLTPHYEAVTSPGVV -LPAPAGGGPRCYAISATTLQIHIDPMQNEVLTPLIFPDQEDVIMAEFIWQRLANGIDNNAVMNMGTNVEL -LRDNAAVEGGTPFMATPRGIHVVLASYEPVNLCTIHLTCTRYWVTGPPRVVYDSMEADILAVYTYRDGFW -DALRSYVLQALGMPAHHYPTRPVTEFRQNLAIAILSRLFDVYSQWRLRSTLQLRPKVLWWLAHRLQAALR -AFRLGR - diff --git a/seq/clusters_seq/cluster_796 b/seq/clusters_seq/cluster_796 deleted file mode 100644 index 7f2270b..0000000 --- a/seq/clusters_seq/cluster_796 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009551614.1 unnamed protein product [Apple necrotic mosaic virus] -MVCNRCHHTHAGGCRSCRQCHPRDAAPPPPRARARAQNAVARTLARPATSAGEPRRLQWTVIGPNEVPRV -PRGYVAHSNREVVATSAGKFLHVNFSTTFPQLLGLNLRILSVVVRASCLVSAGWVGMLEDHDENHLRGPS -ALSRKGFRQDQPRGWQWLAPSDLEYDRFANSHRLVFEVKNEFTAGVKVLVRDIYIVVSDLPRIVIPNDIL -MVDEDLLDV - ->YP_009104373.1 coat protein [Lilac leaf chlorosis virus] -MVCKLCGHTHAGGCVKCKKCFPTGAAPASERARQRARNNPNRVVTSRVGSSSKGANAPTSWTVNGPNTEP -IVPRGTVLRSYTDVVASAPGKFLTIDFSERFPNLLGHKVRILSILLRVNAFHSNGWVGLVEDYDVSSPTG -PDPMRRKGFMANQARGWQWMAPSGLEYDDFAKKHRIVLEFKTEFAAEQKVLTRDLYVVTTELPKVTIPGD -ILFVDEDLLDV - ->YP_008519307.1 coat protein [Blueberry shock virus] -MVCKFCNHTHAGGCGQCKKCHGTKAAGPSVKAQDRAKNNPNKGSPSGTKSPGAKGAPKDKQVQKRTDWTV -IGPNVQPVNHPNGFTLRSCRDVQATVAGKFLHINFKTAFPQLLNQELKIYSFAVRCSTSIGNGWVGLVRG -FNPSSPTGPAVLTRKGFLKDQARGWQWLAPSDLEYDKFSEEYELVFEFKSDYPIGVVMTRDLYVVTSSLP -RVRIPDDLLFVDEDLLEI - ->NP_733826.1 coat protein [Prunus necrotic ringspot virus] -MVCRICNHTHAGGCRSCKRCHPNDALVPLRAQQRAANNPNRNRNPNRVSSGIGPAVRPQPVVKTTWTVRG -PNVPPRIPKGYVAHNHREVTTTEAVKYLSIDFTTTLPQLMGQNLTLLTVIVRMNSMSSNGWIGMVEDYKV -DQPDGPNALSRKGFLKDQPRGWQFEPPSDLDFDTFARTHRVVIEFKTEVPAGAKVLVRDLYVVVSDLPRV -QIPTDVLLVDEDLLEI - ->NP_604485.1 coat protein [Apple mosaic virus] -MVCKYCNHTHPGSCAGCKWCHSTNRFAPPKRAVARQANPNKGKIPVSVSRAGRSIRRGGQLGRLGARMLS -RKFLKGHRVLSSREVTATVEGRFVNIDFADVFRDLLEKDLKVYTFIIRVNSLSSNGWIGLVEDYDESNPK -GPNPMDRKGFKKDQPRGGMWEAPPNTTFDDFVRKFRLVLEFKTNFAAGAKVFMRDLYVITSELPPVQIPT -NVLLIDEDLLEL - diff --git a/seq/clusters_seq/cluster_797 b/seq/clusters_seq/cluster_797 deleted file mode 100644 index afef92e..0000000 --- a/seq/clusters_seq/cluster_797 +++ /dev/null @@ -1,33 +0,0 @@ ->YP_009551613.1 unnamed protein product [Apple necrotic mosaic virus] -MAVADLKTSSDFTVKEVSMSEYERISGDLHQLMISKEMRDLPTKGCHVLHLQNLPRSKVLELESREQRGF -LSKVADKIREKVYRDTGRIFFVYVPVIQGTSSGTITLKLQNTDTGEVSDVVTDAPAERAFVIMDRWGRSL -TSKAKLVLLYSIFCPEIRPEARVGDMCVFWDEHMSKQMTYVKKPNPIMFPIEETCPAQYLKDKKLLMSMI -RGRIALGAEGADIRPDVLKVESASGGRNLITIEPKDSPKPPKIEEVGATSGKDVHVEETDRAVRADPINA - ->YP_009104372.1 movement protein [Lilac leaf chlorosis virus] -MALSDNHTSDFTIVESSMQELQQVTEELHTLLLSKEMQNLPTKGRHVLHLQNLPKSNVLKLESKEQRSFL -AKTNDKLKKRVYRDVGRMFFVYVPIIQKTSSGLLTLKLQNSDTGEVSDIATDIPANEAFVLMDRWGRSLV -DNAELNLLYSVYCPDLRPQARVGEMVCFWDESMSRQQVYSERGNPIMFPIEETKPVQYLKDKKLLMSMVR -ARIAAGAEGESDLGPSPLSVERLGDKRKVLTIKPKESGSTSGLLVEEKKNFQKEKVPVRTENDFVQG - ->YP_008519306.1 movement protein [Blueberry shock virus] -MTSMASAPPSTSTVSSNFDIVETSMEDNMKILSNLHELMLSKEMKNLPTKSCELLHLENMPKNNVLKLAS -REQRGLLTKQADKIKKRIYRDVGRMFFVYVPLIQKTSFGVLTLKLQNTDTGEVSDVITDAPANEAFVLMD -RWGRSLVEKGDLQLLYSVICSDLRPEARVGEMMVFWDEHMSTHQTYSERGNPIYFPIMETKPQQYLRDKK -MLLSLVRSRIAAGANSGGDIAPSELEVKALGGDRKVLRIKPRDSQDIRIEEYKEREIRENAGDVHLEEQP -IPAVPITERTSSTLG - ->NP_733825.1 movement protein [Prunus necrotic ringspot virus] -MSVKNPSTSDFSVVECSMDEMSQISEDLHKLMLSDEMRALPTKGCHILHLVNLPKSNILRLASKEQKGFL -SRQADKVKKKIYRCVGRVFLVYVPIIQATTSGLIILKLQNSDTGEISDVVTDAEVNRAFVIMDRWGRSLV -ESADLNLLYSISCPDVRPGARVGEMMAFWDERMSRQQTYLEKGNPILFPIAETKPSKYLNDKKVLMSMVR -SRILAGTEGCDIAPENIEVKRLGDNRKVLTIQPKAPIVEEIKDDVEPLGSNGENHMEEKTVTVKVGSSGS -A - ->NP_604484.1 putative cell-to-cell movement protein [Apple mosaic virus] -MTTLGDKPSSDFEVGECSMEQFQQVSNDLHKLMLSAEMRNLPTKGCHVLRLRNMSKTKSLKLESKEQRGF -IAKMADGLRRKFTEMLVGFFIIYVPLIQKTTSGLMTLKMIQSDTGERSDIITDFEASEAKVIMDRWGRSL -VAEAHLELLYSIVCTDIRPEAVVGELMVFWDETMSRRVVYSERGNPIVFPIMETQPSRYLKDKNLLMSMI -RGRIEVGAEGCDVAPMQLKVEPLGDKRQVLTIKPKEEPMKESKDIKIEEVSDGKHLKEQTKAVEMGDKLP -NGNISG - diff --git a/seq/clusters_seq/cluster_798 b/seq/clusters_seq/cluster_798 deleted file mode 100644 index f560066..0000000 --- a/seq/clusters_seq/cluster_798 +++ /dev/null @@ -1,90 +0,0 @@ ->YP_009551582.1 hypothetical protein [Daphnis nerii cypovirus] -MSEITIYARFEDSRKRLELLSTDVPEFLQRRLIRDRDYIYTFNALTRVHTLRLFGLYKYTLFSESDKQHA -LFSLPISSRNEAIDADVLEYEINAFVQQLSSLPYHREEGALYNWLLASAARANNYAEPWARAVAKDPRLC -NYMQERNAFERIFEIINNESLDIPNATSNWFSFERAGVIMRIFTPTLDMQTIITKVMNGKVEAACHVTYH -FNAPHVFIYSHINAMYSHFIDNLYHDWNQERNLRSGAVIILERICERLIPLNANEEIRKLSALGNVYPYI -KGQPTINESTKVKDLLHLADSMRDDELRAPYYLSSLQRQHDIYMPFELFTFFRSINLTIPFKVRSLPSEI -SADYINDTLTSNMSNKVTWELASSGAIGFSRKMIEGNQISSSHLRVTEVNAISVQLNEMLAMQYDAPTTT -LRPSLDEEREMMIAAQNRFMSPASRMMLHFNNVSYLRPKALLSALIRNEITRIKDKKAEREVRYSASEEL -LLFMGTNREYFVKESEDENEYIIIENGDKLAPSGQLRYNNSTPKLLSIYGIMINRVLAGGPYVTNLMNEG -ESLVVLGAIDEPMVGILRDYHDRARVRVSITGLGDNANGTFIRDVIPSLSMRNLKATYVLSDIDSIADKF -ETVEEMIAFVIEILRACYAISSTGAFKINEPSGALILALRDELIKLSRRVATYRVLRVSTQNMFTNEAFF -IYYPRSSDFEIDQYLLDHESVVITSYMQSVSGNDVAFVPGVYNKIDADELNGEYSNIIALSVPYARIHET -FENMSLYCSTVMTSLNEARTHINVVGKTSYDRMHLVSRSDDHLFDDRLMKRTNIQSFGGTKNNTITISRY -SFAPWYVIHRHGTYVAINEYLSDANVYAIIDEVVSIGGRNQTDIKMWPVDVDVRIIDPNAVEGDYTHKNI -IIERAFFDWQTIEDDKCYIATFVVMTSPQGAPITSIDQINSIKAMAHAIANANNSYFVFNCYTQRTLNAF -GLSSLHDRIKVNMEAGTIAIANYAPVQVLDYEEIVNTVEEEGCVISEITMSVDHLVQAMAMEGMVPDAFK -SIYALTAAASVPIFYVRRAS - ->YP_009330265.1 Cypovirus VP4 [Hubei lepidoptera virus 3] -MYRVFMRIEDSRAELDVLSIELKSFLSKRLRKGRDYVYNYNYETNEHSLYFRGLWSMFIPSDMDKQHALF -ALKNNEKWEKIDDEILNREINKFIETVSLPVKPETNEDKYNWMLATAVRSKNFSGNDGRIVLDNPSILNY -VEESNAFIGLFSILEDDYIDIKVPPSNWFAIEEESMKIRIWNPKFDRPEMLIPEAQRNIRTCMHIDFHLK -TPHTFIYPDDLSQMRHVATNIIKDWKQEKKLRDGGAAIVERICERLHVLSGGLQVRKLAALGNVYPMYNE -DITIKRENEVTVLLAMFEEIYNDVNNKFNTILQKVFNDDRYIYFPIELYNAYLLLDEKPFFKSILSLPRV -LTEDWSSYKIKTEGNNYVNWSLRPHGEDRVMDEIAKGTKISTSTVRVREIGRSKLRVKDIYRFKHDRPMI -VNRLTEGEMETLKDNARERYQIQTSRASIHFNAIARARFFFNEAIENEIEFLREDNKNVVAKLARVEHLF -LFAGSNRKYKYVDNNEDDDVVSSNTSTVITDDELAKNEKAYNNSTPKLLSIYYYLINSVIIGGTEIERIL -MNDGESLCFLGAISEPAREILHELHKAGDRNVKIMGFGDEAVSPNVRTTIPINNLKNISATFLISDINQN -EQQLDFEQMVELTMEILKQCVRSSLNAAIKLNHPSLYLVNEMSRYLYDNVSKRVYTSIVKVSGQNPFTNE -AFFVYKIEEIEKEERYYGVRETIICRNYNSNVSEIQRAYVPGMLNNINTSELDSLYVDLVSLQVGKEELL -KTMGTMSKCMSEISSYKIREDREIYALFGRMSGERILLDQRGAESYTNLANVRRAIPSGMGKNDGKTVEM -SRYLQMDWTLLHKQACYYRARNELTARGLYTDKNKIVSVGGRNLTDIRMVPINHEYVIFDPNSEGIEMGH -KDIDIRLQTFNISDMGSYENDSMYLFMFVIMNEPDGTSTSKDDQMEKIRTMARAVRNNRNVTCVFNAYTG -LTLDAFEKSNITDGVRLSREERRMTFGDHKMAYVLENDEILNVANEEGINGVIIYPSMDDVSSAQMSEAI -LIKGLRSVHLITALMSTPIVIYYQ - ->YP_001883320.1 p4 [Cypovirus 5] -MYHVFMRIEDARAELDVLTIELKQFIKKRLRKGRDYNYYYDVERNEHSLSMNGLWGMFILSDGDKQDELF -KMTAEADREPLDPKKLDRNINKFIETINLPSRPTHIEDKYNWMLATSLRAKNYTGNDGRAVVINPELLNY -IEESNAFANIFSAIEDDYIDIPMPSTNWFTQSDDKVIVRVWSPKFDRPEALIPQAQKHRRSCMHIDFHLK -TPHTFVYPDDPSQLRHVAANIIKDWRQEKKLRDGGAEVVERICERLVILSGSEKVRKIAAIGNLYPMYNE -DISVRKENQVTTLLAMFNEIYKNEYNDLNIMLDKVVNDDRYIYLPHELFEAYKRLAEKPFFKRILGLPAS -MTKDWVSQKIKTEGNVHVNWSLRPYGSYDVIEGVANGSKISTSVVKVNNIGRSSLRLRDVYRFKHDRPVI -EVRLTGEEWKVLEQVAKVRYEATTSRASIHFNAIARASFFFEEAVRNEIRFLKEQNKNVTARMARLEHMF -LFASTNRKYKYIDDVDEFETGESAMPMIIVEEELEMQRRMFNNSTPKLLSLYYYLLNSVIIGGSETERIL -MSDGESLCFLGAISEPAREIINELHKASDRNVKIIGYGDEAVAPNVRVTVPINNLKNINATYVISDINQS -EELLEFQEMVDFTIAILKQCLKISRNGAIKLNHPSVFLLNKMCEYIYDNVSTRVYTSLVKVATQNPFTNE -AFFVYKLVDIEKEEKFFNVRETVISKNYETNVGDERRAYMPGVINSIDRNEIDSLYVDLVSMQVEKDRLL -DTISRLSQYMSEVSTYKVKEDSEIYALFGRMSGERILLTSRGVRSATDLTNVMRAIPSGMGRNDGRTIDL -PRYIQVEWTLLHKQACYYRARDYLMQNDLYVNKQKVISVGGRNLTDIRMVPNECEYVIYDPHGQDVELGH -KDVMIYQDAFPIEEAREYEESSVHIFMFVIMNEPDGSASTKDEQMDKIKAMAEAVRNRENTVCVFNVYTN -VTLDASEKSNIVDSVTLRDNAMTFGDHEPSPVLDNDEILNLIAEEGARGRVIYPSLDDVSSGQMSEAIIV -KGLRNVHLMTALNSMPIFVIY - ->NP_149149.1 hypothetical protein LdCPV1s4gp1 [Cypovirus 1] -MWHYTSINNDTRVALDPKPNQIRTITKPNTVPQLGTDYLYTFNPQRRSHTLRLLGPFQYFNFSETDRGHP -LFRLPLKYPSKTIPTDELVDNLHSWMRSVHLLHTRSDDNSLRYNWMLGVYARSTNYTTPVGQLVVKTPAI -LNYANPQDAFNSVFIALGIDYIDIPITNSNIFDDGSTPYNVRIWHAPTMTEVNHILTLMRKSTLVSTHSS -WHWNVLHTFHYRSESDMIDHFSAKILEDWRQKEKFDKGALVEADRVIQRLIPLSSSTYVQRLAAIGALYP -NEFTENVLDLSRLSTALLQLSDTYYQHANDQLKRLYRRMYNDSRTLYMTARHQELLLAQVAANPNILLYP -YTHIFTTAHITASYISNTGQGRIKHSLAVTGTTEHSTVPDIILGPTSEDVITISMVEPMSIAAEDMYGYV -IDTPTRDIWPADEQVEQKGDAVALYDTKTSRALGMFNNTVRIDDLLSPLLGRVYRTYAKGDTMAMTQGSL -DHQTLCAAVDSDITFVGNRMIAPLAEGYVPRAMHRNNSTMKMLSLYVAIKKLENFAANSYLMSPDTSIIL -LGAEREPAVNILRRFNSSVSNVRIIGMGDRAVEPNIRVRVPFPIDKNISADFVICDINSYEDQSFESMFG -ETMSVVTTCASAATRSLVKINHPSEYMINSVIERLSKLGGVFYHTALLKTASQNTYSYETYIYITPIAAA -VGFLFYNNSAIINRYMTAVADDEAPVIPSIHTIIKEHSNTYSPGLFCGCIDVQSAPLALSQLKSYCSEAT -TWRVDSDDNLVNIIARIDPARIALEFRTRSNTSAHHEYQRCVPNGLGFKIRKTREFRYMHREVTFIHKLM -MYALIREQISLTESMTQVVSIGGRNLADISVVPLTMKYIVIDPAARIETLTQEKKNIEIQARAFQFDAST -MDLENNSIYLFIAVIMNEPNGAATPAQTQIDKIRNVATAMLTRTNCVAYISFYESGIITRLSQSTAHKTI -RVEDGRLKVANYVPVDTLPEADVTLMLRDIGITYEIIRPSTPELVNACSSYGIRLGSTGGAVLDVFNHYS -PVIKLVRS - ->NP_149138.1 hypothetical protein LdcV14s4gp1 [Cypovirus 14] -MDWSFFAIKIDDRVQQDPRPNEILALRTQTIDRLSSNSYTYDYDATLRSHSLQFKAPWNYVQYTASDYSH -KLFQLPTKYADRRIPTDELQTNIKDFRNTIQLLAVMSNDPDVKYNLMMGLYARAERDSWQIAKLLNESPQ -LLNYVQPNETFVKIMRILNIQSIELPILNTNIFDTSAAVIKMRIWNVESPKTLRHLMYSLNNKGFATTHM -SWHYNIVHTFHYTSGDIMMQHVASKIYEDWKQKIELNNSGFKIIDRVCQRLIPLCQHEQVRRITAVGSLY -PIEYEQGGLDMSQKVMSVIDLADYYFRHENARLKQAYDAAYNQHATLFFTKKHMDLLNQMKVDNPQDLYY -PLVRILDVEYIDRNIISNLSEEVVPVILSPYGQFAHSRLPEISLGVGSSVAFNINTVTPTARIDHDRLFA -YTLISPNKERYTADERATARNLAAEQLRAGINEAQSRINNTVKINHLLSRYRALLFQRVSEGERIELTTG -TLETLAYLYATYFKTLTVLDTNVTFDQESAVVRAVNNSTAKLLTAFIALLNARDGLLNAQRFGMKANESL -LILGAEREPAGPILQDFHKSKDKVRIIGIGDRGISPNIRARIPLSEDHHLQSTFIISDMDQTRIADGANI -DELTFQTIRQIKYVYTNSSCFIFKLNYPSQYLINEICDTLRQLSEANNIRHTMQLVRMASQNPYTAEAMI -CVVKSSKFTASDVIYFDDSTPAIRRYAEVGSARDTSESLAYSPQRHSKLQWENASSVNGIIAGVTTQDDF -PMQMAAVKQYCREGVVWRSDLSDNLVNVLAHIDHVRVNFQKRAAIVTSDADVYATWLPNGVGFSKRTQTM -ALYKRMSATLMHKYTLVLKLVSQHGLTNFSNVISIGGRNLAEASAFPMNSIKYTVHRSCNNGSTLLLETH -YNIYVQTRKFDFNTVNETLEPNSQYLALFVIMTNVDGTPRNANDQYAVLHTIAEMVNASGTSIFVVNYYN -ENMISNFQNMNYQDSDIKVTDGKIQIADYEPVPVLSDEVVLERIQDLVNVVRVEPSFLDIAAFSLKYGVH -LDEFGGLAIHGFVGGVTTFILSAKV - diff --git a/seq/clusters_seq/cluster_799 b/seq/clusters_seq/cluster_799 deleted file mode 100644 index 63eb561..0000000 --- a/seq/clusters_seq/cluster_799 +++ /dev/null @@ -1,83 +0,0 @@ ->YP_009342469.1 polyprotein [Petunia chlorotic mottle virus] -MKLDRIAVKSFFLTSPEGLVNLRNIADGASTKAALEYIMSQFDSSADARRRIRAAIRTTEWYKVVKNAFA -SSSSVAATTPAKVEEDWGPAWAYNECVSCRMHITIDWEVVPMSEVPHKEPKTEPYTCEAVPPMSTWEDII -GLSEAIEALQCAERAELFLALPEEYPHAPEKKNVAVGFSRLFNCRRFERLVEKKGILNDALNFASVTKTE -MPLTGQMLHQVQAFAPVGDDSEEASHQAEMREEQGIGTIADLKEKLAKRKAALPRKRGRVEEFKEAGHSR -YDPGGGLKVTADDIFTTGSIVKRWLPKSQEPQKPDDVRIDTNHVIKHEMVKYLPSEGPIFKSLPRMTEEQ -LRKRLEQGWRGQTSVCCDLSIVSHVGYGTPIVVFVALLDTRTTNAEESYLAGSYIDIGRMKASVLSMPLI -NLPMGDTIEDHDNFLSGLCLVFYYQHVKGFKSGMPLLSYGAIEFSELTAAANYRTKARDSWEQVVRDDKH -DRRIIAGLNALQVLEKDLNEPIPSLDAVQIAVPASAVQMPAYLRGGKVIQPSEIVSKKLHIPAMRAPNRT -GRLMFESGESSARFNQQFKTELMPKIIESGVGSTRSNSEQETEPMHETTALGQVADHNFLFNKKVKVQKD -AKRGAILCSLDLYEEINRYQTARRLDWLRDGLIYPKFKVSIKTTSNQFIGMSIGIALDWFSKFPKNTGLV -PLVANEFPSLGPIVLKENEHSFVIDVKETFGTAMSLVVDSFSEAPKWIFYVLTTNQVVQAHDWFFFVEVS -LDYDIADEYRGSPLYQYPIVEKNNLVVNRVLGNFSVAGNNPTGELIPLFMARPLETQLGNKFISPWRVYL -EHFMGYSGDFVFEFIPCSSAMINCGLRTCMWYNVDTFPSLSEMSFVEHEDLDQRKEFTLSVRAPRGKLAT -SRNVSKLAIVPLSGVTAPDTMTKDFEYLIKLKRIDNLRMGPRIFTNEWYQLFWIDDFKKDDFKLLVNGYV -AEIKSQDVKILMNQGIFSHMIACTGFHEGMLDVRITWSYANKLGNVEGHITFLHGFCSPRKLTGAAAVIA -NSKGIYEAESINVGSMAGPVSGRDTDQNKWIEFHFWKGTQIEQFHIMVRPHENFRFYGDSCIATTIPST - ->YP_009507917.1 polyprotein P2 [Aeonium ringspot virus] -MEPLLWQIDATSSWNNPLLFPGTRPECTPLSRVQTALAFFKDAARKFSKQADACDLMALAMERVAEHNGF -AVRADNVRQLFNFVGQHVANPAERKALRKALREQREAFCASLPGAPFPLPPRIIQIPLRDLLETKLQMER -EFCESLPGAPFPEPKPEGWGIPTPPPLPPPFVWKGCHYNVVAPPPLIPKPPPPPTWKVIPRPCWRVVAPP -PLVVYQPVGAPFPRSRSQSALAFFRVAATCRQALVCELVREPAFMTCCASTGEVQEMTAMLTEARSSGKL -LTPKEVSSALALKRKEIKGAEENRISFDEGVHLSEEDVFHRVGLLKRFSAPKCDRTLVDVLMPTEHEVVR -YPGTRPDGGLQMCVSALPRMSEEAARKLLEKGWKNSKNVSLDIGVTSYMPYGAPIVAFMTIMDGRTDDPE -EAALCANYMDLGREKSKVLSLPLVTIPLSEIEHDVGILDCLYIVTYFHGIQSYQPGTLMMSYGTLEFQEY -SNNSFTTATRVRESWEQILKRNENLGKRVHAGIGVLGTIEKEMDTQLAEFPALNLETRPRPVVRTFQQPE -QTGLNKCRSMRIGATNFSGITGRKTLQTMPVVQHYEDPPPIQSKPRHSVSGHGFMAPTVVPDRSCCGVFS -FKVPKDAKKGKHLHTESLADMMRTYGGIHVQEWWGSCAINPIFKIRMHAPRNAFAGLSIACTFDNFKRID -VAALGGSCPPSEMFEFPTRVFSLKDADVHEWDIDYGALTGNSLICWDNVITSPRLLFYVVTTNQVVMAAD -WNCVVTVHIDRTQQVESFELAPTVTWPLSVGDSLSVDRYYEAKELKLDGTTKLMYIDYNFGGPIKLTQKS -SISFPRAVMARYIGFSGSIKGSIKCTSSIFCTASFVIFPWTWDTNPSWTDIFWGPHQIVTGDSDFEICIK -TSLHSTSTTETGYGRLGIFPLGGPIAPEAHTGAFEFIVYISEWKPDAQVHTPMFASEEIYNWFTLLNLKP -DATTGVTNFEIPGYIHDFASKDADVVLASNPLSWLVAATGWHFGEVDLLISWARSKKAAEQEGSLSITTN -HRLATDRWQGQARIFDLRQTSCEISLFLGSFAGATPSALLGNVNYVRLSIVNSKDILSVRVAIRPKSLKF -WGRTATLF - ->YP_008802579.1 RNA 2 polyprotein [Potato black ringspot virus] -MELLCWQADATLPRNVQHIGTPRSRVASAMAFFKKAARQFSKQADACDLAALAMERVAEHNGITVTAANI -QQLFEFVGQHVADPDERKALRGALREQRKAFRASLPGACFPMPRPIPSPPPLPTFVVVKRPRFRVVAPPP -FIPRAPPLPHFKKVVRPNFVVVAPPPPPEVYQSPGAPFPLGRSDQALRFFKLAATCRQTLVCAVAEQPAL -MHCCASTDEVQEMTAMLTEARQSGKILTPKEVTSALALKRKEIKGAEENRISFDEGVHLSESDVFHRLSL -TKRYLAPKRDRTLVDVLMPTEHETVRYPGTRPDGTLQMCVSALPRMSEEAARKLLEKGWKNSKNVSLDIG -VTSYMPYGAPIVAFMTIMDGRTTDPQEAALCANYMDLGREKSKVLSLPLVTIPLSEIEHDTTILDCLYIV -TYFHGVQSYQPGTLMLSYGTLEFQEYSNNSFTTATRVRESWDQILQRNSNLGKRVHAGIGVLGTIEKEMD -QALPDFPQIDLQVRPQPVVRTFQETARPQLACRSMRIGTTSFTGNTGRKSLDVVHKWQDVPSGSSSIPPL -PRHSDHSFTAPTVVVDPSCCGHLSFKVPKDAKKGTHLGTLDMAAAINAYGGAHAQNWWAKGVLNPCFTVR -LHAPKNAFAGLSIACTFDNYKRIDLATLGNSCPVQEMFEFPTKVFVLKDADVHEWTFTYGDLTGHGLVQW -TNTVTQPKLFFYVASTNQVTMAADWNCVTTLHMVDGDDEPRFELEPTITWPITCRNSFNIDRYYEAKEIK -LDGTTTFLSIEYNFGGPIKASVKSSISFSRAVMAQCLGWSGTISGSVKSVSSLFCSASYILFPWAWDAPP -SLHDVLWGPHQIMHGDGDFEIAIKTSYKSTPTMMAGVGRLGILPLSGPVAPDAHVGAYEFFVHIREWVPD -EQIHPPIFSTQDVYNWITISTVTPDAVSGVWETTIPGYIHDYADKNAVVSLSSNPLSWLVAATGWHFGEV -DLCFSWARTGKAADQESIVSIAVCYSDYDKRIRGNTRTFDVRNTSYEVPYFLGSYAGATPSGPLGDQNYI -RISVINAKTLMAMRIGIRPRSLSFWGRTATLF - ->NP_919039.1 polyprotein [Tobacco ringspot virus] -MEPLLWHVDATTPSHIQALQSGSLPPASPAAALTRVQRALSFFRTAARKYCKQADAPDLFALAMTRVAEH -NDIAVDARNVEQLFHFVGQHVANTAERKALRAALREQRALFKASLPGACFPAPAPPGWGIPKPPPLPPPF -VWKGCRYNVVAPPPRIPQPPPLPKFAPFVRNNFRVVAPPPLGEVYQPVGAPFPQTRASAALSFFRTASTC -RQVLVESCIQQPAFMTCCASTGEVQEMTSMLTEARQTGKILTPKEVSQALAQKRKEIKGAEENRISFDEG -VHLTEADVFHRLSLAKRFMAHKRDRTLVDVLMPTEHEVVRYPGTRPDGTLQMCVSALPRMSEEAARKLLE -KGWKNSKNVSLDIGVTSYMPYGAPIVAFMTIMDGRTDDPQEAALCANYMDLGREKSKVLSLPLVTIPLSE -IEHDQGILDCLYIVTYFHGVQSYQPGTLMMSYGTLEFQEYSNNSFTTATRVRESWDQILKRNENLGKRVH -AGIGVLGTIEKEMDQQLEDFPAINLETRPRPVVRTFQNAHQPLHKTRSMRIGTTSFTGNTGRTVLPPVVK -TYEEGNANFDSLQSKPRHSSASTAHLMCAVTVVPDPTCCGTLSFKVPKDAKKGKHLGTFDIRQAIMEYGG -LHSQEWCAKGIVNPTFTVRMHAPRNAFAGLSIACTFDDYKRIDLPALGNECPPSEMFELPTKVFMLKDAD -VHEWQFNYGELTGHGLCNWANVVTQPTLYFFVASTNQVTMAADWQCIVTMHVDMGPVIDRFELVPTMTWP -IQLGDTFAIDRYYEAKEIKLDGSTSMLSISYNFGGPVKHSKKHAISYSRAVMSRNLGWSGTISGSVKSVS -SLFCTASFVIFPWEHEAPPTLRQVLWGPHQIMHGDGQFEIAIKTRLHSAATTEEGFGRLGILPLSGPIAP -DAHVGSYEFIVHIDTWRPDSQVHPPMFSSAELYNWFTLTNLKPDANTGVVNFDIPGYIHDFASKDATVTL -ASNPLSWLVAATGWHYGEVDLCISWPRSKQAQAQEGSVSITTNYRDWGAYWQGQARIYDLRRTEAEIPIF -LGSYAGATPSGALGKQNYVRISIVNAKDIVALRVCLRPKSIKFWGRSATLF - ->sp|Q88894.1|CAPSD_TRSV RecName: Full=Capsid protein; AltName: Full=Coat protein; Flags: Precursor -MCAVTVVPDPTCCGTLSFKVPKDAKKGKHLGTFDIRQAIMDYGGLHSQEWCAKGIVNPTFTVRMHAPRNA -FAGLSIACTFDDYKRIDLPALGNECPPSEMFELPTKVFMLKDADVHEWQFNYGELTGHGLCNWANVATQP -TLYFFVASTNQVTMAADWQCIVTMHVDMGPVIDRFELNPTMTWPIQLGDTFAIDRYYEAKEIKLDGSTSM -LSISYNFGGPVKHSKKHAISYSRAVMSRNLGWSGTISGSVKSVSSLFCTASFVIFPWECEAPPTLRQVLW -GPHQIMHGDGQFEIAIKTRLHSAATTEEGFGRLGILPLSGPIAPDAHVGSYEFIVHINTWRPDSQVHPPM -FSSSELYNWFTLTNLKPDANTGVVNFDIPGYIHDFASKDATVTLASNPLSWLVAATGWHYGEVDLCISWS -RSKQAQAQEGSVSITTNYRDWGAYWQGQARIYDLRRTEAEIPIFLGSYAGATPSGALGKQNYVRISIVNA -KDIVALRVCLRPKSIKFWGRSATLF - diff --git a/seq/clusters_seq/cluster_8 b/seq/clusters_seq/cluster_8 deleted file mode 100644 index c7365df..0000000 --- a/seq/clusters_seq/cluster_8 +++ /dev/null @@ -1,2816 +0,0 @@ ->YP_010084314.1 putative replication related protein [Chaetoceros species RNA virus 02] -MKMSSQGTSVACNNSIVNNNREVYVSSTEKFYSSITSVDGVPKHFFWVKDSLALGNSPYIPQSEIFNPKQ -ARRKKFAKNKRARRQEKLKNMRKNGNQNNVPKSAPKKSPPPQPNIDFVHQSLVEKLYPASIIDLAKDKLL -SMKAEAHTSRLMEVLEVVGALAITLPALETPAQVAAQIVLSLRALTTGSLCEQILAQEDTIKWCKDLFGY -NIFEQQAAIFGEKMPTGVEWLSKIPDLRENWDAVRNAPMFGKISALISVAASVGLCSVTNLKWSVQGVDL -FRVGTLSKHSTAIDLVGAVLDTVVYFIEGGYECFKQKSFSPLFFTNDDSKTLDELYFPLLELHEHAMVFN -LHEKKVTIKGELRTINDIEYSQLLDEALELSERLFKSAKGTWQQGYLEKRIDVLRKNRAAYQAKRIDGSM -RFAPFTVYVWGDSGRGKTTIAQVVMADCLAASGVDPDTKNTAIIKESDKFDSSLKGHTTGIFFDDLGNTK -SDFLDKAPTERIIDINNNMITYANKADLHEKGKIEIRPRVFVITSNAPLAKHANVGSICPYSIVRRADVH -LEVEVKKEFALQDGRLDSAKALETFPGDSLVNDIWDLQIYTPLEKKEGGDNSHLRHIDGVKENKPRTINQ -TLRFLTTKCKKHFENQRRLIKKGEGLVASRRYCKSCNLAHNLCECEAIAELNEFCREVSDIVGNVDEDDL -EMPPLCNRDDESDCESDDEDEGEQQASLEESFDFIKDQFETMGARVSNFVGRFPTWLFTNRLVSGAYMLC -NARKFLTFEKRARRGVGFSLLSTLTACTMFEQTNTLVCGGALLGSHALLYGGLLAKWRNDRMNELLARRD -ATIDVFRSIRESKTKAFISMCAIAGVIYKFTGIFRTAVALQQSALVPENIEEIEKRDAEVNPWATAVAAE -LHVTDKSATMTFEQVLNKVEANLCHGVFVENGFQQKCDVLALGGNTFMMPLHVFKNRKDMRALITRKNPS -ELNSTFKAIVSTNYMIPIPGKDLCLVNIASGGVFADIRHLFPDKITASGSGHFLYKNGDGSMKSDPIRIT -YTKDSKSGGAGYDYELPYNTFTGLCMGVVVANFARKCIGGVHLRGIPDSPKGKALTVTQKEIQDVWDQAH -KKWKGAFPSTVNGDFPTTRYEKQVLVTQDIHEKSPVNYLPVGSNVEYLGQDGRRVTHTKSKVRKTPISDT -VAEVTGVKNQHGAPKFHRTRMWQASLAHSANPSAGIEGSLVEAAYRDYVDGLIDVFKRDKFKLWVLSELA -PMTDMETLCGKDGKRFIDAMPKGTSKGYPLSGPKREMIELLDPLDYPDFQCPAEAHPMIVEEMRKMEQIL -LSGKRCYSIFKACVKDEPTKLTKDKVRVFQAADWATQMMVRKYFLPLARILSLFPLDSECAVGVNAQGPE -WDQLANHMKKHGVDRILAGDYSKYDLRMPAQLINVAFAALIEIAEKCGRYTEDDLTIMRGIATEIAYSCV -AYNGDIIIHKGSNPSGQNLTVYINCIVNSLQLRCAYFHLWPSHLGKPKPFREVCAIMTYGDDVKGSVKKG -YDWFNHISYAEFLRERDMVFTMPDKESEPTPYMNDLEADFLKRENKFNEDTGMIHGALAEESIFKSLHTV -LESKVVSLEDQSAGNIDGALREWWQHGKEVYELRRKQMKEVAFKCGMTDSCKMLTESYEDRLKHFQIRYL -GREPDEIDEVADEDAFVSTVGDEWDFSE - ->YP_009667031.1 non-structural polyprotein [Marine RNA virus BC-4] -MNRVNREPLSSNFKNPPLYEPKVIIRLKRVIGGNIDNMFRNSIDQNYGAGSFKDLKRASYQRRVDNARNA -ERRPFKNNRIWKHSQECKESFKTISNSKMDTIFEQVFEPHFGLESISTASFAIDALAKFANVDVPDKVLR -EVEGVILLLVNLSQQSTPLGVITSVLTWAQGRTSKSLFKVIKDFVEELLITTQSDATPDWLDCLRDMRQN -WQLCKGNRAFKQISKLLGCLVMLGLCDVSDMTFHLGQFKLFSPDLCDKHTTALDIADAIFETVIFFTEGA -YLCFQTGSLKPLLVNDRTAMELDAEYAQVTAWYELVRNGNLKKFTDMSDQEFEKRLNRLSTSLLNLSQSL -RGLDKKLVMDKFQRVLSMQNDFVAMKIASGVRHSPWAIELFGESSQGKTTLGDQLVDAVLTSQGLPVAKE -FRCAYNAGDKFMSNWTSDKLVMIFDDISNDKSQFVERPPTRAIIDVINNQMFYAPKAELEAKGKCFVEPW -IAMATTNKKDLDAGLYSNCPYSIQRRLVCITVNAKSEFQRIEDGIPCGIDSSKVREYYTSADGVYEPPMF -DDIWTVTIEKAVKPQNLKTVAGYKPIVWNGKEMKDVSMAICVQWAIEDFEEHRRNQEAMLEGMRIRESKM -TLCTHPNCKHLAGNCPYHKEPYCEPCDPHFGRETVGALRKLWYGIEDTGVAINGLYDRADYETSKFVYEK -GTQYLSTWEWIKIVPASAFEHRYAPTVFRWLYKDRLIKNYTWESKRLLVTLLLTCVVCVMLFPNKFGAFL -FFLSGFQYLKTQRNLVDRVETQLYEELKCTNMEIAPILRKYRDQYAKAICGISIGIAAVYGLARAYRAYS -SSEQKAQGSLEPITGKEVEERDSEQNVWTDIVKRDLPITDNSKRMSTEQLNNVVKKALVYGSIHTDDGNG -MVNGLMLSSNVVLIPNHYFMEFGEELKCTFRKRNPEASGGKFVARVHTKYSHLIPGSDLRVCYIPNGGSF -KNLVNFFPTGDMPSVPFQMHWRQKDGEMIIAKGLTTPGIVRTRHNFNGGMYRNLTINTFDGLCGATLVSD -TNGSVIVGVHLGGTAGTPVGCYGSITQQQLFVAYEELRKFEGVILSGEAGKFETTVLGVQVLNNDPLHKK -SALNFLPENSQVEYYGSCPGRSLTKSDVKVTPISEYIVDVCNIPNIYGGPKLNPDWYGWQTCLANLAVPA -HPYPHDLLEIAIKDYKEPLLKIFTNDLWKKSRPLTDHENLCGIPGKKFMDAIKLNTSVGFPLSGPKRNHV -IELEPTEEWPNNLELEKVLMDEINRIEDCYRRGERGYPMAKACKKDEILAKDKCRIFYGNALSLTYLIRK -YYLPLLRVLQMNPLVSECAVGINSHGPEWQEFHEHATKFGMDRLFGGDYGKYDQKLPSQLIFAALRILID -FAKTCDYSEDDLKIMEAMTGDIVFAYIAFNGDLIGLTEGTHISGNSLTVIINGICGSLNLRCFFYSQYKP -DSFEERLKFRDNVAAMTYGDDNIGSVKQGIDKFTIKDCSLFLKEYGQVYTMPDKESELLDFLPADDFEFL -KRDSVWHPKLGVHVGALLDKSIYKSLHCFMRGKNCIDTEESACAQNIDGALREWFNHGEEKFEKQRSLMG -EVATRAGISHMCTGLELNYNDRVADWVAKYGN - ->YP_009666860.1 non-structural polyprotein [Marine RNA virus BC-3] -MYPDVRTPPLVYDLFKIIQPGLSIQTLCGVHAPHTKPLKIRYQYMTHYITIRLRNNRISSNRYVSAKDHN -DKYCGTRSMVLANPVICLQINRQIIASPMFSSEALGCALDRAHPLVLGPKMKSRGLTPTGKASDISFKST -SVAPSMTITSTQYDSLSLDIPESKNARQAKQAFLNKTLESKVQFLLSGLPSYQPQAALLIPGLFSLKDTL -RQQLTDSAISQIEGIVALYGALCSTNDATGFLCVLTIYAKTFSQEALASQLAQLVGNLFSGYEPQSSSDK -PEWLTQLSSALHDWKLLVNNPAFTKISRVVSLLVTLGVIENTSLHLGGFEIFAIEAQKKQCNAVDLIDAM -IETIVFFAEGGYQCFVTGSLAPLLFSTPKLVELEEKYISKVEQWEHARNGNLERFLSISEAEFDKELKEL -IEEFHMMYKTMPNGTEKKIIQQKWESLSKILTEFTSVRISGGLRKAPLAVKIYGNSGVGKSTFADLTMVT -VLKAMNLPCTSDYICTLNASDKYMSNYRSYITGVKIDDLGNNKKEFWEVAPSESIIRIVNNIREYAVMAD -IANKGKISIEPGCLTITTNVEELHAGISSYNSMSVLRRCHIHVELKVRPEFMTNNLLDSAKVLEHFGSLD -KLNDIWLITLKRPIGDGPTGQSFSSYEILKTDISITEYLNIIIAKATSHNAQQQSIVESFKEPADIVSIC -STCNRVSETCTCPVLETVEEEEDEEEEDDDYEPHFGEKLAQKICICDICSQVTETCTCFCPEIVEEKHDV -YEPHFGERLAHQITIRASRFHHDLRIGHSSVETQCEDFAISTLIKFLKAFEESPYSSWTAFIPEQWMKND -FIKSTIITMGEDVIGTDMKTYTRRMAWSYFLTSIPIFTICGFKITQLFLILGFIHYLLTYSTIVETKKTA -YMQHLIKSRDVLPQLFKDVRDKHVKYACGAFGALAILYAAAQTYKALRSNLSLQGSLRPKSMSDIRKRDL -EADVWKPAPDPVPMGNDGSWSSIEQAAAGLKANQYIVEVGDQFSGAICLQTKIFAIPAHLLPAKPTAITL -KGRCYTIKTLLSPEYAIIIPNTDMAVFYVPNAFPSKNILVHFEPNYVRHPVMASMIGVSYEGEQYTACTL -WSFAHGVSNGPYAFPGSYYDLAGLTTAAGMCMSPIVSDSKSRKILGFHIGGVTGTPKGCGFAITTPQLEL -AINQLLALSKTFLAAPQATDIPDTLMGKKIVEKPTVHAKCPTNFITGEPALVAYGSVIGRSHMTSAVIDT -PISKTVEQVTGVPNNYGPPRFTDPVLKDGYLDKQKWKPWYASLEVCSKPSIGFPTAQVDQAIDDYLVDLK -EQFDAIDYGPELRPLNHQETISGIDGKRFIDAMITKTSIGYPIGGPKSKHMVDLEPTADHSCPRDFTPEI -QAEIARVLTCADADESLNLIFGANLKDEPTKTTKEKVRVYQAAPLALQYALRMYFLPPARFLSLNPLISE -CAVGINAHGPQWDELSRHMAHFGEDRIIAGDYSKYDLRMPAQLTLSAFSVMIEIAKWSGNYTDQELKRMR -VLAHEVCTPLVAYNGTLLRFLGTNPSGQNLTVYINSIVNSVLHRICFFDEYSEKDLIRIGKELGLGRPAR -FRDLVTLATYGDDAKGSVRVGYDRFNHCSMANLLAENDMKFTMPDKESDPVPFMSRQRADFLKRKDRYDE -DLGHYVGELDEESIFKSLHSILKPTTQSALEVATSNIDGALREWFFHGREVFDFRLAQMKEIARIENLPC -TTLDQTFDDRVKAWKTKYVPLEV - ->YP_009666858.1 non-structural polyprotein, partial [Marine RNA virus BC-2] -FFSNFPVTLPLNVTKNFSTSMLLRSIHLGGTVNNVPSYLSPADEMKSSGSITAGEGKHSPLKCTSCANSQ -CTTHSSEIPEKMSVPLTKKITLNRYSLALFGPITYAYAESLRRDKLIAMKNELLVDIDTIPCTPQSFIGF -NMLSNMESHLRNKLSDTAISKIEGLMALYLALADVQSATGFIAVLTLYAKTHNQAAITTQLKKIALDIFD -TYKPQSNDDDSTGDESSPTKPSRPEWLNDMISGLTDWKLLINSPSFSQVSRVISLLITLGVIDSCSVNLG -NFELFAIQAQEKHANAIDLVDAFLETIVYFAEGAYLCFEKGSLSPLLFSSSTVVEIQERCIEKLTEWEYV -RNGNLEKYQDKSEKLFDKELDDLVEDLHNLYKTMPNGAEKKIIQMKWEKLALIKADFAAMRVKGGLRKRP -WCVSISGDSGVGKSTLADLVLSTIQKASGVPCTSEYVYTLNEKEKHMSSYRSFITGIKIDDFGNAKSQFW -DGSPGDWIIKLCNNIRETAVMADLPNKGKISLEPAGVAITSNIDHLHANAISNNPMSILRRPHCHSEAKV -KAPFMTDNMLDEDKVIAHFGSLNQINDIWLISIKKPIGGGHKKQEHAGWEYIKEDIDIFEFLNYVAEKVA -KHVANQGTIVDAFKEPSTLINLCPDCNKLQETCSCELTPHYGERVAQVLHTKASEVNMSFKKNRCNFETN -VEDLAVESLLEGYRWFEESPYSRWSSWVPEPMMDNDYVRFLILWFGRDIIGQSVRTYWYNFALASFCGIY -LMSRINHVLIIPSVLFCLVHCLVVGSGVIEAKKNAYLDELVDNRACLNETFISARDKHVQYACGAFAGLA -VLYSVGKVIKALRASLSIQGNLSPVNVADLKERDTTDNTWITGKPTHLSTPGAPVTLAQAENSFMKSCCQ -ITIGTKCSGVYLLQSNVALIPHHFLPGETAQATIHYGSRKIKFLLNPLHSPRVGTLDLAIVFVPNTGPLP -PNLGKFCTEHAKQPLVCTMYGVNNDRTRFSTRVMWQFASAVTNSYAYFNGSNYSLQDMNTFEGQCMSIIV -RDGVRKPIVGFHIGGKEKTPRGCGMAVLISELQLALHDLAKLNSTFVLGPQARDVSDTFGTKTIAISPDV -HPKCSVNFLEDDAAVVVYGSVIGKCHHTSDVISTPISDIVEDVTGVPNQWGPPQFSPKVICSDGVSRSQN -WKPWSATMQSAAYPSAGFDPANVLAAKQDYLFQLKEKFEAMSSFWKKDISPLNKTAIVAGIDGKKFVDSL -KLSTSMGYGISGKKEKYIIDLPPTETNACPRTFTPEIWEMANEAEQLLDAGLSLNCIFGASLKDEPTKRS -KDKVRVFQAAPIVLQILIRKYFLPIARFLSMNPLISECAVGVNSQGPEWDELSKFMSAWGDDRIIAGDYK -KYDLRMPAQLTLAAFSTLIDIAQWSGNYTTQDRARMRILAHEVCTPLVAYNGTLLRYMGTNPSGHNMTVY -INSIVNSLLHRLAWFDAYNSDERTKMGKDLGLGRPATIRDVCNIMTYGDDAKGSVHPDYDAFNHKQMAEF -LERYDIQFTMPDKVSEPVQFMHRNGADFLKRKDRFEPALGVTMGMLEENSIFKSLHSITKSKAVTPDEVS -SMNISGALREWFAHGREVYEHRREQMKTISQRANLPVLDLELTFDDRVTMWQDKYGDLTTQAGIIDIFDV -TTHTPDSDLSELTNPLALPNLPPEAASTEQTLLDRVKEVLGKPKREEYVIISQEYGAGDLLYESENVLLV -IECKRVIGKSGHYTKAKKQARKYASVFHILRPDCCVYAIICTEYGFKMVDVFGELIVCPKFEEFLDHISV -ELS - ->YP_009666856.1 non-structural polyprotein [Marine RNA virus BC-1] -MTIKAPSSSEKEFIVTPTSTPASNGQMPTDRNTRSVVTPEDLTSYMNQINLVDSDYDCDWKGLSHEEITN -EPWYIVEHKKRKKRFASWDLKIQQTIDLMDTPDINPNLNLDTKQANTACYASKTKGRRNIKELKRLSAKL -RVIKRNERILKKKTEPHGLEDDYTWMHSGPEGDLHPTERTIPKKFLSPIVETVSDTDDEQKDESENEHHT -LENNDVENDDDDPPLSHCVKERNDLFKLALSTPPQKPDAAKEMTDMLETISDIVPKETTPEMDEWVSHLE -NLVIMSYQVTCAQSFTDVFVAVIAYIKMNTSKSVLKSIFELIDEVTKACPAKDCSPQAFEANDVLQHWEL -FKSNKIFTKISYLLSAAISLSVCDMKGINWSPFGLKLIAVEAAKEQLKAVDVIDAVICTFTWVAETGYRV -FEEKSLMPLLYSDNKMKDFNRDCDYVLANAEQALAGNLGEINDFEFKTDDVLRQVCEMKAVQNTGPTALW -LQKRYSELVNIKHKIVAKHRNTAIRFAPFGVGITGPSGVGKSTLAKLVMKIALFAMGFKTDPKRIITKDM -FDAYDSTYTSDILGMFMDDVGNGKSQFAQVSPTDIIIKFFNNMAAQAVKAELNAKGVVFIAFKVGVLTSN -FPDYNVRDYACKPEASLRRFVHTRARILEKFRLPGGISLNTEHPDLERSDLTQDVWELDVEECHIYESKE -GKDAYKFRIMNVKVADGRMIHCKNLCLSDYTDVIIALAKRHAKSQTNVMKRSNDFDTMDMCSTCCRPQPM -CKCEIKPHGIEQLGSVIVDAATAAATSYVNKWLSPVKFLNTMLGYRPVKAIATRQLAQEMTSVLSSTATP -WLIAATPQWIFDTSVFKKSIGVWQYSAAARDLKNHFRSLMSASFLSFGYSAYTQDLTTFVLTLIGSWIGA -LAYWSHHRARIKHYQQEYLSRREALPDFARLARDGKVVKGLFVASTLIVGLKLFRIWNKMRLSKLAPNSL -TVDEVDVQPSWFGFMMDKMKVSVVTSENMKHSTPEQLTTAFRKNNLFWADFVRADGSRIRCNIFFPRKGV -AWFPHHVFFPNSDMTGTPTSLLTVNVQRHDKIGGQFTFKCELSTSVVSDSHDMVCAYVPNCPDLKDRIDW -LPLTKPTGNAFCKFLVRQQNEFKEERVSTTFGKYGHRYRDFYGGSYTTKLAQKGACMGMLILDAKKPTIT -GFHIGGNAETHYGVMQTIIQSEANALIAKLEAIPGVLLSANAVDIPLTQYDKKLVESDQIHPHCMASKLG -PEAFVDIIGSTRLRTQQKSSVKPSILSDAVSEECGIPNSWGPPKLSPNWKAYNATLEHIVSPADMFAPSE -LERARQDWLKDLLPLAKNTLKPLTDTESVIGVPGVRFLDALPMSTGMGFPVFGKKRPHFEEIVVDKVLVD -RVPSIPIQQERQRMRDCWKRNERAYPVCTATLKDEPTPVGKDKVRVFQAGAVALSLEVRKYFLPIARFLS -LHPCESESAVGVNAFSTQWEDLMSHSNKYAPDDQVIAWDYSKYDVRMNSQMTRAVYMCFIDLAEAGGYPP -DAISLMKAMVSDIVHPLMDYNGTMLMAYNMNTSGINVTVNVNSTAGSLYVRLGFFHEYPHATDFRSKVAA -MTYGDDFKGSVHSNYRNFNFITYKTFLGSHGMKITLPDKGEDEVKFMRDEDADFLKRQTNFIPEINCGIG -RLDEKSIFKSLHSNLASKSATPVEVSANCVETALHEWFAHGRETYDIRRTQLQRVCDKCELPVPALKFSF -DDRVEHWKSKYKK - ->YP_009505620.1 replicase polyprotein [Chaetoceros tenuissimus RNA virus 01] -MLSTLICGYKVEANTEGSPYNSGLATEEVILMTNISYRLSQTFGLLSESEDLKQANTRTYEKRAAYQTFK -AREYRRKDLRRKNKAKAVERKFEDFKSESLSDIVRPVVSTLTQKFVFAQERLMEAGADAVISKLEDVILF -IYTIKEASSFKQVAAIVAREIKTLCNNDKALIRTVSEYLFQGTTVDSIINFFKSDVNPSDFLDGIRDKKK -ANDLLDDLTDDSLDEFFSESEEAFNDTDEPNPIGFSKFIASFTKTTEGYGRVMASPLVGKVTTLVSTMLA -LGLINDKKSCSVTVGGLEVFNFYAAKGRKTSMDLVETVLDTITFLLERGHACFTTKSFSPLFTSVEKLAE -YDITVADLIAGYTYVRADNFAGSPFMDAAHFELELKCTMDYTVRLIKSLPRGERPPYSKKLIELQKIKAD -FDTRKVQGGLRKAPFSFIIHGGSGVGKSTAVNTLMTHALQEIALTKGNKNFIVKESSICTLNEMDKYHSD -YGSHILAVLLDDFANGKAEFAAKNPTVDVINFINNIHRTAIMAEAELKGKIPLVPCVVAATTNVKSKWAY -TYSEEPLSILRRFQLHINMRVKKEYQKEGCAQLDPAKLAEAAAKGILCPDAWVFDVYEWVESEDGNILKA -TGSKAPVERSIFFKEDGKSKEAKNLNFGQLFELFSQIIPVHEKAQNSCVKSSKEILSRPRCPHGKNQDWC -STCKESKKDLCLPAIPETEEFEDSEVMKSESFFESISGTLNDYRDNMNCVQTYHKLCNEYSILSRAAPLD -VYARFPERLREHPLLKALMMFNLDVEMSKIMQFWAIFFIVAIYLPLTGHAPANTFNWCFALFLPCMYLHI -DARKALLEEMVSDSNAVHVSTVESIKREQIKMGKQLFAYAGLTASGIYIARQAYSVWRKTLASESGQYKE -GVSKWSVTPERENVWKTPEFAPLPDGVIPSANYEDLFKVFSRQQCVVRFHHRKGTAQSNGFWLASNILVI -PAHERPTEEIKIDIITRKVTNLNGVNLVGYSITPGSCTTLHEKSDLALVYIGSSGDKKDLRPFFPTTLTT -RTEVSKMLTRNLETGEIDERACTFSRFGSIKTDRAFFEHAAHYMWPVASKPGLCGSPLIRDARKGAYVTG -IHLAGSQKAGAYNACEQVTRGMLDHAILKMELDEAKPLAHAEGKMKTEEYGIDYTPEDTLDKKSPLNFIS -QDKNIQIAAYGPVPRFRVRPKTSVVESPLSPFVEKHCQVPQQWGPPANCRRIEREDGLKVREAPEWQPYQ -KFLDQAGDAHQEFSCETLERAFGDYWGKFELAAQEREVELAMKQVRPLSDIETVSGIDGAKFIDQMKPNT -SMGFPINKPKEDFIIHLDPEEHDGADPKILVDEILESAYADCQEYLAGRRAYPVFKACTKDEPTKLTKSK -VRVFQAAPAKVQYNMRKYYLSIAKFLSDHPLLSECAVGINSQGPQWHELDTHISKFGRRGRTVAGDFKAY -DQHMSARMVMLAFKIMIKIAEKSGNYSSDDIKIMKGLMTDVAFPVMMVNGDLVQLFGSNPSGQNLTVYIN -SIVNALYHRCAFFEIYPDFEGLFHEVVALTTYGDDVKFSVSKMFDKYNHTNIQKIFAKRGIEYTMAEKDA -QSVPYLDHVDADFLKRQSEWRAEYSWKGSKGMWIAKLSEDSIFKSLHCNFESMDQSRSQIAIQCLDGAMR -ELWFHGKEHFEMRHQQLKQVVIDAGLECAMPKSFYDGYEVRETNWLNQYGVDKIQE - ->YP_009388485.1 polyprotein [Fur seal picorna-like virus] -MTLNFSLIPSLTLFSKVFFWNPKDLYYPNPDLSDSHNRILSLKHQLLLNVDVVPFSPQSLLSFKSFFDLE -AAMRTKLTETAISKIEGLMALYMALADVKSASGFAAVLILYAKTINQTALSSQLKNITTKLFDSYKPQST -SSSRPQWLDQMVSGLTNWKLLVNSPSFTQISRVISLLITLGVVESCSVNLGNFEIFAVQAQAKHCNAIDL -VDALVETVTYFAEGAYQCFEQGSMKPLLFSSPKILILEEKCIQKQTEFEFVKNGNLQKFCDKSEACFDKE -LTELVDDLHEFYKIMPHGAEKKIIQCKWEQLSKMNAEFIALRVAGGLRKSPFCVKIFGNSGVGKSTFADL -VMATVLKSAGVPSTSDYIVTLNEKEKYMSTYKSFVTGIKIDDYGNTKADFWECAPSDWIIKLCNNIREAA -VMADIGSKGKISIEPSCLTITTNVESMHAGVTSYNPMSILRRAHVHVELNVRPEFKTDNMLDSKKVIQKF -GNLDNIHDIWLVTVKKPIGDGEGKQNFSSWETLKSDMSIQDFLNYIITSSTSHYEEQTKIVESFKEPSNL -VVICPNCRKLQSTCSCDLTPHYGERLASMITKKAKEVDLNFQKIRCNTETKVEDIAVDSLLLGFKWFEES -PYARWTSWIPESFMDNEYVRSAILCSGRDIIGQSVKQYALNFFAIATSCVGIANRFHSTLTVPTAIACSL -YGVVCFAGVVEAKKNAYLDELVANRAILNKTFISARDKHVHYACGAFAGLALLYSAAKLVQALRASMSMQ -GSLNPSSIAEIQERDLEVSPWKSEPFSPTKVFKHFGTMSEAETTLSKSMCQIQIKNSFSGAFILKTNLIA -IPHHFLPKEVSEAKLLYGKRHIRFILNPALTQRVGNMDMVIVYVPNTGPLRDNTKRFMSEYVSQPMVATM -YGIHADGSRFDSRIMWQFAGAIDNGYCYSNGSTYTMTGMNTFEGQCMSPILHEGDRKCIIGFHIGGKAGT -PRGCGLAVLAPEIEIAISKLFLLSKSFIEGPQSCDIEDVIAGKNIFVSKEIHRKCPSRFIDKDGAVEIYG -SVTGRATHTSDVIATPISDIVTEVTGVPNVWGPPKFKQPITLANGATDPQLWKPWEASLSVCSKPSIGFD -PQKVDDATEDYLSSLKINFDKQSVLWKKDIRPLTQVEVVSGTDGKRFLDAMKTSTSMGFPMHGPKINHLI -DLPPTDEHACPRTFTPEIWELVEELKEKADKGTFLNQIFGANLKDEATLLTKDKVRVFQAAPIALQILIR -EYFLPIARFLSLNPLVSECAVGINSQGPEWHELAQFMAMFGDDRIIAGDYSKYDLRMPAQLTLAAFGVMI -EIARWSGNYTEIDLARMKVIAHDVCCPLVAYNGTLMRFMGTNPSGQNLTVYINSIVNSLLHRLAFHDVYN -LEACKKIGKDLRLGRPARFRDLVSLSTYGDDAKGSVRDGYDEFNHVSMANYLAKNDMKFTMPDKTSAPVP -FMSRFKADFLKRQDLYSEDLGHYVGALDENSIFKSLHSIIKSKVVTPTEVSAMNIGGAMREWFFHGREIF -DKRLIQMKLVAEKANLPIPELEVTYDQRVEAWREKYLPPEPEVPPDS - ->YP_009345907.1 non-structural polyprotein [Bat dicibavirus] -MPHLQNIASSESPPLLNMYYRFLKFIQKVVSESVTSVESNQRRHFCGTDLMDRGDYGYEPEGVEYSPQES -TYFSQHLPQGRNVVRNLCRMTDNICPDMDKESATNCLSLESQAGEPAEAEDVISATKDFISANNLPFSEE -ILDKVEGAVLLFVALRKATDSDQFASIILMYAKTLVRGSLFARIAKFMHLISVNTFDVLSKEEKEEALEE -QAGISTSPVDWVTYFRQANANWNMFVKCPGFEKISRLISVCMSLGLLNSKSIDIDFNGIKLFTMKAMERQ -ATALDFMDALFQTLAYLIEGGYECFKLKSMMPLMYNDPNAMSDEAKYDKLVDNFEYCKTGDLERLAGIDP -NDYAKMLYDLEIHFKTCAMGCANPLATKLYLGRAKRLHDLHVQYNQIRAQGGLRIEPVCFKVFGQSAVGK -STVSQLLMINVLKYCGFDASDTRVASINESDKFDSNLRSDVNGIYIDDMANTAVDFVERSPCAKLIELKN -NVRITGNMAEADMKGKVTLEPKCLCITTNVKDTMSHKYSNEPISVDRRFDLIITVKVREEFAKNGIMLDK -DAVERHYKGNIPYFPDIWDFTIESVYPIPNPTVGKPVLTGYEVVKDEVGEPMEDIGIHQLIYYCTEFAKK -HFEQQKSLLDRSANLSDKLQFCNKCNYARELCCCECEKCKQLIFKCACNIEPHFGPAFVPNIAKTVLTSY -MTKKLVYGNAFDGYVKRVDESVTSYLIENMQLLERMFFNKIVDVAPTSMLQSSYAKEFILWTMRGEAKDY -VWWLNIWMTGYWLAFTVLLIFLRMFFPLWLSPLFYLGCWQSAFWFVRRMKICKPRFVTYRRSWKNILLTL -WLWIAVTFISIVLVLEKDDSRLYYYLFFYINFLNWTVLMYQCVYIDMINRATSRRDCMSVFEKKCQQDRH -NYILGTCVVFGTLYALYSLWKSIRVVHKFVKVEPATVLAQCVDEAQANLVPVCMDDISKRDAEENPWKNA -PQPIIAEKPKISHRCDSMTHAEVKDTIYKNTCFMTCKVNEKKFSCDCVFIRSNVALVPNHIWTTFESAEA -EFLRHGGNVIGGNFRAWISEAHSYHIPDTDFSLVWIPNGGDWKDITHLFPESFFKDGAATLVYKDKDGAR -VESGLMYRNMTVRTALCTKGFVGAEYMTNMPTFVGLCMATLVSDSVSNFIVGFHLAGKSGTSYGACGTLF -KQQIEQAVCALKEVSGVLLCKSKGILPETIYDVQVCTSENVHPRSPLNFLPEKSNCLFYGECPGRASTYS -KCKPTCISEIVEEVSGVPNYWGAPQFHKNYPWQASLAHSSNTSPGLPGELLSTACEDYLYDVRRIFSRIP -SLKDEVRPLTDEAIVNGIDGRRFIDRIVTNTSPGFPLGGAKSRFFTDIAPTETATCNRILDTQFWLEARK -AEECYRKGQRYYAIFKGCLKDEPTLKTKTKVRVFQAAPLAFSLLVRKYFLSIVRIMSLNPLESECAVGVN -SQGPEWDQLARHMMKFGEDRILAGDYSKYDLRMPAQLIQSAFWILIQIAQQCGYSDDDIVIMEGIASDIS -LPLVAYNGDLIQLFGSNPSGHNLTVYINSIVNSLLLRCAYYDIEGVASPHFSSRVAIMTYGDDVKGSVSP -VLTKYNHLTVAEFLGKYDIVFTMPDKESVPTPFMSDKDADFLKRKNVFNDELGIYMGCLEEMSIYKSLHC -VLASKSVTPREVAAMNIGGALREWFQYGRDYYDDKLKQMRLIAERANLTHMIPELEFSYDYLLDNFRAKY -LTPVEYLSLQKGRDNVVGGH - ->YP_009337800.1 hypothetical protein [Sanxia picorna-like virus 5] -MDKIVNLAYTTLLSFSSYAVRCISLAGEIIERIFYILYFAFCRMGENIDFYGRIFVRFVFNLRYESRCFY -VAGGHIFSSQSGYAHSKYKRKNEREVSKILKEIGKLQEKKRSCKNGDAKKYYEPMQFLNKEKRRYESHSG -SNVRPGKVPLPSDDEFRIFRIGLSVGFISSLWCAFFSSYIFPGMMSLHRRYWDDISKSNLVRRIERDIPR -NLDFLDRARIDFSFLRLATKFDANNIENWTALIVGLATSTSTVNAGALLLTHFKTYYNKSVAVALADKFS -SLFMRTYEPHSLQDMAGLWRMLSNDFNSLQKSPFFDKVLNVVSLVVCSGLCGSFDIDFKVSGFNVFSENL -SKRLSSVSLTDMPGMILETVAYFLETGYMCYTQKSLKPILFTNPEAYAFEQKYLEFFRVIPLIGDGDWEA -AGITISDFHILYDELYSYLHSLHSSLNKGFEKKVIWDRLVNMVKTKNDLDRKLNSGLLRRAPFVLAFCGP -SSVGKTTVANIINVVAVKASGGTGDLTKKITWNENDDYFSNYKVDTETIVMDDLCNTKPLFIQSSPLAWL -IKFNNNNPEYAVMAELESKGKLPIRPLTLVITTNVPDLLAQTYSNEPVSILRRLDMRVSVTVKEQFSLMN -GGSGNLMLDPERARVYVDSLKGDEKIFPDMWNFTVEKAVAVKNPTGGTDRAEFRKVVWQNMILEDISLKV -LADYTADAARNHANNQKSLVSKQTQLHDTINLCCVCNKLQVSCQCTYCDQAGEESSDAELDASGVIDITD -QDFIGESSFWDFIPFPYREDIAMFVSYFASWRGLTDYVRLHWRQIFISFSLWAILSILRPLNLLTCMVWC -MFIFHSILIYRFYLIYWQSRTRWFQLQSSAHRIASSPLFTCIVGAGTTYLMTRALGKILYGFIKFYRSFN -GAIMTGQSALNPDGDDEYMKRRREPNLWYPKLPVVKLAKPSSRSKTTNWRDLNGKIAKNCVFIKSGTKVT -GGFYVRTHLLAVPGHFISPDMELEIIPRVKYLDNKHSYRVRTNGDLVYKVPNSDIALVYAPGGCDKCDFT -DYFPSSHLEGECVGSFTYRGADGELLLDRVRMKFGKVTTDVATFSGAEYEFNNFNTFKGLCMGALVGEMK -PPCIAGFHLGGVTDTQYGASGTLLKTDIRNALAHFDVPGIIFPGGSSEIPTVMYESHLGDGPLTLDKPIS -PRCSSNFLPEGAIIDVLASCKGAVTNKSEVIVSHISGKVFEFTGVERTHGVAPMGPPLVRSWHNWSLGMQ -GFSDPAVGPFLDSVIRASVDYIQPLLPKFINILPLDLPTIINGLDGDKFLNRMPQNTSVGFPLSGKLSKF -STIVPAQEGHSVNFELSPEIMEVYEIYKTRYRAGERCYPIFRASLKDEPVKIGKLKVRVFQAAPVALKML -LREYFLPIASHLSMFPLISECAVGVNAFSNEWEEMHQHIVKFGDSRIVAGDYSAYDQRMPPSLTGAAFSI -LIELAEKAGYSPDDLTIMRAMVADVIYPLVAYNGTLVQFYGSNPSGHNLTVYINSIVNSLISRCAFFSMY -PEHRDFRLAVSMITYGDDDIGSVSEAYGDFNCVTKSNYINYIGMKYTPPDKSGEHVPYMEISGVDFLKRK -SVFNTHLDRHIGALDKASIYKSLHVRMRSSDISDEAWAGAVVDGALREFFAHGEQDYEIFRSQMVRVAED -CDFAVHSSNLNFSYKEMVEKVEPQIN - ->YP_009337766.1 hypothetical protein 1 [Sanxia picorna-like virus 3] -MNTNYKNKTGGVMKGIIGGATTNGQKRSKSRKYVDRRVVKSQTVERHQARNAKQAFLEGVSDIREPPPQL -IANMQKFEKQHKRQMSCYEFSDMFEALSMEPHGLDASASVAPNPKKGVKAVDLSSYREFIDYAKELSEQF -PFCSDMLSILEDVFVTSYIITQTDDVTIMAASAFMFLKKRLNKDLLPTIYDYLINVKKPAMQAHGGVPTE -RFFYKAGFDEWRVYMDSPIFSHFGALASIMVSFGLFPEHKFDIHGFEVFSVKALDKQMDSFDLVDCVFTT -ATYFLECGYQLCHGTLIPFLTTNPDSINSLEENILHLRTHSTKVLLGQYEIDTGLTLAYYQDLLMSTYKQ -LKQLHEAFAHDRSNREAVARKLDFIVKLMIDFDNQMSLSGMRIAPYSISVYGKSSKGKSSVVMLLAACVL -KANGFPCKDKNFCTIQPDDAYFSTFKADTQCVLLDDLANTVTDKTKVNPAERIIQIKNNISYFAPKADLL -EKGRIQVKPKVLAVTTNVKDISSHDWSQEPVSVMRRFDVIITVEVRNDFQVDKRLSSQKIKEWRDTHPVD -YIYHTHTDIPDFWLLTVERVAIRKPLVENGPELFEYITCEHEGKKLVNASIYTVMDYLRVESAKHFDNQK -ILVDANAEIGEKIVFCETCHKLPQRCNCPMPRPDPVVSGQPVDPTPPPSYADFFLNRIFPKKEIEVEELS -PPTKMEPHGIITAVGVATQALGWNATTTYLSKKVSNSVFDLISHYKQQLTMDWFDQKVLSLVSDKFLYDL -KNRFHIPHTFYWDYAPQFLRDRKSFRAWYYYSTTRRSVEGMQKRIKRYTIYSIISIILSCLFGWYDLAKI -IGIFYVGLLASYISFSLSVNAVRATWEMSRRPIKSLFDVSKHDFECRTLVLGVMSILTASILLKTVRKLY -TTYASHCVAQGNLIPCAKNVEERNSEVNVWRHTPMAPPCNPNTKDYTWDQLANAVQKNCVSVTLTYNGFA -GCTAGVFIDSNFLMIPYHMVCFDKKVCNGMIPEFDCKITRAHIESGGSNWTEHITKFSVQRVSPEHDLCI -LQVHAGGTFKNLTKFYAEITRDTSTHVIYRDKFGVINEMIGHVVKKRSFHGDGPYRVDFEGGEVTYSSTT -FCGMCMAAHISKTKQPSIIGHHIAGFSGTHTGGMITVSREALDAAIQDLTTRTHTFVPHSEGTFPMERLG -KSFDFKSEISSKAPICFIESYNMGLFGSIGCQTTYKSEIRESEMAPIAEKYLGIKNKWGKPRFNPQWRPW -YRTMEYMVNPKSGIDAKLLSIAFEDYITPLKTWIRDYAKYSPSLRPLSDCEIINGIPGCKFVDHMNFQSG -IGFPLGGKKTKYLEGPPGEQRWKDPEMIQEEFAKMKACYLSGERYYPIFKACLKDEVTPLDKDKVRVFNA -SDLMMQYAWRKYALGIIRALSMNPLESEIAVGLNSGGDEWEQLENYVTFDGIADEFILACDYSKWDLQLP -AQLVNAALQVILELAETAGTYSEEDLCIMRGLATDTTYFMCHYNGSLIEAHGGMASGHNLTAHLNSICNA -LLVRTGFYSLYSSDIAFRDAIHATFYGDDCKAGVKPGFHAFNHHSYRKYLWERLGMKITGCRKDEVDPKE -FEHINTTDFLKRTSVFIPEIGCRLGAIDFDSIWRPFYCHGKLSCSKEDHYANALRGAKNELFLHGREVFE -KYQPILIGIAFDMGLIDPFLYKDFDWHVSEWLNKFGESSIFSQSIDFAPFADSDYSESTVLSPQESTTDG -SQLIVRLTGSDNECMSELT - ->YP_009337720.1 hypothetical protein 1 [Hubei picorna-like virus 1] -MNSSNSSRRSQTPLYVEARDPVVITSRMHKVRPLVYKTIAVVNRIFDTKRNMYKNWVTVETAGISGVYVV -RNMEAMSPTSRDAYIVDIGGLDIHTSNSSPLAQRPKRRKQRAKPSKYPDLPDMNTIDFHCTAAGYYDYLS -ASSDGETDEESIQTPKPTVGWGTPPTSPTGWYSEDEIVGPQSGLEQIETQCRSTECVMFSHRMQAILISL -AIGGNRASDLQTLDNLIAQIETRAHLVSVYSGLQHCMRDRTHNAFVCECDACRNCLIVHNLVHYLLAPRA -QWILRTTPQSGMEILDDEPPSLSRLDATLMADDALRSPQDSSERSYGFSSASESSEDNSVRDMLTNVLSD -MKDACQGLLEGASKSEKHIFSKIESVLWFAFYDWPRCVKTSDHILCITRLISAIVGIEGLASAASWIVDM -FKSCITPQDGQESFTFDVKWLADAVKDVKSGELFRKITKCLCALMALAVSTGMGSSLTGSRFDSIWKMAK -VVIKQSDLVGTVLNFLTWLLKSGHALFTGKLTLHDFFTKPSETQLFDMRVAKLDYYRAELEAGRYEEVTM -TAFGLEVHTLMTFANQLQRVANKQLRLTLANQQRKIHSHWTWFKTAEASQPIRRAPYGVLLYGGTSVAKS -CMMSIMTRMLQEAMGISVGPEYVYNYNPAEAYMTGFDHQKNTIELDDAGNTEVQFADKQVSSVLIDLINN -NKRIAVMADLESKGQYLIKPDIVLVTTNVEQLHAGQTSVNSVATLRRLNWHLTIRIKKEFATPGGAMRDD -VDPFAERLDVWDIDMCQWATKEGTEKDPVKINIKNNMSFAEVMEFLTVDAARYKAAQTLAVSNTTALKQT -PLCPHKLIYYMCKKCHAEDPRVLPPQPVVVEPQMGFEYMRTFGFAMMNRGTIHPYRLLRAFWVVKIYNFL -LSTERCVRMACAVQLTRADMAARASLHTIIFSGLQVAWLAIWLSLQALFVKALIVSIICNLCAYLLLLTG -IASWYYRQPLAQNVTAAVKSVVPECNAKNAKKFVGLLAVVGVMYGVYKVWRRTNVKPQGGFASKIEGVSN -HKELYNTLIMKPMPVNFDVKTASADQIATCMQPRLYIGRFLQSKDPLEASNNCVVVPICSETALVPWHIL -RDNKYKYVQIHRYSYGANNGHRLFSIEGCWKRIPNTDFCILSSPVFGDQKDIRSWFPKGSILGEDRERIC -VRNVGCQLIWAKGERTRNHTTLEFEVTKGVGKVNANSMIVSDMEKTHNLVYWGGKYTSCMPTWDGMCGSL -LVTDRQSGPMIIGLHSAGGVGTTAARFCTIGLDDINNTMESMKSEIVPNSIMHESSDHKVHLDFKDTVFR -YTEKRPIKDTASYVRGEYDILGYNTAPQRTFRSNVMVTIWSSELTAMGIPRMHEAPQIMNTFIPWNVWLT -NVSAPSMIEAPYLLRARDEYAKHIVDELDKHPEWNLSEKIRPLSHDVVLAGLDGVKGIDAINRSTSMGIP -YCKSKSEYIKPVDKRVEGISHPVDIPEFLKKEIREMENQLKMGQRVYVAHRCNLKDEAVKLNKLKVRVFM -GSPFSYLYLMRKYFLPISAFMQEHPLMFETAVGINCYNNQWSELYKFLTRHGTKTMVAGDYKAYDQKMEI -ALTKAAFEILLMICAYAGYDEEQMTICRGLMTETIAGCYDLKGEWIGLTSANPSGHALTVIINSIANSIQ -VRAAFYKLTPDQTLQFAKYVALCTYGDDNIMGVSEKVPWFNHTSIAAVMKEWGITYTMAEKEALSVPYIN -ISECSFLKRRWVWCERRKRFMAPLEEASIFKTLHTYVASKAIGIGEHHAHLLISANREYFMHGDDVFREK -HAVLTALAVEYDLTHHFAGMRLPDLEALDAWVANM - ->YP_009337698.1 hypothetical protein 1 [Sanxia picorna-like virus 1] -MLIRPWVPWWTHVKEEMGNMITTENSSFNLTSNQHYAELSVVPRRANTSPSNDGNSNSQATATQNDVYHE -YTYQRWADMTRHELGYAPWKFAHRERNRFLRRMICESGLEKLDLPRRCWRFQECEPTISFWSASDQSAVK -HRYGAPMDLTRTLHPTCCIYLFYNMAFAMYDGEYIGRVEVDGGKLVARTNGKHDHVLFELWRPWSHFMRD -YEVLVEVNNLEYLFIRIDGFLNAEDLEIATRSAQWLWDTPEDIYTSGGSQSTTCAPTTEQTGKNKLLRSD -RKSKRNKPTKTHRRVETICDDELIYLLENVKIFDSPVDESHCNLLRQEIGLQDARLESMRSGELTEQSGV -FGLEMMVVEKLAKFFETMDLKDSNMSGIIIDMVLLVGGLYSCTSLTSRMMVLTAFAFRCFGHCDFQTTDI -LTFVKDCLSCMDPTEQSWGIDTARLVINAPALKHLSVLASACVTLGFLNPFEYSRSGFLLFSMKAFEKNK -DCVSLLDMVLESIAYFCDTGWEAYEKWSWEPFYLSSDSITRLSDRLAVLETHIEPYLQGNYTNITHKPAH -QYEHNMEMFKENLNECRALYKGKTEVTSLTYITVRFQKLYVRYESYRVKASFRVAPYCFKLFGSSGVGKS -SLFQYTMATLAHANNLLPEDSEGNKEPMTKYTHMINLMERFDQFKADTLFVGADDVCNGKNENGQVRGID -SILIPFSNNMAFTGNFASLEEKGVIQFRAKGLGLTTNVKDLEAKKYSNNVQSILRRVHSHVDVRVKTEYS -DPTGSIRKDVKIPATGISNIWLLTIEEPEYSQASTDTTKCHTISVLAWRPKKNDADDTYGKISEKLKSMK -YLKDMEIDTYLEYIAFDTRRHFATQEIIVTNMIAQQSNNQFCGECGMYKTLCVCEECVKDKHRKQQTEAK -KEKPPSEKTDVPWKMSDYLPWFSKHDDGEILPIPPVLAPLVSQPETVMEIPSEHASYVDALLSYKKQGEE -QTAKPASTSLLDLDAQIYLTEPCENDKTSFDLYTFNKDREAASPLFDISKMKEQSGLMKEVHDMMVQSLY -GFLRNYTTNAVANLREMIIPNFWERKTLKVLSDIELLSLRCRTKSVYGIPRWWECIPSSVYVLPGVTRLI -KFLERGQVRRKARLASIASILVNAYMHRKFGTVSSFALTTPVIASFIYGGAYAASDIALEKEIETRKDIA -LATLKYHEEQSATRGSYQRVWNNVSRFTLSVVTAGAILSAIELVKGVYRLAWQTETAEQSALDPNDEEIQ -KVSVSQNIWSRSVSSVTPTTKTGEQLCNNIAGGLRHIIIKTPTGEDITCAMTVRMNFLIMPYHCWFANKD -ITGIPLESLEVDMIRSPTVKNGKTINGSMCKSMRLWLRNCERIGNFDACIVHTTYGGPVKDLVPYIWSQK -DRVMGKNHQTITIYRDTQGEIKQESSASAVSQASYMRGPNGNIPVEWPCLHVTRNSGWKGGDCGSITVTT -TTDPKIVGMHVLGSTMYNTGLSCAFTQEDVLDAIEKIKLRTVCIVTAEETGSRPTKICGVSVSISEKIPD -SAVINFDNGIGDRISVYGGLGEAVKMKTDISSSMLAKACEQYYGPTRWGPPKFHQWKPWAEYMKQCSNPV -TNIDPELLNSAVVDYLVGLKLKLQTLPTLMTETRPLTDQEVVLGIPGRKYVDRMCMSTSAGYPFTGAKSK -VIKITETPTPVFQFLETGIQAEWDLAREQMSTGVIPMQIFKACLKDEVLPLDKEKVRVFQACPLILQLLI -RQYFLPIARILSVIPLVSECAVGINCYGPEYEEMYRHIEKYGSDRILAGDYSKWDQRLPFELTSRAFGIM -IEIARFTGNYSDKELKIMESIAHAVCMPHIMVSGTLYQLWGSNPSGQNLTVYINSISNSLLMRMGFFHAT -NSRMNFRQYVACITYGDDIISSVKKGVTFTFNTYKQFLENIGMKFTLPTKDDMDVYPDYLTVDTASFLKR -LPVFIPEIGVRIGALEFDSILKSLYCYTKSKEGQRNVMSDCLTTAAHELFAHGRKFYDVHATNMAKIAQD -SDIVCPKLLLSFDERAAEWKSRYQKENPTG - ->YP_009337691.1 hypothetical protein [Sanxia picorna-like virus 4] -MLMETITSLKILAGSAKDKTHSILIQNKLTICYQLLVTYDQAKPLSGLRISPFSMSFYGASSVGKSSSSK -MLLTNTLSFNECACSDDHICTIQPTDKFYSTYKANATGVIIDDLSNTRSEKAVVDPAALLISIINNIPYY -APKADTLEKGRIQVRPKVVVVTTNRKDLEAHIWSNEPISVVRRLKYHIEVFVKDEYCRNHGLCSALVKES -DVLDLQKYGLQDLWWFKVTYVERYMRSNIECWKFSVVKHGDKLLEKIDIWELSAFINQKSKEHYEEQIKF -VENCKDSGLRHVNCKKCFYPKVRCQCVPEPDESVSEPDDSVQGGFFSTLDHACDEIGQGLARYVTNSWYN -VLPNYIESIIGFPIIKIITYRYLSNSWFNVTNYVPPVIRDNNYYKEFYVYMSRKRIYINCGIAFSSISAL -CALGHFRYKIPFEKHEIGGIILSSTLFTYSATRHRILYELKKAPLQSVLPVITQNALVCSIFKVGVSISA -VTIAFKVLRSFYKVLVKSSLERPAVVLEEPELAQGNLNPTEYEEILQRDEEVNCWSKKPATFSRNPETLT -MTTEQLFNRVNSNVLRLTMLMDNGRGFSIGCVALKSNIFCCPLHFFKQDKNIFGAWQQGNHTCEFDRKNQ -YYKLNFIKNEGESGSSFTGIIRNVDIVQIGSLDLCIFSVSSGGSFNDIIKYCIFNENDVSIMTIKRDRDG -IVTRGYGRAKCCPTSYTIPDNYIFRRNFDIQGGRASYNAPTRDGDCMMTLLRDTISPSIIGFHISSKQGS -SEGSYTMFKQHELVDALGKLSALHDVFTPNSGSYLSLSRLGVDLKVVEDIPDKVPVNYIKDHNFWVRGTI -GTQVSYFTEIKPSFMCKYVEDIFGFSNIWAGPKFGPQRWKPWYTFLSASSENPSCMQPEILRLATSDYLS -PLITTLKNYDQNEKMCPLTHHEIINGIAGKRFIDHINFDSSIGYPLSGKKKKYMQGYENNFDFADVALFN -SEFELMKSKYLKDERYYPIFKASLKDEALPRTKDKVRVFQAADITLQYGWRKYGLPILRFLSMYPLLSEC -AVGVNPYNEEWHQLHNHVTFDGVCNSRIVAGDYKDWDKKLPSQLVLAAFNVIIKIADKLPGYNEEDRKMI -KGLATDTTYYLSHFNGTLIEFNCGLPSGHNLTAHINSIANSLLMRYGYYLYKNPAPFRSHCHAITYGDDF -ECGVLHKIVHFDHLIYRDVVKSLGMILTMPDKTSEATSFLHIDECDFLKRRSIKCDIDNKYYGALDIMSM -TRSLMVHGKLKIDKRQHAYSVIRGFVHDLSFHPRDVYEKYIILVRELLDRLEMLMTEANYSYDGYFTYRN -RANIDPVVDDYGEDVIDGATIPVGYPVTPEASGNSDFSLNYSVSDSSIPGSFECELPNGLALTNNEIINS -YSSTIVMSESDIRNIQDDCIQSQVFGATEGAIDSSLVTSGTAVLTTAADHTIQHLDITSQDMLSMRQDAS -RDLAYYLSRPIEVARYNPIDNVNSDSTSPLFVFLTRSLIRDKVRYYAYLNAVLHIKVTVVGSPTMAGSQL -IALHPWCSRDNGLGELNFGQDVPNYTQCSQLPCIITDLSKEKGGEISMPIICPANGLDITELQQIGDAFK -LHYVPLVETRKPLNSAIVPQILVYAWLTDVSLTGTTLTAELPQGDEYTQDNAAKASSDHISLKEMVSKGA -GSVVGKATEVGISSLMSAMGFSNPNSQDGINPGVPRLVNNMSCYNGPVNIDSLAGDYKNEVLLDTEQLGY -KDDDHMTLNSILGRWSLIGQFAVFTGIQSGPTRAISIPVTPMGCLVETEPGNVVYTPSALGVAALPFTRW -RGAITYRFQAIGTAFMKGKIKISHDVKSPSIITNGDKYNTQILNSVIWDLATTTTIDITVPWASNQIFKT -CGLLRTAFNETIGDNVVADPDANGALILNQYSVINDNNEGLINILISVKGEPGMAFGDMRPVLANYTFAG -IDNTYTGTPQSGEFLDFDIDEVDFVNSNEHIIYFNNGTYKLANIQIWRILMDALRNKSSSDDVSELPQSL -AYASNLDDGLLTGNKPGTSMHVNITGLQDNIDDHDTLAMVCMGEKWHSIRQIIKRYTHNWTRNITSSIAG -DSYYRIRLPDRPVLKGWQGTKSMHNQPTNVPVTYARDSFLSFYSVCFLGYRGSLRHKVVVTNNHSATGLS -HQSFFSVSRSPGGYLEDRVPVSATSINTSLNSISSSASAIPSMIDLRAGATIGHTLINPVLEYSTPFYSR -GKFCWAQDRYPHIQKIAPDGGYDVPWHQIVVFQHTGNTNAFRSRIDKYVAAGDDFSLFFYLYGPRMVLNQ -PNSWPQT - ->YP_009337679.1 hypothetical protein 1 [Sanxia picorna-like virus 2] -MNQSSYINHGSGLPIHSFPICSMDTTSWNQRVYHTPSLSRDLIAHSTVYYVLLGVPDFTYRHYRFEIYTD -IILCVVENSVVGYCEIYQNYVEIYTMIGEWVHTTPYSNAFNMFLHIPFERSIDYLVDTIKVTFELYPYGF -YSDPLTGLGEVYEQSGRHTCARPIGHTDQTFMPKNKNKNTNKKLKAKRKSNKTRHCCHSERLETFHEDEC -LGTVYNLPILQGVEEQHRKMCIQALSEHSGNIADSVTSITTILKAFNPSVAHVIGNILLTTLGLISSTNE -TNQFAIISSFVFNNFRGFDGLIDTVLKYARMRITCEQSGYATQVRTMLRTPLFGHINILVNLLVTIGIVS -PDKFSIRGFELFEMRAFKPAENVDIIDRVLGSIEYFVDTGYKCFSTCSLVPFTVNVDELTDLSNLITQLV -SEIEPYRQGNYQDLYGQPPLVFEQKIDRAKERMVTAKSLFTSKNDVVLLNALSIKYHKVLDLYRVFKLSN -KFREAPVCFKLYGGSSLGKSSICEYMLKTIFTFNGLLPRDSEGKFEPMDKYVVTVPNNSKYDSNYRSDVL -AYIADDLGNTVASKSERNPADLLITMVNNMSSTANMPEAKDKGNIAIKPRIFAITTNIQDLDAGSWSVDT -KSVLRRIHIHIRVRIRDEYKCPNGMLDPDKTNSTDKIPDYWLLDVEQPVYTADVRPKVLRWDLAKYNGPT -TKFLTTGQKLGSLSIYQLLDYTNWYSSRYYAHQRKVCSRMKDSDSSKDYCVACNRLQCLCACNDDLSPDN -QEQDSYEFYDPDEQSGALSLASTWALGLLSNRAQSYWNIMYARFVDALVPTYYEQRVLEAATTVDLISYQ -CGLTNPMFSTAPLWYLIPESLTSLYVVRLFIHWMERRHNRRVGLMYGYTFFGICVLLSIWYRTVLPVVFG -GFGATWLVGIVTKFLYNRTERELRKRRDRVEAVEQVYRSRSQLSEECAWSGVTRLAVAGLTAGAIVASIK -AVRILWNSRNFEQSQLSPLTDEELMVRDASYNIWTRDVTQYLGGATHTYSQLANNIKKNLRSISYSHNGI -LYGCNALFIKTNYLIMPYHIWFAPVAGKIDITSTPVDHLTFDIVMLPTSHEGKPVNGTRGRKRIDFSDIR -RIGDSDMAVCYTAFGGNLTDLVPYTAQSYTPSTTNRQSHSIYRMASGFVSEESTCYTTANSEYMRGNSVI -SWKGSVVTRNTEWKPGHCGTVTISKCGNPHIVGFHDMSTVRGPNVGMSCYLLRDEIIVAIESLQNRHHML -DSVSTSCMDEQVCGKKVVYSNVVPENSVTRYVSGHGVSILGSFGTSNTYRTEIRPSILAPHLEEYYGPNR -FRGPAFHKWKPFAAFAANAFNPVPYINSELLERAVCDYQHDIIDRMLKSEHVLLHIRPLTQMENINGIPG -VRYIERMNMSTAAGYPYTGTKTDITQLVGDGTENREFIHTEIWDYVSVCEERIAKGEEVIFVFKCCLKDE -TVPDIKDGKYNDKVRVFQACPLALQLLLRKYYLPLARVLSVFPLLSECAVGINCYGPEYEEMYQHMVWSE -DRILCGDYSKWDQRLPIECTRAGFAILCNMAKASGAYSDRDILIMSRLGHLVSQPYLGLNGTLVQLYGSN -PSGQNLTAYLNSIVNSLFLRMHYYQSHTLPFRTQVRALTYGDDLFAAISSTCTMSFNTYRDFLGNMGMKF -TPADKTDRDEYDDYIHLDDSEFLKRKPQYIPELGVHIGKLQFDSILKSLYCYKKSPIGENNVMSQVLSSA -ALELFPHGREEYDKHTLILRDIASKANLQVPQLNLSFDDRLREWELLYRNKEE - ->YP_009337420.1 hypothetical protein 1 [Wenzhou picorna-like virus 53] -MKITRTVIDTFNPQSQPTARLHDPKVRGGILLQPQVGRLLDTIGNLATEIGGVTEQIRRTKDFISKVRQA -MKVDDDDDMIEALISRLETLMLLVVDLAKRETLNDMIIPVMLFLKTWIPNRSLALRVGQWVTTLLSEHDA -EIVNSGLNGQNGWFSKNWTVLTEGYFGQKLAGVLNMLIMSGFLPKKAEGAFTTELYNALNLQLRRKQHSS -IFHHVFATLDYVIDAMIPALSTGNLALLLYDSDQNEIDEMYRNCCKLINYNITGQMEKAKDEFGINDESE -LMVYLVKVTSAHQSLKQKSKGDAALQKEIQNRLIRLDKFQVDLQSHWHEKGLRVEPYAVLIRGPSSVGKS -VVTNIIRHTVCKANGFPEGPEFSCNLNGDDEYQSEFGTNHVCVTFDDVGNTKPERCTHNPLFVLIQFINN -VHCSALSPIAEKKGKTDIRCKLVLVTTNTRSLNASYFSVNPASIMRRFNLVVDVSLKEGAAGPDGSMSKK -FLGQTHPNAWDLKLSHVRLQRNQTDMFADTWHLDTFTTTDVVGLVLHLAEETPQYYEEQKSVVDASDQLH -KRDHCLLHPMFPVPCAHCQSLHSDDDDLSTNAFFEAETTDLVTGIPPLGDAAEVLSGSDEESVAPELEGQ -AGGPDWLDRSELLDEARWYEHTGGESVRSGMTGSENPTWAQSIGQTTRNGIGAVDAFLRNWWEPLEENDA -LDVPDEIEITPMTALQRIKYNLAGHMNQVEYFIRKKKDEFQHERWLKILGTIAAMGAAAFMLQRTFMPRP -LTGEGAVFTRIEAAARTPSQFVEKDDKYQRIYSNRIRGSKASVSTTLQQLEEKIDRNLHVVFIQEWDPQS -NEVIGPIKWGNAIPVGGIRWMLVGHFFERGKMYEVNFRSHPNVGVKRFSVIVADVNSYRVPNSDALIVEV -PRGGSNTDFSKYMLDNTEEIKIDKNAPLLIYHVHKSQVDEKCVEFQPPSAYKCASRFEGIAYTNVNHVGH -YDLVRYKADNHDGMCGSMVFLAGRNPILIGMHNSGLSATRECGAVLLSKAMLPPIGESIHVSDENIEPIR -VLGVDVPVSGDVHEFSAIHYLDNPHTNVEVLGQHQLPQSKFRSDVIPSPLLPYLKDELGYEETHFAPRRS -AEKHSRHRHLTNSTKPLPNVNPNYLHAAICDFKEKLSPFLTNQDVQKFVHPLDYNTAINGVVGVRGFDSI -NPLTSMGFPLNKPKWKHFVGCALRDEMGLDTTKFVARKEVNGKIVYEYEIIFDPEKADVKLETENLLEWF -HEGRRVNVVFRANLKDEPVSFEKIEKNKIRVFAGAPVALVIATRMMTLPLITLMTYFPDVFESAVGVDAT -GKDWEEIYNMVTKFGDSRCGDGDFSSFDTSIRPEFSIGAFDIIRYCLKCCGYTQEMLKVFDGIATECAFP -IYESSGLLFQAFGSVPSGHPLTVVINGLCNCLYMRYAYYAMHESNFGQIPLFHTMVALMTYGDDQFFGVS -EKEMLFNMVSVCEQLEKIGIKYTDGSKNSVTVPFKKAETIAFLKRTFLMHPQLKHRVGALAKESIFKSLA -LSRRPKRGQAESLAEIAAGNLNGALCELYYHGVEEYDKHLPIFEKIARVAVDSEGHAVRDYFTPITVQEI -VTRFQQTFSAYPCAKQVLNGQSGVVDGDFVLPTGEQHHRPMVFGDKEITEIRDWVVYLSVERQTQFSNRL -WAYDWLIEELSEARQMFMEDFEGRPNTCPIVADYVIGTVNTVADVNFRFYCVWDAPLSCGGIWSADYRMD -AAFQHELEDAVVVRYTHFWIRKRVAECISYLYEHQDGNFLCSMMDLAIVYKFRRLRRVAHIPTLNELSDY -IRSFLVGRLHIVGTGVLSKYVATSNLPREEYSDKILLSQLLVSHYITMLLQSLEFAHGDNLLLRCDVIMR -NQGFQIERW - ->YP_009337409.1 hypothetical protein 1 [Wenzhou gastropodes virus 1] -MALVFINKPEMCDCKACNSVNLTQKEKEESETFFGQYWPLLHSGASFCLNRTRKVCQYMKDWMLVDRCVE -CNRLFHRREEDGKYAGLCKRHRDMKPQMGFIGVEQPPFWLVKNWMFFMSVLMLCFAPSTSFVWDLVYGLL -FICIFTMSACVYTSIVTMIWRMFYTKRRNVYEEQLGIRLPSFVTPDFVARETTLLLALKTSIVSSSDKSG -VIAALVSYAQAHSQRSLLGHLMSFMRSESDRNWYELVSGHINTMIEQDGEDEEKWLNDLKEALTNWTRYR -ENKDIKNFLKLLNYVVSVGMCEASSLTFKMGRLTFFAPVVYKNQINCVDLMDLVCTTAIGFIEGGWRVYK -TGEVSAFFAHDEDMKIFEEKYNRIRDIHGYSLTGNLKEHANIAETDYEVLLDEIIALGDKVAKKISRTMT -VEKKFVMDRLDRLRDWRNEFTQVRTRGGLRKSPFAVSLYGNTGVGKSTLNQLTYEAIGRYNEIDVSDERV -AVWADNDKYASNIRSSTNVIIFDDLGNTTPKFMDFSPVYRLIQTINNALFLAPMAEAFLKGKVALHPWIV -MITTNVEHLLAEQYSEKPESVLRRMFHVKVEVREEFQTDGRLDSRKVKEIYGMKRDADIWLLTVRRCVVG -APKTTNSDKNHYELQPITFEGEEMYKVDVYTYLRWAQVASKDHFDFQAELIELNTVKKDDDKCCTKCGFA -FCDCEKIEMAEQCARLPSEVDLNVHPDDDSVVGELNELCHGLRTMLGVDDCFEENASPLLRSILRRLLWY -FAGYCFGFILQVFIIILQLPSESRVQFIRYYTAWARNYLIAWRNSLRRSVMWNLYRFARWQLQQRWNIRA -FFWRLKETRTEDLIHLENWYNDSIFDWVAWVPESFITSPLVTYGVLFARRYEIIDKKWKVLLLYWWCLTA -CGWWLVKGWFFSSLLLFYTTVTSIAVVLYYEKQAIQHELLQRNNALPAYVKIFKEHSGKLLLGTGLFGLY -HVCRWIYGMKKVFAPQGNLSPTSMKDIEERDAEPNVWAANYISPLPMSTASKTTTSHDLANLCCENLVYV -ESAKYFIRGFFIESNFMILPAHFVKKHWEEGHLDFDVRCWRRNPKVTGGNFREKIAKEYTYVVPGTDFVI -CWTPSAGSMGDMRKFLPMDAVSDSEATFILKEKSGDIEFAKTFYRHDRTGIDHYSMKHIPGGTYKLPFDT -ADGMCMSPLVSRGKGTTILGFHLCGQGRTGGCGYLTYDQVEKGLKYLAEVPGIVRTASRGTLPKDQFGKK -LVEDGEIHRKSATRFLTEGCSIEIYGPTSGRATPVSSVVPTIISDLVAEVTGVPQKWGPPKLKGEGVYPY -QVALEQLSHPSLSLGSIVVKAVRCYRMQFLKIREKLPELFKECKPLTQVQTVSGIIGKRFIDPMNFNTSP -GWPLSGKKTKLLIDLDPDEYPDSGRPRTFVPEIWEEVERIKKILLAGERAYCVWKACLKDEATKLIKDKV -RVFQSAPIALQLIIRMYFLPIVRVIQLNPLLCECMVGANAEGPEWEQLNDFMTSKGDNVLAGDYSKYDQR -MPAQLVTAAFSILIWVGEHLCEYPEEDIQLMKALVAEIVYPLMAYNGDMVMLFGSNPSGQNLTVIINSIV -NSLLLRSCYYTQYSDEPVGSFTDYCAFGTYGDDVKGTVSVERPLFNHISFAQFLAIFDMKFTMPDKESVA -TKYMDADIADFLKRSNFYHPDLKAYVGVLSEDSIFKRLHAHLLSKELTLEQQAAQNIDTSLHDWFYYGRE -VFERRLSEMKEIASQAGITHLCHGFDKSYDDRTQDWFRKYRPEDADPVDEARITLREN - ->YP_009337400.1 hypothetical protein 1 [Wenzhou picorna-like virus 4] -MSKSLLTHAERDSRIHEEHDARTVTDVAAADQEIDQLDEGWRIVETKKRRGNVPQEARLAEKTRLSDASK -TFDSDSVDNLTEDFHNMKFRNQELREKHLGGRRNKREERRRELRARFKARNAKNAARRIRKKNVEPHAYE -GDGNEGMQKAMWKHGVYEDDLPRPPPETAVWECSGIPVRDDPHCRKGGRFRSDHSCERERFVHDYAREDA -PDDASRETGCTGYAPRPNNPTEFNIPVNINIERNEMAHIAAKIDAFDKSDPQLSQLLESVGAVDSFAEGI -EQGEQIDEWLGHLENLVILGYQMGKAASFMDVFMAVAAYAKMYSKKRSVVMDLYRIINEVTTTCPTEDTE -PHGLQDWTGRDIMTKWDLFKTNTIFKKISYLISAAMSLTVCTTKQIEWSPFGLQLISLEAAKEQLKAVDV -IDALVKTFVWVSEVGWKCFETKSVAPILYSDVKIQEYNEDCDFVLAKADAAIAGNIDDLGAFENKLNEVF -KKTCIMKSAKNDGPTALWLQKRYTELVAIMEKLAAKRKNTDIRFQPIGFSLHGATSVGKTTLGKLTMTQS -LAAMGFVNGNNEVDDSRILTMDMFDKYNSTWTSDVLGVFMDDLNNTKSDFQRDNPHTSVIIKFFNNVAAQ -AIKAELNAKGVVFIDFKCGIVTSNVKDLGARQYSNCPESILRRFFHVEVVVRDEYRKPGTTMLNKKHPDI -KNSTSLIQDIWELTVEEIETFEVGKDKTDFRWKIMEVELDDGRKIVCDKIRLEDYLDVIIQLSKEHKEEQ -DGLIKKSRESARTKFCEKCKKFPEYCKCVKAQDTEPHAADVIAGIVADAGRKAIDSYIKSWTRPVDLVNW -CVGFSPIRKMATNTLAKEIQQEMNDKGTPLLVAITPNWLFRTSTFQRSVYAWQSAAAYYDIRRPMRIMGA -AGLSILGYGIARQNKAVAGTGIASLWTTTCMGYFMHQVRVKKIQQKYLQKRDALPEYAKRVRDGKFPKGV -LFVATMALGVKLIKLWNDNRLKTQPQAMTPEQIDAQPGWFGYMMKQIGWKSSSSVRGAIPAHVLRTGEKN -QGWCNFKRSDGSETGCNIIYPEKGYVWFPLHIFYPKSNMNGTPCEFVRGEVYRSQDKKTSKFKFIAQLNH -NAVFVKGLDMVECFVERCPDIACNIKKFLPISVTKGTSVCTMMMRDKDAELDHEKFMVEHGIYGHKYLEM -EGGCYTTFRANTGTCMSMLVTEEKQPVIAGFHIGGNPSKRYGVMMTVTQGMAAQLREKLLALPGIRGIAA -PTEIPETQYGLRVIESSEVHPNAKFIKELDDNAAIDVLGSTKLRAESKSKVVPSILRKDMEDLFGITNSW -GAPKLRPNWKAYNETLKHIINPSEMFVPSLLQRARRDYMKPILNFAKDLNKTEGVRPLVLKEIIMGIPGK -RFIDAIPMDTSIGYPLFGAKKKKFTYKMVGEFCEDRIPDDDIIVEYERCLKCWERGVRAYPVTTATLKDE -PTKLDSEKVRVFQAVALAQGLALRRWFLPIARVLSLCPTLSEAAVGVNAFSQQWDELMTHAEKFADDGRV -VAWDYSKYDVRMNSQLTYAALQCFIDIAEVCNYSEYDLRMMNAMIADVIHPLIDYNGTMIMAYNMNTSGN -NITVNINSVANSLYVRMGFFQACPEVDDFRQAVAAMTYGDDFKGSVAKEYRSRFNFRVYKDFLAEHGMKI -TEPKKTDAVTDDLSIDEADFLKRMSVFIPEIGTRLGALCKSSMQKCLLTNLKSKTETPEKVAISCIETYM -HELFAHGRQEYEHDQPLIKELCVRALDFVPPAVAFSFDERVEMWKEKYL - ->YP_009337373.1 hypothetical protein 1 [Wenzhou picorna-like virus 1] -MFALQRTAGCVVNNTHPMGSAHEMNSLLLESGALVTSFIKTFAAGTFPYSRNLYCAVPKQKPATWDANHA -RRVFDNLPTGAKIQYLTRNAYRPQAGFPLPGLSTLSDHLREKMTDVAVSKIEGLVALYAALSSVSDEAGF -LSVLILYAKTHNHASLTGQLTTIVTKLFDNFEPQSSNDKPLWLTKMKEALYNWKLLIGNPAFSQISRVLS -LLVTLGVIESKSVTLGNFEIFAVEAEKKHCNAFDLMDAIVDTIMFFAEGGYMCYMTGSLSPLLFSSPKLA -QLEEQYIKKLGEWEHARNGNLERFTDITEAQFDKELKALIDEFHQLYKTIPNGVEKKIVQQKWESLSKIF -TEFTATRICGGLRMSPLAIKIFGGSGVGKSTFADITMMTVLKAMGLPCTADYICTLNEADRYMSNYRSYI -TGVKLDDLGNTKKEFWEVSPTETIIKIVNNIREYAVMADLANKGKISIEPACLTITTNVEEIHAGLASYN -AMSVLRRCHVHVELKVKPEFMTNNLLDSSKVIQKFGSVAQLNDIWNITIKKPIGSGENCSQFSHYEITHK -DISITEYVNYIAACAKKHRQEQQVLVDSFAEPANIVNLCEECNKCVETCECVTVEETPSLADTLETSESE -SESKEDDDDYTPQFGERLAGHIVRKGAAYKHVMRREQAIFETEAEDFSIRMLLKLLKGFEESPYSNWTSY -VPEQWMDNDIIKSTILAYGQDVIGQSVKKYLYRMGAMTLGVSSLVYKVLGARCASVALLGGGLYHLFAMS -GIIETKKTAYMDALVKSRETLPECFKTVRDQHVKHACMLFGALGVMYAAAQTYKAVKANLTMQGKLAPKS -IDDIRERDLEANVWSVPERIPMSHNGSFVNQEFARNALRTAQFIVEINGHYSEAFYLSSKEFLIPAHLLP -SDTEVARFKGVSGNVKMLLEPDAVVRIPNTDFAMVYCHSGVPGKDMLKHFEDDFCKTPMPATLHGISESL -TPFSDKLYWSHANDVYNGAEVFPGSFYELGVMKTFAGLCMAPIVSDSTEKKILGFHMGGVEGTRKGCGCA -ITRPQLLAARAELRAKNKTHLPAPQARDIEDSMMGVEYAKSPYVHSKCPTNFISGDPALVAYGTVTGKSS -FSSDVIETPISKIVEEVTGVPNQHGPPKFVDPVEREDGSIDMQRWKPWYKSLEVCSKPSIGFAPSKVERA -MDDYTEGLIAAFETLSDVHRAEMKPLTHQETISGIKGKRFIDAMVSKTSVGYPLGGPKSKIMVDLPPTEE -HEAPRDFTPEVQAEIAAALSCADANEMMNLIFGASLKDEPTKWDKEKVRVFQAAPLALQYAIRMYFLPIA -RAMSLHPLVAEMAVGVNAHGPEWNELSEFMAKFGDDRILAGDYSKYDLRMPAQLTLAAFAIMIEFAEWSG -NYTAQDIQRMRVIAHEVCTPLVAYNGTLLRFLGTNPSGQNMTVYINSIVNSLLHRICFFEIYNPSELARI -GKELGLGRPATYRDLVATMTYGDDARGSVREGFDLFNHISMAEILKANDMIFTMPDKESEPTKYMNRYEA -DFLKRKDRYEAELGVHVGMLEEASIFKSLHSILKSKACTPEEVCAQNIDGALREWFFHGRETFEMRRKQM -KEIASRGNLVCQTLDEDYDFRIDAWKKKYKYTTQSGTISDDDSGFDLETVEWSTDEDISEVTETIPVDTE -EELMARVKKDLGKPTAEEYIVISRCLGIGDLLYFTEDIALVIECKRVLGRPSRYKKEVREQAMKYAAVIG -TLRPDITVYAITYTELGYNIVDCLGFPRFPARFAEFLDSIPISYP - ->YP_009337362.1 hypothetical protein 1 [Wenzhou picorna-like virus 5] -MKMSRGFANRAQQTQKLKLSKQDSTASKGVNYCKKCERRRLEKQKRKLNPVWDVPPMCLIYMWLLICGTF -YQSNAVDWFLLWVRFFSKILFFTGVTIDSFVLVRTWYRRATRPVLEPQMGYLQMDPYLKEAIQVWCLFES -LRDSKTKRGMIAAITQYLQAHVKESLPLYIYRQLMRIDYITDWSSDDGQARVEEMLEEAFGAESLREAQE -KLVVLDTQDGSIEQAVPWHQAMDAAFSNWKEFRNSTIAKKFTHLINVIVSSGMCATADLTFKMGNVALFS -PIVSKKQLAAGDVFEAFYEAVSGFMKGGWRVFQTGDVSAFFMEDDKVSEFDRMYNEIRSWHGYALAGNLR -EYTDIDDNEYEARLKKAIEFGDNLLKFIKRSQTFERKYVSDRMDRLRDNETEFTQLRTRGGLRIAPFAVC -LFGQSGCGKSSLTNLTVNAGLVYNDLSAEKDRIATWADNDKFASSVRSHINAIIFDDFANTKEDFMDFSP -AYRLIQVINNIKYLAPMADVFLKGKVSLNPYFCIVSTNVEHLNAAKYSNEPESVLRRMYHVKVEPKPQFC -EKGILSKKKIEAAFGHTACPDAWYLTIRAYTAQNKRHVDLAAMEPITFEGKKMVRVSVREYLRWVQVESK -QHFTEEGQYLANQEAIPTKCEECSMLYCDCASVLEQNLCRNENVTYLPKADERCNVNYCVRCEAHHKGET -PVLEPHAGEWEYYSGKTRGFFHRRAEDLQRHYERAMTSSILTTNSVCMWWERLDFMPESWICHPKVLKFG -LLFWRKDIKRSLISGNSFFIFTMIAFVWSLPQFSLVWLLLTTFLCYWYTCATIQVYKNMVRNRILELKDV -VRTYTQQWQFKYAIIGLGAIGIILATMRSRYTQLETQTGLNPENIGEVDERNDRVNPWLVVESVPLPMSE -PSKTTTSDNLASSMKTNLLGVVSDKNKTTLGFYITSNFMLVPTHFLREHGDRDISIRCYKTEPGKVGSYF -KDKISKAFRVDIPLTDFSLCFVTSGGSMKDFRKFLPEGNVLKRTPAKLVTREIMDSSIQAIPMLFRGSSR -VAHTQTIFMGSYYDLPIETQAGMCMSPVISDAKGSMILGFHLGGKGKLGGCGTLTIDQINHAIGELSTVD -GVVLSASCGDLIPNMGNFPTETFGKSIFEGTEIHFKSATRYLTEGACIDVYGKTSGKATPHSNVSPTMMS -DAVEKAFGVPQKWGAPKMKGKGRYPYQATLVHAAVPSLPVGSVLAKSVRSMKELTSGLKQKIPELFSAKP -LSRVATVCGLIGVKFIDAMNFSSSPGFPLSGSKHPLLIDLDPENHPEVGKPRTFVPEVWAEFEKIVAILR -EGKRCYMIWKSCLKDEPTKLTKDKVRVFQSAPLVLQLLIRMYFLPLVRIIQMNPILYECAVGVNAEGLEW -EELWEAAMSKGKDRVLAGDYSKYDVRMPAQVTIAAFDILIDIAEKCDSYTEEDIHLMKMVVHEIVYPVMA -YNGDLIQLFGTNPSGQNLTVIINSLVNSLLLRSCFYTIYPTNDFKENCAFLTYGDDVIGTVSESCSKFTH -ITYAEWLADHDMKFTMPDKESTPTHYMTESDVDFLKRKCVFNEDLGQKVGLLSEESIFKRLHSHLLSKEL -TLAMHSAQNIESSLHDWFYYGRDIFEDRRGKLRQVAQDCEIEHLCPALEVSYDKRVNHWRHKYLGEELEE -DEEIVGLE - ->YP_009337309.1 hypothetical protein 1 [Wenzhou picorna-like virus 6] -MEFFPHFGTEWIASVDSVLSSLSSYAGQKMTDDVASHIEGLIALLIALQGTTDFLSAGAVLILYFRKFSD -RSLTGQVLEYLNEFFTPQDGSEDETDSESVDWVQMMKNLHSNWTLVKDNKLFPHLSKLLGVVVTMEMCKA -SDVTFSIKEMKIFEPDMKVVHGTAIDIIDAALGSVAFFVEVFSLCYETQSLKPLVINDTAALEIDEEYAL -ICSYWDLVQNGNLKRVRGVSENEFDRRLEKLTTQMRALLPNLKGFDRKVVQDKFARLLTIKNDYITMKIS -SGIRKSPFAIELFGPSSQGKSMISEQIITALLTSAGLPTGKEYQASFNAGDRYMSSWTTDKLVMTIDDIA -NEKSDFVEKPPTRAIIDICNNQAYYANMADLASKGKVFVEPELVMVTTNVKDLDARAYSNCPYSVQRRMH -VVITVEAKPEYQFKDKSGKPIGVDSDLVDSKYADLDQPPLFDDIWSLTVERAVMPEKLTTRANYEVLYYR -GEPLHNVNFETVLNFLIEMYQSHIDNQTSMIARMRRRQKKLDLCGINGCKQIKYCCLRHKHLQTRPVEDS -TELHDVMSDEEFEQCLAEARAAEISDDDPLNDYGALGEIELTGQEADPLDDYEPHWGEEIVDSIEKSGKS -IYNRISSDLFGLSTVTEGMASYMILRAGRKFARHWDWMSLVPTPWLDDDTFLKLCMLASQDRLKQRYVRY -SVYLWFLIGFIFMFTRCKARFALVPVLGLLVIGGFCVQKTMVRIVKKQFQKELRQRNVISPILEDLRNKH -IGNICKAGGIIAVLYGIAKVYRAWREKCNPFETQGSLHPTTQEEVNARDSETSPWTEVVERPLPVQNSAK -NTTSKQLQDMMLTNLRYASIEAPDGRKAGNCLFLTSNVAMLPQHYFTQNELSVDFIYTDPDANGGKFSAK -LSKSTAYFVPNTDIALCYVPNGGSFRDLSRFLPDGPLADCEFLVYYRNKQGQVTSATGLTKFGMTGHTLS -RFYGFEYKNYTGTTFAGMCGATVVAEHKPVILGFHLGGNSTHNVGCAGVLTKEQYDKGLAALKSMEGVAI -TGSAEHFDKNVMGVSIMTGKPLHKKSPVRFMPHGSQISWHGTCIGHSTFKSSAKPTLISEHVMDVMGAPN -IYCKPIESPQWEPWQTCLANMSEPGKMFSPELLSWAIIDYKSELLPIFQHEMWNGTRPLTDIENWNGVPG -KKFLDRIKTDTSIGYPLTGKKERYLYEIEPFGDYTKIVEPEELIQNEISRLMECYREGKRAYPIAKACKK -DEILSKRKCRIFYSNPVAFTFLVRKYFLPLLRVLQFYPKISECAVGVNSHGPEWNELHDHIFTFGENRLI -GGDYGKYDQKLPAQLLLASLRILIDFARCCSYTQEDLNIMEAMSGDLVYAIIAYNGDLISLNSGTHISGN -SLTVILNGICGSLNLRCYFYSKHVPRDGKVLPFRDCVKLITYGDDNIGSVRPDIEDFTIKGASEFLEAHG -QVYTMPDKESKLVDFLPPHEFEFLKRVSVFHPKLGVHVGALVEKSCFKMLHFYLRDKNSPDSERVACAKN -IDTACREWFNHGEETYEKRREQLKEVAKRAGIVHLCEEIDVSYDARVEAWRDKHL - ->YP_009337246.1 hypothetical protein 1 [Wenzhou picorna-like virus 32] -MTNTITECKDSMSSPSVGPEAEFSAKESRRLDFYKKSVQHYAHNPQTRRAKRKLLKKLPVVNEKQVLGDF -ECQSGLVDTISKARLVAKMADHFETMTGKKFDSGFQKRLEALLLYFGTVMTLDTTNQFIAVTLMHLQTWT -SKSLSETLYLAIREIFALDELEAQSGSEEGDPQWLENLRQANTNWKLFRGNKAFKQFSRLIGLMVSMQLC -SLSSCTFTLGGFEIFSPQVVDTHMSGIDMMDAIFKTITFFVEGAYLCYKHKSFKPMLVNDYQVLALEEDY -TQMLARWDLVRNGNLFKFNELDDSVFVEQLARVKQGFRDLMQFSRGVEKEVLRRKVLECDLIQNDLVSLK -LSSGLRVAPFALEFYGHSSRGKSTISDQVMTMLMTSQGLSAGKDKRAYVNEGDKFESTWTSDKLIAIFDD -FANNKSQFVETAPTAQIIRFINNTPTYANKAELEGKGKVFLEPKLVVVTTNVKDLAAHEYSKCPYSIQRR -MDYVITVNLKEEYQESLGGKFVGVNKELIKKHKDVRNAERNFDEPMDDIWLIDVQKAVEPAKETNTAGYA -YVKDKNGKELKGISAIELVQFLIPEFDKHLRQQRNLIEETKDKPKTVNRCPVKDCKFIHGICPDHPDFNN -TVYDECVEILKKEEEEEDVANDEDNANSDEAGEEGTMNDDKNEAEQSENVRDFENQIGFPGLALNLSHLA -FKTYNHFHGEAQTFTDRICAEATKRLYARTDTFVRHWDWICIIPPEVFEVERVKEFLFWYYDCEESQKTP -VWWSALIGLFTLVFPVLYYYTGYYTFIVLTLIATLLFISIDRSCKKEKLLEELSKRSDAIPANVKRIRDS -YAKEICAASASIACAYMLSKLYKDYKAISGQQGSLEPQTQQQIKERDEEPNVWATVARRPLPASQESMMI -RKEDIISHLERNLLYVSIKSDGGEPRMGNVLFLKSNVMVIPHHYFVDCGSDTLAITCHKENANSIGGKFQ -TRIDMSSSYLIPDTDLVVCYTAAGGSFRNIVDYLPIGDITDHPFQMLWRMKSGEMIKAKGYAIAKQTATK -TTSFYGGEYSNLTINTFGGLCGALIISDGKETVLTGFHLGGKEGTNRGCFGSLNRDQVMIALTAIESKDG -VVLTGDEGTFESQINGVNILRDDPLHFKSPVKHLPEDSQLSYHGSCVGQSTSHSDVRKTPISDFVTECTG -VPNIWGKPVMKPEWFGWQKAMANSSIPARPVPHNLLAISVKDYKAPLIQLVKDNEFWQRETPLSDLDNMN -GKMGVKFIDAINLNTAMGMGYKGKKRDFIIELDPTPEHPCRRLFVDEIMERIAACEEIYATGKRNHFIAK -ACKKDEILPVAKQKCRIFYANPIELTWLVRKYYLPVIRLLQVYPLLSECAVGINCHGPEWEQFNNFVRAH -GDHRLIGGDYGKYDQTLPAQKIVAAMSILIDIASHMAYTDRDLKIMKAMVGDIAYALIAYNGDLMSIQSG -THISGNSLTVIINGISGSLNLRDYFYTMYPESIPFRDAVNLMTYGDDNIGSVHEDFGRFTIRGASEFLAS -YGQTYTMPDKESELLNYLPPEEFEFLKRHSVYHPDLGVHIGALADKSIFKSLHCYLRPKGAPLSCEEACA -QNIDTGLQEWFNHGKAVYEERRAQMTEVAQRAHISHMCRNLSKSYEDFVEEWRYKYADGPKPEGLSDEFD -PH - ->YP_009337206.1 hypothetical protein 1 [Wenzhou picorna-like virus 9] -MMVLSIAEGNCCKDSITINNHDWLSWAVIYCASVCYFTLTISLYYYKNTVFVSQSSQEVNDWHEQRRGNY -NRKKKNHQKAMTRKADKKKIYKPSDPGRPKDFTLQPKIVKKSQSGESDDSESDNVNIWTLLNQLRDFLKI -PASFTFVDENIQLVRKSKVWESFLRLFNIITSFECFTFIRTYMWASELITSTHIRGRPKISEVLEIVREF -KDTLVDCFYAYREDGNVASFFRSAKDDTFEQEFTFLVAHYPILDSGRSLMCPQAYDRRLDDILMLISEKL -IATSSNGERAVLMRQMQEIKNLQTKRTLAKRGHRRITPYGILLYGRSGTGKSSIANVAIRTLLASNGYDA -TDESVVTLNESDKYQSEFRTHHTGVIFDDLSQGAPSKTTENPLLRLFQFSSSVPMSAINAVAEQKGNIMI -EPKVLLATTNTKHLHADTYVSDTEAALRRFNIIATQRVRKEYADFRGMVDKRKVPVLKPGELPDIYEFDL -ETVVRNKNDKFVRFIPLQDATGKDLKNIGLREFLEFACDDSRFHFQSEKLQVKLDADKPVVTLCKCSYPT -NICKCSSDQPKELDSQAGYFSLLGILVLLERKICSLIENSPPYKTFISYCAYQTLLSEINQTYLMCVFLA -SLLLLLFCVDIGFITLTQALSSFLIAVVVVYNTMRLQLHSIKQDMRRRYAVPRPFAYLHSFDVSVTTQIM -AMIIAIVSWMILKPKKRISQSFDSIRSESAEPLHFWGKQLFEKYLFRTKFTDKNKTTSSNQLTNIVKTKQ -MMLKIATNVGTHKFCNLVPIRGNVVAIPAHIIPDKRTSVVVVKQQTFDTRATIEPSCTYRIPGTDIALWY -CPELGVHKDMTEYMGSNIERGKQFPINVIYFTQDRELTITHTLATRGLSRTTLGGTFESLEYGYKGKTFN -GLCMATCVATTEGKSFIAGYHLAGKDSLGAAGFITREQILAGLEQLEADPGILLSHCKQDFPKTIAGVPL -SVKEEEIHPLSVFNDLPNDSKVEIIGSHGLPSSTWTSRVEPTIITSHVEKIMNLPALHGKPKDMADQRHK -RVDVEKKVNTAYNFDQDIVRRSVVDYSIQIFEGLAPSDLKGLRPLTMDECLSGVDGERGVNAMEFSTSAG -YPFKGKKDKFVIESERKLEHISYVRDLKPIIAKEVTFMEEELASGRRINTVFRAALKDEPTKFTKDKVRV -FGCCNMPFTIVVRKYFLKLSALMQQRQELFECAVGINVDSPEWHDLILAITKYGSDRMVAGDYASFDSQM -SVRFMLAAFKILINIAERSGNFSPRELIIMSGVATEICYPTYDHFGTLATFFGSNPSGHPLTVVINSIVN -SLYMRYCFFTLGEKHNVRNFSSVVSLMTYGDDNVMSVKQGYEWFNHTAIAKVLSDAGIKYTMADKTSESV -PFIDLSEVSFLKHVAVWDEDLQIYRAKIEEDSIAKMLHSHVKSEILPNDLHSAEAVSNAAQKYFGFGREV -YDQRIEQLYQVAEAAGITGLVGDLKTYDERLRAFKEKYEDVLSSQEGENELEEAQEVSILRQIFKILCVY -TSCFLLPIIIKIVKLNVEKMYEDGTIRKLYEHGKTLKSTNEAYEYLLRSYHETCLGQGAEAIDNTYLAIL -GGLRDMVMDAFDYAGAVYAGARDANVYETPETHHWCYESDSDSEE - ->YP_009337195.1 hypothetical protein 1 [Hubei picorna-like virus 6] -MYGGCIIIKGKMEQTQPITGTGRNLPTCSPQTFLLASSCYSNFPRTCLTELLLKGGVSLEAVIAFCACDT -WWLEPDGALVTTPTSRSGWTIHAARKFLTLYEVPSIIVYNDGRVNYLINIGLNSQEMFQNILLGQKAFFL -CDGHWSIGGYSLEECRPLLDVHLLEGGFGKKKPVRELPNYGWEESNPKDDRALYVQKQTEHKRKEYLNSL -PKKPRKISIPKEQDFKYYAAASDALGIATDVASLILIVKAYVRTKDSYVMAVGLVQMFSFVRENVEYMQL -LMQNLPGLDDFSVDGLLQWLKERCGDRAEVDNGHQNDNLVESTWSSLLASHSRNRFYAVSMLDVLSQFNA -SGAGKLLGKFFSASVLTLMAKSIKSHLDITVAQQLIMGMARDCDFVDLILSVAKKGVDFLAKLFPLKFQV -HIRRVEDELEFSILRKKVESSMDVSPIVVYQKAFYPYREGGLPELIADFERYRELGDRLGKPLVRHFESK -FSDFNFFSQGASSRPLPFGVALVGPPGVGKTTMIEDIWTISREVWNVPRGESVAISVDAKFDDPYCGNDF -VLLDDIGVTKPERTTEDPFARIIRIMQTTKNFSEQAEAHNKGKVPWNVKTLCVTSNLDRLSTFNYVNNPI -AILRRFHIYLEVLPKDPTKYTFDFINVSSDYFEDSFNYVFKTVNPEFNSNQPLVNPTNNSMRTVAEFGSR -SQALQYLTERMREHVKLQEKERNSSGPLCLECFKRPCWCKPVGEEKENVVDMKDETKGQEEEKIDWLADY -ESLALDPFFRDPFSLGLYRPEGYIPIGGSQYGESVQRHRTNSAPSLGKFVAVTRVIKSKTNLIPFIGLFG -LLTILFYWRAIWFLACAVGSIFLYWNCFPLFAMCVGFAFMLCCSDIFGYNEQLQSSAFTILVTRGVVSFV -EMLHQLYFEWYASIELSFFARSRKSAVKWIFFMVICGITSNFRIAYYKLRLYGLNKWCALWHKRSVINHN -SKLSLLLATIAGVTVIGAIVGHNAIRNKKKKVEVTEKEQLPQWGLFSSCTKNTGVAAHGLKRSEKRTVCV -QFNGRANMGYFIDSNRVLTVAHLFSDAEPDQEVKVMLHKNGEIAYEKAVPFSSVEVFKDKDFAIITVPDH -QTRYSSLFQHSCAATMPLSVSETYYYNSPLKGTGVVKFLCTSNNLSYVDTSENKISPPVLHVFYTSSMEN -KMEYGDCGTMIVDSQGLNVGIVVAASHGCPNFLVIPYPSLSMQVVEQPVVEPQVQGTVSESVVFDAVTAR -PFGASVKIGEVRGVVVKTSKSDAKKSLLAGMQLNVNGEVPDPEKFGPPSIFRPGKSVRTGVYDTYHRNLG -ILKQAKANVDKTVLSKAIEDYWDRIRGSLTDDDLTHIHPESYFNALSGKEHGVATRIVRSAKLNTAVGYP -FASGKKRDHIQLLEDEVVFSSEFGSFLEKFENMLLEGETPFTFCKASPKDEVTKISKTKTRLFYVGDSAT -YCVIRKYFWWFPMLVYKHPLAFECAFGINPYSQEWTDIQTYLNEFEYHQAADFSDWDLRLPQEFMEGAFT -ILERLADLGDGFPSSKFFSALKPLFTSPIALFGTELYNLEQGTVSGHPLTYLFNSIANSLRARYCFYTLF -PEGKRFSDHVRAIYGGADAHETTNLYPFNQLTTLPIMMGLGIRPTDSNKNIISEPFSPKEEVTFLKRDEQ -GRLDIQSIHKMLMWTTSTSALEHASGSIVSALYEMHLYGKEAFDNFVESLRQELPRAAEFNPSNGFDLSY -ILQEKAAFLDFDADCYKFNSTLENRIPEIHFDKKSLEFLQED - ->YP_009337006.1 hypothetical protein 1 [Wenzhou picorna-like virus 10] -MNLFNFEESNLNVQGVSLGFILTCFGIVFAFFLFHFNVLVSQTGYGQSKWEAKKRNEKNARDRKDRALRD -KESREKESRQKTRIRRANQGVLNSQASASNFISLSEDVREWLLDNFGRAWLALRELTSELHLDIPDLTTM -IPDLSFVEKYYTLIKGSDIASEAHYLVRMMISLGWIKKIDFRIKGYSFVATEPIREKVTTLHFFEKLSSF -GKLVIEKVTLVFNSGNFTDFFASELKNEYDIEFAFLKSQKTCIDLGRKAEVSEETYDRRLAECIDTTNSY -LANCKQSERAYYASRLNVLKDLQTSRTLSKKDNIREKPYGVLLFGDSGVGKSAISNALTRYILQVNGRDY -SPRAIITLNQEDKFQSEFSTYHDGVIMDDIGNTALDFTDGSPATPIIMFLNQVPMAALNPNAEMKGKVMI -EPSVVCGTTNVKDLLANQLSNEPLSIVRRFECTITQKVRPEYRKEGTDMLDNNKIRHMANDQFPDYALFT -VEEPRYVPISNGNRTKSGRTREIYYVPREFEGKTLVDVDIVTLLRFLKEDSQNHFAKQKAFVEGQRSLAD -MPLCEHQLPPTLCPDCKLESHSGMPRFSFRGIINESMDVKQEVIDYLLFAEARFMAWFNSLIRTVLTTRL -GNFYVLFALRWQLIDYVVSTSKAFGIIVGIVLLCDLLFLSNGAWIMFFVFASYVAVLCGRAYTMRSEYVE -AYNFRSPSQVYRDLSDETKMKLHAIVVFTGVWAVLKKTAQTWVQTLPTAQAAAPISFVPNAKPYQLETEH -WDTRQKENAYKFGDAGITEAARTITTENLDKLVGKSLKVLERQSDGVFCNAVPLTGSMFLIPNHMVTTKV -QYVNLTNVGGHVIKNLPLSVNNCTRVEGQDFAVWYCPGAGPQRDLLKYYPKDIEVGKKITVHTVFNNDGE -IKIYGEMTAERGRVITSQGGVFQGLRYSFPDRTYGGLCMATFIGRAKGMPFIAGHHLAGRDTVGGGGFVT -RETLERTIARMCEKPGVLQSHSAQPFETKIMGVDVGPLTAPHEKCPTRGLTSDAKLRIHGGHNQPRSSPT -SAVVTSLISSAVTEVMGIGRQHGPPKDMGAQRHKEVDIAEKTNTATKFDPLLSQKAFTDYSLTLASLPSE -ELVQVGKISDDANLAGLDGVLGVNAMNFSTSMGFPFKGPKTQYVEKSDRQVEGISCPRDVDPMILEEVAR -LEQLLLEGKSINTVFKGSLKDEPTKLNKDKVRIFAAANMPFVFLVRKYYLSLAALFQRNKTITECAVGTV -VQSPEWTELYEHIGKFGWDRAIAGDYAKFDGRMSPEFMFMAFKILITLAEKSGNYDADDLIIMRGIASEI -TYPTYDYFGTLVQFFGSNPSGHPLTVIINSIVNSLYMRYTYYALAAKQSRWTRIPPFAKGCSLMTYGDDN -IMTVLKGLDWFNHTAIAAELNEVGITYTMADKDAESVPFINLSDASFLKHFAVKDEELGIYRSPVEESSI -AKMLHTHLKSKVLTMEQSSAEAIQNVALKYFEFGRDVYTQRREELLEVARRANVAGYVGPIPTYDERVEW -YKEKFFPLDSQNGYRHGHHDGFTEIVKLGKAKSDEHFKDVTFPFEL - ->YP_009336927.1 hypothetical protein 1 [Shahe picorna-like virus 3] -MAQVSENRFSLSKGILKRTRSYWSFTQGRWIDPVGFDVNVSSAVMGSGEKLGIDLEKVNCSNVGFEALEC -TPVKASKSFWEVQSLSSDFFSRIAGTSFDLKSMIGNDFVTRGAANFFEGVLLLGVNLSQCETITHMITIV -IAYVNGNFPDLAVSSTLVDYIQLLFKPVAETQSGFNVKDMLLRWGQMQDCEIYCKFKKLIGSLVTAGVCC -ATAMPFSVEFYEKMVGAGAFASVMGSDVISYALEFFSAVVDRGIDVWNTGSIWALFSDSAMNKADADYAN -LMASKTHYLTSSIELSGNFTDEHAYCLTLTETIETYAKAIKRAKPLQVKAYLERKHMNLRQIEADIQHYD -RAMSIRSAPFALLFVGQPGTGKTNMINEISNLCLRVNGFESTPDTKVSLNSNDKYQSEYRSHHKVVVLDD -IANTSADKCQESPLRIIIDMINNDPKMALNADLHLKGRIAFKPTFVFGTTNIKHLQAGVFSVSPAAVLRR -FNFIVTFKVKPEFAIPGTGMIDPAKMVNVVNDAWNFTIERAYPVPDSPSAVKYVVHKYGDIECTDVGLDV -LFKVIGPASITHIAQQRELVARSSTSFHRVLCPHGSLAAVCSGCVALQDDDVNIDVAIDHLKVWYMKLAR -VCDMGISEKICSYLEPRGWNLLKKDHRDKFYASTLAIWASCVLFLRCVAVCTGFGTMWLSGLIVGPFATA -AMGVIYNTTIKKAADYTTARIRKGADTIWKSNFLRIAAIVAIVGCMRRCYMAVRTVNTQGAMISAPRKDD -EERPDPWVKAWVRPLEPVTDLRGATVEQLEQVLSNCLVRAEFHLTADATKVSACNLLPARSNSWFVPFHI -LKKGCNAVTITYAPGEIISPGRRVTFTDKQWVRLGDTDMCLLSLISLGSVRDLTPLFPLRYSEFDGPGLH -ISKDKFCETHKYKARYSPLQVKYDGIGTYTGVQYSLVGGNTEAGMCVAPLLDIGKRPYIVSLHTMGHTGA -PQGVGAQILRSHIDEAVGHLYNNERTTNFEVASSRGFELQNKERGISMTGGLHIKSPYNYMDKVGSVSLY -GSHTGLRRTFKSTVTDSYISESVERHMGVPNNYGPPKNISNWKPWHADASGLLDIKDVDHDLLELAYLDI -LDDQNEFLNKLGVDYVSERVHPLPIENILAGADGVLGVDRMDLNTSAGWPYNTPKKNFISEMGECVEGIT -SPLRADVEILEAVELLEKGYEEGYRAYTPFRVSLKDEPTKVAKEKVRTIAGSPIALTILTRKYFLMIIKF -IRDHSAEFESAVGVNAYGPEWTKVAGILQKYEPDNNIAGDFKAYDTCMSCMMTYTGFKLLISVAKFAGFT -DLQLKVMRGIATDICEPVYEFNGEFVQVNGSNASGHGLTVIINNQANRLYQRMGFYDVYDRKPSVRYSCA -VSALNFGDDNVMNVHKDFPEFNHTSLQAALAKQGLVYTMADKLAPSIPYIPFSEVTFLKRSFVWNAELGQ -YVGPIEEASIHKNLHSSKGSKFLCPEELSAIALETSAAEYFLHGEEKYTWFVSRAELIVKECGLEVFMSR -AGDRKFLNYREMVADYRARYPS - ->YP_009336911.1 hypothetical protein 1 [Shahe picorna-like virus 1] -MNCDTKMHAKRGNALNQNKSGGKKKVVFRGRHRRQESIMEADELFGNFPAPMIQEQHDMLLAEIVRSKAD -REERIRLMRAVCRIYSLDEKYADDFYNNFCDEHAAEDGNDDTVLLYDYLLQALTVIHNCCGSNDVTIKRV -ETTLVAAWAVFKYRNDMSAVSLHVVQYAMSLLPRSITNTLIVCIRAALYSETEQSLADTILKDLGGDCGH -SFRNLLNKPFVSHARIIASLLCTIGLVKPDRWHIKGFELFAIEAFDERKVDMIDVLDSTLSSLVFFFKQG -YQCFCRKSIRPLFVTSDRLMELEVRVEQLAIKVKNHVQGSARCEFAHLCGSVYSLADDIRAVQLNVKPIE -TVICRKLLRTVLALENDIIMSQKSETLRIAPFCVKTWGYSGVGKTGFNLITMREILRYNGYEYKDTNIKK -IEPEKEYWEHIRNDTTGITIDDMCNTIHTKEKVNPADILIKLVNNQPESVNRADIPDKGMIWIDAKAVGI -TTNNRTLSAENWSVEPMSVVRRCHLHIDLKVKPEYADANGQLDSEKAEKAERYGYIEDLWDISVFYVKKK -HNSKLANLAEDYDLSYMEDDEGRLKDVGIQRYLRFLYEETRRHFSMQKAFIAKQRLEDNTFECCPKCNMI -CRWCKCTTDEDSDDPPVSNEQSLVIDFVYNQYFIYLMSFVNAGFISAISNWLYFYSGFTIWCRILNFIQA -AIFPTWWEKKIFSILSRRETNLWSRNAILSIDNFIDFNIAILPSFITSSKYMKYLYLYWHRRHIYRTARR -YGIIAFFGFIWSASVYYYFVREITWELIYAAFVFLVVYILYQAYFTYLLVFHRLQHVRDLGRQTRFHNWR -YTDYIGNDNFFTVTGFVHNATVFAILYTTVELIRLGIKMYTTQHVVEEQQGGVLSPGTVEEAEQREVKTN -IWAHTIQTPNKSTRQIGDFVRTCSKNLVVVKITSATTGTGVKEMATYCNALMLVGNVVMMPLHMVYERDG -VNWISPYLTAKLTFIRSESVNSGWTEEIDVSTGVRIEGYDLIVFRVNGGGVFKDITDLIAVKPAYAGQVV -VLKRSLTGAIEECPGNVRKQEMMSYTRNDLTMCWHGVHVQTSRRDWAPGDCMSLILSNDADPRILGFHLI -GTADVTIGISASIPTAQLRGVLHKLPEQFVVHSAEDIQTKIYDVDLQFSTEIHRKSPVNFVEQRNFTVLG -SIFGGRTPKTKVRDSIAVPFLEKRLGPQQYGPPPYGGPDGKQSWYSWWLNLDGTSRVSTCLPEREFNMAV -DDYEKGLYHVVDMHKNKIKPLSEEMVVNGMPNSRFMTPLNRDTSMGFPLGKPKSGYMEVIRIEPDGRKIY -AFSDTRVWKRWYDMEEELANFKIPTSIFKATMKDEPVKKTKEKVRIFQAADIGMQLGVRKYFLPIIRALC -LHPLDSECAVGINPFSLEWDALDGHVSKFGRDRTIAGDYKGWDTTLPSVLVRQAALILVHFAERTGNYSQ -RELTVMRGLAVMLSNPLINFNGTLIRLHGTTPSGHNLTSVLNSICNSLLIRCAFYKHFMYQPLLFRKYVA -NITYGDDFVASVCPTKDFSLVIYERYLSTYTGIKVTMPDKKSEIVPYMHFDEVDFLKRRSIWIESLEHRI -GVLDINSIHKSLYTTMASPGDEANVLVAVVHSAMHELFYHGKEVYEQYIALFKDMFEYLDLDPGPIYKSY -EERVYEWKQSYEKSTVLDDGHVDDTLTEDFQGIAHDTT - ->YP_009336775.1 hypothetical protein 1 [Shahe picorna-like virus 6] -MNHRHSKLMNITRIYDRGDYERYGFLRQVRPNHAFCLKRDLCPHYLADEDKCRRLYEHGVSFNLGGNRCK -SIAACELGDTLPSLITGDTNPFSILEEEVDVVGGGCGDRTVEFPKYPPKMKRKASGTDLTDNNLDMKSVK -AAQKRRGLAATPCGSYLATISRIKSRNLSPRVRVVKAHLQSHDELVDDVPTFIYKGEQGRLGDIVDAVFP -VGRSWSVESDDDVIDRIVGDGDLKRVRNLLNPFDFGITVDTDRILQATRMLTPLCTLMYQLYNADSKTSA -LVAAFSYLERMAVEDDYNTVKVGITWMSGFVATYKEVVSAHLENAYEYLTDKIRVAGVDTFGVKLQSTDV -HNIMGVLESVTSGVQHHSKAQSFVWLVAFIFTSIGAARTGVFPDFNNYSRQSVGVVNKIFMLGSGGVTVC -LFIHAVKNCTEFVNDLLTNGLNTLWTSEIALVTSLKRRGLSLLERSISITVDLDFNKIVSYGFDLEKFMD -EVSTHKSKFSSRSLGTNILGVGFTSESNTAIVWASVSKIHTELLTHHAKYKVMMAGIAYRRQPFAVAAVG -GSSLGKSLWVQATAATINLYLGIEHDPRLIYTVNPGSDYFDGYKPYQTITVMDDVATLRSNFQPNGDVQV -KHLISMINNVPFLTPQADLPDKKTCPFLSRALLITSNTADLDAHVYANNPAALLRRVRYRVTLQVAPDFV -NASNGLDAGKASAWQAAHGSDNVCFPPFWVYTVEELSAVNTGTDGSKFKMDTRTNIIMENANTQKYLSWF -RNAWIEHEAAQDSAVAQVHDLKGLRMCTHCSIAHPYHADDCPLGVNTNVAQSDDNVLTVKGWYECVVLNS -YGIFVAFMMWCFTCLHACVMGCVNYVGAFRDRVLHTCAAVEDTCNHMSHMALVWKSIGTPLAIAAAWFGI -GYSWLLAFPTLVYLYFLSFPMRRVRSLMTYKTVMVSAATLIASGIILKKILHKENKHINAQSDNSTTCDF -SYNDAAAVWGDGQCRPFVGESRTVAMSPASGCTKGSSLPGALKSIEKTIFVAKVTSAKGPVVASSVGNGF -VYSMNPPVVGLNKHTFAVHQKCDRVSIKMLFLPSSDGTTVNDDNTTRPMSVFKFEVDYADIHFEDNNDLA -MIYVSGLSRTGCRQYFVREAHCHGGMGPELRTRDKTGNMVERKLENYRSGGPRTFDNSGIYEKTVTLTRS -YVYDLPRNPTDSGDSGSLLLVFRGDDAVSDTSGVGIAGFHVGVSASNLYVAPLSVDLCDRVVSRVTQSFE -RKRRENVGPIEACVAQCLADKTPVGVHDHIFDLDKGSRNSALQDTHPKAAINWVSVEGDVGVNVFGSVIG -DSRHKQGLSTSYSPAQWSDTMSCMGDVLGDVERKYTPTVVPRDSLWKVHHRTLKDLLRDGSDGHSIPNNI -ADNAVVGLVDDIVRAVKATGNDKTWANFGVLSYAEAVNGRNGHFEAINWKTGGGFGYNGPKYKVRQEVYG -GIASLDGIKSLPHYQFDDKTMNSIESADALLRKGIDPGFVYTTHLKDEIRTIAKVNDNQIRMICGASVPA -IVLMRKYLLPIIVFMQQNPLATECAVGINVESNQWDDLAHYMREYGDEDRYIAGDYKNFDKNQTLQISVM -ASRVMYGLVLHAHSLKLGIYSSDDLLAIHTLLFGLCYPKYDHFGVILGVCGTNPSGNSITAQRNCIANSL -YTRCAFIWLAKECLGVSPIVARNMFARVVRQINYGDDILQAIRSGFHWFNHDTLAYVLGLWGVPFTHADK -TISVGRPYDHFGGITFVKRSFVFNEEIGGYLAPLDKSSVVKSLYFVNDSACATRAEAHVYSIRAAAENVL -SHGRDVYDAFCDRARILVDAAGLYGIERQEALNFCSWDSFVERWKANSVNRKFGRNPSYASPPPYTIILQ -SGALYNMI - ->YP_009336771.1 hypothetical protein 1 [Changjiang crawfish virus 1] -MSKFFMNTEISFFYAQSNEHCSEEGTQNLQASDDANQMEIPIFDIRTTSVQCAHHVSFERLEQRIDEEPK -GIFTFHRRTPSDELSVDVLKETFDDWKIVTRRKRQRVGRGGVLLHSAREDIELNPELPIEPVQTHTTSSK -RNNVKEVKVRTNKHKDSKKLSQRKKGGFVPHGDEDPPGDVPSSSSTQRYVEDGREDPPDDSIRIKQEEMI -LNHDSDVETLLEEFPSSSLTELPESVIDAIQAIPKHIQPGSNTDKWVHHLENMCIYTYQLCRAESLMDCF -VATLAYIKMNFTRSVSSFAAELAASVMELVDQTDKQTFETKTMLARWETLKTNTVFGKIKYLISAAMTLP -ILDLKEISWNPMGFKLMQVKALEQTVHATDVIDAVLKTFTWISDTGCEIIRTGSLAPILYDDVKMKEFNE -RCDWVLAHGDTALAGNLEDIHDWEAKLEKAIVDVCELKRIKNDGATGPWLQRRYEQLVEYQDGIIAKHRN -TTMREAPIGFGLYGPTGVGKSTLAQLIMKTSLCSVLPSGEFDQTKVLNKDMFDKYDSTWTSDIQGVFLDD -VGNGKAEFQERAHTDVIIKFFNNIAATAVKAELNAKGRVFINFKVGVLTTNIKDFGASQYSECPESILRR -FHHIHVRVKPKYCINGGVSLNTYHPELQGASLTKDVWELDMLECEPYVTPDTKSTGYSLRFADIPDGKGG -TKKAQNLTLKEMLTAVVWMSKNHKKAQQNVLARTKEFIELPMCPKCGLPHSLCDCLCLDCSQAATACKCQ -KFRPQSSEFKELVGSFMKDSVVAYVKSWVFPDQFFWKALGWRPIQTMMTKELAHEVRRGLDYYATPWIFS -FVPERIMNLPAMNRYINLWAKRAAYYDVRWHLKWLNGIMLGCICPMSYFAYKEKRMSSMILPVSCMTLAN -VGMYGMYRTRVNLEREAFLQRRDGLMSCVVPKMEMTEVATLGIAVLGLGLRAFHGWYFQQKGNLPHAGEP -KDDHPGWMGYYIQKLGFNVHAQPSTKTASAKQLTESLTKRNLFWAWFIRKNGSKTKCNIFFPEKGVALFP -QHVWYPYADMDEEKTECLTVEVHRHGSPGGRFTFVVDEASCVTPPDMDVTFAYVPNCPDFRTMTKWFPAL -PPTGRALAQLVVCQREDFENAPNQFSVDNTEVKFGVEKHSGMEFYGGRYKSSLARDGACMGCVITNTKDP -VLVGFHIGGNPLKNEGVMQTITLPDYERNRRRLNGMSNVVLSAQSDELPVSQYDKKLLANDRVHPHCMAS -RMGVNDCVEIYGSTQLRTKQRSTVQPSILSKEVERVCGVPNKWGPPKLEPNWEGYNTTLEHIARPPLMFR -HTLLNRACQDWIKPLLEEMKRLDVYFQPLTFKESILGIPGKRFIDPIPMSTSMGFPLFGQKKKYFTDVKK -GEVLIDRVPDKAIVKEYDRMLACWQEGKRAYPVSSATLKDEPTPVGSSKVRVFQAAPVAFSMHVRRLFLP -VMRFLCANPTLSECAVGMNAFGPEWDTLIDHAFSYDSDEGVLAWDYSKYDVRMSSQVVKAVLGMYIELAL -GAGYHRDDIHIMRMMVNDIAHPLIDYNGVLLMAFNMNTSGNSITVNINSTANSLYVRMGFFSCIPEVEDF -RANMACMTYGDDFIGSLRKQYHDRFNFEVYRDFLAKHDMKITLPDKGNTSSAFMEIEDVDFLKRKSKYIE -EIGTTIGQLDEMSIFKSLHANLKSKEATPEQVAASCVESAMHEWFAFGKDHYELRREQMKEVCHNVGLRN -LSILDHTFEDRVNHWREKHLLG - ->YP_009336714.1 hypothetical protein 1 [Wenzhou picorna-like virus 7] -MNKFLKTSTYGKSDKEKQVCQRPASHTDFELHNYTNPWLVGKDKTWCQEKRLDKARDLRREHYRSFEPQA -GFEFAKLHSVVSDLAKYANIDYTDELVVQLEGIVALVFTLQGCQDYVAMSSAIFLYVRKFFDKSITSHVM -EYISELFEVEPQYGEEGDVVQSESWIDMMRNLRDNWTLVKDNKLFSHFSKLLGLVVTLELCKASDLTFTV -KEFKMWEPDMKVVHGNAVDVFDAALSTVTFFVENLSMCWEQKSLKPLLINDKAAAELDEEYANVVMWWDL -LKNGNLKRVACVSEQEFDRRLETLTTKIRNLIGNLKSFEKKILQDKFMRLLKIKNDYITMKISSGVRKSP -FCIELFGASSQGKTTFGEQVIQALLTSAGLPTGKEYQASYNAADKFMSTWTTDKLVLLIDDMANDKSNFV -ERPPTRVIIDVANNAPFYANMADLDSKGKVFVEPELCVVTTNVKDLDARTYSNCPYSIQRRMHIVVTVSA -KVEFQYTVDGKPQGIDPAKVAAFNKDKPDMAFDDIWELTLEKAVCPEKLSIAAGYAPVIWRGKKMEKVSF -REAIQYLIEKYHEHITAQENILERMKKRQDICVCGVDGCKQIKGWCDVHPVCKEVPLDNHFGDEIVESIQ -NAGSLITNRIRKDIFGLDRAVEGACTLAILGAAKHFAKHWDWMSLVPTPWLSNNKFRNAMLFVHKDKLKN -SYIRKTCLMWGSIFGAMWCVRKQPRELTMSLGTGLFAAGITVQKSMVEVVKRDFDQQLVDRNTIAPVLKE -FRDKHVNNICKACAIVGALYGISRVYKAWRKINPQGSLEPKIEEDVRRRDAEANVWTSVVQRELPVNSLA -ASTTSDQLLGLVEKNLVYGSVQIGDRTLRVNGLFLTSNVVVIPDHYFEAPVLDVTFRKSNPDAAGGKFAT -RLSLAQSIKLPETDIRVCYSASGGSFKDLRKYLPLDDLPMVEFSLRWRSKSGELEKAAGLATQKRTGNGA -AEFKGLYYESLTMDTFRGMCGAVLVAKQKPILLGIHLGGRSGTPKGCAGILFASQVNKAVEDLRKLEGVI -VSGSAERFETQVLGVNLLTGDKLHPKSPLNFMPENSQVEFYGTCPGMSVFRSDVKVTPISEHVTDVTGVP -NIYRPPVEDPQWFGWQTCLVNLAVPALPFDPMLLILAIRDYKEDMIPILQSRLWRDARPLNDHENLCGIP -CVKFVDSIKLDTSIGFPLGGSKRRFVVELPPTKEKPNNRVFDDVIMDEIHRCLECYKRGERAYVIARACK -KDEVLSKAKCRIFYGNGIALTFLVRKFFLPILRIMQFNPKVSECAVGVNSHGPEWQELHDHIFTFGEDRL -IGGDYGKYDQKLPSQLIFAALRIMIDFARECDYSEEDLKVMEAMTGDIVFATIAYNGDLIGLTEGTHISG -NSLTVIINGICGSLNLRCFFYSKNPAATFEERKKFREYVKLVTYGDDNIGSVSKDINNFTIKGASEFLAE -YGQTYTMPDKESELLDFLPFEEFEFLKRKSVWHPELGVHTGALIEKSCFKMLHCFLRGKKAPLTAEHMAG -QNIDTALREWFNHGPEVYEKRRVQMKEVAARAGITHLCMELDTTYDECVLRWKAKYQDGSRTHEFDDAFL -EIFGDL - ->YP_009336707.1 hypothetical protein 1 [Shahe picorna-like virus 2] -MTNTTKGKSNGNDIREMQLCQRAAPELTIPLHKYADIAITHKGKTRSDLKQFLNRPEFREDILRVAHKGQ -HATKTNKKKSKSKKNNRFTPHIGFESLASCTALLSELASMTNMELDDAILRKIEGIVALMLNLQECKSAQ -HFFSAVFLYVRDLYDSSVTKQVMNYVQTILDELPYSSQGPELFDPSWLELLRSVQTNWAMVKGNRAFRQF -SKLLGVLVTLGLCDASNLKFEIAGFKVFDEDILKRHMGAFDLLDAVFGTVTYFAEGFYLCFQTGSIQPLL -VNDFAVLELDNEYVTVLAWWDLVKNGNLERFLNVSESEFAYRLKQLIVKLTNVLPTLTGIDKKIVADKLL -KIKIISNDYTASKLAAGIRRAPFAIELFGDSSQGKTTFGDQLLDALLTSAGLPIDKEYRASINTSDKFIS -NWTSDKLVAIFDDLSNTKSNFVETSPTNYIIDFCNNQMAYANKADLDGKGKCFIEPELVLVTTNVKHLNA -AIYSNCPFSIQRRMDLVMTVQCKPEFQRVVVDKEGYEIPCGVDSAKVRERYTIDGVYTPPAIDDIWSITV -ERAVAPASLTVTAEYAPVSWRGELMVEVSATKAIQCAIEMYNMHRTNQASLIDGMRNRPRTLKRCGHTGC -IHMAGFCPDHDEYENQLGYEAASVLFSLTSRCKSMASTAFERSSTRIEKAATGALYRKATKFLDKWDWLC -ILPDDIVESDRFVDFMMWYNADSIYSKARYNILACVILFITGLCIGVPFTMVFIFCLMLVSIVCNKNYQR -NLYISELKKRQDVLPTVFKGTREKYAKTLCQVAAGVATLYFMAKIYKHYVKMQAEQSSLEPETLEEVAAR -DSEPNVWSSVSKRPLPTSDYSKRVSVEQLQRMVEGNLVYASLSNGHDPAMMANLLFLKSNLLLIPDHYFT -KSETLDAVCYKEDSRNIGGNFKTKLCKSASYRIPCTDLRICYTSTGGSKKDLTKYLPLSDKLSGCPAIMA -YRHKSGELMHLVARILPCETNNGTGLFMGGEYKNLSGNTFNGMCGATWVSDTKDPCVLGIHLGGKAGTPY -GCFGTFTQDQVKEAEKRISAVEGTLVTGEGGSFSPHMFGEDFTLDSPLHEKSPLRYLPVGSQFEYFGSCK -GQSTSRSDVRCTPISKWITTVCGVENVWGPPKMQPEWFGWQKALENASDPARPFPHDLLAISVRDYKSAL -VPLIKSTLWNTTKPLTESENINGIPGCKFIDAINLSTSIGYPLTGTKRKHVIEESVPGNTSLVVRKFTPF -IMDEIHKCERLYIEGKRAYTVAKACKKDEVLPRAKEKCRIFYGNPITLTFLVRKYYLPVLRFLQMNPLKS -ECAVGINSHGPEWDEFYKHTMHFGEDRLFGGDYGKYDQKLPSQLLLAALRVLIDLARECEYTDQDIRVME -SMAGDLVYSLIAVNGDLIGLQSGTHISGNSLTVILNGICGSLNLRNYFYSRYPASVPFREAAHMMTYGDD -NIGTVSPKYPEFNIKGCSEFLAEFGQEYTMPDKESELKPYLDPKDFEFLKRFNVFHKELNCNVGALLEKS -IFKSLHCYMRPKKCELTPQEACALNIDGALREWFNHGRDVYELRREQMREVAILSGISHMCTGLDLSYYD -RCNEWKSRYIDKKELPEERFIFDVQSGTEQTPLYAKAMSDIDMHILCVNSPIVHQNFGEIDILFVKFVGK -TFHYLAIEVKESHCVHMRRRGRKQLRRIVAALHILQPRSPIIGLLLTPYGYELVEEAGGVGSWHDIGLPM -FNTQTQLSLETDSQLSF - ->YP_009336661.1 hypothetical protein 1 [Wenzhou picorna-like virus 52] -MFSRETLGRVLYGTRPAYSICEMKSDIFGEAELWSSGISLDPFSDVRSMTPSSTQCINLPVDTPESQDAH -SAKQVFEELSLQEKIAFLGGHCDSYTPQSIMSLPFIKKLYDKSIEKFTDTAISKLEGLAALYMVLSEVDS -AAGFLAALTMYAKTYNAESIISQLSGIVDTLFAGGYAPQSETPAWMKSMKDGLHNWKLLINNPAFEHISK -VLSLLVTIGILEDKAISLGNFELFALEAKKKHCNATELMDAIIETIVFFAEGGYMCFVSGSLSPLLFSTP -KLVELEERYVRKLAEWEHARNGNLVRFLDMSEAQFDKELSDLIDEFQKLYKTAVNGTEKKIVQQKWEELT -KMKTEFTAIRVSGGLRKAPFAVKIYGRSGVGKSTFADITMTAVLKAMGVPCSPNYICTLNEKDKHMSNYR -SYITGVKVDDYGNTRKEFWEIAPSDTIVKIVNNVREYAVMAELANKGKISIEPSCMTITTNVKTLHAALS -SYNAMSVLRRCHIHVDLKVRKEFETDNKLDSAKVIAKFGTLNSLNDIWLITVEKPVGSGKEGQDFGHFEI -VKENMSITDFVNYAIENAQKHLAEQQTIVESFTDSTDIVHICKECNKCVETCECCVDDLPDLELESDDDE -DEEEVDTSSMTPDALLQHEMAIARKNIAKLKAVKRKFEEAFKAKNVVHETNSKARSLLLKLKREESALRE -WNLQARTKFGVRKVVGGTIGEDPADLVSVEPGYEPHFGDRLAKQLQNKAGRYKHKIKTTSLIYETKVEDM -AIDAVITGFKMFDESLYSKWTSYIPEQWMENDLVKSTILTMAEDVIGTDVREYMQLVLGLNAFIGVLSFL -LFGLYPTGILLCCSAIFFSVTMAAVVETKKTAYMTRLTADRETLPACFKAMRDEHVKYACGLFGGLAVLY -AAVQTYKALRASVTIQGKLQPRSVEDIQARDEEKCMWTKPDATPMDNNGSFANQEHAWNAINNHVFTYEV -DGDACFAFYYWTKYFVVPYHTIPKEPKQAILRGPGGPLKFILDPAKVYRIPGKDLAMLYVGSGGPTNPMR -KHFEDNHIDHPITVALHGFRGKEHFVDRGWWNHIDNAHNGVETFPGSFYTLQNTLTKPGMCMFPLVSDSK -QQKIVGFHIGGRNNTLDGVGVAITTPELDRAVVEVTKLSPSHIPPPLTPELEETILGKAFAISEDVHYKC -GTNFLPPDSSLTVYGTVTGRSTQISKVMPTPISDIVAEETGVPNSWGSPAFTQQHENEKGHIDNGKWIPW -YETLVHATQPSPGLPQSDLDFAMADYLSGLREVFDANKDYWTKQLAPLTDQETISGRDKEKFIDAMVSST -SIGYPIGGPKSKYLEELEPTEEHACPKQFVPEVQAHIYNALTKADANQAMGLIFGASLKDEPTKVNKTKV -RVFQAAPIALQFAIRKYFLPVARMFSVNPLIAETAVGINAHGPEWHELTEYITRFGSERIVAGDYSKYDL -RMPAQLTLSAFAVMIEIAKWSGRYNTADLQRMNVIAHEVCTPLVAYNGTLMRFHGTNPSGQNMTVYINSI -VNSLLFRYCFFKVYTADRRLEVGKKLDLGRPIRFRDVMSLITYGDDAAGGCHDECGDFNHIVMAELLKQI -DIVFTMPDKASVATEYLSLDNLDFLKRGFRWEPALGRYVGPLAEDSIFKSLHSILKSSVLSPEEVVTQNI -DGALREWFFHGREVFEERREQMKRVAARGNLVCTTLDQDFDYRVEKWKEKYEPGSTYEPHSASVSESDSS -GFTIDCDYWGDSTVSELSLPSAISKEKTISNYVKSILGRPAYEEYVVISTQCGQGDLAYITEEAIIVVEC -KRVIGRPGKMEKVVQQAVRYTNIWSAIFPGRTIYGVIATEYGMQLAHMQGDPVFPEPYTAFLETVPIMW - ->YP_009336646.1 hypothetical protein 1 [Wenzhou picorna-like virus 2] -MIRLKRVSNCVNLNPLIWARIDAYGGYQYLIMKFTTRALFELCKSGEIAAFDFFSYAKTRIMNTKLLTNS -TTENDVLNIIENLGLLFTNLYMCKSKRHALFIIFMYLKTHYSSSVLKKCIDFLVETDLFSSKEVEDAEQE -IDSKTALFYDTSDYFPQWESGFFFSAQSDTFPDWLRILKKSQIAWGAARQSPMFEKVSKLISMFAALGLC -ELSNFNIDFNGVRIFSIGAYRKHVSAPDIGAAILDTIVFFAEGAYKFFQNGSVSHFLYTDQDAEDFDEVY -YKIYEMSNFIRCGNISKYGDGSMTENGYDRLLSNAIDRGTIIMRGLKGPERTLFGTKYEKLRKIRADFIQ -YRTSGKLRIAPFSMYLHGDSSVGKSYLSALLVRLVLKMNGYEATDDLLMNLNASDKFMSNAKAFINGILL -DDVGNTNPQFVQEAFTQRLIDLVNNVPYYANMAEIEQKGKMALEPLVVLLNSNVMLDKLAAIYSNNIMSI -IRRCHIHITVHVKEAYRKHGFQLNSEKVKEDYGDDPYPDVWEFDTFYADNSDKKEGELKLLVNHRINLRQ -LIALLAVKSREHYANQKHIIEISRNLADKIHLCQFCRLPTVHCECELLELEGQFGIPTKDDAKAAFEWIK -KHRHFNRIYTFLDNLNFLAWCQKVAYFLQFKGIWDGENTMRILGHLSTCFSVLSFLFGYLSVLFIVGLIS -AFHFYIIRFYMKYRSMLGKASKYKNIVNDVFTTVRKRRAAQFFASFVALAVTYKVFRRYYSFWKEQGNLL -SPSDVEVQIRDAEANPWIGAYVQKVPQSANIQCDLNQLINVIESNLTYARFPKHPDGVGTCNMLFVKSNL -AIVPQHIWYDEQIPFEVYHANRMDYSINKSVAHKRGHGVLSKQSAYFVPNTDLCIVRVSGCGTWKDISKF -LPDAPISKANASLIYRNSEGNIIKYSTYISPQADIKVGSITYSGHVYTLPEKTFNGLCIATLVADTKPSV -IAGVHLAGSGCKGASGAVIKQHIFDAVDYLNKNISTFVPHSEGDFPVIQCGVEVLTSDKVHSNSCVNYIP -TDGVLCVYGSCRGRSTNRSEVVNTPISNDVAKICGQENLWGPPKFHPWKPFYVNLQNVTNPSMDMPGVAL -EWACRDYILPFRSIVERSIWQKELRVLNDIETVCGIDGKRFIDAMISKTAVGYPLTGPKSQYMIALNPDE -YPGVSCPMELMDVIKDEYARLEACYLRGERGYPIFKASLKDEPTKRTKDKVRVFYGAPTSLQFLVRKYFL -SVLRFMSMNPIDSECAVGINAFGPEWDQLAKHMKMFGEDRIFAGDYKSYDTRMPAQVTLAAYNILITICE -MSGNFSTNDIKIMRGIATDCCYPFVAFNGDLVSFNGVHISGINLTAYVGSVANALLKRSGYFALCNSYHE -VLMPYRDVVADMNYGDDFKGSVSESADFFNFISYQAFLAEHGIILTMPDKESAATKYMNDEDADFLKRKN -VYNSELNQIMGALDKQSIYKSLHCVCKSKHVTTKEQSQMNIDGALREMFLHGEFDYETLRSQLKEIAKLN -DIMGCLMLDVSYSEYMEEYKRKYYDAPEFDHEVQDLHFEIQSGLEGKNYYKSRYIRKRETRFIYNENSGF -LHEYEDDSVKIIRRHKSSNGLEGFEPLPSFFNIPKTPRTRNQIDLLYKMAHKPCLYQSVVWGPMQRPLGD -IDLGFYLGGNNEFAIFECKKTYHKGTSSKIRRQVEAQVAVLAYYNPKAIFHGFLIRGDKCEHIASSQPPT -KKVLKLELFKGSYSGKLIGKDAVCELFKKLHLD - ->YP_009336635.1 hypothetical protein 1 [Wenling picorna-like virus 1] -MSFLRPEADCDWSDVISRHVDVMIEQDGVSEDKWLQDLRAALSNWKRYRENKDVRNFLKLLNYVVSIGMC -EASSITFKMGKLTLFEPIVYKSQVECADLADLVCTTAIGFIEGGWRVYKTGEISAFFEHDEEMKKFEDKY -NRIRDIHGYSLTGNLKEFAHIAETDYEVLLNEVIDLGDKVAGKISRKMTIEKKFVVDRLDRLRDWRNEFV -QVRTRGGLRKSPFAISLFGNTGVGKTTLNKLTYEAIGRYNDIDVSDERVATWADNDKYASNIRSSTNVIV -FDDYGNTAPKFMDFSPAYRLIQTINNALFLAPMAEAFLKGKVALHPWIVMVTTNVEHLLAEQYSEKPESI -LRRLFHVKVKVRTEFQTDGMLDSKKVEAKYGFKRDADIWLISVRKCSVGMPKTINSERNKYQLKPVKFEG -QQMTDVDVYTYLRWAQVASKDHYDYQAKFVQRNTTVADQVQCCKKCGFVFCDCEKIAAEESARLPSMVDP -KVHPDDEAVHGELNELYHGLPTMLGVDDHLDEQASPLFRSILRFFFWYVTGWIIGIVLNVAVWLLRMPSE -SRNYHVRFYAAWVRNYFLAWRSSVRRATMWHLYRFARWQLQQRWNIRAFFWRLRETRTADLIHLDEWYND -SIFDWVAWVPESFITSPYVTHYVMHLRRWELINRRWKVIVLYLYCFVVSAWWFVKAWYYSSIVWYLASLI -VFYSTVLTIAVVLYYEKRAIREELLRRNNALPAYIKVLKENSGKLLLGVGLFGLYYVFRWIYGMKKTFSP -QGNLNPQTMKDVEERDNEENVWATSYISPLPMSTASKTTTTHDLANKCVDNLVYVESEKYFIRGFVIESN -FLILPAHFVHRHWEEGFDDFQIRCWRSNPKGTGGNFRDTIAKKYTYIVPGTDFAISWTPSAGSMGDMRKF -LPTGPVSDSEATFILRERSGDVEFTKTLYTHDRTGIDHYSMRHIPGGTYKLTFDTAEGMCMSPLVSRGKG -ATILGFHLCGRGRTGGCGYLTFDQVETGLQQLATIPGVVRTVSRGTLPKDQFGTKLVEDGPIHRKSATRF -LTEGCSIEVYGPTSGRATPSSSVEPTIISDIVAKVTGVPQQWGPPKMKGEGVYPYQVALEQLSHPSLSLG -SVVVKAVQCYRMQFLKIKEKLPDLFKECKPLTEVQTVSGIAGKRFIDPMNFDSSPGWPLSGKKTKLLIEL -DPADYPDIGKPRTFLAVIWNEVYRIKKVLLSGVRAYCVWKACLKDEPTKLTKTKVRVFQSAPIALQLLIR -MYFLPIVRIIQLNPLLCECMVGANAEGPEWEQLNDFMNSKGKNVLAGDYSKYDQRMPAQLVMAAFSILIW -VAKHHCNYTEEDIKVMEAMVAEVAYPLMAYNGDLLMLFGSNPSGQNLTVIINSIVNSLLLRSCYYTKYPD -DPVGSFTDYCAFGTYGDDVKGTVSEDKSLFNHISFAEFLSKFDMKFTMPDKESVATEYMEADEADFLKRK -NFYHPDLKADVGVLAEDSIFKRLHAHLLSKELTLKQQAAQNIDTSLHDWFYYGREKYEQRLKEMKEVASE -AGITHLCRGFNKSYEQRVQDWLRKYRPEDAEPVNEARITFREN - ->YP_009336722.1 hypothetical protein [Wenzhou picorna-like virus 18] -MSAYVSECNNRVMGNASGLSATKHDASLAAGYKNTKDSTRRTTGKCPHDVLLCSECIITPLISAEGAHLS -YLLEHRAKNGKCIKCTVGSRNLPVPSGLLHHSSSTSVAPTKMATEEIPEIVETASKLYSFSHPETGSPSE -DSNSQSSPVNEIALSEFQELHRMAEIDDDSELDIGHTKSTIGSQLAEVKGRMSKSFPESLIRKSSKALSQ -LILLSVGLCHDTNLVSVVTRCTAFLDAMLDDGIVMSLHDILIEYVSGVKLPDTLQGKTVQECYALENTIS -KPESVSPTALAVWDTLKKGVFTKHLSYVVGTAFAFFTCKIQNVEFSHPLHDAIMKHSAAEKIDGVDFIDH -CLKLYNWVSTVGVACIEQRSLKPLTLNSGGLAKCHEGYYNVKQWFTDAKRDGNTTMEQRQEQFVKIETIY -KTLVSLCKIERDKFTTLQASSLIREVGALYNDVKDYVLKIDAVKVARGIHLHGPPKTGKSYIAAAIHEQH -CLARGVVYRESDNAQLNLMAEFQDEITNSTQTITINETSAVKENYAKSLEMAYTTSLALVDPVPFHPNRS -SLEEKAKVTAQHISVVSTGNTEEPWIHAAKTPGAWTRRYTSVYMRTLPQFADAHGRFDASKADGSHNYHL -FDVYEIVYDESGNKKRVYYKFRGGESRNMCTRDFMELLLELGVVHYANEDRLEAERKKEKKTGCMKCKRL -AHFCNCVKHDVRISMDDMTQVQASVVSGIPEQEKCPACSTLGPHGQRCVFQEGAEKCLKCGAAPSIPESG -AVVNTFFSFVTPVLFESCAAWFNPFVKMRWLWSIDTAVAETLREDLIEEISHIPDAVGTKALSLIPDNWL -TRPDGSKSFLGKQKERFLKFVAAEKQMFLPIRVLLKRAFSIACLIFFLCSLIVFGMDYLGFERHQWEFTQ -RHSYQVTRWGWIPLYPEYSEHVFRNRDAYAERGIYTLAHLQWKEYYVNLYFFQKILGKIFYYWHYQETVT -TYILVRKMAEWWHFPLIMSLSYFTLIFFWMWMRRAIGVRQRYLDLEKRASSDKDLQKSLYDKMRRHPTEY -NTLVPTAIGLMGVIISGLTLWNMIRSNPEGGIVRDGKEEGSAWNSFMLFKRSTPKGDVDNGVTLEDTVSR -VKKAQCLVKANVGGQDTEVVGHFVNGFLLVPHHFFKPNPMKDEVQAITDVYIDCNNYKCKVRIYKESLKR -MPGKDAVLLKVPKGPTLRHDLLDLFPKETGSDHHSATLVHLREDCEVTNARYVDNVDCGGYNCGRGVTYR -SKRTQAGYCGTAIVRKGVIIGFHISGDYNVLGQKLGNAQEIMRSDIDKAIDAMRNDPDFISKPEAGVVPK -ERLGYKLVTGKGPHPKTKIFEELAEYHGIQVLGHNPDLVRYRSRVRKSLISDTLAEVTGRTNKWKSPDMK -QPWVHHNKALKFVAEGAWEVPPSALKWAQDDYLSDILKILPNYVRKFPEFCHALSNTEMVNGVPGSLYMK -VVNMKSAIGPIGKGSGDKISSGLFEEIERGANNEKRYKLAAEAQAYCDEMEGFFERGVKYGVWTRTCLKD -EVVDQDSEKVRIFYILECIFALLVRKYFLPIAEFISRHPLLCECAVGINCAGPEWEETMKYAQELATDEL -MTDFDYSKYDLKRSQDVMIASLNVFLKIAQHMGYSDKDLKIMEGIADELRNPVINWNGTIISCFLWSSGN -SMTVYGNSVENSLHNRISFYVNGVQEFGLDEFLKLGSFRENERLVTYGDDGQSGSKPSVRKICNFSAKKR -YFDSINMKITDAAKSDDPEDAVHRDTIDFLKRRSVYHEALKCRVGALALNSIDKMGHMVSGTGDLEDLAV -NSIITMLLESFLHGPDIYERYRGDLSQVAQRHNLWTEYLDYDYNTLVSRWEEKHL - ->YP_009333382.1 hypothetical protein 1 [Beihai picorna-like virus 26] -MVTLSGVDNPVSAFTTVISLIKKISGGDDAITTTVMRSIFGECNVQAVFDYFSSISKSYSEGIVPSRILD -DTSFDSDDDSLSTDSALTPNSGKFVNTWLAKMFDGAAMCRNSQLLHKVVKLISILVATGLVGQSKKLQIT -YAGLQIFSIAAAKESATGSTVFDLIECAISICHLFVSRLFVCFERRSIMPMFLDNYGGDAYWLDLSEVCA -LSDDHLMGAKVSRWPHHGAYMAEVEKLIIDTERLISMSKDVEKRVLKDQLIKLKSFQQKGFEMAGDGQLR -HTPFSFCISGPSSAGKTTISANLIDYALKRIAFQNGNGVFQTQPKHICMLNEADEYDTNYKSYTLAVWDD -DMANTAFDKSKSIPTDNLIRFINNANAKAVKADLGEKGRIDLEPLVYAATTNVPKKWAEVFSNAPESALR -RFQLHIEAKIKPDWVAKVPNLKDGIEVSKLDHGRMAKLADQGDFHPDAWDFSVYEFLPVTSGTKKNKVTE -QCEPIKVLKQFVSPQTGETIKCEHIGLHDLFELMEVEIADHLKTQDSVVKSNKGLYAETLCPHGRYEALC -KQCLKDNAERLTQPQVEENSSSWADRERHLSEAEERFAYSYDQVRRIRKNPFFLLLTVVPESAATHPVVV -WLHHAILNGDSYREAIIGYICAYILLWYIAFAYNANIRLVACINFLYIALVMLVVKYIYCEAYNTIRNDR -LIMRQFVRNTRELGTSWSRYVILGCSGLLGFMSIIKLWKLFRKEHPFVDNMGMVNDYKEPNVWLQRENKS -LSEYAPCGKQFTQIKKYVAKAQVVVKYLHEDKATFSQGLIVDSAQLLIPRHEAVKLNGTRLQILNTSPKV -VNDVNHITNPLSKEDWTTVGEEGESDVAMVSIASIGPRHSLKEYFSDQHEKVPNLLLTGIYRNHGTQVVG -PAGDIHENWSIVEENIRPHQFGSCSTVGAKTYFKHAMFYKHPIAAFNGKCMATNIYIKSNQAFIHSFHLA -GDNRPNGTQGAQMITKSELEEASARLHSAKSHPSVARVAPVELNQFGTTTIIDHKPEVNRDESGKVIVPE -GGHIKNLVTNLDHQKGSCQAAYFGTIAGSYVAPSSSVVPSPMKDAVLRIFGLDDIYGKPPTCRRDANGKR -ILPEWEPYRKYLEGAGNAKQEFPTVVLEKAIKSYLYAVKKLMKDSAVRTYAATIRPLNKVEIVSGQDGVK -FVDAMKSSTAPGFPLTGNKDQYMVDLPKEEYPEHANPKTLVDMFFDAADANERNHTAGLLANWMFKACTK -DEVVKLIKEKVRVYQAAPLPAQIELRKFFLPIVAFISRHPLEFECAVGINSQGPQWDALIKHISAHGTDR -MVAGDFKAYDQHMSARMILIAMNIMCDIAEEYMDYSPEDIKKMRTMASDVAYPLVVVNGDLIRLFGSNPS -GQNLTVYVNSIVNSLYQRCVFYTIYPDAKDDFQDVVHLTTYGDDNAMSCHKDYPEYNHTRMMEVYADRGI -EFTMADKDSESVPFIDMNQLEYLKRWSRFDPTLQSPRSDKPGMWIAMLDDESIFKSLTHNMKSKTEPQEN -VAIQCLDTALREWFFHGPETFAKRHAQVLEVVKEQGWDSWVPESVFYSYEKRMEEWLEKYGITRIDTASV -PEKL - ->YP_009333606.1 hypothetical protein 2 [Beihai picorna-like virus 41] -MLIRLQRSKNINWIPTFYFYKLYYYIFTYYSYIFTCCCTIGSALYFLYTCIYYACFVEETLALAAAVRAG -AEKVAGITRERYIKRLTWLREFTRLSIAFNHDDKKKPAYIRISNVLENLRLDSADGKLRMQPYCILLSGP -PGVGKTGTAMKIAAMLMKAKHGRFRSTDVVTLNETDEFQSEYRTNHRVVIFDDIGADKIRPSGVNPWRKV -IDFVNNIKKTALNPNLEMKGNVYIEPELVIMTTNLCNGLQVPFWMNCPEAIFRRINLFLELNSFTHACRV -PMVKHPRPLRGDGVLTNGQRYEEFKQTPEYQDLDTLLDPVVPEFLSHCDQQADYVEKMNSLLDSDDEVCS -PLTCFWQDQILPLLPRKIALPLEVEALLPWYHRFARKLCVADSGAICQVSICDDDSLEASVRTSTSEIED -NRAFFDNSANQWHCDCDLQTQGGEIDEEICLETPPNTPRVTTLFPPISELTHHQKVLDILFDIENYLIFE -HLIVDGYYAPCDFGYLVKCHGALVNPKRHHLFSQTSEHYYYYSADEIREAYFRYCKRLSDAYPTAFHDRD -MYDMLISSKCAEEFKAFRPTKKEKKLLKEAREKDDCSKITSPVYYGEVIKFISHSYKGIDFDERQLMSKA -MLEMLRNCQRKLAEMGAQNQLPNHSSSLLAYQMIRRAWHAKQKEFEVEDKINGLTIDARFRCGETLTLVE -AKTRLEPDEQIKRYMRDVALDQPVIGIGISYTRYVIYYAGKVPEKDLVTAAKICSAVFRFFQLYGKHFRV -SFPFTKYKIHDDTYPPPKSWTA - ->YP_009333605.1 hypothetical protein 1 [Beihai picorna-like virus 41] -MLSDSLQSGVVDATPLSTSSDQQSSECYCDEKRYAARKYQRNSLEKRQKRKLMRTLDSQYQEIVEDIQRL -RLRKSDPTKHVRKLEKIKKKQKKLCKIAYAQSGLDIEGFRCALLDGLKAFIETMKEYRDEVGENVIKFFL -DLFSTLYNIYSNPTWKSFLINFSSFFSRHLSLEMAELALTWLKDAFNIALSQDDSETTKVLILRLFDNAM -DFLNDQLWSNICDFFAKVAVIYAATVDMVSIESLDMETLTKKFQEFRSHAPEVRSLIEMAMLAQEYVCGN -WQKIRSGDWSNVLLGKDETKEFEVEVRVLEQAFSFVLSNREVDLMDRYKMTPDQYQQRLSAAIKKASTLI -SRCTSVQQKMCISNFIKSLTEKQSHLFASIADAPRKLEAYAVKFAGPSGTGKSTLLDLCSKIILKAYDRD -PSERGQVVFTNISEKYESTIFPNHKIICADDVANNKNEKPNYDRILNYVNTVPRPLEKADTKEKGIYYPG -NDAFLATTNDETLRAMQCSCAPESILRRFALDVTVNIRPQFQNEYGGLRSTNERRFDVYELTLKRFSHIE -VDSETEKKTIVWKEIPRNEWNPDDDGTQDFKAMCRFLASDVKRHIATQKEKARIQKELDECKFCEECGCP -TVICSCKHQDAIAMFGSLWSTCNTRELWDVRAALSGCRHSLMRVHKDVSLGIKLYQKRAQIQNLLSGGLA -ALIVGCVLSPRVGCGILTAIVASVLYLRWKIIKEVDEEISRRNDRLSSLCESVREQLRSNMKYFAFGASL -LAIYKCYRVVRHMFVTQDKSSYFDEKSDLFKVLLKNPKGSEHRIILQDERDYKEGYSRITPKETKISKTT -TSADLRTAVAKALRFVIVRSKGEVYGTVNGLMVASNVIMIPSHALPESMPFDIETSATPGVPSAKTKDQK -LDERYCVINRDLDIAFVHLASSPASSEFAQFFPEEYPQFYSRATTLVWKSPDNKVTYSEQAARQLSSDLN -YYGALERDGYLYGTRQTLHRYVLKKGTGLRVDLDFEGFGGLCGGMYIDSSKGLIYGFHVAGYRDSCSGYL -TCVTQPIIKDALAQLDKTSPTLLVHSAGEVRVDLYGEPYTLVNEKPLYVREDGTKEKTIVTYFGQVRKNG -VPLEERARAPYIPTPFKGIQQEFGPSKHKPPRHPNDVGKAMKTLNKLTQPVQHYEGDILAKAVEDYQDQT -LDIIRQNREECSEMLRLYTQEEAMDGCEGVGGMPNASSAGFPINKSKKQCLVRDPMDESLVKVPREFDSA -WDIQGEIDRTEKCWRDGVRSEAIYKASSKVNELLENSKANEKVRKFYGSGFANFVASKKKLAGIPRFMRR -FWRQTECLVGINATSKEWREYHDYLVEYGTDRMIAGDFSGFDTRMAAQITAAAAKIMVSWYAEAGCNEDE -LREIRGALSDIVHPNILFSGDLYRFANANPSGNLITVQLNSICNSLMMRYVYYAMMPNIKEKFSSNIRLG -TYGDDNAMSVKAHCKWYNHTSCQREFEKLDIGYTMADKGATSVPYISISQISFLKRFFVRHPELNSIVAP -IEMDSILKKFHWVKKPNESPLSFDEQFGAYTDGAFREMYLHGRPAYEKFTEQIKRIIALNSSLKSQVSLI -PYDEMTEILRPYYLDSYVNDNKKLFAESCGVDVSELANVDLEDEEVVG - ->YP_009333600.1 hypothetical protein 1 [Beihai picorna-like virus 51] -MKSLNGKTLLDGRRLGSGPSPSVLLARNDYYGSRDLGDATRSNCQNNNGILSGYLGDKSTRICTPSPPQK -NEMLNATSFLGELPPPVYPPVGYSSTSNPQDYARSIMDRRMSFTVYKKCVHCVKKCRRCFVVPLVDKNGN -VVNYFVEHYSRDGKILGATVGIGGVRKSSVDKIVQREKQLEQEAATILASLKHNYPEMFGESGSDDSPSE -DDSIVHDLDDDSPVTELTIDPQLQRMHFEGSVITEPSDVGHLNSTLGSQMEQVQEKMKTSFTTPIVKQAS -RSLEQLILLVIGLQYDTSLEAIVLRCVQFLSAITEGGIVLTLKDTLMKYAQQAKIPDLLKGKTIKEAYEV -EQSQPASPEMFSQQTMKIWETMKQGIFTKHLSYILGTVFAFSACKIKNVKFNHPIYEKVVEHASADEIDG -MDLIDHAIKLYNWTATVGMACLESRSLEPLTINTSTLAKCHEKYYYWHKRFLDFKRDGTSTMEERQLMYV -EVETIQKVLERFVKCQKEKFMTLQASSLFKEVLVLYNDVRDFVQKIDRVKVAKGYHLTGVPKCGKSTIVP -MIQEQICLARGVEYREQDNAQINLMAPYQDELNNATQTITINETLPIKEHLAKSVENAYNTALALVDPVP -YHPNRSNLEDKAKVTMTHISVVSTGNTPQPFINVAKTPGAWERRYTIIDMKVRAQFSDPFGRIDSSKTDG -SNDYHLFDVYEIVYLDGERKIIYFTYNGKKSLGLNTFELFELIRQQCLRHFAEQDRLDKEHSQDKKKGCL -VCNRLGFMCVCPDKDSLTMSSVTINAREAKSVLSYVSEDDICSGRTRVAGWTAKCDFGSHGLCKYCNRPE -PVDVNNDPEMGLVTTAMSTMGSILWTSVLPWVNPFIKMRWIWSIDNNVAKVFHEELVEELSYWPEVVACS -AMSLVPYSWERREDGSLTWFGRRKDNFLRMVAAEKQIFLPLSYLLRRAFCWGLLTFFTLMCFGATLEYYG -FNPREYDKIVLKERTHSEWGWYYFFPQFSEFVFERREFYMEIGVFTERYLDWQQYYVDIYFFERLLGKLC -IPWYFTFTKFVPVLETGIYVWWLMPIIAGLFVTVVMFLFMWWRRAVGFQARYEELKLRSMSDPHFQTTIY -ERARRHSSEYNSIVPTAVGVIGAIVTGFVIWNTIRTPEMNFNEDKRTSWNDWFTFNRSVAEPHETRNCSS -DEQQNNVAKVLTHVEATLNGRLRTIHGIYLEPGIILLSRHFFKLNPFKEEMVEYLDLFMETNGVKHKCRA -YSKNLVRIANKDAVLLEVPRAPKIGYSCKNILPRKTGDGFIKAQILFLKKLNGTNDVLKKNYEPGKEPLS -AKYEDNIDCAGFSCGRGLSYTSGSTRVGFCGSVLVADRRDGAILGFHIAGRSNDWTTRKGYAQEILYQDY -EEALIKLRSLPHSRNTPEMRTLCTTRLGMNLVPNKGPHPKTQMFEPGELDDFPCIEVVGHDLNLPRYRSR -VRRSLLSPLLEKHCNQPCRWRAPNFREPWKHHNKNLKRIARGAWEVPPDSLRWARDDYWSQISVPLQDHI -SKHPELCRELSLDEAINGVPNSWFMKKFKMDTSAGIPNGSKLNSGLFIELDPYPDGRTRYKLSDEAQSYL -DHMLSCFDEGVGFGIFVRTCLKDEVVAEDSEKVRIFYILECLFGLACRMYYLPVAEFISRHPLQTECMVG -VNCAGPEWEQLVSHINELATDGKLNDWDFSGYDVCRSPDVMCTSLNIQKSIGEHMSYSCKSLKRMEAIGE -ELRCPMVNWNGTIMFLYMWCSGNTMTVYGNSIENSLHQRISFHWNGVRLLGDAFYELGSYQSNEHIATYG -DDGHAGSRPEVREITKFSSRKAYFDFIGMGFTNARKDAVADEVVDSWMVDFLKRRSVYHETLKCRVGALA -EDSIWKMGHMSHGTGDPEDLALASIQTMLHEAFLHGETFYEWLRSRLKICAEESKIWTKELDVPYRDKCQ -LWIDKYCQ - ->YP_009333598.1 hypothetical protein 1 [Beihai picorna-like virus 33] -MNSNFFEESNLNDQGTWRYVVYPLIFSVYFFLFHSFMMEAQSGYGQSKWESRQKNEKKARARKERAQRAM -EARNKETREKRKVRQANQALDSQSGIEDVIHFALDAPDWIIDLFGTNWLKFREMCEEFDFSFSALKSFDV -GKYWTLFKESEVFTELYHILQMMITLGFLKKIDISYKNISILVSEPLRKKVSFIQLLEKLATFGKLILSK -LYLVYETGNIDMFFKTQARNSYDDEFTFIKSQKTFIDLGREAEVSEETYDRRVHECIDTTLSFLNTCSPG -ERAYYSSRLAILRDIQASRTLSKKANLRIKPYGMLLFGKSGVGKSAICDRLTRFVLEVNGYDSSPRAVVS -LNMLDKFQSELFTFHEGIKCDDICNTTLQYTDGSPTHCVIMFLNNMPMAALNANAEMKGKVMIEPKVVTA -TTNVKDLLSNQTSNEPPSINRRFECTITQKSKPEYRKDGLDMLDSDKIAHMKNDQFPDYATYTVEEPYCP -ENSTGDKFRSGKTQTVKFRPRKFEGKELVDVDIYTLLRFLKYDSQKHFEHQRNFVEAQNAMADINLCDCG -MPVQMCKECTLDSQAGIASISEVAEYLANVESRFVAWINDLMRTLLMSQFGTAIIAFLMRNRLRETIMNS -IGYYLVCVFVILGYDAVVHVRGSWAILAITLLYAAYVAVRFYLMRRAVVKKFTSVPRPSEYIRSMSWGAR -MKIVYFLISIGVWKLLVVLAKKWKALPVSNAGKPITLQPDAKPWQQEVEFWDKRGTERKYQFGDAGVSEK -SRTISMPDFVNLIGHKLMVIQKPDGEYCDAQPICGNVIMLPWHMVPTKTEYVTLSKIGGHTFKNLPLDTR -CAKRIRGTDFALWNCPGAGLHRDIIDYHPKDIEEGKKLSVITLYNDGEKLVQYPEMTATRGRVVTKTAGF -FPGLKYYFPVPTFGGLCMATLVGNANGIPFIAGYHLAGRNHTGGAGFVTRQQLRDTLNELDSQAGIMIAH -SATPLDTTSMGIEFGPMRAPHEKCVTRDLPSDARIRVHGAHQFEPSFNRKSAVTTSLISQSVEEIMFIPK -IHGPPPNLGDRIHRERDIAGKVDTAVMFDTKILQKAYTDYSLQLAQIPESELAKVGKISLDVNLAGLDGV -LGVNPINANTAVGFPGKGSKHQFVSKSDRVVEGISCPRDLEPLIIQELEKMEAMLLSGKSINTIFKASFK -DEPTALSKDKARIFAAACFAFVLLVRKYFLTLAALVQRNKIITECAVGTVVQSPEWTELYNHIGKHGWDR -AIAGDYKKFDGRMSPQFMSASFKLLISIAERSGNYDADDITIMRGIATEITYPTYDYFGTIVQFHGSNPS -GHPLTVIINSMVNSLYLRYTYYAIAEEKRWLRVPHFSKVVSAMTYGDDNIMTVAKGYDDFNHTAIAAQLA -KTGITYTMADKEAESVPFIHLRDASFLKHYAVWDEELGLYRSPVEDGSIAKMLHTHLKSKVLTMEQSSAE -AIQNVALKYFEFGREVYELRRKQLEEVAFAAGIRPIVGDIMTYDERLQWYRQKFDL - ->YP_009333593.1 hypothetical protein 1 [Beihai picorna-like virus 23] -MFRNSVNFDYETGSFKDLTRASYARRVTNEHDAARRPFKTSKVWKHSQQWKESFKTIKTTQLDTIFEQSF -EPHFGFEELTAATFAIDALAKFANVKVPDQVLKEVEGIILLLVSLSQQTTPLGVVSSVLSWVRSRTTRSI -FKTVKDFVQETLVSTQSNVTPDWLECLRDIRQNWQLCKGNRAFKQISKLLGCLVMLGLCDVSKLTFNIGQ -FKIFTPELCEKHMTAFDIADAIFETVVFFTEGAYLCFQTGSLKPLLVNDRTAMELDTEFAQVCSYFELVR -NGNLYKFENITDQEFEKRLNRLSTSLINLSQSLKGLDKKLVLDKVQKVLTMQNDFVAMKVASGVRHSPWA -IELFGESSQGKTTFGDQLIDAVLTSQNMSTDKQYRCAYNAGDKFMSNWTTDKTVMIFDDISNAKSQFVER -PPTQAIIDVINNQMYYAPKAELESKGRCFVEPWIAVATTNKKDLDAGLYSNCPYSVQRRFTCITVKAKKK -FQRFEGGTPCGVDPTKVREHYTDAQGVYTAPLFDDIWTLTIEKAVKPKDLGEVAKYKPIWWTNKQGVRKL -MENVSMKECICWAIEDFETHRKNQAAMLEAMRAREKKMELCGVDGCTHLKGHCPYHAQQKPHFGKETVVA -ARKLWFGAGDALEQLRAKADQEVSRKMYEQGTRFLATWNWIKFIPADMIGHKYAPTVFRWLYQNDLTQNY -QWECYRLLATLFLSLLFTIVLFPSRFGAYLCLWEIFLYAISTRELVYRVEAKLYDNLKTTNMEVAPILRK -YRDRYARTICGASIGIAVVYGLAKAYRAYRSNVQSTQGSLEPKTLKEVEERDSEVNVWTSVVKRDLPIGE -TSKRMSADQLGNVVQRALVYGTIHADQGNGMVNGLMLSTCVILIPDHYFNEFGDELECTFHKKHPNASGG -KFTARLHIQYTHLIPNSDLRVCYIPNGGSFKNLVNLFPTEDMPSVPFRMHWRRKDGEMILAKGLTKPGIV -ETWKTFKGGSYENLTRNTFAGLCGATLVSDTNGSVIIGVHLGGTAGTPIGVYGSITQQQLFVAFEELRKM -EGVILSGEAGKFETTVLGVQVLKSDIPLHEKSALNYLPDDSQIEYFGSCPGRGMTKSHVRVTPISEHIIN -VCGVPNIYRGPKLNPDWYGWQACLANLAVPAHPFSHDLLALAIRDYKEPLLKIFKMDMWRSSRPLTDIEN -LCGIPGKKFMDAIKLNTSVGFPLSGPKRDFVNQLEPTEDRPNNLELQDNIMDEIKRIEECYRRGERGYPI -AKACKKDEILTKEKCRIFYGNALSLTYLIRKYYLPLLRVLQMNPLLSECAVGINSHGPEWEQLHVHVTKF -GMNRLFGGDYGKYDQKLPAQLIFAAFRVLIDFARVCDYTEEDIRIMEAMTGDVVFAYIAFNGDLIGLTEG -AHISGNSLTVIINGICGSLNLRCFFYTQYKPESFEKRLVFRDCVAAMTYGDDNIGSVREDIDKFTIKECS -HFLGKYGQVYTMPDKESELLDFLPAEEFEFLKRFSVWHPNLGVHLGALLDKSIYKSLHCFMREKNSPLTE -EHACAQNIDGALREWFNHGKEKYEEQRLLMIEVAKRAGISHMCTGLQLSYADRVADWVAQYRGN - ->YP_009333586.1 hypothetical protein 1 [Beihai picorna-like virus 20] -MIMCRRLANCAPQTQKSVCTNQGPIASTGVNYCLDRASFRKNSCESPPLFLFWGWLTILFASISNYIWCP -FYFWMMICSTCSTFTYLRVCYKRYRRPILEPQMGFRQVDPFLKETFQIWCLFESMADSKSKRGMIAAITQ -YLQAHVKQSLFLYAYDTLTNTNRITDWTSDTGQKTIEEMLEMATNQSFSNKDEPLILDAHDGGMPWHEAA -DKAFNNWKSFRHSPMAKRFTNVVNVIVSAGLCSTASLTFTLGNVSLFTPIVAKKQLAAGDVFEAFYEAFS -GFLKGGWRVYTTGEVSSFWTEDDDITDFEEDYNKICSYQGYAISGNLREYTKMTENDYELLLKNAIGKGD -ALLKKIPRSQTFEHRHIGDRLDRIRKYETEYTQLRTRGGLRISPFAVVFFGRSGCGKSSLTNLTVNAGLI -YNNLSAAKDRIATWADNDKFASSIRSHINAIIFDDFANTKEEFLDFSPAYRLIQVVNNVRYLAPMADVFL -KGKVSLNPYFCVVSTNVETLNAQKFSNEPESILRRFYHVKVTPKKEYCTGGILDKEKIIAKFGVDSAPDV -WYLSVRYYDAQNKRDVSLARFKTKVWKNKRLHNISVDEYLDWLQEASAAHFRNEDEYLKNQDAIPSPCKI -CGKVYCKKKHMESDSSDDESDGPDTSGDNGSSDPPTPPPTTGNNDSSDPPTPPSTGITRCPRRSREACEF -MKELRENGECLPCNVEPEEFFDENLKYFSEDDVKEIYKERLEKTGLKRPRDMPDHIFKVWLSVDEINFTE -CKNRRCSLRHTPMGATICNYQSTKQLSLDAHMGFGCFTPDCEIEHKTWEEAKKCMPFKCGNLMCNTRHDS -PLDAARCPNAPIDSVPVPVPSRRELWSMRFSNLRTHICSKLTSLKLCVNFANFKMRESHINFLERRANAI -KKYHEELDTARVVYTAHLCDKLGRFCIVPQWVFTNPLMLKFGLHFWQYELKRTLITYVAFWTCFVSSLCF -LIPRLWALFFFVGTFSCYVSICSALKTYEEMVKERLLSCHNVVKTHLDTWKRTYALLGLGAIMLILTTFR -NRYIRNNFYTEYPDNSWWVKGYNKEDIDFVVYDKNGEAQYESQLKAQTGLQPENVEEIKKRDKEKNQWIK -SETHNVPMQEPSKTTTAENLAMAMHTNLVGISSESGKTTLGFYISSNFIVVPTHFVQAHDDEDITVKIYK -RGGNKVGAFFRDKLCRAYRHDIDGTDFTVFHVAGGGAMKDFRKFLPTKMQISETEALLVTRDIDDTSIHA -WPILFQGTSMVTHTYSSFPGSYYTLVQPSKAGMCMSPVISRGRGSAILGFHLGGKDYDGGCGIVTLDMIN -KAIVSISEQHGVVLSTSSGMLRPNMGNMPEKILGTKLLTGKDTHPKSALNHLPEGACVDNYGTTVGMTSF -HSNVTATHISPHVAEVFKVEQQWDKPSMKYPYQATLQHAANPSLPPGSVLADAVKCYKSISTKFKEKVPE -MFKCRPLTRVETVSGRKGEKFIDPMNFNSSPGFPLSGSKRPLLVQLKPEDYPEVGFPQTFEDWVWEEFDK -AVGILKTGKRCYMIWKSCLKDEPTKKTKKKMRVFQSAPLVMQLLIRMYFLPIVRIIQMNPLEFECAVGVN -AEGPEWEELWDHAMKKGKDRVLAGDYSKYDVRMSARVTIAAFDVLIDIAEKCEGYSEEDLLIMKNLVHEV -TYPLMVYNGDMIQLFGTNPSGQNLTVIINSVVNSLLLRSCFYTIYPDGVFKNECSFITYGDDVMGTVSQN -ASEFTHITYAAWLKEHDMVFTMPDKESLATHYMSESDVDFLKRKCRYNEDLGVKVGILSEDSIFKRLHSH -LKSKELSPEMHSAQNISSSLHDWFYYGRDVFEDRREKLKDVANRAQIYHLCPALEISYDERVARWKRIYE -EGIEEEEIKPVVLDAHAGVEDEMDDGESTDSSDDSSFTASTAASTCSNSSLDSYFSFDNDEASLYLNQCR -EVYERWEFLNYKIELCSERNDAVRMAQYLILRYDMIDEYRYYEQGIQFFKRRGLL - ->YP_009333583.1 hypothetical protein 1 [Beihai picorna-like virus 19] -MVTFYTHRRIFKFAEARENATPYEPRGRKRRNETFHTTRPVRTPTQFANMFAPPVISGVLKPGVRFATSG -EGPMNFTTGPQVNLKPAPVISRSCNQDSKLPGHERLHLHCTRMWLEPVVKAMITQELSQNALDSVPAPAT -QLVNSLGELFAGKLPLTPDAELNGQVLRDNDSLKAEGHTFMSAFRYCMENWQKARDSPLRRHTTNLLQVA -ISMGFAPSHWSELTLGSINVYNFAVSNKFKDAGDCMDAVVMAVNYFVEAAVESWEVGNLLPFLRDRSLNS -RLDKLYADIQEQMQWIEDGSFMKRSLNWSTLYSNIATCKQAFMAQENLVSPTSFEKRYFSERVKCLQGWY -MKVIMMKNNGENIPQTPAVIFYGKPGCGKSKIMKDTLKLRAAMCGIEFDPSTVANAVVDDAFDSTMWNHT -LFYVMDDIANRPLDLDPSKGIIKLLQLSNNVPYTATKAELEHKGVVQPNLLLVTGSTNVRHLNIDLITQC -PESIKRRFFLVDVVVKAQYANEMGGVNEELVNSLEDKGELPTVTYAGNSFQDIYWITINKASQGWKPAEY -SSGLYAKKLTIGEYFVAIEMLLAKHDRSQERHMARIDTTKMPMKCPTCSFVDCKCVSVHEDKIFQGGQEV -KPPPQTPSPKPEIPKRPPKRVFTPPQKNKAETPVAPKKAKRPIPEFMEQPPAPSLNTIATPPAVMHAPSD -EVSGQFDDAESNDSSIPSEVLLSEEENQGLAAKLIGLPTSITEFINMTGDSIVKAVTQEVSSQYLGGYTT -FAGLMWHLGLTETTIGTTTRMLVDHLAYNDQLQWWYYVPNEWWDTKLVRELAKKFPDKSAAVRMRRTMIA -ARVLLAAAVASAIAKRPRIAASAFAGYLGCGIQNRLARESMHDQLTKRRDSLSEIAQSAREKRTPRVKAL -LYMLAGTSGAYYVGSKLLAWYMKSKEKETVPAELEPLMPRNPPSTELESTNSHAVQKECVLSEENQAYMD -CDEAEFRRRELIKDEWIEKFQAVKPIRNPNMTMEQFKSKVYKNISMIEEKSDTGEYFFRCCMFWYRSGVF -TIPREDVPKVTVTWRISDTDTPGCASIHTISPECFTTFKGSNMVVGFLNYRSKTDLTPYIDINPSTYENN -VYLSKMMIDGKMHHLEDPVIFGSMSTPEDHEFRVITWKRNSRTFKGQCGGVYISPDRVITGVHYAGMSAD -LTFARSGLLSAEDIQKALEQQFRMEGRLPMPNPQKEPRSILGRQVYTEVGMKEDGDIIDQVEWLKSQTDE -EFQGAVMVGRVPTTAYFKSQVVETPIAKDVQKRFPEATYGPPKFGSSMYPKSALYAFNNSPGMPRSLLSW -ARKDYMVAFRTIPEYLRKDLRPLTMEENLNGIDGLRFVDPVKANTSAGAGMPGGKWKYMDEYTLPDGRRR -RVLKPEVMAEYQKAKDELRAGRSVGFLFKGTPKDEATHTSKDKVRIFTVGEFVAVLIVREYFVMVNRVIQ -FMTGRSECAVGVNCDSDDWESIWSHLEKFSRWFDLDYSKYDLRMDAETISQSYGILCEIALAGKYSADDL -FIMNMLIGDIIWPLVAYAKWVYQLNGSWVSGIPLTVIINSINNSLLMRCAYKATYPTAAIGSFRNNVHLL -TYGDDVIAAVHWMSAGFNFLSVQKFLAAYGVKITPGDKAAAGTRFAAKPSLLVFLQRLSVRLRGLNYRVG -KLKEASILKRLVAVNYNPELGTNVLTAMNIDSALWDYASHGKEVYEDRRQWLKDIAYARGIPQLCTRLDW -SWEMVMRKKFRDFKL - ->YP_009333573.1 hypothetical protein 1 [Beihai picorna-like virus 21] -MSDKDKSTKSFVRNKKANYGVRPKVRNNVFKNLEGTKENWYLRKKDSKKRENWRSNFKPHVGLESFRCSI -DQFSEITLKIKEIATAINVEVSDSLIRKIEGIVALFINLKNCDSYDHLTSAIFLYVRDFYKDKSVTGQVI -DYISGLFKATRLVQQDGTEDPSWLNLLRNVQCNWNLVKGNKVFKQFSKLMCVLVTLGLCDVAHIPFDING -FKLFDEKMIKQHMTAYDLAEALFGTITYFAEGAYLCFKTGSLKPLMMDDFAVLELDDEYSDVITMWTLVQ -NGNLEKFLGMPEQEFLDKLERLQVKLTHLLPSLSGIDKKLVSDKILRLKTIKNEHTNMKMSAGIRRAPFA -VELFGDSSQGKTTFGEQLIESLLTSIGYSTDREYWATINAGDKYMSSWKTTKTVAILDDMANEKSEFVQS -PPTRMIIDMCNNQTYYANKAELEGKGQCWVEPEIVLATTNVKDLDARSYSQCPYSIQRRMDLVMTVKCKE -QFQRMKEGIPCGVDSSKIRAHYTTEDGVYNPPLIDDIWEITIEQAVRPEKLTVAATYLPIKWRGKLMENV -SSVEAIQCAIEYFQEHRKNQQALLDRKSDKQSLVRCSHPGCCHLKGFCPDHLSEQFGFEARQRIRRAKQY -VRDAIGGSQPSLSATLTNLTTAELYERTNSFLDRWDWICFLPLTFLQNDWFIFFLQRVYREDVNKLEHKL -SGLFVIFCSLLMCFIPLCGMLLLAICWFYGQYLSKVAIKKIMMAELCRRTDSLIVIARNRRASYAKALCY -GCASMTAMYAIAKVYKSWRGIVKDQSALEPTNMEEIKVRDQQVNVWSQVTKRGLPASEFSKCTTVERLRK -TVENNLLYASIEADKTEGTLMANVLMVTSNMLLIPHHYFKYGDSLKLTCRKVNADAVGGQFKTRICRDSS -VHIEGTDFMLCYSSTGGSYRNLLKFFPLGDIVSHPFEMVWRRRSGEIVVAHGRCEAGVVSNGACKFKGGV -YNNLTMNTFGGLCGATLISQTKTPMITGLHLGGKEGQPVGCMGTLTNKQLVDAIQCLVNIDGVLRTGDGE -HFTQEVLGMKVTTQDGLHEKSPVNFLPEGSQFSYYGSCSGAVTSRSDVRRTPISHLITEVTGVENVWGAP -KMKPEWFGWQLAMANASEPGEPFPHKLLNIAIQDYKKPLVELVNKLKWRAEPLTDMENVNGIPSCRFIDS -INFNTSIGYPLKGPKSRYIIELDPTPEGNPQRMFTQEIMDDIERVLSHYKRGERAYTIAKACKKDEILPT -AKGKCRIFYGNPISLTFLVRRYYLPIIRFLQMNPLVSECAVGINCHGPEWEEFYAHVMTFGEDRIFGGDY -SKYDQKLPSQLLLASLRILIDLAEIMGYSQQDRDIMSAMAGDIVYSLIAYNGDLVGLQSGTHISGNSLTV -ILNGISGSLNLRAYFYTQYDSSIAFRSAAKMMTYGDDNIGSVSEEYPNFNIKGCAEFLGSYGQKYTMPDK -DSELSEYLKPSDFEFLKRFSVYHSQLGMHVGALVDSSIMKSLHCYLRPKNAPLTPKEACAVNIDGALREW -FNHGEEVYEKRRMQMTEVASRAGITHMCTMLDETYQDRVANWHETYIDNTL - ->YP_009333568.1 hypothetical protein 1 [Beihai picorna-like virus 28] -MIAEERKRLTKRNKELKSGRKSLPKNRKELLKQPHLYSDSFPGLSALAANGCTSMAKTWMQCVEQAQDKG -YEDIISVVEQILLLYFALKDAKDARHFASIVMLYLSSKVERGLCNAILRLVFSSETEEGIGSSSFFQFDA -PRIEEMYSDFASQKDFEKFSESLSNASTKYSDFRKSAIFDKVTDLMSILLAVGLINDNKTLPVTVRGIEL -YRFHAAKGRKNYGDLVESLLDTAKFLVERGHKCFTESSWYPLMYSEDKGLAFEKEYCLLIGNFEFVRLGQ -YIESPFLDHAEFDLRLTNAITVCEGLLNACPKGERAFITKRLENLNKIRATDKLINHGGGLRPAPFTFLI -HGTSSIAKSSIVNNLMTYCLQRMALEEGKEDYVVDPDAICTLNEMDKYHSDYKSFTQAVLLDDLANAKRE -TVDVNPTVNIINFVNNIKRTAIMAEANLKGMIQLNPRLVCATTNVWEKWAREYSNEPLSALRRFQYHIRA -RVKSDFRKSGTVMVDGKKLAEATNAENFCPDAWEFDVYEYIGVDEGKDTCQKPVAELVYFPNVAGRAQEA -YQLNFGELMQLLAHGIHEHRKIQYSVVETSQKTFAQKLCPHGSFPTWCKECGGSNFYSTEHMPKDRQHQV -VPMDDEEELFYKEKARLQKEYEKKDIVSRARQEFADEHPDWLPAEAPARKIFPKRKGRNGRRRVRLSMPS -KRLHSDAGSRWTNYDLPRDHPYHPRQAPFMEYEWIPPYVKFAYKMWLNSTIGIVKLSIREFREWYRDNVH -YVDAAFMACGSIPPGFYRAETWMENQTPKQLKAVLITWRSAFKTAGSLAHAEYQMRKAMMSERIGEAREE -LHRWRKSPWNDYTSYIPKRLFESAKVQWLITWTRSREFVRQYTDFSRIYATCVLGLGYFDPAVLVPGLAS -YIVGSVIILRARKDWLIAKISESRDLMPNLVKRIRDHEISTGKLLFVCFAAVLALYALYLMIRKLRGTLK -ADGNGMSVTAEENNVWLAPTLEPLPDGVDVHHSAEGLTPAIHKNLANVCIGGKVSNGLFIDSNLLLLPHH -VMPTCEVSLQITMSNPEFMCGKNFECMISPTDCCRLHSESDLMAVYVARSGDMKNLTKFFPDELTKREIS -TRMLWKSADGERHQTLTKFNSFGKVKSDHASFTNGAYYRMSNPSFAGLCCATHLYESSTKCYIAGFHLAG -TRKADGLNAAEMITKDMISKVRDQLESRTSIIFTSSSAKMCTDAYGISYVPEPEIPKRSPTRYQESGQLA -HFGSLPQFRVRPKSSVITSPISHLVEKHCGVPQQWGPPANCRKDETKVRDWEPYQKYIAGAANAFQEFPE -HLLSRAVDDYIGQIDRMCDTQFGKGILSNVRVLSEVETVSGVDGLKFVDAMKTGTSMGFPINKSKENFII -TLDPEDFEQECPRTLDAETLSLAEEARERYKRRERHYPVFKACTKDEPTKLSKLKVRVFQAAPAALQYNI -RKYFLTICYFMSSAPLTTECAVGINSQGPAWTELNEHICKFGEDRMVAGDFKAYDQHMSARMVLCAFKVF -EHIARKAGFSAEDLLIMRGIASDVAYPVVNLNGELIQLFGSNPSGQNLTVYVNSVVNSIYQRCVFFALYP -DYKGFFDNAVALITYGDDNKMGVSKDYPKYNHTNMQKVYAHYGIEYTMADKEAESVPYVTNSGSDFIKRD -PVFVEKYSYTDFTGEEHNGMYWACLDEMSIFKSLHCNLASQAETPIAVAAQCLDQAMSEFFFHGPEVFKK -RHDQLKRVVIEAGLSDVISPRFFEDFDTREALWMQKYDISIK - ->YP_009333566.1 hypothetical protein 1 [Beihai picorna-like virus 4] -MKMSSQGTSVACNNSIVNNNREVYVSSTEKFYSSITSVDGVPKHFFWVKDSLALGKSPFVPQSETFNPKQ -ARHIKFAKNKRARRQEKLKNMRKNGNQNNVPKSAPKKSPPLQPKIDYVHQSLVEKLYPASVIDLAKDKLL -SMKAEAHTSKLMEVLEVVGALAITLPALETPAQVAAQIVLSLRALTTGSLCEQILAQEDTIRWCKDLFGY -NIFEQQAAIFGEKIPTGVEWLSKIPALRENWDAVRNAPMFGKISALISVAASVGLCSVTNLKWSVQGVDL -FRVGTVSKHSTAIDLVGAVLDTVVYFIEGGYECFKQKSFSPLFFTNDDSKTLDELYFPLLELHEHAMVFN -LHEKKVTIKGELKTINDIEYSQLLDEALELSERLFKSAKGTWQQGYLEKRIDVLRKNRAAYQAKRIDGSM -RFAPFTVYVWGDSGRGKTTIAQVVMADCLAASGVDPDTKNTAIIKESDKFDSSLKGHTTGIFFDDLGNTK -SDFLDKAPTERIIDINNNMITYANKADLHEKGKIEIRPRVFVITSNAPLAKHANIGSICPYSIVRRADVH -LEVEVKKEFALQDGRLDSARALETFPGDSLVNDIWDLQIYTPLEKKEGGDNSHLRHIDGIKKNKPRTINQ -TLRFLTTKCKKHFENQRRLIKKGEGLVASRKYCPKCNLAHNLCECEAIEELNEFCQEVRDIVGDIDDDDL -ELPSDEEGEQQASLEESFDFIKDQFESMGVRVSNFVGKFPTWLFTNRLVSGAYMLCNARKFLTFEKKARR -GVGFSMLSTLTACTMLEQTNSLVCGGIVLGFHALMYGGLLAKWRNDRMNELLARRDATIDVFRSIRESKT -KAFISMCAIAGVIYKFTGIFRTAVALQQSALVPENVEEIEKRDAEVNPWATAVAAELHVTDKSATMTFDQ -VLSKVEANLCHGVFVENGFQQKCDVLALGGNIFMMPLHVFKNRKDMRALLTRKDPSELNSTFKAIVSTNY -MIPIPGKDLCLVSIASGGVFADIRHLFPDKITASGSGHFLYKNGDGSMKSDPIRIIYTKDSKSGGAGYDY -ELPYNTFTGLCMGVVVANFARKCIGGVHLRGIPDSPRGKALTVTQKEIQDVWDQAHKKWKGAFPSTVNGD -FPTTRYEKQVLVTQDIHEKSPVNYLPVGSNVEYLGQDGRRVTHTKSKVRKTPISDTVAEVTGVENQHGAP -KFHRTRMWQASLAHSANPSAGIEGSLVEAAYKDYVDGLIDVFKRDKFKLWVLSELAPMTDMETLCGKDGK -RFIDAMPKGTSKGYLLSGPKREMIELLDPLDYPDFQCPAKAHPMIVDEMRKMEQILLSGKRCYSIFKACV -KDEPTKLTKDKVRVFQAADWATQMMVRKYFLPLARILSLFPLDSECAVGVNAQGPEWDQLANHMKKHGVD -RILAGDYSKYDLRMPAQLINAAFAALIEIAEKCGRYTEDDLTIMRGIATEIAYSCVAYNGDIIIHKGSNP -SGQNLTVYINCIVNSLQLRCAYFHLWPSHLGKPKPFREVCAIMTYGDDVKGSVKKGYDWFNHISYAEFLK -KRDMVFTMPDKESEPTPYMNDLEADFLKRENKFNADTGMIHGALDEESIFKSLHTVLESKVVSLEDQSAG -NIDGALREWWQHGKEVYELRRKQMKEVAFKCGMTDSCKMLTESYEDRLKHFKIRYLGCELDEIDEVSDED -AFVSTVGDEWDFSE - ->YP_009333560.1 hypothetical protein 1 [Beihai picorna-like virus 24] -MQRLCLLLNYMAQALSKPITSQGGNLGFNEKDSRRNKFKKNQESANKQKVRDQNRNKKGPSMEKIWKKKA -EMKRIIEDAESQSGEADFMSSINDILKEWELPDGITTMFLKILCYYRSIRKSVDWEQFVSTTGLFLLSLC -DNDTNFKQVISQVLFDKPVELGSLSVDDMPNSQSGETFSESLDMLKKFKLLKDNELTRRIVQVIATAFAC -GLVRGKKDLYLTPINLSFVLEQFTRNSDTVFDFFDALLNTFQFIVEKGRICFQQRTFAPLFMSDEQAVDY -EKDLAEVLGFWPAVQAGNYKDTPFIDVPHFANALDHLYIATTALVEQSTDSFSKRYHAKTLEKLNTIQAK -FKSQERSGGLREAPFAFCVYGKSSIGKSSIMAALTDYCLKVIAHLKDPERTSFEIDPRMICSQNTDDKFD -SDYRSYTLAVLFDDVMNGRIEVVPGSPLEALIRYINNIKSTALKADVHEKGVIQKEPWLVGASTNIKNLQ -ADQCSIEPISILRRFNLHIEPHVAPNYQKDDGIFLDGKKLAAADQTVPDAWRFNAYHYEYDDKLYKQTST -QTTQAYTSVPFKFTGGDGKEYVSTDMDMEQLQWLIFSLIKDHLKAQRSVLASNDKINKEQLCQHHLHKSI -CSVCSPDHIKPQSRRTPVPTRDINTSTVTDVSSESGPVVNWTERLFTFYNWQFYYVFFKWLLQSVYDFIC -GINIPGWFSSSTRSRLERAQRRVEYYTAATVFYARNWFQNVEDTIAEIESLRYISWELTDLIPDSWVEDS -RFAWMYMFKYDQSYYPQLVLSAIIFWLSSVWITLKIYGQNMFWRNFFATLGYVYIALLLRKKFLMKELRT -RRGVLRGVIKHSMKMMVGTSIQVMLTFGGIAVSYKLVKAVLKTIGIVGKASHGGAVDIGSEKENVWLDVV -PMDLPKRDPKTDTLSADHVSKIVSKNTTACIYDDSTWSSGFFPRSQILLVPTHEIANKERINLKLRKDNV -KHLSGGNIEMEITPARIYNFPGKDISAVYHARYPDKQDLTHLFPREIPQDRNPTIWVTRKQSGLIENGTA -RRNGVAAQVSTDKTIFYNSTIVTYKEETAGGDCMKLHVADVRSGSHIVGFHLAGKNHAGYLSTLTQGDLE -ECYKHFDAQPTTRLSATMGDMRTQLYGKDFTPQKPKNKKSTINYLTDAEINYYGDLPAFVTRPKSSVVKS -PISDSIASHCGVENKYGKPANCRKDETKIPSQAPYNKYYCGVGKATQEFPLEVLEIAQNDYLDDCLSNKK -MLSDLKKLRPLTEIETISGQDGVNFVDSMKMSTSKGFPLAGDKEEIISHLDPEDFENISDPRVFDDIFMD -DWRKARQLYIAGLRAYPVFKACTKDEPTKLTKDKVRVFQSAPLTLQCMIRQYFLPIAACMSRNPTTTECA -VGINSQGPQWNKLMKYLSRFGKERMVAGDFRAYDQHMSSTMTSIAFSTMIELAKHCEGYTPEDIKIMSNL -VADVVHPMMCVNGDLVELLGSNPSGQNLTVHINSIVNSLYQRCVFYTIYPPGELDTTKFRDYVALMTYGD -DNVMSVSDKAPLYNHTRMMEVYASQGIEYTMADKDAKSVPYITFEEADFLKRATVFHPEYTDPTTGVEGM -YLAKLSEDSIFKSLHCNMLSKVVSKEEIARQSLDGALRELWFHGKEHFEMRHEQFKRVVAEHDWQHVISP -NFYKTFEEREEEWLDKYNLIRSDIAVTAANRGEA - ->YP_009333556.1 hypothetical protein 1 [Beihai picorna-like virus 17] -MADLFYEEQSKNFTDTKLANRQKYEKKGNSKRHNAKELRRIIANIRVRKRNAKIAARIAKNSISPHGLED -MFENHPPTKNPLNAFGEYGWVMPGDEVTSPSLDQQLKEETDSVDKPPPQTTQERNDLVREAIATPPQEKA -DLNGEEHGVLSQLLKSIQDLTGDSTDDDVAEWVSHLENLVVMAYQVSRAKSFTDVFVAVIAYIKMNTKKS -VIRQILNIIDEITRMPKEDITPQAWTSTEVLQKWDLFKTNTIFIKISYLISAAMSLSVCSIKQIEWSPFG -LKLIAIEAAKEQLKAVDVIDAAIRTFTWMAETGYRVFKEKSLTPLLYSDNVTQEFNEECDYVLANAEQAV -AGNLGCINDFEHKLDKVLRRVCELKQACDKGPTALWLQKRYGELVSIKHKVVAKHRNTALREAPIGFGIS -GSSGVGKSTLSKLTMKTSLHAMGYDTDTRRIITKDMYDAFDSNYTSDCLGMYIDDVGNGKSQFAPISPSN -IIIKFFNNMAAQAVKAELNAKGIVFIAFKVGIITSNFADYNIRQYSDKPEASLRRFFHVRPSIKQEYRKE -GSVSLNTDHPDLVNCELTHDVWEIDIYECHVFQTKEGKDDYRMRILTVVLLDGTKLRCRCLNLCQYLDAV -IALSKKHHANQENLMKRSKEFDDAKMCDECYRPESLCKCEIKEHGMDAIADAVVSSAYRAVKKYIDGWLA -PVNFLNTLLGYSPVRKMATHQLQKEMTHVINGTATPFLVSITPEWLFKTSLFQRSVTIWQRSAAAYDLRR -QSRFFTIIGTGMLGVCLAKRSIGSFAVCLGAQWGLGMFYWSNYRARIQHYESEYIARRDALPVFAKSVRD -STVVKGAFAATTLIVGLKLFQMWNTQRIAAKESLTPAGITDVDDQPSWFGFMMKKLSATVETSPVMKHST -PQQLTECFKKNNLFWAEFQRDDGTTTRCNIFFPRKSVAFFPKHVFYPGFDPRRMASSYLTVTVHRGENKA -GDTFKFKCELSSSVVSDKHDLVSVYVPNCPDLKNRVYMLPLSKPKGISQCNIIVRREGEFLQDKVAVSHG -EVGHRNADFYGGTYKTRLAQNGACMGMLILQAKSPAIVGFHIGGNTSTQTGVMQTITQSEAQHLIDSLEE -IPGVILSANAVDIPKEQYGVKLIDKTEVHPHCMASKLDASNYVEVLGSTKLRSQMRSSVQTSIISEIVAE -ECGVTNKWGPPPFIPNWKGFNATLEHIANPSDMFLPSKLERARRDWLEPLIPLMVQHVRSEDFRPLNDEE -MVLGVQGKRFLDPIPMSTSMGFPVFGPKSKHFNEVRDGEMLISRKPDESVRAEMERLYESWDKGERAYPV -MSATLKDEPTPIGKEKVRVFQAAPVAFGLFIRKYFLPIARFLSLHPLESESAVGVNAFSNQWEELMNHAH -KYAESNKVLAWDYSKYDVRMNSQLTRAALCCFIELAEIGGYNKQDLKIMRNMVVDLVHPLVDYNGTMLQL -FNINTSGNNITVNINGTVGSLYVRLGFFDAYPNAKNFRDNVSAMTYGDDFTGSIKPEYNGFNFISYKAFL -AEHDIKITLPDKSDEEVAFMRSEDADFLKRQSNFIPEIGCSIGKLCEDSIFKSLHANIRSKTELPEQVSS -SCIETAMHEWFAHGREVYTMRQQQMRRVCERANLPVPAVNISFQERVDHWLEKYSSKSV - ->YP_009333554.1 hypothetical protein 1 [Beihai picorna-like virus 14] -MNFIDQASKYGKSVQKKLVRRRPALKYAIRDTKQVISITCSALMAFASLFWFVPLLNYYLLGYFAVIGFS -WWTKFGVTEFLMGHSPSSVDEACEWVLRALCMSLYIVIPVLSCWMFALVVASIYGIETERRMQQWLYRLW -LLQERTGISFDPQMGDFETKSDRRCKFRRNKEARVKGRVRRTGKGRKWKPGKDDVFTPQLGSIAIAKALT -NLANIRGIPITPDVLNKVEDLVAFYVAAKDCTTTSGFLATTFLYLKTHYHKSVADSAMTYITEYLDATFD -SQTGEIDVAANDDKPSWLLLLKEVQANWALVIRNEGFKKISHVLSLCLALGLCDAADLDFQVGGMKLFSI -GAYTKQATAVDLVDAAFETIVHFTEGGYMCFERKSLKPLLYGNMECEEFEEKFAKCLRCHEYARCGNLEK -YEGMTENDYEALLVQCIEKVQMLITTSRGVIEKNIFRRKLDTLRQWQATFRQTRVQGGLREAPYSIGVFG -GTAVGKSTIANILMVTTLMRNGYAATDDRIVTLNERDKFMSNYRSYTNGVLIDDIGNTKPDFVEKAPTTL -MLELVNNVRMYANMAEADMKGKVSVEPKVVIGTKNVKDTCATVYSNEPASITRRDRITLTCRVREEYAVH -DMLNEDKIRALHPEGTPLIPDFWLITVEKSFPVKNKTKGKAATVGWEVVEWNGRPLEDISLAEFIRWCGQ -DSEKFYRSQKELVENQTNLAGKLVICPECRFPKPDVCQCDTPVHQVLHKMDTRCVTGYCTRCEAWHHEEF -YDSEEESNNDDSSEVFENQIGERLVAAMLPRVRRWDRWWHRKTSYWTAAIETRATEMLLERLDWLETSRW -VKWTNWMPTEWIEKDWMKNVVWFTHKVTLEERIRRAYLNHIISMLFIIALSINFSWYLLYLLLLPLSGIS -GVVACEKKKLYTEISEDNKAMPKVFKMYRNRHVKWITGACLVIASLYALSQIWKAIQVVPSAQGNLAPTS -MVEIKERDSEVNPWAGVFTTPMPCSDKSKTTTPDQLENRVFDNLCHMHIQVDDNGKERHFECDAFFPKSN -VALVPQHMWVADDVKAKFTRHSPNDIGGNFECFLYKKNSVNIPNTDLSLVWVPNGGDWKDLTDYLPLDRF -ADVPARLIYKKADGTSAKSKLRMECGDVTTYAASFFGAKYDLTFETFEGLCMAPIVTETKGPLIGGFHLG -GKNGETRGCCGLLTSGQFEKAFEALGKKPNVVLAKSAGTIPTKLYDVQFFESTDIHPKSPINFLPHGTNC -KYYGQVKGRVTYKTEVNETVISKHVEDVCGVPQKWGGPKFGTWQPWQASLQFSTKPSCGIEGSLLEKASE -DYTKTLLEMLDDIPGLTVDVCPLTEMEVICGRDGIRFIDKMPPSTSIGYPLSGPKSNFLTLCDPEDYPTH -QCPAILDQRFWDHAYEMEELYLQGLRAYPIFKACLKDEPTKLDKDKVRVFQGAPIALQLLIRKYYLPIAR -ILSMLPLTSECAVGINAQGPEWDQLAKHVAKYGKERILAGDYSKYDLRMPAQVMFAAFRIMMDIGRHCGY -SERDLAIMEGIATDVCYPLMAYNGDLIQHYGSNPSGQNLTVYINSIVNALLFRCAYYHICKDRKDLPEFR -DVCSLITYGDDAKSSVEEAFPEFNHIAVAKFLEDRDMKFTMPDKTSNPTPYMHDDEADLLKRANIYSEDT -GMIMGALDEDSIFKSLHAVLRSKAITPEQQAMQNIDGALREWFAYGRDHYEMRREQMLEVAKRADIAHGC -TVLYESYDDRLQVWKERYE - ->YP_009333549.1 hypothetical protein 1 [Beihai sipunculid worm virus 3] -MNLFDFCGNNIESYNLGICFIVALCAFGVCELMWKSVLPSQSGKAERRELWNSKQKNKKNARIRKQKAHA -AIEKRKEEAIKAKANHQQLNSQAGTQPAESSVLRLLRTLMIFFSFGSMSYLFNRLSFPVVLKWMEETGWD -YIATLWNWTRRTGEFEDKEFALMSFIKESYAELKKIFSEFNIAIPDFKAFIPVFDFDVKTFDLIKRSKMC -TDLCEIISMIVTIGWLSHFEFEMYGLTLFKTTSLRRTVSGIELIEAIYKYVTHMSKCFYDALTGTGISAF -WKDAINSEYEDKFTYLTSTAALLECGRLELDDGTVIDPHEFDRILDEQIDTTLKLLDSCKHGEKSYYTPK -LAALRKLKTQRILSQKRGIRAAPLGILLFGGSKVGKSVMCDVIMKYVLEVNGFDATPETIVSLNEFDKFQ -SEYRTHHNGVIFDDLANGKADQTEGNPLMKVIQFVNNNPCAALNPNLEMKGNVMIEPKVVIATTNVKDIQ -ATTYSKEPVAIARRFPVTITQTVKPEFDDGNGMLDASKLSDTAIVDDFALFTVEKPVPRKGTISKSVDYE -IYHHNGKPMENVGIADLLSFLRDVSKEHFGSQAQLLAKKEKLANCQLCEHECFPGMCSECTLDSQVGALP -DFAECKEWLLELEERLCLFVEARLRRFWTTPMGSFILLALYKRNLRDVLMSDIKTIVGLIFAALWFDGSA -RFIVSTLAVYITYVWYSNRRYYQNVVTRLSTVPRPSYFIMNMSSFQKTIIISMFGGIAAFKILFMLIRKW -REMPVPQAAAPIWLSDEGKAERHPSWGDSGHPERSAENNISVPENGMTMSRSQLVTIVGRKLCFITVEYD -ENTNTFCNALPVDTGFFLIPAHIVKSNRRRAKITRTKGNVVNASITKENTYRIPGTDLALWYVPEAGPQK -NLVDLFPEDSNAFRHVDAEMLYNDHGSLRNFGKMNCVPGRVITSEGGVFKGLHYQFPGQTFEGLCLGVLV -GSAPYTHIAGFHLAGKGSKGAAGVLTRGMVADAISELSKYSIVFKSHSASPLETTAFGTSFGPLKQPHEK -SSVYAAPEEAKMQVYGDHTLPVGNQTSSVTTTLISEAVSEVMGIEKIHGRPYKMTSPSHWEVDLQAKTTT -AHDFEDKYVAEAFEDYSRHVNSAISDADLAKVGKLPLDAVLAGIDGVDGVNAMNFATSSGFGLKGKKNVY -VVKSDRHVDGITCPRDLDPLVLEEIEKMKTKLANGECINTIFKGSLKDEPTKLTKKKVRVFAACNIAFTI -LVREYFLTLTKLMLDHPETFECAVGVVAESPQWTDFMNHIYKYGKDRCVAGDYAAFDGRMSPKFMLLAFK -LLIQLAERSGNYDADDLTIMRGIATEITNPLYDHHGTLVRFFGSNPSGHPMTVNINSLVNSLYMRYCYFA -LADKHYLFKSRIPPFASVVALMTYGDDNIMSVKKGHDYFNHTAIAGVLADAGIKYTMADKEAESVPFIHA -SETSFLKRAAVWDEELKLYRAPIELSSIAKTLHAHVESDVLTPEQHSAEAIRGVAEKFFEYGREVYEERV -QQLEEVARRTGLVGYVGDLGSYDERLMRFRKKYNWQ - ->YP_009333528.1 hypothetical protein 1 [Beihai picorna-like virus 9] -MKSLLVDWKLLVNSPSFSKISRVLTILATLGAIDAKKVCLGNFELFAVEAEKKHVTAFDCIDALVETIVY -FAEGAYQCFLKGSVKPLLFSSSEVVKLEEKYIEKMGEWEFVRSGNLEKFTKKTESQFDKELNELIESLQQ -LYKTMPPGAEKKIVQQKWEKLSLVKNDFVATRVCGGLRKSPYCVKIYGDSGVGKSTLADLTMATVLKAMN -LPATSDYIITLNETDKYMSSMRSYITGIKMDDYGNTKSDFWETSPSQWIITICNNIRSYAVMADIANKGK -VSIEPGSLVITTNVEDLHAELCSFNSMSIIRRAHLHVKVDVLDEFKTNNMLDSDKVMEKFGSLDKINDIW -KITIRKPLAKENDAQSFQAFIDEAEMNIYDFLDLVAARARKHNETQSEIVSSFKDPSSMVDLCQRCGKCM -QNCACLDYSISDSDSENDEELSPQFGERLAYQIKQKLPTPMDCKLKKLEIENSLEDMAIDLLMEKTKALL -KTQYVCWESWIPSEWMDYDMVKKTIVWYGRDWIENELTLYRNRYAFTTVLLMYCMFRVFGLVPALSFGLC -CWLYFSICYAAVKIAKTNAYLDEVTKRRGTLSAAFVSARDNHVKYACGIFAGLSVLYGAVQLYKALKDTF -SIQGKLNPKCVADIQERDAEVSPWANTTWTPPTSEKIFSHISEAGNALKKCMGEIIVGSSFSGAIILETH -IVMVPGHIIPSETGMCTVNLNGSKLRFVLNPDMVYHIPNKDLALVYVPNCAPAKRLYQFVAEEDLKMPIS -CTMFGLNKERNDWFSAKLFWQYASAISNGRCAMSGSYYDLSIPTFDGMCMSPIVTNSNVKIVGFHIGGIT -GTEKGCGVSVTKECLEIAATKLLALNKSFIKGAEITPVPDTICNKNIAISEEVRKHCPSKFITGDCDIEV -FGSVTGQSSYRSSVITTPISDVVAEVCGVPNQWGPPQFTTDVVNSKGDTVKARWKPWYASLEVCSKPCTG -FDPVAVEKAMDDYMVDLKDKFDEQKELWIKDMRPLTNVEIVSGIDGKRFIDSMPTSTSMGYPIGGPKHRF -IEDLPSTENNMCPRTFTKEVWDLYDDLVERADRGETLHQIFGASLKDEPTKVTKDKVRVFQAAPITLQIG -IRKYFLPIARFLSTNPLVSECAVGINSHGPEWDELSRHMAKFGDDRIVAGDYSKYDLRMPAQLTLAAFEI -MITIAQWSGNYTSVDINRMRILAHEVCTPLVAYDGTLMRFIGTNPSGQNMTVYANSVVNSMFHRLAFFHC -YNDKELAEIGQTLALNRPARFRDLVAVSTYGDDAKGSVRRGYDKFNHISMADYLKENDMIFTMPDKESEP -VAFMHRNDADFLKRKDRFDEDLGVYVGMLDEMSIFKSLHSILKSKAVTPEDVCGYNIESGLREWFYHGRE -VYELRRNQMKEIVERTGVYVRDLDKTFDDRIEDWKDKYGSKT - ->YP_009333526.1 hypothetical protein 1 [Beihai sipunculid worm virus 4] -MNLFNFEESNLNDQGIWLALFVVLVSVIVFFQSFVLESQNGYGQSKWDSRKANEKKARERKERALREKEA -REKETKEKRRIRRANQALKSQFGIKEAIEFSLDAPEWIQNTFGSSWLKLREIAENFNFTLPEINIPDVAR -YWVLFKESEVFAELYHILRMMITLGFIKKINISYKGMSLFVSEPLRQQLSVVQLVEQIVIFGKLLMSKIY -SVMESGNIDLFFQSQVKNEYDNEYTFILSQKTRVDLGRKAEIDEETYDRRVHECIETTLSLLNTCKASER -TYYASRLANLRAIQTSRILSKKEGIREKPYGVLLFGGSGVGKSAIANALTRYILQVNGFDYSPQAVTSLN -MEDKYQSEFSTHHQGVIFDDICNTSLDRTEGSPTLPVIMFLNNMTMAALNANADMKGKVMIEPKVVTATT -NVKDLLSNQLSNEPLSINRRFEVTITQRVRPEFCRPNSGMLDSSKITHMAHDQFPDYALYTVEEPRYREN -SAGDKFRSGKTQSIVFVPREFEGKPLVDVDIKTLLRFLKSDSDAHFAHQKAFVEGQRALSDMPLCGCGMP -LGMCDTCPLESQAGLPYYTEVLEYLSALEIQVISWLNNFLNTLIVSRFGSAIIAYLMRNKLKEIVLNSIG -YYALCIAFTLAYDAMIHIRGSWMVLLFTIIYLSYIIARFYMVRRSVVKKFANVPLPSQLLTNMTWKTKMR -LLYLLGSIGIWKVLVKLAKKWKTLPTAQAANPITLKPDAKPWQNETEFWDTHARERAYQFGDAGVSEKSR -TITIPNFVQLIGNKLMVVEKESGEFCNVVPLCSNVLLLPNHMVTATTEYVTLSKIGGHMFKNMPLDNRVA -VRIPNTDLAVWWCPGAGLHRDIIDYHPKDINEGKKLTVFAIYNKDGELTQFPEMTAVRSRVVTTEGGIFQ -GLKYSFPGNTFGGLCMATLVGNVDGMPFIAGYHLAGRDRTGAAGVITRTALREAIAQLDTRPGVLVSHSA -TPMETKSMNIEFGPLSAPHEKCVTQDLPNDAKIRIHGSHNGSSRSSPKSAVVTSLISPMVKEVMGIEKIH -GPPNEMGARRHKELDISGKVDTATQFDSELLQKAFIDYSLKLAELPESELEKVGKISDDVNLAGLDGVLG -LNAMNFTTSVGFPGKGAKTQFAQKSDRQVEGISCPRDVDPLILEEIAKMEARLRKGQSINTAFKGSLKDE -PTKLTKDKVRVFAAANMPFVMLVRKYFLTLAALVQRNKIITECAVGVVVQSPEWTELYEHIGKHGWDRAI -AGDYAKFDGRMSPQFMLAAFKLLIELAEKSGNYDEDDLKIMRGIATEISYPTYDYFGTLVQFMGSNPSGH -PLTVVINSFVNSLYMRYVWYAIAKQKKWWRVPPFSKGVSFMTYGDDNIMTVAKGFDDFNHTAIAEELAKV -SIKYTMADKDAESVPFINLSDASFLKHYAKYDPELGLYRSPVEEGSIAKMLHTHLKSKVLSMEQSSAEAI -QNVALKYFEFGREVYDEKVAQLEEVARRAGITGYVGPIMSYDDRVAWYREKFALESQSGRRRRDVNGCEV -NSEEERLQLKCMSEMPIKPIGKEYTYPGGACGDLLYIVANKLMIVIEVKCCKNTGPKFRKAKDQVQRYAG -AMASIYPKNIVYGFTYTYDGFTEIVRYRELDRSIDWAPLRNRKFPFEL - ->YP_009333481.1 hypothetical protein 1 [Beihai picorna-like virus 39] -MKIDQSALATFILSEEMANFQGPKVRDGVKAIKPQVGDFLNHVARTGENLTQLNDLMDRFRTVMEKVRST -IVFNDDDDVVEALMSRLEGLVIVILDCSTRESLTEMILPMMQYLKSWAPNKSIAMKAIGILNKILAEDAH -GDRTEVHSGLNGQGGWFSSNWQMLTEGHFGKRLAGALNLLIICGILPEKMSSHIGDEMYKILHVQAMRKQ -HPSIFHHLFSTLDWVVDSVIPAFSTNNPALLLYDSDVSEIDEMYRNCLDMVTLNTSGQMERVKEKYGVSD -EAELVVYITKTSAAHLAVKARSRGDAHIQREMQNRLIRLDKLQGDIQAYWHSKGLRVKPFGVLIRGPSSV -GKSVLAGISCHAICRTMGFPEGEEYICSLNGSDKYQSEFRSQHICVIFDDMGNTKPEKADGNPLFILIQF -INNMHCAALSPEAEKKGKNDIRSKVVVVTTNTTDLHSSFFSVNPTSIMRRFDLVVDAKLKDSAKSKSGGL -HPRFAGQTHPDAWDLTLSTVDIVRSTSCVLADSWRLNVQKQTGVVGLVEYLAEVAPAFYKTQESIVESSS -ELHKKEHCAKHPLFCTPCPKCVRDNGFEPISATVLNKQNGLLFDKGAQDDFIKCYLEPDMLENNADPVAD -VEESDLSPLQRIDYICGQAICKLKDLFNTITKKVKQDPWLSAVALFSSVGLAAIAAYNMFTPPQLQAEGA -VYSRINAAARKPENFIEKDNKYQKVYSNFVKQPDASVSTTLQQLETKIDRNLHVIFIQEFDEHQDIAFGP -IQWGNAIPVGGGRWLMVGHQFQDGKTYHVKFRSHPNIGVKRFDMLINEANMTRIEGCDAVVVDAPQGGDT -ADFSKYMLDTLDDFEFHKGAPLIVYHAHHSQVADDCDDFKPPSSYRIASKLKSIENMKVNGVGYYDLALY -EADNHDGMCGSPVFLAGRNPTFIGIHTAGSPSTRTCGVTLLAKDMLTRNREKVFIAEQGELPSELQGIEF -TVNPDVHKYSPVHYIEDPDHNLEVFGQHNLPLSKFKSDVIESPLLEHMKEEMNYEPTHAAPPAKAANNSR -RRHLLKTTERKPPSNPKYLAMAIKDLKKKIKRVVKKKGFREFVHPISYEDATNGVPGVKGFDPVNILSSM -GFPANCPKHRCLVENIVAKQLGLTTLKYVKKEEINGKTVYSYEIHFDPKKVDVRRNAEGMLEVFLDGKRA -NVSFRTSLKDEALSLEKIADGVIRVIAGAQVDLVINTRILTLSLINHMTNFPDEFESAVGVDATGKDWGA -IADFVTVFGEERCGDGDFSSFDTGIRAEFSAGAFEIIKFCLAECGYDDDLLTMMDGIATECMFPIYESDG -LMFKALGSNPSGNPLTVVLNGFCNSLYLRYAYYAMHDVSEFGKIPLFHEVIALITYGDDNIFNVSEKEKL -FNMQSLGRELARIGVKYTDASKQISSVPFKHFRELSFLKRIFNWHPQLGGYVGALEKKSINRSLALTRKP -KKGQRESVAEICANNLNGALRELYYHSRQDYLDFLPIAKSMASKAVDSEGHRVCDYFTPITEAEIIEQYQ -RTKCVYYEAKERLEMEGQSGVLHEDPDIFYQRFEVLELENERFYNRAPSADWVVNRLFAIHNEFENMFHS -PINAPRYSDNHIEMPLVETCHDVDFRYYCAYEHYDDVGPIVERDVRLVEGFAQDIVISAVEMRRRRGCHR -AIAKQIEYMWEYALLPHVVPKRSDERAFKVARSVLTSRIELPFSQDVNTYIRDFYQGPLIRVRFPESMYC -SVNLPIADVGLHMEPWTFQDYHNVWMSLQGQLELDLLQFPEVVGHMEI - ->YP_009333475.1 hypothetical protein 1 [Beihai picorna-like virus 18] -MSDSGFGQALFAPRSVKDRSTLPGACSPGNVKPHQTALEERTLSKQGDEWILVTKTMRSRKPGVSLTPIR -EEESFDDTSLPELSYKEAIAFKKHRQGNNKRRNKKESGIRRYKSYSPHGDTHVNEQAKWIYENLQGDCWI -DWRDKDFDFFQEVYGVEDYTFVTCEDRICAYFGGIYFYINNCVCAKILLESQTNIHYSLTELHNEFLVKK -ITPECPSDEDSVPSIVDTDDEVSTTPSIDNTQVRNNCVRAAASNAPLPDHNDSLFAKIMESYSKTAANAQ -EVSGSEYFSYAEDVIILAYQIYRARSFVDVAMAAAAYAKSYTKKSLLHTMLELLDSVVPQSEEVSKEDTD -VHVKWWSASETVDHWERFKTNTVYKHISYLISVVLAMSCCSQQNIDFNIAGMKVIEIEALKQQADAIDVI -DACLKTFEWMATCGVRCIQERSLTPLLYSDERIREFNEGYEYVILHANAVITGNSDIKREDFRHKVDGLL -EVSDSMAKAKDAPLSTWLSSRIKELVRIKERLVGVDKHCRMKYYPFGLALTGSTRVGKSTMSDLMMVLLL -NASGFSTDPERIRTFSKEKYDTNMASDVLGVKYDDVNNEVAEFNENPVTPILIRDFNCVPAQANMADVED -KGTVFINSKVGIVTSNLPDFDVAKYSNCPQSVLGRFLFGRVRVKEKYRKPGSTALNVQHPDIVNATSILT -DVWDVDLEEVHVYETRAGVEKYKFRTCRETIVRNGKEETIICKDLGLKDLCAVLAKRAKEHAENQKRVLS -ANKDVHKEELCTCCNVFKKLCVCGQTKENIDPNMAMDSITNVVQSAATQAMTSYLKSWWSPISVWNYLLG -YKPVSWLTTKQLRNEMKMILDETATPAIISLTPQWCFDSPTFQRLLRRYHSTAALYDSRWWIRCATIAGL -CVSGRAIYKRDPKTLGVAMTTTWATSMLCWVFYRGRVRKYQEEWCKRKDALKISVREWTPLRKCALGMST -MIVVFGMIRVWNQTREALPHMDPDSETSWLGSMFRSIGVRFTSSPKLESSTNEQVVSALQRNNCFTAKIR -FPTGETGITNVFFPRKSVMFLPEHSFHPYSDMNKPPADYIDVEIDRCTGKPGSVFKCRLEHSFCAHSEQH -DLSAAFVPNCPDLKTRIEWLPIDRPEGTSVCSFMYTMDGKKFVEDVTVNHGSVGHKHRDFWGGSYKCGIA -RSGACMGLIINKGKRPAIMGFHIGGNPKVSHGVMQTVTQKEAKDLIIALSSKPGVILSAETGEIPTTQYG -RELIVNTDPHSKSQFATMGSEACVEVYGSTPARSQVKSRVERSILADSVEKHFSVENKWGPPKLLPNWRA -YNKTLEHVVNPSGQFKPSELERARQDWLKPLRSLAVDFGRKDIFRPLTFRESIMGIPGVRFLDALKMSTG -SGSPLFGPKSKHFTEVHDDNGILVDRVPAPYVVAEVERLENCYRKGVRGYPITLAMLKDEPTDITSDKAR -VFQVGALAFSIVLRKYFLPIARFLGCHPLETECAVGINCMSPQWDELNRHAYRHLNYLGWDYSKYDVRMN -SQITRAVLTTYIELAQLSGNYSEDDVHIMSNLIVDLVHPMIDWNGTLIMAFNMNTSGNNLTVQINSTANS -FYTRMGFFSCYPEKTDFRTYVAITTYGDDLTGSVSDACPNFTYVFYKKFMGDHGIKITPPDKEDRVFEYL -TATELEFLKRVSVYIPEIGLHLGALGEDSILKSLLCNIRSKEATRLEVAISCIEAAMHEWFAHGRIIYEL -RQFQMGYVCQDMNISLPAVRMTFDERVEEWKKKYKSS - ->YP_009333458.1 hypothetical protein 1 [Beihai picorna-like virus 54] -MHDKMSTEDSYVEDPDQMVGVEMTECDPKSYTKSIYEFRSEKRRVHRKKTKAVQLKAHRRYNKKKSKKGL -KPEGGEEPLDSSASQAVSDVTYTAEDSTVSSSQYRLPLEFVFDYAKPMLVSKPWSYTPSAAEKEWFSNLK -DSLNVVDQDDKARLMKMVMMTMNYFASLLLCENWKQVASCTSQFVIPLIPTEMISFIIGKLSIQPEGGDD -QDRFSTFIASLREARLTMVGLASVPFIKQISRVVALISLSGLTPEFVKNRAFLSEGLDQWSAKIASTNMW -DNFLELIVGSIEFVGEFLLAWKSRSFDKLLMPSDVHNRCVRVLAFKDSINRGEVEKVHGKTNAQVREEAT -DCIKEVERLIKTKGTPIQERFALSRYVKDLLELQNQIDFMDKGNKLHEEPLCIIIYGEPSVGKSGIMFTL -IKILSERYGFDAEDENIWYPAKGDEYDTGYNGKITVIIDDDRANETKDFIGKTKYARLLQWVNIVPAVTV -QADLEKKSRIPYDFKLVIGSTNNLRMNVEHFAINQLAHLRRCIEIEARVKPEYKKEIRTSNGKTLTTNAI -DASKWTGPADDPFHEMHEIRMVYWTQKPEQSNAVCHYGKWMNAPDAYHEIVAECDRRKASCRKYLDSITT -IRQSKTCSGCGFPKKWCRHEKDECHDCEPEYGETLVAALNSTNLYNTVLLHMLWRFAPGFFLHGSLCDWI -SIQIMRALCYGIRFIGSNWVVRLLIGNLIFAMAGMLFGCGNYRLFAWMHFMMLVLAWLILAPRIKHMIER -QVADGVMNTVSGMPTLLIAGQVMALGTSAYMLYSALKTLASLTRTMSIRPEGRLQPANQTEVRERNAETN -PWLTIEPPQYVRPTKARNMTADQVINKLRNNLLHVNMMSVRGNSKIFSNMFIIQPGVGLLPHHALQEMDW -KVHKLHMVRGSDTPACKMSCFIHNAVRIGTSDFVLVQLTGLCSFTDALDFLPEQHWPHRGLTTMVTREQE -GHLSYRRVNHVFNNYVSNGLIKWAGSYHHFPPGQPTSRGDCCSFLVSDLNPHLVVGFHMGGAPGRGYGCS -HQLTKQMVLDALDTFPKKIEPDQPIPLPMAESGEIVVDRFGRHENNYTPDFHPRAVVNFGSVVNGHVAEY -YPVGTIKTNRSKYISEVRKTPMSPYLEEQGRPCKWGPPLFRSDRNHADHFQIMIHGEHDYPPAAVDWAVR -DYLTEMHDCLKRCPPDVNRPLTLDQAINGMPGQRFIGRMNMKTSSGFGLKGKKIKHFENLGTELDPHYVP -SEELEREIHLIVEKYKNGQMDHPIARSGLKDEPTKIGKEWVRVFSTLPVASLIVAKMWIMPVINFINSHP -LSAETAVGVNCTNDEWHEAGEWLDEFPLAVEGDYSKYDIRQNGQIMRAFVTVIVDLARHMGYAEDDLKIL -QTVMFDHTATTWMYAGTLFKTDGSLPSGALDTILLNGGGNSLRKRVFYYITWWHKLKCQPEPFRKHVHAL -TMGDDSGDTTDLLWYNAREMQLFFSHYNMPFTDSNKSEIAVPNVHFSELSFCKRRWRMDARTRKFMAPIE -IDSIYKSLHCVRKSDTPIGDIMIGNVDNALRELARHDRDVFEEERAIIHKALEKMHMTHLSRHIYTTYEE -WGRIFFAGSSEGNQFDLFFDAFIEDEGSCTSSL - ->YP_009333439.1 hypothetical protein 1 [Beihai sipunculid worm virus 2] -MFTGPIFSFVLRTFVPLMEKYDIDLFQYMAVPWRKARETQMSFEEFLRESLTSLKKKWDDAKLPSLEPFV -DSLSGILKNLTYIRRCSLAVQAFEIINIVVTLGWLKHVNFEYKGISIFRSESLRRQVSVMDLLEAVGKFA -KTFMSCLLNFLETWSFEAFYADAIKYKYEDNYTMLMSKKTLIEVGKLDMDPHEYDRILSEMITDTVALLD -KCKDGERFYYSSRLKDMRNLQVQRTVSQRDYIRQAPYGILLYGGSSVGKSSIANALIRYVLKINGFDSSP -KSIITLNEFDKYQSEYRTHHGGVIFDDLCNGKTDKTVENPLMKVIQFINNAPMAALNPQVELKGNIMIEP -NVVLATTNVKHLNAHGWSNEPLSIARRFNVTITQELRPEYVGSDGLMDKEKVAADFTGVGFPDYALFTVE -EAQAKPTVNGVGVNYVPFEFQGKTMEKVDIKTLLAFLKEHSEKHYAAQRALVATQRGLENIELNEEGFPV -EFDSQFLDGLSDVSEHIIALEEKMMSWIEERIRAFLRTKYGHYLLAAYHRTFLMELLFTTGKWLAIFGAF -SLWNELVAGFRGLTMFISGVIVALCYILVRVYLQRRRVILRLSTLPRPSKYVMALPMQRKLQLLSAIGGT -ATLALIVKLVKQWKEIPTSHAAAPLKHSAFPKSTEEELPPSWGTAGRAAKEASYKTDAQMEHRAKSSNLD -KLKSVVTRRQYLLLIGKEGEAEFCNAVPLKDSILLIPNHVVPSGATPARLVKDGANPKHVYLTPESCYRI -PNTDFALWYLPEMGTQRDLLMYFPDHISRGMKFDGTLVYNDSGKVKEFPMMQFTRGYNTTSKGGSFESVV -YSFPGTTFNGLCMATVVARDRSRAPFIAGFHLGGKGSLGCAGFITRQQVIDGMAQLNKRPSILSSHSSAP -MRTEVCGVKYSLSAPHEKCVTNELPSTAKCTIFGGHSQPRGSPSSSVVTSMISGAVTQILGLPRLHDKPH -EMGSRMHKEVDIAGKTDTAYKFEGASVDKAVVDYKTTVFQGLTDEMLATVGVLDDDANLAGLDGVQGINA -MQFDTSAGFPFRGTKEQFVTKSDRFVEGISCPRDIDPIILEEVSYLEQELKARRRVNLVFKGALKDEPTK -MTKKKVRVFAGCNIAATFLIRKYFLTLSALMQNNKELFECAVTINPKSPEWTKLMNHIYRFGIDRVVAGD -YKSFDGRMSPRFMLAGFKILIAIAEKSGNYDEDDLTIMRGIATEITNPTYDYFGTLVQFFGSNPSGHPLT -VVINSIVNSLYMRYCYYEIAKQEKWWRVPAFNQVVSLMTYGDDNIMSVKPGYDAYNHTRIASVLAEAGIT -YTMADKEAESVPFIHGSEAGFLKRDAIWDPELKIYRAALDETSISKQLHAHLESKALTEEQHSAEGIIGA -MDEYFEYGREVYDRKREQLTEVARQAGLSGYVGELKTYDEQVDRLLKKYPVLDSQSGKEGKKSMLDYCEH -FNECTVSENEEFLQRVCMQDLAQFDCVAKEYPMGPVHTGDLIFMDPTAYFSLVVEVKCCFGNANTSRVYR -NKARKQAAKLAKAHAALFPKNYVVGAIYTFDGLEVVTVSVGDEHEFTELNAARKLP - ->YP_009333434.1 hypothetical protein 1 [Beihai picorna-like virus 7] -MFNLILLLIMFYFIMTKIKALYNFYSFISLFVPQTENTKSMDRATFFKRIHAKKIALQKEAKVYPIRTKF -TPQSMVNNVVLMKFYDHLRKNFSDKIMDKLEGLITLYFALADCSTQRQFISIMTLYAKTHCDKSLMSSIT -DLSNSLFTVSPQSSDRPEWLELLSTSLTNWKLVTNNPGFSKVSKVISMMVTLGIFGEQKISLGGLELFST -HALKQQVNAVDFFDAVADTITFFAEGAYSCFLTGSLKPLLFSSSAVMEIESRYIEMLSLWEYARNGNLKR -FMNMDEAEFDCKLKQLVTDLEQLYKGSPVGAERKILSDRWRQMASILTEFESSRVRGGLRKSPFTFKVFG -ESSVGKSSFTDVLMYSLLKACGFPAGDDYVITLNPDDKHMSNMRSYVSGIKIDDYGNTKLDYVDLAPSDW -IIQICNNIKRYAVMADLANKGKVSIEPAVVAITTNVEDLLAHQVSNEPVSIGRRAHVHFELKVRPEFCKY -DDLGNLTHMLDPLKVFKKYGDSTEIQDIWLVTVREIIIIPNTGSGNKRVAPSFRFENKQGLTDVSIFDAL -NYVVKEARDHFKVQEALVKQNTDLAAKIPWCDECSAPSQLCNCQEELEPQFGLRLASHVKSIGSRWGHEL -RRTQLSMETRVEDFAVDKLVRAVQWFENSPYAKWTNYVPTSLLSNEFILGLVMSTGVDVIKADVKSITVM -WWMITAFLFLISFSFSMYLAWFVFVSSGLMFLIYYSTIIEYAKSAYYKRLMNERDVMPIIFKDIREKHMQ -YVCGAIAGFSLIYGAVKFYKALKSTLNVQGNLNPSSISDLKNRDSEQNVWKVPERVDPGVDKRVGSDDRF -FNRVSKNQWYLELHREGRNIVCNALCVKTGYFLIPKHMLPSEITKITLSQKGYRLPAILDPDAVFKGFEG -DLVLMYVPNAPDAKDLSGYFAADYERSIVRAEMVYTRADGSHLTDTVYWQFRADVYNGAEHFRGSYYKLT -NDSFAGLCMATFFSKTVHSCILGVHLGGIEGKRDGCAMALCRPEILHAISELQKSNTAFIDTPQTTEITG -VTLGEKFETDNQELHKKSPLNFIPEDSPVLVYGNVTGRASYQSSVTPTPISDIVEDVTGVPNTWGPPKFK -SPNPENPNDMRLWRPWYESLQYSSAPSVGFGASLVQRAADDYISDLKDKYDSQSEFWKSDIKPLTDVQTI -SGIDGKRFIDSMPSGTSMGFPVGGPKRNHLVELNPDDYDDISCPRIFEKFIMDEYHSSLEAWESGNMVNP -IFGASLKDEPTPLSKDKVRVFQAAPVILQMALRKYFLPVARFLSMNPLVSECAVGINSAGPEWEQLADHM -RKFGTDRIIAGDYSKYDLRMPAQLTQAAFGIMMEIASWTGNYSAKDFKIMNSIAYEVTNPLVNFNGDLIR -FSGTNPSGQNLTVYVNSIVNSLLHRLGFFHAYPTQESFGSAGEKLRAELGRDIRFRDIVSLATYGDDAKG -SVMSGFDEFNHISFANFLADNDMKFTMPDKESDPVAFMDDDRADFLKRKNRFDDDLGHTVGMLDEKSIFK -SLHSILKSKVQRDIDVATQNVDGALREWFFHGRDVFEHRRRQMQEIAHRGGLMCMTLDQDFDDRVAEWKE -KYE - ->YP_009333430.1 hypothetical protein 1 [Beihai picorna-like virus 30] -MKSFIASPVSTIPESLEISTFDLERPDTGCSSEFSDSTDDYNYFHYASDKDVITSEEVVCVAFGRRVSTY -VARDFWHIYGFCFKDISTYEPQRPTIQKRAVRRHVRRPAPHRPPLKVPARDFDAEINAHLADPEFFVPHQ -KPNEKGSRNKKLAKRVRYLCAVARQNVYQTALAAELMLRDSEASREEQVDLVNQGLTDFFMNQRKTISGA -VQAAERLRKFQEAISYKASSPADMTGNLLARFEDLVAFFTNVANCKNMLGFVSALHLYVRTFYTGSVATA -VMDHIAKLFGDGYDSFKRLSDDLRKFSLEEITHGRHETELTNQGFTDEWNVKEIKDCLKNWRGFHKTTFA -QKLGNIVSTLVTFGFCPNWEEDPIKLGTFEIFKAKCWDMQDRSNDFIDMIIDTLIFFVERGIAAFEAKDL -TLLLYDDAESARIELEYATLTSALPALTGGRLSDLKDKQGFKDINDFEVRLDKLISLCGSKIKSEKNPHA -KTVFTNRYVVLKKMETELVMLQKRSPVKDAPFAVMVHSGSNMAKTTVTNVIAKTILEGNEFQSTKEFIVF -MNDCDAYETEIQAWHTCVVLDDFGNTAPEHYKDSPLARIISLKNNIPKAALKADVDSKGNVIPRPKLLMV -PTNVRHMHAHIFSSEPASILRRMDYVVDLFLRPGYVDESTGGVDTSKIEGQFCPDAWRIRISHYKIIRHD -NKLDTVVEIVDREDITLQEARMFLLEKSKEFYRRQKVYVKHTEEMFDCEFCEHLYPPTVCPTCNAPPPLH -VPADDDEIKLGSLSDHALDKKSKHHCLPPRPSPPSPPPVVVEEEELLPLPQSAPAPERVDLIAARYARAK -KDLQPRNKSWQEKSSQFEDAHEDHSLGNQGFTEFIEKLHGMHQEESNPVEQNFEQLFNPLASFTEGQLEN -SRRVKPKPFYEDLVDRYVQNKIDDAISGCVHFCETIPEQIKACSHFGTLQEAFDKHKTEVLSAIAGVALG -VGIFVAIKGLRDMAKQSELVLHGQTHSAKTEEEAPTPLPGEKENPWKLVSPVAIPKSEESRTATLEQVIG -TLKKHVAHVWIEKPEHNCRSRCDIVPLKSNLWLIPHHMLVPGKMIFHVEKDHPDYVGQRFEQAVCDEDWV -EISNDFAVIRLVKGGAQPELLKFFATGPWDLTDKLFAKSVYKKESGEIDVETFKVTKKRRYADPGLHRPF -DGFEYQYPRDTEEGMCMMPLVSCQRKPAIIGFHMAGEKKGPRGVASIPSVDEILKAADELEGRRGLTCHS -ATYMTTEKYGIDFTPQPTVPSHHSVNFLAEDQSGQRPSAEVYGQHPKGSVKFKSGVRKSPISDSVAKQLE -MPRVHGPPSHYKIWRHWHRDLDNMTHPKGNFDPEIMNLAHDDLKDYWRNYCSMHPDEVALVKPYPWEVMI -NGADGVNSVDRIDASTSMGWPLNKAKKHFMILSDEEFPGVTVNMDFEDPEVKRWVDFLEGELAAGRRINA -IFRANLKDEPTKFTKDKIRVFAGCEVAFTLLARKYYLPIVRFIQNSKNELECAVGINATSPQWTEFAERL -KKFDSTRFGAGDYKAFDKTMTIEMIMRAFDILTTVAEEAGYDERSLRIMRGIASEISQPLYEYDGIFILI -FGSNPSGHPLTVIINNIVNSLYMRYAYYKFHEYDCPLPFASRIVLGCYGDDNVYGFHPDEDMGMDDIGRI -LGECGITYTTADKKVITQKGCTWEELSFLKRGFLWDEELGHYLAPLEEASISKSLHNYMKRKGSEELPET -IAAGAIHAANREFFYFGKAEFNKRRKQLQAVCEEVPSVGKYVGDLPDYEDLKATFLSSGKRTPVQSEPVI -MEFGFGPVRLQ - ->YP_009333425.1 hypothetical protein 1 [Beihai picorna-like virus 27] -MLSTFICGYKVEANKEGSPYNSGLATEEVIFMTNVSYRLSKTFGLLSESEDSKQVNTRIYEKRAAYQSFK -AREYRRKDLRRKNKAKAVERKFENFKSESLSDVVKPVVSTLTQRFVFAQEQLMEAGADAIVSKLEDIILF -VYTIKEASSFKQVAAIVAREIKALCNDDKALIRTVSEYLFQGTTVDSIINFFKRDVNPSDFLDGIRNRKK -VDDLLDDLTDDSLDEFFSESEAAFAETDEPNPVGFSKFIASFTKTTEGYGRVMASPLVGKVTTLISTMLA -LGLINDKKSCSVTVGGLEVFNFYAAKGRKTSMDLVETILDTITFLLERGHACFTSKSFSPLFTSVEKLAE -YDITVADLIAGYTYVRADNFAGSPFMDAAHFELELKCTMDYTIRLIKSLPRGERPPYSKKLIELQKIKAD -FDTRKVQGGLRKAPFSFLIHGGSGVGKSTAVNTLMTYALQEIALTKGNKNFIVKESSICTLNEMDKYHSD -YGSHILAVLLDDFANGKAEYAAKNPTVDVINFINNIHRTAIMAEAELKGKIPLVPCVVAATTNVKSKWAF -TYSEEPLSILRRFQLHINMRVKKEYQKEGCSQLDPAKLAEAAEKGILCPDAWAFDVYEWVENEDGNFLQA -TGSKVPVERSIFFKENGKTKEAKNINFGQLFELFSQVIPVHEKSQNSCVKSSKEILSRPRCPHGKNQDWC -STCKQAKSDLCLPAASENEESQDSETLKSESLFELASGTLRNYRDNMNCLQTYHKICNEYSILSRATPFD -VYAHLPERLREHPLLKALMMFNLDVEMSKIMQFWVILFIVAIYLPLTGHAPANTMNWCFAVFLPCMYLHI -DARKAMLAEMVSDSSAVHVSTVESIKREQIKLGKQLFAYAGLTISGIYVARQAYSAWRKALASESGEYKE -GVSKWSVTPERENVWKTPEFAPLPDGVIPSATYEDLFKVFSRQQCVVRFHHRKGTAQSNGFWLCSNILVI -PAHERPTEEIKIDIITRKLTNLNGVNLVGYSITPGSCTTLHERSDLALVYIGSSGDKKDLRPFFPTTLTN -RGEVSKMLTRNLKTGEIDERACTFTRFGSIKTDRAFFEHGAHYIWPVASKPGLCGSPLIRDARKGAYVTG -IHLAGSQKAGAYNACEQVTRGMLDHAILKMELDEAKPLAHAEGKMKTEEYGIDYTPEDFLDKKSPLNFIS -QDKNIQIAAFGTVPRFRVRPKTSVVESPLSPHIEKHCQVPQQWGPPANCRQIVREDGVKVREAPEWQPYQ -MFLDQAGDAHQEFSCETLERGFRDYWGKYELAAQEREIESAMKKIRPLTDIETVSGIDGVKFIDQMKPNT -SMGFPINKPKRDFIIKLDPAKHPGADPKTFIDEIIESAWADCLEYLAGRRAYPIFKACTKDEVLKLLKLK -VRVFQAAPARLQFNMRKYFLGIAKFMSDHPFLSECAVGINSQGPQWHELDTYLTKFGRRGRTVAGDFKAY -DQHMSARMVMLAFKIMIKIAEKSGNYSSDDIKIMKGLMTDVAFPVMMVNGDLVQLFGSNPSGQNLTVYIN -SIVNALYHRCAFFEIYPDYEGLFHEVVALMTYGDDVEFNVDEEFDEYNHTNVQKVFANRGIEYTMAEKDA -KSVPYLDHVDADFLKRQSVWRPEYSWKGSKGMWLAKLSEDSIFKSLHCNLESAEQSRSQIAVQCLDGAMR -EFWFYGKEHFEMRHEQLKKVAIDAGLEYAMPKSFFDGFEVRETNWLNQYGIEKIQE - ->YP_009333423.1 hypothetical protein [Beihai picorna-like virus 32] -MTFNLFEESKLNGSGISLVVVFALLVCSYLYYYSIVLPSQGGEYERERWNTKQKNKKSARERAGKAAAAQ -QKRKEEDEYYRQKVRQQKPLTSQASAQDFINLSVDASDWVLDNFGHLWLKLRELMENFQIELPNIDMSVL -NFDFAKYYSLVKESDVGKLFCEILDMLVALGWMKKIEITIRGVPLFCSNKIFNRVTFPQLMEKVIEFGQL -CYTRLLSAIATGSPDAFFETEMKNAYDIEFTFLKSQKVLIDLGRGNEVDDVTFDRRLEECIVKTHSLLNS -CAKNERAYYSSRLAILKDIQSARTLDQKDNIREKPYGVLLFGGSGVGKSAIANSLIRYILKVNGRDHSPK -AIITLNQEDKFQSEFRTHHKGVIMDDVCNASLDRTEGSPTTPIIMFLNQVPMSALNPNAEMKGQVMIEPD -VVCVTTNVKDLLSNQLSNEPLSINRRLDVTITQKVRPEYRKDGTDMLDNSKIEHMASEQFPNYALFTVEE -PRYAENTSGDKFKSGKTRSIIFTPMVYKGKPLIDIDIYELLEFLKSHSQDHFRRQKAFVAGQRALVDMPL -CDHNRPIGHCAECELDSQAGIPNYGEVIEYLTSLEERACEWFTSVKRTLIMSKYGHFIMAYMMRDVLKGI -VMNSLSNYVIAVVMLVFFEAMHATPCAITLIGVTFLYSLYIAIRFYFVRKTVVENFTHTTRPSVYFREMS -WATRKKWLAVLASIGVWKVLVQLARKWKTLPTRQAAAPITLTPDAKEYQMAEEFWDRHARERTYQIGDAG -VTKVARTTTPEQINNIVGKRLKIVLKANGVQCNGLPVMGNVVLIPNHFVPKETEFVTIKNIGGTSYQNLP -LASSLCQRIPGTDLAVWYCPGIGNQKDLTMYYPLDILEGKKLTAHTLYNDNGDLKIYPEMTAVRDYVVTS -EGGMFPGLKYTLSEDTFGGLCMATLIGRAQGIPFIAGHHLAGKGTTGAAGIINRNQLLSAIEALDKKPGV -LVSHSAMPMETTLMGVEFGPLTRPHEKCETNNLTSVSKIRIHGAHNQPGASHSKSAVVTSLISGAVTSVM -GIEKQHGPPKDMGAARHKALDLSGKVDTATKFDADLAQKAYVDFATRLDSIPKEELARVGKISDDVNLAG -LDGTVGVNAMNFSTSMGFPLKGPKTQYVEKSDRVVEGISCPRDVDPMILEEVARMEQILLEGKSINAVFK -GSLKDEPTKIGKDKVRVFAACNMPTVMLVRKYFLTLAALVQRNKIITECAVGTVVQSPEWTELFEHIGKH -GWDRAIAGDYAKFDGRMSPQFMMMAFKLLIGIAEKSGNYDEDDIKIMRGIATEITYPTYDYFGTLVQFFG -SNPSGHPLTVIINSLVNSLYMRYAYYSIAKKQGWWRVPAFGEACSFMSYGDDNIMTVKKGFDAFNHTAIA -AELAAVDIKYTMAEKDAESVPFINLKDASFLKHYARFDPELGLYRSPVEEGSIAKMLHTHLKSSVLSMEQ -SSAEAIQNVALKYFEFGRDVYEEKVAQLRKVAAEAGITGYVGPIMSYDERVQWYREKFALESQSGYRHEK -GECVVNTEEEQLQLKCIAEMPVKVTAKEYFFPGNASGDLFFQCTSVMIVVEVKCCKNSGPKYRTVKKQAQ -RYADAFHALYPNNCVIGLAYTYDGFSEIIKYGEIPEGHPYQNIKFPFEL - ->YP_009333414.1 hypothetical protein 1 [Beihai picorna-like virus 6] -MMLTLLFTFVVAIALSIALFYKNKIHSFVNLFKLLSYVQPQSENMKQFNREKFLRNVHFKKLALKREAGV -PHYQKYEPQNLSSSIFMTSLSGHLCKNVSDVMMDKLEGLVSLYFALAEVQSAKQFLAIVTLYAKTHCDKS -VIKSVTELSSSLFSDVAPQSSDRPEWLSLMTEGLTNWKLITNNPGFEKVSKVISMMVTLGIFQEKSVNIG -GLQIFAASAMKTQVTAVDLIDAVVNTVVFFADGAYQCFLDGSFKPLLFSTSKVMEVEKRYIDMLALWEYA -RNGNLKRFCDMDESQFDHDLKQLVVDLEAMYKGSPIGAERKILSDRWRQMSNILTEFESSRVRGGLRKAP -WTFKVFGESSVGKSSFTDVVLYSVLKANGYSAGDDYVITLNPDDKHMSNMRSYVTGIKIDDYGNTKLDYV -DLSPSDWIIQICNNIKRYAVMADLANKGKVSIEPAVCAITTNVEDMLAHQLSNEPVSIARRAHVHVDLKV -RPEFCKYDDNGNLTHMLDPLKVFAKYGDSDEIQDIWLVTVRSLEVQQSNTSGVRRVAPSFQFADKEGLTN -VSIFDFLKYAIEESKAHFHVQENLVKHSTDLAARLPWCERCNSPSQLCDCEDECEPQFGHRLAHHLKSIG -SGFSNKLNRSLFDISTRAEDIAVEKLLSGVRWFESSPWAVWTNYLPDAVIDNDYVKGYLLWCGTDIIKAD -IKRYTLNFFIIAFTAACVVSVVSFFLACLLVFVSLVWYLWTYATIVEQAKSAYYNRLRDERDVMPSLFKS -IREKHLNYVCGAVAGFGLIWAVVKTIQAFRASVNVQGLLNPKSVADIRARDAQANPWTVVEPVNPGIGKT -VGQDAQMVNRISKNQWFMSLDLGDRSRFCDALAITTGYFLIPKHMLPDKPVSATLKRAGFYLSFILDPKC -VYKLSTGDLAMMYVPNAPDSKDLREYFAEDYEMRQVPAGFVFTREDGSVMQDQVIWHHQTKVFNGAATFR -GSYYHLSENTFAGLCMATCYSKSVHKHILGVHLGGVAETPKGCAMALCRTEVSQAVEETRRMSIFHIDTP -QSQDLADSMLGKKYALDGDLHRKSPLNYIPEDSAVVSYGNVTGRSTFKSTVIPTPISDVVEEVTGVPNSW -GAPKFEMPVVREDGHVDNQTWRPWYESLKYSSNPSVGFAGSDVNRAADDYLSDLREEFLRLESKWKEDIR -PLETVEIVSGIDGKRFIDSMKGSTSIGFPIGGPKSNHMYDLDPLDFEAISCPRAFNEEWMDEWHRAMDLW -AEGKCVNAIFGSALKDEPTKLSKDKVRVFQGAPFVLQMAIRKYLLPVARFLSFHPLVSECAVGINSAGTE -WEELANHMRKHGVERIIAGDYSKYDLRMPAQLTQAAFGIMIEIARWSGNYSARDLKIMNSVAYEVTCPLV -AFNGDLMRFLGTNPSGQNMTVYVNSVVNSLLHRLGFFNEYPTQESFGPAGAKLRKQLGRDIRFRDIVSLA -TYGDDAKGSVLEGFDKFNHISFANFLDANDMKFTMPDKESEPVAFMSDTQADFLKRKNRFDDDLGHTVGM -LEEASIFKSLHSILKSKAVTPMEVASQNIDGALREWFFHGREVFEKRREQMVEVQRKAGTFATTLDQSFD -DRVAEWKEKYEPQSGEVPVLKTTSFSEVLEPNSDCDYVASSCVGYNNSHQSGRRYEFLYLGRGVFISTRV -SFLPVHKLTFCGRTYDFESFITDEPSIDGIANLYYVMDLCRVGSPVRLFGGTWKGSIKCELEGKMRNLRS -CRFKGRDDGVGSSDPCVEAWNRGISKFILPALTGDTHAYLNRVIEVQIKGKSYHALLSYHFSQDSGRSGF -LGSVRMVSMKTFRVSRWHYA - ->YP_009333405.1 hypothetical protein 1 [Beihai sipunculid worm virus 1] -MISSSRNRKVPRRLGSGGTQNSSLHRRIRLSRPDFILPQDTVVDWSCTSLGPVCVEVNGLQVEATRYYIM -QHWRNHCHTFFHDVDLHRNTVGGKFLSCVHMRTDPEFDHCDIQTHSNCWIREFCANVYDGVVEQSVVSPT -TGTAGTIKDSLARLFGMGITSNEPSVQKEIEVSTAALQSEGHTPLSIFRYCVKNWQEGLKAPAGRHLANL -LGIAIVCGFAPVEWSEVHLNSVRLYRLTNLERWCGAFDIVDAIVNALNYFVEACYASWHAGDLSPFLSER -TTSRELDETYEDLQSVIHSMDTGQYFSSGGNWSAAYEKVTKALKLYQAAILIAPAGTLQRKILADRIAQI -RSWVFKVSMEQKSNKTRPQPFGFIVYGQPGSGKTRLTNGAMHVCAAVNGVEFREETVGDITPGDAYDSRI -TNATMWIRMADIANRPLKYDPSLGVAKFIQMCDNLPYTAVKAAVDDKGTVVPDILGVFGSTNSPDMNISQ -LSVNGDSIRRRFIRVDVVVRPEYRNSLGGRDDMLFAAAPKYVYVNGKRQDDFQLLTINSAVHKGFAPMEF -TDPDHRHPVILKDLSLDKYYEYLELVIRNHVETQKKYVEDSETFQLVPCVDCGFVTCKCGSYSFPQFERK -RPFESIAEESSDESEDTDTTALAEVENQGIVEGVVSTCIPLIKRSIFRRLGDVPFLARLTHFGLDTPVVR -NKVFNVLFEHIDNADCTQWWYWLPDEVWQEWEIVRKLAPVLQDVKIRRGILAHRWFSRACWLLTLGLRYY -GKKNHAFAALFAGFASSFRLYLLRRSAYEVLTHKRNLCQAVAEERRARYGPIIKKAMEIITYLVAGGSAV -YGFTYLSDIIRDGSLRCGTTNKVDADTPTAVLNVENSANVEKPPPPPEPEKKEDSLAAALPVHEPDAKFA -PVENQDFMGCSEEDLKRRNEAKNVWENNIVSNLTKYRNPNMTYDQLVKLVEKNLSALYFKTQEGKWSFQG -NVLWICTDICILPAHMAPVTVTRWRFQDKPEARSGVEVIVSPRNVIKIDNVDMVFLWCSYRSKKNLIPYF -NTHPAQLKANFHFKDPMTFKTIFEGKDLTGTFAPDRLGSPLRTLWQWPIPTFKGLCGGVYVTFDENPSIC -GIHHGGTRRVSSTSTDNDIGVSFLPSQDDCKHAVLQYHRQRHLLVTASEPETWTPVINGQPQIVETGQAR -EGGISDQVEWLNKQAGKETEFDNSAYDKHKNEAYVKVENQSVDIGHRDQKAYYNSSVVPTIIAEDVQPLC -PDDKFGPPRFGRSMWPKGAAPAFDTSPGLPPEHLAWAVEDYLKPFENMSPYLRQHLRPLTWEETLNGIDG -VRFIDSMNFSTSAGMGLPGGKKAWVNEFFDEQGKLRRSVREEVIERVEFAESQLRKGERVPFIYNATPKD -EPTPLTKEKVRLFMVGELACVLLVRKYFAPVCRVIQMTTGKSECAVGINCLSDDWEALMKHFERYKNCFD -GDHKKYDTRKSPSISSASYRIMIEIAALGYYTGDDLYFMAMLASELIRPLVNYNGDVVLLDGSTPSGIPV -TVVVNGLDNSLYNRCGYKSIYPFSKVGDFRKYVAHANYGDDFINTVSSWSSGFNFISLQRYLAKYDLEIT -PGIKDAKGKAFVNKNDLVFLQRHSVKLPELDYRVGALKEASIFKSLLSVVHSKHITPQEAAVTNVDNALR -EWTFHGEKVYTHRHAQMMAILSKHGILHLSRMRGVSYAESLAQQTRKTGEE - ->YP_009333403.1 hypothetical protein 1 [Beihai picorna-like virus 2] -MPFQFLTMNSTFISGDKIETDKGALESSKTFQRQAEAVLLKETNNKIYEKNKHSQIVEYKKDVNKILNRN -KHAYKTKKQRKWSNQAMFELSVASAFASNKERITKAFSEQTLNEEFAAEMFTTLSSVAITIDNIRNETSP -KAIMKHLFLGALAASPQYMRRAIRIATSSSKDLIEYFVSKFSMNPFSEQSVAEIDDSNPKFKWIAQLPEA -FNDWNNLRSSPVFEKISNLISVMVTMGLIDKKHMSVSVHGFEMFRLATLRKHANAVDLFTAIFDTITTFA -EGGYRFFTVGNPMAFFFHDDKAEEFQDLYLFLTEANEYAKVRNLHLAKLEYDNQVIHMSDKKFDMYLTKA -NKMCNAMLKSATTMYQKNALEQKAVALRLMRADFDQRRSEGHFKERPFSFWCAGTPGVGKSTLIEYASKA -CMRVMGVPNEELNNIAWINSDTKHDDTISADTMCIMFDDIGNTKPDFVEKAPTKSIIEHNNNIPCFANKA -ELAEKGKVPIMNKLNVYSGNLFPDELARIYAYCIMAIVRRILLTFEQKVRPEFALPDGRLDTKKVRATYP -DEELFTDVWLFKIYVCSYTTKTGLIPLDINYQPCFGSKEPHWFNIHEVMLYTLKVCKAHWDEQVALRQKM -DNVIPNMNFCDNCNHPQLYCICNGEPDFPRTPPGSCVNSDDDDSDEEIRDKLNNLAYIPTLGDSIEPEGL -PSEWYEIVREINSHKHAEDIPFDLVERYQLAYDMYVGPYLEEHPEIHYDTSFLFEGCKGVPKTRKSAPIV -EEESDDLSSVEDIEVTDVVGKPILDNQAEPEEDLIFDEDPKNLEKVLPKSTRKKRKKEYKSYGYYDANHD -WQECVYDAQLQRWREIKQEEKKFREKEFGVITEEEYCVVPKANFIWKALLLKPQKAIDKAHVTFSDFFRD -LQKLPEILIDFGNIFSDLVMNNQIMSWFYDRYKNWGLSDFLRSVTTVITFAVFMWSTLCLSVLPIRVAAF -FIFSSICAGVMVFIAMLTRWYNDKMIRFSKFKNCIVKVQESIAEVNMKACFKAFASVAVAYKVFKIVKDV -ISTTNVFKNVFTRVDLNKSVKVSARETVAIQKKSRAYPIEFQSRLNPMTAEEEAARNGEESMWGTMDYIS -PIMQHEAKTITREDLIRKVLSNLYSLTMLDENDNVIRCNGLVLAGKRLLVPRHIFATGEVLKVAARIREG -TENAVYKGEISIKAAGLVEGEDLALCWAPFLNMGVDLEKYIPIENKAELIDGTLLHRSEDGSVRRSENAY -QRSSEHYNGKGFVYKMAYNSKNGDCIGTSILYGKFPGIGLVHLRGRDGEPYGAGRQLDQELIGALNASYR -ANVYCVQDTSMASIPTKVYDIETYTQSRPIHPNSPLNYMPIGSNVLVVGSNNQRVTHVKSTIGRSAISHL -LEEICPNKWDKPNFNVKRNFRDTLIHSANPSVGLPLGALMLAIDDFKGKLLTIGDNPVGADLCKRYLKPL -SRFDNLNGIPGVRFLDGLNLSTSKGFPETGPKRDWIIELNPDEYPQHPDPKDIDPKVWERVDHFKERIRE -GKRAFIWAKACLKDEAKEKDSKKVRVFEAHETAGSLIVREYSLPWIKISNTFPLISESVIGINCHGPEWH -EMISYLTQGGTDGIIAADFSKYDRRMPAQIIAAVEKIFVEVMMELGDYSEDDKLIMEGLITEATNALVSF -NGDCLIHVGHTSSGNSKTTITNGGGISVIMRVAYYVLMMRAGFKPRDIPPFALYILLLAYGDDSLMRVWF -IILTNSRGEKVQLRDVFNFHTIKELLAECDLVLTTCFKDGAEPKFFNIEEVEFLKRKPRFDKERGIWCAC -LEEDSIFKSLHVHGKTSYSPTLHCAINIENALREWFFYGRDHFNMRRTQMIEIAEEAGIANLLGRLDEST -GVVTNKLYWTYDDYLSEWNSKYAA - ->YP_009333399.1 hypothetical protein [Beihai picorna-like virus 40] -MTVSNPRTLFGIVHSCSGILTSVICLKNFVQKSYADGDIINLITQITSGILKIGSEFRQHAESITLLLAR -IYDWVCINSGALMALRFDEIIWELPKDQVFESRYVEMSNTMASLMEDPLYLESNNLTFEMVRDKLNKLRA -SGETELNKNPVPSVRAALTRYMTNIDGYIRFVENKLNPDNTKPQPMAVTLVGPAGCGKSSAATKIGMMMQ -VIAGRVPDQSLLHNRGGDPKFEENITSSTDVIIFDDYANDQSTKMATKDVLDIVNTSKEVIPKSRADEKG -VHKYNNIGTIFTTNDPQLGMNNFRTASVDSLLRRMGIVITLGIKSEYCVPGTERLDVNHPAVSDEVFNTD -VYEVRIQMPRGTISTPGGVSVQYSDVDIERHEGNSEWRDGILTVQKLLVEQWGNSVARHVKSKSQENLCS -SCSLPHDVCTCSVIKAESITRERFISMFYERPIVSAKERLFALDNYVVDLSSRTAAMLSLAVYYKNCAGY -TKTRFDMYREHWWTLFTLFALCSIFPYGALLFIVAIAAYERIYFVREKKQHIERTIRAGNYIAESNRARY -AAYGVLFSASALALATLFRSLSTIHKLVGKSEDRVVSQTISEVENSDDHDCEGVQFVAVRQKTNSDDLGY -FLSKPRPAHEARTMSKEQVLADIAKGIAEATIVGNGVSSVVKTLPMGSERLIPRHALATSGNQDIIVRYN -GDQGAQYKNIDIPQTHITSLKKKSGIFSTKELDASLVHLPNMPPGKNFAKYLAEEGTLPEQAPCSYIHKD -CETGEWVEVLVRARMLDNPIRYQTSTGVQTQMVYECEALNHTSQDGDCGQPLIYNNTIIGIHIAGTSSNT -WYCLAIDKSTVDAAREILKQESSILVASLPPDVVFKNNKKELSIIDGETSYVTDVLKTKVTPIVSLGVVV -DSASKLYKPRAEDYYFRNGNNQIEEEFGPLSSRPPKFVNGADQINTTLLKFNTPKMDVPIGLMDKAMNDY -MYGNTCTGRSVSQIASDLEKETPGFFSVRPLQQALDGDGTGIVRGMNNQTSSGIIYGGRKSQWLKLGEDG -APLCPRVLDPEVLSDIEKIEGQWRSGQGTFDPFVRASKTNEVLPLEKAMEKTRSVYGNDMAFFIAATRGI -IPLKHVLRNMNTSECFVGLTAQSSEWEEKLYNYITKDGEYTNFVCGDFSGYDTQLPKALLEKAGVIILKI -YKENGASASDLEYLRGFLSSVVSPIMLWEGHLLQFCSGQPSGQPLTVEMNSIVNSLLVRMAFYTIMEREY -PEIKNPDFREWVRLAVYGDDNAMGVDSRIPKFNHTSIQAVFASWGIKYTMADKGADSVPYQTIEEVSFLK -RSFRYHPQLDAVVAPIEEESLSKKFYWWTKSKNTPLSFPEQFQANFESQSREAYLHGEDYYEEFVRKCER -IRAASEHGDERFVLPWNTIQPLSSQAMRAKLYGAYHPEE - ->YP_009333581.1 hypothetical protein 1 [Beihai picorna-like virus 11] -MNINFMKGNKVESQQTSTRACTLTKFNSMSLEEKVHFLSGNQYAPQSSTSILTLLRLKDHLRKQLTETAI -SKIEGICALFGALCSVNDAAGFLAVLTLYAKTHSQTALVTQLASVVDKLFSGYSPQSTGTRPVWLDQMKD -ALHNWKLLVNNPAFAQISRVLSLLVTLGVIENASVSLGNFEIFAVEAQKKHCNSVDLVDAIVDTIVFFAE -AGYMCFVTGSLSPLLFSSTKLVEMEERYVAKLAQWEHARNGNLERFLSMSEAQFDRELKELIEDFHQLYK -TTPNGTEKKITQQKWEALNKVYTEFTAIRISGGLRKAPLAVKIYGNSGVGKSTFADITLATVLKAMNVPC -SADYICTINESDQYMSNYRSYITGVKIDDLGNTKKEFWEVAPSESIIKIVNNIREYAVMADLANKGKISI -EPSCLTITTNVEELHAGLSSYNSMSVLRRCHVHVELSVRPEFLTNNLLDSSKVIKKFGSMNQLNDIWLIT -LKEPVGDGPGGQSFSHWRTLQEGLSITEYVNYLIKIARKHNHEQSLIVESFTEPSDIITICPECSECKET -CQCKQDSENSEEYVPHFGERLAGHISRKARKFNHKLRIHQSKTETAVEDIAIKALLLGLKAFEESPLSSW -TSWIPQDWMDNDYVKSTILSFGEDVIGQDVKTYCQRMLLSLVVMCVFMWSISGFKTALLFAAIGFAYYMI -TIAGVIETKKEAYMARLVASRETLPELFKTLRDQHVKYACGLFASLGLLYGAAQTIKALKANISFQGKLA -PKSIADIRERDMEADVWKVAERQKMSHNGSFVDQDKAQRSLRTALGIVEIGESYSGTFCLSSKIYMIPSH -LLPVVPTVATFRSAAGSVSTTIVKERCYIIPKTDAALVYVPNAQPAKDMIKHFEDDYVKHPVHATMHGVT -LKLKQFRDNTLWQYSPDVYNGWSVFPGAFYTMNTMQTFEGMCMSPLISDSREKKILGFHIGGVTNTRKGC -GFAVTAPQLIAARTQLLALSPTFMEAPQAAELPDKMMGQDYAISGNIHRKCPTNFITGDPAVIAYGTITG -KAKFTSRVIETPISKIVEEVTGVANAHGPPKFVKPVELEDGRVDSQSWRPWYESLEVCSKPSVGFNPLQV -EVAMDDYLAEIEQIFHRDGAMHRVEMRPLTHQETISGIEGKRFIDAMVTKTSMGYPIGGPKSRHMVDLPP -TDEHSCPRDFTPEIQAEIARVLTTADAGEALNLIFGASLKDEPTKLTKDKVRVFQAAPLALQYAIRKYYL -PVARFLSLYPLVSETAVGINSHGPEWDELSRFMAFFGDDRVIAGDYAKYDLRMPAQLTITAFAIMIRIAE -WSGNYTPSDIKRMRVIAHDVCTPLVAYNGTLIRFLGTNPSGQNMTVYINSIVNSLLHRICFYEAYSQEEL -KTIGEELSLGRSARFRDLVTLMTYGDDAKGSVRPGYDKFNHVSMAKTLEANDMVFTMPDKESTPRPFMSR -YEADFLKRKDRFDEDLGVFVGVLDESSIFKSLHSILESKEVTPEEVCTQNVDGALREWFFHGREVFEMRR -EQMKEIARRADLPCRTLDDDYDSRVAEWKQKYVPHAGRTFKAEVWYKKKLFGRPVSDLRDIRAVIVSNPS -VTHLEERLAVLDRAIADAELDEFSVPESLSLSHTTETSQLTEPVSEKSVLCEPVSDESILCERVVSILGK -PQFAEYPIISSVLGEGDLLYIFDNAVALVIECKRVVGRPSSYKQKVKEQAIKYAKVVEVLRPDLTVYGII -YTELGFMLVEAFGEPRFPASIADFLDNIAIDL - ->YP_009333509.1 hypothetical protein 1 [Beihai picorna-like virus 1] -MYSSSESTGGGSSFPRSSDQCHAMKTFDSNVNGTAMTSGKVIPDVHSSTDLSQFVTVPVQNTQDNVEAPS -AKQGTDYRAQAPTYFPTKQDYRNTYAKNSASSQKEVKKNLKRSLKSTNSEKNKYKGKSGSTKYVQQSLLQ -TLYPTGIIDQVKATVADLQIDANMSEVTNLLENLGLLSYSIPKCNSRTEVAVQLALAFKTMYRSSVIEAM -LEYAPTVEYIKNLFGYNIFSPQAGDGEDQDWLASLPALKENWNTIKCAPIFEKISNLISIAASIGLCSVT -NLSWNVEGVEIFRLGSLKKHSNAIDFFGALLDTIITFIEGGYECFRQGSLAPMLFSTDAGAEFDELYFTC -VELHQHAMIFNLAANPVHYKGELQVITDLEYTSMLNDAVEMAESAYKSAKGTWQASVLEKRLITMRKQRA -DYSARRIDGTLRYAPYTVYIWGASGVGKSTISQLVMSDCLSVSGANPDPRHTAIIKESDKYDSTLKSDTQ -GIYLDDMGNTKMEYLDKSPCERMIDINNNMVTYANKADLVEKGKIEIRPHVFVITSNAPLADHARRCSIE -PFSIVRRADVHVSVKVKPAYALPDGRLNSKKANADFPDDSFETDVWDLQVYLPNGCYSQLMLGSVEGKSD -GTTMDIHSLLAYLTKDCVSHFANQRALVKKSTELVPSRKYCKTCKRPERRCICFHYPQADFPPDDASLET -IATDPKEVESEPKPFVSRTPRDENDASSEVSINWSLYDQHNDIHVVDENEYTKFTQDESFDFVKQAGFTE -TFESMKAQFERMPRVTSAISVCIPLFVVQSRIIQRLYLLCHARDFLKLEGRARLQMIILWVLINSMVVLM -SEYSVLAFSLSTLFCFSIYFAILAKWKSDMCYRMCNNRELTSDLFASLRRSKAVQFFSVCAMAKIIHKIV -ASLRVIHDCQATLAPQSVADIRRRDEEVNPWCTPVVGELHVSHLNDTMTLSQVMAKVSKNLFHATLVENN -FQQSCDILALGGDMYLLPMHIFENRKDLKVLVTRNDPSTLGGTFRAFIGVNEVVPIPGKDLCVVPIPSGG -VRADITHLFPDSVTVSGNARLLYRHNDGILKNDPIRANYIRNSESGGAGYHYLAPYNTFAGMCGAVIIGA -FAKFPICGIHLRGVTGTPSGKALTVTRSEIKDAIAKSHAHVGGFPVHTAGTFPVERYDKQVVVSRDIHPN -SPLNYLPEGSNVEYLGRNNDRASHTKSEVVTTPISKIVEEVTGVPRQHGPPKFDSRRMWQASLAHSANAS -PGVEPSLLEKAVIDYTGHILEVFKSKKFCEMTRSELVPLGEMETLCGRDGARFIDAMKKNTSKGFPLSGP -KSDMITLLNPDDYPEFSCPAECDKLIMDEFYKMEDLLAKGERCYAIYKACVKDESTKIGKDKVRVFQAAD -WAFQLLVRKYYLPIARLLSLFPLVTECAVGVNAQGPEWDQLARHMKKFGADRIFAGDYSKYDLRMPAQLI -LAAFKCFINIAEECGNYTARDITIMKGIATEVSYSCVSYNGDVIIHSGSNPSGQNMTVYVNCVVNSLLMR -CAYYHLYPRELGNPEPFRHNCAVMTYGDDVKGSVRTGCDWFNHISYSDFLKARDMVFTMPDKESEPTPYM -NDLEADFLKRHNRFDSETNLIHGVLDETSIFKSLHTVLRSKAVSMEDQSAQNIDGALREWWQYGKQRYEM -RRDQMREVATRAGISHLCNELEVTYEQRMEDFKEKYDIESSVPGSH - ->YP_009333428.1 hypothetical protein 1 [Beihai picorna-like virus 8] -MQINIISGNKDESEQTSHVCEIAYSRIMEAKQRLLVDVETVMSPQSFGSFASFVGIYPLLQQQLTSVALS -KIEGLIALYLALSEVSSSKGFLAVLTLYAKTHSQESLISQLSQITDGLFDGVTPQSSERPMWLSQMLSGL -TDWKLLVNSPAFSKISRVLSLMVTMGIIESKKVTLGNFELFAVAAMERQVTAIDLLDALVETTTFFAEGA -YQCFVQGSIKPILFSSSKVVQMEEAYILKNTEFEYVRNGNLEKFMGKTEAQFDKELTTLIDELADLYKTM -PSGTEKKIVQVKWEALSKMQAEFTALRVRGGLREAPFCVKIFADSGKGKSTFADLTMATVLKANNRPSTS -DYIVTLNEKEKYMSTYRSYITGIKIDDYGNTKANFWEGAPSDWIIKICNNIREAAVMADIANKGKISIEP -ACLTITTNVEHLHAGITSNCPMSVLRRAHVHVELNVKKEFETDKMLDSQKVIDEFGTLDKVNDIWNITLK -KPIGDGNSFSSWEILAKDISINEYLQYLVVESRKHFASQRIIVDSFKEPSNIIQMCDGCGNLDSHCSCEV -EPHFGERIASVIHQKVQSVKVRTHYHSNVLQTKAEDFTVKHLLRLINGFEQSPYAQWTNWVPTQFIDNDY -VKSAILYAGQDYIAEDVTSYVKKFAFVTFFLVMLVSRLTYSGAFLTLLLAAIYFLLCYASLVEAKKEAYF -QAIVKQNGSLHEAFMSARNKHVHYACGLLASLGVLYGAAQVVKALRKSIGTQGSLAPRSVAEIQQRDKES -NPWADQVAPTPVTSANSFSNHDETFNAMRSLVGQMVVGNQFSGCFMLKTNVVLIPHHFLPKSTTKAEIMY -CSRKIKFLLNPTLVSQVGVGDLVAVYVPNTGPLKDATVFFTDNYAVHPLVCKMYGMDAKGEYFSDFLTWN -QVSELNNGHLSFPGSHYRLGSRKTFAGMCMSPITRDAKRSCIIGFHIGGVTGTDRGCGISVLSMELKKAV -DALHKKNDSFLCGPQARDVDDDVMGRKIVVNPGVHPKCPTNFINKEEAAVTVYGSVIGRSTYSSEVVETP -ISPIVEEVTGVKNQWGPPQFKTPDGGTWRPWFASLDVCSQPSIGFDPADVENAMRDYTAELFDEFDRLEE -YWKNDMKPLNRIEVVSGIDGKRFIDSMKMSTSMGYPIMKKKSGFVIDLEPTEAHACPRTFVPEIWEEVDA -ILELADQGKSLNQIFNASLKDEPTKVTKDKVRVFQAAPIALQVLIRMYFLPVARFLSANPLVAECAVGIN -SHGPEWHELSEFMAQFGDDRIIAGDYSKYDLRMPEQLTLAAFGVMIQLAARSGNYTECDLKRMEVIAFEV -CTPLVAYNGTLIRLMGTNPSGQNMTVYLNSIVNSLLHRLAFNSVYPKEELVVIGQELGLGRPARMRDLVA -LATYGDDARGSVREGYDRFNHISMAKFLEANDMKFTMPDKESAPVAFMNRFDADFLKRKDCFNPDLGVFV -GQLDESSIFKSLHSILASKTVSPLEVSKSNLEGALREWFYYGRTHFEMRREQVLKIARKAGITSDEFAKD -YDARVEAWKEKYEPQSGTLEECPDMVDLENPAHPIYQGVKYPKLVRKQVPNWVAMPDDELRHQYIMRMPH -STRVARNIRTALEIIMKYRQMVPMDVWEASVCSMSTIETPVSVRYEQELFDDVKSVLGKPLAEEYTVICP -QFGVGDLLYMEDNTILVIECKRVQGRHESHWNKVKAQALRYGKVMSALFPNYTVYSMIFTERGFGIVNVE -GEIRFPARFADVLDIATVEWA - ->YP_009333397.1 hypothetical protein 1 [Beihai sesarmid crab virus 1] -MSNIGSKRNGGHSSECEMGLGEHTPPKTSVSEALTEGSSFTLTRERKGTLHHTHCVVCENIDDDVLTYEP -KDDGFTKVCTKSKKRPRPGKDQDRPSWAPSDEEIAELEKQFIDLAFKQERFDDGKRRHFKESRKRKWKTK -KKKKFKPREAEPHSGSDSGTAIEEMLERIQEMTIPEGLATDDETAKWFSHFENLVLLAHLVYKAPDMSAI -FSAVCCYIKMNTKRSILLDIMKLVDGLVQAPEAKIDEVEPHAWTGRDVLDSWKLLKSNPIFQKLSFLISA -GMTLVACEMTEISWSPMGFKLIHIEAMDKQIDAVTFFDALLETFVWSCETGVRVMEQKSLKPILYTDQKM -QDFNESCDFVIAHADQALAGNMDIGDFEKNVDDVLQRISELKAVQPNGPTAVWLQHRYASVVTIKQRIVM -RRRNTEMRFAPIGWALTGATGIGKSTLGKLTMSTSLNSMGFSKDSSRIITDDEYDDYDSTMTSDITGIYF -DDVGNGKPQFESSSPTNKIIKYFNNVAAQAVKAELNQKGVVFIDFKCGVVTSNQKDLHARHYSDCPESIL -RRFFFVRMEVKPEYRKKGTILLDTGHPTLLENPKLTQDVWNITIEECIPYMSKNGKTSYTFGVYSYVDDS -GRKVQCIDMGLKDYLKAVVHTSKQHERRQRTLLSSNKRFDAMVFCKRCFMPDDLCDCPHIDGEIGVSSHK -AEPHSLVESVAGSLVEVVVDSTKKATLSYFKSWISPVEWANSLMGFKPIKMYATNQLATELAQGMDETFT -PFLIACTPDWVFNTRMFQRSIDFWRGSAASRELTHWMTANTVMMGATAAVGVRSKTTKGKAFCLLGLACN -GVTYYMLYAQRLARMQAMKEDYEQRRDALPAHLKSLRDNKLVQATVGVVSLVVGCKLLRLWASAVEPNSG -ESDFINKVDNSPGWFGYMMEKVGISTGNSPNSAPTHLVSTLLKSNLYWGRFENENTINCCNVFFPRKSVM -MFPTHLFYPGSNMEMTPYDEIIVHVIRHEKSGGTFDVRVSRDQSIHHDVLDLTMAFAPNCPDLRDKSKFF -SDELPHGVHIVNFIGRNDDGVFQEKVTAKSGVFGHRYRPNMPGSVYTTRHAKKGACMSILTSIGNNPCFH -GFHIAGIGNEGRSMSVTRSIYEDMLDRLEQLPGVILSAQATRPPSQQYGRDIMDTNLVHPNSMVAKLEQK -DYVDALGSTKLRTQQKSVVEPSILSSHVTKHCGVPNIWGPPRLKPNWRAYNETLKYIVDPADMFPPSELV -RARKDYLDPLLDAMKVYVKTEDFRVLTDKEAILGIHGKRFIEPLQMNTSMGFPIFGAKKKYFDEIRSDTG -VLIDRIPHDCVKNEIARLVECWKAGERGYPVVSATLKDEPTKISSPKVRVFQAGGVAMTILMRKYFLPIA -RFLCIHPLLAEMAVGVNAFSQEWETLMKHAREFAPDDQMLAWDYSKYDVRMNSQITRAVYSMFIDLARVG -GYHPDDIRLMEMMIVDIVHPLIDYNGTMIMAYNMNTSGNPLTVILNSGAGSLYVRLGFFHVYPEVREFRS -AVKALTYGDDFVGSVKQQFRNFNNCSYAEYLAKHNMKITSPDKKTEISPFLDRSVVDFLKRQSNYIPEIG -CEIGRLDEMSIFKALHQNLRSKTETKEQVAISCVETAMHEWFAHGREVYELRQTQMKKVCEAVDLPIPAV -MLSYDERAAHWKEKYSSSCSDDE - ->YP_009333342.1 hypothetical protein 1 [Beihai paphia shell virus 2] -MNPFRRASIDTTAVDYLFLMSTTAIVLSKPATCGKQTVHQAPHAFEEDYDDSCWVPVKESNTQKHCRRVQ -SKALTNKKKKKGRKKNKPLDPHVFHEILGNITGACSAAQKTAGDAEMAFKLANNINNVKEAIKYKGTDYD -SFEDNLIARIEDIVALVTGLSSSKTFGGFLSVIHLYLRTHYPKPVSKKIMEWINDIFGATSAYVERKAGE -FGLLDHQSGVADEFEDKLKNIRSLLQTWKTHRHGELSKNLCNIVNILVTFGVVPNWDKDPLTLGKFQLFK -ARAWDVQKESGSFIEVILDTVVFFLERGYAALVNNDMSLLLYSDTESYDYENEYSTIVSILPLLEAGKLS -ELKSENFKNDQDFEVRLEALIARTFEKLRTETSPHMRNTLTNKLITLKKTRTALIMNQKSSCVREKPFGV -LVYGGSSVGKSSINATMIKVLLSHNNYPSSKKHVVTLNDSDKFQSEYRAYHTAVTLDDFGNTRAEHYTEC -PTNKIIDFLNNVPKAALNPNVELKGNVMIQPKIVTVTTNKKDLMAHSFSNEPVSVLRRFNIILDVRLRSS -YVDKETGGLDGTKIKTFVPDAWLIDVQQVKIIRTEGEKADTYEFKTLLKSATFFDTLEFLKNVSSHHFAL -QRNFVSSVEELYDTELCPHSYVAEQCPHCLAALSDSDSDVPDDGSASSMESVPSLDISDSDSSESGDDSV -SSASSSDADCASYQSKKPGEAYAKAIDNNYNYNYTTTKGGMYWDCADFLCKVRHDTQHGAEACSRRHWGL -DTQADEEVMEDGKDWEDMPEPVRENLQHESLADAVKGWYADRGFDQCTEFIKGACTTLRDAFEEHKKEVL -VGVCAAVGISTAIFAGYKIYKKLAGMESFLSQGSEEKPPVRLETDMPNPWKAVKPVEIPKSEQSTTTTVP -DLLRKLRKSLGHAYLIDEEAQIRRKCDIVPMQGNCWLLPSHMLDDKTYKIQVQTTPENTLGLNFTQIIDP -SCWIRLTNDFALVRLVNGGPVPNLSKFLPMDDFKLTSRLIATFVYKSPEGEIDEDVVHITSKRQFESKAA -VFEGMAYNYPRPTFPGLCMGTLVASQRRACIVGFHLAGRTGSPFGVAGVLTQKEFDVAYAELTKSVPLMC -HSAGHMLTTKYDINFTPTDQIEKRHCVNWLADDEEDGQQPVAEVLGAHPLATTRFKSQVRKSPISEQVAE -IMQLPRIHGAPSPRNIGKHWSRDLTLMAHPKGNFVPSILDRARADLMTKVMTFLDKNPEQLELVHPYPKD -YVLSGVDGVASVDRVQLSSSMGFPINKKKKFFLGPVDRGVPGVTEPIDFDDPKYWAEVERMEEVLANGER -VYVIHRGNLKDEPTKFTKDKIRVFAGCEFAFTCVVRKYFLPIVRLIQSNWKEFECAVGVNSHSRQWSELK -EHITRFGGERMIAGDYKAFDKAATPLAMLSSFEILIQIAVRAGYSERQITIMRGCATEICYPLYELDGVL -VQLFGSNPSGHPLTVIINNLENSLYLRYAYYAMHEGENVPLFDERVALICYGDDNAMDVSEEEDKFNHTS -VASELAKVGITYTMADKEAESIPFIPLKDISFLKRGFVWNDDVHAWLAPLEVASISKPLHNYMHRKGSDV -LPEDIAASAIRTANGEFFYHGREVFEVRRKQLMEVAESAGLGDRVGDLETYADLCDRFTNAHTKRSAVEE -PNDFILDIQSGEDRIFESALQQQVISDFGIKPVIHESFMGNPMFGSPDLVFYKPIQHSLYVIETKVLNGA -SGSKKKRLDFAKHQAKKYAKALNALVESFTIFVFVYTEEGYKFVQVYNYSDTAFSLNKATLKSLGVQMRI -FGGMDTKSGPQTQAEASSN - ->YP_009333307.1 hypothetical protein 1 [Beihai mollusks virus 2] -MNSIYFEESNLNDPGISTLRGVEFDYNYVLEEAGCDEQPAYVKHDYELPLVFTALFGFIALIFYLAYTKR -FLLFNFNRSSIVFLLFMTCGQWQHVWGSFPKALLAINSLVIWRCLLEYVFLPSQNGYGKSKYDARIKNEK -KAHDKKNRALREKEARAQETKEKRRIRNANQPLKSQFGVREVINFTLDAPDWILNNFSTHWFALRKIASD -FNVSLPEISIPDFGKYWTLFKESEVFDELYDILRMMITLGFLKKIDISFRGMSLFVSEPLRQQVTVVQLV -EKIVLFGKLLISKVRLVFESGNIDLFFQSEARNAYDDEYTFIKSQKACIDIGRSADIDDETFDRRVHECI -GDTLTLLNTCKPGERAYYSSRLAVLRDIQTSRTLSKKEGIRIKPYGALLFGGSGVGKSAIANALTRYILQ -VNGYDYDPRAVISLNMEDKYQSEFGTHHQGVIFDDICNTSLDRADGSPCLPVIMFLNNNTMAALNANAEM -KGKVMIEPAVVVATTNVKDLLSNQLSNEPLSINRRFESTITQTVKPEYCKTGTTMLDSSKIAHMSNDQFP -DYATFTVEEPRYRENTTGDKFKSGKTQHVVYVPREFEGKPLVDVDIRTLLRFLKKDSEEHFERQKAFVQA -QRDLADMPLCECGLPKGMCESCPLDSQAGIPNVNEVVEYLVALEVRVVAWLNAFMQSLIVSRFGSAIVAY -LMRNKLKEIVLNSIGYYLICVIITLGYDAFTHVRGSWMILAFTILYSLYVAARFYMVRRSVIKKFANIPL -PSKFIREMSWSAKLRIMYFLMSLGIWKILVELARKWKTLPTPQASAPITLKPDAKPWQNETEFWDSHARE -RQYLIGDAGVSEKSRTISCENFTTLIGNRLMTVQKPTGEFCNVVPLKSNVLLLPNHMVTSHTEYVTLMKV -GGHTFEKMPLDDKVAIRIPGTDFAVWYCPGAGLHRDIVEYYPKDIDEGKKVTAFTIYNNDGQLVRYPNMT -AIRSLVATTAGGLFQGYKYSFPEETFGGLCMATLVGQVDGMPFIAGHHLGGRGLHGAAGVLTRKQLYQAI -DSLSKRPGILVSHSATPLQTNCMGIEFGPLTSPHDKCPTRDLEHNAKIRIFGGHNMSRGNPGKSAVVTSV -ISSAVSEIMNIEKIHDKPKQMDARRHKVVDMEGKVDTATEFDSALLQKAVIDYETRLATIPEEELAKLGK -ISDDANLSGMDGVVGVNAMNFSTSVGFPGKGPKTQYVEKSDRKVEGISCPRDVDPMILDEVRKMEERLLT -GESINTIFKASLKDEPTKMTKDKVRVFAAANFAFVFLVRRYFLTLAALVQRNKVVTECAVGTVVQSPEWT -ELFEHIGKHGWDRAIAGDYAKFDGRMSPQFMSAAFKILINLAEKSGNYDEDDLTVMRGIATEITYPTYDY -FGTLVQFMGSNPSGHPLTVVINSFVNSLYLRYCWYAIAQEKKWWRTPLFGDKVSAMTYGDDNIMTVAEGY -DDFNHTAIADQLAKVSIKYTMADKDAKSVPFISLSEASFLKHYAVWDEELNLYRSPVENDSIAKMLHTHL -KSKILSMEQSSAEAIQNVALKYFECGREVYTSRKSQLEEVARAAGIQGYVGPIMSYDERLAWYREKFDL - ->YP_009333303.1 hypothetical protein 1 [Beihai paphia shell virus 1] -MKMSSRFANRAHQAQKLKLSKQDSTASKGVNYCKKCERRRLKKQKRERNLVTYVPPTCLINAWLFVCGCF -YQPGVVDCFFSYVLTLHSLIFFLKIAFLSKMTIDSSILIWSWSGRLFRPKLEPQLGSLSADPFMKEVIQI -LCLFISLRDARTKNGMIAAIVQYLQAHVKHSLPLQVYRWVKRIDYIADWSSDAGISQIEKMLNETFEQDI -REVDGEIVLQAQDGSVECTPWHIVVDDAFNNWKEFRHSTIAHKFTHLINVLVSCGMCSTADLTFKVGNVA -LFTPIVSKKQLAAGDIFDAFYEAVAGFMKGGWRVYSTGEVSAFFMEEDKITEFERYYNELRSQHGYAITG -NLREYTDIDDNEYDARIKKAIEFGDNLLKTIPRNQVFERKYVSDRVDRLRDYDTEFTQLRTRGGLRICPF -SVCFFGQSGCGKSSLTNLTVNAGLVYNDLSAEKDRIATWADNDKWASSIRSHINAIIFDDFANTKEEFMD -FSPAYRLIQVINNIKYLAPMADVFLKGKVSLNPWFCVVSTNVEHLNAARYSNEPESVLRRLYHVKVEPKA -NCCEKGILNKKKIRALYGHTASPDAWYLTIRTYEAMNKKHVDLAAMIPISFEGKRMVRVSVKEYLRWLQI -ESKQHFTEEGQYLASQEGIPTKCEKCGMVYCDCSSSCNNNDSNNGSDTPEVDYASDSDSLCDDDGKSFVW -SHDSFELESRITNLCFQNQSVLETQSGETQSEDCQYYAGYTGSFHRRAEELQKQYERAVTSTILTTNAVC -LWWERFDFMPESWICHPRILSFGLIFWREDIRHSLIAGNSSFVVIMLFAIWIFPYFSLLWLGLSLFGMYW -YTCATIQTYKCMVRNRILELKDVVHTYTQQWQFKYAIIGLGAIGVILGLLRSRYLTLNTQSLTPGSIEEI -NERNDRVNPWLVPECVPLPMSEPAKTTSSDNLAASMRTNLIGVISDINKTTLGFYITSNFMIVPTHFLRE -HGDRDVKVRCYKTTEGRVGSHFNDKISKAFRVEIPFTDFTICFVTGGGSMKDFRKFLPTGKVLRRSPAKL -VTRDIMDTSLRAIPTLFQGSRQVAHTQQTFAGSYYDLPIETRPGMCMSPVISDMKGSVIMGFHLAGKGKL -GGCGTLTLDQVNLAISELSSVNGVVLSASCGDLAPHMGDFPTETFGKPIFEGAEIHPKSAVNFLTEGACI -DIYGKTSGKATPHSNVFPTIMSDAITEVFGVSQKWGPPKMKGKGRYPYQATLVHAAVPSLPVGSVLAKAV -QSMKELTSGLKQQIPELFHVKPLTRVATVCGLSSVKFIDPMNFSSSPGFPLSGSKHSLLVDLDPKDYPDV -GKPRTFVPEVWEEFERAVDILREGKRCYMIWKSCLKDEPTKLTKDKVRVFQSAPLVLQLIIRMYFLPLVR -IIQMNPILYECAVGVNAEGLEWEELWEAAMSKGKDRVLAGDYSKYDVRMPAQVTIAAFDILIDIASKCVG -YTADDNHLMKMVVNEVVYPVMAYNGDLIQLFGTNPSGQNLTVIINSLVNSLLLRSCFFTIYPNADFKENC -SFLTYGDDVIGTVSSSCGGFTHITYAEWLAEHDMKFTMPDKESTPTHYMNEDDVDFLKRKCVFNADLGQK -VGLLSEESIFKRLHTHLLSKELSLEAHSAQNIESSLHDWFYYGREVFEDRRDKLRRVAQKCGIECLCPAL -EISYDKRVNRWRHKYLGEELEEEEEEILLEPHCGDLDVSTVEFIYDPNWATNKAYLDYLDHCRGTEHDYR -YWWEHILIKYGDLVCFSIIALLQRRGWKWKILPHGINGFDRRWLFFLTFRGELIPLLKWFIITVVRVYIP -EWTVLLCRCIITPIVDKLYPILKGKKVQKTIARWIDRQLQAIRRYFLPYFGAIGDQFSQRKRKRSLMTLR -QDFRTALLPILTRDDVKSVLTSIFEIAENNEKYGMQRIRDFAAQEIYEMAFRGEIDEGTSKMAVNALDAL -IRGLEQMP - ->YP_009333281.1 hypothetical protein 1 [Beihai octopus virus 1] -MLEISDPPPPNMLKSVNVHSESRMGPANVDVAIDVNTSLATEDKCSAHLPLHMCCEHGVDGYRREDFHKR -KRLGKIRDMNRELLVDHKHRAWEPHLETISEDAHLDLEPQIGFMDIVSYSGGFANLARLCKVDIPDWIIN -EIEDIVLVFLSLQGQTTLTGALATITSWVKRYFSKSLTNKIREYLVDLLTPTARQSMEGVLETPEWLKLL -QKCKSNWRLVRNNAAFAQLSKLLGLLVTLGLCKVSDLEFRLQGFLLFTPEIQKRHSSAFDLLDATFETVL -FFVEGMYLCFATKSLRPLLVSDHAALQLDEEYANVLTDWDLVKSGNLQKIKGLTDQHFEHRLNNLSTNLR -DLANTLTGFDKKLVMDKFQKVLTLQNEFVTLKLASGVRHEPFSLLFFGESSQGKTIACEQVIDALCTSGG -LPIDKKFRATYNAGDKFMSNWSTDKVVLKFDDMCNDKANFVERAPTRAILDVINNEMFYAPMANIDQKGK -VFVEPWIVTGTTNKKDLDAGVYSNCPYSIQRRFITITVTAKNEFQRVVDGTTCGLDSNKVKSFNKAMDNP -LFDDVWRFTVEKPVKPTKLHLVASYKPITWHNQVLQDIDIFTLIRFLCDEFCDHRENQAAILEGAKNRER -NMQKCYGEGCNYIYGYCPYHPAHVDSDSESDDESVPPLEPCHEDASPCEEASLDTESQNCDGDASPDAES -QPSDELTQDTETQIGGKIVKSLTRLLPHARNKIKFDLCERVEDKAAQVLYEKGRRFLRDFDWVQAVPTPV -MGYMCQDTKENPFKGSWRQFVYQTLYADVIDEDYEYRSRAAGWLAVLYIATSYAFSYVYKTPWYGHGCLI -LASIIYMLYMKNLVEEVERQLLETLLDKNVKVAPIVKKHRDDVVKYACGASVAVGALYVMGKVIREKYAA -YKTQGSLAPMTQQEVDARDAEKNVWTTVVSRPLPLSEVSKTVRPDVLQGVIEKNLVCCQFGHGDGKIGQL -NCLFLKSNVVLVPSHYFDDYGEVLACSFFKKDPIHTGGKFAARLESRCSWKIPQSDLVMCYCPNGGSFRD -LTKHFPLENVDGSLNIHLTYRFADGRTEKVKGAGTTALVTVQERYTYPAMNYKLGCNTFPGLCGAVVHTE -SVGSVICGIHLAGRSNTPHGVCGLLNQQVLKDGYAQLRSKEGVLLTGTAEKFETQVLGKTVVDPNAMPHG -KSPLRFMPHGSQVEYYGSCPGATTSTTVVKVTPISEAIIDICGEPNIYGPPEFKPEWKGYQDCLANLAIP -ALPYEYEWLEQAIVDYKRELLAIFMSELWNGTRPLTDLENWSGIPGLKFVDAIKLTTAVGYPLSGEKSKY -VYIARNIEELLMYYTLMGGEATPEELTRIDHGYQVIKPITEISDEIERIEECYKKGQRGYTIAKACKKDE -ILAKKKCRIFYGNAIALTFLIRKYYLPLLRVLQMNPLVSECAVGINSHGPEWDEFYQHATKFGMDRLIGG -DYGKYDQKLPSQLIIASLRILIDCAAVCKYTDEDLRVMEAMVGDIVYSIIAFNGDLIGLTEGTHISGNSL -TVIINGICGSLNMRCYFFSNPENKGKKFRDNVALMTYGDDNIGSVSSEVNNFTIAGASKFFDTYGQVYTM -PDKESELLDFLPPEDFEFLKRKSVYHPGMGKYVGALIDKSCFKMLHCFMRRRGSPDTEDVAAAKNIDTAL -SEWFNHGEEVYELRRQQMTEVAKRCGISMYCAGLDQTYEDRLDNWKSTYEK - ->YP_009333264.1 hypothetical protein 1 [Beihai hermit crab virus 1] -MSNKTQPLPQREEEVETLRGASILFQMGSSNRRTQTRTQVRRERRMIRDLTHDWDEIAPREAPARIEQLD -SARHNRKEANKRGLKRGRPAATRQRLPKKVVDRHLVEKFVVKENSREFPKVWATLCTQRRLEKKAIRDME -NKRYERGPYCCGRCGRRIPHTCQFIEIDADEARRLHEIFNIRELGCDNDAMDVQILNAEEDKADTPKPPK -KKQKKGKGGQNKNNKNKNNKGRKKDDPPQEKSDSPPRSVRRKYEVLMSPDEVAEHLDPFDDATARERAES -PALFDSPLSSVRVDIRDEKTGVKLSKFREFLAELQDQMADMFDDLSMVAQYIDFFENIGILCRNLYRSTD -IGDLVMALIVHIKLHTRKPITTQFLSLVDSVFFENDAEPSDDWSWVNEAIEEQELNSENFMTKWDLFCKH -PMYDKIIYLIGVAIGMTSCDKTEVVWSWSNLTLIKIPVITSAQGAFDVIDAIIRVTTWMLQTGVACLQQG -SLTPILYGDHMTRKMNDAYVQVMGYRNQLLKQQFDAGTALVFTRTTILSYKRYREKLGDKLTTTLVDKRI -ADMEEIDVTLDNRLVASSTKPCGMAVIFQGASGIGKSFFSEMVVKPYFASTGVKYDPTMTAQVNPAEDHD -NSAGNHIKHYNIDDVSNPKIEWQKEAITEKFLHYMNVVPWRANMADLPQKGKVWIRCDLLTSTCNPELVN -VSAYTDHPESFFNRIVYVEAKVRPEYRAEGNLALAKYRQDVVSVGFWDQDFWDFTIKKPIVTEGDGKKKV -GMIVASEYLPDGSKIYCEGLSAKVFRRVWACMCLKHANAQGHAMKRKAEISNMEVCFKCCNVQVECLCHL -YDESVSNLPHLEHFYTKKNAAVAYPELERLVEEEDAESDDEDDDGDSISFVTSFKKWWGSSQSSDSSDQR -MNSISDVLWEGCKQGLYEACTAPFTTFFWYDKVLCISPVKNMATKKVAKEFRKYLNNVTVPWLIGAVPDA -VAETRTYKYIMNEMAAGAAWCDTKKLFRNLGFVQLVGLGLAGYTFGYTRRSLVPSGLVLMGANLSIGSTF -HYYRHKHIVEQWSQRPDSLIVNNAILRHEQYKGMAGVVVPAFLLGIKLFSMWNSQQVNHGVAEFFSTDVP -NKPVQHPPPSDTFNIGDQTECVGTWNSFVTGIPSGNTTNSDTRTMTAHQMIQSLKKSGVHMIKVGNQRTN -AVFLETGVAVVPRHLFYHKPTFAFFQNTGSVFGARATAQPCSWISNVFEATIDINGASCSTILRLGSNLF -CVPDQDLALIYLSKCPTMPRRVHWLPKEKPIGTYVGFYVTARDGGASGATSVTMGEITDHPFIASYWGGM -YDSKLDRGDCAAPVIADKGGPYIACFHITSSRSGDESRGASLTRGVYDTLRSQFENRNSSIILSGVDDQE -VNSVMGLPLVVGPVHPKAYTPAGVIPLGATKVRRQQKTSVKRTQMYAAAVEHFGPDHYKGPEMKPNWKHF -NASLEQISNPIDDIDIAVLNRACDDYVGPLLEDIQDKGLRARPLTELETVCGKPGVKFIDAMNHSTSGGV -NAPGRKDQHYEITYEDGKAVAVKFDAQLRSEVDKVETDLREGRRPNMVYSSCLKDEVVEADKESVRVFQI -MGVAGSVTVRKYFLPIVAYLQTHPLETEIAVGLDASSPQWEKLYSHVMQHGEDHILGFDYSKYDKKISAQ -LMGAAVRILIRIAAALGYGEEDLEIMRRMAIEFTNPRVDWNGTLFEFLNSNPSGNNLTVILNSIINALLV -RCAFFQLWHAKRSEPLPSFREYVAAVFYGDDALMTTKWTQFDCLYFRDWMMQLGMKITPPHKDAKFTKFL -SLDLADFLKRTNYAHPDLGVCVGPLARDSILKPFYYRVPGCATPGEHAAGVIASALSEAFLHGREFFEEI -QGKVRRVALDSDVSSPALAIDYEERIRRWKARFD - ->YP_009330066.1 hypothetical protein 1 [Beihai picorna-like virus 15] -MTFIYQASNHGNCVREMQVCQRLAPFDAQTLPPLWLLKCWMIAYFLLLGGDNWEMVVPIVWVMIVVWCCC -LIRGAVTAIKRIFRIQRANKMLRKFQVSAQAQRFSPQAGEIPTKEDRRAQWMKNRNARVIERTKKVSRGG -RDFRKKEQKKPKEIFTPQIGSTAIATAFAKLANVKGIPIDDKMLSRIENLGAFYIAASKCTDVSAFLATL -FLYLKTEYSKSVANTAANYISELLGAEFDSQTGEFGVKSEKEKPQWLLLLKDLQENWSLVIRNDGFKKIS -HVLSICLALGLCDSADLDFRIGGMKLFSIGAFAKHASAVDLVDAAFETIMYFVEGGYACFERRSIKPLLY -GNMENEEFEEAYSKCLRCHEYAKCGNLEKFEDMSENDYEALLAQCIEKAQMLKTTCKGIVEKNILSRKVE -VLRQWQATFRQTRVQGGLREAPYSIGIFGGTAVGKSTIANVLMVTTLLYNNFCASDDRIVTLNEADKFMS -NFRSYTNGVLIDDIGNTKADFVERAPTSLMIQLVNNVRMYANMAEADMKGKVSVEPKVVIGTKNVKDTCA -TVYSNEPASITRRDRITLTCKVKPEYAVHDMLNEDKVRAAFPNGSPLIPDFWDITVEKSFPVPHKVKGKA -ATVGWEVVIDKYGRPLKDIGLPELIRWIGQDSNKFYASQKELVSKNNNLDKQIQLCPECRFPTPDVCVCD -RENISYFHKMDARCVTGYCTRCEAHHKEEEEEVLDNQIGERVVAAMLPRIRRWDRWWRPKIAYWTDEIEK -KSVEMLLKRLDWLENSRWVCWTNWVPQEWIEKEWMKNIVWFTRERDLRERIRCSYFNHLMWIGICILYAI -SIHRIFLLTLVFPLMGIAGVVEFEKKKMYDEVAADNKAMPKVFKMYRDRHIKWITGACAVVAALYAMAQI -YKAFKVVPVPQGNLAPKSVEDIVERDAEVNPWAGVKVSEMPCSEKSKTTTPDRLEKMVQDNLCHMAITLT -DKGRVRNFECDAFFPKSNVAIVPRHMWKADDVKAKFTRHDPSLIGGNFECFLYRKFSIDIPNTDLSVVWV -PNGGDWKDLTDYFPLQRFASVPARLTFKKKDGSCVGSKLMMDVGEVVTFAAEFFGAKYNLKFETFEGLCI -APLITETKGPLIGGFHLGGKNGETRGCSGLLLKSEFDSAFDVLRQVPGVVLSKSSGTMPKELYDIQFFEN -TDVHPKSPINFLPQGTNCKYYGQVKGRASYYSDVEPTVISEHVEDVCGVPQKWGGPKFRKGYPWQASLQY -STKPSCGIEGSLLELAADDYIKGFLRALDDIPSLKLGVKPLTEMETVCGIDGMRFIDKMPPTTSIGYPLS -GPKSNFITLLDPTDHPTHQCPAVLDQRFWDHAYEMEELYLKGERAYPIFKACLKDEPTKLTKDKVRVFQG -APVALQLLVRKYYLPVARTLSMLPFSSECAVGVNAQGPEWDQLAKHVMRFGKDRILAGDYSKYDLRMPAQ -VMFVAFRIMMDIAKECGYTKRDLIIMEGIATDICYPLMAYNGDLIQHYGSNPSGQNLTVYINSIVNALLF -RCAYYHIYRDRENVPAFRDVCSLITYGDDAKSSVHADFPEFNHIAVAKFLEEHDMKFTMPDKESEPTPYM -IDEEADLLKRANVYSEDTEMIMGALDEDSIFKSLHAVLKSKAITREQQAMQNIDGGLREWFSHGRDVYEA -RREQMKEVAKRADITHGCTVIHESYDDRLQKWKEKYA - ->YP_009330024.1 hypothetical protein 1 [Beihai picorna-like virus 35] -MNSNHFEESNLNDLGIWLALFVVLASVFYLFSTNVLESQSGYGQSKWESRVKNERKAHNRKDRAQREKEA -RIQETKEKSRVRRANRALKSQFGIKEAVNFTLDAPDWIFDKFGANWLMLREIASDFNFSLPDISIPDFGK -YWTLFKESEIFAELYHLLRMMITLGFLKKIDISFRGMSLFVSEPLRQQVTVVQLIEKVVSFGKLLVSKIL -CVIESGNIDLFFQSEVKNSYDDEFTFITSQKARVDLGRKAEVDDESYDRRVHECIETTLSLLNTCKSGER -AYYSSRLALLRDIQTSRTLSKKEGIRQKPYGMLLFGSSGVGKSAIANALTRFVLQVNGFDFSPRAVTSLN -MEDKYQSEFATHHKGVIFDDICNTALDRTEGSPTLPVIMFLNNMTMAALNANADMKGKVMIEPMVVTATT -NVKDLLSNQLSNEPLSINRRFEVTITQKVKPEYCKPGSTMLDSSKITHMSKDQFPDYALFTVEEPRYREN -STGDKFRSGKTQSVIFVPRIFEGRELVDIDIKTLLRFLKHDSEEHFAHQGAFVQAQRDLSDMPLCKCGMP -VGMCDSCPLESQAGIPYVSEVVEYLSALEIRLIAWLNAFLQTLIVSNFGSAIVAFLMRNKLKEIVRNSIG -YYLICVVITLIYDSFVHVRGSWMVLLFTILYSLYVAARFYLVRRSVIKKFANVPLPSQYIRELSWSSKLR -IIYFLVSIGVWKILVKLAKHWKTLPTAQAAAPITLKPDALAWQNETEFWDRHARERQYLFGDAGVSEKSR -TISMENFTSLIGNKLMVIEKECGTYCNVIPLKSNVLLIPNHMVKKQTEFVTLIKVGGHTFKNMPLDDTVA -LRVPGTDFAVWYCPGAGLHRDITDYYPKNIDEGKKVEVFTIYNNDGKLVKFANMMAIRSKVITDRGGIFQ -GYKYSFPEETFGGLCMATLVGNVNGIPFIAGHHLAGKGHSGAAGVLTRQAIADAIAELDARPAVLISHSA -TPMNTKCMGVEFGPLSAPHEKCITRNLESDAKIRIHGAHNGPPRSSPKSAVVTSLISPIVKEVMKIDKKH -GPPKEMRAIRHKELDMSGKVDTATQFDSQLLQKAVIDYELSLMQIPKSELAKVGKISDDANLAGLDGVLG -INAMNFSTSVGFPLKGPKTQFVEKSDRVVPGISCPRDVDPEILAAVAEMEALLLKGKSINTVFKASLKDE -PTKLKKDKVRVFAAANMPFVMLVRKYFLSIAALVQRNKIATECAVGTVVQSPEWTELFRHIGKHGWDRAI -AGDYAKFDGRMSPQFMLAAFKLLIKLAERSGNYDEDDLFIMRGIATEISYPTYDYFGTLVQFMGSNPSGH -PLTVVVNSFVNSLYLRYCWYAIAKREGWWNVPLFNTKVSAMTYGDDNIMTVAKGYDSFNHTAIAEELAKV -SIKYTMADKDAESVPFIPLQSASFLKHFAVWDEELQLFRSPVEEDSIAKMLHAHLQSKVLSMEQSSAEAI -QNVALKYFEFGREVYTLRKAQLEEVARKSGIQGYVGPIMSYDERLAWYREKFDL - ->YP_009329997.1 hypothetical protein 1 [Beihai picorna-like virus 53] -MKLTLMNSNDTYASFSSGTNLNVEARELLIIGIVAIVVALVALVGVIAVLCCYPGEIVLPQGGEVLFNDK -MARRNDAKNKQKNKEKDEIKRDRYLEKKNKSRNARRRAAGRRKKEAEQAAKEILKPEGYDYINFDATRTF -NPLSPVPEETPNFDSRVDLAKNAFSAMMQDTLSSVLEKFDDNETMPVDQLVAAMVKVVGFIGPLATCRDK -QEVTKVILAAVSQHITGGHVSAVRRYIDECLKESEPVPEGIDLEKVLVGFRDSAVEVRFLPMTKAIVSTL -MLMVVSGMRPSSQLSDSKMTSVFQVWEDKIIDTLSFKNMSDCVAEIFEFAVHVVRAIKNGDSIKNLILPN -TLHKQYAAIMPMRDHMYDNALEEKFNVTVEGYHSTVCELIDNMNYALSKSKSPQERTIYTRYVLDLNRLK -KDVEDYLKNHQFREEPYVISLSGGSGLAKSSLVQQVIATYEQWVGYKVPESQIAYVKGLAKYDDNVTNAT -EIVVGDDVCNIMPSESERTSSFLSKLIEIVNNTPSLTNQAELSMKGRHYWRPKLVIVTTNVGNLMAPEIS -NKPYSLVRRLRHVEVTVKPEFATPGGSIDVKKVKYVKCGEGEILAPMHMMQWFHYEERGHNKQIHRVDEG -PPMEFPKFLEQLIDEISTKKKEQKKYLASLDTLRNCERCPLCYKPKAEGWCGCCDEFHDASPEAGEIISY -LATQGTHQFFYWVTNAVQLWNVPSFFPSLEMLMGLVFVSTASFVFARNQFEFGRPEMRGLMYALAYFVIS -FIVFVVSFMISPRFGLFFWPMYVIISILLSCVGIARVVSRNVMQDLRRRMQPGLDVNPVLRGMKMVGAIV -CMLKLASHFKGLMDAKKPTMQGNLTPATKEEIEERQEEFNEWARPTLQEATPFEKGMTMTKEQAVKRVAA -NVWRFSISDNGMTSRGTVLFISSQLMVIAEHSFKKIMENMDLENTQFFFYKKKDQLGQQFSAHVVNFTNI -KLDGDDSDHVLVKLSAGPTMPHIVDFFPEHKNHHSAFSMVFRNEDGTVVCPSGKYFDCSPPVKGLCGVWR -GSRHTLSSPSEAGNCSSPAISWDKPHQILGLHSAGSADGKVAVIYCVTRRELNEAIDEMRCSNKKLDAQG -KDVYPMGLTNGPQLKPYDREAPLILPQAHERAAPNWCPENGRTLTTFFGEVVGSRITPSSCIRDSILKEP -LREEGVNVDYGPPKFNSDRTHSLYLNIRRDAMQSIPPSVVRMAVKDYLDPILDEIKRLGYDKGGFLSLDE -VLNGVKGARFIGPINEKTSSGFGLKGKKERYLEIDYDLKDQGRKVFYPKPEISDRFNEMINTYLTGETCG -SVLRSALKDETVKYDPETNLPKKEDRLFMSCGMADVFAQKSMFARVAEVMQSLPILTEMAVGINSTTDEW -DQIYEKVIGISPDMVLAGDYSKYDVRLSGQIMRAAGYVLLSIAESIGYTPDQLKAMEAMIQECTNNYRIF -GGCLISPDGYMPSGIFITLLLNCICNALIHRCAFYWKGVVKAEDYKKLENDFRTWVKMIFMGDDSLGSSL -TTKFSMITVKEFCDAFHMAYTTGDKKLDIIPFISAEDAEFCKRGFYLHPKVGKRVGNLDVRSLIKSLCTY -TQSVTTESTVLSETMDANLRELARHPQETFEKYREIYSRVAAKAGIRHMVKFIDRSYDEWWEVLGKVYRG -EESGEPEWQPIVWSLDTLRDDEFL - ->YP_009329961.1 hypothetical protein 1 [Hubei leech virus 2] -MNSSSEACNDDFLIVSSKTQLGVDPTKKINQVASFPLEQNFDTDSLLQNTVMSFEGRSVGSTQNSLASDD -AIPLRSIFDVKTRTCSFDECSLEYLEHEFRECPRGIFTYHRRSPSGEVSLESLEEIFSDWKLVEKKKRRK -EKKPPLCLESQVEDIELNEDVCLRPMSSHVVTSKRFNIKEARKRVAGQKRLRDKIVPHADEDTVESDCDD -GDIRLEQEELISNCTDPQELLDKFPVEEGTEIPESVVEIIQRLPKQLPKGGVADKWMHHFENICIYAYQM -CRAETLMDCFVATLAYIKMNFDRSVCEFANEIVQSIIGLAEKGPNSAELDEVIPHAWNAKMIVSRWETLK -TNTVFGKVKYLISAAMTLPVLNMQDRKFEWNPFGFKLMQIEAMKEQAKAVDVIDAILRTFQWIADTGSEI -VATGSLAPILYDDATMKAFNDEYDWLNANGDFAIAGNFDDRIPGACKDIHEFEKRLDGCIIRCCELKAVK -TDGPTAAWMQTRYATLMSLKQKVVAKHRNTSIRESPIGFGLTGPTGVGKSTLAQLTMKTSLNAMGYAFDP -KLILTKDMFDKYDSTYSSDILGLFMDDIGNGKPDFVERSPTDVIIKFFNNVAAQAVKAELNAKGVVFINF -KCGVMTSNLEDYGARHYSNCPESILRRFYHVRVKVKPKYCLPGGVSLDASHPDLEDAPLTLDVWNLDLME -CVTSKHPTGFTYHFVPVKVPNEQGALVDAVDMSLLQYLKAVVYLSKKHKRNQVNVMKRVSDFENLGMCPK -CCLPQSLCQCICSVCSNEGKCCKCDEFIPNSNELTRAVNTIVVDSVKSYIKSWVVPMNWMWRLLGYRPIQ -DMITRELANEVRMNLDIHATPWIFSMVPNSVIRLPAMQRYIRIWGRRAAYYDLKSHFKWLWRITAASLAV -SGLAVYRGKAPLHSVAMPCVSLGMINLSLYAHYWTRTQEETREFLARRDAITASIEPKASMSEMATLGIA -IIGLGLKMFHDWYVQSPHVPHAGEPKDDHPGWMGYYIQKLGFNVHPQPHTSTATSKQLVDSLTRRNLFWA -TFTRDDGTATRCNIFFPRKSVALFPQHVWYPEADMNGVPTETLTVEVRRHGSPGGVFTFVVDEASCIRPP -DMDLTCAFVPNCPDLRDVTKWFPTNSPTGRVLSDIIVCNNEHHPGEPNTFTAERVEVKMGPVKHSSMEFE -GGSYRTTLAKVGSCMGCLVSITKRPVLIGFHMGGNLTGTGVMQTLSLPDYQRLLALLENLPNVVVSANAA -ELPRLQYNKTVLVNDRVHPNCMAAKMTMNDCVEIYGSTQLRTVQRSTVTTSILSPHIEEVCGVPNKWGAP -KLLPNWRAYNATLEHIANPPLMFKPTLLARACEDWLQPVLQEAQACNLRLSPLSMRESIMGVPRKRFLDP -LPMSTGMGFPVFGPKNKWFTDILEDGVLVDRLPAPEVVDEYERMFECWKHGDRAYPVCSATLKDEPTKLE -SEKVRVFQAAPVAMSLHIRRYFLPIMRFLCGNPVLTECAVGLNSFSTDWEALIDHAFSYDSNEGVLAWDY -SKYDVRMSSQVVIAVLGMYIEIARTAKYDEEDLNIMKMMVNDIAHPLLDYNGVLLMAFNMNTSGNNITVN -INSTAGSLYVRMGLFDAVPEVEDFRETMACMTYGDDFVGSLKKEYHDRFNFEVYRDFLARHSMKITLPDK -GTSSCTFMNVEDVDFLKRKSNYIPQISRNIGKLDENSIFKSLHCNLKSKSASPVEVAASCVESAMHEWFA -FGKDHYEKRREEMKEVCERANIPLSVLDISFEERVEHWKEKYLQR - ->YP_009329854.1 hypothetical protein 1 [Beihai picorna-like virus 5] -MQISWSFIGDRTMQLMRGKHSNFNTTDDHVLRQVHMIQPPLAANFNYFLKLSEENSLILADEPVSPNHPH -GLSDETALITRVPVMIGDQILVTEMLRTNRSLWGMRLEEKSTIAATISETSSTSNRPRSVSVRSLRFRDD -DDHFDEAMEMYGFQVDYDSDFSVTEYVQKDCPYLPLPPVPNPSEIDVHSDGFIETKFDDDYTMDTQGCSL -PPEGAPDSEWDYFFGNCTHEEFQEAPLEKFEEWRIRIKNMESPASKDKLDGFDPHSGVDYTLNPGFTDIP -EEEVHARIARRLKFAARIKAEMMSITEAEIDLQAKLFNAKLEKIHIERKLRKFQAHQGEEDSIEFWLNKL -DKLSDRMTDYSKILSSREVDSVIKHVERLILLFLELRETRSYSGMMAAILGYLQGLTGKSLFNTVREYLA -DALAMEAHDGDEDEETPAWLEVFRSVTKNWNSVTKMPAWKYFQRILSLAVSAGLCKAADVNFRIGDMKMF -TLKIEEKQASACDLMDAILATADYFVEAGYEAFKTRSIRPFFFDNQTARILDEAYIGISASMKALPTGDL -EKTKYKSEAELAHVLENTLSGYIILRHQTKNPSEKRTLDARCMQLEEWKLLFIQQTVSGGLREAPYSIYL -VGKPGIGKSMMTQVLVEVVLQANGVKYTQKQVATVNPGDKFASTVKNDTVVIILDDFGNFVLEFETENPL -KYIIEICNNVVSYVPKAEANEKGKIAWRPKMVVTTSNIDDLLFNKLSNAPGSAKRRGIRMKPFLKPEYAE -HDRFSEDKYRAANNGLLPAVPDAYDIDIQEWGVKKWEYMPFKDGDTKRLSYAEALEFHIQKSRDHFKKQK -DYVKNHGKIREQIVICEHAKVRSECPKCRAIDEEEEFFSEEGFTQEKFDAACAAALGHEAHFGTETVVNW -MWRWMIGRFLALFTPIRTSFSDYLRDSSNEQLQLLNAKLHFFSLFGFYDWLPDCVVETEMFENYLLFMNS -SSIALKYWQLLLTFFMSFFLFLTGPAVGGTLNGLIVWPYLVQCATVTHVRVIEEFRERRGALPVEFTASR -DKFLKYALAGTFMLTGLAAAYKLYSSSRVMEPHGNIAPTTMKDIKERDAEQSDWAKTVVEALPVTDKCKC -ITYDELHAKVKKNLVYVRYPEGDKFKFTNGFFYNSNELLIPYHILSKEPKEYQIFRRGEHIRGGQFKEIL -SVGAASVHPTKDVAMVQCCNTAPFEDLREYFALEDHPQAPFSMTVFHKDDTFSVGHGLAKKAQINNSVRK -SEGYLYLLRDMDTFQGMCMATMISQTRAPQIIGFHIGGKTGTGEGCGVSLTRSELDSMHDQYFAKHISAL -EHISEGTVFTEHYGVEWFESPDIHPKSPLNWLPEECNIRYFGSCKGRATYYTEVVPTPIADLITEVCGHE -QDYGGPHFHRWKSWYESLVYSCNPSIGCEIEHLDWAVQDYENQLWGIFSVEGIMEEVKKLSEIEIVSGKD -GIRFIDAMPPNTSCGYPLGGPKRGKIIELEPNDEHNCPRTFCTGVWEEVRRFKAAIRSGQRYYPMFKACL -KDEPTKAEKEKVRVFQAAPLSLQIAIREYFLPIARVLSLFPLVSECAVGINAQGPEWDVLQEYIKKFGID -RIVAGDYAKYDLRMSAKLTSAAFKILIDFAEKCGYSQDDLAIMRGIATEVVYPMMAYNGDVVMLQGSNPS -GQNLTVYINSIVNSLLNRIGFRMIYPNYTGRFCDAVALATYGDDFKSSASDEFPDFHHVSLAEKLATIDM -KITMPDKEADPIPFLLDENCDFLKRHNRLHEVGYYIGALDEESIFKSLKAVLRSKHVSLKEQAAQNIDGA -LREWFLHGREIYENRREQMKVVAARGDIAHMCTGLDETFDDRVRVWREKYTPLSLDDELVEVEETIFEEH -SGYECQEYTEIAIDRMAEIQHTCRNGVEYLWYTPLPGPHLPVDYVKLMIVLLPILWYMFYYGAIALFTIY -TLGEVRRFVHDYLRYLAQMRKMEKEMLGLNYEFLREHPHIAAAA - ->YP_009329864.1 hypothetical protein 1 [Beihai mollusks virus 1] -MFNYNFLGETITNATQGFWLLALLSIISVVRKMHINATCLLSQSGKEERRAKYESKKVNNRKAEKRKAIA -NQQRHNYVAECQKHSAKKNNKKQSSSKILFKSQSGEDENIDKNFGEFVFSRVQSLFNASNDKFNAMDKER -IREYLNNSKDWMNTSFDTLISKINFDFEKHYTVFGYLRACKLTKQLMLIFDMLVSLEVLENFTIKIKGVT -FFTPSKLGRKTKPFDLLDACYEFYLLFIKACLAFPEKGFKAFYEDAIIGVFEEDYAYVLANYILFETGKN -CGVEDIKEYDLRLERAIEAALVSIKANNEKAYYTPKLRELKILQAKRIASQKDFIRMKPYGILLYGGSSV -GKSSIANAVTRYVLKVNNFHSSANSVVVLNEGDSFQSEFRTHHTGVILDDLCNSTVEHTDGNPLLKVIQF -INNSPQAALNPNAELKGNIMIEPRIVLATTNVKDLNAAQYSNEPLSVARRFDITVTQTVREEYQLPDSNM -LDTSKVEKDFAGSAYPDFALFTLERPILHSGNIRQGNSKVQRVTYVPIIFKGKKMCNVSLRDFLEFLKDN -TAKHFAEQRSFVNTQRNNVDIELDDEGFPVGINKDDDVLDSEFGIFENLFQKYYDLEDLILQRLSNLVFF -MLNIQLCKKWIMNKYFSNMYIKMIVVYTLLFVCLTNHINIYAFCIMTIPCQEWLFYKLLCYIVRYKVQHM -KKPSDYLKEMSYMDKTKLITMLGGASTAYLMIRIIKAIYDMLTSEAAECIRPSATITEKKKEESATEFWD -EHARYKRFMFNPRIMGYARCSSHEQLVGMISKRIMMIHIKMKNGKTRFCDCLPIRGNMALIPSHIVPDYN -AEALITKPGANPKNVVISRKSCYKIPNTDICVWYVPELGDQRDLTAYFPEDIAHGKQLVGDMVYNDQGNI -KVYRKLLGTRTSSRTTLGGSFESLSYYFPEQTFQGLCMATFVGRDIKDMPFIGGFHLGGKNHTGAAGFIT -RDQVLSAIDEIAKKPSVLPSHAGQSFNTVIGDIDVGPLNEPHELCVTRNLDSDARCVVFGAHNKPGSTPK -SEVVVSSISQKVQEHLGLERKHDKPYLMKDIMHKEVDIENKTHTAYKFDPDLIDKAVVDFNTTLISNLGD -KLHNIGKLEDDVVLAGLDGVLGINAMNFATACGFPLVGPKTNLVSKSDRKVEGISCPRDIEPKVLEEIAK -LEETLLNGNRINAVFKASLKDEPTKIGKKKVRVFAGSNIYFVMLVRKYFLTLSALMQENKEVFECAVGLN -VESPEWTKMMKHVYKHGEHRVVAGDYKSFDGRMSPRFMLASFKILINLAELSGNYDADDLTIMRGIATEI -CSPTYDYFGTLVQFYGSNPSGHPLTVVTNSLVNSLYMRYVYYRIAQEEKWWRVPLFSKVVSLLTYGDDNI -MSVKPGYDAYNHTNIARVLAECDITYTMADKEAESVPFIHGSEAGFLKHNAVWDDELQLYRAVIDESSIS -KMLHAHGRTQISEELHAACTIRDALDKYAHFGREKYTERCAQLKQVADECNLTGLVGDFPTYKEQILKYC -EKYEWEENPYPVRKD - ->YP_009255232.1 nonstructural protein [Antarctic picorna-like virus 4] -MYTFRTRLREDLTSPPRSANSFTAPNIPGATLCQRSMDYMSSDDDGFTLVTKRRHMKKTYFTKQNSQALV -QFEDQDTCIDDKELLRQDFSKKKHFAVLSKEARFISEQTSQWYSVKKSINARFRKKYSPQAGFTDTLTQD -MRSGMEAVLPQCLNEVASLLLNKIDVIISTIYIVISTEDQILVQVAAIHNMMRALYPKETDIGELIALFK -TILSPITPQSGDEDTCETKGKTICEGIKECLSNWRLLRNHKMARNISLLLSACVAVGLCGPGKFKFSIGD -LTLFSTSISDKQISAASCIDAVLETITFFFEGGLECMRTQSLYPLLFTADNGVALAQEVAWLKSHAGSCA -NGMLFRVTKVPESTYMSRLEKCRDDLLGAAKSIGPGFERRVLEGYYSELTKAHTEIGARQAACNPRRRPF -AVKVFHQPLMVRQLFCNLLATVILMTNGFDPDDTKKITMSDVDKYDSTLKGYTEAIIDDDVGNTLPKFAQ -ALPSTRIINLVNNKPYSANMAAVEDKGKVAANPKVYIMSTNVEHLHAYETSACPSSILGRCDFNIHLKIN -PMFRKEGREGLLPQQGLDAGKVLEYERLHGYDVETFNLTDIWLITVCRAIVVPPSQPTHADQTVFEPFEF -RGKPTKDMNIVDFLQFTAEFTKVYFANQNAIMEKTRNIAAKMPKCKHGYPQFLDCPDCIQDLTMPELSSG -GGEELISEVDSTSVLSDFPIVEPPSIIDCVPHAGAIGFTTGLIFRSWMNGTFLNLQSWVTSEALAFETMT -SNALVDYTKTLRNEFAENYISYMPESLMVSPIGRFCVDRAVKAKTTRIINVSRFLCTGATGIICYTARKN -MFGLLFLPVPFTAYQIVRQATYEVCVRSIVARRDGLEGLALRIRETYIARAVAVVGIVGLAYSAVAFLKG -MAHAGSLTDLNVWRPFGTKQSDVCSSTHVVVTPEGVPIASATVPSTKSIWKKLTPSFEVVPENFDSLGKE -LIETGKEHLEEIEVSPHGNLASMTLEDIEKRNKEPNPYKTVVRTVIKADCRTRTYSESELQGLVARQLNA -FAVDQGDHWSTSNALCLTSRIVLLPKHMLYEGQNTITGNMRSSYRVRFTRRDAKTVGGQYVTNLYAVDVE -PVKGTDLVVAYVPAVGDQRDILHLFPEGGEYAGPAMLLHKGSTGDVSFSAAHLRPSPMVSHSHHTPFKGG -YSVVDSETFPGLCLGPYCTRGKSKVIAGLHIGGKNGTKDGIYASVDRSTLEKTIESLLHRKKIDFVVQSG -TFPVTIMGHPVLASGEVHRKCPSMFQLAEYGNIDILGPCFGRSTAKSTIVNSVLSESVAKWMDVPQKWGP -PSIHPWKHENQAVANYCNSAMSLPSDALDWALDDWVQPILQKIDSIKGFKSSVRVLTEMEAVSGIDGQKW -FGAINKQAAVGYPATGPKSDFLLALDPDDYNCNCPYVLGPEAAAEKERLLMCYDASERAYPIFKTCPKDE -ALPLAKDKKRLFQAGPLGLAILVTEYFGPIFAVLSKLTLTTECSIGINCASQEWSQMINHLKQFPTSNTI -AGDYKNYDQKLGLDVTDRAWRGMIMIAKHCGYSDRDIKRMYGISADLLNPVIAFQGTMMLIRSTTVSGTK -GTGEGNGSSGSLLLRAAYYTYWKEKFPGKTPPPFRKHVALQVYGDDNVATTDLLGYNMEYISSYLGKYDY -VYTMAQKDSAIVPFVNLDTMEYLKRKDVFHPALGCSVGALNEDSIYKSLHTYNTRACTSRLEHACDVLDG -ASREFFLHGKAVYDDRIVKLRAVAKDHDIVLPILFKEYEDHVHDWKESYSENT - ->YP_009255231.1 nonstructural protein [Antarctic picorna-like virus 3] -MNTLRELDSPPSGNEPLVTCGECKSEVCNNGTQVICGECKADPRGSGQADTGCRLELDSPQSGDEPLVPC -GECKSEVCNNGTQVICGECKAESRGSDQADTGCRADSDKEMTRGHDPISKGEGSQKLMDNPLFTYCGDSL -DTFTRADISCYDRIRILEDNYMSEISRDGVYNSISSMTDAIRSMPSTLQHQLDYLAVLIEVLGDSNFRDS -VKFDTLYVADLASQVMISFQLLAAAPSAANIVLVFSSVLMKVRISTAMVDRALEFIKITVKAALDSITSL -GYKSEVSVSSMIGVLTQLSGGVKDAIDDRIIDIVLVFMSKMVAFWVTISGGFTEAEFDLATIPQIVAKMR -EIFANGGDIVMGFLGAYTWVIENFPRFVKGDFSGMLIGKSQTGAFESRVSKVKAIYPLVASGSETILKEE -YNLTYVTYDVEVMDLIRLGDRMMKNVSTPQRPSLKRMLDELRDIQTDRQIKQSQIQTKVTAVGVCFVGGS -GVGKSTLMNQTSRALICAAGEVPTADKIVTGQMSDKFDSNELPHHLSIQYDDVANNSANENFDKLLNAVN -SQARPFLKASADDKGIMYPGNVACVISTNVPGLNAKKSNCPDSICRRFLHIETSIKPHLVEEVCVSGTKR -VCPIKATKDGSARMDIWNFNVMEFITFDKMDPVPPGVVLWNGMYVRPIDWCTKPPEDRTFWDLALFLSKR -AKVHFGSQIKMLKTMANGQTEHFCPGCCIPVTICGCTANSEFSSSMINESVDNMVAQFRVYTDFWNHWWS -IVKFRAVISVAIGCSPVDYRYVLCMASSSGFVLGALLGYNIVGTVLFLCTWSTLWVGVMIYVSWIRLYRS -MSTVDGILTYLAKSTSEVIKENYRRIFGGAAVVSIAYLIYRAIRPKSEQVSYRETLPDNVRTAFVRAEKA -RTTPIDNVLPLMRRDIGKITIVAGGVKRSCIAFPIESNLYMTVAHLFPTSGEFEITIVHENSLVPTVAKQ -RLSMSHVSKLDKKDVVLVQIPSAVPRRGYKDFLLGRGTPLDSQAIHIVTMDIANMARETAVSRMYPAWSM -FSSTISTDKVTLYKPYKYLSPIGTHDGMCGSLVVDYSKSIIYGIHVAGNGQYGLCDTITREDVDKAMTVF -KGFLPANQGDLQIGSHVLQKGLGMITLEATPDDYNKEVRDHNCVVEGVLDGSGAVFKHPYKPHPFKESVR -TEFGKPKFGPPQKINDAIHKRKALSNLTSPNQEFSLSEVEHAVADYAAAPKLLIACMKPKVKEDYARILS -IEEALDGINGEAMGGIDNSTSVGFPFQGKKMRYLARDELDSTLPKIPRELVPANGVDMLEEVAEMEARYK -SGITCRPLFKCSMKTNELLSNDKVKARVFMGSNFPFLLVCRKYLAPIIRLMSDNKLMFETAKGINMSSIE -SEELFEYLNKYGGEHIVALDYSAYDQTMSAQVSTAAAGVMVDLMRDLGCSEEHLTIVRGILTDITYPNLN -FFGTILQLANSDPSGNCITTELNSSANSLFLRLFFYRLYPELRGKIAYKEAIQTVTFGDDNINAVGPDYL -GFNGESIIAIGKECGMKITMAEKDSAIVKFTNIFDSSFLKCKFRRCADLGHVRAPLDKDSIEKPIHWMKV -DSPDPPEVLFSQNVDTMLRKASQHGRDYFDEIKGKLTRIAEKEGVTSLCFWWTYDELIDHDKVNYYDNYT -GKHLYDVTEDLKFLTDYVSQAKKPGTQMSKVLHALAVTALTMVVLTSLQMEMLNLYNRGSSPQYEVYKAI -RKIVRYLRDQRNVIVGSVVNPYFIGGVDEIATSFGVPFFIARFMASIFQVESRLTPRRDNTDILPYDPNN -RPPPSYPPGTFDDEDDPRFDRDDSSISSDEDNDQGFKSESYEEPELEILQVQLSVLDRVAQVSMFVACFW -LSFVLTKQVIRDYQFRNSFRSESRGEENPRVRVGWLIAIFEWVVALIPFVNTGRFAEIWTTPRKFIGILV -CCLATHTWVPDSSIPMAKQYIWSRIGYVLRSSFVRLWLAQNNDLIRIQPLCVVLEGPPGGGKTTCALAMV -KFMFEGSHINRGDIVVLNEDDEFQSELRSNHKVIILDDVCNTTAAFVQKSPLRRVIDIVNNVPRRALSPD -VDLKGNIKISPLLVVITSNVEDLYCNHFSSCPSSIRRRVIRVKVEKKKGYEFPPGRLDFGGWDLHEMKHH -KKFGHPPYDNIHPASLELRWDFQNMVVDFRERFETHIANQQMLVDMVNEFFDGETWPAYVKRKYRGAWCN -VTWALSNIVCRFSSKWKSQTWDDVVADSLEARLEPGMQLEDIAHEILRAKTLAQQSQGFVPVPYDTLKAR -FCRFIARSFKSEMEITNCTLASYLEVLGEGAVLRLIKENRAEAFLLSMTQSSVFDDLFVFDSRAGYIDGM -FLSLLMGGATVTDCPSQEEHHAQTCAHIAFKTKFPDSLLQAREMLLDEVSYDLVYLDNNDFCVVEAKVRG -IEEARKQSVIRKNALSGALNIDTLSVRAYAYTVETGIMRVS - ->YP_009255229.1 nonstructural protein [Antarctic picorna-like virus 2] -MTISNNEQSPEEKTYNKHTQPKGSCLGIVNTPTSYTEVVKSINDYWRKRLSLIPPQIPVLEEFQPPEPRK -KRALPKPTHRRVLSTELPSTDDFELNIDLSLDPRIRKRDPHAIPDLAYTVTSTTVAEEDDDNSTIYSDAR -PADYINIAANLRDMAASQSLPVPDIYIRRIEDMVLTFAQLSYSTSYAQATLIMTSYFRTFGNASFMSQVQ -DCLARLFTSNEPQFGESPGETALNHLSQNDKPLYTPVKQPIWLTHLRDWRTNWSSLIASPIFAKISELMS -LCVSIGLCEASALTFSMAGYNMFSVKVKPRHASAFDLLNAALDTVVYFIETGHRAFATGSLQCLLYDDNE -WMDFDDRCSAARKGREYARIGALERYTGWTHATFSLFISETYEIGKKLTRGTRDSALKAVLRSRMTELDK -IEAEMVTSRLGGDLRIAPFMTSFYGESSQGKSTIANYALRILLGCNGFPNSSEYITVLNEKDKYWSGWNS -HTVGCVLDDIGNIKAEYSQSPAAEMILHLNNNTPCYAQQAEAERKGKIPVEPMVVVITTNVKDLGATTFS -NEPVSIVRRCDIHVNVRARPEFCTNGFLDERKVNAHYEAIDPNNTDPLRDVWSFDAQIAVPVKQIVKGKS -PAIGWELVKVENRLYQDINAKELILLLVGMSQEHFVSQRRIVHRATDPKFAITFCPACTHPTQFCVCACG -KLDMSSVSNTNSSNPVPPVPPSSPPSPPKGGGPDPPPNGGGADDDDDDDDLPNLRSRKDIENDSDDEDED -DADILDILDALDPVPDKLAVIRASRPKPSKRTPLVRPKKPRAPHFGMELTTAFIRSATTGYAAALAKKYV -SVEHKFDRITTSTLATLCNHMELSPVLVWTNWIPDHILAHEYCQTFVLHMEDEMIYSYVMTQWMRVLALW -VFFVYCHVTEFVPHTNWLCAIYVLHYYLKLSLVLQPNWQRLVVTITIITYALAGFRMPRFDKKGNLEMIP -IGFWVPQWIFMSAMVIAVWICSLQWCANIRHCRQRVFELIVARRGALPELAKDIRERYSKMILGGVAGIL -ALCALCKCLSLLRVTADPQSKLAPEDYSEVKERDKEVNPWADRVVPLPLPVDHKCKTTTLDQSTTLAFNN -LCYMVLKIDGKDYGCNAWFPASNFCVVPNHIWADRIEMEAHFIRREPGIVGANFRALLSKSHCVPMPDQP -DFCGVWIPNGGDWRDITHLLPEELPVNGWGPMAQMVYKDSEGVRRDIRMSKVKFGKVSHSKATFFGAEYM -LDINSFPGLCMAPILSMSTSPSIIGFHLGGYSGTPDGICGTFTRSQIESVRNALQAKRSVLLTPSSGTLE -TTQYDVQWFTGADVHPKSPVNYLTSGATCDFYGQTIGRATNRSDVVDTLISKDVAEVMGAENKWGKPAFH -LGKHWSASLEASTHCSIGIEGVLLDHAVDDYLQPIIDLMMQEEFAALRAEIKPLTRMQTLCGIDGCRFID -KMKPDTALGFPETGPKSKMIELLDPLDFPEFACPAAIHTKYWDEFERCKLEYLEGRRVYYLFKACAKDEA -TPKDKEKVRIFQAAPMVAQLAIRKYFLPVVRLLSVVPLLSECAVGINSEGPEWEELHKYVNKFGADRILA -GDYSKYDLRMPSQLTLVAFNILIEIAKASGNYTEEDLTIMRGIAADVCYPLMAYNGDLIQHKGSNPSGHN -LTVYINSIVNSLLFRAAVYLICSVPKIQAVGGFRKMCALTTYGDDAKSSVKAGFDEFNFNSYSKFLADRD -MKFTLPDKKEVENPPPYLHDADADFLKRKSVWNEEVGMHFGPLDEDSIFKQLHCVMQSNVLSPIEQCVLN -INNSARDFFYHGRDTYDKRRAELLEVAKRHNIEHECGDIHVSYDLRMARWKEKYLSDET - ->YP_009230120.1 polyprotein [Marine RNA virus PAL156] -MTLNFSLVPSLTQFSKVFSWNPKNLYYPNPDLSDSHNRILSLKHQLLRNVDVVPFSPQSLLSFKSFFDLE -AAMRTKLTETAISKIEGLMALYMALADVKSASGFAAVLILYAKTINQTALSSQLKNITTKLFDSYKPQSS -SSSRPKWLDQMVSGLTNWKLLVNSPSFTQISRVISLLITLGVVESCSVNLGNFEIFAVQAQAKHCNAIDL -VDALVETVTYFAEGAYQCFEQGSMKPLLFSSPKILLLEEKCIQKQTEFEFVKNGNLQKFCDKSEACFDKE -LKELVDDLHEFYKIMPHGAEKKIIQCKWEQLSKMNAEFIALRVAGGLRKSPFCVKIFGNSGVGKSTFADL -VMATVLKAAGVPSTSDYIVTLNEKEKYMSTYKSFVTGIKIDDYGNTKADFWECAPSDWIIKLCNNIREAA -VMADIGSKGKISIEPSCLTITTNVESMHAGVTSYNPMSILRRAHVHVELNVRPEFKTDNMLDSKKVIQKF -GNLDNIHDIWLVTVKKPIGDGEGKQNFSSWETIKSDVSIQDFLNYIITSSTSHYEEQTKIVESFKEPSNL -VVICPKCRKLQSTCSCDLTPHYGERLASMITKKAKEVDLNFQKIRCNTETKVEDIAVDSLLLGFKWFEES -PYARWTSWIPESFMDNEYVRSAILCSGRDIIGQSVNQYALNFFAIATSCVGIANRFHSTLTVPTALACLL -CGVVCFAGVVEAKKNAYLDELVANRAILNKTFISARDKHVNYACGAFAGLALLYSAAKLVQALRASMSMQ -GSLNPSSIAEIQERDLEVSPWKSEPFSPTKVFKHFGTMSEAETTLSKSMCQIQIKNSFSGAFILKTNLIA -IPHHFLPKEVSEAKLLYGKRHIRFILNPALTQRVGNMDMVIVYVPNTGPLRDNTKRFMSEYVSQPMVATM -YGIHADGSRFDSRIMWQFAGAIDNGYCYSNGSTYTMTGMNTFEGQCMSPILHEGDRKCIIGFHIGGKAGT -PRGCGLAVLAPEIEIAISKLFLLSKSFIEGPQSCDIEDVIAGKNIFVSKEIHRKCPSRFIDKDGAVEIYG -SVTGRATHTSDVIATPISDIVTEVTGVPNVWGPPKFKQPITLANGATDPQLWKPWEASLSVCAKPSIGFD -PQKVDDATEDYLSSLKINFDKQSVLWKKDIRPLTQVEVVSGTDGKRFLDAMKTSTSMGFPMHGPKINHLI -DLPPTAEHACPRTFTPEIWKLVEELKEKADKGTFLNQIFGANLKDEATLLTKDKVRVFQAAPIALQILIR -EYFLPIARFLSLNPLVSECAVGINSQGPEWHELAQFMAMFGDDRIIAGDYSKYDLRMPAQLTLAAFGVMI -EIARWSGNYTEIDLARMKVIAHDVCCPLVAYNGTLMRFMGTNPSGQNLTVYINSIVNSLLHRLAFHDVYD -LEACKKIGKDLRLGRPARFRDLVSLSTYGDDAKGSVRDGYDEFNHVSMATYLAKNDMKFTMPDKTSDPVP -FMSRFDADFLKRQDLYSEDLGHYVGALDENSIFKSLHSIIKSKVVTPTEVSAMNIGGAMREWFFHGREIF -DKRLVQMKLVAEKANLPIPELEVTYDQRVEAWREKYLPPEPGVPSDS - ->YP_009230124.1 polyprotein [Marine RNA virus PAL473] -MSLERAVAPSKLQDVAHYESVFFKGKRMENVSSVEALSYLIDQYSTHRKNQAMILESKRARQEDVALCGV -EEPHGTCNQISGLCPYHAKTPQAGFEVDHMLDYCRRYHCLPSLPGFMRKPFENLAVLCLSPQRFAQLMTL -LFWRCDVFQMFEQSRHYYTFTALFSFTLLCAGCWKTSFLLAFVSLYRIWSCISSRKEAYMSEMTYRHGVI -SNIVTYHKRRSIKGFKALVGFGAVLIALTFAYRRVRRNMPQGNLAPKGLADITERDSQVNPWCGIERVSL -PISSTSKCVTHTSLKASIRSNLLYGSVKYGDKRAMVDGIFIDSNVVLIPKHYFDLIGPELEVVFTKDRPG -FCGESFTTVLSSSTSVQIGDDMIMCYSPNGGSYSAITKHFPLDHMPNSPFHMLWRDKTGKFLDLRGAGKA -GKVTNGAATFQGHDYTNLSSNTFEGLCGALLISETRGSVIMGIHLGGKAGTPRGCSASITQDMLTSGLSQ -LKEKEGVIISGSAGAFESAILGKEIMQDAPLHQKSPLNFMPLESQISYYGSCIGGASHKSDVKQTLISKA -VESVCGVPNTWGPPKMHPQWFGWQKCLEGISTPAKPFEVPLLQKAIKDYKSSLFDLLDEQPFWKAMSPLD -DTSTICGQMGKKFIDAIKSSTSIGFPLTGPKKNYMVILDPTEEIPNLRDFTPEIWSEINRCLDLYKQGMR -SYCIAKACKKDEILPLGKEKCRIFYGNPIALTFLIRKYYLPILRFMQMNPIVSECAVGVNCHGPEWEQLQ -SFMEKHGKEQIFAGDYSKYDQRIPSQMLFASLRVMIDIAKQCDYSEEDLRVMEAMTGDIVYAFIAFNGDL -LSLQEGAHISGNSLTVVINGITGSLNLRCFYFSVYPDCPNFRAFVAMMTYGDDNKGSVSIKRPKFNIKDC -SEFLGKYGQIYTMPDKNSELVAYMNDDDAEFLKRKSVYHSHLGCRVGALAEESIFKSLHNYIRTKTSPVE -TEACALNMNSALREWFNHGPKVYEARRTQMAEIAKLSDLSHLVPLLHVAYLELATEWHENHSQSSGDAES - ->YP_009230118.1 polyprotein [Marine RNA virus PAL128] -MVSETVNPLNLTTSKSLNLSTENLSTRDQPFNPDLEVGQSSACHAQRLNLNNKNTDPNPWILVHRKPNKN -EKLPHVYRHIIPPTTNFGFCHECEYEKEFRQNHFAHSRSPSRKVNHKERRAASRRLHKPKNKDIEPHCQR -DGPPPLIGGNKIDSSDEEGSDTESEDIPTNLEPEPESSSSEEDDFEYSEEVHGRPIIYAASSTPSIVASY -FEDDYSSRVIFEPLHGSMIQQDILDMYELYGYGHLITWGITPHTVKGTDDTPTPPPPPASRKSGKKFRKE -KKEKKVPETFTRDSLFRAAVDAPASVAPQDKDLHDLLSTISNVSSGSEDPDVENWTSHLENLVILGYQMS -KATSFTDVFVSVVGYIKMNTNRSVIKDIMKMIDDVLAPLEEAASPENCNDKLNDVHMNGNDVVRNWELFK -GNTIFTKVSYLISAAMSLSVCNIKQIEWSPLGLQLVAFEACKEQMKAVDVIDAVIHTFTWFADTGCRCIE -QRSLMPLLYQDNKMQAFNVECDYLLAHADSYLSGNKGDISDYDHRVQKTLAQVSALKATQTTGPTALWLQ -SRYYALIQIQQRIIAKHKNTAIKFAAFGLCCTGPTSVGKSTLAKILMKVALNAMDFSTDEKRIITIDTYD -KFDTLFTSDILGFYLDDIGNTKSTFATRCPTEMCVKFFNNMAAQAVKAELNQKGVVFLGPKVGIATSNVE -DMDVRSYTNCAESILRRFFFIRVHIKDKYQKPGSTMLNKSHPDLVNAALTHDIWRLTIEETVAFETKEGK -SDYRMRTMDVRVKDGSTIHCEDLDFAECLDVVIALAEQHKIEQDSLVERDKGYNEMTLCKTCKRPTSMCT -TTCQGVLDRAKEEAEDVEPNMFNTDAIQDVVATSIRKATTDYLNAWFAPVMSLNNFLGYSPAKYCATRKL -AGEMTKIFDQTATPYVVSLTPQWVFDTSLFQQMVDVWYARRATYDIAVRVYRFNCMFFPALLWLLYRKSW -RRVSWCLVLYFFLSIIAWSYQRARRKKYKEEYLSRRNALPDYAESIRDGKALKGLFVVSTLAIGLKMFQM -WNKTRTENIPNSSNEQDDAKSTWYGLMLSRMGVSVDVSQASKRGTASQVKATLTKSCLFWGKFTRPDGDV -ASCNVFFPRKSVVNFPLHAFYPDNNMNLVPFENLTLLVDRHDGVGGQFTVKISRSQSVVYEGLDMVAAYV -PNCPDLGDKSKWLPLSYPEDQSESNCTFLMRKPIGFHSERLKVFHTLVGHKYLSFTGGRYKSDHAKQGAC -MGVLILEQNDPVILGFHIGGRLPDKLGVMQTLLYGNYVKTIKQLEAIPGVILSANAGNIPTTQYGISLLN -TDTIHPMSMCAKLEKDAFVDLHGSTALRCKQRSTVEKSILSDDVAEICDIPNRWHPPELEPNWVGYNATL -EHIINPADMFEPDKLERCRQDWIKPMRDLMKVYSILNDVAPLTDKQAILGIPGTRFIDPMVMPTGCGFPI -YGAKSKRFTDVLDEKGVLIDRIPDQEIRDEADRQIFCWENGQRAYPVTASTLKDEPTDNSKVRVFQASPV -ALTMNIRKYFLPIARFMQEHPTQSECAVGVNPFGKQWTELMNHANQWSDEWVVSWDYSKYDVRMSSQMTR -ACFACYIELAQMSPLYSDADINIMKNMIVDIVHPLVDYNGVLFTAYNMNTSGNSLTVTTNSLAGSLYVRM -GFFDVYPDELCFRDCVAALTYGDDFIGSVRDGYHDFNFESYKTFLAGHKMKITLPDKSDTVVKFSKKSET -DFLKRISCYIPEIGYSVGSLDESSIFKSLHANVRSTGATREEVAISCLEGAMHEWFAHGREKYELRRQQM -LSVAARHDFKISALDATFDQRVDFWKQKYDTDHQAAR - ->YP_009227212.1 predicted replication-associated polyprotein [Delisea pulchra RNA virus] -MSKFFNENTNDSKVGEAGMPMACAKENQREQKKQEDWEYSRMGTIARMMEEEIESVELKIYQLSKKLNIG -VSALKSVLPKDIPSQVVGQLGQIWEMASSYPGLGNKLEAFVICVICCNHMDRKHWYAPVLGFVQKFLDDD -QTLVSVALRECKKICGASLEEVVEQQLDFSDFVGVFTSDEESDSADDGKASRYGIKELKKDLNAVRRSKV -VAKFQKWIAVLVAMGIAKLKGFPFKMGNVRLIHQTIEKKDANMATLVESTFEMLKSAIAVGSGLWKGEGM -TVFKSDNELAQFDEDFAVLHGKFKLFEAGKLEDLGMTMSEYLRSADLIYEKACELRRTATGSVGAYLAAK -MLESAKMRTKIAGGMRRSLRLKPFCLLLYGKPGSGKTVLSPEILKYILKVNDYPSTDDFIVSMSDQDKFF -SEILEHHTGVTLDDLAQARVEQSEANPCLLFLKLANNEDTVAPKAEAHMKGQVHIQPKAIVGTTNVADLQ -ASEYSVDPEAIHRRWDMKVEILPREEFCTDGKLDIQKIEEAGPIRSFAEVCTASVFELKPVNKTTKTVFQ -REYVKVDGKELVKLSIADLLLLVKDRSQKHFASQQRLVEVRLKNNVLSLDPETGLPMSVVEERERRSKEK -LQEMRQREAENLEVFTRSFQRGVRVRRGQTLETVEEKIRERVLEETLEEEDDMEEQLSWDGLPTLPRITV -QQSVEHVLRFENMFFSSWFTWYDTLYSTRYGLRLFDMLSLISIVRQFSYLLGIDFIALMVMWRYATMGVV -IIFLTWNCLAFVTMVSAYRMWYFTTYAHNPITSRLVAIQRRTKRMMIDYQYPLLMLAGTICTGWAAWWMV -QKRKKHPCVQLGQKMTPMRDDYTRENRYDTPDISSVIVSPRLRSSTHEELLNKIQRNISEVTFYKRNTQQ -FTGLHGRMLALGGNVWLVPNHFIRPDLRHDVVIKSPRQSPFRVVWDGEYAVRIENTDMAVLWLPSGGPRT -DLTYAFADCVPVRDLEVYVSQVKEGVVTTSEPLKATPAIITPKKCDVTYESYKYSIANGSGMCGSVVTGR -GPSPFIVGLHLAGNQENGFGYCGKLTQGKLQDALQKLDKIPGVCRAVASSPLCTMQMGKEFGPLREAHQK -ACVRQLDDDAKFKFFGDHSLGRSHYSSVVITSVISDKVSKIMNLDKVHGPPQDMKNKKHWMRNMEMRTDT -VWGFRNDVLKRAYVDYQNQIVRAVQPLLAKQVFKIGDDENACGVDGVVGINAVNFKTSMGFPLSKKKELY -VQDSQRERDDISALRDVDQEVWDLVEEMESELLAGKRCNTIFRGSLKDEPTKIGKDKVRVFQACSFAFSL -LVRRYFLMLSKLVQENTESFECAVGLNCESPEWTQLMDYVLKYGKERVIAGDYKAFDSKMSPQVMLMSFK -VLINLAQMSGNYDEDDLQVMRGIATEICYPICEYDGSLIEFWGSNPSGHPLTVIINGMTNSIYMRYAYFV -IYPKTKDPFAKVMALITYGDDNYGSVNRRYAQFTHTRIAEEFAKVGIEYTMADKEAQSQAYVHAEQTGFL -KRKPIWDDDLGEYRAPVDENSIQKMLHCHMKSKVLTENESAMEAIENAARAYFQYPREEHERRRVQLEQV -IDECNLRHLRREPLPTYDERLQEYLHKYRLGQA - ->YP_009111336.1 predicted replication-associated protein [Chaetoceros tenuissimus RNA virus type-II] -MNLFKICGEKIENVKRALVVETLFQIHSFLPLEPQSEFVDKHSRRDQFKKRQKSAQKERRKEHNRTLNLT -KKVNKDKKQRTRFVHQGIFGTEIDKEGVKNSITSLFVDVEQKVKESFADPQLVAAISTKMAAAISAMHLL -KGEKRPSKIVATLTLALTSIMPELTQRSVTGTLNFSSAQINYFRERFGFNPFEQQADFDDNNKNIAWLSK -LPEYLNNWEAAKQSPAFSKISELISIIATMGFIDGKRLCVSVKGLELFRLGTIKKHADVTDLVSAVLSSL -EYFISGGYEFFLTGSPRRFLFDDQDAKEFDDLYEMLLEATPHAKSMNLPIMRVEFKGEKIIMDDTKYLEH -LESAIKLCKKCKKLSKNTWQTSFFQTRLDRMIGWRADYNARRSNGKFRKAPLSIWIYGTSGVGKSALSQL -LIKSLLSYMGVPDEELDRVASINEQDKYDSTITGGVHAYLTDDVMNTKAEYLETAPTQKIVDHNNNAPLF -ANKAEIEGKGVTPHNPLITCYTSNRKIEEVANQYSNCTESIRRRMIINLDARVKKEFCIPGETRMDSGKV -IEKFGTNPMPDIWEFVISECASSGQNGMVELGRNFQHSLTGGHKFNIYEVMEYCYMKADDHMKNQNVLHE -IQSSLVEKLNLCKTCKRVGAMCVCDPNLEIPRSEPQGIISTNDSESSLDVLEKLNNIPCLPDDNIRDALA -VEAMCQNPNNIIEGYDREEWNAHLLDFENQANLIPPPQDWFRNDTDLEAPIELQAVHVPLWSIIPGMNRQ -EIVRTFQASFHDLVAWMEFMPIIFLNFGDILIARFFTHRYVRRIYWALWSHIFTDHIRNVVLFCGLGDII -LTSAFYILFPYRVFTITFALLQVFLSCTAIVLIVRWYRDRMSLLDRIGGGVQRTYREIRTIRWREVAKWL -SVGIIAYKVLSMICNALKARKLVNSVLEHQSALEPEDEKEIKDRDSKISDWAKPAWEELHVTHKARTTTI -EQLKNKVAKNLYHVYFAAEDGKTNKCDGLVIEGNDMMVPLHAFGSKTKLKVACRLKEGDGLNTVFRGYIS -LNMASVVEGVDLVLASAPFLNPHASLVDYFPEKITHSKGAGYFMYRDEDGSLRDDNVAFKTSMRHSGGTG -YTYVLPYKTFNGLCMGVLIGEFDVPCIAGVHLLGSPGTPVGLALNVTQKIIADLKEGMKSKPCMNAMSNG -DFPKELYGIEVVNQSSPIHPNSPLNYLPKYSKITAVGECPGRSSHTKSSVHKTIISDLVDEVCGVPCTWG -APKFNSKRQWQASMQYSANTSCGLDPELLEWAMNDYEEDLVNAFTQSKHVKWIKEEFKPLNDMEIMTGRD -GARFLDAVPKNTSKGFPLSGPKEEWIERLDPDAFEEFQCPVAIRQEVLDLAADMCERFRRGERAYAIFKA -CVKDEPTPLSKDKVRVFQAASWAFQLLVRKYFLPLARLMSLFPLQSECAVGINAHGPEWDEYAKFMKQHG -DDRILAGDYSKFDLRMPAQMLMATYKVFCNVCEKCGTYSEDDLTIMRGIATEISYSVVAYNGDLIIHNGS -HPSGNNMTVYGNCGDNCLNFRCGFAYNGLKNGYTLKTLPKFKSVCALGTYGDDAKGSVKKGFDWFNHITF -ADYMAKNDIVFTMPDKESTPTKYMKDTDADFLKRKNVFNEETGLIHGALDEDSIFKSLHTVLKSSVGAKR -HAAGNIETALREWFHHGRDVFTLRHKQMIEVAERAQLQNLSMDVNEETGVIMNSLYDDYDTRLAQFKVKH -FDS - ->YP_009047193.1 predicted replication-associated protein [Asterionellopsis glacialis RNA virus] -MFMYSMIMAVLMVLFTASAEALALDNFVVNSLYWELPLYEVIMWISLIHSFILPFTHFRVNTTRKSFPQW -IIVFFSLTSAIFNFANIKSMAIMYNMPVILNLLLFWLDIICHFLLDIQSGKGERRDHYAKKQKNKRNAAK -KRAMSAQLMQRAKDETKRCSINKKQPKLESQVGKKDFMNLAYDMSDEAVDYVGRIWLYFRSVMEEFQIPS -IPWDALTNVKSICEENLPRIVNSELIQKFNFILSLFVAIGWLKRIELSLWGVCVFKTQPLHRTVTLVEVF -KEIWSLGQKFMASFLQFVETGDVTVFWNDTPKSEFEDLYTRIVSEWPLIDVGRTGTLDFASFDRELDNCI -NYSIEQLKTCKDGERTYYSSRLLALRKVAVGRCKQKKGTLREAPFAVLFTGGSSVGKTCIASGIGRYVAG -VGGYDNTPENCFSLNEQDKFMSGIATHHTIIRIDDICQTTPDKASENPLEKIIMLCNNQPMPATVAEAEK -KGQIMLDPRVVTATTNIADLNAATWVVEPEAIYRRFNMHIEQKVRPEFCKEGTKRLDGSKIAHMAHHTFP -DYALFRPYTIVVRPNTSSSKGMDKVTQSFERKYPFGENTWVDIKQLLELLREEATAHFEQQRSFVAGQLA -NAELPICTSCGAPSELCSCDELDSQVGLPGVALVRDWYLALEERICSQIDIWLYECFMSRPGMLFIGFNY -REAINEAVQQYAVNLVIVILMVLFYELNGAKYGAFVLIFAFCVYFSFLYWKVMQMRAEMITRWTTCPRPS -VWFGELSWDTKKKFLYTFGGLWLWRILRTAATLYFNTLKIDQSGEDTGTNQGFEKNEKTHQEGETPWWGD -VGRIIRESRNKFSIERGEKAATTSVDRMIDVLKKRQCVIEKEDGEFCNIVPLESNLWVLPTHIIPSSPMK -ATIRRPAGNHCSVMLDPASIVSCKGDLSIWFLPEMGDQKDITGYLPLKIVKGDKNIECKMVFNDGNIVKI -SEKFLATYGRVVTTRGGFFLGTNYSFPVNTFNGLCMGTLVGLGKKQHIVGFHLAGKNRKGGSGTLLLSDY -QAAKEKLMLRPSVLVSHSSTPFETKIQDVDVGPLKAPNEKCVTRSLPLGSKIKVIGAHNKPSSSPSSKVV -KSVISDSVTKIMNIEKQHDKPQSMSNIRHKEVDIAGKVDTVFEIDQDRLDRAYVDYATTIIDGLNKKELN -QVRIISDDANMSGLDGVLGVNAINFASSRGFPHTGPKTNIVEESDRMVEGISCVRDAPPELWEEVKRLED -ILASGKRINTVFKGSLKDEPTKMTKDKVRVFAACNFATILLVRKYYLSLAALVQRNQKLFECAVGVVQQS -PEWTDIFKHIGKYGWERGIAGDYAKFDARMSARFMLAAFKILIQVAEKSGNYSDRDLVIMRGLATEITYP -TYDYFGTLVQFFGSNPSGHPLTVIINSLVNSLYMRYAYYTIAKEESWWRTPAFREVVSLMTYGDDNIMSV -KKGYPGINHTRIAEVFASMGIKYTMADKDAESVPYIDLSTASFLKHFAVWDDELKLYRCPCEEGSIAKML -HAHMQSGVLSMEQSSAEAISNVSLKYFEFGREVYEEKRDQLMQVANESGIMGYVSDLPSYDERLEWYREK -FDCA - ->YP_006732323.1 polyprotein [Rhizosolenia setigera RNA virus 01] -MNQNCDMKGYKFSATEKYAKKMISLKIKREKEQFNQRYLKYSRKAMLAQFESQAGFTKLTEKGLDRLAIA -WRNACMKTTERGFEQVLNYGENVILYLLSLKDCKTYSQFIAITTMYVKLHCPDQALTAKIVDMISLEDFK -FFSDEEKKPQSTENIFQDFEDTNLDEFDFSSQSSLIADFLQGVNTARNSVVASKVSSAIATLLALGFVSE -TKELSMSIKGLNLFRISAAKGQKTMIDLVEILLSTMQFICERGYKCFQTGSVEPFLYDDDTAMEFQEDYI -RIVTNFEFVKLGTYKGQNKSCPWASENDFERDLEGVISKCREICSSASNYDRILMFKHLERLLKVKTDFE -LVRTSGGLREAPFAFCVFGKSGIGKSTIVNNLMSFALQTDARVRGVKDYTVDPNSICTLNELDKYHSDYK -SHIEAVLLDDFANAKGSTTQVNPTVNVINFINNVARTAIMAEADLKGKIQIKPKVVAATTNVKELEAKYY -SNEPVSILRRFPLHIEARVRPEYLKEAEGAFIDGKKLAEEAEAGNLFPDAWEFDCYEYFDPSVGQNGNNC -LDRPLTFVQDGIEQAAMHIGVKELLVLMQSMIKNHVMIQKSVVSSSKAIFDKTLCHECGMHSEYCTCHDL -DDVPDLDEFDSQAGFNFSNFYEEYVEASLFRWENYIPNPLFITNKTQWFLTWLNSKKFFSESMKVNAFVI -LITIIGWPAWILYTLSLQCAVSAAALRARKDYVISKLLQSRELVPRIIQNIRDMDRETGKKIFFFASAVF -AIYAAYKLYKHLSSATMDEQGNGMSVHMETNNVWLEPTLEDLPKSEEGYSNTAVESLERAVTKQLVHVEL -GERFSNGLFVGANMLLLPGHEMPKVVTQMKIRRDGIGKSSGLNFDCQINPEDCVMLENSDICLAYIPRAG -DRKDLIPFFPESLTTHKSITKVLNRTPEGEIMTDFTRIAEFKRVNTDKTSFYGGLVKYSRPTFGGMCMSP -HLYNGRQSFIAGFHAAGVGNTPVGAITRCTRSDIRKAKEILASRSTCVFAMHSGDMITQSYGKDFTPRAE -IEPRSPMNFQEDVQVSHFGTMPEGKVRPKSSVIISPCSEIITEITGNVRIHGKPANCRKPGRDEGNSIRD -WAPYQKYLAGAGNAFQEFPADVLAWAYDDYISGIDELVKTNTGKSLLAKVRILDDIETVSGIDGMKFVDA -MKPTTSMGWPVNKAKTTFLTDLVYDPEEHKTTVCPRVLDDETLALARKARLAWLDDKRSYDIFKTCTKDE -PTKITKDKVRCFQASPVSLQFNIRKYFLTLCHFLSSASLVSECAVGINSQGRGWHELNQHMIKYGKNRIV -AGDFKAYDQHMSARMTLMAAKVFEYIAGLAGYTEEELKIMRGASTEVSYPVMSLNGELIQLYGSNPSGQN -LTVYTNSIVNSLYHRCAFRKIYPNFSGRYRDAVALMTYGDDVKMSVSPKFPDYNHTRIQSEFNKQGIEYT -MAEKEAASVPFIQHEDADFLKRKSRWEPSYSYTEGDGTINKGMWLAMLDEESIFKSLHANLASKVKSPEE -VSVQCIEGALREWWFYGEETFNFRHAQMKEVVSRLGWTNFMSTQFWDTYSARESRWLEQNEVTIM - ->YP_002647032.1 non-structural polyprotein [Chaetoceros socialis forma radians RNA virus 1] -MFDCLSDFGTILENPCCAGYYFDSEFLKFTACDLGFADPALYEFYSESEERSYRRGKYHKHMEALARERK -KDSNRAKKCGSKERAAMRRLKEEDMDSESALGAFARDSCNEVYHRYRLFRDNLDSEASTQKALALIEDFF -LLYFALKDCTSMTQFMSIMTIWLKSKCKVDSVKTLFEIAFGVDTQEKVMALLLSPFIKSGSTTGEFSSET -NEMLSDLSDSDFSEYSSESASDEKKHIFLQFRDALGALSSTSKDVRSSPLFSQVSALVSTVLALGFLSDT -KTLRVSVKGMDLFRFNAAKGHKNCADLLEVCIDTLKFLVEKGYACFTNGDTSAFFMVTDDGLKFDQDYVR -ITSQQGYVREQDWIPSPWLDQSDYEQDLLNCIENCEILLKAAPKGERYLIIRRLETLKKCHVDFTQTYKC -KSGLRPAPFSFIIKGTSSIGKSTIVNNLITFALQTCAKNEGKEDYIVNPDVICTLNEMDKYHSDYQGHTQ -AVLLDDLGNANPDTIDVNPTVNIINFNNNIKRTAIMAEAELKGKRALQPRVLAATTNVDMESMSRHFSLE -PLSVLRRFNIHIEATVRPDWRVPGTTFINGAKLRASAEEDNFFPDAWIFDIYEYIGENKSKENRKHQHFS -KRYLKDREGKQLKRVGVAELLELFRDYIPQYVESQKSVVSSSKKVYNQILCPHGVFKSTCRCCQAMNSES -LSESYEDIRSAISGLSFVPNFDVLGYLPNFVLRSRVMNHLLSYRTIKENKRMFGLLNLFGLGVCIATNVF -LPLRSYSMLSSVIGANMFMFSFRKQQLWDRLNACHDTIPRLIDSINERQKKIGKMVVYFAVGVLALYSAY -RMMSGLMKLGKPTSQSDSVFNEDLTELTENIWKSVPIAPLPSDLKNGHSYNDISFCVIRQLASVTVLDAI -RERKTSFNGLFIDSNMMLIPYHERPQNKVTFCIKMIGGNCVSGKNFNVDLTSVDCVPVGKIGDTDIGLVY -IGNSGDMKNLVKFFPTNHSAHLTTTGMLWKDKQGVLKERKCKFQQRACLTTKEGNRHANGFFYRMTLPSF -RGLCGAVHVSNTRSKSFIAGMHLAGKSRVGTMSGGGALTQQEIYDAIESIQLNHPSHVKAVNTGLYESEA -YGIQYPVEEMVSKKSPTNFMSIDKNQAQVDVYGTLPSTHFVKPKSNVIKSPISDAVTKHCGIENQWGPPP -NCRRKDQLNSQPLWGPYQEYLDGVSEATQEFSTEVMDRAINDYLDQIYRYAQTPRGKAALEQVKILDPVA -IASGNDVKFIDAMKPNTSMGFPINRPKREFLVDLDPNEFPERECPRMLDDVTMAIAETARTFYAMGKRAY -PIFKACTKDEPTKMSKTKTRVFQAAPVSLQYNLRRSCLPVWAFLSSSPIYSECAVGVNSQGCAWNELDRF -LTSFGTNRIVAGDFKAYDQHMSARMVLISYYIVEQIAKLAGYSDEECKLIRGMATDVAYPMMSLNGELIK -LFGSNPSGQNGTVYTNSIVNSIYQRCVFFTLYPEYEGNFQDVVHLLTYGDDNKMGISPDFPKYNHTEMQK -VYASVGIEYTMAEKEAESVPLINHTEADFLKRKSRFEPKFTYVNNFGYRYKGMWLAMLDEGSIFKSLHAN -LASSTISPIEVACQAIDGALREWWFYGRDVFELRQKQMIQVITEMGLSDVVSQSVFDSFDKRETDWMIKY -GVEYVLDVEE - ->YP_001429581.1 hypothetical protein JP-A_gp1 [Marine RNA virus JP-A] -MYSSSESTGGGSNFPRSSDQCHEMKTPNFVSGNASASVKAIPVVHSNNSLSQFNTVPVQTQDNAEAHQAK -QVYDKLAQASTYFPSKHDNYNQYAKNRASSKKEAKKNVQRFQGKTNPKKQNGSKKNQKSKYVKQSLLETL -YPSGIIDQAKNMVLDTHINANMSDLTNVLENLGLLSYSIPKCNSKLEVAVQLALALKTMYKGSVIEALLA -HAPTVEYLKQAFGYNIFHPQSGDVDSQDWLSYLPKLRENWDTIRCAPIFEKVSNLISVAATIGLCSVTNL -AWSVEGIELFRVGSLRKHASAFDFFGAMLDTVISFIEGGYECFRQRSLKPLLFTTDAGAEFDDLYFACLE -LHQHALIFNLAANPVMYKGEKQIITDLQYTAMLDQAVEMAESAYKSAKGTWQASVLEKRLVNMRTQRAEY -SARRIDGSLRYAPLTLYVWGESGVGKSTVAQLLMSDCLVASGADPDACNTAVLKESDKFDSTLKGDTQGI -YLDDMGNTKIELLDRSPCERMIDINNNMVTYANKADLHEKGKIEIRPHIFIVTSNAPLADHARRCSIKPM -SIVRRGDIHILVKVKPEFAMSDGRLDSKKANAAFPDETFETDVWDLTVYVPDGKNKQLMLGPIDGSMKDT -HMNIHDLLEYACDMCEDHFENQRKLIAKAESLVVSREYCSSCKRPARRCKCPTEPQSEVPPAESEDETTV -EEIDESIETCDHSDLSWDLYWDLYDRENLNEAFELQSLRELSFDSIKAQFERMPRFSSAIAVRVPTCIVN -NIWIQRAYMCVHASEFIALERNTRRSMMSFVFMMFLCGFLSGTFSAGLVLFTLFVSMLVYYTALAKWKND -MCEQLASRRDITDDLFASLRQSKAVQFFSFCVVAKVIYKIVSSMKIMHEHQTALAPETVVEVEKRNAEVN -PWAVPVVSELHINHRNDTMTIDQVTAKISKNLFHVKLVENGFQQSCDVLALGGISYLFPLHIFENRKDMK -GLFTRYDPSKIGGTFKGVVGVTNMVPIPGKDLCIVNIPSGGVRADITHLFPDLLTVSGNARMLYRREDGS -IMNDVVRANYIKNSEAGGAGYHYHCAYNTFTGLCGAVLVGCFAKCTIAGIHLRGISGTSSGKALTVTRQE -IFDAIAKTEDCVSSFPTHVNGTFPTTRYEKQVITSTDIHVNSPINYLPEGSDIEYFGQTGQRASHTKSDV -VITPISDAVTEVTGIERKHGPPQFNNKLMWQASLAHSSNASAGVEPSLLDKAVIDYQAHILEVFQSKEFG -DMARAELKPLTDMEALCGRDGARFIDAMPRSTSKGFPLSGPKSDMITLLNPEDYPEFACPAECDKAIIDE -MNKMITAFLGGKRCYTIFKACVKDEATKIGKEKVRVFEAADWAFQLIVRKYFLPIARMLSLFPLTSECAV -GVNAQGPEWDQLARHMKKFGADRIFAGDYSKYDLRMPAQLILAAFKCLIDIAKTCGQYSVDDIKIMQGVA -TEIAYSCVSYNGDLIIHCGSNPSGQNLTVYINCIVNSLLLRCAYYHMYPAAEGNPEPFRHNCAVMTYGDD -VKGSVRQECDWYNHITYAQFLAERDMVFTMPDKESTPTPYMNDLDADFLKRHNLYNPETGLIHGVLDQNS -IFKSLHSVLKSKAISAEDQSAQNIDGALREWWQYGREMYELRRDQMRQVAIKTGIAHLCTELETSYDMRM -QDFKEKYEL - ->APG77928.1 hypothetical protein 1 [Beihai picorna-like virus 34] -MMITLGFLKKINISFRGMSLFVSEPLRQQVTVVQLIEKIVLFGKLLISKILLVFETGNIDLFFQTEAKNA -YDDEFTFIKSQKARVDIGRKAEVDDESYDRRVHECIATTLSLLNSCKANERTYYSSRLATLRDIQTSRTL -SQKEGIREKPYGMLLFGGSGVGKSAIANALTRFVLQSNGFDHSPRAVTSLNMEDKYQSEFSTHHKGVIFD -DICNTALDRTDGSPTLPVIMFLNNMTMAALNANAEMKGRIMISPMVVVGTTNVKDLLSNQLSNEPLSINR -RFEATITQKVKPEFCRPGTQMLDSSKIAHMSKDQFPDYALFTVEEPRYWENYTGDKFKSGRTQHVTFIPR -VFEGKELVDVDIKTLLRFLKEDSAKHFAHQKSFVQSQRDLSDMPLCECGLPVGMCDSCPLDSQAGIPNVS -EVVEYLTALEIRIVAWLNMFLQALIVSRFGSAIIAYLMRDKLRDIVVNSIGYYIICVLITLAYDTVVHVR -GSWMILIFTVLYLLYVIVRFYMIRRSVIKKFANIPLPSQYIRDMSWSTKLKVMYFLMSIGIWKVLVVLAR -KWKTLPTSQAAKPITIEPDAKSWQNETEFWDVHARERQYLFGDAGISEKSRTISMDDFTNLIGNKLMVVE -KQCGEFCNVVPLKSNVLLLPYHMVTSKTEFVTLIKIGGHTFKNMPLDDKVAVRIPGTDFAVWYCPGAGLH -RDIVDYYPKDIDEGKKVDVFTIYNNEGKLIKYANMTATRGRIITTQGGIFQGYKYSFPEKTFGGLCMATL -IGQVNGMPFIAGHHLAGRGFNGAAGVLTRNALLDAISALDEKPGVLVSHSASPMETRSMGIEFGPLTAPH -DKCITNNLNLDSKIRVHGGHSVLSRSSPKSAVVTSVISSAVKSVMNIEKKHAPPREMGALRHKELDISGK -VDTATEFDSELLQKAVTDYGLSLFTISDQELSKVGKMSDDANLAGLDKVLGVNAMNFSTSIGFPGKGPKT -QFVEKSDRHVGGISCPRDVDPMILEEIAKMEAKLLAGESINTVFKASLKDEPTKMSKDKVRVFAAANMPF -VMLVRKYFLSLAALVQRNKIATECAVGTVVQSPEWTELFEHIGKHGWDRAIAGDYAKFDGRMSPQFMLAA -FKLLIKLAEKSGNYDEDDLTIMRGIATEISYPTYDYFGTLVQFMGSNPSGHPLTVVINSFVNSLYLRYCW -YAIAKEKGWWKVPRFNEKVSAMTYGDDNIMTVADGYDDFNHTAIAEQLARVSIKYTMADKDAKSVPFINL -RDASFLKHFAVWDDELGVYRSPVEEDSLAKMLHTHLKSKILSMEQSSAEAIQNVALKYFEFGREVYTERV -AQLEQVARDSGIQGYVGPIMSYDDRLAWYREKFDL ->APG76803.1 hypothetical protein, partial [Beihai picorna-like virus 36] -MNMNTINNLADTASTVSEIFEAFKSFIARPDNKDQEVSEQLLTRVEDLLLVLVGLMEARSVTSAIVMTLQ -YARTHYNRSFVKLLHKFFDNMRVADGGGEPQAVPRAEREKAWKMFKKQSGLGKAMYEGLKERPLKDRLHD -MRVSGMSECVKHCIQALIIIGFMPEKAESILGSSVYNFVDVRMNAKNSPVDFIESVFHCIDWTVQCLIPA -YTQEDWSLLWGKNEVTELSSEYVKVTDAVALMMQGRMDLVKTKHQYTTEGDVLFAVEDLSLKYSMYLAGM -RKDKTSMHYDTVTKRLINLNKLAGDIYSTLKETPLREKPFGLLIYGDSGVSKSTVLNIINAQLCKANEFR -CDNNSMVYLNSEEKFHSAYRTWHITFVFDDVGNTRPERTQENPLLQFIQFLNTMHFCALSPEADKKGKMQ -VLPKLGFATSNKKDLNASYYSINPTSVLRRFEFHLGIKLRPEAIDQSTGMLREEFVHHPCPDVWKITVEH -IRIVRMGGGVADVCLYVEDLKDASIYDTLDFLVAMSKKHFKMQKALVSKQTELFNMERCNEHYYPVGFCP -HCAKQSEEEAFVKQAGFGGLVDRVRGQIGRAKAATLTPHFEEGFLPEEVYPVDADLRNATSQSPRERALE -ICDMAVGVMERARAEYPLASMAGMGVALVGVYQLLKQGSQLLFGEAKLRMQREVSPYMEGKQQDFWRRPV -VLEQTIPEAAKTTPLEQLENKIWKNLALVSITTCDNKYNVFAIPVGGADWLMPLHAVQPAFEGSIKLELS -QARATGCVGYKKFEEYIFWDQVAPVAGRDLAVVRLTGGGTVKDFRSFMQFQEYEGHAKIMFRDTNLVEFS -EPVKLRRATLPDYEVGFPQQGYRYTTNFKTFLGLCGAALIANGKSPMIVGMHVAGNGYMAAGATIVVEEI -NVAKLVLDKTCPNLNESAPIPDSEYGFAYNLRPEVHFKNPVHFLPRDKEYNLEIHGQTDLPAARFRSSIA -VSPISPLVTKELGSSRKHTRPPTHQDYMHYQRDLEIMAEVKKPMYPATLKHALNDMKDQFDQFLEKTPSF -ASAIGLLTYDQAINGIPGAIGIDHINLKTSIANPLRGPKGKYASVSFDGENYTIEFDPTRLDVRSRYEEL -IQTLREGKRVNAQFSVFLKDEPVTFEKYDAHKVRTIGACQIAFTLVVRSYYLTLMAARRRFPLQFESAVG -CNAAGKDWRCFAESLRDPNRIINGDYKGFDKRLHAEVVTAVFEYYDHIFMKVGMSDDFRRIAKGIGSEII -NPLYEIRGALVSICGSNPSGQPLTVEVNNDANRLYMRYAYYRMHSEPMGLDTSRPPVPQFNQVVDLLCYG -DDNIASVDVEEHRFNQMSVAEELAQIGTVYTGADKKPMTEPFIHLRDADLLKRKFRVHEDLACVVGPLDP -DSIDKSLHMWRTDTPLCEGEYLAGVLRQALDEWFLHGPSVYADKRTRVERIASLHTCYPPITDFLELPTY -EQLVQRFHDTTSVMEEYSQVVHPSRSTEFVKQSGVEYVDFECGADPNPFKPVEGDYVAILLQLMALGYFG -AAIASSRLRFQLRDPHVMVKLLVPFLKVGPVAASVFSYVWFFGSITAFKDLISDGRRRTVTVPSRFKHRS -VVAKRKYRYQCMIYMDKDGNLVKKMKWCRVYGWSAQAGLPGSSSAALRRHLVEVYLAARLDRDRHWARLQ -EVHRQLLEHFRCKRAHYLDMIIVRDELDMLAANEGQTIYVPCPSLVQGMHWEERIRERERTHARCVMMGR -AWISLKLSDSPLYDLLGRDVLFRVLSAGAPLFEAVSPAEYFRSGGFGAQAIRFREEFENSSFPLPETGLG -WSRLENIAQNNQEESSREDSRSKPSTHDEPDISNQEVIEFTMQAGEGTLSPDSFMNPPPSGDDINEGGLA -PDTTTQAIGGFDDYNETEEMVASNNDQSFDMATTEEASFENWFTRPTPIFNGDWNINAPFVATLFPWDIW -AKQPRVANRLSNFKNFRGTMHIKIMLNANGFYWGRMLASYDPWLTFYGNPVFSAVEHSQKPHLWIDPTNS -VGGQMVLPFVHENNAVDMTVAGAFQQMGSLELRSVVDLRHATTSRPVGITIYAWCEDVVLSGPTQTNLDG -LIAQAGSEFGTGPVSKPASLIAAAAGKLSKVPVIGKYALATQMGAKAIGNIAAMFGYSRPTIIAEPCQNN -PLPAGNVANVDAPDTSKSFALTSKQEVTIDPRTVGLDGVDEMSIAYLAAKESYITQFDWPYDADSATLLW -NCGVSPMMNTVVTGTTGDAYDLTPMAFAAHPFRYWCGSITYRFQIVCSNFHKGRLAVVWDPVQGTAGPNV -QVITKYNHIIDIAEQRDFEITVGWGSDQPALEVPPITDA ->YP_009230122.1 polyprotein [Marine RNA virus PAL438] -MKVVRDQIGENVLAIVLDLFTTLFNMYKSPSWDSIVINMTSFVTRNFDIRFTSMVMKWFEDLFSFASVQA -DVWKDTVLRLFDLSNDFIKDRLWTNINEFFVKIALVYGATVDLIAFETFDVKTVMEKFVKFQKNLPEARD -LIAMCFDAYQFVFSHWKEICTGQWQVLFLGKDEAEIFEIEVRVLEQAFPLVINAQVIELKTIYNMSLQDY -ESRLVDALKVAKSLIVRCTSVQQRMSVSNFVRSLTEKQGALQARIADAPTREEPYSIKLSGSSSCGKSTL -INLLSKTILNAYGFEPDKPGQTVFTNIEERYESTIEPHHKIICADDVGNNAKGTPNYDRLLNYNNVVPRP -LEKAGVEEKGEKYPGNIALMVTTNDETLRAKELSVCPESILRRFGLDIVVEIREKYRNAFGGLIAMDEMN -YSVYRLTLKRFSRIEECGTIAWDVLPRSSWNPFKDDEHDLHALLKFLVGDVKKHIVHQKAKTKAHQLLAE -GGFCGDCSCPKVVCMCEPKEPVAECQFRFGTQWAGYNTGELWDLRTVYAGMSLRTTNLTRRVLFYRSLWS -ERHNFYKLFCSAIGSMLIGCFLSKTAAQFSLLHVVAFAFYKHHTILKAIDEEIAERRDSLSSLCDSFRTH -TENNAKKYFAVAGVMFTLYGFYRTLKPFFQVQDKTTYFDNVSNLFDSNIDYPRDERFKVVTQDQRDYREG -YSRLPPKITRKSATTTSENLQESVARSLRHVITFTEGKQFLTVNGIMISGNVLMVPAHVIPGTFPFDIET -SSTPGTPSAKTKDQKIGEDSCYIDREHDVAFVHLASSPASNSFKEFFPEEYPTFYSRSTVLLWKSPKGEV -LKSLQASRMTTEDLDYYGFQEIPGRFYGTKSALTKLTVSKGEGLKSTLEFKGFPGLCGAMYIDRDKGILY -GMHVAGYNGSPVGFGTCITQPLIRKALAKLDQTSPTLVTHSLGDVSVDTYGAPFTLHNVKPHYTRDDGTQ -AETIVTYLGEVHRDGRPLENRARTPYIPTPFVGVTEEFGVSKHKPPTKVNDIKKSMKTLNKLTTPVQHYE -GDILARAINDFRDQTVQVIKDHPEDCQDMLRIYSQEEALDGIGEFGLGGLPNDTSAGFPINKSKKRCLKK -DPMDESLTQIPREFSDDYDIQTEIDATLESWSNGERSEPIFKASSKVNELLPNAKADEKVRKFYGSPMAN -LVASRRVLAGVPRFMRKYWKETECMVGINATSKEWEEFHDHLVEFGENTMIAGDFSGFDTRMAAQITGGA -SKIILDWYKAAGCTEEELMWVRGALSDIIHPNILFDGQLFRFANANPSGNFITVQLNGVCNSIMMRYVYY -AMMPQIKEKFSQNVRLGTYGDDNAMSVKKRCGWYTHTSCQEQFERLDIGYTMADKDAVSRAYIGIGEISF -LKRGFAHHPDLNKIVAPIEEDSSLKKFYYIKKPNDTPLTASEQFAAHAEGSFRDRYLHGREAYEDFTRRI -KSIISQNESLKGRVSLIPYDEMTVILSADYAPTYVNDNKKLFSESFGVSEEEFYYETLDDDMSVPSLEGC ->APG76840.1 hypothetical protein 1 [Beihai mollusks virus 1] -MFNYNFLGEEITNATKGFWLLAAMLVISVVYIMHINSITLFSQSGKAERIAKFESKKRGSRKAEKRKAIS -SQQRFNHIAECKKHSAKNNNTKKQVSNRKCLDSQSGEEEQSKRNYLNEFITFYLQLFKCLFIFLFWYALS -FLFSIIVRICIEAVKSPKMKKLMEDLGIPDLANPNTTYGYVYSPWKEAKDFLFGSMSSQSGGDLDEEDTE -YFKEFFGKGKKWLGELFKFISSKIDIDLDKHYTTLGYLRACKLVKQLNLIFDILVSLEILENFSIEIKGY -KIFTPSKLGKKTKPFDLLDACYEFCSLFVKACWAFPEKGFKAFYEDAINGVFEEDYAYVLSSYILFETGK -NCDADDIKEYDLRLQRAIDAATTSIKANSEKAYYTPKLKELKILQAKRIASQKDFIRMKPYGILLFGGSS -VGKSSIANAVTRYILKVNNFRASSDSVVVLNEADKFQSEFRTHHTGVILDDLCNSTVETTEGNPLLKVIQ -FINNSPQAALNPNAELKGNIMIEPRVVLATTNVKDLNASHYSNEPLSVARRFDITVTQTVRKEYQLPDSA -MLDSAKVERAFAGKAYPDFALFTLERPILHSGNIRQGNNKTQRVTYVPIVFKGKEMREVSLKEFLEFLKE -NTAKHFREQRSFVKTQRDNVDIQLDDEGFPLDINRTDEVLDSEFGILDNVFQKYYDLETLIFRKISELVF -VILSTRICRNWIISKYCIGICVKAVFVYTICLMCVTHHPRIYIVLILTIQIQQWLLYRALCYVIKYKIQR -MKKPSDYLKEMTMLDRARFISMLGGITAMSMIGSVIKLIYDMLTSEAAEFMRPSVDITEKKKENLATEFW -DEHSRYKRFTFNPRISGFARCTTPDQLTGMVSRRIMMIHIKLKNGKTRFCNCLPIRGNMALIPSHVVPDY -NAEALITKPGANPKNVSISMQSCYRIPKTDICVWYVPELGDQRDLTAYFPGDIAHGKQVVGDMVYNDQGE -IKIYRKLLGTRTTNRTTLGGSFESLSYYFPEQTFQGLCMATFVGRDNKDMPFIGGFHLGGKNHTAAAGFI -TRDQVLDAIDNIAKKPSILPSHAGQSFETKIGDIDVGPLQEPHELCVTRNLDSDARCIVYGAHNRPGATP -KSEVVVSSISQKVQEHLGLERMHDKPYMMRDIMHKEVDIENKTHTAYKFDPDLIDKAVVDFNTTLISNLG -DKLHNIGKLEDDVVLAGLDGVLGINAMNFATACGFPLVGPKTNLVSKSDRKVEGISCPRDIEPKVLEEIA -KLEETLLNGNRINAVFKASLKDEPTKIGKKKVRVFAGSNIYFVMLVRKYFLTLSALMQENKEVFECAVGL -NVESPEWTKMMKHVYKHGEHRVVAGDYKSFDGRMSPRFMLASFKILINLAELSGNYDADDLTIMRGIATE -ICSPTYDYFGTLVQFYGSNPSGHPLTVVTNSLVNSLYMRYVYYRIAQEEKWWRVPLFSKVVSLLTYGDDN -IMSVKPGYDAYNHTNIARVLAECDITYTMADKEAESVPFIHGSEAGFLKHNAVWDDELQLYRAVIEESSI -AKMLHAHGKSQISEELHAACTIKDALDKYAHFGREKYTERCAQLKQVADECNLTGLVGSFPTYQEQILKY -CEKYEWDENPYPPSKEE ->APG78914.1 hypothetical protein 1 [Beihai picorna-like virus 38] -MRIKMYLKVSNEMMATIFDGANALGVNTHSPKEDVWTPDRIRITRSRSMQAQFGQILESITSIRKSVDTI -TSTMDAVNEVLDKCRQVMKLSGDDSVTEAIIARLESLLILILELNNAHNLQGCLYPMLQYIKTWCPNKSF -VYRFQKYLVDILTKDSDDNEVDVPAPQGLEVQGGWFETNWVQLVNGAFGKRLASAINLLILTGFCPEKVS -NSFTAEVYQILNLQALRRQNSSILLHIFRTIDWVVDSVVPAFVNKDMSLLLHDEDVSEVDSMYRNALDMV -QKDATGQHEANKEKYGVADQAEIVVYLVKTAAAHLAIKQKCKDDTFLQKEFLRRLTVLDKLQNDIQAGWH -AKGSRAQPFAVLLRGGSSVGKSSLANIINHAVCQMMGFPEGEEYTVTLNGSDKFQSEYKSSHICVIFDDV -GNTRPEKAEGNPLFILIQFINNMHCSALSAEVEKKGKNDIRCRLVIVTTNTSDLHSSYFSVNPSSIMRRF -SMVVDVEVKPECMDENGGIHPRFAGISHPDVWNLKIFTVKILRNQDHNLMDRYFLVPQTTTGIVGLMEYL -EHAAPEHFETQDRVVEASAALHKKPHCEKHPRFCLPCPACVENFGGLLDYDDCLSCSSTPRELEVQGGKT -CPAHPLFEMPCNVCKDEFADKLVDDLRMDFARINAEHDGTDFQVSELSWRDRIKELTSINTESLKELWRQ -TKEKVKSTDPWLVALGAISAIGLTGLALHQILTPPKLDTEGAIITRIENAARKPENFVERDNKYQRVYSN -PLHCPQASVGTTLQQLERKIDTNLQIVTVSAYDEEKRVDYGPTGWANAFPVQNGYWLMVGHEFGDASMYH -VSFSNNPNVGTKRFEELLYEVDEWPDEAVGCFKRIPGCDAIVARCPRGGDTTHFSKYMIDKWEDFELSKG -MPLLVYHAHISMLKDDVEYKPPSAYVLGTKFDGMERQRLMSGSKFYGDYDCIMYKGGNHKGMCGSMVFLA -GADPILIGMHVAGDPGSETCGAIPLCKEMFADLPKMPMKIAETTEFRKSMYDKPIEIQSAVHYKSPIHYI -EDKDHNMDVYGQHNIPTSKFKSDIIESPLMPLMKEKMNYEPTHTAPKKKSVRPSRRRHMLETTRNLPPVN -PKYIAVAIRDFKRKLKKLVKKKDFKQFVHPLSFDDATSGVPGVKGFDPVNVTSSMGWLLNCPKWKCLVEN -ALAKELGIQTTKFVSKAMVDGRWVYTYEVIFDPEKADVRGETEDNLEWYMEGKRVNAVFRSNLKDEAVTF -KKVAADKIRVFAGAPVSLVLICRMLTLPLIQMMTYFPEEFESAVGVDATGRDWDEIRKILVKHGKHRCGD -GDFKNYDMLLRPEFTTSAAEILKWMMFECDFGDDLLDVFDSMMTDILYPVYESDGLLYKAYGSGPSGHPL -TVVINGLVNCLYMRYAYYAMHQVTELDVIPLFHEVIALITYGDDNAFNVSEKETKFNMITVGEELAKIGL -YYTDASKKISEIPFKDIDLIAFLKRTFNFHPVLKEYVGALEKTSIYKSLAMTRKRQKGQRETIAEICAGN -LNGALRELYFHSPEEFYAHLPIFMEMANEAVDDAGHRVRDYFRPITEDEIVEGFKKTFSAYPAAKAKMGL -EVQSGEVPDWWEDNHLIGPDIPEWWDVQPAQDRPGLEIGTSMGFQTYDYRRMRTTTLALVGLDYLQLVRN -MDRIPNGNTWTPDVWEKFHYFRGICVPFQGNLPHSDSQSAEAYSTYVEILIHCYGGQCVDKVPSLPVVEE -DPTRFDYETVMYLTVNNVHDVHMRRELIAHFAGQYWGLYDDVRLMRSFKDDILTMALGVQHRRFIRKKTA -EIISYVIRKAGSPRLYPIEEEPVFELSERLLLGGHTWMNVFPDDIQSHIVEFFHGEIVHINSFACHKNLD -LSEFSEDLLVWNLGGLFNVNTDFYEDEDGNTDASEMELLAVPQTYPIVFNSLDLGEAMGLLGPQD ->APG77923.1 hypothetical protein 1 [Beihai picorna-like virus 29] -MSSTSCSRGSNNHVAVPVVVPTVFAEDFDDSVWVPIKEACSKKFSKRVTSKMVSNVKRKNHKKKAKPLDP -HVFSEIFGAVHGACATVQQTASDADMALKIAQTINDAKEAVKYPGSDYRSFEDNIVARLEDVVALIAGLS -SSKNFGGFLSVIHLYLRTHYPHSVSRKIMGWVKAIFGASTAYVENKAGEFGLLDQQSAEESVFENRLDVI -RSLFKSWKTHRHGDLSRNLCNVVNILVTFGIVPDWNKNPLTFGNFQLFRARAWDVQKESGSFIEMVLDTA -VFFLERGYAALVNDDLSLLLYSDSGAAAYENEYAAIVSVLPLLEAGKISELKTESFQNDQDFEVRLEALI -AATFDKLKSETSPHMRNTLTNKLVVLKKTRTALLMNQKASCVREKPFGVLVYGGSSVGKSCINATMLKVL -LAHNKYPSSKKHVVTLNDSDKFQSEYRTFHTAVTMDDFGNTRAEHYTESPTNKIIDFLNNVPKAALNPNV -ELKGNVMIQPKIVSVTTNKKDLMAHSFSNEPVSILRRFNVILDVRLRDSYIDPETGGLDGTKINSFVPDA -WLIDVQQVKIVRTEGTKADSYDFVTILKDASFFEALEFLKSASATHFATQRKFVKSVEDLYETQLCRHSY -VSSECPLCSAESDEESNAEEFHTPEENFADHLIAFGSQSVPMCVGIKPDPSLIPERLREGLTEDGQYWEC -CTPCCKVRHASSHGSQLCYDYYKETRLDHQADEEDNSESKDDEDVWEPIPDVNPAEHECLQDAVAGWYAE -RKFAQCTEYVKGACKTMQEAFEAHKKEVLVGVCAAIGITMAIFTGYKVYKKLVGVESFLSQGSEEKPPVR -LDSDVPNPWKAVRPVEIPKSEHSATTTVPDLLRKLRKSLGHAYLIDEVNQIRRKCDIVPMRGNCWLLPSH -MLDQKTYKIQVQTTPQDTLGLNFSQVIDPSCWVRLDNDFALVRLVNGGPVPNLSRFLPMDDFELTSRLLA -TFVFKTPEGMIDEDVVRITSKQRFESKAAIFNGFSYDYPRPTFPGLCMGTLVASQRRACIVGFHLAGRTG -ESFGAAGILTQKQFETAYSTLTANVPLACHSEGHMLTSKYGIDFTPTENIEARHCVHWLADDEDGQQPVA -EVLGSHPLATTRFKSQVRKSPISEQVTEIMNLPRIHGAPSPRNIGKHWSRDLALMAHPKGNFVPELLTKA -REDLMNKINSFLDANPEQLELVHPYPKDYVLSGVDGVTSVDRVQLNSSMGFPINKKKKFFLGPVERDVPG -VTEPIDFEDPQYWAEVERMEEVLASGERVYVVHRGNLKDEPTKFTKDKIRVFAGCEFAFTCVVRKYYLPI -VRLIQSNWREFECAVGINAHSRQWSELKEYLTRFGGKRMIAGDYKAFDKAATPLAMLSSFELLIQIAIRA -GYTDRQITIMRGCATEICYPLYELDGVLVQIFGSNPSGHPLTVIINNLENSLYLRYAYYAMHQGEEVPPF -DQRVSLICYGDDNAMDVSDDEDKFNHTSVASELARVGITYTMADKEAESVPYIPLKDVSFLKRGFVWNAD -LQAWSAPLEVASISKSLHNYMHKKGSDVLPEEIAANAIHSANSEFFYHGREIFDTRRTQLLEVADQAGIR -DIVGDLETYNDLCDRFLGSHSKRKALDEPNGFILDIQSGEERLTEDILQRQVISDFGMKPIIHESVMGNS -MFGAPDLVFFRPDINTLYVIETKILRASNGTMKKRLEFAVCQAKKYAKALHVLSSGFIIFVFVYTEDGYQ -FVEMYNYPGCALVVDSATLKSLGVPLRNLRGMDTVFGPQDQA ->APG76681.1 hypothetical protein 1 [Wenzhou picorna-like virus 8] -MFQNYSKNCETGSIKDLNRASYSRRIANQHKAARRPFKNNKVWKHSQQWKESFKITSDSQMDVIYEQSFQ -PHFGMESLTSVSFAVEALAKFANIDVPDKVLREVEGTILLLVNLSQQSTTLGAVTSVLTWVQGRVSKSLF -KTVKDFIEEILVSPQSDSTPDWLLCLRDIRQNWQLCKGNRAFKQVSKLLGCLVMLGLCDAAQLTFSVGQF -KIFAPDLCEKHMTAFDVADALFETVVFFTEGAYLCFQTGSLKPLLINDRTAMELDTEYAQVSAWFDLVRN -GNLQKFAGMSDQEFERRLNTLSGSLINLSMSLKGLDKKLVLDKVQRILQMQNDFISLKIACGVRHSPWAV -SFFGESSQGKTTICDQLLDAVMVSQDLPTDKKYRCAYNPSDKFMSNWTSDKLVLLFDDVANEKSQFIERP -PTRAIVDIVNNQMFYAPKAEIEAKGKCFVEPWLVAATTNKKDMDAGVYSNCPYSIQRRLTVITVKAKPEF -QRMEDGIPCGIDPSKVRAHYTTDEGVYDPPPFDDIWSLTIEKAVKPQDLRTVAGYAPVEWNGKKLVDISM -AECIQWAVEDFNEHRKNQAALLEGMHKRLNLMQKCGVDGCIHIKGNCPTHHEPQFGKETVAAFRKLFHST -GDPKSIIEGIYDRVDYDASRIIYDHGMRFLSSWDWIKVLPSGMLCHRWAPSVFRWLYRDKIELHYEKESK -RLWSTLIFSLICIVMFCGVQNIIILSFFSILQYLRVQRQLVDRVEHELYENLRKRNWEIAPILRRYREKY -TKYICGFSVGIAALYGLARAYRSYRANEQTVQGSLEPKTQQEITERDGEINVWTDVVKRELPMTETSKRM -SADHLENIVTKALLYGSIHRDDGNGMVNGLMLSSNVILIPDHYFNEFGDQLDCTFRKKNPDATGGKFAAR -IHIKYTYLIPNSDLRVCYIPNGGSFKNLVNFFPTEEMPSVPFRMRWRKKDGEMIVAKGITVPGIVSTWKS -FKGGAYKNLTINTFDGLCGATLVSDTNGSVILGVHLGGTADTPVGVFGSLTQQELFTAFAELRKMEGVVL -SGEAGKFETTVLGVQVLRNEPLHKKSALNYLPENSQIEYYGSCPGRAVTKSDVKVTPISTHIVDVCGVPN -IFRGPKLNPDWYGWQTCLANLAVPAHPYPHDLLELAIKDYKEPLIDIFKSALWRCARPLSDRENLCGIPG -KKFMDAIKLDTSVGFPLSGPKRDHVIELEPTEEWPNNRELEKVLMDEINRVEDCYRRGERGYPIAKACKK -DEILAKEKCRIFYGNALSLTYLIRKYYLPLLRVLQMNPLVSECAVGINSHGPEWQQFHEHVMKFGEKRIF -GGDYGKYDQKLPSQLIFAALRILMDFARVCDYNQEDLTIMEAMTGDIVFAYIAFNGDLIGLTEGTHISGN -SLTVIINGICGSLNLRCFFYSQYPSGSFENRLRFRDCVAAMTYGDDNIGSVRDDIHNFTIKGCSKFLEQY -GQVYTMPDKESELLDFLPPEEFEFLKRKSVWHPKLGVHVGALLDKSIYKSLHCFMRGKNSPLTEEHACAI -NIDGALREWFNHGEDKYEKQRLLMKEVANRAGVSHMCTGLDLSYNDRVSNWVATYGADRPCL ->APG77933.1 hypothetical protein 1 [Beihai picorna-like virus 22] -MLWIKGHFSQSICKMVAGYLKELLDNEPSTQAGCLEATPDWLRAVRDARMNWHMCRNNKAFGQVSKLLGL -LVTMGMCEASKLTFKLNEFTVYAPKIQDKHASAFDLLDATFETVLFFVEGFYLCFTTGSIKPLLVSDHSA -LQLDEEYARIMSWWDLVKVGNLQRVQSVSDQEFERRLNDLSLNLKNLTNTLTGFDKKLITDKYERILKMQ -NDFVTMKIASGVRHAPFALEVFGESSLGKTTFLDQLLDALCVSAGLPVGKQYRAAYNAGDKYMSNWTTDK -TTLIFDDIANEKSNFVERPPTRAVLDVINNQMYYANKAELEAKGKCFVEPWLVAASTNKKDMDAYLYSNC -PYSIQRRFVVINIFVKEEFKRYVDGIDCGIDSNKVRKYYTIDGEYKPPPFDDVWELTIETAVKPPTLTQT -AHYKVVEWNGIKMERINMATMIQWACFHFKEHRANQAAILEGMRSRERGIEKCAVTDCPNIKGFCSEHDV -QTRCPHCYCICFDDICTHCRDSSSDELWEQAGCQLGTKATVALGVLKAMAFKKVPNLEIWKDRVEDKAAE -ALFSTGRKFIDQFEWVNLIPAPIFNSMYDAADDSWQRDVYRFLYQDVLEQSFDQRIGTMRILVWGLIIYS -FVFLVIQGYWQFSYIPGLLLWWIYLNYVKGLRDAVERELLEHLRHNTLNISQVVKAARTDVVKYLCFGGV -GVAALYALACWWRESNADKKETQGSLSPVTEAEVIARDKEKNVWTQVCTRPLPMTKVSKTAMPSVLQGVI -EKNLFCCQMDIDGPSPGQMNCLFTRSNVVLVPSHYFDMYGDTLNCSMYSTDPYKSGGKFAARLERRASYH -IPGTDIMMCYCPNGGSFRDLTKHFPIGLADNVNFHMTYRHSDGRLLKAQGNAIGAKVAVSDNDKTYAYDG -YKYYDTINISTFKGLCGAVLHSETQGSVIIGIHLAGVTGEPKGFAGAITQSQLEKGYVELRKKEGVLLTG -TAEKFEIQVLGKSVVDPDAKPHIKSPLNYMPHESQVQYFGSCPGMTTSKSEVKVTPISEHITDVCNVPNI -YGPPKMKPEWFGWQKCLENLAIPAKPYEYSLLEQAVHDYKWDLLAVFRRKLWSKARPLSDLENMSGIPGC -KFIDAIKLGTSIGYPLSGEKRKYVYVATTQDELLGWYKMYGGQPTEQELERLGLDESHSFQVVKFIPEIS -EEIARIESCYKLGERGYPIAKACKKDEILAKEKCRIFYGNAIALTFLIRKYYLPLLRVLQMNPLVSECAV -GINSHGPEWEEFYQYVTKFGKDRLIGGDYGKYDQKLPAQLIIASLRILIDCASVCDYTEEDLRIMEAMVG -DIVYSIIAFNGDLIGLTEGTHISGNSLTVIINGICGSLNLRCYFYSDPSNEGKRFRDNVALSTYGDDNIG -SVSPEQHKFTIKGASEFLGRYGQTYTMPDKESELLDFLPESEFEFLKRKSVYHPALGMHIGALIDKSCFK -MLHCFMRGKGSPHTEEVAAAMNIDTALSEWFNHGEATYEERRSQMKEVAKRAGIEMYCTALDKSYATKVT -EWKDTYMQ ->APG76901.1 hypothetical protein 1 [Beihai picorna-like virus 37] -MAVSLRANRYAENGFGMFILEMRFHPEAFNQLGSAPMAKRRAFDKVSQLILENQAGLLDGIGEVHSKIVQ -TAQFVDRLHDMLERIKKSVCNGPNDDVVEAFIARLESLIILVVDCMRRESFGDMLLPMMQYIHTIAPTKS -LAVKFVKLLTTILSEDSNGEAIDQSRWEPITLDQQSADLTDALKEDYGSKSGKFFSTHWDRLTEGHLGKR -MAGAINLLILVGFLPQDCQNKVGSELYKVLHVQSMRKQHPSMFHHLFHTLDWVFDSVIPAIHTQDWSLLL -FEEDYIEVDNMYRNSLDMVQLTITNQPEKLEEKYGIEDEAELIVYLLRTTAAHEVMRQKMKDDKAACKEL -NNRLIRLDKLAVDLQASWHDKGLRVQPYAVLLRGGSSVGKSVLANIACHVLSRANGFKEGHEYTVNINGS -DKFQSNYSSKHVCVIFDDVGNTRPEKEESNPLFILIQFINNIHCAALSPEADKKGKTDIRSKIVIVTTNT -SDLNSSFFSVNTASIMRRFQLVVDVKIKNGCANSSGGLHKRFIGTPYPDAWDLHLQEVVIRRSAQTDLRD -FWGLRTIAHTDIVGYVDYLAESSPIYFEEQNKIVAAADSLHTAEHCPHHPMMVMPCPRCAQDPEFQPIEV -ESQQQAFIELEGQSGSLNGYDPKAQEEFLKNYWGTAVLENRNAENIPADVDETTLSPFERIKSIAQESVS -SLVSFVQAAKEKLQDPMCQTALGIISIGLSVFSLYQIFRPKTLYPEGSIFSRIEAASRTPSNFVEKDNKY -QRVYTNVTRYPDASVSSTLVQLENRIDTNLFTFQVFEHLPAQGVDIDEGKWGSCWPIENGFWLFPGHYFS -KDDAMYRLVMKNHPGTGRKRVSALVTLQSRTMWRVQGADLVVCRLDRGGSTTSFSKYFLETEHDINFVKG -MPLAIYTNHVSQSFGEPEKYVPPSSYKLTTKFNEINAIRTKLDTGTILDDMILYNCPTFKGMCGSMVVAM -GNNPIIIGLHTAGDGDLGAATILTKAMFNFHEMVPKETIFIAEEKPLPSTVLDEDIKVSPTVHPFNPVHY -QTEESNFDAIGQHDKPLSRFRSDIIESPLLPKLKEHGYEPTHAKPPKKAARPSRHRHMAQVTKELPPVNP -LYLEAAVDDLKAKFSCFFRGRAQGRFADAVRLLSIKEAMSGVVGVKGFEPLNVKSAPGWPRVGPKWKFLK -QSAIGEEVGVHTLKFVSKVVVDGKEYFEYTVEFDPDKLDAVRLVEDMFEGFYKGERVNVIFKCNLKDEPL -SLKKIEDNKIRVFAGAPVDMVIATRMMTLSLINLMTYFPDIFEMAVGVDATGADWNELAKVLDRFDGECG -DGDFKAFDTSLRPEFLLSAYEVLKMLLGASIDEDILKVFDGLATESSFPIYENDGFLYEANGSNPSGHGL -TVIVNGLTNSLLMRYAYYAMHDCTKYGDIPAFHEVVALLTYGDDNIWTADATKTKFSMLTVAAELAKLGI -TYTAADKGEMTMAYKTRDEISFLKRSFHVHPVLGVLVGALEEASIFRSLALMRRPKADARETIAELCASN -MNGALAELYYHSPDLYRKWLPIFMEMAAEVVDFAGNKVSDYFKPISEEEIIERFKATTCCYAEARREMFG -EESQFPTLDYQSGELPEDLAHRLFLLDEPEDWSDIEDDDVFLADVQRNIVLIDDMSERERNRPPRIPRPS -PSELQNAYIAIMYNTTNTWYPFDWLIERLEEQLLTFEEITSEGNIPRWFVEMTGLRRSRISQINIFHDDG -VYFQYPLNFDEIQFRRFLFMAGEDWFLGGDYRFATTYMFTPGYAMVEEEGPGGTTFQTLLRHELNCRRVK -SLTRAMMANEVKRVCSTCDGPHILYREQDSKAVRIYLAMKKRALLQSSLSDMLLPELCDHVSSFLYEPSY -VVPTYLDPNLSEAGIRSLELLRVTHRDMLPNGHDIYNCNYLTLSHEATMTHMDIVSWSELTGRV ->APG77473.1 hypothetical protein, partial [Sanxia picorna-like virus 6] -MPGKTALQHIVVDLNKDSTTKPSVSHSTKVWRRRKDLRITQERNNQRDFKNRKNRFDFQCARPNCGTYNH -LPFMYFMEDQVVVNKRLVLAQLRNTFFEPQSGLDFDFFSNSRIEDLFFLVSGVCSSTSRVSSFIHVLTYI -KTHYRDIGLAEYITEFSKLFFDDFVNSFPQESSNFPDYQEFPSEGAFVADYQSGNEGVFSLLMESFKKAR -DNWAEFKYSPVCKHLLYLFSFVASIGFIPSTFQIGNFSFDAFKVFKLKAIDLQMDSISVLEAFMNTVYFF -LSRGYTLFTGKESVITDREVEDLDTEYAVLIGVENLIMTGKMHLLDEGYGQTIKSQYEYDVRLKRLISNL -QFCKKTETNIYLRRVLDDKIVKLHKIMFEVLRAQSNNHFRECPYAFMIRGSSSIGKSTLNSILISAISAH -NDIPHSEDHKAIINEQDKFDTAIHTHTTIITLDDFGNMKPDKVDDPPTRRIIELINNVTRTALKADVSEK -GQVVVNPKLVTITTNTGDLHASYYSSEPVAIMRRMNIVVVPRLRESHKNEYGGLDSVAFGKDRDAWLFTV -YKVKIELSKQGSNLFRVPDKYSLVTVLDDASIYELIHFARDDSRDHFEVQRDIVRSYRDGVHCACQACGY -PKMICNCQNVADVDLQAHVPIATFLSLCNMCRTYFLLFVVKVWCLCNITAMRMCVQHAKRKQDMVADDMS -HLILQSNLQRFTLVNTRTSFENKQLIKEYSKVWRSRAILVLGTATISAFLMYRAYKKALSSLAYEPNGAT -SSSEEPENVWKQPEFVELPRSLHSVGITHEQLFSLLSRSVAILRCKRTEDSQYLVSNIVPLRGNYWLAPA -HMFTDEDCIYDINVSYNSRFKNVGPTHVGTIDSSCWFKLPEDDYIVLQLVFAGDQPDLLRFFSEEPYFRA -NMACDLIYRDYRNHDQPLTKREVRMLGDEVKYSVRGNLHKGHVYRTDFETFTGLCMGTLLSKTHSPAILG -FHLAGRSQRGVMVLVNKKHVTEAMQTLGGKHMDVHSSGDMELDLYGIDYGFRKIVHPKSPICFLQDYEGV -PPSLALYGSHSLGRTNYNSCVKDTFISRDVADLMGKENIYGPPPQHNLKIYWHQDLDAICHNTVQVDMKL -FSLAHQDLHDYIFSLFPHLGLENCRVLSLDEAIAGLDGKYGIDALQMSTSMGFPINAPKKKYFVPSDRDV -AGISRPLDCPPFLLEHLEQCEERYSQGMRCYPILKTTMKDEPMKLSKKKRRFFQASPCWFIVLIRKYFLP -FSAVIQKNRLMFCTAVGANAHGSDWHDIAEHLMRFGSNAYIAGDYKAFDKNTPCIILRAGAQFFIEMAIA -SGNYSCRDIYIMQGLITDLIYPILEYDGVIFGLFNSHCSGNSLTVLLNNFTNLFYMRMAYYGVYRRAGVI -DIPPFHTRVNILVYGDDNVAEIHPDETLFNHSTCSEELRTFGIIYTMADKEAESVPLVSIHEISFLKRAF -RYELELERFVAPLEEESIFKSLHCFMCRKNLDISEREIVANNIFCAFKEFWMHGREYYERVAPLLWDIVV -KHNLQALVGDYPNFDSFLHEYNFKYNPSDIPHFITDEDLCGDLIFELQSSIEQEDEQSHSCGGISTALIE -RTSVLRVVDARWVAIVAAHTTYINFPNNNQCLCSTRS ->APG78919.1 hypothetical protein 1 [Beihai picorna-like virus 31] -MNYALGEEKLAFTTVVTRFVTSVESANTFMFIAYLAFAMLIGYIVGLWAWHESLISQSGKAERKAQWDKK -QKNKRKAAEKRAKAQQAKEKRELESKKKVTFESSGPKYESQSGFWFGDSKWTKYHWTKFFLIGTYTFMFT -GPIFSFVLRTFVPLMEKYDIDLFQYMAVPWRKARETQMSFEEFLRESLTSLKKKWDDAKLPSLEPFVDSL -SGILKNLTYIRRCSLAVQAFEIINIVVTLGWLKHVNFEYKGISIFRSESLRRQVSVMDLLEAVGKFAKTF -MSCLLNFLETWSFEAFYADAIKYKYEDNYTMLMSKKTLIEVGQLDMDPHEYDRILSEMITETVAILDKCK -DGERSYYSSRLKDLKNLSVQRTLAQRDYVRPAPYGILLFGGSAVGKSSISNALIRFVLKSNGFDSSPRSI -VTLNEFDKFQSEYRTHHGGVIFDDLCNGTVDKTTENPLMKVIQFINNAPMSALNPNLELKGNVMIEPNVV -LATTNVKDLKAHAYTNEPLSIARRFDVTITQELREEYKGSDGLMDKQKVARDFAGQGFPDYALFTVEEAR -AQPGVHGVGVGYEVISFEGQPLKQVRLETLLRFLAEHSAAHFAQQKQFVDTQRALEDIELGENGLPVEFE -SQMLSGLSEVSGKIIEFEEVIVSWLEQRLAVFFNTKYGHMILVAYCRSSLIELLSSVGYYFVVYAAFCLW -NELVAGFRGLSMLLLGLLGFIAYIAIRVLLKRRSLIIRFTSLPRPSKYFFELPLARRMQFLSAIGGISTL -AIIVKVFKKWREIPTSHGAAPLKTTVFDKTDEKEEKPWWGDAVKTERAERYRVNPEMGHEMRTSSTDKLM -NSLRRRQYLLLIGEEGSAEFCNAVPVRSCVLLIPSHVVPSGTMPARLVKDGACPKHVYLQPESCQRIPGT -DFALWYLPEMGDQKDILTYFPDYISRGKSFDGTMLYNDSGKVKSFPPMLLNRSKSTTTKGGTFDSVTYSF -PGQTFNGLCMATLIAKSSDGTPFIGGFHLGGKGSFGCAGFITKQQIIDALAELNKRPSIMASHSSSPMDT -EICGIKFPLSAPHEKCVTNELPSDAKCKIFGGHGQPRGSPSSSVVVSMISPIVEKVMGMPRLHDKPHEMG -SRKHKEVDIAGKVDAAYKFQGDSVDKAVVDYQTSIFQGLTDDMLKSVGVLDLDANLAGIDGVQGINAMQF -STSAGFPFRGTKEQFVELSDRFVEGISCPRDIDPLIVSELERLESVLLRGKRVNLVFKGALKDEPTKMTK -TKVRVFAGCNIAATLLVRKYFLTLSALMQNNKELFECAVTINPTSPEWTDLMNHIYRFGIDRVVAGDYKS -FDGRMSPRFMLAGFKILIAIAEKSGNYDEDDLTIMRGIATEITNPTYDYFGTLVQFFGSNPSGHPLTVVI -NSIVNSLYMRYCYYEIAKQEKWWRVPAFNQVVSLMTYGDDNIMSVKPGYDAYNHTRIASVLAEAGITYTM -ADKEAESVPFIHGSEAGFLKRDAIWDPELKIYRAALDETSISKQLHAHLESKALTEEQHSAEGIIGAMDE -YFEYGREVYDRKREQLTEVARQAGLSGYVGELKTYDEQVDRLLKKYPVLDSQSGKWAPGTRIQSNLENPE -EDALQTECMNKFPVPCIRKEYRLPGGRAGDLLFMDHETRLIVVIEVKNSKRPKNATKQAREIGIAFHYLY -PAHRVDMATYTLKGFKFVGSLKGSRYDRDFDLINDVRGLPW ->APG78917.1 hypothetical protein, partial [Beihai picorna-like virus 13] -MKFISAEGNRYKDFVMATGAVISQYIGVLFSLVFDLMCIMKSLASIFLLWTTMKTTNCVKYILRTPTIPL -FSLVTMYYMSHRKWSIPETKLYLEIACLITHMIFVISCIVKLCTDYSLEEKAETVRLLYEKERRKAKRSR -KRSEKQRYRPQGLSTAVTRLAYSHVMNAVEGNQEAITKEIEDLLLLLVSAQDSKSWRGVLAAILSYVKAH -FSTSLSSVVLQCIQDIFQIDSITGYVQQSGEIKDSNDEATWMSTLRQLQSNWKLATQNEGFEKVSKLISL -LIGAGIMNASSIQCDVAGLQLFSELSVPKHVSAFDLADAAIETIVFYVQGGYECFRTGTLSPILYGEHEL -RKFDEDYLKCRKYADYARPGNLAMLSIDANDLEKLYSDTIESGKKLAKTVKSTYVKKTLQDRITKLQDLH -STFTQYRQTGGIREKPFCIGIYGKSSVGKSTIGPLLMVANLVYNGFTADDESMIVLNEHDKYMSNYKSSI -NGVFLDDVGNTKADFVETSPCVRILELVNNVKMYANMAEAELKGKVSIEPKVVVCTTNVKDFCAHTYSNE -PVSIARRANIILTASVRPEFATNNMLDENKVYDHYGDDVPEIPDLWTFHVERAYPIPNEAKGKPDTIGWK -TLVMDGVKMDAASIFDVIKLTNAMSKKHFTNQSRIVRDNTNLHKKLSFCSKCKAVLQLCTCGEDDVDLTY -VDSSHHQKRKFTKQAGFFDVQAIRETVQERYEEYRGQYTWEFFLNRTTALSSPFFDLVSRWAPSRFLQLT -PVRLSIAYMYGIFPNYCLYGMAVFAFCVAVVIGAAMPKCIVKYIAVLLIFLTLFLYCRLVEYNMLMETVV -DIPLASHLHRIEISAKTKYILSGCLALTALFTICMRIRKTRSIFNVQGMMHPTYREIEERDAADLTETIK -EEMNWANAVVEQLPVSHRSSTTSHQNLKKLVQRNLVFMSTEVNGKMHATGAFFVCSNLMLMPKHAWKSDE -MLCSFIRHDVKSIGGNFRAYVSRKHAVDITDMDASLVWIPNGGDWKDLREYFPQVYPSGNVGCELFWRNH -SGKIETSKTLLSCKAAYNGFMRFIGGYYTLSFPTKNGLCMSPLVSETKQPYIAAFHLGGIDGTPKGCGGT -ILRKHIESAIDHLAQSPAVLISLSSGTLEPEKYGVQFLTGQELHEKSPLHKLPISNDQTPNVKVYGSCLG -RATYSSNVRDSVISDHVRDVCGVENKWGPPKFHKNDPWLSSLEFSTNPSVGIEGILLDRSVLDYIKPFYD -LLKKMPKLVHDTRPLTRMETLSGQDGKKFIDKIPPNTSIGYPLSGAKKKFLRYLDPSLFEGFNCPVELDD -MFWEEFDKALECYRNGERYYAVFKACLKDEPTNKSKDKVRVFQAAPIVLQLLTRMYYLPIARILSLFPAL -SECAVGVNCQGPDWHELSEHMRHFGEDRILAGDYSKYDLRMPAQVMFAAFRVLIDIAIFCGYSNDDILIM -RGIATDICYPLMAYNGDLIQHIGSNPSGQNLTVYINSVVNSLLFRSAFYNLHGVKSNLRFRDIVKLMTYG -DDVKGSVKKGFDTFNHLYCAQFFKEHDMKFTMPDKESEPTAFMHDNDADFLKRKNVFCEETNHIMGALSE -DSIFKSLHSNLLSKANTPEALAAQNVDGALREWFNHGREVYEKRREEMRQVADRAGIAHLCTMLDSDFDD -RVSHWKERYLGEVVERKPEPEFTVQWGEFQELIDEMEELTLPDEDQVILTQEEYEAIDFFQEIDANAERV -YGNVPFGARV ->APG76780.1 hypothetical protein 1 [Beihai picorna-like virus 25] -MVSTQVCDSCTVANKRNLSSSGSESFLRFESETQGANSDASEGVGFYDAKRVAQLKFERRLQSLEKERIK -GQIRRENLKSKPKFCRPKRKQIEELRQIFDAESQSGGLIDIVESIKSVVEEWELPEGLTALLLKVLCFYR -SVRKSVDLDQFASITGLFLLSLSESDTRIRQVITDVIFSPNADLMCLKSSDIPESQSGFDFSESMTLLRN -LKLIRNNELCRLIMKVVATAFSCGLVKGKKDLYFTEFNLSFVLDQFTRDTSGKATVFDFFDGIFNIIQFI -IEKGYICFQQRTFAPLFMSDERSAHYDKDLADVIGYWPSVKAGNYKDTPYCSVPHFANALDNLYIATTAL -LEQSTDDFSRRYHAKTLKELNTIHAQFKSQERSGGLREAPFAFCIFGKSSVGKSSIMSTLTDYCLKATAL -LKNPERSEFLVDPRMICSQNAKDKFDSDYKSYSLAVLFDDLANERVEVAKQSPLDAVIRYINNIKSTALK -ADVHEKGVIQKEPWLVGASTNIKNLQADQYSVEPLSVLRRFNLHIEPFVANEFQKADGIFLDGEKLADAD -QIIPDAWRFKAYHYEYDEHKPYKQNNNQTTLAYMSVPFSFVGLDNKTYVSDDLDMEQLQWLLYQLLSSHF -KAQESVLLSNKRIHAEKLCVHKIHTSICSFCSPSHKKPTPLSHRSPENPPVHLGENLSVDLEPDITPETN -DACIVSHSSSFTGGTRESTFKERVIFMYKVEFCVLFLCWLYSFFKHVLLWCYYSDYFARFYRESEDYQRA -KRRFEEAYTRVHETAENISQEACWRTRAAYYHGKYYVDAVAWFGYFLRLDVETTICQINQLRFVPWWFVD -FLPERWIGDTRFSWIFLYRYDKPFISQAFSFSLLWWMVLYVFLKRIYFVRESTAFAISFTTLLGWLYFSL -ALRKKFLMKVIHEHRAATRHVLRHTISMTLQTSIRLMLTFGVVVVSYKLVKSIFSTIRLIAKTSQGGDLD -IGIEEDNVWLSAVPAPLPKCAPKLATINSEQCLNIVTKNVVACMYGDSQWSSGFYPRSQILLVPAHEVKQ -RGTFNLRLRKGSLQTLSGGNKSLEISPARIYYFPGKDVAAIYHARFEEKQDLTHLFPYEIPQDRNPTVWV -GARPEGHTINGSCSRFGVSRLVRTDKTELHDAIVVRYAYDTAGGDCMKVHVADCRSNAHIVGFHLAGKKT -SGYLSCLTQADLRMCYEFFDQKPSTRLTATMGTFKTEKYGKDFTPKPQAHKKSTINYLTDAQLEYYGDLP -SYVTRPKSDVITSPVSASVTAHCGVANNYGPPANCRSGPDKIPAQAPYNIYYQGVGNAVQEFPLELVELA -IADYLDDCKSNRRMMADLANLRPLTEIETISGQDGVKFVDGMKMATSKGFPLSGCKEDITFHLDPSEFEN -ISDPKIFDEEFMEDWRRAREVYLEGERDYPVFKACTKDEPTKRSKEKVRVFQSAPLTLQCLIRQYYLPIA -ACMSRNPITTECAVGINSQGPQWNRLLRHLTRFGKDRMVAGDFKAYDQHMSSTMTSTAFSIMIELARLCN -GYTDADIRIMSMMVADIVHPVMCVNGDLVQLSGSNPSGQNLTVYVNSIVNSIYQRCVFYTIYPPGSLETA -KFQDYVALMTYGDDNIMSVSPKAPQYNHTSMQEVYASRGIEYTMADKDAESVPYVTLEQCDFLKRATSFR -PEYTDPTVGGKGMYLACLDEDSIFKSLHCNMLSKSVSRDEIARQCLDGALRELWFHGKECFDKRHEELKR -VVAEHGWQHLVAPSFYKTFEEREAEWLEKYGLVKSQSGSIQSSGQSSEERTRNPLFPYQVLQRQGPSREE -SDLIDRWAAALSYFGVTIHCREYSLDGDSWGDLIVRYGGSIVCIEIRRNLGEARIRAIHQYRQLRLLHFQ -SPMVGVNSVHAMAITPHSWISLERVPREVLLIFRAALRRL ->APG78567.1 hypothetical protein 1 [Wenzhou picorna-like virus 50] -MSSNGTSLACENNVVINKEDNITSTEESYSFLPQSEQFNPKQAARSKFQQNRRSVRKEKNKDLRREGKPV -PKSVPKPKKGLIKPYEEQSLVEHLYPGSIIEQAKAKLIDLKVSDKASQLLDVLETLGLLSFSLPSCDSPM -EVAVQLALALKTLIKGSLIENAVSSSQMIEWCKETFGYNVFEPQSGDAETNSSWLSLLPKIKDNWELVRN -APVFEKISNLISMAASIGLCSVTNLSWSVKGIELFRVGSLRKHVSAIDFVGATLDTIIVFIEGGYECFKQ -RSFAPLLFSSEDGHKFDSLYFTLIELHEHAMVFNLSTKPIEYNGETRPITDLEYGTMLDEAIEMAERAFK -SAKGTWQQSVLEKRLTVLRTNRALYAAKRIDGSMRQAPFTIYIWGESGVGKSTVAQVVMADCLRAAGANP -DPKFTAIIKESDKYDSTLKSDTAGIFLDDMGNTKSDFMEKSPTERLIDINNNMITYANKADLHEKGKVEV -RPKVLVVTSNAPLVQHGRLGSIKPFSIVRRGDVHIRVTVKPKFATDDGRLDSHKAMEEFPESSLVTDIWD -LHVYTPDENNKKLMLKPVFGGIETTPVGIDKALNYLTDKCEKHFNIQRLIVEKGQGLVASRQYCSGCNRS -SNLCKCEHEKQVNCDFSFEFIRTNMAQMNSVLNNITVRIPECVVNSSITQKLYMMYHYSDFLDLEKQMRR -DMSFIFATIMFILCCHGSFTFFYFCSFLTICVGLYVSALAAWRDDMCSRLANNRTITTDLFASLRRSKAV -QFFSVCMVGRLLYSCVMFLKSTHKTQSALAPSSVAEILQRDSEVNPWATVEASELHVSDKNRNMTHDQVM -ARVQKNLFHITLVENNFSQSCDILALGGTLYLLPLHIFKNRKDMKAMITKGDPTKLNSQFKGYVSIAAMK -PIKGKDLCIVNIPSGGPMADIKHLFPDEVTVSGSAHLLYRKADGTLNNDILRANYIHNSEAGGAGYHYYA -PYNTFTGMCGAILVSAFAKSSIIGIHLRGISGTPSGKALTVTRQEILDTVNECNDWIGTMPSHVNGTFPT -TRYEKQVVSTQDIHPKSPINFLEHDKCNVEYLGQTPGRVSHTKSEVIKTPISDLVEEETGVPNKHGPPAF -HSWKMWQESLKHSANPGPGVEPTLIDRAVVDYANGLIEKLQSPDFADWVRDELKPLNEMETLCGVDGKRF -IDPMKKGTSKGFPLTGEKREWIYLLNPEDYPDHECPAECDARIMEEFEKMRTMLLRGERCYAIFKACVKD -EPTKIGKDKVRVFQAADWAFQMLVRMYFLPIARIFSMFPIDSECAVGVNAMGPEWDILAKHMRKFGEDRI -LAGDYSKYDLRMPASLIIAAFKVLIRVGEECGQYTSDDIMIMRGICTEIAFSCVAYNGDIIIHRGSNPSG -QNLTVYVNCIVNSLLLRCAYYHMYPAEEGNPEPFRRNCAVMTYGDDVKGSVRKGCDWFNHISYADFLAGR -GMVFTMPDKESEPTPYMNDDDADFLKRHNLFSEDTGFIHGVLDEASIFKSLHTVLKSKSVSAYDQSASNI -DGALREWWQYGRDMYEKRRAQMTRVAQRAGISHMCNELERTYDDRLAMFKEKYESA diff --git a/seq/clusters_seq/cluster_80 b/seq/clusters_seq/cluster_80 deleted file mode 100644 index 56d33df..0000000 --- a/seq/clusters_seq/cluster_80 +++ /dev/null @@ -1,676 +0,0 @@ ->YP_009664790.1 non-structural polyprotein 1AB, partial [Bat astrovirus Tm/Guangxi/LD38/2007] -VFKKRSGPPGRGEVTYAMMRLDAWKDDLDLPTRKVVPEGFPVIGNININRPISDWSCPKDPLLGLLPRAP -SYVPIHAPTVWGYDAYAKSFEKFFYAEPDECISVTYARFWDFANRALIKEYGYLSGSHIIGITATVKNVE -STPGYPKFKYWKTESEYLEERGYQDYVTQYQDICEGHRPDVLWYLFLKKEILKTEKIEKSDIRQIVCPDP -IYARIGAMFEQDQNNRMKSMTRWRQGQCRWSPFEGGFDAVLRRIERVGNKYVEFDWTRYDGTIPVEVFRH -IKNFRFSLLDKSYRTETNRMVYDWYVSQLCYRYVLMPSGEVTIQDRGNPSGQISTTMDNNMINTFLQAFE -FAYVNGSLDDELLWKLWCESDSIIYGDDRLSSLSLIPDDYVELVVQMYKDVFGMWVKPENVKIFDTLSGV -SFCGFTSVLSDGMYLPVPTDAWKFITSTLKPVKALPDFDALVGKILSFQILTHNLPDDDPVKIWFDEAHA -ALSLHSTVDGGEPIPTITREMRDFLWRGGPKR - ->YP_009664787.1 polyprotein 1AB, partial [Mamastrovirus 18] -AVLRKEDIHEETEVERLRREIKSLKDGVVMAGGSPMDQSSAFVFDSSEVVGLIREAVRREVEVLRHELDT -TYGYLSMDQAKGKTKAKARLAKHKSRRVRAFTEEEYKALQEKGYTRDQLRDMAAVIIERMNENSYDYDDG -GYPEYPELDEEERKEIERDWLGTSVEHEDYVRDSYRQSYVVEAVPVESDYNPKTHPWDVYDKYSLTHYHV -TDADVKAVGQALLDYDHYLNRWMEKNLRGNEWQSGIDIAKELKDLADAKLRLELAMVGGGLLPFCQRKKK -DRKPKTIKKASKNREEPPRGGDLDYNLMKLSAWRDDLDVPTRVIVPENFPVLGNISIDRPISDWTEPHDP -LIGLLPRPPEYEDEYAPTTWGYSAYAKSFEKFFYAEPVNNISTVYSKQWTFANRMLIREYSYLKGSHVID -ITSTVKNVDSTPAYPKFLWWKTEEEYLNSRGYKDYIQQLNDIYSGERPDVLWYLFLKKEVLKKKKIQEND -IRQIVCADPIYTRIGAMFEQDQNNRMKNMTKWKQAQCGWSPFEGGFHELISRLDIPGNKYIEFDWTRYDG -TIPNEVFRHIKWFRFNMLDPVYKTDLNRSVYSWYVDQMLHRYVLLPSGEVTIQDRGNPSGQISTTMDNNL -VNSFLQAFEFAFIHPELDLDELTELYKQCDSAVYGDDRLSSWPCVPDDYVHQVVCMYEHVFGMWVKPEKV -KISDTLVGLTFCGFTIFKDGDLYLPVPVDAWKFISSTLHPVKALPDFDALVGKILSYQILTHNLPDDDPV -KTWFEEAHASLTLHNRVHGGDPLPVMSRDMRDFLWSGGPKKDGRST - ->YP_009664788.1 polyprotein 1a, partial [Mamastrovirus 18] -AVLRKEDIHEETEVERLRREIKSLKDGVVMAGGSPMDQSSAFVFDSSEVVGLIREAVRREVEVLRHELDT -TYGYLSMDQAKGKTKAKARLAKHKSRRVRAFTEEEYKALQEKGYTRDQLRDMAAVIIERMNENSYDYDDG -GYPEYPELDEEERKEIERDWLGTSVEHEDYVRDSYRQSYVVEAVPVESDYNPKTHPWDVYDKYSLTHYHV -TDADVKAVGQALLDYDHYLNRWMEKNLRGNEWQSGIDIAKELKDLADAKLRLELAMVGGGLLPFCQRKKK -DRKPKTIKKASKNVKSPPEGGT - ->YP_009664785.1 polyprotein 1AB, partial [Mamastrovirus 16] -PPEVFFQIKDIRFGLLSPEYRTVRNRSVYKWYCENLINRDVVLPSGEITHQDRGNPSGQVSTTMDNNMIN -TFLQAFEFIYLNNLTIETAKELWESYDSLVYGDDRVTSTPLVPSNYVERVVGMYADIFGMWVKPDNVKVS -NTVNGLSFCGFTNNLISNMYLPVPTNVNKLVASLITPVKKLQDIESLAGKVLSFKVLMHNLPDDDPGKIF -ILNCESALRRHMDAVGQPWVNFTTSMLDFLWRGGPKKGYGGCFPAGKKGSEKRGEEGDEERVQE - ->YP_009664783.1 non-structural polyprotein 1AB, partial [Bat astrovirus Tm/Guangxi/LD77/2007] -RPVSDTDIPVDPFTGLLPKYAGKLDYGPTTWGPKAYLKSFEKFFYRHPCNNISIQFKKDWDFATWALIRE -YSFLRDTVVIPMVATEKNLDSTPALPKAEFYKTELEYVEDFGFSKYVEEYERIKNGYRPTPLWYLFLKKE -ILKKEKISSGDIRQILCTDPCFCRIGLMFEQHQNSLMKERTHLRHGQCGWSPFDGGWDELXRRLSRGNNK -FIEMDWSRYDGTIPPEVFFHIKRIRFSFLSEEYKTQENKKIYQWYCENLIDRIVILPSGEITRQTRGNPS -GQVSTTMDNNMVNTFLQAFEFSHLNNLSIEDAKNLWEEYDTIVYGDDRLTSTPIMPENYKESVIKMYADI -FGMWVKPENIKVLSNLEGASFCGFTNVYDGKMHLPVPTNTLKLVASIVTPTKKLQDADSLYGKILSMKVL -MHNLPDTDPGKQFVLECELAMRKHMDRAGQPLVNFTNAILDILWRGGPKK - ->YP_009664781.1 polyprotein 1AB, partial [Mamastrovirus 14] -IPNQVFHKIKDIRFNFLSKEYRTKENREIYDWYCKNLTNRVVLLPSGEVTKQLNGNPSGQVSTTMDNNMV -NTFCQAFEFMFVNGLTIDEAKKKWVDYDTIVYGDDRITSSPLVPPDYSDRVIRMYKDIFGMWVKPENVKI -SDTLVGLSFCGFTNIREKGMYLPVPSNCEKLVAALVRPVKKLPDIEALAGKVLSYKVLTHNLPDDDPSKQ -FVLACELSINKHLRAREVDPITFTREMLDFLWRGGPKKRN - ->YP_009664779.1 non-structural polyprotein 1AB, partial [Bat astrovirus Tm/Guangxi/LD71/2007] -SSDVGEWDLAFHPEKESQAGSKKRESGPEAGPEDKELDLDAWVHYVDYNRKDRFLVPEGFQIVGWVDINR -PIYDFDEPFDDLLSLLPHCTLETNYAPATWDETAYHKSFEKFYYHTPCFDIAGMYPREWRFATRALVREY -SYLQGSVLKDITAVSKNSNSTPGFPKFKWWKTEADLLEERGYKDYINAWNKLLAGDRPSVLWYLFLKKEV -LKESKIADSDIRQIVCADPIYARIGCIFEEHQNILMKQRTTTRFGQCGWSPFYGGFSKRIERLVSRGNPH -FVEFDWTRFDGTIPVEVFRHIKRIRYSFIDEKQRTPVMDEIYDWYVNNLVSRYVLMPSGEITVQNRGNPS -GQISTTTDNNMINVFLQAFEFAYLNQAYTEPELDELWRDYDSLIYGDDRLNTTPLLPDDYVNKVIAMYKN -VFGMWVKPEKVVVSDSVENLSFCGFTVKIQDSSYLPVPTEMEKLLAALVKPVKKLQDKDSLYAKLLCYKI -LLHYASDDNAFKHYVLVALEVVARHIRAAGGEEPCVFTDSMLDKLWRGGPNKSYGI - ->YP_009664777.1 RNA-dependent RNA polymerase, partial [Mamastrovirus 11] -PREVFMHIKKFRFSCLADEYKTPELESMYDWYCNALLERYVLLPSGEVTLQTKGNPSGQISTTMDNNLCN -VFFQAFEFAYINPDLSMQELCDAWERCDSLIYGDDRLTTFPSIPSDYVNRVVDMYKDIYKDIFGMWVKPD -KVVVQDTPIGLSFCGFTVNQDFMPVPTECDKLIASLVTPTKKLADIYSLYSKVLCYNILGYNLEDEHEFK -NYARIALEVLARHIRNMGGEEPVHVTEKMLDVLWRGGPKRRDGSRQPSSQERGQEGGQEGSEGAC - ->YP_009345897.1 nonstructural protein 1a [Qinghai Himalayan marmot astrovirus 1] -MNVTMPQGPIQRGQNPNSPQLVDMGLAALSDRFLSEIDRHCGQGIRGREEMSDTAFRELQDLVKDEIPIS -VAWIPRTMVFPSPNLERITLASWYDEHGDLVTFAWDDRWIQVPALDCTPSLKFWTRLARRNFQLQEAVTQ -IKIEKSSLALQAACLRAELEKVKPRTGSKWLLKVPWVRVLSFFIIFFLLGCLPHVRGEDTTYVPKPDPRS -TVFSDLLDAFDKANVSMTSLDHPAVRDFLKKHFDQVGRSAPWWKPFEHQLNLLKAHWLGLVTFIDFANWE -LLAIVMALIHLALGVNPIFVVLWSILAHYSGWRHVATSMTPFLTNLGHVMGMVVMLAELFDKNYTFPMAV -ALLLLVALLGPILSTEVFLGALRSSLVLVCVTSAISLLRVLHQDLLVISVVLFLVRVWQHWGFTVGTKIE -VRDSSGKVISSSNSPRNWLTHFAQRMRQKIKIRTQQAPFVRVNTSAVVCIETAEGWGSGFRLGNDIITCA -HVLGQCETANIHWNGISHTAHVRFRHSTKDLVALTIPQEFVPLIPKLKIAKNPDYSFVTVIAPERSTGVY -QIVTAEGLCTSDHISYACNSLDGMSGSPVVDIYGRVLGVHQTNTGWTGGATAISPSDLSPVTELELAKQK -IALLERQLADNFEQKEEVYHDAQMMQCSSTEDVVNLIREAVGREMSILRSELFQAIGKNKRGRGALRHGR -AGHRGKRKVWTEQEYQELLDSGLDHDVLVSMAEQIREDQDYYDELDETDRIGFPVWSDHDDESDIDEEWF -GQCSVHQPQEPLLVDYISGPCPYDHLGKYDPREYSITAPDVKAAGLKLKALLDTLDSIDPTTWSQHQEAL -CHKLCRLLYHTDHALWQSGLVPFKQRKRRDVPKNLRRGVRKSPPSMN - ->YP_009336806.1 putative protease [Changjiang astro-like virus] -MAVCSIFADLERYLNHKYTRKFECLSTPSLYLPIIIYTYRMLKRLVAGYSCFHGKSGHVGQCLADALMME -VSGTTDGTLWCMIYVCACIFALSGLTHLPFMVLGLSKYFLSGDCVWFDVAMVPHIWKVQLPGFWVLWSIL -AYTQTQLDWTWLVCATALIDIIVIIVKTRHDAYTRNLMLMQQLVLLLMVSSVMLKVTIPPGMILVIGACL -DMALRRRLKMNPTVRELLYSNGLHMKATLITSYVWLLSVIWRIVTGVEHYYNEYASSGITGHTRPSSNKS -DYTQTLDFGGVSTSDVIDRFCLVRSMNGSEVIHGPSKIVYEGATINGHKPVKLDIRDAIVGVYIDGEYVA -TGYRSGSNIIVPLHVWTINGLDPNGSAVVQVKWHGLTEQVEVVNVTQLEDGDGWVYLNVPKKWSGLKSIK -PHGSPTTIKKGYLWLVRDDQPTLVAVKLGWMPGIIAHDGSTIPGDSGAPITDEHGNILAIHNGYLRDSQV -NAALAPPQVVVGGGVQDELVNLRATNRRNEEKMTEMFEQMRQLKLLCEKHGIMGEVEQEARGARKRMVNH -QRKPLRIWSDQEYEKLLDDGFTRDELKAIATKRRKEYDYYEDVEPEGYAKVQVNSRDDKVDNMKSSCVSE -TTTEKHDQKLAKAITKFEQAVDGLKTDVIDETYPDKQVEVAIDLHTGLDHKHVSVTKTLGDAGKQDLREA -LATIQNFMVQWSAGANQPREEIELETRRVKEHCPLYQMGWGECDGKCGKIHRHYKTIPPFGKGGKKLITP -QNPSGRDAAC - ->YP_009052461.1 ORF1b [Feline astrovirus D1] -MCLGAWRKYLQDPRERFLAPPNVEVVGHIQIDRPISDWDEPIDDLLNLLPPVDEDLQYGPSVWGPDAYRK -SFEKFFYAEPCMSIKESYQREWNFATRVMHREFNFLEGSVVIDITSTSKNADSTPAFPKTLYWKTEMDYL -KERGYQDYVRQYQKLLEGDRPDVLWYLFLKKEILKQRKIEESDIRQIVCADPIFARVGCCFEEHQNALMK -SHTKTRMGQCGWTPFFGGFRDRISRLMGKGNRYYIEFDWTRYDGTIPLEVFKHVKDFRFSKLDEQYQTPL -FRGVYDWYCRNIFHRYVLMPSGEVVIQDRGNPSGQISTTMDNNIVNVFLQAFEYAYIHPGKTAEELCEDW -ERVDSLVYGDDRLTTFPSVPDDYIERVVSMYKDVFGMWVKPEKVRVSHTPVGLSFCGFTINDDLDPVPTD -CDKLLAALVKPCKKLSDIDALYGKLLCYKILCHNLDDEHSFKKYICVALEVLARHIRCKGGEEPFLFSDR -MLDALWRGGPKQRYGGERPSSG - ->YP_009052460.1 ORF1a [Feline astrovirus D1] -MHINDPYDAVLSFGSPGARRRGLQLNLLSKDKLEDIFGDGGPLCFEFHDISVVDSSSGTVMPTSKKVKTI -AVSGVCDGNQYVTYAFVPGANTWCEIDPKVHRPTALVGVLRGDHARLNLENQDLKRQISSLKLELELQRH -EHARLQQQLPPKFRKFNVWKWLIYSMLLGMLFISSCKAERYGKCLDLELSDTSAPQACVHWVWDRPDEQQ -TRVEGIKIWLQEVKLKIANAWHQSGAPQFVKNAWIYAVDWHVLATVIGLVYVLYAERPAYMLATLVLATL -SKVQLFALSALPSLDVVSTITLWISMVVYVFDQPMAILTSVFLAVTASVACVAMPDYGYSQVIRGAFVVV -ATIIASHVAHITHAPNWLCVMVLVLYRIMRVTTYILAEKVEIKGPDGKVQEVKYAHPSWITKVSKVLNPF -NQKVRTGISPTARVIPNGVVVVDTKEGTGTGFRLRSYIVTAAHVVGNEETVRIVWGGVQAFTKVIYKHPQ -KDVAYLTLPQECQELPTYKLAKKVEDGPVVITSLDDGGVLAVSVSEGVVVGSNMTYAVHTKNGMSGSPVT -NVDGRILGVHQTNTGFTGGAVIITNDDFPQPKKNVREAELEEKIKNLEAALAAHSQNSSVNDIVDLVRAA -VSREMQILRQELVNETFVQAKGKTKAKRRLMGYRGRKLRKAFTEEEYKDLLEKGFTKEQLRNMADAIREA -MEYGDDDEVEDAYPDWSDHDSDDEIEKEWFGQSAWTECEPIKEDVLADTLPIHLRDKYGLDYYLITEFEA -RQFAKELADYREHLEAVIRANVEKNKWVQNVDTRAILEDLNTMWYNLNHLFWKNGLIPFTQRKKQKPKNL -KRGPKGPQ - ->YP_009047247.1 ORF1A nonstructural polyprotein [Bovine astrovirus CH13] -MALSYCPKGTRVLDTYDSVLQFGSKRARQRGLALDKVARNHLGDLFPDGGPLAFGFGPLDMIDPTGDQPK -LHNIDTVYVAGVVAGNEYIVYQFIPGLNEWRETDATIHKPTALVGTLVQEHKRLSKEVQDLKRELSQQKM -DFEILRHDYERVRPVSTSPATRPFNLLTVLLYAFLFGLLAAGLASGQRTGTCYSYDEASQSCLYWEWHEV -AQPDWPKFLTDYFKDHWQVLVDYCTMDVMVQLAALVYQLFSWYVCAGVVAVIYALRSENPIYMLITLIMA -TLSHYQMFALAVVPALDITATISLWAVMAAYALAQSFAILLSFALLVMAVLAGLFLNDAEYAIMVKAHFY -VFLATCTSHVVEILHLPFWAVASVLVTYRLYRSLSFTLGERYEVRSADGKILTTVSSQPNWLARVSRFAQ -SFRQRIRTSVAPTARIIPNALVTVESKEGSGTGFRVQSHLVTAGHVVAGDEQVKVTWGHVVVYAKVVYRH -PTKDLAFLTLPAELQQLPAYKFAKNPADGPVVLTAFDEASLLLVAVTEAVLVDNHWTYAVATRNGMSGAP -LTNVDGRLLGVHLTNTGFTGGAIVIVPDDLPDTRKPTARELELEARIKELEAKSCGDKPLLQSRVDADAV -VELIRVALQREMSILRKEIDDSLAGYSQKKKGKKNRKKRVWTEEEYRALLEKGFTRDQLREMADALREQT -LYGDEDDDEAEVEVGYPEWSDPDQDSDIDAEWFGSYEQSWRYIEPQQSEELVNTLPSHLTLKYSLDNYPI -SKSDIQAVAKEMKQYEEKVSSLISESVDDSGNWKRDVDGKKILQELDALWFGINHTLWDHGLMPFTQRRK -RVTKPKNSKGAQKNRAPQNAR - ->YP_009047246.1 ORF1AB nonstructural polyprotein [Bovine astrovirus CH13] -MALSYCPKGTRVLDTYDSVLQFGSKRARQRGLALDKVARNHLGDLFPDGGPLAFGFGPLDMIDPTGDQPK -LHNIDTVYVAGVVAGNEYIVYQFIPGLNEWRETDATIHKPTALVGTLVQEHKRLSKEVQDLKRELSQQKM -DFEILRHDYERVRPVSTSPATRPFNLLTVLLYAFLFGLLAAGLASGQRTGTCYSYDEASQSCLYWEWHEV -AQPDWPKFLTDYFKDHWQVLVDYCTMDVMVQLAALVYQLFSWYVCAGVVAVIYALRSENPIYMLITLIMA -TLSHYQMFALAVVPALDITATISLWAVMAAYALAQSFAILLSFALLVMAVLAGLFLNDAEYAIMVKAHFY -VFLATCTSHVVEILHLPFWAVASVLVTYRLYRSLSFTLGERYEVRSADGKILTTVSSQPNWLARVSRFAQ -SFRQRIRTSVAPTARIIPNALVTVESKEGSGTGFRVQSHLVTAGHVVAGDEQVKVTWGHVVVYAKVVYRH -PTKDLAFLTLPAELQQLPAYKFAKNPADGPVVLTAFDEASLLLVAVTEAVLVDNHWTYAVATRNGMSGAP -LTNVDGRLLGVHLTNTGFTGGAIVIVPDDLPDTRKPTARELELEARIKELEAKSCGDKPLLQSRVDADAV -VELIRVALQREMSILRKEIDDSLAGYSQKKKGKKNRKKRVWTEEEYRALLEKGFTRDQLREMADALREQT -LYGDEDDDEAEVEVGYPEWSDPDQDSDIDAEWFGSYEQSWRYIEPQQSEELVNTLPSHLTLKYSLDNYPI -SKSDIQAVAKEMKQYEEKVSSLISESVDDSGNWKRDVDGKKILQELDALWFGINHTLWDHGLMPFTQRRK -RVTKPKLQRGPEEPGPAECTLSYWQTLLEPTEPFLIPSGYPVVGKVPLDRPISDRGEPIDDLLSLLPNYD -EDLTAFSPATWGPAAYEKSFEKFTYKEPTQNIAHKYPREWRFATRVLGREFDFLADSVVKDITAVSKNSE -STPAYPKTLWWKTEADYLAERGYSDYISEWNRLVNDSSYRPDVLWYLFLKKEILKTDKILDDDIRQILCA -DPIFARIGCCFEEDQNDRMKRRTRTRMPQCGWSPFFGGFHERVSRLAAKGNPHWVEFDWTRYDGTIPEEV -FRHIKNFRFNSLASRFKTPELRSVYEWYVDNIIHRKVCLPTGEVTEQHRGNPSGQVSTTMDNNMFNVFFQ -AFEYAYLRPHLTDDELCQHWDKYDSLIYGDDRLNTCLDLPSDYVCRVIDMYATIFGMWVKPDKVKVSAVP -QGLSFCGFTITQDTQGFYVPVPTETKKLAAALLKPTKKLDGIASLYGKLLCYRILSHNLPNDNKFKNYIL -LALEVLARHFVARGEEPPFYVTEEMLDKLWRGGPKRDYG - ->YP_009047078.1 ORF1ab [Human astrovirus BF34] -MALSYKPRTKGFYDPYDKTLLFGNKTARRKGLQLDALSNNKLADIFEDGGPLCFGFGDLDQVQVVHGVVT -PSRTIVKTVYVSGVQAENNYVTYCFKPGDNKWVEVEPDIHKPTALVGVLCQEHKRLTDQNRELKDEISSL -KLEASLLRHEVERHRPSSPVMKPLKHSTLFLFAFLIGLLFTQSALGFRTGKCLDSDVSDTNRPQTCIMWA -WDGGVEPDETVPIMDRLQAWAVGFKIRLVNYFSEENLFVSVLYNYAQLAISWSVVAFMIGIYYTIKAEKP -LYMLATLILATFSKVQMFAIAAIPNMEMTSTFSMWISMVMYYFNHIAAIASSMLVGLTCVVVCMFMADSE -YIQVVKGHIIVILTMIITHLFHALQIPSWVTIVAIVAFRIVRLLSVVVGEKMEIRSVEGKIIETRPIGHS -WINKISRFAQSAFRQKVRVGVSSTARVIPNGVVVVETKETQGTGFRIQNYVTTASHVTGNEEQVKLRWGD -VTAYAKVVYRHPTKDLAFIQLPQEFQNLPTYKFAKTVDDGTVVITSIEDCGVLAVAISEGVIVGDNITYA -VQTRNGMSGSPVTNVDGRILGLHQTNTGFTGGAVIVKVDDLPPQKKPQKEMELEAKIKELEERLAGQQMA -QSLNSDQIVELVRIAVGREINILRHEMSMLQAKGKTKGKRRGGYKKKRKVWTEQEYKELLEKGFTKDQLR -EMAETLREAEYDDYSDDEEYEAGYPDWSEHEDDEDIEAEWFKNRPKQKILDEVEKGWSGLDFWEQCKGAW -ADAEPKKEDPVNTLPVHLKDKYGIDCYVISEADVKALASDLKKYQEKVDALIQANTLRGQWLDGIDPKVV -LAELEGLWGEINHLMWVNGLIPFTQRRKIKRKPKLESGAQDGPREDQLRIDSWLDMVEPGERYLTPEEYP -LIGVAPIDRPICDYDEPVDDLLNLLPAYDEDPPFGPTVWGPEAYSKSFEKFKYREPLTNIKANYPREWGF -AMRVLRREYDFLNGSVMIDITSATKNSESTPAYPKSCWWKTEADYLGERGYQDYIREYERIRAGARPKAL -WYLFLKKEILKTSKIEEGDIRQIICSDPIFARIGCVFEEHQNQLMKGRTLTRMGQCGWSPFCGGFDRRVK -RLVGKDNNLFVEFDWTRFDGTIPLEVFKEIKKFRFSCLAKEYRTKNNWDIYTWYCTNIMHRYVMLPSGEI -TVQNRGNPSGQISTTMDNNMCNVFFQAFEYAYMHPTKTHDDLCSDWEKVDSLIYGDDRLSTFPELPGDYI -DKVVKMYADIFGMWVKSDKVKVSNSIIGLSFCGFTITSDGGTYVPVPTEVDKLTAALIKPTKKLQDITSL -YGKLLCYRILSHNLPDDNKFKNYILVALEVLARHIRARGGEEPFFVTDEMLDKLWRGGPKRGDGW - ->YP_009047079.1 ORF1a [Human astrovirus BF34] -MALSYKPRTKGFYDPYDKTLLFGNKTARRKGLQLDALSNNKLADIFEDGGPLCFGFGDLDQVQVVHGVVT -PSRTIVKTVYVSGVQAENNYVTYCFKPGDNKWVEVEPDIHKPTALVGVLCQEHKRLTDQNRELKDEISSL -KLEASLLRHEVERHRPSSPVMKPLKHSTLFLFAFLIGLLFTQSALGFRTGKCLDSDVSDTNRPQTCIMWA -WDGGVEPDETVPIMDRLQAWAVGFKIRLVNYFSEENLFVSVLYNYAQLAISWSVVAFMIGIYYTIKAEKP -LYMLATLILATFSKVQMFAIAAIPNMEMTSTFSMWISMVMYYFNHIAAIASSMLVGLTCVVVCMFMADSE -YIQVVKGHIIVILTMIITHLFHALQIPSWVTIVAIVAFRIVRLLSVVVGEKMEIRSVEGKIIETRPIGHS -WINKISRFAQSAFRQKVRVGVSSTARVIPNGVVVVETKETQGTGFRIQNYVTTASHVTGNEEQVKLRWGD -VTAYAKVVYRHPTKDLAFIQLPQEFQNLPTYKFAKTVDDGTVVITSIEDCGVLAVAISEGVIVGDNITYA -VQTRNGMSGSPVTNVDGRILGLHQTNTGFTGGAVIVKVDDLPPQKKPQKEMELEAKIKELEERLAGQQMA -QSLNSDQIVELVRIAVGREINILRHEMSMLQAKGKTKGKRRGGYKKKRKVWTEQEYKELLEKGFTKDQLR -EMAETLREAEYDDYSDDEEYEAGYPDWSEHEDDEDIEAEWFKNRPKQKILDEVEKGWSGLDFWEQCKGAW -ADAEPKKEDPVNTLPVHLKDKYGIDCYVISEADVKALASDLKKYQEKVDALIQANTLRGQWLDGIDPKVV -LAELEGLWGEINHLMWVNGLIPFTQRRKIKRKPKNLKAGPRMGPVKTN - ->YP_009010968.1 ORF1ab [Porcine astrovirus 5] -MDQLFRRGDPFARRWVESRPEVYKSMLKLFPDNAVVFITRARHNRDFAPVASSVDHDSEWVSYKYTGTTW -EQVDEVPVDSQSAVVSVALLENARLKEQVKDLQGKNSQAALEYSLLRHDYERIRPAAPQPYKWGKIFFYA -FVVGLLVSSLPMSHAWPEGDPEKSANHPVAGSLYPHPQDDDYQRSSFTFDNLRELLTDWNKKAFNYTLYR -DNLFKEYLTIKERLITYESSPIIKVFLFFRPYWSLWFFSSLTFLFQSYGTSNWLVASLIVILSLKTGDRT -MGLIPVPWMTTTGAWVHLVLMLVSLFDALGALFLSLLYLFCAPLVYLWQPDETFFSTIRSSTLVAIPNLL -AVLSRNIPGCDILCLSLVVFWRIYQAWCTVGATRLEIKTADGKTAKVVSLAPSFFQRARRKFRQATKPFR -APSFPIPSNCLVHITTDQGSGTGFRTGNYLVTARHVVAGATSTEVSVGSLTTTLTSADWIPLGERDIVKA -KLPSLFQHLSSVRVADKSSNDWIGLLTFAPNGSYYQLAVGDGLWFDDTLTYALDSDNGSSGSPVIDRTGK -VVAVHTMSTGYTASGQRLVREDVENISKVQEKDREIEKLKAELEALRAQPSLQSHPSPVESALQQSDCPQ -EVVDLVREAVRQEMLRLRLEFAQAKGKNKRGRGRKHNLNLRAKRVGKQFTEQEYRRLQEEGWTKDDLRDM -AYQLWEETQAENAGYGDWSDPEWSDDASLGSDFYEEALDFDQRKVVEPTEPDQTPREEFNEEKKKPGPFQ -QCQLACRDPMLYEVDTAEYKVLGEPMHEISRYVNELQSLTQEEYTRKATYYSDALREAWDRLNGYCVHCG -FKPFSQRKMRHPKPRQQPKNFPTDPSGWGREEISLHYWLSMLQEPKPRFLVHPDFPLVGFLPINRPLYDA -SRPRDPLLGLLPPINVDTGYAPATWGPQAFINSFEKFQYAQPPDFVAKNSQAHQFALSKLRAHYSFLQGT -AVIPITATDKETNSTPAYPKNIVFRKESDFLDHFSWGAYVDELKHLQYKPVLWYLFLKKEILKESKIQAA -DIRQILCADPIYGRIGLMFDQQQNVRVKERTHDKSSQVGWTPFFGGFQQRIQRLASTGARYWVEVDWTRY -DGTIPQQLLRIIRQVRWEFLDDVYKTAENRKLYEWYVHNLLNRYVLLPSGEVTFQDRGNPSGQVSTSVDN -GMVNYYLQAYEHYVLNGPDGWEDTDTLIYGDDRLSVTNFPPDPDELINFYHDYFGMWVKKENVKVQETPV -GLSFCGFTITEDLKPCLQRPMKLLASILTPVTKLRDPEVLYGKLLSMLILSHNDPPDSPLRRYVRRCVDI -LRARVGSDLPVFSDRILSYLWGGGPKRDDGQSA - ->YP_009010969.1 ORF1a [Porcine astrovirus 5] -MDQLFRRGDPFARRWVESRPEVYKSMLKLFPDNAVVFITRARHNRDFAPVASSVDHDSEWVSYKYTGTTW -EQVDEVPVDSQSAVVSVALLENARLKEQVKDLQGKNSQAALEYSLLRHDYERIRPAAPQPYKWGKIFFYA -FVVGLLVSSLPMSHAWPEGDPEKSANHPVAGSLYPHPQDDDYQRSSFTFDNLRELLTDWNKKAFNYTLYR -DNLFKEYLTIKERLITYESSPIIKVFLFFRPYWSLWFFSSLTFLFQSYGTSNWLVASLIVILSLKTGDRT -MGLIPVPWMTTTGAWVHLVLMLVSLFDALGALFLSLLYLFCAPLVYLWQPDETFFSTIRSSTLVAIPNLL -AVLSRNIPGCDILCLSLVVFWRIYQAWCTVGATRLEIKTADGKTAKVVSLAPSFFQRARRKFRQATKPFR -APSFPIPSNCLVHITTDQGSGTGFRTGNYLVTARHVVAGATSTEVSVGSLTTTLTSADWIPLGERDIVKA -KLPSLFQHLSSVRVADKSSNDWIGLLTFAPNGSYYQLAVGDGLWFDDTLTYALDSDNGSSGSPVIDRTGK -VVAVHTMSTGYTASGQRLVREDVENISKVQEKDREIEKLKAELEALRAQPSLQSHPSPVESALQQSDCPQ -EVVDLVREAVRQEMLRLRLEFAQAKGKNKRGRGRKHNLNLRAKRVGKQFTEQEYRRLQEEGWTKDDLRDM -AYQLWEETQAENAGYGDWSDPEWSDDASLGSDFYEEALDFDQRKVVEPTEPDQTPREEFNEEKKKPGPFQ -QCQLACRDPMLYEVDTAEYKVLGEPMHEISRYVNELQSLTQEEYTRKATYYSDALREAWDRLNGYCVHCG -FKPFSQRKMRHPKPRQQPKNSRRTPQAGVGKK - ->YP_007003830.1 ORF1ab [Porcine astrovirus 3] -MALPFDDTLRFGSAAARVKGRRLDSVARTKLKDLLGNGPYFYDFGPLEVVDSSSKQLTVKMTSVQTVYVS -SVVEDNSYVTYKFVPGVNEWVETEPVLHKPTALVGVLWREYNRYKDKTESLSQELSQLRLEHSLLRHDYE -RVRPQQPATPRCRLGFITKLLIGLLIGSLMAHSTLAYKTPGTGLLGECLDTDVIDGKQVCVNFLPWNETE -TNPTDGDKTTTISWDHEGLLDLLVLIAPYLVSWPMIATMVGFFYVATAEQPAYMLVSLLLATYSKAQVLA -LAGLPFMDMPSVVTLWVSMLVHSYDAVLSLWVALLLAAFTLSAGVFMPDVRYSDLVRGQLVVFLVLVFNY -LVVMMSLPNWLVFSLVVGYRVLRVLTFLVAEKVEVRGPDGKVVETRTSMPAWINKASNFLQTKFHQKIRT -GISPTARVIPNGVIVVETQDGSGTGFRCRNYLVTAGHVVTGTETPKIQWAGVPAYSKVVHRVPDKDIAFL -AIPQELQDLPSYRLAKKVEDGPVVITSLEDSGVLSVAVTEGVIVTDSITYAVQTRNGMSGSPVTNLDGRI -LAVHQTNTGFTGGAVILTDEDFPQPKKSAREQQLEARIKELEAAMNQSSNHEDIVELVRAAVAREFKVLR -TELSATTFTQAKGKNKKHHRRRGGKKRRAVWSEEEYKELLEKGFSKSQLRDMAEVLRSHEEDPFGSDTES -EGGFPEWSDVSDAESIEREWFGQSWEDCKPVKEEPQDTLPVHLKEKYTLDAYVISKEELRSFAKEFKDYV -DKVEALIDKTVQQGKWLPSVNPTAIIEELNDLWFGLNMLMWEKGLVPFTQRKKIKRRVQKKLQGGPQEGP -ITYSMMDLKAWRRSLYEVERRLVPEDYPLIGGVPLDRPISDWDEPIDDLLLMLPAFKEDLNYTPAVWGPE -AYTKSFEKFTYREPCPNIAATYPREWSFATKALRREYSFLADSVLTDIAATSKNADSTPAYPKSLYWKTE -ADYLGERGYQDYIRQLDAIYSGERPDVLWYLFLKKEILKVEKVVQSDIRQIVCSDPIFARIGCHFEEDQN -VRMKNHTKTRSGQCGWSPFFGGFNDRIKRLCACDPDCYIEFDWTRFDGTIPNEVFMHIKRVRYSFFAKEF -RTKRVKEAYQWYCEQLLHRHVVLPSGEVTLQTRGNPSGQISTTMDNNMVNVFLQAFEYAYLHPDCTDDEL -EANWSRMDTLVYGDDRLSAYVGLPANYVERVVTMYSDVFGMWVKPEKVIVSPSIIGLTFCGLRIISDGTA -FLPVPAETNKLISALIKPTKKLQDVMTLYGKLLCYRILGHNLPDDNKFKAYILVALEVVARHIRTRCGDE -PLRFTDEMLDRLWGGGPKVGYGW - ->YP_007003831.1 ORF1a [Porcine astrovirus 3] -MALPFDDTLRFGSAAARVKGRRLDSVARTKLKDLLGNGPYFYDFGPLEVVDSSSKQLTVKMTSVQTVYVS -SVVEDNSYVTYKFVPGVNEWVETEPVLHKPTALVGVLWREYNRYKDKTESLSQELSQLRLEHSLLRHDYE -RVRPQQPATPRCRLGFITKLLIGLLIGSLMAHSTLAYKTPGTGLLGECLDTDVIDGKQVCVNFLPWNETE -TNPTDGDKTTTISWDHEGLLDLLVLIAPYLVSWPMIATMVGFFYVATAEQPAYMLVSLLLATYSKAQVLA -LAGLPFMDMPSVVTLWVSMLVHSYDAVLSLWVALLLAAFTLSAGVFMPDVRYSDLVRGQLVVFLVLVFNY -LVVMMSLPNWLVFSLVVGYRVLRVLTFLVAEKVEVRGPDGKVVETRTSMPAWINKASNFLQTKFHQKIRT -GISPTARVIPNGVIVVETQDGSGTGFRCRNYLVTAGHVVTGTETPKIQWAGVPAYSKVVHRVPDKDIAFL -AIPQELQDLPSYRLAKKVEDGPVVITSLEDSGVLSVAVTEGVIVTDSITYAVQTRNGMSGSPVTNLDGRI -LAVHQTNTGFTGGAVILTDEDFPQPKKSAREQQLEARIKELEAAMNQSSNHEDIVELVRAAVAREFKVLR -TELSATTFTQAKGKNKKHHRRRGGKKRRAVWSEEEYKELLEKGFSKSQLRDMAEVLRSHEEDPFGSDTES -EGGFPEWSDVSDAESIEREWFGQSWEDCKPVKEEPQDTLPVHLKEKYTLDAYVISKEELRSFAKEFKDYV -DKVEALIDKTVQQGKWLPSVNPTAIIEELNDLWFGLNMLMWEKGLVPFTQRKKIKRRVQKNSKGAPKRGP -LPTP - ->YP_006905856.1 ORF1b, partial [Astrovirus VA4] -AGKKLEGGPQDGAPTEDQLRLDYWERLLEPGDYFLTPSTYPLIGVLPIDRPISDYDEPIDDLLNLLPNYD -DEIAYGPTVWGPEAYRKSFQKFTYKEPQENIELNYPREWKFAMRVLRREYDFLVDTQLTDITATSKNSDS -TASYPKCLWWKTESEYLKDRGYKDYIQQFDSILNGERPKVLWYLFLKKEIQKLSKIEESDIRQIVCSDPI -FARIGCVFEEHQNQLMKNRTKTRMGQCGWSPFSGGFHSRISRLVDKGNRHFVEFDWTRYDGTIPNEVLIA -IKKFRYSCLSDHCKSAKLLDAYKWYCHNIMHRYVMLPSGEVTIQNRGNPSGQISTTMDNNMCNVFFQAFE -YAFIHPNKTVEELCDMWDKVDSLIYGDDRLSTYPELPDNYKDIVISMYADIFGMWVKPDKVKVSNTIEGL -TFCGFTVVKDKNMYVPVPTETEKLIAGLVKPTKKLPDVLSLYGKLLCYRILSHNLPDDHKFKNYILVALE -VVARHIRAAGGEEPFHITDTMLDRLWRGGPKRGDGW - ->YP_006905855.1 ORF1a [Astrovirus VA4] -MECSYKPKGSQVYDPYDRVLQHGSRSARIKGMQLDKVSKNKLSDIFQDGGPLCFGYGELEMAKIVSGSVK -PINTLVKTVYVSGVQAGNDYVTFCFKPGVNEWVEVEPDIHQPTALVGVLYQEYKKVAASEQELKKDKSQL -QLEISLLRHELERARPTTRTLKPISVTRIVMFGLLIGLLLAHATSGFRTGQCLDSDVSETLKPQTCINWK -WDGGIAPDTDVPFMERLSAWYTGFKQQVREYYTNSAVFEWMLFMTGYLCTWTAVATLIGAYYMFRADNPL -YMLLTIALATVSKIQLLAIAAVPSMEITSTFSLWSCMVVYYFNQVAAMCASLIITAVCLVVCMFMSDVEY -IQLIRGHGVVILTIFASHLFHILQVPSWVTIVIMVSYRVAKLTSFIFGEKIEARGLDGKIVATIPSQTSW -LNKVSRFVQGKFRQGVRTGISSTARVIPNGVVTIETKETVGTGFRVQNYIVTAAHVVGNETQVRVKWGDV -SAFAKVCYIHPEKDVAYLTLPSEHQGLPTYKFAKNIVDGTVVITSLEECGTLAVAITEGVVVSNNMTYAV -NTKNGMSGSPVTNVDGRIVGVHQTNTGFTGGAVILYQDDLPPQKKPLREQELEARIKDLEAALDAKMQQR -MSDDQIVELVRLAVGRELTILRHELSLNQAKGKNKGKKGGKRGKKKRMWTEEEYKELLEKGFTKQQLRDM -AEALREAEYSDEDSDEYEAGYPQWSDPEDDDELEAEWFGPKKKILDEVEEGWSKTDFWEQCQKVWKELEP -MSEEAVNTLPSHLNDKYGITCYVITKADMEALAKDLQKYQMAVEDKIKANVIRGQWMEGVDPKTVINELD -ELWLGINHIMWENGLVPFTQRKKINRRKQAKNLKGGPRMGPQQKTN - ->YP_006905859.1 ORF1b, partial [Astrovirus VA3] -TLAQVEPPYVVTWFGSIYTKKEDQQAQATKKLEGGPEVGAPKPDQLRLDSWKQMLEPGEYFLTPVNCPLI -GVLPIDRPISDYDEPVDDLLNLLPKCDEDPPYAPSTWGPEAYRKSFQKFFYKEPVKNIKEKYKREWKFAM -SALRREFDFLNDSVMIDITATSKNADSTPAYPKTLWWKTETDYLKERGYQDYITELDRIMNGDRPDVLWY -LFLRKEILKISKIEDEDIRQIVCSDPIFARIGCVFEENQNQLMKGRTLTRMGQCGWSPFMGGFHRRVKRL -VDKGNHHFIEFDWTRYDGTIPTEVFSAIKDFRFSCLRKEFRTEKNKSVYDWYCKNIFRRYVMLPSGEVTV -QERGNPSGQISTTMDNNLCNVFFQAFEFAHLNPDKTIDELREGWEKVDSLIYGDDRLSTVPYVCSNYVEK -VIDMYENIFGMWVKPNKVKLSDSVIGLTFCGFTITMSDNVYVPVPTDTDKLLAGLVTPVKKLPDILSLYG -KLLCYRILGHNLPDDHKFKNYILVALEVLARHIRNGGGEEPYHITDEMLDRLWRGGPKLGYGW - ->YP_006905858.1 ORF1a [Astrovirus VA3] -MERTYRPSGLGHMDPYDRILQHGSLKARIQGIQLNKVAKTKLEDIFESGGPLCFGYGDVETTHVKNGVVE -PHPIIVKTVYVSGVREGNEYVTYLFKPGVNDWVETEVNIHQPTAIVGVLYQEFRRLTVENQTLKSERSTL -QLELSLVRHELERVRPAEKVLKPMKISSIIFYGLLIGLIFAHITTAFRTGVCLDSDVSETLKPQTCINWK -WDGGIDPDDTVPYYDRLIAWYSGVSQQIKSIYNDQLFNWLLYISTLTYTWTAVALAIGTYYMIRAENPIY -MLITLIMATISKMQLFAVSAIPNMEMTSMFSLWCCMALYVLNQAAAMAASLMIAMLCSVICLFMGDAEYI -QVIRGHGVVIITIVLSHVFHVLQIPNWVTVLVIVALRVIRLMSAVIGEKIEIRNIDGKVVNVIPTTTSWL -NRVSGFVQSRFRQKVRTGIVSTARVIPNGVVVVESKETSGTGFRVQNYIVTAAHVVGNETQLKVKWGDVV -VYSKVVYIHPNKDVAYITLPAEFQALPTYKFAKTVEDGTIVITSLEDCGVLAVAVSEGVVVKDNMTYAIQ -TKNGMSGSPVTNVDGRIIGVHQSNTGFTGGAVIIRPEDLPPQKKPQRELELEAKIKELEETLKSQMNQGL -NENQIVDLIRLAVGRELEILRHEMNLNQAKGKNKHRGKHGARRRRKVRVWTEEEYKDLLEKGFSRQQLRD -MADVLREAEYTDDDSEDFAEEEGYPQWSDPEDYEEIEREWFGPKKKILDEVEDGWSKTDFWEQCQKIWKE -TEPMPEESVNTLPSHLHDKYGLTCYVVTKADMQALAKDLQEYQQKVEDKIKTNVVRGQWVSGIDPKVVIT -ELDELWLKLNHLMWSHGLVPFIQRKKINRRKQQKNLKGAPKSGPQNQTN - ->YP_004782205.1 ORF1a [Mouse astrovirus M-52/USA/2008] -MAVPPGGAVGCNALDAGLALGTTSARNKGVMLNSYTINKYQDLIDANSVVEWFNIQVNGNDEPAFTGIKD -GDAVTYVHSTKDNAWMLNPTIYRTTPIIISQLAKQNQERLTELNELKRELSQLKMEYEVVKHDAVRYQKL -IEEQKSVRTPWFTKTQWFLLCWIGLLIGFSMLPSANAQVTGTRDVIPYLAETQDYLRDMLKDAFRQAREQ -IEDIDYTQIINFALHSWQVHVIATLVFSIVRYKNITPTLCTILLSIWSGFGVLTGIPLGFSDTLETISYA -ILLILFPLNKFLGLIVYGSAAILVIISNATNGVFAFDPSLVSFFSVVIPLIVHIVTDNLKIPKGYVILFY -CAYRIVFFLVKQPEHVLIKNEEGKVVETKPFVPKKSAFARFSQALKNFVQKTVRTGVSPSFQIPAATTFK -IKTTEGYGTAFRLQNYIVTAKHVCASDDIGEVHFEGKKLAVKLKWRHPTKDVAYFTLPTEMQCVKPFKIG -NFTDGDYLAIVHRDNDYVKFAVAKGVVVDDQITYAVQTADGSSGSPVINTSGKVCGIHVINTGFAAGCVM -LEQHDLPDSVQPTPKEVNMEQNMLVPDVVGLVREAIKREMEILRKELAMQELEQKRKGRNKSYRRKGKKK -RKVWTEQQYRALLDEGFTHDELVKMAEAIRNEEDEDIDSGEDENYNPYAYDGFDGLIEEVDEDEINEIWF -QKDGVKTKFKQLWYEFKAPTPYTHPEHIKDCYMVNVDGGPIHLKSESTVRIAKRIQEILEFAFNENKWNP -NVDKETVLDELQALYYDINYDLYLRDGITLFQAKRQQRKQQQQHQQQQNQNQQQQQQQPSTSKNVKPPQN -HQGGKSTTK - ->YP_003275951.1 non-structural protein [HMO Astrovirus A] -MERSYKPRGLNIYDPYDRVLQHGSKSARIKGIQLDKVSGNKLADIFSDGGPLCFGYGVLEMVKVDTGSVQ -PVTTPVKTVYVSGVLAGNEYVTYCFKPGVNQWVEVDPDIHQPTALVGTLYQEYKKLVQSERELKETKSGL -QLEISLLRHELERSRPTVRTLRPYKMANIVFFGLLLGLLMAHAVTGFRTGQCLDSDVSETLKPQTCINWK -WDGGIAPDTEVPLVERAYAWYIGVKQQMKEYYNDSVIVEWSVYVFKMLCSWTSVAVTIGVFYMIKSENPM -YMMLTLMLATLSRIQLMAVAAIPGMEITSTFSLWCCMIVYYFNQAAAMASAIYYSFQCVMSSCMFMSDIE -YVQVLRGHAVVVFTIICSHIFHILQIPSWVTVLIMVLYRVVRLTSVVIGEKIEVRNLDGKVVNTITTQTS -WLNKVSKFVQSKFKQNVRVGVSSTARVVPNGVLVVEAKDNIGTGFRVQNYVVTAAHVVGSETQVRLKWGD -VSAFAKVVYIHPSKDVAYLSLPPEMQNLPTYKFAKAVADGTIVITSLEDCGVLAVAITEGVVVSNNMTYA -VCTKNGMSGSPVTNVDGRVIGVHQTNTGFTGGAVIIRQEDLPPQKKPQRELELEEKVKQLEEALAGKMNQ -KFSEDQVIELIRMAVGREIGILRHELSMNQAKGKNKGKKRGNVKRKRRRMWTEEEYKELLEKGFTRQQLR -DMAETLREAEYTDTESEEYESGYPAWSDPEDSDEIDREWFGPKKKILDEVDSGWSKGDFWEQCQKVWKET -EPMSEEQVNTLPSHLHEKYGLTCYVITKADMEALAKDLLKYQSLVEDKIKNNVVRGQWIDGVDPKVIINE -LDELWLGINHIMWENGLVPFTQRRKVNKRKNQNLKGGAQGEPPTKEQLTLSYWENMLEPGDYYLTPPTYP -LLGILPINRPICDYDEPIDDLLNLLPSYDDDMSYGPTIWGPEAYVKSFEKFTYKEPMSNIKDKYKREWNF -AMRVLRREFDYLVDSVMTDITATSKNSDSTPSYPKCLWWKTEAEYLKDRGYQDYITQLESIKKGERPRVL -WYLFLKKEILKLSKIEDGDIRQIVCSDPIFARIGCVFEEHQNNLMKMRTKTRMGQCGWSPFCGGFNDRVK -RLVDKGNNLFVEFDWTRYDGTIPNEVFMAIKQFRYSCLAEEFKTEENLSIYKWYCESILDRYVMLPSGEV -TKQVRGNPSGQVSTTMDNNLCNVFFQAFEYAYMHPDKDISQLMHDWEKVDSLIYGDDRLSTYPELPEDYV -DRVVDMYATVFGMWVKPEKVKISNSIIGLTFCGFTVTESNGIYVPVPTETEKLMAGLVRPTKRLPDILSL -YGKLLCYRILSHNLPDDHKFKNYILVALEVVARHIRASGGEEPYYITDGMLDRLWRGGPKRGDGW - ->YP_003090287.1 non-structural protein 1a [Astrovirus VA1] -MERSYKPSGSSHYDPYDRVLQHGSVKARIQGLQLNKVAKTKLEEIFSCGGPLCFGYGDVETTRVSNGVVE -PQPLIVKTVYVSGVREGNEYVTYLFKPGLNDWVEVDANIHKPTAIVGVLYHEYNRLKLENESLKTERSSL -QLDISILRHELERARPPTKIIRPFSVGCIILYGLLIGLLFSHISQAFRTGVCLDPDVGETLKPQTCINWK -WDGGIESDETIPFYDRFTAWYTGLIQQFKSMYNDIVIDLVVQAFGFAYTWTAIALMIGTYYMLKSTSPAY -MLVTLMMATVSRMQLFAISAIPNMEVTSMFSLWCCMVLYYFNQVAAMAASLMIAAMCSIVCLFMGDAEYV -KVIRGHGVVILTVVVSHIFSVLLVPHWVTVFLIVAFRIVRLIGAVVGEKIEVRNSEGKVTSVIPTTTSWL -NRISGFVQSKFTQKVRTGIMSTARVIPNGVVIVESKESSGTGFRVQNYIVTAGHVVGNETQIKVKWGDVN -VYTKVVYMHPTKDIAYLALPSEYQALPTYKFAKLIEDGTVVITSMEDCGVLAVAVTEGVIVKDNITYAVS -TRNGMSGSPVTNVDGRIVGIHQANTGFTGGAVIIKQEDLPPQKKPQREIDLENKIKELEDALKGQMNQGL -NENQIVELIRLAVGREIEILRHEINMNQAKGKNKRKNHHKRRRKGKVWTEEEYKDLLEKGFTRQQLRDMA -EVLREADYSEDDESDEYDTGYPQWSDPEDSEEVEREWFGPKKKILDEVEEGWSNTDFWEQCQKVWKEMEP -MPEESVNTLPSHLSDKYGITCYVVTKSDMEALARDLQEYQAKVEEKIKANVVRGQWLEGVNPKTIISELD -ELWLKLNHLMWTHGIVPFIQRKKINRKKQQKNLKGAPKQGPQNQNN - ->YP_003090286.1 non-structural protein 1ab [Astrovirus VA1] -MERSYKPSGSSHYDPYDRVLQHGSVKARIQGLQLNKVAKTKLEEIFSCGGPLCFGYGDVETTRVSNGVVE -PQPLIVKTVYVSGVREGNEYVTYLFKPGLNDWVEVDANIHKPTAIVGVLYHEYNRLKLENESLKTERSSL -QLDISILRHELERARPPTKIIRPFSVGCIILYGLLIGLLFSHISQAFRTGVCLDPDVGETLKPQTCINWK -WDGGIESDETIPFYDRFTAWYTGLIQQFKSMYNDIVIDLVVQAFGFAYTWTAIALMIGTYYMLKSTSPAY -MLVTLMMATVSRMQLFAISAIPNMEVTSMFSLWCCMVLYYFNQVAAMAASLMIAAMCSIVCLFMGDAEYV -KVIRGHGVVILTVVVSHIFSVLLVPHWVTVFLIVAFRIVRLIGAVVGEKIEVRNSEGKVTSVIPTTTSWL -NRISGFVQSKFTQKVRTGIMSTARVIPNGVVIVESKESSGTGFRVQNYIVTAGHVVGNETQIKVKWGDVN -VYTKVVYMHPTKDIAYLALPSEYQALPTYKFAKLIEDGTVVITSMEDCGVLAVAVTEGVIVKDNITYAVS -TRNGMSGSPVTNVDGRIVGIHQANTGFTGGAVIIKQEDLPPQKKPQREIDLENKIKELEDALKGQMNQGL -NENQIVELIRLAVGREIEILRHEINMNQAKGKNKRKNHHKRRRKGKVWTEEEYKDLLEKGFTRQQLRDMA -EVLREADYSEDDESDEYDTGYPQWSDPEDSEEVEREWFGPKKKILDEVEEGWSNTDFWEQCQKVWKEMEP -MPEESVNTLPSHLSDKYGITCYVVTKSDMEALARDLQEYQAKVEEKIKANVVRGQWLEGVNPKTIISELD -ELWLKLNHLMWTHGIVPFIQRKKINRKKQQKNLEGGPETGAPKPEQLRLGYWRELLKPGEYYLTPPHCPL -VGVLPIDRPISDYDEPIDDLLNLLPKCEEKPPYAPSTWGPEAYRRSFDKFFYRKPTENIREKYPREWKFA -MSVLRREFDFLQDSVLIDITSTSKNADSTPAYPKTLWWKTETEYLKERGYQDYIKELDSIRSGERPDVLW -YLFLKKEILKISKIEEEDIRQIVCADPIFSRIGCVFEEHQNQLMKNRTLTRMGQCGWSPFMGGFHKRIKR -LVDKGNDYFIEFDWTRYDGTIPNEVFKAIKDFRFSCLRGDLQTKENRDVYNWYCENIFRRYVMLPSGEVT -IQDRGNPSGQISTTMDNNICNVFFQAFEFAYLNTELDSDELKENWDKYDSLIYGDDRLTTTPILCDNYVD -RVIKMYADVFGMWVKREKVKVSNEINGLTFCGFTVQESNGLFVPIPTDTDKLLAGLITPIKKLPDILSLY -GKLLCYRILGHNLPDDHKFKNYILVALEVVARHIRASGGEEPYYITDSMLDRLWRGGPKQSHGW - ->NP_059945.2 orf1ab polyprotein (orf1ab) [Mamastrovirus 13] -MNVYDKVLQFGSKKARARGMALNKLSRNRLEDIYAGSGPLVFGFGPIDMVDPGSLNPSIKTLDTVYVAAV -QPDNQYVVHHFVPGRNEWVETDASTHQPTALVGVLVQDHKAKTREVEDLKSQLSQLRMEHEILRHEYERL -KLKSPIVKPFKPLKVLLFSLLLGLLFAGVTNGARTGTCYAYDEEKDTCLYWEWKDSREVAWYDSYVTEAL -AIYNRACVYVRSKEFMTYLSLVFQTVFNWYFCATALAVYYMARAENPIVMFVTLALATLSQFQLLAVAVL -PLLDFSATMGLWLSMVVFYMSQQISILVSFCVLVLSVMIGTFMADSEYAMMIKGHAVVFAIVCYSHVAMI -LNIPPWVVSLTMVCYRLWRVCFVFPAERLEIRSADGKVLHTVPTHPNWTAKVTRFVQSLRKGLRTSVAPT -ARIVPDGIAIVEAREGVGTCFRVKNNLVTSKHVVGSDDAVKIRWGAQEDMARVTYRHPTKDIALMALPTN -LQTMPAYKFAKAITDGPIVMTAFDEANLLLVAVTEGVRVEDHMTYSVATRNGMSGAPITTVDGRVIAVHQ -TNTGFTGGAVIFVPEDIPEVRKISKREQELEDRVKQLEGMLNMDQAYVDSNLIVDLVREAVQREMKVLRT -ELANLGGFSQKKKGKNKSTKRKRKAVWTEEEYKAMLEKGFTRDQLRIMADAIRDQYYDDEDEQSEEEAGY -PDWSDPGDSTDIENEWFGYEQSWKELEPAKSGVVVNTLPKDLVFKYSLDNYPISKQDIQAVAKELKIYEK -AISDIISTSVSTDGKWKDDVDAQKILQELDGLWWGINHTLWEHGLMPFTQRRKRVQQPKNLQRGPEDPGP -EECKLDYWEQLVEPSKEKFLVPPEYPLLGVVPLDRPISDFDAPVDNLLALLPEPESPDLGFEPAVWGPEA -YVKSFEKFDFADPDPNIEKNYPREWAFANLVLHREFDFLADSVVKDITATSKNSESTPGFPKTYWWKTEA -EYLAKRGYADYVSEWNRIRGGARPNVLWYLFLKKEILKSTKVRDADIRQIICSDPIFARIGCCFEEDQNE -RMKRRTKTRMPQCGWSPFFGGFNDRIQRLVAKGNPYWIEFDWTRYDGTIPSQIFKHIKNFRFSMLAKEYQ -TPELRNMYHWYVDNILRRYVCMPSGEITIQHKGNPSGQVSTTMDNNLVNVFLQAFEYAYLHPEKSMDELR -KDWESYDSLIYGDDRLTTSPSVPNDYVTRVVAMYKDIFGMWVKPEKVKVSHSPVGLSFCGFVITHQDGQY -LPVPAEEAKLLASLLRPTKKLENMDALYGKLLCYRILNHNLPNDNKFRNYILVALEVMARHYSSRGEEPP -FYVTESMLDKLWRGGPKFDYG - ->NP_795334.1 putative serine protase [Mamastrovirus 10] -MANNTTSALHPRGSGQRCVYDTVLRFGDPDARRRGFQLDEVSHNKLCDIFDSGPLHFAFGDLKVMKVAGG -VVTPHKTVVKTVYVSGVQEGNDYVTFAFTPGPNEWREVDPRIDKRTALVGVLVQEHKKLDSDLKESRREL -SQLKLEHSLLRHDYERLVREKPGPAMRTFKFSAVIFYAFFLGFLLMSAVKGEVYGRCLDSELNLNGNPEV -CLHWEEVKSFSLQVALADFWNMTLDYYATVAPQSPLMDLALGYFPYFANWHMAAFLVGTAHVVAAERPLY -MLVTLVLATLSRFQLVALAAVPMLDMPSSIGLWVTMVLFAIDQAFAILASVLISVLLLILCLAMNDVDYG -ALLRGCVTLVSATVFSHLVSFLHAPGWFTIIAILIYRIPKVLSYVSAERVDIKGPDGKIKETQNANPSWI -TKMSGLKNFFQRAFRQKVRTGVNPTTRIIPNSLVVIDAKDGRGTGFRVRNYLVTAGHVVGADTTVRVRWA -DVTSFAHVVYRVPNKDIVLLTLPAEYNSLHSYKLAKEVVDGTVVVVSNGDGGALSVGISEGVIVGESMTY -AINTADGMSGSPLTTTDGRLIGVHQQNTGFTGGAVIFRDTDFPQPKKPQREADLEAKVAELEKALAAYTQ -SATGEDIVGLVRVAIQREMEVLRKELSNEFGQAKGKTKHKRRIMAAARSGGKRKPGKVWTEEEYKKLLEE -GFTRDQLREMAEAAREADDDFDDYEEEKNEVDYPVWSDHDSDEEIDRDWFGQNLPTWSSAWSDFEPELDP -DVTKTLPCHLEDKFSLKHYIITEADLKHFGQEMKEYMDHLDAVIKTHTEKGKWCPNTNTEEILKDLNAMW -FKLNHTMWKNGVAPFMQRKKQKPKNGKRAPKGAQ - ->NP_059944.1 nonstructural protein, putative serine protase [Mamastrovirus 13] -MNVYDKVLQFGSKKARARGMALNKLSRNRLEDIYAGSGPLVFGFGPIDMVDPGSLNPSIKTLDTVYVAAV -QPDNQYVVHHFVPGRNEWVETDASTHQPTALVGVLVQDHKAKTREVEDLKSQLSQLRMEHEILRHEYERL -KLKSPIVKPFKPLKVLLFSLLLGLLFAGVTNGARTGTCYAYDEEKDTCLYWEWKDSREVAWYDSYVTEAL -AIYNRACVYVRSKEFMTYLSLVFQTVFNWYFCATALAVYYMARAENPIVMFVTLALATLSQFQLLAVAVL -PLLDFSATMGLWLSMVVFYMSQQISILVSFCVLVLSVMIGTFMADSEYAMMIKGHAVVFAIVCYSHVAMI -LNIPPWVVSLTMVCYRLWRVCFVFPAERLEIRSADGKVLHTVPTHPNWTAKVTRFVQSLRKGLRTSVAPT -ARIVPDGIAIVEAREGVGTCFRVKNNLVTSKHVVGSDDAVKIRWGAQEDMARVTYRHPTKDIALMALPTN -LQTMPAYKFAKAITDGPIVMTAFDEANLLLVAVTEGVRVEDHMTYSVATRNGMSGAPITTVDGRVIAVHQ -TNTGFTGGAVIFVPEDIPEVRKISKREQELEDRVKQLEGMLNMDQAYVDSNLIVDLVREAVQREMKVLRT -ELANLGGFSQKKKGKNKSTKRKRKAVWTEEEYKAMLEKGFTRDQLRIMADAIRDQYYDDEDEQSEEEAGY -PDWSDPGDSTDIENEWFGYEQSWKELEPAKSGVVVNTLPKDLVFKYSLDNYPISKQDIQAVAKELKIYEK -AISDIISTSVSTDGKWKDDVDAQKILQELDGLWWGINHTLWEHGLMPFTQRRKRVQQPKNSKGALKTRAP -KSAN - ->sp|Q80KJ7.2|NS1AB_MASV1 RecName: Full=Non-structural polyprotein 1AB; Contains: RecName: Full=VPg; Contains: RecName: Full=Protein p19; Contains: RecName: Full=Transmembrane protein 1A; Contains: RecName: Full=Serine protease p27; Short=p27; Contains: RecName: Full=Protein p20; Contains: RecName: Full=RNA-directed RNA polymerase p57; Short=p57 -MANNTTSALHPRGSGQRCVYDTVLRFGDPDARRRGFQLDEVSHNKLCDIFDSGPLHFAFGDLKVMKVAGG -VVTPHKTVVKTVYVSGVQEGNDYVTFAFTPGPNEWREVDPRIDKRTALVGVLVQEHKKLDSDLKESRREL -SQLKLEHSLLRHDYERLVREKPGPAMRTFKFSAVIFYAFFLGFLLMSAVKGEVYGRCLDSELNLNGNPEV -CLHWEEVKSFSLQVALADFWNMTLDYYATVAPQSPLMDLALGYFPYFANWHMAAFLVGTAHVVAAERPLY -MLVTLVLATLSRFQLVALAAVPMLDMPSSIGLWVTMVLFAIDQAFAILASVLISVLLLILCLAMNDVDYG -ALLRGCVTLVSATVFSHLVSFLHAPGWFTIIAILIYRIPKVLSYVSAERVDIKGPDGKIKETQNANPSWI -TKMSGLKNFFQRAFRQKVRTGVNPTTRIIPNSLVVIDAKDGRGTGFRVRNYLVTAGHVVGADTTVRVRWA -DVTSFAHVVYRVPNKDIVLLTLPAEYNSLHSYKLAKEVVDGTVVVVSNGDGGALSVGISEGVIVGESMTY -AINTADGMSGSPLTTTDGRLIGVHQQNTGFTGGAVIFRDTDFPQPKKPQREADLEAKVAELEKALAAYTQ -SATGEDIVGLVRVAIQREMEVLRKELSNEFGQAKGKTKHKRRIMAAARSGGKRKPGKVWTEEEYKKLLEE -GFTRDQLREMAEAAREADDDFDDYEEEKNEVDYPVWSDHDSDEEIDRDWFGQNLPTWSSAWSDFEPELDP -DVTKTLPCHLEDKFSLKHYIITEADLKHFGQEMKEYMDHLDAVIKTHTEKGKWCPNTNTEEILKDLNAMW -FKLNHTMWKNGVAPFMQRKKQKPKKREEGPERGPINPDEMRLDHWEKMMAPPDAGRRLVPDDYPVIGKLP -INRPISDWDEPVDDLLNLLPPAPDSSAYGPAVWGPEAYVKSFEKFTYAKPRDSIKRDFPREWKFACQVLR -REFDFLEGSVIMDITATSKNADSTCAYPKCNYWKTEAEYLSERGYQDYVSEYKRIHGGARPKVLWLLFLK -KEILKVKKINDSDIRQIVCADPIFARIGNAFEEHQNTLMKHRTATRMPQCGWTPFFNGFKRRIERLLSRK -NSVFIEFDWTRYDGTIPREIFAKIKSFRFSCLAEEFQTDANRAMYQWYCDSLLDRYVLMPSGEVTRQTKG -NPSGQISTTMDNNLCNVFFQAFEYAYIHPEKSIEELRESWDRCDSLIYGDDRLTTFDHVPPDYVDRVVHM -YKDVFGMWVKPEKVIVSDTPVGLSFCGFTVGPDLMPVPTDCDKLVASLVTPTKKLQDIVALYSKVLCYRI -LGHNLSDEHEFKRYVRVALEVLARHIRNLGGEEPVHVTERLLDKLWRGGPK - ->ACF75854.1 polyprotein 1AB, partial [Bat astrovirus 1] -EMEVLRHELDANFDQAKGKTKRKMRVLRGAKGKAKRHRAFTEEEYKDLQEKGYSSDQIRDMAQVILERMM -GPDLLIDEGDVGYPQWEDPNDEERQEIEREWLGTNEEHEDYVRGGYRQCAQPEEDVPPTPPPKQQRKKPD -YVPDKITVETDFNPKTNPGDVYDKYSLSMYYVTDADVKYVGDALTEYDEFVTIWMMRNLTNAGEWRSGVD -PVVALKELAAEKYKLECKMLDNGLVPFCQRKKKEKRPRNIKKASKNRAEPPITGGHGLTYTDMCLEAWKH -DLDLPERIVVPKPFPIVGNIKINRPISDWSVAKDPLVNLLPRPPDYVPIHEPTVWGYDAYAKSFEKFFYA -EPCSDIKGEYPREWLFANRCLLREYGFLKGTHVIDMTATVKNVESTPAYPKFKYWKTEAEYLEERGFSDY -VQQLADLKKGERPDVLWYLFLKKEILKKDKVSVGDIRQIVCPDPIYARVGAMFEQDQNNRMKEMTRWKHG -QCGWSPFEGGFDEVLRRLERPNNQYIELDWTRYDGTIPIEVFRHIKWFRYQMLDPVYHTPENEGVYSWYV -DQMCRRHVLLPSGEVTIQERGNPSGQISTTMDNNMINTFLQAFEFAYVHPSYDDRKLESEWKQCDTIVYG -DDRISSWPSVPPNYVDDVVEMYKVVFGMWVKPEKVVVRNELDGVSFCGFTAILSDGMYLPVPNDAYKFIT -STLNPVKNLPDFDALVGKILSFQILVHNLPDDDPVKVWFEEAHAALSLHASVGGEEPIPTITRDMREFLW -S ->ACF75857.1 polyprotein 1AB, partial [Bat astrovirus 1] -EVEVLRHEIDVSGLNQAKGKTKMKARHMKTKKRVRAFTEEEYKALQEKGYTRDQLRDMAAVIIERMNEEQ -YDYDDGGYPEYAEISEEERREIERDWLGASAEHEDYIRESYRQSYVVEAVPVESDYNPKTHPWDVYDKYS -LCMYHVTEADVKIVGQALLDYDRFLTKWMARNLRGNEWQSGIDIAKELKELADAKLRLEILMSQNGLTPF -CQRKKKDRKPRTIKKVSKNRENPPGDGGGREITYSMMKLEAWRSYLEQPERIVVPDNFPVIGNIQIDRPI -SDWSVARDPLIGLLPKPPAFKDLYGPSVWGYDAYAKSFEKFFYAEPLDSIKSVYPKCWDFANKCLIREYD -YLKNSHIIDITSTVKNVDSTPAFPKFLFWKTEEEYLDERGYADYIQQFNDIVGGERPNVLWYLFLKKEIL -KLDKIKDSDIRQIVCSDPIYCRIGAMFEQDQNNRMKDMTRWRQSQCGWSPFEGGFHHVISRLDKPGNKYI -EFDWTRYDGTIPVEVFRHIKNFRYSMLDPVYKNETNRSIYDWYVGQLMYRYVLLPSGEVTIQDRGNPSGQ -ISTTMDNNLVNTFLQAFEFAYLNPELSLDELEVLYAQCDSLIYGDDRLSSWVIIPENYVHRVSCMYKCIF -GMWVKEDKVKVSDTLVGLTFCGFTVIKKDGLYLPIPVDAWKFISSTMKSTKQLPDFDALVGKILSYQILT -HNLPDDDPVKNWFEEAHSALVLHNRVDGGDPLPTITRDMRDFLWS ->ACF75859.1 polyprotein 1AB, partial [Bat astrovirus 1] -EIEVLRYELDTQPTLNQAKGKTKMKARLGKHKKKRVRAFTEEEYKALQEKGYTRDQLRDMAAVIIERMNE -DSYDYDDGGYPEYADITEEERREIERDWLGASAEHDDYIRDSYRQSYVVEAVPVESDYNPKTHPWDVYDK -YSLCMYHVTEADVKIVGQALLDYDRFLVKWMARNLRGNEWQSGIDIAKELKELADAKLRLEILMTESGLT -PFCQRKKKERKPRALKKATKNPESPPGGGGDITYPMMKLGAWRDYLGSPSRVVVPENFPVVGNIEIDRPI -SDWSAPKDPLLGLLPWPPAYESEYGPSIWGYDAYAKSFEKFFYAEPVESIKSVYPKCWNFANRWLIREYD -YLKNTHVIDIVSTVKNVDSTPAFPKFLYWRTEEEYLDERGYADYINQYNDIVGGERPDVLWYLFLKKEIL -KLEKIKNSDIRQIVCPDPIFSRIGAMFEQDQNNRMKEMTRWRQSQCGWSPFEGGFHEVISRLDNDGYHYI -EFDWTRYDGTIPVEVFRHVKDFRFSMLDPVYKTSENREIYDWYVGQLLYRYVLLPSGEVTIQDRGNPSGQ -ISTTMDNNLVNTFLQAFEFAYLNPDLSDDELDVLYAQCDSLIYGDDRLSAWPVLPENYVDHVSRMYEHVF -GMWVKPEKVKVSRTLEGLTFCGFTVIRSGGYYLPVPVDAWKFISSTLNPTKQLLDFDALVGKILSYQILT -HNLPDDDPVKKWFEDAHAALVLHNRVDGGDPLPTITRDMRDFLWS ->BAX00198.1 ORF1ab [Porcine astrovirus 3] -MALPFDDTLKFGSATARTKGRRLDSVARTKLKDLLGDGPYFYDFGPLEVVDSSSKQLTVKMTSVQTVYVS -SVVEDNSYVTYKFVPGVNDWVETEPVLHKPTALVGVLYREYKRYKDKTESLSQELSQLKLEYSLLRHDYE -RIRPQQSVTPRCRLGFITKLLIGLLIGSLMAHSTLAHKTPGTGLLGECLDTDVVDGKQVCVNFIPRNNTE -ANPADGDKTTAISWDHEGLFDLLVLIAPHLVSWPMIATMVGFFYVVTAEQPAYMLVSLLLATYSKAQVLA -LAGLPFMDMPSVVTLWISMLVHSCDAVLSLWVALLLAAFILSAGVFMPDVRYSDLVRGQIVVFLVLVFNY -LVIMMSLPNWLVFSLVVGYRVLRVLTFLVAEKVEVRGPDGKVVETRTSMPAWINKASNFLQTRFHQKIRT -GISPTARVIPNGVIIVETQDGSGTGFRCRNYLVTAGHVVTGTETPKIQWAGVTAYSKVVYRVPNKDIAFL -SIPQELQELPSYRLAKKVEDGPVVITSLEDSGALSVAVTEGVIVNDSITYAVQTRNGMSGSPVTNLDGRV -LGTHQTNTGFTGGAVILVDEDFPQPKKSAREQQLEARIKELEAAMNQSSNYEDIVELVRAAVAREFKVLR -TELSATTFTQAKGKNKKHHRRRGGKKRRAVWSEEEYKELLEKGFSKSQLRDMAEVLRSHEEDPFGSDTES -EGGFPEWSDVSDAESIEREWFGQSWEDCKPVKEEPQDTLPAHLKDKYTLDAYVISKEELKSFAKEFKDYV -DKVEALIDKTVQQGKWLPSVNPTAIIEELNDLWFGLNMLMWEKGLVPFTQRKKIKRKVQKKLQGGPQEGP -ITYSMMDLKAWRRSLHEVERRLVPEDYPLIGGVPLDRPISDWDEPIDDLLLMLPAFKEDLNYTPAVWGPE -AYAKSFEKFTYREPCPNIAATYPREWSFATKALKREYSFLEDSVLTDITATSKNADSTPAYPKSLYWKTE -ADYLNERGYQDYIKQLDAIYSGERPDVLWYLFLKKEILKVEKVEQSDIRQIVCSDPIFARIGCHFEEDQN -VRMKNHTKTRSGQCGWSPFLGGFNDRIKRLCACDPDCYIEFDWTRFDGTIPNEVFMHIKKVRYSFFAKEF -RTKRVKEAYQWYCEQLLHRHVVLPSGEVTLQTRGNPSGQISTTMDNNMVNVFLQAFEYAYLHSDCTDDEL -EANWSRMDTLVYGDDRLSAYIGLPANYVERVVTMYSDVFGMWVKPEKVIVSPSIIGLTFCGLRITSDGTT -FLPVPAETNKLISALIKPTKKLQDVMTLYGKLLCYRILGHNLPDDNKFKAYILVALEVVARHIRTRCGDE -PLRFTDEMLDRLWRGGPKFGYGW ->ACF75855.1 polyprotein 1AB, partial [Bat astrovirus 1] -RHEIDSSFDQAKGKTKMKARLSKHKKRVRAFTEEEYKALQEKGYTRDQLRDMANVILERANEGYDYDDDE -GYPEYGDITEEERREIERDWLGASAEHEDYLRDSYRQSYTVEVIPVESDYNPKTHPWDVQDKYSLSMYHV -TETDVKLVGQALLDYDRFLVKWMAKNLRGNEWQSGIDIAQELKELADAKLRLELTMSENGLTPFCQRKKK -ERKPRTIKKASKNFENPPGRGERIEYQYMKLDAWKSDLDMPLRIIVPEPFPVIGNISIDRPISDWSQPKE -PLLGLLPKLPEVEHKYGPSVWGYDAYAKSFEKFFYAEPVKNISEVYPKCWKFASRSLIKEYGYLKNTHVL -DIMSTVKNVDSTPSYPKFLYWKTEEEYLNERGYADYIRQYNEIKDGERPDVLWYLFLKKETLKLEKINES -DIRQIVCSDPIYTRIGAMFEQDQNNRMKSMTRWRQAQCGWSPFEGGFNNLISRLERDGNSYIEFDWTRYD -GTIPIEVLRHVKNFRFSMLDPIYQTKTNRDIYDWYVGQLFYRYVLLPSGEVTIQERGNPSGQISTTMDNN -LVNTFLQAFEFAFVHPELSLDELDVLYSQCDSAIYGDDRLSSWPCIPDDYVNLVVGMYKHIFGMWVKPAK -VKVSDKLEGLTFCGFTIIKDPEGFYLPVPNDAWKFITSTVHPVKSLPDFDALVGKILSYQILTHNLPDDD -PVKVWFEEAHAALSLHNRVDGGEPLPTITRDMRDYL ->SIP85308.1 nonstructural protein 1ab [Mamastrovirus 13] -MNTYDKVLSFGSRKARNRGQALNKLSRNRLEDIFSEAGPLVYGFGPIDMVDPQSINPSIRQLETVYVAGV -LPDNQYVVYHFVPGKNEWVETEASIHQPTALVGALFQDHKQKTQELLAVKQELNQLKMEQEILRHDYERV -IQKLPTTTVRPFKPLTIIIFSLLMGLLFAGVTGATRTGTCYAFEEETNTCLYWEWNEQKQMMWYEPYTDE -LVAAYNRFMVYIQSKEFISYATLFFHALFNWYFCAFVLAVYYMARSENPMAMFATLILATISHFQLVAVA -ILPMLEFSATVGLWIAMLVFYLSQTFAILVSFAMLILSILIGMFMVDAEYATMVKGHLVVFLAVCYSHIS -IILNIPAWFVSLTLVAYRLWRVCAVIPAERLEVRTADGKVVQTITTQPNWTTRVSKFVQSLRGLRTNVAP -TARIIPDGIATVESKEGIGTCFRAKNHIVTAKHVVGPDDVVKVRWGAQEDMVKVTYRHPTKDIALLALPA -SMQNMPVYRFAKQISDGPVVLTAFDESGLLLVSVTEGVRVDEHITYSVATRNGMSGAPITNADGRLVGVH -QTNTGFTGGAVVFTPEDIPEVRKTSRREQELEDRIKQLEGMLTMDQSMSDSDMIVNLVREAVKREFTVLR -AELSNLLPFAQKKKGKNKPNKTQKKKKAVWTEEEYKAMLEKGFTRDQLRIMADAIRDQYYDDDEELSSDE -EVGYPEWSDPGSASEIEADWFGRYDQSWKELEPVKSTTVANTLPEHLIFKYSLENYPISKNDIKAIAKEL -QIYEKEINDIVSTSVTTDGKWKDDVDAQKILQSLDALWWGINHTMWEHGLMPFTQRRKRVQQPKNLQGAP -QDPGPEKCKLDYWEDLVEPAKDRYLVPDDYPLLGVVPLDRPIYDFDTPVDHLLALLPEPDVKEIGFEPAV -WGPEAYIKSFEKFEYADPDPNIEKNFPREWKFANLVLHREFDFLGDSVVKDITATSKNSESTPGFPKTYW -WKTEAEYLGDVGYRDYVSEWNRIKNGARPNVLWYLFLKKEILKTSKVREHDIRQIICADPIFARIGCCFE -EDQNERMKRRTRTRMPQCGWSPFFGGFNERIKRLASKGNKYWLEFDWTRYDGTIPVQLFRHIKNFRFSML -APEYQTQQMRQMYNWYVENILRRYVCMPSGEITMQHKGNPSGQVSTTMDNNMVNVFLQAFEYAYMWPNKS -IEQLCKDWENYDSLIYGDDRLTTMPDLPDNYVERVVAMYREVFGMWVKPEKVRVSTTPLGLTFCGFVITK -QDDIYLPVPAEEDKLLASLLKPTKKLDNMDSLYGKLLCYRILNHNLPNDNKFRNYILVALEVLARHYSSR -GEEPPFYITESMLDKLWRGGPKFDYG ->ACF75862.1 polyprotein 1AB, partial [Bat astrovirus 1] -EMEVLRCELDKNPMDQAKGKTKMKARLGKHKKRVRAFTEEEYKALQEKGYTRDQLRDMAAVIIERMNEDS -YDYDDGGYPEYADITDEERREIERDWLGASVEHEDYLRDSYRQSYVVETVSVESDYNPKTHPWDVYDKYS -LCMYHVTEADVKIVGQALLDYDRFLTKWMARNLRGNEWQSGIDIAKELKELADAKLRLEILMTQNGLTPF -CQRKKKERKPRTIKKNPKNLEEPPGGGGITYSMMKLDAWRCYVEDPQRIVVPDNFPVIGNIMIDRPISDW -HAPRDPLIGLLPKPPRFEHKHGPSVWGYDAYAKSFEKFFYAEPVESIKSVYPKYWDFANRWLIREYDYLK -NSHLIDITSTVKNTESTPAFPKFLFWKTEEEYLEERGYVDYIQQYNDIVSGERPDVLWYLFLKKEILKLD -KIKDSDIRQIVCSDPIFCRIGAMFEQDQNNRMKEMTLWRQSQCGWSPFEGGFHQVISRLDRPGHKYIEFD -WTRYDGTIPVEVFRHIKNFRFMMLDPVYKTDMNKSIYDWYVGQLMYRYVLLPSGEITIQDRGNPSGQIST -TMDNNLVNTFLQAFEFAYMNPELSSDELDTLYAQCDSLIYGDDRLSSWPCVPEDYETRVSGMYEHVFGMW -VKPDKVKVSDTLEGLTFCGFTVIRSGGLYLPIPFDAWKFISSTLCPTKQLPDFDALVGKILSYQILTHNL -PDDDPVKTWFEEAHSALVVHNRVSGGDPLPTITRDMRDFLWR ->ACF75860.1 polyprotein 1AB, partial [Bat astrovirus 1] -EIEVLRHEIDKPDPVPALQQAKGKTKMKARLSKHKKRVRAFTEEEYKALQEKGYTRDQLRDMAAVIIERM -NEDTYDYDDGGYPEYADITDEERKEIERDWLGVDAEHEDYVRDSYRQSYVVEAVPVESDYNPKTHPWDVY -DKYSLCMYHVTEADVKIVGQALLDYDRFLTKWMARNLRGNEWQSGIDIAKELIELADAKLRLEILMTQNG -LTPFCQRKKKERKPRALKKVQKNREDPPGRGGITYSMMSLSAWRSYVEDPERIVVPDGFPVIGNIQIDRP -ISDWAMPKDPLIGLLPRPPDFERKYGPSVWGYDAYAKSFEKFFYAEPVDSIKNAYPKYWAFANRCLIREY -DYLKNSHVIDITSTVKNVDSTPAFPKFLFWRTEEEYLEERGYGDYIQQYNDICGGERPNILWYLFLKKEI -LKLDKIKESDIRQIVCPDPIFSRIGAMYEQDQNNRMKEMTRWRQSQCGWSPFEGGFHEVISRLDRSGHSY -IEFDWTRYDGTIPVEVFRHIKDFRFSMLDPVYKTDLNRSVYDWYVGQLLYRYVLLPSGEITIQDRGNPSG -QISTTMDNNLVNTFLQAFEFAYVNPELSLDELDVLYAQCDSLIYGDDRLSSWPIIPEDYVHKVSCMYEHV -YGMWVKPEKVKVSDTLEGLTFCGFTVMRSGGIYLPIPVDAWKFVSSTICPTKQLPDFDALVGKILSYQIL -THNLPDDDPVKKWFEDAHSALVVHNRVSGGDPLPTITRDMRDFLWS ->AIW65521.1 non-structural protein 1ab [Human astrovirus UK1] -MERSYKPSGSSHYDPYDRILQHGSVKARIQGLQLNKVAKTKLEEIFSCGGPLCFGYGDVETTRVSNGVVE -PQPLIVETVYVSGVREGNEYVTYLFKPGLNDWVEVDANIHKPTAIVGVLYHEYNRLKSENESLKTERSSL -QLDISILRXELERARPPTKIIRPFSVGCIILYGLLIGLLFSHISQAFRTGVCLDPDIGETLKPQTCINWK -WDGGIELDETIPFYDRVTAWYTGLIQQLKSMYNDIVIDLVVQAFGFAYTWTAIALMIGTYYMLKSTNPAY -MLVTLMMATVSRMQLFAISAIPNMEVTSMFSLWCCMVLYYFNQVAAMAASLMIAAMCSIVCLFMGDAEYV -KVIRGHGVVILTVVVSHIFSVLLVPHWVTVFLIVAFRIIRLIGAVVGEKIEVRNAEGKVTSVIPTTTSWL -NRISGFVQSKFIQKVRTGIMSTARVIPNGVIIVESKESSGTGFRVQNYIVTAGHVVGNETQIKVKWGDVN -VYTKVVYMHPTKDIAYLALPSEYQALPTYKFAKLIEDGTVVITSMEDCGVLAVAVTEGVIVKDNITYAVS -TRNGMSGSPVTNVDGRIVGIHQANTGFTGGAVIIKQEDLPPQKKPQREIDLENKIKELEDALKGQMNQGL -NENQIIELIRLAVGREIEILRHEINMNQAKGKNKRKNHHKRRRKGKVWTEEEYKDLLEKGFTRQQLRDMA -EVLREADYSEDDESDEYETGYPQWSDPEDSEEVEREWFGPKKKILDEVEEGWSNTDFWEQCQKVWKEMEP -MPEESVNTLPSHLSDKYGITCYVVTKSDMEALARDLQEYQAKVEEKIKANVVRGQWLEGVNPKTIISELD -ELWLKLNHLMWAHGIVPFIQRKKINRKKQQKNLEGGPETGAPKPEQLRLGYWRELLQPGEYYLTPPHCPL -VGVLPIDRPISDYDEPIDDLLNLLPKCEEKPPYAPSTWGPEAYRRSFDKFFYRKPIENIKGKYPREWKFA -MSVLRREFDFLQDSVLIDIASTSKNADSTPAYPKTLWWKTETDYLKERGYQDYIKELDSIRSGERPDVLW -YLFLKKEILKISKIEEEDIRQIVCADPIFSRIGCVFEEHQNQLMKNRTLTRMGQCGWSPFMGGFHKRIKR -LVDKGNDYFIEFDWTRYDGTIPNEVFRAIKDFRFSCLRGDLQTKENRDVYNWYCENIFRRYVMLPSGEVT -IQDRGNPSGQISTTMDNNICNVFFQAFEFAYLNTELDSDELKENWDKYDSLIYGDDRLTTTPILCDNYVD -RVIKMYADVFGMWVKREKVKVSNKINGLTFCGFTVQESNGLFVPIPTDTDKLLAGLITPIKKLPDILSLY -GKLLCYRILGHNLPDDHKFKNYILVALEVVARHIRASGGEEPYYITDSMLDRLWRGGPKQSHGW ->AER30002.1 ORF1ab [Porcine astrovirus 5] -MDQLFRRGDPFARRWVESRPDVYKSMLKLFPDNAVIFITRARHNRDFAPVASSVDHDSEWVSYKYTGTTW -EQVDEVPVDSQSAVVSVALLENARLKEQIKDLQGKNSQAALEYSLLRHDYERIRPAAPQPYKWGKIFFYA -FVVGLLVASLPMSHAWPEGDPEKSANHPVAGSLYPHPQDDDYQRSSFTFDNLRELLTDWNKKAFNYTLYR -DNLFKEYLTIKERLITYESSPIIKVFLFFRPYWSLWFFSSLTFLFQSYGTSNWLVASLIVILSLKTGDRT -MGLIPVPWMTTTGAWVHLVLMLVSLFDALGALFLSLLYLFCAPLVYLWQPDETFFSTIRSSTLVAISNLL -AVLSRNIPGCDILCLSLVVFWRIYQAWCTVGATRLEIKTADGKTAKVVSLAPSFFQRARRKFRQATKPFR -APSFPIPSNCLVHITTDQGSGTGFRTGNYLVTARHVVAGATSTEVSVGSLTTTLTSADWIPLGERDIVKA -KLPSLFQHLSSVRVADKSSNDWIGLLTFAPNGSYYQLAVGDGLWFDDTLTYALDSDNGSSGSPVIDRTGR -VVAVHTMSTGYTASGQRLVREDVENVSKVQEKDREIEKLKAELEALRAQPSLQSHPGPVESALQQSDCPQ -EVVDLVREAVRQEMLRLRLEFAQAKGKNKRGRGRKHNLNLRAKRVGKQFTEQEYRRLQEEGWTKDDLRDM -AYQLWEETQAENAGYGDWSDPEWSDDASLGSDFYEEALDFDQRKVAEPVESDQAPREEFNEEKKKPGPFQ -QCQLACRDPMLYEVDTAEYKVLGEPMHEISRYVNELQSLTQEEYTRKATYYSDALREAWDRLNGYCVHCG -FKPFSQRKMRHPKPRQQPKKLPTDPSGWGREEISLHYWLSMLQEPKPRFLVHPDFPLVGFLPINRPLYDA -SRPRDPLLGLLPPINVDTGYAPATWGPQAFINSFEKFQYAQPPDFVAKNPQAHQFALSKLRAHYSFLQGT -AVIPITATDKETNSTPAYPKNIVFRKESDFLDHFGWGAYVDELKHLQYKPVLWYLFLKKEILKESKIQAA -DIRQILCADPIYGRIGLMFDQQQNVRVKERTQDKSSQVGWTPFFGGFQQRIQRLASTGARYWVEVDWTRY -DGTIPQQLLRIIRQVRWEFLDDVYKTAENRKLYEWYVHNLLNRYVLLPSGEVTFQDRGNPSGQVSTSVDN -GMVNYYLQAYEHYVLNGPDGWEDTDTLIYGDDRLSVTNFPPDPDELINFYHDYFGMWVKKENIKVQETPV -GLSFCGFTITEDLKPCLQRPMKLLASILTPVTKLRDPEVLYGKLLSMLILSHNDPPDSPLRRYVRRCVDI -LRARVGSDLPVFSDRILSYLWGGGPKRDDGQSA ->ACN88713.1 non-structural polyprotein 1AB, partial [Bat astrovirus Ha/Guangxi/LS11/2007] -NCSKKPPEPSRGRAISYVDMHLGAWKYDLELPERRVVPKEFPVYGNISIDRPIVDYKEPNDPLVGLLPRP -PKYTPKHAPTVWGREAYAKSFEKFFYAQPAASIKNEFPREWNFATYALLREYSFLQGSHIIPITATVKNV -DSTPGYPKFKYWKTEEEYLAERGFDDYVRQYQDILDGDRPDVLWYLFLKKEILKIDKIQESDIRQIVCAD -PIYARIGAMFEQDQNNRMKQMTRWKHGQCGWSPFEGGFDEVLTRLERGSNQFIELDWTRYDGTIPMEVFR -HIKEFRFRMLDKSCRTPANKGVYDWYVNQMCYRYVLLPSGEVTIQDRGNPSGQISTTMDNNMINTFLQAF -EFAYVHPSYTDDQLRHVWRDCDALVYGDDRISSWPSVPMNYVDTVVEMYKQVFGMWVKPEKIVIRNDLEG -VSXCGFTAIKVDGMYLPIPNDAWKFITSTLNPVRALPDFDAMVGKILSFQVLTHNLPDDDPVKLWFEEAY -ANLTRHANVSGLEPLPILTHEMRDFLWRGGPNKNGGGSQAPKGAAPKGP ->ACF75858.1 polyprotein 1AB, partial [Bat astrovirus 1] -EVEVLRHELDTDFGQAKGKTKAKARLNKHKKRVKAFTEEEYKALQEKGYTRDQLRDMAAVILERMNETIY -DEDEVGYPEYGDIDEEERREIEREWLGVEPDHEDYVRDTYRQSYTVEVVPVELDYNPKTHPWDVHDKYSL -SMYHVTDADVKMVGQALLDYDHYLVKWMAKNLRGNEWQSGIDIAKELKELAEAKLRLEMVMTQNGLTPFC -QRKKKERKSKTIKKVPKNPQEPPGRGERIKYEYMKLGYWKNDLDLPYRVVVPSNFPLIGNIHIDRPISDW -SEPKDPLVGLLPKPPCYEDHYGPSVWGYDAYAKSFEKFFYAEPVKNIQDVYPKQWNFASRWLLREYGYLK -NTHVLDITATTKNVHSTPAYPKFMYWKTEEEYLEERGYCDYVRQLEDIRSGERPDVLWYLFLKKEILKKA -KINESDIRQIVCADPIYTRIGAMFEQDQNNRMKEMTPWKQAQCGWSPFEGGFHTVIDRLNREGNTYIEFD -WTRYDGTIPNEIFRHIKEFRFSMLDPVYKTDQNKSIYDWYVDQLLYRYVLLPSGEVTVQTRGNPSGQIST -TMDNNLVNTFLQAFEFAYIHPELDLDELDVLYSQCDSLIYGDDRLSSWPCVPTDYVNLVVGMYKHVFGMW -VKPEKVKISDTLIGLTFCGFTIISDGQVFLPVPNDAWKFISSTLHPTKQLPDFDALVGKILSYQILTHNL -PDDDPVKNWFEEAHAALVLHNRVSGGEPLPTMTRDMRDFLWS ->ADR65075.1 polyprotein [Mamastrovirus 10] -MANNTTSALHPRGSGQHCVYDAVLRFGDPDARRRGFQLDEVSHNKLCDIFDNGPLHFAFGDLKVMKVAGG -VVTPHKTVVKTVYVSGVQEGNDYVTFAFTPGPNEWREVDPRIDKRTALVGXLVQEHKKLDSDLKESRREL -SQLKLDYSLLRHDYERLVRGKPGPVMRTFKFSAVIFYAFFLGFLLVSAVKGEVYGRCLDGELNLNGNPEV -CLHWEEVKPFSIQTAFADLWNITLEYYANMAPRSPLMDXALDCFPYFANWHTAAFLVGTAHVVAAERPIY -MLVTLVLATLSKFHLIALAAVPMLDMPSSIGLWITMALFAVDQAFAILASVLISAFLLILCLAMNDVDYG -ALLRGCVTLISSTIFSHLVCFLHAPGWFTIVAILIYRIPKVLSYVSAERVDIKGPDGKIKETQNANPSWI -TKMSGLKSFFQRAFRQKIRTGVNPTTRIIPNSLVVIDAKDGRGTGFRVRNYLVTAGHVVGADTTVRVRWA -DVTSFAHVVHRVPNKDIVLLTLPAEYNGLHSYKLAKEVADGTVVVVSNGEGGALSVGISEGVIVGDNMTY -AINTADGMSGSPLTTTDGRLIGVHQQNTGFTGGAVIFRDTDFPQPKKPQREADLEAKVAELERALAAYTQ -SATGEDIVGLVRVAIQREMEILRKELCNEFGQAKGKTKHKRRIMAAARSGGKRRRGRVWTEEEYKKLLEE -GFTREQLKEMAEAAREADDGWYDDYEEEENEVDYPAWSDHDSDEEIDRDWFGQNLPTWSSAWSDFEPELD -SDVTKTLPCHLEDKFSLKHYIITVGPDLKHFGQEMKDYMDHLDAVIKTHTEKGKWCPNTNTEEILKDLNA -MWFKLNHTMWKNGVAPFMQRKKQKPKKREEGPERGPVNPDEMRLDHWEEMMAPPDAGRRLVPDDYPVVGK -LPINRPISDWDEPVDDLLNLLPPAPDSSAYGPAVWGPEAYVKSFEKFTYAKPRDSIKRDFPREWKFACQV -LRREFDFLEGSVIMDITATSKNADSTCAYPKCNYWKTEAEYLSERGYQDYVSEYKRIHDGARPKVLWLLF -LKKEILKVKKINDSDIRQIICADPIFARIGNAFEEHQNTLMKHRTATRMPQCGWTPFFNGFKRRIERLLS -HKNSVFIEFDWTRYDGTIPREVFAKIKSFRFSCLAEEFQTDANRAMYQWYCDSLLDRYVLMPSGEVTRQT -KGNPSGQISTTMDNNLCNVFFQAFEYAYIHPEKSIEELRESWDRCDSLIYGDDRLTTFDHVPQDYVDRVV -HMYKDVFGMWVKPDKVIVSDTPVGLSFCGFTVGPDLMPVPTDCDKLIASLVTPTRKLQDVVALYSKVLCY -RILGHNLSDEHEFKRYVRVALEVLARHIRNLGGEEPVHVTERLLDKLWRGGPK ->AGK45543.1 RNA-dependent RNA polymerase [Fox astrovirus] -MRLSAWERFLEEPCERYLAPPNVELVGYIPIDRPISDWDEPIDDLLNLLPPIDDDINYGPSVWGPEAYLK -SFEKFFYAEPCSMIKETYPREWYFANKVLHREFNFLENSVVIDITATSKNSDSTPAFPKTNYWKTEMDYL -KERGYQDYIKQYEAILNGERPRVLWYLFLKKEILKQRKIDESDIRQIVCSDPIFARIGCCFEEHQNYLMK -THTRTRMGQCGWTPFFGGFKKRIQRLMSRSNRFYIEFDWTRYDGTIPMEVFSHIKRFRFSMLDPQYHGEP -FQSVYNWYCSQIYCRHVLMPSGEVVIQDRGNPSGQISTTMDNNLVNVFLQAFEYAYIWPDKSVEDLFEEW -QSVDSLVYGDDRLTTFPNVPDNYVDLVVEMYKTVFGMWVKHEKVRVQHTPVGLSFCGFTINDDLDPIPTD -CDKLLAALVKPCKKLSDIDSLYGKLLCYKILCHNLEDEHRFKNIFVWHLRFWRAIFAIRVVKSHSYLVIG -CLMRSGRGGPKSGYGV diff --git a/seq/clusters_seq/cluster_800 b/seq/clusters_seq/cluster_800 deleted file mode 100644 index 5057fbc..0000000 --- a/seq/clusters_seq/cluster_800 +++ /dev/null @@ -1,399 +0,0 @@ ->YP_009552276.1 polyprotein [Rhizoctonia solani endornavirus 1] -MNQEISPIHSTSHPLNTHTHTHKTPFTLDYKESSVKGVKMDIDYLIKRQEYLWSKYNANVILDKMSNLKL -KHKYNKTKGLPRCVYKANINKGRRLLRSTLKKLPKNQRKQLNKRGQIKQGSATPAQVTLPRSRKNAFCLN -KLTGVRTRSPYSSGLQSGYYHVRIGSRRNDPINHVDTEPFTGSILANYDRTTNSWMVDGVDDIFYRYKFY -SGRVDMNTGIHKMHKYVDENGIEYEESEQYTEKLIKDNLKTSYTDDAPFTQGELSEFSHINENQVYNTSI -PGLVMFTHRKIVRLETTMQFKRPVEDPLTFLDENGTYTIPMAYMVPSVHQENDVTGYDDITHDFGWMYQG -VFDYDKQDVNSPMAYASVLASFKHASFNLEYSENKLKLVEERTPKTLQSLLMYLKERGNKTFMEYVSDSK -RWLHLVLAEDAHGNPCTNTKFKDYKKIEDDFALGYDMLAHPYYRNAQGPTKQFIPSFLTTATLFEMIYDE -DYWYSCCNCNENVFVPQMVSKMRGMFKGSSLFITMHDYFNSLTCPCCNNRIFTTMPDSSALVTNTGLPLK -GLTDPLHWMDEMYPGYVFGQSGNASAIVDEHDLRLLRRVLSMGKPMMIHLPCEATNIQEIQSRYKSVSII -PRPGLLHNHGGNATAELYALVGTAAQNLASEEFCTVSVPPMTTVPQNNNLESRTAITVFDSVKAKSGILA -LMDNGCSVIYCVTPSMTGTLARRQIGAYVAFTCNATDAPWVLPVGDCNAFDSTAIISTAKYTYINTMVRQ -GIYYNLVCLIKYAGDMGPIEVQSLRTNEIKEFSIPFLNPHGTGNMLGYGWIRKNVLVHKRLLSVLVRRNL -RDGDLSFDSMMEAAIGLAMNRYNLADRTVKLINIDAETLSDHVVIACLMVRRMIMKQDKILTLMRADASW -SNVGEQMLNSVAAITVGTMLQKFNALRKYANKLMQFHESAMLSDLDAVITDMKNWVKDAAMDEIGKHTFD -FTPELKIPTCSHALGPEPENGAFMCTCCQRKSHTLTCSYCTVMPTSTTIPWNDDMVEEKAKSIGPNWREF -VSSLHDPKDKMSHPSKPKQPGPFTKPKENTGDKTLQIPNTDNEHTFGAKNLAPTYHVHKCSSCSALYAHR -LRKPDSPEYYKIGEKHHQYLGDCPWHEGCTTSIKILETTPGTFEAVPEMTNTGTSADDQMELAKLTSVEW -ARTLAEGAGTLWPGTLGLRPILKGEHDIRNHYKLSDAINYPFNDATCGRDALNHLYPHISKDQIAIVVGR -HDRYTQSNIMEVAKACSLDILVISDEASVLARYGNGAQMKAMRITRVGGTTPHWEAYNFELVTTPNVPCS -YLEDITENVLQVAHATLFKKKWTMAEHSDERIVTELRLLKSSLGGKAIVPRPIYKEGPTGGFLTNNTSSL -HDLTKGLVHVQIPNDVSHLLPYVNSTSRVDLVSVNLGGSVDISDQIEGIHEERLSRIKDAIRSIVDGFTT -TQAEVEPNDLLTVTTPFTVLSKEKIRLELPQKHVFKALDLIYLRSAGGFSPMIINPISAGGRQYTDIAAS -MPVSSVMTIKYRKALSVASAYRALTGLIKPPPPVEIIKNVLVRSNGILAPGGYGKTTEIVQRMNADTLVV -CSTTMAQDVIKEGLRKALVINNQVVSEPRPELVENVISLERATYQDIPHKRHIIVDEAGMIRPYQLLTLI -NPESDYTFYGDDTQVGYIDFSTLGGLKASKSIFDYLPQDNISVKNTQYRVAEPLCLEIDKVRPAGYKYAG -EMVETTDVDGSSRMESKRKTNFDLGYMHDWSDMAIGSMISSGRYDIVLVFHNDDVRAVEQAANKASTKVA -VTTVHRSQGGSWRRGLVIQHRYSSKSGVEVSPRHCMTAATRFTDHLSWVSINCYENADLPTRMGKLGVAS -IGFGMMDKMKSWWSNIKDSDYIADCADELHAAAMKLDNWKPKVVAQETGFRQWAKYAAMKSKYESKYPVS -VTVSKGIDYDIVTIKALGLTGEIHIYQDKVQLIRDMMGMIKQADIDNEMRADPELSQHKDPYSELTGLTP -QDCHIKHLIHPNAKCELRIIHQATVAIQLAGGTPRIKLGNIEYMAATTAHTSPLNLCFISTAGATYWISD -INEHHVVRINQSWREDDSMLDWLAGKQLVLNIDHDEMTLLMWFKQWWAHMYLDAKKIVNTIKPIFDTDGP -FSASNNVYIHDVENALTNDITTDYSLSVTPSMCASFDMLGMPLSVYDVKTNSHKLATLTKEGGLLVINKA -STSTMYMMAIINSAIRHMNMGWIRKTMRHLLWGGARPLQGKFMMDLAKHVSAGDRTGTALLNAFGRELVA -SVKYEPKTLVLHRAEDDDTNKFFRKEHNKEQVIYTTFTIPKEARFIMITRAVLHSLQTTEMNLNVVDVDS -THCVDMSIWHWTGVSQETMNGNVKLLSMLEPHKNNSLSNAANMQFTSGPKNPKEQELSEQMELTRKKALI -SINDINNERGLITNKPNRDCPVFISPQCLHHDIEVIAELVHYHGYLLTYLPIKSARVVKQGSLSNTAVAD -LQHGVTYNVPKWLIDLDHTKLAVTSMFELTVTVIGCANGQIIMRITRRQFADEFRLQIDDGLADNIVIVE -VPSVSDNMLDDLLKGHVNIIKLKIREGLWRRIQMRMLRPDTKLNDLRVAIRHLLNNVEYMITHQRAVESG -IDADDGASLALACYMIYHHKLRSHREYVMGLEQESEYYLQRSLDHAKKLGLGVLGTILKVLGADKEPSVV -VKGLLEGSGISNSLEILGKLADSWDSLLAKDYSQPLPILLLGGKTIATMQMNKVGYPTEGLSSFVFRYIH -LGPTLARRARGQYDLYKAPSTSIPRVTNEQYLALEMGASLVPSNSIIEKLEDMGWHKMKEYVKQANLPLA -AKTYLSWIMEQQTLSEKDAIELMHKYTTSSETDKVLATISKLQDIVRLALASNVGTGSTWLPECQWLCKD -FRPAAAGSEAAYKMNEALINKFNRVVEQCTLMDPSSMLLVATVGLQVSALLKQQPEQKRIWTDVQGMRVG -IVTIGSTGDHLPTLSLCRALLAHGALPTVYCPRESAEIFTNQGILTIQGDWSLESNLKLWNNLTQNLNVE -LEKIPMTLGTNWLQGTVFEDTQDLWISTPIAPQGLMVAKHFGRPHIYFSPLPWALDVTEKENKYLAVLKE -TAILMMHGELISHWLVTHNSKINFSDLLKDTHPIMYCFDKRIISGTTRNYKPTFCGYLGWNNPWKKEPHH -KGIVVTFGSMIMDHAIDLAQTAMMAAVSAGQRRVFYLKNEYLNKEIEAKAKKNGVTLEQWRGDYYERLTS -EHVVIHHGGAGTTHAITYTGAKQLIVPVAFDQSLWAHLLSTTGRARAAFRKQDIESELALLISGPRPAPL -NIDVETVNTWLNESLQSSLEHHFPHVTIDASVRTFTLAQERKTPLIKSINNRINIPMGTTDNTIKLPGYY -FGRLNVETSVIFDPYSVSRPDKCGYDCISFITGSDQNDVVNILKEAGIKDDVYTSDYVAIGHMFQLNLVI -IHEGAVHAHVHCPSWKYGYIKAERGHATVISPVPLTNLRLIDPNPVQIGEVGFANTAQHCNLGPTLQINA -GHEHGHMHSTNKGFVTTVQALLTSGRSAKDKSLVSHSIVEVHAAVSVQSALKRIRSTNLNWPALARGNGE -TPAYLLPETTMTDKVGVFTVTNRKLPLGKVLIHERDNELHASVAWLADGALGKMTVLISTDKLPPYCVYN -VRAVMMTNSTDYRWTEMDTAVYSLRHDIKLVNQVLKAEVAVSIPKQATVGTLYLMNFNNRDHHKEGGFRH -ELGDLAIADNNVVILPDNKSDVYYVQQMRSKAKVSPSLYMGKRVYSLPWFYTSTFKAQSVGIDPSKYHML -SIPYHDTHYQSVFLNTPCLPHEFKGKRTLTEWADMYVTDVVGEGYTIEEQAIGLLSLVNGKSIEEIFDTI -NEKLPHAGQITWANQKETLRPLSDDEIIDIMVKCKGRLIDNTNIVLRQGEEPYLAWERSIILEVIEEALE -EAVRENDYDKLSWEWSGFDRVGATLTAMRQGVHITGKHGLRQITLGVGLPVYTTTMSKRDVIMAIYRRYR -ELATSPLYDIDKGPDDLLDQLSQLMLSTSEFEVTDKNLPIVWMEGEYINQRQIIENITEKGEHANLIMSR -YLTFGTSVNDDVFFSIDATLGKNMTVYLDTSNLDEDDIENIMQARERMMDYVRHLIVSYMDNKTLEAVFM -FNADDSFMIEHHGKFRGGCSPNVCRLIAEHMATATDFTETSKNYYWFKSPATNEEKIYEMPLTLVTKDVL -NRSIYLEIEPRLRDPEDFDYELAVKIYSMEDDTQTDEYYLEYEDIHDLLKDEVTNLRPIPGTLVKMKVDS -TEFIVGTLIEKLIEGDYKQAAGLLIAHHIAQPGHTPFEYKLDLKGIELFDGDLTHAGTFGSNEWEGWQSM -TNKCGYRPEESNEWRNSDVSDMHNLDYERAMLRVPRKPLSLLHIKAMQLGTLILSEELGVIEDTLINDKP -LLITAGVAGKHTLELENQQFINLVPSLPEANDEHNGLWFKPPTVWGRRRELALWRLIALTTDIQKVRVTN -GRDFGNLPEPSPFMKYGVIATIPQGVAPVYLGDASFESFELSADFLLKHINAAGDLYGTDELMLMKVLME -NRRMSSMVITPDKPTYSLKASWFTRPVYCMKEHGEDSTPLTRGYSSVRPGDIAQLHLPWPGSNSPSVEDH -INICYWRKLVQHTPTIVLSDTLPPVMDAPLWFKKESFLGVRRFIVSGREDVTQDYWRQNTKSGAKLACID -TNQVLSCLDYVFTSNLDFIYHQQGTTLLPYLYAQQLTGLKGILEEKVGLMGQSIADDGEPADALPEAKDV -RRMNRDRMLTFENESIKKMLSIGVPVGGWKTTLAREHPEIFADHDDYLDQEKHAALHKAGEWDKLSIFQK -NAVIPKDRVLLTWGSTTSPDNRTYLGSVINRRSAREMGLPIEEYRLNLNEVNRIGLIAEGNYNMISTYDE -FKRKCLGLVEDALMGDIPALRANMELADLAMMTFRDIEYDGSFGEFDSRDLYIPYIPPIIDETHDEGIKP -ELEDTPLDVINLYEDNDLTELVRVMAPGSEPFRKPVRSTEQALRIVQTMKHTLKKYPIRSRAVLTKMVYG -ELNATILRMENKEVIKKIDCNPEYEADLMAETFFNLNEIKYGTINYNTAATLEWIREHDGSAELEKELRE -ILDEGFLEVPLNRVNVHQKLEALLKGFPTKAIGQMREQQVRIIVWQSKAMAAIFSPVFIEAKKRLKKILK -QQVLYADGLRPDELNNYLRNIEDDNLFYVEDDGNKQDKRTEHQMLLTEMIVYKKYLKVADHIVDLWYQAH -NAWSYKGSWVRGISDAMRQTGQATTAIGNVIVNLIVHTRLVRSLGNDFICMLVLGDDNLIINRSWVNAKE -HEKDSALRWNMVQECETLPSHGTFLQMIVGPDGNGRLQASPDFIRLRNRFEVTNGVSSNPENTLEARILS -YALMMGKTARGEKIIRNLGYETPLGNYFNHVAAINNCAARHGVNADLVRNEINDLYTMMENKQLMTQTWT -HFTGVSRF - ->YP_009310111.1 polyprotein [Ceratobasidium endornavirus C] -MNAYAQRQTETKIKGRTSQPVAFTKTQMRKRGIQKTKNCLRKTSNKCMGPPANGQSDWSKNHNKYTLVGC -NTLMLVEPQTVEPQSNLFEMHALAVRRLLKKKTNTTRNKPTKCTNCGPLVWFEHSDEQCPKKQQPPKPGM -KGQWVDGIWTSNKRLKRMRRWNNKQRKRMSVLNWRKHWNAMMVKQLNAGPTLASVLRLNCSGENYNAKYR -KYFGKAAKYTDKETSLMDILENTRRLHAESIAMLESNEPMKLGGTGDCWKVLPIPIDFESILPPVTVNYD -KKVYKKFMALVSMRMYTDVAEAAFNMNLPQKWIDAWSSPLEISVILDAISEAADQAVINEEEGKDVEVCY -NGEMMPFLDLYEAPGEFRLSMAIEGEQLNMHIESMDGEGEFSLKEIEEALITIEDTQQLDLPNKTRLKAI -LGVVPGIFHTTSIQSLLNTGEVLETSKWKALVENRDLRAAALALAKVDHHVYSIPGCGDRETAEKMNNPD -KGGWALGMNVQYTNELSPNSWVQAFPEAAAVHISRQMFSDRPVKIYAKPQWLNPTDHLNAKDKAKYSLAF -FTETSLNEANLQTALNGCRQMVAVLPTYNEDMPHLSSNEDGNYLMRRVATDLEVVREDSTQVTTHNADII -SALNKKDLLVIGGKLYKVTIIGTVCHDTVVSLTLLEGTPKEFSNIFALDHNSTVKRYTYTMPKFDATLGF -MMPTFETRTVDIDDELLRVVFTHNLHRGDLDFRTLLTRCIGFAHRRYHMKHSVKQRSDLSPQQLIDHALL -AALLVRRAYVTRRWLADLSNSPRWAQVAIKGGFGLANLVLQALHEHSGTYQKFESWMEQVTRVSGSAIKD -FTNQAVFGEIEAWMFRAKTKAWECYSVGSKSLTVSCLHHDDCTHTGPMSCLCCGQPSNRQWCTCCSRQLC -RLSHSCQHSCSGNHTGSEVCTCCNMPSDEPGTCKNCKPATDMEYDLDYEWDEFVEKLKAEGGKPASNSAQ -PVLPEGMIMPSGPCSQLSNGQYLHKCALCDRHYSYIHPLCAKNPNHFQSAKACPHCSGGKAQKTDEYGIP -LKDEPKEIPGGWRPAAEEEDKEPSPRSRNVVVLLKMGHTLSECLAIAGSGESYNNLYNWQGDTSIELPMI -PYGTQSCRVIATDFEDKLQIQRGVTCGLAVLQTLTNKANEADATILLKKSDTYSSGDMVALAEAWKLNLI -LLAEKEIVITKNKDSEVFDCILANEPGHWTIGTATIDFKDTPLLTKAGSCSTQDVTKMRNKAMAKGGDPA -EMCFSAEIVLCSERRTIEKMFKAKIEDGHITNNARKAHRPKAGLFHFKLPDVLPKHFQACFSTEPYNAYQ -KALEMEWKHSALTNITSEIANRLLEAAMTVASAHCLGQEIKSAHREHAVKVESDSASQTSSFLLPADGKW -MDGDLIRLTNSSIDEFFNVRVVTDMDRRSKAIIPKPNVTGLFKCRYTKGGYVSALQTIAGLLPLYARSVS -EGQNSSAIPHKCVIGVPGSGKSTRILSLATRNDLIITATNGNKRNMRDKMKAKDQKTDIMGPLELLQAAT -AKNHKNYEKIYIDECTMVTYLEYLAATSLLNNHSQTPFAERIELYGDDSQIGATCITARAQVGTPTGLHR -FATEDNTEHWLESYRLKTNAAEVASKVTGKAIVSKVGGNLKITTMNVNDPIAAYQSAVKQFNGKDFVTLC -FNRDTQIAIQKRDSSVKVDRIHGFQGQEAKKVLVLQEKPTAKGSVSTDPRYTYSAFTRAQDHIIWVSMGF -NSEESIESRIGMRHKVESHVGQAFKDKVKHFMSILRRKPEVKIRDDVLDHYFNHVVAPKWELKHISEEVA -QSIRAHASQKFGASVTFDVHGNSDVMAFSRGVVTAVVLHWDGKDLVVKQDTFKAINNTRLQQIHEALKGA -EAPRTQVQWETVMQITRKQRRCMDIVMECVKQTENIGLLTSIKSETGILTVESANTIDCAALKLVGSNGV -HYLSNPDENDTIVSSSTEENTAELLSAMERWVYELCGSHDVKAVHRVLAVTGAISKIKSMAASAINATNL -MTVDLDAKLKQETAAAFESENRANLYAYQKMDTIEGSQFSKMHPARLRKTEQYGWITRGRWIPISEWKTA -SAIASITYVDELMSGWMPKLVEIITAAETLVAGAVGVSIKGWSQHMEDDSATGQYLANKVAQLRAKMLKN -EPKPITWTPGARLAWNDLIAISMPACGMHYTSAPNLVDPNWAAAEQVALRMVPSHKRITHVTVNPNATLL -SGRYSDATARPLGNASGHLYDMSLEPMETLLNRLTKANVEKVNIPEAKANLENVIENAKRQLAGEGDIWC -GQYDTKVQHSTTLVSASALSQSGMAEQGAELLHYLNSSKELLVITPNLDPHSRRTVTEVMDTDLEMSMMT -NTMYGVTFNACRALRTGFATGRTQMNGVDLSVDCFAKNSAISIWKIQKEKRVTKYSPLPEYAKTGMQTVE -LPDITPDLMTSVLTKKAVTKRKLTIDNRIYRSLNLRALREGTSFEDLLMAARTMLHGVIYSPGGTAWKHQ -SDSSILMDTALVVFVRCRKLLNNAIGAARMLADTLTENPLRNTMGYAKDLARGLAAQAQTVLGLNYTDEM -WEKALASSDNKVLHTMADMLHTWNFKVNDYHREILHGEAVEMEMPFDQPVPAITRFALANLLHWRSLGGE -ADHQPRVRRYTPPAGRKQQLPVKQQLRDALTKQSAWWMVEPEISDQCDRLQLEYDSNPSVNIGIWGNKAK -MNKVIDALANRNLKMCKLLLTDDKTNLVDKAGTQKVMAKLASLNTTLNSRKVPAHFNQTATKAVAVAKAN -PGWPGFTSKFCNSILEELEDLDNANWQELASLLEAGFAVGGLKFTKVRTASINLNTSNIVIFAIGSRGDI -RPAHNLAKQLSLDGASVSFVCPSNSCEQTGSINYVTGQYDVNKELDKWHKITNFGPETLSTIFKDELDNN -WIRGLDYDSLPTTIDLVIGSPVSPQGLMYASSQNIDYVDFCPMPLSNEATNTLQRVYQRLLSREYVLLHL -KAITLDFKKLSNMHFDVDKLLTYPRPYLQATEAKLAPAEKGEVPVCNFGSWGSKSIGETWDSIADEMPLG -VTKIVTMGSMRDPKTAEFMLDHLDGAETVVLAQQGSPLYEGALRKGITVLTGNYNLAEIPTSTTVWHHGG -AGTTQELAAAGVWQVITPVSFDQKYWANRITEAGVGGMFTQDVGDVSLLDVRPCEPVLPSGMDVRAQGLC -SMLTKCYGIGVTWVPKGNVFDMKAANVLWWNQLIGDDFASEQGGVFLHAIEDKPRVTFDPLIEQRELGER -MTCGARAFNYYYDLAEGDLRLRLKNIGLAWADLDNNGMTKDQFTQLALCMGENVQIYSDGLQTTIISQPT -KAIRAYWWQSRGHVVAVETNSTLGDQQRHNVTMASTPRLNTTKCTQEPLAVSWPTEINGQMHPDMHARQA -DFEEAIRSALSGEPMNTNNPALRSWCGHLNRITTDRVKSNFAISRRADHSWIGRCTISTGPGNAKLLTRL -RIGELVALQMVDGTATLGIVVFIDEDGWSHLVTTINPKNATGLYITCSTLFISRGKHTGTVTGTKAWNNQ -TNAVLGTDLPIVDNNEERDLRATKVLVGHYDGIPHHWSKSPAAIYHAEDLLFGEGMLPDAEMVQHLTKYA -GILRGAVFGDQKLWTVPIIDKDNLARHYIDQYEATTRFGFSFENEPDLEMLRSLSNGLVVDSGMHNLEDH -VGYFKLTLTTKDFASKTATQLTEMFGPSDAANPFLEGVNYLATPAPHGVYYYKGLNWSVAADTVRKPINL -DDLVNGAENYYLVYTGNDADEVRRASDSATAIYGKGNGLPQILPSVANVKVAANKRDDLVVLYSDDLDVL -LAADKDRSFCPADAVHGKDVHLGPPVKGSPSGWGFDGSGPNKLGLSTDWRVSTKDHRCFQVTLEQGSMYD -FDQLAQAHGWEDNAAQNELLAKYKTASYVLMDLEFNGEEFTMTSFGFRSWRGSFRPAEFVVTSSNNSKEG -GLFDPSYPIGSIKYRENERNEFRNGNSTKMHHLNLPDDWSTKPATSAANDLLNSLANALCKIIEHNGNKR -VIITANADWSPEKRSMNATYINLTYDSRPQQGWVNVLAPDVNGYTTSLRQLRTILWYSPLPDRDWLVTVV -GGRDDFNEVAKGSRADLPETRGVFKHIKTVGGAQLYLNNCTGHAAAGAHLWDRWVSDMQHTSHIYGTDEW -FMAQYTCNHMRTVMTLDQFNYEPMASYPRVHPIDMLPHWFKKEDKERVMQMQSNLSLTNSEDDKAIATRI -CKISKPHHPSATPFSRFQVPAMQYATSIDGSVVIGGATYQIHENGLLGSVSNAWTTILNPKTTEQINITQ -GLPEGCLVITPDVTTKPTWDGPIVSINASHPCADQVVSLPVHSRGELLSVAYYLLLAGKGKRGMLLTDQT -AHFVGTAARASTQPGQIKLYGVGNANGTQAIGVIITSDDINVAQRSPSRELASISCWNYMGDRAFGDKVT -VAQNLPTNTPRWDVRKYKSSQNITMDCEKEMQRSLPAEFKQSKLIKIQVTGSLLQAVRGQVVSTSQLVAE -ATAIMAALGDGPMAEDAAEEIELLRSLGAQTWQIDANAGKNPSWCSNCAILRVSKDRNNTDSVYLKYRGA -TVKPVRAGMMLSSQDPEVNDNVPEGVWMDPTERSYDGVPRYTQGGMLNQGGATHGKGQSSKAPTENLLLA -YAVAMPEDEYEIMMKHPGSAGMEKYLVHHRDIITEKEKETIKKMDDPRMVNGLLRVISRRVEPGKILVTW -GQATVDKRQIAFEQSIVISGHQSTGPNQTTRDLILFDNGRYQTIIVYDESEAADSIKTLRKRQLERNDTI -TTHNIRHSALDEMAFNQIHDYRGEIDASGSSAAINNFAYMPLTDPMFGKTEGYVTHDHAPEIPTDDIIAF -YENKDLTDLVRVQAPLNKGEGKGYKGHNFPMGIIESNKIALTPYPIYSRPVLTRMAYALENAVFNVLASA -ITYRKHELNAAYEAKMFVKTYGNEEAEENIARWQKNKLYFSTAQVREWLSGRTGIEAIDQELQDILEQGM -FNEPLNRANVHVKMEQLLKSDPVTNFAAQQVRIIVWKKKGYAALFSGVFDEAKDRLKEFLGKRFVYADGL -TPEQLGNRCRLETCEGFLEDDLTKQDRQTDTDTSACEYQIYRSVLGVHEDVVQLWEMCSDHVYFKGDGIK -GNFHKIRETGSKTTAIGNVIVNLLVHRRLVDRLGPNLKLMLVLGDDNLILSDKFINAQELRREIRNYWNM -ESKAEWDPLSGVFLRMLACRNMDGRVQMGPDFVRLQRKFEFTNGVSEGSDEAVQARVLSYACMLGPLPGV -MDAISNCDATVEPVPWYDPVPQFEAVGEKYYPNVPLEHRTAAVLDNISRLTSMMRERHIVEYSWQHFATD -SKTRDIGSLPTGDQTAK - ->YP_009310114.1 polyprotein [Ceratobasidium endornavirus B] -MAPNTHITNINNNQNTISTYKHTNHRDAAAVIVASNQGHLKQQLKPMPGMLTNQGEPMGYRMALVKNSST -MITGKNEYLNNIGIGSKQGKHIIINKAFLKHIDNEKRKQHVSNKVRQEWNRANKQQEFKNKSLHFSLTFR -QKPKCETRIAKEVIELDPAGYPTAVVKRALLIALHPDKFTFYDINEFYISIKELVETQQAAKALRLCVNL -LKLVPYTNQLKVMDAKLSSYYGGITNINSINKQSVKKKEPITDTKIKDTKISNDNLQTKQVTGYGKNYNR -NTKKRKNKTDKKQIIAEISKQAPPINEEANETKIIETCPQHKIYDDDCAYCYAEQRKKNIARRLEEEELR -VKARNCNGENGGVPIQPVTELDYKYHNMNSPTNGKTKVGNSTFKIDRQPYVDPKLLNEALFELLPNYSDY -DTKYDIEHRKYHIYDTENNQSCISIMAEKLGLNYSGERLIKLQREMHTENITELIVNIEYHGKGKNKKNH -LSFESTKKSKLYLLEYKPVCNGNCKTHLPGKEHATMTNILTNENGYSRLRTRQTYNKFMYRKSRMWRLHK -KWATEYAEYRAEHGLDDDNDSVISDDSYTNEKTGETNQNKVYEITDINYHLIEGWTNIFVNSDRSDETQT -THNTNVVTQIATEKNKTQGHKNKNLSELKNTIDKNLNNNEEIQKLNWQGILNDSLLSYTTDYYEKILNNE -GAIIDKPNNNLINDDNIKKHYEQMAKEMYYNPDVNIATKIEALLGKCAEPPKINETFEGSFCYDERLLNM -TNCPLNIADDRGTCLKIWAYLERNGECTWASNEKGMYTGTKRISNGQLYKFFGSYLRRTLGNIQQTQCFQ -TLNCGDGSYVLNSIPIQLGSDGKFIPTTINNLTRTRFVWWVDCNTCKRKNGFMPNWPKHATPQFLEHLRC -GNCITPLYYNNFENTKREPIELKQRKLQGLLRRLGITQNLFEHIEVYKSAKWSSNVELHDHAVVARFLSQ -INIQPFKVDGRLTDGQLSYINAAFPDLEIIGNTISGSHSSGLYDAISDCLLTTGMRLFNNNSINYTANKH -NIIEFEKELNIVEDLDNTDNGLIDCKDSYGQNKLIELMHIGVKTIAFVTPYQNEFEKATFGPLTNEVGEW -QIRDDCLVILRHDENIANILDYDLTIKIAKSDIITCNNAYLVRDINTIGGLKLRVATKVPNIEFIKNLVK -HNDAKTMEFTLPLLEGRSIMSLMGGTGIKLETFTVHKKLLRTLLTRNLHKGEISYQEMIVAAIGFAFRKY -NLQSRTIGNFDITLEEVVSHAFLATVLIRRAYVRRQWLLDIVNTEYDWITVLKQIGLTLANALLTTLSES -QGYTATENIKHIANSINSIGVTTLSDSLGKTNFDELENWLDNDEYNTYEISNINEVKNTLKHACDHHSVN -CNHIGHKKCTCCNKPTENELCTCCDYITPCVHKCKHKHILDHICVSKCQHKQLTCSCCQVKSCYDPCHNC -IPLVGYHKDIYDDLLEAPFAVESKQKEPWKVNRDRKPNEKIDTNRTPGTIYYHSGYKRLVVQPGSDAIIM -DDGVTHKHTCAICGNDYIHKHKTDKTPHVKHPQFVGDCPVCWPVGLADPYNTTDPNDARVQPQVDKSTEA -IDEKALTLELYTALLPSHGKEFLDMLLTGKINQKFYDNSNASGYNVKIPFLPEGTHMWHKDCMELDRRIQ -MNTEGITCGKDALKKFYEHTISTTAFNTAVGNGENMTEKDFARIAKELNLNLVLLTDPTITIFKYQPNDN -FNCIMHSSFNNSINQHWEPVIVKIVKLGPTIITKNEIVNMNSIRRLIKMHTKNQTNEWTWSMSDLTKLQI -EWDLYTTYTNANPGGTQIEDTKIIENGEDMYLTNNAAGQHDLVKGQINIKVPTWAKSTIFAGYQTNALTT -PQLTAIFNTTHTGIDNLENEQLGLLVNHIKTYYKCTHMNELSGTTLSLKPIHVTVKSDQTRQGARIKLPT -TKIWKALDIIYVKTSGGKYNMVHVKPITMDQVSYTLVNMQLGRTVDICYNNESASSAIRGIYACLTYNTN -KTQLKLALSSSKGFIGPAGAGKTTRIVAAMHNTSAVIAVTSGAQRVLKQRLADQNKDPNKVFSYEGIASG -TQHIPKEITELFIDEATMLRPIAISHIISTERRYEHITFFGDTSQIGVVDFSDQGGERSIASILHSLSKE -NLEITNKQYRIAEPLCSIINATREGGYEYIGPKDRKTEYVVETFSTFDMDAIINLVKQHGVQLILDPHNA -RINAYRQNLTGTTVQEVNTTHRYQGNEKEVVLVIQEQIGNTIGIEQNARYCHSAMTRVKDKLIWVSIGCF -APHITLDKRIGVVKVGQGVGKILTRLGFNQNSYWDDILLNLSKLDTKFTSDYEERDLMSSNENNRFSIAA -LKATAIKYEEQYGATLTAIHNDEYSEINIYYGGFTIAKFNIDDNLTVTCTYDKFNAVTKEQISELKNNLK -VDTKIKPTTKYSNMVNGDTTIIRRINILALITTMFEANGLKYRILDDEQTYIITTSTDDDELSGLQVHKN -KQIILEISSDPINTNCRLFHWSNQDGERFARKLGLISGEDDQYIPHSLKPLLNDNRNNNIHTNLQINRAI -SRASVFAKSVFNKIMPNKWGYKNINNNQSAQYLNEMQATLDLTTNIKILPNKELIKGIDITSYPIKGQNE -NKYNYLCHMKPNRDVILLNTIDPWAETSPECVNIIEFTDNILDNLLNNYIGRTLNKFSKQFRINTNLKGI -DGLIISIEEHIAANDRVGQLIGQEEGRLGSIRLSMLDEPINLPQNIDDETLSTIQTAMPSLAANKLIPAM -PMHDNNHLCDQVILLGLWSYVGREPMTIYNTMPSIILMNKMFNCIATDSPYNCTNSGFRQTHWPWSTMLL -KQLSTIHVPDGENKRALNNATLVQYASANVLNKRGPWITDAKMLSENKIIWISPLYLPENFKDIVTLINT -GKAIYTYFPGHELLKHDGNYDIIQNQALKLTIKLPNWFTKLIIDAEPIKISENCFLIAKCMWTTAGTMTT -RLLTKFIQPGKTLVLSPPLVNPQARMVKITLPVFNPDIISNLTHNNNLLTTRTVEVPDRLLRQLRLTHAR -EGKTFTDLCATARVMLNGEQFTEFNIRNTYKTSPSVALDTAYAVVIYDGKLISGLKSAMQEWYQRQEPYN -PSTDHIASIAVGAAGRLMELSGLNSTPKEVLRLIQNLSYGKSDNILARILDEWDKLDVSMTHTARTLNIY -TSEGVKLSQYTHQEVTKARTITNKLRPYIYSNLKYLTVLVRVNGPTDMFMEPISTPDNNPKAINLPTTPV -FNATIEQLLKALQSKSFDITKDEEAYKTGLLSDTRINELKRKLNELTSGPKMPTPTHSRPTTPDPDKPNT -KLDWDKIKLWLQGVNNYIQTLENKSQTERLCQEYIRLAQTIEQFNEMDNIIYNNLYELSKETLFLYDNAN -WSTMRDNIGSLLSNHGIQLPSLTVSNLKGTRILITALGSKGDLRPISAMLNILNAWEANTYILCPREWRQ -HIAGTCKGTVILTGEWNLDEKLATAFSFKELNLEVLMKQLEQGLGHNCIKHNHHAILPTIDIFIGMGVAP -QAKLYAHRYRVPYIEFNPLPFNVGGDNERPIQTAIRNFTSNQIMLLNENLLTEEYTKLGGGELDMRRLLT -WENPTIHAYDSNLIKDLPRNKFGYNVGYMAGKLVDEPTQLSLRMKNKDILFTQGSMNHNKVGNMVDSLLN -AIMQPVGTQYGNLYIQCGNKLDDIKSIVMKYGTAIAVNTYAAAGRTIYLIGNINYAKSLKKGMVVIHHCG -SGTTTEMLKAGVVHIVAPVAFDQNMWATEIVNNGVGTWLNDYSSAAFQSALASAIGLKGAAANTADLPVS -KPVEGFTRAFNKIMNDVYNLPITAPDIQEYMIFDLSIGKTTIDFTTLTSRILGIEFIAAESDELNNVPAG -ELWMDNLPDSTTAYDPPVNGPCVFESIQWATNCDPNDLDTIGKYLKFDKPRENGVAPDEIHLVTCCLGIN -LILRTGSRAELYHYFPGKQTIFLKASIGHCVVAHHEVINWDAGIKPVIPQSQSAMNPFNITGSHLINNKV -WSILNKNIGLDNIGCSHLHGTPENNLNHLRVLLDNVAPDTNELDKPKWQQKLRDMLGRDSNVRLKDLTGP -SNMHVLADVTMTDNLITTGTIIRDNAYGWLCDNNGQVIRCTTQKIHNITYIIPPASFSSRNIPMLFIDSG -INILSNATARTTITDIDPDKVCAINRETVILAQQYAGASLRIFNDANPAEYLLICEYDNMAHHAYNHRDT -IRLYENTKVLYLNNEHKLMPNVGLTMRLQEQNFVRAGVHDTRECTFIYTDKPEKLLSYVKRIHPQTCIHS -KSLRIDRVDYRDIDSEQLIQWHTTNTDEFGRVVYNTTNLQPLPDQFDSTLGELKIELDMLNVNINANLLN -KLTKDKDNKQRFILRKCGNIKHLQMWHKEPKYEGGLIIFDYNFETYILHLNNNSVVINMENYKTWAQEIQ -NCNFINLTWGDDQPLPSNSTYWVTNNVEKATIGDDKNYKRIYIDFKSIGKTYEECIVDLFFDNEAAVGLI -LADDSQPIEIGDLEINGHLMAKRCTLIYENYGVKMTGKYVDVIEVKASSYTRKYTYERYGPSEIPEWLVD -RYEALQNLNGMDYANYLLQIDEEYKPEYKKIGEEEAIGLGINYDGNYEYYTRPIEGEIILDNIATQNPNF -LLGKGIRWHQVYRCVGTYSQKPSTIAKDIIKHAKIIDGNIVPHYNLIVASDFDTVTVENCIILDGGFDKD -DLFLLCLWMTGKNIKISNITEQLTGFNLIYNTSDVTEFAINDFNTRGLDDSWDKPTAYKLAEFNAVADLI -RFSQVEQDNDERIKHIYYPYAMLSNYWKVDDNDIGKLKWLDDQNEHSGLHNITEAISYLGYQRLWGTNDY -ERPFKQDTDKTWNSGLGYAMIENNKYWWNKTTSGYTGYLIDESEWNQLNGARRAYKISIFNTQHSLDEYS -NKVTIVPESGWMRIGGSPTFLPNLYQMTAARLKGTKVKQGEQLRDMWFSDNLDYWEKPYDFRKLPNDNEF -MIGWNIHDTEKHEKPIGAIYIPVGEGKTTLAKKHPNIFVDHDDLLDQREHARLQGKPMALSQFQKNVNVP -AGKILLTWGPSTTPKHIPALGSVTLQSAPTGLPEDRSRFNQSNRLSVRLLQNVPLNIALDRNEHERICLD -IAHKYMPGLEVHEYTASDKRNIAAMAEHTFTERSYDGYPQYDNPLDKYVYLPYIAVDGDNVTPNESIVED -DIPIQILNFWDDYDQTGLVRIIAPSTPNKLKKPKTFEQPNKIIKTQKLTLTKYPMRSRPVNTKMVYGELN -ASFIRMEDHKVYRKHNLNVREEVERTCDAYFTSNWRELSKSFPTITLNDEATREWLRGRTGVKKIDKELD -ELEAGGFLENGVNSFNVHQKLESLLKSNPIIDWAKQQVRIIVWQMRGIAAMFSPVFMAAKTHLKSLFGDR -FVYADGCRPDELSARVRLVSSENVYFFEDDLAKQDKQTDRQVLDCHYYMYQNVLRVHPMVIQIWSTGTIK -WDYKGIFVRGMSDEKVQSGTATTGIGNVITNMMCHRRMVERAGKHLKLMMVLGDDNMAIFEGYRPNDREL -RVEIRDFFNMESSAETTQTHCVFLQMIIGKGNNGTCALGPDVIRLRNRFEVTNGVSADPESTINARCMSY -LMLLGLNETTLQIIKDKNYPIEPVNWHDMTASITTASHRYKKSEEWVRNELTMLYNYLRKPESIMKEWEH -FKCV - ->YP_009310116.1 polyprotein [Ceratobasidium endornavirus G] -MASHFDLEGFKXVKYDDSPDQQPQIVETLTQVRCPYCYTPLITTNTSQENASSDLRLVEKPVGNPTAVLE -MLRSLFGPEAGPKGPVWATHSDAHDQRLVAMVLARLDFKQVTIEGILTREQMGKLTANFPTIIVDTVEGL -GTLSDSLWRAELKACITLALAQHSSGWARNSLTENTDIGLASSSANADVTLVEFTANHKDFCNTTYIIVP -RCVPDGMYEEGAGSCSLVANTYLTLAPGHTEPTSVDRNAYDALMETDYLLVNTGRDTTVYRKDIMAQGEY -WQLLRLARVVGQNLHQFAKAERQDLTIEVPMFDQRALSSKFGVSALTMRKTTVHHKLLKALTVRGLGNPL -VSYQSMLDLALGFTWRRINMHDRTLANTDITPELAATHAWIALLLTKRGAAARNATAEVAVSRPGINSFA -LQSSMALANMLIKSVGATLGITTTEWVSEVALDWTGSRVTAQQASAALDELADWLLKPSVSTERVAEFQS -LTPSRPACNHRHYKCEHNGSKTCQCCGKQANNDICECCEPACWDTHTCTHNCYTVPHTCAIQCQHKPGRC -DHCSKNGCIENGKCNTCRQHDSIESVEVDIHDWVAWLESTNNEGSNKGNTKYNKTKPKRISHRMHQSLPP -PIMPDAVEVDDETATKSWPNPQIGTEGNNHSHRCVGCGMLYECKRDNTCQQQFEGDCLHVTADKPDEVPE -EEAKYAEVRVRLLAHINEHQITALEAESGSRWPLPSREEGSLHQMDGWPIGTTVESEGLYAVGDDETPNL -DTSAACAREVARYCWPTMQSNIISVGLSGATATDQDIIKFAEKHSLNIIMITALNTKIIRNNLSNCYGVF -IHDSYKGGSDGHWYNAKIVQVKRPASLITFAEGVNNQMLKALAGKIIGGIPKESSGLTSYERLLLELTIH -SSHKAEVSITYNDIGLMHTTKGPYLANGPIHDPQRGYVHMRIPERDVLLVEQWLTETWSLDSDLMKPGTY -NHMSFDNLEQVRKVQLMGEMINYKMASSGNVDFCNGRYKLPNYTYQVSTVSKVDMGYAFSMDGVFLKSGD -WVVVNDGKETFTCQIKLNPNFKGKRTLGVLLTSRKLGASVNIGYTATISATSSLVKICSAINWKVDLDGF -RRAVENPVISVEAPGGWGKTTAIIDKWQPGQIALAWTSGGKTALQTQAQAVKKRHVLIQSVEKVMAEGLP -PGVTEVLLDESSLTSLYDASLIWKPGVKFQFFADNSQVGVVDFSPMAGLRSPVGVTKFVKTGIAKYNHQY -RYAEPLCSELAKVVPGLTYAGPDKRTHFEVVNEDAMNRTTYRKLINMHKPDIVLVFYRAHEQLLKSWAEP -GAVTIDNVPKVKIVQELARVHSFQGNTRPRVMVLQWRMGNTPGIETQSTYCFSAATRVSEQLVWVSVAMF -DQTTPLHERIGTVRGSGINSNWRSLSWDMTMAKLRQSLPQAPQGEKLDEVLSVMKKSAPKFEEQYGVGVS -LAKVDNKVYLEARKLGIHILTLEINEQLDVNVQHDPTGSVTQADIAVIRQQILDSERAVELSTVAVTEAW -CQNHMMAYHIAVRAADVGFPIDLSIGPINVKLLPNAADKYCKMNYEIRDGKNSALVTVARDPLHGHLIQF -GLTGNAELAYSIMTPNMEQSQVSEALQNLLGRRIDAHRFVLAHMIEDLGFTVLAAAMNLLNFMGISKDKA -DKLVHQSYINNSQQIVREMKEAVTNLDQTEGTHLDWWKATSHQPVKFIRTPTEPGPNVGRYKVTHYAAWH -DANTSELEWVSSDPGGEEKEQQVIKLWRHVGRAAVNGIGFNLLDNLGLAVSKVGGLPPIEGLINPISDHL -ARNTEVARKIGNYMAAAAQRSWNADPGPLYLPRTWDEDACRDMLPGRKINWTSELVPASRGRPLLCIALL -NMYGNLGQRRVMYCNHPQIATVARDWNITVAKPGTSQEHSPDWSDGQKQLIKTCEAITSIEHNDAPERMT -DGLRLLQTKMQEQLVSRTNGPLARDGAIRPDEFQGCLIGPDVLWHEASIEELLRKHGELYCMLPMGNDWQ -ETTLENSTYLVNVNSGITMPKQFWHDSAITGSLLKLSSDLLLQAQLCGASHSMFLVKLTDGSKEPLSWFA -EDMVGPYGAEMLIKLPVIKLNPITIVREAAIIEWRVVRVPRRLMRSLSLRMLRESMSLEQLETAGRILGS -TYEMATHYVGDKFKVSAQTMADCVLAAYLMHRPLMTEFRAMADVLNRNAGNQVSKYLLDMAQGATARISD -LLGGTSRPEDLARMVGSIIQGPIGKILENYADEMANLHAQVLVQKPSYKLYFYGRVLNFTTVHDQPTNYG -SEYPIYRVMQYVPAIIRATFKTPALNAPAPVPRPNLASLGTRLQPDTATGLQLFSNGVINWDELVSGVID -IAGKDLTPDAAKATLEFEQALDTALNSIQQNAKMPTHLRIGARQAARKTTMEQSLEHLVNFTTNNSVSNA -KNLIDSCNKGMITKYASEMTVGLLYIGSTGDMMATAHLILILVGLGFNVVCACPLAHLRGLVAIIDRVSV -KAIAETMHDWDDDEEWNAAASDKIIIKTRGGRSVRLMGLEYDTTAAIHAAKVFTNMKFDEMKKLMQEKLV -HCTMPLDRNVRKAFSTCDFIIGNAAAPQGRLLADGMARPYLQIAYLPFGLTFARADSLQRVLDLVVSTIT -PGFVIAAASGQLDREASQLYNLADWSRHAIDNPVLTHYCCPTTSFMSLNPQSEPNKGPILAGRFPWEAGS -FEGPTGKVLFVTTGSMPGNDYSSIGDASMILQSYQYHTVVLHTKNEEDLGKLALSFTNKSLTKLPNDSSD -LPDTLTVLSDGDWLFIAVTSLRLERCLKHTDILLSHCGHGTYNCAREVGAYMINDPQIMDQHLWANVAEL -NKFGVPWSGDRARLLPRVSSLLMNNVEPVMGESMEDKAQHLGAMIASTLSSNGIEVNPYYEDLYYDADAD -MADPWNSANPNAQPRDDSGWGQPYTEGQQQPEFQGWGPVPDSGIGNGTQAQPELPDHQTTVENTGEWYPP -VAGGLILADKANMSLAECKDLRIKYDPMDAQQAAGTASCALWCLAKTLYDNFEPELEDVEDLFETEQDSV -SAERDLQSVINNLTGLDVSEVAEGGLERMDIHLLFSIFGLNTYDANTKQLYCPFKTRPIVAIDTSQDHCK -LVTCHIMQDDKPLQMYTKINQQPISENYIYLGDKKVMLKTDTIEFGRMCLGSSMWDLSDPNLRLELRRQV -RNGRLRMRGHKLDDQAGEPKVLVFNALRYENCYLIEPLNGHRPMVGRLYGVPLVNGSVSLGCVVSNTQTG -KYHLVVESEVEPIGQLLSIRTRLFATTAESRNSDNRLDLPITNSQSRNAVEKALKRPVVLLSGKVQPPEA -NVYLHNADNYVHHNQLSLYTQLRDCNVQTITGETIYVDDLRHKNPWTNVLVEGEFRLGLPTHTVPYDNSE -AEPQRNAAALKWCDAVALEHANLNETLYLLGHDATSHAPAHEGPLSIITAIIRDDRGSRNSLLQVLFAAR -GTQLNDFIDRHPQLNWAKDMVAIKTDTAQIGPIVEYPFIADNSFIYMLTADGWYMLSVQKEVPYGPVSLV -PSVSVPFLGNGEPGTVNCGTNVREILTAIDKQNTEASVGTRQIGPIYLSDVFNWLKSTMDRSKIFKVLGM -DVLHLVSKMYADGVDAETCLMVMPATSDKNHDLRGKMLRLAGAEITECPSHPGNWCWMLDGKTVWLVSGQ -LAYMDCPGHVKASDGVPTRWNKKTVTLKYTQNDPKFCTYHGGSGANCESCNNVDVIALLHLQTMAEVLVK -YNELVTTNERIGFEIPGLIVVHGKPIQADVVEQSIINSTASQAILNVLKNAFFPIHNKDVGYRALQHTDL -DIADVSKWPNILYKGYVAAEIAQVWVEGSRLDINPDEITRYTLHKGETNRLTHNDRVVEVKGSLQALCGQ -IYKQFGTTDFYEFIYHNGQKGGQLHGADVATFIIFNAVAVIEYRSTMSFQDSAVPITILPEEWCVDYTGV -VHLVHARTITVINNKPVLHFDIQGLCTAIGARLEYGDKEIVEMQVDTVVTLCKLRAATGNLFQVALPRTL -PATYKEWSTGEGKLWLTPNSSLKQITEAEWTIRFPNGRLVATRVQAADYDIHYANWDCTRDYKITREGIR -FANPACIVKPSTVKGFQSYRDTMNQFDWFTTDPFEAKPKLEDQPRDHQVGFKLQETKTTGRPMAGAICMP -PGTGKTYLCNKYPSIFVDHDVLEQHVRQTKEFQEALAVRDWAAVNAIHRTMICPKGKVLLTWTPETVPYS -CYRIGALALKEDPVDIGPDRANLAAMCKAGYDGYELLNDRVAVENRAVKLAEQYLDGKLDGISSLKTNFE -DMLHFQIIDSLEAPELAVRYANTNIYNHTPFIPSLTSEITRAMYDREEPPIEVIDLFENRDLTQIITYKT -PRTFVNKQLPTSFENPNLIVSVRKHTLTKYPIYSRPVLSKMIYSEIEATQLRFHDDVKFRKYKLDPAHEA -AEFAKTYFHRDWQERVKAMSILTWNQDQLAAWLRARPASATLAQHMEEVAASLYDDSPVAKLNVHIKMEA -LLKSKPILVWDDQARRPIVWQDKFVPYLFAPMFLRAKDRLKQLLRPEFVYADGLTPAELSSRCRAVKNGC -KFYEGDLEKQDSRTDIDTLDTSFYIYEHFLRIDPIALSLYRTGTGVWSYKGKFVKGISRYKVQSGMVTTA -LQNVINNLLVHRRLVQRMGKSIELFMVLGDDSLMLHNGHIDAEELRLEIKQYFNMLSKSDHFKAHGTFLQ -MLATETGSGVIQMGPDIIRLRRRFEVTNGVTADPVGTANMRALSYLMMLGDGKRQREIAAKLGYEEELPV -WFNMDDNIRGLCQKHDMTEVEVENELHTLLDMLEHPTITEYHWEHYADGFSFKKNQGDPYIAKAGADHVP -GSGRF - ->YP_003280846.1 polyprotein [Helicobasidium mompa alphaendornavirus 1] -MDSLITPSTPNTTTSKTTIHTHNNKKQLLLQAAAASKERLQKATKAIINQTVGDVSQVAPIVRGHQLGGQ -NYVSITTSSGRKDQPTQRVNVVEAGETGSSINGHFNRLTNKNRKAVLSKTKELVKYVPKSSRSVGNKKEK -ATIQCKTSRNIYRKLGIYFHDDKLGDTAMGSTEMTVNQQYTAAMDDDSARLQFVMKFKSWIPTDIFNEFM -YYVVYPSHVSDFVTVTKCAPQVQAYAAPLRKIDNKLLRYVSRYDKITKKTSKRNRKAIEKQRRANSIRNI -GKDTVNAQRPKVERSVNKPVKKNNKRAKTQKASKTRNVCMRIIKDALESFAPIADAKTLYLSHFREVLVA -EQIPLYICVNGRVAKTNHASLYNCEGALTYEAWNQSGKFLEAKDTTGKVFKFQKRTVFNMGHSLSMIAPW -AAEDDDDDDDEFGPVDVIHPVCKNMWHAMQTTVYDPNIGDAIDGLAMARMPGTFIWDNSNDGLVEMYDHQ -APDLTTWFYNGQPASPGKNGDVDFNASTNSRVRRPGPCADCYKKLTNVPKFWGWAEMWRNNAPKSSFRSE -GYKEPTMGNGYEAYVNQKYDALYAPIINAKTILKPGKLVSVRTEFCAFEFNMEHPDRKAREHLLATAALH -YAGRAWVDFDDTIQPKQGTCRKTLRHIANHLRQTKENLQSFAGNHFYVNCPRNGMILARTDRWVETTCER -GHSNRMPYLLEGELPTTLCGRCGGSLSTSDAISGNTTDIMKGLIDQLGVTSNFFDDMTTSGSKEWQSNVE -NYDYRVVALALHNIKVLHMDVQYRLQKDELAHMKGMFVDLELTSSGVRGSLTTGMYHAVRDCLLVQTLKS -AGEREVEYYNCAENVSRTVGHIAVPSDDSNEAAIVVGDWVQQCTLSNIMNEKKKKIIVVLPNVPSDMDRV -SSAVTDMGEVVNYEDNMIFTFHDSTHVKTMHRSMYSDLRRARYLTASATYVSTTVRVTTDWVVLVLDRLD -NKENIEASQPFFNTNSTGTMMKFTLPMVHGRGVAGLMGGFNIELKEFTVNKKLLRMLLTRNIYKGDISFS -EMKVAAIGYAFRKYNTASRTITNMDVGADDVMSHAYLATVLTRRAILKREWVLDAIAEEYSWAAVCKQIG -VSLVNVLMVTAIEQAGIIDRSTVKQLVNNFDQMTVTMLTNALGNNNFNELEDWLETDSTAEGIWLSYTPL -ELSAMPTCGHHNGYCLHVGDNICSCCGKPTNDKMCQCCTQGKCVMQHNCTHACIGHGDSTGTKCTCCGVD -SDGALCISCVEHSATDEVEAWPWISIDKIIEEREVLAKSGSSRPNRAQRMDEVGMRTTKREPGTIYWHKN -FNLWVIEPRTECTFTKGSEHQHICAVKINGRECGSLYQHTHASRRKPESLHPQFVGDCKRCWPNPVATMD -PDTIPIPNPFLDKGKGREKSDEGGTQVPTNAPRTEPLTNDGPVTVEKPEVNEWFDMGLTALGPRYMAALL -EPSLSEAFLSDQNMPQGTGSNFRYLVQCRQTIERANVGIIHTMLNSNLGSDCGYTALQMLFSDLVQPTLL -SRVVKTKNNMTDEDILRVAPLIGYNIGVLTDAGLTVNKVSNDVLVYCVMYQAANVNTEQPAHWQPVLLQH -LKWTNELISSSVLATKPILDATTNRITKGTNPHWSRDLLTEEQIVLIELALHDTFVAFELASHSNELEII -KVGSDYYLPNNTSRKHMVNTGLIHIRIPQWALNLMLTLTEPGGNDHHLLQADWNRDSDFDLSRDALGHLQ -AAIRVYNSFRLLPNQWGGKMPAHDKVPMYVNSGVVPILQLPPGVWKALDRIYYVTNSGLIPVKLSPTFIA -GGWSSPVAPGIFNTGTVQMITLKQSCGSAIRTIVSLMKEMPHESTCLAKMRDSYMFIGPGGAGKSTRIAE -AYKKGDIVVSATRGSIASLKNKIKVKDKKIISFENLASGVTTIEATVNTIFFDECTLLDPVLLAFAIESP -YMPEIRFYGDNTQIANKDFVDCPGTRMEISLLDFCKATETTNVQYRIGEGLATEIDLVRPGGYKSSPGHK -GTTFATMDVVMVDAKEVINAIKEHNISTVLVFYKKHAKIMKEALAGDASLTKVVIETVHKFQGLEAKRGM -IIQARMGDRVYIENDPTYCMSAVTRFSEHLVRVSVDMHKGLLLRKRLGVSAVASGLNIFRSVGGSWDDVL -ANVQTGKKQMHFTYNADVDTDTLDVNKLQKIANDRARGTGVVIKHTLSDDKLNTVSMMFGRSIIEIDTDL -STMDSKVVSDTMGLVETYDVIKELHNSIKEAKGSEEELDMHDVVHISHLNWTVLQGLVGALHAMQGVFGT -VACTIPNYNIASNGKSLSVYYRAQRVAVVRMTAAEQVVDLEWSSADIQVQNILVELGLDPTHRPNKVHSL -ISNHPYGNLHTYMSFNAWLRNADKAVNVLIKKLVAMDGLHNLTHHVNQKWLDKLDTAVQSNVSSLTRVTK -TSSADNQVGTPIVYVNEARWPIIVTMSTTNDIRMSPLHEVLDLESDFLLTALDNFFDSLAESGIGLLLRG -LGYNLNTRMAAGIEGLIMPLDQHKERGDKVYHLVANTQGRLASRALKSVAKPMGLPVNLGTEITNLLKTH -MPGLSVQLVQSAAGVDQLYVLEQSVLMSLHHHRDEYTVVTNRPATIMMCGHQNSVVMIEPWQHNGMKLHQ -NGIRIFSDHIANLLNLHVEYAKDDSTKPKDDNAAYNLYLVNSAKKNIKALDGPWVTRSGNMRDQIIVSPE -CLPTDVTSVETVLHSGNRVYTWVPDLNPLLKADAIEVVTAGGISHIKNNGISCVVSLPTIWYDILTARAV -HHTPKGMLYAETLFTLGPTRVIQLKMQRHRFLLTAPLDHAVGLGMVKVRVPVVDLDLISAAGHKGILTTR -TFTTPMRLIRHLSLVLARDNRTFEDLRATARVILNGETFTAHNIYTRESTSPSVALDTALAVMLYQGRMI -NGLQTAMAELQAIKYDAAHASYEHAAANVTGVLGRLMSASGLNLEPREVLNAIAETTPTHDLNILRQLTI -EWDKLVVQQGHVSREVRQHDRVGNTTSLTDIRIDHTGTVAMPDSIGRFVYNNLRYIAVTTRHGPITDLYE -YPTITAIRGPTATLGHKKATSKVLQPMAPQFVKTPQAPPPAAPEVTYEDFENMWTITLNKMSKTFKIRHP -RHKLWFDSILPTIIAGRDAHDGAHLQAAVKHFEEEVEDALDTAGLTEWERLVGHNLWLMNLKMPDTVWVG -KNEFKDMLVGITAIGTRGDMVPTIAIASTLIQAGADVIMYIPHAWVQDCMKIVPLATLRPGNWDAAEKLA -LAFKANNYNLEAVNTILNSGTSHNILADVDWKESGFVDAWIGTTANPQARLLSWAHNKPYLQAQYLPVFA -NETETEEWYVTAYRNFMTNQITLLNLPKLEQLYQEIRGIKLDTTRFLSAHYGNAIMFEEELSGMERGPNN -YRVGSMSRPLLGQNLNTLSEATGVLLTFGSMLDGVPDMTYMLIAEALVRTKQRIHDLHLINLPVGRFEQL -GLVDTIGNEKFLNGRKIKIHSHNNVDLRSATKMGLIIHHGGAGTTIGFSETRVNQVIIPVAFDQSGWGES -ITKHKMGTCLKMHGKIEEYIAAMDWYDGRHDHAVQPKPGTCDRFLASLVSEINRGRSNHDILAVPHVEDQ -NVFNMTANVSENWMDLMRYGLRPTDWRHQPEIPLVPVGWHVGYATSDPSIKYDPAVAGPCTLHAIAYATK -EELPKLESFAKRIGLNNLTTGALSHDVLLMCAILGFTGVVRDGMRYETMNLNNGPLVWFLNRDGHCMVTH -PPDVGSIKWVRDALLPTAPITSVGTSRPDREVNGVTMPTTAVSWSHSGATHFGANPRQTLSVLRAFCRKT -IEQLRDGNDSIATTKLRQTRKMMQRINRAEYVPTEFTTWGVTEADTHVVVDKCKPGALYIGCDHNGGAHW -LIGAHHNAEFILIKDTDVKMLPFFFTTYQQIVRFDTTVKHIVGKSYGNSLALNRQTAKYMEELTGEVINV -VGSYNVDKPVIVTDFGNYQHHMYHDRDKLMEHVNQIHFVSTVGGVVTLRQDPELVNRLLHKGIVSTGLLR -GKEMFVYHGRSSHQMHEFLKQHDASTQIKMNSAWFSKPLSDNRLKWLEAQHDWKDDGAGNMVWQPKPQRT -PIKRQYHHQELQLLAEQCGSLLAETMATKINETDTISITPYYGTYSLEIVHELEDHVIFNDYGDLWELRW -EAMPLLLNTDTLATIAKLNNNTLDIKVCYDANTRVGNSTLVTADKDLHLNNPHILYCDGTIAELILEAKR -SGITMTNRRCECANASLYNKLAEAFDVICPDGVRTGREPRGSLHFTEDSFMTSRDPEKINDLETQDPAMQ -EINLLHVLEATAPCPPNYVDGDKVFSTNYRANATDLQLFESINTGWFLHTRILNPNKVEKRGRGEFGITN -GNEQDCFLYEHEIKEISELKTKLVIRDVPHTNPAIIPLPPEDWMDDRGMRLPCSPFTITGLGNGKTIIGY -DALLPTYEWMDMWWQPGDNKLPTVLANDDWAKELDMRSNVDTGQTWAKIVNNTDNGWPNLLRVRDELRPF -PSLLGATVGSQWEIHATPEHWAQDMERGVWEGWTKASKVTVYVNTTSSPHTKVALAHNSMYTMNPPCVVS -KTVMKGRTDVVKELILNRQYKRERNTWDFREHQAYWHKASDTGEYEQPESNKEFQVDFKIGQTTKPYAIG -APPGAGKTHLSKLMPGKFADHDDYLDQKEHARLVAAGDMIEVSKFHRNVSVPTNKMLLTWGPFDTPKTHQ -FIGYVIPTRTTHSVNVARQTYQRAARVRILTQTVYPVVKIDRVHDFGAAVNKLVQVYEGRKEKPVTDYTF -NGVESLGSFIYKEGTEPPTPQVNHCMSKNPWLPYIPPLNTDHGENEGIADEAIPIQVMNLWEERDQTDKV -VLFAPGMDNKLQKPHSAEQPNKIITTTKVTLKRYPVRSRPVRTKMFAAQTKAAILRMENRVVYKKDELDV -DLELKRFAEAYFKTGWQSIVERWQPLYFDPAAVNKWLHDHPGSDKLAKEVQEVLATGFLDDPLNLANVHA -KLESLLKSNPIMDWTKEQVRIIVWQSKGKAALFSVFNEAKNRLKMLLNDKTIYADGLSPDDLSARLRAVP -NDGAWILESDLEKQDKQTEGETLDCEMEIYKRYLKVHYLVVNLWRRVHDLWRYKGTHIRGMSDGMRWTGQ -ITTALGNVIVCMLALMLMVILMWSSLLIILFLGDDNLTITKRPPDATSLRSYIKNHWNMLSSATVNKYHG -TFLQMIVAPNSIGTFDIGPDWVRLRSRFEVTNGGSSDPSTTVLERCASYAMMLGDTDVGRRLMDKLQLDL -PLVAWYDVPSITLAIQHKYSKPYEWVRNELAELEAMITEPHLYYQEWEHFTTV - diff --git a/seq/clusters_seq/cluster_801 b/seq/clusters_seq/cluster_801 deleted file mode 100644 index a3d428a..0000000 --- a/seq/clusters_seq/cluster_801 +++ /dev/null @@ -1,22 +0,0 @@ ->YP_009553029.1 putative nucleic acid binding protein [Salvia divinorum RNA virus 1] -MSRSKEVRKIDRQKEQRVKFGEGTSRSSAKRRAKWLKRCVKCGRSEHIGECKKIGQRCPDEFLTIIRAGP -NRSLTEKLLNPRGLAFDRALEYKGFLEDERKRLGYLTIKDPNSEHEMEDK - ->YP_009666024.1 hypothetical protein, partial [Phlomis mottle virus] -DSKPSSLLPGDIKELEALCDLLCFLKVDFCNRGLPTEISSGIVNPIINEVRKTGRQEEQRARRYNGTSRS -AIKRRSSILGHCRKCGKLSHEGACSANQTRSNSEFYEIIHMGTNRWLTENVRYMRKGSYVRDATLSLINR -ASQ - ->YP_004936164.1 unnamed protein product [Garlic common latent virus] -MDPTTQISVSLLLVVKNKLCDSGVPTDVAIGIIEPIIKEVRKLQRQEEQRLLRFNGCSRSAIKRRAKYLN -KCHKCGKQSHYGLCSRNQTISNMEVEFLIRCGTIRYLTENPQRRKDSIYSSDYEKLVERATRV - ->YP_001552322.1 nucleic acid binding protein [Phlox virus B] -MSWCDNSFLCGLVKIGLCNRGVPHGIASDLVNQIVSEFEEGARRCRFLKEFHNGTSRSAAKRRARYLGVC -VKCGKLLHEGACPRGQTKAQWERYMVVKEGSISFLSENSKIFRPGSKADDACSALLEKYTYALGNLSLKD -PNNV - ->YP_001430026.1 nucleic acid binding protein [Coleus vein necrosis virus] -MVQTTQIDMEDLEFTLCVCKIKLSELGLPTSVCHYILDPLVAAVRTCLRQEKQRQNAPFNGVSRSSAKRR -AKYLDRCIKCGKFSHTGPCPRNQTISNAEIVHLIYNGTTRFLAEKPQYRRGSFAQMLADRLLERSNLSL - diff --git a/seq/clusters_seq/cluster_802 b/seq/clusters_seq/cluster_802 deleted file mode 100644 index 99be49b..0000000 --- a/seq/clusters_seq/cluster_802 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009046483.1 coat protein [Cherry twisted leaf associated virus] -MADEYETNEDGSFKLDSSGNKIPKKAEERTPLNNRRTGGQPQKKSDMEVLRSRRRRVTFDPKNPTSSPNK -DFISKIKEADPITLNIATDDAVKAIAADWVEHLKVPEAETFNCIFDVVWYCYHNSSSDKTKLIGRSNCNV -ELEDLASTIRSYCSLRSFCSKYAPVIWNYGIQNDIPPANWQRRKVVEGAKFAAFDFFEAVTSAAALQPVE -GLVRNPTDKEMTAGASLKEISLMRDEIRRGTSSTLMTEVTGGRTGQIQPIKKISGGE - ->YP_007761586.1 coat protein [Cherry rusty mottle associated virus] -MEEEIEYEKNDDGTFKLDAAGKKIPKKKTTGPDPVIPGTGGQQSKRSDLEILRARRRRVAFDPKNPTSSP -GRDFISSIQDSDPTTLNIASDDSVKAIAADWVEHLKIPATEVFNCIFDIVWYCYHNSSSDKTKFVGRAKC -GAELESLASTVRSYCSLRSFCSKYAPIVWNHGISKDIPPANWQRRKVIESAKFASFDFFEAVTSAAALQP -IDGLVRYPTDKEMTAGASLKEISLIRDEIRRGTSATLMTEVTGGRTGQVQPIKKIGSDE - ->YP_002776351.1 coat protein [African oil palm ringspot virus] -MADKTETPKAPAAAETSKTPAVTETKKVAEKPTGLSSDDRNKLRRRRARGNVGSTSLISSPTREQMXAIR -IEPDLISMASEDQLNAIMADWASSCKXPGTQAFSNAFDIVMYCVHNGSSELTEIRGKTSSGADREQLVAV -IKNYCTLRQFCSKYAAIAWNYMIMNNEPPANWAKNQVTKNAKFAAFDFFDAVTSDCALQPAEGLVRMPSD -IEHTAAATMKDMKLRRDELRRGTHTSNFTEVTGGRAGPKVPLKTVGTTSDNSFGH - ->NP_059942.1 capsid protein [Cherry necrotic rusty mottle virus] -MADEYELNDDGTFKLDAANNKIPKKKTTGPTPPLPRNEESPSRKSDIEILRSRRRRINFDPKNPTSSPSR -EFISNIQEKDPTTLNIASDDTVKAIAADWVEHLKVPESETFNCIFDVVWYCYHNSSSDKTKFVGRAKCNV -ELEELASTIRSYCSLRSFCSKYAPVIWDFAISNDIPPANWQRRKVIEGAKFAAFDFFEAVTSAAALQPVA -GLIRNPTDKEMIAGASLKEISLMRDEIRRGTSSTLMTEVTGGRTGQVQPIKRIGGDE - ->NP_047280.1 coat protein [Cherry green ring mottle virus] -MADEEFEKNSDGTFKLDAQGKRIPKKPQAQTDPKSSNSQDPNSKKSDVDILRARRKRVTFNPADPTSSPS -RSFINSIQETNPVTLNIASDDTVKAITSDWVEFLKIKQEEVFDCIFDVVLFCYHNSSSDKTKMVGKARSG -VGLEDLASTIRSYCSLRSFCSKYAPVVWNYGISNDLPPANWQRRKVVEGAKFAAFDFFEAVTSEAALQPV -EGLVRNPTDKEMTAGASLKEISLMRDEIRRGTSSTLMTEVTGGRTGQIQPIKKIGGDE - diff --git a/seq/clusters_seq/cluster_803 b/seq/clusters_seq/cluster_803 deleted file mode 100644 index 887907d..0000000 --- a/seq/clusters_seq/cluster_803 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009186832.1 protein 3a [Barley yellow dwarf virus GAV] -MTINYQILAGFGIGFIVSIPCTLALSYFIYNKVSDTTREVVNEFSRP - ->YP_009186824.1 protein 3a [Barley yellow dwarf virus kerII] -MDFHFLTGLGIGFILAIPCTLALTYFIYNKVSDTTREVVNEFSRP - ->YP_009179361.1 protein 3a [Barley yellow dwarf virus PAV] -MNINYQILAGFGIGFIVSIPCTLALAYFIYNKVSDTTREVVNEFSRS - ->YP_009179359.1 protein 3a [Barley yellow dwarf virus MAV] -MNINYQILAGFGIGFIASIPCTLALTYFIYNKVSDTTREVVNEFSRP - ->YP_009179355.1 protein 3a [Barley yellow dwarf virus PAS] -MNINYQILAGFGIGFIVSIPCTLALTYFIYNKVSDTTREVVNEFSRP - diff --git a/seq/clusters_seq/cluster_804 b/seq/clusters_seq/cluster_804 deleted file mode 100644 index 47303b4..0000000 --- a/seq/clusters_seq/cluster_804 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_009361827.1 3C [Miniopterus schreibersii picornavirus 1] -GPGNPDFERHLACHAVVAIHFFPPNTQQPVSQSAILLFGRCFMVNSHTWNKDWTKFEIRGVEYTREECDW -LDLYKEGISTDATVVQLPKGQMFKDNLSKFMTKDLPFPQKNTPVTCVNCSNGTLFYSGHIIRAPQTCEII -RGLSSSMFIYQAQTYPGYCGSAVVATVKGRKLILGMHSAGNSGTAGAIFVTQEDLRQVRDYFAKNSAPPP -PEPLSDE - ->YP_009121764.1 3C [African bat icavirus PREDICT-06105] -APGLEFEQAVYMHGTSQFNFHMPNERKPRTQTCFLVKDRVFLVNHHTWMMPFEQFEVRGQTFHKKDCTFV -HLVYDSISTDLVAVQLPKGPCFRNNIPKFISASDIFPMRNTPVTGINADGPLFYSGSVMRPPAVQEISTG -PTAKFMLYKAQTMPGFCGSPIVASVAGAKKIIGIHSAGAHGVAGAVTVTKENLSAIMDYFSQTSAMTPE - ->YP_001950231.1 protein 3C [Human TMEV-like cardiovirus] -GGGKIVAQAGNPIMDYEVNIAKNMVTPITFFYADKAQVTQSCLLVKGHLFVVNRHVAETDWCAFELRGTR -HERDSVQMRSINKSGMEVDLTFVKVVKGPLFKDNSRKFCSKDDDFPARNETVTGIMNTGVPFVFTGKFLV -GNQPVNTTTGACFNHCIHYRATTHRGWCGSALICHVNGKKAVYAMHSAGGGGMAAATIITQEMIEAAEKA -LDCLIPQ - ->YP_001816891.1 protein 3C [Saffold virus] -GGGKIVAQAGNPVMDYEVNIAKNMVTPITFFYADKAQVTQSCLLVKGHLFVVNRHVAETDWCAFELKGTR -HERDSVQMRSVNKSGMEVDLTFVKVVKGPLFKDNSKKFCSKNDDFPARNETVTGIMNTGVPFVFTGKFLV -GNQPVNTTTGACFNHCIHYRATTHRGWCGSALICHVNGKKAVYAMHSAGGGGMAAATIITQEMVEAAEKA -LDCLTPQ - ->NP_740429.1 protein 3C [Theilovirus] -GGGKVLAQAGNPVMDFELFCAKNMVSPITFYYPDKAEVTQSCLLLRAHLFVVNRHVAETEWTAFKLRDVR -HERDTVVMRSVNRSGAETDLTFVKVTKGPLFKDNVNKFCSNKDDFPARNDTVTGIMNTGLAFVYSGNFLI -GNQPVNTTTGACFNHCLHYRAQTRRGWCGSAIICNVNGKKAVYGMHSAGGGGLAAATIITRELIEAAEKS -MLALEPQ - diff --git a/seq/clusters_seq/cluster_805 b/seq/clusters_seq/cluster_805 deleted file mode 100644 index 399cdb3..0000000 --- a/seq/clusters_seq/cluster_805 +++ /dev/null @@ -1,167 +0,0 @@ ->YP_009342060.1 hypothetical protein [Wuhan arthropod virus 1] -MQDLEVGIPSAYTEQNVEDIAQFYNSIVRDRLSHVKKLDFNCTLGELKLINAVFAPQVFVPDASKQVRSH -HIPAVGLTLAQEYINHKKNGRYINIGDNFKNLDLKAHNCFKITGRDEARILEALRCSYVKDEVKEVLRLL -LAGKDSPIACSKGAENCSYQADLIFMNNVYDISQEKMAKIMQKHNTSIVYIIMMLPPQVQADYPDYNEKF -GYTITKLLKPEFRHKVSEALYGHLRNYNYVMSFNCGSWSYEHDAVDWRNWCINPAVNGEFFSVAFERIKT -FGPMTVIRASKLHRAERITQMTPSVYTDYVEMYDISKFSSKILTMCTSYHPTQMDVLNRKHVVIKQFIQK -NCRKIFLPKELHTKVLQHAMVREDVNFRRQMTGTMLKSLTTRITVTNREIQKGYNLDAETFHIACINMYV -EACIYRQIETKVIGSLIDFVKGENDDVIKRIVATFKVFADELRSLFGQSQEYAFFTKPTKNLIEVIKSKA -VEMHVRTVNLKDHFGDTEAKIYQEWEGYTSQGNCAQETVSLLKYGTKKFSSMCCQDDLYYIPDQKYVISG -SHVKPILNSKDFCLHSIARNQTSPLILMSETDNLLALSFDFINSRPSRPEAKINLSKLYDVAFSSKRFYQ -NYKFMLDLDQKIVHVSDEDGCYAKNAICPSDMYNPIFQMCVVNNVNVLDSFSVNCVDCLNVGKHFIICND -LKASLDNNQLCEAEFQHITNLYKNGKKFIYRLSKFRSNLVYCPRQLFNVQYYIALNLNFFSIGNNDFVTN -YAVDSKDKNLVFNLQKQLDLFNDYQKSAKVNWKDHILTLKEPTETADEFNVEETIEDVINGFNRINLDNK -YYCRKYKDSNQSNLVDKKVSKRKDMQILDKVRRVELDEINVPESPENFYDVKSTEELMDLLGPDMFSTAK -DKMFCIKYIDDKPRAYKFKYEEVGLDLLNGVQKDHVIIFDKNTKSTKVNLNLDNQIVLRDSNNMKDYAQE -LIDSIHINGYTYIVPKGLGSSSGFRWFDILYIVTCAAKSCGAKIKILNTDCDACSDLSVHNSVKPQAVGN -EIVTPVEPLPQVKFGEVKVSIEKDQVEIILKALVTELSQDLKGKFGEINAKAIDMINKIEIGPINKFIKG -ITGVPGSGKSSTVKKLLDRKKVIIITPFKELSQDYKNDGFVAYTFIKAMTLDLSDKIVLLDEVFAMSPGV -FLYYLIVVKELLIIGDPFQMPNVDKDDKIYKGLVTSQLFDFKSFPKLNVSFTVPLDICKILNEHYGYVDM -KTNSKVINSIQVHGYSTGFKEEFYCFTTNVEKLNKLAVCVAKIQGKRTKETHLIIECSAKALIDSIPAQM -VVALSRHSEKIHLYPQSPELITYYKLWPTFNKVHSCILSGPLDRENDGRFFRYDEIRIATDLKSNGTSKM -EKQRKLIAERTGQLTEPFKMEVTYEGTRRIANVQLPEEAIVNMSAHGYYPMDASLPMEEVEELEIIRENI -IVNTRNPSPEEVNRIMNHISYTEGTHSDIHGLQVTEFPEVNAIIDDPSMLIEDKLREGKRLQGDVRGRYC -FAFDQNQTFHTGASRYGSKAPEVSNRKAKEFARRMVSGLMEHVNEIKPITFEDIQLCLAEQITRIKTKNE -VRQMSLYDGDILDSCEKVSGFLKKQVKADLKIESWLRLDEHLEIKAGQGISAQPKAINIIVGATIKAMEK -NLKASFKPYVKFGYGISPEDLDDWLKNIDFSNCKGIAADIDNMDKRRGKETDFYMDIIWKMQGANVALKK -IMDALNIDWPLFLKFLIIFVHRCFQSGRQDTLYSNTLVALGWFFAFYVLIKPRAVLAQGDDVGALAEDVV -LRVKGEYCDFMKIELTDTPTFVGYIMANGLKLDVPRLVCKLVNRTFTSDKDLQEYKVAVKDWLSIIRNQE -EYNENNLIVALHYGITDEEANYLLDFLYSFANTDFVSDFKSKCLNYFNLAKFTLPTKEVIKNLTTYRRTI - ->YP_009337278.1 RdRp [Wenling hepe-like virus 4] -MAGQESDLPGYAREEVCNRLTEKYVDYINGCERNNIPVPFRVTVAEFKLCHKLFAPITFEFDAEKLHRSH -PVFALGKLLADRFLDPFRKGNFIEIGGDFAHVAERPHTCVHWNGRDEARLIKAYHCAHDEPTREILGSAR -FGTPHRKLCLRGSENCTYAASVGVMNNVYDITMKDMYTIFDQHGLEIVHAVMMLPDEVAFGISGTSESGY -SIRENGEDTIMSFECGSFAYQHKTFTWRDWCTVNVYNGEHFSLLIERVRNFGPMTQLIITRVHSSVKVHS -VISSKFSSYYKVYDFLNASDKVLNECRKFRLKEDQQKLKNFMDAEIGYILIPPEVHAKVIQHAAIREDLN -FRRQQVAAILKSVTSCVTIASQELQRGFNMTGRSFCSVVVALYLEACVYRQGQTKTIGKCIEFLKGESLL -ERVVNYLKQEVKEVVTGKKHDFLDITADRLALCLECAEMGSLLNTGEVSFRNITAQRTELEDTWCPRVEE -GDCLRETLDYIKYGKVMPDSPYKNLPSINCVKLTDKEQLMVRGDEFHVQPELNLREYCKHSVGRKLVPVS -SQVFISDVGKSLESEYKGYPWRKFVGNKTAKKLDAAANLLCEYQQTGFFFKKQQLSKGLYVACSSPGNDL -PDSRVTGVNVTKALGDSKAVKFCLERQILTHDDLNLLCDKCVSSMPDRIYADYGIEKDQYLAYNQYQVLL -NLLNSGKFFVMKIQDIIGSISNNLHAIRDLIGLIHENFLGVYNVHHASEIFVTNVKGTCSVRPHLLDKLF -ASVGLFSEESLFAELLKVGGRNVESDIDVMEQEEEEDDEDDWGLRQLFSEEEVRQCDACDQLANSALGNV -REVNGMLTGDVGDVKMHEFSKTRIQIPQNMVDCSLKILNVELRDSEPAFRQINQKARECVSVLDPVDVDR -EIFGLVGVPGCGKTTTVIANINDGKLNGRVLVVTPYSRLTKEYRDKGVNSETFVSAFSKVGSYDSIVLDE -VFAMSPGVLIYYLMSAKNIYLVGDPRQMANVDKARLYSGIAVKDILPWHDFTMNNTSFTVPLDIVSILNK -KYGYNMKTYSRVVNSINFCYDLPKDQEMYCFTSAFERRSKSYRCVAKIQGERKREAHLMIESGAKPLIER -VHGQFVVAISRHSETLHVRSQVPAILHHLFGDTRFKHTCRTRGPLDHFHKDIARRFASVCVRENTVLNAD -KFVNERGTFNQNFSTKVEGETDESLVERRIEIAVPEKVLVTLDAHVKVPVDSYLPPDEIISDLQRYYDPV -IPQRHQMCNAIESVELAEEVMNKISPTLNQHEQFHGVHVQDFGPMQAKIKQPDEIMLDSERTVKRLGAPL -RGRPTFHSDFNQTLHCAQTRYCSAPDKKQITAKEMFQSFYDNLEEVHCVTDDDIALAFAEQVVKIREKGE -FVQSEMFDMDDYQNSTQIKFFLKQQVKADLKEESWLRYNKDTTKAGQGISAQPKIVNILCGAYVRALEKN -VKKSLKKGNLFGYGQSPSELAVLIRKFKNPSHCGFECDVSEMDRQRDRVTDKYMDQIYELFDVPNKVVKF -MSCLNDKWILDTKGLKVLVKQHFQSGRADTLLSNTLVSMGWFLTCFKVKDFSLALWQGDDVAIFAKKIVQ -SKKAPFLKVTRCPLPTFVGYIVVDGLTLDTPRAVCKLLNRTFNSDKDLEEYRLAVGEWLSILSDSDDYYK -NALYVAKCYERFGVTEEDCNVLLSLLCDFAEGKLVGSIKDARLITNLTTSFLLKINNG - ->YP_009333466.1 RdRp [Beihai sea slater virus 4] -MNKVKFADQTHNEVFNHHNQMYLNEFYNSLSKNTFEINYPVTYEELKALNQVFAPLTIHVNVDSFKPHRS -HALFAIGMAIGDRILSSHNKEGNIGIGPSFDDIDKYKHACYMIDARDESRITKTILAGNKSQTLQTLRNG -KDTIHACAHGAQNCDFPAKTATMNNVYDMSPQDILKTFESHGLLALDMFLMLPPEITYGVWNVYNEYGYK -VVEHENSISMVFKCNSLGYIHNKQTWKALCTVNGLVGNTFNLIFERVRSVGPFFHVKVSRVKLAVDLMVH -LNCKYNKFVEVFDLTKRTKDILAACRKYAVSETQALSNIRRILRREKRILVPDTIWNKVVQQAVARPDEN -FTRGNIALHMKAVTSKIVISGDELQEGYELSAEDFHIFLVAAYMEAAVLRQKETKAIGMLNNFLKGETEW -QRFLSNVEHFFTKCDPGYNDSLFPDFPTRRLADLLELHNMVILVRTDHLTPFEYEHVFEASEKLPTWKGY -TSDGNCLEESVNTIVYGHTDYALKTSSTDEIISTSIMNNVDICNGHARPIMDHTYCRHGISKRAINIGFG -FKPDPDFVSKYERWSADPVRDIDIKHPNVSKLQLAVRALDPTWDSHMRAFKNLLKHRRTCAKVDVVCANP -GNDLHTVPVRTVNFTDYPDVYVRDRAWSNDTDFVIDRNILCSECIKNLDNIYADFGLEPDDELCMVQLRA -LQILINGDKSFVLKLQRFGTSLASGLRGIPEICRLIKNYQLRAYNSDNPNEVFIHNTPAMSKPDLFNQTF -MDFDKSVSYAAGFYEALTSREIQQDYLDRITAELNESPSWDDADLKPSTTFSGDLIMTEVGETKKETTEK -VDKENDKAKDCKWIKELTVYDNGDAMTIGPLDDEASTTIIVDSIDNVPKVIDTISKTDYYNRYAVVDKLE -KIMKTYHNQTVSDAPVPVGTLRDYPPMVQLDSDDDSDDELDDEVINLKARINRLLEGVEKAKETVDDSIC -AEDSASNIDPKSRPMILPLVTEEQTMEYAKAALDEATKKCHDSNYVAIKPDFSYQLKAIESKPLTKVLED -CVIDIDLPDFDNKVPDIVVESPSSSSSATDVSDLRDPELEKFLYGDKDNKSLTSSMASMLRPPITDNLHE -LGEKVAQIGKKIIEKENAEKANAEKKSTERVKAISKLQSVKPKVEEPKENEQQTKTYSAMVKRPKPITEK -QCAKISAIVNQKEKVKEKPVKQIEEKETKAIPVPPPPPKEVELKATTSIVSTPTPERKFVREKKQESKIA -DCVDSPITKTTEYKTSKPTLYMILDFEATCEYKSVLATQEIIEFPCIAYDPVRKEVLSRFRKYVRPQINP -KLTDFCMHLTKIQQETIDKADTFKHVYAAFLGWLDNFPDFELLFVTCGDWDLNTMLPNQCKIAHVPIGRT -YRWINLKTIMKEYTRFRADSLTKMLEFYKLPFVGQQHCGLDDCENILTVLKKLLSYGPFDHLAITGRLSF -KERVLQSVNKVSSVASSSLHMKNKTNVATTSNLSTSTENKVSKSETKVGNKPSSTVLSNVSSNKPKVQQT -PLRPKTVNRVTGTKKTDERSKKKLRKNAMLVQLWHVRERKMVLTSPQDVEHEEDQMVKLGFYLQLSEDLQ -RYVRKPDVWNEAMKLVVNCPELKPNTDKLYHPYKSDDKYTRVDLFSSDELPTNGCLERHLVGRDDYSRLC -AILCKERGVRVVTCACKLCDMLVYSHRQEIHVQGEKLDGDLREIPEVRSGRVSFSIDIKKQKEMLMAAKI -ELNAATDKYSELNHKASKVIEVLLKKPDLRTEFTVKGVTGCPGSGKSTWARKYLHRGYTFVCPYQRLANE -YKDHGRRAITFVAGILRDIDYACLDEAYAFPPGLFLVYLHLCKEVTVIGDPRQMTNVDEHRLYRGTTVSQ -LFDWKQLPELKVSFTMPLDITYILNQHFGYNITTTSNVLRSIYSTSLGNIVDKKHFCFLKNTDLACTKAT -TVAKIQGERTENTYLLIEPTANTLLKEASGQLVVALSRHSKKLYFNDGSYYFKTYITGQPAWYQHTCEIK -GMEKFHIQGQQYTAHASRFFSKVKSFGKDLKKDIWSTKYGGYAGRVKTKRHTGNSTGAAKVIHAYIPDET -RINLESFGAQPMDADLVIEERVVDHFDQDPDYVPHFDDGYDFAVANDIINKVAPTLNQQEAFHGVVNHEF -DFKSKTKITNPEGLALHVDRKVRRFPVPQRGQPMYMDDAGQTAHCYERRYATPRTRKDQKDEGKQMYAAL -RQHFEKIEKVTVDEVAVSLAEYLERVNEKNEVQQSHLIDAEDPATYIFIDGFLKQQNKALVTEEGWIRYK -DGNFKAGQGISAQKKAVNLICSAYFRALMAKVPTCFKEYLCLANGLSETELDDFIAGKFVPDFENLESDI -AEFDSTHGPQYEAFWMALLKDFGVLNFATHLVSVLNRAWPIQYRVRVVDIITIIIGLCREKMQSGRGDTI -AKNTFIAMAKLL - ->APG77551.1 RdRp [Beihai hepe-like virus 2] -MADIFREEILEVERNWIKNQTTQRTLASIPLSFNITPDEVVQAHELYGVYVEPNFTAPLRNHGVVAVGNH -LCELRMENKVQNVDKYIEIGPNSSKLENIPENAHYCLKLDGRDQARILKSPYSKKEVLAGGGNTPKICTN -GAESCHVKSKIAIANSSIYDISAQQMLEIFENHHLQILHASIISPQYFHDGIEGKDPSGLFYIKEWGKKL -IMNFPGSEHGYIHEKSNWKTWCVSSVIKGSKFDLLIERHSKVGIYDIISITRTTLGATIPTLHVPNSEYV -TIFDITSLNARDIEDIARNPHSKYSQLAISKMKKIRILKSTHSKVLSNALTREDTHFRRQQIVSNLKAYM -SSIVISTTVVNKGQDFTSDEFAALGINLYLEACILRQISTKYIAKVIDEMKVDMENIGIFKQFKIWLDHL -LFSGDKIVKTSVENFRAVLTAKQAELQWRISALNVHREVYAHDDQVVEWQPYVSEGKCLQESLAMMINGD -TAYAYKYEDMQINQARNAYPDLFVIEDVVPGKITIANNHAQPTISDLTHCEHARAFTRGARSGSSYISDT -TEYDKWEKSRWTRDVHRHPTEHKAQLAQYLLGKRVFIACCPPGHDLNVWNSQSIYNQMAIYDSSYVKLFA -GRKTYNKNVTCFDCINKYKNVYADLGHSGTPEEIVSTTFSALKTLQDKNFVLKMQKGWYCLTHRVAGNLA -LRDELKKYNIYYFKENPNELFISNQNIHDHHWALRNLVRKIPDILTFKLPAVKYEPKELTTPVPSAPPEP -IEIVLDIHCQDHIIKAHTTYKCGKLTIGNKTNTDIFIYHSTYKYKSGLSFYKGVSIYNGYSSPVYLEVEF -IQAYTDTLYNIQPNTTNTNAGITVKNISNNIICQYHGPSTSEYIQPGIHKHDTHTYTNPGEAEQGHCSSD -CYHHHLCYKAHKLQPKQTTQCGAMTFTNTRKKSVYIRHGKGMAIPGYNTRSVKGVIFQNSKCAIRMNIAQ -VDKKKYYNNEYSKLNYSAGLVEKERVLFGKQKHQQAKDLNTPLHKAISNILQTPVPSPPIQNSPVATNLS -TPNYTPPSSIHSSTVSQTPSNISGSSTPTSDSDQSQAIALSPKLPAGMDVTDLTPVPTDFVDLNDVVDHK -FTYLYLDFEATCDDKFIVQEIIEFPVIGYQDQKEVFRFHAYVKPKRSRVTPYCTNLTGITQQKVDQCEEF -IVVYDAFLEWFKQHVKGDFLFITCGDWDLNKMLPSQLIYYKRSIDPIFRKYKNLKHIFRDQFKFKKTVDM -MQMLQYLNIAHYGVHHSGIDDCVNIAAIHNKLQSFDQVMYGNFFKENVVVLKHGSPNIKKVVQHDNNTAL -FHLYANRWTDVMKLIPQGSNLTRQSVQMAIRNYLGCIPPFIDIPIKTYTRPCEACSVLTFFDCDYPFQDG -NIACGELKGYKIPPPGEQINEFSTEFVSKMLTSLKRELKQDTSPQYAELNNNAYHEIETLPRCGFKKKIS -GWIGCPGSGKSTTVRQHFKPDEVLIITPYKRLAKDYRESKEAKYQAVTFIKALTIDLSDRHVVLDEVFAM -SPGIVIAFLIKAQKVTIIGDPRQMAHVDEHGIYGGLATKDVLQYDQLSELNISFTLPLDITMWLSKLGYD -KIKTRNRVISSIHRCNTFAAKDEVLCFTTRMESMHPDYTTVAKVQGMRADQIRLLIESNAHALIKNAHGQ -LVVAISRHSKQLKLHAHNETLKMCKMPILPDKHTCDVYGVAQFDKDYLTGSEYYFMPKLDTAMLKQRAPN -EQIKATTKKELQREQKAAELSIEACGVQVKRITSEESEEKVNVTTIPHNLQSAMSLAGIHPFDVSTGVEK -NEEIVVQRDFDVDHYDPSVDEINDIMQKISPNTNLDNDLGGVYMHKLPKPDHKLVISGNAELVTPQDRKV -RKLRMPLRGRPFYADSDLQQLHTMIERCGKDRRYFTRSRAKKEGKKLWKNFREKILTEPLEQVTEEQISL -SIGEMLNKAHQKGELVQFTSYDPGIYQDSRKINCFLKQQIKADPSAQSYLRMSEKRHGDVRAKGGQGISA -QPTIVNLMIGGAVRAVLKNIFKALPAHILIGFGASKHKINDLIEKILKDIKNYNGAEVDIAQFDEQRSDW -TDEYMDRVYYAGGLNKTLLAFMINLNLGWILDARVLKMWVKGHFQSGRCDTLGSNTLVALAFLATYFEWE -DLALILAQGDDLAIIAKKIKKVTDLPFFKVVHTKFPTFTGSILAGKLLPDIPRLIIKLGNRPFANEKDLQ -DYREGVMQWLETMVTDVDHLVTIRAVACRYGITEDEASMLTSFLLAFAKRRIVKSFNDPMLQTVIQHPIE -YAA ->APG77587.1 hypothetical protein, partial [Beihai hepe-like virus 1] -RIWCDHLAIMANQPLELLQTVPRIYAARSTELATQHYGARVDNCLRAAIQLGFCVTTPQLTMLNNIFKPL -MLTDSGARRDVLHTVTHPVVKTAQLLAAPVLESKRTPATIEIGPNLKDDLPPGHSCFLHSARDVARAQLN -HENADYVEGLNSRFCTLGVEECTHPAETLLGNMVFDIDNPAKALESHGANNMYLAILEFPGLLFEFDSHM -PEFGIALHFYKKDDVDMVAMDFDDGAFSYHHKLETWQNLLYCPAFPGENCAVIAETSHKIGCLTVRRFQR -TYGCGVFSRVVRPPPGMTRIPRISKIVNQLALASYLPAFDRKRQLDAMHETCDAFYVPTELISGVVATAH -IRLDKVFNRQAIASAIYLKSTKVTIAGHVIQMGHSVRREDIGDLLIYVYLACCADRQKVSKTIGFVINEW -NSSARFLPPAELMWRVFSEMLFGGGEFNFLHYHSGILAEFFLHPYDPCKRLDMAINTPAPRQLDVGHEST -WDAAPPPGPCLSGCLSILHHQGECGNELRVDFPPNAFRNLSDSDRAAILYEDNHANVRLNHDTHCRHSVG -FAHGPCAYQESSRLHHDTLFTDPSVWAVPDESQAELATPAGVEVSTRGCRVFEPTTCDKVLFAFDALASV -AMPTDAHETTIDSVTSFLFKHTSHPSTNTAGVTARMAQAAASYIMGLSKTSTGASIPNLGQRRTRLQNPN -VLVEMVSEILRTQYVVLNLCAGPGGDFVMSELPNWVNNRTPLSNRAHPSNLLYRRNACCAKCLRESKEII -EKAFPGSSPIVYADLGSDAHGSLHATQARALSTIRQTFNYAVVKLQRGKAPFMRSSPAAAKLGTELQNWT -LVRAPWKTDELWAVYYGKPRGKVAVLEPECPSSSFAPSTSTPPDPEPTVTSSTSHQLGVPGVPGTGMLGP -IIDSQQDSRPGSPIASDEGSVLSLRPLFASEQSITDIVPDEPINETAPDPSPPRTPTPEPTANVWDVDEQ -RWVTFASDALPVELVRSDGSPRPILFDYSHRPSNCTLEEAVTGPPKDCVLPHTMSIEEAKHAISWCNRHD -VVPLVRPLACRVCDADLADTLAADAPLPAPEPNALRFIEEDEAIEMHSELLQSLQELASSSTHKRAIKKL -TSTTLRRGEANVFTVFGTAGSAKSHAVKQNLEPRNTIVITPTNALRDDFISANFTSTYVVAAYLGSPRSV -QNIVIDEAPLVHPAVWYFCSTRCTNLYLLGDLDQIPYGGGEIESRSPREFLAKEPRINYVSRSVLRDVAA -HIRAVLQIPIVTTSPSNGLCTFEKGGPKDAHCFTTANAAIKKVRTVAAIQGTRPEVFDFEYDPGCGGLLT -NPAFEFVALTRATKQVNVYSQTSGELAGRGYRPFQHSCNLHGLTIGFNANKTYQFHAAIIKEIPSGQSSS -SVQLHTADDFLPGVVRNDPIVPDFGLDQATFQRFEPDESIQKYAAEPAQPPKAPTIKGAYIDIDGLKGVT -LAVPAPIIQTVNAFGLSVTDVVSPPLLTSENLPIAEEAAYEASVGSPTAPEISDIMCELEPTLVEQALCF -DIAIPTDYPTPINTVSVRDNITPNRYNGHVRAFFKKRRRLAGLPIMGRPFRMDDGGHNLTTLMGRFGSQP -LTLTRKESAQLTAVCFEGFRKAIRPDANLAITDEDFSIAFCEYLKAASLRTGRKLDQVDMPLLDFLPANE -VTCFVKQQTKGDTKPYPAYRANWGSEGWLVKAGQMISAQPKYANDITAGIIRAVTKTIVAALQPWVIWNP -GLSTEELHQRVLLISEDNIPGFDIDASQSDNLRGRWSDELMKRIYTACGITYGQIKMLNLLNSSWDVRVA -RSYSTRVDESNQCFQSGRSDTLFSNTIVWLSVALGCFEFEGLNFIATQGDDIAGRAWKVKYVGPEKLSPH -LKVEKCDHPAFVGNILYQGVSLDMPRRLAKLGTRDFIDDKDIQSYQRSCFDLAHAAPNIMARSITANAER -YQIHTEKAKALVDYFYRFATKSLELVKHEMSTMFYDELLLPEASAASIACASLAETAPSLLTTKVVRGRT -IQYSFKGANYIFLKSVLPDANFSPAGVVAEIALLSLSEEVVKKAPFTSVGTVVGMFGCAGGVESSAITAV -ALWGMTKLRHAYQAARNCITPPSPNPAQAATFGLVEWALRALTGGPMAAAAGLPALALHCNTATSVGPWF -RHFTFNLSAFKGGRFAQLQAFLQYHTFFTGESPIYDMVADDPLSWTPIKIGLCYLAWRFQAQIDELAFTA -TDVLSSTVIQNIGLIARDIMSPEPQPQAAASVASIATPLSTEGSNLVSGILQCLSKMSQNIVVSLGGATS -RIFGLSGVTTTQPTVVEIQSTQAVALAAAMGGGADLTELTARVKALEERTNTVETEAAALDNRVASLELG -SIDDQADELIDYGDKVAYLYAQRNLVWDRWVSIVSAGSVQHMSSPYDFSAVLCGNKMTTNTCPNFYALGL -PALFEHLSPVNRRTLEHNFGDGAYGYWGGSQKREGSYTLFKGDVFTDVGNPVTPPTG diff --git a/seq/clusters_seq/cluster_806 b/seq/clusters_seq/cluster_806 deleted file mode 100644 index 3c28636..0000000 --- a/seq/clusters_seq/cluster_806 +++ /dev/null @@ -1,70 +0,0 @@ ->YP_009336872.1 hypothetical protein 3 [Hubei toti-like virus 16] -MDFRVDPADLPILTSSTTRDQASAILRANSEIFQSLVPDAAPILDIVRESGRRLAGSQRRQHSDPRWGDQ -QHDKLFKLSGVKRLTKLAGQPFVFACCFSLLVQVSNVSVRRILLAFVRCLSELNVDLHHVNVDDFRAIMK -DQTVVVKHLNSRLGLGSDWVWFAEWDQFCGFNASGKQSRDSILAKINDWCTGPIARMAPGLDAEARRMVE -DYYVVYRIEARRLLSFLTRSEGVCPPYEEWCLATDQWVTSGSSRGVRIPISDVVTENVVKSKNSKAVFAN -SLSSKNIGAMMRGELKPEGYSVAEKVEPGQKGRLIISAGLQQQLRMCYIDSVIGKNFKKLNNKTTLFMNA -DSIKDFYDKMIGLCIDPTNIHLPLDVSGFDTSVARLEVEIIFEEMYRALEHSPLVNKQLVLDMLRLSMVG -WFGENVLLDGDVVATWERGIPSGVKWTAKIGTLIGVLRFQVCKVQLARDRRFGPPVVVSECFQGDDISIV -LKRLVDVVRIIQWYNNVNVDVSATKNFISNDYDEFLRKVFFKGGMTGYPARRMVKLIFRDPLKTGPANIL -DSIRSNVTSIWSLIWRGADKEKIIELIKYGVKKQLSKAKWIGEPMSANDIDRWLTTATCLGGLGLDCANG -VNRIRPCVIEIVYDDISPGDRYRVGLAGINKDRVAKVAAVTNFGGEFTSEIKSVSMGLAPEPPRVIYHLK -KVFPVLNLVRVNLKTVSIFNRSRRIWKEWLPREDLLHLPYLDVVNVACSDGDIDRLRSLSHDSVLSLFDT -ILARCHVSVLYHWIKNDLPSVSLSSSSCNDLVCSVFCDRVKSQLLSSLLSSRKNITYTHIINGLVLSSEL -VYSGIMSAKRAKILYFYGS - ->YP_009336713.1 hypothetical protein 2 [Beihai toti-like virus 4] -MAENLKDIKVLYPDYEMTESMWLDIVNIKLDKNDFLNDLTEDSNVPGISYFSSYHVNRIRQMPPMYFEQE -FKNYPLTLKFCFSLLLQLHPVYRDIIISVLYAVNILPNCLTVTKYIEVCKKLTSWAKKCNSLASRLINNK -FNCNVEDKFWMILVDWDIFSGYNFKYNESKIIDSIKEWGSGDIYKGNNEVSSDKYYKLMEKYVDKLLEFG -TLEKKNILSLRDWLSDPTKWVTAGSSNGVRVDIFSYDKNRNVKSAATKSVFSVKYDVDDLYKILMTNTKP -QDSRVNIKLEAGQKNRIIVSSGWVNQLRMAYISSWLEKSLKNVPWTTLFSTNQDAWTRNVRKCSHIKSGS -IMFPLDAKNFDQNVSKGEIDVCFNSIRKIINKSLAGEKRFDCLKLIDIIQNQFWNTFVVYKNMRMLWEHG -MPSGIRWTAFLDTLINAARVCIINDILSKGSTIPIKEYTAQGDDDDLEVSKWDDILNIFNTYNSLGIVLA -PQKNFVSTKMTEFLRKVYFSDLIMGYITRKMVNVCFVNPEKNLVNYRDVDLHTIRDIWLELMQRGGDFEY -CESKLIEHIALVLFNKLGKIEGYSKLTDRHLAIAKDVLSTPASLGGLGCLWFGTRTWRVLQEKRSERHYQ -LRGPLGVWTKVLSATNSVTGNVRRENEAVIRDKIVQTLIPKHERVPRIIECELLEIPFSGLIQVPEITPS -LQRTLPVWRMRDDIGPVDVNCVLNACKENRDWGLLRSITSESVLGTFDNMLSSKHKRELLITWVMDDWTV -NAPSHRVWGKTALSAMFVGWKNYCINRWYEVNNKGRDYYTKLCVSSEYNFYKYVNTHEHPVVMRF - ->YP_009333402.1 hypothetical protein 3 [Beihai sea slater virus 3] -MSYIDGFVDFKLDEITNFPICGLAGGTEFAFSTLKNVSPIFNEVVPDPITFDCLFRKDILRPISKTLNTK -ENNKLWSNRIHYKLNITSWLFPHIPAEFHDFPVVPKLCFTMLIYLRPDFKDKLFRLLKHSKLNHLSDFED -FRRWAKELTLIAKKNATVFDPDWMLFVDYDQFLGYAVQSQMSENEEIEKIVKWLGGDVNRGSTAEDQTRY -YNDYKYVAEKFVKYFIREPPTKSLRNWILNTKDWVTSGSSRGVTTEVFDTVTSKTSKSRKVKNAIACNIT -AKELYDRLTLKINTDDNYKFAEKIEPGQKHRGIISASFEQNVRLSFVSTWVEENLKRNSRHGLTMFYDDK -SILDMKIGLCRDFSLGGICLPLDASGFDENVSRGEVEIVFDAMLAQLRNLAAEAETERDKRIIFDYFNIL -KIAKKRFYDVPVLTSLDNFVVNAEHGIPSGSRWTGLLDSIINKLRFEVCQLQLNLKVGLKFDVMSQHFQG -DDMQLRLAKWVDVIRILNFYNHYNLKINPQKNFASIKHDEYLRKVYTNTEQRGYLTRRLCKLCFRDPLKN -ESLDGAERCRERVRGFISLHGRSANYTRCEQAAKEDVEYVLTKGFNTIIREDDIDNWFGTPVTCGGMGLE -FTGKKKWINLVSNNIFDKRFVKKPTGVHADRIAMLRKRVTVNIIPNTFSVELGDSLVDLKTATNNKKLTT -RFAYIDAPGKDITLALARDFNLEESFSNRWFEWRARPDLIYLPLMDLIDVCFTDLDLTLLITDPNIHEIT -ALYFDKMDKFVFRDWMKNKLKFATPTLCWVDDTLLSTVTARVKHYYLSKLIISKNQIYKRDIVKVSGTIE -QVAYYTLLNIIRKSPISMPSLFA - ->APG75979.1 hypothetical protein, partial [Wenzhou toti-like virus 2] -SVLDIEQFNELKLYIEKSGFNLPTNLKVFVDNAKKLTTLAKKFGERFSKNWKLYVDWNLMAGYNVNSEER -DFSKDLFEWGIQSTPRELKNWSDSRYYEEMYNATYNLLTTSKQFKPQDLTLREWLQNPDNWITSGSSQGI -KVLINYNKDSNYSNDKSKSINSKGNKTAFALSSNINEVINILKLEHFEEENKPATKVELGKKNRVIIASG -IYNQLRMGYVWSWMKTGLNNKVNWSTIFMDNETQFKFFNDLKAMCNCTKVHLPLDAPEFDKRVDTNLELK -PVFSAFHELVKQFCVGIGREDTLEMSKKMESNYFSAFITINGKKVQWKEGWPSGVKLTAAVDTIINCSRT -ILFKKHLAEEQGLYVRMKSVGTGDDILAIFDKIYESGQFYKEMKESGVGAHKQKQFLDCNRTEFLRKVIT -KEDVSGYLPRRMSGILFRDPTTKERFYGEERMREILASWHICTTRNGNWAKIKSYIIEDLWKYLNSNSSK -NYSKEDILDWLHTPATLDGGGYCDGTTVNSLLGIKQETGTINFKYKGNLGMFDDKIEKTENVIGKKSKFR -EKIIKSLVPTDQPMFESYSIELKPKHLPKFKHQLIPSDFITMESKIRSIPTWSIIEDYQHLEVEDIVQWA -IDEGFETLISITREDKIDITRQLYRSMERKLFYNWIRGKLSFKRNIILGWSTSASSVIFSKFEKLYLRQL -LDLKRQSMGDFYQMNLLCEMSVSNWCAENSRFRIGS ->APG75982.1 hypothetical protein 2 [Beihai toti-like virus 5] -MVDKVVASKELRQLINLRRTDVRLRDRSFNEQAINMLADRFTIVRDVSGIALPSFKEYLAAQKQLPPATG -SSDDDWNDSMHEKVRMMRWFPRNRTVPCSILLDTCISLFVSVCSDVVRNDILSVLSAWGLFRNRSVSKGA -FQDRCKELTGIAKKGGVRFHVRWSDFVDWDQFLGYTSVRSAPEGLVASTVDEWCVGDVVRTGTDDPDGEK -YRTIYQQRAGAISDAVAKDLSTRSPIPLNAWILNTAGWVTSGSSKGVKAEVGYVDTHTGTVTDGGKSRSV -KTAISQSMGLSEVRQLITKDAKDGEYSAALKIEPGGKYRLIISAPLQQQLRQGYVNSHVERGIAKACPWA -SMFYSEGRREKAEADMSVMSKRRAGLCHLPADASKFDRRVSKFEIVTVIDAIVEGCRQSGWSGPAYDEFM -KICGTLTPDWFSKFHADGIEYMWEHGVPSGVRWTSMMDSVVNGLRFETCLTDLRDNYGVDVGVAEFMAQG -DDMALVTDLTIDAMIILAWYLHYGFEIHPLKNQVSFRHDEFLRVIYDDGEVTGYLARRIVKLLYHDPIKA -PVPNSLARVQERVKSLIDLVNRGADKERVLALATRQARESLAALGVQLDESEAGQYLHTPTPAGGCGVSP -ASHKMRGFEYRGRERFKPYAAPKGINAARFEAARARSGVEAAPELLSQQLGAALQGGDQVMAVARLNKRK -VVQKPLAYLGKRPAPVNSATRKHGLPNWRAHPDLLGLPLEDIIRTAARRGDYNTLRELTDPALWHVFNIV -IEKFDRGALILWLLDEWPGVAIIVPGESAVQFAKRSRVASAYVRNACLSRGHVTRRLIDELSVYACLLFQ -PVRL diff --git a/seq/clusters_seq/cluster_807 b/seq/clusters_seq/cluster_807 deleted file mode 100644 index 0972dbb..0000000 --- a/seq/clusters_seq/cluster_807 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009552713.1 coat protein [Lettuce chordovirus 1] -MGPLCQTRILKYMRKRSLTSLNRKVNILRYDPERDRMSQLADYNRRCKVLVARAILKQLLSTQSSDIVKK -LDKININNDGNLATDNKCALALFSYYLDNVVLNLAILGTSELTEFSETAFDLPVLKIKDGEIEEMYQMTM -NISSVVDEIQSMKFGATEEDLSSATLRRVMSPFGSRAHQMLLKSKMSTKLFEKFPHVCRKAPQVAFDFNV -QLSGRNLSQAEKKVKENLGRIIFKREIARAEYSSKEVDSGVVEF - ->YP_009666023.1 coat protein [Phlomis mottle virus] -MEHIVNFSSKDQEEQARRGAVITRFNREMGPLNKDSREGEEKGKDFTDEEAGVILQYIFGNIALYGTSIN -TVFLPTKIICKRYVDEPTSKTLITFKLDTVAAMIRSVSDESTDPLVDGSTFRQLCTPFAVEARRFLVHME -RVGVFTNLYVNHPVKCADAPQVAYDFNSGLHFRGLTKAERKVVEELNKIVNIAGRSDRLLELAASRVESG -I - ->YP_004732980.2 coat protein [Grapevine Pinot gris virus] -MSIRQELRSTVRRELIAKLSEANQVLHGLTEGNKDLVLDHIFANIAVEGTSGETIYPTTMVKCYESFNPS -LPVVKEYSLAEVVNKIRVYKESHTNNDIKLMTFRQVCAAFAIDAQLGLVKFYRLNMHTNIYKKHPKLCDK -APEVAFDFNEGLNFNNLTPNQKSVIQNLNRLLFHVESEKQKTNAITASGESAFSM - ->YP_009051686.1 coat protein [Prunus virus T] -MGLTKKERSEMRREVDALLITKFKPQVKQGQQFSDAAQAFLKEMIFGNIALKGASEQTEFEDQEVSSGWF -VPGLGGRHGQMDPEKEFPGEENKALRDGAYRFKVNFFSLVQSLIALLRGSNNVFVNNKPFRRLCVAYASE -AKAYLEAKKVDGEFSNLVLKMPATCKHAPEVCFDFNEGLDVLRLTDVQAQTMQRLSRRLFATELKKRESE -RSVADHIGDQV - ->YP_004293218.1 coat protein [Grapevine berry inner necrosis virus] -MSIRQELRDLVRVELIKKLSEDKQALHGLNESNQSTVLDHIFGNIAIEGTSEETVYPQSLTKCHEGFDPT -MPVIKEYSLSNVVNKIRIYKESHKNEDIKSMTLRQICASFAIDAKLGLQKFGRYGVHSNMFKKHPSICEK -APEMAFDFNDGLNFNLLTKQQKKVIQNLNQLLFRVESEKQKTNAITSSSEGAFTM - diff --git a/seq/clusters_seq/cluster_808 b/seq/clusters_seq/cluster_808 deleted file mode 100644 index 2423d75..0000000 --- a/seq/clusters_seq/cluster_808 +++ /dev/null @@ -1,81 +0,0 @@ ->YP_009552002.1 RNA-dependent RNA polymerase [Blechomonas maslovi narnavirus 1] -MAFGREVAAAFSGLSSPESVRCLFDSCRVVYSALSALTASCSSRCPRKFNPGLDCYHEPRVPSLLSFRRL -RSLEIRLIRLLLSWSPVSIGFVDAPGQPSYPHLLTKRIKSQCEAVLRKRVFQDFGSAPEHQRLLLSVVDA -IPSSLLRASRLETEWCLLSLPRSLPPSPAPSYVEENLMVERLASRGFPDRSEQVASDLRNFSKDFSLARA -RPLPPFELPMNTSATLNFPRDKGGFLSAISEKLRHVDVDAPEILSVIHSDDLSRVARSYQLLADAVCSIR -QKLDSGLVFPLGRTEIVPDKGPKSRGVTPISEDFLVCAHYLRSRLFPLLLEEPSVSRSLSEKRSDHAIEL -IRSMRDTDVILSADLSVATDRIPFWVAQELLQGTLSGLREAGVAVADSFDDILLSLLGPYELRTTYQPDP -SFVTKGGILMGVPCSWFFLNLYNLYCVSVASREVPDGLSGLMVNGDDLLATASPDWIVEYERTVTLLGGV -FSVGKHFCHPSLWTFSGLYGVGSFVLPPLAWLSLKTAPRPQASLADANVPVWKRIGCTVEATYQCSIRNY -PRLSSVVLDLSYFGLLGIGNWARDMNLPLRLPRELGGMGLRCRNGRWWDLTTCEVGALCDMCVDGIEQDS -IPRGLVSLSSAINSTRTPWSSLVEPLEAQGHSYEIVNSLSLTSLDSPDILDVPLCEVSSRVLAVQSVDLM -FTIGPDFEFLESQKHSYRVNGYRSLYWREISRLSGCPHGERGCRRRRVPLTEFFRESVAAILECFKVRRV -TSDLCCPAAFLGILPGGVDKQWAFLVDQYRRRGDRELVRYLDRKKFLESVRDGTVTPRPGELHGKKLREA -EEALASRHRRERAKIREGIRGINEEKVFKESVPTLVDEALLEGFGLSTGEYGETLRFYRACPG - ->YP_009337775.1 RNA-dependent RNA polymerase [Hubei narna-like virus 21] -MGREETVKRVKQYAEYCRARSIDARQAEAGPPRGFPFHALEGGSFKALLRCPVQGPAALAQVARLGRSMP -LPTHKQQGRALIEHCNELTAGPHEEIPVELLDAFYYWTRTWGAGKGAALRDEVTVNFSSAAAESVSRARG -GQREELRLLAAPHFEAIREALAIDDIDFRVEEGDYAGIYSEEDDLEIITQAAIERVRADIRAGRRPDVRA -AVVPELGAKSRIVTAGEAHWVVIGDAIRKCLWPALEAEPRIDLSGRRDVAGTAAHLHDEVAKALTGAEAV -EFYSADLTAATDLMPHDLIQAIWRGLMEGLGVSDDDLMFTAGLALLGPVNLHHPDLDDLDRPGKYAYGVT -HKGCMMGFPLSWYILNLYNLACADLALSAERGRFLAEVAPRLVGAAPAVVRGDDLCSAHTPAEADRYERI -IRATGGRANLSKSYRSRKGFILAERTFLVTTARTKCSAARSRLGTVVVRPGVHPRAPLLTRAPDAFESGA -LGYRSIDPRSRRRGAATVVGLTMLSDVPVRHLMPSPDSEGLPAYVTLPPAASAVVDEIKDIKRREAICYG -ILSVNAPLVRQFNRFKIPIFYPRELGGAGFPHPKGFGSAIRSAGGMGHLRATLAMTTFTRRARQAYQLDR -DPWICDRQVEFERSRAQTGLIAEERRAAESGQLGLATSVPLEDAVAARAAEGQLWAEVMLPQSRELASAR -RGRRGPRLSSVRKGLSDVFNALAKVHAKFDPKFLANPRIDKETWMDRVAMAKGSSTVYRVVRPSGRRVVM -HGIRDTHREIRAEREMLKGGWTFGGQRDLRQNTAAGLEGGEIAVPVPTQRAGATLWDVLSVSCKRARKRK -KGNPRL - ->YP_009333331.1 RNA-dependent RNA polymerase [Zhejiang mosquito virus 3] -MSLPEGVSGAIPPRTPAGSHEPASAQVGRPQPSPKGLARKTNHTQIPPTIVDPKSSPRRAHPPKKARAPG -KATTKPPASVQQKQAQHIWDAFRLSLWVLLPRLQKRGLSTKAVATIEAIKALYGWIGNSVACSGVEFTAK -QVKEYANYCRARALRAQQCEPPRGFPIRAFERGYIHAMLACPGQGRLHLAQLARVGRAMPIGTTKVAIAS -LRKHREVLSQPMDTEPALVEKLRAWAEIWTRERLGAGGRTLTNPAAHFSRSASATVSALKGGQLTELRQL -PAVAEHYALIQELLGDQLDPVTGEPFEWDPYTFDADGGAIGLLTSASEADDLQILADCALRTATEHAADN -TPIPMTATVISELGMKARVVTKPPAWAVVAGDACRKTVWPLLEGDRRIDLSGVRPTAEVLDAFHDNLAHS -LVGARSTQFYSADLTAATDLMPFDVSRAMWNGLCDGLGATATAPLRKLGLYLLGPVQVSYPDLSALPASS -KLYVAGERVECLSERGCMMGLPVSWTVLNLYNLAMADLACTPEGSPVLVNVAPAIARGDDLVAAIPAEEA -TRYEDLIAATGGEANRLKSFRSADAFVLAERTFEVGVLRRPNVELKQRGYVTRRTYRTAPLLAQFDSAEL -HGGTGDTRRLGDAPEVVAIRMACDVPIRSLLGGGPRTVGANPVPDYVSIPPAAAACLAEFEGTRLYRSVA -EGLMSVHRGLVADLRRSAIPLFYPRELGGAGFPHPKGFAAAVASAGELGLKRAGLALTTAGHKAQQKVGL -LSCPWSPKLTDRRAKEARRRLIASDQRAWANSVLARHPDLPRGGSAWTNRAAGELRKALSVPSRGFETVP -RGARVAVALEDAVIREVAAAAAWEDAFLGPGARGWSGVPPPMQEPSRKRSARQKEESLGMYPSLGEVARR -LKTIRSQTQAARFNRTFLPKRAVQERARFFDRLKALRELETVLVPAQAAGARLVVFDPVTHRVSESAGEI -DGGEGRMGFPLWRHTLQERKGKRGAQGQEAAVPVPVATTRSGLSLGDVMTVRRLPRRPRGVRRLPNRSGA -HSRNVR - ->AJT39597.1 RNA-dependent RNA polymerase [Narnaviridae environmental sample] -MLATGVMAKPQRPKVPNRWFLPKPSVGASAKLRKGPVVGTDRIPKSSLSSPRGHVGRKPRKTVKPKPDPL -QGQAQRAWDVWRLALFALMPSLQKKGLDARKAKLAKKPVTAQRATQRAIQVLYKWLASSFVRSGPEWTCK -QIKEYANYCRSRSLGDERTDAPRGFPVKGFEAGFIKACIMDPNAGGTALAQLARVGRAMPMGTTRVAVAA -LRKHRDTLTSTTVVPEGTQVNLRLWAERWTRDRLAAGARAREGTTTSFSRAASASVSAADGGQLAELRQL -PRFVDHRQMLRDLLLEEQGFDLDGDDIFLSATCDEGDDLQLLADCAIETARDRCMEGSPIPMVATTVLEL -GMKARVVTKPPAWAVVAGDACRQSVWPLLESDRRIDLSGARPNTESLDRFHDNLAHSLVGAATPQFFSAD -LTAATDLMPFSVSWSLWDGLCDGLGAAADAPLRLLGRYLLGPVTVGYPTLAAMEEHDRLYQAGEPTEIVS -VRGCMMGLPLSWTLLNLYNLAVADMACSPLGVVQVGVAPAIARGDDLVAAIPPGEADRYEELIALTGGEA -NRLKSFRSATAFVLAERTFRVETQEIPDRVRGLPRGWRWRRVSRFGDPALPPLLTREHQPGLKGQPSRNL -EGAREVVGIRMSVDLPLRALIAGSASFAGGAAVPTYISLPPAATSCLSEFEGTRFYPAVARGLLSVHRNL -VSEMRRSAVPLFYPRELGGGGFPHPGGFGAGLASAGLLGLQRAGLALTSHGWAARKKAALLEDPWMPRRQ -NAQLNRAREQLLASERRAWAQSVLKRGETVASLEAAGLAERAKELKLALATPVPEYERVPRGARVAVPLE -DETIRQAARAGLWEDAFLSPAVDDGGRSKTKYPSLGDIARRLKRIREVTSKCKFDPRFVPNKDRAQRDSF -FEKLRMLRGSETVLVPVDSRPVRVTVWDPARHRADPELDNDDCGYPGRRRLLRALDREEGGDPELVPDPV -PLLQRGAGATLGDLVVFRPAKSRHGPKSRAGTGARREKRSRRTPQHP ->APG77272.1 RNA-dependent RNA polymerase, partial [Wenling narna-like virus 6] -SSRATAILTEQAEEQPCKQGLILEEDLAIVRNIRSLLSLGYKRSPNGDCLAKPLKGRGGKQKFWRSPVVR -SLISGRGPRTSRNRKNLKVLFKTDPTWWDRFELALQAVGSTILVNNDLDLDKVRSDHYLVKTWDSFKLFF -IEQAIQQSSIDSEGEPCFPFLAGMIQSLKVVSSWFRFYAADYDRNSRPSVAGTMMGYRPLEKRHIFPWFG -GHLAHLRDPTLLKKDKDLVRTLCQISTFGRALPPAWGPILTKSGKDTLKVLTKEYKTSPECLSMFYNISK -DIGGRLPKVGFNSHTSLTKSGCFDTPAQAGGCAKDLVPHLQPFADAICEDINHKNSLTRVQIDPSIRVNM -SPFKKKTLYDCFGRVLLRFTEDGKTDLVPFHPKTFVTPGTNVFQGRELPKSLLKGQSLVFLWPDEFHTIW -FDDPSDLLPKNWGLIWTDRLVGKLLEQFCINRILHENLVEGDMDPDGWIGEPGNGIPFWESNEGVVFEQV -QRFPCRFVVLGEPGLKARPLTIGRADLQVLGKILRFLVEPVFHADPRLRIGFEETDILWTYSKYIRKNSH -VLNNESLMLNLDYKQATDYIPKDLIDIMWKGFLGGQKLAGRHPVRTLQFLINPQYELSPGSVDVLDIFES -EFEVQKRGSFMGTPMSFLTLNLYNLCVINCAEAMTQMNLLRILRPMEIPLDSSLPRRMCSIVGDDVQCIA -NYQFFKRIELIVQETGMKFSSGKNLLSKNMLLLCEDVAFWNGETKEYSFLDVVKIRLLTRMTRLHSDHRS -AILGRGAPLRRVLSWNDSRSVREAATVIYRDTFHKVMRNQFFLNKTFPIELHPAQGGISFPGREWTQFEE -ECRFNLRFLRWILDQPLSLFLHWSYTLGRLNARLPKAVLFDPSSSEISNLFDLFKEGSLTADGPKPRTTY -SRKDVIQYLSSEGIVIPTNLVGDPINKVIVEKAKEKGLITIKNVIDMIDRLETFREIMGNPSSTPDKFTF -TRFQKNCYNFWKNVKSHEGLFGFSPPIPEEHGFEKFEDISRAFMFRQTRYVFLDSPDMWFIRFGPGFRLE -YPTPTQDQSGLSQLVPESP diff --git a/seq/clusters_seq/cluster_809 b/seq/clusters_seq/cluster_809 deleted file mode 100644 index 3a73d55..0000000 --- a/seq/clusters_seq/cluster_809 +++ /dev/null @@ -1,214 +0,0 @@ ->YP_009336998.1 hypothetical protein [Beihai sphaeromadae virus 1] -MCRHSWVEELRVSILEIFLGSDGILNSSDLIFLFSILQSLRKFENRKKMMTERIFMMKSYISDKSSTLPT -LVYPVDGPRKMYMLIRVKAFDKEVFVKIDVSLPTFSMRSVRRFVRFCYRPPRSQTPIRNRFDPLYLDLGE -VDYGDLFDCFLDPIVSTTEIEHHDDFDWEDFKFISIPVVHVNSRRYVRIKRKLIFKNRSYFGMRVSPCPS -RPVNCKVGLRGMVSQSDDRPGLFSRFTSSSSAASSGNASTSSSSSVSSQLSAPTTSSILSGLRSMPGLSD -NMKDVSVMISNLSDQWEGPVRHLLGQIDQILERYDESLKKHPDASLAESIKEAVEASSKFKLQLAHSSKI -SIVEGFMVFLSTCFLFFTFQHWTQTIALCVLVGSALGIGSRLVKWAEGNKDDILYVSSQIRSKMQDMEQQ -DAGDFITPLAKLIATGSALLFTQKDTRKLPTYVKVGQYASAFNSVKTMGDCIVESLHLARRLLFEMVTGL -PMDIDQLDGFALEYEDWMEAVNETCCDPKWLRQYLNEPDSFQILADLEKVGKDLYERTSNIDLKSRSTIQ -HPISENLRKISRLMKVLTEASGVTKVVNEPVFVVFVSPTGRGKTRMVRELVLDLFAKQNVVVRNQYDFED -LLFVKNSDSPYNDTYSKQFAILLDELFQSTSSEDNQASIKDVFDLVNIAGTPMNMAQCEMKNRISQASKY -VIGTTNLEDWSALPTRSTKALMRRRDLIFYPIVKPQFCKTNSTMLDPGKWLDYCKDHDLNPDVPDFLSFN -ELLTTSNKASDTFRENLTYQDIVSIIHNKSVAKERSCKNTNDHWKEKYKNIMASQGEVHISTLLADIRKK -KSVRSAHEIRNSDLPFDLLLALVRDEYVDQDVLDGNDVVKIQEEELKLQGLIQEVAGVWDSLQPSERIDF -YFAVCALDSAKNFALRDVIYSRILHVPRGHFKFVQKPHYDIMIAANDGKDIVIPTYGWKDRVRGWFKSCK -ESLPWKEIAIVFGCVISFVTFGLLFWAFNRKTKLTDNVENQFKTPEKPVFEKVESLDSSVNPKGAKSVFK -NFKAYFKTKKQESADDNVHYIGNVKTSGELASPEDQMELERLYNTMEQQALYDKQWESMLSKVLNNLAIV -RIISGGVCKSRARGLLVCSDVMIYPKHSFDKMQYGDYLYLSTAHYKCKFSYADLKVCFETNPRWKYDDAC -AIKFPDGRIPAANIVRYFCTMDEIEQISDFYGELILACDDEVKRYITRFRAHQECMTPEAGFVISPTLFA -QTGWYYEAPTVQGDCGAPLVKWDPRSNGKILGMHSAGNTSGSGLGCFGQILDRGWLEKVCHDFGVMQAQS -DDKEVNPFYGKHHLVKPSMIVTSRVPFNERVNVPVGKSKIYPSPFQKLQGMWAPKTIPTCPSVEKGGSLD -LVLSKFVSDRPYVDRHRVEDITRGLYIKSGLGGAGFERGILSFEETLNSVFELNSVNMESSMGFPYNSMG -FKKKDFVFYSEECQLFELLPSAFRDELDIFDSMIREGVVPDFKWLAYMKDERLPIAKVDNQKIRLFFSAP -FALVLLGRRYFGSLMSAIQKTRHNHGIMIGIDPHSDQWDQLAKNLLKTGERISAEDYSNWDRTINAEFLE -TFFYWADWFYFNASPEEKIARNVIKKIILQPEFQLMFFRFRVISGNPSGSPFTAHMNSLANLVLTVYVLS -AQFSLGEVINNLSQAVYGDDKLWTSSLPVDLEKYQSGLQELGLKPTNFRKDSEALKFYDISEVEFLRRKF -VLRGNTYYGLLDLDIIKESVLWYRGTFNVLDNLQDTVRNCFVELELYLPEDVVGIYSKILQGCYRFGISF -EVTDHMISSLSSSNRTEAGFVSCLGSEMSWDGTNLQHLVFLLCGSYWNQSASYLKTPVSRMMIFSDFDTE -NCAVKFVTFDISTKISMFPSNVFVHVGFRYFSRTAQKEIEVTFSDRGVAYVDPQFVPTFSEDLVISRSAL -CYYIDHPRKYHRILFNCISWCEYVMTMSGLKMTPRYSQNLFQFISVNYPSMIPVLSQQSDDEQIPFGTLG -QNLVDTAQVEQIAGQVVRFSDLVQGGCPRSLSELVETEEVLYQGKWKDDYAVNYSLFKLRFPELLLAIPQ -FKQKLNYNAFFSTNLKIWVKLASNPFQCGKLLVTWIPQGDMGMPAGKSISVYSMTSMNHILLDAAYQKEG -VLEIPFTHIVNMMRTNNFPGDYGMGQLVCRVLNPLRDVSKKKYVTFTVFANFESFDVIVPTPIGFDDALD -GTQYSGTFWPSFSNVARQQDESEKKSDVGIVTAVLDTVSAGVSLLGNIPVFSSVMTGIGSVVNAGANLSR -RLGLSKPHSVAATSPMIPRPFTSVSHFEGLDMSVMLGTDPKNSIRIVPGLFGSKIDEMNLEYLLKTPGFV -VSQVWSRDDANSSILLTLPVLPTLGAFEFVMRDKVYNGCTFPLLGYVSLMAKYWRGSLKFRIQVVSTEYH -RGALIVAFVPYGTVQERAKLSTTSHVKLDIGVTKEIEFSIPFVSHVMFHQIVSPFEEVTFDRHQAIGSLQ -ISVLNALVAPDSVSDKIDINVWVSAGSDFRLALPSLDLISSFNYGTRAKKMDLTQALDNFDDSPISCRTR -ENVQFSEDPAFLPCLQPSNIEASQYVMGEGLYNVRDFLKIFVRKFKATTSIGPYESKILHVPINSFLDDK -ELHPDVEVFDTFVDHILRIYRYSRGSIRHKIMCSSRDIKLSAIATISTNVPYYKWLSSSYLHREGAPWCV -AAPKLNPTLEYCIPYFHMVRQMVHGKDMSPRSVTICVESLSNQVQDFGMICYEAIGDDFSAGYIMGPPTV -WIKPSIDNNLLDLLDTLYTSGSIQIPDIDGHYHFRPVPITVDEGTTWLQGFNIVSGEKTKTKVTITSKNC -TGQMMYYKTHFHAPHGILLSKALAPGVDFSVTPFLAFDNTPGPDMAFDFLLYNTDFSEDKGSLSWDYGDG -RQTSKGIWYRAARRSGQSVLGLLGPKPSMKSYFYQKILLNDEHSVLVFFEKILGMGGGGKIKVPFI - ->YP_009336970.1 hypothetical protein [Wenzhou picorna-like virus 36] -MASHATTIKPISLAPITCTQAVGLVGESYHPVVIDCPVKKTTWVNEHGKALKTYVEPGASEGRVYFHHIP -GSLVQFQKNRNSEPAPLSSYQVDLPCTRKVCTSDGFQFQRIKGRDNKRKFSLLKSSKSRHHRDLLFETLG -KHIFSFKKIPYTYEQRVVIKDEILQEDEPIEFARMEIVSRVKKSRSEQEQWDECARLGLLEKTEEWIPME -TFKPKRTMAEIKLEKSENEALRLTNKVAAPLARTLKKIFCYEQQGGFTNFLSTTPELVSFLKEHRETLIE -AAKRIKELDTENINDLAASLNGLDIQKLFEDFTFIVTRVKEMEICYEKAKSDFKKPQAIIRVLEIIITIF -CAIYLMTTADSFSEGFAIFLMLTSALGLFSTLAGGLGQFKTYLEQLYTCAKKHFSPQQSASDIVTPLTGV -LSTVMCLALASQDKSISPGIIKVGNVARALTNVNNFSDAISVAVDSSQRLVMSEIFGFDGKLDEIKEVFI -EIIEWSKSLDLTIQNSTWLGNYQADSPRSKEILDEQFKLGKSLYERVMTLTPAEKGEFPHYITEQLRILR -KYIDSLANVSLDKVDHQTPVWVYMAGGPGVGKTMTANILIPHVLSTLPEDVRKIYTVENIMYRWQLDSEF -LDGYVSPTFGIFIDEIFQSKDDKALSDQAITLIQKINPIASTANMATLEKKDKLPFSAKMIVSTSNKIGV -DNIKIQSPDALKRRRDFVVIPTVKRQFRIAPNKDMLDTQKWLDFCAQNNKNPTIPTFATYILHDPLTDVY -SQTINYDTLVEKIRDKILGNTNSANNYRDEYKSTVQAALAGNLTYQQEGAFDVIGKYEAFKKRIQTHEIV -TQVIPPEFLDLVYNEPSTEMINEWENLSGKEQNQIFYATCSLGTVGPSHLDYLLESDFISKHKDKFVHKV -DIANLLAFQKTNKLQLKVPLIQRIKQKCHNLLRSETFHICAVVFGIFSAVATVVTLACSFTQSNPIRYIK -NKVESYNTSNVGKIGKSKLPHRIAPNQESGLENIPLHHHTQQTTSHLVTLDVERTENGWDYSPEKVEVAY -DQQAVGDKSLEENLPIILRNTASVEVMDDNSGSRVDRARALIVANGYALMPKHLILRMNDSRHLKIKGMR -SQCTLKQTDYIVEEIGPRWEYNDAVLVIAKTSKDILGANIVDKFLTVDQIINFNDSYGEVITNRDGTVVR -CASQLLPQEKFVKCLNSNRSDHLISREQGLYAVVGVRYIASTEKGDCGSPIIRYDKSSKEKIVGIHCAGA -LSNNCKYEGFGCFVDQDWIKMIIAKYGYQQQGLADLKDVSENYGKNHLLKESMYVYGKVPAGEFDKIIEG -KSKIVPSPLAVDLQDLGYKVYTKPTEVSLAKGKSVDKVLEKFKLESVYVDRDLLSKLENAFYLKYIFGKM -KKHNARVLTPLEAINKFENLDHVNLSSSPGLPFICNKSTSDKVKLIERLDDGFCFKDPFLESRIFNEVRD -MKIGIHPPWTWLNRFKDERLPIEKVDNHKIRLYTVPPFSLSIITRMYYGSFISALRDNKFDHGMMIGIAP -ETLDWHILASTLKSYSNVFLAADYSNFDRTIPKPFLKSFFNIHAKFREHYQIDSTEYEILKNELTCPIMQ -LDAFRYAIGSANPSGSSLTTEINCFANKLIIWYCLIKSGMSFESAINDTYTAVYGDDNIIASRVPFDINK -FLQAVKDIGMTMTSFKKDDECSMLPLEQIKFLQRSFSSYNGIYVGQLPIEIIMESLMWENKSVKTQSVME -DTVRNAITQLELYPADEVSREYESILLVCSKNNVKYDITEHMLRALNSTRQEGKFSNTLCLKFAKAFLPY -FSPSSIIVRTPQDLANVICPTTIIKKQLIIKDAADYLPIPKLCLFHTGIKLTSTLGVFELTFSSAGVVIH -KLKYNPTYLVDVNVDFYAVKDFIDSEYHYLSNNCHLFCFSLTHTNSDMSYKLLGTSLYDLLTKTFHDFVK -HETLTNYQQQSDVEPKEESKLEINLQDVTPELICPSLEAVDPIVYKNACSTRTYTDYLQTEVNIATLSVD -DSTVFGKPFAKFTFPDALLKDKQYATKLVYNTLYTADISLRIRVNSTRFQYGSFLAVWLPMGTLGFVQNI -ELDPFAYTAMPHCFISISEKDSKGMLEKKLCIPFVHIQNYLKLANGGNNARMGELRLYIINKIHTVNSES -FKILITGNFENLQAHTPTSMGLANTTLQVRGNSYPKYFFESGEDDEADKKVEKGLVSGPTKMIADAASLF -SNIPIFKGAAAGVSAVANSISSISQYFGFSKPISSEVTHPYIPRPFTSVSMHDGLDMSLVMGTSVKNSVK -IQPSIFGTLADELNIAYICRTPQIVAQFDWKDENSGSTLFEFPVVPTFCQGTPVKNLAGSDAVQLAPVGY -VSLAAEWWRGSLNFRFKAISSEFHSGVLVFVFTPLGFSSKDEIPDLATCTHVKLDLSQMSDNSGEMRSVE -FAIPFISDQYVHKVPDPFEPINLNSGTAVGTIQCYVLNSLVATNVDREVQINIEMWAGHDFQLAVPTLSK -ISNYNFGAEFFPPPEVAFDMELAEAMGEMQSKDKHNARFYKVNSLPLYSEKQVNTFTQVIGEELYNLRDF -MKIFTQKFDPVKTTIKAGSSSAFRVPLHSFIPNSYLSTHVQNITFTDYFLRMFRFYKGSIRYKFAFNTQD -MLVSSILDLDSISTPYQWLSIESLPDAPREGSPWGTTHLKLNPTLEVSVPYYHDRKSLLVAEEHNRSIIL -KATNYNSGNRDLTVSGFEAIGDDMSAGYMTGPPRIYRKLVASPDLFARLERAYDVAADITKLDGYYKPDF -SRLSAVEPDIAIITRRNSTSGVLNTFLGSQKIYYTSNAPKEKLVVLKLPNSRFGSGTWIKENLLELTRFS -EHESSNFFYVFIYLPVGLFADQGSVSFSYGSFLNSDYKFLWYVMFQDNSDAVVATFKPGKFISPGFSNFS -FKVVEDPLFWKEFVTLMNKNLEFKQKVKLNIDF - ->YP_009336755.1 hypothetical protein [Wenling crustacean virus 4] -MAFTYDSYSGGFLERVRSLQENYWLEKHAEDVSLIIDEDPAVKLGDALEYKWTLIDEQKEKRNQEYLLFN -DLVTELQIEHCDNYDFNQLKSYEEYDRINENIVTYEPYEFPNLDLIDYEPDDESDWSDWFDMFPGKIDKL -EVIEEDDESWYTPYPKIEIVENKPPKIFRKLRILRLVRFLMKGSLKDLGMKIFERNFGNLVVQGMFDDVT -TPLNNLSQQIEKGVSTIESVVNPNNLKMLGATAILPGVFTAVRVVEVLGAFIATLYAITHSSSASQAISI -ISATLLAGGAFSTVGAYITKKHIEDMMKSDNIVQSESFNYGKLVKPGASLLALLLTTHVSELADLKRIQD -INTFGRAFGSVSNVEQCMEKVIELVNDNITSELFFSPKMVGSEFELMLQEVQKFVMDCVYDPEWTRDCHL -DSDKREQVESAYAKLNRLFLLTKDRNLKEMIRHMLLAVVSLRKLIAASFVSVKERIRPVSIWLAGESGVG -KSTMIESMVLDLTRDCFTLNERKKFAKFGDLMFSKAPNSSYWDGYCNQPFYLIDDCFQIGQDQGTIMVEE -CMEYIHLVNNCSFILDMAVAEAKNKVSFNSSFVLATSNMISFPDDLSIADINAVRSRRDYLIVMNVKPEF -RVPNGNRLDIQRWLDYCENNHLNSDVPTFATFSLFHPTMERFIEQLEYQQLINSIVSFYKKRYGRDSVRI -DRLNERFRAIQIQSDDGGASSQSVRPKIRLNYCFSDEALRVDGYEPKDVYKGYVLDGNTEKDKTRKMIDK -MDDSESIVNDEEYSWVPESERSMKARASLWFRTGFNIKDLVNKFGNKNFRAKDADLVAPLLLAQDPIGLK -NIGINPEIINEVPIKPKYQSWMQRNLDSLWLKFKSHPVMSVLIILGIVSASVLTILGLTSLFKSFKKDKE -EVVEYNFSQRVNLPKKIGAPKVESAISSNFQVNCSKIYKNFVDLSFVVEKSVGNDLINRTKGIILGNDRL -LCPLHLFKHYNENVKNYLRIVKANGNKIVIDTKQMAFFELGDRYKYPDLCIVKTSPGLVIGRDIVNMFAK -EEDVLALKQANGSLLTRVDEVNHIQHTLVGSIDSVPSASPRFPKGLVNEIGLVHTMGLVYSCDTDVGSCG -SPIVMHTNGNDKVIFGIHLAAIKGGTGFASYISQEMLREVEIQGEEVEHNPPFVPENIMCLERVPKSEQV -WIPLTNNIRRTPLSVSWEPSKYPVKIDKDLKQIKLALRKFNPDFGLFNIDIIDKVSCVLSEKFKSHVEFG -EVNLSYALNGFGNLGSINSSSSLGFPLCLTNKKKDIVIFNDQFELKEGRVIEYNQYVRGTFDQDRWIIFP -KHERLPISKILKDKVRLFVASPFYFYLYCRQIFMVMQNSIQNNKFELGIMIGIDPHGSDWDMLFRQLLKY -NYYYMGDFSAFDKSLHPYVLNKLYDFIGYSSEARKCLNAIINHTCQIGPITFMPLGANPSGNALTVEINS -IFNLILSYSAVYDQLGDKCFEKCKVFVYGDDNIIASSVKLDVEKIVLFFKSLSADWYGIISTDSIDDVVF -LKRRFVYNRGFIRAPLDINSILDSVLWCEDVYQIDVLDCLKSFFLELEHYELSDVYGYAKEVIMECNRLN -IELPDSVYQVFIEGNVINKYWHAVIYKMCDLYRGIPIDVFKLYDLIFDVEVIRVRLSDYLPIEREFIHYG -FLVDSKYHISLGRNGIFQSEIDVLYEIVDRVFLKVSLLDILKCKYKYHPLRFNCNHWVRLVLDLYVDECH -CLSLINDDINNMEIVFKKLDLYDILDIKGNVTSVIVQGKDDGNLQLNENKTEFEYEEKLSVTRPLDQCMP -TRTVKDIVSSYESVMFGEWKTTDVRGKELLSYDYPRDLFKIDQFRDKLKRNTLMRGSCRFKINLQTTKFM -CGTLMLIYLPYHLRGYPNDIFPSIDGLSAASHVRYYAGRMTDAVIKIPFISKFNNINLNLANNDHQVLGT -IKVYVFNSLSGAGASAVSFKLMCILDDVEVVAPTESDIGDIAKKISTSRDYGPVIQTEQEEKSKEGIISG -VASGVSKIAYLLSGIPVIGSFMGYVEKTASAVSKVASLMGLSKPTSIETTKPMIVRPFSSCTMRDSLDLS -CKLALDPSNNVQINPSLFGTTACEGSIDYIIRTPCRIGVLKWSGGVKPGSLLVSLPVCPTVGSVQSEISV -DDSMKSYQMSHMGYIALMNQFWSGDIEFSVEVIATDLHAGSLLIVFDPYNNANQSNHSFPQLTKVSSVIM -QLDFGVADSTKFVAMNCPFISHLEALQVPAPWLKYERVNEVSVGSFNIYVYNSLTHPSTVSSTVDINIWV -NAGKNFKFALPTLNFIRQILYGPLKSDRNFMFDSSFDMEVVQGEFSDFRSANEARFGSKSRNFKNFCGKR -PDFSLIMGEYICSVNDYLKISSPRYVFKWQVVSGLYNGIDIPHDFYFTNDTFPEDFRVIDTYKDHISRIY -RFSRGSVRWKWVFTRADYISTVSVGFRDVDELRTSQSQFEYQGAGNLWAMCVHMMNNTLEYEVPYFNNLL -FGINGDKDDNRFVRLETTSLGSSGSSISHGYESVGDDFSLGYLFGVPRVYLKGAKQSDFYSWLMKGYTDG -EALSHNIKFEPAEVFDSLYNIKQLSGKYVIVREKGRNVGDEFTIIVTRMSNSLHTPPPYTRYKAISTEVV -TVEQDYSFYFCINHSLKVENDRYYLVSHPGHSRFNYSGHGLLFSLQCSLEGPGSSCKWQFIERSEYTHQV -TSFESNGWRVIRDADLVGKIMRHCDRVMRETRFSISAPVQSVKIRIRNEN - ->YP_009333498.1 hypothetical protein [Beihai picorna-like virus 96] -MAPVKHLTVVQVPIEEMGAVVRGEVPLYGKRIGRTPIHFVEPSQKGSTLKIVATGQRIAGHAYNPIGESF -ATLPEPEVKEAPKCRGLQDLINKEGWVCPYKQARRLWVCGQKNGQTRATTKAVVIGALRHQELMGRTHHY -FKSLEVATFGDHIHDHQEGGFTYGRKTAIEMVEETNKVERIHQNKKKGSSLLKKMVKEYHKLQKGFEEQE -LLDSLTPTGVKIEIAPRRGKTPRRGHICTFNGALTPKGVNSFEREDLSIGEEVPLPVEDVPQREFSEEEK -LFFASIRLKSQMEKGVKETPKTIGFDQNLGSEFDLLYNNILTIFSEFPRVQGQGTSEFSTSSGEINEPST -SGKSKIELFKDGIGKVHEWMQGHTHTPRVDKANEIMDKLDLQTLTDISTGIDDLSTFQYARRKLADKKYH -VLFFVVLAVILSLFAHDSGQVFMILALMCTLLGLEFYFDWIDLIKHYLQRLWTFCSTNTLRVRNLFENTA -TPQGLDDFDYAPLIGPIVGIMGTGVVYMNAQADNRTILPLYKVSQITRSMKNIKDFKQCLALSVEEADKW -VFEVTQGIPAELRDDDEALQIIESWSNSVNDSILSSEWIQDLIVNPSKIDKVNEMLTEGKELFKKYKLRR -DFANLVSSNIRLIEKYKFAIDSFSSQNRSRHPPLVLYISGGTNIGKTTMIQRLVIDIWSKAVPLKEKIQI -ELPDLIYAKPTDEFYDGYKNNFCFLWDEFLQNINIREDAVNDAIEFMGMANTHDLKLKIATCEAKNKVHF -NSPLMVLTSNVKDPKPEIASVGARALLRRFDIQIDCTVQPKFLSSCNNELDNELWVSHCEKEGLDSSLPD -YLIFTIKGESSSYTYSQLIDHVACKFRRKQEISTSLETQLDDHFKKARAQGITEFHSNVKKSAESSSFNY -LNGSPMHVVTLLYFMELSDRQSLYDPNRFDNWLSNQNQGIKDFVSDYDKLEPQEKIELFITCNALFKYSK -EQRIAILRCVVSNVKLRYPDVPFIEVSRSSYQDILDCYLLPQISIINRIKSVFEYWYTKCPLFTSIGLLS -AGIAGVVLLYKTFTSFFPGIKSHSTPEAYNKQNFRRIRGRKPESAISNSFENNVRGPLENNLVTIRVYSD -DLHTDRPIQRTNGIGVCDGHILVPKHLLLDKDKYANGFIEIEDYSSKTKVPFREITIKNLSRRYQYDDAV -VLKLKYNCKKIIQHFVSDRQAKNIYDGLGELIVKRGKMRSFITEFFSQEKTIEEDEDFRQKVDLGAYTLT -TAVGWNYDAPTQDGDCGSPLVSYGCDKVIGIHCAALSRGVGFSCIITTEMLREVISTGKPEGVRPDYISN -QVEYMGSPEFTYHMPTKNPIHFSKMASKLDWKCVTYPTILHPNSFGGERVEPLVLAMNKYTDFVPDLDQE -YVIRAYDFLRFKLLSFSKDPKILNNFEVINGYEDKSLCRINPASGPGYPYAIDGIKKKELLKFDGLYYEM -TEDLKEEFMRFESNIENESIYWLDYLKAERIPKEKVEKGKVRIFSACPFLLTLLIRKYFGDFCAYLHRNW -HKTGICVGINVHDDDWGFLARAINGHRFIYDVDIKGWDASIPKAFLYYFIRISNDYYNDSHFEIREVLGK -HMFECLHVVKKPNSPGAIYRPYTGNPSGNPLTCEINSICNLMINYYLLMKYHEFTEKDLQFSLFLAAFGD -DLIVSSDKEVKDYDQSYKQIGMTATNSKKTGPPCVTALENITFLKRRFVYRNGKWFAPLPIEIVKESLLW -TDSSLINENDVLVQTFNAFLLECYHYPIKEVSNIIVQAVQAAYQLKLEIVFDEIIFAAIRNNPIEGINYG -QRLIIWILSKVWSKVETHIVDFDFTLPLDVILGVRELGYLIPGVDRGIFQHVGFILANDPTKELTFSSNG -IVVIDTVCVPCSDQFILHTLPTGGKYHSWSNNCMHWVQKTLDANKIDYDILSKFLKFSQDNYSHKLIKPQ -GEEPLLEVVDSSEQLVSSLTSRQDVSMSTYGQSFSTRTIEDIEQSYEIIGEFIWSTTQDIHKNLSTISIP -DSLWDIPQFIQKIRYNRLLRGSIKVWLSVATTPFHQGSLYMYWIPQGGAGFPNNDSPNAHTVTALPGTWF -YAATKNLCELNIPFVYDRSAYDLLAGGQLGVIKVMIINKLATSVASSVECKIFVSFDSIEVVCPTSGLPA -KETPAYSPTSDIFFPKMQGEGEEKSRSGIISGITETVGSVARLVSNIPFFSGPASFVANIAETVTSVARF -LGLSKPVSQEATKPVIPRPFSGVSFSDGLDMAIPLSVDPKNSVKVGGFYGSNVDELSINYPVKTPCMVNR -FVWTNQEVNRQVLCSIPVTPTLSYRLSGHVSKCAITTPLGYISQCFSYWRGSLQFRLDVICTALHAGALL -VTFHPGCNFVQSEKIRLTEVSSFSFVLSERTSLEFTVPYVASTPYLKVGNIFDDRSFTDETCVGTVNISV -MNKLIGPSTVSSNVDVNIIISGGDDFEFALPTLQNISRFLYGVTPQTLEPHGAVDVQVEERSREQWQLGS -NAPFFKEFERLSNSCLDVVMGEKVYTLKDILRISCRKYTVKLPSLHKHLFLPRHRFFTNDEIIDEIGYGP -TFYDYVLRMYRYSRGSVVHRWVIDNSSSKISVSLGIFGAESLNEWVDYSYSYEGSPWVLCTPTMNPTLEY -VLPFYNHKLLNVHGSIPDERQICIEYFPLDSIDDDERYMVGYESIGDDFSAGYLMPPPPLLDRDAMPDIF -FFCIQEAQKKYGYHKTYGKKETEPFYNVKFSIQTMYVDRSGLSQDPAEFIQCDISTVKDRHFAANSFAVF -ALKDQIDVSNYRYQRESFSTVASSFVVAYIPLATKITKGYELQNEVMSQRFGGIFVHFVNLGQKKIYADF -VKKDYIKNNLESILMTFIPEEYIFHKGIKSEFYRAFHLFTLLSAKLEVTEDDQVEFLEDSIEVIKDDNF - ->APG76738.1 hypothetical protein, partial [Beihai picorna-like virus 95] -MLHTERYQERPAKWRFLHKEFRNSHYIAPTTVHYLDGSEVTYSETVRYMDFRPPRDLWHSVQKMVGSMKR -SLQEPLKAVRSALVTPTRSLLKREYLVAYGKIYHPQSWQRTERPRLGVHLTLEQQALMGAFGPGQPVNQY -DVAVPNPVLPLPTGWYREGTKSAPSTPKPVKRVTKRRRTPRRVKRSISLDDESWYQPQDQLAQEVYPQRR -REAVVAYRREVGAAEPQEIKPLWEELTRSELVRALQQGQSPKGGQPEDELLHLHQEWFEMKEMSRLKKLK -EGLRSMVELIRTSDQDQIPLLPGTISEICDQFEQQGVGELKGLLSKGVDALVNPDTKLMLDSLNDSASKL -ERTLSCDNGEKLDQFTSAVDKLHDITMGGGSNSIAKLTALMDRLEDTQGKGVTLGDEEKTMLRALIAVIT -SHDGIKITPKLGKVGPRIYEIVLGIIGVIILVLFVPNIWLAVGIGAVAFLFSGACSKVIDWVQSSSKDLS -KLAKQMAGFQTQGMEDFTPIVPSIARLFATGLAYTISTKDTRNLPVYIKASQWANSVKGVTSLSDALIKG -VEGASDMLWEFETGLPASLKGETLEIYQAIETLHDACEKTVFQTNWHVDLCSDKDKQEFVEVLYNQLLAL -NHKVTLDADPKFSRSLQPLIKHVENLKKTMLHLRRPGRDRVEPTWVVLQGATGLGKTTMSKRIIMDAWCA -EPDALRKEFTYDNIMYTKPHESEYWDGYNQQYGIFIDELFAMGAEHENTLSEARQLLELVSNSPCSLNLA -ECDLKNKLIPQSHILVTTTNFKNFRDLKMTAPEAIIRRRDFNIQPVIKPQFARAAVPGPNSPLDPALWLN -YCQQQGILDTSKPDYANFFEVTDDGTLGRQYTYDGLIAAIRARIALKAQRGTQLIDNLKGLYEQFKVQGD -DAPQLPELSQSKLKKAFEKVESKVKELKFDAQIFAKCFKGDVPAVDLQKYKDHFASLDEDQIKRLKATFH -IIVSIKETAREDYFGNTKWPFIFKHLDMDFIVETFKPSLCAKIRDSLKQLGQSIKDFITNHPYAFALGIV -GSLVALFGVIFGTWYGTKSTYSRPPVITKLGPVTPLKSVVEKIKGEESYKPKGAGVRKPNRVPTRMESTA -NEEGNFELDEQQGYRYQSLEDPEYENIRPVLTRNLVQIRSFRENRDYRTKTNGIIVCTDWLLCNRHTIYS -ASEVRDRYITVEGLNRVTLDLDHCAVHSIGDRWGYDDAVLINTPRGRLPGASIIKHFVTLDDCEQIHSTW -GELLILNEDDKTLPRYWVKVVSTELTANSNSNYDIGDLKVTTTWEYKAPTKQGDCGSPVIQYSSRGQGRI -LGIHGASKARQGHGLAIPIDRNWLYQIIGKFNQEGLEDVVDVPDYFSSGQVVLGRVEPGKGVYTPTKSKI -KASELGLQLRFPLTTAPTDCSIDNIAKTFKKYRSELPIFDRRSVELITHQLHYRIQATKIGRLLTWDETL -NVYGNLDSINMNSSPGYPYNVNKISKKNLVKFDGERFVFVNNEFKKHLDLFDTQYQNFEEPIWATYPKTE -RLPIEKVKEGKLRLFAASPFDFTLICRRYFGDFISYIREVGHKIGVQVGLDVHSDWTRLATWIQQPNTDL -LFIDWSAWDKTVPNTFLEIFFDLADYYYGSQFQGHRDWIKSVILSPTYIIHRQLYKTMGGNPSGCAFTAE -LNSIANCAVLMYLLLKIGISFDDVAKTRIATWGDDGIMSVPRDYEPNLLSEAAKEMGLKLTSNLKEETLK -FCKINQGTFLNRKFVLHQGTYLPPLPLSVIKESLMWIDGETGDREIMQSTLNNALQELRYHFGIGELYLE -IMSAANKCGLDLTLNWHIIDQINLIRKEYAPDSSLLMTIGLKLITMIWNSISSEQPPIDIPKVIETIKVK -VIDLSNYVSFLPRDIFFHSGIEVNGVEWTFGGNGLIRNKQNYVPSRILDITHVVSDPQELWQLAQANVTG -PYHRIYNNCNSWTQRMCKDLRVTSPVSNNRLVQWAKDNQRWLMQGDEKPKGEGDTLLLDSGISKETTGTL -TKPQKFVNISGACTRTVKQSVETKTQLATTEWYYNNPIGEELISLDLPGKLFTAPQFAAKLRYNFLVRGD -IELELKIATTKFHQGKLMLVYIPQASRGYIGNVKPYYKGLTTMPNVQFNAAEMNNCKLTIPFIHSLNTLK -LVEGENLGTVKLIVVNRLFPAEEKRVTVKLFGNFINVEAQIPTPLTGENRKVGSVVGDVGPNYLAQGDES -EEKSASGLLTKVGQVAGSLGTMVDGIPIVGDVINGVRLAADTGSSILRAFGFSKPQSVETTKPMIPRVFT -SVAHSDGLDMSQTLGVRPKNNVMINPALFGSSVDQMNLASIIETPMLLLTQEWNGTDQVNETLLSIPITP -LLYAKVGPNIQLTPMAYVAMVCEFWRGPMDFQIEVVATSWHKGILGVYYIPGGGNVFGNNEIELETTTTK -LLNLTNENVLTFRCDFVSPRVYHKVGELDTQTINTDEAIGTLNIKVMNRLENPSTVHGSVDINVWIAAGP -KFHFALPTLRRLNRIKYGRRPVDLTEFVMEGVDDIVGRTDQ diff --git a/seq/clusters_seq/cluster_81 b/seq/clusters_seq/cluster_81 deleted file mode 100644 index 4027612..0000000 --- a/seq/clusters_seq/cluster_81 +++ /dev/null @@ -1,270 +0,0 @@ ->YP_010087745.1 triple gene block protein 1 [Euonymus yellow mottle associated virus] -MDKFVSLLTEFEFQRTREPISSDRPLVIHGVAGCGKSTLVLKFLRLEPSASAFTSAKESARNLLGRQIEP -ITSLPAEIPNDRILLLDEYPKANLRDPWNLKSFQVLLCDPLQFQEQVLPAHYISNVSKRFGKNTCDLLKA -KLDITCSSSRFDSVTEASCYEVDPEGHVIGLDTDICHLARQHSLSTDDPTNCLGQTYPTVTVLSCEASLK -HVPQHLAYIALTRHRTKLIILSPNYEPLKSEHASDSST - ->YP_010087352.1 triple gene block protein 1 [Cnidium virus X] -MSNTLLFNLLARAEFERTDTPSSTPIVIHAVAGAGKSSLLRQLTAYYKVGTAAKPDPPSFKGDHFTACVE -GIDILDEYQSAQTIPKSASILFGDPLQDPSQAHFLRPHYLKTKSYRIGPEIAQALSQILAIQAFGSSKLL -RGSAYLEDPVGLVIAFEDQVCAILRKHQVEHSHPSEIRGVEVDTVTLYTSTSVLPKEHHSDLYVALTRSL -AKTLWLTLDATDARHPTADV - ->YP_010087333.1 putative triple gene block protein 1 [Ambrosia asymptomatic virus 1] -MDVIISKILESGFTRTDEPISEGNQVVVHAIAGSGKTRLIKELLDAHNFIRAYTHGPVPSRGISGRSVIK -ATSQPPQGLPEGTYTILDEYLAGPSEGYHCLLADPFQYAQEPKRAHFIGTHSHRFGRNTAALLTSLGFTC -SSARSDVVLHTDYWKFRPTGQAIAFQAEVCANLRANNLEHTNDTEALGKTYKEVAFYHTDWEELKEEDYP -DRHKLYICLTRHRSKLTLVSPDHPNYPQVVQQVTPASDAPTTSS - ->YP_009553672.1 ORF2 [Potexvirus sp.] -MKDKVRLTSTLCVKSSYTLKVDASPLTMDSNLALLTNLLTSKGFVRTNRPLSKPLVVHAVAGAGKSTVIR -EFLQEVFNSSGQTLGIPDPPTLEGAYIKAATVPLSNHFNILDEYSVQPLRHSWDAVFSDPLQNPAHPVRP -HFICTVSHRLGPEVCGLLRDLGISISSSGSRSQTVFRGGVFESELKGTLIALDEEIRDLLTAHALNPVCP -HEVLGREFPVVTVLSSQPIPNIKDRSGLYIALTRATDELRILAPGF - ->YP_009552763.1 TGBp1 [Turtle grass virus X] -MDLELTRRLLASGYTRTEHPRAPGAPIVVHAVAGAGKTTFLRSLLEFRETEVYTAGTHDPPSLTGKHIRC -AQPPTPGAFNILDEYPAWPTYTSEHWQALFADNLQHSGPTLRAHYTCSLTYRFGEQTAEALRHIGFTITP -RPDSNPCAGLSWDNLYVGYIFGQVITLDQEAHRLATAHGLSPITAEESRGLEFDTTTVVTTQPTLSSLPE -RHLVYVALTRHRKQCHLRTSAIATTPGQH - ->YP_009664752.1 triple gene block protein 1 [Banana virus X] -MNRVHEYLLESGFVRTINQISKPVVVHSVAGAGKSTFIRGVITKIPNTQAFTLAAEDNPNLSSNRIRSFR -VEEIDQGRVNILDEYLLREVELDQFDFIFADPCQISSIQPLAAHYIKETTERVPAKICSFLHEFGNHQIR -GTKPGVLDIEEFFGPAPTGQVLCYQREVFDYLASYSIEAKFPCQVQGQEFDKVTLFVLGDPKVESKRLEF -YCCATRSTSCLTIRAHQ - ->YP_009664729.1 triple gene block 1 [Lagenaria mild mosaic virus] -MNLFIEMLKENGFERTGLPLSSPLVVHAVAGAGKTTLIRKYLASNPNSLAFTHGIPDRRNLENRYLREFD -SPVPGFFCILDEYPGGEYKEKWDVLIADPLQHENEPMSPHFIKATSHRFGKNTEDLLTGLGFNLIGLKES -DRVNISHIFGGPILGEVIALEPAARHLLLDHGLKPHCPRSVLGQEFTEVTVVSFKPLSQFKDSADLYIAL -TRHSETLHVRTTGIPHPTP - ->YP_009448188.1 TGB1 [Babaco mosaic virus] -MNHFIEVLTAQGFVRTNEPISEPLVVHAVAGAGKSSLVRQYISENPNSRAFTHGVPDPPNLTGRFIRPFR -GPIEGCFNILDEYSAEPIVGNWQVLIADPLQHKPQALTPHYIKETSHRLGSNTCALLTSLGIRVNSTRTD -DFVDYSGIFDKPLFGEVIALDRPTLDLLESHGILPHCAQKVLGQEFHTTTVLSSVPLNLVREKHLLYIAL -TRHKHKLHVRAPALTHTAK - ->YP_009389480.1 triple gene block protein 1 [Vanilla virus X] -MADYTTNIENFLVSLGFIRTPTPLNHKTRPIVFHAVAGAGKTTALIKIIQDYPVTVATNSDTLCLTSGAQ -IVKYTPGVRYNIVDEYQLCPEIPDADIIIGDPLQIGATHTLAHYSLNRTLRFGRQTAAFVQAVTGIHIEA -TFDDILEKGDPFTRDPVGQIICGSPVACRLLQWHKVEHLHPAQAVGKTFDSVTVYASSKDLSDIPLQHRY -VILTRHRTLLLILAPGH - ->YP_009389420.1 TGB 1 protein [Euonymus yellow vein virus] -MEVLTRLLNENRFLRTLEPISKPLVIHACAGAGKSTIIRSVLNSVPGARAYTFGKADKKNLSGQFIESAC -CHPKPEASFRILDEYLVSDDGEEYDAVFCDPLQVKGTARRPHFICTTSQRFGWHTADLLRKLGIELNSSK -EDLVLIQPLFEGEPEGVILAWEPEVCALLDDHLVEFKKPSEVIGETFDCVSVITESLIDNVDFESLYVAL -SRHCEKLVVLSADPRDLLLISDASHSA - ->YP_009357227.1 triple gene block protein 1 [Cassava virus X] -MCSHGLMDAILRELKQSGFVQIRNHLQNPLVVHAVAGAGKTTLLNKLAACSDLIIHSAAYPSGNSLSGNS -VQIHNPSVTPDILDEYLLVPDYKASKLLIADPLQYSAKPPLAHFIKATTHRFGQSTCALLRSLLKIEVDS -DRPDTVVISRFFEGEPEGAIIAFGEEAYHLISAHQLKPFRPCEVYGLSFPVVTVAFEQEVDKYPPHLVYL -ALSRHTEKLIILSDALASSS - ->YP_009270631.1 TGB1 [Senna mosaic virus] -MNHFINALTEGGFERTKVPISEPLVVHAVAGSGKTSLVRSFIKDYNVARAYTHGVPDPQNLEGKFIQAYK -QPNPTHFNILDEYCAEPLTSGWQVVLADPLQHRGTFLEPHYIKRVSHRIPKSTEEILEKAGFQIKAVKEA -GVCSHTGIFEGPLRGKLITLDRTVQDLLVNHGLSPSCPTEVLGQEFPVVTLFSSSPLNEVKAKHLLYIAL -TRHTKELHVRAPPFSHTTP - ->YP_009124989.1 25KDa triple gene block protein [Citrus yellow vein clearing virus] -MDLPELLLSKNFIRTRLPLAKPIVIHAVAGAGKTQLLEEFARSSPSTKIYSPVKHHSNSLLLSPFHKALS -EASIVDEYPLSQIHENVEYIFADPIQYLGNPNLRKPHYICASSHRFGHSTAALLTKLGIETYAHKEDTVR -VDNIFQAEPDGQIIACDRPTQELAAKHTLDYLRPCESIGLTFPRTTILISHELTADTLTKEIYIGLTRHS -NHLLILTPDASTTSS - ->YP_009091815.1 triple gene block 1 [Yam virus X] -MSNFRTNIVELFTAQGFERTSLPISTPIVIHGVAGSGKTRILEDVLDKFPVSVYSNIPKPKRFNGRNFTE -KIAGALIVDEYQLGFTDDNSILVGDCFQPGHISRPAHFLSLKSFRFGSVTAQHLSNILGLPVVGNSEIQD -KIEVLPLFEAELEGAVFCSSEDTCNLLESHQVKHYHKDCVQGATFNTVTVVAEDRELSSLTIPERYVLQS -RHRQTLRILCP - ->YP_009046883.1 TGB1 [Pitaya virus X] -MDRLIDLLTANGYSRTDHPISKPLVIHAVAGAGKTTLIRQFLKENPAINAQTLGTPDKPNLSRKMIRPHT -GPKANHFNILDEYCAQPLKGSWDAVFADPLQHPDYALEPHFIKETSHRLGPSTCRLISELGILIYPGAED -QLVTRKGVFEGELYGTVIALDKPIQELARRHSIEALCPKSTIGLQFQQVTVLSSLPLESVEDSTELYIAL -TRHTREIHVRCPPSTLTPA - ->YP_006905862.1 TGB-1 [Rubus canadensis virus 1] -MEDLLHLLEISSFERTSVPLSSVLVVHCVAGAGKTSLLRKWLGRNPNGEVRTCGVPDKENLTGRRIKAWG -GNLESKSDDQVWVLDEYCELGKHFDYSKFGAIFCDNLQFPEDSCLEAHYICLNSHRLSRNTISFLNKEGF -NIHSLKEVSKEEEEVVFGGIYSEELEGQIISLDREAEKLLKNHSVAFKRTFEVRGLEFERVCLVSSRELV -EIEPHVKYLAFTRHLRSVMFLSPDASHTS - ->YP_004849315.1 tgb1 [Tamus red mosaic virus] -MNSVFELLESEGFERTKEPISKPVVVHAVAGAGKSTLIRKLLERNPEIRAFTHGVPDPPSLGCNDIGPFQ -SNPPSHTINILDEYPAGDVSGAFHVLFADPLQHRKPKRRPHFVKRVSHRLGPNTENLLRKVGISITGAGS -AEVKTQQSIFEGALFGKITAVDSNVGNLLANHGVKFSYPDDVLGLEFPVVTVFSALPVSEICDKEGAYIA -LSRHTEELHVRAPGSFNSTS - ->YP_002647028.1 tgb1 [Allium virus X] -MNTLVDTLVEAGFIRTHEPLSNPLVVHAVAGAGKSSLIRRLLETDATFRAFTRGPPDPPSLDATAIQAFQ -PNPPSHLFNILDEYPAGEVKGPWAALFADPLQHRAHPRRPHFIKRCSHRLSASTAALLTSLGIPITGTGQ -GNFTSAHGVFEGPLIGKIISLDNHISLLLSNHSVPYATPDDVLGQEFPITTVISALPLHAVCDKVGLYIA -LSRHTSELHVLCPNPPHPTT - ->YP_002341560.1 triple gene block protein 1 [Schlumbergera virus X] -MDALISLLTTNGYSRTDLPISKPLVVHAVAGAGKTTLIREFLKLHSSINAQTLGTPDKPNLSRKMIRQYS -MPKANHFNILDEYCAQPLKGSWDAVFADPLQHPDFAVEPHFIKETSHRLGAQTCQLISDLGILIYPGAED -QPVSRKGVFEGELYGTVIALDSYIRDLAQRHGVKTLCPKATIGQQYPVVTVLSSLPLESVENSTELYIAL -TRHTQELHVRCPNPPHPST - ->YP_002332930.1 25K protein [Potato virus X] -MDILISSLKSLGYSRTSKSLDSGPLVVHAVAGAGKSTALRKLILRHPTFTVHTLGVPDKVSIRTRGIQKP -GPIPEGNFAILDEYTLDNTTRNSYQALFADPYQAPEFSLEPHFYLETSFRVPRKVADLIAGCGFDFETNS -QEEGHLEITGIFKGPLLGKVIAIDEESETTLSRHGVEFVKPCQVTGLELKVVTIVSAAPIEEIGQSTAFY -NAITRSKGLTYVRAGT - ->YP_002308465.1 26 kDa protein [Hosta virus X] -MATFASFLSSTRPDFERTNTPLTKPLVIHAVAGAGKTTLLRDFLRANPLTNAQTLGTPDCPTLDGAYIRP -FSGPVANLVNILDEYTAHRHGSWDVLIADPLQHYERAKLPHYICKRSHRLCPATARLLRKLGLDIHSYRE -DESEISFSDIFSGQLEGTVLPLTPLCKDLLERHSCPFKCPSEFIGEQDDIITVVSEIPLSKHPDKTALYR -ALTRHTRRLNVLAPPPYPTP - ->YP_001655011.1 triple gene block protein 1 [Phaius virus X] -MELYTGELQQKFHRTNVPLKFPIVLHTVAGAGKTTFVRQLINKYSHLTACTFGTPDPPNLLGRYITAPCP -FPDVVDEYPLCEEYSGVKLLVADPLQHRRGVLPAHYTGTHTFRFGKSTCDLLKTLGVVATSDKEDIVSRH -PAFNFDPEGLIIALGPEAVELLEAHSLPFLRPCQALGLTTSVVTLLTDKPLDEQDPVDAYISITRHTDKL -NILS - ->YP_459945.1 triple gene block 1 protein 26k [Alternanthera mosaic virus] -MNHFINLLIEEGYVRTNEILSDTLVVHAVAGAGKSTLIRKFIHQHPQARAYTHGVPDPPNLEGRFIQAFK -NPDPNHFNILDEYCAEPLSGSWNVLIADPLQHRSQALRPHYIKRESHRLGAATCELLTRVGLPVLSNKTE -DQVDSQGIFEGPLFGTVIALDSTVRALLIKHGISPLCPAEVLGSEFEETTVVSEVPLSQVRFKHALYIAL -TRHRKSLHVRAPPLPDTPRRSL - ->YP_446993.1 triple geneblock protein 1 [Nerine virus X] -MENIINKLQTRFTRTSLPISKPIIIHCCAGAGKTTFIRELLEQFPEVQAYTHSQHLAAEKTISGRNFHHF -SEYQPGYLDVIDEYLAGPIPETCHFCFADPYQYNIDALPAHFICNKSYRFGTLTADYLNSLGYQVRSAGG -SDSIRFIDLAHWEPEGICITQDSDILNLLTRHGISAYHPCETLGLQFNCVDYLVPKLPTPATADANTYLA -LTRHSSRLNVVSDAADSAT - ->YP_263304.1 triple gene block protein 1 [Lily virus X] -MEFCGGTLRTRFQRTSVPNSPVTVVHTVAGSGKTTFIRNLLIDHPQLVARTYGTPDVPNLLGYGIRDRHG -DAHIVDEYPAADLRSHPPVSIVFADPLQHHGEVRPAHFTCSHTHRFGKSTCSLLGTLGVYCTSDKEDSVT -FAGAYLAEPVGTLIALGEDAELVLDNHRVEYFTPCQALGLTFPSVTLLTDAPIEDQPPVSRYIALTRHTD -SLLILN - ->YP_224135.1 TGB 1 [Mint virus X] -MDSYRAELASAFTRTSLPLSKPIVVHTVAGAGKTTFIRRLIRHAPFPTAITGGTPDPPHISGQRITAPPG -PANIVDEYPLVDWAGADVIFADPLQHRGPTLPAHYTSSITHRFGRATCELLSKFGITAESNKEDEVFFGW -AFADDPEGAVICLDAEAQSLASWNGLEHLKPCEALGATFPVVTVISGTPLEEADAVDRYIALTRHTRLLR -ILL - ->YP_224085.1 triple gene block protein [Hydrangea ringspot virus] -MDTLVSELQRLGYTRTNLPLSRPLVIHAVAGAGKSTLLRHLLTLPQPFYVQTHGIPDPPNLSQRYIRPAN -VPLSNHFNILDEYLRSQSKDPGTPSSRTPSNTQKTASPHFTRNVTHRFGPDTCSLLSSLGIDIVSAPDSE -QDTLTLSPIFEGTLQGQILALDTDCARLLEAHGVPFLCPRRVLGLEFPVVTVVSLSPLKQHPSPQELYIA -LTRHRKELHVLAPPPYPTSRPH - ->YP_054408.1 triple gene block protein 1 [Opuntia virus X] -MENLVAMLTANDYTRTDRAFSKPLIVHAVAGAGKTSLIRRFMKENAQVSAQTHGTPDPPNLTRKMITKVT -APKSNHFNILDEYCAFPLKGSWDACFADPLQHPDFAQEPHFIKETTHRFGPETCELIKSLGIPICPLGPS -QVLTIKGLFEGEIFGKVICLDSDIAALACRHRIPISQPKQTIGLEFPTVTVLSSLPLSQVEDVTGVYISL -TRHTKELHVRAPPETHSTA - ->YP_054403.1 triple gene block protein 1 [Zygocactus virus X] -MEHLISLLIANGYTRTDTPINKPLVVHAVAGAGKTTVIRQFLKECPAVNAQTLGTPDKPNLTRKMIREYS -MPKANHFNVLDEYCAQPLKGSWDAVFADPLQHPDFTLEPHFIKETSHRLGRSTCELISSLGIFMLPDAED -QPVNIQGVFESEIHGVVIALDEYIHKLAVRHGLKPFCPKATIGLQFPIVTALSSLPLEQVDDSTGLYIAL -TRHTQALHVRCPTEAHAAP - ->NP_702989.1 hypothetical protein TVXgp2 [Tulip virus X] -METILTALEKAGFTRTKLPLSKPLVIHAVAGAGKTTLIQSLLAEHPNLSAQTAGVPQNPTLDGAYIRKLT -IPESNKLNILDEYAALHPLKGSWDVVCADPLQHPNTALRPHFLKTTSHRLCPATTGLISKLICPCSSSRP -EPTKITVTGLFESPLFGTIISLDDTCHKLLQAHGLQPHCPESVLGLEFPVVTVVSSLPLHEIVEKHRLYI -SLSRHTQELHVRCPPPHPTH - ->NP_620837.1 25K triple gene block protein [Plantago asiatica mosaic virus] -MDSIINALTSNNFQRTNTPISKPLVVHAVAGAGKTTLIQNLLPEHPNLAAQTAGSPQTPNLTGAFIRKLT -CPESNKINLLDEYAALQPLKGSWDVVLADPLQHPGLALRPHFIKSVSHRLCPATTRLISKLVCPCTSSRT -EESTIQFSGLFEGPLLGTVIALDQTTQALLTAHGAHFLCPTAALGLEFDTVTVVSALPLEEVADKVGLYI -SLSRHRSQLHVRSPPPHPSH - ->NP_620716.1 triple gene block protein 1 [White clover mosaic virus] -MDHIHLLLSAHGFTRTRLAKSKPIVVHAIAGSGKSTVIRKILSDLPTPKAYTLGKPDPYSLSNPTIKAFA -QFKRGTLDILDEYGQLPLTDLDSSFEFIFTDPYQAPTDNLFEPHYTLETTYRFGPNTCNLLNQAFQSNIT -SLVTKDNISFGSPYLVDPVGTILAFQPDTYLILCLHQASFFKVSDVIGYQWPTVTLYLACKISEIPEEER -HLLFIGLTRHTESLLILGPDAFDSSP - ->NP_620643.1 25K triple gene block protein [Strawberry mild yellow edge virus] -MVEFTKRLLLERNFERTNRPITGPIVVHAVAGAGKSSVINTVSLTFQLICWTTLPEEKASFNCLHLRHLD -GPAFPGAFVDEYQLADTDLSEAAFLFGDPLQYPGPAAQVPHFVKLFSHRCGLNSASLIRELGIAFEASKL -DSVQHLDPYSSDPEGTILAFEPEVQAALASHSLDFLCLDEFRGKQWPVCTLYVSTKNLCDLDRPSVYVAL -TRHYERLLIMSFDAADTSA - ->NP_203554.1 25 kDa triple gene block protein [Indian citrus ringspot virus] -MDFAELLESKAFTRTRLPLSKPIVVHAVAGAGKTSLLENYARINPAARIYTPIAQQSNSLLLSPFTQSLE -QADIVDEYPLSTLHPGVEYVLADPIQYLGSKDLLKPHYICPTTHRFGHSTAEFLTSLGIETYAHKPDRLT -IANIFKTEPHGQVIACDLDTQQLAARHSLDYLRPCQSIGKTFKDTTILISHELNRDTLTKEIYIALTRHT -NSVTILTPDAPSTSS - ->NP_148781.1 25 kDa protein [Cactus virus X] -METLTALLIANGYTRTDLPISKPLVIHAVAGAGKTTLIRQFLHQHSATNAQTLGTPDKPNLSRKMIRQFS -MPKANHFNILDEYCAQPLKGSWDAVFADPLQHPDYALEPHFIKETSHRLGPSTCELISSLGILIYPDSED -QVVTRKGVFESELFGVVIALDEAIFQLASRHGLKPLCPKATIGLQFPVVTVLSSLALEQVEDSTALYIAL -TRHTKELHVRCPTEADATT - ->NP_112030.1 triple gene block protein 2 [Banana mild mosaic virus] -MNSSLENLKQLIENFGFTATGNPVTDKIVIHGVAGCGKSTLTKELLKDNNFNIVNALSKEDFDITGQYIK -KELTTSDSKINVLDEYLSVDCHKGFQVLLADPFQYKGKPYLANYIKKKSHRFKKELVPILLELGVEVEVE -EEGLNIIRGSAYEIEPKGKIISVEEEVVKYVSEHGLEIHYPSCIQGQEFDVVTFYHKEDLRALDRSDVYV -ALTRVREELRLLQL - ->NP_077080.1 '25 KDa' movement protein [Clover yellow mosaic virus] -MRFSQITSMNTLTNLLLSEGYSRTTKPLSEPLVVHAVAGAGKSTLIRKLLAQSDAYRAHTNGPPDPPTLA -CTSILPFTSNPPQHTFNILDEYPIGQSKGYKALFADILQHRNNHQSPHFIKTTSHRLGPSTAKLIRETLN -IPLEGTGDAELKSILPVLGSPLFGILIALTPEVATLLKAHGAKFYCPDQVLGLEFPVVTVLSTLPLASLP -DKSSVYIALSRHTQELHVRSPDTPHPAP - ->NP_044331.1 triple gene block protein 1 [Papaya mosaic virus] -MNHFINLLVAEGFVRTNEPLTDQLVVHSVAGSGKSTLIRKFLEEQPLARAYTHCRADPPNLEGRFIQPFK -GPCPDHFNILDEYCKEPISAKFQVLIADPLQYRTQHLRPHYVNHKSHRLGPETCKLLSSLGIKVESHRRD -RDVVTLSGIFGSPILGQAIALDRSASDLLRAHGIQALCPIESIGQEYPVVTVVSSEPLRNVRFKDQVYIA -LSRHTEQLHVLSPEFPHTTSRPQ - ->NP_042696.1 triple gene block protein 1 [Cassava common mosaic virus] -MDSFIDELTVAGFTRTSLPFSKPLVVHAVAGAGKSTVLRNFCKLEPTAVAYTHGKPDPPNLEQTHIRVVQ -NPVKGHFCLLDEYTAQGVSNIEGWSALLADPLQHDSPALRPHFVKYLSHRICPKTATLLQELGIKIQSAR -QDEAEINFTGLFDGPIIGTVIHLDQIAKKLASSHGIHSYCPRELRSFETDVVTVLSTSPITELNDKVGLY -IACTRHTTALHVRAPPPYPSN - ->NP_042584.1 NTP-binding helicase [Bamboo mosaic virus] -MDNRITDLLTRSGYLRTSEPRGAGQQLVVHAVAGAGKTTLLREILNTIPGVTIHTAGTPDPPNLTLNYIK -GQEPPCPKKYTILDEYPARAKWPEEAWDMLIADNLQHTGPTTRPHYIKHTTYRLGPQTVKTLQSLGYQLE -FQHRADQQDQGFSFTGLFDGPIYGQPITLDTAAHNLALAHGLPALQATQTRGLEYDTTTIISSTPLPTVK -DKVGLYIAFTRHRKACHIRAPGINPTCDVASSHGPASSSGQTT - ->NP_040989.1 26K triple gene block protein [Foxtail mosaic virus] -MDSEIVERLTKLGFVKTSHTHIAGEPLVIHAVAGAGKTTLLRSLLELPGVEVFTGGEHDPPNLSGKYIRC -AAPPVAGAYNILDEYPAYPNWRSQPWNVLIADNLQYKEPTRRAHYTCNRTHRLGQLTVDALRRVGFDITF -AGTQTEDYGFQEGHLYTSQFYGQVISLDTQAHKIAVRHGLAPLSALETRGLEFDETTVITTKTSLEEVKD -RHMVYVALTRHRRTCHLYTAHFAPSA - ->sp|P15403.1|TGB1_WCMVO RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDHIHHLLSSHGFTRTRLAKSKPIVVHAIAGSGKSTVIRKILSDLPNARAYTLGKPDPYSLSNPTIKAFA -QFKRGTLDILDEYGQLPFADLDSSFEFIFTDPYQAPTDNLFEPHYTLEITYRFGPNTCNLLNQAFQSNIT -SLVTQDNISFGSPYLVDPVGTILAFQPDTYLILCLHQAPFFKVSEVIGYQWPTVTLYLACKISEIPEEER -HLLFIGLTRHTESLLILGPDAFDSSP - ->sp|P22592.1|TGB1_PVXCP RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDILIISLKSLGYSRTARPLDSSPLVVHAVAGAGKSTALRKLLARHSTFTVHTLGVPDKISIRTRGIQKP -GPIPEGNFAILDEYTLDATTREAYQALFADPYQAPELSLEPHFYLETSFRTPTKAAALIASCGFDFETNS -QEEGHLEITGIFKGPLLGKVIAIDSEAETTLSRHGVEFVKPCQVTGLEFPVVTIVSAAPIEEIGQSTLFY -NAITRSKGLTYVRAGA - ->sp|P09396.1|TGB1_PVX RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDILIISLKSLGYSRTHKSLDSGPLVVHAVAGAGKSTALRKLILRHPTFTVHTLGVPDKVSIRTRGIQKP -GPIPEGNFAILDEYTLDNTTRNSYQALFADPYQAPEFSLEPHFYLETSFRVPRKVADLIAGCGFDFETNS -QEEGHLEITGIFKGPLLGKVIAIDEESETTLSKHGVEFVKPCQVTGLEFKVVTVVSAAPIEEIGQSTAFY -NAITRSKGLTYVRAGT - ->sp|Q07632.1|TGB1_PVXHB RecName: Full=Movement and silencing protein TGBp1; AltName: Full=25 kDa protein; AltName: Full=Silencing suppressor P25; AltName: Full=Triple gene block 1 protein; Short=TGBp1 -MDILIISLKSLGYSRTSRPLDSGPLVVHAVAGAGKSTALRKLLARHSTFTIHTLGVPDKISIRTRGIQKP -GPIPKGNFAILDEYTLDATTREAYQALFADPYQAPELSLEPHFYLETSFRTPKKAAALIASCGFDFETNS -QEEGHLEVTGIFKGPLLGKVIAIDSEAETTLSRHGVEFVKPCQVTGLEFPVVTIVSAAPIEEIGQSTLFY -NAITRSKGLTYVRAGT - diff --git a/seq/clusters_seq/cluster_810 b/seq/clusters_seq/cluster_810 deleted file mode 100644 index 1803190..0000000 --- a/seq/clusters_seq/cluster_810 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_002308567.1 putative coat protein [Peach mosaic virus] -MSARLNLQMRIQTDLISFLSQANRPLNSRSEPEKKLILRSIFANIAIQGTSDSTEFISTTVEVRSSTGQE -VLVSYNLRTIVGLIKLFGSTSEDENVNTRTFRQICECFAEYAKNGLLALKLLREYTNLYKKAPSLGGKYP -ELCFDFNSGLDMLEMNNEQRKVITNFNQRLIQTEIAKSEAEANTSAVSTNLCV - ->YP_224132.1 22 kDa protein [Apricot pseudo-chlorotic leaf spot virus] -MSALLNLRSKADTQLRAFLAQEGGPLHGKTGATVELILQSIFANIAIQGTSEQTEFLNEVVEVKEPGDST -LLQSYNLRTVVNLIKMFKTSSTDGNINGMTFRQLCEAFAPEARDGLVRLKYMGVFTNLYGTMPEVGGKHP -ELMFDFNRGLNMLIMDQKRRTLITNMHRRLMQTEHAKSENEAKISAVSTDLCV - ->NP_062430.1 coat protein [Cherry mottle leaf virus] -MSARLNLTNKIHTELIAFLSQANRPLHQRSEPEKKLILRSIFANIAIQGTSDSTEFISTTVQVMRPTGAE -ALVSYNPRTIVGLIKLFASTNEDENISRSTFRQICEHFAEYAKNGLIALKLLREYTNLYRKAPSLGGKYP -ELCFDFNGGLDMIDLNNEQRKVITNFNQRLLQTEIAKGEAEANMSAVSTNLCV - ->NP_040553.1 coat protein [Apple chlorotic leaf spot virus] -MAAVLNLQLKVDASLKAFLGAENRPLHGKTGATLEQILESIFANIAIQGTSEQTEFLDLVVEVKSMEDQS -VLGSYNLKEVVNLIKAFKTTSSDPNINKMTFRQVCEAFAPEARNGLVKLKYKGVFTNLFTTMPEVGSKYP -ELMFDFNKGLNMFIMNKAQQKVITNMNRRLLQTEFAKSENEAKLSSVSTDLCI - ->sp|P54890.1|CAPSD_ACLSA RecName: Full=Capsid protein; AltName: Full=Coat protein; Short=CP -MAAVLNLQLKVDADLKAFLAAEGRPLHGKTGAILEQTLEAIFANIAIQGTSEQTEFLDVLVEVKSMEDQK -VVGSFNLKEVVGLIKIFRTTSSDPNISSMTFRQVCEAFAPEARNGLVKLKYKGVFTNLFSTTPEVGGKYP -ELMFDFNKGLNMFIMNKAQQKVITNMNRRLLQTEFAKSENEAKMSSVTTDLCV - diff --git a/seq/clusters_seq/cluster_811 b/seq/clusters_seq/cluster_811 deleted file mode 100644 index a76cc2f..0000000 --- a/seq/clusters_seq/cluster_811 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009509003.1 putative NS4B [Theiler's disease-associated virus] -MTQTSWECLDYCYRVATGTLAPRTADALESGARWLREACCGTNPPTSPFPGGWGVTQPLPLGHLAVKAWQ -TLLNNLGTAISLVTAAWAAGSSPPLACIASALLGLQSALPLDVRLPAALLAGAGGTLFGDAATGLGMAAS -FMLGGTVGTAGPFMFLLEVLGGYESTVVGASLAFDLFSGNASMSDLVYLIPALGSPGPAVAGFAVGFVLH -LALGKAPSRAWLNRLLTLLPRSVALPQDFFLEEDVRARASELLRSLSISRSVSKLLASVGDKYITRT - ->YP_009045179.1 NS4B [Simian pegivirus] -APNDAKVNIEAVEMIQDQVDWSLMNMSIAEIMALAKLKGCAAYEATSRWVAGTYTGGKAAAPSVWSSLMA -GGWAAIVGHCQSVIAAAVAAYGAVRNPPLAAGACFLMGTGVCGNIHVKLASSLLLGAVGTALGTPLVGLT -MAGSFLGGATVAPSLTTILLGAVGGWEGVVSAASATFDFMNGRLALEDLWYLIPVLTSPGAGLAGVALGL -VLHAGNSSGNTNWINRLLTALPRSSVIPDGFFHEADYVEKVSLLLRRMSLTRFIVGLVERKTEVSETTVG - ->YP_007905981.1 putative NS4B protein [Rodent pegivirus] -DYSFLSTLWGAASTGASKVYTAGAATAHSWADWWRAAGSPVAMSVPAGSRAAVALEFLEVHITALLAGGM -AIASASSSPVFATLAALLSGASVTLPSKVAWALTLAGSAAAGLCGGARAGLAVGAGFYVGSHLAGLSVVD -TAINLAAGYEACVSTCAFVLDLFDGKATMAHFLPCLAGVLAPGAACAGVAFALILRASATGDSSTWMNRL -LSMLPRSSVLPDGFFAEKRSVQLGEAVRRFSLVERVRALCDATEQAEFTY - ->NP_803215.1 putative NS4B protein [Pegivirus A] -YPCDVKPVAEAVGILETECGWGPMAASYAYXKACEVGSQVGTKCDALWKAWTAGVLVPPAPALADNAPGL -LATLDTMFTQTWEAIFTNGRSLLVGXTAAYGAKRNPPLGVAASFLLGMSAGRAVHVRLAAALLLGVGGTM -LGQASTGLAMAGAYFAGGSITSSWLSIIITLLGGWEGAVNAASLTFDLLSGKAEAKDAWCIISCLASPGA -SVAGVALGVLLWSVKKGVGHDWVNRLLTVLPRSSVMPDDFFVKDEYVNRVSSVLRKMSLSRWILTLVDKR -EAEMETPA - ->NP_803207.1 putative NS4B protein [GB virus C] -APSDAKTVTDAVAAIQVDCDWTIMTLSIGEVLSLAQAKTAEAYTATAKWLAGCYTGTRAVPTVSIVDKLF -AGGWAAVVGHCHSVIAAAVAAYGASRSPPLAAAASYLMGLGVGGNAQTRLASALLLGAAGTALGTPVVGL -TMAGAFMGGASVSPSLVTILLGAVGGWEGVVNAASLVFDFMAGKLSSEDLWYAIPVLTSPGAGLAGIALG -LVLYSANNSGTTTWLNRLLTTLPRSSCIPDSYFQQVDYCDKVSAVLRRLSLTRTVVALVNREPKVDEVQV -G - diff --git a/seq/clusters_seq/cluster_812 b/seq/clusters_seq/cluster_812 deleted file mode 100644 index f293272..0000000 --- a/seq/clusters_seq/cluster_812 +++ /dev/null @@ -1,15 +0,0 @@ ->NP_776020.1 2K protein [West Nile virus] -SQTDNQLAVFLICVLTLVGAVAA - ->YP_009329955.1 protein 2K [Saint Louis encephalitis virus] -SQTDNQLAVFLICIMTLMGVVAA - ->YP_164816.1 2K protein [Usutu virus] -SQTDNQLAVFLICVLLVVGVVAA - ->NP_775672.1 2K protein [Japanese encephalitis virus] -SQTDNQLAVFLICVLTVVGVVAA - ->NP_722537.1 2K protein [Murray Valley encephalitis virus] -SQTDNQLAVFLICVLLVVGLVAA - diff --git a/seq/clusters_seq/cluster_813 b/seq/clusters_seq/cluster_813 deleted file mode 100644 index 596384e..0000000 --- a/seq/clusters_seq/cluster_813 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009667095.1 6K2 [Zucchini shoestring virus] -GENGVKHVCDTLGLKGIWKKSLMCKDILISGFVLAGGLMMIWQSFKEKWGAVTVFHQ - ->YP_008992250.1 6K2 segment [Zucchini tigre mosaic virus] -NVDGVAHIKKELGLRGIWDKTLMVRDALVCGFTLGGGAMLVYQYLKDQFASRHVYHQ - ->YP_001936192.1 6K2 [Algerian watermelon mosaic virus] -GEHGKKHITEQLGLKGIWNKSLMCKDALVSGLVFLGGLVILWQNYKEKMLSKVYHQ - ->YP_001552426.1 6K2 protein [Moroccan watermelon mosaic virus] -ETNGRVHVVKELELKGIWNKSLLCQDALVSAFVFCGGAFMLWQHYKEQFKLKHVYHQ - ->NP_734238.1 6K2 protein [Papaya ringspot virus] -SVDGFKHIKRELGLKGVWDGSLMIKDAIVCGFTMAGGAMLLYQHFRDKFTNVHVFHQ - diff --git a/seq/clusters_seq/cluster_814 b/seq/clusters_seq/cluster_814 deleted file mode 100644 index 68e353c..0000000 --- a/seq/clusters_seq/cluster_814 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009224949.1 triple gene block protein 3 [Elderberry carlavirus D] -MQWVLTHSLICILAACVAFFVGLALRPTEPCSVVITGESVTIRACIFSGEFVDYAKALHVAHHPGF - ->YP_009215377.1 triple gene block protein 3 [Asian prunus virus 3] -MYQSYLIGLTVALAVAGLLHILSSAQEGCLIVITGESVVVKNCVYTNEFIGLVKGLKPHSHWRSL - ->YP_009204564.1 triple gene block protein 3 [Asian prunus virus 2] -MFQSYLIGLIAALVAVVLLHMLNSGQEGCLIIISGESVVIKNCVYTSEFINLVKGLKPHNHWNSL - ->YP_009094350.1 triple gene block protein 3 [Asian prunus virus 1] -MYQSYLIGLTVALVVVGLLHMLNSNHDGCLIVITGESVVVKNCVYTSDFIELVKGLKPHNHWKSL - ->YP_006905864.1 TGB-3 [Rubus canadensis virus 1] -MLQINLVVVVLVSVFVVLVLTIIDKFERENPCFIQITGESVVIKGCLFDKDFIELVKGLKPFHHELG - diff --git a/seq/clusters_seq/cluster_815 b/seq/clusters_seq/cluster_815 deleted file mode 100644 index 325b298..0000000 --- a/seq/clusters_seq/cluster_815 +++ /dev/null @@ -1,77 +0,0 @@ ->YP_009551451.1 RNA dependent RNA polymerase [Yado-kari virus 1] -MSRCSSFPSLGDPIWRTSAAKFGPPIEDGTALLKDGYLYVSPKDFRTIASVSASYTKAKKRFYRESPPSR -NHPDSKSAWRRTQRDNKKRVDNLYQGIQSVEPHLGFADDVHTAFLKFMYRPRSLTKQDEKVLANIRIPDY -ILDHPKASKTLRLPKQLPLVYSHGREPTWIEDRRDPFLTPYALKRKMLKLEPTPTGSTRIVPTSSDDPFV -LTGPTKFNPLSKLKYKLMDWNSTPSIDRVLLDKAISFTVNELSSIKFQPLNYQQVVSSKQFLKNRHHDTG -FTGPGFSDKVDLVTNPAFRSFAEKYRHSSNPATFKLFWKTEALKESKASFMPRLIFGGSIENEIAERRSL -QVFAQNLKDSRWNTFSRIGITNPELQKLYYYHNFHKDHVAYATDYSSQDVKLPSIITDASKRVLSRLAQQ -QGLSPHQVNFIALARDRVKQFFAVTTTGEVFFLESGVPSGLYFGAEGNTINHRILKHYEDLNAQKHGFMS -PSLKTVDSHYGDDFLRSMKDNSLSRIYRSNFKKIQQLSHKHTGMTVTTDLLHERPLDHHESAFLRRSFTK -FTFADNTEQVVPIYDPIRVEQKWLQPHAIVSTPQESFDRSLGYLFLSGANNKLYSTISLYMNYLIKNFEI -KVPGVYKDMTLDSLTRNYYTMNGRPSRSASLYVDYESFMPSTTKSVIPLDLVSKNILKSPIFQDDSLALQ -LNENSAFYSSRYIDYNRPYTKKETFALDSVDCNPIRISPSSNASSRLLMDHVQLSRGTPLRFLGWNENSV -WRAITRDVTENSQPTSNQMKLLSNAVNTPISSERHINIAQIVRDLDGLDSFISPNTNTPASGVSKMSDSL -RNSSYPMNNIEDEDDLFVASYTTMTFPPPRSAPKRDLTVDGDVEKNPGPPQLLVHYPFLPHVTHAVTEKI -KKYLKPNPTRSNKDSSHRLFFITMCREYDIEDPDKYFDTYKTLAFSTLRKMKKSSDRDAFIANLYIRASR -GIQRSFSEDKKSHFTSKALLPSSIEEDKPLLKSGLNVRKPASNPNIYYCIHKCNIKDSSSLCPAAIPMEE -DPTAYIPSYKCQPKVDRLITKSQRCVYARHDGSFNRLDSSRPQLMPVFTESFYYLRLVRSRTSSSLLRYT -SRETSCHYYGSNCLGVIDRKQLSDTWVEKFQKPPKLPSTFTIPELNSLYKHDFSHYVIKRVNPIFCNTCN -LPFSKLRLNHEVGVPHDPRLYQCTKCFSPSLASWTYPFLPYGEVPTSNFTIPQLVSKIQTSAKMDCIENN -HQAHLRAKKAKVDGCPLCRTFQSTHDLHVIKGVPYLQSCEICRVGLAQQMAQACSQKTNVFRPTLESPKT -SHVSQEEVTSFLSQDQLISMPTGTLTITPTAMQSCITNGLTNIAALASAVMQAANLRGHSHATIANVSRN -TGGKDEQVQQMETLSAALEELEDEDDDQDT - ->YP_009272910.1 RNA-dependent RNA polymerase [Fusarium poae mycovirus 2] -MLEVRGHTNITLILNTNFCATGGAKSRDQTVVMRITNSKGKTREVTFPRMRINSFRDKLHIMSNTYGMDE -KSTRQVLIKIAKVDWRKRLNQLGIDSPYDLSRDQLTKFLVSKPGAVKVGTLSKWDRLPKNQLYGDPFLQK -YVESNPNIRLSNKGNPYEKEKWDYSLKGLDHEGKWFKGRMEKVATPVVHLHMRDFRRACDIVRDRYVDTV -RQFVTPFEIQRDPSYKKTVRNSKGFFSHLGATKNDVWAHRDFKRATEQFLRGETPAFHMTFPKAETIKAS -KIASGAPRMIIGAALEMEIVERMATQAFAISQQKGRWDGLASKIGIHNNEWNRLYQQHKGRYVYGTDYSF -QDLRMPRQFTHFSVDLRLNPLPKGKFVYNGKAFSWTNVQRVLSLGMSSSTWVGPQGEVYQRWHGIPSGMF -NTASVNTTNHEVLNPYLELRAGVDLATVRSVVHSQYGDDNLKSSSRPYLNFEKMKMETEKIGMVFTVDAW -AKKAPEFGPIPFELQFLQRGFTRMEDGKVSAIFDHNRVMSKFLNPHSEVKTARQSYERALNFLNCLGNRE -YQFQQVCDYIKYLGYVPPTYEYIMRSQFVENWNDSIRSVKDGILSGSAPMGMASPIVNWNLELVFALNAN -PSILAPVFTNTLKDVEMWTSGSDDFVEPFDRSRFHFTSVEYASYHTIRFSFLRTNYVVKIQKTGANVDKL -VTVHIHKSTVPGATIDESGGWVSFDAYQRIKISGGFIGNWDTRSLLLRCGDIEENPGPDMKVERYAPDSD -SGYESKNKKKCKNQKCPDALDVPWKRLSYDAEFIGQCVNSELVSDDNGFTFPLEMVKSSFKHMFKANDTI -IMGGANLKLLWFWKQKKVDYDINKTILNFWEFNCALGHWERERKRTRHLERRETGVTVNQQKRREVVFVR -PCLKTRVEERIKKTFKNLLFRVRMRMSSEKEEKRLSAEADLMFEERAREREAEQSAEFFLRSSEPQMGDF -QSTVSYLLTVKRICERFNNPPKLVEDMGFGLLHKRCVLRYRDRLGMLQDKSHFDPCYYCRLTYGARGYAG -HNCEDYSLDTAALEQRCNREREAAERQNKALEAVRFDPYANRDCVVGHSYDDGDLWD - ->CCD33025.1 RNA dependent RNA polymerase [Aspergillus foetidus slow virus 2] -MSRCSSLPSIGPQSIFRTSAASHGPTPTVNSPLFKDGYVYVDIKTYRALAKIHKSYASVHSRMVRESPKG -VEKTAMRRIQRNNAKNIRKFKKYLDSVPVHNAFPNDVHSEYLRFMYTPSALSENTIDLNSIRLPKSITKH -PKFNSDLRLPRQLPIHYGSKDTRSWSNDRRDPFLTPYLLQKKLAVLEKRPVGDQLKSFGSDPFVYVGSNT -RYVHKDKLAYVLRSWHNDSRLDRKTLDKAIDLTVQQYLSVKLKPMTYREVISSQQFLKNRTHDTGFSGIG -FKDKFDLATTPSFVAFTDKFEKSKNHSATFKLFWKTEALKESKASFVPRLIFGGSIESEIVERKQFQHFS -KNLKDSRWNTPSEIGISNIEFQRLYKHHNFHKGWKALAVDYSKQDIKMPRSIIDARTRVLAHLSQLSGYS -IHDVNKVVNASKKVSSFNALTPTGEVFHLDTGVPSGLYLGAEGNTLNHSIIGNYLDLRLGFVPHKTVDSR -YGDDWLRSLKPTRQNLRYLSRGEELFRIVDKELGMQTTVDLWGETPLNHHEPSFLRRSFTEWTIDGKRQV -VPIFEADRTLQKWSMPHSIVSTPQDSFDRSLVFDVVWSHPRPYGLIRNYMDQLILNHPEIKVPDVYKSMT -LTSLTKDYYTPSGKPVLTLSSKNPKYFAPEFVPTQRIIGPDREKLTSLSQLSHSDILLSGDIEENPGPIK -STKNVIRHFQLFLKELARNGISYVPSFSDFLNFKQISFSTINKHLKTASLVWKIYKKTIPGLTNAMRKYR -SYCQQKLRDRFRPASEDVISSPMKQNIHSEGLLRAISRSDTGVPFQEPCPYPSRIKSSEISLRPPRKLRV -PGDWPCGIDSFYHECPLQEISPHCTNHCPFYDSVFDTESINDILSIDLPSVYRDRSYISESAIFRRILLN -TDLEDSSKTIENFNGARLFTSHTGNRVFASSFGVISGFNGTVTSLGNTILLS ->YP_009182156.1 115 kDa protein [Penicillium aurantiogriseum foetidus-like virus] -MSRCSSLPSLGPNSIYRTSAASHGPTPTMDSPLFKDGYVYVDIKTYRRLAKIGKHYSNAAKFTHRESPKQ -AESKTAFRRQQRSNAKNIRKAQKYINSIPVHNSFLNDTHSEYLRFLLNPVALSEDKKTIQKSRIPKSITS -HPKFNNDLINPRQLPIYYGDKDHRSWVNDRRDPFLTSFALKHSLAIEEERPHGTQLKSSGSDPFVFVGSN -TRYVHNDKLAYILRSWHNTTRLDRKLLDLAIKKTVADYAPVKFKPMTYNEVITSQQFLKNRAHDTGFSGI -GFNDKFSLATNPSFVAFQEKFEKTKNHPATFKLFWKTEALKESKARFVPRLIFGGSLESEIMERKQFQNF -SKSMKDSRWNIPSKIGISNIEFPKLYRHHHFDKGWTAVAVDYSSQDVKMPRAIIDARTRVLARLSQLNGY -SISATNKVVNAAKTVSHFNVLTPTGEVFYLNSGVPSGLYLGAEGNTINHTIIGNYLDLAMKFTPSKVVDS -RYGDDWLRSFKPTRQNLHYLNSRDKLFNIVDTHLNMKTTVDLWNDHPLDHHEPAFLRRSFTKWTVNGTRQ -VVPIFDADRTLAKWVIPHSIVRTPQDSFDRSLGYLMLCGANPKSYGLIHNYMDSLIRRYPEITVPQVYST -MTLTTLTRDYYTQLGKPSFTSPVPDDHHFLPNYVPTQRLSPEDKAKISFLSGLSHRDILLSGDIEENPGP -IKTTKKLILHFQRFISRIRRTIGVNVLVPSFSEFLQFKEISLSKINKKINEFSLIHKIYKRTIPGSPNVI -RTLIRDSRQKLSDRFTLSTSESVSAAPLAQNIHSEKLLRAVSRPNVTSPLSIPCPISSHIVKSDPSCKDP -FRYKMPGDFLCGIDTFYHICPLTEMTPHCKGHCPYIMDFNSTGITSEPLVAVPVHDSEDSDSVPYSPPDL -DAEFMLLCNIYQDNSMYHDAVTVERYPTYIISTNHSSLVTLKADFAYISGFQGNILSTPEGILIS ->ANR02697.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mycovirus 1] -HDVGFSGVGFSDKHELATNPSFRAYAHKFRASSMPATYKLFWKTEALKKDKAQLIPRVILGTSLESELAE -RRSFQPFVAALKHSRWSTASKIGISNQEFPRLYDRHRFNRGWVANAVDFSKQDRYMPKIIMNARKQVLTG -IARSQGLDTRVINDIARICDKTSSFFVVSPTGEIIELESGHPTGLYLGAEGNTLNHRIIQNYLDIKLGHV -KTKKVDSQYGDDWLRSLPPNSPQTAKYMKNREAMFDLVKHDFGLLTTVDLWNEHPLNHHEPAFLRRGFRP -NPFGPGVIPVFDANRVRNKWLVPHATVKTAQ diff --git a/seq/clusters_seq/cluster_816 b/seq/clusters_seq/cluster_816 deleted file mode 100644 index 7445b2e..0000000 --- a/seq/clusters_seq/cluster_816 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009508082.1 19-kDa cys-rich protein [Japanese soil-borne wheat mosaic virus] -MSACAFHSCDKCVDGPKNVVCVSKYRHSVYKVLGLTVVKCRLPSDCGVNCGMPAAFVLENGHPRLTLDGY -CGEKHKGYVISGAWRHAQLRTLNEELDRLEKREEFLKTQIKLLSDSAKANTAPVYVPKKINRMKAEVWDV -NDKIQDRSAALADVMDAVALDLSPDSSPRKSKPL - ->NP_059487.1 hypothetical protein Ogsvs2gp3 [Oat golden stripe virus] -MSAAVHSCAKCADGFTRVVCVSKYRNSVYKSLGMEVVKCRLPSACGVNCRMPAALVLVKGHPELSMDGFC -GEKHRGYVVSGAWRHAQLRSLNAELDKLTEKEESLRTQIIVLTAAAKTADAPVYVPKKLNKLKVEVSDVT -EKIHQKSVGLAVVMDAILADLSPDASPKRRSPA - ->NP_059484.1 19 kDa cysteine-rich protein [Chinese wheat mosaic virus] -MTTGTHSCEKCANGFSNVICVSKYRTSVYKSLGLVPVKCRLPADCGVNCGMPAAFVLVKGHPELSMDGFC -GEKHRGYVVSGAWRMAQLQTLNAELDKLEAREESLRSQIRGLNEAIKASTAPVYAPIKLQKLKVEASSVD -EKKQTRSTDLCAVMTSVMTKLSPDSTPKKTRVE - ->NP_059148.1 hypothetical protein [Soil-borne cereal mosaic virus] -MSACAFHSCDKCVDGPKNVVCVSKYRHSVYKVMGLSVVKCRLPADCGVNCGMPAAFVLEDGHPRLTLDGY -CGEKHKGYVISGAWRHAQLRTLNDELDKLEKRGEFLKTQIRVLSETANANTAPVYAPTKINRMKAEVQDV -NVKIQDRSTALAGVMDAVALNLSPK - ->NP_049340.1 19 kDa cysteine-rich protein [Soil-borne wheat mosaic virus] -MSTVGFHTCASCVDGPKSIKCVSKYRISVYKTLGLDVVKCRLPADCGVNCGMPAAFVLEQGHPKLTMDGY -CGEKHRGYVLSGAWRHAQLRSLNAELDTLEAREESLRAQIKALSAGDHCPAVLAYVPKKLTKLKAEVHDV -TGKKQVCITGLVDVMDSALVRLAPDSPPKKISSL - diff --git a/seq/clusters_seq/cluster_817 b/seq/clusters_seq/cluster_817 deleted file mode 100644 index cd20ce2..0000000 --- a/seq/clusters_seq/cluster_817 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009362191.1 phosphoprotein [Nkolbisson virus] -MSRQRLREIAGRYDYSRVAKNLEGLEEDENEKELEAAAGTIPANIVEEPDSSEDEASDGDEEELERIFRE -DEKSEDELENDHFELSLEAEEEAELESSSDKTIILPPMPPLSGLRYQDELENIILHYVSTSLASVGWYLN -PQQIEKGEGGLRVGINKITDNQPLSSLDTTAPEESLDVVIPDDLIKEEEDTVTRPDVDKLFREGLSFTRK -GNKGIIEVNSETPGWSKELLEFATTMFGNRQDQIDYILDELELLPMIKRLCIYP - ->YP_009362156.1 phosphoprotein [Barur virus] -MSRSRLREITGRYDYSKVSKNLEGLEEEENDLELDASAVYHPVLDVRDHSMLEDEDSSNSDEDVVSVKDL -SDDPFEQDLEESEASALAPREVDTYIKLPQPPSYSSLKSQEEFEELILQSVNSALSQVGYHIDPESIDRQ -QGELIIGLNEIDPGPYSPSHEGESGDLSSNLSNKGEKDEYSWVSAEMMDIITNGLVFSRKNGRGTIEVTS -DTPGMNRELVYEAFKNTKDRQLAIDYILQELGLISMIKRLCQYP - ->YP_009505472.1 hypothetical protein [Nishimuro ledantevirus] -MSRSRLREITGRYDYSKVSKNLEGLEEEENELELDTSPLYHPILDVRDHAEAEEDESSDSDEEVASIRDL -SDDPLGQDLEDSENSALQPREVDTYIRLAKIPSYTVIQSQEDLEDLILQAVNAVLNQVGYHMDPESIERQ -KDELVFGVNETGTGPHELKEERESEEVSSNISNKGEKDEYDWVSTEMMDIITNGLTFSRKNGKGSIEITS -ETPGMNRELVYEAFKNTKDRSSAIDYILQGLGLIGMIRRLCHYP - ->YP_009361999.1 phosphoprotein [Fukuoka virus] -MSRSRLREITGRYDYSKVSKNLEGLEEEENDLELDASTVYHPVIDVRDQVVIGEDDSSDSDEDIVSMKDL -SDDPLEQDLEDSEASAKIPREVDTYVRFSKPPLYSSLKSQEELEEIILQAVNSALNQVGYHIDPESIEKQ -DNDIIIGLNEIDPGPYNSGPELESEDISSNLSNKGEKDEYSWVSTDMMEIITNGLTFSRKNGKGTIEVTS -ETPGMHRELVYEAFKNTKDKQSAIDYILQELGLISMIKRLCQYP - ->YP_009305119.1 putative phosphoprotein [Yongjia Tick Virus 2] -MDRSRIEEIRKRYNFQKVKESMKDTEEESNEAIAREPPNPYNTPLPSRGSSFDSDTEDSSEDDEQNDISS -KNSDDLEKAMTDQELSDSSSGSSTGHRITVPVPKIQELSTQAELEDLVCRSVHLALVEVGWVLDPGSLRR -KRGTLILQALPKARQLPRSSSESGTETEPSTMTLGLARSSEQTERTIEEVTAYYTTICHSMEDGIKFPRK -DGKGSMILSYKTPGVNHDLLKKLAHETTDMKEFVRVLLTELRLITTVMKYCHYP - diff --git a/seq/clusters_seq/cluster_818 b/seq/clusters_seq/cluster_818 deleted file mode 100644 index d9cdf28..0000000 --- a/seq/clusters_seq/cluster_818 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009512990.1 phosphoprotein [Flanders hapavirus] -MENIEKRKELGKKVDWANFSASVEASVDDDDEDYSSQNLPSLPDSSASVDDWACSILNPKEVPPITQESP -DQSTIKIGDIRIPNHLTFDEENNLLLDIESLLLQLDQTLSIVENSPQRSKRVISIYKNIGSDAKPPKNTP -PPIPQESHVPESKSPDNIFNKYMEEVLSDLEKGLMVKKIAGGVVKLTLKTLGVDPMSFAGKSFPDKRAAY -RAILKKSPKRQMISSTCISPY - ->YP_009505462.1 phosphoprotein [Mossuril virus] -MDNFDKRKKLAKTINWDDFSKSLNSAVDDDLEDDPISSLPEVKLPTNAVDDWADHILNPKEDLEDPQEGS -SIDPLATVIHEIRVPKHLTAEEENALIEDIETLLLLTDNRLIGNMTEKSKFKKVIQIVTPSGLNPNFYLP -REKLREIVKPKVPPKPPVKLDIEGPRSTEGFTAPAVVQEPPPNKEGPLFQVISDLEQGLTVRKISGGTVK -LTIKSLGLDIGSLDLNKIYPDKKAAYKAILKRSAKRQMISSTCVCPY - ->YP_009361981.1 phosphoprotein [Kamese virus] -MDNFDKRKKLAKTINWDDFSRSLNSAVDDDLEDDPISSLPEVKLPTNAVDDWADHILNPKEDLEDPQEGS -SIDPLATVIHEIRVPKHLTAEEENALMEDIETLLLLTDNRLIGNMADKSKSKKVIQIVTPSGLNPNFYLP -REKLREIVKPKVLPKSPAKLDIEGPRSTEEVITPVVTQEPPPNREGPLFQVISDLEQGLTVRKISGGTVK -LTIKSLGLDIGSLDLNKTYPDKKAAYKAILKKSAKRQMISSTCVCPY - ->YP_009361961.1 phosphoprotein [Mosqueiro virus] -MDRVKKLQSFGAGINWEGIDTSLSSSKDDDLEDDPTVFPEMEPQHSGDTDWADAVLNPKELPPSLEEKKR -DDNFHQIGEIRLPNHLTASEELDLVNDIERFISQIGGNFVYEMRSYSKSKRVLAISEKLTGKCTPAKTIP -IPPDPPGPKEIAEAQLKGPLFEVLKDLDDGLTVKKRSGGTAKLYASSLDADLDKLDVTRIYTDKKSAFKA -ILKCSPKRQFISSMFVFPY - ->YP_009361952.1 phosphoprotein [Hart Park virus] -MENIEKRKELGKKVDWANFSASVKASEDDDDEEYSSQNLPALAESSAAVDDWASTILNPKEMPPFTQDLP -DQSTSKIGDIRIPSHLTFDEENHLLLDIESILLGLDQSLSLIETSSQRNKRIISVYKSLDYQCSPPAKAP -PPIPMEKSPIASTSKDSSFDRNMEEVLMDLEKGLMLKKIAGGSVKITVKTLGVDPLTFIGKSYSDKRSAY -KALLKKSPKRQMISSTCLIPY - diff --git a/seq/clusters_seq/cluster_819 b/seq/clusters_seq/cluster_819 deleted file mode 100644 index 9264cfa..0000000 --- a/seq/clusters_seq/cluster_819 +++ /dev/null @@ -1,37 +0,0 @@ ->YP_009508585.1 Bel2 protein [Yellow-breasted capuchin simian foamy virus] -MTQSALDLTHSFLTLVQSLCYASQEEIQATAGAKGKGKYLEVDPPQYCLPILSPTPLSGRNLRECIQAVW -GLYQSWYKRCKVNVPDLRWRRSKTGVLCSETLPVPPLGSVTFLAWKDTTIMIVCSGDDGFHANEEGEVCS -STGCAQIIWPCKINSQGDCQPYIYRLRRRHPLCYESNSLRHETSLQNLPICPYGEKGQCPLWEYRKDVLS -YFSGNPLTPEVLKKKCGEFTFSLYLVERLNLKEGSLPNSYFRAKLAWGSPKGSLVERVLWGKENKAPYYD -PGYPFDEEDEGQAELDFDSCFSILPRITKTNTKETIQTWLNKAVPAGFKLMTPDGSVIESKRSKFHPLDV -ENEDNPKCPYCPLDHAGYTSSESDDE - ->YP_009508580.1 bel2 [White-tufted-ear marmoset simian foamy virus] -MTQSALDLTHSFPTLVQNLCYASQEEIQATAGAKGKGKYLEVDPPQYCLPILSPTPLSGRNLRECIQAIW -GLYQSWYKHCKVNVPDLKWRRTKTGVLCSETLPVPPLGSVTLLAWKDTTIMIICAGDDGFHTNEEGEVCS -STGCAQIIWPCKVTNQGDCQPYIYRLKRRHPLCYENNSLRHETSLQNLPICPYGEKGQCPLWEYRKDILS -YFSGNPLTPEVLKKKCGDFAFSLYLVERLNLKDGQLPKSYFRAKLAWGSPKGSLVERVLWNKENKVPYYD -PGYPFDEEDEGQGELDFDSCFSILPRITKTNTKETLQLWINKAVPAGFKLVTPDGSIIESKRSKFHPLDI -ENEENPKCPYCPLDHAGYTSSESDDA - ->YP_009508569.1 bel2 [Squirrel monkey simian foamy virus] -MHHAAISTITPIITVNDLTFASQEEIQACGGVQGKGDYYEIPVKSLYIPVLSPTPLSGHSLRQVICTLWR -LYQSYYNQNCKPVPPLRWRERRRGNWQSETLPIPPLGCVTWLAWEDTTVIIICGGDDGFKITEEGQCCSS -TTCAMIVYPCKITSNQLCNPFIRSLRCRHPFSFECIPLRHENSLRDLYKPPVYEERGKDVKWEYRKDVVE -YYSGNALTPDVIRWKSTKFQKVLHVIEKLSFTEGRLPMSYARAKEEWGSPKGRSGERVLWTKKYKKDYYD -PDFPFDELDEEQEWETESWFSMIPRLTKSSTGETIQSWLSQAVPAGFKLTRPNGDKYVSKRSVFHPLDIE -KKDRWNPRAYDWCMCNESDPSGEDSDEYQ - ->YP_009508564.1 bel2 [Spider monkey simian foamy virus] -MTFIICQKYTAIVICGGDDGLQTEPNLFACSSTTCALIIWDCFIGCKKDTRPWVQSLRKYHELDSRSPSI -RHQSNLQALLPPVYGEPGICCLQEYRKFLDTVTGNMLTPHVIRTKCTNWMTGLHLGEELLAHEGKLPITY -CRAIHEYGNPQDSIEERVLWENQHKNYPYYDPSCPMDLLDEALAKEDEGIWTGPVPRVTKCMTPTGLQWW -ANRALPKGYQLVTPRGIKITSRRSKFHPLDVTEEDFCTPQAHEWDPDNETGTDSESED - ->NP_044932.1 Borf-2 [Bovine foamy virus] -MTLDAVITHWKWQNQYSPGGPTVLWSQRSRLGCWETQMRLPGFGQVMIKAHRNGTLMMICSGHDPKLQND -MCCGPPVCYGIFCREGLHEREQQCWPTIIRFNQGHPALFDNSSASFSETQKYLRGTPELDFIRFKNSVNY -TPASGPMITWKSLKFQAAQLEDMRNPRIGQIGFSTNLGPGIHAYGPAMPSRQERVWWEDHPEISKGQPPF -TCPEDWLECEEDSENEELSVSPLTGWEPHRDLKKWVNFALPYGWSLMDPLGNRFRSQRKDDSDESTSTDS -EEELQEIQQINPAEVGPSRPPGGYSKLRRRRRVPAARLYATTDSSEEDLDLKTSQ - diff --git a/seq/clusters_seq/cluster_82 b/seq/clusters_seq/cluster_82 deleted file mode 100644 index 6be9fff..0000000 --- a/seq/clusters_seq/cluster_82 +++ /dev/null @@ -1,640 +0,0 @@ ->YP_009305199.1 gag protein [Simian retrovirus 8] -MGQELSQHERYVTQLKEALKTRGVKVKYIDLLKFFDFVKDTCPWFPQEGTIDIKRWLRVGDCFRDYYDTF -GPEKVPVTAFSYWNLIKELIDKKDKDPQVLAAVTHTEEILKSSSQTDLRESPPDKETDLISLDSDEEETK -APSIKNQKVPVTKKSQDKPKRFPVLLTQENKADNDPDPSEVDWGELEDEAAQYHNPDWPPFLTRPPPYNK -ATPSAPTVMAVVNPKEELKEKIAQLEEQIKLEELHQSLIVRLQKLKTGNERVTNPDSTGGPPVMPRWPGQ -HVPKGKCCASRDKEEQPIKDIFPVTETVDGQGQAWRHHNGFDFTIIKELKTAASQYGATAPYTLAIVESV -ADNWLTPADWNTLVRAVLSGGDHLLWKSEFFENCRDTAKRNQQANNGWNFDMLTGSGNYASTDAQMQYDP -GLFAQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFSEFVHRLMTTAGRIFGNAEAGVDYVKQLAYEN -ANPACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLNNKNKDKGGCFKCGKRGHF -AKNCRDSTIKTSEVKVPALCPRCKRGKHWANECKSKTDNQGNPLPPHQGNGMRGQPQAPKQAYGAVSFVP -ASNNNPFQNLLEPHQEAQDWTSVPPPTQY - ->YP_009305201.1 pol protein, partial [Simian retrovirus 8] -KRIWKFLTMAIDMLAPQQYADPITWRSDEPVWVDQWPLTSEKLTAAQQLVQEQLAAGHVIESNSPWNTPI -FVIKKKSGKWRLLQDLRAVNATMILMGALQPGLPSPVAIPHNFLKIIIDLKDCFFTIPLHPNDQKRFAFS -LPSINFKEPMKRYQWKVLPQGMANSPTLCQKYVAAAISNVRNAWPQMYIVHYMDDILIAGSEGQQVLQCF -SQLKEHLTMAGLHIAPEKIQLQDPYTYLGFHMNGPKITNQKAVIRKDNLQTLNDFQKLLGDINWLRPYLK -LTTGDLKPLFDILKGDSNPNSPRSLSKEAQMALNTVETAIAEQFVTYIDYSQPLIFLIFNTTLTPTGLFW -QNNPIMWVHLPASPKKVLLPYYEAVADLILLGRDNSKKYFGIEPFTIIQPYSASQINWLMQNTETWPIAC -ASYAGNLDNHYPPNKLIQFCKLHTFIFPQIVSQSPLNDALLIFTDGSSTGIAAYTFSGTTVKFHTTLTSA -QLVELQALIAVLSAFPDQPLNIYTDSAYLAHSIPLLETVTQITHISTTAQLFLQCQQLIHARSIPFYIGH -VRAHSGLPGPIAEGNKLADLATKTIALNLQTNLKSAQASHALHHLNAQTLKQMFNIPREQARHIVKNCPT -CVTYLPVPHLGVNPRGLFPNMLWQMDVTHYSEFGKLKYIHVSIDTFSGFILATLQTGEAAKQVIAHLLHC -FSIIGPPKHLKTDNGPGYTSKTFQDFCSTLQIKHTTGIPYNPQGQGIVERAHLSLKTTIEKIKKGEWYPT -KGTPRNILNHALFILNFLNLDDQGKSAADRFWHNDPAKQTAMVKWRDPLDNKWHGPDPVLIWGRGSVCIF -SQTHDAARWLPERLVRQINNDNQSRE - ->YP_009305200.1 protease, partial [Simian retrovirus 8] -SGKSTATPSGKRNEGPAPGPEASLWGGQFCSSQQQQSVSKLIRATPGSAGLDLCSTSHTVLTPEMGPQTL -STGIYGPLPPNTFGLILGRSSTTMRGLQVFPGVIDNDYEGEIKIMAKAIDNIVTVPQGNRIAQLVLLPLI -KTAHTIQHPYRGKGSFGSSDIYWVQPITNQKPSLTLWLDGKLFTGLIDTGADVTIIKQEDWPLDWPTTDT -LTNLRGIGQSNNPKQSSKYLTWSDKEGNSGLIKPFVIPNLPVNLWGRDLLSQMKIMMCSPNEVVTAQMLA -QGYAPGKGLGKKENGILQPIPNRGQCDKKGFGNF - ->YP_009243641.1 putative viral DNA polymerase [Bovine retrovirus CH15] -MEPIALSWKSNEPIWIEQWPLTREKLQAAEELVEEQLQKGHIETSTSPWNSPIFVIRKKSGKWRLLTDLR -AVNASMFPMGALQPGLPAPVMLPRDWPLLIIDLLDCFFTIPIHPQDKQRFAFSIPKINNAGPHTRYQWRV -LPQGMMNSPTICQLYVDAALKPIRKRYPFLHIYHYMDDILFAGPKKEFLQDLLEQLPLYFKPYGLIIAPE -KIQLEDISHYLGFVVSRTTVHPQKMTIRRDNLKTLNDFQKLLGDINWLRPSLGIPTYQLHNLFDTLKGDT -DLNSARCLTPAAEEELQFFEHQLKSKFLMRIDPLLPLTLYLINTFMYPTALLGQNEEPCEWLYTRHRFHR -SIMSYEQQIAILIQQGRQRCISLSGYDVSTIVLPLTKSQFFFLLQRSEALQIALAQFVGNIEYTLPKGKL -WDFFKRHNFVINQIISDIPLEGPNVFTDGSRQRSAYWAEKKYWSQKQNTNSIQKNELLAIIQVLKDFKQD -INIIADSAYVVGVVKNIIGAVINSLDKELTTLFKTLQVLLTSRNARIFIVHIRSHSKLPGPLVYGNEQVD -KLAAFASPEEEHRYFHNNAGSLHHRWRISWKQAKDILSNCQICKPLHLRRTSIGVNPRGLAPDALWQMDV -THIPEFGHLKYVHVVIDTYSRFIWATPQGGETVKHVIAHLLESFAVLGIPQTIKTDNGPAYSSNKFKRFL -TQYKIHHITGIEYNPQGQAIIERAHGTLKLYIKKLKKRGERELELPPLIALQNNPRAVLSQVLFTLNFMN -LPQGDIQTTAERHFEEKSEPLIHEDVWVRPLMETEWVPAQLLVKGKGYGLVLLQNGKQQWLPACRIQVRR -TTGQETIATASAV - ->YP_009243640.1 putative protease [Bovine retrovirus CH15] -MGRSSLTMKGVTVHPGVIDADYQGEIQIMMSAVANISFKKGDRIAQLLILPYFSLQTSNNKRIGGFGSTN -PINVFWAQKITQQQPLVTCYIDDDKKGFSALIDTGSDITIVAQQHWPKSKSYSIIPCQVKGISQQPVSSI -GRSDTFVTLKGEEGQFAVLKPYVLNNSFSLIGRDTLEQWGAHLEF - ->YP_009243639.1 putative group-specific antigen [Bovine retrovirus CH15] -MGQCASTAPYLQMLRHFLKAYGICIDMVDINKCIQILKEYNPWFPEEGTMDSELWLQAKDNVEKAHRQGE -KIPIRFWSVWSVIFSLLRAVHGQLPLTTVQRLNGPVLDELRLDVDTKQAVHEDLKDNIYEPTPPAPVDGE -RKIMESSKGAVSEQPLAVCSFEEQIQKRFSDLSQQIEKLTLRLARTEANKTLQAKPKAALSLAAAALDEG -DFGDYEFSDDEEIPSLAVFPVIRPPPVQGQAVPARYEGIDIDVVGKLKKAVTLYGPQSHYVKEMLTGVAK -HFGNFAPHDWKTLARSLLKEPEYLQWNMWFSDLAAQQAAENAQSGNPNVRLITYPMLTGTGNFEDVNVQA -QQTPIEIHDQLVDLAMEAWDRIRPTGEHYGSWTKVMQRNNEPYVEFIARLRVVLERTVVGEKARDQLLKM -LAFENANEDCRRALLPVKETGDVNAYLKACKDIGSETRKMQMFAETMVSTWKALNEKSAMKCYGCGQEGH -LKRNCQKVNKEKRIGRKDINKQAPGICPKCKRGRHWARECRTKVSFIGLDQEEQAGNEQGGYLRGPKTKT -EGIYFPYPMPPNTVPR - ->YP_009140129.1 protease [Desmodus rotundus endogenous retrovirus] -MWRATAGSAGLDLCAATDTVLTSDNSPLIVPTGVFGPLPPNTFGLIFGRVSSTLQGIQVLPGILDNDFEG -QIQIILSTTLDLITIPKETRLAQIIILPLQHLNSNFQKPFRGSSPPGSSDTYWVQQVTEGRPLLELRING -KAFSGIIDTGADATVISESQWPRNWPLTIAATHLRGIGQSTNPQQSSKTLKWEDNEGNQGFVTPYVLPNL -PVNLWGRDILAQMKVVLYSPSNVAITQMLNQGFLPGQGLGKNHQGITQPISINPKFNKTGLGYTQNLP - ->YP_009140128.1 gag [Desmodus rotundus endogenous retrovirus] -MGQACSQHDLFVAQLKESLKVRKIRVRKKDLNSFFTFVFKICPWFPQEGSIDSRLWHRVGDCLNDYYKVF -GPETVPITAFNYYNLIADILKNHNNSPDIQRLCKEGQEILRSHSPAPSKAPSVHGLPDAHADSLSRPPSR -APSNCPSVSIQIDTNPPAPSLHPPLQEFYSSAPPPVSQDSGDQLDPSEQAELEDEAARYNNPGWPPLVAA -VLPPFKPPPYSTSHAPAAAILPSAHGASAISPAACKAPITLPLAATAAFTPLPKPFLQETLTFIRDIKTI -AKEFSAFTISPPPHETLAFPVTRSQTRPDRTENVNSSAAAAATPLPDSDNPEDSDSSPSDIDEAEPSTRD -TAAPQTYFHTYKKLSLKTLEKVKSAVTHYGPTAPFSLALIENLSERWLTPNDWFFLAKAALSGGDFILWK -SEYEDTAKQFVQRNMRKSSSKNWTILKFLGSAPYQSNEKQAQFPPGLLTQIQSAGLKAWRRLPQKGTATT -SLAKIRQGPDEPYSDFISRLQELAERLFGAGESENAFVKHLAYENANPACQNAIRPYRQGELCDYVRLCS -GIGSAHAFGLAIGAALQNFMPPQPARPPNRLCYNCNQPGHFSRACPQKSQNQTQIRIQNPTGPSTNSPGA -PATKCPRCKKGFHWSSECRSKTDIYGQPIPPKQGNSNRAQPQGPIPGVNSGATQFTPQSLHPRIPALPVI -NHAATSQTCGGPQQAAQDWTSVPPPTQY - ->YP_004222728.1 pol polyprotein, partial [Avian leukemia virus] -TVALHLAIPLKWKPDHTPVWIDQWPLPEGKLVALTQLVEKELQLGHIEPSLSCWNTPVFVIRKASGSYRL -LHDLRAVNAKLVPFGAVQQGAPVLSALPRGWPLMVLDLKDCFFSIPLAEQDREAFAFTLPSVNNQAPARR -FQWKVLPQGMTCSPTICQLIVGQVLEPLRLKHPSLCMLHYMDDLLLAASSHDRLEAAGEEVISTLERAGF -TISPDKVQREPGVQYLGYKLGSTYVAPVGLVAEPRIATLWDVQKLVGSLQWLRPALGIPPRLMGPFYEQL -RGSDPNEAREWNLDMKMAWREIVQLSTTAALERWDPALPLEGAVARCEQGAIGVLGQGLSTHPKPCLWLF -STQPTKAFTAWLEVLTLLITKLRASAVRTFGKEVDTLLLPACFREDLPLPEGILLALKGFAGKIRSSDTP -SIFDIARPLHVSLKVRVTDHPVPGPTVFTDASSSTHKGVVVWREGPRWEIKEIADLGASVQQLEARAVAM -ALLLWPTTPTNVVTDSAFVAKMLLKMGQKGVPSTAAAFILEDALSQRSAMAAVLHVRSHSEVPGFFTEGN -DVADSQATFQAYPLREAKDLHTALHIGPRALSKACNISMQQAREVVQTCPHCNSAPALEAGVNPRGLGPL -QIWQTDFTLEPRMAPRSWLAVTVDTASSAIVVTQHGRVTSVAAQHHWATAIAVLGRPKAIKTDNGSCFTS -KSTREWLARWGIAHTTGIPGNSQGQAMVERANRLLKDKIRVLAEGDGFMKRIPASKQGELLAKAMYALNH -FERGENTKTPIQKHWRPTILTEGPPVKIRIETGEWEKGWNVLVWGRGYAAVKNRDTDKVIWVPSRKVKPD -ITQKDEVTKKDEASPLFAGISDWAPWKSEQEGL - ->YP_003864102.1 pol protein, partial [Simian retrovirus 4] -KGIWKFLTTVTDMLAPQKYADPIIWKSDTPVWVDQWPLTKEKLTAAQQLVQEQLQAGHIVESNSPWNTPI -FVIKKKSGKWRLLQDLRAVNATMILMGALQPGLPSPVAIPQNYLKIIIDLKDCFFTIPLHPNDQKRFAFS -LPSTNFKEPMKRYQWKVLPQGMANSPTLCQKFVAMAIQTVRDTWKQIYIIHYMDDILLAGADGQQVLQCF -AQLKEKLVIAGLHIAPEKLQLHDPYTYLGFQLNGPKITTQKAVIRKDKLQTLNDYQKLLGDINWLRPYLK -LTTGDLRPLFDILKGDPNPNSPRSLSDEALIALDKVETAIAEQFVTHINYSQPLILLVFNTALTPTGLFW -QSNPLMWIHSHASPKRVLLPYYDAIADLIILGRGHSRTYFGIEPSTIIQPYSKSQIDWLMQNTETWPIAC -ASYAGKIDNHYPPDKLLQFCRLHTFIFPKIISKSPLDNALLVFTDGSSTGIAAYTFADSIIKFQTKFSSA -QLVELQAFIAVLSAFPNQPLNIYTDSAYLAHSIPLLETVAQIKHISDTAQLFLQCQQLIHNRSTPIYIGH -VRAHSGLPGPIAQGNQLADLATKTIAFNLNTNLQNAQDTHALHHLNAQTLRLMFKIPREQARQIIKQCPT -CVTYSPVPHLGVNPRGLLPNMLWQMDITHCSEFNNLKYIHVSIDTFSGFILATLQTGEATKHVIAHLLHC -FSILGPPRQLKTDNGPGYTSRNFHDFCSKLNIKHTTGIPYNPQGQGIVERAHLSLKTTIDKIKKGEWYPT -KGTPRNILNHALFILNFLNLDDQGKSAADRFWHSDPKKQLAMVKWKDPLDNSWNGPDPVLIWGRGSVCVY -SQAHDAARWLPERLVRQINNENQSRESYSP - ->YP_003864101.1 protease, partial [Simian retrovirus 4] -SGKPASTTPGKRTEGPAPGPETSLWGGQFCPGQQQQSISKLARATPGSAGLDLCSASHTVLTPEMGPQAL -NTNIYGPLPPNTFGLILGRSSTTMKGLQIFPGVIDNDYHGEIKIMAKALNTIVTVPQGSRIAQLVLLPLL -KTDNLTQQSYRGQGAFGSSDIYWVQPITNQKPSLTLWLDGKPFTGLIDTGADVTIIKQEDWPAHWPTTET -MTNLRGIGQSNNPKQSSKYLTWKDKENNSGLIKPFIIPNLPVNLWGRDLLSQMKLMMCSPNDIVTAQMLA -QGYCPGKGLGKKENGILQPLQNQGQCDKKGFGNF - ->YP_003864100.1 gag protein [Simian retrovirus 4] -MGQELSQHEKYIGQLKEALKTRGVKVKYVDLLKFFDFVKDTCPWFPQEGTIDIKRWRRVGDCFQDYYNTF -GPEKIPVTAFSYWNLIKELIDKKEDSPQVMAAVAQTEQILKSTSQTDLTNIPQNEETDLISLDSDEEGAK -ASTIEKKKVPNDKKPKRFTTFLTAHTDKTDHNPDPSEVDWGELEDEAARYHNPDWPPFLARPPPYNKATP -SAPTVMAVVNPKEELKEKIAQLEEQIKLEELHQSLIVRLQKLKTGNERVSNSETTKDSSPVPHTPRWPGQ -HVPRGKCCASREKEELPPKDIFPVTETVDGQGQAWRHHNGFDFTVIKELKTAASQYGATAPYTLAIVESV -ADNWLTPTDWNTLVRAVLSGGDHLLWKSEFFENCRETAKRNQQANNGWDFDMLTGSGNYSNTDAQMQYDP -GLFAQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFSEFVHRLMTTAGRIFGNAEAGVDYVKQLAYEN -ANPACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLNNKHKDKGGCFKCGKRGHF -AKDCREHINKPVEAKTPGLCPRCKRGKHWANECKSKTDSQGNPLPPLQGNGLRGQPQAPKQAYGAVSFVP -ASNNNPFQNLLEPHQEAQDWTSVPPPTQY - ->YP_241114.1 gap-pro-pol fusion, partial [Ovine enzootic nasal tumor virus] -SRSKRLGVFSLGTSDSPVTHADPIDWKSEEPVWVDQWPLTQEKLSAAQQLVQEQLRLGHIEPSTSAWNSP -IFVIKKKSGKWRLLQDLRKVNETMMHMGALQPGLPTPSAIPDKSYIIVIDLKDCFYTIPLAPQDCKRFAF -SVPSVNFKEPMKRYQWKVLPQGMTNSPTLCQKLVATAIAPVRQRFPQIYLVHYMDDILLAHTDEHLLYQA -FSILKQHLSLNGLVIADEKIQTHFPYNYLGFSLYPRTYNTQLVKLQTDHLKTLNDFQKLLGDINWIRPYL -KLPTYTLQPLFDILKGDSDPASPRTLSLEGQTALQLIEEAIRRQQITYCDYQRSWGLYILPTPRAPTGVL -YQDKPLRWIYLSATPTKHLLPYYELVAKIVAKGRHEAIQYFGMEPPFICVPYALEQQDWLFQFSDNWAIA -FANYPGRIIHHYPPDKLLQFASSHAFIFPKIVRRQPIPNATLIFTDGSSNGTAALIINHQTYYTQTSFSS -AQVVELFAVHQALLTVPTSFNLFTDSSYVVGALQMIETVPIIGTTSPEVFNLFTLIQQVLHCRQYPCFFG -HIRAHSTLPGALVRGNHTADALTKQVFFQSAIDAARKSHDLHHQNSHSLRLQFKISREAARQIVKSCSTC -PQFFVLPQYGVNPRGLRPNHLWQTDVTHIPQFGRLKYVHVSIDTFSNFLMASLHTGESTRHCIQHLLFCF -STSGIPQTLKTDNGPGYTSRSFQRFCLSFQIQHKTGIPYNPQGQGIVERAHQRLKHQLLKQKKGNELYSP -SPHNVLNHALYVLNFLTLDAEGNSAAQRFWGERSSCKKPLVRWKDPLTNLWYGPDPVLIWGRGHVCVFPQ -DAEAPRWIPERLVRAAEELPDISNATHDIE - ->YP_241113.1 gap-pro fusion, partial [Ovine enzootic nasal tumor virus] -KRKALGTRLPVQNRCSRYPFTPGVGKLGEGPAPGPETMLWGNTAGSKRTIADLCRATRGSAGLDLCATSY -TVLTPEMGVQTLATGVFGPLPPGTAGLLLGRSSASLKGILIHPGVIDSDYTGEIKILASAPNKIIVINAG -QRIAQLLLVPLVIQGKTINRDRQDKGFGSSDAYWVQNVTEARPELELRINGKLFRGVLDTGADISVISDK -YWPTTWPKQMAISTLQGIGQTTNPEQSSSLLTWKDKDGHTGQFKPYILPHLPVNLWGRDILSKMGVYLYS -PSPTVTDLMLDQGLLPNQGLGKQHQGIILPLDLKSNQDRKGLGCFP - ->YP_238302.1 gag [Ovine enzootic nasal tumor virus] -MGQTHSRQLFVHMLSVMLKHRGITVSKPKLINFLSFIEEVCPWFPREGTVNLETWKKVGEQIRTHYTLHG -PEKVPVETLSFWTLIRDCLDFDNDELKRLGNLLKQEEDPLHDPDSENSYDAPPPPHPSLHSSRPSDNDDL -LSSTDEAELDEEAAKYHQEDWGFLAQEKGALTSKDELVECFKNLTIALQNAGIKLPANNDKFPSAPPLPP -AYAPSVVAGLDPPPGPLPPSKNMSPLQKALRQAQRLGEVVSDFSLAFPVFENNNQRYYESLPFKQLKELK -IACSQYGPTAPFTIAMIENLGTQALPPNDWKQTARACLSGGDYLLWKSEFFEQCARIADVNRQQGIQTSY -EMLIGEGPYQATDTQLNFLPGAYAQISNAARQAWKKLPSSSTKTEDLSKVRQGPDEPYQDFVARLLDTIG -KIMSDEKAGMVLAKQLAFENANSACQAALRPYRKKGDLSDFIRICADIGPSYMQGIAMAAALQGKSIKEV -LFQQQARNKRGRQRSGNSGCFVCGQPGHRAAVCPQKQQSPVNTPNLCPRCKKGKHWARDCRSKTDVQGTP -LPPVSGNWVRGQPLAPKQCYGATLQVPKEPLQTSVEPQEAARDWTSVPPPTQY - ->NP_954565.2 RT-IN [Mason-Pfizer monkey virus] -MGQELSQHERYVEQLKQALKTRGVKVKYADLLKFFDFVKDTCPWFPQEGTIDIKRWRRVGDCFQDYYNTF -GPEKVPVTAFSYWNLIKELIDKKEVNPQVMAAVAQTEEILKSNSQTDLTKTSQNPDLDLISLDSDDEGAK -SSSLQDKGLSSTKKPKRFPVLLTAQTSKDPEDPNPSEVDWDGLEDEAAKYHNPDWPPFLTRPPPYNKATP -SAPTVMAVVNPKEELKEKIAQLEEQIKLEELHQALISKLQKLKTGNETVTHPDTAGGLSRTPHWPGQHIP -KGKCCASREKEEQIPKDIFPVTETVDGQGQAWRHHNGFDFAVIKELKTAASQYGATAPYTLAIVESVADN -WLTPTDWNTLVRAVLSGGDHLLWKSEFFENCRDTAKRNQQAGNGWDFDMLTGSGNYSSTDAQMQYDPGLF -AQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGSAEAGVDYVKQLAYENANP -ACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLNNKNKEKGGCCFKCGKKGHFAK -NCHEHAHNNAEPKVPGLCPRCKRGKHWANECKSKTDNQGNPIPPHQGNRVEGPAPGPETSLWGSQLCSSQ -QKQPISKLTRATPGSAGLDLCSTSHTVLTPEMGPQALSTGIYGPLPPNTFGLILGRSSITMKGLQVYPGV -IDNDYTGEIKIMAKAVNNIVTVSQGNRIAQLILLPLIETDNKVQQPYRGQGSFGSSDIYWVQPITCQKPS -LTLWLDDKMFTGLIDTGADVTIIKLEDWPPNWPITDTLTNLRGIGQSNNPKQSSKYLTWRDKENNSGLIK -PFVIPNLPVNLWGRDLLSQMKIMMCSPNDIVTAQMLAQGYSPGKGLGKKENGILHPIPNQGQSNKKGFGN -FLTAAIDILAPQQCAEPITWKSDEPVWVDQWPLTNDKLAAAQQLVQEQLEAGHITESSSPWNTPIFVIKK -KSGKWRLLQDLRAVNATMVLMGALQPGLPSPVAIPQGYLKIIIDLKDCFFSIPLHPSDQKRFAFSLPSTN -FKEPMQRFQWKVLPQGMANSPTLCQKYVATAIHKVRHAWKQMYIIHYMDDILIAGKDGQQVLQCFDQLKQ -ELTAAGLHIAPEKVQLQDPYTYLGFELNGPKITNQKAVIRKDKLQTLNDFQKLLGDINWLRPYLKLTTGD -LKPLFDTLKGDSDPNSHRSLSKEALASLEKVETAIAEQFVTHINYSLPLIFLIFNTALTPTGLFWQDNPI -MWIHLPASPKKVLLPYYDAIADLIILGRDHSKKYFGIEPSTIIQPYSKSQIDWLMQNTEMWPIACASFVG -ILDNHYPPNKLIQFCKLHTFVFPQIISKTPLNNALLVFTDGSSTGMAAYTLTDTTIKFQTNLNSAQLVEL -QALIAVLSAFPNQPLNIYTDSAYLAHSIPLLETVAQIKHISETAKLFLQCQQLIYNRSIPFYIGHVRAHS -GLPGPIAQGNQRADLATKIVASNINTNLESAQNAHTLHHLNAQTLRLMFNIPREQARQIVKQCPICVTYL -PVPHLGVNPRGLFPNMIWQMDVTHYSEFGNLKYIHVSIDTFSGFLLATLQTGETTKHVITHLLHCFSIIG -LPKQIKTDNGPGYTSKNFQEFCSTLQIKHITGIPYNPQGQGIVERAHLSLKTTIEKIKKGEWYPRKGTPR -NILNHALFILNFLNLDDQNKSAADRFWHNNPKKQFAMVKWKDPLDNTWHGPDPVLIWGRGSVCVYSQTYD -AARWLPERLVRQVSNNNQSRE - ->NP_955566.1 pp21 XX [Mouse mammary tumor virus] -LSAEAKSVTEEELEEGLTGLLSTSSQEKTYGTRGTAYAEIDTEVDKLSEHIYDEPYEEKEKADKNEEKDH -VRKIKKVVQRKENSEGKRKEKDSKAF - ->NP_955565.1 p10 MA [Mouse mammary tumor virus] -GVSGSKGQKLFVSVLQRLLSERGLHVKESSAIEFYQFLIKVSPWFPEEGGLNLQDWKRVGREMKRYAAEH -GTDSIPKQAYPIWLQLREILTEQSDLVL - ->NP_954561.1 p12 PR [Mason-Pfizer monkey virus] -WVQPITCQKPSLTLWLDDKMFTGLIDTGADVTIIKLEDWPPNWPITDTLTNLRGIGQSNNPKQSSKYLTW -RDKENNSGLIKPFVIPNLPVNLWGRDLLSQMKIMMCS - ->NP_862833.2 gag-pro-pol fusion, partial [Enzootic nasal tumour virus of goats] -SRTKRFGVFSLGTSDSPVTHADPIDWKSGEPVWVDQWPLTQEKLSAAQQLVQEQLRLGHIEPSTSAWNSP -IFVIKKKSGKWRLLQDLRKVNETMMHMGALQPGLPTPSAIPDRSYIIVIDLKDCFYTIPLAPQDCKRFAF -SLPSVNFKEPMQRYQWKVLPQGMTNSPTLCQKFVAAAIAPVRQCFPQLYLVHYMDDILLAHINEHLLYQA -FSLLKQHLSLNGLVXADEKIQTHFPYNYLGFHLYPRAYTTQLVKLQTDHLKTLNDFQKLLGDINWIRPYL -KLPTYILQPLFDILKGNSDPASPRILSPEGRSALQFVEEAIRQQQITYCDYQRSWGLYILSTPRAPTGVL -YQDKPLRWIYLSATPAKHLLPYYELIAKIVAKGRYEAIQYFGLEPPFICVPYALEQQDWLFRYSDNWAIA -FANYPGRIIHHYPSDKLLQFASSHAFIFPKIVRQQPIPEATLIFTDGSSNGTAALIINQQTYYAHTNFSS -AQVVELFAVHQALLTVSTSFNLFTDSSYVVGALQMIETVPIIGTTSPEVLNLFTLIQQALHCRQHPCFFG -HIRAHSTLPGALVRGNHTADVLTKQVFFQSAIDAARKSHNLHHQNSHSLRLQFKISREAARQIVKSCSTC -PQFFVLPQYGVNPRGLRPNNLWQTDVTHIPHFGRLKYVHASIDTFSNFLMASLHTGESTRHCIQHLLFCF -SISGIPQTLKTDNGPGYTSRSFQRFCLSFQIHHKTGIPYNPQGQGIVERAHQRLKHQLLKQKKGSDLYSP -SPHNALNHALYVLNFLTLDAEGNSAAQRFWGERSSCKKPLVRWKDPLTNLWYGPDPVLIWGRGHVCVFPQ -DAEAPRWIPERLVRAAEEFPDTSNASNDTE - ->NP_862832.2 gag-pro fusion, partial [Enzootic nasal tumour virus of goats] -SFAPGFGKLGEGPAPGPETMLWGNXAGSKRTIADLCRATRGSAGLDLCATSYTVLTPEMGVQTLATGVFG -PLPPGTAGLLLGRSSASLKGILIHPGVIDSDYTGEIKILASAPNKIIVINAGQRIAQLLLVPLVIQGKTI -NRDRQDKGFGSSDAYWVQNVTEARPELELRIDGKLFRGVLDTGADISVISEKYWPTTWPKQIAISTLQGI -GQTTNPDQSSSLLTWTDKDGHTGQFKPYILPHLPVNLWGRDILSKMGVYLYNPSPTVTDLMLDQGLLSNQ -GLGKQHQGIVLPLDLKPNQERRGLGCFP - ->NP_862831.2 gag protein [Enzootic nasal tumour virus of goats] -MGQMHSRQLFVHMLSVMLTHRGITVSKPKLINFLSFVEEVCPWFPREGTVNLETWKKVGEQIRTHYTLHG -PEKVPVETFSFWTLIRDCLDFDNDELKRLGNLLKQEEDPXHIPDPEPGYDAPPPPPPSLKLRPSDNDDSL -SSTDEEELAEEAAKYHQEDWGFLAQEKGALTSKNELVECLKNLTVVLQNSGAKFSNNHVKPPSAPPFPPA -YAPSVVAGLDPPPGPSLPTEIMSPLQKALKQAQRLGEVVSDFSFAFPVFENNNQRYYEXLPFKQLKELKI -ACSQYGPTAPFTIAMIENLGTQALPPNDWKQIARAGLSGGDYLLWKSEFFEQCARIADVNRQQNIQTSYE -MLIGEGPYQATDTQLNFLPGAYPQISNAARQAWKKLPSSSTKTEDLSKIRQGPDEPYQDFVARLLDAIGK -IMSDEQAGMLLAKQLAFENANSACQAALRPYRKKGDLSDFIRICADIGPSYMQGIAMAAALQGKSIKEVL -FQQQARNKKGPQRSGNSGCFVCGQPGHRAAVCPQKQQNPVNTPNLCPRCKKGKHWARDCRSKTDVQGNPL -PPVSGNWVRGQPLAPKQCYGATLQVPKEPLQTSVEPQEAAQDWTSVPPPIQY - ->NP_056893.1 Pr78 [Mason-Pfizer monkey virus] -MGQELSQHERYVEQLKQALKTRGVKVKYADLLKFFDFVKDTCPWFPQEGTIDIKRWRRVGDCFQDYYNTF -GPEKVPVTAFSYWNLIKELIDKKEVNPQVMAAVAQTEEILKSNSQTDLTKTSQNPDLDLISLDSDDEGAK -SSSLQDKGLSSTKKPKRFPVLLTAQTSKDPEDPNPSEVDWDGLEDEAAKYHNPDWPPFLTRPPPYNKATP -SAPTVMAVVNPKEELKEKIAQLEEQIKLEELHQALISKLQKLKTGNETVTHPDTAGGLSRTPHWPGQHIP -KGKCCASREKEEQIPKDIFPVTETVDGQGQAWRHHNGFDFAVIKELKTAASQYGATAPYTLAIVESVADN -WLTPTDWNTLVRAVLSGGDHLLWKSEFFENCRDTAKRNQQAGNGWDFDMLTGSGNYSSTDAQMQYDPGLF -AQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGSAEAGVDYVKQLAYENANP -ACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLNNKNKEKGGCCFKCGKKGHFAK -NCHEHAHNNAEPKVPGLCPRCKRGKHWANECKSKTDNQGNPIPPHQGNGWRGQPQAPKQAYGAVSFVPAN -KNNPFQSLPEPPQEVQDWTSVPPPTQY - ->NP_041259.1 gag protein [Squirrel monkey retrovirus] -MGQASSHSENDLFISHLKESLKVRRIRVRKKDLVSFFSFIFKTCPWFPQEGSIDSRVWGRVGDCLNDYYR -VFGPETIPITTFNYYNLIRDVLTNQSDSPDIQRLCKEGHKILISHSRPPSRQAPVTITTSEKASSRPPSR -APSTCPSVAIDIGSHDTGQSSLYPNLATLTDPPIQSPHSRAHTPPQHLPLLANSKTLHNSGSQDDQLNPA -DQADLEEAAAQYNNPDWPQLTNTPALPPFRPPSYVSTAVPPVAVAAPVLHAPTSGVPGSPTAPNLPGVAL -AKPSGPIDETVSLLDGVKTLVTKLSDLALLPPAGVMAFPVTRSQGQVSSNTTGRASPHPDTHTIPEEEEA -DSGESDSEDDEEESSEPTEPTYTHSYKRLNLKTIEKIKTAVANYGPTAPFTVALVESLSERWLTPSDWFF -LSRAALSGGDNILWKSEYEDISKQFAERTRVRPPPKDGPLKIPGASPYQNNDKQAQFPPGLLTQIQSAGL -KAWKRLPQKGAATTSLAKIRQGPDESYSDFVSRLQETADRLFGSGESESSFVKHLAYENANPACQSAIRP -FRQKELSTMSPLLWYCSAHAVGLAIGAALQNLAPAQLLEPRPAFAIIVTNPAIFQETAPKKIQPPTQLPT -QPNAPQASLIKNLGPTTKCPRCKKGFHWASECRSRLDINGQPIIKQGNLNRGQPQGPTTGMNSGASQFTP -QYRQPTPALPVINHAATSQTSGEQQRAVQDWTSVPPPTQY - ->NP_056880.1 Pr160 [Mouse mammary tumor virus] -MGVSGSKGQKLFVSVLQRLLSERGLHVKESSAIEFYQFLIKVSPWFPEEGGLNLQDWKRVGREMKRYAAE -HGTDSIPKQAYPIWLQLREILTEQSDLVLLSAEAKSVTEEELEEGLTGLLSTSSQEKTYGTRGTAYAEID -TEVDKLSEHIYDEPYEEKEKADKNEEKDHVRKIKKVVQRKENSEGKRKEKDSKAFLATDWNDDDLSPEDW -DDLEEQAAHYHDDDELILPVKRKVVKKKPQALRRKPLPPVGFAGAMAEAREKGDLTFTFPVVFMGESDED -DTPVWEPLPLKTLKELQSAVRTMGPSAPYTLQVVDMVASQWLTPSDWHQTARATLSPGDYVLWRTEYEEK -SKEMVQKAAGKRKGKVSLDMLLGTGQFLSPSSQIKLSKDVLKDVTTNAVLAWRAIPPPGVKKTVLAGLKQ -GNEESYETFISRLEEAVYRMMPRGEGSDILIKQLAWENANSLCQDLIRPIRKTGTIQDYIRACLDASPAV -VQGMAYAAAMRGQKYSTFVKQTYGGGKGGQGAEGPVCFSCGKTGHIRKDCKDEKGSKRAPPGLCPRCKKG -YHWKSECKSKFDKDGNPLPPLETNAENSKNLVKGQSPSPAQKGDGVKGSGLNPEAPPFTIHDLPRGTPGS -AGLDLSSQKDLILSLEDGVSLVPTLVKGTLPEGTTGLIIGRSSNYKKGLEVLPGVIDSDFQGEIKVMVKA -AKNAVIIHKGERIAQLLLLPYLKLPNPVIKEERGSEGFGSTSHVHWVQEISDSRPMLHIYLNGRRFLGLL -DTGADKTCIAGRDWPANWPIHQTESSLQGLGMACGVARSSQPLRWQHEDKSGIIHPFVIPTLPFTLWGRD -IMKDIKVRLMTDSPDDSQDLMIGAIESNLFADQISWKSDQPVWLNQWPLKQEKLQALQQLVTEQLQLGHL -EESNSPWNTPVFVIKKKSGKWRLLQDLRAVNATMHDMGALQPGLPSPVAVPKGWEIIIIDLQDCFFNIKL -HPEDCKRFAFSVPSPNFKRPYQRFQWKVLPQGMKNSPTLCQKFVDKAILTVRDKYQDSYIVHYMDDILLA -HPSRSIVDEILTSMIQALNKHGLVVSTEKIQKYDNLKYLGTHIQGDSVSYQKLQIRTDKLRTLNDFQKLL -GNINWIRPFLKLTTGELKPLFEILNGDSNPISTRKLTPEACKALQLMNERLSTARVKRLDLSQPWSLCIL -KTEYTPTACLWQDGVVEWIHLPHISPKVITPYDIFCTQLIIKGRHRSKELFSKDPDYIVVPYTKVQFDLL -LQEKEDWPISLLGFLGEVHFHLPKDPLLTFTLQTAIIFPHMTSTTPLEKGIVIFTDGSANGRSVTYIQGR -EPIIKENTQNTAQQAEIVAVITAFEEVSQPFNLYTDSKYVTGLFPEIETATLSPRTKIYTELKHLQRLIH -KRQEKFYIGHIRGHTGLPGPLAQGNAYADSLTRILTALESAQESHALHHQNAAALRFQFHITREQAREIV -KLCPNCPDWGHAPQLGVNPRGLKPRVLWQMDVTHVSEFGKLKYVHVTVDTYSHFTFATARTGEATKDVLQ -HLAQSFAYMGIPQKIKTDNAPAYVSRSIQEFLARWKISHVTGIPYNPQGQAIVERTHQNIKAQLNKLQKA -GKYYTPHHLLAHALFVLNHVNMDNQGHTAAERHWGPISADPKPMVMWKDLLTGSWKGPDVLITAGRGYAC -VFPQDAETPIWVPDRFIRPFTERKEATPTPGTAEKTPPRDEKDQQESPKNESSPHQREDGLATSAGVDLR -SGGGP - ->NP_041184.1 gag protein [Jaagsiekte sheep retrovirus] -MGHTHSRQLFVHMLSVMLKHRGITVSKTKLINFLSFIEEVCPWFPREGTVNLETWKKVGEQIRTHYTLHG -PEKVPVETLSFWTLIRDCLDFDNDELKRLGNLLKQEEDPLHTPDSVPSYDPPPPPPPSLKMHPSDNDDSL -SSTDEAELDEEAAKYHQEDWGFLAQEKGALTSKDELVECFKNLTIALQNAGIQLPSNNNTFPSAPPFPPA -YTPTVMAGLDPPPGFPPPSKHMSPLQKALRQAQRLGEVVSDFSLAFPVFENNNQRYYESLPFKQLKELKI -ACSQYGPTAPFTIAMIESLGTQALPPNDWKQTARACLSGGDYLLWKSEFFEQCARIADVNRQQGIQTSYE -MLIGEGPYQATDTQLNFLPGAYAQISNAARQAWKKLPSSSTKTEDLSKVRQGPDEPYQDFVARLLDTIGK -IMSDEKAGMVLAKQLAFENANSACQAALRPYRKKGDLSDFIRICADIGPSYMQGIAMAAALQGKSIKEVL -FQQQARNKKGLQKSGNSGCFVCGQPGHRAAVCPQKHQTSVNTPNLCPRCKKGKHWARDCRSKTDVQGNPL -PPVSGNWVRGQPLAPKQCYGATLQVPKEPLQTSVEPQEAARDWTSVPPPIQY - ->NP_056886.1 Pr180 polyprotein precursor [Rous sarcoma virus] -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQRAMILGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEVGETTVQRDAKMA -PEETATPKTVGTSCYHCGTAIGCNCATASAPPPPYVGSGLYPSLAGVGEQQGQGGDTPPGAEQSRAEPGH -AGQAPGPALTDWARVREELASTGPPVVAMPVVIKTEGPAWTPLEPKLITRLADTVRTKGLRSPITMAEVE -ALMSSPLLPHDVTNLMRVILGPAPYALWMDAWGVQLQTVIAAATRDPRHPANGQGRGERTNLNRLKGLAD -GMVGNPQGQAALLRPGELVAITASALQAFREVARLAEPAGPWADIMQGPSESFVDFANRLIKAVEGSDLP -PSARAPVIIDCFRQKSQPDIQQLIRTAPSTLTTPGEIIKYVLDRQKTAPLTDQGIAAAMSSAIQPLIMAV -VNRERDGQTGSGGRARGLCYTCGSPGHYQAQCPKKRKSGNSRERCQLCNGMGHNAKQCRKRDGNQGQRPG -KGLSSGPWPGPEPPAVSLAMTMEHKDRPLVRVILTNTGSHPVKQRSVYITALLDSGADITIISEEDWPTD -WPVMEAANPQIHGIGGGIPMRKSRDMIELGVINRDGSLERPLLLFPAVAMVRGSILGRDCLQGLGLRLTN -LIGRATVLTVALHLAIPLKWKPDHTPVWIDQWPLPEGKLVALTQLVEKELQLGHIEPSLSCWNTPVFVIR -KASGSYRLLHDLRAVNAKLVPFGAVQQGAPVLSALPRGWPLMVLDLKDCFFSIPLAEQDREAFAFTLPSV -NNQAPARRFQWKVLPQGMTCSPTICQLVVGQVLEPLRLKHPSLCMLHYMDDLLLAASSHDGLEAAGEEVI -STLERAGFTISPDKVQREPGVQYLGYKLGSTYVAPVGLVAEPRIATLWDVQKLVGSLQWLRPALGIPPRL -MGPFYEQLRGSDPNEAREWNLDMKMAWREIVRLSTTAALERWDPALPLEGAVARCEQGAIGVLGQGLSTH -PRPCLWLFSTQPTKAFTAWLEVLTLLITKLRASAVRTFGKEVDILLLPACFREDLPLPEGILLALKGFAG -KIRSSDTPSIFDIARPLHVSLKVRVTDHPVPGPTVFTDASSSTHKGVVVWREGPRWEIKEIADLGASVQQ -LEARAVAMALLLWPTTPTNVVTDSAFVAKMLLKMGQEGVPSTAAAFILEDALSQRSAMAAVLHVRSHSEV -PGFFTEGNDVADSQATFQAYPLREAKDLHTALHIGPRALSKACNISMQQAREVVQTCPHCNSAPALEAGV -NPRGLGPLQIWQTDFTLEPRMAPRSWLAVTVDTASSAIVVTQHGRVTSVAVQHHWATAIAVLGRPKAIKT -DNGSCFTSKSTREWLARWGIAHTTGIPGNSQGQAMVERANRLLKDRIRVLAEGDGFMKRIPTSKQGELLA -KAMYALNHFERGENTKTPIQKHWRPTVLTEGPPVKIRIETGEWEKGWNVLVWGRGYAAVKNRDTDKVIWV -PSRKVKPDITQKDEVTKKDEASPLFAGISDWIPWEDEQEGLQGETASNKQERPGEDTLAANES - ->sp|P03364.3|POL_SMRVH RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=Core protein p16; Contains: RecName: Full=Capsid protein p35; AltName: Full=Capsid protein p34; Contains: RecName: Full=Probable nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQASSHSENDLFISHLKESLKVRRIRVRKKDLVSFFSFIFKTCPWFPQEGSIDSRVWGRVGDCLNDYYR -VFGPETIPITTFNYYNLIRDVLTNQSDSPDIQRLCKEGHKILISHSRPPSRQAPVTITTSEKASSRPPSR -APSTCPSVAIDIGSHDTGQSSLYPNLATLTDPPIQSPHSRAHTPPQHLPLLANSKTLHNSGSQDDQLNPA -DQADLEEAAAQYNNPDWPQLTNTPALPPFRPPSYVSTAVPPVAVAAPVLHAPTSGVPGSPTAPNLPGVAL -AKPSGPIDETVSLLDGVKTLVTKLSDLALLPPAGVMAFPVTRSQGQVSSNTTGRASPHPDTHTIPEEEEA -DSGESDSEDDEEESSEPTEPTYTHSYKRLNLKTIEKIKTAVANYGPTAPFTVALVESLSERWLTPSDWFF -LSRAALSGGDNILWKSEYEDISKQFAERTRVRPPPKDGPLKIPGASPYQNNDKQAQFPPGLLTQIQSAGL -KAWKRLPQKGAATTSLAKIRQGPDESYSDFVSRLQETADRLFGSGESESSFVKHLAYENANPACQSAIRP -FRQKELSTMSPLLWYCSAHAVGLAIGAALQNLAPAQLLEPRPAFAIIVTNPAIFQETAPKKIQPPTQLPT -QPNAPQASLIKNLGPTTKCPRCKKGFHWASECRSRLDINGQPIIKQGNLEQGPAPGPHYRDELRGFTVHP -PIPPANPCPPSNQPRRYVTDLWRATAGSAGLDLCTTTDTILTTQNSPLTLPVGIYGPLPPQTFGLILAEP -ALPSKGIQVLPGILDNDFEGEIHIILSTTKDLVTIPKGTRLAQIVILPLQQINSNFHKPYRGASAPGSSD -VYWVQQISQQRPTLKLKLNGKLFSGILDTGADATVISYTHWPRNWPLTTVATHLRGIGQATNPQQSAQML -KWEDSEGNNGHITPYVLPNLPVNLWGRDILSQMKLVMCSPNDTVMTQMLSQGYLPGQGLGKNNQGITQPI -TITPKKDKTGLGFHQNLPRSRAIDIPVPHADKISWKITDPVWVDQWPLTYEKTLAAIALVQEQLAAGHIE -PTNSPWNTPIFIIKKKSGSWRLLQDLRAVNKVMVPMGALQPGLPSPVAIPLNYHKIVIDLKDCFFTIPLH -PEDRPYFAFSVPQINFQSPMPRYQWKVLPQGMANSPTLCQKFVAAAIAPVRSQWPEAYILHYMDDILLAC -DSAEAAKACYAHIISCLTSYGLKIAPDKVQVSEPFSYLGFELHHQQVFTPRVCLKTDHLKTLNDFQKLLG -DIQWLRPYLKLPTSALVPLNNILKGDPNPLSVRALTPEAKQSLALINKAIQNQSVQQISYNLPLVLLLLP -TPHTPTAVFWQPNGTDPTKNGSPLLWLHLPASPSKVLLTYPSLLAMLIIKGRYTGRQLFGRDPHSIIIPY -TQDQLTWLLQTSDEWAIALSSFTGDIDNHYPSDPVIQFAKLHQFIFPKITKCAPIPQATLVFTDGSSNGI -AAYVIDNQPISIKSPYLSAQLVELYAILQVFTVLAHQPFNLYTDSAYIAQSVPLLETVPFIKSSTNATPL -FSKLQQLILNRQHPFFIGHLRAHLNLPGPLAEGNALADAATQIFPIISDPIHEATQAHTLHHLNAHTLRL -LYKITREQARDIVKACKQCVVATPVPHLGVNPRGLVPNAIWQMDVTHFTPFGKQRFVHVTVDTFSGFILA -TPQTGEASKNVISHVIHCLATIGKPHTIKTDNGPGYTGKNFQDFCQKLQIKHVTGIPYNPQGQGVVERAH -QTLKNALNRLARSPLGFSMQQPRNLLSHALFQLNFLQLDSQGRSAADRLWHPQTSQQHATVMWRDPLTSV -WKGPDPVLIWGRGSACIYDQKEDGPRWLPERLIRHINNQTAPLCDRPSNPNTAPGPKGSP - ->sp|P51517.2|POL_SRV2 RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQELSQHELYVEQLKKALKTRGVKVKGNDLLKFFDFVKDTCPWFPQEGTIDIKRWRRVGDCFQDYYNTF -GPEKIPVTAFSYWNLIKDLIDKKEADPQVMAAVTQTEKILKVSSQTDLRDNSHNKDMDLISLESDDEEAK -APSEKMTMSNKSPKKYPAMLASQNNNTDKDPDLSEVDWDGLEDEAAKYHNPDWPPFLSRPPPYNRTAATA -PAVMAVVNPKEELKEKISQLEEQIKLEELHQSLIIRLQKLKTGNERVTSSGNIESHSRTPKWPGQCLPKG -KYLINKNTEEYPPKDIFPVTETMDGQGQAWRHHNGFDFTVIKELKTAVSQYGATAPYTLAIVESIADNWL -TPTDWNTLVRAVLSGGDHLIWKSEFFENCRDTAKRNQQAGNGWDFDMLTGSGNYANTDAQMQYDPGLFAQ -IQAAATNAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGNAEAGVDYVKQLAYENANPAC -QAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDLLNNKNKDRGGCFKCGKKGHFAKDCR -DHSNKNPESKVPGLCPRCKRGKHWANECKSKTDSQGNPLPPHQGNRDEGPAPGPEASLWGSQLCSSQQQQ -SISKLNRASPGSAGLDLCSTTHTVLTPEMGPQTLATGVYGPLPPNTFGLILGRGSTTVKGLQIYPGVIDN -DYTGEFKIMARAISSIITIPQGERIAQLVLLPLLRTAHKIQHPYRGDKNFGSSDIFWVQPITHQKPSLVL -WLDGKAFTGLIDTGADVTIIKQEDWPSHWPTTETLTNLRGIGQSNNPRQSSKYLTWKDKENNSGLIKPFV -IPNLPVNLWGRDLLSQMKIMMCSPNDIVTAQMLAQGYSPGKGLGKREDGILQPIPNSGQLDRKGFGNFLA -TAVDILAPQRYADPITWKSDEPVWVDQWPLTQEKLAAAQQLVQEQLQAGHIIESNSPWNTPIFVIKKKSG -KWRLLQDLRAVNATMVLMGALQPGLPSPVAIPQGYFKIVIDLKDCFFTIPLQPVDQKRFAFSLPSTNFKQ -PMKRYQWKVLPQGMANSPTLCQKYVAAAIEPVRKSWAQMYIIHYMDDILIAGKLGEQVLQCFAQLKQALT -TTGLQIAPEKVQLQDPYTYLGFQINGPKITNQKAVIRRDKLQTLNDFQKLLGDINWLRPYLHLTTGDLKP -LFDILKGDSNPNSPRSLSEAALASLQKVETAIAEQFVTQIDYTQPLTFLIFNTTLTPTGLFWQNNPVMWV -HLPASPKKVLLPYYDAIADLIILGRDNSKKYFGLEPSTIIQPYSKSQIHWLMQNTETWPIACASYAGNID -NHYPPNKLIQFCKLHAVVFPRIISKTPLDNALLVFTDGSSTGIAAYTFEKTTVRFKTSHTSAQLVELQAL -IAVLSAFPHRALNVYTDSAYLAHSIPLLETVSHIKHISDTAKFFLQCQQLIYNRSIPFYLGHIRAHSGLP -GPLSQGNHITDLATKVVATTLTTNLTEAQTAHALHHLNAQSLRLMFKITREQARQIVKQCPTCVTYLPIP -HFGVNPKGLVPNMLWQMDVTHYSEFGKLKYVHVSIDTFSGFLVATLQTGEATKHVIAHLLHCFSIIGQPI -HIKTDNGPGYTSSNFRAFCSKLHIKHTFGIPYNPQGQGIVERAHLSLKNTLEKIKKGEWYPTQGSPRNIL -NHALFILNFLNLDAQNKSAADRFWHTSSKKEYAMVKWKDPLDNTWHGPDPVLIWGRGSVCVYSQTHDAAR -WLPERLVRQVSNVTQSRE - ->sp|P04025.2|POL_SRV1 RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGQELSQHERYVEQLKQALKTRGVKVKYADLLKFFDFVKDTCPWFPQEGTIDIKRWRRVGDCFQDYYNTF -GPEKVPVTAFSYWNLIKELIDKKEVNPQVMAAVAQTEEILKTSSHTELTTKPSQNPDLDLISLDSDDEGA -KGSSLKDKNLSCTKKPKRFPVLLTAQTSADPEDPNPSEVDWDGLEDEAAKYHNPDWPPFLTRPPPYNKAT -PSAPTVMAVVNPKEELKEKIAQLEEQIKLEELHQALISKLQKLKTGNETVTSPETAGGFSRTPHWPGQHI -PKGKCCASREKEEQTPKDIFPVTETVDGQGQAWRHHNGFDFTVIKELKTAASQYGATAPYTLAIVESVAD -NWLTPTDWNTLVRAVLSGGDHLLWKSEFFENCRETAKRNQQAGNGWDFDMLTGSGNYSSTDAQMQYDPGL -FAQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGSAEAGVDYVKQLAYENAN -PACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLNNKNKEKGGCCFKCGRKGHFA -KNCHEHIHNNSETKAPGLCPRCKRGKHWANECKSKTDSQGNPLPPHQGNRTEGPAPGPETSLWGGQLCSS -QQKQPISKLTRATPGSAGLDLSSTSHTVLTPEMGPQALSTGIYGPLPPNTFGLILGRSSITIKGLQVYPG -VIDNDYTGEIKIMAKAVNNIVTVPQGNRIAQLILLPLIETDNKVQQPYRGQGSFGSSDIYWVQPITCQKP -SLTLWLDDKMFTGLIDTGADVTIIKLEDWPPNWPITDTLTNLRGIGQSNNPKQSSKYLTWRDKENNSGLI -KPFVIPNLPVNLWGRDLLSQMKIMMCSPSDIVTAQMLAQGYSPGKGLGKNENGILHPIPNQGQFDKKGFG -NFLTAAIDMLAPQQCAEPITWKSDEPVWVDQWPLTSEKLAAAQQLVQEQLEAGHITESNSPWNTPIFVIK -KKSGKWRLLQDLRAVNATMVLMGALQPGLPSPVAIPQGYLKIIIDLKDCFFSIPLHPSDQKRFAFSLPST -NFKEPMQRFQWKVLPQRMANSPTLCQKYVATAIHKVRHAWKQMYIIHYMDDILIAGKDGQQVLQCFDQLK -QELTIAGLHIAPEKIQLQDPYTYLGFELNGPKITNQKAVIRKDKLQTLNDFQKLLGDINWLRPYLKLTTA -DLKPLFDTLKGDSNPNSHRSLSKEALALLDKVETAIAEQFVTHINYSLPLMFLIFNTALTPTGLFWQNNP -IMWVHLPASPKKVLLPYYDAIADLIILGRDHSKKYFGIEPSVIIQPYSKSQIDWLMQNTEMWPIACASYV -GILDNHYPPNKLIQFCKLHAFIFPQIISKTPLNNALLVFTDGSSTGMAAYTLADTTIKFQTNLNSAQLVE -LQALIAVLSAFPNQPLNIYTDSAYLAHSIPLLETVAQIKHISETAKLFLQCQQLIYNRSIPFYIGHVRAH -SGLPGPIAHGNQKADLATKTVASNINTNLESAQNAHTLHHLNAQTLKLMFNIPREQARQIVRQCPICATY -LPVPHLGVNPRGLLPNMIWQMDVTHYSEFGNLKYIHVSIDTFSGFLLATLQTGETTKHVITHLLHCFSII -GLPKQIKTDNGPGYTSKNFQEFCSTLQIKHVTGIPYNPQGQGIVERAHLSLKTTIEKIKKGEWYPTKGTP -RNILNHALFILNFLNLDDQNHSAADRFWHSNPRKQFAMVKWKDPLDNTWPWPDPVIIWGRGSVCVYSQTH -DAARWLPERLVKQIPNNNQSRE - ->sp|P31623.2|POL_JSRV RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease 17 kDa; Contains: RecName: Full=Protease 13 kDa; Contains: RecName: Full=G-patch peptide; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGHTHSRQLFVHMLSVMLKHRGITVSKTKLINFLSFIEEVCPWFPREGTVNLETWKKVGEQIRTHYTLHG -PEKVPVETLSFWTLIRDCLDFDNDELKRLGNLLKQEEDPLHTPDSVPSYDPPPPPPPSLKMHPSDNDDSL -SSTDEAELDEEAAKYHQEDWGFLAQEKGALTSKDELVECFKNLTIALQNAGIQLPSNNNTFPSAPPFPPA -YTPTVMAGLDPPPGFPPPSKHMSPLQKALRQAQRLGEVVSDFSLAFPVFENNNQRYYESLPFKQLKELKI -ACSQYGPTAPFTIAMIESLGTQALPPNDWKQTARACLSGGDYLLWKSEFFEQCARIADVNRQQGIQTSYE -MLIGEGPYQATDTQLNFLPGAYAQISNAARQAWKKLPSSSTKTEDLSKVRQGPDEPYQDFVARLLDTIGK -IMSDEKAGMVLAKQLAFENANSACQAALRPYRKKGDLSDFIRICADIGPSYMQGIAMAAALQGKSIKEVL -FQQQARNKKGLQKSGNSGCFVCGQPGHRAAVCPQKHQTSVNTPNLCPRCKKGKHWARDCRSKTDVQGNPL -PPVSGNLGEGPAPGPETMLWGNTAGSKRTIADLCRATRGSAGLDLCATSYTVLTPEMGVQTLATGVFGPL -PPGTVGLLLGRSSASLKGILIHPGVIDSDYTGEIKILASAPNKIIVINAGQRIAQLLLVPLVIQGKTINR -DRQDKGFGSSDAYWVQNVTEARPELELRINANFFRGVLDTGADISVISDKYWPTTWPKQMAISTLQGIGQ -TTNPEQSSSLLTWKDKDGHTGQFKPYILPYLPVNLWGRDILSKMGVYLYSPSPTVTDLMLDQGLLPNQGL -GKQHQGIILPLDLKPNQDRKGLGCFPLGTSDSPVTHADPIDWKSEEPVWVDQWPLTQEKLSAAQQLVQEQ -LRLGHIEPSTSAWNSPIFVIKKKSGKWRLLQDLRKVNETMMHMGALQPGLPTPSAIPDKSYIIVIDLKDC -FYTIPLAPQDCKRFAFSLPSVNFKEPMQRYQWRVLPQGMTNSPTLCQKFVATAIAPVRQRFPQLYLVHYM -DDILLAHTDEHLLYQAFSILKQHLSLNGLVIADEKIQTHFPYNYLGFSLYPRVYNTQLVKLQTDHLKTLN -DFQKLLGDINWIRPYLKLPTYTLQPLFDILKGDSDPASPRTLSLEGRTALQSIEEAIRQQQITYCDYQRS -WGLYILPTPRAPTGVLYQDKPLRWIYLSATPTKHLLPYYELVAKIIAKGRHEAIQYFGMEPPFICVPYAL -EQQDWLFQFSDNWSIAFANYPGQITHHYPSDKLLQFASSHAFIFPKIVRRQPIPEATLIFTDGSSNGTAA -LIINHQTYYAQTSFSSAQVVELFAVHQALLTVPTSFNLFTDSSYVVGALQMIETVPIIGTTSPEVLNLFT -LIQQVLHCRQHPCFFGHIRAHSTLPGALVQGNHTADVLTKQVFFQSAIDAARKSHDLHHQNSHSLRLQFK -ISREAARQIVKSCSTCPQFFVLPQYGVNPRGLRPNHLWQTDVTHIPQFGRLKYVHVSIDTFSNFLMASLH -TGESTRHCIQHLLFCFSTSGIPQTLKTDNGPGYTSRSFQRFCLSFQIHHKTGIPYNPQGQGIVERAHQRI -KHQLLKQKKGNELYSPSPHNALNHALYVLNFLTLDTEGNSAAQRFWGERSSCKKPLVRWKDPLTNLWYGP -DPVLIWGRGHVCVFPQDAEAPRWIPERLVRAAEELPDASDATHDPE - ->sp|P11283.2|POL_MMTVC RecName: Full=Gag-Pro-Pol polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp21; Contains: RecName: Full=Protein p3; Contains: RecName: Full=Protein p8; Contains: RecName: Full=Protein n; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein-dUTPase; Short=NC-dUTPase; Contains: RecName: Full=Protease; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MGVSGSKGQKLFVSVLQRLLSERGLHVKESSAIEFYQFLIKVSPWFPEEGGLNLQDWKRVGREMKKYAAE -HGTDSIPKQAYPIWLQLREILTEQSDLVLLSAEAKSVTEEELEEGLTGLLSASSQEKTYGTRGTAYAEID -TEVDKLSEHIYDEPYEEKEKADKNEEKDHVRKVKKIVQRKENSEHKRKEKDQKAFLATDWNNDDLSPEDW -DDLEEQAAHYHDDDELILPVKRKVDKKKPLALRRKPLPPVGFAGAMAEAREKGDLTFTFPVVFMGESDDD -DTPVWEPLPLKTLKELQSAVRTMGPSAPYTLQVVDMVASQWLTPSDWHQTARATLSPGDYVLWRTEYEEK -SKETVQKTAGKRKGKVSLDMLLGTGQFLSPSSQIKLSKDVLKDVTTNAVLAWRAIPPPGVKKTVLAGLKQ -GNEESYETFISRLEEAVYRMMPRGEGSDILIKQLAWENANSLCQDLIRPMRKTGTMQDYIRACLDASPAV -VQGMAYAAAMRGQKYSTFVKQTYGGGKGGQGSEGPVCFSCGKTGHIKRDCKEEKGSKRAPPGLCPRCKKG -YHWKSECKSKFDKDGNPLPPLETNAENSKNLVKGQSPSPTQKGDKGKDSGLNPEAPPFTIHDLPRGTPGS -AGLDLSSQKDLILSLEDGVSLVPTLVKGTLPEGTTGLIIGRSSNYKKGLEVLPGVIDSDFQGEIKVMVKA -AKNAVIIHKGERIAQLLLLPYLKLPNPIIKEERGSEGFGSTSHVHWVQEISDSRPMLHISLNGRRFLGLL -DTGADKTCIAGRDWPANWPIHQTESSLQGLGMACGVARSSQPLRWQHEDKSGIIHPFVIPTLPFTLWGRD -IMKEIKVRLMTDSPDDSQDLMIGAIESNLFADQISWKSDQPVWLNQWPLKQEKLQALQQLVTEQLQLGHL -EESNSPWNTPVFVIKKKSGKWRLLQDLRAVNATMHDMGALQPGLPSPVAVPKGWEIIIIDLQDCFFNIKL -HPEDCKRFAFSVPSPNFKRPYQRFQWKVLPQGMKNSPTLCQKFVDKAILTVRDKYQDSYIVHYMDDILLA -HPSRSIVDEILTSMIQALNKHGLVVSTEKIQKYDNLKYLGTHIQGDAVSYQKLQIRTDKLRTLNDFQKLL -GNINWIRPFLKLTTGELKPLFEILNGDSNPISIRKLTPEACKALQLVNERLSIARVKRLDLSRPWSLCIL -KTEYTPTACLWQNGVLEWIHLPHISPKVITPYDIFCTQLIIKGRHRSKELFSKDPDYIVVPYTKVQFDLL -LQEKEDWPISLLGFLGEVHFHLPKDPLLTFTLQTAIIFPHMTSTTPLEKGIVIFTDGSANGRSVTYIQGR -EPIIKENTQNTAQQAEIVAVITAFEEVSQSFNLYTDSKYVTGLFPEIETATLSPRTKIYTELRHLQRLIH -KRQEKFYIGHIRGHTGLPGPLAQGNAYADSLTRILTALESAQESHALHHQNAAALRFQFHITREQAREIV -KLCPNCPDWGHAPQLGVNPRGLKPRVLWQMDVTHVSEFGKLKYVHVTVDTYSHFTFATARTGEATKDVLQ -HLAQSFAYMGFPQKIKTDNAPAYVSRSIQEFLARWKISHVTGIPYNPQGQAIVERTHQNIKAQLNKLQKA -GKYYTPHHLLAHALFVLNHVNMDNQGHTAAERHWGPISADPKPMVMWKDLLAGSWKGPDVLITAGRGYAC -VFPQDAETPIWVPDRFIRPFTERKEATPTPGTAEKTPPRDEKDQQKSPEDESSPHQREDGLATSAGVNLR -SGGGS - ->sp|O92956.2|POL_RSVSB RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=Capsid protein p27, alternate cleaved 1; Contains: RecName: Full=Capsid protein p27, alternate cleaved 2; Contains: RecName: Full=p3; Contains: RecName: Full=Nucleocapsid protein p12; Contains: RecName: Full=Protease p15; Contains: RecName: Full=Reverse transcriptase beta-subunit; Short=RT-beta; Contains: RecName: Full=Reverse transcriptase alpha-subunit; Short=RT-alpha; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=pp32; Contains: RecName: Full=p4 -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQRAMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEVGETTVQRDAKMA -PEETATPKTVGTSCYHCGTAIGCNCATASAPPPPYVGSGLYPSLAGVGEQQGQGGDTPRGAEQPRAEPGH -AGLAPGPALTDWARIREELASTGPPVVAMPVVIKTEGPAWTPLEPKLITRLADTVRTKGLRSPITMAEVE -ALMSSPLLPHDVTNLMRVILGPAPYALWMDAWGVQLQTVIAAATRDPRHPANGQGRGERTNLDRLKGLAD -GMVGNPQGQAALLRPGELVAITASALQAFREVARLAEPAGPWADITQGPSESFVDFANRLIKAVEGSDLP -PSARAPVIIDCFRQKSQPDIQQLIRAAPSTLTTPGEIIKYVLDRQKIAPLTDQGIAAAMSSAIQPLVMAV -VNRERDGQTGSGGRARRLCYTCGSPGHYQAQCPKKRKSGNSRERCQLCDGMGHNAKQCRRRDSNQGQRPG -RGLSSGPWPVSEQPAVSLAMTMEHKDRPLVRVILTNTGSHPVKQRSVYITALLDSGADITIISEEDWPTD -WPVVDTANPQIHGIGGGIPMRKSRDMIELGVINRDGSLERPLLLFPAVAMVRGSILGRDCLQGLGLRLTN -LVGRATVLTVALHLAIPLKWKPDHTPVWIDQWPLPEGKLVALTQLVEKELQLGHIEPSLSCWNTPVFVIR -KASGSYRLLHDLRAVNAKLVPFGAVQQGAPVLSALPRGWPLMVLDLKDCFFSIPLAEQDREAFAFTLPSV -NNQAPARRFQWKVLPQGMTCSPTICQLVVGQVLEPLRLKHPSLRMLHYMDDLLLAASSHDGLEAAGEEVI -NTLERAGFTISPDKIQREPGVQYLGYKLGSTYVAPVGLVAEPRIATLWDVQKLVGSLQWLRPALGIPPRL -MGPFYEQLRGSDPNEAREWNLDMKMAWREIVQLSTTAALERWDPALPLEGAVVRCEQGAIGVLGQGLSTH -PRPCLWLFSTQPTKAFTAWLEVLTLLITKLRASAVRTFGKEVDILLLPACFREDLPLPEGILLALRGFAG -KIRSSDTPSIFDIARPLHVSLKVRVTDHPVPGPTVFTDASSSTHKGVVVWREGPRWEIKEIADLGASVQQ -LEARAVAMALLLWPTTPTNVVTDSAFVAKMLLKMGQEGVPSTAAAFILEDALSQRSAMAAVLHVRSHSEV -PGFFTEGNDVADSQATFQAYPLREAKDLHTTLHIGPRALSKACNISMQQAREVVQTCPHCNSAPALEAGV -NPRGLGPLQIWQTDFTLEPRMAPRSWLAVTVDTASSAIVVTQHGRVTSVAAQHHWATAIAVLGRPKAIKT -DNGSCFTSKSTREWLARWGIAHTTGIPGNSQGQAMVERANRLLKDKIRVLAEGDGFMKRIPASKQGELLA -KAMYALNHFERGENTKTPVQKHWRPTVLTEGPPVKIRIETGEWEKGWNVLVWGRGYAAVKNRDTDKVIWV -PSRKVKPDITQKDEVTKKDEASPLFAGSSDWIPWGDEQEGLQEEAASNKQEGPGEDTLAANES - ->sp|Q04095.2|POL_RSVSA RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=p3; Contains: RecName: Full=Capsid protein p27, alternate cleaved 1; Contains: RecName: Full=Capsid protein p27, alternate cleaved 2; Contains: RecName: Full=Nucleocapsid protein p12; Contains: RecName: Full=Protease p15; Contains: RecName: Full=Reverse transcriptase beta-subunit; Short=RT-beta; Contains: RecName: Full=Reverse transcriptase alpha-subunit; Short=RT-alpha; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=pp32; Contains: RecName: Full=p4 -MEAVIKVISSACKTYCGKISPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQRAMVLGKSGE -LKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEVGETTAQRDAKMA -PEKMATPKTVGTSCYQCGTATGCNCATASAPPPPYVGSGLYPSLAGVGEQQGQGGDTPWGAEQPRAEPGH -AGLAPGPALTDWARIREELASTGPPVVAMPVVIKTEGPAWTPLEPKLITRLADTVRTKGLRSPITMAEVE -ALMSSPLLPHDVTNLMRVILGPAPYALWMDAWGVQLQTVIAAATRDPRHPANGQGRGERTNLDRLKGLAD -GMVGNPQGQAALLRPGELVAITASALQAFREVARLAEPAGPWADITQGPSESFVDFANRLIKAVEGSDLP -PSARAPVIIDCFRQKSQPDIQQLIRAAPSTLTTPGEIIKYVLDRQKIAPLTDQGIAAAMSSAIQPLVMAV -VNRERDGQTGSGGRARGLCYTCGSPGHYQAQCPKKRKSGNSRERCQLCDGMGHNAKQCRRRDGNQGQRPG -KGLSSGSWPVSEQPAVSLAMTMEHKDRPLVRVILTNTGSHPVKQRSVYITALLDSGADITIISEEDWPTD -WPVMEAANPQIHGIGGGIPMRKSRDMIEVGVINRDGSLERPLLLFPAVAMVRGSILGRDCLQGLGLRLTN -LIGRATVLTVALHLAIPLKWKPDHTPVWIDQWPLPEGKLVALTQLVEKELQLGHIEPSLSCWNTPVFVIR -KASGSYRLLHDLRAVNAKLVPFGAVQQGAPVLSALPRGWPLMVLDLKDCFFSIPLAEQDREAFAFTLPSV -NNQAPARRFQWKVLPQGMTCSPTICQLVVGQVLEPLRLKHPSLRMLHYMDDLLLAASSHDGLEAAGEEVI -STLERAGFTISPDKIQREPGVQYLGYKLGSTYVAPVGLVAEPRIATLWDVQKLVGSLQWLRPALGIPPRL -MGPFYEQLRGSDPNEAREWNLDMKMAWREIVQLSTTAALERWDPALPLEGAVARCEQGAIGVLGQGLSTH -PRPCLWLFSTQPTKAFTAWLEVLTLLITKLRASAVRTFGKEVDILLLPACFREDLPLPEGILLALRGFAG -KIRSSDTPSIFDIARPLHVSLKVRVTDHPVPGPTAFTDASSSTHKGVVVWREGPRWEIKEIADLGASVQQ -LEARAVAMALLLWPTTPTNVVTDSAFVAKMLLKMGQEGVPSTAAAFILEDALSQRSAMAAVLHVRSHSEV -PGFFTEGNDVADSQATFQAYPLREAKDLHTALHIGPRALSKACNISMQQAREVVQTCPHCNSAPALEAGV -NPRGLGPLQIWQTDFTLEPRMAPRSWLAVTVDTASSAIVVTQHGRVTSVAAQHHWATAIAVLGRPKAIKT -DNGSCFTSKSTREWLARWGIAHTTGIPGNSQGQAMVERANRLLKDKIRVLAEGDGFMKRIPTSKQGELLA -KAMYALNHFERGENTKTPIQKHWRPTVLTEGPPVKIRIETGEWEKGWNVLVWGRGYAAVKNRDTDKVIWV -PSRKVKPDVTQKDEVTKKDEASPLFAGISDWIPWEDEQEGLQGETASNKQERPGEDTLAANES - ->sp|P11365.2|GAG_IPMA RecName: Full=Intracisternal A-particle Gag-related polyprotein; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=Capsid protein; Contains: RecName: Full=Nucleocapsid protein; Contains: RecName: Full=Protease; Flags: Precursor -MFGLEFFLVLEALLFLFTCYQVVKAGRILDEIQDKLSEVKRGERVGTKRKYGTQNKYTGLSKGLEPEEKL -RLGRNTWREIRRKRGKREKKKDQLAEVSRKRSLCSSLDGLGEPALSSSEADEEFSSEETDWEEEAAHYEK -KGYQPGKVLANQLRKPKAAGEGQFADWPQGSRLQGPPYAESPPCVVRQPCAERQCAKRQCADSFIPREEQ -RKIQQAFPVFEGAEGGRVHAPVEYLQIKELAESVRKYGTNANFTLVQLDRLAGMALTPADWQTVVKAALP -MMGKYMEWRALWHETAQAQARANAAALTPEQRDWTFDLLTGQGAYSADQTNYHWGAYAQISSTAIRRWKG -LSRAGETTGQLTKVVQGPQESFSDFVARMTEAAERIFGESEQAAPLIEQLIYEQATKECRAAIAPRKNKG -LQDWLRVCRELGGPLTNAGLAAAILQSQNRSMSRNDQRTCFNCGKPGHFKKDCRAPDKQGGTLTLCSKCG -KGYHRADQCRSVRDIKGRVLPPPDSQSAYVPKNGSSGPRSQGLKDMGTGLSGPRKQSERRPRKTHKVDLR -AASDFLLMPQMSIQPVPVEPIPSLPLGTMGLILGRGSASTLQGLVVHPELWIVNIPQKYQVLCSSPKGVF -SISKGDRIPQLLLLLPDNTREKSAGPEIKKMGSSGNDSAYLVVSLNDRPKLRLKINGKEFEGILDTGADK -SIISTHWWPKAWPTTESSHSLQGLGYQSCPTISSVALTWESSEGQQGKFIPYVLPLPVNLWGRDIMQHLG -LILSNENAPSGGYSAKAKNIMAKMGYKEGKGLGHQEQGRIEPISPNGNQDRQGLGFP - ->sp|P51516.3|GAG_SRV2 RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=p4 -MGQELSQHELYVEQLKKALKTRGVKVKGNDLLKFFDFVKDTCPWFPQEGTIDIKRWRRVGDCFQDYYNTF -GPEKIPVTAFSYWNLIKDLIDKKEADPQVMAAVTQTEKILKVSSQTDLRDNSHNKDMDLISLESDDEEAK -APSEKMTMSNKSPKKYPAMLASQNNNTDKDPDLSEVDWDGLEDEAAKYHNPDWPPFLSRPPPYNRTAATA -PAVMAVVNPKEELKEKISQLEEQIKLEELHQSLIIRLQKLKTGNERVTSSGNIESHSRTPKWPGQCLPKG -KYLINKNTEEYPPKDIFPVTETMDGQGQAWRHHNGFDFTVIKELKTAVSQYGATAPYTLAIVESIADNWL -TPTDWNTLVRAVLSGGDHLIWKSEFFENCRDTAKRNQQAGNGWDFDMLTGSGNYANTDAQMQYDPGLFAQ -IQAAATNAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGNAEAGVDYVKQLAYENANPAC -QAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDLLNNKNKDRGGCFKCGKKGHFAKDCR -DHSNKNPESKVPGLCPRCKRGKHWANECKSKTDSQGNPLPPHQGNGMRGQPQAPKQAYGAVSFVPANSNN -PFQNLIEPPQEVQDWTSVPPPTQY - ->sp|P12894.1|POL_IPMAI RecName: Full=Intracisternal A-particle Pol-related polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -MALTPADWQMIAKAALPNMGKYLEWRSLWREAAQAQARANAAALTPEQRDWTFDLLTGQRAYSAKPDKRY -QWKVLPQGMSNSPTMCQLYVQKALLPVREQFPSLILLLYMDDILLCHKDLTMLQKAYPFLLKTLSQWGLQ -IATEKVQISDTGQFLGSVVSPDKIVPQKVEIRRDHLHTLNDFQKLLGDINWLRPFLKIPSAELRPLFSIL -EGDPHISSPRTLTLAANQALQKVEKALQNAQLQRIEDSQPFSLCVFKTAQLPTAVLWQNGPLLWIHPNVS -PAKIIDWYPDAIAQLALKGLKAAITHFGQSPYLLIVPYTAAQVQTLAAASNDWAVLVTSFSGKIDNHYPK -HPILQFAQNQSVVFPQITVRNPLKNGIVVYTDGSKTGIGAYVANGKVVSKQYNENSPQVVECLVVLEVLK -TFLKPLNIVSDSYYVVNAVNLLEVAGVIKPSSRVANIFQQIQLVLLSRRSPVYITHVRAHSGLPGPMALG -NDLADKATKVVAAALSSPVEAARNFHNNFHVTAETLRSRFSLTRKEARDIVTQCQSCCEFLPVPHVGINP -RGIRPLQVWQMDVTHVSSFGKLQYLHVSIDTCSGIMFASPLTGEKASHVIQHCLEAWSAWGKPRLLKTDN -GPAYTSQKFQQFCRQMDVTHLTGLPYNPQGQGIVERAHRTLKAYLIKQKRGTFEETVPRAPRVSVSLALF -TLNFLNIDAHGHTAAERHCSEPDRPNEMVKWKNVLDNKWYGPDPILIRSRGAICVFPQNEDNPFWVPERL -TRKIQTDQGNTNVPRLGDVQGVNNKERAALGDNVDISTPNDGDV - ->sp|P11368.1|POL_IPMA RecName: Full=Intracisternal A-particle Pol-related polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -WKPRQTGSGFSLAAIGAARPIPWKTGDPVWVPQWHLSSEKLEAVIQLVEEQLKLGHIDPSTSPWNTPIFV -IKKKSGKWRLLHDLRPINEQMNLFGPVQRGLPVLSALPRGWNLIIIDIKDCFFSIPLCPRDRPRFAFTIP -SINSDEPDNRYQWKVLPQGMSNSPTMCQLYVQEALLPVREQFPSLILLLYMDDILLCHKELTMLQKAYPF -LLKTLSQWGLQIATEKVQISDTGQFLGSVVSPDKIVPQKVEIRRDHLHTLNNFQKLLGDINWLRPFLKIP -SAELRPLFWYLEGDPHISSPRTLTLAANQALQKVEKALQNAQLQAIEDSQPFSLCVFKTAQLPTAVLWQN -GPLLWIHPNVSPAKIIDWYPDAIAQLALKGLKAAITHFGRSPYLLIVPYTAAQVQTLAATSNDWAVLVTS -FSGKIDNHYPKHPILQFAQNQSVVFPQITVRNPLKNGIVVYTDGSKTGIGAYVANGKVVSKQYNENSPRM -VECLVVLEVLKTFLEPLNIVSDSCYVVNAVNLLEGGWSDKPSSRVANIFQQIQLVLLSRSPVYITHVRAH -SGLPTSAPWLSGNDLADKATSGGCSLSSPVEAAQEIFITTFHVTAEHYRSRNSLTRKEARDIVTQCQSCC -EFLPVPHVGINPRGIRPLQVWQMDVTHVSSFGKLQYLHVSIDTCSGIMFASPLTGEKASHVIQHCLEAWS -AWGKPRLLKTDNGPAYTSQKFQQFCRQMDVTHLTGLPYNPQGQGIVERAHRTLKTYLIKQKRELEEILPQ -HQESLSMALFTLNFLNIDVHGHTAAERQCSEPDRPNEMVKWKNVLHNKWYGPDPILIRSRGAVCVFHRMK -TTHFGYQKDSPEKSRLTKGIPDVPRLW - ->sp|P04022.3|GAG_SRV1 RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=p4 -MGQELSQHERYVEQLKQALKTRGVKVKYADLLKFFDFVKDTCPWFPQEGTIDIKRWRRVGDCFQDYYNTF -GPEKVPVTAFSYWNLIKELIDKKEVNPQVMAAVAQTEEILKTSSHTELTTKPSQNPDLDLISLDSDDEGA -KGSSLKDKNLSCTKKPKRFPVLLTAQTSADPEDPNPSEVDWDGLEDEAAKYHNPDWPPFLTRPPPYNKAT -PSAPTVMAVVNPKEELKEKIAQLEEQIKLEELHQALISKLQKLKTGNETVTSPETAGGFSRTPHWPGQHI -PKGKCCASREKEEQTPKDIFPVTETVDGQGQAWRHHNGFDFTVIKELKTAASQYGATAPYTLAIVESVAD -NWLTPTDWNTLVRAVLSGGDHLLWKSEFFENCRETAKRNQQAGNGWDFDMLTGSGNYSSTDAQMQYDPGL -FAQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGSAEAGVDYVKQLAYENAN -PACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLNNKNKEKGGCCFKCGRKGHFA -KNCHEHIHNNSETKAPGLCPRCKRGKHWANECKSKTDSQGNPLPPHQGNGLRGQPQAPKQAYGAVSFVPA -NKNNPFQSLPEPPQEVQDWTSVPPPTQY - ->sp|P03343.3|GAG_MMTVG RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp21; Contains: RecName: Full=Protein p3; Contains: RecName: Full=Protein p8; Contains: RecName: Full=Protein n; Contains: RecName: Full=Capsid protein p27 -MGVSGSKGQKLFVSVLQRLLSERGLHVKESSTIEFYQFLIKVSLGFPKKEDLNLQDWKRVGREMKKYAAD -DGTDSIPKQAYPIWLQLREILTEQSDLVLLSAEAKSVTEEELEEGLTGLLSASSQEKTYGTRGTAYAEID -TEADKLSEHIYDEPYEEKEKADKNEEKDHVRKVKKIVQRKENSEHKRKEKDQKAFLATDWNDDDLSPEDW -DNLEEQAAHYHDDDELILPVKRKVVKKKPLALRRKPLPPVGFAGAMAEAREKGDLTFTFPVVFMGESDDD -DTPVWEPLPLKTLKELQSAVRTMGPSAPYTLEVVDMVASQWLTPSDWHQTARATLSPGDYVLWRTEYEEK -SKE - ->sp|P31790.1|GAG_IPMAE RecName: Full=Intracisternal A-particle Gag-related polyprotein -MGISHSIVVALRSVLKQCGLKIATKTLEGFVREIDRVAPWYACSGSLTVASWDKLKGDLVREQQKGKLKA -GIIPLWKLVKSCLTDEDCQQMVEAGQKVLDEIQESLSEVERGEKVKVERKQSALKNLGLSTGLEPEEKRY -KGKNALGEIRKRDEKGEKKGDRAGEAHKERSLYPPLVEFKQLTLSNSEPDEGVSTSEETDSEEEAVRYKG -ERYQQDKMATQPRKRQKAADESQLAAWPPDCRLQGPSAPPLYVQR - ->sp|P04026.1|POL_IPHA RecName: Full=Intracisternal A-particle Pol-related polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN -LGAVEASRPIPWKTEEPLWVSQWPLSSEKLEAVTRLVQEQERLGHLEPSTSPWNTPIFVIKKKSGKWRLL -HDLRAINNQMHLFGPVQRGLPLLSALPQDWKLIIIDIKDCFFSIPLYPRDRPRFAFTIPSLNHMEPDKRF -QWKVLPQGMANSPTICQLYVQEALEPIRKQFTSLIVIHYMDDILICHKELDVLQKAFPMLVAELKQWGLE -IASEKVQIADTGLFLGSKITPKNIVPQKIEIRKDHLQTLNDFQKLLGDINWLRPFLKIPSADLKPLFDLL -EGEPHISSPRKFTPAAHRALQMVEEALQEAQITTNSPAKIIDWYPDAVAQPRSRIKAAVTHFGRDPDSLI -VPYTAAQVQTLAATSSDWAVLVTSFSGQIDNHFPKHPILQFALNQAIVFPQVTAKDPLPDGTVVYTDGSK -TGLGAYVVKDRVISKQYNETSPQVVECLIVLEVLEAFPGPLNIVSDSSYVVNAVNLLEIAGIIRSSSRVA -NIFQKIQAALLNRRFPVFITHVRAHSGLPGPMSLGNDLADKATKLVATALSTHAQAAKEFHKRFHVTAET -LRRRFALSRKEAREIVTQCQNCCEFLPTPHMGINPRGIRPLQMWQMDVTHIPSFGRLQYVHVSVDTCSGV -MFATPLTGEKASYVIQHCLEAWSAWGKPRIKTDNGPAYTSQKFRQFCRQMDVTHLTGLPYNPQGQGIVER -AHRTLKSYLIKQKGSIEDVLPSVPRVAVSMALFTLNFLNCDAQGHTAADRHSLEPDRPKEMVKWKDVLTD -LWKGPDPILIRSRGAVCVFPQEEENPLWIPERLTRRAPSQLQNKKDGGQVGDEDSPTRDDGSYDGRVTVG -HHVYLPPTDASDAECPGVPIFHK - ->sp|P04023.1|GAG_IPHA RecName: Full=Intracisternal A-particle Gag-related polyprotein; Contains: RecName: Full=Matrix protein; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=Capsid protein; Contains: RecName: Full=Nucleocapsid protein; Contains: RecName: Full=Protease -MGSSQSVVTALQTVLKQRDLKIAPRTLQNFMKEVDRVAPWYACSGSLTVASWNKLGKELDRKHAEGDLCL -GTKAIWKLVKNCLEDEACHPAIIESQGTLEEVQDSMSETERSERMGARKRKDMSKKKGPPQEVKKGGEKE -GSYHSPLNKSKKKKKPESSQYPAVELEALELDNSDSDTLDSSEEGGLEEEVARYEEKRYHPDRHRPLKTK -MNVRPPPINPAGSRPSAPPPYELRLNTGTDSFLPLEERRKIQMAFPVFENAEGGRVHTPVDYNQIKELAE -SVRNYGVNANFTTLQVERLANFAMTPTDWQTTVKAVLPNMGQYMEWKALWYDAAQAQARVNATAGRSSGH -LTKIVQGPQEPFSDFVARMTEAASRIFGDSEQAMPLIEQLVYEQATQECRAAIAPRKSKGLQDWLRVCRE -LGGPLTNAGLAAAILQTHRYRDLSNRKACFNCGRMGHLKKDCQAPERTRESKLCYRCGKGYHRASECGIM -DSGADKSIISLHWWPKSWPTVVSSHSLQGLGYQSSPAISASALTWRDAEGKQGCFTPYVLPLPVNLWGRD -VLQAMGMTLTNE - ->sp|Q7SQ98.1|POL_ALV RecName: Full=Pol polyprotein; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; Contains: RecName: Full=Integrase; Short=IN; AltName: Full=pp32 -TVALHLAIPLKWKPDHTPVWIDQWPLPEGKLVALTQLVEKELQLGHIEPSLSCWNTPVFVIRKASGSYRL -LHDLRAVNAKLVPFGAVQQGAPVLSALPRGWPLMVLDLKDCFFSIPLAEQDREAFAFTLPSVNNQAPARR -FQWKVLPQGMTCSPTICQLVVGQVLEPLRLKHPSLRMLHYMDDLLLAASSHDGLEAAGEEVISTLERAGF -TISPDKIQREPGVQYLGYKLGSTYVAPVGLVAEPRIATLWDVQKLVGSLQWLRPALGIPPRLMGPFYEQL -RGSDPNEAREWNLDMKMAWREIVQLSTTAALERWDPALPLEGAVARCEQGAIGVLGQGLSTHPRPCLWLF -STQPTKAFTAWLEVLTLLITKLRASAVRTFGKEVDILLLPACFREDLPLPEGILLALKGFAGKIRSSDTP -SIFDIARPLHVSLKVRVTDHPVPGPTVFTDASSSTHKGVVVWREGPRWEIKEIADSGASVQQLEARAVAM -ALLLWPTTPTNVVTDSAFVAKMLLKMGQEGVPSTAAAFILEDALSQRSAMAAVLHVRSHSEVPGFFTEGN -DVADSQATFQAYPLREAKDLHTALHIGPRALSKACNISMQQAREVVQTCPHCNSAPALEAGVNPRGLGPL -QIWQTDFTLEPRMAPRSWLAVTVDTASSAIVVTQHGRVTSVAAQHHWATAIAVLGRPKAIKTDNGSCFTS -KSTREWLARWGIAHTTGIPGNSQGQAMVERANRLLKDKIRVLAEGDGFMKRIPTSKQGELLAKAMYALNH -FERGENTKTPIQKHWRPTVLTEGPPVKIRIETGEWEKGWNVLVWGRGYAAVKNRDTDKVIWVPSRKVKPD -ITQKDEVTKKDEASPLFAGISDWAPWKGEQEGLQEEVASNKQERPGEDTLAANES - diff --git a/seq/clusters_seq/cluster_820 b/seq/clusters_seq/cluster_820 deleted file mode 100644 index 2fc9a6a..0000000 --- a/seq/clusters_seq/cluster_820 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_009508277.1 structural protein P8 [Wound tumor virus] -MSRQNWVETSALVECISEYIVRSYGDTFIGLTSTDLSTLSNLLSNLSIANVGFLNDLRTPLQNMSNEFVD -FLSTTDRCGFMLRPIWFDSDINPAVTDNFVNSYIKLRNSVPVSDVIRQVNNLSLHNDVVLKIYSVQNAII -RALDPPYGTKVDPTNLFRATALKPSNYGQRRSLCTQLGAGVEAVDFFVSERGRMVFGRRSPNALQAAQYD -INVPNFWSVLDVTNARVYFTNTFLGCTITNVQVNAQNGQNPVAFIRVNTDQNDINVDSDAIVSFSLAGGV -INVTTAVPMTGFAIAIEGDFHFQMNRCQSYYTGVSITLGAQVPIDDFGIMKHLEIFRMRLLACGQAEMFA -ESMNRLTMQLIANYTQDNFNPNAVAFATPWYRISERFGVILSFIDQNINLQTRRLMVRHLWVIYSFIAVF -GRYYNIN - ->YP_002790891.1 outer capsid protein [Homalodisca vitripennis reovirus] -MSRQAWLDTSSLLEAISEYIGRCTYDTFNGFASGDSNALTNLLAQLQIPTVGYMSDPKVPLQNMSNNFIE -FMSTTDRCGFMLKKEWFSSDIQPVVSDDFIATYIKSRNQVPYADVLRQINYPALQASPDPKLIVRQSAVM -RGSDTPYTTPIEPCDAYRSVAGGTGNIARMGLLATPPVQNDTFFVAERGRILFGIRSAAAVQGNQQIPIP -PWASILQVTNARLYFTDSFFGTSIAGVTATTVPGEAATNVVFPGDANDMVINSDDVVSLSLNGGNISVTL -GVPRVGFCIAIDGNFTMLANRSQNYYTLTTAIAQNAHLDDFGLSAFLVPTMTALTNVGQAGIFSDAINAL -TTALITNYTTADVAAAIAFNSPWNRFSERMAVIMAAGGIADINVKRLIIRHLWIITSLIAVFGRYYHPN - ->YP_001111366.1 capsid protein [Rice gall dwarf virus] -MSRQAWIETSALIECISEYGTKCSFDTFQGLTINDISTLSNLMNQISVASVGFLNDPRTPLQAMSCEFVN -FISTADRHAYMLQKNWFDSDVAPNVTTDNFIATYIKPRFSRTVSDVLRQVNNFALQPMENPKLISRQLGV -LKAYDIPYSTPINPMDVARSSANVVGNVSQRRALSTPLIQGAQNVTFIVSESDKIIFGTRSLNPIAPGNF -QINVPPWYSDLNVVDARIYFTNSFLGCTIQNVQVNAVNGNDPVATITVPTDNNPFIVDSDSVVSLSLSGG -AINVTTAVNLTGYAIAIEGKFNMQMNASPSYYTLSSLTIQTSVIDDFGLSAFLEPFRIRLRASGQTEIFS -QSMNTLTENLIRQYMPANQAVNIAFVSPWYRFSERARTILTFNQPLLPFASRKLIIRHLWVIMSFIAVFG -RYYTVN - ->NP_620534.1 coat protein [Rice dwarf virus] -MSRQMWLDTSALLEAISEYVVRCNGDTFSGLTTGDFNALSNMFTQLSVSSAGYVSDPRVPLQTMSNMFVS -FITSTDRCGYMLGKTWFNSDTKPTVSDDFITAYIKPRLQVPMSDTVRQLNNLSLQPSAKPKLYERQNAIM -KGLDIPYSEPIEPCKLFRSVAGQTGNIPLMGILLTPPVAQQQPFFVAERRRILFGIRSNAAIPAGAYQFV -VPAWASVLSVTGAYVYFTNSFFGTTIAGVTATATAADAATTFTVPTDANNLPVQTDSRLSFSLGGGNINL -ELGVAKTGFCVAIEGEFTILANRSQAYYTLNSITQTPTSIDDFDVSDFLTTFLSQLRACGQYEIFSDAMD -QLTNNLITNYMDPPAIPAGLAFTSPWFRFSERARTILALQNVDLNIRKLMVRHLWVITSLIAVFGRYYRP -N - ->sp|Q85451.1|P8_RDVS RecName: Full=Outer capsid protein P8; AltName: Full=Structural protein P8; Contains: RecName: Full=Outer capsid protein P8'; Contains: RecName: Full=Small peptide 1; Short=Sp1 -MSRQMWLDTSALLEAISEYVVRCNGDTFSGLTTGDFNALSNMFTQLSVSSAGYVSDPRVPLQTMSNMFVS -FITSTDRCGYMLRKTWFNSDTKPTVSDDFITTYIRPRLQVPMSDTVRQLNNLSLQPSAKPKLYERQNAIM -KGLDIPYSEPIEPCKLFRSVAGQTGNIPMMGILATPPAAQQQPFFVAERRRILFGIRSNAAIPAGAYQFV -VPAWASVLSVTGAYVYFTNSFFGTTIAGVTATATAADAATTFTVPTDANNLPVQTDSRLSFSLGGGNINL -ELGVAKTGFCVAIEGEFTILANRSQAYYTLNSITQTPTSIDDFDVSDFLTTFLSQLRACGQYEIFSDAMD -QLTNSLITNYMDPPAIPAGLAFTSPWFRFSERARTILALQNVDLNIRKLIVRHLWVITSLIAVFGRYYRP -N - diff --git a/seq/clusters_seq/cluster_821 b/seq/clusters_seq/cluster_821 deleted file mode 100644 index f7d14ac..0000000 --- a/seq/clusters_seq/cluster_821 +++ /dev/null @@ -1,70 +0,0 @@ ->YP_009508276.1 polypeptide P5 [Wound tumor virus] -MAIDSYCIPNFSQTIDNRTIVNIFQSCKYRSQLSVCFLNDKSAADKFSNSMRQGSGTITFIIHAEDGEIS -EQLHSTFRSVSTMLLCGMQLFVFIVAPRNVISSETGKAITWAFRGSFIELRDHGRGEQALHDILEQFYRL -SPLVNVPKMGMAYYGPTSFAELLSLSSKNKTSWRYVIDYSMFTRSALVGFASHMMDECSFANKQINVIGY -NPPYVWAGLRHGVTTRFTEMSTPDPEGYGPIKLILPRLTGNVLLKKVKYVQHDPQKKLLCDDSVMFALSR -NILYIGVYPATHLLDYNLKGWRMVAVDPKINAAWAETLKQRTSIDLVPISAKFEFNAQSTRDIVLKYFSG -VPFSIIDDSWVEGTEDYEKFQELKQSYFEQLVMNGSTSKLRVSMISMKWNRTKDVKCRRLLALLPQPYGG -SLRELRAYFHVNGAAEVNIKKSEVNSYMDKFTSLSISEQIGSQKFMHMLITNYGDALKLKTGRDKAIIAS -YSLSNAINKKERVLKFLSDAAKSETLIIFGAPNLNRVKFMIKSGIVLGSDVTISNDLITFKNASGKVWKD -YGYTQSELIKSSMIEITIEQMLCISSSSYNGVGYFANSIYNDMFSWYVPEWLFEKYFSIQDIRLSPVALV -KCFTTSIRNLCYVPHLTYYALRGSFVEKVLITNNVLNSSYLITGTSHSTFKVLSNFEVPSPAGVLKFKAG -DDVNISGHLLSLVIAAHFVASPTLLWATHMKRMTTPVNLPKNLDKLLFFDNKIKNGMLEKWHSREEVVLA -AMIVENYVAHILNGRHSIEIIQEITQVIYEKFNA - ->YP_002790888.1 minor core protein [Homalodisca vitripennis reovirus] -MGDDAYCIPNFSQTIDKRVILNVFEACRHRSPIAIIGLPHRDVAVKYSSKLSTGSGTIVYFLHDSTNITN -DLFSVFRAVGTQLLMGCQIVIFVTIPTTKLTLNTLKSIGWAFRAAVADFRDTSREDPNLIDFMDTTLDLA -PLFNVPKCGIAYYGPTIFSELLELTNKGLLKTKWYATIDYSMFSRSAITAFAAYMLKAAGIDRKSMKAAV -VGYNPPYVWTGLKHGLQVKYLELHVRSPGGLGPRRLILPKLDFKDEPNKVKYVVHNPQIKLLCHDMTFLS -ISRNVLYIGSYPATHLEGLNLYGWKLLCVDPKNTPEWAEIMRKKTNADITQIGLPYDFTTPGVFPKYVKK -VFGNERFVVMDDSWIDGRAEYEDFQLLKQSYFESVCASDDQMILASVKWHRTKDTTVKYLLALLPQPYGG -SIRELRAVFSKHGVSSITIKSSDTEAYLDKFNKMSQSSQIYTQAFMHQLLTTTKDALEYDVPNDTALIAS -YSLSNSNNSKSRVLQCLDNYAKMKKVVIFGAPNLLRLKFMKEIGLMLDSSIKIKDDLISFSNPSGKKWVD -RGYTAEEMQSVGFIEITVEQMVSLCGGDYAGCGYFVNSSYNDIFSWYIPMWMVGKLMLQDIRTSPSALVK -CFTTVIRSLCFVPHRVYYAYRSYMVAKHLAANNVLNTAYTLIGSSDATFIVNSNFSIPHPAGPLNFTAGD -KVNISGHLLSLSIAAHFVAAPILLWAHQMKYMTQNRKKDPKIDPLLYFDNKLDKQGSLMQWHSREEVLLA -CLIVEDYVSMMFNGNYSKSIINDITATMAKVFKA - ->YP_001111372.1 P5 protein [Rice gall dwarf virus] -MQAKTTSEEYVIPNFAQTINNRTIVNIFESCKYRSPLIICALNDAVLAKEYSNSMAMGSGTITFMIDNDV -DIMSSLYTTFRTVSTLLLMGNQLCVFIVVPMSVISTDALTAIAYAYRGAMIELRHYGRGDDYVQERLESL -FKLSPLCGTPHMGPKYYGPTVFSELLDLSHHNKTSWYSVIDYSMFTRTALVGFASYMMKTLSLNSSIVNI -VGYNPPYVWAAMMHGVTIRYIEKEIPNPKGKGPMGLIMPELNGRVLTNKVKYVLHNPQIKLLCLDSMMFM -SSRNIVYIGAYPATHLLDMNLRGWNIYAVDPEITQQWISDMKAKTGANICASSRKFMFDVSENIKINEFF -GNQPYSIIDDSWVPDNYEQFQDKKRNYFQELVKSDQKVTLITMKWNTRKNVTCEKLLALLPQPYGGKLYE -MRAFFHRNGIGSITIDANSVEKYIQKFQELPLGAQVGTQKFMHTMISRVQDVMSIQPKKGDVIIASYSLS -NASNPKKKVLEYLTKASKSEAMIIFGAPNLERVKYMRERGVLPGNNITINGEKITFNNPSGKKWTDFGYT -NSELLACDMIEVTIEQMVSFMSTSFRGTGYYSNSIYNDLFSWFIPIWVWNQTMQIQDIRLSPVALVKCFT -TKIRNLCYVPHSTYYALRGHLVAKMFSENNIENNCYSISGKSNETFTVLKDFKFPTSIGVLEFKAGEKVN -ISGHLLSLAVAAHFVAVPVTMWARHIKYMTVDRQKPPDVDRILFFDNKIKRNTLEKWHTKSEVILAALIA -GEYVGLMLNNFHSKAIVDDLCNTVLATFR - ->NP_620532.1 viral structural protein [Rice dwarf virus] -MSNPDYCIPNFSQTVNERTIIDIFTICRYRSPLVVFCLSHTELAKKYAQDVSMSSGTHVHIIDGSVEITT -SLYRTFRTIATQLLGRMQIVVFVTVDKSVVSTQVMKSTAWASRGSFVELRNQSVDSSTLVNKLENLVSFA -PLYNVPKCGPDYYGPTVYSELLSLATNARTHWYATIDYSMFTRSVLTGFIAKYFNEEAVPIDKRIVSIVG -YNPPYVWTCLRHGIRPTYIQKSLPNPGGKGPFGLILPVINELVLKSKVKYVMHNPQIKLLCLDTFMLSTS -MNILYIGAYPATHLLSLQLNGWTILAFDPKITSDWTDAMAKATGAKVIGVNKEFDFKSFSVQANQLNMFQ -NSKLSVIDDTWVETDYEKFQAEKQAYFEWLIDRTSIDVRLISMKWNRSKDTSVSHLLALLPQPYGASIRE -MRAFFHKKGASDIKILAAETEKYMDDFTAMSVSDQINTQKFMHCMITTVGDALKMDLDGGRAVIASYSLS -NSSNPKERVLKFLSDANNGKAMVVFGAPNTYRLAYAKKVGLVLDSAIKMSKDLITFSNPTGRRWRDYGYS -QSELYDAGYVEITIDQMVDYSSDVYNGVGYFANSTYNDLFSWYIPKWYVHKRMLMQDIRLSPAALVKCFT -TLIRNICYVPHETYYRFRGILVDKYLRSKNVDSISLFHYWSGSKTFTVLSHFEVPHECGPLVFEASTDVN -VSGHLLSLAIAAHFVASPMILWAEQMKYMAVDRMLPPNLDKSLFFDNKVTPSGALQRWHSREEVLLAAEI -CESYAAMMLNNKHSPDIIGTLKSAINLVFKI - ->sp|P14583.1|MCE_RDVA RecName: Full=Putative mRNA-capping enzyme P5; AltName: Full=Structural protein 5; AltName: Full=mRNA guanylyltransferase -MSNPDYCIPNFSQTVNERTIIDIFTICRYRSPLVVFCLSHNELAKKYAQDVSMSSGTHVHIIDGSVEITA -SLYRTFRTIATQLLGRMQIVVFVTVDKSVVSTQVMKSIAWAFRGSFVELRNQSVDSSTLVSKLENLVSFA -PLYNVPKCGPDYYGPTVYSELLSLATNARTHWYATIDYSMFTRSVLTGFIAKYFNEEAVPIDKRIVSIVG -YNPPYVWTCLRHGIRPTYIEKSLPNPGGKGPFGLILPVINELVLKSKVKYVMHNPQIKLLCLDTFMLSTS -MNILYIGAYPATHLLSLQLNGWTILAFDPKITSDWTDAMAKATGAKVIGVNKEFDFKSFSVQANQLNMFQ -NSKLSVIDDTWVETDYEKFQAEKQAYFEWLIDRTSIDVRLISMKWNRSKDTSVSHLLALLPQPYGASIRE -MRAFFHKKGASDIKILAAETEKYMDDFTAMSVSDQINTQKFMHCMITTVGDALKMDLDGGRAVIASYSLS -NSSNPKERVLKFLSDANKAKAMVVFGAPNTHRLAYAKKVGLVLDSAIKMSKDLITFSNPTGRRWRDYGYS -QSELYDAGYVEITIDQMVAYSSDVYNGVGYFANSTYNDLFSWYIPKWYVHKRMLMQDIRLSPAALVKCFT -TLIRNICYVPHETYYRFRGILVDKYLRSKNVDPSQYSIVGSGSKTFTVLNHFEVPHECGPLVFEASTDVN -ISGHLLSLAIAAHFVASPMILWAEQMKYMAVDRMLPPNLDKSLFFDNKVTPSGALQRWHSREEVLLAAEI -CESYAAMMLNNKHSPDIIGTLKSAINLVFKI - diff --git a/seq/clusters_seq/cluster_822 b/seq/clusters_seq/cluster_822 deleted file mode 100644 index afb7744..0000000 --- a/seq/clusters_seq/cluster_822 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009507959.1 p28 [Diodia vein chlorosis virus] -MTSNVFDLTLPSDDQVRDQDISRSSTMTNDRIASNINQVLNVTNDFKRYTVAELEHNLLCCEALMTYAAL -NTFDVSLFSENSSTFKVLRDSGHDEEEIKQNKQFYFPVLNDFDVKTIMYDISKVLKFLIRYKMGYFENFD -INTIFAYYHVNNFQRINFAIKDMTLTDMQVNDQVDAVFYLDVDNSYWLKTLLKKCANFSKTGEEKRLILK -TYINEHLKFKFAFRYNISSFKIPEVSNK - ->YP_227366.1 p27 [Blackberry yellow vein-associated virus] -MINDFPFNEQAVENYPQTYNERVAFNVNLILNMLCDHQNHNLNEMEEVVLYCSTIINICRTDTFNVNLYA -RNSKIFKTLRDCGYSEEEIFNNKERFFPTICSNDLNDLMQEIIIIFEFLIQYKRGVIDNIVIDEVFTNYI -VKNNTNLYWALSEHFKNKYKFGYNTDVEISFDVDDDYMLSLLIKQYRKLTSNSAQKKQSLITILNESFKF -RFNLRYHHLGVVLGKIKNF - ->YP_025091.1 p28 [Strawberry pallidosis-associated virus] -MTSSFHDLTTSDFQISNPVQNERGIISDNINFIINIVENATSYTNAELEHAKLCCQALLTFSNQNNFKVS -LFGKNSKIYNALRNSSHSDEEIERCKDYYFPTLRSFDVIDVIELMQDFFAVLEFLINMRKGYFDFIDVTN -LFHHYNIYDSKSLNSAVAKHIEANVSLGYYTKLKIALNLSDDFEVKNLIIKYKKFSKNNESKKLAMLKLL -NESLIFKITFTFSHVGLEINSISSPRQAF - ->NP_940794.1 p26 [Beet pseudoyellows virus] -MRRMDFPVLTSETTGEQANDLDMLASNVNIILNILQDHRQHDNFELQNALNSCSTVINVCNLQTEDVNLF -STHSKVYQDLKSAGVSHEELSSQRSKYFSTLSTEDLKYLMFDVANIFRLLLVARKNLVDNVALISIFTNY -NVTDIPSFNRAITEYFSKTYPMSYYCQQEYAIDVADEYRFSHLLKSIKFPNKRSYIKKGELIEICKESLI -FKISINYDHLGLNIKTIKFD - ->NP_689406.1 hypothetical protein SPCSVs2gp07 [Sweet potato chlorotic stunt virus] -MSGWDDIPIQQNYVSSSVRNDDDIAKICARTFNRAVNLLTDYSQHTIPELRESRFELGCIRSLCENDDLN -INLGADNNNLFQGLNKLGVRADSLRVNSERFFPSISSKVMTNVIDSISSVMEFVIDLNHSGFSTLWVGEI -MTIYDVNSFDKLVDAIYRFLLYTYNFPEEVQFHMTLNLFNDDILKIISKAKKKIRPLSDSERVLMAKSIT -KGIHFEFHFTYRSLGLYLPKVTNSLTVCNKNR - diff --git a/seq/clusters_seq/cluster_823 b/seq/clusters_seq/cluster_823 deleted file mode 100644 index 0046e0f..0000000 --- a/seq/clusters_seq/cluster_823 +++ /dev/null @@ -1,59 +0,0 @@ ->YP_009507834.1 capsid protein [Xanthophyllomyces dendrorhous virus L1B] -MLTEYVNSIVRLGNGGAFEPTLGDGRFTMVNKTRAVMELGGVKYASNLELATHFQVAKAKFDVIKPVARS -NFYGYNKKFIDESGVYDPLRAIDEYSRTVPGMRLGRDDLRALSLTDNKTDSQEAYIYNMLVSWLKARLYV -DMKGSDNKFTVKYSSFKDTHVGYDINDSYGIESVEVNLGPPNPTGEAAISLEFRDTNNFWSKPYVLKYSN -NSMEQGSFYLAHVLGSNGTSGLSADIQIDALDFNELLLDPVGSFPTGAFNIFADFWAKPNVIWLWIMDYV -RLNRVEQEFASAFELLGALATQPLPSYHESILWSKSRTVVNMSKFSPTRARVPANLTGEPNVHDLNAQQF -TFDEEKSPAGFITASAVLNYAFWIGIYGMVSNFAEDCSDWTDAFISSDAELGILSTVEARPAMISLVTGK -ETNSCFSNNCFLTYDLSGMYGVKQLIVDEKIDPNHPGVILFDTVPAFVSGSLLMGAVATDYPVLKHLEPH -QHIKVERDGLLGAREAAMLANSYRLFGNDVIIEHFRSAEVYPTYANSEECVIATYELFGRTRTFDIMRVS -SSYKRTGRTYEIPDATHVRTYGECKLTMDMPVLAVCGWKQRKTVHRPKMMLDSRRVATKFMVGATSGFEK -TRFAVYNRRNVMAQGFHEAKAEMAPALPLVRGSAVSTAPIEPVQEQEPANAVE - ->YP_009342433.1 hypothetical protein 1 [Wuhan insect virus 27] -MPLNFVNSLTNFSVSRKYVPSVKNGRFSVINDTVATMHAANSTYNCDLQLRTDFFYSGANTKLVEIDCHS -SYYGYNRKYIDENGAYDPSLAIEEFARVAPEKRVVKSDVMALLQSHTQRDSHEAYLFNMLISYGFALLKK -MNNDKLETLNISTPEYRDSHYQVNLADSTGAITQEIELGVPNDCEEMDQPLWDLRREDNYFKKPYYLHYN -AASRGQEVFYLSHVFGRKRRSRVNFDLDISGIDTEQLTLDPLNGKGYLPASYDGVPWDKPETLWMWIVDY -VKLNRLEQNFAPVVECFAAVATQPLWESSEACVWQLAKKVVSLSSFSPTRARLRTNLDGEPYVVDPEAVS -FLYNEVVDPASYLYTAVVANYYELYGLYTLLDNEAANRENWRDVYTSTIDDLGVIKTHLCRAACVSVVTG -LEIGTTMHEGCFTYYDTSDMSFCEELTDCVSLDGSPATIPITTIPAPVSGSLVLGTLSGPFATVQHLKGL -QKLPVHEDRKHAYNAIEVVQLANVYRLFGNDTTFQSLYGQKMINPWATAATCVTQMHSTGATPVFDDGYL -IVQSEPRGGRHYVIPNLRSLTARDEATLTIRQPMLTVTDFQKRTLEARPFVKSEKITHKAEFKIKATMST -LKNRFIAKPVPSMPNESVFRFNPVTTTPRMPEGTRVTATQEATEDIVLTTQSMDNAGAVE - ->YP_009342427.1 hypothetical protein 1 [Wuhan insect virus 26] -MLASWLSARLYRDNKDTDNILRVKTSPYSDSHVICPLDREAEDHIHEIELGPPVDQELINGATWMLRAKD -SYWNRPYVLHINGATTGQVEFYLSHVLGRKRRSALNYDVEIEGLNSRELYLDIVNGDGSEPGWFHEIPWT -SPDILWSWTLDYVKLNRLEQAFAAAFETLAAVAIQPQWSSLEACAWQSSQIVVSFGAFSPTRARIRSNLT -GTPYTPFAEASSFMLDEAAAPTHYFTAAAIANYYMWYGLYTLLHNEARTRNSWKTVFSSVADELKMLYTP -MMRAACVSVITGREYASCMNHGAGMYIDMSSMYKQKYIGPLVPLDGTVGTSVEIEAIYAPVSGGLVLGTM -TGEFETTAHLTGVRALECKGVVGKAFDLKELSVLATMYRLFGYELELKDYQTGIIKRTWAPVRDCVVDPA -TIEFDPISPRLWMIEGAQPREGRRHIIPTPQNLLSGEPVVIVHQKPTISVTKWKSRTKPPRPFIATSRVK -APVQFLIKAPFEYNPISFEAKEVPSHKFKLSDFQEGKSQVPPKKPEGQKILNQRTAEPAREEESIPTAGA -AASLESSLQQ - ->YP_009182180.1 capsid protein [Red clover powdery mildew-associated totivirus 3] -MYSQYLDTIFDTSRIANVVPYVAKGSYTLVNKALAELKVMDRSYLSQLEMSSDFQVAVFEPNVWTATASA -DYDGYNKKVLTSSGRFSSSQAYDEYARSGSAIKIQHDKHYSIDLTAGDRDSQEAFIFNMLVSWFKAEITR -DCEPEDLFVRQHSFKDSHVENAMADGGMEGKVEIRLGAPAPERLKNALFVERNDLNFWTKPYVVKYNALT -HEQQAFYLAHVMGRTETDGITADISIPGVMVQDFLFEPIGVELTRDIDYSSVDWRASDTMMAWIRDYVEL -NRCYKAFAAACDLLGTLAFNPAPSFHESIWWNPLVRIVYLAPFTPTRARVPTTLNGEGMYESETILNFFR -NDACDMKNFIVMSSLVNYANHMALYGLLMNERQDLTDWKICLSAIIGSMSNMRGPLARAQLFSLITNREV -ESMTTNNCYLEYDMSDMTGGALTVEFDVLKGDNQAPTAKIINPVPYVSGALFAGACGLDVDGFEHIMPRQ -TIEIPKSGNLYRDDVFRLAAAYRYFGHELDISAVRDRTRFSHWCNGRELVLAKYPILNAYDEDTKFKVHG -SKRRPGRHDDVLEASYLRGGDVVELVISRPQIIMAGYRTRREIPTVASRGVRRAKVLLPKVKGAASSKRV -SVRASALREKGDRHESDFPEAQVGQAPSNPILNTSDASILEQLNVDLGQAAENAG - ->YP_007697650.1 capsid protein [Xanthophyllomyces dendrorhous virus L1A] -MHTKFIESIVKTDYEGSFQPLFKDGRFTLINKQTAMVDMLGRQYDVNSELASNFNVCGKKPEVLHNVGEA -DFSGLNRKYFDDTNTYSPLAAINEFTKFVPGVRLTKGEVDAFAKSQIYEDSQEAYILNMLISWFKALLYE -DTNSKDNVLHVKQSGYQDSHVKAEYGGVTGDVVHEINMGPPVDDMPEVMMMVRSKDNYWDRPYVLRFDNR -SSAQYTFYITHCFGRDGTSPLNVDIHIPSVDFDQMLFEPASGAMRSITDPAALPWCKSGTLFGWIKDYVT -LNRVERAFSAAFETLTAIAFTPMPSYQESLVWDKAITQVVIAKFAPCRAKIPSNLEGEAMVTDMDAHDFV -LDETKTPRKALFSGAMINYLAFMGLHAILSNYASRHENWRSAFLHSHEELAILHDRTVRAALTSVITGKE -MVTFMNPNLFVSYDVTPMVNVSKVSFEEVLERGYPSSLPVHGVVPMVSGSLFLSANASDVASQCHLEQGM -KVTVDEYGTVSPEDALRVAQMYRMFGHELEIRSEKTEEIHELFAPVQECVIYPSALLYNTRDTDRLKLVS -SLRRPGRSSTIPDVSALTAGQTITIDYTIPRIGMHYFNKRVLPIAPSMVLPQRKREITFRVKGHTVLHKV -KMNASNVTRPVKDFHGGEIQVAPLLPVLRNARVPIQVNQTSEEDVTAEDVE - diff --git a/seq/clusters_seq/cluster_824 b/seq/clusters_seq/cluster_824 deleted file mode 100644 index 6de0277..0000000 --- a/seq/clusters_seq/cluster_824 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009507788.1 protein p30 [Harvey murine sarcoma virus] -MPAARAAPAADEPMRDPVAPVRAPALPRPAPGAVAPASGGARAPGLAAPVEAMTEYKLVVVGARGVGKSA -LTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTTGQEEYSAMRDQYMRTGEGFLCVFAINNTK -SFEDIHQYREQIKRVKDSDDVPMVLVGNKCDLAGRTVESRQAQDLARSYGIPYIETSAKTRQGVEDAFYT -LVREIRQHKLRKLNPPDESGPGCMSCKCVLS - ->sp|P01117.1|RASK_MSVKI RecName: Full=GTPase KRas; AltName: Full=Ki-Ras; AltName: Full=Transforming protein p21/K-Ras; Flags: Precursor -MTEYKLVVVGASGVGKSALTIQLIQNHFVDEYDPTIQDSYRKQVVIDGETCLLDILDTTGQEEYSAMRDQ -YMRTGEGFLCVFAINNTKSFEDIHHYREQLKRVKDSEDVPMVLVGNKCDLPSRTVDTKQAQELARSYGIP -FIETSAKTRQRVEDAFYTLVREIRQYRLKKISKEEKTPGCVKIKKCVIM - ->sp|P01114.1|RASH_RRASV RecName: Full=Transforming protein p29; Contains: RecName: Full=Transforming protein p21; Flags: Precursor -MGQSLTTPLSLTLDHWKDVRDRARDQSVEIKKGPLRRSGTVAPASGGAGAPGLAAPVEAMTEYKLVVVGA -RGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQYMRTGEGFLCV -FAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDLAAHTVESRQAQDLARSYGIPYIETSAKTRPG -VEDAFYTLVREIRQHKLRKLNPPDESGPGCMSCKCVLS - ->sp|P23175.1|RASH_MSVNS RecName: Full=GTPase HRas; AltName: Full=Transforming protein p21/H-Ras; Flags: Precursor -MTEYKLVVVGARGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQ -YMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDLAARTVESRQAQDLARSYGIP -YIETSAKTRQGVEDAFYTLVREIRQHKLRKLNPPDESGPGCMSCKCVLS - ->sp|P01113.1|RASH_MSVMO RecName: Full=GTPase HRas; AltName: Full=Transforming protein p21/H-Ras; Flags: Precursor -MTEYKLVVVGAKGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQ -YMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDLAARTVESRQAQDLARSYGIP -YIKTSAKTRQGVEDAFYTLVREIRQHKLRKLNPPDESGPGCMSCKCVLS - diff --git a/seq/clusters_seq/cluster_825 b/seq/clusters_seq/cluster_825 deleted file mode 100644 index bef161e..0000000 --- a/seq/clusters_seq/cluster_825 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009507760.1 NS25 [Scophthalmus maximus reovirus] -MAQDLINISQLANKLSHITVNNAERLVTGAELTSLETRLEQSIKAAKSSVDRHLTRHAADPFAHEHTSGG -APPATAFIPTVPRQSYRLSAMPVVLHSCGGHSSSVSVPTKIIVEATQCTITLHTPAFDFAHVPATVPGGK -LFIKVDKGSWHDTAFGAFNPAAYDQLLTVARRLKSTEVNVKWYGGSGPSRCDLRSTGRRAQIHATDNLIM -FELPGVDSAGAYPDLTCWPIMGVFE - ->YP_009507743.1 NS3 [Green River chinook virus] -MASNSLINLHELAHQLSKLQIKPNVTFATTEDVASVRRALTRHAADPLAHEHTANGPSPATPYYPPPSCT -RYRLCAVPAVSHISGGRASHVSMPTRILVDVTPGTIMLQTPTWDFNTADPLTPGGKMFIKIEKGHWHNLD -TGAFDLAAYQLLLDVGRRHATSNVTMKWYGGLGPSRSDLRATGYRARVHVTDNMIMFELPGVDSAGEYPD -LTAWGTFAVFE - ->YP_009351847.1 NS3 [Fall chinook aquareovirus] -MAYKPIIDVTELAQQLINTKLFSSAKDVSSIRRELSRHAADPYSHEHTVGDSPPPTPYLNQVPSSSYRLC -AVPVVSHSSGGHSATVSVPARVIVDVTAATLVLTFPSYDFHVHQPLVSGGKLFLSIDKGNWHDLSTGCFN -LDAFNMLRDTATGKNSTTVSVKWYGGTGPSRGDLRSTGSRARIHVTDRRIMFELPGVDSAGTYPDLTVWG -SFAVFE - ->YP_009259507.1 putative non-structural protein 25 [Etheostoma fonticola aquareovirus] -MAQDLINIPQLANQLSSTTLNDADRLISSTELNAVENRLTQSIQSAKSSADRHLARHAADPLAHEHTSAG -IPFPLAAVPAAPCQSYRLSAMPVVLHSCGGHSSTVPVPTKIVVEVTPCTIILHTPPFDFTHVPPTVPGGK -LFVKVAKGSWRDTALGAFSPEAYDQLLTVAKRRNSTEVRVKWYGGSGPNRFDLRSTGKRARIHATDNLIM -FELPGVDSTGAYPDLTCWPIMGVFE - ->YP_398638.1 putative non-structural protein ns3 [Chum salmon reovirus CS] -MAQDLINVSQLANKLSHITINNAERLVTGAELVTLENRLEHSIKAAKSSVDRHLSRHAADPYAHEHTSGG -APPAAAPLPSVPCQSYRLSAMSVVLHSCGGHSSTVSVPTKIIIEATQCTLTLHTPAFDFANVPPTVPGGK -LFIKVDKGSWHDTAFGAFNPAAYDQLLTTAKRLNSTNVNVKWYGGSGPTRCDLRSTGQRAQIHATDRLIM -FELPGVDSAGAYPDLTCWPVLGVFE - diff --git a/seq/clusters_seq/cluster_826 b/seq/clusters_seq/cluster_826 deleted file mode 100644 index 9841d56..0000000 --- a/seq/clusters_seq/cluster_826 +++ /dev/null @@ -1,19 +0,0 @@ ->NP_058425.1 non-structural protein 3a [Transmissible gastroenteritis virus] -MDIVKSIYTSVDAVLDELDCAYFAVTLKVEFKTGKLLVCIGFGDTLLAAKDKAYAKLGLSIIEEVNSHIV -V - ->YP_004070195.1 putative 3a protein [Feline infectious peritonitis virus] -MDIVKSIDIFVDAVLDELDRAYFAVTLKVEFKTGKLLVCIGFGDTLLEAKDKAYAKLGLSFIEEVNSHTV -V - ->sp|Q7T6T2.1|NS3A_CVCBG RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a -MDIVKSIDTSVDAVLDEFDCAHFAVTLKVEFKTGKLLVCIGFGDTILEAKDKAYAKLGCSIIEEVNSHTV -V - ->sp|Q65982.1|NS3A_CVCAI RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a -MDIVKSIDTSVDAVLDEFDCAYFAVTLKVEFKTGKQLVCIGFGDTLLEAKDKAYAKLGLSIIEEVNSHTV -V - ->sp|P18451.2|NS3A_CVPFS RecName: Full=Non-structural protein 3a; Short=ns3a; AltName: Full=Accessory protein 3a; AltName: Full=X2a protein -MDIVKSINTSVDAVLDELDCAYFAVTLKVEFKTGKLLVCIGFGDTLLAARDKAYAKLGLSTI - diff --git a/seq/clusters_seq/cluster_827 b/seq/clusters_seq/cluster_827 deleted file mode 100644 index 59d5d64..0000000 --- a/seq/clusters_seq/cluster_827 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009480344.1 nonstructural protein [Maize rough dwarf virus] -MNPQSSVNIDTYTFNCPFELAKIQIESMKPIMQDFSNFDDIFDRALSDSEIDDRVEQLEVDVESKVDPIV -RRRYGKIGHIIVMIISFVFFGIFKLTLKMFYHLFRCVCCNPLIRGIFSIIFTILFYFLLCVCIYLIYYFF -GDQILSAYDTLNQIDGSTFINSTQVEEKVKHIIHDGSLFFGTRDQATGQINEIETQVVNGGTVNYTLFN - ->YP_004021934.1 hypothetical protein SRBSDVs9_gp2 [Southern rice black-streaked dwarf virus] -MNPQSSVNIDTYTFNCPFELAKIQIESAKPIMQDFSDFDEIFERPLSDSELDDRVEKLELDIEAKVDPVV -RRKYGKVGHIMLMIFSFLFFGIFKLTLKMFYHLFRCVCCNPLIRGIFSVVCTVVFYIVIFTIIYLVYFFF -GDQILAVYHSLTEMSDSGLINSTKIEEKVNNIIHEGSLFFGSVDPNTGHLQEVEKQVFNGGTVNYTLFH - ->YP_956854.1 ORF2 [Mal de Rio Cuarto virus] -MQDFSNFDEILEHPLSDSDIDEKFEKLEHDVEEIVDPVVRRNYGKCGHIILMIISFVFFGLFKVTMEMFY -HLFRCVCCNPLVRGVFSILFTILLYVLIIVSLYLVYFFFGDAIYEFYNEMSTFKESINVNVTSVNEKIDS -VIEKGSLFFGVVDKDTGAVHETEHLVNNGGIVNLTLIN - ->YP_249759.1 hypothetical protein FDV_s9gp2 [Fiji disease virus] -MDTSHKVYNFECPFELAKVEMKAISNTMHKVDDFVSTIDVNFSDSELDDKVDDLEIKIEKVSGPLLNRYL -GSVGKVVFLIFSFLFFGSIKLVLKCFYHLFKCVFCNPLTRCFCSIIFTIIFYTLLFVSCYLLWHYFGDVI -IQTIKDINNNRSVNFTNETENFNSKVETTTMKIIQLIFSKSDNNGVEHIIKSANVTSGQTANFTLLNG - ->NP_620457.1 hypothetical protein RBSDVs9gp2 [Rice black streaked dwarf virus] -MNPQSSVNVDTYTFNCPFELAKIQIESMKPIMQDFSNFDDIFNRTLSDSEIDDRVEQLEVDVESKVDPIV -RRRYGKIGHIIIMIISFVFFGIFKLTLKMFYHLFRCVCCNPLIRGILSIIFTILFYFLLCVCIYFVYYFF -GDQISLAYNTLNQIDSSNFINSTQVEEKVKNIIHDGSLFFGTRDQATGQINEIETQVVNGGTVNYTLFN - diff --git a/seq/clusters_seq/cluster_828 b/seq/clusters_seq/cluster_828 deleted file mode 100644 index 5bec84a..0000000 --- a/seq/clusters_seq/cluster_828 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009480343.1 nonstructural protein [Maize rough dwarf virus] -MADQERRTFGSYKIEELTIKNDQPNRNTNTSNSQSTENRLSTKKIPLLDDGIFELLNYLIDGTNFDKTCY -CGFNYSHLPNLERDFNVASIYVRENFELCTEHLNLKDYDRQANISVKSPDFTLFLEYVVKPSPEPDSSVQ -EKDKDEASKQTPPKVADVKEEKITVEMSLLPILNRESEESLNSEILDGEAAVVNVFKMYIKGFLMYLGEN -PNSYDRQLNIEKYRPLLISILGYEHLIGTKVPNKEVNQIFYQLATFDNYPFDLLRFQLSSLISTPALIRE -KIAKEGLFKVITSNTLRGAPRQTVLFRGINGSESFLNMKRYRRFRTRIVGNVACVIKSDFSSLKLDV - ->YP_004021933.1 hypothetical protein SRBSDVs9_gp1 [Southern rice black-streaked dwarf virus] -MADLERRTFGSYKIEELTIRNDQPTRNTNLSLSQSTENRLSTKKIPLLDDGIFELLNYFIDGTNFNKTCY -CGFNYSHLPNLERDFNIASLYVRENFEICTDQLDLANYVRQPNISIKSPDFTVCLEYVLKIVVESESSTK -DQKDDESQRPTSTDSTKNEQEKKFVEMSLLPLLNRESEESLTEEILEGEGAVVNVLKLFIKGFLMHLGEN -PNSYDRQLTVEKYRPLLVSIVGYEYLVGTTVPEKKINHIYYQLATFDNYPFDLLRFQLSSLISTPTSILE -RIAKEGLFKIITPSTLRGATRQTVLFRGINGSESFLNIKRYRRFRTRIVGNADSVIKSDFSSLKLDV - ->YP_956853.1 ORF1 [Mal de Rio Cuarto virus] -MADLERRTFGSYKIEEITIKNDQQQKTTNQQQISNNEQRISTKKIPILDDGIFDLINYLLNGTHFDKTHY -CGFDYSHLPTLERDFNTASNYVSENYSIIVEEIDLNKYERSESISLKSPDFTVVLEYFKKHVEGQTEQEE -NKIESTLSELPAKIIRELPLLPIMCRESEDSISEDILEGEGAVIQVLKMFMKGFLVHLGENPNSYDRQLT -IEKYRPLLISIIGYEFAVGTRATHTKINHIYYQLATFDNYPFDLLRFQLQSLIDTPNVIKERIEKDGLFK -VITTTNARGQYQSVLLRGINGSESYLNLKRYRKFKVRVVGNVDNVIKNDFSSLKLDV - ->YP_249758.1 structural protein [Fiji disease virus] -MMADSTRNAFGAYSITEIITTRNQNNFNANTKNQNQNTSNTQSSGGITRKPVMNDGLYALFDQLLKGVTF -EESIYRGYDYSHLPNLETVFNTASDYVNGQYKIGFSEAQLDGYTLNKTFSVIMPEFSFSLEFIKNEEQSD -RNPDENEQLKPKTRRIVVELVSLFNRDEIEYTPEQVRGEIALIALFKLYITGFLYHLNVNKSVYDQQLNL -EKYRPLLVAIVGFESIDVKLKKLTPLYYTLATFSNYPLNILRYSLKTIVEVTNEMDQIIKRDGLFKQIDI -KPMLGNTMSVGYSLRGIDNSSLFLAPKHYRQVRSRDDSNVREIISYDLSKIDFGF - ->NP_620456.1 hypothetical protein RBSDVs9gp1 [Rice black streaked dwarf virus] -MADQERRTFGSYKIEELTIKNDQPNRNTNSSTSQSTENRLSTKKIPLLDDGIFELLNYLIDGTNFDKTCY -CGFNYSHLPNLEREFNVASIYVRENFELCTENLDLKDYDRQANISVKSPDFTLLLEYTLKPSSETDPTIP -ENEKEENAKPVTPKVVTPKEEKKIVEMPLLPILNRESEESLSSEILEGEAAVVNVFKMYIKGFLMYLGEN -PNSYDRQLNIEKYRPLLISIIGYEHLIGTRVPNKEVNHIFYQLATFDNYPFDLLRFQLSSLISTPALIRE -KIAKEGLFKIITSNTLRGASRQTVLFRGINGSESFLNIKRYRKFRTRIVGNVDCVIKSDFSSLKLDV - diff --git a/seq/clusters_seq/cluster_829 b/seq/clusters_seq/cluster_829 deleted file mode 100644 index 62f5359..0000000 --- a/seq/clusters_seq/cluster_829 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009480341.1 nonstructural protein [Maize rough dwarf virus] -MDYNLSDHYALMCHSAPLEFDPSDPEVDLVNQEFDEDDYTDLDVNLLSDDLSYLNLLATRIKNSPEDTAE -IFDSFDIPLPFAELLDQEIGDEWCEIHNFADLRIVENENEFEFVSSHITRHLLIVLNSNPNILWTSTCLL -AKLSLIQHVENFDVINYWEAMNRRWELITDELKIGFVFRAFNLKGNQFEVIMKLLGDSLLYPGINVIGKL -SMVPMFTVHSIPAYLDHWFRTDDFQSGKFLSFIRFGEITVPKWKKVVVQFYLRQVFSRVRTKVLIANTDV -DYWYSLFMRTLVFKSMLSTKNMIKKILNS - ->YP_004021931.1 P7-2 [Southern rice black-streaked dwarf virus] -MNYNDANDSYQLNHVTSLEFDPLDPEVNLISQDFDDYDYHDLEVNSLSEDLSDMNLMATRIKNFPDDTFE -VFESLDAPPPLASLIYSEVSDEWCDIDNFLDIRVVNDESQFEFVNSHINSRLLITLNSNPNILWTAVGLL -TKISLLQEFENFEILNYWQAMERRWDLMNDDLKIGFVFRAFDLKQNQFELLTKLLGDSLFFAGINLIGKS -SMLPMLTVHSISDYIDHWFPTECYSSDNFMSFIKCHTITVPKWKKIVVQFYLRQIFSRSRTQVLMAHVDI -DHWYDVFMKTLVFKSMRKTKKMLKNILNL - ->YP_956852.1 ORF2 [Mal de Rio Cuarto virus] -MDSERHYEYGSYSNSHGIEFDPNHPYIDLINDDFDENDYLDLETLNLEADYDDVESLALRLKNAPDYTTE -IFEKIDRIPNFVYLFETEIIDNWNDYDLFADLRVTDTSDEFYTLSSMLTEHMQSIITLLPSILWPMVSQL -TKSNVFQAADDVNITNYWRLMDRRWDFIDEQLRVQFIFRAYDLRAYQNGRVSQILSNSLLFAGLNLIGKR -SCIPINSNFSIPDYLDYWFPTDDYHSDNYLIFIKFNETKNSGWKKIVVQYYLRKVFSKIRTGILIAHIDV -DFWYNVFMRTLVRKEMIHTKNLIKSVLNF - ->YP_249767.1 hypothetical protein FDV_s7gp2 [Fiji disease virus] -MEQNQNEEFLVYEFDQINVNADQQEVDLDDQSVVLSTYEFPSFYDMAVDAISKDFNSTHLIMEELDGVNV -IYDIFDSEALEKWLDIDTYFELKPFPIERFELFNRLLLHQFQTLAYNRPRASWSTLRNQATQSVIEGFED -EFSDLPIQGMHNESWECLAPELRICFMFRSFKIKPSILLQVSRILAGSLMFPGLNLIGKKSLLDMFNNYN -VIEYLDHYFPTSKYDSDEYLKFIRFDLVPDEWKLIVVKHEFENSFRFLNVHGKTEEKPYHKAMRGPPPDQ -WYTLLRRKFIFRSLKYTKRLIRNLLDY - ->NP_620455.1 hypothetical protein RBSDVs7gp2 [Rice black streaked dwarf virus] -MNYTLGDHYASMYRSAPLEFDPHDPEINLVNQEFDEDDYTDLDVNLLSDDLSRLNLIATRIKNAPEYTAE -IFDSFDVPLPFAELLDQEIGEEWCDTSNFMDLRIVEDENDFEFVSSHITRHLLIVLNSNPNVLWTSTCLL -AKLSLIQHVNNFDVINYWEAMNRRWEIITDELKMGFVFRAFNLKSNQFEVVTKLLSDSLFCPGISVIGKL -SMIPMLTVHSIPEYLDHWFRTDDFSSDNFLSFIRFGEITVPKWKKVVVQFYLRQVFSRVRTKVLIANTDV -DYWYSLFMQTLIFESMLRTKTLIKKILNS - diff --git a/seq/clusters_seq/cluster_83 b/seq/clusters_seq/cluster_83 deleted file mode 100644 index a1ef23c..0000000 --- a/seq/clusters_seq/cluster_83 +++ /dev/null @@ -1,2601 +0,0 @@ ->YP_010229111.1 RNA-dependent RNA-polymerase [Clo Mor virus] -MDLDCSLNWHPSLAGYTFSDVDLEVEDAFEIVKQPMDGNCLYHALACGLVEEQQPDSYKTVKELVKESAG -LFWNNTAEAQVSEEDLASYLVRISRPNEWGSTLEVNFFSQKTKLTVYVWHEDVNKHCNYVLRYGNDRIIE -SINILHRKNHFDYLKPKFDQKQPHQDKPFLTLEEKVELFSRYTVDPEDEDWSLDQLVNEDDLTDGSNKRA -ELLKTKDNQTYYQKVRKLIPKSENIPVRVGRVLDTFFSCKIVAKLNNRMLLLKPMTNPTEEPEALTLRTL -GHKILTFDKELKKEYSSSHLALTKELISFLDLPGLLRTAFPGLGLERYAAILHDELILDICTVAVALLLS -TYLYGSNNRNKKKFITNCLFGLNLSGKKVAATLKKVSQRDLYEQPKKTVAYVCNQLYGKVMGGLSKKFGS -LGGCSMLVLCSVDLSDLGVKEYLQVVDNLAKLDNETEDFGHMEIESLNRLRLALERIQKADKEQLYDLLK -TLSDSYGQAAEPTKRATRNKESAMQFIIQEYFKKKEITKFISTGGKAYSGATIGNVLAYAHNIYMSKESL -KLSTEDINQLLIEIRSLHAIQGTQAILPIAIICENLSPNFQKLFSELPQECAQECETLLEDARNSDSHAS -AWKSALRLKGVAYEGLFAKSRGWRYVPEDVKPSLTMLIQSLYPDKFEMFLERTQLHPEFRDLVPDFSLTQ -KTFLRGTEIKPRDLHQPSPATDLGKPGMEAIGKGRQMFPLPEVEIQEINSVASAIELFEKQKAESGRNFF -CCTNDVAFGPEDDLVVNELLLVEVGYQTDIEGKMSTDMKKWKDVLGLLRILGIRCTVITCADASMTPARN -WWIPEGKVLLLKSSISFLFSEFQKNSPAEVTDIVVGSISTQKLRSMLKAGSVIKTPISIKEVRETWEKQK -EYILTRPTGAQIPEDLVASLKLGLVDGVVMEQQSSEACIDLLKREKNKIIDAFERTKFKHTVNRDMVTSQ -EMMLAWLKEDLPCCRCEECVKQIKISLEGTSCLEDKLSLLCYHLQPVNHKPCCHPQSLKNFPQPTVKRRV -ANMSDVEHSTVKSFENSSENISLLDRLVRLTLPGKTEKERRIKRNVESLIRFCMEKSEIDCIKLPTGQLV -SKGHPGQKRSKESLDEWHTRLAKELSEDKLKTYSEYTRRTLKHSLEQASNQANSKCQVPSKWMKCLIQDL -GVPTDDGQIISRLKESQKAKKDFKINNDKVVIVSKDEIGEYISSKLSRCLSDSRVFQLDCIIFKEVSAEL -MLRLQSSPYETCPKILLTLIDFMLQFGWYQELVLYSKICETFLRCCTEFTRSGIKLVKVKHTNLNLGIKL -PSNKKENMSCCLYNSSMSLMKGPFMMNRRQAIIGASYSYILVVVFIQCLQQYRCLDAVLSGKADLKKQVE -SCVQSMAEAVFDELANALLGDFEKASSIRFKQCEITGNFLTKNTHDHFIRVFSGLIMNYFFLSCDSLLRN -SQQQNKQLQMLRFGMLNGLSRLSSPSELGRKFHSSCRTIEDNVIRLYLQTTVYCSVREVETNIEQWNVSD -LCPRSKIPSFSIYGAWVNSDRQLIFDIYNVHIYNKEMDNFDEGCIDVLEETAERHMLWELDLARSFEGGK -SHHRREGRLLMGVPNASRIRTTEGERLISYETASDDMSDAGSNSSTITRRSVGSSSKRIKSIYGRYCLNI -KPFELESGFKVERDPLRDYRQAITDPGTNTIYRPNLDSLLKDCLTIIKLNPSHTMGSFELIQAITEAARV -KFPPDAIEKTRKNAKNWVSVSEVTETTSIVSVPKTHMLLKDAFKILLGTENKKIVKLLRGKLKKLGVINT -DEKMGKTYCQDLLKTVEGLTDKQKDMITKGLLEPHKLSFYHWKELVKKQVTEVLLTDDGNYIYCWLKTLA -AHVKKDIKKELKFMIPKKDSLEASPNSIFTDEEVIELIKLKKLIMSESISDKLNIEAVLTAWEKTALRVK -EGDSIIKTGLLSLLSALPTLISIFEQYDVLCQSKLESPAVSFTKEEIGIRMKERRFIEQFSQEIMECMNL -IFFLTLTAPWCVHYKSLEAFFIRNPSLANLESTDSKPDCQLLEMTVSNMIYRLLAPNLSSSEDATTPSYT -QVRKLIEYLITAFTVNGLPLSESLNMNESNKVVQGEEQILQQLKGMLSRMGLKGGRHEFLWTVHLIANSN -FEVTKKLTGRQTGERLPRSVRSKVVYEVIKMVGETGMAILQQLAFSCALNTEHRFFAVLAPKAQLGGCRD -LLVQETGTKLIHATTEMFSRTLLSTTNDDGLTNSHLKENILNVGLESIQTMKMTHGKPVENFKGLVNFYR -VMCISGDNTKWGPIHCCSLFSGMMQQLLKDVPDWCQFYKLAFIKNLCRQVEIPSASVKKVLNSVRYHVGE -TIDIEALTERDIRQLLLSNLNIWDHNKIIQFLVKTYISKGEIAMNSYNHMGQGIHHATSSILTSAFAVTF -EELARDFFSECFPELTLKLDHAGSSDDYAKCLVLSGVLSANTFKAYDENFWDACCRFKNLTAAVARCCQM -KDSAKTLVGDSFLEFYSEFMMGNRVTPAVIKFILTGLINSSVTSPQSMSQACQVSSQQAMYNSVPMLTNI -TFTTLRQQMFFNHIEPFVREYGLVTLGSLSPFGRLFVPKFSNLIGSSVALEDSEVISCSARMLKLNYHGL -PVSSKTVIDSDSSISSDTGDAYSETSMSSTSESSGSSFKFELLRSLTQTEELFAKTVQDYSIPVNTEVVN -DELDLIYQDSKEGPLDPKSTLKNSILVGSCNWLKTSLKMNPLELAVRIRAILNVLIAGHYRSFGSEGTEH -AVKASLNRDENAVIEDPMIQLIPEKLRRELGRLGLHKMNIEELLPGLQPEDTLASLVARRLITLNVSTED -YSSEVARLKQTLTARNVIHGLAGGIKELSVPLYTIFMTSYFFKDNVFFELEDRWNTKHSLNYRDSTGKLL -NGKVVTKYPVWLETFLSCRLTCNSTREAADNSLFSENLRGFEILLKSGHPRELSLILSDLDILHKEFAGI -SIQFSDCNRQKLKIVESQPPDAELEANKAVIVKSKLFSATESISLSNTPAIVIGYLLDEACISEVRPTRI -DLSNLLKDKFKLTQFYPSVIELVTNICTESKVNQQDLDCHPDLSKVAKYSNNLTMLCRMIQKAKPTLTVF -YMLKGSHSTNEPTVSELVSYGIKEGRYLKLPEPGIDTSSFSVRYWKILHCISAINNLVLSDSEKTSMLVS -FLNWKPSLDLATESCPMYTQERSVLEEFNDKVLLNVLASELPSIRNEQERKSIGDLIDYIVSPRELLKKK -PYLGTTAQFKTWGEGHKTGRFIYNSPTGEATGIFIRGKLHIHLSTESKGLLYEVEKNVLSWLSRRRTDLV -SKEQHEFFMDMLPSDREVSHKGKDGKIVSVTLDKGDIRMLSIHEVRPGLKVVKIKQNILTVKKQIAYSMD -SEPRLVWSKGHISIVYDELETQTTYHENILKVRRILEQVVGKSSSPANATFSETRVTLTRVRLKDDVVLN -SIALLHVFMHHAPRAAILEMNSKNTLLSRYLSGGHVKESCQVFSGISSSRKTVASRQLKGKLVDSNITEK -ELCDSLSKEFRLAGIPPSSWPEVQAALEENGLSTIEVGLEKQTVRADALWQFSQELDSPRLSAVWNFRTL -VGCISSETIPQFMIPFISTTGIFMRYFQVFKKLRRLLNEINLKDEELDCLVMLLIIKHYHDEVVVEGVYF -SPESLLSIVRTKVFTLKDRVRLEFNTREGRLQLTTSVLMLSSTEMSQDKKLNLKVSQQNLLTTMGNLFLD -VPNMKSIKELSLGMERRADEWGDWLDIIICESNYERLRVDNTLKMFLDKRVSDSFVIELANVLRSMMGKL -DISKVDCEQDRQEVRVEVDYTIEELFDTEDSLEQEAEEAPVPDESGTWSFGW - ->YP_010229107.1 RNA-dependent RNA polymerase [Dera Ghazi Khan orthonairovirus] -MSSFHLDDINWQPNIEGVYNSEQRFNLNDYFTSEKVPGDGNCFFYSVSFLLFESLSEWRSIKNTIASFAA -ANWGQCVQAKLNYANSSDYRADMLRNYYWGGSVEAEILSKALNITIILWEADVSENVVTATKYGPGLVST -ALNLKLCQGHIEPLQLMKLVDQDVLRKSGGEILSIHTAVVEQDEQFEDIFLEASDEGERKPVQPSIEARK -HRDLLLSRSSSDKLLALAKRAVEDNSGISVRVGRLLFRLFNCEVCIEIESGILLLKQKTQENSKTTLSLS -RLANVLLTSDKNIQTEYSNLVVVLDTCLLQFLNVPELLRIALPGSCASQFYDLMHPKFIYDLSLCVTSIL -LSTFLYKTDFKVKKKFISSAVAPSRFLNRQAIQTLSTFNNKALYEKLNKLISELCSDCFGSYVQNVVESL -REMPPTGLLVLKNLNLDTVNSDDYSRILQDLRVVSIDQDFFRLDELQEIKRLIDALVDLRKCDTVITEDS -IPRSVETFLDTDTSVLFKTVSGKKVKQMKATTLEMNIIKRFFQKKLMMKFVSLQGKAYSGATVNNVLAYC -NNLYLTKDQLGFNSEDVEQLRCEMVRLRSLINSDMKEPVALICDRLEMNFHKLFQALPTSCKAECESLFE -DIRNSDNHASAWKSALRLKGLAYEGLMADNYSMTYIPEDLKPTLSMIIQTLHPSKFLQFLERTHLHPEFR -DVVPDFIVTQKLSLKTDTLTPQAETQQLTLNPSSEEDSAEVVPVGKKRFPLPSQSFKEVSNVSWFKETFE -KRQVDANRKSGLTVCSTGVELSEIEIVSVPELLILEVGYQTDVEGKVTSDVKKWSEIIRLLKYLGIKATL -IACADSSECPSNDWWIKEDKVKLLKSSISYLFNQLQMNSPSEVTDIVVGSISTQKIRSMLKSGSVVKTPV -TIKELKDCWIKHKQHVLKRPTEVALPDRFEEIIEISLVEGVVVERNSAKEVVDHVLSNSHILLQEFEKTK -FKHEVNIKSVTAHKMVNSWLLEDISQTRCSNCKKELTKTVKAYHSQADVSIKLCEALPFSTHKGCCHIEP -LSVEARSFFQKRSDSYNNARHKDQINSNEENFNLTALDKLVRQTLPGKTEKERKVKRAVEQLIRLCMECS -QISAIKLPSGLIIGSRTKLLGTISTIESNGTKISDLSTEQKERLERLKTALSHDKLKTYSSSCKESIDNV -ISSINTQQASKCRLNPEWVKSIMLDLKAETDDVTIMERFMKTLKERQSFRLNNDKVVIPDWQELQWYLEK -KVTDLQLDKSPVFSLECIIFEETMVECIMRTFDTPYWDCIILIKRLSELLLKFKWYQKLVYYGKICETFL -QCCTEFTRSGIKLMKIRHTDCNLAVKVPSNKKENMRCVIYDSCMTPLTSVFYMNRRTAVLGASYYYIIII -IFIQCLQHYRCVQNLNEMVSVEAIQKELGRQCEFMLSMLKNAHRGHFIQAKDKLMKLCKTNGNFLTVGSS -NHFNTVFAGLSVTYSVLLGDSILLNSQPFNKQLQMMRFGMLNGLSMLSSPAELGKKFSSSCRRIELCTAR -LYLQVITFACVFDPSGNCEEWKKADLCPNITMPSLSVFGHTVNSDRQLIFDIYNVHIYNKEMDNFDEGTI -KVLEETAERHMTWEIDVADACRKIKEEGKGSRQLRLLLGLPNVKRSAVNSGYACDDDALSDISSVSNKSL -QSSSSSDSKFRSYFGRVSMQKKPFSISDDFVIERFDKTDFSQAISDKWTHNVYKPNKSSVLKDAVEVIRK -NPSHTMGCFELVQCFVETCRQKFPNESIEKTRRHPNNFITVSEVTETTSIVASPRTTLMLKDCLRILMGQ -ENKKLVKMVRGKMQRLGLSLNPDSNRAEDLSVLLETVDALTEKQKQDIIKGVTEPSKLSFFNWKEIIKKP -IEVALITQDGNYIYCWLKSLGQMVKRSLKKYVKGLRYDVNSAGRQKLTAAAEKKFGENIVASCNWFIDSL -RKLILMEPITDTHLINPSHPKDMWTLLTLECKCGKEILLDGMEAVSHSICDLEEVLDSYNDLMELKQNFP -SLCFTREEIQLRYLESQFVKKHNLDLMRIVNCILYICLCCPWCLHYKSLETLMSKHLDDNYKLDVNQEML -KNILETSISEVWLNAIGKVDKDKFSKVYDAEFTAQIDSKRLDRLCRYACAIFTSNSQPISCALNQITQDE -VARDQGQIVERLKSILAKLSIESTGSDFVWTCHLIANSNFEVCKKLTGRTTGERLPRSVRSKVIYEIIKL -VGDTGMAILQQLAFSSILNSDHRFFAVLAPKAQLGGHRDLLVQEVNTKLIHAASEMFSRTLLSTTNDDGL -TNPHLKETILTAGLNKIGLMRKHHGLSISESSELLQYFRVLCISGDNTKWGPIHCCSIFSAMMQQLLKDF -QDWSSYYKLTFLKNLYRQVEIPTASIKKILNALRYNLAGKKNIDEMTELELRDLLLEQVSIWDEQPIIRF -IVSTYLCKGKSALTSYNHMGQGIHHATSSILTSIMADALAAFIETYSQKKFPKLSVSIEHAGSSDDYAKV -ITFSGIVDKETFECYEETFWPSACKIKNLIAAVGRVCQMKDSAKTLCGDTFVEFYSEFMLSHRITPAVIK -FILTGLINSSVTSPQSMTQACHVSSQQALYNSVPLLTNLTFTLLRQQMFMNHTEYFARNYGLIVNGTVSS -FGRLFIPRFSNLISSSIALEDSETICNALRDLTKHETEFPATIEEARPPSGGSHEDIDESEAVEIESNSD -SSLTSGSSLSSGSSFSFKVDRDLNSTEQEYLKTLRCSMEAVRCKNIEEFCRKNIDNFDSLPIIQKLTRSN -LVNSCEYLSQSKNDPILLIHRIRCILIAVVSSYYRTFSSEGTEKSVKASLNRDENTVVEDPMIQLVPEKL -RRELERLGLSKMTVEELVPQPSFDEEFSSIVAKRLITLNCATESFESEISRLKQTLTSRNVIHGLAGGIK -ELSVPIYTIFLKSYFFKDNVFYDLKDRWNTKHSSNYRDSSGRKLEGKIVTKYTHWLDVLLSSNLTIGVMN -QDSPQSLFDPNLKAVEVVRHGNKVPELVINPTNTQIMCKEFENLSLQFSDSNRHKLKVLESSRFKSELDS -NKVVIVKSSLFSSTDSVRIENNPAIVIGYMMCESSITEVKPVKIEMSKLLQDRFKIMLFYPTLTQLITRI -VKESTELTNLNQIADIDKADQYAKNLTMLCRMVQQSRAKLTSFYMIKSSSLTNEPTVSELVSYGVKEGSY -LILADQEIETSTYSIRYWKVLQCISCIASLPINDNEKTSLLISFLNWKPSIHQLEHNCGLFKYDKAVLEE -FNEKVILNILSSELPSIRNEREREGLRDLIEFVAFPNQLIVRKPYLGVTETFKKWGEGQKSGKFTFSSSN -GEASGIFVSGLLHLTLSCESVSLLHQVEKRVLEWLGHLRSDIVSLDQHSTFLSLLADSTTISRKLTEGRI -MTLKVDEANPRYLMLHPVQGRRVCKIVKIKKGILSVRKEVVRQVQSEPRLLWKGGSLTIIYDENVETVTF -HEQILNIYKIVDQIIHKEESKLPSSFFQDSKIVLSKIKLQNQMYISSVSLLHCFFCHTLRDSVLEACSKS -AILNQYLQTGYKSESKELLRLQIKFVEETIEGSTLELFAENQKICKELTDKLNYQRIPEHAWPEVQRMLD -ESGLHNLSVQLEQKPNTGNLVWSINQDASIGFNAGQTSMRNFINNMCSLVLPRCMSPFLTDGCLLGDIIE -LCYLAKQDISNYCLTDKDISAIILAATYMFDNESRTRSELCFSSTGILSMMTDRSFIISEQAKVEIKQEV -DHLVLTLDIMIVDLNINQTNAERISTSQSRILTYYNMLFKEVTRVRDVVSRSDSYTTYKSDNKQFAQISV -SAANSHYTTCPKIYKAIAGKNVDYQVDNLSLFELCITLLGKNKKELCDIKASSFQTEQEELTVEQIFGLS -SESDNESGVAKETGHHEESNEGGTYEFW - ->YP_010229105.1 RNA-dependent RNA polymerase [Qalyub orthonairovirus] -MANLKENIVWEHVFDNCSQANVVFSYREFFNKELTLPDGNCFFRAVSTFLYDTQNGWIEVKNMCREFAET -NWDELPGVHQYFQDPEHYARESKREGYWGGSVEAEILSKLLKLTVIFWKCEDDVWVTQGIRWGDGNYLTA -INLLHIQFDHFDFLVPINVTQEPPKAMMSLLDRITFTVDSIIPQEDLDNADILADSEEGSNAVSAFKKKL -DDELKSLSELKKDIFKKRNVDEVKEKTTIRNEKDFRRVVSEGNLIPLRVGKILNNLFCSNIEAVLEEDVI -MLFPKESGRMRQHAFDINTLGHRVIDGKKKYHKSYGNCAVAISKELMSNLDSGYLLRMCFPGTGLSQTPG -LVHPELIFDLAITATSVLLSTFLYKAKLKLKRDFIRSACAKCSYDVKKLQKLLCDTTTKNLYNEPYRVVQ -RICQTLFTKEQKQIAEALRLMNPQSKLAFQCIDLETIGRQTYFKLLAELKNNDNLDTNFNSKEVKDLHEC -VSIIQNIMESDCDIEEKKKITINYCTSLPQRFKSKKTTLKDMVSYCIMHFFRSKMIFKFVSLQGKAYAGM -SLGNLLAYAHNLYLSKENLKFTPEDTEQLCIDMRKLNNMLSSTVKKPVAIICSELYKQFRTMIRELPEDC -QLECQTLFDDIRNSESHASAWKSALRLKGTAYEGFFSKTHCWEYIPEDLKPSLSMAVQTLFPEKFEMFLE -RTHTHPEYRDFIPDFFLCRPRILKRDTVTPSLEKPENNTKERESEPTDAVPAKKSSKKRFPLPEVAVQEV -RSIKTIMDEFEERAEEKKRPLKLGRQQEQEEDVEENEILIVEVGYQTDVEGKVVADMKKWKGVLNLMSYL -GIRTNVITCADTSSTPKSDWWIKEEYVRLLLDSISYLFKQLQENSPADVTDIAVGNISTQKIRSVIRSGT -TIKTPITLKEVTEAWKECRDWIIERPTGVKLPKQIEEALEVSMVEGAVVSKQSAESCFNYILENMDTLIS -EFEKTKYRHEVNKTDLTAEKIQFGWMSEDIAASHCANCLKEVKESLKSTLSGTEKIAIAALSLHPNNCQN -CCTKNIEEFPVSSVQRRIPDLTNVKHLETSIGESNEGAILDRLVKLTLPGKTEKERKIKRAVEQLIRASM -KHSKLYAIKLPNGQVILDSKLKSDLDRNALEAMSFKDGAIKTAEEDRDHFKKVLSESKLVGYSDYVKDTI -RLSIDGIASSKQARCSLPEGWIFNILQDLKIDTGDEVILNKIQESYEKKTEFQVKPDKFVPANWPSLENY -LKDKFEQLRAQEPQIFKLDCLLFQEVYFELNKRLIETPYNQCPKTIASLLKLLLGFKWFNEAVMYAKTCE -TFLQAVSEFNRSGIKILRIRHTNTNLVVVLPANKKQNMRCCIYDNKFRPLKGPFTLNRRQAVLGAAYPYI -TAICLLQCLQHYRCSGEILKHDEEIIKRITEHSDSLKDEVVEMLKDVHNGNLHNASGMLTRRCLSAGNFL -NKSSAEHFITVVSGFNITFGVLLGDSILNNSQPFNKQIQMMRQGLLCGLSKLSCPAELGKKFAASCRKPE -FHIARLYMQLVIFTANKHVEYNTVNWLKGDLCPKTRIPCFTIFGTHVNSDRQLIFDIYLVHIYNKEMDDF -DEGCIKVLEETAERHMTWEKDVEKACELVQRGGEDSKDGLRDLRLLLGLPNIKRMKEDETSSSESSDMDS -FSLDDENFPPLGKSKGSKGPSSKKARSFTKLRQPPTSMYGIRAMKSKPLSVSDSFDVLRDDMRDYQQAVV -DTGVHHTYKVNNESVLKDIIRTIRKNPSHTFGSVELVQICTEIARSKFPPESLEKARRDQRNWISVSEVT -ETTSIVSDPKDQIMIKDAYQIILGSENKKLVKLFRGKLQRIGMSCKVDQKGKVKCAELLETVSGLTNKQK -KDIALGLTEPSKLTFYNWKDLVNRNIGEVLLTADGNYIYCWLKSLASTVKKSLKNEIKGLKYGSTGLKSR -IVDSTNVVSKEEMTSIKNFVDYLKDCTIAGTAKDKPTNFSIENMILGWSKFTKYVKDSDNIIKDGLASLQ -KLAKVLPNLQEKFESICELKKNLPEVSFTREELELKLDEKKLISSLGKDIMRVINMLFLVCLSCPWCIHY -KTFEAIMMKSMADVDSFNLPKSSTGINELHPDNVLKLLCLENIVPISPKELEVVTRYSMCLFSINELPYC -SALTKHGNMEYKGPSEQLMGRIKTIMAMTGLTDSRSDFKWTINLIANSNFEVSKKLTGRTVGERLPRSVR -SKVIYEIIKLVGDTEMAVLQQLAFTSILNPKHRFFAVLAPKAQLGGHRDLLVQETGTKLVHAASEMFSRT -ILSTTKDDGLTNNHLKESILNTGLEAISQMKISHGREIAESAELVQFYKVCCISGDNTKWGPIHCCSIFS -GMMQQLLRDYDDWSSFYKLTFLKNLCRQIEIPASSIKKLLNSFRYKNQDLNVDEMSETELRTALVLRLNT -WKGNEIMQFLVRNYISKGTMAMNSYNHMGQGIHHATSSVLTSIMAEVNDHLINHYFKKHLPDLQVVTHHA -GSSDDYAKCIIVSGVMTKQKLKEYEEAFWTHMCRLKNFLAGFNRACQMKDSAKTLISDCFLEFYSEFMMS -QRITPAVIKFILTGLINSSVTSPLSLVQACHVSSQQALFNSVPLITNICFTLSRQQMFFNHTEYFQRNYG -LLTLGSLSSFGKLFVPIYSNLISSSTALEDAEEIVKACNVLSKVLVHLPPSTKSVPEFVVDKTKQEADRP -GELDVESSNSSEETSSLSSTPSDSSGASFHFNINRVLTNDEESYTKTIDNDLDLEILDVCLTQTKAMYIG -HHDYKDMCIWEKLSKCGLSTTSAYLSELKDDKGRLKLLKIVRSTLILIISGYYRTFTSEGTEKSVKAALN -RDENRIIEDPMIQLLPEKLRRELARLGLSRMEVKELVPNPGSQDTLSSLVARKLVTMNCATEDYKSEIMR -LKQTLSSRNVLHGLAGGIKELSLPIYTIFMKSYFFKDLVFLSHHDKWNTKHSANYRDSTGKTLDNKVVVK -YVTWLEKMLSCSLSTDFDTPCLNDSLFEESLKGVHIIHCADKSVEISILKSELDVISKEMRNLAIQFSDV -NRQKIKVEESNPAKIELDANKAVIVKSGLFSATDQVRLSNNPALIVGNLLDEGVIVEAKPAKIDTGSLGR -DRFKLTQFYTSLIDLINSINNQSSEQKKLGIPIDLEVVNKYANNLTLLCRLVQQTRNKLTSFYMIKGSHI -NSEPTINELVSYGIIEGKYYELTEASIDVSSYSLKYWKIMQCISAISVLPISDNNKTSLLNSFLNWKPDL -KELDEACPFSNRERQMLQEFDGRLLVNILASELPNIRNEQQRKSIEDLTDFVNSPMELLRKRPYLGTTAT -FNSWGEGQKDGAHFTYSSSSGEATGFFIGTKLHIYISQEANTLLLEVEKKILEWLNKRRTDILTKEQHAY -FLDLLPEYRHIPKRGSDGKAIGLKYSRIDPKLFEFVKPNSNSKVIKFKREILSVKKKITKDIVSEPRAVW -GTNALTILYDEQTEKTAYHQDILNVKEMLDTILQPGSNKAPNVAYADTRIVLSKIRFSPDLFLKSLVILH -HFIEHTPSSAVWEAQSKSNIIDFLMNAPNKTQMQKVSEQINRATANIISDDILGEFDKEEQLCRRLTEVV -DRKMLPISAWPEVQSYLDDTGHHGITLSFLQMGLSDNYSWKFSKIIDASSIPKTVGLRGVINLVGSEAIP -RFMSPLVADGKLLSQAFKVFTEARNVLASSGISDLTIDACICSTLYCNQKKEIVRPGYRFSLNCLLLLAT -ERYFTTLDGLVSFKFTSNSDDIILTIKINIVKPNEIKLAKDERANLSKARIMMAYNLVFPNITSMFELKS -KIIRGHPDPVSEKGEFMNLEVGCSQSKECILMNLVRACSDIYSLKETAVKCIIRVINFLSGMEDIGPTDL -IESIDPSNFSQDQITLLDLLDDTNEPTAFITEPPVGKISFDWSSIDD - ->YP_010229102.1 RNA-dependent RNA polymerase [Farallon virus] -MEDINWQLFGPNLYTSMVKIAIPDFFERIRVKGDGNCFFRAFAYLFFDTEEMWDTVKGTALGYARQHWSE -CHGAKGVYNYRAENEIKSEKALYSSVLRGNATENVTRRGLDLYLEDATKEGYWGGTDEAEMLASALNVTI -VIWNVNTDMKVLDVQKFGTDSVPRAFNIVRCGAHFDALKLINQHGTEAATVSTKQLELSPVAVMEALIGD -DGEASLQPEAYIPEGGAGNISKKSTSSSEEVSSADEEDVKPGEQLQDETKTRKELFKEMKEKKKQQDQMR -KAMELSAHVPAKVGRVLHKIFNCNVEVSLTSKVLYLLPEGSDDPNRVTLSKIRHIMLDKEGQMFEQFKNC -TVVITSGLMEFVNTPELLRQCLPDTGISQYYDIIHPAFVYDILTVSVSILFSSFLYGSDFKTKRKFILNT -VRDRFVKPSKVPKLMKEKNNKIIYEHPDKVVSDVCLSLYKEMAVIISKSMKEMPATGLLALRNVYLQSMD -FNDYMQVLDDLRVVSYDLDFFNQDELQDIKKLIDCIAEIRKEEEVKPGSLASDWSCIYLSTTQDALFRDS -KGKVLKSLRSNGLERRLIQHFFRKKLMFKFISLQGKAYSGGTLNNVLAYCNNLYLTKDQLGFDTEDTEHL -SAEMVRIRSLLDDQRVEPIAIICDKLERRFRDLFENLPNVCAEECKSLFEDIRNAENHSSAWKAALRLKG -VAYEGFMAKAYNWNYLTEDLKPTLSMIIQTLYPEKFLQFLERTQLCPEQRDLTPDFVMTQNLVIKKDKID -ATVETEQLSLDYLMSGTASVIPVSKKTFPLPSEPILEVNRLESVIENFQKMQESKKRDSGLLVESTTVEN -DTHDKFTYSELLILEVGYQTDIEGKVISDMQKWKNILNLLNFLGIKASVISCADCSDVPSDNWWLPERYV -KILKGTISYLFAKLQQNSPSDVSDIVVGAISTQKIRSIIRSGTVVKTPVTIKDLRETWNKNKDKITDRPT -GLKLPERIVSAMQLSLIDGVVQEKTSTDEIVKTVEENMEKIAQEVEQTKFKHTLNKDEETSFELLHAWIV -EDLKSARCNACVTKILEDCKMLNSLSASFEMISKELILTGHPACCHAIDVDIGTYSLFQRRTGFFDAVNH -SETVLDEYDEKQTQLDRLVKLTLPGKTEKERKIKRTVEQLIRVCMELSNIQCIKTPSFQLIIVKKNLKKN -IKSAETSKDRRRGLLVEQLERIKKTLSPNRMKSYSDHCKSVIQSVLDSPFEQKGCRSELQPQWCDQILMD -LKAKTEDGEIIEALKKTIESKKTLIKNNDKVIVPDWDQISSYLDVKADDLLSSGKLIFDLDCVLFKETLL -ETISRYFQTPYWECPVILSNLISFLLRFKWYQKLVLYGKICETFLQCCTEFRRSGIKLAKIRHTTCNLAI -KLPSNKKENMKCIVYSSNMEALSGVFLLNRRVAVLGASYYYIIVVLFCQCLQHYRCMNGLEDTKKLEEAV -RQANAKHLDCVHEQMKLIHQGRIKDASNSLINLCKQNGNFLSRSTRSHFITVFSGLSVTYSALLGDALLT -NSQPLNKQIQMLRFGVLNGLSRMSMTFELGKKFSSSCRRMEFYIARTYQMLSVFTCNRFPGSNIQNWTKD -DLCPNITMPSVSIYGHFINSDRQLVFDIYNVHIYNKEMDNFDEGTIKVLEETAERHMTWELDLMHAVEKM -GEDPKKDRSARLLLCIANVKPSSSSGTTIEDETLSQTSVSSAQSSMSSKKRIKTYFGAMSMKKKPFSFSE -DFIVERDSSADFIQTISDKWTFGVYKAKPESVLRDIIEIVRKNPSHTMGSFELLQAFTEFARPKYPVESI -IKAKRNPKNYITVSEVTETTSIVSEPRTHASIKDGLRIITGQENKKLVKMLRGKLQSLGLSLVNDKSKGS -DLSELLSSVEGLSEDQKKKIVLGITEPSKLTFYTWREIIKMDVECALVTSDGNYIYCWLKSLGGQVKRAL -KKYIKNLRYDNERFTPKFSLETEILIGLTGIRECYKLIENLKSLTKNEIPPNPDIDNNKLIEVWVKFCEL -PKCSSEILSESQQWIDAVSTELDILMLKYNELIKLKNDYPSLSFSREEVELRSLETKFVKNNNNALMKLM -NCVFYICLCCPWCVHYKSLENFLSKHMDETGGYDFGNETVTKVMEITLEKVWLSLIVSDNCPSLETIKRI -VKYTSAMFTGNGRPISCSLNQAEGSINVMDHGQMVDRLRTFLTRAQLFTKELDFIWTCHMITNSNFEVTK -RLTGRSTGERLPRSVRSKVVYEMIKVVGESGHAILQQLAFSTILNTNHEFFAVLAPKAQLGGHRDLLVQE -TGTKLVHATSEMFSRTLLATTNDDGLTNPHLKETILSSALNHINVSRKLHGKFIEEESSLVQFYKVFCIS -GDNTKWGPIHCCAFFSGMMQQLLKDHPDWSSFYKLVFLKNLYRQVEIPTGSIKKILNAFRYNNSNKKLEE -LNEYQLRELLYNTIDSWNENPIIKFLVVTYLIQGKIAMRMYNHMGQGIHHATSSILTSIMGDVITHFIQV -YASNNFKGLTCSVEHAGSSDDYAKIITLSGLVTKEVFDSYESVFWIKMCRLKNIIAGISRAVQMKDSAKT -LCGDAFIEFYSEFMLSHRITPAVIKFIFTGLINSSVTSPQSMSQACQVSSQQAMYNSVPLLTNFAFSLLR -QQMFMNHTEYFQRTYGLITMGTLSSFGRLYLPKYSNLTCSSIAIEDSEVISQNLSMIKDNTVMFPGTKAY -EEDIPVGEVDSGPASVESSGAGSPSSRLSSLTLGSDTSLKFSQDKALTAVETAYLNSIKPSLSRMRCKAE -VEIFQRIFKHNLPSCFEKLKKSSLVNSCEYLREVMDEPWLMIQRIRSIVVLLIAGYYRAFNSDGTEHPVK -ANLNRDENTVIEDPMIQLIPEKLRRELERLGLAKMTVEELIPTHMLEDDFASLVSKRLVMMNCATENYSS -EVSRLKQTLSSRNVIHGLAGGIKELSIPIYTIFLKSYFFKDNVFFKHYDRWNTKHSLNYRDSTGKELKDK -IVIKYTTWLDKILNCDVSIDYTHSSAIKSLFDSSLKGVQVIHLCNNTCELSIIYDEVESLQKEFEALALQ -FSDVNRHKLKILESQRQEAAVEASKAVIVKTTLFSATDAVRIINSPAIVIGYMINESTLSDIKPTKIDMG -NLIRDRFRITMFYKTLTDLVSEIVKESELIKRKDGIVELEKVDLYANALTMLCRLVQRSKPRVSSFYIIK -GASHGNEPTVSELISYGIQEGLLYILPDCPVETSTYSVRYWKVLQCISAIASLPLSDGEKTSLLSSFLNW -KPTVNDLDAKCTMLKYDKAVLEEFNERTLLNVLSSELQSIRNDKERESISDLIEFISSPRQLMQDKPYLG -VTSTFRRWGEGQKNGKFTYSSSSGEASGIFINGQLYLTLSKESTALLHEVERKVLEWLCQLRTDVTAAEQ -HMPFLNLLASSRTCSKRAVDGVSYSVYYLKEDPKFLQLKRFTGKGEFKIVKIKSQILSVRKEVVKEVRAE -PHIVWRPSALSIVYDEETEGPSYHHKIKEIYELVKDATGNTTGKLSSIFYKDTRLTLSKINLQDQLYLSS -LSLLHCFFCHTLTSSVMEASSKSEILSRYFEQGRSSIIRSANSIQNRLLEVKSQDGGSLNSEEGEICTRL -QQNLNKGDYSIDCWAEIQRMLDENGFHRISVSLSPEPSQFRYKWIVSPEFGLSRNADMSDLRDLCMLLSS -GIVPKAVVSYMTDDKLFSNLLMIAERIKIELNKNRIDQKSFSALCCSILYTMQNNKKERKTLLMKIGSIY -SLISLKEIDSNKGQIRFIHEEDTIQLQVQVIGLNQLESKSIEAKLSSAKAKETKIKVGRNRLISEISSLF -EPFNNIKEIMKFSDGFEMHSDDGKIIFTLFFTRLVCERTDLYKLLTFMNVNIPQSTIDLVVDLIMLLFGA -EFKKYTTAQTSSKDQDQQRIELNEEITLNDLLAEDDNDHDAANNATEEEEDYNIDDIEFEL - ->YP_010085111.1 RNA-dependent RNA polymerase [Estero Real virus] -MSNLDNVIWRDIGPNKFGSDVRLALREYFTEKQVIGDGNCFYRAISLHIFQKEDEWRVVKDTVIRYADTN -WNMCQEARIFYNNDKEAYLEDVCQDGYWGGTTESEILALALNTSIIIWHGDGPTRLNGGTRYGQRIVSNS -INLVLRNGHFNYLDVTRDINPDNAVSTAEVDTSLALELISTLDAATAADDEGELLDLTTLETREPDVLEH -SSKNDLRSQLFSKPTATETIKKIKAAIKEGEHLSVKIGRIINRVFDCEVGFTVEENHNILLVRLTEDSRG -VKKLSKLRYNVLDEEGNCKEDFKKSSIIITSSLQKFVNIPELLRLTFPSVGISKFGDLIHPRLLFDILEG -VVVILLSSFLYNTEFKVKRKFILNTITRDYMEPTEIAKILAGIGNTVLYQQPDCTVRTVLGRILGRVVQD -TYNLLRNMPASGILAIRNIDMGTMSWEEYRSILEDLQNVSADYDVVNQDEIQEIKHLMDAVESITPPKLD -QRDYSEFCRYMNISDVKEVFHKRIPRNPKDFTSKLISHFFKKKLMVKFVSLQGKAYSGATLNNVLAYCNN -LYLTRDQLNFTPKDTDHLTAEMIRIRGQIDEQSVEPIAMICTALEDNFEQLFKSLPGECEEECRLLYNDV -RNSDNHSSAWKAALRIKGVAYEGLFAKTYGWTYTPEDRKPTLSMLFQTLYPDKFISFLERTQTYPEIRDL -TPDFVLTQSIFSYRDAIKEPSSTEQVMIEWDADVSEAKPSFKKAFPLPDQDIKEVLSLSGVAKVFKKGKP -ERATGSMSALVDESMPEEEATKELREIVIVEVGYQTDVEGKITADAEKWKSVLNLFRFFGIRATIISCAD -SSENSNDDWWIPSEMVVLLKKSTSFLFKKLQDNTPADVTELVVGSISTHKIRSMLKSGFTVRTPVTIKEV -RDTWNKDQNLILHRPTGVKLPSQVAHNMQVSLVEGAVMESEASKDLYRHIIDNLDLIGAEFERTKFKHSL -NKPIETSQKLLLGWLSEDLKACRCKECLEEIQQLIDQTEITISKIQIICRELQVINHKECCHTISVSPSQ -ISKVDCRTCFLSETKHSKTQTTQDELVKETPLDRLVKLTLPGKTEKERKVKRGVEQLTRLSMSESGINCI -KNSAGQVIISKGFFSEGKESKLKSKVTEDDSNKEPSIGKDGGFLTKLKQTLSASRLSNYSEYARDTIKAV -LDSPGTQQNSKCCLKDAWVKQVFFDLKTDNSEMDLILKLKEGFDKKKNFSVNNDKTQVLTAEAYQKYIES -KAPGMFTSTEPIFGLDEVIFKQTMLEAINRYFSTPYWSCPSILANLTNLLLKFNWFQRYVLYGKICETFL -QACTEFRRSGIKIMKIRHTNLNLAIKLPSNKKENMTCVVYSKDFSALCNAFKMNRRVAVLGASYYYIIIV -LFIQCLQQYRCVKVLENEVDLSGKIDACVAQQIDELIKMIGETTQGEFELAQHRLFVLCQQNGNFLNRST -YDHFITCFAGLSMVYGLILGDALLLNSQPFNKQIQMMRFSMLNGISRLSSPYELGKKFSSSCRTIEAGFA -RLYLQLISYSCGRDPEKNIKAWKQDDLCPNISMPSLSIFGHFINSDRQLVFDIYNVHIYNKEMDNFDEGT -IKVLEETAERHMTWEMDILKSLGGFDEASKTRTDKTGEQKDKDYRTLRLLLGVGNIKKSSSGVSSIEDET -LSATSGTSVKSSSSTKQRLKTYFGRLSMQKKPFSLSDSFEVSRNPTMDYREAISDKTSFNVYKPKETSVM -RDIISIVRKNPSHTMGSFELIQCFTEQARVKFPIDAIEKAKRDPSNYVTVSEVTETTSIVSDPKTHFSVK -DSLRILSGQENKKIVKLIKGRLQRIGASTGPKESKLECLKLLETIDCLSLKQRKDIALGLNKSSNLAFYT -WKDVVCKGVETALITDDANYIHCWLKSLGSQVKKGLKPYIKNLRYDLENYVPKFNKIPDGITEAQLAECV -EFIDNLKKICRGEVIMNDSCSPNTLKHVWKQFCRTPKLASEILNPSKEDLAKIIPPLRKLNKQYKEFIEL -KDEFPNLSFGREEVEIRSSEQCFINECSQELMRSMTTQFFICLCCPWCIHYKSLENFMRTHLNDDCKLDV -DDLTTKKILEISLEEIWLSLLSEDLNFNPEISIQDIRMLVKYLSAIFASNSKPISCALNSSEGIVKVKDQ -SEILSKVNMFVARTHLESDDYDFLWTCHMISNSNFEVTKRLTGRSTGERLPRSVRSKVIYEIIRVVGESG -TAILQQLAFSAILNQDHRLFAVLAPKAQLGGHRDLLVQETQTKLIHATSEMFSRSLLKTTNDDGLTNPHL -KEQILSTGLSMIEKEKILHGTKLSGSSCVYQFFRVCAISGDNTKWGPIHCCSLFSGMMQQLLKDSPDWSN -FYKLVFLKNLYREIEIPAGSIKKIINVLRYTSDQDLERMSEPELRNELKKSLEHWNHNPIVQFLISTYLA -NGKMALNSYNHMGQGIHHATSSVLTSIMGEVINNIITEYLGRHFKELTSTVYHAGSSDDYAKVIVLSGQI -PKDLFEQYEGVYWERMCKLKNIIAGIGRACQMKDSAKTLVGDAFVEFYSEFMLSHRTTPAVIKFILTGLI -NSSVTSPQSMSQACHVSAQQALYNSVPLLTNFTFTLLRQQMFMNHTEAFHRNYGLLVQGSLSSFGRTFIP -KLSGLIGSSVALEDSEEISKSCTLLAGSELKLGQCALSDEGTVEPREEHAEVMDDLSLGKTPSSSRLSSM -SLSSGSSFKFGRGDALTSAELEYLKKCRPESIELVYTKQIELLNNMYGGVDCNELFSSVLNSNIVNSCEL -FRNMKENPWYLMQFLRAVLNIVIAGHYRTFSSEGTEKTVKANLNRDENTVIEDPMIQLIPEKLRRELERL -GLSKMTIEEMMPIDPLSDNLAEVVAKRIVMLNCATEDYSSEVSRLKQTLTSRNVIHGLAGGIKELSVPIY -TIFLKSYFFKDKVFFQHYDRWSTSHSKNYRDSSGKSLEGKTVVKFTTWLDCFLNSDFCYDPSPDQHADSL -FDPRLKGISVVHVENGCKQLALHPKQVICMGEEFKNVALQFSDVNRHKLVVLESERHNYQVDANKAVITK -STLFSSSDSVKLENSPAVVVSYIMSETAILSVKPTKINMASLIRDRFKLMMFYKTIMDLVQQILKESEQL -STKDGILDIKMVDRYANGLTMLCRLIQKAKPTLTSFYLIKAASYHNEPTVNDLISYGVIEGSLLVLKEPS -IDTSTYSIRYWKILQCICAISCLPIKDAAKTSMLCSFLNWKPDITQLEHDCPLELQDKQISEEFNDRMLV -NVLSSELAGIKKEKERESLKDLIDYVTSPRQLIARKPYLGTTTSFVRWGSGTKDGRFTFSSSSGEASGIF -ICGYLHLVLSNDSTALLHQVERKVLEWLNHLRTDTVTVEHHNVFCSLLCSTRFCSKKYNDGKVMVPEVDS -NNPRFLKLKRANLKQEQRVVRVKPGILSVRKEVVREVRSEPKLLWKPGLITIIYDEQTENPTYHEKLALL -TELVNKTILRKDNTVAPSVLYKDTRIVLTKVSLPEPLYLSSISLLHCFLSHAVSGTILEASSKSATLQYY -ISNRSYDVKKLFEMSTHQEIGEQPDITSACQELQSELNRYNLPMDCWAELQRVLDENGYHKVQVGLSQKG -NSSQYSWELLVKEQDVTSSRHSSLKEIILCTSSSIIPRQFIPFLYSPCRLKDILTYCDTLKLYINQERLT -DQEVDFSFLCILYCLQSNSCDETEVRLSKPSLQNLLRVKTFSKPNINFEFIFDDDIVALRVDIMIFDLSS -STETVNHKAIQAVAKAKALAFVSEFFTRIEKVRDLKAFVTSYDTDIKDNKVWINMVLGSRCSKHPSYLKL -SRIVVPRLTKDCCDAFSGFYSLLTGQEYLKPPEIKPPEEETIRTIKTIDLLESSDSESGVEDENDDESNI -GEFDW - ->YP_009553332.1 RNA-dependent RNA polymerase [Tunis virus] -MSSIHLDQLNWELNHGGVYHSGLRFNLKEFFDIHNVPGDGNCLFYSLSYLMFDTLAEWRSLKTTIANFAL -TSWNQCTLAKLDYKTPQEYVADLNRNYYWGGTIEAEIVSLALNTTVVLWCTDVLDNVVDAFKYGQEPVSR -ALNLRLSNAHVSPLKVTPIQSEPATVSDPPLVQDVLSTIIVDDEDSLEDMFLDQPEDTAEEDNKVTERGK -REDLFNKASVSKILTMAKKAVEDNSSVSVRVGRVLFRLFSCNVSLSIQDNTLSIKPRDENHPNKDLDLSR -LAHVLLTNDKKLQESYCNLLLVLDRDLLQFLNVPELLRIALPGTCASQYFDLMHPDFIYDLCLLVVAILL -STFLYKTEYWVKLQFINSAVAPSRFLTKDAKTLLKKYNNKLLYEGLTKFISTLCSSCFGDFVNEVIEALR -EMPPTGLLVLKNLNLDTVRLSDYRNILNDLRVVSVDQDFFRLDELQEIKRLIDALYDIRACKLPLSHDQV -PTSVVTYMDCEVQDLFKTHSGKMVRQVKPSNLEMIVIKKFFQKKLMMKFVSLQGKAYSGATVNNVLAYCN -NLYLTKEQLGFDINDIEQLRCEMVRLRSLLSNELKEPVAIICDKLEQNFQKLFEKLPDTCKSECKSLYED -IRNSDNHASAWKSALRLKGLAYEGLMAQCYNLAYIPEDLKPTLSMIIQTLYPSKFIQFLERTHLHPEFRD -VVPDFVVTQKLAMKVDTISPQTETQQLTLDPMADEDSAEVIPVGKKRFPLPCHAFKEVTNVHWLKEHLEQ -RKSVTQRTTGLTICTTDVAIENAMSESVPELLILEVGYQTDVEGKVSSDVKKWSEIIKLLKYLEIKATLV -ACADSSECPSNDWWIKEEFVKLLKGSVSYLFSQLQLNSPSDVTDIVVGSISTQKIRSMLKSGTAVKTPVT -TKELKECWKEHKVEILNRPTGVKLPKRFEEIFEIAMVEGVVVEKNAAKEVVDHIVANSNALIQEFEKTKY -KHEVNALEVTATRMINSWLKEDVAASRCKQCKKRIIDSTKDYINASDITLTLSHELPFETHPDCCHTQRI -EQDVKSYYSKRVISFDCLEHKEQHAADGSEYKTTALDRLVRLTLPGKTEKERKIKRGVEQLIRLCIECSN -ISVIKLPNGLIVGQKKEILATKGPVGKRLKEPMPLTQEQQVKLEKLKQQLSRDKMKTYSETCKDVIQEVL -SSINTQQASKCRLDPAWVKNVMLDLKVDTDDVSIMEKFLEGISRKKDVAINNDKVIIPSHENFIWYIQDK -LRDMGHCTSKVFNLDCVIFKETIVECVTRLFDSPYWDCMETIQRITEQLLEFTWYQKLIYYGKLCETFLQ -CCTEFSRSGIKVMKIRHTSANLAIKVPANKKENMKCIVYDSNFTPITQVFMLNRRVAVLGASYYYIIVII -FIQCLQHARCIQAARTGQFTSRICAKVGQMGDKLLKCMSLAYKGLFKESREVLVEVCKLNGTFLNTGTDE -HYVSVFAGLSLTYSVLLGDALVLNSQPFNKQLQMMRFGMLNGISMLSSPDELGKKFHSSCRRIELAVARI -YLQLITYSCCFDPERNCREWKLNDLCPNTSMPSLSIFGHFINSDRQLIFDIYNVHIYNKEMDNFDEGTIK -VLEETAERHMTWEIDVADCCHKIEEADRGSRCLRLLLGLPNIKRAAIDNKIGCDDDALSDISSISNKSLD -TDSTSQSKFKSYFGRVSMLKKPFSINDSLDVVRFDKQDYSQAVTDKWTYNVYRPNKSSVLKDSIEVIRKN -PSHTMGCFELVQCFTELARNKFPNESIEKTRRHPNNYITVSEVTETTSIVASPRTHIMLKDCLRILMGLE -NKKLVKMVRGKYQRLGLSLSPDNPRAQNLTDLLQTVDELTDHQKENILKGITEPSKLSFFSWKEIIKKDV -ESALITQDGNYIYCWIKSLGHMVKRSLKRYIKGLRYDINSPQRGKLTEAAERKFGKDVVTSCINFIDALK -KTIRGDPDCFAGCDSSQLLELWSGFMLECRDCELILVPGFKAVQKCLNALEEILDKYNELTVLKEDFPSL -CFTREEVDLRNSEANFIKENNSEIMKIVNCLLFICLTCPWCLHYKSMESLMSKHLDADYRLDVGNKELKK -LLESPISNTWIHAISKVLKSSTNVNTEVLEDSSGAITSRRLDRLCRYVCAIFTSNAHPISCALNQGSAED -VARDQGQIVERVKTVLARLGITSVGTDFTWTCHLIANSNFEVSKKLTGRTTGERLPRSVRSKVIYEVIKL -VGDTGMAVLQQLAFSTILNVNHRFFAVLAPKAQLGGHRDLLVQEVGTKLVHAATEMFSRTLLSTTNDDGL -TNQHLKETILTAGLNKINLMIKHHGEVIAEGSELRQFFRVMCISGDNTKWGPIHCCSIFSAMMQQLLKDF -QDWSSFYKLTFLKNLFRQVEIPSASIKKILNAFRYNSHGKFNVDELSEVQLREKLLESMTIWESQPIIKF -LVATYIAQGKCAMTSYNHMGQGIHHATSSILTSIMAEASTCFLETYLTKRFPKLTVSVEHAGSSDDYAKV -ITLSGVVETATFDKYNESFWPIMCKVKNLMAAVGRVCQMKDSAKTLCGDTFIEFYSEFMLTHRITPAVIK -FILTGLINSSVTSPQSMSQACQVSSQQAMYNSVPLLTNIAFTLLRQQMFMNHTEHFSRNYGLLTSGLVSS -FGRLFVPKFSNLITSSIALEDSETISTALRDIASNELEFPTTMEEVKPPADHKESKQDVDEADAAEIGST -SSVSLTSGSSLSSGSSFSFKTDRNLNSTEQEYLKTIRSTIEEVKSRAISELCSRLVGDINSLMIIDKLKK -SNLVNSCEYLSKAKDNPLLLIHRVRCILLSVIASYYRTFSSEGTEKTVKASLNRDNNTIVEDPMIQLVPE -KLRRELERLGLSKMTVEELIPTPCFDEEFSSLVSKRLITMNCATESYESEISRLKQTLTSRNVIHGLAGG -IKELSVPIYTIFMKSYFFKDNVFFDLMDRWNTKHSSNYRDSMGRKLEGKIVTKFSYWLEVFLSCNLTIGI -RSEDGPVSLFDPTLKSISVVRHSKAAPELTIDPRGTDTMCKEFENLSLQFSDNNRHKLKVLESARHRMEL -DSNKVVIVKSSIFSSTDSVRIDNNPAVVIGYMINEASLTELKPVKVDMSRLIQDRFKIVLFYPTLTDLVS -KITAESKQTFELNQIADLEKAEQYARNLTMLCRMVQQNKSKLTSFYMIKTSSLTNEPTVAELISYGVKEG -SYLALEDQEIDTSTYSVRYWKVLQCISCIASLPIGDNEKTSLLISFLNWKPSISQLDCNCGLHKYDKSIL -EEFDDRVVMNVLSSELPSIRNEREREGVKDLIDFVAFPNQLITRKPYLGVTETFQKWGEGQKSGKFVFSS -SSGESTGIFISGQLHIALSNDSPSLLHQVEKRVLEWLGHLRSEIVSTEQHSTFMSLLCDINSVSRKVTDG -RLMTPKLDSTNPRFLKLSPITPKKPCKVVRVKKGILSVRKEVIKEIQSEPRLLWKPNSITIIYDENVESI -TYHEQIFNIHRLVNQVINKENRLPSTFYQDSKVVLSKLRLQPQMYISSVSLIHCFFCHTLKDSVLEACSK -SAVLTQYLHSGFRSESKEKQRIQIKLVDSSLDTKSNTKFLDNEAVCKQLADQLNYQNVSENAWPEVQKIL -DENGYHQLSVGLECKPNTGHLVWTVTQDASLGYSAVQTSFRQFVNSICSLVLPRCLSPFLTDGELLGQTL -SLCESAKREISLHSLNDKDLSAICCIAAYMFDTDNAVRKELCFSNQGLFNMCTSCTFDINEYASVTFSQE -PEQAVLTCNVTTTPTLKQKWNERGLTQSQARILTSYNKIFNAVDTVAKVGINCDSYSVVKVNNINVARFC -ISSNRAHLTSCTKLFHGLTGKPLELLIEELACFNLCLLLLGKNFTQLCDRRSVEFPEDVDDFTAEELFGV -DLSPSTVSHSRVLEGNSLGRESEQSTDEGTYSFDW - ->YP_009551657.1 RNA-dependent RNA polymerase [Great Saltee virus] -MDDIVWQPLIPGVFTSWTRVCVHDFFNIGKVRGDGNCFFRSFALLFFGTEDQWKTVKNTSANYARTNWSE -CTMAKDEYNTRARRTKRSYSEVVANEDAPTATNQEGLALYLDEASKDGYWGGNIEAQMLSKALNISIIVW -TVNNDYKVVNVERYGNKPVSASFNLMLVDGHFDALTLQGSIQKNVQAETNIPSMAVMEVIAPEEEELDSV -LGSMGEEPQMEREGDNGDKSLFKTIPDLDTLRDLRKELFSYNKLPEQRKDMLKAIEQQAHVPIKVGRALH -KIFNCNVELSFSDKAIFLLPEGANDKNLIPLSRLRHNLLNKEGELKGKFKDCILVPTNALLKFVNIPELL -RMAVPDTGISQFYDIIHPAFLYDILTVALSVLFSTFLYGTDFKQKKKFIMNNIRNRCTKPTKVPKLLKEY -NNSKLYQSPEKAVNYVCSRLYIEFASSLSKHLKEMPATGLLALRNLSLESVEYQDYLQILDDLKVISFDL -DFFNQDELQEIKRLVDCVELVRKEKELTIETDAVHSSACYLGVEKETLFVDNKGKRFNILRKDAFERKLI -QHFFRKKFMNKFVTLQGKAYSGGSISNVLAYCNNLYLTKEQLGFSAEDTEHLSAEMVRLRSLLDDQKVEP -IALICDQLESRFQNLFRELPKVCADECKSLFEDIRNAESHSSAWKSALRLKGVAYEGFMAKAYCWNYLCE -EMKPTLSMLIQMLYPDKFLQFLERTQLCPEQRDLTPDFAMTQKLSIKKDRIESSVETEQLQLQYNLDGSS -EVKPVAKKTFPLPIEPIMEINRVESVLNQFKRLQESKGRDTGLLIESTPVEKTEEELLSFSELLILEVGY -QTDVEGKVVSDMQKWKNVINLLSFLGIKASVVSCADSSEISSDNWWLPERYVKLLKSSISHLFMKLQQNS -PSDVTDIVVGSISTQKIRSIIRAGTTVKTPVTIKDIRDVWNKQKDKIICRPTGEIIPEQLEEPFRLSLVD -GIVQEKTSTDAVVKIIEDNMEKIAQEVEQTKFKHVLNQEEKTADALLLGWMLEDLASCRCQSCNQRIREM -LKSFPDDYSRLSWLSKELIYEKHPSCCHPQPINVPLYSLFQTRTGFYSGIDHKETVLDEYETQQTSLDRL -VKLTLPGKTEKERKIKRCVEQLIRISMEMSGIKCLKTSSFQLIIVDGKGTRSKIKEKEEKRMTKRSEQLK -EMIEKIEKMLNPKRLSGYSDYCKEVISDVIRHPSSQKGCKSQIKEEWIYRIFQDLKTETEDGAIIETIKK -GIEEKRSFTINNDKVLIPDWDSTKLYLDAKADDLLKESKKQVFDLDCLIFKETVLECISRYFQTPYWDCP -ITLCTLIKFMLKFQWYQKIVLYGKVCETFLQCCTEFRRSGIKLTRIRHTTCNLVVKLPSNKKENMKCVIY -DNNMNPLCKPFFLNRRVAVLGASYYYILVVLFCQCLQHYRCIGGLSEDDRLASEVRSANALHLDFLSSQL -KSFLAGDVRTASESLIELCKKNGNFLQKGTRAHFITVFSGLSITYSTLLGDALLTNSQPFNKQIQMMRFG -VLNGLSRMSSTSELGKKFSSSCRRVEMHVARFYQQLIVYTCNRFPDYNIKCWLKDDLCPKSILPSLSIYG -HFINSDRQLVFDIYNVHIYNKEMDNFDEGTIKVLEETAERHMTWEIDLANAIEKMKKDSKKTRSARLLLG -IANVRKTDTDEVVDEDETLSSTSFSSAKSGSASKKKIKSYFGLMSMNKKPFSYQEDFVVTRAPNADFAQT -ISDKWTFGVYKAKPESVLKDVIEVIRKNPNHTMGSFELIQAFTEFARPKYPSESIMKAKRNPKNYITVSE -VTETTSIVSEPKTHANIKDSLRTITGQENKKLVKMLRGKLQSLGLSSQATKIKSGDLTEMLSSVTSLSEH -QKDMIIKGVTEPSKLTFFSWREIIEMKVETALITSDGNYIYCWLKSLGMLIKRCLKKYIKNLRYDNEFYN -PKYSRDMSMLVGDDAVKQCEMLVDNLKSLTRNELPKYDEINPDILTKVWAKFSSLPKVFNDVVGESYKRV -LNIEKSLKELLLRYGQLIKLKEEFPTLSFSREEVELRMLENKFVNEFNDELMKLMNLVFYICLCCPWCVH -YKSLENFLSKHMDETGGYDFGNATVSKVMDITLEKVWKSILEDYFNIDADIELLKYVVKYTSAMFTGNGR -PISCSLSTQSSTINVLEHGQMVDRLRIFLTKSQLYTKELDFIWTCHMITNSNFEVTKRLTGRTTGERLPR -SVRSKVVYEIIKVVGESGHAILQQLAFSGILNTEHEFFAVLAPKAQLGGHRDLLVQETYTKLIHATSEMF -SRTLLSTTNDDGLTTAHLKENILCSALNCIELSKKTHGAPVEDNDKLKHFYKVFCISGDNTKWGPIHCCS -FFSGMMQQLLKDHPDWSSFYKLVFLKNLYRQVEIPAGSIKKILNAFRFNNIDKKIEEMNEFQLRNLLVET -VDEWNENPIIKFLIVTYLAQGKVAMRMYNHMGQGIHHATSSILTSIMGDVISHFVKLYVQKNFKGLTSHV -EHAGSSDDYAKIITISGIIPKSTFDVYEKQFWPRMCRLKNIISGISRACQMKDSAKTLAGDAFIEFYSEF -MLSHRITPAVIKFIFTGLINSSVTSPQSMAQACQVSSQQAMYNSVPLLTNFAFTVLRQQMFMNHTEYFQR -TYGLITTGSLSAFGRLYLPQYSNLTCSSIAIEDSETIVQNLTLLQDNFLSIPGTRNFEEDIEVAEVDSNP -ASVSSIAGATPSSKLSAATLASDTSLKLSQDKVLTAVENAYLNTIKPKTSRLRCSAEMEVFQQIFGPNEP -ACFSKFKQYSLCQSCEYLREVADQPWLQIQRVRSILVLLVAGYYRTFNSDGTERPVKANLNRDENTVIED -PMIQLIPEKLRRELERLGLAKMSVDELIPSHMLEDDFASLVSKRLIMMNCATENYASEVARLKQTLNSRN -VIHGLAGGIKELSVPIYTIFLKSYFFKDNVFFRHHDRWNTKHSSNYRDSSGKELKDKIVIKYTTWLEKLL -ACDVSVDHDNSHQYVSLFDVNLKGVRIVHLSNGSCELSIQANQLEVLKKEFQALALQFSDVNRHKLKVLE -SQRQENVIESSKAVIVKTTLFSATDAVRITNSPAVVIGYMINESTLSEVKPTKIDMGNLVRDRFRINVFY -KSLTDLITEIIKESETIKLKDGIVELDKVDLYANALTMLCRLVQRTKPKVSSFYIIKGATHGNEPTVNEL -VSYGIQEGMLYILPECPIETSTYSVRYWKVLQCISAIASLPVSDNEKTSILSSFLNWKPSISDFDKHCQL -GKYDKAILEEFNDRTLLNVLSSELQSIRNDKERDSLGDLIQFISSPRQLMKDKPCLGITSTFKKWGEGQK -NGKFTYSSGTGEASGIFVNGVLHLTISKESTALLHEVEKKVLEWLCQLRTDASAYDQHLPFLNMLATTKT -CSKRSVDGVPYLVKFEANEPKFLQLIRYNGKGEYKIVKVKGDLLSVRKEVIREVRAEPYMLWRTNAISIV -YDDETENVTYHHMIKEIFELVKLSASAATERLSSIFYRDTRMTLSKISLQEQVYLSSVILLHCFFCHTLT -NSIMEATSKSEILSRYFKHGRGTIIKSASKIQSHLLELKSSDINRNVSEEDAICAKLQLGLNKGEFSIDC -WAEVQRLLDENGFHRINVSIQQDLSQYKYNWIVEPEIGIGRSSEQGDLRDICYLLSSGIVPKVVIPYITN -DQLFTDLITISNRIKLETNKHRVDARQFSAICCSILYVHQTNEKVRNFLQFKTNSLYQIIGIKEFDGARG -SLKFLTDGTAIQLQMFIKGFTLEEAKEIESKNKGKVFEKNEKIKLVRSRIIAETSGVFKPFEKIKEVKEF -ASGYEIRSESGLLTSVLFFTRLASSSTTTGKLLNFLDITDIPDIILNLTTDLIMLLLGCEYKSYNNKPTT -AQYKIDESTEEQDITAEDVFNEMDDDPDQKAASSDEEEYNIDDVEFEL - ->YP_009551600.1 RNA-dependent RNA polymerase [Avalon virus] -MNLDGLFDWHPSIAGYQLSRVDLEVEDAFEIINQPMDGNCLYHALACGIVENQQPDSYKTVKEIVKESAG -LFWKCTAEAQVSGEELSDYLIRISKPNEWGSTLEVNFFAQKTKLTVYVWHEDANKHCDHVMRYGQDGVAN -AINILHRKNHFDFLKPKFESQCTPAKVPFLTLDEKVELFSRYTANPEDEDWSVDQLINEGGLPSDPLDGR -SELLGKRVDSDYYQRVRKLIPKSENIPVRVGRVLDTFFSCKIVAKLNNRMLLLKPMTSPAEESEALTLRT -LGHKILTFDKEIKKEYSSSHLALTKELISFLDLPGLLRTAFPGLGLERYAAIIHDELTLDICTVAVALLL -STYLYGSNNRNKKKFITNCLYGLNLSGKKVAASLKKVSQKDLYEHPKKTVAYVCNQLYGKVMNGLSKKFN -SLGGCSMLVLCSVDLSDLGVKEYLQVVDNLAKLDNKTEDFGHMEIESLNQLRMALEKIQKADKEQLHDLL -KSLEPVQGAITDLPKRAVRSREAAMQFAIQEYFKKKEITKFISTGGKAYSGATIGNVLAYAHNIYMSKES -LKLSTEDINQLLIEIRSLHAIQGTQAILPIAIICENLSPNFKKLFDELPEECAQECETLLEDARNADSHA -SAWKSALRLKGVAYEGLFAKSRGWRYIPEDVKPSLTMLVQSLYPDKFEMFLERTQLHPEFRDLVPDFSLT -QKTFLRGTEMKPTDLNIAPQTGEQAKPGMEAVGKGKQMFPLPEVEIQEIHSVAGAVEVFEKQKAESGRNF -FCSSNEVPLGPEENLLINELLLVEVGYQTDVEGKMSTDMKKWKDVLGLLRILGIRCTVITCADASPTPAR -NWWIPENKVLLLKSSISYLFSEFQKNSPAEVTDIVVGSISTQKLRSMLKAGSVVKTPISIKEVRETWEKQ -KEHILRRPTGVQISDDLAASLKLGLVDGVVMEQQSAEACIDLLKREKNKIIDAFERTKFRHTVNRDLITS -QEMLAAWLKEDLPCSRCVDCVDQIKTSLESVSSFEDRLSLLCYQLQPVNHRPCCHPQGSKNFPQPTMRRR -LTNMSDVEHSTVKSFENSSENISLLDRLVRLTLPGKTEKERRVKRNVESLIRFCMEKSEIECIKLPTGQL -VSKGHFGQKRSRESLEEWHEKLKKELSEDKLKTYSDYVRRTIKHGLEQASNQANSKCQVPAKWMKCLIQD -LGVPTEDGQIITRLKESQKAKKSFKVNNDKVVLISKDELGEYISSKLDRCLSDSKVFQLDCVLFKEVSAE -LMLRLQSSPYESCPRMLLTLIDFMLQFGWYQELVLYSKICETFLRCCTEFTRSGIKLVKIKHTNLNLGIK -LPSNKKENMTCCLYNSNMSLMKGPFMMNRRQAILGASYSYILVVVFIQCLQQYRCLDAILSSKAELKKQV -ESCIQSMAEAVFDELTCALLGDFEKAHNIRSKQCEMTGNFLTKNTHDHFVRVFSGLVMNYFFLSCDSLLR -NSQQQNKQLQMLRFGMLNGLSRLSSPTELGKKFHSSCRTVEDNVIRLYLQTTIYCSVREVETNIEQWNVS -DLCPRSKIPSFSIYGAWVNSDRQLIFDIYNVHIYNKEMDNFDEGCIDVLEETAERHMLWELDLARALEGS -KGHHRRESRLLMGIPNASRVRTLEGERLLTCDFTSDDITDTGSTSSTITRRSVGSSNKRIKSIYGRYCLN -IKPFELESGFKVERDPLRDYRQAITDPGTNTIYRPNLDSLLKDCLTIIKLNPSHTMGSFELIQAVTEAAR -VKFPPDAVEKSRKNVKNWVSVSEVTETTSIVSVPKTHMLLKDAFKILLGTENKKIVKLLRGKLKKLGVIS -TDEKMGKTYCQDLLNTVEGLTDRQKDMITKGLLEPHKLSFYHWKELVKKQVTEVLLTDDGNYIYCWLKTL -AAHVKRDIKKELKFMIPNRDVSDLPDESIYEKEELTELMKLKRLVMSESGADRLNVDTILTAWMKTALKV -KDGDSIIKTGLTSLLAALPTLTAIFEQYDVLCRSKMESPAVSFTKEEINIRMKERRFMEQFSHEIMECMN -LIFFLTLTAPWCVHYKSLEAFFIRNPGLANLESPDLEPDCQLLEMTVSNMVFRLSKLSTLLEIDVNRPDV -AQVRKFVEYLITAFTVNGLPLSESLNMGEGEKAVQGEEQILQQLKGMLSRMGLKGGRHEFLWTIHLIANS -NFEVTKKLTGRQTGERLPRSVRSKVVYEVIKMVGETGMAILQQLAFTCALNTEHRFFAVLAPKAQLGGCR -DLLVQETGTKLIHATTEMFSRTLLSTTNDDGLTNSHLKENILNVGLEAIQTMKMSHGKPVENFKGLVNFY -RVMCISGDNTKWGPIHCCSLFSGMMQQLLKDVPDWCQFYKLTFIKNLCRQVEIPSASIKKILNSLRYHVS -DTTDIEALTEREIRQLLLSNLDLWEHNKIIQFLVKTYLSKGEMAMNSYNHMGQGIHHATSSVLTSAFAIT -FEELARDFFLECFPELTLKLDHAGSSDDYAKCLVLSGVLSANTFKTYNETFWDACCRFKNLTAAVARCCQ -MKDSAKTLVGDSFLEFYSEFMMGNRVTPAVIKFILTGLINSSVTSPQSMSQACQVSSQQAMYNSVPMLTN -ITFTTLRQQMFFNHVEPFIREYGLLTLGSLSPFGRLFVPKFSNLIGSSVALEDSEVISCSARMLKMNYHG -LPVSSKTVVDSDSSISSDTGDASSDASLSSTSESSGSSFRFELSRNLTQTEELFAKTIQDYSIPVNTEVV -HEELELLYQDSKEGPLDPKSVLKDSILADSCSWLKSALKANPLELAVRVRSILNVLIAGHYRSFGSEGTE -HTVKASLNRDENAVIEDPMIQLIPEKLRRELGRLGLHKMNVEELLPGLQPEDTLASLVARRLVTLNVSTE -DYSSEVARLKQTLTARNVIHGLAGGIKELSVPLYTIFMTSYFFKDNVFFELEDRWNTKHSSNYRDSTGKL -LNGKVVTKYPVWLDTFLNCKLTCNTTRETIDCSLFSDSLRGFEILLKSGQPRELSLILSDLDIFHKEFAG -ISIQFSDCNRQKLRIVESQPPEAELEANKAVIVKSKLFSATESISLSNTPAVVIGYLLDEACISEVRPTR -IDLSNLLKDKFKLTQFYPSIIELVTSICTESKLTQQDLDCIPDLAKVGKYSNNLTMLCRMIQKAKPTLTV -FYMLKGSHSTNEPTVSELVSYGIKEGRYLKLPEPGIDTSSFSVRYWKILHCISAINNLVLSDSEKTSMLV -SFLNWKPNLDLAVDECPMNVQERSVLEEFNEKVLLNVLASELPSIRNEQERKSISDLIDYIVSPRELLKK -KPYLGTTAQFKTWGEGHKTGRFIYNSPSGEATGIFIRGKLHIHLSAESKGLLYEVEKNVLSWLSRRRTDL -VSKEQHEFFTDMLPGDREITHRGKDGKIVSVALERGDIRMLSIHEVRPNSKVVKIKQNILTVKKQIAYSM -DSEPRLIWSKGHISIVYDELETQTTYHENILKVRKILEQVVGKSANPSNATFSETRVTLTRIRLKDDVVL -NSIALLHVFMHHAPRAAILELNSKDTLLSRYLSGGHVKESCQVFTGISSSRKTVTSRQLKGKLIDSNITD -KELCESLSKEFRAAGVPPSSWPEVQVALEENGLSTIEVGLEKQATRTDALWQFSQELDSPRLSAVWNFRT -LVGCISSETIPQFMIPFISTIGVFIKYFQVFKRIRRMLNEANLKDEELDCLVMLLVIRFYHDQVITEGVY -FSPESLLSIVRSRSFLLKDRVKLEFNTREGRLQFTVSVLMLATSEMLQDKRLNLKISQQNLLTTIGNLFL -DVPNMRSIKEISLSMDRRADEWGDWLDVVVDESSYERLRVDNTLKMFLDKKMPEPFVSEVSDVIRSLMGK -CDISRISYEQDIQEVRGEVDYTIDELFEVDGPEEQVNEDVPEPDASGTWNFGW - ->YP_009666119.1 RNA-dependent RNA-polymerase, partial [Artashat orthonairovirus] -MFVAYPRIDVKMLFDIKEEAGDGNCLYRSIASVLFPVGSTYRDVKSALERGVQNHWKDTVEAIASGLSED -EYLAEALQDNVWGGSLETTLLAKELGSTIYTWVVDKHDRVLCVNRFGADSALGSAHIKFFERHYDSLLWK -ESIMDTEEFATGSADLLNMSRMLGEFVLDESDDEEQTTVEDMANSMPLSSKPLISEVVEKLHHVETKEDE -NRKELLKSDGLRKQAERLIPKSENIPERVGRILDKFFNHKIGYEFFEGVIQLVPLTSRRKKTILPLRNLG -HMMLTKKKELKYEFSRSKVLITSGLMTFLDVPGLLRTAFPGKGLERFLSLIHSELILDITLICVVVLMST -FLYGSNSKSKTKFIRGCIHHIPKYSGKVIKSLKHITDKMLYSETRRALSMLCNEVFGDTIAELSHSFSLL -SPVSMLALNNLNLTTVSLAEYKRLLEELTKVDTADTEFTHKEVADLHTLVHRLEKLQPMGEAEAAKQYRE -WYPESKLRVYLNRRGYTAQRLIQAFFESKAILKFISLGGRAYSGATIGNVLSYSYNLYLSKESLNMSEED -IAQLHIDIRRLNALQGKKSVKPTALICEQLKNRFDELFKELPSECEEECRVLLTDIRNSESHASAWKSGL -RIKGTAYEGMFAQTYNWEFIPEDLKPSLTMLVQSLYPEKFELFLERTQMHPEFRDLTPDFALTQRTYMHS -DTVQTASLDQISVSKDDSDAVEAKAVSKKMFPLPEVEIQEVSSIENAVSKLTDRCEETSRFGDILITDSG -VEMTPSEKVEVKELLLVEVGYQSDLEGKIITDQKKWKAVLDLLGVLGIRCTIISCADASKNNVNEWWITE -SRAALLKRSIGAMFAEISKNSPADVTDVIVGSISTQKFRSLLKSGTYVKTPVSIKEVKEAWAKISEDITI -RPTGLKLDPKLVNYMEQGLVSGVVMTKEGSDKVIDSVIDQMDCYIEEFEKTKFKHEVNKDIETSVKILFG -WLNEDCKGAMCSECLKELEKNLKDISEPLTKLEVMARKLQLPSHKECCHKKPVVMKPNSNMLRRVPALEI -VNHSNSESFTDLDKDLTILDRLTRQPLPGKTESQKKIKHKVELLVKEIMIQSGISCIKLPSGQIISNTLI -SRTGKKFENVSEKRKEHITNLKKELAPRKLTQYSVKLQKLIAEALENMDSQKNSKCEIPREWLDTLVSDL -KLQTEDGDILNEIRKSFKRKAEFVVNNDKVVVPGWEKISDYLSLKLDRVLADKENSVYGLDCMLFKEVFA -EAKIRLYETPYYSCLDTLACLIKFLLKFSWFQELVLHGKICETFIKCCTEFTRSGIKLTKIKHTDLNFAL -KLPANKKENMVCAVYTNNMELVKGPYMLNRRQAVLGAAYPYILITLFTQVLQQHRCLEGVLDTDDGTKVE -VLSATARLAESSLKSLKHVYNGEFEAAYREHVTLCEDGGNFLNRGTRDHFIRVFAGLTMTYGVLAGDSLL -SNSQPQNKQLQTLRYGMLCGISRLSSPTELGRKFSASCRRVEDLVMRLYLQLTVYCAVRDVTQNCEAWKA -KDLCPDITIPCFTVFGLIVNSDRQLIFDIYNVHIYNREMDNFDEGTIKVLEETAEKHMSWEMDLAFSHTK -GERGLRDARALLGVPNVKRMTSEDTSQEVLAFSEGSEYSSSSVGSLSRRSSMSSKSRLRSMFGRYSAEKK -PFELESGLKVIRDPLRDYHQAVTDVAQYSEYSPNIESLKKDCVNIIRRNPSHTMGSFEMIQACTEFGRRK -FPPDVIDAARRNPKNWVSVSEVTETTSIVSNPRTAVNIKDCFKILLGTETKKVVKMLRGKLKKLGINDPT -DKEKKQDYTHLLESVEGLTQAQKDRIVKGLTDPTKLAMYHWADLVKKTVEEVLITQDGNYTYCWLKTLSR -DIKPSLRKHIKPVREANIEMKEASLQISEDSIFTKEELLELNKINCIIRDLPGSNDLKPDLTLLMSSWYK -AVSGVKKGDGIIQKGISSFLSLHKELQGLFVDYKELVSLKDAHPSLSFTKEEICVRVRERKFIRKYNKEI -MNLVNLIFYISLCAPWCFYYKVFQSYVARHPELVSVETRLQESKHLLEKLESSSIMWMLIQDELSRNKAD -FVGNRDTVGDAVRYMCAMYSANGAPLAESVGHVGTSDTQSPEEKVSAELRKLVALVGLLDSRTEFVWTIH -LIANSNFEVAKKITGRTTGERLPRSVRSKVIYEMIKLVGESGMAILQQLAFSSILNTDHRFFAVLAPKAQ -LGGSRDLLVQEVSTKLIHATTEMFSRVLLSNTNDDGLTNPHLKEQILGTALEAMQTEKLTHGRRASSDSN -LINFYRQFCISGDNTKWGPIHCCSLFSAMTQQLLKDVPDWSNFYKLTFLKNLCRQVEIPAASIKKILNTL -RYKLSGSVNIESLNENALRQLLLDNLHLWTDNDIVQFIIRTYISQGRMAMMSYNHMGQGIHHATSSLLTS -LMSVVFEHIVTAYFKKYYPNLTVSVTHAGSSDDYAKVITLSGQVSEAQYNHYEDTYWHHACKVKNFTAAV -ARLCQMKDSNKTMVSDCFLEFYSEFMMGNRTTPAVIKFMFTGLINSSVTSPASMVQACQVSAQQAMYNSV -PMQTNITFSLLRQQMFFNHAEFFIRDYGLITLGSLSSFGRLFVPKYSNLVSSSIALEDSEVFSVSAAELV -RNSVKINYRSKTTLEAEKEEADIEGGSAENSGQESTGTSELESLSSGSSFRFETKKVLSQTESEYLKVLR -EHSKPLALKDIEDEVNLVYEDTKEKAMDSKRLLRDSELVKSCEWLYEMKDKSLLELSWRTRCFFNCLIAG -YYRSFVSEGIEKLTKASMNRDESRVVEDPMNQLVPEKLRREYERLGLSKMEVSELIQKPRDTDSLASLVA -NRLITLNVSTEEYSAEVQRLKQTLTSRNVIHGLAGGIKELSVPIYSIFMRSYFFKDNVFIDLDDKWNTQH -SRNYRDSSGKELTGKTVTKFGRWVDEFFSCRATVDTQKETKDPSLFNENMRVVNVLTGQMKERELSYVLS -DLKVIEKEFRALSLQFSDVNRQKLKIVESKPPTMELEANKAVIVKSKLFSATDQVKLNNNPAVVMGYLLD -ETCIVNTKPSKLDMSNLLKDKFKITQFYQPLNELVMNVLKESKELEADKQAVDLEKVDKYSRVMTMLSRM -IHQARPSIVSFYTIKGSSVDNETTIAEMVSYGVKEGRYLQLPEAGTDTSSFSVRYWKILHCISAIASLPL -VDKEKTNLLVSFLNWKPSRDSIDNSCVLSRTEHSLMDEFDGHILVNILASELAGIKRDRDRSELADLVSY -INSPDELLKSKPVLGVTSSFRTWGDTSKTGKFEYSSSSGEALGLFVGGKLHIHLSDESVPLLNEVEKAVL -SWVGHRRRGGVSTEQQHDYFIDMLPLLKEISKKGNDGYIVSVEKPQNGRTIRFGRPRSTSRVMKIKDKIL -TVPKVKVVEKDALSEPRLNWGSNTLNIVYDEVHNETSYHEQLLEVKKLIDQVTGSSRAVPSSVFSDTRIV -LSKLRFRHDISLNSLALLHIFLKHAPSVAVLEASRKSRLLEEFLKRGEIQQRSRLQELIMLGSQRDEAKE -RKEAQSSEGKLVQKLRQSSLIGSGTQLTGLLKELCEEKVLCNKLEEAFNQSGLPACSWSAAQDYLEDLGF -HSVLIQLDKPRVTEEPIWRFELSAELPKSSSIMNFRALAGYISSDAIPRFLTPFVIDEDLLMCTISQFRL -VRSSIGYLNLSDMEIDFLLCSILYCFQSEDNRRGYLRFSAGTLFSLVRNYEFHPDDRTGFRYSTDGSEVF -LEISIAVLRPDDVKGSKQDIKLTAQNNAKRVFASLMEESLKLKDLKNIAARHNQEVINGIQFVYMMFDNR -ASHLFHFNSFLRLLNSGDDDYRKYNKIIDLVLLLMGKIEASKVCDTSTIPAHEGTKEVEVLTMEEFFDDD -DSGEDSKYEKPVAPTPKPNEAFDFGFDDLSD - ->YP_009666118.1 RNA-dependent RNA-polymerase, partial [Burana virus] -MAAAPLDVVNKSEIAEELAPRGTDLDSHHHADIVIGLRSVFDVHDVPMDSDCFFTCAVRHLSAGSMTTDS -LKGIIASYAMRNWAELKEAATYYPSPEEYVSDLRSRGFWGGSAEAEILNKAFGLPIIFWQTEDFVTTQSA -RFWTRRHGNDPEMNLAANGRHFQYLTLKGRPRPPPRELVIEDDGLEVVSESDADEVQNLEDIFLPQHEKM -RLELFSKQPEPRPQTGEIDRQLLRLLDQEKTLPLRIGRLLSKIFPCNVKAQRLDTGDYILLPEHSAARGL -IGLSELGWSWMKVRAKKQMSPTYNILISEELLAYSDSQFVLGNLAGHSLLASNAAILPTEVVYKVATVAA -IVLMSSFIYKSPMKVKRKFIEDNLRLNGVSPSPAKRFIMNLRPFSVYQQPLETAQEMVFLCLGRMCEDVS -LRVSRLPATSYLLLNCVDVAERSIKEYINLLDALEGEDISESTISSSEVYDILTTCVRLEALFDLKKNNA -TKAQYLDYLATQGFDVGKTIHGTSNLYYKAKESVLRKFFESRQIMKLISKHGKAYAGTSISSLMAYISNL -LIIREKLGLSLEDIAALETIERRLMAIQSRDKRVPIPILCNLVEPCMEELYQNLPEDCAQECRMLFTRVR -NSDTHSTAWSAALRLKGVAYEGLFSKAHNIKYVPEDQKPTLSMAIQTYFPEKFERFLQRTQLHPEVREFR -PDFLLAKQTVMPVAEMVFPSIEVVQMINEVPSEDGAHYVKREGRAFPLPEVPISEMHSFREIAPSIELVS -KARLERYNMVTGKIMTTEQPKTLKQLSKDAVDKLPENGGSPVADIITDASQSKTEFLIIEVGYQTDTEVK -VQMDIDKWKVAISLLKDLGIRSTIVACSDNTGTKASDWYIPERLVKVIKNSVSNLFAKLSQNSPQEVTDI -MVGAISTQKLRSVLKSGSTIRTPVTLQDILTTWNENKQYIVYRPTGAVLPSKLEKVMATSLCEGAVITKE -SARKVTDKVLSQKDVIADWVESTKYKVECLKSVESGEKLMLGWLLDDLSGARCMQCVDKIKQTLKATDST -SEALVYIASQLQLCSHEPCCHKEAVKVRVIDLFRKRITPLTFVSHMSTEISDLEGRTTTLDRLCRLTLPG -KTEKERSIKRGVESLMRQTMKDSGIPCIKLPTGQIIVNTELFNSGKARFKRSPRKRDKLEATEDDTDQDS -RLSRLKKILSPDRLKGYSEFVQKTIADLLGSTSKQQGSLCCMEPEWVTKVLADLEADSTEEEHLCKIEDT -RTKRQNFSINNDKFIPITNEELTQYIADKSQALLNLKNSKLPFKLDCMLHKEIYLECVRRYQNTPYFDCI -TLIAKLIKLFLSFEWFQELVLYSKICETFLQCCTEFNRSGIKVKRVRHCNLNLAIALPSNKKENMRCSLY -DNSFCSLSSKFFLLSRRVAVLGAAIPYIVLICSLQCLQHARCVDVVHGEDPDLIRCVLERNASCLDVLQP -CLKEVNGGNFESASRRYVEYCKQSGNFLTRSSRDVFVTTVSGISITFALLLGPAMLLNSQPFNKQIQNMR -FGMLYGLSRIASPKELGKKLSSSCRSIEAYIARLTQQLVVFSCGLNINDNIEAWKRHDLCPDVTLPSLTI -AGTIVSGDRQLIFDIYLVHIYNKEMDNFDEGCIKVLQETLERHMSWEMQLLESVQKFKDGRITDKLKEFR -TMRLLLGLPNLKFGRSEDEGSNKGSDCSSASSVTSSHSIGKTRSFSSGKKIKSVYGRLRSTMKPIITHAG -LEVVPDEMSDYRYSAQDTGLGYRYDAKPESVLKDLKQVIKDNPSHTYGSFELVQAMTEVARTKFPPEAVG -KAQRDITNWQGVSAYTETTSSISEPRTKIVLKDAIKVLSTGEVKKTVKLIRNRLKKLDGSSLPKPERLSE -LTNMLCTVETFTEHQLEEIRKGISAPSKLSFFPWREIITRSLRDVLITNDANMLYCWLKSLASGIKKHLK -PYMPGLRYSKESKFSDHPKLSKLLGVEALSALGDLTKLFGCLINGHLESLPPIPPKEYLYEAWITFIKAV -KFIPEIVEDGYKAIRNCYTHFEELSREFQKLKAIKKEYPDLSFIREEIEVKNLESSFLKEHDHDLMQVIN -MIFALSLCCPWSIHYKSFELLLSQGSAPLSEDQPQEEYMELLRSLGPCDLIITKCSELFEGEAIGPEEED -TFEMLYRYCCAMFTSNDEPITAVLNISEVPVTSHIEESALSTTRSLLAKYGLDRTDLDFKWTLNLIANSN -FEVTKRLTGRTEGERLPRSVRSKVIYEMIKIVKSTGMAILQQHAFSYILNSGHRLFAVLAPKAQLGGHRD -LLVQEIMTKIIHAATETFSRALLATTNDDGLTNQHLKESILQSAFESMQLSHHSHGKMVSETGHGLRFFS -RTFCISGDRTKWGPIHCTAFFSGMMQQLLQDAPDWSAFFKLVMLKNLYRQVEIPAGAIKKLLNSFRYRLR -TQVPVEQLTEDQLRHLMSESTDIWEDNPMMQFLVQVYLSKGKMALECYNHMGQGIHHATSSVMTSCMAVL -TEEVIIAYFQSHMPELSTSVKHAGSSDDYAKVVTVSGNLPSSLFERYEAKFWHHVSRLQNSMIGIARGCQ -MKDSAKTLIGDVLCEFYSEFMIFHRVTPAVIKFILTGLINSSVTSPQSMVQACQVSAQQAMYNSVPLLTD -ICFTVFRQQMFANHTELFQRKYGPLVHGLPSAFGRLYLPMYSNLTSSSIAVEDAESIAQDLESLIDLSTY -LPVLEDPVYQVELPAPKSDDLSTGSSPSLGGNDSEETGSVSSGSTSSFRFSELRRLTVTETEYLKASSLS -KTKESEIDVMEQVQEMYRHHSDYDGWPCLEKLRSSLIIDKRQELLEVLESNPVRLFRYIRSVITTVIIGY -YRSFASEGTEKTLKANLNRDENRIVEDPMIQLLPEKLRRELNRLGLAREEYEGYQIKSMGSKPLAEQIAR -RLITMNCLTEDYQAEADRLKQTLSSRNIIHGLAGGIKELSLPLYTIFLKSYFFVDKVFLDHSDRWNTKHS -RNYRDSSGRPLDGKVVTKYMVWLDAILSSSLTRNMEKTAEPLSLFNKNIKCVGLVVFDDKTKCLDIKVND -LKVFREELRALSIQFSDNNRLKLKVLESSRPLYEREANKVVISKSGLFSAGEQVKIRNNPALVIGFHLSR -EAVLDVKPSKMDLGSLIHDTLKLEQFYTSISEVCTRIIHESRRLEEQRELPTSEEVSDYANTLTLLSRMA -QKSNARIISFHMIKPISTHTESTVSDLISYGTKEGRNLILAESCIETGTTSLKYWRVMHCLGAIGSLNLS -DSSKTDLLVGFMNWVPRLEDGPRPCPMYKHEDTVLEQFKDRSIVSNLFEELPNIKRENERKQIENLVDFV -KDPMVLVAKKPFFGKTVDFNTRGSEGARTGSFTMSSSAGEAMGVFVAGHLHVYLSKESDILLTEVEGHVL -VWQNRLRTDVVTKEQHDYFIEMLPSHSMLPRRMSEGTLKAVEIDKANPRMLRLTNCRGACKVVRIRPHIL -TVRKTPSEARLNEPRLVWGKSSISIIYDEVATEATYHESILSLRRKLDVAIESTNVKPPSQFYSDMKIVL -GRVHFKQEATNTSLALLHYYLVHSAENVHIEFHTKSALLQRLLGQEQQELNILRRLRDADAVSPKPVVDR -TEQLLTIASQIEEMLNKESLPLHCLSEVQQYLDETGNSSIKVDVTTKGLQTNYHWRCSVDTLSASQTQNN -LRSIINTIGMESLPLQLAQFIASAHHWSELNRLGKLAQSEVVACLLDDTVLECLFCTTLYVVQSREQTRT -NFFFPPSSLLGLVRCSEFKIGNTYTVTFLPGNDGGVDIEVRVCSPLVRANDRDAKRRLLQLACTANSLLM -ERIRTYSELRKEVGMTMDHTPTNTYITMKYTQEFSAETTIDKIFTSISSLDEESMGKVKNVSNLACFLMG -RQPAFYSITTVEAVAEYGGEGLATLDDLLESTSSDEAEAKDFDPSSVEFDFS - ->YP_009666113.1 RNA-dependent RNA-polymerase, partial [Chim orthonairovirus] -MANVLDYLDWERKYENYYENNFIFAYRGLFRKRMVQPDGGCFFRSIACFMFDNEDAWVLVRDACLGIAKN -KWEKYPILAQCYQNYDHYNRMVMGNTYWGGSLEAELLTGELNFTIIIWRSSDDVWISNAVKFGSDNYRTA -ANIIHLRNAHFDYLIPVGPAEAIGQNRFSLIDKMDMTMSSIIPAADDEDLNPADLPIADIEGPSAFKKRL -DDELRSLATLKHELFQEKNELRQKKTSAANPDGASSQNEKDLRRAVENNETLPMRVGKVLSSLFCSGVEA -YFNEDVLTLVPKDSTLEKKYVFDISKLGKKMLDTEKKFNKAFSSVVVSVSKELMSNLDSAQLLRTCFPGT -GLSQVPSLVHPQLLMDLAVTACSILISTFLYKVQMKIKRNFIANACSKLNFSKRRLLHLLCNEKSKALYN -EPYKVVQRVCGEMFKLEGKKIELALKLMSPQSKLAFQCIDLETISLGDYFKLLKELEKNDNVTKDFASEE -VKDLHQIVKVIEIILSPKEDLGKKKQLAEDYCNGIPNRYKSRRLNSKDLSNLCIMNFFRKKMIFKFVSLQ -GKAYSGMSVGNLLAYAYNLYLSKENLKFSLEDIEQLSIEMRKLNCLLSSEQKKPVALICLDLYKQFQALL -NELPEDCKSECSTLFTDIRNADSHAAAWKSALRLKGTAYEGFFSKTHNWEYIPEDLKPTLSMAIQTLFPE -KFEAFLERTHSHPEYRDFVPDFFLCRPKLIKKDTVGSEFAPETEACSGAEELAAETSEASRIINKPGKRR -FPLPEVSVQEIRSVKAVLELFKERSKKKGRPLLSDERAGTGDIEENELLIVEVGYQTDVEGKIVADMKKW -KGVMNLMEFLGIETSVMTCADTSSTPKSDWWIDEKYVKLLLDSISHLFKQLQENSPADVTDIAVGNISTQ -KIRSVIRSGTTIKTPITLKEVKEAWLECEPWITDRPTGAKLPDKVIESIEVSLVEGAVVSKSSAESCFEY -LLNNMDKIIAEFEKTKFRHEVNKSDITAEKMQFGWTMEDIKNCTCEDCLSKISEALRTITSPTEKIAYLC -EKLKPQSCEKCCTKELGSYPISSFQRRMPIMSNIKHADMDALDLQHDNSILDKLVRLTLPGKTERERRVK -REVENLIRSIMKHSNLKAIKLPSGQLLINSSLAKKVDKDPSESKSFKTGGNKTLEEDKEHFLKTLSETKL -QNYSQHVQSTIRTAINGLNACSSSRCSIHEVWIQSIMHDLNIDTLDEIILNKIQETFEKRSKFEKKQDKF -VPVNWNDIKSYLTDKATGLEKHEPLFKLDCVLFKEVYFELTKRLIETPYNQCPKTIASLLKIMLKFKWFN -DAVYYSKLCETFLQSCSEFNRSGIKILKVRHTDSNLVIVLPADKKQNMRCCIYDRNFSLLKGPFFLNRRQ -AVLGAAYPYILCICLLQCLQHFRCLGEILKLKDDEVEEISKHSIQLFEESLIMLECMHEGNPHKAAELLT -ERCKKSGNFLSRSSNDHIKSVIAGFNMTFGVLLGDSILNNSQPFNKQIQMMRFGLLNGLSRLSCPTELGK -KFSSSCRKVEFHVSRLYMQLCVFTANKYVEYNLENWLKSDLCPKTRIPCFTIFGTHVNSDRQLIFDIYLV -HIYNKEMDDFDEGCIKVLEETAEKHISWETEVWKNCYIIRNSNNQEEVKDANRRLRLLLGTPNLQRVKED -TESSDSSSSLDEIIDERGGPKPEKASNETSKKEREPAEEEHQARGYTTLSKRSSSSKARSFTSLKKPPVS -MFGTRAMKLKPISLSGSFEVLRDDLRDYQQAITDAGVHHVYRVNSESIFKDLITAIRDNPNHTYGLFELI -QICVELSRSKYPPEALEKARRDTRNWISVSEVTETTSIIAEPRDMIMIKDAMQIITGSVNKKLVKLFRGK -LQRIGINCKSDIKGKTKCSELLDSISGLTEKQKRDIAAGLLEPAKLSFYNWRDLIKKTIKEVLLTSDGNY -VFCWLKSLADMVKKSLRQEMRGLKYGSSGLKTQLFNSRNVVTPTEFDAIRTFIDYLKDCTIDPSKRDLLQ -PSISVELLLSAWKRFVKFVKFSEEIIKEGLEGIFQLSRILPDMQKEYDNLRKLKSDLPELSFSKEEQNLK -RREKELIDQLGDKILLVTNLLFLVCLSCPWCIHYKTFEGIMMRNMAEVDSFNLPKSATSIMELHPDNLIK -LLLIDTDIPVSGEEVEITTKYCMCMFSINELPFSSALMRHAALEYKGPGEELMGRIKSIMAVTGLTDSRS -DFKWTVNLIANSNFEVAKKLTGRTVGERLPRSIRSKVIYEVVKLVGDTEMAVLQQLAFTCALNPKHRFFA -VLAPKAQLGGHRDLLVQETGTKLIHAATEMFSRTILSTTKDDGLTNNHLKETILNTGLEAISQMKMYHGK -QISEVSKVVQFYKVCCISGDNTKWGPIHCCSIFSGMMQQLLKDYDDWSSFYKLTFLKNLCRQIEIPSSSI -KKILNSFRYKNPNLQVDAMTESELRTALAQNLQTWEGNEIMQFLVKNYLSKGSMALNSYNHMGQGIHHAT -SSILTSVMAEINERLIEHYFKKHLPDLQVTVSHAGSSDDYAKCIIATGNLTTSQMKHYEETFWLHMCRLK -NLLAGFNRACQMKDSAKTLVSDCFLEFYSEFMMSQRITPAVIKFILTGLINSSVTSPLSLVQACHVSSQQ -ALFNSVPLITNMCFTVFRQQMFYNHTEYFNRHFGMITMGSLSSFGKLFIPLYSNLISSSTALEDAEDIVK -SCSNIKKVLSLLPITIEEKPKFMYSEQDSAVDKPVTVDIGSLTSDSDETSSLASTPSDSSGASFHFSVNR -VLTTEEANYMKTVEEDLELELWEVCMGQTEGMYISHSDYSVLPIWNRLKESGLSKTSIPFSNLETMRDRL -KLLKFIRSLLNILIAGYYRTFGSEGTEKSVKAALNRDENRIIEDPMIQLLPEKLRRELARLGLSKMKVNE -FVPKPLLCDTLSGLIARRLVTMNCATEDYKSEIMRLKQTLTSRNVLHGLAGGIKELSLPIYTIFMKSYFF -KDLVFMLHYDRWNTKHSTNYRDSSGQPLDNKVVVKYITWLERILSSLVSADFSTQALVDSLFEEQLKGIR -VIHHTDGSTELSIIEAEVSIIESEMKNLAVQFSDSNRQKIKVVESHPAKLELDANKAVIVKSGLFSATDQ -VRLSNSPALIVGNLLDESIIVEAKPARIDPGALGRDRFKLTQFYTSLVELVNSINQLSSEQKRQGIPIDL -NIVNRYANNLTLLCRLVQQTRNKLTSFYMIKGSQVGNEPTVNELVSFGIIEGKYYELSNAGVDTSSYSLK -YWKILQCISAISVLPLSDNKKTSLLNSFLNWKPDIKEHSGGCPLAAREFQMLEEFDGRLLVNVLSSELPN -IKNEQERRSIEDLVDFINSPMELLRKRPYLGTTATFNVWGEGQKDGAHFTYSSSSGESTGIFIGAKLHIY -ISVRSNTLLLEVEKKVLEWLNKRRTDILTREQHSYFLDILPEFKQVPKRSGDGEVLGLKYSKLDPKLFDF -IFSPQSHTKVIKYKKHILSVRKKLAKDIVSEPRAVWGSNSLTIIYDEQTEKTDYHSDLLNIKDMLDSILC -PGKGRIPTVTYTDTRIVLAKIRFSPDLYLKSMIILHHFIEHTPSIAVWEAQSKSKIMEFLLNNPSFKPKS -MSISDQINKATAERIYEDILGDFTKEDEICQKVNEVLERKMLPISAWPEVQSYLDDTGHHNITLNFLRKG -LSDSYSWKLSKVIDSSNLAKTTGLRGIINLVGSEAIPRFLSPLVADGKLLHQSMKVFTEARNSLAASGLS -DQMVDAIVCSTLFCRQNEEPSRQGYRFSVNCLLLLAMERRFKHPNGSREMKFSSDGSGVDLSIRVQAVQP -RELNKSKEEKVIYATGRLIGTNNEIFPTVSSYKELMSKVKQIVPERGSDKGEFFTLEYGKKQCSDCSITD -LVRLCTKDYSIREEHILSVCKVICFLAGYEAGDEDTAQTVLINEDDLTNGQLTLTDLLEAPSTSQNIKIP -PVEMDENASTATFNWDDFDD - ->YP_009666319.1 RNA-dependent RNA polymerase [Wuhan Millipede Virus 2] -MSGLKRLFIGGQADDNIRLLNFPTVKNRIDICLYEIHLLTSLRLTPAHIFYDAIVGVYRSGLKKLSKFME -SDLGSHMSEDDVYCLPNQVTGKWVLDRMAQFSRTAAKLDATGRVSGSKTIRADLLKNNIADYQESIGLLD -VAMKLHQISVGGMTDTDILEIVHELRDKCCDLATKMLKMVTEDGLKCLAINVGLMCAMWRSISTYFPEQN -ERASLSKYNVNDFMFYTMSVISKFGENLSIAPEFDNFIDMKSHAMERSGVDRSLVRIHNANRDLKSMMDT -LKFNMNLIDRLQDNPLLHWLISRSYVKRPLSQPDIVSLQSLIGQLYRDHPEGLPEIIYANLQTIQDLAAK -IYNMYPLSDEVLSKWSSTIAIAMGEVRSSMLSAHYVQDDRLDSIFEQINATSDIQAIYNGVIHMRGFLYE -NHLSCAYGLKMCKDQVTLDDILSEFIIADADRTRQDSIDRLKRLLMTGDTLKKCPDLYMITGNRITLVEA -GWVNSAAVEMKHTQNLSRWVRVLEASNRENVELVVVSVCTDSTIGLWPSPVRPSNVGTTFRFNTSKKAVQ -EDLEFSDYKFFNASDSVRLSIRSLFKYCSTMMIEMGRPIGGDIFGSKYKPANQDGPSVPYVDINKATQCV -SLLCDEILHRPSGLDLSEFKGELMTQILGESDPNISSEEELFLLSMSEQYKTANIDCEQYDNMSSAERAV -KFQCLRGNLGDLIYDSDIKDTSAPIKDNTSVDASIKPGDTIHTWSKRLRGLTISNNSTLRVYSGRQDNDK -GCSVCRDKKGIVQQFDSITSWMHVNGSSTLSSATESQSIPDHNPMSVIGDFLITPFPTSTKEHRLMDKVN -TNIVSNFFSAMLSDCYVYRRSKLSYCKHTTPQIVLKMSDHSKTISHQHIVAMPVNIKVTSNETESFYPDI -ASKTGSELIKNLLQSLPSNFTIDSVTIDMTHMSKICPLEARRLIDIEALTNTISEIIKSKFHNTTYKEFT -LSFKKGMSGHMGGKLLTKVGIFDTMSNNKPSNSKGSTPTVNYGTFQEAHIKALGVRKHNKDIVPIEGEHL -QMPITAVYATLSSMRMFCTLYRNGVAMGHINVSNSKRLLSFIDELLKSIIASYEKAVVEIDNDLLCTVLD -HTMSVDVLPITIGLIWNVFNKLDDLEEGDIQPHNLAELIDMAINNMISTMFVNTDCDLIDFARLTLILPF -LKNQFKVWVSHSLSAFGNQSQLDDEDPVYQSESATNHPISRRLILSALIGGVGLRKITKSREEVNLEKRA -LDRLEAATTAYHPIEGDLKEIYSDSLSSHSSHKDIFSKGILLRESTKEYKCKCKNPYIHDDELFAYCRKT -FQRNKLTGIKSIAKDILSPESIQTAFESQSNISNELLDTAKQFMIDEMSEYSCMQSIYIKKLIADAFNDC -AGEFEKGCIKSSFIKEMNCTLVTNFTNDRSKKCQIWIINNSTGGIELKSTISQRTAKFWASLPYMILSLM -CLIIESLSEPGEPILNYQATLLQHKDLLIGGFNILLPAITKCSQVINKSMQQIRFGTMHRLGYFGGPDKI -LKKMCIPERSMCAVMLNELYRLTFVIHTQSISRLTSEWMPRDRSGSSGVPLMVLGGGLSHSDQAVQTDMY -VVHMYNKELENFDRSQVENFNKFSESHIDWELDIKRSYESGDYNRVRCLLGLPTTLSISGEVELVNRCNP -GHSMSSAKYMTLCGKMFLTTTSRDEIASTLKGKIAHPDIGDITETTGIIKEPLLNLNIRSLVTQGLSGYV -SKYKRLKQVTSIEDAVMKSLAVSDVNDSLGFLSTEDLRLVKDSIKDVKNILVLQPNKMFDEMVSGSEVGV -VSIYQQMLHVSKEFKQSRGGSKKLRAKKEVSKTEKDIGVESIAGNELADTKINVNIKDLRTSMLELVSSK -RLNEVSDLVGKELKKIEEVIQGKILRPDVLSKLYTYLSQGTVNSDSASTIRLEHVRSAIKGVYSTDRKNV -ADMVLKEFIQQITAAWEDSNNPNPLNFSYEISNLIEFEKLLYSEIADSFVEFGEIICSLAYIYRHRKRWG -LDTTVRSTIDQLGETVYDQFESWTYACLPSFSMSGDNKPCLLMCGLLMLIQGHISTSLIGNNNDFGQYIK -GMTFSAMATNGLKLTPLIYDYSLYSDVSVSSIESSLKRSANREFPRSVRSKVIFCMIETLSRYNDSDVIR -IATKILLSMIHQQYAGLASKEQLAGARDLFVLSVNTKLCTKVMESFSRAILDICPDDTLLHAEYKEQHLN -AGLSQKKLFFKGEVQSYTYACAAIGDNTSWGESMQPQHFLNYLKPILTCAPDWDGYVRLFLTHHSNKFVE -IPNNITESVINLIVTAKTLSIDRKSSPAGQLIKAIGITRQIDIEEAFKTSKNPRPNMNIIKNWYLSKGLN -YMRSYNHMGQGILHATSSLMGVCVNRLISVCITSICKSVDTSVDVMDFTHVGSSDDFNNNMAFRSSEMKS -PSEWRNITSRISRIIIILMRASLMKVSAKFSLSALTSEYYSTFCLGDIIQPAIIKFVITQVMPVSSGTMS -EMMQETWNLCQQQITEGTSVQMLYAFQYCRWLSHMWACKRAIATSEKLTDNALGSSLDIHNKKKQMMFLS -LTGNILFKPEFLIGGSLLQNILFYCECNTHRSACRVHGLGNRNFAESIYWISNVNVSLDESMKLFDPVTP -PMPLTIKRALSKVRSEKRSMIDDFTDRGLVVQDPVLQNVLMYNKSTTVTGNSNRLKQALSSNKVAQGIAG -GSRGCTGHRLRSSILGYYVATDKLKLPYTDIVLSLKDAANEHPLTSAITKMMPSRINTSKTVSRIRYIDL -MKMIYLSHSQAATHDVVEGISDCLDSLSPITVSMMSQLNTGEIEELHANPKMVFNRIYNQKGSTTYNDPA -SVVGYSVNPTLMSDLGISLISSENLERDMSILKPSIKMINDITRLNQIISTRGTTGDLESVDSPYNKEDQ -EEDTVIVSTIKKLQSFCELDSTGYGSTQLDEIKLDVSKIDIPGLIEQSIQKCFSKMYEDRVHAIPMHSRV -LGPSSWDSQVAQYYSLNSHPGFNLKITHAPSLISQEPKTLNTFIIYWSLLTTTSLTNFEVFSHLQDILAS -PATDMPHMVMKQVMISEISSLPIKMQAQFYNILDFISNPEMVGRSMMREVNVKHKHVKIGFSKGLITEYL -GVHSTVKICEMQSSTILVCSRINKTDIWRCIDLHIRKTFNKRRDDVVTIDEITDLMRKLEIPNNSDIMGS -DKLFDEICIALDKSGRSVSVSDGKPNLLIGPTGIPRRFRANRDELNANYSMSQGCKGGKLVVKGHFHGID -MDTVPVSTMLSDMIFSSDADSRQKSAMHSPRGKYSQELLSIRIPKFGSKKIMMAMIDKRPDYEFKLLIKP -VQSFKTLYQAMTGMTDSKVDEHNRLYGRLGKAGVSHETLKDIKERSKMSEKVYVEESPWPFQRNSDVIRE -VAQTFSRGMDILSKSALRFLIDHRLLLTSWQGIFEDAEETSIEFIINMHLVRTGQMTEVIKGVCRADIKQ -QYVDMVNRSNSSYLVLQVRTVSDFYSFIGVVGKFNRHLKKVSQAQMTEGSVVIQPKLYSDSPNLKLVIAN -IPNKFRTSYLEAVLSEISFRLGYTTSLNFSLDAIKALGESRIDRSIIEQLYNSPDRDMAGSAAANLMSSE -DDISDEDYNFDDPDDILHREEENDELIHEFSQFSNISVEEIIAELESNEITRDEILKLLNKI - ->YP_009513191.1 RNA-dependent RNA polymerase [Thiafora orthonairovirus] -MDALERLDSIVWEILGESFSRAFLSLDLHAFFRVNREVGDGNCFYRALSRLHSKDKTSDEHLYYRLLIPD -AAQRYFDTEQEAVGLGLTKEEYASRAILDGEWAGSLEASMLSKFLDITIIIWIIDGSGTITSAQRYGNNK -PSKAYNLCLIGNTHFDSLTIQTSNIQHRSQLSLMGRLESIEELTSLDEESLFSTHHRDLSTTVEVKTLKT -KLFPQKTVLKMIEKSQGIPLRIGRIVELLFSCRLGFSISPGLLKIAILTEETDSIFDIRKLGHHLLTNEK -RIKKEFSNCHLKIDDDVWPHLDESYLLRFAFPGYGLHRFIPMLLPSIIEDTLKVCLAILLSSFLYKSKVR -YKKEFIVNCCKSTIVSGKRVGRSIKRTTTADLYNSPRTILKNCCEAVFGKLVVKIMKYIKAMNGVNHLLL -RNLDFSALSLPDYLRLMKTLAEEDLKDHNYISKELASLNKLNADLKVLKEDGDWEGRAKEDLIARFFEEK -NLLKFVGKTGKASGSFQIGNVLAYAYNLYLNKDTLNLSDEDVEQISIEIRKLQLLQEGETFEPVAIICSK -LEAFFEKAFSRLPEHCKSECRVLFNDVRNAVSHSVAWKHALRLKGTLYEGFFSLQYGWTYIPEDLKPTLM -MTIQTLFPEKFVQFLEKTQLHPEFRDLTPDYIMTQRLMMEGDNPKLNTNSQLKILEGLQESVETIPVGKN -IFPLPEVAVDEIRSVDSVIEKIRNLAQRSNSRQNRFHIEENNVANSQERSFSVHQLLLVEVGYQTDVEGK -VLTDTVKWKEVLKLLAFMGIKATLIVCVDNTKTHVNDWWIDEDYVRLIKNSISHLFSKLSKNTPVEVTDV -VVGSISTQKIRSFLKSGSSTKTPLSTKDVQETWKAMKNFILERDTGVILGNEYSSPMYMGLVEGVTISEE -GARTVIDLLKENMVSITDEFERTRYAHEVNKKIITSEKLLLAWLKEDIKGTRCEDCVHEIITSSNGAITN -KSKLNLLARACTFASHPACCHPITVKINNRSNFYKRIPDIDLISHLNLKSLDDEEDRITDLDRLVRLTIP -GKTEKEKKIKRSVDCLIKLMMLKSSIECIKLPTGQIVVLDQITKNNITRSTESDRRKTTNNREDTIVKNL -SQVKLAGYSDYVKQVIGSSIKNMDKQQTSSCRLDKAWLEKLTADLNVPMHNEDIIAKVKRTIEARKEYIR -NNDKLLVRSPHEIAGYLNGLKGNLCGEPKRKIFTVDCVLFKEVVAEAMLRYQSTAYQGCVDHMVMLLELL -LEFTWFQEVLLYSKICETFLRICTEFNRAGLKLLRIRHLNINIGVKLPANKKQNMQCRIYDSVSKPLTEV -FFLNRRQAIIGAAYPYILLVLYMQVLQQQRCIEELGNRGSHVQGIRNKSSKLLDVFANEAISILNGQFED -AFKERLHLCRSAGNFFSKPSYENFINVISGLNLVYGVIMKDSFLANSQPQNKQLQMLRYGMLNGLSRLSC -PSELGKKFSSSCRRMEDNLSRIYLQAAVYYSSRDIECNIKAWKETDLCPQTTIPCFTVYGLFVNSDRQLV -FDIYNVHIYNKEMDDFDEGCISVLEETAERHMNWEMDLEESWRVKDQRSCRLLLGIPNIHRSSRKKEAKF -SDDGSDTNTPKDSCLTRRSSSSKRSSASSLINRYTTIIKPIEIDSGIFIESDSLRQGRAAATGGPKYYSY -TPNKASVLKDCMSIIRKNPNYSYGSFELIQAITEFARKKYPEESIMRAKRDPKNWVSVSEVTETTSIVST -PRTQFYVKDCFKINLSNQNKKISKLIRNKLKKLGSLFSDNDVSRKDCSILLSSVDGLTEQQKKDVTNAVF -EPSKLSIYNWSHILTKSIYEVLLTHDGNIIYCWIKSLSLMVKSRLRKHLSFMGVEREDIPDEGFFAKDEI -DSLIEVRKLLVCKESDEISSVHIPGIIKAWIKCIFIKPIENIYNYSLLQKMLVAAEDLYAIRLEHMLFLR -DKRDNSYSSFIKEELTLKGKERDFLKKYSETIVKSVNFLFFVAVSAPWCMHYKALEAYLVKHPEILDVND -SETSTNGILSMTVGNIFFELYKDFCVKESVNVDKRKMITLRFYVRYLTTMFSSNTEPFSASLNDDEIDMT -GSTDVEEKLLSQTKRVFAKMGLSDKSYDFIWTVQMIANSNFNVCKKLSGRSEGERLPRSIRSKVVYEMVK -LVGESGMAILQQLAFAKSLNYNHRFFSVLAPKAQLGGSRDLLVQETGTKIIHAATESFSRTLLRTTNDDG -LTNQYLKETVLNYALDALATMKSLDGESIKNSTCLVNFYRVVCISGDNTKWGPIHCCSFFSGMMQQLLRE -HPDWSAFYRLTFIKNLCRQVEIPAASIKKIINVAKLELDPKQDINSLSEKQAQELLSDSAEKWNALPYVK -FLILTYLKHGKLAMNSYNHMGQGIHHATSSILTSLMAEVFEDLCVHYFKTAFPNLTVNVNHAGSSDDYAK -CIILTGTVDREQYELYDAVFWDHVCRFKNYTAAVNRCCQMKDSAKTLVGDCFLEFYSEFMMGNRITPAVI -KFIFTGLINSSVTSPSSLTQACHVSSQQAMYNSVPMLTNIAFTLCRQQMFFNHVEAFIRKFGPITLGSVS -QFGRLYCPKYSNLVNTSVTIEDCESIVSACEAINKWDMLFDTAAKSRVEEELQKKHEEETTLSSETSSLK -SGEQKSELTFIRRRALTEDEVRFLKVSSEERRYTDAQAVEDKLKVYYRDSQDQNPKNTEFILDSVLCNSC -TWIQKGKEKGFLETAGRIQMLLRILCFGHYRSFCGQGIERQVKTSLNRDENQVIEDPMIQLIPEKLRREL -ERLGLSRMSIEELLPRGLNCATICQAVAHKLISLNVSTESYTAEVSRLKQTLTARNVLYGLAGGIKELSI -PIYTIFMKSYFFKDNVFLDLTDRWLTKHSSNYRDSTGKKLDGKIVTKYPHWLSVFMNCLLAMDRTTVLSD -KSLFNDSLKCISVTRNLNEQRSLTLIQSHLAVVSCELKHFILQFSNLNRRKMKIVESRPPEYEMEANKVV -ITKSSLFTAGDGVKLNNNPAVVVGFLLDESSISEVKPTKVDFANLMKDKFKISQYFPSIEAVLDSLKKES -DLHLSISSTPDLQIATKYVNYLTLLCRMIIQTNSTLTVFYMIKGNKLTNEPTVSDLICYGIKEGRHLKLP -DAEIDTSTYSVKYWKIVQCISCIGQLPINDFDKRDILFGFMNWKVNNAGDVNCPISKEENSVLSEFEGQT -ILHVLASELHLIKDTSEREALVNLVDYINSPSELVKKKPYLGTTASFRTWGSGNRDGRFTYSSRSGEATG -IFVGTKLHIYLSNDALSLLDEVERNVQGWLSQRRTEIFTVEQHEAFINLLPSLSEFGSKGSEGKILSVVV -DRKNPRFLKYTDPKKNAKSHIVKVKKHILTVKKINHVEFESDPKLLWSKSGLSIVFDEVATDITYHERLS -IIRNMLSGVVGERPLQSFFQDTQIVLSKLKFSDTILMNSIALLHSYLLHAPLDAFNKLGTKETVLRTYLK -DKQVIQLNCSIQEKILSSEKLMFTKTTPYESDSTVLSSVSKVLSENMLPIDSWPEVQEQLEAQGLSNFLL -NFKPEPTKGYLMWNLQPNITVNKLVISDIKDVVSSVDSGVLVPAFLAFLFNPSLLKELTSVSSKALSALS -SLKINSEQVDRVIIATLYCFQTEKPVRKGLLFKSGSLLSLCRKTSFKIGSRIEVTPVSNLDEVDLTFKIR -CADPQDSLLSKEEKKAKIVRNFENSLRGILFDYISMKEARNVFPDFRLELDPTGTKLAFTARPWDNKKFD -YLGLMFEGKDRNDECDLIANLVLFLLGCKYEEKTAVVNTNADNDISLDFLTDDFGLQESDLEASRRDSHP -NSTGFFSDDEY - ->YP_009361838.1 RNA-dependent RNA polymerase [Keterah virus] -MARVLENIAWEQVTQEFSTAVCGFPILGAFTIDPMQPDGRCFFRAMAKFMFNSSDEWLIVKRACIEYARQ -HWNKFLVFTRLYQTPADYERDIMRDDYWGGSLEAEVLSDLYNLTIHFWVTNDHQWIHHVQRWKNNAPHMS -INLLFNQNHFDLLNLIGFNEDEEALPLMPLEEKVDIAMRSVVTESEEVSEPSFLRDISVDESTSTFKRNL -DLELMEMANMKELLLTRKGNKRNRQQSSDMKEKDFRKAVENGKQIPLKAGRILNHLFSVQIEGVNEGELL -ILYPKDFNHKRPGAFSVTDLGHKLLDGEKEFSKSISKLSLIISNELMTYLNSSYLIRMCFPGTGLSQTPD -LLHPDIKIEATILTCAVLISSFLYKSSNDVKRKFLTLACEQTGINCNRLFKEMLNYCNVEMYESLYKIVQ -HLSGIFLRKQVEEVSEFLKRMGPQSKLALQCINLESCDIKQYKRLLQELSERDMNDVDFNSEEIKDLHDC -IELISKLTNAGKPNNELKAEVKEYCSHVPNKRKLDRGNVKDMIGLCIIAFFMKKMIFKFVSLQGKAYSGA -SLGNLLAYAHNLYLSKDSLNLTIEDLNQLDVEIRRLNTLMSVDMKKPVALICMELERKFNKLFDSLPEDC -RHECETLFTDIRNAESHSSAWRSALRLKGTAYEGLFSKYYNWSYTPEDLKPSLGMAIQTLYPEKFEMFLE -RTHLHPEFRDFVPDFFLYKPRVIKEDTFKPPAISEGINTTEENSGKDSTDDASVLKLSTKKRFPLPEVNI -QEVLSTHNLYKRFENRGKEKNRPVLTKTTGQDVDENNDSKVNELYLMAVEVGYQTDVEGKVISDMKKWDS -IIRLMKHIGVNFSVVACADSTNTPKSDWWIQEDMVQLLLNSISHLFKELQENSPIDVTDIAVGNISTQKV -RSVLRSGAIVKTPVTFKELTETWLVLREHIINRPTGAVLDKFTENAIEASLVEGAILTRDSAEDILEYTI -ENMDKIIGEMQKTKFKHEVSKNQKSAYKLLIGWMNEDMLNFRCDKCLKCIQLNLSKIENEAEIVSYLAHE -LMPTEKECCKSPVNAYEVSSIQRRIPNLVNIKHKPLIVNEEKLDNNEKTCILDSLVTLTLPGKTEKERKI -KRAVEQLIRAIMRHSHLPAIKLPSGHLLLDHNLNKPIYDEDGENKSFKKGGLKSLEEDKDHFKKLLSENK -LQSYSEHAKTVIKLAIENLDNCKGAKCSIGQMWVKNILYDLKSDTSDEVIMQKIQEGYEKKKNFASERKF -KYRPIGWEQIKEYLLTKKELYGGMPTSIFSLDCILFKEVCHEVLRRLANTPYQPCIDYIVELLKLLLKFQ -WYQELVYYGKTCETFLQSCSEFHRSGIKVLRIRHTDTNLVIALPANKKQNMRCCIYSKDFTLIKGPFMLN -RRQAVLGAAYCYIVPICFLQCLQHYRCVSELEILDQNIMKDILTRSEQLHDLLLETLEMTYNGVFETAKT -TLLKFCKKSGNFMTRGTRDQFICCFSGLSVTFNTLLGDSLLNNSQPFNKQIQMMRFGLLSAISRLSCPKE -LGKKFSSSCRKVEFHVSKLYMQLIVFAANYNVETNCDNWVKADLCSQAELPCFSILGMFINSDRQFIFDI -YLVHIYNKEMDDFDEGCIKVLEETAERHAAWELSLKRNLEISQQGKQKDKTKERLLFQDDNSKEVKLAQR -RVRLLLGLPNIKKMTETFIDSRDAEDGEHLSSSSRTSSTMSYTSKTSRSSVKSARSFVKKRNPPTSMFGI -RASKQKPMSIESGFVITRDDKRDYQQAITDKGLYHEYKANKESVFKDVITIIRENPNHTFGSFELIQACT -EVARSKFPPEAIDKAKRDPRNWVSVSEVTETTSIIAEPRDFIFIKDAYRIIIGNENKKMVKLLRGKFQRL -GMSCKSEGHDKVHCQELLSTIPSLTDKQKDDIIKGIINPSKLTFYNWQELIKKGVNEVLLTNDGNYIFCW -LKSLSQMVKSGLRGEIKCLKYGPMLQKGRISSKSKILSSEEHESVKKFIEFLKSCTKGEVTEDITKSDIS -ITSLILSWVKFTKSSKFSKMIILNGLSSLENISERLGKLDQDYNTLIKLKKELPGISFSKEEILLRQGEK -KLLVAHDKDIMHLTNLLFLICLSCPWCIQYKTFEAIMMRNMAEADGFNLPRSGTTISELHPDSVLNALMQ -ETDIFLDEQTVSLCTKYCMCLFSINELPYASALNSNEVLTYHSPNDQLMSRVKHIMAVTGLRDSRSDFKW -TINLLANSNFEVTKKITGRSVGEKLPRSVRSKVIYEVVKLVDNTEMAILQQLSFTYILDTNHRFFAVLAP -KAQLGGHRDLLVQETGTKMIHATTEMFSRTLLSTTKDDGLTNSGLKESILNAGLEAINTMKLNHGKETFP -QSGQFQFYRVCCISGDNTKWGPIHCCSLFSGMMQQLLKDVNDWSSYYKLTFLKNLCRQVEIPSSSIRKIL -NSFKYKNSDVKVDELPEEELRDMLFQRIDTWNDNEIIKFLVANYISKGKMAINSYNHMGQGIHHATSSVL -TSIMAHVIEILIKRYFKKHMPDLEVSVTSAGSSDDYAKCIVAFGVLNRTLYNHYEESFWEHMCRLKNLVS -GFSRACQMKDSAKTLVSDCFFEFYSEFMMSQRITPAVIKFILTGLINSSVTSPLSLIQACHVSSQQAMYN -SVPLVTNLAFTLFRQQMFYNHTENFVRTYGHLTLGSVSSFGRLYVPKFSNLIGSSVALEDAEEISKAASN -LIKANIHFPETINDSSPSSKESEDSDSVSNVITDSGTEDTSSIGSGPSESSAASFHFSLKRGLNSTEEDY -LRCLDQCLSKDYLENIYRHLTMMYLDNDDYDEECYYAKIIGSYCVKDNEYLGDESRSPEIILMLLRSLLI -VLISGYYRTFASEGTEKSVKASLNRDENRIIEDPMIQLLPEKLRRELARLGLAKMEASELIQQPGPSDSL -SSLVAHKLITMNCATEEYRAEVMRLKQTLTSRNVLHGLAGGIKELSLPIYTIFMKSYFFKDTVFLEHYDR -WNTKHSQNYRDSSGQQLTGKVVVKFTTWLDRFLSSTFTFDNTQCALFDSLFDENLKFVEIIRYDDGKINL -CYQVSELKVLEGEMKSLAMQFSEVNRQKIKVMESGCAENILEAHKAVITKSKLYSASDSVRLTNNAAIVV -GNLLDEGSLMSAKPSKIDMGSLGRDRFKLSQFYSSLVELVNEINNLSEALKKEKRVINLEVVNKYANNLT -LLCRLVQQARSKVTSFYMLKGSTTTNEPTVIELVSYGIVEGKYFELKDTNADTSAYSLKYWKVLQCISAI -SVLPISDSNKTNLLNSFLNWKPSVLELSERCPLNKKEKRVLEEFNGKTLLDLLASELPSIKDDKQRNNLE -DIVDFVRSPLALLRKKPYIGVTATFQTWGDGQKDGRFTYSSSSGEATGIFIGTKLHLYISEGSQALLLEV -EKKVLAWLNKRRTDVVTQEQHYYFIDLLCDFKHIPKKSTDGIIKGIKPSKTEPKFLEFYDPKGEDKVVKI -KASILTVRKCGIKDIFSEPRLVWSMNSLTIIYDEQISKASFHSNILEIRTLLDQALNVKEKTVPEAVYTD -SKITLSRTKFSSDLFLNSLLLLHHFLEHTPSSAIWESQTKSEIIKFIDSKDGGKGNLRSIADNLAKSTVN -MITKDIVLEGAEEEKICQALTSALEKGNLTMNAWPEVQTYLDENGMQNITLDFLQRGLSDSYSWQFKNTL -IKSGPARLGGFRGLVSAVGAESIPRFLAPLIADGKLLSKALTCFVQARNYLSKSGLTDLELDGIVCTIIY -CTQTKSKVRNEHTFSPSTLLKMSSTKSFKSLTDRYTISFEVVDEKIMVVCKINTVKMEEARKSKKERIQL -TKGRIVTFYNKLFPPVPNFETVIKVHSTVFQDNPTSEGEFFGMQLTTRHADRCSVAGLWELCCPGCQWRK -TDMNVVESVISLLLGVEDNSGMNRLDGEIPLESEGIQQITFADLMEEESVSVQNVFLDSQYTSGDVSFDW -DG - ->YP_009507850.1 L protein [Hazara virus] -MDFLEGITWDSVSDIQSVSNPSFTITDYFEVVRQPADGNCFYHSLAELYIPNKSDHAYRLVKNELREAAE -KYFPTEPEAAATGMRLDEYLDTALRDNEWGGSLEAAMLSRHLGLTVVIWLVDGSNRVVGATRFGKGSLKT -ALHLLHSGLTHFDALRLLATEEDPQQETMTLVEKMELVERFTLTEGEECLQEEELLLDSETDTAAPEEPS -TSEPRLRSQAILLHRLVRHGENIPVRVGRVLDCLFNCKLCVEVSQELLILKPDRKEATAESMSLRQLGHK -MLTRDKQLKSEFSRHKLYLTKDLLDHLDVGGLLRSAFPGKGLERNLSLLHSELVLDICTVVLGTLLSTFL -YGSNNKNKRRFITNCLLGTSLSGKRVFKSLSKLTSNLLYRSPRRAVSIICNDLYGRLIQKLSNCFALMNP -ISLLALRNLDCDNMELKDYIDMVVEMSKLDNSDVDFTHREIADINQLTDRLQILAGRKNPDVLLEWYKKE -ELHKRALRDIAGAQEHLISDFFRRKDIMKFISTSGKASSAGSLGNVLSYAHNLYLSKESLRMSSEDVTQL -LIEIKRLYKLQGDQSVEPIALICDRLEDSFRRLCRELPADCAQECVTLFEDIRNSTSHSTAWKHALRLKG -TAYEGMFSRQYNWRYTPEDIKPSLTMLIQTLFPEKFEMFLDRTQLHPEFRDLTPDYALTQKVYFKRNQIV -EVVSHQISIGETLDESVDAIPLEEKKMFPLPETPVGEVYSIQSILKNFQDKIDRCRDDSKASAEEGKASD -SAGEQLDYNSRIIIDKNNIEISDEEELIRRQLLLVEVGYQTDVDSKITTDYKKWKDILRLLEMLDIKCSF -VACADCSSTPSDSWWISEDKVRLLKNSISHLFSCLTKNSPSDVTDIVVGSISTQKVRSYLKSGSATKTPI -SSKDVQETWARQQEYIINRPTGISIPKRLADAMKQGFVDGVVMSADSSKECVANIKKNAERLTDEYERTK -FKHELNYSRVTSEKLLLGWLGEDLQGIRCDNCLHTIKETVEQMNENCDRLEYLASSCLLSNHCSGCHPSG -IALNNQTNVQKRLPEMGLLKHSENKGFEDTNEAITDLDKLVRLTLPGKTEKERRVKRNVELLIRQMMQQS -GIECIKLPSGQIVTHRLTRKNKQAPSTQECERIEERVTKLKKELSEKKLSQYSKHINSTIAHSLERLDRQ -TGSRCSVPKEWLEKLLRDLKVPTKDEDILIGIQRSMQEKVGFTVNNDKLLIRDEDDLVRFIESRSKSLLE -TNEEGIFQSDCVLFKEVVAEAMCRYTSTPYQEIPETLVKLINLLCKFVWFQECILYGKVCETFLRCCTEF -SRSGIKLVKIRHCDANLAIKLPSNKKENMLCCIYSKDMELIKGPFFLNRRQAILGAAYPYILITTYVQVL -QQHRCLEVLNNHGPRILENISRCTKTLLETATKELSFTLRGLFEKAYETRTKQCQLGGNFLSRSSRDHFV -SVISGLNLVYGLLIRDNLLANSQQQNKQLQMLRFGMLCGLSRLSCPKELGKKFSASCRRMEDNVMRLYLQ -STVYSANRDCEMNVSNWKLKDLCPEVTIPCFSVYGLFVNSDRQLIYDIYNVHIYNKEMDNFDEGCINVLE -ETAERHMMWELNLLETLNPNTKDDRTARLLLGCPNIRKCTGKDGRKIRPLLHDSESADSSSESSTISGRR -SYGSSKGKIQSMFGRYNSNKKPFELKPGLEVSNDPLHDFQQVVTGGSAYSEYSPNQDSLLKDYIQIIRSN -PGYTMGSFELIQAVTEFARTKFPAEGIEKARRDPKNWVSISEVTETTSIVATPKVHMMLKDCYKVLLGTE -NKKIVKMLRGKLKKLGAISTDVEIGKKDCLDLLNTVEGLSEEQKKNIVNGIFEPSKLSFYHWRELIKKDL -YEVLLTDDGNYIFCWLKTLSSAIKGRLKRDLRFMNQNSQTSSQTDLFSEEEYEELLKMKQVICSQSDAED -ELNVDVLLDAWVKCAHKPRDASSIINEGMSRVLPISEILFELRMQHLELTKLKKDNPSVSFTKEEVTVKR -MEKQFLAKHNLDIMHLTNLIFYCALAAPWCVHYKALEAYLVRHPEILEFSGSAPTESKVLDLSVAALIIK -MTENYRDDTSDGVEVKVRFLVRYIITLFTANGEPFSLSLSDGGLNEDLQKTTDEKLLHQTKVVFAKIGLS -GKNYDFIWTVQMIANSNFNVCKRLTGRSTGERLPRSVRSKVIYEMVKLVGETGMAILQQLAFAQALNYDH -RFYAVLAPKAQLGGSRDLLVQETGTKVIHATTEMFSRNLLKTTKDDGLTNPHLKETILNAGLEALQTMRL -VDGKPAAEGSSLVTFYKVVCISGDNTKWGPIHCCSFFSGMMQQLLKDVPDWCSFYKLTFVKNLCRQVEIP -TASTKKILNVLRFYLSDKGGVERLSEEEIRNRLCETLDLWGGNDIVKFLITTYLSKGIMAMNSYNHMGQG -IHHATSSVLTSVMAELFEELTVDYYKKHYPNLSVSVTHAGSSDDYAKCIVVTGLLSKDLFDKYSETFWMH -TCRLKNFTAAVQRCCQMKDSAKTLVGDCFLEFYSEFMMGYRVTPAVIKFIFTGLINSSVTSPQSLSQACH -VSSQQAMYNSVPLLTNATFTLLRQQVFFSHVEDFIRRYGLLTLGSLSPFGRLFVPTYSGLVSSAVALEDS -ETIARSSSTLVENSIFLETSSLSIIDQISSSSSSEGEGFSTASSTTVESTHSASSSSSFTFELNRPLSET -ELQFLKTLRDNSRQTSSEHIQDKITELYSTSKEGPLDKYYLLYSSKIVDSCSWLKKGREKGPIECAKRLQ -CILNVLIAGYYRSFGSDGTEKQVKACLNRDDNRVIEDPMIQLIPEKLRRELERLGVSRMEVDELMPATSP -DDTLCQLVAKKLISLNVSTEEYSAEVSRLKQTLTARNVLHGLAGGIKELSLPIYTIFMKSYFFKDNVFLD -LDDRWSTKHSTNYRDSTGRLLTGRVITKYSHWLDNFLNCKVSVDRVQEVRDCSLFNPDLRCVNLLIGENK -VRELSIVVSHLKVFAREFDNLNLQFSDLNRQKLKIVESRPPESELEANKVVIVKSKLFSATEHVRLSNNP -AVVMGYLLEESSISEVKPTKVDYSNLLKDRFKLMQFFPSVFSLLRTLQVESRETEKLGDPVDMNLVSRYS -NHLTLLCRMIQQARPSLTVFYMLKSTHLATEPTVSELVSFGVKEGRYLRLSDSGLDASTYSVKYWKILHC -ISAIGELPLSPKDKTTLLMSFLNWKVDLESCEQDCPLYKNELSVLSEFSGQVIINTLASELSSVRKDGER -DSLTDLIDYVNSPSELLKKKPYLGTTAKFSSWGDSNKSGKFTYSSRSGEAIGIFIGGKLHIHISEESTGL -LCEVERCVLSWLSRRRTDIITKEQHGQFLAFLPTLSEVAQKNRDGGVQGVCVDPSNPRLLRFTVAKRQSP -VIKVKKQILTVKKQITYDAESEPRLQWGHGSLAIVYDECETQTTYHENIIKIKQLIDNTVDKGKILPQSV -FSDTRIILAKIRFKSDLLLNSLCLLHAFLRHTATYAVMEVESKSQLLEKFLRSGGVQFRSCCTSIKDKLK -TKELDGLITQTLDEEVAVCDELNKVFSEAQVPLSSWSEVQTYIEEVGFNNVLVNVDKSPAKSELLWRFSL -DSHVSNLGMIRDLRSLVGYVSTEAVPKFLLPFIFFEGLLVSIIGKCKTLKELINSTGTTDRDIDILLCLI -LFCFQNDSFAREGPRCSASALKQLQCCNVVRVNNRINIELVSEGSNVSLKVVIVLIDATESTLERSKRVK -VAKRNLSSSLGLMFFDKSIDVTGLKRVASRVKVSSDKEKEFLDFVLPSQSASEVDYQTILDLTIDKAKKG -RTVHGIEDLLLTLMGKAGTPKSEDEEDLIQDSTEGHLCLEDLLEDTSVSDPRAESEDEEQIMKRGFKFNW -DSD - ->YP_009449567.1 RNA-dependent RNA polymerase [Kasokero virus] -MELSGQLVWEELYEGSYSNVNKFQFNNYFDVDEMPGDGTCFFSSVSKYIFNTTEMWKTVKSTCANYARAH -WQEVMEMDRRYQSPETYIEDLMNDQYWGGSVEAEILSKALNMTIHIWVSGDGVWVNNARRWGADQIHASL -NLIHVHGGHFNLLLPKQDQVHHSQEQMTYEEKVNVTVSAILESDGDAETLASELTEKKSTFQEELDEELK -MMAELKHSLFEKRHGRGGDTSSDDSKLSKSELDWRKKVQDKKNIPVRVGKILNNLFNAPIEAVLEDSNLL -LYPKLQGKVRAKAFDINSLGHKILDGDKAFLNACTRLLVVVTGELMTFLDASYLLRLVAPGSGLSQTPGV -VHPGVKVDMCLCVVSILISSFLYKAKPKLKKAFINSANMGSSIDKRQLLKGLLKLSNRTLYNTPFKLVQE -VVMPLFREQVGIIAESLRLMNAQSKVAFYCLDLNGMRRDTYFKLLNELKTQDLEDKNYVSEELKELHNCV -SLVVKLQRLDNKKQVPADLVEDVKQYLGSDGRILRKNSSKPRSSLELSQECITVFFRRRMIFKFVSLKGK -AYSGASIGNLIAYCHNLYLSRESLNFTEEDTEQLSIEIRKLNELLSTTPKKPIALICAELYKPFQDLFAA -LPKDCSEECQTLFEDIRNSESHASAWSSALRIKGVAYEGFFSLTNSWRYIPEDLKPTLGMAIQTVFPDKF -EKFLERTHLHPEYRDFTPDYLMCRSRIFKSDRLNRSNVAVSRGKDKGGKKKETTVNKDQDDDAQSAVLLS -HKKRFPMPEIAVQEVSSVSAVVDRFKSKSSEKGRPIRQEESRPKTESMQEDIEVDELLIVEVGYQTDIEG -KVISDIEKWKGVVNLMSHLGIKVNVLTCADNSQTPRTDWWIDEKYVRLLLNSISYLFKELLENSPSEITD -IAVGNISTQKFRSVLKSGSVVKTPVTLKEVYEAWKVSKPHIMQRPTGSKLPDSIAEAVEVSLVEGAIMNR -QSAEDVLLKVEKESGSIIKEFEKTKNRHELNKDEITASKIMFGWLVSDLSNACCKDCLSEIKSNVDKIEN -FSEKLTYICLSLKPSEKGCCRQEGTRLFEVSSFERRMPNLKLIDHKELNLETDQQKGTMLDAIVKLTLPG -KTEKERKLKRSVEQLIRSMMKHSNVQAIKLPTGQMIVDSSFSSKATADKEESKSFKRGGTKTLQEDKEHF -EKVLAPSKLSQYSEHVKTVIKHSIQRMDCCAGSLCEISPQWIKNIHYDLGSDVSDDNILAKVQESYEKKS -NFKVQNDKFRSIKWDSIKTYITGKLGKHCIAENRIFKLDCMLFKEVYTELLTRLRETPYNTCPGIIASLL -KLLLNFEWYQQHVLYSKICESFLQSCSEFHRAGIKVLRVRHTDVNMVVALPSNKKQNMRCAVYSKDFQLL -QGPFMLNRRQAVLGAAYPYLITICILQCLQHYRCLDQVNDVKQNTLVKIIKHEEKLGVLILELLEKVYHG -SFVNASLSHIKLCRETGNFLSKSAPEHFTSTLAGLMLVFDVLLGDSMLNNSQPFNKQIQMMRFGMLSGLS -RMSCPTELGKKFSSSCRKVEFHVSRLYMQLIVFACNHKVKKNLENWVRGDLCPDVDMPCFTIFGTMINSD -RQLIFDIYLVHIYNKELDDFDEGCIKVLEETAERHMTWETEVKEAVNKINNGIDVRHFKRMLRLLLGIPN -LKRMDVTSSEGTESLSVSERGSTDSRTSGVSSKAARSFRSLKYRPTSIYGIRSSSDKPFSLTETLEVSRD -DQRDYQQAVTDRGMYHTYQANPDSVYKDVITCIRHNPNHTFASFELVQACTELARSKFPPESIEKARKDR -RNWISISEVTETTSIVAEPRSMIMIKDAYQIILGSENKKIVKLLRGKFQRLGMECKAEMKGKTKCQELLS -TIEILTDNQLENIVKGITDPSKLTFYNWKDLIKMRIKDVLLTDDGNYIYCWLKSLAQTVKGSLRSEIKGL -KYNTMGTKSGLCKTKTLDDKEFESVTSFINFLKACAAGEVQKDLDEREISLDLLLTSWLKFFRKVRNSKE -IEEEGLLSLSKMSEDIRKMETSYRTLCQLKKELPDLSFSREEIVLRQLEKDFVKRHGDNILKLSNLIFLI -CLSCPWCVQYKTFEAIMMRNVAEAPGFNLPKSSTSLRELHPDSIIFLMVRDSCLDVSENDSILCTKYCMC -LFTVNELPYTSAMNSHGEFMYKSPNEQLIGRVKGIMAATGLDDSRSDFKWTVCLIANSNFEVARKITGRS -NGERLPRSVRSKVIYEIVKLVDSTEMAILQQLAFSYILDPNHRFFAVLAPKAQLGGHRDLLVQETGTKVV -HAATEMFSRTLLSTTKDDGLTNTHLKETILNCGLEAINQFKVIHGKEVSKDTGQYYFYKVCCISGDNTKW -GPIHCCSIFSGMMQQLLKDYDDWTSFYKLTFLKNLLRQVEIPAASVKKILNSFRYKNKDIKVDQLTEAEL -RDEMANRLSTWDGNNVIKFLVENYISKGKMAINSYNHMGQGIHHATSSVLTSIMAEINERLIVNFCNQRL -PDLQVTVTHAGSSDDYAKCIVLSGVLTESAMENYEEAFWPTMCNLKNYLSGFNRACQMKDSAKTLVSDCF -FEFYSEFMMSQRITPAVIKFILTGLINSSVTSPLSLVQACQVSSQQALFNSVPLITNIAFTVFRQQMFFN -HTEYFTRTYGPITMGSLSPFGRLYVPKFSGLISSSIAIEDAEEVIKSCLDVKRLMLHLPDGSKAELDTSE -SGESEKVQEVETCYESESIETSSLSSAPTDSSGASFHFSIQRSLTSAEEEYSKAISQQFSSGILDLVHEE -VSLVYQDNDDYPYSPCIRKILKSGMVISNLHLKDLEEKPLRLIKTISSVMTCLIMGHYRTFTSEGTEKSV -KANLNRDENRMIEDPMIQLLPEKLRKELNRLGLARMEAEELVVKPCSSDTLSNLIANKLITMNCATEDFR -SEVSRLKQTLTSRNVLHGLAGGIKELSLPLYTIFMKSYFFKDLVFLEHKDRWNSKHSTNYRDSTGKVLDG -KVVVKFTTWLDCFLSSELTLNRSTPLVCDSLFDPGLKGIEVVHRSNGTFELQILPDELSVIESETRSLAI -QFTDVNRQKIKVAESRPAKLELDAHKAVIVKSKLFSAIDQVKLVNSPAIVVGNLLDESSLFQTKPRIDMG -NLGRDSFKLTQFYGSLVNLINEINNESEALKKNKITPPAEVINRYANNLTVLCRMVQQARSKLTSFYMMK -GSHVNNEPTVVELMNYGIIEGKFFEVQDEIADMSSYSVKYWKILQCVSAISVMPLKDNDKTSLLTSFLNW -KPSVSECDSNCPLGKREMSILQEFNGRVLVDILSSELPSIKNESQRKSIEDLVDFVNSPMELLRKKPCLG -TTATFNCWDNNGKKGRYTYSSSSGDSSGVFIGPFLYIVLSNNSPALMLQVEKKVLEWLNKRRTDVVSKEQ -HEFFLELLPDYRVFPKKVGDGRIYSLKPSKDDPKLLSFLPPKNGEKVVKVKKNILSVKRKAAEEFIGEPR -AVWSNNNVTILYDEQVEKTDYHQDLIAIKTLLDEVLGADRTRVPSSVYEDTRIVLSRVRFSADLFLKSLI -LLHHFLAHTPSAAVLQAQSKSNLLKFLETSSSSSCQLGALGKKIQKSVLSLISEEVSGISTKEELICAKL -TDALRKKDFSIDAWPEVQSYLDETGFSNIHLEFLQRGTSDIYDWKFKVLQDVSLSQRSQGVRGIISSISS -EAIPRFLAPIIIDGILTHNVLQMFINCRNVISRTGITDTELDGLVCTLVFCSQSLPVVRDGHRFSFNNLL -HLATKKSFCTPDGSVSCTFQNNGDEVQLAVKVRTVKPDELSESKKRRIELTKVRLLTAYNSFLPPVTSTS -SILSAVEGAYEDPVRNTGEFFNLDLGKKTSSSCRARDLWELICPGTSWRKNDFESLSQIISLLSATRKAE -VCDEIPVEDPRAYSEEQVTYLDLFEDGNTKDNNPVEEVKEPVGANYTFNWDD - ->YP_009361832.1 RNA-dependent RNA polymerase [Nairobi sheep disease virus] -MEFLNSIPWEEVVPGQFTANPGFQVTDYFEIVRQPADGNCFYHSIAELFVPNKNDFSFRLVKQHLELAAR -RFFEEEPEAKGLGLGLEKYLEVAMCDNEWGGSLEASMLAKHLDVTIVIWVIEGPSRVAAAVKFGSGDVAG -AINLLHTGYNHFDALRLLVDDSQVSRQPRDITERIEIVEEVLSEDREETFFEEDLLNFATVETIEEKLTR -QDKKVQDEMQRRAVLLGKIIKKGENIPVRVGRVLDCLFNCKLFVELRDGLLVLKPESKEDPGSGCSLRQL -GHRLLTRDKQIKQEYAKSKLYLTKDLLDHLDAGGLLRSAFPGMGLERNLQFLHSEVLLDVCTVVVAVLLS -SFLYGSNNRNKKTFITNCLLNTSLSGKRVFKALGKLTGTTLYKSPRNALSHVCQTLYGKMMGKLQSYISV -MSPISLLALRNLDFDNMSVKDYMEMLKEMSVIDNTDVDYTHREIADLNQLTDKLQKLFKEGRADELKNWY -KEEELTKRSLRSVQNASEFLISDYFKKKDIMKFISTTGKASSTGNIGNVLSYAHNLYLSKESLKMTTEDT -TQLLIEIKRLYRLQGEQSIEPIAIICDKLEEQFRRLFKELPEECSLECQTLFNDIRNSGSHSTAWKHALR -LKGTAYEGMFSRQYGWSYIPEDIRPSLTMLIQTLFPHKFEEFLDRTQLHPEFRDLTPDFALTQKVYFKKN -KIVEIQNTQLVIDSSLEGSVEAVPVVEKKMFPLPETPVDEVHSIQRIMKNFRDKVERDKRKKEEEGDRAP -ADEHMEDQKSGNVQDPDKPAHTTKEAIQEKLEETEVPKASPESSGADKLQPALVPIGSRDKAQSAARGPL -TYSDRILIDENSTELTEEEELEKRQILLVEVGYQTDVDGKITTDFKKWKDILRLLEMLEIKCSFIACADC -TSTPADNWWISEDKVRCLKSSISHLFSSLTKNSPADVTDIVVGSISTQKVRSYLKSGSATKTPISSKDVK -ETWQRMRQNIIERPTGAVINPGLESAMRQGLVDGVVMSKEGCVKTIETLKQNCDKITDEFERTKYKHELN -ENRTTSEKLLLGWLSEDLQGCRCGNCLNVIKQTVESITENSDRLEYLASSAILKSHCPECHPKGVSVSNS -TNIMNRLPGLEKTQHSDNKGFEDTNDALTDLDRVVRLTLPGKTEKERRIKRNVETLIRLMMQASGLECIK -LPSGQIITHRLTKKIRQEDENVLLDKQTERLERIKKELSDTKLSSYSEYAKKTLRHSIQRVDKQKESKCS -VPRAWLEKLLRDLKVPTKDEDILTNIRESMSKRTNFIKNNDKLVIRSEEELIKFIGNRSVQLMPDRSKKL -FQSDCILFKEVTAEAMKRYYSTPYEGVPEMIVLLINFLCRFPWFQEVVLYAKICETFLRCCTEFSRSGIK -LVKVRHCDLNLAIKLPSNKKENMLCTLYNKEMELLKGPFFLNRRQAVLGASYPYITITLYMQVLQQHRCL -EVLSTVGERTFENIKTCTSDLIASLSLELTFAVNGQFEKAYEVRTKQCKLGGNFLNRSSRDHFITVISGL -NTVYGLIIRDNLLANSQQQNKQLQMLRFGMLSGLSRLSCPKELGKKFSTSCRRLEDNIMRLYLQSTVYCS -NRDVEHNINEWKSKDLCPEVTIPCFSVYGVFVNSDRQLIFDIYNVHIYNKEMDNFDEGCISVLEETAERH -MLWEMDLLRSLSKETKDERSARLLLGCPNVRKAVDKDGNKLSKAGHASPDDGDSDSSSLSGRRSYCSSRG -RIQSIFGRYNSNKKPFEFRPGLEVRADPMNDFEQAVTDTSQYAEYTPNQESLMKDFIQIIRMNPSHTMGS -FELIQAVTEFSRNKYPAENIEKAKRDPKNWVSISEVTETTSIVSQPRTHIMLKDCFKILLGTENKKIVKM -LRGKLKKLGAINTDIEIGRKDCLDMLNTVEGLSEEQRRNIVNGIFEPSKLSFYHWKELVQKDVEEVLLTD -DGNYIFCWLKTLSSMLKGALKKELRFMNNGGVLELSNGFFSDDDFEELLNVKKELTGSMSLDRELNTELL -LSSWMKCIYKPKEGASIVQEGLEALKVMAGELYEIRLQHLELTRMKKDNPSVSFTKEEVLVKRLEKSFLK -KFNKEAMKFVNLVFFCSLSAPWCVHYKSLESYLVRHPEILELKAKGDLGSVILDLSVASAISRLVQQETN -IELDAEVLNEMKVRFAVKYFVTLFTANGEPFSLSLNDGGLDENLQKTTDEKLLHQTKVVFTKIGLAGNNY -DFMWTTQMIANSNFNVCKRLTGRTTGERLPRSVRSKVIYEMVKLVGETGMAILQQLAFAQALNYDHRFYA -VLAPKAQLGGSRDLLVQETGTKVIHATTEMFSRNLLKTTQDDGLTNPHLKETILNVGLDALSTMRLLDGR -PVSEDSKLLNFYKVICISGDNTKWGPIHCCSFFSGMIQQLLKDVPDWSSFYKLTFIKNLCRQVEIPAASV -KKILNTLRFRLSNKGGVESRSEEELRKELSDSTEEWGDNDTVKFLITTYLSKGIMALNSYNHMGQGIHHA -TSSLLTSLMAELFEELVIDYFKRHLPQLTVNVTHAGSSDDYAKCIVATGLLPKELYDRYSEAFWKHACKI -KNLTAAVQRCCQMKDSAKTLVGDCFLEFYSEFMMGNRVTPAVIKFIFTGLINSSVTSPQSLVQACHVSSQ -QAMYNSVPLMTNATFTLLRQQVFFSHVEDFVRRYGLITLGTVSSFGRLFVPTFSGLVSSAVALEDSEVLA -KSAAEINENSIFLDSSSLSNLDSLKDIVSSKARDDGSSISETTIESTESGSSSSSFTFELTRPLSETELQ -FLKTLKSTTSVTACEIIQDKLTPLYADSQEGALDKYNVLYNSRLTASCDWLRQGRKMGPLELARRLQCIL -NILIVGYYRSFGSDGTDKQVKASLNRDDNRVIEDPMIQLVPEKLRRELERLGVSRMEIDELMPSIKPDET -LCQLVAKKLISLNVATEEYSAEVSRLKQTLTARNVLHGLAGGIKELSLPIYTIFLKSYFFKDNVFLDLED -RWSTKHSSNYRDSSGRMLTGRVITKFTHWLDTFLNCTVSINRTQEIKDSSLFNPDLRCINILVREDNIKE -MSIVQSHLRVVTSEFNNLNLQFSDCNRQKLKVVESRPPECELEANKAVIVKSKLFSAVEQVRLANNPAVV -MGYLLEESSISEVKPTKVDFSNLLKDRFKLMQFFPSVFALLKHLQSESSEMEKLGAPVDMQQVSKYSNHL -TLLCRMIQQARPSLTVFYMLKGNQMNTEPTVSELVSYGIKEGRYLRLPEIGLDASTYSVKYWKILHCISA -IGELPLSDRDKTSLLISFLNWKVSSDSMAQDCPLYKQEHAVISEFAGQVVVNTLASELSSVRRDAERDSL -TDLIDYVNSPTELLKKKPYLGTTCKFATWGENNRNGKFTYSSRSGEAIGIFIAGKLHIHLSRESTGLLCE -VERNVLGWLGRRRTDILTKEQHQQFLEFLPTLSEVSQKNRDGTTQGICQDNTNVRMLKFVHPKKNTPVVK -IKGQILTVKKQVSFEAESEPRLMWGHGCVSVVYDECETQTTYHENLLKIKQMVDSTTDRARSLPQSVFSD -TKVILARIKFKSDLLLNSLCLLHSFLRHTTTDAVLEAESKCALLERYLQSGGVRVKSADETLEKKLCSKV -IECKLEQTLDEEIGVCDNLNRVFSETPMPVSSWSEVQCYIEDVGFSNILITLDKTSTKGELIWKFSLDNT -SNIAGSIRDIRSLVSYISTETIPKFLLPFLLFENLLSSILKQSLTVKETLHSTGISDKEIEAVATLFAYC -FQNDKVKRKGPRCSMASILNLTKGDWVEVGQRMKLQAHLDSDTVRLSVQLAISTDAEQTADKKARVSMAK -KVIASHLTLLLQEDGIDIKKLKDIAVNVQVRKEKTGEVLDFTLLDDQAGNLNYIGVLETIMDRRKKGPAI -SALEDFFLLLTGMAESNIQQDTAVKSEEACSDDICLEDLLEPSEESSSSSGALDKETVPDKVTFNWDSDS -D - ->YP_009304993.1 RNA-dependent RNA polymerase [Wenzhou Tick Virus] -MASPLGVPDNTEIAQGLAPRCKEIEQCLGMSMITNLESLFEMQDVPADGDCFFYCVSLHLSGGSLKVDRI -KGIITSFALRNWEDIYEARLFYGTPEAYIADLYSPGYWGGSVEAEILNKAYGMPIIIWSSTDGIYSTDVR -IWTRKYDGMPEMNLIAAGRHFQYLRLREARTVEPKELVIEDDGLDIVSNTETEAVENLEDVFLPEHEKAR -LELFSKPVALISGSEEGLPKKLQKLLDQEKTLPLRIGRLLSKIFPCNVKVQRLETGTFMLVPEHCGTKGL -LTLSDLGWTWMKVKAKGKSRLKPSVLISDELLAYADSQFVLTTLVGSSLLASNAAILPGEVVDKIASVAA -IVLLSSFLYKSTMKAKRDFIIEGXQSSGVSAKPALKYINSLRPFSVYQQPLITAQNIIFYCLGGMCEEVS -LTISRLSSSSYLLLNCVDVADRSVQEFLSLLREIEEESMATPAISSSEVQDVLTTCTRLEHLFELKKNGA -SKMQYRDYLATQGMEPDKFIHGSSNLYYKAKESVLRSFFTSRHIMKMISKHGKAYSGSSITSLMAYISNL -LVIREKLGFTFEDVATLEVVERRLMAIQSRDKRIPIPMLCSLVETNMEELFDVLPEDCAQECRMLFTRVR -NSDTHSTAWSAALRLKGVAYEGLFSKAYDIKYVPEDQKPTLSMAIQTYFPDKFEKFLQRTQLHPEVREFR -PDFLLAKKRLMPVAGTYHKPTEVVQMLHDPAAVDSSXYKKREGRAFPLPEVPIVEVSSFKDIVPRIETIA -KRRLEKYSTLTGQIMTTDQPKSLKELCKTAVXRYRGEADLEAAAERLKDETEFLVLEVGYQTDTEVKVQM -DIEKWRTAVSLLKDLCIKSKVVACSDSSSIKSSDWYIPERLVKVVKNSVSNLFAKLSQNSPQEVTDMMVG -AISTQKIRAVLKSGSAIRTPVTLQDIMTTWKENKAYIVMRPTGATLPPKVEKLMSVSLCEGAVVSKESSR -KVTDEVMAQKDVIADWVEQTKYAVEVLAPMQSAEKLMLGWLMDDLEGARCAQCVDKVKQTLRGTTSNAES -LQYLAMQLQLENHGPCCHSTEVKKKKTDLLRRRIVDVSEVKHLSSEISELEDKATTLDRLCRLTLPGKTE -KERSVKRAVESLLRQTMKDSKIQCLKLPTGQIVVNSELFNEKVGSKGSSATKKEKPASDREDSRLDRLKK -ALSEDRLRTYSETVKTTIKNLLGSTDRQAGSLCEMKATWVRKVLSDLEADLTEAELLARLEDTRAQRKAF -KQNQDKVVAYSNDELSTYLLDKSTSLLNLHKNRGPFKLDCLLHKEFYLECVRRYQNTPYFDCVPLIAKLI -KLFLKFDWFQEMVLYSKICETFLQCCTEFNRSGVKVKRVRHCQLNLAICLPSNKKENMKCFLYDNQFCQL -SPKYFLLSRRVAVLGAAIPYIVLICSIQCLQHSRCVDMIYDADQSVAAEILERNAVNLEELTSCLKKINL -GQFEEASNDYLKHCKRSGNYLNRSSRDTFVTTISGISLMFSTLLGPTMLLNSQPFNKQIQNMRFGMLYGL -SRIASPRELGKKLSSSCRHLEAYTSRLYLQLVVFCSGLDPASNIEAWRRHDLCPNVSIPSLTLPGSIVSG -DRQLIFDIYLVHIYNKEMDNFDEGCIKVLQETLERHVSWEMQLLSALNQYKDNEGSERFKALRTLRLLLG -LPNLKNIKSEEEPAKRTSSGSGSASSVTSAHSISKTRSFTSSKRIKSAYGRLRSTMKPIVTHVGLELVPD -EMSDYQFSAQDSGLGFKYEANPESIMKDLKQIIKDNPSHTYGSFELVQAMTEAARQKFPPEAIGKAQRDS -TNWQGVSAYTETTSSVSEPKTRIVLKDAIQTLSTGEVKKTVKLVRNRIRKLDGGANPKPEKLSELVNMLE -TVDTFTAKQVEEIKRGISEPSKLSFFPWREIITRSLKDVLITNDANMIYCWLKSLASGIKKHVRPYMPGL -RYHKESRVTDHPKLSKLMSTVELEALGNLTRVFADLIKGTVDPLLPLPPKEELYSAWKTFIGAVPFMAEI -IVDGTESVMRCLEQFNILCVEYQKLMQIKKDYPELSFIREEIEVKTLEKAFLESFDHDIMLTINLIFALS -LCCPWSIHYKSFELLLSQESSPLADDDQQREHLELLKTLGPCELIVDYLKAELDQLKNPEAARTTVEMLY -RYCCAIFIANDEPIKSVLNLRDVVVTSHVEDSALSTTRSLLAKYGLEKTDLDFKWTMNLIANSNFEVTKR -LTGRTEGEKLPRSVRSKVIYEMIKLVKSTGMAILQQHAFSYILNSGHRFFAVLAPKAQLGGHRDLLVQEI -MTKIVHAATETFSRALLSTTMDDGLTNQHLKESILQTAYDQLQMSSHSHGKHLSSLGGGVRYFSRTFCIS -GDRTKWGPIHCTSFFSGMMQQLLQDTPDWSSFFKLVMLKNLYRQVEIPSGAIKRLLNSFRYRAQPTLPLE -QLTEDQIRQLMLDSIDIWEGNHMMQFLVQVYLSKGKMALECYNHMGQGIHHATSSVMTSCMAVLTEELIV -SYFQTHMPELSTTVRHAGSSDDYAKVITVAGNLPNSLFERYDERFWHHACRLQNSMVGLARGCQMKDSAK -TLIGDAMCEFYSEFMLFHRVTPAVIKFILTGLINSSVTSPQSMVQACQVSSQQAMYNSVPLLTNICFTVF -RQQMFANHTELFQRKYGPIVHGLPSAFGRLYLPMFSNLTSSTIAVEDAESIALDLESAIDLASRLHQEPE -VTYEVFEQPBSKPKDPDSGSYSAEANSDTDGTASVSSGSTSSFRFSDLRRLTATETEYLRASAMADTQES -EQIVFEGVELMYHNHSDFKGWPCLEKLKNSALVGTYAELRDLVEQNPLRLLRYVRSVVSCLVIGYYRCFA -SEGTEKTLKANLNRDENRIVEDPMIQLVPEKLRRELSRLGLARESYEELQTRSGQLRPLAEQVARRVITM -NCLTEDFEAEADRLKQTLSSRNIIHGLAGGIKELSLPLYTIFLKSYFFIDKIFLDHRDRWNSKHSRNYRD -STGHPLDGKVVTKYMVWLDAIFSSLLRRCYDLAVEPHSLFNSSLRCVDLIVCGDKTRFLSLRVEELRIFK -EELRALSIQFSDNNRLKLKILESSRPLYEKEANKVVISKSGLFSAGEQVKIRNNPALVIGFHLSRDAVLE -VKPSKMDLGSLIHDTLKLEQFYTSISEVCSRIVEESRKAEKLGEVARQDDVNAHANTLTLLSRLAQKSNT -RIVSFHMIKPISTQTESTVSELISYGTKEGRNLVLADSRVEPGTTSLKYWRILHCLGAIGAFNLSDSSKT -DLLVGFMNWVPRMPDQPLECKMSKQELSVLEQFRDRSVVSNLFEELPNIKRESERRQIENLVDYIKDPMV -LVAKKPFFGKTVDFNTMGSEGHRTGTFVMSSSSGEAVGTFVAGTLYICLSKESDILITEVEGHVLAWQDR -MRTDVVTKEQHEHFLDLLPSQHSLPRRLSEGVVKAVVIDHQNPRMLKLNNGTGNCKVVRVRPHILTVRRT -CADSKLNEPRLIWGRSSLSIVYDEYVSEATYHESILSLRKKLDVAMGDSKVGELPKAFFSDMKVVLGKVF -FKSEATNTSLSLLHYYLLHSADHVHLEFHTKSSLLLRALGGEPGELNILRKLRAKYLTGKEPMKDKTEEL -LTIASNIETTLNTEDTPLHCLAEIQQFLDETGNSAIKVDAVSKGLQTTFQWKCSVETLESSKPAGGLRSI -VNTLGMESLPLQFAQFVADADLWYELQRLGKVAQAEVVACMLDDRVAELLFAAAVYCHQCQRKTREGFYF -PASSLLGLLAVKEFNMNANTVLKFTPDGELGVFMDATVTVPLLKSKDKAGQKNLAQLVKSAYNLLMEVKS -EMTEIKAQATLELYHTEKFTHMTVRNRPERGLETTIDKIFTGALGLDQEAMAKLKNVGNLACFLMGVPSP -FAEAETAAEVRSDGGEGLATLEDLMYSSSDEEPEEDPRQQEEYKFEF - ->YP_009304986.1 RNA-dependent RNA polymerase [Tacheng Tick Virus 1] -MAGRRRSSNLSESLISDCDNLGNFYRGPIVLDINKEFTIEDVPGDGDCFFHCLAKQLPEVSVSRLKGIIT -SYALRNWDTLTEAPRFYSDPKDYERELNRAGYWGGTTEAEIINHSFGVPVVIWTTEDKKLTSAVQVWTRK -HGNLPELHLLHTGTHFMCLAPIVKEGTPPRELVIEEEGVEIVSNTENDTIENLEDIFLPHHERERIELLS -STSSPSTSSHKTVPKRLLMLLEQEKTLPLRIGRLLSRLFPCNVKAQRFRGDVFVLVPEHQSGRDMVSLSD -LGRVMLQRRQTHHLISRYNISVSEELLAFVDSQFVLTTIVGSSLLANNAAILPTEMVNRIATICTVILMS -SFLYKASMKVKREFILVNLANSGVPTKNVKKYVHGLRPFAIYQRPLETAQTVTLLALGKEIETISLKVSR -MSSSSYLLLSCVDLTGKTTKEFNKLLDELENNEEELAISSSEVQDVITTTHSLEKLFELKCSGAKRLQFR -DVLASAGLNPDKKLLGESNIYHRCKSLVLEAFFHSRNIMKLVSKHGKAYAGTSITSLMSYISNLILTREK -LGLTTEDVAALETVERRLMSIQSRDRRIPIPILCSLVETKMEELFSALPDDCSQECRMLFTSIRNSETHS -TAWSSALRLKGVAYEGLFAKTYNIRYVPEDQKPTLSMAIQTYFPEKFERFLQRTQLHPEVREFRPDFLLA -RKPLFQHSLNLKRPHQVVQVFATGTETEGASIIKRKERAFPLPEVPIDEPSCFHSVGPKLREIAVRKLQK -YQVESGKVMSTEEGDIDLLSGAEAQKATGVDPVPNEDLPGQGISLEEMVAKEQQPTEFLILEVGYQTDTE -AKVQTDMNKWKIAVSLLKDLGISSTVIACSDSTGTKASDWYIPEQLVTVIKNSISNLFSKLSQNSPQEVT -DMMVGAISTQKIRSVLKSGSSIRTPVTIQDILTTWNENKQFILTRPTGAQLPKKMENIMKVALCEGAVVS -REFARKVVDEVIQQKEQIADWVEQTKYAVECLEPVSSAEKVLEGWLLDDLEQCRCQTCITQIKKTIKETT -SVHERLEYISHQLNPDQHGSCCHTIPIQRSTIDRLSRRTPTLSAVKHLETEISDLEGRSTALDRLCRLTL -PGKTEKERSIKRGVESLMRQCMKDSGISCIKLPTGQISVNTELFGTRKGKKPVMKDGDKERLERLRRVLA -PEKLKTYSELVQKTISEALGSTDRQQGSLCEMKPEWVRRVLADLDADDTEAELLTKLESTRESKLNFSVN -NDKLQPCTDSEIKEYISFKSTSLLNLGSTRGPYKLDCVIHKELFLECVRRYQNTPYFDCVNIIGELTKFL -LQFDWFQEQLLYSKVCETFLQSCTEFNRSGIKIRRVRHTSTNLAIALPSNKKENMKCALYDTNFLLIGPR -HFMLNRRVAVLGAAIPYIQIICILQCLQHSRCVEMVHEANFNTVQEVLTRNASNLDVIAECLVLINQGKF -ETASRTYLKHCRQSGNFLNRSSKDTFVTVMSGMSLMFGLLLGPAMLLNSQPFNKQLQNMRFGMLYGLSRV -ASPKELGKKLSSSSRHIESYLSRLYMQLVVFCSGLDPPHNIKAWKQHDLCPDTTIPSLSIPCCLVSGDRQ -LIFDIYLVHIYNKEMDNFDEGCIKVLQETLERHMTWEMQVMDSCNKYSKGKQAERLKESRTLRLLLGLPN -LKLASEYRAEESKGSESDSLSTASSTHSIGKTRSFSSGKKIRSIYGRLRSTMRPISLQEGLEMVTDEMSD -YQFIAQDTGMGVKYEPSADSVMKDIKQIIHDNPSHTYGSYDFVQCMVEIAKVKFPPESISKAQRNATNWQ -GVSAYTETTSSVSEPKTRIVLKDALKVLTGGETKKTVKLIRNRLKKLDGGASQKPEKLSELADLICTVET -FSDRQKEEIRRGISEPSRLSFFPWHEIVNKPIKDVLITNDANMIYCWLKSLASGIKKAVKPYMPALRYCK -ETKVRDHPKLARLLSPDEMLSLNQLMDTFSSLVKGQADPVGVVPPLSDLLDVWVRFLEAVGFMRAILEDG -YDSIENCLDSFKELSVAYKTLIDTKKEYPELSFIREEIHVKNLEQCFLKDYEREVMRIINLIFSVSLACP -WAIHYKSFELLLSREDSPLSEHELQNQQLEVLRALGPCTVITSRLAKFLNREEFRDGDLPYIEGLYRYCC -ALFTANYEPMKAVLELKEVIVTSHVEESALSTTRSLLAKYGLEKTDLDFKWTLNLIANSNFEVTKRLSGR -TEGEKLPRSVRSKVIYEMIKLVKSTGMAILQQHAFSYILNSGHRFFAVLAPKAQLGGHRDLLVQEIMTKI -VHAASETFSRSLLSTTDDDGLTNQHLKESILQSAYDQMQSSKASHGRPVSDSPGGLRYFSITFTISGDRT -KWGPIHCTAFFSGMMQQLLQDAPDWNSFYKLVMMKNLYRQVEIPSGATRKILNAFRLHLSTKVDLDSLTE -DQLRRLLLENVDIWKDNLMIQFLVQAYFSKGKMALDCYNHMGQGIHHATSSVMTSCMAVLTEEVIHSYFQ -VHMPELSTTVKHAGSSDDYAKVVTVSGCVPASLFERYEERFWKHVSRLQNILIGIARACQMKDSAKTLIG -DLLCEFYSEFMLFHRVTPAVIKFILTGLINSSVTSPQSMIQACQVSAQQAMFNSVPLLTNICFSLFRQQM -FGNHTELFQRKYGPLVHGLPSSFGRLYLPMFSNLTSSAIAVEDAESIALDLSSALELCDLLPQAPEPDYS -HLALPSPEVSEASSDYGSRELSSDQEGTGSVSSGSTSSFRFGEISKFTPTELEYLRTSSRLDSQEAERAV -FETVVRMYANHSDFDGWPCLDKLASSTLVDSNIDLRRVLNENPVRLLKYVRSLISSLVIGYYRCFSSEGT -EKSMKANLNRDENRIIEDPMIQLVPEKLRRELNRLGLARDDYEEYVSRSTSGIPLVDQVARKVITMNCLT -EDFEAEADRLKQTLSSRNIIHGLAGGIKELSLPLYTIFLKSYFFIDKIFLDHKDRWNSKHSKNYRDSTGG -SLEGRVVTKYMVWLDAILSSCASRVSTKKTEPCSLFNPNIKCIELLTFQDRTRFLVLKTEDMKAVRDELR -ALSVQFSDQNRLKMKILESSRPLCEREANKVVISKSGLFSAGEQVKIRNNPALVIGFLLSKEVVLSVKPS -KMDLSSLIVDTVKLEQFYTSISEVCARIQEESNALERLGESPRAEDVTAHSNTLTLLSRLAQRSNSRIVS -FHMIKPMSTHTESTVSDLISYGTKEGRNIVLAESSVETGTTSLKYWRILQCLAAISALNLSNTNKTNLLQ -GFMNWVPRSNNIPEECPMKKHEATVLEQFKDRSLVSSLYEELPSIKNESDRKQVECLVDYVKDPMVLVAK -KPFFGKTVDFNTRGGELPRTGSFTLSSSSGEAVGTFVAGNLHIHISKDSDILLTEVESYVLRWQNKVRSD -IVTKEQHDYFIELLPSYLGLPRKLAEGILKSVSIDRSNPRMLHLSTCKGNCKVVKVRPHILTVRKTSEDA -RLNEPRLMWGKNSISIIYDEHTEEATYHESILSLRRKLDITLSAEQITIPKKFYSDMRVVLGKVQLKPDA -TATSLSLLHHYLVHSAPQARIEFHSKTALLERMLGAEAMELNMLRLIRESSLSKEACLRDGTEHLVTVAA -KVQDTLNSDGTPLHCLSEIQQYLDETGNSAIKVDAEIKGMHTSFIWKCTVDSPGMRRPSSDLRTVINTIG -TESLPLQFAHIIADAELWVRVQNIGKMAQRQMVESLLPDGDIESLFCSALYCCQNKDRNRENFTFSAVSL -LNLIGERKFAVSGIAEAAVVAEEDGSLKVKATLKVPEISASDKQSIRRLNQAAVTVNNALMSRPRTFAEI -RREVSLDLVHLEGSTQLTLNYSSDFCTETYLERLFYAFTGQDSEAIRKLKDVVNLACVLLGVESPVEAFN -EEEQQMSEASGDKLATLDDLDIEDATPELGNNDLEDFRFDFS - ->YP_009300680.1 RNA-dependent RNA polymerase [Shayang Spider Virus 1] -MSVFKGLWSDLIDPDSDEDETGVIPSSSSTLTEAEIELLQSGKPLLSEVEESELKDVSEQVKDISQRYEA -YKTALKDSSEIDESVRRRIGFSKVSRLGKRPEEEWTLNNQEIRHAEAIWKNMSIESGNYIEDRDEVNFEG -SKKLTPIRVGYILYNTFNGKLAFYRDVVESVIVITLANDETLEGLESRTFQNIIELIKHIVRNTNKSVKM -NFSNFILSTLNETFIVSELLPSQPMSLYLPILHPQITLDAAIFSLFTCLVCWPTEALSLDDRLEMKRMLL -PRCPDSKFRTMLMNPKDLSLINLIQDVNSEYLGVVIGRIQKAVSQLSTSDYSLLAMNISTSKYSMDYNDY -IRMINSIVEQNIKTYEPKSQILVDLEDTIDKIEELDESRGLRKLALQDVLNYLVEIKSNGHNLKSSDLNK -LKQIKGKMDSIKAEYLENASKYNKSVIANNDLDFIKQLSKKKSKQPLYPELLPDYVKFEETNAEYDAYYR -YIIKTVGIYINILTYALQRIEQQDEVIINKLVSRFFQNRNLSKIVATTTGGIMGLKSLSALTNYTFNVLP -LLVESDEVSDDDRSLLGVIRNRLVKMTKERAGLPVQSITLGVEKNLRELVEMCPIESRRPLSNRLDQFLM -SSSFAQAWSNLTRLKGEIYEQLFAISWKLLYAPEDLKPTLLTSLRHTLPSFVPLFIKRTENHPEIRDMKP -DFIVVKVPFGYKKYKVNGKEVTDDFSKEISPIEEKLKVNMKPQSKASSVETNVTESKTEESSRIYTQKRE -IVHELGSDTTIVNPNEFVSTLIKSMVSYAKEHDSKGGMVTEVTKGNFMILDTLSTEIEVDKPLTDEQILA -MTDKQGLISKTRQEVETVPEEVVDRISKESEEKVLTKMTTTSESWDKIKYESDINNPEILLIEVGYQTDQ -EGKIMSDLNKWSSAIDVLRSLGLKVTIISAVDTTRAQDEHWWIRQEFIPVIRRSLTKAFKLLSENSPLDV -TEMVIGDISTQKIRNNLKAGTTIRTPVLKEDVYIYYNKWKKEIIDRPSGAKVPGTVMSDFIQGHIFGTSL -NMNRSDMDKFFNQLLEIAPEIIEEQKRTKKYFVRTNGTVTSWNFIKGWVVSDCEMAFCKACFKSLKFGTS -NLSPEECVKYLCIRLRETNRNCELCSVEKAKPIIEGQQCDLLSIRSCIDRSLTKFDDSNTEESLTISKTV -FDQFVSMVVSSKTQKQKKMKMLINKICETILMLNEQFGYKDSKGQIHISKLYRVTSKLTSITDSRFDRKL -NLKDAVRDDISKKTKKTIKIFNKAYNKARRDAEVNSISEESSDEEDVVFEAQLINASPEKKRLYKLCNSL -LKKLSYFSQTELSPSHNDIINKVKNNIMFEADDLPKCLLKKEWIDNILDSLQIEEDSRNFIDKILLEKKE -KARKGNLDDRFPLIDDDIIQNYLETQEKNLFLLEEEQLEFDWSCIYYEDIEKEFNDRLKGTVYEICMEKI -RNVSLALIRTKWFQNLIFYSQVCRVFLQCCSEFSHSGIKVKKIPHTNYNLIIKLPEKKTSNMICSIRDNN -MNQTKYVRFFLDRRTAALGQTIHYCYIVTFVQLCQMYSCLSGFEISYESFKEQYNSEIKLRYSKFKESIM -YAKEGSFNEAQACQKFDTKDFTILKSDSSNVAINLLCGFSISFGVAIGPSIALNSQIFNKQLQTMRYPYM -LALSEFGMPEKLGSKLSDSRRRIETEVSRLHLQICCFRSLKNTTENIENWKKNQFRPVTTISSLSVYGST -VISDRHFLLDIYLVHIYNKEIDDFDGNQISVFNDFAERHVEWELHLKNCIDVYNKRGSDKVTKQNALRKI -RLLMGVPNLRTKAHGRDCNKLIKKVLKGDKIREYRKALNIKKDVSDSTSDSSSTGSDDSNVTVFSSNTRS -KIMKTESPFKVRSHLTYTISVPGGFQIERGKETEGQEILKPSALEVEYKPMFEEVKKDIIEIVSKSPKYS -YGSFELLQVAAELSTTELNEGAISKARKATVNWKPITSIIETTSVISKPIVEINLVEAIDCIKRNMSKKY -LKLLKQRIDHAKDAKSREQKDSLMSYFCEEMTKLGETWSEMGKDVNKIMKDSNMIDFKDWRTQSNMSIFK -TLLTSDANQLYYWIKGLSRSIRNKKRLSKDDSLIKFKTDCYKELRQIMSRSEEEPSSKRLKELVEDSYKG -WVEASLELIKQLNLETIGKDELDKIVSERKDLFESYELLKLQKEKYPSASFHMEEIQLAYLEDKFVKEND -KTIAKLFNLLLLHTLNCPWIENISSVELSLLYSKDKVNADINSGKYKDPAELLISLLDYSRDFHKIVGSV -SPRESIELLKYIITMFATNNYPLKHQYEFSEEDPQANSYSTLLQQVKFLLAQFNLKELGYDFILTILTMK -SKNFNTCKRLLNRQGNDRLPRSIRSKVLYELVKTTQESKQAILQQQAFSSILNMEHRNFATLAPKGQLGA -ARDLLVQEVKTKIINSASECFQMSLLEQTNSDVLAKPAIKDIILELAGTEKKFSSSKNGITVQFKLDSQS -GLVQIYIVFTISGDHTKWGPVHCTAMFNAISQQVLKVCSDWKSFDMLINLKSLFKQIEIPSPVFQKVLNT -FINSEEFSKLEKRLVNEKKVKEGLSETAGNWVWTSFMDSIIDWYISKGISALNSYNHMGQGIHHKKSSLL -GKCARDFLVKVTTDYFNKVMPDLSLEIKYAGSSDDFLCIFRVMGKVSKEDFKLKEEQVASTLLDCFNLWT -AYSRCLQMSVSEKTVISSCVSEMYSDFDLFHRKSPSVIKYNISTIIGNTVSTPLTIWRSMHVSCQQAIMM -STPYMTALIYCFSRYQGLMQHVEDLVRQYGFIFLKTLSSFGRLYVPKISNLLTNSTMSEDFEVIKNSAEI -MQNFLLNTGFIELTKEDSSRSGYDDTPDGDLRDLKQSLDMEVEMTAEEKMRKMFGISQKGNENFGYLNKT -SGSKGLSTQSESAETSSDAEERFNNGPIYKVKSSGGSVLNSSSEGSANRPNRDLSFSEEASKKENLVFKN -KINYQKMNNHKHVTRSEEMLTSISEYKSLKGFDIAYSRYKMEHTDQDEDDFAYNLALSNLILIISSNYKI -FKTDGIERPLKGTVMQNCLTSIEEPFVYLMPDSVKGALKKLNILRGEVVENTDELDALSSNTEYVARTLI -ENNCPTEDFRSELKRIKQIVNSRDIINKLSGGMKENSLPFVRMCLQAYFFVNKTGIEIDNHWSRSKDESL -KQDRNSSEKGVSKVRFKDFLVNGISCLPIKELTMNDSENNNFNIYNNPGVANIVNLKEDYDITELPNNKR -IRSIACYDPFKVNQLHREVTTMLDKSINYNKTKLRESENNPIGRTEPASYVVVNKTKLFSRETNLRLNNS -PAVVLAFIIDSLSAEMTRPMGVNLSMIETDKQSLMAFHPYLKPLIDKVKSNEIKSLDEIRDTISALTAFC -RKMASESYTTIPFYSFKPYDARYEDSSSSVISYGVIESYNVLIDESKIFSATFSERYYRIYECISAISAL -PLHDNKKTMILMKFLQWNPHETTGFISVDGKIKECPDVKEEIDAMSVLKEDIIAAQLVEEASAAKDPKTR -KHIMNIANLFLNPNNVGFEQKASDLKLIFKTEGFGMDNGKFQLSCKFGNAIGIFLDKRLILTLDKESNNL -LNIVEEEIFYWLKGFKPNFLSKEQHIEFLNMLISKSEGSSMMKTREIRSVYTKTTMGITTIEFGNADFES -SIVFIKPNILTQRSYKPEYSTTSPKLKWRKGNLSIFIGNETTDLVLSDYLNYVVSTLETLDIKKKKEDFI -SPLKNQDVLVDSIKLPTTMSYISALILHRFFYHNQSTLNVRNREVLSQIESNPQLKTTEGLRKSIDEDET -LKTIEKYSLSLSEGKKQELINLRGLVSDSKFNVIKFNGESYRKTNNGIFKLTEEGLMHVGKSKELEEAFR -NQQANELMKHNQELELPDELSNSMKKELIENLLRRNNEMRLNEISVAINKTFKDAAAWPYVQTVLDEVGI -PDYIVKLELNRFDNSPRWHLQSASLGKEMKYVKDFVSALESVKGKSIPINFSYMLINRPSVSSFQKEASQ -LIDLIRSMPIHIQKFKACVALLIYFEQIQMDFKTSTFDWIDFMSQLVPLNIKVSDIGTELTIKIIKSNLV -CLVSVEIPFQDYEGFKRLVEIDRQKFLTDKEALRSNLLRKKRQGNLSEVNYKRKVLKLEEKEFNADSSRA -KHQIEYVLNKLPFKVESMKEISDDIVTLNTSYGYLATILISKEKLLGKFEVEELISLLLRISKLKSMVII -GAAFKLFKVLFGYSISEGQTRYVEVLEDFVNRVFDKEKRRDLGIGRLEFDLQKEQEFKTLTTELSQNLEL -DLDDLKRDNTLSLEDLDDTSSEDEKIPEQEVKHSETNEEMDFDDYYNLIIDNEDEPDFISFDI - ->YP_009293594.1 RNA-dependent RNA polymerase [Sanxia Water Strider Virus 1] -MATNMTPLIEVEEEGAEFSSETVKHRENVLIRIYSNIYTVKLKLYVNTQKKLIYIGMDSPKDFEYEEKKP -SEISKLLLTDEFEITMNLYDHMLVNSFYVLTEILSEKNWMLYIELFHRKTLCEVAAYAYMIAIFLFKTRF -EDEKFQDLVKTHLMPGVEIDEIKEVCSSSMSMTNKINKLICLKLGEILDIIFSSIRGLRESQRIQLSVIE -VMYIDEFCRWHHLIRQYSQTQPNAETSAHESINTLQNLTLTLKNKWKEVSPKDADNNSLYEYRKFLSSNI -KEYFDEKGVVKKLSEQGIVSSVNSLGSLVNEVCKMLSSEILDDVDRRILTDVKGDLMEMIVDSEKLPLSV -ILDSNKSLMDSLIHRLGDSDNVYLRMLVDRLTGSVTFHEAWYNAVRLKGILYEKCFALSNGLPFATEGQK -FSLRTIIKLLYPKHYTEFLSRSSQHHEIRDFKPDFFIPWFFRGLRPRLGDGQKPKSLKMIMDALTDFEFN -SETSESEENPLIAWLRGKEDLSELIQTILASVKNKKNKIGGMISYQHDEAKGTYFNSDVPKVSYIEEETE -IHLLLIEVGYQTNAESKMTMDLSKWQDAVAILAACNIKCTVVAISESTEMMKSDSWLSPGFAQDLSRCIG -SLFGKLSTIMPSSQSSILVSEVSTQKFRSITSVGRSKTCPVNSDDIINCHLRNKDEIFIRPGGARIPEII -QKKFVKTHVLGSIINESECADIKDHIFINADKIIDSVERSNLKLIEIDRKAAVLFHLNYLEGEINSELDI -PGGCPLIKLSSYKLSNTIKELKNNHINQFSEEDIMRFNNELKEFYKNKYQIHKKQLKHNCSINQFPYCKS -SKKFILLDTLRSSKTYQLYPEELSANALYKTNIDELTSLTLPGRNGKQKEMKGKVKELLEYMSSMCSDGF -LKSESGLILASYKHIMLKSSTVSSELDSLRTGMMKEDIQQMNKDKDPHINNGNISGQNGNKVKKNLIERL -KKLEKYFKIMPNSAINLIKEFKKQIYDTELSNKETAQDLLYRLRPTAIIRGDNLLESINELLKGCIHTDP -INNYFKSKKEKVEEMADKFDTQSKYPVVNEIIFRDHLNLCRSLLQTVEEHQQIKLLPNVVDEHMKKIMTR -GTIAEECLKYINRVLQLISTFELGRVLKLYSYLCQSFLIAISEMTSSGIKVLKIPNCEMNLIVKVGAKRV -TNSSCILTDKNFKQISPRFFFDRSVATLGQSLIYTVAVNLIQILQTQNALSVLDSNFNQVKIDLLDKIKS -KISLFDSLVDTIVFRGCDFGTWSDLTKGLQRPWSIVKPMEEYEDGIDVISSHFACLSYIILPAVIRNNRK -DNKVLQMIRHPAMLSISKYGFPFGLGSKLDEPRRGMSTMILSRYVHFISFVNLQFSKERLKLWDSSQQRP -TELTLSFSLFPRTCNNDRQFTADMYYCHWYNKEMDDFQEGCIRVANEAFEKIFDWEKELEESISKFNELE -GYIKINSNNPIDSSKDVLEELKYYKMKILMLMGIKNLNVDNIKQRYLSLEKEPLIPSTDIDQGPEEFLNP -PDDEDVKEAEILLDKDVVAGTMLALSRYGSPVREIKIYQPKEISRTVINPISILKREKPGSSGTSARNSS -KSVSDTNSSEARKLRRATFSFDNISESSTRTIKEIGISLTDSDLKRRPKHRLNADYVLKTIIEAARSQKS -FSYGSPEFIQLCAQIAKEQYNPINISKATLDSSNNEQISSISETTSILQNSLEKQELATCIKTIIAKDSL -KLAKTISKSVRNTSATTEKSKSFSKQLDNLILTPDDKLETGIANLKQTIKELKADSKLSWKDIIKSEFQM -VFFSDNVSMIFRLMKTLWKDVKIFCRQEIGGLRRLSKDIFSEISIQSSSAQTTGLTKQEADKNFEILYDT -TLNLRQTINKKINETLTNVNVFGNQNLNINQEMLLLTRKIKEVKEKIKQYHISSETWQGSAWPELANKIK -EMDSEIMSSHSRLIFSLVVNLLSIQIMSPFTVGINELSKYLVTGHREIIMEIVKDNFEEGDSNNLKANLP -SFNPNSSKDYIRYLFYRLFKISSSKIAFMRWVKESFKVELSPITLEDIYELCVKCFFGINDPYASTISGA -SKKITSGSDISKQIKELISQSGSDQLELDFTITCTDIITGSLASVRRILGKQSKGLNLPKSIRSKVIYQM -YELSLELKTTNVQEMGFQILLDTGHRFYGGLAPKAQIGGDRDLIVQERKTKIAVHTNEMFCKSLMKSSVK -NDGLTNPKLKEEILDLASKEMLQSKLNHGMHLSEGRKMIYRVYNVIGDCSKWGPIHSTSFFGVGSQQLLS -ECEGWSQYSMLTMLKSLYKGIEIPTASIEKILRAIINDSEFKKDSESYKDNMNSEGFKNCVLKHGERVWS -RNKIAQLLLKNYIVKDKAFVSSYSHMGQGIHHRKSSEHASTMHITIDQLVRDYIESQVGSSICIIHHAGS -SDDFSKIIKVTSDCLTSEFYQKVSLIDKTVLKALKLMCGLMRACQMMVSVKSCISGFAAEFYSEFNFFDS -VSPPTLKFISNQLINHSVSSPCTLYQGTLVESQQAMYMGVPFLTNLMFSILKQCIFIENSPSFYRRWGLS -IMSSFPQFGRFFVPTWSRLVGGSSCQDELQIIISALEKLSSIIQDRFRNRPSKQDQPVSNTAEVSEIISE -VSKTKYGDIDYDSSAGETIEEPGLYRLYSSESDLESISNALDSDKDFCNSYDTNVNDETACMISLIAWRY -YFSGNPIIEDVGSNSAYHKLTDILDSSTNLRDPFLQILPESVMSEIEKLSEMKARFEGHTKNYINTSNEL -KSNIAANIISATSLTETYQVEIDRLKQALMSKNIIRGLAGGMREKSVPIHRQMMRGYFFNNETILNISDH -WVNNDNSKYLSDNRSNIPGSRRIKYTTFLNFVEQLSLITCESAINYLEGKGLNPEEMLKNCMKPLDLSLK -SIPIDLLYIENYSTLEKDYEEGYYLVFEPKKLNEFIIYLRQVRSEITNLSYSELHTAEMREFNGSELNTS -SKMKKMSLYSGTNQIKLHNRIGSLIAINLDFDTVLKMKPRDLSTSHITTDIELIQKLHPFLFSWLDETLK -LHQKSIGQEILGTGLSDTATQKISSISSECLSYCRMIEQGHKSLVKLFMFYPDQREEASTSFDLLVQNNT -IENRILSLEKEKFFKMHDTMVYVIKCVIAAINWIEETEDNKFTLLKQFLNTHIKQFKTLAFEHNIDCNWA -DALKHCEFSNENETISSYLMSTSMYHRTYHDRRKDEALIKIAQLAVGWSTSIIETPIGGLRSNVFFRGDK -ENFMFSLSSNSGTVCGSFHSPKLFLTLEGNYGELLSECEVLIFRRLNLDYGRFDPSEMRQNFFKLLPSYS -DSSLCNSDQLLFIRIDNGGNYVCNDREFIKGSTNKENYRIATWSKLPVSSKYSEPIDSSKTVYTKWYKNK -LEVGVTAVSKTIEIPASWTSIQTMARALLDDKALKAYQERLKSRTQWFCQATLVLPRLIPSHAAALYHII -KFHKSSLTLFDPFKSRLDDMSLKYIDEIERSKAGRSESIDSHKQSITGSEAVEVIKDRPKLSDRVLTEEI -SQHLSEQSSTVGQLIPIFLLFDYLGISDSELKYTGFSQNYMSSTQKWQVGGQAEIELNSLSNIISSIINL -KRREFTRITFRSFQNCKSLNEDDSSIQMISKTLMNTYDDQDLLKFSLILSTLLITEVCIPCLRLVKSSGV -IIIKSLLYCSGNSKIKINEHHYMELSKTPSDSILCFEVVKDDLDMMGDLDSDARSLINSFPPIQELIKLR -AQLTSSFSSVDDNSSGMSFLIKSDLQNSIMIDKKGKFLREIIKLLDRKTRFHGKFNIIFTQLLDLIWVES -SERKFLPDYVQNLLDLSSLAIIPSTEEQSGQTSSNLNNMADELLKKLYELTSNTDSTDKSYEDDSSGSEE -EQIREINFDLLDDL - ->YP_009293587.1 RNA-dependent RNA polymerase [Huangpi Tick Virus 1] -MASSIFHIAGDYSQSLRPTAYEVKEGHYEVEIVVNLKQSFRLHNVPSDGDCFFRCAALHLLKSEVEVSRM -KNIILSYALNNWDSLPELREYYSESAEYIRDFNSPGYWGGSIEAEIINHAFGVPVVLWHTSDWTMTVAAK -FWLRRHGPEPELNLVYQGSHFQYLEHKSEEKPSRVIPASIEEAGPTFTDKEEESEVVDILEDVFLPEHER -LRIDLLHGTSERKKPSLSPALSRQLEKLQEQEKTLPFRVGRFMSRLFPCSVKVQMLEQNAYQLVPQQAEG -RDILSLTDLGHLWMKAQKKVQRPPKVSLIVSDELAAFVDKQFVLSTLLGPTMLAGHSSVVPDELIAKVAS -TAAVVLMSSFFYKSSMKFKAEFILENLPLSDVPSTRAKKYIHSLRPFSVYHQPLETAQTLVLICFQEVCQ -RISLSISRLPSAAYLLLSCIDVASLSVKTFEELLEILKEPDFEERAISNSEVQEIVNTCVRLEKIFELKM -NGSDRIEYRDLLARFGLEADKHIHKTASVYWKSQELVLKDFFEKRMVMKLMSKKGKAYTGHSITSLLAYI -SNILFMKEKLGLSQEELAVLDSVEKRLVAIQSRDNKVPVPILCKMVEQPMFELFNELPESCSQECKMLFS -RVRNSDTHSTAWSAALRLKGVAYEGFFSKSYNIKYIPEDQKPTLSMAIQTYYPEKFDLFLQRTQLHPEIR -EFRPDFLLAKRHVYDPNSELPRSDILEQVSDDIIEGADSSPLTRKTNKVRAFPLPEVPIDEPFSFEKIGD -SLLKQVKLREKSGAFLANSTLPPIDKKTEFLIIEVGFQTDTEGKVYTDLTKWKSAVSLMDELNIRSTVIA -CSDCSSNKSSDWYVPERYVKLLKNSISNLFSKLNQNSPPEVTDIMIGAISTQKVRAVLKAGSSVKTPVTL -KDLLVTWKDNKNYITQRPTGLELPVRIEHMMTKAFCEGVTVTKDSARKVVDEVISQKEVIADWVESTKYA -CEVNEPIVSSCKLVLGWLLDDLESCRCSPCFKKVKATISKTDSIQEKLIYVARQIQLESHEECCHKLPLK -KKDKVMWKSKTPVPSLFGHLETEISDGEGRATTLDKLCRLTLPGKTEKERRIKRAVEQLLRETMYQSNIP -CIKLPTGQIIVNTDLFQNSGRIKGKVHKEEEGSSRIDRLKAILSPDKLFGYSENIKTTLSDALKGISRQQ -GSLCEMRPDWVRKVLSDLDADLSEADLLLRMENTRSEKLNFTVNNDKLVAMSNEEVLTYLLDCSEGLLNL -KTAKPVFRLDCLLHKEIYLECARRYQNTPYHDCMATVCELIKLFLSFQWFQELVTYSKICETFLQCCTEF -NRSGIKVRRVRHCSLNLAICLPSNKKENMKCAVYDSDFTLLTNGLFMLNRRVAVLGAAMPYIVIICALQC -IQHSRCVDQVHMVNEALVTDILTRNAKTLDLLQSVLPQVNNGCFEEAGRKFIDYCTMSGNYLTRSSRDTF -VCTVSGISVMFSCLLGSAMLLNSQPFNKQIQNMRFGMLFGLSRISCPTELGKKLSSSCRHVETYIARLYL -QLVTFCSGIDPETNIENWKKYDLCPKTTIPSLTLTGCLVSGDRQLIFDIYLVHIYNKEMDNFDEGCIKVL -QETLERHISWEVSLLESAQNYKNGKQSDRLKEMRTMRLLLGLPNIKPGDVVPEIYSEDDSKSSASSTSSS -HSIGKTRSFSSGKRFKSVYGRLRSTIKPFSADLGLEAIRDEMSDFNLAIVDSGTGMKYEPNPESVMKDIK -QVVKCNPSHTFGSFDLIQCMVEIARQKFPPESIAKAQRDQTNWQGVSAYTETTSSIAEPRTRIILKDALK -VITSGETKKSVKLIRNRLRKINGGANPRPERISELIKMLDTVETFTEQQREDIKRGLAEPSRLSFFPWKE -IVSRSIRDVLITNDANMIYCWLKSLAANIKKHLKPYIPHLRYGKQTTIKDSSRLKALLGDTYPAVKALMD -FFSDAANNVDTSEHVMPPYTDVFDAWIKFIDATPSVKEIVMSSWQSTLDMLDDFGILICHYVELVDKKKK -YPDLSFIREEIEVKDREQQFLQKYDSNIMEFINMIFAVSLCCPWCIHYKSFELLISQCNAPFSPESDNSE -HIEFLKNIGPLNLFRYKWPSSYSMKDIPQDHWHNMEMLYRYCCAMFSSNEEPITAILNLKKEAITHHAEE -QALSMTRALLAKYGLESTDLDFKWTLNLIANSNFEVTKRITGRTEGEKLPRSVRSKVIYEMIKLVKNTGM -AILQQHAFSYILNSGHRFFAVLAPKAQLGGHRDLLVQEIMTKIIHAASETFSRALLATTQDDGLTNQHLK -ESILQYAFEQMQLSNSSHGGSTSESHTSVRFFCKTFAISGDRTKWGPIHCTSFFSGMMQQLLQDCPDWCS -FMKLVMLKNLYRQVEIPSGAVKKILNAFKLHTEGKYHLEEMTEDSLRRLLLEHSGIWKDNHMIQFLVQVY -LSKGKLALDCYNHMGQGIHHATSSVLTSCMAVLVEDVIISYFLVHMPELTTTVKHAGSSDDYAKVVTVAG -HLPESLFERYDKSFWKHVCRLQNSMNGISRACQMKDSAKTLIGDVMCEFYSEFMLFHRVTPAVIKFILTG -LINSSVTSPQSMVQACQVSAQQAMYNSVPLMTNICFTVFRQQMFANHTELFQRKYGPLVHGLPSAFGRLY -LPTFSNLTTSAIAIEDAESISCDMETLVELSSSLRSTPEPNYDHLELRSPEVSDNSLDSSPEISSEAGGT -ASVSSASTSSFKFSETKRLTSTEMEYLKASSRTSTISSENQVLQHVEQVYSGHSDYDGWPCLEKLRNSPL -VVDSSELKKLYEECPLRLIRYVKSIICGLVVGYYRSFASEGTEKTLKANLNRDENRIIEDPMIQLLPEKL -RRELHRLGVAREEYDGYSDGATNLLPLVDQVARRVVTMNCLTEDFEAEADRLKQTLSSRNIIHGLAGGIK -ELSLPLYTIFLKSYFFIDKVFLSHTDRWNTKHSRNYKDSTGKSLDGKVVTKYMVWLDAVLGSLATRNNRK -LIEPSSLLNSSLKCIELLTFEGGTRVLSLVVEDIRFVKDELRALAVQFSDSNRMKMKVLESSRPEYEREA -NKVVISKSGLFSAGEQIKIRNNPALVIGCLLNKEIVIEIKPSKLDLSSLLIDVMKMKQFYTSISEVCDRI -CEESKSHEKLGEVPRQDEVNGFANTLTLLSRLAQKSNTRIVSFHMIKPMSAHTESTVSDLISYGTKEGRN -IILSDIPIETGTTSLKYWRILQCISSIGNFSLSDDEKTNLLTGFMNWVPRLSMLDTNCPVYKYEETVLEE -FKDRSLVNSLMAEIPNIKKESERKQVECLVDFVQEPMILVTKKPFFGKTVDFNTRGGDTHRTGNFTLSSS -AGEAAGIFVNGSLHIYLSRDSNILLTEVESHVLYWQNKMRTDVLTREQHNDFIDFLPTYGSLPRKLAEGA -VRGVKIDEQTPRMLKLVHPKTHDRVVKVKPHILTVRKSSDDTRVNEPRLIWGKGSVSVVYDEYTTETTYH -EAILSIRRKLDLALESVQDQSIPSKFYSDMKVVLGKIKYQQEANNASLALLHCYLSHSAEHARLELHTKT -QMIEDLLGINTSLELNVLQKLRNFTRDQRKPLRDSTEQLITVASKITESLNNEQLPLSYLPEVQQFLDET -GNSAITVEADMCGLQNSLKWKCTVETIGFLKPTGDLRSIVNTIGGESMPLQLAQFSVNPCDWKQLVTIGK -EAQQQLVSSRTTDRVMDALFCVSLYCCQEQPLVRSGYRCLPSSLLPLVHKPYFEVKGVGQVGFVAVDDDT -VELKVSIKVPAVKKTDRKAIRALTNASIVGNNLLSEQTRTYEQIKNDLMLSLTHEGQFSILSYRYDSTKA -RETTVDRLFVTLANSTEETMRSLKGICNLACFSKKMFLHTQFYTPMTVLCCHPAIHYHFQSKRN - ->YP_009246486.1 RNA-dependent RNA polymerase [Yogue virus] -MDILSDLVWNEIYEQSYSNVSKFQFHNYFDVDEMPGDGTCFFSSVSKYIFNTTEQWRAVKTTCANYARAN -WDTVMEIDRHYQNADHYVNDLMRDQYWGGSVEAEILNKALNMTVHIWVSDDGVWVQNARRWGREAVAASL -NLVHVHGGHFNLLIPKNAAVPEPQPQMTLEEKVNVAVSSILDTENGPEELAAEIVDRHKTFQEELNEELK -SMAELKSTLFEKKNVSHDMKGKEDKLSKSELDWRRKVTDKKNIPVRVGKVFSNLFSMPIEAVIEDSTLTL -YPKIPGKVRPRAFDINILGHRILEGDKSFTAACSKMLSVVSGELMSYLDASYLLRLVAPGLGLSQVPGVI -HPSIKVDMCLCVVSILLSSFLYKAKPKLKRKFVLAANEGSDIDKKKLVSGLLKLNNRVLYNRPFKLVQEV -SNPLFLGQLTKVAEALRLMNAQSKVSFYCIDLNGMRKETYFALLKEMCETDLEDQNFVSEELKELNACVR -LVVSLSKSKSKKEPNAELLKDVTSFLKNDGSIHRRKSEKLRNCQELIQECITVFFRRRMIFKFVSLKGKA -YSGASIGNLIAYCHNLYLSREGLNFTEADTEQLSIEIRKLNEVLSTTPKKPIALVCAELYKSFQELFSAL -PKDCAEECRTLFEDVRNSESHSSAWSSALRIKGVAYEGFFSLSNNWKYIPEDLKPTLGMAIQTVFPEKFE -RFLERTHLHPEYRDFTPDYLMCRTKTFKKDKLQKPQNEVKKPEKKENLESASDQVSDDEAPEARVTKKKR -FPMPEISVQEVLSVENIMRKFKEKSDEKKRQMRQETSTVSSDLDESELEIDELLIVEVGYQTDVEGKIVS -DMEKWKGVIGLMSHLGIKVSVLTCADNSQTPRSDWWIEERYVKLLLNSISHLFKELLENSPSEITDIAVG -NISTQKFRSVLKSGSIVKTPVTLKEVYEAWKISAPHILKRPTGIELPKATMEAMEVSLVEGAVLNKSSAE -QIISKAEMNCSEIIKEFERTKNRHELNKDEKTAQKLMFGWISSDISNACCATCVQKIKQGVDKLTSESEK -LNYLCGNLQTNNNECCRKVRDTLFEVSSFERRVPNIGLAKHKELQLDADKQAGTMLDAITKLTLPAKTEK -ERKLKRSVEQLIRSMMRHSGIQAIKLPTGQMLVDSSINYKVTSDKEEIKSFKKGAIKTVEEDKEHFEKVL -APSKLQQYSEHVKNVIKHSIQRIDKCSGSLCEINPQWVKNIHYDLGSDTSDDNILSKLQESYEKKSSFKV -KNDKFICMPWESIESYIKNKLLKHNIMEEPLFKLDCILFKEVYTELCSRLRETPYSTCPKLIAELLKLLL -NFEWYQTHVLYSKVCESFLQSCSEFHRAGIKVLRIRHTDLNMVVALPSNKKQNMRCAVYTSNFQLLQGPF -LLNRRQAVLGAAYPYLTAICILQCLQHYRCLDQVEQISEEKLVKIVENVQRLGISAIGMLEKVYYGCFTN -ASTDLTALCKETGNFLNRSVPDHFISIISGLMLVFDVLLGDSFLNNSQPFNKQIQMMRFGMLSGISRMSC -PQELGKKFSSSCRKVEFHVARLYMQLIVFSCNVKVERNLRNWTKGDLCPDVNLPCFSIFGAMINSDRQLI -FDIYLVHIYNKELDDFDEGCIKVLEETAERHMTWERDVKQAVEKLRDPKEARNAKRNLRLLLGIPNLKRM -DVSGSEGTECESIRERGSVGPRNSDTSSKSARSFRELRYRPTSIYGIRSSTDKPFSLSETLEVSRDDQRD -YQQAVTDKGIYHTYNASQDSVYKDIITCIRQNPNHTFASYELVQACTEMAKTKFPPESIEKTRRDRRNWI -SVSEVTETTSIVAEPRSVILIKDAYQIILGSENKKIVKLLRGKFQRLGMECKAEMKGKTRCQDLLTTVEI -LTEGQIDSITKGILDPSKLTFYNWKDLMKMEIKDVLLTDDGNYIYCWLKSLSQMVKNSLKNEVKGLKYNT -MGMKSIIKKTDLLTQEEFNATTCFISFLKSCASGEVPQELDGKEVSIELLLESWLKFLNKVKLSGIVIDE -GLSSIKNMSESLRKMEESYRTLCELKKEMPDLSFSREEIVLRQLEKDFIKKFGKEIMKLSNLIFLICLCC -PWCVQYKTFEAIMMKNVAEAPGFNLPKSATSLRELHPDSIIQMLVQRQCIEVSDSDIVNCTKYCMCLFTV -NELPYTSALNSHGALTYKSPNEQLIGRVKGIMAATGLDDSRSDFKWTVCLIANSNFEVAKKITGRSNGER -LPRSVRSKVIYEIVKLVDSTEMAILQQLAFSFILDPNHRFFAVLAPKAQLGGHRDLLVQETGTKVIHATT -EMFSRTLLSTTKDDGLTNNHLKETILNCGLEALNQSRIIHGKELSKGAGQFYFYKACCISGDNTKWGPIH -CCSIFSGMMQQLLKDYDDWTSFYKLTFLKNLCRQIEIPAASIKKILNSFRFKNKDLKVDQLTESELRDKM -VERLSTWESNEIIQFLVENYVSKGKMALNSYNHMGQGIHHATSSVLTSVMAEVNERLIINYCSQRFPELQ -VTVTHAGSSDDYAKCIVLSGVLTETLLSNYEEVFWPTMCNLKNYLSGFNRACQMKDSAKTLVSDCFFEFY -SEFMMSQRITPAVIKFILTGLINSSVTSPLSLIQACQVSSQQALFNSVPLITNIAFTIFRQQIFFNHTEF -FTRQYGPITMGTLSPFGKLYVPKLSGLISSSIAIEDAEDVVKSCLELKRLMVHLPDGNRPKLTGSNSGSS -EDIPEVDDVSVSESAETSSLSSAPTDSSGASFHFSMHRALTSTEENYSKTMDIQFVSGILDLVEEEVAKV -YLNHSDYQKSPCQEKLSRSGMVQSNLHLTKLLDHPLRLTKTISSVLNCLIMGHYRTFTSEGTEKSVKANL -NRDENRMIEDPMIQLLPEKLRRELNRLGLARMEASELISKPSSTDTLSNLIANKLITMNCATEEFKSEVV -RLKQTLTSRNVLHGLAGGIKELSLPLYTIFMKSYFFKDSVFLDHKDRWNTKHSENYRDSTGRVLDGKVVV -KYLTWLDCFLSSEITVNRTTPTVCDSLFDQNLRGIEVVHRSDSTFELQVISQEIDVIENETRNLAIQFTD -VNRQKIKVAESRPAKSELDAHKAVIVKSKLFSAIDQVKLVNSPAIVVGNLLDESSLFQTKPRIDMGNLGR -DSFKLTQFYSSLVELINEINNESENLRKSKTIPSPETVNKYANNLTVLCRMVQQARSKLTSFYMMKGSHV -NNEPTVVELMNYGIIEGKFFEVQDEITDMSSYSVKYWKILQCISAISVMPLKDNEKTALMNSFLNWRPSI -EECDTECPLHKRENVILQEFHGRVLIDVLSSELPSIKNEQQRKSLEDLIDFVNSPMELLRKKPCLGTTAA -FHCWENSGKKGRFTYSSSTGDASGVFVGPYLYIIASNNSPALILQVEKKVLEWLNKRRTDVLTREQHEFF -LELLPEYRIFPKKVGDGRVYALKPSREDPKLLSFFSPKDTDRVIKVKKNILSVKRKTTEEFIGEPRAVWS -NNNLTLLYDEQVEKTDYHQDLIAIKTLLDNVLGSDKSKVPSAVYEDTRIVLSRIRFSSDLFLKSLLLLHH -FLTHTPSAAVLQAKSKSNLIQFLQHGVTSSCQLGALGKKLQKSVLTMISEEVSGVSTKEEMLCNHLTTAL -QRRKLNMDAWPEVQSYLDETGLSNIQLEFIQRGASDVYDWKFKVVQDVSMSQRSQGIRGIISSISSEAIP -RFLAPIIVDGKLLFNTLQLFINCRNVTSRTGITDCELDGLICSLVFSLQSKKVVRLGHRFTFNNLLHLSL -KKTFTTADEGTVCTFQNNGDEVQLQLKIKTVKADELKEDKKRRIELARVRILSAYNSILPPLSSVQSVLS -AVEGAYEDPVQSSGEYFNLDLGMKTSARCNPKGLWEFICPGTSWRKSDFDALQKVIALLSGIGEVDVEEE -VKIEDPRVYSASQVTYVDLFEDNEETNPQDVPEPIGASYSFNWDD - ->YP_009111284.1 RNA-dependent RNA polymerase [Leopards Hill virus] -MEALGDLVWEELYEGSYSNVNKFQFNNFFDVDEMPGDGTCFFSSVSKYIFNTTELWQTVKSTCANYARAH -WKEVMEMDRQYAEADAYISDLMRDQYWGGSIEAEILSKALNMTIYIWVSGDGVWVSNARRWGGEPIQTSL -NLIHVHGGHFNLLLPKYLQPVQVREQMSYEEKVNVTVSAILDNEGSAETLAQELTENKSSFQDELNEELK -AMAELKFSLFEKKHDIQLEKERAKPEKTTKSELDWRRKVQDKKNIPVRVGKVLNNLFNAPIEAVFDDGNL -LLYPRIQGKLRPKAFSISALGHRILDGDKSFLNACTRLLVVVTSELMSFLDASYLLRLVAPGSGLSQIPG -VVHPGIKVDMCLCVISILISSFLYKAKPKLKRNFINSANTGSSVDKQLLLKGLLKLNNRTLYNTPYKLVQ -EVSVPLFKESMDTIAEALRLMNAQSKVAFFCLDLNGMRRETYFNLLEELKTQDLEDKGFVSEELKELHSC -VLLVVKLSKIADKRNVPDDVLEDVRQYLGSDGKIFRKNTTKKRNSSELAQECITVFFRRRMIFKFVSLRG -KAYSGASVGNLIAYCHNLYLSRESLNFTEEDTEQLSIEIRKLNELLSTEPKKPIALICAELYKPFQELFA -ALPKDCSEECQTLFEDVRNSESHASAWSSALRIKGVAYEGFFSMSNGWRYIPEDLKPTLGMAIQTVFPEK -FEKFLERTHLHPEYRDFTPDYLMCRSKVFKSDKVTKKVDSQGDPLVKKPNHAEADDDIQYAKLSSHRKRF -PMPEIAVQEVSSVSAIMDRFKLKSSEKGRPIRQEESKPSASTEKGDIEIDELLIVEVGYQTDIEGKVVSD -MEKWRGVINLMTHLGIKVNIITCADNSQTPRSDWWIEEKYVKLLLNSISYLFKELLSNSPSEITDIAVGN -ISTQKFRSVLKSGSIVKTPVTLKEVYDAWKVAKPHIMCRPTGTNLPEHIAEAIEVSLVEGAIMNRQSAED -VLSRIEKESGNIIKEFERTKNRHELNKNEVTAQKILFGWLMSDLNNACCHECMVDIKDNLNKIESHAEKL -SYMCLMLKPNEKGCCRPSKKSLYEVSSFERRMPPLDHINHKELNIGVEEQKGTMLDAIVKLTLPGKTEKE -RKLKRSVEQLIRSMMRHSKVQAIKLPSGQMLVDSSFTTQATLDKEEGKSFKKGGTKTLLEDKEHFDKVLA -PSKLMQYSEHVKSVIKHSIQRMDCCKGSLCEINPQWVKNVHYDLGSDVSDDNILAKIQESYEKKANFEVK -NDKYRCIEWPAIEEYLHNKLNSHRLSDNKVFKLDCILFKEVYTELSVRLRETPYNSCPSLIASLLKLLLE -FQWYQHHVLYSKICESFLQSCSEFHRAGIKVLRVRHTDVNLVVALPSNKKQNMRCVVYSKDFQLLQGPFM -LNRRQAVLGAAYPYLITICFLQCLQHYRCLDQVQSSSEEVRAKIVRKVEMLGEVSLGLLKDVYHGAFMSA -SSNLLRLCKESGNFLNRSSPDHFISVFSGLTLVFDVLLGDSILNNSQPFNKQIQMMRFGMLSGLSRMSCP -TELGKKFSSSCRKVEFHVSRLYMQLVVFACNHKVKSNLENWVKGDLCPEINMPCFSVFGTMINSDRQLIF -DIYLVHIYNKELDDFDEGCIKVLEETAERHMSWETEVKEASKKIEAGQEARYYKRMLRLLLGVPNLKRMD -ITSSEGTESLSVSERGSTDSRLSNVSSKSARSFRSLRYRPTSIYGIRSSQDKPFSLNEVLEVSRDDQRDY -QQAVTDRGMYHTYQANPESVYKDVVTCIRHNPNHTFASYELVQACTEIARVRFPPESIERARKDRKNWIS -ISEVTETTSIVAEPRSVIMIKDAYQIILGAENKKIVKLLRGKFQRLGMECKAEMKGKTRCQELLTTIEIL -TDNQLDSIVKGITDPSKLTFYNWRDLVKMKIKDVLLTDDGNYIYCWLKSLAQAVKGSLRTEIKGLKYNTM -GTKSNINKTKTLEDAEFTAVSSFINFLKACAAGEINSDLDNKEISLDSLLSSWLKFFRKVRNSKEVEGEG -LSSLAKMAQDIKKMDLSYRTLCQLKKELPDLSFSREEIILRQLEKDFIKQHGDNILRLSNLIFLICLSCP -WCVQYKTFESIMMRNVAEAPGFNLPKSSTSLRELHPDSIILMMIREACLDTSDEEAILCTKYCMCLFTVN -EMPYTSAMNSHGDFVYKSPNEQLIGRVKGIMAATGLDDSRSDFKWTVCLIANSNFEVARKITGRSNGERL -PRSVRSKVIYEIVKLVDSTEMAILQQLAFSYILDPNHRFFAVLAPKAQLGGHRDLLVQETGTKVIHAATE -MFSRTLLSTTKDDGLTNNHLKETILNCGLEAINQFKIIHGKELSKGSGQYYFYRVCCISGDNTKWGPIHC -CSIFSGMMQQLLRDYDDWTSFYKLTFLKNLFRQIEIPAASIKKILNSFRYKNKDIKVDQLTETELRDEMA -NRLQTWKGNDIMTFLVENYVSKGKMALNSYNHMGQGIHHATSSVLTSIMAEINERLIINFCGQRLPDLQV -TVAHAGSSDDYAKCIVLSGVLTETLMENYEEAFWPTMCNLKNYLAGFNRACQMKDSAKTLVSDCFFEFYS -EFMMSQRITPAVIKFILTGLINSSVTSPLSLVQACQVSSQQALFNSVPLITNIAFTIFRQQMFFNHTEYF -SRVYGPITLGTLSPFGRLYVPKFSGLISSSLAIEDAEEVVKSCLEMKRLLLHLPDGTRAELDSASDDSTD -KVIEADVSVESESVDTSSLSSAPTDSSGASFHFGIMRSLTSAEEEYSKVISQQFTGGVLDKVEEEMMLTY -QDNQDYPIAPCISKLLKSGMVISNVHLKDLCDKPLRLIKIVTAVLNCLIAGHYRTFTSEGTEKSVKAHLN -RDENRMIEDPMIQLLPEKLRRELNRLGLARMEADELIAKPDIKDTLSNLIANKLITMNCATEDYKSEVLR -LKQTLTSRNVLHGLAGGIKELSLPLYTIFMKSYFFKDLVFLEHKDRWNSKHSTNYRDSTGKVLDGKVVVK -YTTWLDCFLSSELSLNRSTPIVSDSLFDPGLKGIEVIHKSNGTFELQVLPEEIEVIESETRSLAIQFTDV -NRQKIKVAESRPAKHELDAHKAVIVKSKLFSAIDQVKLVNNPAIVVGNLLDETSLFQTKPRIDMGNLGRD -SFKLTQFYSSLVNLIREINNESETLRKEKIVPSAELVNRYANNLTVLCRMVQQARSKLTSFYMMKGSHVN -NEPTVVELLNYGIVEGKFYEVQDEIADMSSYSVKYWKILQCVSAISVMPLKDNDKTALLTSFLNWKPSVN -ECDSDCPLSRREKSVLQEFNGRVLVDILSSELPSIKNEVQRKSIEDLVDFVNSPMELLRKKPCLGTTASF -NCWDNGGKRGRFTYSSSTGDSSGVFIGPYLYIVLSNNSPALLLQVEKKVLEWLNKRRTDVMTREQHEYFL -ELLPDYRIFPKKVGDGKIFSLKPSREDPKLMCFLSPKNGDKVVKVKKNILSVKRKAADEPLGEPRAVWSN -NNITILYDEQVEKTDYHQDLVAIKNLLDDVLGSDRSKVPSSVYEDTRIILSRIRFSADLFLKSLLLLHHF -LAHTPSAAVLQAQSKTNLLKFIESSAVSNCQIGSLGKKIQKSVLSMIAEEVTGISSKEELICAKLTDALR -KKNFSLEAWPEVQSYLDETGFSNIQLEFLQRGTTDTYDWKFKVIQEVSLSQRSQGVRGIISSISSEAIPR -FLAPVIIDGILTHNIVQMFINCRNVISRTGISDTELDGLVCSIVFCSQSLPIVREGHRFSFNNLLHLATK -KTFATADGSISCTFQNNGDEVQLAVKVRTVKPDELTESKKRRIELTKMRILTAYNSFLPPVTSVSEILSH -VEGAYEDPVKNTGEYFNLDLGRKTSEGCRTRDLWELICPGTSWRRNDFESLMQIISLLSATKKAEVCEEV -SVEDPRMFSAEQVTYLDLFEDSPSAEQGDSKVMEEPVGASFTFNWDD - ->YP_325663.1 putative polyprotein [Crimean-Congo hemorrhagic fever orthonairovirus] -MDFLRSLDWTQVIAGQYVSNPRFNISDYFEIVRQPGDGNCFYHSIAELTMPNKTDHSYHYIKRLTESAAR -KYYQEEPEARLVGLSLEDYLKRMLSDNEWGSTLEASMLAKEMGITIIIWTVAASDEVEAGIKFGDGDVFT -AVNLLHSGQTHFDALRILPQFETDTREALSLMDRVIAVDQLTSSSSDELQDYEDLALALTSAEESNRRSS -LDEVTLSKKQAEILRQKASQLSKLVNKSQNIPTRVGRVLDCMFNCKLCVEISADTLILRPESKEKIGEIM -SLRQLGHKLLTRDKQIKQEFSRMKLYVTKDLLDHLDVGGLLRAAFPGTGIERHMQLLHSEMILDICTVSL -GVMLSTFLYGSNNKNKKKFITNCLLSTALSGKKVYKVLGNLGNELLYKAPRKALATVCSALFGKQINKLQ -NCFRTISPVSLLALRNLDFDCLSVQDYNGMIENMSKLDNTDVEFNHREIADLNQLTSRLITLRKEKDTDL -LKQWFPESDLTRRSIRNAANAEEFVISEFFKKKDIMKFISTSGRAMSAGKIGNVLSYAHNLYLSKSSLNM -TSEDISQLLIEIKRLYALQEDSEVEPIAIICDGIESNMKQLFAILPPDCARECEVLFDDIRNSPTHSTAW -KHALRLKGTAYEGLFANCYGWQYIPEDIKPSLTMLIQTLFPDKFEDFLDRTQLHPEFRDLTPDFSLTQKV -HFKRNQIPSVENVQISIDATLPESVEAVPVTERKMFPLPETPLSEVHSIERIMENFTRLMHGGRLSTKKR -DGDPAEQGNQQSITEHESSSISAFKDYGERGIVEENHMKFSGEDQLETRQLLLVEVGFQTDIDGKIRTDH -KKWKDILKLLELLGIKCSFIACADCSSTPPDRWWITEDRVRVLKNSVSFLFNKLSRNSPTEVTDIVVGAI -STQKVRSYLKAGTATKTPVSTKDVLETWEKMKEHILNRPTGLTLPTSLEQAMRKGLVEGVVISKEGSESC -INMLKENLDRITDEFERTKFKHELTQNITTSEKMLLSWLSEDIKSSRCGECLSNIKKAVDETANLSGKIE -LLAYNLQLTNHCSNCHPNGVNISNTSNVCKRCPKIEVVSHCENKGFEDSNECLTDLDRLVRLTLPGKTEK -ERRVKRNVEYLIKLMMSMSGIDCIKYPTGQLITHGRVSAKHNDGNLKDRSDDDQRLAEKIDTVRKELSES -KLKDYSTYARGVISNSLKNLSRQGKSKCSVPRSWLEKVLFDLKVPTKDEEVLINIRNSLKARSEFVRNND -KLLIRSKEELKKCFDVQSFKLKKNKQPVPFQVDCILFKEVAAECMKRYIGTPYEGIVDTLVSLINVLTRF -TWFQEVVLYGKICETFLRCCTEFNRSGVKLVKIRHCNINLSVKLPSNKKENMLCCLYSGNMELLQGPFYL -NRRQAVLGSSYLYIVITLYIQVLQQYRCLEVINSVSEKTLQDIENHSMTLLEDSFREITFALEGRFEESY -KIRTSRCRASGNFLNRSSRDHFISVVSGLNLVYGFLIKDNLLANSQQQNKQLQMLRFGMLAGLSRLVCPN -ELGKKFSTSCRRIEDNIARLYLQTSIYCSVRDVEDNVKHWKQRDLCPEVTIPCFTVYGTFVNSDRQLIFD -IYNVHIYNKEMDNFDEGCISVLEETAERHMLWELDLMNSLCSDEKKDTRPARLLLGCPNVRKAATREGKK -LLKLNSDTSTDTQSIASEVSDRRSYSSSKSRIRSIFGRYNSQKKPFELRSGLEVFNDPFNDYQQAITDIC -QFSEYTPNKESILKDCLQIIRKNPSHTMGSFELIQAISEFGMSKFPPENIDKARRDPKNWVSISEVTETT -SIVASPRTHMMLKDCFKIILGTENKKIVKMLRGKLKKLGAISTNIEIGKRDCLDLLSTVDGLTDQQKENI -VNGIFEPSKLSFYHWKELVKKNIDEVLLTEDGNLIFCWLKTISSSVKGSLKKRLKFMNIHSPELMPENCL -FSSEEFNELIKLKKLLLNEQQDEQELKQDLLISSWIKCITACKDFASINDKIQKFIYHLSEELYDIRLQH -LELSKLKQEHPSVSFTKEEVLIKRLEKNFLKQHNLEIMETVNLVFFAALSAPWCLHYKALESYLVRHPEI -LDCGSKEDCKLTLLDLSVSKLLVCLYQKDDEELINSSSLKLGFLVKYVVTLFTSNGEPFSLSLNDGGLDL -DLHKTTDEKLLHQTKIVFAKIGLSGNSYDFIWTTQMIANSNFNVCKRLTGRSTGERLPRSVRSKVIYEMV -KLVGETGMAILQQLAFAQALNYEHRFYAVLAPKAQLGGARDLLVQETGTKVMHATTEMFSRNLLKTTSDD -GLTNPHLKETILNVGLDCLANMRNLDGKPISEGSNLVNFYKVICISGDNTKWGPIHCCSFFSGMMQQVLK -NVPDWCSFYKLTFIKNLCRQVEIPAGSIKKILNVLRYRLCSKGGVEQHSEEDLRRLLTDNLDSWDGNDTV -KFLVTTYISKGLMALNSYNHMGQGIHHATSSVLTSLAAVLFEELAIFYLKRSLPQTTVHVEHAGSSDDYA -KCIVVTGILSKELYSQYDETFWKHACRLKNFTAAVQRCCQMKDSAKTLVSDCFLEFYSEFMMGYRVTPAV -IKFMFTGLINSSVTSPQSLMQACQVSSQQAMYNSVPLVTNTAFTLLRQQIFFNHVEDFIRRYGILTLGTL -SPFGRLFVPTYSGLVSSAVALEDAEVIARAAQTLQMNSVSIQSSSLTTLDSLGRSRTSSTAEDSSSVSDT -TAASHDSGSSSSSFSFELNRPLSETELQFIKALSSLKSTQACEVIQNRITGLYCNSNEGPLDRHNVIYSS -RMADSCDWLKDGKRRGNLELANRIQSVLCILIAGYYRSFGGEGTEKQVKASLNRDDNKIIEDPMIQLIPE -KLRRELERLGVSRMEVDELMPSISPDDTLAQLVAKKLISLNVSTEEYSAEVSRLKQTLTARNVLHGLAGG -IKELSLPIYTIFMKSYFFKDNVFLSLTDRWSTKHSTNYRDSCGKQLKGRIITKYTHWLDTFLGCSVSINR -HTTVKEPSLFNPNIRCVNLITFEDGLRELSVIQSHLKVFENEFTNLNLQFSDPNRQKLRIVESRPAESEL -EANRAVIVKTKLFSATEQVRLSNNPAVVMGYLLDESAISEVKPTKVDFSNLLKDRFKIMQFFPSVFTLIK -MLTDESSDSEKSGLSPDLQQVARYSNHLTLLSRMIQQAKPTVTVFYMLKGNLMNTEPTVAELVSYGIKEG -RFFRLSDTGVDASTYSVKYWKILHCISAIGCLPLSQADKSSLLMSFLNWRVNMDIRTSDCPLSSHEASIL -SEFDGQVIANILASELSSVKRDSEREGLTDLLDYLNSPTELLKKKPYLGTTCKFNTWGDSNRSGKFTYSS -RSGESIGIFIAGKLHIHLSSESVALLCETERQVLSWMSKRRTEVITKEQHQLFLSLLPQSHECLQKHKDG -SALSVIPDSSNPRLLKFVPLKKGLAVVKIKKQILTVKKQVVFDAESEPRLQWGHGCLSIVYDETDTQTTY -HENLLKVKHLVDCSTDRKKLLPQSVFSDSKVVLSRIKFKTELLLNSLTLLHCFLKHAPSDAIMEVESKSS -LLHKYLKSGGVRQRNTEVLFREKLNKVVIKDNLEQGVEEEIEFCNNLTKTVSENPLPLSCWSEVQNYIED -IGFNNVLVNIDRNTVKSELLWKFTLDTNVSTTSTIKDVRTLVSYVSTETIPKFLLAFLLYEEVLMNLINQ -CKAVKELINSTGLSDLELESLLTLCAFYFQSECSKRDGPRCSFAALLSLIHEDWQRIGKNILVRANNELG -DVSLKVNIVLVPLKDMSKPKSERVVMARRSLNHALSLMFLDEMSLPELKSLSVNCKMGNFEGQECFEFTI -LKDNSARLDYNKLIDHCVDMEKKREAVRAVEDLILMLTGRAVKPSAVTQFVHGDEQCQEQISLDDLMAND -TVTDFPDREAEALKTGNLGFNWDSD - ->NP_690576.1 L protein [Dugbe orthonairovirus] -MDFLDSLIWERVVDEQYITNPTFCVSDYFEVIRQPGDGNCFYHSIAELFFDVKTPSSFRKVKEHLQLAAE -VYYDTEPEAVGTGISKDEYIKVAMKDNEWGGSLEASMLSKHLQTTIILWVVNSTEQVTAAIKFGPGRVST -ALNLMHVGRTHFDALRIIEQLENNQPQDRNRLDIADRIAAAEVYVRQSIEDNLQEDEFFDYAREDEISED -VSAPGGSREATELKKKAILLNKTVKRGENIPIRVGRVLDCLFSCKIAVSLDEGLLYLRPETRESEATSIS -LRQLGHKLLTRDRHIKMEYARSKLYVTRDLIDHLDIGGLLRSSFPGLGLERYIQLLHSELVLDLVTVVLA -VLLSTFLYGSNNKNKKQFITNCLLNTKLSGKRVFKALSKLTGQMLYRTPKRAVSIVSQELYGKLMLKVKN -NLEGMGPISMLALRNLNFDNMQLQDYLEMLSEMSKIDNSDVEYTHREISDLHTLVERLSKLQKSQDVNEL -KLWFKEEVLTKRSQRSVGNAFEFLINDYFKKKDIMKFVSTSGKASSTGNIGNVLSYAHNLYLSKESLRMT -SEDVTQLLIEIRKLHKLQGDLSIEPVAIICDKLEDQFRKLFRELPEECSSECQTLFNDIRNSPSHSVAWK -HALRLKGTAYEGMFAKQYGWSYISEDIKPSLTMIVQTLFPESFEAFLDRTQLHPEFRDLTPDYALTQKIF -FPRNTIPRTENRQLAIDVSLEGSVEAVPIVEKRMFPLPEVPIGEANSISRVMNIFKEKREESMQKKLEHD -RQAEANRLKSAGLSASKAEQEVCNSAQDRKEEKERTTEPAGKQQRTEDLVVIEGNQDEGDSDPQKKVDEK -TVPGESKQHSKSSGSSSTNQMSQKVVDVPSVEDSSDQAPGDFPDYGYYFKRIVMDESGTVLTEEAQLEKR -QLLFIEVGYQTDVDGKITTDYKKWKDILRLLELLNIKCSFIACADCSSTPSNNWWISEDKVRLLKNSISH -LFSKLTQNSPADVTDIVVGSISTQKVRSYLKSGTATKTPISLKDVQETWSKMKDYIVNRPTGISLNKELV -GALYQGLVEGAIISKEGTVNLIQMLKDKQERITDEFERTKLKHEVNEDVKTSEKLLLGWLMEDLKGCRCM -GCLTKIKELSESMSVNQDRLEYLSTNCQTKSHCTECHPRSLEYRNISNVDNRVPSMQRVSHSRNEGFEDT -NETLTELDRLVRLTLPGKTEKERRVKRNVEGLIRFMMQQSSLDCIKLPSGQIIAHRCSKKFKNSSEAEEK -CNERFERLMKELSEQKLKPYSDHVRKTITSSLKKTDKQAGSKCAVPRLWLETLIRDLRVPTKDEEILLNI -RTSMQSKTNFIRNNDKLIIRSNKEIADYLETKRKNLLSEKASDKIFSSDCILFKEVIAEALRRYYSTPYE -GVPETIVKLINFLCTFGWFQEVVLYSKICETFLRCCTEFSRSGIKLVKVRHCDTNLSIKLPSNKKENMLC -CLYDKNMSLLKGPFFLNRRQAILGSAYPYILITLYIQVLQQHRCLEVLNSVNDRVVGNINTCTSNLLNTV -KAELTLVNSGLFEKAYECRTEQCRLGGNFLNRSSRDHFISTVSGLNVVYGALIKDNLLANSQPQNKQLQM -LRFGMLCGLSRLSSALELGKKFSTSCRRIEDNIMRLYLQSTIYSANRDVSQNVQNWKMKDLCPDITIPCF -SVYGLFVNSDRQRIFDIYNVHIYNKEMDNFDEGCITVLEETAERHMLWELDLLRSLEGDTRDVRAARLLL -GCPNIRKATDKDGNRLMKKGITDDWREEAGSDSSSISGRRSYASSGTRVKSMFGKYNSSQKPFELKPGLE -VVNDPLHDYKQAVQDSFCYSEYTPNTESVLKDCIHIIRTNPSHTMGSYELIQAVTENARRKYPPENIERA -RKDPKNWVSISEVTETTSIVSQPRTHFMLKDCYKVLLGTENKKIVKMLRGKLKKLGAMRTDIEIGKKDCL -DLLTTVDGLSEEQCKNIVNGIFEPSKLSFYHWKDLLKKELSEVLLTDDGNYIYCWLKTLSSMVKHSLKKD -LRFMTGKNSLDIKPEMFTDEEYSALNIMKLELLGEHTDGIQGKTDFLLSSWKKCALKPKEGQSILNVGLN -SLAALHDELYDIRLQHLELTRIKKENPTVSFTKEEILVKRLEKGFLNKYKKEVMEAVNLIFYCCLTAPWC -LHYKSLEAYLVRHPEILETECIKENDIPLLDLTVTSLIRSLIDDIEGESSFNDSSDIKVRFAVKYLITLF -TANGEPFSLSLNDGGLNDDLQLTTDEKLLYQTKKVFAKLGLSGNNYDFIWTLQMIANSNFNVCKRLTGRT -TGERLPRSVRSKVIYEMVKLVGETGMAILQQLAFSQALNYDHRFYAVLAPKAQLGGSRDLLVQETGTKVI -HATTEMFSRNLLKTTSDDGLTNPHLKETRLNIGLDMLSTARALDGKQVSDDSNLLNFFKTVCISGDNTKW -GPIHCCSFFSGMMQQLLKDVQDWSSFYKLTFIKNLCRQIEIPAPSIRKILNVLRFKLSDKGGVEKLSEEA -IRSELINNLAEWEGNDTVKFLITTYISKGIMAMNSYNHMGQGIHHATSSLLTSMMAETFEELAVDYMKKH -FPGLTVNVDHAGSSDDYAKCIIVSGLVSKDMYKRYDGVFWRHMCRLKNFLAAVRRCCQMKDSAKTLVGDC -FLEFYSEFMMGNRVTPAVIKFIFTGLINSSVTSPQSLVQACHVSSQQGMYNSVPLVTNAAFTILRQQIFY -NHVEDFIRRYGLITLGAVSPFGRLFLPRFSGLVSSSVALEDSETISKAAAEINSNDIFFNTSSLSNLDKL -EQSPDSSGLDDDSVVSTTTVESSDSKGSSSSFTFDLNRPLSETEVKFLKLLRELTSTTACEMLQEKINTL -YNDSREGPLDRHNILQNCRLSESCDWLLDGKKRGLLELSRRMSCLLNVLIAGYYRSFGSEGTEKQVKASW -SRDDNRVIEDPMIQLIPEKLRRELERLGLSRMEVDELMPAVGPDESLSQLVAKKLISLNVSTEEYSAEVS -RLKQTLTARNVLHGLAGGIKELSLPIYTIFMKPYFFKDNVFLDLEDRWSSRHSTNYRDSTGKMLTGKVVT -KFTHWLDTFLSCVVSANRSQEIKECSLFNPNLRCVNIMVKGNGIKELSYIRSHLSVLSVEFENLNLQFSD -VNRQKLKIVESRPPECELEANKAVIIKSKLFSAVEHVRLSNNPAVVMGYLLEESSISEVKPTKVDFSNLL -KHRFKLMQFFPSVFTLLRSLQSESKELEKLGEPVDMHQVSKYANHLTLLCRMIQQSKPSLTVFYMLKGSQ -MNTEPTVSELVSYGIKEGRFLKLPEIGLDASTYSVRYWKILHCISAIGELPLSSEDKTSLLISFLNWKVT -SDCVDDCCPLEKYDKAIVSEFSGQVLINTLASELSSVRKDQEREGLTDLIDYINSPSELLKKKPYLGTTC -RFQCWGEGAKSGKFTYSSRTGEAIGIFVAGKLHIHLTSDSPGLLCEVERQVLSWLGKRRTDVLTKEQHQF -FLDFLPNLSEVVQKNRDGAILGVTIDSTNVRMLKYVPPKRNTPVIKIKKQILTVKKQTTLDVESEPRIVW -GHGQLSIVYDECETETTYHENLIKVKKLVDLASGTTDKLPTAIFSDTRITLARVKFKTELLLNSLCLLHC -FLKHTSQDAIQEVESKCNVLERYLRSGGVQFRPMSESLDKKVTKLPLQCQSDKDVDKEINFCEDLTRVFS -NENVPLSSWSEVQSYIEEVGFGNVLVHIEKNPTRSDLIWRFSIDSISGNFGPIKDIRTLVTYMSTETVPK -FLLPFLLFEEQLKHLIAGCVELRDALNSSGINDREIAIVALFTCFYYQSDSVKRQGPVCSISSFCSLIGD -DLLPLDNRLQARVLPEQDNVKLHFKLNLTTDSALGKKDKAIQAKKIISRYLRLIFTEDDMDLKRLKSDAT -KVKLSSEKECEFLEFCLHSDLSYALNYRVLLEHLIDLEDRAKKTACVLIEEFILMLTGRLMISSTIDSDS -KRTLEDDALCLEDLLDSDNEASSSKSDNEEQIALQTGKFNFNWDSD - ->AMR73395.1 RNA-dependent RNA polymerase [Taggert virus] -MGEEVNFDWHLLLNGYYYSPVDLEVEDIFEIVNQPMDGNCLYHSLACGMIEEQQPDSYKLIKEQVREAAG -LFWDTTEETKTTGEDLNGYLARIMKPNEWGSSLEVNFFSQKAKVTVYIWHEDASKHCDYVVRYGEDPMLE -SINIMHRRNHYDYLKPRGNQRTAVVKAPFLTLEEKVNLISQYTLDPEDDDWTLEQMLDGSRSESPEEDDR -EELLRKPVSKPTDYYKRVRKLIPKSENIPVRVGRVLDTFFSCKIVAKLRNNMLLLTPLTSASDESEALTL -RTLGHKILTFDKELKKEYSSSHLALTKELVSFLDLPGLLRTAFPGLGLERHSAFLHDELVLDICTVAVAL -LLSTYLYGSNNRNKKKFITNCLFGLNLSGKKVAASLKKISQKDLYEHPKRTVAFVCNQLYGKVMSSLSKK -FNSLGGCSMLVLCSVDLSDLGVKEYLQVVENLSKIDNTNEDFGHLEIESLHALRVLLERLHVSDKDSLHS -LLLPIAPVKDGNPDIPRRALRSKEDAMQFAIQEYFKKKEITKFISTGGKAYSGATIGNVLAYAHNIYMSK -DSLGLTTEDINQLLIEIRSLHGIQGTQTILPIAIICENLGPAFTRLFKELPIECAQECETLLEDARNADS -HASAWKSALRLKGVAYEGLFAKSRGWRYVPEDIKPSLTMLIQSLYPDKFEMFLERTQLHPEFRDLVPDFS -LTQKTFLRGTEITSPELTESKIEEEEGTSGMEAVGRGRQMFPLPEVEIQEINSITGAIEQFERLKAESGR -NFFCSSNEVLLGPEEGLVVNELLLVEVGYQTDVEGKMSTDMRKWKDVLGLLKVLNIRCTIITCADASSTP -ARNWWIPEQKVLLLKSSISHLFSEFQKNSPAEVTDIVVGSISTQKLRSMLKSGSVVKTPISIKEVRETWD -KQSEYILRRPTGVQISNSLAASLKLGLVDGMIMDQQSSEACIDMIKREKNKLIDEFERTKFRHSVNRDTI -TAQEMILAWLMEDLPCSRCQVCKEQISESLNNIQCAEDKISLLCFSLQPANHKPCCHPRGMKNFPQPTMR -RRTVKLENIEHSVVKSFENSNDNISLLDRLVRLTLPGKTEKERRIKRNVESLVRFCMECSSIECIKLPTG -QLVSKGTTSAKKSKEQIEEWRAKLGKELSDDKLKTYSDYVRRTLKHSLEQSANQANSKCQVPLKWMKNLI -QDLGVPTEDGQIISKLKESQKSKKSFQINNDKVIVISKDELGDYLNSKLEMSQNSSKIFTLDCVIFKEVS -SELLLRLQSSPYETCPKLMLMLVDFMLELGWYQEIVLYSKICETFLRCCTEFTRSGIKLVKIRHTNLNIG -IKLPSNKKENMTCCLYNSNMSLLKGPFMLNRRQAILGASYSYILVVIFIQCLQQYRCLDAIQQDKSELKR -QVESCTESMIESVFEELSSALAGDFERAFQIRSKQCDMTGNFLTKNTYDHFIRVFSGLSVNYFFLSCDSL -LRNSQQQNKQLQMLRFGMLNGLSRLSSPSELGKKFHSSCRSIEDNVMRLYLQTTVYGAVKHVESNIEQWN -SSDLCPRSKIPSFSIYGAWVNSDRQLIFDIYNVHIYNKEMDNFDEGCIDVLEETAERHMLWEMDLARTCE -SSKGRHRRESRLLLGVPNTFRVKTTEGQRVMLSDSLSDEADDTSSVSSTMTRRSVSSSNKRIKSIYGRYC -LNIKPFELESGFKVERDPLRDYRQAITDPGTSIIYKPNLDSLLKDCLTIIKLNPSHTMGSFELIQAVVEA -ARVKFPPDAIEKTRKNVKNWVSVSEVTETTSIVSVPKTHMLLKDAFKILLGTENKKIVKLLRGKLKKLGV -VNTDERMGKTYCQELLNTVEGLTEKQKDMITKGLLEPHKLSFYHWKELIKKNVCEVLLTDDGNYIYCWLK -TLAAHVKRDIKKELRFMIPNRDAPDQEGQTIFNTEEIAELLKLKKLVMSEIQFETLDVEPIMSAWVKTIE -KVKDGTEIVEKGLKSLQKALPVILDIFEQYDVLCQSKADSPAVSFTKEEICIRMKEKRFIETYSNEIMTC -MNMIFYLTLTAPWCVHYKSLEAFFIRNPNLANLDQPDKEPNSQILEMTVSNMVWRLISQTSSEEGEQSIL -SEKTVKKYIEYLITAFTVNGFPLSESLNTYGEEHTAQGEEQILQQLKGMLSRLGLKGGRHEFLWTIHLIA -NSNFEVTKKLTGRQTGERLPRSVRSKVVYEVIKMVGETGMAILQQLAFTCALNTDHRFFAVLAPKAQLGG -CRDLLVQETGTKLIHATTEMFSRTLLSTTNDDGLTNSHLKENILNTGLEAIQTMRITHGKPVESFKGLVN -FYRVMCISGDNTKWGPIHCCSLFSGMMQQLLKDVPDWCQFYKLTFIKNLCRQVEIPSASIKKILNSLRYH -ISDTVDIEAQTERELRQLLLSNLNIWEHNRIIQFLVKTYLSKGEMAMNSYNHMGQGIHHATSSILTSTFA -VTFEELARDFFMECYPELTLKLDHAGSSDDYAKCLVLSGVVSANTFKNYDESFWDVCCRFKNYTAAVARC -CQMKDSAKTLVGDSFLEFYSEFMMGNRVTPAVIKFILTGLINSSVTSPQSMSQACQVSSQQAMYNSVPML -TNMTFTTLRQQMFFNHVESFIREYGLLTLGSLSPFGRLFVPRFSNLIGSSIALEDSEVISSSARMLKLNF -HGIPVSSKTIIDSDSSLNSDLEDSSSEGTKSDASDSSGSSFRFELVRNLTQTEELFAKTIQDYTIPVNSE -VVFDELNCIYHDSKEGPYDPKGTISDSILTKSCTWLSTAGQKNILELAVRIRSLLNILIAGYYRSFGSEG -TEHIVKASLNRDENAIIEDPMIQLIPEKLRRELGRLGLHKMNVEELLPGLQPEDTLASLVARRLITLNVS -TEDYSSEVARLKQTLTARNVIHGLAGGIKELSVPLYTIFMTSYFFKDNVFFELEDRWNTKHSSNYRDSTG -KLLNGRIVTKFPVWLDTFLNCRLTCNATKESVDTSLFNESLRGFDILLRSGQPRELSLIISDLTVMQKEF -AGISIQFSDSNRQKLRIVESQSPEAELEANKAVIVKSKLFSATESISLNNTPAVVIGYLLDEACISEVRP -TRIDLSNLLKDKFKLTQFYPSVIELVTSICTESKAGKTDLECKPDLTKVGRYSNNLTMLCRMIQKAKPTL -TVFYMLKGSHSTNEPTVSELVSYGIKEGRYLKLPEPGIDTSSFSVRYWKILHCLSAINNLTLSDSEKTSM -LVSFLNWKPSLDLASDSCAMFTQERAVLEEFNDKVLLNVLASELPSIRSEQERKSIGDLIDYIVSPKELL -KKKPYLGTTAQFKTWGEGHKTGRYIYNSPSGESTGIFIRGKLHIHLSSDSKGLLYEVEKNVLSWLSRRRT -DLVSKEQHEFFVDMLPNEREVTHKGKDGKIATVQLERNDFRMLSLCEMKANSKVVKIKQNILTVKKQISY -SMDSEPRLIWSKGHISIVYDELETQTTYHENILKVRKILEQVIGKAANPANATFSETRITLARIRLKEDI -VLNSVALLHAFLHHAPHAAILEMSSKNTLLSRYLSGGHVKESSQILAGVGLQRTHNKPKVVKRRLVDENI -TDKELCESLTKEFKCSGVPPSSWPEVQMALEENGLSTIEVSLDKQASRVDAVWQFSQELDSPRLSSVWNF -RTLVGCVASETIPHFLIPFIDNIDIFMKLFMVFKNLRRHLNELSLKDEELDCLVMLIIIKHHGEDVTCNS -SYFTSESMLSIVRSNSFLLKNRVRFSYSVKEKRLQLTISVLILTSSEITQDKKVNLKLSQQNLLGTMSNI -FHETSTMKSIKELSVYMNRVSNEEGDWLEMIISENNYSKLRVDNTLKLYLDKKIDEAFLLELTNIICALM -GKFDIRKSACDDIATQARKETDYTLDELFDSGSSEANELETDIPQPDNQGSWSFGW ->AKC89322.1 RNA-dependent RNA-polymerase, partial [Tamdy virus] -MAANFCFRDLNNITSDLDPLLERVGMSYQGPISLNLLTHFDIHQVPADGDCFYHCVARHTEASDANTIRN -IIGSFAAQNWDKVEEAKYYHESFESYYSEVTKRGTWGGSAEAEILNLAYGMPIVIWLTDDFVWTHGVRVW -KRRSDVWPELHLALSHGHFQLLTPKGVPKQPKREVIPMVYELEVASQTESETVDNLEDLFLPEHEKQRLE -LFSKPSFRERLPPVLTKQLQVLIEQERTLPMRVGRLLSKIFPCNIRAVQMEFGEFLMVPEAGPFRGTLSL -SELGSAWMRVRKGGKKLRQPPSVSFAEDLLIHADSQFILTNLVGDKLLAANASLLPREIIHTLATLASVV -LLSTFLYKSTMRAKRDFILGAVRDAGLTNHKVKRYIGTLRPFSIYQRPLSVAQKVCEFTMGDNLSEISLS -ISRLPSTAYLLLSCIDVSGYKVTEFRSLLNTLSTDDVEEPVHSSREVYDVRLACTRLEKIFELKRRNAPK -KDFRDYLASEGLDPDKYIHAPGNLYSKAKEAVLKEFFLSRNIMKLISKHGKATSGTSITSLIAYISNLVI -SRERLNLSQDDVASLETIERRLIAIQSRDARIPIPIICGLVENNMSELFRQLPEDCAQECAMLFESIRNS -DTHSSAWSAALRLKGVAYEGLFAKTHDIKYIPEDQKPNLSMAVQTYFPDKFEKFLLRTHLHPEVREFKPD -FLIAKKKLMPDSSIIKVGLKQMYVALDDTDPESSNAYKRKKRAFPLPEVSIAEIDTFESILPRIRMVTDK -RKEQYNQLSGDFLDTAEKLKSPSEQHSSKESLVGKLSAELDSGSVGTLSEDTIQGFSEGTEFLIIEVGYQ -TDTEAKVQTDIGKWKVACSLLRDLGIPSTVVACSDCTSTKQTDWYIKEEYVKVIKNSVSNLFARLSQNSP -QEVTDMMVGAISTQKIRSVLKSGSTIRTPVTLQDVLTAWQDNKSYIIKRPTDITIPSHLENLMRVALCEG -AVISKESSKKLVSELIAQQEQIAEWVEQTKYRCEVLVPLRSAEKILIGWLLTDLSTCRCELCYDKIKNSI -KKTESQTEVLAFLASQVQLESHCSECHKHPIEKKKFDMVSKRSVAIQHVTHTETELNDTDNKATTLDKLC -RLTLPGKTEKERSVKRSVESLLRQSMFDSMIPCIKLPSGQILVNSKLFHHHRPIKQKKSSDVKAGAEEES -RLDRLKKSLSGEKLKGYSDMVKKIIHDLLNSTDRQKGSLCEFKEEWARKVFSDLESDLTEDVLLTRVEGT -RAGKRDFVINNDKLIPFSDDEIKLYLDDKSGSLLNTRSCTQPFKLDCVLHKELFLECVRRYHFTPYYDCA -DTVAKLTKLLLQFSWFQEVVLYGKVCETFLQCCTEFNRSGVKVRKVRHTNLNLAVALPSNKKENMKCCLY -DNFFNSVGHGKFMMSRRIAVLGAAIPYIILICFIQCLQHSRCVDVLHKANGSMVKSIIKRNATILDHISQ -SLIGINNGHFEKTCKLYIDFCKQSGNYLNRSSYDTFITTISGLSTMFHILLGPAMLLNSQPFNKQIQNMR -FGMLYGISRVACPKELGIKLSSSARHIECYVARLYLQLVTYCCGIDPAQNITTWKAHDLCPNVTIPSLSI -VGNIISGDRQLIFDIYLVHIYNKEMDNFDEGCIKVLQETLERHVSWEMQLMDSVRSYSAGTLSQRLKDTR -TMRLLLGLPNLKRGLEDWEAGNKSSTSSQSSSSSHSIGKTRSFSSGRKFKSVYGRLRSRLNPIATHLGLE -VVTDELLDFKLVAQDKGMGICYDANPDSMMKDVKQIIKENPSHTYGCYELIQAMTENARKKFPPEAICKA -QRDTTNWQGVSAYTETTSSVSEPKRTIVLKDAIKVLSTGEVKKTVKLIRNRLKKLDGTSEPKLEKLSELV -EMISTVETFTEKEKEDIRRGIASPSKLVYFPWREIVTRSIRDVLITNDANMLYCWLKSLANGIKKGLRKY -MPALKYSKMSTPRDHPRLKQLLDEDEYNALVNLIEVFKCLIRGNMEYDLQLPRTDLLYSAWLKFLNQVQF -ISDIVKDGHESLERCLSDFHTLSDAYKGLVDIKKEFPDLSFTNEEIEVKTMESGFLKEHDRDIMNVINLI -FAVSLCCPWSIHYKSFELLLSQESSPLADTDNNSEHILLLRSLGPVALMKGPLWDAFGLPASFAEKSEQL -EMLYRYCSSLFISNSEPIRSILNINDVNIGGSIEESALSTTRALLSKYGLESTDLDFKWTLNLIANSNFE -VAKRLTGRTEGERLPRSIRSKVIYEMIKLVKDTGMAILQQHAFSYILNSGHRFFAVLAPKAQLGGHRDLL -VQEIMTKIIHAASETFSRALLATTNDDGLTNQHLKESILEHARDKLQLSHQNHGKPLIEGSTMMKFFIMT -FCVSGDRTKWGPIHCTAFFSAMMQQLLQDAPDWSSFFKLVMLKNLYRQVEIPAGAIKKILNAFRLRYNGK -KSLESMTEDELRKALSDNVDIWNGNPFLQFIVTVYLSRGKMALECYNHMGQGIHHATSSVMTSCMAVLAE -EMIVSYFVVHMPELTVSVQHAGSSDDYAKVVTVSGNLPNSLFERYSEKFWEHVSRLQNAMIGAARACQMK -DSAKTLIGDFVCEFYSEFLLFHRVTPAVIKFILTGLINSSVTSPQSMVQACQVSAQQAMYNSVPLLTNFC -AILFRQQIFANHTELFQRKYGPLVHGLPSAFGRLYLPMFSNLTSSAIAIEDAESIANDLSSAMDLCHRIR -ATPEVDYSYLDLPSPEVSEGATPVDSDEHTGTEEGTGSVSSGSTSSFRFGEIRKFTTTEKEYLKVVTVSD -LDLVEKEIFEHVNNMYAGEMDYGVWPCIDKLRASPLVQDNIELKEIAENNPLKLIRYIRSVICCIIIGYY -RSFASEGTEKTLKANLNRDENRIVEDPMIQLLPEKLRRELARLGMAKEEYNEHLLRGHSLSTLAEQVARR -VITLNCLTEDYEAEADRLRQTLGSRNIIYGLASGIKELSLPLYTIFLKSYFFVDKFFLEHYDRWNSKHSR -NYRDSTGRSLEGRVVTKYMTWLDAVLSSLLTKDNGKASEPHSLFNPSLKCIELITYEDKTRVLSLKVEEL -RVVKEELQALAVQFSDTNRLKLKILEASRPLTELEANKVVISKSGLFSAGEQVKIRNNPALVIGFYLSKE -TILEIRPSKMDLSSLIIDSVKLEQFYTSISEVCSGILTESKRLQKQDEYPKVEEVSSYANTLTLLSRLAQ -KSNTKIIAFHMIKPISMHTESTVTDLISFGTKEGRQLLLSDPKVETGTTSQKYWRILHCMGAIGNLNLGD -RYKTDLLVGFMNWVPKVPLVTYSCSMKKYEETVLEQFRDRSIVSNLYEELPNIKKETERKQMESLVDYIK -DPMVLVSKKPFFGKTVDFNVRHSESPRTGSFTLSSSAGEAVGMFVAGTLHIFLSRDNNFLLTEVELHVLQ -WQNRIRTDVLTKEQHDFFMELLPEGSSLSRRLSEGVVKSVTIDPTNPRMLCLGTSSGSSKVIRIRPYILT -VRKTSDESKLNEPRLVWGKSSLSIVYDEFAAEATYHESILSIRKKLDSALESHMSCRLPNSVFSDMKVIL -GRIQFKYDATATSLSLLHFYLAHAAETAHLEFYSKSNILDQLLGQEKKELNILKQLRRGGTSKGVMVRDT -TDHLLMIASSVETTLNDNEFPLYYLPEVQHFLDETGNSAIHVEAVSKGYHQSHKWKCSVDTISVSKPASD -LRTVVSILGTESLPLQFSQMIANADLWCMLHKLGKRAQQQFVAFTQSDSIPECLFCSSLYVHQCKQTNRD -EYFYPPGSLLGLVRRREFEMSETGDTASFDPTDDGEVSLTVSLKFPKSSKGDTAAIKTILIKTMNIHANL -FENCKTLQEIKEIAMVRLEHTDACSRVVIKYPSFLGKETTVDKMFCAVGTVKPDVMRSIKDVANLACFLM -ARDYPFPFEDEPPAQLEPATEGLLTIDDLLSSELGGSSAEQGDAIESTNYQFNFADSDDD ->AKC89352.1 RNA-dependent RNA-polymerase, partial [Artashat orthonairovirus] -MTLYVDSWRWTFVSDILFVAYPRIDLGVLFDVKEEAGDGNCLYRSIASAMFPVGSTYRDVKLVLERAVRN -HWQDTTEALASGLSEDEYLAEALQDNVWGGSLETTLLAKELGSTIYTWVVDRHDRVLCVNRFGAGNALGS -AHIKFFERHYDSLLWKDAIVDTDEFVEGSANLLNMSKMLGDFVLDESDDEEQATVEDMANSLPLSSKPLI -SEVVERLHQGEVKGEVKEDESRKELLKSDSLRKQAERLIPKSENIPERVGRILDKFFNHKIGYEFFEGVI -QLVPLTSRRKKTILPLRNLGHMMLTKKKELKYEFSRSKVLITSGLMTFLDVPGLLRTAFPGKGLERFLSL -IHSELILDITLICVVVLMSTFLYGSNSKSKTKFIRGCIHHIPKYSGKVIKSLKHITDKMLYSETRKALSV -ICNEVFGETIADFSHSFSLLSPVSMLALNNLNLTTVSLSEYKRLLEELTKVDTADTEFTHKEVADLHTLV -HRLEKLQPMGEAEAAKQYREWYPESKLRVYLNRKGYTAQRLIQAFFESKAILKFISLGGRAYSGATIGNV -LSYSYNLYLSKENLNMSEEDIAQLNIDIRRLNALQGKKSIKPTALICEQLKNRFEELFKELPNECEEECR -VLLTDIRNSESHASAWKSGLRIKGTAYEGMFAQTYNWEFIPEDLKPSLTMLVQSLYPEKFELFLERTQMH -PEFRDLTPDFALTQRTYMHQDTVQTASLDQMSVSKDDSDAVEAKAVSKKMFPLPEVEIQEVSSIENAVSK -LTDRCEATSRFGDILITGSGVELTPSEKVEVKELLLVEVGYQSDLEGKIITDQKKWKAVLDLLGVLGIRC -TVISCADASKNNVGEWWISESRAALLKRSIGAMFAEISKNSPAEVTDIVVGSISTQKFRSLLKSGTYVKT -PVSIKEVRETWAKISEDITTRPTGLKLDPKLISYMEQGLVSGVVMTKEGSDKVIDSIIDNMDCYIEEFEK -TKFKHEVNKDVETSVKMIFGWLNEDCKGAMCSECLKELEKSLKDITEPLTKLEIMARKLQLPSHKECCHK -KPVVMKPNSNMLRRVPALEIVSHSNSESFTDLDKDLTILDRLTRQPLPGKTESQKKIKHKVELLVKEIMI -QSGISCIKLPSGQIISNTVISRTGKKFENISDKRKEQVANLKKELAPKKLTQYSNKLQKLIAEALENMDS -QKNSKCEIPREWLDILVNDLKLQTEDGDILNEIRKSFKRKAEFVVNNDKVVVPGWDKISDYLSLKLDKVL -ADKENSIYGLDCMLFKEVFAEAKIRLYETPYYSCLDTLADLIKFLLKFSWFQELVLHGKICETFIKCCTE -FTRSGIKLTKIKHTDLNFALKLPANKKENMVCAVYTNNMELVKGPYMLNRRQAVLGAAYPYILITLFTQV -LQQHRCLEGVLDADDGTKVEVMSATARLAESSLKSLKHVYNGEFEAAYREHITLCEDGGNFLNRGTRDHF -VRVFAGLTMTYGVLAGDSLLSNSQPQNKQLQTLRYGMLCGISRLSSPTELGRKFSASCRRVEDLVMRLYL -QLIVYCSVRDVTQNCEAWKAKDLCPDITIPCFTVFGLIVNSDRQLIFDIYNVHIYNREMDNFDEGTIKVL -EETAEKHMSWEMDLAFSHTKGEKGLRDARALLGIPNVRRMTPEDTSQEMLAFSEGSEYSSSSIGSLSRRS -SMSSKSRLRSMFGRYSSEKKPFELESGLKVIRDPLRDYHQAVTDVAQYSEYSPNVESLKKDCVNIIRRNP -SHTMGSFEMIQACTEFGRRKFPPDVIDAARRNPKNWVSISEVTETTSIVSNPRTAVNLKDCFKILLGTET -KKVVKMLRGKLKKLGINDPTDKEKKQDYTHLLESVEGLTQLQKDRIVKGLTDPTKLAMYHWADLVKKTVE -EVLITQDGNYTYCWLKTLSRDIKPSLRKHIKPVREASIDLKEAALQISDDSIFTKEELLELNKINCIIRD -LPGSGDLKPDLTLLMSSWYKAVSGVKKGEGIIQKGVSSFLSLHKELQGLFVDYKELVSLKDTHPSLSFTK -EEICVRVRERKFIRKYNKEIMNLVNLIFYISLCAPWCFYYKVFQSYVARHPELVSVETRLQESKHLLEKL -ESSSIMWMLIQDELSKTKTDFIGNRDTVGDAVRYMCAMYSANGAPLAESVGHVGTSEAQSPEEKVSAELR -KLVALVGLLDSRTEFVWTIHLIANSNFEVAKKITGRTTGERLPRSVRSKVIYEMIKLVGESGMAILQQLA -FSSILNTDHRFFAVLAPKAQLGGSRDLLVQEVSTKLIHATTEMFSRVLLSNTNDDGLTNPHLKEQILGVA -LEAMQTEKLTHGRRASSDSNLINFYKQFCISGDNTKWGPIHCCSLFSAMTQQLLKDVPDWSNFYKLTFLK -NLCRQVEIPAASIKKILNTLRYKLSGSVSIESLNENALRQLLLDNLHLWTDNDIVQFIIRTYVSQGKMAM -MSYNHMGQGIHHATSSLLTSLMSVVFEHIVTAYFKKHYPNLTVSVTHAGSSDDYAKVITLSGQVSEAQFN -HYEETYWHHTCKVKNFTAAIARLCQMKDSNKTMVSDCFLEFYSEFMMGNRTTPAVIKFMFTGLINSSVTS -PASMVQACQVSAQQAMYNSVPMQTNITFSLLRQQMFFNHAEFFIRDYGLVTLGSLSSFGRLFVPKYSNLV -SSSIALEDSEVFSVSAAELVRNSVKINYRSKTTLEAEKEEDEEEEGSRGQSDHDSTGTSELESLSSGSSF -RFETKKVLSQTESEYLKVLREHSKPLALKDIEDEVNSVYEGSKEKSMDSKRLLRDSELVKSCEWLYEMKE -KSLLELSWRIRCFLNCLVAGYYRSFVSEGIEKLTKASMNRDESRVVEDPMNQLVPEKLRREYERLGLSKM -EVSELIQKPRDSDTLASLVANRLITLNVSTEEYSAEVQRLKQTLTSRNVIHGLAGGIKELSVPIYSIFMR -SYFFKDNVFIDLDDKWNTQHSRNYRDSSGKELTGKTVTKFGRWMDEFFSCRAAVDAQKETKDPSLFNENM -RVVDVLTGPSKERELSYVLSDLKVIEKEFRALSLQFSDVNRQKLKIVESKPPTMELEANKAVIVKSKLFS -ATDQVKLNNNPAVVMGYLLDETCIVNTKPSKLDMSNLLKDKFKITQFYQPLNELVMNVLKESKELEADKQ -TIDLEKVDKYSRVMTMLSRMIHQARPSIVSFYTIKGSSVDNETTIAEMVSYGVKEGRYLQLPEAGTDTSS -FSVRYWKILHCISAIASLPLVDKEKTNLLVSFLNWKPSRDSIDNSCVLSRTEHSLMDEFDGHILVNILAS -ELAGIKRDRDRSELADLVSYINSPDELLKSKPVLGVTSSFRTWGDTSKTGKFEYSSSSGEALGLFVGGKL -HVHLSDESVPLLNEVEKAVLSWVGHKRRGGVSTEQQHDYFIDMLPLLKEISKKGNDGYIVSIEKPQNGRT -IRFGRPRANSRVMKIKDKILTVPKVKVVEKDALSEPRLSWGSNTLNIVYDEVHNETSYHEQLLEVKKLID -QVTGSKKSVPSSVFSDTRIVLSKLRFRHDISLNSLALLHIFLKHAPSVAVLEASRKSRLLEEFLKRGELQ -QRSRLQELIMLGSQRDEAKEKKSASESEGRLVQKLKQSSLIGSGTQLTGLLKELCEEKVLCNKLEEAFNQ -SGLPTYSWSAAQDYLEDLGFHSVLIQLDKPRVSEEPIWRFELSSELPKSSTIMNFRVLAGYISSDAIPRF -LTPFVIDEDLLMCTISQFRLVRSSIGYLNLSDMEIDFLLCSILYCFQSEENRRNYLRFSAGTLFSLVRNY -EFHPDDRTGFRYSTDGGEVFLEVSIAVLRPDDIKGSKQDIKLAAQNNAKRVFASLMEESLKLKDLKNVAA -RHSQEVINGVQFVYMMFDDRASHLFHFNSFLRLLNSGDDDYRKYNKIIDLVLLLMGKIEASKVCDISAVP -AHEGSKEVEVLTMEEFFDDDDSGGESKYEKPVAPTPKPNEAYDFGFDDLSD ->AMT75437.1 RNA-dependent RNA polymerase [Zirqa virus] -MDDIQWQEILPGLFTTEARFPLLDFFNRIPVKADGNCFFRAFSVLFFDQEDQWRTVKNTAVSFAKKSWSE -CTGAKQQYVNKAEKEKAQHKLTYRDVTLGDTGLDMLSRRGREVYIEEAQKEGYWGGTNEAEMISKSLGVT -IIIWEVNSDMKVVSVQKFGKDKVSGSFNIMKLDAHFDGLSLQQVLEDRQPARDGISEQMEPTTALSVILS -AEDKGAEMTPDFFLDQQTDEELSDTSDDDYQSTKAEAPESTSNDTMSRRELLKEQDKERKRLRVMQKAME -LSAHVPARVGRTLYKIFNCAIEVSLTSKVLYLLPEGTDDPNRVTLSKIRHSMLNREGELQDQFKHCTVVV -TSGLMEFVNVPELLRLCLPDTGISQYYDIVHPAFVYDILTVALSILFSSFLYGSDFKTKRKFILNTVRDR -FVTPSKVPKLLKNHSNKLLYDSPDRVISQVCLALYKDMSMQISKAMKEMPATGLLALRNLYLQTVDFNDY -MSILNDLRVVSYDLDFFNQDELQDIKRLMDCIAEIRKEKEVKPGSLGSKWSAMYLNVGEDEVFKDKKGKK -CSYLKSEPLERKLIEHFFKKKFMFKFISMQGKAYSGGSLNTVLAYCNNLYLTKDQLGFSLEDTEHLSAEM -VRIRSLLDDQKVEPIAIICDKLEPRFKELFNNLPSVCADECKSLFDDIRNAENHSTAWKAALRLKGVAYE -GFMARAYNWNYLTEDLKPTLSMIIQTLYPEKFIQFLERTQLCPEQRDLTPDFVMTQNLIIRKDKIEAVVE -TEQLSIDLLNDGSSTVTPVSKKTFPLPSEPILEVNRLESVIEKFKKMQEDKSRNTGLLVETTKTSFSEDD -KFSYSELLILEVGYQTDVEGKVISDMQKWKNILNLLDFLNIKASIISCADCSDIPSDNWWLPERYVKLLK -SSISYLFAKLQQNSPSDVTDIVVGAISTQKIRSIIKAGTTVKTPITIKDLRETWNKNKDKIIERPTGAKL -PDKTVLALKLSLVDGVVQEKTSTDDVVKIIEENMERIAQEIEQTKFKHSLNQTEETSLDLVISWIVEDLK -SARCQKCVKDILEDVKRISSKEAAVELLSRELILENHPECCHSLNVSIGTYSLFQKRTGFSNIVQHRETV -LDEYSEQQTQLDKLVKLTLPGKTEKERKVKRTVEQLIRLSMTLSGIQCLKSSNFQLIIVKSRYNKRLGHK -RNAAEEELEIVQKTEKGKHMLEQLERIKKVLNPARLKTYSDYCKSVIQSVLDNPAGQQGSKSELLPEWCD -QILMDLKAKTEDGEIVDALKESIESKRVLVINNDKVVVPSWDQVVQYLDVKASDLILKKTNIFGLDCVLF -KEAVLESISRYFQTPYWECPVILSTLISFLLRFPWYQKIVLYGKICETFLQCCTEFRRSGIKLARVRHTN -CNMAIKLPSNKKENMKCIIYDSEMEPLTEIFLLNRRVAVLGASYYYIVVVLFCQCLQHYRCLNGLENSEE -MAQKVRNANAKHLDSVSKQLKNVHLGRLSEASNDLLKLCKENGSFISKSTKSHFITVFSGLSITYSTLLG -DALLTNSQPLNKQIQMLRFGVLNGLSRMSMTKELGKKFSSSCRRMEHYIARLHQLLTVYTCNRFPEENIN -AWLKDDLCPNVRMPSVSVYGHFINSDRQLVFDIYNVHIYNKEMDNFDEGTIKVLEETAERHMTWEIDLMQ -AIDKANEDSKKDRNLRLLLCIGNVKPSSDGSTTVEDESLSQTSVSSVKSSTSSKKRIKSYFGAISMKKKP -FSFSEDFIVERDPKSDYSQSISDKWTYGVYKAKPESVLRDVIEVVRKNPNHTMGSFELLQAFTEFARPKF -PVESIIKAKRNPKNYITVSEVTETTSIVSEPRTHASIKDSIRIITGQENKKLVKMLRGKLQSLGLSLNSV -RSKGHDLSMLLSSVEGLTEDQKKKIVLGITEPAKLTFYNWREIIKMDVEQTLVSSDGNYIYCWLKSLGSQ -VKRSLKKYIKNLRYDNEGYTPKLSTKATDLIGQDGINECYKLIENLKALTKNDIPKHSDIDRDKLIQVWS -AFCKLPKCFDEVISPTVDALNDVKASIDTLMSKFNNLIELKKEYPTLSFSREEVELRCLETNFIKKYGKQ -LMVLMNAVFYICLSCPWCVHYKSLENFLSKHMDETGGYDFGSETVAKVMDITLEKVWLLLVESRGHEMAI -DHIKRVVKYTSAMFTGNGRPISCSLNQTEGTINVLDHGQMVDRLRTFLTRAQLYTKELDFIWTCHMITNS -NFEVTKRLTGRSTGERLPRSVRSKVVYEMIKVVGESGHAILQQLAFSTILNVNHEFFSVLAPKAQLGGHR -DLLVQETGTKLIHAASEMFSRTLLATTNDDGLTSPHLKETILGSALNHISLSRKLHGNTVEDGSNLIQFY -KVFCISGDNTKWGPIHCCAFFSGMMQQLLKDHPDWSSFYKLVFLKNLYRQVEIPAGSIKKILNAFRYNNS -HKKIEEMNEHQLRELLYTTIDDWNENPIIKFLVLTYIVQGKISMRMYNHMGQGIHHATSSILTSIMGDVI -SHFIQVYTNKNFKGLTCHVEHAGSSDDYAKVIVLSGLVSKELFEEYMINFWPKMCRLKNIIAGISRAVQM -KDSAKTLCGDAFIEFYSEFMLSHRITPAVIKFIFTGLINSSVTSPQSMSQACQVSSQQAMYNSVPLLTNF -AFSLLRQQMFMNHTEYFQRTYGLITMGTLSAFGRLFLPKYSNLTCSSIAIEDSEVIAQNLAMLQDNSILF -PGTKAYEEDVSIPEIESGPASIESSGAGSPSSKLSAKTLSSDTSLKFSQDKALTAVEAAYLNTIKPNTSR -VKARTEMELYQGIFGHELPVSFEKLKKSSLVNSCQYLRDVIDEPWLMVQRIRSIMIALIAGYYRAFNSDG -TEHPVKANLNRDENTVIEDPMIQLIPEKLRRELERLGLAKMTVEELIPTHMLEDDFASLVSKRLVMMNCA -TENYASEVSRLKQTLSSRNVIHGLAGGIKELSIPIYTIFLKSYFFKDNVFFKHNDRWNTRHSSNYRDSTG -KELKDKIVVKFTTWIEKMLNCDISIDFTKSGATMSLFDNSLRGVRVIHLCNNTCELSLVSDQIECLKKEF -EALALQFSDVNRHKLKILESQRQESAVEASKAVIVKTTLFSATDAVRISNSPAVVIGYMINEATLSDIKP -TKVDMGNLNRDRFRITMFYKAITDLISEVVKESELIKKKDNIVELEKVDIYTNALTMLCRLVQRAKPRVS -SFYIIKGASHGNEPTVNELISYGIQEGLLYVLPECPIETSTYSVRYWKVLQCVSAISSLPLSDSEKTSLL -SSFLNWKPTLNDIDIECSMYKHDKAVLEEFNERTLLNVLSSELQSIRNDRERESIGDLIEFISSPRQLMQ -DKPYLGITSTFKKWGEGQKNGKFTYSSSSGESSGIFINGQLYLTLSKDSTALLHEVERKVLEWLNQLRTD -VTAAEQHLPFLNMLVSSKTCSKRTVDGTSYAVYYLKEDPKFLQLKRFVGKGEYKVVKVKSQILSVKKEVV -KEVRAEPHIVWRNSAISIVYDEETENPSYHHRVKEIYELIKQATKCTSERLSSIFYKDTRLTLAKISLQD -QLYLSSLSLLHCFFCHTLTSSVMEATSKSEILTRYLQQGRSSVIRSASSIKNKLIEIRTVEDNTVRSEED -EICARLQLGLNKGDYSIDCWAEVQRMLDENGFHRINVSLQSEPSQYRYKWIVEPEFGVSKAVDVIDLKDL -CYLLSSGIVPKAIVPYITDDVLFSDLIGISERIKIEINKNRIDQKTFSLICCSIMYTMQNNPTNRQALIM -KLSSIFQLIALKEFHTQKGRIKFFTDSEAVQMQLKLVGVTVNESRSLENKYDDENKVKDIKTRLARSRLM -SEIGSLFKPFPNIKELVKFTDGFEMEGDDGQVISTLFFTRLKCHETDIYKILSFMNANIQNSTIDLLTDL -IMLLYGRAYKSHRMQAKEGEGLKSKKSNKTTSSEITVADLLADDSKEPPQEEEDAEYDINEVEFEL ->AJG39272.1 RNA-dependent RNA polymerase [Xinzhou Spider Virus] -MASWASRVEEFEEYEDIYEAGQDFPFEELEEEEDEEAQQEEAKEIMLDQLTSISDPRSRYYRPPAKQAQA -DKLIHKKACVVGSHIFGSIKFGRPMSGEKEIRLFVNADVPETHEVIGFSQASKYLQMNYDLVFMEFWSIF -NTSYLLGFLMNLTNWQLFAGVLPKSITRHLTGVSLFFCIAIYDLRASKEEIEEILREARGEKEHEKRKKE -EGKSELSLEEQMLIFKKSEEKLMHLLDKIGVKYSNMGDISLIKDLFKRKTYCHRAKIFGSKFLLNYLEKE -CLGLLKKLNTVQLNQLECLDVCDIKSLADWKKAVKAVSEIIQDEPENEIVKVLCSTVDRLVNNKVQFEKE -KEQAKKENKRPNIKRYRQTVKETTKAFFSGLGTVKAMGQSGLVYSMTSIPALINYCQKLATSKSLSHEDI -VAVIGCRDNLIKIMDQGDTLPLATLQQQIKTLWDELIEHRTEKDCKEALLEIRNKFLEATTYEESWSYAL -RMKGLVYEIMFAEDFGLNYVPEVSKMSLGTFIFKHYPSKYQDFLLRSSRNTYIRDLKPDFILYMHGSLTP -AGMKEWSQKFKKPSLKDDLEDDSKSAEEQEDIPILKTEQKYDMDRQKLKTAEKLINKLLAAVQEKRGESG -NILTTSEEDGEKDIESSKPNLVVVEVGYQTNSADKVLMDINKWKPAKDVLNSLGFDFRVISVADSTSGMS -NCSWISRDKSKLLVKCIGGTFGRLTANMPPGMTDISIGQISTQKLRSRVSSDHIRKTPVTECDLNDFMTA -WKDTLFIRPSGAVVPLEIQKQFARSMVLGSTMSKSTSDKVIELVKQNQDMIIDKVRSSKVRFDIIDENSW -IAFVYGCYEKDLETYVNKGCSFLSKKAEDILNSYAKYYKYEINKKIKNENAMDLFKKFQEFELGIDKHCE -TAHECELLKTKKSWLLDILVTLSKQGKIEYGIKTTPEKSSIDWLCSLTLAGRTGKQKETKAATIRMAEII -SRCTNGFLKDSKGLLLAPYKEIMRKSGVKNIYSGKELDHKEVEKQLGIKRQDKKSKSRYLSPESPAINLF -KSLMFQFDKVMSKSDTLNSETIKCLNKLREDMIRILRESIDEMRKKKKEEKEKMEQLKHKETEEIEKEDE -IEKKVVAVSNISDSKETRREVLPQESIIASEAASQIDHDERQKETSDKEDSIDNGDEILLDQESSDSSKP -LKSAIKKIDTDEERRRKLEKKLRQDFKKDNDELVMEFEEVRPKVTCKEMLEKIGLGSYIEGGNFEKSLFE -LLKDTVNTDPSALVFEGHDKKLQKFRKLKDDRYCLSSTREILAHLKQTLEFTKINMNVEDDDEIFNSVMI -KAVENRFKDFPIGEKIFEGIRWFCKLIMGTGFGKSLYFYMKICECFLNFTSEMTFSGVKVMKLKNCNLNL -LCKVNKNKNTNCLCLIIDNEFRPISKVFFLNRNRATLGQSFLYCMIMNIYQAIQTQGGYEFIESQSISTT -LKALDARMKSLSDEVLRTFKSLSNRGIVDTINEKLYSKIPLTGSSGVNIGLNTTAALIVNYSMIFSLSML -NNSRKISKLTQMVRYPLMLCISDFGFPKGVGEKMDCTIRSTENYIMKKYLVYIGALNISNNKNIVDGWIE -NEPNNAKDVLSVELCPFSCKNPRQLVSDKYICHFYNKEDDDFSEASIRVMNEVCDKIFDWEDELKMECDE -YYRLEEKLKTIKKQQKSIEKHIKVKSPEDKKKKQGVKLKKKSDSLEQIQNDLKKCSCRIRSLMGIKDIDV -SKKSNINTNWLTSESTSVDDDMETKSEGSRSSSSYSIERQIYLEEDDTISATGSTHLSERIPTSSKMDKI -TPSSIVYQIKKTCENMYKYTFGVPEICQAFAEKAKSQYSSFNIVNALKSKRNNEQISKITETTSIVSEPN -VDFNIETAINTLSMRNAVKLVKIITKSNRATMSTSLKYRSFEREMSRILLLDDEVREKSIKDFKQNIDEL -KKKSKVDWKTVCSMKIEESMMTDNCSYLFVYLKSLWKKIKQLSGRHRRSIVRDSDNLLKELASSISQNIV -SPNVDQMYESYREELDDLRNKILNLLETTFIEKQNLKPEDLKSKLNSLAMDFMTVSSYFEELDKKRAKYP -GAAYPDDEKELMELEEKIHKEHGVALLFICLSLLCSESINPFTFSTNTFSTEFLREYKKYALGLIGKECL -DENQKQRNEMYLSQLLLYMNNSKKLESYLKTECGLSDFNLERMLNYCQLLILNIRRPISMRLMDVSKGLT -NVTDIMETLKAMLSRSSFQETQFDFSVTVVSCVKGSLQMCRKLLDRREKMIKLPRGTRSKVIYEMIRATK -SYNTTSVQEIGFQCAMETQNRFYAGVAPKAQIGGDRDLLVMSTQMKIATHCTETCSKAIMENSVDNDGLT -NKYLKDQILEKGKENITAKQATDKMIVKSEKRSFVRVFNISGDCGKWGPVHCKAYFSLLMQQLLSDFPDW -SCFYRLIMLKSLNKQLEIPTAALEKIVQSALMSGEFRKGLRKLKIVGDKKEKFKIFRETIDKEWSNNEKA -KKIVDWYLKDDKAFIDSYNHMGQGIHHATSSLFGNVCQRIIDDILELYIESKFPECSVKITHAGSSDDFA -KTVIISGSVTEETYKYYDSIYKEVVCDTLNLMTGIMRCCQMLVSEKTVVSSIAFEFYSEFLYMNKVSPST -KKYLTSQLINHSVTSPITLNQATHVECQQAMYMGVPLASIVLLALLKQNLFIENSIDIYRRYGDIILNSV -STLGRLYLPKYSRLTTESASLEDLEVLKESLQFILENSKNIGLVKKQSFSKIKTISMTLDEKMARMFSPE -IEEKEENVQEEEKIKENSEGESTASSDRIFQMSSETSSSSEGPIKIKSESEDLEEEDENLEEREVLEDDM -VIQKFLNLLMFKYYSSSDDYQSDEGFEKLHNWSSSTLLKDPFAQMLPESIRTKIEQLKSIKSEMQDLKEI -LNSSNDPFKIIALNIIAKNTVTEDYDFEVGRLQQALESANIIWGLSGGIRSISVSVNRQMLRSYHFENRL -PIKFKETWVKSDNSKFVSGTGGEIKSSNRIRFSGFLDKIKDCRVDVRDMNLEVFSVIDVPIEIEEIDEQV -YIFVDLIKMTQLLEIVTKVIEDLKDSNQINLESLESCAIDEFERVHRPTIRRTRLVKAEKLSVFKNNPAI -IAAAIIHQDSLYECRPTNMQYSFISSDIGSLETNFPNVVDMINKIKNNQKLALRENREDILKLTKDNVTK -LISCCRMLNKQKSKYQKLFILTDLDEESSSTECKVLFSYNSIENMRIVTSVTSTHRIQDEITFTLLGVIN -CINWYFETDDDKNSVLLKFLKSNLYRMIDNIDDTECEFARRARLFLDQLPDTSVEAFFSAESKYARRAEK -QKTLNCLSKICSNPSELHRASENYGYKTEIDYRGDIDSGMFTFSSVEGTATGYVRNQTVFLMLSNSNPEL -LYSIENSLLNQFKMKAGDIELYKAREQFFRLLPKLRHFEKNLTDNHLIYLDKDAKFHIVRNRESKPRNCR -SEPRICFISKGSLVTRYKDFISESRSIEQKWSNKYLYLISSVITKDVLPADSALNLMNTLHNYLGDEEAE -RIISKVNDSVRRNIIHKINIQGSVSQHSVAIFHSLLRHSKDILKNKAKETIGYEREVAYRLNEVEFDEEE -LMRKIKRGSAPGSDEFYTEKIMPILKSVDGLETVWPINFLLDYYGYHHLTIEFEGVTESSASKFGGWSIN -KEQRFHVSQLQKTIESIMKLHESHITRDTIFMSYECKPSEHLSSIIKDFVKFYKNYGVDKDYMHMCLYLI -SVYLVRNLKKFNTDGLSKCAVFIKRFSESMPQLKFRCNNIVTLYLNKISESVLNPFTQKQETITNVFLDI -ELDLNEYTTPILPKQNLIIVVLKHTVPCLRDLNKKLKRQPILQRQKVVMFRYGIQEVTTTDFLFSFLSQI -NNKNLKSYEVEEIYSLFTLLMKLCVKNPETIRAKNLPNEVGTWYLAGESALNKKTVSIDESMKMTLDLIS -SYLSAEEESDRMDTXSLESKDDTDTSSETQXXNVIEWIF ->BAU51655.1 RNA-dependent RNA polymerase [Tofla virus] -MDFLEGIVWDDVSDIQSVSHPSFMITDYFEVIRQPADGNCFYHSLAELYIPKKTEHSYRLVKAELKQAAE -VYFGTEPEAVALGIKLDEYLDTAMKDYEWGGSLEAAMLSRHLNITVVLWLVDSSNRVVGATKFGKGSLNT -ALHLLHSGLTHFDALRMLAFEEPGAQEPMSIVEKLEMVEKYTLEVDDDGLQEEEILLEAEEEAQAERERV -DPDPRLRTQATLLHKLVRHGENIPIRVGRVLDCLFNCKLCIEMTSDLLVIKPERKENQGETLSLRQLGHK -MLTRDKQLKSEFSKHKLYLTKDLLDHLDVGGLLRSAFPGKGLERHLSLLHSELVLDICTVSLSALLSTFL -YGSNNKNKKRFITNCLLGTNLSGKRVFRSLGKLTAGVLYRNPRKAVASICNDLYGKLVQKLSDCFTLMSP -ISLLALRNLDCDNMCLKDYIDMIEEMSKLDNSDVDFTHREIADINQLTDRLQRLAQTDSPDTLLSWFESS -ALTKRSQRDVRGAQEFLISDFFRRKDIMKFISTSGKASSAGNLGNVLSYAHNLYLSKESLKMSSEDVTQL -LIEIKRLYKLQGDQSVEPIALICDRLEESFRRLFRELPAECSQECQTLFEDIRNSTSHSTAWKHALRLKG -TAYEGMFSRQYGWQYTPEDIKPSLTMLVQTLFPEKFETFLDRTQLHPEFRDLTPDYALTQKVYFKSNQIV -EVVSHQVSIGENLDESVDVVPLEEKKMFPLPETPIKEVHSIRNIIKAFHEKANGRDGHRSVDTTSKEEIN -TTLQSKDPETNAQGPAADDIKQADMHPEGQLDYNNRIIIDRNGVEVTEEEELMRRQLLLVEVGYQTDVDS -KITTDHKKWKDILRLLEMLNIKCSFVACADCSSTPPDGWWISEDKVRTLKSSISHLFSCLTKNSPSDVTD -IVVGSISTQKVRSYLKSGSATKTPISSKDVQETWLHQSENIVNRPTGVQLPQKLVRALKQGLVDGVVMTP -DASRECVQTIKKNAERLTDEYERTKFKHELNVNRTTSEKMLLGWLNDDLQGTRCSNCLSTIKETVEQMSE -NCDRIEYLSGCCILSSHCSECHPKGVEICNTTNVQRRLPDLSHLQHSENKGFEDTNEAITELDKLVRLTL -PGKTEKERRVKRNVEQLIRQMMQQSDLECIKLPSGQIITHRLTRKAKQINEAAQTEKQVERLDRLKKELS -PKKLSTYSKHINHTIDSSLKRPDKQQNSRCAVPRAWLDKLLRDLKVPTKDEDILIGIQKSMQSKVNFSRN -NDKLLIRDEEDIRSFLSSRGRSLLETSEQGLFQSDCLLFKEVTAEALRRYMSTPYQEIPETIVRLINLLC -KFQWFQECTLYGKICETFLRCCTEFSRSGIKLVKVRHCDANIAIKLPSNKKENMLCCIYSKNMELIKGPF -YINRRQAILGAAYPYILITTYIQVLQQHRCLEVLNNSGPRVLENITRCTKGLIECVEKELCCAVRGLFEK -AYEIRTRQCALGGNFLNRSSRDHFVSVISGLNVVYGLLVRDNLLANSQQQNKQLQMLRFGMLCGLSRLSC -PKELGKKFSASCRRIEDNIMRLYLQSTVYSANRDCELNVANWKLKDLCPDVTIPCFSVYGLFVNSDRQLI -YDIYNVHIYNKEMDNFDEGCINVLEETAERHMMWELNLLEAINPETKDDRAARLLLGCPNIKRSTDKEGN -RLSRVGHASDPDGGSSESSSVSGRRSYGSSKGRIQSMFGRYNSNKKPFELKPGLEVSSDPLHDYQQAVTG -GSLYSEYSPNQDSILKDCIQIIRSNPGYTMGSFELIQAVTEMARGKYPAENIEKARRDPKNWVSISEVTE -TTSIVSTPKVHVMLKDCYKVLLGTENKKIVKMLRGKLKKLGAISTDIEIGKKDCLDLLNTVDGLSEEQKK -KIVCGIFEPSKLSFYHWKELVKKDLYEVLLTDDGNYIFCWLKTLSSLVKAGLKRDLKFMNQSTQPDHQSN -IFDVEEVDELLKMRSAISDSSESEDDLNANVLLRAWSRCVFRPKEGCQIVDEGLTKVLAIAEELFNLRRQ -HLELTKLKKDNPTVSFTKEEVTVKRLEKAFLSKNNASIMSITNLIFYCALSAPWCVHYKSLEAYLVRHPE -VLEFNGSVPTESKILDLSVAALVIHLLATRGDHSPAELEGLDVKVRFLIRYVITLFTANGEPFSLSLSDG -GLNEDLQKTTDEKLLHQTKVVFAKIGLSGKNYDFIWTVQMIANSNFNVCKRLTGRTTGERLPRSVRSKVI -YEMVKLVGETGMAILQQLAFAQALNYDHRFYAVLAPKAQLGGSRDLLVQETGTKVIHATTEMFSRNLLKT -TKDDGLTNPHLKETILNSGLEALQTMRLVDGKAATDGGTLVTFYKVVCISGDNTKWGPIHCCSFFSGMMQ -QLLKDVPDWSAFYKLAFIKNLCRQVEIPAASIRKILNVLRFYLSDKGGVERLSEDEIRNKLCETLDLWGG -NDIVKFLITTYLSKGIMAMNSYNHMGQGIHHATSSILTSVMAELFEDLTIDYYKRHYPSLTVTVTHAGSS -DDYAKCVIVTGLLSKDLYDKYSETFWMHTCRLKNFTAAVQRCCQMKDSAKTLVGDCFLEFYSEFMMGYRV -TPAVIKFIFTGLINSSVTSPQSLSQACHVSSQQAMYNSVPLLTNATFTLLRQQVFFSHVEDFIRRYGMLT -LGSLSPFGRLFVPTFSGLVSSAIALEDSETVARSASILEENSIFLETSSLSIIDQISSSSSSDGDARSTT -SVTTLESGHSDSSASSFTFEMNRPLSETELHFLKTLRDNGDQTVCEHIQDQITELYASSKEGSLDKYLLI -YSSKLVDSCAWLKKGRDKGPLECARRIQCILNVLIAGYYRSFGSDGTERQVKACLNRDDNRVIEDPMIQL -IPEKLRRELERLGVSRMEVDELMPAISPSDTLCQLVAKKLISLNVSTEEYSAEVSRLKQTLTARNVLHGL -AGGIKELSLPIYTIFMKSYFFKDNVFLDLEDRWSTKHSTNYRDSTGRMLHGRVITKYAHWLDNFLNCKVS -IDRVQEVRDCSLFNPDLRCVNLLIGENNVRELSIVSSHLRVFIKEFDNLNLQFSDLNRQKLKIVESRPPE -SELEANKVVIVKSKLFSATEHVRLSNNPAVVMGYLLEESSISEVKPTKVDYSNLLKDRFKLMQFFPSVFS -LLRALQVESKEYEKLGDPIDMSTVSKYSNHLTLLCRMIQQARPSLTVFYMLKSTHLATEPTVSELVSFGV -KEGRYLKLSETGLDASTYSVKYWKILHCLSAIGELPISGTDKTTLLMSFLNWKVDTESCDKTCPLYKHEV -SVLSEFSGQVIINTLASELSSVRRDGERDSLTDLIEYANSPGELLKKKPYLGTTARFQTWGETNRNGKFT -YSSRSGEAIGIFISGKLHIHLSKESTGLLCEVERNVLSWLSKRRTDILTREQHSQFLSFLPTMSEVAQKN -RDGSTNGIMLDPNNPRMLKFTVARKQTPVIKVKQQILTVKKQTSYDVESEPRLQWGHGCLTIIYDECETQ -TTYHENLLKIKQLVDSTIDKEKMLPQSVFSDTKITLAKIRFKQDLLLNSLCLLHAFLKHTASYAIMEVES -KSNLLERFLSTGGLQVKTYHNTVTDKLKDKVLEGIVTQSLDEEVAVCEELNKVFSESQVPLSSWSEVQSY -VEEVGFSNVLVSVDRSPSKSELLWRFTLDSQVSNLGTIKDLRSFVSYVSTETVPKFLLPFLLFETLLADI -ISKCKALKECINSTGITDREIDILICFTLFCFQNEKAARDGPKCSATALKQLLGDSCVDLNSRMSVELRS -DGPAVALKITVILVNREEMGVDKDKRVKIAKRNLTSSLGSIFFNKSLGLPSLKKFATSLKMLNQKDRELL -EFTLPVESSSEIDYQTIIDSTIDRMKKEKAIMGIESLLLTLMGKVDIEDTGSEAADPQADDVEALRLEDL -MDEDKSDSIEDGEDEEAIMKRGFTFNWDSD ->AKC89346.1 RNA-dependent RNA-polymerase, partial [Caspiy virus] -MDDIVWQPLTPGMYTSWIRVCVHDFFNIGRVRGDGNCFFRSFALLFFGTEDQWRTVKNTSINYARTNWSE -CTMAKDEYNARAHKTRKSYSEVVANKDIPTATNQDGLALYLNEASDDGYWGGSIEAQMLSKALDISIIIW -SVNNDYKVVGVQRYGNKPVSASFNLMLIDGHFDALTLQGSIQSDVQAKADIPSLAVMEVIAPEEEELDSV -LSNIGEELQEGEEEDNNSRSLFKTTPDLDTLRDLRKELFSYSKPPEQRKDMLKAIEQQAHVPIKVGRALH -KIFNCNVELSFSDKAIFLLPEGANDKNLVPLSRLRHNLLNKEGELKGKFKDCVLVPTNALLKFVNIPELL -RMAVPDTGISQFYDIVHPAFLYDILTVSLSVLFSTFLYGTDFKQKKKFIMNNIRNRCTKPTKVPKLLKEY -NNSKLYQSPERAVNYVCSRLYIEFASTLSRHLKEMPATGLLALRNLSLESVEYQDYIQILNDLKVVSFDL -DFFNQDELQEIKRLVDCVESVRKEKELTIETDSIHSSACYLGVEKETLFVDNKGKKFNILRKDAFERRLI -QHFFKKKFINKFVTLQGKAYSGGSVSNVLAYCNNLYLTKEQLGFSAEDTEHLSAEMVRLRSLLDDQKVEP -IALICDQLEGRFQNLFRELPKVCADECKSLFEDIRNAESHSSAWKSALRLKGVAYEGFMAKAYCWNYLCE -EMKPTLSMLIQMLYPDKFLQFLERTQLCPEQRDLTPDFAMTQKLSIKKDRIESSVETEQLQLQYNLDGSS -EVKPVAKKTFPLPIEPIMEINRVESVLNQFKRLQESKGRDTGLLIESTPVEKTEEELLSFSELLILEVGY -QTDVEGKVVSDMQKWKNVINLLSFLGIKASVISCADSSEISSDNWWLPERYVKLLKSSISHLFMKLQQNS -PSDVTDIVVGSISTQKIRSIIRAGTTVKTPVTIKDVRDVWNKQKQKIMCRPTGEIVPAQLEEPFRLSLVD -GIVQEKTSTDAVVKVIEENIEKIAQEVEQTKFKHVLNQEEKTADALLLSWMLEDLTSCRCQSCNQKIREM -LKSFPDDYSRLSWLSKELMYEKHPGCCHLQPINVPLYSLFQTRTGFYSGIDHKETVLDEYETQQTSLDKL -VKLTLPGKTEKERKIKRCVEQLVRISMEMSGIKCLKTSSFQLIIVDGKGTRSKIKDKEDKKMIKRSEQLK -EMIGKIEKMLNPKRLSGYSDYCKEVISDVIKHPSSQKGCRSQIKEEWICRIFQDLKTETEDGAIIEAIKK -GIEEKRSFTVNNDKVLIPDWDSTKLYLDAKADDLIKESKKQVFNLDCVIFKETVLECISRYFQTPYWDCP -TTLCTLIKFMLKFQWYQKIVLYGKMCETFLQCCTEFRRSGIKLTRIRHTTCNLVVKLPSNKKENMKCVIY -DNNMNPLCKPFFLNRRVAVLGASYYYILVVLFCQCLQHYRCIGGLSEDGKLVNEVRSANALHLDSLSSQL -KSFLAGDIRAASESLIELCKKNGNFLQKGTRAHFVTVFSGLSVTYSTLLGDALLTNSQPFNKQIQMMRFG -VLNGLSRMSSTSELGKKFSSSCRRVEMHIARFYQQLIVYTCNRFPDKNIKYWLKDDLCPKSILPSLSIYG -HFINSDRQLVFDIYNVHIYNKEMDNFDEGTIKVLEETAERHMTWEIDLANAIGKLKKDPKKTRSARLLLG -IANVRKTDTDEVVDEDETLSSTSFSSAKSGSASKKKIKSYFGLMSMNKKPFSYQEDFVVTRAPNADFTQT -ISDKWTFGVYKAKPESVLKDVIEVIRKNPNHTMGSFELIQAFTEFARPKYPSESIMKAKRNPKNYITVSE -VTETTSIVSEPKTHANIKDSLRTITGQENKKLVKMLRGKLQSLGLSCHATKIKSGDLTEMLSSVTSLSEH -QKDMIIKGVTEPSKLTFFSWREIIEMKIETALITSDGNYIYCWLKSLGMLIKRCLKKYIKNLRYDNEFYN -PKYSKDMSLLVGDDAVKQCEMLVDNLKSLTRNELPKYDEINPEILTKVWAKFASLPKVFNDVVGDSYSRV -LNIESHLKELLLRYDQLMKLKEEFPTLSFSREEVELRMLENKFVNEFNDELMKLMNLVFYICLCCPWCVH -YKSLENFLSKHMDETGGYDFGNTTVSKVMDITLEKVWKLALKEHFNIDSDIELLKYVVKYTSAMFTGNGR -PISCSLSTQSSTINVLEHGQMVDKLRIFLTKSQLYTKELDFIWTCHMITNSNFEVTKRLTGRTTGERLPR -SVRSKVVYEIIKVVGESGHAILQQLAFSGILNTEHEFFAVLAPKAQLGGHRDLLVQETYTKLIHATSEMF -SRTLLATTNDDGLTTSHLKENILCSALNCIELSKKTHGAPVEDNDKLKHFYKVFCISGDNTKWGPIHCCS -FFSGMMQQLLKDHPDWSSFYKLVFLKNLYRQVEIPAGSIKKILNAFRFNNVDKKIEEMNEFQLRNLLVET -VDEWNENPIIKFLIVTYLAQGKVAMRMYNHMGQGIHHATSSILTSIMGDVITHFIKLYVQRNFKGLTSHV -EHAGSSDDYAKIIVVSGIIPKSAFEAYEKQFWPRMCRLKNIISGISRACQMKDSAKTLAGDAFIEFYSEF -MLSHRITPAVIKFIFTGLINSSVTSPQSMAQACQVSSQQAMYNSVPLLTNFAFTVLRQQMFMNHTEYFQR -TYGLITTGSLSAFGRLYLPQYSNLTCSSVAIEDSETIVQNLTLLQDNYLGIPDTRNFEEDIEVEEVDSNP -ASVSSMAGATPSSKLSTATLASDTSLKLSQDKVLTAVENAYLNTIKPKTSRMRCSAEMEVFQQIFGPDMP -ACFLKFKQYSLCQSCEYLREVADQPWLQIQRVRSILVLLIAGYYRTFNSDGTERPVKANLNRDENTVIED -PMIQLIPEKLRRELERLGLAKMSVDELIPSHMLEDDFASLVSKRLIMMNCATENYASEVARLKQTLNSRN -VIHGLAGGIKELSVPIYTIFLKSYFFKDNVFFRHYDRWNTKHSSNYRDSSGKELKDKIVIKYTTWLEKVL -ACDVSVDHDNSHQYVSLFDVNLKGVRIVHLSNGSCELSIQANQLKVLKKEFQALALQFSDVNRHKLKVLE -SQRQENVIESSKAVIVKTTLFSATNAVRITNSPAVVIGYMINESTLSEVKPTKIDMSNLVRDRFRINVFY -KSLTDLITEIIKESETIKLKDGIVDLDKVDLYANALTMLCRLVQRTKPKVSSFYIIKGATHGNEPTVNEL -VSYGIQEGMLYILPECPIETSTYSVRYWKVLQCISAIASLPVSDSEKTSILSSFLNWKPSISDFDKHCQL -GKHDKAILEEFNDRTLLNVLSSELQSIRNDKERDSLGDLIQFISSPRQLMKDKPCLGITSTFKKWGEGQK -NGKFTYSSGTGEASGIFVNGVLHLTISKESAALLHEVEKKVLEWLCQLRTDASAYDQHLPFLNMLATTRN -CSKRSVDGIPYLVKFEANEPKFLQLIRYNGKGECKIIKVKCDLLSVRKEVIKEVRAEPHMLWRTNAISIV -YDDETENVTYHHMIKEIFELVKLSASAATERLSSIFYRDTRMTLSKISLQEQIYLSSVVLLHCFFCHTLT -NSIMEATSKSEILSRYFKHGRGTIIKSASKIQSHLLELKSSDVNRNISEEDAICAKLQLGLNKGEFSIDC -WAEVQRLLDENGFHRINVSIQQDLSQYKYNWIVEPEIGLGRSTGQSDLRDMCYLLSSGIVPKVVIPYITN -DQLFTDLITISNRIKLETNKHRVDARQFSAICCSILYVHQTNEKVRNFLQFKTNSLYQIIGIKEFDGTRG -SLKFLTDGATIQLQMFIRGFTLEEAKEIESKNKGKIFEKNEKVKLVRSRIIAETSGVFKPFEKIKEVKEF -ASGYEIRNESGLLTSVLFFTRLASSSTSVGKLLNFLDISDVPDTILNLITDLMMLLLGCEYKSYISKPTP -TQSNVEEITEEQDITAEDVFNEIDEDSNPKLTSSDEEEYDINDVEFEL ->ABY82502.1 RNA-dependent RNA polymerase [Kupe virus] -MDFLDSLIWERVVDDQYVTNPTFCISDYFEIVRQPGDGNCFYHSIAELFFDVKTPFSFRKVKEHLRLAAD -AFYDTEPEAIGTGVTKEEYIQAAMKDNEWGGSLEASMLSKQLQITIILWVVNQTEQVTAAIKFGPGRVST -ALNLMHVGRTHFDALRVINQLEDNQLQSRNRLDLIDKISAAEVYVNQSIEDNFQEDEFFDYAREDETSEK -PSLSEETRKQTELRQKAMLLNKTVKRGENIPIRVGRVLDCLFSCKIAVNLDGGLLSLRPETRENESTSIS -LRQLGHKLLTKDKHIKMEYARSKLYVTKDLIDHLDIGGLLRSSFPGMGLERYIQLLHSELVLDLVTVVLA -VLLSTFLYGSNNKNKKQFVTNCLLSTKLSGKRVFKALSKLTGQMLYSTPKKAVCIVSQELYGKLMLKVKD -NLEGMGPISMLALRNLDFDNMQLQDYLEMLSEMSKIDNSDVEYTHREISDLHILVDRLNKLQKSQDVNEL -KLWFKEETITKRSMRSISNAYEFLINDYFKKKDIMKFVSTSGKASSTGNIGNVLSYAHNLYLSKESLRMT -SEDVTQLLIEIRKLHKLQGDLSIEPVAIICDKLEDQFRRLFKELPEECSRECQTLFNDIRNSPSHAVAWK -HALRLKGTAYEGMFAKQYGWSYISEDIKPSLTMIVQTLFPESFEAFLDRTQLHPEFRDLTPDYTLTQKIF -FPKNTIPRTENRQLAIDATLEDSVEAVPVVEKRMFPLPEVPIGEANSISRVMGIFKNKKDESIQKKIEHD -RQVEEKRQEEEDKAKAAKAQNQTFSKTASGTTDPAAGKPIADEQLNKENEGRESDPEVDLSSCTSASEAQ -NEIDHSPHDISDTKSTTSISDKNNSNFGSDFQVLRGKEEVNRPAAEVNSEPVTDEFPDYGYYFKRIVMDE -NGTELSEEAQLEKRQLLFIEVGYQTDVDGKITTDYKKWKDILKLLELLNIKCSFIACADCSSTPSNNWWI -SEDKVRLLKNSISHLFSKLTQNSPADVTDIVVGSISTQKVRSYLKSGTATKTPISLKDVQETWAKMKEHI -INRPTGVVLNKELTGALYQGLVEGAIISKDGTTNLIQMLKDKQERLTDEFERTKVKHEVNENVKTSEKLL -LGWLMEDLKGCRCTECLIKIKELSESMTINQDRLEYLSTNCQLKSHCTNCHPKGLECRNTTNVDNRVPSM -QRVSHSKNEGFEDTNETLTELDRLVRLTLPGKTEKERRVKRNVEGLIRYMMQQSGIDCIKLPSGQIIAHR -CNRKFKHSSDADEKCNERFERLSKELSELKLKPYSDHVKKTIASSLKRTEKQEGSKCAVPRQWLETLIRD -LKVPTKDEEILLNIRTSMQSKTSFLRNNDKLIIRSNKEIADYLEAKRKSLLSEKTTDKVFSSDCLLFKEV -VAEALKRYHSTPYEGVPEVIVKLINFLCTFGWFQEVVLYSKICETFLRCCTEFSRSGIKLVKVRHCDINL -SIKLPSNKKENMLCCIYDKNMSLLKGPFFLNRRQAILGSAYPYILMTLYTQVLQQHRCLEALNDINDRTI -GNINNCTNNLLNIAKTELTLTNSGLFEKAYECRTEQCKLGGTFLNRSSRDHFISTVSGLNLVYGALIKDN -LLANSQPQNKQLQMLRFGMLCGLSRLSSALELGKKFSTSCRRIEDNIMRLYLQSTVYSANRDVVQNVQNW -KIKDLCPDITIPCFSVYGLFVNSDRQLIFDIYNVHIYNKEMDNFDEGCITVLEETAERHMLWELDLLRSL -EGDTKDTRAARLLLGCPNIRKAVDRDGNRLLKKGTMDDVKDETGSDSSSISGRRSYASSGTRVRSMFGKY -NSTQKPFELKPGLEVVNDPLHDYKQAVQDSFCYSEYTPNVDSVLKDCIHIIRTNPSHTMGSYELIQAVTE -NARRKYPPENIEKARKDPKNWVSISEVTETTSIVSQPRTHFMLKDCYKVLLGTENKKIVKMLRGKLKKLG -AMRTDIEIGKRDCLDLLTTVDGLSEEQCKNIVNGIFEPSKLAFYHWKDLLKKELNEVLLTDDGNYIYCWL -KTLSSMIKHSLKKDLRFMTGKNSFDIKPDLFSEDEYYALKVMKSELLGEQTDGIQGKTHLLLSSWKKCTF -KPKEGQSILSTGLNGLAALHDELYDIRLQHLELTRIKKENPTVSFTKEEILVKRLEKSFLNKFKKEIMEA -INLIFYCCLAAPWCLHYKSLEAYLVRHPEILETESIKENDIPLLDLTVTSLIRNLVNDSKEDLLFNDSSD -IKVSFAVKYLITLFTANGEPFSLSLNDGGLNEDLQLTTDEKLLHQTKKVFAKLGLSGNNYDFIWTLQMIA -NSNFNVCKRLTGRTTGERLPRSVRSKVIYEMVKLVGETGMAILQQLAFSQALNYDHRFYAVLAPKAQLGG -SRDLLVQETGTKVIHATTEMFSRNLLKTTSDDGLTNPHLKETILNVGLDMLSTARALDGKQVSEDSKLVN -FFKAVCISGDNTKWGPIHCCSFFSGMMQQLLKDVQDWSSFYKLTFIKNLCRQIEIPAPSIKKVLNVLRFK -LSNKGGVEKLSEEAIRSELINNLSEWEGNDTVKFLITTYISKGIMAMNSYNHMGQGIHHATSSLLTSMMA -ETFEELAVDYIKKHFPGLTVNVDHAGSSDDYAKCIVVSGLVSRDIYTRYDEVFWRHMCRLKNFLAAVQRC -CQMKDSAKTLVGDCFLEFYSEFMMGNRVTPAVIKFIFTGLINSSVTSPQSLVQACHVSSQQGMYNSVPLV -TNTAFTILRQQIFYNHVEDFIRRYGLITLGAVSSFGRLFLPKFSGLVSSSVALEDSETISKAAAEINSND -IFFNTSSLSNLDKIEQSPDSSGLDDDSAVSITTVESSDSKGSSSSFTFDLNRPLSETEVKFLKLLRELTS -TTACELLQEKINILYSDSREGPLDRHNILQNCRLSESCDWLIDGKKRGLLELSRRVACLLNVLIAGYYRS -FGSEGTEKQVKASWSRDDNRVIEDPMIQLIPEKLRRELERLGLSRMEVDELMPAVGPDESLSQLVAKKLI -SLNVSTEEYSAEVSRLKQTLTARNVLHGLAGGIKELSLPIYTIFMKSYFFKDNVFLDLEDRWSSRHSANY -RDSSGKMLTGKVVTKFTHWLDTFLSCVVSANRSQEIKECSLFNPNLRCVNIMVKEDGVKELSYIRSHLSV -LSVEFENLNLQFSDVNRQKLKIVESRPPECELEANKAIIVKSKLFSAVEHVRLSNNPAVVMGYLLEESSI -SEIKPTKVDFSNLLKDRFKLMQFFPSVFTLLRALQCESKELERMGEPVDMHQVSKYANHLTLLCRMIQQS -KPSLTVFYMLKGSQMNTEPTVSELVSYGIKEGRFLKLPEIGLDASTYSVRYWKILHCISAIGEMPLSSKD -KTSLLISFLNWKVTSDCVDDCCPLEKHDKAIISEFSGQVLINTLASELSSVRKDQEREGLTDLIDYINSP -NELLKKKPYLGTTCRFQCWGEGAKSGKFTYSSRTGEAIGIFVAGKLHIHLTSDSPGLLCEVERQVLSWLG -KRRTDVLTKEQHQFFMDFLPNLSEVVQKNRDGTVLGVAMDNANVRMLKYMPPKKNTPVVKIKKQILTVKK -QTTLVVESEPRIVWGHGQLSIVYDECETETTYHENLIKIKKLVDLASGTSDKLPAAIFSDTRVTLAKVRF -KTELLLNSLCLLHCFLKHTSQDAIQEVESKCNVLERYLRSGGIQFKPMSESLDKKLTKAHLQCQSDREID -KEVSFCEDLTRIFSQENVPLSSWSEVQSYIEEVGFGNILVQVEKNPTRSDLIWRFSIDSVGGSFGPIRDI -RTLVTYMSTETIPKFLLPFLLFENQLKSLMTSCIELRDALNGSGINDKEIAVVALFTCFYYQLDSVKRPG -PICSISSFCNLIGDDLLLLDSRLQARILPEQENVKLNFRLNLTTDSTLSKKDKAVQAKKIVNRYLKLIFS -EEDMDLKKLKNEATNVKLLSDRECEFLEFCLHSDLSYALNYRVLLEQLIDLEDKAKKTACVLIEEFILML -AGRLTISSAVDMDSMKPTEDEALCLEDLLDSDDENSQRKTDDEEQVALQTGKLNFNWDSD ->ARB16032.1 RNA-dependent RNA-polymerase [Grotenhout virus] -MATIQPRDVLVSLQKEVRGGCMLTEQTATIAWTRYNLDPPGPVGLSAQQTLGLLMLGNSATDLESLEIYT -KNLVSLWWSSLQITCEGDVSLPKKGPEIYLSTSPWALKNLDRMTLALLSLQLGANLEFYKVTENDMCSLL -LIKQRHGNTTIRFLVRTDYQGDEMYQVLEPAQGATVSFPRALAVEDLRSSAPTLSRELEDESDSGHEVTD -QWLSRNVSTHDSHTTTSKVQPGGRKKTTGTEESQRLDKAQSKKGRKVSAADMGFHSLSHSVAGQGGPSGM -VTKGSATREHLQRIEALAKVAERNEDDFPKLADSSGSEYLTPGSSSSLSYCSVASHGALVSSSDTTDHGV -STAGGSEEVRRSGPSTAIWFRAVEDNSLVNYLLPSWLRRNQPKETIKTKSALPGRSEWLRSGISKAKDAR -KSRALDFEEDRDQRTIPLRTMETAMLFDAEEDEGSIPESVRISRKKLKAEIIRWKIQVEQSLEKERKKQE -KKKELKQESKNPEFYKDFIPVTGCPLLPSGVPLEAEIKAGPATTGSQLATNTDKQRETASISGAERTSQT -TEQDETVQESSTTDGTTDVSPVKSSGRRRRAQRPFILMGACKGGLKRGKLYQGDMRPMTSFGHNSDVARS -RQRRTRVPHRRLTAQQGPMAHHEEVQANRSGRGTTEQRQPEEQAEGTPADTSSVTTQTVHNQPTGSPTPH -TEGHQSTKPPPPNEKAPQDARGTNRTTTHTPEEGTQPGPEQHSTAAASHQAAGADSDEERRRTRGTKGKG -KKSSKKQQGPEHTETPPRPNPGATLIPTSQQDPPTNTQAEPSQTTRAAAQQPRGTATPQPPSGGVFPGLE -DATPVDTVRQSVAVTTHMKTDGKTNTGEQQAGSSKKAKKEKKEKQQHGTGTPGITGATQHRSEPAQRQED -GGHEAHHTVSHTTPETTGVRSNQGTSNMQIPPEDPEELRRRLLRLQGDQSLVEGDLPLSRMVQQPYIPPS -PFFVPESMLCNAEQQQQVTLLVRFLEKAFASTLWVKDTRISHLGAEQTFCIVDAADISIYSQRLDDFFFH -LIGSEDESSLEALSTHGLIIISRLALQVDNSLLGPMLFLGLVDQEFWCYMPKPTITTCLLVLALICVGRI -MELNGCRLGSKIKELAVREMSERQEVIYTILGNKDIMEMRLPIDRAFKELFLLTHSQRIATLNSMMRQLS -GIGLTRLDMMNPSATELKLCYSIVETLLHDEMINENRTHYTQSISMDLMKLGAVVDKCLEAFNALYPNGD -TRVPVKDSQETRSIRISAMTAIKEYFEDWSAGHSYVLVNPAMLEANIKCLAQHASRIASYRENEMSESDY -TVHKRLMTKLFKILEGVELMPMVEVCKQVSSHFSNMIAHLPGVVRQEVRQLYNSLIYCNSYTDAWQFATR -IKGVAYEGFFSQRFGLDYCPELDKPTLGEIIRVSYPLLYERFLSLSAKCPEVRTIVPDFYLHRRSVMGPE -DPSALREAIDSASEPDTPAGSDDEEATVEPSVEDPMELTSGQSKYKIAPDEPRGSRKQFSQVTADTDIIR -SKCIRAMSTRGQLGRIVKDTVVVAEQSADDENPIVCRVSPASSQEQELLIFEVGFVTNPEQKIEIDMSKW -GKAIRILDKLKIGTTLIIATDVSSRSTDKWWISPSSAGLLKKSVGTLFFHLVKHTPHEIKDRIVSGLSTL -KHSVDRKAGSTVKTPVTVADVKEYFVEGKSKIANRPTGTKLPSEVMKSIEDSLVNGCAISEEGADKVIQM -LRSSSKDIINQYTKTINAEELLDNDQTKLKVLGSWILQEYKNRTCPQCFNMVDKSRLGKDGFVEELVYIL -NKSKELKDCCAQHIHSLPCQGPLPLFMVRCPPLVSLASSKLAHIEEVDRTELDVFMGCTFPARTPAQKKT -RKVLEQLCRAVVDVNGISAIKNSKGQIFLNTDLNTKISEKICVPRKIIKPLSTRGLQEKVKKVLAAIKEQ -FNSGELKNYSDFHKDTVSRLVDKLDDQTNSSCILKHEWVMRILDSLRLTSSPSELLLSINKTIEDRKANA -PLNDSFRIPDKCEITRYFQNFKERLLSSVAYVEPYSLDCVLFKEVFDETVRRLASTPYVVNLNMVTNITK -ILLKLGWFQNLVLHSKICMLYLTACSEFSSSGIKVLKVPHTALNLVVKLSADKKTNSSCTLFDLDFNEVV -PPFFMNRCVATIGQAMPYVLLVLLIQSVQNYKCLDALSSPNTLNYDKIRDGIDLLLDNCHDAIIECYEGD -YTSALKVFKSCWLTKKLHNEQSPAARLERVISSFTVGMGCILVPAMLLNSLNFNSQIQKMRFTTIMGLSL -IGRPADMGAKMYSPCRRIEAYVAKLYLQLSSYAALSGTATNPENWKEDIFYPSTTINSLSLYGMLTSGDR -QLLTDIYLVHIHNKELDNFDEGSIAVLGELADRHFSWEAHVTKMVDIVRSPDSSRRQVKQALQELRLLVG -AVKLDQLPLRKDDSDFGDIDESKSECSRGSSSFRASSVVSSVRSWGRDTVYCISDSSELYPNFDQGGQLS -LRQSELCTIYTPNISKIQKDMSLVLSINPSYTMGCPEIVQAMAEYGKRKFPEIVIHRAKKDARNWASIAS -VSESTAIVPGPLRVFDIRREADTMKRMKGTNLKKLLKKWVVYIWWFAKREKSIKEVTQDLEELLCCIETI -DPKVKEEIKKSVVEARSLRQVTWQYASKLPLDQTLMTIEGHNIFYWLKSLQKSMAKLWSTEPLAEIINHP -TILSIVETVKNLSQGQPPVVTIKYIESVHSESVNLWKKSLDIFIGALELETEQMSKPLIKLKDDFQQLFG -SYKELISLKRENPGLTFKKQERELRRLEQDLIKNHNKTIAFYCNILFIACFACPWFRSLKHQEGLMLKAV -FSEIGLSFNTEKLSTKYMSALLPMLCTRQLVSLYLAEKLGNGNVSDYWLYEPLSRFCIAMFSSNSNPLSY -VHSSRLSDVNTNLDGVLHSAAQLIALYAMEGQDYDFSLTVKTIGNSSQMTAHKLTGRMKGERLPRSTRSK -VIYEIIKLMGTSTTAILQEVVFDKILDPSHEFFATLAPKAQLGGNRDLFVQETGTKLVHAVTEVFSKTLL -SQTKDDGLTNQHLKEEILSTAHSEFWLSHERHGTAAKVAESALGNGEPKVNFYKVLSIAGDNTKWGPIHC -CSYFSLMYQQLLIKHPDWKHFIMLVMLKDLNKEIEIPSASISKIMNSLLHDEQFVKNTKGRTHPAQLQAE -LARVAKNWSWKPLVQDIILNYLVKGKLCMQCYNHMGQGIHHATSSILTSLLAKLIEDLLVGFIEQELPGL -HCKIVHAGSSDDYAKVITTYGELDSEQFQMYEASWKFVMLDAKNLMSALCRLSARSKILLKPLSGTVVAE -FYSEFVFFFQKCPAPIKFVETGIINSSVTSPVTMSQTCQVGGQQSMYNSVPHLTNFAFTIFRQQIYFNFI -ESFVRKYGRIVLTSISSFGRLYIPVYSNMIEAGLVVEDLEQVVSSLSRLSEFSRNLPLAEYDVVLPGGEK -SIVEPGLGSSSSSDYDMSVQTEETIRPVSRKHHKARLPKDIEHVADKVRRQLLAQYNSDYLGDCDKIVRQ -NYEGHQCIHHNNQGAMSLMKTFLSNQPSQIKSICLSPRGDLRFDTFELAKSTYSVSLTVQVMSDILTSLI -FSYYKRPQTLNMSKKLKASYNREESSFFEDPFIQVKPSSLERELKNLREARSEIKTVTDTTELIDNYPEL -IADQLVKLNNMTEDYIGESDRLLQAITSRSIIWGLAGGLKELSIPIYSIFFKAYFFIDRTDICSSNKWVS -IRNEGHMDSSAQPLGTQVRTKFGVWLDKIFQCPMHSEVMGACLVLDENARTCKVVRANYIENGEESELHY -IAIDGRLCEKYANEVSDLILQFSDHNRLKVKVLESSREVQVRPADMVRVSKVRLFSRGSASRLTNNPAVV -IAYRLCPEAVYRLKPRGINYGSLETEGTHIEDIHPAIKSEILKIIAMHSSGEGFARDIAQEKIKMLTTLC -RMTSSSRFNITSFYAIRPTNSHDDTNISEILSYGIQEGKYVSIKQQTIDYSTYSERYFIIIEAISVINHL -PYEDDIKSRLMQNFLTWVPTTEGIISILDCGLKDFYESLVALFGNTTLADTLDMEQYSTHKADDRRSCSY -LAMFALNAQALQSKVPYTGSRISFSTRGDQASSGNFTLASAEGNAVGVFRDGMLYIHIDHDSPILMTELA -VRVLTWVTGYDHKSLDRNSCEEFLRLLPRCKKNTAVSHEDDGQLLILKPSSTIPIYLHPVQGFHKKTPYI -RLKSNILCYPLEKEQPRQSINCSWQPGKLVMYYPLILQEMQPSGSTLQSLEILKRAGLNSEYNQLRKEGA -QSSRKVVIATIKLNRDVSLRSVALLHMFLNHLSGFKSYSLGVPEREAVLQRMVTQTSIIQLQNLHKLIQK -AEEHAGDLAVTSRGDAFGDSEVGTPEARLRDILNSTLRNYKGSTDWVAIQAIIDFLNMDNSMVVNESLEL -RGNLNWKIVSTSLSVIEPHSYFSQLVSSLVGLASYRCAPLLCRLVLVEEGIDRLRKLSNDILRILQYEQL -KENDLHCFFIAACIFNVMVSRGRHGLLSWREVLRAILPIRTRGPRNSLIEISSSQGLYFLRVILKHDGAG -YKHRGDRRRMLLMNLQGVAEVLFPSDPLASFLSVCHNQKDDQELGEYSYELMLLPLTARTNFHRVCSFLV -PTYTKQLGKSLACVLLQLLLGSELVDQDCAELVSQYQLLSQGIAHSTKPISFDSDDEDGPIDLLQLLKEA -AELEAKGQGKRLAVDTTDDSSTSEDPSALAVAHNTLDFIRRFNEAYDSDDED ->AMT75371.1 RNA-dependent RNA polymerase [Abu Hammad virus] -MSSIHLDQLNWELNHGGVYHSGLRFNLKEFFDIHSVPGDGNCLFYSLSYLMFDTLGEWRSLKATISNFAL -TNWGQCNLAKLDYKSPQEYVADLNRNYYWGGTIEAEIVSLALNTTVVLWCTDVLDNVVDAFKYGQEPVSR -ALNLRLSNAHVSPLKVTPIQSEPSVKHDSPLTQEVLSTIIIDDEDSLEDMFLDQPEDSTEESSKTSERGR -REDLFSKASVNKLLTMAKKAVEDNSSVSVRVGRVLFRLFNCNVSLSIQDNTLSIKPRDENHPNKDLDLSK -LAHVLLTNDKKLQESYCNLLLVLDRSLLQYLNVPELLRIALPGTCASQYFDLMHPDFIYDLCLLVVAILL -STFLYKTEYWVKLQFINSAVAPSRFLTKDAKTLLKRYNNKMLYEGLTKFISALCGSCFGDFVNEVIEALR -EMPPTGLLVLKNLNLDTVRLSDYKNILNDLRVVSVDQDFFRLDELQEIKRLIDALYDIRACKQPITPDQV -PVSVVTYMDCEAKDLFKTHSGKTVKQVKPPNLEMVVIKKFFQKKLMMKFVSLQGKAYSGATVNNVLAYCN -NLYLTKEQLGFDINDIEQLRCEMVRLRSLLSNELKEPVAIICDKLEQNFQKLFEKLPDTCRSECKSLYED -IRNSDNHASAWKSALRLKGLAYEGLMAQCYNLAYIPEDLKPTLSMIIQTLYPSKFIQFLERTHLHPEFRD -VVPDFVVTQKLAMKVDTILPQTETQQLTLDPMADEDSAEVIPVGKKRFPLPCHAFKEVTNVHWLKEHLEQ -RKTVTQRTTGLTICATDVAIEGAMSESVPELLILEVGYQTDVEGKVSSDVKKWSEIIKLLKYLEIKATLV -ACADSSECPSNDWWIKEEFVKLLKGSVSYLFNQLQLNSPSDVTDIVVGSISTQKIRSMLKSGTAVKTPVT -TKELKECWREHKAEILNRPTGTKLPKRFEEIFEVAMVEGVVVEKNAAKEVVDHIVANSNVLIQEFEKTKY -RHEVNKLETTATRMINSWLKEDLSASRCKMCKKQIMDSIKDYITTSDVTLTLAHELPLENHPDCCHAQKI -EQDVKSYYNKRVMSFDCLEHKEQHIADNNEYKATALDRLVRLTLPGKTERERKIKRGVEQLIRLCIECSN -ISVIKLPNGLIVGQKKEILAFKGPVGKRPKEPSPLTQEQQTKLEKLRQQLSRDRMKTYSETCKDVIQEVL -NSINTQQASKCILDPIWVKNIMLDLKVDTDDVSIMEKFLEGLSRKKDVAINNDKVIVPSHENFIWYIQDK -LKDMGPCTTKVFDLDCIIFKEAIVECVTRLFDSPYWDCMETIQKITEQLLDFTWYQKLIYYGKLCETFLQ -CCTEFSRSGIKVMKIRHTNANLAIKVPANKKENMKCIVYDSNFTPITQVFMLNRRVAVLGASYYYIVIIV -FIQCLQHARCIQAARKGKYTDKICTKVGQMGDKLLKCMSLAYKGLFKESRGILMEVCKHNGSFLNTGTDE -HYVSVFAGLSLTYSVLLGDALLLNSQPFNKQLQMMRFGMLNGISMLSSPDELGKKFHSSCRRIELAVARI -YLQLITYSCCFDPEGNCREWKLNDLCPNTSMPSLSIFGHFISGDRQLIFDIYNVHIYNKEMDNFDEGTIK -VLEETAERHMTWEIDVADCCHKIEETDRGSRCLRLLLGLPNIKRAAIDSQVGCDDDALSDISSVSNKSLD -TDSTSQSKFKSYFGRVSMLKKPFSINDSLDVVRFDKQDYSQAVTDKWTYNVYRPNKSSVLKDSIEVIRKN -PSHTMGCFELVQCFTELARNKFPNESIEKTRRHPNNYITVSEVTETTSIVASPKTHIMLKDCLRILMGLE -NKKLVKMVRGKYQRLGLSLSPDSPKAQNLTDLLQTVDELTDHQKQNILKGITEPSKLSFFSWKEIIKKDV -ESALITQDGNYIYCWIKSLGHMVKRSLKRYIKGLRYDINSSQRSKLTEAAEKKFGKDVVESCTNFIDALK -KTIRGDPDCFAGCDSKQLLDLWSSFMLECRDCEHILVPGFEAAKNCLVALEEILDKYNELTVLKEDFPSL -CFTREEVDLRNSEADFIKKNNSGIMKIVNCLLFICLTCPWCLHYKSMESLMSKHLDADYRLDVGNKELKK -LLESPISNTWIHAVSKILESSANADAEILKDSSGPVSSKRLDRLCRYVCAIFTSNAHPISCALNQGSAED -VARDQGQIVERVKTVLARLGITSIGTDFTWTCHLIANSNFEVSKKLTGRTTGERLPRSVRSKVIYEVIKL -VGDTGMAVLQQLAFSTILNVNHRFFAVLAPKAQLGGHRDLLVQEVGTKLVHATTEMFSRTLLSTTNDDGL -TNQHLKETILTAGLNKINLMIKHHGEAIADGSDLKQFFRVMCISGDNTKWGPIHCCSIFSAMMQQLLKDF -QDWSSFYKLTFLKNLFRQVEIPSASIKKILNAFRYNSHGKFNVDELNEVQLREKLLESMTIWESQPIIKF -LVATYIAQGKSAMTSYNHMGQGIHHATSSILTSIMAEATSCFLEAYLTKRFPKLTVSIEHAGSSDDYAKV -ITLSGVVESATFNKYNECFWSIMCKVKNLMAAVGRVCQMKDSAKTLCGDTFIEFYSEFMLTHRITPAVIK -FILTGLINSSVTSPQSMSQACQVSSQQAMYNSVPLLTNITFTLLRQQMFMNHTEHFSRNYGLITSGLVSS -FGRLFIPKFSNLITSSIALEDSETISTALRDIASNELEFPTTMEEVKPPADHRADRQDVDEADAAEIGST -SSISLTSGSSLSSGSSFSFRTDRNLNSTEQEYLKTIRSTIEEVKSRAISELCTRLIGDIDSLVIVDKLRK -SNLVNSCEYLSKAKSNPLLLIHRVRCVLLSVIASYYRTFSSEGTEKTVKASLNRDNNTVVEDPMIQLVPE -KLRRELERLGLSKMTVEELIPTPCFDEEFSSLVSKRLITMNCATESYESEISRLKQTLTSRNVIHGLAGG -IKELSVPIYTIFMKSYFFKDNVFFDLMDRWNTKHSSNYRDSMGRKLEGKIVTKFSYWLDVILSCNLTIGI -RSEDGPVSLFDPTLKSISVVRHDKAAPELSIDPRGTDIMCKEFENLSLQFSDNNRHKLKVLESARHRIEL -DSNKVVIVKSSIFSSTDSVRIDNNPAVVIGYMINEASLTELKPVKVDMSRLIQDRFKIVLFYPTLTDLVS -KITAESKQISELNQIADLDKAEQYAKNLTMLCRMVQQNKSKLTSFYMIKTSSLTNEPTVAELISYGVKEG -SYLALEDQEIDTSTYSVRYWKVLQCISCIASLPIGDNEKTSLLISFLNWKPSISQFDCGCGLHKYDKSIL -EEFDDRVVMNVLSSELPSIRNEREREGVKDLIDFVAFPNQLITRKPYLGVTETFQKWGEGQKSGKFIFSS -SSGESTGIFISGQLHIALSNDSPSLLHQVEKRVLEWLGHLRSEIVSTEQHSTFMSLLCDINSVSRKVMDG -RLMTPKLDSTNPRFLKLSPITPKRPCKVVRVKKGILSVRKEVVKEIQSEPRLLWKPNSITIIYDENVESI -TYHEQIFNIHRLVNQVINKENKLPSTFYQDSKVVLSKLRLQPQMYISSVSLMHCFFCHTLKDSVLEACSK -SAVLTQYLHSGFRSESREKQKIQIKLVDSSLDTKSDTKFLDNEAVCKQLADQLNYQNVSENAWPEVQKIL -DENGYHQLSVGLECKPNTGHLIWTVTQDASLGYSAVQTSLRQFINSICSLVLPRCLSPFLTDGELLGQTL -NLCETSKREISLYSLTDRDLSAICCIAAYMFDADNAVRKELCFSNQGLFNMCTSCTFDISDYASVTFHQE -PEQAVLSCSVTTTPTSKQKWNERGLTQSQARILTSYNKIFNAVDTVGKVGVNCDSYSVGKVNNISVAKFC -LSSNKAHLTSCTKLFHGLTGKSIELLVEELACFNLCLLLLGKNFTKPCEGKSTDHIEDVDDFTAEELFGV -GLNPSATGSGGAAEETSLIRSIEQSVDEGTYHFDW ->YP_009246463.1 RNA-dependent RNA polymerase [Erve virus] -MDAVNRLDAIVWENIEGNLSRAFLTLDLHAFFNVNKEVGDGNCFYRALSRLHSESRTSNEHLYYRLLIPD -AVDKYFDIEPEAIGLGLNKQEYVSKAILDGEWAGSLEASMLSKFLDITIIIWIVDDSGTIISANRYGEGR -PSQAYNLCMVGNAHFDSLYIRVFERPETANLSLIGRLESIEELASLEEIPCLSSREESHQNSSGGRRREL -SKLEVRAIENSQGIPLRIGRIVELLFSCRLGFSIDHKSLKITILDDSKYDVLDIRKLGHYLLTNDRKLKR -EYSKCGLEIDNSVWPHLDESYLLRFAFPGYGLHRFIPMLLPIFVEDVLKVCLSILLSSFLYKSKVKYKRE -FIINCCRSTVTSGKRVFKSIRKATTSNLYSAPQLVLRSCCEHLYKRLIVKITSSIKAMSGESHLLLRNLD -FSSLSLADYLKLLTALAKEDLQDQSFINKELISLNRLNKTLKEIKDNGLWETKEKEEVISKFFEEKNMLK -FIGKSGKASGSFQIGNVLAYAHNLYLNKDSLGLSNDDMEQISIEIRKLQLLQEGETFDPVAIICNKLEGH -FNKAFSKLPKICQSECHVLFDDIRNSSNHAAAWKHALRLKGTMYEGFFSQHNNWTYIPEDLKPSLMMAIQ -TLFPEKFVRFLEKTQLHPEFRDLVPDFLITQRLLMEGDNPKVNISHQLKVIEGLQESVESIPMGDQKIFP -LPEVAVSEVRSIEGILNRIETQARQSNSKNNRFHTETNNVVLDQERSYSTHQLLFIEVGYQTDVEGKVLT -DTVKWKEVLKLLAILDIKATLLVCADNSKTHVNDWWIDEELVRLLKGSISHLFSKLSKNTPMEVTDIVVG -SISTQKIRSFLKSGTSTKTPLSTKDVQETWHAMKDHILNRETGVQLGEKYANPMYIGLVEGVTMTDEGVQ -LIMNLLKDNIKTLTDEFEKTRYKHEINKSIETGSKMVLAWLKEDLEGCRCIKCISEVLTSVDDVVAVGSK -LSILARACSLSSHPVCCHSETINVVNSSNFQKRTPDLSSINHLSIKSLDDDEGSITDLDKLIRLTLPGKT -EKEKKIKRSVDCLIKLMMFKSSINCIKLPSGQIVMLDKNTRSNITKSRDPSLDGKAGKFTASREETVLKN -LSSQKLSNYSDYVKQVISSSIKNVANQQASNCKLNDLWVEKLVNDLDVPLQNEEVIEKVKRSVEQRKKYI -RNNDKLIIRSTHEMISYLTNFRGSLCAEPSNRLFSVDCVLFKEVISEAMLRYQSTAYQGCVDHMLKLLEL -LLEFTWFQEVLVYSKVCETFLRICTEFNRAGLKLLKVRHLNINIAVKLPANKKQNMQCRIYDHNMQHLTD -VFFLNRRQAIIGAAYPYILLVLYIQILQQQRCIEELDNRSSHVQGIRNKSDKLLTCFMNEAASVLNGHFE -EAYKERFQICKLSGNFSTKPPHENFINVFAGLNLVYGVIMRDSFLANSQPQNKQLQMLRYGMLNGLSRLS -CPLELGKKFSSSCRRIEDNLSRVYLQSTIYCSMRDVEKNVPAWKEVDLCPSVTIPCFSIYGLFVNSDRQL -IFDIYNVHIYNKEMDNFDEGCISVLEETADRHMNWELDLEKNWRDDHDQRGTRLLLGIPNVHKSKCQDPR -QIEDSKSDASSLKDSCLTRRSSSSNRLSTSSLINRYTTIIKPIEIDSGIFLESDILRQGRASATGGPKYY -AYTPNKASILKDCLTIIKKNPNYTFGSFEVIQAVTEFARSKYPQENICKAKRDPKNWVSISEVTETTSIV -ATPKTEFYVKDCFKTNISNQNKKLSKMIKNKFKKLGSLFSDNDISKKDCTVLLSTVDGLTAKQKQDITNA -VFEPSKLSLYNWSYILTKGVFDVLLTHDGNIIYCWIKSLSLMAKSRLRKHLSFMSVGNDTVPEEGFFSSN -EIESLITIRRLLICEEHEEISTICASNLVSAWIKCIFVKPIDDVYNDKLLSDMLSAAEELYTLRLKHMIL -IRDKKENSYTSFIKEELILKGEERVFLKNYDKLIVKSVNFLLFAAVSAPWCMHYKALESYIVKHPEILDI -GDTETYSNSILSLTLSNVVYELYKIYCGKKKEVVDKRKMISLRFFVRYLTTMFASNSEPFSTSLNEDEID -VGKTNDIEEKLLSQTKLVFAKLGLGDKNYDFIWTVQMIANSNFNVCKKLTGRSEGERLPRSIRSKVVYEM -VKLVGESGMAILQQLAFAKSLNYNHRFFSVLAPKAQLGGSRDLLVQETGTKIIHAATESFSRSLLRTTND -DGLTNQNLKETVLNHALDTLTTMRSVDGELLKGSSNLIQFYKVICISGDNTKWGPIHCCSFFSGMMQQLL -KDHPDWSAFYRLTFIKNLCRQIEIPAASIKKIINVAKLKMEHNQDIDCLSEEQAQDLLKESADDWSALPY -VKFLIKTYLRKGKLAMNSYNHMGQGIHHATSSILTSIMAETFEELCTHYFKSIFPNLTVDINHAGSSDDY -AKTIIVTGVLDREQYELYDSIFWNHACRFKNYIAAVNRCCQMKDSAKTLVGDCFLEFYSEFMMGYRVTPA -VIKFIFTGLMNSSVTSPSSLTQACHVSSQQAMYNSVPMLTNITFTLCRQQMFFNHVEGFIRKFGPLTLGS -VSQFGRLYCPRYSNLVNTSVTIEDCESIVNACNSILKWNDLFETLAKSEIEEEFEKDRSKRSLSSSETSS -FKSGESSTEFSFIHRRLLTDDELKFIDISSECARYTNAQAVEERLGLYYWDTRDQNPKNKDFILNSTLCN -SCEWIKKGKDKCALEAIVRIQMLLRLLCFGHYRSFSGQGLERQVKSSLNRDENQIIEDPMIQLIPEKLRR -ELERLGLSKMSVEELLPKSLSCSSICQVVAHRLISLNVSTESYVAEVSRLKQTLTARNVLFGLAGGIKEL -SIPIYTIFMKSYFFKDNVFMDLTDRWLTQHSANYRDSSGKKLDGKIVTKYPHWLSVFMNCLVSMDSTSEL -TDKSLFNDSLKCIGVTRNLNNQRMLTIIKSHLESVSSELKYFILQFSNLNRRKMRIVESRPAECEMEANK -VVITKSSLFTAGDGVKLNNNPAVVIGFLLDESSISEVKPSRVDFANLMKDRFKLSQYFPSVDLVLKSLKR -ESDQHLQVCSTPDYSVSTKYVNYLTLLCRMMIQTNSSLTVFYMIKSNKLRNEPTVSDLISYGIKEGRYLK -LPEAEIDTSTYSVKYWKIIQCISCIGLLPMSDSSRRDILFGFMNWKVTCCGDSGCPIFKEEASVLSEFNN -QTILHVLASEVHLIKDKHERESIINLVDYVTSPSELIKKKPYLGTTASFKTWGGGGREGRFTYSSRSGES -TGIFVGGKLHIYLSNDTISLLDEVERNVLGWLSQRRTEIFTIEQHESFVNLLPSIAEFGSKSSDGKVVGV -AVDKSNPRFLRYTDPKGSAKNHILRIKKQILTVKKINTVEFESDPKLVWSKSGVSIVFDEISTEVTYHER -IGLIKGLLANVIENKTLPSLYQDTQICLSKLKFSNTILMNSIALLHAYLVHAPLDAFNSVGSKRTVLKTF -LENRLLVQSEGQTVKQTFGAADLHFHKQTPHNSEAMTLLTISKTLTENMLPFDSWPEVQAQLETCGLSNF -LLTFKSEPAKGYLMWDLQTSLVPDRLKILDIKDVVSSVNSGVLVPAFLPFLFEPALLKELTNTSLAALHT -LSSLSITNEQVDRIVISTIYCFQTETKERSSLKFRPSSLLGLCQRQTFRIGNRLEVSAVADFDEVSLMIT -IRCTDPQDQSMPRDKKQLRIIKNFNSSVRCLMIDQSVDVKKIKESFNDLTMESDHKGTKIKFTAKPNDNN -QFDYLALMYEGKERLAEYTSIANFVLFLLGCKHNSFEEPNTIKGEEDISIDSIIDVVETINEQVFQDEPV -RLSDKVYFSDDEY ->ARB51463.1 RNA-dependent RNA polymerase [Crimean-Congo hemorrhagic fever orthonairovirus] -MDFLRNLDWTQVIAGQYVSNPRFNISDYFEIVRQPGDGNCFYHSIAELTMPNETDHSYHNIKRLTESAAR -KYYQEEPEAKLVGLSLEDYLKRMLSDNEWGSTLEASMLAKEMGITIIIWTVAASDEVEAGIKFGDGDVFT -AVNLLHSGQTHFDALRILPQFETDKREALSLMDRVIAVDQLTSSSSDELQDYEDLALALTSTEESYRRSS -LDEVTLSKKQAEILRQKASQLSKLVNKSQNIPTRVGRVLDCMFNCKLCVEISADTLILRPESKEKIGEIM -SLRQLGHKLLTRDKQIKQEFSRMKLYVTKDLLDHLDVGGLLRAAFPGTGIERHMQLLHSEMILDICTVSL -GVMLSTFLYGSNNKNKKKFITNCLLSTALSGKKVYKVLGNLGNELLYKAPRKALATVCSALFGKQINKLQ -NCFRTISPVSLLALRNLDFDCLSVQDYNGMIENMSKLDNTDVEFNHREIADLNQLTSRLITLRKEKDTDL -LRQWYPESDLTRRSTRNAANAEEFVISEFFKKKDIMKFISTSGRAMSAGKIGNVLSYAHNLYLSKSSLNM -TSEDISQLLIEIKRLYALQEDSEVEPIAIICDGIESNMKQLFAILPPDCARECEVLFDDIRNSPTHSTAW -KHALRLKGTAYEGLFANCYGWQYIPEDIKPSLTMLIQTLFPDKFEDFLDRTQLHPEFRDLTPDFSLTQKV -HFKRNQIPSVENVQISIDATLPESVEAVPVTERKMFPLPETPLSEVHSIERIMENFTRLMHGGKPSAKKK -DGDLAEQGSQQSTTEYEGSSISAFKDYGERGIIEENHMRFSEEDQLETRQLLLVEVGFQTDIDGKIRTDH -KKWKDILKLLELLGIKCSFIACADCTSTPPDRWWISEDRVRVLKNSVSFLFNKLSRNSPTEVTDIVVGAI -STQKVRSYLKAGTATKTPVSTKDVLETWEKMKEYILNRPTGLTLPTGLEQAMRKGLVEGVVISKEGSESC -IDMLKENLDRITDEFERTKFKHELTQNITTSEKMLLSWLSEDIKSTRCGECLTNIKKTVDETANLSEKIE -LIAYNLQLTNHCSNCHPNGVNISNASNVCKRCPKIEVVSHCENKGFEDSNECLTDLDRLVRLTLPGKTEK -ERRVKRNVEYLIKLMMSMSGIDCIKYPTGQLITHGRVSAKHNDGNLKDRSDDDQRLAEKIDTVRKELSES -KLKDYSTYARGVISNSLKNLSRQGKSKCSVPRSWLEKILFDLKVPTKDEEVLINIRNSLKARSEFVRNND -KLLIRSKEELKKCFDVQSFKLKKNKQPVPFQVDCILFKEVAAECMKRYIGTPYEGIVDTLVSLINVLTRF -TWFQEVVLYGKICETFLRCCTEFNRSGVKLVKIRHCDINLSVKLPSNKKENMLCCIYSSNMELLQGPFYL -NRRQAVLGSSYLYIVITLYIQVLQQYRCLEVINSVNEKTLQDIENHSMTLLEDSFRELTFALEGRFEESY -KIRTSRCRASGSFLNRSSRDHFISVVSGLNLVYGFLIKDNLLANSQQQNKQLQMLRFGMLAGLSRLVCPN -ELGKKFSTSCRRIEDNIARLYLQTSIYCSVRDVEDNIKHWKQRDLCPEVTIPCFTVYGTFVNSDRQLIFD -IYNVHIYNKEMDNFDEGCISVLEETAERHMLWELDLMNSLCSDEKRDTRTARLLLGCPNVRKAANREGKK -LLKLNSDTSTDTQSIASEVSDRRSYSSSKSRIRSIFGRYNSQKKPFELRSGLEVFNDPFNDYQQAITDIC -QFSEYTPNKESILKDCLQIIRKNPSHTMGSFELIQAISEFGMSKFPPENIDKARRDPKNWVSISEVTETT -SIVASPRTHMMLKDCFKIILGTENKKIVKMLRGKLKKLGAISTNIEIGKRDCLDLLSTVDGLTDQQKENI -VNGIFEPSKLSFYHWKELIRKNIDEVLLTEDGNLIFCWLKTISSSVKGSLKKRLKFMNVHSPELMPENCL -FSNEEFNELIKLKKLLLNEQQDEQELKQDLLMSSWIKCITACKDFASINDKIQKFIYHLSEELYDIRLQH -LELSKLKQEHPSVSFTKEEVLIKRLEKNFLKQHNLEIMETVNLIFFAALSAPWCLHYKALESYLVRHPEI -LDCGSKEDCRLTLLDLSVSKLLVCLYQKDDEELTNSSSLKLGFLVKYAVTLFTSNGEPFSLSLSDGGLDL -DLHKTTDEKLLHQTKIVFAKIGLSGNSYDFIWTTQMIANSNFNVCKRLTGRSTGERLPRSVRSKVIYEMV -KLVGETGMAILQQLAFAQALNYEHRFYAVLAPKAQLGGARDLLVQETGTKVMHATTEMFSRNLLKTTSDD -GLTNPHLKETILNVGLDCLANMRNLDGKPISEGSNLVNFYKVICISGDNTKWGPIHCCSFFSGMMQQVLK -NVPDWCSFYKLTFIKNLCRQVEIPAGSIKKILNVLRYRLCSKGGVEQHSEEDLRRLLTDNLDSWDGNDTV -KFLVTTYISKGLMALNSYNHMGQGIHHATSSVLTSLAAVLFEELAIFYLKKSLPQTTVHVEHAGSSDDYA -KCIVVTGILSKELYSQYDETFWKHACRLKNFTAAVQRCCQMKDSAKTLVSDCFLEFYSEFMMGYRVTPAV -IKFMFTGLINSSVTSPQSLMQACQVSSQQAMYNSVPLVTNTTFTLLRQQIFFNHVEDFIRRYGILTLGTL -SPFGRLFVPTYSGLVSSAVALEDAEVIARAAQTLHMNSVSIQSSSLTTLDSLDRSRTSSTAEDSSSVSDT -TAASHDSGSSSSSFSFELNRPLSETELQFIKALSSLKSTQACEVIQNRITGLYRNSNEGPLDRHNVIYSS -RMADSCDWLKDGKRRGNLELANRIQSVLCILIAGYYRSFGGEGTEKQVKASLNRDDNKIIEDPMIQLIPE -KLRRELERLGVSRMEVDELMPSISPDDTLAQLVAKKLISLNVSTEEYSAEVSRLKQTLTARNVLHGLAGG -IKELSLPIYTIFMKSYFFKDNVFLSLTDRWSTKHSTNYRDSCGKQLTGRIITKYTHWLDTFLGCSVSINR -HTTVKEPSLFNPNIRCVNLITFEDGLRELSVIQSHLKVFENEFTNLNLQFSDPNRQKLRIVESRPAESEL -EANRAVIVKTKLFSATEQVRLSNNPAVVMGYLLDESAISEVKPTKVDFSNLLKDRFKIMQFFPSVFTLIK -MLTDESSDSEKNGLSPDLQQVARYSNHLTLLSRMIQQAKPTVTVFYMLKGNLMNTEPTVAELVSYGIKEG -RFYRLSDTGIDASTYSVKYWKILHCISAIGCLPLSQADKSSLLMSFLNWRVNMDIRASDCPLSSHEASIL -SEFDGQVIANILASELSSVKRDSEREGLTDLLDYLNSPTELLKKKPYLGTTCKFNTWGDSNRSGKFTYSS -RSGESIGIFIAGKLHIHLSSESVALLCETERQVLSWMSKRRTEVITKEQHQLFLSLLPQSHECLQKHKDG -SALSVIPDSSNPRLLKFVPLKKGLAVVKIKKQILTVKKQVVFDAESEPRLQWGHGCLSIVYDETDTQTTY -HENLLKVKQLVDCSTDRKKLLPQSVFSDSKVVLSRIKFKTELLLNSLTLLHCFLKHAPSDAIMEVESKSS -LLHKYLKSGGVRQRNTEVLFREKLNKVVIKDNLEQGVEEEIEFCNNLTKTVSENPLPLSCWSEVQNYIED -IGFNNVLVNIDRNTVKSELLWKFTLDTNVSTTSTIKDVRTLVSYVSTETIPKFLLAFLLYEEVLMNLINQ -CKAVKELINSTGLSDLELESLLTLCAFYFQNEYSKRDGPRCSFAALLSLVHEDWQRIGKNILVRANNELG -DVSLKVNIILVPLKDMSKPKPERVVLARRSLNHALSLMFLDEMTLPELKSLSVNCKMGNFEGQECFEFTI -LKDNSARLDYNKLIDHCVDMEKKREAVRAVEDLILMLTGKAIKPSAVTQFAYEDEQCQEQISLDDLMAND -TVTDLPDREAEALKTGNLGFDWDSD ->AII01810.1 L protein [South Bay virus] -MAGRASQGIEVRFKGAIRGGCQGNDRAVTLGWERFTLDPEGPVGISSMQLLSWIVTGEKDPKPMTVESVI -TDAALQWWPEINLDCDTEVMVVADSMSDYLSRKPWEAQSVDLLTLALLCIHLDKGFELYRRKEMDECSMT -SVCRDSQAKVKVMVSKDYLDNEMYQILVPVSEGSEAPSLPPVVPSFLQAAQELDEDVWEDAEEASEITDT -RFAKGLQRYDSARGRALAQAVSGTGKRSATSKKKREKGRKVPATDMGFHSVPYPVRAHAEQRVTGAGPTG -ARPKTPKMQTFSPLDSSDFPILTESSESSYGTPDSDTASSYRDVAEYGLLSSSSDPGSQTVTPEDAESAT -IVTLDGVKSQSTESEGKRLTSYLLPRWARSKLGKLWSPKAKSKPDQAEGGKEAKAKKVQPRGPPLRVLYS -KKSPEVQSSSDTHKGKPGGEDTSPTSKKKKKAMERAQKYEREAAEAARGQKKLRKREMRQETRDPRFYDR -YIPVTGVAMLPTGIPLDLEDQAANTEHRRRLMGAGPRPSKSQLEEGPGESTSGLDFLGGRASSTPKRKKT -TSLKSYFDERNGERKKASKPFIQKGMGKGGMKRGYLSQGEVYPMSHSGPPRGPPRGSPEARGPLVPVPLT -PVESRTSAEPAVRSTARVEAPTTPLRQGRDQSVSEVNIIPTTGGVFGTPGPRFPAEQRVVVEGPDEEIPF -GRMERHPQDDLPTSFVPRSFLIGAEQQQQIIGLVGFLEKVFSTSLWIKESRVSSLNYGETFCITDVHDVT -IFSLRLDEFLVHLIGSQDSKSLRILATLGICISSKLALQSDVSLLGPLIFDGLVEERFWVYLPKPEIATC -ALSLLLIKLGDILEGDSCSSGADIKNLAAREMSDRVEVGVTILNRRNICSAEERPEESFTALFRLVHSDR -LALLNRLLLQLDGSCLTRLDLMNPSVCGFNLCEHTIRTLIEDEARKADSEQYVQTISMDLMRLSYVVDQC -MHTFELLNPGDGTRPCTLSSEAQTDLRIMTLEVIKEYFAEWSAGHEYVLVNPAMLESSIKCLAQHASRIA -SYREMEMTLDDYSVHKRLMTKLFKILEKIELMPMVEVCKQVKSAFDALITQLPDVVRREVKQLYNNLIFC -NSYSDAWQYGMRIKGIAYEGFFSRRYNLVYCPELKKPTLGEIIKVSFPLQYSKFLTLSAKCPEVRMITPD -FYIHRRSVFGPEKPQDLRTAIKKAFEESSMASATSSTPPSEDIAEESGTNEPAPSQYRIAPDDPRGQEKQ -FTGADPNTDLIRNLCVDAIALHGQLGKVIKESEVIAVHTTDEESPYASKAERVTSNECELIVIEVGYVTD -PDQKVEIDMSKWAKAVKILQQLDISTTLIIATDVSSRSVDKWWITPANANLLKRSVGALFFHLIKHTPQD -IKDRIVGGLTTLKYSLSRRAGSTIKTPVTVNDVKEYYSESKSKIEIRPTGTKLPTEIMKGIEESLVNGCA -ISEGGADKVIGMLKASAHNIIAQYIETENREELLDNETTKLKVLGGWLTQEYKNRTCDECFKLVSQNDLT -RPGYIEELVYMINTSTEVKPCCLERINTLPCQGPLPLYLTRCPPLTSLTDFTRSHVQEVERTELDTFMGT -TFPSRTPAQKKLKKTLDRLSRVVLDINGITAVKNSKGQIFLNSKFSSELSEKICVPKMVIKPNTSKSTIA -KFKTLTDSIKEQLLIPELKSYSDYHKSVIQNLIDNAECQVDSQCSLHHTWVMRILESLRLTSTPSEVLLN -MKDTIEKRKQNAPLNDSFRIPSKPEIICYLQSFKDRLLSSVGSIELYSLDCVLFKEVFDEAVKRLSCTPY -TINLGMISNVTKLLLKLGWYQNLVLYSKICMLYLSACSEFTSSGVKVIKVPHTALNIVVKLSADKKTNSH -CSLFDLDFNEVVPPFFLNRCVATIGQSMPYVLIVLLVQLVQNYKCLDALENLSCLNFNRIQDGVDQLHEN -FSRTVLNCYEGDYDSALDNFKSCWLTKTLHSNQSPGERLERLISSFTIGMGEILVPAMLLNSLNFNSQIQ -KMRFTTIMSLSLIGRPREMGEKMYSPCRRVEAFVAKLYLQLSSYSALSGIVTNPDAWKEDMFYPATTINS -LSLYGMLTSGDRQLLTDIYLVHIYNKELDNFDEGSIAVLEELADRHFGWEHHVSRLVDETRDPGTSRRKV -RQNHQELRLLLGVVKLDLPSANEKDQSTTEMDDSKSIRSQHSGSHRAASVVSSLRSWGRDTVYCLSETSE -LYPNMEQGGQLSLRQTELCTIYTPNMTKLQKDISTVLAINPSYTMGCPEILQAMTEYGKRKFPEVVIQKA -KRDPRNWASIATVSESTAIVPGPLRVFDIRRTADTMKRMQGTKLKKLLKNRLSYLGGASKKEKTVKEISK -DLEELLSCVDTVDPSVKEEIKKCVVEAHSLKQVTWQYAMKLPLEQTLLTIEGHNLFYWIKNLQKSVYKLW -SHPEMLAITRNPIVVSILSVVKDVGQGQIPSISLEELIQMHTGMFKIWVQSLDICIGLLELDTVSMSDPI -VCLKESYQQLHYAYKELLKLKKENPELSFKKQERELKQLECRLLSQHNSTISFYCNILFVACFSCPWFRS -LKHQEGIMLKSILSEIGLSFNTEGMSTKYLKVLLPMMCTRQVVAYYFAGHLGDGSEGEFWMYDCLSRFCI -AMFCSNTNPMSYVHTKKLSDINTNLDGVLQSTNELIALYALEGNDYDFSLTVTTLANSSQITAHKLTGRT -KGERLPRSTRSKVIYEIIKLMGTSSTAILQEVVFDRILDVSHEFFATLAPKAQLGGNRDLFVQETSTKLI -HAVTEVFSKTLLSQTSDDGLTNQHLKEEILSTAHSEFWHSTEQHGTVSDVESSSTEEGGYKINFYKVLSV -AGDNTKWGPIHCCSYFSLMYQQLLLRHPDWKHFIMLVMLKDLNKEVEIPAASISKIMNSLLHDATFIQNT -KGRTNPAQLQSELARMAKEWSWKPLVSDIILNYLVKGRLCLQCYNHMGQGIHHATSSVLTSLLAKLVEDI -LTSFIENELPGIRCKIVHAGSSDDYAKVITTYGVFDSAQFREYNDKWKFVMLDAKNLMSAICRLVQVKDS -SKTLSSTIVAEFYSEFVFIYQKCPAPIKFTQTGLINSSVTSPVTMSQTCQVGSQQCMYNSVPQLTNLAFG -IFRQQLYFNYIENFIRKYGKLVLGSVSSFARLYLPVYSNMIEAGLVVEDIEQVIASLNRVSGIAQQLPTA -DYDTVLPNGTKSIVDSAGETSSSDDGLTAGDSPEDRISRSRKHKKVTLPKDVEDLAEKIKVQLLAQYNNN -YIGDCDKFSRQCYSGQLCRHLGQTGFSEMIGNFVTCQPLSIRSRCLAPVADSRFDVAIINGQTYSLSLIT -QVMTDILNTVIFSYYKKPQTLNLSRKLKASYNREESSFFEDPFIQVKPNSLDREMKNLREAREDIKSITA -SEDTANNFPEFIADQLVKLNNMTEDYMGESERLMQAITSRSIIWGLAGGLKELSIPIYSIFFKAYFFIDH -TGICTANRWVISRNEGHLDSSGKQLANKLRTKFSHWIDQVFDCVLHSEVLSSCPVLDDKARACKFVQVVQ -TTELGETNLNYIALDGKLCSKYANELTDLVLQFSDHNRLKVKVLESSQEIQVLPADMVHISKVRLFSRGA -AARELNNPAVVIAYRLCPEAVYRLKPRGINYSTLEQDGSYIEDLHPAIKSEILKIISMHSSGEAFNLEIA -VEKIKTITTLCRLSSSSRFNITSFYAIRPTVSQDETNISEIISYGIQEGKQVRIQQQTIDYSTYSERYYI -ILEAISMINHLPYEDEIKSRLMQNFLTWVPSVEGMLSTTSCGFRDFYDSLIALFGSTTLADTLDMEQHAA -RKYIDKRSCSFLSLFALNPQALQSLVPYTGGRIVFETRGDQLTCGNFTMSSADGNAIGVFRGGKLYIHID -KESPILVTEMVRRILIWITNQEHKELDFHSFEQFLKLLPTCRRGVIVNHEDDGSLLLLSPGSERPMTVSP -SKGFRKGAAYIRLKPNILNYPIEKRQTHQPINCAWMPDKLVLYYSLVVQEMHPSGSIIKSLEILRSAGLN -SEYQLLRQENEQSTRRVVVATVPLHRDINLRSAALLHLFLNHLSGLKAHSLGIPAQEAVLHKLVTQTSID -QLHSLLRRMKLQDTKSIELITDTQLRPETQGYACTPEPAIRERLNAILKDYRSATDWVAIQKIIDFFNMD -NSIVINDDLELRGNVNWKVVSTSLSVIDPYTQFGHLSYSLISLVSLRCTPLLCRLALVEDNVSELREVAG -DIRQILQFESLKDTDLHCLMVAACIFNRGLIRGKHGVLTNNEILRSILPLRVRGPRNCMIEISTCQGVHY -LKIILTPIRSSTENKQERKQEIQVNIKAIASLLFKNKPSTTFKKICSGHYDNQIIGKVTYELMLIPLAVE -TDFHRLCDFLLPKYTRMLGKALACYLLSLLLDAPLEDAQCISLLKQYHSLSKGVIYPKKLPIAGSEGSSE -EIDLLDLLGDDEEPNDGERDPADQAPQPYVTGSALREALKAIDFVKKFDEIHEGED ->AKC89340.1 RNA-dependent RNA-polymerase, partial [Geran virus] -MANLIESVVWEDVYDNCSQTNVIFSYREFFNKELTLPDGNCFFRAVSSFLYDTQNGWNEVKNMCREFAHA -NWEDLPGVHHYFQTPEHYSRESKRDGYWGGSVEAEIISKMLNLTIIFWKCEDDVWVTQGIRWGQGSYLTS -MNLLHIQHNHFDYLIPLNKTDELPKVKMSLLDRITFTVDSIIPQEDSDNIDILADQEEGMETVSAFKKKL -DDDLRSISDLKKEMYKKRNVKEDQEKTTIKSEKDFRRVVSEGNLIPLRVGKILNNLFCSNIEAMLEDDII -LLYPKESGRTRQYAFDINTLGHRIIDGKKKYHRSFGSCAVAISKELMSSLDAGYLLRMCFPGTGLSQTPG -LVHPELIFDLAITATSVLLSTFLYKAKLKIKRDFIKSACSKCFYDIRKLQRLLCDATTKMLYNEPYRVVQ -RICQSIFVREQRQIAEALRLMNPQSKLAFQCIDLETISRGTYFKLLQELKNSDNLDTNFNSKEVKDLHEC -VILIQTLMESNHDLQDKKKFTLEYCTSLPQRFKSKKTTLKDLISFCIMHFFRSKMIFKFVSLQGKAYAGM -SLSNLLAYAHNLYLSKENLKFTPEDTEQLCIDMRKLNNMLSTTVKKPVAIICSELYKQFKTMIQELPEDC -QLECQTLFDDIRNSENHASAWKSALRLKGTAYEGFFSKTHNWEYIPEDLKPSLSMAIQTLFPEKFEMFLE -RTHTHPEYRDFIPDFFLCKPRILKRDTITPIEEKKETVPSDAPIDEDAMPARKSTKKRFPLPEVAVQEVR -SIKAIMNEFEIHAKERKRPLKVGKHDGNDEDVEENEILIVEVGYQTDVEGKVVADMNKWKGVLNLMSYLG -IKANVITCADTSTTPKADWWIKEEYVRLLLDSISYLFKQLQENSPADVTDIAVGNISTQKIRSVIRSGTT -IKTPVTLKEVTEAWKECKEWILERPTGAKLPKQIEEALEVSMVEGAVVSKQSAESCFSYILENMDTIISE -FEKTKYRHEVNKSDVTAEKIQFGWMTEDIAVSHCATCLKSIKDSLKNTQSGSEKIAIAALSLQPNDCPSC -CQKNLSEFPQSSIQRRLPPLQEVKHLETSVEEYTEGAILDRLVKLTLPGKTEKERKVKRAVEQLIRASMK -HSKLYAIKLPNGQIILDSKLKSDLDKNAMDAMSFKEGGSKSVEEDKEHFKKVLSESKLNGYSDYVKDTLR -LSIEGITSSKHARCGLPESWVFNILQDLKVDTGDEVILNKIQDSYEKKVDFEVKPDKFVPVKWDSLKNYL -NDKFEKLNRQQDQLFKLDCLLFQEVYFELNKRLIETPYNQCPKTIASLLKLLLEFQWYNEAVYYSKTCET -FLQAVSEFNRSGIKILRVRHTNTNLVVILPANKKQNMRCCIYDNKFQLLKGPFTLNRRQAVLGAAYPYIT -AICLLQCLQHFRCSGEILKHDIETIKRIVDHSSSIKEEVVSMLHDTYNGNLHAAASALTKRCLLSGNFLG -KSSNEHFITVVSGFNVTFGVLLGDSILNNSQPFNKQIQMMRQGLLCGLSKLSCPTELGKKFAASCRKPEF -HISRLYMQLVVFTANKYVEYNTNNWLKGDLCPKTRIPCFTIFGTHVNSDRQLIFDIYLVHIYNKEMDDFD -EGCIKVLEETAERHMTWEKDVEKACELVQRGGDDLKDGLRDLRLLLGLPNIKRIKEEESSSSESSDMDSF -SLDDENFPLLAKRGSSKEPSSKKARSFTKLKQPPTSMYGIRAMKSKPLSVSDSFDVLRDDMRDYQQAVVD -TGVHHTYKVNNESVLKDIIRTIRKNPSHTFGSVELVQVCTEIARSKFPPESLEKARRDQRNWISVSEVTE -TTSIVADPKDQIMIKDAYQIILGSENKKLVKLFRGKLQRIGMSCKVDQKGKVKCAELLDTVSGLTSKQKK -DIALGLTEPSKLTFYSWKDLVTRNIGEVLLTADGNYIYCWLKSLASSVKKSLKNEIKGLKYGSAGLKSRI -TDTTNIVSREELNSIKNFVDYLRDCTVSGTSKDKPTNFSIENMILGWSKFTKHVKDSENIIKDGLSSLEK -LSKVLPKLQSKFENICELKKNLPEVSFTREELELKLDEKRIISNLGRDIMNVTNMLFLVCLSCPWCIHYK -TFEAIMMKSMADVDSFNLPKSSTGINELHPDNVIKLLCVDSLIPISPKELEVVTRYCMCLFSINELPYCS -ALVKHGNMEYKGPSEQLMGRIKTIMAMTGLTDSRSDFKWTINLIANSNFEVSKKLTGRTVGERLPRSVRS -KVIYEIIKLVGDTEMAVLQQLAFTSILNPKHRFFAVLAPKAQLGGHRDLLVQETGTKLIHAASEMFSRTI -LSTTKDDGLTNIHLKESILNTGLEAISQMKISHNREIAESSELVQFYKVCCVSGDNTKWGPIHCCSIFSG -MMQQLLKDFDDWSSFYKLTFLKNLCRQIEIPASSIKKLLNSFRYKNGDLNVDELSETELRTALLLRLNTW -KGNEIMQFLVKNYISKGSMAMNSYNHMGQGIHHATSSVLTSVMAEVNDHLINHYFKKHLPDLQVVTHHAG -SSDDYAKCIIATGVMTKQKLREYEESFWTHMCRLKNFLAGFNRACQMKDSAKTLVSDCFLEFYSEFMMSQ -RITPAVIKFILTGLINSSVTSPLSLVQACHVSSQQALFNSVPLITNICFTLFRQQMFYNHTEYFQRNYGL -LTLGSLSSFGKLFIPIYSNLISSSTALEDAEEIVKACNILSKSLIHFPSSTKTVPEFIADKAKSEADKPG -ELDKETSSSSEETSSLSSTPSDSSGASFHFNINRVLTNDEESYTKTIDNDLDLDVLDVCLTQTKAMYIGH -HDYKEMCIWEKLSKCGLCTTSAYLSGMKEDRDKLRLLKIIRSTLIMIIAGYYRTFTSEGTEKSVKAALNR -DENRIVEDPMIQLLPEKLRRELARLGLSRMEVKELVPSPSSQDTLSSLVARKLVTMNCATEDYKSEIMRL -KQTLSSRNVLHGLAGGIKELSLPIYTIFMKSYFFKDLVFLAHHDKWNTKHSTNYRDSTGKTLENKVVVKY -VTWLEKLLSCSLSTDFNTPCVNDSLFEESLKGAHIIHCSDKSVEISLMKSELDVICKEMRNLAIQFSDVN -RQKIKVVESNPAKIELEANKAIIVKSGLFSATDQVRLSNNPALIVGNLLDESVIIEAKPAKIDAGSLGRD -RFKLTQFYTALIDLINSINTQSSEQKKLGIPIDLEVVNKYANNLTLLCRLVQQTRNKLTSFYMIKGSHVN -NEPTINELVSYGIIEGKYYELTEASIDISSYSLKYWKILQCISAISVLPISDGSKTSLLNSFLNWKPDMK -ELNEDCPFNNREKQMLQEFDGRLLVNVLASELPNIRNEQQRKSIEDLTDFINSPMELLRKRPYLGTTASF -NSWGDGQKDGAHFTYSSSSGEATGFFIGTKLHIYISKEANTLLLEVEKKVLEWLNKRRTDVLTKEQHTYF -LDLLPEYRHIPKRGTDGKAIGLRYSRVDPKLFEFIKPNPNTKVIKFKKEILSVKKKLTKDIVSEPRAVWG -TNALTILYDEQTEKTAYHQDILNVKEMLDAVLQPGSTKAPSSAYVDTRIVLSKIRFSPDLFLKSLIILHH -FIEHTPSSAIWEAQSKSNIIDFLMNAPNRTQMQKVSEQINKATASIISDDILGEFDKEEQLCRKLTEVID -RKMLPISAWPEVQAYLDDTGHHSITLSFLQMGLSDNYSWKFSKVIDASSIPKTIGLRGVINLVGSEAIPR -FMSPLVADGKLLSQAIKVFTEARNALASSGISDTTVDACICSTLFVNQRKEIERPGYRFSLSCLLLLATE -RKFSTLDDLVNLRFTACGDEIILNIKVNIVRPSEIKLPKEDRASLSRARILTAYNLIYPNISSMFELKSR -IMRGHPDPVTEKGEFMNLELGGMQSKECILINLIRSCCDIYSLKESAVRCIIRVMNFLAGFEDIGPTALI -ETIDPSNFSQDQITLLDLLEDSEDKTTASVYLTEPPKGKITFDWSALED ->AMT75374.1 RNA-dependent RNA polymerase [Abu Mina virus] -MSLVHLDDLNWELNHTGAYYCGTRFNLKEFFDIEAVPGDGNCLFYSVSYLLFDTLSEWRSLKNTIATFAL -TNWSECSLAQIDYKSSHEYISDLDKTHYWGGTVEAEIISKALKSTVIIWCADVLDNVTDAFKYGAEPVSR -SINLRLANAHFSPLKLTPLPQGVSTRLELGVTQSVLSPIIADEEEQLEDIIMDEPEAPETETLPERQDYN -RSRSDLFSKASVDKILTMAKKAVEDNSSVSVRVGRILFRLFSCNVCLDIKDDMLTVMQKDENSSRKGMEL -SKLAHVLLTNDKRLQESYCNLVLAIDRGLLQFLNVPEMLRIALPGSCASQFYDLMHPDFIYDLCLLVVAI -LLSTFLYKTEYWVKQQFIDNAVAPSKFLTKGARGLLKAHSNKALYDGLSKFIPLLCDSCFGEYANKIVEA -LREMPPTGLLVLKNLNLDTVKLEDYGSILDDLRVISVDQDFFRLDELQEIKRLIDALYDIRQSEPLINMD -NVPRSVLTFMDCEAKDLFKTSSGKTLKHIKAPNLEMLVIKKFFQKKLMMKFVSLQGKAYSGATVSNVLAY -CNNLYLTKEQLGFNVDDIEQLRCEMVRLRSLISNEMKEPVAIICDKLEESFSKLFNALPESCKLECKSLF -EDIRNSDNHASAWKSALRLKGLAYEGLMSQCYNLSYIPEDLKPTLSMIIQTLFPSKFLQFLERTHLHPEF -RDIVPDFVITQRLALKQDTLVPQAETQQLTLDPMAEEDSAEVIPVGKKRFPLPSHAFKEVSNVDWLREHL -ERRKEDTKRTTGLTVCTTGVSTDNINTESTPELLILEVGYQTDVEGKVSSDIKKWSEIIKLLKYLGIKAT -LVACADSSECPSNDWWIKEEYVKLLKGSISYLFSQLQLNSPSEVTDIVVGSISTQKIRSMLKSGTAVKTP -VTIKELKECWKEHKKSILCRPTNTKLPDRFEEIFELSMVEGVVVEKNAAKEIVDHILANSNVLIQEFEKT -KYKHEVNKRELTAARMIISWLKEDLAASRCTECKTKIVQTVKDYVNHADTMITIATELPYSRHPECCHAN -KIDYDLKSFFNKRVMSLNVVKHKEQISAEDSSYKSTALDRLVRLTLPGKTEKERKIKRGVEQLIRLCMEC -SNINAIKLSNGLIVGNKKEILSNKKILNSEPGEPKPITLEQQKRLEKLRQQLAKDKMKTYSDLCKDTIHE -VINAINTQQASKCKLDPNWVRNIFTELKADTDDVAVVEKFLCTLSKKRNVTQNNDKVIIPSQQDLIWYVQ -DKLADLTPCNEKVFDLDCILFKETILECVIRLFDTPYWDCIEMIQKTAEQLLEFNWYQKIVYYGKMCETF -LQCCTEFSRSGIKVMKIRHTNMNLAIKVPANRKENMKCVIYDANFTPVTQVFMLNRRVAVLGASYYYIII -IVFIQCLQHCRCIQSVKGELKTKEICTVASKLGDTALKCLSLAHQGRYKEARDALVNKCQENGNFLSKGT -EEHYITVFAGLSLTYSVLLGDSLLLNSQPFNKQLQMMRFGMLNGLSMLSSPDELGKKFHSSCRRIELHVS -RLYLQLVTYSCCFDPAQNCDEWKLNDLCPNVTLPSLSIFGHFINSDRQLIFDIYNVHIYNKEMDNFDEGT -IKVLEETAERHMTWEIDVADCCQRIAESDKGSRCLRLLLGLPNVKRSAVDSQVACDDDALSDISSISNKS -LESGSSGQSKFKSYFGRISMQKKPFSISDSFVVDRFEKQDYTQAITDKWTHNVYKPNKSSVLKDAIEVIR -KNPSHTMGCFELVQCFTEMARTKFPNESIEKTRRHPNNYITVSEVTETTSIVASPRTHIMLKDCLRILMG -LENKKLVKMVRGKFQRLGLSLSPDNLRTHNLSDLLDTVDSLTEKQKKDIIKGIVEPSKLSFFSWKEIIKK -GVESALITQDGNYIYCWIKSLGHMVKRSLKKYVRGLRYDLNAPQRNRLTEAASIKFGKPIVEDCVRFIEA -LKKTIRGENDNFADCSPKSLLELWTGFALECRDGSLIIKSGMESTQQCLALLEEILDKYNELTCLKEEFP -ALCFTREEVELRNAELKFIKDNNKEIMSVVNCLLYICLTCPWCLHYKSMETLMSKHLDGDCKLDVGNKQL -KELLESPISSTWLSAAEKLYLGAENTPLYDESGKITHKRLDRLCRYACAIFTSNSHPINCALNQVGSDDV -ARDQGQIVERVKIVLAKMGITAVGTDFTWTCHLIANSNFEVSKKLTGRTTGERLPRSVRSKVIYEVIKLV -GDTGMAILQQLAFSAILNNNHQFFAVLAPKAQLGGHRDLLVQEVGTKLIHATTEMFSRTLLSTTNDDGLT -NQHLKETILTTGLNKIGLMLKHHGETVAEEASLKQFFRVFCISGDNTKWGPIHCCSIFSAMMQQLLKDFQ -DWSSFYKLTFLKNLFRQVEIPSASIKKILNAFRYNSQGKFNVDELTEVQLREKLLDCISIWDSQPIIKFL -IVTYISQGKCAMTSYNHMGQGIHHATSSILTSIMADALNAFIETYLYRRFPKLTTTIEHAGSSDDYAKVI -TVSGIVDEQTFVNYNKLFWPVMCKVKNLMAAVGRVCQMKDSAKTLCGDTFVEFYSEFMLTHRITPAVIKF -ILTGLINSSVTSPQSMSQACQVSSQQAMYNSVPLLTNITFTILRQQMFMNHTEYFSRNYGPITSGSISSF -GRLFIPKFSNLITSSIALEDSETISLALRDLSQNELDFPTSLEEVKPPPDPLETKKDTDEADAAEVGSTS -NVSLTSGSSLSSGSSFSFRTDRNLNSTEQEYLKTVRTTIEEVKSRAISDYCAKLIGDIEGLTIITKLRNS -NLVNSCEYLSKVKDNPLLLIYRVRCVFIALIASFYRTFSSEGTEKTVKASLNRDNNTIIEDPMIQLVPEK -LRRELERLGLSKMTVDELVPTPSFDEEFSSIVAKRLITMNCATESYESEISRLKQTLTSRNVIHGLAGGI -KELSVPIYTIFMKSYFFKDNIFFDLSDRWNTKHSSNYRDSMGRKLEGKIVTKFSYWIDVFLSCNLTIGLK -TNEGPVSLFDPLLKAIDIIKKEKAAPELVLEPTSLTTMYKEFENLSLQFSDNNRHKLKVLESARHRLELD -SNKVVIVKSSLFTATDSVRIDNNPAVVIGYMISETSLTDLKPVKVDMSRLIQDRFKIVLFYPTLTELVSK -ITSESKEIVELNQIADIEKADQYAKNLTMLCRMVQQTKSKLTSFYMIKTSSLSNEPTVSELVSYGVKEGS -YLVLEEQDIDTSTYSVRYWKVLQCISCIASLPINENEKTSLLISFLNWKPSIAQLDHSCGLYKYDKTILE -EFDDKVIMNVLSSELPSIRNEREREGVRDLVEFVSFPNQLIVRKPYLGVTETFQKWGEGQKTGKFTFSSS -SGESTGIFISGLLHITLSNDSPSLLHQVEKRVLEWLGHLRSEIVSIEQHGTFLSLLADMSSVSRKAVDGR -LLTPRIDDENPRYLKLSPVPTKKPCKVVKVKKGILSVRKEVVKEIHSEPRLLWKPNSLTIIYDENVEKIT -YHEQILNIHKIVNQVINKETTKLPSTFYQDSKVVLSKLKLQPQMYISSVSLMHCFFCHTLQDSVLEACSK -SAVLTQYLHSGFRSTSREKQRIQIKLVDTSLDTKPDTRFLDNEVVCKQLADQLNYQNVSETAWPEVQRIL -DESGYHTLSVGLEQKPNAGHLTWTVNQDSSLGFAAVQTNLRQFINSICSLVLPRCLTPFLTDGALLGETM -QLCHSAKQEINRYSLGDKDLSAICCIAVYMFDTDNTVRKELCFNNQGLLNMASTTTFNINEFAEVRIVQE -PDQVVLHCTISTSPTRKESRIERGLEFSQTRILNSYNSIFNSVNIIDKVGDICDTYASYKIDKQSFAEFT -MSSQKAFYTTCSKLYQGLTGRKLSVLVEDLSVFNLCLLLLGKSQSNRKQETCSPDSDSDIEYTADELFGK -KKTQVKNNKPPAAVPDKDSNNIDESEDLGTNRTSHSDTESTVDEIDKGSYFFGW ->ALD83626.1 RNA-dependent RNA polymerase [Gossas virus] -MAQLLDAIEWEQVTVSFSTAICRFPIEEVFAIDPMVPDGKCFFRAMAKFMFNSDEEWLLVKRACVEFARQ -HWDRFLEFTRNYQRANDYERDIMRDDYWGGSLESDILSELYNVTIHFWVTDDHLWIHHVQRWGLEAPHIS -INLLFNQNHFDLLNLRGFDEEQDALPIIPLEDKAALAMDSVVTDEKDISEPSFLRDIEQNGTSAFKRSLD -LELMEMASMKEKLLTSKGNVRTAKAINLDELKEKDCRKAIKEEKTIPLKVGKILNYLFSIQLEGINENDL -LILFPRDFNHPRQGAFSITDLGHKILDGEKEFARSIGELALVVSGELMTYLNSSYLLRMCFPGSGLSQTP -DLLHPSLKVDAAILACSVLICTFLYKSSNDVKRKFLRLACAHLGINTNKLFKEMINYCCIDLYESPYKIV -QHLSTIFLRKRVTEVAEYLRKMSPQSKLALQCIDLESCTLKQYYSLLKELSERDMNDVDFNSTEIKDLHE -CIELVMKITEAGRPDEEIKSEVKKYCSVVSNKKRLEKGSSKDLVGLCIIAFFTRKMIFKFMSLQGKAYSG -ASLGNLLAYAHNLYLSKDSLNLNSEDLNQLDIEIRRLNTLMSEDVKKPVALICMELEHKFNKLFDKLPVD -CKSECETLFTDIRNAESHSSAWRSALRLKGTAYEGLFAKYHNWTYVPEDLKPSLGMAIQTLFPEKFEMFL -ERTHLHPEFRDFIPDFFLYKPRVIKQDTFNELKVEKSVVHSKEDATVEDDASTAKLSKKKRFPLPEVGVQ -ETLSTYNVYKSFEKKGKEKNKPILTKTTDEQETVNQFTNEVCLMAVEVGYQTDVEGKVISDMKKWDSIIR -LMKHIGINFSVVACADSTNTPKTDWWIPEDYVQLLLSSISHLFKELQENSPVDVTDIAVGNISTQKVRSV -LRSGAIVKTPVTFKELHETWKVLRCHIIERPTGAKLDSVATDALETALVEGAVLTRDSAEDVLEHVVSNM -DRIIGEVSKTKFKHEINKDQRTAYKLMMGWLNEDLLGARCNECLTRIRDNVSKIISEREIVSYLCTELQP -STRECCQVEGKTYEVSSFQRRVPDLNNINHKALDLNPSDAFNNHQNILDSLVTLTLPGKTEKERKIKRGV -EQLIRAIMKHSNLPAIKLPSGHLLLDYGLNKPIYDEDATNKSFKKGGLKTVEEDTEHFKKLLSETKLKTY -SEHTKQTINKAINSLDKCYDAKCAVNPQWVKNVLFDLKSDTSDEVIIHKIQETYQQKKEFSPKTNRKYRT -VSWSKIEEYLNSKREQHGSMTNPIFGLDCILFKEVVHEVMRRMANTPYQSCISYITELLKLLLTFQWYQE -LVYYSKTCETFLQSCSEFHRSGIKILRIRHTDTNLVIALPANKKQNMRCCIYSKNFTLIKGPFMLNRRQA -VLGAAYCYIVPICFIQCLQHYRCVSELETLDQNISKDLFRRTEQLHELLMELLESCYNGSLDSAQKKLLG -FCKNSGNFLNRGTRDQFICCFAGLSVTFSTLLGDSLLNNSQPFNKQIQMMRFGMLSAISRLSCPQELGKK -FSSSCRKVEFHVARLYMQLIVFCANYNVERNCENWLKTDLCSRAEIPCFSIFGMFVNSDRQLIFDIYLVH -IYNKEMDDFDEGCIKVLEETAERHAAWELSLKRNLEQCKQLKKLNADKAESGVKLPNKDELLKEAKLSQR -RVRLLLGLPNIKKMTETLSDSRDLAESESLSISSKSSSSASYTSKASRSSVKSARSFSSRRTPPTSMYGI -RASKQKPMSIESGFVITRDDKRDYQQAITDRGMFHEYKANKDSVLKDVITVIRENPNHTFGSFELIQACT -EVARTKYPPEAIDKAKRDPRNWVSVSEVTETTSIIAEPRDFIFIKDAYRIIIGSENKKMVKLLRGKFQRL -GMSCKSEGHDKIHCQELLSTIHSLTDKQKDDIIKGLINPSKLTFYNWQELMKKGVNEVLLTNDGNYIFCW -LKSLSQMVKSGLKSEIKNLKYGPLLSKAKLTANSKVLTSDEHSTVRDFLEFLKSCTKGEVVDELNNTNIC -IGTLILAWVKFVKATKFSEQIIRDGLQSMINLKGKLTKINADYEVLINLKKELPGISFSKEEILLRQSEK -MLLVSNDKDIMCLSNMLFLICLCCPWCIQYKTFEAIMMRNMAEAEGFNLPKSGTTIGELHPDSVINHLVR -EASVPLNMDDISLCTKYCMCLFSINELPFASALNSNEALTYHSPNDQLMSRVKHIMAITGLRDSRSDFKW -TINLLANSNFEVTKKLTGRNVGERLPRSVRSKVIYEVVKLVDNTEMAILQQLSFTYILDVNHRFFAVLAP -KAQLGGHRDLLVQETGTKMIHATTEMFSRTLLSTTKDDGLTNSHLKETILNSGLEAINTMKLNHGKETFP -KSDQYQFYKVCCISGDNTKWGPIHCCSLFSGMMQQLLKDVNDWSSYYKLTFLKNLCRQVEIPASSIKKIL -NSFKYKNSDVKVDELSEEELREKLFERLETWDDNEIIKFLVANYISKGKMALNSYNHMGQGIHHATSSIL -TSIMSFIIELIIRRYYKKHLPDLEVAVTSAGSSDDYAKCIITYGVLSKTLFDHYEDTYWEHMCRLKNLIA -GFSRACQMKDSAKTLVSDCFFEFYSEFMMSQRITPAVIKFILTGLINSSVTSPLSLVQACHVSSQQAMYN -SVPLVTNLAFTLFRQQMFYNHTEYFVRSYGHITLGSLSSFGRLYIPKYSNLIGSSVALEDAEEISKSANV -LLKANIHFPEMIGESPPSSGDTEDSLSDLNQETDTNSEETSSMGSGPSESSAASFHFSLKRSLNSTEEDY -LKCLDQCLSKDYLDNIARHLNLIYQDQSDYEPENYYFKVLNSGCVLNNPYLADEVRSPEVVLMLVRSLLN -VLISGYYRTFSSEGTEKSVKASLNRDENRIIEDPMIQLLPEKLRRELARLGLAKMEASELIQHPGPSDSL -SSLVAHKLITMNCATEEYKAEVMRLKQTLTSRNVLHGLAGGIKELSLPIYTIFMKSYFFKDTVFLEHYDR -WNTKHSQNYRDSTGQQLTGKVVVKFTTWLEKFLNSQVTFDNTQNALFDSLFDENLKFVEIIRFDDGKVNL -CYLTSELRILETEMKSLAMQFSEVNRQKIKVLESSSPENILEAHKAVITKSKLYSASDSVRLINNAAIVV -GNLLDEGALMSAKPSKIDMGNLGRDRFKLSQFYSSLVELVNEINNLSEALKKEKKLINLESVNKYANNLT -LLCRLVQQARSKITSFYMLKGSTTTNEPTVAELVSYGIIEGKYVELKDVGTDTSAYSLKYWKVLQCISAI -SVLPISDSNKTSLLNSFLSWKPSKLEFEDSCPLSKKEKRILEEFDGKTLIDLLASELPSIKDDTQRNNLE -DIVDFVRSPLALLRKKPYIGVTATFQTWGDGQKDGRFTYSSSTGEASGIFISTKLHLYISKEKQALLLEV -EKKVLAWLNKRRTDVVSQEQHHYFIDLLCDFKHIPKKATDGRIRGVRPSKVEPKFLDFFTPRGEDKVIKV -KEGLLTVRKCGIKDILSEPRLVWGMNSLTIVYDEQINKASYHENILSIRELLDKALNVEKKTLPPAVYSD -SKIVLSRTKFSSDLFLNSLLLLHHFLEHTPSSAIWESQTKSEIIRFINSGVGKGSLRSIALSLSKSTFNM -VTKDIVIEGAEEEKLCQALTNAFEKGNTEVNAWPEVQSYLEEKGLQNITLEFLQRGLSDSYSWQFKNTLV -KSGPSRLGGFRGLVSAVGAESLPRFLAPLIANGKLLSKVLACFTQARNYLSRSGLTDLELDGVVCSVIFC -LQQKPKIRKEHTFSPTTLLHMSATRSFKSLPERYSITFNVVDDEVVVICKVNTVKSSEGQLSKNKRVHLT -RARLLTFYNRLFPPVSKFEQMVKEMSSMYPEQATSEGEFFGIQINAKKSEECSLAGLWELCCPGCQWRKS -DMSIVESVVSILLGSEDSTGFGELETVIPIESEGIQQVTFADLLNEEQINDEDVFMDSKYESGDVTFDWD -D ->AMT75422.1 RNA-dependent RNA polymerase [Saphire II virus] -MDDMYWDELSENFYVCRCRFPFRDYFEEEEVPGDGNCFFYAISYLLFGNLKEWRAVKSTVERWSSANWTE -CREARHSYNSSAEYRAALMQSGYWGGSVEADILSRALNTTIILWTVDISGRVEIAYKYGKSNVSTSLNLK -LYKGHFNALVPVQHIEGPAKIRELPDLLSAASVVVDSSTSLEEMLLSGDEVEVNEEMKCKKAVEKREALL -HKSSANKLMANIKKPLEEGVNIPMKVGRVLFKMFNCEVRAQFDKGVIYLVQATLENSKTAMPLSKLAHIV -LGSDKKIVEEFANSTVVVTSDLYNHLNIPELLRISLPGTNASQFYDLMHPEFILDLTTCATCVLLSTFLY -KTELKEKKAFITSTLRKAYMKPTEIAKTLHGMTNKRLYETPARCISKICLSYFGDYAEQTVTILRDMPPT -GLLVLRNLDLTTVSQPDYNVMLDDLRVVSVDLDFFRLDELQEIKRLVDCIIDVREHGSEVTPDSLQQFVY -YYLDKGKEEIFVTAKGKQLKSVKTQRIEQMLIKKFFQKKLMIKFVSLQGKAYSGATVSNVLAYCNNLYLT -KEELGFDHNDLEQLRCEMVRLRGLLSSEQKEPVALICDKLEERFLELFKELPISCRDECQSLFEDVRNAD -THSSAWKSALRLKGLAYEGLMANQYNMTYIPEDLKPNLSMIIQTLYPSKFLNFLERTHLHPEYRDFTPDF -VMTQTLSIRKDTLTPNIDTEQLTLSSTGDEDSAPVIPVSKKSFPLPLQSFKEVPNIDSFKDHIVEKQKTN -GRETGMLIGETGISFTSEEKLTTPELLILEVGYQTDIEGKVSSDMKKWSDTLNLLKHLGIKATVIACADS -SETPSDNWWIAEKYVKLLKSSISYLFNQLQANSPSDVTDIVVGSISTQKIRSMLKSGSVVKTPVTVKEVK -ECWSEHMKKILIRPTETVLPDSVKDIIEISLVEGVVVEKNSAKEVLDHLVDNSNLILQEFEKTRYAHEVN -KLRLTSEKMLLSWLIEDLSCARCKACFVKIKTDIEDYTDLQAAIEYICMELISDNHPPCCHQNPVEQDKV -SYYMKRTQSFKYVKHKGLGSDEGDGNLSALDQLVRLTLPGKTERERKIKRGVEQLIRLIMECSKIKAIKL -PSGQLVGSKKLLQHKGQFEVELKEHKAKTKESSNEALEERLKKLGKLLSKDRLRGYSEWCIKVINSALQA -PYTQQGSKCILNPIWVANILHDLKTDTEDVAIVEKMKATMKSREGLTRNNDKVVIAPWNEIKEYLDVKAK -DVLDVCDGPFETNCVLFDEVVLECVIRTFDTPYWDCIQTIVNLLKLLLRFTWYQKLIYYGKICETFLQCC -TEFTRSGIKVMRIRHTDMNIAIKVPSNKKENMKCCIYDSNFYPVSNIFLMNRRVAVLGASMYYIILVIFI -QCLQHSRCVKMLDSPKNVRAHDIAERTASYMDSMIEVLQKTHSGNLSDARNVLNALCEKNGSFVNRSTKD -HFISTVAGLSLTYSVLLGDSLLLNSQPFNKQIQMMRFGMLNGLSMLSSPSELGKKFSSSCRRLELTVARL -YLQIIVYCCCYDPESNSLHWKQDDHCPKVSMPSLSIFGHTLNSDRQLVFDIYNVHIYNKELDNFDEGTIK -VLEETAERHMTWEIDLLDACKKVKVSKRGNRLLRLLMGIPNVKRSATLEKATTQDETLSEVSSASSQTVL -SSGSTGSKLKSYFGRVSMHKKPFSLDENFIVERFEHQDYSQAVSDKWSFNVYRPNKSSILKDVIEVIRRN -PSHTMGCFELIQCFTELARMKFPNESIEKTRRHPNNYITVSEVTETTSIVATPRTHVMLKDCFKILMGQE -NKKFVKMLRGKLQRLGLSILNEKAKADNFTCLLETVDTLTKKQKEEIVKGITKPSKLAFYNWKDLVNQKV -ESVLITEDGNYIYCWLKSLGSMIKRALKPYMKTLRYDTGVASSKMMLLPHKDIDTDVLEDTQKLIEKLKA -IVRGESMELELVNKENPIKLWVQFILKARLSCSIIESGSLSVIQCGPKVKQLIEKYRELQKLKEEYPTLC -FSKEEIEIRTMEQTLLHEHNKDIMNVTNCLLYICLCCPWCLHYKSLEVLMSKSLDDNYILDIDDEEVKSL -LETSASKVWINSVKETIAKNSSQVLNQAFNEVEIDTDFEEIVSCLTRYVSAIFTSNSQPISCALNQGQSG -TEARDQSQIVERLKTILARVSIDSQGVDFIWTCHLISNSNFEVSKKLTGRSTGERLPRSVRSKVIYEIVK -LVGDTGMAVLQQLAFTSILDKNHEFFAVLAPKSQLGGHRDLLVQETHTKLIHATTEMFSRTLLSTTKDDG -LTNPHLKEQILNSALNKIQMMKRHHGKPYQDGSHLLQFYRVFCISGDNTKWGPIHCCSLFSGMMQQLLKD -FQDWSNFYKLTFLKNLFRRVEIPSASIKKILNAVRYNHKGSKPIDMMTELELRELLIDNLKIWEGQPIIQ -FLVATYISKGYSSICSYNHMGQGIHHATSSILTSIMSEAVEIFITSYLSKAFPKLTTTVEHAGSSDDYAK -VLSMSGVLDKDTYDSYNQLFWPTMCKVKNLIAAISRACQMKDSAKTLCGDTFAEFYSEFMLTHRITPAVI -KFILTGLINSSVTSPQSMSQACHVSSQQALYNSVPLLTNIAFTLFRQQMFSNHTEHFARCYGPIVNGTVS -GFGRLFVPLYSNLISSSIALEDSETISRSLLDLIKEDSKFPTVMRKFLLPGEEEVEEQDAVEIGGSTSSC -DPSLTSGSSLSSGSSFSFTADRGLTSTEQEYLKTVKKTANPIRCINIKEYFEEMCGSVSEMKVVKKLKKS -NLVHSCEYLRECFDNPVLMIQRTRNILNAVISSYYRTFSSEGTEKTVKANLNRDENTIIEDPMIQLIPEK -LRRELERLGLSKMTIDEMLPSHYLDEQFHSVVAKRLIMMNCATENFESEVSRLKQTLTARNVIHGLAGGI -KELSVPIYTIFLKSYFFKDNVFYKLHDRWNTKHSSNYRDSSGKRLDGKIVTKYTVWIDTFLSCGVTMDIR -TDNVPNSLFDPQLRALEIIHHDNNTVEISLKPSHIETMQKEFESLSLQFSDTNRHKLKVMESQRQKEELD -ASKVVIVKSSLFMSSDSVKINNSPAVVIGYMLSESALTEVKPTKVDMSNLVRDKFKIVMFYPTLMELVEK -IKKESDSVATSGLLPEMNDVEKYANNLTMLCRMVQQSRPKLTSLYIIKSSSLTNEPTVAELISYGVKEGS -YYILNDIGIETSTYSVRYWKVLQCVSAIANLPISDSEKTSLLTSFLNWKPSIQMLEKDCGMYKYDAAVLE -EFNDRVLLNVLSSELPSIRNERERENIKDLVDFVSSPSQLVTRKPYLGVTETFNKWGEGQKNGKFTFSSS -SGEASGIFVNGMLFLVVSNESPSLLHQVEKRVLEWLGQLRSDIVSAEQHLFFISLLADLRSIGRRDIDGR -ILTPMVNKENPRYLSLAPVSIRKNCKVIRVKKNILSVRKEVVKEVKSEPRLLWKSNSLTIVYDEQTDSMT -YHEQLANIHKIVTGITAKKEDKLPSVVYSDCRVVLSKLKLQEQVYFSSASLLHCFFCHALKDSVMEACSK -SMTLAHYLQGSHMYESKSAQRLKVRFEQHNEKLTEDASYTESQRVCNELSEILNQQRMASDAWPEVQRML -DETGLHHLNVSFVTKANSSQLLWHITQDASVGHDPQRSSLRSVINSLNSLVLPMSFIPFLTEGAVLDEAI -KRASAVKHEISSLNLSDEDLSAIVFCTLLFFEDQDKARNVLCFNTNAIVNLCSHKTFQVDEFSEIKFLIE -NDHVYVKLIVIGANVVDPIIPKVTRILTTQARVLTAYNSIFSNASSIEELPKKVLNYSTLPIQGKLISTV -TLSSKQCASITPDRFFKGLVKHNISKRLSTLNTLDFILFLRGKVAKDIQIAESYADDSEGEITVDDIFPT -KKVSPVVVQLPHPVHHNTNQVQPDEAEEKGKMEFDW ->AMT75410.1 RNA-dependent RNA polymerase [Punta Salinas virus] -MEDINWQHIGPNLYTALVKFAIPEFFERIRVKGDGNCFFRSFAYLFFDSEEMWPAVKNTALGYARQHWAE -CYGARGLYENRAIEEQKTMRASYSAVVKGNVQERSTERGKELYLEEASKDGYWGGTDEAEMLAKALNLTI -IIWNVNSDLKVLDVQKFGPHTVERAFNIVKTGAHFDALRLLGNIPVPGPSTATQLTSINPMMVIEAVSAQ -DDSAEAMSPEVYITDKKDEPEGSEDSDVTHASESSSDETEESAASGVDFTSEVGANKTREELFKEMKEQK -KRQDQMRKALELSAHVPAKVGRVLHKIFNCNVEVSLTSKVLFLLPEGSDDPNRVTLSKIRHIMLDKEGQM -FSQFKNCTVVITSGLMEFVNIPELLRQCLPDTGVSQFYDIIHPAFVYDILTVSVAILFSSFLYGSDFKTK -RKFILNTVRDRFVKPTKVPKLMREQNNKLIYDHPDRVVSDVCSSLYKEMALQISKCMKEMPATGLLALRN -VYLQSMDFGDYLQVLNDLRVVSYDLDFFNQDELQDIKRLIDCIAEIRKAPEVLPGTLAADWSFMYLGTDA -DQLFRDRKGKKLKSLRPDSLERRLIQHFFRKKLMFKFISLQGKAYSGGTLSNVLAYCNNLYLTKDQLGFS -PEDTEHLSAEMVRIRSLLDDQKVEPIAIICDKLDRRFKELFENLPAVCADECRSLFEDIRNAENHSSAWK -AALRLKGVAYEGFMAKAYNWNYLTEDLKPTLSMIIQTLYPEKFLQFLERTQLCPEQRDLTPDFVMTQNLI -IKKDKIDAIVETEQLSLDYLVSGAATVIPVSKKTFPLPTEPILEVNRLESVIENFQKMQETKKRDTGLLV -ESTNVVTEEHNRFTYSELLILEVGYQTDVEGKVISDMQKWKNILNLLNFLEIKASVISCADCSDVPSDNW -WLPERYVKLLKGTISFLFAKLQQNSPSDVSDIVVGAISTQKIRSIIRSGTTVKTPVTIKDLRETWNKNKE -KITERPTGTVLPERIVSAMQLSLVDGVIQEKTSTDDIVKVVEENMEKIAQELEQTKFKHTLNKEEETSFE -LIYSWLVEDLKSARCEDCVKKILNDCKLLNSLSASTELISKELVLSEHPSCCHPVCVDVGTYSLFQKRTG -FFDAVGHSETVLDEYDDKQTQLDKLVKLTLPGKTEKERKIKRTVELLIRVCMELSGIQCLKTPSFQLIIV -KRNLKRSQKTGDITKEKRKQHLLEQLERIKKTLSPSRLKSYSEHCISVIESVLESPHEQKGCRSELQSRW -CDQILIDLKAKTEDGEIIEALKGSIESRKTLVRNNDKVMVPDWDQVSSYLDVKADDLLNTGKMVFELDCV -LFKETVLETISRYFQTPYWECPIILSNLITFLLRFKWYQKLVLYGKTCETFLQCCTEFRRSGLKLAKIRH -TNCNLAIKLPSNKKENMKCIIYSSNMEALSGVFQLNRRVAVLGASYYYIIVVLFCQCLQHYRCMNGLENI -KKVEESVRQANAKHLDALQEQLKLVHQGRIKEASDRLLDLCKSNGNFLSKSTRAHFITVFSGLSITYSTL -LGDALLTNSQPLNKQIQMLRFGILNGISRMSMTSELGKKFSSSCRRMEHYIARVYQLLVVFTCNRYPHTN -INNWIKDDLCPNVQMPSVSIYGHYINSDRQLVFDIYNVHIYNKEMDNFDEGTIKVLEETAERHMTWELDL -MKAVEKLNEDPKKDRLARLLLCVGNVKPSGSQETTMEDETLSQTSVSSLQSSMSSKKRIKTYFGAMSMKK -KPFSFSEDFIVERDSSSDYTQSISDKWTFGVYKAKPDSVLRDIVEIIRKNPNHTMGSFELLQAFTEFARP -KYPIESIIKAKRNPKNYITVSEVTETTSIVSEPKTHASLKDNLRIITGQENKKLVKMLRGKLQSLGLSLV -NDKSKGSDLSGLLSSVDGLSEDQKKKIILGITEPSKLTFYTWKEIVKMDVENALVTSDGNYIYCWLKSLG -GQVKRSLRKYIKNLRYDNERFTPKFSEDTEALIGKDGITECLKLIENLKSLTKNEIPINSDIDNNKLIDV -WVKFCKLPKCYEEILNESAEWIKVVAPDLDFLMGKYNELLKLKKEYPTLSFSREEVELRSLETKFVKDNN -NLLMKLMNCVFFICLCCPWCVHYKSLENFLSKHMDESGGYDFGNETVSKVMDITLEKVWYEVLQCQNKVQ -VEVIKRVVKYTSAMFTGNGRPISCSLNQTEGTINVMDHGQMVDRLRTFLTRAQLYTKELDFIWTCHMITN -SNFEVTKRLTGRSTGERLPRSVRSKVVYEMIKVVGESGHAILQQLAFSTILNTNHEFFAVLAPKAQLGGH -RDLLVQETGTKLVHAASEMFSRTLLATTNDDGLTSPHLKETILGSALNHISIARKVHGRVIEEDSNLYQF -YKVFCISGDNTKWGPIHCCAFFSGMMQQLLKDHPDWSSFYKLVFLKNLYRQVEIPTGSIKKILNAFRYNN -SDKKIEEMNEYQLRELLYSTIDSWNENPIIKFLVVTYLIRGKIAMRMYNHMGQGIHHATSSILTSIMGDV -ISHYIQVYMNSNFKGLTCSVEHAGSSDDYAKIITLSGMVSKELFDSYESVYWTRMCRLKNIISGISRAVQ -MKDSAKTLCGDAFIEFYSEFMLSHRITPAVIKFIFTGLINSSVTSPQSMSQACQVSSQQAMYNSVPLLTN -FAFSLLRQQMFMNHTEYFQRTYGLITMGTLSSFGRLYLPKYSNLTCSSIAIEDSEVISQNLTMLKENAIL -FPGTKPYEEDVTVTEVESGPASVESSGGGSPSSKLSSMTLGSDTSLKFSHDKALTAVELAYLNSIKPSLS -RLRCRAEMETFQKIFKLELPVCFEKLKKSSLVNSCEYLREVIDEPWLMVQRIRSIVVLLIAGFYRAFNSD -GTEHPVKANLNRDENTIIEDPMIQLIPEKLRRELEKLGLAKMTVEELIPTHMLEDDFASLVSKRLVMMNC -ATENYASEVSRLKQTLNSRNVIHGLAGGIKELSIPIYTIFLKSYFFKDNVFFKHYDRWNTKHSLNYRDST -GKELKDKIVIKFTTWLDKILNCDISIDYSHSNAVKSLFDNSLKGIQVIHLCNNTCELSILYEEVECLQKE -FEALALQFSDVNRHKLKILESQRQESAVEASKAVIVKTTLFSATDAVRIINSPAIVIGYMVNESTLSDIK -PTKIDMGNLIRDRFRITMFYKTLTDLVGEIIKESELIKRKDGIVDLEKVDLYANALTMLCRLVQRSKPKV -SSFYIIKGASHSNEPTVTELISYGIQEGLLYILPDCPIETSTYSVRYWKVLQCVSAIANLPLSDSEKTSL -LSSFLNWKPTINDIDSKCTMLKHDKAVLEEFDERTLLNVLSSELQSIRNDKERESISDLIEYISSPRQLM -QDKPYLGVTSTFKKWGEGQKNGKFTYSSNSGEASGIFINGQLYLTLSKDSTALLHEVERKVLEWLCQLRT -DVTAAEQHMPFLSLLASSRTCSKRAIDGISYIVYYLKEDPKFLQLKKFIGKGEYKIVKVKSQILSVRKEV -VKEVRAEPHIVWRPNALSIVYDEETENPSYHHKIREIYELIKDATGNSSGKLSSIFYKDTRLTLSKISLQ -DQLYLSSLSLLHCFFCHTLTSSIMEASSKSEILSRYFEQGRSSIIRSANSIQNRLLEIKSSDGSLVKSEE -SDICSKLQQSLNKGDFSIDCWAEVQRMLDENGFHRINVTLSPEPSQFRYKWLVTPEFGLSKNSDVTDLRD -LCMLLSSGIVPKVVISYMTDDVLFTNLLLIAERLKIDLNKNRVDQKAFCAVCCSIIYTLQSNPKDRKTLL -MRISSIFQLISIKEIESSKGAIRFMADSDTIQIQVTIVGLSQLEYKSIDNKVHPAEKAKEVKIKIGRNRL -ISEVSSLFEPFSNIKEIMKFADGLEMSTEDGKITFILFFTRLASEKTDIYKLLTFLNIEVPQTTVDLLTD -LIMLLYGGSFKKYSTTQPSTVKATSKSTKKEEITLSDLLAEDSPPSDNKEDSDLEDYNIDDIEFEL diff --git a/seq/clusters_seq/cluster_830 b/seq/clusters_seq/cluster_830 deleted file mode 100644 index 3cb9c8e..0000000 --- a/seq/clusters_seq/cluster_830 +++ /dev/null @@ -1,71 +0,0 @@ ->YP_009480339.1 nonstructural protein [Maize rough dwarf virus] -MSTHLTNLNLLRKRMQRRTNIEQAKDESNESLPVPTIEKPSESSITPDSSNSKKRYARPSNKASPQLTPS -ESSNETKLLHNLIAAAPTSSVVVKPNADVLNLSKTQTILPSLIVNATCQLRMKDLNTWKGFVLCHPSSPQ -ANVSATLYTGNKLFIVFEKKNVSNDNAEFNLMLKERVFTYLDAATFKPKNKDFMVSLYNAPSNIIIVDSD -LEFDDLSNAKICFSSTEMSGLTSLHKRMIKFSFWPSNILMPHLSELSSYFDEFKLRHLQNIEIEASDVQS -SLFIVSNHKFSEQSENVLQFIDAQPNSQLLINKINDMSERFGAMSDGTHFMIGTVPAQSEFIDDNKKNLF -GSNQVVLMVNPVNILFDHKQLYFLLFSYLNKFVMQASIVQVFTTDLINHADMFSVAFNTIKCFYDSVSCT -LINHVLDNNDPNENKVLLSVNDLTDEVADFIPSSVDIVDDSETDVKRVENEIKSLINFDSHQSAPSTSKS -LEMPAKQQSWVDVIEKGNSSNEKESSNTFDSVVDKMSYEDFLTNVKLVEFAKRYSSETDDLHRATIELAR -MSEENGRLLVTLKQTKERIANFEAEVMDLEKQRDCFDLQLTELNDAYCSLIKEKDEIKTDNEMLKEQLKE -ANNVVVERTARLNEAIDELENVKETDNAVAEESEDVEHESDGFRLFGECIDKVRALKEKYPHLYSGVDAN -FGDITSLLKMIMIKIDSIMSLCDADVTVSENQWNAYGLCSSVSTSTDDNSFPMLSVRQVGLMNVQIANFT -NVIYDAFFYNMFIKFLWQLSSE - ->YP_004021929.1 P6 [Southern rice black-streaked dwarf virus] -MSTNLTNIAMLKKRLQRRVTTNTSVNNEVENTPSQPLEPVANASSSSESSVITKRYARPSNKISSSSTVA -ENSNDTKLLHNLISAAPNASVLMKPNTDVLNLSKTQTILPSLVVNATCQLKLKDLNDWKGFVLCHPNSPQ -SNVSATLYTGNKLFVVFQKKNVQNDDSEFKMMMKDKAFTFLDSNVFKPKSKEFMTSLYNASSNVIITDSE -LEFDDLSNLKICFSPSDIPSLTSLHKRMIKFSFWPSNILIPHLTEIVNHFGTLKLAHFEDIELDSSNAES -SLFIVSNNKFSEQSENVLQFIDAQPNSQLLINKINDMNERFGSLANGTHFMIGTVPSKSELDDDKKKNLF -GSNHVILMVNPVNILFDTTQLYFLLFSYLNKFVMQASIVQVFTTDLVNHGDMFSVAFNVIKCFYDSISCT -LINHVIEDEVDVQKRVLMTVDDLSDDIKEFIPPNATSTEDSETDNKRVENEIKALIKFDSQKQQTSTSKT -LEVQEQQRSWADVVERTECDVEKTSSIALNDVIKNMTYEDFVTNAKLIEFARRYSDETGEAHKLKVEMTR -LSEENGQLLNAIKHNEACIIQNNEEILNLREQSDRLTISLKQLDDAYSELVKEKDEVKTERDAFEAKLQE -ANKVIVEKTTRLNEAIDELEKAEDRNSSVVEEEPDNTEHRNDGFSLFGECLDKVRLLKNKYPHLYSGVDA -NFGDLTSLLRMLLIKIDSITSLCDANVTVSENQWSAYGLCSLTSSTHDNATTEMLSVNQVGLMNFQVANF -TNIVYDVFFYNMFIKFLWQLISE - ->YP_956846.1 hypothetical protein MRCV_sS6gp1 [Mal de Rio Cuarto virus] -MSTNLTNIALMKRRLNKRQTDDKPDQQKMNENNSTPQSPSTSTPETSIRPTPMKRFVRPRPPTPRSLSDE -KNTSETTQLHELITSAVNSTVTLKPDPSILSAIQTQTVLPNLVVTPAVQLRIKDENKWFGMVLCHPSSPQ -ANVSGVLYTGNKLFIVYERENILNENDDLKKLIDSKVITSLTTTDFKPKNKEFKIGLYNATSNVIVIDSK -LDFDEMSNLKICFKSDELASLTSLHKKMIKFSLWPNNILFPELTEVSRYFDLFKQNFLRDIELETTDNSS -SLFIVSNDKYQNQSENVLEFVEAQPNSQLIISKINDMSERFGTNGQSKQFMIGVIPATANSVDDKLKNHF -SANHVILMLNPVNVIFDNHQLLTLIFTYLNKFIMEGVVVQVYTTDLINVEDMFKYSFSTIECFYQSIGCT -LINHVHDSKEVNTTTVPISVADVEDAFENVDVEDNSLSTQRSDDDKNVEGELTVDLKKSVIDNDVMRTEI -QKLDEPPKQWVDEIDDDEKNSAKMTVDEKQDILTYLTYEEFLMNPKMIDYACRYANDVFNIKALESEKCD -LVEQAENLLLRCQSIQQTNEVLEERLENETKRQEQLSIEVSELNAECEALTKSLNDIKLDCERLNKDNLE -LKQVMVERTAKLEMLENARNVSDNEEVSEDEHNEQSEGYALFSQCIEAIRTLREKYPHLYSGTDANFGNV -HSLLKMLKVKIASINSICDAGISVSENQWSAYGLCCPRTRSADSVEERMLTVNDVALMNVSVSDFSNMCY -DMFVHNVFIKFFWSLSLE - ->YP_249760.1 myosin-like protein [Fiji disease virus] -MNSAPLNDKLIFHTRRRRKQDALHSPSLNMDKKNDQPTVEIKNKVSENETDTVPRRDSQFNETSNLKNEP -KVVNHNINMNDNLLIPVDPIIGKIKGNALHKMIIGNSVPDIGLTMPEEITQIMLSQNIDKKLNVTPVSQV -TIVNPNKWNGFVLCHPGSSQHNDNNHVYTGNKFFVIYKRSNVMNNEQEINQFANDGIIILINKKEFKPKE -RDYQMRLFDSCSNVIVVEDEVDFDELSNVKICFSYEELTSASSLLPSHKKLIKYSCWPSNMVFPELKLIN -DYFSDLQSSVLNDLCLDESDGISTLFVVTNHVFAEQSDAIIESIHGHVLKDKIISKINSMFEKFEQRESR -HIAFFGVVTTDEGNGNIHNKFLKNNCLIVMMNPLILTTYEKDYWNGLFSHFNKFIIESCVLSVYIAELIQ -VELLHGKAIKMLKQFFEAYGCTVLFECCETSKREEIGETSMSKSFDVECDTKKAGIEIQDNNINKRCDDN -PNDDDGLDNYYVTDIDETEEDVEAIQKNSKCDDILTSDLRKLLKPSTLGLEVTIPEPSYAATEAEVEDQN -SERNDDNALNESLCTEQQDDETVIVERNEEVENGISDDKYISCSYRDFINSETLKTHAHRFLSEQRFERE -NLEQIIEQLNCTVDELRQNSDSLIKELDDQKRLHSDAVDAYVEQVDVVKNKEIEYESRIAELEHELDELK -KSNEHTRPSNANLECFQEGKNEYGVPELFAPFLRELKSLKETCPHLYDGECIQFENSENFLKFAIAKIQY -MKLFATSKIKIQPAMLIGTGLMLSNESFNINGVLIQSSFDVNALRLLNVAQGESIEHSSGAMSVANFIKQ -FWSCV - ->NP_620463.1 P6 protein [Rice black streaked dwarf virus] -MSAHLTNLALLKKRIQRRNNTEQAKDEPKESPPIHPIEKPLESSVTSESSNSKKRYARPSNKASPQPNSS -ESSNETKLLHNLISSASNSSVVMKPNSDVLNLSKTQTILPSLVVNATCQLRMKNLDNWKGFVLCHPSSPQ -ANVSATLYTGNKLFVVFEKKNVLNDDSEFNLMLKERVFTYLDAATFKPKNKDFMVSLYNAPSNILIVDSD -LEFDDLSNAKICFSSTEMSGLTSLHKRMIKFSFWPSNILMPHLSELSNYFNDFKLRHLKDIEIEASDVQS -SLFIVSNNKFSEQSENVLQFIDAQPNSQLLVNKINDMSERFSAMPDGTHFMIGTVPAQSEFIDDKKKNLF -GSNQVVLMVNPVNVLFDHKQLYSLLFSYLNKFVMQASIVQVFTTDLINHADLFSIAFSTIKCFYDSVSCT -LINHVLDSNYQNENKVLLSVNDLTDEVADFIPSSVEIVSDNETDVKRVENEIKSLINFETHQQAPSTSQS -LENRATQQSWVDVIEKSNSSNEKEPSNTFQSVIDKMSYEDFLTNVKLVEFAKRYSAETDDLHRATVDLAR -MSEENGQLQVSLRQAKEQIAKYETEIAGIIKQRDCFDLQITELNDAYCSLLKEKDEIKIENEMLKEQLKE -ANHVVVERTSRLNEVIDELENIKTSANETNDESDDEQQESNGFRLFGECIDKVRALKEKYPHLYSGVDAN -FGDITSLLKMIMIKIDSIMSLCDADVTVSENQWNAYGLCSLAPTSDDDTNFPMLSAKQVGLINVQITNFT -NVVYDAFFYNLFIKFLWQLSSE - diff --git a/seq/clusters_seq/cluster_831 b/seq/clusters_seq/cluster_831 deleted file mode 100644 index 4446f49..0000000 --- a/seq/clusters_seq/cluster_831 +++ /dev/null @@ -1,95 +0,0 @@ ->YP_009480338.1 structural protein [Maize rough dwarf virus] -MDPGQVLKYLLSQNYETKIQQLEQWQKQMQAAGYTSEYLNQSSDVLKVKSKIEESKRRLFFISATFWQGS -LFTKTVAPNNLFLGFSECSGVNKTIFDSKNCDFGRFQLSFKCIPKYLILSGVLDELVCYDHNKTKVKISK -INNKISISINDFKYIAPILNSFSFLFNDSDILLDEKCEFDVLLRVYQTLDEVAIDVCNFSFNDSNLNLEF -KTTSIKFKGNANYFSVSSNLIFNSIDGYLNRNNLNMRKYFCYAISMNNLNMINDSLNRWESKQLSKKKNL -VSSLHQLVLNAMISISSLEKDVARVKSLKMELSYQRTISIHFSNHRIFLNKYPHDLFSPSFKTQFLGWNF -TYGERDRFISPIICDVETKLKTNSSIISNAFTSYFQCNSFGNPDNMFLLNVTDKDGNTKKVICEAIYSLK -YKDFKYVDLKSVNKKDNFQNQVYFFGESEIELYDEHPKLQALSIVSEDEGNLYHLFYSNCSLVLSFKIIS -ESIEYLGIVSPKFASEFEYNGKTLKLSGNLTLSEDFDGVQMKKCLTSEEFVLIEDVKCLFTSFICEGKRS -SSKATFNGKTWPGIRSRFHDNDAYLSAVQAHCHSLNLNTPFRSYDNYSDILYSVNDNFHYVEANSCFTER -KNYLYWDVPISTFDMIFDEAPCVKLSNQSILTFPVKYRQLYEVVEGRNHPCLLSLKHYVDDYSINQIDSP -PVLFLPFNRPVDIIYLEQLSGDEVLNEMKIISFEVKEFTAYVLPENLNTTMNIVGRLLNYESELELVYQT -NNVISDMMNNFDKRLSDLEKFCDFLDKSYIKKAGSSTSLYHLLGDIFTFVGEICVLQFPILGFCFILTGI -MVDALGKITQEDIFDGISEIAFGGLLLCLGKRKPKFTYLEELGFGRRRASSNSYISEMASSIGRRRSYSS -YHHYESINDLSPSLSVRDRIMNQIRSHNPSVFDLHHNSGIILELKQKQKENYSLLNSSYSRMKRSISNVI -NDEVINYKLSCDNPSSCEVYELCIKTFDYYPILLDDNSFFTIKIRFKIKVTSNPVTMKIEDIERSYFHTS -DASRFQVFDAAKFDVIKHLHQSFSSFTFNEISNYLCVISLLSKTSSIDNLLIENHDKLYDTLYISNHKSY -MTNDPIHLRQVDFTIFKTMHTYSFSDHAPDDSYFKMISSIVKHPEILRF - ->YP_004021938.1 P3 [Southern rice black-streaked dwarf virus] -MDPVQVIKYLLSQNYETKLQQLEQWQQQMQAAGFTTEYLQRSSNVLNIRRRIEESSGRLFEISAKLWQGS -LFTKTISPNNLFLGFSECSGVNKSLFDSKNCDFGRFSLNFHCIPKYWILSGIFNDLVCYDHHNVKVTVSK -SSNKISFRINDFIYIVPTISNFSFLSDNPDIKIDENCAFDVFVRIFQSLSDVVIDVCNFTFDDELLNVEW -KNTIIRFAGDANYFSVSSNLIFNSIDDYLGRKCTSMRDYFCYAISMNNLEMINDSLNNWESKQLSHKKNL -VNSLHQMNLNSMIKISSLEKEVARVKTLKISLSYPRTICLHIPTHKLFINKYSHDLFSSVFKTQFVGWNF -TYGERDRTVEPFICDVETKLKTNSSIVSTASTSHFQCNDFGSPDNMFLLNVLDKDGNVKKVICEAVFSLK -YKEFKYLGVKSLDEKTGYQSQVYFFGLSEIRLYTENPKLQVLSLVSEHEGKLCHVFYATTSLILSFKILA -ENIEYLGVTSPQFTSEFSYNGKPLKLSGKLTLSEEFDGAQRRKCLTSEEFVLNEDVKCVFTSFICNGQRS -TTKTFYNNKPWPGIRSRYHDNDSYSSAIQATCHTLILNSPFRAYNNYSDILYSANDNVHYVEADGCFTER -KNYLYWEVPISKFDMIYDDDPCVKLSNKSILTIPVTYRAFFEEVEGKFHPCLLSEVHYIDDYSVTQAGDA -PELFLPFNKPVDIIYMEQSDGDEVSGELKIISFDVKDFNAYVLPENLNTTMNIVGRLLDYESELELVYQT -NNVLSDMMNNFEKRLSDLEKFCAFLEKSYNKKSGDSSSLYHLLGDVFTFVGEICVLQFPILGFCFILTGI -MVDALGKITQEDIFDGISEIAFGGLLLCLGKRKPKFAYLQELGLDRRRASSNSYISDLASSIGRRRSYSS -YHRYESINELSPSLSIKDRIFNQIRAHNPSVFDLHHNSGIILELKQRQKDNYSLLNSSYSRMKRSIGSVS -HDDNLDYKLSCNNPESCELYELIVTTFDYYPILLNDNSFLIIKVQFKMRITSKPIMMKIEDIMFKYFHTS -DSLGFNVFDESKYNDVKHLYDYFFRFSFDEIFNYLCVLSLLSKTSSFDNILVENFDKIYDTLYLTNHNSY -IKNDAIHLRQVDSTIYKTMHGHSFSDQVPNESYFKMISTIVSHPEILRF - ->YP_956845.1 hypothetical protein MRCV_sS2gp1 [Mal de Rio Cuarto virus] -MDQEQLLKYLLSQTIEERTQKIEQWQKEMESAGYTIEYLTQAAASLRIKSSIEKTKQKLVNESAKLWQGS -LITKTVTPSYLFLGLSECSGVIKTQLDLKNIDFNSLKLNFSGFAKYWILTLDFEDTVVYNHDNKKVLIGK -SKNVLNIQIDDVKFQLQIKNNFPSLVDDSPSYFPNNCNFDRLLRIYQSNKHVILELCNFIVNDETGKIVM -QPESVKFIGDTSYFGTSSNLIFNCTDGYLGIDNLDLRNYFCHAVAMINLEKLKSNFESLEMKKLSNSKET -VSNLHQLILNSMISISSLEKDVARVKMLKMNLSYTKSISLYIPSHKVFVNKYKHDLFEGELSTKYLGWNY -IYGERDRTLIPIVCDIKSKLKLDSPHFNSSPTAFILSEDFGTPDNMFILNVIDNEGNVKKVICEAIFSLK -YKSFNYIHTKAFDVGTYYQSDIYFFGHSEIELYDDDPQLRVLSLVSEKEGKLFHLIKGIATLVLSFKILS -DNIEYLGNISPYYKAEFSYNGAPLKVEGKLSLSEDYDGTQVKKCLISDEYILNDDVKCLFSSHICDGMQS -KSKSYYNGKQWPGVRSRIHDNDEYQNLIQAHRHNLSAHGPFRAYNNYSDILYSANNNYHYIEADGCFTER -KNHLYWTVPTSTYPMKYDNFPCVKLTNKSILNVPITMTQNYDNILGETHPCLLNEPYYVISYSIDQKAPY -PELKLPLSRTMCLQSMETSDGQDVETEIRVNSFDIGDFHAYVLPDNLNMTMNVVGKLLDFKSELDLILQT -NTVMADMMNNLEKRLSNLEKFCDYLSNSYSNKLDSSASLFHFLGDVLTFVGEIAVFQFPILGFCFILTGI -MLDAVGKILQDDIFDGISEVAIGALLLCLGKRKPKYTYLEEMGFGRRRASSNSYISEHSSSIGRRRSYSS -YHVYESLNDISPSLSLKDRLLNQIRAHNPSVFDLHHNSGVMLELKQKQKDNYSTLNHSYSRMKRAISNVL -NDETINYKLSCDNPSSCEIVELVVKTFDYYPLLLSDNTFFLFKVTFTVSIVAKPSSVSVKEHNIEYFHSS -DTKSLEKFTSAKYSNIVGLQSSFSGYSYDDICNYLYVISLLSKLSSLDNGIIENFDKIYDTMYITSHRDY -VGNDLLNSRQIDFSIFKATRANSFRNFEPDESYFKMLSTVSKHPEILRYIG - ->YP_249761.1 'B' spike structural protein [Fiji disease virus] -METDNLLKYLISQNTEQRIEQIENWQTLMQQSGYTSDYLTATAGTLRTKREIQHCKDVLSDISMKRWNTS -LTQGRYSSDQLFFGLNECSGTNKALVDRMKINFNFHKLNFHGFAKYWILNGDFDKMCCYDSDRTKLFLTK -KGNHITIDLNGITSSCNITNVFGHLTVDDKFEPNFDILIRIVQKDEYVVCQFINFTFNPKIKFFKQQPFT -IEFIGNTLYFCVNSQLIFDVLDGYKGKDDLSLRDYYLCRIAYVNLLKLEHALEQKQKLDLGDENENLAKY -VHQLALNALTGVSKLSEETIRTKTLSMELGYKKNIDIGIASQKILINQFTHDLFNNKFVTDFIGWNYTYG -ECDIEIAPIVCNLLTYLDNESSLLNSGPLSYTLLDEFGGHDRMFILQVKDESGNDYRMLCELQFSLKYKK -FSYQGLKVFNADNLEYADCYLFGFGQNQVYGEKQTLNVNSIVSDKQGNLVYHVHALSSLVCRFKVISERI -EYLGNALPTFNATLTFNKDLIKMSGNLRLVEDFDGSKKTKYLLSDEFTLENTILVSINFNICEGKQTNSA -ARYNGKTWPGLRSRDFKNDFYENDFEVVIHNLNYHSQFNEHSNRSDILYSACNCYHFVESDSCFTNRDES -YLYWKVNSQTIPMEFDSRPYIKLYNRAFIQIPVLMKGGSNRIIGPKHKCLLNEDYYVNDYQYEITSDSPR -VYLPYPNSSQIISIVDSAGKQLSNTLKIESFDVSKFNVLMLPDRFNNSLDVVGELITFKNELELMLRTNN -VLYSMLHSLENRIINLERFCEHLNKTYEDKFNKASSIVQFLGDVFIFIGEMSLVQFPVLGIGLIFVGTLL -DGMSRILKEDYFDGISEILISSLLLFLGERKMKYSFLEKLGFGKIKTESNLVLNEKVSSVGKRRSYSAYY -ASDDHKEFDSSLTLRDRLLRQIRSQNPVVFDFHHNSGVMIELKNKQKPSYQALNSSYTRIKRAIGSVGTN -NGLERKLNDITSENHYLKSLLITTFDYFTYQVTNSIVIVFKVVFEVKIDGDDRSVEIINKDILYYRNDLE -VAFSVFNSCRYDELTDKFPLKFKFEYSDFVNYMYVVCFLSKFGSKDNLLLESYDKFYDSLYLYSSNSDVF -SSSINSNNMNRLIYKISHMSAFRTLEQDQDFLTILIRVKDNSSFLRL - ->NP_620461.1 P4 protein [Rice black streaked dwarf virus] -MDPGQVLKYLLSQNYETKIQQLEQWQKQMEAAGYTSEYLNQSSDVLKVKSKIEESKRRLFFISANYWQGS -LFTKTVAPNNLFLGFSECSGVNKTIFDAKNCDFGRFQFSFNCIPKYWILSGVFDELICYDKSKTKATISK -LKNKISIRINDFMYIVPIINSFSFLSGNSDVIIDENCEFDILLRISQSFNEIMIDVYNFSFDDSNLNIEF -RPTSIKFEGDANYFSVSSNLIFNSIDGYLNRDNLNMRKYFRYAISMNNLNMINDSLNKWESKQLAKKKNL -VSSLHRLVLNAMISISSLEKDVARVKSLKMELSYQRNISIHFPNHRIFLNKYPHDLFSPSLKTQFVGWNF -TYGERDRFISPIVCDVETKLKTNSSIISNAFTSYFQCNSFGNPDNMFLLNVTDKNGNTKKVICEAIYSLK -YKDFKYVDLKSINKKDNFQKQVYFFGLSEIELYDEHPKLQALSMVSENEGDLYHLFYSNCSPVLSFKIIS -ESIEYLGVVSPKFTSEFEYNGKTLKLSGNLTLSEDFDGVQMKKCLTSEEFVLIEDVKCLFTSFICEGKRS -SSKTKFNDKTWPGIRSRFYDNDAYLSAIQAHCHSLKLNTPFRSYVNYSDILYSANDNFHYVEANGCFTER -KNYLYWDVPISNFEMMFDESPCVKLSNQSILTFFVNYRHLYEVVEGKNHPCLLSCKHHVEDYSITQIDSP -PILFLPFNRPVDIIYLEQSSGDEVLNEMKIISFEVKEFTAYVLPENLNTTMNIVGKLLNYESELELVYQT -NNVISDMMNNFDKRLSDLEKFCDFLDKSYIKKAGSSTSLYHLLGDIFTFVGEICVLQFPILGFCFILTGI -MVDALGKITQEDIFDGISEIAFGGLLLCLGKRKPKFTYLEELGFGRRRASSNSYISEMASSVGRRRSYSS -YHHYESISDLSPSLSIRDRIMNQIRSHNPSVFDLHHNSGIILELKQKQKENYSLLNSSYSRMKRSISNVI -NDEVINYKLSCDNPSSCEVYELCIKTFDYYPILLDDNSFFIIKIQFKIKITSNPVKMKIEDIERSYFHTS -DASRFRVFDAAKFDVTKHLNKSLLSFTFNEISNYLCVISLLSKTSSIDNMLIENHDKLYDTLYISNHKSY -MSSDPIHLRQVDFTIFKTMHTYSFSDHAPDDSYFKMISSIVKHPEILRF - diff --git a/seq/clusters_seq/cluster_832 b/seq/clusters_seq/cluster_832 deleted file mode 100644 index 5bcd102..0000000 --- a/seq/clusters_seq/cluster_832 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009380540.1 nucleocapsid phosphoprotein [Goat torovirus] -MNSMLNPNAVPFQPPTQVVAIPMQYPSGFPPGFRRQRNPGFRPMFNRRRNNNGNQNRGRQNRQRVQNNNR -GNIGNRQNNGQRGNRRQYNQASPNLPFEQQLLMMANETAYAATYPPEMQTVAPTKLVKIAKRAAMQIVSG -HATVEISNGTEDSNKRVATFTIKVAMN - ->YP_008798235.1 nucleocapsid phosphoprotein [Porcine torovirus] -MNSMLNPNAMPFQPQPQVVAMPIQYPMGFQPRFQRRRNPGFRPMFQRRNNSNQNRSRQNRSRILNQRRGL -TSSRNQQRSNRRQNNQQPLSMPFDQQLLMMANETALSATFPPELQSLAPTKLVKIAKRGAMQIVSGHATV -EVSSGEQDTPHKIATFTIKVALN - ->YP_337910.1 nucleocapsid phosphoprotein [Breda virus] -MNSMLNPNAVPFQPSPQVVAIPMQYPSGFPPGFRRQRNPGFRPMFNRRRNNNGNQNRGRQNRQRVQNNNR -GNIGNRQNNGQRGSRRQYNQASPNVPFEQQLLMMANETAYAATYPPEMQNVAPTKLVKIAKRAAMQIVSG -HATVEISNGTEDSNKRVATFTIKVVMN - ->sp|O90306.1|NCAP_BRV1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MNSMLNPNAVPCQPSPQVVAIPMQYPSGFSPGFRRQRNPGFRPMFNRRRNNNGNQNRGRQNRQRVQNNNR -GNIRNRQNNGQRGNRRQYNQPSPNVPFEQQLLMMANETAYAATYPPEMQNVAPTKLVKIAKRAAMQIVSG -HATVEISNGTEDSNKRVATFTIKVVMN - ->sp|P23051.1|NCAP_BEV RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=NC; Short=Protein N -MNSMLNPNAVPFQPSPQVVALPMQYPSGFSSGYRRQRDPAFRPMFRRQNNGNQNRSRQNRQRLQNNNRGN -NRNRNQFNRRQNQPSQSMSFEQQLLLMANETAYAATYPSDMQNIAPTKLVKIAKRAAMQIVSGHATVEIS -NGTEDSNQRVATFTIKVVMN - diff --git a/seq/clusters_seq/cluster_833 b/seq/clusters_seq/cluster_833 deleted file mode 100644 index b2508cb..0000000 --- a/seq/clusters_seq/cluster_833 +++ /dev/null @@ -1,63 +0,0 @@ ->YP_009362295.1 capsid protein [Goose astrovirus] -MADKVTVSVKPKAKARGRTRSRSRSRSRSRSRGKRVIIKEKPKKAPLKTILKKEEKQERKLEKRVRKVER -RIKGPRVSDTFKTTVTLGRVLGNPDDSLSRQFKVFLNPTMLKNQDAGSASSPLTIRASQYGLWRIVECEV -RFTPLAGAANVLGTVVFADLEQESGVATAESPDTIKARPHVELSVGMRYVWKIKPRQLEGPREGWWNMDT -GDDPTNSLGPAISFWTYLRTVLALQSQSTNSFVGGLFIVEANVRYEFSNYTPKPNLALMQNERITVKSQG -ITLKNDTDGSVVMEVQDPALRAILDNEQRPRTLNAQQSGPGEKFWAVSTTVVDVVADAIGPWGWLLKGGW -WVIRKIFGAAGENAPSKYAIYASVEDAKNNSKIFQQVKQEQSISNREVQITQLIQPNVNSGEQIATTGGA -PAPVAIPYLPLRIAPNQNLPLIYDVDEQRVDGDWYCNNLFFFGQPIATTVQTASSAMGTANVRTEPRTNW -LVLDYSDNGVFFQSTHTPQGVTQTAANIWSFFSSRTHEAPFTSCDGFTRPTEFGISNEDVKLGVWPSTQN -NAPSQQQGSVINNNMGWLVVSKHQPKVRVYFPWTGSNLPDYPIVWVQKSVSPAYMGSLQWNENLNFYRRA -DDIEDLMSEITLFEEEEIKQSRDETDFSFKCSLKTSDALREEAEYWRAKAQALLLEKAMSAPTPGLVRFE -KGGQEFD - ->YP_002728003.1 capsid protein [Duck astrovirus C-NGB] -MAGEALVVKKKTTTTRRPRGRSRSRSRSRSRSRSRTRTKKTVKIVEKKPEKSILKKIEQHEKKDQRELKK -IKKKVQGPPVNDKMTTVVTLGQITGNKDNVLERKHKYFLNPLMMKSQENGQVATPLTIRASQYNLWRLVR -LHVRLVPLAGKANILGSVVFLDLEQEANTAGPESVDTIKARPHIEVPIGGKIVWKVHPRSMQGPRQGWWN -VDPGDSPTDSLGPALNMWTYLKTVNALQSQSGTQAPYTSALFLVEVLVTYQFSNYGPKPALSQMISNNYK -PSASANAVLGNASDGAVVVKISGALLRDLEAVEPKYRHPANAQTSGVGEVFWAVSTEVVNTVAAAIPGWG -WLLQGGWFVIRKLFGAANDANGNYLVYSSVADAQQDNRIYTTVTGSPQLTNTDIKLVQLTQPNVNVAATG -GTVGIALERYLPLPLAPSQYTPHMVYDFRGQRISTTESAALMIAAIPQANNQSRFNGRLNFNVGYKDDNG -RQKSFNLGENDWYSILDITQTGVVFSKAIAGTGVVQTMRTLKQHMKPEEEPSVRRYSPNTTTEGQVKDRL -NLRENDPVMSIGDSDGRRGALFYRTSDERFIILISNASDPGPQFQNIRMKYLYNWSLSDNQTSFQNNIRT -VQFANADENEEQQEVEDDDVLSDVTSLFEQADLEDEPEFKFKMAIQTSKHLEEEKNYWKTQCERMMMEKA -LTGTTQPLVRFEKAGLWAEQQQAASSRGHAE - ->NP_987088.1 capsid protein [Turkey astrovirus 2] -MAAMADKVVVKKTTTRRRGRSNSRSRSRSRSRSRTKKTVKIIEKKPEKSILKKIDQAERRDAKQLRRIRK -KVQGPPVNSRMTTVVTLGQITGNKDNTLERKHKCFLNPLLMKSQETGQTATPLSVRASQYNLWKLSRLHV -RLIPLAGKANILGSVVFLDLEQEANTAGPESVDTIKARPHVEVPIGSKTVWKVHPRSALGPRQGWWNVDP -GDSPTDSLGPALNMWTYLQTVNALQSAGGTQTPYTSALFLVEVLVTYEFSNYGPKPALSQMVSDSFPPAS -GSTATLKNTSDGAVAIQLSGAIARKMEEVEPKGRRSNAQTSGVGEVFWAVSTEVVNTVADAIPGWGWLLK -GGWFVLRKIFGAANDQNGTYLIYSSVADAQGDNRIYTSVKQTQLTSSRINLVQLTQPNVNQAAVGGSVGA -ANSIYLPLPQADDQYTPYFVYNFQGERVSTTETGVFCLAAIPAATTSSRYNNQITTPSIGYRNASGTGTS -FLLDAASWWNILDVTQTGVLFGQPRLGVGVMQTMKTLKQHIKDYTEPAIQKYYPGTTNLDEQLKQRLNLA -EGDPVISMGDTNGRRAALFYRTSDEKYILFFSTTEDPGAQYQNLKMLYFWNWSYSDTKQQFLDHLRTVQF -ANLDDSQPAPYDSDDDDLSDVTSLFEQADLGDETDFKFNMSIQTSKHLEEEKNYWKNQCERMMMEKALSG -TSQPLVRFEKAGPRADQSSASGHS - ->NP_620618.1 structural polyprotein [Chicken astrovirus] -MAGGATAPAGAKPKQPKQKQKKPSSQARKKPSQKQKAMKPVKQELRKVEKQVRVLKARTNGPKVNDTMKT -TVTVGTLVGQTQSGLNRQLRVSFNPLLMKSTEGGSTTPLSIRASMYEMWKPLSVEIFATPLSGFSSVVGS -VGFMVITLNGLEASADSIDTIKARRHVQMALGRPYRLKLSARELAGPREGWWLVDTSEAPADAYGPAVDL -MLAYATENLLGTSSGSTTSYTGTLWQVEMRVTYAFSTYNPKPGLQTLVSQSITGGQTVTIQPSPDDGSLI -MTTNSQQVLALLTPRVAGQRKGKSQTIWAIAGSAVDAAATVLGPWGYLLKGGFWLVRLIFGGSSARNTTT -RQYQIYPSVESALTDQPIFGNSTGTQSVTVPICHITEVVNPNAESNNLPPPTTGAQPQPQPPAPIEEILL -PLAELTGQPGVPPLYTFDGSSYTPPTNWLGSTILLTGIPAHKRVTGNLAKFGVTNLQMSKVAATALEIYD -FTDFGVFFGTGSYLSEGGIHTGKTLIYSLMSGQTPNPWLAANQSGTTWYMPSWAGFPQPGQGDYFLQMQD -VTDTTTHTTSVNVYFLVAYRQSRRLIAFFNTGGTARPAPTSMLCLYNVDCGRAPQTPYPTFQSTLQSLNQ -IGVDAKSDPDSDDDISLAGSVIGDEFDSVDHLEREREDLMRRLRDLDLRRFQI - ->NP_059949.1 capsid protein precursor [Turkey astrovirus] -MSAPAGKAGPKAQKKCKVVTQKTKTVPKKTKQQKPRKVRLQKVERQVKTLKAKTRGPKISDTFSTVVTVG -RIIGNNDDSLTRQLKVFVNPLLMKNQDSGSTSSPLSIRASQYGLWKIAKLHVYFTPLAGSANVIGTVSFA -SLEQESGVATAESPDTIKAKYHAEVPIGSRFVWKVPPRMLTGPREGWWNMDSGDDPTNSVGPSISFWTYL -KTILALSTATTTSYLGSLFLIEIRCTYLFSNYAPKPNLAIMVNEKITTTQPVTLTNLSDGSLVMTTTDTR -LCALLDNDRGPRGPNAQTNGPGEKFWAVSTLVVDTVAASIGPWGWLLKGGWWVIRKIFGAANTTSTYAIY -ASVEDAAKDSRIYQPVSGTQTLAAGDVYVTQLTQPNVNEAGSTSLGFSPPTPPAPTGSYLPLPQAAGVPG -IPPQYTFSDGQYTAATTWSGTQLYLTGVPATKTVSGSNEMFGVENNTMSRANIDRVQIYDFTTTGIIFLG -GQNRSGGAIHTAKTLIVALKTATTKAPWLAADATGTNWAMPSWVGFPVPGAGDHFLQMQNSTDRTTHTTP -VGVYFLVAYGATNKLVAFWAAPTDVQAEPTSLMTLYNVDAGRAPITAGLNFTTAMTEYSDDDDDAESDIS -SLFAPDEVDWKFKIEASSSRHLEEELQFWKSKATQLMLEKN - diff --git a/seq/clusters_seq/cluster_834 b/seq/clusters_seq/cluster_834 deleted file mode 100644 index ad97579..0000000 --- a/seq/clusters_seq/cluster_834 +++ /dev/null @@ -1,226 +0,0 @@ ->YP_009361829.1 polyprotein [Diamondback moth iflavirus] -MTTTNANEMKTDFEIIPVINRFRQSDYQNEDIDGLLDDDFPIYDRIIDEHTPDGVTRETFPSVRDDNTTP -CGCPGANADLYTMPWYGKQLFVPQTPSWEDDASCRYCFGPIDCWKCWACSGCCQCTTKDDAIWSKNMGRV -LGQGGLEYTDACWCAVQSSDCLACEPLFSVFLASGADPELYAYDGSLLFDALRHNGNFSFRVFTIEKTRR -NNTWFDRFACVKYHGPYNKPATQHVIYYSAIAAFRHTITGPLVPRDVYFNYHLTDDTVNDVIKDYETPVS -EQTGNRVASLATLSALCVHRLHNVIEDDHPQFTKMLLRRYLEEFRVHEGYLLPIQAAKEGLIGCNLSPTN -NDLKLVNLVTACGDEDTAAGELSIEQDTHENTTLAESTDASTAYVASEEFSMMPWITDGPHRYPDLTERW -TKAFQFQWTTSQTQGEIYQRFDLPIEAIQNFINSPNALPWRQHAFYKSDIELKVQVNSQPGQSGYLIIGV -MYEASAGTAIGDRIDHAANIVAMPHMRISAGASNSGDMVIPYIRHFPVGCVLNNAFDVPQYFATLFVSPL -LQLRTGADGPQVVDVTIMVRFPNCEFFGQRTTEQIVTAQGWVADLTQDGDVESNPGPFLAGLLGAVSTIG -KVIGGAGSSIGAIANGIGSMGSAAGTVIGGVESLLRPMFPKKDMDRPQNILEPTNFYLQQNTSLSLATGT -NNVKLLQLQAENSVSHPPGFVPVDDQFNNRFITSVFGMADYFQWSSGSPSGTLLYTFEVSPLKSFTQDAT -ILPEFYLTPTAGLASQYGGYHGDLEMRLTFAVSKFHSGRVFIVYSPDVEPRYSDIGAYYSVLLDVQDQSV -YTFKIPYQAPTPYAPLFESLQGDGGTFVLPPTAPGIGRYMAYGYVSIFVENQLRVMQTAAPTIDVLVELR -GADNFHLVLPAGGKYRSLPTTRTPVVTAMGDERREPHMVNPAPKSVMPVWAAHLNESYDCRDVVKRYHDW -FDIVSPAIVAGRGLPDTYINVTVFHVPVPSFSAAPVQTVQFVDMSLDSTILNRSMILARERINLATNNTI -SIRVPWTNYASMISNSINPASGRTNTMAPYSNGRVVVYVEYLSSYTTTLGTFRVVWDVTAGSASTRPNTY -TPDMLTLLHDGFRFSKGDFNYQLDFTPVPSGANTALRLRCYRAYGDGGNLYVFQGFPKMYGSYQPLQAIR -GATPTIGGLLRQNKILGGERDLTRDGDVESNPGPTHSKPTGAQPPPEDILTEEEREGLEGERIGISFLER -IGDYCKQVLGWTGERIIKFIQDLRRVKKYVGSIPGISRISELITTIRELRVVAGGLNRISAAVEELNGQI -RQTRERVETFVGGVRGGISAMDMGDLVSNGIEYVAYILNIYNSRSVGMTLINVAALLSKMGLGKYIVNDL -VDRLGTFAKGEDTDEVEREYTSLVITGILGALGLGSMNVEKEGFMKPFLSNVKDFFRNGFAVKKFLDSHF -KCINDICGWVKMKLFGKVEKGGLTADLLVWCERVHVLAEVYNYDTILNDPEFAETLMSLQDEAFEFDRLF -IASRIRPPNQYSIYRTKLQKAIDLLGQQGTMQKSKPVPFCLWVYGHSGCGKSHVCDNVLTEIGNALGVNS -ANPIYTRSPDVEFWNGYTGQKLISWQDFAKITTGETYRKQVSELSSLIEPTPFNPPFAALEDKRKIADAW -AVYVSSNKSFPDVQNIGMNDSALFYRRRHALVKMRVNPDLIEEYAARGIVLKDTYAGQTVYYPQNIPQED -YDSKGPYFHVQFAFHATSLSTAEPTEWLGYDEFIAEITKRAVDHRTSEVHACIQRRGIYSKLRRTAPTGV -EFREEVKTLKEEMDRLARERTAEAQGSDKAVKNLATTIDYEQRETFEVTEGLGAVKLQYRKDPESCDHSH -EVRPKPSASNDSRTIFEVVYCDECNYVTKKKEVIAEVHAGHVEGTAIPSPLGGVVIMEKSESARLPRAGR -QYTPLDYARQATLGTLKWIQGKGLPEGYAYWSEIMPVCCHKKEILDLAYFDNVAGNIELVLDMSNLTQNH -GLAFPLFHRLEWFDLRKMNYFAPNGFKVILPVTCEQSKFNWNNCLEMNMNGKNHHVGYPSHQLGSRSSWF -GTLEDFKRSWACNAVERPSVSYYKIEKDLIRFLISGTYIQVDGIENMVSGLNHLMSLHTKDTVAFCNSFF -YWKSGGKTRAAKFYPFVNGLLKDISFVFSNILLGVGAIMLAYKVYRLIRNSSECVEAQAKDYDQKTEAPK -IPKVNFVQSTPIVVAAKGDEELSVIHNSLCQLRKGSMRLLGIRLCSNFILAPQHLSWVPGEFGISLHNNG -VWSTEFTFEAKNVKYSCVKGFDYAVYQFVTLPAGRNIINYFTTRAQGATLKSDATLITLANTSLQLRSVR -INQYTGPLTYDNASFPGKGTSMIGWQYWLGSQSLRCGSLVMSNNLLCGFHVAQNLKTGDAYAISICKEML -VDALKSLGATPFDMKMTRTTPKTTIGEPKMQPSESAVVIPVGKAIDPVRYSGKSNLEKSIVHGELAEPFR -FPAAQCATATGECIGYDIVMKGCEKQFKPPRPIDTEEVRKIGDYLIERIVPQSKPLIPTNSEPLSLGEAI -AGIDGIPLMCSMKLNTSLGWPLCNEFPKGTKKSNIIQVDKEQGKISIDAKAFDDYAKAHALRKEAMLPPT -TFMDFPKDELLKPGKDTRLINGAPLHHTLDMRRYLMEFFAAITTINNKIAVGIDVHSGDWALIHGGADDV -VDEDYSGFGPGFHSQWMDVVRRIAVAWCKHHKNVDEEYENVVNCLIMELQNAYHVAGDLVYQVLCGSPSG -AFATDRINSLANLCYHCLCYLRKYGTLTGFWSHYALVYGDDTRRRETAYTGDEFQECMAGIGIVVNRDKS -GVTSFLKRQFIPVEHRDVRVMLAPLPRPIVEDILNWVRKPYTSKLSALEETVGSYLSEIFHHGQDVFHNS -RSQIQTILAKHGSHPELPTFDDLFRQKYLNNGVWPVMMPLANALESTPTAESGSSRKVTSGQPVNAPHEA -GE - ->YP_009010984.1 polyprotein [Spodoptera exigua iflavirus 2] -MSLSKFAMSSIKEYTLVPKIKEFRQPEHIGEKIDNMFDDEFSRFNCKVTEHLVESDAMQDLIKDDNTTPC -GCCGSNADVYTMGWYGRQIFVPQKPDWAGEPNCCSCSNMRSCWKCLNCSSCCDCATTNDCDWSRSMSKQI -GPDGVEYTSVCWCPVQAADCMACKPFFNVFHTWGEDPELWHCDDHFIDALKFNGNFSYRAYALDKQCRDY -EWQDVFLCIRYHGVCNKPRTYHVLYYTNPLLSRFTVVGPTVPREIYHNGFRTDVVTSKVIPGKTTPAVEM -NRVRPSSLGTMAALSTHRVFDLLPSQEREFAARLLKRYLNNFRVSDGYLLPIPAVSCHETVPPHNFSQAV -QLIACADDRDGPEVRDTIIQNQQHNVDLAETTEPSTSHVANVEFGVLPYFYDECHTYPDLTERWVRFTDF -QWTTNQAAGSVLFRYDLPLDAILSTQNTPNALPWRQHAFFRSDMEVMLQINSQPAQSGIIVMGTMYNASE -LTGASARVTDVAHVMQMPHIELQAGASNSGILKIPWMRHFPISPTLDSNWDYPLYYHTLFIAVLAPLRTG -ADGPITADLVLQVRFPNLEFYGMRNTVEVVSPTFLTATAWERDLTEEGVEPNPGPIFGTALAILGGIKTA -ASTISSVAGTVSNIASSIGSFGSKAKNVVDSTEGLLRKVYPKQDMDRPQDIREPVNMYLQQNTSLALASG -VNKLKLLQLQAENSVTHPPAFVPSDEQFNSAFVTQVFGQVDLVQWSTNQVRGTRIFNWPVTPINGKVINS -SVSTAARFIPTPMGAISSYYSGYHGNMLMRLTFAISKFHSGRVYIVYSPDQDPNPDNVGALYATLLDVQD -QSQYTFVVPYQVPSTFAPIYADPRGFTVADNSDILTGLPFYGYVSIYVENELKVMETASSTIDIVVELAG -APDFALQLPCGSPLRNLPSVVPTTLEATGMEGEPVEQQVDQGDERTKVMDASTPLRVTYPAWALNLNETY -DIRDVVKRYTTWFSRDTHPSNTRAETLQFFQVSVYHSPLSSINLNTPIINPTWTRTEAADLTAILSSSQM -IACERINFNTNNTISFRVPWTTNASILSNGIDPTLRLNQLPAYCNGRVHVILEDGVSSFQHISWDVTLGS -AGQRPIGFRPDLITTLHDSFRYCKSDFNYQLDFTISPSRNINTFGLTMRVRRAMGDGGNFYIFQGFPSYN -NVFIPGVNLIGGRSSPAGTRGIEGLKSPHTRVFSQPRAYVSPTGIVIRPDPIRDLTKDGDVESNPGPVFS -RPEAGPSSDPPGSHQPDPESEYFTTEEQEEMGRAGMTRRLYNKVSSYLRTVRRMPRDTVDEFWRRYEEKK -RIVVDLTDSVRTVSEFVKHVEDSNMDKQKFERAFEAFESIGKASENFNNIYDKFSTPAEASVALMEQSLR -YGTFIINVWQSNSIYQTLLNIVALLSEMGACRLVASHIVEYFSTTAMADGDEEDELSRGWTSLVISGILA -TLGVSAVVIKGSNIYDGLLKETKEYFRTGFNVKKFLDSHFKCIKELWSWVKFKVFGGETAPNTENMAEDL -TVWMEKVHTLTEVYNFEHLLSDPQFAQRVMDLQDESGKFDIQFAISKRPAPAMYSVNKGRLQRAIDTLGK -QGGLRRNRCTPFCIWVYGASGAGKSHMSDELLINVGEVLGVRSNDPIYYRSLDVEYWNGYNQQKLIAYQD -FAKITTGEDFKRHVSELSSLIEPYPVNPPFAELEDKKRIADAVAVLVTSNHAFPQVQNIGMEVDSLFFRR -RHVLMHIRFKEEIVERYRAKDPPIELVGTSGNQIVYYPNKILDSDRERYGEYFYLEFGFHTSGISRTAPV -TYVSYQEAISRVRDEALLHRQKELKSLERKGPLYARLKPEHEITGNEFRERIFTATADEVPRPIDIDLLE -MEDVQVNDATSGRMQIRLHPTGSTTCDCHSEIDGRIKTRFYCKSHYPGAQHQVITKEVLREAIESEDPIS -APPLLAFVDEKTIPNNPRDPTGLLMNWFNTRYARRLPEGYQYWHNISLSCCHQPNILQRAYFENVGGKVE -VVLDYYLSERDLPNCSIWSSSTKEHCKIVLTPACPVSVYNSLVKLKNHPFAGDLEFSQSDRVGALGLKLL -RDSAKCRCDLSKPFRGFCVVDGVVRMYARGTLFELDDPDVQAAALNQCFGIVGTTTEDVIKTFFAYEYSG -KERWRHYTRRALSGLSDIITTLKYVAIAGAAIWTIYKIYKSVAAMFAMQATASQSGDIRRTDNVKNPPLR -FTPAKAAAVTLTATGEEKIIALSNNFVKVSKGRLTMWGIRLCHDFVMVPYHLLVSSGDLEIQTLMSGVFG -PPIKFTDAELRIAQLQGEHDALDLAVVKLIGLPAAKNIVNYFCSEREAGSLKSLACWIKPVGERVTWGDS -TIQPYYCTNVSYSNPGMEKMKTELLGFKMKNYNNPHKCGTLIMSNDVICGMIIAATTLAGESFAVSVSRE -MVVEGLRVLGATPFDMKMKITPIDTVEGTPKMLPPEDGDFILVGKAGKGLTYAGKTRLTPSIIQGEISEP -FRFPAAQKLEATGERTGFDVVMNGCRRQFNRPKTIARDEVEKITEYLIERIVPSSPPTRMIGAKPVDLDT -AILGIPKTRYSAMKLNTAIGWPLMCKFPKGQKKSDIIKISEERTEVKIHKYALEEYASANEGRKRAVCAP -TVFMDFPKDELLKVGKATRLINAPLHHTLDMRRYLGEFLESIVHLGNKIAVGIDVHSTDWVEVNAGQNDV -VNEDYKNFGPGFHSQWIDVISEIAVAWTKRYKTNDPEYENVIRCLFRELQNATHIAGDLIFQVLCGSPSG -AFATDRINSLANICYHCLCYLRKYSTLVGFWEYYLTVYGDDTRRSGKAYSYEEFRDCMASIGITVELDKG -GNTFLKREFRPYSYKGINILLAPLPLPIVGDIVNWVKKPIFDPVSALEQSVDSYLSEMFHHGQERFDTAR -SQIQEIFARFGRNPELKDFNTIWVEKYGKVGILPTGSYVDERGEILKHGIAGTFLGDPNSFEDDEGYSVE - ->NP_919029.1 polyprotein [Ectropis obliqua picorna-like virus] -MMTTQTNQLFNRSLNDELGNRTDTITLHPWNVSEYVTSTGLAEAEFEACTGKDLEIQKYLVTVSEECPCG -HPTTFSEIDMSRGMVMASSDNIYSDDIVTLVPTENGYEDSDPCFCENQKEDCDNCMYLQILRMAPGKWNM -TYGLRHNHQAQLTYYLTEERGFTCEGYTLEADTSDSEGFGDTADYTLYCIQYSGKYKQRRQWHVLLDDES -CGFCKYRVTTGLIVPEVPRHWTNELGRRQNLLVPKVLGQYVDFIEAKSPLRLDWLSMSKLVSGKCSLPNF -YVNLTTLRTFQVAGGKFLPYLYNGSAANNDLKLPIQVTAQGDEDTPAGELSIEQDTHENTTLAESTDAST -AYVATEEFSMMPWITDGPHTYPDLTERWTKAFQFQWTTSQAQGEIIQRFDLPIEAIQNFINSPNALPWRQ -HAFYKSDIELKVQVNSQPGQSGYLILGAMYEASEGTAIGNRVDHAANIVAMPHMRISAGSSNSGDMVIPF -IRHFPVGCILNNAFDVPQYFVTLFVAPLLQLRTGADGPQVVDVTIMIRFPNCEFYGQRTTEQIVTAQGWA -PDLTQDGDVESNPGPFLSGLLGTVAAVGKTVAGAGSSIGSIATGVSGVANGIGSIGSAAGKVIGGVESLL -RPLFPKKDMDRPQNIIEPTNFYLQQNTSLSLATGTNNVKLLQLQAENSVSHPPGFVPVDDQFNNRFILSV -FGLSDYFQWFSGSASGTLLYSFDVTPLKSFTRGIPLQPEFYLTPMAALSGQYGGYHGDMEMRLTFAVSKF -HSGRVFIVYSPDVVPTFDNIGAYYNVLLDVQDQSVYTFKIPYQVPTPYAPIFESLQGDTGTFLTPAVSAG -NVRCMAYGFVSIFVENQLRVMQTAAPTIDVLVELRGADNFHLVLPAGGKFRSLSTITTTEAPVVTAMGDE -RREPHTVNPPPRRIMPVWAAQLNESYDCRDVVKRYHDWFDIVSPAVVAGRGFTDMYMNVTVFHVPVPAFD -AAPIQNVQFTDMLVGSSILNKSMVLARERINLATNNTISIRVPWTNYASMISNSINPASGRSNTMAPYSN -GRVVVYIEYLSSYTTTLGAFRVVWDVTAGSASTRPDTYTPDMLTLLHDGFRFAKGDFNYQMDFTPVPSGA -NTAIRMRCFRAYGDGGNLYVFQGFPKMLGTYTPRQAISGVTPTRGGLQRQNIIGGGQRDLTQDGDIESNP -GPTQSKPTGAQPPPDDILTDEDREGLEGGSIRISFFEKLGDYCKRALGWSGERIVEFIRELRSIKKHVGS -IPGMTRISELITTIKELSVVTNGLNRMSAAVEELNEQIKKTREKVETFVGGVGGKLNSLDTGDLVSNGIE -YVAYILNIYNSKSVGMTLINVAALLSKMGLGRYLVNDLVDRLGTFAKGEDTEEIEREYTSLIITGVLGAF -GLGTMNVEKEGFVKPFLSNVKDFFRNGFAVKKFLDSHFKCINDICGWVRSKIFGKVDKGGLTVDLLVWCE -RVQVLAEVYNYDTILNDPEFAETLLSLQDEAFEFDRLFIASRIRPPNQYSMYRTKLQRAIDLLGQQGTMQ -KSKPVPFCLWVYGHSGCGKSHVCDNVLTEIGSALGINTANPIYTRSPDVEFWNGYTGQKLISWQDFAKIT -TGETYRKQVSELSSLIEPTPFNPPFAALEDKRKIADAWAVYVSSNKAFPEVQNMGMEDSALFYRRRHALV -KMRINPEIIQEYARREPPISLEDKYKGQTVYYPSNIPSEDFATRGPYFHVQFAFHVTSLSTAEPTEWLGY -DGFIAEVTRRAIDHRQREVDACIQRRGIYSKLRRSAPTGVEFREEVRTLKEELDRLTQERVAEAHGSDNA -VKNLATTMDYEKRATFEETEALGSVILQYRLDPNCDCTNQAIMKAATSNEPRKICEVSFCEKCEPVNQRK -KNVYKAMSEQLEGVAVSNPFGGIAMIERGDSARIPRAGRQYTPLDYARQATIGTLKWIQGKGLPAGYAYW -SEIMPVCCHKKEILELAYFENVGDNIELVLDLSSMKARHGVSFPLFHKLEWFDLRKLDAWATTGFKVILP -VVCEQSKFNWNNCIEVKPGERGRIPITPKWAPELIGRVTLEEFKRSWSCDAVQKPSVSYYKIEKDLIRFL -VSGTYVQIEGVENMTAGLNHLMSLHTKDTVAFCNSFFYWKSGGKLRAAKFYPFVNGMLKDMSFIFSNIMI -AVGAVMLAYKVYRLIRNSSECVEAQAKDYDQKTEAPKIPKVNFVQSTPVVVAAKGDEELSIIHNSLCQLR -KGSMRLLGVRLCSNFVLAPQHLAWVPGEFGISLHSSGAWSTELIFEATSVKYSCVKGFDYAVYRFVTLPA -GRNIVNYFTTRAQGATLKSEATLMTLSGASLQLRPVRISQYTGALTYDNASFPGTGTSMIGWQYWLGAQS -VRCGSLIMSNNLLCGFHVAQNLKTGDAYAVSICKEMLVEALRILGATPFDMKMKRTTPITTVGEPKMQPP -ETAVVIPLGKAIEPVRYSGKSNLEKSIMHGELAEPFRIPAAQSATAKGECIGYDIVMKGCEKQFKPPKPI -DPEEVEKIGDYLIERLVPQSIPLIPTISEPLSLGEAIAGIDGIPLMCGMKLNTSIGWPLCNEYPKGTKKS -NIIRVDREEGEVHVDVVAFDDYAKANTLRKQAILPPTTFMDFPKDELLKPGKDTRLINGAPLHHTLDMRR -YLMEFFAAITTINNKIAVGIDVHSGDWALIHGGADDVVDEDYSGFGPGFHSQWLTVVCPIAVAWCKHHKK -VDKEYEDVVNCLIMELQNAYHVAGDLVYQVLCGSPSGAFATDRINSLANLCYHCLCHLRKYGTLTGFWSH -YTLVYGDDTRRRETAYTGDEFQECMASVGIVVNRDKSGVTSFLKRQFIPIDHRDVRVMLAPLPRPIVEDI -LNWVRKPYASKLSALEETVGSYLSEIFHHGQDEFNNSRSKIQAILARHGSHPELPTFDDLFRQKYLSNGV -WPVLMPLANALGPIPAAESEPTHKVTSGQVVCVPHEAGECCAITLGG - ->NP_277061.1 polyprotein [Perina nuda virus] -MMINPQQLCKKTLSDELCDRTDTITLHPWNVSEYVTNTSLDVAEYQACVGKELEMQKYLVTATEECPCGH -PTMFSEIDMSRGMVMSSRDNLYSDDLVNLVPIEHGYEQSDPCFCGNQREDCENCMYLQILRMSPAKWNVT -YGFNHNHQARIVQYLTVERGFACEGYTFESDTSDSEGYGETSDFTLYCIQYSGKYKQRRQWHMLVDDETC -EFCHYRVTTGLIVPEAPRHWIAELGRRQNLLVPKVLGQYVDFIEAKSPLRLDWLAMSKLAGSKCTLPNYY -MNLTTLGLYRVAGGRFLPYLYDELAASNDHKLPIQVTAQGDEDTPAGELSIEQDTHKNTTLAESTDASTA -YVATEEFSMMPWITDGPHVYPDLTERWTKAFQFQWTTSQAQGEIIQRFDLPIEAIQNFINSPNALPWRQH -AFYKSDIELKVQVNSQPGQSGYLILGAMYEASEGTAIGNRVDHAANIVAMPHMRISAGASNSGDMVIPYI -RHFPVGCILNNAFDVPQYFVTLFVAPLLQLRTGADGPQVVDVTIMIRFPNCEFYGQRTTEQIVTAQGWVP -DLTVDGDVESNPGPFLSGLLGTVAAIGKTVASAGSSGSIASGVSGVANGIGSFGSAAGKVIGGVESLLRP -LFPKKDMDRPQNIIEPTNFYLQQNTSLSLATGTNNVKLLQLQAENSVSHPPGFVPVDDQFNNRFITSVFG -LSDYFQWTSDAASGTLLYSFDVTPLKSFVRGIGTQPTSYLTPMAALAGQYGGYHGDLEMRLTFAVSKFHS -GRIFIVYSPDVVPTFDNIGAYYSVLLDVQDQSVYTFKIPYQAPTPYVPLFEALQGDNGVFVLPPGGPSIN -AVCMAYGYVSIFVENQLRVMQTAAPTIDVLAELRGADNFHLVLPAGGKFRSIATTATAEASVVTAMGDER -REPHTVNPTPRTIIPVWVAQLNESYDCRDVVKRYHDWFDIVSPAIVAGRGFPNIYVNVTVFHVPVPAFDA -VPIQRVQFTDISIENSMLNKSMVLARERINLATNNTISIRVPWTNYACMISNSINPASGRVNTMAPYSNG -RVVVYVEYLSPYTLTQGVFKVVWDVTAGSASTRPDTFTPDMLTLLHDGFRFAKGDFNYQLDFTPAPCGSN -TAIRLRCYRSYGDGGNLYVFQGFPRMIGNYVPRQAISGITPTRGGLRRQNIIGGGQKDLTQDGDIESNPG -PTQSKPTGTQPPPDDILTDEDREGLEGGRIGISFFEKLGDYCKRALGWSSERIVKFFKDLRSIKKHVGSI -PGMTRISELITTIKELKVVSDGLNRMSAAVEELNEQIKRTRDRVENFVGGVGGKLSSLDMGDLVSNGIEY -VAYILNIYNSRSVGMTLLNVAALLSKMGLGHYIVNDLVDRLGTFAKGDNTDEIEREYTSLIITGVLGALG -LGTMNVEKEGFVKPFLSNVKDFFRNGFAVKKFLDSHFKCINDICSWVRAKIFGKVDKGGLTADLLVWCER -VHVLAEVYNYDIILNDPEFAETLMALQDEAFEFDRLFIASRIRPPNQYSIYRTRLQKAIDLLGQQGTMQK -SKPVPFCLWVYGHSGCGKSHVCDNVLTEIGSALGINTANPIYTRSPDVEFWNGYTGQKLISWQDFAKITT -GETYRKQVSELSSLIEPTPFNPPFAALEDKRKIADAWAVYVSSNKPFPEVQNMGMEDSALFYRRRHALVK -MRVNPDIIDEYAKRTPVISLVDKYKGQTVYYPSNIPSEDFTSRGPYFHVQFAFHATSLSTTEPAEGDWLG -YDEFIAEVTKRAVEHRQREVNACIQRRDIYSKLRRSAPTGVEFREEVRTLKEELDRLAQERVAEAHGSDK -AVKNLATTMDYDKRSIFEETESLGAVTLEYRLDPNCDCTNKAVMKASTSGDSRKVFEVSYCEGCDPVRQR -KSKVCKATEEPLEGMAIPSPFGGIAMLERSDNARIPRAGRQYTPLDYARQATVGALKWIQGKGLPEGYAY -WSEIMPVCCHKKEILELAYFENVGGNIELVLDLSSVKMQHGLAFPLFHKLEWFDLRKLNAWAPNGFKVIL -PVVCEQSKFNWNNCLEINGQRVGYPSHQLGSRAEWSITLEDLKRSWSCDAVQRPSVSYYKIEKDLIRFLV -SGTYVQAEGVENMTAGLNHLMSLHTKDTVAFCNSFFYWKDGGQKRAAKFYPFVNGMLKDMSFILKNVMLA -VGAVMLAYKVYRLIRNSAECVEAQARDYDQKTEAPNTPKVNFVQTTPVVVAAKGDEQLSVIHNSLCQLRK -GSMRLLGIRLCSNFVLAPQHLAWVPGEFGISLHSNGAWSSELIFEATSVKYSCVKGFDYAVYQFITLPAG -RNMVNYFTTRAQGTILKSDAALITLAGASLQLRSVRINQYTGPLTYDNASFPGKGTNMIGWQYWLGSQSV -RCGSLIMSNNLLCGFHVAQNLKTGDAYAVSICKEMLVDALKALGATPFDMKMKRTTPVTTIGEPKMQPPE -TAVVIPLGKAIEPVRYSGKSNLEKSIIHGELAEPFRIPAAQSATATGECIGYDIVMKGCEKQFKPPKPID -PEEVEKIGDYLIERLVPQSTPLIPTSSEPLSLGEAIAGVDGIPLMCSMKLNTSIGWPLCNEYPQGTKKSN -IIQVDKERGEIHIDAVAFDDYAKANALRKQATLPPTTFMDFPKDELLKPGKDTRLINGAPLHHTLDMRRY -LMEFFAAITTINNKIAVGIDVHSGDWALIHGGADDVVDEDYSGFGPGFHSQWLTVIRRIAIAWCKYHKKV -DKEYEDVVSCLILELQNAYHVAGDLVYQVLCGSPSGAFATDRINSIANLCYHCLCYLRKYRTLTGFWSHY -NLVYGDDTRRRETAYTGDEFQQCMASIGIIVNRDKSGVTSFLKRQFIPIDHRDVRVMLAPLPRPIVEDIL -NWVRRPYNSKLSALEETVGSYLSEIFHHGQDEYNNSRSKIQAILARYGSHPELPTFDDLFQQKYLSNGVW -PVQMPLANALEPFPIAESEPTHKVTSGQTVSVPHEDGELCATVLGG - ->AHX00961.1 polyprotein [Spodoptera exigua iflavirus 2] -MSLSKFAMSFTKEYTLVPKIKEFRQPEHIGEKIDNMFDDEFSRFNCKVTEHLVESDAMQDLIKDDNTTPC -GCCGSNADIYTMGWYGRQIFVPQKPDWAGEPNCCSCSNMRSCWKCLNCSSCCDCATTNDCDWSRSMSKQI -GPDGVEYTSVCWCPVQTTDCMACKPFFNVFHTWGEDPELWHCDDHFIDALKFNGNFSYRAYALDKQCRDY -EWQDVFLCIRYHGVFNKPRVYHVLYYTNPLLSRLTVVGPTVPREIYHNGFRTEVVTSKVIPGKTTPAVEM -NRVRPSSLGTMAALSTHRVFDLLPSQEREFAARLLKRYLDNFRVSDGYLLPIPAVSCHETVPPHNFSQAV -QLVACADDRDGPEVRDTIIQNQQHNVDLAETTEPSTSHVANVEFGVLPYFYDECHTYPDLTERWVRFTDF -QWTTNQAAGSVLFRYDLPLDAILSTQNTPKALPWRQHAFFRSDMEVMLQINSQPAQSGIIVMGTMYNASE -LTGASARVTDVAHVMQMPHIELQAGASNSGILKIPWMRHFPISPTLDSNWDYPLYYHTLFIAVLAPLRTG -ADGPITADLVLQVRFPNLEFYGMRNTVEVVSPTFLTATAWERDLTEEGVEPNPGPIFGTALAILGGIKTA -ASTISSVAGTVSNIASSIGSFGSKAKNVVDSTEGLLRKVYPKHDMDRPQDIREPVKYVSPTNTSLALASG -VNKLKLLQLQAENSVTHPPAFVPSDEQFNSAFVTQVFGQVDLVQWSTNQVRGTRIFNWPVTPINGKVINS -SVSTAARFIPTPMGAISSYYSGYHGNMLMRLTFAISKFHSGRVYIVYSPDQDPNPDNVGALYATLLDVQD -QSQYTFVVPYQVPSTFAPIYADPRGFTVADNSDILTGLPFYGYVSIYVENELKVMETASSTIDIVVELAG -APDFALQLPCGSPLRNLPSVVPTTLEATGMEGEPVEQQVDQGVSEPRLWMTSTPLRVTYPAWALNLNETY -DIRDVVKRYTTWFSRDTLSSNTRAETLQFFQVSVYHSPLSSINLNTPIINPTWTRTEAADLTAILSSSQM -IACERINFNTNNTISFRVPWTTNASILSNGIDPTLRLNQLPAYCNGRVHVILEDGVSSFQHTSWDVTLGS -AEQRPIGFRPDLITTLHDSFRYCKSDFNYQLDFTISPSRNITTFGLTMRVRRAMGDGGNFYIFQGFPSYN -NVFIPGVNLIGGRSSPAGTRGIEGLKSPHTRVFSQPRAYVSPTGIVIRPDPIRDLTKDGDVESNPGPVFS -RPEAGPSSDPPGGHQPDPESDYFTTEEQEEMGRAGMTRRLYNRVSSYLRTVRRMPRDTVDEFWRRYEEKK -RIVVDLTDSVRTVSEFVKNVEDSDMDKQKFERAFEAFESIGKASENFNNIYDKFSTPAEASVALMEQSLR -YGTFIINVWQSNSIYQTLLNIVALLSEMGACRLVASHIVEYFSTTAMADGDEEDELSRGWTSLVISGILA -TLGVSAVVLKGSNIYDGLLKETKEYFRTGFNVKKFLDSHFKCIKELWSWVKFKVFGGETAPNTENMAEDL -TVWMEKVHTLTEVYNFEHLLSDPQFAQRVMDLQDESGKFDIQFAISKRPAPAMYSVNKGRLQRAIDTLGK -QGGLRRNRCTPFCIWVYGASGAGKSHMSDELLINVGEVLGVRSNDPIYYRSLDVEYWNGYNQQKLIAYQD -FAKITTGEDFKRHVSELSSLIEPYPVNPPFAELEDKKRIADAVAVLVTSNHAFPQVQNIGMEVDSLFFRR -RHVLMHIRFKEEIVERYRAKDPPIELVGTSGNQIVYYPNKILDSDRERYGEYFYLEFGFHTSGISRTAPV -TYVSYQEAISRVRDEALLHRQKELKSLERKGPLYARLKPEHEITGNEFRERIFTATADEVPRPIDIDLLE -MEDVPVNDATSGRMQIRLHPTGSTTCDCHSEIDGRIKTRFYCKSHYPGAQHQVITKEVLREAIDSEDPIS -APPLLAFVDEKTIPNNPRDPTGLLMNWFNTRYARRLPEGYQYWHNVSLSCCHQPNILQRAYFENVGGKVE -VVLDYYLSERDLPNCSIWSSSTKEHCKVVLTPACPVSVYNSLVKLKNHPFAGDLEFSQSDRVGTLGLKLL -RDSAKCRCDLSKPFRGFCVVDGVVRMYARGTLFELDDPDVQAAALNQCFGIAGTTTEDVIKTFFAYEYSG -KERWRHYTRRALSGLSDIITTLKYVAIAGAAIWTIYKIYKSVAAMFAMQATASQSGDIRRTDNVKNPPLR -FTPAKAAAVTLTATGEEKIIALSNNFVKVSKGRLTMWGIRLCHDFVMVPYHLLVSSGDLEIQTLMSGVFG -PPIKFTDAELRIAQLQGEHDALDLAVVKLIGLPAAKNIVNYFCSEREAGSLKSLACWIKPVGERVTWGDS -TIQPYYCTNVAYSNPGMEKMKTELLGFKMKNYNNPHKCGTLIMSNDVICGMIIAATTLAGESFAVSVSRE -MVVEGLRVLGATPFDMKMKITPIDTVEGTPKMLPPEEGDFILVGKAGKGLTYAGKTRLTPSIIQGEISEP -FRFPAAQKLEATGERTGFDVVMNGCRRQFNRPKTIARDEVEKITEYLIERIVPSSPPTRMIGSKPVDLDT -AILGIPKTRYSAMKLNTAIGWPLMCKFPKGQKKSDIIKINEERTEVKIHKYALEEYVSANEGRKRAVCAP -TVFMDFPKDELLKVGKATRLINGAPLHHTLDMRRYLGEFLESIVHLGNKIAVGIDVHSTDWVEVNAGQND -VVNEDYKNFGPGFHSQWIDVISEIAVAWTKRYKTNDPEYENVIRCLFRELQNATHIAGDLIFQVLCGSPS -GAFATDRINSLANICYHCLCYLRKYSTLVGFWEYYLTVYGDDTRRSGKAYSYEEFRDCMASIGITVELDK -GGNTFLKREFRPYSYKGINILLAPLPLPIVGDIVNWVKKPIFDPVSALEQSVDSYLSEMFHHGQERFDTA -RSQIQEIFARFGRNPELKDFNTIWVEKYGKVGILPTGSYVDERGEILKHGIAATFLGDPNSFEDDEGYSV -E diff --git a/seq/clusters_seq/cluster_835 b/seq/clusters_seq/cluster_835 deleted file mode 100644 index fd0d4bb..0000000 --- a/seq/clusters_seq/cluster_835 +++ /dev/null @@ -1,70 +0,0 @@ ->YP_009179404.1 NS3-like protein [Wuhan flea virus] -MDKEIRIKSPSGLIHLVDYFTLILSITLLTALTQATRLFAPYLAITYIVDRMTMRWEKTEARSAAWFIII -SSAATGFHMAGPPALMLISLWDQKKLIMGEWFVTQSITAIIAIVGDWTVPLSMPLAGGNGLSPIMIIRDF -MDVKIQELRNNLDWGLCTEVTYLRPNGLAEYPIELSSRPALRAKETVAKYKELSQQTRLAILGVFLVAIF -MVAGPVGLFIGIVMLGIAQMEKEDDNERYFEKNAGLKFKNGTYRVSTHVLGFEADRGIGTAHNGVLHVPF -HVTKGRPVLNGKAKVFPYYINIHQDIVTYGGPQQVDILAPGDEVYINCETDATRTSYRVKVDYESLGNIL -SWQGVTEPGESGSPIWAQREDQLILLGLAGRYVKDRTGTTEFSNVTPTQETRSNYRSITLHPGAGKTWKM -IPQLIQENLTALKGKRILVTGPTRVVCKELHSSLSRMVDTGLNIKDSTARNEHAQVQIAAHRTALKMLIT -KARALRNVGMIIIDEAHYDDPSTKLLRRYARGQEAKGISIVELSATLDGLTNTNSNYDIREEVIGSNDIE -SKAREELAAGNRVMIFVSSIKSKLAQALMINLKEYAPVLLSRDTFEPGMAAVADEERRLIISTDISECGI -NVPGLDVVIDTGVKFGYANIDGIITGVEMGISPASRTQRRGRVGRVKKGTYYEVRTKLRTDYETAAECDA -RVLSVGRNWTDDTVEWPFTLTDQQFEKWLDSDATPLEVWVNYDTQGVKRAPSARTEKWNEIRSGEIYYIG -CRDEECKRCAGTYSTFDERSHKAFYGR - ->YP_009179402.1 NS3-like protein [Shuangao insect virus 7] -MFGQVKLLKLGVIDMRLSMFVTLMIGNCVTTFTSHMKWWAPILYYVLVVEKGLMEWKRKESSTVYYVLMG -LLLFFGIHYLMPTVFFLVLHWDQGKLRKTGPIFDGLFLPIYEWMQEVSIPVAKPLVGVHEGYSTLVRDWF -EDLREGYSNFFYTGFDTRIEFIRDNGVTTTPLPIKDRPALEASRVHSRYAELGYQGRVVLFMLVTMVTFY -FSGPLALLMMLGLVVVGGDSDEPKATFNEKNRGTTFRDGVYHVANTVIGYELTNGIGVGFGGVFHVPYHV -TRGQSIVYGRTRVYPYYVNPDQDIVTYGGPPNVVSYQAGDKVFVNCETIQSRTSYEVQVDVDRMGNVVTW -QGVTKPGESGSPVYAWRDEGMVLLGLAGRYIKEPTGVVEYTNVEPIVRGESMIEQITSHPGSGKTWKIIP -DIVTKGVMSLNGKKVLVAGPTRVVCRELHKSMQNLGIRVGLNIRGSLDRVEAAPVQIAAHRTALKMLVTG -SPLLRNLGLIVIDEAHMDDTATKLLRKYARHLMDMGVRTIELSATLDGITNDGSNHTINDIKIHPTDIEE -CIRERLEDGKRVLLFVPSIHGTLATRVARNFKEHKPIRLSRDTFETAMKEIPDVERQLIISTDIAECGIN -IPELDTVVDSGEKFTYVMEGNIIIGKKVGLTLASRTQRRGRVGRTKIGEYIEVASKHDNDYISASTFDAD -LLAAGRGWATGKTEWPLTLTDNQFRKWLDTDLTPLEIYLDYDGSGLERSENNKRIVHSSIKGGGLNYEGC -GKCELCRGAYYMYDERAHDRIIHGKLRKAVMLN - ->YP_009179400.1 NS3-like protein [Wuhan cricket virus] -MEELLGFLHVSATPASSRVFLSYITATTLCIAASKLNIYMLDYTIIVLFTGAVHGGHSFTGSKQHYIVAI -LFMLSGIPWMAIPIAMAFKSRNNGTKSDTILKIVEVVERAGNMVTEIQPSLVIPYAGSNAGWGEHVYEIA -NYWRMVVMDSLPVLQIKEILNVYAKAAFMRSNGLNDSRIPLAERVDACPINIYAKAKTALALQRGGTLLI -IALACYLTMQDLMFASSVVLYIMLNYLDREAKTFLDVKAKSPPFKNGTYRISYHFLGLEVSYGVGVAFNG -VMHVPYHVSGLTSLRLGLCEYRPYYSSVEEDLTTYGGPPQMVKPLVGQDIYINIETDTSRTTYTTRANIE -LESNVIAWQSVTKPGESGSPVFSMNDTGELNLVGQVGRFYVDMSGSTVGYAKLPDSSSDSSNENSYQVLT -YPGSGKTTKILPERILKHLGEKQNGRVLVCGPTRPVCMEIYKALSEKMKVGLNIKDMPGLRNPFAQVQVA -SHHTAMTMLTESSRELNGMTLMIVDEAHTNYTATIMLRNYGQYLMDNGIEYLEMSATLDGKADRRSRYPI -DDVMLSRADLLARMKEELEKGKRILVFVSSMRSTDAKDTLDGCKGFGILKVSRSSYAQAYPLLNDSTYQV -IVSTEILECGANIYNLDCVFDFGKKFGYVGEGLIIHPVTRNISLASQTQRRGRVGRFKSGEFFYTTEPTD -EQILTDADVDAQILMTGREWAPVCGNDYGIILSDKQFSRVMKNMEMPTWVSLATNSLGMPLTGNQISRRI -NMLRGEKVYNIGCGNSSCPCVGSWNWFDMRIHDWMINTRAKTYLSEL - ->YP_009179389.1 NS3-like protein [Wuhan aphid virus 1] -MITIASHNPTALPHFWLIVLSLLMFSFFEINDLKLPALVIVWYFDQGLRLFGKSDVKYFWYVASLYCIFS -RTSFMIPCFMILCQTIAHERTRDILRFVETSILNVCYYLKDIAIPYARPWMGDNSLPFIQPYLDDLQTAY -ESFLIEMQWTITSTAEFLRSEGVSTAPLDMRDHAPMGADTALLTYQKYSKMAKTSAMFLTTVALVLMLGP -VGICVTLVTYLATLTETDETYTKIDETSKGMKFPSGTYRIRNSLLGFILETGIGVGYNGILHVPYHVCRG -LPINYGKGVIKPYYVSISEDICTYGGPPQFTTPDTTGEIYVNCETDQSRTTYRVDVDISDSASLLSWPGL -TKPGESGSPVYMIRGEKTYLLALAGRYIKDMNSNTTEFARTTICEDSDLEEFDHNVKQVITHPGSGKTRV -MIPNIIMHELPAMKGKTILITGPTRVVCREMHGSLKNKFKVGLNIKGQKHERDPLAYVQIAAHRTALRML -LTGDRILRNLGMVMIDEAHVDDPATMLLRQYARHLSERGVKVVELSATLDDRSDNGSNFTIQDKEIKADD -IIPCIQKALDEEKRVMVFVPSFSDRNTQQIMKHFKTYEPIELSRRNFENAATAVADVNRRLILSTDIAEC -GINVPDLDVVVDTGLKYTYSEECGIISGRKIGLTQASIVQRRGRVGRSKPGSYFFVDVPRQAQIKTAAQV -DSELLATGRNWAMKGGNPWNKMLTDKQFMTWLESDKPPLEIYLTTDYMGMQKNIPDLRRDIQKWRNGKNG -TYYKGCSNSCDKCQGHYEFYDERMHDTVFQVKTHVELT - ->YP_009179379.1 NS3-like protein [Wuhan aphid virus 2] -MKEWRALRPSMVSLPIPHFMAIAGLATITSVIQAYNLWIVGVVLTWYYDQVMCSFNKKETTTLWFFSGLF -TSILGFPILLVAILPLLWLWDQELLDDRYAKLGEWLHNLSIMTTDLNIPTGTPLTGANAEIPGIFSSDSP -LWTYYEDIVRELRWGFDATAKFIREPGVSTTPLDYSAKPALSSTDVYIKYRKYTEGARLSIMVVCLMICC -FTLGALGIVMAMVGIMVMQMEAATSTTSCDERQRGVTFKAGIYRISNYLMGFEYSHGIGVSHEGVLHVPY -HVINGKPLNHGKGRFYPYMVDIDRDLVTFGGPPKFGDPTNHDEIYVNCETMDSRTSYKVKPTWSGNSGTL -AWPGVTRPGESGSPVYAIGENDSLTLVALAGRYVKDENALVTEFANVELVKEEEDKDYIKIVTHPGSGKT -RKIIPQLVREHLPMLKGRKIMITGPTRVVCKEMYEALRKEFQVGLNIKGSDGKRNHFASVQICAHRTALS -MLALGDRAAKGVAMLMIDEAHVDDAATIMLRQFAKAQIGSGMRVVELSATLDGVTNDGSNFDILEKEIKD -RELNGTIKDELEQGKRVMVFVPSVKGKIAQEIVKECKDYAPILLSRDTFEAGIASVADTDNRLILTTDIA -ECGINVPDLDVVVDTGKKFKYVEQGNIIHGADVGIDTAARTQRRGRVGRSKRGRYYYVKTGKEHETPLIT -SAQADASILLTGRAWSNGETNEWGLMLTDKQFRKWMTSEDLTPMEVLLTTDVQGIRLKAADIRKNWDKWK -NEKGTYYTGCDKKTCKQCEGSYRFYDERAHDRLFNTIGKQAKQELD - diff --git a/seq/clusters_seq/cluster_836 b/seq/clusters_seq/cluster_836 deleted file mode 100644 index ae103be..0000000 --- a/seq/clusters_seq/cluster_836 +++ /dev/null @@ -1,34 +0,0 @@ ->YP_009345043.1 hypothetical protein [Xinzhou nematode virus 1] -MSTQQLLVPSEDNRPPKFYNLNTGIQSIRGGQQVGDGFFASAANSFYNIIYHAWALLFAILALLILLSEY -GTSAGPLEILFKALMKFKEDPFAPVILKSIASGILYILGYMITYKMAVGYALLLLVPVMVKPSARNFVFA -AVIILLAFMHYITIIQVLILAILFYLFVMLRTPAHKFFIVAMAVAVFGVGITTGPDSIRFNITSYNLETY -TKPLSFKPNLELFKSLPTTPYSLTKDPQDRDIEDIHKDYYELRNNVFNLLDHIEQLGLDRRAAAASTTTA -ATTSTAHAPSVATSTTTKATEKPKQPVRTGPARGAQAENVKRWKEAGGDELFKP - ->YP_009345040.1 hypothetical protein [Xingshan nematode virus 2] -MASSALMLPSENGRPPKFYDHATGIQTVRGGQQVGEGFFSSVFNSFYNIIYHFWGLTFAVLGIFTLLSEY -GTASGPLEVLLQAILKFISDPDVPIVLKSIASAFAWLLGYMVKYKYVVAYSAILFVPAIVKPSTRNIMFS -FLLIFMAFLHYITILQVLLLSLLFYLFVMLRTPAHKFFVLFMALIVFSVGFTEHQSFLSSKLNLLLPADL -SKPLTFKPNTFLLPDAQSPSARAKRDENEILRHQVAQLMEKISNMHQEMETMRQAASKPNEATTAAPTVL -PRTERHKSS - ->YP_009333288.1 hypothetical protein [Hubei virga-like virus 18] -MSVSAQTSTVTDEVNASTQPAPATNVLNNGNNDRAFRRRGNPRPRTYNEAGIQTVKGGSEIGAGFFDSVT -TSYTNLVNNPIALIFIIVATLGLLSMNHGTLTPLDTMYNAALNKSNSDTTPNAIRSISAGYAWLLSIIIS -FQDFLLPAIFFGGIYIAKPSANNAWLCSAITLICWISRMNYLEVCALGHLTILFTQMRDPIYKLGVLLFA -VVTIIIGFTHMSGYVGLSGLKAVSASSPDPPATTDPPVSPPVEEVFVN - ->YP_009333210.1 hypothetical protein [Shayang virga-like virus 1] -MVVITEMSSSPNVLLPVDSARGPPKFYNQTTGIQTVRGGQQVGEGFFASVLNSFYNLIYHFWGMVFAILG -FSILLSEYGTTSGPLEMILKALMNIINDVHAPVVLRSLATFLAWFFAILVRYKYLMAYVMLLSVPVLVKP -SGRNFGFAVVIMALAILHYITFLQVMILALLFYLFVMLRTPAHKFFIVAAVVAVFAVGLSTDHESMNEKL -KKFNLHDYDRKLTFEPQRLPEEIFEKPPPDPAHRSRRHHHGVLHLEHEVKDLREAMMAMQRDLEMLVQAV -RKDAEKFPEESGQEPVTTTPSAVPDVFQRNQVKVSLQE - ->YP_009333312.1 hypothetical protein [Xingshan nematode virus 1] -MANSALLLPSENGRPPKFYDHLTGIQTIRGGQQVGEGFFSSVFNSFYNIIYHFWGLLLAIFGVLTLLSEY -GTTTGPLEMLLKAIMKFLSDPDVPVVFKSVASALAWLLGYLIKYKYMVAYSAILFVPAIVKPSTRNILFS -GLLVFLAFLHYITILQVLLLALLFYLFVMLRTPAHKFFILFLVIMVFGAGFTDRGPVVDRLNKLVSPDLS -RPLSFKPQVVDVNAAAQGTSRVKRHDDLLADEMLSLSRTVKQIQKDFKDLQRLVLKEFVVTTTPFTPTPA -EIKTK - diff --git a/seq/clusters_seq/cluster_837 b/seq/clusters_seq/cluster_837 deleted file mode 100644 index a4ae21e..0000000 --- a/seq/clusters_seq/cluster_837 +++ /dev/null @@ -1,53 +0,0 @@ ->YP_009342450.1 hypothetical protein 1 [Wuhan house centipede virus 4] -MYPMEDFGDTFSARLYAGVRHVMYDLSASLRGELRPRVWLALAAFSFLLEGIFMAYGQGGPVSLAIHILG -WIGTVWSWVGRWWRRAQIKWEQEQHGYRTMPESVREGSPLLNVTRPNFVVGVFALYKGKKILLGTAWRYR -DNLITAAHVVMEKNFDKILIHIAKTDVYYEAPDWKHVVGDVAIHPMQATWPIPSAKIDTIAKSAFVMAFS -ARDTSNASSGLLKHKPAKAMGFLQYEGSTLPGFSGSPYFTGTKVLGMHIGGGSAGNFGFSASFIEMMIKS -RRRPESSDLEMVKRVLRTTRVVDFDYEQGLDETRIRIDGRYVELPNEEFWELFDDEEFEDYFYEFEDGSL -HQNRNKKKKKQYNWDAEPDLGDDYEPESAIREPVFQEVPPSGIPTGVEDQVTELRNMHTSLMTSLEGLET -RISSGQQEMKDNLREVFTSMLADERDRYEGMLNQVETKLIQLSGTCYKQIQSDLDDRICRVMQSSPIIST -TSCAPSTPKAQQDSVTSKNTRPLVTHWVGMESDLQKFETWRNSVDVSRSDYVVLRDKFLRDGLSLNISQA -EALIARFRNRQRTTKRRVKKPTPPTQ - ->YP_009330096.1 hypothetical protein 1 [Hubei sobemo-like virus 13] -MIKESLFFTGGSLITAIFILAVQETPKIPDCVCSLSMFDTTEVMWEIWQRHSDIIYIIGPIVGLAVIFAL -WCFMRWFFRGTKTIVKIIWHNCVAIGHASGSPLYIPERYVEGSEYAPKIEPKFQVGIWVNRKKDKNWTFI -GNGWRLGKTLITVTHNTTEDDTLRIRSATGFLDLESERFRDLGCDLSAADIADGEWSKLQVTSARIPKLA -LTHPQVVYVGSSGMASSGMLKPYFANPHLVYEGSTKPGFSGAPLYTGNIVYGMHVGAGSSNMALDSNWIA -AIAFRNESSEEFILQELFARHKRTGKNIKFHGTAPGESWIMYNGKYRVFDDEDIPEYIRNVLEYASPSTE -YNDEREQDDAFHDRPGGSGYRPRFTFDDTASQRIDIQVEEPTRVEPVQQVYIPEAPYQTEQNHLNLRTAS -AIAEARQLRQGAPVPPPRSVAGPVSLSLQRQTVRTGGQQSIPAVPSVVSHGICESQQGRELISRGARRKR -SKKQKPALSQDLVV - ->YP_009330004.1 hypothetical protein 1 [Hubei sobemo-like virus 7] -MFVSSNVKAMEQIEMVLTMMMVSLVVTLSVKMLTELVRWYLRRRAQYLYAKKQEDLGRLPESMREGSDLV -TVPLANFPFVVGLYSVRRQGAAEKVYLGTGTLLEGWLVTAGHVVETAAGRDMFALTYDGVYHELGQFHTI -YTDLVMTKAPQGYKSGRVEALQLASYAQLVAARGTANSSMGILKHDTQVAFGFCSYSGSSVQGFSGAPYT -NGSKVLAIHLGGGTSGNYGYSASFVMAQIHVYKRPESSELEAMERAMKSAKKEDIEWERGLDETRVRVGG -RYFTLDNDEFDEWSELNEFGEWFYDEEPESKRKRFRVKRKAVDYEEPDYEPEGVEDPFLESKPPKDSSSG -ALEKRIELLAENIASIQSLLTSQSQDMGGLKERLNKLDTACVSMRNIESKLSETFASQLTMSVNTLEQRL -TAQIKSVSDARPHPEKTASTNGSDTSSPPSIPEVPQVSVSSGSTQPSVMRWATMDSDFATMLEWRNSVDR -SSPSYPHWREEYMTQKGFSTEQKKALVVRLKNYKVSSQRKVARKAKSAIQ - ->YP_009329993.1 hypothetical protein 1 [Hubei sobemo-like virus 6] -MYAVSMYLATLLVWAVFMTYTVVDFFWDWPVVRQAVLRDRAGKALFDFCLGAVVLGIGFVLFFSSHDILE -WIGRGVETLVRRIWKFCFARATARVARPDKSMRRVLETMKEGSDLQTIPRPACQLALYDYDSIERQKYYL -GGAIHVEGGWLVSCTHIVNQLRNKPYASVLDPQGVEQFYSLEGLEWEEIGADVSVAKLGRVFQHLKTAKV -GPVEGSVYVRVHSAKGRNNTSVGRLTLDSVTFGLLVYDGSTVAGFSGGAYYLANRVVGIHCGGGAINYGY -SMEWIMSVLARRGSVPEAGGQYALRNILRSARKSDVQVTSSGNPDEVQVRVGGKFWIVDREDYVDLMEDD -KFGWMFDDDSEREERNMRKRFRDTFYENDREAQGELFPEGSVVSTLNGSATPSGTQELQGLIQSQIQNTI -EIQSLKSQQQVMNGLEQKLETLDDLLRSIPITGHAPLMDMLESLERKVTSLSQSLSRQTSSPLREDLSQV -RTVGNSTSLPCSEPSTSRVQPVSVNSKRTTPSVRPWDGMESDLRTYVTWRSSVDASRKDFYALRTEFLVQ -HMPHLTIEQRAELVRLEKARRVNRKKTQKKSSEGKQRKEQNRAIQQTLSSL - ->YP_009330029.1 hypothetical protein 1 [Hubei sobemo-like virus 15] -MLQAGSQREHVLLAVLIAVTVGFFWLDVHRQGVRAELVSESMKDLNTLRRILELNQRLLRNCQSTVFHLK -QERAQMDRVVARLAAAREEACSPRAADRVVSAAGDAASWVAGAAAFGFALAWSAVAAYWTLAFGVVGVVC -MSYVLWRLLCLVYRWVRERIWNWRAPMVVEEQPLDGPTLGCATLQWPGVEIQPERYQAGSDPHPVSLKDL -PPFQVAVYTKGAGKTETYFQGYALNIEGYLVMPLHVKEPEGVPHDHLVLQRYVGDELRQTTLGETITWME -LAQDAVAADMSTMKFDKGNLESYLGLAKAKVADVTEMTHCSIVGGFRPVARTMGAVRLLSEFFGQVQYTG -TTFPGYSGAAYYVNKTVYGMHTSAWHTMNVGYSAAYLASILRKRRGQKESSEDFLFQRMTKQKKILYRTS -PGDPEEVIMKVEGRFYTFARDEIPRSVWDRMVSADDVQGSGESYNDEGCFLGRRPAQSQSLGQMGQLKRM -PDNRSPGVSVSQHGQQTIPNVAAGLSGQTPERTSGSSSAKNAEGKPENMVSQERIVVQSPPPSSGTSSIT -EQSATGSKSRRNKSSKKP - diff --git a/seq/clusters_seq/cluster_838 b/seq/clusters_seq/cluster_838 deleted file mode 100644 index dedc47f..0000000 --- a/seq/clusters_seq/cluster_838 +++ /dev/null @@ -1,30 +0,0 @@ ->YP_009345000.1 hypothetical protein 3 [Wuhan spider virus 9] -MMNQYRGRGRFWNAGLNLAAAAGGAAARVAANRFQNWVNSPPSNPYNMQPIYQALPPVRRGRGRGRGRGR -GRGRPQAVTPGRQPSAGISQTRSGAKISIRDTEAFVVEQGFKAYKMNPAVNQLPRLEQHSKMYKRYRIRY -FNIAYKSGSGTATSGNVTVGILGGTIDSKVTSKNVINLRPSFFTPAWKNETMSLGSDIDIARWMLCEQDD -NDGIAFTLYVNSTEAGLGMIQCSYEVEFDQPHPFT - ->YP_009337330.1 hypothetical protein 2 [Sanxia tombus-like virus 6] -MTLNPYTGYSRRGVPWALLGARAAGTVARNAWQWYNQPPAAPMYGPPIPPPRRRRQAPVYAPQPGPSQAA -PRGRGRRRRPRGTGAPSRPLATTKEGSNLVVRDTEIISLGAKNTLFTMQFKPSETTLPRLDKEGGAFNRY -RIQYVNIAYISTSSAATAGSVSYGVLQGPTNANIATLNAIVKLRPMESHAVWKNSSLSLGSDIMSAKYLS -TAATGEDAVAFTVYAWNTADSPGYLQFSYRVEFAFPKP - ->YP_009336660.1 hypothetical protein 3 [Hubei tombus-like virus 17] -MMNQYRGRGRGTNFAMNLAAAAGGTAARVAMDRLGQWWTQPTTPPTPQPNPYQMGQLVAALPGRGRPRRG -RGRGRGRGRRPQVARSGGQPSSGVQTRSGDLFVARGTEVLGPVTGELQVLEFNPSCDGLPRLAAVEKMYH -RYRIKYVNIAFKSGSGTATAGNVAFGVCVGPKVANVKTQSDIMKLRPFSYVPAWKNSSITVGSDIDIGRF -MISGSKSEDGVAFTLYIFASAAQLGVIQISYEVEFSHPTPF - ->YP_009333285.1 hypothetical protein 2 [Sanxia water strider virus 15] -MDLARVRKSNPLLNLAAAAGGAAANVAINKVADMMRPTPPPRNARRQPQMTVAVPRNQPRRRKQRASNSN -NNGLSMARQITPGSSIRVVDTEYLTVPSTTLNQMQFNPAPPDLARLEQFSKMYHRFKINRITVHYEPGVG -TATTGNISMGICVGPPLGNITTSAHIMKLTPSCFVPAWKSGSITVGRDIDIGRYMICGGTGDTSVACTLY -TLASAANLGLFRVTYDVSFSYPVNF - ->YP_009333254.1 hypothetical protein 3 [Hubei tombus-like virus 16] -MAGQLYPYGQPRPRINPWINLAAAAGGAAARQLVNYGANQFNAYMRGPPPPPRGGRRGRGRRSFNMQPML -AALPPPPPRARRGGRRRRTARGSNAGGSPTQIFRGTEYLAEPTTTLATLQFNCASTTHPRLSNTAKCYER -YRVRSVVLTYNSTSGTATTGGVTLGIHPGPTNSNVKKAEDILKLDPSRTTPAWKNSTIRLGQNIDAQRWM -HVGKTTEEGVAFTCYYIKSGDATGQIKITYDIEFAYPVPF - diff --git a/seq/clusters_seq/cluster_839 b/seq/clusters_seq/cluster_839 deleted file mode 100644 index 5c0da5c..0000000 --- a/seq/clusters_seq/cluster_839 +++ /dev/null @@ -1,29 +0,0 @@ ->YP_009342280.1 hypothetical protein 2 [Wenzhou tombus-like virus 1] -MARSRKNPNPVTGGVRRRRTRAPNMKGGEAGTIVEYSAIGATVTTGGTGLANHKRVFIGGSPYDLTNTVG -PSICSYYSTCKFIPGTKIRWEPSVSFTTPGRVYVGFTDNPETMTNIQSAATQADWNNLVKGLGDVISFPV -WQETEINFPTKLRRKMFDTNSAVSFVDVNALDRSAQIGMFVAVDGAPVTTSVGSFWYHDKVLVEGIQPTL -T - ->YP_009342276.1 hypothetical protein 3 [Wenzhou tombus-like virus 3] -MTRGTPVPGGVRRRRVRMPMLSGSGTNAIIKYSALGGSLTTGSGTNQGTNARYYIPGYAGDLTNAAGAAV -VSYYSTAKFLPGTRVKWEPSVSFTTAGRVIVGFTDNPEVVTTLNTLATNAPTNYSAYINAVRSLGNVVSF -PVWQETEIPFPTRLRRKRFDINASPAGSNADQMDRSAQTVMFVAVEGAPATTSLGSFWYHDVVDVEGVTG -ITT - ->YP_009342268.1 hypothetical protein 2 [Wenzhou tombus-like virus 2] -MARKRAKQAQMPVSGGTRRNKGYTPTIKGTGDSTIIGYNGQLQPLIVNTSGRGLNFRQYIGGFQEAFAPG -AIGPTIVARYASCKFLPGTTLRWEPSVSFSTSGRVYVGFTDNPEVTAYMINLAVLTAADPTNTGKWNNYE -SAVRALGSCISFPVWQETDIPFPTKLRRKRFDTNQVFPNIAPAIDTLDRCCQTTMFAAVVGVNTAVALTV -GNFHVHDKVDVEGITPFITDIDTSLVASATPGSSSVQNLGHSGGDAVVGLGRPHPSAIAGYNRPL - ->YP_009337371.1 hypothetical protein 2 [Changjiang tombus-like virus 2] -MAGTKRTQRTARETVDQHSQRSPRVNLRARLNENVSYISGNEYNTGAIVPSGSSLGYGSVSLAPGNLAGR -ANAAINGVGRFFQKGLYLPGTFVRYIPSVGLNTPGNIIIAWLDNPDMIRAWNLLSAGAHLNFIRDVSNAK -TGPVWQELTVPLTQPPRRKTFMVDPQLNFQSNTEVDLSCQGLFIFCVFGTDISLADDKTYGQLLVHCKMR -FEEVKSFVTPQ - ->YP_009336904.1 hypothetical protein 2 [Shahe tombus-like virus 1] -MARRKVMPSPVQGGVRRRRNKQPNVTQTSGTSSVIKYSALGSTVTSDVNGEAWYHRMYIPGSFGGLTQTV -GTAIVGYYSTAKFQAGTTLRWEPSVSFSTSGRVYVGFTDNPEMIEAMRVLTGGNAVNAVKGLGDVISFPV -WQETDIPFSPRMRRKMFDTNQAAITGVDVLDRTCQTAMFLAIDGMPASTRAGSVWFHDNVAVEGMSSIET - diff --git a/seq/clusters_seq/cluster_84 b/seq/clusters_seq/cluster_84 deleted file mode 100644 index 8886b81..0000000 --- a/seq/clusters_seq/cluster_84 +++ /dev/null @@ -1,422 +0,0 @@ ->YP_010086246.1 glycoprotein precursor complex [Xapuri virus] -MGQVIGFFQSIPEIINEAINIALICVSLIAILKGLVNIWRCGLLQLIGFLLLSGRSCAIDVGRNLVLQDV -SLNFTHFFGEMPSSCTLNNTHHYFKGPNGTTWGIEMTLTNQSVLNSTSSRRIFTNQFLNVSNCAVNFPKE -EMHILSWLLETMHLELMKPGISLHPGLCSNESGLLIQYNITKTKYNSHSIQKVILGLAKLLGSSKRLWYD -TCEKADCQFDTLGGIHCNYSNCKVHTTYNYLILKNTTWENHCEYNHLNTIHLLMSSAGQSYISRKLMAFL -SWTLSDSEGHDMPGGYCLEQWAVIWAGIKCFGNAAVAKCNQNHDSEFCDMLRLFDYNKNAIKTLRMEVKD -KINLMSETINALISDNLLMKNKLRELMNIPYCNYTKFWFINHTRTGTHSLPRCWLVKNGSYLNETEFRND -WLLESDHLFSEILNKEYEERQGKTPLGLIDICFWSTVFYVTTLFMHLIGFPTHRHIVGQGCPLPHRITSS -GVCSCGYYNIPNKPTVWSRDTN - ->YP_009553321.1 glycoprotein precursor [Apore mammarenavirus] -MGQLVSFFSEIPNIIHEAINIALITVSLIALLKGMVNLWKSGLFQLIVFLILAGKSCSFKIGRSTELQNI -TFNMLEVLKDHPTSCMVNQSFYYILENSNATWGLEVSVTDISLLMAEHDRPVMGNLSNCVHPSVRHGAKL -TGLLEWVFRGLKYDFVNYPRPLCQKFNNTVNETRVQINMTDGVGSHGFKETIIQRLAVLFGSRLMFSNEQ -GNKVTKRYLLIRNVTWSGQCQGNHINSLHLMMANTGRAFGMRQLQGIFTWTITDAAGNDMPGGYCLERWM -LVASDLRCFGNTALAKCNLNHDSEFCDMLKLFEFNKKAIETLNDNTKNKVNLLTHSINALISDNLLMKNR -LKELLDTPYCNYTKFWYVNHTSTGTHSLPRCWMVKNNSYLNESEFRNDWILESDHLLSEMLNREYLERQG -KTPITLVDLCFWSTLFFTTTLFLHLIGFPTHRHIRGESCPLPHRLNSRGGCRCGKYPDLKKPTTWHKRH - ->YP_009508471.1 glycoprotein [Ryukyu mammarenavirus] -MGQIVTMFEALPHIIDEAINIVIIVLIIMTTLKAVYNFATCGIFTLIAFLLLAGRSCALYGLSGPDIYKG -VYQFKSVSFNMSVLNLTMPNACSVNNSHHYISMGTSGLELTFTNDSILSHNFCNLTDSFRKKTFDHVLMS -IVTSLHLSVRGNNNYKAVSCDFNNGVTIQYNLSFSGAQESVNQCNTFRGRVLDMFRTAFGGKFMRSGYGW -KDAQGKTTWCSQTSYQYLIIQNRTWENHCEYAGPFGLSRILFAQEKTKFLTRRLAGTFTWTLSDSSGTDD -PGGYCLTRWMILAADLKCFGNTAVAKCNMNHDEEFCDMLRLIDYNKAALAKFKTDVESALHLFKTTVNSL -ISDQLLMRNHLRDLMGVPYCNYSKFWYLEHAKTGETSVPKCWLVTNGSYLNETHFSDQIEQEADNMITEM -LRKDYFKRQGMTPLALMDILLFSTTAYLVSIFLHLLKIPTHRHIKGGTCPKPHRLNRMGICSCGAFKVPG -VDTVWKRR - ->YP_009508478.1 glycoprotein [Souris virus] -MGQIITFFQELPHFLEEVMNIVMVVLILLTLTKAIYNLWTCGLVGLVYFLMMAGRSCESGLGNEFQLSSF -VLNTTIFNSSMPLSCSKDNSHHYIYMNNTGLEITLTNKKIINHTWCNLSDAHQKDLYDHALMSIVTSFHL -NIRGFSDYKAMACDFNGGNITIQYNLTSKFNRSTFEYCHSMPSRILETFRQMFWSQNVTIGATETDTITT -LYCPQTDYKYIIIQNVSWENHCEMMHPTPMKFIHLELNSRRTVYLSRRLRGTFTWTLSDNSGTENPGGYC -LTRWFIIAADLKCFGNTAIAKCNEAHDEEFCDMLRLFDYNKEAIKRFKGETQAALHHFKEAINALISDQL -LMRNHLRDLMGIPYCNYTKFWYLNHTKTNTTTLPKCWLVRNNTYLNETEFSNDIEQEQTKFITEMLKREY -DHRQTSMPLGLVDLLLFTTSLFLVSVFLHLARIPTHRHLVGKPCPKPHRLTKMGICSCGLYKQSGVPVVW -KRR - ->YP_009505808.1 glycoprotein precursor [Arenaviridae sp. 13ZR68] -MGQIVTFFQEVPHIVEEVMNIVLITLSLLAILKGVYNIATCGIIGLIAFLFLCGRSCSTIYKDNYNFSHV -DLDYSHLNLTMPLSCSKNNSHHYIYLKNETGLELTLTNTPVLNHKFCNLSDAHKQNLYDHSLMTIITTFH -LSIPNFNQYEAMSCDFNGGNITVQYNLTHGSVTDAANHCGTLANGVLDTFYRLQWGRNISHVYTIPDNGK -LIPCYKTSYKYLVIQNITWEHHCELSRPTPIGFMTLLSQKIKNIYTSRRLLGTFTWTLSDSSGAETPGGY -CLTRWMILAADLKCFGNTAVAKCNLNHDEEFCDMLRLIDFNKQAVNKFKMEAQNSLNLITKAVNALINDQ -LFIKNHLRDLMGIPYCNYSRFWYLNDTRNGKTSLPKCWMIKNGTYLNETEFSNEIEQEADNMITEMLRRE -YIQRQSTTPLGLVDLFVFSTSFYLISVFLHLIKIPTHRHITGRPCPKPHRLNSMAICSCGAYKQPGLPTK -WKR - ->YP_009505803.1 glycoprotein precursor [Loei River mammarenavirus] -MGQIVTLFQSLPHIIDEVINIVLITLSVIAILKSVYNLATCGILQLVCFLLLCGRSCSVMMDQFNLTSVE -LDMNVLNLTMPLSCSRNNSHHYIFVGNSSGLELTLTNTSLLNHKFCNLSDAHRRAEYDVALMSIVSTFHL -SIPNFNQYEAMSCDFNGGKITVQYNLSHESALDAANHCGTVANGILETFHKFFWSNNIKDAYQLPNQGKL -AHCYSTSYQFLIIQNTTWEDHCSFSRPTPLGYLSLLGNRVKQIYISRRLLGTFTWTLTDSSGSELPGGYC -LSKWMLIAAEMKCFGNTAIAKCNEKHDSEFCDMLRLFDFNREAIKRLRIEANKSLNLITKAVNSLINDQL -IMRNHLRDLMGIPYCNYTKFWYLNNTRTGQVSLPQCWLITNGSYLNKTEFTNDIEREANNLVTEMLQKEY -TERQGKTPLGLVDIFIFSTSFYLITVFLHLVKIPTHRHLVGAPCPKPHRLNSMAICHCGLYKQPGKPTVW -KR - ->YP_009141005.1 glycoprotein precursor [Okahandja mammarenavirus] -MVALSFVPIMGQIVTFFQEIPHMLEEVMNIVLITLSLIAILKGLYNFATCGLGGLIIFLLLAGRSCNGMD -SSGVLYKGEYRLHNVTLNTASLNKTMPLSCSKNNTHHYIYLSNETGLEITFTNNSLLNHKHCNLSDAHKK -NLYDHSLMTIITQFHLSIPNFNQYEAMSCDFNGGNITIQYNLSHSKVVDAGNHCGTVANGILYTFYRMFW -SRGNIADGIDVLDEKKKLVHCMTTSFKYLIIQNVSWEDHCIMSSPTPIGPISVLNSQIRSIYLSRRLRSV -FSWTLTDASGTENPGGYCLERWMLFASELKCFGNTAVAKCNLNHDSEFCDMLRLFDYNKQAIIKLKADLE -TTLETFRKAVNALINDQLIMRNHLRDLLGIPYCNYTKFWYLNSTKTGRHSLPKCWLVSNGSYLNVTHFST -EIEQEADNLITEMLQREYIDRQGKTPLGLMDLFMFSTSFYLISVFLHLIKIPTHRHIQGKSCPKTHRLNS -KAICRCGAYNQPGLPIKWKR - ->YP_009141003.1 glycoprotein precursor [Mariental mammarenavirus] -MGQIVTFFQEVPHIIEEVMNIVLITLSFLAILKGLYNVATCGIIGLISFCILCGRSCSLVYKGTYNLSSI -ELDAGHLNTTMPLSCTKNNSHHYIFVNGSGLEMTLTNTSILNHKFCNLSDAHKKDLYNHALMSIITTFHL -SIPNFGQYEAMSCDFNGGNITVQYNLTHNSVVDSGNHCGTLANSIMDVFMRMYWGHSLSVGIVLPDSEKN -TYNCIMTSYRYLVIQNVSWADHCIFSRPSPMGFISLISQRVRSIYISRRILGTFTWTLSDSSGNDLPGGY -CLQKWMLISAEMKCFGNTAVAKCNLNHDEEFCDMLRLFDFNKQAIDKLRIEAQRGLNLITKAVNSLVNDQ -LIMRNHLKDLMGIPYCNYTKFWFLNDTRTGRTSLPKCWKVTNGTYLNETHFSDEIEQEADNMITEMLQKE -YLNRQGKTPLGLVDLFVFSTSFYLISIFLHLIKIPTHRHIRGKPCPKPHRLTKMAICSCGAYGQPGLPTK -WAR - ->YP_009116790.1 glycoprotein precursor [Gairo mammarenavirus] -MGQIITFFQEVPHIIEEVMNIVLITLSILALLKGIYNVASCGIIGLLTFLFLCGRSCSLMFKGNYELKHL -ELDMSMLNSTMPLSCSKNXSHHYIQVSNVTGLELTLTNTSIIGHKFCNLSDAHKKNLYDHSMMAIISTFH -LSIPNFNQYEAMSCDFNGGKISVQYNLSHGTDNAARDHCGSVANGILDTFQRMHWCSACEYNVYMPGARC -ITTPYQYLLIQNTTWEDHCQMSRPSPIGYLSLLAQRTRQIYISRRLLGTFTWTLSDSTGNDLPGGYCLQR -WMLIEAELKCFGNTAVAKCNQQHDXEFCDMLRLFDFNNEAIKRLKADAEKSINLITKAVNSLINDQLXMK -NHLRDIMGIPYCNYSKFWYLNDTRTGKTSLPKCWMVTNGSYLNESHFSSEIEQEADNMITEMLRKEYEKR -QGTTPLGLVDIFVFSTSFYLISVFLHLIKIPTHRHIVGKPCPKPHRLNHMGVCSCGLYKQPGVPTKWKR - ->YP_009113206.1 glycoprotein [Wenzhou mammarenavirus] -MGQAITFLQTLPHIIDEVMNIVLIALSMIAIMKGVINLVSCGILQLLLFLILCGRSCSTLSDDFQLRSVQ -FDMQTLNMTMPLSCSKNNSHHYIFVGNTSGLELTLTNQSIINHKFCNLSSAHKTAEYNFALMSIISTFHL -SIPNFNQYEAMSCDFNGGKISIQYNLSHSTVTDTANHCGTVANGILETFHKFYWSGGIRDAYQIPHGHAL -AHCYQTAYQYLVIQNTTWENHCQFSRPTPLGYLGLLSQRIKQIYISRRLLGVFTWTISDSSGNDLPGGYC -LNRWMLIESEVKCFGNAAIAKCNEKHDSEFCDMLRLFDFNREAIKRLKIEANKSINLITSAVNSLINDQL -IIKNHLRDLMGIPYCNYSKFWFLNHTRSNRTSLPRCWLISNGSYLNETEFSSDIEQEANNLITEMLQKEY -IERQGKTPLGLVDIFVFSTSFYLLTVFLHLIKIPTHRHIAGKPCPKPHRLNSMAICHCGLYKQPGLETKW -KR - ->YP_009019200.1 GP [Merino Walk mammarenavirus] -MGQIVTFFQEVPHVIEEVMNVVLITLSILAILKGLYNLLTCGIGNLIIFLLLAGRSCEATDGSLYKGEFR -LQNITWNSASLNETMPLSCSKNNSHHYIYLKNETGLEVTLTNTSILNHKFCNLSDAHKKALYDHSLMVIV -TQFHLTIPNFGQWEAMSCDFNGGNITIQYNLSHSTAVKAANHCGTLANGILETFFKMFWGRDISNAFQIP -DNGVLRNCFKTSYKYLIIQNTSWADHCVMSVPHPIGPISVLNSQVRSIYLSRRLKGTFSWSLSDSEGNSM -PGGYCLEKWMLIAAEMKCFGNTAIAKCNLNHDSEFCDMLRLFDYNKQAIERLKGELEQTLNTFRKAVNAL -INDQLIMRNHLKDLMGIPYCNYTKFWYLNSTKTGVVSLPKCWLVSNGSYLNVTHFSNDIEQEADNMITEM -LRKEYIERQGKTPLGLVDIFLFTTSFYLVTVFLHLVKFPTHRHIVGQGCPKPHRLTSMAICSCGVYGQPG -LPVKWKR - ->YP_006858706.1 Glycoprotein precursor [Lunk virus NKS-1] -MGQIVTLFESLPHIIDEVINIVIMVLILITAIKAVYNLCTCGLFALIMFLFMAGRSCSLEGPGMYKGTYQ -FKSVELNMSQFNQTLPNACSVNNSHHYIGMGDSGLELTFTNDSIIDHNQCNLTDYFKKSHFDHVLSSIIT -HLHLSVRGHSYYKAVSCDFNNGITIQYNLSINTPQKAVDNCRSFRGRVLDMFRTAFGGKHMRAGFGMTDA -NGKATWCSQTDYNYLIIQNRTWDNHCEYANPMGFARLLFAQEKTKFLTRRLMGTFTWTLSDSSGVESSSG -YCLTRWMIIAADLKCFGNTAVAKCNLNHDEEFCDMLKLIDYNKAALTKFKKDVESALHLFKVTMNSLISD -TLLMRNHLRDLMGIPYCNYSKFWYLEHYKTGETSLPKCWLVSNGSYLNETHFSDEIEQEANNMITEMLRK -DYYKRQGSTPLALMDILMFSTSAYLISVFLHLLRIPTHRHVKGGTCPKPHRLNSKGICSCGAFKVPGVKV -IWKRN - ->YP_004933730.1 GP gene product [Luna mammarenavirus] -MGQIITFFQEVPHIIEEVMNIVLITLSLLAILKGIYNLATCGLLGLISFLFLCGRSCTMVYKDNYALNSV -ELDMSTLNYTMPLSCSKNNTHHYIQTYNDSGLELTLTNNSILSHKFCNLSDAHKRNLYDHTLMSIVTTFH -LSIPNFNQYESMACDFNGGKITVQYNLSHSSPTDAANHCGTVANGVLDTFRRMHWGPAHFPNPPFTIGGV -SCIQTSYQYLIIQNTTWEDHCQMSRPTPMGYLSLIAQRTRQLYISRRLMGTFTWTLSDSAGNDLPGGYCL -QRWMLIEAEMKCFGNTAVAKCNQQHDEEFCDMLRLFDFNKEAIRRLKVEAERSINLINKAVNSLINDQLI -IRNHLRDLMGIPYCNYSKFWYLNDTRNGKTSLPKCWKVSNNSYLNETHFSNEIEEEANNMITEMLRKEYE -KRQSTTPLGLVDLFVFSTSFYLISVFLHLIKIPTHRHIVGKGCPKPHRLNHMAVCSCGVFGQPGLPVRWK -R - ->YP_003090214.1 glycoprotein precursor [Morogoro mammarenavirus] -MGQIVTFFQEVPHIIEEVMNIVLITLSLLAILKGIYNIMTCGIIGLLTFLFLCGRSCSSIYKDNYQFLSL -DLDMSGLNATMPLSCSKNNSHHYIQVRNDTGLELTLTNTSLLDHKFCNLSDAHKRNLYDKALMSIVTTFH -LNIPNFNQYEVMSCDFNGGKITVQYNLSHSSYVDAANHCGTIANGIMDTFRRMYWSNALSPSEYISGTTC -IQTAYQYLIIQNTTWEDHCVFSRPSPMGFLSLLSQRTKNFYISRRLLGLFTWTLSDSEGNDMPGGYCLTR -SMLIGMDLKCFGNTAVAKCNQQHDEEFCDMLRLFDFNKQAISRLKSEAQQSLNLITKAVNSLINDQLIMK -NHLRDLMGIPYCNYTKFWYLNDTRSGATSLPRCWLISNGSYLNETQFSRDIEQEANNMLTDMLRKEYEKR -QSTTPLGLVDLFVFSTSFYLISVFLHLIKIPTHRHIRGKPCPKPHRINHMAICSCGFYKQPGIPTQWKR - ->YP_002929490.1 glycoprotein precursor [Lujo mammarenavirus] -MGQIVAVFQAIPEILNEAINIVIIVIIMFTLIKGVFNLYKSGLFQLVIFLLLCGKRCDSSLLSGFNLETV -HFNMSLLSSIPMVSEQQHCIQHNHSSITFSLLTNKSDLEKCNFTRLQAVDRVIFDLFREFHHRVGDFPVT -SDLKCSHNTSYRVIEYEVTKESLPRLQEAVSTLFPDLHLSEDRFLQIQAHDDKNCTGLHPLNYLRLLKEN -SETHYKVRKLMKLFQWSLSDETGSPLPGGHCLERWLIFASDIKCFDNAAIAKCNKEHDEEFCDMLRLFDY -NKASIAKLRGEASSSINLLSGRINAIISDTLLMRSSLKRLMGIPYCNYTKFWYLNHTKLGIHSLPRCWLV -SNGSYLNETKFTHDMEDEADKLLTEMLKKEYVRRQEKTPITLMDILMFSVSFYMFSVTLCICNIPTHRHI -TGLPCPKPHRLRKNGTCACGFFKSINRSTGWAKH - ->YP_001936017.1 glycoprotein precursor [Parana virus] -MGQLVTLFQSIPEIIEEAVNIALIAVAIMCIVKGTVNLWKCGIVQLCIFLLLAGKRCDGFQIDRRHKLES -VEFNLTRMFNNLPMSCSKNNTHHYYKGPEGTNWGIELTLTNESVANYSNMSAIRSLAYGNITNCDKTNEA -GHTLKWLLNELHFNVLHVTRHIGARCLTTDSAGILIQYNLTVGDYGGEVGRHLIASLAQIIGDDKAAWVG -KCFNNCSANGTCRLTNCEGYTHYNYLIIQNTTWENHCSYSPMSTIRMALNKVAYSSVSRKLLGFFTWDIS -DSSGRHVPGGYCLEQWALVWAGIKCFDNSVMAKCNKDHNEEFCDTMRLFDFNQNAIKTLQLNTENSINLL -KRSINGLISDSLVIRNSLKQLARIPYCNYTKFWYVNDTITKRHSLPQCWLTYNGSYLNETHFRNDWLLES -QQLYNDMLVKEYEERQGKTPIALTDICFWSLVYFTVSVFLQLVGIPSHRHIVGQGCPKPHRISRNGLCSC -GYYNIPMKPVRWVRKGK - ->YP_001936021.1 glycoprotein precursor [Latino mammarenavirus] -MGQVIGFFQSLPEIINEALNIALICVALLATIKGMVNIWKSGLIQLLFFLTLAGRSCSHSFTIGRFHEFQ -SVTVNFTQFMSYAPSSCSVNNTHHYFKGPQNTTWGLELTLTNESMINITNSMRVFTNIHHNVTNCVQNIS -EHEGVLKWLLETMHLSISKPGKHIAPVMCERQKGLLIEYNLTMTKDHHPNYWNQVLYGLAKLLGSSKRLW -FGACNKADCQMQSDHQHIKCNYSNCKGYTSFKYLIIQNTTWENHCEYNHLNTIHLLMSSIGQSFITRRLQ -AFLTWTLSDALGNDLPGGYCLEQWAVVWFGIKCFDNTAMAKCNQNHDSEFCDMLRLFDYNRNAIQSLNDQ -SQARLNLLTNTINSLVSDNLLMKNKLRELMNVPYCNYTRFWFINDTKNGRHTLPQCWLVSDGSYLNETRF -RTQWLSESNSLYTEMLTEEYEKRQGRTPLSLVDLCFWSTLFYISTLFAHLVGFPTHRHLIGEGCPKPHRL -TGSGICSCGHYGIPGKPVRWTKMSR - ->YP_001936019.1 glycoprotein precursor [Flexal mammarenavirus] -MGQVVTFFQSIPEIIQEAINIALIAVSVICIIKGCVNLWKCGLIQLMVFLLLAGKRCDALNIDRRHVLSS -VELNLTRMFDNFPQSCSKNNTHHYYKGPEGTNWGIELTLTNTSVANYTSMNRIRSLAFGNITNCDKTGEA -GHTLKWLLNELHFNVLHVTRHVGARCMTTEGAGLLIQYNLTIGDHGGEVGRHLIASLAQIIGDNKAAWVG -KCDSRCSNDGKCNYTNCEGYTHYNYLIIQNTTWAQHCTYSPLPSIRMALNKVAYSSVSRSLLGFFTWDIS -DSSGNHVPGGYCLEQWAVVWAGIKCFDNAVMAKCNKEHDVEFCDTMRLFDYNQNAIKTLQLNTENAVNLL -KRSINGLISDSLVIRNSLKQLARIPYCNYTKFWYINDTVSGKHSLPQCWKVHNGSYLNESQFKNEWLLES -DHLYSEMLLKEYEERQGRTPLALTDICFWSLVFFTSTVFLHLVGIPSHRHIVGDACPKPHRITKNALCSC -GYYNLPGKQVRWVRKGK - ->YP_001911115.1 glycoprotein precursor [Tamiami mammarenavirus] -MGQLISFFGEIPTILQEALNIALIAVSIIATIKGVVNVWKSGLIQLLMFVMLAGRSCSVQIGHHLELEHI -ILNSSSILPFTPTLCKLNKTYFLVRGPFQAHWGVDLAIGSTTVAVENATKTYTLKSKNFTGCFEGNPDPD -SAALLVTWLFNSLHHDYKNDPSILCERVSGENSFRFQINISEPEYCEKILSRMANLFGSFENYCLNNRHI -KKLIIIRNLTWSQQCHENHMSAMQLITSNIHTQVVRARRILSFFTWSLSDAVGNDMPGGYCLEKWMLIAS -QLKCFGNTAVAKCNLNHDSEFCDMLRLFDFNRKAIETLQNKTRSQLNIAINAINSLISDNLLMKNRVKEL -MDIPFCNYTKFWYVNHTKLNHHSLPRCWLVKNGSYLNESEFRNDWLLESDHLISEILSREYEERQGRTPL -SLVDVCFWSTLFYTASIFLHLIRIPTHRHIVGEGCPKPHRLRADSTCACGLYKQKRRPLKWVRSN - ->YP_001911113.1 glycoprotein G1+G2 precursor [Whitewater Arroyo mammarenavirus] -MGQLISFFGEIPSIIHEALNIALIAVSIISILKGVINIWGSGLLQFIVFLLLAGRSCSYKIGHHVELQHI -ILNASYITPYVPMPCMINDTHFLLRGPFEASWAIKLEITDVTTLVVDTDNVANPTNISKCFANNQDERLL -GFTMEWFLSGLEHDHHFTPQIICGNVSKGEVNAQVNITMEDHCSQVFLKMRRIFGVFKNPCTSHGKQNVL -ISVSNWTNQCSGNHLSSMHLIVQNAYKQMIKSRTLKSFFAWSLSDATGTDMPGGYCLEKWMLISSELKCF -GNTAIAKCNLDHSSEFCDMLKLFEFNRNAIKTLQNDSKHQLDMIITAVNSLISDNTLMKNRLKELINIPY -CNYTKFWYVNHTGFNVHSLPRCWLTKNGSYLNVSDFRNQWLLESDHLISEILSREYEARQGKTPLGLVDV -CFWSTLFYVSSIFLHLLRIPTHRHIIGEGCPKPHRLSSNSVCACGLFKQKGRPLRWAGKV - ->YP_001816782.1 glycoprotein precursor [Chapare mammarenavirus] -MGQLVSFFQEIPNIIQEAINIALIAVSLIAILKGLVNLWKSGLFQLLVFLILAGRSCSFKIGRSTELQNI -TINMLKVFEDHPISCTVNKTLYYIRESENATWCVEIAALDMSVLLSPHDPRVMGNLSNCVHPDIKHRSEL -LGLLEWILRALKYDFLNYPPLLCEKVTSSVNETRIQINVSDSAGSHDFKETMLQRLAILFGTKLMFDKTP -KQFIVIRNQTWVNQCKSNHVNTLHLMMANAGHAVKLRRLQGVFTWTITDAAGNDMPGGYCLERWMLVTSD -LKCFGNTALAKCNLNHDSEFCDMLKLFEFNKKAIESLNDNTKNKVNLLTHSINALISDNLLMKNRLKELL -DTPYCNYTKFWYVNHTITGEHSLPRCWMVKNNSYLNESEFRNDWILESDHLLSEMLNKEYFDRQGKTPIT -LVDICFWSTLFFTTTLFLHLVGFPTHRHIQGEPCPLPHKLNSNGGCRCGRYPELKKPTTWHRKH - ->YP_001649221.1 glycoprotein precursor [Allpahuayo mammarenavirus] -MGQVVTFLQSLPEVINEAINIALIAISIICILKGLVNFWKCGVVQLAIFLCLAGRKCDGLMIDRRHELSH -VELNLTRMFDNLPQSCSKNNTHHYYKGPKGTTWGIELTLTNTSLDSYANMSRIRSLAFGNITNCDKTGEA -GHTLKWLLNELHFNVLHVTRHVGARCRVSEGAGLLIQYNLTIGDHGGEVGRHLIASLAQIIGDNKAAWVG -KCDSHCTMDGKCNYTNCEGFTHYNYLIIQNTTWENHCSYSPMSTIRMALNKVAYSSVSRQLLGFFTWDIS -DSSGAHVPGGYCLEQWAIVWAGIKCFDNAVMAKCNKDHNVEFCDTMRLFDFNQNAIKTLQLNVENSVNLL -KRSINGLISDSLVIRNSLKQLAKIPYCNYTKFWYVNDTITGKHSLPQCWLMRNGSYLNETHFKNEWLWES -QNLYNEMLLKEYEDRQGKTPIALTDICFWSLVFFTSTVFLQLVGIPTHRHLVGEGCPKPHRITSNSLCAC -GYYKIPKRPTRWVRKGK - ->YP_001649208.1 glycoprotein precursor [Amapari virus] -MGQLVSFFQDIQLFFQEALNVALAVVTVLAIIKGLVNLWKSGLFQFLFFLILAGRSCSFRIGHHTTFESV -TMSVGGVFHELPALCRINNSHSLIQLSHNSSLALSVEYVDLCVVLESDQYLVAGDYSNCTGEATGYNWVI -DWTLKGLGHGLEGDPKLHCQPKRSTNAEFTLQLNISRRHTNDHYRERIETGIRHMFGPFKILTKEGKDCV -ILRNTTWKEQCVKSHYNTLAFLLKNTANSLPKRRPLAFFSWSLSDSSGNDMPGGYCLEEWMLIAAKLKCF -GNTALAKCNLNHDSEFCDMLKLYEFNKNAISKLNNQTREAVNALTHSINSLISDDLLMKNKLREFLKVPY -CNYTKFWYVNHTKSGEHSLPKCWLVNNGSFLNESEFRNEWILESDHLIAEILSKEYQDRQGKTPITLVDM -CFWSAIFFDNKSLLHLVGFPTHRHIVGEACPLPHKINRHGACACGLYQKLKKKTAWRKRHQ - ->YP_001649222.1 glycoprotein precursor [Cupixi mammarenavirus] -MGQLVSFFQEIPVFFQEALNIALAVVTLLAIVKGVLNLWKSGLFQLLMFLILAGRSCSFRIGYHTSFESF -TMTIGGVFHELPALCKVNDTYNLVRLSHNSSLALSVEYGDTGTVMCEHGHVVSGNYTECTGASEEYNWVL -DWVLRGLQHDFSRDPVICCEPKKKTNAEFQFRLNLTQRHKGDHYQNKIKTALTHLFGPFAYNEKDPKIFV -TMRNTTWTNQCVMSHTDSLRLLASNGGNSFSGRGLKAFFSWSLSDSTGVDMPGGYCLEKWMLIASELKCF -GNTALAKCNLKHDSEFCDMIKLFDFNKNAISKLNNNTIEAVNQLTKTVNSLISDNLLMKNRLRELLKVPY -CNYTRFWYVNHTRTGEHSLPKCWLVNNGSYLNESDFRNEWILESDHLISEMLSKEYQERQGRTPLTLVDL -CFWSAVFYTTTLFLHLVGFPTHRHISGEPCPLPHRLNRHGACNCGRFKRLKKPLVWYKHH - ->YP_001649226.1 glycoprotein precursor [Bear Canyon mammarenavirus] -MGQLVSFIGEIPAIVHEALNVALIAVSIIAIMKGLINIWKSGLFQLIMFLILAGRSCSISIGHHLELQHF -IINSTSLLPSMPTLCRINATNSLIRGPFSAQWGLDIFIGDLTILVNPEPGSKTKRMTATNITGCFPNNED -PDSVAQVLSWFFRGVHHDFHLDPTILCDESVTVFRIQMNLTERMYCDRIVSKLARLFGSFGDYCSKVGKK -LVIIRNVTWSNQCHEDHVGSMQLILQNAHNQVMRFRKLQNFFSWSLVDSAGNSMPGGYCLEKWMLVASEL -KCFGNTAVAKCNINHDSEFCDMLRLFDYNKKAIVNLQDKTKAQLDSLIDAVNSLISDNLITKNKIRELMN -IPYCNYTKFWYVNHTGLNVHSLPKCWHVRNGSYLNESDFRNEWIIESDHLVSEILAKEYEERQKRTPLSL -VDLCFWSTLFYTASIFLHLLHIPTHRHIIGEGCPKPHRLTSDSLCACGFFQLKGRPTRWARIP - ->YP_001649210.1 glycoprotein precursor [Oliveros mammarenavirus] -MGQVIGFFQSLPNIINEALNIALICVALIAILKGIVNIWKSGLIQLFIFLILAGRSCSHTFQIGRNHEFQ -SITLNFTQFLGYAPSSCSVNNTHHYFRGPGNVSWGIELTLTNNSVINASNSLKVFTNIHHNITNCVQNID -EQDHLMKWLIETMHLQIMKPGKRLPPILCEKDKGLLIEYNLTNIASREEKHSEYWSQLLYGLSKLLGSSK -SLWFDYCQRADCMMQEHSSHLKCNYSECSGHTTFKYLILQNTTWENHCEFNHLNTIHLLMSSTGQSFITR -RLQAFLTWTLSDATGNDLPGGYCLEQWAIVWAGIKCFGNTAVAKCNQNHDSEFCDMLRLFDYNRNAIKSL -NDQSQSRLNLLTNTINSLISDNLLMKNKLAEIMNIPYCNYTKFWYINDTRTGRHTLPQCWLISNGSYLNE -TKFRTQWLSESNALYTEMLTEDYDKRQGSTPLSLVDLCFWSTLFYVTTLFAHLVGFPTHRHILDGPCPKP -HRLTKKGICSCGHFGIPGKPVRWVKRSR - ->YP_516230.1 glycoprotein precursor [Ippy mammarenavirus] -MGQIITFFQEVPHIIEEVMNIVLITLSLLAILKGVYNVMTCGLIGLISFLLLCGKSCSLIYKDTYNFSSI -ELDLSHLNMTLPMSCSRNNSHHYVFFNGSGLEMTFTNDSLLNHKFCNLSDAHKKNLYDHALMGIVTTFHL -SIPNFNQYEAMACDFNGGNISIQYNLSHNDRTDAMNHCGTVANGVLDAFYRFHWGRNITYIAQLPNGDGT -GRWTFCYATSYKYLVIQNISWADHCQMSRPTPIGFASILSQRIRSIYISRRLMSTFTWSLSDSSGTENPG -GYCLTRWMLFAADLKCFGNTAIAKCNLNHDEEFCDMLRLIDFNKQALKTFKSEVNHGLQLITKAINALIN -DQLIMKNHLRDLMGIPYCNYSKFWYLNDTRTGRVSLPKCWMISNGTYLNETHFSDEIEQEADNMITEMLR -KEYQERQGKTPLGLVDLFIFSTSFYSITVFLHLIKIPTHRHIVGQGCPKPHRLNSRAICSCGAYKQPGLP -TKWKR - ->YP_516226.1 glycoprotein precursor [Mobala mammarenavirus] -MGQIVTFFQEVPHIIEEVMNIVLITLSLLAILKGIYNVMTCGLIGLLTFLFLCGKSCSTIYKDNYRLMQL -NLDMSGLNATMPLSCSKNNSHHYIQVFNTTGLELTLTNDSLIGHKWCNLSDAHKKDTYDHTLMSIISTFH -LSIPNFNHYEAMACDFNGGKISIQYNLSHSSETDAMNHCGTVANGVLEVFRRMTWCTHCDTPLGASIAGF -NCVRTSYKYLIIQNTTWEDHCTMSRPSPMGYLSLLSQRAREIYISRRLMGTFTWTLSDSEGNDLPGGYCL -QRWMLIEAEMKCFGNTAVAKCNQQHDEEFCDMLRLFDFNKEAIHRLRVEAEKSISLINKAVNSLINDQLI -MRNHLRDIMGIPYCNYSRFWYLNDTRSGRTSLPKCWMVSNGSYLNETHFSSDIEQEANNMITEMLRKEYE -RRQGTTPLGLVDLFVFSTSFYLISVFLHLIKIPTHRHLVGKPCPKPHRLNHMGVCSCGLYKQPGLPTKWK -R - ->YP_170709.1 glycoprotein precursor [Mopeia virus AN20410] -MGQIVTFFQEVPHILEEVMNIVLMTLSILAILKGIYNVMTCGIIGLITFLFLCGRSCSSIYKDNYEFFSF -DLDMSSLNATMPLSCSKNNSHHYIQVGNETGLELTLTNTSIIDHKFCNLSDAHRRNLYDKALMSILTTFH -LSIPDFNQHEAMSCDFNGGKISVQYNLSHSNYVDAGNHCGTIANGIMDVFRRMYWSTSLSVASDISGTQC -IQTDYKYLIIQNTSWEDHCMFSRPSPMGFLSLLSQRTRNFYISRRLLGLFTWTLSDSEGNDMPGGYCLTR -SMLIGLDLKCFGNTAIAKCNQAHDEEFCDMLRLFDFNKQAISKLRSEVQQSINLINKAVNALINDQLVMR -NHLRDLMGIPYCNYSKFWYLNDTRTGRTSLPKCWLVTNGSYLNETQFSTEIEQEANNMFTDMLRKEYEKR -QSTTPLGLVDLFVFSTSFYLISVFLHLIKIPTHRHIKGKPCPKPHRLNHMAICSCGFYKQPGLPTQWKR - ->YP_170705.1 glycoprotein precursor [Mopeia Lassa virus reassortant 29] -MGQIVTFFQEVPHVIEEVMNIVLIALSVLAVLKGLYNFATCGLVGLVTFLLLCGRSCTTSLYKGVYELQT -LELNMETLNMTMPLSCTKNNSHHYIMVGNETGLELTLTNTSIINHKFCNLSDAHKKNLYDHALMSIISTF -HLSIPNFNQYEAMSCDFNGGKISVQYNLSHSYAGDAANHCGTVANGVLQTFMRMAWGGSYIALDSGRGNW -DCIMTSYQYLIIQNTTWEDHCQFSRPSPIGYLGLLSQRTRDIYISRRLLGTFTWTLSDSEGEDTPGGYCL -TRWMLIEAELKCFGNTAVAKCNEKHDEEFCDMLRLFDFNKQAIQRLKAEAQMSIQLINKAVNALINDQLI -MKNHLRDIMGIPYCNYSKYWYLNHTTTGRTSLPKCWLVSNGSYLNETHFSDDIEQQADNMITEMLQKEYM -ERQGKTPLGLVDLFVFSTSFYLISIFLHLVKIPTHRHIVGKSCPKPHRLNHMGICSCGLYKQPGVPVKWK -R - ->YP_138543.1 GPC precursor protein [Pichinde virus] -MGQIVTLIQSIPEVLQEVFNVALIIVSVLCIVKGFVNLMRCGLFQLVTFLILSGRSCDSMMIDRRHNLTH -VEFNLTRMFDNLPQSCSKNNTHHYYKGPSNTTWGIELTLTNTSIANETSGNFSNIGSLGYGNISNCDRTR -EAGHTLKWLLNELHFNVLHVTRHIGARCKTVEGAGVLIQYNLTVGDRGGEVGRHLIASLAQIIGDPKIAW -VGKCFNNCSGDTCRLTNCEGGTHYNFLIIQNTTWENHCTYTPMATIRMALQRTAYSSVSRKLLGFFTWDL -SDSSGQHVPGGYCLEQWAIIWAGIKCFDNTVMAKCNKDHNEEFCDTMRLFDFNQNAIKTLQLNVENSLNL -FKKTINGLISDSLVIRNSLKQLAKIPYCNYTKFWYINDTITGRHSLPQCWLVHNGSYLNETHFKNDWLWE -SQNLYNEMLMKEYEERQGKTPLALTDICFWSLVFYTITVFLHIVGIPTHRHIIGDGCPKPHRITRNSLCS -CGYYKYQRNLTNG - ->YP_089665.1 glycoprotein precursor [Sabia virus] -MGQLFSFFEEVPNIIHEAINIALIAVSLIAALKGMINLWKSGLFQLIFFLTLAGRSCSFRIGRSTELQNI -TFDMLKVFEDHPTSCMVNHSTYYVHENKNATWCLEVSVTDVTLLMAEHDRQVLNNLSNCVHPAVEHRSRM -VGLLEWIFRALKYDFNHDPTPLCQKQTSTVNETRVQINITEGFGSHGFEDTILQRLGVLFGSRIAFSNIQ -DLGKKRFLLIRNSTWKNQCEMNHVNSMHLMLANAGRSSGSRRPLGIFSWTITDAVGNDMPGGYCLERWML -VTSDLKCFGNTALAKCNLDHDSEFCDMLKLFEFNKKAIETLNDNTKNKVNLLTHSINALISDNLLMKNRL -KELLNTPYCNYTKFWYVNHTASGEHSLPRCWLVRNNSYLNESEFRNDWIIESDHLLSEMLNKEYIDRQGK -TPLTLVDICFWSTLFFTTTLFLHLVGFPTHRHIRGEPCPLPHRLNSRGGCRCGKYPELKKPITWHKNH - ->YP_025080.1 glycoprotein precursor [Pirital mammarenavirus] -MGQFITLMQSIPEALNMAFNVALVIVSLLCVTKGLINLWKCGIIQLLMFLALAGRSCDGEYKIDRRHVLS -HVEFNLTRMFDNLPQSCSINNTHHYYKGPENTTWGVELTLTNTSVMNRSDENVTSIRSLGFGNITNCDKT -GEAGHTLKWLLNELHFTVLHVTRHIGALCRTTAGAGLLIQYNLTTSDKGGEVGRHLIASLAQIIGDNKAA -WVGKCYNNCTSSGKCSLTNCEGGTHYKFLVIQNTTWPNHCSYSPMSTVRMIIQKTAYSSVSRKLLGFFTW -DISDSSGQHVPGGYCLEQWAIVWAGIKCFDNSVMAKCNKDHNEEFCDTMRLFDFNQNAIKTLQLNVENSL -NLMKKSINGLISDSLVIRNSLKQLAKIPYCNYTKFWYVNDTITGKHSLPQCWLVSNGSYLNETHFKNEWL -WESQKLYNDMLLKEYEERQGNTPLALADLCFWSLVFFTTTVFFQLIGIPTHRHLIGEGCPKPHRLTSNSL -CSCGFYKIPKKPFRWVRKGK - ->NP_899210.1 glycoprotein precursor [Guanarito mammarenavirus] -MGQLISFFQDIPIFFEEALNVALAVVTLLAIIKGIVNVWKSGILQLFVFLVLAGRSCSFKVGHHTNFESF -TVKLGGVFHELPSLCRVNNSYSLIRLSHNSNQALSVEYVDVHPVLCSSSPTILDNYTQCIKGSPEFDWIL -GWTIKGLGHDFLRDPRICCEPKKTTNAEFTFQLNLTDSPETHHYRSKIEVGIRHLFGNYITNDSYSKMSV -VMRNTTWEGQCSNSHVNTLRFLVKNAGYLVGRKPLAFFSWSLSDPKGNDMPGGYCLERWMLVAGDLKCFG -NTAVAKCNLNHDSEFCDMLRLFDFNKNAIEKLNNQTKTAVNMLTHSINSLISDNLLMRNKLKEILKVPYC -NYTRFWYINHTKSGEHSLPRCWLVSNGSYLNESDFRNEWILESDHLIAEMLSKEYQDRQGKTPLTLVDLC -FWSAIFFTTSLFLHLVGFPTHRHIQGDPCPLPHRLDRNGACRCGRFQKLGKQVTWKRKH - ->NP_899212.1 glycoprotein precursor [Machupo mammarenavirus] -MGQLISFFQEIPVFLQEALNIALVAVSLIAVIKGIINLYKSGLFQFIFFLLLAGRSCSDGTFKIGLHTEF -QSVTLTMQRLLANHSNELPSLCMLNNSFYYMRGGVNTFLIRVSDISVLMKEYDVSIYEPEDLGNCLNKSD -SSWAIHWFSNALGHDWLMDPPMLCRNKTKKEGSNIQFNISKADDARVYGKKIRNGMRHLFRGFHDPCEEG -KVCYLTINQCGDPSSFDYCGVNHLSKCQFDHVNTLHFLVRSKTHLNFERSLKAFFSWSLTDSSGKDMPGG -YCLEEWMLIAAKMKCFGNTAVAKCNQNHDSEFCDMLRLFDYNKNAIKTLNDESKKEINLLSQTVNALISD -NLLMKNKIKELMSIPYCNYTKFWYVNHTLTGQHTLPRCWLIRNGSYLNTSEFRNDWILESDHLISEMLSK -EYAERQGKTPITLVDICFWSTIFFTASLFLHLVGIPTHRHLKGEACPLPHKLDSFGGCRCGKYPRLKKPT -IWHKRH - ->NP_899218.1 glycoprotein precursor [Argentinian mammarenavirus] -MGQFISFMQEIPTFLQEALNIALVAVSLIAIIKGIVNLYKSGLFQFFVFLALAGRSCTEEAFKIGLHTEF -QTVSFSMVGLFSNNPHDLPLLCTLNKSHLYIKGGNASFQISFDDIAVLLPQYDVIIQHPADMSWCSKSDD -QIWLSQWFMNAVGHDWHLDPPFLCRNRTKTEGFIFQVNTSKTGVNENYAKKFKTGMHHLYREYPDSCPNG -KLCLMKAQPTSWPLQCPLDHVNTLHFLTRGKNIQLPRRSLKAFFSWSLTDSSGKDTPGGYCLEEWMLVAA -KMKCFGNTAVAKCNLNHDSEFCDMLRLFDYNKNAIKTLNDETKKQVNLMGQTINALISDNLLMKNKIREL -MSVPYCNYTKFWYVNHTLSGQHSLPRCWLIKNNSYLNISDFRNDWILESDFLISEMLSKEYSDRQGKTPL -TLVDICFWSTVFFTASLFLHLVGIPTHRHIRGEACPLPHRLNSLGGCRCGKYPNLKKPTVWRRGH - ->NP_694849.1 glycoprotein [Tacaribe mammarenavirus] -MGQFISFMQEIPIFLQEALNIALVAVSLICIVKGLVNLYRCGLFQLMVFLVLAGRSCSEETFKIGMHTKF -QEVSLSLSALLTNQSHELPMLCLANKTHLYLKSGRSSFKINIDSVTVLTRSEVNLTSINLTRSIDVFVHS -PKLGSCFESDEEWVVAWWIEAIGHRWDQDPGLLCRNKTKTEGKLIQINISRADGNVHYGWRLKNGLDHIY -RGREEPCFEGEQCLIKIQPEDWPTDCKADHTNTFRFLSRSQKSIAVGRTLKAFFSWSLTDPLGNEAPGGY -CLEKWMLVASELKCFGNTAIAKCNQNHDSEFCDMLRLFDYNKNAIKTLNEETKTRVNVLSHTINALISDN -LLMKNKIRELMSVPYCNYTRFWYVNHTLSGQHSLPRCWMIRNNSYLNSSEFRNEWILESDFLISEMLGKE -YSERQGRTPITLVDICFWSTVFFTSTLFLHLIGFPTHEHIRGEGCPLPHRLNSMGGCRCGKYLPLKKPTI -WHRRH - ->NP_694851.1 envelope glycoprotein [Lymphocytic choriomeningitis mammarenavirus] -MGQIVTMFEALPHIIDEVINIVIIVLIVITGIKAVYNFATCGIFALISFLLLAGRSCGMYGLKGPDIYKG -VYQFKSVEFDMSHLNLTMPNACSANNSHHYISMGTSGLELTFTNDSIISHNFCNLTSAFNKKTFDHTLMS -IVSSLHLSIRGNSNYKAVSCDFNNGITIQYNLTFSDRQSAQSQCRTFRGRVLDMFRTAFGGKYMRSGWGW -TGSDGKTTWCSQTSYQYLIIQNRTWENHCTYAGPFGMSRILLSQEKTKFFTRRLAGTFTWTLSDSSGVEN -PGGYCLTKWMILAAELKCFGNTAVAKCNVNHDAEFCDMLRLIDYNKAALSKFKEDVESALHLFKTTVNSL -ISDQLLMRNHLRDLMGVPYCNYSKFWYLEHAKTGETSVPKCWLVTNGSYLNETHFSDQIEQEADNMITEM -LRKDYIKRQGSTPLALMDLLMFSTSAYLVSIFLHLVKIPTHRHIKGGSCPKPHRLTNKGICSCGAFKVPG -VKTVWKRR - ->sp|P31840.1|GLYC_TACVT RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -MGQFISFMQEIPIFLQEALNIALVAVSLICIVKGLVNLYRCGLFQLMVFLVLAGRSCSEETFKIGMHTQF -QEVSLSLSALLTNQSHELPMLCLANKTHLYLKSGRSSFKINIDSVTVLTRSADVFVHSPKLGSCFESDEE -WVVAWWIEAIGHRWDQDPGLLCRNKTKTEGKLIQINISRADGNVHYGWRLKNGLDHIYRGREEPCFEGEQ -CLIKIQPEDWPTDCKADHTNTFRFLSRSQKSIAVGRTLKAFFSWSLTDPLGNEAPGGYCLEKWMLVASEL -KCFGNTAIAKCNQNHDSEFCDMLRLFDYNKNAIKTLNEETKTRVNVLSHTINALISDNLLMKNKIRELMS -VPYCNYTRFWYVNHTLSGQHSLPRCWMIRNNSYLNSSEFRNEWILESDFLISEMLSKEYSERQGRTPITL -VDICFWSTVFFTSTLFLHLIGFPTHEHIRGEGCPLPHRLNSMGGCRCGKYLPLKKPTIWHRRH - ->sp|P31842.1|GLYC_TACV7 RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -MGQFISFMQEIPIFLQEALNIALVAVSLICIVKGLVNLYRCGLFQLMVFLVLAGRSCSEETFKIGMHTQF -QEVSLSLSALLTNQSHELPMLCLANKTHLYLKSGRSSFKINIDSVTVLTRSADVFVHSPKLGSCFESDEE -WVVAWWIEAIGHRWDQDPGLLCRNKTKTEGKLIQINISRADGNVHYGWRLKNGLDHIYRGREEPCFEGKQ -CLIKIQPEDWPTDCKADHTNTFRFLSRSQKSIAVGRTLKAFFSWSLTDPLGNEAPGGYCLEKWMLVASEL -KCFGTLQCQVQPKSRLRVCDMLRLFDYNKNAIKTLNEETKTRVNVLSHTINALISDNLLMKNKIRELMSV -PYCNYTRFWYVNHTLSGQHSLPRCWMIRNNSYLNSSEFRNEWILESDFLISEMLSKEYSERQGRTPITLV -DICFWSTEFFISTLFLHLIGFPTHEHIRGEGCPLPHRLNSMGGCRCGKYLPLKKPTIWHRRH - ->sp|Q6IUF7.1|GLYC_MACHU RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -MGQLISFFQEIPVFLQEALNIALVAVSLIAVIKGIINLYKSGLFQFIFFLLLAGRSCSDGTFKIGLHTEF -QSVTLTMQRLLANHSNELPSLCMLNNSFYYMKGGVNTFLIRVSDISVLMKEHDVSIYEPEDLGNCLNKSD -SSWAIHWFSNALGHDWLMDPPMLCRNKTKKEGSNIQFNISKADDVRVYGKKIRNGMRHLFRGFHDPCEEG -KKCYLTINQCGDPSSFDYCGMDHLSKCQFDHVNTLHFLVRSKTHLNFERSLKAFFSWSLTDSSGKDMPGG -YCLEEWMLIAAKMKCFGNTAVAKCNQNHDSEFCDMLRLFDYNKNAIKTLNDESKKEINLLSQTVNALISD -NLLMKNKIKELMSIPYCNYTKFWYVNHTLTGQHTLPRCWLIRNGSYLNTSEFRNDWILESDHLISEMLSK -EYAERQGKTPITLVDICFWSTVFFTASLFLHLVGIPTHRHLKGEACPLPHKLDSFGGCRCGKYPRLRKPT -IWHKRH - ->sp|P26313.2|GLYC_JUNIN RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -MGQFISFMQEIPTFLQEALNIALVAVSLIAIIKGVVNLYKSGLFQFFVFLALAGRSCTEEAFKIGLHTEF -QTVSFSMVGLFSNNPHDLPLLCTLNKSHLYIKGGNASFKISFDDIAVLLPEYDVIIQHPADMSWCSKSDD -QIWLSQWFMNAVGHDWYLDPPFLCRNRTKTEGFIFQVNTSKTGINENYAKKFKTGMHHLYREYPDSCLDG -KLCLMKAQPTSWPLQCPLDHVNTLHFLTRGKNIQLPRRSLKAFFSWSLTDSSGKDTPGGYCLEEWMLVAA -KMKCFGNTAVAKCNLNHDSEFCDMLRLFDYNKNAIKTLNDETKKQVNLMGQTINALISDNLLMKNKIREL -MSVPYCNYTKFWYVNHTLSGQHSLPRCWLIKNNSYLNISDFRNDWILESDFLISEMLSKEYSDRQGKTPL -TLVDICFWSTVFFTASLFLHLVGIPTHRHIRGEACPLPHRLNSLGGCRCGKYPNLKKPTVWRRGH - ->sp|P07399.1|GLYC_LYCVW RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -MGQIVTMFEALPHIIDEVINIVIIVLIIITSIKAVYNFATCGILALVSFLFLAGRSCGMYGLNGPDIYKG -VYQFKSVEFDMSHLNLTMPNACSVNNSHHYISMGSSGLEPTFTNDSILNHNFCNLTSALNKKSFDHTLMS -IVSSLHLSIRGNSNYKAVSCDFNNGITIQYNLSSSDPQSAMSQCRTFRGRVLDMFRTAFGGKYMRSGWGW -TGSDGKTTWCSQTSYQYLIIQNRTWENHCRYAGPFGMSRILFAQEKTKFLTRRLSGTFTWTLSDSSGVEN -PGGYCLTKWMILAAELKCFGNTAVAKCNVNHDEEFCDMLRLIDYNKAALSKFKQDVESALHVFKTTLNSL -ISDQLLMRNHLRDLMGVPYCNYSKFWYLEHAKTGETSVPKCWLVTNGSYLNETHFSDQIEQEADNMITEM -LRKDYIKRQGSTPLALMDLLMFSTSAYLISIFLHFVRIPTHRHIKGGSCPKPHRLTNKGICSCGAFKVPG -VKTIWKRR - ->sp|P17332.1|GLYC_LASSG RecName: Full=Pre-glycoprotein polyprotein GP complex; Short=Pre-GP-C; Contains: RecName: Full=Stable signal peptide; Short=SSP; Contains: RecName: Full=Glycoprotein G1; Short=GP1; Contains: RecName: Full=Glycoprotein G2; Short=GP2 -MGQIVTFFQEVPHVIEEVMNIVLIALSILAILKGLYNVATCGLIGLVTFLLLSGRSCSLIYKGTYELQTL -ELNMETLNMTMPLSCTKNNSHHYIRVGNETGLELTLTNTSILNHKFCNLSDAHKRNLYDHSLMSIISTFH -LSIPNFNQYEAMSCDFNGGKITVQYNLSHSFAVDAAGHCGTLANGVLQTFMRMAWGGSYIALDSGRGNWD -CIMTSYQYLIIQNTTWDDHCQFSRPSPIGYLGLLSQRTRDIYISRRLLGTFTWTLSDSEGNETPGGYCLT -RWMLIEAELKCFGNTAVAKCNEKHDEEFCDMLRLFDFNKQAIRRLKTEAQMSIQLINKAVNALINDQLIM -KNHLRDIMGIPYCNYSRYWYLNHTSTGKTSLPRCWLISNGSYLNETKFSDDIEQQADNMITEMLQKEYID -RQGKTPLGLVDLFVFSTSFYLISIFLHLVKIPTHRHIVGKPCPKPHRLNHMGICSCGLYKQPGVPVRWKR - diff --git a/seq/clusters_seq/cluster_840 b/seq/clusters_seq/cluster_840 deleted file mode 100644 index 5147bc0..0000000 --- a/seq/clusters_seq/cluster_840 +++ /dev/null @@ -1,163 +0,0 @@ ->YP_009337639.1 hypothetical protein [Wenzhou hepe-like virus 1] -MSILDESAFPGQAREGVSLLQQVNWEEYYQATQTQANTINIGFMVKPEIKALVHKVFSPLNFEFAPGNRC -TDHPIPAIGLAIGKHMLDSFMTPETLCIGQSFRNINDNSVHNVSARDFVRAVKASKHTNKALLHAVGKAN -TMGVENVTARYTHAVMNNVYDIEFDDLPNIMEKTGLTTIHAVMILPNSVAFGHDSYDSELGYGIAIDDDK -ATMYFKEDRSWAYTHRLDNWRKWVTNNVSVGEHSNVFIERTNRFGPMHLLTITRTPMGETVTGVKPNPYI -DMVEVIDFCGSADHFAKLINSYYTSFTKFPLSAFHYNKFRKKLAEIKAASKRVYIPRRIYDKLVQFVFNR -DDNMMNRNAASVYLQGQIQTITVSSHTLQHGYELSPQDFAIVSKNAFLEAAVLRYNATKQIAYFMNIFSD -DRNVKASFFQKVFNTIDIAFMSPFDRAEDVPMRNVYNAVNTAPNSIIALMFCLTCDRVKPEMMTEEGPEH -FYREVETMVHKMVDYDPPSDGCCVNSCMEKILMDTQVFAKGITVGQFATQLKNTGTEEAYNCIKIAGGHA -TINVEGNFVCEHSHFRNPVVAEPKPLLLTFDEKRYPLSNPKEIYRQMSYCSNYFFTDDEQFVHWIARRNV -DVEDTSGIKGIIAKILGRPFLENRRIQSKVVATVSKSTTASMMNDLLQIGDVDAISNIFCDECYYGLPKA -VYFYNFDRVAIQFRPRMYKYIYEDMAEDSTLVVPLFSTMLRYPTNYLKEFIDTIVQDKPQFYHVTSEGCL -VVKKATVFGMSPNVPKYKHKGTIPPVIQKLPYKKLGRTESSAEIDFGLKFEETESEQQPTLPTIIEEEEP -EEREDHDSGEGQQQHTSMHEHEEEQVNADDEEAENQNLQNEVVEIDNVYNLPSVGNDPNMLRVKCETCEA -DGVPLPKCGHVFCVQHTDYKSCRNCAETHYEKLSALRGIPDSKFFMDGRTLKVSEHYDSSADKLRVILYA -ASAVEDIANIIKEVREGRRYTGDYEEQIKAAAEMWKGPIEASTYHGVARCIYENEIFGELDDVEPLDFQI -VEVATDPARVKTMVANFINTLRTKDEKYKDIHDLAIKNAKKIDRFEHEATVKLGLGIAGCGKTTDVLREY -GDKQGVVVVVPYAKLKQSYSDKGMIAYTIAKFLSRGNWAETIILDEAFAMHPGFLYLAMEMAKEVVLVGD -DKQLDYNDGTQSIRVQKSMRDILKYDPNRRKRTSYSMPNDIIEWVRPIYNMQITTVNHVDRSVNIMHDVA -PRGSMVFTEFDERAVGGITVAKSQGLRYDEVNLYYSPRSKAIMQVHGQKLVAVSRHTRRLNLFVPTMLLA -NVMGYPRQKESKRGKITAFFGESYKFSNFNRCVFDFENITYTSSEQAYMAEKARFFADETTLNFIMRTND -PREIKRLGRNVRNFDQQKWDGVKEDVMYRILQRKFELQSYRKALLDTGDDNLVEASPTDGFWGAKASLID -VESGVAFEGLNKLGNILCRIREEIKGTRIGARTDYNTNKGFYGNHTVRFEVGNFANNVNEGVVKAPESFY -TNLSLYAKEPVPYQAVLIPENPDDTLREKVYPYLVNQTTSVTAVEEVIQKIAYTDADNYTSDRDIYHNHL -GNIRNNKVLKLKQKRGDQFSETVRSGFVMGGKLRGRPCYSKNLTQELHCAVERYMKESKGRVYSEARAKA -DRLYKGFCRLFDMSKATCIDVEELSLAIGEQVSRIQAKGIQQDEGTFGDSHYNTDQIKFHLKQQVKADLN -QDSYLRGSMDDEGRYVLKAGQGISATPKTINHIAAGYIRAIEGRLNQIKKPFVHFGYGHTKEQFKRVFAH -GRSGTHSVMSVDIKEQDTTKGLWTQLFTDRIYAKFGVPIVVRKALKNIGSDWKLEARDFSVMVEDFFQSG -RPDTLLDNTLMTFGCLGDAFKLKEPRTVAAQGDDGYISAKKITMSDDTLVQYKINRNSVGDFVGYLIGDN -EVYLDIPRLAVKLMNRVYTNASELEEYKTAVSDWLDFIDNPGHAYRTSLHVAHKYEITVEDADTLLAFLY -NFSRGDMVPPNFRTATVVHTTITQKKL - ->YP_009333298.1 hypothetical protein [Beihai hepe-like virus 9] -MDYNAIPKEDREIAHSVFSELAEQNYRTQLENAYEVTTLLSGKQLRLLSQWLGGLPVKLSERVTHRSHPL -PAACHEIAASVVRKSVGTNFLEVGTEFEQLHNHDVHLITARDEGRAIKKTPIATDILMSGTHCHAGVNKC -LKQARTLVAIGVHDISPLDWVKAMHRHGAVEGVVAMILPPELDFDTPPTNDPKADPGYRMQFHKDYAIMF -FPDDSSNGYRHNRETWRKWRTTTIVAGKDHNYIFEETTKVGIVSIIRVYRSFTGDTVVKPLWNPYADHYR -IPYYTTIFDRLVQIRDMSATVSGARAAVHELLLSAKHFFIPRSVYHRAMAFADARTDTMFNRQFVYTFVN -SISSQVKVNDTIITSELGLTHEQKQELVINIILNSAAQRYKQTKLIGMAMNMMGTQDAPNGFKNWLFEFF -PFVYRLFWKEENYLENGRKMQVLMEAFSPISTETVLSINEAPDHPLPNIPVFCEYDYHPGDRGKCLEKCI -ALTTSEYRLDYKGLTKQQAVSQIQKEFGGLPDGLTITDDHAYLQCSAHTHCEHGRPYKLTAPIMPGGPIF -SEEDETRLEHAARYIKSQQTTCNNNAVKTRAVLHAFRDLDSFVEQEHRYYNACAAPLNDHLAWNHKIVTH -NLVPSLEEYREAVYRTTGTIHWAVNVACQVCVSENIPKDNVVIADLGMEAESKDLIATQTRVLENLREHP -RVAIKIQRFYDHFMVSHGGTQQLMKELSAYERYDVPGQAAGEAWFFKGASQPPPYQTSKVYQDTRGTSKV -EDQSAAIITSYWAPRSDNCHPHDECHKDVEMMDNGSICTYHFDKSLVGFYGLVVGETSPDCSIYNRGAIV -PNLTPSRELCNEDASGGECPTVHEGDQSSINPQQLPSPYAPETPTAPPMPPQQDHEAQQEPKSDGELQSN -TALVPSAPPLPQDQTESAEGAGAAAQPNALDEVSASGIAKPIPDLKWRAINQWYFLDDETYEEYPPEIND -KIDQQYARGKKVLKWSEKVDGEKATFLIDPALKVEVELATGKTTPVAHVPLGSSAPRYTKADNESQSVAP -ESTATEETCKDPPETTVAPITETEEPNTWAQEMADYSQGIAQTLPQSQGTSEPISIITEDFGDYDIDNPQ -LIASLCHDSKYGCAGQVQRTPLEHVARLMGADDKLTKKEIFGFLEEQDSKEVRKACDFVVRMKYTTHSEF -RQVINHNEFTAADKKPIHKIWAAAVIELRSILKAGIKTKTDECWAGTIYGTIQGHYWGVLDDYETIDFAD -VTWQISDSLAKKLHNQFICSIRTQDKNYKEVHDVAIDHLNTHKTKGIVRTFKMFNGVAGSGKTASVKKLL -NMKEVVTVVPYSALKKGYEKDGCSAFTIAKYLNSEPAETILLDEVYAMHPGFVNYVMLTSKTVYCIGGAE -QLYYNEGDNYQSKAPNIVPYLTGDIPTKKISYSVPIDITRWANVSHGYDFKTYNTKIESVVVKRGTHPVG -NKGDFNMAYSTSLAVRKNGKTAASVQGCRTLVTHLFYESAVTTLSKVHGMDYVALTRHSDTIYIYLGNMT -DARFHGKNIIIPPSVQEQSTPTRVVAGKPKTNVIVGGRNGVLTQVGPYELAGAHITIGETFSVRADVTSG -NKMEKVENVQATVRHHEVRVTADYMAMHAAHTGYEPVGVILPYDEIEVEPEFHDSLLCVAEYQETSFARA -EEILQTIAPTQALPYTENRLNNIHDLGAMRTQSLLTFSLDNKPINSGEVRNTFTTPGRCRYRHDQTGHFG -RTLHCLVNRYGGQSTTRDRKVAAEQADLLFEGFMKFIDPSKIQKITDTDIELGVASQVTRIHAKQQDQSD -AGTFFEGSSVVKFFLKGQQKVDLNEDGWLREDDYGNLKAGQGVSALPKHFNHLQAAYVRALEQKVEKAFA -SGYHLAYGKTKREMHHTIKQSENERAHYYNFDLSQQDCCKGLWTDLFFARVCETFGVPKEIYEIEIAAHT -NWHISAIGLDAQLDVKDKYQSGSPWTLCSNTLMEIGVVGMSCEFTNPTGGWFQGDDATVIAEDCTATANF -FPKLKFEKADIGNFCGMLINDGLALDLPRCTAKILNRSFDKQTDLDQYMVAVADWMRIYTNSDEMMRGIY -LNAIYYDKTYSEMAELNGMLIAFAHGKIVDNLSRKNPNLMLTCIVSKDLY - ->YP_009333258.1 replicase [Beihai hepe-like virus 8] -MSVLDVKNYPSAARESVAEAVSHYWEDFYKNSTKNVIKIPWRVTPTVASLAHKVFAPVVFEFGSELSMSS -HPIAAIALSLGNTVLKNVCPNPSLDIGPNFFKLDKVASVHKVDGRDYGRAMKATEKFGVGPLQHVTSMNS -QLDGIDQAKKVFGSAIMNNVYDIPFDDLPQIMHEKKISVIHAVMILPPMLIYKQEMYDDECGYGLRFVDD -SRKMISMYFKDQSFVYTHDYDNWFKWCTQPVVEGMECNVVVERARTLGCLTYLQITKTRYGVTLTNPMPT -PLNDMKQVLDFGGIADVMVRKIHSYNYLSGNDIRKIIRRAKKVYVPKHMYDDTVIFMINRDDKLMDRNVA -GTYLQGKMQTITISDHVINQGFRMNAEDFAVVAKTAFIESCVHRYRATKEIGAILNLLKSETPSFWRKVK -NTITNWLELDSISFFRLTLDDVHDLSRAEMSILFSLMCTTIELTFFEETAKSHMIKRWNWHTQKTHHYEP -PSDGFCMVNCINKMVVSPVKYPPLQTNAQYAALLRKDGYEDVTQHATIHVGQGVNHATIGLSARVICSHG -RFRTPVVEAPKPILLSHDYSRKGSMSETTYAELTAINTNGLYFDHVEPLRYASDGAKYCYINPQLVKGTD -SVELIEDIEYVNFDYNPFCDLCEPKRITMFYDAAVLTWRAPKLFQNLLDRMLDGSVLVISNFDSFLKVPT -KEVLDFLRYLSSSGCKIYENNRDATVAVIKDKSIVSKNALLFGPSKFSFKFSHKVYTASVETQTNQSNAY -SAVGNARIESSRRNYDGWLCKWSTVHDVCVGPDVPVDYIIPIIPTAPEVEDIFGETFFLKELERNIITRV -GGRLIFRDSSGDEFLIDGSHAISENGVKYPHTQLLVDIDGTIVEQSAYLVDGTIVGEGKHETISQYPESA -EYSDYNNSIDCFKQVGYMFGAKEEAAKFINRSTSVEDEVSRVLEAATFIKPLMCYVKKVAAGILYYKSNS -KQNDKEVENVIKNLSFTPAQNQGYFNGLIYGVYNNRVYGEAYDKIPLRFIKKKLDIPDRYRDMFVRCLHT -NDEKYKDVHNRAIDNIRDMKLKSEINVQVALGCAGSGKTTSAINFFDKKNTTVVVPYSELAREYRNKGFQ -AKTIASFLSGQFPDPGDNILLDEAFAMHPGAILVIANISNNIYMIGDNKQMGYNDSSDNIKCRSLAELFN -FDDCYRMNVSLAVPIDIVRWLHSEYENGWTTMNTLLNSVKFVHSDPEGDNKLAFATADATRYNCKTVAAV -QGMRKAEIHLIFSSKAHALTRVLGQKLVALTRHTKRLFIHILNAAVHTVMDFPQSEVPIGARLDFRTLHG -HYDYAKAHFKTKPLNISDQLNDDIIAIDNQSKDFGVSKDDFMAARPSARKISKLKSASGKFGKQSHDNGE -VTISLPAMYTINKAVYDVEPIDVHCPAPFNLNKHADDSHRLKDDIYKMADTVTSYVEIEEIMQEISPSSS -ELYESRRDIRHEQFKDIESGKKLKIKEKNRPLFTESMRRTFVSASRLRGRPTNQQNFSQELQTAIGRYAK -KNVNMTKAEAMAGADVLWEGYNKLVDVSKITPITMEELSLACACQATKIHDKNENQDEGLFGLSYASTEK -IKFHLKHQVKADLKVDSWLRGEIEDGVFRVKAGQGISARPKTVNHLCGCYVRAMENKLASCFRKGVTIGY -GKNPCELSKHVASSGIAQETASVDISEQDTTKAPHVYEFSRRLHQRCGVPKFVSDILILGNWFWSLVGKE -LILDVQYKFQSGRPDTLFDNTAHNMAVLGSHFNFKGLKMLLATGDDGCIKADKIERTGCDLFKIKVDRNV -VGDFVGFIIGDRKVYLDTPRLVTKLCNREFADAERVHEYEVAVQDWLSVNDTYDEFVQNNTLVALKYGIS -YSAASRLMSFLVCFSKGKVISEDFRTANVVRSTITQKILT - ->YP_009333246.1 replicase [Beihai hepe-like virus 10] -MDYTAIPKQEKEIAQSRFSELVEGNYDVLAQNAYEVNVLLTPHQLSTLSRQLGGFPVRLSETATHRSHPL -PAACHEIAAKCVYKSLKHTFLEVGTEFESLHNHDVHLITARDEGRAIKKAPDFADALLSDRHCRNGVLSC -AKQAHTLVAIGVHDISPLEWVKAMHKHGAAEGVLAMILPHELDFDTPPTNDPKADPGYRMQFDKDYATMY -FPGDPSNGYRHSLKTWRSWRTTTIIKGANHNYIFEETTRIGIVSIIRVYRTFRGDSILKPLCNPYADHYR -IPYYSTIFDEMMDIKTHALTIGHLKSRIYKLLMDAKHFYIPKQVYHRAMAFADARTDTMFNRQFIYTFIN -SIASPLKVNDVHITQDLGLTHEQKQELVINIFLNSAAQRYKQTKLIGAAMNMMGSTADDRSLKSIIYNIS -PTLYKLFWNTSNYLENGRRMQALMEFFSPSSTETELSINTAPHHHMPVIPVFCDFEHHPADRGQCLRECI -KLTTTDTMHSYVGLTRAQAIDTIEEEYGTIPQGLVITDSHAYLNCSASTHCHHGVPYKLTAPKRGEGPIF -SDQKEMREEHTARYIKSQQTTCNNNSLKTKAIIQAFRDLDSFIEQEHRYYNACAAPLNDHEAWHNKLVTH -NIIPSLDDFRDAIYRTTGKIQWANNVACPRCVADNIPKDHIIVLDLGMDAEHKDLIGTQVRILENLQNYP -QVAIKIQKYYDSFLFQNPGTDQLMQLLGHYDRCEVPGQAAGEAWFFKGHIRNPPCVDTKVYRDTNVREDD -DVDSGPTIYNNYWLPFDDKCDTKDDCIKTVIALKNSTTCTYHLGSKEAQQHILEQAKLEHTASLQEEEEE -ECEEDEEEQDEQGENEGEEEEEEQQDEPGEEKDEGEEEEDDEEPAPTAETEEGLEEAREEQKEEATEALG -DASAATKADVPETDEEGDQEGEEGAALVPIATTSPLREDVATPMEKQEEEEEGAGAGTKEKIVEPKQEEE -ATTTPVVAASATEQEPAAERTIVATPRIKLAPIPKPTKENPFPLPRPLVDRPEKKEPKGEKLKKWYEYLD -EEEEEEEFGAAAVRPKTVKPRATTTADPISKTPKTRSNVIDAKCNALGTDRNVCHCVGADFALGAGIALT -IRKSLGEANVKELDESAGKINQGTFLYQKEQDTHYFHMVTKPQSSRKPADHKMMFIALQNVRDHLTKINN -TRDLYMPHIGSGLDKLDFVLTRQRIEELFGKMPWKTYVCEFNPKKVKREAKLVTEPAIEIEKPAVASESS -AERDAEEAVDAVAELKSGTSAKAAAKKTKKKEDKMALKISVPTATEPRPEARRLSTASRTPEAPITPREW -NFEEEMDGLDASLIASVGHDSKYGCCGQTFNRPLDHLASLTDLESSATLKETYEHLEAKDKDELRKACDF -VCRMKYTSHKEFRQIVNTHEFTTNNIGKVQRIWVTALIALRQQLKEGVKPERDSCWAGTIYGIIDGCYWG -KLDDYEEVAFGDVKWSMDDATAKRMAGSFIQSLRTTDRDFKEVHDLAIDNLREHKHKGMICNIKMFNGVA -GSGKTASVKKILDMKGLTVVVPYRALKAGYNKDSCAAYTIAKYVSSAPAARVLLDEVYAMHPGFVNYVCQ -TSEEVYCIGGAEQLMYNDGENFKNKTPDIRPYLSGTIPTKKVSYTVPVDITRWANIAFKYDFKTYNGKIE -SVITQRGAHPRPDPDNFNMAYSLAVARSKKGKTAASVQGCRTKDTHFFYESAVTTLASVHGMDYVAMTRH -SRNIYIYLGNYTEPRFHHRNLRQERTVTRAGEGPVIAGRPAIVKGRNSVRTQAGPYELAGAHIEIADRFQ -VRASVPNADKQTKIETVQSTVRHHHVHVTGDYMVMHAAHTGYEPVGVILPYDEIEPTFEFYDNLLGIAEH -QEASFARAEEILQEIAPTDAVPYTENRLNEIHDLGTMRTAKTLTFGLDNKPVNPGEVRNSFTTPGRCRYR -HDQTGHFGRTLHCLINRYGGEGTTRDRQLAGEQAEELFRGFMKFIDPMKVEQITPTDIELGVASQVTRIH -AKQQDQSDAGTFFEGSSAVRFFLKGQQKVDLNPDGWLRSDEHGVLKAGQGVSALPKHFNHLQAAYVRALE -QKIEQALKPGCHLAYGKTKREMHHMIKESEKDTNYYFNFDLSQQDCCKGLWTDLFFARVCEMFGIPKEIY -SIEIAAHTNWHISAIGLDAKLDVEDKYQSGSPWTLTSNTLMEIGVVGMSCDFEDVTGAWFQGDDATVIAK -TCSPTPYFFPKLKFEKADIGNFCGMLINEGLALDLPRCAAKILNRSFDNQADLDQYMTAVEDWLRIYTNC -DEMMRGIALNAVYYEASYNEMSELNGMLVAFAAGKIVNSLHKKNPNLMFTSIVHKDLY - ->APG77543.1 hypothetical protein [Beihai hepe-like virus 7] -MSIIEENSYPGQARESLSILQNVNWEEYYQETQLPANTIQVGFMVKPETKALIHKLYSPFNFKFNAGGIA -SQHPIPSVGLIIGNEMLERYITPETLHIGGSFNNPNVHSVHSISARDIARAAKASRFHRHRPYTMALSKQ -NTTGVQNMEGRYETAVMNNVYDIEFDQLPDIMENLGLKTIHAVMILPKCLAYGHDSYNTEDGFGIKFTGE -LATMYFKDDMSWAYTHSWKNWAKWTTRNVSEGKYCNVLVERIQNFGPMHLLQITRTFHGDRITMPLPDPY -MDMIQVVDFCGAADQFHKLLSSYYTIFTKAHSPKLAFEIFLSKILEIKKNAKKVYIPRKIYDKLVTFVYN -RDDNMMNRNAASVYLQGQIQTITVSNTTLQHGYELRPEEFAIVSKNAFVEAAAHRYKATKQIAYYMNIFS -EEGKKFDQGFLTKAFNTLDIIFSDPFKRKEGTPLRNAFNKIIGLPSDIAVVMYSLICQQVEVLIQEEVCS -TKGEPAFDTKLDTIYEYDPPSDGYCAQRCLDKICLNKIKLPVSPTAKEITDELTKNEATQAFGRMNIDNG -HATIKLKGGMICEHARAKMPIVGKVTPILLSFDENKYDLPDIQKTANAVAKMEGSIFTDDELLLHAIVRA -TKGVVRDESFIDFIAKALGKPFYKDVRCQLEKKIKYQTDPTMSPSAISDLCEMKNKDVEVNCFCRRCQPL -VDTSMCYLTFSTATPHERIAYHLEVISRVSRATLVIIPDFTLLLRYPTTDLLKFITKVSKFHFFHITHSG -ALCYRKTLPPSKRAPRPKPMFFGGNGSYAMCITPQENITYWKKQKKAKQVAAQAAQTPPRLLVEDIFDDL -GEDLQRFFTARQDSAQPATPTAPPPAPTPVGTPTITPATSMVSLALDPEDYMHDIWITKCDVCYEEGDKL -DCGCERCQECFAISGCEHDCCQVCDYIKSTKKCKCGKRICDNHFKDLCNDCILCTCGAVGNKMRRCNHIR -CDNCPINCWCGACACGEESVATAACGHNLCENCDTTHCELTEHPTCLNCTSVAQIWLDTCGHHFCRRCVS -YESCRSCRARSYVMLPRIDELPPFEGHTPIGVLKAPECFDGEDELLKLLQIATVDDEYYQLVKDCYCGRP -YASKLQVLTDSVTVDRQDIRDKAYHGTVDGIYQGRYFGKQGKLPGLQLQTVTLQPDPSNFRVKSFLRTLE -TKDEKYAETHRAAIKNAERLMREDSEECEIKLALGVAGCGKTSDVVEKYGKDKETVVVVPYAKLKGEYAN -KGMTAYTIAKFLQVNPKKRRVIMDEAFAMHPGFINLAQKMCSEMVLVGDDKQLDYNDGQTSVSRIKPLRE -VLTYDPTIRKTVSYGMPVDIVHWVQPIYGIDITTINTTVKSVQFHHKVRVPDGCMVFAEYDEKAHKGVTV -AKSQGMRMPEVNLFFSPRSKMLLNIHGQKLVAVSRHTRKLNIYLTTDLLGSVMGYPDLNVAKEDVRYIQD -TSSISENANRRFDFRGETYNSIMSAYQDCQVLSDYVGNTQRLLTDIIKVAFTATEMESLKKKTVIRVTLD -GNFGTGTTMAGIQNTNSYDGENKYGKAVEKAVSEFFGQKVGARTDFRTQYGFYGNDSVTFEVGEKFRNSE -GIVTAPQNFYTNLSLYSMEPPQTKALLLDTPNQDIEDNERRVRSPVFPFLETQDTTVNAVEEVLQKVATT -SADSYTADRDIFHQDLGNIGNKEKLVIKNKRGDAFSEGVRTGFVMGGRLRGRPCQTKNLTQELHCAVQRY -MKKGNSDTYAKAKAKADKLYDGFNKLFRMEKARPIDPEELALAVGEQVGRIQLKKQDQDEGIFGDNYWNT -EQIKFHLKGQTKADLNADSYLRGSMDDNGKYILKAGQGISAAPKTINHIAACYIRAIESRLNEIKKPFVH -FGYGHTKEQFKNIFMNNGDFHHEVASVDIKEQDTTKGLWTQLYTDMIYAKFGVPIVIRKALKNIGHNWIL -RGRDFKVKVGDFFQSGRPDTLLDNTLMTFGCLGDAFHLKRPRVVAAQGDDGYLSAKKITKKDVTLVEYKI -NRNKVGDFVGFLIGDNEVYLDIPRLAVKLMNRVYGNAAELEEYKTAVSDWLEFIDNPGHAYRTSLHVAHK -YDINVQDANTLLAFLYNFARGKLVPSDFRTASVVRTTITQKKL diff --git a/seq/clusters_seq/cluster_841 b/seq/clusters_seq/cluster_841 deleted file mode 100644 index b9ae851..0000000 --- a/seq/clusters_seq/cluster_841 +++ /dev/null @@ -1,125 +0,0 @@ ->YP_009337671.1 hypothetical protein [Wenling zhaovirus-like virus 1] -MQVKKPKTPIKRSILKNAPDPKKRQERQKPSRSRSRSYKSKETQKLSKSQRSLSQLSKTKKQSTKQQLAA -IQRLLTTNLQNSLPYKKAPEAYKGKQTNGNKKSKLQNRGQMLAVTNGNSGKLVVPMLKTGRGLVHEAEMI -PPHKRNITRPSYKEGELVQANYKQVLSAWDKMCIAKHYPGTFNAQYVAGMNVSSLPTMTFSVSNTFATCN -VHGETGTDFQFGDYDYLLIMYSTSQSAFYGTGGPNNIDPATKQGGLYFKQFKNTSTTAKTIDRNCFNRTN -TGLTMLQTYGSEFTGFSSGGFVWSGKTTMNIIAPAACMVGSYYKGTIAWGQIPLDSNGDTEGLDLEQLIH -IASEIEVMDSQFCLQTAVINNDLVYDSQNAKESANSSAMVGELVNYVILQQPVQTITSDGDKNFSLQANF -TGNGVFWGKATDAFANNLFKRYKGDQNRESPMPNVLQTINARDMNERNLSSEPKTWWQGAKNLLSSGLQF -ANDNKDSILAAGKIAASLFASKQEYKLQTGDEPQKSIKDEPFTIPIADYTSQLDKLILVLLTMQQMNLLF -CSPEIKLLLHLCELEQKEVNKSITMPGYISTGRYEPKVVGFDLEIYAKLIEKDSFRQPCLPECETDTESE -SSDDEQQRSLNIGEKHQDGQIDDKLDLVSVSDKTDIIQDPNGLSILKNKSVAPKQKLKR - ->YP_009337670.1 RNA-dependent RNA polymerase [Wenling zhaovirus-like virus 1] -MNRQIQLQSLLYMHIFRHVDQKTIKDFKVQIDVPIEFSNRNLRGGCNTYVQMSNTYIMPGITIFDIVSQS -SSGYYQAVLKPPPQYVSTYLQDFRQNINRSCYFLKFLFKTYCPLLSNKIDISDNIAFPAYLPLRDNQSFY -VNPAGGNFTTQQMKWLSNILWDKKQPEISTQQAIDYANNQVIHTSETDAFIYQKLNEHLDPRKITKYSNI -QQNFVFEPRRTDLVTKKGSLSCGKQLQSLCNNLEYLKDTVVQEFKQKIITKAATSWKKYTKGLQPTSIPI -LQQDTDICAFIDYQCGKTKYLPQRVKNQIKDYVRQVLSNGQWHDFNQLIQKIHHEIPESQILNKDYHQIL -KDVMKFYVSIAQKNQTMIDIKSDFDAYEEQRLIIEQETKQNSDIQNKCVQVITKDQYYVTKDNSPPIAEN -CNPEVHPDIDIAHFKNQVFYQYANSDYCITKSSRVNRFELNNENRRAQVLPRKKLFRDGQEVQVYEFDAS -NCANVYGCIVNRQFAAAIRPNVTDVAKLGQMVQQWYTDMEIDINKQFQNLTPDQMTDFLPTLFGYVRGQS -FPIRKQMKYIDGSIDFIFNDNTYKIVGSFTSMPKKGEVRSFLKGSETYIRRGKIHNTTADPRNIANPNIV -GIAQYTNYLYLQQLLLPGSKSPATSGVIIGCNSKQLQDRMDVWRQKSINPKTNQKFKTCELYQLSWDGKR -FDSTQYTQNIQVVDIPFLKRFSPIIKQAFKHTFNTWGFTPSKFNTEEKMSKMMDIILKDMCQTRHRLYVP -LPDIWDTMSSQQQEKMRQLWTPSVLKEFKKECSKQNEPWKHYMFYDIEGTVLSGHGIRTTLGNTLRSLAY -AYYYIQYPYLNKYNQLTQISKKPWDDTRFYVMASGDDLVIIGDQDTLKQIRLNALSLTSRDKNLQVQIGL -GQAIDDIPEPVTIYKFDFLSKVSYYNGDSWALASNPKKILEKIVYTGQNALLYNSMWLYYDVILYNLKRL -GLSRKLEDIVKARRDECIPPKFTTEKLQAIYYRINKHYENQYKVLQQDEDYFDYKFSDIIEKDLSITFCT -ILGIDWQGIISVGSQFKGCNSPLRQQVNNLRIICKSRNLKHPLNDQYQRTHLTLKNGRNARNRHVPAPAR -IKVRKPKNYPRVRDPCLNSVKQKSRAPNNNQLPFRDYQPRTYKTAYHIKKLQKLTKGSRLMVIKSRSFKI -VDKCSQSQMATPESQLFQCQKPDAASCMKPR - ->YP_009337445.1 polyprotein [Beihai zhaovirus-like virus 4] -MLPNKQSDPSGRPSLDRVALVDAEYFNSSPYIVAIQTKALGTESFLIKESLTDLPYGCMQKISKPHLVPV -SLTVVSERLRQLKEQDFTFYAFGSTAESRTFDVQIQDLQQQTFWLIAKPEDHGVTHNAYDEVRFLFNMLL -MEMDCKNITWLEANSNPHLREIYDAQLSHFGYNPISKTGTTSSIHSSSDWTIPLRLKVSHKRDILAMKWK -LYIFCLVKGFSREEHQQLESFAYINLDAEFKEFFMEDSLTSYPLPPVGNRDTFSVYYKTLSTTMSRPALQ -EKASSPIQKVVRQEETLFQEVFQIQQGIKPKCIQYAKLHKRVINGDLSAVRVDQFDEYCLGDENDVNWAL -AFKSFFKKITGCINARANGTKITDIDTEWQNTIEKMGDNQEFFFKKSALWPQMIRVDAIDRGILEDKVYS -GRDDESKQPLAWNQGNQVYSGREDSYPQIDPVQASVCLQKDFPGEAEHVTLVHVDARRDKQRHSQKVWES -YRLGITRLVQRGCAYQQQGSNIIIVSPPDDLCFFKALAHFAYSEAHRPYACDLAYQQARQCTVAGTKGHN -EDTISMVTRALGLSYMTFDQFVSTVKLIRERDLEKKNLSRFNTPLFPCIVLHNNHACVAVLQHKAKKKLY -SYSQLADAFNLPFRASSSSTCPLLVQNNAQIKVERLMKKIQKQNTDFQRYKQEKRNEKKEKKKEKITPEQ -QVHRQIHYCLRKNLEIEPMCLPETHESDSAVAQFVLDYQLGLSRNVNVPIPESKTGYVYLASLQKKKQID -IFKPGPPKQVSTYQLKDNIFGDNLIHDEDLAEAVINELAPEDFSAEQVEKVLSHYEGIQDQNGLIPVGNQ -QSSSDARAGNTAFQDSLQQVYGSRQIIKNEPPKGIKRDVVVGRKVANMELYGEGDLIEMKNVNSTAVAEA -TVALVLSTHTSQNGRVSNNDKTIAKYNPFQVKPHRIGKDKLVVEPIRVNIQGSLPPNFYDWVTLYGLDLH -PEAKQYASHTSGGHSALRTFVNLMICAHWTEILTLCLRSKEAYGHDDVKIVCPGDKYQKTTTILDSCLRI -DPHVWYPITNEMTDVQKGQARKHQKKFLEDVEVVRXMQNYDQMSNHDRTQAFLAQHTLYADHNKHAMDLS -MKRRKITHISVRPVELPYDAQYYQMHNADCKAKKWEPGYIDHLYLDGLIQEHDQLYPQSQVIYSMIDVHY -YLSGWQPMNRKFTDWFNAVGGNFFPAPGEYILPFNEGRYVIDGQSNVSFVARRSGNWYRHPLVGLMDPVQ -SINFGWYSIALSSGNPRDFKLFKYAPTGYNTKTSLQSTWIADQMENLKGTKIAPMIKAGIPERWQESNTN -EFIASICRSGGFAKLSHENQIQIYSYFSKAVTIRQYKYQRQPRGSFCTFLLGPKVECKFHDFVAPHATDL -DSRLGLSEYNSLKSQERIDPLNEQGLLGFLTPNRVKVPRVTVERISGKRSVKDKLLLKRDKPSKMTHVQL -LNNNEVNMVYCGRNKQNEPEQSGIEQPQEETGQEGLAETAERGHALLCQLQYGRCDWDEVMQLYAMQVQQ -KKTAKVKQVNFCQDNCINMEDYFCIKRPDYTQLQSMNPEVIHTPGLYQQQIRSAIRLCQRQTERMAIHKN -ALVPFNQVVAGKVVSKSGSSVLSKDLNLPIKEFEWSSKSLDNTLWAIQRHLSAKMRPDESCLNEFGMMTE -GFMSDLVQRILSENPWPQGYLMDYPKGQQAWTDAKKLKYEKNLMRVFWDVNYTDYLCSFTLMVKTGEVNT -TVDMSYDSDGFLIGQSSRPRAIMNPTSGAFGLMQAVQSEIFPLVRKHIPGFIHAMKGQEIVDLVKSRIKH -DWKAISLDGSAFDSSQFECLMRLVDDKFWRGMRPFIRRVIQHNWDGMVNTPANSVDKITEQLMKALLKSQ -NLVFVHLPGVKSPSWPPQVRKQFFRDVEQAGQWKNGDPEEDWIYLELNGTTFSGHSTKTTLGNTLRTLCY -AWFYIMKAGISQTPWNSNKVFAIASGDDCVIFVSPEYADVLYGTILGLSSRNTKEQXVGLGQCIKEIQIG -KFFEIEFCSKWSDSPDGSLESWTMCRSIVKLMTTKQYFTGKNKHILTDPWLHRKAILDGFESEKVSILIE -DMLQIQLQKLERPIISDSLLQTKLRQTKQILYAQDPNHYQMQSYVNDRMSYTVKDLWNLKLEDRVYAGRT -RQGSSDIKMSRNRMSKTSKQRNRLNHERAELRKAEIKMAKTLASQIESKQHKQAKQGPKVQTSGPPQVTR -PKFNKGMLEQKDNTRDVLTAWDEQLVAKCSPGQFNVSYVAGMNVTNLPTNCFSVSNTFAEANRFGQQVNE -PLGTSDYTLIMWSSSMTAFNGDGAQGNIPETDRLGGMVMKMVDAADLDNAWITRGIFEGVQSAYTMLEVY -GSDMTKFSAGGYVWASEATFNVLAPMANIVGSWYRGTIQYGQLPSGNGQALSLRQLLEIAGDIEVMKPQF -KMRTGVVNHNIVYESQQNNNDGLKDNEFVGELVNYVILQDVAKNITTGTNANFTLQMNIKGNGVFWGNPS -DAIANNLFKVSAQRKSPMPGLLAGVTQNPDQHLPKRPSSIWEGAKYLASQAWHNRSAIMTAAQSLAPLLL -QENDDESQEVAVKANYAQQLSDACTAICALHLQNPYLDLKPLEQQLVQERERVRKYPGRLIPLAPEVSGA -KELCQLEPQCTTRANSQRKK - ->YP_009337427.1 polyprotein [Beihai zhaovirus-like virus 3] -MKARQLKDYVVEVLSQPRRLNVIEFQGDNRIRRLLPILYKSVKYEQITISIPVDARDSDLGLPDYGKIGK -KSRTHAKMELDSVVGSVSVLKFRDEVKKQGLSRFDQLINNFNMDMAAAFTGVFNHNEPKQEVRTDSRTLF -VGKPNSAVQVNNSGCVSSHILNQLAQRGLSIANRDNNLLRSYNGTNHPAPRLIANLHAAISMNRVINRGR -NFLEANPDGIFYIVDIGAKYAKHANLYYKALFDYSERVCYIGVRPDDDTYDQKYHKDAEGQLYPRYSDFV -AAVRDMQIDWERENWRIWTDQIDRLFVQEHPVVSPKDRVANVLKCREEELKKAKFRLFLDREKNERGPAR -YLSREVHPAPGHVNVFKGTIQEFHALDDHWNETNRGMTRISPSRPYVGVVGVSLDSAYYLSGLLFKYPLE -FSMMTFPAICGKWQLPSNQGYAQIYATMDDDTNIRYHVCMSAAGQARPYEHPLVFPTELDQTLSCNGIIY -HQGYCPPGKVAFRAAMYPREAVLEEYCDENYHKYSNRYISRDVMVRHEDQILENGIRGWFGVKMKEWRKS -RIIEMRVRELNSNCQVDWTAVCAHLYVLKDATTPEQLEKKQNSTMVLQSHLKAFNISVKCMRPMIKINFD -EHSLIRGSDQFGPFQETLPFMVGIVAPGARDVEYRSLQDIGLWDFVLAPEEEVMVSVEQNYEGPLVAGLK -QKSVESLCYDMDDLKAISGRVKKQDHISSLFQAIDANLDPEVVIMINLLFRKIQEDEPLTRKEDRFLCNL -FKRQPKSLLSKDQYTNFSELYYQVHVFREIDMTESRAVINEVWHVDKEEIYDMCRKETPDYRKPFQSGFS -IMGMKAYEWSSRSLTNLLYSMSSRLLVARCEPDPQYLIGFKEFCSKQIDNIVANIELFFEGAHTMGVESW -LADHPNWSKKKKMLYRDQIQKQLQIQEEESYKGFFEVMTKNGEQHLAPHLEMDVNGRCVTEKTRIRPIQN -PKEDYIGLLTWTNEFHIMALKNATPCFVSGLNGQQFSEMYRDFARSVPDCVPVSADGSAFDSTQWAEIIE -IVDDYYYKKVWPILTRYFNFSERVSSKLLDLQLNKVSKIKYKWNNRPFLTQFVKGTTPSGHPTRTTVGNT -LRTVMYFSYWMYQAGCLKEIPLFDYKLRQEPSPNGFKLERLLKKKYDCGLMASGDDALPLVPRQYAERLK -ECIFAGSVRDAKKKEVVGLGGCYKEVLIGKSWVDMEFCSKLCFAISSKEEGESVIVRDFIKALGTSNFYT -GSNKSICQNPFQHSYLIGTLLLEEIGPRLNKVLGMARIQMGQAWDLNQALENISLHXMMLNHIDVDEDLQ -NKIDDYQMQALGLSYQQYVDLAVHAIDCTRIGDVIQIPCDVKKPDTYVAGLGPLPSPFTENNTNTMNNKK -KNKQVKKKDQKKQIVQSKNGPTRMNYGLVQYREGKDKYAQSVLMPEATQGGRIPSRFAVPTATIQHYEKF -DIKTNNLGQAVVCMYPNDTSKLAALDAPDGLDLSSFAAWKGGASKASITSNVISKYRVVSAVMKYHFTQN -ALKQQGSRTIALVPGGTIYQNSDGANGINHAVLEKCMYHETSDVQRSARMIWLPMDPADNILMGTGTGAS -RDAAYVALMLTGCAESEHIGYVEITVNLEYVPKMEFWNTVVRELVPSDSSVQDRVESVISNNPALTHQPL -SQLQKLWTQIKPHLISSVVQVARSALSA - ->YP_009337406.1 RNA-dependent RNA polymerase [Beihai zhaovirus-like virus 5] -MPDGNCVLYALCSLAYRDPGCPSFDTLESVFSAISSNGHIDIVLAKRLFQILRVNFLEAASFYEAVKSTK -LQHLQHKDANGLPLLPAVIYSQNDEETGHVGLALGGRGKFKKLFSYSKLHDALLSVLKPSSAPIEEVKIQ -TSQVVKDTKALKKPKKQRAVKILSPKEKFFIDVKRQVQLTNTQKAFSALPDTHEADQEFDTLFASAMALG -EFLSVPDVKSKRQALICHALCKDKSSFWSKETTEKLAVKRTLKLGSTSGLASTQQWPCLPDVCYHQDEPL -SLKNYLYFAVFCVFVCLVYIYYRSFFKVHKTVTGFVPRCFSRRVCVQDTSTIMSLYTTPSNRLLSQSQGE -KPTLSVEGTGFRSQVVANIRGVLSRDMLQTIAGFGLPITQDEAKKPSSCHGGHPAMRTVIDKIYAQQLNR -LFDVSRKLDGTVVVISLGCKFAKTVTLFSQLFKQDYQFFYGTFENAAKHNQQQQWKVVKAAFEDFQRQYP -DLRGREFYNAWIAECATQHYDLPKQRPLPDVELYSIRPCETRYDRTYYAENEHRVLSFDFSFTSIVKYQL -IRSTVQDFESSLGEDDLDSFQGKKINYLLNDVHYYLKSWTPKFQDATVYTSGGEFPAMPGCYQLPCGDGA -FRVSYTGSGSLVVMSTNGSQTCYSHPNVYLNDPDGLYDYGWYRHFFDVRPAANWSLKEYVLPPFSSSKSV -QSAKILSYIGELKSTTLQTAISRFEANAWDEKETQRLIETWQGNPYLSEEMIETIFHCSQDPFLTKLNWI -SESVTRKHILTHCLEPDVRRIETFNQIQEFTSHVDQYVDKSQIGRLFLGSTSYKNLVEVAQKEMIQPNSE -QALRLAWASNEHQPKKKAPKTVQVEDRSILMSDYYKVKPVSMDGFKNPNPLVIRQTQHYDQVVGHIQDWM -VRYPEPVSKKGSRLVPFQEAVKGKRVSRSGSKIVRGFDSAQEFEWSSKEYHNSLAALQRQLSGKTTIDAN -CMPPFENMVRRYFDHYFAQLEEKDLSFLDSGNLFSYTERDDWSESKIRMYQENILSQMRQSKPDTFKGSF -MLQVKSGEVYNSVDFNERDGYLYDQDSRPRAIMCPAKKNFDCQAALQSIWWDTIKSINPSFVQGLTKEQT -ISVIKNMIPDESWKSISIDGSAFDSSQFSPLQQAVDNHYWMRLKPYNLRYVSNEENSKLLDQVPEQFLSN -LYNAILSTQNRVYMELPDVNGPEWDDATQNDFRRCFPEVRDKEPWLNYVYFDFEGTTFSGLSTRTTLGNT -WRSLMYAYFYLEYAGISEPWNRSDVCNVIAAGDDVTFFVHPEYVDRVYRAIYELTARSKTQTTPCGLGQC -VQSVHIRRFYEIDFCSKWFHSTSGQVCDLTYVRDCEKILTQKQYFTGRNEHILKNPRLHREAILKGLQSE -KVSHLLEDILQLQLDMIPEVEYDRSLLDQMDCVRHAVKDESSGYYMEHIVDHRLGITHKTLFDIRDHNSI -FLGSTSRRVVNKSQDLSAAPLLHRLLLYLYSLVICKPSICRKVKRANCCLKLQHCATPQDVTQTVPSQDI -HVRQN - diff --git a/seq/clusters_seq/cluster_842 b/seq/clusters_seq/cluster_842 deleted file mode 100644 index 3043cef..0000000 --- a/seq/clusters_seq/cluster_842 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009337638.1 hypothetical protein 3 [Changjiang tombus-like virus 7] -MARNKITNKSPMTARNPGGNNRGPRKRAGPKPKRANARIGARALDASAAAYARLLADPCGAPLVHPTYSG -SEGGYLIRAESFVTPGVGATENAGYFSWVPGLIGNAAGIQVGAAAGAGTSVAANNFGQNAPGFNFLRDTA -SGARCVAACLKVSYPGTEAGRAGRVHFGQTSGGSIIQGVGYTADNVAVLLPHYTRTPAQEFELVWKPNDA -DQLFRDPSALTSEESKSARAALTVAWAGLPVATGLTFRLTAVYEWQPASADGLSVPNMSRSPSENTLDNV -INFLTRQGFTFVRGMAMAGTSGLISGISNHFGIMSAGVNTRRLAM - ->YP_009337384.1 hypothetical protein 2 [Changjiang tombus-like virus 6] -MAKKKNSKNKTVIRRVAKIPRSPLDAQGLAYARLLADPCNAPLVHPVYSGTEGGYLIRADSFLTVGANAL -EQAGVFQWVPGGIDALQDNILLGAAANATTAINPGVSGAASPAWDFLTNTASQVRCVAACLRIMYPGSES -SRSGRIAFGQASGALLKSGVTVTANNLANTMAHYCRTPADEIEVVWKPNDADQLFRNPALNGALQDLDRR -AALVCSFAGLPAATGVVFRMTAVYEWQPRVNEGIAVPNLSKSMSSNTLDDVVNYLIQRGYGFINGAAASA -GHGLSAGLISGISGVFGVMPSVTTSRSRRVMG - ->YP_009337231.1 hypothetical protein 3 [Changjiang tombus-like virus 4] -MAKGNRSRSKRKGKAPNAGNTTRAKPALNLVRRSRLLSLTPAERKYAHLVADPCNGPLSAGIFGDGSGGV -ISRFETDGIMGDVAPTTASALVFVPAAAAGWTSGKPSDGDAPVWNGVTSSLIPGRDFLNANAGQFRCLAA -CLRIYWPGTELNRQGIVSNLQTTADIVNNSNASVGAIRASSTYVQRMPEDYTELKWLPSEYELEMRAPGV -IAASQEFSRFSALVTTTSGVPSATPIRWRMVAVYEWVPRVSTGLSSMNTAASVPAGSFQRVTQALTSIGN -WAYVSSHQAATAISSLLAGAHAAGTLASGVATLTLG - ->YP_009336724.1 hypothetical protein 2 [Hubei unio douglasiae virus 3] -MPPKAAEQRIVVAVAKGVSQGAANAAKQSKARKGGKAQRQRMSIVPRSVRQLDPPAMAWLKMLNDPCYGR -LSHPVYPGADGGYLSRFESEATFVAGVGTTAGIIGFVPGVLPFAVVGSGVVSDITASALADLSAASAPGY -TYLRGVANSVRCVSACMQVSWPGSELNRQGFVTLGQSTGAVLAEAAAAFGNTPVTPASLRPLCHLRTRMP -ETVAEVKWRPTLADAQWHDPLVAPSYGRVNEAGALLATFGNLPLDVNGNGIGVRVRFIVTYEWIPRGAQG -LTSGFDDRARSSNTLDDVINTLDRNGPEWAYTIGRATSVLGMMGSAYVAGRRNRLMG - ->YP_009330028.1 hypothetical protein 3 [Hubei sobemo-like virus 1] -MVKKIRVRRKKQAAKAPQPRKGVAQRAMVQRSVLDSGAAAWARLLADPCAAPLTYPCYPTGTGGSVLMRF -ESDFLFATGATEVAGIFGFCPGSLSGFSNATPLTSDILGTVLTLQSTSIPGFTFINANTNSYRPVAACMQ -VMYPGTELNRSGVVGVGICSGDVLLRNVNTVGGGSNINTNASEVRTMCQHVERMPTTVVEIKWFPGTADE -ENNSTQGLKLGTLTDIEGRNTIFMSASGFPVSTGIRIRMVAVYELSFGAGAGQIAGSVAPTSGNTPAQVV -RALTNRDADWYISSAAKIGKAVGNTISYVATGYKAASAFAAGLALI - diff --git a/seq/clusters_seq/cluster_843 b/seq/clusters_seq/cluster_843 deleted file mode 100644 index 777eb1b..0000000 --- a/seq/clusters_seq/cluster_843 +++ /dev/null @@ -1,65 +0,0 @@ ->YP_009337226.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 20] -MAEAAERVNAQQAAFRDGTTITSTVVEAPGAASTSGQAEGAEVGTRVARPRFPSMSEEEVFLFSNDPRNL -EAANTLRNKGVGVHQPSEKEAAVRDELVDVLCDMVFTKRESEKAMMEYESLTKTALPRKLSEEQKLQWQQ -DALAAAEGDGLSYSKFIDAFVKAEVSAKPKPRPIANHKEIRLCALAKCAWTYEHVLFRRMNKMSIKHRTK -SEALSDVANALSSMKNGRWCENDLTAFEFGISAKLKACECAILRHVASQIGIEDVGSLLFERVVNDRTKT -CVWSMRYTDETGEKRTFRLILPTVMRESGDRLTSSGNFLQNLIAWCSFLLAPGTVRKSVESLLRTRGEHM -FYCSARDGKKYLAKLVFEGDDTLGRLDEPVWEPKREGATESLVDDFFYRWGWKPKLSWKATSGYDYARVV -GYDILIKDGVAVRDGDKLVACPEMRRLLNTKQWTTTNVTPEELKTCNRIFAATLAADFTRVEPMYSFLRS -MYDSNPGGKTVTDEKVREHFLMMTGELPAHGSSLLSDIAFPEFDGAGNDVEWKALARVSCGDFTDLEWAT -ACAQPLHDRHGADLATGMPASWVGTVA - ->YP_009337072.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 21] -MFGFGRPALCSLCHADELADLSVPVGSDNVYRKMIIPANCSRCRHSSVLSRKRKYKGHMVYLPARLVADA -RALSAKCGATTLWGMKPANADLQLVNLLSAERDGRRANALLTVGLHAIKDEETFRSGHTCCVIDALIKCL -TDQPRNATSWRRVKETPAKAILDGGKGATDDASVSGGAVSTGDDSSSIASAESAERVNSQQSAFRDGTTI -KSTVVEAPGTASTSGQAEGEEVGARVAQARFPSMSEKEVYLFSNDPRNLEAANTLRNKGVGVHQPSEKEA -KARDEIIAALCAQVFTERECGKAMMGYESLTKTALPRKLSEQQKEQWQLDAMKEAEGDGLSYSKFVDAFV -KAEVSAKPKPRPIANHKEIRLCALAKVAWVYEYVLFHKFKKMSIKHRTKREALSDIAGALSGMRNGRWCE -NDLTAFEFGISAKLKECECVILRHIASHIGVEDVGPSLFERVVNDRTKACVWSMRYKDETGAQRKFKLEL -PNAMRESGDRLTSSGNFLQNLIAWGSFLVEPGHVSKAIESLVRTHGEKLFYTSARDGNKYLAMLVFEGDD -TLGRLEEPVWEAYRSGSETSIADDFFLRWGWNPKLSWKATTGHDYARVVGYDVLIKDGVAVKDGDSYVAC -PEMKRLLTTKQWTTSSVTPEELKTCNRIFAATLAADFTRVEPFYAFLKSMYESNRGGKNVSDEKVREFYL -ATTGELPEHGSSKLNDIEFPEFNGTGSEAWKELARVSCGDFTDAEWATACAQPPHDRHGADLAVGMPASW -LA - ->YP_009337063.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 18] -MFGESKFGSTFPEICETCHRDELQFLSKPRSSEDHMTRVMTIKRCCTRCNNTCFQWPEFLGYCDVTICLP -VSLVADSTSFAQRHQASTKWGIKPSCADLQLVNLECAARDPFRRRAYLTVGHAAMLDELVFHGPGLLDCI -LQLCCFRNAKGSTSWRLCNSARSNQSEPPLDRIQEVDEGDDNQGDDVNPAAAHSPSRNANHQQQNGGRTE -AELALEQALEASNVEEQRRAYVEGGVLHSTTTLAPGQRPELVEPPGLEGGCASGTRQARARFPQITSQPN -FLHSNNEQNLQMAHDMRNVGVGDHNPLQSEVQTRDRLVELLKQKVFTPGRLRKAMVGFESARVSALPKKL -SEEERMRVELEAMNAALSDDGVGFDTVIKAFVKSEVTAKNKPRPIANHGNVRLYALAKVAYAFEHVMFET -FKGGSIKGRGKKEAIEELFSNMSNMKNGRWVENDLTSFEFGISEPLKQIEMDIFYHIAKIIGVADTGALL -FERVSNDRDKCATWKLIYTDETGQKRTAKIKIPQTMRESGDRVTSSGNFLQNLIAWFCFLVDPDYVEDAL -ESLIKHRGSKLFYVSRRDRSTIELRGKQVRKKYLACLAFEGDDTSGRIEEPVWLNGPYNPDNSETKDDCL -VSSFFRRWGWKAKLIWKPQEGDAYVRFVGYEALIHDSKIVYDGAEMVMTPEARRLLNTKSWTTTAVTPAE -LKTCIRVYAASLADGFKRVEPMYAFLNAVFDSNKGGVDVDAQKVKEYLLAVEGEMPEHGRTVNCPIDMPP -FEGGDADKWKRLLRRSAGDFDEREWATMCHISTIDIHGEDLAISVPAEWRN - ->YP_009336943.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 17] -MLAYERFGSSFPVVCSVCHHAELEFLRYPRSGEDHMYRHMTISRTCKRCNNTMFKWPNEVDARVCLPTGL -IADATSFAQKHQASLAWGIKPSCADLQLTNLECAARDDFRRRAYLTVGHAAVVDELVFTGYTFGRRVAAK -VLPTFCGLCREPTAWRAWDTPAAPRVAQRFYIGDSSGDGDSGSRGVRPELNGGRARDDDGGREGAVPPGG -DNENGFMFPGEARATVCPAEPSAADALAEANAATAVEEQQRAYVEGGVLHSTTTAAPGDRPELVEPPGLE -GGEASGNRTAVARFPQLTESANYLHSNNPKNLQLAHDLRNIGIGYHNPYVSEAKTRDLFEDGLKEKVFTA -KRAKAAMRDFETTRRSALPKKLTHQAAYEAEIRAMNAALTADGVGYDTVVEAFVKSDVSAKDRPRPIANH -KETRLFALAKVAYVYEHILFDVFRSGSIKGRGKHTAIGEIVGNMSKMRKGARFVENDLTSFEFGISEPLK -QIEQRIFQHIARLIGVEDSGQLLFDRVVNDRDKCATWRMTFKDSTGEKKSIKIVLGQTMRESGDRVTSSG -NFLQNLIAWFSYLVDPEHVDDALDTLIKFRGARMFYVSPRCRETVTDRNGAQVRRKFMACMAFEGDDTAA -RFEEKIWSDEGPCPVAEFFTRWGWKAKLVWKPLEGDTYLRFVGYEVLLCDSDVVYDGGNIVMTPEVKRLI -KTKSWSATDVTPQELKTCIRIFAATLAEGFKHVEPMHAFLQALYDDNAGGVDVCAEKVREYVLAVSGHLP -DADMKVSRAVPMPGFECGDPSKWKRLLRVSAGDFTDREWADMCHIGTVRMHGADLATCVPASWRA - ->APG78103.1 RNA-dependent RNA polymerase [Beihai weivirus-like virus 19] -MFGHRRPTLCSACHADELADLSVPVGKDCVYRKMLIPESCARCGEWGLWPRSKSHTIYLPARLVADARAL -AAKCRANTLWGMKPANADLQLVNLLSAERDGRRANALLTAGLEAIIEEESFGAPCLLMRIAEHFCCSGPR -SQAWRRVKDDPATTILEGGDEPGDEGSDAADDYDGSGVDLAETAERVDSQQAAFRDGTTIKSTVVEAPGT -ASTSGQAEGAEIGARVAQARFPSMSEKEAYCFSNDPRCLEAANTLRNKGVGVHNPSEKEAKARDEVVKLL -CEQVFTKRECEKALMGYESLTRTALPRKLSEEQKMQWQLDAMNAADGDGLSYSKFIDAFVKAEVSAKPKP -RPIANHKEIRLCALAKVAWVYENVIFHRFNKMSIKHRKKKDAISDIAGALSGMKNGRWVENDLTAFEFGI -SETLKELECKILRHIASVIGIEDVGSLLFERVVNDRTRSCVWSMRYKDECGEKRSFRLILPTAMRESGDR -LTSSGNFLQNLIAWTAFLAEPGTISKSIESLLRTHGEKMFYTSARDGRKYLAMLVFEGDDTLGRLEESCV -WLPFREGSTESVADDFFYRWGWKPKLSWKATQGYDYARVVGYDILIKDGIAVKDGDDYVCCPEMKRLLTT -KQWTTSAVTPEELKTCNRVFAATLAEDFTRVEPFYAFLKAMYDSNQGGKQVADEKVREHYLMMTGELPEH -KTFVMSNMKFPEFDGTGSEEWKELARVSCGDFSDLEWAAACAQPPHDRHGADLAAYFPASWLG diff --git a/seq/clusters_seq/cluster_844 b/seq/clusters_seq/cluster_844 deleted file mode 100644 index 1bcdb10..0000000 --- a/seq/clusters_seq/cluster_844 +++ /dev/null @@ -1,79 +0,0 @@ ->YP_009336930.1 hypothetical protein 2 [Wenzhou picorna-like virus 42] -MNYRVQMDYSAFLNKPFYVSSGEWKTTDTRLSKLEAISFPKGVISVSAFTAVPFLYSCYYRAKAKVIIHL -NGTPMHSGVIVATSMPPFTNIALTPQHKHSINPYIQAPHQFLYANQSSAVEVEVPMFTNFPVARTTGLDA -SSFATGTSVTGDQEGSMCEVLLFVLNPLKTTGGSTTIRYTVHCIFEELEFFVPTSPKFNSESLVAGIRTT -TSGLIDGVFKNVGTWARTITTDALDSTRQAIRAWTGLHSPNKPIMHTKNYVQGLNNLNITDAPVVYDKID -PYLDYTRICDDTYFKTNIDEGDMTYLCGKYQHIGTFGINSNTTTGTLLFSRPICPFQEVVSVTDGSDNPT -YSVIEKLALLATHWRGDLEVKIQSSMTNFQNCKLYVVLDYSKSSYGMTNVPSYEQASGMITHTFEFSGGG -TNIEFTLPYISEYPHLSCTPDWLLQALSHGVYRIYLLQPLVSADSQPTTAEFNVYVRGKPSFQLFGYSVW -PFTSAFGYAPTNKKKSAPVTTPSPIAASSAPTTTAKPRMSPGVGAGVRAPRNAFEGAVDLDAINTQSRFH -SECKKFYPETLTNVTASIIDNNTAGRDKSDNPKTESFNPVSQIRPLVNIRDITRRMYDVYTLSLQTREAT -GSTFVLHLSDLIAGTHQGDLPLDHFAPLHVARMLYHGFRGGLKVHIQAMGGVHMQVRYFPPQATTKKQTI -QPSGKTFYTMWDSRIQPTSTFINCSPPVVNAHYHQSGSSNGPKIFKDGSTMDGTSNSICVAEVEIPFMSA -CDFVGSINSVAPISDTSLEIDALGYLEIQVQNDTVAYTDDSDPPVTKVYNPSLYVKVCIGFSDESRLGMA -VYSPPLFLPTKTVILPPPGGTTTYLDLPYKTGDNVEWLALKTLAAPKAYFTLAP - ->YP_009336899.1 hypothetical protein 2 [Wenzhou picorna-like virus 41] -MNMQCAHTINSANTSESKFEDTTELALRETQELGINPVMTREYIDSKYKFDTSPYTGRFFFVKSFNWTTT -AIRYTYLGGILSIPKDLFSTNASLQNLILAAGYYRMKLTLSISIGGTISHGGCLLVAVTPPISPDFGAQP -NTINSLMSCPHGFLYANEATSIEIAVPWYCGADYAPVAISDHADFNSTPGSYASLMFLVLNPLKDGGGTQ -SLTLTVMARFDELELKVPAPNLATWVAPPNQIMRSESMIGSVAKGITMMTQAGKKVANTVGDIFDKTSRI -SSLFTGLHNPNDTTINSRMIMSKQNFINTVDAQQYFEKLDPYVSAERVTDDHIFGTTEDEMSTRYLTAKE -QYLATIIVSTSDTVGTLLFSRPMSPYQTYEVSFANNISLMYYLTRGWNGDFEIVFRSAGTAKQQLKLYLN -RFYSPVEGFYGNVPTMSSSVNGLSQLLEFSMGGQEHVCYFPYISPLEITPNTMDQSTAPVLTGVYYVYLA -QPLVVGDAAPTDIEINVFIRSRTLNFYGYSINTPYIPPIQDRAAREQEEPMRSEGSGCPPNFSVLGSVNP -QDGNRVDESTGTVSNRIHRVVHGRDIVRRMYRVCTAVRLANRNNIIIPIASLIGADSPTAEMVGVVQTPL -TLYSSMYYGRQIGFKFRVRATGSGIFNLRVAYLPPQPIIRNASGNVSVASCQANTNLDLIRFPLPFQNTI -EEHVSVTTTSITQLVAEFVVPNVSMMKFNTSPVTNKIGGPSQALYSQSYGYLVIEGNLGNAPNIEILVGL -TDESRLGHHTMAPLIVQDGPPLTGTVVHSPYLSAAGSDIAWSGNAPSHYTKGVKPVY - ->YP_009336863.1 hypothetical protein 2 [Wenzhou picorna-like virus 40] -MTTTVASVTTREIQEIDSPFNDLFMTVDIPDAYRVDAKSFIERPFFVDEVIFPDSAARYTLLTSTVRFLP -GDIARSNSSILNMFKMAAYGRPDLIINVSMAGTITHAGCVLVGVLPPFPAYPTLVNPKNVSLINTILSGP -HAFLHANEATSVAIPVPWYCNTDLATTDMEQTQGYDTTLDITVTNGNYATLVYLVLNPLSPSTGSSKSLR -IIVEACFKNFDLAVPTPRFVTWSAQSGRSCFNPNYEDFDKLAVQYGIEEWVNAPPVKKRRLYHRVKKYAP -YVGGAATIMTILLRVGFACLAGEDPLPIDFILKDPSWEPQAGIISGVMNMATGLLDSAANGLKTVATDAI -DVGRGFVREYTGLHNPNVPQVQERVITTATNFVNNTDVPQFFEKLDPFVKFNRIVKEPIFGSNVDEMAIT -NIVTKKQLIGSFKVDVNDSVGTMKWARPISPFQGGNGVVNPDDSITCYNNLELMHSFSRGWRGPMKLTIQ -SVMNNKQQCKLKVVKMYNPSVKITSQYPVYQSVVNAPTHLLEFTQGGQEHEVSLPYLCRNDITPCATNMD -TEALFHGIYYIYVAQPLVISDSSPSSIEFNIYLAGEPDLTFYGYTTATTYHSSYGVLPQPGSKELTVTNK -LGNAISRKPFVSVTRFQPNIAFYKYALDMDTTMTAIYVSSSKIKEKDKSKWTELQRNDYEAYKKSNMDAY -KNIVDNYNNVKELFGQDRLNHVIKNWKFDTSHKCIEVEVSKLKTHEIIKLKKIMLVHEHDNFVPQSGTVK -VMNEPQEQSHTTRVDEKQSNLTHMTRLMPTLDIRHFLRRMYKSQVYESTIDPVSTNNVFLPLSTFLGEDP -SLWNYTPIETFSRMYYGKSPGFKFRVMITITNVTPETISEIDLLNLRVYYVPQNLNALVNTKVIAAATPN -PNSFTSPFNPSDGIPLPFQIIGKESNRAHVVYEFTVPDTSFYKFMGGPNKFYNFSGTSSPPSLAQSDFGT -IALQFTNLSKTQPAHFTSELFVGLTDETRFGYHTMAPPFVVYKAGATYNGTNTSNNAPATATRNPFVYRG -GFL - ->YP_009336742.1 hypothetical protein 2 [Shahe picorna-like virus 12] -MNYDQFLHKPLFIDNFNWSTVDAAGINIGGVQIPRDIFNTNSFLAIPWKSSCYYRLKGKLVIQVAGTVRH -SGILLASALPFVAPTNFPLNSMLAVPHAFVYANQSNPAIVEIPFYNPSPLRQTQDNDRTCHLAIQRDGSD -SYSDVFIRIINPLSAPTGGSTTVVVTVHMILDELEFYTPAPVDIVYAQSKVITQAMDSVASILKQKSSDY -IDNVRGALHQWTGLHNPNIDAPLNKHFMQTRANPNVIDAVTTYDTLHPYTMDESGTTSAAFFHTETDEMD -MKYLLSIPQVISTRIPIPLNSAVGSQLFCRPITPFMGVKNSVTDLHTIKSIQSKLAFCASHWSGDMELML -QVDMSNTQFLKLLVVLDYTRNINSTNLGIAGSPASFSPYQGTLTHTLEFAGGGSIQCVTLPFMSAFRQLP -LTTDWRANALSHGLVRIYVLQPPVVSDDVAVPELNVYYRCKENFQLYGFNYRRGHYSATSPIPPIAAMGE -EVLDSMTTQSMAMSDSSMLDTTTSQECVTDKGPSKPSVVYGPGNLRPIVSVRDIIRRVYPVYSNVLTPSV -TSNVNYLNLPLQGLFRSRQIGTNFSGTASDATPLSIISDMFYGFRGSMKLKIMITGANASAVHFYPPNVS -VTSEGVNKVYTMTTPSTTNTTFIADEVGSCLPFSDSFRMIPGTTYQEMSDFNRRPSIVHTTTGVGSLADS -VSIHDIHVPYMSHQDFVTNDGKLLGLNTPQSSSDSLGNLKISYTPVIVSPAGATVVLSRVHITIFVGLGD -DARFGMLINARELNPYFALDGVTKIQLDPIQHANVVVGNTTVPSLISDSGAAQAYIG - ->YP_009336649.1 hypothetical protein 2 [Shahe heteroptera virus 4] -MTTTVASVTTREIQEIDSPFNDLFMKVDIPDAYRVDAKSFIERPFFVDEITYPDTAARYNLLTSTVRFLP -GDIARSNASILNMFKMAAYGRPDLIINISMAGTITHAGCVLVGVLPPFPAYPTLTGANNKRLINTILSGP -HAFLHANEATSVAIPVPWYCNTDLATTDMEQTNGYDTTLDITVTNGNYATLVYMVLNPLMPSTGSTKSLR -IIVEACFKNFDLAVPTPRFVTWTAQSGKNLSCFNPSYEDFDKLAAENNLEEWVNAPPVKKRRIYNRIVKY -SPFIGGAVTIAGILLRIGFACVAGEDPLPMDFIPQIPNFVPQSGLINGIKGLASGLLDSASSGLKTVTAD -ALDSGRGLIRQYTGLHNPNIPQVQERIITTQTNFVNNTDCPQYFEKLDPFVKFNRIVKEPIFGSSVDEMA -ISNIVTKKQLIGTFKVDVNDNVGSMKWARPISPFQGGSGTLEGSDGVICFNNIELMHSMSRGWRGPMKLT -IQSIMNNKQQCKLKVIKMYNPSVKIATNYPVYRSIVNAPTHLLEFTEGGQEHEVSLPYLCRNDITPCATN -MDTEALFHGIYYIYVAQPLVISDSSPNSIEFNVFISGEADLTFYGYTTATSYHSNFGVIPPPVNNVVLKN -KAGSVIAKKDFVSVTRFEPNVAFYKHADNEELTLLYVFINSTKHKDLTTDRTKWTQVQKDEFAAYRKSNA -AGYAIMLGNWNQVRDAIGAELMQSVINTWKYDSSMKCTSVEISSLRTYQILALKKALGISEATGFKPQSG -SIKVMNEPQKQTHETREESKEQNLGHMSRLMPSLDIRHFLRRMYKSQVYNTIIEPGQTYNNALPLSSFLG -ENPAIWNYTPIETFSRMYYGKSVGFKFRCMVSIINISEETIQDVDLLNMRVYYVPQNLNALVNSKVIVSA -APNVNAFASPFTQSDGIPLPFQILSKESTSTHMVYEFALPDTSFYKFMGGPNKFYSFDSNATPTEVSQSD -FGSVVLQFTNLSKSKVAAYTFELFMGLADESRFGYHTIAPPFQIFKTGGTYLGNNTSTNNPPSTGLNAFI -YRGGFL - diff --git a/seq/clusters_seq/cluster_845 b/seq/clusters_seq/cluster_845 deleted file mode 100644 index 939c470..0000000 --- a/seq/clusters_seq/cluster_845 +++ /dev/null @@ -1,90 +0,0 @@ ->YP_009336710.1 hypothetical protein 2 [Wenling crustacean virus 5] -MILKMNHFFDVFNGSPTRPSRNARHTQCYACDSTAIAEHREIDIDCDCVACLSKSFDIFHSVECQRITRP -PPLLTPCELCDIIHETRTCPIYVPDCPQCHYCGEFTMKSCAIEKAMISYKPNGSVMDVHPWAFLQLEPLE -YLKDEELDFKIENDQQWPCSCCDESSMTKIQVFDKQSTLPLFTYEYCFHCSIPHIIDVRVTDVGRLPYEI -VVALSDNYFEVGTKQDWYSGHTLHQMILQSPFLLRKSFILDFLLTSVSRKTLSSLILARHNISSISKEHV -LNFALNLFANGNQRHKILAYDWVHGSFYPVGSPQFDVIDELVHLSRKKHSYFFDLCKIIASKLSYDEFKS -LFVFEQQEGIDEEEAAKSTEQRPMEAEPITKTKPTKTFQNSGHELIVSKEGANFEQAIDSVEANVGINSS -RLTSQVMTPSGEKTVIHMSDELIDNMFCDYIPSVSLPEIGKVWGVANSSTVNGVTTITEHFSDRTKYLMN -MFRHYTTLVCWKIIAKPPLFQSQRFWVGFNPDGLPISEPRNLAGFEWNPSENNEIYVLTPWTYYSYNRAT -DDPLPDQVVISELSDLISAPDLATPLEISAYCTPVNMKLYVPKVVVGSTLQPCSMNSLAKDLDISQSSYK -ITVSDPDSPYYVMLDITADLDAFVDAVVHLRNDNSVIKLFAALMNLEIESRYALCWGDSTIDYILSSISS -IRVNIIHDSANNVEITPVQPERIIPSKFTPEYFKEYDFKVKPKKSLRVLVDEPKDESRYEQQMFEYSYNS -SSETAEEDQGATGDHTRRVDTHWSLIDKHNFSSTSDSYVFNIYDPLVKMCEKDRKRHLFFSHTPIIKVNS -ASIPASTSLFRITQYPESTLTLQQALQLPGVEYDPKQGELCLHPYWQNSLPVDSGVVPQPLYLHFHVLSG -SFSVPINIQLFQNASSYKYHHLIADPQTEVFEQQEGNYMQTSTTEQRSSDDNVTDSERRWTYVHSMQFSP -DVGILKIPINNTLMGRWTNMHAGRYHRWRGNLKLKFMINNSTWVNGNYHIMQSNFDLPAGEYSKPDFMLQ -HTAYGVSGAPGAPILVDVLWRSPNRWEPVISSNTETRLGYLYVVIPVITCPSATFNPTTQLTIYCDPSDV -EFTNAKDPVDDENGWMGLNVTEYLRQSTKTSEDSLC - ->YP_009336651.1 hypothetical protein 2 [Wenling crustacean virus 6] -MSAITANTSGAMLPMDTQTPPPSGKVQKKKRSVPYVPMYKPSDEGQSLQGIDEECAPGPNAARKVVQLMT -PSGLKDVIVLADDIPTRSRADYLLRQEAPETCNVWTEPEVMTVNESIKPTFSSRVLYFMNLFYNFHSHVI -VRLVAKPPLFSAQRFWVTTDPDSDGENYDSVGFDWNPSLENEIYVLMPWVDFTHMRPVDTILDDTFTGLG -TFRVIPVTNLVYESGAPTTLDVAYMCCPYTLTLFDPRAVDKPTRSVKGVEQGFNHEVDWVSELNRLFQAI -GIPGPVFFEFVQVNLVWKALYNFRLGPITLGGEGSGKTKRDAKLEGCKDFIRRIDIHMRNVSPQLKIAYP -NWYDIRKAFADMRNRLRMIEQIQNGTPVPHWTALSAHRYVNPDDLYPHRVQGTEQIFEYEYNELSTIPEE -DYGVSEDSSERVTSHWQYLQSETVTAATDSFTLQVDLTKISTSTMEAKRHLKFSRMPLFKVNTTSNPTTT -ALYRVTCHPRLNVANTFQLPGVEWDIKKGDFIISPYWIDILSAVEPADRKIDLRFTRIVGSHGTDFEVTL -WMNTHPLNYHHMVDPNVIHKRIQGTEQMETFPDQESDNSTPSDNSIQETEDVNKVTSASHNVVQVEQVGP -TLSERDWKFVTNAKLDTEKYSAQSMAVTPLLFGKYNFWNARRYRKWKGTLRVKVQSTSASTTNGQIFLRH -SDEPLPDVVENPQDLVKMYPCAKGSYNEGAIELDLKWRCPTPTAACDAVKYSKQPEGRNGYLDIVYVQAT -NKITPTADNDVQIAIYADVSDITYSHPSAQVSTLQWAPPNIIAHLRDATPLRVGPTSEIVTASASTGYVF -KNASGNTVSFLPKQVLYVQSENPEWNMNDEYGNSILNYTNDKLQLPLIETITAADSEMTVAIQVIGTGNS -TMSTIPSNVDKVFTAPPLMYAHHTEGGLYITNCSVTPCEYPIRQWIDEHLDTLNNHIDSDRIDLR - ->YP_009333592.1 hypothetical protein 2 [Beihai picorna-like virus 116] -MSATTAVDYSGALHPMSTETTPTPTNVQTQKKLPKADCLVTPIESDQLNEALEVNVENGGQSGRPLMTVH -TPSGVKTAIVLGDAESITTGPDGFVPEAKGFSEMTNVWSEPTRISMPNTAGNDGEEVIPAFSDRVKYLMN -MYFMYFTHVVVRLVAKPPLFQSQRYWVAYVPDANKGSYDSAGFDWNPSEQNEIYVVLPWKSFFHMQSVST -ALSEVVGKISIIPTTPLVTEEGLASALVVTTMCCPLGLRLFSPKSVTVSRVDAREEMLTAEDNYVVNVKE -TSDIVPNSSFDYTFRRYGDDSWICYLTWCLGLQRYYGTGIGNTKKAAKHQAAKAGWFEYLHKDPLGCIRK -SLTPLCTSLEDIARSRAYCCDQQCFKLPLCAVDGIACRICMRYNYCYCACESEKSRMSSVMQAIEAKREN -TVIQRRKTRTAIKAKEEIFEYEYTTPNAQAAKDVGASGDVSERQNSHWQRLSTQIVKAEDSALTFSVDFK -GLINASTLEAQRHLLVSGLPDLKLTATTNPTVTCDWRITCGTKTISGDTMQWPGHEWDIKTGEKVFKPYW -LFSTSALNTDDLSFKITLKKIAGSQGSTTYPITLWMNTADMTYHHMKDREAEKYIVAEEQALTCDDEPES -LSSDVNQTTSSTASENQAETVGPVKSELDWKLATTFKVDPEKVGIVDIPVTSSLFGKYNWFNARRYYKWK -GTPRIKVMTTSASTTNAQVAILHADKASADGDDPAVLPLMFPCAKASVNEAAVEMDLKWRKAQPTLPVIF -ADGDELGYLKLCFIQGTQGLIANASNDVQVSIFTDVSNIEYSHPVNTVSAAWKKPVVSLRLRETAKVKTI -SGPKIAVARWTKDIVNPSIPLSRIIAFCFRKNIEKAEFSLNDAYILDVYPDKIFERFDTNNHEEINKCNV -ETVIYYQDQETIVEDTLPWTSSRQNTFDADGVRIPFETKVAKTEIKMLNDDWHYVPYGSIGVSNDLPTPP -EEHLGFALQIIDNVKEGVKLSDLDGVLAILGNPAWSLRNEAGNSVITANSLSTRIFGTPDSDTFAFDHNV -TLVKLDKTKRGGFYGDWNIPFLFDTNPTDTLITYPSMLAGTLEDGRSIFVTSTLSTASDISQIIKAVNDN -PHQNL - ->YP_009333523.1 hypothetical protein 2 [Beihai shrimp virus 2] -MSATTARDNSGALHPMSTETTPSPSNTQRPTAKPRVRGLYQTMETEQLNEAIESSVFEGGESGRPLMSVH -TPSGRKTAIVLGDTSALTKGPTSFMPEARGLSEFTNVWSEPTTEKLPSASSTTPIEYQPTLSERIMYIFG -MYFSFYTHVVVRLMSKPALYQSQRVWVAYVPDDATASFNSAGFEWNPSEQNEIYVVLPWKDFQHMRPTDF -SLSSAVGKLALIPVTPLVTAEGLSTQLEVTFMTCPQGLRLFNPRPVSTTKYIVAQEQVDTYSENFVSKVK -EQSDLVTDSTEDYTFKRDTSGQWFCRLVWTLGMLRIMGTGIAPTKKEAKQLAAKHAWWDYLDIDPIARVW -NKAQPLAASIEKYMTTPITEYCCPLQCFALPKCHFDGKCAKCGSYNICYCPCLTYTRKTIEATEQIFEYE -YEEPNAQAFADVGSTGDHSERQDHHWQRLETKVVQAGSNELKFSVNFKTLGVTTATLEAMRHAFVSGFPN -LKITSTTNPTSTATWRITATNATTAGTMQLPGHEWDMKTGEHVFRPYWTNNTSVVDSDDLNVDVTLKRLV -TSHGSDYAITLWMNTADMTYHHMKDREIETYIVAEEQATLYEEEPSEPSSSDINQVTPSAPETNQAESVG -PVESEVDWKLATTFKVDPNETGIVDIPVEPSLFGKYNWFNARRYYKWKGTPRVKVMTTSASTTNAQLAVV -HADTNSVDGDDPTLPPLMFPCAKASVNEASVEMDLMWRKSTPTLPVRANSSQTLGILKLCFIQGTQQLVA -GANNDIQVSIFTDVSNVEYSHPTNKVAEAWTPPTIAKRKRTVAPSTKLMPEIEVVEMTASAEGEVFIDML -YGQILGLDGEHTNDINFFDATGIKGYLTHTEKGVELFENFDSGTGSVRRINGFKPESKINIHKLVNYVEG -PDSIAIKFPNLSVIPTEAHSLGTRYYLAAKGATLLS - ->YP_009333572.1 hypothetical protein 2 [Beihai sea slater virus 2] -MEETFVNLKTGIICHQPSTDYIYPCFITGQDLWINQSLLKRYNLIRILYMLPYHKPCKKWHKFGNCTTVQ -DPCKNCGAFKDHHHSYTGEMLPKMQRMPKMVMKNFGLRIKGTNYYLYTLSGNYLAQDCYIITPALSHFTY -GDGENISYYGLSPGLYLVYNSGKGCLLSQDVPYEYNTDKPYYGVSCQTKKEEPFKYEYLKEFATQVKVPF -GYYDDMFILRPNNTEFPLGFITVYNYSFTKKYTIGMAECKGKVSDIVMPRMPKIKFYAGEEKPVDDTEYM -KELMDEIIDLERENVENDCSKADLSELEKVRDEICFGKIQLERYEEDGSRCGCCDGAEHQKYYVRTFDGI -LYEIVRVQSETRFPEAFTSGEGGYVNVYEPGTEQMDESDTTGRADKDTTHVDNSVPLVVNEVSASPLIDG -GDSMQDDQAIDMHQDEPGPSCSCSTMQVDTPSGPQEAVMLVAGNLLPGTKPDFVPHVNLPNYINRWAKVG -AIGINNRVYKPVFNDRVENFRKFFNYSHSHIVWKIVCKPTLFQSQRYWVCFNPSVNQTPLPITEFNNLIG -FDWNPSENNELYVVTPWSSLEYMTVHNLEDFGRLEIVNRTDLVTEDGLPAQLDISVYCAPYQMTLYMPRI -TSAESESYYYMEQTYSDLGTINIDGSAHVIPIAAGLNIGDSISTGADGRYILSCLDNGDDSTVISVPGIY -TDKVLLALTNGSSVTVLYLNSSPFSIDGVDATRVDGYFYLDFASASDSVSLVNAKYASFISNNSVPDIQS -DSVLVSSGVNGLIYNNIVDTATTDTISSNVPLVLVKQVTAREEMYDFGHSYNGNSSLPSATLGNIGDHTT -RIDNQWGFVISKTLESTDSQLVFNFSAPNSSLVYYDRDRHLMYYRYPKLKFVTASNPSSNVLIRITQIPS -STPVPLEKALQLPGDEWDFKTLNKVVQPYWDKPTIAITPQTSTCFIQLDVLSGTVGTMPIITMYYNCQDM -EYFHFPGFSPQVEIPVPAVEQVGPITKESSVAPLHSTAGASQTERRWNYLTTLEITPSHGAVQIPVNARM -LGPWPARHFGRYGKMRGNLGIKVMVTANRLVNGNIHVIHSNTDISSISLDSAKFLSLLGEIGHSVDGAPG -SSLEFDLDWRKISPFMAIDFSKNDPDNGYLAIVIPATSSFSANDKLIMTLYVDVSGVVVDLARDTDTDGG -YIPISPTFVSRPT - diff --git a/seq/clusters_seq/cluster_846 b/seq/clusters_seq/cluster_846 deleted file mode 100644 index dfb96dd..0000000 --- a/seq/clusters_seq/cluster_846 +++ /dev/null @@ -1,17 +0,0 @@ ->YP_009272571.1 PIPO, partial [Callistephus mottle virus] -NLSRGFGGFVARVKLAGKIVSNLGIEKMAKTYFTATNPSRRVQFKRTLRCVAEITFQTQCSIGKRQR - ->YP_006405425.1 PIPO, partial [Narcissus yellow stripe virus] -KLSASLGRTMERVKLVCRILRNTAIIKVYSTYTKIFAPNRYNRFRRQIQRIDRLLFWATDTGSQVWER - ->YP_006393474.1 PIPO, partial [Scallion mosaic virus] -KLSADLTRWLVRVNTVWEIIRNVALVKIFNAYTKRVGSRRYNRFRRQIQRIDYFIFGKCTQRGGTGNPQS -TQ - ->YP_006393317.1 PIPO, partial [Plum pox virus] -KLSPGIGASLERVKLVGKILCNLGIAAMAKTYTKTFHPKRRRRFRRQVRHLRSVITWQPVQTPERRSPME -KRRCGLLYIPVDGEAILQSHRNLTKFSS - ->YP_006393316.1 PIPO, partial [Japanese yam mosaic virus] -KLSKNLGRRMARIEFAAKVLCNTSIIKVCDAYTWWFAQRKYRRFRRQIQRINELLFWRA - diff --git a/seq/clusters_seq/cluster_847 b/seq/clusters_seq/cluster_847 deleted file mode 100644 index 85bffaa..0000000 --- a/seq/clusters_seq/cluster_847 +++ /dev/null @@ -1,59 +0,0 @@ ->YP_009220368.1 putative coat protein [Colombian potato soil-borne virus] -MATNRYDSWDHDQAVKAAVRKFVSYDQFAAQLRSWREARLNIIEHSTSVLSQISNLGKTHFYGRTERFGG -SSVVGDKLYVCLNEARMKSIVSNIIVALQTVSGEGRARRLGPREATANTGGEDSALNVAHQLAELDDLLT -DESFLREAVFTQDKYELVNGLRWTGAXQLTARPFWFDAKAAINEIDNRMIAASVLRLLPGQSNHTDDTVS -INYSQLDRALSVVGGNLVPQTLEVARVEGRGSDPETRVASGERIVLKQKDEPTSVQIHGHGLSWLLAAIF -ALLSVMLILLVARLDGWFYRLSVNRAGRPGGGTAERLSYISGWLRGRLRARGSLNVMRMKKKRDFDPSPS -GFRKFLSNFNFSYDLWNPTGDYDDMQRRIIAEQNSFVEAVRAIDEGDRTLAESCYSSILKLTMRYLKYRP -GYVDIIENKAVYLVTMLTNAMAAPIEGVSEKEQRAVEAAFNPSDHMLPDLLSCTLEELLNCDFSKIDPRV -SIEDEVGKHYLTLCRNLRCMCTTAGTPQFLTMLSCYTGPQYPCVNEACALDLAERKKKLELKDKVTMGDV -VSYVVGGLFACHALVMVTRSGISCFRYIRKSVDGGDGGGGGGQLISEVASASDVQDNAAVCASSPVVEEP -PDVPVVETADSFRERLDILGALPELPGSQVETAEDITALIRGLYESDNDFANRLSPEEALFFAAQEALEK -GAEGRIADMIRDPSTASVEKIDQALTERDEREDDQEMSSEGLGREPLVSMQLHGESLIVVNADTDSPSVI -RTSSLSKRHRRSGLEYGSFSMEKVIPYEVEGESSSFGAPANLFQSAGAAAVGSSSAVMSQASNLSSGKEL -IKALGGMKTVGKVPGKLPMLRM - ->NP_620445.1 CP read-through protein [Potato mop-top virus] -MAENRGERRAAVENRYDAWDHEQAMKAAVRKFISYDQFSAQLRNWREARLNIIEHATSVLSQVSNLGRTH -FYSRTERFGGSSLVGDKLYVCLNETRMKTALNNIIVALQTVNGEGRARRLGPREASANTGGEDSALNVAH -QLAEVDDLLTDESFLREAVFTQDKYELVNGLRWAGAXQLTAQAFWFDVNAAIDEIDNRLLAAAALRLLPG -QANHVDGLVSINYAQLDRALSVVGGNILPQTLEITRDERKRQLPEVRVIDGERVTIRNKDEATVIRNHGQ -GLPWLLAFLLLLLSVVVVYSTIYLNDWLYRLSVVRHGKPGGGAARKLSGMSDWLCSRLRARGALNVNRMR -KKQACDPSPTGLRKFLTCYNFSYELWDPTGSYDDAQRRIIAEQNSFVEAVRAIDEGDRELAEACLTNVRR -ILRRHLNYRPDYFDIIEAKAVYLVTVLTNAMSGPVVGVDDDERRAVVAAFNPSDCGLPNLDSCSLDEIKN -FDLSEVVIGEGTNVEQARGYLNLCRNIQCMIETIGTVQFLGVLSSYTGPQYPCISQAFAEDKRIRKERLK -DKDNITTADIIAYLVSGVMVAYALVAVSKGGITLFKFMKNYAGGGGDRPGSSRRIRQLEAALPSLPQPEE -LTMMERLRRPQSSDNDLALRRNPSDMLSQVVRDVVADVDSSDIAESLNDPARMTEAALEIRLTRIMSAEG -SPVDVIEPGGQELISMQVHGESLTVINAGTDSPTVIRSASLSTRHRSSGIEIGSFAVDKVLPYEVEGEND -DHGDAGKKPTVAQALMIGGGAVKGQGNLGVTNGKELLKVLGSSRSIGKMSGKMAV - ->NP_612610.1 18K protein [Beet virus Q] -MCSRANLAFSGLPALIATSPALVITANIDKDLSSHGRNEIVPNDYLSVARAEGQPLIAMRAGGEEITIIN -ADSDSPTLIRTETLSKRPSSVGVEYGSFSRERIISLSKELTVEGEPSPISHKDNTRTVANLAMSAVAIAA -SVDAARRVRQNKLLNCKILTCNSLSV - ->NP_612607.1 coat protein [Beet virus Q] -MVDPRYEHWTAEHAKAAAKNKFGSYETLLSLVKNWREVRLSVVEHSATILTQINEFGKQGFYSRTVRFGG -PMPAGDMLFVSMSEHLRRIMSNIIIALQSVRGEGRSKRLGIKDGESSTGGEDSALNVAHQLSELADLLED -DEFLKSHVFTQDKFELTLGLKWTGSXQSIVLGWGESVHDAINAIDNRIIAAARLLMLPGQDSHNPSVQGI -DMDAIETSTMKASANLLPQTLVVETTSTQAEREAVPCVLDSDEQEQLTVVPCKATVEVDGHGIAWVIASI -FALLSVCIVSFFIFSSNKFAAFAARRHGRPGGGTASILARMFSRIRYRLRARGALNVTRLKTRNEFLPNR -RNFRTYLVNYDFSYRLWQSRRGLTDAEMQVVADQNAFVGAVKAVDEGDETLAAAAVVRLRQIFDQYLDYE -PAYFGKILNKSVYLASLSASSVANKDTADLLGLGKSLRTRGVWLTQCTNLQFTRYCRLRFVESVLSRKY - ->NP_612623.1 104K protein [Beet soil-borne virus] -MVDPRYEGWNQREAIRAKKRRFVSYDTFMDLIVNWREVRVGIIEHSATILSQVSRLGEANFYGRTKRFGR -VDTNGDVFYVDLSEARIRKILDNIIIALQTTSGEGRAKRLGKEASTTAGAEDSALNVAHQLAELAELMTS -DQFIEDACFTREKFEHEVGLRWVEXQSTAPPVKVDVRAEIDYINNRMKAAARLGLLPGQERHGDAKQTIN -YDDLDFALNRVAGNLLPQTLALERCVESVPETFKDSEDRIDIKGGNPVGETVAKSLIDSHDVKVKKDIGV -DGDGLAWVLAAIFAFLSMLFFSLMCFGSRRFLRLAIRREGKPGGGTMMFLSRIFTNIRYRLRDRAVRLVS -RMRYSKRSRYSRSDMRRYLNDYKFSYIPWVADDFMSSDDKKVVADQNAFVEAVKLVDEGDTDLVLDAVKR -VKEIIQDYLSFTPDFLEIIEMKAAFVVSRKVSIINVPEERDILNLQDKAFDPISCGMPDVQQCSMEEVIK -FDLQEVNCGATDDQTSLKSYVDLLRGLQYMFSLVGTVKFIAVYMAYSGKQYKCVAEAYASDLEMRKSSVD -SATNGTVEKEKSSYVDEICLALRILFAMPAFISLIKSGGVVYRYFTHGGGPAARRRLRESLNELDNLEFQ -NRLNRLREGAEDLGERSESSSTIEAFERRLEMLREGDDTGNEGNVAVDEIRSDTAPGTNTLPNASFNVGS -APIGGLAVIPCIGFPDSASEQRFARTQGKLLRSVRNDNDFKSRSIPEQQIETVFRRDAADTLSNGSSFSS -VVLDSDETFSMEKLEVLNKNSYSEVPGEMFTIMKGENVSHATVKLGNEDVVVVNADTDSPTVIAANSLSK -LHKDKGIEFGTFNKEKILQLSSANIESSDKTVSSSKTNNTVRDFTKVLKVIGMAGGRKSSKPGAAKAASS -SSSVEVATSTEAMKTPMRQLI - diff --git a/seq/clusters_seq/cluster_848 b/seq/clusters_seq/cluster_848 deleted file mode 100644 index dba7cd7..0000000 --- a/seq/clusters_seq/cluster_848 +++ /dev/null @@ -1,81 +0,0 @@ ->YP_009182151.1 minor structural protein [Maize rough dwarf virus] -MTYSKVKMTTDPSEMSLKKVLFDPTNEIRILNHEQADNTLLTTMMIIHDFSDSTTRDSVVPQIAEMAKNE -FKQTIDSIVDSVSTAFVEHYHEIISKNAKLGNPLSPNFKNVLPVTTCIPGLETLYVEREKWELLNRLYRL -NKKLSQKYPLDDLLKGRFFDVSTGDETKDEYISTVIIILEVFLGILTINSIYGGAIFSILILMTEPSNYL -FTMCLLLHSIVQVVKIYLGKRALEVSEEKPSYVAKVKNDDIKDVLIDDTMFIFANNTNEDISQYFDISFK -VHTHKSSEQHPFRSGFISMLTKITKIQGIKEEVKFVGYLDGVSKDELVGASGKKLSASQRHAIGTKYDDK -TQTLIISHPILKDAFSRLEQIGLLKNGLLSKDDFLKIPADFTTIDFSNLEILNSYVNPFAINADLRYASQ -MSYNWKSLTTEKRPYQFLKEGMSVNFYDPIFECFFPNHFIKAVKKDAIAIDPERKVGYEELENFAALVEK -HDDYSYNRTDAYSILKFLHEGHHSCGCAEYFAGFISDYLTSIEVLNHIKDSKLSKLEYHLKQEYESLLKK -TRRQDILKMPVSEHSDYFARCAYNESFFDIDFCFLTDGECHSLVVRFTKRKPYFRFKDDKLDKLNVLSLY -DLVVNCNHGELSSASRVGWNLVDLDSMGSDENLGRITRRPSGKTRQFTYDLMMINFHGSVTQYVIPEWLG -ESITLYEEKHEGTNLFMKSSLFSTMSCLPVHQISNYKLIKCFESSSNKLVSFMDGVISAMSTSLEFSPFK -NTVLNNLKKCTQTLDSSSAKAPASAGPNRSKSFRSYLRSSRPNHIYDKISTCIAKKQNGMFSSEEMCVPY -TLRNFGRLGINDDDCDLSDAISSREELYNNHPCALRLRNIGHFVFGKHFRCKACRNNYGTSFELSLCQLG -HHETAIETVRSNTITSQSRTKLQPSAT - ->YP_004021927.1 P5 [Southern rice black-streaked dwarf virus] -MTYLKVKMTTDPFEMFLKKVVFNPTNEIRILNHEQADNTLLTTLMIIHDFEDTPTRDSILPQIVNEEKAE -FDRTIESILEEVSDAFVANYHKILEENAKLGNPLAPNYKNVVSVETCIPGLEKLFVDRERWELFTRLYRL -DRKMSQKYPLEKMLKGNFLNISISDETYYEYVSAMIIVFEVFLCVITVNSDYGGLIFSVLNLMSGGHNYI -PILGLLLHAIVQAVKIYLDKSAANQIEEKTTSYVDKVTNNDVKDVMIDDTMFIFVDKPKEPIFQNFDISL -KVHTHKSFAFQPFKTGFNALLTKIIRIHKIKGEILKVGYIDGISRDELIGASGKKLSYFERHAIGIKYDQ -ETKTLFISHPILRDAFERLNKLSLLHDNLLLKDDFANIPSDFFTVDFNEPDSVSDYVVPFLNNADLKFST -QMSYNWRSINTEKRPYCFLKEGTSMNFYDPIFECFFPNHFIKIVKKDAITIDPTKVVTEDDMIHFGRLVE -RHEDLTYNKTTAYSILKFLNENCHSCGCSEYFANFVSDYLTSIDVLNHMKDSKLSKVEYHLKQEYENLFK -KTKRQELSKTPIAEHSDFLSQCAYNESFFDIDFCFLEDGDCHSLVIRFAKRKPYFRFKDKKLEKLNVLSL -YDLVVNCNHGELSSSSRVGWNLVDIDNMGSDDNLNRTIRRTNSKPRHFTYDLMMITFTGSVTQYLIPEWL -GESLTVYEEKHEGARLFEKSSLFSTLSCIPATFLANHILIECLEKSSNKLISFMDGVISAMSTSIEFSTF -KNTMLNNLKRCTQASEMNVAKQSPIAGTSKNKSFRSYLRSSRPNHVYDALSYCISKKQNGLFTSEEMCMS -YNLRSFGKVGVDYSNGELSYDISSREELYNNHPCALRLRNLGHFVFGKHFRCKVCRNNYGTSFELSLCQL -GHQETAIEAVRSNTITSQIRAKLQPTTAR - ->YP_956850.1 pS5 [Mal de Rio Cuarto virus] -MTFSKVKMTTETSEMSLKKVLFDPTNEIRILNHEEADNTLLVTMMIIHEFADETTRSAMTPQIVKEKKIE -LDQTLSSIVETISSTFVDKYEEILKKNAELGNPLSKHSRHVTSIKTCIPGLETLYVEKDKLDLLTKLYSI -DKKASAKYPLTDLLQGKFFGIDIDQQTLDGYTTVAIAILEIAVSILTIYSIYGGVIFSVLAVMSDNNTYI -FTLGLILHGIVQAVKIYLQSRVEPKQVEMPTYVEKLKNDDIKDKLIENSMFVFVKRPEPSLFEKFEIDMK -VHTHKSSEIQSFKKGFSNLLPKLLRICEIKKDVEYVGYIDGITKDEMIGASGKKLSALERRAIGTDYDQE -KNILMISHPILRDVWKKLDEINLLENGLLSKANFAKIPDDLSTINFSDSKILFEYISQFLANVDLRHAIQ -LSYNWKSAATEKRPYHYIKEGTSVNFYDPVFECFYPNHFIKSVKRDAIIVDDSKFVSYAETESFGRYVDK -HEDYLYSQTDAYSILKFLNDGHNSCGCADHFACFISDYLTSIDVLNHMKDSPLSKTEYHLRQEYENLFKK -TKKFELSKVAIHEHSEYLASLAYNEAFFNIDFCFLEDCDTHSIVIRFPKRKPYFRFKDDALEKLNVLSLY -DFVIQCNHGDISTASRIGWNLVDLDNFGSDDAISKLGRRPSTKVRQFTYDLMMVTFVGSVTQYVCPEWIG -ETLTMYEEKHEGSSLFEKSTLFSNMSCVQIADLHCYTLIECFNKSSNKLTSFMEGIICAMSSDLEFSPFK -NTVLNNLRRCTHTHDASNSKSVTSVLPGKHKSFRSFLRSSRSSDVYDKIANCITRKQQGMFTRSEMCMHC -DVGKFGKLGIDDDECDVQDLISNKEELYNNHPCALRLRSVGHFVYGKHFRCKACRNNYRTSFELSLCQLG -HQETAAETVRSNALTSQSRTKF - ->YP_249763.1 putative 115.3 kDa protein [Fiji disease virus] -MSEEEKIRIICNTNNEHRILKYEKDESNTRIITSIILHEIEDKSLIPAIDEMMENEVNAEIKTSISEFFD -LVSNAFVAHYDEIVRKNNENANQNITDQNEIIEIKTQIPGLEKLHVRKVKFDQLMKIYSLNEEVAKKFPL -LDVINGKFTVEQLKEGGLFDMTNVLPVLAEIFVITLSLGTVYGGAVFFILNWYTNYESYALILLQILHAI -IQAIKRHVKPQKELISYVNKVKNFEAGQVEIIDPFFVFNKVNEKFEISKYRIEAVTHTNKPSIAKPLNEA -FQQLINNIKVVKKISKPIKYIGYVKGFTNDTVIGMKGKKLPNIKRNVVGVYLDEKSSTLWVSHPLLCDAF -ELLHERNIGDGKKMSLDEIGKIPDDLVIFEIYDDEKLNSLILPFMESVDLKTNHQVSYGYKTKTNEQAHY -KFVSEYGNMNFNDPIFSIFFPNHFIKVSYDVGIDVSVCELAGYNEMDTFTKLVTQQIESTASQMNLHEAL -NVIHNSHLDCGCYDNFGIFLNEYFTTGEVLEHIKETKVKPSDYHLNKMYVDMFTKRRPTELQHLLDKESK -CMNLAYNPAFFDAEFDLVGDKNDHTLVVKFPRRKPYYRFLDENHGDASTLSLYEMVISCKNGKVSNATKV -GFNVMSKSILPNPLLKKGGRGSPNVDKTRYFEYDLVFVTFSGDITQYLINDWIGENLSVYDESHSGVDLF -KRSSVFQNGCFVKSADLHNEGLIELLRQPQNKLNLFMEGIVGAMCTDMEMPSFKSKMLQGIEALQCKKDN -EVLVSGTSSSNQAYSFRNYIRSTRSGDVITRFERCIKIKSELHSPQKMCDNTDWFEFGRLGHLSFGTNLS -EVIRSKHELLTSHPCGVRFRSFGYFRFGKSFKCKTCRNSYQNLFRFFHVWIGATIIQRVKSVSLVEVLSN -LELNFMKLSQEHNKCSQYQFDLYDEHILNRILESKQDIIDVLLTSNDYNRLLNVISQIRISASELQHING -ISKANLVIKIHLLSVIRSVCVD - ->NP_620462.1 P5-1 protein [Rice black streaked dwarf virus] -MTYSKVKMTTDPSEMSLKKVLFDPTNEIRILNHEQADNTLLTTMMIVHEFSDLTTRDSVVPQIAEMAKNE -INQTIDSIVDSVSTAFVDHYHEIIDKNAKLGNPLSPNFKNVISINTCIPGLETLYVEREKWELLNRLYRL -NKKLSQKYPLDDLLKGHFPDISTGDESTDEYISTIIIILEVFVGMLTINSVYGGAIFSVLILMSDHSSYL -FIMCLLLHAIVQAVKIYLGKRESEVSEGKPSYVDKVKNDDVKDALINDTMFIFANTTKEDISQFFDVSFK -VHTRKSSEQHPFRSRFISMLTKITKIQGIKEEVKFVGYLDGISKDELVGASGKKLSASQRHAIGTKYDDK -TQTLIISHPILKDAFSRLEQLGFLKDGLLSKDDFLKIPIDFTTINFDKMETLCSYVTPFAINADLRHASQ -MSYNWKSLTTEKRPYQFLKEGMSVNFYDPIFECFFPNHFIKAVKKDAIAIDPEQIVGYEELENFATLVEK -HDDYSYNRTDAYSILKFLHEGHHSCGCAEYFAGFISDYLTSIEVLNHIKDSKLSKLDYHLKQEYESLLKK -ARRQDVLRMPVSEHADYFARCAYNESFFDIDFCFLTDGECHSLVVRFTKRKPYFRFKDDKLDKLNVLSLY -DLVVNCNHGELSSASRVGWNLVDLDSMGSDENIGRITRKPSSKTRQFTYDLMMVNFHGSVTQYVIPEWLG -ESITLYEEKHEGAALFGRSSLFSTMSCLAIHLISNYKLIKCFENSSNKLVSFMDGIISAMSTNIEFSPFK -NTVLNNLKKCTQTIDYSNAKNSISPSSSRSKSFRSYLRSSRPNHIYDKISACIAKKQNGMFSSEEMCMPY -TLRKFGRLGIDDDDCELSDAISSREELYNNHPCALRLRNIGHFVFGKHFRCKACRNNYGTSFELSLCQLG -HQETAIETVRSNTITSQSRTKLQPSAT - diff --git a/seq/clusters_seq/cluster_849 b/seq/clusters_seq/cluster_849 deleted file mode 100644 index 5234b31..0000000 --- a/seq/clusters_seq/cluster_849 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_009177209.1 non-structural glycoprotein [Yata virus] -MNLIVGIFFVMIIISFNSSQSYWVNHPYKCMETNEDSNLISYMCNPEELSYNFHRLNTKLNTHAIGRICV -PNPGNSLSIKGKYCIRVTKTTSCFMDELFNKKLTYKTSYSYPSFARCREAIITNDIETPFYPPPRCTPNR -NITERNEFFVFHDIELNDHVGEQKGGMPLFEIDGRTYKESIHSSEFCQLRNWDCFEYEPGFELDSGKLGS -WKTIQYIIGKLNLLYFKEFGLVEENGICRLKICGHWCLRTVDDQIFHFESVDLLDKIPDCPKVSTISLQP -IRRPGIEVSLMALLQSRDKICKDIKLSLINKRKLDWNKLRYLTPLIPGPGIGYNFKKYWTTSTTVLSGKA -VEGKELAFYMCDYWPTEIVPNSANLSEIYIRKLGSEKFTKFNYDGPLIQEKTSRKYGDSEIDVLSYHGIN -GFLQTQNSSSYPFSQVMDLIYGYKSRSTPYYIEMKSNNSQKLNDSFSHYVHMDQEHVFPLEENVTVLPTP -ILPNKTREDVTIEDRFLEDIWIWILGIIATGVIIGILLWRISKL - ->YP_009094429.1 non-structural transmembrane protein [Malakal virus] -MWTIKLIILVLDTALGIWVNHPFNCTELSDEAGFKYLCGDDSAINIRDEILDSKYAEIGEICTPDFQKSD -KIQGYYCTIVKKSTECEVLSNLDNKIKYYTVSYLMNKEACLEYVKHHGIESEHPFHPPPRCTPGILERQD -KEFVVVKETTMVGNPFIDDSIDVYLLNNITEGYKSIWHKSELCQVSNWKCHGQKNYIPLEIFKNDDQISI -RLELIKLGIIYDSHFGSIPIQGSCELRFCGKKVLRLPGGGIIAVNWKPLYKEVPMCKSQELITNGVTDLS -LKKRRNIGGPLLLAINQRHENCKKIKKKILAKKPVPFQNLHYLNPFEPGKHIAIKYGKVMTVFSGPSRSK -VVPSYHISYMECNYRVGNLIYDDNDTTNFTITFLGETNITSENLLNEKGWVKESEPEDRSENLTEVSRHF -WYNGISRADNKISYPRSFILQSLESIYNDIGIIAVSKKNGDIYKEKFNYQLEEAQILNITDSESERTDNI -NETEVNLVNKKEVDKNQGNKDESTNEIRNHEREIIRSANETITVEDKGYWSEEMSVWGIGSLVSLISLYI -LFRRRSRIGKNVRKYRHRILRFFNLDYS - ->YP_009094399.1 non-structural transmembrane glycoprotein [Kimberley virus] -MWTMKLIVLILDTALGIWVNHPFNCTELSDEAGFKYLCGDNSAINIRDEILDGKYAEIGEICTPDFRKSD -KIQGYYCTIVKKSTECEVLSNLDNKIKYYTISYLMNKEACLEYVKHRGIESEHPFHPPPRCTPGILERQD -REFIVVKETTMVGDPFIDDSIDVYLLNNITEGYKSIWHKSELCQVRNWKCHGQKNYIPLEIFKNDDQVSI -RLELIKLGIIYDSHFGSIPIKGSCELRFCGKKVLRLPGGGIISVNWKPLYKEVPMCKSQELITNGVADLS -LKGRRNIGGPLLLAINQRHENCKKIKNRILAKKPVPFQNLHYLNPFEPGKHIAIKYGKVMTVFSGPSRSK -VVPSYHISYMECNYRVGDLIYDNNDTTNFTITFLGETNITSESLLGEKGWVKESEPEDRSENLTEGSRHF -WYNGISRTDNKISYPRSFILQSLESIYNDIGIIAVSKKHGDIYNEKFNYPLEEAQILNINDSESKGTDNT -NETEISLSDKKEVNKEQENKDESINEIGSHDQEITRSANETITVEDKGYWSEEMSVWGIGSLVSLISLYI -LFRRRLRLGKNVHKYRHRILRFFNLDYS - ->YP_009094133.1 non-structural transmembrane glycoprotein GNS [Berrimah virus] -MFLLTVNLLCVMAYANGADIWINYPEQCKNISLKEGLKELCGGNQLMNIRNKLLDDTYKEIGEICTPNYA -MEKRSQGYRCASIKKKVICKMLDNFDHEVTYISESHPIDKSKCHELIQHRDLLNNKEEPYYPPPKCDSSS -SSVNELEFITLINYNAMFDPIGFESNDNYLFNFEGSDKKPIDHIYQSEFCQSKNWICHGDKSYIPLEIFK -GDNQVSIRLELIKLGIIYDSNFGELPINNACLLNYCGKSAIKLFNGAIIRIKNSPLTSKLTACNKSQIDM -PEVNLARKRYSNIGPVLLTTINKRYDICQKIKKNLELERPVPINNLHYLAPFEPGQHPALVYRQVSTTIS -SSFRSKVVPVNMLSMSMCEYTTGILTNDGIVDDLKSNDTVIKLINNKEIKWSDIKNREHWYEEQRNPDII -DGNETDLLSYWYNGVTRKGNTIIYPTSYILQTLKKVYMDTEKELKVDFFRVEHEYNVTRKDILKHNYTNA -DRKNDTNLDKEYLDREYKDIKELNATETKKEGEDEEVQIIQNKEYWNEESSIWGISTIITIIGIYYIYRK -NKRSKTFLNVKHKITKFFKLDY - ->NP_065403.1 non-structural transmembrane glycoprotein GNS [Bovine ephemeral fever virus] -MFLQLFNIVLIYGVRTSQSTWINYPENCTSISLQDGLRELCGGDQLMNIRNQLLDDTYKEIGEICTPNYS -MEKKSEGYRCASIKKKVICKMLENFDHEVTYISESHPIDKAKCHELIINKDLLNNIEEPYYPPPKCDSSK -SSVSELEFIKLINYDVILDPVGFQNEDNYLFQFDKTNPIPIDYIYQSEFCQSKNWICHGDKSYIPLEIFK -GDNQASIRLELIKLSIIYDSNFGELPIRDACRLHYCGKPAIKLFNGAIIKIKESPIVLGLPSCNRSRIEM -PETNLAKKRYSNVGPVLLTTLNKRFELCKKIKKNLELKQPIPINNLHYLAPFEPGKHPALVYRLVSTTIN -QSLRNKVVPVSMLSMSMCEYITGQIIEDGIKRNLTDEDTVIILANNKEIKWKDLKGRENWYQEQANPNII -DKNPDHLSYYWYNGVMRREDKFTYPSRYILQTLKKIYTDTERESRISFFKFRLERNITKTEVIKFRDIEE -SSDQDHSQSVNKTLEGDDYWNWVEETTSDKNKTDGSRGDEKQTIQNKEYWNEESSIWGISTIITVLGIYY -IYRKNRREKIFLNMKHRVQRFFKLDY - diff --git a/seq/clusters_seq/cluster_85 b/seq/clusters_seq/cluster_85 deleted file mode 100644 index c87dcbe..0000000 --- a/seq/clusters_seq/cluster_85 +++ /dev/null @@ -1,253 +0,0 @@ ->YP_009173857.1 pre-capsid protein [Hepatitis B virus] -MQLFHLCLIISCSCPTVQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRQAILCWGELMTLATWVGVNLEDPASRDLVVSYVNTNMGLKFRQLLWFHISCLTFG -RETVIEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRES -QC - ->YP_009553239.1 core protein [Domestic cat hepadnavirus] -MHLFHLCVLFCSIPTVQASKLCLRWLWGMDIDPYKEFGTTSQLISFLPSDFFPALNDLVDTIQALYEEEL -TGREHCSPHHTALRVLLNCWEESARMATWVRANVEGAPLQDAIVAYVNSTVSLKLRQQMWFHLSCLTFGQ -HTVLEFLVSFGTWIRTPAPYRPPNAPILSTLPEHTVIRARGAARRPARSPRRRTPSPRRRRSQSPRRRRS -QSPTQSNC - ->YP_009666528.1 core protein [Capuchin monkey hepatitis B virus] -MHLFHLCLIILCSCPTVQASKQCLGWLLGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRQTVLCWGELMALASWVGSNLEHPASRELVVNYVNDNMGLKIRQLLWFHVSCLTFG -RETVLEYLVSFGVWIRTPPAYRPLNAPILSTLPETTVVRRRPGSSRGRTPSPRRRRSQSPRRRRSQSPAS -SC - ->YP_009259539.1 core [Bluegill hepatitis B virus] -MAMPPPDPFLEFGTDQKLINLLPTDFFPEIQKIVDILEAQYGDQIDSAVHHSAHHSALRQLLNCYKGIRD -FMTWLLTGITDAQLRQQVTDRINESEGNRMQKAFWFHWASLTFGDKTVQDFIVSFATWLQTPPAYRPPNA -PILSVMAGGPNYITRRTRGRSPTPSRRRSKSPRRSGSRGSRGK - ->YP_009506649.1 core antigen [Pomona bat hepatitis B virus] -MYLFHLCLLFCSYPTVQASKLCLGWLWGMDIDPYKEFGTSQQLINFLPVDFFPSLSDLVETIIALYEENL -TGREHCSPHHTALRALINCWEESSSFVTWVRSSVEGTPIQDAIVTHVMNTFGLKLRQQLWFHLSCLTFGD -ATVLEFLVSFGTWIRTPQPYRPPNAPILSTLPEHTVVRRRGGRAATRSPRRRTPSPRRRKSKSPRRRRTP -SPTAPAC - ->YP_009046002.1 core protein [Tent-making bat hepatitis B virus] -MENLERLDIYKEFGVSDVLVSFLPDDFFPTLQQLLESVNALYEDELTGPNHCSPHHTALRHLIMCGVELR -DFIDWMHEQGLSPDADALLAGYLRSKYLKHITKAIWYHLSCLTFGKQTVHEYLVSFGTWIRTPAAYRPVN -APILTTLPETSVIRRRPASRRSTPSPRRRRSQSPRRRRSPSPRPASNC - ->YP_009045998.1 core protein [Horseshoe bat hepatitis B virus] -MYLFHLCLVFCSYPTLQASKLCLGWLWDMDIDPYKEFGASSQLVSFLPADFFPALNDLVETSVALYEEDL -VGKEHCSPHHAALRALLNCWEETVRLITWVRATVEGQPVQDAIIGYVQTTVGLRMRQQIWFHLSCLTFGQ -QTVIEFLVSFGTWMRTPAAYRPPNAPILSTLPEHTVIRRRGNPRAPRSPRRRTPSPRRRRSQSPRRRRSQ -SPAPSNC - ->YP_009045994.1 core protein [Roundleaf bat hepatitis B virus] -MYLFHLCLIFCSYPTVQASKLCLGWLWDMDIDPYKEFGASSQLISFLPEDFFPNLAELVETTTALYEEEL -VGKEHCSPHHTALRSLLNCWGETVRLITWVRNSVEGPLIQDAIVQQVQASVGLRMRQLMWFHLSCLTFGQ -PTVIEFLVSFGTWIRTPQAYRPPNAPILSTLPEHTIVRRRGGSRATRSPRRRTPSPRRRRSQSPRRRRSQ -SPASSNC - ->YP_007678002.1 core antigen [Long-fingered bat hepatitis B virus] -MQLFHLCLVFCSIPTVQASELCLRWLWGMDIDPYKEFGASAQLISFLPEDFFPPLNDLVDTLVALYEEEL -AGREHCTPHHTAIRALLNCWEETTRLATWVRASVEGTTVQDAIVAYVQSTIGLRMRQQLWFHLSCLTFGQ -STTIEFLISFGTWARTPQAYRPPNAPILSTLPEHTVIRRRAGGRSSSRPRRSTPSPRRRRSQSPRRRRSR -TPPSNNC - ->NP_040993.1 hypothetical protein [Ground squirrel hepatitis virus] -MYLFHLCLVFACVPCPTVQASKLCLGWLWDMDIDPYKEFGSSYQLLNFLPLDFFPDLNALVDTAAALYEE -ELTGREHCSPHHTAIRQALVCWEELTRLITWMSENTTEEVRRIIVDHVNNTWGLKVRQTLWFHLSCLTFG -QHTVQEFLVSFGVWIRTPAPYRPPNAPILSTLPEHTVIRRRGGSRAARSPRRRTPSPRRRRSQSPRRRRS -QSPASNC - ->sp|P0C6J6.1|HBEAG_WHV5 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MYLFHLCLVFACVPCPTFQASKLCLGWLWGMDIDPYKEFGSSYQLLNFLPLDFFPDLNALVDTATALYEE -ELTGREHCSPHHTAIRQALVCWDELTKLIAWMSSNITSEQVRTIIVNHVNDTWGLKVRQSLWFHLSCLTF -GQHTVQEFLVSFGVWIRTPAPYRPPNAPILSTLPEHTVIRRRGGARASRSPRRRTPSPRRRRSQSPRRRR -SQSPSANC - ->sp|P0C6H3.1|HBEAG_HBVC1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLRGMDIDTYKEFGASVELLSFLPSDFFPSIRDLLDTAFALHREA -LESPEHCSPHHTALRQAIVCWGELMNLATWVGSNLEDPASRELVVSYVNVNMGLKIRQLLWFHISCLTFG -RETVLEYLVSVGVWIRTPQAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSKSPRRRRSQSRES -QC - ->sp|Q67863.1|XCORE_HBVC4 RecName: Full=Putative X-Core fused protein -MAARVCCQLDPARDVLCLRPVGAESRGRPVSRPFGPHPSPSSSAVPADHGAHLSLRGLPVCAFSSAGPCA -LRFTSARRMETTVNADQVLPKVLHKRTLGLSAMSTSDLEAYFKDCLFKDWEDLGEEIRLMIFVLGGCRHK -LVCSPAPCNFFHLCLIISCSCPTVHASKLCLGWLWGMDIDPYKEFGASVELLSFLPSDFFPSIRDLLDTA -SALYREALESPEHCSPHHTALRQAILCWGELMNLATWVGSNLEDPASRELVVSYVNVNMGLKIRQLLWFH -ISCLTFGRETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSESPRRR -RSQSRESQC - ->sp|Q9QMI2.1|CAPSD_HBVD4 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTAAALYRDALESPEHCSPHHTALRQAILCWGDLITLST -WVGTNLEDPASRDLVVSYVNSNMGLKFRQLLWFHISCLTFGRETVLEYLVSFGVWIRTPPAYRPPNAPIL -STLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRESQC - ->sp|Q9QBF2.1|CAPSD_HBVB7 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGASTELISFLPADFFPSVRDLLDTAAALYREALESPEHCSHHHTALRQAILCWGELMTLAT -WVGNNLQDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFGRETVLEYLVSFGVWIRTPPAYRPPNAPIL -STLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRESQC - ->sp|P03147.1|CAPSD_HBVD1 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTAAALYRDALESPEHCSPHHTALRQAILCWGDLMTLAT -WVGTNLEDPASRDLVVSYVNTNVGLKFRQLLWFHISCLTFGRETVLEYLVSFGVWIRTPPAYRPPNAPIL -STLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRESQC - ->sp|P17099.1|HBEAG_HBVA4 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCTCPTVQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRQAILCWGELMTLATWVGNNLEDPASRDLVVNYVNTNMGLKIRQLLWFRISYLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRDRGRSPRRRTPSPRRRRSQSPRRRRSQSR -ESQC - ->sp|P0C6J0.1|HBEAG_WMHBV RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MHLFHLCLIILCSCPTVQASKLCLGWLLGMDIDPYKEFGATVELLSFLPADFFPSVRDLLDTASALYREA -LESSDHCSPHHTALRQTVLCWGELMSLASWVGTNLEDPAARELVVSYVNDNMGLKVRQLLWFHISCLTFG -RETVLEYLVSFWVWIRTPPAYRPPNAPILSTLPETTVVRRRRPSGRRTPSPRRRRSQSPRRRRSQSPASS -C - ->sp|Q9WJE9.1|HBEAG_HBVGO RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLLGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPNHTALRQAILCWGELMTLASWVGNNLEDPASREQVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETAVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSPAS -QC - ->sp|P89951.1|HBEAG_HBVGB RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLLGMDIDPYKEFGASVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPNHTALRQAVLCWGELMTGCSWVGNNLEDPASRELVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSPAS -QC - ->sp|Q64896.1|HBEAG_ASHV RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MYLFHLCLVFACVSCPTVQASKLCLGWLWDMDIDPYKEFGSSYQLLNFLPLDFFPELNALVDTATALYEE -ELTGREHCSPHHTAIRQALVCWEELTRLIAWMSANINSEEVRRVIVAHVNDTWGLKVRQNLWFHLSCLTF -GQHTVQEFLVSFGVRIRTPAPYRPPNAPILSTLPEHTVIRRRGSARVVRSPRRRTPSPRRRRSQSPRRRP -QSPASNC - ->sp|P0C767.1|HBEAG_HBVCJ RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFPLCLIISCSCPTVQASKLCLGWLWGMDIDPYKEFGASVELLSFLPSDFFPSIRDLLDTASALYREA -LESPEHCSPHHTALRQAILCWGELMNLATWVGSNLEDPASRELVVSYVNVNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRES -QC - ->sp|P24023.2|CAPSD_HBVD2 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREALESPEHCSPHHTALRQAILCWGDLITLST -WVGGNLEDPTSRDLVVSYVNTNMGLKFRQLLWFHISCLTFGRETVIEYLVSFGVWIRTPPAYRPPNAPIL -STLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRTQSRESQC - ->sp|P0C6I5.1|HBEAG_HBVF6 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLWGMDIDPYKEFGASVELFSFLASDFFPSVRDLLDTASALYRDA -LESPEHCTPNHTALRQAILCWGELMTLASWVGNNLEDPAARDLVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSPAS -QC - ->sp|P0C6I1.1|HBEAG_HBVD5 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLWDMDIDPYKEFGASVELLSFLPSDFFPSVRDLLDTATALYRDA -LESPEHCTPHHTALRHVCLCWGDLMNLATWVGTNLEDQASRDLVVSYVNTNMGLKFRQLLWFHISCLTFG -RDLVLEYLVSFGVWIRTPPAYRPSNAPILSTLPETTVVRQRGRTIRRRTPSPRRRRSQSPRRRRSQSRES -QC - ->sp|P0C699.1|HBEAG_HBVB1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLVISCSCPTVQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTVSALYREA -LKSPEHCSPHHTALRQAILCWGELMTLATWVGNNLEDPASRDLVVNYVNTNMGLKIRQLWWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRES -QC - ->sp|P0C692.1|HBEAG_HBVA2 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCTCPTVQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRQAILCWGELMTLATWVGNNLQDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRDRGRSPRRRTPSPRRRRSQSPRRRRSQSR -ESQC - ->sp|P0C682.1|CAPSD_HBVG3 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDRTTLPYGLFGLDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYRESLESSDHCSPHHTALRQA -ILCWGELMTLATWVGNNLEDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFGRETVLEYLVSFGVWIRT -PPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSASPASQC - ->sp|P0C6H8.1|CAPSD_HBVC9 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGASVELLSFLPSDFFPNIRDLLDTASALYREALESPEHCSPHHTALRQAILCWGELMNLAT -WVGSNLEDPASRELVVSYVNVNMGLKIRQLLWFHISCLTFGRETVLEYLVSFGVWIRTPIAYRPPNAPIL -STLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRESQC - ->sp|P0C677.1|CAPSD_HBVB8 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGASVELLSFLPSDFFPSVRDLLDTASALYREALESPEHCSPHHTAIRQAILCWVELMTLAS -WVGQNLQDQASRDLVVNYVNTNMGLKIRQLLWFHISCLTFEREVVLEYLVSFGVWIRTPPAYRPPNAPIL -STLPETTVIRRRGRSPRRRTPSPRRRRSQSPRRRRSQSREPQC - ->sp|P0C625.1|HBEAG_HBVA3 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCTCPTVQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRQAILCWGELMTLATWVGNNLEDPASRDLVVNYVNTNVGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRDRGRSPRRRTPSPRRRRSPSPRRRRSQSR -ESQC - ->sp|Q4R1S8.1|HBEAG_HBVA8 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCTCPTIQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRQAILCWGDVTNLATWVGTNLDDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRDRGRSPRRRTPSPRRRRSQSPRRRRSQSR -ESQC - ->sp|Q4R1S0.1|HBEAG_HBVA9 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCTCPTLQASKLCLGWLWGMDIDPYKEFGASVELLSFLPSDFFPSVRDLLDTSAALYREA -LESPEHCSPHHTALRQAILCWGELMTLATWVGNNLQDPASRDQVVNYVNTNMGLKIRQLLWFHISCLTFG -RQTVLEYLVSFGVWIRTPPPYRPPNAPILSTLPETTVVRRRDRGRSPRRRTPSPRRRRSQSPRRRRSQSR -ESQC - ->sp|Q67924.1|CAPSD_HBVB6 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGASVELLSFLPSDFFPSIRDLLDTASALYREALESPEHCSPHHTALRQAILCWGELMNLAT -WVGGNLEDPASRELVVSYVNVNMGLKLRQILWFHISCLTFGRETVLEYLVSFGVWIRTPTAYRPPNAPIL -STLPENAVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRGSQC - ->sp|Q81105.2|HBEAG_HBVA5 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCTCPTFQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLXDTASALYREA -LESPEHCSPHHTALRQAILCWGKLMTLATWVGNNLEDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRDRGRSPRRRTPSPRRRRSQSPRRRRSQSR -ESQC - ->sp|O92920.1|HBEAG_HBVD7 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLWDMDIDPYKEFGATVQLLSFLPHDFFPSVRDLLDTASALFRDA -LESPEHCSPHHTALRQAILCWGELMTLATWVGANLQDPASRELVVTYVNINMGLKFRQLLWFHISCLTFG -RETVIEYLVSFGVWIRTPQAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRES -QC - ->sp|Q9WMB7.1|HBEAG_HBVC2 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLWGMDIDPYKEFGASVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRQATLCWGELMNLATWVGSNLADPASRDLVVNYVNVNMGLKFRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSKSRES -QC - ->sp|Q9QAB9.1|CAPSD_HBVB3 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGASVELLSFLPSDFFPSIRDLLDTASALYREALESPEHCSPHHTALRQAILCWGELMNLAT -WVGSNLEDPASRELVVSYVNVNMGLKIRQLLWFHISCLTFGRETVLEYLVSFGVWIRTPPAYRPQNAPIL -STLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSREPQC - ->sp|Q91C37.1|HBEAG_HBVA6 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCTCPTVQASKLCLGWLWGMDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYREA -LESPEHCSPHHTALRETILCWGELMTLATWVGNNLEDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRDRGRSPRRRTPSPRRRRSQSPRRRRSQSR -ESQC - ->sp|Q913A8.1|HBEAG_HBVC7 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIISCSCPTVQASKLCLGWLWGMDIDPYKEFGASVEVLSFLPSDFFPSNRDLLDTASALDREA -LESPEHCSPHHTALRQAILCWGELMNLATWVGSNLEDPASRELVVSYVNVNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRES -QC - ->sp|Q8JMZ4.1|HBEAG_HBVH3 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIIFCSCPTVQASKLCLGWLWGMDIDPYKEFGASVELLSFLPSDFFPSVRDLLDTASALYRDA -LESPEHCTPNHTALRQAILCWGELMTLASWVGNNLEDPAARDLVVNYVNTNMGLKIRQLLWFHISCLTFG -RDTVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRQRGRAPRRRTPSPRRRRSQSPRRRRSQSPAS -QC - ->sp|Q81164.1|CAPSD_HBVC8 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTAAALYREALESPEHCSPHHTALRQAILCWVELMTLAT -WVGNNLEDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFGRETVLEYLVSFGVWIGTPPAYRPPNAPIL -STLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSRESQC - ->sp|Q05495.1|HBEAG_HBVF1 RecName: Full=External core antigen; AltName: Full=HBeAg; AltName: Full=Precore protein; AltName: Full=p25; Flags: Precursor -MQLFHLCLIIFCSCPTVQASKLCLGWLWGMDIDPYKEFGASVELLSFLPSDFFPSVRDLLDTASALYRDA -LESPEHCTPNHTALRQAILCWGELMTLASWVGNNLEDPAARDLVVNYVNTNMGLKIRQLLWFHISCLTFG -RETVLEYLVSFGVWIRTPPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSQSPRRRRSQSPAS -QC - ->sp|P29178.1|CAPSD_HBVG1 RecName: Full=Capsid protein; AltName: Full=Core antigen; AltName: Full=Core protein; AltName: Full=HBcAg; AltName: Full=p21.5 -MDRTTLPYGLFGLDIDPYKEFGATVELLSFLPSDFFPSVRDLLDTASALYRESLESSDHCSPHHTALRQA -ILCWVELMTLATWVGNNLEDPASRDLVVNYVNTNMGLKIRQLLWFHISCLTFGRETVLEYLVSFGVWIRT -PPAYRPPNAPILSTLPETTVVRRRGRSPRRRTPSPRRRRSPSPRRRRSQSRESQC - diff --git a/seq/clusters_seq/cluster_850 b/seq/clusters_seq/cluster_850 deleted file mode 100644 index 2e02cc6..0000000 --- a/seq/clusters_seq/cluster_850 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009104392.1 2A2 protein [Crohivirus A] -PAQLVYKDRGLYKHYGVRIGESVFQMQSENFLEAIFKGEVEIARVMDDGNWKVESEYPMDYFVQQYMNSE -LGKKYKFSCLENCETWARTAFPTHEWTQSKALGFFGVMIVTASLAAIALRDTSATTLMSFFNQ - ->YP_008549604.1 2A2 [Eel picornavirus 1] -PHAELVYKDRGLYRHYGVRYAGDVIHMDSENILETATTGKVSIIKVPDDGKWIVSTSVMLSELKAHALEK -SEGLISYFSATNNCETFAKEALGIESVSQARALATFGFIIATTTGVIAAGQTNVADYVKAGAGKLADMVT - ->YP_008119838.1 2A protein [Sebokele virus 1] -PTMELVYKDRGFYKHYGVRVGNAIYHLDSQDILSTAITGQATFDKIEDDGCWLVSQVADLDYFTDKYVNS -LVGTKHIFSATQNCETIARDVFGDSSMTQGRALGILGVILLSAGLLSLMAVPWDVSSLQQVYNQ - ->NP_740731.1 2A protein [Parechovirus A] -SPYGRQGKKQLMKLAYLDRGFYKHYGIVVGDDVYQLDSDDIFKTALTGKAKFTKTRLTPDWVVEEECELD -YFRIKYLESSVNSEHIFSVDNNCETIAKDIFGSHSLSQHQQIGLIGTILLTAGLMSTIKTPVNPTTIKEF -FNH - ->NP_705878.1 2A2 [Ljungan virus] -PDIELVYKNRGFYKHYGVRFGGHIYHLNSQDILSTAITGKSDFIKEEDDGKWVHAMTAPLDYFTEKYINS -MVGSKHIFSATSNCETIARDLFPGRKEITQSKALGIIGVILLSASLLSLLAVPWDYSSLQTVYNQ - diff --git a/seq/clusters_seq/cluster_851 b/seq/clusters_seq/cluster_851 deleted file mode 100644 index 66c17bf..0000000 --- a/seq/clusters_seq/cluster_851 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009094095.1 attachment glycoprotein [Mojiang virus] -MATNRDNTITSAEVSQEDKVKKYYGVETAEKVADSISGNKVFILMNTLLILTGAIITITLNITNLTAAKS -QQNMLKIIQDDVNAKLEMFVNLDQLVKGEIKPKVSLINTAVSVSIPGQISNLQTKFLQKYVYLEESITKQ -CTCNPLSGIFPTSGPTYPPTDKPDDDTTDDDKVDTTIKPIEYPKPDGCNRTGDHFTMEPGANFYTVPNLG -PASSNSDECYTNPSFSIGSSIYMFSQEIRKTDCTAGEILSIQIVLGRIVDKGQQGPQASPLLVWAVPNPK -IINSCAVAAGDEMGWVLCSVTLTAASGEPIPHMFDGFWLYKLEPDTEVVSYRITGYAYLLDKQYDSVFIG -KGGGIQKGNDLYFQMYGLSRNRQSFKALCEHGSCLGTGGGGYQVLCDRAVMSFGSEESLITNAYLKVNDL -ASGKPVIIGQTFPPSDSYKGSNGRMYTIGDKYGLYLAPSSWNRYLRFGITPDISVRSTTWLKSQDPIMKI -LSTCTNTDRDMCPEICNTRGYQDIFPLSEDSEYYTYIGITPNNGGTKNFVAVRDSDGHIASIDILQNYYS -ITSATISCFMYKDEIWCIAITEGKKQKDNPQRIYAHSYKIRQMCYNMKSATVTVGNAKNITIRRY - ->YP_009094086.1 attachment glycoprotein [Cedar virus] -MLSQLQKNYLDNSNQQGDKMNNPDKKLSVNFNPLELDKGQKDLNKSYYVKNKNYNVSNLLNESLHDIKFC -IYCIFSLLIIITIINIITISIVITRLKVHEENNGMESPNLQSIQDSLSSLTNMINTEITPRIGILVTATS -VTLSSSINYVGTKTNQLVNELKDYITKSCGFKVPELKLHECNISCADPKISKSAMYSTNAYAELAGPPKI -FCKSVSKDPDFRLKQIDYVIPVQQDRSICMNNPLLDISDGFFTYIHYEGINSCKKSDSFKVLLSHGEIVD -RGDYRPSLYLLSSHYHPYSMQVINCVPVTCNQSSFVFCHISNNTKTLDNSDYSSDEYYITYFNGIDRPKT -KKIPINNMTADNRYIHFTFSGGGGVCLGEEFIIPVTTVINTDVFTHDYCESFNCSVQTGKSLKEICSESL -RSPTNSSRYNLNGIMIISQNNMTDFKIQLNGITYNKLSFGSPGRLSKTLGQVLYYQSSMSWDTYLKAGFV -EKWKPFTPNWMNNTVISRPNQGNCPRYHKCPEICYGGTYNDIAPLDLGKDMYVSVILDSDQLAENPEITV -FNSTTILYKERVSKDELNTRSTTTSCFLFLDEPWCISVLETNRFNGKSIRPEIYSYKIPKYC - ->YP_009091838.1 glycoprotein [Ghana virus] -MPQKTVEFINMNSPLERGVSTLSDKKTLNQSKITKQGYFGLGSHSERNWKKQKNQNDHYMTVSTMILEIL -VVLGIMFNLIVLTMVYYQNDNINQRMAELTSNITVLNLNLNQLTNKIQREIIPRITLIDTATTITIPSAI -TYILATLTTRISELLPSINQKCEFKTPTLVLNDCRINCTPPLNPSDGVKMSSLATNLVAHGPSPCRNFSS -VPTIYYYRIPGLYNRTALDERCILNPRLTISSTKFAYVHSEYDKNCTRGFKYYELMTFGEILEGPEKEPR -MFSRSFYSPTNAVNYHSCTPIVTVNEGYFLCLECTSSDPLYKANLSNSTFHLVILRHNKDEKIVSMPSFN -LSTDQEYVQIIPAEGGGTAESGNLYFPCIGRLLHKRVTHPLCKKSNCSRTDDESCLKSYYNQGSPQHQVV -NCLIRIRNAQRDNPTWDVITVDLTNTYPGSRSRIFGSFSKPMLYQSSVSWHTLLQVAEITDLDKYQLDWL -DTPYISRPGGSECPFGNYCPTVCWEGTYNDVYSLTPNNDLFVTVYLKSEQVAENPYFAIFSRDQILKEFP -LDAWISSARTTTISCFMFNNEIWCIAALEITRLNDDIIRPIYYSFWLPTDCRTPYPHTGKMTRVPLRSTY -NY - ->NP_047112.2 glycoprotein [Hendra henipavirus] -MMADSKLVSLNNNLSGKIKDQGKVIKNYYGTMDIKKINDGLLDSKILGAFNTVIALLGSIIIIVMNIMII -QNYTRTTDNQALIKESLQSVQQQIKALTDKIGTEIGPKVSLIDTSSTITIPANIGLLGSKISQSTSSINE -NVNDKCKFTLPPLKIHECNISCPNPLPFREYRPISQGVSDLVGLPNQICLQKTTSTILKPRLISYTLPIN -TREGVCITDPLLAVDNGFFAYSHLEKIGSCTRGIAKQRIIGVGEVLDRGDKVPSMFMTNVWTPPNPSTIH -HCSSTYHEDFYYTLCAVSHVGDPILNSTSWTESLSLIRLAVRPKSDSGDYNQKYIAITKVERGKYDKVMP -YGPSGIKQGDTLYFPAVGFLPRTEFQYNDSNCPIIHCKYSKAENCRLSMGVNSKSHYILRSGLLKYNLSL -GGDIILQFIEIADNRLTIGSPSKIYNSLGQPVFYQASYSWDTMIKLGDVDTVDPLRVQWRNNSVISRPGQ -SQCPRFNVCPEVCWEGTYNDAFLIDRLNWVSAGVYLNSNQTAENPVFAVFKDNEILYQVPLAEDDTNAQK -TITDCFLLENVIWCISLVEIYDTGDSVIRPKLFAVKIPAQCSES - ->NP_112027.1 attachment glycoprotein [Nipah henipavirus] -MPAENKKVRFENTTSDKGKIPSKVIKSYYGTMDIKKINEGLLDSKILSAFNTVIALLGSIVIIVMNIMII -QNYTRSTDNQAVIKDALQGIQQQIKGLADKIGTEIGPKVSLIDTSSTITIPANIGLLGSKISQSTASINE -NVNEKCKFTLPPLKIHECNISCPNPLPFREYRPQTEGVSNLVGLPNNICLQKTSNQILKPKLISYTLPVV -GQSGTCITDPLLAMDEGYFAYSHLERIGSCSRGVSKQRIIGVGEVLDRGDEVPSLFMTNVWTPPNPNTVY -HCSAVYNNEFYYVLCAVSTVGDPILNSTYWSGSLMMTRLAVKPKSNGGGYNQHQLALRSIEKGRYDKVMP -YGPSGIKQGDTLYFPAVGFLVRTEFKYNDSNCPITKCQYSKPENCRLSMGIRPNSHYILRSGLLKYNLSD -GENPKVVFIEISDQRLSIGSPSKIYDSLGQPVFYQASFSWDTMIKFGDVLTVNPLVVNWRNNTVISRPGQ -SQCPRFNTCPEICWEGVYNDAFLIDRINWISAGVFLDSNQTAENPVFTVFKDNEILYRAQLASEDTNAQK -TITNCFLLKNKIWCISLVEIYDTGDNVIRPKLFAVKIPEQCT - diff --git a/seq/clusters_seq/cluster_852 b/seq/clusters_seq/cluster_852 deleted file mode 100644 index cab3ece..0000000 --- a/seq/clusters_seq/cluster_852 +++ /dev/null @@ -1,35 +0,0 @@ ->YP_009094264.1 phosphoprotein [Grass carp virus] -MSLNSKLQESLKAYDNLDKTVREIEAQISDLEEPVSKSVTYVTYDDTPSDRDDDSELEEEDDDLLRDTLV -PDYLREEKKVVVEEDEEKRDDEEEDDLPRVEWKETRVGLDLGFGPGVVVPSISNFYGGTYIKYTGLGKIN -PDVKSLLSKMIKDLVNQFGTKYGYDVDLLDDHGDYLEVFLPHKPRRGDPEGKVRMEPVEKEDDLPESKPV -KKEQDEKAAGDECGRFPKEEEIRRRGQSCSWGAVKGLSVQFEPWKEADEPLVVTIRELFVSESEFKLSCS -ETQTEREMALIGIRLRRLYNKLYQKYKL - ->YP_009094203.1 phosphoprotein [Tench rhabdovirus] -MSLNSKLLDSLKAYGNLEKTVKEIETQVSALEEPVPKAVAYVTYESNPSDDEDDPELEEEDDDDLLKDDK -VPDYLREERTIVVEEDEEQSEEEREDDLPRVXWEKTKVGLDLGFGPGVVVPSISDFDGGTYVKYTGFGQM -DPDVRNLISKMMKDLANQFGTKYGYDVDLFDYQGDFLEVFLPNKSHREEFTEDKKEKPSRKDDAPPKGKD -IPKESSPAREEDECGRFPKEKELQRKEKSCLWDAVKSMTIQFAPWKEGDSPLCVTIRELFVSESEFKLHC -SDTQTEFEMALTGIRLRKLYNKLYQKYRL - ->YP_009094122.1 phosphoprotein [Pike fry sprivivirus] -MSLNSRLLDSLKAYGNLEKTVKEIETQVSALEEPVPKSVTYVTYENSTSDDEDDQELEDEDDDDLLNDEK -VPDYLREERTIVVEEDEEQSEEEREDDLPRVEWGETKIGLDLGFGPGVVVPSISDFDGGTYVKYTGFGQM -DPDVRNLISKLMKDLANQVSTKYGYDVDLFDYQGDFLEVFLPNKSHKEEFKADKQEVPLKKADISPKERE -KEEESTPVRSEDECGRFPKEKEIKRREKSCLWNAVKSMTIQFAPWKEGDTPLNVTIRELFISEPEFNLHC -SETQTELEMALTGIKLRKLYNKLYQKYRL - ->NP_116745.1 phosphoprotein [Carp sprivivirus] -MSLHSKLSESLKAYADLDKTVKEIEEQVSSMEEPVPKTVKYVTFEEDLSEEEWESDSGDDDEDSIDDSLI -PDYLRESSSITVDEDEEDQKEDREEHLPTVSWEEEPTGIDIGFGPGIVMPSVSNHEGGTYVRYNGLGGID -PNCKDLISKMMRSLIGQIGNKYGYDIDLFDYQGDFLEVFLPHKPSKEDVRPDIRIGKKNEEGTSKQVSKP -REKEKIVLKTGDECGRFPMNKEAKKREPEGLWEVMKVLSVQFDPWKEDEPPLSLTIRDLFISESEFRLHC -NHSQTEREMALVGIKLRRLYNKLYQKYRL - ->sp|Q91DS2.1|PHOSP_SVCV RecName: Full=Phosphoprotein; Short=P protein; Short=Protein P; AltName: Full=Protein M1 -MSLHSKLSESLKAYADLDKTVKEIEEQVSSMEEPVPKTVKYVTFEENLSEEEWESDSGDDDEDSIDDSLI -PDYLRESSSITVDEDEEDQKEDMEEHLPTVSWEEEPTGIDIGFGPGIVMPSVSNHEGGTYVRYNGLGGVD -PNCKDLISKMMRSLIGQIGNKYGYDIDLFDYQGDFLEVFLPHKPSKEDVRPDIRIGKKNEEGTSKQVSKP -RGKEKIVLKTGDECGRFPMNKEAKKREPEGLWEVMKVLSVQFDPWKEDEPPLSLTIRDLFISESEFRLHC -NHSQTEREMALVGIKLRRLYNKLYQKYRL - diff --git a/seq/clusters_seq/cluster_853 b/seq/clusters_seq/cluster_853 deleted file mode 100644 index 0dcceab..0000000 --- a/seq/clusters_seq/cluster_853 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009046885.1 TGB3 [Pitaya virus X] -MFLVYVLVGVLLGVALIAVVNTNNPQCTIVFTGHSTTIQGCERLTNLHDIIAAVNNRLSFSSSCEN - ->YP_002341562.1 triple gene block protein 3 [Schlumbergera virus X] -MFLLYSLIGVVVGIAIIVVLNTLNSPSCTIILNGHSTIIQGCTQLSNLDSVIEALNHRLS - ->YP_054410.1 triple gene block protein 3 [Opuntia virus X] -MLVLSFLVGAALGLALLAALHTGENKGCLIQFNGHSTIVSNCQDVKDLAAVINAINDRLSFSN - ->YP_054405.1 triple gene block protein 3 [Zygocactus virus X] -MFLTYLVLGAVLGIALTAVLNTLNQPNCVLVFTGERTVVSGCEHLPHLSEVINSLNNRLSFN - ->NP_148783.1 7 kDa protein [Cactus virus X] -MFLTYLSLGVVLGLAVIAGLTTLNTPSCLIVLSGDQTVVKGCEHIGNLADVITALNNRLSFNKL - diff --git a/seq/clusters_seq/cluster_854 b/seq/clusters_seq/cluster_854 deleted file mode 100644 index 76aef3b..0000000 --- a/seq/clusters_seq/cluster_854 +++ /dev/null @@ -1,53 +0,0 @@ ->YP_002308577.1 putative movement protein [Anagyris vein yellowing virus] -MSHVVPVSPRCSVIDCSQGRIPQSSLELSCSTSPILSHSVPLDHPKGTHSFSDCVRNPFFGFRLHPSPPR -SPQGDRNVFTLQSLESPGISSIHRDVYEAIKVQEAVLSQSELHRADQLSSNRRRLCSLPHHLSHPSNKGA -RVHARRSNVLHTSTSAGSVHQGASSSAPSLLSRGSPREQLHRSLPLPQCVHLHNFGQLPALRARRAPRRK -LQPATHSFKLVENLSDKISIPKSVSESPGILGPMPLHPHPKRPPPPQRKQSGNNLLQDSRLPRASRGHLP -PPTSPAPARAKGSVRLPLHVHKSSAHSPHLRPSWVRKNSLQQASICLGHSTSVGQSSDLRSPQLPHPPKS -HLRLLPKSPGQVQAVPITAPSPLLGGEPSILGPHPSNSQAASEGPKRPKSGRIPLAFPEDKRSKRPIKSR -KVPARHCPPAHKAELKAEKGECSSSPLSGSRQIESLKSEARAFLPKNLPEAVELALIDNYCFNFGSFPPS -FSPSTSIKPCVPSDTARRLPQSSPPTPVPPVLEAATSRGSSPVRVPSTLPSIRVSSHRAPIDCTSISSCL -SPNCDCGASSSSSSSFNSCSSSGSIQCGVQLRNSSGDPSSSHSGTFKFRFPRFGQ - ->YP_002308444.1 putative movement protein [Plantago mottle virus] -MSNGFSISPRRPIIIHTQGRRFEPRPQSLCPSSSGLTSAVSLDSAERTPSISHCHRHSCLRLWDHSTSPC -CSQSDRDSSSLSPLESSCVDSIFSHVHETIKVSQTCETQSELRRAHQFSSHRCRQRSLSRNLHISALPAD -CVHARRADVLPSFSDPGSVHSMPEPPTPPLQPCRSPREFLHRSLLLSNCLHLLHFRQFPSLRAREPSCGQ -LQSASFRHSMAQDLQHQFSSSEPFRNHAGLLGPMPFDPHTKGSPNHALQRSAHGILHHSSLPRPPRSHVS -SPAPPPSFSPNGGVRRSVHLHKSRQNPSGLGPRRIRPHALKQAPVRLGHLSSLGQSSYLRSPQLLDSTPS -RIRLLPVSGPEAQSILGPAPSPLRHQGPSILGPAPFLGFPSASQAPNSNAEISHFIPPALPKEASCIQFG -SLPSSLAEASRISLHRAVSPSPSAAPLSTSILGPMPRSRGNLFFGSSTETPASSPKAPSAVDQFAVSSSF -RHAQVLEPAVSAVSARWVQCSSSSLGLQSFVEIGSIPVPLPRILPAIRVPNSATRRIRPHGSSPISRSAS -PPSRSSHFSFDSPTSSISYASAESFSHSGASSSAESNSGSRRSSPRTPGSFVFSLSRIDPGFYLDQA - ->YP_002308438.1 putative movement protein [Scrophularia mottle virus] -MSYGFPACTRLSLIDRPQRRVFEPCSEPLSTTPPRLPSAIPLDHPEGTSAFPDSLWNSSFRIRNFSPSPC -CAQSYRNILAFLSLELHGLDPFFCHVHETLQVRTLAIRQSKFLRASQLSAYSRRLSSLPHHLHLSSIPRS -RLHARCSHVLRAFPNSPAVFELSQPSTTTLQPSRSPRKQLHRPLPPPIRLHLHPSREHSPLRSRRTPRWK -LRSTSISHSMVENQSDRFPSPQPVCQSPGILGPVPLYSHSTRSSPSSFQRKTDNLFPNSKMPRSARGDLS -SSTTSPPSRPVGSLRRTVHIHSSSENSSNFRPRRVRPNSLEQAPVRMGFVSSLGQSPNVRSAELSRPSKC -RFRLLPVAPQQTATVPLSASAPNCRENPSILGPHPSTVQSLDTWNSNSSSVRFPPSVLRGDRPARPLVFG -SVSTTSPTTGHPDEHSVSSSVFSPSNPQSSRTLQPALPQTICFPPAIPQITSSVALVENHSPSELLRTLS -CSIPALHQSSLPSISTRWIQRSPSSFGLQSGVVVGNVFCPTTHPVPSTPPQSPRSSSRDSDDSSAFSSCP -ESPTGSLSSGYTTLSTLSPRHWISRSPCGSRPVSPSSPPIQSFNQRASNGLSFSFFR - ->NP_047919.1 overlapping protein [Erysimum latent virus] -MANGFSTSPRRPILHHSPRFNLGSSSRLFCESTPIFIGTIPLHCPKGTCPPAQSHGHSSLRTNLNSSPPR -CPQNPGTEPSLQPLGKVLQCGLSRGLHETLKVLQTPREELPLQISSQLPTSPSRLQSVPTSQHKPAYREA -ILHPRLPDVFYAAPNLRFVRKLPKPSQSVRQPRRSSRKLNDRLVSKPRSVSVLNPQVDSPLHTRRALSRQ -LQSARQRPRLAENLCDPNSIPEPVRVRPGILGPSPFPSYREELSNTKSRFSEDKGSDFLPNPPSSNSSEP -GLPGRPSQAPSCASENLRRPLHLHSSNPNSPHFRSRWIRKNSVKQARVQLGHISSLGQSPNLRPLDSLLQ -ASRVLHATSVSSYKTQGASHEECFKTRSDGITSSHSGHLHHNDRVEHQLQQGPLLQCSQDSLAEPTYRPG -TPSLPNFCIAAKELSSTQPS - ->NP_041256.1 overlapping protein/movement protein [Ononis yellow mosaic virus] -MSNGLRTSFERISLFHPQGFISESSAEFCCSAPSNLSPKFPMDHWERTSSFSPRCRHPDLRVRLQSSPPR -GPQSDRNLPSLQPLELHGDRPGLCDVHETLQVQKIGLRQSKLLRTSKLPSHRGRFRSIPLHLHLSSEVRN -RFHARCPDVLQPLSDTRPLHSVPLSSEAPLQSSCSPRKLLHRPLSPPKPLHLHNFRQHSSLCSRRSPCRK -LRPTPRCNQLAQAQQHPLPSSKPLSLQAGILGPCPLPPHNKRSPSPAVIRKTAGILPHPKLPPSSRGHLP -SSTSSSSPRSNRGVRCSVHLHKSRSNSQDLRSCRVRSNSLQQTPILMGHFKSLGQSPNLRSFERPRPTRR -SLRLLPLSPQKVPTVHVPTHQQSGHKGPSLPRPHSPSRQTHHARLPHSKRVSLPNSVLHHDRPKRPIHFG -SFPINVAPSHLLPRKLWSRASSPPTCSPTTSNHGHPEEALRFLPKNLPQHCQMALMENYCSHFSSPSSSV -SFPEDHQSSLPPISTRWVQCSSPSFSLQSFLVIGDIPCPISFPLSSPQSHSSESLRGDSPPSSHLPSSPS -SACSGDSFASCSSFGPSNPTSSASALGGNHFNFSFFS - diff --git a/seq/clusters_seq/cluster_855 b/seq/clusters_seq/cluster_855 deleted file mode 100644 index e8c7067..0000000 --- a/seq/clusters_seq/cluster_855 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_007237173.1 capsid protein [Enterobacteria phage Hgal1] -MPQLQNLVLKDRAATPKSHTFTPRNVEQNVGTVVETTGVPVGEPRFSISLRQTADNYKAELRLMVPVVQD -QIINNVSSPVAVRQAIASATFTFAKTSTEAERNDIVGMFADALATDKTLVNDCVVKLGGIYG - ->YP_007237127.1 capsid protein [Enterobacteria phage C-1 INW-2012] -MPQLQTLVLKDRAATPVNHTFAPRDITNNVGKVVESSGVPVGEKTYTISVRQTPENGRFRVQLRMAVPTV -QNQDVGGIINPIVTRTAYVDATFTFDRTSTEQERKDIVGMFQDSLDPSKALVNGALINLEGVY - ->YP_007111574.1 coat protein [Enterobacteria phage M] -MPQLQPLVLKDRAATPKDRTFSPFNIEGGVGYLVERTGIPAGDNTFSISSRKTAGGKYRATIKLMMPVVT -TNQSVNGVTVVTSERVAVATLEFQFDARSTEQERKDLVSLIQSSLDPAKSLVNDAVVKLEGVY - ->YP_717669.1 coat protein [Pseudomonas phage PRR1] -MAQLQNLVLKDREATPNDHTFVPRDIRDNVGEVVESTGVPIGESRFTISLRKTSNGRYKSTLKLVVPVVQ -SQTVNGIVTPVVVRTSYVTIDFDYDARSTTKERNNFVGMIADALKADKMLVHDTIVNLQGVY - ->sp|P03616.1|COAT_BPPRR RecName: Full=Coat protein -AQLQNLVLKDREATPNDHTFVPRDIRDNVGEVVESTGVPIGESRFTISLRKTSNGRYKSTLKLVVPVVQS -QTVNGIVTPVVVRTSYVTVDFDYDARSTTKERNNFVGMIADALKADLMLVHDTIVNLQGVY - diff --git a/seq/clusters_seq/cluster_856 b/seq/clusters_seq/cluster_856 deleted file mode 100644 index 1482689..0000000 --- a/seq/clusters_seq/cluster_856 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_002640502.1 2b protein [Gayfeather mild mottle virus] -MSTVTFDQMISKLARLQEKQSVRRAHHKRNKKERGHKTPSERKRSEFWKRKCEEREVYHAFQELPFFELS -DPSVELSHGNFCLKPVIAPHDRDLFFDTSSHDYDDDDWFAGNEFCEGSFN - ->YP_133829.1 2b protein [Peanut stunt virus] -MSSVEQIHVKLQKLVEKQKSARKRHRQNRKARGHKSPSELRRRELRETLFLLPQLPLGLLHDEDSACCEP -SCSPSYTSCDETDWFAGNEWCEGGYS - ->NP_620826.1 2b protein [Tomato aspermy virus] -MASIEIPLHEIIRKLERMNQKKQAQRKRHKLNRKERGHKSPSEQRRSELWHARQVELSAINSDNSSDEGT -TLCRFDTFGSKSDAICDRSDWCLDQ - ->NP_619631.1 2b protein [Cucumber mosaic virus] -MELNVGAMTNVELQLARMVEAKKQRRRSHKQNRRERGHKSPSERARSNLRLFRFLPFYQVDGSELTGSCR -HVNVAELPESEASRLELSAEDHDFDDTDWFAGNEWAEGAF - ->sp|Q66125.1|2B_CMVQ RecName: Full=Suppressor of silencing 2b; AltName: Full=Protein 2b -MDVLTVVVSTADLHLANLQEVKRRRRRSHVRNRRARGYKSPSERARSIARLFQMLPFHGVDPVDWFPDVV -RSPSVTSLVSYESFDDTDWFAGNEWAEGSF - diff --git a/seq/clusters_seq/cluster_857 b/seq/clusters_seq/cluster_857 deleted file mode 100644 index cab1833..0000000 --- a/seq/clusters_seq/cluster_857 +++ /dev/null @@ -1,25 +0,0 @@ ->NP_690837.1 VP5 protein [Infectious bursal disease virus] -MLSLMVSRDQTNDRSDDKPARSNPTDCSVHTEPSDANNRTGVHSGRHPREAHSQVRDLDLQFDCGGHRVR -ANCLFPWLPWLNCGCSLHTAEQWELQVRSDAPDCPEPTGQLQLLQASESESHSEVKHTPWWRLCTKWHHK -RRDLPRKPE - ->sp|P0C751.1|VP5_IBDVB RecName: Full=Protein VP5 -MVSRDQTNDRSDDKPVRSNPADCSVHTEPSDANNRTGVHSGRHPGEAHSQVRDLDLQFDCGGHRVRANCL -FPWIPWLNCGCSLHTAGQWELQVRSDAPDCPEPTGQLQLLQASESESHSEVKHTSWWRLCTKRHHKRRDL -PRKPE - ->sp|P22440.1|VP5_IBDVS RecName: Full=Protein VP5 -MVSRDQTNDRSDDKPARSNPTDCSVHTEPSDANNRTGVHSGRHPGEAHSQVRDLDLQFDCGGHRVRANCL -FPWIPWLNCGCSLHTAEQWEPQVRSDAPDCPEPTGQLQLLQASESESHSEVKHTPWWRLCTKRHHKRRDL -PRKPE - ->sp|P15481.1|VP5_IBDVC RecName: Full=Protein VP5 -MVSRDQTNDRSDDKPARSNPTDCSVHTEPSDANNRTGVHSGRHPGEAHSQVRDLDLQFDCGGHRVRANCL -FPWIPWLNCGCSLHTAEQWELQVRSDAPDCPEPTGQLQLLQASESESHSEVKHTSWWRLCTKRHHKRRDL -PRKPE - ->sp|P25221.1|VP5_IBDV5 RecName: Full=Protein VP5 -MVSRDQTNDRSDDKPARSNPTDCSVHTEPSDANNRTGVHSGRHPGEAHSQVRDLDLQFDCGGHRVRANCL -FPWIPWLNCGCSLHTAEQWELQVRSDAPDCPEPTGQLQLLQTSESESHSEVKHTPWWRLCTKRHHKRRDL -PRKPE - diff --git a/seq/clusters_seq/cluster_858 b/seq/clusters_seq/cluster_858 deleted file mode 100644 index 87310c6..0000000 --- a/seq/clusters_seq/cluster_858 +++ /dev/null @@ -1,27 +0,0 @@ ->NP_620682.1 22.3K [Tobacco rattle virus] -MGDMYDESFDKSGGPADLMDDSWVESVSWKDLLKKLHSIKFALQSGRDEITGLLAALNRQCPYSPYEQFP -DKKVYFLLDSRANSALGVIQNASAFKRRADEKNAVAGVTNIPANPNTTVTTNQGSTTTTKANTGSTLEED -LYTYYKFDDASTAFHKSLTSLENMELKSYYRRNFEKVFGIKFGGAAASSSAPPPASGGPIRPNP - ->NP_620037.1 capsid protein [Pepper ringspot virus] -MAMYDDEFDTKASDLTFSPWVEVENWKDVTTRLRAIKFALQADRDKIPGVLSDLKTNCPYSAFKRFPDKS -LYSVLSKEAVIAVAQIQSASGFKRRADEKNAVSGLVSVTPTQISQSASSSAATPVGLATVKPPRESDSAF -QEDTFSYAKFDDASTAFHKALAYLEGLSLRPTYRRKFEKDMNVKWGGSGSAPSGAPAGGSSGSAPPTSGS -SGSGAAPTPPPNP - ->NP_040351.1 coat protein [Pea early-browning virus] -MVKGKYEGFSASGAKDLVFSAWVEVGNWNEVLRRLMDLKFALQADRDKIPGVLSDLNMESPFTRFKRFSD -GEEYALLIKEANIAIAQIQAASAFKRRADEKNAVSGLLTQSAQQPTSSIQGSSQATVVRPPRESDSAFAE -DNFSFGKFDDASTAFHKARSYLRGLRLVALDQEDFEEKFKLVWKETPQQQQNVTGPTVPATSSGGGKGPG -VA - ->sp|P69471.1|COAT_TRVPL RecName: Full=Coat protein; AltName: Full=Capsid protein -MGDMYDEQFDKAGGPADLMDDSWVESTAWKDLLKKLHSVKFALQSGRDEITGLLTTLSRQCPYSPYEQFP -ERKVYFLLDSRANNALGVIQNASAFKRRADEKNAVAGVTNIPANPNTTVTTNQGSTTTTKANTSSTLEED -LYTYYKFDDASTTFHKSLTSLENMQLKSYYRRNFEKNFGVKFGSASTPASGGSGATPPPASGGAVRPNP - ->sp|P05072.1|COAT_TRVTC RecName: Full=Coat protein; AltName: Full=Capsid protein -MAGSYGETFDGKILDDLSGAWVEKHNWSDILRRLTKIKFALQADRDMIPGIVEDLSTEIPVDENTRFPSG -KVYHLLTKEMLMAIEAIHAASSFKRRAEEKNNVNPRQRFEAESSSSQLPSGGLVVRPAAGSGDSSLGEDL -FSNSKLDDASTAFHKSLATLKGSRPKAVVQRTFEKEYSLRWTAAAPVAAAGGTPPGGRSWTWNLV - diff --git a/seq/clusters_seq/cluster_859 b/seq/clusters_seq/cluster_859 deleted file mode 100644 index 76e60c7..0000000 --- a/seq/clusters_seq/cluster_859 +++ /dev/null @@ -1,49 +0,0 @@ ->APG77130.1 hypothetical protein [Beihai levi-like virus 30] -MNQPKVDSNIFSAKDQKTLRCKMALELFKNGEHLFSIAERNKIVGSLRRGDLAILESDLILHRLDEAKQT -GNLTTHDFSVLYQLYSFVRKAPTAGDDKVCRDAGFRKMLKGEEKCRVTNMTMSCRIKHNFALFDRVKMII -ERILGPLPNDFLTSKNTEIFFGPGSSVNVNNRSFEETALFYKLSDKLVVPAKAKLYLAALVSNHPNWVDT -LASHYHTQQNSDESRLNFERRVFRKHFVVVPDNNPSRIGFVPKSSEEHRAIGIEMNGLMPLQKVVGDLIR -DRLKVVGINLDSQERNRHLARLAKTFQLATIDLANASSSISLELVRALLPYDWFTVINDFRSEVGYEPNS -DTTIVYEMVSSMGNGFTFELESLIFYALAVATCEEVGISKLETKRSISVFGDDIIIPQRAASQLMGNLTL -FGFTANVEKSFLQGFFFESCGSDYYNCTDVRPFFLKRDIVSIHDVYFLLNSLLFRSIKQGSPHLFSLYRW -LFRQLARKAVDLGPLHFEFDQFGKLSNDDLESCLRVPLSYAQANGGVKFDPTLFAYRYKKWVRVAVESSL -GQSPQYAVMHMRYLTFLKGQREGKVLLRGRTKIRQQQGTTSQWDGVLPLKEIRLVKYLFDSLSF ->APG77295.1 hypothetical protein, partial [Wenzhou levi-like virus 4] -MTKLPVDPSVDVMPFSSEKLLRGMLLTCFKNVEHLFTLAETNKIIGAIRNNNFDVHDLPCVAQKLDEARL -TGNLTVSGFRALYQFASLLKKYPRSGKDQLCKETAYAKLQRGERDCFSVNRTIDQRTSADYRLFNRTRAI -IDDVLGSAVPRDFLKTDIFFGPGSTVNIDLRTFEETSTFFKISDKLVVTEAAEPYLRALMSYHPNWMAML -ASHYHTQKNADETYLQYENRIFKKHLHVVDNDSPARIGFVPKNADEHRAIGIEFNGMVPLQKVVGNLIRQ -RLKHVGINLDSQQRNKHMARLAKSFSLATIDLANASSSISLELVRALLPPEWFAVINDFRATHGECPSIK -GSEKIRYEMVSSMGNGFTFELESLIFYALAKASAERVGVHPTEIKKSITVFGDDIIVPSRVAPILIEGMS -LFGFTVNIKKSFLDGFFFESCGADYYNGVDVRPFLIKRQVSTLRDLFFVMNSLMYRAISQQRSDYSELYG -LLFKLIPDGSFMGPLHFESK ->APG77015.1 hypothetical protein [Beihai levi-like virus 32] -MKNVSRGVSLDTPLRQDASDIRMYSKLLTTYLVSIEHLFTPSELNKIRGDLRRGDLSVATSPMIENRLSQ -AKATGNLSTTDFRQLYQFYSFFKKLPFKGNEDCCKRAAFNKLLAGEASCRVTNMTIECRQHNSWALFDRV -RLIIQDILGNVPNNLLDTEVFFGPGSTVNQNKRSYEETSLFFKITDKLIVPERAKYYLAAHLSYQPNWVD -MLGCHYGLQQNTDESRLSFELRVFRKHLVIVPDDYPSRIGFVPKSSDEHRAIGIEMNGLVPLQKCVGDYI -RRQLDRKTPINLNSQQRNRHMARLAQTFGLATIDLANASSSISLELVRALLPSDWFCLIEAFRSTHGQCP -GLTDEPIKYEMVSSMGNGFTFELESLIFFALAKATCEQEGLHYTEIKRSVSVFGDDIIVPARVAKPLIHN -MTLFGFTANVEKSFLQGHFFESCGADYYNSSDVRPFFLKRQLRTVRDLFFFMNSLLFKAVSHKRLDYIEL -YAACFKLIPGTCDLGPLHFENVDPRNGRPVTDDLEAVLRVPLAYAQTHGGVTYDVNLQAWRYKKWVRVAL -EVPLSRCTAQYAVQHARYMTFLKGVREGKALLRGRTCDRQKTFVTSRWDGQLTLDELTTVKFYFAALAN ->APG77095.1 hypothetical protein [Beihai levi-like virus 29] -MNCLTAYSNVPAIKDQQALRSGMSLALLKNLEGMFTREEYNWLAGSLRAGRLSCLESELITKKLDDARNS -GNMATKDFHALYQLHSFLRKAPTAGDDAKCRSAALDKLVSGEAKCLVTNMTLPARVTSRHALFDRVKLII -QDILGSVPNNFLTSPETKVAFGPGSTVNLQNRSFEETGLFFKLTDRLIVPRKASTYLAALVSAHPNWMNS -LAIHYRTQKNEDESYLNFERRVLRKHFEIVDDSYASKISFVPKNSGEHRTIGIEMNGLVPLQKIVGDLIR -NRLFKATKGRINLNSQKRNQHLAKLAKLFGLATVDLANASSSISYELVRELLPPEWFCLIDAFRSECGFE -PRSGLKVDYQMVSSMGNGFTFELESLIFYALVIATGEEVGLGPLELKRSTSVYGDDIIVPQTMVEPLYEN -LTLFGFTANKEKSFKRGYFFESCGADYYDGTDVRPFFLRREIKTYRDMFFLMNSLLYKVITTEATHLLGL -YRYLFGLLGTAQLPVGPLHFSVTKHDKLATDDLEAVLRVPLSYAQANGGIKYDVPLQAWRYKKWVNVGIE -IPLSKSPCYIAQSAKYMVFLHSDGDSSGGKSVLRGRYKAVTRTHTTSQWNGRITVKDMRVVNHLFATLSY ->APG77071.1 hypothetical protein [Beihai levi-like virus 28] -MNCLTAYSNVPAIKDQQALRSGMSLALFNSVKGMFTREEQNWLAGSLRAGRLSCPQSELVSKKLDHARAC -GDMATKDFHALYQFYSFLKKAPNAGDDASCKAAALKKLVDGEKKCLVTNMTLPARVTSRYALFDRVKLII -QDILGSVPSDFLTSPETTVAFGPGSTVNLQNRSFEETGLFFKLTDRLIVPEKARYYLAALVSAHPNWMDS -LAVHYRTQKNADESRISYELRVLNKHLEVVSNDYCSKISFVPKNSEEHRTIGIEMNGLVPLQKVVGDLIR -NRLFKATKGRINLNSQKRNQHLAKQAKLFGLATVDLANASSSISYELVRELLPYDWFCLVDDFRSENGHE -PGSDLKVKYEMISSMGNGFTFELESLIFYALVIATGEEMGVGALELHRSVSVYGDDIIVPQHMATALYEN -LTLFGFTPNKDKSFTKGFFFESCGADYYDGTDVRPFFLRRDIKTYRDLFFLMNSLLYKVITTEALHLMDL -YRYLFGLLQHENVPMGPLHFSVTKHDKLATDDLEAVLRVPLSYAQANGGIRYDYNCQAWTYKKWVNIGIE -IPLSNMPCYFAQNAKYMVFLHSVGDSTGGKSLLRDRCKAVTRTHSTSQWDGRVTVKGLRVIKHLFDTLSF diff --git a/seq/clusters_seq/cluster_86 b/seq/clusters_seq/cluster_86 deleted file mode 100644 index 949ebbb..0000000 --- a/seq/clusters_seq/cluster_86 +++ /dev/null @@ -1,539 +0,0 @@ ->YP_009553599.1 RNA-dependent RNA polymerase [Leptosphaeria biglobosa mitovirus 1] -MSLLFKILITMKQNNYLILERLLKSLFKIDCFAIVHQYLNYIDRMREKNGLAYTIKHMKVVKLHITRFIC -NKPLKSNSNYVSLDKDYFPSRFLYLKRFCKTNPDLVLTLLSYTRALTPNKRETNARNVDISSITNPYKGK -DYTIPIWFIKDFINHHGLSLSKPVYSNSDHYLSIKGSPNGKSSISSLWSVASHNENTLEYIRTITGEFFK -EISNYYTKIVLDYSHLIDNNKKILGKLSVVHDPELKERVIAMVDYTTQFTLKPIHNQLLKLLSKFDCDRT -FTQDPFHNWQCNSENFHSLDLSAATDRFPIDLQEKLLSYIYDDKDFAKSWRNLLVDRDFIYEQKSIRYSV -GQPMGAYTSWAAFTLTHHLVVQWAAKLCGLDHFNQYIILGDDIVIKNNKVANKYITIMTRLGVDISQPKT -HVSNDTYEFAKRWIQKGREISGLSLKGILNNFKNKHVVYMNIFNYYLRRPHLDIDLLNLIGNLYEGIRVS -NRIKSKNTIIKLLYDFHHSIRFSFNLLSYEDIRKYLNNKIVIEEFNVWPESLIPYKIREILSYGMVPQAE -SLKTNISKQMSILRESKDIPIDLLVDWPLTYGFKNHIESMTNLIEGFVNKTSQLLDIISHLRLNNLDGIM -KSRLNNQDLLLLDKLWKDSFSRYILALKTEKEEILNSPEDKPKDLVSHIWSMAWASTPVVYKTSFVGLKS -FELYLYESFDGLNTDLDYLRLETRVDESSTNIQDRIHSFVYDSIKNNKHINWDEFK - ->YP_009552796.1 RNA dependent RNA polymerase [Diatom colony associated ssRNA virus 2] -MARIYRGFGKPTRRVILQWSDYIKPYNVPEVYDTRAIDVEIIPPSGTRPKVGLKGSTLSGLEIILPNNAT -LYIAADGMASKGMVTLPEVIHSTNNIFVAMLDEILSNQIEYTLDSDKRVCLFDVTDSSAYIHNVLLPVLF -YYIDLNFQVEKQGRTGSMKHHKKVVAYIRKWAFESITKDNQTLDGVDLDIDGFPTKLVGVIKGYIRWRSK -SSRLAMILAFYLLNISRHANSCSRDDGFVDDIVCESINRETVIGMFGCFSGFAEYCKIQVRNLDSTLQDF -EARSLYLDEFTSFPDIPSVTPIYSTKAGPNSRICAGLNATKSAIVDSYALVMEERNLGIAGEKYSVLANI -TRFSDYIGITPSYSEYIRELSEQCVRGGLIDLSKYTYSDICTGRIAVLDNQENKARIVAIGDWFSQSLLR -PIHDHIHGFTKRCIPWSYVDNQQAGIDKVKEYTNLSSSKNMPMSWDASAMTDRFSVIPQDTVVGILLNDR -ELGRLWNRLVSYRNFRLPPGIFSNKPNHSNVDEVRYVQGQGMGLYTSFPAMNITHILLCGYAHMLAMLGY -GPIHLNRVSNGDFVLRGEIEQRVRMEWRENAFRRFNPFGWMKAVAFLGDDVCHKHSNTAYWNYITLMNIT -GVKFNDLKSQITTDPSFKVAEFCKRVMVNGINVTPVSPIVMKLLGANENMLALVSDESVSLIKGWLRLVF -RNIDSKPTDQHRKEISKSLWFINLLSVLIGRRRMDVVEELIVSFDWLILSRLRELVYLINTKTGADITDL -QLIGFIVIQWTLANMSTVPFQLRAMIREFITPLLEGQTNEIVTKRTDMEYVSHTELASWDNNVSDEERGS -TLWKELFNREKIRYSYELFYEITNPITTDSASIDDFLEGNHHILGRQNPTLCFGNLRLMYDRPEIRLCAI -YDLWEKVMVKKSTGEFIDQHNLKDKQLSLRYVDQYANGLITDPSDGKLLPPEIIACDEALRVYSESVTDL -SNTSQVYGLSLEEMSEDGFTLLQIYLSEILHDASLSTRRGVARNYVGDPRRFNPILDEAFIKRASKVSKS -VLHWFGIIGHMSNEYYAAILDRSSRITTSGDLFIQFRTWVSTPKGEEDIVSIIDLNLKDVNSNINVIKSD -IELQPLPTSLLVVDNKDSKQDNKSPPD - ->YP_009465717.1 RNA-dependent RNA polymerase [Erysiphe necator mitovirus 3] -MRGVDNTIQRIKDSKLHITRYMCGQALYSSINPSVGINKKGLPIWIGTEMNKLADGDKWEKRLLLTLLSV -SRALPGSQYIPPLSSLSDKPTYKEDKISEYISYIPMVMQMMNIPSKINVKWRQFHLTTKKGPNGQAMVSS -IRDAHLLDDGMLKDIRTVAGDEIIEVINHCRELDPESVEVVAKSMVKPSTATLNEDKGMDKPSTLLRKLS -IVHAPERKSRIIAILDYWTQSSLKPLHDRIFSILKGIDQDYTFNQQGVNKRLRKGPFYSLDLSSATDRFP -LVFQQKVVEMLTCKEYSEAWSRLLVNKEFYVPWSDSFINYSCGQPMGAYSSWSVFTLSHHITVRIAALMV -GIPYFDQYAILGDDIVIANSLVTKKYKEIISDLGVSISEAKSHESSNTYEFAKRWYVNSVEVTGAQINAF -MTKSTVWSTLINEYKEICSKWQIREFETEARIIYDLFLIFFPDVSEAFRDRLVRKARIFLSLPWRREGTS -ASEQILQFVLLTNASALGCFNSSTRRAKEFFVSSMAEIKARELNGGLIKTNGLINDLIKKFKYLLINYKG -HNHHEALKAIPFVRLILDQRVDIMNSVDLLQDASALTAEDIVLDYKQKAPISTFDPTKILTKRTHELILN -RDATIVNQYSRWIKDYNVIKQNVLNNSCDENTERAWARKCFRTATIGAVMPGFPL - ->YP_009465715.1 RNA-dependent RNA polymerase [Erysiphe necator mitovirus 1] -MAGESCCSVQKDHVLYLWGWIETSLKHKGLSHTILSLKTMRNCVTKYIAGEPVKVVEIRLSLTKDGIPKA -LGPLVPIIRKLEPQDLRIVLTLLSVGKLYPGDGTVNTKSITDPFSGSMSKLHEYALRGSFILENLFKNQL -TQLDIEQSSNWNAPHLSTKKGPIGHALGTSMIELGHLPDKLLVQIIKLGGLKLRNYILSIIKMARTISKF -ENSKKPIPKVYRKLSIVKDKELKNRPIAIFDYWSQSALKPLHDSVMNLLRTFKTDLTFNQEGCEEIPSLI -GPQAKLFSLDLSSATDRFPVEFQEIIVSRLIGQERAEAWRYIMTEFPFNTPEGDSVKYNTGQPMGGYSSW -CIFTLCHHLVVQIAYNEAYNRNWSRGARSGLPITPKLFEDYRILGDDIVIWDEMVARSYKSIMADLGVEI -SPFKSHESYHTFEMAKRWFHKGTEITGFPLNNLLEAKSNPWGIGKALSDGIWRGWITKSNQGVPGNILIR -RLLYSLGWSKRSINNREESVRDSFLFFNFSVTRKTDWVGERSVREFVSRHGPGQTMYTTQSARQELAEVV -RRIRVKGLLSLLNKGQELIEKRNTAFGDYLRSLKDCGLPPTAFSLIESIPVFQVLDRNQDLIKQAVIDLE -GTNEEYALSLDESLSIGADLVVPDPDYIMSERKSRLIIKQNAKTLATLLCAFEDPLIIIDDDDQDTCIED -DEDSEPDSLFQGLYDEEPDWSAM - ->YP_009388498.1 RNA-dependent RNA polymerase [Neofusicoccum luteum mitovirus 1] -MKTQNNQTKLIIIRLIILLFKLEVKHVVRFINDFQSLRSKSGIIYAIRYMKTMRLHVTRYICKNPLKTNK -DGVSLTKDYFPKRILYLKKLIDSNDVNNIRGVLSLLYYTRSVKPTQKEDSKLKPDFSTIISENKAKKEYT -IPHNFIRYFVEKHKLKSTTPSYGQNLHYISSKASPYGVSTLNSTYEIFSLSNVHHSRLNLFLDLVGEKVY -NLIFGDLIRGTWEDNRLFSYKCEPGSTGKLSIVKDPELKLRVIAILGYHTQFLLKPIHDNLLNLLRKFPC -DRTFTQDPFNKWKPKGNAFHSLDLSAATDRFPISLQVKLLSHIYDERIALIWKDLLVKQSFAYGNSTYNY -AVGQPMGAYSSWAAFTLTHHLVVEWAAYLSRQWSFKDYILLGDDIVINNDKVANKYKTIMTRLGVEISNA -KSHVSYNTYEFAKRWIKSGVEVSPVPLRGIVNNFKNLNVVLMQLINYRNKINDTFDGTSLQLVKEIYKDI -KINKYFLSRSRIDSICYKFYHSYRYSIGLATNLEMKLFLEKLLPDKIPVPSEELIPLFIRELLVGTLTFE -VEKLAKSAGTTYKDFINYYKTKKLSNMKLLKSHPFTHALHASLTSSKKRLLNVNKLDNTNLIDTIVDMRL -EKVDKLVQEFRDPTIKVAKLDKLWNDVLKRMKQINLEHESHWSRSPTLIGAGPPIGNQYFEQVLSDPLGK -LDVLRYGILL - ->YP_009336494.1 RNA-dependent RNA polymerase [Hubei narna-like virus 25] -MKMTKFNMFKVTLKVVTWMFQGFNLKMSGRMSDYLDLILSLDRLLYNRGALETLKYIKGTSTAYLAYLSG -KPMRVKGVSTTKDGIPVILGDFVPKIRKGPTPAMLQLINTILFCTSALKLGSTPDFSPMINPPKSDPINM -GEFVDDFWRDMGYHRQARTNPKSLNFRKFHLTTKSGPNGTANALWTSLNDLKALSEQQLTDIGIIGGETL -RSKMSTLKEGLDKVPELSYFMDTSAGVYTSRMTSFPDKELKVRVIAVGDYFSQAALKPLHDYLFRVLKKI -PQDCTFNQGGFWDKIKDQEYYASIDLTAATDSFPISTMSQVLLGSLPKSYVDAWHRLMVGTPFIYEGQEM -NYAVGNPMGFYSSWASFAVAHHYVVYYCCKKLGIPWKTLKYCLLGDDIVMCDPKVAALYKETISGLGVEF -SEEKTITSPHLVEFAKRLIYKGTEMSPFPISALGELANKYYLLTDLFLELESKGWVSLGGAPSAVESFHK -IVFNWSTVRAREIEVKSSVCERVMKMARGAEDAGTLLTECFSKLGYPFVLSSFVASNVLENMVVENFANS -NPASHNPESDKKSKSPCVGLGYLAENLTCLLTGLDEESCSLGFDLMMALPHLNAYGQMSELYVNINKKAR -QISTTNGAEWPLLFKTMCIPWDDTIFTMSSSHLIAKGSSSVVKDLQNSAELLSFYPPEELLREDPNFLKS -L - ->YP_009329842.1 RNA-dependent RNA polymerase [Hubei narna-like virus 24] -MDNTITHALCYAANITLGKDAKPFIERIEHFLSHNGTEYTVNRLKVIYQGALLLREYDQLGRPVDTDFLG -NTNRGSFDQAVKLYHENGIATSKKGYPKDSTGIVVRQFVESNRPQVIRNMTGLLRSYTQFRLDSVSQQQV -DKVVESVCMPRDRAHDENQLFDNLIHLSRVPKALWNKSIKAERLSMDCLRPYTSVYCHGSVPKHVKDEPY -GKALYSMITTSYLPSPLESVVPSKSIRDRVRSWMPRENDYDPEQYAGKIHIIQEAGAKARTVAIPNGWTQ -IGFVPLHDQMQLESERYFPSESCVFDQLKGIYALRNHMGDGKTPVSVDLSSATDRFPIEFQCKLLSHIGL -PFYSDSLSELVKKPWEFPQGKPFGYDSVYYNAGQPMGLYGSFPTFHFSNLVMADASCRLTDYQIGETIDG -KGKEQVAGIVYERLYGFYAHRDKDTVPDDYIERNNILGNAAGDSPVSKFYDGSRFKVLGDDIVFSDERDA -AHYTKIMKTINVPISHHKSFSKGMVCEFAGAIAMRTKKSNKDRRYDVSIFRPYKFPKDGSFIGNPISFIY -AFSSPQSKQRVSKKWKQFFEDFDKTRPQRYADLCPITPFVEDRVGIVGRFRDQDIDRVVDNIYRFSNLSF -FPYTDTGKDTPIIYDGPRNRAPLYQVPLFIKKKGIEVPGFETQRPASDTRSYAETVKRLYSDPLMKAQQK -TRKSSAMKI - ->YP_009272899.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 2] -MCPLKGLTHRFGGMHITSRYVFMLITYCGRNKLLIIYMKNTKIIIVLIKRLILGIFGVDHTKLITEFVKE -VQKLWINNGFMYMISYMKVVRLHITRYVCGKPLYSNASNVSLDSSGFPTRFLFLKHLLDEGDTGIKIVFT -LLSLTRGLKPTKAEDKKIKYDLGPIIAPHKGTTMGSVPGWFIKEFVRRNNLGRKLPEYSVKDHYLSTKGG -PSGKSTWASQWSHLFYKQDLILSLAYILGEGFKELFYTPFLKNMHLSYGKDRWPNGKLSIVKDPEGKRRV -IAMVDYHSQLALRKVHEDLLSMLGKFSTDRTFSQNPRHNWNYNNSELFYSLDLSSATDRFPVRLQARLVG -EIYGNHRFGEQWANLLLNRDYMDPEGNNCRYAVGQPMGAYSSWAAFTLTHHLTVAWSAYKARKTMGFDQY -IILGDDIVIKDNRIAEIYKGQMMRMGVDISLPKTHVSVDTYEFAKRWIKKDREITGIPLKGILNNINNLK -IVFTILNDYLIKCPTSVPKSSWQIFQSIFLGFQIRGGSKSRKTRTITKKYLENLRDFAISVRYSMNLISP -YELRAYFASKVKLIKETSDYQSIPSEKLILQYMEGILTNGLAKISKDTIIQINKQLDSFDRLAKEDKRSL -VYSGVLHGLMNRLERLQELCQRMKDEGSTVVEFINHFTAPSVDSLSRKDRDINIRMAFLDSLWKKSLQKH -FSDQRFPDSYYRNLETRTLYGSLDALDIIDYEGEIEVRPIWSRELSQFNQRSINSLQMFISENS - ->YP_009272898.1 RNA-dependent RNA polymerase [Fusarium poae mitovirus 1] -MLRNQIKIIKRLCSIFFPRQFQPQHFVELYKLYNSLIKFHGYGGAIKYIKLMRLHCTRYICGNPLKTNSN -GIGLTKDGWPIKLLFLKKSVDEGNFSYVLTLLMFNRSIDLPKHEVKKKMKKLSFNSITQPSTCKYTIPTG -FIKEFVRINNLKISHANMELNPKDFYLSNKAGPQGKSVLTAHRNWVTFTDSFIQRLNKLAVGGYAVDWLR -RSQKFWQDQIDNIKSSPYHAYQGKLSVVKDPEGKFRIIAIVDYYTQLFLKKLHLEQFRLIKNLDCDKTFT -QDPTHHWDYSNKESFWSLDLSSATDRFPRILQFRLIGEMYNYDFAKVWNEHLGSLEFSTPDGEKIQYNAG -QPMGTYSSWVSFTLAHHLVVHWCAKLEGVKDFDQYIILGDDIVIKHNKIAKRYIKIMEKLGVELSLAKTH -VSEDTYEFAKRWFKNSIEVTGLPTRGIIHNFKSPNIVFTILYDFYKIKNNTYLSFNSLVDSMSRLYKNFY -LLEKVRKNTYKKIFIRFNRKIYNNLLDFSTVLDFSFGYEDNQKLSELFNRKLYLSEQYTIPATMKSLRTI -IGIGLKDKIVEQIKTLDNLKSHFNKMDFKTDNPMRGAFIKLHLVWPLYNGVRNEIQDLYKSYNSKIDKNN -MSIYEYVSEVRIIDIQGIYEKQRQKYATLLTIGQTMNRGFSQVNQYMKSNENNPLMGPNLYFINILANAH -FDMKWNSEKKKFNEGWNPASLIGNLT - ->YP_009270635.1 polyprotein [Alternaria arborescens mitovirus 1] -MKKQLYNITVKLCSIVFPTINTLDYLNPYFKLLNRLLNTQGLLKTVKYLKQCRLHCTRYMCGSPLLFNKL -KIGLDTDGWPKRLDFLKPLAKGSLEQRKFLMTILCLSRTLKAEGKEKLKIKPDYDSITKPGRIIKTIPTG -FIKEFVSNYNLKMEKPKFEINNIYLSNKAGPNGKATKTAYSSLLSYSYDLMASLFKITDQSGIDYFQSQY -NYAWEKNFPSQKLGKLSFIYDPECKLRIVAIVDYYTQLFLKPIHEKIMKKLQNLPCDRTYTQSPLNEWKD -DGNMFWSIDLSSATDRFPISLQRRLLEIAISKEVADGWSFILSDRKFETPEGNLVQYRTGQPMGSYSSWA -AFTLTHHLVLHWCAKLNGIDNFSDYIILGDDIVIKNDKVARTYIKWMDYLGVELSDSKTHVSKDTYEFAK -RWFCKGKEFTGLPMNGIVENIENPFIVMVNLYDFYKVKGNYLGSTKNLPCILSSLYKGLSLKLSKKFNNS -RFKMKIYTFHKSLDYSFGYLTYDSLRELLCLNIKNEQFMIPDEQLIHNTYDDVVAQGMGGSVKNSMTSLN -NLASKVIENKTIYNLEDPNELRNYPIFKGIVNYINNYKDSVSKWDVNHLNYRQKSKELLMLNIDNVFGKE -RNKTLELLNTGKIFSLGFKKINETDEIMYGSSIGESTYSYNLDLFNLIQNNYSIDLKKLKELDEGTYKEP -VKQTPASAYDAYANFFN - ->YP_009259487.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 5] -MSRKELYLVNQSFKSINNCSIIDKLKLGPQVRILIWLAVKVGLKPSLSIQYITWFVTLTEQVGIKQAIQI -NKSIRLAFTRWVCGDTTIRIEKVAVYKDGYPKRLRQFRNLIKEDPSIKSYILTLLNITRSLELPLTLELS -SVQDPFTGLQTNIEDMYKDIPKFLNYIRSRPGRGKIEFKKWHFTTKNGPNGHAYTTMYEELRTCSEEIIE -SISIVGGPKIKEKINDIRKILDDPTVYSVMKESLKLKEISEPKQAKLTLIPDKEGKTRVIGVGSYWVQAG -LKPLHDFVMTVIRRIPGDCTYFQERAPKILRKQPGHHYWSFDLSSATDRFPRVLQSKIIASIYNDKIATG -WLTLISLPFHFRGQEVKYAVGQPIGFYSSWPVFTLTHHYCVWVACKRAGVSPKGVYALLGDDIVICHDLV -AVKYLEIISDLGVDISKQKTHKSSTCYEFAKRWYQEGDSEWSHYPLSGLRPNAKFTDVLASTLQSRSRGW -RISDLTPVEQASYINCLIRGWSSEYHLSYSINWFTVYYTSYCAIRDFTTWMSPLRELWRISPKIQIVNPS -YDHPQAGEHFYEGLSARVFALNGLSKILTASPKARKLFEPLVKFDWYFDPIITDDENEAQPLILPQVEVL -RRLNTSYQSARWEELQGFFTGKGPEANLSILVCDISTLFTTRNQNLSWTVLNVLVKEMIKVMKIVRHTGF -DYSSEEPLSSQLRSAIYRDIILNVDTDLFINRNPITKYYYAREIEDAKREGVVLPSKPTGSQTRILGGDK -VS - ->YP_009259483.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 4] -MKLIINIMKIWAQSKRFLQIAKTFYTWYSVYYPTCSPDDIAPFLERVHHSLANRGALATLEWLKETRLAF -TRWICGDSRPDVCVKLDSSGLPVMVKSTLGKFIDTARGRALILTLLNCIRSYEGLKEPSISDITSPYKGE -KWIDSYLGLYTKDFLRKYGDIHRPRPQFKQWLYTTKRGPNGPSIATAYHDITLLTEEHYRIYEVLAGKDY -KDSLLKIKEIASDPQEFERIRKDFSLIVDIKSRYVEKISVIPSPEGKMRTISIASYWAQNALDPLHRCIF -KYLRKIPNDITFDQSKGLSILNKGQNDSYWSYDLKAATDRFPRSTQTLLLSHLIGQEFANTWSLLISAEH -DIPAIGIRAAWAVGQPIGKLSSWAVFAYTHHFIIYVAHRRACVPFAPGTYVILGDDVVIANDKVAEQYST -LIRWLGVEISMNKTHRSSHSFEIGKRWIHRGQEISPFSIVPWMQAKNWAPAITQCIYEEKKRSWSLPFHP -VEGVARCILLQYHGKLSSRYIDHLFDLSQLNVAVYHSIKEMITWSDCINIIAEVFYKTKWEHLDRLHGRL -VQTYVYLRLFNKNAIPHWSAIKKVILVENGFSMASIIQIIMGGRSLSGASFSYADLPHKKIIRRYAQEFF -DKNADVVQGHYFEDGMLQREAVRSLGIPNVEHAFTKSKVRREVILSNNILKELCSFVKSVPSSGFTHTSG -SPVPTEINEYIRKVNYYEWDT - ->YP_009259369.1 RNA-dependent RNA polymerase [Cronartium ribicola mitovirus 1] -MRMKSRTWIQASSLLVKISLPKDLQSCVHILALLSVYWEIDSSDWIPYIDRVVKIRRLSGTPYTVKWNKS -NRLAFTRWMCGHPLKESDVPLHKDGFPRNLSSMKKYTSSARGIAFLLTLLIWSRGLHQWSKPDISAVLSP -YTGKMTEDKWSRSPVQFLADRVRLSLGKPLEPWSRWHLTTRNGPNGHALTGILEDLKAITGSCIPHLTTL -GGKKIVTYLSHFKVLTSKEGALNYIKERLSLRYQKESPSRLAKLIFIKDKELKMRSIGIGNYWYQAALKN -LHDQLIDILRRIPEDATFDQGKAPRVLRKPEGHSYWSMDLTAATDRFPLWYQKKVIGELFSAEVAESWSY -IITTKFSSREFGEVKYAVGQPIGFYSSWAAFAVSHHIVVHAAAIKAGIRDLKGLYVLLGDDIVLCHDDLA -REYKIIIGLLGVELSISKTHQSDHTYEFAKRWYSDKWGEISPFPIHSVSPKAKYTDIIAIINSAAEKGWS -PSSQHYLEFAAASNCLLHKNHGDEFYSRSLRFLNLYRLCFIASTGQGSWSEVIRNLDVARRTISVAGSAW -YHPKYGEDLYQLTLLVIFLNKGVGKLATATKVASRTILNLIPRTAEPNHPCKGLWSVLINLPQSHIVSDI -VDSFSKDSFLSLIQQYIGQDNKKLSSLITCDLNTVLTTRNQQLSWNVLHKVVQAIVVTISRVSEQGCTFR -GPEQLPLEVVRAAFKPISNRSLAVGTRYVSSDNPLYSYWVEMKKLGVIGQTQMWVTAKTKGLQPLQQDTI -LDDPDFSIFSRIDYLDKTGKVSSYLYGERG - ->YP_009182163.1 RNA dependent RNA polymerase [Botrytis cinerea mitovirus 4] -MSNKIINIIIIRIIRLCFNYNESTWEINEFLNIFNNMRKKSGLKYTIKYYKAVKLHITRYICGSPLLSNK -DGVSVDASGWPTKFIFLKKFIKTRQGLRILLTLLSFTRTVVPTKQEELKIKPDYSTIDKLYTGKNYTIPA -WFIKSWINKHNLKAKIPTYTKEDHYVSMKGSPNGPATYSSLWSILSLSYPQLQNISTMLGDYRDEFFKFY -KTAWENNFGNDSSIKSSKWTGYTGKLSIVKDPELKRRVIAMVDYHSQFTLKPIHEMLLNKLRTLKCDRTF -TQDPKHSWYVNNEKFFSLDLSAATDRFPLQLQKKLLSYIYENKDFCDAWADLLTSRVYIDSDGLQHKYNV -GQPMGAYSSWAAFTITHHLVVAWAAHLCGEYNFSQYIILGDDIVIKNNKVANKYITLMTRLGVEISLHKT -HVSKDTYEFAKRWIKDGIEVSGIPLKGILNQWKFPGVVYTTLESFFDKNPIQPKSLIDLICGLYKNLPLG -KRRMSYNQIYKLLYDYHHAMRYSLNKITYDELRAYLCSKCKEDSFVLPYESISLHFMKLLLSGGMVSEAE -KVSRFILSEYTKIENKFKDSYSDLNILSGYPLLNGYYNHLQSMQGKILDWEKDPNVTLVDSALSLRIEKF -DKISSMNRDKSVRVSTLSGLWKTSMKRLWVERIEDDFEYMTFFSRINKDQHDSMLPVHGWEGVLDNNIQF -TLNQLKPLISGTIVKVEKNSWENLDWGDFKV - ->YP_009182160.1 RNA dependent RNA polymerase [Botrytis cinerea mitovirus 2] -MKTKFLVTKRLLESLFDDRTIGKKYIKSVETMRIKSGLPFTIKYMKAVKLHITRYISGQPLRTNSSLVSL -TNGFPTKFLYLKELIDSGDPIKLRLVLTLTGYTRSIIPTKDEEKLVKPTFNSISDPYKGKENYTIPTEFI -NGFVEKYKLNFMPKWDNSLQYISNKSSPFGKSTLTGPFALFHMGHWNLNMLDHFKSLIGDGSYKIMIGEL -LENVFKDHRCFHIGNSLNGIGKIAIVKDPELKQRAIAMVDYYSQLVLRPIHDGLLNKLRNLPQDRTFTQN -PFNNWGKTMGNSFWSLDLSSATDRFPISLQEKVLASILKDEAKAETWRKILIERDYKLPNGNLTRYSVGQ -PMGAYSSWTAFTISHHLVVHFAAHLCGIENFDKYILLGDDIVINNDKVARKYIKIMTKLGVDISINKTHV -SKNTYEFAKRWVKHNIEITGIPLRGILNNLNSLPTAIKQLVYYFYSCNTLWRGNSVELIFSIFKDIKLRN -RFITDSKLRILSEDTIFVIRQTLKLNTYEEIRNYFNKKLTIENVLIPNEDQIHGFIRVILCLGLNKVAEK -SGNDLSKYYKSFEKNFRKGDFDLKMLVRHPIVLGIYNKISQMKRTLQKVRQMDELDLIDAMTHMRIDEPD -KLVQSLRNTSRSISHIDQLWKKGFKRLEIINEDNYVNFNELDLPNKNNLKPWESYYISNLSELSDKLDSL -KTPVTQNMWF - ->YP_009126873.1 RNA-dependent RNA polymerase [Fusarium coeruleum mitovirus 1] -MPLRDSHWSFNSISNYSVRLPPDKWMRSLIKNYNSIMKNIKLHLLLVKRLITCIFNIDHTIIITLFIKEI -YRLWDKNGFTFMIKYMKSVRLHITRYICKKPIHSNSSNVSVDKSGFPSKFLYLKPLIKEVKDIKILLTLL -SFTRGLKPSKKEDSNIKYDLSSITSPFKGTSKGSVPQWFIKSFVETNKLYKKIPEYSVKDHYLSTKGGPC -GKSTWSSTKSHLFYKQDLILNIQNIFREGFKELFFTPFLKNMHLSYGVTRWPNGKLSIVKDPEGKRRVIA -MVDYHSQLALKSIHNDLLDLLSKFKCDRTFTQDPLHNWSNNKESYFSLDLSSATDRFPVELQKRLLAEIY -QDDKFATNWMELLLNRDYIGPGGEICRYSVGQPMGAYSSWAAFTLTHHLVVSWSAYKALKTKNFDQYIIL -GDDIVIKNNEIANIYRGQMMRMGVDISMPKTHISKDTYEFAKRWIKDGKEISGIPLKGLINNIKHLKIVY -TIINDYLIKVPSNVSLSSWLIFEKIFVGFPIYSKNTSVKRYISRKYIRSLKDFALSVRFSMGLTTPYELR -QYLSQMWIDESKTEFKAIPNENIIRQYFEGILVNGLADQAKKTILQINDQLYSFEKLEQKERKSLVYSGV -IFGLMNRLTKLEEQCTKWKEDESTIVDILNFFSTPSVDSLSRKDRDCMIRLDFLDSLWKKSFKKHFSEQR -FPDSYYRDLETKTLYGSLDSLDITSSGIEVRPIWNTELKRFNSRSIGALEMFISDNE - ->YP_009126872.1 RNA-dependent RNA polymerase [Fusarium globosum mitovirus 1] -MKNYKLHYQLIVKLVKGIFGINHAKIIKLFVTEVYKLWDNNGFTYMIKYMKTVRLHITRYMCGKPLMSNS -ENVSLVAGFPKRFLFLKPLMDKEIKIVLSLLSFTRGLKPTKEENKKIEYRLDPITAPFKGTSVGSVPTWF -IKEYVRRNNLYLEIPTYSVDDHYLSTKGGPCGKSTWNSTRSHLFYKQDLILNIQNIFREGFKELFFTPFL -KNMHLSYNQDRWPNGKLGIVEDPEGKRRIIAMVDYHSQLALRKIHNGLLKLLTTLKCDRTFTQDPKHNWI -LDNNESFHSLDLSSATDRFPVKLQARLLGEIYRDYKFAQNWLELLLNRDYIGPNGEVCRYSVGQPMGAYS -SWAAFTLTHHLVVAWSAYKSRKTMDFDQYIILGDDIVIKDNAIASIYRGQMMRMGVDISIPKTHVSKDTY -EFAKRWIKDSKEITGLPLKGILSNINNLKVVYTIINDYLLKVPSWVNQSSWQLFRRTFVGFSIRSGKKLR -KVSYRYIDSLKGFATSVRYSMGLTTPWELRNYLNSFWKLSDNSDFSHNPIEKLIPQYIEGILVNGLADEA -KNTIKEINNQLYTFEKLPKEKRTELVYSGVIFGLINRLTKLERMCERFEDENQTIVDFINLFSTPSVDSL -SRKDRDLNLRVSFLDTLWKKSFKKHFSEQRFPDSYYRDLESKTLYGSLDSLDITRSDIIVRPIWSDELKR -FNSRSIDSIKMFISDNE - ->YP_009121785.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 1 HC025] -MKTSKLIILRLLDLLFHNRILGKRYIGQVESMRKQSGLPFTIKYMKTVRLHITRYISGTPLRSNSSQVSL -TDGFPTKFLYLKKLIDSGDPKELRFVLTLMGFTRSIRPTVKEKNQLVVDYNSISSPFKGKIGYTIPDKFI -TDFIKKYNLSFSPKWDNSLHYVSNKSSPMGKATLTGPFALFHMGHWNLTMLDHFQSLIGEGSFKVMIGEF -LEVVFKDHRAFHTGISTNGIGKISIVEDPELKMRPIAMVDYYSQLVLRPIHDGILKKLRNLPQDRTFTQN -PFNNWGKTMGHKFWSLDLTSATDRFPISLQEKVIAGLLKDPVKAKAWRDILVDRDYKLPTGGFTRYSVGQ -PMGAYSSWTTFTLTHHMVVHYAAHLCGIGDFNKYILLGDDIVINHDKVARAYIRVMTKLGVDISVAKTHV -SKNTYEFAKRWVRKGVEISGLPLRGIVSNINSLPVVVKQLASYLYSNTTRWRGSTTELILSVFKGIKIRK -RFVSQSKLLSQVEDIVFVIRQSLSLNTYEEIRKYLCKKIKIEELIIPRRDLVHGFIREILCLGLVESAED -ASFDLGRFYDKFESEFRKGDFDLKLLLRHPMVLAVYNKILDMKRTLMKVRNMDEMDLIDAMSLMRLDKPD -KLMSNLRNSSTSIAHIDMLWRRGFKKLHFTTKMTLMVGEFESHQCRINVWRWWRLLRKITAVKPWESYYI -SNLSDLSDKLEILKTPVTTNMYF - ->YP_009009144.1 Pol [Sclerotinia sclerotiorum mitovirus 6] -MKKQTYKLIKIICMLFLNIGRHTYVIGLVMKRIHNLLKNNGTLFTVKYLKQARLHVTRYYCGSPLMENNI -GVSLTQTGFPIIFKECEPLIKGSMNLKKFVFTLINISRTIRPRKGEIIPISLKPITDPSTAVINKLDNRL -LRKVISQNDICLSIPDHGLSDLELTTKSGPHGPQTLTALYSMKRYSTATASAIMGMLSTSLFKYFKDLYQ -YSLSKPDEVKPCGCKAAPEVRRLSIVKDPECKMRVIGMFDWWSQVTLKPLSDSLFKALSKIDSDRTYSQD -PAFHFEVDTNLLWSIDLTAATDRFPIELQKQILSILTNTAFTRDWADVMVGEEFSYEGGSISYRVGQPMG -AYSSWPMFTLSHHILVRYCGLLNGIDNFNRYIMLGDDIVINHNKVAKTYIRLLHALGVETSQAKTHVSKH -TYEFAKRWIDTRCGEITGLPIKGLLDNIENMSTCFQILFDWQLKGNKFWTDRSLVESVADFLYKVQDFSK -KPYGYRKILETLKPISFFMRLRFSLSTPDEVRNQICLWTRNSQFIVGGAEKLILNEVLRVFNLTYSALVQ -KSSRLIVEFYHRINEFVELEDNIIEDHVHPILQCIENHLERIAEKQESQRGDLTLKEMCDTLMLMDIESI -MTQKRTKVQIMVNNTKMAHKFVNLVKSWDHDNPRFSDPQNFFHGGAADIGFGSTSVQQTLDRFRRYFL - ->YP_002822229.1 RNA-dependent RNA polymerase [Thielaviopsis basicola mitovirus] -MIKYMKSVRLHITRYICRKPLFINDSGVSVDKSGFPTKFIELKKFLNNHESSICYHTRLRIILTLLLLPR -GLKPTKIEDAKIKPNFSSITLPFSGTRMNPIPTWFIKEFVKEYNLKLEKPTYDLSKHYLSPKGGPMGKST -WSSIWSMYALKHELHLSLSYFLGEAYKTLFYLPYLKNMALSYGHDVPPKQWPSGKLGIVKDPEGKRRIIA -MVDYHSQLVLRSIHDGLLNKLRNLPQDRTYNQDPNNAWEENKECFHSLDLSSATDRFPVKLQSRLLTEMY -SDPSFGENWMNLLLNRDYLLPEEGLSGERLRYAVGQPMGAYSSWAAFTLSHHLVVAWCTYKSKKVIRSSQ -YIILGDDIVIKDNDIARKYIGQMSKLGVAISMQKTHVSKDTYEFAKRWMHKGVEISGLPLKGIFYNISHM -RRMYTIIFDYLQRIPSKSNLTSLQLFASCLSGIKFGKRVYSKALILKLLRNFNISLRYSYGLLTPYELRE -YILTGFNMGDEVLPSDNRILEWFKGIICDGVAGKVSTLSREYTKEVNSLEEHRSSQHILNSINIEITYEN -LQWSPLIIGMFNHINKVSEKCGEWLYGDVPLMDIIQQFTLPSADSLSRKDRDINKKIDELDSLIFKSIKR -HFSDQPYPDHFYGTRSNGDKNLYPGLGALDVNVAPEIGFEIKSPINFILKTEIQRLHGNLEMQMEKPLRE -AGIKC - ->YP_077184.1 putative RNA-dependent RNA polymerase [Gremmeniella abietina mitochondrial RNA virus S2] -MNKNLFQIVIKLFVMIFLNTDVKLFVSLYFRCLTKHLKHHGVVSTVKIFKQIRLHVTRYLCGSPLRTNSM -MIGIDKDGWPKMINFLKPLANGTRSDKQLLLSILFITRSFVEKDKSKLVPDWSTITQPRTTQKEYIIPSG -FIKDWVLNNHLGTYKSEFDDSNHFVSVKSSPTGPSTLTALWGLWNHSYESFNWLFKITSLSGVDYLTKIM -NWTWLKDLGNKNNNKWSKQFLGSLSLIYDPECKVRIVAMLDYTTQLFLRPIHNDLFKLLKKLPQDRTFTQ -NPLNDWENNEHSFWSIDLTAATDRFPISLQRRLLLYIYSDPEIANSWQNLLVHREYARNGLNPIKYSVGQ -PMGAYSSWPAFTLSHHLVVHWCAHLCHINKFKDYIILGDDIVIHNDKVAKKYIEIMGKLGVGLSDSKTHV -SKDTYEFAKRWIHKGQEISPLPITGIVNNITNPYIVLMNLYDFFKIKRNQYNFSGDILTMLLKLYQGLKI -YKGDKSKKHYYLSLNRFKNLDIFLFALNNTFGYSTYDHKRSFLISKEYSKDLPGPKIMQDLIXYVISTGL -SNLTVESVNKSLKFVDTIIDNKSLLNIEDPNDLSNLPLFKGFQAYISNLRKTVEGWNNKSISLIEASRGL -VQMDILPIMKGSNRKILELQTIGKIYQNGIQEINNTDFEIFGSSQMIHPNESLGIQEGSSLNSFSSLSSS -IDTNLVFIQNELNVIAKKNWMPPATEDSVADSYAAFAAMFN - ->NP_660181.1 RNA-dependent RNA polymerase, putative [Ophiostoma mitovirus 6] -MKLKQLKLMKNKTYQIIRILLIVFFPSIKRQTVILNIFMSKINKMIKNNGTLFTVKYLKELRLHITKYIS -GEPYRNSLNRVSVDKDGFPTLCKELKVLVNGTYLEKRFVLTIITLSKLLIPQKSESIPFSTKSITDHWSG -IDNISNEELDKSCSELNISTREVQWDVKNFKLLTKAGPHGPQSLTWYHTIKLYDFNQWLGIIGILPKSVL -DLFTETLSYASKLVLPEIKSNIKSTKLIRRLSIVHDPECKERVIAIFDYGSQMVLKPIADVLFDLLRNIP -SDRTFTQSPFFTHTDLDNKSKFWSIDLSSATDRFPIVFQKRVLQKILGKQMTDSWERIMIGSKFLAPDGD -TVSYNCGQPMGAQSSWPMFTLAHHVIVRVAANRCGLSNFDKYIILGDDIVINNDNVALKYMEIMNDFKVE -ISRNKTHVSNDTYEFAKRWIKNKMEFFPLPIRGIVDNINNKYIIFNILYSFFVEKGNTFLNKDTLLVCVS -KFIQLHSLTLKKPIGLNKVKGILYPFNFMLRYRQNLCTNEEIRIFLGSSTCKRDDYMLPISAKDVSLELT -RVISAALVGMAYNAEKSLKNIYFDLDKLSPWIGDGFKTGKHPKVMIQSIYNSVKSLSDFGLKMAQNKLTL -SAAMDSLLLVDLDSISSSERIKYIQMKQNICLSQKVRKELRFDPLQMEQKARAMMLVKHMKDLEG - ->NP_660180.1 RNA-dependent RNA polymerase, putative [Ophiostoma mitovirus 5] -MKKINKTIKILLSIYFNRKYSSYGIRWIVTVERMRKINGLKFTIKYMKAVKLHITKYIANERLLSISGSR -VSVDKDGFPTKFNYIKHIIDSGDIDGIRFVMTLLTYTRAINPTKKEYLKIFPDYSTITNEFTGSNKIAIP -NKIIKEFVDYYKLANPNNNNNPELQWSRDDHYLSFKSSPNGQSTLHSSYGLFSMIFVGHTILENILKIVG -EKQYHEIIGNHIKKLYHDHRLFIPGKIDYLFGKISIVKDPELKMRVIAMVDYHSQFVLKKIHNSLFNKLK -LIKSDRTFTQDPIFTTPTMGHRFWSMDLSAATDRFPIDLQERLLSYLYGSEISSAWKQLLIDRTYKTPEG -DELHYKVGQPMGAYSSWAAFTLTHHLVVFYSARMAGIKDFTNYILLGDDIVINNDKVAKYYIRTMKRLGV -ELSMNKTHVSKNTYEFAKRWFKNKKEITGLPLRGILNNLNNYGIVFQELFKFHYKYPHLTNVKLTDIMFI -IFKGLKIKGRIITNSQLRFNLMKINFLLRYINKLVNFDETRLFYTKFIKSEDISMVNEHNFLDFTRGMLK -LGLTQKIENSVKELKTFYDDVLKNSFISNIENKNDLQYEPLINGLYNKMLIMRNSIDRIVRNKDFDIIDA -MNDMRLDNPEAYLEKIKNSNKPLSNLNDMFNTAKKRIKEINEYNSEYFQDIYDFDNFSNFRPYESYYRAE -LSTQIDNLDMIRGAYWRDPQKETEMLQYW - ->NP_660179.1 RNA-dependent RNA polymerase, putative [Ophiostoma mitovirus 4] -MKRNNLQIIIIKRLILHIFKINLSVEIDKFLGFINHLRKSNGLLYTIKYMKAAKLHITRYMCGKPLYSNN -ENVALDKTGFPLRFWYLKRLVNDNPRALLTLLTYTRRIVPNKSESKARIVKLSTITDPYKGKVYTIPKWF -ILDFISKYNLSSTKPIYTDNDHYLSIKGSPNGKASMSSLYSIISFNSSNIRYLFNIVGDYQLVLNKFYQD -LSQFYTKYINRDKLGLGKLSIVHDPELKERVIAMVDYTTQFALRPIHNILLNNLSKLPCDRTFTQDPFHK -WNDDHKERYHSLDLSAATDRFPIFLQQKLISLIFNDYEFGKNWRNLLVDRNYDYQGISYRYSVGQPMGAY -TSWAAFTLTHHLVVHWAAELAGLKNFKDYIILGDDIVIKNNKVAQIYINLMTKWGVDISLSKTHVSYDTY -EFAKRWIKNGKEISGISLKGILTNIRHIHVVYMNIFTYLQRIPSLNVDILTCVGKLYGYLLIRNRIKSPN -TIKRSLYDFHHSIRYSFGLLNYEEIRNYLHNKFPFDNYYAWPERLVHSKLNEIFKLEMVESAKSFSKDFM -NQSTMLINTVTDNEIMVQWPLYKGFMNHIEKLKDYIKSKQNQHDIDLLDLMQNLRFQNLDSIVKKLRNSY -TNLIMLDKFWKSAFNREYRDLERESILTIEKQESNMMSRIWDMALSYRTSPMSYSTLTFETDSEFYMMPS -IWDMASSSTTGPKPFTTATFKTDFVGLSTFDDKLLKDLENLKIDITLRTGKYTNKTQPLESKIHQHPIEC -IEDNKVPNFNNIK - ->ALD89135.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 18] -MKTSKLIILRLLDLLFHNRILGKRYIGQVESMRKQSGLPFTIKYMKTVRLHITRYISGQPLRSNSSLVSL -TDGFPTKFLYLKELIDSGDNRKLRLVLTLLMYTRSIKPSFKEKSQVSVDYSSIDKIYKGKEGYTIPDSFI -EDFVKKFNLKLFLKWDNSLHYVSNKSSPMGKATLTGPFALFHMGHWNLNMLDHFQRLVGEGSYRIMIGEF -LNVVFKDHRAFHTGDSTNGIGKISIVEDPELKMRPIAMVDYYSQLVLKPIHDGILKKLRNLPQDRTFTQD -PFNKWGKTMGHKFWSLDLTSATDRFPITLQQKVISHLLGDADKAQAWRDILVDRDYKLPNGRITRYSVGQ -PMGAYSSWTTFTLTHHLVVHYAAHLCGIMDFDKYIMLGDDIVINHDKVARRYIKIMNKLGVDISEAKTHV -SKNTYEFAKRWVRKGIEITGLPLRGIVSNLNDLPVVVKQLVNYLYSNTTHWNGNTVQLILSVFKGIKIRK -RFVSQSKLRSEVEDIVFVIRQSLRLNSYEEIRNYLSKKIKLEELLIPKEDLVHSVIRGILCLGLVEAVEE -SASQIARFYKKFEAKFQVGDFDLKLLIRHPMVLAIYNKILDMKRTLMRVRNMDEMDLIDAMSLMRLDKPD -KLMSNLRNSSQSISHIDMLWRRGFKKLEFTTNMTLMIGEFDPTNVALMDDDGEDYFEITNLKPWESYYIS -NLSDLCDKLEILKTPVARNEYF ->ALM62243.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 3] -MINNNKNTIYGSLVVRLITALASWSLDKEIYQTFIAWFDQYVQFITRLHKKTGLENAVATVKIIQLHVTR -YLSGNPLLVNSLIIGLTHDGLPKILKGLLPYVRSGNVMVIRLLLTVFFVIRMVLGRIIPDTSPITTPSSA -DPNTIKAVAAFAPTFFLWLGIKVVLQSWKLPHLSTKKGPQGQALGTALYDLSILPASLLEAIYAISNGHL -KDYMEALLGIIRGAPRLFGFFFKGLVPGLFRRISVIPDKALKSRIIAVFDYWSQTALLPLHNSLIAFLKK -IHNDCTFHQGKISSLMVTFKVFMCYDLTAATDRFPVQLQTAILAELFGSAFASAWKTILVEYSFHMKGKG -DVLYGAGQPMGAYSSWTVFALCHHIVVQYAASLAGYTSWYSNYALLGDDLVIGDEDVGHHYKIVMLSLGV -LISSNKTHEGNLLCEFAKRFWLKGVEITPFPIVGLVEAIIARNPYAMALLVVQSLSCGWAHLLRTGAGSF -LLLLANCVYSSARDAGRLHVILLEFTVLILLAAGEAGTAYQVHCFAMNSAEAYHLHLSCNWKSSVLALEQ -IRLEEALNSISEIKKTLRSNLDKATRIAQGLAGSINKEYNEGEDNHSFLNYHALYHMPWYLVIRNQLDVL -NQLEIELQGGAISLEDAIDLLSKIRVSDPSKINTIRNSEMALRHKRTQMSAVRRAFRSRK ->ALM62242.1 RNA-dependent RNA polymerase [Soybean leaf-associated mitovirus 2] -MNKDLYKITLKLCSILYPSLNVPLYINPLFKIINKMIKKNGLIYTTKFLKQGRLHCTRYICGSPLLFNKL -KIGLDTEGWPKQLSFLKPLANGSLEEKKFLMTILTLSRTLEAKGKEKLKIKPDYDSITKPSKVLKIIPTG -FIKEFVNNYNLKMSKPEFDIKSIYISNKAGPNGKATKTAYSSLLSYSYDLMASLFKITDQSGIDYFQSQY -NYAWEKNFPSQKLGKLSFIYDPECKLRIVAIVDYYTQLFLKPIHEKIMNKLQNLPCDRTYTQSPLNNWKD -DGNMFWSIDLSSATDRFPISLQRRLLEIAISKEVADGWNHILSTRKFETPEGNLISYEVGQPMGSYSSWA -AFTLTHHLVVHWCAKLNGINNFSDYILLGDDIVIKNDKVAKTYMKWMNYIGVELSESKTHVSKDTYEFAK -RWFSKGKEITGLPMNGIVENIENPFIVMVNLYDFFKVKGNYLGSTKNLPCILSSLYKGLSLKLSKKFNNS -RFKMKIYTFHKSLDYSFGFLTYDSLRELLCLNITSEQFMIPDQQLIHTVYDDVVAQGMGGSVKNSMTSLN -NLASKVIENKTIYNLEDPNELRNYPIFKGIVNYINNYKDSVSKWDVNHLNYRQKSKELLMLNIDNVFGKE -RNKTLELLNTGKIFSLGFKKINETDEIMYGSSIGESDYSYNFDLSNYLVSNIVNSSTIYLEILKEMDEGT -YKEPVKSTPDSYYDAYANFFN ->ALM62249.1 RNA-dependent RNA polymerase, partial [Soybean leaf-associated mitovirus 4] -MKLNYTKIFWRLLANLFPNYSLKTYLRPFFALIFKLIKNKGTIHTIKILKMMRLHCTRYMCGEPLMVNDM -FIGIDKDGWPKKLNFLKPLCNSSVSSNKFLLSLLTLSRAFQLNDKEWKKIKPDYNSIVSPPVGNFCIPSG -FINKFVKNFNLKSDFPIFEEKDIYLSSKGGPQGKATLTALENLPLYDLKLINDIKNITSEEGYLYLLQSI -QLCIDKDIKPNLEKNQELGKISFIKDPEGKLRLVAISDYFTQLYLKKIHSKLLKLSKNLSQDRTFSQNPL -NEWDYENNESFWSLDLSSATDRFPVKLQERLLTRIFDSQKLAVSWHSILSNRRFTTPEGDVVQYRTGQPM -GTYSSWIAFTLTHHLVVHYCAHLCGFNNFNQYIILGDDIVIKNDAIAKKYIEIIEKLGVKISLNKTHKSL -NTYEFAKRWIQDRVEITGIPVKGIISNFNNPYIVSTILYDYFKIKKNQYYSKY ->ALD89125.1 RNA-dependent RNA polymerase [Rhizoctonia solani mitovirus 6] -MRCISGQPLESSPPVQLDNEGWPDILAALKPIWKSGEPAELKLLTTLLTALRGIKLKAVLDTSTIETPWA -GTDTITVKELAHAAKRLRFVKEIQTDYRKPHMSTKRGPLGQAILTSVNEVTLLPQQLIVNIITLGGSELA -TTIERLSEPLDILPESVCGLWRTLFPPKTSSIRRLSYFSDKEGKTRVIAILDYWSQSALVGLHKGLNALL -RRIPSDCTFNQDAFYSKLPSQGPYYSFDLTAATDRMPLLLQKRVLSLLIGSAKAEAWANILVGTEFTLSD -QSKRTVKYAAGQPMGAYSSWPAMALTHHVIVQVAALRAGLTRFWDYTLLGDDIVIAHAGVAEQYKALLSE -LDMPVSLAKTHVSQTTLEFAKRWIHNGTEITGFSIGGLVSVWKRYSLLQNFITTQHNHGWVLPLDGHPGL -VTAILRHFRGASFITEHARRVVSLYMVFDSLLSLKGIEAGPVEAENFFSTLNTWFGLDVLSLCQAAKLDT -ALVLKWIRVEAKKRLVERDLESFQRDAYVVNDRLTSIAMERAGLACPEQQSFLRETLPVMINWYLPLVNV -LNGLIDESMDLLIHLLDFTADRTDSYLNAGLNKYFVSKGVFTMRASHSITLAESAVTKMVITVAKELVNG -QLTDLNDPDRNNPWPAVKFPLPPTPTE ->AEY76153.1 RNA-dependent RNA polymerase [Gremmeniella abietina non-host-specific mitochondrial RNA virus S1] -MKNNTYIIYKTICNIFLNIKDGSFVIGLILKRIHSLIKNNGTLYTVTYLKQARLHVTRFYCGSPLKVNDK -NVSLDKSGYPIIFKETKKYINGSLFERKFLFTLINISRTIKPKKNEIIPINLNPITDSFSGSNPILDKRI -LKQVIKDLNIGLKIKNLELNDLKLTTKAGPHGPQTLSSLYTLKRYTKTLVSATCGLLSSEVYSYFKDCYT -HVLRNDDEFKPCGSRHTSDLRRLSIVKDPECKMRVIAMFDWFSQITLKALSDSLFQALKCIDSDRTYTQD -PKFHFVPDLKHKLWSIDLTSATDRFPIITQKQILGILTNTCLADDWADIMVSEPCSFQGQSLYYKVGQPM -GAHSSWPMFTLSHHILVRYCGILNGLTNFNKYIMLGDDIVINNDKVAKTYIRLLRVLGVETSKAKTHVSK -HTYEFAKRWIDLRLAEVTGLPVKGIIDNNKNISICFQILFDYINKGNSVNHQNALTFDVAKFLYKIQDFT -KPCYGFRKILKIIIPISFFMRLRFGMLTNEELRNQISLWTSKNQFIIGGSEKLFRDEIKRLFNLVYINIL -GKSSQMTAEFYHKILEFVELEDNFLEDSSHPILLVINNYLEEMVEKSDPSKQMSMTLKDMCDALPLLDIE -SIMSHQRNKILLMNNNTKMAHKFVSMVKSLDYDKPVEVNYNALQGMGNMRSTLQRFQRNYL ->AHF48622.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 6] -MKTKTKPINSFGRKVRSELFSFAFKVIKWLCITSCNTALPLHAFEALCSSLQNVLNNHSREYTVKYIKAV -RGNFYNYLSGNILRDPLARSTSDGIPVILGDLIPLVRGGNRRAIALILTILTATRSLKFISEPDLTTVTQ -PVKGDVPDLSKHMASFWRSLGYKPITAFTLPRKLKVNEKVYRLSNGPNGRVLNTALLDIQSMPSSLKMNL -TKMSPLIGARLRNFGPPLFSEFFYNIYKKFFNNKNCCRRLSCFPDKEGKMRVVGVVDHYSQLALKPLHSW -LARCLSKIRQDCTLEQGKFKKLLFNGDVDIYYSVDLSAATDRFPIMLIKQLLKFQLPHEYVEAWGNVMVE -TPFEYRGNLYRYAAGNPMGAYSSFNSFALTHHYIIYFCCKELGMRWKSLPYALLGDDIVIGNAQVGELYM -KVIKSLHVDYSLAKTHKSKDFFEFAKRIYYKGDEVTPFPISSLKEVRKSTSALTDLLLEQKSRGWECSSI -ASSADMFYGIVLSLPSRLRKKLIKRVRTAEGVLSLVRGLQDGETFLNEMVHTLQLPLPHINMEVCKNIIS -NIAVEVFSSSSIHTFFADDRKNFPLKEVVNLMRSDWDAYIEEKYHGHSSFEILKAKSFWQDTPIYLAANA -IYQEYTDLMVRIEKVDSTGSDWSYYMRNFAIPTSTKSIVEGRNFSLMRSVDRFSNLIEERLQVLACYPQL -LSM ->AEX91878.1 RNA-dependent RNA polymerases [Sclerotinia sclerotiorum mitovirus 1] -MRKQSGLPFTIKYMKTVRLHITRYISGQPLRSNSNLVSLTDGFPTKFLYLKELIDSGDNKMIRFVLTLLG -YTRSIIPTIKEKNQVAPDYSSISAPFKGDGSFDIPDEFIKEFISKYNLGFSPKWDNSLHYVSNKSSPMGK -ATLTGPFALFHMGHWNLTMLDHFQSLIGEGSFKVMIGEFLEIVFKDHRAFHIGTSTNGIGKISVVEDPEL -KMRPIAMVDYYSQLVLKPIHDGILKKLRTLPCDRTFTQDPFNNWGKTMGHKFWSLDLTSATDRFPISLQE -RVIAHLLGDESKARAWRNILVDRDYKLPSGGWTRYSVGQPMGAYSSWTTFTLTHHLVVHYAARLCGIVDF -DRYILLGDDIVINHDKVARRYISIMNKLGVDISVAKTHVSKNTYEFAKRWVRKGIEISGLPLRGIVSNIN -VLPVVVKQLVLYLYSNTTLWRGSTTELILCVFKGVKIRKRFISQSNLRSSVEDIVFVIRQSLDLNTYEQI -RLYLSRKIRIWEILIPKRDLIHGFIREILCLGLVSSAEDASFDLTRFYDKFESEFRRGDFDLMLLKRHPM -VLAVYNKILDMKRTLMRVRNMDEMDLIDAMSLMRLDKPDKLMSNLRNSSQSISHIDMLWRRGFKKLEFTT -NMTLMIGEFDPANVALMDDDGEDYFEITNLKPWESYYISNLSDLCDKLEILKTPVARNEYF ->ALD89102.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani mitovirus 10] -TPQGAANNGSLSPHGVLPLGRRASHQRIGGRGFPLGLTSPEEVRVLGKPRKVSPHTVTMTKKYLSKYLLA -TEQCLSWLLWAVKPRGLALRDFKPFLDTIRRVHETRGIEAGIAYTKAVRANVMNYLSGNPERVKGVRLTT -LDRLPVALGPLLRVVRDQDPVLLRLVMTVLFSSRALRTKAAPSIEPIISPLDKGATYGGLTLWSRSFWKQ -LGYHHSGVIPRSLRFRTFHFTTKSGPNGHALSTWWYEYLFLPKQLKEAILYLGGVKLKSIFEILDLWLEK -QPSLIQRVFQYSRIKRENGSIRYLSYFPDREGKTRVIAIGDYMSQTVLKSLHMYLFRALQKIPQDCTFNQ -GGFQEKLKGAEYYYSVDLTAATDRFPIGVISSVLAGHLPTDYVKAWETVMVGFPFDYQPPEKGAHPMKVS -YSVGNPMGFYSSWASFALTHHYVVYYCCRILGKDWSSLPYCLLGDDIVIGDKAVGELYLRVMDSLGVKVS -MQKTHRSKTTLEFAKRWIHKGQEITPFPVSALKEASKSVTAMTTLLMTETKVKGWVFTEDYGSMVRYFFG -RFRSLPSRVTSKKVETAFLTEQMISIIWGAKPAGTAVTAVARKFGIRVPDIKDDVAMNIIANIMVELFSI -SHGKLTAKEGKPLGDLATDLVIKLTGMAEEVPEAMTLLDNPLLNAYGSIEQAYLDLIKKAREIDTIHQGE -WPLLMKAMTIPLSDDVFSDRRENVERVAVSRVGRALIERLRVLESYPQLLNM ->BAD72871.1 RNA-dependent RNA polymerase [Helicobasidium mompa mitovirus 1-18] -MIFKSQLRLWIDSAFRLSKQIERVESIRKWPKVICVYSAWAVLWTHASYLPHVAKFLNMIVVLIKNNGLV -YTIKYIKDIRLCVTRYMCGSPLSRSPSRFGLQKCGWPRAIRYLKPSQGESFRNVLTLLNVLRFVEGVLPP -SLNDIEDKWEGHIPAEILVFLEKVFKPRYERFFTPLEFKKYHFSTHREPNGHAISSVLNDALSYDEKSSN -ALLGVSPEVHKRMMNFLKLNEIVPLRHKLCRALFLDKIISKPAFARLVCIPAPEGKSRIIGEMNFWAQCA -LKPLHDKEMKALRSIRQDLTFYQGIGPQVLKLHPGSKYYSFDLKSATDRFPVELQEKVIQAFYGEDFARS -WRSLITDQAFAYGESEVRYGCGQPIGAYSSWATFTLCHHMIVQMLCHRYRAPRSHYIILGDDIVIAHDKV -AEGYCEIMRALSVDISDLKTHVSKDSYEIAKRWFVNGEEITPFPINSIIESGFKSAQVIQALMDASVKGW -RLFDMITPAAALFISLQHASNFKNAFGRIHHDLKVGGICTWVLKYLRGYIDGVSMLRNIQIIIDRPVLPC -LSSAHYINDGVINNLVVEIFSDSAASPGKGHQDVAFEAMPLITNPEEGVPIALEDAQDAIPHLGIYGQIE -EQYLEIIQIAFNFDQFHNGKWDLSLRALIVPDTSTVFSIRNHDIRTLVSSKIAARLVERIKVIAESPYGI ->AHI43533.1 RNA-dependent RNA polymerase [Fusarium circinatum mitovirus 1] -MRPLNFIKIIMKNLNLHINIIKRLINGIFNINHTIIITKFVREIYKLWDKNGFQYMIVYMKTVRLHITRY -MCGRPLKSNKSNVSLDLSGFPSKFLYLKPYVKGTLNEKKFILTLLSLTRGLKPTKKENKLIEYKLNSITD -PFKGTTMGSVPTWFIKEFVKENNLYLEKPEYSVKDHYLSTKGGPCGKSTWNSTRSHLFYKQDLILNIQNI -FGNGFKELFFTPFLKNMHLSYDKERWPNGKLGIVEDPECKRRIIAMVDYHSQLALRSIHDGLLKLLGKLK -CDRTFTQDPKHNWHTDTNDKFYSLDLSSATDRFPVKLQARLLGEIYNDYKFAQNWLELLLNRDYIGPKGE -TCRYSVGQPMGAYSSWAAFTLTHHLVVSWSAYKSRKTMNFDQYIILGDDIVIKDDNIANIYKGQMMRMGV -DISDAKTHISKDTYEFAKRWICSGKEISGLPLKGILNNINNLKVCFMILLDYLYKVPTELTQSSWEIFRK -TFVGLPIKSKGKVRYLSNRYIGSLKDFAISVRYSMGLATPYELRSYLCTLNKYSEMSDFSHNPSERLIPP -YIEGVLTNGLADTAKKTLLEINSQLETFEKLPKEQRTELVYSGVIFGLMNRLIKLKERCIEWDEKSLTIV -DFINFFSTPSVDSLSRKERDLNLRVSFLDSLWKNSFKKHFSEQRFPDSYYRDLETKTLYGSLDSLDITRS -DIEVRSIWSFELERFNSRAIDAIQMFISDNE ->ANJ77669.1 RNA-dependent RNA polymerase [Sclerotinia nivalis mitovirus 1] -MTNKIINIIIIRIIRLCFNYNESTWEINEFLNIFNNMRKESGLKYTIKYYKAVKLHITRYICGSPLLSNK -DGVSVDASGWPTKFLFLKKFIKTRQGLRILMTILSFTRTVVPTKQEESKIKPDYSTIDKQYTGKVYTIPA -WFIKSWVSKHGLKAQIPTYTKEDHYVSMKGSPNGPATYSSLWSILSLSYPQLQNISTMLGDYRDEFFKFY -KTAWENNFGNDSSIKSSKWTGYTGKLSIVKDPELKRRVIAMVDYHSQFTLKPIHEMLLKKLSTLKCDRTF -TQDPKHSWYVNNEKFFSLDLSAATDRFPLQLQKKLLSYIYENKEFCDAWADLLTSRVYIDSDGVQHHYNV -GQPMGAYSSWAAFTITHHLVVAWAAYLCGEYNFTQYIILGDDIVIKNNKVANKYITLMTRLGVEISLHKT -HVSKDTYEFAKRWIKDGIEVSGIPLKGILNQWKFPGVVYTTLESFFDKNPIQPKSLIDLICGLYKNLPLG -KRRMSYNQVYKLLYDYHHAMRYSLNKITYDELRAYLCSKCKEDSFVLPYESISLHFMKLLLSGGMVSEAE -KVSRFILSEYTKIENKFKDSYTDLNILSGYPLLNGYYNHLQSMQGKILDWEKDPNVTLVDSALSLRIEKF -DKISSMNRDKSVRVSTLSGLWKTSMKRLWVERIEDDFEYMTFFSRINKDQHDSMLPVHGWEGVLDNNIQF -TLNQLKPLISGTIVKVEKNSWENLDWGDFKV ->AHI43535.1 RNA-dependent RNA polymerase, partial [Fusarium circinatum mitovirus 2-2] -MLRNQIKIIKRLCSIFFQEAFQPDHFTKLLYLYSHLVKHHGVNGAIKYMKIMRLHCTRFICGAPLYNNKN -AIGIDKDGWPIKLDFLKESVINGKLSYVLTLLMFNRSIDLPKHEVKKQMKNLNLSTITDPCKSKYTIPTG -FIKEFVKTNNLKISDDSMMLLPPDFYLSNKAGPQGKASLTAHRSWLSFDENFIQNLTKLAVSGFAKGWLA -SSQKFWFNNKESLIQSPYKETPGKLSVVKDPEGKFRIIAIVDYYTQVLLKKLHESQFNVIKNLKCDRTFT -QDPFHNWDSSSNQFWSLDLSAATDRFPRSLQFRLIGEMFEYDFAKAWTEHLGNIEFSTPDGDRIKYSVGQ -PMGTYSSWISFTLAHHLLVHWCAKIEGVDNFDQYIILGDDIVIKHDKIAKRYIKIIKLLGVDISLTKTHV -SIDTYEFAKRWIKSNIEITGLPSRGIIHNFRSPNIVFTILYDFYKIKKNTYTSSYSLVDSMSKLYKNFYL -LNKTGKNSFKRIFIRFNSKIKDSLLDFNTVLDFSFDYEDNQKLSQLFARKLYLDEEYKIPTTIKSLKTII -GIGLKSKIVEQIQTLDNLKSAFNKMDFKLPDPMRSA ->AQM32767.1 replicase [Agaricus bisporus mitovirus 1] -MTATNVINMQRKTLLFLKRVDTLLVKVLSVYLPNEAEYIRFSSTYFFKEVRSLILSRGLEFTVLYVKTSR -NAINRVLSGEPLQECDQLALTKDGVPKWLLPIFDNSNIEKIRILQSLLVSLRGITLSPILDTSTITSASS -ARVDEISNQELKRALISLKVIPLKRRVPEFSEYHLTTKRGPLGQAILSSMSEVTFLPYQLIEDINLLGGK -KLAEELTMLQDRLDILKYTSVAEFWKAWFPPKTSTLRKLSYFSDKEGKTRVIGIIDYWSQCALRPLHLYL -NKVLRRIKTDMTFDQNRFSSEIPKLDGHKFQSIDLSSATDRMPITLQKRVLEFIIGTEKSNAWHRILTSI -PFECRLSRSEQIRKDKSTIQVKYNTGQPMGAYSSWPTMALTHHVIVRIAAQRAGLRSSFDQYFLLGDDLV -IFNDKVSEQYKLLLKLLDMPWSPSKTHVSNDTFEFAKRWFKGGIEITGFAINGLMTTYKRYPLLHNFLQN -QASHGWVLPIDRHPELIRDIFSSLTRPYIINRVESGIRLYLLFDSLISIKHNGYQEDLVKQYFERVSAIV -DPGKWLGSFSNQQVVAFKGLLLSAKYHLVESDLYRFQSDTYKVNNLIGNRIAYYISQRMLEQGSWCSTLE -QFLTETLSTVINWNTPLVHTLNRLIDRSTEYLCSTVLSSKEDFMPEFIIESGLMKYHVSKGVFSQRSSHS -IALAESAVVKSMIKVLKDPEPNQKFLVTSDKIDSSDIGISRYLESNLFGFIPLLIKWGIPRVGPFAYKYA -RRRMTTSVKFLGIFSVGATILSFLPHEIIHDISLAIAVIWKLIQPHLSWFGHEYHSWNDILNQFIWSFIS -YTTLIWGHMFELLLICHVFVVVWYFKDFIDVTSINYIEWWNGRIEIFEFLGRQIGFHISMVESFVQNLAI -PKFNNLIFEILPSLPIGEQFMLSSMLLGFFIWFLKWFFDINLRIWF ->AGC24233.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 4] -MNNKIRNIIIIRLLRLCFNFNNNTWEITRFLETFDEMRQKSGLKYTIKYYKAVKLHITRYICGQPLLSNK -EGVALDHSGWPKKFLYLKKFIKTNKELRILLTLLSFTRTIVPNKKEELKIKPDYSTIDAPYKGKVYTIPA -WFIKNWISKHNLKADLPTYDVKDHYVSMKSSPNGPATHSSLWSILSLSYPQLQNISTMLGTYRDEFFKFY -STAWNNDFNKSGDIINPKWTNYTGKLSIVKDPELKRRVIAMVDYHSQFTLKPIHEMLLNKLSTLKCDRTF -TQDPKHSWYQNHHKFYSLDLSAATDRFPLQLQKKLLSYIYENKQFCDAWADLLTSRPYIDSDGNQHFYNV -GQPMGAYSSWAAFTISHHLVVAWAAHLCGEYNFSQYIILGDDIVIKNDKVANKYITIMTRLGVDISINKT -HVSKDTYEFAKRWIKGGIEVSGIPLKGILNQWKNPGVVYTTLCSYFDKNPIQPIPLINLMCELYNKLPFG -KRRNSFNQMHKMLYDFHHAMRYSLDKLTYDELRAYLCNKAREDSFMVPGNNIILHFMKLLLSGGMVSEAE -KVSRQILSEYTRIENKFKTSYDDLNVLSGYPLLNGYYNHLSSMKDKIIKWENDPNTTLIDSALSLRVEKF -DKIANLHRNKTESISTLSKLWKLSMKGLWRERIEDDFEYMTFISRINQDTTDSLLPVYTWESVLDNNIQF -TLNQLKPLISGNIVKVEKNSWENLDWGDFKV ->AEP83726.1 RNA-dependent RNA polymerase [Tuber excavatum mitovirus] -MRESMIRFAKITSPATTVAPFVIPSTNNARNSKRYGLASSALRKWLMEMLEPQYLGLSQSLVPLMSYSDT -KDVNAQEVLVVSLGTIKIWFLEYLVSGKKVQGIIPNAFWDRSNNCPFLLVDFFKWVESTGRDLRDLRPVI -RFMMSWLDLGKVYTIPKNPSLAPIISKFSGIDPILKDYNIKDALESLGILTSDSKVAFKSACENFSFHES -SAAGPNGHALWASHMDGAAIDNDPKLLKIITSLADKTGLTQVTEMASNSALIVPDASPMREGKLQSAIHS -RLHLIFEKGIKSRIIAIGDYYSQCLLSPFMVTLRSCLECIPQDYTYNQEAGFSKVLDFTRLGKTCYSLDL -SKATDRLPLALQERVMGIILGDSELARLWSSLLSSREFVTQTGHKVRYEVGQPQGFKSSFHSLAMTHHVI -VRLAALKAGEMNFTDYVILGDDIVLTNEKVVKDYMIIMELLGVKISLEKSLFHKDYSSMAEFCKRIACNG -HEITGIPMHLLANTIIDPQYTMELWEYLASRSICKGFDLYEFFGLFLGDLDFERLGLLNVLPVTVTGMKS -RVILEESPLYSTKGFEEAFGFSLQDVEHYYYYCLVSDQIAKVDGIIKKASSFLSIALETSKLGYTDGTEL -VGTGIPLTVAIQDKLSKKDSELQVMHPVQSAARTLGVKVVSILNAFAADVSKLPVLLKSGALNALGVSLD -ALPQFLQMDKTIYGNRRVLDKAIRMLEESVMDESKISRTFIGKVTGVSQAWSVSVGLGRGLVVSPQNSVI -KGVVGTSKSRLMKMKGASSSSVMRGRK ->AHI43534.1 RNA-dependent RNA polymerase [Fusarium circinatum mitovirus 2-1] -MLRNYIKIIKRLSFIFFPNKYHKDDFNKMLKLYQHLIKHHNMSGAIKYMKNMRLICTRYICGNPLLSNNF -GIATTNGWPNKLSFLKSRIDTNEGLSYVLTLLIFNRSLDLNKYEVKKKMKNLDYSSITSKQRMNYTIPTG -FIKEFVKKNNLYISKDKMDFTMSDIYVSQKGGPQGKASNSALTNFNNYNYYSLQRLFNVLSQSGIDFICK -SYSYFVENSSKFKPKHNDLGKIEVIRDPEGKFRLIAIVDYYTQLALKKLHDQCFKVIRNLKETDRTFNQS -PHHNWESNQHKFWSLDLSSATDRFPRKLQARLLSEMIDMHYAWSWNRILETISFHTKEGNSIKYEVGQPM -GTYSSWICFTLAHHLVVNYAAKLAGYDNFNQYIILGDDIVIKNDKVAYYYIRIINRLGVDISLTKTHVSS -DTYEFAKRWIKSGREITGIPVRGIIHNFKNINIVFTILYSHFKINGNTYLSNNSLVESLRRLYKDFYLIK -GKKKYFPIRNMKSYINRLQTFSSILDVTFGYSNDQSIRQIFTRNITSDYYMIPSALEDSLLEIKKILSTG -LGKLLSSNVGKVSSWQTKIIENYDDENRNNLIYYPTFVGLYNYINNIKTRTKRWSGSEEISELTQDLNVI -DVDKVFSKERSKYDRLLTIGKSLEVGFTNINKTDEIYYGSATVESSLTPKGMQLWFSKSITKDVMDQIIE -GKWEPPKPQMSYTDMWESLAGGKV ->AHX84132.1 RNA-dependent RNA polymerase [Sclerotinia sclerotiorum mitovirus 5] -MLLFYNIIMKTSKLIILRLLDLLFHNRIIGKRFIRQVESMRKQSGLPFTIKYMKTVRLHVTRYISGEPLR -SNSSLVSLTNGFPSKFLYLKDFIDRGDPKMIRFVLTLMGYTRSIKPTSKEKSLVSVDYSSISSPFKGRKG -FKIPDEFIKEFIKKYNLGLYIKWDNSLHYISNKSSPLGKATLTGPFALFHMGHWNLDMLEHFRKLIGDGS -FKIMIGEFLEVVFKDHRAFHTGCSTNGIGKISVIEDPELKMRPIAMVDYYSQLVLRPIHDGILQKLKNLP -QDRTFTQDPFNKWGKTMGHKFWSLDLTSATDRFPISLQERVISHLLSDDDKARAWRDILIERDYKLPDGN -LTRYSVGQPMGAYSSWTTFTLTHHLVVHYAAHKCGIEDFNRYILLGDDIVINHDKVAREYIRIMTRLGVD -ISKQKTHVSKNTYEFAKRWVRKGIEITGLPLRGIVSNTNNLPVVVKQLVNYLYSNTTLWRGSTTELVLSV -FKGVKIRKRFLSQSKLRTQVEDIVFVIRQSLKLNSYQEIRKYLLDKIKLEELIIPKEDQVHSVIRGILCL -GLVEAVEESASELTRFYKRFESKFQVGDFDLSLLKRHPMVLAVYNKILSMKRTLMRVRNMDEMDLIDAIS -LMRIDSPDKLVSNLRNSSTSITHIDLLWRHGFQRLEYITEVNYLNFSEVDPFSITMLKPWESYYISNLSD -LCDKLEILKTPVTTNMYF ->AKN79252.1 RNA-dependent RNA polymerases [Alternaria brassicicola mitovirus] -MKKLTYNIVIKLCKLIFPSIQVQIYLNPFFKLVTRLIRTQGLIKTTKYLKTCRLHCTRYICGSPLLVNDL -KIGIDPSGWPKSLLFLKDLVTGSLEERKFLMTLLTLSRTFKLSSKEQKNLKPDYESITKPGKVSKIIPTG -FIKEFVKRYNLKCSHPIFDEKNIYLSNKAGPFGKATLTALDSLLNYSYPLMQAIFNITDIKGSDYFSKSY -SFAWNKDLGKENKGLGKLAFIYDPECKLRIVAIVDYYTQLFLKPIHEKIFKKLENLPQDRTFTQDPFNIW -KDDGNRFWSLDLSSATDRYPITLQRRLLEQMFNDRLAKSWEYILSTREFKTPEGNFLKYSVGQPMGAYSS -WASFTITHHLVVQWCAKLEGFNNFSDYILLGDDIVIKNDKVAKRYKEWTNYLGVSISEHKTHVSFDTYEF -AKRWISKGKEITGLPLNGIIENINNPFIVMVNLFDFFKVKQNYLGSMKNLSEILFSLYKGLNRELGKKFN -NSKFKTKVRVFHESLNFSFGYSTNDSLREILCYNITNDYYVIPNNNLIHQIYDDVISLGLGKSIENSMNN -LNTISSKIIDKKDLLKLDDINEIRNYPIFKGILNHIDRYKDTVKSWDNDIKTFRQKSKDLMMLNIDSLFN -KERNKTLELLNTGKIFNLGFKKLNQMDEIMYGSAIVESTYSYTKDLFPLIQNNYGIKLNELEKLEQGTYV -AEVKKTEADVMDAWAKFFS diff --git a/seq/clusters_seq/cluster_860 b/seq/clusters_seq/cluster_860 deleted file mode 100644 index 1e2e3a0..0000000 --- a/seq/clusters_seq/cluster_860 +++ /dev/null @@ -1,88 +0,0 @@ ->AQY59905.1 RdRp, partial [Statovirus D1] -MHITTSYNTILIKYSIIPLRFKGKYAKQLKEFYLDNPIDGDTGLPYGFYYLEEGVQLIQVQQVGDGANYT -NAYKLIQRFCAANGMSHNNAPGIYEGSIPHSGPTITKPNDAVFHDPLESPIKRITSACRYRVEVKETTQT -GVRLLSQEQYRQFIASKITKNVVAASASNRVCCGGKASQLTREEHHQLYRKFWFKYTKAHKPVLSIIPDL -TQYKGSKYRKYIAAFLTLGSKYPFVKYTTFMKMEALNFDSFIRKAVRLISPNTPLFNVAYKNFFHWFEKT -LLSFTSIYGHNLFAKGQTVHNRWLTILMLVSKFKICVPIDFKNFDATHRNQAFAGECDTYHDLGLPKEAA -DDLASAKTKGAVQHSIPMRHSGDLFTGSGNCLAVGTALDSAGLENFTFFCDGDDTLLFMDDESQLEQLVA -HLDKLGYTISYDKAIYLDNDEWEIEFCHVFYSKDGYYVDSERMLNRFCNIIGSSDDAIANTILGKLQAIT -ILEATGIDFGIPVKRFVRLDETNERDRIVMNMYKGMERYRRDTIVKLDILGLEGGLAGRILADFVRYDVV -LSRVGI ->AQY59903.1 RdRp, partial [Statovirus C1] -IVFFKKVSGVEFVYPFVLDPYVFLLMFCARHPVRIGSQCEEYRVYGEDAAEFADYVNGTNAIEVGGIILP -PGFYYIDKLNVIRLPQNSPFVNYTNMFAIVARYCDAKGSNRNTIVRRFNKLFGPTAIASKLDISTCLEPT -MDVTPPEGTMVTRARLVPNEKRDRQAYKLNLHPAYDDFNAARQTDATVACAVTTRMLQPTPPSCLTRNDA -RRMYWDFWTDHTDINLIGTSDDQAYQRYDGKKYRKYEAEYNRNVSSKAHYNVFCKNEALPQASLLKKATR -LITANTPKFNVEHLDFFHQFEEILLSFTRHGHRIFAKGLNFDDRADLILERAVKHRYVCSLDFSNFDAHH -RGDRYLGEIDFYETLGLDRSAAEELRKARLSGDIETRFNCRHSGDLFTGSGNCLVVASNLLSIIPEGDDI -VCDGDDTLLFYDDLGTWKMVVDTLLKRGYVVRQEAFSQLDDSLTKDVKIPFCQHTFTFTGSDVTWEEDIN -RVMNKACNIIDCSLDGFVKKVYGKLCGLSLLVRRGIYLDGFPMLKCFGLCEDEEYLYKKFSWDSCQRRYY -NGDLYLGNAGLLAKICSKLNAPIIRMFPSALGQERAVKRVIRAVLEKEFWKERNLEHTGESCGLPFLDKQ -LEATVSARLISQFGSSKLVASTRSSSSIPSDYTSRQVTPVQLRGRSTVPTIRDFEIRSTPTQHTSQPNGE -QCTDRSTEGTRSPSQNLPSLRPRPEDHVEDQTKKHTSLTRSTTSQEKHCQEHTQCSSTTTSRSTHRSSTR -TPKRLSRSPETTDKRQDRLKQTKSRSPTTSQSRRPASTSEGSSAKSSPTSRPCQPAALATAPSQSELSGK -ELKSQSSSTRQLGEAPPQQKQPCTPKTLHTEPQQSKSGKTPPPTSTRPSSDSSSQHTDPPHQHSTGRLPS -TQKKSTKSKSSSTRTQEPTVTSSRRSCQPTYNDLDDNYALDTLEELAYEPGFGE ->AQY59907.1 RdRp, partial [Statovirus E1] -GRSGSTSPRCPGDLEVSSPAAQETAAPSGLICRLNHTNRSAFPLRALKDCEGIKPPPYSKTESRESIPLN -RVGEHFLPRPLQLNVSADCGRNFSWPYGWLYSDPDRPYNPHWRTSVLKRWFSSWLRAIDADTYPLLPDQD -WLSNIGSSCPVVVCAFQTHLLNANINKIMDRGLMVLAVVEDYAEGHHHEEMYEYTCENGKVCGSHLGVGT -LWYDANLFTSKKDGAIGRGYYIHTIAHYGPQRVVCINKIQNPYETEVEVRTDVGAPRIHVQPANSKQTIG -LDAVELEKGEAVAYPYDNDPIPEIRPNALFYMMQGAIWLLCLLPALLIHWMHLKPLRSDQAWLTGLFWYV -VRGRVVDIIARARRLETGALVQTAIAITHLVWLLWPLSAWALGPLARGFVGVALGRLLAAARDGILALVI -TPVEVADRCPVYYLSGSHAEEFAKYLERTPRVAVRGYTLPPGFYCIQWNSITRCSPSHPQCNYTNMDWIV -QCFVSQKCLSLATGPRKLCELFGPCTLCKAEAKDPIYDMPAVAPERNFTVAYPARITRKEAKEGILRLDS -NHSTFTFAQTSMEAVRTTLCTRVLQPGGGNKEEALRRYVRFWNEFDGKSIADTWGVPDFSSYPARKRQLY -ERVYNNLCKQANAAYTAFLKREVLPAENIDKGKATRMILANSKAFNIECFNFFHAFEKRLLNMKIERKGR -LFPIFAKGRNFRDRAEVIRDLLGTYSRVLSCDFKNFDGHHKGGAYEAEIEFYRYIGLPPEMAESIPNAPI -YGAFTGSVAMRHSGDLFTGSGNCLTAASLLIRVGDEHAIFCDGDDTLIFTNLDDTASLLAERARSAGHEL -TFDEVETHDGEYVIPFCQHLFVGNRDHVGYVPNVKRICEKLFNIPYTAPEQLPGLIRGKLAAAAVYGWLG -LPQFRQFQRFDNETDEVVQLDWRHQGYAFDPDLVARFCEGEPGPTGAITVFNEVCRRAALEQTSLDVIVD -EEFNRSREPGGRQCPRNNRPNVRGVAERRERQAIRKLLSLYKLAPETHALARVRRWFLGVDEVPDSQPLT -IGNVSLLVVENFGRQLTRRVVTVSLSDLLTTRFGSAQLRTCTRQLSSTKSDSSQCLPIQNCPQVYLQSAS -TTTQRTSNQSLWRPCSNNRGRDRSSSRSPPPSRSPPTSSEGRRHDDTHTDRAVTSSTATSTSKPQRKSQS -QSSSNTKQHSTHPKRERTQQESSTLADRQLMELRLQQEAPRASSLPEQPKQSPESHSDQGSGVRLTDTCQ -RTTPSRSPTTGEIFLLQQLSPTTTKPSATNSQPPASTRQCVDCSKPLTKQASFGQDSPSSRFCTKTSATQ -SDGSSQELQTKGSQPAQPPPSGGQRKQGPPCLRPGQLPLPLPPSLKELRTLKKPLIIRNRRVKPSQLTEG -DYSAYVEGFLEHQRKLVCHRGWLKDNPQYAQASAWMRKQLKLDSKCDQPARAGLDNPASSGVLRPIASRS -PSPIGRTDPR ->AQY59901.1 RdRp, partial [Statovirus B1] -GGLATYPVASTLEATDNKDNFVNPVEGNEPLHKEEAVQVQGVDVMIPPGTSIDNQATAGDPNAGVDPDVK -RAGFDKAEADAEAKASSSMGASPFARQSRAVVLPESADIKEIVSTWEATCVKSAYVPPPKRLVRAQIPVG -KEPARYHGQIKQIIRIGDSYEEWPGGYVVPNLLENNQHPHTSYVKRYLSQYIQYFDMDAYPLDNQSWLQH -EGKGGVVLVSVYSTRVLNRYMEQIARLGYPVLAVLEQYTEGYHRDREYWYRCKDGKARGQSTGRSHGWYD -ENFISQKLQGSLGNGLYIKTILQYGPQRLVWISREYEPEGGVFRYDMPVTPATNNADVLPCLDPPAVCLH -SVTTEREIPVPILYTCEPMPRVVVPWYRSVNPLRDIEAGLRRASAYGLIAPVWAWSVWLFCMLMVVPLAV -LGLVNSIINFCSTPEPPLLMDACSTYELRGPKAERFAQYVHNVERWRCGELALPPGFYIVDGRRIIRCAP -CHPECNYTNMVDLVKRFVISDGGASASAARVFLSLFGPTVFVDENDNSFLPAVVPSPPIMTTITDVEVRL -DKCYTFGVFRLSNEHRKFAVCAVTEEGLACTASNRLAQPTNLQFTRAEMLQMYTAWYDAYVRNRPSPEIA -PDLTKYFGRKRRKYEEAYKNKVGDKPHYDCFVKIEPLPEDTFDKKPGRIVTPNTATMNLAIMAFTQALEH -IVLGSVDQHGTRIFAKGMSMSDRAPVIHDKKSHHKFVAAADFSKFDSNHKGLAYLAELDLYERLGMDHEQ -AEMLRKCPISGVLRTKLACRHSGDMFTGLGNCLTAALVLYPPGSSHTIFCDGDDTLIFYDEPSELQALIE -RAQRFGHTLTFEPVRQELLGDGEWGSVIPFCQALFVDTRTECVYTVRQERMAEKFFNIVVAGTFDQFRIR -TYGKLQALDYMQNELGIVLVDGVVPHFGRMLEENCPEDDEYLRKQEMYQQRNFRPPDQLPLLENADFQPA -TGDIQKICQAVINVSRAGKTVGLDLEGRRRFYRRRKEALDKALREIWDRSVSARVAENSGSVSTLPAVVE -RDISWHWTTRFGSPEWRASTSTTVLITSDSTSSAGTQQQRQDRTQWDSTPCRVMPLTLHPQESPKSKEQN -TRGSQKTRQSKSQAESSPERQPVVQQAPTPHGRSKSRGRGKRRSREPSPAGSNTQPPSLPPKQDPNPENG -YSAPQTSRTDKPPLVEQKGVSPSSPPKVQTPPPKRQRSSSRSRRGGAPKSPFKTFPQEQNSK ->AQY59899.1 RdRp [Statovirus A4] -MINWIISLINSWLEGSVNRFATATHISGLRDFFRIYLSNGPLGLFGFTMIVCIIFVLKIIIVYKMKIIHA -RGRNKITVQGYSSKEAEPDEYVPNPTSVERREVPKEIRQESDDSWRDRLTKFVGFKVNKVNETHFPLGVE -DIIDGVPDKVCLPESRRGFRVNELVKDTNITTPFLSLPGNEYRRFKNFCTINVPESYNDHVHTSLVKNYL -TALIAKLDLNTMSISYEPWLSHTGSNGSYLVTALHGKVINDYMSLILRCNLPCLFVVEDYHEGLHYDKEY -AYICNNGHVTGISRGVKSTWYDENTRYFINECGNVGTTHKYTTLIRYGSQRLILLELGQTVEIPLYEHKV -KTLHYERCVVSPNDKCYLPALCAEIIDKEVNTMPQFKTKFEILAPHRLASMICFITGIVEWCFALLDLIL -DFGWFSLIKLILGFPWPTTVFSQSEFVVAMMFPGFYGVYSTVRYWLLPRFGLCRMCVDIGFDELYIKGKY -AEAFYDHLHSKDIGVDGLPYGFYYVDNFNLIRVPPGTIENYTNLINVVRSFNTANGHNASNIPAKFNELF -GHNNINFDDQLAGAVTPPVISQIPTRMTVVDYSLNIKMRDTCNYHLLSDLEYCKYGSSALTHDVAAGAAS -NRVLQPTLPQPNPDVFFKMCVEFWDKFESTNEQNTFLNAVDLFPEIIDLDHYTGKKRARMECGYMKHKKG -AFYSTFMKNEALPLSTINEKAVRVISPNTAAFNAEHREFFHAFEEHLLNITYSDGKRMFAKGLNYDDRYD -IIQDLVARYKYCCCCDFSNFDAHHRNNSYMGELLFYKYLGLRPETVRQLATAVKGGNIAYSLPSRCSGDL -FTGSGNCLIIAALFTSFSNEIRIFCDGDDTLVFSNSPDTGRLLVDYMKSFGFVLKVDKTVTDYESQSIDF -CQVRYWPKRYMYNIDFERRINKAMNFKADSIEEAVRITRGKLESLLPLTQLGVQFKMPGLQEIIPHIPIT -SEDVWYWTEAVKGQFWYQPEKKVVIDLEEDSGLLAKLCQKLIQNKAILLGLTVKQRTKEINKIIQTTLME -VSTHYVLEEKNCGLQSVKWGTYLLTSIGQLTHNGSAKWQICTKTMRCMKLGSIGLVPIQSCQPVASLSVT -TPILMISSVMKPQSCSHNKEPEVVKSVPMDKSQSQETPSPKHQAKDHAEDKTHGSLNSSPKLKPKKTHQS -KFSSNTTSRSESHSSAQKQSVPHSTTGVQATVQPKNPTVEPDSSNSKAETSLSSKSSSVKSSKSSSVVKP -RNQHSSSTTETVSEKSSTPSQKVKKIQGIAVLQNALMKQSMIKAKACTKVPSSDQPEEKLKTSQALQSAG -PTTVSEHKPSIQKSQTNK diff --git a/seq/clusters_seq/cluster_861 b/seq/clusters_seq/cluster_861 deleted file mode 100644 index bbb61dc..0000000 --- a/seq/clusters_seq/cluster_861 +++ /dev/null @@ -1,51 +0,0 @@ ->APG78210.1 RdRp [Hubei earwig virus 2] -MSVANSKASIYMANSKWLQVIMSLCDEFKSYSVSSTPALLNEFDSKALQQMSKNMMRELDHVPLTPLAQN -ANEEENGADSILALDAPIQGWESQFMYECVQLAQKHGYLDDKPGGLKAHYANSVRRNLLKMGREVTPGAG -LRRMDNLEKYFHNFTFNVKSPELWDKAMELTMKGVRAYHSIAHLDTWPAGWLPFSGSDYDTNVGYPSFHN -AATMNKGKSYGDIAREQAKKLDPRDLPALPYTIGGSDQSSGFKMDNLAEMKADSLKGQFFEDSKARLMMP -SSRAGNMWVQKIISSLIDYVKENVTMFFGYSDSTQIASMMQSMSKFCHAKGYSLQNIDYSSFDTTVSPEL -RASACIMVDEMLKTELGKLINHQSVLWGLKGPMWYYVTGGQIRKRFRFGTIGSGENSTNFDGGLCNALAT -TYAALCVDESFSKLYEEMLNAGLSPMLVMGDDNLFPINSDNADQMLKEMSIMLEEELGMEMNPTKVEQSM -FLQKFTDETLPDGQYWTPFPSVMSKMMWLERDKGLDEYAWTMANWMKIWECSFNPEVGDMMRLLAKYDKT -KLGLQRADGTSQSVNDFMNGLRSSSAEVGKADSSSLREDDPNKSINFDEKGDVTSDFITTMWDLVKQALS -NNGESDFDENQHFIEKPEQLETVERSVL ->APG78314.1 RdRp [Wuhan pillworm virus 4] -MLSDEIVKEFTKMSGMTKLLKPLKPSGQEKVLGVSLSKLVNKDPLYLPITPYLKNALIKFGDLNDSVDSI -LLDSKITKAETYMMEESMKMASKLNGPENVKYDSNLDPTDYSNYNVDVWSNMHKMGSEVTPGANKRSLEN -FQAYLKSLMGADFVYLIKHDKTFYEVISFIMDLMPNVSSSDDIVEVNLPFQTKHTNVGYPYYSNDSTNVK -GSNPSITYGDLTLEEAKSLDIKLAITYPGVLLGRDQRSGWLQVKGKILFLPSKASDVIATSSETNLILNK -LESQEMMLYKTKSPLFMGYNSHVVLKSQAELDYKILQKSIFSDYSFENFDYSSFDTTVTMNDNILLGALS -TLKTVDHEGKDIARNSAAWMTKMGVLLPNGNFKEVLGPIKSGAIDTNRGGGLINAMNSVWAATLADKNWM -KIASIFLSYGGCPIKVMGDDLQIISNNSVDFQTLFPKYLKQNSFKDVNPDKGEYGFFFLQKSYYKYGGGF -IYSTPWPSVLSKIFWVESPKGLGVFGWTMATYMKLDDISENKEAFSAIVNFVAKFDNYKLGTYYDGKDLT -FDQFSSLLVEESKTAEAQGKLTVRFIVDDGDPNKTDVFEGDNISDDWLKRMWYSVKQSLMVED ->APG78168.1 RdRp [Beihai picobirna-like virus 1] -MKRGWYFSKKGEIMTKQVQKVKETTSMADEIIKQSKPWDTYISAMMPVYVPDGKTSFANLSKITQQEEKY -LPLTPYGSNAAKQSKEYQVSDGKDSDSTKMPLDAPVMHWEQNMVDETYELLNKYYDLDHIFTHNKDFMYS -DKMSEHELAGVDSASNLVKMGREVTPGSVDRSRENVLQEHEYVFKPDPKLWLMACQMVQQYVGSNSLFAD -EVFTTKSGGVPFQNYHTNVGHPFYTNDQKILTDGKPVTDHVLAGAKMLELDEMQLLPCVIFGRDQRGGFE -YEKTSNGVKIKEFKDSKASVVTGTSSEGNLVMYKVLRPTIEHVQANSFLFSGYLDSNALLDSMLELSDSA -DKMGLKLVNLDFSKFDTTLSPAMMVEAHSMWAEMFNAKNGKAKDIMDAGLHWSLRMVRLAWLPDLKEGED -FEVRPDEHGFKYTGLKSGIVTTNFMGGLCNALAITYAQLKLYGDASAMMDKLKYRDKLVMGDDDLTWLKE -TSDKEKLAQMLKDDLGMVINPDKGEDGAFFLQNRVVGNVFQTPSPSVMSKMFWVERPKGLGPYAWTMATW -MKLEVILDNPECDDVMSLMAKYDTEKLGTLVSASQFVENLTKESEEEGLTTMERLWDGDPQKVNTFDQES -GNASTPWVEKMHARMCEALASGS ->APG78171.1 RdRp [Beihai picobirna-like virus 2] -MTSDIVQIYLGKGVVIAEKVFTTKTGAMPFQNYHTNVGHNTYANDQATLDDGTPIQDYVLSLAKSLDLEN -MPYLPCVMFGRDQSSGFEYKHDSTGKVTPMEFKPNKASVVTGTSRPGNMHMSKVLFPTISYVQENSFLFS -GYLNSDNLKDRMHELLDRTKKEGLVLVNLDFSTFDNTMSPELLHEAHECWKLMVKETNGEGRSIMDAAER -WCANMVRLKWLSEAEAKGEGPINLKVDEGGFRYNGLKSGIVTTNFIGGMANALAVTYAQLKLYNDATAMI -NKLLYKDKFVMGDDDLTWLKSYEDKAHIEEMLLGDLGMVVNPDKGEDGPFFLQNSLNKEGNMVTPSPRVI -SKCFWVERTKGLGPYAWTMATWMKLYVISENPEYGDVIRLIAEYDEMKLGTLSPEGKRLTASEFASNLKK -ESEEQDLTTQERLWDGDPQKVGAFDDEGNGHSEWITLMFNDMVEHLGSSDVKS ->APG78176.1 RdRp [Beihai picobirna-like virus 3] -MVMNKVKESFDMSKMPITNTITEISSAKELESKIELLVSWSKSNMPGKSKYKPDKEALRSMNTVLSSAMR -EEKEIPLTPYGKNAWYSMQPNSNNSLTLHSDFKQLTQVMMEDLFKYGQEAYWHDHKQALDYNSVSEEHYA -CVDFWRNFWKMGPEVTPGAPESRLETLDKYNQLHETSMDWSTMLHAVKWVHNQMPSKFRTQKHTSLSDYQ -KMPFTKGTANVGHPLYAKSTTVIDGSSPVNMTNQTATADFVSLQISKQLKLEEMNDQPAMLIGRNQSKGW -DVDNANMLKSNESGITMSLLDSMPYLDSKASVVYAYDRIENYVFAQFMVPAMNDAKSYASWIGYHTKEDL -MDFLIKWEKSIVKHDLKSANMDFSSFDTTVSPQLLMLAGTIAEAKIEDSYGKELLWESINAALHKPIIYK -DLKKMDRILFKYGAIPSGWMGTNYFGGLVSKLSVTYAKMVVYGVSKHKKLGDLLVNDCGTDGTNMGDDYQ -TWLQSYKDLMPLAEVLKSHLGLVINALKGELGTFFLQHSVVNGKWYYPAPSVFSKIFFVETPKSLYNAHW -TMATFMKLGQLNDEDFNAVAKMVMKYDADKLGLIDYVTGEPGGPDTFSKSLKEEANSTGVKTKDALFDGN -PQVEFMLQSEDFMPTMFKRISALNALG diff --git a/seq/clusters_seq/cluster_862 b/seq/clusters_seq/cluster_862 deleted file mode 100644 index 415a371..0000000 --- a/seq/clusters_seq/cluster_862 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_224082.1 G [Taro vein chlorosis virus] -MSILVIILILPILFGEVPPVNSGRVVDLNRNVRTDDHPTDLYPLYECGKQDTAVPISSWYGACRGSCSIT -RNTTDNTMEIFFRNDSVGWIDVLSLQTSPIRKNSHVTWYGECEKSSDVSSARPAPSEIIDTVAPAILDKM -DTWPYGGAVFIYDTIDFPECKYTSDYSRSGWRIMVSKRSLELKSDISGEGYIIDPDLGFYFPISKGKGLG -RFWWIWQQNSLSQQGCYFKTAGVVNCTLLLDTYTYSCPGINVAFSARIGNHLTSSCVGEVNISTDGITYK -LHNQVSVGSISNQLISLWHQSEEALIQQLIIVINDALGKIESSYCESTCDLTEIAMSKHSDHPLVIETPV -GPWLPASKGGEFVVIPCQSEPNLVVMTPIETCLSPFLIKVKSLKTGEVYWWMPTESHVSPDRQCLGHEEE -ELYLKSTQRKPLQFEFWKGAYIIDYPYNGSGRWIMNPGGFIHRSSKWFPSLTELSYTAPISLPTITEGVD -KKVHQVIMSVGDIGNTTGSXWFAWMQPLGDKLARAVGSVASSLLIWWTTLEEEVKHGVIIVFFTVIGLII -AVPTLKMLLKGRRPYEPVKSPVVWGGPR - ->YP_010087200.1 glycoprotein [Morogoro maize-associated virus] -MSSSLLFLLLLVGYASASPPLLLGRISDTIKGSGDDIGEADLYPLYECGKQDVGVPVTSWYGACRGACSM -THNTTDASLEVFFRNDSVGWIDVLSLQTTPITKSSHVTWYGECEKTTDVGSSMPAPADIIDRITPLLIEG -LQTWPYGGAIHVHDTIGHPECKYTSDGAISGWRVLISKRTIELKSDIAGEGYIVDPDLGYVFPVADGKGR -GRYWWVWAPSNIPHQGCYFKSAGEANCTLLMDTYTYSCPNLNVAFSARVGTHLSDTCVGDVNISTDGITY -KILSHKHVGSISNQLIELWHQSQEALTQQLIIVINDALGKIETSYCESTCDLTEMIVSQNSERPMVIETP -VGPWLPSSKNGGLSVVPCQSEPGLVVITPVETCLSPFLLKVKSMKSGDTFWWNPIDSHVSPETLCLGHDE -DEIYLRSQTRKPLMFEFWKGAYIIDYPYNTTGHWLSNPGGHIHRSSKWFPSISSLIYTVPINLPMITKGV -DHKVKQVMSTVGDLGNTTSSPWYGWLIPITKKTARLVGNVSMSILIWWRELESEVKNSIIFISLIILGLL -ISLPILRYLFSSRPSYTPVKNTISWSGPK - ->YP_009444712.1 glycoprotein [Maize Iranian mosaic nucleorhabdovirus] -MATSFLLLLSLSSILAISATSAPALTSGRIPRDEEPSSDKGDSDLYPLYECGKEGTGVPITSWYGACRGA -CSMASNSTEVVAEIYYRNDSVGYIDVLSVRTTEIKKTSHVTWYGACDEETIVGANSLAPEYIIEQSIEFL -HSGLDSWQYGADIHVHDTIGFPDCDYLDDKNNEGWRFIITKRAIELKSDIAGEGYIVDPDLGYVFPVSDG -IGRGKFWYIWKSSSVPSGGCYFKSAGTGNCTLLMDTFTYSCPELNVAFSAKVNTKLEGTCVGDLNISSDG -VSYALRSQQSTGSVSSALINLWHQSQEAVTQQLILVINDALGKIESSYCEASCDLTEALFYRVTDNPAVV -ETPVGPWLPSLSKGKVSIIPCQSEQQLIIILPIEICYEPFMLKVKSLKTGETFWWLPSHSHVTSSTSCRS -IATEEVEFQGELKKPLTFEFWRGMYLLPYPYNGSGTWIDNPGTHIHRSSKWFPSINQLSYSVPIKLPLIT -KEIRKHVQQTVVSIGGFSNITSHPITEGIWTALSTAGLIIGKTAARVAMWWSELEETVKGYVTLAGGVII -SLLVGYLMLKTILLTRRPSYSAVPREVNWITPAK - ->YP_052854.1 G [Maize mosaic nucleorhabdovirus] -MSLIHLIYFPTILSLILGTEGTPALTYGNLADNQHGNEEQGDVDMYPLYECSKKGAGVSSNNWYGACRGA -CSMTKNTTDVHMEIYYRNDSVGWIDVLSIQTTEIWKHSHVTWYGECEHNTKYGSSNTAPVSVILERLEFL -HNGMSSWPYGHSIHVHDTISSPDCSYFDDVSRSGWRLIISKRALELKSDIAGEGYIVDPDVGYVYPVADG -IGKGRTWHVWKASSVPSSGCYFKSAGITNCTLLMDTFLYSCPSLNIAFSARVGKHIKSTCVGDMNISTDG -VTYQTLGSTDQGSISTQLVSLWHQSQEALIQQLILTINEALGKIETSYCESTCDLTEMLISQHTEHPMVI -ETPVGPWLPYSIDGKVSVLPCQGGQDLVVIKPIEICASPFMLKVKSLKSLDTYWWVPTDSHVAPFTQCSN -RDDEEMIIKIQRKKPLIFEFWRGAYKLDYPYNSSGIWLDNPGGHIHRSSKWFPTLDSLSYSSPINLPLIS -KGIRKHIQAIVSTTEITSGGNVTSWTHSISTIAEAMFDSAAIAAGRVVMWWYTLEESVKRYLTVAFGLVL -TVITIWAMSKLFFRSSPTYARAPTNIEWVRS - diff --git a/seq/clusters_seq/cluster_863 b/seq/clusters_seq/cluster_863 deleted file mode 100644 index 35cab94..0000000 --- a/seq/clusters_seq/cluster_863 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_224081.1 M [Taro vein chlorosis virus] -METPIIPSSSSLDRSGNSSNLLSVIPFQERTDSIIGCHFTLEIKAYHPDMMKSSEEGDVTLGSLYQGIHH -IIRNKECQGLILGMDATHENKLHILALAFLCVIRKYEGKVSTYIERSKDTFSGVEQLLARIHIGDDHLGT -YDPEVYQICGVEVPQGTYQLTLRTVLTPHNHTDGLSITLGIIVNSPARGMQGRSPMGVDVKRLILSFPKG -TDPWTSYGEIKDKKGAVSKLKSILF - ->YP_010087199.1 matrix protein [Morogoro maize-associated virus] -METPITAVGTESEKKPSPFSVSLIQKDDTQDPIVGCHFTLEIRAYHPDMMKLLEDGDITLGSLYQGIHHI -MKQRECQGLTLGFDANHDNKLHVLALAFLCVIRKYEGKVATYIERSKDAFSGSEQLLARIHIGDDHLGTF -DPEVYQICGVPVPHGMYHITLNTRLTPPHQDDGLSVTLGVVLNSPARGLAGRSPMGVDAKRLISSFPKGT -DPWASLGDIKEKRTAVAKLRSILF - ->YP_009444711.1 matrix protein [Maize Iranian mosaic nucleorhabdovirus] -MDTTIRANASRPLQPDSPSLSTVNQTCLDDTIVGCHFTLELRAHTPGIRKMLEDGEITIGSVYKGIYSMM -KNDIVSGLTLGRDATSENKLHILAASFLCVLRKYEGDIKIFQSEEKDQFSGRVELLARVHIGDDHLGVYD -PECYQLVGVKIPDGMYHLTLKTTLTAPESSETLSLILGIIITSPARVPPTMKPMGVDTKALLPTFPPGTN -PWKDIGEVKEQRKAISRLRSILF - ->YP_052853.1 M [Maize mosaic nucleorhabdovirus] -MDAPINPSSPTRSSYETALERQWLIKDEPSHHVDLGCHFTLEIKAFSPKMIELLETGSLTLGSVYQGLYN -LIKDKDCKGLELDRDTTHGNKLHVLAAAFLCVLRKYENQVRFYNVKTKDSLTGEIQYMSRVHIGDDNLGL -FDPDTYRMIGGSFPEGIYRLNLRTQLTPRGEDDGLEIIMGTILTVPVRGPADRQPLGVLMDRQLRQFPVG -TNPWSSLGEAKPKGRSLSLLRGILM - diff --git a/seq/clusters_seq/cluster_864 b/seq/clusters_seq/cluster_864 deleted file mode 100644 index c1c7c2f..0000000 --- a/seq/clusters_seq/cluster_864 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_224080.1 gene 3 protein [Taro vein chlorosis virus] -MDPHTEFFLGKDRENASSPLIAPSRKIGLISAKVKTNETYSLFGKKKNQTAGTHLQFTSLTIKWTPLCPV -TAGGQLNLVIHHNSSTVPILNIWSPTSTKWQQEVHGNLGFLTVNNCPYLVEGRLVGFSGTEAGIISITLH -MDFKLRTQDLSPCKLIPSLIDNLHGPSFLYTSYTEDSVPDTNIHHLKHCIEDLQASVHALCGGRVHITES -QALSLIATFRVRIVELLEHQEGTAFLNYNLATIKNMLTVATTSVVSKSYRTCLSNILDKMVVYDEAYWKK -VLASPEK - ->YP_010087198.1 putative movement protein [Morogoro maize-associated virus] -MEPHAEFSLGKGREAAKSPLVAPSKKVGLIATKVKFNEAYSLFGRKKHPDSGTHLQFTSMTIQWTPLCPI -TAGGQLNITVHHNSTQVPILNIWSPVSSRWRHEVHGNLGFLKVEDCPYSVEGRVVGFSGSEAGIISMTLH -MDARLRTGDLSPCKLIPTIQENVGSPLFLYTSYTEEAIPDTNIHILKNYIEDTVEDISRLSGGKFRISEG -QALAFLSTFKVKITDLLQDQYHHGFINENIKMLQNMIMVASTSEISKAYRSCLNNVLDKMVIYDRSYWKK -VLTPIEEI - ->YP_009444710.1 putative movement protein [Maize Iranian mosaic nucleorhabdovirus] -MASQVELPLGKGKEQAKSPMVATKDKLGLMKVKLKRNQSLSIIGRRKGPRSHLSFSTVQVEWKSLCPVTA -PGQLNVSIYHNSSEVPVANIWSPVSMSWGYSTTGHLSFLELANCPYTIEGRLQGFSGTEAGILKVTVHLD -EEISADDLGPCELLSDTLKFRGFPRYLYTSYTNDVVPDTNIGELKRHIDETAETLGALFRSNPVSLRGTS -LDIIVTYQSRLPAILEELSSCMTSRGLTLLFEKYMIPVKTAAIPRSYRACLSNLLRMMPGVDQEYWQSIL -HA - ->YP_052852.1 3 [Maize mosaic nucleorhabdovirus] -MEPSAEIPLGKGKETGRSPLIATRRKTGLVKAKIKTNSSFSMFRRADTMRTHAQFTNITVGWKSLCPITA -EGQVNVAIYHESTRVPVLNLWSPVSSSWKHLATGSLGFVSLNHCPYVVEGRISGFEGEEAGLVTLTLHLD -TGLESDDIQKCRLFSEHPELAGGSLYLYTSYTSSPIPDSNLPALRTEIDDTIAAIWRMSGGKMSITTSHA -LGLIATFRVKIESLLGEYPDDAFSAQVENLVKDFLLVSSTAEITRSYRTCLAKVLSSMTTYDKKYWDTII -TGPSSI - diff --git a/seq/clusters_seq/cluster_865 b/seq/clusters_seq/cluster_865 deleted file mode 100644 index b19fb3b..0000000 --- a/seq/clusters_seq/cluster_865 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_224079.1 P [Taro vein chlorosis virus] -MINTSRRSSRNKPATPAPELIKAEENPSDIHEDFADLPEPLLLSEARAENMPAVGSAISDLLKVERAAPL -LIGNTVEEMVTGRLADRGLGELTEREKIILAIGIHCGESSMEHMNFLVTKRWITEELKTQLTSLAATTRA -LTEAGSLHRTYALLQSPDQAKKQEALDAMVTPQVGIDITTLNHEGLEDIWQSYSHDAKVDAVDDYLRNIL -NVDPTPLYAEDEWGRHIAFIPRWQLVAYGKNSDQFKTVYADEINYQRESLERILAKRVKLN - ->YP_010087197.1 phosphoprotein [Morogoro maize-associated virus] -MSISTRRSGRYKPLTPARELLVAEENPTQVHAEFTDLQEPYTLTELRNTGESSTVTEHRSAAMPPLQTGF -LDVLKVERAAPVLVGQPIDSMVGARLAEKGFGVLTEREKAILAIGVHCGEIAKDSATLASTKRWIEEELK -TQLTTLAATTRALTEASTLQKTYALFQSPNPKRKKEAMESYQADANGVDITALNHDGLEDIWQSYSHEAK -EDAIDVYLRSILNLDPDPLYEEDGWGRHLSFIPRWQLVAFGKNQNQYKTVYADDIQHQKHAIEKVISKRA -RLN - ->YP_009444709.1 polymerase cofactor [Maize Iranian mosaic nucleorhabdovirus] -MASRRSSRSNHPNPKTQDQAITDPRQVDSDLEDIGESHLVKERQQTAMAPVPAGKSLEELLKAERQRSAI -AGNPPETMSTLRLTELGISGLNEREMMILAVGIRCGELTKENSAFLATKKWIEEEIKGQVTAISAVTRQL -TDIGEMHKTYALFNSPNPKRKHDAISTATVTSDGIDITKLNTASLEDIWKSWDNDAKIDAIDHYITQILN -LDPQPMYEAGNWAGDVAFIPRWHLVAYGKNTSQYKTVYADDVAAFRETLERVINKKPRME - ->YP_052851.1 P [Maize mosaic nucleorhabdovirus] -MNRYSRRSRHPNPPVPNQEEPERDPNHIDQDLADLAQPLVLKERHAVMAPTQPSLSDVINEERQAPITFG -NPPEVMANARLSALGYDNLTEREKRILAVGVRCGEAAKDYHSLTTTKKWIEDELKSQMVALASSTRTLTE -AASLHTTFAMLHSPSIKRKAEAMSHISQGEESIDISKLNKTGMEDIWVAMESESKEDAVDTYLRNILEVD -PTQFYAIDGWGLYLDFIPTWHYIAAGKNSAQFKTSYADEIVEQRAAFERVLSKRPRVEI - diff --git a/seq/clusters_seq/cluster_866 b/seq/clusters_seq/cluster_866 deleted file mode 100644 index d3aeaf7..0000000 --- a/seq/clusters_seq/cluster_866 +++ /dev/null @@ -1,24 +0,0 @@ ->NP_663316.1 VP2 minor capsid protein [Nebraska virus] -MASAATAGLTLLSGGAGIAADIASIVTEQQRLALQKEQIRNNYELGKQTLSLQQQAMENSRDRIRLSAAQ -IKELGLDPKSELSMIMGLTAGAQPSISAPISSEQLFLNSSNLARSVRWDARNFGEAINTFAGLRAKHQAN -PSRPDMMLGSDNPNWGARVTGDALSVSGLSVRSNHFGSGSSSLGSLSSVRTNPFSSVSSGSVGGISLRTV -GSRPSIRSVFSTTSV - ->YP_009272569.1 minor capsid protein [Bovine calicivirus strain Kirklareli] -MAKYVDAALGAVTGLTDIATGIGGLVLGAQQLQLQKEALKQNEQIISINKMSAQATAAANLGSLALAANR -IVNMRDQLEQVGMTGGQINEILMTSGASTGNPNFVGAPSAGMNNFNRMYASDPTTRRYIANGQILTLTNG -VSALRARPSASKPDMILGWDNPAAGASPFGTIRSVSSLSSIRTVPSLRSMSWDGYYGPASPAGSVRSARS -SANSATSV - ->YP_529551.1 ORF2 [Newbury agent 1] -MASAATAGLTLLSGGASIAADIASIVTEQQRLALQKEQIRNNYELGKQSLSLQQQAMENSRDRIRLSAAQ -IKELGLDPKSELNMLMGLTAGAQPPISTPISSEQLFLNSSNLARSVRWDARNFGEAINTFAGLRAKHQAN -PNRPDMMLGSDNPNWGARATGDALSVSGLSVRSNHFGSGPSSLGSLSSVRSNPFSSISSGSVGGISLRTV -GSRPSIRSVFSTTSV - ->YP_213938.1 VP2 minor capsid protein [Calicivirus isolate TCG] -MASAATAGLTLLSGGASIAADIAAIVTEQQRLALQKEQIRNNYELGKQSLSLQQQSIENSRDRIRLSAAQ -IKELGLDPKSELSMLMGLTAGAQPSISSPISNDQLFLNSSNLARSVRWDARNFGEAINTFASLRAKHQAN -PNRPDIMLGSDNPNWGARATGDALSVSGLSVRSNHFGSGPSSLGSLSSARSNPFSSASSGSVGGISLRTV -GSRPSIRSVFSTTSV - diff --git a/seq/clusters_seq/cluster_867 b/seq/clusters_seq/cluster_867 deleted file mode 100644 index 5d5216e..0000000 --- a/seq/clusters_seq/cluster_867 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_010229205.1 VPg [Potato virus B] -SQSNSSGIDRGYRARNIPVNHRYAYTR - ->YP_002000612.1 VPg protein [Grapevine chrome mosaic virus] -AHSVYSADGGDRGYRSRNIPINHRYSYAR - ->NP_734033.2 VPg [Beet ringspot virus] -AQQKSSSQEGGYRARNIPIHHRYAYAK - ->NP_958838.1 VPg [Tomato black ring virus] -AQQSSASQEKGYRARNIPIHHRYAYAR - diff --git a/seq/clusters_seq/cluster_868 b/seq/clusters_seq/cluster_868 deleted file mode 100644 index 6d27f5c..0000000 --- a/seq/clusters_seq/cluster_868 +++ /dev/null @@ -1,39 +0,0 @@ ->YP_010229224.1 glycoprotein [Rio Chico almendravirus] -MSYYIFPVILLSAFRPAGLEDVTCPSLNHDHKVDMSEYREYDWGMVDNSILNNDLYSVSGYDCHYVKLTT -SCQANLLSKNVITYTKKIINAPIDQCQSLKEDKLAEYPLPQCEWNMFGSSITENSMQYMVTKERTYRLNP -VTGNIVNEHIIFDSCSELHCVYKNSKGYFVKKPRSSKIDCSNHNIITVSNMKAATGKVNNHPAIQVMNRT -MMIDDVCMIDRCGVKLVYLSDFQIFKVPHELKFKNCEEDHVQIKPEIALFTDLRDSIDCSILVERLLVRK -EVKYADIKALHPTSIGINNVYRYKNGTLEVALAYYSRIDKVELMKHSNRWIDCGPKANCSYNGWIEPKER -LDSKMLDVKDYEEFVSTIASTITTKLAGSFDQMVEEEISKKVIDSTITGFIQRNWIWAGEIISIIIICVI -VFKFIIKSIKKKEDKILYLPSTRSNYY - ->YP_009552114.1 glycoprotein [Merida virus] -MLRMMLTILGICSFVEGLPVTHHGRLYIGPTGVVTPWKSISPESVDCKASVPRLGLELTPEHKFVETKRW -SPHVTAPTSGYTCSLIQKRTTCSRSFFGYDGIKKETKISLPSAQACREAFQRFRENHLEEIEHPYPTCHW -LGDDTAEAKGLKISLVPVEYNPFSGKYTDHILAGGVCDSVPCMVADRSGYWFNSSEPVGECFPDPAIKIF -FVDRNDTLTPKTEFVSLSLQARSFKGACKAKYCGLDGFLLNTNEWIENSRSFSNRYSSTSAIRDCYNMSK -SYAYLTTQAIIGQVLGSSQSDALLAECRKVKDKLMLGEPISRTDLQLFSPESEGRGPVYRFFNGSLQVAT -AKYESLVFPDDTAASLHGYSLGATVNTSTPVLWPHAIRINRDIVDGPNGMFWFRGRLIHPRTWEGRIQEV -SQHLVQLYSLKFKTPGVPDIDQGNIVEPLSNWEWTSPAVIRPVAHLTLLESLALLTGGVLILTLCVKITV -WRRTKRPRLSAGVQPSWR - ->YP_009551691.1 glycoprotein [Merida-like virus KE-2017a] -MLRIMLTIVGICSSVGGLPLTHHGRLYIGPTGVVTPWKSISPESVDCKASVPRLGLELTPEHKFVETKRW -SPHVTAPTSGYTCSLIQKRTTCSRSFFGYDGIKKETKISLPSAQACREAFQKFRENHLEEIEHPYPTCHW -LGDDTAEAKGLKISLVPVEYNPFSGKYTDHILAGGVCDSVPCLVADRSGYWFNSSAPIGECFPDPSIKIF -FVDRNDTLTTKTEFVSLSLQARSFKGACKAKYCGLDGFLLNTNEWIENSRSFSNRFSSASAIRDCYNMSK -SYAYLTTQAIIGQVLGSSQSDALLAECRKVKDKLMLGEPISRTDLQLFSPESEGRGPVYRFFNGSLQVAT -AKYESLVFPDDTAASLHGYSLGATVNTSTPVLWPHAIRINRDIVDGPNGMFWFRGRLIHPRTWEGRIQEV -SQHLVQLYSLKFRTPGVPDIDQGNIVEPLSHWEWTSPAVIRPVAHLTLLESLALLAGGVVILILCVKITA -WRRAKQPNRFSGVQPSWR - ->YP_009094322.1 glycoprotein [Culex tritaeniorhynchus rhabdovirus] -MISLLIQLLALIATCSADLQVYIGPERVTQPWRILSPGRIDCSAIGTGLGLESLEGAREFNVSRWTPHMV -GSVTGYTCSKIIRRTRCSRSFFGYDSVTKESQISLPPASACADAYQRYREHVNTDVEHPFPECRWLGDTV -AESEAVEITISPVSFDPASGSYRDHLLAGDTCVKAPCLMANRKGYWVNTSDPESLCIEPEVIGLFIKGST -NGSVLMDKTFTSLSLAATSFRGGCLKSYCGKPGILLNTREWISGEPSLLAKLPGLAMLPSCSPGTAGYSS -VSSGRVLSHVLHAYAVSSELKECSRIRTKLMLNETVSRSDIYHLSPRVIGIGPVYRYNKDHWESSIAKYI -PIRLPKTTDGHHGNSLGLQGNTSMHVVWGHPVAFSQDVVDGPNGIFWYRGELVVPGLYGGDISEITESLT -KALTRSSKTSGAMAHLPDVLSRLGEETSWTSPEVIRPIAHLSVFWSVVLIIIGILTTWLIVTWLKSRGGD -KKPSTKGFPMYQW - diff --git a/seq/clusters_seq/cluster_869 b/seq/clusters_seq/cluster_869 deleted file mode 100644 index 92a124e..0000000 --- a/seq/clusters_seq/cluster_869 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009825034.1 NSP6 [Duck coronavirus] -SSVVKRAASWFWSTCVLFCCLFVLCSIVLFTAIPYRYYLHGAVVLFATVLFVSFTVKHVMAYMDTFLLPT -LITVIIGVCAEVPFIYNTLISQIVIFFSQWYDPVVFDTVVPWMFLPLVLYTAFKCIQGCYSVNSFNTSLL -VLYQFMKLGFVIYTSSNTLTAYSEGNWELFFELVHTTVLANVSSNSLIGLIVFKFAKWMLYYCNASYLNN -YVLMAVIINGIGWMFTCYFGFYWWINKVFGLTLGKYSFKVSVDQYRYMCLHKINPPKTVWEVFSTNILIQ -GIGGDRVLPIATVQ - ->YP_009755915.1 nsp6 [Canada goose coronavirus] -SNPVKRFCVWWWSKVMLAILMAVVVIGGTFNIVPTSIFVPYVVVCFAVAGFISLTIKHSMAFMDTYLLPV -VCVVAISVAVDVPLLYNGLYYQYLNRVLEWAGIVTSNFNVWKWVAAGATVANACRIIVGCFSIRTASDSA -GVFYAVLKLCTILVTAYRLGAAYAASDYDQFLVLLHTNLVATFSGNALFGIVSFNVIRYCVSWLYPIPVT -SYFMVGILNVTFGWFCCCYFGLYWWLNRVFGFTLGRYVYKVSVEQYKYMNVHKIRSPRNAWDVFWTNTLI -AGIGGERVLPVAYVQ - ->YP_001941179.1 NSP6 [Turkey coronavirus] -SSIVRRATSWFWSRCVLACFLFVLCAIVLFTALPLKYYVHAAVILLTAVLFISFTVKHVMAYMDTFLLPT -LITVIIGACAEVPFIYNTLISQVVIFLSQWYDPVVFDTMVPWMLLPLVLYTAFKCVQGCYMDSFNTSLLM -LYQSMKLGFVTYTSTNTLTAYTEGNWELFFELVHTTVLANVSSNSLIGLFVFKCAKWMLYYCNATYFNNY -VLMAVMVNGLGWLCTCYFGLYWWVNKVFGLTLGKYNFKVSVDQYRYMCLHKIPAPKSVWDVVKTNILIQG -IGGDRVLPIATVQ - ->NP_740624.1 coronavirus nsp3 (HD2) [Infectious bronchitis virus] -SSFVRKATSWFWSRCVLACFLFVLCAIVLFTAVPLKFYVYAAVILLMAVLFISFTVKHVMAYMDTFLLPT -LITVIIGVCAEVPFIYNTLISQVVIFLSQWYDPVVFDTMVPWMFLPLVLYTAFKCVQGCYMNSFNTSLLM -LYQFVKLGFVIYTSSNTLTAYTEGNWELFFELVHTTVLANVSSNSLIGLFVFKCAKWMLYYCNATYLNNY -VLMAVMVNCIGWLCTCYFGLYWWVNKVFGLTLGKYNFKVSVDQYRYMCLHKINPPKTVWEVFSTNILIQG -IGGDRVLPIATVQ - diff --git a/seq/clusters_seq/cluster_87 b/seq/clusters_seq/cluster_87 deleted file mode 100644 index 461aad0..0000000 --- a/seq/clusters_seq/cluster_87 +++ /dev/null @@ -1,314 +0,0 @@ ->YP_010085012.1 matrix protein [bank vole virus 1] -MATLHDFLPNTWMEKGAIEDIRPEFDHEGKMKPRVRVIDPGSGTRKTSGFMYLLLQGIIEDHYEGLIPEG -RPAGRTMAALPMGVGQSIAGPYELLTACLELKIVVRRTAGSTEKLVFYNTAPLSVLAPWKGLLQNGGIFE -ASKVCRKVEEIPLDREQQFRPVFLTITLLTDSGLYKTPSAIQDIRATGGVSFNLLVHLKTGPTMAERLYP -TLKENQQPPDISFMVHVGLFLRRKKEPYSHDYCRLKVERMNLQFSLGGVGGVSFHVRINGKLSKALYAQL -GFHRSICYPLMDVNPSLNKLLWRTQCEIQKVTAVFQPSVPSEFRIYNDVLIDNTGKILTH - ->YP_010085019.1 M protein [Pohorje myodes paramyxovirus 1] -MNYHAGTADFMQSSWEEGGTLVAINPQVDNEGKIIPEYRVINPGWNSRKSGGYMYLLVHGFIEPKEIKGE -KGRKNKTFASFPLGVGKSSASPDDILEGVVNLDITVRRTCGSSEMLVYGTSNLTSVLTPWKDILTTGAIF -PAIKVVNNIDTVPVNMPQRFRPVFLTITMLTDAGIYKVPKNILDFRMANAVSFNLLIELLIGADITKFGV -GGVVDEDGERITTFMIHIGNFRRKRNKEYSVDYCRQKIDKMDLRFSLGAVGGLSLHIVICGKMSHALRAQ -LGYKSSICYSLMDTNPYLNKVMWKAECRIKKVTAVLQPSIPKEFKIYEDVLIDHTGKIMK - ->YP_009666851.1 M protein [Mount Mabu Lophuromys virus 2] -MSGNAGIADFTKSTWEEGGTLTAIEAEADSSGRLIPKYRVINPGRNSRKTAGYMYLLIYGIVEEKEGTPG -VKKGMKTFASFPLGVGKSTSHPQTLLDGILSLDITVRRTCGSGEMLVYGSNNIKPELSPWRDILTTGAIF -PAIKVCTNVDMVAVDRPQRFRPVFLTITLLTDAGVYKIPRNILDFRVAKAVSFNLLIELLVGADFTNSGI -KGVVNSDGERVTTFMIHIGNFMRKNGKEYSVDYCRQKIDKMDLRFALGAVGGLSLHVIVAGKMSHTLRAQ -LGYKSSICYSLMDTNPYLNKLMWKSECSINKVTAVLQPSIPKEFKVYEDVLIDHTGKIMK - ->YP_009666843.1 M protein [Mount Mabu Lophuromys virus 1] -MYYKKLRIKVIHITMEGKADFLPSSWSEGGILHAIEAEADDEGKLVPKYRVVNPGWNSRKGSGFMYLLIY -GIIEEKESKDKKNRGFKSFGAFPLGVGRSRADPQDLLDAVLQLDITVRRTAGAGEKLVMGTNNINPILTP -WKGILTNGAVFQAIKVCNNVDTIMVDRPQKLRPIFLTITLLTDAGCYKIPRTILDFRASGAMSFNLLVVL -SIGADFTPYGTKGMINEDGERVTTFMVHIGNFVRRCGKEYSVDYCRQKVDKMDLRFSLGAVGGLSFHVKL -AGKVSKTLRAQLGHRTTICYSLMDTNPYLNRVMWKAECSIQKVTAVFQPSVPRDFKIYDDVLIDHTGKIL -KQ - ->YP_009512961.1 matrix protein [Feline morbillivirus] -MTEIFNLDESSWSVKGILDPLTPDTYPDGRLVPKVRVIDPGLGDRKSGGYMYLLLHGVIEDSETIISPKG -RAFGAFPLGVGQSTENPEDLFKEILTLNIVTRRTAGFNEKLVYYNTTPLHLLTPWKKVLAYGGIFNANQV -CSDTSSIPIDIPQKFRPVYLTVTKLSDDGYYQIPKMIQDFKSSNSVAFNILVHLSMGINLLDQSKDPRLR -NAAETVITFMIHIGNFKRKSNKSYSPEYCKRKIMRLGLIFSLGAIGGTSLHIRCTGKMSKRLQAYLGFKR -TLCYPLMYVNEGLNKTLWRSECRIEKVQAVLQPSVPNEFKIYDDVIIDNTNGLFKIK - ->YP_009505438.1 matrix protein [Simian Agent 10] -MSITNSAIYTFPESSFSENGHIEPLPLKVNEQRKAVPHIRVAKIGNPPKHGSRYLDVFLLGFFEMERIKD -RYGSVNDLDSDPSYKVCGSGSLPIGLAKYTGNDQELLQAATKLDIEVRRTVKAKEMVVYTVQNIKPELYP -WSSRLRKGMLFDANKVALAPQCLPLDRSIKFRVIFVNCTAIGSITLFKIPKSMASLSLPNTISINLQVHI -KTGVQTDSKGIVQILDEKGEKSLNFMVHLGLIKRKVGRMYSVEYCKQKIEKMRLIFSLGLVGGISLHVNA -TGSISKTLASQLVFKREICYPLMDLNPHLNLVIWASSVEITRVDAIFQPSLPGEFRYYPNIIAKGVGKIK -QWN - ->YP_009179209.1 matrix protein [Caprine parainfluenza virus 3] -MSISSSGIYTFPKSSFTENGDLEPLPLKVNENKKAIPHIRVVKVGNPPKHGVRYLDIFLLGFFEMERTKD -KYGSISDLDDDPGYKICGSGSLPIGLAKYGGSDKELLQAATKLDIEVRRTVKATEMIVYTVQNIKPELYP -WASRLRKGMIFDAQKVALAPQCLPLDRGIKFRVIFVNCTAIGSITLFKIPKSMAMLSLPNTISINLQVHI -KTGVQTDSKGVVQILDEKGEKSLNFMIHLGLIKRKIGKMYSIEYCKQKIEKMRLIFSLGLIGGISFHINA -TGSISKTLASQLTFKREICYPLMDLNPHLNIVIWASSVEITRVDAIFQPSLPGEFRYYPNIIAKGVGKIK -Q - ->YP_009177601.1 matrix protein [Phocine morbillivirus] -MTEVYDFDRSSWDTKGSLAPILPTTYPDGRLIPQVRVIDPGLGDRKDECFMYIFLLGIIEDNDDLGPPIG -RSFGSLPLGVGRTTARPEELLKEATLLDIVVRRTASVKEQLVFYNNTPLHVLTPWRKVLTNGSVFSANQV -CNAVNLIPLDTAQRFRVVYMSITRLSDDGCYRIPRGMFDFRCKNALAFNILVTIQVEGDFCSNRGNLSMF -KDQQATFMVHIGNFSRKKNQAYSADYCKLKIEKMGLVFALGGIGGTSLHIRCTGKMSKTLNAQLGFKKIL -CYPLMEINEDLNRMLWRLECKIVRIQAVLQPSVPQEFRIYNDVIISDDQGLFKIL - ->YP_009094447.1 matrix protein [Porcine respirovirus 1] -MAEIYKFPKLSYEEHGYMEPLPLKTGPDKKAVPHIRIIKIGDPPKHGNRYLDILLLGFYEIPKEVGTYGS -VSDLTRPTGYTICGSGSLPIGIARYLGTDQELLKASVELKVTVRRTVRSSEMIVYMVDSIPPAMMAWASR -LKRGMIFNANKVALAPQCLPIDKDIRFRVVFVNGTSLGSITIAKVPKTLADLALPNSISVNLMVSLKTGA -STESKGIIPTLNEKGDKVLNFMVHLGLIHRKVGRVYSMEYCKGKIEKMRLIFSLGLVGGISFHVQLTGVV -SKSFVGQLGGKKEICYPLMDVNPHMNLVIWAASVEITGVDAVFQPSIPRDFKYYPNVVAKNIGKIKV - ->YP_009094336.1 matrix protein [Salem virus] -MADVYNFPSACWEDKGSLEAIDPEVDGKGKLVPKVRVIDPGLGTRKSGQYLYLLLHGLIEDLEVVAVPQP -RTFCALPLGVAQSHASPESLLAECLKLNLSVRRTAGAEEKLVIFNTKPLDLLSPWKKVLAQGAVYPATKI -CNSIERVPLEVPLRMRPLFLTITVLSDSGFYQIPRPLQEFRVRNGVSFNLLFHIALGDDLESVGIKGVID -DDGQRIMTVLIHLGNFIRKRGKTYTNDYCKRKIERMKLTFALGAVGGASIHIKVEGKISKRLFAQIGFRN -TICYPIMEVNPSLNKLLWKTECHIKRVQAVLQPSVPDDFRIYNDIVIDHSGKILRK - ->YP_009094093.1 matrix protein [Mojiang virus] -MEATSDFKDATWQDGGLLKSIDAELDEQGYMIPKYRVINPGGNERKTMGYMYLIAYGFVEDVLNDHKTQQ -KPKIRTVAAYPFGVGSSDSSPEDLLKSACALDVSVRRTAGATEKIVMGARGTLGCLAPWSSVLNNGAIFN -ASKICNNVDLIQLGTPQRLRIFFLSITLLTDKGIYKIPKTVLEFRESNAVAFNLLVSLRIDTDLTRAGMK -GIVDKEGRRLATFMLHIGNFVRRGRKPYSIEYCKKKVENMALIFSLGAVGGLSLHVRFTGKLSKRLIASM -RFHRNICFSLMDINPGLNKLTWNHECEIQKITAVFQPSIPKDFKIYDDVLIDNTGKILKN - ->YP_009094149.1 matrix protein [Salmon aquaparamyxovirus] -MEGTSEFSRKTWEENGTVEPVEFATDKDGVAVPTIRMTSAGDFSHKGEPYIYLMLLGFVEKVIEEGGSQR -KGKMYCYGAMPLGMARTHYNEQALLEASGNLRITVRRTAGGGEKAVFGVSGVTSPLMPWKNALSGGMIYP -ALKTLSAPYKIPLGVKVRMRVIFVSGTILGDITIYKIPKALLDVRVPQSISFNLNVIIATGAKLSARGID -PVMTPEGQQTVSFLVHIGHFVRDRKKVYSADYCRHKVDMMGLKFSLGNVGGVSFHVAITGKMSKTLMTSI -GFKKNICYPLMDTNPTLNSIMWASSAEICSVSAVFQPSVPREFKYHDDIVVEGSGRVTMQKHWYFPLLSK -TGVV - ->YP_009094115.1 matrix protein [Tailam virus] -MAGNAGTAAFLTSSWEEGGTLAAIDPEADEKGRLIPKLRVINPGTNSRKSAGYMYLLIHGIIEEREVANV -SPKNNKTFAAFPLGVGKSNALPQDLLDGVVNLDVTVRRTAGSSEMLVFGTSNISAVLTPWKDILTTGAIF -PAIKVCNNVDMVAVDRQQKFRCIFLTITMLTDAGVYKVPKTILDFRMANAVSFNLLIELLIGADMTASGI -KGMINDYGERVTTFMIHVGNFFRGRNKEYSVDYCRQKIDKMDLRFSLGAVGGLSLHVCIKGKMSNALKAQ -LGYKTTICYSLMDTNPYLNKLMWKAECKINKVTAVLQPSVPKEFKVYEDVLIDHTGKIMK - ->YP_009094084.1 matrix [Cedar virus] -MDPSDLRRIIMEDDKSLVNNDDSTETDFLEKTWREGSKIDKITPEVDENGNMVPKYVVFNPGKNERKTSG -YQYMICYGFIEDGPINGSPRVKGNIRTTASFPLGVGKTYSSPEEILQELTTLKITVRRTAGSNEKLVYGI -TGPLNHLYPWYKVLTGGSIFSAVKVCRNVDQILLDRPQILRVFFLSITKLTDKGVYMIPKSVLDFRSDNS -MAFNLLVYLKIDTDITKAGIRGIVNKEGERITSFMLHIGNFTRRGGKHYSVEYCKRKIDKMKLTFALGTI -GGLSLHIRIDGRISKRLQAQVGFQRNICYSLMDTNPWLNKLTWNNSCEIHKVTAVIQPSVPKDFMLYEDI -LIDNTGKILK - ->YP_009091836.1 matrix protein [Ghana virus] -MEAITNFMPYTWEFGGSLNKVEPELDENGSMIPKVRVLNPGYNDRKSSGYQYLICYGFVEDIRSESNGDK -KPTIRTTASFPLGVGRSDASPENLLDEVCKLSITVRRTSGAEEKIVYGVSGGILYLDPWKKVLKNGAIFT -ATKVCRNIECVLVDKHQSLRIFFLSITKLTDRGYYTIPRRMLEFRYNNAIALNLLVTLAISTDTTKSGVR -GIKNEDGFTLVTFMTHMGNFQRRAGKTYSLEYCSRKVDKMKMKFSLGAIGGLSFHVRIDGVISKRLFAEM -GFRRNICYCLIDINPWINKLTWSNTCEIRNVAAVLQPSVPRDFMIYDDVFIDNTGKILPSKHA - ->YP_006347586.1 matrix protein [Nariva virus] -MSSTHEFLPGTWANKGCIDDLRPDYDREGKIIPRVRVVYPGTGTRRPGGYMYLFLQGVIEDDILDSQPQT -RPPGRTFGAYPLGVGQSTAGPYELLAACQELKIIVRRTVGKGEKLVYYNTTPLNVLAPWKAVLTRGAIFD -ATKVCNRVEDIPLETPQKFRPVFLTITMLTDSGLYKVPSSVQDIRAPNAVAFNLLVEINAGCSIDQKLYP -KVNPADEFKVVSFMVHVGLFQRKKSKPYSHDYCRIKVERMNLVFSLGCVGGLSFHLRVQGKMSKALHAQL -GFHRSICYSLMGINPSLNKLLWKTQCSIRKVTAVFQPSVPPEFKIYDDILIDNTGKILTN - ->YP_512249.1 matrix protein [Beilong virus] -MAGNAGTADFLNSSWEEGGTLTAIDPEADERGRLIPKYRVINPGTNSRKSAGYMYLLVHGIIEEKDVAGA -SAKNNKTFAAFPLGVGRSNALPQDLLDSVVGLDVTVRRTAGSSEMLVFGTSNISPVLTPWKDILTTGAIF -PAIKVCNNVDMVAVDRQQKFRCIFLTITMLTDAGVYKVPKTILDFRMANAVSFNLLVELLIGADMTASGI -KGIINDYGERVTTFMIHVGNFLRRRNKEYSVDYCRQKIDKMDLRFSLGAVGGLSLHVCIKGKMSNALKAQ -LGYKTTICYSLMDTNPYLNKLMWKAECKINKVTAVLQPSVPKEFKVYEDVLIDHTGKIMK - ->YP_338080.1 matrix protein [J-virus] -MAGNAGLAEFMRSSWEDGGTLEAIDPEADEKGRLVPKYRVINPGRNSRKSAGYMYLLVHGIIEEKPTAGP -KNRGLKTFAAFPLGVGNSRAMPQTLLEAIVDLEITVRRTAGSGEMLVFGSNNIKPELQPWKNILTTGAIF -PAIKVCNNVDMVAVDRPQKFRAVFLTITMLTDAGIYKVPKNILDFRMPHAISFNLLIELSVGADLDGSGI -RGMVNDEGEKVTTFMIHIGNFHRRKGKEYSVDYCRQKIDKMDLRFALGAVGGLSLHVSICGKMSHALRAQ -IGYKTSICYSLMDTNPYLNKLMWKAECHINKVTAVLQPSIPKEFKVYEDVLIDHTGKIMK - ->YP_133825.1 matrix protein [Peste des petits ruminants virus] -MTEIYDFDKSAWDVKGSIARIEPTIHHDGRLIPQVRVIDPGLGDRKDECFMYLFLLGVIEDNDPLSPPVG -RTFGSLPLGVGRSTAKPEELLREATELDIVVRRTAGLSEKLVFYNNTPLSLLTPWKKVLTTGSVFSANQV -CNAVNLVPLDTPQRFRVVYMSITRLSDNGYYSVPRRMLEFRSANAVAFNILVTLRIENGTSPRRYIVGSW -ENPEVTFMVHVGNFRRKKNEVYSADYCKMKIEKMGLVFALGGIGGTSLHIRSTGKMSKTLHAQLGFKKIL -CYPLMDVNEDLNRYLWRAECRIVKIQAVLQPSVPQEFRVYDDVIINDDQGPFKIP - ->YP_087123.1 M protein [Rinderpest virus (strain Kabete O)] -MAEIYDFDKSAWDVKGSIAPIRPKTYSDGRLIPQVRVIDPGLGDRKDECFMYIFLLGIVEDSDPLSPPRG -RTFGSLPLGVGKSTAKPEELLKEVTDLDIVVRRTAGLNEKLVFYNNTPLSLLTPWKKILTTGSVFNANQV -CNAVNLIPLDTPQRFRVVYMSITRLSDSGYYTVPRKILEFRSANAVAFNLLVTLEIDRDTEPGRPAAGGL -GLSEATFMVHVGNFRRKKNEAYSADYCKMKIEKMGLVFALGGIGGTSLHIRSTGKMSKTLHAQLGFKKTL -CYPLMDINEDLNRLLWRSRCKIVRIQAVLQPSVPQEFRIYDDVIINDDQGLFKVL - ->NP_958052.1 matrix protein [Mossman virus] -MATMHEFLPGTWVNRGLLEDIRPEYDHEGKIKPRVRVIDPGAGTRKSSGFMYLHLQGIIEDWIGDFEPAT -RPPGRTLAAYPLGVGQSIAGPYELLTACLELNVVVRRTVGSTEKVVFYNNAALDVLSPWKNVLMNGCIFD -ANKVCKRVEDIPLEKEQRFRPIYLTITLLTDSGLYKTPSMIQDIRANNAVAFNLLVYLTAGNGIMEKLYP -HTGSEPEQTVVSFMVHVGLFMRGRKKAYSHDYCRLKVERMNLQFGLGGVGGVSFHMRVQGKLSKSLHAQL -GFHRSVCYSLMDINPALNKLLWRTQCSIQRVVAVFQPSVPSEFRIYNDVLIDNTGKIMTY - ->NP_945027.1 matrix protein [Dolphin morbillivirus] -MTEVYDFDRSAWDVKGSIAPIEPTTYPDGRLIPQVRVIDPGLGDRKDECFMYIFLLGILEDNDIMSPPIG -RTFGSLPLGVGRSTAKPEELLKEATELDIVVRRTAGLNEKLVFYNNTPLMLLTPWKKVLTAGSVFSANQV -CNAVNLIPLDTPQRFRVVYMSITRLSDNGCYRVPRKMLEFRSANALAFNILVTIRIENAGIVSRPYMSMM -RDPQATFMIHIGNFRRKKNEAYSADYCKMKIEKMGLVFALGGIGGTSLHIRCTGKMSKTLHAQLGFKKIL -CYPLMDVNEDLNRYLWRAECKIVRIQAVLQPSVPQEFRVYDDVIINDDQGLFKIL - ->NP_899658.1 matrix protein M [Fer-de-lance virus] -MGTIAEFNPVTWAEHGSLSPMLLEQGPDKKIIPQYRFIAPKPEAGRTKMDWYLTLSGIIECKSQSGAGSD -TAPTTSGKKIVSMGMIPLGVGHTRETPDALLDAVVKLKITVRRTARSSETLIFTFAEVDPRLAPWNYNLK -SGMGFPSMKVSSQAHLIPVDQRCTMRGVFLIITALGSGSYYKIPRPIQNLTIADTISVNFLVGLTTDGDL -SKAGLMTTRDSEGHHTVETMIHLGIFKRTKGKSYTVEYCQAKVLAMDLTFALAGVGGVSLHVIVNGKISK -QLLTQLAGHRSICYSLMDINPKINSVLWRPMVEISYIKAVFQPSIPKEFKIYSDVIVKKAGRVQQLIKKG -F - ->NP_047110.2 matrix [Hendra henipavirus] -MDFSVSDNLDDPIEGVSDFSPTSWENGGYLDKVEPEIDKHGSMIPKYKIYTPGANERKFNNYMYMICYGF -VEDVERSPESGKRKKIRTIAAYPLGVGKSTSHPQDLLEELCSLKVTVRRTAGATEKIVFGSSGPLHHLLP -WKKILTGGSIFNAVKVCRNVDQIQLENQQSLRIFFLSITKLNDSGIYMIPRTMLEFRRNNAIAFNLLVYL -KIDADLAKAGIQGSFDKDGTKVASFMLHLGNFVRRAGKYYSVEYCKRKIDRMKLQFSLGSIGGLSLHIKI -NGVISKRLFAQMGFQKNLCFSLMDINPWLNRLTWNNSCEISRVAAVLQPSVPREFMIYDDVFIDNTGKIL -KG - ->NP_604439.1 matrix protein [Human respirovirus 1] -MAETYRFPRFSHEENGTVEPLPLKTGPDKKAIPHIRIVKVGDPPKHGVRYLDVLLLGFFETPKQGPLSGS -ISDLTESTSYSICGSGSLPIGIAKYYGTDQELLKACIDLKITVRRTVRSGEMIVYMVDSIHAPLLPWSSR -LRQGMIYNANKVALAPQCLPVDKDIRFRVVFVNGTSLGTITIAKVPKTLADLALPNSISVNLLVTLRAGV -STEQKGILPVLDDDGEKKLNFMVHLGIIRRKVGKIYSVEYCKNKIEKMKLIFSLGLVGGISFHVHATGTL -SKTLMSQLAWKKAVCYPLMDVNPHMNLVIWAASVEITSVDAVFQPAIPKEFRYYPNVVAKSIGKIRRI - ->NP_112025.1 matrix protein [Nipah henipavirus] -MEPDIKSISSESMEGVSDFSPSSWEHGGYLDKVEPEIDENGSMIPKYKIYTPGANERKYNNYMYLICYGF -VEDVERTPETGKRKKIRTIAAYPLGVGKSASHPQDLLEELCSLKVTVRRTAGSTEKIVFGSSGPLNHLVP -WKKVLTSGSIFNAVKVCRNVDQIQLDKHQALRIFFLSITKLNDSGIYMIPRTMLEFRRNNAIAFNLLVYL -KIDADLSKMGIQGSLDKDGFKVASFMLHLGNFVRRAGKYYSVDYCRRKIDRMKLQFSLGSIGGLSLHIKI -NGVISKRLFAQMGFQKNLCFSLMDINPWLNRLTWNNSCEISRVAAVLQPSIPREFMIYDDVFIDNTGRIL -KG - ->NP_067150.1 matrix potein [Human respirovirus 3] -MSITNSAIYTFPESSFFENGHIEPLPLKVNEQRKAVPHIRVAKIGNPPKHGSRYLDVFLLGFFEMERIKD -KYGSVNDLDSDPSYKVCGSGSLPIGLAKYTGNDQELLQAATKLDIEVRRTVKAKEMVVYTVQNIKPELYP -WSNRLRKGMLFDANKVALAPQCLPLDRSIKFRVIFVNCTAIGSITLFKIPKSMASLSLPNTISINLQVHI -KTGVQTDSKGIVQILNEKGEKSLNFMIHLGLIKRKIGRMYSVEYCKQKIEKMRLIFSLGLVGGISLHVNA -TGSISKTLASQLVFKREICYPLMDLNPHLNLVIWASSVEITRVDAIFQPSLPGEFRYYPNIIAKGVGKIK -QWN - ->NP_054694.1 matrix protein [Tupaia paramyxovirus] -MYPSAPRIDEAPVVDCEYEFIPTTWLEKGYLSAMKVESDHNGKIIPSVRVVNPGWGERKTSGYMYLIMHG -IVEDVPKDGDTEQRYSGKTYAAFPLGVGKSNATPDDLLTSMNKLQITVRRTAGAGERIVFGNNAPLGALF -PWRRVLDFGAVFTAYKVCLSVESISLFTPQRFRPLFLTVTLLTDNGLYKAPSLFADFRASKAVSFNLLAR -LTVNNKSGKDYLATAPASDTKQVVSFMVHIGNFVRKGGDVYSNSYCKKKIDRMDLQFALGAVGGLSFHIK -INGKMSKTLMTQLGFHRNLCYSIMDINPDLNKKIWNSSCRITSVAAILQPSVSKDFKIYHDVFIDNTGKI -MG - ->NP_037643.1 matrix M [Bovine respirovirus 3] -MSITNSTIYTFPESSFSENGNIEPLPLKVNEQRKAIPHIRVVKIGDPPKHGSRYLDVFLLGFFEMERSKD -RYGSISDLDDDPSYKVCGSGSLPLGLARYTGNDQELLQAATKLDIEVRRTVKATEMIVYTVQNIKPELYP -WSSRLRKGMLFDANKVALAPQCLPLDRGIKFRVIFVNCTAIGSITLFKIPKSMALLSLPNTISINLQVHI -KTGVQTDSKGVVQILDEKGEKSLNFMVHLGLIKRKMGRMYSVEYCKQKIEKMRLLFSLGLVGGISFHVNA -TGSISKTLASQLAFKREICYPLMDLNPHLNSVIWASSVEITRVDAVLQPSLPGEFRYYPNIIAKGVGKIR -Q - ->NP_047204.1 matrix protein M [Canine morbillivirus] -MTEVYDFDQSSWYTKGSLAPILPTTYPDGRLIPQVRVIDPGLGDRKDECFMYIFLMGIIEDNDGLGPPIG -RTFGSLPLGVGRTTARPEELLKEATLLDIMVRRTAGVKEQLVFYNNTPLHILTPWKKVLTSGSVFSANQV -CNTVNLIPLDIAQRFRVVYMSITRLSDDGSYRIPRGMFEFRSRNALAFNILVTIQVEGDVDSSRGNLGMF -KDHQATFMVHIGNFCRKKNQAYSADYCKLKIEKMGLVFALGGIGGTSLHIRCTGKMSKALNAQLGFKKIL -CYPLMEINEDLNRFLWRSECKIVRIQAVLQPSVPQDFRVYNDVIISDDQGLFKIL - ->NP_056876.1 matrix protein [Murine respirovirus] -MADIYRFPKFSYEDNGTVEPLPLRTGPDKKAIPHIRIVKVGDPPKHGVRYLDLLLLGFFETPKQTASLGS -VSDLTEHTGYSICGSGSLPIGVAKYHGSDQELLKACTDLRITVRRTVRAGEMIVYMVDSIGAPLLPWSGR -LRQGMIFNANKVALAPQCLPVDKDIRFRVVFVNGTSLGAITIAKIPKTLADLALPNSISVNLLVTLKTGI -STEQKGVLPVLDDQGEKKLNFMVHLGLIRRKVGKIYSVEYCKSKIERMRLIFSLGLIGGISFHVQVTGTL -SKTFMGQLAWKRAVCFPLMDVNPHMNLVIWAASVEITDVDAVFQPAIPRDFRYYPNVVAKNIGRIRKL - ->NP_056921.1 matrix protein [Measles morbillivirus] -MTEIYDFDKSAWDIKGSIAPIQPTTYSDGRLVPQVRVIDPGLGDRKDECFMYMFLLGVVEDSDPLGPPIG -RAFGSLPLGVGRSTAKPEELLKEATELDIVVRRTAGLNEKLVFYNNTPLTLLTPWRKVLTTGSVFNANQV -CNAVNLIPLDTPQRFRVVYMSITRLSDNGYYTVPRRMLEFRSVNAVAFNLLVTLRIDKAIGPGKIIDNAE -QLPEATFMVHIGNFRRKKSEVYSADYCKMKIEKMGLVFALGGIGGTSLHIRSTGKMSKTLHAQLGFKKTL -CYPLMDINEDLNRLLWRSRCKIVRIQAVLQPSVPQEFRIYDDVIINDDQGLFKVL - ->sp|P16628.1|MATRX_MEASB RecName: Full=Matrix protein -MTEIYDFDKSAWDIKGSIAPTQPTTHSEGRLVPQVRVIDPGPGDRKDECLMYMSLLGVVEDSDPLGPPIG -RAFGSPPLGVGRSTAKPEELLKEATELDIVVRRTAGLNEKLVFYNNTPLTLPTPWRKVPTTGSVFNANQV -CNAVNLTPLDTPQRFRVVYTSITRLSDNGHYTVPRRMLEFRSVNAVAFNLLATLRIDKAIGHGKIIDNAE -QLPEATFMVHIGNFRRKKSEVYSADHCKMEIEKMGLVFALGGIGGTSPHIRSTGKMSKTLHAQLGFKKTL -CYPLMDINEDLNRLLWRSRCKIARIQAVLQPSVPQEFRIYDDVIINDDQGLFKALQTVVPSNA - ->sp|P07873.1|MATRX_PI3H4 RecName: Full=Matrix protein -MSITNSAIYTFPESSFSENGHIEPLPLKVNEQRKAVPHIRVAKIGNPPKHGSRYLDVFLLGFFEMERIKD -KYGSVNDLDSDPGYKVCGSGSLPIGLAKYTGNDQELLQAATKLDIEVRRTVKAKEMIVYTVQNIKPELYP -WSSRLRKGMLFDANKVALAPQCLPLDRSIKFRVIFVNCTAIGSITLFKIPKSMASLSLPSTISINLQVHI -KTGVQTDSKGIVQILDEKGEKSLNFMVHLGLIKRKVGRMYSVEYCKQKIEKMRLIFSLGLVGGISLHVNA -TGSISKTLASQLVFKREICYPLMDLNPHLNLVIWASSVEITRVDAIFQPSLPGEFRYYPNIIAKGVGKIK -QWN - ->sp|P06166.1|MATRX_PI3B RecName: Full=Matrix protein -MSITNSAIYTFPESSFSDNGNIEPLPLKVNEQRKAVPHIRVVRIGDPPKHGSRYLDVFLLGFFEMERSKD -RYGSVSDLDDDPSYKVCGSGSLPLGLARYTGNDQELLQAATKLDIEVRRTVKATEMIVYTVQNIKPELYP -WSSRLRKGMLFDANKVALAPQCLPLDRGIKFRVIFVNCTAIGSITLFKIPKSMALLSLPNTISINLQVHI -KTGIQTDSKGVVQILDEKGEKSLNFMVHLGLIKRKMGRMYSVEYCKQKIEKMRLLFSLGLVGGISLHVNA -TGSISKTLASQLAFKREICYPLMDLNPHLNLVIWASSVEITRVDAIFQPSLPGEFRYYPNIIAKGVGKIR -Q - ->sp|Q88428.1|MATRX_SENDE RecName: Full=Matrix protein; Short=M protein -MADIYRFPKFSYEDNGTVEPLPLRTGPDKKAIPHIRIIKVGVPPKHGVRYLDLLLLGFFETPKQTTNLGS -VSDLTEPTSYSICGSGSLPIGVAKYYGTDQELLKACTDLRITVRRTVRAGEMIVYMVGSIGAPLLPWSGR -LRQGMIFNANKVALAPQCLPVDKDIRLRVVFVNGTSLGAITIAKIPKTLADLALPNSISVNLLVTLKTGI -STEQKGVLPVLDDQGEKKLNFMVHLGLIRRKVGKIYSVEYCKSKIERMRLIFSLGLTGGISFHVQVTGTL -SKTFMSQLAWKRAVCFPLMDVNPHMNMVIWAASVEITGVDAVFQPAIPRDFRYYPNVVAKNIGRIRKL - ->sp|P06446.1|MATRX_SENDZ RecName: Full=Matrix protein; Short=M protein -MADIYRFPKFSYEDNGTVEPLPLRTGPDKKAIPHIRIVKVGDPPKHGVRYLDLLLLGFFETPKQTTNLGS -VSDLTEPTSYSICGSGSLPIGVAKYYGTDQELLKACTDLRITVRRTVRAGEMIVYMVDSIGAPLLPWSGR -LRQGMIFNANKVALAPQCLPVDKDIRLRVVFVNGTSLGAITIAKIPKTLADLALPNSISVNLLVTLKTGI -STEQKGVLPVLDDQGEKKLNFMVHLGLIRRKVGKIYSVEYCKSKIERMRLIFSLGLIGGISFHVQVNGTL -SKTFMSQLAWKRAVCFPLMDVNPHMNMVIWAASVEITGVDAVFQPAIPRDFRYYPNVVAKNIGRIRKL - ->sp|P03426.1|MATRX_SENDH RecName: Full=Matrix protein; Short=M protein -MADIYRFPKFSYEDNGTVEPLPLRTGSDKKAIPYIRIIKVGDPPKHGVRYLDLLLLGFFETPKQTTNLGS -VSDLTEPTSYSICGSGSLPIGVAKYYGTDQELLKACTDLRITVRRTVRAGEMIVYMVDSIGAPLLPWSGR -LRQGMIFNANKVALAPQCLPVDKDIRFRVVFVNGTSLGAITIAKIPKTLADLALPNSISVNLLVTLKTGI -STEQKGVLPVLDDQGEKKLNFMVHLGLIRRKVGKIYSVEYCKSKIERMRLIFSLGLIGGISFHVQVTGTL -SKTFMSQLAWKRAVCFPLMDVNPHMNLVIWAASVEITGVDAVFQPAIPRDFRYYPNVVAKNIGRIRKL - ->sp|P36356.1|MATRX_MEASY RecName: Full=Matrix protein -MHMFPLGVVEDSDPPGPPIGRASGSPPPGAGRSTAKPEELLKEATEANIVVRRTAGLNEKLAFHNNTPPT -LPTPRRKAPTTGSVLNANQACNAVNLAPLDTPQRFRAVYMSITRPLDNGYYTVPRRMLEFRSVNAVAFNL -LVTLRIDKAIGPGKIIDNAEQLPEATFMVHIGDFRRKKSEVYSADYCKMKIEKMGLVFALGGIGGTSLHT -RSTGKMSKTLHAQLGFKKTSCYPPMDINEDLNRLLWRSRCKIVRIQAVLQPSVPQELRIYDDVIINDDQG -VFKVLQTVVPSNARKRPPSQ - ->sp|P26034.1|MATRX_MEASI RecName: Full=Matrix protein -MTEIHDFDKSEWDIKGSIAPIQPTTYSDGRLVPQVRVTDPGLGDRKDECFMYMFLLGVVEDSDPLGPPIG -RAFGSLPLGVGRSTAKPEELLKEATELDIVVRRIAGLNEKLVFYNNPPLTLLTPWRKVLTTGSVFNANQV -CNAVNLIPLDTPQRFRVVYMSITRLSDNGYYTVPRRMLEFKSVNAVAFKLLVTLRIHKAIGPGKIIDNAE -QLPEATFMVHIGNFRRKKSEVYSADYCKMKIEKMGLVFALGGIGGTSLHIRSTGKMNKTLHAQLGFKKTL -CYPLMDINEDPNRLLWRSRCKTIRIQAVLQPSVPQEFRIYDDVIINDDQGLFKVL - ->sp|P18611.1|MATRX_MEASH RecName: Full=Matrix protein -MTEIYDFDKSAWDIKGPIAPIQPTTYSDGRLVPQVRVIDPGLGDRKDECSMYMFLLGVVEDSDPLGPPIG -RAFGSLPLGVGRSTAKPEKLLKEATELDIVVRRTAGLNEKLVFYNNTPLTLLTPWRKVLTTGSVFNANQV -CNAVNLIPLDTPQRFRVVYMSITRLSDNGYYTVPRRMLEFRSVNAVAFNLLVTLRIDKAIGPGKIIDNTE -QLPEATFMVHIGNFRRKKSEVYSADYCKMKIEKMGLVFALGGIGGTSLHIRSTGKMSKTLHAQLGFKKTL -CYPLMDINEDLNRLLWRSRCKIVRIQAVLQPSVPQEFRIYDDVIINDDQGLFKVL - ->sp|P06943.1|MATRX_CDVO RecName: Full=Matrix protein -MTEVYDFDQSSWYTKGSLAPILPTTYPDGRLIPQVRVIDPGLGDRKDECFMYIFLMGIIEDNDGLGPPIG -RTFGSLPLGVGRTTARPEELLKEATLLDIMVRRTAGVKEQLVFYNNTPLHILTPWKKVLTSGSVFSANQV -CNTVNLIPLDIAQRFRVVYMSITRLSDDGSYRIPRGVFEFRSRNALAFNILVTIRVEGDVDSSRGNLGMF -KDYQATFMVHIGNFSRKKNQAYSADYCKLKIEKMGLVFALGGIGGTSLHIRCTGKMSKALNAQLGFKKIL -CYPLMEINEDLNRFLWRSECKIVRIQAVLQPSVPQDFRVYNDVIISDDQGLFKIL - ->sp|P22046.1|MATRX_RINDK RecName: Full=Matrix protein -MAEIYDFDKSAWDVKGSIQPIGPKTYSDGRLIPQVRVIDPGLGVRKDECFSYIFLWGLSEDSDPLSPPIG -RTFGSLPLGVGRSTARPEELLKEATILDILVRRTAGFNEQLVFYDNSPLKVLTPWKKVLTSGSVFNANQV -CETVNLIPLDFYQRFRVVYMSITRLSDNGGYDIPRHWPEFRSMNAIAFNSLVTIRFEQSPIEHWRILNSE -HLLVATFMVHIGNFQRKKTDMYSADYCKPKIEKMGLVFALGGIGGTSLHIRATGKMSKTLWALEGFKKHL -CYPLMDINEDLNRELWRSKCKIVRIQAVLQPSVPQDFRVYRDVIIEDDQGLFKIL - diff --git a/seq/clusters_seq/cluster_870 b/seq/clusters_seq/cluster_870 deleted file mode 100644 index 6898d2c..0000000 --- a/seq/clusters_seq/cluster_870 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_005352852.1 NS7c protein [Sparrow coronavirus HKU17] -MLSFGYYILKFICLTFFGVFNPKVAEAVGPFIDLEIVGFQAFITWILVVRPRMANFMLGQPILVRKHQMI - ->YP_002308486.1 nonstructural protein [Bulbul coronavirus HKU11-934] -MISIGYYLITFFTMLFWRIKNPRIAELLGPLLDLEILGFLTLVFYYWNFRPRRFQQIIKPESQSEGATMQ -TRAGYSCTESPFYNNQCKKGYYTI - ->YP_002308513.1 nonstructural protein [Munia coronavirus HKU13-3514] -MISIGYYFITTITMLIFGTCDPVVAEHLGPLIDLEIFGFLAFIYYFWNFRPRKIQLYKPESQSEGATMQT -RAGYSCTESHLDNCQCKKDNYTI - ->YP_002308504.1 nonstructural protein [Thrush coronavirus HKU12-600] -MFETLYYAELLGPLIDIEVLGFVTFIHYFWHYRPRRCQHVIPQESQSEGAIMQTRAGYSCTESHLDKCQC -KKDTYTI - diff --git a/seq/clusters_seq/cluster_871 b/seq/clusters_seq/cluster_871 deleted file mode 100644 index 8de2a56..0000000 --- a/seq/clusters_seq/cluster_871 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_005352861.1 NS7c protein [Magpie-robin coronavirus HKU18] -MGTSQSTTNVNNQITNIHASHDSSVQTTNSNTSDLQALLQQGFPFLVILLAILLLLESAVIIHLLRRIRR -LKARPPKTTLLPTP - ->YP_002308485.1 nonstructural protein [Bulbul coronavirus HKU11-934] -MGTSQSTTNVNNQITNIQAAHGSSVQTYNQNKSHIEVLLQQGMPFIALTLLILCLVQSVWLCYCCRRNKT -LNSRLRAVQPRLLP - ->YP_002308512.1 nonstructural protein [Munia coronavirus HKU13-3514] -MGTSQSTTNVNNQITNIHASHDSAVNTNNSNQSDLQALLQQGLPWLVIILALICLLLAAVCIHLLRRIKR -YKTTISKVTATKLIP - ->YP_002308503.1 nonstructural protein [Thrush coronavirus HKU12-600] -MGTSQSSVSVNNQITNIHASEHSSVQTSNSNTSEIQALLQQGLPYLVILLSILLLLQSAFIIHLLRRVRR -LKSRLFAAAPLLT - diff --git a/seq/clusters_seq/cluster_872 b/seq/clusters_seq/cluster_872 deleted file mode 100644 index 2019b53..0000000 --- a/seq/clusters_seq/cluster_872 +++ /dev/null @@ -1,13 +0,0 @@ ->YP_164821.1 VP4 [anativirus A1] -GQVQSNQTGNSPNVTPVNATNGSQVTTINYYGAQYAQAYNPSSQTMDPSQFTEPMVSLTSAATGIPMLQ - ->YP_004564609.1 VP4 [Pigeon picornavirus B] -GAAMSMPATGNHTSESIATGGSSITTINYYGTNYAQSYAPNTQHTDPEAVASGVSSITGPMSTFMASPTV -EELAGDTSDRLFQLIAGNSSLITQESAAGAVVAYDKLPCYLENE - ->NP_937969.1 VP4 [Simian sapelovirus 1] -GQVQSNQTGNKPSSGFIYGTGNSLTNINYYGSDYSQAYNPSQQQMDPSQFTKPVTEIAGSLKGPALK - ->NP_740479.1 capsid protein 1A [Porcine sapelovirus 1] -GAYNHGSGSITQVNYYGSDYSQAWNPTQQQMDPSQFTKPVTEIAGMVAGPTLK - diff --git a/seq/clusters_seq/cluster_873 b/seq/clusters_seq/cluster_873 deleted file mode 100644 index 57d7176..0000000 --- a/seq/clusters_seq/cluster_873 +++ /dev/null @@ -1,62 +0,0 @@ ->YP_010088083.1 hypothetical protein KM773_s3gp1 [Chrysothrix chrysovirus 1] -MGNRKKEKQSKPDNFLGKIVTAMSSIRIDGKQEKKYRRKPQFTKTEMATNNIKTITRDVGRAKYKGKANR -HSVIQSLSKKTLPYYEIETSNNKALGCIKGIVVPSGHGKSSMCRLEGWIDIDSLVCAPKLAELRSEAYDR -MENGYSFTEAFEPINQMAKKTLNLLQASKNTILLAHSFESLITLGIDIAGAVCLSEEVLNDNVHNRSELE -REVARANREKVLSYRDGPIDIFEAGDQSELLWYIYSVCDVLNIETGAPRDFEMELPSYMPDHIGRGTKVE -LDELIKLYECGKSTKAIVDYQVNLEYGRPYRGFGFNMNDWAKAASVVTSTSNFLPNDSDWIPKPLSIEKL -SKEFDLSEHKDILDLISIHGKEHERFIISVVSHWKAIGCINNVSGKLYELYKIKRIFWPSVFQKIRHGVL -NSNELFGTELTISEREVLLNMHVLSVGNRRQLVSRLTDKRVAYPSKPISNEMLEGTKKQILELKFDVADM -TAEARAWGELVLCNAKISLKKETVKDHVLYGLWYELTNRWHGTKGGDLALKSILKRVNSDWYLLASSCDE -WTEGVRNILSMKCDNSSIGHSVGAMLSCNLEDEAAHEEWSMKVCRAIKGFIVCGIIIGNDKAKIMMEQTP -YHVKPSIVGITEKEIWRRIAKSKIPKGALDYLDYNGNNYFQHVMELNKWKNSSTIMIMEMINVRSWLPDA -KPIKYMSILYNWLVKGRCGMVDVVYDSMINMHYKLIYGCDSSKERLEAFKNLDHIGQSCGGLGLMDHINL -KQIWDGKRNIKLSERHEYRPKNTVSSENLDKACYNFNSYEWSEKYVSSKSLALGGLVYNILTNCTSKESM -LMQIEMSI - ->YP_009508103.1 hypothetical protein [Aspergillus fumigatus chrysovirus] -MSFLSRIIQARLDTILTEGGNGVRVRHKRGQRYLNDYEQSGLNPSSVVKAERKSDKMRRSHKKVKKRSTD -PTRLVLPEYDGPVSTVLSPGQLYGVLMPMGHGKSHLASLEGWIDSDALVPDTTRTRLFEDVYEELGEGRS -YDEALRAINDRARRALELLSPQRPTLLLSSSIHFLKSCGVDYVIRLVLDEGPFERNIANREEHERVTARL -SRRTVLDADPDLNNVIMVQSNDQMHEILYQVCDALQLPVGAPKELGQGMHLPGKVGSREHGDMDLAIECY -ERGEVDRAVIDYQINAHGLRSYRGFGFTMNDWAQVAGHIVESGAIENLDEPVGDWPLTLGRISKKYDLSD -DRDAQLILRAHEGEQEAFVTSLLIHWKMYGRLHNIEGRALFLYLVRLSRWDAVMKRVRSGVLSSGLYMGE -YLTLEERELVLSMHMLSCTTRPLLRRRLATDQFGYPNTGPGEKLRRGVGDVWNRLAYQPRPYSPQAEQTV -RRLLKKGKSDEVRCVPDAIDGLGVLKRKDLIAYALGCYAAEDWSGEKGWQVSVSIMMRQIISNWYRLGRI -KDEWLTFVSSILNESCEPDDKLSHMVAQMVSRPSSEGASGLEWSNRTADAVQQVTVTGWAGVCLNKNVVL -SEESGKKEPKIVGADEEEIWSEIMKLGAPKFVISWVAGACNNIQLASELIDWSQSATGYIMELANAGRWL -GKHCRKDRIALLSNWMTRKVEGLDTEFHKQILELYTKAWLGRRLTEHLSDDLAKLRRLSRRDGGYGVGGS -CRGSAPLDESGAWTGVSGSVRLRGTVPPTDRGTISDLQDVLSGQAGGVVSSTGLALSGALIVTCLVAANK -KVLNNACQVLERLRCSRPSYAKRVTVWQSMAALIKQVDDAVEATAQNVTRG - ->YP_009337890.1 hypothetical protein [Isaria javanica chrysovirus 1] -MSHLSYEERTFEGPPSDRRGRRDTYSRRHRRAEGKAREIDVNGNMPPPLYTPRSNSGEYDGELDGLSIKI -DDAIDDFDVAVDGVVNRQARSAGKHRRRGTYREDVSEKQGLARLLDKLRLDRHKTSKRPGTSEPKSRKDY -HRAMEKLREPSRQKDKDGKEDFWADQERARAKVADAISRMKRLHGAEMPKHTIPVPSEDEVRSDFVGIVM -PMGHGKTTLAREEGWVDFDSLISPKKRNELLDEVYERIAGGASIGCALSVLLPEAKKTLALLRPKERWVL -VAQDACLLAGLNVECAGGIVMDERVVLEACQGRQEFEEILIRKNMAEVAEQQAGAGTLLTSESYEETRML -AYRICIAFGITVSRPSDFGLTDPLMSQGPGLTSTKMDLEDMVTYYDKGKVPRESVDYQVHLSGLQSYKGF -GFTSNKWAKFLSKVTETIGDVSFVDADWNPRVMSLDTFGSHSDLSGHDDVQYILSAQKGEHERFVLGLLL -HWKGIGMHCGLGNRLLPFYGVRRCHWKSVMANLRECVASSGTFMGLNLTMEERKHIMSLGLLVGGSLNEL -KGQMFGMKVSYPRIAPGLAVENKVIKQLDQVGFKFEVGRAECLVLEKLLGQSSLSTISHLVWAVGDQPSA -MPLNEAIAISLGLELCRRWGDNDSALERIPGITHKLITKWYKVCVLRDEWSDFINRIMAAEVRNFGLAQA -IAKICSCDPQAGTSGLEWGVRVCEALKGFIVCSIVTLPGKLIGVVEDRATGQCRPCVGGIEEGDLWKAII -QSNIPRHALGVFGGTVSALSLANELCEWQDSPTVAILEMVNCHSWEPRIGPGRMATCIYRWGRVCSGKVS -DAVSSFMLNSHSYSRFGHSYARIASRLEFYARLSSRCGGLGCHQTHIDSGSVEKIGPRGEWIGTGKIHTL -KEEKRADTTTLAEKISQFQDQGLQYGTPKDIRLAINLCGMVVCSLLQDQGKSALNINATLLTALASR - ->YP_392484.1 hypothetical protein [Penicillium chrysogenum virus] -MGFISNAILGKVTGLGTKQIERLREQGRAEPARHRRKLHEYEHTQGSRSHSSKDGSRKDRMSSEDKTRHK -KLKHRSRQPGDKPTLPQPETDMVFGGLDKCPLYGVVMPMGHGKTTLAQEEGWIDCDSLITPSTKRRLAAD -ALRKLADGDEYENAMAEMSSMMAKALQVLTPSKPAILLSHSVNLLKICQIPCLAILSLEDGVFEKNLRLR -DEAEQCAARISKRHLEAAEGPGRPVITVQDNEHMRTVIYQIAESMDVELGAPRLLHPECSLPPGVGGTEW -CDLTELVGMYESGRLPRAVLDYQINAQGLKAYRGYGFTMNDWAATAAHLVDNTCAADGAIPSLDNWPLTL -EGIGKTFDMSEDIDGQALLAAHGGEDEAFTLGLLLHWKMYGLKSDTTGRLRLLYYVRRNRWDLVMRKVRQ -GVLGSGTFMGEPITLAERDILLSLHMLSSTSVSALVAKWRDEKMGYPSSRPSKRLMCHFDDILPHLVVQV -PGSDPSYERAAWDVFLSGNLKPLRECAAGLLGECKLKRKHVISYLLGVRLLNEWEDEQGAHRVAREAMKQ -VATNWFRVGKIRDEWFDLIGAVLDGECRADDPIAQMAVMMTKTSSCQNLSGMPWGVRVAEAVQRIVMVGW -CGLQMDQKVVLQQTENGVLPIVLGNREEDYIIELMKLGAPKYMTSVTGSEESVLATMAELADWSRSGVGL -VLELVNAGSWLGQMSPKDRIALLANWATRRETTGVDSVLFGEILDRFSRQWLKRKFTPRAAEHLRNLGRI -SRRDGGLGIAERVYRGTVVPGKDGKSWNGKDAPRIKKEFVERVPKINLVACRNVLTSPKMGFKWNAHSLG -MCGALVSCFLMGGDKHDIEGMCATVEGIKKHRVHPLASLPDWEQSYPEGAELDEAIEVGINDCMMMLEQA -RV - diff --git a/seq/clusters_seq/cluster_874 b/seq/clusters_seq/cluster_874 deleted file mode 100644 index 7a49b78..0000000 --- a/seq/clusters_seq/cluster_874 +++ /dev/null @@ -1,22 +0,0 @@ ->YP_010088080.1 P24 protein [Passion fruit green spot virus] -MDPRFLRGRSLVTNVTDKRERLKSKASFSLLSDIQQILLRYIQKPYVLLMYACVLVLFAMHIDAGTHDIL -DDLAQQFPNNPVIEWARGNFFRLCGALVFIPVITDAQKEHQLYFGMVIGLFLLGFPQRSIFEYFVYSLSL -HVYAKSKHPMTRIFILVVAVTSCVLFGVFTNEQLKKLYQELPKVPTHPVNKVEKVVNRVGGQQQFQG - ->YP_009508076.1 p24 [Citrus leprosis virus C2] -MDQRFLRGASVLNLSNKREKLKTNASVSLLSDIQQILLRYIQKPYVLLMYACVLVLFAMHIDAGTHDILD -DLAQQFPNNPVIEWARNNFFRLCGALVFIPVITDARKEHQLYFGMVIALFLLGFPQRSIFEYFVYSLSLH -VYAKSKHPFTRIFILVITVVSCVLFGVFTNDQLRKLYQELPKVPTHPVNKVERVVNRVGGQQSFSG - ->YP_004928121.1 p23 [Hibiscus green spot virus 2] -MLGLPELRLRLRARGKGLDALIYDVFNICLKFIMKPHILIMYACLLVVVYNKGEAGDVIEKALKAYPRNP -ILKWAKTDYVRFIGILVGLPVIIDAPRSFQLLITGAVFVSTYILPPQTLSTYLLGFVSLHVYSKAKSVQL -RIILLASFATYLVLSGIVDTEKFTGSGTVLGGAHGAPKLSEVPIPTVLNDPGPRIRSVEERLPVIKRGGS -GGV - ->YP_654543.1 p24 [Citrus leprosis virus C] -MDAQLLQANKRLLRRAANVRQRYKMLATESFVADIKQILLRFIQKPNVIIMYISVLVLFAAHIDSNTHDI -LDDLAAQFPNNTFIEWAKSNFFRICGALVFIPVIIDTEEKHRNYLALVIFVFLMGFPQRSIMEYFIYSIS -FHVYAKAKHPVTRIFIIGAAVFSCVMFGIFTNEQLRKLYAELPKVPTHPVAVNRVEKVANRASRVSTEGT -VNFG - diff --git a/seq/clusters_seq/cluster_875 b/seq/clusters_seq/cluster_875 deleted file mode 100644 index f738a1e..0000000 --- a/seq/clusters_seq/cluster_875 +++ /dev/null @@ -1,23 +0,0 @@ ->YP_010088075.1 P6 [Actinidia emaravirus 2] -MEMKTFEKTFKICSTSDHNTLYDELENQIFYSVVENLPNADTYNEIMAMREAYDNETLDFNLLSATIPHL -TIEGVNVTQKAIRYYLRYLQITHSLFGINGLIGEELSHDFHIFGSVTRGPKIMPYIPDNSKCLLMDSNVI -KSAVWEVVRGKDPIESLRKAYFIQPVDSSVTIGTVAFNFHMMAMLYISLRSSMRLQAEKNQIKITPSVRG -NVLNLEHMNFDNEGAELSNKNM - ->YP_009380548.1 p6b [Rose rosette emaravirus] -MEQKTFMKTYKALVNNKFSDHFCELETAIFNSMIEILDNDEEYSEVIVLHDAYLKNQLEFSEINYQTQQI -EISGMKIQKKSLRKFLRYLQITCSLFGLTSVLPESIHHDFHIFGTLVRGERIFPYIPDHSQYLLMSSNIV -KAIVKQVVLGYDPEDTIIKAQSQQQEDVNYLESTVAFLFHVHAIAYTTGRLNNKLPLETHQINNTINNAS -ASSVMVIDDKYDTKLEETVYHNH - ->YP_009268862.1 p6 protein [Pigeonpea sterility mosaic emaravirus 2] -MASKGFERTFNICNTANLEELYSELESQLYYSAIEYMLSIDLYGEIAVLREIYEDNSLDLSILISSAPYL -IIEGNSVSRKSVKYFTRYLQFSMSLFGVSEDACSGLCPDYHIFGSVHRQKQILPYIPNNSKYLLVDTSIL -KESVWDVMRGVDPYKVLERVYLDQPRSMDLSESTVAFNFHIMAISYISIRSSSKLKIECKKLRLSSRIFS -DNGATSASHVKDAEFNSIDKSHSPSQLE - ->YP_009237275.1 p6 protein [Fig mosaic emaravirus] -MMMRAFETIYNVCIARDLDSLHDEMECYLFNAVADKIDNMESYSEFLAMRDAYFDKKLDMSKFNSTIPHV -SVEGCNVSQKVIRYFMRYVQIVSSLFGSCNILDGNLSQDFHLFGTNVIGNSVSPVIPDNSKYLLMKPTDV -QDLVWAVVIGKDPIETLRKSQFLQNKVATIPTVSEELSFLYQQYHISH - diff --git a/seq/clusters_seq/cluster_876 b/seq/clusters_seq/cluster_876 deleted file mode 100644 index 0d8635f..0000000 --- a/seq/clusters_seq/cluster_876 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_010086167.1 viral sRNA Nonstructural protein [Dashli virus] -MINTKMMNSQYMFDYPSIKIDVRCHRLLSSVTYMAYNKFHSTDVITYEHCEIPLERYRIGYGRRSSLSDF -YSLGELPSAWGPACYISSVKPMVYTFQGMASDLSRFDMASFSKRGLPNILKALSWPLECPDCEIFEICNS -DYRRGLQTRDQLMSYLLRVGDSPNLDECIVQAHKKIQLEARRLGLSDEHYNGYDLFREIGSLVCLRLINA -EPYDTAASGEPLEIRTIVRSYRMDDPSLSLDSYGNSRWVPIDSHIDENDDSSSDSDF - ->YP_009480528.1 viral sRNA Nonstructural protein [Toros virus] -MNSQYLFDYPVVKLDSRVHRALSTVNYMAFNKFHYCDISTYEHCEFPLEKYSRGFGRRGSLSDFYLYKEL -PASWGPACTISSVKPIVYPFRGLANDLSQSDMQSFSVPGLQNIRKALSWPFGFPDLEIFEICSEGYKRGL -DTRNQLMSYILRMADSKYLDECIVQAHKKILSECRALGLQDEHFNGYDLFREISTLVCTRLINAEPYDST -SSGSGLEIKCIIRSYKISDPSSCVGVYGSGFWEPVNEPEEDTYEDSDSEF - ->YP_004382745.1 nonstructural protein [Sandfly fever Turkey virus] -MINNNMMNSQYMFDYPAINIDVRCHRLLSSVSYVAHNKFHTHDVSTYEHCEIPLEKLRLGFGRRSSLSDF -YSLGELPASWGPACYISSVKPMVYTFQGMASDLSRFDLTSFSRRGLPNVLKALSWPLGIPDCEIFSICSD -RFVRGLQTRDQLMSYILRMGDSHSLDECIVQAHKKILQEARRLGLSDEHYNGYDLFREIGSLVCLRLINA -EPFDTAFSGEALDIRTVIRSYRASDPSASLTEYGNSLWTPIHSHVDENDESSSDSDF - ->sp|P12792.1|NSS_SFSV RecName: Full=Non-structural protein NS-S -MINNNMMNSQYMFDYPAINIDVRCHRLLSSVSYVAYNKFHTHDVSTYEHCEIPLEKLRLGFGRRNSLADF -YSLGELPASWGPACYFSSVKPMMYTFQGMASDLSRFDLTSFSRKGLPNVLKALSWPLGIPDCEIFSICSD -RFVRGLQTRDQLMSYILRMGDSHSLDECIVQAHKKILQEARRLGLSDEHYNGYDLFREIGSLVCLRLINA -EPFDTASSGEALDVRTVIRSYRASDPSTGLTEYGNSLWTPIHSHVDENDESSSDSDF - diff --git a/seq/clusters_seq/cluster_877 b/seq/clusters_seq/cluster_877 deleted file mode 100644 index fc9f2f4..0000000 --- a/seq/clusters_seq/cluster_877 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_010086585.1 glycoprotein [Sawgrass virus] -MLTHFTLLLFIPHTFGWEPELGEYWAPIPVTPWRPATKSDFSCPSLRVDPIPPLQLVNNTRMAYLMEGKA -RKEHPGWLCVRKMYQTTCDTNFWGHQTITHEELALPADQTECRQAIAHYIKGTYEDPRHPDPVCTWMAAE -KVYNPNTALLPHSTVVDPFSYTFMDSLFPGTHCKTAPCPTVHPDVLWHTVTPLTEDCPGHTIIPIKIYND -KSARKKTHEWLSVSDGPLIPLGGSCSLTYCGQLGLRTPGGHWYPYPGSKRYPECKDAWRATPTFEGEGMR -LDIELQEILARRECINVLQQIQSGAAPTFHMLSHFQPRHAGYYRAYRMKNNVIEYSLALYDPIFNVSVPP -QVNFGAWQNGTRYYLPLVESGYPGTLSAFNGIHVMHNKTVVVPELELYKEHYAETLFYYTAQIAEHPSQM -RQDNETELTPPTRTTITVKRPSIGGWLSSMWGSVWGKVTSAIGLVLAIFVIGLLIKILPWGRLLRRPSTP -APRTVVYTPATGNVAW - ->YP_010086568.1 glycoprotein [New Minto virus] -MMIVLFFFTTFTYAIGLWEPELGVYWVPTPITPWKAATHNNLICPPPATRISTSLSPSFNYTVPNPRNLM -GHMQHDGWLCVSSLYATTCDTNFWGHQTITQSNLPVRMTSAACKKAVREHILGELAPPRYPDPYCYWMAS -HTQAITQIRVIEHPVTSDLYTETFVNSLFPGTHCAMNPCTTVHPDTMWETTTIIKKDCKILPNSNFTGYK -DPRRPDREWVVIDNDSAIYLAGSCHMQYCGQKGLRTASGQWIPYEGPTKYPDCPESWLTRSTEHSNELKV -NLEVQEVESRRACIEATQRIRDGAPISFHLLSYFQPRRTGYYHVYRIYKGILQYSKAWYEPLKDLNPSGK -YTLGHFPNSSIYKIDPVRADKNGTLSAFNGVHVAPDGTIIVPEVELFKDTYSDTLLYQKARLIDHPATAI -QANYTTLVPHYTSTTSFHRPDLTAWGASVWSAFWGKVMLISMAVASVLIIYVAIKCVPWAAITRRRAQPM -PAVVTYTPSNSRVNW - ->YP_009094142.1 glycoprotein [Moussa virus] -MRTLVIWFLINVTMAFAKPPGSASLSLGLYWVPRIDNNTWKSVHTTNLVCPSFVGSVLPEMEESFEIDIQ -VPKHSQTTSHQGGYLCYGFSFSVVCEEGFWGGQKVTEHTFTHLVSSEECLKAIEDKKSGEYRPPHTPVSE -CGWMQTNTKTLRFVTLEEHPVLFDPYTVNFVDGLFEKTLCNQRICPTVHANTIWIGDNEPKKDCPPTENE -KAVLYVEKQNVVPVVWVKLTGGTVYKLDRACTMTYCDIDGVRMEDGHWFAGVNLTQYVRRDCDKGMDITF -DTLASLSLLTKIELEHVQDRMECLDAVQDLRAGGKVTYAKLSKLQPKRGGLFHVYRINKGTLEYTMGRYE -GLTSLITNIPFVIGKNQKDEKVQLHHVPSGDNSTLSSYNGVHMFLNGTVIIPEMELYKLRYSETLLYEHL -LGKMKHPSAKQRERMGLTPDDDKRTTNKSLNIGEWFSSFWSHLVGKIVSILGTALAIFLILYICWTCLKI -QIKRVSDKNRVDQMEMQILSKARAPEVRPTLSGPIW - ->YP_009094016.1 glycoprotein [Long Island tick rhabdovirus] -MLRYAFVLLMIAVAFGWEPELGEYWVPSPISPWRLATKTDFTCPSIRVDPVSPLKAINESYVEYPTMGGA -RKEHPGWLCIRKTYQTTCDTNFWGHQTIKHEEWSIVADVEECRQAVSHYQLGTYEDPRHPDPVCTWMAIS -STYRAGTLLLPHTTLVDPFSYTFVDSLFPGTHCKTIPCMTVHPDVLWHSSSTITENCPMANGIHIKLYND -NRARKKAHEWLSVNDGPLIPLGGSCSMHYCGQAGLRTPGGIWYPYLGRQKYPECKEAWRATPTPAGNGAK -LDIELQELIARRECLNVLQQIRSGVSPTFHMLSHFQPRHTGYYRVYRMNNGLVEYSLALYAPIFNITMPP -SINFGVRRNKSRYHLPMIESGHPGVWSAFNGIHIMHNKTVIVPELELYKEHYSETLFYYKAQLAEHPSQV -RQANSTDITPHTKTTVTVKRPTLKAWFSTMWDSLWGKVVSITGIILAIFTTGFLIKVLPWSRLFRRPQPM -HPQIVHYTPATGSVSW - diff --git a/seq/clusters_seq/cluster_878 b/seq/clusters_seq/cluster_878 deleted file mode 100644 index 49d7588..0000000 --- a/seq/clusters_seq/cluster_878 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010086035.1 NSP5 [Rotavirus J] -MSEELAFTFKSKKSKKLSPKLILPENDAASTVIVEEDVKSLASESESSEHSYERYAKAYDDFSRELAASE -SKSVDFAEDFEDVETVEEKRKAPKVLSKVQKPIVQSGNDGLEYKLAQLQLRLEKVESENKAKTLESAMNM -VIANVDNLTTPQKKTLLHAIISTMK - ->YP_009130673.1 NSP5 [Rotavirus I] -MADDSSFTLTRKKTNKKEKEKNVTRGQRMNFEEEDVSVAGETDTKSIATESESSTHSYEDYERAYRELTK -DSSSEQVNLTNTAIEQKNLSRFDERKNVCDPTLNLLISQMKAEIDQLKVKVSDQSLDNAYNKILANVEIL -TSSQKKALLMALASSMK - ->YP_392500.1 NSP5 [Adult diarrheal rotavirus strain J19] -MSEVPRFELRSKRKIGKKQKVDIFGDKDDESMLQIDCETDSLISESVSSTHSYEDYSKAYKELTLETPAD -VNDSASTIVDSVCEESWYDKTIKDEQTKEDKKTDKKLKRIEKVKENNQNDSMSLQIAQLSLRIQRIESET -KLKTLDSAYNTIITQADNLTTPQKKSLISAILATMR - ->sp|A9Q1L2.1|NSP5_ROTB2 RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MSEVPRFELRNKRKVGKKQKVDIFGDKDDESMLQIDCETDSLISESVSSTHSYEDYSKAYKELTLETPAD -TNDSTSTIIDSAYEESWYDKTIKDEQTKENKKTDKKLKRIENIKENNQNDSTSMQIAQLSLRIQRIESET -KLKTLDSAYNTIITQADNLTTPQKKSLISAILATMR - diff --git a/seq/clusters_seq/cluster_879 b/seq/clusters_seq/cluster_879 deleted file mode 100644 index 6ae4f44..0000000 --- a/seq/clusters_seq/cluster_879 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_008136239.1 NSP5 [Rotavirus G chicken/03V0567/DEU/2003] -MAEVSEFDFKIKKDKKKQEKTKSKKMVVKDNETVVTHEEKSERGSVYSEESSSHSSSNYAEAYERLQREL -NASESNDNKCKRTIRNWADEVEKQESESENEYDVPDTEFIPKKTNIIDMGSEAKEQIMNEISKIRMEMDV -IKEAMKPQGVDAAFNLILKNVDNLSTKQKHALVNAIVMSMK - ->YP_008126853.1 nonstructural protein [Human rotavirus B] -MAEASEFNFTTKRKQRIMSDRRTREDTKQKKIEEKSDVDLVDSASVYSQESARSNYSDAYDKLKREPIVE -ESNDAKYRNFEFSEDEEVYRPSSKASDKSYREMKRKYDGTSTSDSILEKLSELNLEIEKIKQMNQPVTID -AAFNMILRNVDNLTIRQKQALINAIINSMN - ->sp|P18571.1|NSP5_ROTGA RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MAEASEFNFTIKRKQRTMSDRRTREDTKQKKIEEKSDIDLVDSASVYSQESSRSNYSDAYDKLKREPMVE -ESNDAKYRNFEFSEDEEVHRPSSKASDKSYREMKRKHDDINTSDSILEKLSELNLEIEKIKQMNQPITID -AAFNMILRNVDNLTIRQKQALINAIVNSMN - ->sp|P30890.1|NSP5_ROTGI RecName: Full=Non-structural protein 5; Short=NSP5; AltName: Full=NS26 -MAEASEFNFNLRRKSRAVTASRRVKEEVKEKQKMDDSKSQVVDVDSVSVYSHESSRSNYSDAYEKLKREP -VVEESNDARYRTFEFSEDEETFKPANKMSDKSQRNSKSKHTEGLECSDTVLEKISELTLEIEKVKQMNQP -ITVDAAFNMTLRNVDNLTTRQKQALVNSIINSMN - diff --git a/seq/clusters_seq/cluster_88 b/seq/clusters_seq/cluster_88 deleted file mode 100644 index dc8e33f..0000000 --- a/seq/clusters_seq/cluster_88 +++ /dev/null @@ -1,1188 +0,0 @@ ->YP_009553660.1 1a/1b [Blackcurrant leafroll-associated virus 1] -MSLCIPFLVSFPSNAELEVLEAQRLRAAANAAKPQSNADPLAAACERILNAREAAKLAVQEAAFSAPVVS -VVERQQFNILHRPALDSLHRESLHERRRRAGLSQSPRSTQPETASGSALAPQLVAHQSPHSVVRISAERM -LSFQHPPLSSSPLSRSPTACDDPTFANIYLNNVKSLCLVNAKRNEMKLITRRRVITFKGYFRYTPTISFD -PCADVVRSSSHKQVFLSLHKKRGSPTFSKGGSRDSHESRAVKIVPRIPRKVGGVVKRKTQNPQFKPKTAL -WYNCDTSETKTSVAVASEVKVDKRSNESLLQDLTGLVEFPYLTCKRSRKFETGCDLLSKVCDYLKIFYAG -HHSFYDHFRSGDSSIRISAVGEFARLYCTIGGVRKVFSIHAPRYTRYLHAFTESGDFSSFTLKQKPAEGY -CYIEHLFGVNLTTGRAFPFRKAFSLGAYPKAADIFAFLSRNISDDLSRCTFKGSFVGKDFHCHQGGEYQY -LTPEIIVGGSPTSSPFNKSTTHFANGKSYNLSGAALPKLPQPKQKRCYRCKDVDFKDSIVFLRYVQSYVQ -SYVVGAGMFYDKFRNVCGSTITVYVRDFKAVCYLQLFNGVSCATSYSADEYVTHFGEVVLGVTNLSNYRR -APKTVYGNCYLQFIFECCVGSGIAFDSSKAVKALDTLPTLKCLLQYVKSLGIPVPNYLRGFFKSRTLFHC -DIDCPTIVCVDRFSGCVVIGGVEEVTPSLVQDQELLQAVSTAISRSGIGRDSILVRSVETEAIRVNEAAR -NERNRRPEQVIPYQLSEEKQACLVNSFPEFNLKFTHSTHSNHSLAAACRLLENSLHHKKAGGDYVDVGGC -PKYHLMAGHSGVHICRPVCDLKDAQRKVLREHSITSTETLPHRLYDKVAIAGCGITACSKMMDSCDQKTK -CMVATQVYDMDVDDMAKAMQKRGAKVFFFSLITPGEFLTDREAFRLNVLDVDIIKNTSEDRVTYIFGHTT -YSHTLSKLLKLMQTSHFSNKGDLFSLEMYEVRCGVNFYQLTRSECCPTYSGSHLLRFPYADPGVTKVKIP -RFDRQSRCCLPGADYLYLDSDFVEKIYLFTVNACQNVNTKTFEYVWNHIKNSKARLVISGKIVRRDVSLD -IRDLESFAAVMLAAGVRSRLTAECFSKKISLAVNEMTVFAMIKYAISEKFRHMKFVFRRRFTDLIKKICK -DAFDIDFLEANDLITSIGSHAEYVVEVSLDGNGKIGENEETDFIASQATRLVRNSLTRDVIMEARDEVID -SRAEAAEAAALKRGDGQVQRNANIGKGGLKAGATGFSFLDWLLTAMSNLIVDTPAAVRECLKEVAKSLSN -LKLPSKFRKLLSVVINPFIFDDSISVTIELVGKCFSKLLSAWYSYLKGEASFRVTLAKFTLCVITDVAIG -ACQGEKATTLLDSQMRNIVSDYISKALVFGTSPISSDGILTLSGVLVTMFRKIVSRCMNEEKSCYAGYYN -NNAVDSPLVSYIRRECRKLGVGLTGYLQQIIRDTIHELIPSLGISDFGKSMKDRISKSVNEGASWVASYL -WDNTDTTSDGFFDAQDDISDAEEAFSKRPGLGGAGRAKSIIGTSVVFVCLKGMAMFRDFPTIAEELRLLS -SKCKVFKLHKLGLSIDRFVDTAAEWRGSRYANVILNGGAALYYLCSFFINTVTKGVANISKWLVSKADDI -CRYISKCETCPKAIRTVFKGVRDIFRSIAHSHRVLTLNGDASCSLIAARVTGALSLPILVVHCKMLSLGL -KACFVKKNDRVGTYVDINPKECCDGGVYYEFQSDISDDEILEDSSPTNTHSMLSRAEYSTEDQTLKVFEQ -HLQKNLSASSGLLGGSGSESALCCLLRFIANKGFSRVKTQLLLSLIVKLCGSSCTFIGAPALFAFVQIAS -KFDVFLRFARSINDEQSIIDVCNRLIILNNKFGFKFIERQLLRIQVKAGDISNNKVFKLITKLSTMLVNC -SDYAAEFCLSTLRHVIRRIFSIACDNGPAKGAKRGGEPSFSEDFEFSDEEEFSKNAGLNGAGNGYSVISY -VLKTVAKLLLSGRALSIVKQAAKIWVFKRSVSYATHESMVYSFAGCLFAWLSKDQIYTKVLILSTLPTKD -EAFEIIQKGFNIFGGGVAIPDRVAIFVVNFLEFAYSNEIINNTRKIALKILSKFSRVTEGGVRRGVKFSP -VPVVKGRSDFMEIDLKTIIDDIESQRRETKDLIHTSNYVRGDIGLSKGKEVQVETIAEHSDSDDEVVTTN -VDSEKAELVQMASKLIPEIVTSSSASSSRNAMLVRRERNHAEESYQCPYLKSLNINVGTPSPYTMATGKG -STLTNSVREFYYLQEVALFEVYMKCLKYYQEANALGFDRKVLTCNEDAKMVLYDGSTRKMQFKNRTIFKS -WEKVHKENGYNYCFGSDGLIPFTSPAARGKKLVLHDDIAFLPQNLFLRALSGNNFRFTNSGVKLRLYEAP -PGGGKTHTLIELFVKSFRVVNSLILTANKNSQIEISGKVEKEVLRSDVNSGEACLSTTPFVSTIDSYLMH -NSDRRCELLFVDECFMVHAGEVLAALELTKCKVALLFGDSRQIHYIHRGELESCRFSDLNEYIDETGRVY -GDRSYRCPWDVCEWLSKQYNNKISTEKHESVGRPTCSIKLVECEDDIEVSEKVKYLTYTQEEKHKIQHHL -DKRGFKTTVNTVHEVQGETYERVSLTRLKFQEDAPFSSMNHVIVALSRHTNSLVYNVLSARCTDRTSVEI -ERMRKICEEFRQNPYTNTTHSYSISGVVCAPDNFKSKPCSAPIEVINYWLDDVLPGCGFGDMGDPSDEMR -SSPFECGVDNVVVTDAAPPECASTQQRVNYVRSQAIPKRKPSLQENLYSYESRNYNFIDSDKFSDPTLFG -FCMAENFFKRCCHFDRIAELKNDIIALSDTGFRTWFEKREAPQLKALYRDMESPYDIESEITRFKLMVKA -DAKVKLDASSLVKHPPAQNIMFHRKVINAVYSQCFDEFKNRLIYVLKDNIKLYTEMSLETFGNLVGSMLG -YSCDYEVGEVDFSKFDKSQDKFIKAFERRIYESFGFEAELLDIWMEGEYLGRARTLDGQLGFTVENQRRS -GASNTWVGNSLVTLGILSLYYDVERFEALFVSGDDSLIFSRKPLKNHSEMICLETGFETKFMNPSIPYFC -SKFLVFTGNRVVFVPDPYKLLVKLGAPLQDVSVSYLMALFESFKDLTGDYDDQVVIDILTELVHFKHNFS -SDYTNMAICSIHCLRANFKTFRKLYPEVKGWMIVPTITKYLQNTLPAFVVERYAGVKGLSIEFESSLNSS -EPHPYQNPESD - ->YP_009553641.1 polyprotein 1a/1b [Blackcurrant-associated closterovirus 1] -MSLLTPFLVAFPSDAELELLEAERLRTAALLAKPPSNTDPLSAACDSILNQREAAKLAVQEAAFFAPHVN -SARNSSVNLLRRPALDSQHRESHCNRRRHSGVSHSSSAPLRTLSPAPCVAPSTTLVQSPHSVVRQRTHRR -HNLRLLPSLSSPFRFTASASDDTTFANMYLNSVKSLCLVNSKRNEMKLITRNRIIDFVGRFKYVPTRFFD -PKGLIVRSSSHKQLFLSLHKKRGSKKFSGGSSPDPPRVHTASPVTTPKPLKRVSALKSHKSHFKRQTAAW -HDAATSTERTTLFKSKSSSKVSSVKETLLQDLSGVAAFPFLQNSRSRKFPEGTTLLSKVTDYLMTFYAGH -HSFYDHFRSGEDSIRLSAVGDFARLYCTIGGARKIFSLHAPRYAKFLTAFNETGDFSSFTLKQKPAEGFC -YIEHLFDVNLHTGRAFPFKSAFSLGAYPKMSQIVDFLSEKISDDLCRSATRGSFNGRVFHCHRGGDYFYL -APDVRVGGSSETSPFNSTTTYFANNISYNLSGEDFPKLPRPRQKRCFRCKSVDFEDSTSLLRYVRKYLQN -YVVGGGVFYDKFRNVCGTTVTIYVREQKAVAYLQLNNGVSCATSYEASEYVIHFGEVVLKVTNLKNYARA -PKTQYGHCYLHYIFECCVGWGIQFDASKAIKALHTLPTLKCLQLYIKSLGLQEPKFLRGYFKSKTLFHCD -IDSPTIVSVNAFKGCEVIGGITETTPSLLQDQELLQAVSTAISRSGAGRDSLLVKSVETEAIRVNEAARN -ERNKRPEQVIPYQLSEEKQACLVNAFPEFNLKFTHSTHSNHSIAASCRLLENALHNKKAGREYVDIGGCP -KYHMMAGHSGVHICRPVCDLKDAQRKVLREHSILTTESLPHRLYDKVAVAGCGVTACSKMMADCDVQTGC -MVATQVYDLSLDDMAKAMKSRGAHVFFFSLITPGEFLTDRDAFRLNTLEVDVIISRGDDRVTYLFGHTTY -SHSLSKLMRFMQTSHFSEGKDLFSLEMYEVRCGVNFYQLTRSERCPNYVGTHLLRFPFADPGVTKVKIPR -FDRQSRCCLPGADFLYLDSDFVEKIYLFTVNACQNVNSKTFDYVWNHIKNSKARLVISGKIVRRDVHLDI -SELESFAAVMLAAGVRSRLTAECFSKKIALAVNEMSVLAMVKYAVTEKLRHMKFRFRSRFTDLIKRVCKD -AFDIDFLEASDLITSIGTHAEYVLEVRLKGEGSIPEDEESTFISRQASRLVQNSLQRDVITEAADEVIDS -RIAAAEAANGFANARNPGGKGKDMGGLKGSGVGTSVLEWLISGLSSLVITTPAAIRDCLRNIAKEMSALQ -LAPKLRKLVSIVLNTFIFDDTISISVELLGKCFSKMLSAWYCYLRGGQSYRTTLAKFTTSVIIDCALSVC -QGEKPQTLLDSQMKSLMTDYISKALVFGTSPISSDGVLTLSGVLVTLFRKVVSRCLNEEKSCYAGYYNHN -AIDSPLISYLRRECRRLGVNLSGYFKQLVKDTIHEMVPSVNVKERSKECCINIAKNIAEGASWVTSFVRT -SVKLDTEDFSDAHDNFSDAASESGEKPGLGGGSKASSIISGGVLFLGLKCFALFRDFPDMVAHLRELSSN -AKAFHRFDISGVIDSFLAVCSTWRSKKYANTILNIGASLYYIIKFVLRIAVWYSSNILVWLTNQMDSYCK -ALVDCGLLPKALREVLKGIRNVCRSMSYITRLVVGNSDASCGIITSKLTGAKSPIVLIKQSKVISLGLKA -CFLKTNPRVGTYVDIRPNERCDGGVYYEFHSDLSDDDVSDSDNIMAVTQSFESDHQEILNTLAVADPNTL -HAKEFSRSIGLRGGVGCQSLISEVIHYLLARGGSFIKKCLLISIISELCGSSLAVVGIPSVAVLLKTASK -VDAFLRLMRLFRNEDAIINTCDHLIIFNNKFGFRCIELPLLRVRDNAGKISNNFLVKHTVKLCSSIVDLS -DNIVGGVFRIFHFVHNEYQQISRVAAPRGSMKNGGEPSLSEDFDFSDEEEFSTRPGLNGAGMGNSVISWF -VKAVGKVAFSKVPLRVIMHLAKIWVFKRSLECAFHDSLFISFAGCLISWLSRDQIYTKLLILSEIPTKER -AFDCIKSFFDRFGTGIAIPDQLVIVMMKSLDVVYGSGLADFIRVSAQKVLAKFNRVAGECNAEGVSFTAV -PVSEATGGFDVIDLNEILNDIESQRSKITRSAHKSQIEVQKSSVDKGKEQHVESYSSDSDEEIDAVPTHE -VAESSSNAPHQTEKADLVQTAERLLPEIVGNDAKVGRNDNAVVRRKQKVNDGSYQCAYLKSLNLSVGTPS -PYTMATGKGCTLSNSVREFYYLQEVSLFEVYVKCMKYFQEANTLNFDRKLLTCNEDNKLVLYDGTSRKMQ -LKNRVLFKSWEKVYKEHGYSYCYCSDGLIPFTDANARGRKVILHDDIAFLPQNLFLRSFSGGSFHFKNSG -AKVRLYEAPPGGGKTHTLIDIFIKSFRMVDTLILTANKNSQIEISDKVERMVKQCDLRDGGGCLSSAPFV -STIDAFLMHTPDRRCELLLVDECFMVHAGEVIAAIDLTRCKAVLLFGDSRQIHYIHRGELESCRFSDLND -YIDDSTRVFGNKSFRCPWDVCEWLSKQYNNKIAAVKHETVGASSCSIKLIECEEDVEVDGDFKYLTYTQE -EKHRMQHYMDKRGFKTIVNTVHEVQGETYKSVSLTRLKFQEDAPFSSMNHIVVALSRHTNSLVYNVLSAR -CTDRTCVELERMKNICEEFRKNPYTNTSHTYSISGITSAADNFKSKPCSAPIEIINYWLDDVLPGCGFGD -NGDPSDEMRSSPFECGVDDVVVTDAAPPESVSTQQRVYYVRSQAIPKRKPSLQENLYSYESRNYNFIDSD -KFSDPTLFGFCMAENFFNRCCQFERIVELKNDVIALSDKGFQTWFEKRDASQLKGLYKDMETPYDIESEI -RRFKLMVKADAKVKLDASSLVKHPPAQNIMFHRKVVNAVYSQCFDEFKNRLIYVLKDNIKLYTEMPLETF -GDLVGSMLGFSCDYEVGEVDFSKFDKSQDKFIKAFERRIYESFGFDAELLDVWMEGEYEGRARTLDGQLS -FTVENQRRSGASNTWVGNSLVTLGILSLYYDIEKFDALFVSGDDSLIFSKKPIKNFSETICLETGFETKF -MNPSVPYFCSKFLVFTGNRVVFLPDPYKLLVKLGAPLQEVSIDYLMALFESFKDLTSGFDDQIVIDILTE -LVHFKHNFSSEYTNMAICSIHCLRANFKTFRRLYPEVRGWIVVPMVSKFLQRSLPEFVVRRYPGIKGLCI -EFESDLNSDEPHPYRNPESVF - ->YP_009553246.1 1a/1b [Blackcurrant leafroll-associated virus 1] -MASVSPMIACPSDAELELLEAEYLRSKALAAKPPSNEDPLSALCDRITFQREAAKDAVQSAALSACALTV -VETRHVNRLRRPTPDSQHRESLRRRRSLCEGQQRPTRDSLSRASPFAEMAKRCSLQSPRSVACDFSSTTG -ITRDASSSHSPLTFSASASDDITFANMYLNNLKSLCLVNAKRNEMKVITTKRTVLFRGYFRYPPTKQYDP -AGRVVRSVSHPQFCLSLHSKRGSSAFSKGGCNTARKGFPVSPKVTQRNTFTERLVVRKTPSFKPKSAAWH -LVDASDHRTRFNAGEVTAPVRRVNSELLQDVGDFVAPEFLVATRCKVLSGAGCLLTRVADYLLSFYAGHR -TFYDFFRNGDDVVRLSVAGDFVRLHSNVAGVKKIFSLCAPRYSKYFSALKETRDFSSFQMKTRPANGFCY -VEHLFSVNLETGLSFPFKKAFSLGAFPKASAVFDFISEHISGDLRRSSMSGSFAGGVFHCHRGGDFHYVD -EATIVGGLKKASPFNETTTYYAGGKSYNLGGEQLAKLPQPRNKRCYRCKHVSFDNSLDFLRYVQAYVVNY -VVAGGVFYDKFRNTDASSVTVYVRNLKAVTYWQSGGDVSCATSYDASEYVNHFGELVLHVTNLSNYRRCP -RSINGQCYLQFVFECCVGCGIAFDARKAIEALRILPTLKCLLAYVKSLGLVPPSFLRGYFTSRTLFHCDI -NSTRVVSVNKFGGSVVIGGVTENSPSLVQDQELLQAVSSAISRSGVNRDSLLVRSVETEAIRVNEAARNE -RNKRPSQVIPYQMSEEKQALLVNAFPEFNLKFTHSTLSNHALAASCRLLENGLHHKKAGSEYVDVGGCPK -YHLLAGHTGVHICRPVCDLKDAQRKVLREHSIIATETLPHNLYDKVTVAGCGLSACSKMMSECDVKTSCM -VATQVYDLSLTDMANAMQMRGAAVFFFSLITPGEFLTDRDAFRLSALEVDIIVSREDDRVTYVFGHTTYS -HSLSNLLTFMQTSHFRLGSDLFSVEMYEVRCGVNFYQMTRSSRCPKYEGSHLLRFPYADPGVTKVKIPRF -DRQSRCCLPGADYLYLDADFVEKIYLFTVNACQNVNSKTFDYVWNHIKNAKARLVISGKIVRRDVSLDIS -EIESFAAVMLAAGVRSRLTAECFSKKISLAVNEMSISSMLKYAVSEKLRHMRFLFRSKFTTAIKRICKDA -FDIDFLEATDLITSIGTHAEIVVNVSLQRSGSIPANEETNFIAAQAARLIRNSLERDTIVEVGDEVLLSR -AAEEEKRESKKLNTASTSKSSSKKGGLAGAGVGDSVVRWVISSLSNLIVKTPGALKDCLRNVAKLLSSIE -MVPKFRKLLSVILNPLIFDDTVSVSVELLAKCFSKLLSAWGSYQQGGMSFRAVLRDFTLSVVVDCTLSIA -HNEKPSTLLDSQMRCLLTDYISKACVFGVSPISLDGILTLSGVLVTVFRKVVSRCLDENTSTYAGYYNNN -AIDSPLIAYLRRECLRLGVGIGEYLKCLIRDTISEMVSAQNMKEYGKKCCSDISGKVKEGTEWLTSYFKG -KFRVGNEEFFDATEEDLDSGESTSVKGGLGGGSRCKSIISVVTLFFGLKACALFKDYPEMERSLLRLARH -AEFSKRLDLTVMIERFLRCCSKWRGKKYTNIVLSVGSSLYYLLKFTAKATAGGVWDLTVWVARCADQVSR -LVANCGIIPKPIRKVFKGVVDVVRSSVYMCRLVVCNPEASGNILLAKVSGIDGQSLLAQYSKTISLGLKA -CYFKDSRWIGTYVDINPKERCNGGVYYDFQSDISETEIANVADFEEHVMGFDLHDDENLACLTPLNIEVL -TRDEFAKKMGLFGGGGGCSFISELLKVFASAGLSFVKTQAVTSILSILCESSCTLVGCSSLVLIYRVASK -ADAVLRLARFLRSEREIIKTCDYLLLFNNRFGFKFIETQLLRLREKAKTISECKGFKLIVRPLATVVDIS -DEVARVFLSVPLVLYRLLSSIATRSSRRNNSGKRGDAPSLSEEFEFSDDDETSDSPGLKAGGRGVSIIGT -LVKIVMRCLFTRVPLKILYHITKIFVFKHSLSLATHESLVYSFIGCVLAWLSKGQLYTGALVASKLPTKD -EAFDLISRCFNALGDGVVVPDRLTVYILRTLDFVYGRGVISIIREGALRVVYRLKHATGGERVSGVSFSA -VPVTKVGCVPESVTLQTLIDDIVERREGSTKDAPLQRKGKSHVTGDKGKGVSETVVETSDDSDSDLEDTK -SVQGTMGNNGDTSTEKPDLIEVAKTILPEVVDVASTSKGNRKLKGKEVREAQGELSPCAYLKTLNLGVVT -PSPFAVASGKGCTLTNSVREFYYLQEVALFEVYVKCMKYYQEANALNFDRGLLTCNEDSKLVLYDCASRR -MQMKNRVYFKSWEKVYKEDGFRYCFSSDGLVPFTSETVRGKKVVLHEDIAFLPQNLFLRAVSGNNFRFTN -SEVSLRLYEAPPGGGKTHTLIDLFSKCYAVVNALVLTANKNSQIEISEKVMWKIKGLNMGQNEEILSVQP -YVCTIDSYLMHSPERKCEILFVDECFMVHAGEVLAALEFTKCKVALLFGDSRQIHYIHRGELEASRFSDL -NNYIDGSGRIYGNKSYRCPWDVCEWLSKQYENKISSVAHNTVGESSCSVKLVECEEDLEISPHTKYLTYT -QEEKHRVQAYLNKRHLKSEVNTVHEVQGETYTKVDLVRFKFQEDAPFSSMNHIVVALSRHTRSLVYNVLG -ARSTDRTCVEIEKMKKICEEFRKNPYTNTSHSYSISGITPAPDHNKSKPCSAPIEIINYWLDDVLPGCGF -GDMGDPSDEMRSSPFECGVDNVVVTDAAPKESVSTQQRVNYVKSQAIPKRKASLQENLYSFESRNYNFID -SAKFSDPTLFGFCMAENFFKRCCHFDRIAEIKNEIIALSDEGFRTWFEKRDAAQLKALYRDMEDPYDIEM -EINRFKLMVKSDAKVKLDASSLVKHPPAQNIMFHRKVVNAVYSQCFDEFKNRLIYVLKDNIKLYTEMSLG -SFGDMVGSMLGYTCDYNVGEVDFSKFDKSQDKFIKAFERRIYESFGFEAELLDVWMEGEYLGKARTLDGQ -LGFQVENQRRSGASNTWVGNSLVTLGILSLYYDVEKFDALFVSGDDSLIFSRNPIKNYSDTICLETGFET -KFMTPSVPYFCSKFLVFTGNRVVFVPDPYKLLVKLGAPLKDVSVDYLKALYESFKDLTTDFDDQVVIDLL -TELVHLKHNFCSVYTNTAICSIHCLRSNFKTFRRLYPEVRGWMMVSAISNLMRKILPDFVVRKYPGVAGV -SIEFLSDLNSSDPHPFRNPESVF - ->YP_009552057.1 1B protein/RdRp [Blackcurrant leafroll-associated virus 1] -MAENFFNRCCHFERITELKNDIIALSDQGFRTWFEKRDPSQVKALLRDLDNPYDLELEINRFKLMVKADA -KVKLDASSLVKHPPAQNIMYHRKVVNAVFSQCFDEFKNRLIYILKDNIKLYTEMSLDAFGDLVGCMLGYS -CDYDVGEVDFSKFDKSQDKFIKAFERRIYASFGFDPELLDIWMEGEYLGVAKTLDGQLGFSVENQRRSGA -SNTWVGNCLVTLGILSLYYDVEKFDALFVSGDDSLIFSRKPIKNHAETICLETGFETKFMNPSVPYFCSK -FLVFTGNRVVFVPDPYKLLVKLGAPLSEVSLDYLLALFESFKDLTGGFDDQVVIDILTELVHFKHNFASD -YTNMALCSIHCLRANFKTFRRLYPEVRGWMIVPFVSKFLLKILPEFVVKKYPKVSGVAIEFLSDLNSSAP -HPYQNFEIYE - ->YP_009552056.1 ORF1A [Blackcurrant leafroll-associated virus 1] -MSLLIPITFSFKSDAELEVLERERLRELALSCKPQSNLDPLSALCDKILMDREQAKLKVQAAAFSAPALT -VAAPPPVNHTRRPPLDSVYRESLHERRAHRCAPRSPLEASSSSSSLRHESSSLSSSSSPHSVVSDLRSRR -FSSSSFEPLPSPHKLAPSATDDARFANVYLNKVKSLCLVNSKRTEMKIYTRKRIITFRGVFRYAPSKSFD -PNDKVVRSLSHPQFFLSLPSKRGSPTFSKGGSNCTARDTPISPRVKRSLKQVKPLGVAQKQSFKPKTSSW -RLADDNNVRTSLRPESLTPVVHHQPSSLLQDVTEHIKPSFIVTSRSRRIAGEGNLIDKVSDYLLKFYAGH -HTFYDSFRSGEDVIRLSCEGDFTRMHCHLGGLKKVYSIFCPRYSRYFSAFSTNGDFSSFNMKYRPAEGFC -YVEHLFNINLSTGRAFPFKLAHSLGAYPKAADVSAFASEVISDDLCLSPFKGFFVGNVFHCSARGDFQCL -SPDTLVGGSLNTSPFNDTTTHYVKGKTFVLSGDLHGALPKPRQKRCFRCKSVDFNDSITFSRYVHTYVTS -YVVAGGYFYDKFRNVDGSTITLYVRNLNVVSYLQRNDGVSCATMYAASEYVRHFGELILAVTDMANYSRA -PKPRDGQCYLQFVFECCVGSGIPFDHRKATAALRTLPTLKCLMSYVNSLGITAPHYLRGSFKSRTLFHCD -INSPFIVNVRSFRGCEVIGGLSDDSPSLVQDQELLQAVSNAIARSGASRDSLLVRSVETEAIRVNEAARN -ERNRRPEQVIPYQMSEERQAALVNAFPEFNLRFTHSTYSNHSLAASCRLLENSLHHRKAGSDYVDVGGCP -KYHLMAGHVGVHICRPVCDLKDAQRKVLREHSISSMETLPHRLYDKVAIAGCGITACSRTLSECSVKSNC -LVATQVYDLNVDDMAKAMRSRGASVFYFSLITPGEFLTDRDAFHISTLDVDVIVSRAEDRVTYVFGHTTY -SHSFSNLLRFMQTSHFCFEGNLFSLEMYEVRCGVNFYQMTRSERCPTFEGVNLLRFPFAEPGITKVKIPR -FDRQSRCCLPGADYMYLDSDFVEKIYLFTVNACQNVNSKTFDYVWNHIKNAKARLVISGKIVRRDVHLDI -NDIESFSAVMLAAGVRSRLTAECFSKKISLAVNEMSISSMLKYAVNEKLRHMRFLFRSKFTTLIKRICKD -AFDIDFLEATDLITPIGTHSEFLVHVSLKKFGSIPENEETSFLSSQASRLIHNSLQRDLIVEVGDEIIEA -RAATLEDDGAQNTIKTKNPKNVIPKGGLKAGAFGSSVVEWLISSFSSLVVKTPAALRDCLRSAAKYLNSI -ELVPKFRKMLAVLLNPFIFDDSLSITVELLGKCFSKLLSVWNDYSSGNSSFRGALSKFVLSMIVDCSIGA -LHYSKPPTLLDSQMRNLLTDYISKAVVFGVSPLSGDGVLTLSGVLVTVFRKIVSRCLSEDHSCYAGYYNN -NSVDSPLVSYLKRESRRMGLSANGYFKQLVKETLQELLSSFSLKECGKKYCDEISSKISDGASWLTSFFR -NSSTDDDVTFFDSSSDDLNSEAETSDRAGLGGGVRLTSVLSLATAYFCFKLSAVFADFPSLIKELRSLSA -KAELGRCMKLSVMIDRFIKLCSKWRGKKYANVVLGIGSIFYYISKFLLRVFEGCVRDSVNWVVPRIDSFC -RLLVNSSILPKPFRKVLKGLCDIVRSVVYIGRVLIKNLDATSTILCAKLSGVNPKEVLARYSLTISLGLK -ACFFKDSRWIGTYVDINPKERCSGGVYYDFQSDISDCEEPPTCEGVEDDLGFGLSDDDNSKVLVNLNKVV -ISREEFAKRMGLFGGGKANSLISSLILAISGMSISAIKSQLLTSFLTVLCDSSCTVVGVPSLVYLIKSTS -AVNSFIRVMRMLKSERDVINVCDYLLIFNNKFGFKFIETQLLRLRSKARIISENPIFRYLTKGCSIVANA -SDFIAECGFNTIKRFITLGGMIYAQPLSRRCTQANVSPSLSEEFEFSDEEEFSSTPGLKAGSLGNSIISK -IVSTLGRLFFSKTCMNSVMYFLKAYCFKKCFGYVMHESPIYYLPAYVGAWLCKDQIYTKFLILSKIPVKG -DVFDLINRFFHIFGDNVAIPDKLVIGIIKVLDVLYGYGVLDAIRSISRYTIVKFNYVVKEESPSGVYFSA -VPTSKPKLGLAKCDLQDIIRDIEAQRPNDIKFSSDLDDEVERLRRMKGKGKVNECSPSQESCSGAKGEFD -APQQPDSSRFQPQSDKPDLVKVAGDLIHEVVDSQTDASKIHTMRSRERQVFQNDTNLCPYLKTLNMGVVT -PSPFALATGKGSTLTNSVREFYYLQEVALFEIYTKCLKYYQEATVMGFDRKLLTCNEDSKLVLYDCSSRK -MQMKNRTVFKTWDKVFKDDGYQFCFSSNGLTPFSDSKNRGKKVILHEDIAFLPQNLFLRALSGNSLKFTN -IDVKLRLYEAPPGGGKTHTLIDLFVRSYKVVNALVLTANKNSQIEISRRIEDVISARPSESSVGALSCTP -YVCTIDSYLMHTPERSCELLFVDECFMVHAGEVLAALQLTKCKVALLFGDSRQIHFIHRGELESSRFSDL -NEFIDEAGRVYGDKSYRCPWDVCEWLSKYYTNKVASVKHESVGRSSCSIKLIECEDDIDLDDEVKFITFT -QEEKHRLQHHIDKRRIKSTVNTVHEVQGETYKRVSLTRFKFQEDAPFSSMNHIIVALSRHTNSLVYNVLS -ARSVDKTCVEIEKIKKICEDFRCNPYTNTSHSYTVSGVTSAPDNFKSKPCSAPIEIINYWLDDVLPGCGF -GDLGDPSEEMKSSPFECGVDNVVVTDAAPAESVSTQQRV - ->YP_009552003.1 330 kDa protein [Rehmannia virus 1] -MALAVFAVPVFTIGNLELPEVSFTFSAFGVVPTGACRAFDLPACYSADSEGAIVPVSAIGDGVRACPLLK -ASVASKVPFSVNRSHTPSYGPWFNKVVISSPVISDTSPNRVLYAKVDGTIKDSPSKCPVRRNVTIGGPHG -FDVTRQFHDRKHSKTMIINPSNGDRIIVDRCVLSLNRGAIITGVTHDGGAYLLRSTPFSRKNFFRKGRIG -ETPLRSRVKSTFVQQKNHINVLPIDDICTYISPKVLAADLVTIQFGTAEPIIINTRTSQFVPFTAGQCDV -VSTIGHPASEVRVSVETKPLSVSARPLSGVISLPKRKLKSLFELVDNEPLAIRGKIGLHYRHLSSFDKFK -LSIEDYLSFYNPFDNDFFSSFECGGVCYNALPYSNDRVIIRRVGDGVVIARLPASRDYLSMYRMHLEKKF -AGPLARRFSASKGFCYLNHLWYLCLISGHSFSSARGTFLKLGKYPRFSDFIATVGKYFSFPATRVGLMGY -FSAASTFHCDNFKGRVHWGSYRRLRFSRIGGETEGANEDLPNVVSPLEKERMIAQLIDTARGHKDSLLLK -KLEVDLVDHISRLKRSQSDKPERRVPFHLTEQQQTVLVRDYPQYDLLFTHSSHSDHPMAAASRFLENSCL -VDKCGDNVSDIGGCPLYHYHNSKMKRVHVCRPVLDSKDAQRRVIRNFEFKKSGRGSSKEPESNVYVNSLH -SSCSLTVSECTHETPSMMMVQVYDIPLRELCEAMIKKNASVCHITMVTPGEILDKRECFHHDLLGCDISI -DVHGDSITYKFGASCYTHDLSVILSYMTTPVLLLNNHLFSVEMVEVRCGVNYYVVTRSDVCPAMDCEKTI -RFQRCCLDLVKVKLPRFCKKSRKCLPGVDLIYVDRKFVERVYEYVVGNCSVINSKTFEWTWNFVKSSKSR -VVISGKIIHRDVSINLENLEPFVVVMLAAGVRSRVASEYLAKNISMFAGDASFCEIVGFTISEKLKDIKR -QMNKFVCDTFRKVFADSLLMEFLDIDDSLEYLDVYSELTVRIRTSGFGAIPINEPEIMLADRSTRDTTDA -LIQERVRELYNPPHVKRAVDGKQRSGGLYGGSNMTSPQLFEIVRTALGKVCLSSSTFYRQMLLKLLDSVY -GGKAFSLLRRVFALIRSVSSYLSTDELTNLIGKAIECFSAKTRRTACFFYELYLACSSKGVSSLGNFVTL -CSELSSKLVAFGGSFKLYFEPLLEFFKLVKDWSFFEGQQALPFESFAIVLKRMIYDLKRYACGELTKSQL -FNRILFDMIFEHSLNSLISLKIGVADTLLKDMFIRTVSSLVADGVLDGYDMTLFSFVKLTALIPMFVRKL -IVSFFDDDLCEYVGIVKHGVSDLSAAEYIARLFVHNVQGHFMHCKEWIIDLISTIRERTDESLNHLLARV -DEYISERVSAAVSKTLDGLRGRVTDNTVFKTTANSYRKVSRVVKKVYGFSKHFSALDGDSDVYYSADSDC -ESSYRGGLRGGGIPSVLSSVIRISGAAMRVVWRFLVREVKYLMGTIVQDFSLSTMISSLYEIRRELLRCR -ALREVPIIGAVENFGAMLWARVFAFGMVIGPTYSASGVTGVLRVCLGRVAEDCRSSEFLFFELPLYLLDF -YLHSGHPLTIALKIISKMIVFSFGESYACELMVVGKARPDVFVHIDEVNTPSARSEQEIEELLDDDFGSR -SFPDLSYFESRERIVSGLRGGGHPCSILSLFLRALAWLMRRLCRMSLLRFFFSFSLSSFLSSIVGNEQAG -VVSKAIAFLLLLRDPKLASIRLLRVFSQLRSARRSCVFRSLFSYVLKAEELFTRLLYGEPTVQGNRQLFR -RVAVSAREATCVSRGEIITSYENIAELRHDLDATFKNFLPTPDRADTREDGGSEVELPRVAECDVPKRSE -EIERADLTPYVADILENAEDAARSSNEVVRPVRKMRNSSKCCKFLNLQNISSTVPSLSSGSLGTFRKCTL -AIREFYYAQEMVIFSVHSKLLSYYEELEVVDFDRRLAGCHQDVDLLVYDPSKGAAVNHESRLVKRGTFAD -HQFFFSRNGLRPYDCKMQLNQPALFHAQTKFLAANEFLASCESHSTLTFKNLDVEIKLFEAPPGGGKTTS -LIELYLERRVDFKTFIVTANKNSQVEITERVSKLIDSDSPAFDKKSVMTMDSYLMNRCGDTCDILFVDEC -FMVHAGEVLAIINKTMCKVAILFGDSKQIHYIERDELVSTIYHDIDGFIQDFCRVYGEVSYRCPWDVCEW -LSKVYDRRIASNNRESVGRSSVRIAPIESVDDVPYTEGAKYLTYTQSEKSDISRRFSREKKRVDVSTVHE -AQGETFKRVILVRSKFQEDAPFVSLNHIVVALSRHVESLCYHVLSSRVYDDTSAAINTMLDIAEKFRTMP -RSFETSSISVDIVGEYPDDSRCKALSSPLDSINSFLNDVLPGSNAINFGDLSAEMSSQPFDSGVDGIVIR -DAGNEKVYDDHTNQRVSIIRSQAIPPRTPSLQENLYSFESRNYNFLTCERYSSPSLFGKAMARNLVERCF -NTDKFLEYKNSPIRLSTGALLKWMQKRDASQIKALQSELTKPLDLSTAIHYFKLMVKRDAKVKLDSTCLT -KHSAAQNIMFHAKAVNSLFSPCFDEFKNRFMCSLKKHIVFFTEMDNRSFARVVNALVGSDDAGLHVGEVD -FSKFDKSQDIFVKEFEREVYLLLGFDEELLQLWMEGEYSAKATTLDSKLSFEVKNQRRSGASNTWIGNSV -VTLGILSMYYKVDELLALFISGDDSLMYSHKPIANHAEAICAETGFETKFLSPSVPYFCSKFVVHCGFKT -FFVPDPYKLMVKLGAVRKELTDRDLFEVFVSFKDLTKDLGDERVLEKLNYLMMAKYNCNSDYALPALRSI -HCLASNFSSFSKLFELSSGWIVIPRMNSYYKKLVNLGAYKEVYQTPFGEQYFIAW - ->YP_009551994.1 RNA-dependent RNA polymerase [Arracacha virus 1] -MKFSNPEPTTSLSRTVLVWIRVALMANSAFSVVRSQAIPKRKPSLQENLLSYESRNYNFITTERFSHPNM -FGEAMAYNTLERCFDLSKVDLIRNDIIALNESAMAIWLNKRTPSQIKALLKDLETPYELSREIIRYKLMV -KRDAKVKLDTSCLSKHPPAQNIMFHRKLVNSIYSPCFDEFKNRVISALNDNIVFFTEMTNRQMAQIAENK -LGTNGDYFIGEVDFSKFDKSQDAFIKAYERTLYKFFGFNDELLDIWMEGETHSEARTLDGQLKFTVKNQR -KSGASNTWIGNTIVTMGILAMYYDIRNLESLFVSGDDSLMFSRKPIANVADDICLDLGFETKFMTPSVTY -FCSKFFVFCDHKVYFVPDPYKLLVKLGQAREEVDDADLFEVFTSFKDLTKDYDDQRMIDKLTELVHEKYN -FISGNTTPALCLIHCLRSNFKSFKKLYTKSSGWKIFYGRLSKYFKSKMNVTKERFQTPFGEAWFLSTELG -E - ->YP_009551993.1 polyprotein 1a [Arracacha virus 1] -MAFLVDSSMSPSASLFNKQYATSLLVSMLASMSLFIAGSVDSGPLMSLISLCLSKVLKEGLGRLTDGYTA -SATSSFRRVPRATRKRLSRSLLTHVSGLFLNGPKSFLGKGNFYVADKNCCCVFSWSSIEYDRSSKRFVFS -DLAMSLRLVQVSTLEVSRCFEVSYGTFVYRFVPKTFVKAFNKVSTFVRRQGTPYSPPESTSTNLKRTILR -KRFGRRSVASSFSIPRVFPYTRNVMRRKVVTTYTAPPSTLNSIRIFGELMREDEPKIVVPKAPASFIYVG -QIRIELPTPDADGQVGTQFPWLHKQGGLPKQASEPASSSVVTPDQACKGGSGQRSKPASSPAVTPEPARK -DGSGQTSSVATVAAVTTERSPDLTSEDCFWEPIPPVKMLNKRLPVEKGVAFRMPRDTECFSHRSYVKHGD -DVGFTIESKYSMMVSLQKTYVYLKNYCVGGFKFIRTIKGRNFLIRIDASKPRMASLSVFVSGKIEYRCKF -LCSKDYYLAYKYYVGGSAFPVSWLFTYSAPKLKCYLNHMYFLCVINNHVFDEKAHDLGSLPSSGQLLKHI -ARVFPQSLNVKISGYFRRNGNFHCELHRGRLWTLKAGEEKIGDDTDERAKVLTDLQMHENLERAMRGNFG -GREALINAALDRDTIEYKNALKALNESKPAVVVPFYMNEKTQSNITRNYPQYNLKFTHTTHSNHAAAASS -RLLENITLTDACGSGFSDVGGSPYYHQRNGREYEVHVCRPVYDFKDAQRKVCREHSMRKFCDSLSFAEKQ -KLSANMSICGKVMGECTHPSKALMMVQVYDASTVEVAAAMHAKGADVAYITMVTPGELFDDRSVFLVEDL -DCEVSMEGDRITYKFGSSCYTHSLSNLRNWMRTVYLIDYGCLFSFEMYETRMSVNYYKVTKSSYSPSINS -VRWLRYKRVGSDTVKVKLPRFDTRNRICKSGVDILYLDAAFVKRVFEFTVCNCSVVNSKTFEWVLNYVKS -NLSRVVISGKLVHRDVKLPLEHTGPFSAVILAAGVKSRQHMEALAKNLQMFSGELGFLASLKFLIAENIK -QIRELSSAYFTNMLKNLLSNVMDFSFIDVTNCLETVTEYVELEVKVKVAGFGCFDESSEIEVLRENLNQS -ALVQATAEVVNEVNGGGPGAQKNNRKTRRGLLGSTPAAGLRGGARPNMLDWLRISLVAVNSYLILPEHRS -PKIFDLVSKNLHIVKKFESSTTTEFVKFLLGRAQALLSRRIDSLQKSELAGVVKKLITVVLSIVSLREVF -SMPLYTGNSAFSKLKGCFNHLLALSPDSMHNDLLFSCLSYCSSVKTDVFQSCKTAFCRVISAVCFPVGCV -KSLGEKFLNCVKVSLNFLNSCCNTTYRLSKKFLRAMASVFESVVFEFDENYPLIEGLGMVVTNLIFTVLA -GNNPLKSKRAFASSFVRRFFLELVAILHTRVDENSTGTEELYRRCCASIFSNLCHRGNGGLYDVLCLSGV -VPMVSRKILSLIRGEKNISLYTGFLSYSASDFLVLEQTLRKASTRFHNAVDLYLSEKFSSIMTVINRTYM -GKFAVGCSELSKRACSAVNNVIPSMLKKPQEGDDGDEVYFDASENPERGGLKGGSPRNYSIVHVYSLISN -FVSRLVKQSLSMKGKLFQAVQRVVKKFSSMLNFVKYQFGVDDVSESGSSVVSATTSVASSENSVDHGDQC -SLALVPHRYLFEPVVVPSYSVVGSDDEIEEEASAEVIEVFGDDDSFFDPRSGRPTRRHSRSQLDADAADM -ENFVRTFGFSSEMIKDLLAEIEGDAEVEDTSRAPGLRGGRRHANGSISRTVVKICLKILKFLIRNPSFFV -LAKGGTTAGLSTAELWFGRAQALLKPTSYLVTLLILDPESIVQSLRKYIRALSSFSSRMHRLNLSNNALN -RVFLGSVDAVEAVVGEVMFILEFFVLKYIRSPVYGVVRKVKSKLFDTVRTYTPVPVVSGDAQRKYATSEA -EALRNKEELIKQIKLNLVSASVSAVVNESVLKVEQAKGSSASFGADSEATDSDSEEESPPVPVQRDCKPT -PERADLTKVASSIPNPSPLVSEQRAGTSQQYSTYLNELNKSGKLCAAPSYDVVTPYRLLTNSVREFYYLQ -QIALFELHNRFRTEFDKYEIYSFKRKEMLMDVDDDTFVVAEGDSVAQGFNNSCSIKEFGRHEFCFAKTGL -IRNSPSLKCNRLYSKHMEFLAAERFLQGYPGFASFSFSNPVVCMLYEAPPGGGKTTSLVQLLVQTVRSGT -AVLALSANKLSREEIVKKVNSEFDNLDMNIKAEELVFTMDSYMMNHRGMECTLLLLDECYMVHAGLMVAC -IEFSSCSVSVLFGDSRQIHFIDRSELVKPEYSDVDHVFCGMERVYGTTSYRCPWDVCAWLSTFYPKKIAS -VNEGSEGKSSMDIVPIDNCDDVQVLPDAKYVTYLQSEKIELQRALAKRGYRGEVNTVHEVQGETFRSVCL -VRTKFQEDEPFKSDRHITVALSRHTHSLKYFVLSSKSNDMTSECILRAKHLVDQFRIHPTSFEGSTLKLY -VDESAVDNSTCKAHSSPIGVINDFLEDVIPGSTSISFGDPSAEMSNEVFESGADDVVIQDCSGLDKGSAH -GEQRV - ->YP_009666027.1 RNA dependent RNA polymerase, partial [Olive leaf yellowing-associated virus] -NAVFGPIFKVLTRRVRQCLLPNIFLYTEMTSDDFSAKLYSLLGGIDDYYKGEIDFSKFDKSQDFFCKNYE -IKLYSFLGFDSEFLELWSASEYFCRARSIHNDLSFTTFAQRRSGTANTFFGNCMITLMMLSLYYDFRNFS -AVAVAGDDSILFSKTPIVDHSDHMILDNGFEAKFLRDKPAYFCSRFILFANDYIYFVPDPYKVIVKLGKP -LTDVTVNGLWERYISFKDYTKYLNFETVVWHLAIATNIRYGIEGKNTYSAICALNCIRSNFKRFREVYPG -VEGVIETTHPVMKKLLSRFYNIISRGFSSDDSWTFDPVPIKEKRMLIFQHQSPEGFG - ->YP_009664804.1 RNA replicase, partial [Beet yellow stunt virus] -SVVRSQAIPKRRPSLQENLLSYEARNYNFITCERFSGPHEYGRAMAANVLKRCFDLDKVAAIRSDIIAIT -GRGFREWLSKRSPSQKKSLASDLETPLNLEEEIVRFKLMVKRDAKVKLDASCLVKHPPAQTIMFHRKAIN -AIYSQCFDEFKNRVLSCLSPNIIFFTEMTNQKFADIASNLLGFENVFNIGEIDFSKYDKSQDAFIKSFDE -ALYEEFGFGFDPELLSVWMEGEYRSERNTMDGQVGFTVDCQRKSGASNTWIGNSVVTLGILAMYYDVSKF -QALFVSGDDSLIFSADEIANYAEDICLELGFETKFLTPSVPYFCSKFLVFTGDKCVFVPDPYKLLVKLGA -SGRRLSDEELFEVFVSFRDLTKEFGDERVLNTLSELVHLKYEFEWGNTKLALSTIHCLRSNFLSFSKLFV -KRTGWKVVYGKAKYILKKFLGYNIEPITTTFGDAWFVYKE - ->YP_009664803.1 helicase, partial [Beet yellow stunt virus] -SSFLCVVDWLRSQYCFISNKYFKSVGDSVYFKAVPTTINYKRRPTLDSIFEVHVNHNREVQRLKNFLEEG -LGFINENEGSSGSASVGEEDNFEEAETDKMDDRESEGTSSTRANFVNDVAEGLKIERAQRSGHDVSLELK -ADRICKYGYDKFERDFSRKKTLVSKFLNLVNTENAFPEPMIIEAHDLASNSVREFYYLQELTLFEIFNKL -NRYFSELEVVEFDRKICRAGDDARLLVYRESDASLYGKDGRRPLKDMEEYEFVFRTGGLLPNDKEFSGNK -LFHANTKFIAANSFLRSNSSYRNFIFENDSCRIRLYEAPPGGGKTHTLIASFVKMHKKNRILVLTANKSS -QVEILKKINDSLKREHETKTKLLKFASKAERENYPSADSNVYTIDSYLMNHLGTKCDVLFVDECFMVHAG -AVTAVSNTLVPRSVFFVGDSRQIHHIERNEYDVASFSDLDRLVAAKDRIYGQVSYRCPWDVCGWLSKHYP -NTVATTNVESEGKSSLTITEINSVDDVVASKRNTYLTFLQSEKKELEKHLAKKGVKATVKTVHEAQGDTY -RDVVLVRTKFQEDAPFSSFNHINVAITRHTESLTYAVLAARRNDNIAAAICEANELVDKFRLTPHSFGGS -VLNIDVEPVYTDNSRCKASSAPINSINDFLEDVVPGSTSLNFGDTSAEMESQPFESGANNVTVRDSAKPG -SGTDHDEQRV - ->YP_009506336.1 polymerase, partial [Mint vein banding-associated virus] -SIYLRSDFKDLSLPLTACRNPRAHIESINSFLEYEINGSTAIDFITRERDIEFEEFHTVITDCTISDSGK -PYRTPPRVRDIPYVRSQIGGPRQNTTRQNIFTFENRNFNVQSVRASYSPIDFPKRVAKTFAKVYLDPRKL -NEVRNDITGISLKDLGDWLDTRDPSALKLLSNDVVGTPNLLDDLISFKSMVKSDVKPKLDDSVLTKLVAG -QNIMYHRKYINALFSPFFNQIFSKIIYCLLPKFIIYNKMSLEEFAEQISLRLVRDIDDQICTEIDFSKFD -KSQDSLIKNIEKEIYTLMGVSDELLALWEVGEHTSRFRTSDKSFSGTIGAQRRSGASNTFLGNTITTMAI -LSMYYTYEDCELCMFAGDDSLILGSKSLDDCCELINKDFNMESKFIHNSTPYFCSKYILSFNGKALVVPD -PYKMLVKLGKSYFDSKSNVDERFQSFKDLTSSYDNDLVVSKLVFYHSLRYKPNPFCTEAVSVIHCLSSNL -AQFKRLYFFDNGVTLTASLAEADSSGDNFG - ->YP_009506332.1 RdRp, partial [Carnation necrotic fleck virus] -ENHLLTPSFLIIQNSALSVIRSQAIPPRRPSLQENIYSYEARNYNFLKCDRLSSPEVYGRAMARNLIDRC -VNPEAFLKATENTIAFSKMSLLQWLNKREPSQIKSLQSELSRPLDLDTAIHYFKLMVKRDAKVKLDSTSL -VKHSPAQNIMFHAKHVNALYSPCFDEFKNRLMSCLHSNIVFFTEMDNRQFARVVSGLISDYDDEYRIGEI -DFSKFDKSQDIFVKEFEREIYSLFSFDAELLDLWMQGEYRAKATTLDGQLSFDVVNQRRSGASNTWIGNS -LVTLGMLSLYYRVNDFKALFISGDDSLIYSETPITNHADAICIETGFETKFMTPSVPYLGSKPGVCCGHK -TYVVPDPYKLMVKLGSVRKEVDDSELFEVYTSFKDLTKDFDDERVVRKLSLPLASKYGFDSVHCLPALHS -IHCLNSNFKSFLKLYNRKSGWFTVKKLLPNLKRLIFQGKLNCAKVSHFFW - ->YP_009506331.1 polyprotein 1a [Carnation necrotic fleck virus] -MSAIVPFVPVQLLFHGEGASHVRNVFNSISRSCAGVSAQKRFALFTRLCAPFTFNVTYVLTKDYILCFVA -VRRISPSAGVMIAVRRDATSPGVIITPITSRLPSEGKRFTGNRYDTTMCSQPSVGSLSVSEHTKVSIKSF -DLKAQFHNRNKTKIIITNRQRTDFFITDYCLVSDKVGKPVTAVTSSGCLVTFFSTPLSRSVELKTKASCS -PLPSSRSLKKKNHRKPRTTFNVNAFTFGSLHFVDGELLNGEPSFKNVHRSGREAATRNASNARHATKTAS -TPCAVAAVDNLGAHVATPATSGACRTPLGLEASLEKAPSKTTVHSRCGFLGATDHTIRNCVRSSHHAPQL -SVVVPSLTTEQYKRVHSANGVTFGPYLGACYNSQLPPKTAVDDFIRYLSNYNPLHNDFFTCLTAGVRREK -FIVRRVSGRSSVALIRRCDKHVVSVVVNELYCRVLRMRTTKTIDYGLLRMYKASEGFCYLNHLWFICLTG -GLNFQGFSKTFKHLLGRLPRVFRFVNLLASFFSSGATHIAVAGKFVSRGVFHVDNFFEKFFSLRNMGSSL -IGGGDEKEQKKFLDSYEKDQLINQIVQTSRGSKDSIVLKNVEMDLSNHADAMRRIMREKPSLRVPFQLNE -TQQAMVSRAYPNYDLIFTNTSFPDHPMAAASRLMENITLSDFCENDYADVGGCPKFHYEQTPTHRVHVCR -PVLDSKDAQRRVLRNFELEKDSLKRNVDVGDLTSLKNNLTSCSKVVGACHHKVRSMMLVQVYDVDLYELC -NAMVTNEAKVAYLTMITPGEILDMREAFRCDIINCDIELDSHKNILTYKFGSSCYTHSLSTVRKYMTSPV -VVIGQYLFSIEMTGVRCRCELLRDNRSPEVAPMIRTDKVIRFRRCCQDITKITLPRFCKKTRRCLPGVET -IYVDSKFVNRVHEYIVGNCNTINSKTFEWAWNFVKSSKSRVVISGKIIHRDVSIGMEHLEQFVVVMLAAG -VRSRSTSEHLAKNVALFAGESSLLDVAKFALKELLARAKRSVREYMNKTLKGFFSDVLLLEFLDLDDSIS -DMEEYSEIRVRVETNKFGELIDNESEILVANKVERDLALQLFKEEVGKIKYSHPNKSQPGDSKLRPGLMG -GSRDDYSSIRMLLSYIKSFHSSSKAIFSCFCSALIDNFIKFKKGVSKTLSKLLAILNSFLKAVKVDYETV -NRALESLTHCLASSYSIADTVKVLLKDLYSNVKLTVKDSHLLLQAFVNACIAHKDSGKYKAFKNKLSRGY -EFLFKWSNFDGEIAVAFELFIVVFKRCAYDLSSFVSGSISANVFLTRIFSSVLLEINLNAFIAHYVGEGG -QPKERIFHQNVVRYSLHLCHDGFSLDLFALLKLANVVPSVLRSFLAEPFNEEYDSYFGRVKFGVKGFEGI -VALKEFAADSVDDAIKAIGDRFEKFFVNLVSRCAAHFIEKFMEETDVNKVTACYTRKKQRAESLYAKLRK -CLSDLFSRGDNGGDDGGDEFHDSISSFEPGLRGGSAEVDFVITCVEVYVMYVTCLTQTQVSLVIELQRSL -KRSPRPSSTTKFLPFSEVLNMRRCVSTLFELPLTALVICGVFSSPTTAMVETLTPLCLASFEYVVNSFRA -EGSVGSDDSDLDINEDFCLDAEECSDSDIGDLSMTPGLRGGGRTTAYARVVKLLLGIAKKCISSSVFRTL -VDFVMSSQVSAMLRSKSMTAMGKALVVLLCLIRPQLFIVTIIRYFSSNFPKGKGKPKDGIALFFSKTLAL -ENYLYTKSDANGKTFIGSDRHTPTFEPVEFTPRIENGLQVVKPSENLEAMNASRLLLRGIIDEAIRRSNS -QNLTHETPRAEDVDVPRLSAKAEREHDSESFKGPRIEELDVPKRSSKDNPDSELPRGEEEEVAQLLYNVD -VPSTSNSPLPRKLERRRGICNFLNLQNSYCSVPTPYLPVSDNATTHRKCCEAIREFYFLQEISIFSLHTK -LATYFHELNCLSFSRAAAKCDEDADLRLLYNSRSCKVSSAKGKLSKLREFDDHEFCFTHEGLVLNDPSSR -VDKLFHESTKFLASNSFLRSYENHKNAMFTNTNVDIKLYEAPPGGGKTHTLIQLYLMYSAKCRVIVVTAN -KNSQVDILNKLKNSTDLPTSVKPDCDVFTLDSYLMNHLNERCTVLMVDECFMVHSGQVLMCINSTVCNVA -VLYGDTRQIHYIERCELIKPKYSDLENFVRPECRTYGHVSFRCPWDVCCWLSEVYSSKIMTVKASSVGKS -SVTVVPTSAVEDVPIDSSSKYVTFTQSEKQELQKLVDRTLGCGVSVVNTVHEVQGETLKESSWFVISFKK -IHPLLSQNHVIVALSRHVESLHYYVNNARIYDDTSRAIGRMHDIAEKFATLAKNFESSYITMDVKGVHED -NSRCKALSAPFDSINAFLEEVVVGSTTVNLDDISSDLSSSPFESGADDVTLRESSVNTFVSDHTEQRA - ->YP_009407919.1 putative 58 kDa protein, partial [Actinidia virus 1] -VFGVIRSQAVQDRKPTVQENIYSCEARNFVALTLDRHLDPDLFRDHAVKKFFDKCVNSEVLRGLNEQPIV -TNHLHFQEWLRKRDGSALAKLDSEVGYITTWRDYMCLFKLMVKKEAKVKLDASSLTKHNPAQNIIYHIKF -INAVFSSIFAQLSERLRVVLKRNIILYTSMSVDKFADRLYDVLGGTNVYNTVEMDFSKFDKSQDVYIKAC -EMEIYRRLGMSEDMLDLWCAAETFCKARSLDKDVSFTLGAQRRSGTANTFLGNSIVTLLLLSQYYDIEDM -SCLAVAGDDSIMFAAADAVFANCDIGPKFGGGTHDILFSKGKPIPDFSHELMVDLGMETKLYRDLPAYFC -SKFIIFCNERIYVIPDPYKLMVKLGKPYNDWDDSVLNERFISFKDHTKHLDNESVVAALTEAVNIRYNLV -GYHTYAAISALHCVSANKKRFFELYPFKHGFLTRSVRKVSRLLSKFAYYIKLKGFVIIDKRDQGDTYAFD -YAFRETYEARNNPDVFGKPI - ->YP_009407918.1 polyprotein [Actinidia virus 1] -MAPPRFLKASSGRAKASVASRLNTRGECSKTLPKGWGVNSSFTVAHFFGAEDREVTFSRGCSRILDAYGL -NPPPAVFYGPEPASPAFLKARANRELYSGVQRPFNSRKAVRQAAVAASLFEARRQRASKVHLPVVGKKRK -AGPAFKAPKKDVVQHTTIPVVAPTVPVVVPTTFPVAYVAKGATRLPEGTPVFLAKKPAARTLGFYPKRAQ -REFIRLSLDGWSVTLDTESGVVTDQALLTLVKNYHYEAFLPLKLLAKHGAKLTPTLEYGWVRYDRNGRIS -RLLNLPYMWEVLQLLKRGECGPKLRAYIESFQDNRGYCYLKLFRMANIAIGRSARRVGTVCHILGSFPST -REVQTVLYRRYACIPDFIVGYKSQGTRGHMTTTPIVRVSALPDLYWGADCLMFGSIPASIPVVKAITSSP -LKPGCSVSPAESPKKPNILFGSFGATEPCPEKPSVAMSKSAIRRLRRKNAAHRAGVHNVDRKVDTAVVSP -PPAVAPMRVETPPPVEGRKVAPPTTTFIKPIFRDVKQCGGRLRSWFGKVNPNERVKDRLASLLSADGSGY -NYNGGSHRPDKRSKILLAELSSILKIDLGWVKHALVQKYRPGSKIGAHKDNESCYRPLYNFRLVTINVFG -EALFSLSRGAERYNIGLDGPCMFEIDPSVNFNFDHSVEVGRFFRGSITLRGHKSSNVLDQSRLTTDVKRN -IGSVVEPERIIVSREKIGPSTVEDSGPAISLPAVEQSRSIPPSIRATGLEVATKPVVQGREEIKTDHQSF -LDALTRCNSVGDIIDKSKYPDYRAVCQKHTYGLVYVYHKDVLVKKGIFRRYYDLKALRQLNQITDNLRSY -LSSFRDSSGYCYLIYIRAVAMYFGRAETECSAAVRALGSWPKAGDLLSYIHKRYGTCPAIRVGYRHVGGA -AVHADLTPVFLLANMRKGLRVGGERACPINSTFIKVGTMECRISRCEPITPYSGSFRVPVERKECPKSPI -RTTNVGLDVSLVRTAATKHLRGRQYRCKQAERNVGPADSTSPSAAESQASCSAIGSSFRGCDSRKEYKHL -IGRLVDRILDFKQDESTLNIPLYEGFNVICVKNRPGLVRILYKNILVKTVMANRYWDIQYLRSYGCVSKS -LKNYLYRYRDGEGYCYLRMLRLCCIYFSKPMGYVRTARAELGSWPSSFAVKCFIRKTFSKIPPVYVSLSR -GRYAHVGLLPRVSLENIPNFLKLGGHVESDMSMRRMTEVNRLNAQVERAQLKDSALLRAVESTLIEEHRI -ERQMQSSKPVVNVNVSLNDSQQLALVKNFPEMRLKFVPSVHSLHPMSSAVRMCFNALYSQKLGKRKYIDI -GGDLKYHVMKGNDVHICNPILDPKDGVRYVNRVCEWNLAKVHDLNSMVVGSKKVSCCYTPAQNCDVSCST -AVAVEVYDISMTEMASIMAKRSIDRVYLTMLVPGELFDANSVTVCVPEHDIAISQEGDNLIYNMPAGQSY -CHDRSSVLSYITNPYMLHGNQLFHSEMVGHRCGVCEFRVTRVPVYPAIDTIIHITIPRATSGLVELHLPN -INKVSDVLDFDNITSVMVDYDFFTRALTHIINVCTNVSEKTFEYTMTWLRNNSARVVISGRIIHTNVKLA -PEHIGRVAALLLTAGVKTRWESGRYARRLYRAVGQETLWESIKTTIHESTLTVKAAAYDVVKKILSSSFP -FLGDLQSKSIDDFFTVLGESITITRAAKFPCSGGYVNGETRYIDNMVNTLLAEAVENNARSEIVEATSEV -NNGNSKQGKDDKYVAPGNRSGKSSSVVREKIKAVTGTMDRCDGSKPGQGAGLRDSGSSLFLMILRAVEGY -VNLSVRSFRDILLRIVSPFERIRTVLIPVLELWEKLFSGDADVWVTYGATVVYSVIRSIVYLFLGHSTFG -VCLGLVAVIATPIPPLFITDKDNLSADILFEALKGAYFSVPLTRNKWLNRVLSVLENVGYFKSLVRRIIA -VVFEESTAASVVMLVVRPEENVWAVENLVKKAYDWAYDQIYTTLYALLSAVPHSAKAAVANTIGDVAGGV -SSVLTTSVAKVLDWFSNQRQNPPGLGQDGGTEEFFSMVDDVESIDDLLSETPGLRGGGVLNRNFLNSLIM -KFLDMGRTLVDSVVSSISYIKSKLYPGSLGRTKKGGELLAELFSERNCEDEEYDAAVLYLNEFLDTDFSD -APGNFGGAVDCKSFVVSVYRYFRSFKFSSIVAMCQAILAFFVMTKRLCMVRYRALMAEVKARLVNYKRNN -PAVALVRLTEIVDDGEDRCYRVPKDLFDADEQVRNLCRNSRPQYFKKMDVYRVPATIDYEDKNALVGNLV -SPAIDFLMVHDSSDVSAIGLDFNLIKKVLGWTMTKDLVSRIPLARRCYPNSILMVRKGGYVIFSANGKPI -VSNCSDLHLTPEKFDVIFMRLSGGLMGGGVTSWSFMLLFRCLLDLLEERNIISCHVNIACKVTACAASST -YRWFTMADWILKRIRGWYTHGRYHQNEMEIKPLSKVEEKTVTISEELKKIYSDTVKVKAERMDELINEMV -CRSSDDVSGTTDLEISSEDSEGNSYNKKFFNKNLKNETDCLRRENPVKGSEVRGKKKTGRKGNSIPTRSY -EEWGDSDGAEGRFKVLNIGNETKERFGKLYDGKRFELAEVIRNLDLTCPPVFTHTNDPALNAMNEFVFMH -LMDVMNMLNSMKIASSLLVNDKRNPEFLRSDMVDPKITVLDTTTDLLWNTTTATVRLRDTQHRFCYDPKS -GSIVSLGAYRVHSCSRYIVLHQDLEIFYANLILRRFEVNEKIEKVHYLNDLVVVETPPGGGKTTQLVALF -FNLWMKGVAVRVVTANKNSAEEIRRKASALALHFKVVEQRYIPKLRQLLDDMVRTADSTIMNVVSAKTQV -LLVDEIFLMHLGQLILNFEILKPMYVIGYGDSKQISYIPRTDLYCPVYHNVMDIIDEGRIIYRSESYRCP -KDVCFLLSELYGRSIEARVNNRTDTMSVASISSIEDVPVVEDAKYLTYTQGEKYELSNTLRRKGRRSLPY -LDPQTVHEAQGNTYKKVILVRSKPQDDSVFSSVQHHTVALSRHTDSLIYYCISSKYNDDTASKIERSKVL -SSINMNEINEQPIIGAEYECSGGNPAASCSRAGAMGWQAIVSFLDEVVPGSTVLTLNDISEALSTSEFES -CVDKIRIGENMTVGKQPLHSNCQRVWRNKVTGCSR - ->YP_009162622.1 1b [Tobacco virus 1] -MMITLISVFSTIRSQAIPPRSPSLQENLYSFESRNYNFLTCERYSSPTVFGKAMARNLIERCFTTDKFLE -FKNSPIRFSDSALLKWMQKRDSSQIKALQSELTRPLDLSTAVHYFKLMVKRDAKVKLDSTCLTKHSAAQN -IMFHAKAVNALYSPCFDELKNRFLSSLKKHIVFFTEMDNRTFARVVNALVGSDDSDLHVGEVDFSKFDKS -QDIFIKEFEREVYTLLGFDEEMLQLWMEGEYSAKATTLDGKLSFEVKNQRRSGASNTWIGNSIVTLGILS -MYYKVDELLALFVSGDDSLMYSNKPIANYAESICVETGFETKFLSPSVPYFCSKFVVHCGFKTFFVPDPY -KLMVKLGSVRKELTDKDLFEVFTSFKDLTKDLGDERVLEKLNLLMIAKYGYNSDFALPALRSIHCLASNF -SSFSKLFEKSTGWVVVPKLNSYYKKLIALGAYNERYVTPFGEQYFVAW - ->YP_009162621.1 1a [Tobacco virus 1] -MVLSIFVVPSFSVADLNSPVASFVYSAYGVVPANAVKVFDFPAVTSADDAGCIVPVGTRATDGSHCVRQP -TSGEVKVPRHCGGVFTKSGASARSCVAKDSPVSSRRTPRGVMYARTDGKLASSPSRYQARRDVVIGGDHG -FSLNKQFHDRTRTKAMIINHHDGDRIVTDRSHLNLKTGKLLTGVSMNGGAYNLRSTPFVRRRNHHGEPKG -FNKIPRKSVNIYTQPITEVNTLSVDTLCSLFEPIPPRRETVSIQFGSFDPLIIPLGRETPPMETKFEAVK -EPEKPIKVEARRISPPGFPAVVQPSTRVRGEPMTLPKSKIRDLFSLYSGEPLAIAGKVGLHHQHRSGFKV -FKDTVSEYLAFYSPYSDDFFTSHDCDGVFYNVYPCGARQVVIKRLSDQKCVARLPCTREYTLMYEMHHRK -NFNGPMARRYSAPAGLCYLNHIWFLCLIAGHSFNPARAYFSRGLGRFPRFSNFLGLVERYFSYPATRVSI -KGYFSRENLFHCDNFKGRLHSLSYNRINRANIGGDAADSSDNIANVVTPLEKEKLISQLIETARGHKDSL -LLKKLEVDLVDHITRLKASQSKKPERRVPYHLTEQQQTLLVRDYPQYDLLFTHSSHSDHPMAAASRFLEN -NCLADKCGDNFSDVGGCPLYHYHNSKMKRVHVCRPVLDSKDAQRRVIRNFELKKGSKSNNQVPEDNVYVN -SMHTSCSLTISECTFETPSMMLVQVYDIPLRELCEAMIKKSVNVCHVTMVTPGEILDKRECFHHDLLGCD -ITIDIHEDSITYKFGSSCYTHDLSVILGYMTTPVVVVDNYLFSVEMVEIRCGVNYYVITRSDVCPSMDCG -KTVRFQRCCMDLVKVKLPRFCKKSRKCLPGVDLIYVDRKFVERVYEYVVGNCSVINSKTFEWTWNFVKNS -KSRVVISGKIIHRDVSINLDNLEQFVVVMLAAGVRSRIASEYLAKNISMFAGDASFMEIVCFTLNEKLKD -VKRQFNKFVCDSFRKMFADALLMEFLDIDDSLEYLDSFSEYSVRIRVAGFGAIPVNEPEIMLAEKSLNDT -VDALVVEQANKIYCPPHARQSKREKPKSGGLNGGARRSPLDVYEGIRTALSKVCIVGSEVFKTKFFELLD -LAFLGDNFSTLRRVLKFIKSLPDRLNVKDALDLANEAIVYFTIKRNKTACFFYKLYSSFSTKVDGCTREF -LTSCADLSKRLVELGGSFKPRFTPLSECFSVMKNWSFFDGQQAIPFETCAIVLKRMIYDLKRLTSGEISR -SQFFNRVLFDILFEHSLNALIACKIGVADTLLKDMFIRTVSTLVADGVLDGYDLTLFSFVKLTALIPMFV -RKLIVAFFDDECCEYVAIVKHGVRDFSAAEYLYRIFVNNLQGHVLHCKEWLQSVLPTLQSRSGECVEAAV -QRLEGYMTLKLKQVAEASASEMNNLICNNRIVKCASNSYGAVTGGLTKMYKAARKRCDYDDEASDYYSAD -GGSEAETSGKLRGGARKEILSSFLAWISKVIRSLYRYSIKEVKYFVDTQILSHTVQSMIDSLRCIREEFQ -KRRSLNSMPVIGSVENFGRLLRAKCIRYTPSLLSTYEDSGFFGIVRICTKRVLRDIDSFEFLILELPLYC -FELYTHFDHPVTLGIKILWRMVEFTYVDFFACELSSLDQAPAEVFARVEEIENDQSQTNDEIEEESESDS -DEESLRGLSYSESRERIIEGLRGGASSNNLLSFLIRSCFKVVKGVLTTKTFKLGFLFSLSSILSSVLHNR -DSSVTSRCLATLLVLRDLRLSTVALLRCWSKSRLASNSQVSDKFFKCVLYVERRFTHLLYGDVETRVNQQ -LFRRVAVSSRVPTGINQGEIVASYKNISELRSSLENVLDNSIGKITEEYDEVDTEEAGASAKVRVEQCDE -PLQAQEAERADLTPYVSERLENDKECSEKSIATTSNYKRGRNSAKCCKFLNLQNISATIPSMRAESLGEF -PKCTLAIREFYYAQEMVIFSVHSKLLTFFEELSVVDFDRRAATCHQEVDLLVFDPAKGTCINHEGRSQKI -ETVADHQFFFTRDGLKPYNSKIKLDRHALFHSQTKFLAANEFLLGCESHSTLKFRNTDVEIKLFEAPPGG -GKTTSLIELYMERRERTKTFVVTANKNSQVEITNRISNELDEDSPPFDKKDIMTMDSYLMNRCGESCELL -FIDECFMVHAGEVLAIINKTMCKVAILFGDSKQIHYIERDELVKTTYHDIDSFIEPFCRVYGEVSYRCPW -DVCEWLSRLYNRKIKSHNQESVGRTTVKVETVESVDDIPHFEDVKYLTYTQSEKSDVHRKFSREKKVVNV -NTVHEAQGETFRRVALVRTKFQEDAPFVSVNHIIVALSRHVESLTYYVLSSRVYDDTSSAINTMLDIAEK -YRTAPRSFESSIIEMNVSGDHPDESRCKALSAPQDSINSFLNDVLGGSNTLNFGDLSAEMSSQPFDSGVD -GVVIREAGNEKIYDDHANQRV - ->YP_009112883.1 RNA-dependent RNA polymerase, partial [Persimmon virus B] -NTQAIPDRLNTVIENVYCYENRNFVAVTHEKNEATKVFAARALDSVLTRCFDREKLSVVCNDSISLRERC -FHEFLRKRDNTFFSNMSMEGVNIRNFSDYMSMFKVMVKREAKVKLDSSSLSKYPPAQNIIYHSKLVNSIF -SPIFSELQRRLIASLNDNIIVYTGMAPNVFARKLTRLVGNGQDMHVGEIDFSKYDKSQDEYIKAFELEFY -SLFGITAEMLDLWSAAEYFCNARVTGGALSFKLQTQRRSGGANTFLGNTIVNLMILSLYYNLPLVDAVCV -AGDDSVMYSREDIVNHAQRMVNDIGMEAKFIKNSYGYFCSRFLVPAGDRIYFVPDPYKFMVKLFKPTPIY -NDVELRERYISYKDNCTAFGNEGVVSRLVDLVNDRYKIEGKHTYSAIASVHCILANYSRFRSMYPISWSF -INVWHLAGAKLMDKLGFEKIHENESKDVFVYSKHYGWLHHKTDEELKCMSDLSESRYAKVTRKAEKYLNP -RFKKHIVKLLRKMELKGGFRLTDEG - ->YP_009112894.1 polyprotein 1a [Persimmon virus B] -MKRGSNGRCYEAVLRSAEDYLMRMTGPHGRYASLRSAVKSPPSLGQWPLASDVALALSGMFAPFPVGLFF -AWLSRTSYRVAHVTSHSAHVCRSWQTRFGPVRALTDMAKVPNVRVGGYSPPRRDSRCRLSGLAAAVHTLL -LTEGRRREADVISYGRQYVGWFSAGPSDAANLVQEGRADSGGATALHFCRGCGVCRMVFSPVRPACAKCG -GRVSVSSLMRREAPKPRVSGKLKKATSADGWTTFYRERDNSSPRSVRSEPVRATIRAPQPKRCASEGPSS -SFKALGSYAAALLAPARAPKAVKRPNVVVAPVPAFSVWVRKGAVSGSPSVIRDLPRFAPVRGARVVRAPV -VSRCPKREEIPPVRPGGLERVDDVPKPLYSAWVPVGNGGVITERPHVKMPVRKSAGVVRLSPKEGVSTGS -MMGEPKKEVVQALDSPASGRKEPVLFFSQEPATPGQRYSARSHGVLYYPVKEEPLPADKDAGPSNDGAPA -DLGDEEGLFVDPPSSDDGYGGCDATSDTTEDLRLYLSTPAEPPVKGSNAVGTPTQRRVTNVGLIDTEAAR -RVSPDVQKKAEGVPLFWGDVSSDESVSSKESSSSKKRRRAAARKQGVRTVDNLEPLPWNKLAPGVELTLE -ERCKRPLALLPEVIEVLEVERKVNPSVFRFGKWTAWAVLWAHHKCGLISSEEKLMRTRISKGLSPRAEQK -LTNGYDPGCGNLFPSRRRFLESLEGMYYQPAAENCCRICKDANCPSVFRRTSIPSEDVAPVRQGVETPWR -PVDVSVTSPDNQLLQSKLNKGVRGIYLNAIHKKEKNGYCYLNLFREMAFSKAFYLSDKRIRQIASELGPY -PLCSAISEVLGDIGFDSNPIIHINGDARAIYDGMGNRVQVVGHFTASNFYFKLNLLDNPDMALGGTRGPS -ETNAETEHIDIILPQLRATVIDQISKSKISECMYARELEQQCLRIERERAQFMQGLPKLTIPHYLESAER -SKLSSAFPELNIDFKPSKFSQHTMAACVRMCFNELYASKFRDIDYVDVGGDLIYHSMKGHVNVHICNPVE -DFKDASRCAKRMQTWMSATPGTAVASTSLSAPLRCCYSRAEVCDAMAPVITAVEVYDISVHLMAEIMHKK -GAHVAYVTMVLPGELIAMKDGSCYCSALGVEIRMKGDDVLFNYNGGLGYTHKRQVMESWFRYPCFVHNQC -MYTVEMISNRLGVSEITVTRAPFYPKVDCTLLVSVPMIEKDMTVLYLPEYNLDTGLFDFTKQDCIKVDRR -FFSEGLVYVMNNCVCVSDKHLEWVCTWLRQNKSRVVISGRVIHNNVYLPEKLVSRVAALLLVVGVKSRVM -SSRYAKGLVRAYDSSESLFMQLWNRIKETVTSVGRSICEAVIKFLEFLFPVFKGLDSLELDKLYRPIANF -DYYEVHVDIPTGNMEDSAGEIEAAAIKEFYYRNQKRFVKKTVREIEGVNTILDSKITDPVKIKVQNGGVK -IKSGVSNSTVLDALHRAEEPYRGPRGGGLYGGNKSFSVCEQVLKSLSKVCTNAITNLSSLWPDYLSKFKL -GLEGLVLGKPLSTLLDVISRLKGYFKNYNALLGGISRLVKVYKDSTGWDCIFFISKNVVAMASEVILEVV -AGKPLFITLLKAGGKYFLAHWQVNRLTFDESSTGVDDSHEIIQLICDLVWEGFCGRGLRVSIPKALIFSI -VRSLCAKHISTLMASKSIEAGRVSCNIVLDEFVNVIRRIIRKTCDFLKEELVKFACDIIDKPDIKGRITR -LVDAYLSERVSSTRDVVYSSLGNIKSSCGSKIKSFFKTPDVIARYFSSIDEGTSGEVDVNNECADNPEST -VQEDADVNFDDALSTLYSMSELELEGSGLEAVEMQAELETVRRFIDEYQSAFDSVALDLLKEEGYGSELE -LSDKPGLMGGGNVYMFPVLSKHSMLVLERIRNYLRLPLKFMLEVCKKLYYFVCRFQVRQKRKEYIEDPGT -DTWVPLQCSVDCKGAVLKMEEGVFGMLASDLPLLILPPGLDAMRIEKWYYAPFTCWDSRDLMADQVHEQR -DSSGTASSLCLPWVDEEMEIMTQRVRVDEKTITMISGCVSDIQNDTLLSAFEANEVRNIGAWTKFLDFVK -NQGDGFYFMEGSTAKLRGGSVKKTLFGSVILYILEEFAVRAKMPKVFGRLIGFFLAPKSWLVVRLFRAII -SKYNDFELDWVRMKIMQREQAEKRLREKTGQRLRFNPDLYCEVTRGEPSNADTVRDIVMVVNERDGFVHP -ETATIVLTSTPNFALYELKEVLKLSLPVVERCSARDADFKLILPWSDVEVDVGSNTEEVVEETTVSSCAD -VIVQSVLSGTLLSDKVFDIRAWEKFLKIFRREDVSFYYLDKNRGCLRGGSLRGVLFGSALLYLVEQFVSH -KFVHPNVIHAIGFFLNPKSWLTLQFVKGCYSWCSNFKSAYYNARETRRNSKLKRYDHVIHSHDMSDVSLH -DISVALNSIKDAVNNPVAKCFPSSIIVEELVTKDAVGDEENNCNKGITLGESSGSYKGKEKLISSGECWG -DIACEASSSGKAGGGDNIDSRGDFIDKILKAATAEPPLVSSIPTVDEFKDTVNEIFESGEKAEPIVTKVA -KSKGLVFSPYCRSLMGNLVPPLAPEMVEDDTINARNEYLYLKKQDVYNIMYDVTAATTQLESAGEYELSD -SAQNSNLIIYDKDKKKFCGKRADSHRIEYGDKLESDLYCACGKNYCTFKEAMRCSSRYIVSHEQMAVFYS -DKILMPLTVNAYLYTPITVKAIRVVETPPGGGKTTEIVCLVVSMMRRGIKFLCCTANKNSCTEIRKRAIG -RYLNLVPSNKAVVVQACRTLNDSVRTMDSFLMAGEERSVAVLLLDEVFMVHSGQVLNVFTRVRCERIIGY -GDSNQIGFISRTDHALNKYSHIGEMIPEVCQEYRTVSYRCPKDVCELLSVIYKRNIFNPYYKASSSVSIK -EISCVEDVPMVNGFKYLVQTQAEKLELLKKVKMQGNIEPKYFPQTVHEAQGDTHDHVYLVRTKPNDDEPF -VSDAHNVVAISRHTRSLTYFVIRSKTDDIMSFMIRKCVELQEMADAMVDKSPENLVGEEEDISSEREMSF -RDKISPVGSAPYTAIVEFINEVVPGSTSVVLGDMSQALNTSEFISDASGVTISAGKVVSASREQRVWRH - ->YP_009058929.1 RdRp, partial [Rose leaf rosette-associated virus] -GFVRSQAIPKRLPSLQENLLSYESRNYNFLKSERHCDPKVFGEAMAMAVLKKCFNLEKFATLRDEVIGVS -ELATAQWAAKRDENQLRNVFRDFERRHLDIGADVSNFSLMVKRDAKVKLDDSCLTKHAPAQNIMYHRKAI -NALYSPFFDEFKNRLLWCLNSNIVFFTEMSNSDFASVVRRHVGDEDIFYKGEVDFSKFDKSQDAFIKEYE -RALYSAFGFDAEMLELWMYGEYRAHATTTDGSLSFDVKNQRRSGGANTWIGNSIVTLGILAMYYEVDRFK -LLTISGDDSLIYSNTKIANHSTQICLETGFETKFMTPSVPYFCSKFVVHTGSFTYFVPDPYKLLVKLGAP -SKYITDAGLFETFVSFRDLTRDLNNQLVVERVAMLVEEKYNFKSGFTIPAICALHCLRSNFLSYSKLFPV -QRGFFNSTYSEIRSLRRLVPGLLVTAYRCAGEKRYFSYLKSSIDEDPPPLASVVKSY - ->YP_009058928.1 putative polyprotein 1a [Rose leaf rosette-associated virus] -MKTFYVFADPDPPLPVPGSPYVPPINPPFVDHAAIAWYNMGFEYRPHFYRAKGNFPRQIFISSAGAISPY -PESFRQASRRRARSERVARKSLKEPRVASPGVKAPFAQDPVEPVPSVTKSDISSEFVDPSWGERKGKSPK -LNYTMGPSAAEFFFGAPVLGRGVCTRSSRLVRTVKSGKTERTAAWRNAEECTQRTSYNPIGSSVKAPEVV -HHKRGFSYPEITHIFGGYDRHDVGSTFFTKERSLDRLVAMAVSRVKRHMPSATTLFTCFSGPAGLVFARP -KNLREVDIFVNPLNAPKFRFTVVAVWYAAHLCFLNGGDIAPLLSLFRVPDMQSGMCYLAHVFLVSLTLGV -RGRYKSFLSLGRYPSVEDFEHRLVSVFGNDALSVAYRGSSSGGVFHCDLTQDYAFVGAGELIGGLPPVRV -HRIKCDSDYPSDCPPTWESFYFRGKGVSRVVNCVNRFIDTYQFPQSRLYSHFVNARGDSEGVLVPLGQGW -IKVYVRIGTGWGVYFRKSHALSRVLSWYVTGECAEPFFDEGSVEGLCYLSHLNRVSLETGVPYRQDRAVA -ILKDYPTATKLRWYVKWWFGKSALKVPLHCELNGKNVHATSYGSPRDISGFTYTTRVGGSVVQDACPPND -VEKMRIISDLMGKLQNNRESILVKSIEKDLIDFSKSVSDLNKEKETVFVPFSVSERVQVVLTKSYPEFNI -TFTHSTHSDHGAAAASRLLENALLHKYAGSNYSDIGGCPRYHVNSRHVSVHVCRPLMDAKDSQRRIMRHR -GYDNMKLDSDDVSNLTAVLSTLSFCCKSVEECTHKSKTFTMVQVYDIPLLTLFEAMVLRECDITYATMIT -PGEILDGRTQFYVEDLECEIKINEGEDRLVYAFPGSVYTHSLKTVLGYMKNPLTVYKDYLFSVEMTSLRS -SVNLYVITKSSVYPDIRQTKLVRFRRCETDVVRVKIPNYCAKTRVCKPGHKYLYLDSKFVMRVLGHIMNT -CTVVNSKTFEWAFTFTKAATSRVVVSGKVVYKDVALGIENLDGFVAVMLAAGVRSRQNSEYFSKKLALYT -GDASCFQLVLFALKERFLAVMSSMREYVAKVMKSFFKEYFFLEFMDIEEPFTTISEYAEYSCEVDVNRKG -FLVEDEEIKILSSKSQDSVIVDSVSKIIGADQKGDKYVAPHMKKKGLYGAGTGGWASRLVEFLASINYNP -IAFFTEWVLSRLVGNPATQLIKKVVQSLSAVVKNTGDVVNAFALVWRACSDLVHVALAPLPDAVNIKRFV -SSSVDVIVKYGGVGQIKDFFYASLSYLQSLYSGASQRVLHVVKNLYEFCLQPSNGAVIFEGVVSLVLSLF -NHVPDLLMGTISINYFLVKLVGQIILEYNIASYTHDRVGPPETNKSEFFRRCCAALAAAVSTRGVKFDCV -GVVQLSTVLPMLTRKLLACVLVTDNAHINYVRHAGDDFPIFTYLSDLFSSVRHLPNELCTYICAQVGDYI -NHLGLSDRSDLSMTSYIASKCVKRIFSTFCKAQVTPAGQSTVELVTSAESCIESLGSAVPLDEIAKIAED -EVGDGLSPVSQPVNGESATSESLLKRLLRRFVVSGFSLYRTRPGLGGGSRCSNAIVRFLNYISGLVQRVF -SADLVTKALESVRAFISSVAPRALLSLGALSVSVSVGFVFPNLVPVPLFLNLFTGSHLAYTLIRSNILKS -ADLCRRFERRLGASLSLRSEIDIVLDASVDEANVRLPRLLAAHWPEYPGFVPECDIISVSDYDDNLTDDC -DDDEVLCHSSFWSQLSVITLKGLSCLTLALRVVFATGVVSCVAYTPSAIGATIYGVCGPIVGGLVLGCFH -DLNQLSPHSLISLTLTSLYCNLFESVFFYPKIALNRVKVLCGCSPDPIYRDDVVAALLLNKIRQLTAERD -GVNTPDGGEVPVGLGEMAEIAEEGTIGMSEEDFTDLESEVDEALSTISAANSGGLGGGSAGRWFIISLLK -AISVSVRAFVRFFPFKPVVKAGLLSTVSTNRLLTQVCGHALFTKCFIVRDLIVVGERANKWVPRLVVPIV -EWKRTPECLRRKLNGLLKVVDDHVLPFCRKYFFLEAAVIRWVWSCLPITWKPTSRRLTTYPALSIKTYHE -DDSEGASGSSSDFISSVERTKEALLFNERPVKVAPPLVSVPTIHPVQGAPKEESVADEGVGKIPSSKIDL -MPFAEAKRQDEVQSKKMQVRATQNCIPAKRKTSGSKRKGTQISKYLERLNNEEGVPTSYQEADRRSEFYS -MTNSIREFYYSHEVALYELFMKMSALWEDALIVDFEPQLCKATKEDGVFVINFDQGFAYGANGKRSLMDG -RFINDYEFVFNSSGLCPTEVALKKRGGFCIVHENLKFLAANAFLLNMPSRFIEYTNAEASVRVFEAPPGG -GKTYALVDTYVSLKKDGKKSVVVITANKNSQEEIVSRCVKTFCERGVEYVNAQRLSMTIYTVDAYLMHHT -SVRSEVLLVDECFMIHNGAVAATINFTGAKKCAFYGDSRQIHYIHRNELGISEYHDLNMFIPDTSRVYGE -VSYRCPWDVCEWLSTFYPRHVKSMARDSVGKSSMSVSEITNESDVPERPGFKYITFTQAEKRDLQKKFDL -SRFKTVVQTVHEVQGETYSNVALVRTKFQDEAPFTSLNHITVALSRHTDSLTYYHLGQKKFDEINGHINN -ARRIVENFKGLPESLTSSKLSYELGPMHEQSGECKAASAPYEVISLFLNDVIPGSATVDLGDLSEELSHT -PFESGCDDVVIRESSADTRGTSAQAPHRVRLCEESSDTEEAPIAPREPAVL - ->YP_008858531.1 RdRp, partial [Carnation yellow fleck virus] -ARKRLSLVPIRSLSEILLLLTAQRTTTLSGFSVIRSQAIPKRKPSLQENLYSYESRNYNFTVCERFSGPR -EFGESMAMVMLERSFNLDEVAKVRNDVIAITEKGMRTWMEKRTPSQLRALSSDLQKPLNLEEEISTFKLM -VKRDAKVKLDSSCLAKHPPAQNIMFHRKTINTLFSPCFDEFKNRVISCLNSNIVFFTEMTNSTLASIAKE -MLGSENVYNVGEIDFSKYDKSQDAFIKSFERTLYKEFGFDEELLDVWMQGEYTSNATTLDGQLSFSVDHQ -RKSGASNTWIGNSIVTLGILSMFYHTCNFKALFVSGDDSLIFSESPIKNSADAMCSELGFETKFLTPSVP -YFCSKFFVMTGHDVFFVPDPYKLLVKLGASKDDVSDEFLFEGFTSFRDLTKDLVDERVIQLLTHFVHSKY -GYESGDTYSALCAIHCIRSNFSSFKKLYPKVKGWVVYYGKLKFLLRKFASCTYEKFDTAFGEAFFLSHEV -DT - ->YP_008858530.1 polyprotein 1a [Carnation yellow fleck virus] -MAFFNINAVPSTFSVSLNAFLARRDLKLLDSVPHSLRESNAISKVRLTLSLSAAVPRPFASNVRLQFCSS -AEMPYPSVPLGFCLETVILEFNGCLTTFSLPSPLLNLVLCRPFSVLPTGVLSLSSRVVPSDTFTKLCEFI -FFFSLSSPFCEQVLLSALRFPLNRFVFHPGPLSVTTESFCFAAKTLPRGRLLQFHKSKRTNRPETLVIHT -SGLTFKTSAVGITSKPNSRPVTVKSATGESYEAYEILRSDFRNLRVKREVPFSRPQKPRKVKKVVEPFSL -PEEVREKKKSKFQLERNERAIEPGYIMFGSFKCALPPLKTDGKVIPRVPLLSGDAKEPTSSPLPPQSSRK -RAPENRAVPRKSLSSDSNLRRSPVKTFKPVVTSDSKFLTENTMGVRRVRTDRVLKNASHTFTYKKVPITR -FHKANKSFYVKRSPTVSSCRVYQSALVAYDNVLKNLPLYHPLSERLRFLIHFIGSDFEIEVQPLRERRLS -VTLILPRGEAYCIVTPRIPNYHIALSIARGERRPPTQLLRYQPGEGLCYLTHAAFCCAHFQRTFKEEDFY -VGVYTTKHIVARKLIEKLGPESLNYHVRGRQTARDLFHCDLMSTTSYPFYSLPKFLGGKKTRRRLKITSS -LKHKAIESAFERIPNNKDNLLARSVEKDLVDFKEDVKKFTREKPIVKVPFYMGESVQSCLTRSYPQFNLQ -FTHSTYSDHPAAAASRLLENETLTSIVRTRFSDIGGCPLFHIKRGSVDYHVCRPVYDVKDAQRRVLREFQ -AKNVVEGMTLEQLVEAHTRVSVCPHVLGGCDVKSDALILVQVYDASLSEIASAMIKKNSKVAYITMITPG -ELLDEREAFSIESLDCDVIVDCHRDVVQYKFGSSCYSHKLSNIRNIMLTPAFTVGDDLFSVEMYENRMGV -NYYKVTRSAYSPEVRIVKTLRYRRACSEVVQVKLPRFDKSLKTFLPGYDFIYLDAKFVSRVFDYVVSNCS -VVNSKTFEWVWSYIKSSKSRVVISGKVIHRDVQIDLKHSECFAAVMLAVGVRSRNATEFLAKNLNYYTGD -ASCLEIVSFFFREWSRRMFAEVNRSFRSLMRNILSASLDYEFLDLDDSLQHLLEYSETEVRVSIARKGEI -DCNEENRVLTEIIAQAADSKAVLQGLTSALNDVPRKSPAGGLRGGSKHGSGFFLALVENLKNIFFCVSDA -VRFVSASLQVFAQCKCVKFLRALLSLAEKVSPFISVVSLGNWLYEAFNVLLTSGNLDYASGAFKDFLSKT -VSFLAVVTPVKELFKTILKLLLNASELSKSVRRKTKIFSGAYWDIWFESILGDSEEYNYIELLSSALTAF -LSNSNLLLRGVNASVVVTEILLDFAVTPSLELLLKSVSPPDRTSASALYRRVLSSVLASFRGMERRSLFS -NLFFVCGFLPVMVRKFFSSFVSARSKNLTLGFWEFGLDNFFFLKYAASVVRSYLLTTSCDLAEFLIDSIS -SRLTGAAKERVSGLKSTVKNFCCRMFTKNSLFAGREPTDSDDEEFFSSDESYPGLRGGSTELTILQLLVA -FSRFLSRSRASLESACYQTYERLERSMKLYFFPLGDSSDSVSGLQRCSGSFTFSSDDEGFLEDDLLRQSY -ENYYSSDEEYINYGGEPTMIRSLLNVCKNFLKTYCCGSELRIKVSSFLLMLNDRLCALTPRVDRSVNNGP -GLKGGSLHLTISSIIKGCLSFACNSWLHLCLARLARECRGTRYQEVVFYLRIATSAVFPSSFVFVLTALH -PKNFSAALERLLEWFRFHRLPISAHFVRNLLSVCHTYARSRSRLILLNFVYSRTPPGDGVGAPFIGGEVN -AQPGVEVFHIDALPEIEVDDIRIDRSWEPDTSDSDEDSPNSVLTTPGLRGGSKNGRAYARFFLKLSWKIV -ARIPKLLYFFRNLIAFSFENCASNSALRVLLSLLRFFDNQSLTTLLFVVKHRESFLLALSDVELVLLNSG -RVGSLRFVSFVRRFFDHLCSVVPHPTTISSSLRKFFHLTKIFISGSSDSGTFMGAAKTKGKFIPPASSDS -TTLKYERLEALKTDIREHVISTCCGDDARSCDEDSENASEVRSVLPTVVRRSITGGECSYSTERSANERA -NLLPHVGKIVTDNRDRRTLHQGKRNLHGVSEFLNAINTSNEQPKPVIVDYCPDTGGLTNSVREFYYLNEL -ALSELSNKLREYYDQLNVVGFKRKESLCDKDEDLFVLRAGEGSVEGRNSKVPLKSFRTHEFCFRSEGLVP -YDGLNRMNTLFHTQSSFVASNAFLSGFLSYRTFKFTNLAVNILLYEAPPGGGKTTTLIDTFCETISKVDS -LILTANKSSQVEILAKVSRLVAGRDDVTCDLREKILTIDSYLMNNRGKTCKVLYLDECFMVHAGAAIACI -EFTKCASAVLFGDSKQIHYIDRNEYDVAVLSDLNRFVDDSHRVYGEVSYRCPWDVCAWLSTFYPKTIATT -NLTSVGSSSMRIREIESVEDVECSADYVYLTMLQSEKKELKKYLRKNNSGNTVLTVHEAQGETYARVNLV -RTKFQDDDPFRSENHITVALSRHVESLTYSVLSSRRDDAIAQAIAKANQLVEAFRVHPTSFGGSVLDISV -SPSTTDRSKCKASSAPYEVINTFLESVVPGTTSFDFGDFSQELSSQAFESGADKVVIRDSAPVNSSTDHD -PQRV - ->YP_006628167.1 ORF 1a/1b fusion polyprotein [Blueberry virus A] -MYQHTFQRAAARMSYQRSLNQTHIRRTRLTRVVDSEGFTSFVREHCPVRPTTSPRNNFRRPAPRNPPAYA -HGNRSAGPVPSGGTSSHSPRRPSGSAAFVPPSGGGARFVPASPGRRLFVDSRGVAHRHFFNNPRPASGSA -AFRAWPPFRPPRRPPSPTVIRRSSSGASAEAATIRTPPFVRVVSRGIAPSTPSEVAVPFYVDRNRLTQAT -HSLQWVKKSSIRRAAPSAVPVSPPGPSSPTVSAATSHTSEVSSVASSSYVQPGRSYASVVGSALPSESSS -VSSGSSPAAEIKSSTTINRIFRCGDLSYRFVVVGGIVHPDDLENYLYRFSLGYYPFLTSQLYQIGVAQLT -VCGNMIKYVLTNGVSNVVENGSYAAAYKCWLTREVSDDLNSTTNLNFGEGWCALKWFAMISHVENLPPAF -AHWKRALGRWPTLDSAISLLRYEFPTWNVRRVFLPFTLVNADGGHVSRDSKCVELEGTSLMRVGGIKPKP -RSHACGESPPSMPSALKALPVRRLSPMLGLCSRFSGRSFCPAVISKAIPAGANVATIIRILAKMYGRKIY -GIRVNYRLVMDNVQFLYRRARGLSGSVREARLGDILVNHGDRFIHSDDFSPIGGLCYRSWFKSADMTLGN -RKMHKVVATLGAYPTLGRVVKELEKAYKSDDFPRKFYFRVVGRVLSASDAWSNDHCDFFTLDARDSSFDR -YFVGGPKDLPSSVSINVLNSDEVVNCHNRVSGILQRTDPSKSAFTKAVEFDMINEYNIVAQQSKNRGDIF -IGYPIEDEHQSMLQMSFPEFHIRFVHSSYSDHPVAASVRLMYNHLFDSLYKDVGYIDIGGDLRYHINNGH -GDVHVCTPLIDAKDASRAVIRKLSWKGEPKTPLVNLALASETKRTFCYKDASLCDVSKDVAVMVEVYDVP -FIKACSIMSCRGISMLHLALCAPGELIDDHIKVIDVPHLSLRIEKVGDRVHYSYGAGVAYQHDIADIQSW -MKATTMTIGDDHFFCELLGVRAGISEYRVTRSKNQVSSGTTKVISIPNAYQGHVLVKLPSLDDNLLVSKS -KTAIRFVNCDFFMRCYVYGLKNCTQINEKNYEFMLTYMNNAMARSIISGKVVQSQCVMSPEDFAPMAALF -LVVAVRKRHYNAMYARKVGFRVGELSVFSVISDVLKDKFKDSSKALSKRVLKFLKKHLKFLDVFDCMDLD -EIYKVCDKYTVLRITDSATTPSSHLNVKDEIAEIESYVDRAYKRDLGDCANSAFLDVMKLATVMRSGSGD -GAPAGSNKGIEEEGGLGGGSSESMTTLVAQLKALKYMGWRFYSLLEKLVTLPFSTFGEIRDYMIALIQNL -RRSSNSVVNKLCKFIDLFLSQGYIFDLNAREKAYVTVSSLATHLLTALICVVTGTFSPTKALFFTLQTFF -GSSLVKMVLGSNLNFSRFRASDDFNAFIDTFFLVTDALILWPSSGVVSVAYGVCTALGMTRWMVRKALLP -VVGADSGFHGLMVCSDSPDCNIVRLIHFVKYVVSALTEFACSQVDAAMLAFRSTVSAGVADAQAAAKLCA -MNQVDDILNSMRTCRDRALEIPKNASTTLCNNLSDVCASAMERVSRVSNWVASNSFQSSDIEVVDLVGTG -DVVDVYQPPTPAEMAVLHSIINEDLDSLDDYKSACDGYSDTPGLGGGNLAVHASFLASLFSVGSKWKDLC -LFIDMIKSSGSMVAKTLNKLVKVVVKKLIDFFTVDNEPDDSQIEEVGVDYSSRAVDIKDSCIRALENLPT -NEFGSSSNRFIYLNFIRSRRESRRIICSERDARLILQEIVCVRRIQLGLPLHCDVFTDLSFVQLVDIFNS -VVGDEPNILDRLTFAFYQYHALDCVREPWSVALCNLLCRLGSSFTTSYYNRQIGLIGYNSDRVIQLTTPP -PGLSGGCSSDELISDCRRVMRFKLLRLKLYVSKMLCWGTNWICGLATYISVVRGVAGSAYHRRISVFGDE -LYFDGAEVRNYVVDLRDRGADKLEVVTAIIQAVFDDIETFGNTQCTAKVLLSGRRAVKIKRFFLRHLLAF -FVEWHSIISVEEDPNDRELTFLERQFSAPSEICFFIFHHYYRTRGLKFAVDWFMSQGRGDETILPRVSGS -ENPSVVDLLLSLINGYYPRCDFSCDSSAVYLFAHTDYGHAGKLNGGSPAATFWRLQTLIETISTTVRSSP -SVGRATQFLIKICNRVLRAVGLTYTTFGATLYYNKEISGPLVVVAEALKVILMGVTPESAAFFIYHHHGS -IYKSARRSWGYVPVSIRRFLAMKTNRLVALFWDGDSETFPATNAGSVLEMLEELDDQSNNSNAESTVGSA -SNRQRVSLRKTVQSHVDACRQFKDGVAAMLKLHEVEARSMNPSVRKQFTGLLAQGVAEIARCSGTTMEPN -LPYNEISNLIMSNSEWGDAGGDEEALLTSRKRKDAKADLSAAEDGVKVVDHKNKAKVHFDEPSSSQLRDS -ECAEDEFSTDSDNSDSILSPITALTEEKKGESGVKGVKSRAVGRRQTKTKVVTPGRREREEKCKYLLNIE -GGNITPPSASVMGDSVYDKAIGEYVYMNKYAVFETFEDLSNKWKELVAYDFVAERVSYIREPGVYIFSTA -SRKPIGKPAKEAKLGDYEWGFVNGKLTKFNPSRLSLELIASKCIIVTEALKHFSSNQILAGMEKDCKEFT -NTKLKAAIYESPPGGGKTQALVDVYVKFEKRVKVLVATANAHSPKDIATRVLKVTGKKVDDASVDAICRR -VRTFDSLVINGMPDCDLLLVDKAFLVHAGQILHVINKTMCKAVVLFGDSKQIKFINRQRLLSLVHGDIDN -FINRSNRFYTDVTYRCPHDTSLWLTAVYGRVITSKAPKNILSSMTMKLINSISDVTHNANCQYMVYTQGE -KNDLLRELRSRDPDQHFNVNTVHECQGGTYDHVILVRTKVQDDSVFSSEAHNIVALSRHTESLEYDVAVS -KAGDTTSENIKVAESMIKTLKQSNCDSLGEFYERYPEKLNFLDRKKIPVSSAPILTINDFLESVVPGSTT -FEFGDMSKDMASSNVTSCVDNIRINTGVSPNVTGESTQFVSVIRSQAIPDRTPSWQENLYSFESRNFNCI -NVKSHMGCDRFGAFLGSATLTRAFNPDKLADLRGEIATLTTVGVKNFLSKRSETQIKDLLSECDKPLDFL -DDITLFKLMVKREAKVKLDSSCMSKHSPAQNIIFHNKVVNLIFSPIFDTIKSRILYCLNPNILFYVDMNE -EELAEWVYRRIGGEDIYYKAELDFSKFDKSQDFYIKAYERFMYQAFGFDPELLDIWMEGEYHCRAMSRDR -DLAFTLSAQRRSGGSNTWIGNTLVTLGLLCMYYDLSKANAVLLSGDDSIIFHSREIPDTSEEIITDTGFE -TKFIRDAPAYFCSKFIVFCGDYVCFSPDPYKLCVRLGKTLNIRSYYELYERYISFRDVTRDYDDGVFIEN -LAPLVSRRYKCPLSEVYPALCSIHCARANFKKFREIYPRPSGYTLVRGYTHLILLLKHGYKVYEERKVAK -ELRSYVGFAVQMYLPDDDCQKLCDLTTLRAQHGRISYLNSSRGCKEKKMSKLEKRSARKLAVDVDYVSNR -ICAEYS - ->YP_003075965.1 1b [Carrot yellow leaf virus] -MAAASTITIRLGFSIVRSQAIPQRKPSLQENLYSYESRNYNFLKCDRFSSPEIFGKAMATSVLRKCLDAQ -KLAEVKKETIAFSQSALLKWMSKRDTSQLKSLQAEFGKPLQLDTAIHYFKLMVKRDAIVKLDSTCLTKHP -AAQNIMFHAKAVNALYSPCFDEFKNRFMSCLLPHIVFFTEMDNRAFASVARGVLGRCAQELFVGEVDFSK -FDKSQDIFIKEFERCVYSQLGFDHELLDLWMQGEYQGKATTLDHQLSFNVECQRRSGAANTWIGNSVVTL -GILSLYYDLAKMQGVFISGDDSLIFSSQKLSNHSEAICLETGFEAKFMSPSVPYFCSKFLVFCEHKFFFV -PDPYKLIVKLGQVRSEVEDADLFEIFTSFKDLTKDMHDERVLEYLARLVEEKYDVKSRCVLSALHAVHCL -GSNFSSFKKLFPKQKGWLTFSRVSKSLLQKISLGVLQQEKYSTAFGENYFLSYTSDDA - ->YP_003075964.1 polyprotein 1a [Carrot yellow leaf virus] -MAIVSTQVQTHFAASFDQTFNAFLSAATFTSPSFYRLSVSQTLSKFIDKLSKNKVLVIISPSKNFSFLFQ -LPSPISSSPLRYHPRKREPCVFYAQDGTIESPVSRHTAPSSEKEARKVFDFTRKFHNQNKTKIMILSHDR -GDRLYLPLSRKRIINVTSVCGHRYTLCAPRERXAHSHRRKTHVPFPRKSADRKKKNKIPVRALNCKPSSE -KDAAGKKKNPRKGDAFKPKSRNFDIAPRLPDTERISNPSVEVRLKPIPNATFSSRKPYLISESRFLVIGG -RLGLHATLTGDTDRCFHSMLKYLTCYEPEVDDFIVSFSVNGDRYNIKVRGSGAVDIIPMFSDEITTTSAS -RDYLTTYRMRSRGYIHDSILSRYHAPRGYCYLNHIFFLSLRAGCAFRPAKNYFKTLGRNPSATDLSARIS -AYFGFAAASYHIAGRYTGYNRFHCDNSSRKLYTLEYLREAAVGAEGEDEEVNTSLTDVGRVKALTQVHDS -LRANRESLLVKSMEMDLVDFLNTTKNLQRGKEKLRVPFMLTEVQQSRLIPAYPHFHISFTSSSASDHPMA -AASRLLENRTLVSFCSDHFIDVGGCPLHHYYFSKTKRVHVCRPVYDSKDAQRRVLRNTQLKSPLKAPLDE -DGSSDAPFVPSIHTSCAKVIDQCSYSADYMVMVQVYDVPLETLCASMSKRKVSVCYLTMITPGEILDRRE -AFHHGVLNCDITLDVGSDTITYKFGSSCYTHTLSTITQYMTTPVYSYENNLYSIEMTGERCGVNYYVITR -SEVSPAIDCQKVIRYRRCCEGLVRAKLPKFCKKTRKCLPGVDYVFVDADFVERIHQYVIGNCSVVNSKTF -EWTWNYVKSSKSRVVISGKMIQRDVSISLDQMEPMVVVMLAAGVRSRQASEYLAKNVALYTGGASILDVL -LFSLKEKYRGLKQSFNDYLTTTLKGFFADVLLMEFLDLDDAFTYYDNFSEITVGIKQQGFGAIDDGEVQA -LLNSRCSSDVMNSAIVSSLPPPKNKKSIAKNPTEGPKDPHGRERGLYAAGRRNDVLTSVIDMFLACRDIL -GNAAADALSLLKGVFSRILKEFGSFGSKLRLLYDSTMSLAAVFSFPPKKTAELLLSAFSHFKLVGCSFAN -SYMRTVKEILNGISAKGDRILQILKSYVSAISSAAHKSHSRIITEMKVALDALAEASYFDFHEELPFEVA -VSVIQKFVFDIPSMLTGKISPMQCVLRCATNLVFELNLNLAIARIIGPSDTLKKDMFNRTVSSLVSSAFL -DRFTFSPETFIRLSTVVPMVVRKLLVSFFSDDFSYYVGQVKYGVDDFSAFKYARRNASDAYHSILNSLCR -SSESYLDKVIDKFVETVKSTLLETLPGKEMYSSLLSLKGGVHARYQSLKKRLTRARRPQTSSDDEEDFFS -TSEDSELLSEKPGLFGNGPRVQGVISCGVGFVRDLLRSFRRLLSTLFIRCSRHFVANFNLNLLSEYSRTI -RLEYEDHYLKNTYYLHRLSEDVVQSFQLERSRSQLRVPREVLRTGVCSTVKWVVSDLMFFIRRRLTSKSF -LYYDLPHVILAFYTLPQSPLFFSLQLGTYVCELLHSDDVKLFLKTTYTRVGLSNTTSVTLYPLSHVEPVL -EDSPLSETESDESETNDLFRQSTIAEIENLSDENTSTAPGLFGDGHRISLISFIIRQIRQFIKCALSKSS -RSLTLIFLLGSVSNSLLFSKWSTTFSKFWGLFMVFLYPKSMIFPLVSETILRQKFLTPLRSILRKISAFS -SYLEKIFYTKRVAFSKIPDKRPAYLPVRAYKSGKEELNGKSSGVASIVESLEKIAHIKQAVKTTMKSVER -HSETFEGSEISSGYSSSSDEGAAVDQVTQTSPGAADSEKTQLLPIISEFATPRREVKHSASGRKEGLCKY -LQSLNICSRPPVPYTQVAPLPGNYSRCTNAVREFYFLQEVSLFDLHYKFLRYWEQLKCMDFDRTRTECEM -DEDLYVYTSSAMNFAPKKKSRVPLGLAHHEMMFTEHGVVLNDPKYRHNKLQHEQLAFVSANAFLRGCEYH -SSVVFDNSNVCIMLYEAPPGGGKTTSLIDLYFSYTGDFKCLIVTANKNSQIDIKNKVNKRAEKRKAKSGE -QASKAKGSGKDVMTIDSYLMNYFSSKCDILFVDECFMVHAGQVLAIINASQCRRCILFGDSRQIHFIQRN -ETCSSFYGGLNSFIPPSARVYGEISYRCPWDVCQWLSKVYKNAIKSNNIESLGKSSVAIREIEGLDSVPI -LSGVKYVTFTQGEKSELERFLKPKLPKVEVNTVHEVQGETFSRVALVRTKYQEDTPFVSENHIIVALSRH -VESLHYYVLSSRCFDDTSRAIKEMMEISEKYKTLPNYFSGSSIQMEVTGEPVDNSSCKALSAPLQSLNDF -LEEIVPGSTSINFGDPSAEMSVSPFECAVDGVTIHAGDNGSRLHDHDPARV - ->YP_874185.1 polymerase, partial [Raspberry leaf mottle virus] -SYIRSQAIPPRRPSLQENLLSYESRNFNFIKCERFSSPKLFGAAMASNLLLKLFDAEKLAEVRQSVISIS -EANIAKWLLKRDASQVKALMTDLDRDFDIMDDISRFKLMVKRDAKVKLDDSCLSKHPPAQNIMFHRKALN -AVYSPCFDEFKNRFLYCLPPNIVFFTEMTNEDLAEIIRRRLGDDDIYNIGEVDFSKFDKSQDVFIKEYER -ALYEAFGFDVELLEMWMEGEYNAYASTMDSQLSFRIENQRRSGGSNTWIGNSLVTLGLLSMYYDVSKFRL -LLISGDDSLIYSDEKIKDHSSQICLETGFETKFLSPSVPYFCSKFVVQTGSMTYFVPDPYKLLVKLGGST -PFVTDVDLFEAFVSFRDLTRAFDHQVMLERLCGLVHTKYCFTSGSTLPALCAIHCIRANFSSFKKLYPKT -VGWWLVTSSRLKFLSKLPGLIVSKAFSSTGESNYFCYLRDSFADDPG - ->YP_874184.1 polyprotein 1a [Raspberry leaf mottle virus] -MSPPFGELLVEVATDPETLRYLEAVHAAEAKAKLPTNLCRDIVLSLPVPIRERRPRPLPHVFCVNSAGVC -SPLNERFLSSSRRRIVKRPSVPSESPTGVLPASSASFRNALREAGVSVPSFFFSFSPPASAGQLVLVRAL -KSPKQNNRKLTLLITNYGLLLVRGDGASFSRTRRSRPITAVGPCFSTTVYISGKWRRARVRGDAKISPAA -RPGVISHLSPIPSVRKSKTKGSPFTPRTAAYHACPSVEVRTAFNDTVSNRTPAVRATTPGARSVAPYVFS -FPPDTTSAGLLKQRPEGCRFLPNAHTEGDLMYHALRYLRLYRPGGSKFSIGFYNRYCFVHFRESSTGSVF -VILQRPSSAVQFKFSCEAYDLHRRFLITGVLSPRLLFLTGYQEPVNGFCHLGASLFVALSLGVAAKYRPF -INLGRFPTFATVKARCELVYGPEAALVKGLPGNLRHGVFHVDLLSPLRAVFSDALVGGFIGYAPPISWFP -KGVEFEKFKKDIPFDLSGKDNTFYLRADDLPTALKYVTSRMNRFRIGREAVYSRFLHSSVEFLLRPAPGH -CVYFYVRVNTTWAGFKFTCSSYSAALSQQFTGVLPPYHSKMPSGYCYLDILDRTAVKRGYLHNADHTVRH -LGRFPTATKFRWFLQNFLPIRPTRVLASVTVSRNNIVHIHTNGDGRRIVDLFSLDRSYRIGGSDDGALAL -NDADRMKVISSVFATLSANRDSLLTKSLEQDLITFKSEIHDLASSKPQQRVPFSLNEADQIQLINAYPEF -KLVFTNSVHSDHAIAAGSRSLENALLHKFADSQRRVLRHHAYKRLKTDSATPSSILSATSSLSACSNPID -VCTHKSKVMTLVQVYDIPLPVLCESMILRDTEIAYLTMITPGEIVDKRLAFLVPQLNCEVEIKPQEDLLL -YKFSSSTFSHSLSVVLSYMNTPCYVSGGYFFSVEMFSARCGVNYYVVTKSDVCPKNSFTRRLRFKRCDPD -VTRVKIPRYNVKTKTCLPGCDYLYLDSKFVSKVFEHVVNTCTVVNAKTFEWTWTYIKSSKSRVVISGKVV -HRDVPIDIKYLDGFAAVMLAAGVKSRQGAEFFSRRLALYTGDASYYQMLEFAIGEKFRSVMQDFDKYLAT -KIKKMLKDAFSLEFLDVDEPLDTIGEYAELDVDVKLSAVGSIPEHEEEDKIVAAVKDAIVRDIAVSAVEA -QAPVRPKSEPYVPPSKRERPGLFAAGSSSAFSNFLQSPFTNVSDFFIRWAAAVAEGSSKAATLFVAFVRG -ILAKFSEFLKVPRVFTSLWALFSKVWGVLVSTKDSMKAAVVSLLDLCSGLVSVFSSSVGACRDFVSSILG -FVGSFVKGIRREVVKLFSNLYDFCLQSEDSFAPALECTVSLGIAIVTHLGVYLSGSSSGYVFFAKVSAQL -VLEYCANLFALTHFGKPELYSVEFFRRGVASLLAAVSTRGVLLDTMGVLTLSTVMPMLLRKVLAFPFVNY -SPHAAYVLYAASDFPVYSYLSCAVASGVLSLDSVVSLVTASINEAFDGIVTSKMKGCKTALKECTVSRVV -ARFCNGLTTPFSATSAKFRDLASGVPSFNVTSIRKSVRDRTARHFARILSQPAISDEAPLELVDFPATEE -ILEDSLFESAPSSFSGEDDRVSLLSRIRSFPFFSRGSSKKPGLFAGSKSSRVLEFLYHFFSTLREIPLSL -QSLWYFLVGRVKSVFSDCGGLMSSILCCINSGIDSVLLCSLPDVSCLFSDSPNLRGGLRGGNAGDFWFRY -SVLQDFALRYIFNSHTLSYVTNCFTFIIDRAPSFLLSGRDVVLRVISCIFPHISGAFNHSLYGVLPGLRG -GSSLSLSRVLCTIFPVVGITIADVFFTALTYSFHDAIVYFICGVGYLSGGIFSAFTLCHLLRFLFRTDWT -PLSKVANSLEDRCRSFLSFPPRSHSSSPDCQTDEERALRDLLVSIADLYTPSRDAARARLPDSEHDSDSE -ILSDFDCFDVPTVDGSVTLCDPLPRPEDFSDLEDDVSPGLRAGGPVLSLTTFLLTRLSRFISLLIRKVPF -LSIFRVLNLRFWGSRTADRLLSVYSAFYNPFQFISSVFVWAFRNPGLAFTNTLSTLRFVHAPTPVVDAFQ -RNGLPFLFDDRVVAFSRLCRWLAGDDIPRVCNRRCYFPVATSFDLSPVSEARSVNFVDSVESVLDLRSSV -GLPRREVIDDSDSDTTSESSTEPLADEPVVKASKGKAVLEEILEPVGQPAEASTSEKSVLMGIAESHRQE -TLSNVSSSVLPGPVVPSRRPRSPAKDNVVKICGYLQLLNSAQSVPTGYDRDSSMSNFSAMTNSIREFYYS -QEVTLYELYSKLFDYWREFVVLKHVREVCSLHQDEDCIVVDFIRGEMVYKTGRKSLKGRIDAYSFGFCSD -GLIPVELMKGKYDYCLVHTQTTFIAANHFLNSAPSRAIKFTNESVKIRVYEAPPGGGKTYALVESFCNMV -PKRSVVVVTANKNSQIEISKRVKNLLVANNWKYHDAAAIVKESVFTVDSYLMHHAYTKSHVVLIDECFMV -HAGAVCAVLTFTGASLAVLYGDSRQIHYIHRNDLGLSLLHDINNFLSDDSRVYGNVSYRCPWDVCAWLSE -IYPTRIQSMNEKSAGTSSMSVTEISCVEDVPLDQKFKYITYTQGEKRDLSKHLAVRATASNCKKVPEVNT -VHEVQGDTFAHVCLVRFKYQDDSPFSSQNHIIVALSRHTDSLVYHVLSTRRYDDTSSAIAKSKLIVAKFK -QYPGGECSSTLSWSKGPYPDKPGECKAASSPYQCITQFLEDAVPGSTVVDFGDTSLEMSEQPFESGADNV -TIKETTSRDSGSVQDPRRV - ->YP_762622.1 RdRp, partial [Strawberry chlorotic fleck-associated virus] -SYVRSQAIPDRKASLQENLYSFESRNYNFLDCERFSSPKQFGIAMAMAVLKSCFNLEKFSTLKDDLIAIS -EKNILKWLKKRDESSCKALMKDIEKPFDLETEISNFKLMVKKEAKVKLDDSCLSKHPPAQNIMFHRKAVN -AIFSPVFDEFKNRVLYCLNSNIVFFTEMTNDHFSQILRRLLGDQDVYNVGEIDFSKFDKSQDIFVKEYER -TLYEAFGIDSELLNVWMQGEYSAKATTLDGSLSFSVDNQRRSGGSNTWIGNSLVTLGIIALYYDVSKFRL -LAISGDDSLIYSDNKIANFATEICLESGFETKFMSPSVPYFCSKFVVQTGTNTVFVPDPYKLMVKLGASN -KFVTNEGLFEVYTSFRDLTKDYGNQIVLERLKHLVEKKYNFESGTTLPALQALHCLRSNFMSFARLFPRI -SGWWMVDPRNLKFFVKLPGIIIERVNEERDSRYFVSLLDSAEATVVSQD - ->YP_762621.1 polyprotein 1a [Strawberry chlorotic fleck-associated virus] -MAGSHHNPWPFIGYSPGSGSFIKTHLAPVYYADGGTLKSKLPVRTDRPCTTASISSKMLGASRAAKLNSG -VGPRRTPAKVRRSQVPEWILNHSSVAFDATLKIFKTIKSPKQNNPYNTLLITSKGVLYVESLGNVYAKPT -RGCVTRFAVGEQGPVPVFCSRRWRKTPQTECNSVASSSTPSSGVRKLSQEASALGKRKRLRKGSPFTPKT -AEYHNSPVCETRTYYNDQQASPVVARKSAYKYPYPSDTVFDSCGRVKPSADALFLKATSLDHLVKTAVRR -TENHIPAVNSLRTCYVCKWGKVFVTPINGVYAEIKVIKGGQSATFSVRAPAFCSHKIYFETGEGVESLNQ -FFKFPSVADGRCYLAHVFLVAVTLGVTAKFWKFTSLGSFPSLKAFRVRLASVFGPEALDVAFRATIKGKL -AHCDLSSPLTDLPEDCIVGGIYKALPLESRFVKQAPVDEVDFKKAPFTGVLPVTKLDAVVEFVTTYLHNF -ALGDVRFFNHFISRNRRVEVCLVGTTDKLVRVFIRMGSRWTSFDYEALEYAPYFLFFKTGEVIPEYVDRE -FAEGYCYMNFLYYTSLTVNRPFGVFTAMKTLGKFPTATKLLWFIRSRFGGPGRKILVRGHFTSNKKIFHV -DSTSSRIYNLAKMGYTVRVGGDDDEKSLITTSADKLKALNLVYDKLSNSRDSILVKSIEKEMIDFSAVIE -SLNKQKEAIKVPFRMGEQSQVALTAAYPEFNIVFSHSVHSDHPAAAGSRLLENALLHKYAVINYSDIGGC -PKHHLSAKHVGVHVCRPVLDAKDAQRRVMRHEAYKSMIMDTDKLSEVVSATSELTTCARSITDCTHKSKV -FTMVQVYDIPLLDLCTAMEKRDCSITFATMITPGEILDGRSHFSVESLNLEIEISSDEDLIVYRFAGSCY -SHSLKTVKGYMTTPYLKLGKYLFCVEMNSLRNSVNHYVITKSEVSPLIRGPRHLRFRRAEHGITRVKIPK -YCSKTRVCLPGCDIVYLDTKYVSRVYEYIMNTCSVINQKTFEWAFNFAKSAKSRVVISGKIIHREINLPM -KYVDGFTAVMLAAGVKAKQNAEFFSKRLSLYSGDASYLQLVMFALEEKFKGALDAFNAYVTSVVKNMLTD -GFGVHFMEIEDPFEEVGEYHDLVVNVDISPSGEIVESEETSLIEAEVKNALLRDSAAKYVAEETASEAKY -VLPRFRNNARKGEAKPGEGLYAGSSSTGSCFSKFFDFLSSATTDLKEFIVSWASQYLGSNMVGLSKLREL -INTIIKVCGEAHLKTKEGFSMLWSLLSSAITIVKDLTKEKYEKFLSFCESFIKTINMSVEKTRSFCLAIF -EFLGAICSGIKVRYLTVSSNLFSFCLQNSDGKAVVFECLVSLFMANHGSDFLFGKISLQFFILKCVSQII -LEYYANCFALECFGAPELYAQEFFRRGVSNFLACISTRGVILDTVGVVQLSTVAPMIVRRILGLVFSETS -PHLAYIKHAASDFPIQAYLKCLYDSHVFTRESLELYVTDLCKSLVSSVLSKDVLGVKTLIKDNMVTRVFS -RLHPRSYFIPKVECAVSSALSSLKAIEEKVVAKTSGVYSFAADNISAQYLKVANNRGFSKHKFSNTAVVV -AEDEDDCHTAPEIQRMHSGSDSELSEISTFPEGCEEEVFHDFIEDGQLSLLNSFKSYLLQYFVSTERKTP -GLGGGSRRRGVMNLLMRYLNYFLDCVSSIDIRGVQSSLYLAVKDVIFTNLPKYCLAVLSYNLIPLMKCSF -PVLFDRNLVNEAFMYSHLIHNCAELAYRISNTEWYLRAEDAIRRCCGFETRSSMEHKRRVSAYVEAMPGI -IAALANRGVLNLSGNIPSDIVALLDNAQQSNPNEDNLSGENSSTPEGSDWDFEYVQHRLAEGSTFVAEDV -FSANLGFNAPLNNTSEIVELIEDPESLGLENDWNIGALSDEDLGEFSDLESSEGGLRGAGIGAVTVVSIL -KFLLRICFKTILHPVVLKSFVKSVLVYITHTVKFVGPVVKGVTFPTYSIIRTLAKLLSYVQHFGGTLGRI -SDRLYFPMRLKTFTRKLADFMMCSEYSLTAVRIGNFLIRCESQVFEYIKSILRSRGKTHKAVSVSSCQFK -AVSVSEDDDDNFEAPAVAKDFLNTVQDAEKLLLVKERLSLRADREKRHNGKGSETAMSEAESSSDSDEEE -AVMGASEEKTEKCEEEIKVPSEKTVLHAEAEAFRVLEIGECSDTSTALRRSSKSRAEVVQSKSSSKVPMC -AYLNQLNSMQMVPLNYHEDSSSDPFRRMTNAMREFYYTQEVTLYEVYQKMADYWADFSACGFDRKYSKID -QDDKLFVLDFKLQKLIGKSATLNLKGVYSEYQFGFCSDGLIPLTAMGRKYDYCLIHDQLKILSSNLFLCS -CPSRLVKYTNCDIRIRVYEAPPGGGKTYALVQTYCRMIKKKSVIVITANKESQLEIVRRAKSELKGNLKE -GENLSLGDLKKLTSTIYTVDSYLMHHINVKGDIMLVDECFMMHAGAVTAAFQFSQCKKAALYGDSRQIHY -IQRNDLGCSLLHDINDFLSDEVRVYGDVSFRCPWDVCEWLSLTYPVHIRSTNEDSVGKSSMRVVCINSVE -EVPVDQDHVYLTYTQDEKRDVRKHLLKSVTDCPVVLTVHEAQGATYKYVNLVRVKFQENTPFSSYNHINV -ALSRHTDHLVYYVLVNRSMDDTASAITRTKKLVDRFRVYPQEFSTSTLQWNMGDMYEGKEECKAVSTPYQ -CINDFLEDVVVGSTTLDFGDMSSELSDQPFECGVDGVIIREGNNLRNNGVAQNPARV - ->YP_337912.1 RNA-dependent RNA polymerase, partial [Grapevine leafroll-associated virus 2] -SVVRSQAIPRRRPSLQENLYSFEARNYNFSTCDRYTSASMFGEAMAMNCLRRCFDLDTFSSLRNDVISIT -RSGIEQWLEKRTPSQIKALMKDVESPLEIDDEICRFKLMVKRDAKVKLDSSCLTKHSAAQNIMFHRKSIN -AIFSPIFNEVKNRIMCCLKPNIKFFTEMTNRDFASIVSNMLGDDDVYHIGEVDFSKYDKSQDAFVKAFEE -VMYKELGVDEELLAIWMCGERLSIANTLDGQLSFTIENQRKSGASNTWIGNSLVTLGILSLYYDVRNFEA -LYISGDDSLIFSRSEISNYADDICTDMGFETKFMSPSVPYFCSKFVVMCGHKTFFVPDPYKLFVKLGAVK -EDASMDFLFEVFTSFKDLTTDFNDERLIQKLAELVAVKYEVQTGNTTLALNVIHCLRSNFLSFSKLYPRV -KGWQVLYTSVKKALFKSGCSFFDSYMTPFGQAVMVWDDE - ->YP_337911.1 polyprotein [Grapevine leafroll-associated virus 2] -MSSLAISTLPCSVAQLSFGQPVAAVAKSFLMTSLPSLQTYPSLSELTSFSFCFGVFQNLKMFMSFLRSVH -VFASFSELSTFGSCYEFIRLGGGAHPLFFCSFQCGPLSVSLGLVNGVFAVLNLSFPFLSNASLLMDVGKD -VVQVKRKISKLEKKQKKRVFSMARAAARYVPSPRNPKEKRAVHVQPLPSGSFRFSQDKNKTELIILSKEA -GLVARVKCSACVVRRRGYGGAVKSVALIALSPFGVKFRCFAPSCSTSACLKLRIVRRVAVGDCRGEKVIA -ARRAELQKQNFNSRTPKKVRENPAGALEANSEASATSRVIFFGSFTQPFALYPHQESAKANMQHPPVSVV -TVERAVAKVAPERSVVDKVPTSVGVSPQRGVFSPPTVVRNCGDIVVTGVVHEALKKIKDGLLRFRIGGDM -RFSRFFSSNYGCRFVANVRTNTTVWLNCTKASGEKFSLAVACSVDYVAMLRYVCGGKFPLVLMSRINYQD -GHCYLAHMRYLCAFYCRPFRESDYALGSWPTVARLKACVEKNFGVEACGIALRGYYTSRNVYHCDYDSSY -MRGFRNLSGRVGGGSFDPSSLTSVITVKTSGLPDSRPKSIVFGAFRCDIRYIEPADSGGVQSSAKMKREE -VRRVVKKCAAGEAAAQSRRKKIEEKYRDGIPDGGFPHLLAGNLNEVRRKVAAGVLRFRVGGDMDFHRSFT -THSGYHLLVWRRSSRSVCIELYSPSKAFLRYDFLPCSVDYAAMFSFAAGGRFPLVLMTRIKYLNGFCYLA -HCRYACAFLLKGFDPKRFDIGAFPTAADLRRRMVSVLGDRSLGLNLYGAYTSRGVFHCDYDAKYIKDLRR -MSAVIAGKDGVEEVVPSDITPAMKQKTIEAVYDRLYGGTDSLLKLSIEKDLIDFKNDVQSLKKDRPIVKV -PFYMSEATQNSLTRFYPQFELKFSHSSHSDHPAAAASRLLENETLVRLCGNSVSDIGGCPLFHLNSKTQR -RVHVCRPVLDGKDAQRRVVRDLQYSNVRSGDDDKILEGPRNVDVCHYPLGACDRESSAMMMVQVYDASLY -EICGAMIKKKSHITYLTMVTPGEFLDGRECVYMESLDCEIEVDVHADVVMYKFGSSCYSHKLSVIKDIMT -TPYLMLGGFLFSVEMYEVRMGVNYFKITKSEVSPSVSCTKLLRYRRANSDVVKVKLPRFDKKRRMCLPGY -DTIYLDSKFVSRVFDYVVCNCSAVNSKTFEWVWSFIKSSKSRVIISGKIIHKDVNLDLKYVESFAAVMLA -SGVRSRLASEYLAKNLSHFSGDCSFIEATSFVLREKIRNMTLNFNERLLQLVKRVAFATLDVSFLDLDST -LESITDFAECKVAVELDELGCLRAEAENEKIRNLAGDSIAAKLASEIVVDIDSKPPLRQMSNSSSENVDK -REIQRPGLRGGSRIGVVGEFLHFVVSSALRIFKYATDQQRIKSYVRFLDSAVSFLDYNYENLSFIMQVLS -KGYSCMFTFFANRGDVSSRIRSAVCAVKEVAASCASASVSKAKVMITFAAAVCAMMFNSCGFSGDGREYK -SYVHRYTQVLFDTIFFEDSSYLPIEVLSSAICGAIVTLFSSGASVSLNAFLLQITKGFSLEVVVRNVVRV -THGLSTTATDGVIRGVFSQIMSHLLVGSTGNVAYQLAFIAGVVPLLVKKCVSLIFIMREDTYSGFIKHGI -SEFSFLSSVLTFLKGKLVDELKSVIQGVFESNKHVFKEATQEAIRTTVMQVPVAVVDALKCAAGRVYNSF -ASRRTFGKDNGSSSEDACEEYFSCDEGDGPGLKGGSSYGFSVLAFFSRIIWGARRFIAKMKHECFGKLFD -FLSLRLHEFRTRVFGKNRADVGVYDFLPTDIVETLSSIEECDQIEELLGDDLNGVKDDSLTDMSYFEFSE -DFLASVEEPPFAGLRGGSKNVTILAILEYVYNLFRIVANKCSKGPLLVAFAELSSALIERFKGKFSRKNK -IFTIVREYALSSLRCRMRALGLNNDFVVNSFADLLPMLVKRKVSNSFLSSVYRPIKSFSYMCASAERREK -FLALVCLVGLSLPFFVRIVGAKACEELVSSARRFCERVKVFLKQKCVSLLSFLSCLFFSDAGDSSASAGL -RGGASRVTLFHLFVRLASALLSLGWEGLKLLLSHHNLLFLCFAMVDDVNVFVKALGGLSFFVQPVSSVFA -AVLLQPDRFAGYSEKLVTAFEFILKCSPRAPALLKTFFEGVANSTVSKTVRKLLRFLVRKFKLRKRGGLR -ADGKGFHRQKAVPVIPSNRITTDGVERLSVKMQGVEALRTELRVLEDLDSAVIEKLNRRRNRDTSDDEFT -RPAYEQIQEVTTFCSKANSAGLALERAVLVEDAVKSEKLSKTIDEMARKGATTSDEVAVALSDDEAVEEI -SVAEERDDSPKTVRMSEYLNRLNSSFEFPKPIIVDDNKDTGGLTNAVREFYYMQELALFEIHSKLCTYYD -QLRIVNFDRSVAPCSEDAQLYVRKSGSTIVQGKEARLHIKDFHDHDFLFDGRISINKRRRGGNVLYHDNL -AFLASNLFLAGYPFSRSFVFTNSSVDILLYEAPPGGGKTTTLIDSFLKVFKKGEVSTMILTANKSSQVEI -LKKVEKEVSNIECQKRKDKRSPKKSIYTIDAYLMHHRGCDADVLFIDECFMVHAGSVLACIEFTRCHKVM -IFGDSRQIHYIERNDLDKCLYGDLDRFVDLQCRVYGNVSYRCPWDVCAWLSTVYGNLIATVKGESEGKSS -MRINEINSVDDLVPDMGSTYLCMLQSEKLEISKHFIRKGLTKLNVLTVHEAQGETYARVNLVRLKFQEDE -PFKSIRHITVALSRHTDSLTYSVLAARRGDATCDAIQKAAELVNKFRVFPTSFGGSVINLNVKKDVEDNS -RCKASSAPLSVINDFLNEVNPGTAVIDFGDLSADFSTGPFECGASGIVVRDNISSSSITDHDKQRV - ->YP_224091.1 polymerase, partial [Mint virus 1] -SLIRSQAIPQRTPSLQENLYSFESRNFNFLTCERFASPSVFGKAMARNLVERCFGDCDFLSFKNSPICLS -SGALLKWLAKRDSSQIKSLQSELNRPLDLETSIHYFKLMVKRDAKVKLDSSCLTKHSAAQNIMFHAKAVN -ALFSPCFDEFKNRFMSCLKKHIVFFTEMDNRLFARVVNGLVGSDDSDLHVGEVDFSKFDKSQDVFIKEFE -REIYSLLGFDSELLQLWMEGEYAAKATSLDGKLSFDVKNQRRSGASNTWIGNSVVTLGVLSMYYRVDELS -ALFVSGDDSLMYSRSPIRNHAEAICIETGFETKFLSPSVPYFCSKFVVHCGHKTYFVPDPFKLMVKLGAV -RNELTDKDLFEVFTSFRDLTKDFDDERVLVKLNYLLESKYGKVSAFALPALRSIHCLASNFSSFVKLFDK -SCGWVVVPKINSYFKKLISLGAYHEKFVTPFGEQYFISW - ->YP_224090.1 polyprotein 1a [Mint virus 1] -MAVCVFAVPIFSSGNLSVPRLSFEIAPATAVPGLSQLTFSMSDCTGKEIVLSTVPSTGVAPSCTPSTLAK -RRSILRRPTHLPKGECTFYCGLTEGTAHRSPRRDIGKSFPCLFVASGGICHKVPFTPENVNTSCLFVRQD -GRCSKVPCPTARRSDAIVGKGGFSLTRQFHNRNKTKTMIINLHDGDRFVTDRCTLLLSGGKPVEGTTCGG -RRISLFSKPFTAAKCGKGGPRTPRRISHRFRTQSPNEINTLCVDSIVSLFEPLPVVKPADSITMFFGEFE -TVIPLAPERASVVFSQPEPESVEEVVPEVHRNAPTARSRRHRGRGINTPAPTRNFKLSAEKVKNSFVSVD -GVTVPLGGKVGHHYKFDGGYDEFRTAVTDYLSFYSPLRDDFFSSVECGGVNYNFTPVGARRVAVVRSDGR -VVARLPASRDFAEVYRMQTTKSFSGRLVSNFHSPNGLCYLNHVWFLCLISGTSFLRARKYFGRLGAFPGV -ESFFSLLCNFFSYHAVRIQIRGYFTSTGIFHCDNVRGNLFNHRYQRLRSARVGGENGEVPNEMVNAITPL -EKERLINQLIETSRGHKDSLLLKKMEVDLVEHVARLKRAQLEKPERKVPFHLTEQQQSHIVRDYPQYDIL -FTHSTHSDHPMAAASRLLENFSLSDKCGDDFSDIGGCPLHHYRNSKLKKVHVCRPILDSKDAQRRIIRNA -EFRKPQKHASKDVEENLYVNSLHTSCSYTISECRHTTPYMMMVQVYDVPLSTTCQSMINKGADVCYLTMI -TPGEILDRRECFHHDVIGCDISIDIHRDSIVYKFGSSCYTHDLSLVTEYMKTPVYVLGGYLFSIEMVEVR -CGVNYYVITKSDVSPTIDCSKTLRYPRCCLELVKVKLPRFCKKTRKCLPGIDLIYVDRKFVERVYEYVVG -NCSVINSKTFEWTWNFVKTSKSRVVISGKIIHRDVSINLDNLEQFVVVMLAAGVRSRMSSEYLAKNVSMF -AGDASFSEIVRFTISEKIKDLKRNLNRTICDSFRKLFADALLMEFLDIDDSLEYLDAFSETVVHISLRGF -GSVPSNEPEIMIADKSLNDTVDSVVSEQVRKMYVPPHVKSRDGNNRPKGGLRAGSPVGVYEKVLNILRTL -SKNFFCIGSSFAFHVRAIVSKIFPFERLPNLVKVIDLLVDQVSVFKPSAFFELVSRACAIFSEKRAHASE -FFYDYIVARIPVAPGSVKGFLTSCANSAMSLSELGGKFSLVFQPLVKFFDSFKHWSIFDGSYVFCFESAA -IVCKRLIFDIRKVLEGRMTWKSLLSRTLFDIVFEFSLNALLSCKLGPADTIKKDLFIRSVSSVVSDGVLD -GYDMSVMSYVKLSALVPMLARKFIVSFFSDECDPYVPLMRYAASDFSAYEYLALVFKNEVQGHVELIRDS -VTDCLRAVGGKAAARTEAVLDLVEQRLELISRRMVKNSIDEVTKRLSDVAACKRISSSCSSISGAVIATS -NRASRFVKRVMNRRCSDPGTDVYYSAASDSNDESTSAKGGLAGGNSCRSFVGVITALLALLRGLGKFAYD -EVYFLIVNQLLRPLNLAEFISTLKEFKIDRLRRRNLNRIPVTFSARQFGQLVFVRATEHCHTVKSVIDRV -GVAGMFKVAFLDFMDRFGSVEYLLFELPIYLAELYMYYNHPLTFSVRVVSYLISFTFQPVYTCDIITTVA -NGVVVNTERSVVEEIVPDEYDSDSDFSMGLGEVDYGNEREIVVGGLAGGARTNFNAISLIFRFIRFIAKL -VFTSRFINGFLRYVKSSFISSVINRRENTVVSRLISSLVLIDDPASCFIPVVRAAYNRRGHRTYPVVRKV -VEPLLFLENLFSKRIYDEEKSETTSVSYRRVEVETRLPSPIVRGEIITSVENLSNLRDELDVLFKNAIPT -NSGNESDPVFDTPGEGATGDLRQDEVRAEECDVPTNAVAVDEIERADLTPFVAQATEEGKENERMGIDSG -RIIIPKLRKGNAACCKFLNLQNISTTVPTFSISNSRSFPMCSNAIREFYYAQEMKIFSIHSKLLGYYEEL -RAVDFNRKAATCSQDVDLSMYDPTSNTVHCGDGTNRGLSKFSSHQFAFTSAGLKPFDLKEIQKQGTHALF -HEQTHFLAANEFLKGFESHSTAVFSNEDVTIKLFEAPPGGGKTTTLIELYIERREKHKTFIVTANKNSQV -EISDRVARKLADSEVPFDKRSVMTMDSYLMNRCGETCDLLFMDECFMVHAGQVLGIINKTMCKVAILFGD -SKQIHYIERDEFVSTVYHDIDSFIEPFCRMYGEISYRCPWDVCEWLSKLYKRQIKSNNTSSIGKQSVSVV -NIESVEDVPFVQSIKYLTYTQSEKAELRRKFEKFSSEPSVNTVHEAQGETFKNVYLVRTKFQEDAPFVSE -NHVIVALSRHVESLHYYVISARCYDDTASAISTMLDISEKYRTMPRSFETSSISMEVSGESPDDSRCKAL -SAPHDSINSFLNEILEGSNSINFGDLSAEMSSQPFDCGVDGITVREASDEKVYDDHTNRRV - ->NP_835244.2 polyprotein [Grapevine rootstock stem lesion associated virus] -MSSLAISALPSAVAQLSFGQPAATVAKSFYMTSLPSLQTCFTQCEFSSLFICLASFQKIKTFLSFLSSVR -ELALFSELSTIGFCCEFIRLGVGEHPLFFVSFQCGPLSVCLGCVNGIFAVLNISFPFLDVASLLMDVGDV -VTPTKVRISKRAKKLNKRAFSIARAAARIRRSKVGNKEVRISHVQPLPAGCLRFSQNKNGTELIIIGRDV -GVVARVKTAAPVVRRRGGGGRVPLIPLVAVSPFGVRYRCYAPSCGAIACFKNKLASRVAANDSRAEKLLS -ARRRKLQQQNFNSRVAKKARDVTASRVDMAEGEVTPPRHIFFGSFSEPFPIPSSSGMARSVLREEPAIVT -CGASAPAIKETPRVSVKKADFSPAAPVPREALTPRVAKRGEAIVISGVVHEVLNKIREGVLRFRVGGDMR -FSRFFSSTHGCKIVANVRTNTSVWLNCTKVNGEKFSVAIPCSVDYVAMLRYVSGGKFPLVLMSRECYPDG -LCYMAHMRYLCAFFCQPFRESDYPLGSWPSVARLKALVLKRFGDEALNIGVRGYYVSRRAFHCDYDSKYA -RSLMRLAGYVGGDEVIHSTLASTVVLKPGERARDRPKSISFGSFCCELRYVESVNPDAPRLRSEKVLRKV -EPRNGVRTESADVGSNVTRKRDARIDRKFSHLLAGSVNKVKKRIAAGVLRYRVGGDMDFHNSFLTQAGYH -LLVRRKTSSSVCVELSTPDGRLLRRDVIPCSRDYAAMLSFAAGGRFPLVLMTRDKYKNGYCYLAHCRYAS -AFLLKGFHPAVFDIGANPTAAKLRSRMVSVLGDRSLSLNLYGSFTSRGIFHCDYDAAYVKDLRFMSAIVA -GKEEMGEVVASDITPAMKQKTIEAVYDRLYGSNDSLLKLSIEKDLIDFKNDVQSLKKDRPVIRVPFYMSE -VTQNSLTRFYPQFELKFSHSTHSDHPAAAASRLLENETLVRLCGHSVSDIGGCPLFHLNSKTQRRVHVCR -PVLDGKDAQRRVVRELQYSSVRSGDDEKILEGPSNVDVCHYPLGVCEHESSTMMMVQVYDASLYEICSAM -IKKKARITYLTMVTPGEFLDGRECVYMESLDCDIEVDVHADVVMYKFGSSCYSHKLSIIKDIMTTPYLVL -GGFLFSVEMYEIRMGVNYFKITKSEVCPNISCSKLLRYRRANSDVVKVKLPRFDKKRRMCLPGYDIIYLD -SKFVSRVFDYVVCNCSAVNSKTFEWVWSFIKSSKSRVIISGKIIHKDVNLDLKYVESFAAVMLASGVRSR -LASEYLAKNLSHFSGDCSFIEATSFVLREEIRNMTLNFNECLLQLVKRVAFATLDVSFLDLDATMESITD -FAECKVAVDLEELGCLRANAENEKIRNLAGDSMAAKLASEIVVDIEAKPVAKHAEHPKSEVSEKKEHAKP -GLRGGSKAGVLKEFLDFVLSSASRLFSCVADQQRIKSYVHYLDTAVSFLDQNYENLSFIMRVLSKGYSCM -FSYLANRGSLANRVASAVQIVREIAVLCANVSVSKAKVVVTFSAAVCAMMFNSCGFSGDGREYKSYMHRY -TQVLFDTIFFEDSSYLPIELLSSAICGAIVTLFSSGTSVSLNAFLLQITKSFSLELIVRNVVRVTHSLST -TATDGVMRSVFSQIISHLLVGNTGNLAYQIAFLTGVVPLLVKKCVGLIFSMSEDTYSGFIKHGVSEFSFI -TNILKYLRGQLVDELKAVVQGVFDSNKHLFRDVTQEAIRSTVMQIPTAVVDGLKCAASKVYSGIVGKKTN -VGEEKSRGGGDDHEEYFSCDESETPGLRGGSRSGFSILFFFSRLVRRARILIGRIKHRCFSKIFESISLK -IHELRTFLLGKKRSDVGVYEFLSEDVADALSSIEECDEIENLIGDGFRDMADDSLTDMNYFDFSDEFLAS -VNGLPCAGLRGGGRRVGMLAILNYVYNSLSIMARAASKNSTFTSLIIFSRGLVDRIRNIFSNKESVVELV -RKYVKNFLKSRMRGLGLRNEHVVNSFGELVPLLLRNKLSETFIYRAVPMSKWCWKVCLSAERREKFFALV -CLVTLGFPYFARFLGGVVCDKLLSSLRRAYDRVIVFLKERCDAAMNGLRCLFHFDGNGDSTSAGLKGGGS -QTFLWGLLLRLVRFALSLGYAGCKCVFSHHDLFFLCVSLVEEVNMIAKILGCLSFFSRPISSALAFALLQ -PERFVEYSGKLSTTFLFFLKISPRVPLKIKGFFEWVAGSPLLRGVRRFLRLIAVKLNLRRKRGLRTRNGG -YPSQRAVPVIPSNRVTNDGCERLSEKMQGVEALRSELRILEELDAAVVEKLNKRRNREADDSEFSRPMHE -STHDVTSFCSKANSVGTALERAVLVEDAVKSEKISRMAKDLVRKGTVSDEEITNTLSDDEAIEEVPVSEE -RDDSPKTVRVSEYLNRLNSSFEFPKPIVVDDNKETGGLTNAVREFYYMQELALFEIHSKLCAYYDQLRIV -NFERSMAPCNEDAQLYVRKNGSTIVQGKDVRSNIKDFHDHDFLFDGKISVNRRRRSGNVLYHDNLSFLAS -NLFLAGYPFSRSFVFTNSSVDILLYEAPPGGGKTTTLIDSFLKVFKKGEVSTMILTANKSSQVEILKKVE -KDISNIECQKRKDKRTPKKSIYTIDAYLMHHRGCDADILFIDECFMVHAGSVLACIEFTRCHKVMIFGDS -RQIHYIERNELDKCLYGDLDRFVDLQCRVYGNVSYRCPWDVCAWLSVVYDNMIATVKGESEGKSSMRINE -INSVDDLVPDMGSTYLCMLQSEKLEISKHFIRKGLSKLNVLTVHEAQGETYARVNLVRLKFQEDEPFKSI -RHITVALSRHTDSLTYNVLAARRGDATCDAIQKANELVNKFRVFPTSFGGSVINLDVKKDVEDNSRCKAS -SAPLSVINDFLSDVNPGTTVIDFGDLSADFSTGPFECGASGIVVRDNISSSNITDHDKQRVSAVRSQAIP -RRKPSLQENLYSFEARNYNFSTCDRFTSASMFGEAMAMNCIRRCFDLETFSSLRDDVISITRSGIEQWLE -KRTPSQIKALMKDIESPLEIDDEICRFKLMVKRDAKVKLDSSCLTKHSPAQNIMFHRKSINAIFSPIFNE -VKNRIMSCLKPNIKFFTEMTNRDFASVVSNMLGDDDVYHIGEVDFSKYDKSQDAFVKSFEEVMYKELGVD -EELLAIWMCGERLSIANTLDGQLSFTIENQRKSGASNTWIGNSLVTLGILSLYYDVRHFEALYVSGDDSL -IFSRNKISNYADDICADMGFETKFMSPSVPYFCSKFVVMCGHKTFFVPDPYKLFVKLGAVKEDVSMDFLF -ETFTSFKDLTSDFNDERVIQKLAELVALKYDVQSGNTALALSVIHCLRSNFLSFSKLYPRVKGWQVFYTS -VKKALFKSGCSLYESYVTPFGHAVMVWDDE - ->NP_042860.2 401-kDa viral polyprotein [Citrus tristeza virus] -MSKLRGSFWSSAIAVNSDYTISRIWRKLNTIVVLHYFGFVRITKVIRDKSADMPIVPLRKSVFPFSVRAA -VTSFVLLGVLLTLRIQGASVAFPLSTHLALFVPALFAGFSRFSLCKPPLTSAAKRSLRQAKRESVSLSSR -ASSRFSREVPRGTRSRLHRRVVRRADTAPVESPQDKPTRRNSRLGKTSHLPYVGEAALDDILRWIEEVNP -HPSMVAIPVPITFGTMPATAWCSHSEAAVLRAALTITRLKLISPLRRNTYGTSIMTYLLPLTVPVRFLPA -SASIFPSKSKLGVEVPSGRDLHTAFVRSSHASAFFSDAVRASFVPSSAEQFFHFRRQRTHVLAITDPVLC -GTVSVLMSDGVNTARLDVVAPAYYAYAESLGARVRFLWESSDVDDAKVRDGQCYVRHVFDVALYFGRRAD -LSVRRALGMYPTVGALKAYLVREYGRDSLKVPMRGTYTFGSVFHCLSLNLQVDLRSIPNHHLVGGTSAHV -LIGQVRVSVPGSIVKPSRSAVRRRNRRMRRDGFLGSSGSSSSAGESTRCSDCSSPRGLPSPSVLKPSLGR -IPSIDRRFRFPAGTQLRVSVPRRVDDHVYVSTGSNFDELCHAVLTRMSKGTTLEILLHSIRERKGLLGFA -ACFRWGSSHVFVKRGNFTARFPISAVRYENQYAAVMMDVGTSWVPKGYVPDVDVVKRRRSRNRRFPLSHR -AVDQSVPALQSSPVEVASVSSDKPSSSQKASSPSTSSSSSFNSTRSSDWVNVGFSHRTTNKVREPRYAGH -RRIGNFTFPRGTVYNTPVDERAYKRVLRLRDTTACSFLRILLARLSGYRPLSDEFFNKCVTSRFVACIEP -VESGLVKVHFRSDVFRASFPFDGQPIHPATALTLEVVVSWDWMVTAFQNPLKDGYCYIRHFAEVSLSMGR -IFFRRDVDLGPFPYVFEVQHRLERLYGKAALRYGVRGQYSAPRCFHCCYNDSPRPMASFNGYHKMGGEDN -SLIITDTDRLRAVGSAYEKVKRNIPDSLLVRSVEKDLIEFNQTLVDMHRSRPGVVVPFQMSENQQVMLTR -AYPEFNINFIHSVHSDHPVAAGSRALENHLVRKHAGTDYSDVGGCPLFHLRAGHSGVHVCRPVYDVKDAH -RRVVRHHQLSKVSLDQSDGVKQVGWTVNTNSVCGNILGECYHASEAMVMVQVYDVPLRELCRAMINKKTS -VCYMTMVTPGELLDARESFFIKDLDCSVELDPIADRVVYCFNNSAYTHTYSTICECMRTPCLVVDGFLFT -IEMVSLRCSVNYYCITKSSVCPRISETKRLRYRRCDSDLIRIKIPRYSSKTRSCLPGCYYLYLDAKFVSR -VYEYVVNNCVVVNAKTFEWTWNYIKSCKSRVVISGKIIHRDEPIALEYLDGFSAVMLSAGVKGRQNADVS -RRLAAFSGETSIFELVGFAISEKCRDLFLGIQDAVERCVKSYLRNSFNMSFVDLSDPLLTISEYSELDVP -IDLPGFGGIVDGNETRLMEDGVKSALLRKAVQAEAQLSLKRGNTSEIVPCDDDDDSNGDDSRVSLDTDWW -FVLRGGSSGGLRAGAAPSLFRCLLRKLGGGLCLAKKVLELLVKRVVESFSRLKRKTARLTSFANLLLARL -SCGFFDDTVFSAVWEIAINLTRIVDGVVKTKLGCFRNLFRLIPKLYAGCKDVVKDVSNAYRSVVSFSAEV -LGKSYSKLCQFCLEIFDIGLIEVSARNLGLETCVSIALAIFDVLITFPLGSVSFPVVCARVFGRLAMEVG -SNFLFKKVFGKPETLGEDTFRRTVSMVLRPKAFDKLSVDAKGLVRCSGVIPGVLRIILSSLFSEDDAWVG -YSKHEVSSLPIVTFCVKRLSYSLERCKEACVAFIVDSAKKILKSLTSEFNESIQNSDLTSGAKSLYGHVS -SILYTSSGVINKAGSAVATVKAVGSDISGRVKRMRPRFSLSRHFDEQTSEYYSASDCSELDESLFSETPG -LRGNARCRDLFRIVSRRAVACCEYVTILLKRLASRAGEYSYSYVMDTLVRLYVATDDVVRRCRSFTFDCT -DKASNFVTGFLSWRSLRSGAYSATPGLGGGSRGLTSFSHTGGFTRVIGALGNTLSVVFDEEFFRTLLHRL -RADVVVLLVEFLKNLPVCLFSIYTFIECRGRIFPLREFLWGFCRFVWDVIEGLSINTYQYLSAVAEKVYR -GAFNRRFNEEDERTLRVITEAEGRIEFLRVVLAEMERFRAASNEVSSSRSSDSPSFPPTYGEIEELNDDS -SDSALVIGVDNGTGRTIVDGETDSASGSDSDVSIPLDRDFEQIGRLGGNGNVDVVRLGLKFVLKLLRNLF -DARSFKVLYGLLLQTLFYGGSVNKFVCRLVFSLGTCLPFELLRFACSARRLLKSLASFVYQTNLFSEVMV -EHLFSVSRLLYDSRYFATVFSELERLNFYLDYFLSLPKRYLFPNSRARSCARVPVPVIRTEGVAPVRERS -LNDILDSLDSLKVSDLKGKSTFVDDGESDSGSEHSGSSISFGSVNEEVLNAVNGDSVCEKAPLMKHVTAL -SRLERHPSGRKDIPVSRKTFVRGESSGVKSKVSMCDYLVTLNSSFGTVPDMYPVARDITYKKLTNAMREF -YYSQKITLYELHGKLSSYWDELKVAGFDRKLTRMDLDDDVYVVDFNRKLLVGRNGSHPFRNTLKSYCFMF -CNDGLVPCPRSQKYDYALISNQTSFVAANSFLRAVDGKDLTFTNEEHSLIVYEAPPGGGKTHSLVNSYAD -YCVKVSCLVVTANKNSQTEISQRISNELMGRKLAAKYVTDAASRVFTVDSYLMNHLRLTTQLLFIDECFM -VHAGAIGAVVEFTSCKAVVFFGDSKQIHYIHRNDLGVSFVADIDAFIQPEHRIYGEVSYRCPWDICEWLS -EFYPRHVATANVGSIGKSSVSIEEINGCDDVPYDKAAKYIVYTQAEKNDLQKHLGRLTVGRNKVVPIVNT -VHEVQGETYKRVRLVRFKYQEDTPFSSKNHIVVALTRHVDSLVYSVLTSRRYDDTATNIDRAKEIFDKFR -SSNHSYGSSSLEWYLEKYPTEYKGSKASSAPISCINEFLNEVVVGSSVVQLGDVSEELSSRPFESGCDNV -TVETPPLLTRVTYTNRLAFGVVRSQAIPPRKASLQENLLSYESRNYNFIKTERFVGPSEFGRAMAAAVIE -RCFKMEEMAKIRCDIISLTEANILKWLDKRTPCQIKAVHGELKLPFSVEEQISNFKLMVKRDAKVKLDDS -SLSKHPAAQNIMFHKKFINAIFSPCFDEFKNRVLSSLNDNIVFFTEMTNAGLAEIIRRIIGDDDNLFVGE -VDFSKFDKSQDLFIKEYERTLYSEFGFDTELLDVWMEGEYRARATTLDGQLSFSVDGQRRSGGSNTWIGN -SLVTLGILSLYYDVSKFDLLLVSGDDSLIYSSEKISNFSSEICLETGFETKFMSPSVPYFCSKFVVQTGN -KTCFVPDPYKLLVKLGAPQNKLTDVELFELFTSFKDMTQDFGDQVVLEKLKLLVEAKYGFASGTTMPALC -AIHCVRSNFLSFERLFPFIRGWYVVDALKLRQLRKLTNLICERVVYDNRVSYFSYFDNPFTKPDANDDNV -DDLGQAGELATG - ->NP_041870.2 fusion protein of papin-like protease, methyltransferase, RNA helicase and RNA-dependent RNA polymerase [Beet yellows virus] -MAFLNVSAVPSCAFAPAFAPHAGASPIVPDSFPCVPRYSDDISHFRLTLSLDFSVPRPLSLNARVHLSAS -TDNPLPSLPLGFHAETFVLELNGSSAPFSIPSRHIDFVVNRPFSVFPTEVLSVSSLRTPSRLFALLCDFF -LYCSKPGPCVEIASFSTPPPCLVSNCVAQIPTHAEMESIRFPTKTLPAGRFLQFHKRKYTKRPETLIIHE -SGLALKTSALGVTSKPNSRPITVKSASGEKYEAYEISRKDFERSRRRQQTPRVRSHKPRKINKAVEPFFF -PEEPKKDKRKRASLPTEDEGFITFGTLRFPLSETPKEEPRLPKFREVEIPVVKKHAVPAVVSKPVRTFRP -VATTGAEYVNARNQCSRRPRNHPILRSASYTFGFKKMPLQRFMKEKKEYYVKRSKVVSSCSVTKSPLEAL -ASILKNLPQYSYNSERLKFYDHFIGDDFEIEVHPLRGGKLSVLLILPKGEAYCVVTAATPQYHAALTIAR -GDRPRVGELLQYRPGEGLCYLAHAALCCALQKRTFREEDFFVGMYPTKFVFAKRLTEKLGPSALKHPVRG -RQVSRSLFHCDVASAFSSPFYSLPRFIGGVEEEAPEITSSLKHKAIESVYERVSIHKDNLLARSVEKDLI -DFKDEIKSLSKEKRSVTVPFYMGEAVQSGLTRAYPQFNLSFTHSVYSDHPAAAGSRLLENETLASMAKSS -FSDIGGCPLFHIKRGSTDYHVCRPIYDMKDAQRRVSRELQARGLVENLSREQLVEAQARVSVCPHTLGNC -NVKSDVLIMVQVYDASLNEIASAMVLKESKVAYLTMVTPGELLDEREAFAIDALGCDVVVDTRRDMVQYK -FGSSCYCHKLSNIKSIMLTPAFTFSGNLFSVEMYENRMGVNYYKITRSAYSPEIRGVKTLRYRRACTEVV -QVKLPRFDKTLKTFLSGYDYIYLDAKFVSRVFDYVVSNCSVVNSKTFEWVWSYIKSSKSRVVISGKVIHR -DVHIDLKHSECFAAVMLAVGVRSRTTTEFLAKNLNYYTGDASCFETIRFLFREWSRRAYAEINRSFRKLM -KSILSAGLDYEFLDLDNSLQHLLEYSEVEVRVSIAQNGEVDCNEENRVLTEIIAEAADRKSIAQGLSGAL -SSVPTQPRGGLRGGSRRSGVSFLYNLVEEVGNLFFSVGDAVRFLVKVFKTFSDSPIFRVVRMFLDLAEAA -SPFVSVVSLCAWLREAVSAFSSWVADRTVSESVKTFVNRTVKRFLNFMSAKTLTKKFFRFFLSASALAKT -VVRKAKVILEAYWEVWFESILSDSGEYSAVEFCSSVVITLLTNSGRLLPGFSPSAIITEVLLDLATKISI -EVLLKQISPADSTASSALYRRVLSEILSNFRTMGEHGIFTKVFLLCGFLPVFVRKCVALCVPGDMATYAR -FLEYGVDDLFFLGRSVNSIKNYLCVVAAGLVDSIVDSVVLKLSGVAKERVLGFKSKIIKNFLNVFRKAKV -VTRTSSSTDLSEDEYFSCDESKPGLRGGSSRFTLSRLLDIFFNFLKSSKLVIENACFSAYERIERNMKLY -FFPLNSSEEEARRLIRCAGDFDYLSDSAFDEDEMLRQAFEQYYSSDDESVTYDGKPTVLRSYLNVSRRFL -ETFCNGPKFFVKVSNYFKALYSRLLRVLPWVDRNLSDSPGLKGGNEKALLAKFFKTCVITACECVSQICC -LRLIRLCWGTPACGLVRLFYITYSSTRVLSRVVVAVAVCPLLVRNELDGLSDGLTNMGVSVFRRLFVALR -RALSAYSNSALRRKIIEFIFGNIHHPFDVAVIETNEVAPEPLSPEVDIDVDCDFGSDSESVSSDEVASNP -RPGLHGGSRRSSNFLTSLVKVVFKLARRIPRLLFRLRNFVAYFVERRLASKRLKTFIGLARLFDNFSLTS -VVYLLQEYDSVLNAFIDVELILLNSGSVNVLPLVSWVRGSLTKLAEAIVGSGFASFLGRMCCRVSDWCSS -SSNAGCNFMSPVRTKGKFVPPSSSGSTASMYERLEALESDIREHVLSTCRVGSDEEEERPKEVTEPGIEH -TSEDVVPIRSHSQPLSGGECSYSEDREENERANLLPHVSKIVSERRGLETARRNKRTLHGVSEFLNAINT -SNEQPRPIIVDHSPESRALTNSVREFYYLQELALFELSCKLREYYDQLKVANFNRQECLCDKDEDMFVLR -AGQGVVSGRNSRLPLKHFKGHEFCFRSGGLVPYDGTSRVDTIFHTQTNFVSANALLSGYLSYRTFTFTNL -SANVLLYEAPPGGGKTTTLIKVFCETFSKVNSLILTANKSSREEILAKVNRIVLDEGDTPLQTRDRILTI -DSYLMNNRGLTCKVLYLDECFMVHAGAAVACIEFTKCDSAILFGDSRQIRYGRCSELDTAVLSDLNRFVD -DESRVYGEVSYRCPWDVCAWLSTFYPKTVATTNLVSAGQSSMQVREIESVDDVEYSSEFVYLTMLQSEKK -DLLKSFGKRSRSSVEKPTVLTVHEAQGETYRKVNLVRTKFQEDDPFRSENHITVALSRHVESLTYSVLSS -KRDDAIAQAIVKAKQLVDAYRVYPTSFGGSTLDVSVNPSTSDRSKCKASSAPYEVINSFLESVVPGTTSV -DFGDVSEEMGTQVFESGADNVVIRDSAPVNKSTDHDPQRVSSIRSQAIPKRKPSLQENLYSYESRNYNFT -VCERFSGPQEFGQAMAMVMLERSFDLEKVAKVRSDVIAITEKGVRTWMSKREPSQLRALSSDLQKPLNLE -EEITTFKLMVKRDAKVKLDSSCLVKHPPAQNIMFHRKAVNAIFSPCFDEFKNRVITCTNSNIVFFTEMTN -STLASIAKEMLGSEHVYNVGEIDFSKFDKSQDAFIKSFERTLYSAFGFDEDLLDVWMQGEYTSNATTLDG -QLSFSVDNQRKSGASNTWIGNSIETLGILSMFYYTNRFKALFVSGDDSLIFSESPIRNSADAMCTELGFE -TKFLTPSVPYFCSKFFVMTGHDVFFVPDPYKLLVKLGASKDEVDDEFLFEVFTSFRDLTKDLVDERVIEL -LTHLVHSKYGYESGDTYAALCAIHCIRSNFSSFKKLYPKVKGWVVHYGKLKFVLRKFANCFREKFDTAFG -ERTFLLTTKLETVL - ->AGU69238.1 RNA dependent RNA polymerase [Blueberry virus A] -MFVMYNRVFEPPLSVYALLFSRAHSLSFPFSPIPSKTKQSPQHHAMYQHTFQRAAARISHQRSLNQSHIR -RTRLTRVVDSEGFTSFVREYCPVRPPTSPRNNFHRPAPRNSSAYAYGNRHAGPVPSGGTSFTPPNRRPRS -VAPTPPSGGGVPSFPAAPGRRFFIDSRGVAHRSIHGPPRPHDGRVAPRAWPPFRHRRQRPSLNGNGRFPS -GASSECATTRTAPFVRVVSRGIAPSTPSAVSDTFFIDRNRLTKNTHSLQWVKKCDIRPAASPSVSSLSRP -SSASSSRTAPSVSSTASPVAFPSYVSPGRSYASVVGTSSPSQSSSVSSGPATQTVFRASTTINRIFRCGD -LSYRFVVVDGVVHPDDLENYLFRFSLGYSPFLTSQLYQIGVSELTVTGSLIQYVLKDGVTNVVENSCYAA -AYKCWLTREINDDLNAATNLNFGEGWCALKWFAMISHVENLPPNFSYWKRALGRWPTLESAISLLKYEFP -TWNVGRIFLPFTLVNADGGHVSRNSKCVELETTSLMCVGGLRPKMKRVSSVKFAPSTPSAAKSLPVRHLS -PLLGLSSRLTRRYFSPSEVQKAIPAGANVATILRTLAKMYGRKVYEIRVNYRLVMDSVQFLHRRFAKHSG -VVRNARLGDVLVANGSRELYKSDFIPTGGLCYREWFKSADRTLGSRNVDRVVAVLGAYPTLDRVVRELRN -AYKNDDFSRKFFYRVVGRVLSASETSSRDHNDFFTLEAPATSLSRHLVGGAKDIPSSVAISVLNSDEVVN -CHNRVSGLLQRTDPSKSAFTKAVEFDMINEYNIVAQQSKSRGDIFVGYPIEDDHQSMLQMSFPEFHIRFV -HSTYSDHPVAASVRLMYNHLFDSLYKDVGYVDIGGDLRYHINNGHGDVHVCTPLIDAKDASRAVIRKLSW -KGEPKAPLVNLALASETKRTFCYKDALVCDVTKDVAVMVEVYDIPFVKACSIMSNRGISLLHLALCAPGE -LVDDHIRTIDVPHLSLRIEKVGDKVHYSYGAGVAYQHNIADIQSWMQATTFALGDDFFFCELLGVRAGIS -EYRVTKSKNRVSSGTMKVISIPNAYQGHVMVKLPRLDDNLLVSRSKTAVRFVNCDFFMRCYVYGLKNCSQ -INEKNYEFMLTYMNNAMARSIISGKVVQSQCVMSPEDFAPMAALFLVVAVRKRHYNAMYARKVGFRVGEL -SVFSVIHDVIKDKLKDSSQALSKRVLKFLKKHLKFLDVFDCMDLDEIYKVCDKYTVLRISDGAVTSCVNA -NVNDEISEIESYVERAYKKDLGQSANSAFLDVMKLASVMHRGDDEGGPQGSNKIMEDEGGLGGAGDDSMR -TLVTQLKALKYMGWRFYSLLEKLVTLPFTTFGEVRDYLIVLLQHLRRSTNSVIAKVCKFIDLFLSQGYIF -DLNAREKAYVTVSALATHLLTAVICVVTGTFSPTKALFFTLQSFFGSSLVKMLLGVNLNFSRFRASDDFN -AFVDTFFLVTDALILWPSSGVVSVTYGVCAALGMTRWMVRRALLPVVGADSGFHGLMVCSDSPDCNVVRL -THFVKYVVSALSEHACAQVDAAMLAFRNTVSAGVADAQTAAKICAMKQVDDILNTMRSCRDRALDAPRVA -AVNLRNNLSDACASALERVSRVSDWVANSSFQSSDIEVIDVAGGDDLTTVYQPLTPEELARLHSIVNEDL -DSLGDYESACDGYSDAPGLGGGSLAVHASFLASLFSAGSKWKDLCLFLDMLKNVGSVVAKTVNKLIKMVV -SKLIDFFTVKEVASDSQPEEVVIDYSARAVDIKDSCARALEEMSQRESVFHSERSLYLSFIRSRRESSKI -ICEEKDAKIILQEIICVRRIQLGLPLSCDIFSDLSFVELVDIFNSVVGDVPSILDRVTFTFYQYHAVDAV -REPWAVALLGLLSRLCHSFVTPYYRRRVGLIGYNSDKVVCLTEARPGLSGGCSSAELISDCRRTMRYKFL -RVKLYVSKMLCWGTSWLCGLATYVSVVKGVTGSAFHRRISVFGDELYFDGAEVRSYVVDLRDRGVDKLEV -VVATIQAVFDDIETFGNTQCTAKVLLSGRRAVKIKRFFLRHLMAFLVEWHTILSLEEDPSDGDLTFLERQ -FSAPSEVVFFVFHHYYRTRGLKFAVDWFLSQKNFESSVLPRVGSNEGPSVVDMLLSLINGYYPRCDFSCD -SSAVYLFAHTDYGHGGRLNGGSSAAAFKGARGFAESVLNAFISLQRTERLSHYLVKIWRRALRLLGLTYT -SVGATLYYNGDISGALVVVAEVAKLFLGGVTPESAVFLAYHHHHCIYKHLLRSWAYLPVGVRRFLAAKVN -RLKVSFGVDEGETFPATDATSVDEMLRDLDGLSETSNVGKSAESSSADRTNSLKKSVVSHVDSCRKFKDG -VSSMLKLHEVDARSMKPSVRKEFADLLAQGVAEIARCAGTSMEPNLPYNEISNLIMSSGEWGDADRDEDA -LLTSRRRGGVDVEASAANDEKGLTDHKKKGKVELLEPLKAEIQSSDDAGDEFTSESDGSDCILSPITALA -EGEKHGTASTSTKSRVAGRRSSRSKKVIPGKRERGEKCKYLLNLEEGIVAPPSASVMGGSVYDKAIGEYV -YMNKYAIFETYEDLSNKWKELVAYDFVAERVSYIREPGVYIFSTTSRTPIGRPAREAKLRDYEWGFVNGR -LRRVDPARMSLDLIASKCIIVTEALKHLSSNQILAGMEKDCGEFTNTTLKAAIYESPPGGGKTQALVDVY -VKFDQRVNVLVATANAHSPKDIATRVLKLSGKKVDDASVDVICRRVRTFDSLVINGMPECDLLLVDEAFL -VHAGQILHVINKTKCKAVVLFGDSMQIKFINRQRLLSLVHGDIDKFINKANRFYTDVTYRCPHDTSLWLS -AVYGRVITSKAPKNIMSSMKQKLINSISDVTHNVNCQYMVFTQGEKNDLIRELRVRDPDHQFRVNTVHEC -QGGTYDHVILVRTKVQDDSVFSSEAHNIVALSRHTESLEYNVAVSKAGDTTSENIKVAESMIKTLKQSNC -DSLGEFYERYPEKLNFLERKKIPVSSAPILTINDFLESVVPGSTTFNFGDMSKDMASADVTSCVDNIRIN -TSVSPQMAGESTQFVSVIRSQAIPDRTPSWQENLYSFESRNFNCINVKSHMGCDRFGAFLGTATLARAFN -ADKLAELRGDIATLTTVGVKNFLSKRNETQIKDLLAECSKPHDHLDDITLFKLMVKREAKVKLDSSCMSK -HSPAQNIIYHNKVVNLIFSPIFDVIKSRIIYCLNPNILFYVDMNEEELAEWVYRQIGGEDIYYKAELDFS -KFDKSQDFYIKAYEKFMYEALGFDPELLDIWMEGEYHCRAQSRDRDLAFTLSGQRRSGGSNTWIGNTLVT -LGLLCMYYDLTKAKAVLLSGDDSIIFHSREIPDTSEEIITDTGFETKFIRDAPAYFCSKFIVFCGDYVCF -SPDPYKLCVKLGKTLNIRSYYDLYERYVSFRDVTRDYDDGIFIENLAPLVSRRYNCPLSEVYPALCSIHC -ARANFKKFREIYPGPSGYTIVRCYTHLVLLLKHGYKEYRRRKLSKELRSYVGFAVQMYLPDDGCAEYYDL -TRLKQEHRRLSYINSTRGYLESKMTKSQKKIARKEAKQLNYLPQHDYMQDY ->AHA85410.1 ORF2 [Carrot closterovirus] -MITIRRGFSIVRSQAIPPRKPSLQENLYSYESRNYNFLKCDRFSSPEIFGKAMATSVLRSCLQADKLAEV -KKETIAFSQSALLKWLRKRDGSQIKGLQAEFGKPLQLDTAIHYFKLMVKRDAKVKLDSTCLTKHPPAQNI -MFHAKAVNALFSPLFDEFKNRFMHCLKPHIIFFTEMDNRTFASVARGVLGPHAQELCVGEVDFSKFDKSQ -DIFIKEFERCVYSQLGFDAELLNLWMQGEYHAKATTMDHQLSFSVECQRRSGAANTWIGNSVVTLGILSM -YYRLENMQGVFISGDDSLLFSKQAISNHSEAICLETGFEAKFMSPSVPYFCSKFLVFCEHKYFFVPDPYK -IIVKLGQARSEVSDIDLFEIFTSFKDLTKDMHDERVLEYLAKLVECKYNLKSRNVLSALHAVHCLSSNFA -SFKRLFPKQSGWFVVDRLSKILNFQVNSGIVESQKFLTAYGEKFFLSYSDDST ->BAQ08234.1 RNA-dependent RNA polymerase, partial [Persimmon virus B] -NTQAIPDRINTVIENVYCYENRNFVAVTHEKCESTTNFARRSLDSLLSRCFDRDLISLVCKEAISLRERC -FHHFMARRDSTFFNNMSSERVDVRNFSDYMTYFKVMVKREAKVKLDSSSLSKYPPAQNIIYHSKFVNSIF -SPLFSELQRRIIACLNRNIVVYTGMAPSAFAIKMTRLVGSGADMYVGEIDFSKYDKSQDEYIKAFEISFY -RLFGITEEMLDLWSAAEYFCNARVSGGSLSFKLQTQRRSGGANTFLGNTLVNLMILSLYYDLSRMDAVGV -AGDDSVLYCREDITNHAQRMVNDIGMEAKFIKNSYGYFCSRFLVPVCERLYFVPDPYKFMVKLFKPTHVL -NDVELRERYISHRDNCSDFGNEGVVRALSTLVNDRYKIEGRATYSAIAAVHCILANYTRYKAMYPVSRSI -INVWEYTTSLILDRLGYSRVTPDHVVDVFVYSSHYGWLHHKEEEELVLMQSLSETKEAKCSRDAAKYLLP -LYRSKVVRTIHNRMKRSRKGKYKFNYKQVGGH ->ABW97532.1 RNA-dependent RNA polymerase, partial [Citrus tristeza virus] -ALNPLSLVVTTSLLGTLPLQILVTYTNRLVFGVVRSQAIPPRKASLQENLLSYESRNYNFIKTERYVGPE -NFGRAMAAAVLDRCFKMEEVAKIRSDIIALTEANIAKWLDKRTPCQVKSVQAELKLPFAAEEQITNYKLM -VKRDAKVKLDDSCLSKHPAAQNIMFHKKFINAIYSPCFDEFKNRVLSSLNRNIVFFTEMTNAGLAEVIRR -IIGDDDDLFVGEVDFSKFDKSQDLFIKEYERSLYSEFGFDDELLDLWMEGEYRARATTLDGQLSFSVDGQ -RRSGGSNTWIGNSLVTLGILSLYYDVSKFELLLISGDDSLIYSRAPISNFSSEICLETGFETKFMSPSVP -YFCSKFVVQTGNKTCFIPDPYKLLVKLGAPQYKLTDDELFELFTSFKDITQDFGDQVVLEKLKFLVEAKY -GFTSGTTMPALCAIHCVRSNYLSFERLFPFIRGWYVVDALKLRQLRKFANLICERVVYDNRVGYFSYFDN -PFTKPDASDGQADDLATG ->BAQ08229.1 RNA-dependent RNA polymerase, partial [Persimmon virus B] -NTQAIPDRINTVVENVYCYENRNFVAVTHEKSESTTSFSRRALAAILSRCFDKDLITLVCKGAISLRERC -FHNFMSRRDATFFNNLGSEKVNIRNFGDYMAYFKVMVKREAKVKLDSSSLSKYPPAQNIIYHSKLVNSIF -SPMFSELQRRVIACLNRNIIVYTGMAPSVFARKLTVLVGDGNDKYVGEIDFSKYDKSQDEYIKAFELEFY -RLFGITEDMLSLWSAAEYFCNARVAGGSLSFKLQTQRRSGGANTFLGNTIVNLMILSLYYDLTKMDAVGV -AGDDSVLYCSEDIVNHAQRMVNDIGMEAKFIKNSYGYFCSRFLVPVCGKTYFVPDPYKFMVKLFKPTAAL -NDVELRERFISYRDNCVDYGKEGVVRNLVSLVNDRYNIEGGYTYAAIGAIHCMLANYARFRNMFPISRSI -LNVWGVTSARVMDMLGYTKVSESKLLDVFVYSSHYGWIHHKQEEELEKMNALSESIEAKTTRSAAVYLRP -LYRSKYVRTIRNRVKRLRRPKSLVM diff --git a/seq/clusters_seq/cluster_880 b/seq/clusters_seq/cluster_880 deleted file mode 100644 index 07a7f13..0000000 --- a/seq/clusters_seq/cluster_880 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_010086031.1 NSP1 [Rotavirus J] -MLSTLKSKKLGTAYNQKLDYMTARERWFALFPQTGPKDVLQLYDWKNENKAIREINKTKAARSGKLVHVK -TETPGRRMEEPAFSGGRMMLHDICGKMEEHFCTAIHPIYTNEENLNDALNSRVQLATEAKIFIPCGVKMI -ITKSRDGNDKNYMVGTKFNRCGCGKLRVAAGTTTGPDHIAYLSFCDQDMPIATVNPGKIHLCEICQSEIS -YYEAHPTTWKNPDFQDIKPKIQLCVNCLPMRSIFSLLMKRGYQPITTGADYERMRNKWKTGEVVRPFDSA -RGAFVSINYHTWYERLHALVLNDDPIFISTVKNSMWLRDLTREGVESNPGPDYISQLNIDAQRKQIHFPL -YEDEKVLEANSFAFKITCYYLEHKTEAVGISKKQAKHEAAKKMWEKINNLE - ->YP_009130669.1 NSP1-2 [Rotavirus I] -MEYPGNYRIIRDLSPNHRLLVTDECRILNNLNLDPKIASDLNILIPCGVHLQKSGNVMIEVVETEECICG -NRIPTKVITNSIEHQIITLCTNEIYTIIYNENSGKCECGKQLFDIEPAEGYGGNGWCISSKKCLKCISVD -EIIGVLFENGFQRDEIYFRPTNQIKLNSFNTIPTLKLEDEWQRDLTAENVESNPGPIMLTGDRSYFNAAN -TFIWLSFKQDIPFYPWYDDLKSPIAVLNDYIPTERRNYITWKQKGFCYSTKFNIAIGQTKDKYVCIRKSN -SGQWFTYMEDKIIVGKPTDFVPSVKGWIRDLTAEGIESNPGPNYISLLNEHAQRNRNQFPNYSFEMIIMD -NEILFTCTCKYMHIIETSNPHRTKKESKNEAAELVVRIIE - ->YP_392494.1 NSP1 [Adult diarrheal rotavirus strain J19] -MMSIQRAAQINRQFDYMSIKELWMAKFPLHKPGDCLDMFKNGDYTGPTMGCIQKTKASKKNTIFHIKSDF -NSTEYDEPPISGFKMFTQHCCQRIDEHFCGALHPVISNIEFEHNQLKTRPQVASELNLFIPCGIKNLKIE -SGEKSTWIVGTKFRKCMCLKPVIVAATIIGTPINKNLITFCSSDYIQVIVNRNREGSCGICMGNVSTYEA -CGNWTNETWGEEFSLDLKLCYKCTPVAFIFSLMMSTGHKPYVSKKQFEENRRKWERVLNYKICKENLDSH -LACANHENVYKSIKKYEFFDSVWVYHLANSSWVRDLTRECIESNPGPNYIQLLNEHSQRYGFVQPTYEII -AVVSDGHHSYQCTCYYKDMKTQSTGPSKKNAKHQAAEQMFRHQCF - ->sp|Q0H8C5.1|NSP1N_ROTB2 RecName: Full=Non-structural protein 1; Short=NSP1 -MMSIQRATQINRQFDYMSIKELWMAKFPLHKPGDCLDMFKNGNYTGLTMECIQRTKASKKNTIFHIKSDF -NSTDYDEPPISGFKMFTQHCCQRIDEHFCGALHPVISNIEFEQNQLRTRPQVASEFNLFIPCGIKNLKIE -SGEDPTWIVGTKFRKCMCLKPVMVAATIIGTPVNKNLITFCSSDYIQVIVNRNREGSCGICMGNTSTYEA -CGNWTNETWGEEFSLDLKLCYKCTPVAFIFSLMMSTGHKPYVSKRQFEENRRKWERVLNYKICEENLDSH -LACANHENVYKSIKKYEFFDSIWVYHLANSSWVRDLTRECIESNPGPNYIQLLNEHSQRYGFIQPTYEII -AVVSDGHHSYQCTCYYKDTKTQSIGPSKKNAKHQAAEQMFRHQCF - diff --git a/seq/clusters_seq/cluster_881 b/seq/clusters_seq/cluster_881 deleted file mode 100644 index 928fc3c..0000000 --- a/seq/clusters_seq/cluster_881 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_008136233.1 VP7 [Rotavirus G chicken/03V0567/DEU/2003] -MLRLLFLATTAIGQLVMKPVNNNNICVMYPKSRASEVNETVYEPFKSYSQVYIKFSEYDDTTDGKNVLKI -LNQIDISNCPMLAVYIVDSGMDFISFLSSQNECQEFEARKKHYIKLDVEKEYFTYARDLKYCPLSNDLIG -IYCDSQLDGTYHEIQPTGQSYYDITDIPEFTEMGYVFYSTQSFYICERVSSNEFMPVYYFYNNQAPDGTI -TRAVNWGNAWSNFKKVAQMIYKILDIFFGRKNLEPRA - ->YP_008126844.1 outer capsid protein [Human rotavirus B] -MASLPLLVLAAAVNAQLNIVPSVHPEVCVLYADDHQSDANKFNGNFTQIFHSYNSITLSFMSYSSSSYDV -IDIMSRYDLSSCSILAIDVFDASMDFNVFLQSTNNCSKYNANKIHHIKLPRGEEWFSYSKNLKFCPLSDS -LIGMYCDTQLSDTYFEISTGGTYEVTDVPEFTQMGYTFHSSEDFYLCHRISSEAWLNYHLFYRDYDVSGV -ISKQINWGNVWSGFKTFAQVLYKILDLFFNSKRNVEPRA - ->sp|P30889.1|VP7_ROTGI RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MTTMLLLLVVAALANGQLTILPHEESQICFLQPDNPGFDFDGNFTNIFRDYASVKISSFTYKAQDADIVE -ILNVDRDRSCTILAIYIADSTLDFNTFLQSENECVKYAASKKHYIKLPRDREYFALAKNLSFCPLNDDLI -GIYCDTQLETTYFSVARSSNYDVTDIPEFTELGYVFHSNDHFYICERKSEGNWIDYQLFYQNDAPLGTVS -QRVNWGNVWSNVKTVAQMVYKILDIFFGKRNIEPRA - ->sp|P18570.1|VP7_ROTGA RecName: Full=Outer capsid glycoprotein VP7; Flags: Precursor -MASLLLLVLAAAVTAQLNIVPSTHPEVCVLYADDHQADANKFNGNFTQIFHSYNSITLSFMSYSSSSYDV -IDIISKYDLSSCNILAIDVFNASMDFNVFLQSTNNCSKYNANKVHHVKLPRGEEWFSYSKNLKFCPLSDS -LIGMYCDTQLSDTYFEISTGGTYEVTDIPEFTQMGYTFHSSEEFYLCHRISSEAWLNYHLFYRDYDVSGV -ISKQVNWGNVWSGFKTFAQVLYKILDLFFNSKRNVEPRA - diff --git a/seq/clusters_seq/cluster_882 b/seq/clusters_seq/cluster_882 deleted file mode 100644 index 78a415d..0000000 --- a/seq/clusters_seq/cluster_882 +++ /dev/null @@ -1,74 +0,0 @@ ->YP_010086022.1 VP3 [Tarumizu tick virus] -MFLLRRTTNEFHQCFLSKDNLNHQLSEDHVKDVLGGVRIKHLKPVRVDGLGTLTSSGAVSISFPPGLYAR -VFPDVVTMYVYEDLLVKFLKRYKDLTPELLGKVQRQASTELTKLYLAKTRSIYSRILNLYKDEFIWVRST -EAAAEEMYLLPALYECARASMSNVLCYGAGRGVIGGTIPIGPRRGQQMELVFRRWLYHLEALSNVDSREY -LEPQFRVILPRDYKTLFIGESVNEDGNLSWLSGSISYSTVWLPNMPYDRMVEQMLQDPYPCGCHNILNIP -VAHYFQFKSKEDLIIRLWKGVRDTWKKLYQANLYGALDDSRLNEVMIVNRVDFLPDALVNCMFSATISKG -VSKQSIENLRDVRSMASAQHMVAMQGWGNWWNYEDPGRHDYRVARGECTAIIGVDDNTPLSVAVRVEIRD -PGIFLELAAKEEQVFIHGTTVEGIRWYRLNVNDHQLRMISVQKAPLEITLWSPFVFFSCVWGSVRDERRL -DLMGRPIRNSRYTRETFNVLNVLCDRQVPQLSRKLLFSMRSVSHHFRLGGDLQYRFSEPWRRWAEKGEEL -EAMCVDVWFSCMNQFSEQFRVDPELGDGVGVADNVRVRFSRSLKNLAHEVWEYGGSWHVCSYATTLVCGL -FSYYDSFQLDQYDGLKIEDLAITWFGPGARDIEVILKRVQFRNVFCVPETQFSFDQFGEYIDVGGRHFGL -VIVNYPFDWLMDGDYIEYMRRLSRLCQVSETVVIRVRFGVGEFYQALSARLQGGKLNIMRIGGAPVPTEW -LITWVPGDTNIAVRAHTLNFIQKFATERRVISRAFIHEEVDLDTWIRRTLGGEYLLPYGIEVDVSVYDCD -AALRVMTAICRNVKARCFKQGNINAYRLFGYLDIDMVLRNARIQGNDIEIARMDVDVGMNLIRALSPSLV -SASEAVPKLVNVLNALQAATNFVIRSWVNHYLLNYEYERYYILDVGGRRGELSGWFDLGPRLSYFCIDPN -AREMIPKGRIVRGRRGVPERWNFNAPVADEAHRILETCGVQLQHDGQVICIFSNVLSNAYETLVDPRGSF -QRLINQIDRWEGAVFIRDMCTFHGQINREGTCPLPAKLCESFVFDAGLGFIPSAYPRVRAGIVYEAISRS -QRLHSLSPCCREIYDVLHARGLYLDQLGEFLLPILLAHVVYVGTG - ->YP_010086007.1 VP3 [Kundal virus] -MYLLPALYECARNNCPNSLAGYSFRGIIGGEVPIGGERDKQMIEIFKRWLIQFGELSHPDCRNYLCDDYK -VILSRDYKVLFLGEGTSVDGNLQMLNNKISYDTVWLPNASYSKMIDIMLEDSKPSGCHNILNIPIPHYFR -FKSQNDLHLRLWKGVRDTWRKLYEANINGAVNDCRLNEGMIVNVSLPSILSRSLFCCSLAKGINGKLISN -LKDVQGLALAQNTNVIRGWNVWWRVLNNERNRIQNPFNIVEGECVSIIGVDDNTPKNVAVEIEITDEVSF -FDIGGKQDQVTLSLKTREITNWIEFQQDDYQLRILPCIKSELIIDPLSIGLFFFVSSTTPELMKEYLLDV -FPKKTARMNRDMFNKANSLSDRSRVRCTGCKANSLKSIRAELIEKRLIRVTPHACFQKWVNRGHIIEALT -VEGWISSMQRISTQFELDHSFQDESHEGDGAIIRYVQFLYKIAVYLWNDLDSVDAICTINLIVLGTFIHL -NTFDLGLFDGLKIEDTSICWFGEGSDQLRKFGLMHGLTRIVTFPSNFNGLSGFIHDAMNNVRKMNVVIIN -YPFEYIDGVDYSDLFDAIVKLINNTEVLLFRVRVGIVDVFRAISEKVANKGHLDVIRISKSSVPSEWYVG -WWQARTPNDSHRNRLIEFVKPYSQSRRVITRAFFSEIKDIYTWIRRALLGEYLSPAGIEIDSTERDLVRT -LNVAGCICRSFKVRSFSQGPHRAFRLSGSLDLDMILRNCRLKGERIEMISMDINYGLDLVRSLSPGLIFQ -SEFFAKEVNPMHALQATTNFIIKSWVDMYLNIMPDERFYLIDVGGRRGELSGWVTSSPRITYISVDPESL -ENIPKGSITLENGRPASWNFLRNPKEEAARILTLHGLVADRDQQIILIFSNVLSNVLERNAAAGRIMQQL -VRHIDDWTAMVFIRDMSTFHGESFRPRSAIRNDYLPMVKSKFMKDFVFDRVRGYIPSAYPNVQDGLESQM -LNGIKRMRNWAPCYKEILDVLYARGMKLDSFGEFILPMLLKHVVYLGTIRGDLI - ->NP_690893.1 VP3 [Colorado tick fever virus] -MAQLLFRKTTQDFRDCFGLKHEILASIYNEKSTFSSENGSIQILEEAEVNALGELVRDGTVAISFGPGIY -SRMFSHLRDDSDYRSALTDCVAHGWKQTRDARDVREWQRSAAIMCAERYMYKVRQAYKRVVENLDHMYAW -HYLTEGPSEHVFILPAMYESVRHETTSEAYGMQCGGIIGGNVSLMLPRDEQYTTLFKAWLMSLAKMSLSE -LREPHWNGVRVQLSRDHRILYYGDLLPSDGDITYLVNKLEYHTIWFPEGDFETMMGAMLRDSFPAGCHAH -LNIPVPHYFVFDTDDDLILRCWKGVRDTWIKLRLGYEEGGVNDSRMSEALIVNRDLPADLSESLFCCCLA -RGVSRDQVRTLKDVRNVAQAQIRHVVSGQGVWWEHMVRGQPLACSIRPGKVVLVVDYEDGPLHVSIRVRL -LNVHYARELGMKASDVVVRIPTSNRPRWVETKEYERSLRFYEFRPGPITTEFHHVFAFYCYWGCGRVEKR -RHLYQIREVRRGNLYSRSRFLRRIAEDGEKVKVQGRTHVTATRAVQHEKCNPNEDIIYSREFARELALTG -KIKEAGALAVIYTCMQTLGVDIDPPAGWVREGRPKQRTAKLCHLLTRILTAFFSYRGGSSSLVCSVMVVI -FSIILYYLRLKHVDQEVRYDELTLIWYGDGGVELQRILREVSMTRFVAVAGDPATPEYIAQAKLWANKKS -NIVVFNYARPYERGPVFENLIRDTVSLREAAEVFILRTDDSWSQYGNTVVAMLGEGDFCDLVHFGASCDP -MEHYFVHISQPPGTNPGYEPANQEEPFEEWLYETQAMERVIPTTWESEIVDARGMLVSILTFHFRFAGWF -ELDVPGDDIGRGLQLMGSINKVVHVSCFVQNGRRYFRLSVDLDVDRVLRNMRHEGDALMAAELFVTDAVL -VCEGLVVGEMHRLQRTYRSVNAMVYHQGMTNFIVYEWMRRVLLTNLLDEMLVFDIGGRNGELSGWVVQDV -KVHYFCVDPAGEEAIPKGQRVRLPGGRAIWDIAETVEQNVARYLEVVGKPQTPAEGIILIFSNSIVAAYE -AAGRNNRNQVANLYQQLQAWGGRVFIRDQLVPTRANPGDYWIQAAFPGNVYPPGLCEDFNIDPDAGILPL -RYPDTGQGATDVVLANGRLGHLTSSWFQSLYCGAARGFILNGFGRLIWPIYCRHVVVLATRP - ->NP_620282.1 VP3 [Eyach virus] -MAQLLFRKTTQDFRDCFGLKHEILSAIYNDKSTFSSENGLVQILEEAEVNALGELIRDGTVAISFGPGIY -SRMFPHLRDDSDYRSALIDCVAHGWKKSRDARDIREWQRSAAIMCAERYMYKVRQAYKRVVENLDLSYTW -HCLTEGPAEDVFILPAMYESVRHETPSEAHGMQCGGVIGGNVSLLLPRDEQYATLFRVWLMSLAKMRLDD -LREPHWNSVRVQLLRDYRILYFGDLLPDDGDITYLVNKLDYHTIWFPEGEFETMLQAMLRDSFPAGCHAH -LNIPIPHYFVFDTEDDLRLRCWKGVRDTWIKLRLGYEDGGVNDSRMSEALIVNRELPAELSESLFCCCLA -RGVSREQVRTLKEVKNVAQAQIRHVVSGQGVWWRSVERNRQLACSIHPGKIVLVVDYEEGPIRVAIRVRL -LNVHYARELGMKANDVIVRIPTSDRARWVQTREYERSLRFYEFRPGAVETEFHHLFAFFFYWGCGKVEKR -QHLYQTREVRRGHLYSQSRFLRKISEEGRKIKIQGKYYPTPTRAVQHESCNAVDAVLYSKEFTRELVAAG -RAKEAGALGVVYTCLQTIGADLDPPVGWLRDVRLDVPVSGLCHLVLRILTSFFEHRGGPPDLLCSVMVVL -ISIFLHYLRLRQAVREYRYDELTIIWYGETGADLQRILRSSGLTRFVAVLGDPATPEYLAQAKLWANKKS -NIVIFNYARPYERGAQFLNLLNETMALRQAAEVFLLRTDDSLVQAGDALLMSVDEGEFCDLVHFGASCDP -MEHYLVVVTRPPGDDPEYEPLEDRDPFEEWLYETQGMERVIPSTWESEVVDARGMLMSILTYHFRLAGWF -ELDVPGDDVGRGLQLMGSINKVVHVSCFLQNGRRYFRLSADLDVDRVLRNMRHDGEVLMAAELFVADAVL -ICEGLVVGEMYRLQRSYRSVNAMIYHQGMTNFIIFEWMRRVLLTNRHDEVLVSDIGGRNGELSGWVVQDA -AVRYFCVDPAGDESIPKGERVSIGNGRAVWDVTQTVERNVASYLQRIGRQNAPDDSIVLILSNSIVAAYE -RLGRDNNAHVTAVYAEFQAWAGKVFIRDRLLPIRQNPGESWMRLQFPNNVYPSVLCEDFNVDPRAGILSM -RYPDTGRGATDVVLANGRLGHLLPSWFQALYCGAARGFILNGFGRLIWPIYCRHVVVLATAP - diff --git a/seq/clusters_seq/cluster_883 b/seq/clusters_seq/cluster_883 deleted file mode 100644 index 75e226c..0000000 --- a/seq/clusters_seq/cluster_883 +++ /dev/null @@ -1,68 +0,0 @@ ->YP_010086020.1 VP4 [Tarumizu tick virus] -MGNRVSSTSPTYITIYGDHNAVDSKALEKFDQTSKLDAALSVPTGMNPSYAEFLTGRDMRAFAGLSNDLL -NADSDIFDDMIPCLQGQNGCVQGSVVSTVDFYEKTRKIGNWLGGTYGRGWRQNNADHEEIESRMDSFTNA -YRSIFNGTFDKMGHTSGGDLAHFYPHNWVNVVPRELSTTARFDGRFFSDEYADKHCWLYRLNTDTVVSPD -AVSGMDLEELWESYGPCMQLLDNRLSDVESLDDLTYLACFNGAMMRMDLHDVIGSLPSLLTGDRRGVSVC -ETFDLDSSVECVVRYPLFSSSDFVEDRFNLEALLDAWLRGVLTPREVSDGLLACQCTYEFFQRLDVGWDS -VSILYSHMCEKFQFTYDDDAVNRVHQRFDVFRDPIVAMIFLLFRGFGVSQPSAVCSDVCKLVRDGKNLRM -AMLMDISFNDAAERYVASFMSQDSADFLKGLKEKAIKLRGVVAGSLKPALKEGASATEKIMTGWLKQKAD -TVKQAVVNGVASLMLDTKADAEAKADVYGSMVQGRHVLALPSSSKAQVIADNVHPDARASALDHIDRVGH -EIGIRAKVPGEKEEDSVVENHIVSEMALRTKTGAGTVGNISRSLQKASDVMKEVVPENVIVSPQEASVST -FPIHTRPYHVSLVDGCDMFHLTSPGTNLENGATFSPSGVIVGQLKKGIGLSVLDVSHHQVDRRNVTATLC -AVYFFSFSPASCHVSYANGSADHDMNINVEITAAIKHDTSKDSGGTKKHYIGLIGRSPRVQDHSTNNGFG -LVTAIGPNKSTHHTGTGIWIGRLGFNLASLQISGLNHVTGLPMYGTFGFGVAVEGSVEDNKNNETHASKY -IDKISVDVRFGECIITPRGLDRYLIYNRERISHKSIFDFIGLVGATVSVSEAPQDVIAAWGEMTGPLLPY -LPLVVGDYMDSSKLHIVKSRYRTVLKQMTQEDSKIGLTTDKKVDEWVITAILALPIWMRTFVGNPLLQLG -SQERMKNVSIMLFTTIYACIPAASFRATSASNLASAAVRMKEVADFEAKYIE - ->YP_010085999.1 VP4 [Kundal virus] -MGNHITSIKPTYITIKGNNNSLDSKALEKFDQTSRIDAALSLPTGLNPSYTTFSSSDDLRTFIGLNDDLL -KDDSDIFDDMVPNLLGDSGKVKGKVVSTLENYEKARKIGNWIAGNYSRSWRSNCIEHEEIDSRIEAFIPS -YKAMFLGTFDQHGERTFGDLTSHVPSGWISVVPRNDISRLSDGRVFDDQIHAENNWTFRFKKLVFLDDGV -FRVGSLSEIWYTYGYDVSKKEFAGLANEIGYAVFLCSLYGSVKHMNVISILSNVKNLLSLTPLGLNLIEA -FNIRDDDVPCQIKIFPFLVDLYPGEKEKLLKIISYYIYGKITDAKFNICLISFQCVQYFFRIIDSAWDLI -HLLLPGFGVKLDNEDCDCALPEALGLINNFLDPLYACTYILFKGYGVKNKIEEYEYDEILVRLCEQEGNV -FVSASIAYSNFSLREEEYVRQLNLTSCTQSADFLESLRQKASQLKGMVVGSLKTKIHEGLGTAEKIMTGY -IAQKAGTVKQMVTNGLAAITNDTKLDADAKADVYGAMVQGRHTVIVPPNSYMETLASLVPYDSQSKSLDH -MDRVGHEVGIKAIKSGEPVQDSIVENHVVSEMALRTKTNLGTVGNVNRELAKVSDIMKDVVLEKMVVPPN -KLPFGSFPIHSRSYKVSLVDGCDRMALQHPSTNLATDATFSTDGVVTGQLKTGVELHVLDTTHYQVIGRD -VTATLCSLFFFSFTPAACHIGSDAIHGFDHDLNINVEITVAIVHDSSQDSGGSKKHYIGLVGKSPDPRHH -GTNDGLGLVTAVSRNGESHHTGTGVWTGRLGFNIASLKWRGLGEIISLPVDGTFAFGVAVEGSKSDNAKN -STHASKYISKIQINVEFGECIFSPRTLDQYLIFNRARVFDKTIFDFTGQVGATVTVSEAPQDVINAWGEM -ISPLIPYLPLVAKDYLDTAKMIIVKTRYRHLLKKYCRSHLGVDLTTDEKIDKWIVQAILSMPVWMRTFVG -NPLIQLKDLTAMKNFAIMIFSTVYASLPASTFQLSGSDVNRMAATELKNVSDYELGVIH - ->NP_690894.1 VP4 [Colorado tick fever virus] -MGNRVSTYSPQFITINGNNNALDTKTMERFDQTNSVDAAVKLPDGINPTRAEFSTVNDMRAFLGLRDDLL -CEDDDIFEDMFDQMVGSEGKIKGVVSGTLDGYEKIRKAANWLGGTYSRPWRVETREMSEIQKRIDTALPA -MTSIIGGTFGPGAARVGGDHMLVRPEQWVNVRGDFFLGHDDGFESRHIAVGTDAFQCVRLGRLMPEFGDA -VRGGGWANGVSYLMGQPLFQIAYGRVVNDVDRPIQILWQAALRTAASSEGDVPVAEALKILGAMTKSTFS -WMVSIVGTEYSMEATAERPMFPMTHLTSADYELLHRLFVMWLKGDVMHSRFFDVVANLESFTSGMCFLNR -VRLALQLFVTKLDEETKSRQDYWCDMLNQLMEVHSCPLLYTAFLLSGGWNDEVSMKVEPIDGVVLERLEF -SGRYLWMSWRNAATYSEYVRMHAEGGDGDDDILGLLKRGAAQMKGMVAGHLRPVLNEAAGAAERVMTGWA -KQKAGTLKQAVTNAMAGVLLDTKREAQAEANVYGSMVGGRHVLALPPTDHLQKLAPLVPPGAADTVIDDV -KRVGHHVGLAIMERGEPVREPVVENHVVHEMVNRTKTGVGQIGEVRRELAKCSEVMKDVSVEKMVARPDE -VRGTSFPIHTRGYHVSLVDGCDKHAMKDPTNNLAAGATFSCQGLVTGKLKTCFSVTLAKAHHNRETSPTT -LHVISGGFFSYDPAAVHIGGQDGGGPDHDMNINVVVTAAVKKSTSETACKVYVGAIAKSPNTTHHTTNNG -VGFVPAIDDKGQTYFTTSGVFVGRLSFNISALLRGGLTGVTSCPWDGTFAFVVACGCSNYGSSGCTHVEL -VQLGVEFGECIIVPRGLDRYYIFNRVRYYDKTIFDFIGRVDATVAVSESPLDVVSAWSELISPLVVYLPL -MVHELKSANTLALVKTRYRAWLKKVGLKMGENNPFRTDEDCDGWVCAAILAMPMWMRYAGGNPLIIMTQD -DRKASAIMLLSTAKAMLPLSAFQLVDGSLSRAAAKGLKWAADREIMG - ->NP_620283.1 VP4 [Eyach virus] -MGNRVSTYSPQYITIKGNNNALDTKTMERFDQTNSVDAAVKLPDGINPTIAEFNSIYDMRSFIGLRDDLL -NENDDIFDGMFDQMVGSEGKIKGVVSGTLDGYEKIRKAANWLGGTYSRPWKVETREMSEIQQRIDNAIPA -MTSIIGGTFGPAGARSGGDHMLVRPEQWVSVREDTFFGHDDGFTERHVAIGSDVFETVKLGSFMAGFRDA -TNASGWNQGVSYLMSHDVFRIGYASIVPDSDRPPQVLWQSALRSGAIADGDVLTADALRILGQATRSTFS -WMVDINGTEYISEATVEAPLTPIKDRGIGDFELLQRLFVMWLRGDVTSQTFLDVVANLESFISGVTFLNR -VRLSLQVFVAKLSEDTADRHGYWCDILNKLLEVHSCPLLYTAFLISDGWSTVPKLDCNPIEATVIDRLAF -SGRYLWASWRNSAVYTDYVGGAFMGDNSEEDVLGLLKRGAAKMKGMVAGHLRPMLGEAAGAAERVMARWA -KQKAGTLKQAVTNAMAGVLLDTKREAQAEANVYGSMVGGRHVLALPPTEHLQKLAPLIPPGAADTVMDDV -KRVGHHVGLELVERGEPVKESVVENHVVHEMVNRTKTGVGQIGEVRRELAKCSEVMKDVSIEKMVARPDE -VRGTSFPIHTRGYHVSLVDGCDKHAMQDPTNKLDAKATFSCQGLVTGKLKKGLKVTLAKAHHGRDTSPQT -VCIISGSFFSYDPAAVHIGGEGEVAPDHDMNINVVLTAAIKKSSSGTSCKVYVGAFAKSPDKSHHNTNCG -LGLVPAIDDKGQTYLTASGVFVGRLSFNISALLRGGLTGVADCPWNGTFAFGVACDDGNCGSSECSHVEL -VQLGVEFGECIIVPRGLDRYFIFNRVRYYDKTIFDFIGKVDATVAVSEAPLDVVSAWSELISPLTVYLPL -MVNELRAQNTLALVKTRYRTWLKKIGLKMGDKNPFKTDDACDEWVSTAILAMPMWMRYTSGNPLVYLTQE -DRKSAAIMLLSTAKAMLPLSAFQLVDGSLNRAAAKGLKWAADREIMG - diff --git a/seq/clusters_seq/cluster_884 b/seq/clusters_seq/cluster_884 deleted file mode 100644 index 05d8d9d..0000000 --- a/seq/clusters_seq/cluster_884 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_010086019.1 VP8 [Tarumizu tick virus] -MLRQPGRYNVFLLPSGRKFAEKIGLFGSLEKLPKDDWALYATVEHRLRALSEQYPYDWRQRIQFSEQFGF -RYGFRVGTDEMISPCREMIRDVLSKFSPKFNDLTFLHRGDCRKRGKVGKMYVLVDTEIPQEFDGVVYDYS -GDARGIESLVRTTLGSKLTCYDFIKPGNGCKYPNLKALLDEHGYQAPWLVNIQAGWLDTYKVTRPLRTGM -LSDWFSKDAINYAVVGWCGFPKFEGDPGTFSGTLVQLPRALLTEDEFRLQREIQGALKRRNQMRPAFIFV -SFRLDVSEFGEWAIHDLSNKRIYGVIVPWAVVRIGKWAGEDFAKDVLSKAVSTMDRPSKLAITIVSGIHW -NVDVVCVTPCVDVVDNTNPRILKEILTDPVRIAILGRKGSGKSRLSRKLSDRGYNVMDSDTYGKILFMCE -NDYSDEHVDGVVRRFLRMTLDERKAVSSFFEVSMCQLIKEVSSRGLTGYGVINDLYYSRYDRELFLAYDQ -IYDQVLRQLTPEVVRDKFFSILWEGSGFDDCGEVGHTDRRSLIFSHTLVELFQIMNATICEIVPTHSSRV -AIQIRHQGETPDVELYLHDYYEYKNGNGCAKVGLGWLTYLLDLESSQIAQADVG - ->YP_010086005.1 VP8 [Kundal virus] -MMLRQPVKYNIFLLPTGRKLLSKTKQYGTLDRLPLEDWKIYEAIENKLKYAIHHYPFDWRQRITFHEKNG -FKMAILVPNSMLLSDYKESIKQVITSLGHRPSDIMFVDKANYTKRHKHGKIVIYLDTEMDLGIDGFKVDS -SKYDSTKMGKILGAMLQSKLLCSDFIKPINGCKYEDVGNLLPQLLEKDRWILSVQANYHHVYGRTRSIEV -GMVEDFFASEGVCYTVKDWHGAVKYEGSIDSFNGRLMLLPSTILTPSEYERQTALSLRMKKSKNILSAFM -YITFQLKIDPYSLAQIPGLSHNDKYSVIVPTGTPPPRGFMFGEDFASRYLSKAISTSDRKSKIAITIVSG -ISWNVEILGCSPCVNVIDGSDYNIIREILEFPNRIIILGRKGSGKSRLSKMFAKLGYNIIDSDTYGRLLH -LCKDTYTKDNFERGLGYYLRLTKEERDGIPSVFELQMEALLQKFKKRGLPSYCELNDAYVGRLDRDLFLA -YDKVYDKLIRTITPEDCRNMYLEKLWSSGGFDDLGFKPSLEKKAVIFCHTLAEQFQAMSAVLVELIPAHS -TRTAVKIRGQGYSADSELYLHDYYVSKNGNGAPKVGLGWLTHLLQSYVPEDW - ->NP_690899.1 VP10 [Colorado tick fever virus] -MLKRPAYYNIFLLPTGRRLAKTYNILENLITLPKTDWKLYETIEQKLKWMIQEYRSDWRDRLSHHERYGM -KVRLTYRSESERTKLEEKITDLVISHGKKASTVHFCTRESYKGRVRANQILILLEPEGLGEVEGLTVRAE -GNWMSLVTHCLFILGSTLTCFGFVDPARGCRFNLLPYIKTLHPNDKWLLDLQASWRLEYGVSRSIEEGAL -YDFFAESHTLYAVRTWPGCEKYLGALEAFVGRLAVLPAQVLTSKEHDFQSKLMSKAKKTGFHYLYYLVSF -TMKTSLTDRIVKEALATVKVFSFVVGRDHLPAVGVYSGEDFSKQFLSMAVGTMDTPSRYAITIVSGMQVD -VDVKSVTGIASFKDGTQHTIVDEILLQPARLVLLGRKGGGKSRLSKIFSELGYNVLDSDTYGKVLTLVAD -RGEDGLDDALKKFVRLTPDERKAVPSIFETEMDRLCEVFGSRGLRPYAQRCQQQAGRLHWELYAAFQEFY -DRTIRVITPDKFRFAYFAELERGGFDDNGLTFSPELKTVVFVHSMPELFEAMGGCVAEIVPTHSTRLAIL -LRGQGLSVNAELHLHDFYVALNQNGARKVSLGWLVHALNELLKMR - ->NP_620290.1 VP10 [Eyach virus] -MLKRPAYYNIFLLPTGRRLAKTYNILENLTLLPKADWKLYEAIEQKLKWMIQEYRSDWRDRLSHHERYGV -KLRLTYRSESERSLLETRVIDFVVSSGKKASTVQFCTRDSYKGRVRANQILILLEPEGLGEVEGLTVKYG -GNWMSLMTHCLFVLGSTLTCFGFVDPSHGCKFNLIPYLKNLNPADKWLLDLQAGWRMEYGVTRSLEDGAL -YDFFAESFTTYAVRTWPGYEKYVGSVDGFSGKLAVLPAQCLTPKEYAFQSQLTVKAKRTGLHYLYYLISF -TMKTNLLDKIIRESLSMVRVFSFLIGRDHLPHIGFHSGEDFSKKYLSMALGTMDTPSRYAITIVSGMQVD -VEVKSVMGISSFKDGTQYTIVDEILLQPARLILLGRKGGGKSRLSKTFSELGYNVLDSDTYGKVLTLLGN -EGADGLDSVLRRFVRLTPDERKVIPSIFETEMDRLCTVFTARGMKPYTLDCQLQAGRLHWELYISFQEFY -DRTIRIVTPDSFRYAYFSELAKGGFDDNGVTFSPDLKSVVFVHSMPELFEAMGGCVAEIVPTHSTRLAIL -LRGQGLSVNAELHLHDFYVAINQNGARKVSLGWLVHALNDLLKMR - diff --git a/seq/clusters_seq/cluster_885 b/seq/clusters_seq/cluster_885 deleted file mode 100644 index e8a8101..0000000 --- a/seq/clusters_seq/cluster_885 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010086017.1 VP12 [Tarumizu tick virus] -MPCNRAVLGAALLSIVFTVQNGTREIRPLLFNLGTLCGNETVRKACISRDYERVFDVVHSCFFDIIYFLI -GLIGFELSPNMKKKLAMFAKMGRQERAGPVDIEMGTVVPHTATLTEAAEVAKLPTAPPPPLTPVLPEPPD -YSTYGRSHRPTISAPITRADLLVLPDPEINDDYAVPRGDPRAIARVRQEYGNLSNFEVSRSVASAGALL - ->YP_010086004.1 VP12 [Kundal virus] -MSCNKSVLGAALLTLTFTLQSGSRSIFDFFICESGNSTTNCLPSNGIEHKLFNIGHDLGFDFIYFIIGLL -GFELTPGIRKRFGWMTKMMNSPVETEVRYQSNGNTHIRTVSPTAPPPYAPTHSQNSDSLLSRDDLSVVNE -IERDIQTLNAIEKQVRNDGVSIANAGNLV - ->NP_690900.1 VP12 [Colorado tick fever virus] -MPCNRAVFGAFVLALLISLQSVYFKLYEFYKNNETARNTSVAGFLKRHEVAVNVIVEFSFDILFFLCGLL -GFELSPTARRLIFRRTASAEKADTVELEHVSSRRRNDSRDDSTVRNVSKTSPLASQRSRDHFDGDPREPA -PPAYSPADFYPPPASPHICETPLSTRVAPSAPSASLFTAGGIGLP - ->NP_620292.1 VP12 [Eyach virus] -MPCNRAVFGAFILAVLIGLQSAYFKLYEFYRNNDNAQNTTASAFLKQHEVAVNVVVEFLFDILFFLCGLL -GFELTPAARRLTFRKSSSAVKADLVELQDASSKKRNDPADGEGIRNVSKTSLLNAECPSDAVDRDTGTLV -PPAYSSSEFFPPPPAPHLYETPKAPRLVPSAPSFNLFTAGGLAS - diff --git a/seq/clusters_seq/cluster_886 b/seq/clusters_seq/cluster_886 deleted file mode 100644 index cfdfde5..0000000 --- a/seq/clusters_seq/cluster_886 +++ /dev/null @@ -1,82 +0,0 @@ ->YP_010086015.1 VP2 [Tarumizu tick virus] -MSDRGKKKKGDDKKSENVESETEQQPQGVKGLAGTKEVQDSSVQKTDVKTEKDGNEKVETDKVSEKSSRK -DGKEKIDAIGAIVTELSKKISQDDSLVPRVSFASDNLDQILQLLKQYSDVTAIVPAASQALEPEDVVKSA -LVEASHGIKVSTNSLVLLDSLPHFYQLCVSKIGLCGGVGGALDGSQLADTFPFCASMKKHFGTGFDYCYV -RIKTANFGFDLEIDPLNMENISCRVGGHEALGIGLTNQSCSPEIKYNDVKSPSEMMRFIVFLVAGAARAG -RWIYLAKDEDQKAYLFGEKCWHKAPGVESFRRIHGWLANDDYDNYPHVPEALLEYNPYLWFQREVHDAMT -DNGLGTNIEDGGDGPLIDAHFNRKKGEPVMANVDFLSAECHANVLEELYGERMNLLDYINMLQMCQLNER -DIEGPRVDVILKKYRRSEINVEVEMSWLLTFPNDDIYRQTYHQAFLISPNFRLHVMSVLESAVLSRIAYF -HLLPVSCGLAFFERQDNIAVGGTRGLPLVLSEWQNLLVHVTQEGICKRIVYDILAPFSNVCCKLDDLGIP -TPSKMLIVLLGAKIALMMNPNLYQMNQHVKARLFYDIFHTFFRDEIAELIGEVGYGINIDGHAVYAEDGY -QVRRVDSRILQFTLLRNFAAAGDQARPRRWQLMRAIQEWLLRDNVLYVREVGGVRYYPNRRRIRAPGRPF -VPQQQLGGGVLPAYGQLVRALDFTIQYQQLMFGPEKNLHTPNERLALDVMSSAIRPFIQSFAEWFHWVYC -PIYLEIALHPMIWWNHQNDVAEWRNFHCVREEPDLLEQHQLHGAPFTRPVPAFYNSRDMAPFSIREGIDL -LTRVYTPGNMYKGGECDGDAVIEMNYYHNLWQSNDSEQMVEAFVRAMYGGRELMEGLKLICDMTLGQVFE -NVAVHEYIRAAFMSRRLPNRVYYEILDLFGIRIDDKALAKTGAGFMEEKLNGDYRVYFPQTGIYDARAGF -RADGWVVQDPVRRSLRLNVRLLLDAVFHERYGMIKFRTGMTLSLLPRNDLLFTSTHTLFQFYCQVSPDVI -LEYQGDMDRQVRYFTVLVAWTNAAGQNLHVRLKVKTIHDMLEALRPLVRGTVDGVTFIVNDTRRVNPNVI -QFIKEAVEQHLAVVMFPNTKGILHHTITSVMNVTAMFRQYEMETFSMLQGMAPTSMIRMNIVETTHVRGG -VFETNVVKPLLPLDPGADDWVFCTDVNTVDRRVRGIPIRIGEFFPTIVFREGRRPWVMVDNERRAGYPRF -PFGLRSLIFVLHYNPLFRPEIVTILE - ->YP_010086009.1 VP2 [Kundal virus] -MHMLGNLLTQTATTLQERNSEIPIPQIPDDNLDQLLTALKQFANVSKIVPSASLALEPNDLIKPSIVTEG -DGIKGPCNGLVLLESLPHFYQLSVKKIGLSAGVGGALSGTHGTDVFPFSKSMKENFGTGFDYSYVRIKTE -NFGFDLFIDPLEMEKTYCRVNGKEALGIGLTNQTCAPEIKYNDVKNPSEMMQFIIFVIAGAAKAGRWIYV -AKDEDGKKYLFKDNCWIKVPGIQSFRRIQGWLANDNYDDYPHVPEAMIEYNQYKWFQQEVQEEMTNNGLG -TTVNIGDNGPLVEADFNRKMGEPVYANVDFVSSECHANVLEELIGERMSINDYINILQMCQLRVGDIVGN -QLQVMLKRYKRETMMQEVEMSWLLTFPSSEIYYATYKQAFLISPNFRLYVLSTLMSTVQSRISFIQLIPG -PEAFSIFETRENINISTMQGLPIILAEWQSLLSTVDPIGICQRIVYDIMSPFTNICARFEDFGAPTPSKL -LFVLVGAKISLLLNPNLYASNLHVKARLFHAIFSTFFKNEVSLLVGTRGYATDVRGQPQYLNDPSDITRY -DTNVHAFTVLENIPNMGDDQRRRRWQLMRRIQEWLLQDQGIIYQREVGGIRYYTNRRVIRVPGRPFVPQR -VEGGGILPAYGLLIGVIGIIETYVDHAYAAEGISHPAYKRDVLQIIQSNIQPYLYSFAEWFHWVYCPLYL -QVALHPMIWWSHETDDTAWRNFHCVRENPALINQHHLHGAPFTKPTPGLLNNKDIFPFTAREGLDIFTRI -HADGNAYKGGASDPDALIVIEKYHNLWQSNDSEQMVDEYVRAMYGSRELMEGLRLICELSTGTLFENIEV -HEYIRAAFINGRLPSRTYYQILNLYGIKIDDKVLAQLPGGFMREKLHGDFRVYFPQTGYCDRDGTFVCDR -VRGYDPIRRSVRQNVNILLEAIFNGDYGMIKFRMGMTLSLMPRNDLLFSSTHRLFNIYCILTTDVTMEYQ -PEMARMIRYFNAVAGWRDAHGQEQHVMLKVKTMHDLLEALRPFVGGNVEGVTFVIQDTRRVNEEVYNFIR -EAVENRQAVVYFPTLRGILHHSICSIMTVTGSYKKYEMDTFEMLRGMADTSMVRMNVVETIHVRGGVLTD -NVVKPLLPIRPGVDDWVFCTDVNTCERRSKGVRVKIRDFFPPLAHNEGWRPWVEDGGNKVRGYPRYPYGL -RSIIFVRHFNPLFKPKVAFCAE - ->NP_690892.1 VP2 [Colorado tick fever virus] -MSARTKEKTKDKTKNDEQTVSTEASAADRNDREKSSSSEPEDNAKETLTKKDSSSKKSVDVLAAMIEQKV -QMSGSAGLGEFFGRMRPEITDAFFAALEREADVSKVVPSSLSKLDDGQVVDLLSVGEERTLSQSLFQLEV -VTSIPHFYQLTAKQIGALGAQGGYLKAYHGEQVYPFIDPVANQFGRGFDLAYVRINTSGQGVELFIDPFD -FDKVSCKVGGESLTGIGLSNAVGVDEITYDDVKTPEQMMEFVVLLIAGAAKMGRFCSSGVDARRRRKLFK -TWVRRPVSDNFRHVQGWLLEDDYENYPHVPEALTVFSEYQWFAREVADNMTDNGLGTSVQMEDGPNIESF -FNRKYREAAICNLDFITAEAHANVMEELQNEKMNVSAYVDLLQSCQMGIFDIEGDTDTIKLKVYMREVED -YEAELTRMMLFPGDQLYVDCLRTAALVSPNIRQFILSVLIQQATSRVGLIELLPVAEMTASLTSRDNISV -SHNMALTLAIGEWKELLRLTNPQQICQKIVCDIMAPFVDGLRMEDSLGVPTPSKLVFSLLGAKVALILTP -NLYDYNLHLKAHLVTMILSCFFPDQYGALIASRGYGHDVTGNRIDSIRDGERTKKNCRFATYTVLDPIPN -GPPDRTRRKWLLMKRVQEWLLRNDLVRRREIRDIIYRPYANVLRLPGQTYLPRRQAAGAPLPCEVLLNDA -IAILEEYRTVFHHPNDRNQGPQDRHALTTLSNLLRWYTRGFAEWFHWVYRPLYLQIALHPIIFWSHRLGQ -GVWRQFPAVREDRERRFDNHLEGVPFDSPVIAAFEPDVALNPFTLRQGADLLGRVHAQGSTFRGGAYDSA -SVILLSEYEALYRMTDSEGMVDEYIKTLMASRQLLEGLRLVAELSTGELLRDTPILDYIRTAFCEGRFPS -HIFFKILNLFGVKINHGVLAQVGERSIEHRLRGDYRVYYPRPGFLDPALEFIPDRIVVVDPVRPSVRRNV -KLIMDAVFDPEFGMIKMRKGVTFSLRPTNDLTFSSFHEIPPIQVEMTGNVNYVYDSETARTRAEYDVQVR -WEAPGRIITMNLLVTSSYEILSSMEDILGREVRAFSFVVQDVSKFSGIYYDFMLSAVRKEHAIVWFPNLR -GILHHHAISIEKVETCFKAAELAQFSSFLSLRPTALIKLNVVQTTNIRGGILPPSVSKPILPCEGVHPSF -IFALMSMWRRIGFAGLPSR - ->NP_620281.1 VP2 [Eyach virus] -MSTRTKEKTKEKKNEELTSINEPTQAQDTKVSSSLDLVSSRDRPKQENDKTEDQQEGTDKPGSKRSIDAL -AAIIEKKVQMSGTAGLGDFFGRMRPEITDAFFSALEKEADVSKVVPSSLSKLDDGQVVDLLAVGEEKALS -QSLFQLEIVASIPHFYQLTAKQIGALGAQGGYLKAFHGEQVYPFIDPVANEFGRGFDLAYVRVNTSGQGI -ELFIDPFDFDKVSCKVGGQSLTGIGLSNVVGMDEITYDDVKTPEQMMEFVVLLIAGAAKMGRFVLVASTP -EGGGLLFKKWVRRPVAENFRNINGWLLEDDYENYPHVPETLTVFSEYQWFAREVADNMTDNGLGTSVEMD -EGPNIEAFFNRKYREAAICNLDFITSEAHANVMEELQNEKMNVSAYVELLQSCQMGIYDIEGDTEIIKLK -VYMREVEDYEAELTRMMLFPGDQLYVDCLRTAALVSPNIRQFILSILVQQATSRVGLIELLPIAEMTASL -NARDNISVSHNMALTLAIGEWKELLRLTNPQQVCQKIVCDIMAPFVDGLKVEDSLGVPTPSKLVFALLGA -KVALILTPNLYDYNLHLKAQLVTMISSCFFPDQYGALIAARGYGRDVVGNRIDSVRDGERTKKNCRFATY -TVLEPIPNGPPDRSRRKWLLMKRVQEWLLRNDLVRRREIRDIMYRPYANVLRLPGQTYLPRRQAAGAPLP -CEVLLNDAIAILDEYRVVFHHPNDRNQGPQDRHALTTLSNLLRWYTRGFAEWFHWVYCPLYLQIALHPVI -FWSHRLGQGVWRQFPAVREDRERRFDNHLEGVPFDSPVIAAFEPDAAMNPFTLRQGADLFGRVHAQGSTF -KGGAYDSNAVILLSEYEALYRMTDSEGMVDEYIKTLMSSRQLLEGLRLVAELSTGELLRDTPILDYIRTA -FCEGRFPSHIFFKVLSLFGVKINHGVLAQVGERSIEHRLRGDYRVYYPRPGFLDPALEFIPDRIVVNDPV -RPSVRRNVKLVMDAVFDPDFGMIKMRKGVTFSLRPTNDLTFSSFHEIPPIQVEMTGNVNYVYDSETARTR -AEYDVQVRWEVAGRVVIMNLLVTSSYEIIANMEDILGREVRAFSFVVHDVSKFSGIYYEFMLSAVRKEHA -IVWFPNLRGILHHHAISIEKVESCFHAAEIAQFSSFLSLRPTALIKLNVVQTTNVRGGMLPPSVSKPILP -CEGVHPSFIFCSDVNVATHRIRGTPIQIKKFFPPLVKDASGRPRIMDENGAVQNGLEQHPYGLRSLVFVY -HFDPTFRPKVCSVLR - diff --git a/seq/clusters_seq/cluster_887 b/seq/clusters_seq/cluster_887 deleted file mode 100644 index b788045..0000000 --- a/seq/clusters_seq/cluster_887 +++ /dev/null @@ -1,43 +0,0 @@ ->YP_010086012.1 VP9' [Tarumizu tick virus] -MFILVSVGLVDRAPQRGYNPSKRDMLIMRSIATHYGNDKMLLWFGDYHDITVSHAKIVFGDDKRFAFLGT -NATASGRLTMPYPRASSEISWREVTSGYLAKEEKEKIVVYIHEPLNFVDQSTKKPVPMDEVLKWLATNVV -GPIVIRMDCKKHYDGLVPKGNMYYLPFEKKLSLRYLLVNDCGRSLDWTVGASFDVRPYLTGFNLITRSSP -VFSGSYDQAVYAMMTQATVPRSTVDERELRNVITELCYEHQFPTPEIHSTTARRSGQAGEVWTTSIRAGP -LFTGQHIADTKEKSLDLAYREMLGILEQLTVQPRDLGRGCCXRCWPGELFIVNVETESFRSGCSREAAYQ -AGAFWSLLQWRRHYIVSFPDLRGAVCWLNEVLRLRTRRSRGRAYILFGCHSVNELMGDSHGGSFTVADIR -ESLNFGVLQGSFEDAVFIWQRCRVGRLFDVFGSRFFSPVSEDVKRFCQEMRQQETRSDWFDWILKSCLRV -HHEIGLSFNLGSFLGRATYHFEVASYGETTTRELRGARSTRPAIKV - ->YP_010086000.1 VP9' [Kundal virus] -MFGYMQSIDLSRDFYIKEYGNMSVTMSQKAYDPSKRDIMILRSIQKYFGYDSKLLWIGDFHSETVSHADI -VFKSNSNYAFLGTNVYTYMYDDPNNPIRPTSDIIWNDFQKAYYAKENKDKIVLYIHEPACFIDTSLKKSV -SADEAWTWIKNNVIGPVVIRMDCKKLYDGCIPKGKMAYIPYERKCSLRYLVYFSSGSELDWTKGENYDVV -PFLNGYNLVTRASPMFGRPYDDALYAFMQKSVPRDPPEKPSDIVKQLNALALSYGVTCECDSKCTSKTIQ -FGEIWETKIRFGPLETGFSVSDTKIKSMELSYNELIGLLDGISVDDKKLARGCCXRCWPGELFVLNIEDV -NYREGASVEAAYQAGVLRGFVGWNRSFICMFKSLKQAVLWLAVRLKLHSSLPRGRAYILFGAHSWNEKVG -GVYGEAYSISDLREAISHAIRRSTFSDAIVIWQRCRTARLMSDLGLELFERLDENVQLHLREKRRQESRQ -DWYYWCLKTLIRVKNELNGIYSDDVSSSKISYHFEVAPYGEETFRERRLDGSLDYQGLTILFIGAMITGS -MTRSATQFIFSKIIRLVRTREEGEHGSTVSCEVII - ->NP_690889.1 VP9' [Colorado tick fever virus] -MFGYLQLINPTTDIYIKDYGNFSGIVAHQAYDPVRRDHMLLRSMKLHFGPNSKLLWLGDFHAITLSHANM -IFGASSVGFKGVNVSTLPPDTLTTGIRPRPVVTMDFAQLKGFYSDLAQKEDYIMYFHDMVKFVSQTGGAP -VSLRDVFLWISESVTGPVVIRTDCVTGCNNLWPSGSGHFYFPYERKTKFRYGTFVECGKNLTWSEGPQWD -VKSYVVGFNFVTRANSLLGGGFDEVCYRLMMQAEVPRQVAEQGLTLEQAVRKLASEHHMSRPQLTSRRVP -GITDQTKYEAYVVCGPFRTGQVVADSLQMAEDLAWREMLGTLKTLIHDEARQTKGCCXRCWPGELYLINI -ESAGFREGASAAAAYQAGFLRAFYGCLNTSVCSFSSLDDGLRWLCTRLSTKSIGAKGRTVVLFGCHSEGE -VLADGFGKTYSAAEAREQVALSGKIGGSAQVIVVWQRCRESKLQRLLPELAMVSYVPEEVVAGFKRVREL -ETYPQWCLWLYRSMIESMGGIDVGVRDASPLTESGVVYQVEAAQYGMRTTRVRRADGTLDYQGLSWTSLL -MLLLGHYGADQTYEALRVSSMFIRAREVGWRKRGTFSCIVST - ->NP_620288.1 VP9' [Eyach virus] -MFGYMQLINPTSDIYIKDYGNFSGIVAHQAYDPIRRDHMLLRSMKLHFGPNSKLLWLGDFHAITLSHANM -IFGADSVGFKGVNVSTLPPDTLTTGIRPRPTVTMDLAQLKAFYQDLTDKELYILYFHDVLRFVSQTGGPV -LELQDVFRWISETVSGPVVIRTDCTTGVGNLWPNGSGHFYYPYERKTKFRYGTFIDCGKNLIWAEGPQWD -VKSYVAGFNFVTRANSLLGGGFDEVCYRLMMQAEIPNQVIEQGLTLEQAVRKMAAEHHLARPQLTSRRLP -GVSEPAKYEAYVVCGPFRTGQVIADVLRTAEDLAWREMLGVLKTLIHDDARITKGCCXRCWPGELYLINI -ESVGFREGASAAAAYQAGFLRAFYGFLNTSVCSLSSLDDGLRWLCTRLCSKSTNVGGRTIVLFGCHSEGE -VLADGSGKTYPAAEAREQIAAAGRLGGSSQVVVVWQRCRESKLQRILPELSMVSYVPEDVILGFKRAREK -EDFSQWCGWLYRSVLEAMRMIDHGVRDFSPLTERGVVYQVEAAHYGMRTTRVKRADGTIDYQGLSWISML -MFLLGHYGDDQTYEALRVSALFIRAREIGWRKWGTFTCIVST - diff --git a/seq/clusters_seq/cluster_888 b/seq/clusters_seq/cluster_888 deleted file mode 100644 index e9d554c..0000000 --- a/seq/clusters_seq/cluster_888 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_010085107.1 nucleoprotein [Culex phasma-like virus] -MENVKIYTGHADLALPEDAEAKRKILEVIDAQADSAAALCKTNLVKDAVIQFVKLSDLAGEISGARLDVP -DLIAEVAKILPSILDDYTWNDSYKALDVMRRIIYNIGPETRSVKKGKNADINWRLRITEVVTPAQIAGLN -AIDFEKIAADGILTDQATANNTKRRAEIEAKWTSIKDGVNYATAGAIVVSTFKSTSAPTSQPKLAAGNVL -LLTIKQATIVGLYILEKFTKVACEKNHDILTPLSGAVFSRDSLTKMIQDPVIAAAFGTKCKLVDAINKSA -QNGGQFLEGSRADVAAVCVLIGTANVKKQEERKSIVQRTMKQYFAQKREGSKDVFKAMLPFGTGGLPEEW -TFDVLSDIQETSRRQSQALRLAASQAIARTNAGLIPDAQTPETSGN - ->YP_009305134.1 nucleocapsid protein [Wuhan Mosquito Virus 2] -MESAKAYTNHNDLDLPGEAAERKAITDIIDLQNESAAALCKTNLVKDAVIQFVKVSELAGEISGARLNVP -ELIAEVAKILPSILDDFNWDSSYKALDVMRRIIYNIGPETRSVKKGKNADIHWRLRITETVQPEQITKLR -TIDFGSYSANGVLEPNAEATNDKLKKSVEDFWKKCKDGENHATAGMIMISTFKSTSAPTDQPKLSAGNVL -LLTVKQATIIGLHILGKFTRISCDSGHDILTPLSGAVFSRDSLNRMFADPVIKEAFKDKHNLIDCINKSA -QNGGQFLNGSRTDVAAVCVLIGTMNVKKQEERKSIVQRTMKQFFAQKRPISKKVFEAMLPFGTGGLPEEW -TFEVISDIHETSKRISQAAREAAAEALMRTNNGQNQGNN - ->YP_009553312.1 nucleocapsid protein [Yongsan bunyavirus 1] -MAFKQLTYSAATVKTDMKDISDDLINDFNAQDKKIGDLKTSLGQDTIATEISLKALLDRVGTSPLDMWSL -RIEIAKIMPDILADPNMDDARKCLNYMELVIFKIAPETRQIKAGKDQDKHWHIRCRTVVSKANLNAYITR -ARAEYNADPTTMHKITVENDDDHTMAKKIRDLEKHWLTVPIGDTETYEIGGTITMATFKTNTPPTAAPAV -TARAITLTMKQASLVAVDILNCYTELAVASNMVVLTPLAGAIFSRASVEEMLNNPVIAGLYKTKHELVVA -INSSAQSGGQHLPYSRSDIAAVCTHSATQGMTDKKAAKAICTKTVRQFVNGKRPHDIGVVSAFGAFATGG -VPTYLSYEAVDAELNLARLAYKNAQYVALN - ->YP_009362030.1 nucleoprotein [Kigluaik phantom orthophasmavirus] -MDKPSEAFTTAENSMVGLNLEGPVQEAVRKTFDMHAKTIATMASHPCSRDYNMFLNTCESVSKEYNSAGL -DAEKLCREMSYVNPDFMLEPGWEVGHQALNFMAKVIFEVGPETRSVKVGSGTDKSYRFRFVRQYDAADIG -RVASKMGAKNPPNAPSTSAARPNAVDVVTAYKAKIREHSVENGEAGTVVSGMVIVKTYKNTIAPQSEPTV -KNGVLVLTIKQASFLALYKFKEFVKVCVARDTTILTPLCGAIFSRDDIDLMLADPEIKAVITDKTELLVA -LNLSAQNGGQFVEGSRTDIAGVCAIMGTVNVEKKLRMQIISKTAKQFLAKDRPMDKGLFHAVGKYAAGGL -PAEWQAEILVQELEAAKITYRQILAAKKATERAIRMEVPNFDGSEN - diff --git a/seq/clusters_seq/cluster_889 b/seq/clusters_seq/cluster_889 deleted file mode 100644 index 31d3182..0000000 --- a/seq/clusters_seq/cluster_889 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_010085099.1 glycoprotein [Citrus chlorotic spot virus] -MKHMMAHIEGTIIFLVVLLIHVSHLFSGTICFNIVPSVMCPHKDGVSLNDWRHLCLGACSPTDIEHGMHR -YMILHNALVDYKAYGYRITAERKTLSSHVSFFGSCHISESISPMSAGDVPEGDINEILRHGGAGGVMDHV -KTPSCDWIADNHVSGIKLTYQRVSVSVTDDDGKMVVEFPSQSLSGEGLSGRIYSDNTVYVWTLEDKLPKC -KKRVVGSTFCKLEGDFILCRGEKSHTILKRIVDCGLNIIVTFGADYALYDDKKALSMDDDKVEKNSVMGK -VLDMENIVCHHLCQEAENMMNHDEFLMATPIGDWLSIKAEDHFIFYKCSKTDASLVRPLVVCGAGPMIQL -QSANKIYWWNVSSPYINPDSSCNPSSSSSVMRGNVIHTWVGDIFLNDSGVSFAHRFKDATFHPAFRPVKQ -LFGEGHLHLKDIAAGLKYASSVRVDKKNLEERRISMGESIKDRAVSAFNSAEEWIKSQWPDIKAWIIRIG -IWILVISMLILLVWGLFKIMLAIVRRPRNIIRVESREAKGSDTSLVAWAKQK - ->YP_009976136.1 glycoprotein [Citrus leprosis virus N] -MSLSCALIYLSSLFIIRGITGMDIVPNTVCSHLGGIHHEEFQHLCLGACESSSSTPDMTKYLVMMSSTDG -FKAYGYKITLKRRTVSSHTSFFGECQISASVVDAEPGVAGPSLIKEIIAHGGPGGSMDFTREPSCNYFMD -HEVSGILVDYHRSLMEVKDSPKNGYDVTFLESGAQGSGLSGHLYSGNILFAWDITDQIPKCKFRVVGSTM -CKITDDLVKCKGEEMKNVESLPVDCGIQIHKLNDGTYIGESPSRLETLPSSLETSIYGLYQRVNDIEGIL -CQHLCQEVEADGIMQHNEFLMTTPIGNWLSVKADDHRMMYQCVSIVSTITTPPVICGSGPLVQVMMGNKP -VWWNVSSPYINPDTSCIPGLSTEMIVDGRIKTWLGEVKLGDNGYTFVGRYTDVHYHPAFRPSQGMSLVKR -DDLTPLVHGLVAASKVTYVKHNLAEHSSSMGSSILRSVVGAFDAAVGWVQGLWPSLKAWVIKLILWTILI -LIIILIIWFLVWLIKLVIKRRLSPNPVIIHQATPNGENTSLMEWAKQK - ->YP_009666992.1 glycoprotein [Clerodendrum chlorotic spot virus] -MTRYLLSILTISFLPLIIGTGCTNILPSTLCDHKEGVSLNDWRHLCLGACSPTDIQPGTHRYMIMHNTLM -DYRTYGYRISAEKRTLSSHVSFFGSCHISESTVPMDAGAVTGGDIQEVLAHGGAGGVMDHVRPPSCDWMA -DNHVSGIRLTYQRVAISITEDNGKIEVEFPSQSLQGEGISGRIYSDNTLYAWDLEGKLPTCRRRAVGSTF -CRVSSEFIACRGEKPHPIIRNMTDCGIKMMVTYGADYALYDEEKAKSVEDVKEENSAVLGKVLDMENIVC -HHLCQEAETVMNHDEFLMATPIGKWLSVKAEDHFIFYKCVDIDAALVRPVVVCGSGPMIQITTINGIYWW -NVSSPYINPSATCNPATSSSIMRGNVIRTWVGDLILNGSDVSFAHRFRDAAFHPAFRPVPQLFSEHHLHM -SEIAAGLKYASTIQVKKENTEERRISMGESIGDRAVGAVEAAEEWVKSKWPDIKAWIIRIGLWVAAIMAV -LIVLWCLFKLIIAILTRPRNVIRVENRESKGSDTSLVAWAKQK - ->YP_009507910.1 ORF5 [Coffee ringspot virus] -MRGIVVDILIYFLVSFQFSGIICTNILPSISCTHQNGISLNDWRHLCLGACSPTDIEPGMHRYMIMHSTL -RDYRSYGYRITAEKRTLSSHVSFFGSCHISESTVAMDATDISGSDIHDILLHGGAGGVMDHVKDPSCDWI -ADNHVSGIKLTYQRVSISINEDSGKIEVEFPSYSLMGEGISGRIYSDNTVYAWDLESKLPRCKRRVVGNT -FCRVDKGLINCRGEKPHSISHIMDDCGLKVLITPAADYALYDESKAESVEVDKEEKSSVLGKVLDMENIV -CHHLCQEAENMMDHDEFLMATPIGNWLSVKAEDHFIFYKCSDISAVLVRPVVVCGSGPMIQLRTSDQMYW -WNVSSPYINPDTVCNPAASSSVMRGNVIHTWVGDLIVNDSGISFAHRFKGAAFHPAFRPVKQLFSESHLH -IKDIVAGLQYASSIQVKKENTDERRVPMGESIGERASGAVDAAEEWVRSKWPDIKAWAIRVGLWTTAILM -FIAVVWGMFRIIIAVVMRPKNVIRVESRESKGSDTSLVAWAKQK - diff --git a/seq/clusters_seq/cluster_89 b/seq/clusters_seq/cluster_89 deleted file mode 100644 index 2b53ce6..0000000 --- a/seq/clusters_seq/cluster_89 +++ /dev/null @@ -1,627 +0,0 @@ ->YP_009553478.1 putative RNA-dependent RNA polymerase [Alternaria arborescens victorivirus 1] -MIDSPVTDRANVAGAVGEYLKVLTDDRDVSFLLSSPFSEQISYIYKPSWGGRKPTPLRRAALSFLCATVP -VQVPIPESYLWQLVDATCPVPELSIRMDYKWKWAKNAGETVARYPLKKNPAASNKVNLFLYEVCRDLAKK -DTNALRTGLTYLEWLRDRQVVYNDQATAVLLYGYCLSRYHPHGWRHALASLTPSSYTKGLSVFGKAVGIN -GTPVGAMLVEATVLLGRDVAPTDLVEEARMRVTESGVSKVVAPYPEDVMRRAVRKNLLSELKRSGDSYKL -EFPTLEDHWATRWRWAVNGAHSGLIYKRNPSLRTTPPGFDRIHRRAWLETVDKDPRPDWDGKTYVSASPK -LELGKTRAIFACDTINYLAFEHLMSTVESNWRGERIILNPGKGGHLGMANRVRAARNRSGVSLMLDYDDF -NSHHTTQSMRIVLEELCSITEYPTDLAEKLIKSLDDEHIYVAGKYMGRVLGTLMSGHRLTTFFNSVLNKA -YLDIELGDDIMDNCVSLHVGDDVYLGVRSYKEADVVLSRIRTSRLRMNPAKQSVGHVTTEFLRIASESRY -SYGYLARSVASITSGNWVSEAKLSPLEALNSMLNSARSLANRSGLADAPLLLVTSVSRMAPLASKDDALV -RELLLGKIALGNGPQFQSSGTYRYVNLRPEFKHIDDNGYGKLPLMATHTYLTSAATELETEVLIRAGVSV -EEDMARASYAKSAPQALFTEERLVVQHRGQKNVVGVEWAEMVLRQPRVIGLLTPYPLLLLARARLPEHLV -RYALHQAGGDYNTPYLEYDAWGEYAHGCVIDTVMSYTDASALGSRTAAGVLTSVNRMYV - ->YP_009553338.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 7] -MQVPVGQQIVYVQALAEQGRCVKAAAVSILLSDVTVQVDVGQSEFMRMVHESYRTQCGHGTALCGTQKCN -GPRCRVLEAERGARGDVFERKSHPGAVNKVNVYWSDALVDCKKYCTPVYSEAMNKSWLFEGLYEDSATAC -LMQAAGIAHVHDDSVWLVRQMMDGSKTSKGWSTIIKSLGLNGRAWGAMIVESSALLGRGVGDANVAEEKR -MRCDRKKSKEMNATWYDPSKLREAVRTILTVELGNQVIEYPDIDEWWSRRWLWCVNGSHSRVRERITGEH -VVDVKGQIHRRVFMENVENEPVSGWDGKAYYSASEKLENGKSRCIIALDSVSYSAFEYFLKPVEKAWRGV -KVILDPGSSGHIGVRERLEKVSTGAAVNLMLDYDAFNEQHSLEAMAIVYDELAKYVHLDDTLRKKLVSSV -YNGYLEVDGVMRLMLGSLCSGHRGTTFINSVLNLAYLMVADSEFFNSRSVHVGDDIYVACTSYEEAERIL -LKVRETDLRLNPMKQSLGHTTKEFLRVATRGDCSYGYLARSVAACVEGNWVGERRLSKVESLQSMVQHAW -TLRNRSVGGCAHLMLVSAICRYTGMSRTRVLQLVRGTTALGCGPSIRRDGRVVSYEVKEVVEVVNDARTR -LWSKVKEAGGQKFATTSYMLEHITPEEVVALRLAQTDVSTVMLEASYSKSLAEVSDAFETGTLRVTEHSR -YVASGSVLSSELIHAQVNVGVFEGMPIMNLVKGGLTVRQLAEVLRFAGYDPGPDPIVTAFGNYAVGRLVE -GVLSYSDSVALCRRTDSDTIVCLRPMYV - ->YP_009553336.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 3] -MEVRRRLPSSVLSRLDGTCHTLKEAEVCIGVAERAAGTIGMLYVADNIFDIPVQVPGDSVCFDELLYYLV -KYQAKPDTFTHGGGVARGTRRKKRRVVSVKGDLMAQYHSKVLSFCSIMDEPLSEGPVGPDTAWDTLSAKA -WWAGKEDLDVFPERFGTPFDKTNIRFKRLWRDVCQYFPRVARVVRRERVILQGYTHDEACGWLIWLCASQ -EILGGAALAFVAVFGKHAKFLKLLNTAVKAFGLQQARWGSAVCELSTLAGRGVGELVPDEDVRTRVDYAA -FLEEKAAVCERGRLRSCIEAVIAREMAEKPVWHTKEEYWSRRWLFTKSGSHSRSMEREWFGEKLDLPPLA -TRREFAEAVKECMVAYGEPRVEAGYSEKAEHGKTRAIYGCDSVSYFTFDYLLKPVEAVWRNSRVLLDPGR -TPQGLRYKELAEHDGYKYMLDFDDYNSQHEIEAMKMVIEIACKDAPPDVLKWALDSWDNMFVHWVSKDGL -KRSKMVGTLPSGHRATTFVNTILNAAYCLYASSTDMSELDGYHCGDDVIVFGDERAMSLFVKDMCKSVFK -INPAKQSVGQYNGEFLRVAFDRRGASGYGARSISAMVSGNWTTDNRLDKTSYIDTMLRNLWTLCARFRTD -RLGVLGLTCLRKRAPEVVPWAYGLVTHEISWNGSPVKLSGRGEPVLVLRSTGGRSRPRPERLKKTFASDA -MLSNHIDFTLMDAAGIAPSQVHSALAAASGKPREYDRESLLDITEEWSSRWGVYSMGTIASMHTRPTVTS -SEALNVLSKMFTKIDWVDIVRSIYPHIGGELSATGTSPWPLVVDYNLPYSDCMAYRNKVTTTVGLLVTYP -VTV - ->YP_009552795.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 11] -MSPEVARRMKELGGIGLRLATHLREDDIKQTNLSGEAWMRYVERLEGSGARLRAAAVSMLLSDYPIQVSL -KGPELLSLIDFSTFVSEQEAKRKREGFDEGFELYKDGKDQLPLKVNPGAVNKVNVYFSTVMLGLKKKDRR -YYLHVLTNGYLLNGLYNDSASAVLMYACLTRDIIPDSFKLACYMAANPSEAKALSTVIKSLGCNSTRVGA -CLAEMNALQGRDVGVVTFDEEARYRCDQEAVKEKNIKVDAHKLREAVKYVVRNELAGRTVKFDSLDEHWD -KRWLWCVNGAHSGALVKREAKWATSFTKRMHRKVFAENISYEPVSRWSGVSYFTMSLKLELGKTRAIFGG -DTVSYFAFDHLLSPIERAWGGRRVILNPGKGGTVGVVDRVRKMKRSGAWSVMLDYDDFNSQHTLLAQAIV -IDALVKESGYDPALGAKLVSSIFEQHIVEGDEVLRLLGTLMSGHRATTIINSILNYAYLLVANEDIKNMD -SVHVGDDILVMADNAAQAFRLLDSVRSSGVRMNPLKQSVGVKTSEFLRVAVGPVEAWGYLARSIGSIVSG -NWVGETALDPREALSSIVASAWTLGNRARAEVGYLLKYDLNRVTGIKVDLCERMLDGHVSLNGSPVRGNK -NLVEVVRVVSNEEHKEQGEDIVDVVKRYGGKKYATTDYLSNHVTYVERLALQLVGGDVTEMMVRSSYSKT -LISRDIISSEKLELTTQHIRLRATQSVGFDSLVSLEKEKPGSLAAYPLIGLVRDRLSEAQIVRLLRVIGD -NRPGEVYEKAFGAKEKAVICISGSVPYSDAIMYGRRAEGKNIFVNYNINF - ->YP_009552793.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 10] -MSSIEARINELGSLGTALAKRLSEPMMTTFPSKPVDEQVYDIYTVQLKDPVKAAAMSMLLCKYPVQVDIN -VSDIIDLLPVGEFVGKMKEEHGDFRFMENGRTTLPTKAHPGATNKVNVYFSNLLQALREEKPGVLRWLLA -NQHLFYGLHDDSASALLLAGEMTSSVFARSYELYSYLIHNPDDASALNVVIKSLGLNSKREGAMLCESKC -LQGRSVEPVSKAKEVDYRISKRRARKKTVRLDRDRLYQCIVDVISSELPRGGVNFADPDDHWDRRWLWCV -NGAHSSALSKFKPQYVVDFSKRMHRKVFAENIASCPIQAWDSVCYFVLSTKLELGKARAIFGGDSVSYFC -FDHLLKPIEDAWRNKRVRLDPGKGGVVGVVDEIRKLGGEGWNVMLDYDDFNSQHSLESQKLVIQAAVEVS -GYDRVLGAALIASFDKSFIVEGDKAFKLEGTLMSGHRATTFINSVLNMAYILYAYPQLWEMKSLHVGDDV -YISARDVREAIAVLDRVQSVGVRMNPVKQSVGTSTAEFLRIAMGKRGACGYLCRSIGSVISGNWVSENKL -NPREALNTMIQAGWTLRMRGGVKVGWLLRRDMARVTNLKHKICEDLIDGVASVDGSPVQVSQRYNKSYTA -ELVDDEEPLDVRMFGGRSYATEAYLSKCTTPVEVRALELAGGDVKDMMVRSSYTKTLISYDVVRTPSLTL -RATGQNRQGTEAVYVESLVGTVEVGGELTKYPLVNFVRDMLSERDCVALLGMIGIRAERSEAKELAFGSE -VDVPTFVNGALPFSDARTLRGVAGGRVMMTRYPIRF - ->YP_009552791.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 6] -MWAYLSARIGKCVSLREALVVLSLAERGCTARQLVHLGLNLWDVPLQVEVTVQDILSYMFEELHVGHKIE -AQCGGGCLDLDVADDRKIYPLKGHVAAETKVNVFFRDVWKDAKKYFPEEADEFRKLSGCTAGMYNDQASA -WLVWFCAVWKKDRWVAESLLVNWKDQEFLKTLSDLVKGLGATRTELGCCVAEMKVLVGRGAKALDRDEDV -RCRIDKAAFEREKSCGIGEEIRPYVRAVLREEMGRNPAWPSKDSYWKRRWLYTKSGAHARRVERIKMGRK -LDLPERPTRREFFENVEENLIGFGEPCALAGQSGKLEHASERAIYSCDSVHYATFDYLLKPVEAVWRNKS -CLLDPGARQKSELYGALGAKKEVSLMVDFEDYNSQHTKEAMKIVIEEACQGAPPEILQWCLDSIDNELVF -WKGMDGKDKMARTVGGLFSGHRATTFINTVLNSAYIRYVCGVQRLAVKSYHAGDDVYLSGTADNIESVFS -KVLASRVRVNRSKQGLGTKVGEFLRVAFTADGARGYLARSIASLVSGNWVGETRASAAAAVQNYANQSWT -LAVRSGVSKLPMLLVSTVCRRVPELAHYAADICGLRMSVNGSPVFDSPGSTLAILKVGDKKARRSKVGMG -YHSYATDDFLNNYVSEELLNRARVERGALRKLMLEVSYKQAGDELEPTPKLEWFIVQCPKRSLYSVNVMR -SLDVRAQTRDESHMAKLLGSLVGGIDWERIVRALVGDASPLASKLDPDTWPVTNDGTLDITELNAYRRRM -LRPLCVNSAYPIRV - ->YP_009552789.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 5] -MPKWIPKSRWEASTARSLPKSVVRLFDEPTDSIRVANTAVGVAKAVSGAVGALLTALYCYTVPVQVEGVS -VDDVIFGIVENELSAGAPGTFIKRRRLGDRELADRQSFKGARLKLERRTRAAAKLGRSLLYGRALEKAEK -DYGAHGSYEPDVLAWKVASRSRIEGSNVEFDSRGDVAVRKVFRSVIDRIKDGYNGSMADVLQVRALLSNP -DVTSGLGLGRMQADWRHVVGRLASIKDTVVMSGMLIELIVLNIIPDVWVAPLKGHPGARTKVNLFLADVL -KDARKYFPTSYKALPAVFVNLLGANNDEVTGWVSWFVLAHTFMPRAAELMVSLRTMGSLVKDISTAIKSL -GLNSTREGAMICEMVALAGRGAVPGNADLDVSTRVSEKLFLRDKAAVMDKEKLREAVRLVIREEMVRDPK -WQDKDDYWSKRWLYTKSGSHTRHIEDVMYGDRLDLPPQPTRREFAEGVEANVIAEGEPAVYAGLSWKLEQ -GKTRAIYGCDTRSYFTFDYLLGPVEKCWANKRTLLNPGLRAQADLYEDLASKGPSFYMLDFDDYNSQHEL -EAMKIVIEEACAGAPKEVLDWAVASWDNMWVRWVSDATGKLEKKRMVGTLPSGHRATTFINTILNTAYVR -MVVGDAFDNVGSLHAGDDVVMWGDSEDISKCINCVEQSVLRVNRSKQSAGSVSGEFLRAAFCKSGAQGYA -ARAISSAVSGNWVTEDKISPRSYVDNFTRLAWTIANRSGVRNAGALFVSSLRRRVPGLAHKAYDVCTNRV -SVGGSPIWTDTPTQWTKLDVEGGTELKEKIDLGLPSCATDAYLHNHVDMKLLKEAGVTPGSLRALMLKAS -YKPRGIKGSSELRFREVVCPPTVVQGLITVRYFPKRVVTQHSATKVLEGLISGIDWRVLVSRLRGTDSSY -LSVTGKSEWPVGCDGNVTYSDCMALRNTLTRPTLVKTIFKVNV - ->YP_009551684.1 RNA-dependent RNA polymerase [Eimeria stiedai RNA virus 1] -MKRVGGAASRGALREAADSCGLTRLLVGFIAEASALSRLPESERYGAIISACGGGAEDRLEACVCYNILS -NPTPIHLPQPAAWVWPILLGVGEAAGRMLPPEWRRCIAMLPRRRYSVLPRAQGNRWLGSSKGRGVDSLAS -SYALHVDSHGRDGKPALGALCRYLSEADAAIHEGTRRVLASRAVPPAASVSGLLASGRELFEAGLLGEEQ -DTEAHVRVDGPSPRMRARRGGGGSYVAPGISRVASRLATSVRGGVNSLLTWLRDFSVSEVAGALGRVATQ -RLVGASAGPAVLERRLEERARPSPVSSGVTSGRPWARGLDDKGGSCGVLGGSGWSMKVSRRQAEGLMRSI -SLPEIALTLVKREAGLRLRSRLQARFPRKQMSQATSKVNVFLDSLLMDLAAYDPTGCSLSLSILDTVPAG -TLYEDQVCGAVLHAFSLCHGRAGATLLLILVLGLAAGGTPLQGLSDVLKATGSNTSFEWAHFCELHCLLG -RGAGELDLKEDAARRCRPKPDMEVEVDPVKLETAVRAVLAEELGTDNLIIDDLDRHWDRRFEWCVAGAHA -ASVNQCGGFSCVPSCGPGGRKMTRRMAMEYVEGNPLHSWDGEVKVSVIPKLEHGKIRAIYSCNTISYAIF -SRVLRPAERKWAGRRVIVDPGAGGNYGMFKRIRTAWPRSLPVAVMLDYADFNSQHTLGAQQTVIRCLLER -CPGISAAEKETMIASFDRMYIYHSGALVGRCRRSLMSGHRGTSFINSVLNAAYLRVVLGEDGYAKLQSFH -VGDDVLIFARDERSGYEIIQAMTAAGFHLQAKKQSVGRAGFEFLRMAGTRRAARGYLARSVASTVSGSWT -SDERLGAIASLHNAVQQARSLINRSGNMDCYKLLLRSAYARTSLPLSILGEVLSGQVAVGAGPVYRADGH -YYNREVLELDLAREHHVDSIPWRKLARHATSDYFARGRADLEEHAMFLVGYKPWLAALRSTYGDLAGVLN -EPGPDDGDDTPRAVALGVKRMFTKSGVVSLDTELDKPVQRGLLVHYPIIVLLQHSLDNNQIGELLRAAGH -LCEPGAERIAAFGDVKLGSVINGWLPYSDAAALGARGLWQTVRVLYPLRM - ->YP_009551611.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 9 genome type A] -MMRPTPSLVSQLRKRKGANLPLREALTVQRLADEFLEVGEALELSQSTYKVPIQNSIDTKSIIGSFLSVE -VENLELPDPAIAPSLLDSKDDRDVFPLKENPQAKTKVNVFFRDVWTSIKKYFPRQATFLSKNVDLLLGMN -NDEASAWVIWFTGVSEIDFWAARELLKIAKDYERLSELSDLLKTSGRGDCLLGRCCFEMRVLAGRGASPP -DPAKDVRTRIDKKAFEAEKSCGVGSEIRKYVREVLNNEMVRRPNWETTKSYWKKRWLYTKSGSHARRIEK -HMFDKKLDLPPQPTRREFSEAVKECVVATGLPSTHAGQSWKLEHFKTRAIYSGDTRSYFTFDYLLRPVEA -VWANKTCLLDPGNRGQMEMFSELSSHGDVNFMLDFEDFNAQHTKEAMKVVIEEACAGAPEDVVKWALESF -DNEFVYWMEDGVEKCALTIGGLFSGHRATTFLNTVLNEAYCRMAMGDVYRRLKCKHAGDDVIVQGTDVDI -DIAVSRFMESPFRANPSKQGLGRMCGEFLRTSFTKKEAGGYFARAVSSLVSGNWVSDSTNTELEAAQNYA -SMSWTLAVRSQVLNIGAVLTDTILRRVPSIAPYAFAVATCGVSVGGTPVFGSAKGQVLMLDIVSKSIRER -EVDFSKSYATDDFINSQIDEKMLEMAGITRAQLRRAMLEASTKPRIESNDESPAGVLCLKAPVADMVDIV -VAKSNVVRQATNDTIAAQKVLSSLYGRIDWDKVFSVITGNRAPLLPELSQVTWPVVNRGNMSFSELAKTK -NDLVRSACVTTMYPILV - ->YP_009551609.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 4 genome type B] -MLPSYLEERIGKCRSLREALTALSLAKAVCTGPELVRLGLEMWDIPLQVEATVQDVLESGSRLLPAPHGG -EVVESVLDRDDDRAVFPLKLHPGAATKVNVFFRDVWVDAKKHFSKPAAYLSRHPELLVGLFNDQASAWVI -WFCLAWEEDPRVAVSVLHECQNMDYLKELSDTVKALGITRTKLGCLCTELKTMACRGAKSFDPDEEVRCR -INKKAFKAEKSCGITGEQIRPYVRQVLQEEMAKNPEWAGTKDYWQRRWLYTRSGAHARRVEDIKLGGRLD -LPERPTRREFAEAVSENMVAKGEPGCHAGQSSKLENGSTRAIYAGDSINYFTFDYLLRPIEAVWRNRSCL -LDPGARQPSELYGALSAGADVNLMVDFEDYNSQHEKSAMRVVIEEACAGAPKEILDWALASFDNEVVYWV -SADGTTKWAVTVGSLFSGHRATTFINTVLNSAYIRYVCNTLVLPMKSYHAGDDVYLSGTADQVEHVMKSV -LSSSVRVNRSKQGCGRLVGEFLRTAFTRECARGYMARSVSSLVSGNWVSEQVGTESEDVANYARQGWTLM -VRSGCRRAAWLLRTSMERRLPRIAHLAGAICDFRLSVGGSPVLDRTGGDCEVLMFVKEQSVRPNMEGKPS -HATDDFLDNYVKDELLEAANMSRESMRKLMLEVSYKPEQREEGKEAEERPVVCPVPKRSFYGVAIARQER -LRDLTRTESAVAKLLGRLVNSIDWEALVRAIVGDKSVLAPKLDPKTFPVTNAGTLGVSELMALRSRSARP -ICVATRYPILI - ->YP_009551561.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 13] -MDHRLLVAERLLEEAKRTCRGDKIVHMANTLWCVPMQVRLTSRQVREYLDSYIRGADKITVAAQHVRRVS -KWLEDCADDRNLFPLKDHIGAETKVNMFLSDVVQDAIEVRGRRFVQSIMPVCLAAGCTNDQATGMLIWAC -ALEEQGLPSVGLCSLTWEAKKKLSEVVKGLGVAGSPHLHLLAECQTLEGRGVKEVDWVDEMDKRTNSSNR -PGMLAPYSIEELGPVVRRIVAEERRYFHVPTWENAWRRRFATTKGGSHNTMGRRKGLPLPKGQLSKRNYA -ESVDFIEVDAIPPAGFVSVSEKLEHGKTRAIYSLNSENYFRFDAPARALEAGWRNRRAVLRPSDGSESVK -IEKRASMLRRYKIMFDYADFNSAHTLEAQKLCVREMFAGLEPRWLQWLEDSFDNMYVRNPLTGELELMDG -TLCSGHRLTSIINTILNAAYVRLAVGESLYSSLWIQHVGDDVVASTDDHIVASTCMARMLDSGLNLQPQK -QAFGTVCAEFLRISFNKDFAIGYFSRSVSSAVSGSWVSLRDLDYDEYLGSVLGQIWTWRQRSQSLTVTML -WCSTLVRRLKLTRHEAQGVCSGAVSVNSSPVWGYDKRVGRSLTIGLRKGGRRCVSSIDGTRLPRLATSDF -VAVSREYRELRALGVRQRQLDEVMLEASYGNLFARLPTDKVSVQRLSLVFASTRVRLKNRVVMTVKYGAH -EPGFLASLLKGRLNDTFWRYYGMVKQVDVRKMMSDYSTRVMCFGYGTPYSEARELQKHYNNGVRYYQEYL -ALI - ->YP_009551559.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 8] -MALSTAAMGHKLLIACRLLEEARRRFDGATVVRLAQTVWCVPMQVRLTSPQVKEYVRGYIRGADKISVVA -QNAKKVEKWLELRTDDRNLFPLKDHVGAATKVNMFLGDVVNDAMDLVGFNFVRDIMVVALSRNATNDQAT -GLLVWACALHEQGLPAVELCSLSWAAMKQLSEEVKALGVAGSPHLHLLAECQALEGRGVKAVDWDDEMDK -RLNSFNRKGMLAPFSIEELTPVVRRIVNEERRTFEVPKWEKAWRRRFATTKGGSHNSMGRRKGKDLPDGQ -LNKRNYAEAVDFAEVGAVPAQGLVSVSEKLEHGKTRAIYSLNSENYFRFDAPARALESGWRNHRAVLQPS -RGAESVTIEKRAKNLRKFKIMFDYADFNSAHTLEAQKLCVRELFAGMDAEWLKWLEDSFDNTWIKDPRTG -KMVKMQGTLCSGHRLTTIINTILNAAYVRLVLGESLYNSIWIQHVGDDVVASTDDAWAASECMERMLKSG -LNLQPQKQAFGTVCAEFLRISFEKDVAVGYFPRSIASAVSGSWVSLRDLDYGEYLESVAGLIWTWRQRSQ -SVSIVELWCSTLERRLKLTRREAMGVCQGNTSLNSSPVWGYGRQSANKLTINLISGRKRRPRVVRGVTLP -RLATGDYVSSSDEYNILRALGVPVGVLDEVMLEASYGNMFTRPETDKITVKGSSLGFVATRIRLKNRVII -TEQSRLHGPGFLASLLKGRMDDKFWRAYGLAMKKDVRACMSTYSTRVMCFGFGTPYSESRELQKHYDSGA -RYYQQYLALF - ->YP_009551557.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 4 genome type A] -MLPSYLEERIGKCRSLREALTALSLAKAVCTGPELVKLGLEMWDIPLQVEATVQDVLEYGSKLLPAPHEG -EVVESVLDRDDDRTVFPLKLHPGAATKVNVFFRDVWADAKRHFSKPAAYLSRHPELLVGLFNDQASAWVI -WFCLTWEEDPRVAVSVLNECQNMDYLKELSDTVKALGITRTKLGCLCTELKTMACRGAKSFDPDEEVRCR -VDKKAFRAEKSCKITGEQIRPYVRQVLREEMAKNPEWAGVKEYWQRRWLYTRSGAHARRVEDIKLGGRLD -LPDRPTRREFAEAVSENMVAKGEPGCHAGQSSKLENGSTRAIYAGDSINYFTFDYLLRPIEAVWRNRSCL -LDPGARQPSELYGALAASADVSLMVDFEDYNSQHEKSAMKVVIEEACAGAPEEILEWALASIDNEVVYWV -SADGTTKMAVTVGSLFSGHRATTFINTVLNSAYIRYVCGTLTLPMKSYHAGDDVYLSGTADQVEHVMKSV -LASSVRVNRSKQGCGKLVGEFLRTAFTRECARGYMARSVSSLVSGNWVSEQVGTESEDVANYARQGWTLM -VRSGCRRAAWLLRTSMERRLPRIAHLVGAICDFRLSVGGSPVLDRTGGDCEVLMFVKEQSARPRLDGKPS -CATDDFLDNYVKDELLEAANMSRESMRRLMLEVSYKPEPREEGKEAGEHPVVCPVPKRSFYGVAIARQER -LRDLTRTESAVAKLLGRLVSSVDWEALVRAIVGDKSVLAPKLDPKAFPVTNAGTLGVSELMALRSRSARP -ICVATRFPIFI - ->YP_009508253.1 putative RNA dependent RNA polymerase [Epichloe festucae virus 1] -MIESTVADRANAAGSVGRYLEALLPSEYTRVVSTLPFDHQVSFVYRPSWRHHKPTELQRVAAAFLLPRVP -VQVSYSAGSILTLLNATLPNLPPRHTYHAGWSCDSDATRKAFPLKANPAASNKVNVYLSEVCYDLRRTNP -GEAMAAEAALQRVRSSGSVYNDQATAVVLYGFCLARNGLPGAYDIALALLLNPDYAKSLTGVLKATGGNA -TAVGSLLVEANTLLGRDVAPVDLGKEFNMRTQLRLARENMAEYPPDVLRATVRRVLLDELTRRAGSYELD -YPSLEEHWDSRWAWAVNGAQGGAITDKGLESAPRPPGATREYRRSWLERVEEDPRPQWDGTTEVSASSKL -EHGKTRAIFACDTVNYLAFEHLMSTVEANWRGVRVVLNPGKGGNMGMAMRVQAARRRCGVSLMLDYDDFN -SHHTISAMQIVVEEVCSITGYDPDLAARLVASLDKQYITLAGRRKLSVGTLMSGHRCTTFFNSVLNAVYV -RLELGEPFYSETVSLHVGDDVYMGVRDYVSAAYVIQRLADSPLRMNALKQSVGHVSTEFLRIATGARDCY -GYLSRAVASIVSGNWVNDTALAPFEGLAAMVASARSLANRGKTNLAPLLLVSSVLRIAKLGKRHYGKVSS -LLDGTVALGNGPQYSCGAHMRWCNPVVVRDTPDPWGYTELPLESVTAYLSRAASPLEWEVLGEAGISVTE -QMAAASYRKTYASLFWRGERLTLGPTLSKAPIGSASAEDLARATKPHGLLAQYPLLLLARDRIPETLVRV -ALGKAGGNPHTSHLAYDAWGEYDHGCQIQTVLGYADAAAFGKRTSADVLTSRTLMYV - ->YP_009342430.1 hypothetical protein 2 [Wuhan insect virus 28] -MWSALVKAYGCDADLSLTITVSEAETIENFLISHFGANKSDANIRSAFMKWGHPGTYWIEKMSRCCWGNS -CRQCASIVVALNPVEKGYIAGLASTDASASFSRYADDLTWMSDCVHKGRRVLTHYVENNDLEKDRITGQI -KVQLKVTLRQVVMALHANCADAEYDKAINRICLILGLVGDKGLIAVTSWALVALLSPAFEFICVVLHEED -VFSKTISEAVETLKEVHNNARNQNRWRDIWLSPHLRMHLQYLNEVFGRWSYSANFDAEIADRLKKPKIKR -SFCMRPDGSVLRSEEQYARTADAAIQAVVDICVGGIEKSGFQNLDEFVDKAYEWSASGSAPGTTIFYEVD -GKRVRISPTKKAWLEGVPKTGAREWMRATEPRVLSTLVPKFENGKVRALSNTENWEYVLESYLLRGFEDT -LQEVEGALMKEGGLSEVAKIKTRMDQLEGRFGWSYDYKSFENNHTFLDMSNVWNKVSATLAARAQDAGRG -DVASDTREVGQWLAKAFNNVQLRQMGTDMPYHKTNFGLPTGTRGTAFMNTLLNKVYCTLAETFLRTGMDV -NALGWGHMGDDVIAATDDYVTANALTMIMSNMGLMTNDLKTVVWYEGGELLRKWYTAGVGVKGYLNRMLP -NVCCGEWDLKAASLPDDKVGAFNTVMTKMLSRGAISNYAIPIMEAVRVGTGSTKRHGKQYALPKGWLYSS -TVNGGAGNSLMQWFGRDDQSVWTTNTNGLKLEDVSREMLRQQPGLRSLRYCDDLKRLEIDDPDTRVRLAL -QEKQASYEKTLPKKVVDIWFSEQLLPTERFGLKRHAQKVDTATMVRLGNRLLGDWLDNGGRVLLQDVDNW -THLATKIKNAHVVPLRLQPNKLAAIKEAIGYRGIKSSTKACEKLIHLLMAQNTNGGSATVKAMVLAYGME -TGMSIMMQDVKEDQISHPGGEDAMWAFLDXVRMMVLYWHGPYDAKERYEVYSAGLAACLNKNYGDER - ->YP_009336604.1 hypothetical protein 2 [Beihai victori-like virus 1] -MSSTSYYRQSLQQKLTNDSQLRDRIDDILTTNARKPEDFEIAIQALDFLCIDVITFLSLVDIPLARIDRL -HERMEALGSTSSFLLRHLKPSDFVDRFTLRDDAKHLKYLENRDTVRAVAYSIMIMEFPMQLKPSTNTVLT -VLDAVVDTRWIDKLPNHSDIQIDSAAWRKTTFPTKKHVGATNKANVFLSEIMRDLEAFNKATWRFFIGYG -VPKMASHSLMSEDRLSDDQVCAIVMATVALTEVLGNSLRAFKIAFTIADDIDTANKLTTVLKSLGQNGCR -TGAMLVEGKCLKGRGLPSKMATDWKYRTNRTQALSKTHKKVDPDKLRTAIRYVYEKELPRTAVDRVVYEN -FDDWWETRVQWCVNGSHSNRFSRIYKHLKVDSAVLKAEKATIFRKVFVEHCQKEPVSDWPGISYYSPSDK -LEAGASRAIYSCDTLTYLAFEHLLKPVERCWKNEKVILDPGNDGTYGMNRRIRRLRSSGGRFDVNIMLDY -DDFNSCHTNEFMSIVIDELVKHVSYAEQMYAHGYDGELLCRRLVESLYRGRMFVPNSRNPDNPETKQVYA -TLMSGHRATTFINSVLNYAYIEVAYPGVRNMMSMHVGDDVIVLCRTYEDAYALLRSCKMLELNMNPIKQS -VGEYVAEFLRVAHVDMICYGYMMRSIGSCVCGNWVNEIRLNYEEGLRTIINHGWTLHNRSHTQFVPLLLV -SSMVRMARVSRGNACNLLTGSTGLEPGFQRSRRVGATTLKLKYKQDDRESQWLTDKVSQCKSLATDDYLS -TRVSDLEREVLEAEGISPKNELMLSSYKKSFAGSQPYSKFLDAAPKLVRYQHKFKGHIDLDEAWDARDKL -PKGLLTEYPMLVLVRNRIRRSTVRVLLFEMTGNLYYGKQLDEVAWGEREGGTLCSCPLSYSDAGSLAGVC -QWKTVYSSFRAYV - ->YP_009272905.1 RNA-dependent RNA polymerase [Fusarium poae victorivirus 1] -MSPIDRGDGEAFLDGVLDSILKRFPGQLPDVAGLPFDEQISAAYSPSWGGVRPSGLLRAAFSYRHTTVPV -QSIYDHSDLRRLLNSVVEFFPLSTDFSSFKILKHPKNSLKLFKPKRLPQALTKANLYLDEVLRDLCKNRP -TLGEEASALLWSLRDRGITHDAATAIVLYGSALSQFYTDAFHWAATAVLYPKLAKAVSNFLKATGGNATS -FGSLLVECEVLQGRGVGTIDLLAEAKLRCSPDYVRDHYAAAFDEEKLRRAVRRVYETEISHEDDSQRVEF -PTLEEHWDSRWVWAVNGSQSSLLDGGRVKKLLEPLGLHKLHRRAWLECTPDDPRVGWDGTTYVSPSPKLE -NGKTRAIFACDTRHYLAFEHLLTPVEKRWRGSRVVLNPGKGGNIAMAQRVRHSRDRSGISLMLDYDDFNS -QHTTSAMKIVIEELCSVVGYPADLTATLVSSFDKMRIHVAGKYVGVAAGTLMSGHRATTFINSVLNKAYL -DVVLGEGWLDTRRSVHVGDDIYCGVKSYRDAAYVVHQITSSPLRMNPTKQSVGHVSTEFLRLATAGRDTY -GYVARSIASLISGNWVSDRIMNSYEALTTMVASARTLANRARDVTLPLLLESAVKRVLSKDCIDDRVIRR -LLLGEVAINNGPTFSSSGSYTRVTVRAEYTARDTVGRPKLPHQSTSRYLTTNTTDFECETLSQAGISPEQ -TMIESSYSKSLQFGDLYFDRLVAGDVESTPARGSVGVEWLVHTTPPKGVLSQYPLLVLVKSRLPEYVVRD -AVRRAGGNPQCTDLELEAWGEYSHGCIVNDVLAYSDAAAFGKRTDCSVLTSTHRYYV - ->YP_009254736.1 RNA-dependent RNA polymerase [Nigrospora oryzae victorivirus 1] -MGQRATERGNDPPSLGQALERVARRMGITAKLDMPFADQISFIYRPQWDGRPVPRLARAAVSYLYCDVPV -QVPLEKDDLTRLLGYLCEHLTMPPSLDRQTWVTDKKRAMEAFPPKRRAMALTKANIFLDEVARDLDKHMP -ARLLALLPYLGKLRGVGATHDQATAFLLYSSLLSDHCPLAAEWGLFALTQPKEAKEVSNFLKAVGANASS -YGALLVETDTLLGRDTPGTDLVADSRRRCDLELAREEVLAEFDDSALRSAVRRVLRHELRSEHGSYRIEY -PTQEDHWTSRWSWAVNGSHSALLAGEFPVPNEVKRQVHKLHRRAWLETIERNPCIGWDGKTFVSCAPKPE -CGKIRAIYACDTINYLAFEHLMAGVESRWLGKRVILNPGRGGHLGIAERVAACRSRSGISAMLDYDDFNS -HHTTKAMQILIEETAALTGYPPELTKPLLDSFEKEDIYLDGKLVGRVKGTLMSGHRCTTYVNSVLNMAYL -MVVLGEDWVMERQSLHVGDDVYMGLKNYGETGWMISKIMGSRLRMNRRKQSVGHVSTEFLRVASDARDSY -GYLARAAANLIAGNWYSDKLLNPSEGLITMIQGARTLANRARNGNAPLLLESAVKRVLGADCPDDATLRH -MLTGSAAVNDGPQYLSSGRHRRVRTSVVFEVVDTTGYATLPDLASKAYLAKHASPLEIATLTSSGIDPLP -TMRKSSWTKSLALSDRKFETIVFKPMEQWPAVGSVQAEHLLRERKPHGVLLKYPLLVLMRDRIPEPVLRV -AVAAAGGRDYVDDISLEAWGDYSNPTIVNTVLSYSDASMLSKRASVSVLTSRRRCYV - ->YP_009249475.1 RNA-dependent RNA polymerase [Penicillium digitatum virus 1] -MNNARVAERVAATGSLGVYLSRLLDPPWVDVVVRLPYDRQISAVYTPTFGGKRVSELQRSAAAFLVPDYP -VQTHLSEAGLLTILSNVIQEPPRSIRQLGSTWARDSKSTTRAFPLKSHPGATNKVNVFLSEILADLAAES -PNLYLSACQTLRHFTGRMYNDQAGGAVLYGVGLSSRGVPDPFWVACKLITEPQLAKALTVFIKAVGANGS -RLGAMLVEANTLRGRDVDPCDLLEEATYRTSDRVNSKLATIPEDVLRRACKAIFAEEITHGPDGTRVDFP -TLEEHWDARWGWAVNGSHSGHVSRLYPRAPKPEGMLREHRRAWLESVESDPRPAWDGHTFVSVSPKLESG -KTRAIFACDTVSYLAFEHLLAPVERRWRHKNVILDPGKGGQVGMAFRTRAARDRAGVSMMLDYDDFNSQH -STRSMQIVFEELCLATGYPSHLRDKLLSSFEKCEIYLGSRRIGYSRGTLMSGHRGTTFINSVLNRAYLIA -VLGEDIVKDATTLHVGDDIYLGVRTYQRAGLICNKLAGSSLRMNPIKQSVGHTTTEFLRNASSGRHTLGY -FARGVAGIIAGNWANETKLSPSEALTSMLASCRTLINRSGVERLPLLLFRGIVRMTNLPRKDHRLLRELM -LGISALDNGPQFHHGGYYRSVPLLVESVAPDQFGYSPLPTAATASYLSKVAQPLEVATLTRAGVSLTDQM -QAASFSKSLPARYQSRVTVRLGQMRLTTTVGTAYVVDLIKLPPPKGVLQRYPLLTLARNRLDDSLVRWAV -GQAGGDPNALDLDLEAWGEFKHGCIVATPLSYSDAAMYGHRTSCSVLTSPITMYV - ->YP_009212848.1 RNA dependent RNA polymerase [Penicillium aurantiogriseum totivirus 1] -MIGSRVDDRTNAAGPIGLFLRGLLDPTWIDQIIKLPYAEQISEVYKPMFNGQRVSELQRTAAAFLVPDFP -VQTLLSEGGIMTLVSNVIPDPPTLGSSQAVRWANSIAQTVKLFPLKKNPAAANKVNVYLNEILGDLKRES -PMQFIAAASALYHFRNRLFNDQAGAVVLYGAGLISSGVPDAFWVAANLVADPALAKAFTTVLKAVGANGS -RLGAMLVEANTLRGRDVDPCDLKAEALYRTTTAVNDKLAVFPEEDLRQACRAIFSEEIAHGPEGLLVQYP -TLEDHWDSRWGWAVNGAHSGHVSKEYARAPKPAGMLREHRRAWLESVSDDPRPTWDGHTYVSVSPKLESG -KTRAIFACDTVSYLAFEHLLAPVERRWRHKNIILDPGKGGHVGMTFKTRAARDRAGVSMMLDYDDFNSQH -SNRSMQILFEELCDITGYPSHLKDTLINSFDKCDIYLGAQRIGRALGTLMSGHRGTTFINSVLNRVYLVL -VLGADIVDDSTTLHVGDDVYMGVRTYQRAGLICQKLASSCLRMNPIKQSVGHTTTEFLRNATSGRDTRGY -FARGVAGIIAGNWANETKLSPSEALTSMLASCRTLINRSGVERLPLLLFTGLVRLTGLPREDHKKLRDLM -LGVTALDNGPLFHHGGYYRSVRLHTGVTASDQHGYTPLPNSATASFLSRAAQPLEVTVLTQAGVSLVDTM -QEASFKKSLPARYRSYETVRLGALCLTTAVGSADVADLVNTPPPRGVLQKYPLLTLARNRLDESLVRWAV -KQAGGNPNAVDLEMEAWGEYKHGCIIASALSYSDAAMYGHRTVSSVLTCPINVYV - ->YP_009143307.1 putative RNA-dependent RNA polymerase [Magnaporthe oryzae virus 3] -MIESTVDDRANAAGAVGQYLKGLLMEEWARVVVRLPYERQISAIYAPTYGQHRVSELERAAAGFLLPEYP -VQVYVSEASLRILLSATLPPLTRERGGQAIRWGKRVGQTVKYFPIKSHPGASNKVNLYLSEVLADLAALD -RQSYEEACTALWAVRGRLYNDQATGAVLYGTGLLSAGVSDAYGVAVSLVSNVEYAKALSLFIKAVGANGS -HLGSCLVEANTLQGRATGDIDLIEEARYRTTDVVHDKLVEFEDARLRTACRELFEAEIAHGPDGCRVEFP -TLEAHWNSRWAWAVNGAHSGHVSRVVPRIPRPPGMLREHRRAWLECVETDPRPYWTGHTFVSGSKKLESG -KTRAIFACDTLSYLAFEHLLGPVERRWRHSRVILDPGKGGHAGMAFRIAAAKARAGINMMLDYDDFNSQH -SNKTMRILFEELISVTGYPPHLAGPLLNSFEHSDIYVGDRKIGRSLGTLMSGHRGTTFINTCLNFVYLRL -VLGDEIMHSLPSVHVGDDVYLGARTYEQAGRICRLIKKSPLRMNPVKQSVGHVSSEFLRNSASGRSVRAY -FARGVAGIVAGNWVTERALSPPDAITAMVSSARTLANRSLNQNLPLLLFSSVCRLARLPKEDHRKLRDLL -VGTSALDNGPQFHHGGYYRSVPMVVGVSETDTHGYTPLPHAATAQYLSNAAEPLEIDILCQAGVSLVSAM -EEASYRKSLPARFEKFETVRLGGTRLTAAIGTDSVTRLIKVRPPVGVLSKYPLLTLARKRLPVWLVKEAV -ARAGGNPNAVDLEYEAWGEFKHGCIIATPMSYSDAAMFGHRTRASVLTSPIMVYV - ->YP_009115492.1 RNA-directed RNA polymerase [Phomopsis vexans RNA virus] -MPFAQQISFVYRPRWNNTVPSRLARSAASFLHCFVPVQVPLEQGDLDLLTGQLCGHLTMPPDAGFSSMVV -DRDEAMAAFPPKNYAMAIEKANIYVDEIARDMYKHTPTELLRAGPYLRRLRDKGVTHDQAAGFLLYAATL -SRWCPHAYEWAYYAITDPAAAKEVSDYLKAVGANSSSYGALLVETDTLQGRGVPGTDLPSDSAKRCNLAA -LKEDMLAELDPVALRSAVRRVLRHELRHRDGNYALDFPTLEEHWSSRWSWAVNGSHSSMVSKTVPMVGVR -GKHVHKYHRRAWLESVRDDPRPNWEGKTFVSCNPKLECGKTRAIYACDTVSYLAFEHLMAPVERAWQGRR -ILLNPGKGGSIGLAERVKRCRDRSGVSMMLDYTDFNSHHTTSAQQILIEETCALTGYPPDLTAKLVRSFE -LQDIYLSGKRVGRSAGTLMSGHRCTTYINSVLNMAYLMVVLGEDFVLERQSLHVGDDVYLGARDYPDAGH -IITEVMRSPLRMNKSKQSVGHVGTELTRVASNKRDSFGYLARATANLIAGNWYSDSVMDPLEGFTTMLAG -CRTLANRGHGMKAPLLLESAVKRILGDDCPDDALLRRILLGDIAVNSGPQYSSSGRHVSIQVKAEFTTAD -DFGYSLVPKLATTTYLSSHASPLEIRTLTECGIDPSPSMVRSSWKKSLRIKDRCLERLVFGAVTTRPARG -SIRAEDLLKQPKPHGCLLQFPLLVLAKDRIPDSELRRAVSDSGGRGWVEDIKLEAWGEYHPSMIVNTGMS -FSDAAALSKRTDISVMTSTRRCYV - ->YP_009115500.1 RNA-dependent RNA polymerase [Eimeria tenella RNA virus 1] -MMVPKEATASHPPGALWGAVSKSGVLSVLGRSFHAAGNMASKFDADVIRSELVSAAQGQPSEDSGYATLV -AYNLAYNPYPIHLPLPLSWRSEVIRWLTYYSLITMSSWWVATDVVGFWSSGGFCEIAPADFVERAAPRVN -PLEAGVRLESLVKAYRDRASYASRVGPELHRRYIAPDDGGNPFRALQAGSPSRARLQSPRVRPARRKRAQ -WRCTAFADVIAEITGLVCGQLKTLYSGVREYARSEVYEAVMRCVNRALCHAHLSGGEGSAGGAGPGAMRH -QSESGRSVPWRPQAHEAGSSAPWTHRVPESYLAEVAERISPRDVLSELIARDSSIALRQELGRLFPRKRD -PQSGRKANIYLDCLLADIRDIDPASHRQLCMMLSAAPPGAIHEDQVCNALIYAYSLWRGREALLGAFCIL -VNLAEGGERLKHLSDILKASGSNTVFEWAQLCELNCLLGRGVGDTDLWSDAERRTRPEKDMEVELDRRRL -SDAINAVLAEELGDEPLHADPIQDYWDRRFEWCVAGSHNHTTNAEGAFADVPATGPGGVRMTRRMAMEHV -DENALVTWDGHVQVSVVPKLEQGKTRAIYSCNTVSYAAFGRILRPAENRWAGRRVILDPGAGGNYGMFRR -IRKAWPRALGTALMLDYSDFNSQHTLAAQELVVKLLCDRFTNLEPDYSELLVSSLHKMDIYVHGKLVGRA -RRSLMSDHRGTSFINSVLNAAYIRYVLGEERYRKMQSFHVGDDVLMFCKDSVEAYGVIGAMEDAGFHLQR -SKQSVGSKGFEFLRMAGTRSLAHGYVARSIASLVSGNWTTDWTYDPVATMHSFVQQARSLMNRSGNVSAY -LLLGRSMQVYTSLPLGVIHEVLSGRVALGAGPVYRADGRYETRAILEVGDYNVREDLPTWRRLPRAATAD -YFTRGCHAVERLAMELVGFQPWAAALRASYGALAVRRLQEGLACRDPAADIPTSVTLGCKQLYCKAGAVD -LDSELDRPTRRGALVQYPVIALLQNTLTDGQIGELLRYLGVPFQAGQERITAFGNPSVGVVIQGDLPYSD -ASALCSKGILQTVRVIYPLRM - ->YP_009030005.1 RNA-dependent RNA polymerase, partial [Leishmania aethiopica RNA virus] -TYASSSRSRATGRKCVKVSTWGAEFSVRLQDVVRVLGYCTLYLPCGGDIKKTIRERFLLLLKLTKTVDTK -NIWDKVNRSVFVGSGHKNLWKDKFLGLNYKKDNQRFLFILSCLLLFCQSDARFLVESVIKTELRHLPGRF -SLKTSQEARSLALSYFLLTSTNRLPLPPVDPEIIAEERVGHKYKLKPHKGAGLKVNIHASEVIAGCKKHA -YPVYISFKEMSGLFVDMFDDQVAAALLYSVSVTCDGNMTAQEAMCFAVAAIRSDTRGVIADLSDLLKMLA -LAAWCPYFVELKCLQGRGVAEIDVLSEAKLRLKEPAVPYAYEGESERLRLAVRQVFNEELKPEDVRLRTK -EDFWSSRWLWAANGGHSRALEHAHPELRTRKELRAYRKCVLEQWKNNPMDDWDGKVFVTPSQKLEHGKSR -LLLACDTLSYLWFEYFLKPVETAWANKRTLLNPGVINHYKVAKDFEGLLTDPTQPEDGHQRDDLVYSLDF -EDFNSQHSLTNQKLVFEELFNHIGLSNKDTARLVKSFDQMIIYDGKSPLGRVRGTLMSGHRATTFINTVL -NTAYLLAAGLQTELSYHVGDDVIFYSQKASAEKLYDELSRFGVRCNPHKQCASEYSGEFLRVAHTKFFSS -GYVSRAIASCVSGNWVSDHVLDRREALTNAVACVRTILNRTKSGYNNPIGRVVALSVAKRCCVELQYVEM -LLYGRACLSDGVVYGTKNSYVTKVDMNINSDLEQTHGFKYETNATTDYLRHHTSTIESVMLKQYGADIAE -IMAQSSWMKSMEASSRVKPSNMRITFSRGDLGKYVMPVSEVGKFPVLEGVFERYPLLMMIKERIPLRAAI -DLARSAGFSCLTGKPEELWGSSMRLCSIDGYLPYADACQLACRIPYDGVRIVVDHNVYT - ->YP_009004156.1 RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 3] -MGDDVITDRANKHGLLGQYLVRWLRPYETGTFTALPLDEQMSYIYRPFWGSTRPPALARAALSYLGADMP -IQVVLSDSDFKHILTYLPTSPDLPATVDVPAWVFTKAGTMQHFRPKAHAKAVTKANIFLDEVLRDARRLD -ANFVMAAWPYLDDLRSNGITHDQAVAFVLYAWALKGKTVDNLRWAHFCCSQRKEAKEVSNFLKAVGGNAH -PLGAMMVETDTLAGRGTGESTLLEGAIERCDLAALRASKLAEFDENSLRRAIRRILVSEISRNDTGGYHL -AFPALSDHWASRWRWAVNGSHSAEVDRQCGFTPPPLPGGRKYHRRAWLETRADDPRPGWDGTTYVSASDK -LEHGKTRTILACDTRSYLAFEHLMGTVEKAWRGTRVILNPGKGGHIGMANRVERNRNRSGVSMMLDYDDF -NSHHSNEAMKILVEETCQLTGYPAELAAPLIASFDKQRIYVAGKYVGVSRGTLMSGHRCTTYINSVLNMA -YLMVVLGDDFVMERPTLHVGDDVFFGVRSYSEACYVARSVLASRLRMNRSKQSVGHVATEFLRVSSRARD -SYGYLCRAISSCVSGNWVSDKLLDPYEALNTMLGSARTLMNRAISHDLPLLLASAIKRTVRADGLNDRLL -TELLLGSVAVNNGPQFSSSGTHRIVWVQPQSRLLDPLDVSLLPRESTASYLVNCAQPIETQTLARVGISP -VGTMLASSYAKSLDYARTQTFRLSFSAVHTYRAVGSTTAELALASPKPRGILNQYPLLVLVKHRLPENVL -REVVATVGGNGHAERIVVEAWGEYRHGCIINSVLSFSDASALGKRVKASVLTSGRHCYV - ->YP_007761589.1 putative RNA-dependent RNA polymerase [Ustilaginoidea virens RNA virus 1] -MESAAVRANELGAYGHALLRFLPPSEVCDDIAMSNTDAQWHMLGSLGTKYGYISQVACSLLVCNTPFQVM -LHERHIVELLHLVKPVLTPHCEYDVTSPCSACIELDSLGRVGPGSTVLAFKGDIAHTKCNIAFSDAWVDL -CNNSPAIASQVQRSGRALHGCYNDQGTAIIMYTAALFQHLKGEAFPYSYHFVRNPHDAKAFSTILKAMGA -NATPLGSVLTEANTLTGRGVNPIDVEAAGRDRTQYDKIVRDLFHADEGKLREAIRTILREEIGSANVEFP -SLEEYFSQRWLWTVNGSHNFTTQRFYDPEDHRPPGAARMFRRAYAECTSYDRVAAWNGKSFFSPSAKLEH -GKSRAIFAGDTLTYFRFQHFLKHVEDVWTGKHVLLNPGRGGMYGMLKRIRGISAGTGVHTMMDYTDFNSA -HTNASMRILFEEATSYVGYDPDMGALLANSFDNSYMVTSDGPRKIAGTLMSGHRATTFINSVLNRAYLLV -VNPSILRLPAVHVGDDVYLSPPSLHAAAELMDDVRMSGLRMNPLKQSVGLITGEFLRMAFGSGSAFGYAP -RAIASMISGNWTNSNELTRREQVENLVTTSWSLTNRCRNPAAAVLATTALSKRCGLSKCDAEALLNGTLA -LGSGPARAGKHVYKRVEIPAPPVSLIPKEVLSKLPSRATDDYLRNHTSTLERTALQLLGTSPKVTMLESS -YAKTLRPVDEVPLPMGYAKEWMFGPASVQRGVSIDECRNRDVIHGALSEYPLIVLFKEQFTKEQLAILLR -MKCDLYTNDVRVAAFGAEARGMVVEGWLPRADVQHASRRATEMVLSTSINLYF - ->YP_001649206.1 RNA-dependent RNA polymerase [Magnaporthe oryzae virus 2] -MIELPVATRAKATGSLGQYLKGLLDRRWLRRMAQLDYAGQMSEIYAPFWNGTRVSPLVRAAGAFLVPAMP -IQVPVPHNALTTLLQATLPPLDGLAPRQSRPSKDGWSLSASRTRDAFPLKSNPAASNKVNVYLNEVMGSL -TGLSPALAIQAEATLSTIAYSGVANDAAAAVIIYGYGLSHNGVCDPFDTAARLVRAPEYCKALTTFLKAT -GGNSTRLGALLAEANTLLGRDVGAIDLREEAAYRTNPKVETTVANYPEAELRRQIRHFLTTEIRREDDSY -TVAFPSLEEHWQSRWLWAVNGAHSNIATSHVVAEERPPGVTREHRRAWLERVRDDPRPAWDGYTVASGSP -KLEQGKTRAIFACDTLNYLSFEHLMAPIEKRWRHERIILDPGRGGAAGMIFRTKAARERAGVSMMLDYDD -FNSQHSNVAMKILIEETCALTNYPPDLAARLVESFDKTDIYVGGVKVGRSRGTLMSGHRCTTYINSILNA -VYLRLELGDELFDRSVSLHVGDDVYLGVASYADATRVQERISSSQLRMNPIKQSVGHVSTEFLRVACQGR -DCYGYFARAVASTVSGNWVSDILLGPLEALTSICAASRTLVNRSGAPGLPLLLLKSVIRMTKLPREDHDR -LAQLLLGNAALGNGPQYATGATYRSIRATVIRSEPDDHGYSKLPMAATTTYLSSMMTPLETTVLTEAGIS -PLNPMVEASWGKTLAEPSRVVERLRLRPVRQSLAVGSDTVERLINQTPVKGVLTDYPILYLVKDRLTGSQ -LRRAIGLAGGDANAVDLQLEAWGERSHGCIIASPMDWATASTYGKRTHASVLTCHLRLFI - ->YP_392467.1 putative RNA-dependent RNA polymerase [Coniothyrium minitans RNA virus] -MIGARVDERALAAGALGQYLKKLTRSQDVSRFATLSFPDQISYVFKPSWDSFRPTPLHRAALSFLCGEVP -VQVALPYASLWRMVDYTCPVPDIVLDIKYKTRWIRDAGITVKRFPLKKNPAASNKVNLYLHEVGRDLSSH -APTQLNVGLQYLDWVRRQRVVYNDQATAFLLYEYCLACYDSRGWRHAAASLFDSDYAKGFSVFGKAVGIN -GSQVGAMMVETNVLLGRDVAPIDLVEEARKRTSMREVRAMTTAYSDDTIRRAVRTVLLRELKRSGDSYIL -EFPTLEDHWATRWQWAVNGAHSGLIYKTNPSYRPHMPGFDRLHRRAWLETISEDPRPAWDGRTYVSASPK -LEHGKTRAIFAWDTINSLAMEHLMSTVEANWRGERVILNPGKGGHLGMAQRVQAARNRSGVSLMLDYDDF -NSHHTTRTMQIVVEETCRLTGYPPDLAEKLVSSMEKHYICVGGAYIGRSKGTLMSGHRLTTYINSVCNEA -YLRIELGDDFLDKNVSLHVGDDVYLGVRSYQEAGYVLRMIRGSKLRMNPAKQSVGHVTTEFLRVASESRY -SYGYLARAVASITSGSWVNELALAPLEALTNIVASARSLANRSGIADVALLLVSSTRRMAPLDSRDDTLL -RELLTGKVALQNGPNYQSSGYYRHVAVTPKMVRRDDFGYGVLPLEATHTYLSSAATVLEIETLTKAGISV -EEDMARASYKKSAPRDFFSAECLVTGPVLQRPCVGVEWAEMVLRRPRVTGILSRYPLLLLARYRLPERVV -REALAAAGGDYNTPYLDYDAWGEYAHGCVIDTVMSYTDASALGAKTAAGVLTSTTRMYV - ->YP_044807.1 putative RNA-dependent RNA polymerase [Gremmeniella abietina RNA virus L2] -MIESPVSIRANEAGIVGQYLKGLLNMEWASGVMVLSFSQQISEVYKPTFNGLRPTDLQRAAAAYLVPDFP -VQVRIERGSVLSLLSQVIDPPARVTDRHSFRWLCDPKASYAAFPPKQHPGAVNKVNVYLNEVASSLLSLD -PAAYSSASQALWPYRGKIANDQASAIILYGYGLRAQAVPDAMHVAATLATTPDLAKALTNFLKATGANGS -RLGALLCESNVLLGRAAGPADLSEEARYRTSSDVESRLAIFSDADLSAAIDSVLDEEIKRVEGSQHIEFD -SYQEHWNDRWAWAVNGAHSGHVSKLYPRVPKPPGMLREHRRAWLESVTEDPRIDWDGKTFVSASPKLEAG -KTRAIFACDTVNYLAFEHLLAPVEKRWRNSKVILDPGRGGHLGMIFRTTAARARAGVSMMLDYDDFNSHH -STRAMQILFQRLGDRVGYPADKLAKLVASFEKMYIYNGMEQVGRVRGTLMSGHRGTTFINSVLNKAYLLI -VLGEDLFERSXALHVGDDVYFGVRTYAEAGEVVTRIKNSPLRMNRMKQSVGHVSTEFLRNATSGRSTYGY -FARAVASTVSGNWVNEMALSPSEALSSIIGAARTLVNRSGAENLPLLLHPSLVRMTGLPREDHKKLRELL -LGTTALDNGPQYSLGGYYTSVSSLITIAASDRHGYTPLPREATTAYLSCAADPLEVNVLTQAGVSVVSAM -EEASFRKSLPARYTGYETLRLGPKSLIRSIGTASVADLISVSPPRGVLERYPLLTLAKRRLPEYLVRWAV -SVAGGNPSAPDIGMEAWGEFKHGCMIATPMSYSDAATFGKRTVSTVLTCPLDAHV - ->NP_619653.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 1 - 4] -SHHLIQYPNQSLKLAKPVTTEAALDCFENVRRMISGFLNLSWLTVTSKERQHKSDSNYFYDYNLFFSQMP -AIVFNQLKCCVKTQVDDAIKTILQKTKKVEPSKQVERTLTLSVLNTFLGYLDLGRYVTQYTEQQSGPVAA -KLLLTLLSSALIALVPAKSDPNLCQNKIPRHYYQLKAHVGAQKKVNLTAIEVIRGCQHECSVVYCEYMRY -SAYFSGLYDDQVAAILLYATAAHGVQGFGARFSILWALTCVKAPDFADEINIYIKHRGMSGLLPQLVEMK -CLLGRGVSEIDVELEARNRLNVKNLNMQKFNEDELRAAVRQVYSEEIRRSVSYPPICDFWSSRWLWAANG -SHSRALEHAHPELATRKEGQAYRKAVMEQWQHNPMDRWDGTVYVTPSAKLEHGKTRLLLACDTLSYMWFE -YALRPVERIWENSNVILDPGSIGNCGIAARVNKWRNSVRGQSFFAVDYDDFNSQHTLLSQKIVFEELFQH -INCNMSWTRTLIDSFDSMELWVKGKRAGVVAGTLMSGHRATSFINSVLDRAYIICAGGHVPTSMHVGDDI -LMSCTFDHADNLIANLTENGIRLNASKQVFSKTSGEFLRVAHREHTSHGYLARVVSSAVSGNWVSDHTLN -QQEALMNATVCCRGILNRSLPGDKNPVVRVISRSVSKRTKVDERIIRLLLSGKACLRGGVVYGEQTNCIQ -VYKINCRVERLEEKLPPYKHATEDYLNNHLTGIEVMAVRQYGSDIADIMAQASWKKSMSNESAEEISRLS -LSRDKNLPCLYCITEDEVATLPVRYGLFTSYPILMMLKDRMPIKEALKLAITVGYRPQPNSDIELDLWGE -SKNSCAIEGILPYNEATSMAQKLPCGGVVIQVIHNVYV - ->NP_108651.1 RNA-dependent RNA polymerase [Eimeria brunetti RNA virus 1] -MMTELLPRLTPVVAADEMGELYLRTTFPRPPLAGTFNDTGLFPVMYAETASLQHAVRRILRHGPLSLTQS -ECLARSTFTAVGDEAVLCRRAEEAFWDLLLASEWPMHVAPVPWLLKHVVTLIARGLKQSLLEHRIPGGWI -KHIRNGRSPSKEWLLGYWPRKSHPLAGKKANLFLDCVIADFLQNYKCLGPVLEGWMKRTHLLGIYEDQAC -NIIVYAQAVMLEFGFEESLYLATWAVLRAKQAKALSDVLKAMGQNMCAVGRHLCELAVLQGRGVNRLDLL -EDAERRVSATFNCPIVHVPADALRQCVRDVLRRELRTRVVLPPSDDFFRRRYAWCVGGAHNLNGNDHWLP -RSLLPQFPAALLWNRRAALNCVERNPLKRWSGHVRVSVAEKVEQGKGRAIYSCDTLSYTAFSWLLEAVEK -EWASRSVILNPGKGGTLGMMNRIRGAATGGRRQCYLMLDYSDFNSQHSNEAMRIVIEETLACCDHNEPEL -AAKLLKSIDDMHIYLRGVHIGRVAGSLMSGHRATTYWNSVLNAAYVRYAIGRVAYDTYKPFHVGDDVLIL -LDSPADAWNVVKKLEHIGCTLQRSKQSVGIGGYEFLRVAGSPFTGVGGYVARSVAGLVSGSWVSSVKMAP -REALQSLIQQARSIMNRSGNPAAYKLLISSARRTIGIDQQYLEEFLSGNVALAPGPCYRSDRRYVARHVE -WESSADDPYGGGRLLIGGIEIEKLPNHAARDYVNWAASEVERVGLAMVGRVPWRAMALTAYAAMEPSLYG -NPSGGVRAAYISPRTVRLIDHYIDAADITTTDSQHGILAQYPLLSLLRNYFSMEDLTYLLNFVGRDAGID -ARVTAWGGTNEGICVCGLLPYADAAGAASRVLGNAIHVSVPVAV - ->NP_047560.1 RNA dependent RNA polymerase [Sphaeropsis sapinea RNA virus 2] -MSNDRLHSRVEATGPVGVYLRSLVPLELAAVVSRLPFDRQISFIYSPQWQGRRPTGIQRLAGAFLLPQVP -VQVSVTDADLLLLLTNTLPPAFPKRIHRQAGWSYSDRATLAEFGLKSNPAASNKVNVYLCEVMRSLSDYS -TSWAWLAEDALSRAPPMFNDQASALVLYGTALQAKGVQNGYHAATALIANPEYAKDMTTVLKAVGANSNH -LGACLVEAQTLQGRAVQPADMHSEAISRTTTAVADIVVDYPDDVLRSHIRTVLEREIQRVGDSHAIEYPT -LQEHWDSRWLWAVNGSQSGLLSREHLEAAPRPPGAAREYRAVMARESEQDPRPAWDGHTYVSGSPKLEHG -KTRAIFACDTLNYLAFEHLLASVESRWRGERVVLNPGRGGNVGMSFRVAAARQRAGISLMLDYDDFNSHH -SIRAMQILFEETAALTHYPPELAAKLVASFENMDLYLGSSCIGRVLGTLMSGRRGTTYISSVLNEVYLAI -ELGASWLAERPSIHVGDDVYLGVRTYRDAAYVLDKCATSRLRMNPMKQSVGHTSTEFLRLACAGRATYGY -LARAVASTISGNWVTEEALDPYDGLTSMLTNARSLANRASSPFLPLLLRRSVQRITKLPRPDHKKIDQLL -TGQLALENGPMFSQGAEYVWVDASSVQPPPDEWGYQDLPLAATTQFLSRCAQPLEVKYLTEAGIGLTAVM -AEASYRKTFSSTFSRSDRVILGPVRRRPVAGTASVERLLNTRPPEGCLEKYPLLRLARRRLPRSVLQRAI -AEAGGNPDAADLDLEAWGEHNHGCVVATPLSYADAATYGRRTTCGVLVCGLHFYV - ->NP_043465.1 RNA-dependent RNA polymerase [Leishmania RNA virus 2 - 1] -MRAKLTKVVEGDLSVFMPNARIKRESQNASDLHHFLESVVEGIAIPQPDSLILSLEGVLGHKYKLKQHIG -ASTKVNIHASEVIAGSRKYARTVYRQYVQMSSLFSGMYDDHVAGILLYSISTLKHCDVHVAMAYAIAAVR -DLTQSVIADLSDVLKLRALAAWCPNYVELKCLRGRGIAELDVIAEAKQRLKKPTHPYAYADNEELLRNAI -RRVFDEELPRDKVVLRPKDDFWSSRWLWAANGGHSRALEHAHPELRTRKEVRAYRKCVLEQWRNNPMDAW -DGQVYVTPSQKLEHGKSRLLLACDTLSYLWFEYYLKPVETAWLNKRTLLNPGVVNHYSMAKKVKVLLADA -EHDETNIERGTKLYSLDFEDFNSQHSLAAQKMVFEELFKHVGIENEETRKVVSSFERMIVYNGKEPLGRV -HGTLMSGHRATTFINTILNTAYLYVAGLHTDFSYHVGDDVIFSTGCAEAARLYDCLKHYGVRCNPHKQCA -SEYSGEFLRVAHTKHYSTGYVARAIASCVSGNWVSDHVLDRREALTNAISCVRTIMNRTQSDEDNPIGRV -VALSVAKRCCVEEKHIRKLLYGKACLGEGVIYGKLCSSATKVDINVNMDYDKTRTFKYEMFATADYLRHH -TSYAESVMLRQYGADIADIMAQSSWMKSMEASSRVSPSSMRVTLSRSDLGVFTMPVCDVERFPVRKGVFE -KYPLLMMIKERIPLREALDLAQGIGYIAPHGCAEDLWGGTMRLCAIDGVLPYAMLVNWHAPVPCNGVRLV -VDHNVYA - ->NP_041191.1 RNA dependent RNA polymerase [Leishmania RNA virus 1 - 1] -MQCPNQNHMLVNRAMVVAALDSFEDARRIISGVLDLSRLTNTSVKGQHTNDTNYFSRYSNFFSQMPAIIL -NQLKCCIKAQVDDAVKMVLQKAKKVEVSKPVEKMLTFTTLNTYLGYPESTGCVMEYTEEQSGPIAAKLLI -TLLSSTLNAMVRPKSDPNISQNKIPRHYYQLKVHVGAQKKVNLTAIEVIRGCQHECSVVYCEFVRYSAYF -AGLYDDQVAAILLYAVAAHNVQGFGARFCVLWALMCVRIAGFADDINIYIKHRGMSGLLPQLVEMKCLLG -RGVNEIDVETEARRRLDVGSLSMQRLDENELRAAVRLIYSEELRRPVTYPLICDFWSSRWLWAANGSHSR -ALEHAHPELATRKEGQAYRKAVMEQWQHNPMDRWDGTVYVTPSAKLEHGKTRLLLACDTLSYMWFEYALR -PVERIWENSNVILDPGSMGNCGIATRINGWRNGMPGQSFFAVDYDDFNSQHTLMSQKIVFEELFHHIGYN -ASWVKTLVDSFDSMELWIKGKCAGIMAGTLMSGHRATSFINSVLNRAYIICAGGHVPTSMHVGDDILMSC -TLGHADNLIANLNRKGVRLNASKQVFSKTSGEFLRVAHREHTSHGYLARVISSAVSGNWVSDHTLNQQEA -LMNAIVCCRGILNRSLPGEKNPVVRVISRSVSKRTKIEEKTIRLLLSGRACLKGGVVYGEQTNYIQVYRI -NCRVERSEEKLPPYRHATEDYLNNHLADIEVMAVRQYGSDIADIMAQASWKKSMSTEGAEDVSRLSLQRD -KTLPCLHCITEKETSLLPVRYGLFSSYPILMMLKDRIPIKEALKLAVTIGYRPQPNSDLELDLWGESNNS -CAIEGVLPYNEATSLAQKLPCGGVVIQVIHNVYV - ->APU54692.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 1] -YHPPILFPIQGHRLMSRVVVTAQPNNYFEDVRRAISGILDLSWLTDSSKKGHYKDNDYFYKYNSFFSQMP -AIVLNQLKCHVKMQVDDKIRMVLQKSKKVAVSKQVERMLTFSVLNMFLGYPDYTGCVTQYTEEQSGPVAA -KLLLTLLSSVLNAMIPASVDPNISANKIPRHYYQLKTHIGAQKKVNLTAIEVIRGCQHECSPVYCEFVRY -STYFIDLYDDQVAAILLYAVAAHNLQGFGARFCVLWALTCVKAVDLADEINIYIKHRGMSGLLPQLVEMK -CLLGRGVNEIDVDQEARKRLCASNLNMQRFDEHELRAAVRAIYSEEVKKPVSYPLICDFWSSRWLWAANG -SHSRALEHAHPELATRKEGQAYRKAVMEQWQHNPMDRWDGTVYVTPSAKLEHGKTRLLLACDTLSYMWFE -YALRPVERIWENSNVILDPGSVGNCGVATRIGRWRNSMLNQSFFAIDYDDFNSQHTLQSQKIVFEELFHH -LNCTAPWTKTLIDSFDTMELWVKGKRAGFMAGTLMSGHRATSFINSVLNRAYIICAGGHVPTSMHVGDDV -IMSCTSDHANNLIANLTKNGVRLNASKQVFSKNSGEFLRVAHREHTSHGYLARVISSAVSGNWVSDHTLN -QQEALMNAIVCCRGILNRSLPCEKNPVVRVISKSVSKRTKIDERVIRLLLSGKACLRGGVVYGEQTNCIQ -VYKINCRVDRLVEKLPPYRHATEDYLSNHLTEVEVMAVKEYGSDIADIMAQASWKKSMSNENTEDIARLS -LSRDKSLSCSYCITEREVTQLPVRYGLFSSYPVLMMLKDRIPIKEALKLAVIIGYRPQPNSDLELDLWGE -SNNSCAIEGVLPYNEATSMAQKLPCGGVVIQVIHNVYV ->AHK06414.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 2] -TYTSRLRGRALWRKCVTVSTWEAIFSARLRDVVSVLEYCTLQQPCGGDLNKIVRERFKHFFKLTGVIDTR -NIWDKVNKMVFVDTGPKNLWKNKFLGITYKKDCQRFLFILSCLLLFCSFEMRTHVEAIIRTELHYSQFKI -NLRISQEARSLALNYILLTSTNRLPLPPVDSDIGEENKVGHKYKLKPHKGAGLKVNIHASEVVAGCRKHA -QSVYINFVEKSGLFSEMFDDQVAAVLLYSVSVTYNGEITAHEAMSFAIAAIKPETKSLISDLSDMLKMSA -LAAWCPYFVELKCLQGRGVAEIDVISEAKLRLQEPVQPYAYEGETERLRLAIRRVLHEELRPEDVRFRDK -DDFWSSRWLWAANGGHSRALEHAHPELKTRKELRAYRKCVLEQWRINPMDDWDGKVFVTPSQKLEHGKSR -LLLACDTLSYLWFEYYLKPVETAWVNKRTLLNPGVVNHYKVAKRLEDLLTDPNKQEGEFQQEDLVYSLDF -EDFNSQHSLTNQKLVFEELFNHLGLNNEDTDRLIKSFDQMFIYNGKDPLGRVKGTLMSGHRATTFINTIL -NTAYLFAAGLQTEMSYHVGDDVIFHTQKTGAKRLYEELSKFGVRCNPHKQCASEYSGEFLRVAHTKHFST -GYVARAIASCVSGNWVSDHVLDRREALTNAIACIRTILNRTKSGYNNPIGRVVALSVAKRCCVELRYVEM -LLYGQACLSDGVVYGTKNNCVTKVDMDVKLDSEQIKNFKYETHATTDYLRHHTSTIESAMLKQYGADIAD -IMAQSSWMKSMEASSRVKPSCMNITFSRGDLGRYVMPVTEVEEFPIQEGVFERYPLLMMIKERIPLRTAL -DLARSAGFSCPTGKPEELWGSSMRLCSIDGYLPYADACQLACRVPYNGVRIVVDHNVYA ->BAU79526.1 RNA dependent RNA polymerase [Diatom colony associated virus-Like RNA Segment 6] -MRELMRRSRVPKTSILKFITSALLMVAYLKAINHRSPFGSLHINSETLKKHSLKAQDNSLFPSFRSCLRK -YDSTGELLNAAELRGSEPYGEGALARLADEGHAARYQPVSGSREGKLHSYANWAQVRAISGSLYNDLYDR -RHTGRVMLPERETVPPQQISDRINAYGLLGTKMLGMLRSEGIVSRSVGLLRQRDDVLTLLKRNQRLAVAY -SLMYALIPVQAVVDEDTIIWMLETTFGEETYDDEEKTLAKNSLPISEEKKHKLFKPKKHRSVANRTNVYF -TELYQSARKLSKAFSQLLDKQSGLAENLFNDQASAWLMFAFFLYRRGISGFGHKAAMALRHPERGKQLST -AIKGLGAQYGVLAAGILETEVMQGRGTGEIDLEEKMKERMDSRNATLKVNERQLREEIARVLDEEMDMRK -YQYETVDSFWTRRWAWGVNGSHSKVLEREEPQWKVNPPGLKRLHRRVYLEEVDFNPVTNWSGEVYVSCIK -KVETKGERDLQSIDSNSYICFEHLMQGVEKCWRGKRAIIDPGKGGTAGMARRIRSIQNGINGAKLMVDYA -GYDKQHTLLAQQLVVDELCRKIGYPSGMSIKLIESFLKMRCYIDGEFKGIFGGSLMSGHRLTTFINTVLN -LAYLRLHCPAIEKCKSMHVGDDVFVASPDLKTVALIAEQLEHSPIVAKPEKQSMGTVSSEFLRMCTRGTV -TQGYLCRSIASCIMGQWVSDVRLSPEEGLQTMISAGWTIGNRSRDIEMADLLLASVCRITSLNATVASKL -LQGKAALNDGPSRLEGMHSESYIVKFDSKILADSKKHVRKARAVKDYLTEHIQEEDRNILSHMQTSVKAV -MGDASYEKSEKDISAGAIIEPVVRVLDHVVKGVYTGTKLSELMFARVEKGVLEDNPILNLVKRQMDVEEL -SFALLEYGVGLTGYETYEELYRKAWGTKGLGRAILGFLPYGEASGLARACKTDYIVCGELAIYA ->AHK06416.1 RNA-dependent RNA polymerase, partial [Leishmania RNA virus 2] -AYASRSGSRATRRERVTVSTWGAEFSVRLQDVVQVLGYCTLQFPCGGDIRKIVKERFSLFLKLTKFVDTR -NIWDKVNRSVFVGSGHKNLWKDKFLGLNYKKDSQRFLFILSCLLLFCQPDTRVLVEPIIKTELRHIPGRF -CLRISQEARSLALSYFLLTSTNRLPLPPVDQEISAEERVGHKYKLKPHKGAGLKVNIHASEVIAGCKKYA -HPVYLSFVEMSGLFVDMFDDQVAAALLYSVSITCDGNITAQEAMCFAIAAIRSDTRCMIADLSDLLKKLA -LAAWCPHFIELKCLQGRGVAEIDVLSEAKLRLKEPAVPYAYEGETERLRMAIRQVFHEELKPEDVRLRTK -EDFWSSRWLWAANGGHSRALEHAHPELKTRKELRAYRKCVLEQWKNNPMDDWDGKVFVTPSQKLEHGKSR -LLLACDTLSYLWFEYFLKPVETAWANRRTLLNPGVINHYQVAKAFKDLLTDPNQPEEGFLREDLVYSLDF -EDFNSQHSLGNQKLVFEELFNYIGLNNEDTVRLVKSFDQMIIYDGKDPLGRVKGTLMSGHRATTFINTIL -NTAYLLAAGLKTDLSYHVGDDVIFHSQKTDAERLYKELGRFGVRCNPHKQCASEYSGEFLRVAHTRCFSS -GYVARAIASCVSGNWVSDHVLDRREALTNAIACVRTILNRTKSGYNNPIGRVVALSVAKRCCVELQYVEM -LLYGRACLSEGVVYGTKNSCVTKVDMNINLDSEQTNNFKYETNATTDYLRHHTSTIESVMLKQYGADIAE -IMAQSSWMKSMEASSRVKPSNMRITFSRGDLGKYVMSVSEVGKFPVLEGVFERYPLLMMIKERIPLRTAI -DLARTAGHSCLTGRPDELWGSSMHLCSIDGYLPYTDACQLARRIPYDGVRIVVDHNVYA ->BAU79524.1 RNA dependent RNA polymerase [Diatom colony associated virus-Like RNA Segment 4] -MSVRPPDPGGTAGLVGEPPSRRRFRPGEEVVLGRVRALPEEHLNVATMDSYADQRNLCGRLRLLGVTLND -LNISLVSTDRSARIAERVDELGSFGVGLLEALPLEALYDPGSMSTDVAVFSTLFRRGKRLSAMAYSMLSM -EFPMQVPGDDNDVLRLFNVGVPTLSRGEPRPVAIWDREARKAAFPLKSHPGASNKVNVYLSEVYFSTKAV -NPAVTDEFVRLSGHIAGTASDDQASGILLAAHAMSNVVSEPVDKAMRLFLNLEAANSLTLCIKSLGLNGT -LTGGCLVEGKTLQGRGLPSKVATDWRYRTDPEAVRAKVHDLPPDKLRSAIREVMQTELPKGEMSFPSLEE -TWTSRWMWCINGAHSKLIETLHPELARSSDDLLPGQVHRRVFAESLKDNPILEWDARSYFTGSDKLEAGA -TRAIYSGDSLSYFAFEHLLRPVERAWKGRRVILDPGEIGTWGMGRRIKRMRHQGGVNVMLDYDDMNARHS -LGVMKMVFEELVLHTKYDPVLGQRLVDSFDEWWIKSPEDGELVKIAGTLMSGHRATTFINSVLNYAYIRV -ASSTFADMQSMHVGDDVYLLAPSYRAAYDLLARCKAAGLAMNPMKQSVGEYTSEFLRVAYRPTRCQGYLL -RSVSACVAGNWVSELKLNYEEGLRAMIGHAWTLANRSNNSAAGMALRASFKRMARLTGAVADKLITGEWA -LPGEAARYKMEDTHVVHIDWGRRSQVRELDKKIFHMEQSATVDYLSYHTTPIEALALTELRTSVEREMKR -ASYGKTMASQLNKDPSDVEPLRARVKVRPVKGMFLVQDIWDKPLISGVLSHFPLLQLVKNKIRSHLLSDL -VHSETGEWLTGRRCYERAWGTKNTGATFRGRISFADAGRISARCNGQLVDVHYPCFV ->APG76077.1 hypothetical protein, partial [Hubei toti-like virus 1] -KEIGSHCFSVLVNAVTGCGSSVATDIITLDSNTNQPLIDEVPPQMFPRACVQALRILAANFDASSQTPLF -ILALFKGLNSVSSLVAHSDEGSILRKIFRNYQFEPPFGGLHYGYSDFAALPALASYPRGAVAVYTDSILM -KIAASVAAADPGITHDDVWYPTIFTAPKGAHGPKDDPKNNVAYYQTMLYEYGPSFGSNFVYCMSKLFDRE -SQSLTIAKRYVSALFESCHSLTSHKLKAASIAPWFWVEPTSLVSMQEFETLAASSSYWSYGTYDSPATLP -LFPDSTFLGGDGNLSQFNIKMSSARNVPGIFYLAHNRKDGLAHVVPFSADPSQIFHAGQGSNCPESLFER -LDSGSPIQEYLWKRGQSPFAAPAELINLEEDWGINIHHRSVNKETLEINSNHIFSDSEIESGTATFVVSK -PVGMSPGVMNYRHPLVLKNYSRAARSYDAILKGFSEVGSSTFVPRPISYRSPFSKKKYADKQKGSVFKED -GSALPANDKVVRIPTAVLYPPPNSTPKPQPIATEKSPVTTLLGSVTGPTPPPKNIRAQKASGGQNTEPEH -THPRPDTTASSYADAPTETTPEAPAPEGAPSSMIAYTTPDPNVNLMLKNIPLREWVVDFAAAAIAKQPTE -EWQAATTTIDQLLGANRDLRLWDKIYITALISPAPYHVQTTDGEITSLISEIVKNVTLNPVTTLDLSTRE -KRAKAFPRKCFEQASKINVTLGDIMDSEVKGDYNTQLLLRSLAGSGRAIYEDQAVAGCMFISCVHHIFRK -DSVKLCYLLLTEPEFAKALSTVFKAYGLIYHLAGAMVVEGDTLQGRGVGIGSIASDHEHRIGIGETKHVD -VDLALLRDIIRRNIEHEFIREKFHRDPLEKFWYKRWAWCVNGAHSSAISEEYGVSFPTPFSSMRQRRRMF -SEAITCNPLYSWEGKTRVTMSAKFECGKTRAIYACDTISYFAFEYLLGPIQSAWRNDRIILDPGKACGLE -GAGSLRQRFRPGTACLMMDYEDFNSQHSLASQAIVIDELCAISNLPDHLRGPLLQSLYDMDLYRSGQYVG -RVKSTLMSGHRGTSIINSILNKSYVDYTIRTLSLSAPRDSVHVGDDVLIGVEDFSHAGQLINGMIRNGFR -LNPHKQSLGTQGYEFLRNSVTDSYCLGYLCRAISSTVSGNWTNDRAPTSEEYVQSLVTMCRTIINRCVST -PKIMHACARAIRRRVRTHHHVALSLVVGKASLNEGPVYGVMNQWPVFKFSRPPPPAEKSDLKALPHKATG -DYLFEGLTRVEAVLIRTVNRSIVEPMLETSFNDQSTCESVGGHCSLVETRICRSSPNRPVSVNQLTKVRH -KGIAEDHPLSNIIKPMMTEKQITDACYDLRDEMGVDYIDEMSEAEQLFGLDAHSVRITGYLPYSSASQIY -RHSSEINFITDFYVCV ->ABX79995.1 putative RNA-dependent RNA polymerase, partial (endogenous virus) [Aspergillus mycovirus 178] -RVLPIQRAASAFLVPDFPVQVALPERTLLHIVLQTLELAPVPKMTSSTTGWGRKIGNTLSMFPLKNNPAA -SNRVNVFLSEILSDLSSYDPQRYLQAVLSLYPYRTVMFNDQASGVVLYGTGLSHYGMADAYRVAAALVME -PDYAKSLTVFIKAIGANGSRLGSMLAEANTLRGRDVGNIDLIEEARYRTTSAVYDKIATFDENELRAACR -RLLMEEISLDEDKGYQIHFPTLSEHWDRRWGWAVNGAHSGHVSKLYARAPRPPGMLREHRRAWLEAVEDD -PRPGWDGHTFVSASPKLEAGKTRAIFACDTVNYLAFEHLLAPVERRWRNKRVILDPGKGGHTGMVFATSA -ARGRSGVSMMLDYDDFNSQHSNRSMQILIEELIDLTGYDSNFGRVLVSSFDKCDIYVGDKYIGRSLGTLM -SGHRGTTFINTCLNKVYLDLVLGRDTVDAANSLHVGDDVYFGVHTYRRAGEVSRTLKTSQLRMNPIKQSV -GHLSTEFLRNCSSGRATRAYLARGVAGVIAGNWASDIKLSPSEAITSMIAAGRTLANRSGADDLPLLLFS -SLQRIIRLPKEDHKKLRELLTGTTALDNGPQFKAGGYYRSCKLLTVVEQTDKFGYAPLPHAATSAFLTRA -ASTLESQVLCEAGVSVVDMMEEASFRKSLPARYQHYETVRLGNAALSSVIGTASVADLINERAPRGVLQS -YPLLTLARNRLPETLVRWAVQQAGGNPLATDLELEAWGEYKHGCIIATPMSYADAAMFGHRTNCSVLVSP -VNIYV ->ALD89108.1 RNA-dependent RNA polymerase [Phomopsis longicolla totivirus 1] -MNISQRVDERVSAAGPLGLVLKRYLHAPTVEAFASLRFDEQISFVYRTTWVNAFRPSPVSRVALSFLCAT -VPVQVAFPSSSLFQILSMACRVPELPIKPEYSPQWLLNPGKTVKRFPLKANPAATNKVNLFLWEVGRDLS -RLSRTAFATAAVHLDWLRRRKVVYNDQASAFLLYGYLLSREHDDGWRIAAQYFLDPAFASGASVFIKAVG -ANASLPGAMLAEGASLMGRDVKPVDLYAESAQRVSLRAVNDLVARYDDNTIRREVRLILEREIKRTEGSY -RLEFPSLDDHWAQRWQWAVNGAHSGLVYKAHPDYRPILPGFDRIHRRAWLETVDHDPRPEWDGRTFVSAS -PKLEAGKTRAIFACDTVNYLAFEHLMATVEKNWRGERVILNPGKGGHLGMAERVRAARNRSGISLMLDYD -DFNSHHALRTMDIVIDETCRLTGYPDDLRDKLIKSLYSEEIYVEGRFQGYVKGTLMSGHRCTTYFNSVLN -EAYLAIVLGRQFLDQAHSLHVGDDVYLGVRNYQEVDRVITLIGKSRLRMNPAKQSVGHVSTEFLRVASDS -RYSYGYLARAVASAVAGNWVNEQTLDPIEGLATILAAARALANRSGIADAPLLLFTSAKRITKLESKDDA -TLRGLLTGAIAANNGPNFQSSGRYTSVRIDLVDPDRDDFGYTLLPLFATRTYLATQASEIEIDALTRAGV -SVEVDMARASYHKSAPRSHYNSGRLQVSGVVSTPTVGTEYAERLLRQSPPVGALTRFPLLVLARSRLPER -IVRDVLAKVGGDPHTPWLDYHAWGEYPHGCVIATVMSYSDAASLGRRTACGVMTATHRCYV ->BAU79502.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 9 genome type B] -MQHTMRPTPSLVSQLRKRKGANLPLREALTVQRLADEFLEVGEALELSQSTYKVPIQNSIDTKSIIGSFL -SVEVENLELPDPAIAPSLLDSKDDRDVFPLKENPQAKTKVNVFFRDVWTSMKKYFPRQATFLSKNVELLL -GMNNDEASAWVIWFAGVSEIDFWAARELLKIARDYDKLSELSDLLKTSGRGDCLLGRCCFEMRVLAGRGA -SPPDPAKDVRTRIDKKAFEAEKSCGVGSEIRKYVREVLNNEMVRRPNWETTKSYWKKRWLYTKSGSHARR -IEKHMFDKKLDLPPQPTRREFSEAVKECVVATGLPSTHAGQSWKLEHFKTRAIYSGDTRSYFTFDYLLRP -VEAVWANKTCLLDPGNRGQMEMFSELSRHGDVNFMLDFEDFNAQHTKEAMKVVIEEACAGAPEEVVKWAL -ESFDNEYVYWLEDGVERCALTIGGLFSGHRATTFLNTVLNEAYCRMAMGDVYERLKCKHAGDDVIVQGTD -TDIDIAVSRFMESPFRANPSKQGLGRMCGEFLRTSFTKKEAGGYFARAVSSLVSGNWVSDSTNTELEAAQ -NYASMSWTLAVRSQVQNIGAVLTDTILRRVPSIAPYAFAVATCGVSVGGTPVFGSAKGQVLMLDIVSKSV -REREVDFSKSYATDDFINSQIDEKMLEMAGITRAQLRRAMLEASTKPRIESNDESPAGVLCLKAPVADMV -DIVVAKSNVVRQATNDTIAAQKVLSSLYGRIDWDKVFSVITGNRAPLLPELSQVTWPVVNRGNMSFSELA -KTKNDLVRSACVTTMYPILV diff --git a/seq/clusters_seq/cluster_890 b/seq/clusters_seq/cluster_890 deleted file mode 100644 index b0616c6..0000000 --- a/seq/clusters_seq/cluster_890 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_010085072.1 nucleocapsid protein N [Tapirape virus] -MDFIFEEDDNITISSFNPDTLYEHFVVLMTSGTSDWLKAATIFFKKMKLIKEKMKISNIAMIPLKLGDLD -IDVVNTYNPNAGEQRVGELSYTLNRLSGCMARYTLEEYEKGSKELQEKIQLAIKNPLAIVKGVRPDNFKL -YMAFSAGAEMFLSKFSLFPLAIMLRRIDSDDAPAAIAGKVLKQRLDAVAAIDWQNEKNVGLLKTAMAVVG -GVSWKHSKVTEESLSFLAKAGVAKHILTKIKKGE - ->YP_010086207.1 nucleoprotein [Caimito virus] -MDFSFETDDNTVENTFNPEELYDQFKTEMESNTNDWLKVATIFFKRMKTMKDRMKVAPIVVITAKFFDIE -FTLCNTYNQNAGDQKVGDLEYTLNRLSGCMARFTFEKYEAADRTTRETIQATIKNPLAIVKGVRPDNFKL -YMAFSAGTEMFMSKFSLLPLAIILRRIDTDDAPATIAGKALKQRLDSIAAIDWQKDVNVGALKDAMAVVG -GVSWKHSKITEDSLNFLKKAGVSAMVLSKIKKGE - ->YP_009666933.1 nucleocapsid protein N [Rio Preto da Eva virus] -MEAFNFETDDVITSNTFNPEVLYQSFTIEVMQASSDPIKVATIFFKRMKSIKDKMKQVTMRNIPMKFFDV -EVTVVNTYNNTFGDPAIGDSDYTLNRLSGCLARFVFESYTSGNAAQRLMILGSVKNPLAIVKGVRTENFK -LYMAFSAGAEMFLDTFGLLPLAITLRRVEADDAPASVLHKVLKQRLDGMPAVEWQKPATVSKLKDAMAAV -AGLSWKHSKLSDESVDFLKKAGVAAQTLAKIKRGGD - ->YP_009666930.1 nucleocapsid protein N [Pacui virus] -MDNFIFETDDVINSNTFNPEELYAMFRRDLIPLSSDGIKVAAIFFKRMKVIKDRMKSCQTLMIPLKLFDM -EFTVVNTYGAIVERLDVKDSDFTLNRLSGCLARYVYEVYMESDQTQKALIKESIKNPLAIVKGVRPDDFK -LYMAFSAGTEMFLDTFGLLPLAITLRRVETDDAPSSVLNKVLKQRLGGMQAIEWQKPGNVKLLKEAMIAV -NAVSWRHSKVTDEAIDFLKKAGIAISTITKIRKGAE - diff --git a/seq/clusters_seq/cluster_891 b/seq/clusters_seq/cluster_891 deleted file mode 100644 index 7c3132b..0000000 --- a/seq/clusters_seq/cluster_891 +++ /dev/null @@ -1,29 +0,0 @@ ->NP_620675.1 capsid protein [Sweet clover necrotic mosaic virus] -MSTKAPKKSKQRSKPRNRNPSTSVKTVTIPFAKTQIVKTVNPPPKPTKAALHTQLVLSVVGSIALRANNG -KASQRFRLNPSNPALFPTIAYEAANYDMYRMKKLTLRYVPLVTVQNSGRVAMIWDPDSQDSVPQSRQEIS -AYSRSISTAVYEKCSLTIPADNQWRFVADSNVVDRKLVDYGQLLFVNHSGSEGIETGDVFLECEVEFKGP -QPTATVVQRGIIDRGGVLTGEGPSYLLPTDIVITATGISMNLDVAGTYLVTLVVTSSGSGNLQVLGNSTL -VGDFRTAYSGINFIATFVLTSTGVPSPTSSILFNESTGVTRAQFSIVRSKPSNAYVFG - ->NP_620526.1 37 kDa capsid protein [Red clover necrotic mosaic virus] -MSSKAPKKSKQRSQPRNRTPNTSVKTVAIPFAKTQIIKTVNPPPKPARGILHTQLVMSVVGSVQMRTNNG -KSNQRFRLNPSNPALFPTLAYEAANYDMYRLKKLTLRYVPLVTVQNSGRVAMIWDPDSQDSAPQSRQEIS -AYSRSVSTAVYEKCSLTIPADNQWRFVADNTTVDRKLVDFGQLLFVTHSGSDGIETGDIFLDCEVEFKGP -QPTASIVQKTVIDLGGTLTSFEGPSYLMPPDAFITSSSFGLFVDVAGTYLLTLVVTCSTTGSVTVGGNST -LVGDGRAAYGSSNYIASIVFTSSGVLSTTPSVQFSGSSGVSRVQMNICRCKQGNTFILG - ->NP_613255.1 coat protein [Carnation ringspot virus] -MTSRQSRKSKMALVPKQRQNLARTVKTVKIPYATTQIVTTSNPPKKGQIKVSGRQLFMSLITSSSFVVNN -GLPTPSLLSLNPSNQYLFPSLAYEAANYDLYRFAKLRLSYVHDTNATVSGRVSLMWDRDSQDVPPNSRVS -IPQCTKSVSTAVYESCAIDLPIDDVWRFVRDTDVVDRKLSDYGQIFTAVHSGSTTDEVGDVYLDYTIELK -DRQPTASMVQTAYWDVSGVLAKSEGPRYFSPSSDVTFTSTMAAISLQLSGIFNVQVVVTCTTAGTLVVGP -NISVIGAMYSQFTTPRYFAQPVLACTGVPNGTPSVSMTGFAGITRITLVITRLPPETRCRNRQFP - ->NP_041231.1 capsid protein [Melon necrotic spot virus] -MAMVKRINNLPTVKLAKQALPLLANPKLVNKAIDVVPLVVQGGRKLSKAAKRLLGAYGGNISYTEGAKPG -AISAPVAISRRVAGMKPRFVRSEGSVKIVHREFIASVLPSSDLTVNNGDVNIGKYRVNPSNNALFTWLQG -QAQLYDMYRFTRLRITYIPTTGSTSTGRVSLLWDRDSQDPLPIDRAAISSYAHSADSAPWAENVLVVPCD -NTWRYMNDTNAVDRKLVDFGQFLFATYSGAGSTAHGDLYVEYAVEFKDPQPIAGMVCMFDRLVSLSEVGS -TIKGVNYIADRDVITTGGNIGVNINIPGTYLVTIVLNATSIGPLTFTGNSKLVGNSLNLTSSGASALTFT -LNSTGVPNSSDSSFSVGTVVALTRVRMTITRCSPETAYLA - diff --git a/seq/clusters_seq/cluster_892 b/seq/clusters_seq/cluster_892 deleted file mode 100644 index 3dbfaff..0000000 --- a/seq/clusters_seq/cluster_892 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_010086256.1 glycoprotein precursor [Veterinary Pathology Zurich virus 1] -MGAFQSITATFVAVVQHLPFIIFLLVLTWFTLMCLRGRWWKVFLVLVMTRKCQAYTYCSDNEFGLCSTVQ -STIETKYFVVNIANQTIITKLIDGEYYFNFLTMSNCTSSVDFELVSQNSIIVNADLVPGCKGGWKDNNYF -DFFHCRNESQSISLKYHGPFNGIGFWVTTPKKTNATELRHYTNLTFKWRHHEFNKHNLDITQNFTKLFCN -QKRNNCRTVETSYSSTTKCDVLPLSVLDSQNYTKRRKRNAEVALTTTTSFISIVALDTGYSDSSELWKNL -IRTQESLNILEKIVANLSSNQMILSDQVTIDQSTIENILSDLTEHGLRLNEAEKSFNNSHLCIVRNETHD -VNHLLRVTHTYNLTTFYHDCKKLILVNDSLSGVIALTKTVHEEALQGLRNSLLRNYLLTLRWEYPFTLAL -LLLGAFFIFNQNRANKHMHYKKGEDWKCPYPHYPDRKGHCSCGKIYENVKLMECSIEKY - ->YP_010086253.1 glycoprotein precursor [Old schoolhouse virus 1] -MGALQSISEAFVAVVQHLPLIVFIIALTWFCLMGIRGRWYKVVFLIFFTPKCQAYSFCSNNEFNLCTTIN -STIETNYHVVNPQNTTILLKFINDGFYFNVVTQSNCTSSSDFYLVKMQAVLLNSTDLPGCLGGWISNTYF -DSVRCKNMSDNTNLRLLPGGFTITTREKPVDVERFPLYNLTFKWKHDDFTKNLVENVGNLSKVACTTQKL -IESCRNKTSSSGTIRQTCDTSPLPVLTKLRRKRSSEFAVSSTTSVFSLISLDTGYADSSALWENIIRTQK -YLNELEKIVANLTDNQMLLNNQVVLDQLTIKEMLDDLKQKGLRLDKAEKAFNNTHLCVMRNESNDLTHYL -RIKHMHDNGEYYHDCKNLILVNDSLSGLIDLTKQAHYEAIQSLRMSLIRDYLLTLRWEYPCSLILIILGV -ILILVQKRAFQHRHYKKGDEWMCPFPHYPNSKGLCSCGKNYEFVKLMDCSIEKY - ->YP_010086251.1 glycoprotein precursor [Dante Muikkunen virus 1] -MGALQSISETFVAVVQHMPLIVFLVVFVWFLLMCLRGRWWKVAYLLVLTPKCQSYTFCSGNEFNLCTTVQ -STIESKYHIIRVQNQSVITKLLIDSYYFNILTLSNCSGNNDFELISRQIVNLNKSETMGCNGGWRDNNYY -DTYNCRNSSTPVNLVYHGPFKSGNSTIGFWTSTKSVSKALETVQLTNLTFKWKHHDYSKHVFDLSQNLTK -VECNQQKHNCSIRQSSSGSNYTKCQVLPMSVLKRTKRESSVSLTTTTSVFSLVALDTGYSDSSALWESII -RTQTALEKLELIVANISKNQAIIAGEINIDQTTIKDILIDMKNHGLKLNKAEKFLNNSHLCIMRNEEKDL -THYVRVNHTYTGGSHNHNCKNLILINDSLSGLIHMTHKAHLDAIQSLRMNLLRSYLLTFRWEYPTSIFLV -FLGFFFLFFQKRAEMHRHYKKGEEWKCPYPHYPNKKGLCSCGKEFSQTKLIECPIEKH - ->YP_009666123.1 GPC [Haartman Institute snake virus] -MGALVSISETFVSIVKHLPLIIFVLVLVWFLFMCVRGRWWKVIFLLFFTPKCQAYKLCSNNEFNLCSEVI -STIESKYHVIDVNNQTIITKLLNDSYYFNLITLSNCTTNKNYFKLIEQKSVQVNVSNTPGCEGGWLDNNF -FDTYICRNVTKRQGLRYHGPFGNPPVGFWVHDNPGESGTEQKTLNNLTFKWNQHDYSRHIFDLTQNLTEV -VCTGRKHDCKNHTTSSGSVATKCRVTPLPVLQRSKRESVSLSSTTSVFSLIALDTGYSDSSALWENLIRT -QTVLENLEKIVANLTSNQYILANQININQNTFVGILTDLKNHGVKLERAEKAFNNSHLCIMRNEQLDLTH -YVRVNHTYNSGSYYHDCKGLVLVNDSLSGLIQLTQIAHKEALQGLRAELLRNYLLTIRWEYPSILILLIL -GVVLIIFQKRANMHRHYKKGDEWKCPYPHYPNSKGHCSCGKIYIDIKLVECPIEKY - diff --git a/seq/clusters_seq/cluster_893 b/seq/clusters_seq/cluster_893 deleted file mode 100644 index 8431194..0000000 --- a/seq/clusters_seq/cluster_893 +++ /dev/null @@ -1,56 +0,0 @@ ->YP_009507899.1 pvc2 [Maize stripe tenuivirus] -MRFNIFFIYATLCSLSLSSVIGKVVEDTWMRDRYEPIKSVVKVSCYATAPPCRGNYKLTGFYIEEGEICH -NQASINLSETCYSGKYNKELVPHYQFSLFGGIRYKRCKDEPLYDISIIGFKQVKNKAFTLQINTINAELV -TYARLKDGFYGLVYVVNTTYCPSEVESESIIHKQVGLTIKPLCTDGVLVYKNSECKVVVKEQEFTMPSCG -KIVLPTYDDKINVCDSTGCQSVSCTASDVCVVYDKMDSIMKIKNYHCSDTYIKSVYIIIVLVVLIICIGT -ITIINILCFLRPAFWLCKKILFTITGLCHYQPAIQEVEVDLSTIRVIQDEDDHLIAIEDSIAPNTNLPSR -SMTKARKMENGLLYIPMILFFYIAPMTESACQDLVSSLSNIEVCQDGQCGYNTKVQLSLMNTPQDFCFKT -KSDVYKIRFNFLKVKCLSTPEYYTNSYSREIAKSDWKCFEGEGCPVDGSYSIWDKSDTLSYDYCVKEFVF -FSYCPATHYNWKRIIYKAISTRPCVVRTCNSFKFEIQGYVQKNGVILSELSGFTDKYISKIVDISLLSFN -TMNMPKTYVECDNKAYIRAANDLGSFNKELLGHIQCPTREDALTLTRKCVTKVVTEEDQPMIRYEEKDGL -NMLEYVGSKPLIGVAVTPDGLSLDTNDVLPVTLSLKIKEPITSLITSTIKLNSTTCKITGVERKFKKTKV -RVDLHSKSSISDILSCSGLALCSLTINNEQYGECITTSYHSTDQGSSIRCLLMYSGIPIQCTYNVSPLEI -TVVAPSLDFNSMEAVKESTTNWMNLIASIIRDNPKLTLMASILPIGMIIKTIKGFLTDMRQD - ->YP_009507893.1 pc2 protein [Iranian wheat stripe tenuivirus] -MYLLNLLMLVFFIIRYCQCRAITRDDWMIGKDDDLGKAHLQLSCVYLNKPCTQSKLLNGYYIENGSICYN -HGPINLHETCFAGKYDNRIPVHEIFSEFGGIRYIDCDDEIVSKTTLVGFTQSAHQSKVLPINKENGLLVS -YPYSETNEFKGFVYVEDIKYCKENSTKKRLNNDATSLDHPVVCEDGKLMAASSECDITVGETEFHIPNCA -NTKLPVYDTIIKVCQNNFCKNVTCTVSSVCIAYDRMDFLTKAKNYECSDSYRYYGYLLTLTLIIIVCMFG -VVIINIIICLKPVFWLLKTIMLAIAGVCHRKPKLSMMEINMAEMRIVEETDEGILLSESSHAPNSNVDEV -IYKKARITPSGLIYIPYIFLGLSLLFSTANGLCNDLLSSLSNIEVCNGKDCDFSSKILLTLYNTPQDFCL -NQLSDIYKLRLTKISVKCLSRPLYYTNSYKRTIELSDWKCKEDIRCTKDKSTSIWEKSSSLHYDYCVPDF -HIFSYCPFYHYNWKRIQYTPTSHLACAVKKCNDVQFEINGYLSKNGRVVHEFSGYGSSHDADILSLGVLS -YNTQKLPREYVECGNKAYERKSNDLGSFDSELMGSIQCPTMADAEKLTNNCQTKVEAVEDEKTISYAEND -GITKLTDTLTEPHKVIVLSENGISLDSMDVYPVTLTITSSIKISSILTSRISMNNTKCGIKGVERKLKKT -IIKVKTPTKIVLSDLLVCKDIASCSLTFNKDDQAECYTTSYKVDGTGDSINCRFLYSGDSISCKYDVSPI -DIIVVSPQVDLTSFDGMRETGQNWSSFVMEMIRENPKLTIVVSILPIGLFLKTLKRTYYDIIEG - ->YP_009449442.1 membrane glycoprotein [Rice hoja blanca tenuivirus] -MYICILILPILVLITPSNSRSITKEDWMMSKDADPGKAIIQISCAYRNKPCKQPKLLNGYYIEDGSVCYN -HGPINLHETCFSGSYDNKIPVHEIFASFGGTRYVDCKDEIVSKTTLVGFSQTQHTSKVLPINRENGLLVS -YPYSEDKAFRGFVYVENITYCTENSTRNVLANDATSLEHPVVCEDGKLFASSAECDIRVSETQLHVPSCS -NVKLPVYDDQIEVCQNNFCKNVTCTVSSACVAYNRMDFLSRVKNYECSKTYKYYGYVLTLILIVFICMCG -IIVVNILICLKPVFWVIKTVMYALAGLCHKKPKLRMMEIDMAEVRVVDDTGDGLLLSEESHAPNSNVPDI -VRQKARRLSNGLIYVPYIFMLIAISLDSANCLCNDLLTSLSDVEVCTGKDCTYSSKLQLTLYNTPQDFCF -KSSSDVYKLRISKITINCLSRPLYYTNSYKKSIGKEEWKCTESIRCSTDMSSTIWDKDDSLHYDYCISDF -HVFSYCPFYHYNWKRILYTPTSRLACSVKKCTDVQFEINGHLSKNGLVIHEFTGFQAVHEAGILNIGILN -YNMQKLPAEYVECDGKAYERKSNDLGSFDKELMGSIQCPTMRDAELLTSKCQTKIEALEDSKIISYEDND -GINKLADTLTEPLRGVVVSEKGISLDSMDILPMSISITSSVKISSILTSRISMNNTNCEIKGVERKLKKT -VIKVMTPTRLVLSDVLICKDIASCSLTFNKDDRAECYTTSYKVDGTGEVIQCKFLYSGDSVMCKYDVSPI -DIVVVAPKIDLSSFDNVKTTSQTWSTFIMDMIRENPKLTIIASILPIGFFLKTMKKTYLELMDD - ->NP_620521.1 putative membrane glycoprotein [Rice stripe tenuivirus] -MHFKSYFIYTTIFNMAWGAPIPFPDTHSWMRNREREPSEIVKVPCSARAPPCKLTYELNGYFIENGLICY -NRASVNYFETCYTGNYDYKLPLHPSFSKFGGHVYLSCDDAILQNVSLVGIQQTEYTSSPLLITNSNSEKI -SYSNLKTGFLGIVYAVETRACIQPDQAKKPEEIINHGVAIKPSCTDGVLYYINSACEVNVSDQTFSIPSC -ESVKLPTYDDTIEVCDKGGCQNVTCHPGEICDKYERMDMIMRIKNYQCSHIYRYSLYSIILFFVIVIVFT -LITIMNILFFLKPAFWLLKKVLYSMVGLCHRRPVVDEVSVDMSTVRVVDEAEEGLLVVEDSIAPNTNVSD -KVKRKGRKVENGLIFIPYVLMILLLVCSAESCQDLVSSISNIERCTNNSCDFISKMKLTLLNTPQDFCFK -TSTDVYKIRFNSVRVMCLSVPLYYTNSFKRVISREEWKCFEGEGCRTDGTHSIWGESTSLSFDYCVTDFH -IFSYCPAYHYNWKRIEYEPTSSRACTIMKCMDTKFEIVGYIQKNGHVLKELGGITSKYDSPLVSISLSNY -NSARMPREYAECDGKAYLRTANDLGSFDKELLGNIQCPTKEDAVVLSSKCKTKILSNEDLPVIRYIERDG -VDMLEHVKSEPLKDVLVSSSGISLSTLDLFPVELNLQFKEAITSIITSKISLNGTSCKITGIERKFKKTT -VSIESSNKVYLSDILACEGLAVCPMILNNIKKGTCITTTYYSVTVGSMIKCKFIYSGDTLMCKYDVSPLE -ITVISPSLDVSSFEAVKTSTTNWMELLAGIVKDNPKLSLVASIIPIGLILKTIRSFLDDIRQVD - diff --git a/seq/clusters_seq/cluster_894 b/seq/clusters_seq/cluster_894 deleted file mode 100644 index de5d377..0000000 --- a/seq/clusters_seq/cluster_894 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_010086049.1 hypothetical protein KM556_s3gp1 [Magnaporthe oryzae polymycovirus 1] -MQRSRAQKPSGPSRGPPVTRRVSSGGSDVMAGARTYARSVATSSRSSATSVRHGGDVFEGVVGLPLTLFE -YNFPRAHAGPLPPSDVFTDPEGVAFMTGPQGQRLRAQEHGYARIVRQYINRAVPLAAANVLVLGSGSSKQ -LTNLLGRGVATATFVDTSARALSTLRSHVDARGLHATVDVHYVNEDAWDFVGSVEGGTYDLIIMVKCTGL -ILSSGPNRRAVDLFDMLVDAARPGASIIVDHHVAFSLPEQEGKPIGDLCGRGDYDVATIAGRYSADVAYN -WFVDHPDASLASTFVPIALERGVQTWQVFHFRVAHETVVTTARALSSRIQTPPRAFASPPVSDFDSTIDA -MIPINHKGVKVIPSSKDVLAHDVTRARPKIDGLPGVMVLEGSSALFLSPVVKHAIPLTAEVSPRMVVMAE -LVHAREGGSVFVVTGVVAIGTARADPNSFITLQRLVPVFDKLLAAGIVANSPDLMRALRGDELQLRSASG -RSLTLPVDGVSVVTGDTGGRFFKPLAMYTVDAKSDEIGGLIAGAAAMLGLAEPMNSRPASAPGVHEYAFN -PETREWVATRLRRDKTWSDTPGAVLHTVVCALSAHAYGFTGTVQDVAKKILA - ->YP_009551549.1 methyltransferase [Penicillium digitatum polymycoviruses 1] -MAPKRFVLRGPKHSPIPESPGTPSLTERRMSVSSGGSYGGPSVRSRTVAGKSTRAGGVNAGLPYPIFEFG -FPKSRSPPALPDDSQFEAGPADDYMRSPQGSQLRHDQGRYNAMLRQFIRRNTRVTGSAFLFLGSGSSRNM -LKLLRLAPRLVVFVDVDKAALDRLRRHVAMEGLDANVVVEYVHEDAYTWLLGNAGTRLFDTVTATKCVGQ -ILRGGRQFVEFADRVGGVMRHGGHFYVDHHVYATQFSEGSRLGDVAPEEEYNPATICGRYADDVSYSCES -GAPDFELVGRFLSSASPSGVQVWELFCFRFTGRDTSTGRVLANVSALPPAPILFALPKELPHDPVSEAMV -PVNAKGVKRIPLASDVRSHTAAFCTPKIDGEPGLLLLDGPTAVFISSRYRFVRPMKVQLSVPGAFVVELV -KFSQGRALMVVTGVAELDGVACDPLDPMPLRHLEGTLDKLADDGIMVNSPRLMRYLKGDVVALGGPGQGI -FLPVDGIQVQQSGRGGSFIKPVRFATVDAKQSEAGQLIRDAYLATGVGAVPEVWLDSKGNDDDVYEYSRI -EGSHVWEAVRRRPDKQWSDAPGAVVHTFWASYRAEEYGFRGTVEDIRPRVAR - ->YP_009551546.1 methyl transferase [Aspergillus fumigatus polymycovirus 1] -MLQRPATTMLTRKRGVRSVPSTGSRGPPPPTRRPSETPSHPSTSGVSNLALSVRSRSTTTVAASGPSGEK -VVLPFSLFEFGFPPDMPSSPLPEDSQFKDEGGQRFMASEAGTILRRDDRRYAALQRQFIVRSVRLTGSSI -LVLGSGSSKSIIPLLRRGVATATFVDTSQAALDRMRRNLTEAGITATVDAQFVCCDAWDWISGDDQPLYD -VIIATKCLGLIFSTDPAQRDVQSLLDYCSAILRDDGSVFVDHHLAFASLPHGTRVASAVEPELFDLATIA -GRYADDVAYNAEVDHQDFDRVASFVSSAAAHLVQVWQFFHYRLKNVGRAKPGATLSLHKAPCPTEFPTPP -ALEFDALADAMYPVNGRGVKRIPTASDIKGHPYATALVKYDGEHGVLVLDGANATFISGRYRFARQLHLS -VQPVLACTAELVPVSPQTSVLIITGLISLGDAFADPLDYEALRPLVPTLERLAPSGIVPTIPEHVRLVKG -SAVHFFGPHGSVLRAPVDGVQVNTGGKAGMFIKPAAACTVDATTTDACDAITDAYAALGLPAMPYVHSGE -GDNIYEFHRVPGTHVWRPGRPRPDKNRSDKPGTVVHTVAASIMAEQLALTSDVAALVSKIFR - ->YP_009342470.1 hypothetical protein [Botryosphaeria dothidea virus 1] -MKRRSAKVPAQSRSSARTKPTPPPSPELHRQSTSGVSHLALSVRSKTTVGKEFRTTQGDRVRLPFNIFEF -GFPRKPHGNQLPVRRQFHDAAGEEFMSSEAGAQLRAVERKYAAVMRTYINRTMRVTGSRILVLGSGSSRG -MIPILRRLPASAVFVDTNQDALDTLEDNLASVGLLAPIDATFVNQDAWEYLENAEVASYDLILATKCMGL -VYAVDPVQRHPDAFFAMASRALAEDGSVVTDEHVAYAGERHGTPIPDVTAPEDFALATIAGRYAGDVCYT -AQTTCTALDLVAKLTFDSVGHGVQEWAAYHYRARRTPTPSQAVVRTGLPTPPKAFRRPALLEFDEAADAR -IPVNAKGVKRAPMPSDLCAHDLSRALPKFDGHPGVVIIKRGTAVFLGSRYRFVRELPIDVAMGVELMGEV -VHVGPEKSIVVITGLLAVGDARADPLSLDALNQVRPLVAAMGPAGFMINSPDLLRALQHDRVYLSASWEN -GSGLPVDGVHVELAGRNGVFFKNAAENTIDATADDVEGLLAESYSILRLPAPSLALLERAKVWRGVGVLS -RYAVTRLGPRET - diff --git a/seq/clusters_seq/cluster_895 b/seq/clusters_seq/cluster_895 deleted file mode 100644 index 729f353..0000000 --- a/seq/clusters_seq/cluster_895 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009667142.1 6K2 protein [Mediterranean ruda virus] -DERTMSEHLALKGHWNKTLLAHDALIAVGVLGGGAWMAWTYFKQSCDGKFLFQ - ->YP_254719.1 6K2 protein [Thunberg fritillary mosaic virus] -SEVEMSKHLRLKGHWNKSLITTDTIVAGTVFIGGVWMLYTHFKDTIKQTYSFQ - ->NP_734168.1 6K2 protein [Clover yellow vein virus] -SASEISRHLKLKNHWNGSLLAKDMLIALGVLGGGCWMLYTYYNQEVSKEFKFQ - ->NP_734178.1 6K2 protein [Bean yellow mosaic virus] -SLQGVSKHLQLKGHWNKPVLIQDFLIAAGVLGGGCWMLYQYFKQETSKAFVFQ - diff --git a/seq/clusters_seq/cluster_896 b/seq/clusters_seq/cluster_896 deleted file mode 100644 index a682b91..0000000 --- a/seq/clusters_seq/cluster_896 +++ /dev/null @@ -1,14 +0,0 @@ ->YP_006405418.1 PIPO, partial [Tobacco vein banding mosaic virus] -NLSTGFGGALARFTIVGKVLMYTMAIKASKKITGRAGRERYARFIESLGYIQALCTGQF - ->YP_006405415.1 PIPO, partial [Wild tomato mosaic virus] -NLQGGFGGAMVRIKLVGKIIRNLLHIQIKNSMYARFKPRQVTNFKTNFQMFHRICTGQNESDSKFSAISL -F - ->YP_006395313.1 PIPO, partial [Clover yellow vein virus] -NLGRGFRAAMARFKIVTKVLFNKAVMEAAGKVFQNTSPERRARCQRQVQRITQIVSNKH - ->YP_006393476.1 PIPO, partial [Bean yellow mosaic virus] -NLNGGFGGAMARIRIVGTIIFNKAVVASASKVFKLCNPTRRARYKRQVHNLTQVIRGSDKTTVTCSKGSG -CPFCRKEN - diff --git a/seq/clusters_seq/cluster_897 b/seq/clusters_seq/cluster_897 deleted file mode 100644 index 846e19a..0000000 --- a/seq/clusters_seq/cluster_897 +++ /dev/null @@ -1,172 +0,0 @@ ->YP_010086587.1 polyprotein [Rhizoctonia solani endornavirus 2] -MKRVNFICTHTQTPEPASSSGVLINNANQRCSAQNPSVVKVSTKFSKIRNNSKQQALYQSYLSIDQNRKD -RGKMRLHCSKVFETQEQVPETTESPDLTFLDRKIGGDSTCWHKILTNYNPTDEQAEDFFLHIINDSSFGT -NEPLSLRQIYEQYTAQTGPISKQKDWDAEYCNIWMHITEMDKEVMHLHEVYKTPLVPTAPVNKNEVIMNM -AQLVLYFNPNNRFKNTMQAKPLEMEKKEKAVRKGIDTRHGREGSRDSVNKVLCNVGEKKVGVLSGTKSYL -LKNNFFSNMEYALDNEGGSRYRDMVDNHDTRIVNNHYAKSNYELVKTTMPSKRLIGGNYEDMDHTQFVAV -DTSVQSEDKQFLTDVSTVYAYNNCAADKTTVYGECALTLGKQPWYSLNTNLNNKNLITTEFDIGTFFDYE -LIYFICPVVGVDTDGKLAKEGGSYAYYNNMLLVTYGSSSVVHSYSKNVANIMSGSNHLNEGEHSLTVLAR -KRFDFMQVIVLTPAKLEIMQLTENDEAWFEVPVIAPTLFGAIGVPDITMKRYKLNKPALNRLINKNLTGK -VSYELMMEYAMAMSWYSYNKRGVELASEKFSAEELKVHVYVSRVLVTLQKLNDQIENLIYNDGPNKLLIA -AFDAMTSVAMELTDADSPRSTVVRKFINAIENPIVRAGVGAKMKVWMSLDAWTMSRHIEVSTYDQPSACN -HHQECLEPYTGITCLHCGYNTALNDSIWCRCCSVTLNECYHVCEFEHSGDNVCTCCHKNHSNEGEWCDCC -LRKYPIQEEVEAVDPVKTIKSVVRERKKASKPVNEIEAPKENNAKPEPHKQKEAEKLPFTLDLSYAQLRQ -SLPEHSLNLFMGRSEIGKRYTNDEKLSLIPFVTNRHPLALLEKYELYDVNDVTVVDCGVDCCKFYLGLEF -SEYRLKAVTGGKTRGLSADQIGKMLNDAALNACVIDASGLKFFRCNDGEQFACVVHTSALKDAEYKNHWL -VCKVNLISADKNEMFLSNMNTVVDHNNAAVQLFRKYYNSLNDDEKLFCCYTLASKSQASIVSGFNKFEFT -KERLMNSDEHDPSKGLYNYTVQSRLAKYATMLVDSMSGSINDELNQIWDINDDNCLDVLYNTECGFRDCC -YKIALILSNPVGHCRTVKKLVKRSGMRVYIEVDDVRLKNGDLVFFKQGVQYKPTFVKVVAGKIDVVLTDK -QSYSYIDLLIPKASVSSLLMRMYSYNEVKVDKDKLIQLYKTGKFVIGPGGSGKSTSIATWRKEEPTVSAT -FVAMTTGGKTSLQLKLPIDDNPQSFEKASISHINTQLVVVDEATLIRPWELALVVGPMTQKIMLAGDPTQ -ISVIDLYASGGTRISFNAVNYAKKYSDVLTLTSTYRIGSTLVNELKLHKAMSDLNSLASHDTTFDCLWME -KFTPNEINKLAVGCNVILVFYGDQIALVNNALDFRSVKTVTTVHRYQGLESDVVMVLQMPLSRQADTHRQ -FGHCISAATRPVKHLKWVTIADFSSETPLHDRLGGDIGSLEYQVIEGIELHDEVHSALNSGVAKVDIKKF -NPEFFTATVTEHTKLVNVSYDIDDDKVQINLKALFHTATYVVDSGGRVSTNVPDEYVKVVEDLFIKSCNV -ECDYVVKKKEKYISIAGSDAWILRIVAFVIKVYTANNLTYSVEHNGEKFNLQVKPSECAACGEIKVYKGD -ELIGGVTRDYVSLSGRLAWGESAEMVKDMLILNKGGLPDYIIDPQLSHAILTERVSTALKDFNTAVSSSF -TRFLWYNKYENSLLFEEIKNTIGAKITVTNCDNMSLYPYFRKTLTGWKISKIGNNNSRIVVTRSKAKKLE -AIYECLLILHERYKDDKTARYVSRFYMSAIGARNDEDRIPGMMESFDWHKSNKTATYRDLVNRQDMVKMK -SVKYMPQMTYVPKNVFTFCSKFTNLDMFASNKRMTTSDPLVSAADMTLARLIRDTYPSCTVNFINHTIYT -SYVLDFGLTSPSKATGEVAHTLNQDLYHNMLTNHAGHVKVDDPMYSTLLNEVEKGGKYTGLHTKPDVIVT -DPTILNIDNQILLDWVYNASVVYFWVPLECHNINNTLIYAHKDSNTPFECKKEWHDAIEKGFSLNFDDVK -LGVKVHTLKTFDAIRVCMIQREEVGLWAEPWLTNARYVNIMVPSFIFDLESVVSRGALLEMQLATINIDT -LENLRRRLLKPDTTFDDLLVQARTLLNTTQYTTSMVRGKYEEKVHEMYRTAKIAWAIHNTENKMFSLLVD -PSSIFDLLCMLPAVAAAKFIDKFKSVVSPYVSSVKAMIDTYEKKSDILKVLSKFVNSLEKLKPLQLAPTK -TIVNSANQMLTRESRWVPKIKLYITKRIGLTEISWDDNCPTCDATMIGVLNNHFIKSLSKYHTMVHSSDC -ERAAGKTIGFEDTCDIKVVTPLLGRHGLLIRPSDLDLVKGKNIGIDTVKPKLYVNCKLILTEVNIVTKIS -VAYSKNCMIKIVNDVDTSELFTSTGGGSVLITEDNQRLSASTVFDVSSQDAWINSCGLSYNTRGWNVTMG -EILSYNRFKLGRQLEPMELINTIDAIDSGYLTSLGFVSNGKLLQKLSNCDASVVLGEAYVDVDTGYLISD -IAAYSGVRIDLSSNYAKSARESIYGRQFAKLDCLEFDFVEDMQVETKFKFKNGYDYECLNEPQHVVSSTS -VLLMNRTCECGSNVIVTGGPIHPRDVKLAILSHTTSYDKGVYHLNLDTLITDKYLSVKIDRPIDTVSVTS -SLDTNLEDVSAQNEDHSKDEVLNSEEVTKWFDTCDENGIKPLAKMSDLRTEYVIYTSDGTFPINDEDMLA -SMSATVTKTRVSAYHDSTKDEWVYRNVNVYEVDNSIKHPFEDLPKVDYVAKDLELAECLGGEMNDVVGRY -KKEAEEMKVHASSCDDDNCKYKNSKGKHLFSSCDENCLTHHSIECEMKREVRASSKLLDDNGGAGTKIIA -DLAHKEGVLLGRHMVNTPCVRDVNTFTTDDDKSMIETMLTSVRMKLIDVANTQALEIEGTIAGDPQYSLR -NMDDSKVYDYKFRFVHLYSAEADATAESHEAGKRLIEFNINLPEHKRKRDESYKYNLMAYRKNNVFEEIN -VPEHAQRVWVSEPAVGDFSDVEMNKSRILSKPSYYKRLNLDGKIMDRKYYYESTNFKKVLFKVLDVVDRT -NNLCVNILKARQMRESPKDRLSNRLIQAETARPQLEVGLVYNSAVEVKSTSGTTIPSKDQWVLHLKDWHW -LRNSSLNWDERYSIEINCRHWTLGEMLDYLYYDEKGPVINKMKGTSYQWLNHINALYCKPWTSVEVPETT -NKWTKKSNGKFYDQTGPLHAELFTIPLSALWPKGTFLRVEGMKFSRDTLKLVDYGARYNAWCLLHMIATM -TKNIWIPISAPSDFVTAMPVIRGMNCCSDTQPYDYSKQEPNRPMNPEHVLKNLPKKQRTSWSPEMCTLRS -VYIQIAVSRDGDWSYGLCQNAYNNFRPYIAAAKHGLEQKLITPSLPLVRAIPHLPNWSGHTLTWPGTYDK -SWQLGGAPFSPNTGAVVLPPNTKINYPITQEMAKRAGYLHVSDAESSLNEYYQVVKEKSKGHFDTRRAHR -HTPWSERFPCGHTKSNMFNKCRHDVLPLMFSDYQDQKGLDIEPMVNDSTELIVALNYNGRKFDGTEVSWF -ETYMGFNLNKANGLNTLLIMERQDSESRSRNVKGKNDSTDDNDEESEDNGEEFGEKGQEDDAYDTANEDN -DSLDGESDKTEQPRKTSEEQESVLSPSAQTYVEAMRQRLNDLFPNKRAMGSLGEWLPINEFEQRIEHNAQ -TTGLKLTGRDEIDFFIKLIGNDTKKSDLDVMLDIELRKFVDKPEKYTYNPLLGGRNNKRLALGRDLLEME -NCHSVSKIYNNCPKLAEAHYDFLKNLQSKVDGLNIQEHELVHWYSLCPGIAMRSPAKLPGRHIHIGISDR -KITDLGFETLELGSDPGRFGACLRVICALLANVQCTHFFHSGRPNLHVLFAEMVIGEILDDITVNTVVAP -FSTCGPFLDGMVLVDTFKAKDAARYISQNIWYREIYGIDEKEFTIFGIKECWYLGDSGAWYSNMNVNKLY -KSWAKGINMHLISGQIRGKFPYGMDKVIQASSGKTDQKLVNKLDPNTLKEETRGKEPLITTTKTDSHIDK -TIQQVQVEVDESMSEIVPVIGGVDFHNILFGEPNSNTSLEEYKFTDNKVMETLHNPQVTRDCPFKAIEWA -LKYVVKLKFTSTVLRSVLKFKDFMNDDEIISRLKLIGHSFTYSGSSKSVMFSCKNNLGEVEKPFNLYVTS -DQIGRTHLTVVKMAYRALPLNKEWSTFEPLAEKMNPIEPTESTLMEMEAMMMGVLPATGPMKEQLQNVNF -RMNGNVARIMERSESVIETRASRAEGKWLWVSNLSPGKVYLFQNKLNRFEPRIVMNSQTGTFIRGGVDQR -VAIDMGTRLWSTGNEMSRTIRSEASIGFLNAASKIFANDIAHELAAWPIDREANLILFSDFDNRNHHHAD -DADLLRRTRVGNIRIICRKEDNMTWGVKMLEALYKSGPVRLAVRQGIPYITCLVKTPWIQKLYEGLDEQS -ILYEDMVEMVTKFDKVNLEKSGNDFMPVVQRLFSKFKRKYNFGGPGQPITHKLTGAELTKDLMSFGAGDH -KFKIEEFTHYELSVERNTTPVYLSGWIDNEHYDPKSWSHMVVSAVGYGKELDETNTVDELQGEFKGFTAV -AAEYNKVANSSTKLQEISDESMANIVSLNTMNTMRLEDNSDSYKLYTSTSKAPDVILVGFSAANDAINGD -VVEEQVHPNMMDYYDDETHMLDSGIPLPNKNIRLVHNGEFSGIKNVAKASMVQYPTHSQSAYIGSYRGGL -KAVSELYGSKLELRQVEHDARQDCRLFEETYFINGSTNALPEINIDYNTVLDWLKERPDSEKILKDFDEV -ISGGLDIVGMDKVNIHEKLESRMKDVLMEDFEKGTNMPETLEEQRNRLIAWQRKGITMIFASFFKQVKDH -LKRCLRKEIVYVDGMTPPQICALLNQIDGTDITFAEDDLKKQDRQTDHTLLDTEMEIYKRLGANPRIVDM -WRTVHNKWRGKGIGIKFVSDASRHTGQATTAIGNAIVNLIVKQRLVKRLGKKLKLMLILGDDNIILTEGK -ITESEISLNSARHYNMQSDPSVRKDYGTFLRMMIYRRKDGMLECGPDVVRLCRRFQVLNGVSEQTDENVK -MRTISYCCMLGDNQYTRKIISDIGKDVKLQSWFDMGSLMEVTAGKYQTTVEKIEGVYGWLLKMMREREVV -IRYKLVPVEKRQ - ->YP_009310113.1 polyprotein [Ceratobasidium endornavirus A] -MDEGEWETKPENFSYANILQCTADNGTKWKPAPLKKLIDVVKRYNVCTLVEATGEEDDYGEPIMIPTTKW -FSKPENAKNLLIRISVVNKELLHLEECFYTEDESEWQAATNAGWTNLQELHEELRKLDVKPTTPEIPAVM -SEPAPQQAKPDDAQLLRQLQSCASEVYTSISMDRYLSQNQVTVAAALVGAPNSLLNNKFFQDLDFATDGA -HGVEYLNRITNHDTRVVNNTFARMKFTHIKTCRPSTEYDMNAVSDINNLIIATDMESLLDPNMTFLLDIA -ACYCYLNINPDKTYITDEVKLILGKQAWYDAPVPDERLCLLTLEPTFGECLDHDLTYVITPTIGIDNDGR -LPNNLGYYRRVDDYVLVSFGSSSHVLRVGWWKGLAAGENRYERDGRGVTVVHRKELGGMTVLCITPLVYD -RIMVTEAEERTFEVPMLEAGCLSRIGIPLVRSVNVSLNKTLLKRLINKNLTGNVGTDALMEYGMALSWYS -YNKRGVELSNTKIDPSTVKTHVYIANVLVRRQKLHFAIEDYAMTRGVNSAILTALCAMAENLIGRLNLAN -SVWMEAINSIVDNLALPNIRSSAGTKILNWDSIDAWTLRREMVQTSSGLRSLCSHHTHCPTAHTGFLCIC -CLANTALEGTSKCPCCDHSMGCVHRGNRTTEGKGKCSCCGDPTNDEYCTRCTPSAAYLDSLTSEKAVTGS -TTNGLKVATLDDGNEVIDVYPTDTLDLGGGMHRHTCVVCKRPYQHKHNFHKLNHRQYLRECPHCNESTPK -PEDVAKGQPTSNRKMSTTRQTNKLVDTHPQGQEATGPKQTATIKSATENMTLAELCQVLPMNAVHILRNE -IKHGELVSTSPGKLSTITFINVPSAGEPSELFEVFDVTELAGGDCGLEIMRSYVGNNLSTNTTKSLTTRS -YGFTITDLHAVLNYYGLNAAVVNESMVTFSRVNDTEEFACIVTGSSIEESTFKEHYLACKVRRVKPGNNK -LCAAAMSTVVAHDNMSLTMYGKRMESCTLTEKLNVAYVLAIKTEVQMKEKIALPSLEGDILCNGRIHDLA -KGQYRFKIPQEMLPFVASFIDSITTRDVNDNLLQIWDVDETNICDVEHNCKHAFVDVCANLAKTFKNPEI -LCRRHKFQVRIIKGRSYLDVSKTKLKTGDLVFATNRGEHTPLIVTVSNGLVPIELNSIPSLSLLIPKTSY -VSGIMRLYSFVTGVLSTTRLHELLASCQLTIGYGGTGKSMSLQQWEKDHPNEKAVFIASTSGGVTSLTKK -LKSKQIFSFEKATYMKPVADYYFFDEATVLWPWEIALIVSGNAKEIHFLGDPLQISVTDFTTSYGGRPSI -DITSYLINQLRMTPTRLVVTYRFGNPLISALAKHSALADLKSFSDRATTISMLYFDDWNADQLTTALSGH -NVILTHYNAHVERLRTILAHSSVTTVATIHSYQGLENDRVAVVQAPTRGSADIHLSLGHNVSAATRATQH -LTWISIGCFKDSPELHQRLGEVIGALQIDWDEENESYNVVIQDGESRDITIDDEIVAVSEGTRPVNVDIR -RFDLEKCASLIAHYTNLAAPTAARISGDTLYIDFKVGMLQKQVSYSKGHLDAPIGDKYKSNLLTAIEISC -TGDIKDLVSEVIQVDDRYRVRILAYVAKCYQTSNKRLLLQGMLQDFEVKEIDVRSCAACAEVVLSYRGQE -TRISKDYLRSDRRTVTGPHAALVKEALEVSGKWDILPIELDDINYSHAILAERIDVWFTDTPRGLVSFGK -WMDQFKYDNKSYVKHFSAKATAQVKTLEVENMTYVALKVSGMLTTTYTDVNEDGQVETMRCRRFKAPTMF -QLIERRLDLMYRLFVRDKKIVPLIAKVYMNNVGAIDRFEGMAESFEWHKSHKTVTFKNILKTIGMQKIIA -LKAERKVLYLQTEVARIFKNFLSTAKVATNNHNCMGDPSYIAAEILLAYLAQNNVNPESTRYVFATPYVT -HELESQVVNVVLTTTQRTAAVVGKKYYDETLRNQMERVDGASDIYKQLLNELENRSTWNEGDLGSAQFVL -TSPDMMFDEMVERRIASSKVRTVITWLPNVIFYVNGNPFRKFVDGSTFAVQTTNQFENAVTFGERWTYAG -HTVRVHTMRVLDGITVISMTFGELSAWASPWLETAGNVYLDVPTLILNPQSIVDGGRILSTERKLVNLTI -LGNLRRRLLRPNTTLEDLLVQARTLLNTAQFTTNEYFSRFNVSVSAMMDTARIAWAIHENENYELSLLEV -NEYGFSLNMSLANMVGKLTNALIPTSRITAIVNTLDDDIKVNLGRLLTSWIEKIQMLTPVMMKQRKTFIN -FSDIELPTFDMRLLKWVRKNFVSTKRKAASRELVTLSTCGPCRAQKLNDVLNAFGFVVPNVREPSEGQIV -AHTNACIDHKNEHVVFYGDLFNQFMNGTSFKGYALVTATQTISNDNDVVLNDVRLDDLLKRFVNCTVRVE -ATGFEFIIANIILNSANTTFIVPPDFKFNLVKFKTGVRLQRAGSQDNIASGIVLSDTFHTLVTRNWLAYR -GLNNRLPGVDLSSFTDESPRGTTPKKKSNGKEATGTAQPIGWPGTDEFEHRYEFSSQGMEFNDHGIVVGD -RTIHFPPHMIPNFFNKLSPSRQRHLRLQWGSAEGLPGFVYDTECYDETIEDFLILQPQLQSDGTFSVAMS -GILDTERTFQLGEMLNYDLHKNIFNKNGKQVSTEKAPKILQRSVTVKSEHYVYVCSKCRSAYSFPTLLKN -AVCTNNHVVYVASGPHGLVSYSPIDFNISEQNCSDEWSIEFVTSQSLVNEEVYRPIFSEHSLFDVLPQLG -PIEVLPLRKNFDGRITLGEFIHALMFDVRDPDYPYMGVDQYMSFEGYDWLRDRLRQVGKPITAEEHAEKT -EYISSIPVWKLIELVNARTGQPMLKGMGWMVTGGISGTILTMADLGCTVTVFTSMLTLALVEKNPWILFS -SPAGFENVKPNWLDFSCCTGFAYNVAGNENDSRNDICAARTRTSKLYSTGRSLGLELAICGDLSYGIAQN -GWNCIRPYIAGRRHGSRACETPLPVDTLVPMINNVYYLHHFTSWPGAQDVELGLRCTPHQKGTTGSVVLQ -PGCILGEIDHELLDDNAYYDRDHWARSWLKTGECRWNGKKVKHVNLAFNSDGQEERLKFCGSGRKCELTT -YDKTYSDWTQDVNLVQGMTNVDSLGVVAKLTYNRNFTELEEQWLHRYMGKNQVKPSQVDESLDGPSDHEL -SQDEEGGSGRAPSSMSGSSPDARSHASGSDAGNGWYPMDEGRGWPAADDPSAEQSYWLNAEDSPGRFESW -KLETYNVTDSHGEPVTDDNGAPIQRVKWMPRNGLDFGDVDLGLNVAGEVLSVEADEAVPMSYLHGNPLTG -GTTSENLDMYYDESNIDDRWKNRQHNHHLASDEWSKQKRQAWADKLESRISSATPVIHIGQTGMMPTSHA -TSVAESPRTPSMQSNPADVVPGTIVQESSELINQSDLEAAEIKRMIDEASTGEQWLFDLLGCDANDTINL -PSSLLDELAAADSGVVEVFNSSKLASSVLHGRYKHGLDLTNTESTHFVSAKLSEIRRVSPIGLIALSKLR -SILFGLGITSEDVVHLYVLHEGVAERNTSCNKGKHVAFTTNPEKYHQRGFITVPLAKEPGRVGAVIRPIA -AMLGPSCKAYVSHGGRVNMNSLWTEHALERYISTESVNFTLSPWNSLSPYMDGYVMKTRPPEWARFISIN -LHYGQVYGIDEYNMPILGIKKCYGLTTHNRMYANLRLHPKYSELLSSIEFAPIEDPESVMPAVPLWIIEL -STHNSATMPLAWHIDGSGFKKETRGWGVSSHNDFEKWFASFIQEPVAGLRVNSEKGDPVNPSLTQGVLPN -AQFEEVFNPPVSADCMRLCIKKFLRDHEYIDDAQILDRMNIPAYCNADVVRKELFAEGINFILYFSVNDT -MMSESYTAQIGTFNTGPTINLHIKAQLAGMRHAILVKQPDLTRIRNREEPHVMWPANDKQGYESLTSRLR -GINEAVLTGDTKDERLAEFEVSSVINTVYRAKGRIDMIRKRRRDNVVTTNAVRVFGTNFFVLAPDMKIGN -GSLVIYRDSTGWVPSIVIEHGAKSYMATNDGVVPTYVIVSGAALTPPQVGQSKAGPGKSVIPSLHPKPIK -LRTGLKGGFATMADKNWAVSHNHASASLNVNPKADVVWVRSFDNRPHHNDGDLYSLVRSKIPQNLRILSG -ERESEPTPRELMSLKLEGKSFVTCIVGGELKINVLSDYLSKRGYSAVNDELTNDEMIALVTADAECKGDA -YKFLMGIWTDRFERAMQDGDDLYKSKDVSLDEIKANLPLGWEFTGTARTYSAIVYYPRWEAKASKITNKY -RGTDFFDVRTGLFFEFSERRYGYMEYIQKEVDEVVVGEKILDELNTVDQLAVKKPKNEYSGGLDHDIEQA -VRSLDLLNNLRYDDKSSEYMITATNDPQKPAVLYGCANMGDPINGEAYNIPASAITYNYWDDETAMVPGP -IELPRNNIKLRVSEMPEIIKSIDKAVLTNYPTHAQPAYTRNYNAGFNAVSELFGSKLNVRQVEHDPIEDA -KTFADTYFIQGGATNLEGVDINSQDVLNWLKSRVDTHGAEEMHKIAAEIEEILSEGLDVHGMDKFKVNMK -NESRMKDKLAELLTMDLPQPDNGMPDTINEQRIRLIVWQRKGVTACFASFFLKIKENLKRVLKPNVIYVD -GLTPTQISAELNKIHGNVTFAEDDLKKQDRQTDDTLIATEMEVYKHLGANPNVIALRRAVGRKWRGKGIG -LKFDGIDCRKTGEDVTAIGNVVVNLIVKMRLVKMLGNSLLLMLVLGDDNIIITTGHITNEMISLNSARHF -NMISEPNVSQDCGGFLRMLVYQGQSGNLECGPDVIRLRRRFEVTNGVSNNPQEVINMRAQSYACMLGDIR -PVRELNLEMGWDLQLSRWYDWHSLARATACKYACTVEYVEAELASLIKMMRDRNVIIKSKLMFTSHAM - ->ANR02699.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani endornavirus 3] -ADLFGKKLKLRDVEHDPVEDAKLFAATYFKEGSLAALPNVSIDPIEVQKWISERPDYMKISADVLDLLSS -GLDVLGIDKVNVHMKLESRMKDATIGALHELMTFNADNKMPETTEEQRIRLIVWQRKGIAAIFSPFFKQI -KEHLKRCLIDKIMYVDGMTPQQISARLNAVSGDVMFAEDDLAKQDRQTDHTLLDTEMHVYKMLGGNSSVV -DLWRNVHNNWRAKGIGLKFTGDASRHTGQATTALGNAIVNLMVKRRIVEKQGPALKLMMVLGDDNIILTE -GPITAEDITMQSARHFNMRSEASVNMHNGGFLRMLIYKTNHGNLECGPDIVRLRRRF ->AOV81688.1 polyprotein, partial [Endornavirus-like virus] -GEGGAKFSNPRLSGLSQRWHGRLDLMMQRRDNVITLKSVELEDQGLSEVRNCAHGDVLGMNSANGEVVRV -CFEFDNKTYVPYFKNDHTISAVKIGSTLEVPRPIGKKIINAETNRNAISEGFLSSNDKTWAVNNYHHKST -LNVDPNCSTLWIRNWDNRNHHGLNARDVVLKAKQICLLRNELNSVDDNLRNYVSYGGPLRVCIVNGEYVI -RWTGKKLKLIQYVKMLNASSENIKEKHLIGWSKAGWLTNGNDIEPSPGGLIKELFKSVNDSTMITSGKIL -NELTKNKLGYNDGERYEVTMSQITYPSDIEIYKNEYNSTKWHEASAGVCCIINKRGFGYMQYVQTEVSDN -RINDNVLDKYNTLDDIAQNKQSLEPMQLTESQSANINSITALNALTFKDGSDFYYVATNNDEESKTVLYG -VPTTTDPINGEAYRMPTGPRAMNYWDDETAMIDGSIELPYDNIKLQSREEFDKIKEEHKSVLTNYPSHAQ -PAYTKRRYAGLQAVSDLFGKSLTLRQVEHNPMEDAHLFARTYFRAGSSGFLEPVQLDEEAIKSWLRERNL -THASTETQKVCDDLLDVLTSGLDIRGLDKVNVHLKLESRMKDVSTRLNYLFNENVENIGMPETIEEQRVR -LIVWQRKGITAIFASFFKQLKENLKRVLKENILYVDGMTPQQISAKLNQINGEGIVFAEDDLKKQDRQTD -GTLIATEMEIYKLLGGSPAIIELWRLVHGTETDDWRAKGAGTKFVGKYRRHTGQATTAIGNVITNLTVKM -KIVNELGSRLKLMLVLGDDNIILTLPPITEEQISAHSARHFNQQSEPSVSNYCGGFLRMIVSRNNVGSLQ -CGPDVIRLRRRFEVLNGVSEASDENVKMRAMSYATMLGGLRPVEELIKKEKWPIEPSRWYDAQALFNATA -IKYDCTVEYVENELAELLRMMEERKVVTVSKLMFTSKTF diff --git a/seq/clusters_seq/cluster_898 b/seq/clusters_seq/cluster_898 deleted file mode 100644 index fa5e43d..0000000 --- a/seq/clusters_seq/cluster_898 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_010087337.1 putative nucleic acid binding protein [Ambrosia asymptomatic virus 1] -MQLLTSSRASAMKPLSTRPMVSSDSPPMQKWQPRTPTDMSTCTAQARGPQIILHLPLRFIRVDSRGPVSN -IWKLHNLALHRAPRVANAKSTTLNTRTYLMAHLNFLACLYFTKPSLPPELIQAIYKLGSGHCTEWNKSTQ -QPWGCGTSKSAIKRRIKRYNKCPNCGRERHPGRCKWSSSHAQQDIQDLLRIGAIRLQAERPIRPNSNLEQ -IVEHEMAISRQFSPK - ->YP_009553506.1 nucleic acid binding protein [Actinidia seed borne latent virus] -MEKAESFAGKTIKNWVALNKANIFLSLINSFGRDIGFKIFMMYKCKCEKEYKDQIRYQNMVNYGQGKSKS -AMKRRAIKVEHCYKCGKFEHDGHCNKNQTNSNHEYLEMFRCGPIKLKAERALRKNSMVQMSCEKFGWMIK -MSKELKEKANSGTAERV - ->YP_009174686.1 nucleotide binding protein [Nerine latent virus] -MKTLCYKDTLNTVALCLYRLCVPLDIIYLIQGKVYTNTRLYEVARQSSITPFSGTSRSAIKRRAMRENRC -YKCGKDFHKYKCRSNTTSQQEFVEYFKEGPTRFDTEKARTNSMIILYHERQLDRMEFHINKRPST - ->YP_842443.1 hypothetical protein NSV_gp6 [Narcissus symptomless virus] -MRTLCYKDTLNTIALCLYRLCVPLDIIYVIQGMVYTNTRMFEVARQSSVAPFSGTSKSAIKRRAMRENRC -YKCGRITIKNKCRSNTTSQQEFVEYFKEGPTRFNTEKARTNSMIILYHERQLERMEYYMNKRPST - diff --git a/seq/clusters_seq/cluster_899 b/seq/clusters_seq/cluster_899 deleted file mode 100644 index d6a0a44..0000000 --- a/seq/clusters_seq/cluster_899 +++ /dev/null @@ -1,42 +0,0 @@ ->YP_010087301.1 glycoprotein [Garba virus] -MKLLIIEFFAIITSFFASRCLSLEYMYFPTSVTKGFTPIHLDHLNCPYDIDDTEIENPVEVDCKILVTNL -IDVEGEVCYKQKWVTNCYENFIGQQTINHRIHHLPISADDIVHKLSLDSNLVPPDANCQWMSDTETEDTK -VICQPVTIKYDETLNLGSHPSLGTFPCVKPPCSIDKQHVFNSTDFTATNKGYKDTKVQFSTDQNGHIYET -SFVKSDVFPKMSLEGACIEMTKSASNNNHAAKIILQSGLLLEVRDAFDLGSDDSYATGNSIHVNHNLAEL -KKLLLKKSKKNSTWGQVMFDQNSRSKMTFSSDVTGKFKQFGKLFVDLRICQKNDFKRVVVPTLDFQRSMT -EMFVESKIDQLSCKKRLYEIMSTGSITGADLGLLSQNHEGPGPVYQLYKHDVTMAYGTYERFTWMPKTEG -GKQYLGYVHIGNTKKWVECPEWVPDSESTDIKWCVNGIFERNGSLYHPVFGGDNIRDLKVAYQVRNLRKV -EHLSLLLQTNNRTISNWEEYFKLESKHTFEGWNRVSHWFKGLSDEIKYIFYGLSFVFVLFCIVRLLRWRH -RSQLYLDY - ->YP_010086579.1 glycoprotein [Harrison Dam virus] -MKSQFKPVKIEDLSCPYNQFERDHNNDLKIDVEILKNNLITKTGNLCYKQKWITRCEENFFGIQTLNHSI -IDLPLDDHPTSSDSNPLFPPPDCRWLSSSEVSRDYIICKQETIRFDEVLGIGVDEQYGTFKCGEKFCRPD -KYITFLPIGGVDKMKLEGFEKVKGYLTLDQDGFVTPSSLIYSNHFPKMSLEKACLRWVEKETYKYDVELI -MNNGFLLRLPLNLVFKERGKPDTPFKPVVETNMIGHKYQIHDVLELLLARAKGTNQETKPFGFTRNNKWL -KLDNGQKFDDYFGKSKPLAHLLTMIRDCEEKDVQKITIPTMDFQTIEAEMFVESKIDQMFCKHRLYDIMT -KKRANLNDLALLSPNHGGLGPVYHRYAKDITRGIGLYRRINWQPSEKGLGYYFQNGSQIWVKCPEWVNGS -EGFRWCVNGIFELNGKVYHPYFGADNFQELIKSFEENEIRKVEHNVILHDMNNRIHETWESYLGTKEDYH -WKGINLGWIHSIQEWAKNIWIIIIVILTVFALLMLIKLTRGKRRNRHDW - ->YP_009176984.1 glycoprotein [Walkabout Creek virus] -MKSQFKPVKIEDLSCPYNQFERDHTSDLKIDVELLKNNLITKTGNLCYKQRWSTKCEENFFGIQKLNHSI -IDLPLDEHPSSSDSNPLFPPPDCRWMSSSEVSKDYTICKQETIRFDETLGIGVDEQYGTFKCEKSYCRLD -KYITFLPIGGVPQMRLEGFEKVKSYITLDQEGFVTPSSLIYSNHFPKMSLKESCMRWVDKGDYNYDIELI -TNNGFLLRLPLNINLKAKSGAILTPTIENNHISHTYHINDVIELLLARAKGIQKERKLFTFTRNHRWLKL -ADNSKLEDKFAKKDTPFIHFFTMIRDCEEKDEKRITVPTMDFQTIEAEMFVESKIDQMFCKHRLYDIMTK -GKASLNDLALLSPNHGGLGPVYHRYANDITRGIGVYRRINWHPSKEGLGYYFKDSKQVWVKCPEWVNGTD -GFRWCVNGIFELNGKVYHPYFGADNFQELIKSFEEDEVRRVKHNVILHDLGSRSHETWESYLGAKENYTW -KGFNLSWIDSIRKWANNSWMIVVGVCSGLGILLLIKITRGKRSGGADW - ->YP_009094440.1 glycoprotein [Sunguru virus] -MSLLGLAVVSFISILSNVGSVEHLYFPVEMKSQFKPVKLEDLTCPYASDDMGFPSSVKADVQLLKTNLIR -VPGTICYRQLWTIKCSENFFGVQTINKDIKDLELGHFPEKGDDKVYFPDPTCRWMSESETTAEFAICKDE -EILFDETTGLGTDNQYGSFFCQKDYCPINKYVGFKPKRPLAEIIKEGFMDIEAEFSVNSRGFVDIHSLTR -SHHYPRMSMKNACVRWRDHESKKKFDLILNNGFLIRFKSEFDIEVSPRLESNWVTKDYNTDDEVKKLINA -KSHPNHGTHFSFQRDPWAKISDSVIKKEKFKFAHLILSLRNCEKEDDKRIKIPYIDFQTTDVEMYIESKI -DQIACKRRLYEIITEKKFNLIDLGLLAPNHGGLGPVYHSYSKDISRGFGHYRRIIWDPQPEIGILGYYFD -GGEQKNVTCPEWVRKNHSLSWCVNGIFKMGGKIFHPIYGADNLEELKIAFEERDVRSVEHPAILHDLHNR -EATTWKEYHKVTDDLRWKGVNLGIWDFFDSVIGKIVACALGGLMFLILFWVSASVIMRCCVKRSFHNPNA -KRRSEEW - diff --git a/seq/clusters_seq/cluster_9 b/seq/clusters_seq/cluster_9 deleted file mode 100644 index c04f969..0000000 --- a/seq/clusters_seq/cluster_9 +++ /dev/null @@ -1,1893 +0,0 @@ ->NP_042683.1 gag-pol precursor [Jembrana disease virus] -MKLSKLEKALKKVRVTPQRDDTYTIGNVLWAIRMCRLMGLDCCIDEATAAEVAILIGRFQSLDLQDSPLK -GKDEKAILTTLKVLWSLLAGHHPENSDMAEKYWEAWTIRERESQKEEEGEITSIYPQLRKNFPAVSTSDG -SPRYDPDLTKQLKIWADATEKHGVDHHAVNILGVITANLTQSEIRLLLQSTPQWRLDIQLIESKLNAREH -AHRVWKESHPEAPKTDEIIGKGLTAAEQATLTTQECRDTYRQWVLEAALEVAQGKHDRPGPINIHQGPKE -PYPEFVNKLVTALEGMAAPETTKQYLLDHLSVDHANEDCRAVLLPLGPSAPMERKLEACRAVGSSKQKMQ -FLAEAFAAINVKGDGEVQRCYGCGKPGHIRRDCKNQKCFKCGKPGHLQRNCKSKNREALLCPFWAEERIP -SGEDFCDPVCSPVGIRLNRQPFIKIFLGGRWVRALIDTGADEVVLKDIHWDRIKGVPAASVVQVGVTGRN -IARRKSNVEWRFKNRYGIVDVLFSNTPVNLLGRSVLQSIVTKFTLAAHTKQIQPLPVKLHGPGPRVPQWP -LTLEKYKALKEIVEELLKDGKISRTPWDNPFNTPVFVIKKKGGSKWRMLMDFRALNKVTNKGQEFQIGLP -YPPGIQQCEHITAIDIKDAYFTIPLDENFRQYTAFSVVPVNREGPLERYHWNVLPQGWVCSPAIYQTTTQ -EIIAEIKDRFPDIVLYQYMDDLLIGSDRPDHKRVVSEIREELGAYGFKTPEEKIQEEQVQWLGYELTPKR -WRFQPRQIKIKKVVTVNELQQMIGNCVWVQPEVKIPLSPLSDLLKGKTDLKDKIKLTEEAIQCLETVNKR -LKDPEWKERIKEGTELVVKIQLIPEGVVYDLLQDGNPIWGGVKGWDYNHANKIKKMLSIMKKLSRIVMIM -TGREVSFLIPGDSEDWESALQRINTLTEIPEVKFYKHACRWTSVCGPVIERYPTYYTDGGKKGSKAAAAY -WREGKIRREVFPGTNQQAELKAVLMALQDGPAKMNIITDSRYAFEGMREEPETWGREGLWKEIGEELRRK -EYVGVSWVPGHKGIGGNTEVDQEVQKALQGPITVSLPQEILLEAGETKLVKTGIFWEGLRPCKLRPEEGL -KLKGSLIDEELQLEITNTQNSRVGIRQGQTIGTCFIEAIPQAIEEHEKWHTTAEILAREFQLPRRVAREI -VHRCQACKRTVSCPRRGTNPRERFLWQMDNTHLEGKIIWVAVETNSGLIEARVIPEESAQSIVFCILMLV -YRYTVYHIHSDNGPCFIAQKVEALCKYLKITKTTGIPYNPQAQAIVERTHRDIKDKIAAFREDCETVEAA -LSLTLVALNKKRGGIGGHTPYEIYLESEYNKYQEQQNHYNNFKTEKWAYVRDKRKVWKGPYKVLWDGEGA -AVVEENAMPTLYPHRHMRFIPPPNTDTQDGNL - ->NP_042684.1 gag precursor [Jembrana disease virus] -MKLSKLEKALKKVRVTPQRDDTYTIGNVLWAIRMCRLMGLDCCIDEATAAEVAILIGRFQSLDLQDSPLK -GKDEKAILTTLKVLWSLLAGHHPENSDMAEKYWEAWTIRERESQKEEEGEITSIYPQLRKNFPAVSTSDG -SPRYDPDLTKQLKIWADATEKHGVDHHAVNILGVITANLTQSEIRLLLQSTPQWRLDIQLIESKLNAREH -AHRVWKESHPEAPKTDEIIGKGLTAAEQATLTTQECRDTYRQWVLEAALEVAQGKHDRPGPINIHQGPKE -PYPEFVNKLVTALEGMAAPETTKQYLLDHLSVDHANEDCRAVLLPLGPSAPMERKLEACRAVGSSKQKMQ -FLAEAFAAINVKGDGEVQRCYGCGKPGHIRRDCKNQKCFKCGKPGHLQRNCKSKNGRRSSAPSGQRSGYH -QEKTSVTPSAPPLVLD - ->YP_009507791.1 pol polyprotein [Puma lentivirus 14] -MQGVGGTNRGEKYINVHFEAYFDKEVRCICNGKICVIPQNNLLEPLFGRDNMKLLDIKLVMANISTKIPI -VKAKLVDPNKGPKIKQWPLTNEKIEALTEIVERLETEGKVKRADPNNPWNTPIFCIKKKSGKWRMLIDFR -ELNKLTLKGAEVQLGLPHPAGLSMRKQVTVLDIGDAYFTIPLDPDYQPYTAFTLPNKNNQGPGRRYVWCS -LPQGWVLSPLIYQSTLDNILQPWRKKYPNIDVYQYMDDIYIGSDFSRLEHEKIIQELRDLLIFWGFETPE -DKLQQEPPYKWMGYTLYPNKWTIQKTKLDIPEVPTLNQLQKLAGVINWATQNVGGIKIKALTELMKGNQQ -LDSYRQWTPEALGHLQKIKAGEPKAVTLRQGPKESYKDFIDRLFQQIDQEQALEEVRIAKEAIENLTDMS -YFDNKKPVYCKFSIVGVHQVAYIVYQDQGQLWMGRMNRQKKKAENTCDIVLRAINKVRQEAIVRLGIEPV -YQIPCSREIWESYLITSIYLKNPPPEVQFIHASLMMARMLTMLTSEPIEGIETWFIDGGRRLGKKAISAY -WTSEGKEKYEYIEGSNQQAEVNALRMALVDGPSEMNIITDSQYIMNVLKQYPDSLSGLWQKIIELLQSKI -KIFLDWVPGHKNIPGNVEVDRICQENMIIEGDGIIDKREEDAGYDLIAQEDVFLMKGEVRIVPINAKIML -PEGTWGLIIGKSSIGKLGLDVLGGVIDQGYRGALNVILSNLTRHGVKISKGQKIAQLIILPYVTESLEKG -KMIMDSQRGEGGFGSTGAYVTQISSWMDNIEKAEDDHDKFHSDVVYLKQRFGIPRQVAEEIIRKCPLCMI -KGEVSYGQLKIGEGIWQIDCTHLEKLILLVCIQTTSGFVVVYNLKREDAKETGLAFLNLFSQYYVTEIQT -DNGPNFANERITGICFHFGIKHKKGIPINPQSQALVENFNRTLKVWVDKFKEVTETLEAAVQLAVHALNH -KRKGGLGGITPYELYVQQESIRIQELYSSIPHKFLKDSWIYYKDRKDKLWKGPTQVYYWGEGAVLIKDEN -NKYLLIPRRRIRRVPAPKDLTQEDGFNQTEQESNNA - ->YP_009268869.1 pol protein, partial [Ovine lentivirus] -SNITAGKQQEGATCGAVRAPYVVTEAPPKIDIKVGTNWKKVLVDTGADRTIVRYHDNSGIPTGRIKLQGI -GGIIEGEKWDKVVIQYKEKRIEGTIVVLPSSPVEVLGRDNMAKLDIGIIMANLEEKKIPITQVKLKEGCK -GPHIAQWPLTQEKLEGLKEIVDKLEKEGKVGRAPPHWTCNTPIFCIKKKSGKWRMLIDFRELNKQTEDLA -EAQLGLPHPGGLQKKKHVTILDIGDAYFTIPLYEPYRPYTCFTMLSPNNLGPCTRYYWKVLPQGWKLSPS -VYQFTMQEILRDWIAKHPMIQFGIYMDDIYIGSDLDIMKHREIVEELASYIAQYGFMLPEEKRQEGYPAK -WLGFELHPEKWRFQKHTLPEIKEGTITLNKLQKLVGDLVWRQSLIGKSIPNILKLMEGDRALQSERRIEL -RHVKEWEECRRKLAEMEGNYYDEEKDVYGQIDWGDKAIEYIVFQERGKPLWVNVVHNIKNLSQSQQIIKA -AQKLTQEVIIRIGKIPWILLPGKEEDWILELQIGNITWMPSFWSCYRGSIRWKKRNVITEVVEGPTYYTD -GGKKNGKGSLGFIASTGVKFRKHEEGTNQQLELRAIEEACKQGPEKMNIVTDSRYAYEFMRRNWDEEVIK -NPIQARIMKLVHDKEQIGVHWVPGHKGIPQNEEIDKYISEIFLAREGSGILPKRAEDAGYDLICPQEVCI -PAGQVRKIPINLRINLKEDQWAMVGTKSSFASKGVFVQGGIIDSGYQGIIQVVVYNSNDKEVIIPQGRKF -AQLILMPLIHEDLEAWGETRRTERGNQGFGSTGAYWIENIPLAEEDHSKWHQDAGSLHLDFGIPRTAAED -IVQQCEVCQENKMPSTIRGSNRRGIDHWQVDYTHYEDKIILVWVETNSGLIYAERVKGETGQEFRIMTIR -WYGLFAPKSLQSDNGPAFVAEPTQLLMKYLGITHTTGIPWNPQSQALVERTHQTLKNTIEKFVSMFASFD -SAIAAALITLNIKRKGGLGTSPMDIFIFNKEQQRIQQQSTRNQSKFRFCYYRVRKRGHPGEWLGPTQVLW -EGEGAIVIKDKNLEKYLVIAKKDVKFIPQPKEIQTE - ->YP_001856241.1 retropepsin [Human immunodeficiency virus 1] -PQVTLWQRPLVTIKIGGQLKEALLDTGADDTVLEEMSLPGRWKPKMIGGIGGFIKVRQYDQILIEICGHK -AIGTVLVGPTPVNIIGRNLLTQIGCTLNF - ->NP_787043.1 Gag-Pol Transframe peptide [Human immunodeficiency virus 1] -FLREDLAFLQGKAREFSSEQTRANSPTRRELQVWGRDNNSPSEAGADRQGTVSFNF - ->NP_758887.1 pol protein, partial [Simian immunodeficiency virus SIV-mnd 2] -FFREYPLGQWQTQELPGDAIDPNGPSNARDGRPSRENAVRLHEERATAESGKQTGKEREGSIRGSLQLPQ -FSLWNRPTTVVEIEGQKVEALLDTGADDTVIKDLDLKGNWKPQIIGGIGGSINVKQFFNCKVTIAGKTTH -ASVLVGPTPVNIVGRNVLKKLGCTLNFPVSKVETVKVTLKPGTDGPKIKQWPLSKEKILALQEICSQMEK -EGQISKIGPENPYNTPVFCIKKKDGTSWRKLVDFRQLNKVTQDFFEVQLGIPHPGGLKQCEQITVLDIGD -AYFSCPLDEDFRKYTAFTIPSVNNQGPGIRYQYNVLPQGWKGSPAIFQATADKILQPFRERHPDVVIYQY -MDDLFVGSDRVAPEHSRMIQELRDHLLFWGLETPDKKFQKEPPFEWMGYILHPKKWTVQKVQLPEKEEWT -VNDIQKLVGKLNWASQIYSGIKTKELCRLIRGAKPLDEKVEWTREAELEYEENKLIVQEEVHGVYYQPEK -PLMAKVQKLTQGQWSYQIEQEDNKPLKVGKYARTKNAHTNELRVLAGLVQKIAKEALVIWGKLPKFYLPI -EREVWDQWWPEYWQATWIPEWEFVSTPHLIGLWYNLLREPVPGEDVYYVDGAANRNSKEGKAGYVTARNK -SRVIALENTTNQKAELEAIKMALQDSGPKVNIVTDSQYAMGILSAAPDQSDNPIVREIIELMIHKEAVYL -AWVPAHKGIGGNEQVDKLVSRGVRQVLFLEGIDKAQEEHDKYHNNWRALAQDFCIPNIVAKEIVAQCPKC -QTKGEPIHGQVDTSPGTWQMDCTHMEGKVIIAAVHVASRYLEAEVIPTETGKETAHFLLKLAGRWPVKHL -HTDNGPNFTSEKVATVCWWAQIEHTTGIPYNPQSQGVIEAKNHHLKQIIGQVRDQAEKLETAVQMAVLIH -NFKRKGGIGEYSPGERIVDIIATDLLTTKLQHNIQKIQNFRVYYREGRDQQWKGPAELIWKGEGAVVIKE -GTDLKVVPRRKAKIIRDYGKTVDSDPNVEA - ->NP_758886.1 gag protein [Simian immunodeficiency virus SIV-mnd 2] -MGASASGLRGEKLDELEKIRLRPSGKKKYQLKHIIWVSKELDRFGLHEKLLESKEGCEKILSVLFPLVPT -GSENLISLYNTCCCVWCVHAKEKVTDTEEAKEKVKQKLHLVAEKENAASEKEQRAIVTPSGRSKNYPIQI -INQTPVHQGISPRTLNAWVKCIEEKKFSPEIVPMFIALSEGCLPYDLNGMLNAIGDHQGALQIVKDVINE -EAADWNLRHPQVGPMPQGVLRNPTGSDIAGTTSSIEEQIEWTTREQDAVNVGGIYKQWIVLGLQKCVSMY -NPVNILDIKQGPKEPFKDYVDRFYKALRAERTDPQVKTWMTQTLLIQNANPDCKSILKGLGMNPSLEEMP -LACQGVGGPKYKAQMMAEAMKEAQSAVMMQNSGGPPRGPPRQPPRNIRCPNCGKFGHGLRDCISPRKKGC -FKCGDLGHIMRNCPKMVNFLGNTPWGSGKPRNFPAMPLTPTAPPMPGMEDPAERMLLDYMKKGQQQKAES -KQEKKERGPYEAAYNSLSSLFGTDQLQ - ->NP_687035.1 Gag-Pol [Simian immunodeficiency virus] -MGGGHSALSGRSLDTFEKIRLRPNGKKKYQIKHLIWAGKEMERFGLHEKLLETKEGCQKIIEVLTPLEPT -GSEGLKALFNLCCVIWCIHAEQKVKDTEEAVVTVKQHYHLVDKNEKAAKKKNETTAPPGGESRNYPVVNQ -NNAWVHQPLSPRTLNAWVKCVEEKRWGAEVVPMFQALSEGCLSYDVNQMLNVIGDHQGALQILKEVINEE -AAEWDRTHRPPAGPLPAGQLRDPTGSDIAGTTSSIQEQIEWTFNANPRIDVGAQYRKWVILGLQKVVQMY -NPQKVLDIRQGPKEPFQDYVDRFYKALRAEQAPQDVKNWMTQTLLIQNANPDCKLILKGLGMNPTLEEML -IACQGVGGPQHKAKLMVEMMSNGQNMVQVGPQKKGPRGPLKCFNCGKFGHMQRECKAPRQIKCFKCGKIG -HMAKDCKNGQANFFRVWPLGRSETKKFCAIQRRHSWSGTNSPPNGNSLRSSKEAPPAVCREGTAPERGER -TDKETEGERSGGCFLELPLWRRPMKRVIIEGTPVQALLDTGADDTIIQEKDLHFPPHKPWRSKVVGGIGG -GIHVKEYQGVQVQLEDKIITGSILIGSTPINIIGRNILAQAGMKLVMGVLSSQIEETKVQLKEGKDGPKL -KQWPLSREKIEALTEICKQMEEEGKLSRIGGENPYNTPVFAIKKKDKTQWRMLVDFRELNKATQDFFEVQ -LGIPHPAGLQKKKQITVIDIGDAYYSIPLCKEFRKYTAFTIPSVNNTGPGIRYQFNCLPQGWKGSPTIFQ -NTAANILEEIKRHTPGLEIVQYMDDLWLASDHDETRHNQQVDIVRKMLLEKGLETPDKKVQREPPWEWMG -YKLHPNKWTINKIELPPLEGEWTVNKIQKVVGVLNWASQIYPGIKTKHTCAMLRGKKNLLEEIVWTEEAE -AEYKNNQGIVQETQEGTYYDPLKELIATVQKQGEGQWTYQFTQEGAVLKVGRYAKQRETHTNDLRTLAHL -VQKICKEALTIWGRLPRVQLPVDKKTWDMWWQDYWQVSWIPEWEFVSTPLLVKLWYSLVKEPIKGEDVYY -VDGAASKVTKLGKAGYLSERGKSRIRELENTTNQQAELTAVKMALEDSGENVNIVTDSQYVMNILTACPQ -ESNSPLVEQIIQALMKKRQVYLQWVPAHKGIGGNTEIDKLVSKGIRQILFLDRIEEAQDDHAKYHNNWRS -MVQEFGLPNIVAKEIVAACPKCQIRGEPKHGQVDASIETWQMDCTHLEGKVIIVAVHVASGFIEAEVIPR -ETGKETAHFLLKLLARWPVKHLHTDNGPNFTSQNVAAVCWWGNIEHTTGIPYNPQSQGSVESMNRQLKEI -ISQIRDDCERLETAVQMATHIHNFKRKGGIGGISSAERLVNMLTTQLELNTLQNQIQKILNFKVYYREGR -DPVWKGPARLIWKGEGAVVIKEGEDIKVVPRRKAKIIKDYGERKTMDSEGSMEGVREANKQMEGDSDLQD -QE - ->NP_663784.1 gag-pol fusion polyprotein [Human immunodeficiency virus 2] -MGARNSVLRGKKADELEKVRLRPGGKKKYRLKHIVWAANELDKFGLAESLLESKEGCQKILRVLDPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEEAKKLAQRHLVAETGTAEKMPNTSRPTAPPSGKRGNYPVQQA -GGNYVHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDSQHPIPGPLPAGQLRDPRGSDIAGTTSTVDEQIQWMYRPQNPVPVGNIYRRWIQIGLQKCVRKYN -PTNILDIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEAMGPSPIPFAAAQQRKAIRYWNCGKEGHSARQCRAPRRQGCWKCGKPGH -IMANCPERQAGFFRVGPTGKEASQLPRDPSPSGADTNSTSGRSSSGTVGEIYAAREKAEGAEGETIQRGD -GGLAAPRAERDTSQRGDRGLAAPQFSLWKRPVVTAYIEDQPVEVLLDTGADDSIVAGIELGDNYTPKIVG -GIGGFINTKEYKNVEIKVLNKRVRATIMTGDTPINIFGRNILTALGMSLNLPVAKIEPIKVTLKPGKDGP -RLKQWPLTKEKIEALKEICEKMEKEGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFTE -IQLGIPHPAGLAKKKRISILDVGDAYFSIPLHEDFRQYTAFTLPAVNNMEPGKRYIYKVLPQGWKGSPAI -FQYTMRQVLEPFRKANPDVILIQYMDDILIASDRTGLEHDKVVLQLKELLNGLGFSTPDEKFQKDPPFQW -MGCELWPTKWKLQKLQLPQKDIWTVNDIQKLVGVLNWAAQIYSGIKTKHLCRLIRGKMTLTEEVQWTELA -EAELEENKIILSQEQEGYYYQEEKELEATIQKSQGHQWTYKIHQEEKILKVGKYAKIKNTHTNGVRLLAQ -VVQKIGKEALVIWGRIPKFHLPVERETWEQWWDNYWQVTWIPEWDFVSTPPLVRLTFNLVGDPIPGAETF -YTDGSCNRQSKEGKAGYVTDRGKDKVKVLEQTTNQQAELEVFRMALADSGPKVNIIVDSQYVMGIVAGQP -TESENRIVNQIIEEMIKKEAVYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHSIIK -ELTHKFGIPLLVARQIVNSCAQCQQKGEAIHGQVNAEIGVWQMDYTHLEGKIIIVAVHVASGFIEAEVIP -QESGRQTALFLLKLASRWPITHLHTDNGPNFTSQEVKMVAWWVGIEQSFGVPYNPQSQGVVEAMNHHLKN -QISRIREQANTIETIVLMAVHCMNFKRRGGIGDMTPAERLINMITTEQEIQFLQRKNSNFKNFQVYYREG -RDQLWKGPGELLWKGEGAVIVKVGTDIKVVPRRKAKIIRDYGGRQELDSSPHLEGAREDGEMACPCQVPE -IQNKRPRGGALCSPPQGGMGMVDLQQGNIPTTRKKSSRNTGILEPNTRKRMALLSCSKINLVYRKVLDRC -YPRLCRHPNT - ->NP_056837.1 gag polyprotein [Human immunodeficiency virus 2] -MGARNSVLRGKKADELEKVRLRPGGKKKYRLKHIVWAANELDKFGLAESLLESKEGCQKILRVLDPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEEAKKLAQRHLVAETGTAEKMPNTSRPTAPPSGKRGNYPVQQA -GGNYVHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDSQHPIPGPLPAGQLRDPRGSDIAGTTSTVDEQIQWMYRPQNPVPVGNIYRRWIQIGLQKCVRKYN -PTNILDIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEAMGPSPIPFAAAQQRKAIRYWNCGKEGHSARQCRAPRRQGCWKCGKPGH -IMANCPERQAGFLGLGPRGKKPRNFPVTQAPQGLIPTAPPADPAAELLERYMQQGRKQREQRERPYKEVT -EDLLHLEQRETPHREETEDLLHLNSLFGKDQ - ->NP_054369.1 gag protein [Simian immunodeficiency virus] -MGGGHSALSGRSLDTFEKIRLRPNGKKKYQIKHLIWAGKEMERFGLHEKLLETKEGCQKIIEVLTPLEPT -GSEGLKALFNLCCVIWCIHAEQKVKDTEEAVVTVKQHYHLVDKNEKAAKKKNETTAPPGGESRNYPVVNQ -NNAWVHQPLSPRTLNAWVKCVEEKRWGAEVVPMFQALSEGCLSYDVNQMLNVIGDHQGALQILKEVINEE -AAEWDRTHRPPAGPLPAGQLRDPTGSDIAGTTSSIQEQIEWTFNANPRIDVGAQYRKWVILGLQKVVQMY -NPQKVLDIRQGPKEPFQDYVDRFYKALRAEQAPQDVKNWMTQTLLIQNANPDCKLILKGLGMNPTLEEML -IACQGVGGPQHKAKLMVEMMSNGQNMVQVGPQKKGPRGPLKCFNCGKFGHMQRECKAPRQIKCFKCGKIG -HMAKDCKNGQANFLGYGHWGGAKPRNFVQYRGDTVGLEPTAPPMETAYDPAKKLLQQYAEKGQRLREERE -QTRKQKEKEVEDVSLSSLFGGDQ - ->NP_041249.1 gag protein [Ovine lentivirus] -MATQGSKEKKGYPELKEVIKTTCKIKVGPGKETLTEGNCLWALKTLDFIFEDIKTEPWTLTKMYTVWEKL -KQVTPEETSKREFASLQATLACIMCSQMGMRPETVQAARGIISMKEGLHEKQEDKEKKVEQLYPNLEKHR -EVYPIVNLQAGGRSWKAVESVTFQQLQTVAMQHGLVSEDFERQLAYYATTWTSKDILEVLAMMPGNRAQK -ELIQGKLNEEAERWVRQNPPGPNVLTVDQIMGVGQTNQQASQANMDQARQLCLQWVITALRSVRHMSHRP -GNPMLIKQKNSESYEDFIARLLEAIDTEPVTDPIKTYLKVTLSFTNASTDCQKQMDRVLGTRVQQASVEE -KMQACRDVGSEGFKMQLLAQALRPPRKEGKQGVQKCYYCGKPGHLARQCRQGIICHHCGKRGHMQKDCRQ -KKGNPTSQQGNSRRGPRVVPSAPPML - ->NP_040938.1 gag protein [Caprine arthritis encephalitis virus] -MVSLDRDMARQVSGGKRDYPELEKCIKHACKIKVRLRGEHLTEGNCLWCLKTLDYMFEDHKEEPWTKVKF -RTIWQKVKNLTPEESNKKDFMSLQATLAGLMCCQMGMRPETLQDAMATVIMKDGLLEQEEKKEDKREKEE -SVFPIVVQAAGGRSWKAVDSVMFQQLQTVAMQHGLVSEDFERQLAYYATTWTSKDILEVLAMMPGNRAQK -ELIQGKLNEEAERWRRNNPPPPAGGGLTVDQIMGVGQTNQAAAQANMDQARQICLQWVINALRAVRHMAH -RPGNPMLVKQKTNEPYEDFAARLLEAIDAEPVTQPIKDYLKLTLSYTNASADCQKQMDRTLGQRVQQASV -EEKMQACRDVGSEGFKMQLLAQALRPGKGKGNGQPQRCYNCGKPGHQARQCRQGIICHNCGKRGHMQKEC -RGKRDIRGKQQGNGRRGIRVVPSAPPME - ->NP_040840.1 pol polyprotein [Visna-maedi virus] -MPSLWKKRTYAKGLPAEETAGKQQEGATCGAVRAPYVVTEAPPKIEIKVGTRWKKLLVDTGADKTIVTSH -DMSGIPKGRIILQGIGGIIEGEKWEQVHLQYKDKIIRGTIVVLATSPVEVLGRDNMRELGIGLIMANLEE -KKIPSTRVRLKEGCKGPHIAQWPLTQEKLEGLKEIVDRLEKEGKVGRAPPHWTCNTPIFCIKKKSGKWRM -LIDFRELNKQTEDLAEAQLGLPHPGGLQRKKHVTILDIGDAYFTIPLYEPYRQYTCFTMLSPNNLGPCVR -YYWKVLPQGWKLSPAVYQFTMQKILRGWIEEHPMIQFGIYMDDIYIGSDLGLEEHRGIVNELASYIAQYG -FMLPEDKRQEGYPAKWLGFELHPEKWKFQKHTLPEITEGPITLNKLQKLVGDLVWRQSLIGKSIPNILKL -MEGDRALQSERYIESIHVREWEACRQKLKEMEGNYYDEEKDIYGQLDWGNKAIEYIVFQEKGKPLWVNVV -HSIKNLSQAQQIIKAAQKLTQEVIIRTGKIPWILLPGREEDWILELQMGNINWMPSFWSCYKGSVRWKKR -NVIAEVVPGPTYYTDGGKKNGRGSLGYITSTGEKFRIHEEGTNQQLELRAIEEACKQGPEKMNIVTDSRY -AYEFMLRNWDEEVIRNPIQARIMELVHNKEKIGVHWVPGHKGIPQNEEIDRYISEIFLAKEGRGILQKRA -EDAGYDLICPQEISIPAGQVKRIAIDLKINLKKDQWAMIGTKSSFANKGVFVQGGIIDSGYQGTIQVVIY -NSNNKEVVIPQGRKFAQLILMPLIHEELEPWGETRKTERGEQGFGSTGMYWIENIPLAEEEHNKWHQDAV -SLHLEFGIPRTAAEDIVQQCDVCQENKMPSTLRGSNKRGIDHWQVDYTHYEDKIILVWVETNSGLIYAER -VKGETGQEFRVQTMKWYAMFAPKSLQSDNGPAFVAESTQLLMKYLGIEHTTGIPWNPQSQALVERTHQTL -KNTLEKLIPMFNAFESALAGTLITLNIKRKGGLGTSPMDIFIFNKEQQRIQQQSKSKQEKIRFCYYRTRK -RGHPGEWQGPTQVLWGGDGAIVVKDRGTDRYLVIANKDVKFIPPPKEIQKE - ->NP_040839.1 gag protein [Visna-maedi virus] -MAKQGSKEKKGYPELKEVIKATCKIRVGPGKETLTEGNCLWALKTIDFIFEDLKTEPWTITKMYTVWDRL -KGLTPEETSKREFASLQATLACIMCSQMGMKPETVQAAKGIISMKEGLHENKEAKGEKVEQLYPNLEKHR -EVYPIVNLQAGGRSWKAVESVVFQQLQTVAMQHGLVSEDFERQLAYYATTWTSKDILEVLAMMPGNRAQK -ELIQGKLNEEAERWVRQNPPGPNVLTVDQIMGVGQTNQQASQANMDQARQICLQWVITALRSVRHMSHRP -GNPMLVKQKNTESYEDFIARLLEAIDAEPVTDPIKTYLKVTLSYTNASTDCQKQMDRTLGTRVQQATVEE -KMQACRDVGSEGFKMQLLAQALRPQGKAGQKGVNQKCYNCGKPGHLARQCRQGIICHHCGKRGHMQKDCR -QKKQQGNNRRGPRVVPSAPPML - ->NP_040563.1 reverse transcriptase, partial [Bovine immunodeficiency virus] -TAKMLPLWQTWPPSKKLQVKKREVLLCPLWAEEPTTEQFSPEQHEFCDPICTPSYIRLDKQPFIKVFIGG -RWVKGLVDTGADEVVLKNIHWDRIKGYPGTPIKQIGVNGVNVAKRKTHVEWRFKDKTGIIDVLFSDTPVN -LFGRSLLRSIVTCFTLLVHTEKIEPLPVKVRGPGPKVPQWPLTKEKYQALKEIVKDLLAEGKISEAAWDN -PYNTPVFVIKKKGTGRWRMLMDFRELNKITVKGQEFSTGLPYPPGIKECEHLTAIDIKDAYFTIPLHEDF -RPFTAFSVVPVNREGPIERFQWNVLPQGWVCSPAIYQTTTQKIIENIKKSHPDVMLYQYMDDLLIGSNRD -DHKQIVQEIRDKLGSYGFKTPDEKVQEERVKWIGFELTPKKWRFQPRQLKIKNPLTVNELQQLVGNCVWV -QPEVKIPLYPLTDLLRDKTNLQEKIQLTPEAIKCVEEFNLKLKDPEWKDRIREGAELVIKIQMVPRGIVF -DLLQDGNPIWGGVKGLNYDHSNKIKKILRTMNELNRTVVIMTGREASFLLPGSSEDWEAALQKEESLTQI -FPVKFYRHSCRWTSICGPVRENLTTYYTDGGKKGKTAAAVYWCEGRTKSKVFPGTNQQAELKAICMALLD -GPPKMNIITDSRYAYEGMREEPETWAREGIWLEIAKILPFKQYVGVGWVPAHKGIGGNTEADEGVKKALE -QMAPCSPPEAILLKPGEKQNLETGIYMQGLRPQSFLPRADLPVAITGTMVDSELQLQLLNIGTEHIRIQK -DEVFMTCFLENIPSATEDHERWHTSPDILVRQFHLPKRIAKEIVARCQECKRTTTSPVRGTNPRGRFLWQ -MDNTHWNKTIIWVAVETNSGLVEAQVIPEETALQVALCILQLIQRYTVLHLHSDNGPCFTAHRIENLCKY -LGITKTTGIPYNPQSQGVVERAHRDLKDRLAAYQGDCETVEAALSLALVSLNKKRGGIGGHTPYEIYLES -EHTKYQDQLEQQFSKQKIEKWCYVRNRRKEWKGPYKVLWDGDGAAVIEEEGKTALYPHRHMRFIPPPDSD -IQDGSS - ->NP_040562.1 gag polyprotein [Bovine immunodeficiency virus] -MKRRELEKKLRKVRVTPQQDKYYTIGNLQWAIRMINLMGIKCVCDEECSAAEVALIITQFSALDLENSPI -RGKEEVAIKNTLKVFWSLLAGYKPESTETALGYWEAFTYREREARADKEGEIKSIYPSLTQNTQNKKQTS -NQTNTQSLPAITTQDGTPRFDPDLMKQLKIWSDATERNGVDLHAVNILGVITANLVQEEIKLLLNSTPKW -RLDVQLIESKVREKENAHRTWKQHHPEAPKTDEIIGKGLSSAEQATLISVECRETFRQWVLQAAMEVAQA -KHATPGPINIHQGPKEPYTDFINRLVAALEGMAAPETTKEYLLQHLSIDHANEDCQSILRPLGPNTPMEK -KLEACRVVGSQKSKMQFLVAAMKEMGIQSPIPAVLPHTPEAYASQTSGPEDGRRCYGCGKTGHLKRNCKQ -QKCYHCGKPGHQARNCRSKNGKCSSAPYGQRSQPQNNFHQSNMSSVTPSAPPLILD - ->sp|P35956.2|POL_VILVK RecName: Full=Gag-Pol polyprotein; Contains: RecName: Full=Matrix protein p16; Contains: RecName: Full=Capsid protein p25; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN -MAKQGSKEKKGYPELKEVIKATCKIRVGPGKETLTEGNCLWALKTIDFIFEDLKTEPWTITKMYTVWDRL -KGLTPEETSKREFASLQATLACIMCSQMGMKPETVQAAKGIISMKEGLHENKEAKGEKVEQLYPNLEKHR -EVYPIVNLQAGGRSWKAVESVVFQQLQTVAMQHGLVSEDFERQLAYYATTWTSKDILEVLAMMPGNRAQK -ELIQGKLNEEAERWVRQNPPGPNVLTVDQIMGVGQTNQQASQANMDQARQICLQWVITALRSVRHMSHRP -GNPMLVKQKNTESYEDFIARLLEAIDAEPVTDPIKTYLKVTLSYTNASTDCQKQMDRTLGTRVQQATVEE -KMQACRDVGSEGFKMQLLAQALRPQGKAGQKGVNQKCYNCGKPGHLARQCRQGIICHHCGKRGHMQKDCR -QKKQQGKQQEGATCGAVRAPYVVTEAPPKIEIKVGTRWKKLLVDTGADKTIVTSHDMSGIPKGRIILQGI -GGIIEGEKWEQVHLQYKDKIIRGTIVVLATSPVEVLGRDNMRELGIGLIMANLEEKKIPSTRVRLKEGCK -GPHIAQWPLTQEKLEGLKEIVDRLEKEGKVGRAPPHWTCNTPIFCIKKKSGKWRMLIDFRELNKQTEDLA -EAQLGLPHPGGLQRKKHVTILDIGDAYFTIPLYEPYRQYTCFTMLSPNNLGPCVRYYWKVLPQGWKLSPA -VYQFTMQKILRGWIEEHPMIQFGIYMDDIYIGSDLGLEEHRGIVNELASYIAQYGFMLPEDKRQEGYPAK -WLGFELHPEKWKFQKHTLPEITEGPITLNKLQKLVGDLVWRQSLIGKSIPNILKLMEGDRALQSERYIES -IHVREWEACRQKLKEMEGNYYDEEKDIYGQLDWGNKAIEYIVFQEKGKPLWVNVVHSIKNLSQAQQIIKA -AQKLTQEVIIRTGKIPWILLPGREEDWILELQMGNINWMPSFWSCYKGSVRWKKRNVIAEVVPGPTYYTD -GGKKNGRGSLGYITSTGEKFRIHEEGTNQQLELRAIEEACKQGPEKMNIVTDSRYAYEFMLRNWDEEVIR -NPIQARIMELVHNKEKIGVHWVPGHKGIPQNEEIDRYISEIFLAKEGRGILQKRAEDAGYDLICPQEISI -PAGQVKRIAIDLKINLKKDQWAMIGTKSSFANKGVFVQGGIIDSGYQGTIQVVIYNSNNKEVVIPQGRKF -AQLILMPLIHEELEPWGETRKTERGEQGFGSTGMYWIENIPLAEEEHNKWHQDAVSLHLEFGIPRTAAED -IVQQCDVCQENKMPSTLRGSNKRGIDHWQVDYTHYEDKIILVWVETNSGLIYAERVKGETGQEFRVQTMK -WYAMFAPKSLQSDNGPAFVAESTQLLMKYLGIEHTTGIPWNPQSQALVERTHQTLKNTLEKLIPMFNAFE -SALAGTLITLNIKRKGGLGTSPMDIFIFNKEQQRIQQQSKSKQEKIRFCYYRTRKRGHPGEWQGPTQVLW -GGDGAIVVKDRGTDRYLVIANKDVKFIPPPKEIQKE - ->sp|P12497.4|POL_HV1N5 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGELDKWEKIRLRPGGKKQYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTIAVLYCVHQRIDVKDTKEALDKIEEEQNKSKKKAQQAAADTGNNSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTHNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNPATIMIQKGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLREDLAFPQGKAREFSSEQTRANSPTRRELQVWGRDNNSLSEAGADRQGTVSFSFPQ -ITLWQRPLVTIKIGGQLKEALLDTGADDTVLEEMNLPGRWKPKMIGGIGGFIKVRQYDQILIEICGHKAI -GTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICTEMEK -EGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKQKKSVTVLDVGD -AYFSVPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQCSMTKILEPFRKQNPDIVIYQY -MDDLYVGSDLEIGQHRTKIEELRQHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIVLPEKDSWT -VNDIQKLVGKLNWASQIYAGIKVRQLCKLLRGTKALTEVVPLTEEAELELAENREILKEPVHGVYYDPSK -DLIAEIQKQGQGQWTYQIYQEPFKNLKTGKYARMKGAHTNDVKQLTEAVQKIATESIVIWGKTPKFKLPI -QKETWEAWWTEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIIGAETFYVDGAANRETKLGKAGYVTDRGR -QKVVPLTDTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKEKVYL -AWVPAHKGIGGNEQVDGLVSAGIRKVLFLDGIDKAQEEHEKYHSNWRAMASDFNLPPVVAKEIVASCDKC -QLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWPVKTV -HTDNGSNFTSTTVKAACWWAGIKQEFGIPYNPQSQGVIESMNKELKKIIGQVRDQAEHLKTAVQMAVFIH -NFKRKGGIGGYSAGERIVDIIATDIQTKELQKQITKIQNFRVYYRDSRDPVWKGPAKLLWKGEGAVVIQD -NSDIKVVPRRKAKIIRDYGKQMAGDDCVASRQDED - ->sp|Q9QBZ9.2|POL_HV197 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDKWEKIQLRPGGKKKYRLKHLVWASRELERFALNPNLLETVEGCRQIIRQLQPSLQT -GSEELRSLFNTVATLYWVHQSIQVRDTKEALDKLEEEQNRTQQKTQQGKADKGVSQNYPIVQNLQGQMVH -QALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -MHPVQAGPIPPGQIREPRGSDIAGTTSTLQEQITWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPVSILD -IRQGPKEPFRDYVDRFFRVLRAEQATQEVKNWMTETLLVQNANPDCRTILKALGSGATLEEMMTACQGVG -GPGHKARVLAEAMSQVTNSAVMMQRGNFKGQRRIIKCFNCGKEGHLARNCRAPRKKGCWKCGKEGHQMKD -CSERQANFFREVLASQQREARKFSSEQTRANSPTSRELWVRGEDNPLSETGNERSGTGSSFNFPQITLWQ -RPVVTVKVGGQLREALLDTGADDTVLEEINLPGKWKPKMIGGIGGFIKVRQYDQVCMEICGQKAIGTVLV -GPTPVNIIGRNMLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICTEMEKEGKIS -KIGPENPYNTPVFAIKKKDSTKWIKLVDFRELNKRTPDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYFSV -PLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQCSMTKILEPFRRKNPDMVLYQYMDDLY -VGSDLEIGQHRAKIEELREHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPDKDSWTVNDIQ -KLVGKLNWASQIFPGIKVKQLCKLLRGVKALTDIVPLTAEAELELAENREILKEPVHGVYYDPSKDLIAE -IQKQGHGQWTYQIYQEPYKNLKTGKYARIRSAHTNDVKQLTEVVQKVAMESIVIWGKTPKFRLPIQKETW -GTWWTEYWQATWIPEWEFVNTPPLVKLWYQLETEPIVGAETFYVDGAANRETKQGKAGYVTDKGRQKVIS -ITETTNQKTELQAIHLALQDSGSEVNIVTDSQYALGIIQAQPDKSESELVNQIIEQLIKKDRVYLSWVPA -HKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNLPPVVAKEIVASCDKCQLKGE -AIHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVRVIHTDNG -SNFTSAVVKAACWWADIKQEFGIPYNPQSQGVVESMNKELKKIIGQVREQAEHLKTAVQMAVFIHNFKRK -GGIGGYSAGERIIDIIATDIQTKELQKQITKIQNFRVYYRDSREPIWKGPAKLLWKGEGAVVIQNSEIKV -VPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|P0C6F2.1|POL_HV1LW RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEECRSLYNTVATLYCVHQRIEIKDTKEALDKIKEEQNKSKKKAQQAAADTGHSSQVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRVHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKIVKCFNCGKEGHIARNCRAPRKKGCWKCGKEG -HQMKDCTERQANFFREDLAFLQGKAREFSSEQTRANSPTRRELQVWGRDNNSPSEAGADRQGTVSFNFPQ -ITLWQRPLVTIKIGGQLKEALLDTGADDTVLEEMSLPGRWKPKMIGGIGGFIKVRQYDQILIEICGHKAI -GTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICTEMEK -EGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGD -AYFSVPLDEDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPDIVIYQY -MDDLYVGSDLEIGQHRTKIEELRQHLLRWGLTTPDKKHQKEPPFLWMGYELHPDKWTVQPIVLPEKDSWT -VNDIQKLVGKLNWASQIYPGIKVRQLCKLLRGTKALTEVIPLTEEAELELAENREILKEPVHGVYYDPSK -DLIAEIQKQGQGQWTYQIYQEPFKNLKTGKYARMRGTHTNDVKQLTEAVQKITTESIVIWGKTPKFKLPI -QKETWETWWTEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIVGAETFYVDGAANRETKLGKAGYVTNKGR -QKVVPLTNTTNQKTELQAIYLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVNQIIEQLIKKEKVYL -AWVPAHKGIGGNEQVDKLVSAGIRKILFLDGIDKAQDEHEKYHSNWRAMASDFNLPPVVAKEIVASCDKC -QLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWPVKTI -HTDNGSNFTSATVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIH -NFKRKGGIGGYSAGERIVDIIATDIQTKELQKQITKIQNFRVYYRDSRNPLWKGPAKLLWKGEGAVVIQD -NSDIKVVPRRKAKIIRDYGKQMAGDDCVASRQDED - ->sp|O12158.2|POL_HV192 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASILRGGKLDAWERIKLKPGGKKHYMMKHLVWASRELERFALDPGLLETSEGCKQIMKQLQPALQT -GTKELISLHNTVATLYCVHEKIDVRDTKEALDKIKEEQNKSQQKTQQAEAADKGKVSQNYPIVQNLQGQM -VHQPISARTLNAWVKVVEEKAFSPEVIPMFTALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEW -DRLHPVHAGPVAPGQMREPRGSDIAGTTSTLQEQITWMTNNPPVPVGDIYKRWIILGLNKIVRMYSPVSI -LDIKQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTDTLLVQNANPDCKTILRALGPGASLEEMMTACQG -VGGPGHKARVLAEAMSKVNNTNIMMQRSNCKGPKRTIKCFNCGKEGHLARNCRAPRKKGCWKCGKEGHQV -KDCTERQANFFRENLAFPQGEARKSSSEQNRANSPTRRELQVWGRDNNSLSEAGDDRQGTALNFPQITLW -QRPLVNIKVGGQLKEALLDTGADDTVLEEIKLPGNWKPKMIGGIGGFIKVRQYDQILIEICGKKAIGTVL -VGPTPVNIIGRNMLTQLGCTLNFPISPIETVPVKLKPGMDGPKVKQWLLTEEKIKALTAICDEMEREGKI -TKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTWDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYFS -VPLDEGFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPSIFQSSTTKILEPFRAQNPEIIIYQYMDDL -YVGSDLEIGQHRAKIEELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEKDSWTVNDI -QKLVGKLNWASQIYPGIKVRQLCKLLRGAKALTDIVPLTEEAELELAENREILKEPVHGVYYDPSKDLIA -EIQKQGQNQWTYQIYQEPFKNLKTGKYAKMRTAHTNDVRQLTEAVQKIALESIIIWGKTPKFRLPIQKET -WEAWWTDYWQATWIPEWEFVNTPPLVKLWYQLEKEPIAGAETFYVDGAANREIKMGKAGYVTDRGRQKIV -SITETTNQKTELQAIQLALQDSGSEVNIVTDSQYALGIIQAQPDKSESELVNQIIEQLIKKERVYLSWVP -AHKGIGGNEQVDKLVSSGIRKVLFLDGINKAQEEHEKYHSNWRAMASEFNLPPIVAKEIVASCDKCQLKG -EATHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKVIHTDN -GSNFISNTVKAACWWAGIQQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIHNFKR -KGGIGGYSAGERIIDIIATDIQTKELQKQIMKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAVVLQDNSDI -KVVPRRKVKIIKDYGKQMAGADCMASRQDED - ->sp|Q1A267.4|POL_SIVMB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLTGGKLDRWEKIYLRPGGKKKYMMKHLVWASRELERFACNPSLMETTEGCKQLLQQLEPALKT -GSEGLRSLFNTIVVLWCVHQGIPVKDTKEALDQLQEAQQKGKQEVAAATADGTSTVSRNFPIVANAQGQM -VHQPISPRTLNAWVKVVEEKAFSPEVIPMFMALSEGATPQDLNTMLNTVGGHQAAMQMLKEVINEEAAEW -DRLHPVHAGPVPPGQMREPRGSDIAGTTSTIQEQVGWMTSNPPIPVGDIYKRWIILGLNKIVKMYCPVSI -LDIKQGPKESFRDYVDRFYKTLRAEQATQEVKNWMTDTLLVQNANPDCKSILRALGPGATLEGDEPAFQG -VGGPSHKARVLAEAMSQAQHSNDAKRQFKGPKRIVKCFNCGKEGHIARNCKAPRRKGCWKCGQEGHQMRN -CTNERQANFFRETLAFQQGKAREFPSEETRTNSSTNRELRVQGGGTCPEGGSEERGDREQAVSSANFPQI -SLWQRPVVTVRIEGQLKEALLDTGADDTVLEEIELGGRWKPKMIGGIGGFIKVRQYDNVTIDICGKRAVG -TVLVGPTPVNIIGRNILTQIGCTLNFPISPIETVPVSLKPGMDGPRVKQWPLTEEKIRALTEICTEMEKE -GKISRVGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKQKKSVTVLDVGDA -YFSCPLDENFRKYTAFTIPSVNNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPEIIIYQYM -DDLYVGSDLKIELHREKVEELRAHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEKESWTV -NDIQKLIGKLNWACQIYPGIRVKQLCKLIRGTKALTEVVTFTTEAELELAENREILKEPVHGAYYDPSKE -LIAEIQKQGQGQWTYQIFQEQYKNLKTGKYARMRSAHTNDVKQLTEVVQKVALESIVIWGKVPRFRLPIQ -KETWEAWWTDYWQATWIPEWEYVNTPPLVKLWYQLEQDPIPGAETFYVDGAANRETKLGKAGYVTDKGRQ -KIISLTETTNQKAELQAIQLALQDSEVEVNIVTDSQYALGIIQGQPDTSESEIVNQIIEELIKKEKVYLS -WVPAHKGIGGNEQIDKLVSSGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNLPPIVAKEIVANCDKCQ -LKGEAIHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYMEAEVIPAETGQETAYFILKLAGRWPVKVIH -TDNGSNFTSSTVKAACWWAGIQQEFGIPYNPQSQGVVESMNKELKKIIGQIRDQAEHLKTAVQMAVFIHN -FKRKGGIGGYSAGERIIDILATDIQTTKLQQQISNIQKFRVYYRDSRDPIWKGPAKLLWKGEGAVVLQDQ -EEIKVVPRRKAKIIRDYGKQMAGDDCVASRQDENQNME - ->sp|Q8AII1.4|POL_SIVTN RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLRGDKLDTWESIRLKSRGRKKYLIKHLVWAGSELQRFAMNPGLMENVEGCWKIILQLQPSVDI -GSPEIISLFNTICVLYCVHAGERVQDTEEAVKIVKMKLTVQKNNSTATSSGQRQNAGEKEETVPPSGNTG -NTGRATETPSGSRLYPVITDAQGVARHQPISPRTLNAWVRVIEEKGFNPEVIPMFSALSEGATPYDLNSM -LNAVGEHQAAMQMLKEVINEEAAEWDRAHPAHAGPQQAGMLREPTGADIAGTTSTLQEQVLWMTTPQAQG -GVPVGDIYKRWIILGLNKLVRMYSPVSILDIKQGPKEPFRDYVDRFYKTIRAEQASQPVKTWMTETLLVQ -NANPDCKHILKALGQGATLEEMLTACQGVGGPSHKAKILAEAMASATAGGVNMLQGGKRPPLKKGQLQCF -NCGKVGHTARNCRAPRKKGCWRCGQEGHQMKDCTTRNNSTGVNFFRETHPLVGVQTRELCAEHPREREGS -GAGDSTDTSGANCPTTGDDDERRVLPQVNLWQRPMMTVKVQGQVCQALLDTGADDSVFCNIKLKGQWTPK -TIGGIGGFVPVSEYYNIPVQIGNKEVRATVLVGETPINIIGRNILKQLGCTLNFPISPIEVVKVQLKEGM -DGPKVKQWPLSKEKIEALTEICKTLEKEGKISAVGPENPYNTPIFAIKKKDTSKWRKLVDFRELNKRTQD -FWELQLGIPHPAGLRKRNMVTVLDVGDAYFSIPLDPDFRKYTAFTIPSLNNNTPGKRFQYNVLPQGWKGS -PAIFQSSMTKILDPFRKEHPDVDIYQYMDDLYIGSDLNEEEHRKLIKKLRQHLLTWGLETPDKKYQEKPP -FMWMGYELHPNKWTVQNITLPEPEQWTVNHIQKLVGKLNWASQIYHGIKTKELCKLIRGVKGLTEPVEMT -REAELELEENKQILKEKVQGAYYDPKLPLQAAIQKQGQGQWTYQIYQEEGKNLKTGKYAKSPGTHTNEIR -QLAGLIQKIGNESIIIWGIVPKFLLPVSKETWSQWWTDYWQVTWVPEWEFINTPPLIRLWYNLLSDPIPE -AETFYVDGAANRDSKKGRAGYVTNRGRYRSKDLENTTNQQAELWAVDLALKDSGAQVNIVTDSQYVMGVL -QGLPDQSDSPIVEQIIQKLTQKTAIYLAWVPAHKGIGGNEEVDKLVSKNIRKILFLDGINEAQEDHDKYH -SNWKALADEYNLPPVVAKEIIAQCPKCHIKGEAIHGQVDYSPEIWQIDCTHLEGKVIIVAVHVASGFIEA -EVIPEETGRETAYFILKLAGRWPVKKIHTDNGPNFTSTAVKAACWWAQIQHEFGIPYNPQSQGVVESMNK -QLKQIIEQVRDQAEQLRTAVIMAVYIHNFKRKGGIGEYTAGERLLDILTTNIQTKQLQKQILKVQNFRVY -YRDARDPIWKGPARLLWKGEGAVVIKEGEDIKVVPRRKAKIIKEYGKQMAGAGGMDDRQNET - ->sp|P05895.2|POL_SIVVT RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGAATSALNRRQLDKFEHIRLRPTGKKKYQIKHLIWAGKEMERFGLHERLLESEEGCKKIIEVLYPLEPT -GSEGLKSLFNLVCVLFCVHKDKEVKDTEEAVAIVRQCCHLVEKERNAERNTTETSSGQKKNDKGVTVPPG -GSQNFPAQQQGNAWIHVPLSPRTLNAWVKAVEEKKFGAEIVPMFQALSEGCTPYDINQMLNVLGDHQGAL -QIVKEIINEEAAQWDIAHPPPAGPLPAGQLRDPRGSDIAGTTSTVQEQLEWIYTANPRVDVGAIYRRWII -LGLQKCVKMYNPVSVLDIRQGPKEAFKDYVDRFYKAIRAEQASGEVKQWMTESLLIQNANPDCKVILKGL -GMHPTLEEMLTACQGVGGPSYKAKVMAEMMQNMQSQNMMQQGGQRGRPRPPVKCYNCGKFGHMQRQCPEP -RKMRCLKCGKPGHLAKDCRGQVNFFRVWTVDGGKTEKFSRRYSWSGTECASSTERHHPIRPSKEAPAAIC -RERETTEGAKEESTGNESGLDRGIFFELPLWRRPIKTVYIEGVPIKALLDTGADDTIIKENDLQLSGPWR -PKIIGGIGGGLNVKEYNDREVKIEDKILRGTILLGATPINIIGRNLLAPAVPRLVMGQLSEKIPVTPVKL -KEGARGPCVRQWPLSKEKIEALQEICSQLEQEGKISRVGGENAYNTPIFCIKKKDKSQWRMLVDFRELNK -ATQDFFEVQLGIPHPAGLRKMRQITVLDVGDAYYSIPLDPNFRKYTAFTIPTVNNQGPGIRYQFNCLPQG -WKGSPTIFQNTAASILEEIKRNLPALTIVQYMDDLWVGSQENEHTHDKLVEQLRTKLQAWGLETPEKKMQ -KEPPYEWMGYKLWPHKWELSRIQLEEKDEWTVNDIQKLVGKLNWAAQLYPGLKTRICKLITGGKKNLLEL -VAWTPEAEAEYAENAEILKTEQEGTYYKPGIPIRAAVQKLEGGQWSYQFKQEGQVLKVGKYTKQKNTHTN -ELRTLAGLVQKICKEALVIWGILPVLELPIEREVWEQWWADYWQVSWIPEWDFVSTPPLLKLWYTLTKEP -IPKEDVYYVGACNRNSKEGKAGYISQYGKQRVETLENTTNQQAKLTAIKMALEDSGPNVNIVTDSQYAMG -ILTAQPTQSDSPLVEQIIALMIQKQQIYLQWVPAHKGIGGNEEIDKLVSKGIRRVLFLEKIEEAQEKHER -YHNNWKNLADTYGLPQIVAKEIVAMCPKCQIKGEPVHGQVDASPGTWQMDCTHLEKKVVIVAVHVASGFI -EAEVIPRETGKETAKFLLKILSRWPITQLHTDNGPNFTSQEVAAICWWGKIEHTTGIPYNPQSQGSIESM -NKQLKEIIGKIRDDCQYTEAAVLMACILHNFKRKGGIGGQTSAERLINIITTQLEIQHLQTKIQKILNFR -VYYREGRDPVWKGPAQLIWKGEGAVVLKDGSDLKVVPRRKAKIIKDYEPKQRVGNEGDVEGTRGSDN - ->sp|P27980.2|POL_SIVVG RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGAATSALNRRQLDKFEHIRLRPTGKKKYQIKHLIWAGKEMERFGLHERLLESEEGCKKIIEVLYPLEPT -GSEGLKSLFNLVCVLFCVHKDKEVKDTEEAVAIVRQCCHLVEKERNAERNTTETSSGQKKNDKGVTVPPG -GSQNFPAQQQGNAWIHVPLSPRTLNAWVKAVEEKKFGAEIVPMFQALSEGCTPYDINQMLNVLGDHQGAL -QIVKEIINEEAAQWDIAHPPPAGPLPAGQLRDPRGSDIAGTTSTVQEQLEWIYTANPRVDVGAIYRRWII -LGLQKCVKMYNPVSVLDIRQGPKEAFKDYVDRFYKAIRAEQASGEVKQWMTESLLIQNANPDCKVILKGL -GMHPTLEEMLTACQGVGGPSYKAKVMAEMMQNMQSQNMMQQGGQRGRPRPPVKCYNCGKFGHMQRQCPEP -RKMRCLKCGKPGHLAKDCRGQVNFFRVWPVDGSETQKFSRRYSWGGANCAPSTESIRPCKEAPAAICRQG -EAVEGTKEKTTSSESRLDRGIFFELPLWRRPIKTVYIEGVPIRALLDTGADDTIIKEADLQLSGTWKPKI -IGGIGGGLNVKEYSDREVRLEDKILRGTILIGSTPINIIGRNILAPAGAKLVMGQLSEQIPITPVKLKEG -ARGPFLKQWPLSKEKIKALQEICDQLEKEGKISKIGGENAYNTPVFCIKKKDKSQWRMLVDFRELNKATQ -DFFEVQLGIPHPSGFEKMTEITVLDIGDAYYSIPLDPEFRKYTAFTIPSVNNQGPGTRYQFNCLPQGWKG -SPTIFQNTAASILEEIKKELKPLTIVQYMDDLWVGSQEDEYTHDRLVEQLRMKLSAWGLETPDKKVQKKP -PYEWMGYKLWPHKWQISSIELEDKEEWTVNDIQRLVGKLNWAAQLYPGLRTKNLCKLIRGKKNLLETVTW -TEEAEAEYAENKEILKTEQEGTYYKPGRPIRAAVQKLEGGQWSYQFKQEGQVLKVGKYTKQKNTHTNEFR -VLAGLVQKLCKESLVIWGELPVLELPIEREVWEQWWADYWQVSWIPDWEFVSTPPLVKLWYTLTKEPIPK -EDVYYVDGACNRNSREGKAGYITQYGKQRVEKLENTTNQQAELMAIKMALEDSGPNVNIVTDSQYAMGIL -TAQPTQSDSPLIEQIIALMVQKHQIYLQWVPADKGIGGNEEIDKLVSQGMRKILFLEKIEEAQEEHERYH -NNWRNLADTYGLPQIVAKEIVAMCPKCQIKGEPVHGQVDASPGVWQMDCTHLEGKVIIVAVHVASGFIEA -EVIPRETGKETAKFLLKILSRWPITQLHTDNGPNFTSQEVAAMCWWGKIEHTTGVPYNPQSQGSIESMNK -QLKEIIGKIRDDCQYTETAVLMACHIHNFKRKGGIGGLTPAERLINMITTQLELQHLQTKIQKILNFRVY -YREGRDPVWKGPGQLIWKGEGAVVIKGGVELKEYPRRKAKIIKDYEPRKRMGDESNLEGAGGADN - ->sp|P27973.2|POL_SIVV1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGAATSALNRRQLDEFEHIRLRPNGKKKYQIKHLIWAGKKMDRFGLHEKLLETEEGCKKIIEVLSPLEPT -GSEGMKSLYNLVCVLLCVHQEKKVKDTEEALAIVRQCCHLVDKEKTAVTPPGGQQKNNTGGTATPGGSQN -FPAQQQGNAWVHVPLSPRTLNAWVKAVEEKKFGAEIVPMFQALSEGCTPYDINQMLNVLGDHQGALQIVK -EIINEEAAQWDVTHPPPAGPLPAGQLRDPGGSDIAGTTSTVQEQLEWIYTANPRVDVGAIYRRWIILGLQ -KCVKMYNPVSVLDIRQGPKEPFKDYVDRFYKAIRAEQASGEVKQWMTESLLIQNANPDCKVILKGLGMHP -TLEEMLTACQGVGGPSYKAKVMAEMMQNLQSQNMVQQGGGRGRPRPPPKCYNCGKFGHMQRQCPEPRKIK -CLKCGKPGHLAKDCRGQVNFFRVWPVDGDKTKKFSRSHSWGGTKCAPSTEQLYTLRPSKEAPAAVCRERE -TNEKSEQKPPSEQSRLERGIFFELPLWRRPIRTCIIGGTAVKALLDTGADDTIIKDTDLQLRGSWRPKIV -GGIGGGLNVKEYDNVEVQLEDKILRGTVLIGATPINIIGRNFLAQAGAKLVMGQLSQTIPITPVRLKEGA -RGPRLKQWPLSKEKIIALQEICKTLEEEGKLSRVGGDNAYNTPVFCIRKKDKSQWRMLVDFRELNKATQD -FFEVQLGIPHPAGLKKMKQITIIDVGDAYYSIPLDPEFRKYTAFTIPTVNNEGPGIRYQFNCLPQGWKGS -PTIFQNTASKILEEIKKELKQLTIVQYMDDLWVGSQEEGPKHDQLVQTLRNRLQEWGLETPEKKVQREPP -FEWMGYKLWPHKWKLQSIELEKKEQWTVNDLQKLVGKLNWAAQLYPGLRTKNICKLLRGKKNLLDVVEWT -PEAEAEYEENKEILKTEQEGTYYAPEKPLRAAVQKLGDGQWSYQFKQEGKILKVGKFAKQKATHTNELRV -LAGVVQKIGKEALVIWGQLPTFELPVERDTWEQWWADYWQVSWIPEWDFVSVPPLVTLWYTLTKEPIPGE -DVYYVDGACNRQSKEGKAGYITQQGKQRVQQLENTTNQQAELTAIKMALEDSGPKVNIVTDSQYAMGILT -AQPTQSDSPLVEQIIAQMVQKEAIYLQWVPAHKGIGGNEEIDKLVSKGVRRILFIGRIEEAQEEHDRYHS -NWRNLADTFGLPQIVAKEIVAMCPKCQVKGEPIHGQVDASPGVWQMDCTHIEGKIVIVAVHVASGFIEAE -VIPRETGKETAKFLLKIIGRWPITHLHTDNGPNFTSQEVAAMCWWGKVEHTTGVPYNPQSQGSIESMNKQ -LKEIIGKIRDDCQYTETAVLMACHIHNFKRKGGIGGLTAAERLINMITTQLEINTLQTKIQKILNFRVYY -REGRDPVWKGPARLIWKGEGAVVLKEGEELKVVPRRKAKIIKDYEPRKTLGDETHLEGAGGSDHQMAGDS - ->sp|P19505.2|POL_SIVSP RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLSGKKADELEKIRLRPGGKKRYQLKHIVWAANELDRFGLAESLLENKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVLWCIHAEEKVKHTEEAKQIVQRHLVVETGTADKMPATSRPTAPPSGKGGNYPVQQI -GGNYTHLPLSPRTLNAWVKLIEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEE -AADWDLQHPQPGPIPPGQLREPRGSDIAGTTSTVDEQIQWMYRQQNPIPVGNIYRRWIQLGLQKCVRMYN -PTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGINPTLEEMLT -ACQGVGGPGQKARLMAEALKDALTQGPLPFAAVQQKGQRKIIKCWNCGKEGHSARQCRAPRRQGCWKCGK -AGHVMAKCPERQAGFFRAWPMGKEAPQFPHGPDASGADTNCSPRGSSCGSTEELHEDGQKAEGEQRETLQ -GGNGGFAAPQFSLWRRPIVTAYIEEQPVEVLLDTGADDSIVAGIELGPNYTPKIVGGIGGFINTKEYKDV -KIKVLGKVIKGTIMTGDTPINIFGRNLLTAMGMSLNLPIAKVEPIKVTLKPGKDGPKLRQWPLSKEKIIA -LREICEKMEKDGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFTEVQLGIPHPAGLAKR -RRITVLDVGDAYFSIPLDEEFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPAIFQHTMRNVLEPFRK -ANPDVTLIQYMDDILIASDRTDLEHDRVVLQLKELLNSIGFSTPEEKFQKDPPFQWMGYELWPTKWKLQK -IELPQRETWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIRGKMTLTEEVQWTEMAEAEYEENKIILSQE -QEGCYYQEGKPLEATVIKSQDNQWSYKIHQEDKILKVGKFAKIKNTHTNGVRLLAHVVQKIGKEAIVIWG -QVPRFHLPVEREIWEQWWTDYWQVTWIPEWDFVSTPPLVRLVFNLVKEPIQGAETFYVDGSCNRQSREGK -AGYVTDRGRDKAKLLEQTTNQQAELEAFYLALADSGPKANIIVDSQYVMGIVAGQPTESESRLVNQIIEE -MIKKEAIYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHSNVKELVFKFGLPRLVAK -QIVDTCDKCHQKGEAIHGQVNAELGTWQMDCTHLEGKIIIVAVHVASGFIEAEVIPQETGRQTALFLLKL -ASRWPITHLHTDNGANFTSQEVKMVAWWAGIEQTFGVPYNPQSQGVVEAMNHHLKTQIDRIREQANSIET -IVLMAVHCMNFKRRGGIGDMTPAERLVNMITTEQEIQFQQSKNSKFKNFRVYYREGRDQLWKGPGELLWK -GEGAVILKVGTEIKVVPRRKAKIIKDYGGGKELDSGSHLEDTGEAREVA - ->sp|P12502.2|POL_SIVS4 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLSGKEADELEKVRLRPNGKKKYMLKHVVWAANELDRFGLAESLLDNKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVIWCIHAEEKVKHTEEAKQIVQRHLVVETGTADRMPATSRPTAPPSGRGGNYPVQQV -GGNYVHLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEE -AADWDLQHPQPGPLPAGQLREPRGSDIAGTTSTVDEQIQWMYRQQNPIPVGNIYRRWIQLGLQKCVRMYN -PTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEALRPDQLPFAAVQQKGQRKTIKCWNCGKEGHSAKQCRAPRRQGCWKCGK -TGHVMAKCPERQAGFFRAWPMGKEAPQFPHGPDASGADTNCSPRGSSCGSTEELHEDGQKAEGEQRETLQ -GGDRGFAAPQFSLWRRPVVTAYIEEQPVEVLLDTGADDSIVAGIELGPNYTPKIVGGIGGFINTKEYKDV -KIKVLGKVIKGTIMTGDTPINIFGRNLLTAMGMSLNLPIAKVEPIKVTLKPGKEGPKLRQWPLSKEKIIA -LREICEKMEKDGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFTEVQLGIPHPAGLAKR -RRITVLDVGDAYFSIPLDEEFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPAIFQYTMRNVLEPFRK -ANPDVTLIQYMDDILIASDRTDLEHDRVVLQLKELLNGIGFSTPEEKFQKDPPFQWMGYELWPTKWKLQK -IELPQRETWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIRGKMTLTEEVQWTEMAEAEYEENKIILSQE -QEGCYYQEGKPIEATVIKSQDNQWSYKIHQEDKVLKVGKFAKVKNTHTNGVRLLAHVVQKIGKEALVIWG -EVPKFHLPVEREIWEQWWTDYWQVTWIPDWDFVSTPPLVRLVFNLVKEPIQGAETFYVDGSCNRQSREGK -AGYVTDRGRDKAKLLEQTTNQQAELEAFYLALADSGPKANIIVDSQYVMGIIAGQPTESESRLVNQIIEE -MIKKEAIYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLKKIEPAQEEHEKYHSNVKELVFKFGLPRLVAK -QIVDTCDKCHQKGEAIHGQVNAELGTWQMDCTHLEGKIIIVAVHVASGFIEAEVIPQETGRQTALFLLKL -AGRWPITHLHTDNGANFTSQEVKMVAWWAGIEQTFGVPYNPQSQGVVEAMNHHLKTQIDRIREQANSIET -IVLMAVHCMNFKRRGGIGDMTPAERLVNMITTEQEIQFQQSKNSKFKNFRVYYREGRDQLWKGPGELLWK -GEGAVILKVGTEIKVVPRRKAKIIKDYGGGKELDSGSHLEDTGEAREVA - ->sp|P05897.2|POL_SIVMK RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLSGKKADELEKIRLRPGGKKKYMLKHVVWAANELDRFGLAESLLENKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVIWCIHAEEKVKHTEEAKQIVQRHLVVETGTAETMPKTSRPTAPSSGRGGNYPVQQI -GGNYVHLPLSPRTLNAWVKLIEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIRDIINEE -AADWDLQHPQPAPQQGQLREPSGSDIAGTTSSVDEQIQWMYRQQNPIPVGNIYRRWIQLGLQKCVRMYNP -TNILDVKQGPKEPFQSYVDRFYKSLRAEQTDAAVKNWMTQTLLIQNANPDCKLVLKGLGVNPTLEEMLTA -CQGVGGPGQKARLMAEALKEALAPVPIPFAAAQKRGPRKPIKCWNCGKEGHSARQCRAPRRQGCWKCGKM -DHVMAKCPDRQAGFFRPWSMGKEAPQFPHGSSASGADANCSPRGPSCGSAKELHAVGQAAERKQREALQG -GDRGFAAPQFSLWRRPVVTAHIEGQPVEVLLDTGADDSIVTGIELGPHYTPKIVGGIGGFINTKEYKNVE -IEVLGKRIKRTIMTGDTPINIFGRNLLTALGMSLNLPIAKVEPVKVALKPGKVGPKLKQWPLSKEKIVAL -REICEKMEKDGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIHFRELNRVTQELYRSPIRIPHPAGLAKRK -RITVLDIGDAYFSIPLDEEFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPAIFQYTMRHVLEPFRKA -NPDVTLVQYMDDILIASDRTDLEHDRVVLQLKELLNSIGFSTPEEKFQKDPPFQWMGYELWPTKWKLQKI -ELPQRETWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIRGKMTLTEAVQWTEMAEAEYEENNIILSQEQ -EGCYYQEGKPLEATVIKSQDNQWTYKIHQEDKILKVRKFAKIKNTHTNGVRLLAHVIQKIGKEAIVIVGQ -VPKFHLPVERDVWEQWWTDYWQVTWIPEWDFISTPPLVRLVFNLVKDPIEVEETYYTDGSCNKQSKEGKA -GYITDRGKDIVKVLTTTNQQAELEAIYHGIEDSGPKRNIIVELQVCYGNNNRFPTESESRLVNQIIEEMI -KVRVYVAWVPALEGIGGNQEIGPLVSQGFRQVLFLEKIEPAQEEHDKYHSNVKELVFKFGLPRIVARQIV -DTCDKCHQKGEAIHGQVNSDLGTWQMDCTHLEGKIVIVAVHVASGFIEAEVIPQETGRQHYFLLKLAGRW -PYLHIYTHSNGANFASQEVKMVTWWAGIEAHLWVPYNPQSQGVVEAMNHHLKNQIDRIREQANSVETIVL -MAVHCMNFKRRGGIGDMTPAERLINMITTEQEIQFQQSKNSKFKNFRVYYREGRDQLWKGPGELLWKGEG -AVILKVGTDIKVVPRRKAKIIKDYGGGKEVDSSSHMEDTGEAREVA - ->sp|P05896.2|POL_SIVM1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLSGKKADELEKIRLRPGGKKKYMLKHVVWAANELDRFGLAESLLENKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVIWCIHAEEKVKHTEEAKQIVQRHLVMETGTAETMPKTSRPTAPFSGRGGNYPVQQI -GGNYTHLPLSPRTLNAWVKLIEEKKFGAEVVSGFQALSEGCLPYDINQMLNCVGDHQAAMQIIRDIINEE -AADWDLQHPQQAPQQGQLREPSGSDIAGTTSTVEEQIQWMYRQQNPIPVGNIYRRWIQLGLQKCVRMYNP -TNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGTNPTLEEMLTA -CQGVGGPGQKARLMAEALKEALAPAPIPFAAAQQKGPRKPIKCWNCGKEGHSARQCRAPRRQGCWKCGKM -DHVMAKCPNRQAGFFRPWPLGKEAPQFPHGSSASGADANCSPRRTSCGSAKELHALGQAAERKQREALQG -GDRGFAAPQFSLWRRPVVTAHIEGQPVEVLLDTGADDSIVTGIELGPHYTPKIVGGIGGFINTKEYKNVE -IEVLGKRIKGTIMTGDTPINIFGRNLLTALGMSLNLPIAKVEPVKSPLKPGKDGPKLKQWPLSKEKIVAL -REICEKMEKDGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNRVTQDFTEVQLGIPHPAGLAKRK -RITVLDIGDAYFSIPLDEEFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPAIFQYTMRHVLEPFRKA -NPDVTLVQYMDDILIASDRTDLEHDRVVLQLKELLNSIGFSSPEEKFQKDPPFQWMGYELWPTKWKLQKI -ELPQRETWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIRGKMTLTEEVQWTEMAEAEYEENKIILSQEQ -EGCYYQESKPLEATVIKSQDNQWSYKIHQEDKILKVGKFAKIKNTHTNGVRLLAHVIQKIGKEAIVIWGQ -VPKFHLPVEKDVWEQWWTDYWQVTWIPEWDFISTPPLVRLVFNLVKDPIEGEETYYVDGSCSKQSKEGKA -GYITDRGKDKVKVLEQTTNQQAELEAFLMALTDSGPKANIIVDSQYVMGIITGCPTESESRLVNQIIEEM -IKKTEIYVAWVPAHKGIGGNQEIDHLVSQGIRQVLFLEKIEPAQEEHSKYHSNIKELVFKFGLPRLVAKQ -IVDTCDKCHQKGEAIHGQVNSDLGTWQMDCTHLEGKIVIVAVHVASGFIEAEVIPQETGRQTALFLLKLA -SRWPITHLHTDNGANFASQEVKMVAWWAGIEHTFGVPYNPQSQGVVEAMNHHLKNQIDRIREQANSVETI -VLMAVHCMNFKRRGGIGDMTPAERLINMITTEQEIQFQQSKNSKFKNFRVYYREGRDQLWKGPGELLWKG -EGAVILKVGTDIKVVPRRKAKIIKDYGGGKEMDSSSHMEDTGEAREVA - ->sp|P22382.2|POL_SIVGB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGNGNSALLGTDLDKFEKIRLKRGGKKCYRLKHLCWCKGELDRFGLSDKLLETQQGCEKILSVCWPLYDQ -GSDNLKALVGTVCVVACIHAGIEIKSTQDALKKLKVITRKEEKQEDESKNFPVQRDAAGQYQYTPISPRI -IQTWVKTVEEKKWKPEVIPLFSALTEGAISHDLNIMLNAVGDHQGAMQVLKDVINEQAAEWDLTHPQQQP -AQPGGGLRTPSGSDIAGTTSTVEEQLAWMNMQQNAINVGTIYKSWIILGMNRLVKSHCPISITDVRQGPK -EAFKDYVDRFYNVMRAEQASGEVKMWMQQHLLIENANPECKQILRSLGKGATLEEMLEACQGVGGPQHKA -RLMAEMMRTVVGQSQNFVQQRGPQRGPVRQPTGRKPICFNCNKEGHVARFFKAPRRKGCWNCGAMDHQKA -QCPKPAQQQRVNFFRVWPLGSLQTGELSGTRGDSNSSTIRGETSAENSEHLSEIRERAQAEDEGGEERGG -FSFPEYSLSRRPIEEVSVDGVTIRALLDTGADDTIFNERNIKLKGNWQPKIIGGIGGNLRVKQYDNVYVE -IRGKGTFGTVLIGPTPIDIIGRNIMEKLGGKLILAQLSDKIPITKVKLKPGVDGPRIKQWPLSKEKIVGL -QKICDRLEEEGKISRVDPGNNYNTPIFAIKKKDKNEWRKLIDFRELNKLTQDFHELQLGIPHPAGIKKCK -RITVLDIGDAYFSIPLDPDYRPYTAFTVPSVNNQAPGKRYMYNVLPQGWKGSPCIFQGTVASLLEVFRKN -HPTVQLYQYMDDLFVGSDYTAEEHEKAIVELRALLMTWNLETPEKKYQKEPPFHWMGYELHPDKWKIEKV -QLPELAEQPTVNEIQKLVGKLNWAAQLYPGIKTKQLCKLIRGGLNITEKVTMTEEARLEYEQNKEILAEE -QEGSYYDPNKELYVRFQKTTGGDISFQWKQGNKVLRAGKYGKQKTAHSNDLMKLAGATQKVGRESIVIWG -FVPKMQIPTTREIWEDWWHEYWQCTWIPEVEFISTPMLEREWYSLSPEPLEGVETYYVDGAANRDSKMGK -AGYITDRGFQRVEEYLNTTNQQTELHAVKLALEDSGSYVNIVTDSQYVVGILASRPTETDHPIVKEIIEL -MKGKEKIYLSWLPAHKGIGGNEQIDKLVSSGIRKVLFLQNIEPAQEEHEKYHSNEAQLREKFHLPALVAK -QIVQSCSKCCHHGEPIKGQTDASLGVWQIDCTHLENQIIIVAVHVASGFMKAEVITAETGKKTAEFLLKL -AAQWPISKLHTDNGPNFTSQEVETMCWWLGIEHTFGIPYNPQSQGVVENKNKYLKELIEKIREDCKELKT -AVAMATFIHNFKQRGGLGGMTAGERIVNMINTELEYQYQQNQISKNLNFKVYFREGRDQLWKGPGILLWK -GEGAVVLKYQEEIKIVPRRKCKIIKDYGESGKNSQVNLESV - ->sp|P17283.2|POL_SIVCZ RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLTGGKLDRWEKVRLRPGGRKRYMMKHLVWASRELERFAKRHHGEQQSKTESNSGSREGGASQG -ASASAGISGNYPLVQNAQGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGALPQDVNTMLNAV -GGHQGAMQVLKEVINEEAAEWDRLHPTHAGPIAPGQLREPRGSDIAGTTSTLQEQIGWTTANPPIPVGDV -YRRWVILGLNKVVRMYCPVSILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTDTLLVQNANPDCK -QILKALGPGATLEEMMTACQGVGGPSHKARVLAEAMSMVQNQGRADVFFQKGQGAGPKRKIKCFNCGKEG -HLARNCKAPRRKGCWRCGQEGHQMKDCTGRQVNFFRERLAFPQREARQLCAEQNRTNGPTDRELWVPGGR -EEPGEERGREQSISTNLPQITLWQRPLIPVKVEGQLCEALLDTGADDTVIERIQLQGLWKPKMIGGIGGF -IKVKQFDNVHIEIEGRKVVGTVLVGPTPVNIIGRNILTQLGCTLVFPISSIETVPVKLKPGMDGPKVKQW -PLSAEKIKALTEICQEMEKEGKISKIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGI -PHPAGLKKKKSVTVLDVGDAYFSCPLDKDFRKYTAFTIPSINNETPGVRYQYNVLPQGWKGSPSIFQSSM -TKILEPFREKNPDITIYQYMDDLYVGSDLEIDQHRKKVEELRQHLLKWGFTTPDKKHQKEPPFLWMGYEL -HPDKWTVQPIQLPEKEVWTVNDIQKLIGKLNWASQIYPGIKIKQLCKLIRGTKKLTDVVPLTPEAELELA -ENREIVSTPVHGVYYDPDKELIAEIQKQGNCQWTYQIFQEPHKNLKTGKYARQRSAHTNDIRQLAEAVQK -IATESIVIWGKTPKFRLPVQKESWEAWWAEYWQATWIPEWEFINTPPLVKLWYSLETEPIPTTDTYYVDG -AANRETKTGKAGYVTDKGKQKIISLENTTNQQAELKALLLALQDSDQQVNIVTDSQYVLGIIQSQPDHSE -SELVNQIIEELIKKEKIYLSWVPAHKGIGGNEQVDKLVSAGIRKVLFLDGIDRAQEEHERYHSNWKAMAS -DFNLPPIVAKEIVAHCDKCQVKGEAMHGQVDCSPGIWQVDCTHLEGKVIIVAVHVASGYIEAEVIPAETG -QETAYFLLKLAGRWPVKTIHTDNGPNFTSAAVKAACWWADIKQEFGIPYNPQSQGVVESLNKELKKIIGQ -VRDQAEHLKTAVQMAVFIHNFKRKGGIGGYTAGERIIDIIATDIQTSELQKQILKVQKFRVYYRDSRDPI -WKGPATLLWKGEGAVVIQDQGELKVVPRRKAKIIRDYGKQMAGDDCVASRQNED - ->sp|P19560.2|POL_BIV29 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr170Gag-Pol; Contains: RecName: Full=Matrix protein p16; Short=MA; Contains: RecName: Full=p2L; Contains: RecName: Full=Capsid protein p26; Short=CA; Contains: RecName: Full=p3; Contains: RecName: Full=Transframe peptide; AltName: Full=p11; Contains: RecName: Full=Protease; AltName: Full=P119; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; AltName: Full=P72; Contains: RecName: Full=Integrase; Short=IN -MKRRELEKKLRKVRVTPQQDKYYTIGNLQWAIRMINLMGIKCVCDEECSAAEVALIITQFSALDLENSPI -RGKEEVAIKNTLKVFWSLLAGYKPESTETALGYWEAFTYREREARADKEGEIKSIYPSLTQNTQNKKQTS -NQTNTQSLPAITTQDGTPRFDPDLMKQLKIWSDATERNGVDLHAVNILGVITANLVQEEIKLLLNSTPKW -RLDVQLIESKVREKENAHRTWKQHHPEAPKTDEIIGKGLSSAEQATLISVECRETFRQWVLQAAMEVAQA -KHATPGPINIHQGPKEPYTDFINRLVAALEGMAAPETTKEYLLQHLSIDHANEDCQSILRPLGPNTPMEK -KLEACRVVGSQKSKMQFLVAAMKEMGIQSPIPAVLPHTPEAYASQTSGPEDGRRCYGCGKTGHLKRNCKQ -QKCYHCGKPGHQARNCRSKNREVLLCPLWAEEPTTEQFSPEQHEFCDPICTPSYIRLDKQPFIKVFIGGR -WVKGLVDTGADEVVLKNIHWDRIKGYPGTPIKQIGVNGVNVAKRKTHVEWRFKDKTGIIDVLFSDTPVNL -FGRSLLRSIVTCFTLLVHTEKIEPLPVKVRGPGPKVPQWPLTKEKYQALKEIVKDLLAEGKISEAAWDNP -YNTPVFVIKKKGTGRWRMLMDFRELNKITVKGQEFSTGLPYPPGIKECEHLTAIDIKDAYFTIPLHEDFR -PFTAFSVVPVNREGPIERFQWNVLPQGWVCSPAIYQTTTQKIIENIKKSHPDVMLYQYMDDLLIGSNRDD -HKQIVQEIRDKLGSYGFKTPDEKVQEERVKWIGFELTPKKWRFQPRQLKIKNPLTVNELQQLVGNCVWVQ -PEVKIPLYPLTDLLRDKTNLQEKIQLTPEAIKCVEEFNLKLKDPEWKDRIREGAELVIKIQMVPRGIVFD -LLQDGNPIWGGVKGLNYDHSNKIKKILRTMNELNRTVVIMTGREASFLLPGSSEDWEAALQKEESLTQIF -PVKFYRHSCRWTSICGPVRENLTTYYTDGGKKGKTAAAVYWCEGRTKSKVFPGTNQQAELKAICMALLDG -PPKMNIITDSRYAYEGMREEPETWAREGIWLEIAKILPFKQYVGVGWVPAHKGIGGNTEADEGVKKALEQ -MAPCSPPEAILLKPGEKQNLETGIYMQGLRPQSFLPRADLPVAITGTMVDSELQLQLLNIGTEHIRIQKD -EVFMTCFLENIPSATEDHERWHTSPDILVRQFHLPKRIAKEIVARCQECKRTTTSPVRGTNPRGRFLWQM -DNTHWNKTIIWVAVETNSGLVEAQVIPEETALQVALCILQLIQRYTVLHLHSDNGPCFTAHRIENLCKYL -GITKTTGIPYNPQSQGVVERAHRDLKDRLAAYQGDCETVEAALSLALVSLNKKRGGIGGHTPYEIYLESE -HTKYQDQLEQQFSKQKIEKWCYVRNRRKEWKGPYKVLWDGDGAAVIEEEGKTALYPHRHMRFIPPPDSDI -QDGSS - ->sp|Q73368.3|POL_HV1B9 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPSLLETSEGCRQILGQLQSSLQT -GSEELKSLYNTVATLYCVHQRIEVKDTKEALDKIEEEQNKSKKKAQQAAADTGNSSQVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVQAGPVAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPS -SILDIKQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTERQANFFRENLAFPQGKAREFSSEQTRANSPTRRELQVWGGDNNSLSEAGADRQGTVSLSFPQ -ITLWQRPLVTIKVGGQLKEALLDTGADDTVLEDMSLPGRWKPKMIGGIGGFIKVRQYEQIDIEICGHKAK -GTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICTEMEK -EGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGD -AYFSVPLDEDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPDIVIYQY -MDDLYVGSDLEIGQHRAKIEDLRQHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIVLPEKDSWT -VNDIQKLVGKLNWASQIYAGIKVKQLCKLLRGTKALTEVVPLTEEAELELAENREILKEPVHGVYYDPTK -DLIAELQKQGQGQWTYQIYQEPYKNLKTGKYARMRGAHTNDVKQLTEAVQKIATESIVIWGKTPKFKLPI -QKETWEAWWTDYWQATWIPEWEFVNTPPLVKLWYQLEKEPIVGAETFYVDGAANRDTKSGKAGYVTDRGR -QKVVSLADTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKEKVYL -AWVPAHKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQEEHEKYHTNWRAMASDFNLPPVVAKEIVASCNKC -QLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWPVKTI -HTDNGSNFTSTTVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIH -NFKRKGGIGGYSAGERIVDIIASDIQTKELQKQITKIQNFRVYYRDSRDPLWKGPAKLLWKGEGAVVIQD -NSDIKVVPRRKAKIIRDYGKQMAGDDCVASRQDED - ->sp|Q1A249.3|POL_SIVEK RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLTGGKLDQWEKIYLRPGGKKKYMMKHLVWASRELERFACNPGLMDTAEGCAQLLRQLEPALKT -GSEGLRSLFNTLAVLYCVHNNIKVQNTQEALEKLREKMKAEQKEPEPEQAAGAAAAPESSISRNYPLVQN -AQGQMVHQPLSPRTLNAWVKVVEEKAFNPEVIPMFMALSEGATPQDLNTMLNTVGGHQAAMQMLKEVINE -EAAEWDRGHPVHMGPIPPGQVREPRGSDIAGTTSTLAEQVAWMTANPPVPVGDIYRRWIVLGLNKIVRMY -SPASILDIKQGPKETFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKNILRALGPGASLEEMM -TACQGVGGPAHKARVLAEAMTQAQTATSVFMQRGNFKGIRKTIKCFNCGKEGHLARNCKAPRKKGCWKCG -QEGHQMKDCRSGERQFFREGLASLQREARKFPPDNNKERANSPSNRELWVSGGEDHTGDREGRKGEDREL -SVPTLNFPQITLWQRPILTVKIGGEIKEALLDTGADDTVIEEIQLEGKWKPKMIGGIGGFIKVKQYDNVI -IEIQGKKAVGTVLVGPTPVNIIGRNFLTQIGCTLNFPISPIETIPVKLKPGMDGPRVKQWPLTEEKIKAL -TEICTEMEKEGKISRIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKK -SVTVLDVGDAYFSCPLDENFRKYTAFTIPSVNNETPGIRYQYNVLPQGWKGSPAIFQSTMTKILEPFRKN -NPELVIYQYMDDLYVGSDLEITQHREAVERLRSHLLTWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQTI -QLPEKDTWTVNDIQQLVGKLNWASQIYPGIKVKQLCKLIRGAKALTEVVTLTREAELELAENREILKEPV -HGAYYNPDKELIAEIQKQGQGQWTYQIYQDLHKNLKTGKYAKMRSTHTNDIRQLTEVVQKVALESIVIWG -KTPKFRLPVQKEVWETWWTEYWQATWIPDWEFVNTPPLVKLWYQLETEPISGAETYYVDGAANRETKLGK -AGFVTDRGRQKVTSISETTNQQAELQAVLMALQDAGQEVNIVTDSQYVLGIIHSQPDKSESELVNQIIEE -LIKKERIYLSWVPAHKGIGGNEQIDKLVSTGIRKVLFLDGIDKAQEEHERYHSNWKAMASDFNLPPIVAK -EIVASCDKCQLKGEAIHGQINCSPGVWQLDCTHLEGKIILVAVHVASGYLEAEVIPAETGQETAYFILKL -AGRWPVKVIHTDNGSNFTSATVKAACWWANIQQEFGIPYNPQSQGAVESMNKELKKIIGQIRDQAEHLKT -AVQMAVFIHNFKRKGGIGGYTAGERIIDIIATDIQTTKLQTQILKVQNFRVYYRDSREPTWKGPAKLLWK -GEGAVVIQDNGDIKVVPRRKAKIIRDYGKQMAGDGCVASGQDESQDME - ->sp|Q76634.3|POL_HV2UC RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARSSVLSGKKTDELEKVRLRPGGKKRYCLKHIIWAVNELDRFGLAESLLESKEGCHKILTVLAPLVPT -GSENLKSLFNTVCVIYCLHAEEKVKDTEEAKKIAQRHLAADTEKMPATSRPTAPPSGGNYPVQQIAGNYV -HMPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEEAADWD -QQHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIQWMYRAQNPVPVGNIYRRWIQIGLQKCVRMYNPTNIL -DIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTACQGI -GGPGQKARLMAEALKEALTPAPIPFAAAQQKAGKRGTVTCWNCGKVGHTAKQCRAPRRQGCWKCGKQGHI -MSKCPERQAGFLRVRTLGKEASQLPHDPSASGSDTICTPDGPSRGHDTSGGDTICAPCRSSSGDAEKLHE -DGETAEREPRETLQGGDRGFAAPQFSLWRRPVVRACIEGQPVEVLLDTGADDSIVAGIELGSNYTPKIVG -GIGGFINTKEYKDVEIEVVGKRVRATIMTGDTPINIFGRNILNTLGMTLNFPVAKIEPVKVKLKPGKDGP -KIRQWPLSKEKILALKEICEKMEKEGQLEEAPPTNPYNTPTFAIKKRDKNKWRMLIDFRELNKVTQDFTE -VQLGIPHPAGLAEKRRITVLDVGDAYFSIPLDPNFRQYTAFTLPSINNAEPGKRYIYKVLPQGWKGSPAI -FQYSMRKVLDPFRKANSDVIIIQYMDDILIASDRSDLEHDRVVSQLKELLNDMGFSTPEEKFQKDPPFKW -MGYELWPKRWKLQKIQLPEKEVWTVNDIQKLVGVLNWAAQLFPGIKTRHICKLIRGKMTLTEEVQWTELA -EAELQENKIILEQEQEGSYYKEGVPLEATVQKNLANQWTYKIHQGNRILKVGKYAKVKNTHTNGVRLLAH -VVQKIGKEALVIWGEIPVFHLPVERETWDQWWTDYWQVTWIPEWDFVSTPPLVRLAYNLVKDPLEKVETY -YTDGSCNRASKEGKAGYVTDRGKDKVKVLEQTTNQQAELEAFALALQDSGPQVNIIVDSQYVMGIVAGQP -TETESPLVNQIIEEMIKKEAIYVGWVPAHRGLGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHGNVK -ELVHKFGLPQLVAKQIVNSCDKCQQKGEAVHGQVNAELGTWQMDCTHLEGKVIIVAVHVASGFIEAEVIP -QETGRQTALFLLKLASRWPITHLHTDNGANFTSQDVKMAAWWIGIEQTFGVPYNPQSQGVVEAMNHHLKN -QIDRIRDQAVSIETVVLMAAHCMNFKRRGGIGDMTPAERLVNMITTEQEIQFFQAKNLKFQNFQVYYREG -RDQLWKGPGELLWKGEGAVLIKVGTEIKVIPRRKAKIIRHYGGGKELDCGTDMEDTRQAREMAQSGQVPE -A - ->sp|Q89928.3|POL_HV2EH RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARGSVLSGKKTDELEKVRLRPGGKKRYMLKHIVWAVNELERFGLAESRLGSKEGCRKIRKVLGPLVPT -GSENLKSLYNTVCVIFCLHAEEKVKDTEEAKKIAQRHLAADTEKMPAMSKPSKPTSRLAYPVQQIAGNYS -HLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEEAADWD -QQHPSPGPMPAGQLREPRGSDIAGTTSTVEEQIQWMYRPQNPVPVGNIYRRWIQLGLQKCVRMYNPTNIL -DIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTACQGI -GGPGQKARLMAEALKEALTPSTNPFAAAQPRAGKRTVTCWNCGKAGHTARQCKAPRRQGCWKCGQQGHIM -SKCPERQAGFLRVRPLGKEASQFPRPGTPGDSAICAPDEPSIRHDTSGCDSICTPCRSSRGDAKELHATR -EEAEGEQRETLQGGDRGFAAPQFSLWRRPVVKATIEGQSVEVLLDTGADDSIVAGIELGSNYTPKIVGGI -GGFINTNEYKNVEIEVVGKRVRATVMTGDTPINIFGRNILNSLGMTLNFPVARIEPVKVQLKPEKDGPKI -RQWPLSKEKILALKEICEKMEKEGQLEEAPPTNPYNSPTFAIKKKDKNKWRMLIDFRELNKVTQEFTEVQ -LGIPHPAGLASKKRITVLDVGDAYFSVPLDPDFRQYTAFTLPAVNNAEPGKRYLYKVLPQGWKGSPAIFQ -YTMAKVLDPFRKANNDVTIIQYMDDILVASDRSDLEHDRVVSQLKELLNNMGFSTPEEKFQKDPPFKWMG -YELWPKKWKLQKIQLPEKEVWTVNDIQKLVGVLNWAAQLFPGIKTRHICKLIRGKMTLTEEVQWTELAEA -EFQENKIILEQEQEGSYYKEGVPLEATVQKNLANQWTYKIHQGDKILKVGKYAKVKNTHTNGVRLLAHVV -QKIGKEALVIWGEIPMFHLPVERETWDQWWTDYWQVTWIPEWDFVSTPPLIRLAYNLVKDPLEGVETYYT -DGSCNKASKEGKAGYVTDRGKDKVKPLEQTTNQQAELEAFALALQDSGPQVNIIVDSQYVMGIVAAQPTE -TESPIVREIIEEMIKKEKIYVGWVPAHKGLGGNQEVDHLVSQGIRQILFLEKIEPAQEEHEKYHNNVKEL -VHKFGIPQLVARQIVNSCDKCQQKGEAIHGQVNSELGTWQMDCTHLEGKVIIVAVHVASGFIEAEVIPQE -TGRQTALFLLKLASRWPITHLHTDNGANFTSQDVKMAAWWIGIEQTFGVPYNPESQGVVEAMNHHLKNQI -DRIRDQAVSIETVVLMATHCMNFKRRGGIGDMTPAERIVNMITTEQEIQFLQTKNLKFQNFRVYYREGRD -QLWKGPGDLLWKGEGAVIIKVGTEIKVIPRRKAKIIRNYGGGKELDCSADVEDTMQAREVAQSN - ->sp|Q9QSR3.3|POL_HV1VI RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASILSGGKLDEWEKIQLRPGGKKRYKMKHLIWASRELERFALDPGLLETSEGCQKIIRQLQPSLQT -GSEELKSLFNTVAVLYYVHQRAGVTDTKEALDKLEEEQNKSQQKTQQAAADKGVSQNYPIVQNLQGQMVH -QSLSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPTDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -LHPVHAGPAPPGQMREPRGSDIAGTTSTLQEQIQWMTGNPPVPVGDIYKRWIILGLNKIVRMYSPVSILD -IKQGPKEPFRDYVDRFFKVLRAEQASQDVKGWMTDTLLVQNANPDCKTILKALGTGATLEEMMTACQGVG -GPSHKARVLAEAMSQANSAIMMQKSNFKGQRRVVKCFNCGKEGHIARNCRAPRKKGCWKCGREGHQMKDC -TERQANFFRENLAFQQGEARKFPSEQTRANSPTSRELRVQRGDNPLSEAGAERRGTVPSLSFPQITLWQR -PLVTIKIGGQIKEALLDTGADDTVLEDINLPGKWKPKMIGGIGGFIKVKQYDNILIEICGHKAIGTVLVG -PTPVNIIGRNMLTQIGCTLNFPVSPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICLEMEKEGKISK -IGPENPYNTPVFAIKKKDSSKWRKLVDFKELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYFSVP -LDKDFKKYTAFTIPSVNNETPGIRYQYNVLPQGWKGSPAIFQCSMTKILEPFRMKNPDIVIYQYMDDLYV -GSDLEIGQHRTKIEELREHLLRWGFTTPDKKHQKEPPFLWMGHELHPDKWTVQPIQLPNKDSWTVNDIQK -LVGKLNWASQIYPGIKVRPLCKLLRGAKALTDIVPLTAEAELELAKNREILREPVHGVYYDPSKDLIAEI -QKQGDGQWTYQIYQNPFKNLKTGKYAKVRSAHTNDVKQLTEAVQKIALESIVIWGKRSPKFKLPILKETW -DTWWTDYWQATWIPEWEFVNTPPLVKLWYQLETEPIAGADTFYVDGASNRETKKGKAGYVTDKGKQKVVS -LTETTNQKAELQAIYLALQDSGSEVNIVTDSQYALGIIQAQPDKSESEIVNQIIEQLIQKERVYLSWVPA -HKGIGGNEQVDKLVSAGVRKILFLDGIDKAQEEHEKYHNNWRAMASDFNLPPIVAKEIVASCDKCQLKGE -AMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASEYIEAEVIPAETGQETAYFILKLAGRWPVKIIHTDNG -SNFTSAAVKASCWWAGIQQEFGIPYNPQSQGVVESINKELKKIIGQVRDQAEHLKTAVQMAVFIHNFKRK -GGIGGYSAGERIIDIISTDIQTRELQKQITKIQNFRVYYRDSRNPVWKGPAKLLWKGEGAVVIQDNSEIK -IVPRRKAKIIRDYGKQMAVDDCVAGRQDED - ->sp|Q9Q720.3|POL_HV1V9 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLRPGGRKKYRLKHLVWASRELERFALNPDLLETADGCQQILGQLQPALKT -GTEDLQSLYNTIAVLYCVHQRIDVKDTKEALGKIEEIQNKNKQRTQQAPAAADKEKDSKISQNYPIVQNA -QGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNAMLNTVGGHQAAMQMLKDTINEE -AAEWDRLHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQVAWMTGNPPIPVGDIYKRWIILGLNKIVRMYS -PVSILDIKQGPKEPFRDYVDRFFRVLRAEQATQDVKNWMTDTLLVQNANPDCRTILKALGRGASIEEMMT -ACQGVGGPSHKARVLAEAMSQVTNASAAIMMQKGNFKGPRRTVKCSNCGKEGHIARNCRAPRKKGCWKCG -QEGHQMKDCTGRQANFFRENLAFQQGKAREFPPEEARANSPTSRELRVRRGDHPLSEAGAERTGTSFNFP -QITLWQRPIVTVKIEGQLKEALLDTGADDTVLEDINLPGKWKPKMIGGIGGFIKVRQYEQVAIEIFGKKA -IGTVLVGPTPVNIIGRNILTQMGCTLNLPISPIETVPVTLKPGMDGPKVKQWPLTEEKIKALTEICLEME -KEGKISKIGPENPYNTPIFAIKKKNSTRWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVSVLDVG -GAYFSVPLHEDFRKYTAFTIPSTNNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPEVIIYQ -YMDDLYVGSDLEIGQHREKIEELRAHLLRWGFTTPDQKHQKEPPFLWMGYELHPDKWTVQPVKLPEKDSW -TVNDIQKLVGKLNWASQIYPGIKVKQLCXLLRGAKALTEIVPLTKEAELELAENREILKEPVHGAYYDPS -KELIAEIQKQGPDQWTYQIYQEPFKNLKTGKYAKMRSAHTNDVKQLTEVVQKIATESIVIWGKIPKFRLP -IQKETWETWWTEHWQATWIPEWEFVNTPHLVKLWYQLETEPIEGAETYYVDGAANRETKMGKAGYVTDRG -KQKIVSLTETTNQKTELQAIYLALQESGPEVNIVTDSQYALGIIQAQPDKSESELVNQIIEELIKKEKFY -LSWVPAHKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQVQHEKYHSNWRAMASDFNLPPIVAKEIVASCDK -CQLKGEAMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKM -IHTDNGSNFTSAAVKAACWWADIHQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLRTAVQMAVFI -HNFKRKGGIGGYSARERIIDIIATDIPTKELQKQISQIQKFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQ -DNSEIKVVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|O89940.3|POL_HV1SE RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLTGGKLDAWEKIRLRPGGRKSYKIKHLVWASRELERFALNPDLLETAEGCQQIMRQLQPSLQT -GTEEIKSLYNAVATLYCVHQRIEVKDTKEALEEVEKIQKKSQEKIQQAAMDKGNSNQVSQNYPIVQNAQG -QMVHQAITPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNLMLNTVGGHQAAMQMLKDTINEEAA -EWDRMHPQQAGPFPPGQIREPRGSDIAGTTSSLQEQITWMTGNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFFKCLRAEQASQDVKGWMTDTLLVQNANPDCKTILRALGQGASLEEMMTAC -QGVGGPSHKARVLAEAMSQASGAAAAIMMQRSNFKGPRRTIKCFNCGKEGHLARNCRAPRKKGCWKCGKE -GHQMKDCTERQANFFRENLAFQQGEAREFSSEQDRTNSPTCRKPRVRRGDSPLPEAGDEGKGAISLPQIT -LWQRPLVTVKIGGQLIEALLDTGADDTVLEEINLPGRWKPKMIGGIGGFIKVRQYDQVPIEISGKKAIGT -ILVGPTPINIIGRNMLTQIGCTLNFPISPIETVPVKLKPGMDGPRVKQWPLTEEKIKALTEICKEMEEEG -KISKIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAY -FSVPLDEDFRKYTAFTIPSINNETPGVRYQYNVLPQGWKGSPAIFQSSMTRILEPFRANNPEMVIYQYMD -DLYVGSDLEIGQHRAKIEELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPDKESWTVN -DIQKLVGKLNWASQIYPGIKVTHLCKLLRGAKALTDIVSLTAEAEMELAENREILREPVHGVYYDPSKEL -IAEVQKQGLDQWTYQIYQEPYKNLKTGKYAKRGSAHTNDVKQLTEVVQKIATESIVIWGKTPKFKLPIRK -ETWEIWWTDYWQATWIPEWEFVNTPPLVKLWYRLETEPIPGAETYYVDGAANRETKLGKAGYVTDKGKQK -IITLTETTNQKAELQAIQLALQDSRSEVNIVTDSQYALGIIQAQPDRSEAELVNQIIEQLIKKEKVYLSW -VPAHKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEEHERYHNNWRAMASDFNLPPIVAKEIVASCDKCQL -KGEAMHGQVDCSPGIWQLDCTHLEGKIIIVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVTVIHT -DNGSNFTSAAVKAACWWANITQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIHNF -KRKGGIGGYSAGERIIDIIASDIQTKELQKQITKIQNFRVYYRDSRDPVWKGPAKLLWKGEGAVVIQDNN -EIKVVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|Q9WC63.3|POL_HV1S9 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASILSGGKLDDWEKIRLRPGGKKQYRIKHLVWASRELDRFALNPGLLESAKGCQQILVQLQPALQT -GTEEIKSLYNTVATLYCVHQRIEIKDTKEALDKIEEIQNKNKQQTQKAETDKKDNSQVSQNYPIVQNLQG -QPVHQALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTIGGHQAAMQMLKDTINEEAA -EWDRVHPVHAGPVAPGQVREPRGSDIAGTTSNLQEQIGWMTGNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFFKALRAEQATQDVKNWMTDTLLVQNANPDCKTILKALGSGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNTNIMMQRGNFRDHKRIVKCFNCGKQGHIAKNCRAPRKKGCWKCGKEGH -QMKDCTERQANFFREDLAFQQREAREFSPEQTRANSPTSREPRVRRGDPLPETGAEGQGTVSSNFPQITL -WQRPLVTIRIGGQLREALLDTGADDTVLEEIDLPGKWKPKMIGGIGGFIKVRQYNEVPIEIEGKKAIGTV -LIGPTPVNIIGRNMLTQLGCTLNFPISPIETVPVKLKPGMDGPKIKQWPLTEEKIKALTQICAELEEEGK -ISRIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYF -SVPLYEDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQCSMTKILKPFRERNPEIVIYQYMDD -LYVGSDLEIEQHRRKIKELREHLLKWGFYTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEKEDWTVND -IQKLVGKLNWASQIYPGIKIKELCKLIRGAKALTDIVPLTREAELELAENKEILKEPVHGVYYDPARELI -AEVQKQGLDQWTYQIYQEPFKNLKTGKYAKRRSAHTNDVKQLSQVVQKIALEAIVIWGKTPKFRLPIQKE -TWETWWTDYWQATWIPEWEFVNTPPLVKLWYQLEKEPIMGAETFYVDGASNRETKVGKAGYVTDKGRQKV -ITLTDTTNQKTELQAIYLALQDSGIEVNIVTDSQYALGIIQAQPDKSESELVNQIIEELIKKEKVYLSWV -PAHKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEEHEKYHSNWRAMASDFNLPPVVAKEIVASCDKCQLK -GEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQEAAFFILKLAGGWPVKAIHTD -NGSNFTSGAVKAACWWADIKQEFGIPYNPQSQGVVESMNKELKKIIGQVREQAEHLKTAVQMAVFIHNFK -RKGGIGGYSAGERIIDIIATDIQTKELQKQITKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQDNSE -IKVVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|Q9WC54.3|POL_HV1S2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASILSGGKLDDWEKIRLRPGGKKKYRIKHLVWASRELDRFALNPGLLESAKGCQQILVQLQPALQT -GTQEIKSLYNTVATLYCVHQRIEIKDTMEALEKIEEIQNKNKQQAQKAETDKKDNSQVSQNYPIVQNLQG -QPVHQALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTIGGHQAAMQMLKDTINEEAA -EWDRVHPVHAGPIAPGQVREPRGSDIAGTTSTLQEQIGWMTGNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFFKALRAEQATQDVKNWMTDTLLVQNANPDCKTILKALGSGATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNTNIMMQRGNFRDHKRIVKCFNCGKQGHIAKNCRAPRKKGCWKCGKEGH -QMKDCTERQANFFREDLAFQQREARELSPEQTRANSPTSREPRARRGDPLPETGAEGQGTVSSNFPQITL -WQRPLVTIRIGGQLREALLDTGADDTVLEDIDLPRKWKPKMIGGIGGFIKVRQYNEVPIEIEGKKAIGTV -LIGPTPVNIIGRNMLTQLGCTLNFPISPIETVPVKLKPGMDGPKIKQWPLTEEKIKALTQICAEMEEEGK -ISRVGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYF -SVPLYEDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQCSMTKILKPFRERNPEIVIYQYMDD -LYVGSDLEIEQHRRKIKELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEKEDWTVND -IQKLVGKLNWASQIYPGIKVKQLCKLLKGAKALTDIVPLTREAELELAENKEILKEPVHGVYYDSAKELI -AEVQKQGLDQWTYQIYQEPFKNLKTGKYAKRRSAHTNDVKQLAEVVQKIALEAIVIWGKTPKFRLPIQRE -TWETWWTDYWQATWIPEWEFVNTPPLVKLWYQLEKEPIMGAETFYVDGASNRETKTGKAGYVTDKGRQKV -VTLTDTTNQKTELHAIYLALRDSGLEVNIVTDSQYALGIIQAQPDKSESELVNQIIEELIKKEKVYLSWV -PAHKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEDHEKYHSNWRAMASDFNLPPVVAKEIVASCDKCQLK -GEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQEAAFFILKLAGRWPVKVIHTD -NGSNFTSGAVKAACWWADIKQEFGIPYNPQSQGVVESMNKELKKIIGQVREQAEHLKTAVQMAVFIHNFK -RKGGIGGYSAGERIIDIIATDIQTRELQKQITKIQNFRVYYRDSRDPIWKGPAKLPWKGEGAVVIQDNSE -IKVVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|Q79666.3|POL_HV1MV RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLTGSKLDAWERIRLRPGSKKAYRLKHLVWASRELERYACNPGLLETAEGTEQLLQQLEPALKT -GSEDLKSLWNAIAVLWCVHNRFDIRDTQQAIQKLKEVMASRKSAEAAKEETSPRQTSQNYPIVTNAQGQM -VHQAISPRTLNAWVKAVEEKAFNPEIIPMFMALSEGAVPYDINTMLNAIGGHQGALQVLKEVINEEAAEW -DRTHPPAMGPLPPGQIREPTGSDIAGTTSTQQEQIIWTTRGANSIPVGDIYRKWIVLGLNKMVKMYSPVS -ILDIRQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNSNPDCKQILKALGPEATLEEMMVACQ -GVGGPTHKAKILAEAMASAQQDLKGGYTAVFMQRGQNPNRKGPIKCFNCGKEGHIAKNCRAPRKRGCWKC -GQEGHQMKDCKNGRQANFFREVLASGGHEARQLCAETSVPISPTNGGGSEGTRESESEGGSGRAVPICLP -QIPLWDRPIVTAKVGGHLCEALLDTGADDTVLNNIQLEGRWTPKMIGGIGGFIKVKEYNNVTVEVQGKEV -QGTVLVGPTPVNILGRNILTGLGCTLNFPISPIAPVPVKLKPGMDGPKVKQWPLSREKIEALTAICQEME -QEGKISRIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPGGLKQRQSVTVLDVG -DAYFSCPLDPDFRKYTAFTIPSVNNETPGVRYQYNVLPQGWKGSPAIFQSSMTKILDPFRKSNPEVEIYQ -YIDDLYVGSDLPLAEHRKRVELLREHLYQWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPDKEVW -TVNDIQKLVGKLNWASQIYQGIRVKELCKLIRGTKSLTEVVPLSKEAELELEENREKLKEPVHGVYYQPD -KDLWVSIQKHGEGQWTYQVYQDEHKNLKTGKYARQKASHTNDIRQLAEVVQKVSQEAIVIWGKLPKFRLP -VTRETWETWWAEYWQATWIPEWEFVSTPPLIKLWYQLETEPIVGAETFYVDGAANRNTKLGKAGYVTEQG -KQNIIKLEETTNQKAELMAVLIALQDSKEQVNIVTDSQYVLGIISSQPTQSDSPIVQQIIEELTKKERVY -LTWVPAHKGIGGNEKIDKLVSKDIRRVLFLEGIDQAQEDHEKYHSNWRALASDFGLPPIVAKEIIASCPK -CHIKGEATHGQVDYSPEIWQMDCTHLEGKIIIVAVHVASDFIEAEVIPAETGQETAYFLLKLAARWPVKV -IHTDNGPNFTSAAMKAACWWTGIQHEFGIPYNPQSQGVVEAMNKELKSIIQQVRDQAEHLKTAVQMAVFV -HNFKRKGGIGGYTAGERLIDILASQIQTTELQKQILKINNFRVYYRDSRDPIWKGPAQLLWKGEGAVVIQ -DKGDIKVVPRRKAKIIRDYGKQMAGTDSMANRQTESESMEQPGEIP - ->sp|Q9QBZ5.3|POL_HV1MP RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLKPGGKKRYRLKHLVWASRELERFALNPSLLETTEGCKKIIGQLQSSLQT -GSEELKSLYNAVVVLYYVHQRIDVRDTKEALDKLQEEQDKSQQKEQQKAADKEVSQNYPIVQNIQGQMVH -QALSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -LHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQITWMTGNPPVPVGEIYKRWIILGLNKIVRMYSPVSILD -IKQGPKEPFRDYVDRFFKTLRAEQATQEVKNWMTETLLVQNSNPDCKTILKALGPGATLEEMMTACQGVG -GPGHKARILAEAMSKATSTAIMMQKSNFKGQKRIVKCFNCGKEGHIARNCRAPRKKGCWKCGKEGHQMKD -CTERQANFFRENLAFQQGEARKFSSEQTRANSPASRELRVRRGDNPLPEAGAERRGTGSSLSFPQITLWQ -RPLVAIRVGGQLREALLDTGADDTVLEDINLPGKWKPKMIGGIGGFIKVRQYDQIPIEICGQKAIGTVLV -GPTPVNIIGRNLLTQLGCTLNFPISPIETVPVKLKPGMDGPRVKQWPLTEEKIKALTEICTEMEKEGKIS -KIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYFSV -PLDKEFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQCSMTKILEPFRAKNPEIVIYQYMDDLY -VGSDLEIGQHRTKIEELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEKSSWTVNDIQ -KLVGKLNWASQIYPGIRIKHLCRLLRGAKALTDVVPLTAEAELELAENREIIKEPVHGVYYDPSKDLIAE -IQKQGHDQWTYQIYQEPYKNLKTGKYAKRKSAHTNDVKQLTEVVQKIATESIVIWGKIPKFRLPIQKETW -EIWWTEYWQATWIPEWEFVNTPPLVKLWYQLETEPIAGAETFYVDGAANRETKLGKAGYVTDRGRQKVVP -LTETTNQKTELQAIHLALQDSGSEVNIVTDSQYALGIIQAQPDKSESELVNQIIEQLIQKEKVYLSWVPA -HKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNLPPVVAKEIVANCDKCQLKGE -AMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKIIHTDNG -SNFTSTVVKAACWWAGIQQEFGVPYNPQSQGVVESMNKELKKIIGQIRDQAEHLKTAVQMAVFIHNFKRK -GGIGGYSAGERIIDIIATDIQTKELQKQITKIQNFRVYFRDSRDPVWKGPAKLLWKGEGAVVIQDNNEIK -VVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|Q9QBZ1.3|POL_HV1M2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHIVWASRELKRFALNPGLLETTEGCKKIIGQLQPSLQT -GSEELKSLFNTIVVLYYVHQKIEVRDTKEALDKLQEEQDKHQQKTQQATADKGVSKGVSQNYPILQNLQG -QMVHQSLSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAA -EWDRLHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPVPVGEIYKRWIILGLNKIVRMYSPV -SILDIKQGPKEPFRDYVDRFFKTLRAEQATQEVKGWMTETLLVQNANPDCKTILKALGPGATLEEMMTAC -QGVGGPSHKARILAEAMSKATGAAIMMQKSNFKGQRRIVKCFNCGKEGHIARNCRAPRKRGCWKCGQEGH -QMKDCTERQANFFRENVAFQQGEARKFSSEQTRANSPASRELRVRGGDSSLPEAGAERQGTGSSLDFPQI -TLWQRPVVTIKVGGQLREALLDTGADDTVLEDINLPGKWKPKMIGGIGGFIKVRQYDQVSIEICGQKAIG -TVLVGPTPVNIIGRNMLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICTEMEKE -GKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKRSVTVLDVGDA -YFSVPLDKEFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMIKILEPFRKENPEIVIYQYM -DDLYVGSDLEIGQHRAKIEELREHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQAIQLPDKSSWTV -NDIQKLVGKLNWASQIYPGIRVKHLCKLLRGTKALTDVVPLTAEAELELAENREILKEPVHGVYYDPSKD -LIAEIQKQGHDQWTYQIYQEPHKNLKTGKYARRKSAHTNDVKQLTEVVQKVATEGIVIWGKVPKFRLPIQ -KETWEIWWTEYWQATWIPEWEFVNTPPLVKLWYQLETEPIIGAETFYVDGAANRETKLGKAGYITDRGRQ -KVVSLTETTNQKTELQAIQLALQDSGSEVNIVTDSQYALGIIQAHPDKSESEIVNQIIEQLIQKERVYLS -WVPAHKGIGGNEQVDKLVSTGIRKVLFLDGIDKAQEEHEKYHSNWRAMASDFNLPPVVAKEIVASCDKCQ -LKGEAMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQEAAYFILKLAGRWPVKIIH -TDNGSNFTSAVVKAACWWAGIQQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIHN -FKRKGGIGGYSAGERIIDIIATDIQTKELQKQISKIQNFRVYFRDSRDPVWKGPAKLLWKGEGAVVIQDN -NEIKVIPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|Q75002.3|POL_HV1ET RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASILRGEKLDAWEKIKLRPGGKKHYMLKHLVWANRELEKFALNPDLLDTSAGCKQIIKQLQPALQT -GTEELKSLFNTVATLYCVHQKIEIKDTKEALDKIEEEQNESQQKTQQAGAADRGKDSQNYPIVQNMQGQM -VHQPISARTLNAWVKVVEEKAFSPEVIPMFTALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEW -DRLHPVHAGPVAPGQMRDPRGSDIAGTTSTLQEQIAWMTGNPPVPVGDIYKRWIILGLNKIVRMYSPVSI -LDIKQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTDTLLVQNANPDCKTILRALGPGASLEEMMTACQG -VGGPAHKARVLAEAMSQVNNTTIMMQKSNFKGPKRAIKCFNCGKEGHLARNCRAPRKKGCWKCGKEGHQM -KDCTERQANFFRETLAFQQGKAREFPSEQTRANSPTRESQTRANSPTTRELQVRGSNTFSEAGAERQGSL -NFPQITLWQRPLVTIKIGGQLKEALLDTGADDTVLEEINLPGKWKPKMIGGIGGFIKVRQYDQIIIEICG -KKAIGTVLVGPTPVNIIGRNMLTQLGRTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTAICE -EMEQEGKISRIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVL -DVGDAYFSVPLDEGFRKYTAFTIPSTNNETPGIRYQYNVLPQGWKGSPPIFQSSMPQILEPFRAPNPEIV -IYQYMDDLYVGSDLEIGQHRAPIEELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEK -DSWTVNDIQKLVGKLNWASQIYPGIKVRQLCKLLRGAKALTDIVTLTEEAELELAENREILKEPVHGVFY -DPSKDLIAEIQKQGNDQWTFQFYQEPFKNLKTGKFAKRGTAHTNDVKQLTAVVQKIALESIVIWGKTPKF -RLPIQKETWEAWWTDYWQATWIPEWEFVNTPPLVKLWYQLEKEPIAGVETFYVDGAANRETKIGKAGYVT -DRGRQKIVSLTETTNQKTELQAIQLALQDSGSEVNIVTDSQYALGIILAQPDKSESEIVNQIIEQLISKE -RVYLSWVPAHKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEEHEKYHSNWRAMANEFNIPPVVPKEIVAC -CDKCQLKGEAIHGQVNCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWP -VRVIHTDNGSNFTSNAVKAACWWAGIQQEFGIPYNPQSQGVVESMNKELKKIIGQVREQAEHLKTAVQMA -VFIHNFKRRGGIGGYSAGERIIDIIASDIQTKELQNQILKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAV -VIQDNSDIKVVPRRKAKIIRDYGKQMAGADCVAGRQDED - ->sp|Q77373.3|POL_HV1AN RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGASASVLTGSKLDAWEQIRLKPGSKKKYRLKHLVWASRELERFACNPELLETAEGNEKLLQQLEPALKT -GSDSLQSLWNAIVVLWCVHNRYKIGDTQQAIQKLKEVMGSRKSADAAKEDTSARQAGQNYPIVSNAQGQM -VHQAISPRTLNAWVKAVEEKAFNPEIIPMFMALSEGAISYDINTMLNAIGGHQGALQVLKEVINEEAVEW -DRTHPPPVGPLPPGQIREPTGSDIAGTTSTQQEQIHWTTRPNQPIPVGDIYRKWIVLGLNKMVKMYSPVS -ILDIKQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKQILKSLGPGATLEEMMVACQ -GVGGPTHKARVLAEAMATAQQDLKGGYTAVFMQRGQNPIRKGTIKCFNCGKEGHIARNCRAPRKKGCWKC -GQEGHQMKDCRNGKQFFRQILASGGHEARQLCAETSTPISPTDGGGSEGTGESGTERGPERALSVCLPQI -PLWDRPIVTARVGGHLCEVLLDTGADDTVLNNIQLEGKWKPKMIGGIGGFIKVKEYDNVTVEIEGREVQG -TVLVGPTPVNIIGRNILTGLGCTLNFPISPIAPVPVKLKPGMDGPKVKQWPLSKEKIEALTAICQEMEQE -GKISRIGPENPYNTPIFAIKKKDGTKWRKLVDFRELNKRTQEFWEVQLGIPHPGGLKQKQSVTVLDVGDA -YFSCPLDPDFRKYTAFTIPSVNNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILDPFRRDNPELEICQYM -DDLYVGSDLPLTEHRKRIELLREHLYQWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQSIQLPNKDVWTV -NDIQKLIGKLNWASQIYQGIRVRELCKLIRGTKSLTEVVPLSREAELELEENRERLKQPVHGVYYQPDKD -LWVNIQKQGGEQWTYQIYQEEHKNLKTGKYTRQKASHTNDIRQLAEVIQKVSQESIIIWGKLPKFKLPVT -RETWETWWADYWQATWIPEWEFVSTPPLIKLWYRLESEPIMGAETYYVDGAANRETKLGKAGYVTEQGKQ -KIIKLDETTNQKAELMAILLALQDSKETVNIVTDSQYALGVISSQPTQSESPIVQQIIEELTKKEQVYLT -WVPAHKGIGGNEKIDKLVSKDIRRVLFLEGIDQAQEDHEKYHSNWKALASEFGLPPVVAKEIIASCPKCH -IKGEAIHGQVDCSPEVWQIDCTHMEGKIIIVAVHVASGFIEAEVIPAETGQETAYFLLKLAARWPVKVIH -TDNGPNFTSTTMKAACWWANIQHEFGIPYNPQSQGVVEAMNKELKSIIQQVRDQAEHLRTAVQMAVFVHN -FKRKGGIGGYTAGERIIDILASQIQTTELQKQILKXHKFRVYYRDSRDPIWKGPAQLLWKGEGAVVIQDK -GDIKVVPRRKAKIIREYGKQMAGTDSMASGQTESE - ->sp|O41798.3|POL_HV19N RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDSWEKIRLRPGGRKKYKLKHIVWASRELGRFALNRDLLETAEGCVQIMKQLQPALTG -TEELRSLFNTVATLYCVHQKIEVKDTKEAPEEVEKIQKNSQQEIQQAAKNEGNSNPVSQNYPIVQNAQGQ -MIHQAISPWTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINDEAAE -WDRIHPQQAGPIPPGQIREPSGSDIAGTTSTLQEQIRWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPVS -ILDIRQGPKEPFRDYVDRFFKTLRAEQATQEVKGWMTDTLLVQNANPDCKTILRALGPGATLEEMMTACQ -GVGGPSHKARVLAEAMSQASGAAAAAIMMQKSNFKGPRRIIKCFNCGKEGHLARNCRAPRKKGCWKCGKE -GHQMKECTERQANFLRENLAFQQGEARKLSPEQDRANSPTSRELRIRRGDSPLPEAGAKGEGAISLNFPQ -ITLWQRPLVTVKIGGQLIEALLDTGADDTVLEGINLPGKWKPKMIGGIGGFIKVRQYDQILIEIGGKKAI -GTVLVGPTPINIIGRNMLTQIGCTLNFPISPIETVPVKLKPGMDGPRVKQWPLTEEKIKALTEICKDMEK -EGKISKIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKRSVTVLDVGD -AYFSVPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPSRTKNPEMVIYQY -MDDLYVGSDLEIGQHRAKIEELREHLLKWGLTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEKEDWT -VNDIQKLVGKLNWASQIYPGIKVKHLCRLLRGAKALTDIVPLTAEAEMELAENREILKEPVHGVYHDPSK -ELIAEVQKQGPDQWTYQIYQEPYKNLKTGKYAKRGSAHTNDVKQLTEVVQKIATEGIVIWGKIPKFKLPI -RKETWEVWWTEYWQAAWIPEWEFVNTPPLVKLWYQLETEPIPGAETYYVDGAANRETKLGKAGHVTDKGK -QKIITLTETTNQKAELHAIQLALQDSRPEVNIVTDSQYALGIIQAQPDRSGSELVNQIIEQLIKKEKVYL -SWVPAHKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEEHERYHSNWRAMASDFNLPPVVAKEIVASCDKC -QLKGEAMHGQVDCSPGIWQLDCTHLEGKIIIVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKVI -HTDNGPNFISAAVKAACWWANITQEFGIPYNPQSQGVVESMNKELKKIIGQVGDQAEHLKTAVQMAVFIH -NFKRKGGIGGYSAGERIIDIIASDIQTKELQKQIIKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQD -NNEIKVVPRRKAKILKDYGKQMAGGDCVAGRQDED - ->sp|Q9QBY3.3|POL_HV196 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLRPGGKKKYKLKHLVWASRELERFALNPGLLETTEGCRQIITQIQPSIQT -GSEEIKSLYNTIAVLYFVHQKIEVKDTKEALDKLEEEQNKSQRKTQQEAADKGVSQNYPIVQNLQGQMVH -QALSPRTLNAWVKVIEEKAFSPEVIPMFTALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINDEAAEWDR -LHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPVPVGEIYKRWIILGLNKIVRMYSPVSILD -IRQGPKEPFRDYVDRFFKTLRAEQATQEVKNWMTDTLLVQNANPDCKTILKALGPGASLEEMMTACQGVG -GPSHKARILAEAMSQVTNPVVMMQKGNFKGHRKIVKCFNCGKEGHIARNCRAPRKKGCWKCGKEGHQMKD -CTERQANFFRENLAFPQGEAREFSSEQTRANSPTSRELRVRGGDNPLSEAGDQRQGTEPSFNFPQITLWQ -RPIVTIKVGGQLREALLDTGADDTVLEEINLPGKWKPKMIGGIGGFIKVRQYDQVLIEICGQKAIGTVLV -GPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICTEMEKEGKIS -KIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYFSV -PLDKDFRKYTAFTIPSINNETPGVRYQYNVLPQGWKGSPAIFQHSMTKILEPFRIKNPEMVIYQYMDDLY -VGSDLEIGQPRTKIEELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPDKDSWTVNDIQ -KLVGKLNWASQIYPGIKVKQLCKLLRGVKALTDIVPLTAEAELELAENREILKEPVHGVYYDPSKDLIAE -IQKQGNDQWTYQIYQEPHKNLKTGKYARMRSAHTNDVKQLTEAVQKIATEGIVIWGKTPKFRLPIQKETW -ETWWTEYWQATWIPEWEFVNTPPLVKLWYQLETEPIVGAETFYVDGAAHRETKKGRAGYVTDRGRQKVVS -ITETTNQKAELQAICLALQDSGSEVNIVTDSQYALGIIQAQPDKSESDLVNQIIEQLIKKERIYLSWVPA -HKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNLPPIVAKEIVASCDKCQLKGE -AIHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKVIHTDNG -TNFTSTVVKAACWWAGVKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIHNFKRK -GGIGGYSAGERIVDIIATDIQTKELQKQILNIQKFRVYYRDSREPIWKGPAKLLWKGEGAVVIQDNSEIK -VVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|Q9IDV9.3|POL_HV1YB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLTGGKLDQWEAIYLRPGGKKKYRLKHLVWASRELERFACNPGLMDTANGCAQLINQLEPALKT -GSEGLRSLXNTLAVLYCVHSNIPVHNTQEALDKIKEKQEQHKSEPKKPEAGTAAAADSSISRNYPLVQNA -QGQMVHQPLTPRTLNAWVKVIEEKAFNPEIIPMFMALSEGATPSDLNSMLNTVGGHQAAMQMLKEVINEE -AAEWDRTHPAPVGPLPPGQMRDPRGSDIAGTTSTLAEQVAWMTSNPPIPVGDIYRRWIVLGLNRIVRMYS -PVSILEIKQGPKEPFRDYVDRFYKTLRAEQATQDVKNWMTETLLVQNANPDCKQILKALGPGATLEEMMT -ACQGVGGPAHKARVLAEAMAQAQTATSVFVQRGNFKGIRKTIKCFNCGKEGHLARNCKAPRRRGCWKCGQ -EGHQMKDCKNEGXQANFRKGLVSLQRETRKLPPDNNKERAHSPATRELWVSGGEEHTGKGDAGEPGEDRD -LSVPTLNFPQITLWQRPVXAVKIGKEIREALLDTGADDTVIEEIQLEGKWKPKMIGGIGGFIKVRQYDNI -TIDIQGRKAVGTVLVGPTPVNIIGRNFLTQIGCTLNFPISPIETVPVKLKPGMDGPRVKQWPLTAEKIEA -LREICTEMEKEGKISRIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQEFWEVQLGIPHPAGLKQK -KSVTVXDVGDAYFSCPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRK -KHPEIIIYQYMDDLYVGSDLEIAQHRETVEELRGHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQP -IKLPEKEVWTVNDIQKLVGKLNWASQIYPGIKVKQLCKLIRGTKALTEVVTFTQEAELELAENREILKEP -LHGVYYDPGKELIAEIQKQGQGQWTYQIYQEPYKNLKTGKYAKXRSAHTNDIKELAAVVQKVATESIVIW -GKTPKFKLPVQKEVWETWWTEHWQATWIPEWEFVNTPPLVKLWYQLETEPISGAETYYVDGAANKETKLG -KAGFVTDRGRQKVVSIENTTNQKAELQAILLALQESGQEANIVTDSQYAMGIIHSQPDKSESDLVGQIIE -ELIKKERVYLSWVPAHKGIGGNEQVDXLVSSGIRXVLFLDGIEKAQEEHERYHSNWKAMASDFNLPPIVA -KEIVASCDKCQLKGEAMHGQINCSPGVWQLDCTHLEGKIILVAVHVASGYLEAEVIPAETGQETAYFILK -LAGRWPVKVIHTDNGPNFISATVKAACWWAGIKQEFGIPYNPQSQGAVESMNKELKKIIGQIRDQAEHLK -TAVQMAVFIHNFKRKGGIGGXTAGERIIDIIATDIQTTKLQTQILKVQNFXVYYRDSRDPIWKGPAKLLW -KGEGAVVIQDNGDIKVVPRRKAKIIRDYGKQMAGDGCVASGQDENQDME - ->sp|O93215.4|POL_HV190 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALNPGLLETPEGCLQIIEQIQPAIKT -GTEELKSLFNLVAVLYCVHRKIDVKDTKEALDKIEEIQNKSQQKTQQAAADKEKDNKVSQNYPIVQNAQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNAMLNTVGGHQAAMQMLKDTINEEAA -EWDRVHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIAWMTGNPAIPVGDIYKRWIILGLNKIVRMYSPV -SILDIKQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTETLLVQNANPDCKTILRALGQGASIEEMMTAC -QGVGGPSHKARVLAEAMSQVTNTNTAIMMQKGNFKGQRKFVKCFNCGKEGHIARNCRAPRKKGCWKCGRE -GHQMKDCTERQAKFFRENLAFQQREARKFSPEQARTNSPTSRELRVRRGDDPLSEAGAAEGQGTSLSFPQ -ITLWQRPLVTVKIEGQLREALLDTGADDTVLEEINLPGKWKPKMIGGIGGFIKVRQYEQVAIEICGKKAI -GTVLVGPTPVNIIGRNILTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICTEMEK -EGKISRIGPENPYSTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVSVLDVGD -AYFSVPLDKEFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILAPFREQNPEMVIYQY -MDDLYVGSDLEIGQHRAKIEELRAHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQTVKLPEKDSWT -VNDIQKLVGKLNWASQIYPNIKVKQLCKLLRGAKALTDIIPLTKEAELELAENREILREPIHGVYYDPSK -DLIAEIRKQGQGQWTYQIYQEPFKNLKTGKYAKMRTAHTNDIKQLTEAVQKISTESIVIWGKIPKFRLPI -QKETWETWWTEYWQATWIPEWEFVNTPHLVKLWYQLETEPIAGAETYYIDGAANRETKLGKAGYVTDRGK -QKVVSLTETTNQKTELQAIYLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVNQIIEELIKKEKVYL -SWVPAHKGIGGNEQVDKLVSSGVRKVLFLDGIDKAQEEHERYHNNWRAVASDFNLPPIVAKEIVASCDKC -QLKGEAMHGQVDCSPGIWQLDCTHLEGQVILVAVHVASGYIEAEVIPAETGKETAYFLLKLASRWPVKVI -HTDNGSNFTSAAVKAACWWADIQQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIH -NFKRKGGIGGYSAGERIIDIIATDIQTKELQKQISNIQKFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQD -NSEIKVVPRREAKIIRDYGKQMAGDDCVASRQDED - ->sp|O91080.3|POL_HV1YF RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLTGGKLDQWESIYLRPGGKKKYRMKHLVWASRELERFACNPGLMDTADGCAKLLNQLEPALKT -GSEELRSLYNALAVLYCVHSRIQIHNTQEALDKIKEKQEQHKPEPKNPEAGAAAATDSNISRNYPLVQTA -QGQMVHQPLTPRTLNAWVKVIEEKAFSPEVIPMFMALSEGATPSDLNTMLNTVGGHQAAMQMLKEVINEE -AADWDRTHPVPVGPLPPGQLRDPRGSDIAGTTSTLAEQVAWMTANPPVPVGDIYRRWIVLGLNRIVRMYS -PVSILEIKQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKQLLKALGPGATLEEMMT -ACQGVGGPAHKARVLAEAMSQVQQPTTSVFAQRGNFKGIRKPIKCFNCGKEGHLARNCKAPRRGGCWKCG -QEGHQMKDCKNEGRQFFREELVSLQRETRKLPPDNNKERAHSPATRELWVSGGEEHTGEGDAGEPGEDRE -LSVPTFNFPQITLWQRPVITVKIGKEVREALLDTGADDTVIEELQLEGKWKPKMIGGIGGFIKVRQYDNI -TVDIQGRKAVGTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTTEKIEA -LREICTEMEKEGKISRIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKQK -KSVTVLDVGDAYFSCPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSTMTKILEPFRE -KHPEIIIYQYMDDLYVGSDLELAQHREAVEDLRDHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQP -IKLPEKDVWTVNDIQKLVGKLNWASQIYPGIRVKQLCKLIRGTKALTEVVNFTEEAELELAENREILKEP -LHGVYYDPGKELVAEIQKQGQGQWTYQIYQELHKNLKTGKYAKMRSAHTNDIKQLVEVVRKVATESIVIW -GKTPKFRLPVQKEVWEAWWTDHWQATWIPEWEFVNTPPLVKLWYQLETEPISGAETFYVDGAANRETKLG -KAGFVTDRGRQKVVSIADTTNQKAELQAILMALQESGRDVNIVTDSQYAMGIIHSQPDKSESELVSQIIE -ELIKKERVYLSWVPAHKGIGGNEQVDKLVSSGIRKILFLDGIEKAQEDHDRYHSNWKAMASDFNLPPIVA -KEIVASCDKCQLKGEAMHGQVNCSPGVWQLDCTHLEGKIILVAVHVASGYLEAEVIPAETGQETAYFILK -LAGRWPVKVIHTDNGSNFTSATVKAACWWANIKQEFGIPYNPQSQGAVESMNKELKKIIGQIRDQAEHLK -TAVQMAVFIHNFKRKGGIGGYTAGERIIDIIATDIQTTNLQTQILKVQNFRVYYRDSRDPIWKGPAKLLW -KGEGAVVIQDNGDIKVVPRRKAKIIRDYGKQMAGDGCVASGQDENQEME - ->sp|O89290.3|POL_HV193 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALDPGLLETSEGCRKIIGQLQPSLQT -GSEELKSLYNTIAVLYYVHQKVEVKDTKEALEKLEEEQNKGRQKTQQATAEKGVSQNYPIVQNLQGQMVH -QSLSPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKDTINEEAAEWDR -LHPTQAGPIPPGQIREPRGSDIAGTTSTLQEQIQWMTGNPPVPVGEMYKRWIILGLNKIVRMYSPVGILD -IRQGPKEPFRDYVDRFFKTLRAEQATQEVKGWMTDTLLVQNANPDCKTILKALGPGATLEEMMTACQGVG -GPSHKARVLAEAMSQATNTAIMMQKSNFKGQRRIVKCFNCGKEGHIAKNCRAPRKKGCWKCGREGHQMKD -CTERQAKFFRENLAFQQGEARKLHPEQARAVSPASRELQVRGGDNPISEAGAERRGTVPSLSFPQITLWQ -RPLVTIRVGGQLKEALLDTGADDTVLEDVNLPGKWKPKMIGGIGGFIKVKQYDSILIEICGHRAIGTVLV -GPTPVNIIGRNMLTQIGCTLHFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICMEMEKEGKIS -KIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYFSV -PLDKDFRKYTASTIPSTNNETPGVRYQYNVLPQGWKGSPAIFQYSMTKILDPFRAKNPDIVIYQYMDDLY -VGSDLEIGQHRTKIEELREHLLKWGLTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPDKDSWTVNDIQ -KLVGKLNWASQIYPGIKVKQLCKLLRGAKALTDIVPLTTEAELELAENREILKEPVHGAYYDPSKDLIAE -IQKQGQGQWTYQIYQEPFKNLKTGKYAKMRSAHTNDVKQLTEAVQKISLESIVIWGKTPKFRLPILKETW -DTWWTEYWQATWIPEWEFVNTPPLVKLWYQLETEPIVGAETFYVDGASNRETKKGKAGYVTDRGRQKAVS -LTETTNQKAELQAIQLALQDSGSEVNIVTDSQYALGIIQAQPDKSESELVNQIIEQLIKKEKVYLSWVPA -HKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNIPAVVAKEIVASCDKCQLKGE -AMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYLEAEVIPAETGQETAYFLLKLAGRWPVKTIHTDNG -TNFTSATVKAACWWAGIQQEFGIPYNPQSQGVVESMNKELKKIIGQIRDQAEHLKTAVQMAVFIHNFKRK -GGIGGYSAGERTIDIIATDIQTRELQKQIIKIQNFRVYYRDSRDPVWKGPAKLLWKGEGAVVIQDNSEIK -VVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|P20876.3|POL_HV2ST RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLRGKKADELEKIRLRPGGKKKYRLKHIVWAANELDRFGLAESLLESKEGCQKILTVLDPLVPT -GSENLKSLFNTVCVIWCIHAEEKAKDTEEAKQKVQRHLVAETKTTEKMPSTSRPTAPPSGNGGNFPVQQV -AGNYTHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDAQHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRPQNPVPVGSIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGINPTLEEMLT -ACQGVGGPGQKARLMAEALKEAMAPAPIPFAAAQQRRTIKCWNCGKEGHSARQCRAPRRQGCWKCGKAGH -IMAKCPERQAGFLRVGPMGKEAPQFPCGPNPAGADTNSTPDRPSRGPTREVHAAREKAERAEREAIQRSD -RGLPAARETRDTMQRDDRGLAAPQFSLWKRPVVTAHVEGQPVEVLLDTGADDSIVAGVELGSNYSPKIVG -GIGGFINTKEYKNVEIRVLNKRVRATIMTGDTPINIFGRNILTALGMSLNLPVAKIEPIKIMLKPGKDGP -KLRQWPLTKEKIEALKEICEKMEREGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFTE -IQLGIPHPAGLAKKKRITVLDVGDAYFSIPLHEDFRQYTAFTLPSINNAEPGKRYIYKVSPQGWKGSPAI -FQYTMRQVLEPFRKANPDIILIQYMDDILIASDRTDLEHDRVVLQLKELLNGLGFSTPDEKFQKDPPYQW -MGYELWPTKWKLQRIQLPQKEVWTVNDIQKLVGVLNWAAQIYPGIKTRNLCRLIRGKMTLTEEVQWTELA -EAELEENKIILSQEQEGCYYQEEKELEATVQKDQDNQWTYKIHQGGKILKVGKYAKVKNTHTNGVRLLAQ -VVQKIGKEALVIWGRIPKFHLPVERDTWEQWWDNYWQVTWIPDWDFISTPPLVRLVFNLVKDPILGAETF -YTDGSCNKQSREGKAGYITDRGRDKVRLLEQTTNQQAELEAFAMAVTDSGPKANIIVDSQYVMGIVAGQP -TESESKIVNQIIEEMIKKEAIYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHSNVK -ELSHKFGLPKLVARQIVNTCTQCQQKGEAIHGQVNAELGTWQMDCTHLEGKIIIVAVHVASGFIEAEVIP -QESGRQTALFLLKLASRWPITHLHTDNGANFTSQEVKMVAWWIGIEQSFGVPYNPQSQGVVEAMNHHLKN -QISRIREQANTVETIVLMAVHCMNFKRRGGIGDMTPAERLINMVTAEQEIQFLQAKNSKLQNFRVYFREG -RDQLWKGPGELLWKGDGAVIVKVGADIKIIPRRKAKIIKDYGGRQEMDSGSNLEGAREDGEVA - ->sp|P12451.3|POL_HV2SB RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGAKNSVLRGKKADELEKIRLRPGGKKKYRLKHIVWAANELDRFGLTESLLESKEGCQKIISVLEPLVPT -GSENLKSLYNTTCVIWCLHAEEKVKDTEEAKRIVGRHLVAETETAEKMPNISRPTAPPSGKGGNFPVQQI -GGNYVHLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDVQHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIEWMYRQENPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKESFQSYVDRFYKSLRAEQTDAAVKNWMTQTLLVQSNPDCKLVLKGLGMNPTLEEMLTA -CQGIGGPGQKARLMAEALKEAMRPAPIPFAAAQQKRAIKCWNCGKEGHSARQCRAPRRQGCWKCGKSGHI -MANCPDRQAGFLRAWTMGKEAPQLPRGPKFAGANTNSTPNGSSSGPTGEVHAAREKTERAETKTIQRSDR -GLAASRARRDTTQRDDRGLAAPQFSLWKRPVVTAYIEDQPVEVLLDTGADDSIVAGIELGSNYSPKIVGG -IGGFINTKEYKDVEIRVLNKKVRATIMTGDTPINIFGRNILTALGMSLNLPVAKIEPVKVTLKPGKDGPK -QRQWPLTREKIEALREICEKMEREGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFTEV -QLGIPHPAGLAKKRRITVLDVGDAYFSIPLYEDFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPAIF -QYTMRQVLEPFRKANPDVIIVQYMDDILIASDRTDLEHDKVVLQLKELLNGLGFSTPDEKFQKDPPYQWM -GYELWPTKWKLQKIQLPQKEVWTVNDIQKLVGVLNWAAQIYPGIKTKHLCKLIRGKMTPTEEVQWTELAE -AELEENKIILSQEQEGHYYQEEKELEATVQKDQDNQWTYKVHQGEKILKVGKYAKIKNTHTNGVRLLAQV -VQKIGKEALVIWGRIPKFHLPVERETWEQWWDNYWQVTWIPDWDFVSTPPLVRLAFNLVKDPIPGAETFY -TDGSCNRQSKEGKAGYITDRGKDKVRILEQTTNQQAELEAFAMAVTDSGPKVNIVVDSQYVMGIVTGQPA -ESESRIVNKIIEEMIKKEAIYVAWVPAHKGIGGNQEIDHLVSQGIRQVLFLERIEPAQEEHGKYHSNVKE -LAHKFGLPNLVARQIVNTCAQCQQKGEAIHGQVNAELGTWQMDCTHLEGKIIIVAVHVASGFIEAEVIPQ -ESGRQTALFLLKLASRWPITHLHTDNGANFTSQEVKMVAWWVGIEQSFGVPYNPQSQGVVEAMNHHLKNQ -IERIREQANTMETIVLMAVHCMNFKRRGGIGDMTPVERLVNMITTEQEIQFLQAKNSKLKNFRVYFREGR -NQLWQGPGELLWKGDGAVIVKVGTDIKVIPRRKAKIIRDYGPRQEMDSGSHLEGAREDGEMA - ->sp|P04584.3|POL_HV2RO RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLRGKKADELERIRLRPGGKKKYRLKHIVWAANKLDRFGLAESLLESKEGCQKILTVLDPMVPT -GSENLKSLFNTVCVIWCIHAEEKVKDTEGAKQIVRRHLVAETGTAEKMPSTSRPTAPSSEKGGNYPVQHV -GGNYTHIPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AAEWDVQHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRPQNPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEVIGPAPIPFAAAQQRKAFKCWNCGKEGHSARQCRAPRRQGCWKCGKPGH -IMTNCPDRQAGFLRTGPLGKEAPQLPRGPSSAGADTNSTPSGSSSGSTGEIYAAREKTERAERETIQGSD -RGLTAPRAGGDTIQGATNRGLAAPQFSLWKRPVVTAYIEGQPVEVLLDTGADDSIVAGIELGNNYSPKIV -GGIGGFINTKEYKNVEIEVLNKKVRATIMTGDTPINIFGRNILTALGMSLNLPVAKVEPIKIMLKPGKDG -PKLRQWPLTKEKIEALKEICEKMEKEGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFT -EIQLGIPHPAGLAKKRRITVLDVGDAYFSIPLHEDFRPYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPA -IFQHTMRQVLEPFRKANKDVIIIQYMDDILIASDRTDLEHDRVVLQLKELLNGLGFSTPDEKFQKDPPYH -WMGYELWPTKWKLQKIQLPQKEIWTVNDIQKLVGVLNWAAQLYPGIKTKHLCRLIRGKMTLTEEVQWTEL -AEAELEENRIILSQEQEGHYYQEEKELEATVQKDQENQWTYKIHQEEKILKVGKYAKVKNTHTNGIRLLA -QVVQKIGKEALVIWGRIPKFHLPVEREIWEQWWDNYWQVTWIPDWDFVSTPPLVRLAFNLVGDPIPGAET -FYTDGSCNRQSKEGKAGYVTDRGKDKVKKLEQTTNQQAELEAFAMALTDSGPKVNIIVDSQYVMGISASQ -PTESESKIVNQIIEEMIKKEAIYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHSNV -KELSHKFGIPNLVARQIVNSCAQCQQKGEAIHGQVNAELGTWQMDCTHLEGKIIIVAVHVASGFIEAEVI -PQESGRQTALFLLKLASRWPITHLHTDNGANFTSQEVKMVAWWIGIEQSFGVPYNPQSQGVVEAMNHHLK -NQISRIREQANTIETIVLMAIHCMNFKRRGGIGDMTPSERLINMITTEQEIQFLQAKNSKLKDFRVYFRE -GRDQLWKGPGELLWKGEGAVLVKVGTDIKIIPRRKAKIIRDYGGRQEMDSGSHLEGAREDGEMA - ->sp|P05962.3|POL_HV2NZ RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLRGKKADELEKIRLRPGGKKKYKLKHIVWAANELDRFGLAESLLESKEGCQKILTVLDPLVPT -GSENLKSLFNTVCVIWCIHAEEKVKDTEGAKQIVQRHLVAETGTAEKMPNTSRPTAPPSGKNFPVQQVAG -NYTHIPLSPGTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEEAA -DWDVAHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRPQNPVPVGNIYRRWIQIGLQKCVRMYNPT -NILDINQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTTC -QGVGGPGQKARLMAEALKEVMAPAPIPFAAAQQRKTFKCWNCGKEGHSARQWSAPRRQGCWKCGKSGHVM -ANCPDRQAGFLRDWPLGKEGPQLPRGPSPAGANTNSTPIGSSSGPTGEIYAARKKAKGAERETVQGSDRG -LTAFRAGRDTMQGDDRGLAAPQFSLWKRPVVTAHIEGQPVEVLLDTRANDSIVAGIELGSNYSPKIVGGI -GGFINTKEYKNVEIEVLGKRVKATIMTGDTPINIFGRNVLTALGMSLNLPVAKIEPIKIMLKPGKDGPRL -KQWPLTKEKIEALKEICEKMEKEGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFTEIQ -LGIPHPAGLAKKRRITVLDVGDAYFSIPLHEDFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPAIFQ -YTMRQILEPFRKANEDVIIIQYMDDILIASDRTDLEHDKVVLQLKELLNGLGFSTPDEKFQKDPPYRWMG -YELWPTKWKLQKIQLPQKEVWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIRGKMTLTEEVQWTELAEA -ELEENRIILSQKQEGHYYQEEKKLEATVQKDQDNQWTYKVHQGEKILKGGKICKDKKYPYQRVRLLAQVV -QKIGKEALVIWGRIPKFHLPVERDTWEQWWDNYWQVTWIPDWDFVSTPPLVRLAFNLVGEPVPGAETFYT -DGSCNRQSKEGKAGYITDRGRDRVKVLEQTTNQQAELEAFAMALTDSGPKANIIVDSQYVMGIVAGQPTE -SENRIVNQIIEEMIKKEAIYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHSNIKEL -SHKFGIPKLVARQIVNTCAHVQQKGEAIHGQVNAELGTWQMDCTHLEGKVIIVAVHVASGFIEAEVIPQE -SGRQTALFLLKLASRWPITHLHTDNGANFTSQEVKMVAWWVGIEQTFGVPYNPQSQGVVEAMNHHLKNQI -DRIREQANTVETIVLMAVHCMNFKRRGGIGDMTPAERIINMITTEQEIQFLQAKNSKLKNFRVYFREGRD -QLWKGPGELLWKGDGAVIVKVGTEIKVVPRRKAKIIKDYGGRQEMDSGSHLEGAREDGEMA - ->sp|Q74120.3|POL_HV2KR RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARSSVLRGKKVDELEKIRLRPGGKKKYRLKHIVWAANELGKFGLAESLLESKEGCQKIITVLDPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEGAKQIVQRHLVAETGTADKMPSTSRPAAPPSGRGGNYPVQQI -AGNYSHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDVQHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRAQNPIPVGNIYRRWIQIGLQKCVRMYN -PTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGMNPTLEEMLT -ACQGIGGPGQKARLMAEALKEALAPAPIPFAAAQQRRTIKCWNCGKDGHSARQCRAPRRQGCWKCGKSGH -VMANCPERQAGFLRDWPMGKEASQLPRDPSPAGADTNSTPSRPSSRPAREVLAAREEAERAENETIQGGD -RGLTAPRTRRDTTQRGDRGFAAPQFSLWKRPVVTAYVEGQPVEVLLDTGADDSIVAGIELGSNYSPKIVG -GIGGFINTKEYKNVEIKVLNKKVKATIMTGDTPINIFGRNILTALGMSLNLPVAKVDPIKVILKPGKDGP -KVRQWPLTKEKIEALKEICEKMEREGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQEFTE -IQLGIPHPAGLAKKRRITVLDIGDAYFSIPLHEDFRQYTAFTLPTVNNAEPGKRYIYKVLPQGWKGSPAI -FQHTMRQVLEPFRKANPDVILVQYMDDILIASDRTDLEHDRTVLQLKELLNGLGFSTPDEKFQKDPPYKW -MGYELWPTKWKLQKIQLPQKEVWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIRGKMTLTEEVQWTELA -EAELEENKIILSQEQEGCYYQEEKELEATVQKDQDNQWTYKIHQGEKILKVGKYAKIKNTHTNGVRLLAH -VVQKIGKEALVIWGRIPKFHLPVERETWEQWWDNYWQVTWIPDWDFVSTPPLVRLAFNLVKDPIPGEETF -YTDGSCNRQSKEGKAGYITDRGRDKVRILEQTTNQQAELEAFAMALTDSGPKANIIVDSQYVMGIVAGQP -TESESKLVNQIIEEMIKKETLYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHSNVK -ELSHKFGLPKLVARQIVNTCAQCQQKGEAIHGQVDAELGTWQMDCTHLEGKIIIVAVHVASGFIEAEVIP -QETGRQTALFLLKLASRWPITHLHTDNGANFTSQEVKMVAWWTGIEQSFGVPYNPQSQGVVEAMNHHLKN -QISRIREQANTMETIVLMAVHCMNFKRRGGIGDMTPAERLINMITTEQEIQFLHAKNSKLKNFRVYFREG -RDQLWKGPGELLWKGDGAVIVKVGTDIKIVPRRKAKIIRDYGGRREVDSSSHLEGTREDGEVA - ->sp|P18042.4|POL_HV2G1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLRGKKADELEKIRLRPSGKKKYRLKHIVWAANELDKFGLAESLLESKEGCQKILTVLDPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEEAKKLVQRHLGAETGTAEKMPSTSRPTAPPSGRGRNFPVQQT -GGGNYIHVPLSPRTLNAWVKLVEDKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIIND -EAADWDAQHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIQWMYRPQNPVPVGNIYRRWIQIGLQKCVRMY -NPTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEML -TACQGVGGPGQKARLMAEALKEALTPPPIPFAAAQQRKVIRCWNCGKEGHSARQCRAPRRQGCWKCGKTG -HVMAKCPERQAGFLRDGSMGKEAPQLPRGPSSSGADTNSTPSRSSSGSIGKIYAAGERAEGAEGETIQRG -DGRLTAPRAGKSTSQRGDRGLAAPQFSLWKRPVVTAYIEVQPVEVLLDTGADDSIVAGIQLGDNYVPKIV -GGIGGFINTKEIKNIEIKVLNKRVRATIMTGDTPINIFGRNILTALGMSLNLPIAKIEPIKVTLKPGKDG -PRLRQWPLTKEKIEALREICEKMEKEGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNRVTQDFT -EIQLGIPHPAGLAKKKRITVLDVGDAYFSIPLHEDFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPA -IFQHTMRQVLEPFRKANPDVILIQYMDDILIASDRTGLEHDKVVLQLKELLNGLGFSTPDEKFQKDPPLQ -WMGYELWPTKWKLQKLQLPQKEIWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIKGKMTLTEEVQWTEL -AEAELEENKIILSQEQEGYYYQEEKELEATIQKNQDNQWTYKIHQEEKILKVGKYAKIKNTHTNGVRLLA -QVVQKIGKEALVIWGRIPKFHLPVERETWEQWWDNYWQVTWIPEWDFVSTPPLVRLTFNLVGDPIPGAET -FYTDGSCNRQSKEGKARYVTDRGRDKVRVLERTTNQQAELEAFAMTLTDSGPKVNIIVDSQYVMGIVVGQ -PTESESRIVNQIIEDMIKKEAVYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLERIEPAQEEHEKYHSNM -KELTHKFGIPQLVARQIVNTCAQCQQKGEAIHGQVNAEIGVWQMDCTHLEGKIIIVAVHVASGFIEAEVI -PQESGRQTALFLLKLASRWPITHLHTDNGSNFTSQEVKMVAWWIGIEQSFGVPYNPQSQGVVEAMNHHLK -NQISRIREQANTIETIVLMAVHCMNFKRRGGIGDMTPAERLINMITTEQEIQFLQRKNSNFKNFQVYYRE -GRDQLWKGPGELLWKGDGAVIVKVGADIKVIPRRKAKIIRDYGGRQELDSSHLEGAREEDGEVA - ->sp|P15833.3|POL_HV2D2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARGSVLSGKKTDELEKVRLRPGGKKKYMLKHVVWAVNELDRFGLAESLLESKEGCQKILKVLAPLVPT -GSENLKSLFNIVCVIFCLHAEEKVKDTEEAKKIAQRHLAADTEKMPATNKPTAPPSGGNYPVQQLAGNYV -HLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEEAADWD -QQHPSPGPMPAGQLRDPRGSDIAGTTSTVEEQIQWMYRAQNPVPVGNIYRRWIQLGLQKCVRMYNPTNIL -DIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTACQGI -GGPGQKARLMAEALKEALTPAPIPFAAVQQKAGKRGTVTCWNCGKQGHTARQCRAPRRQGCWKCGKTGHI -MSKCPERQAGFLRVRTLGKEASQLPHDPSASGSDTICTPDEPSRGHDTSGGDTICAPCRSSSGDAEKLHA -DGETTEREPRETLQGGDRGFAAPQFSLWRRPVVKACIEGQSVEVLLDTGVDDSIVAGIELGSNYTPKIVG -GIGGFINTKEYKDVEIEVVGKRVRATIMTGDTPINIFGRNILNTLGMTLNFPVAKVEPVKVELKPGKDGP -KIRQWPLSREKILALKEICEKMEKEGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNKVTQDFTE -VNWVFPTRQVAEKRRITVIDVGDAYFSIPLDPNFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSQSIC -QYSMRKVLDPFRKANSDVIIIQYMDDILIASDRSDLEHDRVVSQLKELLNDMGFSTPEEKFQKDPPFKWM -GYELWPKKWKLQKIQLPEKEVWTVNAIQKLVGVLNWAAQLFPGIKTRHICKLIRGKMTLTEEVQWTELAE -AELQENKIILEQEQEGSYYKERVPLEATVQKNLANQWTYKIHQGNKVLKVGKYAKVKNTHTNGVRLLAHV -VQKIGKEALVIWGEIPVFHLPVERETWDQWWTDYWQVTWIPEWDFVSTPPLIRLAYNLVKDPLEGRETYY -TDGSCNRTSKEGKAGYVTDRGKDKVKVLEQTTNQQAELEAFALALTDSEPQVNIIVDSQYVMGIIAAQPT -ETESPIVAKIIEEMIKKEAVYVGWVPAHKGLGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHGNVKE -LVHKFGIPQLVAKQIVNSCDKCQQKGEAIHGQVNADLGTWQMDCTHLEGKIIIVAVHVASGFIEAEVIPQ -ETGRQTALFLLKLASRWPITHLHTDNGANFTSPSVKMVAWWVGIEQTFGVPYNPQSQGVVEAMNHHLKNQ -IDRLRDQAVSIETVVLMATHCMNFKRRGGIGDMTPAERLVNMITTEQEIQFFQAKNLKFQNFQVYYREGR -DQLWKGPGELLWKGEGAVIIKVGTEIKVVPRRKAKIIRHYGGGKGLDCSADMEDTRQAREMAQSD - ->sp|P17757.3|POL_HV2D1 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLRGKKADELEKVRLRPNGKKRYRLKHVVWAANELDRFGLAESLLESKEGCQKILKVLEPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEEAKKLAQRHLVAETGTAEKMPNISRPTAPPSGKGGNFPVQQA -GGNYIHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDAQHPIPGPLPAGQLRDPRGSDIAGTTSTVDEQIQWMYRQPNPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDVKQGPKESFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPSQKARLMAEALKEALTPAPIPFAAAQQRRAIRCWNCGKEGHSAKQCRAPRRQGCWKCGKSGH -IMANCPERQAGFLDGPTGKAAPQLPRGPSSSGADTNSTPNRSSSGPVGEIYAAREKAERAEGETIQGGDG -GLTAPRAGRDAPQRGDRGLATPQFSLWKRPVVTAFIEDQPVEVLLDTGADDSIVAGIELGDNYTPKIVGG -IGGFINTKEYKNVEIKVLNKRVRATIMTGDTPINIFGRNILATLGMSLNLPVAKLDPIKVTLKPGKDGPR -LKQWPLTKEKIEALKEICEKMEREGQLEEAPPTNPYNTPTFAIKKKDKNKWRMLIDFRELNRVTQDFTEI -QLGIPHPAGLAKKKRITVLDVGDAYFSIPLHEDFRQYTAFTLPSVNNAEPEKRYVYKVLPQGWKGSPAIF -QFMMRQILEPFRKANPDVILIQYMDDILIASDRTGLEHDKVVLQLKELLNGLGFSTPDEKFQKDPPFQWM -GYELWPTKWKLQKIQLPQKEIWTVNDIQKLVGVLNWAAQIYPGIKTKHLCKLIRGKMTLTEEVQWTELAE -AELEENKIILSQEQEGSYYQEEEELEATVIKSQDNQWAYKIHQGERVLKVGKYAKIKNTHTNGVRLLAQV -VQKIGKEALVIWGRVPKFHLPVERDTWEQWWDNYWQVTWVPEWDFVSTPPLVRLTFNLVGDPIPGTETFY -TDGSCNRQSKEGKAGYVTDRGRDRVRVLEQTSNQQAELEAFAMALADSGPKVNIIVDSQYVMGIVAGQPT -ESENRIVNQIIEDMIKKEAVYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHSNIKE -LTHKFGIPQLVARQIVNTCAQCQQKGEAIHGQVNAEIGVWQMDCTHLEGKIIIVAVHVASGFIEAEVIPQ -ESGRQTALFLLKLASRWPITHLHTDNGPNFTSQEVKMVAWWIGIEQSFGVPYNPQSQGVVEAMNHHLKNQ -ISRIREQANTIETIVLMAVHCMNFKRRGGIGDMTPAERLINMITTEQEIQFLQRKNSNFKKFQVYYREGR -DQLWKGPGELLWKGDGAVIVKVGADIKVVPRRKAKIIRDYGGRQELDSSSHLEGAREDGEVA - ->sp|P24107.3|POL_HV2CA RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARNSVLRGKKADELEKVRLRPGGKKKYKLKHIVWAANELDRFGLAESLLESKEGCQRILKVLDPLVPT -GSENLKSLFNTVCVIWCIHAEEKVKDTEEAKRIALRHLAAETGTAEKMPDTSRPTAPPSGKGGNYPVQSI -GGNYTHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDANHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIQWMFRAQNPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKESFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEAMGPPPIPFAAAQQRRTIKCWNCGKEGHSARQCRAPRRQGCWKCGKPGH -IMTNCPDRQAGFLRDWPLGKEAPQFPRGPSSTGANTNSTPIGSSSGSTGEIYAAREKAEGAETETIQRGD -RGLTAPRTRRGPMQGDNRGLAAPQFSLWKRPVVTAHIEGQPVEVLLDTGADDSIVAGIELGSNYSPKIVG -GIGGFINTKEYKNVEIEVLGKRVRATIMTGDTPINIFGRNILTALGMSLNLPVAKIEPIKIMLKPGKDGP -RLRQWPLTKEKIEALKEICEKMEKEGQLEEAPPTNPYNTPTFAIRKKDKNKWRMLIDFRELNKVTQDFTE -IQLGIPHPAGLAKKRRITVLDVGDAYFSIPLHEDFRQYTAFTLPSVNNAEPGKRYIYKVLPQGWKGSPAI -FQYTMRQVLEPFRKANSDVIIIQYMDDILIASDRTDLEHDKVVLQLKELLNNLGFSTPDEKFQKDPPYRW -MGYELWPTKWKLQKIQLPQKEVWTVNDIQKLVGVLNWAAQIYPGIKTKHLCRLIRGKMTLTEEVQWTELA -EAELEENRIILSQEQEGHYYQEEKELEATVQKDQDNQWTYKIHQEEKILKVGKYAKIKHTHTNGVKLLAQ -VVQKIGKEALVIGRIPKFHLPVEREVWEQWWDNYWQVTWIPDWDFVSTPPLVRLAFNLVGDPIPGTETFY -TDGSCNRQSKEGKAGYVTDRGRDKVKILEQTTNQQAELEAFAMALTDSGPKANIIVDSQYVMGIVAGQPT -ESENRIVNQIIEEMIKKEAIYVAWVPAHKGIGGNQEVDHLVSQGIRQVLFLEKIEPAQEEHEKYHTNVKE -LCHKFDIPQLVARQIVNTCAQYQQKGEAIHGQVNAEVGTWQMDCTHLEGKIIIVAVHVASGFIEAEVIPQ -ESGRQTALFLLKLASRWPITHLHTDNGANFTSQEVKMVAWWVGIEQTFGVPYNPQSQGVVEAMNHHLKNQ -ISRIREQANTVETIVLMAVHCMNFKRRGGIGDMTPSERLINMITTEQEIQFLQAKNSKLKNFRVYFREGR -DQLWKGPGELLWKGDGAVIVKVGTDIKIIPRRKAKIIRDYGGRQELDSSSHLEGARENGEVA - ->sp|P12499.3|POL_HV1Z2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALNPGLLETSDGCKQIIGQLQPAIRT -GSEELRSLFNTVATLYCVHERIEVKDTKEALEKMEEEQNKSKNKKAQQAAADAGNNSQVSQNYPIVQNLQ -GQMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEA -AEWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPIPVGEIYKRWIILGLNKIVRMYSP -VSILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKGWMTETLLVQNANPDCKTILKALGPQATLEEMMTA -CQGVGGPSHKARVLAEAMSQATNSAAAVMMQRGNFKGPRKTIKCFNCGKEGHIAKNCRAPRRKGCWKCGK -EGHQLKDCTERQANFLREDLAFPQGKAGELSSEQTRANSPTSRELRVWGRDNPLSETGAERQGTVSFNCP -QITLWQRPLVTIKIGGQLKEALLDTGADDTVLEEMNLPGKWKPKMIGGIGGFIKVRQYDQILIEICGHKA -IGTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICTEME -KEGKISRVGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVG -DAYFSVPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPEIVIYQ -YMDDLYVGSDLEIGQHRTKIEELREHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQSIKLPEKESW -TVNDIQKLVGKLNWASQIYPGIKVRQLCKLLRGTKALTEVIPLTEEAELELAENREILKEPVHGVYYDPS -KDLIAEIQKQGHGQWTYQIYQEPFKNLKTGKYARMRGAHTNDVKQLAEVVQKISTESIVIWGKTPKFRLP -IQKETWETWWVEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIIGAETFYVDGAANRETKLGKAGYVTDRG -RQKVVPFTDTTNQKTELQAINLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKEKVY -LAWVPAHKGIGGNEQVDKLVSQGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNLPPVVAKEIVASCDK -CQLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKI -VHTDNGSNFTSAAVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFI -HNFKRKGGIGGYSAGERIIDIIATDIQTKELQKQITKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQ -DNSDIKVVPRRKVKIIRDYGKQMAGDDCVASRQDED - ->sp|P35963.3|POL_HV1Y2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSAGELDKWEKIRLRPGGKKQYRLKHIVWASRELERFAVDPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQKIEVKDTKEALEKIEEEQNKSKKKAQQAAADTGNSSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLREDLAFPQGKARKFSSEQTRANSPIRRERQVWRRDNNSLSEAGADRQGTVSFSFPQ -ITLWQRPLVTIKIGGQLKEALLDTGADDTVLEEMNLPGRWKPKMIGGIGGFIKVRQYDQIPIEICGHKAI -GTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICTEMEK -EGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGD -AYFSVPLHEDFRKYTAFTIPSINNETPGTRYQYNVLPQGWKGSPAIFQSSMTTILEPFRKQNPDLVIYQY -MDDLYVGSDLEIGQHRTKIEELRQHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIVLPEKDSWT -VNDIQKLVGKLNWASQIYAGIKVRQLCKLLRGTKALTEVIPLTEEAELELAENREILKEPVHGVYYDPSK -DLIAEIQKQGQGQWTYQIYQEPFKNLKTGKYARTRGAHTNDVKQLTEAVQKIATESIVIWGKTPKFKLPI -QKETWETWWTEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIIGAETFYVDGAANRETKLGKAGYVTNKGR -QKVVSLTDTTNQKTELQAIYLALQDSGLEVNIVTDSQYALGIIQAQPDRSESELVSQIIEQLIKKEKVYL -AWVPAHKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQEEHEKYHSNWRAMASDFNLPPVVAKEIVASCDKC -QLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWPVTTI -HTDNGSNFTSATVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIH -NFKRKGGIGGYSAGERIVDIIATDIQTKELQKQITKIQNFRVYYRDSRDPLWKGPAKLLWKGEGAVVIQD -NSDIKVVPRRKAKIIRDYGKQMAGDDCVAGRQDED - ->sp|P24740.3|POL_HV1U4 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGKKLDSWEKIRLRPGGNKKYRLKHLVWASRELEKFTLNPGLLETAEGCQQILGQLQPALQT -GTEELRSLYNTVAVLYCVHQRIDVKDTKEALNKIEEMQNKNKQRTQQAAANTGSSQNYPIVQNAQGQPVH -QALSPRTLNAWVKVVEDKAFSPEVIPMFSALSEGATPQDLNMMLNVVGGHQAAMQMLKDTINEEAAEWDR -LHPVHAGPIPPGQMREPRGSDIAGTTSTVQEQIGWMTGNPPIPVGDIYRRWIILGLNKIVRMYSPVSILD -IRQGPKEPFRDYVDRFFKTLRAEQATQDVKNWMTETLLVQNANPDCKSILRALGPGATLEEMMTACQGVG -GPGHKARVLAEAMSQVQQTSIMMQRGNFRGPRRIKCFNCGKEGHLAKNCRAPRKKGCWKCGKEGHQMKDC -TERQANFLRENLAFQQGEAREFSSEQTRANSPTSRNLWDGGKDDLPCETGAERQGTDSFSFPQITLWQRP -LVTVKIGGQLIEALLDTGADDTVLEDINLPGKWKPKIIGGIGGFIKVRQYDQILIEICGKKTIGTVLVGP -TPVNIIGRNMLTQIGCTLNFPISPIETVPVKLKPEMDGPKVKQWPLTEEKIKALTEICNEMEKEGKISKI -GPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHTAGLKKKKSVTVLDVGDAYFSVPL -DESFRKYTAFTIPSINNETPGVRYQYNVLPQGWKGSPSIFQSSMTKILEPFRSQHPDIVIYQYMDDLYVG -SDLEIGQHRAKIEELRAHLLSWGFITPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPEKDSWTVNDIQKL -VGKLNWASQIYAGIKVKQLCKLLRGAKALTDIVTLTEEAELELAENREILKDPVHGVYYDPSKDLVAEIQ -KQGQDQWTYQIYQEPFKNLKTGKYARKRSAHTNDVKQLTEVVQKVSTESIVIWGKIPKFRLPIQKETWEA -WWMEYWQATWIPEWEFVNTPPLVKLWYQLEKDPIAGAETFYVDGAANRETKLGKAGYVTDRGRQKVVSLT -ETTNQKTELHAIHLALQDSGSEVNIVTDSQYALGIIQAQPDRSESEIVNQIIEKLIEKEKVYLSWVPAHK -GIGGNEQVDKLVSSGIRKVLFLDGIDKAQEDHEKYHCNWRAMASDFNLPPVVAKEIVASCNKCQLKGEAM -HGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKVIHTDNGSN -FTSAAVKAVCWWANIQQEFGIPYNPQSQGVVESMNKELKKIIGQVREQAEHLKTAVQMAVFIHNFKRKGG -IGGYSAGERIIDIIATDIQTKELQKQISKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQDNSDIKVV -PRRKAKIIRDYGKQMAGDDCMAGRQDED - ->sp|P05959.3|POL_HV1RH RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDKWEKIRLRPRGKKRYKLKHIVWASRELERFAVNPSLLETAEGCRQILGQLQPALQT -GSEELKSLYNAVATLYCVHQNIEVRDTKEALDKIEEEQNKSKKKAQQAAADTGNGSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPI -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETFLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPSHKARILAEAMSQVTNSATIMLQKGNFRDQRKIVKCFNCGKVGHIAKNCRAPRKKGCWKCGKEG -HQMKDCTNEGRQANFLRENLAFPQGKARELSSEQTRANSPTRRELQVWGRDNSLSEAGEDRQGTVSFSFP -QITLWQRPIVTVKIGGQLKEALLDTGADDTVLEEMNLPGKWKPKMIGGIGGFIKVRQYDQILIEICGHKA -IGTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICTEME -KEGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVG -DAYFSVPLDKEFRKYTAFTIPSINNETPRIRYQYNVLPQGWKGSPAIFQSSMTKILEPFKKQNPEIVIYQ -YMDDLYVGSDLEIGQHRIKIEELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIVLPEKDSW -TVNDIQKLVGKLNWASQIYAGIKVKQLCKLLRGTKALTEVVQLTKEAELELAENREILKEPVHGVYYDPS -KDLIAEIQKQGQGQWTYQIYQEPFKNLKTGKYARMRGAHTNDVKQLTEAVQKVATESIVIWGKTPKFKLP -IQKETWEAWWTEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIIGAETFYVDGAANRETKLGKAGYVTDRG -RQKVVSLTDTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKEKVY -LAWVPAHKGIGGNEQVDRLVSTGIRKVLFLDGIDKAQDEHEKYHSNWRAMASDFNLPPVVAKEIVASCDK -CQLKGEAMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKV -IHTDNGSNFTSTTVKAACWWAGIKQEFGIPYNPQSQGVVESMNKQLKQIIGQVRDQAEHLKTAVQMAVFI -HNFKRKGGIGGYSAGERIVDIIATDIQTKELQKQITKIQNFRVYYRDSRDPLWKGHAKLLWKGEGAVVIQ -DNSDIKVVPRRKAKIIRDYGKQMAGDDCVASRQDED - ->sp|P20892.3|POL_HV1OY RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGELDKWEKIRLRPGGKKKYQLKHIVWASRELERFAINPGLLETSEGCRQILGQLQPSLKT -GSEEIRSLYNTVATLYCVHQKIEVKDTKEALDKIEEEQNKSKKKAQQTAADTGNSSQVSQNYPIVQNLQG -QMVHQPISPRTLNAWVKVVEEKAFSPEVIPMFSALAEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVNSVTVMMQKGNFKNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWKCGREGH -QMKDCTERQANFLREDLAFPQGKAREFSSEQTRANSPTSRELRVWGRDNNSPSEAGADRQGTVSFNLPQI -TLWQRPIVTIKIGGQLKEALLDTGADDTVLEEMNLPGRWKPKMIGGIGGFIKVRQYDQILIEICGHKAIG -TVLVGPTPVNIIGRNLLTQLGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKVLIEICTEMEKE -GKISKVGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDA -YFSVPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPDIVIYQYM -DDLYVGSDLEIGQHRTKIEELRQHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIMLPEKDSWTV -NDIQKLVGKLNWASQIYAGIKVKNLCKLLRGTKALTEVIPLTEEAELELAENREILKEPVHGVYYDPSKD -LVAELQKQGQGQWTYQIYQEPFKNLKTGKYARMRGAHTNDVKQLTEAVQKITQESIVIWGKTPKFKLPIQ -KETWEAWWTEYWQATWIPEWEFVNTPPLVKLWYQLEKDPIVGAETFYVDGAANRETKLGKAGYVTDRGRQ -KVVSLTDTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKEKVYLA -WVPAHKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQEEHEKYHSNWRAMASDFNLPPVVAKEIVASCDKCQ -LKGEAMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFILKLAGRWPVKTIH -TDNGSNFTSTTVKAACWWAGIKQEFGIPYNPQSQGVVESMNNELKKIIGQVRDQAEHLKTAVQMAVFIHN -FKRKGGIGGYSAGERIVDIIATDIQTKELQKQITKIQNFRVYYRDSREPLWKGPAKLLWKGEGAVVIQDN -SDIKVVPRRKAKIIRDYGKQMAGDDCVASRQDED - ->sp|P18802.3|POL_HV1ND RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDTWERIRLRPGGKKKYALKHLIWASRELERFTLNPGLLETSEGCKQIIGQLQPSIQT -GSEEIRSLYNTVATLYCVHERIEVKDTKEAVEKMEEEQNKSKKKTQQAAADSSQVSQNYPIVQNLQGQMV -HQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINDEAAEWD -RLHPVHAGPVAPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPVSIL -DIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPQATLEEMMTACQGV -GGPGHKARVLAEAMSQVTGSATAVMMQRGNFKGPRKSIKCFNCGKEGHTAKNCRAPRKKGCWKCGREGHQ -MKDCTERQANFLREDLAFPQGKAGEFSSEQTRANSPTSRELRVWGGDNPLSETGAERQGTVSFSFPQITL -WQRPLVTIKIGGQLKEALLDTGADDTVLEEINLPGKWKPKMIGGIGGFIKVRQYDQILIEICGYKAMGTV -LVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICTEMEKEGK -ISRIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGDAYF -SVPLDEDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPEIVIYQYMDD -LYVGSDLEIGQHRTKIEELREHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPINLPEKESWTVND -IQKLVGKLNWASQIYAGIKVKQLCKLLRGTKALTEVVPLTEEAELELAENREILKEPVHGVYYDPSKDLI -AELQKQGDGQWTYQIYQEPFKNLKTGKYARTRGAHTNDVKQLTEAVQKIATESIVIWGKTPKFKLPIQKE -TWETWWIEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIIGAETFYVDGAANRETKLGKAGYVTDRGRQKV -VPFTDTTNQKTELQAINLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKEKVYLAWV -PAHKGIGGNEQVDKLVSQGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNLPPVVAKEIVASCDKCQLK -GEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWPVKVVHTD -NGSNFTSATVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIHNFK -RKGGIGGYSAGERIIDIIATDIQTRELQKQIIKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQDNSD -IKVVPRRKVKIIRDYGKQMAGDDCVASRQDED - ->sp|P05961.3|POL_HV1MN RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGELDRWENIRLRPGGKKKYKLKHVVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELKSLYNTVATLYCVHQKIEIKDTKEALEKIEEEQNKSKKKAQQAAADTGNRGNSSQVSQNYPIVQN -IEGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINE -EAAEWDRLHPVHAGPITPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMY -SPSSILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNRTTETLLVQNANPDCKTILKALGPAATLEEMM -TACQGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKIIKCFNCGKEGHIAKNCRAPRKRGCWKCG -KEGHQMKDCTERQANFLREDLAFLQGKAEFSSEQNRANSPTRRELQVWGRDNNSLSEAGEEAGDDRQGPV -SFSFPQITLWQRPIVTIKIGGQLKEALLDTGADDTVLGEMNLPRRWKPKMIGGIGGFIKVRQYDQITIGI -CGHKAIGTVLVGPTPVNIIGRNLLTQLGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALIEI -CTEMEKEGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKKTQDFWEVQLGIPHPAGLKKKKSVT -VLDVGDAYFSVPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPD -IVIYQYMDDLYVGSDLEIGQHRAKIEELRRHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIVLP -EKDSWTVNDIQKLVGKLNWASQIYAGIKVKQLCKLLRGTKALTEVIPLTEEAELELAENREILKEPVHGV -YYDPSKDLIAEVQKQGQGQWTYQIYQEPFKNLKTGKYARMRGAHTNDVKQLTEAVQKIATESIVIWGKTP -KFRLPIQKETWETWWTEYTXATWIPEWEVVNTPPLVKLWYQLEKEPIVGAETFYVDGAANRETKKGKAGY -VTNRGRQKVVSLTDTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIK -KEKVYLAWVPAHKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQEDHEKYHSNWRAMASDFNLPPIVAKEIV -ASCDKCQLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGR -WPVKTIHTDNGPNFTSTTVKAACWWTGIKQEFGIPYNPQSQGVIESMNKELKKIIGQVRDQAEHLKRAVQ -MAVFIHNFKRKGGIGGYSAGERIVGIIATDIQTKELQKQITKIQNFRVYYRDSRDPLWKGPAKLLWKGEG -AVVIQDNNDIKVVPRRKAKVIRDYGKQTAGDDCVASRQDED - ->sp|P04588.3|POL_HV1MA RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDAWEKIRLRPGGKKKYRLKHLVWASRELERFALNPGLLETGEGCQQIMEQLQSTLKT -GSEEIKSLYNTVATLYCVHQRIDVKDTKEALDKIEEIQNKSRQKTQQAAAAQQAAAATKNSSSVSQNYPI -VQNAQGQMIHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNMMLNIVGGHQAAMQMLKDT -INEEAADWDRVHPVHAGPIPPGQMREPRGSDIAGTTSTLQEQIGWMTSNPPIPVGDIYKRWIILGLNKIV -RMYSPVSILDIRQGPKEPFRDYVDRFFKTLRAEQATQEVKNWMTETLLVQNANPDCKTILKALGPGATLE -EMMTACQGVGGPSHKARVLAEAMSQATNSTAAIMMQRGNFKGQKRIKCFNCGKEGHLARNCRAPRKKGCW -KCGKEGHQMKDCTERQANFLRENLAFPQGKAREFPSEQTRANSPTSRELRVWGGDKTLSETGAERQGIVS -FSFPQITLWQRPVVTVRVGGQLKEALLDTGADDTVLEEINLPGKWKPKMIGGIGGFIKVRQYDQILIEIC -GKKAIGTILVGPTPVNIIGRNMLTQIGCTLNFPISPIETVPVKLKPGMDGPRVKQWPLTEEKIKALTEIC -KDMEKEGKILKIGPENPYNTPVFAIKKKDSTKWRKLVNFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTV -LDVGDAYFSVPLDEDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRTKNPEI -VIYQYMDDLYVGSDLEIGQHRTKIEELREHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIQLPD -KESWTVNDIQKLVGKLNWASQIYPGIKVKQLCKLLRGAKALTDIVPLTAEAELELAENREILKEPVHGVY -YDPSKDLIAEIQKQGQGQWTYQIYQEQYKNLKTGKYARIKSAHTNDVKQLTEAVQKIAQESIVIWGKTPK -FRLPIQKETWEAWWTEYWQATWIPEWEFVNTPPLVKLWYQLETEPIVGAETFYVDGAANRETKKGKAGYV -TDRGRQKVVSLTETTNQKTELQAIHLALQDSGSEVNIVTDSQYALGIIQAQPDKSESEIVNQIIEQLIQK -DKVYLSWVPAHKGIGGNEQVDKLVSSGIRKVLFLDGIDKAQEEHEKYHSNWRAMASDFNLPPIVAKEIVA -SCDKCQLKGEAMHGQVDCSPGIWQLDCTHLEGKIIIVAVHVASGYIEAEVIPAETGQETAYFILKLAGRW -PVKVVHTDNGSNFTSAAVKAACWWANIKQEFGIPYNPQSQGVVESMNKELKKIIGQVREQAEHLKTAVQM -AVFIHNFKRKGGIGGYSAGERIIDMIATDIQTKELQKQITKIQNFRVYYRDNRDPIWKGPAKLLWKGEGA -VVIQDNSDIKVVPRRKAKIIRDYGKQMAGDDCVAGGQDED - ->sp|P20875.3|POL_HV1JR RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGELDRWEKIRLRPGGKKKYRLKHIVWASRELERFAVNPGLLESSEGCRQILGQLQPSLKT -GSEELTSLYNTVATLYCVHQRIEIKDTKEALEKIEEEQTKSMKKAQQAAADTGNSSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFYKTLRAEQATQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNPATIMMQRGNFRNQRKNVKCFNCGKEGHIARNCRAPRKKGCWKCGKEG -HQMKECTERQANFLREDLAFLQGKAREFPSEQTRANSPTRRELQVWGRDSNSLSEAGAEAGADRQGIVSF -NFPQITLWQRPLVTIKIGGQLKEALLDTGADDTVLEDMDLPGRWKPKMIGGIGGFIKVRQYDQIPIDICG -HKAVGTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICT -EMEKEGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNRRTQDFWEVQLGIPHPAGLKKKKSVTVL -DVGDAYFSVPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPDII -IYQYMDDLYVGSDLEIGQHRTKIEELRQHLLKWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIVLPEK -DSWTVNDIQKLVGKLNWASQIYAGIKVKQLCKLLRGTKALTEVIPLTKEAELELAENREILKEPVHGVYY -DPSKDLIVEIQKQGQGQWTYQIFQEPFKNLKTGKYARTRGAHTNDVKQLTEAVQKIANESIVIWGKIPKF -KLPIQKETWETWWTEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIVGAETFYVDGAANRETKLGKAGYVT -SRGRQKVVSLTDTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKE -KVYLAWVPAHKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQEDHEKYHSNWRAMASDFNLPPIVAKEIVAS -CDKCQLKGEAMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWP -VTTIHTDNGSNFTSTTVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMA -VFIHNFKRKGGIGGYSAGERIIDIIATDIQTKELQKQITKIQNFRVYYRDNRDPIWKGPAKLLWKGEGAV -VIQDNSDIKVVPRRKVKIIRDYGKQMAGDDCVASRQDED - ->sp|P12498.4|POL_HV1J3 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPSLLETSEGCRQILGQLQPSLQT -GSEELKSLFNTVATLYCVHQRIEVKDTKEALEKIEEEQNKSKKKAQQAAADTGNSSKVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPAQAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTSNPPIPVGEIYKRWIILGLNKIVRMYSPS -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSTTIMMQRGNFRNQRKIIKCFNCGKEGHLARNCRAPRKKGCWKCGKEG -HQMKDCNERQANFLREDLAFLQGKAREFSSEQTRANSPSRGELQVWGRDNNPLSEAGAERQGTVSFSFPQ -ITLWQRPLVTLKIGGQLKEALLDTGADDTVLEEMNSPGRWKP - ->sp|P04589.3|POL_HV1EL RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGKLDKWEKIRLRPGGKKKYRLKHIVWASRELERYALNPGLLETSEGCKQIIGQLQPAIQT -GTEELRSLYNTVATLYCVHKGIDVKDTKEALEKMEEEQNKSKKKAQQAAADTGNNSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIAWMTSNPPIPVGEIYKRWIIVGLNKIVRMYSPV -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPQATLEEMMTAC -QGVGGPSHKARVLAEAMSQATNSVTTAMMQRGNFKGPRKIIKCFNCGKEGHIAKNCRAPRKKGCWRCGKE -GHQLKDCTERQANFLRENLAFPQGKAGELSPKQTRANSPTSRELRVWGRDNPLSKTGAERQGTVSFNFPQ -ITLWQRPLVAIKIGGQLKEALLDTGADDTVLEEMNLPGKWKPKMIGGIGGFIKVRQYDQIPIEICGQKAI -GTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALTEICTDMEK -EGKISRIGPENPYNTPIFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDVGD -AYFSVPLDEDFRKYTAFTISSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPEMVIYQY -MDDLYVGSDLEIGQHRTKIEKLREHLLRWGFTRPDKKHQKEPPFLWMGYELHPDKWTVQSIKLPEKESWT -VNDIQNLVERLNWASQIYPGIKVRQLCKLLRGTKALTEVIPLTEEAELELAENREILKEPVHGVYYDPSK -DLIAEIQKQGHGQWTYQIYQEPFKNLKTGKYARMRGAHTNDVKQLAEAVQRISTESIVIWGRTPKFRLPI -QKETWETWWAEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIIGAETFYVDGAANRETKLGKAGYVTDRGR -QKVVPLTDTTNQKTELQAINLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVNQIIEQLIKKEKVYL -AWVPAHKGIGGNEQVDKLVSQGIRKVLFLDGIDKAQEEHEKYHNNWRAMASDFNLPPVVAKEIVASCDKC -QLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWPVKVV -HTDNGSNFTSAAVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEHLKTAVQMAVFIH -NFKRRRGIGGYSAGERIIDIIATDIQTKELQKQIIKIQNFRVYYRDSRDPIWKGPAKLLWKGEGAVVIQD -KSDIKVVPRRKVKIIRDYGKQMAGDDCVASRQDED - ->sp|P05960.3|POL_HV1C4 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin -MGARASVLSGGELDRWEKIRLRPGGKKQYRLKHIVWASRKLERFAVNPGLLETSKGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIEVRDTKEALDKIEEEQNKSKKKAQQAAADTGNSSQVSQNYPIVQNLQG -QMVHQAISPRTLNAWVKVIEEKAFSPEVIPMFAALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRLHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPTPVGEIYKRWIILGLNKIVRMYSPI -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRRQGKTVKCFNCGKEGHIARNCKAPRKKGCWKCGREG -HQMKDCTERQANFLREDLAFPQGKAREFSSEQTRANSPTRGELQVWGRDNNSLSEAGAERQGTVSFSFPQ -ITLWQRPIVTIKIGGQLKEALLDTGADDTVLEEINLPGRWKPKMIGGIGGFIKVRQYDEV - ->sp|P03367.3|POL_HV1BR RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGELDRWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIEIKDTKEALDKIEEEQNKSKKKAQQAAADTGHSSQVSQNYPIVQNIQG -QMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEEAA -EWDRVHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYSPT -SILDIRQGPKEPFRDYVDRFYKTLRAEQASQEVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMTAC -QGVGGPGHKARVLAEAMSQVTNSATIMMQRGNFRNQRKIVKCFNCGKEGHIARNCRAPRKKGCWKCGKEG -HQMKDCTERQANFLREDLAFLQGKAREFSSEQTRANSPTISSEQTRANSPTRRELQVWGRDNNSLSEAGA -DRQGTVSFNFPQITLWQRPLVTIKIGGQLKEALLDTGADDTVLEEMSLPGRWKPKMIGGIGGFIKVRQYD -QILIEICGHKAIGTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKI -KALVEICTEMEKEGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLK -KKKSVTVLDVGDAYFSVPLDEDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPF -RKQNPDIVIYQYMDDLYVGSDLEIGQHRTKIEELRQHLLRWGLTTPDKKHQKEPPFLWMGYELHPDKWTV -QPIVLPEKDSWTVNDIQKLVGKLNWASQIYPGIKVRQLCKLLRGTKALTEVIPLTEEAELELAENREILK -EPVHGVYYDPSKDLIAEIQKQGQGQWTYQIYQEPFKNLKTGKYARTRGAHTNDVKQLTEAVQKITTESIV -IWGKTPKFKLPIQKETWETWWTEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIVGAETFYVDGAASRETK -LGKAGYVTNRGRQKVVTLTDTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVNQI -IEQLIKKEKVYLAWVPAHKGIGGNEQVDKLVSAGIRKVLFLDGIDKAQDEHEKYHSNWRAMASDFNLPPV -VAKEIVASCDKCQLKGEAMHGQVDCSPGIWQLDCTHLEGKVILVAVHVASGYIEAEVIPAETGQETAYFL -LKLAGRWPVKTIHTDNGSNFTSTTVKAACWWAGIKQEFGIPYNPQSQGVVESMNKELKKIIGQVRDQAEH -LKTAVQMAVFIHNFKRKGGIGGYSAGERIVDIIATDIQTKELQKQITKIQNFRVYYRDSRDPLWKGPAKL -LWKGEGAVVIQDNSDIKVVPRRKAKIIRDYGKQMAGDDCVASRQDED - ->sp|P03369.3|POL_HV1A2 RecName: Full=Gag-Pol polyprotein; AltName: Full=Pr160Gag-Pol; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Transframe peptide; Short=TF; Contains: RecName: Full=p6-pol; Short=p6*; Contains: RecName: Full=Protease; AltName: Full=PR; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; AltName: Full=Exoribonuclease H; AltName: Full=p66 RT; Contains: RecName: Full=p51 RT; Contains: RecName: Full=p15; Contains: RecName: Full=Integrase; Short=IN -MGARASVLSGGELDKWEKIRLRPGGKKKYKLKHIVWASRELERFAVNPGLLETSEGCRQILGQLQPSLQT -GSEELRSLYNTVATLYCVHQRIDVKDTKEALEKIEEEQNKSKKKAQQAAAAAGTGNSSQVSQNYPIVQNL -QGQMVHQAISPRTLNAWVKVVEEKAFSPEVIPMFSALSEGATPQDLNTMLNTVGGHQAAMQMLKETINEE -AAEWDRVHPVHAGPIAPGQMREPRGSDIAGTTSTLQEQIGWMTNNPPIPVGEIYKRWIILGLNKIVRMYS -PTSILDIRQGPKEPFRDYVDRFYKTLRAEQASQDVKNWMTETLLVQNANPDCKTILKALGPAATLEEMMT -ACQGVGGPGHKARVLAEAMSQVTNPANIMMQRGNFRNQRKTVKCFNCGKEGHIAKNCRAPRKKGCWRCGR -EGHQMKDCTERQANFLREDLAFLQGKAREFSSEQTRANSPTRRELQVWGGENNSLSEAGADRQGTVSFNF -PQITLWQRPLVTIRIGGQLKEALLDTGADDTVLEEMNLPGKWKPKMIGGIGGFIKVRQYDQIPVEICGHK -AIGTVLVGPTPVNIIGRNLLTQIGCTLNFPISPIETVPVKLKPGMDGPKVKQWPLTEEKIKALVEICTEM -EKEGKISKIGPENPYNTPVFAIKKKDSTKWRKLVDFRELNKRTQDFWEVQLGIPHPAGLKKKKSVTVLDV -GDAYFSVPLDKDFRKYTAFTIPSINNETPGIRYQYNVLPQGWKGSPAIFQSSMTKILEPFRKQNPDIVIY -QYMDDLYVGSDLEIGQHRTKIEELRQHLLRWGFTTPDKKHQKEPPFLWMGYELHPDKWTVQPIMLPEKDS -WTVNDIQKLVGKLNWASQIYAGIKVKQLCKLLRGTKALTEVIPLTEEAELELAENREILKEPVHEVYYDP -SKDLVAEIQKQGQGQWTYQIYQEPFKNLKTGKYARMRGAHTNDVKQLTEAVQKVSTESIVIWGKIPKFKL -PIQKETWEAWWMEYWQATWIPEWEFVNTPPLVKLWYQLEKEPIVGAETFYVDGAANRETKLGKAGYVTDR -GRQKVVSIADTTNQKTELQAIHLALQDSGLEVNIVTDSQYALGIIQAQPDKSESELVSQIIEQLIKKEKV -YLAWVPAHKGIGGNEQVDKLVSAGIRKVLFLNGIDKAQEEHEKYHSNWRAMASDFNLPPVVAKEIVASCD -KCQLKGEAMHGQVDCSPGIWQLDCTHLEGKIILVAVHVASGYIEAEVIPAETGQETAYFLLKLAGRWPVK -TIHTDNGSNFTSTTVKAACWWAGIKQEFGIPYNPQSQGVVESMNNELKKIIGQVRDQAEHLKTAVQMAVF -IHNFKRKGGIGGYSAGERIVDIIATDIQTKELQKQITKIQNFRVYYRDNKDPLWKGPAKLLWKGEGAVVI -QDNSDIKVVPRRKAKIIRDYGKQMAGDDCVASRQDED - ->sp|Q76633.3|GAG_HV2UC RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARSSVLSGKKTDELEKVRLRPGGKKRYCLKHIIWAVNELDRFGLAESLLESKEGCHKILTVLAPLVPT -GSENLKSLFNTVCVIYCLHAEEKVKDTEEAKKIAQRHLAADTEKMPATSRPTAPPSGGNYPVQQIAGNYV -HMPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEEAADWD -QQHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIQWMYRAQNPVPVGNIYRRWIQIGLQKCVRMYNPTNIL -DIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTACQGI -GGPGQKARLMAEALKEALTPAPIPFAAAQQKAGKRGTVTCWNCGKVGHTAKQCRAPRRQGCWKCGKQGHI -MSKCPERQAGFLGLGPWGKKPRNFPMTQVPQGVTPSAPPMDPAEGMTPRGATPSAPPADPAVEMLKSYMK -MGRQQRESRERPYKEVTEDLLHLNSLFGEDQ - ->sp|Q74230.3|GAG_HV2EH RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARGSVLSGKKTDELEKVRLRPGGKKRYMLKHIVWAVNELERFGLAESRLGSKEGCRKIRKVLGPLVPT -GSENLKSLYNTVCVIFCLHAEEKVKDTEEAKKIAQRHLAADTEKMPAMSKPSKPTSRLAYPVQQIAGNYS -HLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEEAADWD -QQHPSPGPMPAGQLREPRGSDIAGTTSTVEEQIQWMYRPQNPVPVGNIYRRWIQLGLQKCVRMYNPTNIL -DIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTACQGI -GGPGQKARLMAEALKEALTPSTNPFAAAQPRAGKRTVTCWNCGKAGHTARQCKAPRRQGCWKCGQQGHIM -SKCPERQAGFLGFGPWGKKPRNFPVQAPQGIVPSAPPMNPAFGMTPQGAIPSAPPADPAEEMLKNYMQLG -KKQKENRERPYKEVTEDLLHLNSLFGEDQ - ->sp|Q74119.3|GAG_HV2KR RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARSSVLRGKKVDELEKIRLRPGGKKKYRLKHIVWAANELGKFGLAESLLESKEGCQKIITVLDPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEGAKQIVQRHLVAETGTADKMPSTSRPAAPPSGRGGNYPVQQI -AGNYSHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDVQHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRAQNPIPVGNIYRRWIQIGLQKCVRMYN -PTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGMNPTLEEMLT -ACQGIGGPGQKARLMAEALKEALAPAPIPFAAAQQRRTIKCWNCGKDGHSARQCRAPRRQGCWKCGKSGH -VMANCPERQAGFLGIGPWGKKPRNFPVTRVPQGLTPTAPPADPAADLLEKYLQQGRKQKEQKMRPYKEVT -EDLLHLEQGETPHKEATEDLLHLNSLFGKDQ - ->sp|P33459.1|POL_CAEVC RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Integrase; Short=IN -TRNHMSQLWKERTYAKRMQRKERHKGKTAGKREEGDTCGAVRSSYGITSAPPMVQVRIGSQQRNLLFDTG -ADRTIVRWHEGSGNPAGRIKLQGIGGIVEGEKWNNVELEYKGETRKGTIVVLPQSPVEVLGRDNMARFGI -KIIMANLEEKRIPITKVKLKEGCTGPHVPQWPLTEEKLKGLTEIIDKLVEEGKLGKAPPHWTCNTPIFCI -KKKSGKWRMLIDFRELNKQTEDLTEAQLGLPHPGGLQKKKHVTILDIGDAYFTIPLYEPYREYTCFTLLS -PNNLGPCKRYYWKVLPQGWKLSPSVYQFTMQEILEDWIQQHPEIQFGIYMDDIYIGSDLEIKKHREIVKD -LANYIAQYGFTLPEEKRQKGYPAKWLGFELHPQTWKFQKHTLPELTKGTITLNKLQKLVGELVWRQSIIG -KSIPNILKLMEGDRELQSERKIEEVHVKEWEACRKKLEEMEGNYYNKDKDVYGQLAWGDKAIEYIVYQEK -GKPLWVNVVHNIKNLSIPQQVIKAAQKLTQEVIIRTGKIPWILLPGKEEDWRLELQLGNITWMPKFWSCY -RGHTRWRKRNIIEEVVEGPTYYTDGGKKNKVGSLGFIVSTGEKFRKHEEGTNQQLELRAIEEALKQGPQT -MNLVTDSRYAFEFLLRNWDEEVIKNPIQARIMEIAHKKDRIGVHWVPGHKGIPQNEEIDKYISEIFLAKE -GEGILPKREEDAGYDLICPEEVTIEPGQVKCIPIELRLNLKKSQWAMIATKSSMAAKGVFTQGGIIDSGY -QGQIQVIMYNSNKIAVVIPQGRKFAQLILMDKKHGKLEPWGESRKTERGEKGFGSTGMYWIENIPLAEED -HTKWHQDARSLHLEFEIPRTAAEDIVNQCEICKEARTPAVIRGGNKRGVNHWQVDYTHYENIILLVWVET -NSGLIYAEKVKGESGQEFRIKVMHWYALFGPESLQSDNGPAFAAEPTQLLMQYLGVKHTTGIPWNPQSQA -IVERAHQLLKSTLKKFQPQFVAVESAIAAALVAINIKRKGGLGTSPMDIFIYNKEQKRINNKYNKNSQKI -QFCYYRIRKRGHQESGKDQPRYCGKGKEPIVVKDIESEKYLVIPYKDAKFIPPPTKEKE - ->sp|P31634.1|GAG_SIVMS RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARSSVLSGKKADELEKVRLRPGGKKKYMLKHVVWAANELDRFGLAESLLESKEGCQKILTVLEPLVPT -GSENLKSLFNTVCVIWCIHAEEKVKHTEEAKQVVKRHLVVETGTADKMPATSRPTAPPSGRGGNYPVQQV -GGNYVHLPLSPRTLNAWVKLVEEKKFGAEVVSGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEE -AADWDVQHPQPGPLPAGQLREPSGSDIAGTTSTVEEQIQWMHRQQNPIPVGNIYRRWIQLGLQKCVRMYN -PVNILDIKQGPKEPFQSYVDRFYKSLRAEQADPAVKNWMTQTPLIQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEAFQPGPLPFAAAQQQGRRTVKCWNCGKEGHTAKQCKAPRRQGCWKCGKP -GHQMAKCPERQVGFLGFGPWGKKPRNFPMAQIPQGLTPTAPPEMPTAPPVDPAADLLRSYMQLGKKQRES -RKTPYKEVTEDLVHLNSLFG - ->sp|P19028.1|POL_FIVSD RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN -KKFGKLEGGASCSPSESSAANSNAICTSNGGKIIGFINYNKVGTTTSLEKRPEILIFVNGYPIKFLLDTG -ADITILNRRDFQVKNSIENGRQNMIGVGGGKRGTNYINVHLEIRDENYKTQCIFGNVCVLEDNSLIQPLL -GRDNMIKFNIRLVMAQISDKIPIVKVKMKDPNKGPQIKQWPLSNEKIEALTEIVERLEREGKVKRADPNN -PWNTPVFAIKKKSGKWRMLIDFRELNKLTEKGAEVQLGLPHPAGLQMKKQITVLDIGDAYFTNPLDPDYA -PYTAFTLPRKNNAGPGRRFVWCSLPQGWILSPLIYQSTLDNIIQPFIRQNPQLDIYQYMDDIYIGSNLSK -KEHKEKVEELRKLLLWWGFETPEDKLQEEPPYKWMGYELHPLTWTIQQKQLEIPEKPTLNELQKLAGKIN -WASQTIPELSIKSLTNMTRGNQNLNSTREWTEEARLEVQKAKRAIEEQVQLGYYDPSKELYAKLSLVGPH -QISYQVYQKCPEKILWYGKMSRQKKKAENTCDIALRACYKIREESIIRIGKEPRYEIPTSREAWESNLIN -SPYLKAPPPEVDYIHAALNIKRALSMIKDPPISGAETWYIDGGRKLGKAAKAAYWTDTGKWQVMELEGSN -QKAEIQALLLALKAGPEEMNIITDSQYMINILSQQPDKMEGIWQEVLEELEKKTAIFIDWVPGHKGIPGN -EEVDKLCQTMMIIEGDGILDKRTEDAGYDLLAAKEIHLLPGEVKVIPTGVKLMLPKGHWGLIMGKSSIGS -KGLDVLGGVIDEGYRGEIGVIMINLSKKSITLLEQQKIAQLIILPHKHEALEQGKVVMDSERGEKGYGST -GVFSSWVDRIEEAETNHEKFHSDPQYLRTEFNLPKMVAEEIRRKCPVCRIRGEQVGGQLKIGPGIWQMDC -THFDGKIILVAIHVESGYIWAQIISQETADCTVKAVLQLLSAHIVTELQTDNGPNFKNQKMEGVLNYMGV -KHKFGIPGNPQSQALVENVNQTLKVWVHKFLPETTSLDNALALAVHCLNFKQRGRIGGMAPYELLAQQES -LRIQDYFSAIPQKLQAQWIYYKDQKDKKWKGPMRVEYWGQGSVLLKDEEKGYFLIPRRHVKRVPEPCALP -EGDE - ->sp|P16088.1|POL_FIVPE RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN -KEFGKLEGGASCSPSESNAASSNAICTSNGGETIGFVNYNKVGTTTTLEKRPEILIFVNGYPIKFLLDTG -ADITILNRRDFQVKNSIENGRQNMIGVGGGKRGTNYINVHLEIRDENYKTQCIFGNVCVLEDNSLIQPLL -GRDNMIKFNIRLVMAQISDKIPVVKVKMKDPNKGPQIKQWPLTNEKIEALTEIVERLEKEGKVKRADSNN -PWNTPVFAIKKKSGKWRMLIDFRELNKLTEKGAEVQLGLPHPAGLQIKKQVTVLDIGDAYFTIPLDPDYA -PYTAFTLPRKNNAGPGRRFVWCSLPQGWILSPLIYQSTLDNIIQPFIRQNPQLDIYQYMDDIYIGSNLSK -KEHKEKVEELRKLLLWWGFETPEDKLQEEPPYTWMGYELHPLTWTIQQKQLDIPEQPTLNELQKLAGKIN -WASQAIPDLSIKALTNMMRGNQNLNSTRQWTKEARLEVQKAKKAIEEQVQLGYYDPSKELYAKLSLVGPH -QISYQVYQKDPEKILWYGKMSRQKKKAENTCDIALRACYKIREESIIRIGKEPRYEIPTSREAWESNLIN -SPYLKAPPPEVEYIHAALNIKRALSMIKDAPIPGAETWYIDGGRKLGKAAKAAYWTDTGKWRVMDLEGSN -QKAEIQALLLALKAGSEEMNIITDSQYVINIILQQPDMMEGIWQEVLEELEKKTAIFIDWVPGHKGIPGN -EEVDKLCQTMMIIEGDGILDKRSEDAGYDLLAAKEIHLLPGEVKVIPTGVKLMLPKGYWGLIIGKSSIGS -KGLDVLGGVIDEGYRGEIGVIMINVSRKSITLMERQKIAQLIILPCKHEVLEQGKVVMDSERGDNGYGST -GVFSSWVDRIEEAEINHEKFHSDPQYLRTEFNLPKMVAEEIRRKCPVCRIIGEQVGGQLKIGPGIWQMDC -THFDGKIILVGIHVESGYIWAQIISQETADCTVKAVLQLLSAHNVTELQTDNGPNFKNQKMEGVLNYMGV -KHKFGIPGNPQSQALVENVNHTLKVWIQKFLPETTSLDNALSLAVHSLNFKRRGRIGGMAPYELLAQQES -LRIQDYFSAIPQKLQAQWIYYKDQKDKKWKGPMRVEYWGQGSVLLKDEEKGYFLIPRRHIRRVPEPCALP -EGDE - ->sp|P19504.1|GAG_SIVSP RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARNSVLSGKKADELEKIRLRPGGKKRYQLKHIVWAANELDRFGLAESLLENKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVLWCIHAEEKVKHTEEAKQIVQRHLVVETGTADKMPATSRPTAPPSGKGGNYPVQQI -GGNYTHLPLSPRTLNAWVKLIEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEE -AADWDLQHPQPGPIPPGQLREPRGSDIAGTTSTVDEQIQWMYRQQNPIPVGNIYRRWIQLGLQKCVRMYN -PTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGINPTLEEMLT -ACQGVGGPGQKARLMAEALKDALTQGPLPFAAVQQKGQRKIIKCWNCGKEGHSARQCRAPRRQGCWKCGK -AGHVMAKCPERQAGFLGLGPWGKKPRNFPMAQMPQGLTPTAPPEDPAVDLLKNYMKMGRRQRENRERPYK -EVTEDLLHLNSLFGEDQ - ->sp|P12496.1|GAG_SIVS4 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARNSVLSGKEADELEKVRLRPNGKKKYMLKHVVWAANELDRFGLAESLLDNKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVIWCIHAEEKVKHTEEAKQIVQRHLVVETGTADRMPATSRPTAPPSGRGGNYPVQQV -GGNYVHLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEE -AADWDLQHPQPGPLPAGQLREPRGSDIAGTTSTVDEQIQWMYRQQNPIPVGNIYRRWIQLGLQKCVRMYN -PTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEALRPDQLPFAAVQQKGQRKTIKCWNCGKEGHSAKQCRAPRRQGCWKCGK -TGHVMAKCPERQAGFLGLGPWGKKPRNFPMAQMPQGLIPTAPPEDPAVDLLKNYMKMGRKQRENRERPYK -EVTEDLLHLNSLFGEDQ - ->sp|P05893.1|GAG_SIVMK RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARNAVLSGKKADELEKIRLRPGGKKKYMLKHVVWAANELDRFGLAESLLENKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVIWCIHAEEKVKHTEEAKQIVQRHLVVETGTAETMPKTSRPTAPSSGRGGNYPVQQI -GGNYVHLPLSPRTLNAWVKLIEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIRDIINEE -AADWDLQHPQPAPQQGQLREPSGSDIAGTTSSVDEQIQWMYRQQNPIPVGNIYRRWIQLRLQKCVRMYNP -INILDVKQRPKEPFQSYVDRFYKSLRAEQTDAAVKNWMTQTLLIQNANPDCKLVLKGLGVNPTLEEMLTA -CQGVGGPGQKARLMAEALKEALRPVPTPFAAAQQRGPRKPIKCWNCGKEGHSARQCRAPRRQRCWKCGKM -DHVMAKCPDRQAGFLGLGPWGKKPRNFPMAQVHQGLTPTAPPEDPAVDLLKNYMQLGKQQRESREKPYKE -VTEDLLHLNSLFGGDQ - ->sp|P05894.1|GAG_SIVM1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGARNSVLSGKKADELEKIRLRPGGKKKYMLKHVVWAANELDRFGLAESLLENKEGCQKILSVLAPLVPT -GSENLKSLYNTVCVIWCIHAEEKVKHTEEAKQIVQRHLVMETGTAETMPKTSRPTAPFSGRGGNYPVQQI -GGNYTHLPLSPRTLNAWVKLIEEKKFGAEVVSGFQALSEGCLPYDINQMLNCVGDHQAAMQIIRDIINEE -AADWDLQHPQQAPQQGQLREPSGSDIAGTTSTVEEQIQWMYRQQNPIPVGNIYRRWIQLGLQKCVRMYNP -TNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGTNPTLEEMLTA -CQGVGGPGQKARLMAEALKEALAPAPIPFAAAQQKGPRKPIKCWNCGKEGHSARQCRAPRRQGCWKCGKM -DHVMAKCPNRQAGFLGLGPWGKKPRNFPMAQVHQGLTPTAPPEEPAVDLLKNYMHLGKQQRESRGKPYKE -VTEDLLHLNSLFGGDQ - ->sp|P22381.1|GAG_SIVGB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGNGNSALLGTDLDKFEKIRLKRGGKKCYRLKHLCWCKGELDRFGLSDKLLETQQGCEKILSVCWPLYDQ -GSDNLKALVGTVCVVACIHAGIEIKSTQDALKKLKVITRKEEKQEDESKNFPVQRDAAGQYQYTPISPRI -IQTWVKTVEEKKWKPEVIPLFSALTEGAISHDLNIMLNAVGDHQGAMQVLKDVINEQAAEWDLTHPQQQP -AQPGGGLRTPSGSDIAGTTSTVEEQLAWMNMQQNAINVGTIYKSWIILGMNRLVKSHCPISITDVRQGPK -EAFKDYVDRFYNVMRAEQASGEVKMWMQQHLLIENANPECKQILRSLGKGATLEEMLEACQGVGGPQHKA -RLMAEMMRTVVGQSQNFVQQRGPQRGPVRQPTGRKPICFNCNKEGHVARFFKAPRRKGCWNCGAMDHQKA -QCPKPAQQQRVNFLGYGPWGPSKPGNYPAQEVTPTAPPLEEKPLQKTLSTYQKLGRGLRQKMKEEKREED -FHSLSTLFQEDQ - ->sp|P05892.1|GAG_SIVVT RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGAATSALNRRQLDQFEKIRLRPNGKKKYQIKHLIWAGKEMERFGLHERLLETEEGCKRIIEVLYPLEPT -GSEGLKSLFNLVCVLYCLHKEQKVKDTEEAVATVRQHCHLVEKEKSATETSSGQKKNDKGIAAPPGCSQN -FPAQQQGNAWVHVPLSPRTLNAWVKAVEEKKFGAEIVPMFQALSEGCTPYDINQMLNVLGDHQGALQIVK -EIINEEAAQWDVTHPLPAGPLPAGQLRDPRGSDIAGTTSSVQEQLEWIYTANPRVDVGAIYRRWIILGLQ -KCVKMYNPVSVLDIRQGPKEPFKDYVDRFYKAIRAEQASGEVKQWMTESLLIQNANPDCKVILKGLGMHP -TLEEMLTACQGVGGPSYKAKVMAEMMQTMQNQNMVQQGGPKRQRPPLRCYNCGKFGHMQRQCPEPRKTKC -LKCGKLGHLAKDCRGQVNFLGYGRWMGAKPRNFPAATLGAEPSAPPPPSGTTPYDPAKKLLQQYAEKGKQ -LREQKRNPPAMNPDWTEGYSLNSLFGEDQ - ->sp|P27978.1|GAG_SIVVG RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGAATSALNRRQLDKFEHIRLRPTGKKKYQIKHLIWAGKEMERFGLHERLLESEEGCKKIIEVLYPLEPT -GSEGLKSLFNLVCVLFCVHKDKEVKDTEEAVAIVRQCCHLVEKERNAERNTTETSSGQKKNDKGVTVPPG -GSQNFPAQQQGNAWIHVPLSPRTLNAWVKAVEEKKFGAEIVPMFQALSEGCTPYDINQMLNVLGDHQGAL -QIVKEIINEEAAQWDIAHPPPAGPLPAGQLRDPRGSDIAGTTSTVQEQLEWIYTANPRVDVGAIYRRWII -LGLQKCVKMYNPVSVLDIRQGPKEAFKDYVDRFYKAIRAEQASGEVKQWMTESLLIQNANPDCKVILKGL -GMHPTLEEMLTACQGVGGPSYKAKVMAEMMQNMQSQNMMQQGGQRGRPRPPVKCYNCGKFGHMQRQCPEP -RKMRCLKCGKPGHLAKDCRGQVNFLGYGRWMGAKPRNFPAATLGVEPTAPPPPSPYDPAKKLLQQYADKG -KQLREQRKKPPAVNPDWTEGYSLNSLFGEDQ - ->sp|P27972.1|GAG_SIVV1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide p1; Contains: RecName: Full=p6-gag -MGAATSALNRRQLDEFEHIRLRPNGKKKYQIKHLIWAGKKMDRFGLHEKLLETEEGCKKIIEVLSPLEPT -GSEGMKSLYNLVCVLLCVHQEKKVKDTEEALAIVRQCCHLVDKEKTAVTPPGGQQKNNTGGTATPGGSQN -FPAQQQGNAWVHVPLSPRTLNAWVKAVEEKKFGAEIVPMFQALSEGCTPYDINQMLNVLGDHQGALQIVK -EIINEEAAQWDVTHPPPAGPLPAGQLRDPGGSDIAGTTSTVQEQLEWIYTANPRVDVGAIYRRWIILGLQ -KCVKMYNPVSVLDIRQGPKEPFKDYVDRFYKAIRAEQASGEVKQWMTESLLIQNANPDCKVILKGLGMHP -TLEEMLTACQGVGGPSYKAKVMAEMMQNLQSQNMVQQGGGRGRPRPPPKCYNCGKFGHMQRQCPEPRKIK -CLKCGKPGHLAKDCRGQVNFLGYGRWMGTKPRNFPAATLGAEPSAPPPPNNSTPYDPAKKLLQQYAEKGK -QMRNQNRNPPANNPDWNEGYSLNSLFGEDQ - ->sp|P20874.3|GAG_HV2ST RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARNSVLRGKKADELEKIRLRPGGKKKYRLKHIVWAANELDRFGLAESLLESKEGCQKILTVLDPLVPT -GSENLKSLFNTVCVIWCIHAEEKAKDTEEAKQKVQRHLVAETKTTEKMPSTSRPTAPPSGNGGNFPVQQV -AGNYTHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDAQHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRPQNPVPVGSIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGINPTLEEMLT -ACQGVGGPGQKARLMAEALKEAMAPAPIPFAAAQQRRTIKCWNCGKEGHSARQCRAPRRQGCWKCGKAGH -IMAKCPERQAGFLGLGPWGKKPRNFPVAQIPQGLTPTAPPIDPVEDLLEKYMQQGKRQREQRERPYKEVT -EDFLQLEKQETPCRETTEDLLHLNSLFGKDQ - ->sp|P04590.3|GAG_HV2RO RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARNSVLRGKKADELERIRLRPGGKKKYRLKHIVWAANKLDRFGLAESLLESKEGCQKILTVLDPMVPT -GSENLKSLFNTVCVIWCIHAEEKVKDTEGAKQIVRRHLVAETGTAEKMPSTSRPTAPSSEKGGNYPVQHV -GGNYTHIPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AAEWDVQHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRPQNPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEVIGPAPIPFAAAQQRKAFKCWNCGKEGHSARQCRAPRRQGCWKCGKPGH -IMTNCPDRQAGFLGLGPWGKKPRNFPVAQVPQGLTPTAPPVDPAVDLLEKYMQQGKRQREQRERPYKEVT -EDLLHLEQGETPYREPPTEDLLHLNSLFGKDQ - ->sp|P05891.3|GAG_HV2NZ RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARNSVLRGKKADELEKIRLRPGGKKKYKLKHIVWAANELDRFGLAESLLESKEGCQKILTVLDPLVPT -GSENLKSLFNTVCVIWCIHAEEKVKDTEGAKQIVQRHLVAETGTAEKMPNTSRPTAPPSGKNFPVQQVAG -NYTHIPLSPGTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEEAA -DWDVAHPIPGPLPAGQLREPRGSDIAGTTSTVEEQIQWMFRPQNPVPVGNIYRRWIQIGLQKCVRMYNPT -NILDINQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTTC -QGVGGPGQKARLMAEALKEVMAPAPIPFAAAQQRKTFKCWNCGKEGHSARQWSAPRRQGCWKCGKSGHVM -ANCPDRQAGFLGIGHWGKKARNFPAAQVPQGLTPTAPPLDPAVDLLEKYMQQGKRQREQRERPYKEVTED -LLRFEQAETPCRETTEDLLHLNSLFGKDQ - ->sp|P12450.3|GAG_HV2SB RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGAKNSVLRGKKADELEKIRLRPGGKKKYRLKHIVWAANELDRFGLTESLLESKEGCQKIISVLEPLVPT -GSENLKSLYNTTCVIWCLHAEEKVKDTEEAKRIVGRHLVAETETAEKMPNISRPTAPPSGKGGNFPVQQI -GGNYVHLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDVQHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIEWMYRQENPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKESFQSYVDRFYKSLRAEQTDAAVKNWMTQTLLVQSNPDCKLVLKGLGMNPTLEEMLTA -CQGIGGPGQKARLMAEALKEAMRPAPIPFAAAQQKRAIKCWNCGKEGHSARQCRAPRRQGCWKCGKSGHI -MANCPDRQAGFLGLGPWGKKPRNFPVVPSSQGLTPTAPPMDPAVDLLEKYMQQGRKQREQRQRPYKEVTE -DLLHLEQGETPHRETTEDLLHLNSLFGNDQ - ->sp|P18041.3|GAG_HV2G1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARNSVLRGKKADELEKIRLRPSGKKKYRLKHIVWAANELDKFGLAESLLESKEGCQKILTVLDPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEEAKKLVQRHLGAETGTAEKMPSTSRPTAPPSGRGRNFPVQQT -GGGNYIHVPLSPRTLNAWVKLVEDKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIIND -EAADWDAQHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIQWMYRPQNPVPVGNIYRRWIQIGLQKCVRMY -NPTNILDVKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEML -TACQGVGGPGQKARLMAEALKEALTPPPIPFAAAQQRKVIRCWNCGKEGHSARQCRAPRRQGCWKCGKTG -HVMAKCPERQAGFLGMGPWGKKPRNFPVAQAPPGLIPTAPPADPAVDLLERYMQQGREQREQRERPYKEV -TEDLLHLEQGKAPHREATEDLLHLNSLFGKDQ - ->sp|P15832.3|GAG_HV2D2 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARGSVLSGKKTDELEKVRLRPGGKKKYMLKHVVWAVNELDRFGLAESLLESKEGCQKILKVLAPLVPT -GSENLKSLFNIVCVIFCLHAEEKVKDTEEAKKIAQRHLAADTEKMPATNKPTAPPSGGNYPVQQLAGNYV -HLPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGEHQAAMQIIREIINEEAADWD -QQHPSPGPMPAGQLRDPRGSDIAGTTSTVEEQIQWMYRAQNPVPVGNIYRRWIQLGLQKCVRMYNPTNIL -DIKQGPKEPFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLTACQGI -GGPGQKARLMAEALKEALTPAPIPFAAVQQKAGKRGTVTCWNCGKQGHTARQCRAPRRQGCWKCGKTGHI -MSKCPERQAGFLGLGPWGKKPRNFPMTQVPQGVTPSAPPMNPAEGMTPRGATPSAPPADPAVEMLKSYMQ -MGRQQRESRERPYKEVTEDLLHLNSLFGEDQ - ->sp|P24106.3|GAG_HV2CA RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARNSVLRGKKADELEKVRLRPGGKKKYKLKHIVWAANELDRFGLAESLLESKEGCQRILKVLDPLVPT -GSENLKSLFNTVCVIWCIHAEEKVKDTEEAKRIALRHLAAETGTAEKMPDTSRPTAPPSGKGGNYPVQSI -GGNYTHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDANHPIPGPLPAGQLRDPRGSDIAGTTSTVEEQIQWMFRAQNPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDIKQGPKESFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLVQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPGQKARLMAEALKEAMGPPPIPFAAAQQRRTIKCWNCGKEGHSARQCRAPRRQGCWKCGKPGH -IMTNCPDRQAGFLGIGPWGKKPRNFPVAQVPQGLTPTAPPLDPAVDLLEKYMQQGKRQREQRQRPYKEVT -EDLLHLEQGEAPCRETTEDLLHLNSLFGKDQ - ->sp|P17756.4|GAG_HV2D1 RecName: Full=Gag polyprotein; AltName: Full=Pr55Gag; Contains: RecName: Full=Matrix protein p17; Short=MA; Contains: RecName: Full=Capsid protein p24; Short=CA; Contains: RecName: Full=Spacer peptide 1; Short=SP1; AltName: Full=p2; Contains: RecName: Full=Nucleocapsid protein p7; Short=NC; Contains: RecName: Full=Spacer peptide 2; Short=SP2; AltName: Full=p1; Contains: RecName: Full=p6-gag -MGARNSVLRGKKADELEKVRLRPNGKKRYRLKHVVWAANELDRFGLAESLLESKEGCQKILKVLEPLVPT -GSENLKSLFNTVCVIWCLHAEEKVKDTEEAKKLAQRHLVAETGTAEKMPNISRPTAPPSGKGGNFPVQQA -GGNYIHVPLSPRTLNAWVKLVEEKKFGAEVVPGFQALSEGCTPYDINQMLNCVGDHQAAMQIIREIINEE -AADWDAQHPIPGPLPAGQLRDPRGSDIAGTTSTVDEQIQWMYRQPNPVPVGNIYRRWIQIGLQKCVRMYN -PTNILDVKQGPKESFQSYVDRFYKSLRAEQTDPAVKNWMTQTLLIQNANPDCKLVLKGLGMNPTLEEMLT -ACQGVGGPSQKARLMAEALKEALTPAPIPFAAAQQRRAIRCWNCGKEGHSAKQCRAPRRQGCWKCGKSGH -IMANCPERQAGFLGMGPRGKQPRNFPAAQAPQGLIPTAPPIDPAVDLLEKYMQQGRKQREQRERPYKEVT -EDLLHLEQGETPHRGATEDLLHLNSLFGKDQ - ->sp|P32542.1|POL_EIAVC RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Integrase; Short=IN -TAWTFLKAMQKCSKKREARGSREAPETNFPDTTEESAQQICCTRDSSDSKSVPRSERNKKGIQCQGEGSS -RGSQPGQFVGVTYNLEKRPTTIVLINDTPLNVLLDTGADTSVLTTAHYNRLKYRGRKYQGTGIIGVGGNV -ETFSTPVTIKKKGRHIKTRMLVADIPVTILGRDILQDLGAKLVLAQLSKEIKFRKIELKEGTMGPKIPQW -PLTKEKLEGAKEIVQRLLSEGKISEASDNNPYNSPIFVIKKRSGKWRLLQDLRELNKTVQVGTEISRGLP -HPGGLIKCKHMTVLDIGDAYFTIPLDPEFRPYTAFTIPSINHQEPDKRYVWNCLPQGFVLSPYIYQKTLQ -EILQPFRERYPEVQLYQYMDDLFVGSNGSKKQHKELIIELRAILLEKGFETPDDKLQEVPPYSWLGYQLC -PENWKVQKMQLDMVKNPTLNDVQKLMGNITWMSSGVPGLTVKHIAATTKGCLELNQKVIWTEEAQKELEE -NNEKIKNAQGLQYYNPEEEMLCEVEITKNYEATYVIKQSQGILWAGKKIMKANKGWSTVKNLMLLLQHVA -TESITRVGKCPTFKVPFTKEQVMWEMQKGWYYSWLPEIVYTHQVVHDDWRMKLVEEPTSGITIYTDGGKQ -NGEGIAAYVTSNGRTKQKRLGPVTHQVAERMAIQMALEDTRDKQVNIVTDSYYCWKNITEGLGLEGPQSP -WWPIIQNIREKEIVYFAWVPGHKGICGNQLADEAAKIKEEIMLAYQGTQIKEKRDEDAGFDLCVPYDIMI -PVSDTKIIPTDVKIQVPPNSFGWVTGKSSMAKQGLLINGGIIDEGYTGEIQVICTNIGKSNIKLIEGQKF -AQLIILQHHSNSRQPWDENKISQRGDKGFGSTGVFWVENIQEAQDEHENWHTSPKILARNYKIPLTVAKQ -ITQECPHCTKQGSGPAGCVMRSPNHWQADCTHLDNKIILTFVESNSGYIHATLLSKENALCTSLAILEWA -RLFSPKSLHTDNGTNFVAEPVVNLLKFLKIAHTTGIPYHPESQGIVERANRTLKEKIQSHRDNTQTLEAA -LQLALITCNKGRESMGGQTPWEVFITNQAQVIHEKLLLQQAQSSKKFCFYKIPGEHDWKGPTRVLWKGDG -AVVVNDEGKGIIAVPLTRTKLLIKPN - ->sp|P31822.1|POL_FIVT2 RecName: Full=Pol polyprotein; Contains: RecName: Full=Protease; AltName: Full=Retropepsin; Contains: RecName: Full=Reverse transcriptase/ribonuclease H; Short=RT; AltName: Full=Exoribonuclease H; Contains: RecName: Full=Deoxyuridine 5'-triphosphate nucleotidohydrolase; Short=dUTPase; Contains: RecName: Full=Integrase; Short=IN -ENLGKREGGASCSPSKPSAANSTICTSNGGETIRFINYNTIGTTTTLERRPEIQIFVNGHPIKFLLDTGA -DITILNRKDFQIGNSIENGKQNMIGVGGGKRGTNYINVHLEIRDENYRMQCIFGNVCVLEDNSLIQPLLG -RDNMIKFNIRLVMAQISEKIPIVKVRMKDPTQGPQVKQWPLSNEKIEALTDIVERLESEGKVKRADPNNP -WNTPVFAIKKKSGKWRMLIDFRVLNKLTDKGAEVQLGLPHPAGLQMKKQVTVLDIGDAYFTIPLDPDYAP -YTAFTLPRKNNAGPGRRYVWCSLPQGWVLSPLIYQSTLNNILQPFIKQNSELDIYQYMDDIYIGSNLNKK -EHKQKVEELRKLLLWWGFETPEDKLQEEPPYKWMGYELHPLTWSIQQKQLEIPERPTLNELQKLAGKINW -ASQTIPDLSIKELTNMMRGDQKLDSIREWTVEAKREVQKAKEAIETQAQLNYYDPNRGLYAKLSLVGPHQ -ICYQVYQKNPEHILWYGKINRQKKKAENTCDIALRACYKIREESIIRIGKEPVYEIPASREAWESNLIRS -PYLKAPPPEVEFIHAALSIKRALSMIQDAPIIGAETWYIDGSRKQGKAARAAYWTNTGKWQIMEIEGSNQ -KAEVQALLLALKAGSEEMNIITDSQYILNILNQQPDLMEGLWQEVLEQMEKKIAIFIDWVPGHKGIPGNE -EVDKLCQTMMIIEGEGILEKRSEDAGYDLLAAAQETHFLPGEVRIVPTKTRIMLPKGHWGLIMGKSSIGS -KGVDVLGGVIDEGYRGELGVIMINLTKKSITILEKQKIAQLIILPCRHEGLQQGEIQMNSERGEKGFGSA -GVFSSWVDRIEEAELNHEKFHSDPQYLRTEFNLPRIVAEEIKRKCPLCRIRGEQVGGQLKIRPGIWQMDC -THFNGKIIIVAVHVESGFLWAQIIPQETADCTVKALLQLICAHNVTELQTDNGPNFKNQKMEGLLNYMGI -KHKLGIPGNPQSQALVENANNTLKVWIQKFLPETTSLDNALALALHCLNFKQRGRLGRMAPYELYIQQES -LRIQDYFSAIPQKLMMQWLYYKDQKDKKWKGPMRVEYWGQGSVLLKDEEKGIFLVPRRHIRRVPEPCTLP -EGDE - diff --git a/seq/clusters_seq/cluster_90 b/seq/clusters_seq/cluster_90 deleted file mode 100644 index 0e8e18b..0000000 --- a/seq/clusters_seq/cluster_90 +++ /dev/null @@ -1,872 +0,0 @@ ->YP_009824974.1 spike glycoprotein [Wencheng Sm shrew coronavirus] -MKLIILFLVLPCVECVEYIKCSTTQLGFLDLEKITYSLFDLGDVGVNSTTLINGYFPSKDINNWLCEKTG -VSELYYGQAFYTILNKEGSASLKFLIQPIVYSNSSYVVFMVYKSGVLDFSICYRNYFQFAWNIDPPPPIS -CAFNRRIYFGDRHIYLGVIWRHGYVKIITPNFVQQFELDNMHLEVIRVATGRYGSTSSYVIVMPFQIVDK -RSIFLVNYNQSGYVSNLLNCSESIEAQLKCKSRSFELQSGVYELALEERVGGYYTVVTNVTGDCNNIKYL -FSNFTGNKQPLYAGNFASMSCIVPFNFSINNGLLNNNSQLRGPNGEFKPFIDCKGINPIGLFGSNGTCYS -GMKWRYWTRRFSGYNMSIEGVAESFYDGGIGENECYGLLKGGQYNDLWRFTEVLYYKVRFMEKGLDVCYG -NLPPAPQVNKCNVWTFNDITFEGVLLETNKTFNPQLKALYKGSMVVMIRIFGIVYEVVPCIKTRLSVIYN -ANNKNYSTLYRVANCNQIDTKRSVHPVGLLSRDGINTPCGCLRNAMFSPNITVDSYSCKTLLSDGYCVVF -NNGSTEVVPIGIVGANTTYNMPILEESFVELALDHVLVSESEYFQTEMPVFDIDCEKYICDNSESCRMLL -EQYAGFCSKITSDVKGNSQLLNANTLAMYKNIVPSGSIKPIENFGDFNMSMFIDKSGRSVLEDLLFDKIV -TTGPGFYDDYYKCRTTTVKDLVCAQYYNGIMVIPPIQDAETIGMYGGIVAAGMTMGLFGGQATMGTWQTA -MSARLNAVGVTQGMIMEDVQKLANGFNTLATSVSKMASVTADALSRIQGVVNANALQVELLARATMDNFG -AISSNFEIIEKRLKQVQAEQQMDRVINGKMNALLNFVSNYKLKVSELTSIQQLVKQKVQECVHAQSLRNG -FCGSGLHVMSIPQLVPNGVMFLHFSLVKNNSIIVKQTPGLCKEDKINCIVPKSGIFVSKNDSNWYITPRN -KYVPKNITNSDIIFTTYSENFTVINNTIQFPGIEFPQDGDFDGQIKNVTLELQSLKDVVANMSYLDLSNH -TALLKNISEEVKKMNITVSQFKQYVKYVKWPWYVWLLIVMALIAFGLVCFWIFMCTGCCGLCDCIRRSCR -DSNTYEPIEKIHIQ - ->YP_009336484.1 spike glycoprotein [Lucheng Rn rat coronavirus] -MYFFLLLLFVSADAAIQTCPAPGNVNLDISKLYYGTQASINATFQVVQVLPQVPWKCNSYSNGPSNKFNG -IGVFVDLASAQHSWHLFVYPSMPTNKTWILSWADTHTSFEHGSVISYVQICKYPSNVVTDITNGNGCHTN -MAGPGATTCDVILSSPLECVLNRTYSQQYAGVSYITWYNDHIIASIQGEVFTFDIGEVLQWSNFSAFCGT -GNKCGFSYATTLSEWLVRTDNDGTVIDYVICDTDFESQLKCKNMVFELTPAVYSGSAVELQSAIYYVSNE -LPDCDFSFADMFMDGTGNFEGLRRHVFSNCWVNYTAWFACADDYSCIIFNAIFAEVRYKLSQPDGLVNPF -IKCNGLDLYSITKGCSSGFVLRYQLYANGSFDVNSYTPDYMECFGYFALYNGYVIYNAKFVSKGLTVCVV -QPVEPELDVCKSYTIDGVTFQGILRNTTHQIDSFHNILYYGDMVSYVRIRGVVYAVESCNRFYYSVFKTL -SAIGYLYSGATCDSTDVTTFMTKARATTFVDSSLGCFIDVSVTDGNYTDCLNPIGNGFCVDVNVTGQPVV -GNIFIQTHDTDYARPILTAQQIELPIDHYVSVKEQFIQTSTPKFDVDCERYICDVSSDCRELLVKYGGYC -SKILADIKSSSIQLDYQILGLYKTLAVDFKVPDIDFGDFNFSMYMSEANGRSFIEDLLFDKIVTTGPGFY -QDYYDCKKMNLQDLTCKQYYNGIMVIPPVMDDTLITFWSSAVAGSMTAGLFGGQAGMVSWTVALAGRLNA -LGVMQDALVEDVNKLANGFNNLTQYVSDGFKTTSQALSTIQAVVNNNAQQVSQLVQGLSENFGAISNNFA -LIAERLERIEAAMQMDRLINGRMNILQNFVTNYKLSISELKSQQALAQSLINECVYAQSSRNGFCGDGLH -LFSLMQRAPDGIMFFHYTLKPNNTIIVETTPGLCLSNDVCIAPKDGLFVRLSTARDTDWHFTTRNRYSPE -PITVNNTLTISGGVNFTVVNSTIDGIEPPANPSFDEEFAELYKNVTLELEQLKNISFDPEMLNLTYYIDR -LDELATNVSQLHVDVSEFNKFVQYIKWPWYVWLAIFLVLVLFSFLMLWCCCATGCCGCCGLCGAACNGCC -TKPQPIEFEKVHVQ - ->YP_003858584.1 spike protein [Bat coronavirus BM48-31/BGR/2008] -MKFLAFLCLLGFANAQDGKCGTLSNKSPSKLTQTPSSRRGFYYFDDIFRSSIRVLTTGHFLPFNTNLTWY -LTLKSNGKQRIYYDNPNINFGDGVYFGLTEKSNVFRGWIFGSTLDNTTQSAVLFNNGTHIVIDVCNFNFC -ADPMFAVNSGQPYKTWIYTSAANCTYHRAHAFNISTNMNPGKFKHFREHLFKNVDGFLYVYHNYEPIDLN -SGFPSGFSVLKPILKLPFGLNITYVKAIMTLFSSTQSNFDADASAYFVGHLKPLTMLVDFDENGTIIDAI -DCSQDPLSELKCTTKSFTVEKGIYQTSNFRVTPTTEVVRFPNITQLCPFNEVFNITSFPSVYAWERMRIT -NCVADYSVLYNSSASFSTFQCYGVSPTKLNDLCFSSVYADYFVVKGDDVRQIAPAQTGVIADYNYKLPDD -FTGCVIAWNTNSLDSSNEFFYRRFRHGKIKPYGRDLSNVLFNPSGGTCSAEGLNCYKPLASYGFTQSSGI -GFQPYRVVVLSFELLNAPATVCGPKQSTELVKNKCVNFNFNGLTGTGVLTNSTKKFQPFQQFGRDVSDFT -DSVRDPKTLEILDIAPCSYGGVSVITPGTNASSSVAVLYQDVNCTDVPTMLHADQISHDWRVYAFRNDGN -IFQTQAGCLIGAAYDNSSYECDIPIGAGICAKYTNVSSTLVRSGGHSILAYTMSLGDNQDIVYSNNTIAI -PMNFSISVTTEVLPVSMTKTSVDCNMYICGDSTECSNLLLQYGSFCTQLNRALAGIAVEQDRNTRDVFAQ -TKAMYKTPSLKDFGGFNFSQILPDPAKPSSRSFIEDLLYNKVTLADPGFMKQYGDCLGGVNARDLICAQK -FNGLTVLPPLLTDEMIAAYTAALISGTATAGFTFGAGAALQIPFAMQMAYRFNGIGVTQNVLYENQKQIA -NQFNKAISQIQDSLSTTTTALGKLQDVINQNAIALNTLVKQLSSNFGAISSVLNDILSRLDKVEAEVQID -RLITGRLQSLQTYVTQQLIRAAEIRASANLAATKMSECVLGQSKRVDFCGKGYHLMSFPQAAPHGVVFLH -VTYVPSQEQNFTTAPAICHEGKAHFPREGVFVTNGTHWFITQRNFYSPQPITTDNTFVSGNCDVVIGIVN -NTVYDPLQPELDSFKEELDKYFKNHTSQNVSLDGLNNINASVVDIKKEIEHLNEIAKSLNESLIDLQELG -KYEQYIKWPWYVWLGFIAGLIAIVMATIMLCCMTSCCSCLKGVCSCASCCKFDEDHSEPVLTGVKLHYT - ->YP_001552236.1 spike glycoprotein [Rhinolophus bat coronavirus HKU2] -MKLFIVFVLLFRVCYCCDYVDFRLFNGIFSTSRGLSNTTTVITGAYPSTNKAKWFCPTNVGRPVGTGVGI -GVYAQTAQASYETGGSGAGGYTFSVSPKHVTNLTWSLWVHRPWGANANVTVRLCRWWQKFSFNETAHFQP -AGPSSAFECLVNGSFPSSQHKGYMFGVTWYNDFVRIIFPPTVFELQLDGLQWEYVQFTGPVNAGRMTKFN -VVTEISSVLVLTDQSGAVTRYSYCADGFVNGLQCKLRLFDIPPGVYSNSEVEYPVALYTVVHNMSVCPQR -PESYCGSNYCPFKRVVFSNCVVNYTSWTSGLLRDYQHLVLPNGKFNPFTECNGLNRIVDDCVTGFVLRVG -RGTAVNRTVITPYLKPNECFGWSWNDYQDSIYDWWIADFVSTGAFVCEKNPDAPRTGVCITYTIEKVTFQ -GVLYESNFTFAQYYNVLYFGSQLKYVRILGKVYEVAPCFEASYDVLFRSSSSFGLLYRSFDCNQLRISAS -RFAERLLPSHNGTATALGCLFNATYAPNDTMVNCTNPLGDGFCADLLSNVVVRRMTFEKHDTTYVAPVTN -ERFTELPLDHQLVLTEQFLQTTMPKFSISCETYICDVSKACKNLLFRYGGFCQKIEADIRGAGVLLDSDV -SGLYSTIAAKTSSITPTTDRFNVSQFFLPKVQSNSERFESRSVIEDLLFSKIETTGPGFYGDYYNCKKNA -IQDLTCAQYHNGILVIPPVMDAETLGMYGGIAAASLTLGIFGGQAGITTWSLAMAGRLNALGVVQNALVD -DVNKLANGFNQLTASVGKLALTTSSALQAIQAVVNQNAAQVESLVSGITENFGAISTNFKVISQRLDKLE -ADVQMDRLINGRMNVLQLFVTNYKLKIAELRNTHRYVQSLINECVYAQSLRNGFCGQGLHVLSLMQNAPS -GIMFFHYSLIPNNTITVKTTPGLCESDELGSKCIVAKDGVLVSANLSYWQWSPRNLYKPENLTFANVIAV -SRGANYTTLNRTFDIPELNSTFPIDEEFREYFQNMSSELQALKNLTADMSKLNISAEIQLINEIAHNVSN -MRVEVEKFQRYVNYVKWAWWQWLIIFIALTLLAGLMLWCCLATGCCGMCGCLAATCASCCDCRGTKLQSY -EIEKIHIQ - ->YP_009389425.1 spike glycoprotein [Wencheng Sm shrew coronavirus] -MKLIILFLVLSCVECVEYIKCSNTQLGLLDLEKITYSLFDLGDVGVNSTTLINGYFPSKDINNWLCEKMG -VSELYYGQAFYTILNNVGSASLKFLIQPIVYSNSSYVIYMAYKSGVLNFSICYRNYFSFSWDTDPPSPIS -CAFNRRIYFGHRYIYLGVIWRHGYVKIITPNFVQQFELDNMYLEVIRVATGRFGSTVNYVIVMPFQIVDK -RSTFLVNYNQSGYVSNLLNCSESIEAQLKCKSRSFELQSGVYEIALEERVGGYYSVVTNVTGDCNNIKHL -FSNFTGNRQPLYAGNFASMSCIVPFNFSINNDLLNNNPQLRGFNGEFKPFIDCKGINPIGLFGSNGTCYS -GMEWRYWTRRFSGYNMSIEGIAESFYDAGIGENECYGLLKGRQYNEFWQFTEVLYYKVRFMEKGLDVCYG -NLPPAPQVNKCNVWTFNDITFEGVLLETNKTFNPQLKALYKGSMVVMIRVFGIVYEVVPCIKTRLSVIYN -ANNKNYSTLYRVANCNQIDTKRSVHPVGLLSRDGINTPCGCLRNAMFSPNITVDSYSCKTLLSDGYCVVF -NNGSTEVVPIGIVGANTTYNMPILEESFVELALDHVLVSESEYFQTEMPVFDIDCEKYICDNSESCRMLL -EQYAGFCSKITSDVKGNSQLLNANTLAMYKNIVPSDSIKPIENFGDFNMSMFIDKSGRSVLEDLLFDKIV -TTGPGFYEDYYKCRTTTVKDLVCAQYYNGIMIIPPIQDAETIGMYGGIVAAGMTMGLFGGQATMGTWQIA -MSARLNAVGVTQGMIMEDVQKLANGFNTLATSVSKMASVTADALSRIQGVVNANALQVELLARATMDNFG -AISSNFEIIEKRLKQVQAEQQMDRVINGKMNALLNFVSNYKLKVSELTSIQQLVKQKVQECVHAQSLRNG -FCGSGLHVMSIPQLVPNGVMFLHFSLVKNNSIIVKQTPGLCKEDKINCIVPKSGIFVSKNDSNWYITPRN -KYVPKNITNSDIIFTTYSENFTVINNTIQFPGIEFPQDGDFDGQIKNVTLELQSLKDVVANMSYLDLSNH -TALLKNISEEVKKMNITVSQFKQYVKYVKWPWYVWLLIVMALIAFGLVCFWIFMCTGCCGLCDCIRRSCC -DSNTYEPIEKIHIQ - ->YP_009824990.1 spike protein [Bat coronavirus] -MVVLLFVMFSLVVADRPNCYLPQYSYTISPATITNTSYFNVNPISIVVPEFSVARTNLVVRQRLQVAAYD -FTKIPITPPHRSIFNNTYFRVGDGILVNTHMYHRTNIATNLGSSFYGCQEPFGAAFGNTFVNEPITLVMF -YAGNVGSWSSLVTQQQANITIVSCDNATLCANPFFLRWGPGVIRSFTISNAFQCHGNYTFYDTKLVNFTV -STARYNLAFTFADGDIFMYYAALGDSLNLPTAYPLQPYLRIPAGFKPDVVQFFQAITRPNGESAEVACAS -STVSWYVSRLYYKELLVGYDSYSSIVNVSFCSSDAESELQCLLGTFAPANGVYSLSNFRSSPTDNVRITN -SASSCSVPYSVLSRPPLPFVWKRYAISNCKFDFQALLSHLPTFQLRCFGISPTKLATMCFGTVTLDIMLV -NVTHYNNLLNDVPDDFSLYNYQLPRNFYGCLHSYYLPNDTAFSYTVASRIRYPSWVHSITPGGRQPVGPF -LDSLQSSSKPCTGSCLGLAVISLSIASANKLVCPVGNDTDIVPDTCVNYNIYGYQGTGVISRSNYTLPSS -KVFSLSSSGELTVFAVGSSFYQLSPCAFAPISAAFYKGYTTSLLFNNLPCSNRHRAVVEPVSAYWRRSVA -DNNTFDTTAGCIFNAYNLTSIVVNQCDLPIGDSYCLQPSLIKGFEATLSLVTYNPLADSLTPITPVYQVS -VPTNFTLVASTEYIQTYASKISIDCAKYLCGDSSQCRTVLLQYGTFCNDVNVALTRVFTLLDNSLVDTFS -SLKSTAPVQLAYTGDFNFTSLVGCIGTDCDSKSHRSALSDLLFSKVSVADPGFMQSYQQCLDAQWGGNIR -DLLCTQTFNGISVLPPIVSPSMQALYTTALVGGIAASGFTFGVSSAAVIPFATQLQFRLNGLGVTTNVLM -ENQQLIANAFNKALVSIQEGFTATNQALNKIQTVVNNNALQLQVLVQQLGNTFGAISASVNEIFSRLDLL -EANAEVDRLISGRMVVLNTYVTQLLIQASELRSQAELAKQKMSECVKSQSLRNDFCGNGTHVLSIPQLAP -NGMLFIHYSYQPTKYAQVYTTAGLCFNGTGFVPRDGLFVRENNESQVWYFTKASFYNPVNLSYENTHLLD -TCGVNYTTVNNSVLNPIEPPNYNFQEEFDKYFKNQSSQFNITFDSSQFNVSIVNLNEQMAALDSVVKSLN -ESFIDLKKLGVYTQQPNTPWYAWLGMIAGLVGLALAVFMLCCMTNCCSGFRGICSCKQCQYDDYADVYPA -VRVSGKRTV - ->YP_007188579.1 spike protein [Betacoronavirus England 1] -MIHSVFLLMFLLTPTESYVDVGPDSVKSACIEVDIQQTFFDKTWPRPIDVSKADGIIYPQGRTYSNITIT -YQGLFPYQGDHGDMYVYSAGHATGTTPQKLFVANYSQDVKQFANGFVVRIGAAANSTGTVIISPSTSATI -RKIYPAFMLGSSVGNFSDGKMGRFFNHTLVLLPDGCGTLLRAFYCILEPRSGNHCPAGNSYTSFATYHTP -ATDCSDGNYNRNASLNSFKEYFNLRNCTFMYTYNITEDEILEWFGITQTAQGVHLFSSRYVDLYGGNMFQ -FATLPVYDTIKYYSIIPHSIRSIQSDRKAWAAFYVYKLQPLTFLLDFSVDGYIRRAIDCGFNDLSQLHCS -YESFDVESGVYSVSSFEAKPSGSVVEQAEGVECDFSPLLSGTPPQVYNFKRLVFTNCNYNLTKLLSLFSV -NDFTCSQISPAAIASNCYSSLILDYFSYPLSMKSDLSVSSAGPISQFNYKQSFSNPTCLILATVPHNLTT -ITKPLKYSYINKCSRFLSDDRTEVPQLVNANQYSPCVSIVPSTVWEDGDYYRKQLSPLEGGGWLVASGST -VAMTEQLQMGFGITVQYGTDTNSVCPKLEFANDTKIASQLGNCVEYSLYGVSGRGVFQNCTAVGVRQQRF -VYDAYQNLVGYYSDDGNYYCLRACVSVPVSVIYDKETKTHATLFGSVACEHISSTMSQYSRSTRSMLKRR -DSTYGPLQTPVGCVLGLVNSSLFVEDCKLPLGQSLCALPDTPSTLTPRSVRSVPGEMRLASIAFNHPIQV -DQLNSSYFKLSIPTNFSFGVTQEYIQTTIQKVTVDCKQYVCNGFQKCEQLLREYGQFCSKINQALHGANL -RQDDSVRNLFASVKSSQSSPIIPGFGGDFNLTLLEPVSISTGSRSARSAIEDLLFDKVTIADPGYMQGYD -DCMQQGPASARDLICAQYVAGYKVLPPLMDVNMEAAYTSSLLGSIAGVGWTAGLSSFAAIPFAQSIFYRL -NGVGITQQVLSENQKLIANKFNQALGAMQTGFTTTNEAFHKVQDAVNNNAQALSKLASELSNTFGAISAS -IGDIIQRLDVLEQDAQIDRLINGRLTTLNAFVAQQLVRSESAALSAQLAKDKVNECVKAQSKRSGFCGQG -THIVSFVVNAPNGLYFMHVGYYPSNHIEVVSAYGLCDAANPTNCIAPVNGYFIKTNNTRIVDEWSYTGSS -FYAPEPITSLNTKYVAPQVTYQNISTNLPPPLLGNSTGIDFQDELDEFFKNVSTSIPNFGSLTQINTTLL -DLTYEMLSLQQVVKALNESYIDLKELGNYTYYNKWPWYIWLGFIAGLVALALCVFFILCCTGCGTNCMGK -LKCNRCCDRYEEYDLEPHKVHVH - ->YP_001039971.1 spike glycoprotein [Rousettus bat coronavirus HKU9] -MLLILVLGVSLAAASRPECFNPRFTLTPLNHTLNYTSIKAKVSNVLLPDPYIAYSGQTLRQNLFMADMSN -TILYPVTPPANGANGGFIYNTSIIPVSAGLFVNTWMYRQPASSRAYCQEPFGVAFGDTFENDRIAILIMA -PDNLGSWSAVAPRNQTNIYLLVCSNATLCINPGFNRWGPAGSFIAPDALVDHSNSCFVNNTFSVNISTSR -ISLAFLFKDGDLLIYHSGWLPTSNFEHGFSRGSHPMTYFMSLPVGGNLPRAQFFQSIVRSNAIDKGDGMC -TNFDVNLHVAHLINRDLLVSYFNNGSVANAADCADSAAEELYCVTGSFDPPTGVYPLSRYRAQVAGFVRV -TQRGSYCTPPYSVLQDPPQPVVWRRYMLYDCVFDFTVVVDSLPTHQLQCYGVSPRRLASMCYGSVTLDVM -RINETHLNNLFNRVPDTFSLYNYALPDNFYGCLHAFYLNSTAPYAVANRFPIKPGGRQSNSAFIDTVINA -AHYSPFSYVYGLAVITLKPAAGSKLVCPVANDTVVITDRCVQYNLYGYTGTGVLSKNTSLVIPDGKVFTA -SSTGTIIGVSINSTTYSIMPCVTVPVSVGYHPNFERALLFNGLSCSQRSRAVTEPVSVLWSASATAQDAF -DTPSGCVVNVELRNTTIVNTCAMPIGNSLCFINGSIATANADSLPRLQLVNYDPLYDNSTATPMTPVYWV -KVPTNFTLSATEEYIQTTAPKITIDCARYLCGDSSRCLNVLLHYGTFCNDINKALSRVSTILDSALLSLV -KELSINTRDEVTTFSFDGDYNFTGLMGCLGPNCGATTYRSAFSDLLYDKVRITDPGFMQSYQKCIDSQWG -GSIRDLLCTQTYNGIAVLPPIVSPAMQALYTSLLVGAVASSGYTFGITSAGVIPFATQLQFRLNGIGVTT -QVLVENQKLIASSFNNALVNIQKGFTETSIALSKMQDVINQHAAQLHTLVVQLGNSFGAISSSINEIFSR -LEGLAANAEVDRLINGRMMVLNTYVTQLLIQASEAKAQNALAAQKISECVKAQSLRNDFCGNGTHVLSIP -QLAPNGVLFIHYAYTPTEYAFVQTSAGLCHNGTGYAPRQGMFVLPNNTNMWHFTTMQFYNPVNISASNTQ -VLTSCSVNYTSVNYTVLEPSVPGDYDFQKEFDKFYKNLSTIFNNTFNPNDFNFSTVDVTAQIKSLHDVVN -QLNQSFIDLKKLNVYEKTIKWPWYVWLAMIAGIVGLVLAVIMLMCMTNCCSCFKGMCDCRRCCGSYDSYD -DVYPAVRVNKKRTV - ->YP_009825051.1 spike glycoprotein [SARS coronavirus Tor2] -MFIFLLFLTLTSGSDLDRCTTFDDVQAPNYTQHTSSMRGVYYPDEIFRSDTLYLTQDLFLPFYSNVTGFH -TINHTFGNPVIPFKDGIYFAATEKSNVVRGWVFGSTMNNKSQSVIIINNSTNVVIRACNFELCDNPFFAV -SKPMGTQTHTMIFDNAFNCTFEYISDAFSLDVSEKSGNFKHLREFVFKNKDGFLYVYKGYQPIDVVRDLP -SGFNTLKPIFKLPLGINITNFRAILTAFSPAQDIWGTSAAAYFVGYLKPTTFMLKYDENGTITDAVDCSQ -NPLAELKCSVKSFEIDKGIYQTSNFRVVPSGDVVRFPNITNLCPFGEVFNATKFPSVYAWERKKISNCVA -DYSVLYNSTFFSTFKCYGVSATKLNDLCFSNVYADSFVVKGDDVRQIAPGQTGVIADYNYKLPDDFMGCV -LAWNTRNIDATSTGNYNYKYRYLRHGKLRPFERDISNVPFSPDGKPCTPPALNCYWPLNDYGFYTTTGIG -YQPYRVVVLSFELLNAPATVCGPKLSTDLIKNQCVNFNFNGLTGTGVLTPSSKRFQPFQQFGRDVSDFTD -SVRDPKTSEILDISPCAFGGVSVITPGTNASSEVAVLYQDVNCTDVSTAIHADQLTPAWRIYSTGNNVFQ -TQAGCLIGAEHVDTSYECDIPIGAGICASYHTVSLLRSTSQKSIVAYTMSLGADSSIAYSNNTIAIPTNF -SISITTEVMPVSMAKTSVDCNMYICGDSTECANLLLQYGSFCTQLNRALSGIAAEQDRNTREVFAQVKQM -YKTPTLKYFGGFNFSQILPDPLKPTKRSFIEDLLFNKVTLADAGFMKQYGECLGDINARDLICAQKFNGL -TVLPPLLTDDMIAAYTAALVSGTATAGWTFGAGAALQIPFAMQMAYRFNGIGVTQNVLYENQKQIANQFN -KAISQIQESLTTTSTALGKLQDVVNQNAQALNTLVKQLSSNFGAISSVLNDILSRLDKVEAEVQIDRLIT -GRLQSLQTYVTQQLIRAAEIRASANLAATKMSECVLGQSKRVDFCGKGYHLMSFPQAAPHGVVFLHVTYV -PSQERNFTTAPAICHEGKAYFPREGVFVFNGTSWFITQRNFFSPQIITTDNTFVSGNCDVVIGIINNTVY -DPLQPELDSFKEELDKYFKNHTSPDVDLGDISGINASVVNIQKEIDRLNEVAKNLNESLIDLQELGKYEQ -YIKWPWYVWLGFIAGLIAIVMVTILLCCMTSCCSCLKGACSCGSCCKFDEDDSEPVLKGVKLHYT - ->YP_009361857.1 spike protein [Bat coronavirus] -MTYSVSLLMCLLTFIGANAKIVSIPGGVGTGACPQVDMQPSYFIKHNWPEPIDMNKADGVIYPNGRTYSN -ITLQTTNLFPRNGDLGTQYVYSASNEKSRTSNVAFISNYSYYGNPFGDGIVIRIGQNSNKTGSVIVGTAQ -TTIKKIYPALMLGSSFGNFSVNNKSGAYFNHTLLILPSKCGTVFQVAYCLLQPRTDSYCPGNANYVSYAL -IDSPTDCTSADESKRRNGLEDIKKYFNLVNCTYFEEFNVTADERAEWFGITQDSQGVHLYTSRKNGFNSN -NLFLFASVPIYDKINYYTVIPRSIITPANQRSAWAAFYVYPLHQLSYLLNFDVNGYITQAADCGYNDYTQ -LVCSYGDFNMKSGVYSTSYYSAKPVGAYYEAHVYPDCNFTDLFRENAPTIMQYKRQVFTRCNYNLTLLLS -LVQVDEFVCDKITPEALATGCYSSLTVDWFAFPYAWKSYLAIGSADRIVRFNYNQDYSNPSCRIHSKVNS -SVGISYSGLYSYITNCNYGGFNKDDVVKPGGRASQPCVTGALNSPTNGQVWSFNFGGVPYRTSRLTYTDH -LKNPLDMVYVITVKYEPGAETVCPKQVRPDYSTNITGLLGSCISYDIYGITGTGVFQLCNATGIPQQKFV -YDKFDNIIGFHSDDGNYYCVAPCVSVPVSVIYDDNTNQYATLFGSVACQHISTMAAQFSRETRASLVSRN -MQNLLQTSVGCVMGFHETNDTVEDCNLSLGQSLCAIPPNTNLRVGRSTFGLGSLAYNSPLRVDALNSSEF -KVSLPLNFTFGVTQEYIETSIQKITVDCKQYVCNGFAKCEKLLEQYGQFCSKINQALHGANLRQDDFVRN -LFESVKTPQTVPLTTGFGGEFNLTLLEPLSVSTGSSNARSALEELLFDKVTIADPGYMQGYDDCMQQGPA -SARDLICAQYVAGYKVLPPLMDVNMEAAYTSSLLGSIAGAGWTAGLSSFAAIPFAQSIFYRLNGVGITQQ -VLSENQKIIANKFNQALGAMQTGFTTTNEAFQKVQDAVNTNAQALAKLASELSNTFGAISSSIGDIIQRL -DVLEQEVQIDRLINGRLTTLNAFVAQQLVRSESAARSAQLAKDKVNECVKSQSTRSGFCGQGTHIVSFVI -NAPNGLYFMHVGYHPSQHIEVVAAYGLCDAANPTNCIAPVNGYFIKNQTTRGVDDWSYTGSSFYAPEPIT -TLNTRYVAPQVTFQNISTNLPPPLLGNSTGTDFKDELDEFFKNVSTSIPNFGALTQINTTLLDLSDEMLA -LQQVVKALNESYIDLKELGNYTYYNKWPWYIWLGFIAGLLALALCVFFILCCTGCGTSCLGKLKCNRCCD -KYEEYDLEPHKIHIH - ->YP_001039962.1 spike glycoprotein [Pipistrellus bat coronavirus HKU5] -MIRSVLVLMCSLTFIGNLTRGQSVDMGHNGTGSCLDSQVQPDYFESVHTTWPMPIDTSKAEGVIYPNGKS -YSNITLTYTGLYPKANDLGKQYLFSDGHSAPGRLNNLFVSNYSSQVESFDDGFVVRIGAAANKTGTTVIS -QSTFKPIKKIYPAFLLGHSVGNYTPSNRTGRYLNHTLVILPDGCGTILHAFYCVLHPRTQQNCAGETNFK -SLSLWDTPASDCVSGSYNQEATLGAFKVYFDLINCTFRYNYTITEDENAEWFGITQDTQGVHLYSSRKEN -VFRNNMFHFATLPVYQKILYYTVIPRSIRSPFNDRKAWAAFYIYKLHPLTYLLNFDVEGYITKAVDCGYD -DLAQLQCSYESFEVETGVYSVSSFEASPRGEFIEQATTQECDFTPMLTGTPPPIYNFKRLVFTNCNYNLT -KLLSLFQVSEFSCHQVSPSSLATGCYSSLTVDYFAYSTDMSSYLQPGSAGAIVQFNYKQDFSNPTCRVLA -TVPQNLTTITKPSNYAYLTECYKTSAYGKNYLYNAPGAYTPCLSLASRGFSTKYQSHSDGELTTTGYIYP -VTGNLQMAFIISVQYGTDTNSVCPMQALRNDTSIEDKLDVCVEYSLHGITGRGVFHNCTSVGLRNQRFVY -DTFDNLVGYHSDNGNYYCVRPCVSVPVSVIYDKASNSHATLFGSVACSHVTTMMSQFSRMTKTNLLARTT -PGPLQTTVGCAMGFINSSMVVDECQLPLGQSLCAIPPTTSSRVRRATSGASDVFQIATLNFTSPLTLAPI -NSTGFVVAVPTNFTFGVTQEFIETTIQKITVDCKQYVCNGFKKCEDLLKEYGQFCSKINQALHGANLRQD -ESIANLFSSIKTQNTQPLQAGLNGDFNLTMLQIPQVTTGERKYRSTIEDLLFNKVTIADPGYMQGYDECM -QQGPQSARDLICAQYVAGYKVLPPLYDPYMEAAYTSSLLGSIAGASWTAGLSSFAAIPFAQSIFYRLNGV -GITQQVLSENQKIIANKFNQALGAMQTGFTTTNLAFNKVQDAVNANAMALSKLAAELSNTFGAISSSISD -ILARLDTVEQEAQIDRLINGRLTSLNAFVAQQLVRTEAAARSAQLAQDKVNECVKSQSKRNGFCGTGTHI -VSFAINAPNGLYFFHVGYQPTSHVNATAAYGLCNTENPQKCIAPIDGYFVLNQTTSTVADSDQQWYYTGS -SFFHPEPITEANSKYVSMDVKFENLTNRLPPPLLSNSTDLDFKEELEEFFKNVSSQGPNFQEISKINTTL -LNLNTELMVLSEVVKQLNESYIDLKELGNYTFYQKWPWYIWLGFIAGLVALALCVFFILCCTGCGTSCLG -KLKCNRCCDSYDEYEVEKIHVH - ->YP_001039953.1 spike glycoprotein [Tylonycteris bat coronavirus HKU4] -MTLLMCLLMSLLIFVRGCDSQFVDMSPASNTSECLESQVDAAAFSKLMWPYPIDPSKVDGIIYPLGRTYS -NITLAYTGLFPLQGDLGSQYLYSVSHAVGHDGDPTKAYISNYSLLVNDFDNGFVVRIGAAANSTGTIVIS -PSVNTKIKKAYPAFILGSSLTNTSAGQPLYANYSLTIIPDGCGTVLHAFYCILKPRTVNRCPSGTGYVSY -FIYETVHNDCQSTINRNASLNSFKSFFDLVNCTFFNSWDITADETKEWFGITQDTQGVHLYSSRKGDLYG -GNMFRFATLPVYEGIKYYTVIPRSFRSKANKREAWAAFYVYKLHQLTYLLDFSVDGYIRRAIDCGHDDLS -QLHCSYTSFEVDTGVYSVSSYEASATGTFIEQPNATECDFSPMLTGVAPQVYNFKRLVFSNCNYNLTKLL -SLFAVDEFSCNGISPDSIARGCYSTLTVDYFAYPLSMKSYIRPGSAGNIPLYNYKQSFANPTCRVMASVL -ANVTITKPHAYGYISKCSRLTGANQDVETPLYINPGEYSICRDFSPGGFSEDGQVFKRTLTQFEGGGLLI -GVGTRVPMTDNLQMSFIISVQYGTGTDSVCPMLDLGDSLTITNRLGKCVDYSLYGVTGRGVFQNCTAVGV -KQQRFVYDSFDNLVGYYSDDGNYYCVRPCVSVPVSVIYDKSTNLHATLFGSVACEHVTTMMSQFSRLTQS -NLRRRDSNIPLQTAVGCVIGLSNNSLVVSDCKLPLGQSLCAVPPVSTFRSYSASQFQLAVLNYTSPIVVT -PINSSGFTAAIPTNFSFSVTQEYIETSIQKVTVDCKQYVCNGFTRCEKLLVEYGQFCSKINQALHGANLR -QDESVYSLYSNIKTTSTQTLEYGLNGDFNLTLLQVPQIGGSSSSYRSAIEDLLFDKVTIADPGYMQGYDD -CMKQGPQSARDLICAQYVSGYKVLPPLYDPNMEAAYTSSLLGSIAGAGWTAGLSSFAAIPFAQSMFYRLN -GVGITQQVLSENQKLIANKFNQALGAMQTGFTTSNLAFSKVQDAVNANAQALSKLASELSNTFGAISSSI -SDILARLDTVEQDAQIDRLINGRLISLNAFVSQQLVRSETAARSAQLASDKVNECVKSQSKRNGFCGSGT -HIVSFVVNAPNGFYFFHVGYVPTNYTNVTAAYGLCNNNNPPLCIAPIDGYFITNQTTTYSVDTEWYYTGS -SFYKPEPITQANSRYVSSDVKFDKLENNLPPPLLENSTDVDFKDELEEFFKNVTSHGPNFAEISKINTTL -LDLSDEMAMLQEVVKQLNDSYIDLKELGNYTYYNKWPWYVWLGFIAGLVALLLCVFFLLCCTGCGTSCLG -KMKCKNCCDSYEEYDVEKIHVH - ->YP_173238.1 spike glycoprotein [Human coronavirus HKU1] -MLLIIFILPTTLAVIGDFNCTNFAINDLNTTVPRISEYVVDVSYGLGTYYILDRVYLNTTILFTGYFPKS -GANFRDLSLKGTTYLSTLWYQKPFLSDFNNGIFSRVKNTKLYVNKTLYSEFSTIVIGSVFINNSYTIVVQ -PHNGVLEITACQYTMCEYPHTICKSKGSSRNESWHFDKSEPLCLFKKNFTYNVSTDWLYFHFYQERGTFY -AYYADSGMPTTFLFSLYLGTLLSHYYVLPLTCNAISSNTDNETLQYWVTPLSKRQYLLKFDNRGVITNAV -DCSSSFFSEIQCKTKSLLPNTGVYDLSGFTVKPVATVHRRIPDLPDCDIDKWLNNFNVPSPLNWERKIFS -NCNFNLSTLLRLVHTDSFSCNNFDESKIYGSCFKSIVLDKFAIPNSRRSDLQLGSSGFLQSSNYKIDTTS -SSCQLYYSLPAINVTINNYNPSSWNRRYGFNNFNLSSHSVVYSRYCFSVNNTFCPCAKPSFASSCKSHKP -PSASCPIGTNYRSCESTTVLDHTDWCRCSCLPDPITAYDPRSCSQKKSLVGVGEHCAGFGVDEEKCGVLD -GSYNVSCLCSTDAFLGWSYDTCVSNNRCNIFSNFILNGINSGTTCSNDLLQPNTEVFTDVCVDYDLYGIT -GQGIFKEVSAVYYNSWQNLLYDSNGNIIGFKDFVTNKTYNIFPCYAGRVSAAFHQNASSLALLYRNLKCS -YVLNNISLTTQPYFDSYLGCVFNADNLTDYSVSSCALRMGSGFCVDYNSPSSSSSRRKRRSISASYRFVT -FEPFNVSFVNDSIESVGGLYEIKIPTNFTIVGQEEFIQTNSPKVTIDCSLFVCSNYAACHDLLSEYGTFC -DNINSILDEVNGLLDTTQLHVADTLMQGVTLSSNLNTNLHFDVDNINFKSLVGCLGPHCGSSSRSFFEDL -LFDKVKLSDVGFVEAYNNCTGGSEIRDLLCVQSFNGIKVLPPILSESQISGYTTAATVAAMFPPWSAAAG -IPFSLNVQYRINGLGVTMDVLNKNQKLIATAFNNALLSIQNGFSATNSALAKIQSVVNSNAQALNSLLQQ -LFNKFGAISSSLQEILSRLDALEAQVQIDRLINGRLTALNAYVSQQLSDISLVKFGAALAMEKVNECVKS -QSPRINFCGNGNHILSLVQNAPYGLLFMHFSYKPISFKTVLVSPGLCISGDVGIAPKQGYFIKHNDHWMF -TGSSYYYPEPISDKNVVFMNTCSVNFTKAPLVYLNHSVPKLSDFESELSHWFKNQTSIAPNLTLNLHTIN -ATFLDLYYEMNLIQESIKSLNNSYINLKDIGTYEMYVKWPWYVWLLISFSFIIFLVLLFFICCCTGCGSA -CFSKCHNCCDEYGGHHDFVIKTSHDD - ->YP_009113025.1 spike glycoprotein [Betacoronavirus HKU24] -MVIIFLLLFAEPVFGIIGDFKCTQSWINSAASPQPPISTEVVDVSNGVGTYYVLNRVYLNTSLLLTGYYP -VSGSIYRNLLLKGTQWLSTNWFLPPFLSEFNSGIFVKARNSKPVLNGITHSEFGTIVFGTSFVNTTYTIV -IEPSTQIVNGKLIGTLSASVCQYTMCEYPNTACNPVLGNGRPSLWHASIGIVPCLYQRNFTYNVAADNIY -FHFYQDGGTFYAYVGDKSPITTLLFQVYLGTVVTHYYVLPLVCNARQTYEYWVTPLIKREYLLVFDGNGV -ITNAVDCASDHMSEIQCMTQNIKPVTGVYELTGYTVQPIADVYRRIPNLPDCEIEQWLNDPQVPSPISWE -RKTFSNCNFNMSSLLSKVRATSFSCNNIDASKIYDMCFGSITIDKFAIPNSRKVDLQFGSSGYIQNYNYR -LDQSATSCQLYYGIPANNVTVTKKNPSGWNNRYGFVEFKPLNIGQNYNKYSAIYSTMCFNVPNDYCPCKL -GCPTGTVERPQIGTSTSGQPIYDCPGYPWLTSSACKQTPATVGVGQYCPGVGVMADQCAPSMPGNSILTC -SCSNTQYSAGNSQPYYYAWTSFGADTCLSGGNCQVFANVLLNNVNSGTTCATDLQKANTEIIVGVCVKYD -LYGISGQGIFTEVNATYYNSWQNLLYDSNGGLYGFKDFLTNRTYMIRSCYSGRVSAAYHSDTDEPALLYR -NLKCSYVFNNNISISRSVIRYFDSYLGCVVNADDDIAEAVGSCNLTVGSGYCVDYSSTWRAKRDLNTGYR -LTNFEPFVPTLVNDSVESVGGLYEIQIPTEFTIGNLEEFVQTTSPKVTIDCAAFVCGDYAACREQLVEYG -SFCDNINTILNEVNSMIDTSQYQLASTLMNGVTLSSRLKDGISFNQDDINFSSVMGCVGSNCISHRSAIE -DILFNKVKLSDVGFVDAYNNCTQGSEIRDLVCVQSFNGIKVLPPVLSESQMSGYATGVGLSMLFPPFSAA -AGVPFTMSVQYRINGLGVTMDVLNQNQKMIANAFNNALTAIQNGFDATNSALAKIQSVVNANAEALNNLL -QQLSNRFGAISSSLQEILSRLDALEAQVQIDRLINGRLTALNAYVSQQLSDITLVKFSASQAIEKVNECV -KSQSTRVNFCGNGNHILSLVQNAPYGLYFIHFSYQPTKYTTAYVSPGLCLAGDVGVAPKSGYFIKVNDKW -MFTGSAYYHPEPITNDNVIMMNNCAVNFTKAPDVVLNTSIPNLPDFKEELDKWFKNQSSVAPDLSLDLER -INVTFLDLQEEMDRIQDAIKKLNDSYINLKEIGTYEMYVKWPWYVWLLIGLAGVAVLVLLFFVCCCTGCG -SSCFKKCGSCCDDYGGHQDIVVKTSHDD - ->YP_005454245.1 spike protein [Rabbit coronavirus HKU14] -MFLILLISLPTAFAVIGDLKCTTASINDVDTGTPSISTDTVDVTNGLGTYYVLDRVYLNTTLLLNGYYPI -SGSTFRNMALKGTLLLSTLWFKPPFLSDFNNGIFAKVKNTKVIKNGVMYSEFPAITIGSTFVNTSYSVVV -QPHTTISDKVLGLLEISVCQYTMCEYPHTICHPNLGNKRIELWHFDTDVVSCLYKRNFTYDVNADFLYFH -FYQEGGTFYAYFTDTGFVTKFLFNVYLGTVLSHYYVMPLTCDSTLSLEYWVTPLTPRQYLLAFNQDGIIF -NAADCMSDFMSEIKCKTQSIAPSTGVYELNGYTVQPIADVYRRIPNLPDCNIEAWLNDKSVPSPLNWERK -TFSNCNFNMSSLMSFIQADSFTCNNIDAAKIYGMCFSSITIDKFAIPNGRKVDLQLGNLGYLQSFNYKID -TSATSCQLYYNLPAANVSVSRLNPSTWNRRFGFTEQSVFKPQPAGVFTAHDVVYAQHCFKAPTTFCPCKL -DGSLCVGSGSGVDAGFKHTGIGTCPAGTNYLTCYNSVQCNCQCTPDPILSKATGPYKCPQTKYLVGVGEH -CSGLAIKSDYCGGNPCTCQPQAFLGWSVDSCLQGDRCNIFANLILHGVNSGTTCSTDLQKANTDIIVGVC -VNYDLYGISGQGIFVEVNATYYNSWQNLLYDSNGNLYGFRDYLTNRTFMIRSCYSGRVSAAFHANSSEPA -LLFRNIKCNYVFNNTFARQLQPINYFDSYLGCVVNADNSTASTVQTCDLTVGSGYCVDYSTQLRSRRAIT -TGYRFTNFEPFTVNAVNDSLQPVGGLYEIQIPSEFTIGNMEEFIQTSAPKVTIDCAAFVCGDYAACKSQL -VEYGSFCDNINAILTEVNELLDTTQLQVANSLMNGVTLSTKLKDGVNFNVDDINFSPVLGCLGSDCNKAS -SRSAIEDLLFDKVKLADVGFVEAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSENQISGYTLAATSASLF -PPWTAAAGVPFYLNVQFRINGLGVTMDVLSQNQKLIANAFNNALDAIQEGFDATNSALAKIQAVVNANAE -ALNNLLQQLSNRFGAISSSLQEILSRLDALEAAAQIDRLINGRLTALNAYVSQQLSDSTLVKFSAAQAME -KVNECVKSQSSRINFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDRGIAPKSGYFV -NVNNTWMFTGSGYYYPEPITENNVAVMSTCAVNYTKAPDVMLNISTPNLPDFKEELDQWFKNQTSVAPDL -SLDYINVTFLDLQDEMNRLQEAIKVLNQSYINLKDIGTYEYYVKWPWYVWLLIGLAGVAVLVLLFFICCC -TGCGTSCFKKCGGCCDDYTGHQELVIKTSHDD - ->NP_045300.1 spike protein [Murine hepatitis virus] -MLFVFILFLPSCLGYIGDFRCIQLVNSNGANVSAPSISTETVEVSQGLGTYYVLDRVYLNATLLLTGYYP -VDGSKFRNLALTGTNSVSLSWFQPPYLSQFNDGIFAKVQNLKTSTPSGATAYFPTIVIGSLFGYTSYTVV -IEPYNGVIMASVCQYTICLLPYTDCKPNTNGNKLIGFWHTDVKPPICVLKRNFTLNVNADAFYFHFYQHG -GTFYAYYADKPSATTFLFSVYIGDILTQYYVLPFICNPTAGSTFAPRYWVTPLVKRQYLFNFNQKGVITS -AVDCASSYTSEIKCKTQSMLPSTGVYELSGYTVQPVGVVYRRVANLPACNIEEWLTARSVPSPLNWERKT -FQNCNFNLSSLLRYVQAESLFCNNIDASKVYGRCFGSISVDKFAVPRSRQVDLQLGNSGFLQTANYKIDT -AATSCQLHYTLPKNNVTINNHNPSSWNRRYGFNDAGVFGKNQHDVVYAQQCFTVRSSYCPCAQPDIVSPC -TTQTKPKSAFVNVGDHCEGLGVLEDNCGNADPHKGCICANNSFIGWSHDTCLVNDRCQIFANILLNGINS -GTTCSTDLQLPNTEVVTGICVKYDLYGITGQGVFKEVKADYYNSWQTLLYDVNGNLNGFRDLTTNKTYTI -RSCYSGRVSAAFHKDAPEPALLYRNINCSYVFSNNISREENPLNYFDSYLGCVVNADNRTDEALPNCDLR -MGAGLCVDYSKSRRADRSVSTGYRLTTFEPYTPMLVNDSVQSVDGLYEMQIPTNFTIGHHEEFIQTRSPK -VTIDCAAFVCGDNTACRQQLVEYGSFCVNVNAILNEVNNLLDNMQLQVASALMQGVTISSRLPDGISGPI -DDINFSPLLGCIGSTCAEDGNGPSAIRGRSAIEDLLFDKVKLSDVGFVEAYNNCTGGQEVRDLLCVQSFN -GIKVLPPVLSESQISGYTTGATAAAMFPPWSAAAGVPFSLSVQYRINGLGVTMNVLSENQKMIASAFNNA -LGAIQDGFDATNSALGKIQSVVNANAEALNNLLNQLSNRFGAISASLQEILTRLEAVEAKAQIDRLINGR -LTALNAYISKQLSDSTLIKVSAAQAIEKVNECVKSQTTRINFCGNGNHILSLVQNAPYGLYFIHFSYVPI -SFTTANVSPGLCISGDRGLAPKAGYFVQDDGEWKFTGSSYYYPEPITDKNSVIMSSCAVNYTKAPEVFLN -TSIPNPPDFKEELDKWFKNQTSIAPDLSLDFEKLNVTLLDLTYEMNRIQDAIKKLNESYINLKEVGTYEM -YVKWPWYVWLLIGLAGVAVCVLLFFICCCTGCGSCCFKKCGNCCDEYGGHQDSIVIHNISSHED - ->YP_009755834.1 spike glycoprotein [Rodent coronavirus] -MIIIILSLLPTALAVIGDLKCTTVSINDVDTGAPSISTETVVVDKGLGTYYILDRVYLNTSLLLNGYYPT -SGATFRNMALKATLLLSTVWFKPPFLSEFNDGIFAKVKNSKVVKNGITYSEFPTIVIGTSFVNTTYSIVV -QPRTTVSNNKITGLLEISVCQYTMCEYPNTVCNEGLGSQRIENWHYESGIAPCIYLRNFTYNVDADWLYF -HFYQDGGTFYAYYSDSGLATTFLFNVYLGTVLTYYYVMPLACNGAVQLEYWVTPLTKRQYLLVFDQKGTI -TDAVDCASDYMSEIKCGTQSVTPKTGVYELTGYTVQPIADVYRRIPNLPDCDMESWLNAPTVPSPINWER -KTFSSCNFNMSSLLNRVQASSFTCNNIDASKFYGMCFGSITIDKFAIPLSRKVDLQLGSSGYLQNFNYRI -DQSATSCQMYYGIPQNNVTVTKINPSGWNKRYGFENFKPLRSGSYGKHDVIFAQQCFNVPSDFCPCKLGC -PTGTTNRPIVGTSTSGETLYDCPGDPMYSSGGSSCKQNKVTIGVGQHCPGIGVVEDRCAASMPGSTSFTC -SCSNTQYDQATSAGSSYYWAWTGFSSGTCLSDGYCNIFANVLLNNVNSGSTCATDLQKPNTDIIVGVCVN -YDLYGITGQGIFNEVKADYYNSWQNLLYDSNGVLYGFRDYQTNRTYMIRSCYSGRVSAAYHSDASEPALL -YRNLKCSYVFNNSISRTASPINYFDSYLGCVVNADNNTQSVVGTCDLAMGSGYCVDYSKTRRAKRATSTG -YGLTNFEPFTVALVNDSVKPVGGLYEMQIPSDFTIGNVEEFIQTSSPKVTIDCAAFVCGDYAACREQLVE -YGTFCDNINAILSEVNNLLDTSQMQVSSALMNGVTLSTKLKDGIDFNVDDINFSSVLGCIGSDCSASGRS -VIEDLLFNKVKLADVGFVEAYNNCTGGNEVRDLICVQSFNGIKVLPPLLSESQIGGYTTAATAATLFPPW -TSAAGIPFYLNVQYRINGLGVTMDVLSENQKMIANAFNNALGAIQDGFDATNSALVKIQAVVNANAEALN -NLLQQLSNRFGAISASLQEILSRLDTLEAQVQIDRLINGRLTALNAYVSQQLSDITLVKFSASQAIEKVN -ECVKSQSPRVNFCGNGNHILSLVQNAPYGLYFIHFSYVPTKYVTAYVSPGLCIAGDKGIAPKSGYFVRVN -DKWMFTGSGYYYPEPITDKNVVMMSTCAVNYTKAPDVILNNTIPTLPDFKEELDQWFKNQTSTAPDLSLD -LDYINITFLDLSNEISRIQEAIKVLNNSYINLKDIGTYEYYVKWPWYVWLLIGLAGVAVLVLLFFICCCT -GCGSSCFKKCGGCCDDYGGHQELVIKTSHDD - ->YP_003029848.1 spike protein [Rat coronavirus Parker] -MLFVFLTLLPSCLGYIGDFRCINRVNTSISNARAPSVSTEVVDVSKGLGTYYVLDRVYLNATLLLTGYYP -VHGSMYRNMALMGTNTLSLNWFEPPFLSEFNDGIYAKVKNLKASLPIGSASYFPTIIIGSNFVNTSYTVV -LEPYNGIIMASICQYTICQLPHTYCKPNTGGNTLIGFWHTDLRSPVCILTRNFTFNVNAEWLYFHFYQQG -GTFYAYYADVSSATTFLFSSYIGAVLTQYFVLPYMCNPTTSGVFSPQYWVTPLVKRQYLFNFNQKGIITS -AVDCASSYTSEIKCKTQSMNPNTGVYDLSGYTVQPVGLVYRRVRNLPDCKIEEWLAANTVPSPLNWERKT -FQNCNFNLSSLLRFVQAESLSCSNIDASKVYGMCFGSISIDKFAIPNSRRVDLQLGKSGLLQSFNYKIST -RATSCQLYYSLAQNNVTVINHNPSSWNRRYGFNDVATFGSGIHDVAYAEACFTVGASYCPCAKPSIVYSC -VTGKPKSANCPTGTSHRECNVQASGFKHKCDCTCNPSPLTTYDPRCLQARSMLGVGDHCEGLGILEDKCG -GSNICNCSADAFVGWAKDSCLSNDRCHIFSNLMLNGINSGTTCSTDLQLPNTEVVTGVCVKYDLYGITGQ -GVFKEVKADYYNSWQNLLYDVNGNLNGFRDIVTNKTYLLRSCYSGRVSAAYHQDAPEPALLYRNLKCDYV -FNNNISREETPLNYFDNYLGCVVNADNSTEHSVDACDLRMGSGLCVNYSTAHRARRSVSTGYKLTTFEPF -TVSIVNDSVESVGGLYEMQIPTNFTIASQQEFIQTRSPKVTIDCAAFVCGDYTVCRQQLVDYGSFCDNIN -AILGEVNNLIDTMQLQVASALIQGVTLNSRLADGISGQIDDINFSPLLGCLGSYCSEGTMAVQGRSAIED -VLFDKVKLSDVGFVEAYNNCTGGQEVRDLLCVQSFNGIKVLPPVLSESQISGYTAGATASAMFPPWSAAA -GVPFALSVQYRINGLGVTMNVLSENQKMIASSFNNAIGAIQEGFDATNSALAKIQSVVNSNAEALNNLLN -QLSNRFGAISASLQEILSRLDALEAQAQIDRLINGRLTALNAYVSKHLSDMTLIKVSAAQAIEKVNECVK -SQSPRINFCGNGNHILSLVQNAPYGLYFIHFSYVPTSFTTVNVSPGLCISGDRGLAPKAGYFVQDHGEWK -FTGSNYYYPESITDKNSVVMSSCAVNYTKAPEVFLNTSITNLPDFKEELDKWFKNQTSIVPDLSFDIGKL -NVTFLDLSYEMNRIQDAIKNLNESYINLKEIGTYEMYVKWPWYVWLLIGLAGVAVCVLLFFICCCTGCGS -CCFKKCGNCCDEYGGRQAGIVIHNISSHED - ->YP_209233.1 spike glycoprotein [Murine hepatitis virus strain JHM] -MLFVFILLLPSCLGYIGDFRCIQTVNYNGNNASAPSISTEAVDVSKGLGTYYVLDRVYLNATLLLTGYYP -VDGSNYRNLALTGTNTLSLTWFKPPFLSEFNDGIFAKVQNLKTNTPTGATSYFPTIVIGSLFGNTSYTVV -LEPYNNIIMASVCTYTICQLPYTPCKPNTNGNRVIGFWHTDVKPPICLLKRNFTFNVNAPWLYFHFYQQG -GTFYAYYADKPSATTFLFSVYIGDILTQYFVLPFICTPTAGSTLLPLYWVTPLLKRQYLFNFNEKGVITS -AVDCASSYISEIKCKTQSLLPSTGVYDLSGYTVQPVGVVYRRVPNLPDCKIEEWLTAKSVPSPLNWERRT -FQNCNFNLSSLLRYVQAESLSCNNIDASKVYGMCFGSVSVDKFAIPRSRQIDLQIGNSGFLQTANYKIDT -AATSCQLYYSLPKNNVTINNYNPSSWNRRYGFNDAGVFGKSKHDVAYAQQCFTVRPSYCPCAQPDIVSAC -TSQTKPMSAYCPTGTIHRECSLWNGPHLRSARVGSGTYTCECTCKPNPFDTYDLRCGQIKTIVNVGDHCE -GLGVLEDKCGNSDPHKGCSCANDSFIGWSHDTCLVNDRCQIFANILLNGINSGTTCSTDLQLPNTEVATG -VCVRYDLYGITGQGVFKEVKADYYNSWQALLYDVNGNLNGFRDLTTNKTYTIRSCYSGRVSAAYHKEAPE -PALLYRNINCSYVFTNNISREENPLNYFDSYLGCVVNADNRTDEALPNCDLRMGAGLCVDYSKSRRARRS -VSTGYRLTTFEPYMPMLVNDSVQSVGGLYEMQIPTNFTIGHHEEFIQIRAPKVTIDCAAFVCGDNAACRQ -QLVEYGSFCDNVNAILNEVNNLLDNMQLQVASALMQGVTISSRLPDGISGPIDDINFSPLLGCIGSTCAE -DGNGPSAIRGRSAIEDLLFDKVKLSDVGFVEAYNNCTGGQEVRDLLCVQSFNGIKVLPPVLSESQISGYT -AGATAAAMFPPWTAAAGVPFSLNVQYRINGLGVTMNVLSENQKMIASAFNNALGAIQEGFDATNSALGKI -QSVVNANAEALNNLLNQLSNRFGAISASLQEILTRLDAVEAKAQIDRLINGRLTALNAYISKQLSDSTLI -KFSAAQAIEKVNECVKSQTTRINFCGNGNHILSLVQNAPYGLCFIHFSYVPTSFKTANVSPGLCISGDRG -LAPKAGYFVQDNGEWKFTGSNYYYPEPITDKNSVVMISCAVNYTKAPEVFLNNSIPNLPDFKEELDKWFK -NQTSIAPDLSLDFEKLNVTFLDLTYEMNRIQDAIKKLNESYINLKEVGTYEMYVKWPWYVWLLIGLAGVA -VCVLLFFICCCTGCGSCCFRKCGSCCDEYGGHQDSIVIHNISAHED - ->YP_009555241.1 spike surface glycoprotein [Human coronavirus OC43] -MFLILLISLPTAFAVIGDLKCTSDNINDKDTGPPPISTDTVDVTNGLGTYYVLDRVYLNTTLFLNGYYPT -SGSTYRNMALKGSVLLSRLWFKPPFLSDFINGIFAKVKNTKVIKDRVMYSEFPAITIGSTFVNTSYSVVV -QPRTINSTQDGDNKLQGLLEVSVCQYNMCEYPQTICHPNLGNHRKELWHLDTGVVSCLYKRNFTYDVNAD -YLYFHFYQEGGTFYAYFTDTGVVTKFLFNVYLGMALSHYYVMPLTCNSKLTLEYWVTPLTSRQYLLAFNQ -DGIIFNAVDCMSDFMSEIKCKTQSIAPPTGVYELNGYTVQPIADVYRRKPNLPNCNIEAWLNDKSVPSPL -NWERKTFSNCNFNMSSLMSFIQADSFTCNNIDAAKIYGMCFSSITIDKFAIPNGRKVDLQLGNLGYLQSF -NYRIDTTATSCQLYYNLPAANVSVSRFNPSTWNKRFGFIEDSVFKPRPAGVLTNHDVVYAQHCFKAPKNF -CPCKLNGSCVGSGPGKNNGIGTCPAGTNYLTCDNLCTPDPITFTGTYKCPQTKSLVGIGEHCSGLAVKSD -YCGGNSCTCRPQAFLGWSADSCLQGDKCNIFANFILHDVNSGLTCSTDLQKANTDIILGVCVNYDLYGIL -GQGIFVEVNATYYNSWQNLLYDSNGNLYGFRDYITNRTFMIRSCYSGRVSAAFHANSSEPALLFRNIKCN -YVFNNSLTRQLQPINYFDSYLGCVVNAYNSTAISVQTCDLTVGSGYCVDYSKNRRSRGAITTGYRFTNFE -PFTVNSVNDSLEPVGGLYEIQIPSEFTIGNMVEFIQTSSPKVTIDCAAFVCGDYAACKSQLVEYGSFCDN -INAILTEVNELLDTTQLQVANSLMNGVTLSTKLKDGVNFNVDDINFSPVLGCLGSECSKASSRSAIEDLL -FDKVKLSDVGFVEAYNNCTGGAEIRDLICVQSYKGIKVLPPLLSENQISGYTLAATSASLFPPWTAAAGV -PFYLNVQYRINGLGVTMDVLSQNQKLIANAFNNALYAIQEGFDATNSALVKIQAVVNANAEALNNLLQQL -SNRFGAISASLQEILSRLDALEAEAQIDRLINGRLTALNAYVSQQLSDSTLVKFSAAQAMEKVNECVKSQ -SSRINFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTARVSPGLCIAGDRGIAPKSGYFVNVNNTWMYT -GSGYYYPEPITENNVVVMSTCAVNYTKAPYVMLNTSIPNLPDFKEELDQWFKNQTSVAPDLSLDYINVTF -LDLQVEMNRLQEAIKVLNQSYINLKDIGTYEYYVKWPWYVWLLICLAGVAMLVLLFFICCCTGCGTSCFK -KCGGCCDDYTGYQELVIKTSHDD - ->NP_150077.1 spike structural protein [Bovine coronavirus] -MFLILLISLPTAFAVIGDLKCTTVSINDVDTGVPSISTDTVDVTNGLGTYYVLDRVYLNTTLLLNGYYPT -SGSTYRNMALKGTLLLSTLWFKPPFLSDFTNGIFAKVKNTKVIKDGVMYSEFPAITIGSTFVNTSYSVVV -QPHTTILGNKLQGFLEISVCQYTMCEYPNTICNPNLGNQRVELWHWDTGVVSCLYKRNFTYDVNADYLYF -HFYQEGGTFYAYFTDTGVVTKFLFNVYLGTVLSHYYVMPLTCNSALTLEYWVTPLTSKQYLLAFNQDGVI -FNAVDCKSDFMSEIKCKTLSIAPSTGVYELNGYTVQPIADVYRRIPNLPDCNIEAWLNDKSVPSPLNWER -KTFSNCNFNMSSLMSFIQAYSFTCNNIDAAKIYGMCFSSITIDKFAIPNGRKVDLQLGNLGYLQSFNYRI -DTTATSCQLYYNLPAANVSVSRFNPSTWNRRFGFTEQSVFKPQPAGVFTDHDVVYAQHCFKASTNFCPCK -LDGSLCVGNGPGIDAGYKTSGIGTCPAGTNYLTCHNAAQCDCLCTPDPITSKATGPYKCPQTKYLVGIGE -HCSGLAIKSDHCGGNPCTCQPQAFLGWSVDSCLQGDRCNIFANFILHDVNSGTTCSTDLQKSNTDIILGV -CVNYDLYGITGQGIFVEVNATYYNSWQNLLYDSNGNLYGFRDYLTNRTFMIRSCYSGRVSAAFHANSSEP -ALLFRNIKCNYVFNNTLSRQLQPINYFDSYLGCVVNADNSTSSVVQTCDLTVGSGYCVDYSTKRRSRRSI -TTGYRFTNFEPFTVNSVNDSLEPVGGLYEIQIPSEFTIGNMEEFIQTSSPKVTIDCSAFVCGDYAACKSQ -LVEYGSFCDNINAILTEVNELLDTTQLQVANSLMNGVTLSTKLKDGVNFNVDDINFSPVLGCLGSDCNKV -SSRSAIEDLLFSKVKLSDVGFVEAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSENQISGYTLAATSASL -FPPWSAAAGVPFYLNVQYRINGIGVTMDVLSQNQKLIANAFNNALGAIQEGFDATNSALVKIQAVVNANA -EALNNLLQQLSNRFGAISSSLQEILSRLDALEAQAQIDRLINGRLTALNAYVSQQLSDSTLVKFSAAQAM -EKVNECVKSQSSRINFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDRGIAPKSGYF -VNVNNTWMFTGSGYYYPEPITGNNVVVMSTCAVNYTKAPDVMLNISTPNLPYFKEELDQWFKNQTSVAPD -LSLDYINVTFLDLQDEMNRLQEAIKVLNQSYINLKDIGTYEYYVKWPWYVWLLIGFAGVAMLVLLFFICC -CTGCGTSCFKKCGGCCDDYTGHQELVIKTSHED - ->YP_009273005.1 spike protein [Rousettus bat coronavirus] -MFRTLLLAALFNACWCARPTCIKPTETWGTPSFTGVEYVPHNTTYVSVPLNKLSCLLPDPYMAHSGQTVR -QKLYMGNTSNTLVYPVTPPMFNLTYGNVTPGVYNTSFLPVFDGLLVHTYMNRFAHLDNPNRTCQEPFGVV -FGTTFEQDRIAMVIIAPGEFGMWGQVNRPNTTFVHVVACSNATICAYPMFNRWGPAGSIHAENSFVEHNS -SCFLNDTFEIPMGTSRVNLAFRFQDGNLLLYHTAWLPTENYTLSGDYPLRYARSVGVGSNLPFAQFFQSV -ARARDSACAFLHNNLYIAPVQPKELLVKYNDQGMPVEIADCSADSTQELYCITGTFTPSVGVYQLSRYRA -EAKKLVQVTQQEDSCAIPYTTILEPPSPAAWVRATISNCTFDFESLLRTLPTYNLKCYGISPARLSTMCY -AGVTLDIFKLNTTHLSNMLGSVPDAVSIYNYALPSNFYGCVHAYHLNSTTPYAVAVPPGAYPIKPGGRQL -FNSFVSQVLDSPTSQCTPANCMGVVVIGLTPASGSNLVCPKANDTQVIEGQCVKYNFYGYAGTGVINQSD -LAIPNNKLFVTSKSGAVLAVRAGDKVYSITPCVSVPISVGYDPGHQRALVFNGLDCSARANAVSMPASEY -WTAAASTTARGSEPVLDTPSGCVYNVNNCTTHTVSVCEMPIGNSLCLVSNFTCSDVATASLSPNLLSLVV -YDPTDAGLKVLTPVYWVSIPTNFTLAATTEYIQTTAPKVNVDCVKYLCGDSERCIDVLSQYGAFCEDVNK -ALADVSAIIDSSMVTMVSELTAGVMWSETPQANVGSYNFSGLMGCLGSNCQEKQYRSAISDLLYNKVKVA -DPGFMGAYQKCIDEQWGGSVRDLICTQTFNGISVLPPIVSPGMQALYTSLLVGAVASSGYTFGITSVGVI -PFATQLQFRLNGLGVTTNVLMENQKLIANAFNNALTGIQKGFDATNMALAKMQSVINQHAQQLSTLVDQL -GNSFGAISSSINEIFSRLDELEANAQVDRLINGRMVVLNTYVTQLLIRASEVKAQAALASQKISECVKAQ -SQRNDFCGNGTHVLSIPQMAPNGVLFLHYSYQPTAYNLVRTAAGLCFNDTGYAPLGGLFVLPNNTDRWLF -TKMSFYDPVNISVSNTQVLAACGLNYSSVNYTVLEPAVDTSSFNFTEEFEKWYVNQSHIFNNTFNASAFN -FSLVDVNEQLAILTDVVNQLNQSYIDLKQLGTYEYTVKWPWYVWLGMIAGLVGLVLAVVLLLCMTNCCSC -ARGVCSCKSCAYEEHEDVYPAVRVHGKRTA - ->YP_009072440.1 spike protein [Bat Hp-betacoronavirus/Zhejiang2013] -MVARYRLCILQLILSACHLSITRDVQPYVHEANCTKQVATEGPFMAAYPLGKYGFINTYYPDRIFRSNTT -ALFTDLFPVIGTTVTPWKAFNALTTNERKDKRNFERHPFGEGVFVTIKHTQVSGPSTPPMYLFGTYFNSS -SYTLAISLDGLYRRIEVCNFQVCQNPVMYYAKVSNPAQGNPLIYSQRAYTDYNSCVSQTSQSFNITSQGD -KNGAFSKDTITTYVFKYQNGIFSVFHGFHNGTDHVPYPKPAFEFPLDIPITHFIIPPTVEEPAQGQGYTR -GNYVVYYAQLKKSTFMFGFDSNGLITRYIDCAAGPKDELMCSQGSFNITPGVYTTTNYRATPKQHVVITS -ADVSAECPFQSLINVTEATIPSPAFWRRHYVRNCNYDISVFTDNADVYSLQCYGVAPSSLADMCWEEAHI -DYMKISEKDIFSFKPSGAGDFAKYNYKLPSDFMGCTVVFTNQELTCNATSGQLCHVYTNNLTNYPAEATA -WDKSHYESIERYQMWSSENVYNCELQEVGPQQFNRMPRNCYVRSNNSNDYWQPMSFRGVNSLMVAMAITL -KPTRTSATVCGYKQKTTPLVLNECITYHIYGYKGTGVIVSANYTFQSFQTVQLTSTGSLHSFKYNNTIYG -ILPCAQAQVSIVTAGSNHDNVAVVYNGLGCNAFQSKMQGVDNTEWSVYTNFSSPIDTPVGCLTGAIQAQE -NSTECQFALGLDSCVNYTADTRLRTARAADRALTFNYMYFAQELPSQSEQSVAFDNSTLQIPINFTIGIE -YETIPVTMPKVTVDCAQYVCGDNSECRTLLVQYGTFCETINDALRGVALQQDVNQKDVFSSVRRLAKVSS -PMNLGNLNGFNFSSILNQEAINGNNFQARSAIEDLFFDKIETADVGFQKKYDECTGGSVVKDLGCAQSFN -GLMVLPPQMTDAHVAAYTTSAALGSFFSIPNTMQMAYRFNGIAVTQKVLVDNQKQIANKFNQAMMSVQTG -FKATSSALEKLQKVVNDNAQALNNLVAQLTNNFGAISSAINDITQRLDKLEADAQIDRLINGRLQVLQTF -VTQQLIRASEIRASAKLAAQKMSECVQGQSQRLDFCGRGMHLMSFPQAAPYGMVFLHVLYKPTDYINVTT -VPAICSNDVAYFPVDGVFVYYNNSLMFTKRNYFEPEIITIDNIRSAGSCNVDVTYVNHTIYNPEMPELED -FKQELEEIRKNFSTGLPNRPNFTLPGLEGINASMVDLSEEVKILNDVVKELNASLINLQELGVNSQYIKW -PWYIWLGFIAGLIAIVMATIMLCCMTSCCSCFKGLCACKRCCDSFDEPDEPIKYHYP - ->YP_009072439.1 putative surface protein [Bat Hp-betacoronavirus/Zhejiang2013] -MFVLVLLFSIASAKLVKPNGQHPTTDDTAAYSNCLRLSPQWHIGLSNLTVNHDTFLIPQPTFNATTLSAG -YYPKIGDTVVTWEAIVTGDSAENQKFKVDYDNYIPHEFGQGIVLKVFNRDKASASTPPAFLFGSTFDNTT -HTMLIAVNTTHRTVAVCRFEFCSTPVVASMINNGNFQQYNHSSLFTSAEDCIYVNYQNFTMNNTMGDLSR -TGTVYYSFQYLNGYFSIYYAYRALHNLTEPKFLSPFMHFPLSIAVTNFLVPPVWNRNYQNQNAQTQARGQ -FVIEYAMLRLQSMYFDYQNGTLINYTSCDDLSCLTQTPCSTQAPCPTTSCPTQKSTTSVTTTTPTTISTT -IKPTTISSTTSTTTQTTSRTTTAETTTTTVASTVSQGTTNETYSTLPPCNNYTTITQSPCNDVAYFWTMV -FTIIISISFILGVLLCSSIDSYEEEVKLHQI - ->YP_009513010.1 spike protein [Betacoronavirus Erinaceus/VMC/DEU/2012] -MIRSACLLMCLLMFIKATPSEGTCISVDMQPSYFIKNWSMPINMSKADGVLYPVERTYSNITITLEGLFP -HQADRGDMYVYSQSHKGRPFISNYSLVTNDFGNGIVIRIGSAANKTGSPIISNIASNFQIMKKLYPALLF -GSAVGKFPANNKTGAYFNHSLVILPEKCGTVITALYCVLEPKNDTNCTGSSGYVSYVLFENFSCDATTDG -NLKNSLQQWFNIKDCLFEKNYNVTDDEREEWFGIIQDQQGVHLYTSRKNGYSNNMFLFATLPIYDQILYY -TVMPRSINASNYASYHAFSAFYIYKLHKINYMVDFDVNGYITRAVDCGYNDYTQLQCSYGQFDMDSGVYS -ASYFNARSRGYYYEAAELTECDLDVLFKNDAPIIANYSRRVFTNCNYNLTKLLSLVQVDEFVCHKTTPEA -LATGCYSSLTVDWFALPFSMKSTLAIGSAEAISMFNYNQDYSNPTCRIHAAVTANVSTALNFTANANYAY -ISRCQGVDGKPILLQPGQMTNIACRSGVLARPSDADYFGYSFQGRNYYLGRKSYKPKTDEGDVQMVYVIT -PKYDKGPDTVCPLKDMGAASSSLDGLLGQCIDYDIHGVVGRGVFQVCNTTGIASQIFVYDGFGNIIGFHS -KNGTYYCMAPCVSVPVSVIYDKSSDVHATLYSSVECNHIKSVATVFSRQTESKLRSSDNGLLQTAVGCVI -GFRNTSDTVEDCTLPLGQSLCAKPPSFSSRSTNVNNTFALVHMLFQNPLKVSVLNSTEFQVSIPQNFSFG -ITEEFIETSIQKVTVDCKQYVCNGFERCEQLLVQYGQFCSKINQALHGVNLRQDDATKSLFEDIKVPQSV -PLMTSLTGDYNLSLFEAPNIGSGNNNYRSALEDLLFDKVTLSDPGYMKGYDECMKKGPPSARDLICAQYV -SGYKVLPPLYDANMEAMYTASLTGSIAGSFWTGGLSSAAALPFAQSMFYRMNGIGITQNVLMKNQREIAN -KFNQALGAMQTGFTATNQAFQKVQDVVNANAQALSKLASELANTFGAISSSIGDILKRLDVLEQEVQIDR -LINGRLTSLNAFVSQQLVRSEAAARSSQLAKEKINECVKAQSTRSGFCGQGTHIVSFVINAPNGFYFIHV -GYHPQDYVNQTAAYGLCDSSSSKCIAAKNGYFVKNDSDSDSWSYTGSSFYQPEPITSFNSRFVQPEFTFQ -NLTNNLPPPLLSNNSDVTFEDELEEFYKNITSEIPNFGSISQINTTMLNLSREMSILQQVVKDLNNSYIE -LKELGNYTYYQKSPWYVWLGFIAGLVALALCLFFILCCTGCGTSCLGKINCTRCCDKYDDFDMPEKIHIH - ->YP_009724390.1 surface glycoprotein [Severe acute respiratory syndrome coronavirus 2] -MFVFLVLLPLVSSQCVNLTTRTQLPPAYTNSFTRGVYYPDKVFRSSVLHSTQDLFLPFFSNVTWFHAIHV -SGTNGTKRFDNPVLPFNDGVYFASTEKSNIIRGWIFGTTLDSKTQSLLIVNNATNVVIKVCEFQFCNDPF -LGVYYHKNNKSWMESEFRVYSSANNCTFEYVSQPFLMDLEGKQGNFKNLREFVFKNIDGYFKIYSKHTPI -NLVRDLPQGFSALEPLVDLPIGINITRFQTLLALHRSYLTPGDSSSGWTAGAAAYYVGYLQPRTFLLKYN -ENGTITDAVDCALDPLSETKCTLKSFTVEKGIYQTSNFRVQPTESIVRFPNITNLCPFGEVFNATRFASV -YAWNRKRISNCVADYSVLYNSASFSTFKCYGVSPTKLNDLCFTNVYADSFVIRGDEVRQIAPGQTGKIAD -YNYKLPDDFTGCVIAWNSNNLDSKVGGNYNYLYRLFRKSNLKPFERDISTEIYQAGSTPCNGVEGFNCYF -PLQSYGFQPTNGVGYQPYRVVVLSFELLHAPATVCGPKKSTNLVKNKCVNFNFNGLTGTGVLTESNKKFL -PFQQFGRDIADTTDAVRDPQTLEILDITPCSFGGVSVITPGTNTSNQVAVLYQDVNCTEVPVAIHADQLT -PTWRVYSTGSNVFQTRAGCLIGAEHVNNSYECDIPIGAGICASYQTQTNSPRRARSVASQSIIAYTMSLG -AENSVAYSNNSIAIPTNFTISVTTEILPVSMTKTSVDCTMYICGDSTECSNLLLQYGSFCTQLNRALTGI -AVEQDKNTQEVFAQVKQIYKTPPIKDFGGFNFSQILPDPSKPSKRSFIEDLLFNKVTLADAGFIKQYGDC -LGDIAARDLICAQKFNGLTVLPPLLTDEMIAQYTSALLAGTITSGWTFGAGAALQIPFAMQMAYRFNGIG -VTQNVLYENQKLIANQFNSAIGKIQDSLSSTASALGKLQDVVNQNAQALNTLVKQLSSNFGAISSVLNDI -LSRLDKVEAEVQIDRLITGRLQSLQTYVTQQLIRAAEIRASANLAATKMSECVLGQSKRVDFCGKGYHLM -SFPQSAPHGVVFLHVTYVPAQEKNFTTAPAICHDGKAHFPREGVFVSNGTHWFVTQRNFYEPQIITTDNT -FVSGNCDVVIGIVNNTVYDPLQPELDSFKEELDKYFKNHTSPDVDLGDISGINASVVNIQKEIDRLNEVA -KNLNESLIDLQELGKYEQYIKWPWYIWLGFIAGLIAIVMVTIMLCCMTSCCSCLKGCCSCGSCCKFDEDD -SEPVLKGVKLHYT - ->YP_009755839.1 spike glycoprotein [Shrew coronavirus] -MLILVFLSLVTVSLQNVVVPFDNYCNLDARYHNITVQSEKPFNFNATFYTTNQTCFDVNCTSSNTSNTFN -SSDTITYSNCSSVTFNGCVVKQFCVTQPCHCYYQTQQVSGFVFDGLSHTFVPEISNYHVADVVNKTLTRT -TNLCSSVNVTIDYKQFLMLSSVEINSTFVVTQFVPSYVYNQDNFTIKGDNFWVVANTTTVFVLQPKTPSN -ETLSLYIKITNSSGSLFVNVTICNYFRVLNNTDNTNTSSLVYTNCVFEKVAGGLQVVTLGVIWRKNVVTL -HWLAQEVVTIELPFDPQWYGGDPALNGLYCNGWYANSLESPHTYLLKTNASGHIVNSLWCNPDDELSSLQ -CAYKTFNLNRGVYSRTVHQKVVYLQRFSSTPKCVTNKVNADLVVRGGFYATLTNCDYDLHGLLNISLLPQ -RWGGVNYTIPPVVVPWVLKNPDGTSRLWQQCVGVDVASANTQCFKSISVSFVTNAYLLELLPGQCVSYYG -YFTTGAAGLVAKNAIVGTFDLSSGDTQTCMYQQQNTTFEIPQNVCVSFTFDSQTIKGILTQFNTTYPSNY -TNILKHNGVVKYVRIDGVSYVVKPCLFESVVKIVNNATYNHTIISTSLCGENGTNTTIGCIQTGFVNETL -NTCDYSLGNGFCANVNKSMDVYKFIPSKQTNDYVVPISGMYNITLPTSYEPFTSTAYYQTRLEKLQVDCQ -HFVCDSNIHCLTLLQKYGDICTKITGDVNTVMASLTSLELDALQTVALEGLNVDYGSFNFSGLMPQRGTR -SFIEDLLFDKIVTTGPQFYKDYYDCHKDKTINDLLCAQQYNGMLVVPPIMDDATIAMYGTIAGASVSAGM -FGGQAGMITWNTAVSARLNALGITQEVMQSDIQKIANAVNGIVDHVSNLAKTVAGGFEVIQAVVNQNGAQ -MMALVDGLQENFGAISNNFETINNRLNKLEADQQMDRLINGRLNVLQSFMTNYKIKVSAMQATQHTVNSI -IKECVYAQSTRNGFCGDGKHIMSFMQNAPDGIFFIHFTMKPVQYINVTTTPGLCINNTGIAPKDGIFVFV -NGTWMVSPRNIYNPRNVSNSDIVHYISCEANYTLVNNTIGSVVLPQLPNIGDDFNNLYENTSKALEEIKN -IYFNFTNLNLTTEIERLNSIAEEVKKLNITLSELGRYERYVKWPWYVWLAIAVVMIVLVFILGCLLFMTG -CCGCCGCCGGCCGKACSKPPDYEELEKLHVA - ->YP_009380521.1 spike protein [Coronavirus AcCoV-JC34] -MALIFVLMLITLYRCPFVLCNFQVCTDQLRQQEVYLPNAVSNATYIATAVFPTRHWHCGSSSNSTPGDNK -FNGIGVFVHRFNHPNWWHFAAYPAAPTNKTWILFWWHRATQASTVFQVCKYQQPAVDVLTSGFRCEAPTN -PPCSAIVNMAIECLINSTFRPTTFSFSYVTWYNSEINAVIHGQRFSFVYEGFLWSNASAFCYDANGCIFN -IPNTTSDWLVSTDSSGAINNFVDCGFDYESQLKCKNLVFELEPAVYHGAALPIESSVYYVANDLADCAFS -FADIFSDGTGNYGGLRRHVFTNCWVNYTSWFLCDDGLACIIFNAIFSEVVYNLTQPDGLINPFLRCNGLD -VYTIVKGCSAGYVLRYQLFDSGQFNPDAYTPDYMECFGYFQIDRSYIVYNAKFITEGLTVCVLQPVEPEL -GVCKQYTIDGVTFQGILHTSNANITTFHNLLYYGDMVSHVRIKGIVYSVEPCNSFYYSVLKTRSEVGYLY -SGANCNSSDVVTFSRRARARTLTDSSLGCLVDVQLTNNNYTTCSYPIGNGLCADVNVTGMPVVGNIYIQP -HDTDYARPILSPQIVSLPLDHVINVKEQFVQTSAPKFDVDCERYICDVSVQCKELLAKYGGYCPKIVSDI -KSSSLQLDYQVQGIYKTLNVDVKVPDVDFGAFNFSMFESEPNGRSFIEDILFDKIVTTGPGFYQDYYDCK -KMNLEDITCKQYYNGIMVIPPVMDDTLITFWSSAVAGSMTAGLFGGQAGMVSWSIAVAGRLNALGVMQDA -LVNDVNKLANGFNNLTQYVADGFKTTSQALSAIQSVVNNNAQQISQLVQGLSENFGAISNNFLVIAERLE -RLEAQMQMDRLINGRMNILQNFVTNYKLSISELKSQQILAQSLVNECVYAQSNRNGFCGDGLHLFSLMQR -APDGIMFFHYTLVPNNTIDVETTPGLCLGNNVCIAPRDGLFVKTNIRSDVWHFTTRNLYNPQAITVNNSV -IVNGGVNFTSLNQTIEGIEPPTIPSFDEEFEDLYKNITLELEQLKNITFDPELLNLTYYIDRLDELSTNV -SQLHVDISEFNKYVQYIKWPWYVWLAIFLVLVLFSFMLLWCCCATGCCGCCGMLGSACNGCCTKPQTVEF -EKVHVQ - ->YP_009200735.1 spike glycoprotein [BtRf-AlphaCoV/YN2012] -MKLLTVLTLLACIRLVCGCTDVNFDLFKTILSTNRGSINGTAVISGAYPSTNISEWFCSGTVGRPSGIAK -GIGIFAQTANVDYGSGGGGYTFSVSPSHSTNLTWELWVHRAWGANKPVTIRLCKWFQALPFNQTSHQQDA -GPTNAIECLVNGSFPSHSALNYMFGVTWYEGLVRVIFPPTVFEMQLDGLQWEIVRFTGPISGGKMTRFNV -IKDISSILVSTDAHGAVDNFVYCDSGFVSALQCKLRRFSLPPGVYSNTEVEYPSALYTVVSNMTSCPERP -STSCTSSYCPLKRAVFSNCIVNYTSWVYDSSVKSNFQHLILPNGLFNPFIECNGLNKIVTNSCVPGFVLR -VGRGKALNKTVTVPYLKTSECFGWAWNDPQDSIFDWWIADFVSSGAYVCTSNPVAPRTGVCMTYTVEKTT -FQGVLYESNFTFSQYYNLLYAGSQLKYVRILGIVYEVAACFEASYDVLFASNSSYGLLYRSFDCNQIHIN -AERFRSRLLPQHNGTATALGCLFNATYAPNDTFVNCSNPLGDGFCADLKGTVAVRRMGFEAHDTTYVANV -ISERYISLAIDQQLVLTEQFLQTTMPKFSVNCETYICDVSKACKNLLFRYGGFCQKIEADIRGAGVLLDS -DISELYSTIVAKSSSLVPQTDRFNVSQFFLPKNTAPSPPSPYSSRSAIEDILFSKIETTGPGFYGDYYNC -KKNAIQDLTCAQYHNGILVIPPIMDAETMGMYGGIAAGAVSLGIFGGQAAIATWNTAIAGRLNALGVVQN -ALVDDVNKLANGFNQLTSQVGKLALSTSSALQAIQAVVNQNAAQVESLVSGITENFGAISTNFKVISQRL -DKLEADVQMDRLINGRMNVLQLFVTNYKLKIAELRNTHRYVQSLINECVYAQSLRNGFCGQGLHVLSLMQ -NAPSGIMFFHYSLIPNNTITVKTTPGLCESDEIGAKCIVAKNGIFVSANLSYWQWSPRNIYKPENLTFTN -VIAVSRGANYTTLNRTFDIPELNQSFPIDEEFREYFQNMSSELQALKNLTADMSKLNISEEIKLINEIAH -NVSMMHVEVEKFQKYVNYVKWAWWQWLLIFIALTLLAGLMVWCCLATGCCGMCGCLAATCASCCDCKGTK -LQSYEIEKIHIQ - ->sp|Q0ZME7.1|SPIKE_CVHN5 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MFLIIFILPTTLAVIGDFNCTNSFINDYNKTIPRISEDVVDVSLGLGTYYVLNRVYLNTTLLFTGYFPKS -GANFRDLALKGSIYLSTLWYKPPFLSDFNNGIFSKVKNTKLYVNNTLYSEFSTIVIGSVFVNTSYTIVVQ -PHNGILEITACQYTMCEYPHTVCKSKGSIRNESWHIDSSEPLCLFKKNFTYNVSADWLYFHFYQERGVFY -AYYADVGMPTTFLFSLYLGTILSHYYVMPLTCNAISSNTDNETLEYWVTPLSRRQYLLNFDEHGVITNAV -DCSSSFLSEIQCKTQSFAPNTGVYDLSGFTVKPVATVYRRIPNLPDCDIDNWLNNVSVPSPLNWERRIFS -NCNFNLSTLLRLVHVDSFSCNNLDKSKIFGSCFNSITVDKFAIPNRRRDDLQLGSSGFLQSSNYKIDISS -SSCQLYYSLPLVNVTINNFNPSSWNRRYGFGSFNLSSYDVVYSDHCFSVNSDFCPCADPSVVNSCAKSKP -PSAICPAGTKYRHCDLDTTLYVKNWCRCSCLPDPISTYSPNTCPQKKVVVGIGEHCPGLGINEEKCGTQL -NHSSCFCSPDAFLGWSFDSCISNNRCNIFSNFIFNGINSGTTCSNDLLYSNTEISTGVCVNYDLYGITGQ -GIFKEVSAAYYNNWQNLLYDSNGNIIGFKDFLTNKTYTILPCYSGRVSAAFYQNSSSPALLYRNLKCSYV -LNNISFISQPFYFDSYLGCVLNAVNLTSYSVSSCDLRMGSGFCIDYALPSSRRKRRGISSPYRFVTFEPF -NVSFVNDSVETVGGLFEIQIPTNFTIAGHEEFIQTSSPKVTIDCSAFVCSNYAACHDLLSEYGTFCDNIN -SILNEVNDLLDITQLQVANALMQGVTLSSNLNTNLHSDVDNIDFKSLLGCLGSQCGSSSRSLLEDLLFNK -VKLSDVGFVEAYNNCTGGSEIRDLLCVQSFNGIKVLPPILSETQISGYTTAATVAAMFPPWSAAAGVPFS -LNVQYRINGLGVTMDVLNKNQKLIANAFNKALLSIQNGFTATNSALAKIQSVVNANAQALNSLLQQLFNK -FGAISSSLQEILSRLDNLEAQVQIDRLINGRLTALNAYVSQQLSDITLIKAGASRAIEKVNECVKSQSPR -INFCGNGNHILSLVQNAPYGLLFIHFSYKPTSFKTVLVSPGLCLSGDRGIAPKQGYFIKQNDSWMFTGSS -YYYPEPISDKNVVFMNSCSVNFTKAPFIYLNNSIPNLSDFEAELSLWFKNHTSIAPNLTFNSHINATFLD -LYYEMNVIQESIKSLNSSFINLKEIGTYEMYVKWPWYIWLLIVILFIIFLMILFFICCCTGCGSACFSKC -HNCCDEYGGHNDFVIKASHDD - ->sp|Q0Q4F2.1|SPIKE_BC133 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MTRLMCLLMSLSIFVRGFDSQFVDMSPASNASECLESQVDAAAFSKLVWPYPIDPAKVDGIIYPLGRTYS -NITLEYTGLFPLQGDLGMQYLYSVSHAVGNDGDPTKAYISNYSLLVNDFDNGFVVRIGAAANSTGTIVIS -PSVNTKIKKAYPAFILGSSLTNTSAGKPLYANYSLTIIPDGCGTVLHAFYCILKPRTGNRCPSGSDYNAY -FIYETIHSDCQSTINRNASLNSFKSFFDLVNCTFFNSWDITADETKEWFGITQDTQGVHLHSSRKGDLYG -GNMFRFATLPVYEGIKYYTVIPRSFRSKANKREAWAAFYVYKLHQLTYLLDFSVDGYIRRAIDCGHDDLS -QLHCSYTSFEVDTGVYSVSSYEASATGTFIEQPNVTECDFSPMLTGVAPQVYNFKRLVFSNCNYNLTKLL -SLFAVDEFSCNGISPDAIARGCYSTLTVDYFAYPLSMKSYIRPGSAGNIPLYNYKQSFANPTCRVMASVP -DNVTITKPGAYGYISKCSRLTGVNQDIETPLYINPGEYSICRDFAPLGFSEDGQVFKRTLTQFEGGGLLI -GVGTRVPMTANLEMGFVISVQYGTGTDSVCPMLDLGDSLTITNRLGKCVDYSLYGVTGRGVFQNCTAVGV -KQQRFVYDSFDNLVGYYSDDGNYYCVRPCVSVPVSVIYDKSTNLHATLFGSVACEHVTTMMSQFSRLTQS -NLRRRDSNTPLQTAVGCVIGLSNNSLVVSDCKLPLGQSLCAVPPVSMFRSYSASQFQLAVLNYTSPIVVT -PINSSGFTAAIPTNFSFSLTQEYIETSIQKVTVDCKQYVCNGFTRCEKLLVEYGQFCSKINQALHGANLR -QDESVYSLYSNIKTTSTQTLEYGLNGDFNLTLLQVPQIGGSSYRSAIEDLLFDKVTIADPGYMQGYDDCM -KQGPQSARDLICAQYVSGYKVLPPLYDPNMEAAYTSSLLGSIAGAGWTAGLSSFAAIPFAQSMFYRLNGV -GITQQVLSENQKLIANKFNQALGAMQTGFTTSNLAFSKVQDAVNANAQALSKLASELSNTFGAISSSISD -ILARLDTVEQDAQIDRLINGRLTSLNAFVSQQLVRSETAARSAQLASDKVNECVKSQSKRNGFCGSGTHI -VSFVVNAPNGFYFFHVGYVPTNYTNVTAAYGLCNHNNPPLCIAPIDGYFITNQTTTYSVDTEWYYTGSSF -FKPEPITQANSRYVSSDVKFEKLENNLPPPLLENSTDVDFKDELEEFFKNVTSHGPNFAEISKINTTLLD -LSDEMAILQEVVKQLNDSYIDLKELGNYTYYNKWPWYIWLGFIAGLVALLLCVFFLLCCTGCGTSCLGKM -KCKNCCDSYEEYDVEKIHVH - ->sp|Q0Q475.1|SPIKE_BC279 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MKVLIFALLFSLAKAQEGCGIISRKPQPKMEKVSSSRRGVYYNDDIFRSDVLHLTQDYFLPFDSNLTQYF -SLNIDSNKYTYFDNPILDFGDGVYFAATEKSNVIRGWIFGSSFDNTTQSAIIVNNSTHIIIRVCNFNLCK -EPMYTVSKGTQQSSWVYQSAFNCTYDRVEKSFQLDTAPKTGNFKDLREYVFKNRDGFLSVYQTYTAVNLP -RGFPAGFSVLRPILKLPFGINITSYRVVMTMFSQFNSNFLPESAAYYVGNLKYTTFMLSFNENGTITDAV -DCSQNPLAELKCTIKNFNVSKGIYQTSNFRVTPTQEVVRFPNITNRCPFDKVFNASRFPNVYAWERTKIS -DCVADYTVLYNSTSFSTFKCYGVSPSKLIDLCFTSVYADTFLIRSSEVRQVAPGETGVIADYNYKLPDDF -TGCVIAWNTAQQDQGQYYYRSYRKEKLKPFERDLSSDENGVYTLSTYDFYPSIPVEYQATRVVVLSFELL -NAPATVCGPKLSTQLVKNQCVNFNFNGLRGTGVLTTSSKRFQSFQQFGRDTSDFTDSVRDPQTLEILDIS -PCSFGGVSVITPGTNASSEVAVLYQDVNCTDVPTSIHADQLTPAWRVYSTGVNVFQTQAGCLIGAEHVNA -SYECDIPIGAGICASYHTASVLRSTGQKSIVAYTMSLGAENSIAYANNSIAIPTNFSISVTTEVMPVSIA -KTSVDCTMYICGDSLECSNLLLQYGSFCTQLNRALTGIAIEQDKNTQEVFAQVKQMYKTPAIKDFGGFNF -SQILPDPSKPTKRSFIEDLLFNKVTLADAGFMKQYGECLGDISARDLICAQKFNGLTVLPPLLTDEMIAA -YTAALVSGTATAGWTFGAGSALQIPFAMQMAYRFNGIGVTQNVLYENQKQIANQFNKAISQIQESLTTTS -TALGKLQDVVNDNAQALNTLVKQLSSNFGAISSVLNDILSRLDKVEAEVQIDRLITGRLQSLQTYVTQQL -IRAAEIRASANLAATKMSECVLGQSKRVDFCGKGYHLMSFPQAAPHGVVFLHVTYVPSQERNFTTAPAIC -HEGKAYFPREGVFVSNGTSWFITQRNFYSPQIITTDNTFVAGNCDVVIGIINNTVYDPLQPELDSFKEEL -DKYFKNHTSPDVDLGDISGINASVVNIQKEIDRLNEVAKNLNESLIDLQELGKYEQYIKWPWYVWLGFIA -GLIAIVMVTILLCCMTSCCSCLKGACSCGSCCKFDEDDSEPVLKGVKLHYT - ->sp|Q3LZX1.1|SPIKE_BCHK3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MKILIFAFLANLAKAQEGCGIISRKPQPKMAQVSSSRRGVYYNDDIFRSDVLHLTQDYFLPFDSNLTQYF -SLNVDSDRYTYFDNPILDFGDGVYFAATEKSNVIRGWIFGSSFDNTTQSAVIVNNSTHIIIRVCNFNLCK -EPMYTVSRGTQQNAWVYQSAFNCTYDRVEKSFQLDTTPKTGNFKDLREYVFKNRDGFLSVYQTYTAVNLP -RGLPTGFSVLKPILKLPFGINITSYRVVMAMFSQTTSNFLPESAAYYVGNLKYSTFMLRFNENGTITDAV -DCSQNPLAELKCTIKNFNVDKGIYQTSNFRVSPTQEVIRFPNITNRCPFDKVFNATRFPNVYAWERTKIS -DCVADYTVLYNSTSFSTFKCYGVSPSKLIDLCFTSVYADTFLIRSSEVRQVAPGETGVIADYNYKLPDDF -TGCVIAWNTAKHDTGNYYYRSHRKTKLKPFERDLSSDDGNGVYTLSTYDFNPNVPVAYQATRVVVLSFEL -LNAPATVCGPKLSTELVKNQCVNFNFNGLKGTGVLTSSSKRFQSFQQFGRDTSDFTDSVRDPQTLEILDI -SPCSFGGVSVITPGTNASSEVAVLYQDVNCTDVPTAIRADQLTPAWRVYSTGVNVFQTQAGCLIGAEHVN -ASYECDIPIGAGICASYHTASVLRSTGQKSIVAYTMSLGAENSIAYANNSIAIPTNFSISVTTEVMPVSM -AKTAVDCTMYICGDSLECSNLLLQYGSFCTQLNRALTGIAIEQDKNTQEVFAQVKQMYKTPAIKDFGGFN -FSQILPDPSKPTKRSFIEDLLFNKVTLADAGFMKQYGDCLGDVSARDLICAQKFNGLTVLPPLLTDEMVA -AYTAALVSGTATAGWTFGAGAALQIPFAMQMAYRFNGIGVTQNVLYENQKLIANQFNSAIGKIQESLSST -ASALGKLQDVVNQNAQALNTLVKQLSSNFGAISSVLNDILSRLDKVEAEVQIDRLITGRLQSLQTYVTQQ -LIRAAEIRASANLAATKMSECVLGQSKRVDFCGKGYHLMSFPQSAPHGVVFLHVTYVPSQEKNFTTAPAI -CHEGKAYFPREGVFVSNGTSWFITQRNFYSPQLITTDNTFVSGNCDVVIGIINNTVYDPLQPELDSFKEE -LDKYFKNHTSPDVDLGDISGINASVVNIQKEIDRLNEVAKNLNESLIDLQELGKYEQYIKWPWYVWLGFI -AGLIAIVMVTILLCCMTSCCSCLKGACSCGSCCKFDEDDSEPVLKGVKLHYT - ->sp|Q8JSP8.1|SPIKE_CVPIA RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MFFILLITLPSVFAVIGDLKCNTSSINDVDTGVPSISSEVVDVTNGLGTFYVLDRVYLNTTLLLNGYYPI -SGATFRNVALKGTRLLSTLWFKPPFLSPFNDGIFAKVKNSRFSKHGVIYSEFPAITIGSTFVNTSYSIVV -KPHTSFINGNLQGFLQISVCQYTMCEYPQTICHPNLGNQRIELWHHDTDVVSCLYRRNFTYDVNADYLYF -HFYQEGGTFYAYFTDTGFVTKFLFKLYLGTVLSHYYVMPLTCDSALSLEYWVTPLTTRQFLLAFDQDGVL -YHAVDCASDFMSEIMCKTSSITPPTGVYELNGYTVQPVATVYRRIPDLPNCDIEAWLNSKTVSSPLNWER -KIFSNCNFNMGRLMSFIQADSFGCNNIDASRLYGMCFGSITIDKFAIPNSRKVDLQVGKSGYLQSFNYKI -DTAVSSCQLYYSLPAANVSVTHYNPSSWNRRYGFINQSFGSRGLHDAVYSQQCFNTPNTYCPCRTSQCIG -GAGTGTCPVGTTVRKCFAAVTNATKCTCWCQPDPSTYKGVNAWTCPQSKVSIQPGQHCPGLGLVEDDCSG -NPCTCKPQAFIGWSSETCLQNGRCNIFANFILNDVNSGTTCSTDLQQGNTNITTDVCVNYDLYGITGQGI -LIEVNATYYNSWQNLLYDSSGNLYGFRDYLSNRTFLIRSCYSGRVSAVFHANSSEPALMFRNLKCSHVFN -YTILRQIQLVNYFDSYLGCVVNAYNNTASAVSTCDLTVGSGYCVDYVTALRSRRSFTTGYRFTNFEPFAA -NLVNDSIEPVGGLYEIQIPSEFTIGNLEEFIQTSSPKVTIDCATFVCGDYAACRQQLAEYGSFCENINAI -LIEVNELLDTTQLQVANSLMNGVTLSTKIKDGINFNVDDINFSSVLGCLGSECNRASTRSAIEDLLFDKV -KLSDVGFVQAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSENQISGYTSAATAASLFPPWTAAAGVPFYL -NVQYRINGLGVTMDVLSQNQKLIASAFNNALDSIQEGFDATNSALVKIQAVVNANAEALNNLLQQLSNRF -GAISASLQEILSRLDALEAKAQIDRLINGRLTALNAYVSQQLSDSTLVKFSAAQAIEKVNECVKSQSSRI -NFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDIGISPKSGYFINVNNSWMFTGSGY -YYPEPITQNNVVVMSTCAVNYTKAPDLMLNTSTPNLPDFKEELYQWFKNQSSLAPDLSFDYINVTFLDLQ -DEMNRLQEAIKVLNHSYINLKDIGTYEYYVKWPWYVWLLICLAGVVMLVLLFFICCCTGCGTSCFKKCGG -CFDDYTGHQEFVIKTSHDD - ->sp|Q9QAR5.1|SPIKE_CVBLS RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MFLILLISLPTAFAVIGDLKCTTVSINDVDTGVPSISTDTVDVTNGLGTYYVLDRVYLNTTLLLNGYYPT -SGSTYRNMALKGTLLLSTLWFKPPFLSDFTNGIFAKVKNTKVIKDGVKYSEFPAITIGSTFVNTSYSVVV -QPHTTNLDNKLQGLLEISVCQYTMCEYPNTICNPNLGNQRVELWHWDTGVVSCLYKRNFTYDVNADYLYF -HFYQEGGTFYAYFTDTGVVTKFLFNVYLGTVLSHYYVMPLTCNSALTLEYWVTPLTSKQYLLAFNQDGVI -FNAVDCKSDFMSEIKCKTLSIAPSTGVYELNGYTVQPIADVYRRIPNLPDCNIEAWLNDKSVPSPLNWER -KTFSNCNFNMSSLMSFIQADSFTCNNIDAAKIYGMCFSSITIDKFAIPNGRKVDLQLGNLGYLQSFNYRI -DTTATSCQLYYNLPAANVSVSRFNPSTWNRRFGFTEQSVFKPQPAGVFTDHDVVYAQHCFKAPTNFCPCK -LDGSLCVGSGSGIDAGYKNTGIGTCPAGTNYLTCHNAAQCGCLCTPDPITSKATGPYKCPQTKYLVGIGE -HCSGLAIKSDYCGGNPCSCQPQAFLGWSVDSCLQGDRCNIFANFILHDVNSGTTCSTDLQKSNTDIILGV -CVNYDLYGITGQGIFVEVNATYYNSWQNLLYDSNGNLYGFRDYLTNRTFMIRSCYSGRVSAAFHANSSEP -ALLFRNFKCNYVFNNTLSRQLQPINYFDSYLGCVVNADNSTSSVVQTCDLTVGSGYCVDYSTKRRSRRSI -TTGYRFTNFEPFTVNSVNDSLEPVGGLYEIQIPSEFTIGNMEEFIQTSSPKVTIDCSAFVCGDYAACKSQ -LVEYGSFCDNINAILTEVNELLDTTQLQVANSLMNGVTLSTKLKDGVNFNVDDINFSPVLGCLGSDCNKV -SSRSAIEDLLFSKVKLSDVGFVEAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSENQISGYTLAATSASL -FPPWSAAAGVPFYLNVQYRINGIGVTMDVLSQNQKLIANAFNNALGAIQEGFDATNSALVKIQAVVNANA -EALNNLLQQLSNRFGAISSSLQEILSRLDALEAQAQIDRLINGRLTALNAYVSQQLSDSTLVKFSAAQAM -EKVNECVKSQSSRINFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDRGIAPKSGYF -VNVNNTWMFTGSGYYYPEPITGNNVVVMSTCAVNYTKAPDVMLNISTPNLPDFKEELDQWFKNQTSVAPD -LSLDYINVTFLDLQDEMNRLQEAIKVLNQSYINLKDIGTYEYYVKWPWYVWLLIGFAGVAMLVLLFFICC -CTGCGTSCFKKCGGCCDDYTGHQELVIKTSHDD - ->sp|Q8BB25.1|SPIKE_CVP67 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MFFILLISLPSAFAVIGDLKCTTSLINDVDTGVPSISSEVVDVTNGLGTFYVLDRVYLNTTLLLNGYYPI -SGATFRNMALKGTRLLSTLWFKPPFLSPFNDGIFAKVKNSRFSKDGVIYSEFPAITIGSTFVNTSYSIVV -EPHTSLINGNLQGLLQISVCQYTMCEYPHTICHPNLGNQRIELWHYDTDVVSCLYRRNFTYDVNADYLYF -HFYQEGGTFYAYFTDTGFVTKFLFKLYLGTVLSHYYVMPLTCNSALSLEYWVTPLTTRQFLLAFDQDGVL -YHAVDCASDFMSEIMCKTSSITPPTGVYELNGYTVQPVATVYRRIPDLPNCDIEAWLNSKTVSSPLNWER -KIFSNCNFNMGRLMSFIQADSFGCNNIDASRLYGMCFGSITIDKFAIPNSRKVDLQVGKSGYLQSFNYKI -DTAVSSCQLYYSLPAANVSVTHYNPSSWNRRYGFNNQSFGSRGLHDAVYSQQCFNTPNTYCPCRTSQCIG -GAGTGTCPVGTTVRKCFAAVTKATKCTCWCQPDPSTYKGVNAWTCPQSKVSIQPGQHCPGLGLVEDDCSG -NPCTCKPQAFIGWSSETCLQNGRCNIFANFILNDVNSGTTCSTDLQQGNTIITTDVCVNYDLYGITGQGI -LIEVNATYYNSWQNLLYDSSGNLYGFRDYLSNRTFLIRSCYSGRVSAVFHANSSEPALMFRNLKCSHVFN -NTILRQIQLVNYFDSYLGCVVNAYNNTASAVSTCDLTVGSGYCVDYVTALRSRRSFTTGYRFTNFEPFAA -NLVNDSIEPVGGLYEIQIPSEFTIGNLEEFIQTRSPKVTIDCATFVCGDYAACRQQLAEYGSFCENINAI -LTEVNELLDTTQLQVANSLMNGVTLSTKIKDGINFNVDDINFSPVLGCLGSECNRASTRSAIEDLLFDKV -KLSDVGFVQAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSENQISGYTLAATAASLFPPWTAAAGVPFYL -NVQYRINGLGVTMDVLSQNQKLIASAFNNALDAIQEGFDATNSALVKIQAVVNANAEALNNLLQQLSNRF -GAISASLQEILSRLDALEAKAQIDRLINGRLTALNAYVSQQLSDSTLVKFSAAQAIEKVNECVKSQSSRI -NFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDIGISPKSGYFINVNNSWMFTGSSY -YYPEPITQNNVVVMSTCAVNYTKAPDLMLNTSTPNLPDFKEELYQWFKNQSSVAPDLSLDYINVTFLDLQ -DEMNRLQEAIKVLNQSYINLKDIGTYEYYVKWPWYVWLLIGLAGVAMLVLLFFICCCTGCGTSCFKKCGG -CCDDYTGHQEFVIKTSHDD - ->sp|Q9IKD1.1|SPIKE_CVRSD RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MLFVFLTLLPSCLGYIGDFRCINLVNTRISNARAPSVSTEVVDVSKGLGTYYVLDRVYLNATLLLTGYYP -VDGSMYRNMALMGTNTLSLNWFEPPFLSEFNDGIYAKVKNLKASLPIGSASYFPTIIIGSNFVNTSYTVV -LEPYNGIIMASICQYTICQLPHTDCKPNTGGNTLIGFWHTDLRPPVCILKRNFTFNVNAEWLYFHFYQQG -GTFYAYYADVSSATTFLFSSYIGAVLTQYFVLPYMCSPTTSGVSSPQYWVTPLVKRQYLFNFNQKGIITS -AVDCASSYTSEIKCKTQSMNPNTGVYDLSGYTVQPVGLVYRRVRNLPDCKIEEWLAANTVPSPLNWERKT -FQNCNFNLSSLLRFVQAESLSCSNIDASKVYGMCFGSISIDKFAIPNSRRVDLQLGKSGLLQSFNYKIDT -RATSCQLYYSLAQDNVTVINHNPSSWNRRYGFNDVATFHSGEHDVAYAEACFTVGASYCPCAKPSTVYSC -VTGKPKSANCPTGTSNRECNVQASGFKSKCDCTCNPSPLTTYDPRCLQARSMLGVGDHCEGLGILEDKCG -GSNICNCSADAFVGWAMDSCLSNARCHIFSNLMLNGINSGTTCSTDFQLPNTEVVTGVCVKYDLYGSTGQ -GVFKEVKADYYNSWQNLLYDVNGNLNGFRDIVTNKTYLLRSCYSGRVSAAYHQDAPEPALLYRNLKCDYV -FNNNISREETPLNYFDSYLGCVINADNSTEQSVDACDLRMGSGLCVNYSIAHRARRSVSTGYKLTTFEPF -TVSIVNDSVESVGGLYEMQIPTNFTIASHQEFIQTRSPKVTIDCAAFVCGDYTACRQQLVDYGSFCDNIN -AILGEVNNLIDTMQLQVASALIQGVTLSSRLADGISGQIDDINFSPLLGCLGSDCSEGTKAAQGRSAIED -VLFDKVKLSDVGFVESYNNCTGGQEVRDLLCVQSFNGIKVLPPVLSESQISGYTAGATASAMFPPWSAAA -GVPFALSVQYRINGLGVTMNVLSENQKMIASSFNNAIGAIQEGFDATNSALAKIQSVVNANAEALNNLLN -QLSNRFGAISASLQEILSRLDALEAQAQIDRLINGRLTALNAYVSKQLSDMTLIKVSAAQAIEKVNECVK -SQSPRINFCGNGNHILSLVQNAPYGLYFIHFSYVPTSFTTVNVSPGLCISGDRGLAPKAGYFVQDHGEWK -FTGSNYYYPESITDKNSVVMSSCAVNYTKAPEVFLNTSITNLPDFKEELDKWFKNQTSIVPDLSFDIGKL -NVTFLDLSYEMNRIQDAIKNLNESYINLKEIGTYEMYVKWPWYVWLLIGLAGVAVCVLLFFICCCTGCGS -CCFKKCGNCCDEYGGRQAGIVIHNISSHED - ->sp|P25193.2|SPIKE_CVBQ RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MFLILLISLPMAFAVIGDLKCTTVSINDVDTGAPSISTDIVDVTNGLGTYYVLDRVYLNTTLLLNGYYPT -SGSTYRNMALKGTLLLSRLWFKPPFLSDFINGIFAKVKNTKVIKKGVMYSEFPAITIGSTFVNTSYSVVV -QPHTTNLDNKLQGLLEISVCQYTMCEYPHTICHPKLGNKRVELWHWDTGVVSCLYKRNFTYDVNADYLYF -HFYQEGGTFYAYFTDTGVVTKFLFNVYLGTVLSHYYVLPLTCSSAMTLEYWVTPLTSKQYLLAFNQDGVI -FNAVDCKSDFMSEIKCKTLSIAPSTGVYELNGYTVQPIADVYRRIPNLPDCNIEAWLNDKSVPSPLNWER -KTFSNCNFNMSSLMSFIQADSFTCNNIDAAKIYGMCFSSITIDKFAIPNGRKVDLQLGNLGYLQSFNYRI -DTTATSCQLYYNLPAANVSVSRFNPSTWNRRFGFTEQFVFKPQPVGVFTHHDVVYAQHCFKAPKNFCPCK -LDGSLCVGNGPGIDAGYKNSGIGTCPAGTNYLTCHNAAQCDCLCTPDPITSKSTGPYKCPQTKYLVGIGE -HCSGLAIKSDYCGGNPCTCQPQAFLGWSVDSCLQGDRCNIFANFIFHDVNSGTTCSTDLQKSNTDIILGV -CVNYDLYGITGQGIFVEVNATYYNSWQNLLYDSNGNLYGFRDYLTNRTFMIRSCYSGRVSAAFHANSSEP -ALLFRNIKCNYVFNNTLSRQLQPINYFDSYLGCVVNADNSTSSVVQTCDLTVGSGYCVDYSTKRRSRRAI -TTGYRFTNFEPFTVNSVNDSLEPVGGLYEIQIPSEFTIGNMEEFIQTSSPKVTIDCSAFVCGDYAACKSQ -LVEYGSFCDNINAILTEVNELLDTTQLQVANSLMNGVTLSTKLKDGVNFNVDDINFSPVLGCLGSACNKV -SSRSAIEDLLFSKVKLSDVGFVEAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSVNQISGYTLAATSASL -FPPLSAAVGVPFYLNVQYRINGIGVTMDVLSQNQKLIANAFNNALDAIQEGFDATNSALVKIQAVVNANA -EALNNLLQQLSNRFGAISSSLQEILSRLDALEAQAQIDRLINGRLTALNVYVSQQLSDSTLVKFSAAQAM -EKVNECVKSQSSRINFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDRGIAPKSGYF -VNVNNTWMFTGSGYYYPEPITGNNVVVMSTCAVNYTKAPDVMLNISTPNLHDFKEELDQWFKNQTSVAPD -LSLDYINVTFLDLQDEMNRLQEAIKVLNQSYINLKDIGTYEYYVKWPWYVWLLIGFAGVAMLVLLFFICC -CTGCGTSCFKICGGCCDDYTGHQELVIKTSHDD - ->sp|P11225.1|SPIKE_CVMJH RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MLFVFILLLPSCLGYIGDFRCIQTVNYNGNNASAPSISTEAVDVSKGRGTYYVLDRVYLNATLLLTGYYP -VDGSNYRNLALTGTNTLSLTWFKPPFLSEFNDGIFAKVQNLKTNTPTGATSYFPTIVIGSLFGNTSYTVV -LEPYNNIIMASVCTYTICQLPYTPCKPNTNGNRVIGFWHTDVKPPICLLKRNFTFNVNAPWLYFHFYQQG -GTFYAYYADKPSATTFLFSVYIGDILTQYFVLPFICTPTAGSTLAPLYWVTPLLKRQYLFNFNEKGVITS -AVDCASSYISEIKCKTQSLLPSTGVYDLSGYTVQPVGVVYRRVPNLPDCKIEEWLTAKSVPSPLNWERRT -FQNCNFNLSSLLRYVQAESLSCNNIDASKVYGMCFGSVSVDKFAIPRSRQIDLQIGNSGFLQTANYKIDT -AATSCQLYYSLPKNNVTINNYNPSSWNRRYGFKVNDRCQIFANILLNGINSGTTCSTDLQLPNTEVATGV -CVRYDLYGITGQGVFKEVKADYYNSWQALLYDVNGNLNGFRDLTTNKTYTIRSCYSGRVSAAYHKEAPEP -ALLYRNINCSYVFTNNISREENPLNYFDSYLGCVVNADNRTDEALPNCNLRMGAGLCVDYSKSRRARRSV -STGYRLTTFEPYMPMLVNDSVQSVGGLYEMQIPTNFTIGHHEEFIQIRAPKVTIDCAAFVCGDNAACRQQ -LVEYGSFCDNVNAILNEVNNLLDNMQLQVASALMQGVTISSRLPDGISGPIDDINFSPLLGCIGSTCAED -GNGPSAIRGRSAIEDLLFDKVKLSDVGFVEAYNNCTGGQEVRDLLCVQSFNGIKVLPPVLSESQISGYTA -GATAAAMFPPWTAAAGVPFSLNVQYRINGLGVTMNVLSENQKMIASAFNNALGAIQEGFDATNSALGKIQ -SVVNANAEALNNLLNQLSNRFGAISASLQEILTRLDAVEAKAQIDRLINGRLTALNAYISKQLSDSTLIK -FSAAQAIEKVNECVKSQTTRINFCGNGNHILSLVQNAPYGLCFIHFSYVPTSFKTANVSPGLCISGDRGL -APKAGYFVQDNGEWKFTGSNYYYPEPITDKNSVAMISCAVNYTKAPEVFLNNSIPNLPDFKEELDKWFKN -QTSIAPDLSLDFEKLNVTFLDLTYEMNRIQDAIKKLNESYINLKEVGTYEMYVKWPWYVWLLIGLAGVAV -CVLLFFICCCTGCGSCCFRKCGSCCDEYGGHQDSIVIHNISAHED - ->sp|P25192.1|SPIKE_CVBLY RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MFLILLISLPMALAVIGDLKCTTVSINDVDTGVPSVSTDTVDVTNGLGTYYVLDRVYLNTTLLLNGYYPT -SGSTYRNMALKGTLLLSTLWFKPPFLSDFINGIFAKVKNTKVIKNGVMYSEFPAITIGSTFVNTSYSVVV -QPHTTNLDNKLQGLLEISVCQYTMCEYPHTICHPNLGNRRIELWHWDTGVVSCLYKRNFTYDVNADYLYF -HFYQEGGTFYAYFTDTGVVTKFLFNVYLGTVLSHYYVMPLTCNSAMTLEYWVTPLTSKQYLLAFNQDGVI -FNAVDCKSDFMSEIKCKTLSIAPSTGVYELNGYTVQPIADVYRRIPNLPDCNIEAWLNDKSVPSPLNWER -KTFSNCNFNMSSLMSFIQADSFTCNNIDAAKIYGMCFSSITIDKFAIPNGRKVDLQLGNLGYLQSFNYRI -DTTATSCQLYYNLPAANVSVSRFNPSTWNRRFGFTEQSVFKPQPVGVFTDHDVVYAQHCFKAPTNFCPCK -LDGSLCVGSGSGIDAGYKNSGIGTCPAGTNYLTCHNAAQCDCLCTPDPITSKSTGPYKCPQTKYLVGIGE -HCSGLAIKSDYCGGNPCTCQPQAFLGWSVDSCLQGDRCNIFANFILHDVNSGTTCSTDLQKSNTDIILGV -CVNYDLYGITGQGIFVEVNATYYNSWQNLLYDSNGNLYGFRDYLTNRTFMIRSCYSGRVSAAFHANSSEP -ALLFRNIKCNYVFNNTLSRQLQPINYFDSYLGCVVNADNSTSSAVQTCDLTVGSGYCVDYSTKRRSRRAI -TTGYRFTNFEPFTVNSVNDSLEPVGGLYEIQIPSEFTIGNMEEFIQISSPKVTIDCSAFVCGDYAACKSQ -LVEYGSFCDNINAILTEVNELLDTTQLQVANSLMNGVTLSTKLKDGVNFNVDDINFSPVLGCLGSDCNKV -SSRSAIEDLLFSKVKLSDVGFVEAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSENQISGYTLAATSASL -FPPWSAAAGVPFYLNVQYRINGIGVTMDVLSQNQKLIANAFNNALDAIQEGFDATNSALVKIQAVVNANA -EALNNLLQQLSNRFGAISSSLQEILSRLDALEAQAQIDRLINGRLTALNAYVSQQLSDSTLVKFSAAQAM -EKVNECVKSQSSRINFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDRGIAPKSGYF -VNVNNTWMFTGSGYYYPEPITGNNVVVMSTCAVNYTKAPDVMLNISTPNLPDFKEELDQWFKNQTSVAPD -LSLDYINVTFLDLQDEMNRLQEAIKVLNQSYINLKDIGTYEYYVKWPWYVWLLIGLAGVAMLVLLFFICC -CTGCGTSCFKKCGGCCDDYTGHQELVIKTSHDD - ->sp|P25190.1|SPIKE_CVBF RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MFLILLISLPMALAVIGDLKCTTVSINDVDTGVPSISTDTVDVTNGLGTYYVLDRVYLNTTLLLNGYYPT -SGSTYRNMALKGTLLLSTLWFKPPFLSDFINGIFAKVKNTKVIKHGVMYSEFPAITIGSTFVNTSYSVVV -QPHTTNLDNKLQGLLEISVCQYTMCEYPNTICHPNLGNRRVELWHWDTGVVSCLYKRNFTYDVNADYLYF -HFYQEGGTFYAYFTDTGVVTKFLFNVYLGTVLSHYYVMPLTCNSAMTLEYWVTPLTSKQYLLAFNQDGVI -FNAVDCKSDFMSEIKCKTLSIAPSTGVYELNGYTVQPIADVYRRIPNLPDCNIEAWLNDKSVPSPLNWER -KTFSNCNFNMSSLMSFIQADSFTCNNIDAAKIYGMCFSSITIDKFAIPNGRKVDLQLGNLGYLQSFNYRI -DTTATSCQLYYNLPAANVSLSRFNPSIWNRRFGFTEQSVFKPQPVGVFTDHDVVYAQHCFKAPTNFCPCK -LDGSLCVGNGPGIDAGYKNSGIGTCPAGTNYLTCHNAAQCNCLCTPDPITSKSTGPYKCPQTKYLVGIGE -HCSGLAIKSDYCGGNPCTCQPQAFLGWSVDSCLQGDRCNIFANFILHDVNSGTTCSTDLQKSNTDIILGV -CVNYDLYGITGQGIFVEANATYYNSWQNLLYDSNGNLYGFRDYLTNRTFMIRSCYSGRVSAAFHANSSEP -ALLFRNIKCNYVFNNTLSRQLQPINYFDSYLGCVVNADNSTASAVQTCDLTVGSGYCVDYSTKRRSVRAI -TTGYRFTNFEPFTVNSVNDSLEPVGGLYEIQIPSEFTIGNMEEFIQTSSPKVTIDCSAFVCGDCAACKSQ -LVEYGSFCDNINAILTEVNELLDTTQLQVANSLMNGVTLSTKLKDGVNFNVDDINFSPVLGCLGSECNKV -SSRSAIEDLLFSKVKLSDVGFVEAYNNCTGGAEIRDLICVQSYNGIKVLPPLLSENQISGYTLAATSASL -FPPWSAAAGVPFYLNVQYRINGIGVTMDVLSQNQKLIANAFNNALDAIQEGFDATNSALVKIQAVVNANA -EALNNLLQQLSNRFGAISSSLQEILSRLDALEAQRQIDRLINGRFTALNAYVSQQLSDSTLVKFSAAQAM -EKVNECVKSQSSRINFCGNGNHIISLVQNAPYGLYFIHFSYVPTKYVTAKVSPGLCIAGDRGIAPKSGYF -VNVNNTWMFTGSGYYYPEPITGNNVVVMSTCAVNYTKAPDVMLNISTPNLPDFKEELDQWFKNQTSVAPD -LSLDYINVTFLDLQDEMNRLQEAIKLLNQSYINLKDIGTYEYYVKWPWYVWLLIGFAGVAMLVLLFFICC -CTGCGTSCFKKCGGCCDDYTGHQELVIKTSHDD - ->sp|Q3I5J5.1|SPIKE_BCRP3 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Contains: RecName: Full=Spike protein S1; Contains: RecName: Full=Spike protein S2; Contains: RecName: Full=Spike protein S2'; Flags: Precursor -MKILILAFLASLAKAQEGCGIISRKPQPKMAQVSSSRRGVYYNDDIFRSNVLHLTQDYFLPFDSNLTQYF -SLNVDSDRFTYFDNPILDFGDGVYFAATEKSNVIRGWIFGSTFDNTTQSAVIVNNSTHIIIRVCNFNLCK -EPMYTVSRGAQQSSWVYQSAFNCTYDRVEKSFQLDTAPKTGNFKDLREYVFKNRDGFLSVYQTYTAVNLP -RGLPIGFSVLRPILKLPFGINITSYRVVMAMFSQTTSNFLPESAAYYVGNLKYTTFMLSFNENGTITNAI -DCAQNPLAELKCTIKNFNVSKGIYQTSNFRVSPTQEVIRFPNITNRCPFDKVFNATRFPNVYAWERTKIS -DCVADYTVLYNSTSFSTFKCYGVSPSKLIDLCFTSVYADTFLIRSSEVRQVAPGETGVIADYNYKLPDDF -TGCVIAWNTAKQDQGQYYYRSHRKTKLKPFERDLSSDENGVRTLSTYDFYPSVPVAYQATRVVVLSFELL -NAPATVCGPKLSTQLVKNQCVNFNFNGLKGTGVLTESSKRFQSFQQFGRDTSDFTDSVRDPQTLEILDIS -PCSFGGVSVITPGTNASSEVAVLYQDVNCTDVPAAIHADQLTPAWRVYSTGTNVFQTQAGCLIGAEHVNA -SYECDIPIGAGICASYHTASTLRSVGQKSIVAYTMSLGAENSIAYANNSIAIPTNFSISVTTEVMPVSMA -KTSVDCTMYICGDSLECSNLLLQYGSFCTQLNRALSGIAIEQDKNTQEVFAQVKQMYKTPAIKDFGGFNF -SQILPDPSKPTKRSFIEDLLFNKVTLADAGFMKQYGECLGDISARDLICAQKFNGLTVLPPLLTDEMIAA -YTAALVSGTATAGWTFGAGSALQIPFAMQMAYRFNGIGVTQNVLYENQKQIANQFNKAISQIQESLTTTS -TALGKLQDVVNQNAQALNTLVKQLSSNFGAISSVLNDILSRLDKVEAEVQIDRLITGRLQSLQTYVTQQL -IRAAEIRASANLAATKMSECVLGQSKRVDFCGKGYHLMSFPQAAPHGVVFLHVTYVPSQERNFTTAPAIC -HEGKAYFPREGVFVSNGTSWFITQRNFYSPQIITTDNTFVAGSCDVVIGIINNTVYDPLQPELDSFKEEL -DKYFKNHTSPDVDLGDISGINASVVNIQKEIDRLNEVAKNLNESLIDLQELGKYEQYIKWPWYVWLGFIA -GLIAIVMVTILLCCMTSCCSCLKGACSCGSCCKFDEDDSEPVLKGVKLHYT - diff --git a/seq/clusters_seq/cluster_900 b/seq/clusters_seq/cluster_900 deleted file mode 100644 index dfc3290..0000000 --- a/seq/clusters_seq/cluster_900 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010087152.1 putative movement protein [Papaya cytorhabdovirus] -MEKSNSAKGPISSLVSAHVSSSKRIKVKVQQHQEEYSIRLPFSTKKLTSEYATVTLLEIFYKGYIFNAQG -STIEVVIRDKRSVSQTEQKRLAVIIPASKSCYLTVKGFSSAPIEEGCPYEVSIKTVVRNIRFGITLGRLD -IRPHLFYSNHFEDGDYLTVNKVEGPELSLKRGSLTNKEAPYTVSLIPTE - ->YP_010086411.1 hypothetical protein KM621_gp03 [Maize yellow striate virus] -MEQKKDKINAGIKGVITIRHEVVHSDLEMRTNPGMFACLGITARYTSLMSATLRYQPHITSESHGKITVN -CYDRRRADDTPLVVHSFSTDKRVTFTISGFDCCLTEDCCPIVINVSPELEGLTYNSAVGELHIFPAFKSS -NYPHPTTGPNVKELTSCMKGKRFQGMLVALDDIIKTGDQHE - ->YP_009506255.1 3 protein [Cacao swollen shoot Togo A virus] -MSSKFGNQCGKTQKIYIRNELINPDIEFSVPNSIFSCIGPKYAYASLQSIVVKYTPVITERSTGSIGISV -SDRRFSASEVVSNISLDTSSKANLMISGFSCCPLEEGCPYTITISTKLEGVNYGAAVGSLVVSPSFRLSN -EPIPTTGITYQMIKLGDVQKTYQGVAITDEKK - ->YP_009177224.1 3 protein [Barley yellow striate mosaic cytorhabdovirus] -MDNKRERMNFGVKGTIAVRHDIVTADVEMKTSPGLFACFAKSARYTSLMSVTIRYQPHITSSSHGEIVVS -CLDRRNTDETPIAVHKFPTDKKTTFAISGFDCSLTEDCCPIVVNVSQELTGLVYGAAVGELIIMPSFKCS -NIPHSVFKTEVKEITGSHVTKKYGGMLIALD - diff --git a/seq/clusters_seq/cluster_901 b/seq/clusters_seq/cluster_901 deleted file mode 100644 index 884331c..0000000 --- a/seq/clusters_seq/cluster_901 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_009408145.1 movement protein [Ocimum basilicum RNA virus 1] -MQVVRPEAFVQKFDGGEKNIDCISVSGVYTDGGYTQNSKVDVAQKSESSISIRVSKRGSSIIQGIPIIDP -KVVCDERTKKKYQKVNIGAIVVSIHKYGYYDKETCKGKCMLVDGRRNDENGVINSFWFDVSKGPAHFVIA -PNVVFDINDELLDKACELYFSFESVKYREGSRPFAVEIGTIYRMSNAFNCYHKLGVPGRRGNLGSSFQEI -HGTSTLQSRDVHKAIEEMVEAKREGRVGEVGIESSLELSQGARKKIMPWKKRGPSFYREYSVDCDFLEKF -DEGCNLRSSTSNIDERRVFENILGCEISSTLQPSNTQSDFRENGRSRSSSESSLAQLDRRCNWVPGRSLW -SENEEAKKEDTSEGLLGKPHESRISESGSCKRASEFHGC - ->YP_006905849.1 p30-like movement protein [Diuris virus B] -MQFTNSSKFIEKFDNGKRNIKCIKFEDVYNDGGYTKDQKVGPAMCSESSISIKSESGENQIIKGFSIVEA -NWIQNERNKGKYQRVNVGAIIISIHKLGYYEGEKNKGRCFLADGRRKGPTCIIKAFEFDISKGPAHFVLA -PNAVFDINDELLTSACELFFQFDDVEYRAGSLPFAIEIGAIYRMCNVFNCHHTLGVPGRKGSVGSSYQEI -HATKKLGQLDESKIYHEFEKAKVAGRVSSVGCDYDTTYEDGKRNLCSPWKKRGPLSFRKYSIEINGNGEI -ENFHQIRSDDVEVDERRVFENVLDSEVGFACKSSDREGNDWKVSEHGRGSESSSSGLGKFDSRIPGRSLW -RKDQEVEEENAVKDSLANQIKGNISDSWAC - ->YP_006905851.1 p30-like movement protein [Diuris virus A] -MQVVDPKAFIQKFDNGGRNIDCIRVSEVYSDGGFIKNEKVDAVQRTESSISIKSINGESRIIKGIPIIDP -NVIDEERNKKKYSKVNIGAIIISIHKLGYYEREMSRGRCLLVDGRRSGGGGIIKAFEFDISKGPAHFVLV -PNAVFDIHDELLDRACEVFIIFDNVNYRGGSYPFAIEIGAIYRMSNVFNCYHRMGVPGRKGSIGSIYQEV -HCTKTISEEDEESVLSEMCVAREAGRISDAERSFGFESERGKRSLIMPWRKRGPSFFRDYSVGEGSSETE -ENLCRVRSSTGSIDERDIPEKVLDNKFRSASERRKLQSNFREASHGRSSCKPRSVKLDKRDDRVPGGSLW -REVEEIEEEDITEAALGECFQGVREKLRAREHPSGVHGC - ->YP_004376202.1 movement protein [Hardenbergia virus A] -MQIVNSKSFIDKFDGGQKNVKCIGISDVYSDGGYSKNDKVDSAQTSESSISIRVKGESSRIIQGVPILEP -NVLAEERGKKKYSKVNIGAIVISIHKLGYYSKETCKGKCMLVDGRRVGKNGIIHSFGFDISNGPAHFIVA -PNAVFDINDEVLDRACQIFFTFENVQYIHGSCPFSIEIGSIYRMSNVFNCHHRLGVPGRKGAIGNTIQEV -HGTRILFEDEEDRTISQMEEARISGLISNAGVESNRELHEGRRSFMCPWKKRGPSFYRDYCISSELGSGS -KDVCKFRSSTSDIDERRIFENFLDSSAVAPSESSDSQSYIRENGHRRSCCESSVARLDKQSRRVPGRSLW -RENEENEKKDTSEELLGVNNEGQFSESRECKHPSEFHGC - diff --git a/seq/clusters_seq/cluster_902 b/seq/clusters_seq/cluster_902 deleted file mode 100644 index 6b4b45b..0000000 --- a/seq/clusters_seq/cluster_902 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010086784.1 matrix protein [Ohlsdorf virus] -MSSNSALLVAYNLRVNASLDVKFRHPPKAYKDLMRALELIKDNYKGFTRDKELIFFFYVMSGFFSKYINK -IGNFYNYQGTLSGVFNLMCKNITPRFPINYEFNGDDGGIHPNFLITFHLSVRESDMSYQSLYDYMKEHSP -SKYRKRNKVKMSIFDDYPVSITVKQKVFILTSIKSSATKNSFSPELK - ->YP_009388614.1 matrix protein [Culex rhabdo-like virus] -MNNSTQIKKKTFNMCVSKVLLVNATIEIKYRNPPKTCKELIHSLELIKDGGNWYQSDQEFIFFFYILSGY -TCKHVHHLGGFHLYRGCISGAFEIKFKGISPRFPLTYEYQGEGLGMIPDTLISFSLVAEESLVSPISLWG -YIIKGSPSKYRKSNEIKWGIFDNFPLSVKGKDGIFTIRRKT - ->YP_009182184.1 hypothetical protein AT158_gp3 [Tongilchon virus 1] -MCNSKVLHINASIEIKYRYPPKTCRDLIHSLEIIKDAGTWYQSDQEFIFFIYILSGYTCKHIHHLGGFHV -YRGYISGSFEIKLKDINPRFPLTYDYQGEGLGVIPDTLISFSLMAEESLVSPLSFLSYVIKNSPSKYRKS -NEMKWSIFDNFPILVKGKGGIFSVSKKV - ->YP_009552818.1 matrix protein [Riverside virus 1] -MSIIKIVRVDALLEMRFREPPRTYKDLLSSLEIIKDDYKGYVNDKEYILLFYTLAGFTCKYRHQVGAFYH -YRGTINGVFKIKMCSMSPIFPLTYDYDGEGIGLIPNLLVSFHLTSEESNMSPTSIVDYIRSRSPNKYRKR -HALKLSIFKPYAITVESEKDCLSIKKN - diff --git a/seq/clusters_seq/cluster_903 b/seq/clusters_seq/cluster_903 deleted file mode 100644 index 1a7053d..0000000 --- a/seq/clusters_seq/cluster_903 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_010086768.1 putative U5 protein [Holmes Jungle virus] -MGFSINFDPIIDKFREFQNNINHNVNEQLDKLKMIWINFGSQIKYWFLVIISILIMLFIVFVLIKVTRLI -LNCKKIFSCCCKFCCRNKNRNRDKREDKIKVFSITP - ->YP_009362227.1 hypothetical protein [Ord River virus] -MGFSINFDPIIDGFREFQQNINGDIDDQLDKIKIIWTNLGTHIKYWFILIISILIVLAILFLLIKITRLI -LNCKKIFSCCCDLCCKEKTSKQRREDKIKVFSILP - ->YP_009361978.1 hypothetical protein [Parry Creek virus] -MGFSINFDPIINKFREFQTNINNNINEQLDKIKIIWANLGTHIKYWFILIISILIILAVLFLLIKITRLI -LNCKKIFSCCCSWCCKKQKTQRRKDDKVKIFSITP - ->YP_002333279.1 hypothetical protein WoV_gp09 [Wongabel hapavirus] -MKNLSSSVGDGHIWLKIHFLTMGFSINFDPIINKFREFQTNINHNINEQLDKLKMVWINLGSHIKYWFII -IISILTILFILFLLIKITKLILNCKKIFSCCCNVCCKKRPKVDIRSKEKVKVFSILP - diff --git a/seq/clusters_seq/cluster_904 b/seq/clusters_seq/cluster_904 deleted file mode 100644 index 1e48f4f..0000000 --- a/seq/clusters_seq/cluster_904 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010086765.1 hypothetical protein KM638_gp5 [Holmes Jungle virus] -MATSEPPRLTRRVYFRLDWYGDNLRDVDVIKLFKLTIESENILDLIKELIVEDLIKTCRKSFYDFVWPTH -HRLVGGSAFFGICPCHLIELLSDDVSIRLDETGMYNKSPFTLVMEIDYNLNENVNNCESQNPNNNRPPHL -QF - ->YP_009362224.1 hypothetical protein [Ord River virus] -MATTNLNKRLTRRVYFRLDWYGDNLKDVDVIKLFKLTIESEDVLELVKDMMVEDLIRTCRKSFYDFVWPT -HHRLVGGSAFFGPCPENLIELLKDDISIKLDETGMFRKSPFTLTMEIDYNLDEDTKECESQSPNNSRPPH -LQF - ->YP_009361975.1 hypothetical protein [Parry Creek virus] -MATTNKNRRLTRRVYFRLDWYGDNIRDVDVIKLFKLTIESEDLLEIVKDMMIEDLIKTCRKSFYDFVWPT -HHRLVGGSAFFGPCPEHLIDLLSDNISIKLDETGMFHKSPFTLIMEIDYNLDEDANECESQSPNNNRPSH -LQF - ->YP_002333276.1 hypothetical protein WoV_gp06 [Wongabel hapavirus] -MATSDNPRLTRRVYFRLDWYGDNLKDVDVVKLFKLTIESEEILDPIKEMIIEDLIKTCRKSFYDFVWPTH -HRLVGGSAFFGPCPSELVDLLTDDVSIKLDETGMYNKSPFTLVMEVDYNLDEEANNCESQNPNNNRPSHL -QF - diff --git a/seq/clusters_seq/cluster_905 b/seq/clusters_seq/cluster_905 deleted file mode 100644 index 7dca64d..0000000 --- a/seq/clusters_seq/cluster_905 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010086764.1 hypothetical protein KM638_gp4 [Holmes Jungle virus] -MATDDQFDPKLAWVVESLDFSPTSRDEPVNFVISVKIDVEFPSSFDEIELLMHVRQNLKRNKEWTKSGTL -MGLCAGIGLSHSTIVPSEYLRKRLVGEFMGVINIPLIPDQGTEYIVLDTTSYDLDLDMWSGIKLNYTFFV -CRGNGNVTKRIDTSWYSGQPNRPKKFTFDLLTVSVLYGFDDWFVSPMVNHDG - ->YP_009362223.1 hypothetical protein [Ord River virus] -MATSENFDSKLAWVVESLEFHPNPRDDPVNFVLSVKIDVDFPSDMDEINLLIHIRQELKKNKMWTQRGTF -MGLCAGIGLSHSMFVPSDPLRRRLMGEFNGVLNIPLVPSVGDDYIILNTTSYNLDLESWSNIKLSYTFFI -CRGNGKVTKRIDTSWYSGQPARKEEYTFDLLTISVLYGFDDWFVSPLVNYVEDP - ->YP_009361974.1 hypothetical protein [Parry Creek virus] -MATNENFDSKLAWVVESLEFHPNPRDDPVNFVISARIDVEFPSNFDEVELLMHILQNLKRNKMWPQKGSF -LGICAGLSLSHSSFVPSDPLKKRLIGDFMGVVNIPLVPSVGNDYIILNTTSYNLDLESWSEIKLTYNFFI -CRGNGNVTKRIDTAWYGGQPKRGEDFTFDLLTVAVLYGFDDWFASPLIDRDD - ->YP_002333275.1 hypothetical protein WoV_gp05 [Wongabel hapavirus] -MATDENFDAKLAWVVESLDFSPSPRDDPVNFVISTKIDVDFPSSFDEVELLMHIRQEMKKNKEWTKSGSF -MGLCAGIALSHSMLVPTEGLRKRLVGDFMGVLNIPLVPDQGTDYIILNTTSYNLDLNMWSEIKLSYTFFV -CRGNGNVTKRIDTTWYANQPDRPEYLTFDLLTVSVLYGFDDWFVSPLVNYND - diff --git a/seq/clusters_seq/cluster_906 b/seq/clusters_seq/cluster_906 deleted file mode 100644 index b90a8d7..0000000 --- a/seq/clusters_seq/cluster_906 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010086763.1 hypothetical protein KM638_gp3 [Holmes Jungle virus] -MEYQFLKKTSLVPQLDTVYVRDNYMLFEGNLALIWEDEINERELLLMLKEEIKQFPDYQKNSSIYKIGVG -LLLSKSKYDFVWPDKSYLISGTTDIVNFPNIQRCPWDPTEDRVKIDTCGVWQNKRYNLSLNLHYSQADPR -LGRPIWESWYSGINSRPPFMRFEIETVSDYLGFGELIHY - ->YP_009362222.1 hypothetical protein [Ord River virus] -MEYQFLKKISRGPQLDTVYVRDNHMLFEGNLAFIWEDDLSERELLLLLKSEISKLPNYQKYSSIYKVGIG -LLLSKSKYDFVWPDKNYLISGTSDIVNFPNIQYCPWDPQEDRVRVDKCGLWQEKRYNLSLNLYFSYADPR -LGRTIWESWYSELNSRPPFMRFEIETVSDYLGFGELVHH - ->YP_009361973.1 hypothetical protein [Parry Creek virus] -MEYQFLKKTSRGPQLDTVYVRDNHMLFEGNLVLIWEDDLTEREVLLLLKNEIVKFPNFKKYSNIYKLGVG -LLLSKSKYDFVWPDKNYLISGMTDIVNFPNVQFCPWDPQEDRVKVDTCGTWQDKRFNLSLNLYFTYADPS -LGRTIWESWYSELNGRPINMRFELETVSDYIGFGELVHM - ->YP_002333274.1 hypothetical protein WoV_gp04 [Wongabel hapavirus] -MEYQFLKKSSFGPQLDTVYVRDNHMLFEGNLALIWEDEISERDLLLMLKEEISKFPNYQKYSSIYKIGVG -ILLSKSKYDFVWPDKSYLISGITDIINFPNIQRCPWDPQEDRIKIDTCGIWQGKRYNLSLNLYFSQADPR -LGRPIWESWYSSFNSRPPFMRFEIETVSDYLGFGELVHG - diff --git a/seq/clusters_seq/cluster_907 b/seq/clusters_seq/cluster_907 deleted file mode 100644 index 2ff57cd..0000000 --- a/seq/clusters_seq/cluster_907 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_010086416.1 G protein [Maize yellow striate virus] -MSLLLLFAVALQGIRLTGAFSALSCNQTAEYPSTFQCLQSCEGIKTGEHIGLDILQQNVESIIPVGKCTW -VTEERAFTETWTFSRFASETTKSFSPASVHECRGMFNNNCKNRPGCVSGEVPITPLYSWARTEIRRSKYL -HIETMNVTTYPYEGKGKILLENKPREVEDLSFSSGDYIYVWDKIDKKAECPWTNNTKTMSCHYQGDKKQH -IICPGAGLVFWNITEIKTACKWTIMQDSSGVIFRVVNNASAEWYPAQIESGNEALKTLIEGVRYSLRLRD -SVSCYQQCGQLGQGIVFFDGYYYTPNQHKCNVISGCSINRYTYSCNDDKYIWTRCHSKSVWINMETAEII -GNPTCDHDKRKRLSKEDALKMIDRYHNTTKLFSVMNVNIIKDVELKDEEFTEIARVLRTNASVTGFYRED -SWIGSMNPMSAIRSFLGVINHEIKVAVFTLIGIYGGWKLYQHFPVRKHKGSLISVI - ->YP_009506260.1 glycoprotein [Cacao swollen shoot Togo A virus] -MKKLSLIWIITLSVSVITGDGLSILSCNKTDEVPTVTQCFKSCSDTILGEQVKVSILSQEDPTSIVVGRC -IWRIMKQSFTETWTFSRLISAKEITWEPATTQECNGAFHNLCKNKAGCVSEDLEIEPEFSWARTEIREVK -HLSIETLTVSAYLHQGAGKVLIDGVAVPISKKTHNNGDYTYVWDDVQISEVCPWKHPISHLSCYKDKEDL -DDIYCPSQGISLVNYTKVDTSCPEQVYTDVGGLVFKLGKVDFNDWYPYVIESSDVAVKETISSINMALKM -RESVHCHQDCLEIRRRVTYVDGFYYDPLPPAKCRLIGNCSVDSGSVTCNNGTLVWATCGGRRVWIDLKSG -RDVKNAVCEKGGRSRISKNQFEGVLNEFHLNNSKFGNILRANEIHSVILKDNEVMDFARVVKSVSERSGN -FSEGTLINVRQLFRPMINFLRGIEHEIKVVAFSVLALIVGYIIIRIRTVTVAKAKSFESIAMI - ->YP_009177229.1 G protein [Barley yellow striate mosaic cytorhabdovirus] -MFRLLLVSVLTGQLVIAEAFSVLSCNQTEEQPSVLQCLQSCDSLKTGRHVGIDIMKHDASTIIQVAKCTW -IVEERAFTETWTFSRFASEVTRSYSPASSFECRGMFNNHCKKRSGCISGDVPIDPVYSWARTEIRRSKFL -HIETVNATAYPYEKKGMIIIKGKSIPISNQEHIEGDVTYVWDQVEIGNDCPWSNNTETLSCHYQGELEEH -IVCPGEGIVLWNTSLLQTGCNWKIYKDSTGIIFRKLENSTADWYPNMIETGGEALKVLTNGIRYSLRVRD -SFSCLQNCGNLDKGLVFIGGYYYYRGDQRCKLVNGCTINKYTFSCNNGRYIWTRCYSESAWIDMERAELI -KNPTCDRDHRKRLSKDEAEKAINKYHNTSKLFSVLNLKVMRDVELKEGESTEIARVLRTNATLDSETKED -NIFLSFHPWEMFKELLGHIQHEIKVMLFTGVSLYVGYKLYTTYRGRSSSKPSELIAMI - ->NP_057961.1 glycoprotein [Northern cereal mosaic cytorhabdovirus] -MQKLSLVWIITLSVSVITGDGLSILSCNKTDEVPTVTQCFKSCSDTILGEQVKVSILSQEDPTSIVVGRC -IWRIMKQSFTETWTFSRLISAKEITWEPATTQECNGAFHNLCKNKAGCVSEDLEIEPEFSWARTEIREVK -HLSIETLTMSAYLHQGAGKVLIDGVAVPISKKTHNNGDFTYVWDDVPISEVCPWKHPISHLSCYKDKEDL -DDIYCPSQGISLVNYTKVDTSCPEQIYTDVGGLVFKLGKVDFNDWYPYVIESSDVAVKETISSINMALKM -RESVHCHQDCLEIRRRVTYVDGFYYDPLPPAKCRLIGNCSVDSGSVTCNNGTLVWATCGGRRVWIDLKSG -RDVKNAVCEKGGRSRISKNQFEGVLNEFHLNNSKFGNILRANEIHSVILKDNEVMDFARVVKSASERSGN -FSEGTLINVRQLFRPMINFLRGIEHEIKVVAFSVLALIVGYIIIRIRTVTVAKAKSLESIAMI - diff --git a/seq/clusters_seq/cluster_908 b/seq/clusters_seq/cluster_908 deleted file mode 100644 index 806aa18..0000000 --- a/seq/clusters_seq/cluster_908 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_010086415.1 M protein [Maize yellow striate virus] -MSNVTRFLFIQFDAEITVDFRPGASPILLEKDSLHLVLSKAGLSPDLLARTERLLLWLFDEKRAGSHTRI -VETKVQSVYIPDATRYTFVCPKYLMIRYVGTQFPRTKIIAERNVTTDVEQEIQSIFRAKFNPIQMGEISH -AVAVELLNENPGYLLPETYHENGKKGK - ->YP_009506259.1 matrix protein [Cacao swollen shoot Togo A virus] -MATEVSTRFIFIKIDALSTLHFIDEGGALSMNGDSIEFLIGKSSLDKGLLKRATKLFTWLFPPEIAKQHS -SITRSTESNVYMTDATRYKYIFPEYLLVRYVGTKFPDMKIVADATFKRRNPKGDVIGMLDLSFKEVRVKE -VSETKAIELRDSNPGYLLSTTYRESESLPVVSKP - ->YP_009177228.1 M protein [Barley yellow striate mosaic cytorhabdovirus] -MSRVTRFLFLKLDVEMEVDFGEGVIPISMSHEVLQLLLDKSDIPVDLRSRVIKLLLWIFDESRAGRHTRI -QEKKVSSIYIPKATRYTYCCPNYLMIRYYGLPFPQMSINAERQITQEVNNETRSIFRVKLKPLQIKEITH -NMAAELLDENSGYLLPTTYHEEEKKK - ->NP_057960.1 matrix protein [Northern cereal mosaic cytorhabdovirus] -MATEVSTRFIFIKIDALSTLHFIDEGGALSMNGDSIEFLIGKSSLDKGLLKRATKLFTWLFSPEIAKQHL -SITRSTESNVYMTDATRYKYIFPEYLLVRYVGTKFPDMKIVADATFKRRNPKGDVIGMLDLSFKEVRVKE -VSETKAIELRDSNPGYLLSTTYRESESLPVVSKP - diff --git a/seq/clusters_seq/cluster_909 b/seq/clusters_seq/cluster_909 deleted file mode 100644 index a236d4a..0000000 --- a/seq/clusters_seq/cluster_909 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009684361.1 nonstructural protein NS4B [Guereza hepacivirus] -SFGVLPELYASLRSRIQGIGEAAVKWFAQNPDVPAAVFVRAHAASLLSLLQYSAGLLTLDSNPICASAMA -FFSGILCPLPLPTKLFLAVLGGAFASKIGNERSAILFVGASAIGALADTSGMSGVLTSLLTGYAAASSTA -SVVFQLLCKKLPSALDLAGLATVVTNPGGALLGAIVAGLTFSLTTQGADVWPNRLLAMLTRGNALPDNYF -LETRDLRESLCNLFKNSTPLSLLTRVVGWLNQPVIAQC - ->YP_009679031.1 nonstructural protein NS4B [Hepacivirus I] -ALDWAADYLPYCQSKLAEWRVGLQRGWRAFSEKEHVSLLNDLSESNLASILGCLQYFSGLFTLSANPVVA -SAMSFTGMFVTPLPMLVKSFLALLGGVLAARIAPMRSSTCFVASSLLGCAVSSFTLPAMVADLLSGYTAA -SHSAVCAMKVLSGTMPTSDEVVGLLAAVVNPTAAAGGIAVGALCYYFSKDANNAWQNRMLSMLSKQTVCN -NYFLESQDLRETLTNLLASLTPWALLKRLMDWIQEPSDEPC - ->YP_009678991.1 nonstructural protein NS4B [Hepacivirus B] -ASFIPLEAMVAAIDKLKSTITTTSPFTLETALEKLNTFLGPHAATILAIIEYCCGLVTLPDNPFASCVFA -FIAGITTPLPHKIKMFLSLFGGAIASKLTDARGALAFMMAGAAGTALGTWTSVGFVFDMLGGYAAASSTA -CLTFKCLMGEWPTMDQLAGLVYSAFNPAAGVVGVLSACAMFALTTAGPDHWPNRLLTMLARSNTVCNEYF -IATRDIRRKILGILEASTPWSVISACIRWLHTPTEDDC - ->YP_009679021.1 nonstructural protein NS4B [Hepacivirus L] -MQTPWDVIIQIKDQISETLSPAVSKVVAALQATNGLPLSPETQEVLNKTIADCLPAILSSVQYAAGLLTI -GDNPVLASAMAYTSGLLCPLDLGAKTFLSLLGGAFAARLGTMKGAMMFVGAGLLGAATAATSMSAILASL -LSGYTSASACAVVVFKLLCREMPTPVEWAGLLTCFLNPGAAIAGAAVAGMAFHLTTPGPDHWPNRLLAML -TRGNVLPDSYFLQSKDLRKDLAAFFAKSTLWSLITRLSDYLNTPSEAEC - diff --git a/seq/clusters_seq/cluster_91 b/seq/clusters_seq/cluster_91 deleted file mode 100644 index 8462b02..0000000 --- a/seq/clusters_seq/cluster_91 +++ /dev/null @@ -1,431 +0,0 @@ ->YP_010085013.1 fusion protein [bank vole virus 1] -MYKVVLLFLLLCNAPVVAQLATSQLGSIGVYSVKELEYRVTGEYVTQYLVVQIIPELPTNDNCTRPVVRD -YKDSIYNILAPVNETLDIVSTYVGPYSGTKRFVAEIIAGAALGVATAAQITAGIALYEARQNAAQIEAIK -SSLKHTNAAIQSLQTAQKQTVVAIRSIQDQINTKIIPQLNTLSCDLIGQQLRLSLLEYYTEILTVFGPII -QSPLNGEVTIQAIARSAGGNLTGLLNELGYNSQDLKNILEINAIRGSVVDVDPILGTLIFNIRYPTFIKI -PDATIIQLAYVSFHSGSYDWMTQGPSHIMVRGYTIADVDTTQCTVGSNYLMCPRDTTKPFSSSMNQCLRG -NLTYCPRTIVTDRDAPRFLVVKGNLVANCITINCKCEDPEYTIMQAKDEPLVILDNGTCKAHFVDGIRIA -LGPRKLPTIYLSKEIKLGPVITVNPVEVSHQLSVIEDNIENSEAHLKIAIEKLNNVPQLNGSYVSPVVAI -VISVVSLCVTLVVAFLLYRYINHVQNLRFNLDTIEKGPTLAPKGHHYPGSYLNQGFSSYPSAPSLAM - ->YP_010085020.1 F protein [Pohorje myodes paramyxovirus 1] -MSKLRAAVLLLIMIPSLCRSQLALSELTKIGIIPGKSYDLKLSTQSTYQYMVIKLIPNLNNMTKCTIDTL -DNYKNMLSRVLNPIHDSLKKMKDAVQDKEPANMPPVKFWGAVIGGVALGVATSAQITAGVALHNSIQNAN -AILQLKDSIRQSNKAIAELQTASRTTVTVLNALQEQINTQLVPAINNLGCQVVANTLGLRLNQYFSEISL -IFGPNLRDPTSETLSIQALSKAFNGDFDSMISQLKYTDKDFLDVLESDSIRARIIDVSLEDYFITLQIEY -PSLVQVSDAVVQTFNKISYNHRGSEWISLFPTQLLIRGMYISNIDISMCTMTSTSLICKSDTSSPISTAT -WSCANGNLTSCARTRVVNAHIPRFALSRGVLFANCAPIVCKCSDPPMNINQEPKMTNVMVSSDECREVYV -DGLYITLGKRTLPRSMYAEDVSLGGPISVDPIDIGNELNSIHDSLNKSQEYLDEANALLDKVNPRIINVQ -TFGVMLAFIILLIIWVIATIIWLIYLTKSIHYLNRHVSLSSRGSTVTSLSGFVG - ->YP_009666852.1 F protein [Mount Mabu Lophuromys virus 2] -MTKLYYISIIIVVIAINLHYIEAQISLAELSKIGIIQGNNYGLKVSGVSTYQLMVIKLIPNVSQLSNCTT -DVMVSYKGMLDRILNPINSSLAKVRAAIKDKVDYTPSRNGERFWGAIVGGVALGVATAAQVTAGVALHNS -LENAKAIMQLKDAIRNSNAAIQELTTSQGQVVVAINALQEQINTQLVPSLNQLGCSVIGNTLGLKLNQYF -SELSLIFGPNLRDPTSETLSIQAIARAFNGDFDTMLNKLKYDTSDFLDLLESGGIRGRIIDVSLTDYIIS -LQIEYPTLTAIPDATVQLFNLISYNHRGSEWMSVFPRQMLIRGSYLSNIDLSECVQTSSNYICSTDTSSA -LSSGTYECATGNITSCARTRVVNSHVSRFALSKGVLFVNCASIVCRCQDPKYTIIQDTQVTNVMISSQDC -KEVYIDGYFITLGPKTLERSMYSDNVTLGGTVSVEIIDIGNELNSIQESLNRTQHYIDKSNEILDRVNPN -VINLGTIGGIMFLTILATIWFIISLIWLICLTRKIMIPRSNLTTSSRSSTVNSLAGFIN - ->YP_009666844.1 F protein [Mount Mabu Lophuromys virus 1] -MKHRRDMLMTKALLIIIILIGPLSSQISFTNLSKIGVIKGKNYKLKITSNPTYQFMVLKMIPNVVNMSQC -GQDHLDNYKGMLKRILQPISDSINLMRSVIQDKPNNGRFWGALVGGVALGVATSAQVTAGVALHNSLENA -RAILQLKDAIQATNQAVQEVISAQRKSVLVINALQDQINGNIVPAIKSLGCKVAGNTFGLRLTQYFSEVS -LVFGPNLRDPAAETLSIQAISRAFNGDFDSLLKALGYKESDLMDVLESGSIRGRIIDVSLDNYFLIIQIE -YPTLVNIPDATVQKFNLISYNYDGSEWLSVFPKALLKRGSYLSNIDLSDCTQTTNTILCPQDTSSPLTQN -LYDCATGKISQCARMRVVNSHVSRYALSDGVLFLNCIPINCRCSDPEYAIIQEPTTTTLMMSYDDCREVM -VEGIFVTVGKKTLNRTSYTGEVDVGGIVTLDPIDISTDVADIQDTLDKAQEEIDKSNEILSKVNPNIITL -GGFVSIISFTTLFGIYCVVSLIWLICLTKRTSRPYAMMTFRNRSPTITTLSSNMTS - ->YP_009512962.1 fusion protein [Feline morbillivirus] -MNRIKVMIISSLLLSDITIAQIGWDNLTSIGVISTKQYDYKITTLNTDQLMVIKMVPNISSIINCTKLEL -TKYRELVSGIIRPINESLELMNSYINMRAGSERFIGAVIAGVALGVATAAQITSGIALHNSIMNKKQIQE -LRKALSTTNKAIDEIRIAGERTLIAIQGVQDYINNIIIPMQDKLQCDILSSQLSVALLRYYTNILTVFGP -SIRDPITSTISVQALSQAFNGNLQALLDGLGYTGRDLRDLLESKSITGQIIHADMTDLFLVLRINYPSIT -EMQGVTIYGLNSITYHIGPEEWYTIMPDFIAVQGFLISNFDERKCSVTKSSILCQQNSIYPMSTEMQRCI -KGEIRFCPRSKAIGTLVNRFILTKGNLMANCLGIICRCYTSGQVITQDPSKLITIISQEECKEVGVDGIR -IMVGPRKLPDITFNARLEIGVPISLSKLDVGTDLAIASAKLNNSKALLEQSDKILDSMSKLDSMNSRIIG -LILAIMIIFIIIITIIWIIYKKCRNKDNKFSTSIEPLYIPPSYNSPHSVVKSI - ->YP_009505439.1 fusion protein [Simian Agent 10] -MPTSILLIITTMIMASFCQIDITKLQHVGVLVNSPKGMKISQNFETRYLILSLIPKIEDSNSCGDQQIKQ -YKRLLDRLIIPLYDGLRLQKDVIVTNQESNENTDPRTKRFFGGVIGTIALGVATSAQITAAVALVEAKQA -RSDIEKLKEAIRDTNKAVQSVQSSIGNLIVAIKSVQDYVNKEIVPSIARLGCEAAGLQLGIALTQHYSEL -TNIFGDNIGSLQEKGIKLQGIASLYRTNITEIFTTSTVDKYDIYDLLFTESIKVRVIDVDLNDYSITLQV -RLPLLTRLLNTQIYKVDSISYNIQNREWYIPLPSHIMTKGAFLGGADVKECIEAFSSYICPSDPGFVLNH -EMESCLSGNISQCPITTVTSDIVPRYAFVNGGVVANCITTTCTCNGIGNRINQPPDQGVKIITHKECSTI -GINGMLFNTNKEGTLAFYTPNDITLNNSVALDPIDISIELNKAKSDLEESKEWIRRSNQKLDSIGNWHQS -STTIIIILIMIIILFIINVTIITIAIKYYRIQKRNRMDQNDKPYVLTNK - ->YP_009179210.1 fusion protein [Caprine parainfluenza virus 3] -MIKKIICIFSMPILLSFCQVDIIKLQRVGILVSKPKSIKISQNFETRYLVLNLIPNIENAQSCGDQQIKQ -YKKLLDRLIIPLYDGLRLQQDIIVVDNNLKNNTNHRAKRFFGEIIGTIALGVATSAQITAAVALVEAKQA -RSDIERVKNAVRDTNKAVQSIQGSVGNLIVAVKSVQDYVNNEIVPSIKRLGCEAAGLQLGIALTQHYSEL -TNIFGDNIGTLKEKGIKLQGIASLYHTNITEIFTTSTVDQYDIYDLLFTESIKMRVIDVDLNDYSITLQV -RLPLLTKISDAQIYNVDSVSYNIGGTEWYIPLPRNIMTKGAFLGGANLQDCIESFSDYICPSDPGFILNR -DIENCLSGNITQCPKTLVISDIVPRYAFVDGGVIANCLSTTCTCNGIDNRINQAPDQGIKIITYKDCQTI -GINGMLFKTNQEGTLAAYTPVDITLNNSVNLDPIDLSIELNRARSDLAESKEWIKRSEAKLDSVGSWYQS -STTEIIQIVMIIVLFIINIIVLIVLIKYSRSQNQSMNNHMNEPYILTNKVQ - ->YP_009177602.1 fusion protein [Phocine morbillivirus] -MTRVKKLPVPTNPPMHHSLDSPFLNPEHATGKISITDDTSSQLTNFLYHKYHKTTINHLSRTISGTDPPS -AKLNKFGSPILSTYQIRSALWWIAMVILVHCVMGQIHWTNLSTIGIIGTDSSHYKIMTRSSHQYLVLKLM -PNVSIIDNCTKAELDEYEKLLNSVLEPINQALTLMTKNVKSLQSLGSGRRQRRFAGVVIAGAALGVATAA -QITAGVALYQSNLNAQAIQSLRASLEQSNKAIDEVRQASQNIIIAVQGVQDYVNNEIVPALQHMSCELIG -QRLGLKLLRYYTELLSVFGPSLRDPVSAEISIQALSYALGGEIHKILEKLGYSGNDMVAILETKGIRAKI -THVDLSGKFIVLSISYPTLSEVKGVVVHRLEAVSYNIGSQEWYTTVPRYVATNGYLISNFDESSCVFVSE -SAICSQNSLYPMSPILQQCLRGETASCARTLVSGTLGNKFILSKGNIIANCASILCKCHSTSKIINQSPD -KLLTFIASDTCSLVEIDGVTIQVGSRQYPDVVYASKVILGPAISLERLDVGTNLGSALKKLNDAKVLIES -SDQILDTVKNSYLSLGTLIALPVSIGLGLILLLLICCCKKRYQHLFSQSTKVAPVFKPDLTGTSKSYVRS -L - ->YP_009094448.1 fusion protein [Porcine respirovirus 1] -MQVTTLRPAIILSIALLVTGQVPRDKLANLGIIIKDSKALKIAGSYENRYIVLSLVPTIDNVNGCGSIQI -AKYKEMLERLLIPIKDALDLQESLIVIDNETVNNNYSPQYRFVGAIIGTIALGVATAAQVTAGVALMEAR -EAKRDISMLKEAIEKTQNSIEKLQNSAGEQILALKMLQDYVNGEIKPAIEELGCETAALKLGIALTQHYT -ELTNAFGSNLGSIGEKSLTLQALSSLYKTNITNILTATNLGKTDIYDIIYAEQVKGRVIDVDLKRYMVTI -SVKIPILSEIPGVLIYEVSSISYNIDGAEWYAAVPDHILSKSAYIGGADISDCIESRLTYICPQDPAQII -ADNQQQCFFGHLDKCPITKVIDNLVPKFAFINGGVVANCIASTCTCGEERIQVSQDRNKGVTFLTHNNCG -LIGINGIEFHANKKGSDATWNVSPIGVGPAVSLRPVDISLQIVAATNFLNSSRKDLMKAKEILNQVGNLK -DLTTITIINIVIIIILLICVIGLGILYHQLRSALGMRDKMSVLNNSSYSLEPRTAQVQVIKPTSFMG - ->YP_009094337.1 fusion protein [Salem virus] -MNPLNQTLIAKVLGFLLLSSSFTVGQIGFENLTRIGVHQVKQYGYKLAHYNSHQLLLIRMIPTVNGTHNC -THQVITRYREMVREIITPIKGALDIMKKAVSPDLVGARIFGAIVAGAALGIATSAQITAGVALHRTKLNG -QEISKLKEAVSLTNEAVEQLQYSQGKSILAIQGIQDFINFNVVPLLEEHTCGIAKLHLEMALMEYFQKLI -LVFGPNLRDPIGSTIGIQALATLFQNNMFEVSLRLGYAGDDLEDVLQSNSIRANIIEAEPDSGFIVLAIR -YPTLTLVEDQVITELAHITFNDGPQEWVATIPQFVTYRGLVLANIDVSTCTFTERNVICARDQTYPMIID -LQLCMRGNIAKCGRTRVTGSTASRFLLKDGNMYANCIATMCRCMSSSSIINQEPSHLTTLIVKETCSEVM -IDTIRITLGERKHPPIDYQTTITLGQPIALAPLDVGTELANAVSYLNKSKVLLEHSNEVLSSVSTAHTSL -TATIVLGIVVGGLAILIVVMFLFLEAQVIKVQRAMMLCPITNHGYLPNEDLLTRGHSIPTIG - ->YP_009094094.1 fusion protein [Mojiang virus] -MALNKNMFSSLFLGYLLVYATTVQSSIHYDSLSKVGVIKGLTYNYKIKGSPSTKLMVVKLIPNIDSVKNC -TQKQYDEYKNLVRKALEPVKMAIDTMLNNVKSGNNKYRFAGAIMAGVALGVATAATVTAGIALHRSNENA -QAIANMKSAIQNTNEAVKQLQLANKQTLAVIDTIRGEINNNIIPVINQLSCDTIGLSVGIRLTQYYSEII -TAFGPALQNPVNTRITIQAISSVFNGNFDELLKIMGYTSGDLYEILHSELIRGNIIDVDVDAGYIALEIE -FPNLTLVPNAVVQELMPISYNIDGDEWVTLVPRFVLTRTTLLSNIDTSRCTITDSSVICDNDYALPMSHE -LIGCLQGDTSKCAREKVVSSYVPKFALSDGLVYANCLNTICRCMDTDTPISQSLGATVSLLDNKRCSVYQ -VGDVLISVGSYLGDGEYNADNVELGPPIVIDKIDIGNQLAGINQTLQEAEDYIEKSEEFLKGVNPSIITL -GSMVVLYIFMILIAIVSVIALVLSIKLTVKGNVVRQQFTYTQHVPSMENINYVSH - ->YP_009094150.1 fusion protein [Salmon aquaparamyxovirus] -MDGPKFRFVLLILLTAPARGQVDYDKLLKVGIFEKGTANLKISVSSQQRYMVIKMMPNLGPMNQCGIKEV -NLYKESILRLITPISTTLNYIKSEIQVEREVALQPNGTIVRFFGLIVAAGALTLATSAQITAGIALHNSL -ENAKAIKGLTDAIKESNLAIQKIQDATAGTVIALNALQDQVNTNIIPAINTLGCTAAGNTLGIALTRYYS -ELIMIFGPSLGNPVEAPLTIQALAGAFNGDLHGMIREYGYTPSDIEDILRTNSVTGRVIDVDLVGMNIVL -EINLPTLYTLRDTKIVNLGKITYNVDGSEWQTLVPEWLAIRNTLMGGVDLSRCVVSSRDLICKQDPVFSL -DTSIISCLNGNTESCPRNRVVNSVAPRYAVIRGNILANCISTTCLCGDPGVPIIQKGDNTLTAMSINDCK -LVGVDGYVFRPGPKAVNVTFNLPHLNLGPEVNVNPVDISGALGKVEQDLASSRDHLAKSEKILSGINPNI -INTEMVLVAVILSLVCAMVVIGIVCWLSILTKWVRSCRADCRRPNKGPDLGPIMSSQDNLSF - ->YP_009094116.1 fusion protein [Tailam virus] -MKLSVVYTTLLVSTFYSDLARSQLALSELTKIGVIPGRSYDLKISTQASYQYMVVKLIPNLTGLNNCTNG -TIEAYKKMLNRLLSPIDAALRKMKDAVNDKPPESVGNVKFWGAVIGGVALGVATSAQITAGVALHNSIQN -ANAILALKDSIRQSNKAIQELQTAMSTTVVVLNALQDQINNQLVPAINSLGCQVVANTLGLKLNQYFSEI -SLVFGPNLRDPTSETLSIQALSRAFNGDFDSMLSKLKYDDSDFLDLLESDSIRGRIIDVSLSDYLITIQI -EYPALLSIKDAVIQTFNLISYNTRGTEWISIFPKQLLVRGTYISNIDISQCVIAATSIICKSDTSTPISS -ATWSCATGNITNCARTRVVNAHVPRFALYGGVVFANCAPVVCKCQDPLYSINQEPKVTNVMVDVDACKEM -YLDGLYITLGKTQISRAMYAEDVSLGGPISVDPIDLGNEINSINSAINRSEEHLNHANELLDKVNPRIVN -VKTFGVMIGLLVLVVLWCVITLVWLICLTKQLARTAYAGSMGSRASTVNSLSGFVG - ->YP_009094085.1 fusion glycoprotein [Cedar virus] -MSNKRTTVLIIISYTLFYLNNAAIVGFDFDKLNKIGVVQGRVLNYKIKGDPMTKDLVLKFIPNIVNITEC -VREPLSRYNETVRRLLLPIHNMLGLYLNNTNAKMTGLMIAGVIMGGIAIGIATAAQITAGFALYEAKKNT -ENIQKLTDSIMKTQDSIDKLTDSVGTSILILNKLQTYINNQLVPNLELLSCRQNKIEFDLMLTKYLVDLM -TVIGPNINNPVNKDMTIQSLSLLFDGNYDIMMSELGYTPQDFLDLIESKSITGQIIYVDMENLYVVIRTY -LPTLIEVPDAQIYEFNKITMSSNGGEYLSTIPNFILIRGNYMSNIDVATCYMTKASVICNQDYSLPMSQN -LRSCYQGETEYCPVEAVIASHSPRFALTNGVIFANCINTICRCQDNGKTITQNINQFVSMIDNSTCNDVM -VDKFTIKVGKYMGRKDINNINIQIGPQIIIDKVDLSNEINKMNQSLKDSIFYLREAKRILDSVNISLISP -SVQLFLIIISVLSFIILLIIIVYLYCKSKHSYKYNKFIDDPDYYNDYKRERINGKASKSNNIYYVGD - ->YP_009091837.1 fusion protein [Ghana virus] -MKKKTDNPTISKRGHNHSRGIKSRALLRETDNYSNGLIVENLVRNCHHPSKNNLNYTKTQKRDSTIPYRV -EERKGHYPKIKHLIDKSYKHIKRGKRRNGHNGNIITIILLLILILKTQMSEGAIHYETLSKIGLIKGITR -EYKVKGTPSSKDIVIKLIPNVTGLNKCTNISMENYKEQLDKILIPINNIIELYANSTKSAPGNARFAGVI -IAGVALGVAAAAQITAGIALHEARQNAERINLLKDSISATNNAVAELQEATGGIVNVITGMQDYINTNLV -PQIDKLQCSQIKTALDISLSQYYSEILTVFGPNLQNPVTTSMSIQAISQSFGGNIDLLLNLLGYTANDLL -DLLESKSITGQITYINLEHYFMVIRVYYPIMTTISNAYVQELIKISFNVDGSEWVSLVPSYILIRNSYLS -NIDISECLITKNSVICRHDFAMPMSYTLKECLTGDTEKCPREAVVTSYVPRFAISGGVIYANCLSTTCQC -YQTGKVIAQDGSQTLMMIDNQTCSIVRIEEILISTGKYLGSQEYNTMHVSVGNPVFTDKLDITSQISNIN -QSIEQSKFYLDKSKAILDKINLNLIGSVPISILFIIAILSLILSIITFVIVMIIVRRYNKYTPLINSDPS -SRRSTIQDVYIIPNPGEHSIRSAARSIDRDRD - ->YP_006347587.1 fusion protein [Nariva virus] -MAEQEKTPLRYKILLIIIVINHYNITNVFGQIHLANLSSIGVFVTKTLDYRTTSDPTEQLLVINMLPNIS -NIQDCAQGVVNEYKHLISSLLTPINDTLDLITSNINPYSGRNKLFGEIIAGAALTVATSAQITAGVALYE -ARQNAKDIAAIKESLGYAYKAIDKLTTATREITVVINELQDQINNRLIPRINDLACEVWATRLQAMLLQY -YAEIFSVIGPNLQDPLSGKISIQALARAAGGNIKLMVDELNYSGQDLSRLVKVGAIKGQIIDADPSLGVV -IIKMRYPNIIKIPNVAISELSYVSYSSDGQDWITTGPNYIVTRGYSIANIQTSSCSVGDDFVLCDRDMTY -PMSQVTQDCLRGNIALCSRMVVRDREAPRYLILQGNMVANCMSITCRCEEPESEIYQSPDQPLTLLTRDT -CDTHVVDGIRIRLGVRKLPTISVINNITLGPIITTDPIDVSNQLNAVVSTIDQSAELLHQAQRVLSERAR -GARDHILATAAIVICVVLAVLILVLLIGLVYLYRTQNEILVKTTMLEQVPTFAPKSFPMESQIYSGKTNK -GYDPAE - ->YP_512250.1 fusion protein [Beilong virus] -MKVTILTAYIAASVFTCCHSQLALSELTKIGIIPGRSYDLKTSTQASYQYMVIKLIPNVNGLNNCTNSTV -ESYKKMLTRLLNPIDVALKKMKNAVSDKAPEKLGNVKFWGAVIGGVALGVATSAQITAGVALHNSIQNAN -AILTLKDSIRQSNKAIQELQTAMSTTVVVLNALQDQINNQLAPAINSLGCQVVANTLGLKLNQYFSEISL -VFGPNLRDPTSETLSIQALSRAFNGDFDSMLSRLKYDDSDFLDLLESDSIRGRIIDVSLEDYLITIQIEY -PALLTIKDAIIQTFNLISYNTRGTEWISIFPKQLLVRGTYISNIDISQCVLAATSIICKSDTSTPISSAT -WACATGNVTSCARTRVVNAHVPRFALSGGVIFANCAPVVCKCQDPLYSINQEPKVTNVMVDVDACKEMYL -DGLYITLGKSQLPRAMYAEDVSLGGPISVDLIDLGNEINSINSAINRSEEHLDHANELLDQVNPKIVNVK -TFGVMLGLLLLLILWCVVTLVWLICLTKQVSRVSFGGSMGSRASTVNSLSGFVG - ->YP_338081.1 fusion protein [J-virus] -MKPVALIYLTILAFTVKVRSQLALSDLTKIGIIPAKSYELKISTQAAQQLMVIKLIPNVNGLTNCTIPVM -DSYKKMLDRILKPIDDALNHVKNAIQDKQGDGVPGVRFWGAIIGGVALGVATSAQITAGVALHNSIQNAN -AILQLKESIRNSNKAIEELQAGLQSTVLVINALQDQINSQLVPAINTLGCSVIANTLGLRLNQYFSEISL -VFGPNLRDPTSQTLSIQAIAKAFNGDFDSMMKKMHYTDSDFLDLLESDSIRGRIISVSLEDYLIIIQIDY -PGLTTIPNSVVQTFNLITYNYKGTEWESIFPRELLIRGSYISNIDISQCVGTSKSMICKSDTSTTISPAT -WACATGNLTSCARTRVVNSHSTRFALSGGVLFANCAPIACRCQDPQYSINQEPKTTNVMVTSEDCKELYI -DGFYLTLGKKMLDRAMYAEDVALGGSVSVDPIDIGNELNSINESINKSHEYLDKANELLEQVNPNIVNVS -SFSFILVISILLIIWFIVTLVWLIYLTKHMNFIVGKVAMGSRSSTVNSLSGFVG - ->YP_087124.2 F protein [Rinderpest virus (strain Kabete O)] -MKILFATLLVVTTPHLVTGQIHWGNLSKIGVVGTGSASYKVMTQSSHQTLVIKLMPNITAIDNCTKTEIE -EYKRLLGTVLQPIKVALNAITKNIKPIRSSTTSRRHRRFAGVALAGAALGVATAAQITAGIALHQSMMNT -QAIESLKASLETTNQAIEEIRQAGQEMILAVQGVQDYINNELVPAMGQLSCDIVGQKLGLKLLRYYTEIL -SLFGPSLRDPISAEISIQALSYALGGDINKILEKLGYSGSDLLAILESKGIKAKITYVDIESYFIVLSIA -YPSLSEIKGVIIHRLEGVSYNIGSQEWYTTVPRYVATQGYLISNFDDTPCAFSPEGTICSQNALYPMSPL -LQECFRGSTRSCARTLVSGSIGNRFILSKGNLIANCASILCKCYTTGSIISQDPDKILTYIAADQCPIVE -VDGVTIQVGSREYPDAVYLHKIDLGPPISLEKLDVGTNLGNAVTKLEKAKDLLDSSDLILETIKGASVTN -TGHILVGAGLIAVVGILIVTCCCRKRSNDSKVSTVILNPGLKPDLTGTSKSYVRSL - ->YP_133826.1 fusion protein [Peste des petits ruminants virus] -MTRVAILTFLFLFPNAVACQIHWGNLSKIGIVGTGSASYKVMTRPSHQTLVIKLMPNITAIDNCTKSEIA -EYKRLLITVLKPVEDALSVITKNVRPIQTLTPGRRTRRFAGAVLAGVALGVATAAQITAGVALHQSLMNS -QAIESLKTSLEKSNQAIEEIRLANKETILAVQGVQDYINNELVPSVHRMSCELVGHKLGLKLLRYYTEIL -SIFGPSLRDPIAAEISIQALSYALGGDINRILDKLGYSGGDFLAILESKGIKARVTYVDTRDYFIILSIA -YPTLSEIKGVIVHKIEAITYNIGAQEWYTTIPKYVATQGYLISNFDETSCVFTPDGTVCSQNALYPMSPL -LQECFQGSTKSCARTLVSGTISNRFILSKGNLIANCASVLCKCYTTETVISQDPDKLLTVVASDKCPVVE -VDGVTIQVGSREYPDSVYLHKIDLGPAISLEKLDVGTNLGNAVTRLENAKELLDASDQILKTVKGVPFGG -NMYIALAACIGVSLGLVTLICCCKGRCKNKEVPISKINPGLKPDLTGTSKSYVRSL - ->NP_958053.1 fusion protein [Mossman virus] -MSNYFPARVIIIVSLITAVSCQISFQNLSTIGVFKFKEYDYRVSGDYNEQFLAIKMVPNVTGVENCTASL -IDEYRHVIYNLLQPINTTLTASTSNVDPYAGNKKFFGAVIAGVALGVATAAQVTAGVALYEARQNAAAIA -EIKESLHYTHKAIESLQISQKQTVVAIQGIQDQINTNIIPQINALTCEIANQRLRLMLLQYYTEMLSSFG -PIIQDPLSGHITVQALSQAAGGNITGLMRELGYSSKDLRYILSVNGISANIIDADPEIGSIILRIRYPSM -IKIPDVAVMELSYLAYHAAGGDWLTVGPRFILKRGYSLSNLDITSCTIGEDFLLCSKDVSSPMSLATQSC -LRGDTQMCSRTAVQDREAPRFLLLQGNLIVNCMSVNCKCEDPEETITQDPAYPLMVLGSDTCKIHYIDGI -RIKLGKVQLPPITVLNTLSLGPIVVLNPIDVSNQLSLVETTVKESEDHLKNAIGALRSQSRVGGVGIVAI -VGLIIATVSLVVLVISGCCLVKYFSRTATLESSLTTIEHGPTLAPKSGPIIPTYINPVYRHD - ->NP_945028.1 fusion protein [Dolphin morbillivirus] -MAASNGGVMYQSFLTIIILVIMTEGQIHWGNLSKIGIVGTGSASYKVMTRPNHQYLVIKLMPNVTMIDNC -TRTEVTEYRKLLKTVLEPVKNALTVITKNIKPIQSLTTSRRSKRFAGVVLAGVALGVATAAQITAGVALH -QSIMNSQSIDNLRTSLEKSNQAIEEIRQASQETVLAVQGVQDFINNELIPSMHQLSCEMLGQKLGLKLLR -YYTEILSIFGPSLRDPVSAEISIQALSYALGGDINKILEKLGYSGADLLAILESRGIKAKVTHVDLEGYF -IVLSIAYPTLSEVKGVIVHKLEAVSYNLGSQEWYTTLPKYVATNGYLISNFDESSCAFMSEVTICSQNAL -YPMSPLLQQCLRGSTASCARSLVSGTIGNRFILSKGNLIANCASVLCKCYSTGTIISQDPDKLLTFVAAD -KCPLVEVDGITIQVGSREYPDSVYVSRIDLGPAISLEKLDVGTNLGSALTKLDNAKDLLDSSNQILENVR -RSSFGGAMYIGILVCAGALVILCVLVYCCRRHCRKRVQTPPKATPGLKPDLTGTTKSYVRSL - ->NP_899659.1 fusion protein F [Fer-de-lance virus] -MTRITILQIILTLTLPVMCQVSFDNLEQVGVMFDKPKFLKITGPASTATMIIKLIPTLGTMESCGTSAVN -EYKKTLDTILVPLRDTINKLSTDITVVEGTSNISNKREKRFVGIAIAVGAVALATSAQITAGIALSNTIK -NAEAIESIKSSIQASNQAIQKVIDAQGRTVTVINGIQDHINSVINPALNQLGCDVAKNTLAISLTQYFSK -LSLLFGPNLRNPVEQPLSVQAIAGLMDGDINAVVSQLGYTQSDLLDLLSTESIVGTVTAIDMVNYMIQIE -MSFPQYITIPDTKVLEGHKITFNDKGSEWQTQVPSTIAVRDILIAGVDPDGCSITSTSYICKNDPTYAMS -EVLTNCFRGNTQECPRARITSTFATRFAIARSTVIANCVAAVCLCGDPGIPVVQKAEVTLTAMTLDQCSL -ITVDGLQIKPSKSIANVTANFGNITLGPVVSVGDLDLSAELTKVQSDLKEAQDKLDESNAILQGINNKIL -TAPTSIALIVVSVVVILLIIGMISWLVWLTKAVRRSNTRSERVTPSAYNNLGFIK - ->NP_047111.2 fusion [Hendra henipavirus] -MATQEVRLKCLLCGIIVLVLSLEGLGILHYEKLSKIGLVKGITRKYKIKSNPLTKDIVIKMIPNVSNVSK -CTGTVMENYKSRLTGILSPIKGAIELYNNNTHDLVGDVKLAGVVMAGIAIGIATAAQITAGVALYEAMKN -ADNINKLKSSIESTNEAVVKLQETAEKTVYVLTALQDYINTNLVPTIDQISCKQTELALDLALSKYLSDL -LFVFGPNLQDPVSNSMTIQAISQAFGGNYETLLRTLGYATEDFDDLLESDSIAGQIVYVDLSSYYIIVRV -YFPILTEIQQAYVQELLPVSFNNDNSEWISIVPNFVLIRNTLISNIEVKYCLITKKSVICNQDYATPMTA -SVRECLTGSTDKCPRELVVSSHVPRFALSGGVLFANCISVTCQCQTTGRAISQSGEQTLLMIDNTTCTTV -VLGNIIISLGKYLGSINYNSESIAVGPPVYTDKVDISSQISSMNQSLQQSKDYIKEAQKILDTVNPSLIS -MLSMIILYVLSIAALCIGLITFISFVIVEKKRGNYSRLDDRQVRPVSNGDLYYIGT - ->NP_604440.1 F glycoprotein [Human respirovirus 1] -MQKSEILFLVYSSLLLSSSLCQIPVEKLSNVGVIINEGKLLKIAGSYESRYIVLSLVPSIDLQDGCGTTQ -IIQYKNLLNRLLIPLKDALDLQESLITITNDTTVTNDNPQTRFFGAVIGTIALGVATAAQITAGIALAEA -REARKDIALIKDSIVKTHNSVELIQRGIGEQIIALKTLQDFVNDEIRPAIGELRCETTALKLGIKLTQHY -SELATAFSSNLGTIGEKSLTLQALSSLYSANITEILSTTKKDKSDIYDIIYTEQVKGTVIDVDLEKYMVT -LLVKIPILSEIPGVLIYRASSISYNIEGEEWHVAIPNYIINKASSLGGADVTNCIESKLAYICPRDPTQL -IPDNQQKCILGDVSKCPVTKVINNLVPKFAFINGGVVANCIASTCTCGTNRIPVNQDRSRGVTFLTYTNC -GLIGINGIELYANKRGRDTTWGNQIIKVGPAVSIRPVDISLNLASATNFLEESKTELMKARAIISAVGGW -HNTESTQIIMIIIVCILIIIICGILYYLYRVRRLLVMINSTHNSPVNAYTLESRMRNPYMGNNSN - ->NP_112026.1 fusion protein [Nipah henipavirus] -MVVILDKRCYCNLLILILMISECSVGILHYEKLSKIGLVKGVTRKYKIKSNPLTKDIVIKMIPNVSNMSQ -CTGSVMENYKTRLNGILTPIKGALEIYKNNTHDLVGDVRLAGVIMAGVAIGIATAAQITAGVALYEAMKN -ADNINKLKSSIESTNEAVVKLQETAEKTVYVLTALQDYINTNLVPTIDKISCKQTELSLDLALSKYLSDL -LFVFGPNLQDPVSNSMTIQAISQAFGGNYETLLRTLGYATEDFDDLLESDSITGQIIYVDLSSYYIIVRV -YFPILTEIQQAYIQELLPVSFNNDNSEWISIVPNFILVRNTLISNIEIGFCLITKRSVICNQDYATPMTN -NMRECLTGSTEKCPRELVVSSHVPRFALSNGVLFANCISVTCQCQTTGRAISQSGEQTLLMIDNTTCPTA -VLGNVIISLGKYLGSVNYNSEGIAIGPPVFTDKVDISSQISSMNQSLQQSKDYIKEAQRLLDTVNPSLIS -MLSMIILYVLSIASLCIGLITFISFIIVEKKRNTYSRLEDRRVRPTSSGDLYYIGT - ->NP_067151.1 fusion protein [Human respirovirus 3] -MPTSTLLIITTIIMASFCQIDITKLQHVGVLVNSPKGMKISQNFETRYLILSLIPKIEDSNSCGDQQIKQ -YKRLLDRLIIPLYDGLRLQKDVIVTNQESNENTNPRTKRFFGGVIGTIALGVATSAQITAAVALVEAKQA -RSDIEKLKEAIRDTNKAVQSVQSSIGNLIVAIKSVQDYVNKEIVPSIARLGCEAAGLQLGIALTQHYSEL -TNIFGDNIGSLQEKGIKLQGIASLYRTNITEIFTTSTVDKYDIYDLLFTESIKVRVIDVDLNDYSITLQV -RLPLLTRLLNTQIYKVDSISYNIHNREWYIPLPSHIMTKGAFLGGADVKECIEAFSSYICPSDPGFVLNH -EMESCLSGNISQCPRTTITSDIVPRYAFVNGGVVANCITTTCTCNGIGNRINQPPNQGVKIITHKECSTI -GINGMLFNTNKEGTLAFYTPDDITLNNSVALDPIDISIELNKAKSDLEESKEWIRKSNQKLDSIGNWHQS -STTIIIILMMIIILFIINITIITIAIKYYRIQKRNQMDQNDKPYVLTNK - ->NP_054695.1 fusion protein [Tupaia paramyxovirus] -MASLLKTICYIYLITYAKLEPTPKSQLDLDSLASIGVVDAGKYNYKLMTTGSEKLMVIKLVPNITYATNC -NLTAHTAYTKMIERLLTPINQSLYEMRSVITERDGGTIFWGAIIAGAALGVATAAAITAGVALHRAEQNA -RNIAALKDALRNSNEAIQHLKDAQGHTVLAIQGLQEQINNNIIPKLKESHCLGVNNQLGLLLNQYYSEIL -TVFGPNLQNPVSASLTIQAIAKAFNGDFNSLMTNLNYDPTDLLDILESNSINGRIIDVNLNEKYIALSIE -IPNFITLTDAKIQTFNRITYGYGSNEWLTLIPDNILEYGNLISNVDLTSCVKTKSSYICNQDTSYPISSE -LTRCLRGDTSSCPRTPVVNSRAPTFALSGGHIYANCAKAACRCEKPPMAIVQPATSTLTFLTEKECQEVV -IDQINIQLAPNRLNKTIITDGIDLGPEVIINPIDVSAELGNIELEMDKTQKALDRSNKILDSMITEVTPD -KLLIAMIVVFGILLLWLFGVSYYAFKIWSKLHFLDSYVYSLRNPSHHRSNGHQNHSFSTDISG - ->NP_037644.1 fusion F [Bovine respirovirus 3] -MTITTTIIAILLIPPSFCQIDITKLQRVGVLVNNPKGMKISQNFETRYLILSLIPKIENSHSCGDQQINQ -YKKLLDRLIIPLYDGLKLQKDVIVVSHETHNNTNLRTKRFFGEIIGTIAIGIATSAQITAAVALVEAKQA -KSDIEKLKEAIRDTNKAVQSIQSSVGNLIVAVKSVQDYVNNEIIPSITRLGCEAAGLQLGIALTQHYSEL -TNIFGDNIGTLKEKGIKLQGIASLYHTNITEIFTTSTVDQYDIYDLLFTESIKMRVIDVDLSDYSITLQV -RLPLLTKLSNTQIYKVDSISYNIQGKEWYIPLPNHIMTKGAFLGGADIKECIEAFSSYICPSDPGYILNH -EIENCLSGNITQCPKTVVTSDVVPRYAFVNGGLIANCITTTCTCNGIDNRINQSPDQGIKIITHKECQVI -GINGMLFNTNREGTLATYTFDDIILNNSVALNPIDISMELNKAKLELEESKEWIKKSNQKLDSVGSWYQS -SATITIIIVMIIILVIINITIIVVIIKFHRIQGKDQNDKNSEPYILTNRQ - ->NP_047205.1 fusion protein F [Canine morbillivirus] -MHKGIPKSSKTQTHTQQDRPPQPSTELEETRTSRARHSTTSAQRSTHYDPRTSDRPVSYTMNRTRSRKQT -SHRLKNIPVHGNHEATIQHIPESVSKGARSQIERRQPNAINSGSQCTWLVLWCLGMASLFLCSKAQIHWN -NLSTIGIIGTDSVHYKIMTRPSHQYLVIKLMPNVSLIENCTKAELGEYEKLLNSVLEPINQALTLMTKNV -KPLQSLGSGRRQRRFAGVVLAGVALGVATAAQITAGIALHQSNLNAQAIQSLRTSLEQSNKAIEEIREAT -QETVIAVQGVQDYVNNELVPAMQHMSCELVGQRLGLRLLRYYTELLSIFGPSLRDPISAEISIQALIYAL -GGEIHKILEKLGYSGSDMIAILESRGIKTKITHVDLPGKFIILSISYPTLSEVKGVIVHRLEAVSYNIGS -QEWYTTVPRYIATNGYLISNFDESSCVFVSESAICSQNSLYPMSPLLQQCIRGDTSSCARTLVSGTMGNK -FILSKGNIVANCASILCKCYSTSTIINQSPDKLLTFIASDTCPLVEIDGATIQVGGRQYPDMVYEGKVAL -GPAISLDRLDVGTNLGNALKKLDDAKVLIDSSNQILETVRRSSFNFGSLLSVPILSCTALALLLLIYCCK -RRYQQTLKQHTKVDPAFKPDLTGTSKSYVRSL - ->NP_056877.1 fusion protein [Murine respirovirus] -MATYIQRVQCISALLSVVLTTLVSCQIPRDRLSNIGVIVDEGKSLKIAGSHESRYIVLSLVPGIDLENGC -GTAQVIQYKSLLNRLLIPLRDALDLQEALITVTNDTMTGADVPQSRFFGAVIGTIALGVATSAQITAGIA -LAEAREAKRDIALIKESMTKTHKSIELLQNAVGEQILALKTLQDFVNDEIKPAISELGCETAALRLGIKL -TQHYSELLTAFGSNFGTIGEKSLTLQALSSLYSANITEIMTTIRTGQSNIYDVIYTEQIKGTVIDVDLER -YMVTLSVKIPILSEVPGVLIHKASSISYNIDGEEWYVTVPSHILSRASFLGGANIADCVESRLTYICPRD -PAQLIPDSQQKCILGDTTRCPVTKVVDNIIPKFAFVNGGVVANCIASTCTCGTGRRPISQDRSKGVVFLT -HDNCGLIGVNGIELYANRKGHDATWGVQNLTVGPAIAIRPVDISLNLAAATDFLQDSRAELEKARKILSE -VGRWYNSGATLITIIVVMIVVLVVIIVIVIVLYRLRRSMLMSNPAGRISRDTYTLEPKIRHMYTNGGFDA -MTEKR - ->NP_056922.1 fusion protein [Measles morbillivirus] -MGLKVNVSAIFMAVLLTLQTPTGQIHWGNLSKIGVVGIGSASYKVMTRSSHQSLVIKLMPNITLLNNCTR -VEIAEYRRLLRTVLEPIRDALNAMTQNIRPVQSVASSRRHKRFAGVVLAGAALGVATAAQITAGIALHQS -MLNSQAIDNLRASLETTNQAIEAIRQAGQEMILAVQGVQDYINNELIPSMNQLSCDLIGQKLGLKLLRYY -TEILSLFGPSLRDPISAEISIQALSYALGGDINKVLEKLGYSGGDLLGILESRGIKARITHVDTESYFIV -LSIAYPTLSEIKGVIVHRLEGVSYNIGSQEWYTTVPKYVATQGYLISNFDESSCTFMPEGTVCSQNALYP -MSPLLQECLRGSTKSCARTLVSGSFGNRFILSQGNLIANCASILCKCYTTGTIINQDPDKILTYIAADHC -PVVEVNGVTIQVGSRRYPDAVYLHRIDLGPPISLERLDVGTNLGNAIAKLEDAKELLESSDQILRSMKGL -SSTSIVYILIAVCLGGLIGIPALICCCRGRCNKKGEQVGMSRPGLKPDLTGTSKSYVRSL - ->sp|P06828.2|FUS_PI3H4 RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MPTSILLIITTMIMASFCQIDITKLQHVGVLVNSPKGMKISQNFETRYLILSLIPKIEDSNSCGDQQIKQ -YKRLLDRLIIPLYDGLRLQKDVIVSNQESNENTDPRTKRFFGGVIGTIALGVATSAQITAAVALVEAKQA -RSDIEKLKEAIRDTNKAVQSVQSSIGNLIVAIKSVQDYVNKEIVPSIARLGCEAAGLQLGIALTQHYSEL -TNIFGDNIGSLQEKGIKLQGIASLYRTNITEIFTTSTVDKYDIYDLLFTESIKVRVIDVDLNDYSITLQV -RLPLLTRLLNTQIYRVDSISYNIQNREWYIPLPSHIMTKGAFLGGADVKECIEAFSSYICPSDPGFVLNH -EMESCLSGNISQCPRTVVKSDIVPRYAFVNGGVVANCITTTCTCNGIGNRINQPPDQGVKIITHKECNTI -GINGMLFNTNKEGTLAFYTPNDITLNNSVALDPIDISIELNKAKSDLEESKEWIRRSNQKLDSIGNWHQS -STTIIIVLIMIIILFIINVTIIIIAVKYYRIQKRNRVDQNDKPYVLTNK - ->sp|P12575.1|FUS_SENDF RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MTAYIQRSQCISTSLLVVLTTLVSCQIPRDRLSNIGVIVDEGKSLKIAGSHESRYIVLSLVPGVDLENGC -GTAQVIQYKSLLNRLLIPLRDALDLQEALITVTNDTTQNAGVPQSRFFGAVIGTIALGVATSAQITAGIA -LAEAREAKRDIALIKESMTKTHKSIELLQNAVGEQILALKTLQDFVNDEIKPAISELGCETAALRLGIKL -TQHYSGLLTAFGSNFGTIGEKSLTLQALSSLYSANITEIMTTIRTGQSNIYDVIYTEQIKGTVIDVDLER -YMVTLSVKIPILSEVPGVLIHKASSISYNIDGEEWYVTVPSHILSRASFLGGADITDCVESRLTYICPRD -PAQLIPDSQQKCILGDTTRCPVTKVVDSLIPKFAFVNGGVVANCIASTCTCGTGRRPISQDRSKGVVFLT -HDNCGLIGVNGVELYANRRGHDATWGVQNLTVGPAIAIRPIDISLNLADATNFLQDSKAELEKARKILSE -VGRWYNSRETVITIIVVMVVILVVIIVIVIVLYRLKRSMLMGNPDDRIPRDTYTLEPKIRHMYTNGGFDA -MAEKR - ->sp|P12605.1|FUS_PI1HC RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MQKSEILFLIYSSLLLSSSLCQIPVDKLSNVGVIINEGKLLKIAGSYESRYIVLSLVPSIDLEDGCGTTQ -IIQYKNLLNRLLIPLKDALDLQESLITITNDTTVTNDNPQSRFFGAVIGTIALGVATAAQITAGIALAEA -REARKDIALIKDSIIKTHNSVELIQRGIGEQIIALKTLQDFVNNEIRPAIGELRCETTALKLGIKLTQHY -SELATAFSSNLGTIGEKSLTLQALSSLYSANITEILSTIKKDKSDIYDIIYTEQVKGTVIDVDLEKYMVT -LLVKIPILSEIPGVLIYRASSISYNIEGEEWHVAIPNYIINKASSLGGADVTNCIESRLAYICPRDPTQL -IPDNQQKCILGDVSKCPVTKVINNLVPKFAFINGGVVANCIASTCTCGTNRIPVNQDRSRGVTFLTYTNC -GLIGINGIELYANKRGRDTTWGNQIIKVGPAVSIRPVDISLNLASATNFLEESKIELMKAKAIISAVGGW -HNTESTQIIIIIIVCILIIIICGILYYLYRVRRLLVMINSTHNSPVNTYTLESRMRNPYIGNNSN - ->sp|P04855.3|FUS_SENDZ RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MTAYIQRSQCISTSLLVVLTTLVSCQIPRDRLSNIGVIVDEGKSLKIAGSHESRYIVLSLVPGVDFENGC -GTAQVIQYKSLLNRLLIPLRDALDLQEALITVTNDTTQNAGAPQSRFFGAVIGTIALGVATSAQITAGIA -LAEAREAKRDIALIKESMTKTHKSIELLQNAVGEQILALKTLQDFVNDEIKPAISELGCETAALRLGIKL -TQHYSELLTAFGSNFGTIGEKSLTLQALSSLYSANITEIMTTIKTGQSNIYDVIYTEQIKGTVIDVDLER -YMVTLSVKIPILSEVPGVLIHKASSISYNIDGEEWYVTVPSHILSRASFLGGADITDCVESRLTYICPRD -PAQLIPDSQQKCILGDTTRCPVTKVVDSLIPKFAFVNGGVVANCIASTCTCGTGRRPISQDRSKGVVFLT -HDNCGLIGVNGVELYANRRGHDATWGVQNLTVGPAIAIRPIDISLNLADATNFLQDSKAELEKARKILSE -VGRWYNSRETVITIIVVMVVILVVIIVIIIVLYRLRRSMLMGNPDDRIPRDTYTLEPKIRHMYTNGGFDA -MAEKR - ->sp|P41360.1|FUS_RINDB RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MRIPLAALIAMTIPCLATGQIHWGNLSKIGVVGTSSASYKVVTQSSHQSLVIKLMPNITAIDNCTKTEIG -EYKRLLGTVLKPIGEALNAITKNIKPIQSSTTSRRHKRFAGVVLAGAALGVATAAQITAGIALHQSMMNS -QAIESLKASLVTTNQAIEEIRQAGQEMILAVQGVQDYINNELVPAMGQLSCDMVGQKLGLKLLRYYTEIL -SLFGPSLRDPVSAEISIQALSYALGGDINKILNRLGYSGSDLLAILESKGIKAKITYVDIESYFIVLSIA -YPSLSEIKGVIVHRLEGVSYNIGSQEWYTTVPRYVATQGYLISNFDDTPCAFTPEGTISSQNALYPMSPL -LQECFRGSTRSCARTLVSGSIGNRFILSKGNLIANCASILCKCYTTGSIISQDPDKILTYIAADQCPVVE -VNGVTIQVGSREYPDAVYLHNIDLGPPISLEKLDVGTDLGNAVTKLERAKDLLDSSDLILKNIKGVSVTN -TGYILIGVGLIAVVGIIIVTCCCKKSSSDSRASTVVLNPGLKPDLTGTSKSYIRSL - ->sp|P04856.1|FUS_SENDH RecName: Full=Fusion glycoprotein F0; Short=Protein F; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MTAYIQRSQCISTSLLVVLTTLVSCQIPRDRLSNIGVIVDEGKSLKIAGSHESRYIVLSLVPGVDLENGC -GTAQVIQYKSLLNRLLIPLRDALDLQEALITVTNDTTQNAGVPQSRFFGAVIGTIALGVATSAQITAGIA -LAEAREAKRDIALIKESMTKTHKSVELLQNAVGEQILALKTLQDFVNDEIKPAISELGCETAALRLGIKL -TQHYFGLLTAFGSNFGTIGEKSRTLQALSSLYSANITEIMTTIRTGQSNIYDVIYTEQIKGTVIDVDLER -YMVTLSVKIPILSEVPGVLIHKASSISYNIDGEEWYVTVPSHILSRASFLGGADITDCVESRLTYICPRD -PAQLIPDSQQKCILGDTTRCPVTKVVDSLIPKFAFVNGGVVANRIASTCTCGTGRRPISQDRSKGVAFLT -HDNCGLIGVNGVELYANRRGHDATWGVQNLTVGPAIAIRPVDISLNLADATNFLQDSKAELEKARKILSE -VGRWYNSRETVITIIVVMVVILVVIIVIVIVLYRLKRSMLMGNPDERIPRDTYTLEPKIRHMYTNGGFDA -MAEKR - ->sp|P09990.2|FUS_PI3B RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MIITNTIIIILIISPSFCQIDITKLQRVGVLVNNPKGMKISQNFETRYLILSLIPKIENSHSCGDQQINQ -YKKLLDRLIIPLYDGLKLQKDVIVVSHETNNNTNSRTKRFFGEIIGTIAIGIATSAQITAAVALVEAKQA -KSDIEKLKEAIRDTNKAVQSIQSSVGNLIVAVKSVQDYVNNEIVPSITRLGCEAAGLKLGIALTQHYSEL -TNIFGDNIGTLKEKGIKLQGIASLYHTNITEIFTTSTVDQYDIYDLLFTESIKMRVIDVDLSDYSITLQV -RLPLLTKISNTQIYKVDSISYNIQGKEWYIPLPNHIMTKGAFLGGADIKECIEAFSSYICPSDPGFTLNH -EIENCLSGNITQCPKTIVTSDVVPRYAFVNGGLIANCITTTCTCNGVDNRINQSPDQGIKIITHKECQVI -GINGMLFSTNREGTLATYTFDDIILNNSVALNPIDISMELNKAKLELEESKEWIKKSNQKLDSVGSWYQS -SATITIIIVMIVVLFIINITIIVVIIRHHRIQGKNQNDKNSEPYVLTSRQ - ->sp|P26032.1|FUS_MEASY RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGLRVNVSAIFMAVLLTLQTPTGQIHWGNLSKIGVVGIGSASYKVMTRSSHQSLVIKLMPNTTLLNNCTR -VEIAEYRRLLRTVLEPIRDALNAMTQNIRPVQIVASSRRHKRFAGVVLAGAALGVATAAQITAGIALHQS -MLNSQAIDNLRASLETTNQAIEAIRQTGQEMILAVQGVQDYINNELIPSMNQLSCDLIGQKLGLKLLRYY -TEILSLFGPSLRDPISAEISIQALSYVLGGDINKVLEKLGYSGGDLLGILESRGIKARITHVDTESYFIV -LSIAYPTLSEIKGVIVHRLEGVSYNIGSQEWYTTVPKYVATQGYLISNFDESSCTFMPEGTVCSQNALYP -MSPLLQECLRGSTKSCARTLVSGSFGNRFILSQGNLIANCASILCKCYTTGTIINQDPDKILTHIAADHC -PVVEVNGVTIQVGSRRYPDAVYLHRIDLGPPISLERLDVGTSLGSAIAKLEDAKELLESSDQILRSMKGL -SSTSIVYILIAVCLGGLIGIPALICCCRGRCNKRENKLVCQDQA - ->sp|P26031.1|FUS_MEASI RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MSIMGLKVNVSAIFMAVLLTLQTPTGQIHWGNLSKIGVVGIGSASYKVMTRSSHQSLVIKLMPNITLLNN -CTRVEIAEYRRLLRTVLEPIRDALNAMTQNIRLVQSVASSRRHKRFAGVVLAGAALGVATAAQITAGIAL -HQSMLSSQAIDNLRASLETTNQAIEAIRQAGQEMILAVQGVQDYINNELIPSMNQLSCDLIGQKLGLKLL -RYYTEILSLFGPSLRDPISAEISIQALSYALGGDINKVLEKLGYSGGDLLGILESRGIKARITHVDTESY -FIVLSIAYPTLSEIKEVIVHRLEGVSYNIGSQEWYTTVPKYVATQGYLISNFDESSCTFMPEGTVCSQNA -LYPMSPLLQECLRGSTKSCARTLVSGSFGNRFILSQGNLIANCASILCKCYTTGTIIRQDPDKILTYIAA -DHCPVVEVNGVTIQVGSRRYPDAVDLHRIDLGPPISLERLDVGTNLGSAIAKLEDAKELLESSDQILRSM -KGLSSTSIVYILIAVCLGGLIGIPALICCCRGRCNKKGE - ->sp|P10864.1|FUS_RINDL RecName: Full=Fusion glycoprotein F0; Contains: RecName: Full=Fusion glycoprotein F2; Contains: RecName: Full=Fusion glycoprotein F1; Flags: Precursor -MGILFAALLAMTNPHLATGQIHWGNLSKIGVVGTGSASYKVMTQSSHQSLVIKLMPNITAIDNCTKTEIM -EYKRLLGTVLKPIREALNAITKNIKPIQSSTTSRRHKRFAGVVLAGAALGVATAAQITAGIALHQSMMNS -QAIESLKASLETTNQAIEEIRQAGQEMVLAVQGVQDYINNELVPAMGQLSCEIVGQKLGLKLLRYYTEIL -SLFGPSLRDPVSAELSIQALSYALGGDINKILEKLGYSGSDLLAILESKGIKAKITYVDIESYFIVLSIA -YPSLSEIKGVIVHRLESVSYNIGSQEWYTTVPRYVATQGYLISNFDDTPCAFTPEGTICSQNAIYPMSPL -LQECFRGSTRSCARTLVLGSIGNRFILSKGNLIGNCASILCKCYTTGSIISQDPDKILTYIAADQCPVVE -VGGVTIQVGSREYSDAVYLHEIDLGPPISLEKLDVGTNLWNAVTKLEKAKDLLDSSDLILENIKGVSVTN -TGYILVGVGLIAVVGILIITCCCKKRRTDNKVSTMVLNPGLRPDLTGTSKSYVRSL - diff --git a/seq/clusters_seq/cluster_910 b/seq/clusters_seq/cluster_910 deleted file mode 100644 index a341262..0000000 --- a/seq/clusters_seq/cluster_910 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009996583.1 matrix protein [Johnston Atoll quaranjavirus] -MLASPRMNANAMSGHFPSVEELSLGMARMKLAGELVPKGSFDSVEALAEKLDRLNLKDQLKLAGLISVWL -VCRHNPFTSRALLKSHINCSSLEKAIYRADKKKCARTAKVFMDRGTLPDIRSWEDLFECAVGLLMDCKGG -SSHFRASLADALVRCAGGTVEVDGERNETGAAMGGEETEEEESFENVLDKATRKTIEEAVRQSKRRRGEA -LDAALKLRDSYAAMSALGGQFANMPAAEKTRWITTYSKCLQPILDLSEGKRLYDYILFVGSRG - ->YP_009987465.1 matrix protein [Lake Chad virus] -MATSSRQSDFPSAEELSIGMARMTLSGDTVPREIFESVDRLADKMDTLSIDNKSKAAGLISVWVVNRYNP -FRGATVLKSHLGCSNLKKIIYKADKHRCIRTAKAYIDRGAPPHGDRWETLFECAIGLLTDQRWGDDDFRA -EVAKALIESTGGEVERAPTKPRRKDATRDESDDEEEAFEKVMERATKRLLEEASRQQKKRRKAAMDTAIE -LRDSFKSMTARGECFAKMSQGERTRWITTFSKCLQQILDLNEGARLYDYVKSIGSR - ->YP_009508044.1 unknown [Quaranfil quaranjavirus] -MACHTGNRRFPSVEELSLGMAQMTLLGTPVSSGTFDSVETLADRMGELKINDKSKVAGLTSVWVASRYNP -FQGGALFKSHLRCNSLKKIIYRTDRNKCVKTAKVYLDRGGPPQAEDWEKLFECAIGLLAKQDWGDSQFRE -ETALRLVVAAGGGVKRDACSAGTGGTEEGDSDTEEEPLEKVMERATKRIIEETARLSKRRRPEALEAALE -LRDSFKILSAQGGPFSKLSKDEKTRWVTAFSKCLQPILDLNEGRLLYDYVKQVGSK - ->YP_009110689.1 matrix protein [Wellfleet Bay virus] -MVSLVPRFPGINELCLGMAKLNLDGENLDQRTFENIHKLADTLGDLRISDECKTAGLLSVWVKERYSPFS -GLRGLAAHLKCPDMVRGILREDPKKCVKMAKAYIKRGAPPEIQDRKSLYCVSVGLLKDTGLADDTFRNEM -AQALIESVGGEAKVVPPKPPRVRRVGTEVPGSPMSLDRTVAEGIRKMVAASQDLSYLDQDRLMSQVAKLQ -NSLTEFRKLRETYQGDRRELLNRMINSFSRHLQPISDLSEAHDLYERVKEFVNV - diff --git a/seq/clusters_seq/cluster_911 b/seq/clusters_seq/cluster_911 deleted file mode 100644 index 4041ced..0000000 --- a/seq/clusters_seq/cluster_911 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009996582.1 hemagglutinin [Johnston Atoll quaranjavirus] -MIFRALLVLCSPFLTGSSPCSSESCSGPYKIAKYKMPTIDVSNHKTHVSVWTAPKEVKGILGYRSRYTAY -CYEGGVLDPNSGCSKWHVMYPPSPIELQQWAAEKKCRYGVECQKGGDCWGSWASRCYDDNKSVNSATTKE -YNGNNDEWRMFSHHTCISTWRCGFSESSYPVHFTDIKPGRGDSDVKYALATYDQHGNKLLLSKPSASIDQ -ETSIFFSLPSSLVTRTTTEMDCFFGNEQTPVCQLGSSMGDLEGQFVTFDKNMMASYENYIFRIDDKKGIN -GTKGGRMYANKAWMESVLGKAASLEDIRNVLSVQMWSHQESGYNMAQLYKVVNEVISSLTTVINSVGKLD -DELIGRLVGIEGRSKWFNSDLFHMCPCFQLGDFGDSNCASGYIFSEGRVRLDPDGSKCTSYGGATTPLYL -FDNISYKFAVLHTPPAHGVSQDWEGWSWLASEKQKLIETVTFQDSVSGGNNVLGQLYQETLAQFNLWRWF -ERFSTFAAWASLFLSLINCIRK - ->YP_009987463.1 hemagglutinin [Lake Chad virus] -MIVLCLLMLIQGAQPSPCSSESCSGPYRIAHYKMPTINVSNHKTHAHVWKVIKEVDGVMGYRSRYTAYCY -EGGVLDSNTGCYKAHSMYPPSAEELQKWAIDKKCQYGVECPKGGDCWGNGADACHDESTNKNSAESKEYN -GNNEEWMSFPYHTCISTWRCGVSKSKYPIHFSNVKRTRGGDSPEVFYSLATYDQHGNEIILDKHLYKIDA -ETNLYFEYGNTEMDTFLTELNCFFGNDQTPVCQLNDKFDEDEGQFVTFGEGLTASFSNFLISLDDEVKIS -GKSGGKKYANKAWIQSKLGKAASLQDIKEVIDVQMWSHQEAGYNMVQLYQLVGELTNTLTEVINSVGKLD -DELIGKLVGVEGRSKWFNKELFHMCPCFQIGDFGDSNCASGYIFADGRIKTDPDGSKCTSYGGAVTPIYL -FDNISYQFAVLHTPPAHGVAQDWEGWSWLASEKEKLVETMIFQDSVAGGKNVLSQLYKETVDSFNIWRYF -ERFSALAAWLALIISILGCLRR - ->YP_009508042.1 hemagglutinin [Quaranfil quaranjavirus] -MFMVALSLLLLRLSTASPCTSEACSGPYRISKYKMPTIDVSNHKTHVTVWKGPKEVTGILGYRSRYTAYC -YEGGVLDGNTGCTKWHVMFPPSAAELQKWAIDGKCYYGVECNKGGDCWGSWADRCHDDRVDLNSAQEKEY -NGNNDEWRMFAHHTCISTWRCGFSKSSYPIHFTNVKKGRGDGDITYTLATYDQHGSILSLDKPSVKIDQE -TTLSFELPRSLVSRSSTEIDCFFGNDQTPVCQLNEHFEDLEGQFVTFNGDYTATYGNYLLALNDKKAING -SVGAKKFGNKAWVEKQLGKAASLEDVRNILSIQMWSHQESGYNLVQLYKVVGEMMNTLTTVINSVGKLDD -ELIGRLIGVEGKSKWFNSELFHMCPCFQLGSFGDSNCASGYIFSEGRVKVDKDGSKCTSFGGSITPLYLF -DNVSYKFAVLHTPPAQGVAQDWEGWSWLAAEKQRLIETMTFQDSVSGGNNVLSQLYKETLDSFNIWKWFE -RFSTLAAWGALAISVINMMRK - ->YP_009110688.1 hemagglutinin protein [Wellfleet Bay virus] -MLGTLLLQLTFVSLAQASPCSSESCAGPYKLGTQEPLKIDVKSAHHRVRITKYPTEIDAHIGYRSRYTAY -CYSGGAVDPNTGCDKIHRFYPPNQTELKEWVLEGKCSYGVECKNQGDCWGSDAALCFDRRTDVNKAETKE -FDGNNEEYYLFPHHACISTWRCGFHKTKIPIHVKKDGLIQPATYGPKGEEITLDKKWYKIDSETIVTWEN -RLDTKDFEDVINCFEDRNSPLICQLTDNFEEYSNQFVEMSKEFTGVIGPYYIQIEDHNGSMQTNGTRIYV -SNKWATSKLGKAASLEDVKGVILAQIYTHSETVYNLVQVAKQLTDLQEKVIILTNSVSKLDDELMGQMVG -ILSRSKWFNKELFYMCPCFQINDFNLSNCAAGFTFTDGRITEVNSNTKCTTYSDESVITLYPFKRRKYEF -STLQMPPVHGAAQDWEGWSWLASQKQNLINSIIFRDEVASGTGKGGVLNALYNQTMQYFSLWSWLEKFTT -CAAWLALLISVINFMRK - diff --git a/seq/clusters_seq/cluster_912 b/seq/clusters_seq/cluster_912 deleted file mode 100644 index ad0db5c..0000000 --- a/seq/clusters_seq/cluster_912 +++ /dev/null @@ -1,40 +0,0 @@ ->YP_009996581.1 nucleoprotein [Johnston Atoll quaranjavirus] -MSAPTGVMDIDQASTSSKPTRKRINNSGEEIGAGGKRALTGLSTNQKVSIHRAIASMVYRVIVGIFGFTP -LEVVTDVNVGVYAMNQVWSIHNYFRTKNNKGRSSILSKIDDATTAYQFTLHGKTITVVKEELKKVYRDCI -SAYFEWSNMSEWMGSVHTIMALWNLFGARLNEIRIMPSANTISVEKDNKVTVVKDFNQYGIPSGMRHFAT -GADFKPTMKSALAQSMGPVTTIVQLSEATDNQYAGKWVDAFKRAFSHIPHIDQIAKFMLMSKPAALTKIN -GYLMAIAGYTGTREQKRIAFPPGTLSFLMHDLNEKKEWVFNESRCTKFDFSGTGAYRMYMHFLAVTKANP -LKMNVADPGKARQILFHAMFGTHVEDFGILQSITDVSEWHKRKDFETEFKTIRASNARVEGTFYPIDLRY -YSKVCSSLNTRMIGGGSAPITNCQIFSGNRKRIVTEGLKSLGQQGMNSSLATLDKDSIEKMLREHLETIR -GTFTDGYDAGTVNWRKFEGLTWDKEGEEVEMRPSEIGVIYWSN - ->YP_009987462.1 nucleoprotein [Lake Chad virus] -MEVDPTPSQPVRPTRKRVNNAGEEIGAGGKRALTGLTLTQKVLIHQAIAFMILQVVTEIFKCSFKDMFTD -IHLGVYAMNQIWSIHNYFRTQNNSGRSAIVAKVDNSDTSYQFTLHGKSITVVKEKLKSIYKTCIEKVFDW -NHMDDWMGSVHTIMALWNLFGARLSEIRIMPNAHTISIEKDNKTTVVKEFSQYGIPAGMRHFATGTDYKP -TMKSALAQSMGPVTIIAQLSEAKDNQFAGKWVDAFKRAFSHVPHVEEIAKFMLINKTAALTKINGYLMAI -VGYTGSREQKRIAFPPGSLAYMMLAYKSDSKQYLFNDVHASKFDFSGTGAYRMYSKMIALAQQFPLKINV -SDPNKARQILFHAMFGTHVEDFGILTAMTDVGDWLRRKDFEEEFKAMRASSAKVSGAFYPIALRYYSKVC -SSLNTKMIGGGSAPITNCQIFSGNRKRIVTEGMKSLGQQGMAGGLASLDKDSIEKMLREHLEVVRSTFAD -NYEAGTVKWRKFDELTWDKEGEEVDIRPTEQGVLYWSN - ->YP_009508041.1 hypothetical protein [Quaranfil quaranjavirus] -MEVDPAPSTAPKPTRKRVNNSGEEIGSGGKRALTGLSLTQKVAIHHAIALMVYHVVIDIFKCTIIDLITD -VNIGVYAMNQIWSIHNYFRTKNNSGRSSIGAKIDNATDTYQFILHGRTITVNKEELKGVYKRCITTYFDW -DKMNDWMGSVHTIMALWNLFGSRLSEVRIMPSANTISVEKDNRTTVVKDFNQYGIPAGMRHFATGADFKP -TMKSALAQSMGPVTVLVQLSEAKDNQYAGKWVDALKRAFSHIPHIDQIAKFMLANKPAVLTKINGYLMAI -AGYTGTREQKRIAFPPGTLAYLLHDLDKENKLHFNEDRCSKFDFSGAGAFRMYSHFLKVCKKNPLRMNIA -DPGKARQLLFHTMFGTHVEDFGILQSMTDVSDWLKRKDFEEEFKTLRASHARVEGNFYPIEMRYYSKVCS -SLNTKMIGGGSAPVTNCQIFSGNRKRIVTEGLKSLGQQGVGAGLSALDKDSIEKLLREHLESIKAAFGDS -FEAGTVKWRKFDGLTWDKEGDEVEMRPGEVGEIYWSN - ->YP_009110683.1 nucleoprotein [Wellfleet Bay virus] -MTSTMDIDSNPTGPKRVKLNADNQEIGAGDKVALEGMDEGNKIQAHSFVALVFYEVMTKCFKIIDLNRIC -SQIMLGVYIMNQVWSVHNYFRSKGNRNEGKVVKAFDEDENEPINLSVHGETFQFSKKSLKEAYETSLNRV -GYVLANKSKWMGTVNTIMALWNLFGTRLTEVQIMPNQVTISVDKKGQKTMVKELKHYGIPPGLRHYAYGS -SYKPTMKSALAQSLGPATVLTQLAEANNDEFGNKWYDAVVRAFKHVPHIEEVAELMKRSKPKGLEKIIGY -LMSIACFTGTREQRRVAFPPGVLARLSIAIDKTTKRMMVNSEFIRRLNFSGAGAFRAYKLMCNLVDSSNN -LLSFNINLADVEMGKQVLFHAMFNTHVEDFGILTFMTGYNDWKKRKDFGNRFETMKASKPALSTSFHPIK -IVHYSKMASGLLTESVTGGAAPINSCMMMAGHRKRVISDSMRGLLNQGATGVLSSIDKDSVEKHLREFSE -MLRGMAKEDSYNAGTVKWHRMDDLTADAEGPELDYRPQESLVIYWSN - diff --git a/seq/clusters_seq/cluster_913 b/seq/clusters_seq/cluster_913 deleted file mode 100644 index 214aa65..0000000 --- a/seq/clusters_seq/cluster_913 +++ /dev/null @@ -1,54 +0,0 @@ ->YP_009996580.1 polymerase acidic protein [Johnston Atoll quaranjavirus] -MAFEAHRILIADPQLYEPEFVSQAGELSEHWARENWKRREESLRHDKVCMLLMNTEPRLDGHIQPDSRAH -GGSSGSVQTEEEEKTFSLEDIEGLAEHGRGVYRENQELVEEGLGSHSPDTESQGEESGEEEDFIFGAEEE -DPDDTPSEIVKLLEPDLIDSNYRYTLLEGVTSGKYAQMEFTNLWGISTSNQWDLVDRIKRKLIEVKVTTR -VPLDVWKEVQEHASGTDPEHYGAYIIHDDLCGNFVPYKFGNIDDLPGWPHALDFLIRRHALLMSHGGVPT -AGGIEDRAPLWDEVFMTKTRDWVAPLWKRDDFRVRRDDASRMQPFNIKDFLNLLEDPRNRDSRRTAKWKG -KLLPVSWCKTVASTQDRDLDMVQVVLEDMGPIGMWEEVNANPFKVERTVTALAVLLSIFKEGFENRDPYV -SVKKKPKGWVIQGKPKSEEITEALMELGVGYKPYQMTGVVEPDMRQDDTEDIEKVRWDDWMTDLMESEAE -ELGTKTFKAGIFSEAESRHILDDPAKKLCSKIYDLFRSHKVGATCSKVMGFYSRMGGSYLRAITGKNRQH -SSLAIFPLYYKTYDSEGNGTRNLTGFVIRGPHHVRESTDTINLLIVEKTNLTREQLRRRLAGGALINGTW -WVRKNAVRKTDSTYLSFLHNSLFVPTNFLGELVTTHPNISMAKDNPAYWEIILSGSFGCCKTFHLRRVIE -TVLMGILGKSQEEGYHDMYRKVYMLLMAKGRGDIAQVMDIKAMAEEMNECLLDSAFVLWCHSELIDFMKF -VSNREPFSS - ->YP_009987460.1 polymerase acidic protein [Lake Chad virus] -MSFEAYRLLISNNQLYEPDFVTQAGEQSEHWSRDNWKKREESLRHDKVCMLLMNTEPREEGHIQIEERAQ -ESSSKSTATEEEEKKFSMDQVEELVDVGRVVYRENIELEQEAEEAQMEEQAEGGSDSGDEEDFFFGAEEE -IEEDPDPEVLELMEPDLIDSNYRYTLLEGVTSGKYAQMEFTNLWGIVTNNQWDLVDRNRRKLIEVKVTTR -PPLDVWEEVQQHAHGTDPEHYGAFIIHDDLQGNFTPYKFGNIDDLPGWPGALDFLIRRHAFLTSYGGIPA -AGGIEDRLPVWDTIFMDKTRGWVAPLWKRGQIHPRRDDAPRMEPFDIDKFITLLEDPRERDLPKSAKWKG -KLLPASWSRTIATVLDKDVDMVKVVINDFGVVGLWEAINSNEFKLERTVDALSRLLKIFKEGFENKDPYI -SVRKRKQGWLIRGKPRTSELVEALMELGIGCKPYKMTGAPEDGMKQWDYGDIEKMKWEDWMTELMETEAQ -PIAERTFQGGIFREAKSSHVLDDPAKKLCSRLYELFRTHKIGATCAKYMGFYSRMGGSYLRAVTGKNRQH -SSLAILPLYYKTYDSKGQGKRNLTGFVIRGPHHVRESTDTINLLIVEKTCLTRAQMRERLSGGALVNGTW -WVRKNAIRKTDPTYLSFLHNALFVPTNFLGELVTTNPNISFARDNPEYWDVILSGSFGSCPGFHLRRIIE -TVLMGILGKSQEEGYHDMYRKVYMLLMAEGRGDIAQVMDIRAMAEEMNECLLDSAFVMWCHCELLDFMKY -VKDKEPFKNAE - ->YP_009508040.1 polymerase PA [Quaranfil quaranjavirus] -MAFEAYRLLIANPQLYEPDFVSQAGELSEHWARENWKRREESLRHDKVCMLLMNTEPRERSHTQAEGEEE -GSSSRSLATEEGEKRFSLEALEGLVDQGREVLLENLEMEEAQANSDSEGPNEADSGGEGEEFVFGVESES -EMEVASEVTKLLEPDLIDSNFRYTLLEGVTSGKFAQMEFTALWGINTNNKWDLVDRVNRKLIEVKVTTRP -PTGVWEEVVAHAEGTDPEHNGAYIIHDDLCGNFTPYKFGNISDLPGWPSAQDFPIRRHAFLASYGGVPSA -GGIEEGLPAWDDVFMERTRNWVAPLWKKGPISVRRDDCPRMEPFNITKFITLLEDPRLRNTDKSAKWKGK -ILPVSWCRTIISSQEKDIDMVQEVIRDLGVIGLWEEINANPVKVERTVSALSELMRLFQEGFREKNPYIS -VKRKCKGWVITGHKQTPALTDTLLELGVGYKPYQMSNVVEEDMRQCETDDIEKMKWVDWMSKLAATESEP -LGSKVSRDDIFKEAESRHVLDEPAKKMCSKVYDLYRSHKIGATCSKFMGFYSRMGGSYLRAIAGNSRQHS -SLAIMPLYYKTYQEDGTSTRHLTGLVIRGPHHVRESTDTINLLIVEKTCLSRREAQERLSGGALVNGVWW -VRKNAIRKADPTYLAFLHNSLFVPTNFLGELVTTPKHFLRQRQPRILGRNPVWQLWLL - ->YP_009110687.1 polymerase acidic protein [Wellfleet Bay virus] -MAFEVYRLAISNPILYDPRFVSVAGENSEHWPRDNWKKREESLRHDQVCALLMNTASRFPSHLEAAKESS -SSKSQIYEEGEKQFNQEEIEGLMEAGQMHLTESFSTSVRQDDEEESEGEGGESGDDEDDEFAIGDYWGPP -EQTEEEMEEMINVGEPDLVDSDKRYTILEGVTGAKYTQIAFSTLWGIDTQKQWDIIDKQERKFIEVKITS -RNPYQVWEEVKSASDISEEHFGACIVHDEGGGSFKQYVFNIDEMPGWKQVEDFLIRRYEFFLENGAVPVA -GGDEDRYPVWDPIISEKIHDWIHPLWQPDKINARVDNSPRYEPFNIGLFLNLLDDPRARNCSKSAKWNGK -LMPFSWNSAVATTCDKDLDMVEEIIRHFDCESWSVVNWKEQSAESLVNGLKLLLERFKEGFIERNSYIPV -KKTKHGWTVKGERHTSEIQEMLSELGIGWKFYKHTGIVEEDMRQPESEDQEMMRWDDWLTELRISESQGL -QGGRVFKDDVFKEAPSFHILDEPAKRICTELFDLFRTHKIGATCAKVMNFYSRMGGTYLRAANGGKSKMH -SSLAILPLYYKEYRGDREEKTSQRVMVGFVVRGPHHVRDATDTINLIIAEKVNLSLEECKRRLRGGVLTS -SGWFIRKNAIRKADPTYLSFLHNSLFVPVNFLGELITNHPQRSRTKEDPKYWDVILAGCLTSCRPFHLER -IVETVLMGIIGKSQEEGYHDMLRKVYMLLMARGRGEIALVMDLKAMAEEMNECLINSAYVFWVHCELLDF -MKFVKDKTPFNEHL - diff --git a/seq/clusters_seq/cluster_914 b/seq/clusters_seq/cluster_914 deleted file mode 100644 index 5299d39..0000000 --- a/seq/clusters_seq/cluster_914 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_009996579.1 polymerase basic 2 protein [Johnston Atoll quaranjavirus] -MAADPGHLEARKRRLLNVVRKINETKSDPLADKIFNLLRTNPVCNKRVLTKYARVVKDPDPIATTQLLMG -QKYPILAKRSYLSMFSKEEREANFAEEEDCRRPGWVRCTRAALNIWLEKEMEISEEVKRIIKVLYENSIE -LVRDYQRHSWDRAIVRYGIVPKERQVVATRKVLVDVPREYRQKSVVEIIQPGFNLNQPEVKIYVNKIIEK -IGHKIITGMSIVDQARMLLNSLDPKMRMLPVAVTLHEDLAQHSISYYGNNWMVHHLPGRSYSTPGDTNDL -RKSCQLIMREILKVKAERRKDHLLRLRKGQHGIVEVLQNTKENYPVKVIKSILGLPCSKAHDYFGTQMII -EVAVENSRMVTSGGGVSWREYLGQEKIYFKHEDVRGWYSHEGPMLKEIVFSRTEKSTFTKLLVNIANYIH -YDWIARPASTLKEMRRMTMEEVMLSPWSFLGTTKGVWSAFWTRLDSSYFPRSDDMRNYLQMGDGEIRIRC -VLETEIPNDTLVSYRVTEDGDLEEIGGVSRIPRIDSMQRLKERHLDGTWNSNDMVFIPILHPTVCLPRTI -EFHFSRKELLNDILSFNFSNYNSHCPYLLPPNDRSSFCNTARMMLYGASTQVMKWPRIYLAYLYCFSGFH -ETPMLAKLRRTRFLIYDEIDLHAQRGVFRHNPENNTWLIFNKEWIGLQEEFSNPGALTNSALLGYRLENV -QYSGKRKRGDGVMDLREGMRELESKLDGSYVYVRVAQSVQLLVRDRTSGEKRKIAMKRGLDRIGEDRTRD -IIMEAPRKICKRH - ->YP_009987459.1 polymerase basic 2 protein [Lake Chad virus] -MDPNAEQVKARKSRLMNVVRRINSASNEVLSDRVFELLRSNPVCNKRVLTKYARVVKDPDPIATTQLLMG -QKYPILVKEKYLKFFTEDERKANFAEEEDCRRPGWKRSTRTALNIWLNKDIEISEDTKKVIKVLYENSFE -MVKDYYKHNWSKAVIKYGIVPKERQVVATRSVLVDVPREYRQKAVVEIIQPGFNLNQPEVKIYVDKILEK -VGHRLVLGMSIVDQARVLLNSLDPRRRMLPVAVTLHDNLAQHSISYYGNNWMVHHLPGRSYDTPGDSNDL -RKSCVLIMREILKVKAERRREHLTKITKGEQKLAEVLQRTKENYAVKVIKSLLGMQCSKAHDYFGTEMII -ETAVERSRLVTSNGGVTWREYIGLERIYFKHDDMRGWYAHDGPLLKEIVFSRTEKSIFINLLVNIANYIR -YDWVARPGGIAKELRRATLEEVTLSPWSFLGTTREIWNNFWSRLDSSYFPTSDDMRNYLQMGEGSIKIRC -VLETEVPGEVEVTYRVTEEGDLEEIGGRSRIPKIDVVSKCKEKYLDGTWSSNDMVFIPILHPTVCLPKSI -EFQMNRKELYSDIQNYKFSNYNSHCPYLLPAHERASFCNTARMMLYGASTQLRKWPRIYLAFLYCFAGHP -ETPVLSKLRKTRFLTYDEIDLYAQWGVFRHNPANNSWLVFNEEWKGLSDEFSFPGSLANSALVGYRLENV -QQGKRKNEEGLMDLKDGMRALENKLDGSGMYVRVAQGVQFLVRDRTAGEKRRVAMKRGLERVEEDRTRDI -IMSAPRKMIRKK - ->YP_009508039.1 polymerase PB2 [Quaranfil quaranjavirus] -MAATPESLQARKTRLLSVVRKIKSVALDPLADQVFGLLRSNPVCNKRVLTKYARVVKDPDPIATTQLLMG -QKYPILAKEKYLHHFTPEERAANFAEEEDCRRPGWKRCTRKALNLWLDKEIEPNEETKQVIKVLYENSFD -LVRDYLSHSWDRAIIRYGIVPKERQVVATRKVLVDVPKEYRQKAVVEIIQPGFNLNQPEVKIYVEKIMEK -IGHKMVLGMSIVDQARVLLNSLDPKMRMLPVAVTLHDNLAQHSISYYGNNWMVHHLPGRVYDTPGDTNDL -REACSLIMREVLKVKPEKRRDHLLHLRKGPQGLLEVLQETKENYPVKVIKSLLGLPCSKSHDYFGTQMII -EAAVEESRLVTSGGGVSWREYTGIERIHFRHDDVRGWYTHDGPLIKEIVFSRTERKTFTKLLVNIANYIH -YDWAARPAKTAKEMRRLTMEEVMLSPWSFLGATRGIWQEFWARLDSSYFPTSEEIRNYLQMGDGEIKIRC -VLETEVPGDTSVYYRVTDEGALVEVGGSSRIPKIESLPKRSSKTLDGTWNSNDMTFIPILHPTVCLPRTI -EFHYSRPDLLSDIQCFNFSNYNQHCPYLLPANERASFCNTARMMLYGASTQVRRWPKVYLAYLYCFAGFH -ETPTVSKLHRTRFLTYDEIDLYAQRGVFKHNPENDTWLIFNKEWVGLNDPFQFPGLLVSSALLGYRLENV -THAGKRKREDDLMDLKDGMKELESKMDGSSVYV - ->YP_009110685.1 polymerase basic 2 protein [Wellfleet Bay virus] -MQASPEAIQARKERLLKLARLVNQVAEEENGHDVLNLLKKEPVCNKRVITKYARVVKDPDPIATTQLLMG -QKFPILAKKSYLRYYTEAEREELFSNDDCRRVGWVKCSRKAIDLWISKGKPLTEEQRQIIKVLYESSIEM -AKDFYSRAWDRATVTYGRVPLERQSVATRNVLVNVPREYRQKAVVEIVQPGYNLNQPEVKIYVQQILEKI -GQRIVTGMSIVDQARILMNSLDPKRRILPVALSLHGELAQHSIAYYGNNWMITQLPGRTYSTPGDTYDMR -RICAFILSRISKLELNRKREALGRLKRGKEPFIETIKKTPEDYAVKVIKSIMRLPCSRKHDYFGTEMIVS -KKGPNTEVVTHPSGIQWREYIDEEKIYFRHLECRGWFTHNRATLTEITFSITDRITFENLLVNIANYIRY -DWVYRPGKSIKELRDLTMLEVKKEPWKILGTNKRVWEEYWRHIEDSLITITDEMKNYLTFESKVNVRCVY -KTEIPEETEVHYEVRPDGSLEEIDGNSRIPYIEIKTAGIPHLDMTWNSNDMYIIPLLHPQISMMRTIEFH -LLRPNLEEDIMKNNFSTHNAHCPYWLEAASMAGFCNQARMMLYGAMFKLKTIPKIFLAYLYCFSGYPRAH -TKYNERARMKTGGFLDLYAKDGNFRRDPETGKWLIFDKEWVGVVTDMGPEPELLAYTFLNGFRLESVKKR -KGDHGLANLREGMEALKEKQDGEMIYVRIGGDVKLLVRDRTTDAKRALAIKRGRERVLEDRELELMSSLQ -PKRAKKE - diff --git a/seq/clusters_seq/cluster_915 b/seq/clusters_seq/cluster_915 deleted file mode 100644 index 1841b45..0000000 --- a/seq/clusters_seq/cluster_915 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009305145.1 nucleocapsid protein [Yichang Insect virus] -MTGVADEARAILESLSTETVFGGMNLDVAEMEYQGFSPDLLIRAMWELATKKGILADSFKKDLRTMAVMG -LMRGSNIRAIKAKSTMEAKAQLTSWETTYGLKSGKPTSSTTVTLVRVSACLARPMSLAMYNGSLAISGAV -PAEAVVPGFPKGMALSSFGSLIPGVDTIPDEVCRTLADAFMYHQYKFDKVINSNTNRETSIANIKQYTAI -QMRSNLYDSNARVNHCCTINILVKDGSTYKLSPSCSTALTTAAELFRALS - ->YP_009664620.1 nucleocapsid [Gouleako virus] -MATVTQNDIQEAQAYLASVSLLALSDGDLVLSVEKLEYQGFDPYAFLSYLFAVAKKAGIGEAEHKKNLQT -LAVLGTMRGGKAKKIAEKSTPETKRWLESMIQKYSITSGRPTGSKDVTLLRIAACHAAPIAIGISTGLAV -KTTINPRSIHENYPPYMCISTFGSLIPVVGTGLSSDDVRLISDAFTYHQMLFDRVINPRAPNSKETLKSY -VDIQYMSGLYEPEMRLQVCMKLGLITGARGTYTINAGVKPALQHAAGKLME - ->YP_009664617.1 nucleocapsid [Cumuto virus] -MSIVPTVDDQLVAEGIISDLADDVINDSTLAVKSINLAYQGFDPVYLMQVLAYRARDAKISAVNHKSNLR -TLALIGTMRGSKIETISGRSGQELKDFLVKMVRDYKLKSGRPTSNQDATLLRIAAIYAAPLAIAIKNNAV -SITTTIAPNNVAPGYPRFMCLSTFGALIPSAESMREGEQALIAGAFAEHQALFDRVINSRTKNYSPKDVI -KNYIQIQQLSALYSEGDRIKTLLALGLIEMMGNQMYQVTALYRSALNAAKQKWDARV - ->YP_009329877.1 putative nucleoprotein [Shahe heteroptera virus 3] -MSRRATKTSSDTEPTPAELDNARALIATWAGVTEVETSLATSDIEYQGFSPVRMLAILKNHAKATSEEVF -KSEIITLGKIAMMRGSKLPKIQKNSTDALSGFMKNMIAKYKISDKRPDCAADVTMLRIAAVVALQLAYLM -KKDPYETAVKPSAIHPKYPPFMCLSCFGSLIPRTELEPTIIVLLSKAFAYHQFLFDSIINKSQRSTISEI -MGYVTIQMNSDLYPDSTRVAALRELGIISAGSLTAMFSDGIHEAAAKWDVLAQK - diff --git a/seq/clusters_seq/cluster_916 b/seq/clusters_seq/cluster_916 deleted file mode 100644 index 7bd1c14..0000000 --- a/seq/clusters_seq/cluster_916 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_008378661.1 non-structural protein V [Human parainfluenza virus 4a] -MSFEISVEEIEELIETGNLNIDHALKELGATSQSSLNKPPSQSSRTEGNDGGTKISRNPAPVEAPAHTST -AQRSHNEENESGRQNLDSLSMISNKPQTGTLLMGSDTQLPSPSKTYQGLILDAKKRALNEPRRDQKITNE -HGSMNDTRIFKRGEYRYQERGLGYTESEIKNTTPTPRHRREYSISWVNGRTTISEWCNPCCAPVKSTASV -EKCTCGRCPKICELCIRDP - ->YP_008378660.1 phospho protein [Human parainfluenza virus 4a] -MSFEISVEEIEELIETGNLNIDHALKELGATSQSSLNKPPSQSSRTEGNDGGTKISRNPAPVEAPAHTST -AQRSHNEENESGRQNLDSLSMISNKPQTGTLLMGSDTQLPSPSKTYQGLILDAKKRALNEPRRDQKITNE -HGSMNDTRIFKRGGNIDTRKEAWVTQNQRSRTQPPLQDIEESTRFHGLTEEPQYPSGAIHAAHQSNQLPL -SKNAHVEDVPKFANYASEILDAIKALEVRLDRIEGKVDKIMLTQNTIQQTKNDTQQIKGSLATIEGLITT -MKIMDPGVPSKVSLRSLNKESEQVPIIVTGNGDVSKFVDQDNTITLDSLARPILSGTKQKTDERRAGVRI -DALKITVSEMIRDLFGDCDKSKKLLESINMATTEQDINLIKTNALRSIT - ->sp|P21740.1|V_PI4HB RecName: Full=Non-structural protein V -MSFEISVEEIDELIETGNLNIDYALKELGATSQPPPNRPLSQISKTEENNDETRTSKNSASAEAPAHASS -PLRSHNEESEPGKQSSDGFSMISNRPQTGMLLMGSDTQSPSPSKTYQGLILDAKKRALNEPRRNQKTTNE -HGNTNDTWIFKRGEYSHQERGLGYTESEIKNTIFIPRHRREHSISWVNGRTTISEWCNPCCAPVKSIASV -EKCTCGRCPKICELCIRDP - ->sp|P21738.1|PHOSP_PI4HB RecName: Full=Phosphoprotein; Short=Protein P -MSFEISVEEIDELIETGNLNIDYALKELGATSQPPPNRPLSQISKTEENNDETRTSKNSASAEAPAHASS -PLRSHNEESEPGKQSSDGFSMISNRPQTGMLLMGSDTQSPSPSKTYQGLILDAKKRALNEPRRNQKTTNE -HGNTNDTWIFKRGGNIATKKEAWVTQNQRSKIQSSFQDIEESTRFHGSMEEPQYQSGAIHVAHQSNQLPP -SKNVHVEDVPKFANYALEILDAIKALEVRLDRIEGKVDKIMLTQNTIQQTKNDTQQIKGSLATIEGLITT -MKIMDPGVPSKVSLRSLNKGPEQVPIIVTGTGDVSKFVDQDNTITLDPLARPILSGTKQITDERRAGVRI -DALKITVSEMIRDLFGDCDKSRKLLESINMATTEQDINSIKTNALRSIT - diff --git a/seq/clusters_seq/cluster_917 b/seq/clusters_seq/cluster_917 deleted file mode 100644 index fc7e383..0000000 --- a/seq/clusters_seq/cluster_917 +++ /dev/null @@ -1,55 +0,0 @@ ->YP_009362235.1 glycoprotein [Iriri virus] -MKKTMETIKNFILILSVAVQLDTGHGMEFALIRNTCDTRSMKQVPCQHLISVRESTGPKGKCLIFCAKEK -RRWAEGVESQCLQGDPTSPQVVKCKERIEKGLERLTVLTSSKRKRMREPFRARGNQTKEEGGRLIRISDV -LKRIDHKDLEQFKKDVLEKNVETFLYVNSSNLIDMHKNYSCSPPDGFECYSIKRAIDDSNEKVLYVQKAQ -KEKDKSTWSKDLLSDAYRREEGTIETATERGFLIHYPIESRDWSNLTDPSQIKCPSHQGDRLRKSGTLRN -VDLEIIVRRPRPGNFKTAVPGYICQGMQWTSTCNEMWYFVTYHDRAVKTITPNEVKCLQNIQSHKRGEDV -RPYYPLEECNWNAETTKTVDYHMITPYSPLVDPFTLEFKSEIFLESSSCSPGDKFCLTDDTSRIWFPDQD -DPLIALGHCQDTTWDESFLTVHPEEIPRNWSDPNSTWTNDLILKGILFGEKRVSKSCILEFCGELGLLFE -DGEWWEINVFSKEQHRSRLTKQFLEEEKLERCTGTESRIGVVGKETDEKALLDAALRRSGYEKCKAARYR -LIENRNLRLDDLSYINPRDSVNWWSYRVRAEDSDRLFRLEKAISLYRLVQVTPKLLKGGQVCEDKRNCSL -IIGYHKGKEIKSSDWEETGHDGVYIGVNGLIRRDTRNVSYIYYPPLIKEYEEMFSDSGEIEDEAFIFQPE -IHSKKTKPKSDDQDSSTDSKKNKTPIDDLEDWWKKLKSEWGAIKGTLIGVTLIVGLILLLKLILAVKRLI -SRKRGKKSEAGKEEKEKEMVRFRATPNIYEEIDERSPTRPRGRRTIFT - ->YP_009362164.1 glycoprotein [Itacaiunas virus] -MKDLHTLVLLVVLIKLYKGGSSVHTPMTRCTVYAPQQRIQCNRFDKVFRIRGGGVGIILCRRSQKFDPNL -CTCFRDTNPGALSCYKLMTSKGSFPSEKESRGDEKPQESGTLADYLNVTFLEKTGKLGAKKGEDPDESRL -LDAAIKSGGLGVDFNGHLKILNEESLTSNLNETDVNRIKGLLTSWNKDRLGGQPGEYDPNKWKKDAKEMT -EEDENSERHRVNSTRLRMIFHPEGPYNWTAVTDPQRIRCPRPRLYSKSVDHNFRGVAKRPRVTETSLVRG -YICEAHRWVSECEEMWYFSKYYTREIQTTVPEVLACIKAIKDLKSGEPEIPTFPLSLCNWNSKTSQGVIF -HTATPVDILLDPFSMTYKSTLFPEKWPCNAHALYCLTSKPWRKWFPDEELTRLSPQLCKTTSWEAFPFFG -DVIDLPSKPRDPSSLWTPHVLVENEIFGQKNLNDGCLMDFCGETGVKFPDGEWWLFSVLHGPRGGLKNLT -SALSRCKNSSSLHQIAEVFPNLEDSGRRLELTSRERYERCLDVKDKLTAGYFMNPTDLGYIGQHQEGPGT -AYRLKRLGTNKTGQLILMESPAVYRVLIHKEDYDEEGFRVWENSNSSWNESGKLSVQFGITSKGLKFEAE -WTSLKSEGRWVGVNGILLLKGEKPGTWVISVPDSRQDLEDILSWEHLEGERVVYDERVILTSSDIELDDL -GNTLDTEVETKNIFSGIIKWFDSVYSSVSSYIYIIGVLVAVGLGIWILTKAKRFIVRDRSPGNQTSEITI -PMNRNRREDQRSYI - ->YP_009362150.1 glycoprotein [Rochambeau virus] -MKKTMELIKVIPVACLLYIFLSRHILVGQCKDKIQTGKNTSPPKSRNFKGSPPDSDSVLAKTNLTQEESK -RLSNISQVLEKIEDRDLAEFKRIILDKNLEKSLSEDKDGKIHLNAPKCGENDSYRCHRVNKILENVNDNI -DHLNDLLRKSSGSNLREKLRELDDSSEGGGKGSKSDGHGSFLLHYPITVGNWSELEDPTLIKCPSHHRNQ -IGHSGSDRRLDLDIIARRPRTSTFQTSVPGYICHGMRWTSSCNEMWYFVTYHDRAVTSITPNKLKCIQNI -QAWKRGEHVKPYYPLEECNWNAETTKTVDYHMIIPYSLRVDPLSLQFEGEIFLDSQSCKPGDSLCFTDDN -SKIWFPDDDDKLVATGHCPDESWDESSLTIHPEKMPNDWSNENTSWSQDYILKGILFGEKRVRRSCLLEF -CGAMGLLFEDGEWWEISVYSADSKRESLTKLFLKEEGINRCNGTEERIGVAGKETDEKALLDAVLRKTGY -EKCKAARYRLTENKHLRLDDLSYINPRDSVMWPAYRVRRQSEGSEKYFSLETAMSEYGMVQVTRSLQMLG -QKCYGVENCSMIVGYNLGKEIRSDDWTPSGHPGVYVGINGLIRKDDENTSRIYYPPLSKEYESVFSDSGE -MEDEAYIYKPEIKHKGNVNPKKDDEDSSEEEKKNKTPLDDLSDWWKKLKGEWKLIKGIIVSFLVFLGLYL -IIKCCLKLRSVIKEKKIKKVVDEEKSLELKERRAEPNIYEEINETSRPRVRRGRNYFN - ->YP_009512985.1 glycoprotein [Curionopolis virus] -MDLVRFSIALSVFLCYGTPPSQGQAIVSIKDSCEAKSAPWIPCEKFDYVKNATGSGIKCWIFCSRSGFYS -KTGRFIRCIQGDPEAKYIKSCRRQIEKRGKEKMREGTRGKRKTSEPKEEGVRAKTDFTPDESRRLNNLTK -VFRKVEDKDLNDFKKFILEKGLETKIKLANDGKISFRDPDCGENKDYPCHRIHQIIEGVNENIDYINEIL -SLKKMKEELRLRERESEEGEFPGLLNTTNRRGFLLHYPVELGNWSRLEDPSQIKCPSHHKDMLSNPRRLG -KYNLDIIVRRPRIGTFETVVPGYICQGMQWTSTCNEMWYFVTYHDRAVHYITPNKLKCLQNIRAHKRGEH -IKPYYPLEECNWNSETTKTVDYFMITPYSPEVDPFTLEFKSEIFPDRTSCRPGDEICVTDDDSKVWFPDE -DDKLIARGHCPDETWDESHLTIHPEEMPENWEDPQSPWVSDYILKGVLFGEKRVKKSCLLEFCGTSGLLF -EDGEWWELNVFSREKGRESLTKIFIEQEEIRRCNGTETRVGVAGKETDEKALLNAVLSKNAYERCKSARY -RLIENKYLRLDDLSYINPRESVTWWAYRVRAGDDERTFKLEKTTGEYRYLQVPPSLEQHVTDCDGQENCS -VSIGYYRGELINSSDWTRTGHDDVYVGVNGLLRKDTGNKTIVLYPPLMKEYQEIFSDSGESDDEAFIYKP -DIHEKKGKPKEAEDEKDEKSKKNKTPIDDIKDWWSNIKGEWHLIKGILIGLFTFALLIGVVKLGVFIKSS -FRKRRDDSIPEGKDEEIGIKMQSRRSRQNIYEEINEVSPTMTRRGRNIFN - diff --git a/seq/clusters_seq/cluster_918 b/seq/clusters_seq/cluster_918 deleted file mode 100644 index 9f0faf5..0000000 --- a/seq/clusters_seq/cluster_918 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009513266.1 phosphoprotein [Human metapneumovirus] -MSFPEGKDILFMGNEAAKLAEAFQKSLRKPGHKRSQSIIGEKVNTVSETLELPTISRPAKPTIPSEPKLA -WTDKGGATKTEIKQAIKVMDPIEEEESTEKKVLPSSDGKTPAEKKLKPSTNTKKKVSFTPNEPGKYTKLE -KDALDLLSDNEEEDAESSILTFEERDTSSLSIEARLESIEEKLSMILGLLRTLNIATAGPTAARDGIRDA -MIGVREELIADIIKEAKGKAAEMMEEEMSQRSKIGNGSVKLTEKAKELNKIVEDESTSGESEEEEEPKDT -QDNSQEDDIYQLIM - ->YP_009513221.1 P [Avian metapneumovirus] -MSFPEGKDILMMGSEAAKMADAYQRSLRNTSAGGRSISGEPINTIAEKVPLPPLCNPTTPKGSCIKPNKA -PVPKVKEIESIYPKLPTAPVATDTETSTSTESAEKSKKVKFDNPKVGKYTKLEEEALELLSDPEEDNDEK -SSILTFEEKDTASTSIEARLEAIEEKLSMILGMLKTLNIATAGPTAARDGIRDAMIGMREELINSIMTEA -KDKIAEMMKEEDTQRAKIGDGSVKLTEKAKELNKILEDQSSSGESESEEESGESESDEEESDIYNLDL - ->sp|Q8B9Q8.1|PHOSP_HMPVC RecName: Full=Phosphoprotein; Short=Protein P -MSFPEGKDILFMGNEAAKLAEAFQKSLRKPSHKRSQSIIGEKVNTVSETLELPTISRPTKPTILSEPKLA -WTDKGGAIKTEAKQTIKVMDPIEEEEFTEKRVLPSSDGKTPAEKKLKPSTNTKKKVSFTPNEPGKYTKLE -KDALDLLSDNEEEDAESSILTFEERDTSSLSIEARLESIEEKLSMILGLLRTLNIATAGPTAARDGIRDA -MIGIREELIADIIKEAKGKAAEMMEEEMNQRTKIGNGSVKLTEKAKELNKIVEDESTSGESEEEEELKDT -QENNQEDDIYQLIM - ->sp|Q2Y2M5.1|PHOSP_AMPV1 RecName: Full=Phosphoprotein -MSFPEGKDILLMGNEAAKAAEAFQRSLKKIGHRRTQSIVGDKIITVSETVEKPTISKSTKVTTPPERRNA -WGEKPDTTRNQTEEARNEATLEDTSRLYEEVFAPTSDGKTPAEEGMETPEKPKKKVTFKNDESGRYTKLE -MEALELLSDNEDDDAESSVLTFEEKDTSALSLEARLESIDEKLSMILGLLRTLNVATAGPTAARDGIRDA -MVGLREELIADIIKEAKGKAAEMMKEEAKQKSKIGNGSVGLTEKAKELNKIVEDESTSGESEEEEEEEDE -EESNPDDDLYSLTM - diff --git a/seq/clusters_seq/cluster_919 b/seq/clusters_seq/cluster_919 deleted file mode 100644 index 557fe32..0000000 --- a/seq/clusters_seq/cluster_919 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009944277.1 nsp9 [Human coronavirus HKU1] -NNELMPHKLKIQVVNSGSDMNCNIPTQCYYNNGSSGRIVYAVLSDVDGLKYTKIMKDDGNCVVLELDPPC -KFSIQDVKGLKIKYLYFIKGCNTLARGWVVGTLSSTIRLQ - ->YP_009944262.1 nsp9 [Rabbit coronavirus HKU14] -NNELMPAKLRTQIVNSGPDMNCNTPTQCYYNNSNTGRIIYAILSDVDGLKYTKIVKEDGNCVVLELDPPC -KFTVQDAKGLKVKYLYFVKGCNTLARGWVVGTISSTVRLQ - ->YP_009915696.1 nsp9 [Murine hepatitis virus] -NNELMPQKLRTQVVNSGSDMNCNTPTQCYYNTTGTGKIVYAILSDCDGLKYTKIVKEDGNCVVLELDPPC -KFSVQDVKGLKIKYLYFVKGCNTLARGWVVGTLSSTVRLQ - ->YP_009924327.1 nsp9 [Human coronavirus OC43] -NNELMPAKLKIQVVNSGPDQTCNTPTQCYYNNSNNGKIVYAILSDVDGLKYTKILKDDGNFVVLELDPPC -KFTVQDAKGLKIKYLYFVKGCNTLARGWVVGTISSTVRLQ - diff --git a/seq/clusters_seq/cluster_92 b/seq/clusters_seq/cluster_92 deleted file mode 100644 index 29cb4e8..0000000 --- a/seq/clusters_seq/cluster_92 +++ /dev/null @@ -1,1105 +0,0 @@ ->YP_009725315.1 nonstructural polyprotein [Norovirus GIV] -MASNDAIPAASPKANNEKKSNDGSANNTAYVQPEVGVFANMKVGMQKLLSSGARPKVRPQKPPDRGGTEQ -MANAGKPNTARAPVAEGDDHPGLAPQADQGPPPSEVEAVLGSDGAGRVRYIRDQDRIEGLPDLHAAPFLS -EREVKRAPPLDRREFSAAREPPSGSILEMWDGDYYHYAIYLERGLIVGINRPGKALGTATVNIEPIGLWW -RVVHVPRMRVSLPALYKLNGEKFPYNAFNNNCYTFCCQVLELDDSWLNRKYVQRTTGFYDPYQSWNKKPS -IHVADTKMEKVGDMLLTALGALFSRPIKSIINKFKPLNLLNILASCDWTFGGIVEALILIAELFGVYWEP -PDVTAFLMPLLDDFELQGPAEELATEIVPILLGGLGMITGFTSEKVGRLFSSAAATLRATKEIGNYGLEI -VKLVMKWFFPKKGDEDTMATMVRNIEEAVLDMEALENNHITSLLKNKDNMNAFIKTLDAEEEKARKLSTK -AASPDIVATVNALLARISTARSLIHRAKDEMCARVRPVVVMISGRPGIGKTHYARHLAERISQQLGGDKR -VGLIPRNAVDHWDAYRGEQVVLWDDYGMGNIIKDALMLQEMADTCPVTLNCDRIENKGKMFDSDVIVITT -NSPNPSPMDYVNMEAVARRVDFLVYAEAPEIEKAKRDHPGDPAAWKPHFKADHSHLVLTLAPQGGFDKAG -NTPHGKGAVKKITPSGLVARAAALACERRDEFQLEGKEDPTYNFDLSPVTAFRRLAADNGYNLAKTMAVG -AKLRKVTTMEGFRDAVKDVSFKPCRIVYKGVAYDMQSDGRGKVRVDRVQDQVVQQVTEIHQVVHRLRQAR -VRYYVHVAQELVWSLVQMAGAAYVTQRIFRRAHVAHMWESMSGSRAVEDTPSPQPVHGCEKCHDRERCSC -NWVIEPEDFEDEGKKGKSKKGRGRKNTAFSSKGLSDEEYDEYKKIREERGGKYSIQEYLEDRERFQEEVA -YAAAAGGECDDVEVSRIRNMVFRPSGKQRKEERATLGLVTGNDIRKRRPDDFKPKGKLWADDDRQVDYNE -RIQFEAPASIWSRIVSFGSGWGFWVSPTLFITSTHVIPRGIKEAFGVPIDQIQVFQGGEFCRMRFPKPIR -PDVTGLILEEGAPEGTVCSILVKRASGEHMPLAARMGTHATMKVQGKIVAGQLGMLLTGSNAKNMDLGTT -PGDCGCPYIYKRGNDFVVIGVHAAAGRGGNTVICATQAEEGEATLEGGNEYGTYCGAPIVSKGNAPTLST -KTKFWRSSNEPLPPGTYEPAYLGGRDPRVENGPSLQQVMRDQIKPFTEPRGRPIKPHILKAAVDTICNVL -EQTLEPAKPWTFAQACQSLDKTTSSGHPHHVQKNQHWNGESFTGPLADQASHANLMYEQCKSVKPRFTAA -LKDELVKTSKIYGEQKKKRLLWGSDLGTMIRCARAFGGVCDALKECCLALPPKVGININEEGPIIFEKHS -RYKYHYDADYSRWDSTQQREVIKAALDIMVKFSAEPERAAIVAQDLVAPSHLDVGDFVIQIDEGLPSGVP -CTSQVNSIAHWIYTLSAMSEACDLEPDVVQANSYFSFYGDDEIVSTDLEFDPEVLTLKLKAVGLVPTRPD -KTEGPLVVSRELSGLTFLRRTIVRDTVGFFGRLDKDSIDRQLYWTRGPNHQDPNETMMPHANRATQLMAL -LGEAALHGANYYGKVSRRVIKEIKEGGMDFYVPKFEAMYRWMRFSDLGMWEGDRNLAPEYVNEDGV - ->YP_009700102.2 nonstructural polyprotein [Norovirus GI] -MMMASNDAIAVADVSNNNANTNNENIGSRLMARIRGRIGPQRGETTTKIKDANMALDLLRRSQTPSPSRQ -DSPPKSQRDRPPRTVSEVKKVMGWDAEPEHQESTAKAWCDLSKEEKEEIMRNNERLFDAGGVTPSTLPST -FERAELSNTSTEQQTVSWSASDGVNIGVNDLTTVRGPFWNMCPLPPLDARNNGPAKEPLIGDMIEFYEGH -IFHYAIYMGQGKTIGVHSPQAAFSIPRITIHPLVAWWRVCYTPTSQQRLTYDQLKELENEPWPYASITNN -CYEFCCRVMALDDTWLQRRLVSAGKFNHPTQEWSQDTPDFHQDSKLEMVRDAVLSAINGLVSQPFKNILS -KIKPLNVLNLLSNCDWTFMGVVELIVLLAELFDIFWTPPDISSFIASLLPEFHLQGPEDLARDLVPLVLG -GIGLAIGFTRDKITKVMKSAVDGLRSATQLGQYGLEIFSIIKKYFFGGDQTEKTLRGIEDAVIDMEVLSS -TNVTQLVKDKKLARAYMNVLDNEEEKARKLSVRSADPHIVTSVNNLISRISMARSALAKAQAEMTSRPRP -VVIMMCGPPGIGKTKAAEHLAGRLAAEIRPGGKVGLVPRESIDHWDGYHGEDVLLWDDYGMSKITEDCNK -LQAIADTAPLSLNCDRIENKGMQFSSDAIIITTNAPGPAPVDFVNLGPVCRRVDFLVYCSAPEIEQMRRT -HPGDASAIKDLYKRDYTHLKMELAPQGGFDNQGNTPFGKGVMKPTTLNRLLIQATALAMERQDEFQLQGA -IYNFDEDRVAAFTNLARANGLGLISMATLGKRLRTVKTMEGLRNALVGYKIEECDIIWNTRAYSIKSDGN -TVTIKEKQAPTSPQHQAICTATLALSRLRAARALAYASCLQSAVLSILQVAGSAVVVSRAVKRMFGTRTD -QPVLEGKHKEHSCRVHRAEAAGHGPIGHDGVIERYGLCESEQEEEEDQTMELPTANKEGKNKGKTKKGRG -RKSNFNAFSRRGLSDEEYEEYKKIREEKSGNYSIQEYLEDRQRYEEELAEVQAGGDGGIGETEAEIRHRV -FYKSKSGMRKQRQEERRQLGLVSGSEIRKRKPIDWTPPKNDWSEDTRTVNYDEHISFEAPPSIWSRVVKF -GSGWGFWVSSTVFITTTHVIPPGAKEIFGESLSNVAIHRVGEFTQFRFSKKMRPDLTGMVLEEGCPEGTV -CTIMIKRDSGELLPLAVRMGAVASMKIQGKLMHGQSGMLLTGANAKGMDLGTIPGDCGAPYVHKRGNDWV -VCGVHAAATKSGNTVVCAIQGGEGEATLEGGGQNKGHYAGHPILRYGNGPSLSTKTKFWKSTPQPLPPGT -YEPAYLGGRDPRVEGGPSLQQVLRDQLKPFAEPRGRLPEAGLLEAAVETVTNVLEQVMDTPVAWSFNDAC -MSLDKTTSSGFPHHKKKNDDWNGSAFVRELGDQAAHANNMYELGKPMKPVYTAALKDELVKPDKVYNKIK -KRLLWGADLGTVIRAARAFGPFCEAIKPHVIKLPIKVGMNAIEDGPLIYAEHSKYKFHYDADYTAWDSTQ -NREIMMESFNIMCKLTANPSLAAVVAQDLLSPSEMDVGDYVISVKDGLPSGFPCTSQVNSMNHWILTLCA -LSEVTGLSPDVIQSQSYFSFYGDDEIVSTDIEFDPNKLTQVLKEYGLKPTRPDKTDGPIIVRQQVDGLVF -LRRTISKDAIGYQGRLDRNSIERQLWWTRGPNHDDPFETLVPHSQRKVQLVSLLGEAALHGEKFYRKIAS -RVIQEVKEGGLEIYIPGWQAMFRWMRFHDLSLWTGDRDLLPDYVNDDGV - ->YP_009700099.1 nonstructural polyprotein [Norovirus GIV] -MKMASNDASVASSSTTTATNNDKTPTNTGGVFSNLRVGIKKALNSKQGPLELRDGSNTRPPDIQHSEGQV -RFNAKDETVQGIPDLTTAPIPTIRQAKCVPPMSEREVRVAQEPQTGSLLEMYDGSFYHYAIYIENGLVAG -INRPSKALTTATVDVEPIGLWWRVVYTPPFSVSTSALYHLQGEKFPYNAFDNNCYNFCCQVLELDDCWMR -RRYVQRTSGFFDPYQSWNPKPSQYTADSKLERVGDALLTALGALFSKPIKNIVGKLKPLNFLNLLSACDW -TFAGIVETAILIAELFDIYWDPPDVTNFLMPLLDDFELQGPEDVAAEIVPIILGGIGMVVGFTAEKAGRL -LSSAAATLRATKELGNYGLEIVKLIMKWFFPKKESDMNSMVRNIEDAVLDLESVENNHITHLLKDKENMA -IFLRTLDLEEEKARKLSTKAASPDIVSSVNALLARIAAVRSLAFKAKEEMCARQRPVVVMISGRPGIGKT -HYARDLASRISKLLTTDGRVGLVPRNGVDHWDAYRGEPVVVWDDYGMGNIVKDAMMLQELADTCPVTLNC -DRIENKGKMFESDVIVLTTNSPNPTPMDYVNMEAVARRVDFLVYADSPEIEKAKRDFPGDPKAWKPHFKD -DHSHLNLLLAPQGGFDRNGNTPHGKGQVKNITPNGLVARAVALAVERRDDFQLQGGEPQTYNFDSSQVAA -FRKLAADNKYGLAETLRVGNKLRSVTTIEGFKKAVGDVKFKKCRIIWKGVTYDLESDGKGSVKIDRVQSQ -MVQTTGEIHQAVLRLRQARVRYYVMTAQNVVYGLLQAAGAAFVLNRIFRRAENPFSRMIKVEGEDDDPHA -APPVPTTPKVEIVQADIEEEGKKKGKNKQGRGRKHTAFSSKGLSDEEYEEFKQIREERGGKYSIQEYLED -RDRFEEEVAYAQACGGDCDDIEIGRIRNSIFRPSRKQRKEERVKLGLVTGTEIRKRKPDDFQPKGKLWAD -DDRTVDYHEKLDFEAPASIWARVVQLGTGWGFWVSPNLLITSTHVIPKGVEELFGVPIQQVQVHKCGEFT -RLRFPKMIRPDVSGMILEDGAPEGVVCSILVKRPTGECLPLAVRMGTQATMKIQGKVVSGQLGMLLTGSN -AKNMDLGTTPGDCGCPYVYKRGNDYIVVGVHTAAGRGGNTVICAVQTSDGEAVLEGNSDNGTYCGAPIVS -KGRAPQLSTKTKFWRSSVEPLPPGTYEPAYLGGRDPRVNDGPSLYQVMRDQLKHFTAPRGRPVKPHLLQA -AVKTIENTLEQTIDPPQPWSYSQACQSLDKSTSSGWPHHVQKNTHWNGESFTGPLADQASRANLMYEQAK -SMTPQYTAALKDELVKPEKVYSKVKKRLLWGADLGTMVRCARAFGPFTDAIKKCCVSLPIKVGLNINEEG -PIVFEKHSQYEFHYDADYSRWDSTQQREVLAAALGIMTKFTAEPHLAAVVAEDLLAPSMLDVGDYVVQVN -EGLPSGVPCTSQLNSMAHWILTLTSLAEATGLDPDIIQANSYFSFYGDDEIVSTDVKFNPEVLTLKLKAV -GLVPTRPDKTEGPLIVSRKLEGLTFLRRTITRDKAGLFGKLDKDSILRQLYWTKGPNHQDPSESMLPHQN -RATQLMALLGESALHGQNFYKKVSGMVIKEIKNGGHEFYVPKFESMYKWMRFSDLSTWEGDRDLAPDYVN -EDGVE - ->YP_009700096.1 nonstructural polyprotein [Norovirus GI] -MMMASKDVVATNVASNNNANNTSATSRFLSRFRGLGGGASPPNPIKIKSTEMALGLIGKTTQEVAGTSDL -PPKQQRDRPPRTQEEVQYGLGWTERPMDQNVKSWEELDTTTKEEILDSHKEWFDAGGLGPCTMPSTCEQA -KDDSPPGEQVKWSVRDGVNLGVNRLTTVSGPEWNLCPLPPIDLRNMEPASEPTIGDMIEFYEGHIYHYSI -YIGQGKTVGVHSPQAAFSVARVTIQPIAAWWRVCYIPQPKHRLSYDQLKELENEPWPYAAITNNCFDFCC -QIMNLEDTWLQRRLITSGRFHHPSQSWSQQTPEFQQDSKLELVRDAILAAVNGLVSQPFKNFLGKLKPLN -VLNILSNCDWTFMGVVEMVILLLELFGVFWNPPDVSNFIASLLPDFHLQGPEDLARDLVPVILGGIGLAI -GFTRDKVTKVMKSAVDGLRAATQLGQYGLEIFSLLKKYFFGGDQTERTLKGIEAAVIDMEVLSSTSVTQL -VRDKQAAKAYMNILDNEEEKARKLSAKNADPHVISSTNALISRIAMARSALAKAQAEMTSRMRPVVIMMC -GPPGIGKTKAAEHLAKRLANEIRPGGKVGLVPREAVDHWDGYHGEEVMLWDDYGMTKIQDDCNKLQAIAD -SAPLTLNCDRIENKGMQFVSDAIVITTNAPGPAPVDFINLGPVCRRVDFLVYCSAPEVEQIRKVSPGDTS -ALKDCFKPDFSHLKMDLAPQGGFDNQGNTPFGKGVMKPTTINRLLIQAVALTMERQDEFRLQGKMYDFDD -DRVSAFTTMARDNGLGILSMAGLGKKLRGVTSMEGLKNALKGHKIGMCTIKWQAKVYSLESDGNNVNIRE -EKNVLTQQQQSVCAASIALTRLRAARAVAYASCIQSAITSILQIAASALVVNRAVKRMFGTRTAALSLEG -PPKEHKCRVHQAKAAGKGPIGHDDMVEKYGLCETEEDEEVVHTEMPSATIEGKNKGKNKKGRGRKNNYNA -FSRRGLNDEEYEEYKKIREEKGGNYSIQEYLEDRQRYEEELAEVQAGGDGGIGETEMEIRHRVFYKSKSK -KHHQEERRQLGLVTGSDIRKRKPIDWTPPKSAWADDDREVDYNERINFEAPPTLWSRVTKFGSGWGFWVS -PTVFITTTHVIPTSAKEFFGEPLASIAIHRAGEFTLFRFSKKIRPDLTGMILEEGCPEGTVCSVLIKRDS -GELLPLAVRMGAIASMRIQGRLVHGQSGMLLTGANAKGMDLGTIPGDCGAPYVYKRANDWVVCGVHAAAT -KSGNTVVCAVQASEGETTLEGGDKGHYAGHEIVKHGCGPALSTKTKFWKSSPEPLPPGVYEPAYLGGRDP -RVSGGPSLQQVLRDQLKPFAEPRGRMPEPGLLEAAVETVTSSLEQVMDTPVPWSYSDACQSLDKTTSSGF -PHHKRKNDDWNGTAFIRELGEQAAHANNMYEQAKSMKPMYTAALKDELVKPEKVYQKVKKRLLWGADLGT -VIRAARAFGPFCDAIKSHTIKLPIKVGMNSIEDGPLIYAEHSKYKYHFDADYTAWDSTQNRQIMTESFSI -MCRLTASPELASVVAQDLLAPSEMDVGDYVIRVKEGLPSGFPCTSQVNSINHWLITLCALSEVTGLSPDV -IQSMSYFSFYGDDEIVSTDIEFDPAKLTQVLKEYGLKPTRPDKSEGPIIVRKNVDGLVFLRRTISRDAAG -FQGRLDRASIERQIYWTRGPNHSDPFETLVPHQQRKVQLISLLGEASLHGEKFYRKISSKVIQEIKTGGL -EMYVPGWQAMFRWMRFHDLGLWTGDRNLLPEFVNDDGV - ->YP_009700093.1 nonstructural polyprotein [Norovirus GI] -MMASKDVVAATAASASNASDNSTTIKDRLMAKLKNIGTNNKPIKIENTHMALGLIRGSSPTPLKESPKQQ -RERAPRSVAETQQAMGWVEQPVDQNLPTWEELSESEKRDILAKNERWFDAGGLGPATLPDSYERTSQSDS -HEHQVRWDANTGVDLGIRGLTSVDGPAWNMCPMPPMDQRNSGPAKEPIIGDMLEFHEGHIYHYAIYIGQG -KTIGVHSPRAAFSIPRITIHPIAAWWRVCYTPSADQRLTYDQLRELENEPWPYAAVTNNCYDFCCHVMGV -QDTWLERRLITSGRFNHPTQDWSKETPDFQQDSKLEIVRDAVLAAINGLVSKPFKNLLNKLKPLNVLNLL -SNCDWTFMGVVETIILLMELFGVFWDPPDVSGFIASLLPDMHLQGPEDLARDLIPLILGGIGLAIGFTKD -KVTKVMKSAVEGLRAATQLGQYGLEIFAVIKKYFFGGDQTEKTLKDIEAAVIDMEVISTTAITQLVRDKQ -SARTYMAILDAEEEKARRLSVKHADPHVVSTTNALISRISLARSALAKAQAEMTNRVKPVVIMMCGPPGI -GKTKAAEYLAKRLANEIRPGGKVGLVPREAVDHWDGYHGEEVMLWDDYGMSKIAEDCNKLQAIADTAPLT -LNCDRIEKKGLQFVSDAIIITTNAPGPAPVDFVNLGPVCRRVDFLVYCTAPEVEQVRRTSPGDTGALKDC -FKSDFSHLKMDLAPQGGFDNQGNTPFGKGTMRPTTLNRLLIQAVALTMERQDEFQMQGPTYDFDADRVSA -FTSLARANGLGLVGMAMLGKKLRNVDSVHGLKNALAGYTITPCSIKWQARIYDIESDGTTVTIKENATAQ -TQRQHAIDTAVLALTRLRAARAMAYASCLQSAVTTILQMAGSALVINRAVKRMFGTHTGTITLEGPAREH -RCRAHVAKANGGGPIGHDDVIDKYGLCETEEDDEGQDIKAVIPSAAPEGKNKGKTKKGRGRRTNYNAFSR -RGLSDEEYEEYKKIREEKNGNYSIQEYLEDRQRYEEEMAEVQAGGDGGIGETEMEIRHRVFYKSKSKKNR -QEERHQLGLVTGSDIRKRKPIDWTPPKNEWADDDREVDYNEKISFEAPPTLWSRVVKFGSGWGFWVSPTV -FITTTHVIPSNVREFFGEPIDTIAIHRAGEFTQLRFSRKVRPDLTGMVLEEGCPEGVVCSILIKRDSGEL -LPLAVRMGAIASMKIQGRLVHGQSGMLLTGANAKGMDLGTLPGDCGAPYVYKRNNDWVVCGVHAAATKSG -NTVVCAVQAGEGETTLEGGDKGHYAGHEIVKHSNGPALSSKTKFWRSTTEPLPPGVYEPAYLGGRDPRVK -GGPSLQQVLRDQLKPFAEPRGRMPEPGLLEAAVETVTSMLEQTMDTPVPWTYADACQSLDKTTSSGHPYH -KRKNDDWNGTTFVGELGEQAAHANNMYEQGKSMKPLYTAALKDELVKPEKVYQKIKKRLLWGADLSTVIR -AARAFGPFCDAIKSHVIKLPIKVGMNSIEDGPLIYAEHSRYKNHFDADYSAWDSTQNRQIMTESFSIMCR -LTASPELASVVAKDLLAPSEMDVGDYIIRVKEGLPSGFPCTSQVNSINHWLITLCALSEVTGLSPDVIQS -QSYFSFYGDDEIVSTDIDFDPARLTQVLKEYGLRPTRPDKSEGPIIMRRQIDGLVFLRRTISKDAAGFQG -RLDRASIERQLWWTRGPNHDDPSETLIPHPQRKVQLISLLGEASLHGEKFYRKIASRVIQEIKTGGLEMY -VPGWQAMFRWMRFHDLGLWTGDRNLLPEFVNDDGV - ->YP_009701445.1 nonstructural polyprotein [Norovirus GII] -MKMASNDAATATAGTTSSNSEIHNKDVSNTQNIFANMTVGIKRALGARPKQPPPKPPSTKKEDKTTLPPI -PPPPPNGEDIVITYNKQDDKVSGVPIVSTVETSPLHDTAYSVPPLDQREKVDAKEPLTGSILEMWDGEIY -HYGLYVEKGLVLGVHKPPSALSLARIELTPLSLYWRTVYTPPYLVAPDTLKKLHGESFPYTAFDNNCYTF -CCWVLDLNDSWLNRKCVSRTTGFYRPYQDWNRKPLPTMDESKLKKVANVFLCGLSSLFTRPIKDLVGRLK -PLNILNIVTNCDWTFPGVVEALILLAELFGIFWTPPDISAFVASLLGDYEMQGPEDIATEIVPVVLGGIG -MVLGFTKERIGRLLSSAASSLRACKEIGNYGIEVVKLVMKWFFPTKNEVNEMEMVRAIEDAVLDFEAIEN -NHMTALLKDKNSLATFLRALDMEEEKARKLSTKSASPDIIGTINALLARLAAARSLVHRAKEEMSSRMRP -VVIMISGRPGIGKTHLARDLAKKMAATLSGDQRVGLVPRNGVDHWDAYKGERIVLWDDYGMSNPVSDALR -LQELADTCPLTLNCDRIENKGKVFDSDTIIITTNLSNPAPLDYVNFEACSRRIDFLVYADSPAVDKAKRD -FPGQPDMWKDAFKSDFSHIKLQLAPQGGFDKNGNTPHGKGVMKTLTTHSLIARATGLLHERLDEFDLQGP -ELPIYNFDQNKVASFRKLAADNKYGLMETIKAGNKLKEVKTLDQFKEAVRDIRIKPCRIIYNSTAYDLES -NGSGKVTVKKVGDQKVQANNELYSALNNLRRARIRYYVKCFQEMIYSLLQIAGAAFVTSRMTQRMNVGKL -WTKPERTMKAPTEPPAPPIDDWTINPVDTIPEGKKGKNKSGRGKKHTAFSSKGLSDEEYDEYKRIREERQ -GRYSIEEYLQDRDRYYEELAIAKATEENFCEEEEVKIRQRIFRPTKKQRREERATLGLVTGSEIRKRNPD -DFKPKGKLWADDDRSVNYNERIDFEAPPSLWSRIVNFGSGWGFWVSSNLFITSTHVVPPGMTEAFGVPTS -QIQIHRSGEFCKMRFPKAIRPDVSGMILEEGAPEGTVVTILIKRTTGELMPLAARMGTHATMKIQGRMIG -GQMGMLLTGSNAKNMDLGTVPGDCGCPYVYKRGNDWVVIGVHTAAARGGNTVICATQGPDGEATLEGGDN -HGTYCGAPIMGPGNAPKLSTKTKFWRSSNAPLPPGTYEPAYLGGRDPRVKGGPSLQQVMRDQLKPFTAPR -GKPPRPAVLEAAKETICSVLEQTIEPPQKWSYAQACASLDKTTSSGYPHHKKKNDNWNGESFTGVLADQA -SKANLMFEQGKHMQPVYTAALKDELVKTSKIYETIKKRLLWGSDLGTMIRCARSFGGLMDEMKANCVRLP -IRVGMNMNEDGPIIFEKHAKYRYHYDADYSRWDSTQQRHILGVALDIMVKFSAEPELSQVVSEDLLSPSV -VDVGDFKITINEGLPSGVPCTSQWNSIAHWLLTLCAMSEVSGLSPDVVQSNSCFSFYGDDEIVSTDIKLD -PQKLTDKLREYGLIPTRPDKTEGPLIIRESLEGLTFLRRDICRDPVGWYGKLDQSSIMRQLYWTKGPNHE -DPNETMIPHSQRPIQLMSLLGEAALHGPTFYKKVSKLVITELKEGGMDFYVPRQEPMYRWMRFSDLSTWE -GDRNLAPEGVNEDGVE - ->YP_009552830.1 polyprotein [Marmot norovirus] -MLRTIVSDARKICGAAEAPRIVLGEAHEPQPGTLLEFDEGEMYHYGVYLSHGFIMDTTGLTSNGRTGLVV -VRKLAGPWRETPFKINCGVEELFERMPAKVDYDLLVNNCWGIWKQFIPSLPDWSERSTSLEGLGPFHTEQ -QSWVSSASRWATDDSLAEYGTNTHTLYSILKACLRFLVQVTLKKLSKVKPLNLYHLIVTTRPTFSGVLAT -LTRLFELYDVPIGDFIMAMAELQDGAGTRGWREALNMILTAFGILASFFVKKPLDWLNGALKRLLAAIKG -SKDLATAANKVANEAKKVFPRLLEDHWDADVEASKTLEEVLSDNYAGQMADPKTRQMAVQLAQKTVANLK -HHSIYATGDEGQIKEAIQRAESALTRLVLASTDMVPRKQPYVLVLAGPPGCGKTTLASFIARQVATTLST -GIFQLNSDLDHWDSYQNQGVVLWEDFGAVDHPRDCRLLQKLADTAPLTLDCDKIENKGRTFTSTVIIITT -NWQRMVPLEYAHPDAVLRRISRHLLIRSEALESWCRRGRPGSPPVQADFSHQTIVGLPAMALDWVGNTIY -GRLQPLRTDLAGVLRPLMKAALEVATFEKVGIRGALKHLGLSWWAGATLVQRLRTEEVHLSYVGPNFEVE -AQGYRAQVWSTGGQAAYRLYKGSDLLREYDPSNQSQQEPPSRVEELLSEIEAELSFPAASLLDVPRPSVQ -RLTQEAVKRSNNDHQDLVKILCEKLKITWHLVLRLALKGVHFLLGVVSVRDSTKTSDDIATMSNYREEAK -GKTKRGRGANRRVGHLGKHGYRFSAAEYDDFLARRADAKRRGVVYTLEDYLEDIGADEDEPWLAGYEGAY -TEPPRILDEYFHTVGWATVDGDRLVTAAHVAKAGHWVWKGNELNTLELTASACEYAELKAGPLRGKRVKW -LEDPPTGQLCFLDVLRDGKEVQLTARLVGSVETTVQSSKVVGFKLHLLGQATQPGDCGRLWYSRDPFGLP -VAIGIHTASIPPIGMVFCQRRMKVRLEKDEVKPPHLVKSHPLADGTHLWLTPYGAAQGTQRRSGPPALGR -HDPRCTQSLMELAMSGLEPFLGPDLAQEHRLAPVVTVQVFLRLKNLVGICTTWDDETAFQHLEKNTSSGY -PNYTNKDPKLPHIANTRRRVDEFMAGNGSLHDPVYTAALKDEPYLLEKVAIGKRRLLFCSPFETTMACAR -MFGPLCESLKRVRLRWPGLVGMKPAAEWSFLVHHLELKDHLVYCADYSRWDSTLPNWLLRRALWVLAKLV -AHPKALFLAHQLAEPRWVICGSRKFLVRKGLPSGIPMTSILNCVAHWIASAISLVESHALDPGVAVRHPL -AVYGDDEVVALRPDFSEAYFDTMRSMGFRPTGPDKGPSVPGVNAVELEFLSRRTRLQSGILVGALKEESI -RRQLSLTRGPKHTDPTEVRMPHAEYVTQLHCALGEASLHGRSFFSKFCDEVAEACRLASIDFDFWTFQAY -FSWVQDTAMELEGKTERDGRQVPAGAVLAEDPTPAPLPENTGGLALAPTINPIDPYIFETFVEVPAGTFT -IGPDTTTNQVLLELQVGPGLNMYLNHLWQMYAGWSGGFEVQIQVAGNAFVSGKLIFAVIPPGTPLPGNST -QASGFPHVILDLRVADSICLQVPDVKNISFHLHGVPNTNARLMAMAYTQMRATAPTAEFQVEVRVLSRPL -PDFAFTMVVPPTQEAELTSWKVPTRPVSLMTNPRYPIGPIFALVADPSVTQVYQQLGRYSFEDGGPLGTS -TIGPAQRWPVVVQFVSSSAGFEFMQGDDYDDPFIIGSQPTTTGRWDFGSSTRAILAEYNHQTGVIGSGSL -ANFGPQQSYGPVLRPSASVTGTEGQLFIMQVLSVSSDNVNTSPQPAWNWPDAVAPVVVPNPAECLLLFHS -RAPAVSGSANVEVSCLMTPELFASYLETRDPWPAGSCALLSYVLDGLPVLECKVYPAGFMTCPATTVTRR -WDAGGYFTFLRWVNWNYVMTPVRAANSRSWL - ->YP_009555232.1 nonstructural polyprotein [Norovirus GII] -MKMASNDATTAFGSQNSVNDSINTAPSNKEEVGAFSNIKVGFKKMLGAVPKGTKAPSSDQHCPTVKIGTK -TLTVPPEPPNGEDTVQFDAKSETVRGLPDLTTVQNEHENTPYTVPPLSEREHRPATEPLPGTILEMWDGE -FYHYSVYVSGGKALGVHKPPAAISLATIELTPISLYWRPVYTPNYLVHPDTLKGLAGEKFPYTAFSNNCY -NFCCWVLELNDTWLSRRSISRTTGFFKPYQSWNRKPLPTVDDGKIKKVANAILCALGSLFSKPIKDLLGK -LKPLNLLHLLASCDWTFAGIVETVILMAELFNIFWTPPDVSSFIASLIGDFELQGPEDLAVELVPVVMGG -IGMVLGFTAEKIGRMLSSAASTLRACKDLGNYALDILKLVMKWFFPKKEEKAEMETLRAIEDAVLDMEAI -GNNHLTTLLKDKDSLTAFMKTLDLEEEKARKLSTKSSSPDIVGTINAILARIAAARSLLHKAKEEMFSRI -RPVVVMISGRPGIGKTHMARHLAKSIANTMSGDQRVGLVPRNGVDHWDAYRGERVVLWDDYGMGNPVKDA -LTLQELADTCPVTLNCDRIENKGKMFDSDVIIITTNLVNPAPLDYVNFEACSRRVDFLVYAESPEIEKVK -RDFPGQPDMWKDHFKPDFSHIKLTLAPQGGFDKNGNTPHGKGTMRSLTQGSLTARVAGLVHERRDEFQLQ -GNDLQTYNFDTNRVSAFRKLAADNKYGIMETMRVGTALKSVKTLEDLKVALRDVKFNECEIIYRNSKYRV -SSNGKGSVSVDKVEDQASQTANEVGAALLRLRQARARYYVSCFQDLIYTLIQVAGASFVVNRISKRFCWE -RWVKPTETQETSEPEKEVAQGRWEVEPKDIETEGKKGKNKKGRGKKHTAFSSKGLSDEEYDEFKRIREER -NGKYSIEEYLQDRDRYYEEVAVARATEEDFCEEEEAKIRQRIFRPTKKQRKEERGVLGLVTGSDIRKRRP -DDFQPKGNLWADDTRSVDYNERLDFEAPPSVWSRIVPLGTGWGFWVSSNLLITTTHVLPKGIKELFGVEI -KQIQIHKSGEFCRFRFPRPIRPDVTGLVLEEGAPEGTVCSILVKRPTGEMIPLAVRMGTHASMKIQGRTV -GGQMGMLLTGANAKNMDLGTGPGDCGCPYIYKRGNDIVVAGVHTAAARGGNTVICATQGQDGEAVLEGNE -NLGTYCGAPILGPGKAPKLSTKTKFWRSSPDALPPGTYEPAYLGGKDPRVEKGPSLQQVMRDQLKPFTEP -RGKPPRPAVLEEAKKTVMNVLEQTIDPAKPWTYSQACASLDKTTSSGSPHHVKKNDHWNGESFTGPLADQ -ASKANLMYEQAKHVQPVYTAALKDELVKTDKIYKKIKKRLLWGSDLGTMIRCARAFGGLMDSMKASCIAL -PCRVGMNMNEDGPIIFDKHSKYRYHYDADYSRWDSTQQRSILSAAMEVMVRFSAEPELAQVVAEDLLAPS -QLDVGDFVISVQEGLPSGVPCTSQWNSIAHWILTLSAMAEVSGLSPDVVQAHSCFSFYGDDEIVSTDINL -DPMKLTQKLREYGLVPTRPDKTEGPLVITEDLTGLTFLRRSIARDPAGWFGKLDQDSILRQLYWTRGPNH -ENPYESMVPHSQRATQLMALLGEASLHGPQFYKKVSKMVINEIKSGGLEFYVPRQEAMFRWMRFSDLSTW -EGDRNLAPEGVNEDGVE - ->YP_009518841.1 nonstructural polyprotein [Norovirus GII] -MKMASNDATVAVACNNNNDKEKSSGEGLFTNMSSTLKKALGARPKQPASRDESQKPPRPPTPELVKRIPP -PPPNGEEEEEPVIRYEVKSGISGLPELTTVPQPDVANTAFSVPPLSLRENREAKEPLTGAILEMWDGEIY -HYGLYVEKGLVLGVHKPPAAISMARVELTPLSLYWRVVYTPQYLISPETLRRLNGEAFPYTAFDNNCYAF -CCWVLDLNDSWLSRRMVQRTTGFFRPYQEWNRKPLPTMDDSKIKKVANIFLCSLSTLFTRPIKDLIGKIK -PLNILNILATCDWTFAGIVESLILLAELFGVFWTPPDVSAMIAPLLGDYELQGPEDLAVELVPVVMGGIG -LVLGFTKEKIGKMLSSAASTLRACKDLGAYGLEILKLVMKWFFPKKEEANELAMVRAIEDAVLDLEAIEN -NHMTTLLKDKDSLATYMKTLDMEEEKARRLSTKSASPDIVGTINALLARIAAARSLVHRAKEELSSRIRP -VVVMISGKPGIGKTHLAREVARKVASTLTGDQRVGLIPRNGVDHWDAYKGERVVLWDDYGMSNPIHDALR -IQELADTCPLTLNCDRIENKGKVFDSEVIIITTNLANPAPLDYVNFEACSRRIDFLVYAEAPEVEKAKRD -FPGQPDMWKDAFKPDFSHIKLQLAPQGGFDKNGNTPHGKGVMKTLTTGSLIARASGLLHERMDEFELQGP -TITTFNFDRNRITAFRQLAAENKYGLVDTMKVGNQLKGVKTMEELKQAIRNVTIKRCRIIYGGSTYDLES -DGKGKVLVEKVKNTSVQTNNELAGALHHLKHARIRYYVKCVQEAVYSIIQIAGAAFVTTRIARRMNIQEL -WSKPQLDQNESETKEEAPKSEDDEFIISSKDIKEEGKKGKNKTGRGKKHTAFSSKGLSDEEYDEYKRIRE -ERNGKYSIEEYLQDRDRYYEELAIAKATEEDFCEEEEIKIRQRIFRPTRKQRKEERATLGLVTGSEIRKR -NPDDFKPKGKLWADDNRSVDYNEKLDFEAPPSIWSRIVSFGSGWGFWVSPSLFITSTHVIPAGITEAFGV -PIKQIQIHKSGEFCRFRFPKPIRPDVTGMILEEGAPEGTVATVLIKRPTGELMPLAARMGTHATMKIQGR -MVGGQMGMLLTGSNAKGMDLGTTPGDCGCPYIYKRGNDYIVIGVHTAAARGGNTVICATQGSEGEATLEG -GDDKGTYCGAPILGPGGAPKLSTKTKFWRSSNTPLPPGTYEPAYLGGRDPRVKGGPSLQQVMRDQLKPFT -EPRGKPPRPSVLEAAKQTIINVLEQTLDPPQKWTYAQACASLDKTTSSGHPHHVRKNEFWNGETFTGKLA -DQASKANLMFEEGKHMTPVYTAALKDELVKTEKIYGKIKKRLLWGSDLSTMIRCARSFGGLMDEMKAHCI -SLPVRVGMNMNEDGPIIFEKHSRYKYHYDADYSRWDSTQQRAVLAAALEIMVRFSAEPQLAQIVAEDLLA -PSVVDVGDFKITINEGLPSGVPCTSQWNSIAHWLLTLCALSEVTKLSPDIIQANSMFSFYGDDEIVSTDI -KLDPEQLTAKLKEYGLKPTRPDKTEGPLIISEDLNGLTFLRRTVTRDPAGWFGKLDQSSILRQMYWTRGP -NHEDPNETMIPHSQRPIQLMALLGEASLHGPSFYSKISKLVITELKEGGMDFYVPRQEPMFRWMRFSDLS -TWEGDRNLAPNFVNEDGVE - ->YP_009679040.1 ORF1 polyprotein [Norovirus dog/GVI.1/HKU_Ca026F/2007/HKG] -MMMASNDVTPCGNEIPKTEINNQSSGRIDRQAETRTTTGTQDGAPPAPAGGFFTRLKDRVKQPKAPPPQP -PGHETQRQEEPVVTYEVRTEAVRGVPDVKAADLPPGYVVPPHLPPIKLRESQDAPEPPTGAILEMWDGAY -YHYGVYVEKGLVLGIHNPPAALSEATVELIPISLFFRVVHVPSNRPSIRALKRLQGEKYPYNALTHNCYT -FCCELLELDDDWEARRLVQRTTGFYDPNQRWNDKPVPLVADSRVVKIADALLAALAGVAAQPIRDIVNKL -KPLNVLHILSSCDWTLSGVVEMVILLAELFGIFWTPPDVTPLIVSLLDDWEMQGPEDLAAELVPIVLGGI -GLVVGFTQEKVGRLVTTAVSTLKSCKDLGLYGLEIVKLIAKWFFPRNTPGESDQLHNIENAVLDLEAIES -NNLTSLLKDKALMTTFIRTLDAEEEKARKLSSKAASPDVVGSVNALLSRIATTRSLIHKAKEELSTRPRP -VVVMVSGRPGIGKTHLARTLAQKVAPQIGGDQRVGLVPREVDHWDAYRGENVVLWDDYGMANIIKDALKL -QELADTCPVTLNCDRIENKGKMFDSDVIIVTTNAPNPAPMDYVNMEAVCRRVDFLIYADSPSVEQAKRNN -PGDPNAWKPHFKGDHSHLNLQLAPQGGFDKSGNTPHGKGPVQKISLPSLIARVAALVHERRDDFQLQGPV -KTYNFDSGRVSAFRQLAKDNGYSLVETMKVGSALKDVTDMEGLMRGLQGVRVSPCQIVYRGTTYSLHSGS -DGVKIKPIVSQRTQAESAVHTAVLRLKRARVRYYIRALQDIISTLLQMAGSAFVINRVLKRVGESLTWVK -GERSRSPPPVRTPDCKWVIEPEDFDDEGKKGKNKKGRGRKHTAFSSKGLSDEEYDEYKKIREERGGKYSI -QEYLEDRNRYEEEVTLAQANEENFTENDAAKIRQRIFRPTRRQRKEEQRNLGLVTGSEIRKRKADDFKPS -GKLWADDQREVDYGEKIDFEAPPSIWSRIVPFGTGWGFWVSPTLLITATHVVPNSKEFFGVPVEQIHVHR -SGEFTRMRFSKPVRTDLSGMELEEGAPEGTVCSVLVKRNSGEMLPLAVRMGSHATMKVQGKVIGGQLGML -LTGANAKNMDLGTTPGDCGSPYIYKRGNEYVVIGVHAAAARGGNTVIAAVQSGEGEATLEGANDKGTYCG -APIVAPGGAPQLSTKTKFWRSSTCDLPPGTFEPAYLGGRDPRVESGPSLQQVLREQLKPFTAPRGRPPNP -SLLEQATKTIENVLDQTLDTVKEWTFNQACESLDKTTSSGWPHHVQKNQHWNGQHFTGPLADQASKANLM -YEQGKNMLPVYTAALKDELVKPSKVYGEPKKKRLLWGADLGTMVRCARAWGGLLDELKANCVNLPVRVGI -NINEDGPIIFERHARYKHHIDADYSAWDSTQQRVVMEAALKIMNKFSPRPDLAAVVAQDLLAPSQLDVGD -FVVRVSEGLPSGVPCTSQLNSIMHWLLTLVALSEATGLNPDVVQANSCFSFYGDDEIVSTDLDVDVEVFT -LKLKAMGLRPTPPDKSDGPLRVHTKLEGLSFLRRTIRRDQAGFFGALDAASIERQLYWTRGPNHQDPQET -MVPHSNRCIQLMELLGEAALHGKKFYTKISRMVIQEAREGGMDFYVPRFEPMFRWMRFSDLDSWEGDRNL -APEFVNDDGVE - ->YP_009518835.1 nonstructural polyprotein [Norovirus GII.17] -MKMASNDASAAVAGKNNNNDKEKSSSDSLFANMSVTFKKALGARSKQPPPGETKQIQKPPRPPTPELVKR -IPPPPPNGEDEPGIVYKVGEGVSGLPDLTTVVQPDAQNTAYSVPPLSQREVGEAKEPLPGSILEMWDGEI -YHYGLYVERGHVLGVHKPPAAISLAKIEITPLSLYWRVVYTPQYLIDPGTLKNLSGETFPYTAFDNNCYA -FCCWVLDLNDSWLSRRMIQRTTGFFKPYQDWNRKPLPTMDEPKIKKAANAVLCALSSLFTRPIKDIIGKL -RPLNILNILATCDWTFAGIVESLILLAELFGVFWTPPDVSAMIAPLLGDYEMQGPEDLAVELVPVVMGGI -GLVLGFTKEKIGKMLSSAATTLRACKDLGSYGLEILKLVMKWFFPKKEEANELAMVRAIEDAVLDLEAIE -NNHMTTLLKDKDSLATYMRTLDLEEEKARKLSTKSASPDIVGTINALLARIAAARSLVHKAKEELSSRQR -PVVVMISGRPGIGKTHLARELAKKVASTLSGDQRIGLVPRNGVDHWDAYKGERVVLWDDYGMSNPIQDAL -RLQELADTCPLTLNCDRIENKGKVFDSDAIIITTNLANPAPLDYVNFEACSRRIDFLVYADAPDIEKAKR -DFPGQPDMWKDHFRPDFSHIKLQLAPQGGFDKNGNTPHGKGVVKSLTIGSLIARASGLLHERMDEFELQG -SDLPTFNFDRNKVAAFRQLAAENKYGLMDTLRVGNQLKSVKTLDELKQAIKNISIKKCQIVYNGCTYTME -SDGRGKVVVEKVQNATVQTNNELVGALHHLRSARIRYYVKCFQEAIYSLLQIAGAAFVTSRIVRRMNISN -LWSKPPIEEGDEPEDRGGCPKPRDEDDLTIDSRDIKVEGKKGKNKSGRGKKHTAFSSKGLSDEEYDEYKR -IREERNGKYSIEEYLQDRDRYYEELAIAKATEEDFCEEEEIKIRQRIFRPTRKQRKEERATLGLVTGSEI -RKRNPDDFKPKGKLWADDNRSVDYNERIDFEAPPSVWSRIVNFGTGWGFWVSPSLFITSTHVIPKGITEA -FGVPINQIQIHKSGEFCRLRFPKPIRPDVSGMILEEGAPEGTVVSILIKRTTGELMPLAVRMGTHATMKI -QGRTVGGQMGMLLTGSNAKSMDLGTTPGDCGCPYIYKRGNDLVVIGVHTAAARGGNTVICATQGSEGEAT -LEGSDNKGTYCGAPILGPGNAPKLSTKTKFWRSSNAPLPPGTYEPAYLGGRDPRVKGGPSLQQVMRDQLK -PFTEPRGKPPNPNVLESAKKTIINVLEQTIDPPQKWSYAQACASLDKTTSSGYPHHVRKNDYWSGESFTG -KLADQASKANLMYEEGKHMQPVYTAALKDELVKTDKIYGKIKKRLLWGSDLSTMIRCARAFGGLMDEFKA -NCITLPIRVGMNMNEDGPIIFEKHSRYRYHYDADYSRWDSTQQRAVLEAALEIMVRFSAEPQLAQIVAED -LLSPSVVDVGDFKIAINEGLPSGVPCTSQWNSIAHWLLTLCALSEVTGLGPDIIQANSMYSFYGDDEIVS -TDIKLDPEKLTAKLKEYGLKPTRPDKTEGPLVISEDLNGLTFLRRTVTRDPAGWFGKLDQNSILRQLYWT -RGPNHEDPSETMIPHAQRPVQLMALLGESSLHGPSFYSKVSKLVISELKEGGMDFYVPRQESMSRWMRFS -DLSTWEGDRNLAPSFVNEDGVE - ->YP_009237903.1 nonstructural polyprotein [Norovirus GIV] -MKMASNDASVANSNSKTIAANNTTTPKQGGVFANMKIGLKKVLEPKSETPTVRPKDVGKPGGTSPPDDPP -GVTIKYDAQSDTIEGLPNLSTVPQPEARQVKCVPPMAEREVKNAAEPQTGSLLEMYDGSFYHYAIYIENG -LVAGINRPSKALTTATVDVEPIGLWWRVVYTPPFSVSTSALYHLQGEKFPYNAFDNNCYNFCCQVLELDD -CWMRRKFVQRTTGFFDPYQRWNPKPSQYVADSKLERVGDALLTALGALFSKPIKNIIGKLKPLNFLNLLS -SCDWTFPSIVETIILIAELFDVYWEPPDVTGFLMPLLDDYEFQGPEDLAAEIVPLILGGIGMVVGFTAEK -AGKLLSSAAATLRATRELGNYGLEIVKLVMKWFFPKKESDMNAMVRNIEDAVLDLEAVESNHITHLLKDK -ENMAVFLRTLDLEEEKARKLSTKAASPNIIASVNALLARIAAVRSLAFKAKEEMCARQRPVVVMLSGRPG -IGKTHYARELASRISKLLSSDGRVGLVPRNGVDHWDSYRGEPVVVWDDYGMGNIIKDAMMLQELADTCPL -TLNCDRIENRGKMFESDVIVLTTNSPNPSPMDYVNMEAVARRVDFLVYAESPDVEKAKRDFPGDPKAWKP -FFKDDHSHLVLTLAPQGGFDKSGNTPHGKGMTRNITPNGLVARAVALAVERKDEFQLQGPDPITYNFDSS -QVAAFRKLAADNKYGLAETLRVGNKLRNVTTIEGFKKAVGDVRFKKCRIIWKGVTYDLESDGKGSVTIDR -VQSQMVQTTGEIHQAVLRLRQARVRYYVMTAQNVTYGLLQAAGAAFVLNRIFRRAENPFSRLVKVEEDKD -EDARMAIIPKKVEIVESNLEEEGKKKGKNKQGRGRKHTAFSSKGLSDEEYEEFKQLREEKGGKYSIQEYL -EDRDRFEEEVAYAQACGGDCDDIEISRIRNSIFRPSRKQRKEERVKLGLVTGSEIRKRKPDDFQPKGKLW -ADDERTVDYNEKLDFEAPASIWARIVQLGTGWGFWVSPNLLITSTHVIPKGVEELFGVPIKQVQIHRCGE -FTRLRFSKMIRPDVTGMILEDGAPEGVVCSILVKRPTGECLPLAVRMGTQATMKIQGKVVSGQLGMLLTG -SNAKNMDLGTTPGDCGCPYVYKRGNDYVVVGVHTAAGRGGNTVICAVQTGDGEAVLEGNTDNGTYCGAPI -VSKGNAPQLSSKTKFWRSSVEPLPPGTFEPAYLGGRDPRVDGGPSLYQVMRDQLKHFTAPRGRPVKPHLL -QAAVKTIENVLEQTIDPPTPWTYAQACQSLDKTTSSGWPHHVQKNTHWNGEAFTGPLADQASKANLMYEQ -GKSMTPQYTAALKDELVKPDKVYKKVKKRLLWGADLGTMVRCARAFGPFTDALKKCCTQLPVKVGLNINE -EGPIIFEKHAQYELHYDADYSRWDSTQQREVLAAALGIMTKFTAEPQLASVVAEDLISPSMLDVGDYVVQ -VNEGLPSGVPCTSQLNSIAHWIITLTSMAEATGLDPDIVQANSYFSFYGDDEIVSTDIKFNPEVLTLKLK -AIGLVPTRPDKTEGPLVVSNKLEGLTFLRRTITRDKVGFFGRLDKDSILRQMYWTKGPNHQDPSESMLPH -QNRATQLMALLGESALHGQNFYKKISGMVIKEVKNGGHEFYVPKFESMYKWMRFSDLSTWEGDRDLAPDF -VNEDGVE - ->YP_009237900.1 nonstructural polyprotein [Norovirus GIII] -MDSSNVKVTGPLAAKASDPDSTFAKLKAKFSALRNPQPPDPPEAPRLETPPMAAPPLGSPPIALPTGWEP -AEPHREQADQFPVRYSRGAVDLDVHPPHVAPGPAWNGCPLPPVEQRSTEPPAEPPVGTVLEFYEGYIFHY -AIYVGNGKTIGVHSPQMALSVPKISVQNLSAWWRVAYIPRDPPPRDQLLSLEGERWPYASVTSNCYTFCC -KVLDLDDDWLSRRLVRSGPYHHPSQPWNRAVPEFHQDGKMELVRDAILTALNALVSQPMRELINVIKPLN -VLSILSHCDWTFTGIVEAAVLLAELFGILWQPPDVASFLANLTPECVLQGPEDLAKDIIPVILGGIGLAL -GFTKDKATKLLKSAADGLRAASSLGQYGIEIFNIIKKYLFGDSTGQTLKRVENAVIDLEVLASNNITEVV -RDRQSTLAYIKTLDMEEERVRELSSRSSDPHVVSSVNALLGRIAMARSALAKAQSEMSNRVRPVVIMLSG -PPGIGKTKLAERIAHQLAKAIRPGGKVGLVPREAVDHWDGYKGQEVMLWDDYGMANIAADCNKLQAIADT -APVSLNCDQIENKGMTFTPEAIVITTNAPGPAPLDHMNLGPVCRRVDFLVYCNSQDIENHRRQKPGDTQG -LNQLFKKDFTHLQLQLAPQGGFDCLGNTPYGKGAMSKTSLPRLLAAATALALERLEDFQLQAPEYDFDKN -RVVAFSRMANDNGLGMLSAMRVANKLKGVTSVSELEEALAGFAIAPCTIIWRGTHYELQSDGNNLTINQL -ATPQPTVEINYALKRLAAARAVTYWSLAANLVTTAIQAASSALVIHRAVQRIRAPSTQLESHNCRYHRAE -QENRTVGGVGVIGHKGMVDKYGLCESDTEMPAPQEGKEKKAQAPTQLESKKGRRKVNAFSRRGLSDEEYD -EYKKIREERGGNYSIQEYLEDRERYERELAERQADDDSYDDSSIRQKYFGRGKAAKAQRRKIDWNPTGPS -WADDEREVDYNEVIEFQAPPSIWSRIQRFGSGWGCWVSPTLFITATHVIPEGCTEAFGVPVSEIAVSSHG -EFTQFRFPRPIRPDVSGLVLEEGAPEGTVASVLIKRPTGELIPLAVRMSTTTSTKVQGKVINGQTGMLLT -GSNAKGMDLGTLPGDCGCPYFFKRGNDWVVFGVHAAATRSGNTIICAIPNSQESVALEGGHGTYVGHPII -GQGSAPKLSTKTKFWRSSPAQLPPGTYEPAYLGGKDPRVQGGPSLQQVMRDQLAQFSAPRGKLPRPELLK -AAVETVTNCLEQVMDQPKPWSYQDACMSLDKTTSSGYPHYKRKNDDWNGTAFIGALGEQANHANNMYRQG -KSQRPRYTAALKDELVAPRKIYEVQKKRLLWGADLSTVIRAAMAFGPFCDAIKEHVVELPIKIGMNAIED -GPVIYQRHSNYKYSFDADYSAWDSTQQREIMRLSLDIMTKLTAEPELARVVAEDLLKPSHLDVGDFLVAV -HEGLPSGFPCTSQVNSINHWILTLCALSEVTNLDPDVVQANSYFSFYGDDEIVSTDLEFDPDKLTKVLKG -YGLKPTRPDKAEGPIELRRQVDGLVFLRRTISHDAKGFQGRLDRESILRQLYWTRGPNHDDPSETLVPHS -NRKVQLLCLLGEAALHGEKFYRRVGSMVTAEAKEGGMEIFVPSHRSMFAWMRFHDLSLWEGSRDTLPDFV -NEDD - ->YP_009679037.1 polyprotein [Norovirus GII/Hu/JP/2011/GII/Yuzawa/Gira2HS] -MKMASNDAIVAVAGKNHNDNENQNKDGILSNMAVTFKKALGARPKRPPPNTQPLRPTTPELVAQIPPPPP -NGEDETTVKYEVDKGITGLPELTTVTQPAENNTAFSVPPLSQREKNDAKEPLPGTILEMWDGEIYHYGLY -VEKGLVLGVHKPPAAISMAKVELTPLSLYWRPVYTPQYLVSPETLRKLSGESFPYIAFDNNCYTFCCWVL -DLNDSWLSRRMIQRTTGFFRPYQDWNRKPLPTMDDSKIKKVANMFLCSLSTLFTRPIKDILGKVKPLNIL -NILATCDWTFPGIVESLILLAELFGLYWTPPDVSAMIAPLLGEYELQGPEDIAVELVPVVMGGIGLVLGF -TREKIGKMLSSAASTLRACKDLGAYGLEILKLVMKWFFPKKDEANELAMVRAIEDAVLDLEAIENNHMTA -LLKDKDSLSTYMRTLDLEEEKARKLSTKSASPDIVGTINALLARIAAARSLVHRAKEELSSRPRPVVLMI -SGRPGIGKTHVAREIAKRVASSLTGDQRIGLVPRNGVDHWDAYKGERVVLWDDYGMSNTIQDALRLQELA -DTCPLTLNCDRIENKGKLFDSDVIIITTNLANPAPLDYVNFEACSRRIDFLVYADAPEVEKAKRDFPGQP -DMWKDAFRQDFSHIKLQLAPQGGFDKNGNTPHGKGLMKTLTLSSLVARASGLLHERLDEFELQGPSITTF -NFDRNKVLAFRQLAAENKYGLVETMRVGNHLKGVKTMEELKQAIKGVTIKRCQIIYGGSTYTLESDGQGR -VLVDKVISTTVQTNNELAGVLSHLRNARIRYYVKCVQETLYSILQIAGAAFVTTRIAKRMNISNLWSKPL -REEDVQEEVRKPEPKCDEEFIVVSEEIKTEGKKGKNKTGRGKKHTAFSSKGLSDEEYEEYKRIREERNGK -YSIEEYLQDRDKYYEELAIARATEEDFCEEEEIKIRQRIFRPTRKQRKEERANLGLVTGSEIRKRNPDDF -KPKGDLWADDTRSVNYNEKIDFEAPPSIWSRIVNFGSGWGFWVSPSLFITSTHVIPPGITEAFGVPIKQI -QIHKSGEFCRLRFPKPIRTDVTGMILEEGAPEGTVASLLIKRPTGELMPLAARMGTHATMRIQGRMVGGQ -MGMLLTGSNAKGMDLGTTPGDCGCPYIYKRGNDYVVIGVHTAAARGGNTVICATQGVEGEATLEGGDGLG -TYCGAPILGPGNAPKLSTKTKFWRSSNAPLPPGTYEPAYLGGRDPRVKGGPSLQQVMREQLKPFTEPRGK -PPKPSVLEAAKNTIINVLEQTIDPPPKWSYAQACASLDKTTSSGYPHHVKKNDYWNGESFTGKLADQGSK -ANLMYEEGKHMQPVYTAALKDELVKTEKIYDKIKKRLLWGSDLSTMIRCARAFGGLMDEMKAHCTTLPVR -VGMNMNEDGPVIFEKHSRYKCHYDADYSRWDSTQQRAVLAAALEIMVKFSAEPQLAQIVAEDLLAPSVVD -VGDFKVSINEGLPSGVPCTSQWNSISHWLLTLCALSEVTNLSPDVVQANSMFSFYGDDEIVSTDIKVDPA -KLTAKLKEYGLRPTRPDKTEGPLVLSENLAGLTFLRRSITRDPAGWFGKLDQSSILRQMYWTKGPNHDDP -NETMVPHSQRPVQLMALLGEAALHGPSFYSKISKLVITELKEGGMDFYVPRQEPMFRWMRFSDLSTWEGD -RNLAPSFVNEDGV - ->YP_009679034.1 polyprotein [Norovirus GII/Hu/JP/2007/GII.P15_GII.15/Sapporo/HK299] -MRMASSDVATAFGSQKATNDSYNTPPPNKEEASVLTNVKVGFKKILGAVPKASRQHGNTQKPSTVEIDGV -AMRVPSPPPNGEDAVHFVKETETIKGLPDLSTVENESEHSPYTVPPLSEREHRPATEPLPGTILEMWDGE -FYHYSIYVDNGKALGVHKPPAAISLATIDLTPISLYWRPVYTPPYLVHPETLKGLAGEKFPYTAFNNNCY -NFCCWVLDLNDSWLNRRSINRTTGFFKPYQAWNRKPLPTVDDGKIKKVANAVLCALGSLFSKPIKDLLGK -LKPLNLLNLLASCDWTFAGVVETVILAAELFNVFWTPPDVSNFIASIIGDFEMQGPENLAVELVPVIMGG -IGMVLGFTAEKIGRMLSSAASTLRACKDLGNYALDILKLVMKWFFPKKEEKAEMETLRAIEDAVLDMEAI -GNNHLTTLLKDKDSLNAYMKTLDMEEEKARKLSTKSSSPDIVGTINAILARIAAARSLLHKAKEEMFSRA -RPVVVMVSGRPGIGKTYLARQLAKSIASSMSGDQRVGLVPRNGVDHWDAYRGERVVLWDDYGMGNTIKDA -LTLQELADTCPVTLNCDRIENKGKMFDSDVIIITTNLVNPAPLDYVNFEACCRRIDFLVYAEAPDIEKVK -KDFPGQPDMWRDHFKADSSHIKLSLAPQGGFDKNGNTPHGKGTMKTLTLHSLTARVMGLVCERKDEFQLQ -GDELQVYNFDTNKVSAFRKLAADNKYSFVETMKVGAVLKNVRTLEDLKSALKGVKFKECEIIYKGAKYRV -ASDGCGSVSVSKIKDVASQTANEVHTALLRLRQARARYYISCFQDLIYTLIQVAGASFVVHRISKRFSWD -RWIKPSESPQEEEQPSSEEATGRWEIEPKDTDPEGKKGKNKKGRGRKHTAFSSKGLSDEEYDEFKRIREE -KNGKYSIEEYLQDRDRFYEEVAVARATEEDFCEEEVAKIRQRIFRPTKKQRKEERGVLGLVTGSEIRKRR -PDDFQPKGNLWADDTRSVDYNEKLEFEAPPSVWSRIVPLGTGWGFWVSSNLLISLERVLPKGVSELFGVD -IKQIQIHKSGEFCRFRFPRPIRPDVTGLILEEGAPEGTVCSILVKRPTGEMIPLAVRMGTHASMKIQGRT -VGGQMGMLLTGANAKNMDLGTNPGDCGCPYIFKRGNDIVVAGVHTAAARGGNTVICATQGADGEAVLEGG -EDKGTYCGAPILGLGKAPKLCTKTKFWRSSPDALPPGTYEPAYLGGKDPRVEKGPSLQQVMREQLKPFTE -PRGKPPKPSVLDEAKKTVMNVLEQTINPAKPWSYSQACASLDKTTSSGSPHHLRKNDHWNGESFTGPLAD -QASKANLMYEQAKHVSPVYTAALKDELVKTDKIYNKIKKRLLWGSDLGTMVRCARAFGGLMDSMKESCVM -LPCRVGMNMNEDGPIIFDKHAKYKYHYDADYSRWDSTQQRCILSAAMEVMVKFSAEPELAQVVAEDLLAP -SQLDVGDFVVSVQEGLPSGVPCTSQWNSIAHWIITLSAMSEVSGLSPDVIQAHSCFSFYGDDEIVSTDIN -LDPAKLTLKLREYGLVPTRPDKTEGPLVITENLHGLTFLRRHITRDPAGWFGKLDQDSILRQLYWTRGPN -HENPYESMVPHSQRATQLMALLGEASLHGPQFYKKVSKMVINEIKSGGLEFYVPRQEAMFRWMRFSDLST -WEGDRNLAPDGVNEDGVE - ->YP_009538340.1 nonstructural polyprotein [Norovirus GI/Hu/JP/2007/GI.P3_GI.3/Shimizu/KK2866] -MMMASNDAIAVADVSNNNANTNNDNIGSRLMARIRGRIGPQRGETTTKIKDANMALDLLRRSQTPSPSRQ -ESPPKSQRDRPPRTASEVKKVMGWDAEPEHQESTAKAWCDLAQEEKEEIMRNNEKLFDAGGITPSTLPST -FERADPIDSPSEQQPVIWSASGGVDIGVNDLTTVRGPFWNLCPLPPLDARNNGPAKEPLIGDMIEFYEGH -IFHYAIYIGQGKTIGVHSPQAAFSIPRITIHPLVAWWRVCYVPTNQQRLTYDQLKELENEPWPYASITNN -CYEFCCRVMALDDTWLERRLVSTGKFNHPTQDWSQDTPDFHQDSKLEVVRDAVLSAINGLVSQPFKNILS -KIKPLNVLNLLSNCDWTFMGVVELIVLLAELFDVFWTPPDVSSFIASLLPEFHLQGPEDLAKDLVPLILG -GIGLAIGFTRDKVTRVMKSAVDGLRSATQLGQYGLEIFSIIKKYFFGGDQAEKTLRGIEDAVIDMEVLSS -TNVTQLVKDKKLARTYMNVLDNEEEKARKLSVRSADPHIVTSVNNLISRISMARSALAKAQAEMTCRPRP -VVIMMCGPPGIGKTKAAEHLAGRLANEIRPGGKVGLVPRESIDHWDGYHGEDVLLWDDYGMSKITEDCNK -LQAIDDTAPLSVNCDRIENKGMQFSSDAIIITTNAPGPAPVDFVNLGPVCRRVDFLVYCSAPEIEQMRRT -HPGDANAIKDLYKRDYSHLKMELAPQGGFDSQGNTPFGKGVMKPTTLNRLLIQATALAMERQDEFQLQGA -IYNFDEDRVSAFTSLARANGLGLLSMATLGKRLRSVKSMEGLRNALVGYKIGECDIIWNTRVYSIKSDGS -AVTIKEKQTPTSPQHQAISTATLALSRLRAARALAYASCLQSAVLSILQVAGSALVVSRAVKRMFGTRTE -QPMLEGKHKEHNCRVHRAEAAGHGPIGHDGVIERYGLCESEQEEEGEQTVELPTANKEGKNKGKTKKGRG -RKSNFNAFSRRGLSDEEYEEYKKIREEKSGNYSIQEYLEDRQRYEEELAEVQAGGDGGIGETEAEIRHRV -FYKSKSGMRKQRQEERRQLGLVSGSEIRKRKPIDWTPPKNDWSEDTRTVNYDEHISFEAPPSIWSRVVKF -GSGWGFWVSSTVFIATTHVIPPGAKEVFGEDLSNVAIHRVGEFTQFRFSKKMRPDLTGMVLEEGCPEGTV -CTIMIKRDSGELLPLAVRMGAVASMKIQGKLMHGQSGMLLTGANAKGMDLGTIPGDCGAPYVHKRGNDWV -VCGVHAAATKSGNTVVCAIQGGDGEATLEGGGQNKGHYAGHPILRYGNGPSLSTKTKFWKSTPQPLPPGT -YEPAYLGGRDPRVEGGPSLQQVLRDQLKPFAEPRGRLPEAGLLEAAVETVTNAIEQVMDTPVAWSYSDAC -MSLDKTTSSGHPHHKKKNDDWNGNSFVRELGDQAAHANSMYELGKSMKPVYTAALKDELVKPDKVYTKIK -KRLLWGADLGTVIRAARAFGPFCEAIKPHVIKLPIKVGMNAIEDGPLIYAEHSKYKFHYDADYTAWDSTQ -NREVMMESFNIMCKLTANPSLAAVVAQDLLSPSEMDVGDYVISVKDGLPSGFPCTSQVNSINHWILTLCA -LSEVTGLSPDVIQSQSYFSFYGDDEIVSTDIEFDPIRLTQILKEYGLKPTRPDKTDGPIIVRQQVDGLVF -LRRTISKDAIGYQGRLDRNSIERQLWWTRGPNHEDPFETLVPHSQRKIQLISLLGEAALHGEKFYRKIAG -RVIQEVKEGGLEIYIPGWQAMFRWMRFHDLSLWTGDRDLLPDYVNDDGV - ->YP_009305194.1 nonstructural polyprotein [Primate norovirus] -MARIRGRIGPQRGETTTKIKDANMALDLLRRSQTPSPSRQESPPKGQRDRPPRTASEVKKVMGWGVEPEQ -QESTAKAWCDLTREEKEEIMRNNEKLFDAGGITPSTLPSTFERADPVDSPSEQQPVTWSASGGVDIGVND -LTTVRGPFWNMCPLPPLDARNNGPAREPLIGDMIEFYEGHIFHYAIYIGQGKTIGVHSPQAAFSIPRITI -HPLVAWWRVCYVPTNQQRLTYDQLKELENEPWPYASITNNCYEFCCRVMALDDTWLERRLVSTGKFNHPT -QDWSLDTPDFHQDSKLEIVRDAVLSAINGLVSQPFKNILSKIKPLNVLNLLSNCDWTFMGVVELIVLLAE -LFDVFWTPPDISSFIASLLPELHLQGPEDLARDLVPLILGGIGLAIGFTRDKVTKVMKSAVDGLRSATQL -GQYGLEIFSIIKKYFFGGDQTEKTLRGIEDAVIDMEVLSSTNVTQLVKDKKLARTYMNVLDNEEEKARKL -SVRSADPHIVTSVNNLISRISMARSALAKAQAEMTSRPRPVVIMMCGPPGIGKTKAAEHLAGRLANEIRP -GGKVGLVPRESIDHWDGYHGEDVLLWDDYGMSKITEDCNKLQAIADTAPLSLNCDRIENKGMQFSSDAII -ITTNAPGRGPGGQSPLGPVCRRVDFLVYCSAPEIEQMRRTHPGDANAIKDLYKRDYSHLKMELAPQGGFD -SQGNTPFGKGTMKPTTLNRLLIQATALAMERQDEFQLQGAVYNFDEDRVSAFTSLARANGLGLLSMATLG -KRLRSVKSMEGLRNALVGYKIGECDVIWNTRVYSVKSDGSTVTIKEKQTPTSPQYQAISTATLALSRLRA -ARALAYASCLQSAVLSILQVAGSALVVSRAVKRMFGTRTEQPMLEGKHKEHNCRVHRAEAAGHGPIGHDG -IIERYGLCESEQEEEGEQTVELPTANKEGKNKGKTKKGRGRKSNFNAFSRRGLSDEEYEEYKKIREEKSG -NYSIQEYLEDRQRYEEELAEVQAGGDGGIGETEAEIRHRVFYKSKSGMRKQRQEERRQLGLVSGSEIRKR -KPIDWTPPKNDWSEDTRTVNYDEHISFEAPPSIWSRVVKFGSGWGFWVSSTVFITTTHVIPPGAKEVFGE -DLSNVAIHRVGEFTQFRFSKKMRPDLTGMVLEEGCPEGTVCTIMIKRDSGELLPLAVRMGAVASMKIQGK -LMHGQSGMLLTGANAKGMDLGTIPGDCGAPYVHKRGNDWVVCGVHAAATKSGNTVVCAIQGGDGEATLEG -GGQNKGHYAGHPILRYGSGPSLSTKTKFWKSTPQPLPPGTYEPAYLGGRDPRVEGGPSLQQVLRDQLKPF -AEPRGRLPEAGLLEAAVETVTNAIEQVMDTPVAWSYSDACMSLDKTTSSGHPHHKKKNDDWNGNSFVREL -GDQAAHANSMYELGKSMKPVYTAALKDELVKPDKVYTKIKKRLLWGADLGTVIRAARAFGPFCEAIKPHV -IKLPIKVGMNAIEDGPLIYAEHSKFKFHYDADYTAWDSTQNREIMMESFNIMCKLTANPSLAAVVAQDLL -SPSEMDVGDYVISVKDGLPSGFPCTSQVNSINHWILTLCALSEVTGLSPDVIQSQSYFSFYGDDEIVSTD -IEFDPIRLTQVLKEYGLKPTRPDKTDGPIVVKQQVDGLVFLRRTISKDAIGYQGRLDRNSIERQLWWTRG -PNHEDPFETLVPHSQRKIQLISLLGEAALHGEKFYRKIAGRVIQEVKEGGLEIYIPGWQAMFRWMRFHDL -SLWTGDRDLLPDYVNDDGV - ->YP_009666335.1 nonstructural polyprotein [Tulane virus] -MDTSIDSVLSDTSPISGADVQKLIFGNTQPVSYDRRPEPKLGQVIVLDEGDCFHYAIYIEKGLLFSTGGM -IGNGAFRLNGLTHPWGTLDLFGPEDKTFYQNKIGEKYPYSITRSNCLHSILQTIGVSYVHYKDKPLPAQF -YTHVQDWNENRYDVGGTKSGWTQQLLEIVYLIVKDVNWAKICMDFKPLNLWHNWKTMKPTFKGVLAFLTR -VAELWGINISSLITFLTSSLIPQSPFSPSFLMKVVLGALGFCTSYIFKKSLNAFSSVLRNFNKFIQNWTE -FGTHSEFGVRILETITGTIPPWKPHQESISEVLDDLTHGKVQTGDDVSTRLQRLSDTIKDLSVMACDPSA -PPEVAQAIKQANDVKRALEKTLMNTAHRRRPIVIVLAGPPGCGKTTFAKHLAQHCAKELKTGIYSHTPGV -DHWDAYDNQGVMIWEDFGATNPEEERKLLQRLADTMPVTLNCDKIENKGKFFTSTIIILTTNLEDISSGN -ARMATLRRVDFHYHVSSFAVEHWQKGGCNGPKPYKDDFSHLTIRQLPKFATNFHGNTLFGQWNPQPVSPN -TVKANTLAVWKMQSDEYPEQPVGWLMLQLGCSYFSGLKHVNDLRRGVIPRIAYTGGDIKCTVMGYKLDLY -SLDGYLTGTVEKGDTKISNEVFYDCETGHEVKVDSTPYDIRLNEWKMGVSLHWLLTKLLNFFLKANAVQD -SNDTAQALSVFEAKGKTKRGRGARKLKAKVSRRYKFSPQEYNEFLKRREDAAQRGIVYTVDDFLDDIGYN -TDEEDDEWWDPDGEFKQKHDTNYEPSDDYYDEGYVCSFVFPATSGGVKKGCATQISGSKFLTATHVAKSC -DKIRGLPFKIIKIDGELCLVDVPGVKSQTKLEVSFPAIGDVVNLCPSRGSQRPNIPVVVRSIGNTNIAGK -FLNVFTGTVVAAGKKSDGLGSEPGDCGSPYLKFVNGKPTLVGIHTAGSYTTNQVAGLVIPSRFNLEGKTT -YVPNKTPPETTQIWYTKYSEEVNAEMHPPLVGTKDPRNPIPTSEILSEMFKPYLTPNPGRVNQADLLAAA -EAVRVKLNALVKTRIDLSAQEAFETLDPSTSSGYPLYCKKDRSLPGVEATITRVQNWLDGKGQLHRPIYT -AALKDEPVKQSKIDDGKKRLLWCAPLETSIACAALMTDICAQLKIMRGEWPGKVGMNPTVEWWFLDQQFG -PNVLCVDYSRWDSTMIQSIYEIGVKTLFGLSNHPKAPELIDLLCQPRKVIVNDELRTVYQGLPSGIPTTS -LLNCVCHWIASTIAIAYAAKIPIAEAVNWPLAVYGDDEVINCPCSNFAVRYANEMRRMGFHPTNPDKSEF -FHPIHKSKMEFLSRTTQYVGGRCVGALKKTSIERQLYLTRGPQHNNPLEIGFPGPWFGEQLMCVMGEASL -HGEQYFNEIKDKCARAAHLAHVKFEPWPFWPTFLWATQNDIGCSGFL - ->YP_009237897.1 nonstructural polyprotein [Norwalk-like virus] -MKMASNDASAAAAANSNNDTAKSSSDGMLSSMAVTFKRALGARPKQPPPREIPQRPPRPPTPELVKKIPP -PPPNGEDEPVVSYSVKDGVSGLPELTTVRQPGETNTAFSVPPLNQRENRDAKEPLTGTILEMWDGEIYHY -GLYVERGLVLGVHKPPAAISLAKVELTPLSLFWRPVYTPQYLISPDTLRRLHGESFPYTAFDNNCYAFCC -WVLDLNDSWLCRRMIQRTTGFFRPYQDWNRKPLPTMDDSKLKKVANIFLCALSSLFTRPIKDIIGKLRPL -NILNILASCDWTFAGIVESLILLAELFGVFWTPPDVSAMIAPLLGDYELQGPEDLAVELVPIVMGGIGLV -LGFTKEKIGKMLSSAASTLRACKDLGAYGLEILKLVMKWFFPKREEANELAMVRSIEDAVLDLEAIENNH -MTALLKDKDSLATYMRTLDLEEEKARKLSTKSASPDIVGTINALLARIAAARSLVHRAKEELSSRPRPVV -VMISGKPGIGKTHLARELAKKIAASLTGDQRVGLIPRNGVDHWDAYKGERVVLWDDYGMSNPIHDALRLQ -ELADTCPLTLNCDRIENKGKVFDSDAIIITTNLANPAPLDYVNFEACSRRIDFLVYADAPEVEKAKRDFP -GQPDMWKNAFSPDFSHIKLMLAPQGGFDKNGNTPHGKGVMKTLTTGSLIARASGLLHERLDEYELQGPTP -TTFNFDRNKVLAFRQLAAENKYGLMDTMRVGKQLKDVRTMPELRQALKNISIKSCQIVYGGCTYMLESDG -KGDVKVDRVQNATVQTNNELAGALHHLRCARIRYYVKCIQEALYSIIQIAGAAFVTTRIVKRMNIQDLWS -KPQVEDTEETASKDGCPKPKDDDEFVVSSDDIKTEGKKGKNKSGRGKKHTAFSSKGLSDEEYDEYKRIRE -ERNGKYSIEEYLQDRDKYYEEVAIARATEEDFCEEEEAKIRQRIFRPTRKQRKEERASLGLVTGSEIRKR -NPDDFKPKGKLWADDDRSVDYNERLNFEAPPSIWSRIVNFGSGWGFWVSPSLFITSTHVIPQGAQEFFGV -SIKQIQIHKSGEFCRLRFPKPIRTDVTGMILEEGAPEGTVVTLLIKRPTGELMPLAARMGTHATMKIQGR -TVGGQMGMLLTGSNAKSMDLGTTPGDCGCPYIYKRGNDYIVIGVHTAAARGGNTVICATQGSEGEATLEG -GDNKGTYCGAPILGPGSAPKLSTKTKFWRSSTAPLPPGTYEPAYLGGKDPRVKGGPSLQQVMRDQLKPFT -EPRGKPPKPSVLEAAKKTIINVLEQTIDPPQKWSFAQACASLDKTTSSGHPHHMRKNDCWNGESFTGKLA -DQASKANLMFEEGKNMTPVYTGALKDELVKTDKIYGKIKKRLLWGSDLATMIRCARAFGGLMEELKAHCV -TLPVRVGMNMNEDGPIIFERHSRYKYHYDADYSRWDSTQQRAVLAAALEIMVKFSPEPNLAQKVAEDLLS -PSVMDVGDFKISINEGLPSGVPCTSQWNSIAHWLLTLCALSEVTNLSPDIIQANSLFSFYGDDEIVSTDI -KLDPEKLTAKLKEYGLKPTRPDKTEGPLVISEDLDGLTFLRRTVTRDPAGWFGKLEQSSILRQMYWTRGP -NHEDPSETMIPHSQRPIQLMSLLGEAALHGPAFYSKISKLVIAELKEGGMDFYVPRQEPMFRWMRFSDLS -TWEGDRNLAPSFVNEDGVE - ->YP_002905325.1 polyprotein [Calicivirus pig/AB90/CAN] -MSVTTDMAVQGVARDALKLAGAAKAPRILSGECPEPVPGSLLEMDEGDMFHYGVYLSHGYILDTVGVADD -GVTGTVVVRTISHPWRDTRFRINCSVEELLTRVPAKVDYDLFVNNCWGMWKEWIPSLPDWYERERVLQAC -GPFHVPHQPWVDPNGKFLEQDYDCKHNTHTMLVIVKSCLRFLSKVSLKVLTKVKPLVLYHLLATTRPTFG -GVLATFARLLELYEVPLMDNILSFATLESGTRGWREGLNMLITGFGVVASFFCKKPLDWLNGALKRLTSA -LKGSEDLATAANKVANVAKVLLPGILEDHWDADAAAATTLEEILHDSCAAELADPATRQMAITLAQKTVS -NLKKYQVLVTGDEGAIKRALQRAEAALARLVLNQEDMTPRAQPYVLVLAGPPGCGKTTLATAIARAAAET -LGGGVFQLNSDIDHWDSYTNQPVVCWEDFGAADHQADCRLLQKLADTAPLTLNCDKLENKGRAFTSRLIV -ITTNWQRLVPPEYAHPDAVLRRVTRHIYVRSQALEEWCRKGRVGPPPVAPDWSHQTLVGLPPMSVDWKGT -TIYGRAQPLRIDYGAIVRPLMKAVLEGTRYLDLTGAMRELNLNMFQGMRLLAQLKLGMKPPIPYTGQPFR -LNSHGYHIEVFSNNGKADYRNITEATTSPTDQPLAEIQAELDHQDLTGTKRPDINFLRKEAGQRSTTSVQ -DLLTITITNMEVNWRTLVRLACQGLNFLFGVVAVTDTNTTASDLALVRTLGFEAKGKTKRGTGQKHHVRG -GPRYRFSQAEYDDFLARRADAKRRGVVYTVEDYPDDIGAYEGDDVYNYTGEEPGFQAPSRAPPRLLDEGK -NHVGWAIVDGERLVTPTHVAKAAHWVWKGEKMSPVQLRGAAGEYAEIDARSLRGKPLEWVAEVEPHSLAH -LWEDRDGKPERVIGRVLSTADVLVEGSRVAGVRIKVVTGETRAGDCGRPWVQVDACGVERVLALHTASLP -GLGIAFGQRKVQFEATRKPPPPNLVRSHPLADGTHLWLTPYGKVAERRSGPPALGKNDPRNPTPLLTLAL -TGLEPFLGPDTSREPQCAAVVTVQVLLKLRSLVGRLDPWDDETAFASLDQTTSSGYPNYTNKDPTRAHIQ -ATRARVEDFMQGKGELHPPVYTAALKDEPYLLEKVAAGKRRLLFCSPFETTMACARVFGPVTEALKSVRL -RWPGCVGVKPAVEWAFLVHHLEMDGTLTYCADYSRWDSTLPRWLLKRALWVMTKLSTFSRAHEFVNFLSQ -PRWVICGAKKFLVEKGLPSGIPMTSILNCVAHWIASAISLTNCGYDPSEAIRVPLLVYGDDEVIALKPEL -SAAYFEHMRALGFCPTGPDKGPTIHGVKATAMEFLSRRTRWEGGTYVGALKEESIRRQLHLTRGPKHTDP -TEVRLPHAEYVTQLHCALGEASLHGRKFFHAFCDEVAEAVRLSDIDFDFWTFQAYFDWVQSEAMELEGAK -DSSTPVPAGATLAENPTPAPLPENTAGLALAPTINPIDPYILETFVEVPGGVFTIGPDTTTNQVLLELPV -GPGLNMYLNHLWMMYAGWSGGFEIQIQVAGNAFVSGKIIFAVVPPGVHLPTNSTAASGYPHVILDLRVAD -SIHLQVPDVKNISYHLHGEQGKNARLVAMAYTQMRATAPTAEFQVEVRMLSRPQADFAFTMVVPPEQEAA -LTIWPLPTRPVALMTNPRFPVGPIKALVADPAVTQVYQQLGRYSFEEGGPLGCSTLGPAQSWPFVGIYHA -SSQSIETMTGPEFDDPFIIGAQPTSLGRCDFGGSFRALVAEYNPTDGTIGRGSLGNFVAQTDYGPGVRIG -LSLESISDGSHVVVQVMASNAATNNELNTSPRPIWDWPDAVAPIVTPNPAETLLMFHSGIPGADNRTVRE -VSCLLPSELFASFLETRDTWQPGNCALVSYTLDGLPLFEAKVYSSGFMTVPATTVVRRWDSGGYFTFLRW -VNWNYVVTPLRGAAAARWL - ->YP_720001.1 nonstructural polyprotein [Norovirus GV] -MRMATPSSAPSVRNTEKRKNKKASSKASVSFGAPSPLSSESEDEINYMTPPEQEAQPGALAALHAEGPLA -GLPVTRSDARVLIFNEWEERKKSDPWLRLDMSDKAIFRRYPHLRPKEDRPDAPSHAEDAMDAKEPVIGSI -LEQDDHKFYHYSVYIGGGLVMGVNNPSAAVCQATIDVEKLHLWWRPVWEPRHPLDSAELRKCVGMTVPYV -ATTVNCYQVCCWIVGIKDTWLKRAKISRDLPFYSPVQDWNVDPQEPFIPSKLRMVSDGILVALSAVIGRP -IKNLLASVKPLNILNIVLSCDWTFSGIVNALILLAELFDIFWTPPDVTNWMISIFGEWQAEGPFDLALDV -VPTLLGGIGMAFGLTSETIGRKLASTNSALKAAQEMGKFAIEVFKQIMAWIWPSEDPVPALLSNMEQAII -KNECQLENQLTAMLRDRNAGAEFLRSLDEEEQEVRKIAAKCGNSATTGTTNALLARISMARAAFEKARAE -QTSRVRPVVIMVSGRPGIGKTCFCQNLAKRIAASLGDETSVGIIPRADVDHWDAYKGARVVLWDDFGMDN -VVKDALRLQMLADTCPVTLNCDRIENKGKMFDSQVIIITTNQQTPVPLDYVNLEAVCRRIDFLVYAESPV -VDDARARAPGDVNAVKAAMRPDYSHINFILAPQGGFDRQGNTPYGKGVTKIIGATALCARAVALVHERHD -DFGLQNKVYDFDAGKITAFKAMAADAGIPWYKMAAIGCKAMGCTCVEEAMHLLKDYEVAPCQVIYNGATY -NVSCIKGAPMVEKVKEPELPKTLVNCVRRIKEARLRCYCRMAADVITSILQAAGTAFSIYHQIEKRSRPS -FYWDRGYTYRDGPGSFDIFEDDDDGWYHSEGKKGKNKKGRGRPGVFRTRGLTDEEYDEFKKRRESRGGKY -SIDDYLADREREEELLERDEEEAIFGDGFGLKATRRSRKAERAKLGLVSGGDIRARKPIDWNVVGPSWAD -DDRQVDYGEKINFEAPVSIWSRVVQFGTGWGFWVSGHVFITAKHVAPPKGTEIFGRKPGDFTVTSSGDFL -KYYFTSAVRPDIPAMVLENGCQEGVVASVLVKRASGEMLALAVRMGSQAAIKIGSAVVHGQTGMLLTGSN -AKAQDLGTIPGDCGCPYVYKKGNTWVVIGVHVAATRSGNTVIAATHGEPTLEALEFQGPPMLPRPSGTYA -GLPIADYGDAPPLSTKTMFWRTSPEKLPPGAWEPAYLGSKDERVDGPSLQQVMRDQLKPYSEPRGLLPPQ -EILDAVCDAIENRLENTLEPQKPWTFKKACESLDKNTSSGYPYHKQKSKDWTGSAFIGDLGDQATHANNM -YEMGKSMRPIYTAALKDELVKPDKIYGKIKKRLLWGSDLGTMIRAARAFGPFCDALKETCIFNPIRVGMS -MNEDGPFIFARHANFRYHMDADYTRWDSTQQRAILKRAGDIMVRLSPEPDLARVVMDDLLAPSLLDVGDY -KIVVEEGLPSGCPCTTQLNSLAHWILTLCAMVEVTRVDPDIVMQESEFSFYGDDEVVSTNLELDMVKYTM -ALRRYGLLPTRADKEEGPLERRQTLQGISFLRRAIVGDQFGWYGRLDRASIDRQLLWTKGPNHQNPFETL -PGHAQRPSQLMALLGEAAMHGEKYYRTVASRVSKEAAQSGIEMVVPRHRSVLRWVRFGTMDAETPQERSA -VFVNEDE - ->NP_056820.1 nonstructural polyprotein [Norovirus GI] -MMMASKDVVPTAASSENANNNSSIKSRLLARLKGSGGATSPPNSIKITNQDMALGLIGQVPAPKATSVDV -PKQQRDRPPRTVAEVQQNLRWTERPQDQNVKTWDELDHTTKQQILDEHAEWFDAGGLGPSTLPTSHERYT -HENDEGHQVKWSAREGVDLGISGLTTVSGPEWNMCPLPPVDQRSTTPATEPTIGDMIEFYEGHIYHYAIY -IGQGKTVGVHSPQAAFSITRITIQPISAWWRVCYVPQPKQRLTYDQLKELENEPWPYAAVTNNCFEFCCQ -VMCLEDTWLQRKLISSGRFYHPTQDWSRDTPEFQQDSKLEMVRDAVLAAINGLVSRPFKDLLGKLKPLNV -LNLLSNCDWTFMGVVEMVVLLLELFGIFWNPPDVSNFIASLLPDFHLQGPEDLARDLVPIVLGGIGLAIG -FTRDKVSKMMKNAVDGLRAATQLGQYGLEIFSLLKKYFFGGDQTEKTLKDIESAVIDMEVLSSTSVTQLV -RDKQSARAYMAILDNEEEKARKLSVRNADPHVVSSTNALISRISMARAALAKAQAEMTSRMRPVVIMMCG -PPGIGKTKAAEHLAKRLANEIRPGGKVGLVPREAVDHWDGYHGEEVMLWDDYGMTKIQEDCNKLQAIADS -APLTLNCDRIENKGMQFVSDAIVITTNAPGPAPVDFVNLGPVCRRVDFLVYCTAPEVEHTRKVSPGDTTA -LKDCFKPDFSHLKMELAPQGGFDNQGNTPFGKGVMKPTTINRLLIQAVALTMERQDEFQLQGPTYDFDTD -RVAAFTRMARANGLGLISMASLGKKLRSVTTIEGLKNALSGYKISKCSIQWQSRVYIIESDGASVQIKED -KQALTPLQQTINTASLAITRLKAARAVAYASCFQSAITTILQMAGSALVINRAVKRMFGTRTAAMALEGP -GKEHNCRVHKAKEAGKGPIGHDDMVERFGLCETEEEESEDQIQMVPSDAVPEGKNKGKTKKGRGRKNNYN -AFSRRGLSDEEYEEYKKIREEKNGNYSIQEYLEDRQRYEEELAEVQAGGDGGIGETEMEIRHRVFYKSKS -KKHQQEQRRQLGLVTGSDIRKRKPIDWTPPKNEWADDDREVDYNEKINFEAPPTLWSRVTKFGSGWGFWV -SPTVFITTTHVVPTGVKEFFGEPLSSIAIHQAGEFTQFRFSKKMRPDLTGMVLEEGCPEGTVCSVLIKRD -SGELLPLAVRMGAIASMRIQGRLVHGQSGMLLTGANAKGMDLGTIPGDCGAPYVHKRGNDWVVCGVHAAA -TKSGNTVVCAVQAGEGETALEGGDKGHYAGHEIVRYGSGPALSTKTKFWRSSPEPLPPGVYEPAYLGGKD -PRVQNGPSLQQVLRDQLKPFADPRGRMPEPGLLEAAVETVTSMLEQTMDTPSPWSYADACQSLDKTTSSG -YPHHKRKNDDWNGTTFVGELGEQAAHANNMYENAKHMKPIYTAALKDELVKPEKIYQKVKKRLLWGADLG -TVVRAARAFGPFCDAIKSHVIKLPIKVGMNTIEDGPLIYAEHAKYKNHFDADYTAWDSTQNRQIMTESFS -IMSRLTASPELAEVVAQDLLAPSEMDVGDYVIRVKEGLPSGFPCTSQVNSINHWIITLCALSEATGLSPD -VVQSMSYFSFYGDDEIVSTDIDFDPARLTQILKEYGLKPTRPDKTEGPIQVRKNVDGLVFLRRTISRDAA -GFQGRLDRASIERQIFWTRGPNHSDPSETLVPHTQRKIQLISLLGEASLHGEKFYRKISSKVIHEIKTGG -LEMYVPGWQAMFRWMRFHDLGLWTGDRDLLPEFVNDDGV - ->sp|Q04544.2|POLG_SOUV3 RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p48; Contains: RecName: Full=NTPase; AltName: Full=p41; Contains: RecName: Full=Protein p22; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPG; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MMMASKDVVATNVASNNNANNTSATSRFLSRFKGLGGGASPPSPIKIKSTEMALGLIGRTTPEPTGTAGP -PPKQQRDRPPRTQEEVQYGMGWSDRPIDQNVKSWEELDTTVKEEILDNHKEWFDAGGLGPCTMPPTYERV -KDDSPPGEQVKWSARDGVNIGVERLTTVSGPEWNLCPLPPIDLRNMEPASEPTIGDMIEFYEGHIYHYSI -YIGQGKTVGVHSPQAAFSVARVTIQPIAAWWRVCYIPQPKHRLSYDQLKELENEPWPYAAITNNCFEFCC -QVMNLEDTWLQRRLVTSGRFHHPTQSWSQQTPEFQQDSKLELVRDAILAAVNGLVSQPFKNFLGKLKPLN -VLNILSNCDWTFMGVVEMVILLLELFGVFWNPPDVSNFIASLLPDFHLQGPEDLARDLVPVILGGIGLAI -GFTRDKVTKVMKSAVDGLRAATQLGQYGLEIFSLLKKYFFGGDQTERTLKGIEAAVIDMEVLSSTSVTQL -VRDKQAAKAYMNILDNEEEKARKLSAKNADPHVISSTNALISRISMARSALAKAQAEMTSRMRPVVIMMC -GPPGIGKTKAAEHLAKRLANEIRPGGKVGLVPREAVDHWDGYHGEEVMLWDDYGMTKILDDCNKLQAIAD -SAPLTLNCDRIENKGMQFVSDAIVITTNAPGPAPVDFVNLGPVCRRVDFLVYCSAPEVEQIRRVSPGDTS -ALKDCFKLDFSHLKMELAPQGGFDNQGNTPFGKGTMKPTTINRLLIQAVALTMERQDEFQLQGKMYDFDD -DRVSAFTTMARDNGLGILSMAGLGKKLRGVTTMEGLKNALKGYKISACTIKWQAKVYSLESDGNSVNIKE -ERNILTQQQQSVCTASVALTRLRAARAVAYASCIQSAITSILQIAGSALVVNRAVKRMFGTRTATLSLEG -PPREHKCRVHMAKAAGKGPIGHDDVVEKYGLCETEEDEEVAHTEIPSATMEGKNKGKNKKGRGRRNNYNA -FSRRGLNDEEYEEYKKIREEKGGNYSIQEYLEDRQRYEEELAEVQAGGDGGIGETEMEIRHRVFYKSKSR -KHHQEERRQLGLVTGSDIRKRKPIDWTPPKSAWADDEREVDYNEKISFEAPPTLWSRVTKFGSGWGFWVS -PTVFITTTHVIPTSAKEFFGEPLTSIAIHRAGEFTLFRFSKKIRPDLTGMILEEGCPEGTVCSVLIKRDS -GELLPLAVRMGAIASMRIQGRLVHGQSGMLLTGANAKGMDLGTIPGDCGAPYVYKRANDWVVCGVHAAAT -KSGNTVVCAVQASEGETTLEGGDKGHYAGHEIIKHGCGPALSTKTKFWKSSPEPLPPGVYEPAYLGGRDP -RVTVGPSLQQVLRDQLKPFAEPRGRMPEPGLLEAAVETVTSSLEQVMDTPVPWSYSDACQSLDKTTSSGF -PYHRRKNDDWNGTTFVRELGEQAAHANNMYEQAKSMKPMYTGALKDELVKPEKVYQKVKKRLLWGADLGT -VVRAARAFGPFCDAIKSHTIKLPIKVGMNSIEDGPLIYAEHSKYKYHFDADYTAWDSTQNRQIMTESFSI -MCRLTASPELASVVAQDLLAPSEMDVGDYVIRVKEGLPSGFPCTSQVNSINHWLITLCALSEVTGLSPDV -IQSMSYFSFYGDDEIVSTDIEFDPAKLTQVLREYGLRPTRPDKSEGPIIVRKSVDGLVFLRRTISRDAAG -FQGRLDRASIERQIYWTRGPNHSDPFETLVPHQQRKVQLISLLGEASLHGEKFYRKISSKVIQEIKTGGL -EMYVPGWQAMFRWMRFHDLGLWTGDRNLLPEFVNDDGV - ->sp|P54634.1|POLG_LORDV RecName: Full=Genome polyprotein; Contains: RecName: Full=Protein p37; Contains: RecName: Full=NTPase; AltName: Full=p40; Contains: RecName: Full=Protein p20; Contains: RecName: Full=Viral genome-linked protein; AltName: Full=VPG; Contains: RecName: Full=3C-like protease; Short=3CLpro; AltName: Full=Calicivirin; Contains: RecName: Full=RNA-directed RNA polymerase; Short=RdRp -MKMASNDASAAAVVNSNNDTAKSSSDGVLSSMAVTFKRALGGRAKQPPPRETPQRPPRPPTPELVKKIPP -PPPNGEDELVVSYSVKDGVSGLPELSTVRQPDEANTAFSVPPLNQRENRDAKEPLTGTILEMWDGEIYHY -GLYVERGLVLGVHKPPAAISLAKVELTPLSLFWRPVYTPQYLISPDTLKRLHGESFPYTAFDNNCYAFCC -WVLDLNDSWLSRRMIQRTTGFFRPYQDWNRKPLPTMDDSKLKKVANVFLCALSSLFTRPIKDIIGKLRPL -NILNILASCDWTFAGIVESLILLAELFGVFWTPPDVSAMIAPLLGDYELQGPEDLAVELVPIVMGGIGLV -LGFTKEKIGKMLSSAASTLRACKDLGAYGLEILKLVMKWFFPKKEEANELAMVRSIEDAVLDLEAIENNH -MTTLLKDKDSLATYMRTLDLEEEKARKLSTKSASPDIVGTINALLARIAAARSLVHRAKEELSSRLRPVV -VMISGKPGIGKTHLARELAKRIAASLTGDQRVGLIPRNGVDHWDAYKGERVVLWDDYGMSNPIHDALRLQ -ELADTCPLTLNCDRIENKGKVFDSDAIIITTNLANPAPLDYVNFEACSRRIDFLVYAEAPEVEKAKRDFP -GQPDMWKNAFSPDFSHIKLALAPQGGFDKNGNTPHGKGVMKTLTTGSLIARASGLLHERLDEYELQGPAL -TTFNFDRNKVLAFRQLAAENKYGLMDTMKVGRQLKDVRTMPELKQALKNISIKRCQIVYSGCTYTLESDG -KGNVKVDRVQSATVQTNHELAGALHHLRCARIRYYVKCVQEALYSIIQIAGAAFVTTRIVKRMNIQDLWS -KPQVEDTEDTANKDGCPKPKDDEEFVVSSDDIKTEGKKGKNKTGRGKKHTAFSSKGLSDEEYDEYKRIRE -ERNGKYSIEEYLQDRDKYYEEVAIARATEEDFCEEEEAKIRQRIFRPTRKQRKEERASLGLVTGSEIRKR -NPDDFKPKGKLWADDDRSVDYNEKLDFEAPPSIWSRIVNFGSGWGFWVSPSLFITSTHVIPQGAQEFFGV -PVKQIQIHKSGEFCRLRFPKPIRTDVTGMILEEGAPEGTVVTLLIKRSTGELMPLAARMGTHATMKIQGR -TVGGQMGMLLTGSNAKSMDLGTTPGDCGCPYIYKRENDYVVIGVHTAAARGGNTVICATQGSEGEATLEG -GDNKGTYCGAPILGPGSAPKLSTKTKFWRSSTAPLPPGTYEPAYLGGKDPRVKGGPSLQQVMRDQLKPFT -EPRGKPPKPSVLEAAKRTIINVLEQTIDPPQKWSFTQACASLDKTTSSGHPHHMRKNDCWNGESFTGKLA -DQASKANLMFEEGKNMTPVYTGALKDELVKTDKIYGKIKKRLLWGSDLATMIRCARAFGGLMDELKAHCV -TLPIRVGMNMNEDGPIIFERHSRYKYHYDADYSRWDSTQQRAVLAAALEIMVKFSPEPHLAQIVAEDLLS -PSVMDVGDFKISINEGLPSGVPCTSQWNSIAHWLLTLCALSEVTNLSPDIIQANSLFSFYGDDEIVSTDI -NLNPEKLTAKLKEYGLKPTRPDKTEGPLIISEDLNGLTFLRRTVTRDPAGWFGKLDQSSILRQMYWTRGP -NHEDPSETMIPHSQRPIQLMSLLGEAALHGPAFYSKISKLVIAELKEGGMDFYVPRQEPMFRWMRFSDLS -TWEGDRNLAPSFVNEDGVE - ->AMD33537.1 polyprotein, partial [Norovirus GI] -NGLVAQPIRNILNKLRPLNLLNILSHCDWTLLGVVEAIVLILELLGVFWDPPDIASWVATLTSDVALQGP -EDIARDIVPLILGGIGLAIGFTREKITRLMKSAVDGLRSATQLGQYGLEIFSILKKYFFGGDNTNKTLRA -IEDAVIDMEVVTQNNVTDLVKDKSKARAFLEILDKEEDKARRLSAKSSDPHINSSVNALINRISLARATL -AKAQAEMSSRPRPVVIMLSGPPGIGKTKLAEHIAARLASEIRPGGRVGLIPREAVDHWDGYQGQEVMLWD -DYGMAKINEDCNKLQAIADTAPVTLNCDRIESKGKQFISEAIVITTNAAGPAPLDHCNLGPISSRVDFLV -YCSSPDVEKARRDAPGDVAALKSIYQQDFSHLVLELAPQGGVDNQGNTPYGKGKMKKTTLGRLLIMATSL -VMERQEEFQLQGPTYNFDVNRAQTFMSLARANGLGLFQAASLGKNLRNVRDLEGLRHALANHDIAPCTII -WQAKQYHLEAGNGNVDIHEIGSTSPSHPQPTSPSETDQAYLALNRVASARAQVYAACVQNIITVALQAAG -TALVVSRAGRRMFGTTTPATLEGGREHQCAAHREDAMKKAGEFAPGQKYKNIGHPGMVDKYPGLCETEDE -AEIEMVPDQAQVEGKNKGKTKKGRGRTQRMNAFSRRGLSDEEYDEYKKLRDEKNGNYSIQEYLEDRERYE -TEMAELAANAEDVAEDSEARLRHLIFRGTRSAKKAQKREAARTREDLGLVTGSDIRKRKPIDWSPPKGKD -WSEDAREVDYNEKISFEAPATMWSRVTKFGSGWGFWVSPSLFITSTHVAPLKSQEFFGEPLSKIAIHRCG -EFLQLRFAKKMRPDLTGLVLEEGCPEGTVCSILVKRDSGELIPLACRMGAVASMKVQGRLLHGQSGMLLT -GSNAKGMDLGTIPGDCGAPYCYKRGNDWVVCGVHAAATKSGNTVICAIPNSDGEPTLEGGAQGHYSGHPI -SGQGSGPALSTKTKFWRTTTEVLPIGTYEPAYLGGRDPRVKGGPSLQQVLRDQLKPFAEPRGRAPNPTLM -EAAVETVTNVLEQTMDTPVEWTYDDAVQSLDKTTSSGHPHHKKKNDDWNGESFVRELGDQAAHANNMYEQ -GKSMRPVYTAALKDELVKPSKIYEKVKKRLLWGADLGTVIRAARAFGPFCDAIKPHVLKLPIKVGMNAIE -DGPLIYAEHAKYKYHFDADYTAWDSTQQRGVMTESFGIMCKLTADPVRASVVAADLLAPSTMDVGDYQIS -VLEGLPSGFPCTSQVNSINHWLYTLCALSEVTGLAPDVIQSNSYFSFYGDDEIVSTDIEFDPAALTRVLK -EYGLKPTRPDKSEGPIVVRQQVDGLVFLRRTISRDAAGFQGRLDRESIKRQLFWTRGPNHDDPAETLVPH -PQRKTQLISLLGEASLHGEKFYRQISNRVIKEVKEGGLELYVPCWQAMFRWMRFHDLGLWTGDRNLLPEF -VNDDGVE ->AFV48066.1 nonstructural polyprotein [WUHARV Calicivirus 1] -MDKSIDSVLSESSPICGADIQKLIFGNTSKVSYDRRPEPKIGQVIVLDEGDCFHYAVYVERGLLFSTGGM -IGNGAFRYNGLTHPWGTLDLYGPGDKSFYDSKIGEKFPYSLTRSNCLHAILQVIGVSYVHYKDKPLPTTF -YTHVQDWNENRYDVGGTKSGWTQQLLEIVYLIIKDVDWAKICMDFKPLNLWHNWQTMKPTFRGVLAFLTR -VAELWGVNISKLITFLTSSLLPQSPFSPSFLMKLVLGAMGFCTSFIFKKSLNAFSSVLRNFNKFIQNWTE -FGTHSEFGVRVLETITGTVPPWKPHQESISEVLEDLSHGRVQTGDDASTRIQKLSDTIKDLSVMACDPSA -PPEIAQAIKQAQDVKKALEKTMMNTAHRRRPIVVVLAGPPGCGKTTFAKHLATHCAKELKTGVYSHTPGV -DHWDAYDNQGVMIWEDFGATNAEEERKLFQRLADTMPVTLNCDRLENKGKFFTSTVIILTTNLEDISSGN -CRMATLRRVDFHYHVTSFAVEHWQKGGCNGPKPYKDDFSHLTIKQLPKFATNFHGASLFGQWKPQPVSPN -TVQVNTLAVWKMQSDEYPEQTVGWLMLQLGCSYFAGLRHVNDLRRGVIPRIAYTGGDIKCTVMGYKLDLY -SLDGFLTGTIEHGDKKMSNEVYYDCDSGAEIKIDPTPYATRLNEWKLGVSLHWLLTKLVTFFLKANAVQD -SNDTAASMAVFEAKGKNKKGRGARKLRSKVSKRYKFSPQEYNEFLKRRDEAAGRGIVYTVDDFLDDIGAN -SEGEEEEWWDPDGDFKQRHNLDYAPADDYYDEGYVCSFVYPATSDGVKKGCATQVSGSLFLTATHVAKTC -DKIRGMPFKIIKMDGELCLVDVPGVKSQGKLDISFPTTGEVVNLCPARGSQRPNIPVIVRSIGNTNIAGK -FLNVFTGTVVAAGKKSDGLGSEPGDCGSPYIKFVNGKPTLIGIHTAGSFSTNQVAGLVIPTRFNLEGKTT -FVPKKIPPETTQIWYTKYSEEVEAEMHPPLVGTKDPRNPTPTSRILEEMFKPYTIPNAGRVNQADLIAAA -DAVRVKLQGLIRTRITLSAENAFETLDPTTSSGYPLYCKKDRSLPGVQATIDRVQGWLDGKVKLHRPIYT -AALKDEPVKQSKLDEGKKRLLWCAPLETSLACAALMTDIASQLKIMRGDWPGKVGMNPTVEWWFLDQSFG -PNVLCVDYSRWDSTMIQSIYEMGVKTLFGLSDHPKAPELIDLLCQPRKVIVNDELRTVYQGLPSGIPTTS -LLNCVCHWIATTIAVAYAAKISIAEAVNWPLAVYGDDEVINCPCSNFAVRYANEMRRMGFHPTNPDKSEF -FHPVHKSKMEFLSRTTNYVGGRCVGALKKSSIERQLYLTRGPQHNNPLEIGYPGPWFGEQLMCVMGEASL -HGEAYFNVMRDKCARAACLAHVKFEPWPFWPTFMWATQNDIGCSGFV ->ABC96755.1 ORF1 [Norovirus Hu/OsakaNI/2004/JP] -MKMASNDAATATAGKTSTNSVIHNQDVNSTSSLFANMTVGLKRALGARPKQPAPRVSSPNREGKHETTPR -APPPNKGGKANIPPIPPPPPNGEDIVIKYTKQDDVVEGVPTVATVDVPPTHNTAYSVPPLDQREKIEAKE -PLTGSILEMWDGEIYHYGLYVEKGLVLGVHKPPAAISFARIELTPLSLYWRTVYTPPYLIAPETLRKLHG -ESFPYTAFDNNCYSFCCWVLDLNDSWLNRKFVSRTTGFYRPYQEWNRKPLPTMDEGKLKKVANVLLCGLS -GLFTRPIKDLIGKLRPLNILNIITNCDWTFPGVVEALILLAELFGVFWTPPDISTFIASLLGDYEMQGPE -DIAVEVVPVILGGIGMVLGFTKERIGRLLSSAASSLRACREIGNYGIEVVKLVMKWFFPTKDETNEMDMV -RAIEDAVLDFEAIQNNHMTALLKDKNSLATFLRELDMEEEKARKLSTKSASPDIIGTINALLARIAAARS -LVHKAKEEMSSRMRPVVIMISGRPGIGKTHLARDLAKKMAATLSGDQRVGLVPRNGVDHWDAYKGERIVL -WDDYGMSNPVTDALRLQELADTCPLTLNCDRIENKGKVFDSDTIIITTNLANPAPLDYVNFEACSRRIDF -LVYADSPSVDKAKRDFPGQPDMWKDAFKPDFSHIKLQLAPQGGFDKNGNTPHGKGVMKTLTTHSLIARAT -GLLHERLDEFDLQGPELPVYNFDHNRVAAFRRLAADNKYGLMETIKVGNKLKGVKTLEQLKDALKDISII -PCRIVYNSMAYDLDSNGSGRVNVKKVVDHKIQTNNELNSALNNLRGARVRYYVKCVQEMVYSLLQIAGAA -FVTSRMTRRLNVSSIWARPEKQVEATEEPPSPPTEDWTITPANAVQEGKKGKNKSGRGKKHTAFSSKGLS -DEEYDEYKRIREERQGKYSIEEYLQDRDRYYEELAIAKATEENFCEEEEIKIRQRVFRPTRKQRKEERAT -LGLVTGSEIRKRNPDDFKPRGKLWADDDRSVNYNEKIDFEAPPSLWSRIVNFGSGWGFWVSSNLLITSTH -VIPPNMTEAFGVPISQIQVHRSGEFCKMRFPKAIRPDVSGMILEEGAPEGTVVTILIKRTTGELMPLAAR -MGTHATMKIQGKMLGGQMGMLLTGSNAKNMDLGTIPGDCGCPYVYKRGNDWVVIGVHTAAARGGNTVICA -TQGPDGEATLEGGDNHGTYCGAPILGPGSAPKLSTKTKFWRSSNSPLPPGTYEPAYLGGKDPRVKGGPSL -QQVMREQLKPFTAPRGKPPRPAILEAAKETICNVLEQVIDPPQKWSYTQACASLDKTTSSGHPHHMKKND -NWNGESFTGVLADQASKANLMFEQGKHMQPVYTAALKDELVKTSKIYEKIKKRLLWGSDLGTMIRCARAF -GGLMDEMKANCVNLPIRVGMNMNEDGPIIFEKHSKYKYHYDADYSRWDSTQQRHILGAALEIMVRFSAEP -ELAQIVSEDLLAPSVVDVGDFKITINEGLPSGVPCTSQWNSISHWLITLCAISEISGLSPDVVQTNSCFS -FYGDDEIVSTDIKLDPMKLTNKLKEYGLIPTRPDKTEGPLIVKENLEGLTFLRRDVCRDPAGWYGKLDQS -SIMRQLFWTKGPNHDDPNETMIPHSQRPVQLMSLLGEAALHGPTFYKKVSKLVITELKEGGMDFYVPRQE -PMFRWMRFSDLSTWEGDRNLAPEGVNEDGVE ->AAD16174.5 RNA dependent RNA polymerase [Norovirus Bo/Newbury2/1976/UK] -MDSSTVKVTGPLAAKASDPDSTFAKLKAKFSALRNPHPPDPPDAPQIETPPMAAPPLGSPPIALPPGWEP -AEPKREEVDQFPVRFTRGAVHLDVHPPHTAQGPSWNGCPLPPVEQRSAEPPVEPPVGTILEFYEGYIFHY -AIYVGGGKTVGVHSPQMALSVPTISVQSLSAWWRVAYIPRDPPPRDQLLSLEGERWPYASVTSNCYTFCC -KVLDLDDDWLSRRLVRSGPFHHPSQPWNTAVPEFHQDSKMELVRDAILTALNALVSQPMRELINIIKPLN -VLSILSHCDWTFTGIVEAAVLLAELFGILWQPPDVASFLANLTPECVLQGPEDLAKDIIPVILGGIGLAL -GFTKDRATKLLKSAADGLRAASSLGQYGIEIFNIIKKYLFGDSTGQTLKRVEHAVIDLEVLASNNITEVV -RDRQSTLAYIKTLDMEEERVRELSSKSSDPHVVSSVNALLGRIAMARSALAKAQSEMSNRVRPVVIMLSG -PPGIGKTKLAEHIAHQLAKAIRPGGKVGLVPREAVDHWDGYKGQEVMLWDDYGMANIAADCNKLQAIADT -APVSLNCDRIENKGMTFTSEAIVITTNAPGPAPLDHMNLGPVCRRVDFLVYCNSQDIENHRRQRPGDTQG -LNQLFKKDFTHLQLQLAPQGGFDCLGNTPYGKGAMSKTSLPRLLAAATALALERLEDFQLQAPEYDFDKN -RVLAFSKMANDNGLGMLSAMRVANKLKNVTSVSELEEALAGFAIAPCTIIWRGTHYELQSDGQKLTINQL -ATPQPTVEINYALKRLAAARAVTYWSLAANLVTTAIQAASSALVIHRAVQRIRAPSTQLESHNCRYHRAE -QENRTVEGVGVIGHKGMVDKYGLCESDTEKPAPNEDKKKKVQAPVQLESKKGRRKTNAFSRRGLSDEEYD -EYKKIREERGGNYSIQEYLEDRERYERELAERQADDEAYDDSSIRQKYFGRGKAAKAQRKKIDWNPTGPS -WADDEREVDYNEVIEFQAPPSIWSRIQRFGSGWGCWVSPTLFITATHVIPEGCSEAFGVPVGEIAVSSHG -EFTQFRFPRPIRPDVSGLILEEGAPEGTVASVLIKRPTGELIPLAVRMSTTTSTKVQGKVINGQTGMLLT -GSNAKGMDLGTFPGDCGCPYFFKRGSEWVVFGVHAAATRSGNTVICAIPNSQESVALEGGHGTYVGHPII -GQGSAPKLSTKTKFWRSSPAQLPPGTYEPAYLGGKDPRVQGGPSLQQVMRDQLAQFSAPRGKLPRPELLR -AAVETVTNCLEQVMDQPKPWSYQDACMSLDKTTSSGYPHYKRKNDDWNGIAFVGALGEQANHANNMYRQG -KSQRPRYTAALKDELVAPRKIYETQKKRLLWGADLSTVIRAAMAFGPFCDAIKEHVVELPIKIGMNAIED -GPVIYQKHSTYKYSFDADYSAWDSTQQREIMRHSLDIMTKLTAEPELARVVAEDLLKPSHLDVGDFLVAV -HEGLPSGFPCTSQVNSINHWILTLCALSEVTNLDPDVVQANSYFSFYGDDEIVSTDLEFDPDRLTKVLKG -YGLKPTRPDKTEGPIELRRQVDGLVFLRRTISHDAKGFQGRLDRESILRQLYWTRGPNHDDPSETLVPHS -NRKVQLLCLLGEAALHGEKFYRRVSSMVTAEAKEGGMEIFVPSHRSMFAWMRFHDLSLWEGSRDTLPDFV -NEDD ->BAC11830.1 polyprotein [Norwalk-like virus] -MKMASNDATAAAGNFNIANNSENTPPPVKEEASVLSNVKVGFKKILGAVPKQPKPPEVKAKDQPRVLVNG -KTLEVPPPPPNGEDVIYYNSKDDTVHGLPNLTTVSCEGENLPYTVPPLSEREHRAAPEPLPGTILEMWDG -EFYHYAIYVSGGKAIGVHKPPAAISLATIELTPISLYWRPVYVPNYLVHPDTLKSLAGEKFPYTAFSNNC -YNFCCWVLDLKDSWLNRRSITRTTGFFKPYQSWNKKPLPTVDDGKIKKVANAVLCALGSLFSKPIKDLIG -KLKPLNFLNLLASCDWTFSGVVETVILAAELFGVFWTPPDVSNFIASLIGDFELQGPEDLAVELVPIIMG -GIGMVLGFTAEKIGRMLSSAASTLRACKDLGNYALDILKLVMKWFFPKKEEKAEMETLRAIEDAVLDMEA -IGNNHLTALLKDRDSLLTYMKTLDLEEEKARKLSTKSSSPDIVGTINAILARIAAARSLLHRAKEEMYSR -PRPVVVMISGRPGVGKTHMARHLAKSVAGTMSGDQRIGLIPRNGVDHWDAYRGERVVLWDDYGMGNPVKD -ALALQELADTCPVTLNCDRIENKGKMFDSEVIIITTNLANPAPLDYVNFEACSRRIDFLVYADAPTIEKA -KKDFPGQPDMWKEHFKADFSHLKLTLAPQGGFDKNGNTPHGKGTMKTLTQGSLTARVAGLVHERKDEFQL -QGGELQVYNFDTNKVSAFRKLAADNNYGFLETMRVGSSLKDVKTVEDLKCALKSVSFKECEIIYRNVKYR -ISSDGCGKVSIEKLADNNSQTTREIITAVTRLQQARARYYISCFQDLVYTLLQVAGASFVINRIVKKFNW -ERWVKAPETDEPTPSNDKPDTEEEWEIAPKDVDSEGKKGKNKKGRGRKHTAFSSKGLSDEEYDEFKRLRE -EKQGRYTIEEYLQDRDRYYEELAVARATEENFCEEEEIKIRQRIFRPTKKQRKEERGVLGLVTGADIRKR -RPDDFQPKGKLWADDSRSVDYNEKIEFEAPPSVWSRIVPLGTGWGFWVSSNLLITTTHVLPKGIKELFGV -DIKQVQVHKSGEFCRFRFPKPVRPDVSGLILEEGAPEGTVCSVLIKRSTGEMIPLAVRMGTHASMKIQGR -TVGGQMGMLLTGANAKNMDLGTGPGDCGCPYIYKRGNDIVVAGVHTAAARGGNTVICATQGPDGEAVLEG -GEDHGTYCGAPILAPGKAPKLSTKTKFWRSSPDSLPPGTYEPAYLGGKDPRVEKGPSLQQVMRDQLKPFT -EPRGKPPRPSVLEEAKKTVMNVLEQTIEPAKPWTYSQACASLDKTTSSGSPHHVRKNEHWNGESFTGPLA -DQASKANLMYEEGKHMNPMYTAALKDELVKTDKIYKKIKKRLLWGSDLGTMLRCARAFGGLLDSMKESCI -SLPCRVGMNINEDGPLIFEKHSKYTYHYDADYSRWDSTQQRAVLSAAMEVMVKFSAEPELAQVVAEDLLA -PSRLDVGDFVISVQEGLPSGVPCTSQWNSIAHWILTLSAMSEVSGLSPEVVQANSCFSFYGDDEIVSTDI -NLDPEKLTRKLREYGLVPTRPDKTEGPLVITQDLNGLTFLRRTIVRDPAGWFGKLDRDSILRQLYWTRGP -NHENPFESMIPHSQRATQLMALLGEASLHGPQFYKKVSKMVINEIKSGGLEFYVPRQEAMFRWMRFSDLS -TWEGDRNLAPENVNEDGVE ->AIF74264.1 polyprotein, partial [Bat calicivirus] -EISDRLGAGHPYHMTPGLDHWDNYSNEPVVVWDDYAATDHDKEGVVFQRMADSAHFTLDCDKIENKGKEF -TSTVVIMTTNARDPAPPNHACPDAIRRRVDIRFEATAPAVTAWLAGGRQGASPYSRTFNHLTITRQNPFD -GTLLNQVTWPQLVDMVCDGLKDSGLRLQSNNWPFVKPTKIPSHMKISAWKVPQLITTISGGNAPDVKYSG -DPFSTRYGEFTAYIWQVNGKAEAIVFKSGVKVYSSSFDPPIPIEYGCDPAQIDGTTHPKTLARVPGEVPS -DHKAPKAKPPVPGTLLHLAWQRQNNQPEPKPWTLVGEIKAMWAAFNLRSLAHLIIDLAGSVLTGIAIADS -LTTKVMKRSVVYLEAKGKTKHGRGLKHRAGPSKRGYKMSPEEYDEYKQRREDALLRGVVYTVEDYLSDIG -AYDEEPGILGVMGSDIEPAPGEVLQSIKPPLILDLTKNDAKIGQALCGKGRLWTATHVAEVATHVNGKKL -GRVLYTSGELTCIEAPVDGRQITWGRPLPGLKFALLTNRKGYVVAIPGSVETVSSYTVEGRNIEGFRGKI -GKDLQEYDLGTTGGDCGAPYVTTVNGETVIIGLHVAGSVKGSTVIGVTKNPKIDLQGAEGPLTTKPGNNL -LVKKRPLPSGTKYWKTGLESSLPNECEPVPFGQADPRGGPGLQHLLDTGVEPFLGDDHPVPGFFLSQATQ -FVTHKLRELMTRKPKIYNAQEAFETLDMDTSTGYPLYKKKSDDHPGIPRTVERVQDWLDGKGKLHNPIYT -ASLKDELLLTEKVRKGKRRTIFASPLETTVACAAVFHDACSMLKDARHEWPGKVGVNTALEWDLIVGPHA -GKGLTTCCIDYSRWDSTMPSSIVAAGLRAMAGMVDDPRAHQLARMLSQPRTTICGSKVHVITHGLPSGIP -QTSLLNCICHWIAALMATMMTNKVPVGVANSEIILTVYGDDCIYSTPKGKKALEGYISAMRELGFHPTAA -DKSEHISPCNFSEIEFLSRRNVFVGGRWSGALKKSSLTRQLYWTSGPDHCDLATTRDPGPWFPEQAMCLL -AESTLWGKVFFSQVLEAVKQLADRCGLTIETWPYDAYLRWYRENWDLELQGVLGMASAVPEVPPAGGAES -EPMAAPLPSAGGNMATANTVDMIDPFIRQNFAEVPGGAATVGNDTQIGDILIDLPIGPGLNPFLRHLFAM -YAGWAGSIEAEVRVTGNAFASGMLIAAIIPPGVAVPRAPQLLTGFPHVIIDVRFAASIPILLPDVRPGSY -NKRDDPAARLVVMAYTPIRSTGTGQAYQLDIRVLSRPGMDFSFTLLVPPQPEESDTQWVVPPQPVSNMTN -PRMPAGKIVEIYNDVTGIPINHQLGRLDLDIGLLGLSTTGPSPSWSLRCQIAKVNSTTISFEVLDGSWTE -HVIGKQPSPFGRFDWAGQSRMAFFAENNPRENGICTVQPSAIGPDIPVVQDPHASWTNGEKVHVLPLFPL -TTQGNSPSYAWSWPGIAGPAAPPTINEQPLLFRSETPATGSSATSAVDCTLPQEVINSWIGFGRRPPGSV -PLLNYIFGGKTLFSAKLNQNGLLTIAKTERVTWPANGYFEFAGWVSPLYRPVNPDGNTVGTLSFR ->AET79283.1 polyprotein, partial [Murine norovirus] -SSAPSARKAEKRKNKXASSPVGVSFGAPAPLSSESEDEVCYMTPPEQEAQPDGLAAVHLSGPNVGLPVTR -SDARVLVYKEWEERKKSEPWLRLDLSDTCIYRRYPHLRPQCDAEAAPSEVATIAHELKVGSILEXDDHKF -YHYSVYLGNGQVLGVNNPGAAVCQAVIDAEKLHLWWRVDWEPQNPMDASELRKCVGMTVPYVATTVNCYQ -VCCWIVGLKDSWLKRAKVTRNSPFFSPVQDWNKDPQEPFVPSKLRVVSDGILVAMATVVSRPVKNLLAAV -KPLNILNIVLSCDWTFGGIVNALILLAELFDIFWTPPDVTNWLISVFGEWQAEGPLDLALEVVPTLLGGL -GMALGMTSETLGRKLASTNSALKAAQDMGKFAIEVFRQIMAWIWPKEDPTPALIANMEQAVIKSECQLEN -QLTTMLRDRAAGTDFLKALDDEELEVRKIAAKCGNSATTGTTNALLSRISMARAAFEKARSEQSSRVRPV -VIMVSGRPGIGKTWFCQNLAKKIAASLGDSNSVGIVPRADVDHWDTYKGSRVVVWDDFGMDNVVKDALRL -QMLADTCPVTLNCDRIENKGKMFDSQVIIITTNQQTPVPLDYVNLEAVCRRIDFLVYAESPAVDAVRAQC -PGDTAAVKAVMKQDYSHINFILAPQGGFDRQGNTPYGKGPTKNIGAVALCARAVALVHERQDDYGLQSKT -YDFDAGKVTAFKAMATDAGIPWYKMATIGYKAMACTCIEEAVHLLKDYEVPPCQVIYNGATYSVSCIKGA -PMIEKTKEPEVVKTLANCVRRIKEARLKCYCRMATDVVSTVLQAAGAAFSIYHQIEKKTRPSYYWDHGYT -YRDVPGAFDIYDDGEEDGWYISESKKGKNKKGRGARPGVFRTRGLTDEEYDEFKKRRESKGGKXTIDDYL -ADREREEEIEERDQFEEMFGDGPKQRATRRSRKAERARLGLVAGGDIRARKPIDWNVVGPSWADDNRQVD -YSAKLDFEAPVSIWSRVVQFGAGWGFWVSGNVFITAKHVAPPKGSEIFGRQPSDFTVTSSGDFLKYHFTT -AVRPDIPAMVLESGCQEGVVASVLVKRASGEMLALAVRMGAQAAVKIGHSVVHGQTGMLLTGSNAKAQDL -GTILDDCGCPYVYKKGNTWVVIGVHVAATRSGNTVIAATQGEPTLEALELQGPPVPRPSGTYAGLPIADF -GDAPPLSTKTMFWRTSPEKLPPGAWEPAYLGSKDERVEGPSLQQVMRDQLKPFSEPRGSLPPLEVLDAVC -DAIEHRLENTLEPQKPWTFKKACESLDKNTSSGYPYHKAKNKDWTGTCFVGELGDQATHANNMYEMCKSM -RPVYTAALKDELVKPERIYTKIKKRLLWGSDLGTMVRAARAFGPFCDALKETCVINPVRVGMSMNEDGPF -IFARHAQFKYHTDADFSRWDSTQQRAILRRAGDIMVRLSPEPERARVVMDDLLAPSLLDVGDYKVLVDEG -LPSGCPCTTQLNSIAHWILTLSAIVETTRIDPDIVMQESEFSFYGDDEVVSTNLELDMSKYTLVLKKYGL -IPTRADKQEGPLERRQTLQGVSFLRRSIVGDQFGWFGRLDRASIDRQLLWTKGPNHLNPFETLPGHAQRP -SQLMALLGEAAMHGEKYYRAVASRVSKEAAQSGIEMVVPRHRSVLRWVRFGTMDAETPQERSAVFVNEDE ->AID69173.1 polyprotein, partial [Bat norovirus] -VSGFLAALLPSFGLQGPDPEEVATDLVPILLGGIGMAVGFTAERVGRMLSSAASTLRACKDLGAYGLEIV -RMVIKYFFPKKTADDSAEIAAGVEQAVLAMEVLANNHLTGLLKSKEDMAAYLKTLDYEEEKVRKISSRAA -TPDIVATANALLARISAARAMIMKAKSELSTRTRPVVIMVSGRPGIGKTFMAKSLAAALAKAVQPDGRVG -IVPRGDVDHWDAYRGENAVLWDDYGMGNVIKDALRLQELADTCPVTLNCDRIENKGKMFESDFIVVTTNL -QNPAPLDYVNMEAVARRVDFLVYAEAPDIENAKAAAPGDPSAIKSLYKKDHSHLILTLAPQGGFDRAGNT -PHGKGVTKRTTFSTLLAKAIALSCERREEFQLQGDIKTYNFDQDKLGAFRQMAADNKYNIMEAMKIGTRL -SKITAVKELEDALSSFHIKECRITYNWKTYTLSSNGKGKVSLVEDKQTPAQAATLEATGALVRLQQARIR -YYAQCVQQIFMTLVQSFASGFVITRAIGRITNRVKPQQHVTESRPKAVWCSWDLEAKGKTKSGRGRKHTA -FSSKGLSDEEYDEYKKIKEERGGKYSIQEYLEDRDRFLEEVTIGRATEENFTEADEARLRQKIFRPSRKE -RAEERRALGLVTGSEIRKRKPDDFQPKGPLWADDKRVQIDYNEEISFEAPASIWNRIVKFGTGWGFWVSP -NLFITSTHVIPSRAEEFFGVPIDRIQVHRSGEFVRMRFPTHVRPDVAGMVLDDGAPEGTVATILVKRDSG -EHMPLAVRMGTQATMKVQGRQVVGQTGMLLTGANAKGMDLGTLPGDCGCPYVYKRGNEWIVLGVHTAASR -GGNTVIAAVQSGEGETTLEGPDKGTYCGAPILAKGEAPALSTKTKFWRSDTTPLPPDVYVPAYLGGADPR -VADGPSLQQVMRDQLKPFTQPRGKPPKPHLLRAARETVVNTLEQTLEPVKDLTYAEACASLDKTTSSGHP -HHVTKNNHWNGQAFTGPLADQASKANLMYEQGKHMQPVYTAALKDELVKPTKVYGQIKKRLLWGSDLGTM -VRCARAFGGVCNALKKACLVLPVRVGLNINEEGPIIFERHAKYTWHMDADYSRWDSTQQRAIIAEALNIM -VGFAEKPELAAIVAQDLAAPSHLDVGDFLVQVAEGLPSGTPCTSQLNSIVHWLLTLCAMADVTGLDPDII -QANSVFSFYGDDEIISTDIDIDPAALTEKLKEYGLVPTRPDKTVGPLIRHSTLAGLSFLRRTIVRDDLGW -FGRLEKASIERQLFWTRGPNHQDPDETLVPHAQRATQLMCLLGEAALHGRKYYSKIASKVIAEIKTGGMD -FYVPKFEALFRWMRFSDLSTWEGDRNLAPDFVNEDGV ->ANC98486.1 nonstructural polyprotein [Norovirus GII.17] -MKMASNDASAAVAGKNNNNDKEKSSSDSLFSNMTVTFKKALGARPKQPPPGETKQIQKPPRPPTPELVKR -IPPPPPNGEDEPKIVYKVGEGVSGLPNLTTVAQPDAQNTAYSVPPLSQREVGEAKEPLPGSILEMWDGEI -YHYGLYVERGHVLGVHKPPAAISLAKIELTPLSLYWRVVYTPQYLIAPETLKNLSGETFPYTAFDNNCYA -FCCWVLDLNDSWLSRRMIQRTTGFFRPYQDWNRKPLPTMDEPKIKKAANAVLCALSSLFTRPIKDIIGKL -RPLNILNILATCDWTFAGIVESMILLAELFGVFWTPPDVSAMIAPLLGDYEMQGPEDLAVELVPVVMGGI -GLVLGFTKEKIGKMLSSAATTLRACKDLGSYGLEILKLVMKWFFPKKEEANELAMVRAIEDAVLDLEAIE -NNHMTTLLKDKDSLATYMRTLDLEEEKARKLSTKSASPDIVGTINSLLARIAAARSLVHKAKEELSSRQR -PVVVMISGRPGIGKTHLARELAKKVASTLSGDQRIGLIPRNGVDHWDAYKGERVVLWDDYGMSNPIQDAL -RLQELADTCPLTLNCDRIENKGKVFDSDAIIITTNLANPAPLDYVNFEACSRRIDFLVYADAPDIEKAKR -DFPGQPDMWKDHYRPDFTHIKLQLAPQGGFDKNGNTPHGKGVVKSLTIGSLIARASGLLHERMDEFELQG -SDLPTFNFDRNKVAAFRQLAAENKYGLMDTLRVGNQLKSVKTLDELKQAIKNISIKKCQIVYNGCTYTME -SDGRSKVVVEKVQNATVQTNNELVGALHHLRSARIRYYVKCFQEAIYSLLQIAGAAFVTSRIVRRMNISN -LWSKPPIEEGDEPEDKGGCPKPRDEDDLTVDSRDIKVEGKKGKNKSGRGKKHTAFSSKGLSDEEYDEYKR -IREERNGKYSIEEYLQDRDRYYEELAIAKATEEDFCEEEEIKIRQRIFRPTRKQRKEERATLGLVTGSEI -RKRNPDDFKPKGKLWADDNRSVDYHERIDFEAPPSVWSRIVNFGTGWGFWVSPSLFITSTHVIPKGITEA -FGVPINQIQIHKSGEFCRLRFPKPIRPDVSGMILEEGAPEGTVVSILIKRTTGELMPLAVRMGTHATMKI -QGRTVGGQMGMLLTGSNAKSMDLGTTPGDCGCPYIYKRGNDFVAIGVHTAAARGGNTVICATQGSEGEAT -LEGGDNKGTYCGAPILGPGNAPKLSTKTKFWRSSNAPLPPGTYEPAYLGGRDPRVKGGPSLQQVMRDQLK -PFTEPRGKPPNPNVLESAKKTIINVLEQTIDPPQKWSYAQACASLDKTTSSGYPHHVRKNDYWSGESFTG -KLADQASKANLMYEEGKHMQPVYTAALKDELVKTDKIYGKIKKRLLWGSDLSTMIRCARAFGGLMDELKA -NCITLPIRVGMNMNEDGPIIFEKHSRYRYHYDADYSRWDSTQQRAVLEVALEIMVRFSAEPQLAQIVAED -LLSPSVVDVGDFKIAINEGLPSGVPCTSQWNSIAHWLLTLCALSEVTGLGPDIIQANSMYSFYGDDEIVS -TDIKLDPEKLTAKLKEYGLKPTRPDKTEGPLVISEDLNGLTFLRRTVTRDPAGWFGKLDQNSILRQLYWT -RGPNHEDPSETMIPHAQRPVQLMALLGESSLHGPSFYSKVSKLVISELKEGGMDFYVPRQESMFRWMRFS -DLSTWEGDRNLAPSFVNEDGVE ->AFM93994.1 polyprotein, partial [Recovirus Bangladesh/289/2007] -GPERSALWLKNKLNLSYTAAFSIMADLKRGVIPQIKYDGDPFSAIVCGYYLDVYSGEDGYLTGTIEKDGQ -QLSNEVWYDAQTDVVHLSPMRRVPPVGDRFHEWVQAWGAIAFIKSVMSWLASVITLEDSARTADKLASAV -QLEAKGKTKKGRGQKHSKKMKKYKFTPKEYAEFLRRREDAAERGIVYTVEDYLEDIGADQDSEDEAFLDL -MDDPTPKKNYQQYEWDEEGFKCNFVETITKDGFPVGCATLVGGDLALTATHVAEDGDSVRGRQYTIVHSN -GELSVIKVPGLKSQKVSLYSPKPGDVLIVAPKRGRDKPNIPIKVNSIGNNNIAGKFIFSLIGTCLASNDK -QDGLSTEPGDCGAPYIKFVKGAPHIAAIHTAGSAVSGKVAGIMIPQKLNLEGKVTYVPSKKPPENTTIWY -TKXSEEMEVDXHVPLVGTKDPRCGIPLSSILTKMMKPYTEHHTGHVPPAELEAAKSVVYSKLKAIVGKPT -TLSAAEALETLDPNTSSGYPNYTKKDPSLKHVQATVERVQRWLDGEGTLHQPIYTAALKDEPVKEKKITT -DPSRRLLWCAPLETSLACAALITPACASLKQHRWAWPGKVGMNPTQEWWLLDGMFPENILCVDYSRWDST -MPEEIYHAGLEVLYGLVDHPKARELAEILAKPRKVIVNDQLITVFRGLPSGTPATSILNCICHWIASTMA -ISKATGLPPCTAVNWPIAVYGDDEVIGCPNNKFANFYAKAMRGYGFHPTNPDKSENFSPQPKSELEFLSR -RTNRVFGKVVGALKLSSIQRQLYLTRGPQHTDVQAINSPGPWFSEQLMNVLGEASLHGKQVYDKILSLAL -RSAFLAGVDLEPWTFSSTFRWATTVELVDYLIGYVHPLVDDQQVSNNLPRVKSLNKESVSNSRELKPSTS -QNELPKGKSVKQNGGSGATSNWRGWRSHNRSSRPTTRESKRTGIGPNNQSH ->AFI08230.1 nonstructural polyprotein [Norovirus Hu/GI/10360/2010/VNM] -MMMASNDAIAVADVSNNNANTNNENIGSRLMAKIRGRIGPQRGETTTKIKDANMALDLLRRSQTPSPCRQ -TNDSAPKAQRDRPPRTASEVKRALGWDAEPEHQESTARAWCDLSKEEKEEIMRNNERLFDAGGVTPSILP -STFERTDSSGATDEQQPVTWSSSGGVDLGINDLTTVRGPFWNMCPLPPLDARNNGPAKEPLIGDMVEFYE -GHIFHYAIYIGQGKTIGVHSPQAAFSIPRITIHPLVAWWRVCYVPTNQQRLTYEQLKELENEPWPYASIT -NNCYEFCCKVMALDDTWLQRKLVNSGKFNHPTQDWSQDTPDFHQDSKLEMVRDAVLSAINGLVSQPFKNI -LSKIKPLNVLSLLSNCDWTFMGVVELVVLLAELFDVFWTPPDISSFIASLLPDFHLQGPEDLAKDLVPLI -LGGIGLAIGFTRDKITKVMKSAVDGLRSATQLGQYGLEIFSIIKKYFFGGDQTEKTLRGIEDAVIDMEVL -SSTNVTQLVKDKKLAKAYMNVLDSEEEKARKLSVRSADPHIVTSVNNLISRISMARSALAKAQAEMTSRP -RPVVIMMCGPPGIGKTKAAEHLAGRLAAEIRPGGKVGLVPRESIDHWDGYHGEDVLLWDDYGMSKITEDC -NKLQAIADTAPLSLNCDRIENKGMQFSSDAIIITTNAPGPAPVDFVNLGPVCRRVDFLVYCSAPEIEQTR -RAHPGDTNAIKDLFKKDFSHLKMELAPQGGFDSQGNTPFGKGVMKTTTLNRLLIQATALAMERQDEFQLQ -GTVYNFDEDRVAAFTNLARANGLGLISMATLGKKLRSVKSMEGLRNALLGYKIGECDIVWNTRVYSVKSD -GSNVIIKEKQVPSSPQHQAISTATLALSRLRAARALAYASCLQSAVLSILQVAGSALVVSRAVKRMFGTR -TEQPILEGKHKEHNCRVHRAEAAGHGPIGHDGIIERYGLCESEQEGEDDHTVELPTADKEGKNKGKTKKG -RGRKSNFNAFSRRGLSDEEYEEYKKVREEKGGNYSIQEYLEDRQRYEEELAEVQAGGDGGIGETEAEIRH -RVFYKSKSGMRKQRQEERRQLGLVSGTEIRKRKPIDWTPPKNDWSEDTRTVNYDEHISFEAPPSIWSRVV -KFGSGWGFWVSSTVFITTTHVIPPGAKEIFGENLSNIAIHRVGEFTQFRFSKKMRPDLSGMVLEEGCPEG -TVCTIMIKRDSGELLPLAVRMGAVASMKIQGKLMHGQSGMLLTGANAKGMDLGTIPGDCGAPYIHKRGND -WVVCGVHAAATKSGNTVVCAIQGGEGEATLEGGDQNKGHYAGHPILRYGNGPSLSTKTKFWKSNPQPLPP -GTYEPAYLGGRDPRVEGGPSLQQVLRDQLKPFAEPRGRLPEAGLLEAAVETVTNAIEQVMDAPVAWSYSD -ACMSLDKTTSSGYPHHKRKNDDWNGSSFVRELGDQAAHANSMYELGKSMKPVYTAALKDELVKPDKVYNK -IKKRLLWGADLGTVIRAARAFGPFCEAIKPHVIKLPIKVGMNAIEDGPLIYAEHSKYKYHFDADYTAWDS -TQNREIMMESFNIMCKLTANPSLAAVVAQDLLSPSEMDVGDYVISVKDGLPSGFPCTSQVNSINHWIYTL -CALSEVTGLAPDVIQSQSYFSFYGDDEIVSTDIEFDSNRLTQVLKEYGLKPTRPDKTDGPIIVRQQVDGL -VFLRRTISKDAIGYQGRLDRNSIERQLWWTRGPNHDDPFETLVPHTQRKVQLISLLGEAALHGEKFYRKI -ASRVIQEVKEGGLEIYIPGWQAMFRWMRFHDLSLWTGDRDLLPDYVNDDGV ->ABU55615.1 polyprotein [Murine norovirus GV/CR11/2005/USA] -MRMATPSSAPSVRNTEKRKNKKASSKTSVSFGAPSLLSSESEDEANYLTPPEQEAQPGTLAALHADGPHA -GLPVTRSDARVLIFNDWEERKKSEPWLRLDMSDKAIFRRFPHLRPKEDKADAPSHAEDAMEAREPVVGSI -LEQDDHKFYHYSVYVGNGMVMGVNNPGAAVCQAVIDVEKLHLWWRPVWEPRQPLDPAELRKCVGMTVPYV -ATTVNCYQVCCWIVGIKDTWLKRAKISRDSPFYSPVQDWNVDPQEPFIPSKLRMVSDAILVALSTVIGRP -IKNLLASVKPLNILNIVLSCDWTFSGIVNALILLAELFDIFWTPPDVTNWMVSIFGEWQAEGPFDLALDV -VPTLLGGIGMAFGLTSETIGRKLASTNSALKAAQEMGKFAIEVFKQIMAWIWPSEDPVPALLSNMEQAVI -KNECQLENQLTAMLRDRNAGAEFLKALDEEEQEVRKIAAKCGNSATTGTTNALLARISMARAAFEKARAE -QTSRVRPVVIMVSGRPGIGKTCFCQNLAKKIAASLGDESSVGIIPRADVDHWDAYKGARVVLWDDFGMDN -VVKDALRLQMLADTCPVTLNCDRIENKGKMFDSQVIIITTNQQTPVPLDYVNLEAVCRRIDFLVYAESPV -VDAARARAPGDVNAVKAAMRQDYSHINFILAPQGGFDRQGNTPYGRGVTKIIGATALCARAVALVHERHD -DFGLQNKVYDFDAGKVTAFKAMAADAGIPWYKMAAIGCKAMGCTCVEEAMNLLKDYEVAPCQVVYNGATY -NVSCIKGAPMVEKVREPELPKTLVNCVRRIKEARLRCYCRMATDVITSILQAAGTAFSIYHQIEKKSRPS -FYWDHGYTYRDGPGAFDLFEDDNDDGWYHSESKKGKNKKGRGRPGVFKSRGLTDEEYDEFKKRRESRGGK -YSIDDYLADREREEELQERDEEEAIFGDGLGLKATRRSRKAERARLGLISGGDIRARKPIDWNVVGPSWA -DDERQVDYGEKISFEAPVSIWSRVVQFGTGWGFWVSSHVFITAKHVAPPKGTEVFGRKPDEFTVTSSGDF -LKYNFTSAVRPDIPAMVLESGCQEGVVASVLIKRASGEMLALAVRMGSQAAIKIGSAVVHGQTGMLLTGS -NAKAQDLGTIPGDCGCPYVYKKGNTWVVIGVHVAATRSGNTVIAATHGEPTLEALEFQGPPMLPRPTGTY -AGLPIADYGDAPPLSTKTMFWRTSPEKLPPGAWEPAYLGSKDERVDGPSLQQVMRDQLKPYSEPRGLLPP -QEILDAVCDAIENRLENTLEPQKPWTFKKACESLDKNTSSGYPYHKQKSKDWTGTAFIGDLGDQATHANN -MYEMGKSMRPVYTAALKDELVRPDKIYKKIKKRLLWGSDLGTMIRAARAFGPFCDALKETCIFNPIRVGM -SMNEDGPFIFARHANFRYHMDADYSRWDSTQQRAILKRAGDIMVRLSPEPELARVVMDDLLAPSLLDVGD -YKIVVEEGLPSGCPCTTQLNSLAHWILTLCAMVEVTRIDPDIVMQESEFSFYGDDEVVSTNLELDMTKYT -MALRRYGLLPTRADKEEGPLERRQTLQGISFLRRAIVGDQFGWYGRLDRASIDRQLLWTKGPNHLNPFET -LPGHAQRPSQLMALLGEAAMHGEKYYRTVASRVSKEAAHSGIEMVVPRHRSVLRWVRFGTMDAETPQERS -AVFVNEDE ->AFV48050.1 polyprotein [Norovirus Rn/GV/HKU_KT/HKG/2012] -MASPSIARSGAKPEKRNKNQKDSKRSLKGNPSVSFGAPGLLEASSSEDENYASPAEWPLLHEGEKILGGC -QGEKKLPTCRSDARVLIYSEWEEGGKKTQLDMSDSAIFRRFPHLRPESDDDDAQLIERDGKVRLVLTVDE -PAIGTILEQDDGPFYHYSIYVGDGRVLGVNSPGAAVCVATIDTEQLHLWWRPVWVPQEPIDKKDLMATVG -MTIPYVATTTNCYQACCWILGIKDTWLRRMKVQRASNTFYSPEQPWNHNVPEPTAPSRLRYVADSILTAV -ATLVGRPLKNLLGTVKPLNVFNIIMSCDWSFAGIVNAIILLCELFDIFWTPPDISNWLSGLVGAWQMEGP -LDLALDIVPTLLGGLGLALGMSSESCSRKLSATNAALRAAQDLGKFAIEVFKQIMSWIYPTEDQTLSTLA -KLEARVIANEVSLENKMTALLRDTVRGKEFVAELDEEERDLRNMSAKLQKDSATSASIGSLLARIATLRA -SFEKAKSELTTRRRPVVVFISGRPGIGKTMFVSTLAKDIARALGDPGSVGIIPRADVDHWDTYKGQRVMT -WDDFGADNPIKDAQRLQLLADTCPVTLNCDRIENKGKFFDSDVILITSNMATPVPLDYVNMEAVVRRIDF -LVYAESPAVEDARTHAPGDVGAWKQVMQKDFSHLVLTLAPQSGFDRQGNTPYGKGVTKRTTPKALTAKAV -ALVCERIEDFQLEGPVYNFDESKIEAFKRLATDNGIGLWKMAKVGYKAMGCKTVEEVVELMKDFDVKPCE -VIYGGATYRIACANKEPEVIKTQEAPPVATVAGCVRRVREARLRAYIRMAQDVVQTILQVAGAGFSIYHQ -IEKASRPTWFWDESYGVRDGPGAFDIFDDDDDGWYIDEGKKGKTKKGRGAVKKARVGGIFRTRGLTDEEY -DEYKRRREEHGGRYTVDDFIREYDQEQDQQAEDDLDDAMGDRHTAKMGRRARRAARAELGLVTGSDIRKR -KAIDWNVVGPSWADDDRKVDYNEKLSFEAPVSVWSRIVNFGTGWGFWVSPHVFITAKHVAPDAKEIFGVQ -PDAYIATSCGDFMKYKFHQPMRPDLTPMVLENGAPEGTVGSILIKRASGELVSLGVRMGTHASVKVGDKN -VHGQTGMLLTGANAKAQDLGTLPGDCGSPYVYKKGNHWVVFGVHSVATRSGNTVICAVNGEPDLEVFELQ -GPTLPERPKGTYAGLPICDYGDAPPLSSKTMFWRTEPNNLPAGSWEPAYLGSNDARVKGPSLQQVLRDQL -KHYAEPRGRLPPREILEAVVETIENRLEMTLDPPKPWSFQQACNSLDKNTSSGYPHHLQKAKRWSGTSFT -GELGDQASHANAMYEMGKSMMPVYTAALKDELVKPEKIYNTIKKRLLWGSDLGTMVRAARAFGPFCDSLK -ETCVFNPVRVGMSMNEDGPFVFARHARYKYHSDADFSRWDSTQNREILRLAMDMMVKMSPEPQLARVVAN -DLMAPSVLDVGDYKVVVEEGLPSGFPCTAQVNSLAHWILTLSALVEATRLDPDVIMANSEFSFYGDDEIV -STNLEVDATRYTQVLKEYGLLPTRADKTDGPITFSENLPGHIFLRRTICGDQFGWYGKLDRNSIQRQLDW -TRGPNHKNPYEVIATHSNRAPQLMALLGEAAMHGPKYYQKIASRVAHEAAVGGLEINIPRHAATLRWVRF -GTVTAETPQDRTAIFVNEDE ->BAF38402.1 polyprotein [Norwalk-like virus] -MKMASNDATTASCGENTAKKDSDKSFWSFNSKGDFFANVKVGFKKKTTRTPSEEPSIPPDDRGGTEQIQP -LEEPADTTLRYNARDDTIEGLPELDYVEMDETKDTAYAVPPLNLREHQPAPEPLPGAIIEMWDGEIYHYG -IYAGGGKVLGVHKPAAAICLATIELTPISLYWRVVYTPQNSIPIDSLRRLAGERFPYTAFDNNCYTFCCW -VLDLNDSWLSRRMVTRTTGFFRPYQDWNTKPVPTVDDSKLKKVANVILCGLTSLFSRPIKDIVGKLKPLN -LINILATCDWTFGGIVESLILVGELFGIFWTPPDVTSFLASILDDFQLQGPEDLAAEVVPILMGGLGLVL -GFTTEKVGRMLSSAASTLRACKDLGAYGLDILKLVVKWFFPKKEEQAELEMVRSIEDAVLDLEALENNHL -TTLLKDKDSLTAFMKTLDMEEEKARKLSTRSSSPDIVGTINALLARISAARSLLHKAREELCARIRPVVV -MISGRPGIGKTHMARHLAQKVATAIGGDKRIGLVPRNGVDHWDAYKGERIVLWDDYGMSNPIKDALTLQE -LADTCPVTLNCDRIENKGKLFDSDIIVITTNLTSPAPLDYVNFEACSRRVDFLVYAESPDVEKVKKEFPG -QPDMWKDAYQQDHSHIKLQLAPQGGFDKNGNTPYGKGVMKTLTQNSLVARVAALVHERADEFALQGDDLP -LYNFDVDKINSFRKMAADNRYGFFETMKVGAALKNVDSIEGLKTALKGVALKPCKVVYKGTIYQIESGRG -GLVIKKLEDRYAQSSGSLFGVLTRVRQARAKYYLKCFQELIYTTLQVAGAAFVTSRIIKRSNWCNSWRQA -AESSAPTPVEEPPLTQPQAWEVIPVAADQEGKKGKNKKGRGKKHTAFSSKGLSDEEYDEYKRIREEREGK -YSIEEYLRDRDRYYEELAIAQATEENFAEDDEMRLRQRIFRPTKKQRREERATLGLVSGTEIRKRKADDF -QPKGKLWADDSREVDYNETINFEAPSSIWSRVVPFGTGWAFWVSPSLLITTTHVVPRDTTEIFGVPISQI -QVHKSGEFCRLRFPRPMRTDVSGLILEEGAPEGTVCTILIKRSSGELLPLAVRMGTHATMKIQGRTIGGQ -MGMLLTGANAKNMDLGTSPGDCGCPYIYKRGNDFVVAGVHTAAARGGNTVVCATQGPDGEATLEGGENHG -TYCGAPILGPGKSPKLSTKTKFWRSSTAPLPPGTYEPAYLGGRDPRVENGPSLQQVMRDQLKPFTAPRGK -PPKPSVLESAKDTIVNFLEQTLEPAKKWSYSQACQSLDKTTSSGDPHHVRKNDHWNGETFTGPLADQASK -ANLLYEQGKHAQPVYTAALKDELVKTEKIYGTVKKRLLWGSDLGTMIRCARAFGGLMEEMKENCILLPIR -VGMNMNEDGPAIFERHARHTYHFDADYSRWDSTQQRGILAAALDIMVKFSAEPELAQVVAEDLLAPSVLD -VGDFRISIVEGLPSGVPCTSQWNSFAHWLITLCAMSEVTGLSPDVIQAHSCFSFYGDDEIVSTDITLDPN -MLTEKLKEYGLKPTRPDKTEGPLVIQKNLSGLTFLRRTIVRDPAGWFGKLDRDSILRQLYWTRGPNHEDP -METMVPHSQRAIQLMALLGEASLHGSNFYGKVSRMVINEIKEGGLEFYVPRHEAMFRWMRFSDLSTWEGD -RNLAPSGVNEDGV ->AGT39196.1 ORF1 [Norovirus Hu/GII.12/CGMH40/2010/TW] -MKMAASNDASAAAAAISNNDIAKSSSDGVLSSMAVTFKRALGARPKQPPPRETTQKQKPPRPPTPELIKK -IPPPPPNGEDDIVVSYSAKEGVSGLPELSTVRQPDETNTAFSVPPLNQRENRDAKEPLPGTILEMWDGEI -YHYGLYVERGLVLGVHKPPAAISLAKVELTPLSLYWRPVYTPQYLISPETLKKLHGETFPYTAFDNNCYA -FCCWVLDLNDSWLSRRMIQRTTGFFRPYQDWNRKPLPTMDDSKLKKVANIVLCALSSLFTRPIKDIIGKL -RPLNILNILASCDWTFAGVVESLILLAELFGVFWTPPDVSAMIAPLLGDYELQGPEDLAVELVPVVMGGI -GLVLGFTKEKIGKMLSSAASTLRACKDLGAYGLEILKLVMKWFFPKKDEANELAMVRSIEDAVLDLEAIE -NNHMTTLLKDKDSLATYMKTLDLEEEKARKLSTKSASPDIVGTINSLLARIAAARSLVHRAKEELSSRPR -PVVVMISGKPGIGKTHLARDLAKKVAATLTGDQRVGLIPRNGVDHWDAYKGERVVLWDDYGMSNPIHDAL -RLQELADTCPLTLNCDRIENKGKVFDSDAIIITTNLANPAPLDYVNFEACSRRIDFLVYADAPDVEKAKR -DFPGQPDMWKDAFRPDFSHIKLMLAPQGGFDKNGNTPHGKGVMKTLTSGSLVARASGLLHERLDEYELQG -PTPTTFNFDQNKVFAFRQLAAENKYGLMDTMRVGSQLKNVKTVSELKQALKNIAIRRCQIVYSGLTYSLE -SDGKGDVRVEKVQSPAVQTNNELTGALHHLRCARIRYYVKCVQEALYSIIQIAGAAFVTTRIAKRMNIQN -LWSRPQVEDEEETTSKDGCPKSKDEEEFVISSEDIKAEGKKGKNKSGRGKKHTAFSSKGLSDEEYDEYKR -IREERNGKYSIEEYLQDRDKYYEEVAIARATEEDFCEEEEAKIRQRIFRPTRKQRKEERASLGLVTGSEI -RKRNPDDFKPKGKLWADDDRSVDYNEKLSFEAPPSIWSRIVNFGSGWGFWVSPSLFVTSTHVIPQGAQEF -FGVSIKQIQIHKSGEFCRLRFPKPIRTDVTGMILEEGAPEGTVATLLIKRPTGELMPLAARMGTHATMRI -QGRTVGGQMGMLLTGSNAKSMDLGTTPGDCGCPYIYKRGNDYVVIGVHTAAARGGNTVICATQGSEGEAV -LEGGDNKGTYCGAPILGPGNAPKLSTKTKFWRSSTAPLPPGTYEPAYLGGKDPRVKGGPSLQQVMRDQLK -PFTEPRGKPPKPSVLEAAKKTIINVLEQTIDPPQKWTFAQACASLDKTTSSGYPHHVRKNEHWNGESFTG -KLADQASKANLMFEEGKHMTPVYTGALKDELVKTDKIYGKIKKRLLWGSDLATMIRCARAFGGLMDELKA -HCVTLPIRVGMNMNEDGPIIFEKHSRYTYHYDADYSRWDSTQQRAVLAAALEIMVKFSPEPHLAQIVAED -LLSPSVMDVGDFKISITEGLPSGVPCTSQWNSIAHWLLTLCALSEVTDLSPDIIQANSLFSFYGDDEIVS -TDIKLDPEKLTAKLKEYGLKPTRPDKTEGPLVISEDLDGLTFLRRTVTRDPAGWFGKLEQSSILRQMYWT -RGPNHEDPAETMIPHSQRPIQLMSLLGEAALHGPSFYSKISKLVISELKEGGMDFYVPRQEPMFRWMRFS -DLSTWEGDRNLAPNFVNEDGVE ->AAX32879.2 RNA-dependent RNA polymerase [Norovirus swine/GII/OH-QW125/03/US] -MMASNDVNVAAGDNTNGNEKQTKMPTSGIFTNVKVGIKKILGDRDCDAQPPAPTPREKPEPPPPPEETVA -GEPPPEGCVAYHKDGDRIDGLPRGPADAEPKCMAYSAPPPHEREDGPAMEPLPGSILEMWDGEIYHYGLY -VNDGLVLGVHRPASAICTATIELTPLSLYWRVVHVPQNVIPSETLKRLAGEKFPYTAFDNNCYAFCCWVL -DLNDSWLSRKMINRTTGFYKPYQVWNRKPLPTMDDGKMKKVTNVLLCALSSLFTRPIKDVIGSLKPLNLL -NLLASCDWTFGGIVETLVLVGELFGIFWTPPDVSAFLAPLLGDYELQGPQDLATELVPILMGGVGMLLGF -TTEKIGRMFTSAANTLRSCRDIGAYGLDVLKLIMKWFFPKADEESELAMVRSIEDAVLDMEAIENNHLTS -LLKDRDSLATFMKTLDVEEEKARRLSTKSASPDIVGTINALLARISAARSLVHRAKEEMSNRVKPVVVMI -SGRPGIGKTHMARELARKIGATMSGDQRVGLVPRNGVDHWDAYKGERIVLWDDYGMSNPVKDALTLQELA -DTCPVTLNCDRIENKGKMFDSDVIIITTNLANPAPLDYVNFEACSRRIDFLVYAEAPDVEKAKRDFPGQP -DMWKGAFKDDSSHIKLSLAPQGGFDKNGNTPYGKGVMKTLTQASLTARVLGLVHERIDEFELQGDTGAVY -NFDNNKIGAFRKLAADNKYGLMETMRVGAKLQSVKNIDQLRANLRDITIKPCLIIYNSSTYSLESNGKGN -VVIKKVENQVVQSRNTIHTAILRMKQAKIRYYIKCIQEAIYSLLQVAGASFVVARITRKFTRDAWSHWDN -TPDQAPPVPTPPQDASWEIAAADVTPEGKKGKNKKGRGKKHTAFSSKGLSDEEYDEYKRIREERNGKYSI -EEYLQDRDRFYEELTIAQATEENFGDDDEMRLRQRIFRPSKKQRKEERGVLGLVTGSEIRKRKPDDFKPR -GNLWADDNREVDYSAKIDFEAPPSVWSRIVNFGTGWAFWVSPSLLITSTHVIPRGITEAFGVPIEQIQVH -RSGEFCRFRFPKPIRPDVSGMILEEGAPEGTVCSILIKRTTGEMMPLAVRMGTHATMKIQGRTVGGQMGM -LLTGSNAKNMDLGTTPGDCGCPYIYKRGNDYVVAGVHTAAARGGNTVVCATQGPEGEAALEGSGSFGTYC -GAPILGVGDAPKLSTKTKFWRSSTTPLPPGTYEPAYLGGKDPRVEGGPSLQQVMRDQLKPFTEPRGKMPK -PATLESAKATIINVLDQTLDPPKKWTYAQACQSLDKTTSSGHPHHVRKNDHWNGDSFTGPLADQASKANL -MYEQGKHMQPVYTAALKDELVKTDKIYKKIKKRLLWGSDLGTMVRCARAFGGLLDELKANCINLPCRVGI -NINEDGPAIFERHSRYTYHLDADYSRWDSTQQRAILATAMEIMVRFSPEPELAQVVVEDLLSPSVLDIGD -FKISVLEGLPSGVPCTSQWNSIAHWLLTLCAISESTGLSPDVVQAYSCFSFYGDDEIISTDIEIKPEVLT -EKLKSYGLIPTRPDKSEGPLVISKDLSGLTFLRRTITRDPSGWFGKLDKDSILRQLYWTRGPNHDDPYET -MTTHSQRATQLMALLGEASLHGAAFYSKVSKLVVTEIKESGLEFYVPRQEAMFRWMRFSDLSTWEGDRNL -APEGVNDDGIE ->ADG27878.1 polyprotein [Calicivirus pig/NC-WGP93C/USA/2009] -MSVTTDMAVQGVVRDALKLAGAVKAPRILSGECPEPVPGSLLEMDEGDMFHYGVYLSHGYILDTVGVADD -GVTGTVVVRTISHPWRDTRFKINCSVEELLTRVPAKVDYDLFVNNCWGMWKEWIPSLPDWYERERVLQTC -GPFHVPHQPWVDPNGKFLEQDYDCKHNTHTMLVIVKSCLRFLSKVSLKVLTKVKPLVLYHLLATTRPTFG -GVLATFARLLELYEVPLMDNILSFATLESGTRGWREGLNMLITGFGVVASFFCKKPLDWLNGALKRLTSA -LKGSEDLATAANKVANVAKVLLPGILEDHWDADAAAATTLEEILHDSCAAELADPATRQMAITLAQKTVS -NLKKYQVLVTGDEGAIKRALQRAEAALARLVLNQEDMTPRAQPYVLVLAGPPGCGKTTLATAIARAAAET -LGGGVFQLNSDIDHWDSYTNQPVVCWEDFGAADHQADCRLLQKLADTAPLTLNCDKLENKGRAFTSRLIV -ITTNWQRLVPPEYAHPDAVLRRVTRHIYVRSQALEEWCRKGRIGPPPVAPDWSHQTLVGLPPMSVDWKGT -TIYGRAQPLRIDYGAIVRPLMKAVLEGTRYLDLTGAMRELNLNMFQGMRLLAQLKMGMKPPIPYTGQPFR -LNSHGYHIEVFSNNGRADYRNITETTTSPTDQLLAEIQAELDHQDLTGTKRPDINFLRKEASQRSATSVQ -DLLTITITNMEVNWRTLVRLACQGLNFLFGVVAVTDTNTTASDLALVRTLGFEAKGKTKRGRGQKHHVRG -GPRYRFFQAEYDDFLARRADAKRRGVVYTVEDYLDDIGAYEGDDVYNYTGEEPGFQAPSRVPPRLLDEGK -NHVGWAIVDGERLVTPTHVAKAAHWVWKGEKMFPVQLKGAAGEYAEIDAGPLRGKPLEWVAEVEPHSLAH -LWVDRDGKPERVIGRVLSTADVLVEGSRVAGVRIKVVTGETRAGDCGRPWVQVDACGVERVLALHTASLP -GLGIAFGQRKVQFEAARKPPPPNLVRSHPLADGTHLWLTPYGKVTERRSGPPALGKNDPRNPTPLLTLAL -TGLEPFLGPDTSKEPQCAAVVTVQVLLKLRSLVGRLDPWDDEIAFASLDQATSSGYPNYTNKDPTRAHIQ -ATRARVEDFMQGKGELHPPVYTAALKDEPYLLEKVAAGKRRLLFCSPFETTMACARVFGPVTEALKSVRL -RWPGCVGVKPAVEWAFLVHHLEMDGTLTYCADYSRWDSTLPRWLLKRALWVMTKLSTFSRAHEFVDFLSQ -PRWVICGAKKFLVEKGLPSGIPMTSILNCVAHWIASAISLTNCGYDPSEAIRVPLLVYGDDEVIALKPEL -SAAYFDHMRALGFCPTGPDKGPTIHGVKATAMEFLSRRTRWEGGTYVGALKEESIRRQLHLTRGPKHTDP -TEVRLPHAEYVTQLHCALGEASLHGRKFFHAFCDEVAEAVRLSDIDFDFWTFQAYLDWVQSEAMELEGAK -DSGVSVPAGATLAENPTPAPLPENTAGLALAPTINPIDPYILETFVEVPGGVFTIGPDTTTNQVLLELPV -GPNLNMYLNHLWMMYAGWSGGFEIQIQVAGNAFVSGKIIFAVVPPGVHLPTNSTAASGYPHVILDLRVAD -SIHLQVPDVKNISYHLHGEEGKNARLVAMAYTQMRATAPTAEFQVEVRMLSRPLADFAFTMVVPPEQEAA -LTIWSLPTRPVALMTNPRFPVGPIKALVADPAVTQVYQQLGRYSFEEGGPLGCSTLGPAQLWPFVGIYHA -SSQSIETMTGPEFDDPFIIGAQPTALGRCDFGGSFRALVAEYNPADNTIGRGSLGNFVAQTDYGPGVRIG -LSLESISDGSHVVVQVMASNAATNNELNTSPRPIWDWPDAVAPVVTPNPAETLLMFHSSIPGADNRTARE -VSCLLPSELFASFLETKDNWQPGNCALVSYTLDGLPLFEAKIYSSGFMTVPATTVVRRWDSGGYFTFLRW -VNWNYVMTPLRGAGAARWL ->CAA09480.1 viral non-structural polyprotein [Bovine calicivirus strain Jena] -MDSSNVKVAGPLANKVSDPESAFAKLKAKFSSLRGPPPDPPQASSDTPQGSPPIRLPAAWEPDDQSPPPP -DPAPVRFSQSAVDLDVHFPHSADGPSWNGCPVPPAQERSEATPGEPPIGAILEFYEGYIFHYAIYVGGGQ -TIGVHSPQMALSVPKITVQQIMAWWRVAYIPRRPPPRDHLMALEGERWPYASVTSNCYTFCCKILDLDDD -WLHRRLVRSGPFHHPTQPWNQAVPEFHQDSKLELVRDAILSALNGLVSKPMRELVNMIKPLNVLAILSHC -DWSFTGIVEAAVLLAELFGILWNPPDVANFLAELTPDCVLQGPEDLARDIVPVILGGIGLALGFTKDRAT -KLLKSAADGLRAASSLGQYGIEIFNIVKKYLFGDTTGQTLKRVEAAVIDLEVLASNNITEVVRDRSSTQA -YIRTLDLEEERVRELSCKSSDPHVVASVNALLGRIATARSALAKAQSEMSNRVRPVVIMLSGPPGIGKTK -LAEHIAHHLARSIRPGGKVGLVPREAVDHWDGYKGQEVMLWDDYGMANIVGDCNKLQAIADTAPVSLNCD -RIENKGMTFTSEAIFITTNAPGPAPLDHMNLGPVCRRVDFLVYCNSQEVENHRRQNPGDTQGLNALFKKD -FSHLQLQLAPQGGFDCMGNTPYGKGAMSKTSLSRLLASATALALERLEDFQLQAPEYDFDKNRVTAFTRM -ASDNGLGVMAAMRLGNRLKNVTTITELESALFGHTIAPCQIVWRGARYSMHTDGKNMSIQQVTTPAPVEE -VNYALKRLAAARAVTYWSLAANLVTTTIQAAASALVVHRAVQRIRSSPTQLESHNCRYHRAERENREVDG -AKVTGHLGMVDRYGLCESEEEEVTPTPPPQKKKAAPIMKTQLESKKGKKGKSNAFSRRGLSDEEYDEYKK -IREDRGGNYSIQEYLEDRERFERDLAERQADDADYDVGAIRQKYFGRGKAAKAQRKRIDWNPTGPLWADD -DRQVDYDEVIDFQAPPSIWSRIQKFGSGWGCWVSPTLFITSSHVIPDGCSEAFGVPIAEVAVTSSGEFTQ -FRFPKPIRPDVSGLILEEGAPEGTVATVLIKRASGELVPLAVRMSTTTSTKVQGKVINGQTGMLLTGANA -KGMDLGTFPGDCGCPYFYKRGNDWIVFGVHAAATKSGNTVICAIPNSQEAVALEGGHGTYVGHPIVGPGT -APKLFTKTKFWRSSPAPLPPGTYEPAYLGGKDPRVEGGPSLQQVLRDQLAQFSAPRGKLPRPELLEAAVE -TVTAALEQVMDQPKPWSFSDACQSLDKTTSSGHPHYVRKNDQWNGTAFTGALGEQANHANNMYRQGKSMR -PYYAAALKDELVAPRKIYEVQKKRLLWGADLATVIRAAMAFGPFCDAIKEHVVELPIKIGMNAIEDGPVI -YQKHSQFKFSFDADYSAWDSTQQREVMRRSLSIMTKLTAEPELAQVVAEDLLKPSHLDVGDFLLAVQEGL -PSGFPCTSQVNSINHWILTLCALSEVTNLAPDVVQANSYFSFYGDDEIVSTDLEFDPARLTEVLKRYGLK -PTRPDKTEGPIEVKQQVDGLVFLRRTISHDARGFQGRLDRESILRQLYWTRGSNHDDPSESMVPHSNRKI -QLLSLLGEASLHGEKFYRRVGSLVTAEARSGGMEIFVPSFRSMFAWMRFHDLSLWEGSRDILPDFVNEDD diff --git a/seq/clusters_seq/cluster_920 b/seq/clusters_seq/cluster_920 deleted file mode 100644 index e6c22bc..0000000 --- a/seq/clusters_seq/cluster_920 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_007188581.1 NS3B protein [Betacoronavirus England 1] -MDYVSLLNQIWQKYLNSPYTTCLYIPKPTAKYTPLVGTSLHPVLWNCQLSFAGYTESAVNSTKALAKQDA -AQRIAWLLHKDGGIPDGCSLYLRHSSLFAQSEEEESFSN - ->YP_009361859.1 ORF4a protein [Bat coronavirus] -MDYVSLLNQIWQKYLNLPDTVCLYIPKPASSFKPVAGTSLHPVQWECKITFAGYTEVAVNSTKALAKQDA -ARRIMWLLHRDGGIPDGCSLHMRHSSIFSDVPEETPFSE - ->YP_001039964.1 hypothetical protein BatCoVHKU5_gp4 [Pipistrellus bat coronavirus HKU5] -MDYVSLLNQVWQKQVNSSQEGTLAPVRPTYAYRPVQGNLQCPIKWRCIYTFAGYTGTATEPTKVLAKQEA -ARKVCLRLQEYGRLDGFGLRLRYSTAFEHNRYDASKSYFYTQTSSSSHE - ->YP_001039955.1 hypothetical protein BatCoVHKU4_gp4 [Tylonycteris bat coronavirus HKU4] -MDYVSLLNQFWQKQIKSYKETPSQYHYLYPPRFFYKPVLGNLQHPTKWCCTIKFYEYSAQATECTKASAK -QDAARLICEQLQAAGLLNGMELRFRSSASDIFGQNRYDASKSYFFSKTA - diff --git a/seq/clusters_seq/cluster_921 b/seq/clusters_seq/cluster_921 deleted file mode 100644 index 29d0f21..0000000 --- a/seq/clusters_seq/cluster_921 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009825058.1 ORF7b protein [SARS coronavirus Tor2] -MNELTLIDFYLCFLAFLLFLVLIMLIIFWFSLEIQDLEEPCTKV - ->YP_009725318.1 ORF7b [Severe acute respiratory syndrome coronavirus 2] -MIELSLIDFYLCFLAFLLFLVLIMLIIFWFSLELQDHNETCHA - ->sp|P0C5A9.1|NS7B_BC279 RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b -MNELTLIDFYLCFLAFLLFLVLIMLIIFWFSLELQDIEEPCNKV - ->sp|Q3LZX6.1|NS7B_BCHK3 RecName: Full=Non-structural protein 7b; Short=ns7b; AltName: Full=Accessory protein 7b -MNELTLIDFYLCFLAFLLFLVLIMLLIFWFSLEIQDIEEPCNKV - diff --git a/seq/clusters_seq/cluster_922 b/seq/clusters_seq/cluster_922 deleted file mode 100644 index d1ee7b6..0000000 --- a/seq/clusters_seq/cluster_922 +++ /dev/null @@ -1,19 +0,0 @@ ->YP_009179374.1 3A [sicinivirus A1] -GLVLEDELVALDEQEEPERHGVPERTASMPCLPTSMNGETLQHAGSAPIPTSLRPRACRTYEVFHEPCPD -PYCTDWPNPTPERPTHAPVRRSVLEESPLTQAIQQNKPLTFIEKLWQYRKPLFLTSAFLSAVSAISTIAL -FVKSLISKPQ - ->YP_009055000.1 3A [Chicken picornavirus 1] -GRVYERHLEEPDKQEEPERHGVPERTASMPCLPTCTDGETLQHAGSAPIPTSLRPRACRTYEVFHEPCPD -PFCTDWPKPTPERPTHAPVRRSVLEESPLTQAIQQNKPLSFVEKLWQYRKPLFLTSAFLSAVSAISTIAL -FVKSLISKPQ - ->YP_009021774.1 3A [Sicinivirus A] -GRIQDILEQMRSTELEPERHGIPERTESMPCLPTSSDGETLQHASSGPIPAYLRPRACRTYDIFHEPCPD -PFCTDWPRPTPERPIHAPVRRSVVEESPLTQAIERNKPLSFVEKIWQYRKPIFLTSAFLSAVSAISTIAF -FIKSLISKPQAAYTGKPPVKKAKKEPETQ - ->YP_003038641.1 3A [Salivirus NG-J1] -GGNADAPAPSAAPLPSVIPSVPSQDPFTRAVNENRPVSFLSKIWSWRAPIFAASSFLSLIAATLTIVRCL -RDLRSTQ - diff --git a/seq/clusters_seq/cluster_923 b/seq/clusters_seq/cluster_923 deleted file mode 100644 index ddea10b..0000000 --- a/seq/clusters_seq/cluster_923 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009179369.1 VP3 [sicinivirus A1] -GVPMAQYLPEHELTDYIPGSFDSFMRFANTPGLIRTLRWGSEYGPGQYLLRLNLNSVSLGPDTHTPLAYV -LSSFAQQRGSLSFDLVFVGAQVQSGRLLVSITPPSQTPPQSVEDALRGHSLTWDVTVSCNCSFHAPFFSA -NAWRSLAVDGSATSALYNSWGWLSVFVYTPLLSTPFSCDYATVHVFVRAGPEFVTRIPSGLAASIQ - ->YP_009054996.1 VP3 [Chicken picornavirus 1] -GVPMAQYLPEHESAEYIPGHFDNFMRYANTPGLLRTLRWSSEMDHGTPLLQLNLNAISLGPDTHTPLSYV -LSSFSQQRGSLSFDLVFAGTQMHSGRLLISVTPPSAHPPRDVEDALRGHSLTWDVTVSCNCSFHAPFFSP -TAWRSLAMDGTALNTLYNSWGWLSVFVYTPLMTTPFSCDYADFYIFVRAGPEFVTRIPSGLAASIQ - ->YP_009021769.1 VP3 [Sicinivirus A] -GVPMAQYLPEHESADYIPGHFDNFARFANAPGLLRTVRWLSEYTPNTPLLRLNLNSISLGPDTHTPLSYV -LSAFAQQRGSLSFDLVFVGAQVQSGRLLISITPPSQAPPASVEEALRGHSLTWDVTVSCCSSFHAPFFSA -AAWRSLAIDGSTTKALYNSWGWLSVFVYTPLLSTPFSCDYADVHIFVRAGPEFVVRVPSGLAASIQVQ - ->YP_003853290.1 VP3 [Passerivirus A1] -GVKVRETSATGNAYVTSCSAGTSIPALPYIPSNPPTTYVPGRTTNYMGLANQPGLMALVDIGMDSPVGTK -LLCQKIDPISLTTPISGTLTPLGQTLTHFSQWRGTLDIDLVFSGSQQNTGRILVSTTPPVAGCPATMEAA -MRNTCYIWDLTTSASISITIPYFSSTPWKTLSRSTSLSLSVNQLAGWLGLYVYSPLSCPAFNPDQATIAV -FIRGGADFEARIPSGLATATIQ - diff --git a/seq/clusters_seq/cluster_924 b/seq/clusters_seq/cluster_924 deleted file mode 100644 index 436cf69..0000000 --- a/seq/clusters_seq/cluster_924 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_001686938.1 VP4 [bovine rhinitis B virus 1] -GAGSSKPQSGNVNESGNSGSIINNYYMQQYQNSIDVTVGDKTTEGGSGSGDTAGSATHNNTTKEDKGKDD -WFSSLVSGIGSAIPGAVVGLLA - ->YP_009513255.1 VP4 [Equine rhinitis A virus] -GAGTSTPTTGNQNMSGNSGSIVQNFYMQQYQNSIDADLGDNVISPEGQGSNTSSSTSSSQSSGLGGWFSS -LLNLGTKLLA - ->YP_009508909.1 VP4 [Bovine rhinitis A virus] -GGGQSKPQSGNMNQSGNSGSVVNNYYMQQYQNSIDTTLGDKPVIGGSGQGDTAGSATHNQNTTSPSGGGG -MDWFGHLTNLASNVLPAAIGLLA - ->NP_740361.1 VP4 [Equine rhinitis B virus 1] -GAGHSRPEGGHNNESGNNGTIVNNYYMQHYQNSVDLDGMTSQNIGGQPGSSSNPFSSILDILGTASSVAL -L - diff --git a/seq/clusters_seq/cluster_925 b/seq/clusters_seq/cluster_925 deleted file mode 100644 index accd43c..0000000 --- a/seq/clusters_seq/cluster_925 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_001950222.1 capsid protein VP4 [Human TMEV-like cardiovirus] -GNSNSSDKNNSQSSGNEGVIINNYYSNQYQNSIDLSANANGVGKENSKPQGQLMNILGSAADAFKNIAPL -LM - ->YP_001816882.1 capsid protein VP4 [Saffold virus] -GNSNSSDKNNSQSSGNEGVIINNYYSNQYQNSIDLSANANGVGKENTKPQGQLMNILGSAADAFKNIAPL -LM - ->NP_740424.1 capsid protein VP4 [Theilovirus] -GNASSSDKSNSQSSGNEGVIINNFYSNQYQNSIDLSASGGNAGDAPQNNGQLSSILGGAANAFATMAPLL -M - ->NP_740402.1 protein 1A [Encephalomyocarditis virus] -GNSTSSDKNNSSSEGNEGVIINNFYSNQYQNSIDLSANAAGSDPPRTYGQFSNLFSGAVNAFSNMLPLLA - diff --git a/seq/clusters_seq/cluster_926 b/seq/clusters_seq/cluster_926 deleted file mode 100644 index 21a7bd7..0000000 --- a/seq/clusters_seq/cluster_926 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009724396.1 ORF8 protein [Severe acute respiratory syndrome coronavirus 2] -MKFLVFLGIITTVAAFHQECSLQSCTQHQPYVVDDPCPIHFYSKWYIRVGARKSAPLIELCVDEAGSKSP -IQYIDIGNYTVSCLPFTINCQEPKLGSLVVRCSFYEDFLEYHDVRVVLDFI - ->sp|Q3LZX5.1|NS8_BCHK3 RecName: Full=Non-structural protein 8; Short=ns8; AltName: Full=Accessory protein 8; Flags: Precursor -MKLLIVFGLLASVYCFHRECSIQECCENQPYQIEDPCPIHYYSDWFIKIGSRKSARLVQLCEGDYGRRIP -IHYEMFGNYTISCEPLEINCQAPPVGSLIVRCSYDYDFVEHHDVRVVLDFI - ->sp|Q0Q469.1|NS8_BC279 RecName: Full=Non-structural protein 8; Short=ns8; AltName: Full=Accessory protein 8; Flags: Precursor -MKLLIVFGLLTSVYCIHKECSIQECCENQPYQIEDPCPIHYYSDWFIKIGSRKSARLVQLCEGDYGKRIP -IHYEMFGNYTISCEPLEINCQAPPVGSLIVRCSYDYDFVEHHDVRVVLDFI - ->sp|Q3I5I8.1|NS8_BCRP3 RecName: Full=Non-structural protein 8; Short=ns8; AltName: Full=Accessory protein 8; Flags: Precursor -MKLLIVFGLLTSVYCIHKECSIQECCENQPYQIEDPCPIHYYSDWFIKIGSRKSARLVQLCEGDYGKRIP -IHYQMFGNYTISCEPLEINCQAPPVGSLIVRCSYDYDFVEHHDVRVVLDFV - diff --git a/seq/clusters_seq/cluster_927 b/seq/clusters_seq/cluster_927 deleted file mode 100644 index 570919d..0000000 --- a/seq/clusters_seq/cluster_927 +++ /dev/null @@ -1,108 +0,0 @@ ->YP_009551963.1 replicase [Cherry virus Trakiya] -MEISKQTKQTPQLASSIEDLIAQLDRQFTIGNSFSSNRSRKQRKRKPHVRECKILRKSLDKKTLRLMKLV -EPTSGIEALIPKEYDTHSVAIEESIEIVPPTPEEIYHEMLDEIERWLVVCCPRTRELDAYMCLMDLDGYL -DPVSGLRIPLHILEFVSGAYEHVVDFMLDHRDSMPLWRIRRLIRRSVELQALAAAKAFVKRKFLKVGVVR -RFKKKYERFLFIYSMNYLFHDIYPQQVEATSRISQLLHGIGASIYSAKQFYDAGTSDTANDQIKRSLMNW -SMSLVSVIKANRITDWVLWALQFVNIFTPLESAVAQFWELIRELFQRLPTLGFGPQQQVIGQVVEPTSNI -EEMISKACEGLASNVGLVAAVLSAISVFAGSVLVGSSMPDVSKASNIAEKLIKSGSHIAKTKTGVYAIIQ -MISDFKPWIETSVSHLLKENVQDSFSKCIADCDIEDTEDFKKTELFEYINELIHPENYQKIRQNKLKQKC -LNWCHSVIFRVLEKNVTESVLSTAAVQHLTKLYTELGKVRVGVYRYASQSTTRFVPMWINIVGAAGTRKS -TYMMKFANNMIAALRKLGKYDVPGDDCIFSVNFTDRYMTDYRQEYCVLVDDIFQDAAPLGERSSALDIIS -WVSNIPHHTNQAAMEDKGIPFTSKLLISTSNVEPAALQRKEIVCPEALKRRMSCYQFVFDRKAPYDRMLE -ARMRIYKIDPLAEHGQKTLIKSARDMCAMALKEYSEHYFRQMRLIENSGADPECVDFMINSLNEELKGVD -TVDTLPDIQNTLRKMKVPGVQEPKEYPSHHPGIKAWLRSQSVMQQPPSTSFANIEEPIMENSSEDEVEPT -SYEAEKNPVEATSLLCDMHHMLFGRYMWVETEAGNRARVYDIKKYPHWHCRNAYYANYVDVCVETGEAVR -DIDEFYKESSKLTQLTETMGQQIKSFGSYLAAGLAFVKSKPTWMFLTAALALAVSYKIFFSKNKSSDVVD -ELIPTAMQYDYGKPVQARPAKARIVTPTSGAGDAYMQDFTVTGTDTNAMDLVLNTLIGKGQIVRLITILP -EDKCSTAVAVRIGGTTILANHHYFTSLKKGQRFTVEVPHYIRGVTKVQQCFDPRRLARIGTLDACLYRCD -NAIQNAKVITHHFSKDESNIKSINAIIASRNPEAMYVSNVTAVPNTVPLTYKDSTETVRYSVLGDYEVLN -YACPKGTSGSLLVALDPYTPRKLLGIQTSRNTDRKSAYFAPLTESMLLDACREIGETLNATPVERDLVVE -QTSVIFDDNCPPNLGHQSLQYIGTMPKSHTIAFQTKSKIQPSLIQDVPSLTKAPAALDKWDVRLHPDVRG -KNLMFKNVEGYDQAQYGALKLDLLDIVEEALANEYSVVRSIPGIQRRLLNDDEMVNGIRGKINPLDMSTS -PGYPWVKQRIDTNTPGKYEWFSEWIEEDGRKMYTMRDDLEAAVRSRELAAQSGRRVPTIGYTCLKDETRP -LLRVENGVTRIFICLPMDYNLLIRKYFGMFIATQHAKAGEQPSSVGLDPTTDWKKLFNRLRTKGNDWEDF -DYKNWDQTLHPEFLFRYARIVNKWYGDADDSPAGKVRITLVLELAHTFLMIGDKLFLKSGGQDSGCAITA -EINCDVHDILMLYVFLYLLVEEKEKKGNTPELKQQFDRAWQDVLTYYRENVAIAVYGDDIVKSCTPEVQR -WFNGNTIAPIMQEIGMKITPADKDSTEFRVKPPEEVTFLKRSFKPDPQYPQRTIRCPLDLKTIWNIPQWI -KKGNDEIEATRVNCEMALREMYMYGKNEFNTARDELNRRIREHNIAHPGREIPPMTLSYDVLEKSYEEGN -LEICFPKGWRELREEDFEDLGFD - ->YP_009337724.1 hypothetical protein 2 [Hubei picorna-like virus 51] -MYNFLISRSSSFTSSSSSSYDSNDNCSSSDEQGSCLFNSVFKFSNPGLIYGSYFRETTFDPNRRFDELYP -DAITVWKVSKCKYDHAYLPETSELLNKQCYVPILECARDKVEAFDSYLVDMYHRNDGYDEVDYTMPGFHH -LDDLIIDDCQEMFTMYPYYFSRQPLIESLLNGNSLSPFTCTHTRPLGERTVVPTSLTKGVGAGLYSAYNF -ITFNNTQVDIDKMLIRWSLELTQLVTNFSVTNLIFTFLKVLNEWFDIRDVADKTLQLFNKIYSYLNGSPT -QSTSGNSVVATSLVTNLNALSSIQGSAVAIASAFGSIVIVFATLITGRDLSSIFDFNFTQVANSLANMSK -IKSGLAATKEMLLKFNSFLYETIFDFLGIECESHLVTLLRSSTVVESENCKKIEVFDYAKFLVNPDNLIV -VQSNSAQRKRLEFTYDVLHELQFQIANQITTIPQPMVQYIKETMVELQKVRKAVSKSAKGQTTRFVPFWT -NLIGESHTGKSSLTSIITQVLITMLRKTEEQLGLDFEIPSEDNVEYHVNFCDKYETNYTGQYIAVIDDFA -QDASGTLETNSALKMINWISNIPYSTNQAQLDNKGIPFVSKIIMSTSNDMSLANRKEIISRDALLNRMQL -CFNFTIDKTQPKHKWLPTNVRIDLHDFKNNKAIIRGITPERMIQIIYERYVAWFKKERMMEDLRKVEPEV -IEKIMKDIPMLQPAVASSSSQTQNSEALSSSQQTQKSDTVLTPQPQITGAPSSPPQTQTSGNVLPSQPEI -TEALSSSQKTQNSDRQVEATSRMMCRFGLHPGYRVIYEGKKGYDCDCQWHASMNEKFRLYSSINRTPNNF -GIDEYIQHQHTIDKQIECLQSSLKSGWQRIKELADRYLNTPYCKAIMGAVTLAISSTVLWNYLSQDNDET -IVEPTAKYTIGVRRAKQKKAVIATSGLSNIEHFFNDSYCQNAANIAKDLIIKRGAICRLASKTQINTGLR -IAGEAILSNHHFFNMIEEGSQFKIFYNDLVGKNHEVSQIFSRNRLERIENTDLAVYNCDTSLPASKNIIK -HFPNNEVMPQYQKSIVITADPTPMVYNNVIAKPTLVKAHYTMGEETYSTLDNYETNCPVTFGMSGSVLFS -LNSAQKHKIIGIQTCRNNDGIDQHGYYKPVTQDQLNKALSKLKVSTIYSDIDKAVEGTSLILDERVPPNL -KNNSLTYLGTVPKTKQIKQQNVSKIIESMVHKMEVRTQEPSVLNDNDDRMHKDLIGKSIIFRAIEGFDHP -IGSLDTRLLDKAAEWLTVEYDVMLDLRGIPRRILTDFEAINGVPGVFLRIDMKTSPGYPFVLERKQTTIG -GKYEWFDEIDPPEGYGKAYQMKSSLARGLEQTEHELRNGDNPLFLAYACLKDETRPLDKIAKGKTRAFIC -LPLHYNLLIRKYFGAFTSALKLKAGAVSSCVGVDPAKDWKRLYHKLMAKSPLWEDFDYANWDQHLHPELV -MKVAEIVSNWYDDGETNATVRRTLLYTLVHTFIIVKDRLFLKSQGQCSGCAITAELNCVIHDLLMVYVWL -KYHRDNELETSLTEMRENVAICVYGDDIIMACDKEYVLPFNGNVIAPYMEELGMNITPGDKVSVTFDLKE -PAEIFFLKRNFVRDGDKILAPLRSDIVENIIQWIHKSDDNIEATKVNCETALQESYMHGKQYFNKLVNEI -NSRVKAVNRMTPGLLQPVMVDYDALERKYIGGHFICAGLSERPAMME - ->YP_009345910.1 non-structural polyprotein [Bat badicivirus 1] -MTVQSKEKNTSVQNEKPHIISSHQCRKASYSSAYQATNAEQSFSPMWWALHGVRGVSGLVSETCATLLNY -TYGEDHPESKKLEMAVSRISLMSIQLAQNVSFSSIVVFLLQLTTEFGFTPFDMIRKLIGLYSDARLCSTQ -LIQSMGDAVKALLVKMKVLGGDDEVVPDPIQQIGVNAEQSMDLVDPSHAAAVAGILGGVAVILGAMFAGV -QDYSANKGFLKSIAEFGSKTSKMKNGLYALLACVRDFSSFVKECVLNYFGTSPADSVVKAVDSLKFTFND -EPVNAAQLFKVFAELNTPEGEEVMSCDLEKLNKGTAICGVLSRVLSGQIASAFNIPTGTVSLLSQSLRTF -EGRVRNAGNKQNVGNIRFVPYVIWLGGVPGGGKSVTMTHLSPDLMSALQHEDAERYQIPSQDNWTFTANF -TQEYHTGYNGQYQMRIDDVCQDKAGTLKQSSAMQFIQWVSSVPANMTQAALEDKKCPFRSKMVLCTSNEL -WPNRTHEIMSNEAFLRRRNVLIKAVPSAKIDPGLNWGLEFYKMNPLNSSDPGVKFESYYHMVKFILEDYK -RHFAAQTTLIDKVNNGNSEGFLAFLAEQSCQRVEEPEEPPARPLTPDVFDDMPTRQWGVPGFEFDHPFVR -GENWVAQNWALEMDQTAFNRMMTVLFYCRFPIYHFSATGRLTTPDLADHVVYEYDKVMIASMRNYEWDTE -YVQEAIAVARRIYGRVNAEQSAGFEDGIFSVKDTISNLIDAIVESTKRIDVFWEKISSGWCWKILAGVSA -MALVYFSVKRFGPSGGSWREMNADASYSHDVGRTKPRATMHTPSYNHEVGRSRPRAVQAVRSNFLDSIFH -KNVVKISWEGGPLDTFALNGIFIKDKVLLTCRHFFVGMDTDATLVVTRYPKYSSPVSCKVAFKPENLVRV -PGAEDAVLYNVESGVDNFRDISKKFFSGSLPEVMTAATASHYPSTETFIGQVTAFTENEPVLYKMGTGAE -MAEVIKGFIFQGLCLKGQSGSPLIADERVSRAPTLFGIQVAISTQHDSSYFEALCQAQIDDALSKFECEQ -SMILQQEPHRYEQEDEEAWFDAPEYVQEMCNSSLELVGRAKKVVPQPGKTRLLRSPLNELFEKLPGEKQY -LPSALRDRDVDILAKSMSGFSREYGKICPRTLKRTLEQFIDEDQVIRAGGQRRLLDDDELLNGIHGQGLK -GVELKTSPGIPLVFERAGHPGKTKWITMGDDNQRTMSPDAWDEFRKQEDMLRSGRRVPVTAYACLKDELR -TEEKVAAKKTRTFIILPMMFNLLIRKYFGTWISKQHSLAGRISSCVGIDVNRDWTDLSRKLKAKGKDIED -FDYSDWDRSLHPEWFSVYADRVSAWYGDKPGSAGFVVRRALMDQLVYMNVQVGPWLMRTHGGNKSGCAIT -AEINTDLHDMLVYYVWSRICDERGTPTIQSLPHFRERVSLALYGDDMLKATRADVTAWFNGDAMKKVISE -LGMHITPGDKDESAGFRIKTLEEVTFLKRRFISFDEDCGKVRAPLDKSVIQRIVLWIHASDSAVDATSEN -VRGALREAFFWGEDFFRDFRSRCLQSWHRSRCSVRPFPTVGYQDVFDAWRAGHGDLLPARYQFSEVPLVE -QRGGTVDV ->AIP90483.1 nonstructural polyprotein [Aphis glycines virus 1] -MEAQTTKTLGKQNFYENNAFTSQNLEDMSEDELIALLGASLSPAAAKAVQNQSCSKKRQKLAKKLVKLPT -PRTRKANLRLPKWRSVEPTSYIKTDLLSANEPEQVEPDVHDDYPFVPVQPPTAPPHYQAIDFIENAILNL -TRPGTSEQERLLLCLDFDTPITPSQYLRIKQHHLEFVASVLTEVLSEYRISMPDFYYRTMALRHIELIAA -ANAKSQLKRKVVKFRAIRRFTKYFEKWLFRLSFNYLFDKRVFPTVEPTSRITSVLSGIGASVFAGYNFYK -AGTGDDDTTSRFIMNWAMQGISVIQSGKFLDWLLWILSFINIFTPLTTVAMDLFNLLEKLLAKLPSFGFG -PQQATVGQAAEPTSLTEVFSVASTSFAGIASNVGTIATVLSIFTVCVSSMMLGLNIRDVSNAEGIASKFV -KAGVAISKGKSGLYAIIAMIGDLKPWIEQALSSMALINLDDAFSRAILACDIDDTSSLKKSEIFTHVEFL -TNPINYLQINQSHDEQIKLSWTHSVLSRVLETNAKTNVLGPAAVQLLTKHIAELNKVRAAVYRYVKDEDT -RFVPFWINIFGLAGTRKSTFMSKLAKTLIEALRRLGKYEVNGSNNIYSVNFTDKYMTGYKQEMCVLIDDI -FQDASPLGDRSSALDIISWVSNIPHHTNQAALDDKGLPFTSKIIISTSNVPPSALKRKEIVCDDALKRRM -KFAVEFVIDETADLDPLLGTRIRIFRIEPLGSVTDRVPIKNSQELCSLILKEYIAWYDLQQRLIKNGGAD -DICVAQMLASLGLKEELSKSDIKAASDDEFVDVSRSVQDNVTPIPDVEPTSLISWWKEYYSSLGNSPPHI -THINGIEQFDIQYHPDKVEINQLYYVYAKERCPGLDITPRSLIDFAKSVEKHRADLRSAQEQLTGGSSYI -QRCIAILKERPLWQYLGVALGALLAYRWFTSGPKGHPEVTAIQYDYGKPIRQPPKIAKVVTPTSGVMDAY -RDDFTTTGTDANAMDLVLTSLIGKGGISRLVVPLEDNKCETAVAVRIGGTSILANHHVFTKFKEGQEFVI -EIPHYLNAMKPVRQKFSSKRLYRIKSADACVYKCDNSMPCAKNIVRHFSADDVMIKSTSAIIATRNPEAM -YVSNVIATPVTKPLSYKDEFGTTEYSTLGSYEVSNYVTTKGMSGSLLVALDPYNNKKLLGIQTSRNTHTK -AGYFQPISESMLLEALKGVGEDLSSTPADRDFIAEACSFVYDTACPPNLGKQSLIYLGTLEKSSQIQFQK -KSKLRPSLVQDEATLTKAPAALDKYDPRLCDEVYGKDLMFKNIEGYDQASYGSLDLTILDEVTEMMAAEY -ACKRSVPGITRRLLDTNEMINGIPGKINPIDMTTSPGYPFVKQRKLTGVNGKFEWFDEVIADGGRKTYLP -KSILNDRIKLREDAAQNGQRVKSLAYACLKDETRPLARVENGVTRVFICLPMDYNLLIRKYFGMYTATQH -ALAGRIPSSVGIDPVTGWKGLYDRLRSKGDEWEDFDYKNWDQFLHPEFVKRYATIVNKWYGDTDDSPSGK -VRHVLMQELVYTYLIVGSRLFLKTGGQCSGCAITAEINCDIHDIIMFYVFYMLAIKNNISVKCCEDLLTY -YRDNVELALYGDDIVKSSSKYVTQWFNGKTIAPLMTDIGMKITPADKESVDFVIKKPEQVTFLKRGFKPD -SNYPDRFVRAPLDHKTIWNIPQWIKSCDDLQGATRVNCEMALREMYMYGETNFKNARDYLNKRIELYKLV -HPGAEIRPLTLTYSGLEKAYEEGTLEICYPKGWFDPLEEPSLIE diff --git a/seq/clusters_seq/cluster_928 b/seq/clusters_seq/cluster_928 deleted file mode 100644 index cc91e4e..0000000 --- a/seq/clusters_seq/cluster_928 +++ /dev/null @@ -1,391 +0,0 @@ ->YP_009825018.1 replicase polyprotein 1ab [Yellow head virus] -MEPLQVLLLLATFLSLLLLLRILDRGTHVLSAVRLTLRTTFFNESARNPLVWTSPAEWFNSSGKTIPRMD -KRRCRSVKHGSPYPSDITLTILANDAYDARAFYRSNQHATQAILWLGALFNAGQVLCGIALSLFALTYIM -AAKLTASLRKFLQAVGATLSSENSCSHQEKTHVLKNSVRSSMINLQDLVRRVGPLLPIVTIMCCIPATDA -SEITIAPRVTLDTGLSAVSLLITATWLIKQFQSSQVRSTKMNSSFGTATGLLIITLLTCITIVSGIPAAT -PNLAKIGHGMVAPFLLFIVMWLTLRYVLTLFIRLFPKQLLKFYTSNDLHLYFLFSYQHHLPDVPLKKAII -SNGAYTDHQHTTTKFCNSCVGYGHTDSECLDYTHPVFSYKRYYNIATQKTIEAYLEVHPQNEIFTYNDTV -IIRGDLVFINRTLKDYDHTTLGSLHPEDFPHLSVRRVTYNPVVNVDGTIRIFPNTKYYNHTEYTLDSESD -IDTAHYQTIYRQTTPFKIGYDIPCGIIPHADTTIVHAQGYLNGQIEIDTSSAASNFSNISLRPSTTTYSC -SQASSATSQTGSITSQSSSISASSANSLAQKSTRDQTFDYMIMRPKRIYNPNNDILTGLPTTTRTNAQGD -ANYPVELQYAFPGWTANEIINDEDGIKPHEYVDCKQETKDFLNTLAPQKFSTLVNTPGTPSFVTLHNACI -WDTNDPIIDIGIASATRPDGVSQRIPDMANAYNVPPPARRLGSYTKSGRVFCIWAARVNSAPTPCKHVNS -CNCLDTKYHRYNGFYNAVSKIITREQLTSISMSLNVGCGPLQGDWSTYEEIIFRIARETQCYFKIYMNNE -TGAQHNPLTNQTGKNRKKWHVYNVQETKLPINKTLRSVNVSQAQRRREQNRPLLSADTLPTPPNRIRPAF -DEEPKNFREHCQLFYKQRHSQLQNLFSLLLGIAIHQFIYDVLHGLRVIDHTEIFFTSFIFATTAITMHNF -KITSIPATLAGVLVGDNPITWFCFLIAHRCNSRFSLQILGILNAINPYSAYTGTICLILYTLTPSTCTSL -TRCILTLLLGATGAHAQETEQTSDAKYFAQFTRLIVYALCAHIIYTIVKMLEPREELEIVTESTTQSSNF -RSTRRSTHTQAYTRPTTAAVVIVVALTFTLIVSTASANQVFSRDPSHKFYSYLEPIESCDNPSYIGQIDY -DRFWKMPSTFQFPNGMTLEDYLMEEVCYDESILDLLHPVYVIDGINLEVTQAISCDHHLSVNKTQLSYCL -EERVSEKDMTLMTQAQLKKFALKYKRRADENNTVSHTVQTVNIVGITLTYRSNYTYTLPYGSLTCNSEHA -YCSYMAKVLGSTHTLPASALQYCHGNEEFCEYKRFDDSDLCTLYYRDLTIAPDTDPEDFKLAQQFREHVA -SLCGIRANFHDAHLTEPKSTPKSRRGEWYSSTLRIANHRSRNGQCIVNVCGSVPTDFTDQGIDFEFQSVN -DCTPSNTTADCVAIDFAYEFAYSYIHKKVMHDGHVPYISRIVNLIPGIPEAYTRLAAVYNAVVNIPSEEI -VDRLYEQIDNTAQLATRRLENIKYVPQVAKMTAEVLFADRIVKRYTLVGIKTLCLARFEKSVCKKLIIAV -NGFINNDHLIIETDFDKSTFTALYHFITKHYKAKYTAPQPVCKSPSRMTPTQRYINDCDIQLELKRRNIN -FVEGQVDYSRTNITILHNVPKSQINVIFTPTGTEYYDSNDHQLATITDKRFIVNGTTYTLPSDLYLTAQG -KNKQSRHWHNYGHRFYNAELIKPGTNRGHMIPSFLGGPAVYANAFLQTIQANLDDTPFENQAIGVVYNKH -ITSYNPNDIIIGDASDPWCHINPSTQETPTLPLPSDVSEAETFILTHGNIFTVTHDHPYHTEDEFKLIRS -LALPCGVYSYTVSLSGEVVRRWNPSDQTGGKTLLHHPVNTTHIRTWELTTTSLKTTLNTIAKLRHPVLQT -LGLESDLIASAHPLHIRNTLGSLYTFKHLAYLLPVALRCASGVAVNFGINPPLSNCTLDNGYGIEQSGLP -SLEHSTQNFTALNTNIPTTYTLSGDIRDMTCLYNAIRLWADANDYTTSFTDTADITFKFDNSALPTRCYN -YIIPATHACDSSTYEIPAEDLTYFQQASLAIICKEHSNMYCHQLNLESYPRPTYSEFMDNLLNLTCGIFN -ATEPVLRCTTEYQIIQTPPQVYNTTLEQFTDAKLHCPIYIEPTPGIMNSSLGVYTYSYENRVIGSSESIS -TRLPSDILILYKNITEVKHNTDTQLCQSEGNNTLFHNGCNLKITHGTPSAPVYTTYPDRHHDIIQVQLTQ -DILNTLVNATGSLNIMKYRRSTLNITFPHHPIDITIEQIDGCHVIDETALEQYNRDYQIVYEYPDLDGLL -EITVPNNFAKEKFYREALGHCYQLRFIYTDKVINVEPDHTVNNCLYLTKIADIQVSGSFCNYLLPFKLYT -TPSERCHNYVSNLMEIYGVRGVDLPFGFLDKVECTNIEDTKIEIISDKWGNNTYIHPGAARDTWGHNVVM -NEYTTEGELIKSQIYNQSYFNFLGGRVHRDNTYCLARPYETTSVYIEPICSSSSSTRWSLIYNIPITYFR -HAQTSYYDTLDHSSIYSLMIIIVSYYLLTRLFEPVTVCFIYILITNVFALIIYQARDLAFISSIISVLFG -TLTEILKRCMVIPTVLSFLFTMTSYCKKGSMMKRPRDHLDMFVATSFMAFSLINIGYIFLLTGIVIFIGL -YKLISAQFTQPHWRTYVNVSVGIEDIAVLGYTQTNQVRKAADELMKKSTDDKYNYYQQLDAFVHAAFLNA -YAQAIDSKIPLNFTHRNLNFRVSQPKANFLVGLVTHEVNTGNATKVEDLHKHPYNRYRNNIVRVYGERGD -LNGFLSGKFLYFPRHVFDSCTDNTRTRYIRVTKGEETHDIEMLGEEYEATPFIKVESPFEEAIELKFATL -KQTQYAYFVTADDIRIGSMSVDGYHNISTKDGDCGSLLFDHLHNVVGAHIVGIASTPPVNGALTWNAEKE -MLCGPNDDYDFDPSKVEIPKVWPVETITALSTILNQLNYVTGDAFTTPKLPTNYHLIGCATLDQYVNARN -LVTGQFPQIKEALDDFINGYVANMQRGTEAYNVIYTSMNAQVRIADLSPLSSSITNWQYLVDPLLRFRCF -IFSLVKQYIRHLVIYLLLQLAFICFDHGFFFKVLRDPTHFLFTILSTVFVSISPTASTNNILFKYLLSYT -VEYRFAYLNVETLIKSYLYLSSREAIFYTSRRVNKLCTLFTVIAMIIIDTFFVEIGGQNVLPVLILCIPI -FYLRTVMMGSAQVQVYFEADLHKPTANFMTLIYFLIINALSIIIYCWGLFSFNPYANNILFATTIVHFIA -FYVLSQEVQKVFEANTFRVPRLVYAVISYISHVLCHIYSEMLNKVCEWILRALINANRAALFGGFFGIFL -LICFLCYYFLKNRSTVKHESNDISEAHTHFDSFAKLTTLIANNPKLACMKPFCVDYNDNRARMTLKEKGN -WVVKVRDALLTQFSLTNPADLAEVIHECASHPTLNKLFFDSSRGLQVYHEIDSKLDQAPYTGDNDQIKSD -TAVLAEYRNELSLIEWKVVTLQKELSEAKSAEDKDKIKGINTQLADLMKQRKTLTTLSNTLNQSIMARDA -KERRNARRQNNEEANEIARVIKAQRLRDSLTLIFNTFINNLIKLTSDCNALKSYADNVLKYVPEETKDFL -KRSDNCYRLSQDMILIETPASPLSYFKEKNGIIEVINSCSYDTFKDGMVVNHILDGTTKLPYTLDSLKSS -THVVCYEPDTSSGYTHTHDNTCRHEANAKVGQTLPCGTALECNHQHYHNQFDCTSGYFAFMAQHITECET -CLDTFKRGHCGCGYRYKVPRVLQSFILHGSKCSHVTHELSYSGTAAAFKPYYDEATNGIMYKSAVIAYLT -KPDNYTSTFTYNNETYYLPVPLTHDIVSILIAFATRNGSIPVGEVQFEACFDRVDADEESNLQSYSLTDT -PCADEDQICYKILECPYHKTKDGRCMICANLDDGIYTVVPAQSSNHELAKELSITLTNAKNPPQKKHEAN -FQTKPRRSLPVNRYHYINSAPFNYTITNESPGDGWVEFTGDNHEACAGHKIWAKKYYHTSGIFCKPRASS -STLIINGKNRHYKTKHSLKREIKTAQSLSHIPEAIQFKQDDIGWYREISQFSLADVLHGFANQIEPDFLA -KYTNERNIKVSDVTWLCKNICNHKSCNILKMDIFDYTYTCYSKALSFALQACSTYDFDITPDNICPEGVY -DFETYRPGTCDPIIALNAVTYCIERHWFSAGLSLSCASIYPHEDMTIHQYKEAFASYTTELNTEVTLKHQ -PTFASYLTFMLVNARHKIDIDIGTGPDTFYTSFDNITSAPCTDERYNEVMTGITRLYYAYQYDRGDFPCK -YTVTQTHIKYPVIGDVPVEPEECKDLNCNSYPPVYGALISIQKFSTWARLLCYDVLKRVFRHCRNCDHLN -CKISRQLTRFKNPLSNIQPVAYTKLHDDRYLIRDRLTQMDFTSGQEFYATEFIKEFNNVEFKTLNGDKIH -FNLTHPYDALLEPLLPPNTLVGNSVAASGVISDLDANFKNYDRNTGMSCSLTEFQLSLSHILYRSEAQRT -PDDHLDLTPYEDTKKPASKKSSGIGITKLPQGYVRSLSDYTSFITAQIEHIKHHFSVWLFEVIPKISIQP -VDKALRSIFIGPAFMNDVYRCFNTAWLEFTKTRLSYNTVLIGFKDTHCGINKLINGIKAGFNPKGKAKWI -SQDYPKFDTCVDTMAQYSYIMNHAYHYTNKNLSLITRGLCQLIANSTSPIIYYNSMLIRKLHGVSSGDGA -TAIKNSHCNSIITNIAFYRQIIDNQVPAEYRGLQSTLYHTLINGIQNKDDAYSTHRAFEWNISRCATLSD -DTLAIINPDVFDLDQYLSSYRTLGGYEITNEKKIFVRDEPYEFTSRYFFKEDGFWYNAPLIERVFSSIVQ -CSKSTSLCPEIMGGRLLSILINAWPLTRKNDTLNDVPVKDIIMALYTITKNYMDKHNIFYTTALVEFDFD -DERISNIGRAAVDHIGHTKKFIDIEYLDNVWFKQPEMSLAVCHESTTHSSTHEHYPNHEYISVPSNVEVI -VADITTITDGITIQAVSRKGKKPHGLSASFAAQGRNAYNVAHNSRTSYTVDGNVRHIWALTYPGKAIGDY -DEQLLRLHRLIASLSAIINTENPTRIYIPWNILCGLGGGNWNMVYQALITYAKFNKDITFTFVKLDTTHE -GMRDVCFACGGYGQFECYTCASAGYPFTFCNGSTCLRTHINECEHFSYSLASRKRYIIQCADCEEMDIRK -MHVNNDFRCTQHLGKHEGYSKITDDKNNVTIFRTSATRSELPGVSKILKQVEKKHFFKIIYEFTLKHWIQ -HNILLSFIAAREDMPSEVIDFKVLKQDDDKTYIKTDKFFSFKINNKYIDATTLQDIALKQEYTNGKLSLC -IDGGVKKGIKNIKITTPNPSNALTLWNSITPTTSVLNIFRGVQHQASTFYIDNTGSLLDKMANNNITVIQ -GPPGTGKTYTINKFLARINEILPNANIAVLASSHSAVDNIGNSVNPSIFRRCKRLIPQESEDKVRTRFQR -YTTGGGIIFATLQSTRGILCPSVEYMIIDEFSMATDIQIYSAICHLNPRHIIFTGDPCQLSTQHVYNTDV -YHSNIINNHQLTGKFPTEFLDVTYRMGSKINDFISENFYNGKLKTAATYEGEVFQLHLDPINMLSQIHAI -WESSPQNNFAILVTHHEAFSIIRQYFTDLDIQIPIYTVHTSQGRTFDRGIVVSYRNTAFTKDPNIVNVAV -SRFRFQCICMHQGNPYYTKLPYYNTAQIYFEKSTTVIAYNGPQNKLSNMYTDNIKPFPYHTLENRYQSEK -AKYLGKKLILHNNPFETLKEAKKVFTREDNLKWAKTSAEVMTRLLFEKFNNPELAKHLVNTGKSHLVENT -KHPIWGGKGGENLHGKILTNIRTKLEVREREQTLVDTSYKHNVIFQKFKNQIISAPRLDIHENTLCIDVE -TVNSKDIKGTDNKSLHFPSQIGFAYNGTTETYDCTPTLTVNGHSITTKLYNSIYPSSVKAAKRGKHKIEF -ILRSYMARLQHTVTDQLTLVFKSALIDVSMIHNAIRNGPNICHAEGCPNHPIWYTEHPCCAAHVDGIIQA -FYNPYMVDIHGLTCPRCTSDTFTYSSTEDSIDCHRCYPSDNTPYNTRTKLQIMHDTVCSEKHGAAHDSGA -DAAMTLCIYKKLESTHHRKEAQSRRGRFIKNQLLSANLHDIRKIKTYLENEYNTPQDFFIGGGAYIPRTR -NADLRVGTSAKEHFSYCTGQIHKHIYIDSRYYVDIDKDRAHGIFFDRSNPHTNNWKQIHGLHYTLDSRFI -YFSPYGAYDGPRESLAFPLLNHKCEGDTVYYSSSRQYNLPCSKEPRSVLGSYYYNCCESHALAMEEIDNA -FNAAPGLELWQKPKVNHEIYHVAPTPNTTTADILTNGELLLPGYEDRPAKATDTTLKGISCIQTLTKFNI -KPENTLLLGAARRDGHCPFIQHIPGKVTSVDLVRHENPKGPAYAIDICKELPPGEFDTIISDLYSANPET -LFPRFKDILTNNLQEHGHFLFKVTNKFRNNTVIEEIAQHFSYTHIFKAPTNTVTSELWVANINYNSKGNT -GNTIDFDKEYAGRIKTMIDNPHKFKMLFTSPRFIKF - ->YP_009666324.1 replicase polyprotein 1ab [Yellow head virus] -MEPFQVLSLLATSFSLLLLLRILDRGTTVLSAVRLTLRTTFFNESARRPLYWTSPAKWFNSLGQTTPRME -NRSIKSVKLSSAHGSPYPSVVTLTIIANDAYDARAFYRSKQHATQAILWFGALFNAGQVLCGIVLSLFAL -TYIMVAKLTTRLRKFLQAVGAIRSENLSCSHFEETHGSKSSVRSSMINLQNLVNRVGPLLPIVTIMCCIP -PIDASEITIAPRVTLDTGLSAVSLLITATWLIRHLQSLKVRTKMNSYFGTATGLLIITFLSCITIVSGIP -AATPSLAKVGHGMVAPFLLFIVMWLSLRYLITLLLRLFPKQLLKYYTQLDLHLYFLFCYQHYLPDIPLKK -AIISNGAYTDHHHTTTKFCNSCVGFGHLDSECSDYTHPIFSYKRYYNIPTKQTIEAYLEVHTQNEIFIHN -DITIIRGDLVFINKILKDYDHTTLGSLFPEDFPHLTVRRVDYTPVVNVDGTIRIFPNTKYYNHTEYTLDK -ESDIDIAHYQTIYRQTTPFKIGYDIPCGIIPHADTTIVHTHGYANGHIEIDTSSAYSDITNLSLRPSTAS -FSCSMSSATSQTGSITSNSSSISASSANSLAQKSTKDQVFNYMSIRPRRIYDPSNDITVGILTATLRPND -VHSDALYPVELQYAFPGWTADEIINDENGINMHEYTSCRQETKDFLNMIDPHKFSSHVKSSTTPSFVTLH -NGCIWNTSDSIIDIGIASANRPDGVSQRIPDMVNAYNVPPPARRLGSYTKSGRVYCIWGARVNSAPTPCK -HVNACNCLDTKYHRYNAFYNAASTIISREQLTTVSLSLNVGCGPLQGDWNTYEQIIFRIANETQCYIRIY -MNNETGAHHNPITNQTGKNRKKWHSYRVQETKLPINKTLRSVNVSQAQRRREQTRPAPPTILPSTPPQPD -IQEDDEVPQNFVEHCQLFYKQRHDQLTNLFSLCLGIIIHQYIYDVMYGLRVIDHTEIFLMSIAFTTTIIS -LHNYKITSIPSTLAGILVGDNPVTWFFFLIAHKCKVSCSLQILGILNAINQYSAYTGTICLLFYTLSPST -CTSLVRFLLTLLLTVTGAQAQETEQKSDTHLFNQFTRLIVYALCAHIIYTIVKMLEPREEHETIQVSTTQ -SSNFTSTRRRTTTCAYTQPTTAAVVIVVALTFSLIISTASSNQIFSRDPSHKFYSYYEPIESCDNPSYIG -QIDYDRFWKMPSTFRFPNGMTLEDYLMEEVCYDDSILDLLQPVYVIDGINFEVTQSINCDHHLSVNKTQL -TYCLEQRVSEKDMTLMTQAQLKKFALKYRRRADENNTISHTVQTVNIVGITLTYRSNHTYTLPYGSLTCN -SEHAYCSYIAKVLGSEHTLPASALQYCHGNEEFCEYKRFDDADLCTLYYRDLTIAPDTDPEDFKLAQQFR -EHVASLCGIRASIHEAHIYEPKSTPKSRRGEWYSSTLRIANHRSRNGQCIVNVCGSVPADFTDQGIAFEY -QFVNDCSPSNTTADCVAIDFAYEFAYSYIHKKVMHNGHVPYISRIVNLIPGIPEAYTRLAAVYNAVVNIP -SEEIVDRLYEQFDNTAQLATRRLENIKYVPKIAKMTAEVLFADRIVKKYTLVGIRTLCLARFEESVCKKL -IIAVNGFINNDHLIIETDFDKATFTALYHFITKHYKVKYTAPQPVCKFAKNLTPTQRYINDCDVQVELKR -CHINFVEGQVDYSRRNVTIIHNIPTSQIDVIFTATGTEYYDSNGHQFAAITDKRFTVNDTTYTLPSDLKL -MAQGKNKQSRHWHNYGHRFYNAELIKPGTNRGHMIPSFLGGPAVYANAFLQTIQANLDDTPFENQAIGVT -YNKHITSYNPNDIIIGDVSDPWCHINPSTQSTPSLPLPHNVSEAETFILTHDNIFTVTHDHPYHTEDEFK -LLRSLALPCGVYSYTISLRGEVVRRWNPSDQTGGKTLLHHPVNTTHIRTWELTTTVLKTALSTIAKLRHP -VLQTLGLETEFVTSAHPLHIRNTLGSMYTYKHLSYLLPIALRCASGVAVNFGINTPLSNCTLDNGHGIEQ -SGLPSLEHNIQNFTALNTNIPTTYTLSGDTRDMTCLYNAIRLWADANDYTTSFTDNADITFRFDNTVLPT -RCYNYNIPSTHACDSPTYDIPAEDLTYFQQASLAVICREHSNMYCHQLDIDSYPRPSYSEFMDNLIPLTC -GTFNATDSVLRCATEYQIIYTPPQEYNTTLEQFRIANMHCPIYIEPTPGILNSSRGIYTYSYQGRVIGSS -ERIGTTRLPSDILILYKNITEVKFNTGTKLCQSEGNNTLFHNGCNLKVTHGKPASPIYTTYPDRRHDIIQ -AQLTQDILNTIINATGNLNIMKYRRSTLNLTFPYHPVDINIEQIDGCHVIDEAALEQYNRDYQIVYEQPE -IDGILEITVPNNFAKEKFYRKALGHCYQLRFIYTDKVINVDPDHTVNNCLYLTKIADIQVSGSFCNYFLP -FKFYTTSNERCHNYVSNLMEIYGVRGVDLPFGFLDKVECSNIEDTKIEIISDKWGNNTYIHPGAARDTWG -HNVIMNEYTTEGELLKSQVYNQSYFTFLGGRVHRDNTYCLARPYDTTSVYIAPICSSSSNSRWSLIYNIP -ITYFRHAQTSYYDTLDHSSVYSLIIIIVSYYLLTRFFEPVTVCFIYILITNVFALIIYQSRDLAYISSVI -SMLFGTLTEILKRCMVIPTVLSFLFTMTSYCKKGSMMKRPRDHFDMFVATSFMAFSLINIGYIFLLTGIV -IFIGLYKFIAAQFTQPHWRTYVNVAVSIDDIAALGYTQTNQVRKAADDLMKKSTDDKYSYYQQLDFFVHA -AFLNAYAQAIDSKIPLNFTHRNLNFRVSQPKTNFLVGLVTHEVNTGNNTRVEDLNKHPYNKYRSNIVRVY -GERGDLNGFLSGKFLYFPRHIFDSCTDNTLTRHIRVTKGEGTHDIELLSEEYDATPFIKTDSPFAEATVL -KFGKLQRTQYAYFVTADDIRVGSMSADGYHNISTKDGDCGSLLFDHLHNVVGAHIVGIASIPPVNGALTW -NAEKEMLCGPNDDYDYDPEKVGPPKVWPVESITALSTILNQLNYVTGDAFTTPKLPTNYQLIGCETLDQY -VNARNLVTGQFPQIKEALDDFINGYVANLQRGTEAYNVIYTSMNAQIRIADLSPLSNSITNWQYLIDPLT -RFRCFILGLLKQYLRHLIIYFLLQIAFICFDHGFFFRVLRDPTHFLFSIISTVFISVSPLASTNDILFKY -LLSYTLEYRFAYLNVETLIQSYLYLSSREAIFYTSRRVNKLCTVFTVIVMLLIDTFFVEIGGYNVLPILI -ICIPIFYLRTVMMGAAQIQVYFEADLHKPAANFMTLIYFLIINAISIIIYCWGLFSFNVHANTILFATTI -VHFIAFYVLSQEVQKVFEANSFRVPRLIYVAISYISHVLCHIYSAALNKVCEWILQIIINANRLVLIGSG -SFGIFLTICFLFYFFKQRSNMAKHESNDIAEAHTHFDSFAKLTTLIANNPKLACMKPFCVDYNDNRARMT -LKEKGNWVVKVRDALLTQFSLTNPADLAEVIHECASHPTLNKLFFDSPKGLKVYHEIDSNLDQVPYNGEN -GQIKSDTAVLAEYRNELSLIEWKIVTLQKELAEAKAAEERDAIKGINTHLADLMKQRKTLITLSNTLNQS -IMARDAQERRVARRHANEEANEIARVIKAQRLRDSLTLIFNTFINNLIKLTSDCNALKSYADNVLKYVPE -ETKDFLKRADNCYRLSQDMILIETPASPLSYFKEKNGIIEVINSCSYDTFKDGMVVNHILDGTTKLPYTL -DSLKSSTHVVCYEPDRSSSYTDTCKHELNSKVGQTLPCGTSLECNHSHYHNQFDCTSGYFAFMAQHITEC -ETCLDTFKRGQCGCGYRYKVPRVLQSFILHGSKCSLVTHELSYSGTAAAFKPYYDEATHSIMYKSAVIAY -LKKPDTYTSTFTYNNETYYLPVPLTHDVVSILIAFATRNGSIPVSEVHFEACFDRVDVEEDSGLQSYSLI -DTPCANDDQICYQIQTCPFHNTKDAACMICANLDDGIYSVVPADSSFHELARELSITLTNVTNPPPKRHE -ANFSDKASSSLPVNRYHYINSAPFNYTITNSSPGDGWVEFTGDNHEACVGHKIWAKKYYHTSGIFCKPRA -SSSTLIINGKNRHYKTKHSLKREIKTAQALSHIPEAVQFHKDEHGYYREISQFSLADVLHGFANQIEPDF -LAKYTNERNIKVSNVTWLCKNICNHKSCNILKMDIFDYTYTCYTKAQSFALQACTTYNFDITPDNICPEG -VYDFETYRPGNCDPIKALNAVTYCIERHWFSAGLSLSCASIYPHEDMTIHQYKEAFALYTTELNTEVTLK -HQPTFDSYLNFMLVTERHNINIDIGTGADTFYTSFDNITSAPCTEERYDEVMAGVTRLYYAYQYDRGDFP -CKYTVTQTHVKYPVIGDVPVEPEECKNPICNSYPPVYSALISIQKFSTWARLMCHDILKRVFNHCRDCDH -FNCKISRQLMRFKNPLSNIQPVAYTKLHEDRHLIRDRLTHMDFTSGQEFYATEFINEFNDVEFKTLNGDT -IHFNLTHPYDALLEPLLPPNTLVGNSVAASGVISDLDANFKNYDRNTGMSCSLTEFQLSLSHILYRSEAQ -RTPDDFLDITPYEDTKKPASKKSSGIGITKLPQGYVRSLSDYTSFIRSQIEHIKHHFSIWLFEVIPKISI -QPVDKALRSIFIGPAFMNDVYRCFNTAWLEFTKTRLSYNTVLIGFKDTHCGINKLINGITAGFNPKGKAK -WISQDYPKFDTCVDTMAQYSYIMNHAYHYTHTNLSLITRGLCQLIANSTSPIIYYNSMLIRKLHGVSSGD -GATAIKNSHCNSIITNIAFYRQIIDNQVPEEYRGLQSTLYNTLINGIQSKDDAYSTHRAFEWNISRCATL -SDDTLAIINPDVFDLDQYLSSYRTLGGYEITNEKKIFVRDEPYEFTSRYFFKEDGFWYNAPLIERVFSSI -VQCSKSTSLCPEIMGGRLLSILINAWPLTRKNDTLNGVPVKDIVMALYKITKNYIDKHNIFYTTALVDFD -FDDERISNIGRAAVDHIGHTKKFIDIEYLDNVWFKQPEMDLAVCHESKPHSSTLEHYPNHEYISVPSNVE -TIIGDITTITDGITIQAVSRTGKKPHGLSASFAAQGRNAYNVAHKSRTSYTVDGNVRHIWALSYPGKAID -DYDEQLVRLHRLIASLSAIIHTENPTRIYIPWKILCGLGGGNWNMVYQALTTFAKFNKDITFTFVRLDSI -HEGMRDVCFACGGYGQFECYTCASAGYPFTFCNGATCLRTHITDCEHYSYSLAARKRYIIQCSECEEMDI -RKMYVDNDFRCAQHLDKEGYSKITDDKNNVTIFRTNATRSELPGVSKILKQVEKKSFFKIIYEFTLKHWI -QHNILLSFIAAREDMPSEVIDFKVIKQVGDKTYIKTDKFFSFKINNKYIDATTLQDISLKQEYTNGKLSL -YIDGGIKKGIKNIRITTPNPSNSLTLWNTITPTTTVLNIFRGIQFQASTFYIDNTGSLLDKMANNNITVI -QGPPGTGKTYTINKFLARINEILPNSNIAVLASSHSAVDNIGNSVNPSIFRRCKRLIPQESEDKVRTRFQ -RYTTGGGIIFATLQSTRGILCPSVEYMIIDEFSMATDIQIYSAICHLNPRHVIFTGDPCQLSTQHVYNTD -VYHSNIINNHQLTGKFPTEFLDITYRMGSKINDFISENFYYGKLKTAATYEGEVFQLHLDPINMLSQIHA -IWESSPQNNFAILVTHHEAFSIIRQYFTDLDIQIPIYTVHTSQGRTFDRGIVVSYRNTAFTKDPNIVNVA -VSRFRFQCICMHQGNPYYAKLPYYNTSQIYFEKSTTVIAYNGPQNKLSNMYTDNIKPFPYHTLENRYQSE -KAKYLGKKLILHNNPFETLKEAKKVFTREDNLRWAKVSAEVMTRLLFEKFNNPDLAKHLINTGKSHLVEN -TKHPIWGGKGGENLHGKILTNIRTKLEVREREPTLIDTSYKHNVIFQKFKNQIISAPRLDIHENTLCIDV -ETVNSKDIKGTDNKSLHFPSQIGFAYNGTIETYDCTPTLTVNGHSITTKYFNSIYPSSVKAAKRGKHKIE -FILRSYMARLQHTVTDQITLVFKSALIDVSMIHNAIRNGPNKCHNEDCANHPIWYTEHPCCAVHVDGIIQ -AFYNPYMVDIHGFTCPRCTSDEFTYSPSEHSIDCNRCYPSDNNIYNSRMKLQVMHDTVCNEKHGAAHDSG -ADAAMTLCIYNKLNPPHHRKESLSRRGRFIKNQLLSANLHEIRKIKTYLENEYNTQQDFYIGGGTYIPRT -RNADIRVGTSANEHFSYCTGQIHKHIYIDSRYYVDIDKDKAHCIFLDRSNPHTNNWKQIHGLHYTLDSRF -IYFSPYGAYNGPRESLPFPLLNHKCEGDKVYYSSSRQYNLPCSEKPRSVLGSFYYHCCESHALAIEEIDN -AFSTAPGLELWQKPKVNHELYHVAPTPNTTTADILTNGELLLPGYEDRPFKATDTTLKGIACIQTLSKFN -IVPENTLLLGAARRDGHCPFIQHIPGKVTSVDLVRHENPKGPAYAIDLCNELPPGKFDTIISDLYSANPE -TLFPRFKDILSNNLKEHGHFLFKVTNKFRHNTVIEEIAQHFSYTHIYKAPTNTVTSELWVANINYNPKGN -TGNIIDFDSEYAGRIKTMIDNPHKFKMLFTSPRFIKFT - ->YP_001661452.1 ORF1ab replicase polyprotein pp1ab [Gill-associated virus] -MEPFEVLLLLATSLSLFLLLRILDRGTTVLSAVRLTLRTTFFNESVRSSLEWTSPARWFDSSSKTTTRMD -KRSIRSVKLTSAHGSQYPSVVTLTVLANDAYDARAFFRSNQHAAQTIFWFGALFNAGLVLCGIVLSLPAL -TYILVARLTTRLRDFLVAVGATRSSENTSCSHEKTHALKSSVRSSMINLQSLVRRVGPLLPIVTIMCCTP -PTDAFEITIAPRVTLDTGLSAISLLITATWLIRQIQLSQVRPEMNSSLSTVVGLITIIFLSCITIVGGIT -APTNPSRIGHGMIAPFLLFIVMWLSLRYLLTISLRLFPKQLLKYYTRNDFHLYFLFSYQHHLPEVTLKKG -IISNGAYTDHYHTTTKFCNGCVGFGHLDSECSKYTHTVFSYKRYYNIPSGQPVEAYLEVHTQNEIFTYND -TVIIRGDLVFINKTLKDYDHTTLGPLHPEDFPHLAVRRVDYMPVVNVDGTIRIFPNTKYYNHTEYTLDKE -SDIDTAHYQTIYRQTTPFKIDYDIPCGIIPHPDTTIVHTQGYVNSHVEIDTSSVNDNISLRPNSTTFSCS -QSSSSAASTFSSSSSIPASSANSLAQKSTKDQVFNYMSIRPKRIYNSSTDPIASLLPVPSNADPYSHVYP -VELQYAFPGWTADEIVNDADGITIHEYTSCKQTTKDFLRTIDPQKFSAHVNTRSTPTFVTLHNGCIWNTN -DPIIDIGIASANRPDGVSQMIPDMTNAYNVPPPARRLGSYTKSGRVFCIWGARVNTAPTPCKHVNSCYCL -DTKYHRYNAFYNAVSTIINREQLTMVSMSLNVGCGPLQGDWHTYEQIIFRVANETQCYIRIYMNNETGAH -HNPLTNQTGKNRKKWHVYKVQETKLPINKTLRSVNVSQAQRKREQTRHTLSTLTPITPHKNTIPELDEVP -QNFVEHCHLFYKQRHAQITNLLSLCLGIIIHHYIYDIIHGLRVIEYLEIIIMCTIFTITVISSHNYKITT -ASVTLAGTLAGNNPVTWICFALAHKHSMRFSLQILGILNAINPYSAYTGTLCFLFYTLSPSTCTSLIRFT -LTLLLTVTGAQAHETTHESDTKHIFTHFTRLIVYALCAHIIYTIVKMLEPREEHETVSCSTTQTSNFRST -HRRTTTCAYTQPTTPAVVIVVALTLTLIVSTANAHQIFSRDPSHKFYSYYEPIEDCADPAYIGQIDYDRF -WKMPSTFQFPNGMTLEDYLMEEVCYDESILDLMHPVYVIEGIPLEVTQAISCDHHLRVNKTQLSYCLEER -VSEEDMTLMTQAQLKKFALKYKRRADQDTPISHTVQTINIVGITLTYRSNHTYTLPYGTLTCNSEHAYCS -YIAKVLGSEHDLPSSALQYCHGNEDFCEYKRFNDADLCTLYYRDLTIAPDTDPEDFKLAQQFREHVASLC -GIRARLHTSDGTPTPTVRRGEWYATTLRIASHRSRNTQCIVNVCGAVPADFLDQGIPFDYQFVNDCSPSN -TTADCVAIDFAYEFAYSYIHKKVMHNGHVPYITRIMNIIPDIPEAYSRLAAIYNAVVNIPSEEIVDRLYE -QVDNTAQLATRRLESIMHVPKMVKTTAEALFAERIVKKYTLVGIRELCLARFEESVCRRLIIAVNGFINN -DHLIIETDLDKSTFTALYHFITKHYNTKYTAPQPVCKTLKNMTPTQRYISDCDTQLELGPKHINFVEGQV -DYTRRNITILHNVPTSQIDVIFTTTGTEYYDSNEHQFAVITDKRYTINSTTYTLPSDLTLRAQNRNKQSR -HWHNYGHRFYNAELIKPGTNRGHMIPSFLGGPAVYANAFLQTIQANLDDTTYENQAINAVYNKRIVSYYP -NDIIIGDASDPWCYINPSTSPSPTLALPRSVSEAETFILTHGNIYTVTHDHPYHTEEEFKLIRSLALPCG -VYSYAISLNGETVRRWNPSDQTGGKTLLHHPINTTHIRTWELATNTLKTAITAVAKLRHPALATLGLSPD -FIATAHPLHIRNTLGSLYTHRHLAYLLPIALRCASGVAVNFGVNTPLNCTSDKGYGINQSGLPSLEHNTQ -NFTAINTEIPTTYTLSGDVRDMTCLYNSIRLWADSNGYSTSFTDTADITFRFNNSVPSTRCYSYKIPPTH -ACDSPTYDIPHEDLTYYQQAALAVICKGHNNMYCQQLDIDSYPRPTYSEFMDNLLNLTCGTFNITDSVQC -CASQYQIIHIPPQDYNTTLTQLAHTHMHCPVYIEQSDDINNSSSGLYTYSYGGRVIGSSDTLGVARLPSD -ILILYKNITEVRFNTNTQLCHSDGNNTLFHNGCNLNISHGTPSEPLYTTYPDKHHDIIQAQLTQDILNTL -INTTGSLNIMKYRRSALNLTFPNHPVDIKVEQIDGCHIIGETVLEQYNRDYQITYEYPALDDVLEITVPN -NFAMEQFYRSALSHCYQLRFIYTDKVININPSHTTNNCLYLTKIADIQVSGSFCDYALPFKFYTTSNERC -HNYIRNLMEIYGVRGIDLPLGFLDKVECTNIEDTKIEIISDKWGNDTFIHPGSARDTWGHNVVVNEYTTE -GALIKSQVYNQSYFSFLGGRVYRDNTYCLARPYETMSVYITPICSSSSPSRWSLLYNIPLTYFHHAQASY -HDTLDHSSVYSLMIIIVSYFLLTRFFEPVTVCFIYILITNVFALIIYQSRDLAYISSVIAAFFGTLTAVL -QRCMVIPTVLSFLFTMTSYCKKGSMMKRPRDHFDMFVATSFMAFSLINIGYIFLLTGIVIFIGLYKFIVS -QFTQAHWRTYVNVAVGIEDIAALGYTQTNQVRKAADELMKKSTDDKYSYYQQLDAFVHAAFLNAYAQAID -SKIPLNFTHRNLNFRVSQPKTNFLVGLVTHEVRTGNATTVEDLNKHPYNKYRKNIVRVYGERGDLNGFLS -GKSLHFPRHIFDTCTDNTLTRHIRVTKGEETHDIELLSEEYDATPFIKVESPFAEATELKFAKLQRTQHV -YFVTADDIRLGSMSTDGYHNISTKDGDCGSIIFDHLGNVVGAHIVGISCIPPVNGALTWNPETELLCGPN -ADYDFDPTKVDPPKVWPVEPVTALSTVLNQLNYVTGDAFTTPKLPTNYQLVGCETLDQYVNACNLVTGQF -PQIKEALDDFINGYVANLQRGAEAYNTIYASMSAQIRIADLSPLSNSITNWQYLIDPLTRFRCFILGLIR -QYMRHCIIYILLQFAFICFDHGFFFRVLRDPTHFFLSILSTVFISISPIASTSAIFVKYLLSYTLEYRYA -YLNIDTLIQSYLYLSSREAIFYTSRRVNKFCTALTVIVMLCVDTFFVEIGGYNVLPVLIVCIPIFYLRTI -MMGAAQVQVYFEADLHKPAANFMTFIYFLIINAFSIIIYCWGLFSFNPYANTILLLTTVIHFIAFYVLSQ -EVQKVFESNTFRIPRLVYAILSYASHILCHIYSTSLNKVCEWILRALIHADRSIIIGVGALCLFLLICLF -LIFCRSSNTVKHESNDIKDAHTRFDSFAKLTTLIANNPKLACMKPLCVDYNDNRARMTLKEKGNWVIKVR -DALLTQFSLSNPADLADVIHECASHPTLNKLFFDSSKGLKVYHEIDFKIDHAPYTGDDEQIRADTALLAE -YRNELNLIEWKIVTSQKELSDAKSAEDKEKVKFINTQLADLMKQRKTLITISNTLNQSIMARDAKNRRTA -KRHANEEANEIARVIKAQRLRDSLTLIFNTFINNLIKLTSDCNALKSYADNVLKYVPEETKDFLKRADNC -YRLSQDLILIETPASPLSYFKEKNGIIEVTNSCSYDTFKDGMVVNHILDGTTKLPYTLDSLKSSTRVVCY -EPDSSTSRQDTCTHDVNAKVGQILPCGTALECKHQHYHNQFDCTSGYFAFMAQHVTECETCLDIFKRGHC -GCGYRYKVPRVLQSFILHGSKCSHVTHELSYSGTAAAFKPYYDEATGGIMYKSAVIAYLKKPDVYTSTFT -YNNETYYLPVPLTHDIVSILIAFAIRNGSIPVGEAQFEACFDRVDVDEDSNLQSYSLTDTPCANDDQICY -QIQKCPFHNTKDSACMICANLDDGIYSIVPAESSFHELAKELSITLTNVTTPIPVKHEANFSDKASSSLP -VNRYHYINSAPFNYTITNKSPGDGWVEFTGDNHEACIGHKIWAKKYYHTSGIFCKPRASSSTLIINGKNR -HYKTKHSLTREIKIAQTLSHIPEAIQFKKDSHGYYREISQFSLADVLHGFANQIEPDFLAKYTNERNIKV -SKTTWLCKNICNHKSCNILKMDIFDYTYTCYTKAHSFALQACSTYNFDITPDNICPEGVYDFETFRIGTR -DPIKALNAVFYCIERHWFFSGLSISCASIYPNANMTIHQYKEAFNLYTNELATEVTLKHQPTFDSYLSFM -LTKERHNINIDIGTGANTFYTSFDTINSAPCTDERYEEVMIGVTRLYYAYQYDRGDFPCKYTVTQTHIKY -PVIGDVAVEPEECSYPTCNGYPPVYSALVSIQKFTTWARLMGYNVLKRVFNHCRNCEHLNCKISRQLARF -KNPLSNIQPVAYTKLHEDRHLIRDRLTHMDFTSGQEFYAAEFIKEFNDIEFTTTHGDKLHFNLTHPYDAL -LEPLLPSNTLVGNSVAASGVISDLDDNFKNYDRNTGISCSLTEFQLSLSHILYRSESQRTSDDHLDLTPY -EDTKKPASKKSSGIGITKLPQGYIRSLSDNTSFITAQIEHIKHHFSVWLFEVIPKISIQPVDKALRSIFI -GPAFMNDVYRCFNTAWLEFTKTRLSYNTVLIGFKDTHCGINKLINGIKAGFNPKGKSKWISQDYPKFDTC -VDTMAQYSYIVNHAYHYTHTNLSLIVRGLCQLIANSTSPIIYYNSILIRKLHGVSSGDGATAIKNSHCNS -VITNIAFYRQIVDNQVPEEYRGLQSTLYTTLINGIHSKDDAYSTHRAFEWNISRCATLSDDTLAIINPDV -LDLDQYLSSYRTLGGYEITNEKKIFVRDEPYEFTFRYFFKEDGFWYNAPLIERVFSSIVQCSKATSLCPE -IMAGRLLSILINAWPLTRTDNTLDDVPVKDIIMALYKITKNYIDKHSIFYTTALIDFDFDDERISNIGRA -ALDHIGHTKKFIDIDYLDNVWFKQPEMDLAVCHESTTQHSHHPNHEYISVPSNVDTIIGDITIITDGITV -QAVSRTGKKPHGLSASFAAQGRNAYNVSHKSRSSYTVDGNVRHIWALTYPGKATGDYDEHLVRLHRLIAS -LSAIIHTENPSQIYIPWNILCGLGGGNWNMVYQALITFAKFNKDTRFIFVKLNTTHEGTRDICFACGGHG -QFECYTCASNGYPFTFCNGSTCLRTHFTECEHYSYSLASRKRYIIQCADCEEMDIRKMYVNNDFRCARHL -HTEGYSKITDDKNNVTIFRTAATRSELPGVSKILKQVRDKNFFKTIYEFTLKHWIQHNIILSFIATREDM -PSEVIDFNVLRQENDKTYIKADKFFSFKINNKYIDATTLQDIALKQEYTNGKLSLFIDGGVKKGVKTIKI -TTPNPSNSLTLWNSISPITPVLNVFRGIQQQVSTYSIDDTGSLLDKMANNNITVIQGPPGTGKTYTINRF -LTKINEILPNSNIAVLASSHSAVDNIGNSVNPSIFRRCKRLIPQESEEKVRTRFQRYTTGGGIIFATLQS -TRGILCPSVEYMIIDEFSMATDIQIYSAICHLNPRHIIFTGDPCQLSTQHVYNTDVYHSNIINNHQLTGK -FPTEFLDITYRMGSKINDFISENFYDGKLKTAATYEGEVFQLHLDPINMLSQIHAIWESSAQNNFAILVT -HHEAFSIIRQYFTDIDIQIPIYTVHTSQGRTFDRGIVVSYRNTAFTRDPNIVNVAVSRFRFQCICMHQGN -PYYSKLPYYNTAQIYFEKSTTVIAYNGPNNKLSNMYTDNLKPFPYHTLENRYQSEKAKYLGKKLILHNNP -FETLKEAKKVFTREDNKIWAKVSAEVMTRLLFEKFNNPELSKHLINTGKSHLVENTQHPIWGGKGRGENL -HGKILTNIRAKLEVRLREPDLVDTSYKHNIIFQKFKHQIISSPRLDIHENTLCIDVETVNSKDIKGTDNK -SLHFPSQIGFAYNGTTDTYDCTPTLTVNGHSITTKHYTSIYPSSVKAAKRGKHKIEFILRSYMARLQHSV -TDQLTLVFKSALIDVSMIHNAIRNGPNKCHVDDCPNHPIWYTEHPCCAIHIDGVIQAFYNPYMVDIHGLT -CPRCTSDEFTYSQTEDSINCHRCYPPTNTSFNTRAKLQIMHDAVCPDKHGAAHDSGADAAMTLCIFDKLE -SSHHRREAASRRGRFIKNQLLPANVHSIRKIKTYLENEYNTPHDFYIGGGAYIPRTRDADLRVGTSAIEH -FSYCSGQTHKHIYIDSRYYVDIDKDRAHCMFLDRSNPNTNNWKQIHGLHYTLDSRFIYFSPYGAYDGNRE -QLNFPLLHHDCSGDKIYYSSSRKYDLPCCDQPRSVLGSYYYHCCEGHAAAMEEIDDAFNAAPGLELWQKA -KVNHELYHVAPTPNITTADILTNGELLLPGYETRPPKATDTTLKGIACIQTLSKFNITPENTLLLGAARR -DGECPFIPHIPGKVTSVDLVKHNNRKGPAFAIDLHDELPPGKYDTIISDLYSATPEITFPRFLDIIKTNL -KEHGHFLFKVTNKFRHNKIIEDIAQYFSYTHIYKAPTNTVTSELWVANINHNPKGNTGNILDFDKEYAGR -IKTLIDNPHKFKMLFTSPRFIKF - ->ACS44770.1 replicase polyprotein 1ab [Yellow head virus] -MEPFQVLSLLATSFSLLLLLRILDRGTNVLSAVRLTLRTTFFNESVRRPLYWTSPAKWFNSLGQTTPRME -KRSIKSVKLSSAHGSPYPSVVTLTIIANDAYDARAFYRSNQHATQVILWLGALFNAGQVLCGIVLSLFAL -TYIMVAKLTTRLRKFLQAVGAIRSENLSCSHFEETHGSKSSVRSSMINLQNLVNRVGPLLPIVTIMCCIP -PTDASEITIAPRVTLDTGLSAVSLLITATWLIRHFQTSKVRTNMSTSFGTATGLLIITLLSCITIVSGIP -AATPSLAKVGHGMVAPFLLFIVMWLSLRYLITLLLRLFPKQLLKYYTQLDLHLYFLFCYQHYLPDVPLKK -AIISHGAYTDHHHTTTKFCNSCVGFGHLDSECSDYTHPIFSYKRYYNIPTKQTIEAYLEVHTQNEIFIHN -DITIIRGDLVFINKILKDYDHTTLGSLFPEDFPHLTVRRVDYTPVVNVDGTIRIFPNTKYYNHTEYTLDK -ESDIDIAHYQTIYRQTTPFKIGYDIPCGIIPHADTTIVHTHGYANGQIEIDTSSAYSDITNLSLRPSTAS -FSCSLASQMSSATSQTGSITSNSSSISASSANSLAQKSTKDQVFNYMSIRPRRIYDPSNDLAVGILTATL -RPNDVHSDALYPVELQYAFPGWTADEIINDENGINMHEYTSCRQETKDFLNMIDPHKFSSHVKSSTTPSF -VTLHNGCIWNTSDSIIDIGIASANRPDGVSQRIPDMVNAYNVPPPARRLGSYTKSGRVYCIWGARVNSAP -TPCKHVNACNCLDTKYHRYNAFYNAASTIISREQLTTVSLSLNVGCGPLQGDWNTYEQIIFRIANETQCY -IRIYMNNETGAHHNPITNQTGKNRKKWHSYRVQETKLPINKTLRSVNVSQAQRRREQTRPAPPTILPPTP -PQPDTQEDDDVPQNFVEHCQLFYKQRHDQLINLFSLCLGIIIHQYIYDVMYGLRVIDHTEIFLMSIAFTT -TIISLHNYKIISIPSTLAGILVGDNPVTWFCFLIAHKCNVSCSLQILGILNAINPYSAYTGTICLLFYTL -SPSTCTSLVRFLLTLLLTVTGAQAQETEQKSDTHLFKQFTRLIVYALCAHIIYTIVKMLEPREEHETIAV -STKQYSNFVSTQRRTTTCSYTQPTTTAVVIAVFLTFTLMVSTANATQIFSRDPSHKFYSYYEPIESCDNP -SYIGQIDYDRFWKMPSTFRFPNGMTLEDYLMEEVCYDDSILDLLHPVYVIDGINFEVTQSINCDYHLSVN -KTQLTYCLEQRVSEKDMTLMTQAQLKKFALKYRRRADENNTISHTVQTVNIVGITLTYRSNHTYTLPYGS -LTCNSEHAYCSYIAKVLGSEHTLPASALQYCHGNEEFCEYKRFDDADLCTLYYRDLTIAPDTDPEDFKLA -QQFREHVASLCGIRASIHEAHLNEPKSTPKSRRGEWYSSTLRIANHRSRNGQCIVNVCGSVPADFTDQGI -EFEYQFVNDCSPSNTTADCVAIDFAYEFAYSYIHKKVMHNGHVPYISRIVNLIPGIPEAYTRLAAVYNAV -VNIPSEEIVDRLYEQFDNTAQLATRRLENIKYVPKIAKMTAEVLFADRIVKKYTLVGIRTLCLARFEESV -CKKLIIAVNGFINNDHLIIETDFDKATFTALYHFITKHYKAKYTAPQPVCKFAKNLTPTQRYINDCDVQV -ELKRCHINFVEGQVDYSRRNVTIIHNIPTSQIDVIFTATGTEYYDSNGHQFAAITDKRFTVNDTTYTLPS -DLKLMAQGKNKQSRHWHNYGHRFYNAELIKPGTNRGHMIPSFLGGPAVYANAFLQTIQANLDDTPFENQA -IGVTYNKHITSYNPNDIIIGDVADPWCHINPSTQSTPSLPLPHNVSEAEKFILTHDNIFTVTHDHPYHTE -DEFKLLRSLALPCGVYSYTISLRGEVVRRWNPSDQTGGKTLLHHPVNTTHIRTWELTTTVLKTALSTIAK -LRHPVLQILGLETEFVTSAHPLHIRNTLGSMYTYKHLSYLLPIALRCASGVAVNFGINTPLSNCTLDNGH -GIEQSGLPSLEHNTQNFTALNTNIPTTYTLSGDIRDMTCLYNAIRLWADANDYTTSFTDNADITFRFDNT -VLPTRCYNYNIPSTHACDSPTYDIPAEDLTYFQQASLAVICREHSNMYCHQLDIDSYPRPSYSEFMDNLI -PLTCGTFNATDSVLRCATEHQIIYTPPQEYNTTLEQFRIANMHCPIYIEPTPGILNSSRGIYTYSYQGRV -IGSSERIGTTRLPSDILILYKNITEVKFNTGTKLCQSEGNNTLFHNGCNLKVTHGKPASPIYTTYPDRRH -DIIQAQLTQDILNTIINATGNLNIMKYRRSTLNLTFPYHPVDINIEQIDGCHVIDEAALEQYNRDYQIVY -EHPELDGILEITVPNNFAKEKFYRKALGHCYQLRFIYTDKVINVDPDHTVNNCLYLTKIADIQVSGSFCN -YFLPFKFYTTSNERCHNYVSNLMEIYGVRGVDLPFGFLDKVECSNIEDTKIEIISDKWGNNTYIHPGAAR -DTWGHNVIMNEYTTEGELLKSQVYNQSYFTFLGGRVHRDNTYCLARPYDTTSVYIAPICSSSSNSRWSLI -YNIPITYFRHAQTSYYDTLDHSSVYSLIIIIVSYYLLTRFFEPVTVCFIYILITNVFALIIYQSRDLAYI -SSVISVLFGTLTEILKRCMVIPTVLSFLFTMTSYCKKGSMMKRPRDHFDMFVATSFMAFSLINIGYIFLL -TGIVIFIGLYKFIAAQFTQPHWRTYVNVAVSIDDIAALGYTQTNQVRKAADDLMKKSTDDKYSYYQQLDF -FVHAAFLNAYAQAIDSKIPLNFTHRNLNFRVSQPKTNFLVGLVTHEVNTGNNTRVEDLNKHPYNKYRSNI -VRVYGERGDLNGFLSGKFLYFPRHIFDSCTDNTLTRHIRVTKGEETHDIELLSDEYDATPFIKIDSPFAE -ATVLKFGKLQRTQYAYFVTADDIRVGSMSVDGYHNISTKDGDCGSLLFDHLHNVVGAHIVGIASIPPVNG -ALTWNAEKEMLCGPNDDYDYDPEKVGPPKVWPVESITALSTILNQLNYVTGDAFTTPKLPTNYQLIGCET -LDQYVNARNLVTGQFPQIKEALDDFINGYVANLQRGTEAYNVIYTSMNAQIRIADLSPLSNSITNWQYLI -DPFTRFRCFILGLLKQYLRHLIIYFLLQIAFICFDHGFFFRVLRDPTHFLFSIISTVFISVSPLASTNDI -LFKYLLSYTLEYRFAYLNVETLIQSYLYLSSREAIFYTSRRVNKLCTVFTVIVMLLIDTFFVEIGGYNVL -PVLIICIPIFYLRTVMMGAAQIQVYFEADLHKPAANFMTLIYFLIINAISIIIYCWGLFSFNAHANTILF -ATTIVHFIAFYVLSQEVQKVFEANTFRVPRLIYAAISYISHVLCHIYSAALNKVCEWILQIIINANRLVL -IGSGSFGIFLTICFLFYFFKQRSNTAKHESNDIAEAHTHFDSFAKLTTLIANNPKLACMKPFCVDYNDNR -ARMTLKEKGNWVVKVRDALLTQFSLTNPADLAEVIHECASHPTLNKLFFDSPKGLKVYHEIDSKLDQVPY -NGESDQIKSDTAVLAEYRNELNLIEWKIVTLQKELAEAKAAEERDAIKGINTQLADLMKQRKTLITLSNT -LNQSIMARDAQERRVARRHANEEANEIARVIKAQRLRDSLTLIFNTFINNLIKLTSDCNALKSYADNVLK -YVPEETKDFLKRADNCYRLSQDMILIETPASPLSYFKEKNGIIEVINSCSYDTFKDGMVVNHILDGTTKL -PYTLDSLKSSTHVVCYEPDRSSSYTDTCKHELNSKVGQTLPCGTSLECNHSHYHNQFDCTSGYFAFMAQH -ITECETCLDTFKRGQCGCGYRYKVPRVLQSFILHGSKCSLVTHELSYSGTAAAFKPYYDEATHSIMYKSA -VIAYLKKPDTYTSTFTYNNETYYLPVPLTHDVVSILIAFATRNGSIPVSEVHFEACFDRVDVEEDSGLQS -YSLIDTPCANDDQVCYQIQTCPFHTTKNDTCMICANIDDGIYSVVPADSSFHELARELSITLTNVTNPPP -KRHEANFSDKASSSLPVNRYHYINSAPFNYTITNSSPGDGWVEFTGDNHEACVGHKIWAKKYYHTSGIFC -KPRASSSTLIINGKNRHYKTKHSLKREIKTAQALSHIPEAVQFHKDEHGYYREISQFSLADVLHGFANQI -EPDFLAKYTNERNITVSNVTWLCKNICNHKSCNILKMDIFDYTYTCYTKAQSFALQACTIYNFDITPDNI -CPEGVYDFETYRPGNCDPIKALNAVTYCIERHWFSAGLSLSCASIYPHEDMTIHQYKEAFALYTTELNTE -VTLKHQPTFDSYLNFMLVTERHNINIDIGTGADTFYTSFDNITSAPCTEERYNEVMAGVTRLYYAYQYDR -GDFPCKYTVTQTHVKYPVIGDVAVEPEECKNPICNSYPPVYSALISIQKFSTWARLMCHDILKRVFNHCR -DCDHLNCKISRQLMRFKNPLSNIQPVAYTKLHEDRHLIRDRLTHMDFTSGQEFYATEFINEFNDVEFKTL -NGDTIHFNLTHPYDALLEPLLPPNTLVGNSVAASGVISDLDANFKNYDRNTGMSCSLTEFQLSLSHILYR -SEAQRTPDDFLDITPYEDTKKPASKKSSGIGITKLPQGYVRSLSDYTSFIRSQIEHIKHHFSIWLFEVIP -KISIQPVDKALRSIFIGPAFMNDVYRCFNTAWLEFTKTRLSYNTVLIGFKDTHCGINKLINGIKAGFNPK -GKAKWISQDYPKFDTCVDTMAQYSYIMNHAYHYTHTNLSLITRGLCQLIANSTSPIIYYNSMLIRKLHGV -SSGDGATAIKNSHCNSIITNIAFYRQIIDNQVSEEYRGLQSTLYNTLINGIQSKDDAYSTHRAFEWNISR -CATLSDDTLAIINPDVFDLDQYLSSYRTLGGYEITNEKKIFVRDEPYEFTSRYFFKEDGFWYNAPLIERV -FSSIVQCSKSTSLCPEIMGGRLLSILINAWPLTRKNDTLNGVPVKDIVMALYKITKNYIDKHNIFYTTAL -VDFDFDDERISNIGRAAVDHIGHTKKFIDIEYLDNVWFKQPEMDLAVCHESKPHSSTLEHYPNHEYISVP -SNVETIIGDITTITDGITIQAVSRTGKKPHGLSASFAAQGRNAYNVAHKSRTSYTVDGNVRHIWALSYPG -KAIDDYDEQLVRLHRLIASLSAIIHTENPTRIYIPWKILCGLSGGNWNMVYQALTTFAKFNKDITFTFVR -LDSTHEGMRDVCFACGGYGQFECYTCASAGYPFTFCNGATCLRTHITDCEHYSYSLAARKRYIIQCSECE -EMDIRKMYVDNDFRCAQHLDKEGYSKITDDKNNVTIFRTNATRSELPGVSKILKQVEKKSFFKIIYEFTL -KHWIQHNILLSFIAAREDMPSEVIDFKVIKQDGDKTYIKTDKFFSFKINNKYIDATTLQDISLKQEYTNG -KLSLYIDGGIKKGIKNIRITTPNPSNSLTLWNTITPTTTVLNIFRGIQFQASTFYIDNTGSLLDKMANNN -ITVIQGPPGTGKTYTINKFLARINEILPNSNIAVLASSHSAVDNIGNSVNPSIFRRCKRLIPQESEDKVR -TRFQRYTTGGGIIFATLQSTRGILCPSVEYMIIDEFSMATDIQIYSAICHLNPRHVIFTGDPCQLSTQHV -YNTDVYHSNIINNHQLTGKFPTEFLDITYRMGSKINDFISENFYNGKLKTAATYEGEVFQLHLDPINMLS -QIHAIWESSPQNNFAILVTHHEAFSIIRQYFTDLDIQIPIYTVHTSQGRTFDRGIVVSYRNTAFTKDPNI -VNVAVSRFRFQCICMHQGNPYYAKLPYYNTSQIYFEKSTTVIAYNGPQNKLSNMYTDNIKPFPYHTLENR -YQSEKAKYLGKKLILHNNPFETLKEAKKVFTREDNLRWAKVSAEVMTRLLFEKFNNPDLAKHLINTGKSH -LVENTKHPIWGGKGGENLHGKILTNIRTKLEVREREPTLIDTSYKHNVIFHKFKNQIISAPRLDIHENTL -CIDVETVNSKDIKGTDNKSLHFPSQIGFAYNGTIETYDCTPTLTVNGHSITTKYFNSIYPSSVKAAKRGK -HKIEFILRSYMARLQHTVTDQITLVFKSALIDVSMIHNAIRNGPNKCHNEDCANHPIWYTEHPCCAVHVD -GIIQAFYNPYMVDIHGFTCPRCTSDEFTYSPSEDSIDCNRCYPSDNNIYNSRMKLQVMHDTVCNEKHGAA -HDSGADAAMTLCIYNKIESTHHRKESLSRRGRFIKNQLLSANLHEIRKIKTYLENEYNTQQDFYIGGGTY -IPRTRNADLRVGTSANEHFSYCTGQIHKHIYIDSRYYVDIDKDKAHCIFLDRSNPHTNNWKQIHGLHYTL -DSRFIYFSPYGAYNGPRESLPFPLLNHKCEGDKVYYSSSRQYNLPCSEKPRSVLGSFYYHCCESHALAME -EIDNAFSTAPGLELWQKPKVNHELYHVAPTPNTTTADILTNGELLLPGYEDRPFKATDTTLKGIACIQTL -SKFNIVPENTLLLGAARRDGHCPFIQHIPGKVTSVDLVRHDNPKGPAYAIDLCNELPPGKFDTIISDLYS -ANPETLFPRFKDILSNNLKEHGHFLFKVTNKFRHNTVIEEIAQHFSYTHIYKAPTNTVTSELWVANINYN -PKGNTGNIIDFDREYAGRIKTMIDNPHKFKMLFTSPRFIKFT diff --git a/seq/clusters_seq/cluster_929 b/seq/clusters_seq/cluster_929 deleted file mode 100644 index c23277a..0000000 --- a/seq/clusters_seq/cluster_929 +++ /dev/null @@ -1,316 +0,0 @@ ->YP_009336549.1 hypothetical protein [Wenling nido-like virus 1] -MATIGVNKSGTEVVAPLPQRVSRARSRSVDGSRQSSDLVAAASSGRLPARSTSECRPLTVMVFESQKPVA -AAVSDSLPIADGGHSVTDEDTRRAISSHVDGGAEGFSVVNGHRIFNCMPAQGNNWAEESNSSSEWEDYTG -DELSSIEEESSESLSIPDEVQAQLNDLQEQNCQEGYTCDYTDETNTDDDTNASDEGSYESQGEVPGFDHS -VDLDFAERAGPLYHRTDVDTDGDALNEQLSKDLDFLNNTFVAKLTSPTFKGKGDRLIVLPSRNLPEDLGQ -VDSVKPGVITKIGVYTVIMLEEGYSRYNVLIRFLAGRKELQVLVEDDVARYIIANKVKATFVAPPNTKYT -VPRFHRQISAEAAYTLHFDLKNVKVIYGKHGFIGLDYHPYTNQVHDYCGPLAYDDVCATEVEVDGDWMDY -YSARCARSGCTQEDCQGDCTASVEDLKWLGSLQLSENPVACLSRNTFWGILNGRGKNVLGVLLSEAVPLE -KGCLYCKDSKCDNKVHYVSGHTFKTPKVVLGYHSGEVPYHTNYTVMHPLQELECRGRWTTDAMDSPLDPH -TTPVVFKHKKYESVVDCLEKVTKMPYPEITSEDVMEVMPIIAQHLAAKCWNSEIFGIPYFGEDNPELEEP -GNYYGVCLAKYTRAGPSGKLVDLASRLAPFTVKVAKTQDTPKPKVTPDETPVVDIPMKKEAEAPVAEKLV -TMDVTVADSPAPRSLSKVEYSGHNHVFSNMWTDRDGACVELLYQDHKAEICGFESYMGKDPYAAQKHARL -EASQVPQWILKKWFAKNLAIMQRLLEIKFSSPEARNALLATGTAELVEKTQDKFWGGLNGGENRHGQMLM -DLRAKIQRTVGRQTAHGIKTQNQPTVPSTVDDESLSTADRCTQQAEEEVRPVALVKPSKAPESEVCEVAK -PVNTFIKPTTPEILKPKISWAQIVQQVDMDVTKSMTFTSSKNSDTAGTTPTTSTVDSESDTESDLMAAAQ -SILSSELLYSYAEQRKESVEFERVGLLERFALPEVAKPKSSEVEEWLKTVNEFQQEIMLDTTEAHTEETS -VVENETQSEEVCCTPDEDSEEELPQVVYAKQRNYIFKAPCLFPRTVAYQVAGAFETLTSECHAVEFSVTE -PLMSTASVTQSSVEDAYAVPVCNGATAEEDVEPSSVTDDVSQTCEAVKIEDTPKTGTSDTESETDDDSDS -YHDCSTESEDEDFECRVYQIEDDDAVLVNKYSTVQELPDLDVYHYEQRGSTVTHTVDGAVFRYSYATFGD -MKLNTVGGYCMSIKKKVYSVTLRKWQRLKLLARQAKPKVTKRVQKFICEEVLDNPLVKKQMEKVKTTVET -VKKTVHDVAVRGPSAKAKEVYENVTALDRDTISEWLQNSYAADFFSSWTSCLAFWLPLLVTIYATKLWFD -GYTVATTDTANWTRPIVHFTPGHFWTNMVCGLIALVSVPFVSVDKSVLAFVWVSALVVWTVAIGTSAYII -PLLGAVLHPVLLLAAVVSGITSPLHVAQLVGVMALRQFKHNLLVQSLGQAVALTSLLYIFSLHDYFQADN -TLAVVGLAIINLCAIXRTLYKSHFCMTCRCNHKGTCEDPTELSSCWFVAQKNAVPRVYLTRDEVPAAENP -RNYYIKKSSKMFHPILDQPHLSWTRINANTIVSSIPTPDGDYQFVYERTGAEQVDELPFPPSGLIDNADM -NTRYLKVDDNVPHEELDTYAKQFGVKLYYERSDENPLHLRMPSNPTAYMLCIIGVAILVPVNYYLALFSA -SIMLIAITFYEVLKGSLRVQDLFFSWSGIHLMKIDGILGNAFNIVILVEQFSGLNVLTYFMPVYIASHLF -GKTHTAIILCAAMLSQIEYALIGASIIAFLALITRRWKYLAMGCGIAVYSKIATEMLPVTVFALSLFKEA -SPYQLFLYIYTLIFKMEYSVMAVHTIVASLLVAFACKKWFIVIALAIAGWAKFYNLLFRLPTTTMVTITR -WDVTVKHSLYVWNMYIVASMIVLPLVLICTSKVLRKQMQKFNLQQCIQLTVALIGSFAVWHDLYIRAKSA -SAIFSTAVLYMPNVFSKDVEEVKKPRARTSKVKLGITLLVIILATGTYVNMDRDPTITPYKTFNSLDENK -VLLDKHIASAFVQAHNNYTFVDEAIPYDFQRASVFSEFSTDYTCKDTEHCSVKYRSPGISCTYEIVRGRY -TYAKSWDCTIDTTFTEYKRKSFSSGGILPTDKWLCKNTPGSVVDNGHMLARMLGGQASWYNCMVQTHADN -LLQVTGERKALAMTHNGQVHYTGQVRPYSGRYIVIGDEKTLERCMYGDMHIVAVDDVNGLLDAFRTATRP -TFIIPTAAVMKDPTAAHVISLADKECTASVLIKANDLVVTDIRQKTPEFNSVEKVNPAGDIIPLYSGLHS -RVDASPCDENPLTSTILRAVMEGYNMPEMHIRELEDVVCRFVTAATNSQIASVVINKDQQMYIDLLVHAA -TIVNRVWGRXXXXXXXXXXXXFAKHNIAGLKHIHPLFTEAPCPCVDYMPVASLPRLVSKTGYCNTPDGIS -TAHAVANAHNTCVRFKNPYCVVKPDQIYINTEEPCEEIDFKQMSSLNTTMTYLSHSNGYNQFMNGAQDAF -TAVYDGEVKYHHEHVCSYEGLPVKLYKRGAIQLTCSLAFLNYRPRGIEFDSAYLWDVSVMLPNHTMDYKL -IAPSTGHNYHPIFAYLDFWPLQRVTLEDECLHRYVESREIPPPTHLKQVHKSVYQPGLCQLLELRLSSRP -RSAHMTYDGYTTVGSPIYDIPSAIGNAMDSGVMQATCGHSFLDSAYVRNFLHLPVSCNKDVHHFQSAYLA -LYGIKDGIVHSQKLHNTLRYIPHTYVHTGQELQPTLHCRYLYTFEGVDESFCQRDRYVWGPGSDQVIRAG -KMYGRKHTYLPLPGYSTYISSSKPTTGISVIDNAVLSSDSTSVVVDAYYYKTSVRAFNLLPYPRANICAQ -DAFGVETCVNYIHPGFSLAKVAIKFTQDMITVRYGEKSQDIVYGALYEDVYHVLTALCRLYVEHTFEMLQ -QLLEFNLNLVIVTASIAVLSLALVHSLLPFPTAVLVYMNPLPICSIYFPALLPLATLYDFILCGYGLYVA -IWSIQGMDNAAFIRRLGVVTIYLHYILGTQTTVGYALTVALGLLFFYQFYCWTTIKPWHKTETYTTSEVA -ALHRKYIESHTLGGKYDGATVLNKLYLAGKIEKMQYKFLLAVAGAYKYSTSLTYTPCDLVTLSKGEKLFS -LIASKLWFMLRSMWYFRGKPLSVHTESKTRNSPIVQPPHWASSVVEHIKQMEGFIVTESTRGTVFCRHSY -ASMNASSAAAAMRQNQPQSVEWGDTYLIPAVQNGLIPYKFSGVRVAYLYSRQDQTWRTGYITPSGTHSIS -TRAGDSGSPIFIYDGKPKWVGIHVRGNVGYFNSAVVYDGISWNDSKEHSEFTAGVESDDYITPVEPQLAA -RIVLHHYDKFGFSSAAQCDKWHVIGCTDKDIYTKPVQQRLAAVLMSVSANSIRNTLNLDFDSNPLMKNLK -PLTAYANIDNASAFYSTMRKRGMDGLLTIIESPVYVKFPLIVLEQFAVYAFIQMFGFVVSQCMQWELNVE -LVNTIALSGNYGIVGIVMSFLLSHSEQVALVEPIAFLAYCIYKYVSNVRFISADASTAIRYAFDSIVLYT -SWLALYYSYRVYDFHVVLAIGILLVMYITVVLLCSNRSTRAFNPCESKLDVNRSESVRALALQLQSAMSA -ARITEYNSEIGEIIAGCRGYSIAQLKQSVQRLSDIYHTVANNTESDRFNPVDLYFTTLTMSDGDVVNQVC -EYFGDCELTEIVGLLTAQRERLQGIDKDEVGKSERKQLNSLISAYNTVVSRIKRQCNRDQSTKMQDMAKF -NKLAKTLISTLDQKHRSSLKEEAATLAYKRFLEEFPELYGVMDSASMLPDAVTLDSIINELRDRYSCSTS -QPQIVHADNLAWDSDYKITTPPYTGYTIYAQIVGQHLLKVSEDMSGKTLLIGNNLEVAYTCTCGSRVTFA -DANSTLHADCKSPALPCGFTSISRTALLQHTATCAACRICPYSGSVHPQGVNCMICPSTSSEAYSANRTA -TTARIHTLNLGGEDVLVYRSLIVASKTPRKTLGGEDFEPVEITQNDTKFVFYRHPDFVDIPIMKLFNTSV -ESACVKFVHNNGQYFYGDVMVASDTPTPDSVEFKHKHGVVHVVPSPFTYMAYRSSSYEGVCCQAQPVAAP -RILHEGQGCETREGFLVRKDDIEVCNKLRHLTKLDIGTNQYLFVEAGVETSLKVARSYYGKPVVFISTER -VVKPKQTTRQPVFLVRPSFYDSLEAARAYIQSIDGTVLDQIDRYHYIRSDDNSSGRAGGFIHKGTHNSIV -PYTRFGLNYNVKVVLKPSECQKDRHISSLSKHAIPFARVEYQGHKLFKRKMPYYSILDLVSVPERLPHFA -GRCKRVRCGHEQCFELDLKRAQHFLPTIRAVYEFAETVSKHYSFVISPDNITCVEHNELRCVCDWGDFHR -GSCDATDALSPFFYFIERNRFYLGLHALTQRRVIQGEMREPHYSYDEYVKLFQYEQRLGFYPTREAIKMQ -AADGIERQFEVLTEMARYYVSSHYDSYNSTYDNYVSQVYPGFGVIERKLHSEHTDANPFNLQSQRYLDQI -SVEGALRTMECPPTEWISHAVTHPSLLSNCPTCKAIGNALNEYLEHKGYSNVITLSTTPLGSKGNTSVTQ -AEYYVDQELVKLIENTDYINTEGDRVKMKIRCNHFAPFADYAKDREYVLGSCRVAGTMDDIKQDLDFYNM -NDIIQFTLSEYITSFKFVLQQRDYSDVFKAYQLEPEDVKFGKRSKSAGPVFDKYLNAGGLREALGAVDDR -CYEEFLREYMESYREGPHLTLTQVKPKIAVQPAEKPFRSIISGSPVVTDCMRIVNQNAMRNMVALRHIFI -GNRATPLGFTDMLSYLYESDADVQVSLDHSKFDRHVSSMMSYAGHIATMMLTENNLLDPQLVHNFYISHF -LTYTYNTLLFDGSLYIKNGGVSSGNSITALNNTLAAQQHTFICMMREAIDGPKQAWRDQQLLFDLCMDPI -SLETPIPDSIWDRVRIAGLSDDVVAAVPSDLIDPDNFMKQFKEFGYTMVKDKKYFVSPTNENPTELMSRW -TEYHPTNPDIRLPHPTVDRFLSSCLLLEKRSACDPLIKRMRLISILLDGVQLVFSKKRTLMLRDCEISPI -PIIQTVIDYLNTLSSMNFSMNMDSDIIDEMFSNLNDANVILALSNEKYFTIDTFLANILPSEAQFSVTPS -QLMNTSHAVLPFPGVGGHYIVLYPIYGHYTDKIPHDLTSYLLPRSERSAGLHQTFQIGDTRYTVIYCKYH -QSQETYCDFDKTVHRYVHHLNTKSVILFYLKRSFGQLTDVKGAFFPVKDALCDTSLAQLALSYGCQVYNN -TDEPIEAISMCDNDTESSKSSYGCKQCFYCDYTHSNLQCMQCYEEGLTTHFCQNSLEGTPHYLLHRESTG -HTQFIFANRPIRCIVCSVDCPVDCGSSGHFAACKQHEKQDKSPIPGLTFNTQFNHERFQFMEKMVRAIPT -GRLHGLLTTHLDDPVVFRCYSLYIAFTKDSDVCKVSKKIRVDRRTLVSIPGLSYQRSAKYKVGNIVTHLE -LVCYDNQYFFAVDVPCDVGMNVQLVANTVLFDNLKTNVLGETVYQYLKPSVRVIPSRIPHALLSTFPQHV -DFVNHVFTHNYTMLQGIAGAGKSYFIKHCITSCIDSGLRLVVACQSHEAVDLLGNSIAKSKYKNNAARVC -PREVDKVHTLLGTNKEVPQARVLFTTVQSMLQLANRSYDLLIIDEASQLHDSAFALIISKVHFKHVLFCG -DPKQIPTVLTVPHSPKDANLYVRSKEHFKFTSTRRFGPYLASVLTSWYGFQVTSLADHETSVRNFKSSAV -DYHTVMQAVISLERVLVQNPNSSALIVTPYNKLVALYQKVLSDLQLTVKVLTVYQSQGDEADFVLYDPAI -GYGFLDESHIHIVAMSRARKGLIVKTSDPRWSSFELIDAITLKMNPSTTTAFIAPDQYFYRTQEEAREFA -AEWVLGPVEGVPMPQEVTNPVSTYSSTERCHCPALALESRYGETCKDDGRTVLAVHESAYKPTPVTQHPR -QNAVVLDIECVVAYDLQHMSKRKQCLVSTNAPCAVSYKVGDLPTHTHYFRPSCYDGQSYVESKSYKCLPM -IKHLEKDIRRARKTQQDVLKSILNMLHQTLTGVPHFYFKAGKLDLEFLASIMREGGNTCEHQNCYNESVF -WCGLYNKVSCIKHTSDPIALVNLRYHDIDLMFQQQRKLELMHQDFCPVHHGVPHDPSTDVKMTFCLVDKY -HQQLDYSIPIPGNHCYQPMLGKIMRQIKSLFEDAPHATYIGGGSIIGDVHNNVDSLYGRSYEQHLHDCVC -KSHVKLDFYEDSADDNADQYIVRAYLNNVRSWKGTETTASRNYWFSESTQHILPHRIKFPGHCSGPYSES -ASDLLVDFCKGHQLCRTHAHKLSMLEKYLNCAKRIGLKLDQNLVIAYIPNTSTEATASLYKLNDLHSSPD -PACSPPLHLRGYVNSGNSNPTVNKAITIVEKLEAEGYRANSMMLIGSAGSDGKQPMAKYFHGKVNKLHTC -DPRPVTQVYGRHFACGVEKLTYNTKYDVIVSDIYDVLEPNNTHEEFASFILNTLTEGGHVFAKLTISSIS -QIYDHLAKSFESVEIYKAIHPSLAITSELWVWLEGYTPNTVIDRGPSYVTRLYNGNLHYLNLNPHKHRMQ -LRANKITKQS - ->YP_009333332.1 1ab [Beihai Nido-like virus 2] -MSVNICGHTIHAPASVVKTCKTVRVTVCKLAEKRPVKFIIDNVLELNSRITTNVLYIASKTKVGYLKAKS -EHKNLEALLSGRKTPRQYVSECIQGVRDTLSHLIECIPQDTRVNLSSYFTVWLPVFLLIFCGTLWSTGKT -MMTSTTSDLYYPLTHYNSMHYYSNVICGLVATLTALYVHIPTSHSLYIWLSAITVWVSAAGASAFVVPLM -GFTFHPYLVVVYLFTQLDHRLHFSQLLAVIALRVSNKFDNRYATIIGWLLASCSIVDLAVFGYHQRASLE -VMPVILATFNLYNIYKYYTASNYCIQCRCGHDNACENPSNITTYWFLPCARNAIPRVYLINGEEKSENVR -NVYLKYNAAGVYKHSILDVPHLSWTKINKNTIVRDIPEPVDLPEGSYTIHSKPGSTLVNVLDQNETGLNC -DLPTNKRYVVLKPGTDVNELNNFAITNGLSIQYMIGDNTTEVVVAPFDATKYMALMLCLAAVVPFDFYIA -AILAAISFTFIILRQSMTGNLRLFDVIPTGKVHNMNFTGGVGFVFNLIMLLESYSNVKILTNFVPLYLAY -QTFGLKALCYLLPCVILFHLQYSYIAAIIIIFVAVCLRRWHYVFIGVGVAIHSVLSAELTPATLFALSMY -QGVTPYQTFLYVYSILFRAEFSLATVHTTMLSIIVAVWFKKYMIAVALSTVAWARYYSIMVSSKATVTYV -GYSFYKDVSYFYYIQLSYFIGVALVLPIVCYFSYKTCRRQMQSFSPQQLVQLLLALIGSFAVWVDLYVKT -RTANSVAMSVLFDIDYEHDWSNLAVEDVDVDTEDWEVESEEMHVTGTPDVPRKKNDTEKDKKNKQKWFNC -AMLITIVCLIAFSASARSDPKIQAYNTVNSYAQNSAVFDDIMKASITTFNSNYTFKDVDVGGYLMASTVQ -PNHGASIQCKEDDCVVKYTSPGVDCTYQVHHGTYTYTTAWDCEIQPGYAVYKRKAFSSENILPHAPWLCK -STPGSRADNGHMLARMLGGQASWFNCMPQTHADNLVQVTGEMEAKIAAETGTIKYSGVVRPYSGRYVVVG -DYATFRRCVQGEMTLLKAESVEQLIDMFVQADKPTYILVDDHILNDPRASHVVSLASKECTASPLIKING -KVSTDIVQTTPSVNYAESLNKGPQSQFTIYHGVTDTVDAPICTSNTITAYVVRRIIGGYDLPQQHVEQLT -ELVCKIVSAASSSQVATIVIDRENQMYLDLIVAAVSAVNRIWGKDLIHFASSTIAGFKHIVPEFTVVPCE -TVGYINIASLPILVDDNGYCNTPDGISTAHAVANAHNKKVYYRNDYCTVVKGQVYINLNPQQPCTQLDYF -NIPAHNTSIVHLDNTPGFNQFMNGAFDAFVREVQPKVGREYAPTCSANGLPVKFYKSGKLQLTCSLAFLN -FRPEGLVYDKATLFDTYVLLPNVSRTYQSVDATDEVQNYHPLFAYLPFWPTVQRTMQDKCLHEYLTQHGL -PEPDFIPHEANSNYDHGLCQLLTLTLESRPRSALATYSGYITTGEDVYDIPSAIDSALTSGYMSTQCGRS -ALDSPYVRNFLHIPVYCYDNPAQFNKALLAMYGVGDGNIQNTLLHNTLKDVPHKFTMSSTDIQPTMECKL -LYKYSGVDESFCKSDKFVYGPGALKLIRAGRFYGRQHFYLPIPGVSTYITVAAPTVGIPAIDDAVLQGAS -VKVDAYYWQTSARAFNLLPYPRATVCATTNLGVETCVKYISNPIIVKGLEITFTQDHFTVQLGDYKFVTE -YDTIYHDIAHLLLNILKAAAYTLYDIMYSISRFNFLVLTIAATATVIVLALVHTFLPFPTAVLIYTNPLP -LMSHYVPQLAMVTKLYDIVLFGYSLYNGLWSIQGQDPAAFVRRVGILTIYIHYVFGTQTTLGYVIGVAIV -CFVAYQVYLLRTLKPWHKTESYTKDQVCQLYKKYIESQSVGGKKSGADIVNDLFTKNMIDKLQHRFYLAL -ASSMRADVGLEYTPPELVNAKNGNFVFTIILSKMLHYFRKFTWYNGKPLDTYTESATLNSPIIEEPDWAH -NVMQYIHYHNGFAQIQATKGDVYVRHSYANTNAEAGRAAFKNVHGSFEVWGDTFLIPKAQDGLIPAKFNG -TTHCYMYCQSSKTWRTGYISPDGTHSVSTQPGDSGSPIFIYDGKPRWVGIHVRGGVDYYNSALTYDGYAW -KDSPKHSEFNSMVEKSDYVTPVEPTMAARILLHTYKGFGFRSAEECKQWYVIGVTDINIFTKPVQQQLSA -ILQALSPAAIRSTLNIKYTKLKDLKPLVAYAKIDTISTFNSFMRLRGLKGLINIVETPFYIKWPLIVWEQ -ICMYAFVHLIAYGYGVVFESHTNPEIMNEVGLSTNYGWLLLPKILFTVHMPYNAIVEPLMMLLFVLYQLY -ANYKQYRVDGSTIVKHIIDGVFYYLTWFGLYYITRMESEWMLCVVAMVFTLYVSIMLRLSKRTTQSFNVC -ESLVDEKKASNVRKLAIQLQTALTAARITEYNSELEDIINNSMSYSFDQLKYTVQRLMDIYHTISNHAEN -DAQYDIVQDKFAPVDLYLAALNFQEGVIGKVVEYFGGKELSEIVGLLIYHKETLQGKLSGDKNEDKAINR -FLGVYDRVIGQVRKEVNRLKNQDLKNNAHFNKLAKVICTTMDQQQRQHIKEEAARQAFLRFLEEFPTLQG -LMASQGSLPDPAEFQDIVQELQGKYSCGESRPRIVHTDGLTWDDEYIITSPQYQGYKIYAQIVSEHLCKV -STDMKGRSILIGLDLKPAYYCVCNGLVTFDTLDNHDECQTPVLKCSFYSMSKLATLQHVATCPMCRMCPF -ASSKHPDGMFCHVCPPVTHDIHVNNTESYSANRESTTARLIPIVEDGVNVLFYKSCVVASKAPRNTLDGR -PFVPNVVAKEGSEDRVYYVNPDYPSIDFMKLDANYVESTAVTFIMQNGGYYYGGVCVARLDKCEGSIVFN -NGVRDVYVLQTPFISMAYRKSSYARSLDTPRVIAEPQVTKNGHGSQVREGILVDLRDENLLSQLRSIPSM -SEVVISDTHRLFVDADRSVALEVARSHFGPPVKYAISHTERSVSKAQKKKISPLVFLVEPSSSFSAAATE -AKTLTFGDLTNKAREYIESVGGQVKEQIPRAHYIRADTGNVERRGGFFHLGTHDSVIPFTLNGKDYNIKV -ALKRSELEMDKHINTISSHAIRFVKKDYDGCTFYQRQMSYYSLLDLVTERSRLPYFEGRCQKIRCGHDVC -FELDLKRAQYFMPIVQRVYEFTEDASQHYTFKIVPDNITTDSRCVCDWGDFVEGPCDPIEALSPFFYFME -RYRFELGFTALTKAHVMGGKIVDPLLDYDEYLKFYKYESRLGFKPIREPFTMTATSGFSRHIELVQEAAR -FYVSEHYDQYNSTYYNFSNSIYPGFGFVKRQLYTDHVQDESVAATYMDLLSVEGLLRQLESAPYEWKSHY -LVHDSYHSSCQTCNMIAIAVEQNTAHHGYSNVMTLGITPFGTKKAGNLTSCEYYVDTDFLERIEGMTFYT -TEGEDIKIKVRTNSFADFDDNGQYTYVLGSARVAGNLDDVQKDLNFYNLNQPVITTLSEYVISFIFVLQQ -NELSPNYDAYQLACGEIKTGKKNKSAGPVFDKEFNAGALRSALGSVDDRLYEEFLEDVMNSYRDAPHLMI -SQVMPKVAVQPSNKPLRSIIAGSPILTDCIRCVIQSGMRAMVNLRHVFIGNRANPQGFTEMLKFLKESSA -DSQVSLDHSKFDRFVASGSSYAGHLATMNLTKGQQYSPQLVHNLMVSHFITYTYNALLFDGKLNIKNGGI -SSGNSITALNNSLAAQQHSFICAMREATNGPKKDWENQLMFFDLLMDPLNLCNKLPSKIWEIMRIAGLSD -DVVACVPSNLIDCDALMLQFQAFGYKMVKDVKYFVSRADEPPTELMSRWAEFYPHDPSIMIPHPTVDRVL -SSALLIEKRASLDPLVKRMRIISILLDSCALVFSKNRTLELGCYNIPATQVIMALLDYLNELSAFNFSLN -VEMEEIQNLLINSTDANALLKLSQMTSYTLDDFLQMVLPMEAPFEVIPVGDNYKQVEENPGAGVHYLVPI -AKYGYGLAKSYDLPHNIEDQLDKNRDLGDVSTFKIGDTTYTTVVCYQHMTKPNVFDINPYTRRYEYHIET -KAIVLHFFRGVVTKLSRDVHFYLPCYQQKYGIQLALNTMDLPNITVYRNHPDAPARISLCDQTEALLHSK -QCVYCEHKNANLQCLTCLEHGLIINLCQNMESGTQHYIMHYQSTGHTKYSFGNRQLKCIVCQSNCEVPYK -SLKGMPACQQHCNSEESPVPLTHNVTEFNYNRYSTIESICAGVNTTKVHTILTNSLHDKVLRRLYFLYIS -YNKDQESTEITEVLRVDGRRLASVPGLPYQRSALYRVGNQVMNAELVCTSGGRYLYAFNGRFNVGDQVKL -ITNNVLFEHMRVTPIADRALEYFRPAQTIIPTRVPRMLLDKFPLHHDFINHIFTYNYTLLQGVAGAGKSY -FIRHCIEACVEQGMRVVVACQSHEAVDLLGIALSTHLKDRACRVCPKEVEKVHTKLNPMSSVANPRIYYT -TIASMLQLSNRTYDLLIVDEASQVNDAAIALALTKVNYKHVLFCGDPQQIPTVLTVPHKPKLANMYVRSS -DHFKFTHTRRFGVTLAIEMTRHYGMEITSLAEHSTSIKLFKTSDLSWNACLQAVVCLAKHQALKQLDDAL -IVTPYNKLVALYKSIVSYLGLNIRVLTVYQSQGDEAPAVLYDPAIGYGSLDEPHIHIVGMSRAREHLLIK -AADDRWSHLEEVEEIPLTCEQGSSNGFVFPSRYQYCNAEAVNEFASCWLLGPVRGLPNYTECGRTVIEEK -DSVYAPTIPVRHPRHNTVVLDVECVSTRDLQHTSRKKGVPVPSLAPCALSFQIGDMPVTTKYFRPSIHDG -HEYWTSRDYKVLPMISHLRKDIVRAKQTRQAVLQGFLEMLHQHITGVPHFYFKAGKLDLNCLQPILRYTG -IAAVCEHDGCSYDAVFWCSTNRTMCVKHTRHPLALVNIQYHDIDMMYSRQVKLETMHDEICGVDHGTAHD -PATDVKMTKCIVVNYHRTLDYSQPTAGCHKHTPALGSIMRNIKKLFEVDNTGDYTYVGGGSVIGNKSYNV -DKMYGRDYYEHLTECQCKSHVMLDFYEDSVHDKTDRYLVRSYLDMQKSWHKDSLETTKAKNYWYTDNDPF -IYPHRIKFPSRCSGSYVKPDTELLVEPCHSSSLCLHHHQLLTKLESLLKQASLIGLKLDHNLVIAYVPSN -SVEVASLYKINDINREPKLMDSPPLTLRGYVHNGQTNPTVNKAITIHEKLMSESCTLGRTLLAGSAGSDG -CQPMADYLAKQVNCKLVTCDPRPVRANKGLHHNCGIEELPRDYKFDTIISDIYDVHNPINTHESIVEYAL -STLQRGGNLFVKITMTSTSEVYDVLATKFRYVEIFKAIHPTYAVTSELWIWFKGFQPDLTISRDENYVSR -LYNGNLHYLNQHPHKHKMLKRAVRPDS - ->YP_009333323.1 1ab [Beihai hermit crab virus 4] -MAHNYSHLREEYDHVVGESYDGVNQTHGSKRTSRRKKHREMQKRQQQQQQQQQTEETDIILVKSSKVYKI -YLSFSNGPTEISAYGANMRANINLNQHDIEKVIPMHNXIKEILMHGKYFAQVYTVANNKPAVVRPRLATI -LEKVTQQLQMTYIPTERQTFSPITIKFHNNRNKYLSTKAYSKCQLFGKTFNNAEQAYQYAESEIQELGKQ -EKIGTLTAWYNINQKLMEYLCYDNISRLEGVHRFYNHAETTPDRIWGMTGLNRKGEMIDNIIHQHHQNYP -KPENPFGDKSLKQWLDEQQQQQQPNQQGMQKIMTLLHKKGITTTHAAAIFAQAELMQAVQVEKERTKENA -KEKEEALNNTEEVVQYKGHKIYLPTSNIYERKMVLSRWMDTIQPPFKHVPLERILNQMKDIIQTYEDQQI -HPGQYGPIDINGFRIPATYVKPENTTARKQLKAKRNTTTEFQKLYNTVKHWVSCGLGEQQQPHHGTPGTN -TATPKWEQMQPRQKHGKRKYEWEITYNPKIKKPPSKQTHMEKLAKILKNQCKSKIRKAYKEPHKTICQII -KDKRQQLEQKQLKQQKRLIKQALNDLHRKHQANMERIKAIIKATKATKPPNYSPTNNTGGEVSSSQIPDH -QPQPKDNNSVNRVQTKIREIKAKITKNTRKNTRKQRSSLKTFSYKEAEVEKQGIRTKGWSCAIPIPGGPK -RRSKVPCGDHNTDSLTATSSIVAPPFDSETRRETIWKRGVDAEGTTSGQRDEVEEVNAQREDPSSETVED -KEIAVHSDEAEEVNAQREDPLEETSEASKEASQQIEVEEVNAQRDDPLTETVEADKSDATEDSLVKRPSA -EYPEDSGAENADEKLRILLREKLDKRIREERERQAEEEEKKKAEEKRLQEEAVKQEKERQRLIKLLEERQ -KQKDKLEAEAAARKKEVKEPKVMNEKERFLLKQKQKEQEELDKLSIARNDAAINEEKTELESVKEQQSAL -QEKLKQTREAYSKFKSAGKEQLSKLEEKKDKLENEGKPSEAKPEEAPRKISKAKRKLTPQETQEAKKQAD -LRKAEAERALQEQKEAKAAEKAKKAAEKAKKAADKAAEEAKKLADKKKAEREAYIEKAKQESQELIKQKK -AEAEKKEADEKKARLEKQKAEEDYRKAQEAEENAKQEADRQKQLRIVQNNVAKALADREAGDEEEESDGF -WQTGTYEKDGFKFKTKEQLLAYERNQEELIKKAKEEAIRKEAQAKSYSAAAKEGVQENTNQTLYPNLTDM -LAEKEADDKERALKLKAEKEADAELHKLKRQLSGARQESANAVRLYKKNKKLLDNCIAVRGKTVEQKERV -AALRERNESLKNAARGNIRMKKAVKKKIKELKQQFDWDSSSEEDSEEEDMDNILISKAEAERVKTEQEQA -KQLRIEELTDLICESLGDPVLKEKYEKELDALIRNRSNTQDEQVSNGSGGEAAQDDSLGGYTTETTSAAD -CPRYPHTTGAGHNDAEAIPSKNAYQTATWYQILNWNDALNKDRHIEVGKTEWKFEDIIFSDMQAYSVTVT -DDDDVYMHDGLFPGGLFCFVNALTSHVTTFIQEGGFRYRSLGPSYTEEPLWAVKKPKLAEQVRKTKAYLK -RKEQKLREKLRMQTNYMLIDRLYESWTAKHGARQIEIIEKKKEQRKSGNYQLRDETKEMLRRRLKETQEM -ASRTNRLGTPHDKLMGNDTIREASEIIIEQEKANMENNVEHVGNVIKEASDILIKEQEYRNKTGLQFAFA -ILMECLKESFQHRVHVLVNVLLPIALFIISNMRWEDYGPPDYEKNMEIFGWINHYSYDHYITNQIAMVFC -MMTVIFNYNPFKTLQTVIATALAATTLTGTSAYTVPVMTLYANWPVKVFLLGTQVYNQHGPHVAQVVYVM -IAELLYNIERYTTAKRTISMRVSQVLALISMALATQRANIFENYTVMLPFSTTHGDTTQVLTFISLVAAT -LAGIYKLTRFCRHCFRMNCNDCDGSGTPAFGIKPRTSKVRIHLIRKTQQTSKFFTGRHRDIYSEIKTGPN -GVEEEHIYTLKRLDMADYHLSATYHNEKTILTPLTYRPPTGSIKITVKKTRDELTAERRERENNAEDAIE -NPIDEEKEVESSIADIFKPLCQPENSKLYRRGVYEIDTDEADPMEAIFDPIKAKMDYRDVIHVRLGKDEK -LNKVYFDNLRAYGFEVHATQHDNVIEGLIVQRPGVDAKLLATLCVGLVMLCFGMDSSVFMMVMTTVTAFA -AMYQYRNTLTLKVIMSSFVGGSVRMNNAASIALMAAKLAGYERIAPSIYAVASKELNHPLKVMTLINVAI -YVIKDYFEFTGLGYFEAAAVFNIVMYLIRQRRDLHAQDFISVLLVMDGRAFAIYHTFTTLADEDFNVETI -ATIVGAMPFIFDTMTLPALLAIAAASISIIKAKNVKLGMILLMVCCVVSANATFTVMPGSSDSFLYLVKQ -IVEEHKKMIVLTQLTSGIVIIPLLTVVICPDMIELMRSGDQYMIIGSIIATTMFIRSGMIYAANSGSILP -YALLRIVPWFTGRIEFCKSKFDETKGKIKKANKKELVKHVLISILILCAVFALYAQNTNRVVARDYTKSR -IPIPKMPEYVYRLQKNDTFRPGAMIEIEGTNYEAVTTITEKLKGSSIYTSSPGAECVYDLEETNGLFYTK -RMSCRLDNNVIPPKRRGRFSAGTIPLHNWVCDQTQLDNGHMLARMLGGYERWYNCMGQKHVTNQEMVAVE -NRALGMLKMTEITYEVIVPQYTGRYIVIGDKQGWTECGYKGMQYKIADTAEQVDRIFETAEVPTYIIAKK -EAIDNSETAFRMDTRCEAPVEVKINGRAYFTYMPTTPVIDEASITTSVEIIPGDDAEIIPVTCANNKYAS -MINGMLLQNIPDEHKDDFGDLICRMATAAEKGLKTTLILNPQVTAYVDYIVYANQILELATGRNIFDLAT -SAIKGTYTIYTNLRQKECPCTNVQTPVFAGYEPRKTSGGAYCDTNNGRVAAVRQANRNGVCVRKQQRMCK -VDTSANIYITDQPGCNPGEVTIAKKQNIPHTASGISYGYAAMQFSDTYLGEEYNYNYQGNTFDGMCTEGL -GLPVVFETIEGTISCGRNYVNYAPMQFTKASTGGSEIYVPDYYIKPKWTKLDEKDYYEYPAVFTYLPYYP -EVAGNAESACMQQHPKPIWLPSMKTEINMIGLCEALATPMDPIIPTRLGMQPVAYGFDNIMSRARLTGVV -SVPAGIKSNIYDSPYMRKYHGLKIVTGGDLRKFYTAYARALGAEVEGNVLKTENALVRNSLNEIAGFALN -RMFRIQYTEGKHADFTIFRDCKMYRQFTGTDETFCTKDHGLRGPAALEAIELGKLFGRNHYLLPLIGRHV -DFHENVHAIAINYGDLLYVSTRFGDMDYKEYSNMHIEGQLIINKNDGTEYIVEMDAKPYILETALGKITV -NNYGTVTKVVAELQGQKVELEEEIEAVTYSGWLYSGVWPMMFRAYAQLIYGIAKDLLSMNVADTAVAVSV -SFGIIMFVQSYIPIPTALLFVIDVYVVKALSFVGVTVHFVYPLIYKSCVYTYICFVLIHSIRANDKDSIF -RRVASLSLIFMHFTTSYGMYVCITLTGFSMLSCVVYIMHWYTAPWFLIQNYNADKVKQQWERYVKHRGTT -NEARDKYINDLLKNDARQCEGAYLRAISSSYNFGTGLKYIPLEFATEVGKITKTRILVASLLRKVIYYIT -WVSGRPTLKDESATINNEEIVPTAELRAYEKYLVRKTNMVAVKTVKGEINCPHGHGRTKAEAAAAYLGGS -KVVFGDYFIDTDRKSDLGFAKLKPGLTQCYLLTHRDGNKWYSGLITHEGVHNISTQPGDSGAPIFIRSGD -KFAVAAIHGRGVIGGVYNGGAIWNGHDVTTNKYTKEFEKEEGEHDFVTPINPVDAAKILLNHFTTTSMSR -STANWHLLGCHDGKIKVARNIEEKLAYILNTYSPILIRRIYQKYNTERAGWDNTLQALNDFNAPTSSHLA -ASMVGDTLSDLVLFVGVPLWKKVVYIMFNSAMNILLKCMLLSLVGARSEMIIVAVATINLPTWSVIPIGI -LYGNPMLFGNIIAGKNAQILALQLLNIVTYMCSVVVKARTYLPESGYRVRAMIDAVIYMLVWSVINYFVV -GTMLQNYWHPNYAHMVYTIILLAAPWAAKSKDESSGLSEVNSIRALYANSIKSAADRLSALATGLGDRQF -VHEINNMQEYAPKASLDRLETYEENIHKILENYKTKIVNEDSNISEIAKEAFSHALEAACARRLEKGDLH -MLISEHLGEYELADYLATVQDIVAKIENKEVLTKEEKKKYNYNMQALKAGLNDMKKKVAAGQRQKEAQLK -AEEKLRKTILTHNNQEYQVQHKEAMVHRALADMLDRFMPVTYLAATNDTYRTYEEFKQAKKEAMNRLSHC -GSGMRMVLVDAYNYDEDFKIQNEGEYKDWIIVGQLIDQHFYYPSNDMDGQVIIAQQLPAYYNCSCGSKEM -VCPENTETLLQRHKGCVPQLPCGTECKSKESAIEHSKTCRQCSSCAVCAWAGGAMQCAVHKRTSDESFRK -PRLYLKKKNSDEAYQESNRVRTKMVPTKLHTPVANLIVMGGAVQASDKPYSDGHVDFKRMSDSDGREYYA -AIAQDKSKIDLLYANKDESIPIFVRKGDDFYYGKQKVASFNENEEWLQSQIKVEDEIKDIWYTTKPPVLD -VYNQKCYNFAEPEIIEKSNSHIEQSTENKIGDLETIFVPHTMEHLNNVLKASGGYDSLDLPTGTIYSRKD -DVYALAKSHYGRPVEYAPEPEQQQVNDITNDESPVFFTVRGADVKSARPHNNKQGIDIEKLKELVKKHYK -ILPAVERHHYIRRKNRGYIHRGTHASVITCEDATGPFNLKVIVSEEELEADKVLGGIEGAVKFEQITLEG -TTFHRRRMHKYSLLDLATFWNEDRDLFLKETGLTNRCPQVMCGHVKCIKADNQRYTYFMDAIMKAMRFAK -SAARSYTFRMVPDNVCIDVGYGSVYDWGDFRAGGCDYIDALTPFYYFLETNKFALGLNVMLYMENLSFED -HCKFWKFEERTGVKVTRNSRKLGNNGNKRKTLLDEVVRIYASYTYDAYRKHYDNFTQSITPGHGKVERKL -PYPNSDGSNTQEYFNLISQEGTLRLAGENLDFNSFEYHSLAHPAIVAGCTLCETTLNMLHEDDKGGYSNL -LTVGILADQKKQDNKRLKEGLYYMDPGFKEILETRKFRCDDGEDYSFCVLPNDCASASPEALLDYAKPKL -PLVLTSKMVTGSNKDIKADMDIYDTNDPVEFALSEIIAVYQILLDKFDSNPITPKITEFEHIAFGKVNKS -GGPYVDGINAGGLRMSLGEGDYPQRLREMSEVFKDNKYTFITQVRPKISVQPQEKPLRGIISGSPVISDF -IRHVTQNMHKIMHSNPWLYIGNRTDPRSWTEMMQFIEREPHHYKISADHSKFDRRADSLLQWLVDLLVLD -TVDLCGHDLDTLYNIMASHRMTNVYNLMSHAGMLYAKCGGVSSGNAITALGNSLIVLMHTSVACLRTVLK -NQDIQPEYQKYQQEVFDDLMEPIDIERKQNKFNKYFQLAGLSDDVTISHLDMVDPDDLVAEFKSFGYIMV -KDKKYYVSGKDEPVTELMSRWPERVMVGEEILEMPVPLLMRVIASMILIPKTSSLSPNVLRARTVSIILD -GITLVYSSQKLNIYGHEFEPIQFIQCMIDYLNNLTEDCVSWNLDETAVQDVILASTDAIAVSELLKINRY -TIASFVEKQITPKHCQSIDLLDTTGVVTTSQMRVGRRLIQENERVLLDIPERHAKRMHHTKGYKGKFDRV -LEVDDRIICCTRRSGLADIRSKEDLETEVLARIIKACEQVKDIIKRKGIKRIIVPIEDSKELYFARTIYH -QKLGAELEFITMGSMKVIAKLGESPDEAIEPCFLCGRLGMFKCTTCEMGMCDIHLCPEHAIEHGEKGHTY -YTIKGKFLECIVCNKPVPIDCKTIGSMPACAEHEQGNNRYTARFMLHTTSAILPEAKTILNRLEALAPHN -IYIKSFHQLIVSKLHIPRVLKLYLGYISGDTIAEPTTVELVERTQQGTFFLAPGLQFQRSAKYSSNGICF -TVELKCLKGLTYFFTKQSNKMRVGDKIQLVANTLMQEMIPQIMKTYNSEMMAGHVCKVPFNKAKAPKSLL -DYYPEHHEFINHLYDYNFTCLQGVAGAGKSHFIRKMIETTLDEYVDLEGTIIVACQSHDAVDLLGNSMTH -LQASRIVPKDMDKVNTMLPIKQNARVHFTTLNCMRQCSGMKTGLLIIDEASQMSDLSFLMAISSCKPKRV -LFTGDPQQIPTVMKVACNTEDINIYNRLCSKGEVYRFAASRRFGWELANILSSWYGFELQSLSSHATSVF -HAVTEEHTEDKLNFALVQGIADIAKYEAVQDNNINILIVTPYNKLVAAYNAATEGISNIQCKTVYQSQGA -EADVVIYDPAIKKGGQLDEPYIHIVAMSRARKRLYICSQDKRFHLGQPIRERQLEVYRGEGGLTQPFKYR -TNNIEDDYVKNYYLGSVVYKDEALPKVTPYMVFDNETLAEKHPIVTAPSDDITEHPRQDAVYIDAEAVNA -LDLKHVSNKGKEVISMAITALAAKVGTSEFSRYFKPAVYNQSSYYRTFKFWSVYKPVMREARKARASYEQ -VLHAMVRFIQTNTRGLPHLYFKSKKLDVTAILPVLKKSGIAKCHGDCKRYTYFADVEGKPKCHIHNENPA -YILDYKIHDVDDWYKQQGEQVTSLQKMHDSICKANHGTAHDPIVDCRMTECVVKSIHQMKPYTESPFTLN -TKPNPIQGMFMRKIKQHFEIKDSEYKVMYIGGGSVIGDVPYNIDLMYGRSADDHHKICDCDIHIYLDCYV -DRSKDKTTQIVVRSKPNTTTSWHEDQYETTNSKFLHIGDDFSIKQQCYYIPVKCNGINNYRSHGYNYISC -YEDGTLCNRHYDLYYKLHESVTAASRCGLYIDHNLEVTVYEAHETIGAYEEMYDQHEKDEACELNTLTTH -PTPTDEVPKKMNYIYGQWPERDVYEEIAETVKVVSKEHKTKLGVVKVYANNNNYADKLNTKGTDFAYTHG -LPDKYGVVREAKKVDTMVILNHPEDIPVILNQLREGGQAYIRYELGYSGEDLEILKTMFENTKVIKPIRN -TYEPTKSLMLHCRGKLEKVGQASAGYSIREEYLGCLHYLNRYPHKHRMSATITSK - ->APG77328.1 RNA-dependent RNA polymerase, partial [Wenzhou Nido-like virus 1] -MANAIYDITKEAVRFIKTTINGRSFYYRRVPFLSLFDELESLKPYCPEGKIICSHRECITNMTFRGVMYL -NLYKRLRLAAIEIAKKYTFVLTPDNIVNHPLHSILDFEHYTEGACDYLDAFAPIHALFERYMGTTGIIFA -IQQMTWQEYIEVFDYSERLGFTPTREPTDYTTTIVGDFEARRLTATREFARSFVSYNYDAAKPTYDQVRF -GIFPGFGIVEHKLPSNILKEKGIEMSEFSLNLCDLTSIANVRGLMTNDDNTNISNFMHHTMQHPEFVNDC -YACAFREQVIRLYPDVYNKFIPISSLPSGVVNKVGAYENYEANACFYETDFVDYIESVSFETDKGPKKVK -ILLNQQTVMNPSHRDKFCEGRLPILLTSSMVSGTKQDLIDDLQNYNLADYQEMSLTEAIAALQFVININA -PHHPDVTPVQDLTLMNTGRRQSSGGPMLPCNAGALRDSIAFEEGSYPAFLEKLLHAYDEDIIVNVTKAMP -KVATQPIEKRLRTIIAGSPVTQTDVCRTQTQKLIRQQAHNPTNFIGVNLTNYSFSQSIKTASSSVFDYAS -EHKESAKCASLDHSKFDRCISPQAQFAAALTICAIIDNNGEEHKRRKYNIITSTLLSNLYNEVLFNDMVF -KKAAGISSGHPTTALSNTYSGNFNAILCIMRAIMRAPNARNNTNKEPDSIRSQSASDIQRDITRYVWGKV -GMANFMPVPLSHVSLISLSDDIVMFYNEDLLKLPYFLTEMKRTGFDLPIGKKIFISDEFPTEICSQWPQK -AEHILDLDGEPTIIPAPTLERFMTTCMVIAKATSLNDGVVIARLVSNLITGVGLYLTSRPFTAVIDGVEH -TIQTRDMYAAVLAYTNEVIRNAAALALDLTDPYVSAVIEESSNAQLIRDMIEERQVSIEKIRTRLYPSAF -KIDYPMVEITSMEANESLGMCNGDFADYLTVTRVNMVDGSEIIKKIDDDTYPTYDVGKDVPASRLRPAIG -NNDVIIYGTPKEDFLQDLSSSHVVYQHNPAAQLYRKGMQTVTHHVIAEVEHGEEFADIIASLQDKMEPVK -PHMVLARTIVHNPPKLQYAETHVRPTRVYVSAGNVCVAVQPTDIDSLVSIRNSIKKSCQNNVKFQTYNFS -PHIVIGKYKGVNIDFQIGIAPITIHTPQFKALFETNNEAIKLKRCTLCDAFTNPYVCEDCKIHHGLTINL -CKHCLVGHLELGHHSMKVNKEDLKCYACREVIKDVRTVMYKSFKLCASCGINHGAKDKFIDVFQDITKKT -PHPVVVESLRAIIQDHKSVGSVIYKWATTLYSGAFEAYLLFFQGHEDSKVGTITAVKYKDGWLVRFSGVT -YSKGEFKIGKYKTTLTIEADGSVYWYRITSIELVPKVGDPIAAVYHEVVENLAEKMGTDETLNNLFHHVE -HKYVNKYLVPSELVGNFSHIKDARKFVNDFFNANVQIINGVPGCGKTYAICKLLQALYVQNANLKVLIVT -SAHAALDNLGNELRDLVPNQDQVFVTRVMPNDTNKVNTVHYKYIPNLPTRIVLSTLTSSKQVYGQSFDMV -IVEEASQVTDPQLVQLLSSIRVRTIRMFGDSMQIQPVTNFEIESKHANVFNRAVTAGHVASIYHTRRFGQ -EVANMIDHLYPGGDVISLAGPTKVEVYRFPMIFRDSNMTAQKDKAIRSVLEKLLRSPYHENAIIITPTNH -IIAYVKSMLRDMNMHVKVATPFESQGGQWDAVVYFDPYDDGRNNFRKQPEQVLNVVITRVKKHLMVFSGA -RFFDRYQTKSNINATNCGYHLSLGPKTQTDIAEADVHYKYGESIEIVKFPDQDIPEHIFDSQNSFKIVDV -DYNNESLLTVCIEQDQTKYEKTPITTFYPETSTICIDVEAVQATDILHKNQNGKEVITNVLCSLGAIGHS -GTFLQWCRPGISDKHGKWYHSRRYNCFAYPEVAIKARRAKIYPRQMLQNFVQFVRYNTTTRARIVVYAGN -LDYETIKPILHESPEKCYRCNNTATFVDAVHGKRFACHQHTVIPVKIINPEIVDVQHSVLAGDRINGSLT -KVHANYCDQAHGDAHDPLA diff --git a/seq/clusters_seq/cluster_93 b/seq/clusters_seq/cluster_93 deleted file mode 100644 index cd5a948..0000000 --- a/seq/clusters_seq/cluster_93 +++ /dev/null @@ -1,436 +0,0 @@ ->YP_010086247.1 nucleocapsid protein [Xapuri virus] -MALSKEVPSFRWTQALRRGLSEFTTATKIDVMKDAKSLIDHLDFSQVAQVQRVMRKTKRSESDLEKLINL -NRDVHNLMSMKTTQSNVVLSLSDLQKDELMDLSADLDKLKKKVTTTERGSPGIYQGNLTQQQLEKRTDLL -RSLGFSKQGRSDTVVRVWDINDSNKLVNQFGSIPALTIACMTVQGDTSMNDVIQGLSSLGLLYTVKYPNL -KDLEKLTNEHDCLEIITKEESSNNISGFNFSLSAAVKAGASIIDGGNMLETIRVSPDIMTQIIKSLLIVK -RKEGMFVDPRPGQRNPYENILYKLCLSGEGWPYISSRTQIKGRAWDNTTVDIQKGSMGPSSPVKNGGSLT -LSPITSMQEAVIKEAIQKLDVGSSTWIDIEGAPTDPVELAIYQPDSGAYIHCFRKPHDEKGFKTGSKYSH -GILLKDIEEAQPGLMSKIITMLPRNMVLTAQGTDDIKKFLELHGRRDLILVDVSMTSEQARHYEDRILDE -YSHLCTRHNGVVIVKKKKNHGHSGEPHCALMDCLMYQCSLDGHMPRVKPKALLPPKLLFREKPSFTL - ->YP_009553322.1 nucleocapsid protein [Apore mammarenavirus] -MSNSKEVPNFRWLQSLRRGLSDFTTPVKSDVLKDAKLIADGLDFSQVALVQRVLRKTKRTDADLDKLRDL -NREVDNLMMMRSSQKNTVLKMGDLSKSDLMDLAADLEKLKKKVGQTERSSTGGVYLGNLTQSQLSKRTDL -LRRLGFQQQQMRSSGVVRVWDVADSTRLNNQFGSIPALTIACMTVQGGDTMGNVVQALTSLGLLYTVKFP -NLTDLEKLTAEHDCLQIITRDESAINISGYNFSLSAAVKAGASLLDGGNMLETIKVTPSNFSSIIKAVLV -VKRKEGMFVDEKPGNRNPYENLLYKLCLSGEGWPYIGSRSQVKGRSWENTIVDLSSKPMQGPKTPEKVGL -NLRLSHLTELQESVIREAMGKINPAQTTWIDIEGPSNDPVELALYQPDSGHYILCYRKPHDEKGFKNGSR -HSHGMLLKDLESAQPGLLSYVIGLLPQDMVLTTQGSDDIKRLLETHGRKDLKLVDIKLSSDQARSFEEII -WSDYGHLCKKHNGVVVPKKKKDKESPQSSEPHCALLDCLMFQSALDGQPPQVKLESLLPDVLLFSMKPAF -AI - ->YP_009508472.1 nucleoprotein [Ryukyu mammarenavirus] -MSQSKEVKSFQWTQALRRELNSYTSNVKSLVIKDATNLLNGLDFSEVSNVQRIMRKERRDDRDLQRLRSL -NQTVQSLVELKSTSKRNVLKVGRLSTDELMSLAADLEKLKTKIIRGERPQTSGVYMGNLTTQQLDQRSQI -LQMVGMRRPQDNRAGVVRVWDVKDSSLLNNQFGTMPSLTMACMAKQSQTPLNDVVQALTDLGLLYTVKYP -NLNDLEALKDKHPVLGVITEQQSSINISGYNFSLSAAVKAGASLLDGGNMLESIHIKPNNIEDLLKSLLS -AKKKMNMFVSDQVGDRNPYENILYKICLSGEGWPYIACRTSITGRAWDNTTIDLTQDKPASNGTRPAPGS -TGPPQAGLSYSQTMLLKDLMGGLDPNAPTWIDIEGRHTDPVEVAIFQPQSGQYIHFYREPIDQKQFKQDS -KYSHGMDIADLFNAQPGLTSSVLGALPQSMVLSCQGSEDIRKLLDSQNRRDIKLVDIEMSKEASREYEDK -VWDKYGWLCKMHTGIVRDKKKKEITPHCALMDCMIFECATKARMLDLKTVHNLLPHDLVFRGPNIVTL - ->YP_009508477.1 nucleoprotein [Souris virus] -MALSKEVKSYQWTQLLRKELATFTNSVKVSVIKDSQILLHSLDFSEVSNVQRIMRKEKRTDADLKRLRDL -NQKVNNLVELKSVQQKNVLRVGRLSNEELLSLAADIEKLKTKILRTERPLTSGVYMGNLTSQQLEDRTKL -LAMVGISRPNQVNGVVRVWDIKDSSLLNNQFGTMPSLTMACMAKQGQTELNDVVLALSDLGLLYTVKYPN -LEDLAKLSEKHPILSIVTQQPPQINSSGYNFSLSAAVKAGATMIEGGNMLETIRITPSNIEDVLKAILSA -KKKGSMFISDTPGQRNPYENLLYKVCLSGQGWPYIASRTSIVGRAWDNTLVDLGAAVKPGPSNSERQKVN -GAPNTGLTYSQMMLLKEVMTGIDPTAKTWIDIEGRPEDPVEIAIFQPDTAVCIHFFREPVDKKQFQSDSK -HSHGLDIMDLFNTQPGLTSAVMELLPKGMVLTCQGSDDIYKLLKSQGRSDIKLIDISMTKEQSRKFEDAV -WDSFGRLCGKHTGLVTVKVKKNRMEITPHCALLDCIMFESCINDRLYDKAITNILPEDLIFRIAPEKVLL - ->YP_009505804.1 nucleocapsid protein [Loei River mammarenavirus] -MSNSKEVKSFQWTQSLRRELAPYCTNVKLQVIKDAQSLLHSLDFSEVSNVQRLMRKDRRDDNDLKRLRDL -NQAVNNLVELKSQQQKNILTVGQLSSDDLLVLAADIDRLKNKILRTERPQSTGIYMGNLTSQQLEQRKRL -LEMVGMAKPNIRAGPDGVVRLWDVRNPELLNNQFGTMPSLTMACMAKQGQSDLNDVVQALNDLGLIYTAK -YPNSSDLDQLSKEHPILTIIDISKSGLNVSGYNFSLSAAVKAGACMLDGGNMLETIKITPQNIDGILKKT -LNVKRSLGMFVSDTPGDRNPYENLLYKLCLSGNGWPYISSRTAIIGRAWDNTVVDLNKGGNGPKDQPNHP -SLNDRLKVPGLQQPAGLTYSQFMCLKDMMASLDPNAKTWIDIEGRPEDPVEVAIYQPSGGQYIHFYREPT -DSKQFKQDSKHSHGIDLTDLFRAQPGLTSAVLANLPTGMVLTCQGSEDIRKLLDSQGRKDIKLIDVMMSK -IDARKFENEVWDEQKSLCNMHTGIVTEKKKRGGKQEITPHCALLDCIMFEAAVQGVYKCPKLVCLLPNDL -VFRTSAPKVTGAWGPIVQRFHTVGFPGCLYRPQ - ->YP_009141006.1 nucleocapsid protein [Okahandja mammarenavirus] -MANSKEIKSFQWTMALRRELSQYTSSVKVQVLKDAQMLLHSLDFSEVVNVQRMMRKDKRDDSDLKRLRDL -NQTVNGLVELKSTQQKNVLKVGRLSSDELLALAADIEKLKIKVTRTERPQSAGVYMGNLTGQQLEQRTKL -LNLIGMNNNNRTPPSGIVRVWDIKDSSLLNNQFGTMPSLTLACMTKQGQTDLSDVVHALTDLGLIYTAKY -PNMTDLHKLIQTHPVLGVIQEQQPSINISGYNLSLSAAVKAASCLIDGGNMLETIRVTPQNIESILQGVL -RVKKQFGMFISEAPGDRNPYENILYKICLSGNGWPYISSRTSIIGRAWDNTVIDLSTSQPPKVGKTSSDK -PNQSMPLAGLTFSQLLLLKDLMAGLDPNARTWIDIEGRANDPVEIALYQPANGQCIHFYREPSDVKQFKQ -DSKFSHGIDLTDLFSAQPGLTSAVIENLPQNMVLTCQGASDIEDLLRSQGKGDIKVIDVSLSKEQSRQFE -DAVWDLHKNLCRIHTGVVVMKTKKGKVEVTPHCALMDCLMFEAATNGGTVPTKVSPLLPSDLVFRTQSRK -VTL - ->YP_009141004.1 nucleocapsid protein [Mariental mammarenavirus] -MSLSKEVRSFQWTQTLRRELSTFCVPVKGQVIKDAQSLLHSLDFSEVANVQRLMRKEKRGEADLKRLRDL -NQTVYNLVELKSAQQKSVLKVGKLTSDDLLVLAADLDKLKNKIVRTERPSVSGVYMGNLTNQQLDQRRRL -LEAVGMMGPNRRVRPNGDGIVRVWDVKNPDLLNNQFGTMPSLTLACMAKQGQYELNDVVQSLTDLGLIYT -AKYPNSSDLEKLVKNHPVLGIIDTEKSAINVSGYNFSLSAAVKAGACMLDGGNMLETIKITPQNMDNILV -SMLKAKRAMGMFVSDTPGDRNPYENLLYKLCLSGDGWPYIACRTNIQGRSWENTIVDFSDGSPNSPTESP -KKIPKPPVGMPTGLTYSQLMCLKDAMKPIDPNAQTWIDIEGRPEDPVEIALYQPDNGNYIHFYREPTDLK -QFKQDSKYSHGIDANELYPAQPGLTSAVIELLPKNMALTCQGASDIRKLLDSQARKDIKLIDVSMSKEES -RKFEDLVWDTYKRLCTVHTGIVVEKRKKGGKEEITPHCALFDCIMYDAATHGGLRDKTLNPVLPRDLVFR -SIYNKVTL - ->YP_009116791.1 nucleocapsid protein [Gairo mammarenavirus] -MSNSKEVKSFLWTQSLRRELSGYCTNTRVQVIKDAQSLLHGLDFSEVNNIQRLMRKEKRDDSDLKRLRDL -NQAVNNLVELKSVQQKSILKVGSLTSDDLLVLAADLDRLKAKVRRTERPLTGGVYLGNLTQQQLDQRKAL -LQLVGMNGGRTSIGNGDGIVRVWDVKNPDLLNNQFGTIPSLTLACLCKQGQEDLNDVVKALTDLGLVYTA -KYPNLSDLDKLTQTHPVLGLIDGKKSAINISGYNFSLNAAVKAGASLLDGGNMLETIRVTPKNMDNILKS -ILKVKRSIGMFISDTPGDRNPYENILYKVCLSGEGWPYIASRTSIMGRAWDNTQVDLSGNAPIADSKTLP -PPPSNKAAGALGLSAGLTYSQMMELKDSMMQLDPNAKTWIDIEGRPEDPVEIAIYQPLSGSYVHFYREPT -DIKQFRQDAKYSHGIDIQDLFSTQPGLTSAVLENLPRNMVLTCQGADDIKKLLDSQGRRDIKLIDVSMQK -ADSRKFEHQIWDEYKGLCSMHTGIVVEKKKRGGKEEITPHCALLDCLMFESAIKNSVDINTPRPVLSRDL -VFRTSAPRVIL - ->YP_009113207.1 nucleoprotein [Wenzhou mammarenavirus] -MSNSKEVKSFLWTQALRRELSPYCTNVKLQVIKDAQSLLNSLDFSEVSNVQRLMRKDKRNDGDLKRLRDL -NQAVNNLVELKSQQQKNILSVGQLSSDDLLVLAADIDRLKAKITRTERPQSNGVYMGNLTAQQLEQRKKL -LEMVGMTRPNLRAGSDGVVRVWDVKNPDLLNNQFGTMPSLTIACMTKQGQSDINDVVQALTDLGLIYTAK -YPNSSDLDQLVKDHPVLSIIDTEKSAINVSGYNFSLSAAVKAGACMLDGGNMLETIKVTPQNLDQILKKT -LAVKKSVGMFVSDTPGDRNPYENLLYKICLSGNGWPYIASRTSIFGRAWDNTVVDLNSGNLTIKPSNQQA -RDKVPGLQQTXGLTYSQIMCLKDIMTGMDPTSKTWIDIEGRPEDPVEIAIYQPAGGQYIHFYREPTDAKQ -FKQDSKYSHGIDIVDLFKVQPGLTSAVIESLPKGMVLTCQGSEDIKKLLDSQGRRDIKLIDVMMSKVDAR -KFENEVWDDLKTLCNMHTGVVXEKKKRGGKQEITPHCALLDCIMYEAATQGSYRTPKLTPLLPTDLVFRX -GAPKVTL - ->YP_009019201.1 NP [Merino Walk mammarenavirus] -MSNSKEIKSFQWTVALRRELSQFGASVKSQVLRDAQMLLNSLDFSEVVNVQRMMRKEKRDDSDLKRLRDL -NQAVNNLVELKSIQQKNVLKVGKLSADDLMTLAADIEKLKAKVIRTERPLSAGVYMGNLTGQQLEQRAKL -LKMVGMSGARPQGNGIVRVWDLKDSSLLNNQFGTMPSLTMACMAKQGQVQLSDVVHALSDLGLIYTAKYP -NMTDLEKLSETHPILNIIQEQQSSINISGYNFSLSAAVKAGAVMLDGGNMLESLKVTPQNVESILQSILK -VKRQYGMFITESPGERNPYENILYKVCLSGNGWPYISSRTAIQGRAWDNTIVDLGAGGQSTKSNSPEKRP -PGTPPVGLSFSQMMLLKDLMSGLDPNAKTWIDIEGRANDPVEVALYQPSNGQVVHFYREPVDIKQFKQDS -KFSHGIDITDLFPSQPGLTSAVIEHLPQNMVLTCQGSEDIMDLLKSQGRRDIKTIDVSLSKEASRQFEDK -VWDLHKGLCKLHTGVVVVKTKKGKMEITPHCALMDCLMFEAATNGGTVPTKVNPILPNDLIFRTSTKKVT -L - ->YP_006858707.1 nucleoprotein [Lunk virus NKS-1] -MAQSKEVKSFQWTQCLRRELQSFTSNVKAAVIRDATNLLNGLDFAEVSNVQRIMRKDKRDDKDLQRLRSL -NQTVNSLVELKSSTKKNVLKIGRLTAEELMSLAADLEKLKLKVLRSERPQVSGVYLGNLTTQQLDQRSQI -LQMVGMSRENPRSNGVVRVWDVKDSSLLNNQFGTMPSLTMACMAKQSQTPLNDVVQALTDLGLLYTVKYP -NLTDLEKLREKHPVLGIVTEQQSGINISGYNFSLNAAVKAGASLLDGGNMLETIQIKPNNTDDLLKAILS -AKKKLGMFVSEQSGDRNPYENILYKTCLSGEGWPYIACRTGITGRAWENTTIDMSGDKNRNTPVRMNPGS -AGPPAVGLGYSQIMLLKDLMGGIDPNAPTWVDIEGRHDDPVEIAFFQPGNGQYIHFYREPVDQKQFKQDS -KFSHGMDIADLLDVQPGLTSAVLGALPPGMVLSCQGSDDIRKLLDSQGRKDIKLIDIEMSKDASREFEDK -VWDKYGFLCKKHTGVVKDKKKKEITPHCALMDCLIFESATKAKLIDLKGIHNILPHDLIYRGPNIVNL - ->YP_004933731.1 NP gene product [Luna mammarenavirus] -MSNSKEVKSFLWTQSLRRELSGFCSNVKVQVIKDAQALLHGLDFSEVSNVQRLMRKEKRDDSDLKRLRDL -NQAVNNLVELKSSQQKNVLRVGTLSSDDLLILAADLEKLKSKITRSERPLTAGIYMGNLTAQQLDQRKAL -LQMIGMGQRGPNPAVRGDGIVRVWDVRNPELLNNQFGTMPSLTVACMCKQGQVDLNDVIQSLSDLGLVYT -AKYPNTSDLDKLAQTHPVLNLIDVNRSAINISGYNFSLSAAVKAGASLLDGGNMLETIRVSPKNIQDILK -AVLKVKRSLGMFISEAPGDRNPYENLLYKLCLSGDGWPYIASRTSILGRAWDNTAVDLGGNSPPESKPAL -PGGTRLAQAQGFPTALTYSQVMELKDSMLQLDPNAKTWIDIEGRAEDPVEIAILQPANGHYIHFYREPTD -LKQFKQDSKHSHGLDIQDLFNVQPGLTSAVLESLPKNMVLTCQGADDIRKLLESQGRRDIKLIDVGMTKP -EARKFEDEIWDHYKPLCKMHTGIVVEKKKRGGKEEITPHCALMDCLMYEAATVGNVKLPQLRAVLSKDLV -FRTASPRVVL - ->YP_003090215.1 nucleoprotein [Morogoro mammarenavirus] -MSNSKEVKSFLWTQSLRRELSGFCTNVKVQVIKDAQALLHGLDFSEVSNVQRLMRKEKRDDSDLKRLRDL -NQAVNNLVELKSTQQKNVLRVGTLTPDDLLVLAADLDRLKAKVIRSERPLAAGVYMGNLTAQQLEQRKVL -LQMVGMGGGPLGREPPRDGIVRIWDVRNPELLNNQFGTMPSLTIACMCKQGQTDLNDVIQSLTDLGLVYT -AKYPNMSDLEKLTQAHPILGVIEPKKSAINISGYNFSLSAAVKAGACLIDGGNMLETIRVSARNLDGILK -ATLKVKRSLGMFVSDTPGDRNPYENLLYKLCLSGEGWPYIASRTSILGRAWDNTTVDLSGDGTQAPKPAG -GNSTRVAQAQGMSAGLTYSQTMELKDCMLQLDPNAKTWVDIEGRAEDPVEVAIYQPSNGQYIHFYREPTD -IKQFKQDAKHSHGIDIQDLFSVQPGLTSAVIEGLPRNMVLTCQGVDDIRKLLDSQGRRDIKLIDVSMQKE -EARKYEDSIWDEYKHLCTMHTGIVTQKKKRGGKEEVTPHCALMDCLMFEAATVGSSKLTTPRPVLSKDLV -FRMSTPKVVL - ->YP_002929491.1 nucleocapsid protein [Lujo mammarenavirus] -MSQSKEVKSFLWLQTLRRELSPFCTDVRAKVVKDAVSLINGLDFSMVSDVQRLMRKDKRNDEDLMKLREL -NQTVDGLVDLKSSNKKNRVGVGKLTSDELMILATDLEKLKKKVTRTEARGPGVYRGNLSQDQLGRRSELL -NMIGMGTPRPTRNTVVRVWDVKDSSLLNNQFGTMPSLTLACLTRQTRVDLNDSVQACVDLGLIYTAKFPN -MDDLDKLKNKHPVLDYVSNCDSAINISGYNLSLASLVKAGASLMKGGDMLETIELNSRNIDDVIKATLTA -RNKVQMFVSEVPGERNPYENLLYKICLSGEGWPYISSRTSIKGRSWDNTVIDMTPKDPTPPQNERAKAPH -QFPVGVSFSQSQLLDDIMKNLNPKGRTWMDIEGRPDDPVEIAIFQPEERLCLHFYREPTDQKQFKNDSKY -CHGMDFTQLCSTQPGLTTAVLERLPLGMVITCQGKDDIEKLLHSQGRRDVKFIDIQMSKEASRKFEDQVW -DSYKTFCNQHTGIVVTKSKKGKKEITPHCALMDCIMYESAVNGQLYQEPIRNLLPADMIFRTAAKLSL - ->YP_001936018.1 nucleocapsid protein [Parana virus] -MSEKQVPSFRWTQALRRGLSNWTEPVKVDVIKDARAIISALDFNQVAQVQRIMRKEKRTDSDLTRLRDMN -KEVDALMSMRSTQHNVVLRAGGLSKDELLELSADLEKLRKKVIRAEGGNPGVYQGNLTATQLNQRAELMK -LVGMGPGLRSGNGVVRVWDVKDSSLMINQFGSMPALTIACMTEQGGETMNDVVQGLSALGLVYTVKFPNL -DDLEKLSEQHPCLKSITQEQSQINISGYNLSLSAAVKAGACMIDGGNMLETIKMSPPMFSSIIKAVLQVK -NREQMFVGSVGVQRNPYENLLYKLCLSGEGWPYIGSRSQIVGRAWDNTLIDLEGKPAVSPPPVKNGGPIN -LSPLSKGQEDLINQAVQKLSPKETTWIDIEGPAGDPVELAIYQPESGNYLHCYRAPHNESAFKDQSRYSH -GLLLKDLKAARPGLISAIIKALPKGMVLTAQGSDDIEQLILMHGRRDIKVVDVKLTSEHARVFEDPVWDR -FNPLCEKHTGLVIKKKKKGAPPSSTNPHCALMDCIMFDATVTGYIRDVKPRQLIPIDLLFKDDLNLINL - ->YP_001936022.1 nucleocapsid protein [Latino mammarenavirus] -MSGASEVPSFRWTQSLRRGLSHFTTSAKGDVLRDAKSLVDGLDFNQVSQVQRVMRKDKRSDDDLSKLRDL -NRSVDSLMVMKNKQNNVSLKIGSLSKDELMDLATDLEKLKRKINLGDRQGPGVYQGNLTSAQLEKRSEIL -KSLGFQPRANQNGVVKVWDIKNPKLLINQFGSIPALTIACMSVQGAEQMNDVVQGLTSLGLLYTVKYPNL -DDLNKLSKDHPCLEFITKEESANNISGYNLSLSAAVKAGACLVDGGNMLETILVKPDNFQDIVKSLLVIK -RQEKMFVNEKPGLRNPYENILYKLCLSGEGWPYIGSRSQIVGRAWENTTVDLSKEVVYGPSAPVKNGGNM -RLSPLSDTQEAVIKEAIGKLDMDETIWIDIEGPPNDPVELAIYQPSTGNYIHCFRVPHDEKGFKNGSKYS -HGILLRDIENARSGLLSRILMRLPQKVVFTCQGSDDIQKLLQMNGRPDIATIDMSFSSEQARFFEGVVWE -KFGHLCTRHNGVVLSRKKKGGNSGEPHCALLDCIIFQAAFEGQVTGQIPKPLLPNSLIFKDEPRVAM - ->YP_001936020.1 nucleocapsid protein [Flexal mammarenavirus] -MSDSNIPAFRWTQALRRGLSNWTQTVKADVIKDAKAIMSALDFNQVAQVQRMMRKDKRSEADLTRLRDMN -KEVDALMTMRSVQRDVVLKIGGLSKDELLELSSDLEKLRKKVMRAEGSSTPGVYQGNLTTSQLQQRADLL -KLVGMKPLQQSRGGVVKVWDVKDSSLMINQFGSMPALTIACMTEQGGEQMNDVVQGLTALGLVYTVKFPN -LDDLEKLTEQHPCLKLITQEQSQINISGYNLSLSAAVKAGACMIDGGNMLETIKVSPSMFSTLIKTVLQV -KNREGMFIGNAGPQRNPYENLLYKICLSGEGWPYIGSRSQVSGRAWDNTSIDIEGKPSPNHPPVRNGGTP -QINPLSRDQEDQVKGAVRLLDPKVTTWVDIEGPPGDLVEFAIFQPNSGKYLHCYRRPHNEQSFKDQSKFS -HGLLLKDLESAQPGLVSAIIRALPEGMVLTAQGSDDIEKLFLMHGRRDLKVVDVALTSEQARVYEDTVWE -RFNPLCKKHKGLVIKKKKKGAAPTSTNAHCALLDCIMFDATITGYIADAKPQQLLPIDLLYRTENLIHL - ->YP_001911116.1 nucleocapsid protein [Tamiami mammarenavirus] -MSDQSVPSFRWVQSLRRGLSAWTTPVKADVLNDTRALLSGLDFSKVASVQRMMRREKRDDNDLTNLRDLN -KEVDSLMTMRSSQKNMFLKVGSLSKDELMELSSDLNKLKEKVQRSERISGSSGVYQGNLTTTQLTRRSEI -LQLVGIQRPGLNRRGGVVKIWDIKEPEHSLINQFGSTPAVTISCMAEQGGETLNDVVARPNRSVGYFTQQ -SFLIWVTWRALSSKHSCLKVITQEESQINISGFNLSLSAAVKAGACLVDGGNMLETIKVEESTFTTIIKT -LLEIKSKERMFVDITPGQRNPYENLLYKLCLSGEGWPYIASRSQIKGRAWDNTVIEFDVSPRKPPVPIRN -GGSPVLTTLKPEVEEQIKRSIESLSVHDTTWIDIEGPPFDPVEMAIYQPDSLKYIHCYRKPNDVKSFKDQ -SKYCHGILLKDIEYCSSLALYQPSLRHLPKSMVFTAQGSEDIRRLFDMHGRQDLKIVDVKFTAEQSRVFE -ELTWKRFEHLCDKHKGIVIKSKKKGTTPASTNAHCALMDCIMFSGVLLGAIPNDKPRRLLPLDILFREPD -TTVVL - ->YP_001911114.1 nucleocapsid protein [Whitewater Arroyo mammarenavirus] -MSDQSVPSFRWTQSLRRGLSAWTTSVKADVLNDTRALLSGLDFAKVASVQRMMRRVKRDDSDLVGLRDLN -KEVDSLMIMKSNQKNMFLKVGSLSKDELMELSSDLEKLKQKVQRTERVGNGTGQYQGNLSNTQLTRRSEI -LQLVGIQRAGLAPTGGVVKIWDIKDPSLLVNQFGSVPAVTISCMTEQGGESLNDVVQGLTDLGLLYTAKY -PNLNDLKALTTKHPSLNIITQEESQINISGYNLSLSAAVKAGACLIDGGNMLETIKIEESTFTTVIKTLL -EVKNKEKMFVSPTPGQRNPYENVLYKLCLSGDGWPYIASRSQIKGRAWDNTVVEFDTATVKEPIPIRNGG -APLLTTLKPEIENQVKRSVESLLINDTTWIDIEGPPNDPVEFAIYQPESQRYIHCYRRPNDIKSFKDQSK -YCHGILLKDVENARPGLISSIIRSLPKSMVFTAQGADDIRKLFDMHGRQDLKIVDVKLSAEESRIFEDLV -WKRFEHLCDKHKGIVIKSKKKGSTPATTNAHCALLDGVMFSAVISGSVSNEKPKRMLPIDLLFREPETTV -VL - ->YP_001816783.1 nucleocapsid protein [Chapare mammarenavirus] -MSNSKEIPSFRWTQSLRRELSSFTIPVKSDVLKDAKMIADGLDFSQVALVQRVLRKTKRTDGDLDKLRDL -NREVDNLMAMKSAQKNTILKLGDLNKSELMDLASDLEKLKKKVGQTERSPVGGVYLGNLSQSQLSKRTDL -LRRLGFQQPQVRSTGVVRIWDVADPTRLNNQFGSVPALTIACMTVQGGDTMGNVVQALTSLGLLYTVKFP -NLADLEKLAAEHDCLQIITKDESAINISGYNFSLSAAVKAGATFLDGGNMLETIKVTPDNFSTIIKTVLG -VKKRENMFIDERPGNRNPYENLLYKLCLSGEGWPYIGSRSQVKGRSWENTTVDLSLKPTQGPKAPEKVGL -NVRLSHLTEIQESVVREAMSKINPSHTTWIDIEGTSNDPVELALYQPESGNYILCYRKPHDEKGFKNGSR -HSHGMLLKDLESAQPGLLSYIIGLLPQDMVLTAQGSDDIKRLLDTHGRKDLKVVDVKLSSDQARNYEEQV -WSDFGHLCKKHNGVVVPKKKKDKDPSQSTEPHCALLDCLMFQSVIDGQPPQIKLQSLLPEVLLFTMKPAF -AI - ->YP_001649220.1 nucleocapsid protein [Allpahuayo mammarenavirus] -MSSENVPSFRWTQSLRRGLSNWTHAVKGDVLADARAIVSALDFHQVAQVQRMMRKDKRSEADLTRLRDMN -KEVDALMMMRSAQKDNILKVGGLSKDELMELASDLDKLRKKVQRTEGGGQPGVYAGNLTSSQLNQRSEIL -KMMGMGTGPRGPVGGVVKVWDIKDSSLLVNQFGSMPALTIACMTQQGGEQMNDVVQALTSLGLVYTVKYP -NLSDLEKLTEKHPCLKLITQEPAQINISGYNLSLSAAVKADACMIDGGNMLETLQVKPSMFSTLIKTILE -VKNREGMFVSPSPGQRNPYENILYKVCLSGDGWPYIGSRSQIKGRAWENTTVDLEGKPSVNHPPVRNGGS -PDLKQIPKTKEDEVIRAIEQLDPRGTTWVDIEGPPGDPVELALFQPETGNYLHCYRRPHNENAFKDQSKF -SHGLLLKDLADTQPGLISCIIRHLPNNMVLTAQGNDDIIKLLEMHGRRDIKVLDVKLSSDQARLMEDVVW -ERYNMLCVKHTGLVIKKKKKGAAPGSANPHCALLDCIMFDATVTGYLRDQKPKRLLPLDTLYRDNANLIN -L - ->YP_001649209.1 nucleocapsid protein [Amapari virus] -MANSKEIPSFRWTQALRRELGSFTEPTKGSVLKDAKLIADSLDFTQVSQVQRLLRKTKRTDADLDKLRDL -NKEVDKLMSMKSAQRNTVLKVGDLGKDELMDLASDLEKLKRKIGERPNGGPRLYMGNLSQSQLDKRSDIL -RSLGFQQQRGPNQGIVRLWDVSDPSKLNNQFGSMPALTIACMTVQGGETMNNVVQALTSLGLLYTVKYPN -LDDLEKLTVEHDCLQIITRDESALNISGYNFSLSAATKAGASLIDGGNMLETIKVTPDNFSSIIMATLKV -KKREGMFVDEKPGNRNPYENLLYKLCLSGEGWPYIGSRSQIVGRSWDNTSVDLNTKPTVGPRGPERNGQN -MRLSNLSELQEAIVREAMQKLDPSNTIWMDIEGPPTDPVELAVLQPSTGYYIHCYRKPHDEKGFKNGSRH -SHGILLKDLEDAQPGLLSYIIGLLPQNTVITVQGADDIKRLFDIHGRKDLKLVDVRLTGEQSRIFEQEVW -ERYGELCKAHNGVIVPKKKHKDNGPQKEPHCALLDCIMFQSVLDGHLPELSLKPLLPGSLVYQARNAFVM - ->YP_001649223.1 nucleocapsid protein [Cupixi mammarenavirus] -MSLSKEVPSFRWTQSLRRELSSYTQQTKTLVLKDAKMIADSLDFNQVSQVQRVLRKAKRSDADLDKLRDL -NQEVDKLMVMKSVQKNTILKLGDLGKDELMDLATDLEKLKRKIGDSGRDGPRPYMGNLTQSQLDKRTQIL -RVLGFQQQTGVSRGVVRLWDVSNPAKLNNQFGSMPALTIACMTVQGGETMNNVVQALTSLGLLYTVKYPN -LEDLEKLTQEHDCLQIITRDESAVNISGYNFSLSAAVKAGASLIDGGNMLETIRVTPNNFSSIIKATLTA -KRKENMFVDERPGNRNPYENLLYKVCLSGEGWPYIGSRSQINGRSWDNTSVDLNPKPDPGPRAPEKNGQN -LRLSNLTEMQEAVIKEAMQKLDPTNTIWMDIEGPPTDPVELAVFQPTSGYYFHCFRKPHDEKGFKNGSRH -SHGILLKDLEDAQPGLLSYILGLLPQNIVITTQGADDIRKLLDVHGRKDIKLVDVRLTNEQSRIFEQQVW -ERYNSLCRAHNGVIVPKKKNKESNIQKEPHCALLDCIMFQSVLDGHLPDTSLKPLLPDNLVHQAKPAFVM - ->YP_001649227.1 nucleocapsid protein [Bear Canyon mammarenavirus] -MSDQVVHSFRWTQSLRRGLSNWTCPVKADVLNDTRALLSGLDFAKVASVQRMMRRDKRDESDLTSLRDLN -KEVDSLMTMKSTQKNMFLKVGSLSKGELMELSGDLNKLKDKVQRTERPPGSGGQYQGNLTTTQLTRRGEL -LQFIGIQKAGRVGMNGVVKVWDVKDSSLMINQFGSMPALTISCMAEQGGETLNDVVQGLTDLGLLYTAKY -PNLNDLEALSEKHPCLKVITQEESQINISGYNLSLSAAVKAGACLIDGGNMLETIKIDTSTFTTVIKTLL -EVKARERMFVSSVPGQRNPYENILYKLCLSGEGWPYIASRSQIKGRAWDNTVVEFDSAPPRAPVPVRNGG -APLLGPLRPELEDQVRKGVEGLSPNLTTWIDIEGPPNDPVELAIYQPETQKYLHCYRRPNDIKSFKDQSK -YCHGILLKDVENARPGLISTIIRYLPKSMVFTAQGEDDIKRLFDMHGRQDLKIVDVKLSAEQSRVFEELV -WKKFEHLCDRHKGIVIKSKKKGSKPASTNAHCALMDCIMFNAVLVGFVADEKPKRLLPIDILFREPDTTV -VL - ->YP_001649211.1 nucleocapsid protein [Oliveros mammarenavirus] -MSGASDIPSFRWTQSLRRGLSHYTTQTKGDVLRDAKSLVDGLDFSQVSQVQRVMRKERRSDDDLLKLRDL -NKAVDGLMMMRNKQSNVSLKVGGLSKDELMELATDLEKLKRKINTNERSTPGVYQGNLTTAQLDRRSAIL -RSLGFQSRQGQNNGVVRIWDIKDQKQLINQFGSMPALTIACMSVQGAEQMNDVVQGLTTLGLLYTVKYPN -LDDLEKLSAEHTCLQFITREESANNISGYNLSLAAAVKAGACLVDGGNMLETIYVKPDVFADIIKSLLRV -KHQERMFVSEKPGMRNPYENILYKICLSGEGWPYIGSRSQITGRAWDNTTIDFSKDVMYGPPPPVKNGGN -IRLNPLTDTQEAVIKEAISKLNPDETIWVDIEGPPTDPVELALYQPTTGYYIHCFRLPHDEKGFKNGSKY -SHGILLRDIENARSGLLSRILIKLPSKLVLTCQGSDDIKKLMELNGRPDISTIDLSFPTDQARFYESVVW -EKFGSLCTKHNGVVLSRKKKGGNSGEPHCALLDCLMFQAAFEGNVPSIEPKPLLPSALVFKSESVVAM - ->YP_516231.1 nucleocapsid protein [Ippy mammarenavirus] -MANSKEVKSFLWTQALRRELGQYCSTVKSSIIKDAQSLLHSLDFSEVSNIQRLMRKDKRNDSDLKRLRDL -NQAVFNLVELKSTQQKNVLRVGKLTSDDLLVLAADLDRLKNKVMRTERPQTLGVYMGNLTNQQLDQRKRL -LDMIGISNARNAPRPGADGVVRVWDVKDSSLLNNQFGTMPSLTLACMSKQGQYELNDVVQSLTDLGLVYA -AKYPNAMDLEKLTQAHPVLSIIDVSKSSINVSGYNFSLSAAVKAGACMLDGGNMLETLKVTPQNLEDILA -SMLKVKRAHSMFVSDTPGDRNPYENLLYKVCLSGNGWPYIACRTSLTGRAWDNTVVDLGPPIDLSQNKQM -SPAKPKGAGHGMPSGLTMSQILALKDLMAAVDPNAKTWIDIEGRAEDPVEIAFYQPQTGAYIHFYREPTD -AKQFKQDSKYSHGIDIGDLFNVQPGLTSAVLELLPPNMVLTCQGSEDIRRLLDSQGRKDIKLIDVLMSKS -EARKFEDEVWDKFGFLCKIHTGHVVEKKKRGNKEEITPHCALLDCLMYEAASTGRFSPGSIRAVLPRDMV -FRAVTEKVAL - ->YP_516227.1 nucleocapsid protein [Mobala mammarenavirus] -MSNSKEIKSFLWTQSLRRELSGFCTNTRVQVIKDAQSLLHGLDFSEVSNIQRLMRKEKRDDSDLKRLRDL -NQTVNNLVELKSSQQKNTLRVGALTSDDLLVLAADLDRLKAKVNRSERPLTGGVYMGNLTQQQLDQRKIL -LQLVGMGGSRVPPRGGDGIVRVWDVRNPDLLNNQFGTMPSLTLACLCKQGQEDLSDVVKALTDLGLVYTA -KYPNLSDLDKLTHTHPVLGLIDGNKSAINISGYNFSLNAAVKAGASLLDGGNMLETIKVTPKNIDTILKC -VLKVKRSVGMFVSDTPGERNPYENILYKICLSGDGWPYIACRTSISGRAWDNTEVDLGTNKDPINKGPPT -SNKTAGAAGFNAGLTYSQMMELKDSMLQIDPTAKTWVDIEGRADDPVEIAIYQPSNGHYIHFYREPTDIK -QFRQDAKYSHGIDVQDLFTTQPGLTSAVLENLPKNMVLTCQGVEDIRKLLDSQGRKDIKLIDVSMQKADA -RKFEHQIWDEYKHLCSMHTGIVVEKKKRGGKEEITPHCALLDCLMFEATTRNSLDIVIPRPVLSKDLVFR -SATPKVIL - ->YP_170710.1 nucleocapsid protein [Mopeia virus AN20410] -MSNSKEVKSFLWTQSLRRELSGYCSNIKIQVIKDAQALLHGLDFSEVANVQRLMRKEKRDDSDLKRLRDL -NQAVNNLVELKSVQQKNVLRVGTLTSDDLLVLAADLDRLKAKVIRGERPLAAGVYMGNLTAQQLEQRRVL -LQMVGMGGGFRAGNTLGDGIVRVWDVRNPELLNNQFGTMPSLTIACMCKQGQADLNDVIQSLSDLGLVYT -AKYPNMSDLDKLSQTHPILGIIEPKKSAINISGYNFSLSAAVKAGACLIDGGNMLETIKVTKSNLEGILK -AALKVKRSLGMFVSDTPGERNPYENLLYKLCLSGEGWPYIASRTSIVGRAWDNTTVDLSGDVQQNAKPDK -GNSNRLAQAQGMPAGLTYSQTMELKDSMLQLDPNAKTWIDIEGRPEDPVEIAIYQPNNGQYIHFYREPTD -IKQFKQDSKHSHGIDIQDLFSVQPGLTSAVIESLPKNMVLSCQGADDIRKLLDSQNRRDIKLIDVSMQKD -DARKFEDKIWDEYKHLCRMHTGIVTQKKKRGGKEEVTPHCALLDCLMFEAAVIGSPQIPTPRPVLSRDLV -FRTGPPRVVL - ->YP_170706.1 nucleocapsid protein [Mopeia Lassa virus reassortant 29] -MSASKEIKSFLWTQSLRRELSGYCSNIKLQVVKDAQALLHGLDFSEVSNVQRLMRKERRDDNDLKRLRDL -NQAVNNLVELKSTQQKSILRVGTLTSDDLLILAADLEKLKSKVIRTERPLSAGVYMGNLSSQQLDQRRAL -LNMIGMSGGNQGARAGRDGVVRVWDVKNAELLSNQFGTMPSLTLACLTKQGQVDLNDAVQALTDLGLIYT -AKYPNTSDLDRLTQSHPILNMIDTKKSSLNISGYNFSLGAAVKAGACMLDGGNMLETIKVSPQTMDGILK -SILKVKKALGMFISDTPGERNPYENILYKICLSGDGWPYIASRTSITGRAWENTVVDLESDGKPQKADSN -NSSKSLQSAGFTAGLTYSQLMTLKDAMLQLDPNAKTWMDIEGRPEDPVEIALYQPSSGCYIHFFREPTDL -KQFKQDAKYSHGIDVTDLFATQPGLTSAVIDALPRNMVITCQGSDDIRKLLESQGRKDIKLIDIDLSKTD -SRKYENAVWDQYKDLCHMHTGVVVEKKKRGGKEEITPHCALMDCIMFDAAVSGGLNTSVLRAVLPRDMVF -RTSTPRVVL - ->YP_138544.1 N protein [Pichinde virus] -MSDNIPSFRWVQSLRRGLSNWTHPVKADVLSDTRALLSALDFHKVAQVQRMVRKDKRTDSDLTKLRDMNK -EVDALMNMRSVQRDNVLKVGGLAKEELMELASDLDKLRKKVTRTEGLSQPGVYEGNLTNTQLEQRAEILR -SMGFANARPAGNRDGVVKVWDIKDNTLLINQFGSMPALTIACMTEQGGEQLNDVVQALSALGLLYTVKFP -NMTDLEKLTQQHSALKIISHEPSALNISGYNLSLSAAVKAAACMIDGGNMLETIQVKPSMFSTLIKSLLQ -IKNREGMFVSTTPGQRNPYENLLYKICLSGDGWPYIGSRSQVQGRAWDNTTVDLDSKPSAIQPPVRNGGS -PDLKQIPKEKEDTVVSSIQMLDPRATTWIDIEGTPNDPVEMAIYQPDTGNYIHCYRFPHDEKSFKEQSKY -SHGLLLKDLADAQPGLISSIIRHLPQNMVFTAQGSDDIIRLFEMHGRRDLKVLDVKLSAEQARTFEDEIW -ERYNQLCTKHKGLVIKKKKKGAVQTTANPHCALLDTIMFDATVTGWVRDQKPMRCLPIDTLYRNNTDLIN -L - ->YP_089666.1 nucleocapsid protein [Sabia virus] -MSNSKEIPSFRWTQSLRRGLSEFTTPVKTDVLRDAKMILDGLDFNQVSLVQRILRKSKRNDGDLDKLRDL -NKEVDNLMSMKSSQRDTILKLGDLNKSELMDLASDLEKLKRKVGQTERSASGGVYLGNLSQSQLTKRSDL -LRKLGFQQQQVRSPGVVRIWDVADPNRLNNQFGSVPALTIACMTKQSDNTMGDVVQALTSLGLLYTVKFP -NLIDLEKLTAEHDCLQIVTKDESGLNISGYNYSLSAAVKAGATLLDGGNMLETIRITPDNFSQIIKTTLS -IKKKEGMFVDEKPGNRNPYENLLYKICLSGEGWPYIGSRSQIKGRSWENTTVDLSTKPQQGPRTPEKAGQ -NIRLSHLTELQESVVREAMGKIDPTLTTWIDIEGTSNDPVELALYQPDTGNYILCYRKPHDEKGFKNGSR -HSHGMLLKDLESAQPGLLSYVIGLLPQNMVLTTQGSDDIRRLVDTHGRKDLKIVDIKLASEQARKFEEPI -WSDFGHLCKKHNGVIVPKKKKDKDIPQSSEPHCALLDCLMFQSAIAGQPPQTKLEGLLPDALLFTLEAAF -TI - ->YP_025081.1 nucleocapsid protein [Pirital mammarenavirus] -MASDNVASFRWTQALRRGLSNWTNPVKSDVITDTRALLAALDFDRVAQVQRLMRKDKRTDTDLTKLRDLN -KEVDALMNMRTTQKDNVLRVGGLSKDELMELASDLQKLKKKVLRVEGSGQPGVYAGNLTTTQLEQRSKIL -RDMGFAQLRGNPSGVVKVWDIKDSSLLINQFGSMPAVTMACMTEQGGESLNDVVQGLSALGLLYTVKYPN -MSDLEKLADQYPCLGYITQEQSQINVSGYNLSLSAAVKAGACMLDGGNMLETIQVKPTMFSSMIKAVLEV -KSKERMFVSEAPGQRNPYENLLYKLCLSGDGWPYIGSRSQVKGRAWDNTTVDLTDTGSPNHPPVRNGGSP -RLSQLSHAKEEQILEGLKRLDSKATTWIDIEGTPNDPVELAIFQPESGNYIHCYREPHDVKSFKDQSKYS -HGMLLKDLTNTQPGLISFIIKNLPAGIVLTAQGSDDIEKLLEMHARRDISIIDVRLTSEQARQFEDKVWD -KFGILCNKHKGIVLARKKKGSPPGSKNPHCALLDCIMFCSTIGGFVDDKKPTRLLPLDLLYREQASLIEL - ->NP_899211.1 nucleocapsid protein [Guanarito mammarenavirus] -MAHSKEIPSFRWTQSLRRELGMFTEPTKSSVLNDAKLIADSLDFTQVSQVQRLLRKSKRGDTDLDKLRDL -NKEVDRLMSMKSVQNNTVLKVGDLGKDELMDLASDLEKLKKKIGDRESNSPRMYMGNLTQSQLEKRAGIL -RTLGFQQQRGAAGGVVRLWDVSDPSKLNNQFGSMPALTIACMTVQGGETMNNVVQALTSLGLLYTVKYPN -LDDLEKLTLEHDCLQIITKDESALNISGYNFSLSAAVKAGASLIDGGNMLETIKVTPNNFSSIVKAALNV -KRREGMFIDERPGNRNPYENLLYKLCLSGEGWPYIGSRSQILGRSWDNTSVDLNARPVTGPRAPEKNGQN -IRLSNLSEMQEAIVKEAMRKLDSSDTIWMDIEGPPTDPVELAVFQPSSGNYVHCFRKPHDEKGFKNGSRH -SHGILLKDLEDAQPGLLSYVIGLLPQGSVITVQGADDIKKLFDIHGRKDLKLVDVRLTGEQSRIFEQEVW -EKFGHLCRAHNGVIVPKKKNKEANSTKEPHCALLDCIMFQSVLDGHLPDTIPIQLLPNTLVFQAKSAFVM - ->NP_899213.1 nucleocapsid protein [Machupo mammarenavirus] -MAHSKEIPSFRWTQSLRRGLSQFTHTVKTDVLKDAKLIADSIDFNQVSQVQRALRKNKRGEEDLNKLRDL -NKEVDRLMSMKSIQKNTIFKIGDLGRDELMELASDLEKLKNKIKRTESGPQGLYMGNLSQLQLTKRSEIL -KTLGFQQQRGAGNGVVRIWDVSDPSKLNNQFGSMPALTIACMTVQGGETMNSVVQALTSLGLLYTVKYPN -LNDLDKLTLEHECLQIVTKDESSINISGYNFSLSAAVKAGASILDGGNMLETIRVTPDNFSSLIKSTLQV -KRKEGMFIDEKPGNRNPYENLLYKLCLSGDGWPYIGSRSQILGRSWDNTSVDLTKKPQVGPRQPEKNGQN -LRLANLTEMQEAVIKEAVKKLDPTNTLWLDIEGPPTDPVELALYQPANKHYIHCFRKPHDEKGFKNGSRH -SHGILMQDIEDAMPGVLSYVIGLLPQDMVITTQGSDDIRKLLDIHGRKDLKLVDVKLTSDQARLYDQQIW -EKFGHLCKHHNGVVVNKKKREKDSPFKLSSGEPHCALLDCIMYQSVMDGKMVDEEPVALLPLSLLFLPKA -AFAL - ->NP_899219.1 nucleocapsid protein [Argentinian mammarenavirus] -MAHSKEVPSFRWTQSLRRGLSQFTQTVKSDVLKDAKLIADSIDFNQVAQVQRALRKTKKGEEDLNKLRDL -NKEVDRLMSMRSVQRNTVFKVGDLGRDELMELASDLEKLKNKIRRAETGSQGVYMGNLSQSQLAKRSEIL -RTLGFQQQGTGGNGVVRIWDVKDPSKLNNQFGSVPALTIACMTVQGGETMNSVIQALTSLGLLYTVKYPN -LSDLDRLTQEHDCLQIVTKDESSINISGYNFSLSAAVKAGASILDGGNMLETIRVTPENFSSLIKSTIQV -KRREGMFIDEKPGNRNPYENLLYKLCLSGDGWPYIGSRSQITGRSWDNTSIDLTRKPVAGPRQPEKNGQN -LRLANLTEIQEAVIREAVGKLDPTNTLWLDIEGPATDPVEMALFQPAGKQYIHCFRKPHDEKGFKNGSRH -SHGILMKDIEDAMPGVLSYVIGLLPPDMVVTTQGSDDIRKLFDLHGRRDLKLVDVKLTSEQARQFDQQVW -EKYGHLCKYHNGVVVNKKKREKDTPFKLASSEPHCALLDCIMFQSVLDGKLYEEEPTPLLPPSLLFLPKA -AYAL - ->NP_694850.1 nucleoprotein [Tacaribe mammarenavirus] -MAQSKEVPSFRWTQSLRKGLSQFTQTVKSDILKDAKLIADSIDFNQVAQVQRVLRKTKRTDDDLNKLRDL -NIEVDRLMSMKSVQKNTIFKVGDLARDELMELASDLEKLKDKIKRTESNGTNAYMGNLPQSQLNRRSEIL -RTLGFAQQGGRPNGIVRVWDVKDSSKLNNQFGSMPALTIACMTVQGGETMNNVVQALTSLGLLYTVKYPN -LSDLDKLIPNHECLQIITKEESSINISGYNLSLLAAVKAGASILDGGNMLETIRVSPDNFSSLIKNTLQV -KRREGMFIDDRPGSRNPYENLLYKLCLSGDGWPYIGSRSQIMGRSWDNTSVDLTKKPDAVPEPGAAPRPA -ERKGQNLRLASLTEGQELIVRAAISELDPSNTIWLDIEDLQLDPVELALYQPAKKQYIHCFRKPHDEKGF -KNGSRHSHGILMKDIEDAVPGVLSYVIGLLPPNMVITTQGSDDIRKLLDIHGRKDLKLIDVKFTSDQARL -FEHQVWDKFGHLCKQHNGVIISKKNKSKDSPPSPSPDEPHCALLDCIMFHSAVSGELPKEEPIPLLPKEF -LFFPKTAFAL - ->NP_694852.1 nucleoprotein [Lymphocytic choriomeningitis mammarenavirus] -MSLSKEVKSFQWTQALRRELQSFTSDVKAAVIKDATNLLNGLDFSEVSNVQRIMRKEKRDDKDLQRLRSL -NQTVHSLVDLKSTSKKNVLKVGRLSAEELMSLAADLEKLKAKIMRSERPQASGVYMGNLTTQQLDQRSQI -LQIVGMRKPQQGASGVVRVWDVKDSSLLNNQFGTMPSLTMACMAKQSQTPLNDVVQALTDLGLLYTVKYP -NLNDLERLKDKHPVLGVITEQQSSINISGYNFSLGAAVKAGAALLDGGNMLESILIKPSNSEDLLKAVLG -AKRKLNMFVSDQVGDRNPYENILYKVCLSGEGWPYIACRTSIVGRAWENTTIDLTSEKPAVNSPRPAPGA -AGPPQVGLSYSQTMLLKDLMGGIDPNAPTWIDIEGRFNDPVEIAIFQPQNGQFIHFYREPVDQKQFKQDS -KYSHGMDLADLFNAQPGLTSSVIGALPQGMVLSCQGSDDIRKLLDSQNRKDIKLIDVEMTREASREYEDK -VWDKYGWLCKMHTGIVRDKKKKEITPHCALMDCIIFESASKARLPDLKTVHNILPHDLIFRGPNVVTL - ->sp|Q8BD31.1|NCAP_TAMVU RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N -MSDQSVPSFRWVQSLKRGLSVWTTPVKADVLNDTRALLSGLDFSKVASVQRMMRRERRDDNDLTNLRDNL -KEVDSLMTMRSSQKNMFLKVGSLSKDELMELSSDLNKLKEKVQRSERIIGGSGVYQGNFTTTHLTRRSEI -LQLVGIQRPGLNRRGGVVKIWDIKEPALLINQFGSTPAVTISCMAEQGGETLNDVVQGLTDLGLLYTAKF -PNLGDLEALSNKHSCLKVITQEESQINISGFNLSLSAAVKAGACLVDGGNMLETIKVEESTFTTIIKTLL -EIKSKERMFVDITPGQRNPYENLLYKLCLSGEGWPYIASRSQIKGRAWDNTVIEFDVSPRKPPVPIRNGG -SPVLTTLKPEVEEQIKRSIESLSVHDTTWIDIEGPPFDPVEMAIYQPDSLKYIHCYRKPNDVKSFKDQSK -YCHGILLKDIEFARPGIISAIIKHLPKSMVFTAQGSEDIRRLFDMHGRQDLKIVDVKFTAEQSRVFEELT -WKRFEHLCDKHKGIVIKSKKKGTTPASTNAHCALMDCIMFSGVLLGAIPNDKPRRLLPLDILFREPDTTV -VL - ->sp|P07400.1|NCAP_LYCVW RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N -MSLSKEVKSFQWTQALRRELQGFTSDVKAAVIKDATSLLNGLDFSEVSNVQRIMRKERRDDKDLQRLRSL -NQTVHSLVDPKSTSKKNVLKVGRLSAEELMTLAADLEKLKAKIMRTERPQASGVYMGNLTAQQLDQRSQI -LQMVGMRRPQQGASGVVRVWDVKDSSLLNNQFGTMPSLTMACMAKQSQTPLNDVVQALTDLGLLYTVKYP -NLSDLERLKDKHPVLGVITEQQSSINISGYNFSLGAAVKAGAALLHGGNMLESILIKPSNSEDLLKAVLG -AKKKLNMFVSDQVGDRNPYENILYKVCLSGEGWPYIACRTSVVGRAWENTTIDLTNEKLVANSSRPVPGA -AGPPQVGLSYSQTMLLKDLMGGIDPNAPTWIDIEGRFNDPVEIAIFQPQNGQFIHFYREPTDQKQFKQDS -KYSHGMDLADLFNAQAGLTSSVIGALPQGMVLSCQGSDDIRKLLDSQNRRDIKLIDVEMTKEASREYEDK -VWDKYGWLCKMHTGVVRDKKKKEITPHCALMDCIIFESASKARLPDLKTVHNILPHDLIFRGPNVVTL - ->sp|P04935.1|NCAP_LASSG RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N -MSASKEVRSCLWTQSLRRELSGYCSNIKLQVVKDAQALLHGLDFSEVSNVQRLMRKQKRDDGDLKRLRDL -NQAVNNLVELKSTQQKSVLRVGTLSSDDLLILAADLEKLKSKVTRTERPLSSGVYMGNLSSQQLDQRRAL -LNMIGMTGVSGGGKGASNGIVRVWDVKNAELLNNQFGTMPSLTLACLTKQGQVDLNDAVQALTDLGLIYT -AKYPNSSDLDRLSQSHPILNMIDTKKSSLNISGYNFSLGAAVKAGACMLDGGNMLETIKVSPQTMDGILK -SILKVKKSLGMFVSDTPGERNPYENILYKICLSGDGWSYIASRTSIVGRAWENTVVDLEQDNKPQKIGNG -GSNKSLQSAGFAAGLIYSQLMTLKDFKCFNLIPNAKTWMDIEGRPEDPVEIALYQPSSGCYVHFFREPTD -LKQFKQDAKYSHGRDVTDLFAAQPGLTSAVIEALPRNMVITCQGSEDIRKLLESQGRRDIKLIDITLSKA -DSRKFENAVWDQFKDLCHMHTGVVVEKKKRGGKEEITPHCALMDCIMFDAAVSGGLDAKVLRVVLPRDMV -FRTSTPKVVL - ->sp|P14239.1|NCAP_JUNIN RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; AltName: Full=Protein N -MAHSKEVPSFRWTQSLRRGLSQFTQTVKSDVLKDAKLIADSIDFNQVAQVQRALRKTKRGEEDLNKLRDL -NKEVDRLMSMRSVQRNTVFKAGDLGRVERMELASGLGNLKTKFRRAETGSQGVYMGNLSQSQLAKRSEIL -RTLGFQQQGTGGNGVVRVWDVKDPSKLNNQFGSVPALTIACMTVQGGETMNSVIQALTSLGLLYTVKYPN -LSDLDRLTQEHDCLQIVTKDESSINISGYNFSLSAAVKAGASILDDGNMLETIRVTPDNFSSLIKSTIQV -KRREGMFIDEKPGNRNPYENLLYKLCLSGDGWPYIGSRSQIIGRSWDNTSIDLTRKPVAGPRQPEKNGQN -LRLANLTEIQEAVIREAVGKLDPTNTLWLDIEGPATDPVEMALFQPAGSKYIHCFRKPHDEKGFKNGSRH -SHGILMKDIEDAMPGVLSYVIGLLPPDMVVTTQGSDDIRKLFDLHGRRDLKLVDVRLTSEQARQFDQQVW -EKFGHLCKHHNGVVVSKKKRDKDAPFKLASSEPHCALLDCIMFQSVLDGKLYEEELTPLLPPSLLFLPKA -AYAL - diff --git a/seq/clusters_seq/cluster_930 b/seq/clusters_seq/cluster_930 deleted file mode 100644 index e49f24f..0000000 --- a/seq/clusters_seq/cluster_930 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009824948.1 ORF3' protein [Zambian malbrouck virus 1] -MVFCWLLYACLSCILFQCVCCSNNITNSSSAEVPTPTCFSFPRANFSVHMHFEALVCKMDGARTIGSGRT -SASTGGCSSVANGEGRWPDRSILQYPHNITADFDLNNTLDQSHAHIAALLTAVLTYDPEAFNLNPNYTRG -FNVSTDEKHYTFCVNGTILLENSTLGSYYFFNPHTWDLYILELFRPFVLSLLLLSISFA - ->YP_009505571.1 ORF2b protein [Simian hemorrhagic encephalitis virus] -MVPSGLLHACLSCIILQCVCCSAHSNNSSTSEVSTPTCFSFPRANFSVHLHWEALVCKADGHNELLAGYQ -SASTGGCSSIYSGTGFALERKVLQYPHNITADFDLNNTLDQSHAHVSALLTAVLAYDPMAFGLDPNYTRS -FNVTSNSTIYTFCVNGTVHLPNMTLGSYYFFNPSTWDLYILELFRPFVLSLLVLSIAFA - ->YP_009505559.1 ORF2b [Kibale red-tailed guenon virus 1] -MAPWWLLCLLTTCLSFCHSVCANNTTNNSSDPAPTSFCFFLPVANLTFNITFSALVCKPEYVNLTEEVIY -IPNQRATVDNHMGCAILGAQAGSYWSYPKVSETARYYNASVYANIPLQQSHEHVSYMLTALTAFYPEVFG -IQSGTNHSRSINTTTLSNGTRICISGAPPLNSTELPTFTFAVQSDLYLAELFRPFILSILILAMARL - ->YP_009249812.1 ORF3' protein [Free State vervet virus] -MVSSWLLYACLSCIYFQCLCDSNYTNSSTSDQVSRPTCFSFPRANFSVHLHFEALACKTDPGGHLSAGFI -TSSKGGCSSVSSGSGLAVDKNLVQYPHNITADFHLNNSLDQSHAHVAALLTAVLLYDPESFDLSPNGSRS -FNVTTNATNYTFCVNGTIVLANATLGSYYFFNSSTWDLYILELFRPFVLSLLVLSIAFA - diff --git a/seq/clusters_seq/cluster_931 b/seq/clusters_seq/cluster_931 deleted file mode 100644 index c3e96a7..0000000 --- a/seq/clusters_seq/cluster_931 +++ /dev/null @@ -1,25 +0,0 @@ ->YP_009824947.1 ORF2a' protein [Zambian malbrouck virus 1] -MLLLMAILLLLCPGLPPQLLFVDGHNFFQLNPTYTWLRGDTRTLHRYFQDAITHCERKLAPWLAHPFGII -NTQKFHQVYVDWLRRVYGGIDVTFIQRDGAFTYPHWYHTRHDCHRNGSLILETPARDITPLISDAFKFYG -LMEHEVCTKAALVLTHLHLQRPTAFFNITGDAVFIEVKPHSMPWYSVGFYMRVYHASSFSVCVAPITLLI -VLLLKFPRLRALAFPELTSVFTCTSKH - ->YP_009505570.1 ORF2a protein [Simian hemorrhagic encephalitis virus] -MYVPLGLFLLLCQALSPQLLLVSAFNFLQLNPTYTWVRGDTRTLHRYFKDAITHCERKLAPWLQHPFGVI -NSNKLHAAYVDWLRRVYGGIDVTIYLMDNGVQFPYAYTSRDCHRDGSLVLETPARDIKPLISDAFFFYGL -MEHEVCTKAAAVLLHLHTQQRSTFNITGDRVEIAIKPHSMSWYQVGFYMRVYHASSFSVCVAPLTLTIVL -LLKFPRLRALAFPELTFQFICTGKH - ->YP_009249811.1 ORF2a' protein [Free State vervet virus] -MLLSMAILLLFCPGLPPQLQLVSGDNFLQLNPTYTWLRGDTRTLHRYFKDAITHCERKLAPWLAHPFGLI -QSQRFHQVYLDWLRRVYGNIDLTVYEKDGSNIYPHWYRTRECARNGSILLETPARDVTPLFSDAFKFYGL -MEHEVCIKAASVLTHLHLTQQHETFNITGDRVEIAIQPHSMPWYQVGFYMRVYHASTFSVCVTPITLTLV -LLIKYPALRALAFPELTFRSICTSRH - ->NP_203544.1 minor glycoprotein [Simian hemorrhagic fever virus] -MSFCPGLILLLVLLKPVDSFDFFHFSSSFKYYDHTHIHTVFRDLISHCETKIAPWAKHPLGIIGHNQFVS -AYNNWVRRVYSVNPIYIEAEKGFKHYYSYKPECRSQIGYPATVHAGTREIDLPRLLTALKTYSVKEHSLC -VRAAGLLARLHELRKQEDFNITDDTFTINYHLKESSVPWFQSGFYLQVYHASSFATFIAPLTLLIVLLIR -KPRLLAFICPAVTCRFQSTSQPLYVPLVGIRPGPSFSPTGVSAPQRMSAPRFVRLPVGSGQILASGKGQG -L - diff --git a/seq/clusters_seq/cluster_932 b/seq/clusters_seq/cluster_932 deleted file mode 100644 index 70da1f4..0000000 --- a/seq/clusters_seq/cluster_932 +++ /dev/null @@ -1,23 +0,0 @@ ->YP_009362206.1 matrix [Gray Lodge virus] -MLSLWKKKKRAKDAISDVSSNYENSNMWLASAPPPYLSDVPYNGGALYQDEEVDPVIDDPPSHDIALDVS -ASIEIVTQLDISNPNVILAILEEILDNYQGSILYRPIFVSVTLMLGMHMTRKRRGSGMFVYSGDICYPIQ -FRLSSKIPAPSSKIEFRTHIKFKRGRNDVGLTIVVSGKPTKKRGMTLQDVYNQPMPNGDAPPSFSYGLSY -LHVSNTIDGTQVVLL - ->YP_009362139.1 matrix [Landjia virus] -MLKLFKKRSSKTSSSSDTPSSLWSYGQPPPDYDQTQNLFFNTPIDELPSAPEEPFKVYTFDVSGSLEIIT -KMDIKSTGVILNILEEFLDHYNGSSVYKSIILGNALMLGFHLSRKQRNESMYDYSSEIYFPIEYNVSPNY -DVPEGKLNYAFSHRFKRGKQDIFLNFSVSLKKTNKKGVKFLNLYNNPMSNNSNPPYIGEAMDHLQLPYKW -EEDEIVFQ - ->YP_009362125.1 matrix [Manitoba virus] -MLKLFKKKSSSRSVASESEGSSLWSFGKPPPNYSDYENTFFPSAPIEEAGTPIEPFRTTVYDVSAFLEII -TKMDIKNTGVIISILEELLDNYNGSSVFRSIILANSLMLGFHLNRKMRGNDLYAYISEICYPIEYNVSNE -YPDNSDKINFSFSHRFKRGRQDIFLKLNMSMSKTKKSGVKFLTIYTNPMINGETPPMIDESFNSLHINYE -WQEADLVFK - ->YP_003518287.1 matrix protein [Ngaingan hapavirus] -MLAHIKKYAKPRSSNSSTGSDTQALWVYQPQQPTEVPFLPETPSAPPIEPRYGPKCFHFEGELEIYTKHA -FRSLSELEGVVDSFFDQYNGSYILREPVFLLHGMMSYHVGMRELSTQARKYCSKVGEIISMDLTEDQYTA -ATSYVYKASYKTRRYGSDFNITICYSLQKSTRRGTPFEIIWNQPMGNGQNPPSLQHWKELIQGN - diff --git a/seq/clusters_seq/cluster_933 b/seq/clusters_seq/cluster_933 deleted file mode 100644 index ad6aa0b..0000000 --- a/seq/clusters_seq/cluster_933 +++ /dev/null @@ -1,68 +0,0 @@ ->YP_009553325.1 putative RNA dependent RNA polymerase [Leptomonas seymouri Narna-like virus 1] -MPTIRNELNPRVSFFAEKHGKGTFKCPLSLRHYLGDSRHLRKKCLLHPRAGLLKEVLGKSWKTFKCFKTS -SIVRAHELYLCYLDICVCLDPEILSIESNRSIGVKVFNTACFSTSTATSLYKEFVENVLILLKCKEGEER -WTRQNPFKRMMTWKIFQDAIASVQLSKGKSLERVLSVLTTRNFPEPDGKSIQKKKVDFIGIISQQPPKGW -KSEEYRSHFLESIEEIANECESTTVSDCHISVTAAGSLKKTVREGGKFAEMIEEVKGFLAETPSNEELYE -FANLKWTCNTNEPRWKTFGIIGEINPMSAMTLFTEGVDFLNEVPNQYLGPIDFSGGSLPPGIIPEEDLFI -TDLRPFRMGLGAQFGNQLLLYCCVFYKKDELPMIRASPVLEGGDKVRWITMASWRDLVIQQAAATIFRSL -MESHKEMKPIFSRANLAWVYLNKAREIGPSDICYVSDYSSATDTVDREFAEFILTNFIKRFRNRLSDPLL -NFLELGIRNAVSPKVVIFPTGERITSSRGVFMGEPMSKVILTLIMFTIGKAAKSIYKLRFPKSMEKLTFW -APGDDLVATGPTEYIDIYSELAKILGQILNHSKVFKSRTVFKLCEQWFWVPGLKSSVGTWAITTDPGKYR -ESAWVDTVKLKLLGAMSLSNHSHFEERNEVIGKAKALSKILRWLPSDTYPLEYKKLLRTWFLCRFEPRLP -RTDSKTFAFLMLPGHLGGFDLLLDDQEIREAYQKVSSFSRILFNNYYDDFIVGSYLRNMLRNRSYRGFAL -SEDYNLTVEKLVKYYTDLLEEAPYEEYKDSKVEGLSFRETDRALFKAGFLTENRIRDIVSRPLAFGSIWG -RTLKHSPYNTTPISVRLAKLWKNLTGDTERWKNISLKGEISEDDFVVLCKENKGPVLKVYRRNDSLEDEV -LIGLPSMKVSLGILPHDGYISW - ->YP_009333179.1 RNA-dependent RNA polymerase [Beihai barnacle virus 10] -MQSTNLLTSKLIQTGCPRAPAGKLGRGYRIFIPHLYENGDYLLPGRNHSLLKGVVVRNRMVKPNLLISKL -TLMGFGKRFATLVSLRPISHIERAEQSVLGVIDSLLLYDSELFITDTGYKLLKFIVKKTFKVAIFNCALV -TKLWKEFSFYVWAHVTETVTREKPVRKRENFFFSLVSEQDIEVLKLTLNKKTLTRLAHLTSSRQFPPGER -QQEIKSLKDFESHVTEPYIGNPVFLRRIRLASRVVGRKARRLGMKPLTDSHLSLAAAGSFYTSVKDGGRA -EELLNMLFKYLAYVPKESCEIKTPFYTLKDVEGVERWRTWCRPAVYDHFPNVSFGNLLPETLMGFETYYQ -GFDEILGMQILACSYLEQEEHLKCNQNIPVRVLTITEPGSKARIVTTGPCWLYTLQQPVSHVLRGFLGQH -PSAAAGLTMSDQAWQFLFLLEKAKSHFGDDFSCLSSDLTAATDVIPLCILKELYEGFLEGLRIEGPFLNI -VGKMIQLPRLCSVEKINSYFLNSRGIFMGEPIAKVLLTLLNLSCEEIAIRDYLGVDFRASVQVRWRCFSV -AGDDHIAVGPVGYLDKITETHIKAGSKISDLKHGHSRIAVRYCEKILDVRNFKGSWSKYTINDSTEAYLA -SPFVDSIKVRLLSPAAKNVLSFNEKNTAFGKGKSLGRTLQWLNKDCFDSKWISLVRDWFFKRMSSLLPDR -SSGVYWHLLLPEHLGGLGLGTERDFEDLIVRLPSPSRTLLKSIEDGNPNMGHIRLFSGFTSNTTYRGYKI -PETEKEIAALFFQGILEEEKSDKFENLVKLYRYEDLIPNTAIRLLRTQGWVRKDYLEDKILRPFLFKEIL -TGRAKVKAFNTEHLKSRYARLWDLTYRGEETISPQTLQVCFKPPAYHLYYKMGEKLELPFRGRVLKVNLL -EEGLLGMPDLSIPWEVIGDISGPLAPKRGNHARSRVIKSPRRRNS - ->YP_009256557.1 putative RNA dependent RNA polymerase [Phytomonas serpens narnavirus 1] -MAKHVDPANLAWSIPSAEKRFSFFTIISEETQLQSRIAYDNVLKLWVDPESVTVGTVGQGPLNLHRVGSP -TPSRAPVPQQAVINPNGGPKAHSTPKRKRSRSAVKDPSIGGFKTSNPQLSQRKTAYGKRGPSSDASDRDK -KQGLRKEKTHSPKEDARTPHAVRHVSARPTRGRSRERQAEDKEVLHQLSKVGKVQNRPQKDPKVYKDFRD -RCDKKGYNPSYLRWYCIGSVSQYKPPYPFHLYLEVQKDYQEKLLKQERSQHPDLDDEGFKAFIAKDSKTS -WLSQEPHRCKVRNLRSTILNEIAYLQPEIQRAYGHLSLNDLIEAQNNTLAFYDHVFFFRENLIGHSKVIS -IAMKYFHASLNNRDRAIAWFKDYIEVIKRTLASTEDSYKTALEGLKQKNESLYKENIFLPLLDLENWRTI -ASTKTRTHYLERVCGSLTARAMALPSRNLENQAYERFKSIISREDPCQVDLTEVRRLARMFGKECIKSGT -PPPAQITKLDLGTTACLEYTHSDGGRTAFFRDRFLPWVKDQPQEDEVIHYKGRPVLTIPAGIRRFKSIVP -PGVEPPEGELFTDPYPGLEQEQLTLQLFGWPDTCQTGYSPCIGYQLFVYSLSKDCTKDLYTSDGLEYPLV -HASPVLEHGGKTRWITIMSMFDSIVQDMAQNQFQPYLLKHPDVCPIFTKSNLAWQMLNKAPPRDLSFHDR -MYVSDYSNATDAIDHRLARNLLEGFCEGVGMDHESALIGPGIDSLCRPKALMHRRDRNQEGKVPTITKSG -IFMGEPLTKVTLTLLMHVLPLLALASSEKGKKSVEGEEFHQPSWFFYYAPGDDHVATGPDWFLEALAQTS -TKLGMLLNKDKTYLTKTFAPLCEQWIYVPNLRNITPTKDIPKDVEVYLRSAWVEILKVKIFSPSSVTEKF -YHVEDYSVLGRGKHLSTCLHTMVSSWSNSERENFRDYFIARYLDQLPPKTWWQYHFVFLQTQVGGLGLSI -SYEEENRHWNKIPALIRKSMVSIYTSDASELWEKLPSIHMPKAVYGYDTSQLEDAVTVLVHSYMDRNLLT -PDTSGGGYTLAEIKGTIKGLLFDYAISSERLSIHRRGTSQRYETRLRNCFSSCCDLRDLKDVEDRPNYFI -VLNEEEKGLIPRLFEDPPSEYSCVTMEEYRISSIEYSPKTNPLPHYALISKVKDYLPRFTLNKEALNNLR -RCSVFLGVPTKVLREMPI - ->YP_009241365.1 RNA-dependent RNA polymerase [Phytophthora infestans RNA virus 4] -MQEDLILVQPDTDWVGGVNRSWRSLLPVLNGVEYILPRGSLVGLKLHGRSTLPQSWERGDRYHSDLLKRD -LMIRLTRDLGLSGRHADRLVNRPAIHLKRIEEFISGLVDSFWLGDPRTFALGSPDFKTFRTIVRKIFAVG -TSNLGMLMTNWKEWTNWFTHSVCETELREEAKLSNHNMFHRLARLSVVQKALSGDVPLMELGSIVAHLTS -TRQMPYMGLPTEVKAKADFIEIISTPFHVEPSHHRQMVQCAARMGRLCMSLRNGVPVSDRSSHFSATSSG -ELDHSLTRGGQAQALKDAIDKWLIPASGSTYMEDTPFGVAEHREGVPLWKTLFVDQETQLELIFSDFGDS -LDWIKDVPGRVYGLDDYTGRQILYVAWKEMEDIPHIRASTVPELGNKARIVTLSAFWLNVLQAPLSHIMK -EVLKYHPSCFASFTRGDQAWHAASGLGRLNPRSVAGYSVLSSDLKNATNAQHIALTRDMLRAFIYSSGLV -VSEAYVNLVLDTICPRLVELDGEFTLSCRGIMMGEAIAKPSLTLLNLVVEELAFLKYENKLVLLNTDKAA -PSRRWRCYHVGGDDHLAVGPDQYLDLITHNHELSGSIISPDKHGKSRKMVKYCERVLLVQNLQYNTPDRK -PFEHGLIVDSIKVRLLEKGQSTLIAKDNKNVAVGKSQQLVKSLDWLPKQLYSKGFIRSIQHLFIKRMGSL -LPNRNRDEEAFHSVCLPKILGGYGLGLAEDLLTHLSKSKPEIQQTVSAVLYGIETRRARNILSRLNTTIS -DRSIQGTKWYEDEMVQQFREYPDMVGAIDGREMRRRFPASTYQESKAHAASAGWLPIDQLARRVTRGHLF -GELMSGGAKRQNVFKTRNWIQEFTRLRKRLEEEVGYLPLPEYADLEVKTLRNALGAMTTDLFIDSRQVTS -FDKGVGDDSFDFFDGELLRTYEAHQPNLVVGLQFIGLDSTCNAFTRRVRRRY - diff --git a/seq/clusters_seq/cluster_934 b/seq/clusters_seq/cluster_934 deleted file mode 100644 index e379a30..0000000 --- a/seq/clusters_seq/cluster_934 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009553282.1 nucleoprotein [Oz virus] -MENQPAPINRGPSTSKDVEMGQDQKRARIELEPGAVENKYMAFKTQMITLSTNGKFPIFIDHNAEVVASI -VMAACMAPSTLKDGTKYLLFFEVEKGKYELRETAIDCSLVKAWAKATITPETRSEWYPFLAALQLSSKIK -DAILWQRNIISRNLGVSPVCEPYAVGYNIRDRLKKSRPLSVGPLNHLDHWLRLSADREIGKGKKLNYAVA -ETIKRRLEGILMRQTIGQSQKAMLRQIFEGKTNFVRTLAHSYCSIKPHIENQFVLPYSAIAVIEDFSGAD -MSSEWVYKKLEEASTRIFLTGPNAEWHQFMAQILIHCTLRTLHEDLGVLSSMFGGVFNTRKEFGRFCATA -DLKVLGPIAIKYLFWSKPQRGAPRNLGGVRKGQISSRPSLRGSRTSVNKFQTLEQLEAACCVPQSESLVD -ALNKEFEEYTKLEKECTGVFMERGSTNEYRGIVASSGRFLFEA - ->YP_009352881.1 nucleoprotein [Dhori thogotovirus] -MSSTTPKRSEPADEDMEVEVKRSKVETDPKSTQRKYEDFKAQMVTLANQLKIDLKVKHNADIIGSIVMAA -CTGNAIRETGKYSFFFNDEKDGWKLREVELNCKPVIDWANQTLTDEQKREWYPFLASLQLCVKTEDAILW -QRNPVTRELQVSPVCEPFATGYNIKDKLKKSRPLSVGPLNHLLHWVNLQTEKSVGKGRKLSPRAAAGIRK -RLEATLMRQTIGQSQKAMLRQIFDGKLAYVRTLAHSYCSIKPHIENQFVLPYSVIAVTDSFENADMSSEW -VYKKLCEASKKILLTGPNESWKSFMAQMLIYCTFRCLHEDLGVLTSMFGMVFEPRKSKGKYCKSSELQVL -GSQEITYKFWSKPQRGAPRNLGGARRGQICTRPSFRGVRATYNQYSSLEQLEKACGNPTSENVVEALNAE -FEEYSKLTTEGTGAFYERGSTNEYKGNVQATGQLLFEV - ->YP_145809.1 nucleoprotein [Thogoto thogotovirus] -MATDQMDISGPPPKKQHVDTESQIPKMYEMIRDQMRTLASTHKIPLNIDHNCEVIGSIIMAACTNNRDLR -PVDKYWFLMGPAGAEVMTEVEIDIQPQLQWAKGAVHDPKYKGQWYPFLALLQISNKTKDTILWQKYPVTQ -ELEISNSLEIYANGHGIKDRLKNSRPRSVGPLVHLLHLKRLQENPPKNPKTKKPLESPAVNGIRKSIVGH -LKRQCIGETQKAMINQFEMGRWESLSTFAASLLAIKPRIENHFVLTYPLIANCEDFAGATLSDEWVFKAM -EKISNKKTLRVCGPDEKWISFMNQIYIHSVFQTTGEDLGVLEWVFGGRFCQRKEFGRYCKKSQTKVIGLF -TFQYEYWSKPLKSAPRSIEGSKRGQISCRPSFKGKRPSYNNFTSIDALQSASGSQTVSFYDQVREECQKY -MDLKVEGTTCFYRKGGHVEVEFPGSAHCNTYLFG - ->sp|P11468.1|NCAP_DHVI1 RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSSTTPKRSEPADEDMEVEVKRSKVETDPKSTQRKYEDFKAQMVTLANQLKIDLKVKHNADIIGSIVMAA -CTGNAIRETGKYSFFFNDEKDGWKLREVELNCKPVIDWANQTLTDEQKREWYPFLASLQLCVKTEDAILW -QRNPVTRELQVSPVCEPFATGYNIKDKLKKSRPLSVGPLNHLLHWVNLQTEKSVGKGRKLSPRAAAGIRK -RLEATLMRQTIGQSQKAMLRQIFDGKLAYVRTLAHSYCSIKPHIENQFVLPYSVIAVTDSFENADMSSEW -VYKKLCEASKKILLTGPNESWKTFMAQMLIYCTFRCLHEDLGVLTSMFGMVFEPRKSKGKYCKSSELQVL -GSQEITYKFWSKPQRGAPRNLGGARRGQICTRPSFRGVRATYNQYSSLEQLEKACGNPTSENVVEALNAE -FEDTQNSPLKAQGRSTKGGLPMSTKATSRLQASFCLKFSLICVCNFCCFLSLLLGIC - diff --git a/seq/clusters_seq/cluster_935 b/seq/clusters_seq/cluster_935 deleted file mode 100644 index a4982f4..0000000 --- a/seq/clusters_seq/cluster_935 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_009551660.1 RNA-dependent RNA polymerase [Stemphylium lycopersici mycovirus] -MRSDKSLLLLGFRNAGWLRAQGEHPDLGAIPKRAFVPEPEEVDACLKGIEDGWGDGAFWCPTVIGSAFPV -FFVLSNETRNAFCHSFSSVGGDVNWLTFGLTDARTLLYRRPQVCVVAKPRPCVAYHRDLSSIARVLRYGV -LNSDHKLCDAYTDFVRSPVARTEEVWGTLGAYPWGDLDTLVGQSTRAEYDAVKDAAVVGFLDRLWGKKRG -KGAPRDRKVRAKAAMAWGDARLWSREVSFKRRHYYDVATFLCVMDEVWGSRDVALRGGATNAEAGLQVGS -FRKAVRMVLSELVMGPASYERLYLTIFWVFSTRWWPSLVPVLIRYGTLGMSDDEYTAIHKEITAVVTSTW -MVPGTCRQHQFSANFLNAEDLTGWSDRDSLKGGVCTEIIKFALATFEYRADVRGGGGERGMPIIAKEGSP -SEYLSRYRAAMDQLLRPMYAKYSANMTDLRSHMEKRMAWMSGGAVGRRAKELLGPGIAPPGSSKAYVASR -ADADQLTRDWGEMRIEVGGKGNERGSERTLLATDLRDQVSESYLLHAFKNRYGLIGVDVGETPVEMFKRH -VTVASATDVPMSFHGREKKVLAAWDYSKWDHHVMLAERLLLVQVMRDLVLEYVQRDDIRVDMLKELDVLE -SSHRVAIYRSRAYADARYTDQVDALIRDGVKAGFKGQAVRLSADQVRITDYAGQQSGRRSTLESNTFYSR -ARLLVRDAELAEGERSVYLLNRADDVLEVYRAWEHARNAIDVMLLQGHKANKKKQVVQVRTGVYFRILYA -AGSMRGFPPRAVYACASAGPSMAASGGFDPVERLSSLSGALDRLARRGSGYWVARALYLEAEDYYRDVRV -QLAVKADKFTRFTIPREVLRASPDLGGCGVLPPGNYDFDLSIKCSEIVGENGRLWQAVRERLEKRHKFRG -LADLQGSAGRAFSHDVPLDVPEKAWQQWQKRWRGDRAAQDGRGELLRARLMGKLWRYKRSWHRDRKGDAW -GLEGFGHLLSLPVDFFQLSWRILRDCPGSGEVDRLMKGVQGPPAEGMLAKLWYGLGQDVISVLGRDVLEV -IQSASAPGREFAAEWGWLPLDVREKFLRGHLGPAGAWMNLMPASFAPWLNQAVNFVLFQAFATGVRYHGS -HWLLHVRQALTGAITRQFVDARPLVVLH - ->YP_007353985.1 RNA dependent RNA polymerase [Aspergillus foetidus dsRNA mycovirus] -MRSVQIVLENARYAASLAEARHYLGDEPFWWGSLAEGRHALSHLSAGNDDTSRCLSKLWSPRSFWVPSFT -PKGFPLICVSSAALASKYCSLLRSFDLDVEIASDLRALAARLFRGICVYVVADTFSSPFYAFESDAPPEE -LVSWLRNPYDLGCPHSDAYTKFRASRIFRTEDVWGELGAWPWGDLEKALGEIEVKERVIDPELWARMWHE -LDAGRASRALFWADSLWNRERRFRQRHYFDLCSLYIVVHGVWSKEGAGDLFRLIAEQILHEMLVAGMSYE -RMYFTCFWLWTTQYWSSLCQYVLKTRLLHATAAQWTAICKETTAVVTQTWMFPLTNRQHIASAYFLNAED -LNGFSDADAMKGEVTVEVLKYALNEFKYTYPSIEGRTFDAYLQELLSAATSLLEPIYKTYADKAKTWDEF -ISLRSAWAAGGVAGRRSRDVLGNQVSPPGAAKAYVMTMTEKQQWAMDWGKLYIEIAGKLDERGVDRTLSA -TDMRDQTAESYVFHPLRSRYPVVGLDIGESPAETMGRHINLIAASEGPAHYLSDGRILVAWDWSKWDHYV -HVAEHMVVLKAMRQLIRRYIRQEVATDMLREIDRIEEGHRVAIFRCQAYADDHYSRVVDEIIKGSGGRAR -RVGDTAVEVTNPAGQQSGRRTTLEVNTIIGTSRLLVRDSELLGRGASLRSRTSMYVLNRADDVAEVFRKY -QEGKAAVEKMLEQGHLANPKKQVAQWRSIVYFRILYAGGAMRPFPPRAVYAAASGHPNKGSGGELDAISK -LRSISSGLEMWARRGGWLGMARALYDDAERFFSKTRVWTRACHLNPKHPPDVVRLSPALLHAAPENGGAG -ILPVGVYEYDYRIKAGRGKYELDAQYWRKLADDYANAHGRGPGLVDLEANASSWVARATGVTPTARDSLE -FQRKWAAGRAQQDGEGIMITWLRNAAICDAVRTTHVDKSSSGHKFDWESWSVRACLLRFKQALQRARRAI -GAAEVTETIRDIRGPPAYGMFKSQYYGYASIIYRNSGKDPGVLRSLAGGSALGRDYLAQSGPFPDWLKVM -HLEGKLGAAGAWHKLLPPSWAGWLDATVSKALFETCLRLPNVRASKYQVLMTRSRVTSFAVQMFRHAFPH -LFLH - ->YP_001976142.1 RNA-dependent RNA polymerase [Alternaria alternata virus 1] -MRSDKTLLLLGFRNAAWLRAQGSHPDLGSIPKRAFVPEPKEIDACLQGIEDGWGDGAFWCQTVVGSGFPV -FFVLSDVSRNVFCHSFAEVGGDVSVVSFGLTEARSQLYRKAQVCVVPKARPAVAYHRDLSAVARVLRFGV -LNANNKLCDAYTDFVRSPVARTEETWGLLGGYPWRDLDALVASSTRAEYDRDADTKLVGFLDRLWGKKRG -KGLGRQDKKTRAKAAMAWGDANLWTREVSFKRRHYYDLATFLCTMDEVWGDRAVAMQGGATSKEADVQCL -SFRKAVRMVLSDLIFGPATYERIYLTVFWIFSTRWWPSLVPVLLHFGSLGMSDDEYTAVHKEMTAVVTST -WMVPGTCRQHQFSANFLNAEDLTGWSDRDSLKGGVCAEIIKFALATFEYKADVRDGGGEAGIPIRGKEGS -PEEYLGRYRQAMYDLLRPMYARYAPNMVDLQAHMEKRMAWMSGGAVGRRAKELLGPGIAPPGSSKAYVAA -RADISQLTRDWGEMRIEVGGKGNERGSERTLLATDLRDQVSESYLLHAFKNRYGLIGVDVGETPVEMFKR -HVTVASATDVPLVHHGRDKKVLAAWDYSKWDHHVMLAERLILVEVMRKLVLEFVQRPDVREDMLRELEVL -ESSHRTAIYRSRAFADAKYTDQVDSLIREGVAAGFKGEVQRLSADQVRITNYAGQQSGRRSTLESNTFYS -RARLLVRDAELLDAERSIYLLNRADDVMEIYRAWEHARNAIDVMLLQGHKANKKKQVVQVRTGVYFRILY -ANGSMRGFPPRAVYACASAGPSMAASGGFDPVERLSSLSGALDRLARRGSGYYVARALYFEAEDYYRDVR -VQLAAKADKYTRFTIPREVLRASPDLGGCGVLPPGCYDYDYTIKCSNIKGPNEQLWQAIRERLEKRHKFR -GLADLQGSAGRAFAHDVPLEVPDKAWQQWSKRWRGDRAAQDGRGELLRAKMMAKLWRYKRSWHRDKKGDT -WGLEGFGHLLSLPVDFFNIAWRMLRDCPGTGEVDRLMKGVQGPPAEGMLAKLWYGLGQDVISVLGRDVLE -VIQSASAPGREFAAEWGWLPLDVREKFLRGHLGPAGAWMNLIPASFAPWLNQAVNFVLFQAFATGVRYRG -SHWLLCVRQAITGAISRQFVNSRPWLMLH - ->YP_009272952.1 RNA-dependent RNA polymerase [Fusarium poae alternavirus 1] -MWSARVVLSNASAASSWFKRRAESRYDVKFCLPERDVLGSISEQAKDVPSLSGSWAPEAFWVPTQQADGF -PVFCLSSSHACSEFVKLLADKGVRATVATDHASLHHSLFLCVTVTTVILPGMKRFNRDVVPEELVNWVVE -PWGLGCAHSDAYSKFVNHPLGRTEDVWGKLGAYPWEELENMLAKIVVSRIPVDDGVWRNLCGLLDAGDNY -GALAEFDKLVTRGTKHKRRHYFDVCTLYTIASKVWSDSGQGPLFRRVAKLVLFDGITAQVGYERLYLTCF -WLFTTPYWQSICKFFLAEHLFFTTVDEYRDICKEITARVSSCWMFPRTNLQHIASAYFLNAEDLTGFADN -QESSGQVAVEVLEFALSEFEYTLPGDEGRSFDMYLKAYRDAVRSLLEPLYREFGVKAQTYDEILETRTAW -AAGGVAGRRARDVLGAERAPPGSSKAYVMSMSRADDFRMDWGDTRNEIANKMDERGPPRCIQATDMRDQT -AETYVFKHFSNKYPRVGLDIGESPTEAMARHAQLVGATRGSRVYKFDGRLLTAWDWQKWDHFYHNSEKVI -VLQVMRELTGHFVRPAVKAEMLRELDDLIDKHSRMVYRSQAFADEYYGKLADEVIASSNGRAYRLDGREG -EVSIMIEKPNGQQSGRKTTLEANTIVGTSRLLVRDAELLGTRASVKNRVALYSLNRADDVAEVHACYKRG -VDAVNTMLAQGHRANPKKQVAQWRSVVYLRILYAGGSMRAFPPRAVYAAASGHPDKGAGSESAFIDKLKS -CSKGLDMWVRRGGFMRMAQALYADVERFFSKTRVWAIKGDKRTFTTKVIPVAALRAAPENNGLGILPPGV -YEYDYTVKCVTPDKYKAIADGWQRRIDEKVRAGIGPGIHDLERRARDWVFDNTKLVPTDKDMKRYKEKWA -ASRVHQDGTGDSKFLNRCKQVALAVKIVRVDKSDRSYIAKDTNRVVDRGLAALRGAIEQTQYMPGTHYAK -DYLKSIRGFPGYGMTEHLWYGYGSILLASAKERGRGEWERVLVLLANSSALGREFLALSTSWTLEARSAF -LCGELGPVGGWDKLIPPSWIGWLNDIVGLGLALELTIKPALSRCAWRMFKFRASLTKEAAVAFVNSYPEL -CIH diff --git a/seq/clusters_seq/cluster_936 b/seq/clusters_seq/cluster_936 deleted file mode 100644 index 1f48642..0000000 --- a/seq/clusters_seq/cluster_936 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009553690.1 NIa-VPg protein [Wheat spindle streak mosaic virus] -GKGNKYRPREDQRLMYSTRDDATFDSWNEKAKERRHKISSRAEPEMRPTYQKRPYFNFYELQTDSNILEA -IFYTTQGDEFFRTANPNKDMHGVADKLKTFLDTQPIVGKHQRQLLEETAQVVIRDNKGEAHRMDISQHDP -DKLKNNGAGRVGYDEHRNDFRQEGQAITSPVELEAEFGAQPGGIVL - ->NP_734279.1 NIa-VPg protein [Oat mosaic virus] -GKGNKYRPREDARLMYSVRDEASMNEFNEKAREKRKNLARNAEPDRKKAYEKRPYYNFYDLQVDSNILEA -IFYTMEGDEFFRTENPNKDMHSIARRLTEFLQTQPIVGRNQRKLLEETAEVVVKDNKGYAHRMEISQHDS -ETLKHNNKGKVGYAEHNGDFRQSSEAIVGEVALEAEFGPEIEPNVVLE - ->NP_697042.1 NIa-VPg protein [Wheat yellow mosaic virus] -GKGNKYRPREDARLMYSTRDDATFDTWNEKAKEKRKKISDRAEPEMKQPHQKRPYYNFYDLHTDSNILEA -IFYTTEGDEFFRSAKSHQDMHSVTVKLKAFLDPKPIIGRHQRQLLEETAQVVIKDDKGTAHRMDISTHNP -NTLKNNGSGRVGYDEHRGDFRQESPALESPYELEAEFGNSKDEVML - ->NP_734305.1 NIa-VPg protein [Barley yellow mosaic virus] -GKGNKYRPREDARLMYSTREDATFDAWNEKAKERRKKVTDKSEPELRRAYEKRPYFNFYDLQTDSNILEA -IFYTTEGDEFFRTADPNKDMNLVADKLRSFLDTKLVVGHHQRKLLEETAQVVIKDTKGTAHKMEISQHDP -DCLKQNGSGKVGYPEHRGQFRQEGVAITSDYDLGVEFGTDTDNITLE - diff --git a/seq/clusters_seq/cluster_937 b/seq/clusters_seq/cluster_937 deleted file mode 100644 index fa7ae9a..0000000 --- a/seq/clusters_seq/cluster_937 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009553689.1 14K protein [Wheat spindle streak mosaic virus] -AGEMLNEETLARALGIFVPKTNLFLLLATKGLKLVYVVCVLILINLSYQVFQRWQSQVKRKKSSLDSSDE -LSNTMPVSEGEGILKEVMQMSKEQRNQVKVDMDADVAEHSGGFTFVFPEQAVELE - ->NP_734278.1 6K2 protein [Oat mosaic virus] -ASCTLDDATLASALGIFKPQQNLFLLFCTKGIKILYVVFALILVQLLYKLYQFWKAQSKARKETGLHETM -APDKATAVFNEIVQMDRATRKNANLDLIGEKAPFCFHFTDIEEMTASDIVELE - ->NP_697041.1 6K2 protein [Wheat yellow mosaic virus] -SNDMLTDETLSNALGIFNPKTNLFLLLATKGFKLVYVICLLIFINLIYRLLSHWRAWLKNKYDNGNPDAL -TNTMTVQEGSEILKEVLKMTPAMRREVTKDMKVAVADNNSTFSFVFPDEHIDLE - ->NP_734304.1 6K2 protein [Barley yellow mosaic virus] -ASDTLTEESLASALGIFVPKSNLFLLLATKGFKLVYVICILLLVNLVYIGLRKWREHLKQKGSDEILTNT -MPVSEGGEILAEVMKMEPKMRKNIKRDMDEAVESKLCGFTFVFPDDDKIGLE - diff --git a/seq/clusters_seq/cluster_938 b/seq/clusters_seq/cluster_938 deleted file mode 100644 index 4d0e560..0000000 --- a/seq/clusters_seq/cluster_938 +++ /dev/null @@ -1,48 +0,0 @@ ->YP_009551640.1 putative coat protein [Bipolaris maydis partitivirus 2] -MPEDASRNIQDERFQEAIIRPTPPSEPAAFVNDTTGKVVPTGNTASSDSRSRTLDLPNRSAVETSSGSAT -FAKEIDISRNVIQSSGDPFTIEVVPDTRFPLYVLTEYVQRTYSNFDFESYSMVSPASLVGYLLYMIHAYI -FLIDVYESSTMSAYAEEVDTTHALRKLVDTFSNAHVPDIVFEILQSMLPHRLDTRSRLEFHTSYGSVLFK -YDSPRLPPPSMFLLAHNQLISQTRDNAAYRNWLAEDLITYNHETFRVANFIGGLYQRTENNTVNTYTYRN -WFARSLSRLADSATHRTHLRRPDILDFDYPIPRYTAETYNPYVHLLMLESKHRVTTLNFLTSLSNFSSTA -LKAPKTIGSLLTARSSQITRLVIKGPTAPTWHTQKIVDFDLSGKAKTGSFNQFCDAARFGCQRPDNTETQ -KLPFPEDPSVIQPELYLVQPENKRSTFEPVTADEELHTEGMNLLFDAYDDDPSAHYATVISGKLIQNTNV -DGQILPLPNPVDALPKTNSRYLTGAICVKNIVPEFNETPVYLYPRYLRHGRTESMTSLLFNCKQIWIPRF -MQAISALPSLSSFQLNDGADGIVPTTNVVSTDNHLKPKDNGKQVLLWSSYRHRRGSDRPSEDTVFFYATL -EHFFGTRSSLMQTYNLHQLLSLN - ->YP_001936015.1 coat protein [Ceratocystis resinifera virus 1] -MSHDARDLQSEARQEARPSGLQPESPSLEPLGLNDPAARTKTGTSTGHGLTAPHAGTRSLGLPSKGEASL -STEASSLARDIDLGRNCSRSAGERPFLVQVVPDSRFACYVFTEYVQQNYSKFDVESSSMVSPATVVGYLM -YCFHAFIFLTDVYSSSTMSAYAEEIDASHIMRKLIDIFSNCFVPDIVFSVLDALHPHKLDVRTTVSLFPS -YGSVLFEFDAPRLIPPSIFLLAHNQLLTQTKIPNSYKSWLMQTCVTYQDVEFRVGNIIGGLYQIVLGHTT -ETFQYKTWLLRSLARLADSATHRTHLKRNAVTEIEFSPPVFTDKNFNPYTYMLMLSPSSRTTTTSFLTAL -SSSCQKYLNATRSLSSILATRSGSITRHMIFDLTAPTANSHTLTDLDETSVLKPGNFTNFCKAVGFCPPQ -VNPLPKKITLPYPPGVEAHPSLYLVTETDAESPLKPILPSYEDHVEGQILLFDPYDDEPSAHFSTLISGK -LIENGNVDGITIFLPSPSSSIAAVNSRNLQGAIPMSRIQPSFDVMNFQQSPRSHAPPNRMFTMSVLYDAA -NIWLPYFNRTMYSALPILPYTANRNADGLIPSTNLVVTSSKTVSSSSSSPDVNLWWSYRYQPTNERPTTH -TVYMYATLEPFFGSRSSYLQSYQLQVLLPIS - ->YP_001911123.1 putative capsid protein [Ceratocystis polonica partitivirus] -MSHDARDLQSEARQEVRPSGLQPDAHSHEPIGLNNSIARTAPSSSAGPGLTAPHAGTRSLGLPCKGEASH -SSEASSLARDIDLGRNCSRSSGERPFLIQVVPDSRFACYVFTEYVQQNYSKFDVESSSMVSPATVVGYLM -YCLHAFIFLTDVYSSSTMSAYAEEIDASHIMRKLIDIFSNCLVPDIVFSVLDALHPHKLDVRTTVSLFPS -YGSVLFEYDAPRLIPPSIFLLAHNQLLTQTKVPNSYKSWLSQTCVTYNTEDYRVGNIIGGIYQTISGSTT -ETFQYKTWLLRSLSRLADSATHRTHLKRNAVTEIQFDPPSFTDADFNPYTYLLMLSPSSRTTTTSFLTAL -SSSCQKYLNATRSLSSVLATRSGSITRHMIFDLTAPTANYSTLIDLDESSVLKPGNFANFCKAVDFCPPQ -ISNPPKKLTLPYPPGVEAHPSLYLVTEKESESPLKPLRPSYEDHVEGQILLFDPYDDEPSAHFSTLISGK -LIENGNVDGITIFLPSPSSSISAVNSRNLQGAIPLCRIQATFAGFPFQQYPRSHAPSSRMFTMSILYDAA -NIWLPYFNRVMYSALPILPYTANRNADGLVPSTNLVVTSSKTVSTPSFSPDVSLWSSYRYQPTNERPTPQ -NVYMYATLEPFFGSRSSYLQSYQLQVLLPIS - ->NP_604476.1 putative capsid protein [Atkinsonella hypoxylon virus] -MSSNDSAQTRNLQEERFNERTSTPTVVTAVLPDTNGPTTNSTSGSVGPPHPTPNVPVPTQSSSDPPSASG -IFAKEIDLPRNVIQHSGNKFILDVVPDSRFPTFAITEFVQRSFSNFTFEQYSYVSPASLVGYLVYMIHAF -VFLVDAFERSPMSAYASEIDASHAYLRIIDAFSDAYIPDFLFEILDTYLSHRLDIRSKLEMNVSYGSVLY -KYDAPRIVAPSIFLLAHNQLISQSRESTAYEKWLDSIVIHYSRAVIRVGNLVGGLYQSSHGSTTTHFTYR -NWFARSLSRLADSATHRTHLRRPMISEFDYNIPSVNNNTYNPYVHLLMLEPNNRNITLDFIRSLSSFCST -ELKATRTLRDHISRRSAAISRCVIKGPEAPTWHSSPLDDLKEKSKQGNFSQFCEVAKFGLPRKENSESYT -FKFPKDASTIDTAFYLIQENGRSSVLDPTTADEELHTEGMNLLFDPYDDESSAHYATVLSGKLIQNSNID -GETLLLPDPTTGLARTNSRYLQGSVLIRNVLPEFDQHEIRLFPRYPQISRLSASLTLLFNMRQVWIPRFK -QKVDEQPKLSNFSWNEGCDGTVPSLNVVTAESSTNGPAAEQQVILWSSYRHVSNSDRPTVDTVYYYSTLE -LLFGTRSSMMQTYNLHQLLSLH - diff --git a/seq/clusters_seq/cluster_939 b/seq/clusters_seq/cluster_939 deleted file mode 100644 index 5feb42f..0000000 --- a/seq/clusters_seq/cluster_939 +++ /dev/null @@ -1,60 +0,0 @@ ->YP_009551620.1 outer capsid protein [Guangxi orbivirus] -MAEYGVLLWRDSKPDTNKVLPDEYDLVIDLDDRISPQSEVDESKVKLSATEKIIKDTGTIDTLTFNTLFS -AYKNTDIVQRQNSELVINTFIDRCIARLSDSRPQENIDMAVHRTKADPRRVRIGINAKANYCALRVTHST -LLMRRNVLQTVYVERVGMCTHRSHLLGSERLMSQMMHLELLNCIMGKIIKDESRLSNLTEESGASAAKFF -FLEMLTHKLTVAAPAKPFLQSEREFYNDLLDREGDNSQKRYLHHIEHTEGTDLKHFEDDLEEEIQDLMKD -TAVKGLALIGRKSRYFPEIQIACWKHSNTGAVKNSIADTKNWKDLNRLPNRFRGIFACMYACIPNVFETI -SSPDTLKMVAQPDDVEDPFWKTWLNEIPYRQEEIVAKRIEKAYGELPTGCAMERVIMYNYIEIMGFMYNL -VGRLSNIRMKKNLYPDTIKLSFNDLMSDEAKDKWRAQLAFCDKYLAEKLIYDDWDEEFLGEIRACMSIPV -GFILILRRAFGLEKKRYDPEEVVSDLNLVITGSLTLETFLETYVPDLSGLVSRANSMNGVTTISDMLQLL -CNHNLLIILLATFGEVGLKRMYETGVMFFKKYKQTLYLAIHVMPRKSTITHLKGLRLSDFLIHCLSNVTC -EEHNWDYQDQFEGEEQDSWRKKWEEYGEEETKRIEEEAKKLGENGEAWKQRQIERMNKKRTEAFIRYTLR -SLVIMLFSDLMYSKRMRTLDLAQIDEIHHLRKNYTEIQTMMGCQCNGFTDVVTIVFPVTAPHRALMVFTI -YSEVLEVAEVLAIVMRRFPNNFTNIYQHVLIRVSPSEISYNTKIKTMKTKDRVNVKTLGPMSAKTYIYHC -HLADARAIILKGETVQRGSNFFFVKLSGSD - ->YP_008719906.1 VP2 [Mobuck virus] -MAEYGILLWHDENVSKRSTQKVEYPGEYDIIVNLDARAELQAKRAGSLMKVEAAKGILKTPGGVEIAGFN -ETLSTHNSSETMKKELSDIVTRGFIDRCIRRLTEEDKKENLKKAILRAKFEPYWMGLTYHKAGSGMFGVQ -LTHCEIVTRKYILQTAFVERRSVCKKRLHLDGLERLMSQLNSHNLMNCYLGKGYTTGKNYVDINDHVGTE -KSVLFMIEVVRQKFEIQAVTTRRETRDSEYHEALIQAEARNKNARTTNIIAGTIQTGMKRHFEDFVEEVK -DVMSSAQIDRELVLFGRESKAYPAMRIIAWKTAGDAQVKPYIKTSRGRSDLKRIPMKTRGVLTLFASSLT -ELFVEVAKPGNLKTCGQPTGESDAFWTEWLEDLKYQDGNETEKKLKTLYGNMDLGCEMEQVLLITLYDIS -SFLYTMVVRNTQRQYRVRLLKEVLAGVKLSPSKDDKVRKANETKIQSAIDSYVGNTLYHDKWDSKLLEMI -IDGRSIPLALVYILRYANGDVLEEIDADELESDFNLVMMGQMPLESVLRVYVPYLCEIYQKALNLNSAST -IDDIIGVLLRHNMLIFLLSTFLEFKIKHMKLGGIPMFKRYVKDRYHISYLTPFTTGRVMDGLQLADTIHY -MFDQYLCSEFEHEIFDRFEYHDERKRSEEWTDYVKTEEEKIDLEKEKYGERGTAWAAKQKAKISEERANA -NFRHYLLQCVKEIFFTGIYSRSERCINLEYITTISHKRMNYQRMSMLMGSFCGGYTDFISLCMPITAPHK -SMIVICIYSEMINDTVARLCLKNRFKRVYSNIYQFIFIKVKPQEVKYDESKTSYSCIERFNVKSEGPMQV -RVLPYLSQGVDARTVIVKSDRGERGSKYFFVKLSGAE - ->YP_460040.1 VP2 [Peruvian horse sickness virus] -MAEFSILIWKDTRTSKVTPVEYPSGMDLIIDIDARQELQAQNAGSLMKAERAKGINSEDGKINIASYDRQ -MKQHLNGGERVQETEDVIQHRFIDRSIYQLSDKMKKEDIDSVIYKVAYDPKWETIKYKHDKRGRTEIHLT -HCRINCEKYLMQTLYVQRESLCERGMHIENLDRLVSQLKNLGLFKQLIGKFWNSGSLKDLTKDGDQSTTS -VLFNIHMPPHTMSATRIGKQQIIFTERQKKHVEIQEAMEVNDTRKIARLESDENITRNGYKLFEEDFIEE -IQTALKSREIVAPIKPYEALSEYFENIRIIVWQETRIDPTKKGTLHEESRKPLVKIPLNARGVYYLLYKP -LIEVCIEKNDFGDLKISSQPSKKKNKFWETLEKDLFYQDDGTKKDRMRKVFSKDLDNVCKIELCSMHLYF -EFLGAIYNLFGRMSGLRERKRMYRWIFEKDEISTEKRTDVQKELIQKARAKECDAYLKTNFIFNDWDKYL -WEMILSAKCIQTSVIYILRFLNGDPIMIIEPEELMHEINAVLLNKKSLEGFLRVYTPYLSELYSKYRNVN -SQTPTYEIVELCFKHNILIIFLSILHEFETENLIQIGVPFIMKYSMNMFNTFLVEQKKKSGRMTGLNLED -FLLYTLNPLQYEELTLKYEDRFEHFDASKRNGMWDVYMTRKKEEFSVEKVKYGAKGEAWHTGRVRELERE -KTNADRRLGWKTNLHYLFKQECYDSKMRTLKVKKLASITHLKTDLNVMSLFIGTQCHGWSDVATICVPIR -SPHKALLVIALASTNMDEGMIKRRIKSRFERCSQSILQTIIIRINPGENDYVERLDHKVVEERFGVMLEG -EMSFKKYAYVSEGIKAALLILKAENVIFGSKHFYTKLLNVR - ->YP_443927.1 VP3 [Yunnan orbivirus] -MAEYGILVWRDKKKGNKSIPPEEYDIFIDLDETIEPKAKTDESLIKVTASEKIFKDVGSVDTLQFQTTFS -TYKASGLTKRETSEIVMPTFIDKCITRLSESKKQEPIQMAVARAKMDPRKCNIGYEYVSNGFYSLQVTHS -KILLKKNALQTVSVDRISMCTQRPHLDGIDRLYSQMLALKLFDVKMGKISKARSLTNLSSEASSDPCVLF -LLEFKPYKLTVQPLTADTSKPEELYNEVDEAEETNATRRAIHVMEKSDPTDIKEYFDDLVEEIKDSFSDS -KILTPITLYAKVSKYLPEVRIVMWKVNNISGTKATLANVSQWKDLTRLPIRVRGIMSALVHPINDVFETT -DTPDTFKMVDQPVTSHNAYWLKWWNEHTYRQQETVEKRMKLIYGKREPGCMMEKIVLVNYLETLGFLYNL -VGRYSGIEMRMNLYPSIFKRTLGGIHKVTDERRKQIIKEERDACDTYLHNHLFFDDWDEQLLFHIRRLSS -LPAAFLVILRAAFGETLLVFDSEDFESDLNLVLLGQSDLDSFLSYYLPPLTNLAQKANNLNGRATIGEML -QAMCNYNLLIVFLSLFGDVSPLHHRTGGYRLFKRQMAGKYLLPHIVPAKDRTNHPQGLCLHDMLLHLLGT -IPCDEFLVEYVDPYDGLDQEAWTAAWDKIDEEATQQIEDDKIKYGEKAETWAVEQKAKVATRRKNALYRW -NVRRCLKNLFSDAIFGKRSSNIVLQTIEGISRLRMNYQEISMLMASQCRGFTDVVTVCYPITSPHRSIIV -ITIYSFALTIEDALSSVMRRFPRNFENIFQHVLVQIGPDETSYTTKITNMSTSDRLRVKGLGPMSTKLYP -YTLLGVDAHALIIKHAEAKRGSPFFFVKIAGAE - diff --git a/seq/clusters_seq/cluster_94 b/seq/clusters_seq/cluster_94 deleted file mode 100644 index 7ff2a08..0000000 --- a/seq/clusters_seq/cluster_94 +++ /dev/null @@ -1,246 +0,0 @@ ->YP_009118623.1 matrix protein 1 [Influenza A virus (A/California/07/2009(H1N1))] -MSLLTEVETYVLSIIPSGPLKAEIAQRLESVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVSLSYSTGALASCMGLIYNRMGTVTT -EAAFGLVCATCEQIADSQHRSHRQMATTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVANQTR -QMVHAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->YP_009118478.1 matrix protein 1 [Influenza A virus (A/Shanghai/02/2013(H7N9))] -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNADLEALMEWIKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYKKLKREMTFHGAKEVALSYSTGALASCMGLIYNRMGTVTA -EGALGLVCATCEQIADAQHRSHRQMATTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTVGTHPNSSTGLKDDLIENLQAYQNRMGVQLQRFK - ->YP_308841.1 matrix protein 1 [Influenza A virus (A/New York/392/2004(H3N2))] -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVATTNPLIKHENRMVLASTTAKAMEQMAGSSEQAAEAMEIASQAR -QMVQAMRAVGTHPSSSTGLRDDLLENLQTYQKRMGVQMQRFK - ->YP_308854.1 membrane protein M1 [Influenza A virus (A/Korea/426/1968(H2N2))] -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSAGALASCMGLIYNRMGAVTT -EVAFAVVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRAIGTPPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->YP_308671.1 matrix protein 1 [Influenza A virus (A/goose/Guangdong/1/1996(H5N1))] -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMATTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDNLLENLQAYQKRMGVQMQRFK - ->NP_859036.1 matrix protein 1 [Influenza A virus (A/Hong Kong/1073/99(H9N2))] -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREMTFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVALGLVCATCEQIADAQHRSHRQMATTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLIENLQAYQKRMGVQMQRFK - ->NP_040978.1 matrix protein 1 [Influenza A virus (A/Puerto Rico/8/1934(H1N1))] -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEVLMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKLKREITFHGAKEISLSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKNDLLENLQAYQKRMGVQMQRFK - ->sp|Q76V05.1|M1_I80A8 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|P05777.2|M1_I33A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEVLMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMDIASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q0A414.1|M1_I77AF RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVAGQAR -QMVQAMRTIGTHPSSSAGLKNDLLENLQAYQKRMGVQMQRFK - ->sp|Q0A2I5.1|M1_I83A5 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEVLMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTINPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVHAMRTIGTHPSSSAGLRDDLLENLQAYQKRMGVQMQRFK - ->sp|Q0A2E3.1|M1_I66A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSAGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASITAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDNLLENLQAYQKRMGVQMQRFK - ->sp|Q67147.1|M1_I72A5 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKDVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMMTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQIQRFK - ->sp|P03488.1|M1_I34A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEVLMEWLKTRPILSPLTKGVLGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKLKREITFYGAKEVALSYSTGALASCMGLIYDRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVATTNPLIRHENRMVMASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|P0DOF7.1|M1_I72A8 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVATTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRAIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|A3DRP2.1|M1_I96A2 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -ESAFGLICATCEQIADSQHKSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRAIGTHPSSSTGLKNDLLENLQAYQKRMGVQMQRFK - ->sp|A4K145.1|M1_I54A2 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQTR -QMVQAMRAIGTHPSSSAGLKNDLLENLQAYQKRMGVQMQRFK - ->sp|A4GCM1.1|M1_I35A3 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKIKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSERAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|P36347.2|M1_I02A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVALGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLTSTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q2LG20.1|M1_I05A1 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQKLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMATITNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVANQAR -QMVQAMRTIGTHPNSSAGLRDNLLENLQAYQKRMGVQMQRFK - ->sp|Q6DPU0.1|M1_I02A2 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMATITNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPNSSTGLRDNLLENLQAYQNRMGVQMQRFK - ->sp|Q6XTU9.1|M1_I67A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRAIGTHPSSSTGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q89862.1|M1_I77AC RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKLKREITFHGAKEVALSYSAGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFR - ->sp|Q6J8C3.1|M1_I02A5 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EAAFGLVCATCEQIADSQHRSHRQMTTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVANQAR -QMVQAMRTIGTHPNSSAGLRDNLLENLQAYQKRMGVQMQRFK - ->sp|Q67202.1|M1_I85A4 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVHAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQIQRFK - ->sp|Q67200.1|M1_I54A1 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTCPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKLKREITFHGAKEVALSYSAGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHQSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q67181.1|M1_I88A3 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVATTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEIASQAR -QMVQAMRAIGTHPSSSAGLKDDLLENLQTYQKRMGVQVQRFK - ->sp|Q67171.1|M1_I56A3 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLENVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAIKLYKKLKRDVTFHGAKEVALGYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSYRQMATTTNPLIRHENRMVLACTTAKAMEQMAGSNEQAAEAMEIANQAR -QMVQAMRTVGTHPNSSTGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q67169.1|M1_I86A2 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALSGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKTMEQVAGSSEQAAEAMEVASKAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q67167.1|M1_I56A1 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVTS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQIVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAERMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQIQRFK - ->sp|Q67161.1|M1_I77AG RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASHTAKAMEQMAGSSEQAAERMEVAGQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q67157.1|M1_I68A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTCPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRAIGTHPRSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|Q04260.1|M1_I89A7 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHTSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAANAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMRRFK - ->sp|Q80A06.1|M1_I01A1 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLLCATCEQIADSQHRSHRQMATTTNPLIRHENRMVLASTTAKAMEQVAGSSEQAAEAMEVANQAR -QMVQAMRTIGTHPNSSAGLRDNLLENLQAYQKRMGVQMQRFK - ->sp|Q80A02.1|M1_I01A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYKKLKREITFHGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVALGLVCATCEQIADSQHRSHRQMATATNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQTR -QMVQAMRTIGTHPSSSAGLKDNLLENLQAYQKRMGVQMQRFK - ->sp|P35937.1|M1_I77AB RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EAAFGLICATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRAIGTHPSSSAGLKNDLLENLQAYQKRMGVQMQRFK - ->sp|P05776.1|M1_I30A0 RecName: Full=Matrix protein 1; Short=M1 -MSLPTEVETYVLSIVPSGPLKDEVAQGLEGVFAGKNTDLEVLLEWLKTRPILSPLTKGILGFVFTLTVPG -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYGKLKREITFHGAKEVALSYSAGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLVSTTAKAMEQMAGSSEQAAEAMEVASQAR -QMIQAMRTIGIHPSCGAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|P08381.1|M1_I78A3 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIVPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDSNNMDRAVKLYRKLKREITFHGAKEVALSYSTGALATCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVITTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTIGTHPSSSAGLKDDLLENLQAYQKRMGVQMQRFK - ->sp|P26127.1|M1_I57A1 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVAFGLVCATCEQIADSQHRSHRQMVITTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRAIGTHPSSSAGLKSDLLENLQAYQKRMGVQMQRFK - ->sp|P05775.1|M1_I000F RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEVLMEWLKTRPILSPLTKGVLGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDKAVKLYRKLKREITFYGAKEVALSYSTGALASCMGLIYNRMGTVTT -EVAFGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRTVGTHPSSSAGLKDDLLENLQAYQKRMGVQLQRFK - ->sp|P21429.1|M1_I60A0 RecName: Full=Matrix protein 1; Short=M1 -MSLLTEVETYVLSIIPSGPLKAEIAQRLEDVFAGKNTDLEALMEWLKTRPILSPLTKGILGFVFTLTVPS -ERGLQRRRFVQNALNGNGDPNNMDRAVKLYRKLKREITFHGAKEIALSYSAGALASCMGLIYNRMGAVTT -EVVLGLVCATCEQIADSQHRSHRQMVTTTNPLIRHENRMVLASTTAKAMEQMAGSSEQAAEAMEVASQAR -QMVQAMRVIGTHPSSSAGLKNDLLENLQAYQKRMGVQMQRFK - diff --git a/seq/clusters_seq/cluster_940 b/seq/clusters_seq/cluster_940 deleted file mode 100644 index 5000504..0000000 --- a/seq/clusters_seq/cluster_940 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009551619.1 glycoprotein [Guangxi orbivirus] -MHAAISSAKRENDPTSLVVVPATAPRLEEVGEVPLLDKRVRFALPDVNVSTAKSVALDVLANALGGGTGT -DEITRQERSAYGAAAQALNEDANTKVLRVYMNAQIMPRLKGKLRTANVKYRFWLALEITLALISTAIFSI -MAVTEAEKTVNSTIERVTGLKVGLSAFSLICTGALLFASRHAGSLNEFRRRLRREVVKRETYNFVANNHE -IGRLQIGTETRGDAGQSRMNVVGNPAWISAPGNAAHAEGWQLSFV - ->YP_008719911.1 Nsp3 [Mobuck virus] -MHAAIQPVKRENPIPLLTVVPASAPAYEKVFHEETKQKETLLNLTVPDALTARTTALDVLSNALGAGSGT -DEITRRERSAYGAAAQALNEDESTRRLKVYMNRQIIPRLEQKYRTSTIKYRLWVCVEIFFAFLSIMIFGV -MGVTEWHESIDDWMKKQSGIKVGVSTVSMICTMILLYASRSAGGLKELRKRLKRELIKRQTYNDISGTMG -GTETHGGESDSRLSNRENSAWINAPGVSSPASGWQLMRVDG - ->YP_460047.1 NS3 [Peruvian horse sickness virus] -MMHAALSMTKHENPISLLTVVPATAPRFDEITDLISSKGEKEEVKITMSETMNAKTTALEVLSSALGNGS -GTDEITRRERSAFGAATQALNEEENTRRLKVYMNEQILPKLKNKLMTVTYKYRIWLAVEIILAIFSMVVF -GVMAVNEAESEINSWLQNKLGVKVGLSAVSLGCTFALLFASRSAGALKETVKRMKREITKRETYNHIAGT -MAAGTERRVENSESRVSNHLNAAWINAPGTTNDVPGWQLVRLKEG - ->YP_443934.1 NS3 [Yunnan orbivirus] -MHAAITSMKREHPSHLLAVVPASAPRYEEGYNTENEKTHQKENLLDLSLPGKMATKAMALDVLTTTFGGP -HGSDEIQKREKGAYVAATQALNEDKGTRKLRVYMNHQILPRLQGKLRTASIKYRLWLTIEIIAALLSITV -FGIMATTEMEQTVDTWLKEKFGIRMGMSIVSMLATVLLLISSRMAGGLRESMKRLKREVEKRKTYNYVSE -EMALETETHGKEMETRLSSSKYPVWFDATGKAATAGGWQLMQV - diff --git a/seq/clusters_seq/cluster_941 b/seq/clusters_seq/cluster_941 deleted file mode 100644 index 46e4f6b..0000000 --- a/seq/clusters_seq/cluster_941 +++ /dev/null @@ -1,36 +0,0 @@ ->YP_009551618.1 single-stranded RNA-binding protein [Guangxi orbivirus] -MMQTENKKDGLPEKTSRLPIRTATFYCTTGNTFIGKVSKALGTTYVVVKVGMSTHIQGVNAPPPRSSVIT -ISTPCAIKLVDREATVYFMISDNGIEARIGRWPRYKFETVDTSARFCKIQIGSEVVEESLRVGKAVGMVP -PYTDEEVAEIGEDLDLPGVSFMNVESSNVGEYRNKLREQRDVRRDVIGAALKEMGAARSEGVLFGMRPEV -TAKLQPTKKIVSRVQGKNDDAKPKGQRTPKVLSPTGSQLSLNVNPFTFKEPESDDASEIARMLRGKLIIE -PKSQATVEDVSVSSPSLLAERMRSPSLSSVMSVQLEQKSEVGKPKIMEICSPVQKIITSPMSSGFKLSDE -YCNLSLAIHSDENLAPELAALELGQPMKLGAFERIVSTFSFQKVGVLPIFNINQSTCEYSFAGYENVNKA -VLVISDGMLIILPVF - ->YP_008719910.1 Nsp2 [Mobuck virus] -MESQRQPRAQSGDRVTRTPIRTFILYSTTEDNFVGRICKTLHTQYLAVKLGVSTHIEGVNTPVPRSTVVT -ITGPCAFKVVDRETTCHFMISATGIETRIGRWAQYKFETVETRSRFCKMEIGGQSVDEMLRLGRATGSVL -PYTEEEMMGGDEDVDLPGVKIVSVENEDVPNLREKMKMERQTKRDLIGMALKESGATRGEGRLYGARPET -MAKLQSVKKLTTRIQGKQEKLDRSLKSDEACLDLKPKMQRPSRISSFSPVTKDSSVIADEEAAEASPATI -AKLMRQHSITDQAPIAKMITDEVSIIETASLADKLRKSAQSTPLHFSPIMSGGFSDLDISTQKIKVQEIP -ASPQLAQAVFKLNEEYKTLSAIVHQDERLPPELSMLELGTPVKMGAFERVATTYNFSSGGMLPVFNVNTS -SNEYKFVGFERVSRAVVVISAGAILVLPVY - ->YP_460046.1 NS2 [Peruvian horse sickness virus] -MDQKRENFTGQNQMQMQNADRANRVLTRTFSLYSLNNNNFIGKVTKCMNAQYLAVKVGITTHFEAVNVPP -PRSTVITVSNPCAFKVQDRETTTHFMISESGLEACLGRWSKYKFESVDTTSRFCKMSIGGQTVEETIRVG -KCLGIVQPYTEEEMMDIGEGIELPGISFVNLQSEEVAEFRSKLRVERDMKRDLIGVALKESGAARSEGRL -FGLKLEATAKLQPMKKLTARIQSKTEEKPKVQRTVKSPFSPISTKNEMEFVENTSLKMMRSKTNSEVSLE -KIDDHSTNEAVLQAEKMKLAMGESRMVSSPVTGIAGLEATMTLMNIHKPNDVPIATSPLMVVPSFKITEE -YRELTATIQMDPSLPAELSVLEIAAPGKMGSVEKIATSFSFHANTMLPVFNVNSSTCEYKFAGYDKASRA -ILAVSAGCLVILPVL - ->YP_443931.1 NS2 [Yunnan orbivirus] -MNVHKADLKGEIMEAKKDSFQLQNQGAGNFERGPRIATRTFVFYCTTSTTSVGKIAKAFGTQYLAVKVGT -TTHFEGVNFPIPRCTLITVLGPCAIKVIDRDVTCSFMITETGLEASMNRWAKYKFETVETSSRFCKINIG -GKMIEESIRVGKATGLVMPYTEEDMMQQEEMDDLPGVEFINLDSDQVQEQREKLKIGREITRDMIGSALR -ECGASRSEGRLFGLRSDVTAKLQPVKRVTNRVQGRLEEKPKAPRTPKGPFQLSRQSSEPEAMDASQMARL -MREKLTIDTTSGFEDRTESTFLAEKIRERQLLSPVASPSITAEVELSRKKIDSVGSNSPMSAPPFKLNDT -YFELANAIASDSSLPTELLPLDRADPVKLGAFEKLATTFSFSKVGKLPIFNISTHGCEYSYAGYEEVTKA -ALVISGGNLVVLPVY - diff --git a/seq/clusters_seq/cluster_942 b/seq/clusters_seq/cluster_942 deleted file mode 100644 index 7abb520..0000000 --- a/seq/clusters_seq/cluster_942 +++ /dev/null @@ -1,75 +0,0 @@ ->YP_009551579.1 hypothetical protein [Daphnis nerii cypovirus] -MFIEIQSNDNVLVVLQIRVEHRLTSGGDIANSSFTSIPTLYANENGAVFTIEQSFLRRIQINRGTSVNGV -WRGIGLNRAVSVLDMTILNLFILSKDSIGPVIDVTGSFNIKVHYGENVTTITSSDFDTGELVFGGVRYYG -QVCAINRYIECVTISERLRNVAVGVETISGGVMAEESFPAMVTETNKTYLDTQVLQASGIRNEFNMRLVW -SSGNNTASVTATSTVPYSSFQQSPYVNADVTLAVVGDTGKRWHELSNQPNMTDQQETEEFLRAILIECKF -IRYDMRILQAPGDIGIRRVIDEQQSIQNVINESLFREIERLEERIETIEDQVEYLQKQFEMYLASQEGAW -WETLLDVFIDLALGIALPGIGSVIGEMYSSLRKASGLSRRLTNAKILGITNKEVQKKVINGARISPGDLT -VSDGWVNGVLGTLQKKRSDFTPPSRPAYRLGNTKFQHLDDSEKIDVWSNFRFGKRHEHLENKDLARSTSR -LREFDPDLFPNFTVHARPLNIGPGKVSKKIFEMTDSANKARSAYYSDLHKVNTRRPMHSFVVYETLDYQD -SGRYLTTKRYIGVNEMSRNVVKEGDFGSSIGGLRVKYETWKIRGSDGKLLSSPLSHTESGYSASDVDRLF -ASAFGKEVNGFELGTAAKWEMLVSKVDNQILKSKEIYSTLVTNSSMGAALDVLLNRPPTWKYNLLFNNCQ -DFARDITRIVKGENFKTSRWDSNLISEISRIRTINLTDILTSSTSVRAMTISPLYGVITDNDGLYRRTQS -RRRVYALMHDNRGWMV - ->YP_009330259.1 Cypovirus VP2 [Hubei lepidoptera virus 3] -MDLQARNRKETLELIYALKNTNAQTNGYNKKIEKLIRQYELERKLDELIGRRRELQLLYERLAYEAEKLR -EKESRLQNVTRCNWNELQEIVNSTEDDMDVDMISIRMKFNYNDSFKDEREIIHLHYSGYPGVLSLLKVEV -RVEERKGNVINYQIRFDLWIYGSLFVGTRNVKVLDTTNVHIDFLILSEDRTWYTSGPNSVQGELDVAHTM -IRRKVRGFSCMLNYDANIKEGDDIKFDDDIETINIEVFSESVEPVAWIINLKDLIQRVESRSISVNFEIS -VGEHLWDDGPSTTDEQSRVRGRAIVDMWRNKVKYEHAVESKMIMKNRIGSEVEELTERVDAELVAQEQFI -LSTMVQTMAQLENVIGDTPTYVRISGERGGDRFTAYSFNRFIVRTNYSIVRETTSNMIDGTSLKSLRLLE -TGVSNRNVKETTTVTGNQLMDEIAFIQIYADSEIRIIVRARMITTANSGASGWIGHTSVYRTPVYTANPL -ISVEGGMVHRLRMIRQSRGDDGKPTVIRMPVAPTRFIMNITGVYLMSNRSISYVIEASADVDFKVHVADK -TLRIRETLIESTELTVNGMRLFGVGADVDYSLVIDTGGSTENLIYLSAEIGLSTLNGEVSVWINANATNS -FSDSAITNATGITVNGSAPTTGGATFLPGEVQINVTMTADVLYRLGLIETGVRGILNVGDTGKAWYQIDS -SISIDDSNTEHMRRALFNEITAKYEMRIQVPPTELFVRRAVDEHSTIQNLINSSLEAAINDINNEVANIL -DYVDYLSTQMDNFIRAQEEPWWMIFVNATLEIGLGILIPGAGTIMAKGVSSMLKSVGTVRRIYNATLSSM -RGAFAKVRYTGSKSIGGMSIASNELWIDGVMRATRRTKKEFMTASRPMFQNGNMMGQKFDAAERIDVQSA -YKFGLSSLRDENQQIKTRDRISINNENMLPTLEVYARPLGERGGLGNVIYDIANAQEKMTRQYKGNITKI -KGRQPAHSFMVYTDVEAKMGGGFIVTKRYLGVGELTATNIESRINTGIGGVRVKYEVMRMRTSDGKNIIS -PLGYSESGYSADQVDSLFSSLFQGEVNGIDLPPDMKWEMITRRVDQKILNSDRVANEILTTGHGGSMMDE -LLNNPPVRPYNLLTKNCQHFVRDVSTVLKGLPPASTFDTEIYGAMSRARTVTIMNDLGISEVFSGMIKSA -LSRGDCNDVRVARKLISNVR - ->NP_149148.1 hypothetical protein LdCPV1s3gp1 [Cypovirus 1] -MEINRAEIRREITRYAGLIEQQTQINITDNDQDILKTLIADYNLRMRRDALLGELARLDELRDISQIKGD -EYKLTIPLLPIISTLNQHEFEIIQANIETDFIADNVTFITSFIPADLDLEQTIQHVFFRTTATTPYFRSF -NLVIAILDYDEDKGDVKLDVKITITRSNNGVFNYNYTWAGKDYERVSICYNLISYLQQINGPRGRDDEAE -MPIYEIVRQNNGSQPSYASGEHLYIVSSHLHVDEIVRDREHRDISVDVTELNLMFPIVRMFDPVDLRDIR -IEDVTPGIEFTINMEVSTYLTELSGSHVDTQRTIMNHAEKIVGNYTGQQWNVQSNMLSEVRTQKLEEEDE -EARQRGDYTTSTLVQTMAQVSDLFSSTILYRYAEAELDNTVGAFELLRPVMSIPTEYIHDGRIGPITNIS -ASASIVTSSNNGVGEVRNIFKPIGDQTINEAHFANVYSNDEYAIYLRFSYRQAPVQSETVYLQQALPSMR -IVSPSSVSTTVSTALIGGNTIRINCPIRPHREDNFVAGGVQIPRQSTAVEIHVQEILIGYRQATTFPIDT -EGRLSLELMYGLESRSAVGNTMSPVRFVTVNDGEFFGLTCPIDLTLSTIVDPASYLSDGVILVTTAFEDL -RGYAWLATLGGDWPRTYNSSMGAFNIFTGGDINLSTEYGSEMTYTFKVELPINYMFNNMTISSHNVPRVP -VLGVTYASIYQDSRTDLEARRFLQTLVFRIHGSWSARVPYPPGNLPTRNTANQHQDIQQVINDSIFQELD -RLSDELLDLENRLDHLERQFEMFIQSQESEWWEILLNVVIDISIGYFSTFAGDALKNAQRAITKAVGYTR -RVLMTVTKTMRNGTIFTRLLGAKNLSGQALASLETLVESALRSINMKKSRFMRGAEPLYKTNKVAQHIDN -TEKMNMMMDFSFANRNNRQNITADTLSKMHTQNAHGTSDTILPAMRVYYRPLGFLDKRVGDALHTGITRP -EALKKQLRSDVANVGTRAPSHAFMTYTDVLYEDAGSYIVSKRYLGIGELNKFGRTTSDKNAGIGGVNIKY -RVNKITADGKYIIDRLDYTESGYTALDVDRLYSSLFGKQGDGLSTEQKWMDISKGVDAKIISADMVSEEF -LSSKYTGQMIDELINSPPQFNYSLVYRNCQDFALDVLRVAQGFSPSNKWDVSTAARMQQRRVISLMDDLM -GESETFARSGRASQLLLRQVRESYVKARKRGDLQAVKALQLRFKGFF - ->NP_149137.1 hypothetical protein LdcV14s3gp1 [Cypovirus 14] -MNNRMNDRLTITNYARTQTLQRDMEHVINLLAGMDLDEGTSAYFRLLMNDYRERMEANKLMGLSFENNAR -ANRSLIEYDKFNKEKFMILPIMMTTTPTDFDILTTELRALSADNNVDYTAMHDRIALIFSSTLLELFSSV -EEDYVVGQRVFFEVPYEIDALTSGVQQVNVSIMATVVAIRNDEPVFQLILTIMATINGTVHMNTHSRTDL -SEVDTFQIIHTYERVPSLDNQVGSSNADYNEGNVAGGITYNAIYEQSCIYSLIGSANVVHFNDRTDYILP -SITEEVTDVIPARNLAGGNFLHFRSVGPDQIRIAEKDIPTEINFVIRVSFMDAPFTYNDQNGNFFRPSRD -SILASQLLLKQHRHVMMNYLLRTQYSLYTEMGQQILEIGIEENRSLQQNMNAAVFSNTARIDDIIGTVVR -YEAHELLVEKVRHAVFFNDLPFTTFEINTTVRSPIQMGGCFESRGSREFEGTIAGDTMAPITLRHTANST -NQKVRDADFIMNETDDVILLVRVRATVIDNNFLSAENVVNRVTSLNTNVQMYFRSSTNSGYTLSNSPNTE -LRGGYIPMAVQQCTINFEIRELLVLDKKGVLPTAIEDAYLSGVVAGLENQTGINFTVTSATSGEIILDGQ -RYPCAIFGINRSVNTELRYEFGDDSFGVEVPEDGAFNGGHFSEAGQDPIIVSNGTAFQRTLPSSSFRYMR -EIDRWIGSGWPANRITIDFAISANDLSANLICYNRPRNSSWNDVLDTTWSEIFGSSNMARLYGPGVANYP -RYRLDTLTLKWQVAVPPVNIGIRRVIDTSQEEIGLIVDAMLHDIEFMQHTISGLLDRLDYLESQVEQLLN -PPGSSFLSMLLNALIDVAMGVALPGAGYILTKVSKSIVQSMAGPTKRVATTIFSNTTDRTRNSVAKHLTN -SSKAPPVLSAARHSVSSVTTGNSKGLSITDFLIDGVEPIVQARNQPLLARLMPEVQMDLKTDLTRSRGNN -RSYLYNYNANDEGPHGTFRTYYRPLETLGGPGRTIFKAINTENLRKKYARDKIVAGNKLPAHALASHHYT -RINPNTRRIEEVNTIFGVGELSPNPVRSELNANIQGLTFIYEYDRTMNQKAFPRLLDHRQSGYSDEQLRI -MYNTTHGNPIDTPIPVDNSEAWASIVGKTNKRVDKSTLVDDVHHTEPAMGDVLRDLLENPPNVNYHLLNR -NCQNVVNDFGNFLRGQPNDDVWTMNLRERLERSRNTIYLNDWDRLSSSETRIARNSMMSHRKRIVASPRN -TSFKVSI - diff --git a/seq/clusters_seq/cluster_943 b/seq/clusters_seq/cluster_943 deleted file mode 100644 index 5051f8c..0000000 --- a/seq/clusters_seq/cluster_943 +++ /dev/null @@ -1,84 +0,0 @@ ->YP_009551575.1 hypothetical protein [Daphnis nerii cypovirus] -MSSTRTPEELEAEINKLIQEHKRLLELQNGGKNAQSTATVANVDATNEGGSSAQATTGTFSATSKDDKTK -NEKIHGRSEADQATTNSTSHTLIAQVSDGIERVPVAQKGTILLKQLKTHSASIFNIQKLPPLTLQSLGEA -FPENDSFKLSLKSVTLSNSLLKYVKYEFVSATDVRGERYTTRSAIDVNLTTKTAAMYKLGTLHVTQELHE -DILNRANVERYKNIPMAQGADVFGYRGMQDSNAVTPKNLRNYALASLRLCYAIMTDRRLVYGQQQTKDDR -KTIDSDSPLSLLTASQYPAEEADPNPGLVPLIQTDAEWFERAITEEVMARGEEKVYWYGLLDKIEGRLLQ -GRKSDVLAGNIDMYTAANSLISMTHLLELQEREFNLKNQLEAFAYARTQFQVPPANEYCVFDCENRQHLE -RVLMHALILWRSLPNAICEMNQRFLEDSGMIRLSDQFRVIPSAMQTDATTAALVAYITSQMYQGDLNQIL -RMYALEMGVHNTIYYISRPTLADWSKPESIIVLIEMILYAVFFPSNAARIKGEIAENILNFFKHHINEEY -NVFTANYGVHFRSEAGVPIRVNLQRRWRQNQDQLSDLHHSFYWPGVLQGCPLLNRVRNLMQPRGVLRPDE -AAMNADFPRVSRNPSHYIPYQQGNGDVHDDIYEFSLTLQTNIGEILNYMRNMQRFTRNTNYDTMLRYVTY -ITQCVGELGAILCEPAHHVLASMGNHAYNFIQNFDGDFNLWKEQQYGLTNNEGTPVKLIQTEVHRDEQRN -LLQTGIIWTMLFNTDGPAKRNKGTGMTLMSTNYSVGARTIPILSAVQFQNYLESKPEHYLVVDENVDDIM -INETHENGIRLFVIEDTATDDAKDAIIDLDGERFMTSSLPVANIREHFSGDVNILEDYAGINILSITQVL -NIIEDNHYGEELAMFRARLPNLKNETLNKLLDDLVQLVGNVSSTPFGKYLLMYTDGIRIGLTLRNPLIRR -LSLNNEVIAFDPQIDNESFEHNNSFITEYDRQRLRVALNLLNNPRFKPLQGIRIVKTNVRNMYPEQTYDE -IDGIEVIHYNQNEELFGSINDAGREPQLAYPEEATGQLSPLVTSWPRRRVVFSKYEYPKRFEIAGLLNAV -ENAGWILDFPDLHYVYSVEYNSVKSEGTALEDILVSSHERIHEFTFVDSTSRISGTIISVPRSYNTFKYI -YPLQDPTWLMTTREITNFNNNMPERAILPSREEYSTGRLSADGLLIYNNGIEKLRSNVISMTNCYYKLSE -LVKTELNVVINQENPYTLDALIVEH - ->YP_009330264.1 Cypovirus VP1 [Hubei lepidoptera virus 3] -MMKANNDRQTEDPKLLKEKTETKENRNNEQIKGNKGVDTSENGAGKERQGDEGHDDKGKNARSRAIIDGD -EEGKVKILEAPKNSENTRIVDELPDVTDMQEVSLYTKKNKMLVSEILDYQLSEQATVMMPEPNYIVRHMD -EFPASSTMRIEMLDFSVEEEIWNYVGYESDQVALDGHVRVKAQVEEMIVSETWKVFQRGTRTVRHVLTTE -LRKRADVNYYKGIPIVEENEDVFERNEFVNPDVNSANDKVISKGQYIIGAIKVLQRIMMDDRVAKNGITM -VTTRDERLGNQYSSMILDEYTIEQSNANIALANLIDMRMKWLTRAIRDGVFGEDRNESKYVYGKSDEQVA -AEINGKLYYYYAVNYDMYIARILNFNFHELRETSKNSNKLQAQLLASALKLTKYTMPSFSSFIAIPQMDE -QHLSRILMANLIIDRSLPSLFNELNVRYLMESGALMMSQEFNLRPKELMSDLNASSLNQAINHMIKRYEL -GSIYEVFAREMGVTNSIYYLFNDMNKASYSSNESILILMQLILFSQFFPRLTAEVKGEIATMILHFLFAQ -FPEEYNRFVTRYGIKYRIVDGQSEFLVGNEREWLQAEDQLSVWHPSVFAPRIAGNFPILTEIRELMIPRG -TLTRDERAISAGYPRESREPYHYIPFQSGADKTINSLEKFASLFETKVKRIITSMKETKQTLRNATSTVL -KRYVEHVSSELKRVSPLYVSHVGVILEALSNQAYNFMPNYNGNIGSWKQKQYCITDEDQSPMLLYSTGQA -EEKQYNIIRTDIVWSLIFGVDGPVLRNAGVGTDGIVQSLKLSAPDGEAAAVRSRELLRSLNSQLRSMGLI -LELQGESLVRTNRHKIEGGESEVSLIEYGEFQIMLEEYTDSYLRVDIETTVQEIENALDNGILLFVIEID -TTPQIRNMLLNDPTVYLTTSTQTNAMAEELQTNDTRISGMRRTEMKPLGDILMEMEVNDYSRELAKFRSK -MPNLKGKTMKKILGVLLKQNKNAHSDRELAKYYLNYTRGISTKLNFKNPQIRRATMDGMPIMFDQNTDNP -HYESSGNFLNKTDRERLLVGMKMMNNKRFEPLKGLRIVRANMRHMTPDQTYDVPEGLRVVEYNDEEELFG -KQEVSGLKPKIAFADEEGNLSSDPEKWQRIRLVIRHRTLIADIDIEGILSGIEYAGWIVDMPDREYVFNI -NYADDEYKGENVRKYFEYDNDRILDVTFLDTTTYRSGTTLDTPTMGIGRRYVFPMEDPTWVFIAMNLMEY -GGNMPVNARLPAAEHYSTGKMDYDGTLRYDDGTEKLEKEEISMTNKIYKLSSNVITKLDAKIKVKNPTSL -EAISY - ->YP_009158918.1 VP1 [Lutzomyia reovirus 1] -MQPKNAKTSEQTKSNSTDMSKALHYDDQVNNNNEPSTTSKTSLKTKEKTVPTTIDSQISPTTATLETSPQ -SNKQTQYVKPVSISDMQINTLKTIAVITDPANPENIKTQVPEYEDMNIASRQAIYTAQINELIQQQVDFM -LEPKITLTFPKQLFRYQPTPMLQPLMVYTSQLNTSLETNIQHLDNGPLDSFTRSSEEIFKYGTDGVYIVP -NREFLATLKIENQFSYFRAQRELTMIGADSSSLTGNVLENITAELALLAYFVSFNSVYQLNQNKQFATNL -AQQYGFINNVPHQQPVLPSPVIARFKLNVPWFQQAIDAALNDGQANYKYGRVDVSHDPYFDFKIQEAHAV -NIDKYAAALYNINARNVHTNRTGLNRIRDTLLAFSWPNTRVWIANEMTLIPTVLPRVDLMTNCFVMVGSR -DNTLHQIVDALYYNYYEQSNIGTPTLEVSAISKEISLGSAQTLQNEITNLMPRAEVDQILLYIAREIPLR -LRIPTFRPLQYLDSSSISIIGILGYALLFCFLPHQSHIYHRIIQSQIINFIRQWFTDEYKRFMTIYGLRY -KYEQGRQIFSQSTQEFTDDDLFSEEHPSLFAGIEFDGCPHITRIMSIFKPIGYIYTPTRAINAEFPYLSN -DRNFYIGYPAVINSHDSSMGDHIRTIGMTIMAIFDKVMNQSKARNAIRSQIASQIQHILDRALLISDAFA -DMIRPIQETQANFNLSLTANFKGAQASHINTNYAVFTDSSMLTNNSLRKLDTSGLRFDASMIFPLLFNMD -YTVLKQRGQGREGVAIDYKYAMPSPQEYSDRAHMIYQDMLKLCMAEGILQEIFSANHKDSALSELEQHFN -LRFSSTNYNDMLKIISSRLGVNITTYLKGWSTITSTLTTDGRLRDAIIRRITDTRMMIPYDRSIDPPFFE -SPMSLLDEAGLNRLILGAKYLNQTRHIFKGLRLYKQSIRLQNAQDMHVIVPDDYVEREFSDDLFTIRKIN -GINRWCVLVGEDYIDDPERWPKYHILITLTSTAISTQFVDRLCAGIEHAGWIVDVDTRYAAALTNRISEV -TMQKAIVASILQSSIDQIHELLFLYHPLRIDDSDYTFPHRGDTFQYIFPMSDIVKTFSCGGLAVSTSKAH -TTFGSVPVPLEYDTGTITIDGKNAFTNGTHKLDVPVQCQTNIVYGFTKAYKIENDIEVEITAPAYLYE - ->YP_001883323.1 p1 [Cypovirus 5] -MDVRNKRRDEDIDTFEKRDNEKQIEKNEPKPRESTSERVERRETTRDGSRATNEDETSRTVTGKNERDKA -IVDRNEEGKTVIIEGSKDNPDVKIVEKLPDIRDMQEVSLFERKNRLLIKDIQDFNIGENTTVTMPGPNYL -MAHMDKFPANSTIKIELIDFEVSEDIWNYVGYESIQVQLAGGVLVRAQIEERIIKKTWETFQRGTRTVRY -KLSDDLMKRSNAMYHKGIPIVEESEDIFERNYFESVEAHNLTGKLIFKGQYIIGAIKTLQSIMRDNRVIK -NGEVMVITRDDERVRTPYNLMIGDTYTVEDANANLALVNLVDMRMKWLTRVMREQVLGPRREESLYFYGR -EDEMMAAEVNGKLYEDYALNNDMYVTEVLNKNFNELRENSRVQSKLKEQLLASSLKNTRYELPQLSSFIS -MPQMDMQHMTRVMMANLILDRSLPALLNEMXVRFLMESGALMLSQEFELRPRALLTDMNASSLNQAINSI -VRRYELTSIYEMFAKEMGPSNSIYYLFNEHTRASYTGNDSILILIEVILFSQFFPRLTAEVKGEISMVVL -NFLFAQFPDEYNRFIANYGIRYRMVNGVAEFNLDDVRDWEQSEDQLSEWHPSVFYPNRLRGAYPVLNAIR -DVMIPRGTLIRDVRAEAAEYPREARAPHHYIPFQVGPRRMVNSLEASATLFDATMKKIVEKMKKGMQTLR -TATAVSLNTYITHVTTKLSQVSPLYVQHMAVVLEALANQAYNFMPNYDGNLGTWKQTQYCITDDGSTPML -LYSTGQAEENQYNILRTDIVWSLIYGVDGPVLRNAGLGLDGIKQNIRLSAPDGESAMIRSRELMNTMDGQ -LRSLGLVLELQGEDKLIRVTEYELVDLDAVVRVISRGDYRNMLSDYEESIFIVNIETTVQEIEQALEDGI -VLYAFDVDATPQIRDLLLTDPTIYITTVYQTNSIRDDVGTEDTVIGNYVRLTMVPLSDILLEMDENDYTR -ELARFRAKMPDMRGPTMKKILSVLLKENKKTSEQRALAKYFLNYTRGMNTKLNFKNPQIRRAGMDGMPIE -FDINLDNPYFERSENFLSTKDRERLLVGMKMINNRYFEPLRGIRIVKAEMETITPDQTYEVPEDVRVVEY -SDEQELFNRLPRPGMTTAXAFPVAEGNPTPIASRWEKIRLIIPFRTPIPEHDMEGILNGIEHSGWIIDMP -DREYVFGVSYADDEYVGENLKRYLEYDDGRILDITLLDTTTYRSGTTLDLPIVANRRRYVFPMEDPTWVF -VAMNLMESGEAMPPNARIPNPEHFSTGRMDYDGTLRYNDGVQKLSRDEISMTNRMYKLSPNVVTKLDAKI -AVRNPASLDDIIF - diff --git a/seq/clusters_seq/cluster_944 b/seq/clusters_seq/cluster_944 deleted file mode 100644 index e9ef488..0000000 --- a/seq/clusters_seq/cluster_944 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009553668.1 p26 [Blackcurrant leafroll-associated virus 1] -MAYFTTAVIGRITNEKDYLEECTKPDKVNDRNGLIEAITSFNMLLMITTSLSARLNAFYVTSLTNTNKLC -VLNGELKTVIDRAKAIIRTQLCARYALASEREFFSFIERGYKSLNLKNTPPFEHTVFNKAVNKVLDRESE -YWGFRITPTLLQHQTLSQNKNLSGVVRLQLFGERLGQPDEQHMLGGVNNPRAVLPRATHSNGERLTSNLE -DEIIEACDSEGDVGLVNDN - ->YP_009553649.1 26 kDa protein [Blackcurrant-associated closterovirus 1] -MAYFTTAVLGRITNEKDYLAECTQPEKINTRNGLIEAITSFNMLLMITTSLSTRLNTFYVTSLTNTNKLC -VLNGELKEAVDKAKAIIRAQLCARYALVSEKEFFSFIERCYKSLNLKNIPPFEHTVFNKAVNKVLDRESE -YWGFRITPTLLQHQTLSQNKNLAGVVRLQLFGEQLGQPDVRHMLGGLSVQPTLPRRGGRGRGGLVTGDLE -NEIIEACDSDGDVGLMNNE - ->YP_009553254.1 p26 [Blackcurrant leafroll-associated virus 1] -MAYFTTAVVARITNEKSHLAECITAERICTRDGLVEAITAYNMLVMVTTSLSTRLNSFYVTSLTNTSRLC -LLNNELKTCLEGAKAIIREQLCARYALVDEKEFFLFIERGFKALRIKGLAPFEITAFNKAVNKVLDRESE -YWGFRITPTLLRQQSLSQSKNYSGIVREELFGNRVAILTKSSELVLEGDELVVTPRRSKSKTVVCNTSLE -DDVKDACDSDGDVALRQD - ->YP_009552065.1 P26 [Blackcurrant leafroll-associated virus 1] -MAYFTTNVIARITNEQAHLEDCISPSKINTRDGLVEAITAFNMLIMVTTSLSTRLNSFYVTSLTNTNKLC -LLNGELKACLERAKAIIRAQLCARYALVDSKEYFLFIERGFKALKIKGLAPFDMTAFNKAVNKVLDRESE -YWGFRITPTLLQHQILSQSKNYSGVVREELFGQQKSALKLASDLILKGDELVVVPRRGVINTDEGNTSLE -SDIKDACDSEGDIAFSQE - diff --git a/seq/clusters_seq/cluster_945 b/seq/clusters_seq/cluster_945 deleted file mode 100644 index 488c3df..0000000 --- a/seq/clusters_seq/cluster_945 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009553667.1 p13 [Blackcurrant leafroll-associated virus 1] -MQPGESVEQYLVRKRGNLNDYIEGGRTHNNLCLHEIVNKYNELLLIVSSCVKVIEGRDQTNENRGVIESS -DITSLVATINDTIDSLAAANRVIESHPRVRDYERRENIHLRNRRS - ->YP_009553648.1 11 kDa protein [Blackcurrant-associated closterovirus 1] -MQSGESVEQYLKRKRDDLNEYVENSRVHNDLCLHKLVGIYNELLLIVSSCVKVIEGRDHIEINRRVIESS -DINSLVATLNETIESLAAAKRRD - ->YP_009553253.1 p13 [Blackcurrant leafroll-associated virus 1] -MAYISKEFEEYVIEKKEKLSSSFENMVLGSGTSLHETVAKYNELLLIINSCVKQRAEPNKWSELGGPSRD -VRLESFNKTLNESVELLIKAEDAISKHPRMCDYQRVSSRYFMLPEGQSPVSIEREVPISKGS - ->YP_009552064.1 P14 [Blackcurrant leafroll-associated virus 1] -MAYTSIEFEGYIKRKKDQLGCCLEHSNITTSLGLHEAVMKFNELLLITSNCAVQTSQLRKWSSTSDRLDD -IRIMSFNSTLKEVVDFLKHAERIIESHPRMCDYQRVSTRYFQVPEGEVSISDA - diff --git a/seq/clusters_seq/cluster_946 b/seq/clusters_seq/cluster_946 deleted file mode 100644 index 129dd0a..0000000 --- a/seq/clusters_seq/cluster_946 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009553666.1 p17 [Blackcurrant leafroll-associated virus 1] -MTSNCKSATIFIDRDASWLGYRACLLRDGLMCLVDDTHSWDGVDLSTTGSGEYNLSEFFDSCMEEPGVQV -LNVGKNDSISNNVLLEFSSTFTIYHGSLRRTVITFDSDPVVNRSILCDDRTPVKAFVDLLGSLKSWRLKQ -ITMVNL - ->YP_009553647.1 17 kDa protein [Blackcurrant-associated closterovirus 1] -MDSYGGATNNKSATIFIDRECSWLGYRESLIDKGRMCLVDDAYSWDGVEISETGNGEYTLSEFFDSCLVE -PGVQVLNIGKNDSISTNVLHDFSSTFTIYHGCLRRTVVTFDSDPVVNRSILCNDCSPVKSLVDLLGSVKS -WRLKQITMVNL - ->YP_009553252.1 p17 [Blackcurrant leafroll-associated virus 1] -MSSGERKTPVIFIDYDNSWLGYRGCVLNCAGMVLSDCDYTWDGVGLEYEASPVDTVEEFFHSCDAEPGVQ -ALSVSRNDLISSKEVVEYTSTFTIYHGGHRRTVVTFDSSPIVNASIMYGQSKPVPSLLETLRKLKSWKLK -QVTAVNL - ->YP_009552063.1 P17 [Blackcurrant leafroll-associated virus 1] -MAAGVRKTPIIFIDISTSWIGYRDCLINCDHMIIADCEYEWNCIDGDCWESPTASVVEFFDSCIEDPGVQ -VVNVSRNDLISSKVIVEYTSTFTIYHGSDRRTVVTFDSTPVVNRSMIYTESSPVLSLVNILKTLDSWKLK -QVTVVNL - diff --git a/seq/clusters_seq/cluster_947 b/seq/clusters_seq/cluster_947 deleted file mode 100644 index 069fe3c..0000000 --- a/seq/clusters_seq/cluster_947 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009553665.1 CPm [Blackcurrant leafroll-associated virus 1] -MSTGAPKLTLRDVKDVSIKLDKELNDSELAIVSAGLVKILTEEYKIPLADTDVHLYMLMPLLNRVSTSEK -VVASQSGSGITYTVKDKEYTIKEDILGKISDLVPRSGKNNLRLWARSCEELYLDVAFALPELFNCERSLR -ANAPKQYAWAAADFLVGADKRLSDEMRAAIVSCRKTLLKRSKEVAEIGTQLITLEKLGVAS - ->YP_009553646.1 CP [Blackcurrant-associated closterovirus 1] -MSTGAPKLTLRDVKDVSIKLDKELNDSELAVVSKGLVKILTDEYKIPMDDTDVHLYMLMPLLNRVSTSEK -VVASQSGSGITYTVKDKEYTIKEDILSKISDLVPRSGKNNLRLWARSCEELYLDVAFAMPELFNCERSLR -ANAPKQYAWAAADFLVGADKRLSDEMRAAIVSCRKTLLKRSKEVTEIGNQLITLEKLGVAS - ->YP_009553251.1 CPm [Blackcurrant leafroll-associated virus 1] -MELSRQFVYIIRTADYDFIEITRRSYLWTLTFERSKMSTGKPSLTLSDIGNVSVKLDKELNEKELAIVSA -GLVKILTEEYKFKADDVLLHMYMIMPLLNRVSTSEKVVASQAGSGISYTLGGAEYALKEDILSKIADLVP -RTGKNNLRLWARSRESMYLDVAFAQPDLFKCERSLKANAPNGFAWASADFLPGVDHRLTDEMRAAIVSCR -KTLLKRSKEVNEIGHQLITLERLGVAS - ->YP_009552062.1 minor coat protein [Blackcurrant leafroll-associated virus 1] -MSTGKPPLTLRDISRVSIKLDKELNEVEKTVVLAGLVKIFAEEYKFPVEDINMHVYMIMPLLNRVSTSDK -VVASQAGSGITYTLGDKEYTIKEDILEKISQLVPRTGKNNLRLWARTCEKVYLDVAFAQPDLFKCERSLR -ANAPIGFAWAAADFLPGVDRRLTDEMRAAIVSCRKTLLRRSKEVSETGNQLITLEKLGVAS - diff --git a/seq/clusters_seq/cluster_948 b/seq/clusters_seq/cluster_948 deleted file mode 100644 index 3b2609b..0000000 --- a/seq/clusters_seq/cluster_948 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009553661.1 p6 [Blackcurrant leafroll-associated virus 1] -MDCGLKFLLLFFFGDVVFVVFFLFLFFAVRQRPRESRDLESSARATVR - ->YP_009553642.1 p6 [Blackcurrant-associated closterovirus 1] -MDCGLKFLLLFFFGDVVFVTFFLFLFFAVRRPARDNRDLESSARVTVR - ->YP_009553247.1 p6 [Blackcurrant leafroll-associated virus 1] -MDCGLKFLLLFFFGDVVFVTFFLFLFFAIRSRSVGNALPETSSYRTTVR - ->YP_009552058.1 P6 [Blackcurrant leafroll-associated virus 1] -MDCGFEFLLLFFFGDVVFVVFFLFLFFAVRSRNPGSASIEAGAYRSTVR - diff --git a/seq/clusters_seq/cluster_949 b/seq/clusters_seq/cluster_949 deleted file mode 100644 index dc5cdc9..0000000 --- a/seq/clusters_seq/cluster_949 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_009030003.1 ORF3 [Leishmania aethiopica RNA virus] -MPEKIGYGLAPRFRTFSSLWSTVESQSCHQKMTSTTPQMNTSVFNSTRGRRVVSGDRSERVYTAALNYRS -TIRQAYQATVNVHWRIKQRSKKAARITRTHAGLMASFYYADNFKQEILKYHSRHVSTEIVVGRDYRFDFR -SLLHHAGACMAHYCLTGELDINVLSSHKTNSTAVTVLSNADGLSILGADVLYLPNALKMMDINIFNCIYL -LAAACESNIIFDDVILTGGGSSYNVPAYNAAQWSRHLHNTIIYLLHLQSNGDGGCDGALALTAGLHSVMT -VVAHSDEGGFMRDVLRSLHYGPAKGIVVADPHRTVNHALPVLMYEPTWDAICGMWDYVTVATAGLVHLSD -PCELIAHDIYPTIITAPDDNLVRAMESRLEAALPAFASNYIHNLAMFMGVGGDQCGRAADTLIEAGVYVC -SLSGTDANRHVLKGTMAPWFWVESTGLFRDLSCFNVPGLTAGYGPQAIYGSVVTLPGMQACEYTGSRGSY -DYYNVGWTSLRKHPLLVLANNRVGDGIAHVQVGREANIPWLLPGQPQRRECTATGHGTTSASCQHKRPDR -GTLDEYIWGRFSTGIFHPAELTSFTNVEMRIRCWAEDSNGDVLETGAPVKDIVEGGVEISVNAILLTNST -DHIRTVPAVRRTYQAGAKYLEEARSRGTMSTLNRIIGGQLFRELQPISGRRVPPQPQPIRASIPVETTKE -VSLSRVGPIRINPSIFQPQQRTFPVELENEPTPVALEAEPPGENV - ->NP_619652.1 major capsid protein [Leishmania RNA virus 1 - 4] -MADIPNSDKIACGRKPMFCEIIKLANRKRLIFNTTDERVYDARLNYCSTADSTVQADCHIYWRLKLRRTD -AVFEEYTGQGYSLDTAAYPQQYTDIIRGYYSKHVSSSLAANTQHCVNVVAMLRHAGACIAHYCMTGKIDC -DIVSKKKHKNKEVVTLSNADSVSFVAHSALYLPSPLRASDPEIFNMLYLLGCACDASIAMDNISNTSGAA -KYSMPHYNPLQLSHALHVTIFYMLSLMDSCGYGDDAVLALTSGLHSVTTVIAHSDEGGITRDALRELSYT -QPYGTMPVPIAGYFQHINVLFTTQPAWDQFAGIWDYVILATAALVHLSDPGMTVNDVTYPTTLTTKVATV -DGRNSDLAAQMMHSATRFCDIFVENLSTFWGVVANPDGNASQALLHAFNIVACAVEPNRHLEMNVMAPWY -WVESSALFCDYAPFRSPISSAGYGPQCVYGARLVLAATNSLEFTGEPGDYSAYRFEWTTMRHNPLFNILN -KRVGDGLANVDFRLRPFNEWLLEGQPSRRSCNSAGHGTPTATCSHKTPNHDTLDEYIWGSTSCDLFHPAE -LTSYTTVCVRFRNYLSGADGDVRILNTPTREVIEGNVVTRCDGIRCLDSNKRIQHVPEVARRYCMMARYL -AQARTFGALTIGDDIIRGFDKVEKIVKMHKSNNRLDQMPLIDVTGLCQPMIETSTVRASTPTRIDPNKLA -AATARVELPLAPRCTSSLIPSSDTVPEPEPQVGETGDNGGCA - ->NP_043464.1 major capsid protein [Leishmania RNA virus 2 - 1] -MTSPIPQMNTSVFNLTRGRRVVSGDTSERVYTAVLNYCSTVRQSYQATTNVHWRLKQRGKKASHVSRAHA -GISASFDYADNLKQEILKYHSRHVCTEIVVGRDYRFDFRSLLHHAGACMAHYCLTGVLDLNVLSSGKSGG -TRVTVLSNADGLSILATDVLYLPNALKMMDINIFNCIYLLAAACECNIVFDDVILTGGTSAYNVPALNSV -TVEYAPTLHNYISVAFTSNGDGGCDGALALTAGLHTVVTVVAHSDEGGQMRDVLRALHYAPAKGIVVADP -NRTVNHSLPLLMYEPTWDSVCGMWDYVAVATAGLVHLCDPCELIAHDVYPTIITAPDENNVRAIEARVEA -ALPAFASIYIHNLAMFMGVGGDDCGRAVDTLVEAGVYIYSLTGNDASRHMLKGTMAPWFWVESTGLFRDL -TCFNIPGLSAGYGPQAIYGTAITQPAMQACEYTGTRGSYDYYNVGWTSLRKHPLLVLTNNRAGDGIAHME -VGRDAAIPWVLLGQPQRRECTAQGHGTQTATCGHTKHNRGTLDEYIWGRFSTGLFHPAELTTFTNVEFRV -KCWTEDNDGNVLETGAPVKDIVEGGVTVSVNAILLTNSTNHIRTVPAVRRSYQAGAKYLEEARSRGTMST -LNRIIGGQLFRDLQPISKRGVPPQPEPVCASMPVETTKEVSLSRIGPIRINQNVFRPRPQPTEEEMHEEP -TPVALEAEPPGENV - ->NP_041190.1 putative coat protein [Leishmania RNA virus 1 - 1] -MSDTPNSDKVACGRKPMFCEIIKLANRKRLILDTTGERVYDARINYCSTADATVQADCHIYWRLKLRRTD -AVFEEYTGQGYALDTASYPQQYADIIRGYYSKHVSSALAANTQHFVNVLAMLRHAGACIAHYCMTGKIDF -DILSKKKHKNKEVVTLSSADSLSFLPHSALYLPSALRASDPEIFNVLYLLGCACDASIAMDNIANTSGAA -KYAMPHYNPLQLSHALHVTIFYMLGLMDSCGYGEDAVLALTAGLHSVTTVIAHSDEGAITRDALRELSYT -QPYGTMPVPIAGYFQHINVLFTTQPAWDQFAGIWDYVILATAALVHLSDPGMTVNDVTYPTTLTTKVASV -DGRNSDIAAQMMHSATRFCDIYIENLCLFWGVVANPDGNASQALLHAFNVVACGTEPNRHLEMNVMAPWY -WVESSALFSDYSQFRSPISSAGYGPQSIYGARLVLAATNSLEFTGEAGDYSAYRFEWTTMRHNPLFNILN -KRVGDGLANVDFRLRPFNEWLLEGQPSRRSCDAVGHGTPTATCNHKTPNRNTVDEFIWGSTSCDLFHPAE -LTSYTAVCVRFRNYLSEADGDVRVLNTPTREVIEGNVVTRCDGIRCLDSNKRIQHVPEVARRYCMMARYL -QARTFGALTIGDDIIRGFDKVDKVVKMHKSNNRLGQMPLIDVTGLCQPMIETSTARASMTTRIDPNRLAA -ATARIELPLAPRCTSSLIPSSDAVPEPEPHVGEPGNGSGCA - diff --git a/seq/clusters_seq/cluster_95 b/seq/clusters_seq/cluster_95 deleted file mode 100644 index 57aa80e..0000000 --- a/seq/clusters_seq/cluster_95 +++ /dev/null @@ -1,204 +0,0 @@ ->NP_057851.1 Vif [Human immunodeficiency virus 1] -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYVSGKARGWFYRHHYESPHPRISSEVHIPLGDARLVITTYW -GLHTGERDWHLGQGVSIEWRKKRYSTQVDPELADQLIHLYYFDCFSDSAIRKALLGHIVSPRCEYQAGHN -KVGSLQYLALAALITPKKIKPPLPSVTKLTEDRWNKPQKTKGHRGSHTMNGH - ->sp|Q9QSR2.1|VIF_HV1VI RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQLMIVWQVDRMRINTWKSLVKYHMYVSKKAKGWSYRHHFQSRHPRVSSEVHIPLEEVKLVITTYW -GLHPGEREWHLGQGVSIEWRQGKYRTQIDPGLADQLIHIYYFDCFSESAIRKAILGHRISPRCNYQAGHN -KVGSLQYLALTALIAPEKTKPPLPSVQKLVEDRWNKPQETRGHRGSHTMNGH - ->sp|Q9IDV7.1|VIF_HV1YB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMVVWQVDXMKIRTWNSLVKHHMYVSKKAKGWYYRHHYETKHPKTSSEVHIPVGXAXLVIVTYW -GLTTGEQPWHLGHXVSIEWRQGKYKTQVDPEMADKLIHCYYFNCFTASAIRQAVLGRPVLPRCXYPAGHX -QVGTLQYLAXTAXVGVKKRRPPLPSVTKLTEDRWNERQKTQGHRGNPIMNGP - ->sp|Q8AII0.1|VIF_SIVTN RecName: Full=Virion infectivity factor; Short=Vif -MENRWQVQVVWMIDRMRLRTWTSLVKHHIFTTKCCKDWKYRHHYETDTPKRAGEIHIPLTERSKLVVLHY -WGLACGERPWHLGHGIGLEWRQGKYSTQIDPETADQLIHTRYFTCFAAGAVRQAILGERILTFCHFQSGH -RQVGTLQFLAFRKVVESQDKQPKGPRRPLPSVTKLTEDRWNKHRTTTGRRENHTLSGC - ->sp|Q79667.1|VIF_HV1MV RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVLIVWQIDRQKVKAWNSLVKYHKYMSKKAANWRYRHHYESRNPKVSSAVYIPVAEADIVVTTYW -GLMPGEREEHLGHGVSIEWQYKEYKTQIDPETADRMIHLHYFTCFTESAIRKAILGQRVLTKCEYLAGHS -QVGTLQFLALKAVVKVKRNKPPLPSVQRLTEDRWNKPWKIRDQLGSHSMNGH - ->sp|P22383.1|VIF_SIVGB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MERVERIVRLTWKVSSQRIEKWHWLVRRQMAWATANNEEGCWWLYPHFMAYNEWYTCSKVVIIINRDIRL -IVRSYWHLQIEVGCLSTYAVSIEAVVRPPPFEKEWCTEITPEVADHLIHLHFYDCFMDSAVMKAIRGEEV -LKVCRFPAGHKAQGVLSLQFLCLRVIYGPEER - ->sp|P17284.1|VIF_SIVCZ RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=Q protein; AltName: Full=SOR protein -MENRWQVMIVWQVDRMRIKTWNSLVKYHIYRSKKARGWFYRHHYDHPNPKVASEIHIPFRDYSKLIVTTY -WALSPGERAWHLGHGVSIQWRLGSYVTQVDPFTADRLIHSQYFDCFAETAIRRAILGQLVAPRCEYKEGH -RQVGSLQFLALKALISERRHRPPLPSVAKLTEDRWNKHQRTKVHQENLTRNGH - ->sp|P05900.1|VIF_HV1RH RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYISRKAKGWFYRHHYESTHPRISSEVHIPPGDERLVITTYW -GLHTGERDWHLGQGVSIEWRKRRYSTQVDPDLADQLIHLYYFDCFSESAIRKPSLGHIVSPRCEYQAGHN -KVGSLQYLALAALTTPKKIKPPLPSVKKLTEDRWNKPQKTKGHRGSHTMNGH - ->sp|Q1A266.1|VIF_SIVMB RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIKTWNSLVKHHMYVSRKARNWFYRHHYESNNPKISSEVHIPLGEAKLVITTYW -GLTTGERAWHLGHGVSIEWRQRSYRTQIDPDLADQLIHLYYFDCFAESAIRKAILGQIVSPRCEYPTGHN -KVGSLQYLALSTLIKSKPRRPPLPSVRKLAEDRWNNSQKTRDHKGNHIMNGH - ->sp|Q1A248.1|VIF_SIVEK RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMVVWQVDRMRVRTWNSLVKHHMYVSKKARGWFYRHHYETDNPKISSEIHIPLGEAKLVIVTYW -GLMPGERPWHLGHGVSIEWRQGIYRTQIDPELADKLIHLYYFDCFTASAIRQAVLGRPVIPKCEYPAGHK -QVGSLQYLALIAWVGVQKRRPPLPSVTKLTEDRWNRHQKIKDHRGSHTTNGL - ->sp|P0C1L8.1|VIF_HV1MP RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWNSLVKHHMYVSRRAKGWFYRHHYESRHPKVSSEVHIPLEDDSKLVIITY -WGLHTGERDWHLGHGVSIEWRQKRYRTQVDPDLADQLIHLRYFDCFSESAIRNAILGHRVSPRCNYQAGH -NKVGSLQYLALTALITPKKIKPPLPSVRKLVEDRWNNPQKTKGHRGSHTMNGH - ->sp|P0C1L9.1|VIF_HV1M2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMKIRTWNSLVKHHMYISKRAAGWFYRHHYESRHPRVSSEVHIPLEEDSKLVIITY -WGLHTGERDWHLGQGVSIEWRQKRYRTQVDPGLADQLIHLHYFDCFSDSAIRKAILGQRVSPRCNYQAGH -NKVGSLQYLALTALITPKKIKPPLPSVRKLVEDRWNNPQKTRGHRGSHTMNGH - ->sp|P0C1K6.1|VIF_HV197 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKSLVKYHMYVSKKANRWRYRHHYDSNHPKISSEVHIPLGDAELVVTTYW -GLHTGEREWHLGQGVSIEWRLKKYRTQVDPGLADQLIHIYYFDCFSESAIRKALLGHRVSPRCEYQAGHT -QVGSLQYLALTALIAPKKTKPPVPSVQKLVEDRWNKPQKTRGHRGSHTMSGQ - ->sp|P0C1K5.1|VIF_HV196 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKSLVKYHMHISKKANRWYYRHHYESRHPKISSEVHIPLGDAELVVTTYW -GLLTGERDWHLGQGVSIEWRLKRYRTQVEPDLADQLIHIYYFDCFSESAVRKAILGHRVSPRCECQAGHN -KVGSLQYLALTALVAPRRPKPPVPSVKKLVEDRWNKPQKTRGHRGSQTMNGH - ->sp|Q9WC64.1|VIF_HV1S9 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKSLVKYHMNVSKKARQWLYRHHYDSRHPKISSEVHIPLGEARLVVTTYW -GLQTGERDWHLGQGVSIEWRRKRYRTQVDPGLADQLIHMHYFDCFSDSAIRKAILGQIVSPRCDYQAGHN -KVGSLQYLALTALIKPKRRKPPLPSVQKLVEDRWNKPQKTRDHRESHTMNGH - ->sp|Q9WC55.1|VIF_HV1S2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKSLVKYHMNVSKKARKWLYRHHYDSNHPKISSEVHIPLGEAILVITTYW -GLQTGERDWHLGQGVSIEWRQRRYRTQVDPGLADQLIHMCYFDCFSDSAIRKAILGQIVSPRCDYQAGHN -KVGSLQYLALTALIRPKRRKPPLPSVQKLVEDRWNKPQKTTGHRESHTMNGH - ->sp|Q9Q719.1|VIF_HV1V9 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIKTWNSLVKHHMYVSKKAKKWVYRHHYESTNPKTSSEVHIPVGDARLVITTYW -GLHTGERDWHLGHGVSIEWRQERYSTQIDPDLADQLIHLHYFDCFSDSAIRKAILGHRVSPICDYQAGHR -KVGSLQYLALTALISPKRTKPPLPSVRKLVEDRWNKPQKTRGHRGSHTMNGH - ->sp|Q89428.1|VIF_HV1B9 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYISGKAKGWSYRHHYESTNPRISSEVHIPLGDAKLVVTTYW -GLHTGERDWHLGQGVSIEWRKKRYSTQVDPGLADRLIHLYYFDCFSDSAIRKSILGHIVSPSCEYQAGHN -KVGSLQYLALAALTTPRRIKPPFPSVTKLTEDRWNKPQKTKGHRGSHTMTGH - ->sp|Q77374.1|VIF_HV1AN RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVLIVWQVDRQKVKAWNSLVKYHKYRSRKTENWWYRHHYESRNPRVSSSVYIPVGVAHVVVTTYW -GLMPGERDEHLGHGVSIEWRYKKYKTQIDPETADRMIHLHYFTCFTASAVRKAILGQRVLTKCEYPTGHS -QVGTLQLLALRAVVKARSRKPPLPSVQKLTEDRWNKHLRIRDQLKSPSMNGH - ->sp|Q75003.1|VIF_HV1ET RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVLIVWQVDRMKIRTWNSLVKHHMHISRRANGWVYRHHYDSRHPKVSSEVHIPLGEARLIIKTYW -GLQTGERDWHLGHGVSIEWRLRSYNTQVDPGLADHLIHMHYFDCFAESAIRKAILGYRVSPRCDYQAGHN -KVGSLQYLALTALIKPKKAKPPLPSVSKLVEDKWNKPQKTRGRRGNHTMNGH - ->sp|O91081.1|VIF_HV1YF RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMVVWQVDRMKIRKWNSLVKHHMYVSKKAKGWYYRHHYETHHPKISSEVHIPVGQARLVTVTYW -GLTTGEQSWHLGHGVSIEWRLRKYKTQVDPEMADKLIHLHYFDCFTASAIRQAVLGRPVLPRCEYPAGHK -QVGTLQYLALTAWVGAKKRKPPLPSVTKLTEDRWNEHQKMQGHRGNPIMNGH - ->sp|O89941.1|VIF_HV1SE RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWHSLVKHHMYVSKKARGWFYRPHYASRHPRVSSEVHIPLGDATLVVTTYW -GLHTGEKDWQLGHGVSIEWRQRRYRTQVEPDLADHLIHLHYFDCFSDSAIRKAILGQIVSPRCEYQAGHN -QVGSLQYLALKVLVTSKRSRPPLPSVTELAEDRWNKPQKTRGHRENPTMNGH - ->sp|O70897.1|VIF_HV190 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKSLVKYHMHISRKARGWFYRHHFESTHPRISSEVHIPLGEARLVITTYW -GLNTGEREWHLGQGVSIEWRLKRYSTQVEPGLADQLIHMHYFDCFSESAIRKAILGRVVRPRCNYPAGHK -QVGTLQYLALTALVAPKKIKPPLPSVRKLVEDRWNKPQKTRGHRGSHTMNGH - ->sp|O70887.1|VIF_HV193 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKSLVKYHMHISKKAKGWFYRHHFESRHPKISSEVHIPLETAELVITTYW -GLLPGEREWHLGQGVSIEWRQGRYRTQIDPGLADQLIHIYYFDCFSESAIRKAILGHKISPRCNYQAGHN -KVGSLQYLALTALIAPKKTKPPLPSVQKLVEDRWNKPQKTRGHRESHTMNGH - ->sp|O41799.1|VIF_HV19N RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVVIVWQVDRMRIRTWNSLVKHHMYVSKKAKGWFYRHHYESRHPRVSSEVHIPLRDATLVVRTYW -GLHAGEKDWQLGHGVSIEWRQKRYSTQIDPNTADHLIHLYYFDCFSESAIRKAILGEIVSPRCEYPAGHN -KVGSLQYLASKALVTPTRKRPPLPSVGKLAEDRWNKPQKTRDHRENPTMNGH - ->sp|O12159.1|VIF_HV192 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVLIVWQVDRMKIRTWNSLVKHHMYVSRRASGWYYRHHYESRHPKISSEVHIPLGEARLVIITYW -GLQTGERDWHLGHGVSIEWRLRRYSTRVDPGLADQLIHMHYFDCFADSAIRKAILGHRVSSRCDYQAGHN -KVGSLQYLALTALIKPKKIKPPLPSVKKLVEDRWNKPQKTRDRRGNHTMNGH - ->sp|P35964.1|VIF_HV1Y2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRAWKSLVKHHMYISGKARGWFYRHHYESPHPRISSEVHIPLGDAKLVITTYW -GLHTGERDWHLGQGVSIEWRKKRYSTQVDPDLADQLIHLYYFDCFSESAIRKAILGYRVSPRCEYQAGHN -KVGSLQYLALTALITPKKTKPPLPSVKKLTEDRWNKPQKTKGHRGSRTMNGH - ->sp|P31820.1|VIF_HV1NA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYVSGKARGWFYRHHYESPHPRISSEVHIPLGDARLVITTYW -GLHTGERDWHLGQGVSIKWRKKRYSTQVDPELADQLIHLYYFDCFSDSAIRKALLGHIVSPRCEYQAGHN -KVGSLQYLALAALITPKKIKPPLPSVTKLTEDRWNKPQKTKGHRRSHTMNGH - ->sp|P04596.1|VIF_HV1Z6 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYVSKKASRWFYRHHYDSPHPKISSEVHIPLGEARLVVKTYW -GLHTGERDWHLGQGVSIEWRKRRYSTQVDPGLADQLIHMYYFDCFSEAAIRKAILGHIVSHRCEYQAGHS -KVGSLQYLALTALIAPKKIKPPLPSVRKLTEDRWNKPQKTKGHKGAIQ - ->sp|P24737.1|VIF_HV1U4 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMKIRTWNSLVKHHMYVSKKAQGWFYRHHYESRHSRVSSEVHIPLGEARLVVRTYW -GLHTGEKDWHLGHGVSIEWRLKRYSTQVDPDLADHLIHLHYFDCFSESAIRRAILGQIVRPRCEYQAGHN -KVGSLQYLALKALVTPTRAKPPLPSVKKLTEDRWNKPQKTRGHRGSRTLNRH - ->sp|P05899.1|VIF_HV1SC RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -GVSIEWTKKRYSTQVDPDLADRLIHLYYFDCFSESAIRNAILGALVSGRCEYQAGHNKVGSLQYLALTAL -ITPKKTKPPLPSVRKLTEDRWNKPQKTKGHRGSHTMNGH - ->sp|P20890.1|VIF_HV1OY RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYVSKKAKGWFYRHHYESTHPRISSEVHIPLGDATLVVTTYW -GLHTGEREWHLGQGASIEWRKKRYSTQVDPGLADQLIHTYYFDCFSESAIRNAILGNIVSPRCEYPAGHN -KVGSLQYLALAALIKPKKIKPPLPSVTKLTEDRWNKPQKTKGHRGSHTMNGH - ->sp|P12504.1|VIF_HV1N5 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKRLVKHHMYISRKAKDWFYRHHYESTNPKISSEVHIPLGDAKLVITTYW -GLHTGERDWHLGQGVSIEWRKKRYSTQVDPDLADQLIHLHYFDCFSESAIRNTILGRIVSPRCEYQAGHN -KVGSLQYLALAALIKPKQIKPPLPSVRKLTEDRWNKPQKTKGHRGSHTMNGH - ->sp|P04599.1|VIF_HV1MA RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWHSLVKHHMYVSKKAKNWFYRHHYESRHPKVSSEVHIPLGDARLVVRTYW -GLQTGEKDWHLGHGVSIEWRQKRYSTQLDPDLADQLIHLYYFDCFSESAIRQAILGHIVSPRCDYQAGHN -KVGSLQYLALTALIAPKKTRPPLPSVRKLTEDRWNKPQQTKGHRGSHTMNGH - ->sp|P05898.1|VIF_HV1MN RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRRQVMIVWQADRMRIRTWKSLVKHHMYISKKAKGRFYRHHYESTHPRISSEVHIPLGDARLVITTYW -GLHTGERDWHLGQGVSIEWRKKRYSTQVDPDLADHLIHLHYFDCFSDSAIRKAILGHRVSPICEFQAGHN -KVGPLQYLALTALITPKKIKPPLPSVKKLTEDRWNKPQKTKGHRGSHTINGH - ->sp|P18805.1|VIF_HV1ND RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRINTWKSLVKYHMYVSKKANRWFYRHHYDSHHPKISSEVHIPLGEARLVVTTYW -GLHTGEKEWHLGQGVSIEWRKRRYSTQVDPGLADQLIHMYYFDCFAESAIRKAILGHIVSPSCEYQAGHN -KVGSLQYLALAALIAPKKIKPPLPSVRKLTEDRWNKPQKTKGRRGSHTMNGH - ->sp|P04597.1|VIF_HV1EL RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIKTWKSLVKHHMYVSKKANRWFYRHHYESPHPKISSEVHIPLGEARLVIKTYW -GLHTGEREWHLGQGVSIEWRKRRYSTQVDPGLADQLIHMYYFDCFSESAIRKAILGDIVSPRCEYQAGHN -KVGSLQYLALTALIAPKQIKPPLPSVRKLTEDRWNKPQQTRGHRGSHTMNGH - ->sp|P20877.1|VIF_HV1JR RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWNSLVKHHMYISGKAKGWIYKHHYESTNPRVSSEVQIPLGDARLVITTYW -GLHTGERDWHLGQGVSMEWRTRRYSTQVDPDLADQLIHLYYFDCFSESAIRNAILGHIVSPRCEYQAGHS -KVGSLQYLALTALIKPKKIKPPLPSVKKLTEDRWNKPQKTKGHRGSHTMNGH - ->sp|P03402.1|VIF_HV1A2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYISKKAKGWFYRHHYESTHPRVSSEVHIPLGDAKLVITTYW -GLHTGEREWHLGQGVAIEWRKKKYSTQVDPGLADQLIHLHYFDCFSESAIKNAILGYRVSPRCEYQAGHN -KVGSLQYLALAALITPKKTKPPLPSVKKLTEDRWNKPQKTKGHRGSHTMNGH - ->sp|P12503.1|VIF_HV1Z2 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHHMYVSKKASRWFYRHHYDSPHPKISSEVHIPLGEAMLVVKTYW -GLHTGERDWHLGQGVSIEWRKRRYSTQVDPGLADQLIHMYYFDCFSEAAIRKAILGHIVSHRCEYQAGHS -KVGSLQYLALTALVAPKKIKPPLPSVRKLTEDRWNKPQKTKGHKGSHTMNGH - ->sp|P04598.1|VIF_HV1B5 RecName: Full=Virion infectivity factor; Short=Vif; AltName: Full=SOR protein; Contains: RecName: Full=p17; Contains: RecName: Full=p7 -MENRWQVMIVWQVDRMRIRTWKSLVKHRMYVSGKARGWFYRHHYESPHPRISSEVHIPLGDARLVITTYW -GLHTGERDWHLGQGVSIEWRKRRYSTQVDPELADQLIHLHYFDCFSDSAIRKALLGHIVSPRCEYQAGHN -KVGSLQYLALAALITPKKVKPPLPSVTKLTEDRWNKPQKTKGHRGSHTMNGH - diff --git a/seq/clusters_seq/cluster_950 b/seq/clusters_seq/cluster_950 deleted file mode 100644 index 6d1fce1..0000000 --- a/seq/clusters_seq/cluster_950 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009553258.1 capsid [Culex pipiens-associated Tunisia virus] -MTKYGWTHECELLASHHWILFRNFLHIMNSFKYKSFSVKAQRDLMRTTLENLQISCPFSASTRFPENEIY -IDLHHSVPSRLMRQLTMALDFSDRQTEKGRSVPDSIDIRVFSNFEDAKVAFYSSIDQLLDIVGRFAIEDC -HIVGVYIQSSFEKQYSLTWS - ->YP_009337245.1 hypothetical protein [Hubei virga-like virus 11] -MPYTWTTEALLLTTHHWVEYAAFMRIIGSFKFKQFSVKAVRDSMLTTLANLEYDTPFNVTTRFPEDKIYI -DLSHSVPLKILQQLTKALDFSDRQTEKGRVTTDQEKNRTHSNFEDAKVAFYTAVDQLIDLIGPFPIEAAH -VYGVYTRTSFEIEKGLVWA - ->YP_009336555.1 putative capsid protein [Hubei virga-like virus 9] -MSHGGSRSRQAANKRRQTGHTKTIPKRVRHTSEGDTTIILADSPEGTSTRTPSKRARHVSASATITTPIE -TPEEYNTSNLTVDPSPSSPEPQFTPPSAIVSRSVRIRGRSLDMTTPKYERWTSRMERYTNANFMDAVIVE -DTLREMSVRNYSNRPARESALTSIVSMNGDRIVGPTLRFPDTGVYILVSTGPLRELFDQIILACTLTESD -PTTSSGSAHNRYDDNKLSYYKAISNALFLLRRRFEPAAHVYDIYSRSTFESTNRIVWNDGNTTRQ - ->YP_009336480.1 ORF5 [Adelphocoris suturalis virus] -MPYAWHNEALILQTHHWCLYNSFLNVIRRARYISFSVKAQREGVRNALENMQINLPFSVNNRFPDEAIYI -DLDHSVPSRLLTQIMLALDFSDRQAEKGRVIPDSVEVRSYSNFEDAKVAYYQAVGNFIDLVGPMAVETAH -IFGVYTRSSFEAEHSLNWQ - diff --git a/seq/clusters_seq/cluster_951 b/seq/clusters_seq/cluster_951 deleted file mode 100644 index 12791fc..0000000 --- a/seq/clusters_seq/cluster_951 +++ /dev/null @@ -1,47 +0,0 @@ ->YP_009553233.1 hypothetical protein [Culex Tetra-like virus] -MSWQAEAFIERCCDPCGEHGSTVDSGRIPDGALETSAPAFFRGLATLTFPWTVTGETDLTGXXYSLLFFM -VPTFRCLTFMIIKPNDGEFDDVTMKAFSESFASIDDPAYSFYPRWVEXGSGTYWSAFDTSALRELVPPGS -VGISTTIESYRFSSNGMSIAFNTPDLVNQGTCTVMRYPLSVSSKAYQNAEGILAGFEPIELRTTVYRFGD -LDNSATLFLNTLPTDVNTPRNPVFPFFTGLPTALPTTKVKSKFAYRNAGNTFAVAVGDEVNYIQGIAPNQ -NVIYLQNATKSTKIQIASIPSLVNTTSYGGVRLYTNTSSEFLDDADTSIQVIAIPPVTQADMLQQNPRTM -VDLLKETDGIYTVGAIFQPIMSVTSAGTYGKVVFATSKTTAKDIGDLETGWFDTLDSNFGINVVNFQGIP -RAARPMLKVHRSVEIVPSQESIFGAFATGCPPAQPEAVEIVKAFNAKQPHGYPESYNFIGKLFGHVLRVV -NAIPEVIRDGRNIAREVNKICDLELAGKPVRDHVKDRIKHLARV - ->YP_009665206.1 capsid protein precursor [Thosea asigna virus] -MIVLLKMRLSMNQRVLEQNLKNLKIGKPLGFMRSSGGQEQLVAALANLIKCPVCNSSLRTEHEPSSWGRG -TIQEVEQALEWIKEELECLVCPQLQEDTLLSFENPTEGSSNLKDKSMPFRPRSRRLRGPRPQNLGVRAEG -RGSLLTCGDVEENPGPTTVAGLVQEAVGGLNKATKTAPGKRTRRRRRNGKGRIGNPNQPFSISAGAPMSK -IPALRGTLAMTEPISPSSVGWLETNLDPCGEYKSTLDYGKVPDGAIPMSVCGQFRETFTIRHPGANPMLA -PLDGSMWTLGIIRTNLWRTPFLLIADMNNAEISDESLDEVARSFNNYVGDLADASHPNWVETSQAGLYWS -IQRWTALIGVPPPSDTGVSPYITDFRITGSGFTVSSNTPSLINQGMVVVAQFNPNTENKEVIPHSESGET -PLGWSRLYSVTASTVAITYIQPGVGGAQNQRTVTLNRSGSVINSSVLPQVTQGYRFNNGDTYAVGDTLRF -QLTERDSGGYTVRLQRSADGTTFTNIGPLSTTTGQNGGFNTDSGLGVLLEGDVLAGRVNMLTLPPFTQSD -LMQQTPKTCVFQIKEGGFYVRQDIWQPVYNMTPASRYAPVRFVSNAITLDALNSAVGTIRDTADSNYGFA -LCHMTSLPLACAPFIKAEMRFEAVPGRNSPWGPFATSTPPKDEVALTISRTVMDLEPFAMPLAYNGWGLM -FSKVLSIVRRIPKALRTASNVAETVAGCVEDAQAGVTKVYETVRGRRGAMRDMTLQQ - ->NP_573542.1 capsid protein precursor [Euprosterna elaeasa virus] -MKIRMRLSMNQKILEANLRNIKEGHPLAFMRTAQGREMSIAMLANMIVCPTCNNSLRNYKERTDWRRGTL -DEIEENLAWIKRELRCLRCPPDDHLIDQACGIPTPESSNSKSKSVHFKHRSRRLPESAQLPQGAGRGSLV -TCGDVEENPGPPSVARGLQEVVAGLAKTGSPEARNPGKQARRRRRRRTVKGTGNPNQPFAVTAGAALTRV -PALRGTLAMSEPISPSSVGWLETNLDPCGEYKSTLDYGKVPDGAIPMSVCGQFRETFTIRHPGANPMVAP -LDGTMWTLGIIRTNLWRTPFLLIADMRNAEISDSSLDEVARSFNNYVGEIELASHPNWVETLQEGLYWSI -QRWTALIGVPPPSDTGVSPYITDFRMTGSGFTVSSNTPSLINQGMVVVAQFNPNTENKEIVPHSESGETP -MGWSRVYSLSTSQIVTTYIQPGVGGAQNTFTVIANRGPAVINSSVLPAVTQGYRFLNGDTYAVGDSLRYQ -FTERDSGGYTVYLQRSTDGTTFTNIGPPSTTTAAPSGTSTASGVGFILEGDVLAGRVNMLTLPPFTQSDL -MQQTPKTCVFQIKEGGFYVRQDVWQPVYNMTPASRYAPVRFVSGAITLDSLNSSVGTIRDTADSNYGFAL -CHMTSIPLACAPFIKAEMRFEAVPGRNSPWGLFATSTPPKDEVALTISRTVMDLEPFAMPLAYNGWGLMF -SKIINIVRKVPKVLRTASNVADVVANCVEDAQAGATRVYESVRGRRGAMRDMTLQQ - ->NP_048060.1 capsid protein [Nudaurelia capensis beta virus] -MDANVQIRPARNNPSQGNQGRNNNNKRRRRRRGLKLPPVVAPITSPGQMAEPANHANTRVNRGRTRVRGL -RQAMMESPMAATSEAWIHDYLDPDGEYKTSLDDGKIPDGAIPQSTCGQFRGTVGARYPGLNSTTLPLDGG -TWPLLVMHLPFFRHPLLFITTTSNTEVEVTNADLDAFANDWNNRTDWTEATYPSWAQVGNVFYMVVPTEA -LTDVPPPTQLGVSGLLESYRLTSSGVTAYFNAPTLVNQGVAVIAQFQPDKEHQKENPDIVAGTTQTGGTL -QLGGSGPNYTLTMTIGDQVEFGGAAIPLPTVSMGPMPESGQLVFQTANLTFDVGNTITITTTLPPGSVTG -MWQFTASNGTDTVTVDAGARLYAFGANLDASELNLQDINSIKIPPTNMNQMMQATPKTIQFQLNETKGFY -MPLRAFQPVFEMTMATSYGPVRWKTPRTTVVDYHRAIGGLQDTIDSNFAIGVAAMTGMSTSTVPYFKVFR -RFEAIPAEGSPWGPFASATPPKDDVALTVARTWTDLHPFAYPERYNGFGALFAMVAKTIAQIPRYVRSAA -GVANAVTDCIESATESVASNSTSERRQRRARRVGGIARGARNLVGRIGNLSL - diff --git a/seq/clusters_seq/cluster_952 b/seq/clusters_seq/cluster_952 deleted file mode 100644 index c6f90dd..0000000 --- a/seq/clusters_seq/cluster_952 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009552765.1 TGBp3 [Turtle grass virus X] -MRDGALQLILAALLGLILYLATRQPARPNCYLEINGHSIVVSGDCWHTSAKSTNR - ->NP_042586.1 hypothetical protein BaMVgp5 [Bamboo mosaic virus] -MLNTDTLCIILFILILGILYNILQQHLPPPCEIIINGHTISIRGNCYHTTSS - ->NP_040991.1 6K triple gene block protein [Foxtail mosaic virus] -MHESHLVVILALLLIALWCLSTRPVQPSCHVEINGHSIIVTGNCWHSTQRPH - ->sp|P22171.2|TGB3_FXMV RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MHESHLVVILALLLLALWCLSTRPVQPSCHVEINGHSIIVTGNCWHSTQRPH - diff --git a/seq/clusters_seq/cluster_953 b/seq/clusters_seq/cluster_953 deleted file mode 100644 index d7b149f..0000000 --- a/seq/clusters_seq/cluster_953 +++ /dev/null @@ -1,26 +0,0 @@ ->YP_009552712.1 movement protein [Lettuce chordovirus 1] -MFKLIFEMETVDVNRFYSIVDSGKSSLEAIKSGSVYKEDGWLNLKHKNCIKKIESNIKINVNPASPLVKV -TEIPLITRADIKRTKDMRDYNFIHMGCIIVGIQGLFRKNAGVKGVAMLIDKRWDNLRQAMIGSFAFNLDS -CRADAIFKPCFSLSVGDQLLTESLTLLLKFDGLAMRSGSMAVNVSFGLICKLMNTLDSNVEESSEIVDVP -MRGAEKIQDSNILVEMMREMDDRFSGNSVIRPIVTDERYFNRGLFKERGVQRTLSSRAEASQNHGSARWL -ETFLNDKVKIDGAIMSDQDFEVHEKKEFDESKQEGQHIEIRSRKRQDEPTSRLQSQMQGSGGKSHFEAVA -EYPIQ - ->YP_009104000.1 ORF2 [Carrot Ch virus 1] -MSLVDVNKFCSLVSGGKSSTEAISSSNIYGDSSWLKLKNITAIKKFETNIELELEDGDSKLVIPNLPLIS -DSEIRAVKKNMPSVNFVHLGGIVVSIQALFAANKGVKGTAVLVDRRWRNLDQAIIGSFAFNLDKRRADFM -MRPNFDVSLKDSQLSDSLSIMLNFENLDMFAGSVPINISIGLIARFYNTIDPGVRIISDDLNFQGLIGAE -GISNQDMLSNLGDMKDLFSDSVIFKPTIVSNSKFDRGMFKSKGLIKQIRGARSGKEIISTGEVKRPKSSS -FRFDQLKVDPVLYDKLKRDQVNLWLNKNNELPIKNVEDEEIVHDDNSSGRVDIFEHGN - ->YP_009103997.1 ORF2 [Carrot Ch virus 2] -MSLVDINKFCALVSKGASSVEAIDKGNIYGDSSWLKFNQVSAIRKFESNISIELDDGDKSLVIPNIPLVS -SFEVATVKKSLKNANFLHLGGIVISIQALFAENKGVTGTAVLADKRWNNLDQAIIGAFHFNLDKRRADFI -MRPNFDVSLNDPMLCDSISLMLKFENLDMQVSSIPINVSVGLIARFYNTIDPGIKFADEELKMQGLIEAE -GIDKNDMLHSIGDLADTFSNPAIFNPTIVNNRKYDRGLFKDKGFIKQIRGSRSDDSKALRRVGRFNAPSS -SRRFDQIKVDPLFYDKIKRGNINSWLNNELPKSSEREEERYSETGSEGRVEIVEH - ->YP_008992017.1 viral movement protein [Citrus endogenous pararetrovirus] -KREGYTHLHLGGIRLILTLHGRKGLPVTARVALLDTRFKQFQDAVIGTVLTTLHAGSVLLTFYPNFNLSL -QDPNLPTTLKVQVQIQGAEQISTAKIATLHHQLVYRLQNHALDL - diff --git a/seq/clusters_seq/cluster_954 b/seq/clusters_seq/cluster_954 deleted file mode 100644 index ce7284a..0000000 --- a/seq/clusters_seq/cluster_954 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009552009.1 22 kDa protein [Rehmannia virus 1] -MPAEDKNVESEVLVLDSYKVDDERIVSSTEDIVAIKGKFSDFITNVLKGSGEQIDLHLGMILFACAVRTT -SKKAFSTEGILAEYTLSGKKYIVHARDLLNFINSLPQLNARVNKIRVFCRSFANAYLSVCRAYSQQLPKL -VRCTKLGIPAQYSYLQADFISDCSELTEHEQAVLVRGRDRALNPVTGAGASSVTNLYELGSRSQQ - ->YP_009162627.1 CP [Tobacco virus 1] -MLTTMPADEKSNSEVPILDSFKVDDERIISSIEDIVEIKGKISKFIVDTLKGSADQLDLHIGLMLYACAI -HTTSKKAFRPEGELTSYTLSGKKHVVNTRDFLELLNSLPQLSGKTNKIRVFCRSFSNQYLAVCREFGEKL -PKQVRGTKLGIPTQYSYLQADFISDCAGLSEVEQAVLIKGKDIALKSAAVVGTTATTNLYELGTHSRT - ->YP_003075971.1 p26 [Carrot yellow leaf virus] -MPENDSNDSSNKSALTQTPSKLSSVSISDKRLLTGAVKDAAKIKFFTGITAKYPSINIADTNTHLGMLLH -GYAIKTTSKQASEPEGEFVNYTLGETEYSFTEKDYLNIFDSIPRITGNNEPRVFCRSFATEYLDFFLKNS -STLPNNPRALSQGLPPGYHYLAADFLDACDKLTLHEAAAAVKAKDHALASKQVADQFVANVYEIGKH - ->YP_224096.1 coat protein [Mint virus 1] -MVDTAGKLAVIDAFNVEDERIISSVSDLAEIRQKFEKFVTDKLQGDLKDLDLHLGLILVAFAIKTTSRQA -VKGDAEFVNYTLSGHVSTATEKDITEFLNSLPQLKDRKNKARVFCRSFAKEYIKTCRSHANSLPKQTRAI -KLGIPDRYHYLQADFVTDCSELTDEEQAVLLHGRNHAVAPINSAATPIVTNLYELGGGKRN - diff --git a/seq/clusters_seq/cluster_955 b/seq/clusters_seq/cluster_955 deleted file mode 100644 index ac54a07..0000000 --- a/seq/clusters_seq/cluster_955 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009552008.1 24 kDa protein [Rehmannia virus 1] -MALTVSNDNSTSSLLNEKDLTSEIVEKFAAGLDLNTISQSSDSSFSRTELDEALPSVLGKIREITKADSK -QDAAHFMMLMFRAAVVTTSPKVRYTGSYSYSVSGVSYQIKDADVFPHISHLLAKFRKPNPLRAFFSCFET -PYVYFCKLNPEMAENRTACRRGTPHGYGYLAADFLPGSSSALNDRERAIINKASEHAINRSNMSSLSREI -VSLYDI - ->YP_009162626.1 CPm [Tobacco virus 1] -MALTASNNDFSSKILEARDISSEVVEKFSENLNVDTITQSSDSSFSSTEVAEAFPAVLAKLREITKSDPK -QDAVHFMMLMFRASNISTSTLVKYTGSYSYTVSGTSHEIKDADIFPQINHLLAKYKKPNPLRAFLSSFET -PYILFSKLHPNLNESRIACRRGTPQGYGYLAADFLLGTSPILSDRERAIINKATEHAINRANTSSVSREL -VNLYDL - ->YP_003075970.1 p24 [Carrot yellow leaf virus] -MSKELMIVSPDGSLEKADVSKLAIATRESMQAAFSALDLSSVSGTSDSCLSDSELTEAATKVNTELKKIT -KGEDIDMPSHFAALIARAATIGTSLSAVYRNQQTYSIRGKGKYTVKDAEIFPYIIDITAKYGKPNGLRAF -FASLENAFLVIAKMKPQLFESRVATRRGTPKEKGYLATDFLSGASPILVDQERAILNSASSYALDRAASK -KKNSGLVSLYDYGRYD - ->YP_224095.1 CPm [Mint virus 1] -MALTLSDADYIAQPAALRDASSEIVEKFSEGLDVNSITHSTDSAFSKKEAEEAIPGVLSRLRELTKADSK -QDIVHFMMLMCRAQIISTSMKVKYTGSYSYTVGGMGFTIKDADIFPHIIHMLAKYKKPNPLRALFTTFET -PFIHFSKIRPDLAETRSACRRGTPTGYGYLSADFLTGSSPSLNDRERAIVNRSSEHAINRANLTGASKEL -VSLYDIGA - diff --git a/seq/clusters_seq/cluster_956 b/seq/clusters_seq/cluster_956 deleted file mode 100644 index c4b7115..0000000 --- a/seq/clusters_seq/cluster_956 +++ /dev/null @@ -1,34 +0,0 @@ ->YP_009551985.1 putative movement protein [Mume virus A] -MSIVPIRKFLSQANKPDGDRIFIDSVHAKDIYSDANAFNKRTLAAVKRFQSSIAVPASCTGEPNTVQFNL -FDEVEVEEIRKMASKYALLHIGAVLISVTCLFKLKQPMQGRIIHYDPRFLDKHEACQTGFSFSLQSGSAF -FLYRPNYPISTTDPNLMRAARIKFEFDQVKVADNSHLFLIDIGVMYQLSNQSTMEPTSSAEAGAQFQAIF -GSTNLPNPESYLEDEDITAPPSIALIDFGLDQSFKKGGVFKGPPRSTRARRYHAKSRRQGSEFINRTPTM -SREGSSSSRLDSLVRSSSYRGENFQKTDCPRYSVHENFKNHIWPTAKTQEVHNEYCGAAPESNKERTWRL -HMGANNRQTQQPDDDSSCCSSSDRGSGGKSGSRSFRATISNKIENTEPLPVLPFWQFSNSGNKRDDGVSN -CEAGDPQANNRGSSGDNSIPAYIHIPAGICSDCKGMGCGGCRH - ->YP_009666022.1 movement protein [Phlomis mottle virus] -MTMIAKRAQFLKGDSEDLTLNVSKSKIYSDVSPFVSDSSVNVHRSESIFVCDFERSFDGLKLADVPLIPE -VILSGYKSIGKFNYVHLASISIIINALFKHKSGVKGSFVLYDGRFTNAKQACISAFKFNLDSGTAYQVLH -PNFPVSLSDPFLEKALTGLIVFEDLNMLEGTHSVSLVVGVMLGPLTLSLQELTLSQVHNISDSYCAEIEY -LIIEIQLMKGSQQFDVLDAEAAVEKKSVSTIEVGQGLLEAFPGPKRHLQKMVYKSRKRADLIEPKLQVDE -CDKDKEEGNGKGKGVNLLKAAKNGAHSELFLKGSRGASKKGGCHHEIQQGDGSVEQGFERGRGEGKGLH - ->YP_009229913.1 movement protein [Currant virus A] -MSIIQVKKFLKQVNGDEGRIFIDSIHAKDIYSDANAFNRKTLSAVKRFQSSIAVPANCTGMSNVIQFNMF -DEAELAAIKESSKKFSLLHIGAVLISVTCLFKLKKPLQGKIIYFDPRFVDKNDACQAGFSFSMQSGSAFF -LYRPNYPISTSDPNILQAARVKFEFDAINVIDNSHLFFIDFGVMYQLSNQAATESITAADAALQFQSIFG -SSSLPRPEDFLEDSDITDPPSITLIDVSVDQSFRKGGLLKGPARATRARRYHARSNRQGSEFIGRPIFDR -SNSNRGFNLVRSSSFRPEFIQGDSTGRKSIDSTFQSQLQGNKGEFFQRGGDEHHRATSERDKKGAWKLHL -GTFDRPKQQLEFDRESRDSSVRRSSSPTSSQTIRGGSSDENCPEGFLSEDLIRESRRDRSERDDNLPEYP -NDYRTTRDSRSPKSSATSANKHVISKLCSNCEGLGCSRCGW - ->NP_620107.1 putative movement protein [Cherry virus A] -MSIIPVKKFLQRVAGDESRIFIDAIRAKDIYSDANAFNSKVLTAVKRFQSSIAIPASCTGESNVTQFNIF -DEVELEAIKKASSEYSMLHLGAIIICVTCFFKLKKPINGRIVYFDPRFLDKNDACQAGFSFQLQTGSAYY -LYRPNYPMSTHDPNMHRAARIKFEFDAINVVDNSHLFFIDFGVMYQLSNQSTAEKTTAADVGAQFQALFG -SSGLPNPESFLEDEDIVNPPTVALIDVSVDQSFRKGGFFKGPPRSTRARRYHARSKRQGFESIPKTVGKD -PKQQERNLFRSNSCRSENFQFNPEQRFSVDQEFINRFDKCNSQRRDSNLQHGFEHSGAELQRNKKGPWKL -HLGEHDRSEGSITSDCETSGGGIRGSGCNTSDHIIREPEGCEKYNPKLLPEDNVWESCGDGYKRTDRLPR -GTSSNPETSDRESGSSDCTSPSRHVIINFCHKCEGMGCGWCRR - diff --git a/seq/clusters_seq/cluster_957 b/seq/clusters_seq/cluster_957 deleted file mode 100644 index 3e99b3f..0000000 --- a/seq/clusters_seq/cluster_957 +++ /dev/null @@ -1,188 +0,0 @@ ->YP_009337672.1 hypothetical protein [Wenzhou picorna-like virus 44] -MRLAEILMNMERRSNPNRLRRRGGVVTTIFGNEHTTGNAFITAYQTARIVTPTGWLTHVHYDAKVDHYIW -IKPSDLYQLMAFMRVKRTPKCATNQYDCIANMYHINVAEFIKWLWGLRTMHPLLHRINTSTCRIYLVPGE -PHTIINLATPEVCHTSQLIVHNFHRLNAWNAEERHVNHGEALEDNVLVPGYACNDRLFCARGDRDSWFLG -GFTIDNPPLLVLRGVTMSMPPGEFDFGIDADEDDIIAPPQLVTHPVPAGFAMPNPPQEIPGIQINLADDS -SDEEEQGAAANPLAVDPLGEDWLEVHEEFDEDILALNANNNAIDDTIHSSLPDVEWILPEVEKVVFHGFG -DQIKELKGAVSTFTSISEKITAITTWINEIFEKAKSKLSAFFGSAFTGPVLAVITAFGIYALLARAREIL -GDLICWACPEYTKKFLEKYKAWKESYETKDDEHWTKKIYKLPIYAVGFLSWLVTAFEAGVGIFYGIKVFK -FCARLFVEASDETAISLTAKPGCDADGNIVDIAAFENFAQTATDEQLLEAGFSLNAKLQSDMAQGTKLCM -AIVVALSGLWVASTEAQDRKKEDGAYNIPKALFSFVKTATATSTALTAISAIIRHVYSWIPAMWRVWLYE -TFKIPPGHAWEYPAVKDWVSGITGLDALISADRALLTSCANTRREVQELYTGTPFILRTLEELKASPLEV -REVQRLQKIITQYMKMVWALRGEHPVRQRPTCVMICGKSSLGKSTMVSQFAQDVMEMPLRSDSDQCPLIY -GRQQNSDFWDGYQNQKVVVYDDFGANTQSNEAAELITLISCNEFMPAMASLDDANIGIKGTRFNSELVVL -STNVSPNTTFATVSCAEALRNRYHIPVQAVVVSKYIKNGVIDFETVAQDAKKQGDPAPHLRFVPINPHTG -HQLMHRVDGHDEPIALTYAQLVNWTKAEVNKSRAAFKATLEKQKSPPEEFNEWATARQQELLQSRVTLWK -LLTDAYISVSTRASAAGAAVLGCSSKLVRQVLASITKWLGQDPRRLVHSSVYTHLEAGLLSADRAVIMGN -EDGEAEALDKRYDITMLAIAKDLEKSCIAPKLLDLLARDSEAYQAFRTEVDAKRKRYELTWSAEVQHYFS -SRLRYRMTDQAVYMISARDNYRVLAEALGCQIVTNEAMGKNPIGEHYQVFGDWSWSAKTWQPDLKGSEIC -YPLKKEDLVFEIDKLEKVGDISPGGIINVDAKFTSNALSELRLASRLKDRTFLLEKANVVSERILDPLDF -DRTLRATECVVTSRDSVRRSRMMRDWTDGEYQHQFDLFYQCPPTPEHVSSEDDEKFFDASDTDPRAWYER -GGSWFKNKLRSLGDKSRMAFWQVGQSDGDPYVPPQRRVRSSDTPSTSTDHVHEGTPRRQRRNSGRQNPIP -EISGSLKRGDEFISYEAGPDASDVFDTRVRADISAYSNERVPLVDAPMAVPTLAKLESDVERRTWRTVGR -AIADKFKRVGVIIQQHLKMWLNHIPTWGKYVIAALTAFSACGALVWTIYQWWTKDSEAIKLDSMAGQQRD -KMLKLVSLCSKDVNQTLNTLPLSELRDVLKLKLEECNVVDPDPTLISELMGGVCNTKEGADAFRDQIADM -LSDLPADIKANLPTLANGLDETQDWSIVKLANAKQQLVDHFRTLIGPHAQEALEIANLTPRLYSLFKNLS -PNEVEAVAPGEPAILESAGGSKPCVRSKANMRWKTQNKSALKRITKGRHYKFIKGRLHGEIAEGAAVEIE -AENHAGELQKVIVNPPTLPKIAFGKIETVKGVNKLYFIPVKGHVILLPKHFFMNVADGDELRVIYNGVVF -IDNFDSTRLKSVKFAHSTFTSEVSTYMLSGHLPLFPDQTRFFPEKEIYPNTGCTEQSYILHRDGLWYKAQ -AMVSPWGYEAKSKLNNSSITREITTFTGLRVPGDCGLPVIARCGNAGFKILGIHVYQSLVDNREGAAAVT -ALIVKSLLNSYPQDVLLHGSVETHAIVETACDRAVPLEGNFEIIGVLPTKRGIPSNTTLRPSPCKGRFGI -SRDVHAPAPLKNDDPRVDWKLIGKSPKPLSLLAVEKYAPVLRPYPPELRAVAREWELHERNQIPIEYPVR -ELTMEEAINGYGNMSRIDLNTAPGYGWGKISGGKKRGLFTEDEDGQLQPIPELRAAIVKIIDAASEGVYA -ECVWCDSLKDELRHVGKVEQGKVRAFTLADVALLIAVRMVMGAIFSVDSIAWKHTSSAVGMNPWSPDWDK -MMQYLGANSTNGLDADWKAFDSTVGAEEWYDEYLVDRQWWKLHAKETLPGFENRLYIMYYAMCHRLTVNG -DVLYRLHSGNGSGGPKTTVINTRANDRRMKMAWLANPDTPKDYTTFKQNVRSKFYGDDTAATVSDKYAGK -FNGKSISSFHKEFSRTMTPANKLGVFTDRLMNLEDLTFLSVETKRMCREGIEYWPSPADTITDKSVNWVR -TTNDMSVEQNLAMRLNATILRFAYMEEVEYLRLIQKLTSVVNEVIPSADPLLTFPTWRELQEAFLKNEIE -WSYGDIFPIGAVKGTAVTLHMDNETPSVVIPSNVGAIEGVVSGLVENTGGVKFAEPGAPRACVGAEPKVQ -DKSINQKTFTVKGLAERYAYLDTLEWSTSDARYSIVGKWRLPHDILKANPIRTPIEKFRFFRSHVNVKFI -LNGTRFHSGQLIAYWCPLMEEDDISDWQQVMFSSQTVLRHVYMQPRSDSPVEILCPFVHPKQYFNTTNLN -NDAEFMGTLVLAVINPLEIDATNATGLGGNHVPVSVYVELVDLECAVPTGTPVSIHGVGSSKISRVVITG -NNNTVPLEFGSDAVEQSADISSMDNPTLAFPPVHVSRRGHPPYSYAEGTEYMDKLVLYPEQISPAEVTHF -GTDVDEMDITKLCQIPTYCETFMWEAAAVPETRIASGFISPTDCLNHPKFHLDRNIPMCEFIAKQFNLWS -GSIGFVFDVIASAVHTGKLLFTVHYGLDAPPKDMDSAACEFSVVLALSEDQSRFEVEIPYVADVPQKFIV -NGHDSLLEGSVGVWCLWVLNNLRTPGIAVPHVEVNLYKFTGPDFHLSYLGANGAEIVPTSAFFHAGGNDM -TNIEMGAGAGQHTPMYTEKYDSLRDIARRFVPFDKKRVPKSDGTIAYYKVRDLIYCIARPWNGMYLGWRG -GIRLRVTYSEPCTLVATFIPTVDGLDADGANKVGNYLTGKQTSSKYMCPAIVGTNADVGFVDFEIPYVTP -YKFLIKSRETKYEDYANTGDVVIAAVFADPAAAKKESFQFYVSGADDFRMGIIAGIPKVKSTPNTIVDPD -SNDFVLLPGEIILDYGPNGHTAARKSRWPRTDKDKFVKCRVHARSSVPVDPAGQFVPYVQRVGFDTRNLS -DAALTAMGFVVVGKARLYRPDYTIVIDGSHRPFSLPYGSGAADAWYRPQVYPSTMYLRMWMHSDPNKVKD -YTAKEILAIGALGTDQAYGTAVSVTEPAGAADRPTDGVWSVAAEMIVVRPETQRALMAAAVPTYTQGDMM -LSAENVTGEYWVRPKTQRTIGGVTYGVWNPVADVDNDVVGLKSPTLLSENHTIDATSIRLTHQET - ->YP_009337170.1 hypothetical protein [Wenzhou picorna-like virus 45] -MQGNSINPAFKKIERGGSSDNTKTLFGVDCNEEFAQTVASQLDDLRINLDENKTIIDMLPTFPERKIVDL -HEEIDKLYGAGVAKCLILLGVKLTSAIVCGCGKLGVKSSLEYTNLSCSKLEDECKFYALSDSHHGRQDIQ -PNMVAKTVAMLTGHVSLGTNLRFIEMLGALAGYPFRCACGNPVTCLDAPLTVCDFCATMEGVADFVKHMD -ADARAPTPVELLPDVEVHSPSFIDRIFSGVKAAKNKVGDMGSHIYALIAELITTIGNYFNSVVQHYGSHF -YEGIKSGFQESISSISRKLKSVLGSEGMVFLFVAVVASVIIRFAGDLVANFIINTSLALFEMMKDLGDSV -FAAYTRVYKAIVDHLKSDNDDDDEIILHAAKSDGCVAMIATLFCTFATATGSIGSVNILRSIAAARHVVS -GMEVAKSALLWLVERFPLSLQSFLWDTTGLGTFECQDEELMELIVVLRESLLELRKDSTAFLGDLGMCRC -LLTAHTMLTRAVMKNSHGWNPTQNSIIRAMLSESKEFIGRAQATVDRDIGRVEPVGLILQGPPGIGKTAL -IEVLAARLNPNVPRSMRSYYKNLKERFWSGYCEQPVLVLDDYGAIKDDQAESSLAADILRIISPSEMALD -MAFDKGTVFCKSNLVVLTTNRNMNAPQTFLTSNTAFMRRFIYCTVSIKKEFVDANGLLNTAKLRGLPIEQ -SLTFPHLNFRIHERFKKGSNSAGLYDLSQQDRTLEELLLVLQAHIMKKTVDAQFLHDAAEKVAPTPIHTD -AYVDFRVDVKSELIKELREKRPDEYGGVELDQFNSLRLPPMPHKSHVGHDLVPEFEPQMLKRAVSVASGI -SQSTPEVSQDNNQPRPYRPATADESSDDEPYHSSDDGYGLGLKSEDILDELKGEMLDKHESLPNGIVFKP -KPEYIVEQKLAAKNKPSKYKNAATGLRIGKKGRQKIREEEVKSGEEDDTYPPFIDEFGIPWSSGDLAVRA -AAYLDSSAEMVYVKTSSMREQTEKFKKVIGDAALEVMSRIKDNPLKSLGIVAACATTIALCVKAFTMVTE -SRHEPQMRTKYNSDHARRRPEIAHRRRYAPLVRHNLDSETDKGVINKISANCGYLDRAGGRVGCFFVKGN -VFRTVTHFFRTSATADRWLPDGTPFSVFAREGDEMVEYKMSFNMEFVLPYEDENGLIADWLYYNCGTRVP -PKKNMVGFHVSEKMFTHRRDFRSVLMVRTDSVSRGTTAVVRCIANTPAVQYSSIDGSKRPDVYIPTAIMS -NYDCDHGDCGYPIVGKVDGQYKILSLHVGVRSKPLGSESTSAVVTMSEVNTCLDMLSQGFPIILQSKLTD -VTPSKPAVELNDSYTYCGKLDWAPKGISSKTKYKKSLINSSIDKKIIFEPSIMGDSEDVRTSKTPREVLA -DIANRADSTLEVMPKKLVMLAGDALFESIITRIPVDETTRIHTLSLDEALNGDGRFVDRYPTAGSPGIPS -TLKRKHGVTGKHGIMDQDRDGRWHISDPECAARIEHLHKSFSEGVVEPYFNQFCIKDETLKCNELGVVKK -TRGIKCAPIESNLCGKRYFGGMVCLFKQFFHCIPFKCGMNVFSSDWDDFIKWHLEVGDVGFDGDIGGQEN -IIKGEIYDELYRFTNRIYAHYGETPTEEEKRQRASYLASLCHYYMVIGPDLFRAKFGNPSGNWLTAFICS -FTSGILLGVAYFGLAMKHDPMKANVHYFLSLVRMSLSGDDNFVSRSSLLDWFTGANVSRHLKDNYGYMYT -DAKKALTFPPDRDVIMLNFLACNTRLSDEYAGITYMACIDDGPLEKCVQYVSKKAANGDEYIAIVDNANT -ALDLVWTSGKKRFDEYRQKYLRAFVDTPDCNVPVLHDFNFCEQRFLEKELLSENYFGDDYLFLPHMLKAP -EQVNPEFQDAQVNVVAGPTTSTDTQVTYNCTERTVLEPAKRFSSMYDCPEVKSPVPSYVESVSSAFLTRQ -TVSGDFRPMAGPLAWFAGPFAAWSGDLRFGFKCSSELLIRTDATERLANAVAPPKLIANDISSMCPFDYT -SPDHPWALVQIPSTIPYKFNILPKITGEEKYRQTTSASFLVDTASGVDRSRLTILASAGDNYSTHFLFMV -PSINIARKFYAHNRNYQDALPEYLSLKNTPGAPPFPFTQASVIINYKDQGTVDSDGSVITAINYRTEVFG -DTELIALGVVATAPVNRRYDPGAVREVECDMKNCVARSMDIEVQPGGVSSGANGFNLDPTVAVVRGTSYV -DPPVEMASGWYLTYQAYDNPLVRVLLNGTGIPASEIVIPTAQSGFEHSPAESYIVPAVNSAVGFLGGDYI -LWDSTPTYPKAFYATPILPFKDEEESKDGYSFIRHMSSNDHGIGFTNVVDAPIVTTDRKPQVARKQMGEE -KYSFDSFVDRYQLIRSFQWDDTQVQGTVLDSRSVPYQCIGSTTKAAFNKFCYWSGDVEIKVQVQSTAFVC -GKLIIVFAPFCDPARASYLQLPSLISMSAAPNVTVMAGNTTEVTMMIPYAHYKNYLNTDGEAGDPFSLLG -TVSVVVFNKLRVAAGGTDYCTVNVYSRFRNSNFQMLRPPPIDGNVPVHSFIMHGAAMSMAKNVSGVVDDV -TDAVSRVGAVAEYALDAPNVGVNYTPVFNRAAPMLNHSTNVHYLNVMDMHPGQQSLADVRDVASSIPECT -LSYLLTKPTFLNTFSIKDSDIEGEVYMVLPMTPTMKLFNAPLSSLVDETLMGYVAAPFKYWRGGFKLNIE -VVATSVHTARLVVATHYGGASSSVSMDNIMAQNAEILEIGAGQNTFEVILPWRAPTQWLETPAGPPVPTN -PFEVSSSARYSMGEVSIRLLTRLQTMPSVSPEIDCNVYVSMTDDAELSYIGMNTADLVPVFVPNSQIIP - ->YP_009336663.1 hypothetical protein [Wenling picorna-like virus 6] -MKFAEKLSDALDGSTIAKGVASKVEETCDKVQCLASNANAAVIKNVKDIGNCVQSIENTNVKAQCTLEGL -DSVLEKCGGFISKVTDKVQSIGKNLEKVFDVDYKVFIPILLVPIGLALLAAMIVSKLKCTGHFKKLFQSD -VWEMLCNIANGLITTLIVSSSMVCAGKLWTDREVIMEYFKQMFEDENEQVYSVINSGETVFEQDDPADYK -ERMMQAINSDEFFLTGESESGRIMSEGFWRGLSAIISTGVGAWVTKDTPTKSTVQRIANTLNATARYHGI -FHSMLDLVRFCSHFLPGCVKEWLYTAFGCYPGMILDKENISCWWKKCIAIIEKVNTLGQVFYRKKENRDE -VSSLFYESLDIQSELRWLLPTTTEMNLLRNLFTTLDNMNKQRLAYEGIAPVRQKPTVVHISGETSIGKTD -FVHYLINAVYPEEEVRDVFYTKNTQDAFWTAYANQEVVVIDDYLAVTDDPTSVDLMQMVSSLKYMPNMPS -LSNDSCGKKGTAFTSKLIVITTNQPPQAPPKNISCLEAYRSRLHVCVKAVVKQHFKVGGSFSPDVLKTYR -QRNQDEENPCPHLNFHLLNAMNGERLNNVDYEFADVVNMVKNCMTAFEKDMLLIARDTATPHETSAGERY -QSWYTTREAQLGAKKGAIHKLLSEGIATIVGRTYEGLRWLDVQAADFIDLLYDSIKQKWKGSLFPLLMIL -SQSEKXFSSLATKAKRLCGLQPYADIKCIPKAVRDRNFTIKINRNKAGFVQEEQMQTEAKIVFSKSNLAF -GSVIRDPNTTVAAAETFLDQFRKDNGEDATAGLTITSYNLVNYCKTMSKISECAEACFDIMDYRYANEYA -YLHTLWTSKEYYKNFSSFSSYVLRMLAEMRVDGYMEDGTLRYAMVDTMQNIAERIVKDIEIQAVCVEESK -RNEKKNRNVNAQEKDVQAYNTRANYLLGVSSNGEVKKEEKVPDETIKKGRDLQRPLTEEEKKVGLATRIR -LKLESLGAYIKNAAKKMIDTMPKWMKILLKVATFIGGILLGVIGIIKCAGAVKSWWGGSEDQCETESNRG -AWRQNALQILAMMNKDANSELKDATNDQLLELVKMRALEMGILGKTIDDVDVLLSHNLPVISKSTKLGTA -ILTILELMPEEAFEDLPEDVARSISQDMDYKMEAMDYEWLQGLHRDLGDYLKRRLDYNFSIKPLVGDMLG -DGCFTSVALANARDNAPLPVTVVHCEGSSGKRETSARSRFSKQQRIADLRVRMVKAVSQMASGEAYVVSE -SMVLLNRGGEGGGSIYAIPIGANKLLVPWHFFFDRLSDKMVVGDGDVIQITYKGLIYEERFQASSLNVIR -TGPKKMPTDAVIYTTSSDIPSFKSLFKKFITKSDLVDCVEVPSAVFLYREGRFQLVDSGLAVQNVAEEQA -VNGGQAIWNIGKRLLYNPVTQKGDCGCPVIRKDSFSRPIMGMHVSLRSCHATGESASMGLMFTQETLAKA -LKETKDNFRCELPDCDITVVDQAKQEDTPRGVFDVLGEVSVQDAIKLPNKTKYVCSTIHRECELNKELTF -QPAVLKENDPRVEKRAQKAFGYRDVHPVVNAVEKYGKPIISFDARILEQATVYVTMKHDLFDHDVDRRVW -SVWEAINGRTDLEYGSGLEMSTSEGYPWNKSRPKKFTSKKWLFSGEKPNLEIQHPPLKKAISDRILEGTH -GRRVHSVWMECLKDECRETGKILLGKTRHFTCAPIDFTIVARMYLGSFCDFFYKLHRSNLYSAVGVNCYS -NQWGQMDTFWKSVGDRGFAGDYKSFDGTVTPQEIDCVRDIVNHFYRKAKHFKAQEEVMRNVLFDEIKYTL -CLARSTLYYVNRGNKSGVMMTVIINTIVNYVRHLYVWKRVSPSDMSLFTHFDLNVAVKIYGDDDKKGVSE -KALLFFNGNAFKEVMAEFGIVYTNPNKLERNFKPEPLDKIAFLKCVTGYLRVGDAVEAVPLFDLEATNKT -LNWVTKTLPCFEATNVNINSVLMKVAMYGRETFNKHACDLYMAYGRKRHPNGVLTIMLTYEEICEMFSLG -TLDADGGEFYNGIIDPIRYMPGNSVRSERLEKTCPAPVVWVYEPQMKEPEEEVKSDPVEGQTEISEPEKH -KPGTKLESMGAVREKIKVKTISKIAEGSVCEEDWSFSETSERWQFIKTFAMSVAQGPGTVLQGYSVPWDL -LSAPIAALGFGAFTFWRGEVEVSLHVNATKFHCGQLIAYFVPLTNRAIIDAWHSNSRCCQSLMLHAMVDV -SSDKGVNVKIPFVHPKQWLNSNFKDEDHESLGQLIVGVFNQLRVGQGSTSISITVYVSFKNPHFRVPRVI -TTNPVTTQGVVNSKVQNVNLDHVIGTNLPLDMQGDKLTNKTDVSSMDKPNIGIHPPEVVRRQFPMLASGF -NIDYSEQLTLKANYATPATPDHFGCTEDEMSIRSMVTRRCFSHTLELQSDVHVNTMISSGYLEPTESMNN -LRTHTTGCVPLLDMYSAPFAFWHGSLIYEFHAIISQLHTCKIAFCVHYGTDVIPDTFAEAARTYVVMQSI -NAECNVLKTTVPFKSAYPQLPIVVGQHTNRLKGAMGRWSLWLVNPVRMVDTISPVVDINVYRYAGKDFRT -TYISPSGMSLVYTATDQMMSADMALGTARESVTAKDKVEDCAIPIYGEDYDSIQAICKRFTCIGTGTFNV -AGPVGSFGKLAVIPISQLAGQASGRFEMALGRPWTCIFRVWRGSLRFKLLFNVPLNKNVLVAATFVPGEF -ADESGVVAAAMGSLPVATTNKSISNVPLAWVVAGKEAPFLEIQVPFVTNKNFIMRRSPSFTSEEFVNTGH -LVLLADGNPGRIPYTMWSAGGDDFRFGIIWGLPFVQIADNSVTDHFTSKTVDTHEVTRSVRFIMEDGSTA -INGNDFSGLLVGVISVEKHHQDNVSDWYTPTEFTIDIKDLHDAGHDDTIKKLFELPDTDNITIVDGETIR -LRSLTYGDPPRKYKITGDTLRFLCVKNAGTGVFTDIIKKSWFEAKHATVTFGNKRVANMEAGTLIMPTCK -LSDYVDYTESGPKIEHDAAFITIVNNLHGFEAADKWFRAKNVVSTREYMFQVDTWDVMETGTGTNGHNWD -EVNAVGGNVECVVEWANDQVPVFANELSVYDKV - ->YP_009333579.1 hypothetical protein [Beihai picorna-like virus 106] -MYSGKIVCAGDYHKDCGTSCWFTPAVTSERSVSLWLPSICSGEARRFRGTKEYRVTTGQWHACKDFYNLW -CKLPKVKPTRVEKCEVKMPKHADDHFDKVMGEIQGAVYGTMKGAYQAFTKATRACDIINALMDCVEKVAS -IGREFLLSPVTLPVFVTSVAIIIIRVIDKMIHWFVRTFGPQATKETMYCAEKPEGCGDKLYYVTKVLVAV -LKIAGLTLAVGGLIDMLKEMATEQELRGCEIEDIPEYWSKAPKEEFEGYSDVCSTSDTDVDCLQIEGEMQ -AGTIYFPTAAMVSVILGYACMGKSDVPVSAANRVKEFINNMLKVYAATGVITSLGVWMYKICPAAVQEWV -SVIVGSPPGATMDDPNVKQWFETAGGVRQAYATDPDCVYTDRRRDVIFLWETMHDVGSILMARKASNLEV -KEYHELAKLITLLMRLIWANEGASPTRQVPTCVYIWGPSQVGKSFLTKTLCKDVLGSEGDVAYTRTSSDS -FWSGYTKQPAIVFDDFGQTTGNEEAATLIDLISPNLYRPPMAGLEDTSSGSAKGVICTSKLVVLNSNIDP -STAYDKITHQAALRNRFHVCVQTRVRAEYIEGGGVNFNKVTARATPTNPVPHLLFMVVNPHDGKAWRDEA -GAEVHMTYAELIGMIKLKMRAHELEYKQTLSTLNETKSDQENEVRLLSMRETAIIQKKAKLLCCINAGLI -KPFITVGTICDAIAGAPLREIDALLNQFNSPAWSEYPKFYESLWDFSYNMQKTHMLHKDLRLALVEDAKP -GALDAIKAAPALVRGSVHSKTEPDRVCVPSARICESFGVVYKKGEYVPDMAHLANLYGLSFKPTQKFCKS -TPAIKCDFQIMPGHMQVRQFPNRKPQPRVAPNVTLANDKERYDTGLMKAMEELRLGVDEVEIPEVRGRVQ -GITSGVDECSDSESEIIVLKKHSGYGSTREWAQQRMGYMKQYQKRLSQYMVDWWLNLPKWAQRALRYIAM -AATIAAAVFAAYTLYKRIFPDSPLSEAIMVGEVQRQHGLEKQKLINIISVVTKDVNERLGTMDITELRKV -AILRLQEANIDVTENMPLSVFVENAGEKPDAAQALYAQLYSALKSCPEEVKDRLPLTVAEVTTASDVSTL -KTVRQQLKKAFNLMTDVDVSESEAILSQSPRISYMMYQLDKGDIADVLPEGFGQLVEGEVQSPEIKKHKS -AANKRWAATHKRAVIHKTKQAVRNRRIWKGKTHVGKAVTCATPSIELDTDSGRLYALPLKQRYIVFPRHL -MKMLKNGDRFDVKYKGVTFRDTYQSSRAHCAFFPKSGNLSELTVYCLGDHVPMFKDCMKHYPTLDELDEI -ESIDGVMIKTARETKVVDNVTVPACSGVVQNQRSTGFASRWATMVVDKPDFYQAGDCGSPVYFESEYGQK -TLSMHVFWTPERNMAMSVCLCKEELEMGIKTLSKFSGQVQVAYEGKGVSQYGNFELMDKEFVDKDEKRHT -YYKESPLNDGTTNLRPGPMVSSDVNIIEANRPEEERGHSIILAGIMRFSNKNPPYPLELREKARELQLQE -YLLAGYHNQPRELTWDEAINGIPGLGPMDMSTSPGYPFVKMGYQKKRDLFIWNEQEQCYEMGEFLRGEVL -DAYAKTLQGVVPSLYWSDSLKDELRPLDKVQAGKTRTIVGCSVVATLLIRKFLGHFTAFRNTMYDRSSSA -VGMKPFSPDWNDMISYLSKVSSVGFDSDWKRYDGDVGSEEWVDDMRVCQEWYKAQGVTSATFHLGLEVSY -YLMTHRLTYGAKTEYILHSGMGSGCPLTATMNTAANDRRMRMYWCHITPKCKWSLTKQMKEIAAKFLGDD -GIYASALTNFNALDYANWHKQFGRKMSPAKKDEGLTKALLPITDLSFISLTTQVTNHVLGGQFRACPELR -ARDKLFNWVSATNLMTKDQNACLRMTAWMWMNTWMSEQQYTQELVRVANLVRQRIPHAQYCLDLPEYGNL -QHAYLSGTIELWDEPECPEGTMSVGRVPRMALVEQPVVVNPKADNIRRALGGQKLCKGSVQADKGEETAG -VFTPVPLETTAEPVTETSGVKMATTEKPVLQGPKTTAIPRGINDRGVDMNTIVSRWAKITTKTWGTHEGT -NLVLWDAVLPWDLLIRNTIRTPFEKMRMGKFDVEIRVETTATKFHSGRLILYWTPLSTVGKAIELNGNNK -AAQSFLHNVMIDPSQTGPVELRIPFVHAKDCLNFEESEDSEEHMGSVVLSVFTPLRIAKDSDPQHICNTA -DVAVTIYARIVNGHFSFPTGSSPIPGLVQGAASTKINKIFVTGNNNVIPTEMQGDEYDQQADLETSMDNP -SLSTTPLVIARKGVPGFSHSEGVEFVDKLTLHPNQISVTKISHFRDDKDMMSIANLLEKPVYCDTCVWES -CTATSHMLTHGLISPTDCIEGNTPLSIKLMPMIEFISKDFTLWSGSLKYKIDVVASNMHTGTLVFTVHYG -RTTPPSDMDDAMAEYAVTMMLTDQQHTFDVTIPYQSDIPQKYVTSGRTTDHESYTGCWALWVQNALRCPG -SSFPQVDINIYKSAGEDFKLTYLGMNGCGFQGLTHGDIDMGLAPPKQVVESYDERYSSLRDIARRYTRVT -KWNVPTGGADQIILVRQLIKHICAPWMNIYGAWRGDVRVRLVFDPQVTIKTYYVPHHASRLMKPTAAMKM -LQGENYAVPIAMAAGVGAVQYMDIEIPFVTPYNFMVNDDFSSYNEMGSLILDARNSGAVATVDIFVSGGD -SFRLGVPRTIPLVHWMSKFDPIGQVGLKDKVTVVPSTIVMDYPIASGIVNAVGYDSLTFIQGTIEHVHEN -TATNPAFSVNRCRINTKDLTLQQMIDIGVVPNSVGATDAIEYSEDVWHIVAQVTDSPHKVFAPGGKQPLA -IPGKYTFVFETGRVTASRADMIAHGATMEENMGTSTDDFKYVVESVDFTTSPTEDGAMNYIVTRLLSPSH -KTLVGAVIWDPSKELTVSVTTKLVPGKPEFGYWNETQGEESYVDFGEYTAAGANDLRRFQQ - diff --git a/seq/clusters_seq/cluster_958 b/seq/clusters_seq/cluster_958 deleted file mode 100644 index f43f1d4..0000000 --- a/seq/clusters_seq/cluster_958 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_009505710.1 capsid protein [Nudaurelia capensis omega virus] -MDSNSASGKRRSRNVRIAANTVNVAPKQRQARGRRARSRANNIDNVTAAAQELGQSLDANVITFPTNVAT -MPEFRSWARGKLDIDQDSIGWYFKYLDPAGATESARAVGEYSKIPDGLVKFSVDAEIREIYNEECPTVSD -ASIPLDGAQWSLSIISYPMFRTAYFAVANVDNKEISLDVTNDLIVWLNNLASWRDVVDSGQWFTFSDDPT -WFVRIRVLHPTYDLPDPTEGLLRTCSDYRLTYKSITCEANMPTLVDQGFWIGGHYALTPIATTQNAVEGS -GFEHPFNVTRPGIAAGVTLTWASMPPGGSAPSGDPAWIPDSTTQFQWRHGGFDAPTGVITYTIPRGYTMQ -YFDTTTNEWNGFANPDDVVTFGQTGGAAGTNATITITAPTVTLTILATTTSAANVINFRNLDAETTAASN -RSEVPLPPLTFGQTAPNNPKIEQTLVKDTLGSYLVHSKMRNPVFQLTPASSFGAISFTNPGFDRNLDLPG -FGGIRDSLDVNMSTAVCHFRSLSKSCSIVTKTYQGWEGVTNVNTPFGQFAHSGLLKNDEILCLADDLATR -LTGVYGATDNFAAAVLAFAANMLTSVLKSEATTSVIKELGNQATGLANQGLARLPGLLASIPGKIAARVR -ARRDRRRAARMNNN - ->YP_003620399.1 p81 [Providence virus] -MQNLPVPNGESPPKTDEVRDSRRNSDDEEPEYPRGDPIEDLTDDGDIEKNPGPASANQAGKRLEPRKRTR -SIRSRQDLDTMHRVVVSVDVDSLTTLMGNIMTLAGSGGRGSLLTAGDVEKNPGPQMTRENKAPNMSVRAQ -NVTVSNSRRRRRKNRKPRKQVEQATILKPQLLPGESVAPSGGRGTMDPPVHEICAQSIDPSEGAVGWFYK -YMDPAGAVESGKALGEFSKVPDGLLRYSVDAEQRPIVTIECPTVSESDLPLDGKLWRVSFISFPAFRLNF -IALANINNEALTLETRNTFIQTLNNITNWRDLGTGQWAQFAPGWYYSIYVLPNTYAMAEIGDRTDSVTQF -RKVYKGITFEFNAPTLIDQGWWVGAHIPVKPQSETIPAAERFSAGSMTVSASNAIFQPSNTVARIVWSIT -PLPVATVALTTGTGGTNNTSGKFFSVEIDGNVNSVWTFTAPASILAEGEPFAEEGDTTSFSMTTITADTV -VYSVSSSLTGSSVIVRGVTKGSGVSITPVTVGIDTEAVNRLSIEMPALTTEEVTTNVPKYEQFLCKESGG -AYIVHYKMNNPVFEMTGEENFGGFQFHYPGYDPENNALGLRGIVDTFENNFSSAVVHFWGISQSATIVCK -TYDGWEGTTNAGSTVGQFAHTGAEEEDEVVQLANRLQMELTGVYQADDNFAGTVSALASIGLGLLGKSSA -TPSVIKGIAQQAVGAVQANPGILEGAVKAIGSVGARLVGSIKARRARRRARRAK - ->YP_025096.1 capsid protein p71 [Dendrolimus punctatus virus] -MDSNTATGKRRSRNVRIAANTVNVTPKQRQARRRRARSRTDNVDNVTAAAQEIAQSLDANVITFPTNVST -MPEFRNWARGKLNLDQDSIGWYFKYLDPAGATESARAFGEYSKIPDGLVKFSVDAEIREIYNEECPTITD -ASIPLDGSQWSLSILSYPMFRTAYFAIANVENKEISLDVTNELITWLNNLASWRDVVDSEQWFAFSDDPS -WFVRIRVLHPTYDLPDPTEGLLRTVSDYRLTYKSITCEANMPTLVDQGFWIGGHYALTPVVTAQNGVEGS -GFLHPFDVTKPGNTAAVTLTWAGMPAGGSAPAGSPAWIPDTSSQFQWRHGGTNPPGGVVSYEVPQGYTMQ -YFNTTTNAWALFANAGDVITFGQTSGAAGSSATITITAPDVTLTIVAPSTSTGNVINFRNLDAEVAAASN -RSEVPLPPFTFGQTAPNNPKIEQTLVKDTLGSYLVHSKMRNPVFQLTPASSFGSVSFTNPGFDRSLDSPG -YSGIRDSFDVNMSTAVCHFRSLSKSCSIVTKTYQGWEGVTNVNTPFGQFAHSGLLKNDEVLCLADDLATR -LTGVYGATDNFAAAVSAFAANMLSSVLKSEATTSVIKELGNQATGLATQGLARLPGLLASIPGKVAARVR -TRRDRRRAARTNK - ->NP_049237.1 coat protein [Helicoverpa armigera stunt virus] -MGDAGVASQRPHNRRGTRNVRVSANTVTVNGRRNQRRRTGRQVSPPDNFTAAAQDLAQSLDANTVTFPAN -ISSMPEFRNWAKGKIDLDSDSIGWYFKYLDPAGATESARAVGEYSKIPDGLVKFSVDAEIREIYNEECPV -VTDVSVPLDGRQWSLSIFSFPMFRTAYVAVANVENKEMSLDVVNDLIEWLNNLADWRYVVDSEQWINFTN -DTTYYVRIRVLRPTYDVPDPTEGLVRTVSDYRLTYKAITCEANMPTLVDQGFWIGGQYALTPTSLPQYDV -SEAYALHTLTFARPSSAAALAFVWAGLPQGGTAPAGTPAWEQASSGGYLTWRHNGTTFPAGSVSYVLPEG -FALERYDPNDGSWTDFASAGDTVTFRQVAVDEVVVTNNPAGGGSAPTFTVRVPPSNAYTNTVFRNTLLET -RPSSRRLELPMPPADFGQTVANNPKIEQSLLKETLGCYLVHSKMRNPVFQLTPASSFGAVSFNNPGYERT -RDLPDYTGIRDSFDQNMSTAVAHFRSLSHSCSIVTKTYQGWEGVTNVNTPFGQFAHAGLLKNEEILCLAD -DLATRLTGVYPATDNFAAAVSAFAANMLSSVLKSEATSSIIKSVGETAVGAAQSGLAKLPGLLMSVPGKI -AARVRARRARRRAARAN - diff --git a/seq/clusters_seq/cluster_959 b/seq/clusters_seq/cluster_959 deleted file mode 100644 index db9e2c7..0000000 --- a/seq/clusters_seq/cluster_959 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_001531171.3 Nonstructural protein NS2B [Dengue virus 3] -SWPLNEGVMAVGLVSILASSLLRNDVPMAGPLVAGGLLIACYVITGTSADLTVEKAPDVTWEEEAEQTGV -SHNLMITVDDDGTMRIKDDETENILTVLLKTALLIVSGIFPYSIPATLLVWHTWQKQTQR - ->NP_739586.2 Nonstructural protein NS2B [Dengue virus 2] -SWPLNEAIMAVGMVSILASSLLKNDIPMTGPLVAGGLLTVCYVLTGRSADLELERAADVKWEDQAEISGS -SPILSITISEDGSMSIKNEEEEQTLTILIRTGLLVISGLFPVSIPITAAAWYLWEVKKQR - ->NP_740320.1 NS2B protein [Dengue virus 4] -SWPLNEGIMAVGLVSLLGSALLKNDVPLAGPMVAGGLLLAAYVMSGSSADLSLEKAANVQWDEMADITGS -SPIVEVKQDEDGSFSIRDVEETNMITLLVKLALITVSGLYPLAIPVTMTLWYMWQVKTQR - ->NP_733809.1 nonstructural protein 2B [Dengue virus 1] -SWPLNEGIMAVGIVSILLSSLLKNDVPLAGPLIAGGMLIACYVISGSSADLSLEKAAEVSWEEEAEHSGA -SHNILVEVQDDGTMKIKDEERDDTLTILLKATLLAISGVYPMSIPATLFVWYFWQKKKQR - diff --git a/seq/clusters_seq/cluster_96 b/seq/clusters_seq/cluster_96 deleted file mode 100644 index 19e6418..0000000 --- a/seq/clusters_seq/cluster_96 +++ /dev/null @@ -1,853 +0,0 @@ ->YP_009825008.1 spike protein [Duck coronavirus] -MLATLVLLTTVLCVANPCLTFNGTSHGVHDGNFTARVCDGPAGYYSSSPIRPSDGVYSVNGFYKPVYTCC -IRHTYPKNTTQCANKKVSVLYYINDTTEAFSITIPRAVVIKPDTESFILSLGDFLLTAKQLLVYGTIQSL -MFLRNGTWYINGVPALPVSYTFNTTLNVTHTSCYESIGAQTFYFTSLILSNGLVEFSAGNLLRSVACEDN -TIINSMQCSHQRFNFSTGLHSYDSVVPVSGNVTYIPYPGVGDNSSLELYSLNVSLRSKGNYGVHYNYTCV -NASLYTYFRVYCQDEHDWNTEKLCTVSDYYVPGRHLYGSQHQYVGIVPHYTTCSSLGLSLSNINNNLGFD -TFCITNARSTYNIAEVTQFQCLFVIDVMVFDATGGSSWGQPIEYFYVGLDFSFGNRMYGVLQIPPQVIYE -DQCHSYDIYGIKGTGHIYNVTGYDNYTLSTGGLAISGGNGLLAFRNNGSLYTVKPCSTVSTQAVIVANQL -AGLYLPLSCDIVFNLNLGNETTPVDGGCLVFNSTFKNRQSQLYGSECYGVFATIGSSCIYANSSVLNRTL -PRAGVDTTVEPLLDVTANVSIPYTLTLAVTTEYLQTSYQKVTIDCARYVCGESLKCRTLLQQYGSFCTSV -NNILAGVNDNEDNGMLSFVEAINTGYSLNFSSFNSSNLGGFNLSLVLPNSAKPSGRSFIEDLLFDKVVTV -GVGEVDANYDKCMDSRGGKFTNAADLTCAQFYNGIMVLPGVVDPDLMTLYTGSLLGGMSFGGLSSAASIP -FATQVQARINYLALTQSVLLDNQNLIANSFNNALEKIQSALDVVSAGFQEVAKGFETVSVALSKVQDVVN -SHSEILNKLMAQLNVNFGAISSSLSDIYSQLDELNANAQVDRLITGRLTALSTYAASLQLAAYKAEESRK -LALQKVEECVKSQSMRYGFCGNGSHVLTIPQTAPNGMFFIHYTYPPASYITVEAVPGLCVTTRTGSYGVM -PKTGSGIIFRANGTFFVTARTLYQPKLLSYSDVVNLTSCEANYYNVSQDETPFQPELPSFDDEFDNIYTE -LNTSKDLIDSIYKDFNYTIPILNLEDDICRLNSSINALWNYSSIIDEINAALNDTYINLEQLNKVTRYIK -WPWYVWLAIGFACLIFVLILCWIFFMTGCCGCCCGCFGIIPLMYKCSKKSSYYTTFDDDVVGEQIRPKKF -V - ->YP_009755890.1 spike protein [Alphacoronavirus Bat-CoV/P.kuhlii/Italy/3398-19/2015] -MNLFIVVLCALMSLVRPQRSPCAPTTGMKIQLGLPPNRTVYVSGYLPLPNNWTCLSANNQGPVFNRIVGK -ARAVYIRYIQGGYAMSFGVGPSSVQNFNGYSLYVTQKNDVLVGNRQGAMDIRICKYKKNKDPAQRPSGGL -TDTEGCLFADTIYFAFGTGIPHGYEVIGVSWTGDAVTVHGKTKMFRIFVPGADKWDSVSVNCQNSWSCAQ -QIITEPSTIIATTAPNGTIVNYTRCANCKGFPDHVFAVEEGGEIPVSFSFGNWFYLSDGASPIGGRFYSS -QPLKLMCLWPVPALVSNTGVIYFNYSKHDLTGHNGDFAKRCNGYFEEDFVATHLRFAINSTGHLQTGHLS -LVSVNNTFNLTCSNTSDFQSLPFNYTGFGIPFGKTDQPYYCFVTQGNDTQYSNRTFVGVMPADVRELVVS -ITGAVYVNGYRLFSVGPVEGVLLNLTSLTGSDFWTVAYTKEVNVLVDIENTNIKAVLYCNDPLNRLKCQQ -QRFFLDDGFYSSAEVAQPIQKSVVLLPEYSGLTEFNVSVTANWKHGNGACIQCPPLNYNITLNGATDGAV -CVAHNRFTVNFQYYVDSGFYKIISGHGTCPFTLDKLNNFLKFGSLCISLKDNGGCTIPLVAKNYVDIDFP -FATLYVSYIDGGLMTGVPPGFAKNLGFYDASVLHLNVCTEYNIYGYAGKGVIMRSNSTYLAGISYSDSSG -ALVSFKNTTTGEVFSIRPCQTSRQFAVINDNIVGVISASSDINVSFNHTIETPTFYYHANTSRNCTNPVL -TYATIGICADGAMGYVYPTAIKEPPATPIVTGNISIPVNFTVSVQAEYVQVSLRPVVVDCATFVCNGNER -CLQLLKQYVTACSSIENALALNARLESQDVASLLTYDEAAYRQALELKTSQFQNDFNISAVLPAGENKGS -FIEDLLFDKVITTGLGTVEADYKACIERAGTAAADITCRQYYNGISVLPALTDDGRLGLYSASLMGGITL -GAFFGGAVALPFSLAVFSKLNYLALQTDAIQENQKILANAFNTAMGNITNAFTDVNNAIQQTGDAIKTVA -NALNKVQEAVNTQGAALEKLTAQLALNFEAISSSIEDIYNRLDALAADAQVDRLINGRLAALSTFTSSQL -VKYSEVKSSRALALQKVNECVKSQSSRYGFCGNGTHLFSMVTGAPEGLLFLHTVLLPTEYRQVESWAGLC -VSNKAYVLRDVQQVLYKYNDSYFVTPRNMFQPRVPVVADFVQIQSCAVTYVNLTTEEFETVVPDFIDVNK -TLQDLVDALPKPNYTLPNFPLDQFNHTYLNLTAQIGELEARALALENISARLQGHIDSINNTLVDLEWLD -RFETYIKWPWYVWLAIFVVLIILAGLMLWCCIATGCCGCCSCITASCAGCCDCRGRNLQRYEVEKVHVQ - ->YP_009256197.1 spike protein [Ferret coronavirus] -MFEMRTPVFLTVCIVLLQYAHCDTFNCSTLHNTTLWATDQTRLLDYFIANYSSRLPTGASVVLGDYFPTL -GPWYDCVSNTTYGGVVLEDLRALYLDHQQGVARDLAFAVYGYPYTAIVVLNKELFNGQGYGGLLCFCNGN -STIPAFNANCSTSCTVRSFRLCADESICHERILGLKWSSSEVVAYLAGEVYSYKLSNHWYNNVTIKTQTN -ANQIYWWFNPVRDLSYYNVNKTVDSTIVVSNCTSDCSGYAANIFAVETGGFIPSSFSFNNWFVLTNSSTI -VSGKFVSSQPLLVNCLTPVPSFGDETSIINFDTVPSQCNGATVNGSFDVVRFNLNFTANVASSSGTSFLA -LNTTGGVVLYLSCFNETKTTNLYMSEGALPFGTHEGALYCYVSYNETSFKYIGVLPPSVKEIAVSKWGFV -YINGYNYFQTFPIDSVAFNLTTGNSGAFWTIAYTTFTDVLLEVSDTQIKSVTYCNSHINDIKCSQMSENL -PDGFYPVSQHSLPNVNKTFVTLPANFEHTSINVTGNVLLIYYAHPVFRSGNVTLHPQGTQTICVNTTQFT -FNFDSQCHQLAGGGCDGIGASMISIDSGNCPFSPDKLNQHLAFETLCFSTLPTGSDCAFSLTVQNRYFTR -VFAHLFVTYKYGLDHLGVQTPDVGVKDLSVVYQNVCTEYNIYGHAGVGIIRSTNQTLLGGLYYTSLSGDL -LGFKNVTTGEVYSIVPCQLSAQAAVINGKIVGAVTSVQSPILDLPHHIVTPQFYYHSIYNYSATPTSYRT -NNGFDKYLVNCTPIITYSNMGVCENGALVFINITQSENPVQPISTGNVTIPSNFTISVQVEYLQMSSEAV -SIDCAQYVCNGNPRCNRLLAQYISACHAIEQALITSTRLEALELESMISISDNALALATVETFNSSDYLD -PVYNDQHNTIGGIYMDGLKDLLPRRSCANKHGTCRSVIEELLFNKVVTSGLGTVDEDYKRCTNGLDIADL -VCAQYYNGIMVLPGVVNADKMAMYTASLAGGITLGALGGGLVSVPFATAVQARLNYVALQTDVLQQNQKI -LAASFNQAIGNITLAFGKVNSAIQQTAQGLSTVAQALTKVQDVVNSQGKALNHLTAQLQNNFQAISSSIE -DIYYKLDEVNADAQVDRLITGRLASLNAFVTQTLTNQAQIRASRQLSKEKINECVRSQSSRFGFCGNGTH -LFSLANAAPRGVMLFHTVLLPTSYKTVTAWSGVCAISNNKTMGFIVKDVSLTLFKNHDDKFYLTPRTMYE -PRVATMSDFVQIESCTTTFVNATVAELPSIIPDYIDINGTIKDMLDQYKPNWTVPELTIDVFNQTYLNLT -GEINELENRSVILQQTVLELESLIDNINGTLVNLEWLNRVETFVKWPWWVWVIIGLILLIALPMLLFCCL -STGCCGCCGCLTSCLAGCCKNSCKRPSYYEPMEKVHIN - ->YP_006908642.1 spike glycoprotein [Rousettus bat coronavirus HKU10] -MISLAVFIAEILVIITRFSCLADQCQGTPWLAKNLNLGLSPNLTNVYVPGLLPTKDTTKWYCRTESYFFT -GYKGVFLSYHSFGMPWSIGVSNSDLSVARETWSLYVSHGNNRGVMTFRICKWPRVPGLAQEPTPNTGGVN -CVVDKQFPFTFQHEANEMVGITWSSDYVRIYGLQSTYRFYFPNKWNKVNVNCAHKSSCQVYPVKDSVTWV -VNTTADGRVKDYTICGSCNGFPQHVFPVSPGGLIPPDFSFDGWYVLTNSSTMVQGKFVASQPLKLLCLWP -VPALDSSASVVYFNLSRSSAQCNGATENGLADALRFSMNFSRNGFSGAHSLTLKGAIDYVFRCTNNTNVT -SFYSIPFGYIKTVYRCFVSYNSTNGTVSEFVGVLPPLVKEIVVSRYGTIYLNGVRIFKLPPLEGVVFNVT -SDVGSDFWTVAFAQNAEVLLEVNATNIVNLHYCDNTLNKVKCQQLSFQLPDGFYPTTNLVDSVVPKTYVA -LPYHATHTYIELNITVNYVRDEIALTGGGELTCVNTTQFTTLFSTNAATDGNTYEGVVINVNCPFDFDNL -NNYLSFDSICFSLKPIAGGCTMRLVKQILVSYFDIGVLYVSYTPGSKITGVLQPTGGVYDPSIVHMGVCT -DYVVYGLTGRGVISVSNTTYIAGLYYTSFAGQLLGFKNSTTGVLYAVEPCDTSSQVAVYGDAIIGAMTSS -ANVTFGFVNTTVLPSFYYHTNGFGGCQTPVLEYGSMGLCADGSVVDVTLRQYQPAPVSPIATTNISIPLN -FTISIQTEYIQMYSQQVSVDCSMYVCNGNVRCLRLLTQYATACRNIESALQLSARLESLEVSSVVSVSEN -ALKLANITHFDSYNLSVLLPKSDGKSVVEDILFDKVVTSGLGTVDQDYKNCVGKLGVAQDIADVGCAQYY -NGIMVLPGVVNEAKMGLYTASLTGAMVMGGFTAAAAIPFSLAVQSRLNYVALQTDVLQQNQKLLADSFNN -ALSNITLAFSNVNDALQDASEAINTVAVALGKVQGVVNEQGQAIAHLTQQLTQNFQAISHSIADIYNRLD -ELAADAQTDRLITGRLSALNAFVTQTLTKSAEVKQSRLLAQQKVNECVKSQSNRFGFCGNGTHLFSIVNN -APNGLMFFHTVLVPTVYVTVSAWAGVCFNNRAYILRDVESVLFNNNGYYVTNRKMYEPRVPQFSDFVQVE -NCVVSYLNLSDVDVNTVIPDYIDVNKTLEDLLQQLPNHTLPDLGLDQYNNTILNLTAEIDILQNKSDLLL -ASTERLQQLIDNLNKTYVDLEWLNRFEQYIKWPWYVWLAILLAIILFSFLMLYCCCATGCCGCLSCLTNS -CDCRGKNLQRYEVEKVHIQ - ->YP_005352846.1 spike glycoprotein [Sparrow coronavirus HKU17] -MQGALLITFIAVVTSSPLADKILDFLTFPEAHAYLFPQSRMVRNIEGGATERCMYVQEGGFIPDNFTFPQ -WFVLTNDSTLLQGELTTSQPLIVNGHLCSWQSNQQYRKYSFNTSCPLPADGCNPTWGSGNLKLFKGWNNT -KGLTDNIRINVNISQSDYRTSAGSVGLELEGGGTVNITCTNSSTPVTTYSLLPWARSSGEPIYCFANVSS -PSQSYIDFMGILPPFVSEIAFDRSGSIYINGYRYFKISPLLNVELQLNFNLTSDHFSVTWSNYTEVHLNT -TNGYIHQIKYCYDPLDKLACEMNTFQLPDGVYPYTPAQQQALPETFVTTPVYANHTTVVVRTQYTVSSTG -INGPPSWSTVELDGAINDTLCVNSRQFTVHLNTTVHYTAAHLFGTEFVAGTCPFTLPNINNYLTFGSICF -STVNNGGCTIHVQKVLRHYRYTFGTIYVSYQPGNQITAMPKASSGTTDISTVYLNVCTKYNIYGKTGTGV -ITKTNETHIGGLYYSSLSGDLLAFKNSTTQTIYSITPCELSAQVAVYNDSIIAAFTSTENFTFSDFSYKL -KTPMFYYHSIGNTTCEAPAITFGSIGVCPDGGLIIQSATTNDVDAVVPISTQNISIPINFTVSIQTEYIQ -IEHHPITVDCRKYVCNGNPRCLQLLLQYTSACSTIEQALALNARLEAASIQSMLTYNPQTVKLANITNFQ -SDGIKYDLSSILPVSTGSRSAIEDLLFDKVVTNGLGTVDQDYKKCTNGYSIADLVCAQYYNGIMVLPGVA -DPEKLAQYTASLTGAMVFGGLTSAAAIPFSLAVQSRLNYVALQTDVLQRNQQILATSFNNAMGNITQAFH -DVNQGLSQVAGAVTTIANAFTKIQDVVNAQGTALSTLTTQLTNNFQAISASIADIYNRLNQIEADAQVDR -LITGRLAALNAFVTQTLAKLAEVRQSRQLALDKVNECVKSQSARYGFCGNGTHLFSFSNAAPYGLMFFHT -VLLPTQYATVQAYSGICHQNRALTLRDPSLALFQKDDKYLITPRNMYQPRTATKADFVYIQSCDITFLNL -TDTTIEAVIPDYVDVNKTIEEFLNNLPNYTLPDLSIDRYNNTILNLTTEIADLNGKAANLSQIVEELEQY -IKNINSTLVDLEWLNRVETYIKWPWYIWLAIALAFTAFVAILITIFLCTGCCGGCFGCCGGCFGLFSKKK -RYTDDHPTPSFKFKEW - ->YP_005352881.1 spike glycoprotein [Common moorhen coronavirus HKU21] -MQRFVLTILFVNCVYASFADTILDTLTFPGFSSKVFKRPKRLARVARQMQELTDQIILDNNLTRNFHVTN -QGNIYGGSYLLSYITNNSYPIFGVYRSYQPLLVRCVFSFSMNGNITGNGKDWVYTFNPSTTNGNCNSPLQ -NKYNGTVDAIRFVTNFSAFSSEITSFIFRGEHEVSFSCSNTSNVTAHEHLLIVNATTFKSNSNILYCYFT -SNFNGTLSSFYVGPFPPNIADVTIFRSGNIYVNGYYLGYIGMSIHNFSTHHVGLTYMSSLRGIFTDQVDV -LVNISNSVITNIVYCNHSLVNQIKCQRHLFDLPDGFYSYSSVSDVSVPETVILLPKEVTYSRVKLTAFAE -GNIFDGVSLSSLILSENKTNSNTTFNDTICVDTTYFNFYLKFACGGGSFRCSLSCRSAGCPFDLQGLNNY -LSFDHICFSLLNNGGCPIQLLAYWGSSFSRVLATIYVSYSPGTRITGSKDYRSGFIDQSIVTYNECTNYN -IYGITGQGVITQSDLSLPFGLYYTSYNGDLVAFKNLTNSVIYTVMPCHLSRQIVVYNNTYIGTIASQNHS -SKFGFESLISTPTFYYYSNNTNCSNPVLTYGELGICSDGGIKQVLLETDAPPSITPMFVGNISVPTNFTL -SVQNEYFQIQSEQVVIDCGKYVCNGNYRCLQLLSQYTSACSNIESTLHGAIQLDSVEVSNLITTSKSGFN -LANISNFQSDFNFTMLLADQPRTFSAIEDLLFNKVVTNGLGTVDQDYKKCSKGLAVADLACAQYYNGIMV -LPGVVDAQKLAMYTASLTGGMVFGGVTAAAAVPFSTAVQARLNYVALQTNVLQENQKILAESFNSAISNI -SLALTGITNAIEQTSESIVTMANAINKIQTVVNEQGEALSHLTIQLSNNFQAISSSIQDIYNRLSIVEAD -QQVDRLITGRLAALNAYVTQLINQLSVIRQSRELANQKINECVKSQSQRYGFCGNGTHLFSITTAAPNGI -MFMHAVLVPTAYQEVEAIAGICVNGTKAFTLREPMLALYKYGGEYRITSRRMYEPRLPTMSDFIPIQSCI -VQYINVTQEDLPSIIPDYVDVNSTVDSIINSIPNVTYPDLDISIYNQTILNLTQEITDLQGKANNLSEIA -KELQQYIDNLNNTLVDLEWLNRVETYIKWPWYIWLLIFLSLATFVCIVVTIFLCTGCCGGCFGCFGGCCG -LFRKHKFYEEDTKQTPVFFKVKEW - ->YP_005352871.1 spike glycoprotein [Wigeon coronavirus HKU20] -MYRFAILMLVISPTLAASIADKVLDVVTFPGASRLLYPQHYQQPQVRGWTDDIGVDGRIPATYPLTNTFY -LSNTTTPVDGVYTSLQPLLIRCKFKYVNDTVNAGKILTMYFNLTNNTGRCDGNSEKVGLVDAIRFQINVT -TDILQLTAGSINFTTPDGELYTLHCLNTTLGVGQDYYPSQSRTRQSGVTYHCYMIYRNTSYAYSANEYTY -RVLQYLGPLPASVREIVAFSNGFIYINGILLSRIPALHKVDFGLVKSAHTSDYYVILFADMVDVMVNISA -TEMQSIFYCITPFEQVKCSQKQTTLSDGFYSTSAIEVLQRQRTFVGLPMAINITTLNFAISYLNTKANPS -LGAPQEVNLTINGFADQFCVTTSQFMVTLNVTCLVHNNTSIIPCPQAYTAEISPGDCPFNFLDVNNYLMF -DSICFSPSPSGDSCQMVINKVWANNRIPMSSVYVSYVYGNQIVGVPRHDLVQLDHVVFNLCTDYTIYGYT -GTGVIRETNTTYFAGYAYASPAGQLVAYKNLTTGAINSITPCKFSQQLAVYNDTPVALVSAVPSQDFGFT -NATSMGTFVVNSNATNCTIPALTYGPLGICANGAPYVVPTGEGQEPSVVPISTGNISIPVNFTVAIQPEY -LQVYTEQVVVDCATYVCNGNPLCNKLLLQYTSACDTIEQALQMSARLESSEVSNMLHISTQALDLANISN -FEGYNMSFVLPAVKQGRSALEDLLFTKVVTAGLGTVDADYEKCAKGMDIADLVCVQYYNGIMVLPGVANA -GKMAEYTASLTGGMVMGGITSAASIPFSLAVQSRLNYVALQTGVMLDNQKLLADSFNKAMETISGAFNSL -NSAVHETINVVNTLSSALTKIQSVVNQHTTALNQLTQQLANNFQAISSSITDIYNRLGQLEADAQVDRLI -TGRLAALNAFVSQTLTKAEQVRQSRLLAQQKVNECVKSQSQRFGFCGNGSHIFTIANAAPDGIMFLHTVL -QPVSYITVVAYSGLCVDNTYGYALKEPNLALVQYNGYYVTPRNMYQPRPATTADFVQIQSCDVTLYNITY -NNISLVIPDFVDTNKTIEDIISSIPNNTAPSLEIASLNLTVLNLTSELEQLKASTGNFTQISQEIQDYIN -KLNDTLVDLEWLNRVETYVKWPWWVWLLIFLAICTFIIIVVTIFLCTGCCGGCFGCFGGCCGLFSRVKHH -EFSHLPVEEQDGSIPITYKKVN - ->YP_005352854.1 spike glycoprotein [Magpie-robin coronavirus HKU18] -MRGAILTLILVTSVKASPLADSVLDFLTFPGAHSYLHPRRGDLGALGNRMRANIRNSQTDVCTTIQQGGF -IPSTFTFPQWYVLTNGSTFLQGEYTLSQPLLANAHFCPRKNSDGYWRYSFNNSCLFPDHRCQDHWYDSQN -PICLGWNNTFGLSDNIRININISHDEYQSHGGYVSLTLESGSVVNITCTNNSDPSTVTLATSLLPWARAI -DQPMYCFANLTTGTASQLDFMGMLPPLVSELAFDRTGGIYINGYRYYLTSALRDVDFKLKRNDTAEYFAV -TWANYTDVHLSVDAGAIEKIKYCNTPLDRLACDMNVFNLSDGVYSYTSLEKASVPETFVTLPVYSNHTYV -TINTSYTVGSCVNCPPISSTIDIMHARNDTLCVNSRQFTVRLNTHHHAQYPQYFSTAFVAGTCPFTLPNI -NNYLTFGSVCFSTVNNGGCTIHVQKVWNHQYHTFGTIYVAYQDGNYITALPQPSTGVADISTVHLDVCTK -YSIYGKTGTGVIRETNQSYTAGLYYTSSSGDLLAFKNVTTQKVYSVTPCTLASQVAVYNNSILAAFTSTA -NLTAIDFNYTIATPTFYYHSIGNETCEQPVITYGSIGLCPGGGLRLAHPTEDAAPILVPISTSNISIPKN -FTVSIQTEYIQIEQQPVVVDCRQYVCNGNPRCLQLLQQYTSACSTIEQALSLNARLEASSIQDLLTYSPE -TLVLANISNFDSGDLNYNLSSLLPKELYGKSAIEDLLFNKVVTNGLGTVDQDYKACTNGMSIADLVCAQY -YNGIMVLPGVAGPEKMAQYTASLTGAMVFGGITAASAIPFSLAVQSRLNYVALQTDVLQQNQQLLADSFN -NAIGNITLAFKEVSEGLSQVSGAVATVANALTKVQTVVNEQGHALATLTQQLANNFQAISASISDIYNRL -NQLEADAQVDRLITGRLASLNAFVTQTLSKLAEVRQQRQLATDKVNECVKSQSPRYGFCGNGTHLFSIVN -AAPQGLLFFHTVLLPTQYAYVQAFSGICYNGIALALNDPTLALFKNGDKYLVSPRNMYQPRVPAQADFVY -IETCTITYLNLTDLTIDVVIPDYVDVNQTVNDILSKLPNSTGPSLTIDQYNNTILNLTTEIADLNNRTQN -LSDVVQNLEEYIHKLNATLVDLDWLNRVETYIKWPWWVWLLITLAIVAFVVILVTIFLCTGCCGGCFGCC -GGCFGLFSHNKRNTESIPITSFKLKEW - ->YP_001718612.1 spike protein [Miniopterus bat coronavirus HKU8] -MKSLLVLSLLALLATLSVNAQVTGEGGVAEGNYWVNASCAGWSYFYALKLGLPPNASAIVTGYLPKPKGW -ICPRFNGAGIYTRNNANAVFVMYRTKALAFEIGVSSSAGGEQYSAYMAQQNGKYLVLRICKWQNGTLAAP -TLQSTSGKDCIVNVKVDNHMFYHAAHDIVGMSWSGDAVRLYTQTDTKTYYIPNSWDRVSIRCPDKFSCSS -QIVTKAITVNVTTFANGTIDKYAICDNCNGYPAHIFPVSEGGLIPADFNFSNWFLLTNSSTIVDGRIVSE -QPVLLMCLWAVPGLMSTNSFVYFNGTAPNKQCNGYATDSAFEALRFSLNFTDERVFAGSGSVVLLVSGLQ -YKFSCTNNSEAVIDSGIPFGNVVEPFYCFVSINGTSIFVGMLPAVLREIVITRYGSIYLNGFSIFQGPPI -QGVLFNVTNRGATDLWTVALSNFTEVLAEVQSTAIKALLYCDDPLSQLKCQQLQFSLPDGFYATASLFQH -ELPRTFVTLPRHFTHSWINLRIKWKNGVCYNCPPASSWIDFVTFNSNGTENVLPERTLCVNTTQFTTNLT -LIEEAFSYSTPVVVRADDCPFDFQSLNNYLTFGSICFSLNGTIGKGCTLGIYKRASSQYIPIWNVWVAYT -SGDNILGVREPNVGVRDQSVVHQNVCTSYTIFGHSGRGIIRPANISYIAGVYYTAASGQLLGFKNTTTGE -VFSVTPCNPSQQAVVVKDRLVGVMSSTSTVSIPFNNTIPTPSFYYHSNATSSCDDPSVVYSSIGICDDGG -ITFVNSTRVRGEPDPAISMGNISVPSNFTVSIQVEYLQMSIRPVSIDCAMYVCNGNPHCTRLLQQYISAC -RTIEEALQLSARLESFEVNSMLTVSETALDLVNISTFGGDYNLTALLPQGGGKRSVIEDILFDKVVTSGL -GTVDEDYKRCTNGIGIADVPCAQYYNGIMVLPGVVDEEKMSIYTASLLGGMTMGGFTPVAALPFALSVQS -RLNYVALQTDVLQKNQQILANAFNSAIGNITVAFDQVTTAVQQTSDAIKTVASALNKVQSVVNSQGQALH -QLTKQLASNFQAISASIEDIYNRLDGLAADANVDRLITGRLAALNAFVTQTLTKYTEVRASRLLAQEKIN -ECVKSQSTRYGFCGNGTHLFSIPNAAPEGIMLFHTVLVPTEYVSVTAWSGYCHNGVGYAVKDVGNSLFQF -NNTFYITPRNMYQPRTPTSADFIRISGCNVVYVNITDEQLPQVQPEFIDVNKTLEELMSRLPNNTGPNLP -LDIFNQTYLNISAEIDALENKSLELQATADKLQLTIEQLNATLVDLEWLNRFEQYVKWPWWVWLTMIIAL -VLLTGLMLWCCLATGCCGCCSCMASTLDFRGSRLQQYEVEKVHIQ - ->YP_001351684.1 spike protein [Scotophilus bat coronavirus 512] -MKYTLLFCVVFATVSFGFADNERCNKTVNLTRLFSKFDIQPPSQVVLAGLLPNQTAQWKCTTETNKRDEG -VGVKGVFLSYVSSGRGFTIGVSQYNFDPSTYQLYLHRDTNGNSNAFAYLRICKWPSKKWLQSTSNMDTSG -RFCLVNKKIPAAFTDHANMVVGITWDQDRVTFYTDKVYHFYVPNNRWSRVVSWCSAADSCAMQYINSTIY -YNLNVTTPGPGGITYSVCTKHCTGLADNVFSTDQGGHIPPIFPYNNWFLLTNTSTLVQGVTRVFQPFLVN -CLVALPKLQGLTTTLSFDSPLNVPGFSCNGANGSSSAEAFRFNVNDTKLFVGAGAVTLNTVDGVNVSIVC -SNNATQPTRSNNLQEDLPYYCFTNTSSGTNHTVKFLSVFPPIIREFVITKYGNVYVNGYIYLRTRPLTAV -HLNASSHSQDVAGFWTIAATNFTDVLVEVNNTGIQRLLYCDTPENSVKCSQLSFELEDGFYSMTADNVYA -VTKPHTFVTLPTFNDHGFVNVTVGGNFDSSYPPKFTANGTLVNNGTVVCVTSNQFTLRHDFMVGYSADMR -KGIFEYSSTCPFNRETINNYLTFGRICFSTSPADGACELKYYVWNTIGAVSHLAGTLYVQHTKGDIITGT -PKPLQGLNDISELHLDTCTTYTIYGFRGDGVIRLTNQTFLSGVYYTSESGQLLAFKNVTTGQIYSVTPCQ -LVQQVAFVEDRIVGVISSANNTGFFNSTRTFPGFYYHSNDTTNCTSPRLVYSNIGVCTSGAIGLLSPKAA -QPQVQPMFQGNISIPTNFTMSVRTEYIQLFNKPVSVDCAMYVCNGNDRCKQLLSQYTSACKNIESALQLS -ARLESMEVNSMLTVSDEALKLATISQFPGGGYNFTNILPANPGARSVIEDILFDKVVTSGLGTVDEDYKR -CSNGLSIADLACAQHYNGIMVLPGVADWEKVHMYSASLVGGMTLGGITSAAALPFSYAVQARLNYVALQT -DVLQRNQQMLANSFNSAISNITLAFESVNNAIYQTSAGLNTVAEALSKVQDVVNGQGNALSQLTVQLQNN -FQAISNSIGDIYSRLDQITADAQVDRLITGRLAALNAFVAQSLTKYAEVQASRTLAKQKVNECVKSQSPR -YGFCGDEGEHIFSLTQAAPQGLMFLHTVLVPNGFINVTAVTGLCVDETIAMTLRQSGFVLFVQNGNYLVS -PRKMFEPRRPEVADFVQVKTCTISYVNITNNQLPDIIPDYVDVNKTIDEILANLPNNTVPDLPLDVFNQT -FLNLTGEIADLEARSESLKNTSEELRQLIQNINNTLVDLQWLNRVETFIKWPWYVWLAIVIALILVVSLL -VFCCISTGCCGCCGCCGSCFSGCCRGTKLQHYEPIEKVHVQ - ->YP_009194639.1 spike protein [Camel alphacoronavirus] -MFVLVAYALLHIAGCQTINVLNTSHSVCNGCVGYSENVFAVESGGYIPSDFAFNNWFLLTNTSSVVDGVV -RSFQPLLLNCLWSVSGSRFTTGFVYFNGTGRGDCKGFSSNVLSDVIRYNINFEENLRRGTILFKTSFGVV -VFYCTNNTLVSGDAYIPFGTVLGNFYCFVNTTIGNETTSSFVGALPKTVREFVISRTGHFYINGYRYFTL -GNLEAVNFNVTNAETTFCTVALASYADVLVNVSQTAIANIIYCNSVINRLRCDQLSFDVPDGFYSTSPIQ -SVELPVSIVSLPVYHKHTFIVLYVDFKPQSGAGTCYNCRPSVVNITLANFNETKGPLCVETSHFTTKYVA -SNVGRWSASINTGNCPFSFGKVNNFVKFGSVCFSLKDIPGGCAMPIVANFAYINSYTIGSLYVSWSDGDG -ITGVPKPVEGVSSFMNVTLNKCTKYNIYDVSGVGVIRISNDTFLNGITYTSTSGNLLGFKDVTNGIIYSI -TPCNPPDQLVVYQQAVVGAMLSENSSSYGFSNVVELPNFFYASNGTYNCTDAVLTYSSFGVCADGSIIAV -QPRNVSYDGVSAIVTANLSIPSNWTTSVQVEYLQITSTPILVDCSTYVCNGNVRCVELLKQYTSACKTIE -DALRISAMLESADVGEMLTFDEKAFTLANVSSFGDYNLSSVIPSLPTSGSRVAGRSAIEDILFSKVVTSG -LGTVDADYKKCTKGLSIADLACAQYYNGIMVLPGVADAERMAMYTGSLIGGIALGGLTSAAAIPFSLALQ -ARLNYVALQTDVLQENQKILAASFNKAMTNIVDAFTGVNDAITQTSQAIQTVATALNKIQDVVNQQGNAL -NHLTSQLRQNFQAISSSIQAIYDRLDTIQADQQVDRLITGRLAALNAFVAQTLTKYTEVRASRQLAQQKV -NECVKSQSNRYGFCGNGTHIFSIVNSAPEGLVFLHTVLLPTQYKDVEAWSGLCVDNTNGYVLRQPNLALY -KEGNYYRITSRFMFEPRIPTMADFVQIENCNVTFVNISRSELQTIVPEYIDVNKTLQELIDKLPNYTVPD -LGIDQYNQTILNLTSEISTLENKSAELNYTVQRLQTLIDNINSTLVDLKWLNRVETYLKWPWWVWLCISV -VLIFVVSVLLLCCCSTGCCGFFSCLASSTRGCCESTKLPYYDVEKIHIQ - ->YP_003767.1 spike protein [Human coronavirus NL63] -MKLFLILLVLPLASCFFTCNSNANLSMLQLGVPDNSSTIVTGLLPTHWFCANQSTSVYSANGFFYIDVGN -HRSAFALHTGYYDANQYYIYVTNEIGLNASVTLKICKFSRNTTFDFLSNASSSFDCIVNLLFTEQLGAPL -GITISGETVRLHLYNVTRTFYVPAAYKLTKLSVKCYFNYSCVFSVVNATVTVNVTTHNGRVVNYTVCDDC -NGYTDNIFSVQQDGRIPNGFPFNNWFLLTNGSTLVDGVSRLYQPLRLTCLWPVPGLKSSTGFVYFNATGS -DVNCNGYQHNSVVDVMRYNLNFSANSLDNLKSGVIVFKTLQYDVLFYCSNSSSGVLDTTIPFGPSSQPYY -CFINSTINTTHVSTFVGILPPTVREIVVARTGQFYINGFKYFDLGFIEAVNFNVTTASATDFWTVAFATF -VDVLVNVSATNIQNLLYCDSPFEKLQCEHLQFGLQDGFYSANFLDDNVLPETYVALPIYYQHTDINFTAT -ASFGGSCYVCKPHQVNISLNGNTSVCVRTSHFSIRYIYNRVKSGSPGDSSWHIYLKSGTCPFSFSKLNNF -QKFKTICFSTVEVPGSCNFPLEATWHYTSYTIVGALYVTWSEGNSITGVPYPVSGIREFSNLVLNNCTKY -NIYDYVGTGIIRSSNQSLAGGITYVSNSGNLLGFKNVSTGNIFIVTPCNQPDQVAVYQQSIIGAMTAVNE -SRYGLQNLLQLPNFYYVSNGGNNCTTAVMTYSNFGICADGSLIPVRPRNSSDNGISAIITANLSIPSNWT -TSVQVEYLQITSTPIVVDCATYVCNGNPRCKNLLKQYTSACKTIEDALRLSAHLETNDVSSMLTFDSNAF -SLANVTSFGDYNLSSVLPQRNIRSSRIAGRSALEDLLFSKVVTSGLGTVDVDYKSCTKGLSIADLACAQY -YNGIMVLPGVADAERMAMYTGSLIGGMVLGGLTSAAAIPFSLALQARLNYVALQTDVLQENQKILAASFN -KAINNIVASFSSVNDAITQTAEAIHTVTIALNKIQDVVNQQGSALNHLTSQLRHNFQAISNSIQAIYDRL -DSIQADQQVDRLITGRLAALNAFVSQVLNKYTEVRGSRRLAQQKINECVKSQSNRYGFCGNGTHIFSIVN -SAPDGLLFLHTVLLPTDYKNVKAWSGICVDGIYGYVLRQPNLVLYSDNGVFRVTSRVMFQPRLPVLSDFV -QIYNCNVTFVNISRVELHTVIPDYVDVNKTLQEFAQNLPKYVKPNFDLTPFNLTYLNLSSELKQLEAKTA -SLFQTTVELQGLIDQINSTYVDLKLLNRFENYIKWPWWVWLIISVVFVVLLSLLVFCCLSTGCCGCCNCL -TSSMRGCCDCGSTKLPYYEFEKVHVQ - ->YP_009513021.1 spike glycoprotein [Porcine coronavirus HKU15] -MQRALLIMTLLCLVRAKFADDLLDLLTFPGAHRFLHKLTSNSSSLYSRANNFDVGVLPGYPTKNVNLFSP -LTNSTLPINGLHRSYQPLMLNCLTKITNHTLSMYLQPSEIQTYSCGGAMVKYQTHDAVRIILDLTATDHI -SVEVVGQHGENYVFVCSEQFNYTTALHNSTFFSLNSELYCFTNNTYLGILPPDLTDFTVYRTGQFYANGY -LLGTLPITVNYVRLYRGHLAANSAHFALANLTDTLITLTNTTISQITYCDKSVVDSIACQRSSHEVEDGF -YSDPKSAVRARQRTIVTLPKLPELEVVQLNISAHMDFGEARLDSVTINGNTSYCVTKPYFRLETNFMCTG -CTMNLRTDTCSFDLSAVNNGMSFSQFCLSTESGACEMKIIVTYVWNYLLRQRLYVTAVEGQTHTGTTSVH -ATDTSSVITDVCTDYTIYGVSGTGIIKPSDLLLHNGIAFTSPTGELYAFKNITTGKTLQVLPCETPSQLI -VINNTVVGAITSSNSTENNRFTTTIVTPTFFYSTNATTFNCTKPVLSYGPISVCSDGAIAGTSTLQNTRP -SIVSLYDGEVEIPSAFSLSVQTEYLQVQSEQVIVDCPQYVCNGNSRCLQLLAQYTSACSNIEAALHSSAQ -LDSREIINMFQTSTQSLQLANITNFKGDYNFSSILTTRLGGRSAIEDLLFNKVVTSGLGTVDQDYKACSR -DMAIADLVCSQYYNGIMVLPGVVDAEKMAMYTGSLTGAMVFGGLTAAAAIPFATAVQARLNYVALQTNVL -QENQKILAESFNQAVGNISLALSSVNDAIQQTSEALNTVAIAIKKIQTVVNQQGEALSHLTAQLSNNFQA -ISTSIQDIYNRLEEVEANQQVDRLITGRLAALNAYVTQLLNQMSQVRQSRLLAQQKINECVKSQSSRYGF -CGNGTHIFSLTQTAPNGIFFMHAVLVPNKFTRVNASAGICVDNTRGYSLQPQLILYQFNNSWRVTPRNMY -EPRLPRQADFIQLTDCSVTFYNTTAANLPNIIPDVIDVNQTVSDIIDNLPTATPPQWDVGIYNNTILNLT -VEINDLQERSKNLSQIADRLQNYIDNLNNTLVDLEWLNRVETYLKWPWYIWLAIALALIAFVTILITIFL -CTGCCGGCFGCCGGCFGLFSKKKRSTDDQPTPSFKFKEW - ->YP_005352838.1 spike glycoprotein [White-eye coronavirus HKU16] -MQRIILISTILYCARALTLADKMLDLLTFPGAHHYFRGDLQTLHSRISAESYSVNPYDQYNYQTDSDYYI -NKSVHLIAPLTNLTLPISGLHRSMQPLRVGCIFGASNKIDQGFTISGMTYPLAYCVPPFYQVTNVTYDAM -RLLFAFADLNSTGDFLRINTKTMGMLNVSCSASPTPLGHQDADRTFYGYNKQLYCYLDTPAGMQYMGPLP -ANLTEITLFRTGQIYTNGFHLGTIPSELTYVYLDKLAFQNKTVCMMANLTDTLITLNHTVIQQVTYCEKD -AVQALACQQSTHQLQDGFYSDPAPAVNNLPKTLVTLPKIAESSTLQINVSATYSYGSASGSIKLSYNGSS -NNSHCVQTPYFKLEQNLVCSGGCSVRIETLTCPFDLNAVSNGMSFQQFCVSTVSGQCSMQAIVNTGQPWG -YVTSTLYVTYVEGQSFTGTSSDQIEDLTVLHLDQCTSYTIYGVSGTGVITLSDLQLPHGITFRAANGELS -AFKNTTTGDVYTIQPCSLPAQLAIIDSTIVGAITSTNESYGFSNTIVTPTFYYSTNATSNCTAPKISYGE -LGVCADGSIGAVSQLQDSKPSIVPLYTGEIEIPASFKLSVQTEYLQVQTEQVVIDCPKYVCNGNPRCLQL -LAQYTSACSNIESALHSSAQLDSREITMMFQTSSQSVELANITNFQGDYNFSMILPTLPGKDRSAIEDLL -FDKVVTNGLGTVDQDYKSCSKGIAVADLVCAQYYNGIMVLPGVVDAEKMAMYTGSLTGAMVFGGLTAAAA -IPFSTAVQARLNYVALQTNVLQENQKILAESFNQAVGNISLALSNVNTAIQQTSEALLTVSNAINKIQTV -VNQQGEALAHLTAQLSQNFQAISTSIQDIYNRLDQIQADQQVDRLITGRLAALNAYVTQLLNKLSQVRQS -RILAEQKINECVKSQSSRYGFCGNGTHLFSLTQAAPNGIFFMHAVLVPQTFQPVVAYAGICVDGYGYSLQ -PQLVLYNLNDSYRITPRNMFEPRTPTQSVFIPLTTCSVDFVNVTANNVSIIIPDYVDVNKTVSDIINGLP -NYSYPELSLDRFNHTILNLSQEIEDLQIRSQNLSATAELLQQYIDNLNNTLVDLEWLNRVETYLKWPWYI -WLLIFLAIAAFATILVTIFLCTGCCGGCFGCCGGCFGLFSKKRRLSSEPTPVSFKLKEW - ->NP_073551.1 surface glycoprotein [Human coronavirus 229E] -MFVLLVAYALLHIAGCQTTNGLNTSYSVCNGCVGYSENVFAVESGGYIPSDFAFNNWFLLTNTSSVVDGV -VRSFQPLLLNCLWSVSGLRFTTGFVYFNGTGRGDCKGFSSDVLSDVIRYNLNFEENLRRGTILFKTSYGV -VVFYCTNNTLVSGDAHIPFGTVLGNFYCFVNTTIGNETTSAFVGALPKTVREFVISRTGHFYINGYRYFT -LGNVEAVNFNVTTAETTDFCTVALASYADVLVNVSQTSIANIIYCNSVINRLRCDQLSFDVPDGFYSTSP -IQSVELPVSIVSLPVYHKHTFIVLYVDFKPQSGGGKCFNCYPAGVNITLANFNETKGPLCVDTSHFTTKY -VAVYANVGRWSASINTGNCPFSFGKVNNFVKFGSVCFSLKDIPGGCAMPIVANWAYSKYYTIGSLYVSWS -DGDGITGVPQPVEGVSSFMNVTLDKCTKYNIYDVSGVGVIRVSNDTFLNGITYTSTSGNLLGFKDVTKGT -IYSITPCNPPDQLVVYQQAVVGAMLSENFTSYGFSNVVELPKFFYASNGTYNCTDAVLTYSSFGVCADGS -IIAVQPRNVSYDSVSAIVTANLSIPSNWTTSVQVEYLQITSTPIVVDCSTYVCNGNVRCVELLKQYTSAC -KTIEDALRNSARLESADVSEMLTFDKKAFTLANVSSFGDYNLSSVIPSLPTSGSRVAGRSAIEDILFSKL -VTSGLGTVDADYKKCTKGLSIADLACAQYYNGIMVLPGVADAERMAMYTGSLIGGIALGGLTSAVSIPFS -LAIQARLNYVALQTDVLQENQKILAASFNKAMTNIVDAFTGVNDAITQTSQALQTVATALNKIQDVVNQQ -GNSLNHLTSQLRQNFQAISSSIQAIYDRLDTIQADQQVDRLITGRLAALNVFVSHTLTKYTEVRASRQLA -QQKVNECVKSQSKRYGFCGNGTHIFSIVNAAPEGLVFLHTVLLPTQYKDVEAWSGLCVDGTNGYVLRQPN -LALYKEGNYYRITSRIMFEPRIPTMADFVQIENCNVTFVNISRSELQTIVPEYIDVNKTLQELSYKLPNY -TVPDLVVEQYNQTILNLTSEISTLENKSAELNYTVQKLQTLIDNINSTLVDLKWLNRVETYIKWPWWVWL -CISVVLIFVVSMLLLCCCSTGCCGFFSCFASSIRGCCESTKLPYYDVEKIHIQ - ->YP_009824967.1 S protein [NL63-related bat coronavirus] -MKFLLLLSLFFPLSCAQDFSCNGHQTDTMALLRLNLPPNSTSIVSGFLPLPGESNWACIASMDNEARLFH -NDSHGFFIRYVTFNGRVELGVTSTPYNSHTWSMYYTRWSNLASLRVCRWNSVFNLTNSASLVLSDDCLFK -HDFHAPMRENSIVGFSWSGSRVRYYGEDGTYTFYVPNSWDTTYVRCDFRKSCGLQAVNSTNTFIVTTGSD -GTITNYTVCHNCSGYLGTIFATQQGGYIPSDFDYNNWFLLTNSSSVVDGRVRSMQPLRVLCLWPVPSLLS -TYKDLYFNTSLNDVECNGYSQHGLADAIRFSLNFTEDPAAALATGSIIVYTVAGNTTIYCSNSSDSSTYG -IPFGATAFAMYCFANTSTINATNSYFLGVLPPNLREIVFAASGQVYINGFNYFTLPQIVGVAFNLTSDTP -VDFWTVAFTTFTDVLVSVNLTQIQQVVYCDGVVNRLKCSQMVFELPDGFYSAADVSDTVLPRSVVTLPTH -FDHSNVTIDVTFRSGQQCATTSQPHISITAPPCSVNITIVDAVDGAFCVSTKQFTPLLNAHDLPTSNGHT -FKVYLESGDCPFSFLKLANYVSFGTFCLADKQLPGGCAISVVSELLGYKRVIGAVYVSYTHGDAIIGVPE -GVVGVRELSSVVFDVCTSYNIFGRVGTGIIRLSNDSFITGILYTSDSGQLLGYKNVTTSEVYSVTPCHTP -AQLAVYRQRVVAAISSDNRTYGFNNTIATPMFYYHSDGVNNCTEPVLVYSSIGVCADGAMTVVKKVDVSP -QVVAPIATANISIPTNFTTSVQVEYLQISLKPVVVDCNTYVCNGNPKCLKLLSEYATACKTAEEALQLSA -RMEASEVNSAITYSEESYRLAENITNDVFNMSALIPSVVVGAGQGYSAKRSFVEDLLFDKVVTSGLGTVD -KDYKDCSKGLSIADLACAQYYNGIMVLPGVVDAERMAMYTGSLTGAMVMGGVTAAASIPFSLAVQSRLNY -VALQTDVLQRNQQILADSFNKAIGGITDALTNVNDALLQTSQAIGTIAGALNKIESVVNEQGLALSHLTK -QLKNNFQAISSSIDDIYNRLDELAANAQVDRLITGRLSALNSFVAQTLTRYTQVRASRELALQKVNECVK -SQTSRYGFCGNGTHLFSIVNAAPDGLIFFHTVLLPTAYVDVQAWSGVCIDAMGFVVRDFSLTLVRHDDKY -LLTPRNMFEPRTPRVEDFVRINDCNVTFLNITADTLPEVFPGYVDVNKTLDDFLSSLPNRTQPDLSLDVF -NQTFLNLSGEIDNLELKSQSILNTTVKLQQLIDEINSTLVDLEWLNRVENYIKWPWWVWLIIVVVLIFVV -SLLVFCCIGTGCCGCCSCLAGSLGSCCGSTKLKPYEFEKVHIQ - ->YP_002308479.1 spike glycoprotein [Bulbul coronavirus HKU11-934] -MQRVVLISLFIHLAAASVADRILDFLTFPNGHKYLYPHRGDLGQLKSRVNSNYLRDTRLTSLKHGYLTED -FHIFSPLSNSTIPISGLLRSYQPLYLACYVQITNTTLSMFLEPSTTFDTAGCTGSKYQTHDALRLILDLN -EESSASINITTTKNILYIFVCSDSQDFSRAHNSPLLKSRNAQLYCFINNTYIGPLPANLTDFTIYHTGQL -YANGYYLGVLPDTVKQVRLIQSSIPSNYTFFALANLTDTLITLSNTTITNVTYCDRSTLDMLACQISKHY -VDDGFYSDPQKTSLALPRTIVTLPKVAELEMVNLTINARYSAGEASATININGNDTFCVTKPYFHINAKY -TCSDCVLNLRTDTCSFDINAINNGMSFSQFCLSTKSGSCSITLVATKYWNYQIAQKLYVTAIEGQTSTGT -TATSAQAIDSSNIVTDVCTDYTIYGVSGTGIITHSDLELHNGIAFTSPTGELFAFKNTTSGKSFQVTPCD -SPAQLVVVSNTIVGAITSNNISSTGKFTRTIAASTFYYSTNATNLTCTEPVLSYGPVSVCSDGAIAETSF -LQDSRPSIVSLYDGEVEVPSAFTLSVQTEYLQVQSEQVVVDCARYVCNGNARCTRLLSQYTSACSNIESA -LHSSAQLDSREITNMFQTSSQSLTLANISNFQSDYNFTNIITSKSGGRSAIEDLLFNKVVTNGLGTVDQD -YKACSKDLAIADLVCTQYYNGIMVLPGVVDAQKMAMYTASLTGAMVFGGMTAAAAIPFSTAVQARLNYVA -LQTNVLQENQKILAESFNSAVGNISLALSAVNDAIKQTSESLLTVATAINKIQTVVNSQGEALSHLTAQL -SNNFQAISTSIQDIYNRLDEVQADQQVDRLITGRLAALNAYVTQLLNQMSQIRQSRLLAQQKINECVKSQ -SSRYGFCGNGTHLFSLTQSAPNGIFFMHAVLVPQQFTRVNASAGICVDAIKGYSLQPQLILYQYNNSWRV -TPRNMYEPRVPRAADFIPLVDCSVTYYNVTAEDLPNIIPDYVDVNQTVSDIINSLPTHQTPTWSLDQYNY -TILNLSQEIKDLQLKSQNLTDIAEIMETYIQNLNKTIVDLQWLNKVETYLKWPWYIWLAIFLAIAAFACI -IVTIFLCTGCCGSCFGCCGGCFGFFSKKRHHSDEPTPVSFKLKEW - ->NP_058424.1 spike protein [Transmissible gastroenteritis virus] -MKKLFVVLVVMPLIYGDNFPCSKLTNRTIGNQWNLIETFLLNYSSRLPPNSDVVLGDYFPTVQPWFNCIR -NDSNDLYVTLENLKALYWDYATENITWNHRQRLNVVVNGYPYSITVTTTRNFNSAEGAIICICKGSPPTT -TTESSLTCNWGSECRLNHKFPICPSNSEANCGNMLYGLQWFADEVVAYLHGASYRISFENQWSGTVTFGD -MRATTLEVAGTLVDLWWFNPVYDVSYYRVNNKNGTTVVSNCTDQCASYVANVFTTQPGGFIPSDFSFNNW -FLLTNSSTLVSGKLVTKQPLLVNCLWPVPSFEEAASTFCFEGAGFDQCNGAVLNNTVDVIRFNLNFTTNV -QSGKGATVFSLNTTGGVTLEISCYTVSDSSFFSYGEIPFGVTDGPRYCYVHYNGTALKYLGTLPPSVKEI -AISKWGHFYINGYNFFSTFPIDCISFNLTTGDSDVFWTIAYTSYTEALVQVENTAITKVTYCNSHVNNIK -CSQITANLNNGFYPVSSSEVGLVNKSVVLLPSFYTHTIVNITIGLGMKRSGYGQPIASTLSNITLPMQDH -NTDVYCIRSDQFSVYVHSTCKSALWDNIFKRNCTDVLDATAVIKTGTCPFSFDKLNNYLTFNKFCLSLSP -VGANCKFDVAARTRTNEQVVRSLYVIYEEGDNIVGVPSDNSGVHDLSVLHLDSCTDYNIYGRTGVGIIRQ -TNRTLLSGLYYTSLSGDLLGFKNVSDGVIYSVTPCDVSAQAAVIDGTIVGAITSINSELLGLTHWTTTPN -FYYYSIYNYTNDRTRGTAIDSNDVDCEPVITYSNIGVCKNGAFVFINVTHSDGDVQPISTGNVTIPTNFT -ISVQVEYIQVYTTPVSIDCSRYVCNGNPRCNKLLTQYVSACQTIEQALAMGARLENMEVDSMLFVSENAL -KLASVEAFNSSETLDPIYKEWPNIGGSWLEGLKYILPSHNSKRKYRSAIEDLLFDKVVTSGLGTVDEDYK -RCTGGYDIADLVCAQYYNGIMVLPGVANADKMTMYTASLAGGITLGALGGGAVAIPFAVAVQARLNYVAL -QTDVLNKNQQILASAFNQAIGNITQSFGKVNDAIHQTSRGLATVAKALAKVQDVVNIQGQALSHLTVQLQ -NNFQAISSSISDIYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNECVRSQS -QRFGFCGNGTHLFSLANAAPNGMIFFHTVLLPTAYETVTAWPGICASDGDRTFGLVVKDVQLTLFRNLDD -KFYLTPRTMYQPRVATSSDFVQIEGCDVLFVNATVSDLPSIIPDYIDINQTVQDILENFRPNWTVPELTF -DIFNATYLNLTGEIDDLEFRSEKLHNTTVELAILIDNINNTLVNLEWLNRIETYVKWPWYVWLLIGLVVI -FCIPLLLFCCCSTGCCGCIGCLGSCCHSICSRRQFENYEPIEKVHVH - ->YP_009328935.1 S protein [NL63-related bat coronavirus] -MKLFTFMCLLSLGLAQKTCFSGDYRELKLGLPPSVNATVTGYLPINWSCDSNSAGGRYYANITNAHGVFV -GYFTGDRASAFGLGSSKFDPNIYQMYFGHRNQHNSFRVRICKWPSVQVPTLPRDPPVAPKDCLVDKQFQY -QFAHKGHKIYGVTFSGDRVRIHSTVGVHNFYVPGASNWDTVAIACDNPTSCYHSVVTQLATVRVQTDDKG -LISSFEPCQNCEGFAENVFAVEASGKIPSDFSFNNWFLLTNSSSVVDGKVRSLQPLKVLCLRAVPSLLST -KEVISLSGVNADKCNGHSINETAGALRFNLNFTSNPINALASGKIFISSSFGNVTIFCSNSSDPGSSADA -FIAMGSTSAAVYCFANSTFGNVTSLDFIGVLPVTVREFVFAATGQIYINGFNYFSLPDILSVDFDVKSDN -VTDFWTVAYTQFVDTLVAVNNTLIQEVLYCDDVIHKLKCSQLSFDLPDGFYSASLVRDERLDKTFVTLPT -HSDHSNVTLYVSFNTYSSSTCTTKPDHVTSCQYNVTIVGENDGPVCVKSKQFTPLLQTSIPTGYYVSVES -GSCPFNFLKLKNYLTFDSLCFSTKQLPGGCSMLIKRSNVNYNSDIGVIYVSHSPGNNILGVPQASTGVKD -LSYIVTDVCTDYTIYGKSGKGVIRKTNSSLPAGIMYTSESGSLLGFKNVTDSTVYTVTPCATATQLAVYK -QKVLGAITAVKNDSFGFNSTLTLPLFYYHSNGKVNCTEPILVYSSIGICPDGTMIQIKPVETTPQVVAPI -VTANISIPLNFTTSVQVEYLQLSSRPVSVDCATYVCNGNPRCLTLLTQYSSACKTAEEALQLTARLEASE -VNSMIKLSPTAIDNANKLGVSTYQGGFNLTSVVPQTPASTSGSFRGSFIEDLLFNKVITSGLGTVDADYK -ECTKGLSIADLACAQYYNGIMVLPGVVDAEKMAMYTASLTGAMVMGGLSAAASIPFSLAVQSRLNYVALQ -TDVLQENQKILAASFNKAIGSITQAFTEVNDAIAQTSQAIGTVAGALNKIQGVVNEQGQALSHLTKQLQN -NFQAISSSIEDIYNRLDSLAADAQVDRLITGRLAALNSFVTQTLTRQTQVRASRELAMQKINECVKSQSD -RYGFCGNGTHLFSIANAAPEGLLFLHTVLVPDDYVTVEAWSGICFGGDKGFILRDFQLTLIKYDNKYKVT -SRKMFQPRNAEISDFIQISNCDVQFLNLTQDQVQDVIPEYVDVNKTLEEALSKLPNYTKPDLSLDVFNQT -YLNLSSEIDQLEQKAESLTNTTIKLQSLIDQINSTLVDLEWLNRVENYIKWPWWVWLIIAVVLIFVVSLL -MFCCIATGGCGCCSCMTSSLRGCCDCGSTKLPYYEFEKVHVQ - ->YP_009201730.1 spike glycoprotein [BtNv-AlphaCoV/SC2013] -MKINLGLPPSTSSFVSGYLPTPGNWTCRNGGTTKLQGHARAVFMRYYAYARAWSFGVGPSTTIDGHYGLY -VWHSNSAGHMTVRICRWYQDRDPIAQNSPHDTTSSDCILNVRQPYVFTHAGFQVIGVSWTGEAVTVYGKS -KLYRLYVPGASLWNSVAFTCSKDDSCGHQIITKPITVNATTDDKGVITSYTVCDRCDGFPHHVFAVQEGG -KIPGSFDFTNWFYLTNTSSPFDGRFVSNQPLQIQCLWPIPALTSTTGIIYFNSSRFTDPHQRCNGYNEVG -GLADHLRFAINVTDRGAFQLGVISLLAVHNAYNFSCSNISNFVDATTIGVPFGKTYQPYYCFVTEGYNIS -ANRTFVGVMPSDVREIVVSRYGSVYINGYKIFNVGELYGVVLNFSSLTGSDFWTVAYANEVNVLVDIEET -YITGILYCDTPLNRLKCQQQRFFMDDGFYSAIDLAPPVVQTIVLLPEYTGLTNITLDVNVTFNPPSCIQC -APTVNSILLNGESGGSVCVSTNRFSVDFKLTVNSQAYDIGVRTGSCPFSYGSLNNFVKFGSICFSLVDNG -GCPMPINAINYIKIEYPIGVLYVTHSPGEAITGVPKGFIKRLGFLDASILHLNVCTEYNIYGIVGKGIIN -KANSTLHTGIVYTDTAGVLVSFKNVTTGDIYSVIPCQTSMQYAVIADNIVGVISADTATGITFNHTIATP -MFYYSTNTDRNCTEPVLTYATMGICADGAIGYVQPRVVSTTPATPITTGNLTIPVNFTVSIQAEYVQVSL -RAVVVDCATYVCNGNVRCLQLLRQYVTACTSVENALALNARLESQEVADMLAVDYAAYRSSLELNTPQFE -NGFNISAVLPAGEGKGSFIEDLLFDKVITNGLGTVDADYKRCIEEKGAIADVVCRQYYKGISVLPALTDP -ARMGLYSASLMGALTLGAFGGGAVAAPFSIAVFSKLNYIALQTDLIQENQKLISAAFNNAMGNITKAFTD -VNTALQHVSDAVKTVATALNKVQDAVNTQGEALQKLTSQLAQNFDAISSSIDDIYNKLDVLAADAQVDRL -INGRLSALSTFVSAQLVKYSEVKASRNLAMQKVNECVKSQSSRLGFCGNGTHLFSMVTGAPDGLMFLHTV -LLPTEYKEVAAWAGLCVGGKAFVLRDVQLLLFIRIIQYLVTSRNMYQPRVPQMSDFVQIQSCAITYVNLT -SEEFNSVVPDYIDVNKTLEDFAATLPNRTYPDFSLDQFNHTYLNISGQISVLENKSAELLLITERLQQHI -QNINNSLIDLEWLDRLETYVKWPWWVWLCFAVVFVILLGLMLWCCIATGCCGCCSCITASCAGCCDCRGK -RLQRYEVEKIHIQ - ->YP_009199790.1 spike glycoprotein [BtRf-AlphaCoV/HuB2013] -MLSFAVYLAVLLSSLKFVVIAGHANGKECGGDPGLLPNLNLGLPANSSVLVSGYLPEPVSSNNWICPVKP -LNQYGVDHFSNLKGIWWSYYTGNEGVELGISASDYEQNPNQWALYMYQNNNATFNDSKGVLYFRVCKYAG -KYTPVGNPDKTVSKVCLIDKKVSFKFADMKNEVIGVTWSGNYVTFYGIYKSFRIYLPNKWNFVAVKCLVK -ETCAFFAAHKMVTLNITTNGQGLIKNYSVCKDCNGFPQHVFPVLDGGKIPGDFSFDNWFLLTNSSTIVNG -KIVDIQPLKLLCLWPVPALVANDNKIYFNITGANCNGFKDENAYADVLRFSLNFTNSQVFNGIHSVTVNV -VGGDLKFSCSNTSTYAESDEVLPFGPLSSVYYCFVNGKLGNDTFSRFVGILPPVVKEIVISRYGSFYMNG -VKLFEVPYVESVVFNVTSSVGSDFWTVAYAQNTEVLLEVNSTDIKDILYCDTPANKLKCQQLSFSLEDGF -YPAALVTGVEVPRTYVALPYHATHMFVNLTVHLGNSVDADAYVQINGVNDTVCVNTTQFSTHFQQLDTSN -TISALMQNGDCPFNFDSLNNFLSFDSICFNLQPVGSSCTISIMKNWMGFKAPWKSVYVTFKRGNRITGVK -NASTGIFDPSVMQLNECTDYTIYGVSGRGIIRKANSSYISGLYYTSIAGQIIGFKNATTGEVFSVTPCQL -TMQAAVVNDDIVGVVSSTNTSGINFTHTITTKTFYYHTNVQQNCSEPVLTYANIGVCSDGSITEVTVRKT -EPEPVAPITTGNLSIPSNFTVSVQVEYLQMYNKPVSVDCQTYVCNGNPRCLKLLTQYATACRTIEEALQL -SARLESVEVANMIAVSDEAVQLANVSYFDTYNVSVLLPRGQSRGSVIEDLLFNKVITSGLGTVDEDYKAC -TGDGFGAALADLFCAQYYNGIMVLPGVVDETKMGLYTASLTGAMVMGGFTAAAAIPFSLAVQSRLNYVAL -QTDVLQENQKILASAFNSAMSNITYAFTEVKNAIKDTSVAISTVAQALGKIQNVVNDQGQALSQLTRQLA -SNFQAISSSIQDIYNRLNDVEADAQVDRLITGRLAALNAFVTQTLTKYTEVRASRQLAQEKINECVKSQS -YRYGFCGNGTHLFSISNAAPQGIIFFHTVLLPTEYQTVEAWSGVCVNNHYGLVLRNVHDALYKRNDSYFI -TSRDMYEPRVPQESDFVRITGCSVVYLNITHTQIGDIIPEYIDVNKTLEEFLNSYPNYTVPDLNLDLYNQ -TVLNLTEDISKLYEKAESLHNKTIILQQLIDNLNNTYVDLEWLNRVETYIKWPWYVWLLIFLALAAFTFL -MLYCCIATGCCGCLSCICSSCADCRGKRLQRYEVEKIHIQ - ->YP_009199609.1 spike glycoprotein [BtMr-AlphaCoV/SAX2011] -MWHVIVALVFIPFLSAANTGTCSNTINRFMYLKLGLPVPTNDAYVTGYLPIPSNWTCSDGYWQYKNAHGL -FVSYHSTALDFAIGVSSSTSYAEKWGLYFWQKNNEGRAVFRICKWDASAQTNFAPDRHTARDCFVNKQIP -MVFKHQGNEIVGVSWSGSRVTLHTLDRVFSFIVEGAESWDYATLRCANGYACAHQVVYNPVTVIVTTVAA -GIQKYTLCDNCTGFPQHVFATMENGEIPPSFNFANWFYLTNSSSPVSSRVVGLQPLLLTCLWPIPALLGT -ATDITFDRNGTSDVRCNGFASNETADAMRFSLNFTDSAVFAKEGVITLKTLSNTFKFSCSNSSTYQAPYV -IPFGHIDQPYYCFTTFYINETAGTTTTSFVGMLPPVVREFVITKTGNVYLNGYRIFTVDDVVSVNFNISS -TDHRDFWTVAFVKNTEVMLDIEDTYIKQLLYCNTPLNVVKCQQLKFVLDDGFYSYSSPVDEVLPRTIVRL -PRLMTHNFLNFTIFVSFYFDDDKQARPDGGFYECATCAPKYYKLAFVDDWTSAVSSNVTSICVNYASFTT -RLFTFYAGTTAGVHLGLETGTCPFSFDTLNNYLTFGSLCFSLVANGGCTMNIVTQGPYGLPHTIAVLYVS -YTEGDNIIGVPLSNIPPLGVSDMSQVYLDTCTTYTIYGMTGRGVITRSNNTFITGLYYTSNAGNLLAYKN -STTGVVYNVYPCQLSSQVAVISDAIVGMASSTPNVSIDFNVTVVADNFYYLSNSAQPCDQPVLTYAGIGI -CSDGSITNSTARRAAADPVSPVISGNISVPTNFTFSVQVEYIQLMLKPVTVDCSVYVCNGNPRCLQLLAQ -YASACRTIEQALQLSARLESVEVNSMISISQEALALGVIDNFKHDFNLTNVLPASVGAKSAVEDLLFDKV -VTSGLGTVDADYKECASRTANTVAEVGCVQYYNGIMVLPGVVDQSLLAQYSAALTGAMVFGGVTAGAAVP -FSIAVQSRLNYLALQTDVLQRNQQQLANSFNAAMGNITEAFGRVNDAIEQTSHAISTVAQALDKVQTVVN -DQGLALSQLTKQLASNFQAISSSIEDLYNRLDRVEADLQVDRLITGRLAALNAFVAQQLTKYTDVRASRQ -LAQDKINECVKSQSFRYGFCGNGTHVFSVVNAAPDGMMFFHSVLLPTAYMEVAAFSGLCVEGNGYVLRDT -GNVLFEKNGQYLITARKMFEPRVPQTSDFVQITGCDVVYLNVTRDELPTVIPDYIDVNSTVEDILSKLPN -RTTPEFDLDIFNATYLNLTGEIADLTARSESLKNTTLELKELIANINATLVDLEWLNRVETYIKWPWWVW -LIIVLVLILFTCLMLFCCCSTGCCGIFSCMASSCGACCDIRGTKLQRYEAIEKVHVQ - ->YP_009199242.1 spike protein [Swine enteric coronavirus] -MKFLIHCWLFLPLLSALSLTQDVTRCGVNTNFRRFFSKFNVQAPATVILGGYLPSMNSSSWYCGQGIETA -SGVHGIFLSYIDSGQGFEIGIAQEPFDPSGYQLYLYKDNGNTGATARLRICQFPHNKTLGPSVGDVTTGR -NCLVNKAIPAHLQDNKNIVVGLTWDNDRVTVFADKIYHFYLKNEWSRVATRCYNKRSCAMQYVYTPIYYM -LNVTSSGEDGIYYEPCISNCIGYAANVFATESNGHIPDSFSFNNWFLLSNDSTLLHGKVVSNQPLLVNCL -WAIPKIYGLGQFFSFNQTLGGSCNGAAAERAPEALRFNINDTSVILADGSIVLHTALGTNFSFVCSNSSN -PHTATFTLPLGATKVPYYCFLKVDTYNSTVYKFLAVLPPTVREIVITKYGDVYVNGFGYLHIGLLDAVTI -NFTGHGTDGDVSGFWTIASTNFVDALVEVQGAAIQRILYCDDPVSQLKCSQVSFDLDNGFYPISSRNLLS -HEQPISFVTLPSFNDHSFVNITVSAAFGGHSGANLVASDTTINGFSSFCVETRQFTITLFYNVTNSYGYV -SKSQTSNCPFTLQSVNDYLSFNKFCISTSLLAGACTIDIFGYPDFGSGVKLTSLYFQFTKGELITGTPKP -LVGVTDVSFMTLDVCTKYTIYGFKGEGIITLTNSSFLAGVYYTSDSGQLLAFKNVTSGAVYSVTPCSFSE -QAAYVNDDIVGVISSLSNSTFNNTRELPGFFYHSNDGSNCTEPVLVYSNIGVCTSGSIGYVPYQHGQVKI -APTVTGNISIPTNFSMSIRTEYLQLYNTPVSVDCATYVCNGNSRCKQLLSQYTAACKTIESALQLSARLE -SVEVNSMLTISEEALQLADISSFNGDGYNFTSVLGVSVYDPTSGRVVQKRSLIEDLLFNKVVTNGLGTVD -EDYKRCTNGRSVADLVCAQYYSGVMVLPGVVDAEKLHMYSASLIGGMALGGLTAAVALPFSYAVQARLNY -LALQTDVLQRNQQLLAESFNAAIGNITSAFDSVKEAISQTSQGLNTVAHALNKVQEVVNSQGAALSQLTL -QLQHNFQAISSSIDDIYSRLDSLSADVQVDRLITGRLSALNSFVSQTLAKYTEVQASRKLAQQKVNECVK -SQSQRYGFCGGDGEHIFSLVQAAPQGLLFLHTVLVPGDFVNVIAIAGLCVNDEIALTLREPGLVLFTHEL -QTYNATEYFVSSRRMFEPRKPTVSDFVQIESCVVTYVNLTSDQLPDVIPDYIDVNKTFDEIVASLPNRTG -PSLPIDVFNATYLNLTVEIADLEQRSESLHNITEELRSLIYNINNTLVDLEWLNRVETYIKWPWWVWLII -FIVLIFVVSLLVLCCISTGCCGCCGCCGACFSGCCRGPRLQPYEAIEKVHVQ - ->YP_009019182.1 spike protein [Mink coronavirus strain WD1127] -MFTKLLLLAVVSVAVKCDDIRNLTARCATLQNVSIGSHWYLIDNFVKNYSSRLPSNSDVVLGDYFPTVKP -WYNCVFRYWGAHNALLLENLKALYWDTVQGVTGVNNKYLLVSIHGSPYSATVYHKNGEMARYDSGRFCLC -KGAINTTVATGSGNCWGYDCKINSTFWNCNNTGCGDLLYGLQWSNSELMAYLSGDIYRIKLYNTWFNNAT -ISNTFASNGTTSNSWWFNPVYNLTYYRVNKTDNTVVVQNCTNNCADYVNNIFSTESGGIIPEGFSFNNWF -VLTNDSTITDGRFLTRQPLLVNCLWPVPSFSETSQNFCFNTEDFAQCNGYRLNSTADVIRFNLNFTHETV -AASGTTFFELNTTGGVVLHISCYNQSRTEAVTSEGFLPFGYHENSLYCYVSYNETLQKFLGVLPPSVKEI -AISKDGGFYINGYNYFQTFPIDCISFNLTTGNTGAFWTIAYTSYTDVMVDVENTAIKRVVYCNSHIDDIR -CNQLTPNLPDGFYPVSPKAIGFVNKTFVTLPANFDHVYVNITGNVRLKIQRGRPYNNGGNATLSFQGCID -VSQFTVNVNHTCTLIDANGQCTGAYEARVYVTSGSCPFAFDRLNNHMSFSKICFSPVPLGDDCRLDLTVQ -TRYFTGVFAHVYVSYKFGLDIVGLPRADAGLKDLSVLHLNVCTEYNVYGFAGTGIIRETNQTVMGGLYYT -SLSGDLLGFKNVTTGTVYSITPCELSAQAAVIDGSIVGAITSVNSELLGLKNHIVTPYFYYYSVYNYTAD -NNSRSMQKYDVNCTPVISYSNMGVCANGALVFINVTHTNGDVQPISTGNVTIPSNFTISVQMEYVQVSTE -LVSIDCARYVCNGNARCGRLLSQYVSACQTIEQALAMGSRLESMELESMISISENALKLASVEEFNSSAM -LNPIYNESGNTIGGIYLDGLKDILPRKNKHGSSRSTIEDLLFNKVVTTGLGTVDEDYKRCTKGSDIADLA -CAQYYNGIMVLPGVANDGKMSMYTASLSGGISLGALGGGAVAIPFSLAVQARLNYVALQTDVLQRNQEIL -AASFNQAIGNITIALGKVNNAIYQTSQSLSTVAQALTKVQDVVNSQGKALNHLTLQLQNNFQAISSSIQD -IYYKLDDINADAQVDRLITGRLAALNAFVTQTLTRQAEVRASRQLAKQKVNECVRSQSSRFGFCGNGTHL -FSLANAAPNGMVFFHTVLVPTAYQTVTAWSGICASDGDRTFGLIVKDVSLTLFRNYNNSFYLTPRTMYQP -RIATSADFVQIADCDVLFVNATILELPSIIPDYIDINKTVQDLLDSYKPNWTVPELSLDIFNQTYLNITN -EINDLENRSVVLYNTTKELELLIQSINNTLVDLEWLNKIETYVKWPWYVWVLIALIFLFVLPMLLFCCLS -TGCCGCCGCLSSCAAGCCKYSCSRDLSRYEPIEKVHVN - ->YP_008439202.1 spike glycoprotein [Bat coronavirus CDPHE15/USA/2006] -MKLIILLCIGFAYANQRNVGCSEGGYLDPPKRLQLGLDNVTALVPGALPLPGLWNCTVTSNFIYGPTPRP -IGLFIMYYASAQVAQFGISSTLRRSLSPYSLYFLNYGNGRRHIIRICKWDTDKMLQDSDATTGYDCIANV -RINDVVLEHGGRQIYGLSWSGNVVTLHMLKGIRHITVPGAQYWDIIRFSCGHKDSCGHQVVYSTNVFNVT -VLNGFITNYQVLPDSGEFSDNLFTVGDDGSIPPSFGFNNWFVLSNSSSIISGTVVSNQPLRLTCLWPIPS -STGALATIYFNGTNGAQCNGFDSNAPFDAIRFNLNGTLSGHNFVSGFVLHAANGATLGFSCTNSTDAPYL -RQIPFGIGDTPYYCYLNVTTDINSTMSFVGALPLNLREIVIASNGDVYMNGYRYFAAGDLSSVDVELPSQ -QVFGSTFWTIAFTVFETVLLEVDGTSINRMLYCDNPLNRVKCSHTQFDLVDGFYPLTDVDLAVKPFTFVT -LPTFADHSFVYFNFSLMFDDLNEDFRLQSFNLTINGQLSYCVQSRQFTTSGSVRTNTNHQFGFYTQRAAS -NGCPFTIDTLNNYLTFGRICFSFGESGAGCGVDVMVESQYNMFKVTTIFVSYSEGDIIAGMPKPSEGIRD -VSKIHLDVCSTYSIYGHTGDGIIRLTNDTLLGGLYYTSPGGALLGFKNVTTGEIYSVTPCSLTQQVAVVS -DEIVGVVSSSANVSDQFSFTYTTVTEQFYYMTDGNSSCSEPVLTYSSLGVCKDGALRILQPREDTTQPTP -IVSGVISIATNFTLSVVTEYIQLANTPISVDCAMYVCNGNPRCNMLLAQYSSACQTIENALQLSAKLESI -EVTNMLTVSEDNLQIANISTFNGGGYNFTNLMGTTYSTKSVVEDILFDKVVTSGLGTVDADYKACSNGLS -IADLVCAQYYQGVMVLPGVVDAAKLHMYSASLMGGMALGGVTAAAALPFSYAVQARLNYVALQTDVLQRN -QQILAESFNNAIGNITNAFASVNDAISQTAEGLSTVAEALSKVQDVVNNQGMALNHLTLQLQNNFQAISS -SIADIYRRLDQLTADAQVDRLINGRLAALNAFVSQTLTKYSQVQASRSLAKQKINECVLSQSPRYGFCGD -GGRHVFTVTQAAPQGILFLHTVLRPTGSVNVTAAAGICVDGAGYALNQPGLVLIYQDGTYLITPRVMFEP -RQPQISDFVRIEGCDVEYFNVTGESLPDIFPDFIDVNKTLEDILSQLQNNTGPKFDIDIFNATYLNLSSE -IADLEMRSESLHNTTEELKRLIDNINSTLVDLEWLNRVETYIKWPWWVWLLIAIALIFTVSLLLFCCIAT -GCCGCCGCCASCLTGCCKGPRLQPYEAIEKVHVQ - ->YP_005352863.1 S gene product [Night heron coronavirus HKU19] -MQCVVLVLTLISIVTSRPNSFADRVFDALTFPHASNYLHVGDKTPSRPQLLQARNQGNYSAICPENGYIT -STSYDLSKIYYLTDGDYPIDGVYKSLQPLKVTCVPEWHGNSNFNDTTGWKHYFDGRIKQNPNTIWCPCSQ -SGPGGAQHNAAGNSTEYIRFHSNITTSVSNLLRLYAVDNQYYYFGCTPTPTPLTFNLTSENITLFTAEEQ -VHYCYANINGTVSYIGVLPPKITELTVGRYGDIFVNGFLYFKIPNVIEYVQLSHTIPHNKQFYTVFYANM -TQVLLNISMASINRLLYCDKDSYSSIACAVNQFEPANGFYSTSAIEKISRKFTFVTMPTVQNHSYYSINL -TIGGCGHGEYPHLSNKTGCYRTDASNINAKQITFVINTYTHDNWIQWAHKPGNCPWALNKINNYNTAGTL -QVVPENQATCCTDNQASWLYLASWTSVNVKVCFNYQPGTTISIQPQQTGVATDISVIYENECVDYNIYGK -TGTGIIQSTNVTLLAGRTYTSASGQLLAFKYLSNQTIYSVTPCDFSNQVAVYNKSVIAAILPQNKTIFGL -TNIQETPNFYIANNAHQQQRFAMYMEPLNSQQPDCTPVLTYAQIGICADGQFVQVQPEKSQPMSTTPIVA -VNITIPKTFNISVQTEYIQISTDNIVIDCQRYVCNGNPRCLMLLSQYQSACSTIEQALHQKARLESLELS -TMLAYSPNTLQLANVSNFQSNNMGFNLTNLLPQNNSPQKRSVIEDLLFSKVVTNGLGTVDVDYKKCTKGL -SIADLPCAQYYNGIMVLPGVADSGLLAAYTASLTGGMVFGGLTSAAAIPFATAVQARLNYVALQTDVLQR -NQQILANAFNQAMGNITLAFKDVKEAIATTADAIRVVAGALDKIQQVVNSQGQALSKLTGELQRNFQAIS -ASIEDIYNRLNDIEADAQVDRLITGRLAALNAFLTQTLTQANEVKAARELALQKINECVKDQSKRYGFCG -NGYHLFSIANAAPKGFIFFHTVLQPETTIEIQAIAGFCVSDRQTYNYYSSNMEGQAYIARDTTQTIFLHE -NGTYMITPRKQYQPRTLAQADVVKISTCDVTYVNLTSIEFEQLIPEYVDINSTVEGILNSTLPGKIPDLN -IGHYNNTILNLTTEINDLQSKAENLSMIAYQLEEYIKNINNTLVDLEWLNRVETYLKWPWYVWLAIALAF -TGFVTILITIFLCTGCCGGCFGCCGGCFGLFSKKIDPMRQYMNRYETPTSKSDDAIPIIYKKNW - ->YP_004070194.1 spike protein [Feline infectious peritonitis virus] -MIVLVTCLLLLCSYHTVLSTTNNECIQVNVTQLAGNENLIRDFLFSNFKEEGSVVVGGYYPTEVWYNCSR -TARTTAFQYFNNIHAFYFVMEAMENSTGNARGKPLLFHVHGEPVSVIISAYRDDVQQRPLLKHGLVCITK -NRHINYEQFTSNQWNSTCTGADRKIPFSVIPTDNGTKIYGLEWNDDFVTAYISGRSYHLNINTNWFNNVT -LLYSRSSTATWEYSAAYAYQGVSNFTYYKLNNTNGLKTYELCEDYEHCTGYATNVFAPTSGGYIPDGFSF -NNWFLLTNSSTFVSGRFVTNQPLLINCLWPVPSFGVAAQEFCFEGAQFSQCNGVSLNNTVDVIRFNLNFT -ADVQSGMGATVFSLNTTGGVILEISCYSDTVSESSSYSYGEIPFGITDGPRYCYVLYNGTALKYLGTLPP -SVKEIAISKWGHFYINGYNFFSTFPIGCISFNLTTGVSGAFWTIAYTSYTEALVQVENTAIKNVTYCNSH -INNIKCSQLTANLNNGFYPVASSEVGFVNKSVVLLPSFFTYTAVNITIDLGMKLSGYGQPIASTLSNITL -PMQDNNTDVYCIRSNQFSVYVHSTCKSSLWDNIFNQDCTDVLEATAVIKTGTCPFSFDKLNNYLTFNKFC -LSLSPVGANCKFDVAARTRTNEQVVRSLYVIYEEGDNIVGVPSDNSGLHDLSVLHLDSCTDYNIYGRTGV -GIIRRTNSTLLSGLYYTSLSGDLLGFKNVSDGVIYSVTPCDVSAQAAVIDGAIVGAMTSINSELLGLTHW -TTTPNFYYYSIYNYTSERTRGTAIDSNDVDCEPVITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVTI -PTNFTISVQVEYMQVYTTPVSIDCARYVCNGNPRCNKLLTQYVSACQTIEQALAMGARLENMEVDSMLFV -SENALKLASVEAFNSTENLDPIYKEWPSIGGSWLGGLKDILPSHNSKRKYGSAIEDLLFDKVVTSGLGTV -DEDYKRCTGGYDIADLVCAQYYNGIMVLPGVANADKMTMYTASLAGGITLGALGGGAVAIPFAVAVQARL -NYVALQTDVLNKNQQILANAFNQAIGNITQAFGKVNDAIHQTSQGLATVAKALAKVQDVVNTQGQALSHL -TVQLQNNFQAISSSISDIYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNEC -VRSQSQRFGFCGNGTHLFSLANAAPNGMIFFHTVLLPTAYETVTAWSGICASDGDRTFGLVVKDVQLTLF -RNLDDKFYLTPRTMYQPRVATSSDFVQIEGCDVLFVNATVIDLPSIIPDYIDINQTVQDILENYRPNWTV -PEFTLDIFNATYLNLTGEIDDLEFRSEKLHNTTVELAILIDNINNTLVNLEWLNRIETYVKWPWYVWLLI -GLVVVFCIPLLLFCCFSTGCCGCIGCLGSCCHSICSRRQFENYEPIEKVHVH - ->YP_002308506.1 spike glycoprotein [Munia coronavirus HKU13-3514] -MQSTLLMLMSATLAHAKIADTIFDALTFPNAHRFLYRQNRYRTQRDSGISVGSLPGYPTQHLELISPLTN -STLPINGLHRSYQPLYFACFTDIVNNTLNMHLTQNEQATYNCMGDAIRNMSHDALRLFLNLTSANRASIR -VIGADNTTYMFVCSEHLNISTAMANSTFYSANKQLYCFTNETYLGPLPANLSDITIYRTGQFYVNGYLLG -TLPISVKTVRIETGGLPAHSTYFALANLTDTLITLANTTITQVTYCESSTIDNIACQRSTHTLADGFYSA -QQDLFQSNVRTVITLPKLQEVEVIQVNITATFNFGEPKVTAITINGNDSYCVTKPYFTIQSNFVCTGCTL -QVRSSTCNFDLFAINNGMSFSQFCISATAGSCSSKIVATYVWNYDTGRNLYFTFTEGQMFTGTSTTTPNA -IDTSSIIEGVCTDYTIYGISGTGIIKSSDLQLHNGIAFTSPTGGLYAFKNVTTGQAYQVLPCVRTTQAVV -INNTIVGVITSTNTTLYGFQRVIPTPTFYYTTNATNNCTQPILSYGPLAVCSDGSISATTTLQDTRPSIV -SLYNGEIDVPSAFALTVQSEYLQIQSEQVVIDCAQYVCNGNPRCLRLLSQYTSACSNIESALHASAQLDS -RDITDMFQTSTQSLELANITNFQGDYNFTNILSNKIGEKSVIEDLLFNKVVTNGLGTVDQDYKACSKDMA -IADLVCSQYYNGIMVLPGVVDAEKMAMYTGSLTGAMVFGGLTAAAAIPFSTGVQARLNYVALQTNVLQEN -QKILADSFNQAVGNISLALSSVNDAIQQTSEALNTVANAINKIQTVVNQQGEALSHLTAQLSNNFQAIST -SIQDIYNRLEEVEANQQVDRLITGRLSALNAYVTQLLNQMSQIRQYRLLAQQKINECVKSQSTRYGFCGN -GTHLFSLTQAAPNGILFMHAVLVPTKFARVTASAGICVDSTKGYSLQPQLVLFNINGTWRVTPRNMYEPR -IPRSADFVPLTECSVTYYNTTASQLPTIVPDFVDVNQTVSDIIGSLPNPTPPSLVVDFYNHTILNLSAEI -LDLQQRADNLSQISEQLQHYIDNLNNTLVDLEWLNKVETYIKWPWWVWLLIVLAIAAFACILVTIFLCTG -CCGGCFGCCGGCFGLFSHKKRDTEPTPITSFKLKEW - ->YP_002308497.1 spike glycoprotein [Thrush coronavirus HKU12-600] -MQRVILICFITLASAARLVDRVFDALTFPNAHQYLRDTRQIQPRWLPSAEEPKSDGKGHFTQNVHRFAFL -TNGSSPVDQIIRTTQPLLLRCPQWFGYNGSGNWVLKFNFSESNYCNDGYNYNGNKVVSHIRLIGAFLASP -SVDYVFTLQTTSGSTYYLNCSTSQRPVGFDAEMQRNYCYVKTANYTQFIGILPERVADVTFSREGTFYVN -GFGMGSFGQLARITVDKGALATFNHSWAYFVDEADVLLNITDSQIQGIVYCDKDLYDHLRCQQSSFSLTD -GFYSTAKYTTNQQRKTIFVTLPKHTYTETISILPNISNPAYPDGSTNGPALGWWVGTMNVLFNNSQTLCV -NSSFFMVNITFKCWLKPHPTKPSDEYKCIGGLPTAQVKLPDWPFAPYYSFSQLCLSSTYQVGYSTFYFQY -WNQGTNKRWESKPLFYYTYQTGTQYTYVTKPVISFTDVSELSLYECVDYNIYGVIGSGIIQPSNISYLNG -LYYTSSAGQLLAFKNSTTFQIYTVQPCDSPGQVIVYNNSFIGAITASNQTILNFKSRIATPTFYYHTNAT -SVQGNCNTAPLQFSSIGICPDGSIMQVNTTVDTLPATTIITSGNITIPLNFTISIQAEYLQIQAQHTVVD -CQTYVCNGNAKCLQLLQQYSTACSNIEQSLTMSARLDNAETLAMVQTSTQGYHLSKIEQHDNQYNLTAIL -PNKQGGRSAIEDLLFDKVVTNGLGTVDQDYKECTKGVSLADLACAQYYSGIMVLPGVVDAEKMAMYTASL -TGGMFFGGLTAASSIPFSVAVQARLNYVALQTDVLQKNQQILANSFNQAMGNITLAFSSVNDALQQTSEV -IQTVANALNKIQDVVNKQGSALNHLTLQLQNNFDAISHSIADIYARLDEVEANQQVDRLITGRLAALNAY -VTQVLTQISQLKAQRQLAQEKINECVKSQSDRFGFCGNGSHLFSITQAAPNGILFLHTVLTPTDRVQVNA -IAGICVSDSAFVLREPNLAFFQHDGKWLISPRRLFQPRPATVADFVQIQSCEVTFYNTTYDELPQLIPDY -VDVNQTVSDILANKTDPSLDLKLDIYNQTVLNLSAEVDELFQRAQNLTLIFKQLEQYINNINNTLVDLEW -LNRVETYIKWPWWVWLLIVLAIAAFACIVITIFLCTGCCGGCFGCCGGCFGLFSKRKFDSDPTPVSFKLK -EW - ->YP_001941166.1 spike protein [Turkey coronavirus] -MLVQLCCLTIILSLVCNVSSIPTICLNATVGSGVCLPCTNIPEYADGKNGGEALDFYSPDVMRPPDGAYI -QSGYYEPLFTGCFNQTNQTDSTCRNGLYKGSPGNFTIQGDFLKNYDAVGIMFWWGLATNVGKVTPPNDPT -FNLTWGNFFLNSKNFTGFHKVKSVIFIATGDIFVNGVLMGFYNLNFTQTLTIWLAQCVGTMKVVILRNSN -ALVHFSAGNVVAFEPCTGDTTINKLRCAYQQFNFSTGFYDIDTFVPVTSNITYLPYPDLKDNTGQEVCDF -YVALKGDHVIYNQSCVDSKYPFFKLKCNNTYSWDNDKLCILGSEIYVPGYNVYTNTQHSYAGTIPNYPTC -SSKGLSLENIYNNIGFNRFCVTKLLTTRDISSITQYTCVYVVSVEGFDSYNQGIVYSYVGVNFDFGTAMY -SVKTAPMQFIYTEQCHKYNIYNIRGVGQILNVTGKDNTTLVDGGLVITSGSGLLAFRNNGSLFSVQPCRT -VSTQAVIVNGSFVGLFVPASCWLADQFNLGNHTEYVDGGCLISNKTISRKRRSTNAVYTGECTGLFAAMG -TSCIYSNGTVLNRTLPQPSVQTSVQPLLGVVANVSIPKELTLAVTTEYLQTRYQKVTIDCAKYVCGDSTR -CRTLLQQYGSFCQSVNAILSGVNENEDNGLLQYAEAINTGYTLNFTGYNVSNLGGFDLSLVLPKNLTLAQ -NQGRSTIEDLLFDKVVTLGVSEVDQNYDKCIASRGGSFTNLADLTCAQFYNGVMVLPGVVDPGLMAVYTG -SLIGGMALGGITAAASIPFATQIQARVNYLALTQSVLLDNQNLIANSFNKALKGIQSALDTVSQGFVEVA -RGFESVTVALNKVQDVVNTHSDILNKLMAQLSVNFGAVSSSLNEIYLKLDQINADAQVDRLITGRLTALS -TYVASLQLAAYKADQSRRLALQKVEECVKSQSMRYGFCGNGSHVLTIPQSAPNGIFFIHYTYQPTSYVTI -EAVPGLCVTTPSGKYGVMPKTGSGIIFRQNDTFFVTSTQLYEPKLLSYSEVVNLTSCEANYYNVSESETP -FQPALPNFDDEFNDIFTELNTSKDAIKNISSNFNYTIPILNLEDEICRLNHSINTLYNYSDVIDKINQGL -NDTFINLEQLNKITRYIKWPWYVWLAIGFACLIFILILGWVFFMTGCCGCCCGCFGIIPLMSKCGKKSSY -YTTFDNDVVYNKTDLKSLFNDSNSNIFSNSVNSSLV - ->YP_001876437.1 spike protein [Beluga whale coronavirus SW1] -MVRLSFVIVFLCTMLMNPLLISAIDEGLWPAGMWYSHLLQENNTYTVAAFQEHAILPSYVNNNWTVITNF -TAENATQLEWDDPYYNSTLFSYVNITMVGDNCIGNFTNRTLGELGDIDYFPFNCTNVTNEFRTNYGYFIQ -NVMVARCLSSLCAVIIPKGRVFFEKNEMWWWSWQDRYQPGNYTFRHERFMSLSQNITVIGLAGNFSLFGL -GQTININSASYDWGTVIRGRLDDRICELQMTGADLCNLTRVDTSSDAFYTAGLVRPASAALIADGAYKPI -FTGVFHDNETHQVLYENATIKPSVRSFQVKNNDFDALYVGRKEKFSIDAVTIIFRLKETSTYLDLPFCNE -TRVDKSDKAIIGYLIGGDFYSVDVSSIVIERYNPPQPNATSIPTTISTVSTTTSGWEDLRSLNMSCVRFD -YKLKKVMSVPLPMVKAFIIDFKQRQLAIDGFPVGALIADFEFRFSIVAMPQTFISTLNYTTALVTFDKGN -VRSVIDCNSEKPFDRLRCDLQTDVVSDGFYPVNIKTNEAKENTTFIDVPATLANLTLEVFVSSPEQSPAC -VIKSYKCVDTRYFYISDYSYSCAENGIPNDAAGAAYCRGVLDQINNYGVRGMDFKGKCPFAGSKVNSGLT -FDKICFGVYEQGCQSDKACRFGFYFDNVQFSQYGNKLYYKPQDLGFIDYQFGTLFKNSLVQSNIIQEGCH -DYDIYGYKGTGVVKPFSDYSYLQTGGLIMLDSGGFPSLFRYNFALYEVTQCTREVAQLAVASNNAIGYYL -PKQVTIDGVNASTSCNTTGAFKYCYYDDVIHVGRDVSNVTINVCNPSLMMENSHCINIQGNFMVNEPAIT -FNSTQYQPLLGVSGDVQLPRTLMLHTTTEFIQTHSPKIVIDCTKYVCGSSERCRRILVKYGGFCESIMRL -LNGVNMADDVSVTDFLDNFNSFDNISVSLQNLNASFGQFEGYGFQKFLPKSFDFDGSDPRDARSTIEDIL -FDKVTTVGLGTVDADYDKCTKGLSVADLVCAQYYNGIMVLPGVADAEKMAMYTGALVGGMVLGGITSAAA -IPFATQIQARVNYLALTQNAIQENQKIIVQQFNKAIDSMTSAFQSVNEGFSAVSSAITEVQNAVNAQSQA -LTKLLGQLNYNFGATTSSIKELYERLAKLEADVQVDRLINGRLTALSAFVAARQVEAAKVASQRVLAAEK -INECVKSTSNRYGFCGNGAHIISFPQNAPNGMLFVHFSLVPNETITVKGIIGLCLNNSIGIVPAKDRGMF -IQCSNGTYCPEFENSFNESKNGNVTFPFAITSREQYNPRQITTGDIQMLTSCNSGYTHIEWSQLPLVAPP -YDDFDKEFDKLYEKWNYTLEELEKLNVTFPYLNVSEQIDIINSAMENIKSQIQNLNSSYIDLEWLNKYER -YSKWPWWVWLIIVLCFAAFCLLVFWIFICTGCCGGCCNCCGIPALFGYCKRKAHYERLRMDEEGNLEEIK -AD - ->YP_001718605.1 spike protein [Bat coronavirus 1A] -MLFILCIALCFNFVSANIGCESNGNLDMQRLKLGLPSNITNAYVSGYLPSPDSWNCTQNPVGVANITYNA -KAVFISYYKFGREVAVGVGNSKSNDSIGIYFNHHNGNKSTILRVCRWHKYYMPSYTPTITTGGKDCVINT -NLKLSFVDQGNHSDIFGLSWSGDRLTIYSLDGVKSYYIPGIGELDVVSFRCVYKETCAHQVITKPITAIV -NTTADGLISGYSVCDDCDGFPKYVFAVTEGGEVPPNFRLDNWFYLTNSSTPISGMFTSVQPFNLSCVWTV -PVLTNNSLPVYFNMSMNENVTCNGYSNFDVGVVDAMRFSLNFTDNQIIRKGVISVFTSTNVYNFSCTNNS -VLDGDAVIPFGDVAQTHYCFIKYFTNITSGESVSQFVGILPPQVKEFVIMRNGDFHLNGYRIFSVDRVES -AIFNISTNDGRDFWTVAFANNAEVLTEINATSIQNLLYCNNPVNSIKCQQLRFNLDDGFYSHTFDSADNL -PRTIVRLPKYVTHSYINVTVGVNFENGTLTNYLVDFAPDGTSIGKDNITTVCVDTTTFTTRLNVLAFSAF -STAVDIQAGTCLFSYNNLNNYLGLVSLCFSTKPNGGCMMSVVARGYFGEFQKVGVLYVSFTKGDNVLGVP -PNNIPTIGVSDMSDVKLNVCTTFTIYGHTGRGIINKSNNTFVSGLFYTSVTGNLLGFKNSTTGEIFSISP -CQLTTQVAAVSDKIVGVAAATKEVTLPFDTHVSLGSFYYHYKNSNAELCKNPSLMYGGLGVCEDGRLVNI -SRSEDTFVPSAVISGNITIPANFSFVVQPEYIQIMTKPVSVDCSVYVCNGNPRCLQLLTQYASVCRTVEE -PLQLNARLEALELTSMIIVQDKTLKLGVASNFNDTFDLTLALPRQHQSRSAIEDLLFSKIVTSGLGTVDD -DYKECAAKMANTIAEAGCVQYYNGIMVLPGVVDPSLLSQYTAALTGAMVLGGVTAAAAIPFSLAVQARLN -YLACQTDVLQRNQMILAQSFNAAMGNITVAFSGVSNAIQQTAQSLNTISQALNKVENVVNEQGTALSQLT -KQLASNFQAISSSIEDLYNRLDTLEADQQVDRLITGRLAALNAFVTQQLLRYSEVRASRQLAQEKINECV -KSQSSRYGFCGNGTHVFSVANAAPDGIMFLHANLVPTAFIEVSAFAGVCVDGRALVLRGRDEVLFQKPDT -DQYLITPRLLFEPRVPVSADFVEVSSCNVTFINLTINELPELLPDYIDVNKTLKEFAATIPNRTEMQLTL -NTYNATVLNLTDEVKSLMSQADDLTKIASELNLTISKINNTLVELEWLNRVETYIKWPWYVWLAIAVTLI -ILVGPMLWCCLATGCCGCCSCLVNSCSDCGGRRLQRYEIEKVHVQ - ->NP_598310.1 spike protein [Porcine epidemic diarrhea virus] -MRSLIYFWLLLPVLPTLSLPQDVTRCQSTTNFRRFFSKFNVQAPAVVVLGGYLPSMNSSSWYCGTGIETA -SGVHGIFLSYIDSGQGFEIGISQEPFDPSGYQLYLHKATNGNTNAIARLRICQFPDNKTLGPTVNDVTTG -RNCLFNKAIPAYMRDGKDIVVGITWDNDRVTVFADKIYHFYLKNDWSRVATRCYNRRSCAMQYVYTPTYY -MLNVTSAGEDGIYYEPCTANCTGYAANVFATDSNGHIPEGFSFNNWFLLSNDSTLLHGKVVSNQPLLVNC -LLAIPKIYGLGQFFSFNHTMDGVCNGAAVDRAPEALRFNINDTSVILAEGSIVLHTALGTNLSFVCSNSS -DPHLAIFAIPLGATEVPYYCFLKVDTYNSTVYKFLAVLPPTVREIVITKYGDVYVNGFGYLHLGLLDAVT -INFTGHGTDDDVSGFWTIASTNFVDALIEVQGTSIQRILYCDDPVSQLKCSQVAFDLDDGFYPISSRNLL -SHEQPISFVTLPSFNDHSFVNITVSAAFGGLSSANLVASDTTINGFSSFCVDTRQFTITLFYNVTNSYGY -VSKSQDSNCPFTLQSVNDYLSFSKFCVSTSLLAGACTIDLFGYPAFGSGVKLTSLYFQFTKGELITGTPK -PLEGITDVSFMTLDVCTKYTIYGFKGEGIITLTNSSILAGVYYTSDSGQLLAFKNVTSGAVYSVTPCSFS -EQAAYVNDDIVGVISSLSNSTFNNTRELPGFFYHSNDGSNCTEPVLVYSNIGVCKSGSIGYVPSQYGQVK -IAPTVTGNISIPTNFSMSIRTEYLQLYNTPVSVDCATYVCNGNSRCKQLLTQYTAACKTIESALQLSARL -ESVEVNSMLTISEEALQLATISSFNGDGYNFTNVLGASVYDPASGRVVQKRSVIEDLLFNKVVTNGLGTV -DEDYKRCSNGRSVADLVCAQYYSGVMVLPGVVDAEKLHMYSASLIGGMALGGITAAAALPFSYAVQARLN -YLALQTDVLQRNQQLLAESFNSAIGNITSAFESVKEAISQTSKGLNTVAHALTKVQEVVNSQGSALNQLT -VQLQHNFQAISSSIDDIYSRLDILSADVQVDRLITGRLSALNAFVAQTLTKYTEVQASRKLAQQKVNECV -KSQSQRYGFCGGDGEHIFSLVQAAPQGLLFLHTVLVPGDFVNVLAIAGLCVNGEIALTLREPGLVLFTHE -LQTYTATEYFVSSRRMFEPRKPTVSDFVQIESCVVTYVNLTSDQLPDVIPDYIDVNKTLDEILASLPNRT -GPSLPLDVFNATYLNLTGEIADLEQRSESLRNTTEELRSLINNINNTLVDLEWLNRVETYIKWPWWVWLI -IVIVLIFVVSLLVFCCISTGCCGCCGCCGACFSGCCRGPRLQPYEAFEKVHVQ - ->sp|Q65984.1|SPIKE_CVCAK RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MIVLILCLLLFSYNSVICTSNNDCVQGNVTQLPGNENIIKDFLFHTFKEEPSVVVGGYYPTEVWYNCSRS -ATTTAYKDFSNIHAFYFDMEAMENSTGNARGKPLLVHVHGDPVSIIIYISAYRDDVQPRPLLKHGLLCIT -KNKIIDYNTFTSAQWSAICLGDDRKIPFSVIPTDNGTKIFGLEWNDDYVTAYISDRSHHLNINNNWFNNV -TILYSRSSSATWQKSAAYVYQGVSNFTYYKLNNTNGLKSYELCEDYEYCTGYATNVFAPTVGGYIPHGFS -FNNWFMRTNSSTFVSGRFVTNQPLLVNCLWPVPSFGVAAQQFCFEGAQFSQCNGVSLNNTVDVIRFNLNF -TALVQSGMGATVFSLNTTGGVILEISCYNDTVSESSFYSYGEISFGVTDGPRYCFALYNGTALKYLGTLP -PSVKEIAISKWGHFYINGYNFFSTFPIDCISFNLTTGDSGAFWTIAYTSYTDALVQVENTAIKKVTYCNS -HINNIKCSQLTANLQNGFYPVASSEVGLVNKSVVLLPSFYSHTSVNITIDLGMKRSGYGQPIASTLSNIT -LPMQDNNTDVYCIRSNRFSVYFHSTCKSSLWDDVFNSDCTDVLYATAVIKTGTCPFSFDKLNNYLTFNKF -CLSLNPVGANCKFDVAARTRTNEQVVRSLYVIYEEGDNIVGVPSDNSGLHDLSVLHLDSCTDYNIYGITG -VGIIRQTNSTLLSGLYYTSLSGDLLGFKNVSDGVIYSVTPCDVSAHAAVIDGAIVGAMTSINSELLGLTH -WTTTPNFYYYSIYNYTNERTRGTAIDSNDVDCEPIITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVT -IPTNFTISVQVEYIQVYTTPVSIDCSRYVCNGNPRCNKLLTQYVSACQTIEQALAMGARLENMEIDSMLF -VSENALKLASVEAFNSTETLDPIYKEWPNIGGSWLGGLKDILPSHNSKRKYRSAIEDLLFDKVVTSGLGT -VDEDYKRCTGGYDIADLVCAQYYNGIMVLPGVANDDKMAMYTASLAGGITLGSLGGGAVSIPFAIAVQAR -LNYVALQTDVLNKNQQILANAFNQAIGNITQAFGKVNDAIHQTSQGLATVAKVLAKVQDVVNTQGQALSH -LTLQLQNNFQAISSSISDIYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNE -CVRSQSQRFGFCGNGTHLFSLANAAPNGMIFFHTVLLPTAYETVTAWSGICASDGDRTFGLVVKDVQLTL -FRNLDDKFYLTPRTMYQPIVATSSDFVQIEGCDVLFVNATVIDLPSIIPDYIDINQTVQDILENFRPNWT -VPELPLDIFNATYLNLTGEINDLEFRSEKLHNTTVELAILIDNINNTLVNLEWLNRIETYVKWPWYVWLL -IGLVVIFCIPILLFCCCSTGCCGCIGCLGSCCHSICSRRQFESYEPIEKVHVH - ->sp|Q7T6T3.1|SPIKE_CVCBG RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MIVLTLCLFLVLYNSVICTSNNECVQVNVTQLPGNENIIRDFLFQNFKEEGTVVVGGYYPTEVWYNCSRT -ARTQAFKTFSNIHAFYFDMEAMENSTGDARGKPLLVHVHGNPVSIIVYISAYRHDVQGRPKLKHGLLCIT -KNSTTDYDRFTANQWRDICLGEDRKIPFSVVPTDNGTKLFGLEWNDDYVTAYISDDSHYLNINNNWFNNV -TLLYSRSSTATWQHSAAYVYQGVSNFTYYKLNNTNGLKSYELCEDYEYCTGYATNVFAPTPGGYIPEGFS -FNNWFMLTNSSTFVSGRFVTNQPLLVNCLWPVPSFGVAAQEFCFEGAQFSQCNGVSLNNTVDVIRFNLNF -TTDVQSGMGATVFSLNTTGGVILDISCYNDTVSESSFYSYGEIPFGVIDGPRYCYVLYNGTALKYLGTLP -PSVKEIAISKWGHFYINGYNFFSTFPIDCISFNLTTGASGAFWTIAYTSYTEALVQVENTAIKKVTYCNS -HVNSIKCSQLTANLQNGFYPVASSEVGLVNKSVVLLPSFYSHTSVNITIDLGMRRSGYGQPVASSLSNIS -LPMQDNNTDVYCIRSNQFSFYVHSNCKSASWDNIFNSACTDVLEATAVIKTGTCPFSFDKLNNYLTFNKF -CLSLNPTGANCKFDVVARTRTNEQGVGSLYVIYEEGDNIVGVPSDNSGLHDLSVLHLDSCTDYNIYGRNG -VGIIRKTNSTLLSGLYYTSLSGDLLGFKNVSDGVVYSVTPCEVSAQAAVIDGAIVGAMTSINSELLGLTH -WTTTPNFYYYSIYNYTNERVRGTVTDSNDVDCEPIITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVT -IPTNFTISVQVEYIQVYTTPVSIDCSRYVCNGNSRCNKLLTQYVSACHTIEQALAMGARLENMEIDSMLF -VSENALKLASVEAFNSTDNLDPIYREWPNIGGSWLGGLKDILPSHNSKRKYRSAIEDLLFDKVVTSGLGT -VDEDYKRCTGGYDIADLVCAQYYNGIMVLPGVANDDKMAMYTASLAGGITLGALGGGAVSIPFAVAVQAR -LNYVALQTDVLNKNQQILANAFNQAIGNITQAFGNVNDAIHQTSKGLATVAKALAKVQDVVNTQGQALSH -LTVQLQNNFQAISSSISDIYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNE -CVRSQSQRFGFCGNGTHLFSLANAAPNGMIFFHTVLLPTAYETVTAWSGICASDGNRTFGLVVKDVQLTL -FRNLDYKFYLTPRTMYQPRVATSSDFVQIEGCDVLFVNATVIELPSIIPDYIDINQTVQDILENFRPNWT -VPELPLDIFNATYLNLTGEINDLEFRSEKLHNTTLELATLIDNINNTLVNLEWLNRIETYVKWPWYVWLL -IGLVVIFCIPLLLFCCCSTGCCGCFGCIGSCCHSMCSRRQFESYEPIEKVHVH - ->sp|P33470.2|SPIKE_CVPMI RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MKKLFVVLVVMPLIYGDNFPCSKLTNRTIGNHWNLIETFLLNYSSRLSPNSDVVLGDYFPTVQPWFNCIR -NNSNDLYVTLENLKALYWDYATENITLNHKQRLNVVVNGYPYSITVTTTRNFNSAEGAIICICKGSPPTT -TTESSLTCNWGSECRLNHKFPICPSNSEANCGNMLYGLQWFADAVVAYLHGASYRISFENQWSGTVTLGD -MRATTLETAGTLVDLWWFNPVYDVSYYRVNNKNGTTVVSNCTDQCASYVANVFTTQPGGFIPSDFSFNNW -FLLTNSSTLVSGKLVTKQPLLVNCLWPVPSFEEAASTLCFEGAGFDQCNGPVLNNTVDVIRFNLNFTTNV -QSGKGATVFSLNTTGGVTLEISCYNDTVSDSSFSSYGEMPFGVTDGPRYCYVLYNGTALKYLGTLPPSVK -EIAISKWGHFYINGYNFFSTFPIDCISFNLTTGDSDVFWTIAYTSYTEALVQVENTAITKVTYCNSYVNN -IKCSQLTANLNNGFYPVSSSEVGLVNKSVVLLPSFYTHTIVNITIGLGMKRSGYGQPIASTLSNITLPMQ -DNNTDVYCIRSDQFSVYVHSTCKSSLWDNVFKRNCTDVLDATAVIKTGTCPFSFDKLNNYLTFNKFCLSL -SPVGANCKFDVAARTRTNDQVVRSLYVIYEEGDNIVGVPSDNSGLHDLSVLHLDSCTDYNIYGRTGVGII -RQTNRTLLSGLYYTSLSGDLLGFKNVSDGVIYSVTPCDVSAQAAVIDGTIVGAITSINSELLGLTHWTTT -PNFYYYSIYNYTNDRTRGTAIDSNDVDCEPVITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVTIPTN -FTISVQVEYIQVYTTPVSIDCSRYVCNGNPRCNKLLTQYVSACQTIEQALAMGARLENMEVGSMLFVSEN -ALKLASVEAFNSSETLDPIYKEWPNIGGSWLEGLKYILPSDNSKRKYRSAIEDLLFAKVVTSGLGTVDED -YKRCTGGYDIADLVCAQYYNGIMVLPGVANADKMTMYTASLAGGITLGALGGGAVAIPFAVAVQARLNYV -ALQTDVLNKNQQILASAFNQAIGNITQSFGKVNDAIHQTSRGLATVAKALAKVQDVVNTQGQALSHLTVQ -LQNNFQAISSSISDIYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNECVRS -QSQRFGFCGNGTHLFSLANAAPNGMIFFHTVLLPTAYETVTAWAGICALDGDRTFGLVVKDVQLTLFRNL -DDKFYLTPRTMYQPRVATSSDFVQIEGCDVLFVNATVSDLPSIIPDYIDINQTVQDILENFRPNWTVPEL -TFDIFNATYLNLTGEIDDLEFRSEKLHNTTVELAILIDNINNTLVNLEWLNRIETYVKWPWYVWLLIGLV -VIFCIPLLLFCCCSTGCCGCIGCLGSCCHSICSRRQFENYEPIEKVHVH - ->sp|P36300.1|SPIKE_CVCAI RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MIVLTLCLFLFLYSSVSCTSNNDCVQVNVTQLPGNENIIKDFLFQNFKEEGSLVVGGYYPTEVWYNCSTT -QQTTAYKYFSNIHAFYFDMEAMENSTGNARGKPLLVHVHGNPVSIIVYISAYRDDVQFRPLLKHGLLCIT -KNDTVDYNSFTINQWRDICLGDDRKIPFSVVPTDNGTKLFGLEWNDDYVTAYISDESHRLNINNNWFNNV -TLLYSRTSTATWQHSAAYVYQGVSNFTYYKLNKTAGLKSYELCEDYEYCTGYATNVFAPTSGGYIPDGFS -FNNWFMLTNSSTFVSGRFVTNQPLLVNCLWPVPSFGVAAQEFCFEGAQFSQCNGVSLNNTVDVIRFNLNF -TTDVQSGMGATVFSLNTTGGVILEISCYNDTVSESSFYSYGEIPFGVTDGPRYCYVLYNGTALKYLGTLP -PSVKEIAISKWGHFYINGYNFFSTFPIDCIAFNLTTGASGAFWTIAYTSYTEALVQVENTAIKKVTYCNS -HINNIKCSQLTANLQNGFYPVASSEVGLVNKSVVLLPSFYSHTSVNITIDLGMKRSVTVTIASPLSNITL -PMQDNNIDVYCIRSNQFSVYVHSTCKSSLWDNNFNSACTDVLDATAVIKTGTCPFSFDKLNNYLTFNKFC -LSLNPVGANCKLDVAARTRTNEQVFGSLYVIYEEGDNIVGVPSDNSGLHDLSVLHLDSCTDYNIYGRTGV -GIIRKTNSTLLSGLYYTSLSGDLLGFKNVSDGVVYSVTPCDVSAQAAVIDGAIVGAMTSINSELLGLTHW -TTTPNFYYYSIYNYTNVMNRGTAIDNDIDCEPIITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVTIP -TNFTISVQVEYIQVYTTPVSIDCARYVCNGNPRCNKLLTQYVSACQTIEQALAMGARLENMEIDSMLFVS -ENALKLASVEAFNSTENLDPIYKEWPNIGGSWLGGLKDILPSHNSKRKYRSAIEDLLFDKVVTSGLGTVD -EDYKRSAGGYDIADLVCARYYNGIMVLPGVANDDKMTMYTASLTGGITLGALSGGAVAIPFAVAVQARLN -YVALQTDVLNKNQQILANAFNQAIGNITQAFGKVNDAIHQTSKGLATVAKALAKVQDVVNTQGQALSHLT -VQLQNNFQAISSSISDIYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNECV -RSQSQRFGFCGNGTHLFSLANAAPNGMIFFHTVLLPTAYETVTAWSGICASDGSRTFGLVVEDVQLTLFR -NLDEKFYLTPRTMYQPRVATSSDFVQIEGCDVLFVNGTVIELPSIIPDYIDINQTVQDILENFRPNWTVP -ELPLDIFHATYLNLTGEINDLEFRSEKLHNTTVELAILIDNINNTLVNLEWLNRIETYVKWPWYVWLLIG -LVVIFCIPILLFCCCSTGCCGCIGCLGSCCHSICSRGQFESYEPIEKVHVH - ->sp|P18450.2|SPIKE_CVPFS RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MKKLFVVLVVMPLIYGDNFPCSKLTNRTIGNHWNLIETFLLNYSSRLSPNSDVVLGDYFPTVQPWFNCIH -NNSNDLYVTLENLKALYWDYATENSTWNHKQRLNVVVNGYPYSITVTTTRNFNSAEGAIICICKGSPPTT -TTESSLTCNWGSECRLNHKFPICPSNSEANCGNMLYGLQWFADAVVAYLHGASYRISFENQWSGTVTLGD -MRATTLETAGTLVDLWWFNPVYDVSYYRVNNKNGTTVVSNCTDQCASYVANVFTTQPGGFIPSDFSFNNW -FLLTNSSTLVSGKLVTKQPLLVNCLWPVPSFEEAASTFCFEGAGFDQCNGAVLNNTVDVIRFNLNFTTNV -QSGKGATVFSLNTTGGVTLEISCYNDTVSDSSFSSYGEIPFGVTDGPRYCYVLYNGTALKYLGTLPPSVK -EIAISKWGHFYINGYNFFSTFPIDCISFNLTTGDSDVFWTIAYTSYTEALVQVENTAITKVTYCNSYVNN -IKCSQLTANLNNGFYPVSSSEVGFVNKSVVLLPTFYTHTIVNITIGLGMKRSGYGQPIASTLSNITLPMQ -DNNIDVYCIRSDQFSVYVHSTCKSALWDNVFKRNCTDVLDATAVIKTGTCPFSFDKLNNYLTFNKFCLSL -SPVGANCKFDVAARTRANDQVVRSLYVIYEEGDNIVGVPSDNSGLHDLSVLHLDSCTDYNIYGRSGVGII -RQTNRTLLSGLYYTSLSGDLLGFKNVSDGVIYSVTPCDVSAQAAVIDGTIVGAITSINSELLGLTHWTTT -PNFYYYSIYNYTNDMTRGTAIDSNDVDCEPVITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVTIPTN -FTISVQVEYIQVYTTPVSIDCSRYVCNGNPRCNKLLTQYVSACQTIEQALAVGARLENMEVDSMLFVSEN -ALKLASVEAFNSSETLDPIYKEWPNIGGSWLEGLKYILPSDNSKRKYRSAIEDLLFSKVVTSGLGTVDED -YKRCTGGYDIADLVCAQYYNGIMVLPGVANADKMTMYTASLAGGITLGALGGGAVAIPFAVAVQARLNYV -ALQTDVLNKNQQILASAFNQAIGNITQSFGKVNDAIHQTSRGLATVAKALAKVQDVVNTQGQALSHLTVQ -LQNNFQAISSSISDIYNRLDELSADAHVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNECVRS -QSQRFGFCGNGTHLFSLANAAPNGMIFFHAVLLPTAYETVTAWAGICALDGDRTFGLVVKDVQLTLFRNL -DDKFYLTPRTMYQPRVATSSDFVQIEGCDVLFVNATLSDLPSIIPDYIDINQTVQDILENFRPNWTVPEL -TFDIFNATYLNLTGEIDDLEFRSEKLHNTTVELAILIDNINNTLVNLEWLNRIETYVKWPWYVWLLIGLV -VIFCIPLLLFCCCSTGCCGCIGCLGSCCHSICSRRQFENYEPIEKVHIH - ->sp|P24413.1|SPIKE_CVPRM RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MKKLFVVLVVMPLIYGDKFPTSVVSNCTDQCASYVANVFTILPGGFIPSDFSFNNWFLLTNSSTLVNGKL -VTKQPLLVNCLWPVPSFEEVASTFCFEGADFDQCNGAVLNNTVDVIRFNLNFTTNVQSGKGATVFSLNTT -GGVTLEISCYNDTVSDSSFSSYGEIPFGVTNGPRYCYVLYNGTALKYLGTLPPSVKEIAISKWGHFYING -YNFFSTFPIDCISFNLTTGDSDVFWTIAYTSYTEALVQVENTAITNVTYCNSYVNNIKCSQLTANLNNGF -YPVSSSEVGSVNKSVVLLPSFLTHTIVNITIGLGMKRSGYGQPIASTLSNITLPMQDNNNDVYCVRSDQF -SVYVHSTCKSVLWDNVFKRNCTDVLDATAVIKTGTCPFSFDKLNNYLTFNKFCLSLSPVGANCKFDVAAR -TRTNDQVVRSLYVIYEEGDSIVGVPSDNSGLHDLSVLHLDSCTDYNIYGRTGVGIIRQTNRTILSGLYYT -SLSGDLLGFTNVSDGVIYSVTPCDVSAQAAIIDGTIVGAITSINSELLGLTHWTTTPNFYYYSIYNYTND -KTRGTPIGSNDVDCEPVITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVTIPTNFTISVQVEYIQVYT -TPVSIDCSRYVCNGNPRCNKLLTQYVSACQTIEQALAMGARLENMEVDSMLFVSENALKLASVEAFNSSE -TLDPIYKEWPNIGGFWLEGLKYILPSDNSKRKYRSAIEDLLFSKVVTSGLGTVDEDYKRCTGGYDIADLV -CAQYYNGIMVLPGVANADKMTMYTASLAGGITLGALGGGAVAIPFAVAVQARLNYVALQTDVLNKNQQIL -ASAFNQAIGNITQSFGKVNDAIHQTSRGLTTVAKALAKVQDVVNTQGQALRHLTVQLQNNFQAISSSISD -IYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNECVRSQSQRFGFCGNGTHL -FSLANAAPNGMIFFHTVLLPTAYETVTAWSGICALDVDRTFGLVVKDVQLTLFRNLDDKFYLTPRTMYQP -RVATSSDFVQIEGCDVLFVNTTVSDLPSIIPDYIDINQTVQDILENFRPNWTVPELTLDVFNATYLNLTG -EIDDLEFRSEKLHNTTVELAILIDNINNTVVNLEWLNRIETYVKWPWYVWLLIGLVVIFCIPLLLFCCCS -TGCCGCIGCLGSCCHSIFSRRQFENYEPIEKVHVH - ->sp|P27655.1|SPIKE_CVPR8 RecName: Full=Spike glycoprotein; Short=S glycoprotein; AltName: Full=E2; AltName: Full=Peplomer protein; Flags: Precursor -MKKLFVVLVVMPLIYGDKFPTSVVSNCTDQCASYVANVFTTQPGGFIPSDFSFNNWFILTNSSTLVSGKL -VTKQPLLVNCLWPVPSFEEAASTFCFEGADFDQCNGAVLNNTVDVIRFNLNFTTNVQSGKGATVFSLNTT -GGVTLEISCYNDTVSDSSFSSYGEIPFGVTNGPRYCYVLYNGTALKYLGTLPPSVKEIAISKWGHFYING -YNFFSTFPIDCISFNLTTGDSDVFWTIAYTSYTEALVQVENTAITNVTYCNSYVNNIKCSQLTANLNNGF -YPVSSSEVGSVNKSVVLLPSFLTHTIVNITIGLGMKRSGYGQPIASTLSNITLPMQDNNTDVYCVRSDQF -SVYVHSTCKSALWDNVFKRNCTDVLDATAVIKTGTCPFSFDKLNNYLTFNKFCLSLSPVGANCKFDVAAR -TRTNDQFVRSLYVIYEEGDSIVGVPSDNSGLHDLSVLHLDSCTDYNIYGRTGVGIIRQTNRTLLSGLYYT -SLSGDLLGFKNVSDGVIYSVTPCDVSAQAAIIDGAIVGAITSINSELLALTHWTITPNFYYYSIYNYTND -KTRGTPIGSNDVDCEPVITYSNIGVCKNGALVFINVTHSDGDVQPISTGNVTIPTNFTISVQVEYIQVYT -TPVSIDCSRYVCNGNPRCNKLLTQYVSACQTIEQALAMGARLENMEVDSMLFVSENALKLASVEAFNSSE -TLDPIYKEWPNIGGFWLEGLKYILPSDNSKRKYRSAIEDLLFSKVVTSGLGTVDEDYKRCTGGYDIADLV -CAQYYNGIMVLPGVANADKMTMYTASLAGGITLGALGGGAVAIPFAVAVQARLNYVALQTDVLNKNQQIL -ASAFNQAIGNITQSFGKVNDAIHQTSRGLTTVAKALAKVQDVVNTQGQALRHLTVQLQNNFQAISSSISD -IYNRLDELSADAQVDRLITGRLTALNAFVSQTLTRQAEVRASRQLAKDKVNECVKSQSHRFGFCGNGTHL -FSLANAAPNGMIFFHTVLLPTAYETVTAWSGICALDGDRTFGLVVKDVQLTLFRNLDDNFYLTPRTMYQP -RVATSSDFVQIEGCDVLFVNTTVSDLPSIIPDYIDINQTVQDILENFRPNWTVPELTMDVFNATYLNLTG -EIDDLEFRSEKLHNTTVELAILIDNINNTLVNLEWLNRIETYVKWPWYVWLLIGLVVIFCIPLLLFCCCS -TGCCGCIGCLGSCCHSIFSRRQFENYEPIEKVHVH - diff --git a/seq/clusters_seq/cluster_960 b/seq/clusters_seq/cluster_960 deleted file mode 100644 index 29a271f..0000000 --- a/seq/clusters_seq/cluster_960 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_001531170.2 Nonstructural protein NS2A [Dengue virus 3] -GSGKVDNFTMGVLCLAILFEEVLRGKFGKKHMIAGVFFTFVLLLSGQITWRDMAHTLIMIGSNASDRMGM -GVTYLALIATFKIQPFLALGFFLRKLTSRENLLLGVGLAMATTLQLPEDIEQMANGVALGLMALKLITQF -ETYQLWTALVSLTCSNTIFTLTVAWRTATLILAGVSLLPVCQSSSMRKTDWLPMTVAAMGVPPLPLFIFS -LKDTLKRR - ->NP_739585.2 Nonstructural protein NS2A [Dengue virus 2] -GHGQVDNFSLGVLGMALFLEEMLRTRVGTKHAILLVAVSFVTLITGNMSFRDLGRVMVMVGATMTDDIGM -GVTYLALLAAFKVRPTFAAGLLLRKLTSKELMMTTIGIVLLSQSTIPETILELTDALALGMMVLKMVRNM -EKYQLAVTIMAILCVPNAVILQNAWKVSCTILAVVSVSPLLLTSSQQKTDWIPLALTIKGLNPTAIFLTT -LSRTSKKR - ->NP_740319.1 NS2A protein [Dengue virus 4] -GQGTSETFSMGLLCLTLFVEECLRRRVTRKHMILVVVITLCAIILGGLTWMDLLRALIMLGDTMSGRIGG -QIHLAIMAVFKMSPGYVLGVFLRKLTSRETALMVIGMAMTTVLSIPHDLMELIDGISLGLILLKIVTQFD -NTQVGTLALSLTFIRSTMPLVMAWRTIMAVLFVVTLIPLCRTSCLQKQSHWVEITALILGAQALPVYLMT -LMKGASRR - ->NP_733808.1 nonstructural protein 2A [Dengue virus 1] -GSGEVDSFSLGLLCISIMIEEVMRSRWSRKMLMTGTLAVFLLLTMGQLTWNDLIRLCIMVGANASDKMGM -GTTYLALMATFRMRPMFAVGLLFRRLTSREVLLLTVGLSLVASVELPNSLEELGDGLAMGIMMLKLLTDF -QSHQLWATLLSLTFVKTTFSLHYAWKTMAMILSIVSLFPLCLSTTSQKTTWLPVLLGSLGCKPLTMFLIT -ENKIWGRK - diff --git a/seq/clusters_seq/cluster_961 b/seq/clusters_seq/cluster_961 deleted file mode 100644 index 71a032b..0000000 --- a/seq/clusters_seq/cluster_961 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009259652.1 anchored capsid protein C [Chaoyang virus] -MANKPKKPGRRAIDIVRRALPRVSGPKKVLKKATQTVMESLAGIRATVAYLLYMTFLGNKVSKATRAKFR -SAKKSDLIKILSSFKRTVTNLLASVQKRKRKAKRSVTTPSMALILLTMSCMAYG - ->YP_009259523.1 anchored capsid protein C [Donggang virus] -MRSMVFRARRPVKRAVDIIKRKLPYVPPPMRVAKMAAKKVMMGIGSLKAFLALFLFMTFTGRKISNEQHK -RFRSIDKTKAMKVLATFKKILGNLMKTLQTRKKRPNRRSAGSNGMMMLALIGTAMA - ->YP_009268583.1 anchored capsid protein C [Lammi virus] -MANKPKKPARRAIDIVRRALPRVSGPKRVLARASKSIMQSLAGLRATVAYLLYMTFLGNKVSNATKQKFR -NAKKSDVIKILSGFKRTVTNLLSSVQKRKKNGKRSKTEISLVVLMLFGTAMA - ->YP_009268567.1 anchored capsid protein C [Ilomantsi virus] -MQSMVFRTRRPVKRVVDIIKRRLPRVPPPKRVAKMVATKLAAGIGSLKGFLAFFLFMTFSGRKMSKDAHR -RFRTLDKTKALKVLSSFKRVLGNLMKTLQGRKQKTRRSVDTVPFLLLIMGVAVIA - diff --git a/seq/clusters_seq/cluster_962 b/seq/clusters_seq/cluster_962 deleted file mode 100644 index a49e1ca..0000000 --- a/seq/clusters_seq/cluster_962 +++ /dev/null @@ -1,24 +0,0 @@ ->NP_776079.1 non-structural protein NS4b [Rio Bravo virus] -NEMRLLENTKRDIMDLFKRDTTVNESPVFHYTWESLMEWDIRPLTIWATYVVFVTLARPQALHNLKMFTQ -RVITGTVAGKHDMVNLLPFGAAWLSLGLGDLTLAVGAFRNMSCLTLVGGVLLALAHWTWFYPLHAAAESS -KAHKIVTQSLSKNTMVDGETIYQLDQTSAETERSEKIFSMVTGFTLTAINVFTLRKAWAVLECVMVGMVL -IKYLIEPKGTTFWTLPVVSGLTSLVRGDFFGLIPISFRVWLYARSDRR - ->NP_775687.1 non-structural protein NS4b [Apoi virus] -NEMGFLERTKKDFREFFRKEVNMDGEPTQWRIFDLDICPMVSWSLYVLLVTGLRPVCLHGLQMMTQRVVT -GAISGRSDLLGQLREGMGNVSIGLPELALGMSVVRGMTPVTLVLGGLAGVAHWCWFYPIHEAALTMKANK -IVAQSMAKNTQVDGEVIYQLEEKIAKTEDHEKKFSYGVAVILNLINLALNQNLWSLLECAMAILAALCYI -RGQPTLCTLPVVAGLGKILRGDYLGILPVALHAWRSTSENRR - ->NP_775652.1 non-structural protein NS4b, partial [Montana myotis leukoencephalitis virus] -NEMRWLENTKKDLFGQPQTSPSVNTGGIIQDLLQLDIRPMNVWGTYVALVTVARPQALHNLKMFTKKIVS -GVVAGKESAMERLPTGGAWMNLRMGDLTLLATTLKGMTCFNLLGGLTFAFIHWFWFFPLHEAAESAKAHK -IVTQSLSKNNMVDGEVIYQLDEVRAETETNERNFSLGVAGCLALLNIVMCRKPWTVLEALMIISVVAKNY -LDPKAETFWTLPVASGLSALLRNEFLGLVPIGYRVWKHLSPGRR - ->NP_740266.1 non-structural protein 4B [Modoc virus] -NELRWLENTKEDIKQLFGEKIHMGISSGGDFWKYIDLKPLSIWGTYATLVTFMRPQMLHNLRMFTQRIVA -GSVSGKLDTLNGLRNGFVSTSMSLGDLSLFISFCRNMSPLTTVTGLVLAAIHWLWFYPMHEASLTSKAHK -MVAQSTAKNVAFDGEGIIDFHTEEVDTSSAERKTSFGVAILLAVINVVVVREPWAMLEAGLVLLAAIKFA -ADGAKETLITLPVLSGIGALIRGDYFGVAPILLNLYLQTTSNRR - diff --git a/seq/clusters_seq/cluster_963 b/seq/clusters_seq/cluster_963 deleted file mode 100644 index 1bdb052..0000000 --- a/seq/clusters_seq/cluster_963 +++ /dev/null @@ -1,67 +0,0 @@ ->YP_009664619.1 glycoprotein precursor [Gouleako virus] -MANNIMFRLFCIACVMTTCYSQVCTPIKYDPRSKPKQLAECYKEKVHWESTAFGHNECYPDGGIIQDYSC -VSDGVTDIQSCSLEVKCPQNKFLNSTGHCVKSFVECYYNAGDLVPKNILLPAGNCGPEDWKSVLPGFCSI -GVTKDGCEEVGRSALISYVTRDDGSRVFVNKLSVELSQIGTREEAFFMDRRLDISSGVYGDEVYCKKVDG -SAKSCYKNSSSDQRQYRIFRRDSEVPYLHLNTCKTPIRGYGAISAIVFDKKRPTINTVCGSCKVVCLNDK -VTLSMPTVGDKIIRICGRSGCNMRETTSSVTELERNFLSKTSDEIIRTTISDISKSYIFSFETKCPVLDT -CSAIDCHFCYIRLVNVTCYAWYHYLIALIVLHISLVLLSMIMSLLIPALNVTWGLCKVFGKLIFKVSRLM -MGKGRSSVSKVRKYAEADDYVSVPMNDIPIRGYRGGIGPIYGTALFLAMITICSSRAPCSTSVVDNISSD -MCIKKSGLLECRSTSVSIVPLISYDQTSCMHFMGPNGDIIGELQVTPIELHFKCSKVSEFWTRDVEFVSD -HIVHCPHAADCTVEWCPTVDRTTEVQGFAKVVGPLEQFCKLGEACWGEGCFYCSNSCHTVRYYTRPRSSD -VFEVFSCSKWEPSGTFHVEWITSGGSGTSTISLFHGQTVEVISGVSVTLDFTVQNKYPILSKHFMTNGDK -TVLIENSSRGQPIPGTVGALQCPNKKSASSLEDCVMAPNTCICSPTGGSDSCDCSQINLSGLMSGQQVLP -TQVGEDYLEITRDSVSLKTAAFGSAKMSIRSNQPMKASTETAPDCVIEMEKYTGCHSCISGAKAKYVCTS -LRPSAVVVQCDTGLSLILSCDDSRTSKVIRASFSTPIVSGICKAPCSKKSLHISGLLESMGYIALSNASH -IQLSPEESHLGWGNWLKGVWFSMGWFNVLWISLGLILVIFVLSLMHKILKVGSKVKIW - ->YP_009664616.1 glycoprotein G [Cumuto virus] -MASVKLLFVIYCISSLMINEMRTVELPEFWHMSREKMNRKPIDPNVKVKGGNCSNGVPANATIQDEGITL -NKCSKQMTAVVRYTVEGVDETFGCVVNYDCGLNLHNGDGYCTLKNEDCFHEPGCIVPKDRILPMGNCNET -HWTQNRPEFCSVGVHKAGCEETGRVVRLPWVVDRSGKKRLINKLNIEMAQTMSDGESYWEDKRYETMGPV -QGDNNYCDQHNGDVDCNKHLGSDGEHTFRVLKDESNTPVLHLGTCKTPVYGFGYEDVIVFSEIEKDTRLS -CPNCHTSCDSESMSIVVPEATKKNVRICGRQNCVLIQSSDKIIKVQRDFHQKITDERVIFEVSDDEKTYS -YSLTQSCPVVEICEAIDCHYCMIRLYNPTCYEWFHWVMVAGILYTLVIFFGLLLLMLKPIIKLVWFLICI -IYKIIMKLSGIMIGKSKKGFSAVNKFADEESSMENLIYKPTSIVKKNKPMKPMIFIILILGLIVTICESK -LCSNVVIDTIPSQVCTKIGDQYRCKIGSVVEVPMISYDQISCLHFISPKGNTIGELQITPMDLGFKCLKE -NLYFTRDVKFHVDHMIRCPHAGECSDDWCKTVVRETKVEGLSELKGMYDQSCKLGSACAGAGCFFCTNSC -HTTRYRPVPVSGSVYEIFYCPKWEPSGTFHFEWKGSASTVSTTLNLIHGQTVTISADIRATLQMTVQAKL -PVLGKKFMQKDQLLAVIDGAAKNQPQVGVIGQIQCPTVKDAIEMSDNCLMAPNSCQCNPTGDTDSCDCSE -VSLASGFQSENQLPLHIGGDYLTQARDIPVLKASAYGSALIRVHATGTLISDYAEEEECKITMGKLNGCH -SCITGANLTYTCNTAKPASVVMKCGGDLNLILDCDSQDKQRVARVHIPSPIIDVACQTTCSKEKLRLTGV -LKSVGLSAVNNGSHIQLIPSESMTDLGSWLKSIWAYFSWWYTFVVALIILMIACLLLYGFHKFKVAFIEK -QKVY - ->YP_009329878.1 putative glycoprotein [Shahe heteroptera virus 3] -MVLIEGVKWAALTMLLNLVLTTGETSGNHGEGVTCYNVANLPVVSDFGADCGTLDPHEAKEAVVLGGSFC -RSHGTVKSDWICKDGDVQTNITCLNYRACNNGRFMDSKGVCSMPRSSCYHTVFDVVPKGVKVEFNCSLDV -LKRAAPRFCSIGVHIDECDPSPKTAEVKFIISDDGTRYYVNDYHIENAQVGRRESSYWTDARLPEYPDHY -GDKSYCEFLEPNKQNCFSSVAIPGKSYRVFKQKSLRTYAHLPGCITEVRGYGSETVIYYDYLGKQMKTTC -PDCKVTCNKDFILLTIPEPGSKIIRICGKSGCITDVSAGNFYKRDRSFHQKTSDDIINIWVSDSFGQYKY -DLEVACPLVDICDAIDCWFCKINLVNISCYTRNQIVVILLITMVVLNIMGGTLMVLCPIIKMILFVIHLI -LKIIAKIITTLLEMSRGGAGAIRRFAEDHKPCEETKSITGQWSNPGFPTELPLIAKTASTRRFNPFSIQT -SFFSIILLTSFQQCHTMGHISCSISTAENYPAESCVKVGDQYICRRSSLISMALAPTGDTSCLHYIDPKG -KIIGEIQITTLTSKFICSKRIEYTTRNVDYKTDVQFFCPFANGCNEEWCDSVNGRTKIPGWTAILNAPTK -VYCIMGNACWANGCFFCTSSCRVIRHYPVLIDRKVHTVFTCSSWKPMAKVRIFVNMGSINSSKTYSITHG -QEIAVSDETTVKIEFNLQTKLPSLYKSMVTDGDKVALVDLSSANQPLAGTISGLQCPRSTTGSDLSQCTM -ADSTCNCAPSGGSVTCNCLNVNLTKLYFSDNSLPVHVGDDFLETKDGEVYLNTRSYGSAMMLIKSKQSIT -TEMQDPKKCDIDVKIVDGCYSCLQGATVEYICNCLVKQSIILTCDDDIFIQMTCDNTGLSKKTIIHTASP -LLKLHCMTQCSEEPIQITGILKSIGLITLNNASHILISVKSQGSELHSWFDVIIHKFGWLDIGYYGAILM -VTLILSLVVGWCVFTKVISPIIIGNGSLKSKIW - ->YP_009305144.1 glycoprotein precursor [Yichang Insect virus] -MSTRTTGTYPLVQDMLKARVPNTNLTLACLLAIGLSGCGGGVGMAQAEIAASVPYGAHEGMTQAACPDEK -LLSNKWCGDIPSTVNTCQPRGGFIAQYQWGDKYYTCSREVICSPGEYLADDCTCALLIKDCYHEAQNCRV -PKLTKLGSGTCTEKDWKANEPQFCSIGSPGEGCNPIGVMRELAYVVDSTGKSRWITDLNIEEALIYDKSE -SFIQDKHVSLSGPVRGNSAYCDHFKDLPNCYASIASENSPYRIFRSNGAIPHIHLDTCSSPVYCYGYKTT -LVYTHKTTELKSSCSGCVVSCHNTGVHIVTNLEGKSHIQVCGRAECQMLDTNSKILDLPRSFHSRTTDER -IRVTIHSEDRLVSYDNFTACPVVPVCEAIDCTFCSDHLLNPTCYSKLDWVLTLVFLYVLMVLTGLALTCA -IPIFRVLWNLVSFMIKLFWKMTKMMLRMSGRAAGRAKQFADDDSIELRPASIVREPLINSRPARQNKAGI -RLPAGLVIIMILLPLVVCKAPCSSVVVDTVMTESCTLKGDSYHCSISSINEVPLISYDQTTCLMYLTPGG -QTLGQLQITPLDISFKCSKQALYHTRDFEMLSDHVVRCPRSGPCTEDWCHSVTTDTDVPDLPKISYPSHQ -SCKLGEACWGNGCFFCTSSCHVIRYYARPKTDTIYELYSCPKWDPSGSFHFLWESTSGNTETTISLIHGE -TKSISSDMSVTLDVNIQSKLPILSKTFISDGRRVALSDSSFAGQPVAGQLGQVQCHSAEKASKLQSCTMA -PNICVCRPEQSDDGCDCSQVFIDRIFSSSAVLPVNVENHHIEMNRDTPYLKTPAFGSGHIKVRSSMKLIG -TAVPAESCSVNLIKISGCYSCVSGARLSYSCSTEKHHTVVASCYGDIHLILECDNSGVEREVRFQSPTPL -ITLQCTTACSKMGFSLSGTLVRMAQPDLSGQGHINLVPGAAKEGAIEWLRQCWSLLGWFNMFWLVGMVSM -VVLVLYFCQRRASPTHIVKYIKSS - diff --git a/seq/clusters_seq/cluster_964 b/seq/clusters_seq/cluster_964 deleted file mode 100644 index 609b283..0000000 --- a/seq/clusters_seq/cluster_964 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009666835.1 phosphoprotein [Vaprio virus] -MNRSRVKELANRQFFKDIEGKQFDEDEDELTYQDVKPTGSNLFERKEDELEIRPEEEEFDEEESEEEGEG -IEEDLDQDQDVEFHDPPEQVDSENDGMVSAVENLDEESREESYIESELNHLALSDHLESKMSASEKAASS -EDESEPDEVKIEFDKIQLPAVRSQEELDDICFGMFHALVSKIGWSLIPLHCKAGPKTLTVSMAPVGKPKR -RRNSSYNEEPPILENLKEVNKGKSSETIEENKDGRFKDMVSKIRAGQIRFMKKKNNGYMVLGMDTPGMSE -DLIERCLNNSANEGEAVEKMLEETGMLPLVQALCKYP - ->YP_009362196.1 phosphoprotein [Keuraliba virus] -MLSSRDKIKTIAAKGFFRDIEGKTFEEDHGEENHEEQNPKLTQEFVKQKGLLDAKEEEEGFDEVVEVIEE -DGSNLDLEEDIQLMISQSSESEAEGAGEQDEVSSVIQQPGFFETDSKHLMMSDELEEHLSSQEFVAMNED -NEMNGSVNVSLPKIKISEVSTQQELDDLCMGMFHVFASHLGWSVIPMHSQVQQDRLILNVKQIREIKRQV -STSSTDLEPPMIENLSKVNLGRSSETCNERGKERLREFVEKLTAGQIRFAKKKKNGFIALTLETPGVTLD -LIQRALEAYPEDKDVIDMILRESNMLTLVKTLCTYP - ->YP_009361991.1 phosphoprotein [Kern Canyon virus] -MSDFRDKVKKLAAKDIWDSISKLEIDEDEESTFLENEEKPRSDDNWTKAEEPSEVPAYEEEFDTEDEDEE -IAQACKEKGPEDLLEGGILDLHAGSEFEDDDTHCLGLSDPLELSIDSQERAQSSEDDNNEGVPMVLPRIL -LDTVGSQEDLDDRCYAMLHMAFKKLGWMLLPQTCHPIDEALHLVSTPIRVQRSQISSVSDNPPSLENSTS -VNQGRSSEAAPQSNSAVRFEDIIRRMETGDIKFPKKNGKGYMTLDLGIPGLTPELIREVIAITSDEADLI -SKLLDILDMKEYIMTLCHYP - ->YP_009361869.1 phosphoprotein [Le Dantec virus] -MLSSRDRVKAIASKEFFNDIDGKQFEEDQGEEEHVSPVPEIDSDFKKLKGSLDDKEEEEGFDDVTEIVDE -NGSDSDSAGDINLMISNSENSGDDDNPAALVGRHEYIETDLKHFALSDELESHLSSQEEIAAHESGHRNS -SLNISLPKLVLDEIKDQKELDETCMGLLHAFAAHLGWSVVPMYSQVSEEKLIINVKQVKRSKQHMSISST -DMDQPVVENLAKVNRGRSSERFEGKDKERFKEFVEKMSSGQIRFIKKKKNGFISLTLATPGVTMELVKQA -LDQCVLEKDVIDMILRESNMLTLVKTLCIYP - diff --git a/seq/clusters_seq/cluster_965 b/seq/clusters_seq/cluster_965 deleted file mode 100644 index eafc6b9..0000000 --- a/seq/clusters_seq/cluster_965 +++ /dev/null @@ -1,15 +0,0 @@ ->YP_009140470.1 minor protein [Vesivirus ferret badger/JX12/China/2012] -MSTIAAIGAAAGAVNAGSGLIGSIANAVIAGQKLDIQKQALAAQIGFQAAETNFNYGKLKFDQEIAKQYL -NLAQKQFETITDLNINGPARRASAMLDAGFRNDLRSNGLQLTYGEMLTAQKSVAERFYRPTFHTDQF - ->YP_009109566.1 small basic protein [San Miguel sea lion virus 8] -MSASAIIGAASGAVSAGSNLIGSIADAIYAGQRLDLQKTATAATIELNGKNYELNLDRFNLQSETAKSYL -KLAQDQQKFLQDYSIRGPALQAQAMVDAGFRNNLYSNGRQLTFGELQTAMNSAADRFYHPTFTPTN - ->YP_007111846.1 ORF3 protein [Mink calicivirus] -MISKLNVQGPALQAQAMADHGFRNNLYSNGRQLTYAELNQAMSAAATRFYKPTFHTDQ - ->NP_777375.1 orf3 protein [Canine vesivirus] -MSVAAAITAGASAAQAGTGLIGSIANAIHEGTRLKLQGQALGAQIKFQEAENKFNRDRFEFDKLNTERWF -RLAKEQQSLVYELNTKGPAMRAQAMMDAGFRNNLYSNGNQLTFNEVREAQLSAEKRFYNPSLF - diff --git a/seq/clusters_seq/cluster_966 b/seq/clusters_seq/cluster_966 deleted file mode 100644 index 7ae6955..0000000 --- a/seq/clusters_seq/cluster_966 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_009666291.1 putative glycoprotein [Beihai rhabdo-like virus 3] -MEIIVAATLVMLSASSLGEILPLYLCNMWIHKGEYHSCYYKLRRYEGFIAMSCSYPYQPIANAEKLQVKN -YMDKCTAECDIDSRKLTVYRYSIAYQPLAPECAYFSTNYTDQALKTTTATENTSLPSMQQLSSEALLDRT -KRSSNESTGLYYYLSRALTTVMTFLATTNDTRSSPFPSDPFDVFKICTGRDKEIHINESKYCSIDILGYV -NNCDYIIKVIRNIRGEGLGDVYVKVVYENDIDLCRVGNNKTTCIQCEHLSKKQTKIDTNFSGMESLAMAT -IKRAKRIELGKKERLKRSPPPLLCQSSKLLTLLRLPELPQCDSSDSTIKCNITIFKKNVKEYSVPATSMI -HQVQNCSSVNYFFGAYVSDISTGRAQKPVETAKQCLKQNRCSFDGIMSNAIGSSINPVTCISQWFGPHFS -SSQSCFFEEGFVTATHGGYMFSNLGPVSHCNYSQGFCITSNNIHITWTPNPDVKEEYIEAGKFAATRVET -HVIVEELGESFIISNCTNVTCHTTEGFLIRFDNQEKIGLEGYKKGKDKAKSIMALIPNLLDSLSNSFQGS -LDDKPTLDSLAAKLTALEDEMTSKIQYLADEVTGPISAIQSLCPALLMELRTTRSMAAINPTAYARTRLA -REDIFAQVAGDFIAIWPCKPVLDYDFTKTQTCYTKVPIRYRMEAGGEWEKGFLDPKTNTISPSSPKTECG -KSSVTLFETANKLYLYNPGTPPKTVDRNKATRLPPLTSNSSFFINIPDKWLYNKSDFDHVNTGDAAMEYI -SALTDPDGDYQKAQGAHSRESNRGASFGYGPGALGIITFLASLVHHAVLIFVVCYLCGCCKGKKCGNLCS -CTCNLSRKSSRVPSDIEMDELKRVQERPLIARRVRNPPPYEHHDI - ->YP_009044202.1 putative glycoprotein [Sierra Nevada virus] -MICPRHGGMTIHRLPEFINCQETDRHWNATVKVYKKNLRLWDTNATSVFKEEWKCSSTCYFFGSEATNYE -ITHRVRIGEPEARDIKAGRCTTLKGTFGLTPDEHAEKKDCSCSWTGTDEASAHRCKRQEGTVQVTHGGAM -FSGLGPVSHCNYTTGVCELPDNQWLFWQPVQEVQEEFILAHEGVGFVVNNRTLILPDLQETLFFENSCLE -MHKNKTCLATTGFKVELTATPIESPPYQRRYRRSLRGDMVHASERDLHALEEEILGKMGYLESLWAPYID -EVDSLCQQMAKHERQLRMLAATNPTLYLRAVYSNPFLAGAVSGDFIGAWPCKAVMDYDFLEQASPNCTRD -PPVEYRLEREGPWKPGYLDLATNIILETSPRINCKYLPTTITGRNGRLFLYKGGRMHEQDTSLIRTLPGI -KKESHDRFLIMWNDTWLYNETDFATPDTENQIYQYIEEKIDQTSQEFKGDTGPRQDSKKGGFLPLTRLQP -WFSLESFWRIRNACTLLWHCGSWLAYCFQGEKQESNKQPLTDDNQLPGHNQQESYIGDSQRPENSRRGKN -KQKAPPTSTFDSWETGKYPEKVMALSSLRDRTCRNGSL - ->YP_002905338.1 putative glycoprotein [Nyamanini nyavirus] -MASLITTWLFLSTVLVEAWTAAVSESSPMLCPLQGGLSLHALPTPFACKDTDKRADIKVEVLKKNLRLWD -TEATVVFKERWKCSMTCYFFGSQSKNEEITERISISREEALQISSGTCLTGRTDGSLVNDEFQETKDCGC -TWTGTTDHEAIRCKRQHGCVQVTHGGHMFTGLGPAAHCNYTLGSCELPDRQWLFWEPLPEVNLEYIPAWS -GKGHLLNNHTVVLETLQEVYSLANCETTSNWTVCSTTAGMIVKYQASPPKGDYSRLIAAVGQHSSRHRRD -LHHILRYSAAGDGGAPGDWNTRVSKMLTSLRSEIMSKVAFMAAEIAPTLARVTPTCQLAVLHEKQLRLLA -TNNPTLYVRALYDNPFLYGALSGDFIGVWPCQPVFDYEFPEQGPANCTKDPPIKYRNERETPWISGYLDL -STNIVKSHSPAVDCQILPVQLTTRNNRLFLYKKGKMHEVSTEGIKELPFIRAGDPDAFLIVWNETWVYNS -TDYAMPDLEGEVYKYLEDKIYKDSYSYQGDKGQVLNQKQGQSLSLPDFSLPFPFSLFGWLNTLIHYSGII -ALVWLILKATRAPRTEPAKEASNPAFREIYIEHKERGRKKNRAEGLKTKEQNPKRGQEKADPLWKRSQHG -GAANGQVEETSHGSEELEMRTYAEIRPA - ->YP_002905332.1 putative glycoprotein [Midway nyavirus] -MLSRLILLILCVRVCCDPSPSSPLDRTSPMLCPLQGGLSLHALPQPFACRETDLKTEVKIEIFKKNLRLW -ETTATVVFKEKWRCSMTCYFFGSQAKNYESEERVPLSEEEARLIMSGTCETGRTSGPLTNDEFHEWKDCG -CTWTGTTEHEASRCKRQQGTVQASHGGHMFTGLGPAIHCNYSQGSCELPDKQWLFWTPLSEVQEEYISSW -KGNGHLLNNHTVVLDTLQEVYSLTGCKKEENWTSCNTTSSIKLKYRKTKSGGGLSQLAQAITSYTSRQKR -ALWDSSGQVAQMLKTLRSEILSKVAYLAAEIAPTLAKVVPTCQLAVMHERQLRLLATNNPTLYVRAVYDN -PFLFGALSGEYIGIWPCLPVLDYELQTQGPINCTRDPPLSYRLNRGGEWVQGYLDLSTNIIKSSSPSVDC -KILPAQLTIRDERLFLYKQGQMQEVATQDIKELPFIRPGDPDAFLITWNDTWVYNSTDYAVPDLEGEVYR -YLEEKIEKSSYLYQGDQGPVLNQKQGQSIGLPNFGLPFPFNMLGWLNTLIHYSGIVSLIWLIVKARRAPS -DRGSSTVAANTSSPELHAIYMEHKDRQKKKRGLMSPF - diff --git a/seq/clusters_seq/cluster_967 b/seq/clusters_seq/cluster_967 deleted file mode 100644 index 43dc3e6..0000000 --- a/seq/clusters_seq/cluster_967 +++ /dev/null @@ -1,44 +0,0 @@ ->YP_009666144.1 minor coat protein [Cordyline virus 2] -MVRYVIGKIFASSHRRKIVFKILKETVVDIGNNNDTLSLLGGDKDGYITIAFNRVKTNLSYKISVKYGQN -VVTEVDNTVIFSMNKNLKLKEDDTVFELSYYSGRYSCRLQGVQVLTCSNPTWAYAVEAYWEYDVNDNKAL -TDNFLKLTTNQPDYKIDCEVDGVIKPPTTLKTSNIYKLKEFETVDYDIYFKKLMNSTELKSLQCPKAKEI -DITDCLGNIFRAYNIWEINDTRNMVLLIKNNDVTIENLNVITQIWVGNSNSIVYEIVLRRTNKNSLEFWQ -QTISSHEQSKNYEFVKEVDDNIPNDLILGFYYDNDTLYFTYNLEVVATTSNKLESKEVQFGNELQFSNLQ -IKEGENVNLRKLCNLGKLSILTEENRTPLKISNINLPAKKTINIPDIQTPEHLDLTTASRISQLLSTTEK -LNQTMEINPPKDKNMNQDLVVKKSGEVNKAIIINKFENIFQSAINTIGKFLNIGRDHTEMIVFQIGVTFG -SSREIVLLKHFDLLMSNSDQTITVNVSKVVEHYYSTNGFGRNYFRLYLRYNSEKILKLLREGILIPNKKA -AIKFGIDLDFAYLACDFWDFSMQVTEFEGAQLKRILNYGRQ - ->YP_009664830.1 minor coat protein [Cordyline virus 4] -MFIGKSFRYADHYSRIINIYFNANPGYDDHFHIYKIVFNLGSFDDYLRVRFTIDKREKHLKVDVIVKCGS -YSSINTNNYYLIRCKNEAILAADNFHLALVYYSGYITVNINSEEYAYVRNPCYANNIDIIHAVECNRNQQ -TMFNQMKFMVQPIIYTCEVRVDSLLKFNKESRFGFDSVEINEFGVSSLDSIVKDFKNFSNFRGDINNSNL -IPKFDTGKDDDIVRLYRVKRVESIDNTLICFKVMPPNSSDMSIINQFWLTDSNGVTFEMVIRLVASDTTL -EFWIKDEVSHRKDPERIKVESYPKIRETSKILLGYYCLESTLYMTLDTLDVARAENVKPALNLSFGQEIQ -LRINPTKHLGMLNNEINSKNLIFSAYSNSTLYGSFKPTREKIVLTNKQGELSGEGFTNPEVIYIEDIRNM -EEIMRKAPPMINENSTDSPPIVQPTIENLSVKIINKSDKSDFALARFMKGFRLDEDQAKMILFQIGVTLG -STLELNILKNIELEMSIKSKTVRLDVSRCLQIFHNFRYDINLFRMFLKKNSQYILELLREGILIPNNSFV -IKYGLDIRFKYLACDFWGLQFIVFNSRRIGASQ - ->YP_009664820.1 minor coat protein [Cordyline virus 3] -MSIANCSEYATYFSRDIVFEVLLNEKPHSEGYLGTTTLRVGQHDGYFDLIIEEQYDKFVTKLIVKYGNYL -LKERVDSVVLIRKDITLDLDKSNGFIIKYESRIFSIAVDDVIIYRFYNPCYRYNIQVVRMNNLNPNQRYI -FDSIGAQPHIKRLNVDVSIDGIKKQGHPPTYMFGLNTSFRNFTDYKAAVDKFKTSETFVSASENPYEDLE -LKPDSSGVIRFYNVNSIGSTDEAIVGFHMIDHSVQGLNKVCQLWFGELDDVVLENVIRKTSNETTLEFWT -HDEVEHKINKNFSIIKFFDIKNQVNFLLGVYIENAKTHFTYDGILASITNVIPRKRRLTFGFEYQLKDVS -IGNINQKFVKRFNGEDKVVSVLLPKGIDYKKMYSADSNPKGNFALYEKSSKDKVSSIKTVNDFFVPPEKT -NIKEKANVEADVQHKNQPTVRQSTVHDKLINACVDRLTNKVNLNYDKVKIILFQIGVLYGTSIELCLLKD -HVLEMKLDSLELNIPVGGWVSALQNGSFKVNVLRLFCRHHSNEILTLLKEGKLVHNQNFSIKFGLDTKYS -YLACDFLDYQNLELTNEETAELNKVKTFRNKYGLRK - ->YP_009506350.1 CPm [Cordyline virus 1] -MNVSLKAIGFQYSTSFSKSVVVELDRNILVKALGPNNIFAFVIGDIQGYIRIRIYADGSLLKYSCSVKYG -NKIYEEIDNSIIYAYNDKLILDNSKLALSLNYYAGRYSFFINNQQALLCANPNWKYNVECYYIRVVNDNV -AMVDEKLQRARFTWELNLSCYVDGSLKNGVKIITSTLYDMLTYEYISDEEYFEMIYNLKPLITEKAECYT -NSSSLRSDANGVFRAYNIWTRPLTNNFIMIVNNGHVKIDSLNVITQIWLGQPNVIVYEIVLRQTKSGSKI -EFWTQTQTDHVQSRGLLINENILPKISNKCVLGFFFDKNRIFFTVNLIVVAEIENKLTSGNVQFGNELQF -LNNTVSENQKFSLKPLKEFGKISHISNDQVEAIRVRKLADDNSKVVIAMATQAKPEHFEFGESKHVSDFF -VVENKTVPDNIVKIQEPIIEKSIESIKNKDGLKITIDDKFKHLYEESIVGIVDMFKTSHETAKMICYQIG -ITFGSTKELVLLKDQWVSMTIENKTLEVNVAAVIEKFYNGRGFRFNYFRLFLRKNSLEILNLLRDGKLVP -NQKFSIKYGIDEIYSYLACDFWDYAMQVTELEKGQLKRILNYENRRRL - diff --git a/seq/clusters_seq/cluster_968 b/seq/clusters_seq/cluster_968 deleted file mode 100644 index a44df2c..0000000 --- a/seq/clusters_seq/cluster_968 +++ /dev/null @@ -1,21 +0,0 @@ ->YP_009666105.1 coat, partial [Satellite tobacco necrosis virus C] -MTKRQKRTQTTRSVRSLTTRDVRNIIRGEAETKRFVLVNTLNLNSTAGTVLNLSNGIIQGDDISQRAGDK -IRMTKQILRVRATAITNSQTFRFIWFKDNTNRGTTPSVTEVLNSASFMAQYNPVTLLQHRFTILKDVELD -CSLSGESIKHLVMTHGGTSCFYNGTTAVASANGPGAIFLLVIGDSIVGTWDVGYEAHYLDL - ->YP_009507838.1 coat protein [Satellite tobacco necrosis virus 2] -MTKRQSKQSNRKSVASQVRSIVESMAEQKRFAFLTNTNTVTTAGTVINLSNNIVQGDDLVNRTGDQIKTI -HQTLLTRCTGITNSQSFRFIWFRDNTNRGTTPAVTEVLDSASITSQYNPTTFQQKRFTVFQDFMLDTSIV -GRVIVHRTAVDKKRRAIFYNGAASVAASNGPGATFVLVIGSHATGQYDVTAEIVYLDM - ->NP_619744.1 coat protein [Satellite maize white line mosaic virus] -MATQLTTRARRATRVSRKGSQPASKQDVKQVVKSILGQSLEHKRANLLLPPTVVNTTGNIYCLTQFVIEG -DGISQRTGRVINLEQMVLRYRRTLDTTSANSGFLRYIVFLDTQNQGTLPAITDVLSSLDVSSGYEVLNAQ -QNRFKFLLDEVESLCASATNLSKASTLTFNQKVQVHYGGAADAATSNRRNAVFFLELSDKVATGPQTRLG -VQLKFTDA - ->NP_062917.1 coat protein [Satellite tobacco mosaic virus] -MAKQQNNRRKSATMRAVKRMINTHLEHKRFALINSGNTNATAGTVQNLSNGIIQGDDINQRSGDQVRIVS -HKLHVRGTAITVSQTFRFIWFRDNMNRGTTPTVLEVLNTANFMSQYNPITLQQKRFTILKDVTLNCSLTG -ESIKDRIINLPGQLVNYNGATAVAASNGPGAIFMLQIGDSLVGLWDSSYEAVYTDA - diff --git a/seq/clusters_seq/cluster_969 b/seq/clusters_seq/cluster_969 deleted file mode 100644 index 6a003cb..0000000 --- a/seq/clusters_seq/cluster_969 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_006395344.1 6K2 protein [Pennisetum mosaic virus] -GVDEISECLQLQGRWNAPLIQRDLMIAAGVFAGGGLMLWFMFLRWARQDVTHQ - ->NP_734088.1 6K2 protein [Sorghum mosaic virus] -GLDATANCLQLEGRWNQSLIQRDLMISAGVFVGGALMIWCLFRKWSEMKVCHQ - ->NP_734138.1 6K2 protein [Sugarcane mosaic virus] -GMDATAACIGLQGRWNASLIQRDLMISAGVFTGGILMMWYLFTKWSKTEVSHQ - ->NP_734148.1 6K2 protein [Maize dwarf mosaic virus] -GLDSTARGLQLKGRWNGDLIQRDLMISAGVFTGGLLMLWFLFRKWSSTDVKHE - diff --git a/seq/clusters_seq/cluster_97 b/seq/clusters_seq/cluster_97 deleted file mode 100644 index 8c1e5b9..0000000 --- a/seq/clusters_seq/cluster_97 +++ /dev/null @@ -1,404 +0,0 @@ ->YP_009208148.1 replicase [Escherichia virus FI] -MSKTASRRREITHLLGKVDISFEDDIHLSIANDLFRAYGVGELSSAEECINTAFPRLDQSPDTFRTEYLR -SEILSKFNAHPLGIDTEAVAWEKFLAAEEGCRLTNARLSSCKYHDNSILSWGERVIHTARRKILKLIGES -VPFGDVALRCRFSGGATTSVNRLHGHPSWKHACPQDVTKRALKYLLAYKKACGDTDELRIGEVRTSNKAV -TVPKNSKTDRCIAIEPGWNMFFQLGVGAVLRDRLRLWSIDLNDQSLNQRLARDASQLDHLATVDLSAASD -SISIKLVELLLPPAWFELLTDLRSDQGVLPNGEVVTYEKISSMGNGYTFELESLIFAAIARSVCELLDLD -QSAVSVYGDDIIIPSDAAQTLMDVFEYVGFTANRKKTFITGPFRESCGKHWFLGVDVTPFYIRRPIRSLA -DMILVLNNLYRWGTVDGVWDPRALTVYQKYVKLLPRNWRRNTIPDGYGDGALVGSALTNPFVLVRNFQRE -YPVLVEVQKDTPRSEKGAYLYHLLRDREARHNPFLYDTDWVRFDEAPLATRLRRKTGRYKVAWIQDSAFI -RPPYSTGLPEVKFARKTLVRNGKGAR - ->NP_695028.1 unnamed protein product [Enterobacteria phage SP] -MPKTASRRREITQLLGKVDINFEDDIHMSIANDLFEAYGIPKLDSAEECINTAFPSLDQGVDTFRVEYLR -AEILSKFDGHPLGIDTEAAAWEKFLAAEEGCRQTNERLSLVKYHDNSILSWGERVIHTARRKILKLIGES -VPFGDVALRCRFSGGATTSVNRLHGHPSWKHACPQDVTKRAFKYLQAFKRACGDVVDLRVNEVRTSNKAV -TVPKNSKTDRCIAIEPGWNMFFQLGVGAVLRDRLRLWKIDLNDQSTNQRLARDGSLLNHLATIDLSAASD -SISLKLVELLMPPEWYDLLTDLRSDEGILPDGRVVTYEKISSMGNGYTFELESLIFAAIARSVCELLEID -QSTVSVYGDDIIIDTRAAAPLMDVFEYVGFTPNRKKTFCDGPFRESCGKHWFQGVDVTPFYIRRPIRCLA -DMILVLNSIYRWGTVDGIWDPRALTVYEKYLKLLPRNWRRNRIPDGYGDGALVGLATTNPFVIVKNYSRL -YPVLVEVQRDVKRSEEGSYLYALLRDRETRYSPFLRDADRTGFDEAPLATSLRRKTGRYKVAWIQDSAFI -RPPYLITGIPEVKLAS - ->NP_085473.1 replicase [Acinetobacter phage AP205] -MLNACDQLKSTSIPAFQSNVLSDVLSLSDAADITVKHRIVSKFGEPAGSSLRDVAFNNYKLFEQHLGSIP -QITNLWQEGKEFFFLRKAKANLGKWLKTFKLDYNSITVEFTPGESYTSATGHVSVFAKLSNLAHWTCTAD -VVDDVCHLVYYNRGLKAAARKHIGLMVPIEGESGFDTFSRHLMGVISIVPGARGASVPKNQETDRFIDVE -PTFNMILQRWVAGEITRCLTLAKNHLGASRNINGKVVFHDAQELHKEMIRDLSYATIDFSNASDSVLLWV -VQLLFPKHVSYVLTQYRSSTVQLGSDLIEPNKLSSMGNGFTFEVMTLLLLSIGRIFDPTCRVYGDDVIIK -AEVADDFINTVSSIAFMTNNKKTFLKGLFRESCGAFQFDTFDIQSFEFEWADNFTDVIAICNKLKLIIDA -AQCNEAVIAILRNAHTVICECIPVLCKGPQPPDFNLFLSQYVYDDNWKKKQMKSDLAITKLNRLVDKQWG -FFSATHHHPEELCYVNIPVYVPRRDSVHAGQNLFVDLSNLYALRFTKSTVRGKGKWVNVPHWVTPVGSIY -RASRIRQQYPNIGELPTCYWSPHQLDLITS - ->NP_046752.1 RNA replicase, beta subunit [Escherichia virus Qbeta] -MSKTLQSRKSLSGKLRRAANTRIVVEGNLALSIANDLLSALDVEPFNSEEDCISRSPKFGISPDQFRNSY -LRAEIMSKYDSFSLGINTEAVAWEKFLAAEAECAKTNLRLYRPNYNEDFNFSLGETCIHMARRKIVKLLG -DSVPFEAVLRHCRFSGGATTTNSRLYGHPSFKFALAQECTPRAVPYVQALKACTNMDLGITKVSPFNKAV -TVPKNSKTDRCIAIEPGWNMFFQLGIGGVIREKLHLWNIDLNDQTINQVRAYSGSCSNELATVDLSSASD -TISLALVELLLPPAWFKVLTDLRSRRGMLPDGRIITYEKISSMGNGFTFELESLIFAALARSLCELLNLQ -PSSVTVYGDDIILPSDACSSLIEVFSYVGFRTNEKKTFFDGPFRESCGKHYFMGVDVTPFYIRHRIVSPS -DLILVLNQMYRWATIDGVWDPRVYPVYTKYRRLLPDILRRNVVPDGYGDGALVGSVLTSPFAENRGWVRR -VPMIIDKKKDRVRDERGSYLYELWSLQQLECDSEFPFNGSLVVGTNDGVCTYRHRERVSTAISDSVGAYD -IVWIPCSSRVLAPYGDFRRHEGSILK - ->APG77271.1 hypothetical protein [Wenling levi-like virus 4] -MTIMSWNEVYSTEKTNELCENLARFHLNEAESNYIGVSAEEDETIRKIRSYINNSDFDRLVNFEWKPISG -GPLYCYHVSQCLAFYQKREDIDLGISKEESAWIKFKQAEDLCKESNDIFRASHQGRFHFGSDVESVFYSA -QRKIADVLGDVPELSELNLRFGPGATTQIIKQEASPRAKLGKTFACSEEFTSNATASMEELQGWIFSDEN -SDSASVLLEIHPAKLSFVPKTAKTNRAIAVEPSLNTMFQLGIHDYLTTRLKRFGIDLSDQTLNQRLAREG -SLTGELATLDLSSASDTISDGLVSSLLPIDWYTFLSTYRTGTVEYKGEQFKLQKFSSMGNGFTFALETLI -FFALAKACTESGETVSVYGDDIIIPSHRYALLTKVLRAAGFLPNEKKSFASGPFRESCGKDYYKGIDIRP -VFVKNRISGADAFTLHNYYVRSMQPDAATIVLSYLSPEFLLWGPDGYGDGHLIGPHQQHLKRALKERGYC -GYTFESYTWCSRKKFLRSPGDHVWPSYSIYASPPREGLPGISREVYLQLPLGNRRNTSKDECQFHYDTCP -PATVYRKQRDGTSLMGNTVPGVKGYKRISIYTLNPVLP ->APG77217.1 hypothetical protein [Hubei levi-like virus 13] -MLSLLAREGEFEQLVACDINPSHYIDAQDFYLDYQATKLLSKNPLVPAGIDRQQVAIKKFIATEVQCREA -NGRFRDDASPSHGDLRTVFYRAQGKIASVLGDPPALGDLDFRFGPGSAYGVRGMKVSPSHKLQSDLQCTH -TMVDILPEFLAEFPSWISQVEDGLPAYFGPTDHHTVEVSLVNGSRLGFVPKDAKTDRITFSEPLLNGLMQ -KGIGSFMKARLRRAGIDLTNQEVNAGLARVAVERQLVTADFSSASDTIAYNLVWSLLPPAWAEMLDACRS -PCFEHEGGWYPFQKFSSMGNAYTFELETLIFYALAHACCIECGIEPIVGFNISVYGDDVIIPQGAFVLFE -RACDYAGFTLNRQKTYAEGPFRESCGADFFTGVLVTPFRLKRGFTTASDVQFGLNLISRVQLRLSSINTN -AQKAADVNRRLALVHARGRATSSEKLCFGPWSGSAQLAIEKDPKRYAGDRWCMAPFDAIKPRLGDFGYHH -KAILPRQRREVLQWDIACVLYAAMDLCGDPDSIMLSGGASLREKELRCQKKWVVSPSWDWPDSLSGLYNH -TD ->APG77133.1 hypothetical protein [Beihai levi-like virus 15] -MNTVTCSRANSKSARKGDRKATPRPTERTFHKLALKLAQARATKYALAYDGFNSSQSNPALNAMDYSSDM -DFKHDYMLYNLLRKFLSNDESKAALREQAAIDKFKETDAALAHWNEYLDWSNPDLYEDHEIVSILRRARN -KVAKILGPCPSLSDLADHAGFSGGATFSTPRIEGDPRFKYSYECVQITYGFHPIATLLQSEEPIWAKRFE -LVPGNRITTVPKDADIDRPIACEPLYNMRYQKAVGKIIRRCLKSVGINLNYQQKVNQDLAREGSITGKLA -TIDLSAASDSISLGICRLLLPRQWYLLLLALRSDKGVLPNGDTLVYNKMSSMGNGFTFELETLLFFSLAQ -ASEEAASYEANETARQCSVYGDDIIISSHSVDLLVRVLTYTGFTTNKDKSFWEGPFRESCGKHYFNGTDV -TPFFIRDMPTHYADLFVHLNNMRRWAGVFGFMPEEYQAGYDYLLNFIPAKWRQPRLPDGMGDGALLGRFD -ECHRNFNRNGTYVSHVWSKRHLQIDDPDDKQYKQLATVYTGKGGYLAALASKQSRASDVDMSKRSCDYVV -EAIDKASRHSVRPADTTETVEPMEVPGIYIRRSIMISIVDWLDWDDRVSSLTTLDRFTNPCDE ->APG77286.1 hypothetical protein [Wenzhou levi-like virus 7] -MSEFPGWLNSHSRNSVVEIHPSHGKLQFVPKNSKTMRSIGVEPLLNGFGQQGIGKYIRKRLQRAGVDLTD -QTRNQKLACKGSVDGSLATIDMSSASDTIAYGLVLHLLPIDWFELLDRFRTGSVTYKDKIIKLNKFSSMG -NSYTFELESLIFYSLAVATCSHLGIDPRDVSVYGDDVIIPVNAVTLFSEVLSVCGFIINSDKSFQIGPFR -ESCGADYLDGIDIRPFYLKDKISGRVLFTMHNWFIRHGEPQLAEIAKSYIPHHIRLYGPDGFGDGHLIGS -YSLRSSRNLRRMGHEGGFFDSYVAMAKRIRLPELTDWVYPCYSIYVSGGVNDTPQMHDVIPGYKTFKKVS -IYTLKRSIFSW ->APG77255.1 hypothetical protein [Wenling levi-like virus 3] -MRNYFNPRSSGSRSMKTPVQSKDLHWGAFAALVSGCKSFLSSYDLRLLQASYSFKDVSLVMMAISRLDQN -LTEYDSATDVALIRAHRYLVGFLKKFPFTQDESPFDTRLAATSKWKQAEDQCRLTNERLGLVSRETTPSW -VTRARQIVSDVLGDLTPEKVMSIISSGAHGPGATLTSNGDKTTPYYKFMDLPYSVSASARPYAYAAISSN -PRWIDLLENSGRRTRLPKYDAPQYQKELMLLDDCCEVEDSDRITFVPKDARTERPIAVGASMNMFLQLGV -KAYMEERLIEHGVNLTDQSRNRRLAYEGSRYSMMGGIKNSSQFATIDLASASDTISIEIVRLLLDSEWFA -FLDDLRHKTGSFGEEHVVYNKFSAMGNGFTFPLESLIFFAVAKAAALEAGHPCQRKDIAVYGDDIIVREY -AARDVILALNWAGFSVNTEKSFLEGRFKESCGADYYSGHPVRPFYLKRRIETYEDLYFIGNSISDIVKSH -GAHPALTELYSFVVNNIPVRHRRYTPLVTTSDCGLRVPLDYLDSAGLRPFLDQAEIHSLVKHRQLAEKNS -QLSASTPFFWDETPIAKVFSGRGYGKLFLSLHYKPRFHRHMKTEDVLHLEAASSGTVTRRNAVRYVMQAR -PCSNWNAEWSSHEIKRHPIYNHKL ->APG77031.1 hypothetical protein [Beihai levi-like virus 17] -MRQSPSRQTKMKSSVRRYDAAVIDLGKLFRKFAMCVATPYSSSAARAGQPYANPALSASSYESPDNFKHD -YQVYNLLRKCKPDSAADSAAQKAIDKFVLVDGNLAKLRDTLTWSSLALNEPVVHAILHRAARKIERLIGE -SLSFGDLLEHCGFSHGASAKTPRVRGESCFKYSCEYPEVTPQLAGLAKLIIKLTPPWSRVFRGFQLCSGN -RITTVPKDRDIDRPIACEPMMNMYIQKGIGGFIREALKRRGIDLDNQTVNQELARLGSITDELATVDLAS -ASDSVSLSICSLLLPREWYDLLLLTRSDCGLLPDGSFITYEKVSSMGNGFTFELESLIFWAITQSCEDYM -SDQRKWERHKCSVYGDDIVCRSRTVPLLTMVLSTCGFNLNVDKTFSIGPFRESCGKHFFRGVDVTPIFIR -NETFDIQDQLLYANNLRRWNRRLFGVDDPRYVSVYKWFVKQLPAEWQTPRIPDGYGDGALFGTLDEVLPS -FNKAGKYHAKVLSRVRRTLDLKKLKSADDELYYSLNAVYNGQGGLCAWFAGASGSEKPYDEEYVGDWHKT -NTQAETLRTHAIKFHASSKRDPDVLPIPGVYKLTRKTVRLMEWLDA ->APG77171.1 hypothetical protein [Shahe levi-like virus 1] -MTNPSLPKAFAKVISNFLNIERDNLQFDESFALNRARRKWQEPSSNTVSVLSQKCWEDWSTYDQTVILDP -KRVFTLFQERPLFWYKVQQCLSGIHYDARLGGLSFSAGSSFTTSGGRNSIEEKLQFSQWDITHDCFEPFL -NLVLANRCLRTALKFRFRALLSAGPAVLIHIPHRVMSKSDKIYVSVDPAHFFSKEFFGRCGNDASNILRI -MLFNVVTFQCGSRFSSVPKNNSVRRPINIEPLCNMIVQKCVGNCVRSFLRTSFGVDLDTLADSHRLRISQ -GDVATIDLKNASDSISIGLCKWLLPPRLFKIMMNSRSHYIKHDEDWFYINKISAMGNGFTFEIMSAILTA -IGRQLDPTCTVFGDDIIISNDAAPDMVRSIESVGFRVNPEKTFINSPFRESCGGNFHDHFGYIRSFDFVW -PVVDHDCVVLYNKVAALPYPSFRELEQQLRRLIPKALRGPRDSFRTALEYLGASTFAENETNPHLSTYFR -CGDMVVSRVPSGDIIEALDKIHIKGTPLIFTGFTSKVEEVGRQIYNVIPTRHFGKLFMYLHANGRCRNAI -SFSTSWTHCALVFVDGRVFRLRNLLSATA ->APG77220.1 hypothetical protein [Hubei levi-like virus 12] -MYNSKVRSKKPVPPVLGNSLPTNRADLCRLFTVPFSKKSDQQVTKKIASEIIHSLVDYDNSFYDALFINL -SYASVIAYVDDNLDYDYGSFISSCLRSLKSLYSHDISVDIGIDRERVAIDKFYESEDRCRLINEKVRRIL -DRGYDTLDESAYFTAVSHEISKILGPCPSLADLNMRYGSGSNTTIKKNVTASAKLGAVPICSSNAVSSVP -ELYSLLPLFSYHHSGKFRVGTGELCCVPKNAKTDRVIMIEPSLNTLVQLGIGSFLKTRLSHFGVDLRDQS -INQRRARIASLNGRYATVDLEAASDSIALNLVLLLLPSDWFDLLNNWRTRTVSIKRDRTHVELEKFSSMG -NGYTFELESIIFYAASLVACRLSNTPLDVTVYGDDIILPVGAFSTLKNIFDAFGFKLNTDKSFHTGIFRE -SCGEDYVNGINIRPFYKRDVWTKQSIVRFHNFYESHKYFFYEGSYYDCNTRHLVWQPSYQLLKFLRSFVP -FISTHTPGYGDGYLHNYLYQIDYYRNDDLWVGNTRSRGSISLSSVDRLLVFEFNSYYSFDIDVVVSRPYV -SKKQYVAKEYRDRGDIMYPAYSIYCRYDVNDTMKPNEVRVRKDNKLSYPSLTLFTNKFRRIVINSPTLIN ->APG77067.1 hypothetical protein [Beihai levi-like virus 18] -MVSNPSLGTNPALCADKYTNVDEFKQDYMLYNLLRKYNDSDKSGQDARQAAAFKKFISDDVVLEKLEARF -STRAILNKSGLLPSVLLAARKKVARRLGTLDLNQLAGLADFSGGATALHPRLEGHPVFKHGFPCPEVTPN -CAPLAFALINRSPLWRDNVSSVKLVEYNRLTTVPKNVDIDRVIACEPTLNMYIQKAVGSHIRSRLKLVGI -DLDDQTINQRLAKQGSIDGMTATIDLAAASDSISNALCTFLIPEEWLTLLNTIRCDHGLLPDGSYVTYKK -ISSMGNGFTFELESLIFWALVQACEDVIARNSGLDIHQCSVYGDDIICHTRSVRLVTGVLRYCGFSTNVD -KSFVRGPFRESCGAHYFNGIDVTPFHIRRPITGLDQCFLVLNSFRRWMSVDGIMDPRYIDLYRKYVGMLP -VKWQKPRIPDGLGDGALFGAFDEVCPNFNKYGCYHTTVLANSQIECRETKDPDEHARLLNSFGGRDGYQA -SMAAMDKRHVTTCERSHFYKRSLKATGNSDNSYSANYGSSPEEIREIIQNKNRLLVFYPGRYTNKPQRLV -VYRWMDCTASAAAF ->APG77195.1 hypothetical protein [Hubei levi-like virus 14] -MSNTTIPTTRLQEAVKMALVCIKQTPERPARKGKPVKGPTLRSTANRVKVDPWSVAAVILEELSYVGSHV -GVNFLPELEMLRKGDRRTAYNSILSKTRVSAVTPEVAWLYAQARACVSKLDVPGFDPLSAAFADWEFTEH -RCRRVNQKLAALQKRHLANNKPVPFLREITRAREYISYVLGSEPDLDEVIGSFKFGPGSSVGVSGSATDY -LTKLHSWDCTSRAIPLAVRALILDGSVWDLLSFDYKAPRTPELLARVAKEIEGTLRGSVENVDKLSFVYK -KATMSRSIGIQPTISGGLQLGVDTYYKRLLKQRAFMDLADQSVNQRLARIGSQNWREPGTYATLDKSSAS -NLVAKGLITLLFPSAHRELLFALRTPSYLPYKGMVGEGSPRAYHMYAGMGNGTTFCVETLVFSAMCYAVS -DLESPQLNRNNAVFSVYGDDVAIREQFAIPYILFAEFLGMKINRDKSFHSGPFRESCGSDYWDGINVRPT -YVPGDGDVDELKLIGVHNSLMDNAFFSMTNACKRLRSLWQKSCSLPLPSDPQGGLGFRTQSNCAWEYLKK -GDSPVVSPVWHRPLGYVCQVIISKKTHVGVLEQMAIASALQKAYTSPERSGDYLAQTSLRRTYTVKVIRE -TDLRRKDLVTMLANQLKKLGARKGTPWWVHFRGR ->APG76985.1 hypothetical protein [Beihai levi-like virus 19] -MASKHLTRKSGNRSETRFIFDPLKFVRKLAAARPTKLSGMVRRMQPAELISIEINPADYSSVDEFRHDYL -LKEVLRKYDSFDLPIDRKQVAIDNLVFHEHRIGKLNQDWHTKGTEYIESLGVPLSFVLERAARKMAYLLG -EFSPDRVLDGCGFSHGASFTCSRDKSQPSHKYEMDTIDVTKEALPFFDLAVSQIPLWNPSYRLVPGNKIE -VVPKSSKTDRTIGIEPTANMWLQRGVGSHIRKRLKRLFGICLDTGHERNARASYEGSLLGTVATIDLAAA -SDSISIALARTLLPWEWFLYLMAIRSDRGRLPDGSWIQYEKLSSMGNGYTFELESAIFLCITQSCAEFLE -GEGAGAEHLVFGDDIVVPSTQAQCVCDVLSKVGFATNDDKTFVDGPFRESCGSHYFQGISVKPFYIRTVP -KFVDRINLINKLKCWMVSSGHYDYRYQPALEYLLSSIPPKWRRPRLPLGPIAVGDCAVVGLPSEITYEYC -KRYPWVYRPEVIRQVRQQVKAEGIGGLLHWFQQTSNRTGCITGAVEVFTPLGRVRTVSSKQFVPLLPTNM -VVRGG ->APG77058.1 hypothetical protein [Beihai levi-like virus 13] -MSKKGKRSFSPDSILTEVHQALISDLNPLKLEYKMRFTPGLEKAIRLQVQDFKKKFLSPEQDTHSLESEA -FKKFETINSHILKVNETLGKVSLPKDRRNASDFELTLIRARALIHGVLGYLDEDSWFYHCSNSNGSSLGV -PFFDTSPEKKMSYPLSATEKSVLYFERYLSWDHRFHEALLNLNSEALDNRYRFVEGSRATTVPKSSTARR -MICIEPTVNMFLQQGLMRLMMERMKTYNLDVSVLPFYHKQLARFSSLSGNLSTIDWSSASDCNSIELCRL -LLSKRWFSILMAIRCDKMEINGSYHDLNMISTMGNAATFPLETLIFWALAQAVHMQSYCQNRNTLFPEWE -KLKSVSVFGDDCIVPTEIAETFISFCESVGFIANREKSYFASGPGFRESCGGDYYRGYDVRPYHVRSPLN -CKISCLEPWLYIMFNSLLKRYISYFGELEYIYDKALFKLFFAVFRRYNLTCKIVPSYFPDDSGLKIAFDR -DRFVLNYPGNFSKLARDRHGTFRFNYYRFVYWTREHRDDYLRYSIWLKKPVQSDIVIHDFPIRKRGGYVV -ARGISSRFC ->APG77076.1 hypothetical protein, partial [Beihai levi-like virus 35] -MSTSLRFNTEFSLAKTSFIIRDLIDDLIRPANGMVAIPRGDNSLVDIGHSVSYDASRSALDVKLERQAYA -FYQKNAAFDLGIDTKTAALTTFLACEEKCKIVNNHIRARRPSNFYNPMLLEGCRRIIAKILGPRPRISEL -QCGFGPGANVGVSRLTSIRRKLNAVPTITSGAMPYLNLIRMAYPQWSALSGEVAIQNVGKIAFVPKNAKT -DRPIGVEPLVNSFVQKGIGSYLKARLKANGINLYDQSRNQYLARKGSMDGSLCTIDLSSASDTISLELVK -ALLPADWYDMLYAFRTVATRLDDGRVIALEKFSSMGNGYTFELESLIFYAITRYTCGKAATISVYGDDII -CPSEHFTQVSRALESCGFSVNSEKSFSEGLFRESCGRDFFGGVSVRPCYLKGLLSVKELFRLHNFFFREG -MQYMCATVLKYIPKRFRCYGPDGFGDGHLLTDRPLRSYGRSTKHVGGFTFHTWCTKPRICSDQLPKDYEA -WLYLTTHFVDPDSDPYSAAVHRQYSRPWSMTPANISRSMYYERGGNRYRQIRVY ->pdb|4R71|B Chain B, RNA-directed RNA polymerase beta chain -SGGGGSMSKTASSRNSLSAQLRRAANTRIEVEGNLALSIANDLLLAYGQSPFNSEAECISFSPRFDGTPD -DFRINYLKAEIMSKYDDFSLGIDTEAVAWEKFLAAEAECALTNARLYRPDYSEDFNFSLGESCIHMARRK -IAKLIGDVPSVEGMLRHCRFSGGATTTNNRSYGHPSFKFALPQACTPRALKYVLALRASTHFDTRISDIS -PFNKAVTVPKNSKTDRCIAIEPGWNMFFQLGIGGILRDRLRCWGIDLNDQTINQRRAHEGSVTNNLATVD -LSAASDSISLALCELLLPPGWFEVLMDLRSPKGRLPDGSVVTYEKISSMGNGYTFELESLIFASLARSVC -EILDLDSSEVTVYGDDIILPSCAVPALREVFKYVGFTTNTKKTFSEGPFRESCGKHYYSGVDVTPFYIRH -RIVSPADLILVLNNLYRWATIDGVWDPRAHSVYLKYRKLLPKQLQRNTIPDGYGDGALVGSVLINPFAKN -RGWIRYVPVITDHTRDRERAELGSYLYDLFSRCLSESNDGLPLRGPSGCDSADLFAIDQLICRSNPTKIS -RSTGKFDIQYIACSSRVLAPYGVFQGTKVASLHEA ->ACT66752.1 replicase [Escherichia virus FI] -MSKTASHRKKITHLLSKVDIDFEDDIHMSIANDLFKAFGVAPLTSAEQCINTPFPDTSMTADAFRIAYLR -SEILSKYSAHPLGIDTEAVAWEKFLAAEEGCRQTNERLSQAKYHDNSILSWGERVIHTARRKILKLIGES -VPLGDVALRCRFSGGATTSVNRLHGHPSWKHACPQDVTKRAFKYLQAYKMACGDIVDLRVNEVRTSNKAV -TVPKNSKTDRCIAIEPGWNMFFQLGVGAVLRDRLRLWGIDLNDQSINQRLARDASQLDHLATVDLSAASD -SISLKLVELLLPPDWFGVLTDLRSDQGILPDGRAVTYEKISSMGNGYTFELESLIFAAIARSVCELLDLD -QSTVSVYGDDIIIDSRAAATLMDVFEYVGFTPNRKKTFVSGPFRESCGKHWHSGVDVTPFYIRRPIRCLV -DMILVLNSIYRWGTIDGVWDPRVLPVYQKYVNMLPRNWRRNTIPDGYGDGALVGLATTNPFVIVKNFSRL -YPVLVEVQKDVKRHEYGSYLYAMLRDRETRYNPFLRVADGTGFDEAPLATSLRRKTGRYKVAWIQDSAFI -RPPYFLTGLPEVKLAS ->APG77228.1 hypothetical protein [Hubei levi-like virus 2] -MAKIKPESAKLKCLAPEIRELAEVYYMSLNCPRSLTAAILLRYNEFEQLVRLKTSPRDFADPARYHLAAS -ASDFLRKYPGLPLTTDPEKEAVKSWFQSEAECKRFNARAVVGFDAETLLLLDVASGFVADVLGPCPLDLS -PVFGPGATVSDSARFTTVLDKVISRPSITDNARAFLSLWEGTAWERSHVSDDGYKKASYEPLTVEGNTFF -TVPKNALTKRACAKGPSINVAYQLAVGRAIRNRFLDVLSLDLSNGQERHNIMAREGSRYGTFATLDSERA -SDTQSYALVAILLKDSGFWQDLLKSLREKKTKVGDNYIELEKFSAMGNGFTFELETLVFLSLCYSVAWAH -QGRFPDELSQFTPWDLIRGGYISVYGDDVIVPSFMAEDVAAVLSLTGFTVNLKKSYLDGVFRESCGGDYF -SGDNVNTFKLEVDPTSPADWFSIHNGIKSRFCDQYPSALATKHVLNTVKNQLPRQYRSMYGPKFLGDLVL -HGWFGPQVSTRARTVDNLDGSRSTDGNQWVSSLRVLVPRLVQARFIDYSPTAQLAYFLYTHRSQAPNRRP -VDKRDVRWDVGHWEGDYHITFSEQVPRAPRWLVKSPYARLFGINPEDGSYLG ->APG77245.1 hypothetical protein, partial [Hubei levi-like virus 3] -MLSSYLQTILRKLCEDVSTPRSLSVVILMRNREWKQLVSLRCAPIDYLDPEQFAKDVLVTDFLRKCDFRI -DEMGDLKQQCWDRLLHTERKCHETNIRLTRFRIGPLDPRDLALLPILGEIRKEVARICGRVPDTLMCGFG -PGSTLTNQGQLTTIPDKIESLPCGTPSALELFQALEPDNLWLRSNVERSNGRSLVARGSRFFTVPKDATK -LRGACMSPNVNLYLQKGIGRHLRSRLRLKAGIEIDDPQGLFTINGFTTPDRHKLLARQSSIDGQYATIDL -SDASNTISREFVRLLLPEQWFDLLSACREPVVETPQGNHLRLEMFSAMGNGFTFELETLLFYAIARVVSG -GVTSVFGDDIIVESPRAHDVISALRFFGFTPNPDKTFTDGPFRESCGGDFFNGVSVRPVYVKTEPENPQH -WIAIANGLRRFCTSIAKPDDRWAYVKRAWFAVLDCIPKQFRDLRGPESLGDTVIHDEETRWRVRERDGQW -QCLGIVTCPTLLALHHWPSCVVYAAAIYGISSDGVTPRGSPTELRRRWLPAIEYGGAAPGFT ->APG77119.1 hypothetical protein [Changjiang levi-like virus 3] -MQALNSVKYSREGDTHQICSKILKALGTPLSRQIKTWLDKGMYLEVVNSKVDPSLYGDDSALFARDYLAC -SLLRKYSSFPLGIDCKSVALNKFLESEAACRQTNLTKVRPYENRAVTGYGISPEQYIQYARQKIESLLGR -FDWNKASERFAFSGGASTRLKRRSGAPFYKFQGKPETTRNNALLSICAIWSTPLWAEQMRSQYGDDPVNW -VTVVEGSRVTTVAKTALTDRCIAIEPDMNMFIQKGIGAVIRDKLRSVGINLNDQSLNQKLACIGSGTGSL -ATIDLASASDSIALELVRLLLPYDWFDALCRCRSEVGILPCGTKHPFEKISSMGNGYTFELESLIFWALS -KAVIDLTGCSDRRLGVYGDDLIIHNSIADDLIGLLDYCGFKANVDKTFISGPFRESCGKHYFHGKDVTPL -YCKEPLDTLDRKFWIANSIRLWASARVSPGRYQNLYSSIVKTIPPKQRYLIPGNYGSECGLWASWDEARP -KYSTWKQAFIIRLLIPRRKKFIPNGCPAVLHWFNTKVNDFRVELDGARGPSRFQIEMGETRYSPSRRYLS -WWDPVPNGVTTFEVQLRQ ->APG77234.1 hypothetical protein [Hubei levi-like virus 8] -MSHKSHSSLLAQLAKDYHAPSSLTDDSILHFFSSLHTPKSLAAWLLYSNGEYQQLLDLDVNPMDYNDPFR -FRDDYAAVSFLSKADFLKLEVSKEDAAFSKFFKYEEKCRQTNIRFRNPAFDPLYKGANVWLLNATIRKID -SILGEYSAEEFVEGSNWGPGVTTLLKGSEVSALNKFRAERGITRDLYSLVSPWFAEAYPRWSEHLTSTFG -EHWADFQVGNTIVTVPKNSKTDRVIAVEPGLNLWFQKGLGSMIRRRLLRRGVDLTDQTRNQRLSQVASNG -GRLATVDFSSASDSISLEVVRHLLPSRWFTLLASTRSTVGVHSKRVIRWEKFSSMGNGFTFELESLIFFA -AALAVCDYLGERSDDVSVFGDDVILPNNCYDLFSSFSEFLGFTVNLDKSFASSYFRESCGAHYFDGVDVK -PIYLKGKVRDLQAIYKLANSVRNLAHRRNSHYGCDARFLRCWSGLVHRVPKPLRLKVSRELGDAGFCVNF -DEATPSLAGDGFEGFRTWARLERAVMREDDGPAMLLTRLKVPSTEEFNNSFTLRGQTRTAFKRVLVARWY -NYGPWF ->AMQ23539.1 replicase, partial [Leviviridae sp.] -MFMLMSKKDSTNKATNLVLEFTALRDYFSTRGRVNSARISLRMDGEVLSERDAYRVLDSYILFTLLQRDI -LSNFSTGRQNTSAYYTMYFYQLLNFDVKYVVDQLKSFNAWLKRTTPPLRKVSVRSFKKTSSEVLGFNRKS -PLFKLMLPVIYGDMSAVRVQGSYDAKPYTEVVKFLNDGSCFISRLTLEDVSSTLVANVEKYIEQEDEMKT -WEYPSDVLHELNRMAQADLRDFCLTGELYPEYHHGSGATAEVRRGEGIGRKWENIRFPKQAYELLHRLPG -CEPDYTGNHSDVCDISELKSQITFVPKGIDTKRVISAEPTGCVFLQQSVFHAMDRLFEAHPEFGIHLHRQ -EWSRELASAASILPQDATIELSAASDSVTYTLCKEVYKDTPLWTILEPMRTVGGSLPNDDKIPEQYRGLY -VRYEKFMPMGTPSCFPVESHIFSLIVRLAMRRSGVDNYYFTVYGDDISVHLCAEDELLRLLSSLHFQVNL -DKSFLSREWFKEACGVEAFHGHDVSPCRISRRYDASKLMSGKYDNCSPDVVGAVDMRNIVYTHGYYSCAS -YIANNILGRVPKILYTTDENQFGFLCKVPKNRHYLLYQSHWRHKPIRYNDALQCVEYETYKLDLVRKRTS -DVELQICEALRALSLRSDTYDSLDDAPSLSITKTKKGTKRFRRNSRVYT ->APG77242.1 hypothetical protein [Hubei levi-like virus 10] -MVHQRVLGATHRGSNEFHQLVYLVRQYLADLGSARALTVDLLLRSYIEGDLAALTEIVNLRCDPLNYCDS -SSFKRDYAATEVIRKFRAFPDSELDPEGTALRGFFDSEALCSRTNRRIRSGATSSLLDQNLDRAARFIKW -VLGDVRDLTSEIWRQGAWGKGVTSSCKGKWLTEFHKMDASPDCTLSFLHLAPALWREATMGLDHREMCVV -QGSKVTFVPKNAKTHRSIAIEPSVNLFAQRAVGKHMARRIRLKTGMDLTSQTRNQDLARRGSIDGSLATL -DLSAASDTISMGIVERLFPSEWIALMDACRSKFTEVDGKQHLFHKWSSMGNGYTFEMETLIFTSLVRAVI -SDSDWYSDNWAVYGDDIIVPCSSSEELCELLEYCGFRLNMEKSFVSGPFRESCGADFYDGVSVRPFYLKD -FTDVSLETWANRLYSDGLKCRKTWDLIIWKLGPSYPRIPVELGMGGIFTEGVLPLSWMSPVVIRRGLVGR -LCRVREWIADSVDSKRVDGAAAVVANLRTLLARGPWEDRRQLIIDADSALSEPWRLTARKSGKWVTKIRL -VV ->APG77009.1 hypothetical protein [Beihai levi-like virus 16] -MDKVTCKKVNSKSTFKGKNKVLPRITEKTFHKLGLILAAGRDTEYSRSYQGFNGNHSNPALHASGYTSDM -DFRHDYMIYNLLRKFLHEDESTATERSEAAIDKFIETDASLAYWNEVLDWSNPDLYEDPEFIAIMRSARN -KVAKILGPCPGLSELADHAGFSGGATSSTPRVEGDPRFKYSYECVDVTYGCHQIAYYMQSETPIWNKRFS -LVPGNRITTVPKDAEIDRPIACEPLYNMWLQKSVGRIIRHRLKSVGINLRYQQQVNQEQAREGSITGELA -TIDLSAASDSISLGICRLLLPRQWYLLLCALRSDKGVLPNGDTIVYNKMSSMGNGFTFELETLLFYSMAQ -ASEETASYKVGKTARQCTVYGDDIIISTHAVDSLVNVLSKTGFKTNKDKSFWSGPFRESCGKHYFNGTDV -TPFFVRMLPSHYSELFVTINNLKRWGGAFGFMLEEYQVAYNYLLNFIPSKWREPRIPDGLGDGALIGRFD -ECHKHFNQNGTYCTHVWSNDQLKVEADDSRYEQLANVHFGEGGYLATLASKQTRSSDVDMSTRSSDYVKE -AFEHASRHSVRILKEGEEPTFPVEVPGIYKPRPLVVSIVDWLDWDLRKPIPVAGNAH ->APG77085.1 hypothetical protein [Beihai levi-like virus 21] -MKNFKFSKPRRLTDDLVMSTLNGLASISPANVQLDEVITAMKEGNAARLISYSSPFEHESVWPITAYDTA -VSHFANNLYRKSDFLELGIDTLEAAKTAFYSAEEACRLTNERLIFSSRDGDIEAIILMARRKISRLLRPF -NVEKLEPYFRHGSGATTRSPRKLGDEFFKWENNPEVTHRAAPLLKLVLGRYNIPYNLPVCVSGSLLQTVP -KTAKTDRPIAIEPEGNMFLQLGAGGYIRRQLRRVGIDLNDQALNQRGAQLAIDENLATVDLSAASDSISI -ELVKLLLPADWFMFLDAIRSHVGTWGERKQRFNTASELVIFNKFSSMGNGFTFELESLIFWALSDSVREY -EERGDTRLNIYGDDIVIHRDCISLLTKVFSYVGFTINTEKSFTEGPFFESCGSHYFNGALVTPLYVKSLI -DDLPTAFWFINQVRRWYGTVVGYMPQAHYDQLIKIVKHHTPAKYRYMVAPAIPDGVGDNALIGSISEVRP -RFSAGTFHTNVFLPKIGKRYTSGVGQLVKSLIKLERVPASRYRTMFSARSARLVVSSLRSELAADVPVLT -NRVSSSKTSRGASTFVHHEKNDAMLVRTKLRCPVWIDPTPLVSD ->APG77174.1 hypothetical protein [Shahe levi-like virus 3] -MIWNNPIKVVLFVSAFIAVLTIYALCAIGGFMPKLNRKVSFPAFFDKKQQDRVILCIVDAIAREGVMYPM -VLAACSCARRFIHRPDIDLFKQLMSIDAHKASSEHEYYLTVQVQALFKKRADLDLGIDKSDVALRTFLES -ETICRETNRLLRSNDDPRSGDRAALILQMRRKISSVLGDLPSLDELPCGFGPGTNVGCSKNTSVRHKLNS -DITTTEGAGRYILTSLSSFHAWVGLSRPKLVRGSRWTSVPKTSLTDRGINVEPILNSYIQKGLGSAIRLR -LKRVGIDLNDQTANQRLARAGSLRGLLATIDLSMASDTVSYLTVMDLLPSDWFDALDAVRSPICELPDGS -YRILEKFSSMGNGATFELESLIFYALLYVVCCVDEERTISVYGDDLICPSDCYDRVIDALSLLGFIPNKE -KSFGSGPFRESCGKDYWVGTDVRPVFIKDELSMKEIYRLHNFFVRTGRLSSLPDLLIGFIPKRDRLFGPD -GYGDGHLIWKTAPAPKRDKRGWEPFHVITTWQAKPRVVKTPLSSDYGAFLYLRTESSGASPFDTKRYELR -DGQIFAVLSRPDDGVLLSACDMMYNERSDNPRYHKKNIRVPVAL ->AAC06252.1 replicase [Enterobacteria phage M11] -MSKTSQSRKSLSGKLRRAANTRIVVEDNLALSIANDLLSALDVESFSSEEDCISRSPKFDLSADQFRNSY -LAAEIMSKYDSFSLGINTEAVAWEKFLAAEAECAITNQRLYRPNYNEDFNFSLGEACIHMARRKIVKLLG -DSVPFEAMLRHCRFSGGATTTNNRSYGHPSFKFALTQECTPRAVPYVQALKACTGMDLGITKVSPFNKAV -TVPKNSKTDRCIAIEPGWNMFFQLGIGGVIREKLRLWGIDLNDQTINQTRAYLGSRDDNLATVDLSRASD -TISLALVELLMPPEWFKVLLALRSPKGILPDGTVITYEKISSMGNGYTFELESLIFAALARSLCELLGLR -PSDVTVYGDDIILPSDACSPLVEVFSYVGFRTNKKKTFSSGPFRESCGKHYFLGVDVTPFYIRRRIVSPS -DLILVLNQMYRWATIDGVWDPRVYPVYTKYRRYLPEILRRNVVPDGYGDGALVGSVLISPFAENRGWVRR -VPMIIDKRKDRVRDEYGSYLYELWSLQQLECDSEFPFNGSLVVGSTDGTLAYAHRERLPTVISDAVSAFD -IMWIPCSSRVLAPYGDFRRHEGSILKMG ->APG77252.1 hypothetical protein [Wenling levi-like virus 2] -MRNYYRARNMEKSSYTTKLASNDVHWLAILAFVEGNASILEWDDLCNIISIINTRDVARYLRLVGSWDSR -VTLYASDLSLKRIRAERQIVTFLKKFPFSDEEYQHDTTSAAIEKLMAAESACKVTNRRLKETLPGELPGW -VSIAQSLISSVLEPLTGSRIMSIISGGSHGPGATLSSQGNRVTAYYKYADLPYSVTKAAQPYAFAAISSN -PQWIDYLESTGVRSELPPVGAPQYQKELMLLHDCVTLVDSDRITFVPKDARTDRPIAVGASLNVFLQLGV -KAYMEDRLKQFGVDLTDQTRNQELARQGSRYAYMNGIENCSQFSTIDLASASDTISIEIVKLLLPAEWYA -FLSDLRHDAGDLFGENISYEKFSAMGNGYTFPLESLIFWAVAKAAAQLNHKPSQYKDIAVYGDDLIVRLS -AAPYVIQALNWSGFQVNTEKSFLSGNFKESCGSDFFRGNNVRTFYLKRQIQTYEDIYFVCNSIAKLVVDD -RSTPGYLRMYERLVALIPGKCRRYLPMTATHDSGLQVPLSFMNGVGLRPFLCNDEKRVLASKGLIRAENM -SIQSMFCYSEFPVAKTYKGSSRLTYMIALQCKDRLPLHSFASAEDLLHAKCASTGQITRRKAVKSVIQVV -PVLNWNGCHANRLRAHPALWMNT ->APG77292.1 hypothetical protein [Wenzhou levi-like virus 6] -MRNYYLARNLEKSSYNSQIGSNDVHWVAMTAFIEGNALNLAWDDLCTSLSVCNRRNVKDYLCLVSDWDAR -LAEYAGDFSLKRLRAERQIVTFLKKFPFSEEEYEHDTRSVAIEKLMAAEAACKLTNLRLRETPRGELPGW -VATAQRLIADVLQPLTNERLMNIITHGNHGPGATLTSQGNRVTTYYKYADLPYSVTPSASIYACAAISAN -PQWLDYLESAGVRKRLPPFGAPQYQKEIALFQDCTTLVDSDKVTFVPKDARTDRPIAVGASLNMYLQLGV -KTYLQERMKQFGVDLTDQTRNQRLACEGSRYAYMNGVENCSQFSTIDLASASDTISIEIVKLLLPAEWYS -FLSDLRHETGQLDDTTLFYEKFSAMGNGYTFPLESLVFWAVAKASAQFANAPSQYKDLAVYGDDLIVRLS -AAPAVITALNWAGFQVNTEKSFLSGHFKESCGSDYFRGNNVRTFYLKRQIRTYEDLYFVCNSIADLVIDG -RSTPGYLRMYEALISYIPKRRRRYLPITATHDSGLRVPLSYMNSIGLRPWLSSAEKRKCQSKGLLRDQNL -DIQSMFCYSEYPVATTYKGWQKLVYMIALECKDKLPIHDFMKQEDILHLRNASSGQITRRKSVKSVTQVV -PVLNWNGCDARGLRSHPALWMNS ->APG77237.1 hypothetical protein [Hubei levi-like virus 11] -MSKKPRLNLAARTFSVEHGVFLRTCEAIGTARALTCYMLAHANEWDQYLDLTAPDHESPSFADDYLVTEA -MRKNPHLTTSHNPRKAAVETWWGAERQCAATNDRLVAYSRGDVSPTDMRTNEIIDRARHLVSQILGPLSR -RDLEFAESKFRFGPGATSVVTGKDVVPSKKYMCTSHITPRLYPYWRSLVHLNSTSVELRAYSRVTFVAKT -SKTDRAIAIEPHMNVYVQLGIGALLRQKLSRFGINLDKQADVNRRLASVAHLLGLATVDLSSASDTIASE -LVWLLLPYEWACLLDVARTEYSEIDGEEVRLSKFSSMGNGFTFELESLIFLALARAAGDPNAVAFGDDII -LQASAYPSLKGTLDFLGFSVNQKKTFLAGRFFESCGYDYLDGAMVRPFYLKGNYHDFAAACIRISNKIRI -YSHTRARGSGCDVRFIRVWSFARGADSQARSTYLPIGFGNDGIIVNFDEAAPALPRFGYDGYIARVLRQR -MTVHDASTLPGAVQHALHRTAPDLLPGYDPDSPRLRGVDTGIRPELLRSREVTRRFSHTSLGNQIVPYWP -NLGPWTSVQVPEEKKPSNPLSHISLSRRMIR ->APG77248.1 hypothetical protein [Hubei levi-like virus 4] -MSRLSWNDITGVKNRTAVETTILEVLRRLNTPYARAVAEKVPGGEVLKAYPSMPDPTKYATAVRFSKDYL -AYQLVRKTDFWDVGIDKEAACKRAFFDCETRMGEINRTLPERVTGNSDCFAAIMLASQKILQVLGEEPDL -DRVVDRAALTSGASTRLPRRRGDAGFKLSGQPHCTREIQQMANLYLYTDPVYMQGLLEVGPVAPTSLCEP -VLGARFDMVPKNYKTLRGIAIEPEMNMFFQRGIGIVIRDALLRFGINLKTQSYNQYLALVGSRTGSLVTI -DLEAASDSISRALVKLLLPAGWYRLLDGTRSKFLEVEGRFVMLEKFSSMGNGFTFELETLIFWALTQACC -ELTGCADRRIAVYGDDIICHNSYADLLIRVLSVCGFNTNKEKTFLEGPFRESCGKHYFYGRDVTPFNFAK -SLGDITDVLHIVNSYNQWAERNGEPWMTRKGILSLAPVTPLSYGLRAGFISKTNPHPTDSNGCFRITYFK -TASKPKRVPHFGQYWCTLKDGNYEDGSPRSKTVETLKVTVRRKSRELISVWE ->APG76989.1 hypothetical protein [Beihai levi-like virus 34] -MAKPIKIPKVSEESKIDFNSRVAMTLYDEINTPVSLLCKKHLMDGDIESLISMDVNPSDYDNAWDFSIDY -QAVSFLKKGDFHRSGIDTAKVALEKFLESEAQCAKTNSNLKDDIRPYSDIVFRAQQIISHMLGSTPNMEG -RFEFGPGATSAVKGSFVTIPDKLEQHIVCPFGSINLVREFYTKSAPRLIEGMISGDTHFGPCCQTGNSLH -IEVLDYNELTFVPKSAKTDRPICIEPHSLIPLQKYLGKKIRYSLLKGGINLDTQWKLNRRLAKQGSIDGS -NATIDLSSASDTISIAAVMELLPLPWFELLSESRSPFTKLPSGEIIENDKFSSMGNGYTFELETLLFYAL -ARAVCEKHDVYNIETMSVFGDDIIVPISAYKDLVNVLEAFGFSLNKEKTFSSGPFRESCGADFFNGVNVR -PYFYKKGIKYETDKFSVCNGLLAWNRRNYGDFRVKDLYSFKTIEYIIRSIKKPFRFAGPVHIGNGCIHIS -QQECFEYDMHGRKDQFGVSTCYAAVPCFREKDVRKITSNSLIQVLSVLYGSSQRVPLRGVVGFNVKKTQP -AIW ->APG77201.1 hypothetical protein [Hubei levi-like virus 9] -MTAVRSKRLNKRDERWASLEDWRNSKTSLTCDRVAEAYATSNLRGELLSVALNVVSLFREDAGKAKKLAS -EVAVTEFGDASQCFVWQQFKALLLKSTAGSSTLKLRKDAAWNAFLKAEARCSITNKRLRYYWSRPERENP -LYRVILARARESIREVLGEFTPATLRQLISLSRPGSGGSIGTRNRDAVAPAFKYGHTDLVTTRKALPYAR -MLVEGSLVWVECSGKEQEDGTWNLAYYLADANRVSMVPKDSTTERTIAVEPHLNMCLQLGVHEWVASRLR -SFGVDIRSQTRNQQMAHEASTRWEHLDPLVTLDLSAASDSVSRSLVERLLPSCWLSYLDDIRCDRYRVGS -DTYEYHKWSSMGNGYTFVLETLIFWAIARACLSLTTSQARVSVYGDDIIVPRGCAAQLIEVLKYSGFRVN -TDKSFCFGPFRESCGEDFFSGDRVVPVYLRGVKHLRPTDIYRLINRLTEDERHDACLVKTLALEAHKGIP -IVHTLRNSDYCSGLFSDSIADLKRQRHCVWSARYQAWSFRRASFRPDKVHAEAKWLYATALRGASEFDLV -KRGDYAKLEVKRKGSWTLVSVISG ->APG76993.1 hypothetical protein [Beihai levi-like virus 20] -MEKSTTRAFQKILTSFRFTKSDNVSEEFAIQRYLKKMEIPDPENMELRRESCWTNWLATDLGLPRTFSLL -PGDWYRARSLLHSWLSDFTLGPVSFPTGSEFTPTRGKNSIEAKLCLSTWTCTPDCFDSFCKTAYEHKGLK -RAVKKRFKTYVDSNGFDLNEANAILWRHFKSQKDPAWSIFSWKCSRVVTFVHGSRFSTVPKNNEKDRPIN -IEPFCNVLIQKRVGDGLRNVLKKRGIYLDNLPALHQGLIADISKATIDLKDASDSISIALCEFLLPKRIL -NVLHSCRSDMVLGLDGNFHVTNKISSMGNGFTFELMTLILTAICRVLDPGASVFGDDIILRRTNARTLIS -LLTRVGFTVNEDKSFWIGPFRESCGANWHHDYGYFRSFDFRYPQNIHDCVVIYNKVVALSREEGFAQFTK -LENCLRRTIPFALRGKWTLYDSIQWKEGRVSGTSPVLSGYFRCPKSGRIPAVSKQVSGDICRRLSYQKVT -GSFYSFEYKPKLRSPNLKHLKSSNWAKYEMYLDSGRRAKDVLTDVGVWVRKVCVILDGERVYQLATVR ->ACY07245.1 replicase [Enterobacteria phage SP] -MPKTASRRREITQLLGKVDINFEDDIHVSIANDLFEAYGIPKLDSAEECINTAFPSLDQGVDTFRVEYLR -AEILSKFDGHPLGIDTEAVAWEKFLAAEEGCRQTNERLSLVKCHDNSILSWGERVIHTARRKILKLIGES -VPFGDVALRCRFSGGATTSVNRLHGHPSWKHACPQDVTKRAFKYLQAFKRACGDVVDLRVNEVRTSNKAV -TVPKNSKTDRCIAIEPGWNMFFQLGVGAVLRDRLRLWKIDLNDQSTNQRLARDGSLLNHLATIDLSAASD -SISLKLVELLMPPEWYDLLTDLRSDEGILPDGRVVTYEKISSMGNGYTFELESLIFAAVARSVCELLEID -QSTVSVYGDDIIIDTRAAAPLMDVFEYVGFTPNRKKTFCDGPFRESCGKHWFQGVDVTPFYIRRPIRCLA -DMILVLNSIYRWGTVDGVWDPRALTVYEKYLRLLPRNWRRNRIPDGYGDGALVGLATTNPFVIVKNYSRL -YPVLVEVQRDVKRSEEGSYLYALLRDRETRYSPFLRDADRTGFDEAPLATSLRRKTGRYKVAWIQDSAFI -RPPYFITGIPEVKLAS ->AMQ23551.1 replicase, partial [Leviviridae sp.] -MEIKPDVLTNMIDIDLPTVNPFLTSDMTPTEARIMALRQSLFKKWLPNDTSTLDRIAVDSFVAANLRCAS -WEIPDGSLYVDDILNQAVYMLNSDMFEDYLTPFVSIARGINRGRHGPGSSNGTKANTHYHKLFHGDMTYK -SESLYRMYRAQISSTWTEAELFRRSICKSAVCRSSKVMTVPKNDRTSRTICVEPSLNMFVQLGLGSCIED -VLRRSHNIDLSTQPDINRAMAREGSMNAKFCTIDLKQASDLIPRKFVEWLLPPEVYRALETARSEYFTLP -DGTEHRFEMFASMGNGFTFPLQTLIFATLVRATYTCLGIKPIKTGIHRNYAVFGDDIVCLSDTYHIVCQV -LRRAGAIVNDDKSFAVGSFRESCGSDFFNGHNIRGIYLKESKNESHIYSAFNRLSRWSASNAIGINNSLR -YIKGLAVFRPVPYDGADDEGFKIPSHLLTCRRKDPNGSIFYNALRRKPRVIEVAALTNPAGLYLSAIAGY -VGTGGITVRSDRTSFQVVKCKTP ->AOG30806.1 replicase [ssRNA phage DC] -MKISFNDAYKASKSIVSQIRGKRTFNGERLTGLNKWKVISAQKLFVLTLCSFRAEEGTKLKVGLLAKLVD -LLNKMDVKHLLTILGDMDSALLQNKPYLPNQWQSDNQVELRFVEVFQSLAKPFLHRWFINNDEYAFAKLH -QLYAFQSRLSLELSELRQTAYDAWLSTEMTCFDLPARGREAELISGWFPLSAYADFYSNFLPAHGSGAVS -ESCDSPLRKWEYTFLTANGKLLLKQLGIQECEFNLVYDWHSDVTAYAKTPCRVVFVNKTWKTYRTISCEV -TNNMFLQQGVGRCLDVWLKGRYSEFSRYYSIDSEFVNRRLAFLGSLHGVYDTIDLSAASDSVSWELVKSW -FQKSFLNVAIRCTRTKYVTVKDPRYNTWSVYEQTKFAPMGSRMCFPVETIVFGAIAKAACEAARLSKDTE -VFPNFVVYGDDIVIRHEATPYLLTRLKECGFSVNTNKSFTDFTYKFCFRESCGAEYLNGIDVCPIRLSRN -GFQGLLPDSPPMEYSSAYLRQVAGLIQLANNTRLKIPFVHRYLVENILSQNLPIAWDDGSSGLYSERPSY -KGYNLYRRRWNRDYQRDEIQIFNLCLEDKIIPQRPLDYPYCPRRSEYSSNDEYEREFKEWVYQYELWYDQ -RELVKLKEEYDNSPQRLYTTLVALEKRPKIPLQDESGSPSSKILPEKMTLKLEWVEEDTHLLIPGTQCTK -TVTRGELLVRLCSGLAALLGRVATQSKRWD ->APG77127.1 hypothetical protein [Beihai levi-like virus 33] -MRSYFSRAATERNLSATPVDGENLHWDLLSALVQGSVAHVSSEVTSKFQLAISERNVELLLEACDFVEAR -IQKYDRDTNIVSIRMERQLMAFLKKFPFTKEEGARDTRSAAIQKWKSAEDKCRETNDRIKGTAHADLPKW -VSRAKELISEVLGDILEPSVMTKVMTSGSHGPGATLSSCGTRVTQYYKYHDLPMSVTRAAAPYALAVIRN -NPTWLSHLENSGRRTRLPRQGATRSEIDRMIFLDCVTFTDSDRITFVPKDARAERPIAVGACLNIYLQLG -VKAYIEDRLKIWGIDLTDQERNARYAYLGSRYAFNGSVLNPKQFSTIDLASASDTISNELVRLLLPPAWY -GFLDDLRHKSGDLEGEIISYEKFSAMGNGYTFPLETLIFWACCKAALDEAGYPSSTNDLIAYGDDIICRY -EGHRVVVTALEWAGFLVNHEKSFVSGLFKESCGKDYFLGQDVRPFYLKRRIETYEQLYFICNSLAEKFMA -QGSRSDLLTCFAEALGQIPVSNRRYAPLSTNLDTCLRVPFAWLREQGLAPFLRPFERAFLARRGVLDDSI -EFQAPFFIREVDVAKTYKGKGNIRLMLKLSQGRPIHSFMGVEDLLHIEAASAGVVTRRHAVRRVTRVVPC -SNWDGGLHRRSLQRHPVYQVK diff --git a/seq/clusters_seq/cluster_970 b/seq/clusters_seq/cluster_970 deleted file mode 100644 index 47a1f41..0000000 --- a/seq/clusters_seq/cluster_970 +++ /dev/null @@ -1,143 +0,0 @@ ->YP_009272911.1 RNA-dependent RNA polymerase [Fusarium poae negative-stranded virus 1] -MAYIDLLKNSAYLQYLPDQLIEHLNGEELKDASVVYKLPEIIDQRKSYKKKKAFQTKPTDVRIPARLDSP -LIPYPQQMYDLCSGLEPDNPDLIHGHHSSQILSILKVFNELQKHKKIPDGPLVFTIDHLKRFARQSYSNR -ENLINLSTLAFAKRATMHDLSVSANASVPFSASPSSLSQYTSFIIMIQRLRVHIAKQSIFPTFSPDTFVN -NSEEATYTMYSNGVYIYYSTSRLHNFYLISCGGHFRIYHADLGFWFCGPTSYLDYVFTISDILNNIDVIR -NCSEYEWANEMFQLMIQFAEHEGYHKEQVDFMKGLEGFFLNMSDYDEAYAMNWKPLIEILFDLWQLDKKI -SAVDYDFGLLFSLLHGINLKCPTKSFLCKFITTGRKLSRTHLQEISALHKLIFYAEVNAEAGVLKFLKRV -HTKRNFEEQAVKNITRFAKQHFLISYKKKHGTIPNCIGPLQKVKLLESYSSRGAYDRIESLPLTWWDDIK -IFDCMDNTLTDDPLEFAKDKGALKSKISFGPGDSRKELLQVIEKVDYNLKDFFASKTIRPKTPFVRRTTQ -KEEPVEMSDPARLIEKEREQKYEARLFGNAELENKHSLSLVAARMKKALSYFDEQLMTPTDKKRKALIHE -ASRELSFESNYSLLLDIEGHNQSMQYGNTHELAEFLGNLFGFDGWGDISHYFSQLTVYHYDEYLDQVIES -EGQFGGIEGWMNPFWTLHTLIMMKLLRYMTDISVKTIMVYSDDVNAIIEIKQPSEPMVKSIFAKIMKHCS -KFGMTVKYSQTTLSKHRVTMLRQHYADGVRADSTLKRLISVSAGNNPTIVSDELEIAGICSSASSAMELS -NHHEACAYLKNYKIGLLLCRLPQMILSKVHDNSMISPQELPVSLSNLLYYSKNDREELNLMTNPRLMVAA -KNDIAAYLKRNPKNMSDDIFKQSLRGVYGQSIAESRLVDSPDRVMYLQIYDEFVQDLLFFWSYLPTSLGG -LGAALHLNLMLSGHSIGMSKSLHYLHTWICKFSSNPAFFLKYLNTSLSVDYENKRNFEETRLISLTWPGE -DTICPATTSIKQSIRSMVKSKTKNKRVLDMFKMSDERDIIAKNLLEIFRHNFHSRLVQFYHENTSIHFLD -LLISKVETSSGLLTYVKDITKLRNSQSSRVIENIRKMSNTSKTLFGEITDNTDIIEFLAQRKMTMFPKVS -FIEVEEILYDDKITEVNTYNALLTVRRCSPMHYRNGIKVYDDPKVGNEVLYKGDLIDDDRMLGNKEELLA -AKLVAVTKWLLMKHNMLALPKEEVLSLDCVKACNLSLSTLTNQSFFDLFLYAPTETGGEILHRIPNMRFS -TATYIRSEMNRSLNYTTELNQQMISDMGLVDSNINFDYLRMRFLVSAITCDKYDSLRRLVVRYGFIKLVG -IKDVQFVTPKITEWNNNPKLTCYSVACNHDLSTLRFRYLSHSYLYEENVAEWSLIPKLKELETSQEIGRN -YVNDIILRYSRDLDKDYMMISPESIDEHLWGPLIRKLYKIDKNWKLDISRSDEGEIAERLKSVMNERSRI -TTVNKINAVELGLQTKCLENIASNSPDDSEYHELVTRYTKTLKNGAYSNKLSVRLARYQNLLANLEDHKF -NLAKFLLFEYLATFHFKTRNDNGTIMIDAEESFRECMSNGIGKISMMIISPDLQIRIMVLGIEYVERLLE -SKPFEILEEFRELCEEITLSDIIVPAQVPSLEHQTRLSAEEHIPDYLMEIEYESQDIPFSAMKELNLIAP -LCMYAQTCSTTGADPKTFTSPTGSDSLGAQIGLFRMLRSRGIIDYSTNICDLTAGRGDGLYACDYLGLSC -SSYAVSDTFTKIDYHPKLTFKTDYDVFDGSTLKFITGFDFVHVDISFTGNKKSNILDLILFLESNNIGYS -IRLNSVICDGYNESVTAALPKFKHEIAYAMNSTLKPYQIYLIGLPSPLHKETVEPSLKETIAFRSIAISF -SHLLSPRNYNLRLHEFERNSASIYLPTGSNLDDFISKICENSIEQEQLYYCKRYLAEIGDDANIEFSYNH -LDQRGQDIFSNKNNILTIEHETSYDKIDDNMIGNVSNKSRPYHEKHLEAMKNGTTPIWKIPIILADEQTL -MYFRTHHPIQEIRSWCNIMIGMQKFCRMQVLSGHESIENLYKELTSKSPSKLSMHQKEINLAIKLIVLAA -RDDDYTYGMRYCHKILANGVKSNKSIVRTLKVYRLLSYLFDQIQLSMQRGRICIRSLLSIANEIEVREKK -RYKHVQQRIDQVTVSQDNSMLDKIISDSIDQLFVGLETFAATIVEQTDESPSKDPFAAAIGTSALEFDMD -INAHVDNMIAKLGLTPSGPHGYIDLGDDVYPEDDDW - ->ALD89129.2 RNA-dependent RNA polymerase, partial [Rhizoctonia solani negative-stranded virus 1] -NILAVTSYITELSLKNYKPMSLRDLLTPKYESGFLTPKAYSVATSAISTISGEKSGTTFENPIIIEDNPE -EEDPMDDTQYEPRSEIPTRLDTPLIPYPESGHEFFKYDIIDYLKDWQPSHRKSLIGIMTRFKELSKKFNL -SNKEILTDIDHLKQFYDCQYSKRGSVLHTAAYNSATIMSHLDLGLILDRPIPGSQSISSPNKSIDLLVII -QRLRGLMMKGTETIATSEFEPSYKRESDGTHVMYCRNRLYTYFIIIFGEHFCIYHSKVFKSFAGPKSYLD -YLYTIADVENNVNVISSMQEYTQFEKLIKLMIDSVTMYRPHNSLVTFFKNYEAFCLFLADVRSGEIANWD -PIIDTISNMVDMCNEMCQEKIDLEWAIEEIMEPSNNYLGDNIFKKLVGALRYLTPLELLEASSLHKFVYF -AEVDVIKGLNKFVARTHSKVRVERDNLVDLIGLTKREFTMNYYKRNQAMPTILSPIEKSLVIHNLLKAGD -NEQLIGYPLTWWYDIKYGKTLDYADGGHPVEYAKDKGAIVQDLHYGPMDNKRELMQVMSDENYKTRDFLS -KIDVNPHKQEFIRTKIKKRAKHFDFPVRLCEKEKEQKVEARLFGVADAKFKHEMSSYMARAKQVLSYYEE -NYMTMSDSDRKSDLHDMAQLLERDDTLGIMIDITGHNQSMQPENTEELLEFMGSLYGEEGWGKLSHLFHN -LEVYHYNHYTNEVHISRGQRGGIEGWMNPVWTLVTLQQVKLLRYTTPLQIKKIAGYSDDVSFIVISLDHS -EQFIDSTLRIVSKELGRLGFVVKPQQSAVTKKRITMLRTHYVEGKRADSTLKRLLSLSTANSDRLSCEEF -EINAISSTVSSAMEGSYHVKTCTMLKWYKSCLVSFRTFAMLFEERRVNSMLSPMKLPIKLSSMLYNIDSL -DDCRFTEDRKSIEKTLEIKVANIIKGIDNDIEFSFFERWITELKGTTLEQIKGISIPDSLLYLTGYDEFV -SHIWFFWLAMPQSVGGLGVELAINQSLSGHSDNFYRAIYYIHRIVTTSIPEKNYFYESIMMALKYHNMTP -KDEMALVDTKEEFSEEIIEFLNSQEVSNRDLLEIKVLTDKWLSNQKVRSALSAVQIKLLARMKSIIKNKK -LKDIMRAYEQKEKLAIGLTRTFKNNYSHRVIQFYYENSYLSMAQYLLRKLETGTSLINGIKSLEKLKVSI -SIRARNNALEMFSAVGQTYGKITKDTDILSYIISRRKRLVPGLVFVDIEEPLYDHLLERTTISNALITVY -PTAPKEYKNGTYGYKLGLKSSETLYKGEIIEEDTILSAREEMLVAKLISVTKWSVLKTYSKYLPQESEVE -YDFIVACQWALSTFIKNTYYELEPFVPLNLGGEILHRIPNQKFKSKVATRILPNTVQYVKTTLHQEKVFD -MELQDSNINFEYLRYRLTLIAAMNYYYEFQLPLAESYSFNSMSNIMTVQDFTPKEIEKKETNSTIIPMFN -LMDRIKLEKLSLASSAYLYADDIKDAVFTRTNIDDHDIVRKILERNNLIIMDYYSALSKEMLIIDFGLEN -KEIWKPLIIKLRQLSVDYEYMSDNELYTHIIKVISTKLHERTYSTYYENKKMRYRQHIENMRYTAGELSE -EYKSLTYLLKVNRIQVLSDIADGTKSPILEKMVSHFNNLAYDLFFDLSLGYCLQLVKVAGSVEIDPHATY -LSVLDTVENCQFNLNVPESIRHTVFYIGVSRSLEIYRRKQEGLIRVLREISNNTEHIEVFDEKTNLKFES -VKMSFEDLIIPDSLMEVVYRGFELHTGSLSDWKIMKKTLGMIRKISELYTSQEAFFSPTGSDSLAGQYGL -FKALLDYDIIDETIELYNMAAGRGDGRIAAMLNGLTSHDYSRPSMFSKVRVVKGVNDSVDFDLTKYDTLP -INPEGALVNIDISHIKGNIKGLEDTILNLLNSKNIVTIRANSLDEMSEDFIDNLISMKISVKFWHACSKN -ILPYQCYVSFDSSKLQSSNLPVKFTEDSNYRMMVNLWLSIMNISNLYRVPELDIMNSVMSMLPDDINIED -LLKKVEESSSNDKVMKCLKNVIKLGYIDNSCIIDNKTIEFTEKNHKCQFSKVLPEQKGTIYSVSSVEEIG -IERKRGFKYWKAAVEEMTTNERIPRSFPISEQSIDVIKALSHTYPLAKLRSYFHNVAELYESGIDIRTTD -TDNLPGLLAEYSGKAPSLNGSINRNLREVLSVMVMAALRHNYAWGIEMLSPSKGDDRKRTKAQNEKVSIY -RKMGSMYRRLRNAESVSEQSLSYVEKVEREMIKKNVIRLERMRDSYEKEKESEDAEAIKRLFSNSVEGFF -DKISDGTINIGPALHISNSLNYDQIITGNNINIQPSALGVGGTINTENVLNADTFKGLFDSALGQTSLES -ELLQRMQEFAAVPEGEYGDWDDGIEYDGEYY ->ALD89111.1 RNA-dependent RNA polymerase [Rhizoctonia solani negative-stranded virus 3] -MSHTLTPRAFKAAFSAINSNPKNDEVKEYIIPIIKEETEGPFYDYTNETIEPRTEIPSRLDTPLIPYPKS -ISLYLESYDADYLKDWQTIHRIAITKLVEEYRISSKKCHNSGSKLSTVIDDLKGFQRLSYTARGVPTHKA -SYAAAADMAFYDLSLMIDKSAPGHASNAPKCASVDFLLIIQRLRGLMMKGKETIASSEFEPSLIKTDNGV -WNFYCYNEAYNYDIFLYGEHFLITHCDSPNAFLGPKSYLDYLYTLADVENNVTIISAMHEYCDFEELIKL -LVSSVIEYRPHNNLVTFYKNYEALCLYLSDLKSGEVANWDPILDTISNMIETSNEFTNDKLDLEWAIEEI -NKPTENYKGNNLFRRMMNAICHLSPLQLLEASSLHKFVFFAEVDVIKGLKKFVSRTHTKVSVERDNLVEL -ISMTKREFIINYYKRHEALPNLIFPEDKILIAANYLKSKSYTQLEEYPLTWWYDFKMGKTLDYVDGGHPV -EYAKDKGAIIQDIHYGPMDNKRELIQVMSEPEYKTRGFLSKISTIPREQEFIKTKIKRDSRHFEFPVRLC -EKEKEQKVEARLFGVADAKFKHEMSSYMSRAKEVLSYFDENYMTMSDSSRKEDLHDMAQLLERDDTLAIM -IDITGHNQSMQPDNTEELLEFIGNIYGEDGWGKLSYLFNNLEIYHYNHYTNEVHISRGQHGGIEGWMNPV -WTLITLQQVKLLRYTTPLIINKIAGYSDDVSFIITTTDQSDEFISKALNIVSRELGRLGFVVKPQQSAVS -KKRVTMLRTHYVEGKRADSTLKRLLSLSTANSDKLSCEEFEINAISSSTSSAMEGSYHVKTATMLKWYKA -CMVSFRTFAMLFEERRINSMLSPIKLPTDIASILYNIDGSDIRVYEKHRSEVEKTIGIKLNRIKENMSIG -FEEEYFRRWITDIKRTSLENIKSISVPDALLYLTSYHEFIPKLWMYFLAMPQSMGGLGMELCINQCISGH -SDNLFRQIYYIHRIFNTIAGDSKYFNECIVMALKHHTLSPKEEGEILDKYLEFDPMIEIENSTISTDRKK -ADEIRLLSNKWLLNSKIRSALSKVQSSLFSRMKSILKNKRLIDMLKAYDTRTKLAIGLTNIFRKNYSHRV -IQFYYENSYLSMAQYLLKKLETSSSLLNGVKNLESIKTSISVRARNNALEMFSCTGMTFGSINKETDILS -YLIDRRHIIAPDIKFVDIEEPLYDHLLALSSIENSIMTVYPTTPKEYKNGTYGYKLGMRSSETLYKGEIN -EEDTILSAREEILVCKLVSVTKWAVLKNNKDYYKGTENLEYDYILACNWALSTFISENFYDLELYVPLNM -GGEILHRIPNQKFKTKVATRVLPNTIQNMKAMLDQKYITDHKLQDSNINFEYLRYRITLIYAMNYHYDNT -IPIVESYNLSSYANIHDVQDYQPVLIDKSGSSSIIHPLFNLKDRINLSKISVGTLAYLYSDNIKDVVFSR -FGDKNENIMRKILERNELIIIDYYNSLSKEMLIIDFGIDSLNTWRPLMTKLRRLTSEYDNNTDIEMYTMI -IRVISNWIHERTYSNYKSAAKIKYSGHISNLRLSSGELSDEYKSLVAYLKLNRNKVAEDMSKNYRSPIIE -SMARHFSLLSSDLFLDLSLGYCLHLRKRGNYLEIDAGATFSSVKETILNSQFNLNLPESLKTTIFYIGQS -RLLEILDSRKNIFINILKKIDENTEEVEYYEEKVNLKYPSISLNIGELKIPQTVLEVVYRNFEIGVESLS -DLSILNKSLKAMKRISELYTNQEAFASPTGSDSLVGQYGYFKALLDYGVLNPKSTVINLAAGRGDGRIAC -KMNNIISKDYSRPSLFSKIRVVEGVESDVDFDLTKYDSIPHVENGSFIHIDISHISGDISGLEDTILNLL -SNDCDVSLRANSIKGLSNDFIESIKSLNISISIWHATSRKILPYQVYMLFRRVTTTPRTNFNKLEDMSEY -KMMMNLWISTVSLNNLYNYPTEDILNSITALLPDTLNAEELIKAIETSTNEDRISNSIKQLIKLGEIPDY -CIIDLKTYEKMVESDGLEIVSIEVPGRHECYPITEEYEIGHEKRKGFKYWKEAINELSNKTRASRAFYIS -EQPFSVIDKLSHYYPVSKYRSFFHNINSLYRDGYDLRKSNYEEYIKILAEHSGNAVSMRNNDNAMIREVL -SIVIIAAMKYSYSWGIESLIPMKSSNLKDKNSANKKISIYRKLGSLFLKLRTTDAISENTYQSLNNIESN -INKRNSMKMRNITESEKYSGDDEKYNEFRRMFATTTESFLDKINDGTIDLGPSIMLDTQTSIEDLLDGDR -VKVVSGALGVGGEINVSAIVNQNSLSGIFGGLSGESNFMDTMKSYMDNYDMDGNEEGMNWDDGFEYEEEY ->ALD89130.1 RNA-dependent RNA polymerase [Rhizoctonia solani negative-stranded virus 2] -MQSILTPRAFSAAISAMNTPNDGSLDREYITPILKEDFDGPFYDYRNDKIEPKTEIPSRLDTPLIPYPPS -IMEYLSTRDKELLNDWQTVHRVAITTLIEEYDITTKSHKIGVKPITTSIDDLKSFQRQVYTARGEATHKA -AYSVAADMAFYDLSLMIDRPVPGYTSNAPKCQSIDLLLIIQRLRGLMMKGKETIASSEFEPSLVKKENGV -WNFYCYNEYYNYDIFLYGEHFIINHSDVEYTFLGPKSYLDYLYTLADVENNITIVSAMKEYSDFEELIQL -LISSVIEYKPHNNLVTFYKNYEALCLYIADVKSGEVANWDPILDTINNMIEASNPFTDDKLDLEWALEEI -NVPTENYKGTNLFKRMMSAIFKLTPLQLLEASSLHKFVFFAEVDVRKGLNKFVMRTHTKVDVERDNLIEL -ISLTKREFILNYYKRHEAMPNLCYPEDKINIIANYLKSKKRSQLEEYPLTWWYELKFGKTLDYIDGGHPV -EFAKDKGAIIQDIKYGPMDNKRELLQVMSDPDYKTRGFLNKISTVPRTQEFIKTKIKNEARHFEFPVRLC -EKEKEQKVEARLFGVADAKFKHEMSSYMAKSKEVLSYFDENYMTMSDSMRKEDLHNMAQLLERDDTLAIM -IDITGHNQSMQPNNTEELLEFIGNIYGEDGWGKLSHLFNNLEIYHYNHYTNEVHISRGQHGGIEGWMNPI -WTLVTLQQVKLLRYTTPLIINKIAGYSDDVSFIITSLDQSDRFINNALKIVSRELGRLGFVVKPQQSAVS -KRRVTMLRTHYVCGMRADSTLKRLLSLSTANSDKISCEEFEINAISSSTSSAMEGSYHVKTATMLKWYKS -CLVSFRTFAMLFEERRVNSMLSPIKMPADIASILYNIDGTDINIFEGHRRDITKTLAIKVDRIKENSTMG -SEEEYFKMWLENIKRSSIEQIKSISIPDALLFLSTYHEFIPKIWFYFLAMPQSLGGLGVELCINQCLSGH -SDNLFRQLYYIHRIFNTVAGDSIYFNDCIKYALKHHNMTPKEEGEILDKFNEFDPDMEALFSNKVEGIDK -IQEVRLLTNKWLVNSKIRSALSKVQSSLFGRMKMLLKNKKLLDMLKAYDNRNKLAVGLTNIFRKNYSHRV -IQFYYENSYLSMAQYLLKKLETSSSLLNGVKNLEGLKTSVSIRARNNALEMFSCTGETFGNISDESDILT -YLINRRRMITPNINFVDIEEPLYDHLLALSTIDNSIMTIYPTTPKEYRNGTYGYKLGLKSSETLYKGEIS -EEDTILSAREEMLVCKLVSVTKWAVLKNNKDYYKGPENLEFDYIIACNWALSTFISENYYDLELYVPLNI -GGEILHRIPNQKFKTKVATRIMPNTIQNMKAMLDQKYIMDHKLQDSNINFEYLRYRTLLIYAMNYHYEST -LPTVDSYSLASFANIYDVQDYQPLLIDKSGSSSIIYPLFNLRDRINLEKLSIGTLAYLYSDNIHDAIVHR -YGDKDEGIMRKIRERNELVMIDYYNSLSKEMLIIDFGIDSILTWKPLMEKLRKMTTEYDNITDLEFYSII -IRVISTHLHEKSFSNYHHIHKSRYSGHIQNLRLSAGELSEEYKTLVGYIKLNRNKVSEDLARNTKSTVIE -SMAKHFALLSSDVFLDLALGYCLHLRKVGANVEIDCGATFSSVLEVCENAQMNLNLPESMRATIFYIGPS -RLVDILNKKRGSFISILRRIEDNTEDISTYEEKINLKYPSINLNIGELKIPETVMEVVYRNFEGGVTILN -DITILNKSLKAMKRISELYTNQAAFASPTGSDSLVGQYGYFKALLDYNIINPHTQIVNLAAGRGDGRIAC -IMNGLNSIDYSRPSLFSKIRLVEGVNDSIDFDLTEFNTLPHIEDNSLVHIDISHITGNLSGLEDTILNLI -SNNCSVSLRANSITNLSDHFIKSVSDLGLSVSLWHATSRKILPYQCYILFRKSTTKFTIESTKLDEISEY -KMMMNLWLGTISLHNLYNYPTEDIMNSIVALLPETLNAEELIKSVEKSVSEDVISNSIKQLIRIGEIDDY -CIIDDVTVSKIMAKSNLSILYLEETGRHENYSLSDTSEIGDERRKGFKYWKEAVDELISEKRKSMAFNIS -QQSFEIIKELSHYYPIAKYRSFFHNLIILYEKGFDMRKANYDEYVQILAEHSGNATSLRANDNNLIREVL -SVTIVAAMKYNYGWGIESLMAIKNSSTKDKNMSNKKLSIYRKMGTLFLKLRTQRAIDENTYQSLNKIEDA -MKKKGLIKLRKLQETSHVDGDEERYNEFRKLFAKTAESFLDKINDGSISLGPSLIMENETTLEHLMGGND -FKTVSGAVGIAGEINTSAIVEQNLASGIFGNLSGTGGFTDAMKALMDQYQDDNGGEDMNWDDGVEFEEEY -Y diff --git a/seq/clusters_seq/cluster_971 b/seq/clusters_seq/cluster_971 deleted file mode 100644 index 4e7d1fb..0000000 --- a/seq/clusters_seq/cluster_971 +++ /dev/null @@ -1,13 +0,0 @@ ->YP_009665157.1 PIPO, partial [Zucchini shoestring virus] -KNLRRELGRTMERIKFVGKMASKIVIIQVFKVYYTIFGARKVERFQRNIRLLTKIICERR - ->YP_006460569.1 PIPO, partial [Algerian watermelon mosaic virus] -NLRRELTRTMARIKLVSKVASKIVLVRSYEKYCQIFDSERAERFRHNIRLFAKMLCERR - ->YP_006393463.1 PIPO, partial [Papaya ringspot virus] -NLRHSFAGRMACVKFVSKVALKVLCVQITTVFFRVFKAKRARRFKNCIRLLTEILCTRGRKSVPTASQGW -G - ->YP_003587806.1 PIPO, partial [Turnip mosaic virus] -KKLSTNLGRSMERVKLVGALCYKILLVKASNLYTERFANEKRSRFRRQIQRVSHVILRTE - diff --git a/seq/clusters_seq/cluster_972 b/seq/clusters_seq/cluster_972 deleted file mode 100644 index 8b3c91b..0000000 --- a/seq/clusters_seq/cluster_972 +++ /dev/null @@ -1,49 +0,0 @@ ->YP_009618381.1 RNA-dependent RNA polymerase [Pseudomonas phage phiYY] -MAWGRELGYGDEAPVFDGLDAVVDPLFRSAGKTGSARRNRGAQFSEGSIETMKGRESWSEENLRINDSLS -RKLTADIPSSTDEYGRVGENGIRGPFWGLRYIPGLPMIPATYPLADNTKKRADAGLAAGPINEKHELIFR -ATVRLFFSGLENQGLRIAKDTSTGSPQFLKTMLAKMIIARDALQHAEAAGKLFLQGKYQDAFLLYDYGGC -YYVVYREQMSDGMTVDEAGVFTPKQRMVADRDYAVSGGKKGKLFPSNRDPSRLREQGFFVPDGFFCTRRR -TAMACPFTINAAIMTVAQAVRARIYDEYAFALHHTTRVNKQEKVNGWDFAIPTDVSDHDTYWPGWLLDLI -CDELLQIGYADWWVEILRTAMRLPVYVSAPSPDEGRVLIGDWRDPRMNVGLPSGIGITDIMGSLLMVPCY -TILQLDHTAEHLWDGIRDLSSACAFMDSYLKGKEEIAQMSKSDDALIGWKRGPSAIKGRALLAKMQEGAK -DLSPYMIISYEHGGAFLGDILMFDHTKEFSAARFVGNALSYVVNMFCPEYGVDSKQPNREKRARAFPGLA -VEAAPLVFGSAPEFDHINEIIEEVHYDHTGESFAAFRREILREDKQALTDWIRDRSSYKTLGELSPMDYE -VLAEPSKLTWKFDPLIINPAVIEMVSTGLDKLETAPFFNSVMRDVK - ->YP_009618368.1 hypothetical protein [Pseudomonas phage phiNN] -MPRRAPAFPLSDIKTQTLFADNIKAQQASTRSFKEGAIETYEGLLSVDPRFLSFKNELSRHLTDHFPANV -DEYGRVFGNGVRTNFFGMRHMNGFPMIPATWPLASNLKKRSDAGLADGPVSSRDNLLFRAAVQLMFSDLE -PVPLKIRKGSSTCIPYFSNDMGTKIEIAERSLEKAEEAGNLMLQGKFDDAFQLHQMGGAYYVVYRAQSTD -AITLDPKTGRFISKDRMVADFEYAVTGGESGSLFAASKDASRLKDQYGIDVPDGFFCERRRTAMGGPFAL -NAPIMAVAQPVRNKIYSKYAYTFHHTTRLNKEAKVKEWSLCVATDVSDHDTFWPGWLRDLICDELLNMGY -APWWVKLFETSLKLPVYVGAPAPDQGHTLLGDPSQPDLEVGLSSGQGATDLMGTLLMSVTYLIMQLDHTA -PHLDSRIKDMPSACRFLDSYWQGLEEIRQISKSDDAMLGWTKGRALVGGHKLFEMLKEGKVNPSPYMKIS -YEHGGAFLGDILLYDSRREPGSAIFIGNINSMLNNQFSPEYGVQSGVRDRSKRKRPFPGLAWASMKDTYG -ACPIYSDVLEAIERCWWNAFGESYRAYREDMLKRDTLELSRYVAAMARQAGLAELTAIDLEVLADPNKLQ -YKWTEADVSSHIHEVLMHGVSVEKTERFLRSVMPR - ->NP_690817.1 RNA-dependent RNA polymerase [Pseudomonas phage phi13] -MTSRFGEDEQDAHHSEHVDLDVELGHTVSARSTGKPRAPWKRKLGYEGGAPVFDGSDAHVDALFRANNNN -SAAKRNREAPFREGPIETMRDRMSWDESNLRVMDRLSTKLTDRFPASTDKLGRVGPNAIRANFLGLRHVP -GLPMIPATFPLTDNTKKRNDAGLADGPINKQHELIFRATIRLFFSSLENQGLKIARGSSTGCPDFQKTMS -AKVMTATRALANAEAAGKLYLKGAYREAFLLYDFGGCYYVVYREQMSDAVTVDEAGDFVAKVRQVATEEY -AVSGGHKGKMIEASKDPSRLRDAGYYVPEGFFATRRRTAMACPFTSNAPIMVIAQSVRARVYHEYAYTLH -HTTRSQKQEKVSDWNFAIATDVSDHDTFWPGWLLDLICDELAEMGFADWWIEILRTTMRLPVYVSAPGPD -IGHVLFGDWENPQMNVGLPSGIGITDLMGSLLMVPCYTIMQLDHTAPHLWASVRDLPSACTWMDSYLRGN -EEILQMSKSDDALLGWKRGPSSAAARKLLDKMQEGDKTLSPYMIISYEHGGAFLGDILTYDHTGDLADAR -FTGNVISYVVNMFCPEYSVDSKQPSREKRARPFAGLAVEAAPTVFGSAPHFDDINDVIEEVHHDMLGYSY -RAFRQDILAEDKAALADWIRRRSSFESLGALSPIDHEVLADPSKMWWKFDILEINPAVVELVSSGLERSL -TESFFNSVTRDVR - ->NP_620346.1 hypothetical protein phi-6L_2 [Pseudomonas phage phi6] -MPRRAPAFPLSDIKAQMLFANNIKAQQASKRSFKEGAIETYEGLLSVDPRFLSFKNELSRYLTDHFPANV -DEYGRVYGNGVRTNFFGMRHMNGFPMIPATWPLASNLKKRADADLADGPVSERDNLLFRAAVRLMFSDLE -PVPLKIRKGSSTCIPYFSNDMGTKIEIAERALEKAEEAGNLMLQGKFDDAYQLHQMGGAYYVVYRAQSTD -AITLDPKTGKFVSKDRMVADFEYAVTGGEQGSLFAASKDASRLKEQYGIDVPDGFFCERRRTAMGGPFAL -NAPIMAVAQPVRNKIYSKYAYTFHHTTRLNKEEKVKEWSLCVATDVSDHDTFWPGWLRDLICDELLNMGY -APWWVKLFETSLKLPVYVGAPAPEQGHTLLGDPSNPDLEVGLSSGQGATDLMGTLLMSITYLVMQLDHTA -PHLNSRIKDMPSACRFLDSYWQGHEEIRQISKSDDAILGWTKGRALVGGHRLFEMLKEGKVNPSPYMKIS -YEHGGAFLGDILLYDSRREPGSAIFVGNINSMLNNQFSPEYGVQSGVRDRSKRKRPFPGLAWASMKDTYG -ACPIYSDVLEAIERCWWNAFGESYRAYREDMLKRDTLELSRYVASMARQAGLAELTPIDLEVLADPNKLQ -YKWTEADVSANIHEVLMHGVSVEKTERFLRSVMPR - diff --git a/seq/clusters_seq/cluster_973 b/seq/clusters_seq/cluster_973 deleted file mode 100644 index e0ae04f..0000000 --- a/seq/clusters_seq/cluster_973 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009618380.1 putative procapsid protein [Pseudomonas phage phiYY] -MFMPIKLIPPFTTTKPAVLDLIDRAGAAFVEVDKLYKLWPYTDKGGITIAAVPPSELAVLQRFIESLLPE -LPSVVTGVPGCFYSQEWQMLTAHLPSSPFSELETQLVKSGYLSHTTGQAEERGTHAMTKPALASFSIPKT -GNAADPAPGAEEEEEEGDA - ->YP_009618367.1 hypothetical protein [Pseudomonas phage phiNN] -MTLYLVPPLDSADKELPALASKAGVELLEVEFLHELWPHLSGGQIVIAAMSANNLAILNRYMSTLLKELP -VAVMAVPGASYRSDWNMIAHALPSEDWITLSSKMLKSGLLANDTVQGQKRSGAEPLAPNTYTAALSRLGI -GTPDAKVDEPEQPFDVDEVTA - ->NP_690816.1 P7 procapsid protein [Pseudomonas phage phi13] -MLKLIPPFTDSKPAVLDTIDRSGATFVEVDKLYKLWPHVATGAIVIASITTEELALLQRFMSSLLQDVPT -VITAVPGCFYSSEWNMLAAHLHSVPYSDLESQLLKSGFLSHTPAQAEARGAQIVGAAPATGRGFLSRTPA -APAEDDDDAPITGAEV - ->NP_620345.1 hypothetical protein phi-6L_1 [Pseudomonas phage phi6] -MTLYLVPPLDSADKELPALASKAGVTLLEIEFLHELWPHLSGGQIVIAALNANNLAILNRHMSTLLVELP -VAVMAVPGASYRSDWNMIAHALPSEDWITLSNKMLKSGLLANDTVQGEKRSGAEPLSPNVYTDALSRLGI -ATAHAIPVEPEQPFDVDEVSA - diff --git a/seq/clusters_seq/cluster_974 b/seq/clusters_seq/cluster_974 deleted file mode 100644 index fdb40a3..0000000 --- a/seq/clusters_seq/cluster_974 +++ /dev/null @@ -1,79 +0,0 @@ ->YP_009505328.1 glycoprotein precursor [Badu phasivirus] -MNVSMFIVSFSICVSLQLANGAREINIKINDLDKLEASLANQGASDVLIRTIYAAANCDLRRNQILDKKL -DRLLSPMDGLHQKVNEVLNKINNNKAEIKTAMPIDTTQIIINMGADQRQLNEEFEEFDANSVDQRSVVSP -LQNMPSYAPLVTRELHVTPDLCRTVESMANLSRLAASKRADILSIAKNVYDYKGGNSISDFARFYVRYVG -ENYDMQNQVMGLAKLLGDYASTTSEHFILDNTMTEYKEPCGHMRASLRKKRNVLPERLSGGKCMKEIGDP -VNRHHNSSCFSKIPHSRNLMLNLFVTINKKCKDVQSLEHGAAEREDSETIIAAGLEEGKPCVTLRFCNDA -DTVLTSEKVCKKSVKTPICTSNVDNLRMSLISGRFTSEDLPTDLRLMNKHYCSINGHVYDATGSCSRPIK -TMQRFTLFLLDKEWRASDKDILIAHGQDVTNLCYYDCTGCKNNCTRCKGDETYISNFGSWPSATCSCSYC -HDCSELFVQVEDSRFGVDATLSVEWPVTIPVEEEKEIECSSCKATCSSFKIKIERDMSFDILHFCVENNC -RVIIENKPDFVYNVPEEFKHSTNFIIHYFRSDGKGKRIVKVTCSDSHTCESLTCDVCLTRFANPHCYKFV -NWVVLITGVSALILTIPLLFTLYTLAKLLLLLLLIPSKAIWRCFKLLTKLMLRVTNKKVKETTIKMNNIL -KEEELKEVKSVKPYRAVDLLFLVAIFSVPVCLGCENSITLTSKSNDCVTNSNNVMKCVISSVTDIPLSSL -GEESCLRLTDPLGHFSQLVKIKTKGLRQKCSRNILYHTVEPEFKLHNTFRCRNAGDCVNDVCERVKVSDT -VPVDSVDEKKAGIGGCLRVTGFWGKGCFYPSQACQFYKIELDNSKRLSYEIFDCKEWFWEILVEITTEDH -QVQTSEVKELISGVPVKTSLGFVQLLTVVAPVIKDLNGCFARRQSGITKTSLITCSTQSKFESGKVGGIQ -CATASLANSASRSCIIDSTQAQIVPQDDNLVFVNNFANITEDWSRNILPTNFTSSVISEDKEGTVFIQYS -GKAAYTLRIKLKDYKVGHLVNKPKCEAHFRHLTGCSNCGSGATVIIEVILAPASKTPGTLYCPSAVSPSS -ALLNSAKPKSAFKVAFSRPEVNEECLFECGGANMTVQVEGRLLQVVNLIESEEKKSLGKYYDYFASLPWF -HFGALRYFYLFIGTLVITPLLVLIYRAIKVGIWSFCKKGRKMHSYIKSQ - ->YP_009505331.1 glycoprotein [Phasi Charoen-like phasivirus] -MAISIRVSLVLMLMMNGVKAERREVTVSISDLSALQNDLLSNGMNSRAVEMIYAAASCNLRRHEDLDSKI -SRLMDPIGQIHASMNGLLNEMLRRNENLSEKEGTLDLVFRHRLITDTETIPKKTIVKSEADHKVYPAITN -HDEAEYLAMGYREETIDPELCKTYAVVKDLITQSKTRRHEALKLAHSHYGFTGPHTISGLAKFYFDYVAN -NYDERIKVMGLAKILGEFTTLVSDAYFLEDAFKAHKEKCGLNLEHQRKKRNVMQSMISAGACTRNFGPGV -NGPLAEVKFMSKVPFRSTLKSGSLTALGVCSDAQTAWTGVVEKSHYRNAISASYKSGVEACVRVAVCPSN -HSFNGVDCDNTKPVGEFSNIEDLELSVIRNRVPLNELPDELKELSKTYCSIQGKTVTNGKQCDKPVRSTE -RFTMYSIGGKWLFTDKDVLVAHGQDVTNLCFFNCDGGCPRDCHQCKGDEAYESVFGKWPNANCSCRYCED -CSDLYMQIGSAKVGIDAVAHANWDVTIPVREEESVQCSGCKVSCRGRSLRIERDIKFDIIHLCVHDNCRL -INEQKIDFDYVLPSEFLHVTDFRVMFFRSDGKGKTVLSVSCYDSHTCLALHCDICLPRFANPHCYKAVNW -VILVLAISSLILVIPLACIIYRIAKLALYVLLSPAKLAYKVTRALCKKCTRKSRSMVNTTSERLTQFAEE -QDEIFRVRTMNKPFSVSLVVILILIPCALTCENVITVDSKVLSCLPSTAGEIKCAVNTIIEVPLSSLGEE -SCINVNDQSGNVVHIIKLRTSAIRQKCSKSVLYYTNDADFKLLNVFRCRNAGECEDDNCEKIKTEGPVPV -PSANKDKAGFHGCTRVTGFWGKGCFYASQACQFYKIELQNTERKSYEVSRCSEWFWEVKVNITVSSKDGV -RNETLILDNTLPTKTIIGQIQVLSVNTPVITITDKCFLRKLSGSMLTAIVDCSNRNHPIIGKIGGVQCAT -PTLAERASKSCLIDYNSIHVVAQDDNVVFVNRFSNASEEWKNNLLPSNLTMSVVSEDASGVIYLHHTGQA -SYNVRIRMEDYKISYTVVRATCEAHFRKLRGCANCGTGATLEVEVILTNSVRSPVTVKCPSALNTGSELV -TSANPLTKFKLSFPVSEIEEKCVISCAGNVVEIDVRGELINNIKIQNQNQTNLLGRGSDFFASIPWGNFG -FLRYFYLVIGVLLVIPVVYILYIGLIKCVTRVVYSRKFSRQRKGKKY - ->YP_009329895.1 putative glycoprotein [Hubei diptera virus 3] -MKKIVNLLSFCDIMLSLNIIIISLILGVQAEETTADTTYVNAVMYFSIQNTTINIPVSLHMDDLARKFTT -MVDSGSFHSLSEVRQNFNELTKLPVINENSGSNVNVKKTEFIYGAPPTTRRPYTTARPTSSSTSVQILST -TTFRPFIRSNYSQKGHESQKQITDCRLCTTCVQNHLKDSEETNEVETFRAKRCALPFDLLNKTIECKEAK -YLILEETHSRNRRDVQEITERSEDLRTSHYSGTCEDNSDIQIAKYIGKNCNRISELQIAKFGLCYKELKC -PEGKVIQENFCSPKDKVEFFEEICSDNEYHIAMSTSHYSEDVAFDDTSICAIEGFNIKPCLEGAIKEFRK -LSIIKLNDVYQVVTKPHFIQFDPDLSSIANYHCKACKRENCLKCDGDSVYKRMISTDKSGPCYCEYVGKT -IGKLTLFMEGIKIPIEEIRSDVYQIRIQSQEPSYKKPEPTHCKDCAASCQDLTVELSLVDDKVKSLKFCT -RISCFMSEYKSHVKLPASMLLIESTVDIFLFDSIGNLLYTKSVQCQIKDQCDLIDCKLCMRNLLNVDCYD -NLDKIYLLLAVVLLTLFLTILAKIMVIIIRVFVIFKWIWLTISHGYKLSKKIFYCLRGYRIENVKRVRTK -KVEFDDETIELITEEVKETPVRKSPSQRRDDYLRSIKLENYVVIIALLVLGLGFAQSCTVLSTNTLQETS -CIHKEDEKMMCRTDEKVSLLLPGFGGDSCLLLKSAAGTIVGSLKMKIMDIKARCIQNHLYYTYKPILKKM -TSCHCWPSSLCADANCFSYNGTQSYPGLLLKPTNLPNRSICRKIDSDWFGSCVISTTSCCYSQLTMNIDE -SNVYRLQKCSSFYWEVDVEVKMFSDNKNIHQNLSIQAGTKLSNKLGEFILTSVSEPMIGDKTKCIMTNLR -DKSDSLVDCSDKDEFIKGRIGEVRCSSLPKIKPGSCSLASDLVRDEIQGFNLVMEAELIDLEKIHKSNSI -PVNKLAYEFNKDSKGYYFNNPRYSMFRVQVGLKDFQVSSKILEVDCTFSFVELKGCHSCPMGAQVTLKTS -CSEYPNLAFLKCPYGPSTPFVISVSGKLNVRFASNISKIDETCEITVNKYSHNIKVRGSLLTDMEDLDIN -IKDDVSSTKVTFGYVNDKFFTNILAFFSGIFGHWRYWVVIFIVLGVVIGLSILKYFMAGSTITRVKQV - ->YP_009305141.1 glycoprotein precursor [Wutai mosquito phasivirus] -MNVTLFVVSFSLCFSIIEINGARKLNIQINDLDRLETSLREQGASETLVRTIYAAANCDLKRNKILDEKI -DKILSPMENLHQKVNEALNSLIKKSSSMTEPLDTVNIMINVGSDLEHDAAEAEVFSNRAVTSRGSQMNNV -ALSSYAPMNTRELTVSAEICKTVKSMEALSQMANVKRADLLSIAGKVYGYKGGNSISELARFYIDYVSDN -YDLQNQVMGLAKLLGDYASTTSEHFMLDNSIMSHKEPCGFLESNGRKKRNVQASKLTGGKCIRDIGDQVS -KFSDTICFSQNPHLKSINYDLFSEASGSCANPTAFENGVFEKESSIVVIAVGMENGKPCLNWDSCEKGHV -ITDGKKCVEKANGTCSQNVDNLRISIISGRFGASDIPDDLKLISKHYCSISGHVFDSSNSCSKPVKYSQK -FTLFLLEKTWYMTDKEVLIAHGQDVTNLCFYDCTGCSNDCTKCKGDETYINNFGNWPTNTCKCSYCHDCS -ELFIQVEDSKFGVDATFTVDWVVGIPVTEEKEIECTSCKATCSGFTIKIERDMKFDILHLCVEKNCEIIS -QSQPDFSYTIPNKFMHSASFIVHFFRSDGKGKKVLNLACSNSHTCEAISCDVCIARFANPHCYKFVNWVI -LITGVSGLVLTVPLLFSLYSLAKLLLSIILIPARGVYKIGKLLLRICYKKTNNKVKETTKRVTSLLQDEG -LSEVKVVKSKSRAATMLFIIALTMLPLSLCCENSVTLMSKSEDCLTTASGKMKCSVSSVTDMPLSSLGEE -SCLRITDPMGQLSQLVKVKTKSLRQKCSKNILYYTTEPEFRLHNTFRCRNAGNCVNDACEKVKTTDSLPV -DSADEKKAGVSGCLRVTGFWGKGCFYMDQACQFYKVELDNSKRISYEIFDCKEWFWEIMVDISIESHSIN -ETESKELLASVPVKTSLGSAQLITVVSPVTTSLNSCFARREVAAGKIAMISCSPQSKFLGRTTGSIQCAT -SSLASAASKSCLIDSNQMQIIPQDDNLVLVNNFVNITEDWMRGILPTNFTNSVVSEDGAGSVLIQYTGRA -AYTLRLKIKDYKVEHVISRPKCEAHFRKLTGCSNCGSGSEAIIEVITSPPSKTPGTLSCPSAVASSSALI -NSANPKTRFKMAFRQPEVNEICYLECGVSNLTLQVEGRLLQVVDLQEPEAKRTLGKYYDYFASLPWSYFG -ALRYFYLFIGTLIVVPLLVMVYKAIKSLINFMFKRKRVRVSHPKKV - diff --git a/seq/clusters_seq/cluster_975 b/seq/clusters_seq/cluster_975 deleted file mode 100644 index ce728ea..0000000 --- a/seq/clusters_seq/cluster_975 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_740265.2 non-structural protein 4A [Modoc virus] -SSVWLSLPGALYNQFTEAMDTIYVYYTANPSSKGFRMAQESMPTALLTVMQALIIGTGLIMFLGWMCSSR -KVDRMMLGTLLIVGCSVTAWCGGVPLPLVSAMALVTFILLLCLVPEEGQQR - ->NP_776077.1 non-structural protein NS4a [Rio Bravo virus] -SGVLLGLPRLMYQKVVESIDMVHTYYTADPNSRNFKLAEKELPDAFLCILQSLLMIVGVFIILMWILSRT -KVDRIWIGTLVIGMSGLTAWYGGVPLPVISGGALVCFVLLICLVPEEGMQR - ->NP_775685.1 non-structural protein NS4a [Apoi virus] -SGMTILQLLYEGLQHDLDVVYTYMRANKESRAWKMANEDLPEALVGLGQSVLSIVGVIFLVWLLLRQSKV -DRVTLGALLLTAGSAVLWMGGAAPCVVGGSLICGFVLLVALSPEEGMQR - ->NP_775650.1 non-structural protein NS4a, partial [Montana myotis leukoencephalitis virus] -SSVLTAIPGLLYSRFLSAFDTIYIYSTSDPSSRAFKMAERELPEAILCVLQGFLMCVGMLALIVWLVTRT -KVDRMCIGFCVIVMSGIMAWIGGAPLSLVAALVLISFILLVCLIPEQGMQR - diff --git a/seq/clusters_seq/cluster_976 b/seq/clusters_seq/cluster_976 deleted file mode 100644 index 87d8c2c..0000000 --- a/seq/clusters_seq/cluster_976 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009268619.1 membrane glycoprotein M [Hanko virus] -QKVDDKSPLTRFKEDLSTLETEAFQMLKRHAFSTILALITLAIVLKWPIWVVVLLGFLAWNVVKG - ->YP_009259263.1 membrane glycoprotein M [Parramatta River virus] -AEEKGKTMLGQFKEDLSTLETEAFLLFKKHAFSTILVLLVLAIVMKWPVWVVVILGILAWNVVKG - ->YP_003084124.1 membrane glycoprotein precursor M [Aedes flavivirus] -SSQPRPASHTGLIEEVELLTFKFMREHMPIFVVCLLVVSVARKWPMWSVVAISVLTWNVVRA - ->NP_937772.1 membrane protein [Kamiti River virus] -PQPQVSNQVGLLEEVEMIGFRFFRENVPVIVVCLLVAAVAMRWPMWSVVLISVLTWNVVKA - diff --git a/seq/clusters_seq/cluster_977 b/seq/clusters_seq/cluster_977 deleted file mode 100644 index 74ee03b..0000000 --- a/seq/clusters_seq/cluster_977 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009268618.1 protein pr [Hanko virus] -LSIELALDENGDVEMWADNQNITNYAHLTKVPADVCIVGMVVTKHCPKVEKMTDLSDIDCGSTWVEFILT -YTRCMILERETR - ->YP_009259322.1 protein pr [Aedes flavivirus] -ETLRYGTDGNGKLTLETEDGTAIDSINYKLPADACLVGLPIEKHCPLVSKLADLDNIDCGSTTEEFRLKY -NRCQVTPRKRR - ->YP_009259262.1 protein pr [Parramatta River virus] -VTIQVVVTTDARIELWSDRKNFTAHAHLVKVPTDVCNDGVFVTKHCPKVEKLSDLGEIDCGSSWSEFTLT -YTRCVTLERASR - ->YP_009268602.1 protein pr [Nakiwogo virus] -LNVVDFKMDFTGKTEIFIGEKNITDQLSKFKLPSDACPSGTMVSKVCHKIPKDIVDGLRLTDCGSTWMEF -RLKYSRCVQKNRKQR - diff --git a/seq/clusters_seq/cluster_978 b/seq/clusters_seq/cluster_978 deleted file mode 100644 index b610032..0000000 --- a/seq/clusters_seq/cluster_978 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_776075.1 non-structural protein NS2b [Rio Bravo virus] -ATDYTAILGIVMAIGAAICKNGLESSGWMIGIMGIFLVFFLLQLSKGEMTAEWAGYCEWKKDCDKSVGSL -SLEVKRMSDGRLVNLSKDKESMTEVIMVSVGMIVTGFHWIGIPLTIAMLGIKKCYDATQR - ->NP_775683.1 non-structural protein NS2b [Apoi virus] -SVDPIAIVVTLMALGAAVAKDGTAVQPLTLGLFSAVILFLLLQLTGGPLIAEWAGYADWKSNALRYSGHL -DLEVKKLSDGRLVNTAKENTTTTDGLILGAGMLASGFSWVAVPVTFAILALRQWNRSIQK - ->NP_775648.1 non-structural protein NS2b, partial [Montana myotis leukoencephalitis virus] -ATDYMSILGVVMALGAAVCRNGINTDPWIIGVMALVLLFFLFQVSSGEMVAEWAGYHEWKKDCPKSVGSI -SLEVKRMADGRLINMSKEKDSLWEMGIVGCGMVVTAMHWIGIPLTIVALAIKSGLDGKRR - ->NP_740263.1 non-structural protein 2B [Modoc virus] -GVDYVAILGILMGVGASVARNGLESELWVMGIMALVLLVFVLQLTTGELLAEWDSHYSWKQNCDQASGSV -DLSVKRLPDGRLVNITEKEESRMETLILGVGMIATEFHWVGLPLTVLVLGLRRWIVNGKEQR - diff --git a/seq/clusters_seq/cluster_979 b/seq/clusters_seq/cluster_979 deleted file mode 100644 index 40f12fa..0000000 --- a/seq/clusters_seq/cluster_979 +++ /dev/null @@ -1,16 +0,0 @@ ->NP_776069.1 anchored core protein C [Rio Bravo virus] -MVGKLKNAKNKGRTRLRRPPKGKAMGMGLILGIMNYASHIALGMKVNSRLTSFWKMTPPGKLAKGITTLM -NILRTLLNGILGRKKQRRGGTESLFPIMFLTG - ->NP_775675.1 anchored capsid protein [Modoc virus] -MCENNRKKNPGKGSKKKKNAGRNGKEVPGLALVMGVIHYASHIALGMKVNSRLKRFWKMTPASKLAKTIT -TLINILRNLLSFTLKRKTKQRSAGWTMGVIFIWMMGTILS - ->NP_775677.1 anchored core protein C [Apoi virus] -MAGNLKKKSAKPKAQRRPPQGRGGQVKQKPGAAIVGVMHYVTHQALGMKLSGRLKKFWRNTPPGRLLKAA -MVILNILKNLVMGLLAKKKGGRRGGKSVPFFLMLVPIALS - ->NP_775643.1 anchored core protein C, partial [Montana myotis leukoencephalitis virus] -MTKGKMKNANKKKAKNSKTNPGRSGRSNGMDLVMGIMHFATHIAMGMKVNKRLKTFWKVTPPGRLAKGIT -RLINILKTLLNAVLGRKKQRSAKTVWVLFSMLA - diff --git a/seq/clusters_seq/cluster_98 b/seq/clusters_seq/cluster_98 deleted file mode 100644 index e2e336a..0000000 --- a/seq/clusters_seq/cluster_98 +++ /dev/null @@ -1,1385 +0,0 @@ ->YP_010085071.1 RNA-dependent RNA polymerase [Tapirape virus] -MDQNSIDQFIRRIQTAPNAEVALIIDIDLFEARHDYFGKELCNSLGIVYRNDVPGEEIITESIPEIDPDS -IRAKCTPDNYVIRDGITFIIDYKVIVNDEVLINTFDKYTSIFEPYFKDYNRQFEVVIIACNPYTGMIKHT -GVLFDNYFHIDGSDINFDWFFMLRDMLCERFKDDEVFIANAGPGGEFSMTSPWFDQMPNLMQDHEYRAFL -GTLPPRERELFIKAMDYDPFKADKWSDFLVQAKETYKKEYDEYVKETARDIFSISKILEKPSHEEIRAGW -KEMYDRVQTERTLLKDPAKQKPSIHCIWSPPDEKRSNDQVSKLLLFSQKMRKIKSGEQFAEVFRKIGENF -DISSDIEQYVTFCTNVKNESRLMEKERKSKKIQPVFIGTSKVLWEQQFKMDLDPIDKKRQAMFKKDYLGI -GKGKRFALRTAEDVEKDKPKILDFEDDTIIMAADEMMENTKGLLSRRNGLSKSGCFLDEYKPQISSASKE -TWQTISSITSTHYWSMVNDYSILMKNILASSQYNKHNTFRVCFCANNSLMAIIFPSSDIKTKQATTCFVT -IALHNERDSVMNPGCMYKTYETAGGFVSISRPIRLDKERCQRIVTAPGLFLESCLLMYNNNPTVKLKDVL -NFCLFTSLSITKPLLTLTEPSRYMIMDSLALTSSVKGYIGEKFNPLTKTLFSVYMARLIKTGCMDAFNQR -QVIQPRRVALDDYDITQKGVEETRSLKSIWFPGSVSLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKTVL -EIESEQRREAYFPWSESPKPQSVNLPILIYGLSRQLHLDTQRKAHLRSKIENRNNFKRKIYTISTLTSSK -SCIETGDFSKEKSIIKERNHNVANPIFEDEYEENVKVEKSTYLDLKQKIPNYIDIRSTKVFDILYKKTKE -QELGDREAISYMMESMMTKEDYVFSFFNKGQKTAKDREIFVGEYEAKMSLYVIERIMKELCKSSPEEMIS -EPGDGKLKVLESMANQEIRDLIATSKRNQIESKTERDDLGKPSGSTPRDEFKKRPLKIDINADMSKWSAQ -DVTYKYFWLIALNPILYPEEKACIVKFFCRYMNKKLILPDAMLNSVFDQFKLYENDIIRNMTNDFSQNWV -QIRNNWFQGNLNYTSSYIHTVSMSTYKDIVKHAMALLEGNATITSLVHSDDNHTSIIANQGKLDDDSIIR -FCYDAFITVCLSHGNQVNKKKTYVTNGLKEFVSLFNIFGEPFSVYGRFLLTSVGDCAYLGPYEDLSSRIS -SVQTAIKHGCPPSYAWVAIAMSQWLSYSTYNMLPGQYNDPCEALLISDRFQIPVELGGLISCPLHVLVLL -GLDSINVYNLYKILKSIVDVPIRGHRIEDLMLSSQNWDLSKLKDSQVFQLKVLRYITLGVEIDSAQKMGE -TSDMRNRSVLTPRKFTTRRSLIRLESYNDYRLMLQHQVDYDLNLQYMLDHPELLVTKGECSEDYMNTILF -RYNSKRFKESLSIQSPSQLFIEQILFSKKPTIDYTRISDKFTPTAERDDEQIMGKKTIKESMESIRRDLE -LYTIQVQDVETVFNCVVVNDPLAVTAINTEILHILTEKKPRNGLTCNTMPEFRNIKLINYSPAVVIRTYV -RPEFCPSNADPRLLERDVWFLTEFIKETGIKERAEESIRLNEAAKGVRDMVFEIQEWTRFYQSCYSYIKA -TEHKVKMFIIPNRATTATQFCQAIIGNTRKDDSYFGCYFQKNAIGYNQKGLISKTFDMTTYTADECFRLL -CHFTDQVVQQEHRLFFINKIINEYKFRGNPVRHLLDRLLSSQRRTLFLPLLLRLDELKEYDLLQFNAEMG -QKNVAWNNWQINRALNTGPVDLTLSTSSARLRILGQDSKLEYARLTMQRVTNTNIRSTGRSLLGARHGLP -FELFESCEIEDRSWYICSQKRHAKRIFYDVKRGFEIRNDNASMLLDGRRSGQIVAHCELEICEDATNTSL -DFESVVELNKYELSFSRMIIAENTYATVRRVDLSKMQDFEGPMIISNRLNIYKLMRSNTLLSCNYDNVIS -SSLIELADVIECSGTDTEFSFDFLNDEPMEADEFESIDASPELSIKYGKKGQRYMTLQNAFYEIIATKSI -LFKSTLTFAGGEFFSRENMAIWTNLIGLNNVLNIGGEFDQLIRVAHLIFAQSGHDAVFHLSEISPEFYSG -RQINYKHLNILINTLKCSGDNVWSFLFNKASIALGHELRKRDLDESIDVESLIRRIGQRMPNMSEFNFQD - ->YP_010086208.1 RNA-dependent RNA polymerase [Caimito virus] -MDQASIDQYVRRIQTAPNAEIALMIDTDLFEARHDYFGKELCTALGIAYRNDVPGDEIIMASIPEINPDS -IRIKCTPDNYVIRDGVTFIIDYKVIVNDETMTNTYEKYTSMFEPYFSEFGRQFEVVVIACNPYTYVLKHT -GVLFENFFEIQNLDLNFDWFFMLKDMLYERFKDDETFIANSGPGEFSMTAPWYNLKPELYNDNEYRNFLS -TLPYRERELFEHAMDYDPFKADKWSDFLIQTKDKYKDEYNRYIKECARDIFSIGKSLEKPSHDEINQGWS -QMYERVKEERTMISDPTKQKPSIHCLWSMPINKNPNDQISKITFFSKKLQRIRGNDQFSEVFRRIGENFD -ISEDTERYEKFCTLVKNESRLEERQRESKKVEPINIGTSKVLWEQQFKLDLDPIEKRKQSIFKKDFMGIG -KGKRFAIRTEKDVDTDKPKMLDFEDQNVVLAAQEMMENTKGLLSRRNMRAKVGCFLDEYKQYIENCSKDT -WQTVDRIASTNYWSMVNDYSILMRNILSSSQYNKHNTFRVCFCANNSIMAIVLPSSDIKTKQATTCFITL -AFHQDRDDIFNPGCIYKTFETTGGFLTISRPVRLDKERCQRVVTAPGLFLESCILMYNNNPTIKLKDVMN -FCLFTSLSITKPLLTLTEPSRYMIMNSLAVTSSVKGYIGEKFNPMTKTLFSVYMAKLIKSGCSDAFNQKD -LIQPRRVALDDYDITQKGVEDVRNFKSIWFPGKVSLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKTVLE -IESDQRRDDLFPWSDIPKPQSVNLPVLIYGTARQLHLDTQRKAHLRMKIENRNNFKRKMFTISTLTSSKS -CIERGDFKAEKSHGLKRNHNIANPLFEEEFEENIKVEKSNYLDLISKIPNYIDIRSTKVFDVLYKKSRDS -EIGDDEAITYMMESMMNKEEYVFSFFNKGQKTAKDREIFVGEYEAKMSLYVIERIMKELCKSNPEEMISE -PGDGKLKVLETMANQEIRSIISISKRNKGDGNVENKRPLKIDINADMSKWSAQDVTYKYFWLIALNPILY -PEEKSCIIKFLCRYMNKKLILPDSMISSIFDQFKYYEHDIIRDMTNDYRQNWVQIRNNWFQGNLNYTSSY -IHTVSMSAYKDIIKTGLELLEGDAIVSSLVHSDDNHTSIIVNQGKLENDDIVRFCYDSFVRVCLTHGNQV -NKKKTYVTNGLKEFVSLFNIFGEPFSVYGRFLLTSVGDCAYLGPYEDLSSRISSVQTAIKHGCPPSYAWV -AVAMSQWLSYSTYNMLPGQYNDPCEALMIEDRFSIPIELGGLIGCPLHILVLLGLDSINVYNLYKIVKSI -SPIGLRGNRIEELMLSSDGWILTNITREQEMQLKVLRYITLGVEIDSASKMGETSDMRNRSVLTPRKFTT -RRSLIRLESYKDYSKILQSQQDYDANLQYMLNHPELLVTKGECSEDYNNTVLFRYNSKRFKESLSIQNPS -QLFIEQVLFSKKPTIDYTRISDKFTPGLERDDGQIIGKKTIKQALEAIRSDLNLYTLSVKDIETVFHCIV -VNDPLAVTAMNTEILHVLTEKKPRNGLTCSTMPEFRNIKLINYSPAVVIRALVKPGFCPPTADPQLLERD -IWFLSEFIKETGIKERAEEHIRLNELAKGSKDMSFEIQEWTRFYQSCYSYIKATEHKVKMFIIPNKAVTA -TQFCQAIIGNLRKDDSYFGCYFQKNAIGYNQKGAISKTFDLITYTADECFRLLCHFTDQVVSPDHRLVFV -NKIINTYKFRGSPVSYLLEKILNSTKRTGFLPLLLRLGEITENDLLQFHAEMSQRNVTWNNWQISRALNT -GPVDLNLTTSSSKLIIRGQDSKLDYARLTLQRITISSIRSSGRNLLSAKHGLPIEMFEQCEIFERSWYIC -SQRRNNKRVFYDIKRGFDIKNENDLMINNQRRSQMIIPHCEVEIVEDIVDQSLDFQSVIDLNYNESSFSK -IAISESVYATTRKIDLTKMQDFEGPDIISNKIDISKLMKSSTLLSCNYDKVVASSLIELSSIMDCSGINT -EMSFDFLNDEPMEADEFESIEATPNMLIQYGKKGQSYMTLQNAFHEIIKVKAESFKRTYTFAGGEFFSKE -NMAIWTNLISLFKTLEIEGECTQILQTIHLILAYYGFDSMFHLAEISPEFMNGEQINYKHMSILLNSLKC -NGENLWSYLFNKASIVVGQELRKREMSESVDINSIIQSISRRLPNMSEFNFQSD - ->YP_010085094.1 RNA dependent RNA polymerase [Tahyna virus] -MDHQEYQQFLARINAARDACVAKDIDVDLLMARHDYFGKELSKSLNIEYRNDVPFIDIILDIRPEADPLT -VDAPHITPDNYLYIDNILYLIDYKVSVSNESSMITYDKYYELTRDISRRMNIEIEIVIIRIDPVSKELHI -SSNRFKELYPALVVDINFNQFFDLKQLLYEKFGDDEEFLLKVSHGDFTLTAPWCKEGCPEFWKHPIYKEF -KMSMPVPERRLFEESVKFNAYESERWNTNLIKVREYTKKDYSDFITKSAKDIFLATGFYKQPNKNEISEG -WDLMIERVHEQRNVTKSLHEQKPSIHFIWGPHNPGNSNNSTFKLILLSKSLQSIKGLSTYTEAFKSLGRM -MDIGDRATEYESHCENLKSKARASWKQVMNKKLEPKQINTALVLWEQQFMVNNEIIDKNDRIKLLKNFCG -IGKHKQFKNKMLDDIDNSKPTILDFDDENVYLASLTMMEQTKRILSRPSGLKSDNFILNEFGLRIKDCNK -DTYENMYQIFETRYWQCISDFSTLMKNILSVSQYNRHNTFRVAMCANNNVFAIVFPSADIKTRKATVVYS -IVVLHKEENNVLNPGCLHGTFKCMNGYISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHENPTLVMNDV -MNFSIYTSLSITKSVLSLTEPARYMIMNSLAISSNIKAYIAEKFSPYTKTLFSVYMTKLIKNACFDAYGQ -RERVQLRDIYLSDYDITQKGIKDNRELVSIWFPGSVTLKEYLTQVYLPFYFNAKGLHEKHHVMVDLAKTI -LEIESDQRENITEIWSTNCTKQTVNLKILIHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCLKIGDFRKEKELQNAKQRKLLENESRKRRLANPIFVTDEQVNLEVGHCNYNMLREAMPNYTDYISTK -VFDRLYELLDTKVLSDKPVIEQIMDMMVNHKKFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNRDIDEAISALASENFEDNIERIEKLSRGKS -RGLKMEINADMSKWSAQDVFYKYFWLIALDPILYPQEKERILFFMCNYMQKELILPDELLFNLLDQKITY -QNDIISTMTNQLNTNTVPIKRNWLQGNFNYTSSYVHSCAMSVYKDILKEAITLLDGSILVNSLVHSDDNQ -TSITIVQDKTTNEILIDFAMREFEKSCLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTYLTYNMLPGQSNDPIDYFPAETRREIP -IELNGILDAPLSMISTVGLEAGNLNFLINLLNKYTPVMMKRESVVNQIAEVRSWKVEDLTDNEIFRLKIL -RFLVLDAEMDPNDIMGETSDMRGRSLLTPRKFTTAGSLRKLYSFSKYQDRLSAPGGMEELFAYLLEKPEL -LVTKGEDSKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYINLHDSRAIEKE -PDILGKVTFTEAYRMLMKDLSSLALTNEDIQVIYSYIILNDPLMITIANTHVLSIYGSPQKRMGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNNPDVQGADPTEMARDLVHLKEFVENTGLEEKMKLRIAQNEAEKGQRD -IVFELKEMTRFYQVCYEYVKSTEHKIKVFILPAKAYTTTDFCALMQGNLIKDKEWYTVHYLKQILSGGHK -AIMQHNATSEQNIAFECFKLIVHFADSFIEASSRSAFLQLVMEQFSYKDVRVHKLYDIIKNGHNRTDFIP -LLFRTGDLKQADLDKYDAMKSHERVTWNDWQTSRHLDMGVINLTITGYNRSITIIGEDNKLTYAELCITR -KTPENITISGRKLLSARHGLKFENMTKIPTYPGNYYITFRKKDRHQYVYQIHSHESITRRNEEHMAIRTR -IFNEITPVCVVNVAEVDGDQRILIRKLDFLNNDVFTLSRIKVGLDEFATIKKAHFSKMVSFDGPPIKTGL -LDLTELMKSQDLLNLNYDNIRNSNLISFSKLICCEGSEDIDDGLEFLSDDPMNFTEGESIHSTPIFNIYY -SKRGESHMTYRNAIKQLIDRETATFEEAFTFSTNGFVSPENLGCLEAIVSLIKILRTNEWSTVIDRCIHI -CLIKNGMDHMYHSFDIPKCFVDNPINREVNWVMYREFINNLPATRIPPWNVMMDNFKKKCLALINSKLET -QRDFSEFVQMMKKDGGRGNLEFD - ->YP_010085080.1 L polyprotein [Snowshoe hare virus] -MDHQEYQQFLARINAARDACVAKDIDVDLLMARHDFFGKELCKSLNIEYRNDVPFIDIILDIRPEIDPLT -IDAPHITPDNYLYINNILYIIDYKVSVSNESSVITYDKYYELTRDISARLNIPIEIVIIRIDPVSRDLHI -SSDRFKEIYPTLVVDINFNQFFDLKKLLYEKFGDDEEFLLKVAHGDFTLTAPWCKTGCAEFWKHPIYKEF -KMSMPVPERRLFEDSVRFNAYESERWNTNLVKVREYTKKDYSDYISKSAKNIFLATGFYKQPNKNEISDG -WTLMVERVQEQREISKSLHDQKPSIHFIWGAHNPGNSNNATFKLILLSKSLQSIKGLSTYTEAFKSLGKM -MDIGDKASEYEEFCVSLKNKARSSWKQIMNKKLEPKQINNALVLWEQQFMVNNDLIDKNEKLKLFKNFCG -IGKHKQFKNKMLEDIDTSKPKILDFDDANMYLASLTMMEQSKRILSKDSGLKPDNFILNEFGSRIKDANK -ETYENMHKIFETRYWQCISDFSTLMKNILSVSQYNRHNTFRIAMCANNNVFAIVFPSADIKTKKATVVYS -IIVLHKEEDNIFNPGCLHGTFKCMNGYISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHDNPTLAMNDV -MNFSIFTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTRLIKNACFDAYDQ -RQHVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMIDLAKTI -LEIECEQRENIKEIWSTNCTKQTVNLKILLHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCLKIGDFRKEKEMQSVKQKKILETESRKRRLANPMFVADEQVSLEVGHCNYGMLREAMPNYTDYISTK -VFDRLYELLDKEVLTDKPVIEQIMDTMVNHKKFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNREIDEAIEALAAEGYEANLEKIEKLSISKA -KGLKMEINADMSKWSAQDVFYKYFWLIALDPILYPQEKERILYFMCNYMEKELILPDELLFNLLDQKVVY -QNDIITTMTNQLNSNTVPIKRNWLQGNFNYTSSYVHSCAMSVYKEILKEAVTLLDGSVLVNSLVHSDDNQ -TSITIIQDKLENDKIIHFAMGEFEKACLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTALTYNMLPGQSNDPLDYFPAENRKDIP -IELNGVLDAPLSMISTVGLESGNLYFLIKLLNKYTPVMQKRESVVNQIAEVKNWKVEDLTDNEIFRLKIL -RYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTAGSLRKLYSFGKYQDRLSSPGGMLELFTYLLEKPEL -LVTKGEDMRDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYINLHDSRALEKE -PDILGKVTFIEAYRLLMRDLSSLELTNDDIQVIYSYIILNDPMMITIANTHILSIYGSPQKRMGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNNPDVQGADPTEMARDLVHLKEFVENTGLEEKMKIRIALNEAEKGQRD -IVFELKEMTRFYQVCYEYVKSTEHKIKVFILPAKSYTTTDFCSLMQGNLIKDKEWYTVHYLKQILSGGHK -AIMQHNATSEQNIAFECFKLITHFADSFIDSSSRSAFLQLILDEFSYKDVKVAKLYDIIKNGHNRTDFIP -LLFRTGDLKQSDLDKYDAMKSHERVTWNDWQTSRHLDMGAINLTITGYNRSITIIGEDNKLTYAELCLTR -KTPENITISGRKLLSSRHGLKFENMSRIQTYPGNYYITFRKKDRHQFVYQIHSHESITRRNEEHMAIKTR -IYNEITPVCIVNVAEVDGDQRILIRSLDYLNNDVFSLSRIKVGLEEFATIKKAHFSKMVSFDGPPIKTGL -LDLTELMKSQDLLNLNYDNIRNSNLISFSKLICCEGSDNINDGLEFLSDDPMNFTEGEAIHSTPIFNIYY -SKRGEKHMTYRNAVKLLIERETKVFEEAFTFSSNGFISPENLGCLEAIVSLIKLLKTNEWSTVIDKCIHI -CLIKNGMDHMYHSFDVPKCFMENPITRDINWVMFREFVNSLPSTDIPPWNVMTENFKKKCIALINSRLET -QRDFSEFAKLMKKEGGRSNIEFD - ->YP_010085079.1 polymerase [Trivittatus virus] -MEPGEYQQFLARINSAKDACIAKDIDVDLLMARHDYFGKELCKALNIEYRNDVPFVDIILDIRPDFDPLS -IELPHITPDNYLYINNILYIIDYKVSVSNESSVITNTKYYEMTRDISDHLNIPIEIVIIRIDPISRELHI -SSDRFKEMFPTLAIDINFNHYFDLKQMLYEKFGDDDEFLLKVAHGDFTLTAPWCKSGCKDFWKHPIYKEF -KMSMPVPQRRLFEESIKFNAYESERWNTNLMKLKDFTKSEYNTFVQKEAKNIFLASGNYNQPNKEEIDAG -WHLMVERINNQREVSKSIHDQKPSIHFIWSEHNDKLSNNSTYKLVLLSKSLQSIKGLSTYADCFRSIGKM -MDIGDNLTEYESFCSNLKSEARSTWKQVMNKKLEPKKINNALVLWEQQFMVNNDLISKTDKLKLFKNFCG -IGKHKQFKNKMIDDIDISKPKILDFNDESLYLASLAMMEKTRILLSQKSGLKPNNYILDEFGSRIEECNP -ETTKNMISIFESKYWQCISDLSTLMKNILSVSQYNRHNTFRIAMCANNNLYAIVYPSADIKTKKATVVYS -IIALHKEEENIFNPGALHGTFKCQNGYISISRAMRLDKERCQRIVSSPGLFLTTCLLFKHNNPTVNITDI -MNFSLYTSLSVTKSMLSLTEPSRYMIMNSLAISSNVKEYIAEKFSPYTKTLFSVYMTKLIKNACFDAYKQ -RQKVQLRDIFLSDYDITQKGVKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMIDLAKTV -LEIENDQRQHIKDIWSTNNTKQTVNLNILIHSLSKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCIKIGDFKCEKEVQAQKQKKFMETEIKRRRLANPLFVTDEQVNLEVGHSNYSMLREAMPEYVDYMSTK -VFDRLFEMLDKNILNERPTIELIMDMMVDHKDFYFTFFNKGQKTAKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKILEHKSEQEIRFLVETTRHKNREIDEAIAQMATEDFENNVDKISKLSLQKS -RGLKMEINADMSKWSAQDVFFKYFWLIAMDPILYPQEKERILFFFCNYMEKKLILPDDLIYNLLDQKLQY -KDDIISIMTNQLHTNAVSIKRNWLQGNFNYTSSYVHSCAMSVFKDIIKEAVSLLDGSVLINSLVHSDDNQ -TSITLVQDKLDDDPIIEFTIKEFEKICLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVAIAISHWMTALTYNMLPGQSNDPIDYFPAETRKEIP -IELNGVIDAPLSMISTIGLEAGNLSFLLKLLNKQASIMIRRESVINQIADIQNWNMCNLTDNEIFRLKIL -RYLVLDAEMDPSDIMGETSDMRGRSLLTPRKFTTAGSLRKLYSFSKYQDRLSAPGGMMELFTYLLDKPEL -LVTKGENKQDFLESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYINLHDSRALEKE -PNILGKVTFKEAYRMLMKDLNSLPLTNDDIQVVYSYIILNDPLMVTISNTQILSIYGSPQRRTGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNTADVLGADPSEMARDLVHLKEFIENTKLEEKMLRRIAQNELEKGQRD -IVFELKEKTRFYQVCYEYIKSTEHKIKVFILPSKAYTTTDFCSLMQGNLIKDQEWYTVHYLKQILSGGHK -AIMQHNSSSEQNIAFECFRLLSHFADSFVDSNSRQPFLRLIVDDFAYKDVKVKKLYELIKNGSNRVDFLP -LLFRMGDLKQYDLDKYDAMKSQERVTWNDWQTSRHLDMGVINLTITGYNRSITIIGEDNKLTYSELNITR -KTPENITISGRKLLSSRHGLRFEKMSRITTYPNNYYITFRKKDRQQYVYQIHSHESIIRRNEEHASIKTR -IFNEIIPVCIVNIAEIEGDQRILIRNLDYLNYDNFSLARLKVSQEEFATIKKAHFSKMVSFDGPPIKTGL -LNLTELMKSQDLLNLNYDNIRNSNLISFAKIICCEGSENIDDGLEFLSDDPMDFTEGEQIHSTPIFNIYY -SKRGERHMTYRNAIKLLINRETTLFEEAFSFSGDGFISPTNLGILETIISLIRILKTNEWSSVLEKCIHI -CLIKNGMDSVYHTFDIPKCFYSDPIARSINWIMYRDFILSLPERNSAPWGTMLNHFKTKCLSLIMDRLEV -HLDFAGFANLIKRDEGRANLDFV - ->YP_010084300.1 polymerase [California encephalitis virus] -MEQQEYQQFLARINSAKDACVAKDIDVDLLMARHDYFGKELCKSLNIEYRNDVPFVDIILDIKPELDPLS -IEFPHITPDNYLFANNILYIIDYKVSVSNESSLITFDKYFELTRDISQRLNIPIEIVIVRIDPVSKELHI -NSDRFKELFPTLVVDINFNQFFDLKQLLYEKFGEDEEFLLKVAHGDFTLTAPWCKTGCPEFWKHPIYKEF -KMSMPIPERRLFEESVKFNSYESERWNTNLIKVREYTKKDYADYISKSARNIFLASGFYKQPNKNEISDG -WTLMVERIQEQREISKSLHDQKPSLHLIWGPHNPGNSNNATFKLILLSKSLQSIKGMSTYTDSFKALGRM -MDIGDKIAEYEAYCESLKAKARSSWKQIMNKKLEPKQINNALILWEQQFMVNNDIISKSDKVKLLKDFCG -IGKHKQFKNKMIDDIDTTKPKILDFDDESIFLASLTMMEQSKKVLSENSGLKADNFIINEFGSRIRDCNK -ETYNNMHSIFLTRYWQCISDFSTLMKNILSVSQYNRHNTFRIAMCANNNMFAIVFPSADIKTKKATIVYS -IVILHKEETNIFNPGCLHGTFKCINGYISISRAIRLDKERCQRIVSSPGLFLTTSLLLKHDNPTLVLNDV -MNFAIYTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTRLIKNACFDAYDQ -RQRVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMVDLAKTI -LEIESEQRENIKEIWSNNYTKQTVNLKILLHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCLKIGDFRKEKETQSLKQKKILENETRKRRLANPLFVTDEHVSLEVGHCNYVMLREAMPNYKDYISTK -VFDRLYELLDTNVLSDKPVIEQIMDMMVNHKKFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNREIDEAIEALAADGFEKNVEKIEKLSLGKA -KGLKMEINADMSKWSAQDVFYKYFWLIALDPILYPQEKERILFFMCNYMQKELILPDELLYNLLDQKVTY -QNDILASMTNQLNTNTVEIKRNWLQGNFNYTSSYVHSCAMSVYKDILKESITLLDGSIMVNSLVHSDDNQ -TSITIIQDKLENDKIIDFAMKEFEKSCLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTALTYNMLPGQSNDPLDYFPAESRSDIP -IELNGVLDAPLSMISTVGLEAGNLYFLIKLLNKYTPVMQKRESVINQIACVKDWKVEDLTDNEIFRLKIL -RYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTAGSLRKLYSFGKYQDRLSAPGGMLELFSYLLEKPEL -LVTKGEDSKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYVNLHDSRAIEKE -PDILGKVTFTEAYRLLMRDLSTLSITNDDIQVIYSYMILNDPMMITIANTYILSIYGSPQKRMGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNNPDIQGADPTEMSRDLVHLKEFVENTGLEEKMKQRIVNNELEKGQRD -IVFELKEMTRFYQVCYEYVKSTEHKIKVFILPAKSYTTTDFCSLMQGNLIKDKEWYTVHYLKQILSGGHK -AIMQHNASSEQNIAFECFRLITHFADSFIDASSRSAFLQLVMSDFSYKDVKVFKLYELIKNGHNRTDFIP -LLFRTGDLKQTDLDKYDAMKSHERVTWNDWQTSRHLDMGSINLTITGYNRSITIIGEDNKLTYAELCITR -KTPENVTISGRKLLGARHGLKFENMSKVQTYPGNYYITYRKKDRHQYVYQIHSHESINRRNEEHMAIKTR -IYNEITPVCIVNVAEVDGDQRILIRSLDYLNNDVFSLSRIKVGLEEYATIKKAHFSKMVSFEGPPMKTGL -LDLTELMKSQDLLNLNYDNIRNSNLISFSRLICCEGSDNIDDGLEFLSDDPMNYTEGEAIHSTPIFNIYY -SKKGEKHMTYRNAIKLLIERETAAFEEAFTFSTNGFISPENLGCLEAIVSLIKLLKTNEWSTVIDKCIHI -CLVKNGMDHMYHSFDIPSCFLDNPISRKVNWMMFKEFVNSLPSPAIPPWNVMVDNFKRKCIILINSKFEV -QRDFSEFTQMMKKEGGKGNLDFE - ->YP_009667044.1 RNA-dependent RNA polymerase [Tacaiuma orthobunyavirus] -MEYNTIREFRARIANARNADVAKDIDIDLYSARHDYFGRCVCNAYQIEYRNDVPLVDILLDCIQDFDPMS -IQVPNITPDNYIYHNNTIFIIDYKVTMSMETVHMTIKKYSEALNKINMLPVNFELVIIQINPRTMELYVS -SDLFKEVFGNPPIEADFKDFFDLKDALYGKFENDEEFLLKISHGDFTLTAPWIDEDTPELEHHPEFIFFM -NSMDKKYQRLFLDSLNHSAYTTERWNTNLHLIREETKDEYTSFVKKTACEIFNKTGNYSRPDKDSIMRGW -KRMTERIMEQRELQDSAVMQKPSGHFIWTPPDSNETSNNTSKILRLSKMLQNITGYHPMLEPLKELGICC -DFSEDIGRYESETSRRKEQARKSRDTVKNKKIEPLKIGTSTVLWEQQFSLNTDFISVSNRKRLLKDFCGI -GAHKTFSNKSSEDTDLSKPKILDFNNQQILMNSMEMMSKTAQMLKKDHNVEKREFIMEYFGDKIESCSRE -TFSAINNVQKSRYWTCINDYSMLIKNLLSVSQYNRPNTFRIVTCANNNLFAILLPSVDIKTKRSTIVYFV -VALHKDKNNILDPGCLSYTYQSGSYYLSVSKAIRLDKERCQRIVSSPGLFLLSTLIMYNNNPLIDLYDVM -AFTFYTSISITKSMLSLTEPARYMIMNSLAISSDVEGYIAEKFSPYTKTLFSVYMTDLIKKACFEANIQR -EKVTFRDVYLTDFDVTQKGVADSREIKSIWFKGFVSIKEYVNQVYLPFYFNSKGLHEKHHVMIDLIKTVG -EIELEQRKMGDTIWSNIPTPQTVNLPIFIHSLAKMLIADTSRHNHLRSKIESKNNFRRSPATVSTFTSSK -SCIKVGDFKHLKSKRFQSSEKKLEREQRVANSLFYDDELHNVRIGHATYEDLRNSVPNYTDYITTKNFDR -LYELFVSGTVPDDPTIKVCFDMMKNHTQHKFAIFNKGQKTAKDREIFEPQWETKTGMYVIERLSKERCKL -NADEMISEPGDNKLRILEQKSEQELRFMLSKNKELTANDDSVPYRSYKLEINADMTKWSAQDVFYKYFWL -ISMDPVLYPYEKKRILYFMCNYLEKELIIPDEVMKSLLDQRINRADDIFMELTQNFNRNTFKVKRNWLQG -NFNYTSSYIHSSAMQTFKEIVKQAAVLLEGDCLVNTLVHSDDNHTSVVIVQNKLTDNEITHFIVDTFKIT -CLTFGCQANMKKTYFTNFIKEFVSLFNIVGEPFSIYGRFLLTSVGDCAFIGPYEDLSSRISATQSAIKHG -CPPSLAWVSIALSHWMTYMTYNMLPNQRNDPTAYFPTQNRKDLPIELFGILDSSLANIALLGMESKNTEF -LVSLLIKMNGPLRNKEPISSQVALTKSWDVSKIAPEDLFRLKLLRFFVLDTESTSESIGETSDMRSRSII -TPRKFTTEGSIVKLKSYNDYKEIMTSEEKIMELLDYIKSHPELTITKGENMEEFLNMTLFRFNSKRFKES -LSIQNPSQLFIEQILFSNKPTIDYTKIREKFISISETYANEEFGSIKGRYTFPESYSQLNVDVSGIKLES -EDIEVVYNYCILNDPLTATVANTILLSTIGSPIQRTALSANSMPEFRSMKLIHHSPALVLRCYSANRLDL -PGVNPEEMERDIIHILDPGCLSYTYQSGSYYLSVSKASYDQKGKVPENRELTKIYQVCYEYIRSADHKVK -IFILPVKTRTVNDFCSVIQGNLISDGCWFTMHYLKQVVVQGHKAVISKAQSNDFLIASECFRVLGFFLDT -FVAESFRKFVLQEMIESHSYKNVELSVLLGYITSSQNRQDYLPILWNLKLLTQHDLDKFDAMRSSERISW -NDNQINREFNTGPIDLSISGYNREIRIVGEDDKLIVAELKLTSINPDIISNSGRKLLNARHGLKFEKLQR -CDVEENCRYITYQKRSHNTYAYQIHSTYSIQTRNTENKSKGRYFNEIVPVCPVIVSKYYSKSKLTMESIE -FYNQDNYKLTRLRVDERETIMMKRGLLDRMKLFEGPDINIGLVSLNALSKSTELLTSDYSKLSKVSLISL -AQIIECTGLECPDSECEEFDFVAMSDDPMECLTPQDIEMSPALNIYVHKSTSSKLTYRNALNTALKQSID -RFTCAFDFSGEGFYSSKNLGVIATLKSLIELLSTNEWSTIIIKCIHLCMITNKMDAVYHTLDMPAIFVLN -PIVPTYDWIKLLKFLTSLPPMIDPFWMQLFNHFIDKSKTMILHYIEEQDQEFQDILENIAVPGGKGDFEF - ->YP_009666980.1 polymerase [Serra do Navio virus] -MEQTEYQQFLARINSAKDACVAKDIDVDLLMSRHDYFGRELCKSLNIEYRNDVPFIDIILDIRPDIDPLS -LDIPHITPDNYLYINNILYIIDYKVSVSNESSIITNTKYFELTRDISDKLNIPIEIVIIRIDPISRELYI -SSDRFKDLFPTLVVDINFNQFFDLKQLLYEKFGEDEEFLLKVAHGDFTLTAPWCKTGCPLVWQHPVYKEF -KMSMPIPERRLFEESMKFNAYEAERWNTNLIKIREYTKQKYSTFVTKSAKHVFLATGDFKQPNKNEINEG -WNLMVERIQDQREVSRSIHDQKPSIHFIWGSNNPNNSNNATFKLILLSKSLQSIKGSSTYTDAFRSLGKM -MDIGDKLSEYESHCEQLKMQTRLSWKQVMNKKLEPKKINSALVLWEQQFMINSEMINKSDKLKLFRDFCG -IGKHKQFKNKMLDDIDTSKPKILDFNDEAIYMASLTMMEQTKILLSENSNLKPNNFILDEFGQRIKDCNK -DTFEIMLAIFETKFWQCISDFSTLMKNILSVSQYNRHNTFRIAMCANNNMFALVYPSADIKTKKATVVYS -IIVLHTQESNVFNPGCLHGTFKCNNGFISISKAIRLDKERCQRIVASPGLFLTTCLLFKHENPTIRLDDI -MTFSIYTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYISEKFSPYTKTLFSVYMTRLIKNACFDAYNQ -RQKVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMIDLAKTI -LEIEKDQRENITKIWSEDCTKQTVNLQILIHSLCKNLLSDTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCIKIGDFQKEKETQYSKQKKTIEMESRKRRLANPLFVTDEEINLEVGHCNYSMLRDAMPEYKDYMSTK -VFDRLYELLDTNVLDDKPTIELIMDMMAEHDKFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNREIDEAIEALAAENFQENIGKIEKISKGKA -RGLKMEINADMSKWSAQDVFYKYFWLIALDPILYPQEKERILFFLCNYMQKELILPDDLIYNLLDQKISY -KEDIISAMTNQLHTNSIQIKRNWLQGNFNYTSSYVHSCAMSVYKDILKEAMSYLEGSILVNSLVHSDDNQ -TSVTIVQDKLPDEVLIEFCIKEFEMICLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTALTYNMLPGQNNDPLDYFPADTRKDIP -IELNGVIDAPLSMISTVGLEAGNLFFLIKLLNKYTPVMQKRESVVNQITEIHSWDLNKLDDNEIFRLKIL -RYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTAGSLRKLYSFSKYQDKLSAPGGMNDLFAYLLQKPEL -LVTKGEDKKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYINLHDSRVLERE -PDIIGKVTFTDAYRILMKDLNTLPLTNEDLQVIYSYIILNDPLMITIANTHILSIYGSPQKRTGMSCSTM -PEFRNLKLIHHSPALVLRAFSKGTPDVQGADPTEMARDLVHLKEFINNTNLEEKMKARIQQNELEKGSRD -VIFELKEMTRFYQVCYEYIKSTEHKIKVFILPAKAYTTTDFCSLMQGNLIKDREWYTVHYLKQILSGGHK -AIMQHSATSEQNIAFECFRLITHFGDSFIEASSRAAFLQLIIDGFSYKDVRVNKLYEIIKNGHNRTDFIP -LLFRTGDLTQYDLDKYDAMKSQERVTWNDWQTSRHLDMGAINLTISGYNRSITIIGEDNKLTYSELNITR -KTPENISISGRKLLSSRHGLKFENMTKVTTYPGNYYITYRKKDRHQYVYQIHTHESIIRRNEEHLAIKTR -IFNEIVPVCLVNIAEVDGDQRILIRSLDYLNNDVFSLARIKVGLDEYAVIKKAHFSKMVSFDGPPIKTGL -INLTELMKSQDLLNLNYDNIRNSNLISFSKIICCEGSDNIDDGLEFLSDDPMAFTEGETIHSTPIFNIYY -SKKGEKHMTYRNAIKLLIERETANFEEAFTFYNDGFLSPENLGCLEAIVSLIRVLKTNEWSSVIDKCIHI -CLIKNNMDYVYHRFDIPTCFYDNPISRNINWMMYRDFMATLPKTYIAPWNIMLEHFRTKCSTLIMDKMEV -HRNFSEFAKLMRRSEGRTNLDFD - ->YP_009666975.1 polymerase [San Angelo virus] -MDYNEYQQFLARINAAKDACVAKDIDVDLLMSRHDYFGKELCKSLNIEYRNDVPFIDIILDIKPETDPLA -IEAPNITPDNYLFVNNILYIIDYKVSVSNESSAITFDKYYELTREISTKLNVPIEIVIIRIDPVTKNLHI -NSDRFKELFPTLVIDVNFNHFFELKQLLYEKFGDDEEFLLKVAHGDFTLTAPWCKTGCPDFWKHPIYKEF -KVSMPIPERRLFEESVRFNAYESERWNTNLIKLREYTKRDYNDYITKSAKNIFLATGFYKQPNKIEISNG -WDLMVERVHEQREVSKSIHDQKPSIHFIWAPHNPANSNNATFKLILLSKSLQSIKGMSTYSDAFRSLGKM -MDIGDKITEYEGFCESLKTKARSSWKQIMNKKLEPEKINEALVLWEQQFMVNNEVISKNDKLKLFKDFCG -IGKHKQFKNKMLEDLELSKPKILDFDDENMYLASLTMMEQSKRILSKNSNLKPNNYILNEFGSQIKDANK -DTYITMEKIFETRYWQAISDFSTLMKNILSVSQYNRHNTFRVAMCANNNVFGIVFPSADIKTKKATVVYS -IIVLHKEEENIFNPGCLHATFKCNNGYISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHENPTLVLNDV -MNFSIYTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTRLIKNACFDAYDQ -RQRVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQVYLPFYFNAKGLHEKHHVMVDLAKTI -LEIESEQREKITEIWSENFTKQTVNLKILIHSLAKNLLADTSRHNHLRNKIENRNNFRRSITTISTFTSS -KSCLKIGDFRKEKEVQSAKQKKILETESRKRRLANPIFVSDEQVSLEVGHCNYVMLRDAMPEYVDHISTK -VFDRLYELLDTNVLSDKPTIEQIMDMMVNHKKFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNREIDEAIEALAANGYDKNIDKIEKLSIGKS -KGLKMEINADMSKWSAQDVFYKYFWLIAMDPILYPQEKERILFFMCNYMQKELILPDELLYNLLDQKMTY -QNDIIATMTNQMSSNTVSIKRNWLQGNFNYTSSYVHSCAMSVYKEILKESITLLDGSVLVNSLVHSDDNQ -TSITIVQDKLENDKIIDFAMKEFEKSCLAFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTALTYNMLPGQANDPIDYFPAETRRDIP -IELNGVLDAPLSMISTVGLEAGNLYFLIKLLNKYTPIMMRRESVVNQIAEVKSWKVEELTENEVFKLKIL -RYLVLDAEMDPNDIMGETSDMRGRSILTPRKFTTAGSLRKLYSFSKYQDRLSSPGGMMELFAYLLDKPEL -LVTKGEDMKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYINLHDSRALEKE -PDILGKVTFTEAYRMLYRDLATLELTNEDIQVIYSYIILNDPMMITIANTHVLSIFGSPQKRMGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNNPDVQGADPTEMSRDLVHLKEFVENTNLETKMRARIALNEAEKGQRD -IVFELKEMTRFYQVCYEYIKSTEHKIKVFILPAKSYTTTDFCSLMQGNLLKDKEWYTVHYLKQILSGGHK -AIMQHSATSEQNIAFECFRLITHFGDSFIEASSRQAFLRLILDKFSYKDIKVSSLYDTIKSGHNRTDFIP -LLFRLGDLKQTDLDKYDAMKSQERVTWNDWQTSRHLDMGVINLTITGYNRSITIIGEDNKLTYAELCLTR -KTPENITISGRKLLSSRHGLKFENMCRIPTYPGNYYITYRKKDRHQYVYQIHSHESINRRNEEHLAIKTR -IYNEIVPVCIVNVAEVDGDQRILMRSLDYLNNDVFTLSRIKLGLDEYAIIKKAHFSKMVSFEGPPIKTGL -IDLTELMKSQDLLNLNYDNIRNSNLISFSKLICCEGSESIDDGLEFLSDDPMNYTEGEAIHSTPIFNIYY -SKRGDRHMTYRNAIKLLIERETSMFEEAFTFSTNGFVSPENLGCLEAIVSLIKILKTNEWSTVIDRCIHI -CLIKNGMDHMYHSFDVPKCFMSNPIARNIDWATFREFVNSLPVTDIPPRNVMTENFKRKCLCLIDSKLNI -QRDFTEFTKMMRKDGGRSNLDFE - ->YP_009666970.1 polymerase [Melao virus] -MDRAEYQQFLARINAAKDACVAKDIDVDLLMSRHDYFGKELCKSLNIEYRNDVPFIDIILDIRPDVDPLT -IDAPHITPDNYLYINNILYIIDYKVSVSNESSIITNTKYYELTRDISDKLNLYIEIVIIRIDPITRELYI -SSDRFKDMYPTLVVDINFNQYFDLKQMLYEKFGDDDEFLLKVAHGDFTLTAPWCKTGCPEVWQHKIYKEF -KMSMPIPERRLFEESMKFNSYESERWNTNLIKIREYTKKDYQEFVTNSAREVFLATGDFKQPNKKEINEG -WDLMVQRVSSQRDVSKSVHDQKPSIHFIWSTHNPNNSNNATFKLILLSKSLQSIKGNSTYSEAFKSLGRM -MDIGDRMSEYESFCEGLKQQARSTWKQVMNKKLEPKRINESLVLWEQQFMINTDVISKTDKIKLFRDFCG -IGKHKQFKNKMLEDIDISKPKILDFNDETIYLTSLTMMEQTKLILSEKSGLKTNNFILDEFGSKIKDCNK -DTFDIMAAIFETRFWQCISDFSTLMKNILSVSQYNRHNTFRIAMCANNNVFALVYPSADIKTKKATVVYS -IIVLHKDETCIFNPGSLHATFKCSNGFISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHENPTIRLDDI -MTFSIYTSLSITKSVLSLTEPSRYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTRLIKNACFDAYNQ -RKKVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMVDLAKTI -LEIEDEQRKNIIDIWSSNCKKQTVNLKILVHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCIKIGNFQKEKEIQLSRQKKTIEIESRKRRLANPIFVSDEEISLEIGHCNYNMLREAMPEYVDYMSTK -VFDRLFELLDTNQVDDKPTIEMIMDMMVNHKDFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLRVLEQKSEQEIRFLVETTRQRNRDIDEEIEQLAADGFEENIVKIEKLARGKA -RGLKMEINADMSKWSAQDVFFKYFWLIALDPILYPQEKERILYFLCNYMDKKLILPDELLFNLMDQKIAY -KEDIISTLTNQLRSNTVQIKRNWLQGNFNYTSSYVHSCAMSVYKDILKEAMSFLEGSILVNSLVHSDDNQ -TSVTIVQDKVPDEVLIEFAIKEFEKVCLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTALTYNMLPGQSNDPLDYFPAESRKEIP -IELNGVLDAPLSMISTVGLEAGNLYFLIKLLNKYTPIMQKRESVVNQIAEVRNWDVNVLDENELFRLKIL -RYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTAGSLRKLYSFNKYQDRLASPGGMNELFTYLLAKPEL -LVTKGEDKTDYMESVVFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYINLHDSRALEKE -PDIIGKVTFTDAYRILMRDLNSLPLTNDDIQVIYSYIILNDPLMITIANTNILSIYGSPQKRTGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNNPDVQGADPTEMARDLVHLKDFVANTNLEEKMKQRIKKNEDEKGARD -IIFELKEMTRFYQVCYEYIKSTEHKIKVFILPAKAYTTTDFCSLMQGNLIKDREWYTVHYLKQILSGGHK -AIMQHNATSEQNIAFECFRLITHFADSFIDASSRGAFLRLILDSFSYKDVKVSKLYEIIRSGHNRTDFIP -LLFRTGDLNQHDLDRYDAMKSQERVTWNDWQTSRHLDMGAINLTISGHNRSITIIGEDNKLTYSELNITK -NTPENVTISGRNLLKSRHGLKFENMSRVTTFPGSYYITYRRKDRHQFVYQIHSHESIIRRNEEHLAIKPR -LFNEIVPVCLVNVAEVEGDQRILIRSLDYLNNDVFTLSRIKVGLEEYAVIKKAHFSKMVSFDGPPIKTGL -LNLTELMKSQDLLNLNYDNIRNSNLISFSKIICCEGSDNIDDGLEFLSDDPMTFTEGEAIHATPIFNIFY -SKKGEKHMTYRNAIKLLIERETANFEEAFTFHNDGFLSPENLGCLEGIVSLIRVLKTNEWSTVIDKCIHI -CLIKNNMDYVYHKFDIPSCFYENPITRNINWMMYRDFILTLPKTYVAPWNIMLEHFRAKCTALILDKMET -QRDFSEFAKLMRRKEGKTNLDFN - ->YP_009666968.1 polymerase [Lumbo virus] -MDHQEYQQFLARINTARDACIAKDIDVDLLVARHDYFGRELCKSLNIEYRNDVPFIDIILDIRPEVDPLT -IDAPHITPDNYLYINNILYLIDYKVSVSNESSIITYDKYYELTRDISRRMNIEIEIVIVRIDPISKELHI -SSNRFKELYPALVIDINFNQFFDLKQLLYEKFGDDEEFLLKVAHGDFTLTAPWCREGCPDFWKHPIYKEF -KMSMPIPERRLFEESVRFNAYEAERWNTNLIKLKEYTKKDYSDFVTKSAKDIFLATGFYKQPNKNEISEG -WDLMVERVHEQRNITKSLHEQKPSIHFIWGPHNPGNSNNSTFKLILLSKSLQSIKGLSTYTEAFKALGKM -MDIGDRATEYESHCESLKSKARSSWKQVMNKKLEPKQINSALVIWEQQFMINNEKIDKNDRIKLLKNFCG -IGKHKQFKSKMLDDIDNSKPTILDFDDENVYLASLTMMEQTKRILSKPSGLKNENFILNEFGQRIKDCNK -DTYANMSQIFETRYWQCISDFSTLMKNILSVSQYNRHNTFRVAMCANNNVFAIVFPSADIKTKKATVVYS -IIVLHKEEDNVLNPGCLHGTFKCINGYISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHENPTLVMNDV -MNFSIYTSLSITKSVLSLTEPARYMIMNSLAISSNVKSYIAEKFSPYTKTLFSVYMTKLIKNACFDAYGQ -REKVQLRDIYLSDYDITQKGIKDNRELVSIWFPGSVTLKEYLTQVYLPFYFNAKGLHEKHHVMVDLAKTI -LEIENDQRDNITEIWSTNCTKQTVNLKILIHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCLKIGDFRKEKEQQNAKQRKLLENESRKRRLANPIFVTDEQVNLEVGHCNYNMLREAMPNYTDYISTK -VFDRLYELLDTGVLNDKPVIEQIMDMMVNHKKFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCRLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNRDIDDAISALASENFEKNIEKIDKLSKGKS -RGLKMEINADMSKWSAQDVFYKYFWLIALDPILYPQEKERILFFMCNYMQKELILPDELLYNLLDQKITY -QNDIISTMTNQLNSNTVSIKRNWLQGNFNYTSSYVHSCAMSVYKDILKEAITFLDGSILVNSLVHSDDNQ -TSITIVQDKTTNEVLIDFAMQEFEKSCLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSVYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTYLTYNMLPGQSNDPIDYFPADTRKEIP -IELNGVLDAPLSMISTVGLEAGNLNFLISLLNKYTPVMMKRESVVNQIAEVKSWRVENLTDNEVFKLKIL -RFLVLDAEMDPNDIMGETSDMRGRSLLTPRKFTTAGSLRKLYSFSKYQDRLSAPGGMEELFTYLLEKPEL -LVTKGEDSKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYVNLHDSRAIEKE -PDILGKVTFTEAYRLLMKDLSSLTLTNEDIQVVYSYIVLNDPLMITIANTHILSIYGSPQKRMGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNSPDVQGADPTEMARDLVHLKEFVENTGLEEKMKLRIAQNEEEKGQRD -IVFELKEMTRFYQVCYEYVKSTEHKIKVFILPAKAYTTTDFCALMQGNLIKDKEWYTVHYLKQILSGGHK -AIMQHNATSEQNIAFECFKLIVHFADSFIDASSRSAFLQLVLEQFSYKDVKVHKLYDIIKNGHNRTDFIP -LLFRTGDLNQADLDKYDAMKSHERVTWNDWQTSRHLDMGVINLTITGYNRSITIIGEDNKLTYAELCLTR -KTPENITISGRKLLSARHGLRFENMVKTPTYPGNYYITFRKKDRHQYVYQIHSHESIVRRNEEHMAIRTR -IFNEITPVCVVNVAEVDGDQRILIRKLDFLNNDVFSLSRIKVGLDEFATIKKAHFSKMVSFDGPPIKTGL -LDLTELMKSQDLLNLNYDNIRNSNLISFSKLICCEGSNDINDGLEFLSDDPMNFTEGESIHSTPIFNIYY -SKRGENHMTYRNAIKQLIERETTSFEEAFTFSTNGFISSENLGCLEAIVSLIKSLKTNEWSTVIDKCIHI -CLIKNGMDYMYHTFDIPRCFVDNPINRKVNWIMYKEFISNLPTVGIPPWNVMMDNFKKKCIALIETKLET -QRDFSEFMQMMKKEGGKSNLEFD - ->YP_009666964.1 polymerase [Keystone virus] -MDQNEYQQFLARINSAKDACVAKDIDVDLLMARHDYFGRELCKSLNIEYRNDVPFVDIVLDIKPEIDPLS -LEIPHITPDNYLYVNNILYIIDYKVSVSNESSIITNTKYFELTRDISDKLNIPIEVVVIRIDPISRELYI -SSDRFKDMFPTLVVDINFNQFFDLKQMLYEKFGEDEEFLLKVAHGDFTLTAPWCKTGCPMVWQHPIYKEF -KMSMPIPERRLFEESMRFNAYESERWNTNLIKVKEYTKKDYAEFITKSAKNVFLATGDFKQPNKSEINEG -WAIMVERIKVQREISNSIHDQKPSIHFIWSPNNAMNSNNATFKLVLLSKSLQSIKGTSTYTEAFKALGRM -MDIGDKFTDYESHCESLKTKARSSWKQVMNKKLEPKKINNALVLWEQQFMVNSEIINKTDKIKLFRDFCG -IGKHKQFKNKMLDDIDTSKPKILDFNDDAIYMASLTMMEQTKLLLSEKSNLKPNNYILDEFGQRIKDCNR -DTYEIMYYIFETKFWQCISDFSTLMKNILSVSQYNRHNTFRIAMCANNNVFALVYPSADIKTKKATVVYS -IIVLHDNEASIFNPGCLHGTFKCNSGFISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHENPTIRLDDI -MTFSLFTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTRLIKNACFDAYNQ -RQKVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMVDLAKTI -LEIEKDQRENXSLIWSKNCVKQTVNLQILIHSICKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCIKIGDFKKEKEAQYTKQKRTLDIESRKRRLANPLFVNDEEVSLEVGHCNYSMLREAMPNYKDYMSTK -VFDRLYELLDTGKLDDRPTIESIMDMMVDHTDFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNREIDDVIETLAAEDFQGNLNKIEKIAKGKA -RGLKMEINADMSKWSAQDVFFKYFWLIALDPILYPQEKERILFFLCNYMQKKLILPDDLIYNLMDQKISY -KEDIISEMTDQLNANHIQIKRNWLQGNFNYTSSYVHSCAMSVYKDILKEAMSFLEGSIMVNSLVHSDDNQ -TSVTIVQDKVPDEVLIEFSIKEFEKVCLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTSLTYNMLPGQSNDPLDYFPAENRKEIP -IELNGVIDAPLSMISTVGLEAGNLYFLIKLLNKYTPVMQKRESVVNQIAEIRNWDIQKLDENEIFRLKIL -RYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTAGSLRKLYSFSKYQDRLSSPGGMNDLFAYLLQKPEL -LVTKGEDKKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPIIDFSGIRDKYVNLHDSRALENE -PDILGKITFTDAYRLLMKDLSSLPLTNDDLQVVFSYVILNDPLMITIANTHILSIYGSPQKRTGMSCSTM -PEFRNLKLIHHSPALVLRAYSKGTPDVQGADPTEMARDLVHLKEFIDNTNLEEKMKRRIIQNEIDKGAKD -IVFELKEMTRFYQVCYEYIKSTEHKIKVFILPAKAYTTTDFCSLMQGNLIKDREWYTVHYLKQILSGGHK -AIMQHSATSEQNIAFECFRLITHFADSFIDSGSRTAFLQLILDGFSYKDVKVSKLYEIIKNGHNRTDFIP -LLFRTGDLTQYDLDKYDAMKSQERVTWNDWQTSRHLDTGSINLTISGYNRSITIIGEDNKLTYSELNITR -KTPENISISGRKLLSSRHGLKFENMAKIITYPGSYYITYRKKDRHQYVYQIHTHESIIRRNEEHLAIKTR -IFNEIVPVCLVNIAEVDGDQRILIRSLDYLNNDVFSLSRIKVGLDEFAVIKKAHFSKMVSFEGPPIKTGL -INLTELMKSQDLLNLNYDNIRNSNLISFSKIICCEGSENIDDGLEFLSDDPMAFTEGEVIHSTPLFNIYY -SKKGERHMTYRNAIKLLIDRETTNFEEAFTFHSDGFLSPENLGCLEAIISLIKVLKTNEWSSVIDKCIHI -CLIKNNMDHLYHRFDTPTCFYDNPISRNINWMMYRDFIATLPQTYVAPWNIMLEHFRTKCMTLILDKMEI -QRNFSDFAKMMRRSEGRTNLDFD - ->YP_009666934.1 RNA-dependent RNA polymerase [Rio Preto da Eva virus] -MDQSTIDQLSRRIDAAYNAEIALLIDTDLFMLRHDYFGKELCQSLGIVYRNDIPGDEIINDAIPETIGKN -INIKCTPDNYTIKDGVTFIIDYKVVVNDETIQSTYNKYYNIFKPYFEEYNRQFEIVIISCNPYNCMIKHT -GVLFENFFNINGLELDFGWFFSLKDALYDKFRDDELFMSQAGPGEFSMTAPWCENNAALEDDPEFRKFIN -TLEPKEQSLFLRAIHYDPFKADKWSDFLLQTKESYKNDYTDYINDAARDIFSLKKTLEKPSHDEIAKGWE -QMYERMKLDRNLTKDPTKQKPSLHFIWAKPDFKRENNQISKLIFFSKRLQSLKGTDLFIEVFRKIGENFD -ISCAPDEYQNFCSRIKSESRLQEKERKSKKLDSIHIGTSKVLWEQQFKMDLNPISQDIQSRFKTKFLGIG -KGKRFALRTEQDVSTDKPKMLDFEDKVIIDAANEMMHSVKGVLSKRSELIKIGCFLDEYKHNIYESSKET -WNTIEGITSTQYWSAINDFSVLMKGILGASQYNKHNTFRLCFCANNSIMAVVYPSSDIKTKQATTCYSII -AFHKDKDELMDPGCNYKTFETISGFLSISKPIRLDKERCQRIVTSPGLFLQSCLLMYNNNPTIKLQDVMN -FCLFTSLSITKPLLTLTEPSRYMIMNSLAITSSVKGYIGEKFNPMTKTLFSVYMSRLIRDACSEAFNQKH -LIQPRRIALDDYDITQKGVEESRLLKSIWFSGSVSLKEYINQIYLPFYFNSKGLHEKHHVMIDLAKTILE -IESEQRRENFFPWSTTPKPQSVNLKVLIYGIARQLHLDTQRKSYLRMKIENRNNFKRKIYTISTLTSSKS -CIEQGDFTKEKGGNLRQAKNIANPAFVEEFELNQKVEKSTYLDLKAKIPDYIDIRSTKVFDILYQKSKQG -EIQDEDAIKYMLSVMQDKEEYVFSFFNKGQKTAKDREIFVGEYETKMALYVIERIMKELCKSNPEEMISE -PGDSKLKVLENIANQEIREIISVCKKNRTENDTGLKTNKKPIKIDINADMSKWSAQDVTYKYFWLIALNP -ILYPEEKTSILKFFCRYMNKKLILPDALLNSIFDQFKEYENDIIKDMTMDFKRNWVQIRNNWFQGNLNYT -SSYIHTVSMGTYKDIIKKGLALLDGEAFISSLVHSDDNHTSIIANQGKLDDDDIIRFCYDSFIMVCLSHG -NQVNKKKTYVTNGLKEFVSLFNIFGEPFSIYGRFLLTSVGDCAYLGPYEDLSSRITSVQTAIKHGCPPSY -AWVAIAMSQWLSYSTYNMMPGQYNDPCEALMIQDRFLIPIELGGLLNCPLHMLVLLGLDSINVYNLYMMV -SKLSSTNMRGQRIEDILQDINNWSIAKLSDEQIFQLKVLRYITLGVEIDSASKMGETSDMRNRSVLTPRK -FTTQKALIKLDSYKDYKNLIIDEPKYKANLEYMINHPELLVTKGENNEDYKNTILFRYNSKRFKESLSIQ -NPAQLFIEQVLFSKKPTIDYTRISDKFTMILEQESVSQNIIGKKTIKEALESIRKDLKMYEVSKDDLGIV -MNCVVVNDPLAVTTINSEILHVLTEKKQRNGLTCSTMPEFRNIKIINYSPAVVIRAYRNREFCPPNADPQ -ILERDVWFLQEFIRETGIEEKAKDHIRMNEVVKGEKDLIFEIQEWTRFYQSCYSYIKATEHKVKMFIIPN -RVSTATQFCQSIIGNLKIDSHYFGCYFQKNAIGYNQKGLVSSSLDMSTLVADECFRLIAHFTDQVVSKQH -RNKFVDEIIKNYTFRNYKVSTLLEKLLNSNRRTSFLPILFHLNKIKTDDMERFQNELGQKNVIWNNWQSN -RSLNTGPIDLKLVTHSATMHIIGMDKKLNGAIMSVRQTKHSNIKQAGRNLLSARHNLSFEMFEKCEIQPK -NWYICSQRRNAKRVFYDVKTGADIQNENNVIEFEGRKSYVVIPHCEVTVHQKYDDAILDYENIKFLNEEE -VYYSKLIISENTYATVRKIDLSKMQDFDGPPILSSKLNITQLMKSRTLLSCNYDRVIGTSLLELSNVIEC -KGTDAEMNFDFLNDDIMDADEFEVIEATPNLKIQYGKRGQSYMTLQNAFVNIMKEKSLLFKSTMTFTGDG -FTNGENMAYLINYISLLNHLNLDGEAKELYNVLHLIFIDMDMDATFHLADFSKEFLIDGRPNYRLLGLMV -DSMKCKHNNIWSYLFNKAAIQISHALKAKDAENSPSISQILKSISKKFANIYRIQLQRLRFMDIFLDVIQ -IVFGFKISTKRL - ->YP_009666929.1 RNA-dependent RNA polymerase [Pacui virus] -MDQGQINQFTQRIDAANSAEVALFIDTDLYEARHNYFGRELCQSIGIPYRNDVPANTILEAAIPELVGTD -FFVKCSPDNFAIRDGVTFIIDYKVTVTDETIQTAYNKYYEMFEPYFNEYGRQFEIVIVSCHPNSGVIKHT -GVLFDNYFHIGDMDLDFTWFFNLKQALYDKFREDEVFLAHAGPGEFAMTTPWCMDGADLDIDEEFMRFMA -TLEKPEQILFKEAMAYDPFTADKWSDFLIQTKSKYKEDYYSYVTESARDIFSFGKKLEKPSHDEIELGWF -EMYCRLQEERNMIRDPMKQKPSIHCIWSMPDSKKKNDQISKLTTFSRKMRKIKSTDQYAEIFRRIGENFD -ISTDPESYTQFCTRIKNESRLLEKERKSKKVEPIIVGTSQVLWEQQFKMDLNPITPLQQSSFKKNFLGIG -KGKRFAIRTENDVDVEKPKILDFEDSVVIRAAQEMMCNTKGLLGRRTNLEKIGCFIDEYKSKISGASKET -WETIERIASTNYWAMVNDYSILMRNILSSSQYNKHNTFRVCFCANNSMMAIVFPSSDIKTKQATTCFATI -AIHKNRDDVLNPGCLYKTFETEEGFISISKPVRLDKERCQRVVTSPGLFLQSCILMYNNNPTIKLDDVMN -FCLYTSLSITKPLLTLTEPSRYMIMDSLAITSSVKGYIGEKFNPMTKTLFSVYMARLIKDACSDAFSQKH -LIQPRRVALDDYDITQKGVEETRLLKSIWFRGFVSLKEYINQIYLPFYFNAKGLHEKHHVMIDLAKTVLE -IESEQRRENYFPWSDTPKPQSVNLKVLIYGLARQLHTDTQRKSYLRMKIENRNNFRRKMYTISTLTSSKS -CIEAGDFTDQKSQNLKKSHNIANPAFSEEFEANVKVEKSNYIDLKSKIPDYIDIQSTKVLICYTKRQEMK -RLGDGGAIKYMLQSMQRKEEYVFSFFNKGQKTAKDREIFVGEYEAKMSLYVIERIMKELCKSNPEEMISE -PGDSKLRILENTANSEIRELISLSKQNRQEVENDPNVVKKKPLKIDINADMSKWSAQDVTYKYFWLIALN -PILYPEEKSSIIKFLCRYMNKKLILPDAMVNSIFDQFKLYEHDIIKDMTNDFKQNWVSIRNNWFQGNLNY -TSSYIHTVSMATYKDILKKAMEWLEGTAHVSSLVHSDDNHTSIILNQGRIGDDDLIRFCYDAFVLVCLTH -GNQVNKKKTYVTNGLKEFVSLFNIFGEPFSVYGRFLLTSVGDCAYLGPYEDLSSRLSSVQTAIKHGCPPS -FAWVSIAMAQWLSYSTYNMLQGQYNDPCDALLIQDRFKIPIELGGLIDCPLHVLVLLGLDAVNVFNLYKI -IKKLSPIILRGNRIEDIIQTSPKWRVGDLSDEDVFQLKIMRYVTLGVEIDSASKMGETSDMRNRSILTPR -KFTTQRALSRLESYKDYKAMVASDIEYNNNLQYMLEHPELLVTKGECSEDYTNTILFRYNSKRFKESLSI -QNPAQLFIEQVLFSKKPTIDYTRIHDKFTRTQERDDSQIIGKKTIREALESIRRDLKFYTVEIEDIMTIM -NCIVVNDPLAVTSINAEILHVLTDSKKRTGLTCSTMPEFRNIKIINHSPAVVIRAYVNPGFCPAGADYRI -LERDVWFLQEFINETKIRDRALQNIQINELSKGEKDLAFEIQEWTRFYQSCYSYIKATEHKVKMFIIPTK -VSTATQFCQAVIGNLKHDSVYYGCYFQKNAIGYNQKGMVSQIHDMAILTADECFRLICHFSDQIISKQHR -VYFLKKLIESYKFRGHSVVELLNRLLESNKRTSFIPLLFHLGELTEEDLDRFQNELGQKNVTWNKWQTNR -NLNTGPIDLMITTDTASLILKGFDNTLEYSRMCISNPTYANIRSAGRMLLNSRHGLNLETLDSCEVSPKL -WYICSQRRNAKRIFYDVKKGSDVLGENAANAAEGRRSYQVIAHCEMEVVYSQKEPRLDYQSIEILNSEDV -YLSKLIISESMYATVRKIDLSKMQDFVGPDLVSSKLNITKLMKSRTLMSCNYDNVISASLMELAGVMDCK -GTNEDFSFDFLSDEVMDADEFDVIEATPNLKIQYGKKGQSYMTLQSAFHEIINLKSELFKKTLTFAGPEF -YSPENIVVLTNFIAMTRMLELEGEALEISNVLHLIFASNDKDPMYHMAEISQEFMSGDQPNYRHLNMLID -SMKCKHENIWSFLFTKASIQIVQALRRRESESQIDIVSLIRQISRRVPNQSDFNFSRIRVLRLCVIYNKN -VCTLCKQTKQFNI - ->YP_009666884.1 L protein [Jamestown Canyon virus] -MDNTEYQQFLARINAARDACVAKDIDVDLLMARHDYFGRELCKSLNIEYRNDVPFVDILLDIRPDIDPLT -LDIPHITPDNYLYLDNILYIIDYKVSVSNESSIITNTKYFEMTRDIASVLGLHIEIVVIRIDPISRDLYI -SSDRFKDLFPTLVVDINFNQFFDLKQALYEKFGDDEEFLLKVAHGDFTLTAPWCKTGCPQVWQHPIYKEF -KLSMPVPERRLFEESMKFNSYESERWNTNLIKIREYTKKEYNDFITKSAKEVFLATGNYKQPNKSEILEG -WEMMVERVHQQREVSNSIHDQKPSIHFIWSTHNPNNSNNATFKLILLSKSLQSIRGNSTYTDSFKSLGKM -MDIGDKITDYESHCDYLKTQARLSWRQVMNKKLEPKKINDALVLWEQQFMVNSELINKNDKLKLFRDFCG -IGKHKQFKNKMLEDIELSKPKILDFNDENIYLASLTMIEQCKIMLSEQSGLKSNNFILDEFGKKIGDCNK -NTLDIMTSIFETRFWQCISDFSTLMKNILSVSQYNRHNTFRIAMCANNNLFAIVYPSADIKTKKATVVYS -IIALHKNETDVFNPGCLHGTFKCNNGYISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHENPTVRLEDV -MTFSLFTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTRLIKNACFEAYNQ -RQKVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMVDLAKTI -LEIESEQRSEITRIWSKDLTKQTVNLQILIHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCIKIGNFQKEKEIQYTKQKKNIEIESRKRRLANPLFVSDEEIGLEVGHCNYNMLREAMPEYRDYISTK -VFDRLYEMLDTDQVSDKPTIELIMDMMADHTDFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNRGIDEAIESLAAENFEGNISKIEKLAKGKA -RGLKMEINADMSKWSAQDVFFKYFWLIALDPILYPQEKERIIFFFCNYMQKKLILPDDLMCNLLDQKATY -RDDIISIMTNQLQTNCVEIKRNWLQGNFNYTSSYVHSCAMSVYKDVLKEAMSYLEGSIVINSLVHSDDNQ -TSVTIVQDKAPDEVLIEFSITQFQKICLAFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWITGLTYNMLPGQSNDPMDYFPAENRKDIP -IELNGVLDAPLSMISTVGLEAGNLWFLIKMLNKHTPIMQKRESVVNQISEIRNWDLNRMDDNEIFKLKIL -RYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTSGSLRKLYSFSKYQDRLSSPGGMNELFQYLLEKPEL -LVTKGEDKRDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSNKPVIDFSGIRDKYINLHDSRALEKE -PDIIGKVTFREAYRILMKDLNSLPLTNDDIQVVYSYIILNDPLMITIANTQILSIYGSPQKRTGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNTPDVQGADPTEMSRDLVHLKEFVDNTNLRTKMEKRIAENELEKGARD -IVFELKEMTRFYQVCYEYIKSTEHKIKVFILPAKAYTTTDFCSLMQGNLIKDKEWYTVHYLKQILSGGHK -AIMQHNSTSEQNIAFECFRLITHFADSFIDAGSRPAFLQLVLDSFSYKDVKVNKLYEIIKNGHNRTDFIP -LLFRTGDLTQYDLDKYDAMKSQERVTWNDWQTSRHLDMGAINLTISGYNRAITIIGEDNKLTYSELNITR -KTPENISISGRKLLSSRHGLKFENMAKVTTYPGNYYITYRKKDRHQYIYQIHTHESILRRNEEHMAIRTR -IFNEIVPVCLVNVAEIDGDQRILIRKLDYLNNDIFSLARIKVGIDEYAVIKKAHFSKMVSFDGPPIKTGL -LNLTELMKSQDLLNLNYDNIRNSNLISFSKIICCEGTDNIDEGLEFLSDDPMAFTEGETIHSTPIFNIYY -SKKGERHMTYRNAIKLLIERETSNFEEAFTFYKDGFLSPENLGCLEAIISLIRVLKTNEWSTVIDKCIHI -CLIKNNMDYLYHKFDMPSCFIDDPISRNINWLMYRDFISTLPPTYIAPWNIMLEHFRTKCSTLIMDKMET -QRNFQDFAKMMRRSEGRTNLDFS - ->YP_009667021.1 RNA-dependent RNA polymerase [Witwatersrand virus] -MQNHLQELARLRGRLRGAQDPADGKELYTAALMLRHDYFGYQLCQAYGIPYRNDVPLEDILLETTEVDAA -NIKIPDITPDNFMFHRGRLVIIDYKVSVSTESTDYTLKKYNEAIEKVQPFVNLRIGLCIIRMHPITNQIM -FTDDEIAHDFGHIHIPLDFTPFVEFISDVKEKFHDSEEFMEMIAHGDVTFTVRWCNEECPELYNHPNFTE -FMSTMSDNYQEMFLESINTCAYKAERWDTNLRKVRDQTRQEYEEFVYKESKKLLMCDGNYKEPSQDEIDL -GWSEMTERIMSMREVSQDLSDQKPSIHFIWAPHDKELPTHTTQKLKFLAKILQAIEDRTRYSEEFKRLGY -LMDIDGNDHVYETFCADLKAKARSKSGQVKNQRMEPLKIGNAYVLWEQQFLLPASEMGKHQRSHLLKDFF -GIGKHKNFSKKGIEDIDISKPKILDFNDKNIKESAYTMMDRTKLALSERNGLEPHHPITDEYMSQIDASN -HLTALTIQKVFKTKYWSCVTDISVLMRNILSISQYNRANTFRVAMCANNSLYALVMPSTDIKTKEATVVY -CIIAYHKEETSVFNPGALHYTYRCPGGFLSISRAMRLDKKRCQRIVTAPGIFLTTTCSFKSDNETIDIND -IMNFALFTSLNVTKSMLSLTEPSRYMIMNSLAVSSHVREYISEKFSPYTKTLFSVYMVDKIRRGCSRANA -QRELIRLRNVHLTDFDITQKGAQDNRDLDSIWFPGKVTLKEYLEQIYTVFYLNPKGLHEKHHVMVDLLKT -VIEIEIDQRLNTVVPWSATPKKQSVNLPILINSIATNYMHDTSYCHHLRTRIENRNNLRRSFSTISTFTS -SKSCIKTGNFYQYKLNQSKKQKKAIQSEMKRSRIADTEHVHEEDRNLEVVHANYELTRQAIPDYIDCIST -KVFDRLYELYKYNIIDDGPCIQQIFKTMKEHNKFYFTFFNKGQKTAKDREIFVGEYEAKMCMYLVERIFK -ERSRVNPDEMISEPGDGKLRKLEKNAEKEIRFLVEKLKLKNDVIDQEIEAAKEHYVYKLDKIEILQSQKY -QGLKLEINADMSKWSAQDVFYKYFWAIALDPILYKEEKEHILLFFCKYLDKELIIPDEVLYNLLDQQRTY -PNDIIKQVTNNLTSNHFNVKKNWLQGNFNYMSSYIHSCAMSVYKDIIKATAKLIEGECLVNTLVHSDDNQ -TSITIINNRIGHKQLTQHCINEFETICLTFGCQANMKKTYITNHIKEFVSLFNIYGEPFSIYNRFILTSI -SDCAYIGPYEDLASRISSAQTAIKHGCPSSLAWLSISIAHWISYLTYNMLPGQINDPLNVLPFESRRDLP -IELGGYLDAPLSLIALAGLESGNLWFLIKFIQKHGDIMYMKEAIVDQVKNIKNWEQLKLSVQEKFKLKVL -RYLVLDAEMDNSDVMGETSEMRGRSLLTPRKFTTAGSLRKLVSFLDFQEAQRQNNGLDNIVQYLLDHPTL -LVTKGESKEDFMNSVLYRFNSKKFKESLSIQNSSQLFLEQILYSHKPIVDYQGLKDKFSVLNDGTFLEDN -PTIQGRFTFPECFNKIDNDLRSLKIDLDDIEVIYNFIILNDPLMVTAVNAHILQTTGIEQPRLGSTCSSM -PEFRNLRMIHYSPAVVLRAYADQNFELPGCDPDDLRRDVYHLEKFIETTGLKIKMENRIKANEGNKGKDI -FFEIKELTRFYQICYEYVKSTEHKVKVYILPTKSYTQTDFCAILQGSLMSDKNWVLVSHLRPVTAGGHKG -SVQKVVSHDAKLAQEAFLTLAHFTDTFLDQQSRIQFFNFIVENYRYKERKMQELLEVILAGSDRVNYLPI -LYRTKQIQQQDLDLYDARKSITRVTWNEWQMNRTLDTGPINIKIETYNSILTIIGMDKQLTMAQLEVPEI -TYTNIVHNGYRLLNAKHGLAFERMTEINPEPNNYYITAQLKSRNRYVYKVYEYKSLIKENNDSTRLGLRH -NPIKAYCILIPVKKPESKRTFVGDLRDINFGNNTISMLQLKNNETARLRKSELSKMQYFEGPQVNILAIN -MNALMKDRTLMGLNFDNLSNMCLTRLSRLIDCDGSDSLEDSIICFSDDPIGQEETQEMNSIPLFKVSYMV -SGARHVNYRNAISQAIYNEVTRFKKAFTLQGKEFYNAINKGMIENFICVLNLQPTNVSTKTLYDCFHVVY -TSDNKDHEFHNLYLDSIFFKNNSPASGEINYKIVKQFLEKFPIPKRAPWNRLVRDAIEVGLTKARQQIEK -CEPEIDFAKELAKFAEDEEIIYESEETSGGYKDIDWAKIMEEEDADND - ->YP_009666936.1 RNA-dependent RNA polymerase [Tataguine virus] -MDPNQKNTFLARINGCRTADLAKDIDIDLLMERHNYFGRQLCQSLNIEYRDDVPLVDILAEMYPDVNLLW -LDIPNITPDNYLIINEDFVYIIDYKVTVSMDTVRVTMEKYTKALNTVKDQIPQFNFEVVIIQANPVSYQI -YVTSDSFRARYPDLNVQLDFNDFFELKTILYNKFEEDEEFLLKIAHGDFTLTSPWLSEKTPELKDHPIFI -EFLDSMPKEYQESFIESLEYCSYTSERWNTLLYKIKEKTSTDYNAFLKNHANYLFCSEGEYPRPTRNEIL -EGWEIMSRRVEETREIMDSEVCQKPSIHFLWAEHDPTQSNDTVKKLIRLSGELQSISGANPMKTAFQQLG -VCMDFKEEEGVYILHTERLKNEARKSYKPIDYKSIQNRRIGRSFVRWEQQFVLDTDNIGPLERKRLLKDF -AGIGAHKVFKDRTLEDCDLQKPKILDFNKESIYIASLSMMNQFKHTLSKQSKVKRKNDLYDFYESSIKDA -STLTNETVKKVMNSKYWDYVSDLSMLIKNMLSMSQYNKHNTFRVAMCANNSLYGILLPAADIKTKRSTIV -FFFVALHKQEDECLSAGTLFAKFKTPTGYLSVSKAIRLDKERCQRLVISPGLFLLSTILLYNNNPNLDLN -DVLNFCLFTSCSITKSMLSLTEPARYMMMNSLALSSNVKEYISEKFNPYTKTLFSVYMTRLIKKACLVAQ -SQRRKITVRDIHLTDYDITQKGVSSERDLEGIWFPGQVSIKEYINQIYLPFYFNAKGLHEKHHVMIDLVK -TVGEIELEQRKTLNKIWSDTPEKQTVNLPVFLHSLSKMLINDTSRHNHLRDKIESRNNFRRSPTTVSTFT -SSKSSVKIGDFTSIKSKLAKKNKNSIAAAERLYKIANPLLFEEAKANLETKHADYDMLKEAIPDFIDYMT -TKNFDRLFELIDTGVVGNQSVIELSMSLMKTHRHLKFAMFNKGQKTYKDREIFEPQWETKLCMYPIERIA -KERCKLNTDEMISEPGDNKLKILEGKSESEIRFLINNLKEKNKQVAETGELYQATKVEINADMSKWSAQD -VFYKYFWLIALDPILYPQEKERILFFMCNYMQKELIIPDEVMCCLLDQKGPRHDDIMMILTEQLTSNHFT -VKRNWLQGNFNYISSYVHSVAMSVYKDIVKSAIARIEGICLINSLVHSDDNQTSITIVQDKLNEDCIVDF -CRRTFEVVCLTFGCQANMKKTYITNFVKEFVSLFNLYGEPYSVYGRFLLTSVGDCAYLGPYEDLANRISA -AQTAIKHGCPPSYAWVSIYLSHWVTFQTYNMLPGQGNDPTSYLRMERSLIPPELCGILKADLSTIALVGL -EADNLTFLTNILKKMSPILLKKEPVLNQLEHIEEWDLSKLSDSEIFRLKLIRYLVLDTEADSTDSMGETS -DMRTRSLLTPRKFTTASSLNRLESFRKYQEVMSDNTKTERLLDFVLERPELLVTKGETSEEFYNMVLFRY -NSKKFKESLSIQNPAQLFIEQILFSNKPVIDYNFIRERFLTLNDSLAAEENQIIVGRMTFKQTFAAIRSD -IDTLTISHKDISIIYSFMISNDPLMLTVANSLVMSTIGSPQDRKALTSNSMPEFRNLKLIHYSPALVLKA -FTKSTVDLPGVNQDELSRDLHHLKEFVNNTKLLEKYDARLTLQSFNNSLEEKMFRIRELTKMYQICYEYI -KTSEHKIRIFILPSKCRTSYDFCSIIQGNLISDTKWFTVHYLKQINSGGYKGVMQKTTPSDLIISSECFR -LLSFFADTFIAEFKRIEFLEYIINYFSYKTIPVSQLLFVMRNSQNRTDYLPILYHLGLLEQRDLDQYDAM -KSSERISWNYRQTSRDFNTGPIDLTLKGYNREMLILGQDDILKIAELKLKSRTIDSILRAGSKLLNCRHG -LRFEKMKQCDIEEGKLYITYQRKTHHQYTYQIHTFNSIKVRNSETPRLGRVKNDIIPVCEVIVSEYFDAP -SISLRQLKYLNTDNIWLSKLKLNDNEVASIRRAPLEKMRLFEGPELTMGLLNITHLMKTTELLCLDYNKL -ISTNIISTAKLVDCNGESNDILEEDIMILSDEPLEQSVPESMNTTPAFNVHITKTGDSHMTYRNAIKILI -SRETERFKRSFDFTGDGFLSRTNLGVLAVLKFLINQLKTNEWSSILLSTIHLTMIVNNMDAEFHLLELPS -YFFTNPIENKIDWQKLQNYIRTIPTTSDPLWKEIFENFKVKSQTLIQEKIDISTDFNQITSSIAMPFGRS -DFEFTE - ->YP_009664559.1 RNA-dependent RNA polymerase, partial [Umbre virus] -MLDQENEQALNRLAAAVARANEPAEGKEAYNAILSRRHDYFGQVFCETIGIPYRNDVRLEDILHECDQTV -DTLTNKIPNITPDNFEFRDNILTIIDYKVAVSDESADYTREKYENAVDAIKHLVDFPIRVCIVRMHPHTR -QVTYSDDNFMRRFAHVVYPVDFTRYTQLTEELKEKFADSPEFMDMIAYGDVTFTANWCQNGCPELYEHPI -FKEFLESMPLKYQKLFEKSLQSSCYTSERWDSNLKEVKSATREEYEDFISRSAKELYETSPDYSEPSREE -ILKGWEEMTERVKEQREVSSNINDQKPSAHFIWAENNPELPTANSAKICAISKLLQSINIESSFAEDFKR -LGKLFDFSEDIPGYERHCEQLKRQARSNPGQVKNKKLDPKKIGNALVLWEQQFLLSAEEMGKENKLHLLK -DFFGVGKHKQFAKKGLDDTETDKPTILDFNDAEVKRSAELMMQKTKLYLSGKSSLNREHPIASEYLNGIK -KCNDETYNVLNAIFQTKYWQCITDISMLMKNMLSVSQYNRNNTFRIATCANNALYGLIFPSADIKTKDAS -IVYCIVAVHKDEKSLFNPGALHATFKVPGGFLSISKSMRLDKKRCHRIVTSPGIFLTIATMLKGDNPTIN -LNDVLNFSIFASLNITKSMLSLTEPSRYMIMNSLAVSSHVREYISEKFSPYTKTLFSVHMVEKIKAGCLN -AFKQREKIKTRDIYLTDIDITQKGIQDNRDLCSIWFDGNVTLKEYLNQIYIVFYLNPKALHEKHHVMIDL -LKTVIEIELDQRENVPQPWSDIPKKQTVNLPILVHSLAKNLLLDTSFAHFLRSRIESRNNFRRTFATIST -FTSSKSCLKTGNFHEFKIKQCQRLKKVNASEAKKHRIANAMFVEEQDQHLEVEHANYELMRKAVPDYVDV -LSTKVFDRLYELYKTGEIDDEPVIHQIFTTMRTHTKFYFTYFNKGQKTAKDREIFIGEYEAKMCMYLVER -IYKERSKVNPDEMISEPGDGKLRVLERKAEQEIRFMVEKMKAKNDEINKEIEDAKDNYVLNLGKIAALQA -QKYKALKLEINADMSKWSAQDVFFKYFWSVCLDPILYPEEKEHILYFFCNYMQKELVIPDEVICNILDQR -KAYPNDIISQITNGLTTNHFNVKRNWLQGNFNYMSSYAHSTAMSVFKDVIKRAADLLEAEVLIHSMVHSD -DNQTSVTLVDNRICPRQFTAFVINTFEKICLTFGCQANMKKTYITNHIKEFVSLFNLYGEPFSIYGRFTL -TSVGDCAYIGPYEDLASRISATQTGIKHGCPASQAWLSIAIAQWMTYLTYNMLPGQQNDPQPILEIDRKQ -LPLELGGYISCPLSLIALAGLESGNIDFLIKLVNKYIDPRLQREPIQDQCTDIKNWDISKLSKVEIFKLK -VLRYLVLDAEMDNTDIMGETSEMRGRSLLTPRKFTTAGSLRKLISFEDFQAVQDSEQGVDSIVEYMLQNP -ALLVTKGETKGDYMNTVLYRYNSKKFKESLSIQNPTQLFLEQILFSHKPIVDYTGIAEKFSNLNDGTMLE -DNPTIKGRMTFTDAFAMIKKDLSTLKITNEDLEIVLKFIILNDPLTVTAANAHILHVIGHSQPRLGSTAC -VMPEFRNLRMIKHSPALVLKCYSKNTLLLPGVQERDMERDLNHLKTFIETTKLEDKMQNRIKKNEDLKKS -KDIYFEIKEVTRFYQTCYEYVKSTDYKVKVFILPAKCMTQTDFCAVLQGSLLEDKKWKLIQHLRPVTAGG -HKGEVQPVVSMDFKLAREAVNLLCFFTDSFIDSRYRRDFLLKACVEMAYKDRPMVTLIEEIKKSKNRTSF -LPFLYRIGELTQEDLDAYDAQKAGGRVTWNHWQSTRSLNMGKIDFQIDTHKTHLHIIGEDQQLVIAELKI -PKLTANAITQAGYRLLNEKHGFRFETMNEVLVQPRKYYITYQMKSKKQYVYKIFDTATLLYERRNIKQYT -GREANPIVAVCEVVPMEEEETPALSLGDIEMYNADNESVSILKTSFNESAKMRRANLSKMQYFETIGGSM -LKIRFINVNALMKDTTLMSLNYDNLVNADLARFSKLLDCDGDTDSVEDGILCFSNDPMEQTTTEEIDAIP -LFKVSYKISAPARLTYKNAIRELLRREITKFMDAFTFVGPSFDSPENMGFICNICCIIQLLHTNEWSTIM -LNCIHICMIYLEKDIRFHKFLLNRIFFEGLNPASGKLDYKKIKEFVISLKDREMAPWNEIFTKFKQKCVT -LLDKEITRIEQNTNLENTLALDENTDDQSGFLLFEQFTVQIYARVHLFSLVYF - ->YP_009507885.1 RNA-dependent RNA polymerase [Koongol virus] -MEDELEEILNALEAKVARARDPAYGKEVYTEILTERHNYFGKVFCREIGIPYRNDVPLVDILHACQPLID -TINNKIPDITPDNYEFEDGILTIIDYKVAVDDHSTEYTREKYERCIDEVQNLVDFPIKLAIVRIHPHTLQ -VTYTDQAFMRRFGHIAYPVDFTRFSQLIEELKAKFEDSPEFMDMIAFGDVTFTAKWCEEGCPELYEHKIF -KEFFSSMPRQYQIMFERALNTCGYKAERWDSILKEIKAATKDDYEHFVMTNAKQLMEFDGNYSEPSPDEI -AKGWSEMTKRIQEQRDFTSNVSDQKPSAHFIWTENNPDLPTNNSKKVAMVAKMLQNIETKSSFAEDFKKL -GKLMDITEDIPTYERFCEELKSQARKNPGQVKNKKLEPKKIGSALVLWEQQFLLSAEEMGKENKIHLLKD -FFGIGKHKQFSRKGLDETDTDKPTILDFNDENMKKSANLMMQKTKNYLKNESSLTAKYPIISDYLPHIAE -SDADVINVVKSIFKTKYWQCITDISMLMKNMLSVSQYNRANTFRIASCANNALYGLVFPSADIKTKDSSI -VYCILAIHKEETSLFNPGSLHATFKVPGGYLSISRSMRLDKKRCHRIVTAPGIFLSIATLLKSNNDTIDL -NDVLNFALFTSLNVTKSMLSLTEPSRYMIMNSLAVSSHVREYIAEKFSPYTKTLFSVYMVDKIKQGCLKA -FQQRDKIKTRDIFLTDYDITQKGIRDDRDLTSIWFPGSVNLKEYLNQIYLVFYLNPKGLHEKHHVMIDLL -KTVIEIELDQRENVPQPWSDIPKKQTVNLPILIHSLCKNLLIDTSFAHHLRTRIETRNNLRRTFATISTF -TSSKSCIKTGDFHKLKLEQSKRQKKTIDSELKKHRIANPNFVEDHERELEITHCNYELMKKSIPNYTDVI -STKVFDRLYELYKENTLTDQPVIGQILQMMRKHKKFYFTFFNKGQKTAKDREIFVGEYEAKMCMYLVERI -YKERSKYNPDEMISQPGDKKLLLLEKNAEKEIRFLVERLKKRNLEIDAQISEEKERYIQRLDRIVALQSQ -RYKALKLEINADMSKWSAQDVFFKYFWSIVLDPILYTEEKEHILYFFCNYMEKSLVIPDEVMCNLLDQRK -PYPNDIISQITENLKTNSFNVKRNWLQGNFNYMSSYVHSCAMSVYKEIIRRTADLIEGDVMVHSMVHSDD -NQTSITLIDNRIDPRQFTHFAIAMFEKVCLTFGCQANMKKTYLTNHIKEFVSMFNLYGEPFSIFGRFTLT -AVGDCAYIGPYEDLASRISSTQTAIKHGCPASLAWLSIAISQWMTYLTYNMLPGQVNDPTVYLDLPREEI -PLELCGTINSPLSMLALVGLEAGNLTFLTDLLHKYTNPLIQREPVQVQCANLHQWDLTKLTQSELFKLKV -LRYLVLDAEMDNTDIMGETSEMRGRSLLTPRKFTTAGSLRKLISFLDFQDKQTSPNGIDDVVLYMLDNPA -LLVTKGENKDDYINTILYRYNSKKFKESLSIQNPTQLFLEQILFSHKPIVDYTGVKEKFSNLNDGTLLED -NPTIKGRMTFPEAFVQIKKDLNSLPFTLQDLECVYNFALLNDPLLVTAANAHILHVVGHSQARLGSTCAT -MPEFRNLRLIKHSPALVLRCYATGRYDLPGVTRRDMERDIGHLEEFIKETKLLEKMNQRIRQNTIETGDR -DIYFEVKELTRFYQVCYEFVKSTDYKVKIFILPARCPTQTDFCAILQGSLLEDKRWRIVQHLRPVTVGGH -KGKVQEIVSMDYKLAKEAVNLICFFADTFIDSNHRVVFLKTICEHFTYKERKMEDLIREIKTCKTRTEYL -PFLYRIGDLTQQDLIDYDMQKSAGRVTWNKWQTTRTLDMGKIDFQIDTDRCHLRVVGEDQQLTIAEFQVP -QLDSRTICQMGFRMLNEKHGFKFENMKEIPIRERSYYITYQMKSRGKYVYKIFDSASLMYEKRKADENVG -RTTNPVIPVCEVIPMINPEIPKIDIDEIYLYNASNDYLSILHLNFQESAKMRRTELAKMQYFEGKTLLMR -FININALMKDTTLMNLNYDNIVSVDLARFSNLIMCDGEDDDSIDDGIMCFSDEPMEQTTSETMDAIPLFK -VTYKISAPRRLSYKNAIKEVISRETRLFMSTFTFVGDRFDSGPNLGYIGTLVSIINHLHTNEWSTIMKNC -IHICMIALNKDRMFHLFTFNKIFFEKEQPASGILNYRRLKEFILSLKDCTQAPWDEIFSNFKAKSIIMLD -KEIAKVDVGTDFSTILSLEDTEEEGELRYT - ->YP_009507871.1 RNA-dependent RNA polymerase [Gamboa virus] -MNETLEEELKALEGRLKGVRETSDGKELFTAILVRRHDYFGYELCKAMDIPYRNDVKLVDILHECFHDID -TGDVIIPNITPDNFIFDGEVLTILDYKVSVSLESTEHTIEKYTKELDKIRNFLPSRVELCIIRCHPRTTK -LTFTNDRIKRMYANIQLELNFEPFENLITELKDRFRDSDEFLAMIAHGDVTLTVKWCQTGCPELRQHPIF -NEFYESLEDKYKEMFDRSMQFSAYSAEKWNQNLIKIRDQTSDEYKEFVRRNSSELFQTDGNYPEPSKEEI -LAGWDEMSERIQQTRQMSKDINDQKPSIHFIWSEHNPKLPTHSTHKLMMVSKLLQNLSSNSDFADDFRQI -GKLMDIGDNVLVYENHCEALKLEARKNLKPIQNRKLNPRRIGSAQVLWEQQFLMSAEDMGKARKVHLLKE -YFGIGRHRDFSHKTNSDINMEKPKILDFNDPDIIRMSQIMMEKTSGLLSQQTTLKPNHAIVDEYMDNIKE -ANENTFKTIKQILSTKYWSCISDISMLMKNILSVAQYNRSHTFRLAMCANNSLYALVLPSADIKSRKSTV -VFCIIALHTEEESVFNPGCLHATYKTFTSDKTGWLSISKAIRLDKERCQRIVTSPGLFLSTVTLLKQDNN -SIDLNDVMNFALYTSLNVTKSMLSLTEPSRYMIMNSLAVSSHVKEYISEKFNPYTKTLFSVYMCNKIKIG -CLNAFNQRQKIKLRDIFLTDFDITQKGISDNRDLTSIWFPGFVTLKEYLNQIFLVFYLNPKGLHEKHHVM -IDLLKTVIEIELDQRNNIPVPWSDTPKKQTVNLPIFLNSVAINLMHDTAYCTHLRSRIENRNNLRRSFST -ISTFTSSKSCIKIGDFSSLKTEINRKIEKARKAEEKRSRIANPDFIHDEEYKNVVEHCNYDMMRKAIPEY -TDHISTKVFDRLYELQKNGTLTDEPVVGQILEMMVNHKQFFFSFFNKGQKTAKDREIFVGEYEAKMCMYL -VERIFKERSKVNPDEMISEPGDGKLKVLERKAEQEIRFLIEHIKQRNSPIMEEIENLKESVLFDKSKLED -LVNSKYHGLKLEINADMSKWSAQDVFFKYFWVVAMDPILYPEEKERIIYFFCNYMNKRLIIPDEVIYTIL -DQQIPYKNDMVMQVTNGLHSNTFNVKRNWLQGNFNYMSSYVHSCAMSVYKDILRTAAKKIEADIMVNSMV -HSDDNQTAICLINNRLDTRVFTKFAIQLFEKICLTFGCQANMKKTYVTNHIKEFVSLFNLYGEPFSVYGR -FTLTAVGDCAYIGPYEDLASRISSTQTAIKHGCPASLAWLSISISHWITYLTYNMLPGQINDPSVSLGIE -NRREIPIELGGYLEANLSMIALAGLESGNIQFLINLLTKMVNPILFRENVVSQCSDIKNWDLTRLTFSEI -FRLKVLRFLALDAEMDCSDTMGETGEMRSRSLLTPRKFTTAGSLRKLVSFGDFQACQSEPEGINTVVEYM -IEHPELLVTKGETAEDYKNIVLYRYNSRRFKESLSIQNPAQLFLEQILFSHKPIIDYTGIQEKYLNLSDS -TVTEDQPEILGRVTFPEAFRKIREDLSTLKITINDIQTVYDFVILNDPMVIAIANAHLLSNQGAMQDRLG -SSCSTMPEFRNLRLITHSPALVLRSYSTGRYDVPGADPDEMRRDRTHLQEFIDNTKLLLKMEQRIEQNEK -AKGSRDILFEIKEITKFYQVCYEYIKSTEHKVKVYILPVKCYTTTDFCAAIQGSLIKDKQWAVIQHIHPV -SAGGHKGIVQKHTTNEIKLAEDCMRLIAFFIDTFIDENHRIYMFKRMVDEFTYSGRKVSDLLEIIATSKN -RVDFLPILYRSGLLEQRDLDSYDALKSSGRPTWNKWQTTRALDMGKIDLSIDTHRSSIRIIGEDRNLHYA -ELYLPSINYDSITRSGYKLLNAKHGLKFQSMSEVSVRKDEWYITYQRKSRDNYVYKIFYGKKLLNPLPDH -DLRYNRTTNPIVPVCHVIPMVRPDIDRIMLEDMDSLNIENFKIGVLNTGIGEQARLRRCMLHKMKNFEGP -DIKSKVINLTKLMKDNTLMSLNYDNVCNVHLSQFCKLLDCNGNEGTLDDAMYSFSDEPMEQTQIDEIECT -PIFKVQYSVPGSRYLNYRNAIKELLSREIRQFREAFTLVGDDFDSPENIGYLENIICIIDQLQTNEWSTI -MKKCIHICFLASNQDHVFHTFSLNKYFFEGNTPASGRLNWRNLKSFIISLPEIKSAPWRNIFSSFKLKCI -ALLDREIAKVEVNQDFSKILAREDLRQSFFNFF - ->YP_009507862.1 RNA-dependent RNA polymerase [Lukuni virus] -MERELKRNFRARINAATTADVAKDIDIDLFMARHDYFGKMICEAYNIEFRTDVPLTEILLDTIDGFDPMA -FKIPNITPDNYVALDGRIFLIDYKVTLSMETVYATMDKYTNAVGEVQPNIPAILEVVIVQINPRTKEIYI -SNDIFREIFGVPQIDINFDDFFELKDMLYTKFENDDEFLLKIAHGDFTLTAPWINEDTPELYEHDMYKEF -IDSLPEVYRDLFIESLDFNAYNSERWNSLLYRVRDLTRAEYNLKVSQSAKKVFETNGKYNKPSKDEIKEG -WDLMSERIKTTRTLLSSSGDQKPSIHFLWCEPSGNSQKRPEEKIANLSKQLQGITGCHDMVNPFRELGAC -FDISEGLDIYIIETRKRKDDARRKQGQVQNKKLSPFLAGKASVIWEQQFVLSQENITLQSKKKILKDFCG -IGNHKVFKAKTQDDIDLTQPKILDFNNESIYLDSVKMINKTKNLLSKEAKYKAKSNFILDNFGRQIFDSS -AETFNVMEKIFSSQYWSFINDYSTLMRNMLAMSQYNRPNTFRIATCANDNLYGILLPSSDIKTKQSTIVY -IIVCLHDKEEDIIDPGAKCYTFKSANRFITISRAMRLDKQRCQRIVSSPGLFLLSTLLMYNNNPMVNLAD -VMNFTLFTSLSVTKAMLSLTEPSRYMIMNSLAISSDVKGYISEKFSPYTKTLFSVFMTHLIKNACFQAYN -QRDKVSFRDIYLTDFEITQKGVRENRDIKSIWFPGNVTIKEYLNQIYMPFYFNSKGLHEKHHVMIDLIKT -VGEIEIGQQSLGSEYWSDIPKPQTVCLPIYLNCLSRSLITDTSRHNHLRNKVESRNNFKRSPATVSTFTS -SKSSVKTGDFSDFKERKSQKESNMREIAKIKLANPIFFDDETIDSELKHANYQALKQCIPDFKDYITTKN -FDRLYEMYADGTINDETTIQCCLNMMRTHKEHNFAIFNKGQKTAKDREIFEPEWETKAGMYVIERLAKER -CKLNLDEMISEPGDGKLKVLEQKCEAELRYMLSKQTELRKKTEMDNYRSTKIEINADMTKWSAQDVFVKY -FWLIALDPILYPFEKKRIIYFMCNYLNKNLIIPDEVMCSLIDQKLMRENDIFLELTNNYTRNYFPVKRNW -LQGNYNYTSSYVHSCAMLYFKDIMKETGKLLQGDCLVNTLVHSDDNQTSVTFVQNIMPDENLIEHIVKTF -TVTCLTFGCQANMKKTYFTNFIKEFVSLFNIMGEPFSIYGRFLLTSVGDCAYIGPYEDLSSRLSSIQSSI -KHGCPPSYCWAGIAVAHWMTYLTYNMLPGQINDPIQHTPYTDRKKMPIELFGVLDAPLHVIALAGMECQN -LSFLINLLQKNSTILQKKDPVISQIQNIKNWRLSDLTEEETLNLKILRHIHLDSDQTSDNIGETSDMRSR -SLLTPRKFTTAGVMRKLTSFIDYQTVMSSKDKTKELLEYISGHPELTITKGENKEEYMNIILYRYNSKRF -KESLSIQNPSQLFIEQILFSHKPVIDYDHLSERFLTLSDSIAVENHPKISGRYTFVESYYKLIQDLNSLP -LTIDDIIIVFNHCIANDPLLVTIANSMVLSTIGSPLPKVGIAANSMPEFRSMKLIHHSPALVLRAYSRGN -PDIHGANVEEMERDLVHLNQFIDEVGLIKKMEDKLSKIEFADEIQYNLAKIRELTKVYQICYEYIKSADH -KVKVFILPTKTKTVHDFCSVIQGSLIKDDKWFSMHYLKQVVVQGHKSIITKTESTDYNIAVECFRLLFFF -LDSFVSQDYRTSVLKEMISTYSYKNIPLEKLLDMICQSQNRFDFIPLLWRTGYLTQNDLDRYDAMKSSER -ITWNMAQTSREFDRGPIDLQINGYNRSIRIVGQDDILTAAELRLINTRYETIINAGRKLLNTRHGMRFER -MQKCTIDEGEFYITYQRKNQNQYTYQIHNYSSIITRNEENKNKGRFFNEIIPVCLVIVTEQKASSKVLLR -RVPFLNDENFLLSKVQITPTEQVAMRRANLSKCSMFYGPDLNIGMVSLRKLLNCQELMTLDYSKISNLSL -ISISKILSCDGTQRTDCDEFDFNAFSDDIMEVQEMEDIETTPILKLYTSRYGNSRTTYKNAINESLIKSM -NNFKTAFDLASDGYYSRKNLGILSIIVSTAKLLETNEWSSILVDCIHITLIANNMDSTFHKFEMPLAFLE -NPLSFEVKWLKLKSFIQSLPDVIDPMWSEIFHHFKEKSITLLDKRIAEPIDFMAILDEIEVEEGLVEFEF -H - ->YP_009362987.1 RNA-dependent RNA polymerase [Wolkberg virus] -MDDQLYNQFLRRIRVASDPAVAKDIDTDILMSRHDYFGREICRALEIEYRNDVPIIDIFLEVQPDFDPMR -YTIPNITPDNYLYRNGTLYIIDYKVSVSTESSIQTNKRYRDILDSICPIIGLNYEVVIIRANPMNNEIYF -SSDSFRNLFGPLVINLDFSRFLDIKKLLFEKFGDNEEFLLKVSHGDFTITAPWCKERTPELYDNNIFIEF -MNSMSEEYQDMFLDSIMFNPHEADKWNVHLINLKEKTNREYEKYITDIAVKLFESTGNYKKPTRTEIEKG -WEEMSNRVQKEREISKDVMDQKPSGHFIWSPPDDAYPKDNIGKILFISKLLQDMSGSSEFLDAFRMIGKA -MDFSANVPLYNRVTLTRKEEARSQKGKVMNKKLEPVQIGEALVFWEQQFSLVNDKFDKVVRSNLLKNFFG -IGSHKQFKSKMIDDIEVEKPKILDFNSDDIYLESIKMLQSTKNILGQNNNLDENKDFIYSNFSKKIKTCS -ERMEACLQKIIKCNFWNCLNDISILMKNMLSLSQYNRHNSFRIATCANNSIYGLVLPSSDIKTKRATLVF -CILAVHKEKESLLTPGALHATFKSGQYYVSISRAMRLDKERCQRLVTSPGLFLTTSMLFYYYSPNSELLD -IMTFAFYSSISITKSLLSLTEPSRYMIMNSLALSSHVREYIAEKFSPYTKTLFSVYVTRLIKIACFNANS -QRERISLRSVALSEYDITQKGVEDNEDFDSIWFPGKVTLKAYINQIYLPFYFNPKGLHEKHHVLIDLAKT -VLEIEKDQRLNCPEPWSDEALKQTVNLPVLIHSLAKNLLVDTSRHNHLRNKIENRNNFNRSITTISTFTS -SKSCIKLGNYSELKEKQAKDREKGTLSVLKRMRIANPLFVNEEDLKNEVAHSNYNNLRQSIPLYKDFIST -KVFDRLYELLKTENLSEDGATIQNIMKMMKDHTDFYFTFFNKGQKTAKDREIFVGEFEAKMCMYAVERLA -KERCKLNPDEMISEPGDGKLKVLEMKSEQEIRFIIETIKQRNQNLMDDILLGNQRQKFKATKIEINADMS -KWSAQDVFFKYFWLIAMDPILYPNEKERMLYFMCNYMNKKLILPDELLCNILDQKMIYRDDIIMEMTDNL -NKNYVEIKRNWLQGNFNYTSSYVHSCAMSVYKDILRLVSIKTGSEVLVNSLVHSDDNHTSIVYIHDKLHQ -DLFIEHSIMSFEKVCSAFGCQANMKKTYLNNTIKEFVSLFCISGEPFSIFGRFLLTSVGDCAYIGPYEDM -ASRLTSTQTAIKHGCPPSLAWLSIAINHWITFTTYNMLPGQVNDPTARLPLKNRSELPVELFGILNADLS -TIALTGLESGNLTFLVELLVKNSPIEMRKETIIDQSLLIKTWDINKLSDAELFKMKILRYLVLDAEIETD -SVMGETSEMRGRSIITPRKFTTIGTLKKLISYTDYQKMLSDEQKTNEVFEYMATKPELLVTKGETKEDYM -HTILFRYNSKKFKESLSIQNPAQLFIEQILFSHKPVIDYSGIRDKFSMIGDNLELEEQPDIIGRMTFNQV -YENLSKDMAALPLTLEDVHVVYDYMILNDPLLTTVANALILKLESLPQSRTGVTACNMPEMRNLKLITHS -PALVLRAYSQKNPDIPGADIEEMRRDLLHLEEFVEKTKLKEKMEDRIAKNQMANRNERDIKYELKELTKF -YQICYEYVKSTDHKIKVFILPSKAYTSIDFCALVQGNLIKDEKWIMIHYLKSINTIGYKGIIQRTSSGEM -NLAMEAIRLIAYFGDTFINPYSRKRFLKEIIDNFTYKFVPVEYLYRLILNSHIRHEFLPVLYWTGELTQH -DLDKYDAMKANEHVSWNDWQVNRNLGTGPINLKITAYNKSLYILGEDDILKISELQLTKISNDYIHIAGK -RLLSSKHNLRFELFKRYNITSDFNYYITYQRKAKNIFVYQILNTQTIERRNAEHAAVKTRVYNEIVPVCP -VIIAEMPRSQGIELKTIRNINYDNNSLTRLKISDREYSIMKRAHLYKMQNFDGPKIKTGILNVNKLMKTP -ELLNTNYSKVSRSSIVSLSKILECDGTTDDDVLEFLCDDPMDDEEVETIESEPIFTVTYLKKGKKVNSYK -SAIKTLIFNETETFESIFDFSKLGFLSGKNLGILEVIVSLVKILRTNEWSTIMLNCIHICLIRHGYDRQY -HLFELPRMFIIDPITHKLNWGELKRFVINLPEINVSPWDIIFTNFKAKCSELIDRELGKENRFGYYLDSL -EKDFGKSMFDFE - ->YP_009362074.1 polymerase [Kaeng Khoi virus] -MDKQQYDQFIARIRAATDPSVAKDIDTDLLMARHDYFGRELCKALNIEYRNDIHITDIFLEILPDFNPLE -INMPNITPDNYLFVNNKLYVIDYKVSVSKDTAVQTFNRYNEIFRDVCPKLGVAYEVVIIRINPISKEVNF -SSDEFRNLFNDITLDINFDQFFDLKRMLYEKFADNEEFILKVSHGDFTITAPWCKTGCDDLYKHPIYKEF -KYSMPIPYRRLFEESIRFNAHEAEKWNVNLIKLKDYTKAEYNKYIDKLVKSVFLLDGNYSKPVKADIQIG -WDAMTERIKIERELSNNICDQKPSGHFIWTPPNHLKSNNNIEKIIRLSKSLQNLNGPAELASAFKSMGAC -MDFSEEVEKYNQICLTRKEEARLSWKKVTKKLEPVKVGKCYMLWEQQFILANEYFDKNDKIKLLKNFFGI -GGHKTFKNKMNNDLDLNRPKILDFNDDNIYLESIKMLDATRSILSKESNLDPLKDFIYTNFATEIKSASE -DMSKHLEYIIKSCYWSSLQDISVLMKNMLSVSQYNRHNTFRVATCANNNMFGLVMPSSDIKTKRATLVYS -IIVLHETESCLLNPGALYATFKTVNGYISISKSIRLDKERCQRLVTSPGLFLTTTLLFKYYSPNVELVDI -MNFSYYTAISITKSLLSLTEPARYMIMNSLAISSKVKDYIAEKFAPYTKTLFSVYVTRLIKNACFTANRQ -KEKIELRSVALSDYDITQKGVEDNYDFESIWFPGKVTLKGYINQIYLPFYFNAKGLHEKHHVLIDLAKTV -LEIEKDQRLNTVNPWSEDEVKQTVNLPILIHSLSKNLLLDTSRHNHLRNKIENRNNFNRSLSTISTFTSS -KSCIKIGDFKELKSHVNKKIEKANSAFEKKMRVANPLFIDEEKIDLEVNHSNYEDLRQSIPNYQDFMSTK -VFDRLYELYKDDKIDDNLTTIQTIMNTMVEHKDFYFTFFNKGQKTAKDREIFVGEFEAKLCMYGIERIAK -ERCKLNPDEMISEPGDSKMKILELKSEQEIRFIVENMKQRRSDQILGENNDEIYKALKIEINADMSKWSA -QDVFFKYFWLIAMDPILYPQEKERMIYFFCNYMEKKLILPDEMICNILDQKIHRENDIILEMTDNLNANY -VNIKKNWLQGNFNYTSSYVHSCAMSVYKDIIKDVAQLIEGNSLVNSLVHSDDNQTSIVYIYNRLSKEILI -QHSIETFEKVCYAFGCQANMKKTYLNSVIKEFVSLFCISGEPFSIFGRFLLTSVGDCAYIGPYEDMASRL -TSTQTAIKHGCPPSLAWLSIAINYWITFNTYNMLPGQINDPLLGLPAKNRVDLPVELFGIIQSELSTIAL -LGLEAGNVTFLTNLLQRCSPIDMRKEQIVDQCTKIQDWDMRILTDNDIFRLKVLRYLVLDSELEVDNTMG -ETSEMRGRSLLTPRKFTTNGCLKKLISFNDYQNQLSNHGGLDPNLEYMLAKPELLVTKGENKQDFMNAVI -YRYNSKKFKESLSIQNPAQLFIEQILFSHKPVIDYSGVKNKYSYIGDNLELEEQPDILGRMTFQESYQML -SKDLNNLTLSLHDIELIYQFIILNDPLILTIANSLVLKLESLPLKRTGVTCNNMPEIRNLKLIQHSPALV -LRAYSQNTLDLPGADEEELRRDVLHLVDFIEKTKLKDKMLERINKNRAKLQVTQDLKYEIMEYTRFYQIC -YEYVKSTEHKVKIFILPTKSYTSTDFCALVHGNLKRDDNWIMVHFLKNISSLNYKGTIQKASSNEMNIAI -ECFRLIAYFADSFIIDFSKKKFLKEMIDKFTYKLVPVQHLFDVIRRSHIRHEFIPILYWTDELTQKDLDK -YDAMKSAEHVTWNDWQVNRALGTGPIDLKIESYNRSIHIIGENDKLMIAELQLARTGMDIITFSGRKLLS -SKHGLKFENFSKVEFDDRVDYYITYQRKTKNTYSYQIHNQDSILRRNQEHFGNKTRAFNEIIPVCPVIVS -TISKKPRIDKNKLQYLNYESVSLGKLIVNENETVLLKRAQLHKMQHFDGPELPYGLIDLTALMKTTELLN -TNYDKISKASLISLSKIVHCQGAVEEETLEFLSDDPMDMEDQTNIESQPIFSVTYLKKADKKMSYKSAIK -ELIYKQTEIFETLFDFSENGFCSGENLGVLETIVCIIKMLKTNEWSTMIDNCIHITLIKNGLDKEYHLFS -LSTYFFLNVATGDIDWHKIKEFVLTLPEIDSEPWATMFSRFRNKALDLIDKEIKKNKQFKSFITVLKKRG -GRSMFTFDE - ->YP_009362066.1 polymerase [Nyando virus] -MDQNQYQQYLARIRSARDATVAKDIDTDLLMSRHDYFGQQVCRALGIEYRNDIPLIDIFLEIDSDFDPLS -MSIPAITPDNYQFVDNILFIIDYKVSVSKDSSIQTFNKYTELIGPICKNIGIMLDVVIIRANPLTQEVSI -NSDLFKNYFTIRNLEFDFHQFADLKRILYEKFSDDEEFLLKISHGDFTLTAPWTQENVRELYDHPIYREF -KYSMPIPYRRLFEESLQFSAYSAEKWNTNLLNLKRYTQDYYSQYIASQAKTIFTSTGDYPKPSEQEILTG -WAEMTNLLSAEKQFSNNINDQKPSGHFIWGEPDIEQSNSSIPKLLKVSKALRSIKSNDKIGDAFISIGHC -CNIEGFESIYEDVTALRKVKAQTEWKTVLNKKLEPVKINEALVLWEQQFIIQNEYFKDNNRVVLLKDFFG -IGKHKTFKDKTVTDTEIGRPKILDFNDKIIYLESVKMVDKSKQILSKRSNLDINKDFIYSTYSQQIKNAS -SCTHDNLCSLLTSNFWACLSDISTLMKNMLAVSQYNRHNTFRIATCANNNLFALVMPSVDIKTKRSTLVY -CIILLHRQEDGFLNPGALFNTYKTYTGYISISKSMRLDKERCQRIVTSPGLFLTTSLLFKEENNNLDLND -IATFSFYTSVSVTKSLLSLTEPSRYMIMNSLALSSHVKDYIIEKFRPYTKTLFSVYVVMKIKDACISAYN -QKSKIELRDVYLTDYEITQKGVSNKKSFNSIWFSGKVSLKSYINQIYLPFYFNAKGLHDKHHVYVDLAKT -ILEIEADQRRTTGDIWSNTPKKQHVNLPLLVHSISKNLLLDTSRHNHLRNKIENRNNFKRSLSTISTFTS -SKSCLKIGDFEEEKKKISKLANKATQSAIKKTRIANLNFVSEEELTNTVQHATYKDLKAAVPNYTDHIST -KVFDRLYELFKNGMPDKPAVELFLDAMKNHKKFYFTFFNKGQKTFTDREIFVGEFEAKMCLYLIERIAKE -RCKLNPDEMISEPGDSKMKALEAKYNEEVRYIIEKTRSANNEIDAKIEELQNQSNINPDINKRLKDLQDT -KAKAVKLEINADMSKWSAQDVFYKYFWLIAMDPILYPNEKEHILYFFCNYMQKEVILPDELMCNILDQKI -QHDNDLISMMTNNFNTNSVCIKRNWLQGNFNYTSSYIHTCAMSVFKDIVKEIALYLEGDCLVNSMVHSDD -NHTSVILVQNKISEEVIIDTTMQQFERTCLAFGCQANMKKTYVNNIIKEFVSLFCISGEPFSVYGRFLLT -SVGDCAYIGPYEDMASRLTSTQTAIKHGCPPSLAWVSIALNQWITFNTYNMLPGQKNDPTKIFLTKREEL -PLELFGLLSTELSTLALLGMDSNNVTFLTNLLRKCTPILYRRESIQVQSQKIQDWDLRLLSNAEIFYLKV -LRYFVLDNIMEVDDTMGETSEMRGKSILTPRKFTTAGSMKKLLSYRDYQDTIENQDRFHANLEYMLKKPE -LLVTKGETKEDFMNSIIYRYNSKKFKESLSIQNPAQLFIEQILFSSKPVIDYLGLKEKIYTVGDTLFEEN -NPDIFGRLTFPQAYRLIYTELETLNLESPDIELIYNFCILNDPLTTTIANSLLMQLIGPEQDRLGLTCNT -MPEMRNMKLIHHSPALVLKAYSSSQVDIPGVEIEELQRDLVHLEEFIEKTKLTEKITKKFQARQTEQMSK -EQKLILQLKEKTKFYQICYEYIKSTEHKVKIFILPSKAYTSTDFCALIQGNLLKDRTWSSIHYLKPIIST -NYKGFIDKTPALEQQVASECFKLISYFGDTFINEFSKKTFLNKMIESYTYKGLQVKHLLEIIEASNYRHE -FMPILYWLGKLTQKDLNKYDAMKTGEKAIWNDWQINRSLGTGPINLKITGLDKSLTIIGTDDKLHMAELS -ITEINQTLITISGRKLLGARHGLRFELFSKITTLEEGMYYITYQKKGRNQYAYQIHTTESILRRNEIHLG -NRTRIFNEIVPVCPTIIARVGRRQRITIETLEYFNYDQISLSRLKISDDEYATIKRAQLHKMLAFDGPDI -DNDALSITRLMKMPELMTNNFIEVVSVDMVNLSRLLNCCGTKSLDDSLLTFSDEPMEEIESSVVETVPVF -NICYQRSAKKSRTYRNALQTAIKRETNNFMEAMDFTTEGFLSNENLGCLEALVSIIDLLETNEWSTVLKN -AIHLSMLANDFDSHFHTFTLPELFYEGNPIDNKICWQKIKEFVLTMPDIITPPWDIVIRNFKEKAVHLVD -EKVKRERNFNSFILSLKKRGGRSNLEFV - ->YP_009362049.1 polymerase [Bwamba orthobunyavirus] -MTLDKDLILEFQQRITRAKDACTAKEINSDLLEARHNYFGFEYCKSIDIQYRNDVFFSDIILDIYPDINP -IDYKFPNITPDNYVFVHNTLYVLDYKVSVSNETSQITFNKYYELTREISIELGLRIEVAIIRCDPITRNI -YISNDKFRDIFPNTPQDLSFDWYFDLKQILYDKFGEDDEFLLKVAHGDFTLTAPWCKTGCDEYKSHPIFK -EFKYSMPIPERRLFDESMKFSAYESERWNTQLLRVKDYTKEAYDNYVNSSAKTIFTIDGNYPQPNRDEIQ -KGWNEMSKRIELEREVSNDFNDQKPSIHFIWTEPSISYPTQSAQKIQFLSDSLKYLEGTGRFKDAFISIG -EMINLESNFRTYESFCNDRKTKARQTWGQVQNQKLEPVKIGQALVLWEQQFVLNSEKIQKDNKRHLIKEF -YGIGGHKQFKNKTEEDIKLSKPKILNFNNEIIKIKAISMVNQAKTILGNQSTYKKDHFIIENFGDEIKCA -NENTYKLISKILSTNFWSCISDFSVLMKNILSVSQYNRHNTFRIATCANNSMFALVMPSADIKTKKATVV -FNIICIHKSEDSVFNPGVLHMTYKSRNNYVSVSKAVRLDKERCQRIVSSPGLFLLTVLLFLNNNETLSLD -DVMAFSLFTSLSITKSMLSLTEPSRYMIMNSLAISSHVREYISEKFSPYTKTLFSVYMTDLIKNACFDAY -QQRNKIQLRDIFLSDYDITQKGIKDNRNIKSIWFPGSVTLKEYLTQIYMPFYFNAKGLHEKHHVMIDLAK -TILEIERDQRMMVSQIWSLEPKKQTVNLQILMHSLAKNLLLDTSRHNHLRNRIENRNNFRRSMTTISTFT -SSKACIKIGDFSKLKEAQTKRDKKVNLNNEKRYRVANNLFTEDINMNAEVAHATYNMLKNSIPNYVDFTS -TKVFDRLYEKIKNKEIHDNACIEEIMETMVKHKTFYFSFFNKGQKTAKDREIFVGEYEAKMCLYAIERIA -KERCRLNPEEMISEPGDGKLRVLEQKSEQEIRYLVESTRQKNRDIDDEIQNLIVNPEENLEKIEFLQKQK -YRGLKLEINADMSKWSAQDVFYKYFWLIALDPILYPQEKERIIFFMCNYMQKKLLLPDELLCNILDQKLS -YNNDIISEMTDQLSQNFVDIKRNWLQGNFNYTSSYVHTCAMSVYKDILKQMALYLDTEIVVNSLVHSDDN -QTSITLCQNKLDDNVITNFSIKIFESVCLTFGCQANMKKTYVTNFIKEFVSLFNLYGEPFSIYGRFLLTS -VGDCGYLGPYEDLSSRISSAQTALKHGCPPSLIWVSIAASHWITYLTYNALPGQSNDPINYFPVEARDEI -PIELNGILKAPLSLISILGLESGNVNFLLGLLRKYTPITKRRETILTQCQAISSWDINSMTPSEIFRLKI -LRYLVLDSEMDPNDIMGETSEMRGRSILTPRKFTTAGSLRKLVSFNDFQIAQKSENGINSILEYLIEKPE -LLVTKGENAEDFRQSILYRFNSKRFKESLSIQNPAQLFIEQVLFSNKPVIDFTGIREKYLNFNDSLKQEE -GTQILGRVTFTEAYRLLMRDIEELSLSTEDIETVYSFIILNDPLIVATCNMILLSVVGNPQSRLGMSCST -MPEFRNIKVTINSPALILRAYSKGTADIIGADPTEMSRDLIHLEEFIAATKLKEKMEFRIKKNEESCNKR -DIIFEIKELTRFYQTCYEYVKSTEHKIKVFILPSKAYTTTDFCALVQGNLISDHHWFTIHYLKQIMSTAS -KAIVQSMPTTELNISIECFRLLPHFADTFLEITSRIAFVRTVIEKFTYKNISVEYLFKILMSSNSRLDFI -PLLFRLDLLTQSDLDKYDASKSQERVSWNDWQCTRFLDTGPINLTITGNNRLITILGENNILQYSELQLG -KVYPDNIIRSGRKLLNTKHGLKFENMKRVQTYPATYYITYQKIDKNRWFYQIHTHESIQRKNEEMFGNKL -KAFHEIVPVCPVNVAEAEGSQRIWLRKLDILNNSNFSIAKVKITTDEFAMIKRSHFSKMTFFEGPELNTG -ILDISKLMKSPELLSLTYDNIVNGSIIPFSKILNCIGSRGLDDGLDFLSDDPMEYTEGETINATPIFNIF -YSKKGYRHMTFKNAIATLIEKEVIRFERTFTFAGDGFLSNENLGCLESIISLIRLLKTNEWSTIMENCIH -ICLIKSNMDSIFHLFDVPEYFIKDKYQYSINWHNYLEFIETIPRPAEAPWNVIFENFVKKSKELILEELK -STRNFQEIAANVARRDGKSNLDFV - ->YP_009117083.1 RNA-dependent RNA polymerase [Maprik virus] -MDQIKRNNYEQRIMNCRDPSLAKEIHTDLLQDRHNYFGRIFCQAIDIEYRNDIPFEKILDEIMDDVQIDN -IPFFTPDNYIFVNNKLYLLDYKVSVSMESTTQTLAKYREKMALVELYLPFDYEVVIIKIDPYTKQLYINS -QDFLNLYPNINIDPNFTDFIELRQMLLEKFADDDDFVAMIAHGDFTMTSAWTEEDCKQVYNNPIYKEFKY -SMPLPYRRLFEESMNFNAYKSERWNMMLIQMRDYTKQAYDDYILDEAEEIKRLDGNYPKPSKEEILQGWR -LMRRRTLSSRELITDPAKQKPSGHFIWAPPDFGKTNNNIQKILYLSKSLQKINEPDANKNAFVALGKMMD -FSADIEGYVNYTTELKIEARKNPKKLSTKKLDFKLIGTSKIMWEQQFLLNFDLISKEDKANFFRKFLGIG -NHKQFSKKTLDDLDLDQPKILDFDSKEVLLAARGMMNETKRILAQNSNLNKQDTIFDDYLPEIEKSSPEV -IKSVNMLMNSKYWSCLLDISTIIKNLLSVSQYNRTNTFRVVTCANNNLFGLLFPSSDIKSQRSTMVYCII -AIHDTEILNPGSLYRTFKIGSKNISISRAMRLDKARCQRLVTAPGLFIQTAALFKGDNEILDIYDIANFA -FYTSISITKSMLSLTEPARYMMMNSLAISSHVKDYIAEKFSPYTKTLFSVYVCNLIKKGCLMANEQKDKI -ELRDVFMTDYEFTQKGVKDERSIDSIWFPGKVNLKEFINQIYLPFYFNAKGLHEKHHVLINLAKTVIEIE -LEQRREIKEIWSSIPKKQTVNLQVFLHALSKNLILDTSRHNHLRSRVENRNNLKRSLTTIPTFTSSKSCI -KVGDFKELKEKTSKVQIERLKKEADKVRIATPYLVDETMLDLQIKHANYKLLRESVPEYQDYISTKVFDS -LYTKLKTDEVGDGPAVSEILKVMRTKEDFYFTFFNKEQKTYVDREIFVGEFEAKMCMYLVERIAKERCKL -NPEEMISEPGDGKLKVLEQRSEFETRYIVKETVQYNKNIIDKFENYEAAIPMLKKGFKLEINADMSKWSA -QDVMYKFFWLTALDPILYPQEKEHILNFLCNYMRKRLILPDNLMYNLLDQKVQRADDIIALITDGFRTNH -FNVKRNWLQGNFNYVSSYLHAVSMSTYKDLIKATVRDGQTQVNSLVHSDDNQTSIIMIQDLYPEDVMIHY -ILTKFERVCLSFGCQANMKKTYATNVIKEFVSLFNICGEPFSIYGRFLLTAVGDAAYLGPYEDFASRISA -AQTAIKHGCPPSLAWLSIAIANWITSLTYNMLPGQANDPSKHLEMQRSQIPIELGGSLNAPLYLTALLGL -ESDKIYFLLQVLRKIVNPMLVREEISTQVRSLTEDSLVKLNEVEILKLKLIRYLSFDSEISPSDTLGETS -DMKTKSILTPRKFTTSGVLKKLTSYVDFQNLPDGIDPILDFMIENPELLVTKGETKEQFINSILFRYQSR -KFKESLSIQNPVQLFIEQILFSNKPTIDYKSIFRDTDILPDSIIDEKHNIHGNVTIAQALINLKEDLKSF -KLDIDDLRICFLFTILNDPLVSTAANSFILSVQSNKMSREGLSCASMPEFRNMRIMHHSPALVLKAFTRN -QIDLPGSDPEEMRRDLNHLEHFINETKIVEKMQKKIIELKEDGTYTKQSEIRELTKIYQTCYDYIKSTEH -KVKVFILPHKAYTTIDFCSLLQGNMYLDKYYITMHYLKQITTHSKKGEVQMLTNTELLVAREAFRVLPFF -LDTFIASISRIDLLKTIVAKFTYKDMKVSDLLTLIENSNARTDYIPILYRLDKLEQRDLDRYDALKSDDA -ITWNQWQINRTFDTGEIDLLINGKDKYIRIIGIDDKLTVAELHLPSSRAEDIRMAGRRLLNQRHGLRLER -MRDVIMEERQYYITYQKRYKNQYHYAIYTEDYINNKNAEIKSHATREHNLLIPVCLVYIAVDVNRARVLL -EDLEYLNYENFEVSRLKLTIDEYATVKRAQINKMLFFDGPEIDSNLISINGLMKSQELLDNTYSRLVSKS -IIPIAKIFKCNQIADIEDEVLVFNDEPMEETESIGVQSEPLFNVVFTKKGEKQLTYKNALVKMITSGLER -FEMVFDFSGDGFFSSENRGLIEMIVSIIQRINTNEWSTIMMNTIHLLYIKYDMDREFHAIETPEAMMAED -QLNIDLCLDFIAALPSIKDDIWSNIFDHFKSKANDLLLEMRAEQNQDLKKFMRILKKKDKVSKGIFSYF - ->YP_008709776.1 RNA-dependent RNA polymerase [Murrumbidgee virus] -MEQNLNQLLARINAAKEATIARDIHTDLMQLRHDYFGQEICKSLDIEYRNDVPLEKILDEILDDNDIENI -PYITPDNYLLIDNTLFIIDYKVSVSMESTTQTLKKYAEKLELIEDYIPYKIEVVVLKIDPYTKQLYISSN -TFANLFPNIHLDVNFEPFIDLRNLLLEKFSDDEDFATMIAHGDFTMTSSWTDESCKELYNHPIYKEFKYS -MPIPYRRLFEEAINHNSYKSERWNSFLIKLREYTKAPYSDFIEEMSKQIYSTDGNYPKPDKSAILKGWDD -MRDRIQGMRTMSDNVSDAKPSAHFIWAEHDTNRSNHNITKLLYLSKSLQQIKGTDPIERSYAAIGKMMDF -SSHIEEYTELIEKLKNEARLSKRKISDTKLKPTPLGSAQVLWEQQFLFNNDLISKDDKRHFYKKYLGIGG -HKQFTNKTMSDLDINQPKILDFDNKDIILAARSLINRTKRHLSSLSNLNYSEHPIMDYMAEIKKCSDDSS -NIINQILATNFWACLNDISVIMKNLLSVAQYNKINTFRVVTCANNSVFGFLFPSSDIKSQRSTLLYSIVI -IHKMPLIFEGSSLYRTIAFRDGFISISKAIRLDKARCQRLVLSPAMFLQTSLLFKGDNQFLNLMDICTFA -FFTSISITKAMLSLTEPARYMMMNALAVSSHVREYIADKFSPYTKTLFSVYVCNLIKVGCLNANAQRENI -KLRDVYLNDYEIIQKGVKNEKLLDSIWFPGKVDLKEFITQIYLPFYFNAKGLHEKHHVLVNLAKTIVEIE -LEQRQIKDIWSSEPKKQTVNLPVFVHALTKNLILDTAKHNHLRHRVESRNNFKRSITTIKTFTSSKSCIE -IGDFKDFKTKISTKQQKLLKKEVERTRIACPDLVDEIDHNLKIAHANYNLLRSSVPNYVDFISIKVFDAL -YKTDKSVLEQFPDTISYAMHTMRTKKDFYFTFFNKEQKTYVDREIFVGEFEAKLCMYVLERIAKERCKLN -PEEMISEPGDNKLKILEQRSEIETRYLINQTLAHNKAILAKIDKTPLDETALKRGFRLEINADMSKWSAQ -DVMYKFFWLFACDPILYPNEKEHILYFLCNYMSKKVLLPDNLMCNLLDQKVIYENDMLKEITNGFRTNSI -DVKRNWLQGNFNYVSSYMHTVMMASYKDVLKKTHENAELVVNSLVHSDDNQTSIVLIQDKFPEEVAIHHL -LNTFEKICLTFGCQANMKKTYATNFIKEFVSLFNICGEPYSIYGRFILTSVSDCAYLGPYEDLASRISAA -QTAIKHGCPASIAWLAIACAQWVTSLTYNMLPNQRNDPAKVLNCSKWEIPMELGGNINAPLYLTALVGLE -SDRLHFLLNILRKLVKINYIREDVSVQVKNLDELKIIKLSEIELFKLKLIRYLSFDTNLSLNDTMGETCD -MKSRSILTPRKFTTSGILKKLASYRDYQHTTDNPLSLESIYDYMLANPELLVTKGETFDQFKKCILYRYQ -SKKFRESLSIQNPVQLFIEQILFSHKPTIDYASIFEGTDITPDSLIDEKHNIHGNLTISQTLQALKSDLS -RLELTLTDIKLCLTFTILNDPLMTMAANSYIMGITGDKSERTGLSCCTMPEFRNMRVVHHSPALILRAYA -HSNPDQVGADIIEMQRDLLHVENFIKETHIQERMLANMASKEFEGLDKKRFELKELTRFYQTIYNYVKST -EHKVKIFILPHKAYTNIDFCSLLQGNLISDKTYTTIHYIKQIASYTKKAHIKTSPNIEINIAKEAFRVLS -FFLDTFLSIDSRIPALRKMIENFTYKTLPLKYLLQLIENSQVRFEFLPLLYRLEKLEQSDLDRYDSLKSD -DNITWNQWQVNRTFDKGEIDLILKGKDKYIHIIGIDDILKIAELHIPTKKNEDIRAVGRKLLNQNHGLRF -EKMKSIITEPDNYYICYQKRMRNQYHYCIQSTDYIDFKNNEIRSKLSREQNLMVPVCIVFIAIDIQRSRV -LLRSIDYLNNENFEVSRMKITNDEFCTIKRAQMSKMQFFDGPELASTIISINGLMRSPELMNLNYTTITK -NSIISLAKIFQCSGNEKLDFQYLADEPMEDLESITLDLEPALTIVHSKRANRLQTFRNAITKIVSDGIME -IEDSLDISQDGFFSATNIGLLEAIIAIIDSIETNEWSTILKNSIHIVFKHYDRDAEFHLFEMPIQFLING -EPNYTLIKEFILSLPVLQNDTWSTIFEHFKQKASAILDALIMEKKDEGLNKFKTLLKKESKGSFSGMFNF -FS - ->AKO90170.1 RNA-dependent RNA polymerase [Mapputta virus] -MDPDIKKQLELKIMTCRDPAIAREIHTEILEMRHDYFGKEICKSLNLEYRNDVPLETILQEVWDDYDLEH -VPFITPDNFLFDNNCLYIIDYKVSVSMESSLATIEKYNEKMEQIGHLLPFRYEIVIIKIDPYSKILYINS -DNFQKLFNNILIDVDFTDFIDMRAMLLEKFQDDEDFVAMIAHGDFTMTSSWTDESCEEYKDHPVFKEFKY -SMPIPYRRLFEEAMTFNAYKAERWNTQLIKMRDYTRTSYNDFITEQANKIKTLDGTYPKPDKNEIMAGWR -LMRRRIHQMRELTTDPSKQKPSGHFIWSPPSGGNNNNIAKILLLSRSLQSIKDNSPNAKMFNAIGKMMDF -SADVDQYIKHIEGLKNESRRLNRKITSQKLEPKIIGSAKVLWEQQFVLNNDYCEQNGRQTFYKKFLGIGG -HKKFQDKTTTDLDMSKPEILDFDDKTIILAANAMIKETDQLLYQESIVKKHETIFDSYMLKIKNASEEMH -STINAIMKTNYWNCLNDISILIKNLLSVAQYNKYNTFRVVLCANNNMFGLLFPSGDIKTRQSTLLYCIVA -LHKDQNAILDPGALYQTFKIKDGYLSVSRAMRLDKARCQRLVASPGLFLLTSALFKGENSTLSIREILTF -AFYTSVSITKAMLSLTEPARYMMMNSLAISSHVKDYIAEKFSPYTKTLFSAYVCNLIKKGCIIANNQKDK -IEIRDIYMTDFEMIQKGVKDVRELQSIWFNGKVNLKEFINEIYLPFYFNAKGLHEKHHVLVDLAKTIVEI -ELEQRQSIKDIWSSIPKKQTVNLAIFTHALSKSLILDTARHNHLRSRVENRNNLRRSITTISTFTSSKSC -IEIGSFKDLKSKQYSIQTAANKKQLEKMRVANPLLIEENEEMLQTQHSNYNMLVEAMPNYQDFISIKVFD -ALYKRLKHNVLTDGPCVKEILKIMKTKVDFFFTFFNKEQKTYVDREIFVGEFEAKMCMYLVERIAKERCK -LNPEEMISEPGDGKLKVLESRAETEARYLIRETAEHNKRILDNTSDTLEKMSKMKRGFRLEINADMSKWS -AQDVMFKFFWLTALDPILYPEEKEHIIYFYCNYLNKKIILPDNLMCNLLDQKILHDNDILAYATNGFTTN -AINIKRNWLQGNFNYTSSYLHSVSMQTFKDIIKATLPEQQVVINSLVHSDDNQTSLVLIQDLYPEEIMIE -HILNEFEIVCLTFGCQANMKKTYATNLIKEFVSLFNICGEPFSVYGRFLLTSASDCAFIGPYEDFASRIS -AAQAGIKHGCPPSLAWLSIAIAHWMTATTYNMLPGQANDPSKNMPIDFNETPIELGGPLQAPLYLTALIG -LEANKIYDMLNILKKLVNPLMIREEVAMQVSNIHEPSLHKLTEAERFKLKLIRYLVLKSDIQIDDKLGET -CDMRSRSILTPRKFTTVGILKKLESFNDHANIMSTTAEAEKVLDFMIENPELLVTKGETKEQYLNSILYR -YNSRRFKESLSIQNPVQLFIEQILFSLKPTIDYNSILGENMIISDTNIDEKHNIIGNVTIAKAMSNLYQD -INEMDLTLDDIKICFMFTILNDPLIITAANSYLLSTQSNQSPRLGLSCSTMPEFRNMKLIHHSPALVLRA -YCKKTVNLPGIDPVEMQRDLNHLEHFIAQSKLEIAMNDVLQRHKDDGTYTKQLELRELTKFYQTAYDYIK -STEHKIKVYILPHRAYTTIDFCALLQGNLIKDSCYINIHYLKQITSSTHKGEVKTISNSEIMIAKECFRA -LAFFLDTFISLNDRVRVLETVIDTFTFKSCLVKDLYNLIKTSQYRYEFLPLLYRMNDLTQADIDRYEAMK -SDDNVVWNQWQVNRMFDKGDIDLIITGKDKFIRIIGSDDNLRLAELHIPTNKEDMIKSAGRRLLNQRHGL -RFERMKEIIMDEKNLYIAYQKRQKNQYYYMIQTTDYINNRNSEIKQKSTRDQNLIVPVCLVYVAVDVSRR -RVYIETLEHQNHDNYDIARLRLTLDEYATIKRAQISKVLLFDGPEITSNVFSITKLMKTHELLEPNFDRL -LRKNIIPLAKIFKCSGHSIDDFDFSEQPMEADDIAEVDCKPIFSVIYPKKGNKKMTYKNAILSIIDRELT -IAELELTLIDESFFAGENRGLIEAIVALIDLLESNEWSTILKNIIHMLYLKHDMDAEFHLIECPEIFKQG -DDLNVTMIEEFIHSLPKITNATWSIIFENFKDKIILLINDYKEKKQPKNIVKFSKLLKKADKSTKGLFSF -F ->AJG39233.1 RNA-dependent RNA polymerase [Wuhan Louse Fly Virus 1] -MEYNTYNQFLARIRGCSDPALAKDIDTDILVARHDYFGRELCKTLDIEYRNDIPLVDILLEVKNDFDPLS -INIPLMTPDNYLVANGKLFIIDYKVSVSRESSIQTLNKYTGILEEVCPKIGIDFEIAIVRANPITSEITF -SSDEFRNLFGALNFDLDFSQFLELKRILYEKFADNEEFLLKVSHGDFTITAPWCKSGVKDLERHPIMKDF -KKSLPFSYRRLFEESIRFNPYQAEKWNMQLIRLKEYTAEKYHNFIKDHTKKIFECTGNFERPSSSEIQKG -WIEMTERMNETRILSTELCDQKPSAHFIWSKPDENYSNNNIQKLLRLSKSLQQINGVDTIDSAFKSIGLC -MDFSDNIEEYNSICIMRKNEARKYVGQVQNKKIEPVKIGKSLIYWEQQFGLSNENFEGNTRVHFMKDYCG -IGKHKNFKNKMLEDLELDKPKILDFNDDKIYLSSKQMIEQTSKILNQESNLDINKDFIYKNFKNNILESS -EKMLETLETILSSKYWSCINDISILIKNIISISQYNRHNTFRVATSPNNNLFGILMPSTDIKSKRSTVVY -FIITLHKEKDSVLNPGCLYKTYKTKDGYISISKAIRLDKERCQRLVSSPGLFLLTTTLFKYYSPDSSLSD -IMPFSFYTSVSITKSLLTLTEPARYMIMNSLATSSHVREYISEKFSPNTKTLFSVYVTRKIKNACFTANK -QREKIQLRNISLTDYDITQKGVEDNTDFDSIWFPGKVSLKGYINQIYLPFYFNAKGLHEKHHVMIDLAKT -VLEIEKDQRINCPIPWSEDYHKQSVNLPIFLHSLAKNLILDTSRHNHLRSKIENKNNFNRSITTISTFTS -SKSCIKIGDYQDLKEKIDQTTKKAIKANIKRTRVANNNFITDEEIDNEIKHSNYTDLRKSIPDYRDYIST -KVFDRLYELLKLNLIDGSKTAIQNIMSMMLEHKEFYFTFFNKGQKTAKDREIFVGEFEAKMCMYAVERIS -KERCKLNPDEMISEPGDSKMKVLEQKAEQEIRYIIEQTRQKNKNLEMNQNLYKATKIEINADMSKWSAQD -VFYKYFWLIALDPILYPAEKERIIYFLCNYMEKRLILPDELLCNILDQRKTYSDDIISEMTNMMSSNYVN -IKRNWLQGNFNYTSSYVHSCAMSVYKDIIKEISQLIEGDVLINSLVHSDDNHTSITYIHDRLDSDILIEH -AIETFEKICLAFGCQANMKKTYINNVIKEFVSLFCISGEPFSIFGRFLLTSVGDCAYIGPYEDMASRLTS -TQTAIKHGCPPSLAWLSIAVNHWMTFTTYNMLPGQVNDPLLRLPCKERTELPIELFGILNADLSTIALIG -LESSNITFLTQLIQRMSPIDIQKESIIDQCVKIPKWDLRQLSESEIFRLKIMRYLILDSEIDVDSIMGET -SEMRGRSLITPRKFTTIGSLKKLISFNDYQQRLSQKDGMEEIFEFLLNKPELLVTKGEEKDDYMNSILFR -YNSKKFKESLSIQNSVQLFIEQILFSHKPVIDYSGIRDKYSLIGDNLELEENPTIIGRMTFNQTYEQLSK -DLAGFKLDNEDINLVYQFMILNDPLLITVANSILFKINGIFQKRTGLTCNNMPELRNLKLIHHSPALVLR -AYSRNTPDIPGCEIEEMRRDLIHLEEFIEKTKLKEKMDQRIINNQMAIGMRDLKFELSEYTRFYQICYEY -VKSTEHKVKVFILPCKAYTTIDFCSILQGNLLRDDEWVSIHYLKNITAGGYKAIVQKTNVSEINTALEAI -RLLAYFTDTFVNNYSKKQFIQEVIDKFTYKNVPISKFYEILLNSHLRHEIIPFLFITGHLNQKDLDKYDA -MKTAETITWNNWQINRSLQTGPIDLKISAHNKSIRIIGADDLLQIAELQVTRMNYDNIILAGRRLLMSRH -GLKFETFKKIQFQDEIDYYITYQKKGKNQFAYQIHNKDSIIRRNTDNLNQRTRYYNEIVPVCPLLVAEMQ -VRSRINIRQLNYLNHDENYAGKIKIDIDEYIVMRRVQLYKMQNFEGPDIKSGLINFRVLMKTPELLNTNY -NKISQSSILSFSRILTCTGNKYEDTLEFLSDEPLDIEEESLIESTPIFSVTYMKKGKKNMSYKSAIEQLI -LNGVEEIENVLDFSKNGFVSNENLGILEVIVSSINLLNTNEWSTMLLNCLHIALIKNGYDAEFHMFNMPD -YFLENKIEFKVDWIKLREFIITLPDLDKEPWNSMFQRFKEKAINSIDKEVKKTKRFTSYLEVLKKRGGRS -MFDFE ->AOZ21156.1 polyprotein [Gan Gan virus] -MDQVKRANYEQRINACRDPSLAKEIHTDLLSDRHNYFGKLFCQAIDIEYRNDIPFEKILEEIMDDVQIEN -IPFFTPDNYIFRNNKLYLLDYKVSVSMESTTQTLSKYREKMSIVELYLPFDYEVVIIKIDPYTKQIYINS -QEFLQMYPNINIDPNFTDFIELRQMLLNKFADDDEFVAMIAHGDFTMTAAWTEEECKQVYQNAIYKEFKY -SMPIPYRRLFEESMEFNAYKSERWNLMLLKVRDYTKSSYDDYIKDEAEEIKRLDGNFPKPSKDEILQGWR -LMRRRTLATREVINDPSKQKPSGHFIWAPPEFGKTNNNIQKIIYLSKCLQKIHEVDPNKNAFVAIGKMMD -FSADIEGYIKFTNDLKLEARKNPKKLSTKKLEFKLIGTSKIMWEQQFLLNFDLISKEDKKSFFKQFLGIG -NHKQFSKKTVEDLDFDQPKILDFDSKEVLLAAKAMMNESKRILGQSSNISKQETIFDNYLPEVEKSSPDM -FKNITTLINSKYWSCLTDISTLIKNLLSVSQYNRTNTFRVVTCANNNLFGLLFPSSDIKSQRSTMVYCII -AIHESEILNPGSLYRTFKIGAKNISISRAMRLDKARCQRLVTAPGLFIQTAALFKGDNELLDIYDVANFA -FFTSVSITKSMLSLTEPARYMMMNSLAISSHVKEYISEKFSPYTKTLFSVYVCHLIKKGCLMANDQKDKI -ELRDVYMTDYEFTQKGVKEERNIDSIWFPGKVNLKEFINQIYLPFYFNAKGLHEKHHVLINLAKTIVDIE -LEQRREIKEIWSSQPKKQTVNLPVFLHALTKNLILDTSRHNHLRSRVENRNNLKRSLTTIPTFTSSKSCI -KIGEFKELKEKTSKQRIDRLKKETDKVRVATPYLVDETMLEIQVKHANYSLLRSSVPDYQDYISTKVFDA -LYEKLKLGDVTEGPAVAEILKVMRTKEDFYFTFFNKEQKTYVDREIFVGEFEAKMCMYLVERIAKERCKL -NPEEMISEPGDGKLKVLEQRAEFETRYIVKETVQYNKAIIEKFDNYDAALPMLKKGFKLEINADMSKWSA -QDVMYKFFWLTALDPILYPQEKEHILNFLCNYMRKQLILPDNLMYNLLDQKIQKADDIIALITDGFRTNH -FNVKRNWLQGNFNYVSSYLHAVSMSTYKDLIKATVKDGQTQINSLVHSDDNQTSVIIIQDLYPEDIMIHY -ILNKFERVCLTFGCQANMKKTYATNVIKEFVSLFNICGEPFSIYGRFLLTAVSDAAFLGPYEDFASRISA -AQTAIKHGCPPSLAWLSIAIANWITSLTYNMLPGQANDPSKFLDMPRSDVPIELGGSLNAPLYLTALLGL -EADKIFFLLQTLRRIVHPMLIREEVSTQVRSLSNDSLDRLNETELLKLKLIRYLSFDSEITPSDTLGETS -DMKTKSILTPRKFTTSGVLKKLVSYVDFQNLADGIDPVLDYMIENPELLVTKGETKDQFMNSVLFRYQSR -KFKESLSIQNPVQLFIEQILFSNKPTIDYKSIFRDTDILPDSIIDEKHNIHGNVTIAQALSTLKEDLQSF -TFDLDDLKICYLFTILNDPLISTAANSYILSVQSNKMSREGLSCASMPEFRNMRIMHHSPALVLKAFTKQ -QADIPGADPEEMRRDLNHLEHFISETKIIEKMKSKIDEMRDAGTYTKQNEIRELTKVYQTCYDYIKSTEH -KVKVFILPHKAYTTIDFCSLLQGNMYLDKHYITMHYLRQITTHSKKGEVQILTNTELLIAREAFKVLPFF -LDTFIASISRVDLLKIIVTTFTYKGMKLSELLSLIENSNARTDYIPLLYRLDKLEQRDLDRYDALRSDDT -ITWNQWQINRTFDTGEIDLIINGKDKYIRIIGFDDKLTVAELHLPSNRAEDIRIAGRRLLNQRHGLHLER -MKDVVMEERQYYITYQKRYRNQYHYAIYTEDYINNKNLEIKSHATREHNLLVPVCLVYVAIDVSRARILI -DNLDYLNYDNFEIARLKITMDEYAIVKRAQINKMLFFDGPEIKSNLVSINGLMKSQELLDNTYSRLISKS -IIPIAKIFKCDQMNSIEEEIVIFNDEPMEDTESIEIQSEPLFNVVFTKKGERRLTYKNALIKMINSGLEN -FENAFDFSEEGFFSAENRGVIEMIVAIIQQIHTNEWSSIMMNTIHLLYIKYNMDSEFHQISIPDVMLQDG -QLKVDFCLDFIGALPDIQDDIWSSIFNHFKSKANDLLIEMRANQSQDLRKFMKILKKKDKVSKGLFSYF ->AMR73398.1 RNA-dependent RNA polymerase [Yacaaba virus] -MDDHKYIQIRNRIERSQDPSVAKLIYDDIMSERHDYFGRELCNALGIEYRNDVHIKDILLDTVIDYNPIL -HKTPNITPDNYYYIGGNLYIIDYKVSVTEEATTLTFNKYFELTRQLDAELDVNIIIVVIRMNPMTKELAL -TDPNFLNHFPGFTLDVDFDRFLELKDLLMEKYRDDEEFHRQIGHGDFTLTAPWCETGCPDLYQHPIYKEF -KFSMDIPGRRLFEQSLKFDSYSSERWNYNLLKVKEYYQSDYEKFITERSKNIFLVDGDYPQPSHEEIEEG -WSMMKSRVLSERNVSNDITKQKPSIHFIWSPNISSYSNKTVDKLVIYSKLLQNMEGNSYYLEAFKCIGKL -MDFSEDIQGYESFVENLKQTARKQPGQVQNKKIDPKKIGECTVLWEQQFSLSIGTLNPETRTRLLKDFLG -IGKHKTFDKKTFEDLELEKPTILDFDDTTVKLAANSMVSNTKNVLSKSKEFSKDEFILEYFGHKIAEANP -ETREMLDQLVSTNFWAAINDFSTLMKNILSVSQYNKHNTFRIALCGNNSLFAIVFPSSDIKTKRSTVVFC -TVCLHKEEKNVMNCGALHSTFKSVGCYVSISKAIRLDKERCQRIVISPGLFMMNSMLMHNNNPTIDFNDV -MTFSFFTSLSITKAMLSLTEPSRYMIMNSLAISSNVKGYIAEKFSPYTKTLFSVYMTNLIKSGCANAFNQ -RPKIMLRDIFLTDYDIKQKGIKEERNLKSIWFPGEVSLKEYLNQIYLPFYYNAKGLHEKHHVMMDLAKTV -LEIEKDQRENITDIWSSVARKQTVNLNILVYSICKNLLSDTSRKNFLRSKIENMNNFRRPITTISTFTSS -KSCIKVGDFSEFKSRAERKSLKAEESYIKKLRVANPHFFEKEQETNKISHARYEDLKMAIPNYVDVMSTK -VFDRLYEKIKNDEISNRPTIELIMDTMVNHKQFYFSFFNKGQKTAKDREIFVGEFEGKMCLYCLERISKE -RCKVNPDEMISEPGDSKLKIMESKAEAEIRYLVDKLRRQKKEIDEEILKGFSSEILDSKKRGLKLEFNAD -MSKWSAQDVLFKYFWLVALDPLLYPQEKERILYFLCNYMQKRLIMPDELLCGVLDQRVERENDIIMEMTE -QMSQNYVEIKRNWLQGNLNYTSSYIHSCAMSVYKDILKKTSEYLDGEVLVNSLVHSDDNQTSITYIQNKV -DDNYLIHHSITEFENTCLIFGNQVNMKKTYVTNFLKEFVSLFNLYGEPFSIYGRFLLTCVGDCAYLGAYE -DLASRISSAQIAMKHGAPPSLVWLSIGISHWITYFTYNMLPGQSNDPMPYFPCQVRTQIPIEIGGILNTT -LSVLAITGLESGNLSFLIKLLDKMTPVNLRRETIQNQCKGIGDWDLTLLDPNEQFYLRFLRYLVLDSEIS -SEDAMGETSDMRARSILTPRKFTTVKSLRRLVSFCDYQQQLKSAGGMNDIFEYMLNNPELLVTKGEDIES -FTKTIIYRYNSKRFKESLSIQNPAQLFIEQVLFSKKPVIDFNGIREKYMSIEDSEADEENPSIIGRCTYP -EAFRMLYRDLMEFKLDANDIDTIYSYIILNDPIMVTVANTMLLSVIANPQLRLGMSSCTMPEFRNLKLIR -YSPALVIRAYNKQDFTIGFADPRDLERDVYHLTEFIETTKLKEKMEKKIQDRNEEKGSRDLQFEVKEKTA -FFQICYNYIKSTEHKVKVFILPTKTHTTVEFCSIIQGNTISDSKWFTVHYLKPIVSIKSKGIIQRSSASE -LNIAKECFKLITHFGDTFVAEESRVHFLHQMIEKFSYKDMKVSDLYEILRRDQSRIQFLPLLWRTGHITQ -KDVDKFDALKNNERVTWNQWQISRTLDIGEIDLVLTGANRQLIIKGLDLELIYSELRVHRMDQDIILYSG -RKLLNARHGLKFEKFKEIPIEEKSYYITYQFKYRGKYTYQILPSNVINKRNTEMPSGGPNINRLVPVCPV -NIAIFESIPVLPVRFLDSKNIANFSLTRLEISDTEVATIKRSTFVKMLSFSGPELKCGFTDLSELMRTPE -LMSLDFDNICMCNLISFAKILKCEGNSKLDSGLLFFSNDPMECTEEESMFAVPIFNVRVSKKAKKNMTYK -NALLKLIEKGVAEFTQSFQWTNDGFYSEGNLGIIESLVALMKLLKTNEWSTLLENCIHICMIYENLDNVF -HTFQIPETFLLNKYEGTINWLRLRDFIIQVPPINGAPWDIMFEHFRDKSIFLIEKEMKPKETFEDFTAIL -KKKGGHSMFEF ->AMR73391.1 RNA-dependent RNA polymerase [Kowanyama virus] -MEDVEYRAFVARINSAKDATIGKIIYDDIMASRHDYFGRELCNSLGIQYRNDVLLSDILLETVIDYDPLN -HKTPNITPDNYYYHAGTLYIIDYKVSVTEESTVLTYKKYYELTRELEAQLDIKISVAVIRLHPITKQLSS -TCNELLELFAGFNFDINLDRHLELKDLLFDKFKDDEDFYRQIGHGDFTLTAPWCQDGCKELYSHPIYKEF -KYSMPIPARRLFEESISFNPYSSERWNSNLLSLKEYYKSDYNSFINKQAKTIFEANGIYPQPNHEEIKSG -WLLMKERVSIERNITPLIEKQKPSIHVLWSPPIKTMSNYATDKLIIYSKLLQSIDGLSKYKIAFQYIGKL -MDISGSKSEYESFTNMLKTKCRSYASVVSDEKIKPRQIGTALVCWEQQFILNTAIMPVEARCLLLKDYLG -IGKHKRFGNKTLEDINTDKPTILDFDNPEVLLASKTMMSNTRLLLSKPIDYDVKDFIYDNFGPQIKQASG -DTFDSYMKIISKRYWAAINDMSVLIKNILSVSQYNKHNTFRVAFSNNNSLFALVFPSSDIKTKKATVVFC -TVAIHKEKEDVLNCGALHQTFTSNGLHVSISKAIRLDKERAQRIVTSPGLFLINTMLLYNNNPTINLEDV -CNFSFYTSLSITKAMLSLTEPSRYMIMNSLAISSNVKGYIEEKFSPYTKTLFSVYMTNLIKAGCMTAFEQ -RPKIMLRDVYLTDYEIKQKGIKPVRNLKSIWFTGEVTLKEYLNQIYLPFYYNAKGLHEKHHVMIDLAKTV -LEIEKDQRESLPAIWSNEPKKQTVNLPILVYSIAKNLLSDTSRKNFLRGKIENMNNFRRSITTISTFTSS -KSCIKIGNFSEQKTQIKKKIEKAEATYKKKVRLANPILYEEYEENAQVVHSNYNLIRDAVPNYVDHMSVK -VFDRLYEMIDKKTIEEKDTIELIMDMMVTHKKFYFTFFNKGQKTAKDREIFVGEFEGKMCLYCIERISKE -RCKVNPDEMISEPGDGKLKAIEIKAEQEIRFLVEALRKQKKDMDADLLSTLNIDSLETRSKGLKLEINAD -MSKWSAQDVLFKYFWLVAMDPLLYPQEKERILYFLCNYMQKELILPDELLNNVLDQQTPYTDDIIMIMTN -NFKTNTVNIKRNWLQGNLNYTSSYIHSCAMSVYKDILSTACELLEGSILVNSLVHSDDNQTSIAIVQNKL -QDDQIIQFIMETFESTCLTFGNQVNMKKTYLTNFLKEFVSLFNLYGEPFSIFGRFLLTSVGDCAYIGPYE -DLSSRLSAAQTALKHGAPPSLIWLSIGVSHWVTYMTYNMLPGQANDPMPYFPAMTRRDLPIEIGGVINSN -LTVLSMVGLESGNLTFLLNLLRRMTPVLKKRETIQSQVLNIDDWDLTELTVNELFYLKFLRYIVLDTQFT -VDESMGETSDMRSKSLLTPRKFTTIGSLRRLYSFTKYQDKIRAPKGLDDIFLYMLNNPELLVTKGETYLD -FCNSILYRFNSKRFKESLSIQNPAQLFIEQVLFSKKPVIDFTGIREKYLNLIDQEEFNEKLNIIGKVTYS -EAFRMINSDLTQLPLEKEDIKVIYDFIVLNDPLMSTIANSQLLSIIANPQERLGSSCNTMPEFRNLKLIR -YSPALVIRAYNKGVYDFSYADPKELERDVFHLKEFVDNTGIKISMDTRIAKRTEELGERDFQFELKELTS -FYQVCYSYIKSTEHKIKVYILPGKAHTAVEFCSLIMGNLECDDKWFTVHYLKPISSISSKGQIQRTCSSE -LNIASEAFRLIAHFADTFVGEESRLQFLHKMINEFSYKDMKVADLYNIILRDPSRIQYLPILYKTGHLEQ -KDIDRFDALKNSERVTWNQWQISRTLDVGTIDLIITGQNRQIAIKGNDDRLSLAELRLSRVDPSNILYAG -RKLLNARHGLKFEKFQTVDIEPNNYYITCQFKYKGHYTYQITPTSVIARREMECEANNTKLTNRLIPVCL -VNIAQVDSTKVIPLRYLDYKNRENFSLSRLEVAENEVATVKKASFVKMSSFAGPELRCGFLDLSKLMNTP -ELLSSDYHSITKCSLISLAKVLACNGTKNLEEGTMFFSDDPMEELEVEEMSSTPLFNIKISKRAKASMSY -KSAIIALIEQQTAEFQEAFCWDVEGFFSGENLGILESLVSLMKILKTNEWSTLLDNCIHICLIKNGMDAL -YHNFNVPEVFLKNKYTGEIDWANYKDFIIQIPEIKMDPWSTMFEHFREKSKELISEKLKPKTTFEAFKKN -LRKSEGRGMFDFF ->AIN37021.1 polymerase [Nyando virus] -MDQNQYQQYLARIRSARDATVAKDIDTDLLMSRHDYFGQQVCRALGIEYRNDIPLIDIFLEIDPDFDPLS -ISIPAITPDNYQFIDNILFIIDYKVSVSKDSSIQTFNKYTELISPICKNIGILLDVVIIRANPLTQEVSI -NSDLFKNYFTIRNLEFDFHQFADLKRILYEKFSEDEEFLLKISHGDFTLTAPWTQENVRELYDHPIYKEF -KYSMPIPYRRLFEESLQFSAYSAEKWNTNLLNLKKYTQDYYSQYIATQAKAIFTNTGDYPKPSEQEILTG -WAEMTNLLSAEKQFSNNINDQKPSGHFIWGEPDMELSNSSVPKLLRVSKALRSIKSNDKIGDAFISIGHC -CNIEGFESTYEDVTALRKVKAQTEWKTVMNKKLEPVKINEALVLWEQQFVIQNDYFKDNNRVVLLKDFFG -IGKHKTFKDKTVTDTEIGKPKILDFNDKIIYLESAKMVDKSKQILSKKSNLDINKDFIYSTYGQQIKNAS -SCTHDTLCSLLTSNFWACLGDISTLMKNMLAVSQYNRHNTFRIATCANNNLFALVMPSVDIKTKRSTLVY -CIILLHRHEDGLLNPGALFNTYKTYTGYISISKSMRLDKERCQRIVTSPGLFLTTSLLFKEENNSLDLND -IATFSFYTSVSVTKSLLSLTEPSRYMIMNSLALSSHVKDYIIEKFRPYTKTLFSVYVVMKIKDACLSAYN -QKSKIELRDVYLTDYEITQKGVSNKKSFNSIWFSGKVSLKSYINQIYLPFYFNAKGLHDKHHVYIDLAKT -ILEIEADQRGTTGSIWSNTPKKQHVNLPLLVHSISKNLLLDTSRHNHLRNKIENRNNFKRSLSTISTFTS -SKSCLKIGDFEEEKIKTSRLANKATQSAIKKTRIANLNFVSEEELTNTVQHATYKDLKAAVPNYTDHIST -KVFDRLYELFKNGMPDKPAVELFLDTMKNHKKFYFTFFNKGQKTFTDREIFVGEFEAKMCLYLVERIAKE -RCKLNPDEMISEPGDSKMKALEAKYNEEVRYIIEKTRSANNEIDARIEELQNQLSVNPDINKKLKDMQDT -KAKAVKLEINADMSKWSAQDVFYKYFWLIAMDPILYPNEKEHILYFFCNYMQKEVILPDELMCNILDQKI -QHDNDLISMMTNNFNTNSICIKRNWLQGNFNYTSSYIHTCAMSVFKDIIKEIALYLEGDCLVNSMVHSDD -NHTSVILVQNKVSEEVIIDTTMQQFERTCLAFGCQANMKKTYVNNIIKEFVSLFCISGEPFSVYGRFLLT -SVGDCAYIGPYEDMASRLTSTQTAIKHGCPPSLAWVSIALNQWITFNTYNMLPGQKNDPTKIFLTKREEL -PLELFGLLSTELSTLALLGMDSNNVTFLTSLLRKCTPVLYRRESIQVQSQKIQDWDLRLLSNAEVFYLKV -LRYFVLDNIMEVDDTMGETSEMRGKSILTPRKFTTAGSMKKLLSYRDYQDTIENQDRFHANLEYMLKKPE -LLVTKGETKEDFINSIIYRYNSKKFKESLSIQNPAQLFIEQILFSSKPVIDYLGLKEKIYTVGDTLFEEN -NPDIFGRLTFPQAYRLIYTELETLNLELSDIELIYNFCILNDPLTTTIANSLLMQLIGPEQDRLGLTCNT -MPEMRNMKLIHHSPALVLKAYSSSQIDIPGVEIEELQRDLVHLEEFIEKTKLTEKITKKFQARQTEQMSK -EQKLILQLKEKTKFYQICYEYIKSTEHKVKIFILPSKAYTSTDFCALIQGNLLKDSTWSSIHYLKPIIST -NYKGFIDKTPALEQQVASECFRLISYFGDTFINEFSKKTFLNKIIESYTYKGLQVKHLLGIIEASNYRHE -FMPILYWLGKLTQKDLNKYDAMKTGEKAIWNDWQINRSLGTGPINLKITGLDKSLTIIGTDDKLHMAELS -ITEINQTLITISGRKLLGARHGLRFELFSKVTNLEEGMYYITYQKKGRNQYAYQIHTTESIMRRNEIHLG -NRTRIFNEIVPVCPTIIARVGRKQRITIDTLEYLNYDQISLSRLKISDDEYATIKRAQLHKMLAFDGPDI -ENDALSITRLMKMPELMTNNFVEVVSVDIVNLSRLLNCCGTKSLDDSLLTFSDEPMEEIERSVVETVPVF -NICYQKSAKKSRTYRNALQTAIKRETNSFMEAMDFTTEGFLSNENLGCLEALVSIIDLLETNEWSTILKN -AIHLSMLANDFDSHFHTFALPGLFYEGNPIDNKICWQKIKEFVLTMPDIIAPPWDIVIRNFKEKAVHLVD -EKVKRERNFNSFILSLKRRGGRSNLEFV ->pdb|5AMR|A Chain A, RNA POLYMERASE L -GMDYQEYQQFLARINTARDACVAKDIDVDLLMARHDYFGRELCKSLNIEYRNDVPFIDIILDIRPEVDPL -TIDAPHITPDNYLYINNVLYIIDYKVSVSNESSVITYDKYYELTRDISDRLSIPIEIVIIRIDPVSRDLH -INSDRFKELYPTIVVDINFNQFFDLKQLLYEKFGDDEEFLLKVAHGDFTLTAPWCKTGCPEFWKHPIYKE -FKMSMPVPERRLFEESVKFNAYESERWNTNLVKIREYTKKDYSEHISKSAKNIFLASGFYKQPNKNEISE -GWTLMVERVQDQREISKSLHDQKPSIHFIWGAHNPGNSNNATFKLILLSKSLQSIKGISTYTEAFKSLGK -MMDIGDKAIEYEEFCMSLKSKARSSWKQIMNKKLEPKQINNALVLWEQQFMINNDLIDKSEKLKLFKNFC -GIGKHKQFKNKMLEDLEVSKPKILDFDDANMYLASLTMMEQSKKILSKSNGLKPDNFILNEFGSRIKDAN -KETYDNMHKIFETGYWQCISDFSTLMKNILSVSQYNRHNTFRIAMCANNNVFAIVFPSADIKTKKATVVY -SIIVLHKEEENIFNPGCLHGTFKCMNGYISISRAIRLDKERCQRIVSSPGLFLTTCLLFKHDNPTLVMSD -IMNFSIYTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTRLIKNACFDAYD -QRQRVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMVDLAKT -ILEIECEQRENIKEIWSTNCTKQTVNLKILIHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTS -SKSCLKIGDFRKEKELQSVKQKKILEVQSRKMRLANPMFVTDEQVCLEVGHCNYEMLRNAMPNYTDYIST -KVFDRLYELLDKKVLTDKPVIEQIMDMMIDHKKFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAK -ERCKLNPDEMISEPGDGKLKVLEQKSEQEIRFLVETTRQKNREIDEAIEALATEGYESNLGKIEKLSLGK -AKGLKMEINADMSKWSAQDVFYKYFWLIALDPILYPQEKERILYFMCNYMDKELILPDELLFNLLDQKVA -YQNDIIATMTNQLNSNTVLIKRNWLQGNFNYTSSYVHSCAMSVYKEILKEAITLLDGSILVNSLVHSDDN -QTSITIVQDKMENDKIIDFAMKEFERACLTFGCQANMKKTYVTNCIKEFVSLFNLYGEPFSIYGRFLLTS -VGDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWMTSLTYNMLPGQSNDPIDYFPAENRKDI -PIELNGVLDAPLSMISTVGLESGNLYFLIKLLSKYTPVMQKRESVVNQIAEVKNWKVEDLTDNEIFRLKI -LRYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTAGSLRKLYSFSKYQDRLSSPGGMVELFTYLLEKPE -LLVTKGEDMKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSHKPVIDFSGIRDKYINLHDSRALEK -EPDILGKVTFTEAYRLLMRDLSSLELTNDDIQVIYSYIILNDPMMITIANTHILSIYGSPQRRMGMSCST -MPEFRNLKLIHHSPALVLRAYSKNNPDIQGADPTEMARDLVHLKEFVENTNLEEKMKVRIAMNEAEKGQR -DIVFELKEMTRFYQVCYEYVKSTEHKIKVFILPAKSYTTTDFCSLMQGNLIKDKEWYTVHYLKQILSGGH -KAIMQHNATSEQNIAFECFKLITHFADSFIDSLSRSAFLQLIIDEFSYKDVKVSKLYDIIKNGYNRTDFI -PLLFRTGDLRQADLDKYDAMKSHERVTWNDWQTSRHLDMGSINLTITGYNRSITIIGEDNKLTYAELCLT -RKTPENITISGRKLLGSRHGLKFENMSKIQTYPGNYYITYRKKDRHQFVYQIHSHESITRRNEEHMAIRT -RIYNEITPVCVVNVAEVDGDQRILIRSLDYLNNDIFSLSRIKVGLDEFATIKKAHFSKMVSFEGPPIKTG -LLDLTELMKSQDLLNLNYDNIRNSNLISFSKLICCEGSDNINDGLEFLSDDPMNFTEGEAIHSTPIFNIY -YSKRGERHMTYRNAIKLLIERETKIFEEAFTFSENGFISPENLGCLEAVVSLIKLLKTNEWSTVIDKCIH -ICLIKNGMDHMYHSFDVPKCFMGNPITRDINWVMFREFINSLPGTDIPPWNVMTENFKKKCIALINSKFE -TQRDFSEFTKLMKKEGGRSNIEFD ->AIN37024.1 polymerase [Mojui dos Campos virus] -MEQTTFNQFLCRINAAKDASTAKDIDIDLMMARHDYFGKEICRSLGIEYRNDVPLVDIFLDVYPDFDPLS -VSIPAVTPDNYYFTNGKLYILDYKVSVSKETSLQTSIKYEQIMADIASEFPINYEIVIIRSNPSTMELSF -SSDEFRGLFGTISIELDYTRFFDLKRILYDKFADDEEFILKAAHGDFTLTAPWCKTGADEVYQHPIYREF -KFSMPIPYRRLFEESMNFNSYKSERWNTNLINIKEYTREPYEKFVNKCAKYVFVCDDDYPKPTENEIDEG -WTEMSKRVAKSRQISTLASDQKPSAHLLWAPPDKNYSNESIDKLLRLSRSLQNIKDPGEHVDSFKAVGKC -MDIAGNIPMYSTLCTNRKKEARQNLGHVKNKKLEPVKIGSALVLWEQQFVLNNEFFPKNARILFQKNFFG -IGNHRSFKDRNIDDLELDKPKILDFNNESIKLESIKLMESIKTVLIRKNNLDVSKDFIFNYFGEKIRGCN -EDTFNNMLYIMNSNSWSSINDISVLMKNILAVSQYNRHNTFRVAMCANNNLYGLIFPSSDIKTKRATVVF -CTVVLHKDQYILNPGCLFNTYKTTGGYISISKAIRLDKERCQRIVTSPGLFMLTSLLFLHDNKNIHIENV -IPFAFLTSLSITKSLLSLTEPARYMIMNSLAISSNVKGYIEEKFSPYTKTLFSVYVTNLIKKACYKAYSQ -RDKIRIRDIYFNDYDITQKGVRDDPEFQSIWFPGTVSLKSYLTQIYMPFYFNAKGLHEKHHVMIDLAKTV -LDIEMEQRKELLEIWSETPNKQTVNLPVFLHSLSKNLILDTSRHNHLRNKIENRNNFRRSINTISTFTSS -KSCIKIGDYELLKKRNEEQRKNIHKKTLAKAKLANPMFYNSDDENSIIEHSNYLSLKNSIPNYVDYISTK -VFDRLYELQTRGILEEKPFIEIAMDMMKNHDQFYFTFFNKGQKTAKDREIFVGEFEAKICMYTVERIAKE -RCKLNPDEMISEPGDGKMKVLEQKSEQEIRFLIENTKQKNRLIDNEMRAYLEDGKQEERIKMLAAERYRG -TKIEINADMSKWSAQDVFFKYFWVIALDPILYPEEKERILYFLCKYMQKRLILPDELLCNVFDQRTIYEG -DIIAESTNSFNSNWVEIKRNWLQGNFNYISSYVHSCAMSVFKEIYKEVAKLIEGEILINSLVHSDDNQTS -LSIIQNRIPEGNLINHSVQLFQTICLTFGCQANMKKTYINNIIKEFVSLFAISGEPFSVFGRFLLTSVGD -CAYIGPYEDLASRLTSTQTAIKHGCPPSLAWVSIAVSHWITFTTYNMLPGQVNDPASKLPITNRNEIPIE -LFGILEADLSTISLVGLESGNLTFLVNLLKKMSDVMDKKSPIIDQVSKVLLWDLNKLSDSDIFKLKLMRY -LVLDAELDIDNVMGETSDMRGRSIITPRKFTTKGSLKKLVSYNDYQELMQTQANQDEILEYMLSKPELLV -TKGEEPEDFRVSVLHRYNSKKFKESLSIQNPAQLFIEQILFSNKPVIDYSGISDKYGSIADTLFEENSSE -ISGKMTFPEVYRQISIDLSKLPLNTSDIAIIYNFMILNDPLLTTIANSLILRLDSAEQLRTGLTCNAMPE -IRNMKLIKHSPALVLRSYTKGAPDIPGCDPDEMRRDLLHLEEFIEKTNIKNRTMARINMNQAQAQSRDLR -FEIKEWTKFYQILYEYIKSTEHKVKIFILPSRAYTSTDFCALIQGNLIYDNKWVLIHYLRPINTGGFKAI -IQKTSSSEMNIALECFKLLSYFGDLFINDYSKKQFLNKIIADFTYKNIPVNTLLHMILDSHLRHEFIPIL -YWTNNLEQRDLDKYDAMKSSEHISWNNWQISRSLNTGPVDLKISGYNREIRIIGEDNKLTIAELQLNRNT -IEAITLGGRKLLSSRHGLKLENFERVKFLEPNNFYITYQKKPKNQYSYQIHNTESILRRNEEHSAVKTRI -FNEIVPVCPVIISVGEFKKTYSMKKISYLNYDNICLSRLKLEEDEYATIKRAHLHKMQNFMGPEIKTGLL -NITELMRTTELLNNSFEKVKNVSMVSISRIIDCSGEGSNDDLEFLSDNPLDFEETEEIQSTPIFNIVFNK -RGERHMTYRNALKIAIETQVKEVETAFDFSGSGFSSGENLGCLEVLVSTIKLLETNEWSTIILNSIHIAL -IQNNLDREYHLFSMPSYFLNDPVKMNINWTRLKDFFLTMPSPNIPMWNVMFLRFKEKALSIIESNELKGR -SFNNYISVLRKSGGKGLFEFN ->APF29571.1 RNA-dependent RNA polymerase [Gan Gan virus] -MDQVKRANYEKRISTCRDPALAKEIHSDLLFDRHNYFGRIFCQAIDIEYRNDIPFEKILEEIMDDVQIEN -IPFFTPDNYIFRNNKLYLLDYKVSVSMESTTQTLAKYKEKMSLVELFLPFNYEVVIIKIDPYTKQLYINS -QEFTQMFPNINIDPNFTDFIELRQMLLDKFADDDEFVAMIAHGDFTMTAAWTEDDCKIVYQNPIYKEFKY -SMPIPYRRLFEESMQFNAYRSERWNLMLLKMRDYTKSSYDDYIKDESEEIKRLDGNYPKPSKDEILQGWR -LMRRRTLATREVITDPSKQKPSGHFIWAPPEFGKTNNNIQKIINLAKSLQKINEVDVNKNAFVALGKMMD -FSADIEGYIDFTNNLKIEARKNPKKLSTKKLDFKLIGTSKIMWEQQFLLNFDLISKEDKGNFFKQFLGIG -NHKQFSRKTLDDLDLDQPKILDFDSKEVLLAAKGMMNESKRILGQNSNINKQETIFDNYLPEIEKSSPEM -FKNINILMNSKYWACLTDISTIIKNLLSVSQYNRTNTFRVVTCANNNLFGLLFPSSDIKSQRSTMVYCIV -AIHDSEILNPGSLYRTFRIGAKNISISRAMRLDKARCQRLVTAPGLFIQTAALFKGDNDLLDIYDIANFA -FFTSISITKSMLSLTEPARYMMMNSLAISSHVKEYISEKFSPYTKTLFSVYVCHLIKKGCLMANEQKDKI -ELRDVYMTDYEFTQKGVKEERNIDSIWFPGKVSLKEFINQIYLPFYFNAKGLHEKHHVLINLAKTVIDIE -LEQRREIKEIWSSEPKKQTVNLPVFLHSLSKSLILDTSRHNHLRSRVENRNNLKRSLTTIPTFTSSKSCI -KIGEFRDLKEKSLKQRLDRIKKEADKVRIATPYLIDETMLDMQIKHANYSLLRSSVPNYQDYISTKVFDA -LYENLKTGQVSDGPAVAEILKVMKTKEDFYFTFFNKEQKTYVDREIFVGEFEAKMCMYLVERIAKERCKL -NPEEMISEPGDGKLKILEQRAEFETRYIVKETVQHNKSVLDRFDNYEAALPMLRKGLKLEINADMSKWSA -QDVMYKFFWLTALDPILYPQEKEHILNFLCNYMRKRLILPDNLMYNLLDQKVQRADDIIALITEGFKTNN -FNVKRNWLQGNFNYVSSYLHAVSMSTYKDLIKSVIKDGQSQINSLVHSDDNQTSIIIIQDLYPEDVMVHY -ILSKFERVCLTFGCQANMKKTYATNVIKEFVSLFNICGEPFSIYGRFLLTAVSDAAFLGPYEDFASRISA -AQTAIKHGCPPSLAWLSIAIANWITSLTYNMLPNQINDPSKHLEMSRKDVPIELGGSLDAPLYLTALLGL -ESDRTYFLIQALRKMVNPMLVREEVSTQIRSLTPESLDKLNDTELMKFKLIRYLSFDSEITPNDTLGETS -DMKTKSILTPRKFTTSGVLKKLISYNDFQNLEDGIEPVLDYMIENPELLVTKGETKEQFINSILFRYQSR -KFKESLSIQNPVQLFIEQILFSSKPTIDYKSIFRDTDILPDSIIDEKHNIHGNVTIAQALSTLKDDLKSF -SFNLDDLKICYLFTILNDPLVSTATNSYILSVQSNKMSREGLSCASMPEFRNMRIMHHSPALVLKAYTRQ -QIDIPGADPEEMRRDLNHLEHFISETKIIEKMKCKIEEMKEAGTYTKQNEVRELTKIYQTCYDYIKSTEH -KVKIFILPHKAYTTIDFCSLLQGNMYLDKHYITMHYLRQITTHAKKGEVQILTNTELLIAREAFRVLPFF -LDTFIASISRSQLLETIVSKFTYKGLKLTELLNLIENSNARTDYIPLLYRLKKLEQKDLDRYDALKSDDT -ITWNQWQINRTFDTGEIDLVINGKDKYIRIIGFDDKLTVAELHLPSNRPEDIRIAGRRLLNQRHGLHLER -MKDVVMEERQYYITYQKRYKNQYHYAIYTEDYINNKNIEIKSHATREHNLLTPVCLVYVAIDVSRARILI -EDLEYLNYENFEIARLKLTMDEYATIKRAQINKMLFFDGPEISSNLISINGLMKSQELLDNTYSRLISKS -IIPVAKIFKCNQIDSIEDEVMVFNDEPMEDTESVEIQSEPLFNVVFTKKGEKRLTYKNALIKMINSGLEN -FESIFDFSEEGFFSAENRGIIEMIVSIIQQIHTNEWSTIMMNTIHLLYIKYNMDSEFHQIDIPDAMLQDG -NLKIDFCLDFINALPNIQDDIWSNIFEHFKMKANDLLLEMKASQSQDLRKFMKILKKKDKVSKGLFSYF ->APG79334.1 RNA-dependent RNA polymerase, partial [Hubei bunya-like virus 11] -MRSNQATSGKNDILKALYVASSRDPYDRFMYSLNYDWMVRGVELITQYSVGHSEDDCNTLENVMNRIITQ -EDTSIMITDEIIWVLAELLDLSIILFTRDYIAQYCSDKTSVAHISLQKGVYRTVSKVPDNVPLGGTRWKE -TPDPSVLQVKASRPSSSLISDLFVQHHPITCVRLGTVTSRVYVCALATITKHHTTMTSQVVLDFLLSKSV -TFDEEELMMYAPVGDDAAFADMKILIESWADTKGFCLFVYDVTLMAGTRWWMSGSSRDGDQKGGVIYDGK -NMYTVTCSNTCLNCRGSSSASSVGVVNLDSMKLLDSSSVQADDGRTEEDAIGWYDEEESGDDEDTREIDI -GGMTILVQNRQKAAEASEPADLSDSGTALDQEYGENFRVPAVVQHFETRLLQARNDGKAKKTLVNELVRA -RHDAVLSLLYATTGRLSPEGDVTVRDQLRPYGFTDDLYYQLNDSLKVIASRTPDMILMNNNIVYVTDVAV -TTPGSVRVREGAKYQRYVEIGKIVALMQKECRHKHVVVSADAGNLETAYWTWCKTMDLVPIEESLAACRI -IMLQVRDFISAITSNLSREEILDFSDEAVELRAVQPAFLEHALTVCKPYRESYMTYLEDNNITDSQIDDH -YDDSILIRYQQSKTGAVKSKIGRKVFEYDDSFKSIKKLESRVVDGATVLLDLEACITREKITQNDAPFLY -GDFKERNSMTGLATKYLNAVKSHSAGWDYNLESVTTLSGMMIIMELEDELSRFLEQSEFGKAIQKCKLEN -YNDLSDEMKSSLGLFILNDTTSLNNANTVRVGTSIKKHDLAFWHSKGIFLSKDSSSQEKSAKEAERRRIT -SLYQKVDKQIEAIKDLVDEGDINTARINLGDLKTQVASLKLTESKESFTSRRFTNRSLKTSQDVLRQRGK -FLNRADPIARPYPWRDMADGSSMDSSVLTAAEAIENWPIDSIFNSVLMRCISRFKDVLLRGMALNSHSKV -KFAAFNDSSTVVAYLPRPYATDKSTSYMIYAIGYNDQAMTHVDFTNKLLSLTKRKKAPRDLEDVYRTDVL -RVKDEKLSNMENLADMIPVSLLSWAMMVGALSPHAKDLACGMFTSMSMGQHLLTGAKLHELKCLSEMKMS -CGNNVPKLLSKCIGRSKTHSDLLITNSTIELMKSSCTMSAKLGDMKIEKSTSKFGEEQGEIFVNESTARS -FISKETTIHGNSNIVNEIVLITNLIGKNQQPKLPAMKALFNVLVKYKGERKLLEEEVGRNQYLYGCTTPL -KDYEPKQGLYHVTTPRVVAGIINDAFLREGSRLNSQIRATGYEESYLNCSNTKGGVYRDWNTMEIASRKK -IEGYIEDMQQMDDFNKEGSLYFAHTMYIDPRGLKDAYCKLSTDNQTLMKLETSNRTLGNIAVTTSEAKAK -VRREITDGLPEKMQDSIIDLLEKYDKEVEVCEYPPLATERSKQIITEAVAALDRKTNGGTTLKPGDVYYS -GDLGFPRVIPVVTMTNKGQRGIPDREIFSLENGLATGLMMTEKNSFILGSAIEGEQLSNRNDSKFKQITE -CIHNHYDKNVSIKRKKRFLESLMMPEIDVEQYDNVISHLVAEVMMFSIDLSKYSTADSIFKLIALCSHLF -EMPHVPKMFTYTLFVSVCSLANRYVQVDAGLDILIDMYDKTTYSDKMKSFMSERQQGSSMFYIDHGWPQG -MLNTTNSVGVACTHIIGHRIIKKAEPVEGENDNSKIFADDQWMITSSYRHDLMTSHLDGPPEPDDTDENK -SYLSEDYKLWTVGLEMSQEDKLSIVLHSMNCMSYKANDKKTYMSRCTGEFLSVHFCGGSTYQEWAKFPSA -LVAAVNGSGYGRSMYDLLAATGKAHSMGCPTEVLAISVYQIFRTLWRLYAMNYNLSEVPSGWSKHVPFML -GGDLDGIGICNLLMLGPSVEMVKYFKLCEDLEVDDSTNPLAAIEKMEDELMKDENDITESAIYNMARDSG -LSRDDARTLLKLKLSCYKAWLVCADQSKANLDDNESLAETGVVDMLFTVPRSVLCFPSKEKVEKLIRLVF -GKNSDMLKPEVRNKKVKEYKEAHPTNSFLAPKGVKYAEHLKLALGTDVGLLWSYSSLKHNASKKLLCTIQ -TAKKAVLSWNVLSPELAKAKDEYFKSLRSSRLKRMKELKQSTQDKKAKYNEMLQKEKNRRVALINQLTRA -GVDPKSLRKTKRKMAKLKVPIDPGSSEHDAERQAQQAIIGRLKDEKKKCDAKFRKVKRQLAALALKDLTK -DYERLAVSGDKGYEHKASESFTKYARYHCSMKEIAGDVLTAVNQGKHLEDSYSCHKIMMNKRRKTKAIYD -LIRSSSVTYVVNESDMRRSYEPFPLEQEALMIANQPEILIKMFMSPAESISEGLRYHLRMPDKMDSDLFH -IFKVCEEHCKTIVSRSKKAKILNMVLKPERTTSSYFMVIKQDRELVGNAFIVSTFNNLGAGKYSLGLIGQ -NKAVGLSVIGLGADSEFSMQCRKLEVLGTFLGYFDSTEHFNWKSQKEAAKKYLVKSRRRLTEFQGEKRST -HYKKLMAECEEAEKIYEEIKSNMNTKHHENMNRLIDRVTVDDRHVSSFMAE ->AIN37017.1 polymerase [Pongola virus - SAAr1] -MDNEAIEEFRRRIRRAKDACTAKEINSDLLEARHDYFGVEYCKSIDIPYRNNVFFSDIILDLYPDINPVE -IRFPNITPDNFIYVNNILFLLDYKVSVSNEYAQITYNKYYELTREVSIELGLRIEIVIIRCDPITKNIYI -NNDAFRDLFPNNPDNLNFNWYFDLRQILFDKFGDDDEFLLKVAHGDFTLTAPWCKDGCKDYLKHPIYKEF -KYSMPIPERRLFEDSMKFSAYESERWNTQLLKIKSHTETSYKEYISTEARNIFLVDEKYPQPNRDEIQTG -WDLMSKRIGEEREISSNYADQKPSIHFIWCENNSEYPTQSTQKLVYLSKCLMSLEGQSSYSSAFRSIGRM -MNIEENYQTYEVFCNERKMKARSTWKQVTNQKLEPKLIGEALVLWEQQFILNSDKIQKNDKRSLIKEFYG -IGGHKAFKDKTEVDMSNNKPKILDFNDDMIKFKSIDMVNKVKTILSNEVCYQKDHFIKTHFGHEIESANP -ETMENISLIFKTQFWAAINDISILMKNILSVSQYNRHNTFRIATCANNSIFAIVMPSADIKTKKATVVFN -IIALHKKEDNVINPGVLHGTFKCKHGNNYISISKAVRLDKERCQRIVSSPGLFLLTVLLFKQGNETINMD -DVMVFSFFTSLSVTKSMLSLTEPSRYMIMNSLAISSNVKDYIAEKFSPYTKTLFSVYMTNLIKTACFDAY -MQRSKIQLRDIFLSDYDITQKGIKDNRNIKSIWFPGSVTLKEYLNQIYMPFYFNAKGLHEKHHVMIDLAK -TILEIEKDQRKEITEIWSNQPKKQTVNLSILIHAIAKNLLVDTSRHNHLRNRIENRNNFRRSISTISTFT -SSKSCIKVGDFREIKEKQSERIQKINKSNMVKYRVANQQFVSEFDNNLEVKHCDYKMMRQAIPNYVDHIS -TKVFDRLYELFKTSKLTDRPCIEEIMDIMTTHTDFYFSFFNKGQKTAKDREIFVGEFEAKMCMYAVERIA -KERCRLNPEEMISEPGDGKLRVLEQKSEQEIRYLVEHTRQRNREIEEEIMNLVEDNIDKNIDKLEILQTS -RHRGLKIEINADMSKWSAQDVFYKYFWLIAMDPILYPQEKERIIFFFCNYMQKKLILPDELLYNILDQKK -QYKDDIISEMTNQLSHNYVEIKRNWLQGNFNYTSSYVHTCAMSVYKDIVKAMAELLDTEAVVNSLVHSDD -NQTSITLTQNKLPDDNIINFSIIEFERVCLTFGCQANMKKTYLTNFIKEFVSLFNLYGEPFSIYGRFLLT -AVGDCGYLGPYEDLSSRISSAQTALKHGCPPSLVWVGIAVSHWITYLTYNCLPGQVNDPLNYLPVEERVD -IPIELNGYLKAPLPLISILGMEAGNVSFLLDLLRKYTPVMRKRETVLVQCMEIPNWDLNQLTETEIFKLK -ILRYLVLDGEMDSSDIMGETSEMRGRSILTPRKFTTAGSLRKLLSFNDFQCLQQEANGLERLFLYMLDKP -ELLVTKGENANDFMNSILYRYNSKRFKESLSIQNPAQLFIEQILFSNKPVIDFTGIREKYLNFNDSIKQE -TSTQIIGRVTFCEAYRMLARDISSLKLDSDDISTIYSFIILNDPMIIAACNMQILSVIGSPQDRLGMSCS -TMPEFRNLKTTINSPALILRAYSKSTPDILGVDATEMSRDLIHLEEFIKATKLKEKMEMRIKENEALQNK -RDLIFELKEITRFYQVCYEYVKSTEHKIKIFILPSKAYTTTDFCSLIQGNLVKDKQWFTIHYLKQIISNS -TKAIVQTLPTTELNISAECFKLICHFADTFLDITSRIPFIRKIIDTFSYKNIPVKNLYDNLLHSNLRTEF -IPLLFRLESLTQHDLDRYDASKSQERIAWNDWQCTRFLDTGPINLSITGNNRAITILGEDNELTYSELQL -SKVYPDNIIRSGRKLLNTRHGLKIENMKKIETYPGTYYITYQKIDKTRYLYQVHTHEAIIRKNEEMYRNQ -LKAYHEIVPVCPVNVAEVESSQRIWLRNMDMLNNDNFFVSKVKITPEEFATIKRCHFSKMLSFEGPDLNT -GIINISGLMKSAELLSLTYDNIVGGSLVSLSKIIQCSGNTTLSEGLEFLSDDPMEYTEGETINATPLFNI -YYNKKGYKHMTFKNAINELIERETNRFQSLFVFSGDNFYSKENLGCLESIISLVRILKTNEWSSIMENCI -HICMIKSNMDHVYHMFDTPTYFLKNPITHEINWYNYLEFIERIPDPNDATWGDIFKNFKSKCRDLILKEI -RSGQNFSNIAAMLARDEGRGLLDFFDE ->AIN37022.1 polymerase [Nyando virus] -MDKNQYTQFLNRIRTARDAATAKDIDSDILIARHDYFGREFCKCINIEYRNDILLTNIFSEILPEFNPLL -YNIPVVTPDNYVYINNTLYIIDYKVSVSRDSSIQTYNKYTDILSTICPLLNVDYEVVIVRANPLTLEVSI -SSDNFRELFHPNNLELSFQDFANLKKQLFDKFAEDEEFILKIAHGDFTLTAPWCKTGCKQLLKHPIYKEF -KMSMPLPFRRLFEESMNFNAYESERWNTNLVKLKEYTLESYNSFIKQCSREVFNCSGNYSKPTREEIELG -WQEMTQRLRNERLFTNITSEQKPSGHFTWTQPDPELSNKATPKMLKLASKLKSIKGEYLYANSWRALGKC -MDFSLDIQLYETICNKRKMEARSNWKKIDNKKLEPVRIGDSLVLWEQQFVLQSEYFDSNERNMFLKNYFG -IGKHKAFKDKTLEDLSSEKPKILDFNDTTVFLDSLRMIKSTTTILNKKSNLDPDKDFIMENFGLRVKDSS -LETYAHLQGIISSNYWSALLDISTLMKNMLAMSQYNRHNTFRVASCANNNLFGIVMPSTDIKTKKSTLVF -AIVALHDEEDQVLELGANYKTFKTNTGYITVTKGMRLDKERCQRLVTAPGLFLTTSLLFLSGNSNISMKD -VLTFSFFTSISITKSLLSLTEPSRYMIMNSLALSSHVRGYIAEKFSPYTKTLFSVYVVKKIYSACLSAHD -QKDKIELRNIYLNDYDIMQKGVEDIATFTSIWFPGKVSLKSYINQIYLPFYFNAKGLHEKHHVYIDLAKT -VLEIEKDQRSMIMQIWSDMPLKQTVNLPILIHSLAKNLILDTSRHNHLRNKIENRNNFKRSISTISTFTS -SKSCIKVGDFEEYKSKVIKDTKKSTNALIRKSRIANPLLFEESEMNLDIHHSTYLDLKKCIPKYIDTIST -KVFDRLYELYKEGMEDLPCIELIMDEMVNHNDFYFTFFNKGQKTAKDREIFVGEFEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKMKMLEQKYNEEIRYLLEKMKSQNLDIQSQIDAEKLKSHPDFDKISTLTKQKAK -ALKLEINADMSKWSAQDVFYKYFWLIAMDPILYPNEKERIIYFLCNYMNKKLILPDELMCNILDQKKQYS -NDIISEMTNLFQQNFVSIKRNWLQGNFNYTSSYVHSCAMNVYKDIFKEISILLQGDILCNSMVHSDDNHT -SVVIIQNKVQEDKIIHSTLINFEKVCLTFGCQANMKKTYVNNTIKEFVSLFCISGEPFSVYGRFLLTSVG -DCAYIGPYEDMASRLTSTQIAIKHGCPPSLAWLSIAINHWITFTTYNMLPGQLNDPTIRLPIQKRNELPV -ELFGILNSELSTIALIGLESGNLTFLCKLLQKYTPIEFKRESVINQVTKLAEWDMAKLTDAELFYLKVLR -YLVLDMEMDLDSIMGETSEMRGRSLLTPRKFTTAGSLRKLISYQDFHSQASSQDGLDDVYQHMLDHPELL -VTKGETKNDYMTSVLYRYNSKKFKESLSIQNPSQLFIEQILFSHKPVLDYSGLRNRYYQPLDTEFEENNP -EIFGRLTFPQVYELLSKDMATLPLTNSDLKIIYQFCILNDPLLITVSNSLLLQLEGAYQKRTGLTCNTMP -ELRNLRLIHHSPALVLRSFSKNDPDLPGCDPDEMRRDLNHLNEFLDSTKLKEKTLKRIMENREKTGKAID -YQFELRELTKLYQICYEYVKSTEHKVKVFILPAKSYTATDFCALVQGNLKKDNEWSMIHYLKPINTNSYK -GIVQTVSTSEYNLASECFKLIAYFGDTFINEFSKRHFLNNIVENYNYKGVSVLNLLDVVLRSHNRHEFMP -ILYWLGKLEQRDLDRYDAMKSVDYVSWNDWQVNRALGTGPINLKISGTNRSILIIGENDQLTLAELHTTD -NTIQNITMCGRRLLSARHGLKFETMKRLKSIEQGMYYITYQKRHKNMYMYQIHNYDSIIRRNEENLSHRT -RVFNEIVPVCPVIIAITNKQHPIILEDLEYLNYDNIYLGRLKVNNDEFATMKRAQLHKMSSFEGPSIETG -LINLTKLMHCQELLTTEYDKISSVGIISLSRILSCTGLRTLEDSLEFFSDDPMEVSEDQVIEATPIFTLT -YNVKGPRSKTYKAALSSAIKRCTSEFKELFDFSNNGFVSGENLGCLEVVCSIIKLLETNEWSTILDNAIH -LCMITEGMDSVYHTFSLPTLFFIGNPLENNPDWNKLKEFVLTLPTVNLDPWSTMLENFREKTVKLIDDKI -KNSRNFNAFLDLLKKPMGRSMFDFN ->AOS59868.1 RNA-dependent RNA polymerase [Inkoo virus] -MDNTEYQQFLARINAARDACVAKDIDVDLLMARHDYFGRELCKSLNIEYRNDIPFVDILLDIKPDIDPLT -LEIPHITPDNYLYLNNILYIIDYKVSVSNESSVITNTKYFEMTRDIASALNLHIEIVIIRIDPISRDLYI -SSDRFKDLFPTLVVDINFNQFFDLKQALYEKFGDDEEFLLKVAHGDFTLTAPWCKTGCPQVWQHPIYKEF -KMSMPVPERRLFEESMRFNSYESERWNTNLIRVREYTKKEYDNFITKSAKEVFLATGNYKQPNKGEILEG -WEMMVERVHQQREVSKSIHDQKPSIHFIWSAHNPNNSNNATFKLILLSKSLQSIKGNSTYTDSFRSLGKM -MDIGDKITEYESHCDHLKTQARQSWRQVMNKKLEPKKINDALVLWEQQFMVNSELINKNDKLKLFRDFCG -IGKHKQFKNKMLEDVELSKPKILDFNDENIYLSSLTMIEQCKIMLSEQNGLKSNNFILDEFGKKIGDCNK -NTLDVMTSIFETRFWQCISDFSTLMKNILSVSQYNRHNTFRVAMCANNNLFAIIYPSADIKTKKATVVYS -IIALHKNEIDVFNPGCLHGTFKCNSGYISISKAIRLDKERCQRIVSSPGLFLTTCLLFKHENPTIRLDDV -MTFSLFTSLSITKSVLSLTEPARYMIMNSLAISSNVKDYIADKFSPYTKTLFSVYMTRLIKNACFEAYNQ -RQKVQLRDIYLSDYDITQKGIKDNRELTSIWFPGSVTLKEYLTQIYLPFYFNAKGLHEKHHVMVDLAKTI -LEIENEQRNEITKIWSKDLTKQTVNLQILMHSLCKNLLADTSRHNHLRNRIENRNNFRRSITTISTFTSS -KSCIKIGNFQKEKEVQYTKQKKNIEIESRKRRLANPLFVSDEELGLEVGHCNYNMLREAMPEYKDYISTK -VFDRLYEMLDTDQVSDKPTIELIMDMMTDHTDFYFTFFNKGQKTSKDREIFVGEYEAKMCMYAVERIAKE -RCKLNPDEMISEPGDGKLKILEQKSEQEIRFLVETTRQKNREIDEAIEALAAENFEGNISKIEKLAEGKA -RGLKMEINADMSKWSAQDVFFKYFWLIALDPILYPQEKERIIFFFCNYMQKKLILPDDLMCNLLDQKTTY -KDDIISVMTNQLQTNCVEIKRNWLQGNFNYTSSYVHSCAMSVYKDVLKEAMSYLEGSIVVNSLVHSDDNQ -TSVTIVQDKAPDEVLIEFSITQFQRICLAFGCQANMKKTYITNCIKEFVSLFNLYGEPFSIYGRFLLTSV -GDCAYIGPYEDLASRISSAQTAIKHGCPPSLAWVSIAISHWITGLTYNMLPGQSNDPMDYFPAETRKDIP -IELNGVLDAPLSMISTVGLEAGNLWFLIKMLNKHTPIMQKRESVVNQISEIRNWDLSRLDDNEIFKLKIL -RYLVLDAEMDPSDIMGETSDMRGRSILTPRKFTTSGSLRKLYSFSKYQDRLSSPGGMNELFQYLLEKPEL -LVTKGEDKKDYMESVIFRYNSKRFKESLSIQNPAQLFIEQILFSNKPIIDFSGIRDKYINLHDSRALERE -PDIIGKVTFKEAYRILMKDLNSLPLTNDDIQVVYSYIILNDPLMITIANTQILSIYGSPQKRTGMSCSTM -PEFRNLKLIHHSPALVLRAYSKNTPDIQGADPTEMSRDLVHLKEFVDNTNLRIKMEKRISDNELEKGARD -IVFELKEMTRFYQVCYEYIKSTEHKIKVFILPAKAYTTTDFCSLMQGNLIKDKEWYTVHYLKQILSGGHK -AIMQHNSTSEQNIAFECFRLITHFADSFIDAGSRPAFLQLILDSFSYKDVRVNKLYEIIKNGHNRTDFIP -LLFRTGDLTQHDLDKYDAMKSQERVTWNDWQTSRHLDMGAINLTINGYNRAITIIGEDNKLTYSELNITR -KTPENISISGRKLLSSRHGLKFENMAKVTTYPGNYYITYRKKDRHQYIYQIHTHESILRRNEEHMAIRTR -IFNEIVPVCLVNIAEIDGDQRILIRKLDYLNNDIFSLAKIKVGIDEYAVIKKAHFSKMVSFDGPPIKTGL -LNLTELMKSQDLLNLNYDNIRNSNLISFSKIICCEGTDNIDEGLEFLSDDPMAFTEGETIHSTPIFNIYY -SKKGERHMTYRNAIKLLIERETDKFEEAFTFCKDGFLSPENLGCLEAIISLIKVLKTNEWSTVIDKCIHI -CLIKNNMDYLYHRFDMPSCFIDDPISRNINWLMYRDFISTLPPTYVAPWNIMLEHFRTKCSTLIMDKMET -QRNFQDFAKMMRRSEGRTNLDFN diff --git a/seq/clusters_seq/cluster_980 b/seq/clusters_seq/cluster_980 deleted file mode 100644 index 35433c1..0000000 --- a/seq/clusters_seq/cluster_980 +++ /dev/null @@ -1,58 +0,0 @@ ->YP_009551504.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 17 genome type A] -MPQCALVEDYTTLRHECTITSYVAMTPPLPQHWPTLEDCKNNYVAFPAGLGGPIKFTITTISELFPNPIQ -PTTALILHFNVGAVEAQVAAMLYWVHTAPRKAVDVLTSHGWFHKPLKQWADVFKDSLDTMRRQATVPGSL -NDHETFSALRKIMSLTYRGTEEPDWDAEMSRMRENMPIRSFNKLPRALHLNYNRELWNAIKEVTATTVHN -VVRNTRQRSMDEWWATRRAWAPSGSSTNRRLLDDYKKREPRIKSADRASKKTVVEALPDDYMETSLARPP -ISLARRSKKSEAGWKARALYASDDVPFFIASYASLDMEKNMNHDGMNPRQTPDDVAAWVLADARTTMSDV -WLSLDYSDYNKEHRNTELALLNLGFVLAWAVAPVDPSVRVDKMRCALWTAKAHLCAFVSDGEHTHRIFSG -LFSGHRDTARDNTLLHAVYSKLARKVVSRITGARCEPRFIAMCGDDEDACFNSDIMAMMYLHVHSWANWT -LTDRKQMLGNAYHEYLQRSAFNRQLPTKPLATILETMATGNWYKRSATWYDSVISAVSDNCFEMVRRGVR -LENAQALARKLISAMMKIKRSDGTIKKLEWWTYRDPSASHPLWMSQEPTATPPITSAKPRPSYSAPTHAT -DAYIKHAYSILTHLEPKKLVLYKNEMLCESYGSIHTSWRMDLNRQAAEQLWPERHSEPLLLEAPQPPDAR -EKQDWVIQGMLCRAKTNTPTSEREAIARMGLDPSLVKYLGGVSKAWRLTDPHKLARYQEPVEPCALNPIL -YYIDSALVSWMTATPHIPRDYRA - ->YP_009551502.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 17 genome type B] -MPQCALVEDYKLLRHECIITSYTAMTPLLPQSWPTLDECKTNYAAFPTGLGGPIKFTITTMAELFPNPIQ -PTTALVLHFNKGAVEAQVAAMLYWVHTAPFKAVDVLTTHGWFHKPLKQWAEVFKDSLDTMRRQATVPGAL -GDHETFSALRKIMSLTYRGTEEPDWDAEMSRMRENMPIRSFSRLPKELHLNYNRELWSAIREITATTVHN -VVRNTRQRSMDEWWATRRAWAPSGSSTNRRLLDEYKKREPRVKSADRASKKTVVEALPDDYMESSLAQAP -ISLARRSKKSEAGWKARALYASDDVPFFIASYASLDMEKNMNHDGMNPRQTPDDVAAWVLADARTLVHDV -WLSLDYSDYNKEHRNTELALLNLGFVLAWATAPVDPTVRVDKMRCALWTARAHLCAFVSDGEQTYRIFSG -LFSGHRDTARDNTLLHAVYSKLARKVVTRITGAPCAPKFIAMCGDDEDACFSSDIMAMMYLHVHSWAKWT -LTDRKQMLGNAYHEYLQRSAFNRQLPTKPLATILETMATGNWYKRSATWYDSVISAVSDNCFEMVRRGVR -IENAQALARKLISAMMKIKREDGSVKKLEWWTYRDPTASHPLWMTLEPTAAPPVTSAKPRPSHAAPTHAT -DAYIRHAYSILTHLEPKKLVLYKNEMLCESYGSIHTSWRMDLNRLAAEQLWPERHSEPMLTEQPQLPDAR -DKQHWVLHGMLCRAKTNTPTSEREAIARLGLDPSLVKYLGGISKAWRLTDPHKLARYQEPVEPCALNPAL -YYIDSALVSWLTATPHIPRDYRK - ->YP_009333150.1 hypothetical protein 2 [Beihai barnacle virus 15] -MPRGDGSGTAPRCPLLPPPYGAGADGGPESGLSAGLRRASEDPPKVGDLCAVGFGVTPVRGDAGPTLPPG -VGPHRLANNLLREAERMVKTGDRDSSGAGVTRWGGLLRALYFGGAAGAEDYEAAGLAVWPPPQTVAPRVA -ADASLPDMSIEALKERHPQGYGGSGAVIQLTLTTTFKALGRGPRRWECELMNANVGHPEWSVGAVILFFS -ALEPDVVDWLVEEARVHLIPLATWPECLRDWFTALRRCPRLRGMPHVSPSAVLELRKMLNCVLRSNDEAD -WKHEYTRKCAEQAIHTGVGANGMLSQAVWYNDLAVSIKEYVDGTINATVEAREPETASEFWRLRWERGAA -GSSSERKRLADLCAADERLGGQARANKKAVLEAMTDADFETMWEGLPSYVARGSTKPEPGGKQRALYATT -DECFILSAYGSADLEKYMNIEGIRAKQTPADVVEWVKQGMDMAPQARWVSLDYSDYNWEHTTAALMLMEL -CFAAAWCRKGGDREWGADKTAAALWSMWAHAAKFTVVPKVDASWRFFGGLFTGCRNTARDNTLLHGAYSK -TIEKYLGMVDPGKYLMHKNYTGDDEDSVLPDWVAAANYLVLHSLAGFAIKPAKQMCSRETHEFLMRLAMP -AVLPTRPLGTALAIFTSGNWYKDVHVYYDSIISGVSSNVWELVARGLPLVVGRRLAAATLNAQMRLKNAD -GTRTLLEWWRYRHGTGAAEHPLWAGTPGDWEPVPEVVSPLEVHPDAPTHATNAWVKEKQRSLGLPATKEW -DVVRKTAVAASFGKMYSRHAAHMHEAEVRRVWPRRESHPHGLDVPGPPKPDQDELKNLMYVYPIDRRPTT -EPEVFGRIGLTPAIVAAFGGIKRVLLYLPVNRLAKYVEPAPPQGLRLELYWEDSAIQHWHRTAGLSGEKA -PRLADAVYARRWPRLQRSEATRDTTTRVLILAPNGAGKTTYCRSHQWVFDSDEMLGKVVEKSSFRALRYR -PDVERPSHVASAVEHILLRRDRYGIATQLDVDLVSLMPSQRGWEWQIYIVQPPRAELETRLRARGWDDTK -IARRLDHWEASVRHGVRKSKHLTSKEREGAHWCSEWPEKI - ->APG75978.1 hypothetical protein 2, partial [Hubei toti-like virus 6] -MGRPAIRTFSQVFSKFTTAGSSHRGNGWCCSRNYHSTLNTIRAYAIQPCRRCQEQPRGSIVRKMNASPWG -NPCCPYCKDLDWELDADNNEAVVHNRIAEQLNVLQGLGFSRGQLIFNNTGEVFTMLKDTTLTSIKQTEPG -LVWELIARCSPATLPVETRRLHLSYTLDCTPFASQLHGEPQNAYEQLTEFYTYQPAPPGLRDIYQVHPVF -VAKWRPERTATLWQLFCCNPHMVAYEHAALFMWWAAMDAELYEMFCATKLQLVNLKDEGSKLGEVLELCR -QQAQGWDGPRGEEAFLWLRKYKNLFGRERGVCNVELETSRYHPSYSWRTIGTTWERASRGEYLHIFSQHA -KRLTKRLVNRLIKNRTETLDEWWAKRVNNMASGSTSNRHLLAPYIVKDQEIHKADRPNKKAISEVLASDY -IWHLLRSNPHMVARRSTKNEPGLKQRALYAVDDEAVILSAYASQGVEKNMNFKGMCPLQRPADVVAWWRA -GTNMRAEQVWLSADYTDFNKEHSAAEMVILNLALALAWATQAPERKATMHKVLATLWVAQAQKTRFVKDE -QQGFTRVFSALFSGSRDTARDNTLLHSIYHTMVKEWLDMSIPGWGEVITSFMCGDDEDVKLTDPVAAAYY -YKAMQHLGWHANDAKQMCGQHHHEFLQKCPHKQKGCIGPIASMISALCSGQWYVRPGLQQDNAITSMSEQ -LWELIVRGAEIEFIYPLAIDLLNDYMQAS diff --git a/seq/clusters_seq/cluster_981 b/seq/clusters_seq/cluster_981 deleted file mode 100644 index 21e0a68..0000000 --- a/seq/clusters_seq/cluster_981 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_001949869.1 P0 [Melon aphid-borne yellows virus] -MHIETVKQQLVFRPTRRASTDDRRLSISSFLVDYVYLYQFTRQYGENFLRLFLARLPLLISEQLSGDYVY -TPGVARRIMVARFHRHCGAPLPSSSAVDLRLPVTKDIARFFLARHYSRVMGEGLQRRQTDLFGGYAEFKK -FLNVWCSSTSRRLREPTPHAFPIDRIFMELSNLGIGLRSMALTTGVHYRDALARVALHVHRIYGEDGGLD -FWRLANFPSKSWPFSSERYLAGSVVQRELQS - ->NP_840095.1 P0 protein [Beet western yellows virus] -MLFETYNHILIFRANRFLTAGERILNTAEFLIAYLILFVKHDNANVNIFLARLPLLLSEQLQGDFRYVPG -RRQRHRLARLHKACGASLHSSGAVNLRLPPTQDVAGLFLARNASRSMGERIHKHQDLLAKGKDEFDRFLS -VWCADAERSLSQTSKVCAERDNVCVELINLGFALRALVLDPRVHCRSAYARVALCVYRIYGEDSGLDFWR -LANFPLQCWPYHIEKHFTGSVVQKILQM - ->NP_620100.1 hypothetical protein P1 [Cucurbit aphid-borne yellows virus] -MQIESVKQQLIFRPTRRTSINDRKLNVANFLINHSFFLAINGTNLLRLFLARLPLLISEQLSGDYVYTPG -ASKRIILARFHRHCGAPLPSSSAVDLRLPASKDVARFFLARHYSRALGERIQRNQTSLFRGYAEFAKFIN -VWCSSISHRLGEFTPRNFTNGSIFVDLSNLGHSLCDLVLAQQVHDRDAYTRLALHIHRIYGEDGGLDFWR -LANFPSKSWPFNGERCLEGSVVQKELQR - ->NP_612214.1 hypothetical protein P1 [Beet mild yellowing virus] -MQFQLKTNSFTCSLNRPLTATERVLNTAYFLTNHLPLVTFENENCIRSLLAALPLLLSKQLDPGSFIYTP -GKRQSLRLARFYNYCGAVLPSTRNIDLRVPPRKDVKRFYLARNSGRDLGERLQRRREIFSRGEAEFKKFL -SVWCAESERKLRESPKIDIRMDHIIMVLRDMGTLLHRLVLVEELYHRNTYAELAFCVHHLFGEARGMDFW -RLANFPGKWFICSHEMYFENSFIQKELRL - diff --git a/seq/clusters_seq/cluster_982 b/seq/clusters_seq/cluster_982 deleted file mode 100644 index e84e850..0000000 --- a/seq/clusters_seq/cluster_982 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009507898.1 pv2 [Maize stripe tenuivirus] -MAYLMWNKEYYQFLLNNNRHAGSYENIFKMTCSKEDHYMNSHDAIWLLTFCSDFTNPALRTYASQIATES -NVFLVRDMQMLSESKVKCWLCDKPVYQETQNLKVISLPNLLNGFQFATESYHICMKNHCGDDPTKFLTEM -VFPPHLRAYYKPNQKMEHKYIVITNGIPLSKNFNTVTLQTHVEDTEDYVIVGSVECPIKTK - ->YP_009507892.1 pv2 protein [Iranian wheat stripe tenuivirus] -MSLLLFDDSYYQLLVQVNKHYGSYQKLFTLECTKEDHYIAVSDSSWLLTFYKGEMSQSMKSYCAYVGAHC -LGILAQTPNVLDEAKVKCWLCDKEAEQTTQHLKIIKLTNLINGFELATESYQVCMKNHSSEDPSKYINEI -CFPKHLKGYMKERQKMEHKFIIITNGFATNHNLKPIALCYEIQPGCDDYVVVGDYKCPFATM - ->YP_009449441.1 NS2 protein [Rice hoja blanca tenuivirus] -MAVLLYDNDYYQLLVETNRHYGSYQKLFAPDGTKEDHYMSVSDSSWILTFYKGELSSAMKSYCVCVGAHC -LNVLSQTSNALDESKVKCWLCDKEAYMTTTHLKVVKLTNLINGFELATESYQVCMKTHSADDPSKYIGEI -CFPPHLKGYMKDKQKFNHKFMVVTNGFSTNNNFRPIRLTTETVEDSDQYVLVGNFKCPLT - ->NP_620520.1 22.7K protein [Rice stripe tenuivirus] -MALLLFNDHYYGFLHKYKRHTGSYDNLFNLRCSKEDHYLNSLDAIWLMGCCEEFTDPALRAHALAIATES -NIGLVNNNAVISDERLKCWLCKKPSHQQTEHLKLILLPNLVNGFQFATESYHICLKDHSGDDPTQYLSEF -SFPTGLRAYYKPHQKMEHKHIVVTNGIPISKNFNEIALPDPSPSDDYVLVGAHECPILM - diff --git a/seq/clusters_seq/cluster_983 b/seq/clusters_seq/cluster_983 deleted file mode 100644 index da18a93..0000000 --- a/seq/clusters_seq/cluster_983 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009512991.1 hypothetical protein [Flanders hapavirus] -MDLYINIGITFHYNNSYLDNDSLNWIISRMIYDCVRESGIPGDVAAFAINMAWEHVDISFDSDKITHGYC -WIQEAVSLPGTPKDLDKLNNTFSSRGNFFIQGDEALGEVEYVLFVAEPTLQEGRPWNMLWHPIFVDPQAY -HVKRNPDVVAYKFGFQHLIYP - ->YP_009505463.1 hypothetical protein [Mossuril virus] -MKLFINAGLTFNYYLPELPKDVLHEIISIMITRCVTMSGFPEDLAAFAVNWTWEQTQLCYYDFDVSHGYS -WIQDDIDLPGDIKSDQPILKEYRIRTEFPIGIDRNTGEVEFFIYINTQINRDAPHWSNVWWPKLTDPLAN -YLFRNPDQVASRYGFLHMLYT - ->YP_009361982.1 hypothetical protein [Kamese virus] -MKLFINAGLTFNYYLPELPKDVLHEIISIMITRCVTMSGFPEDLAAFAVNWTWEQTQLCYYDFDVSHGYS -WIQDDIELPGDIKSDQPVLKEYRIRTEFPIGIDRNTGEVEFFIYINTQINRDAPHWSSVWWPKLMDPLAN -YLFRNPDQVASKYGFLHMLYT - ->YP_009361953.1 hypothetical protein [Hart Park virus] -MDLYIHLGITFHYNGSYLDNDNLNWIISRMIYDCVRESGVPGDVAAFAINLAWEHVDICFDSGNITHGYC -WLQEAVSLPGTPKDLDKLNNTFSSRGSFFIQGDEDLGEVEYIFFVAEPTLQEGHPWDVVWHPMFVDPQAY -HIKRNPDVVAYKFRFQHLIHP - diff --git a/seq/clusters_seq/cluster_984 b/seq/clusters_seq/cluster_984 deleted file mode 100644 index b70e41e..0000000 --- a/seq/clusters_seq/cluster_984 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009512992.1 hypothetical protein [Flanders hapavirus] -MNLQIHGYISFVLPRSSFTRRNLWCLQKGTVSELRRVAGITQDVSGVICSMLFSRLDFDLTEDDKIVSEV -NLIESYMFPQRFNNVVNLHINVPSLKYTMVVEGKAVGIHLMVRIDTVSQIGRTLYTALWGKRRVKNSMGR -TLEEDGKKFGFQYLFEMVYVPPPLN - ->YP_009505464.1 hypothetical protein [Mossuril virus] -MNLAISGSVKFVLPRESFTRRNLWLIQKASTTEYRRRAGITQDVAGMVCSFLFSKIKFRLTEEDKIECIG -MACTCVMFPARFHNVFRLHIQLRDGRFTMVIDGKAIGVALSASLNTRNTMDGIRYEQEWGEAYYPYSPSG -RTLEQDAKRFGFEYLFDMIQTPRVPN - ->YP_009361965.1 hypothetical protein [Mosqueiro virus] -MMNLHIIGRVEFSLPAALSLTPNIWKIQKQNVSEYRRLAGLTQDVAGLAMSFLYSKLRPRLIPGGLIAFV -GDYNYSTRFPNRFANVRNLRSTLQDSHFIIQISGFNLDVKFSMSLFTQETMIGMDYHLVYGEDDYQTNMA -HRTLDQDAKTFGFGYVMQVIPMPNFLN - ->YP_009361954.1 hypothetical protein [Hart Park virus] -MNLQIHGYLSFILPRSIFTRRNLWCIQKGTVNELRRIAGITQDVSGLVCSMLFSRLDFDLTEDDKILSEV -NLIENYMFPQRFNNVINLHINVPSLKYTMVVEGKAVGIHLILRIDTINQIGRTLYTAMWGKRRMKNSMGR -TLEEDGKRFGFQYLFEMVYIAPPLN - diff --git a/seq/clusters_seq/cluster_985 b/seq/clusters_seq/cluster_985 deleted file mode 100644 index 7b98cb4..0000000 --- a/seq/clusters_seq/cluster_985 +++ /dev/null @@ -1,28 +0,0 @@ ->YP_009508584.1 tas protein [Yellow-breasted capuchin simian foamy virus] -MAAQQEETELKEFLAEFPFNDPDDDLWVPVNIPPAPFQPYADPHDEEEGEYLLPKEQVFTTPSEAETSER -DDPLPGTSTTINEPTGEEPKFKWLQVARRETDYDRFDLGRFFPDRLQREFILQRAILTAAGYQPTLVEHC -AKMGWYACLQPHHGALGETTEVYYKCLKCGSEQWDPLLYIWDKHMLMFKRAWTRTPHTSSPLSNLRRHDA -ICPGLNPLLPHSSTEPLLRKPRRDPGDRWRERKRKISRGGPTAVLPADTFTYASEWPQPERMHSGGLGTV -PILVQTMQSKCARFEMETLQNRGIV - ->YP_009508579.1 tas [White-tufted-ear marmoset simian foamy virus] -MAAQQEKTELKEFLAEFPFNDPDDDLWVPVNIPPAPFQPYADPCDKEEGEYLLPKEQVFTTPSEAETSED -NDPLPGTSTAVNRSTGEEPKFKWLQVARRETDYDRFDLGKFFPDRLQREFILQRAILTAAGYQPTLVEHC -AKMGWYACLQPHHGALGETTEVYYKCLKCGSEQWDPLLYIWDKHMLMFKRAWTRTPHTSSPLSNLRRHDA -ICPGLNPLLPYSSTEPLLRKPRRDPGDRWRERKRKISGGGPTAVLPADTFAHAFEWPQFKRMHSSNLGAV -PILVQTLQSKCARFEMETHQDRGIV - ->YP_009508568.1 tas [Squirrel monkey simian foamy virus] -MASYKTQGSVKDDLVSFLQEFPLEDLDDIPTPAPCGMFEEYFPPTPMDPVAMGSEYNVAPLAELPDQLLS -VTFPASPASTESSSEAGAQDYTGEGTAAGEAPPEAGPSRSNSQPGGTPFFWLQEARKRSDYDCWGLNYFF -PKPGQREFYIQRSILTTAGYRPDLLRYSAAGGWYPIILPHEGVLGNTFQVYYLCLKCGDEVWDPLLYLWD -QDLLAFYRAWETTPHTSSPLSVLRRHDASCRYLHHNAYNYSERPNIRKPRRDPGLRWCTGKRRLLRDSCE -ELVHPGTLPNPTQWTQLTPSHMHPLAALSELLQPELQTSPSSPLEGTKKRKLAE - ->YP_009508563.1 Tas [Spider monkey simian foamy virus] -MASQQEKNSIVPEDDFMEELRAFLAEFPIAEQPLEDDVFQAEGQQPSQFLEDPGEGPSGASAQAGASSGP -PKVSGKLWNMMAHHPNRGTTSHGPDEPPTGREPRLRWLQEARMETAYIRFEKDLEKFFPTPEQREFFIQR -AIITAAGYKPDLAIYTAHNGWYACIQPHTGDLGEKYEVYYKCLKCGNEQWDPLLYDWDPSVLMFLRAWWK -TPHTSSPLTAMRRHDAVCAGIYSDSSSSTEPPKRRQRGDPGHRWGAYKRTFDSGPLEQLVLTHTCAYTTE -WPEPKRIHLGDLETVCPALPDPGEGGTNSEMEPQYQQGSWTFDQ - diff --git a/seq/clusters_seq/cluster_986 b/seq/clusters_seq/cluster_986 deleted file mode 100644 index cb2cb3b..0000000 --- a/seq/clusters_seq/cluster_986 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009508532.1 U2 protein [Beatrice Hill virus] -MTSQAHLLVSYYYDISSDGVPGVPVNTICHGLELDRSGNHTTDDLEKVFLGSILRADLRGSGYYAYIRLV -NEGKICIKLAFDSSLNWTLSGENEFKSEFKVGPGSISVIFKCYWLRVSEKVWKSSLYRFDATKNPQVLVY -RLLEPKKMKVKRTFYASKA - ->YP_009362248.1 hypothetical protein [Sweetwater Branch virus] -MPVHTTYVLLSYYYDIESEDVPGLPISTICHSLDYNREGTSHHDDLEKVFLASAIKTDLKGSSYFAYIRL -VNEGKICLKLNYDNTVNWIKGGDNSFTTEFNVGQGSVRLKAKFYWLNVSEKIWKSSIYKLDVTRNPDMMV -YKNIIPKRASGYRRAFYASKAA - ->YP_009094409.1 hypothetical protein [Coastal Plains virus] -MAVQKRYYSISYQYDISLQNLPGLPISTLVNSLDFNESNKRLSNDQEKVLFSAALTNDLHGSLNFGYDRL -ANRGVIVVKIMVDNSIQWMDVGDYVGSAYCNVGPGSAKFEIQLNWVRMSQKVWKSSVARVDLTKVPELQI -YRHITVKKCKRGKKFFMMSVD - ->YP_007641372.1 hypothetical protein [Tibrogargan virus] -MATQAHLLVSYYYDISSEGVPGVPINTICHGLEFDYMGNNTTDDLEKVFLGSILRADLRGSGYYSYIRLV -NEGKICLKIAFDQSLNWTLSGEKEFKSDFRVGSGTISVTFKCYWLRVSEKIWKGSLYKFDTTKNPQVLVY -RLLEPKKQR - diff --git a/seq/clusters_seq/cluster_987 b/seq/clusters_seq/cluster_987 deleted file mode 100644 index 52e8a18..0000000 --- a/seq/clusters_seq/cluster_987 +++ /dev/null @@ -1,27 +0,0 @@ ->YP_009508529.1 phosphoprotein [Beatrice Hill virus] -MKRHRISIPYVTDQVLRNTSDAVDPNEVIDQQISDDITNPKQDLKEFLDTRELNYRTRASSASSYDDDDW -ADSIVDLSRKSQHKSDGEDNPQKSSGGPNLVLPSISSGVQDKCSPNTTKDNKIYKVDREDNDMGPNIHQI -PENRPVYPSQMYPLLEVPGNYNLLIPKLQFFFKYYGLYEDTDYVVDKDNQGYYFYPTKKWTTRDQKEMFE -CTPKKEENEDDALLNLEDINDDGPFDEDPEVHQLIDFITKGFYVEKRNIKGTYYFDINNPSLNVNKIANV -DCQNKVLSVKEKIDMIFKASGIYRAMKLKAKW - ->YP_009362245.1 phosphoprotein [Sweetwater Branch virus] -MQRQRISIPYVTDSILTDASKAHDPNEVQDNESIPTIKILPGLSGDQKLNELLDSRELNFRTRASSTSSY -DDDDWAESIIDLSKKDESTTHNYQKGGDNSYKSKDTSADLDTPPNKKDSASCTTNDASNLQSIQEANVFD -TSHLYPLMELPHSFSHCIPKLQFFLKYYNLYEDVDYVIDKDNTKYYFFPTKKWLQGPEEYEVRTSDHPIE -SEDVTEEHGEVHALLDFLSKGFYVEKRNIKGKYYFDLNNPSLNVNKIAKQDGDAHGWSDSKKIDAIFKAS -GIYRAMRLKAKW - ->YP_009094405.1 phosphoprotein P [Coastal Plains virus] -MEPLSKAGIKIPYKSEELMANTSDVVDPEVDNHDHSKPQSTIGDIIDRQALNFRQRVSFSSSYDDDDWGD -AILDLTAKDKDDKTTKKTELTNPTKLKLDKSCTPNDAACCEVKDDKAKEDWKPYPLLEVDGNDPRFMPKL -QFMLRFFNIYEDCDYTVEDYNSTYYIYPTKKWLNQSRDGTSEVDLQTQDLYIPEMSDHYQNNEHPLIKLL -ITGFSVNKRSNIGKYRFDINNPSLNIEKIAEIPLNEIPSSINDQITLIFKVSGVLKPMKLKAKW - ->YP_007641369.1 phosphoprotein P [Tibrogargan virus] -MKRHRISIPYVTDQVLRNTSDVVDPNDTVDQLISDDVVNPKQDLKEFLDSRELNYRTRASLASSYDDDDW -ADSIVDLSQRPHNKMEESSLHDDKAIKQATQLNTDYNQLRSPNANSIGGQSVIKDVSSERKPPVNQIPED -QQIYPSQMYPLLEVPESYHSLIPKLQFFFKYYGLYEDSDYVVDKDNQGYYFYPTKKWTTRDQKELMDNIS -KGVDHDDNLLDLEEKTSDNLFEENLNVHQLVDFVTKGFYVEKRNIKGKYYFDINNPSLNINKIANVDCQD -KILSAKEKIDMIFKASGIYRAMKLKAKW - diff --git a/seq/clusters_seq/cluster_988 b/seq/clusters_seq/cluster_988 deleted file mode 100644 index 4954ce6..0000000 --- a/seq/clusters_seq/cluster_988 +++ /dev/null @@ -1,43 +0,0 @@ ->YP_009508239.1 hypothetical protein [Rabbit picobirnavirus] -MKKESSKSFPKSHQGRSSNKGGYKKQDRDFRDKDFESGASKRGVPGKEKCSSRSMIGQADFPDHNDWSWY -APSELVAKQIANVPFNVLAGTPIKASVHLRYDPSLVSGLKDQLFVGNNASIMGARLLYLPSFGISTTVLD -GLSMAANQLYAYVRKSNSGAKVYEAPDLMMTVLAIQEAXRVLFEIRRAITFANYWNFWNKYLPKQVFEQL -LAIDFDDLMSNKANYCAQFNLMAQKINTFALPKYFKSILRMAYVSSNIFMDSDAVTGQMYAFVSSGYYRY -SATTSESGTSLVYRDWPVGAAMPRKLNRLFTVLRELLDAIYGDADAQTMFGDIYKAFGSDGLYSIAEISV -DETSTPVFDVDILAQIENCTILEANAGLAWTLDSCNVTQSKGQVLLWQPTGTITSSDNTEHIAGDIAVAL -GDRVLNSHIMEPQYSDVLEWTRLMATIEFDKASVTSSEKVTFKVTSCGAELIRNVLYFKNVWNDAAEDAS -QRVITYFSHFSQITVTNATDDPTSAYGLMSNTLDFTQLDWHPIIYVTETSVHNVANLNSILIGGDLKRPT -VITTDVVKRINSAANYALYYSANLLSNIST - ->YP_009351840.1 capsid protein [Otarine picobirnavirus] -MSKMSTKDRNNDSLNGGTNNNARQKSNNKRRGSKNPNRPSTRNHVGEDQHYTLANAPDAGNSIDWYNKSP -VLLKDAANITMAHPVGLGMSLVMPQGTSGKVGTYSDPGIMALNFIPTIASGSSGTHASDINSATNIAARN -IYSFVRHTNSGHANYDAPDLMKYLIAMDSIYMVYAHCARIYGVLRLYDGRNRYYPDQILRALGVANVTPQ -MGINEFRSALNRIAYKIGVMNVPNNLSYMQRHMWLCSNLYADEPGNTFQTYCFRPGGAYRYRFSTPKDPT -GSLEMKVLPTMSLQSWLNTLEELMAPVLSSEDMAIMSGDLLKAYGESSMFKLTTVPEDYQVLPTYNEEVL -SQIENATIWYGIDNSVESADKDHHKWDIRENTTQDDANLGALYQNPTLLSPYGCQFDSVINLHTEEVNPE -NVMIATRLMTTAVSAKWMKTKEKLYTMTAMDYGSEIIVAAFMYTGLGSANIDDLNCYVDIFSDTTPAQAV -SETAVSMIAAGYLQTFNRHPLVFVGTRTFKDPASTTTPSEPTIYTPAFMLGVSNMSTVDHRTLESMHNVA -MLSMFDVSSNNLNRG - ->YP_009241385.1 capsid protein [Porcine picobirnavirus] -MATKNKKFNGKGQGYRRGSKSRSDSYSKDKSDFSYEEETKSGKDRQKCNSSRPNDWKWYAQNEQLLRDSA -SFSYNTPLGTVVRRTVSGDQPVDFAGVPGVMAIYTTPAYGNSDSAVSPLNVAIRNIYSFVRHANSGHANY -DAPDLGIYLMAMDNIYSFMAYLRRIYGVAMTYSYTNKYYPVAVTNAMGVDFEDVHARLADFRAMINSFAV -KVGSMCIPSSMSVFARHMWMYEGYYTDTDQNKAQTYLYVPTGFYHYRLRNKLVEEGVYDTSGMLWYKPLT -PYGNPVSQEVSQVNLLTTEMLHQYGEAMLEPVLRSEDMNIMSGDILKAFGSNGIYMIPMIPENYVVVPSY -SREVLDQINNCTLIGDNVHYTEEADFDKGYNVLVQDPKHGYLIGKTCTEIPMGVAEKLTLNMDRLTAKTF -VNFDHDDVTPADTMVATRLTNVYDPKDVSIIARGIGGGAAIQIRPGDKSITLVNTTGTDIANYARIFYYA -NGGLIVSEMICALLPVTLQWDFTTMTTEKLLREYVSKAVTSMQLDTARINMVTNFRRHPRIAYQYSLYVK -ATADGAPKEVNEHGKYVADISDLNQWTVIGSQELCNMAETALLSEFNVTQYGRKA - ->YP_239360.1 hypothetical protein HPV_s1gp2 [Human picobirnavirus] -MKQNDTKKTTQRRNSKKYSSKTNRGTKRAPRDQEVGTGAQESTRNDVAWYARYPHILEEATRLPFAYPIG -QYYDTGYSVASATEWSKYVDTSLTIPGVMCVNFTPTPGESYNKNSPINIAAQNVYTYVRHMNSGHANYEQ -ADLMMYLLAMDSLYIFHSYVRKILAISKLYTPVNKYFPRALLVALGVDPEDVFANQAQWEYFVNMVAYRA -GAFAAPASMTYYERHAWMSNGLYVDQDVTRAQIYMFKPTMLWKYENLGTTGTKLVPLMMPKAGDNRKLVD -FQVLFNNLVSTMLGDEDFGIMSGDVFKAFGADGLVKLLAVDSTTMTLPTYDPLILAQIHSARAVGAPILE -TSTLTGFPGRQWQITQNPDVNNGAIIFHPSFGYDGQDHEELSFRAMCSNMILNLPGEAHSAEMIIEATRL -ATMFQVKAVPAGDTSKPVLYLPNGFGTEVVNDYTMISVDKATPHDLTIHTFFNNILVPNAKENYVANLEL -LNNIIQFDWAPQLYLTYGIAQESFGPFAQLNDWTILTGETLARMHEVCVTSMFDVPQMGFNK - diff --git a/seq/clusters_seq/cluster_989 b/seq/clusters_seq/cluster_989 deleted file mode 100644 index 58a70af..0000000 --- a/seq/clusters_seq/cluster_989 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009507969.1 9.7-kDa protein [Tetterwort vein chlorosis virus] -MDLTELIERYGPDRVEKYFSLYMKSKYQPGGTANLVLDVLNFYLLDLGLEKIDTGIIKEEISDFFFCIYH -FYRNLNVFHRQ - ->YP_006522430.1 hypothetical 9-kDa protein [Cucurbit chlorotic yellows virus] -MDLSKLIEKYGEDKVEKYYSLYRNAKFSAGGTTLCVLRLINEKLMKFTEDNKPSGFNDVDVSEFLECVYW -FSLLPKFFN - ->YP_003002361.1 unnamed protein product [Lettuce chlorosis virus] -MDLTKLIKKYGEDKVERHYSIYQKAKWSAGGTTLAVLRLINEKLLKFTEDKNQIELDDIDLKEFLECVYW -FTLLPKFFN - ->YP_001816778.1 p9 [Bean yellow disorder virus] -MDLDTLLDKYGNETVEKYLSKYMKARMSQGGSIALILNVINEHLVKFLDKDTKTGIDDDELKNFFECVYC -FSQLPNFFN - diff --git a/seq/clusters_seq/cluster_99 b/seq/clusters_seq/cluster_99 deleted file mode 100644 index 376536d..0000000 --- a/seq/clusters_seq/cluster_99 +++ /dev/null @@ -1,240 +0,0 @@ ->YP_010087748.1 coat protein [Euonymus yellow mottle associated virus] -MSTLADLQARLAAATNDEQRAEAQRALDEFNDEGANPPAIPPNAENQAPPQNAGGGLAANAPQGPQGATP -MSRAPTLAVFEAFQYRVESNAVATPAQIDEISRVWATLGVPADSMFTTALDLARHCADVGSSPYVSLIGR -SPPCNLQRDALAAAIRTQCTLRQFCMFYAKFVWNLLKMSNTPPANWQKLEFRETEKFAAFDFFDGVLHEA -ALKPPQGVISPPSPAELAASKTNAYVAISRARQQQPTKLTFAAEVNKGQLRVEEMKYLEAP - ->YP_010087336.1 putative coat protein [Ambrosia asymptomatic virus 1] -MSTREELERQLAEAETDEQKTELQSKIDDLPEDQGQGDNGGDGASGGDRGPPPPSSGRGTGQGSGPPLSM -TTGPTLTQLGQLKYKPQTTAVATRDQINYIAGLWQKNGVPQDKLALAAWDLARHCADVGSSDAARMVDYS -PAGQNITRQTLAGLVKTVCTLRQFCMFYAKVVWNMMLKTEKPPASWQKWEYRYTERYAAFDFFQGVSNEA -ALNPTDGLFRQPTDAEMAASHTNRYVHVHRAGQGSSDYLTLATEVHKGRLQGTRVEYLEAP - ->YP_009552761.1 coat protein [Panax ginseng flexivirus 1] -MEKTPEQLQSEKESAELARLSEERAAEKKAANDKKLELQHNRNAHRSGSSSRSAPGRTNEKREDGREDLE -RPALPDLSSVIRRKKKVVESPLSKKVDMAELMQREFNVQSNNVMSDIDVLALSSIFSEAGIPEDKQQAVA -IEIANAAVDVGSSKHSEFLGKSAYCDLDLPTCVGLIKEVTTLRRFCMYYAKVIWNLRVKSRVPPANWARK -GFKDETKFAAFDFFVGVFDESALNPEGGLVKSPTQRELMANLAHFEVSVFRQGVAEGNRSLNLGEISGGS -SGNSAHNPFEAN - ->YP_009664732.1 coat protein [Lagenaria mild mosaic virus] -MSATPFPAVTPELLAELKLGPTSNLLPTQDQLKIIADLIVAAKVPAASTTKVALELVNFCYDNGSSSYTN -IQGPSSVEGVSLSQIASAIKASGTSLRKFCRYFAPIIWNLRTDQKPPANWEAAGYKPSAKFAAFDFFDGV -ENPAAMQPPQGLIRSPTQEERIANHTNKQVNLFQAAAQDNNFASNSSFITRGQLSTSSPTIQYLPPPE - ->YP_009448191.1 CP [Babaco mosaic virus] -MSGKSESSNTGNSPFPNLTKETMASFNFKPSSNLLPSEEELKIISTLLVAAKIPNASTTIVAMDLVNFCY -DNGSSVYTVISGESSITGITLAQIASIVKASGTSLRKFCRFFAPVIWNLRTDKVPPANWESAGYKPTEKF -AAFDFFDGVENPAAMQPPGGLIRSPNQAERIANQTNKQVNLFQTAAQGNNLASNSAFITKGQISTSTPSI -QFLPSPE - ->YP_009389483.1 coat protein [Vanilla virus X] -MATPAPTPTPATNTADPFAEPSESDLKNLKVEIVSNAVATRAQITAIATAIKAVGVADKDIAPTFWDVAR -HCADVGSSPSVILRGKTPFGVERRQIAGIITGHCTLRQFCMFYAKIVWNIMLLSDTPPANWQAQNYRVED -RFAAFDFFTGVSHTAALNPKSGLLRAPTPEEILANQTNARVSIFRARAQQNNKATTAVEVTGGQLTGGLN -PVALSPP - ->YP_009389423.1 coat protein [Euonymus yellow vein virus] -MSASKAEWYAGLEQGDRTRIAAFRDRTKGSTQADYDREANAFVATISEGARAGFNTHYGATHPPRDPNAR -TGTPPAAARRARRGPVETGPNNAEEVNGESMTSQVNMKFMEDIKYHSTSNNVADSIVLENIAADWKSQGL -PPQQCLRAAIELTRYFADVGASEQTDVTGQGEGIDLEREVLAATVASHCTIRQFCSYYAKVVWNMMIYDD -VPPANWARFNFPHEQRFAGFDFFEGVLSPAALEPRGGLLRKPSRDEINAYMTNKHVHIARANKGRSRLGT -TAAELTHGELYEGEGAYELENP - ->YP_009270634.1 CP [Senna mosaic virus] -MAFTAPTEEMMATFKPIPASNLLPTQEQLKTVTDLFRAAKVPDASMTSVALELVNFCFDNGSSSYTAVSG -QSSIDGVTLNQLASMVKASGTSLRKFCRYFAPVIWNLRVDKVPPANWEAAGYKPGEKFAAFDFFDGVENP -AAMQPPAGLKRSPTQAERIANATNKQVNLFQAAAQDNNFASNSSFITRGQLSTNAPTVQFLPPPE - ->YP_009091818.1 coat protein [Yam virus X] -MATPAPKVDLSDPLAAPSNDDLTLQDFKTESNSVATTDQIKAIAAQLIAIGVPSDTIASTFWDVARHCAD -VGSSAFITLVGTTSTGVKRSTVAGVIRLNCTLRQFCMFYAKIVWNKMLLDDSPPANWQALNYNEAERFAA -FDFFTGVTHKAALNPASGLVRQPTPAEILANQTNARVAIFRSRAQSNNLATTATELTQGRITGGQNPIYL -EAPEQ - ->YP_009046886.1 CP [Pitaya virus X] -MATQTAQSSSSVGARTNPTSGPFQTLSMSQLTALPLSVTSSLLPSSDDLTAIATALQALGATPANLTLVS -LELVNYCFDNGSSPETIFKGNSATLGIPLSRVANAVTQHTTLRQFCRYFAKLIWNYRLEKNKPPAAWEAW -AYKPEQKFAAFDFFDGVLNEAALNPTDGLTRIPNEAERLANQTNRNVHLFESNSQKSRALTTSALVTKGL -QGSESPRIQLLPSPE - ->YP_006860593.1 coat protein [Apple green crinkle associated virus] -MASNGVSSQSSTPMVSIDENPLVTSSPNPSVVAPLPVSMPVVASTAPEVTFPAISEAVISQVQSVAPMVN -GFDPSLHGRLTNEQHRAAQEEAARLGFQSGIQQRRQMPVTSAYAITSNPFETGNAYSVAPQMNMGPYPTL -QDQGQTSEPNSQRIFPIQQGVSPSAHDGNLRPQTESSQSASITPFTLGNRAPRTASSISGGMRRRLDSVG -LKSIIYEPQAGVVASDQKIRAIGMALIGMGIGEHQLTEVGVYLARHCADVGASDKSTLLGTFPGSNITLE -EVGTKIKQTEGCTLRQYCAFYAKHVWNFMLQTQSPPPNWVGKEFKFETRYAAFEFFFGVESTASLEPADG -LIRLPTQAERVANATSKEIQMYRIRSMEGTQAVNFGEVTGGKIGPKPVLSIRK - ->YP_006905865.1 coat protein [Rubus canadensis virus 1] -MTSEKLRDLEKKLSELATDSNERAGLEKDLKAEKAAIAAGSVSSDKKKAARIKQKFSGSSITSIPTNKML -REIKISTEVRNVCSLSNAELIAAEFVELGIPEDKLAEAAWDLALHCADVGSSELTELAGTCTFAPNVTRS -DMGAVVKSICTLRQFCSLYAKIVWNIMITCDRPPANFLKRNHKWETRFAAFDFFDAVLNPAALEPEGDFR -RPNNEEITAAETVKRIAINRQEVKKGNAATSSLEVTGGRMGPVAVLAIKDK - ->YP_004849318.1 coat protein [Tamus red mosaic virus] -MASPPSPANQPKVESNNPFKAPTLDRLKLMTFDVNSTLLPSTEEFKLISEDWAKLGISGDSLTENAMKLA -TFCYHSGSSTTTEMRGESSKPGVSLSRLAGVVNMHTSLRKFCRYFAPLIWNIHVESKIPPAAWQSKDFKE -GEKFAAFDFFDGVENVGSIKPPGGLIRKPTDKERIANATARALKLFEAAAQQNRMASNSVHYTQGRYSDT -APQIQFLPDPE - ->YP_002647031.1 coat protein [Allium virus X] -MTDKTAATNVLSKAVNSNAIPFTTPKPEELSAMTFTLNNRSLPTPGELIAIAEQWKTLGVPGEAVTTHAL -QLTMFCYHSGSSPNTEITGDSATPKVTLAQLAGVVMQHTTLRKFCRFFAPLVWNWALDHKIPPASWQANN -FPPDEKYAAFDFFDGVTNGGSMLPADGLRRQPTPKELIAHATAQSTNIFTASQNQLQTASTHLLHTKGRF -STEPTKQYYLPGPEA - ->YP_002341563.1 coat protein [Schlumbergera virus X] -MSTTPSSASNSIGSRVPTAQGPFASLTSSQLNVLPLSVTSSLLPSPEDLVTISQAFITLGAAQTNLTQVS -LELVNYCFDNGSSPETVFKGNSATLGVPLSKVANAVTQYTTLRQFCRYFAKLIWNYRLEKNKPPAAWEAW -AYKPEQKFAAFDFFDGVLNEAALNPMDGLVRIPNEAERLANQTNRNVHLFESNAQKSRALTTSALVTKGL -QGSESPKIQLLPSPE - ->YP_002332933.1 coat protein [Potato virus X] -MSAPASTTQATGSTTSTTTKTAGATPATASGLFTIPDGDFFSTARAIVASNAVATNEDLSKIEAIWKDMK -VPTDTMAQAAWDLVRHCADVGSSAQTEMIDTGPYSNGISRARLAAAIKEVCTLRQFCMKYAPVVWNWMLT -NNSPPANWQAQGFKPEHKFAAFDFFNGVTNPAAIMPKEGLIRPPSEAEMNAAQTAAFVKITKARAQSNDF -ASLDAAVTRGRITGTTTAEAVVTLPPP - ->YP_002308468.1 coat protein [Hosta virus X] -MASDAPTPPAAPSPVTFTAPTQEQLTSLALPIISTRLPSPDVLNQISVKWQELGVPTASISSTAIALCMA -CYHSGSSGSTLIPGLAPGTTVNYTSLAAAVKSLATLREFARYFAPIIWNYAIEHKIPPANWAAMGYKENT -KYAAFDTFDSILNPAALQPTGGLIRQPTEEELLAHQANSALHIFDSLRNDFASTDGRVTRGHITSNVNSL -NYLPAPEGSS - ->YP_001655014.1 coat protein [Phaius virus X] -MATTNTVSKFGPANMQAWMDLKYEPTSESLVSEGELLKIQEQWAAIGVTGDFFTVAFQVAMVCSDAHSGS -QTVLPGMCNQHPNIPLSAIGGIIRNVTTLRRFCRYYAKFVWNYRVANALPPANWAELEFTEETKYAAFDF -FDGVTNVAALDPPNGLVRNPSEREFQASRINRYAAIARVASSGFTTTAAEVTKGRATAYQVHLLDAP - ->YP_459948.1 coat protein [Alternanthera mosaic virus] -MSTPFPQITQEQMNAFTPHATSNLLPSSEQLTTIASLLVAAKVPAASTTTIALELVNFCYDNGSSTYTVV -VGPSSLAEVSLSQVANIVKASGTSLRKFCRFFAPIIWNLRTDKTPPANWEANGFKPTEKFAAFDFFDGVE -NPAAMQPPGGLVRTPSQAERIANATNKQVNLFQAAAQDNNFASNSAFITKGQLSSNSPTIQYLPPPE - ->YP_446996.1 coat protein [Nerine virus X] -MANTSASAALPAQSKTDDMTAPPDNKDLEALQYIPESNAVATADQIHAIAALWKSIGVPAAKLGPYAWDL -ARHCADVQSSSQAKIVGTPPGESKLTRQMLAASIKSICTLRQFCMYFAPVVWNMMILTDQPPANWSKLNY -RESERFAAFDFFDGVTHTAALHPIGGLIRPPSEAERVANAAQKGLHIYKAAQQKNQLTSTAVEFTRAQVG -SAPSLTLLPPN - ->YP_263307.1 coat protein [Lily virus X] -MTTFVPDAKTWADTAYTAQSESVATAEELQSIATLWEGIGIPAANFFDVAFQLAMRCSDGHASSLTVLSG -NCTVAPTVTLKAAAGLVKAVLPLRQFCRYYAKFVWNWRLSHDLPPANWADSQFPAEARFAAFDFFDGVTN -SAAPQPPDGLIRPPTELELSAAQTAKFAALARVRGSGFVTTAAEITHGRAEVSRTMLLSPP - ->YP_224138.1 coat protein [Mint virus X] -MTNPTDDAAAAAAAAAASAAKGKETALDSLGPPDPQFLKDFSYVVATDSVATRGMVEAIRGKWERLGVPA -ASFFGAALQLALACSDSHASSLTVLVGECAAAPSVGLRDLAASVKTICQLRHFCRFYAKFVWNYRVTHDL -PPASWAAQGFPFEARFAAFDFFDGVTNSAALEPAQGLIRPPTEIELKAAHTGKFVALATSGTSSLTLSNH -AAVTHGRAETARPTILPP - ->YP_224088.1 coat protein [Hydrangea ringspot virus] -MTTTTTTNPIPPQAGTSTPSAAFAPLSQEVLETLSFPVTSNLVPSPTELAAIADAWTTLGVPAAETTKHA -LALVNFCFDSGSSATTRFTGASPTPTIPLSALAGAVLELVPIRKFCRYFAQYIWNARLTANAPPASWEAW -NFPENEKFAGFDFFDGVLNTASLKPPQGLVRKPTEAERVANATAKSLHLFEAATQRSNLASTATQFTRGR -LTDTSPTIQFLPAPE - ->YP_054411.1 coat protein [Opuntia virus X] -MASTPQTSSTPVQSSAARNPINNHGGPFDSLTTDQINSLSLTVTSSLLPSTQALQAIANAFTSLGASQAQ -VTNLALELVNYCYDNGSSPETLFRGNSSIGVPFSKLASIISEHATFRQFARYFAPLIWNARISLNKPPAA -WEAWHYNEEERFAAFDFFDGVLNSAALMPKDGLIRKPTRAEINASQTNRNVHLFENKAQKSRYLSNSALV -TKGIADSEGPRVQFLPGPE - ->YP_054406.1 coat protein [Zygocactus virus X] -MSNTAGVQSSQTSGVRAPATPSPFQTLTAAQLAALTLGVTSSLLPSPEELVQLSNALTALGASSSNVSQV -ALEVVNYCFDNGSSPETVFKGNSNLLQIPLSKVAQAITQVTTLRQFCRYFAKLIWNYRVSRNLPPAAWEA -WAYKPEQKFAAFDFFDGVLNEAALQPTDGLVRLPNEAERLANQTNRNVHLFESNAQKSRVLTTSALVTKG -LQGSETPRIQFLPGPE - ->NP_932309.1 coat protein [Botrytis virus X] -MDPNLDQDTLPTHEEIDNDVDSAEEEPPEPPLLPDDIDDDDSHGSRTRRQVKPPPELLRAVGACLISGHY -DGGNYFRWQQSIAALYAKAGYAGDIRFHQAAIQEYALDPVLPAPRVSYDLLVAHAGLRYQALLNEQLRTG -KTPPADEALKDAVRKAAQAAYDNAVKTGDYTPLIDIAFKGVDINKHASDVAQLAKMSVTMDGTHIKFTAG -EMPKDKVITSNSMASPNTVMNILNLITTSANVTAVTCGIEFAIACAHQGSSRYTRHTGTSTGGSTFELIA -AHVKEHCTIRQFCSYFAKVVWNHLLTHATPPVNWAKHGFTLDSRYAAFDFFDAVTNAAALPPKNGLIRAP -TSEEIRAHNLNAHLLINASRQDDQVSSSAQYTAAIAQAGGFKRPQIGWGE - ->NP_702992.1 coat protein [Tulip virus X] -MALNTAPNPEALAAMTLEVSSPAVPTPAELDTIAAGLTTLGVPADSLISHALALVNACFDAGSSQFTTIS -GPSPTPTITLAQLAGVVKVSTTLRKFCRFYAKLIWNARLSRNRPPAGFARAYVKTGQKWAGFDFFDGLLN -PAALEPLGGLTREPTPDEITANETARSLGLFESRANSNNLATTSTQFTRGQLSNTSPTVQFLPSPED - ->NP_624317.1 coat protein [Sugarcane striate mosaic-associated virus] -MSGPPPSTGANASSGAQPTTQQETSTVFKKSRRGSKSKFVSAVTKEQVRRVVELDIETDKIARDEDLEKV -KAAWLAINVPSDKIFEVSLEITLSCAHTSTSNKQKFKGKSKFCDILLAEIAGCITKEEVTIRSFCAYWAK -YVWNAMHERNEPPLNWVALGYTEQNRFAAFDFFYGVDSPASAEPEGGLLAPTTESMRAANMARKEIAIKE - ->NP_620840.1 capsid protein [Plantago asiatica mosaic virus] -MALNTAPTADALAAMAFPVSSPSVPTAQELDTITSGLTTLGVPTDSLLSHALALVNACFDAGSSSFVTLS -GPSPTPTISLAQIAGVVKVTTTLRKFCRFYAKIIWNARLARNLPPAGFARANIKFEHRWAGFDFFDGLLN -PAALEPPGGLSRTPTPDEVTANETARSLNLFEARASYSNLASTSTQFTRGQLSNTAPQVQFLPAPSD - ->NP_620646.1 coat protein [Strawberry mild yellow edge virus] -MGDQPRPPVPPAPGSNPLPMGSTPPVLPGRTPNPNANVANQVGDPFRVLTPEELAAPISAASNKVATREQ -ILGIVADLNALGFVGDPALGLFDLAFHCYDIGSSPSAQPVGPSPFGCSRMQVAAVVRNHCTLRQLCMFYA -PSVWNKAVRDNRPPGNWSNLQFTPETKFAAFDFFDGVLNPASQEVPLWRQPTPQEIYASATHKDVATYRA -ASKAHDRISNSTLLTKGASRSTPPALLPGPDA - ->NP_604468.1 coat protein [Apple stem pitting virus] -MTSNGSQPQASTPMVSAEEPAAAASVPNSTPMVSAEGPAAAVSAPNSSVVSSAPASAPTASEPVISQVQS -LAPIVSGFDPNLHGRLTNEQMRQAQNEAAMQGYEEGSRRNPRLPSSTTAHNDYASMNSNPFETGTAYGGA -PRVSFGSYPTFPGSGSASEPNSQRIFPQQHGVNPPAHASDLVPHQATSGGNTGTPFTLGNRAPRNATANT -GGMRRRLDSVGLKNIRYEPQAGVVASNQKIRAVGVALIGMGIPEHQLTEVGVYLARHCADVGASDKSALL -GTFPGSDITLEEVGTMIKQTEGCTLRQYCAFYAKHVWNLMLQTQSPPANWVGKEFKFETRYAAFDFFFGV -ESTASLEPADGLIRLPTQAERVANATSKEIQMYRIRSMEGTQAVNFGEVTGGKIGPKPVLSIRK - ->NP_148784.1 coat protein [Cactus virus X] -MSTTGVQSSQSSGPRSTPQSGPFQTLSSSQLAALSLGVTSSLLPSPAELVSISQALTTLGASATNLTPLS -LEIVNYCFDNGSSPETVFKGDSTVLQMPSPKSPCHHPITTLRQFCRYFAKIIWNYRVSKNLPPAAWEAWA -YKPEQKFAAFDFFDGVLNEAALNPIDGLVRVPNEAERLANQTNRNVHLFESNAQKNRALTTSALVTKGLQ -GSESPRIQFLPGPE - ->NP_112033.1 coat protein [Banana mild mosaic virus] -MATDEKKETMTNSSFDAFAHKLSKRLEKKEYDSSNMVTQPTLEQMAKFDFKNVCLDIATKAELEWISESW -NMRLNLPKEKNFETALEIAEVCRHNGSSSDITFRGRSRSGIEYSSLVAAIREICPLRQFCRAYANLVWEK -SLAEKNPPQHWQKRGFKERVKYAAFDFLDAVGSDAAIMPPTGISRLPTDEELNANLAAKNIAVINSARKK -GNNTVQNLEVTGGRSGVKPEIMSLKLNN - ->NP_077083.1 coat protein [Clover yellow mosaic virus] -MTDTKKTLFSAPTDEQLDTLTLTIESNLVPSISELEAIAKDWKTLGLQEADFTANAIKIAWFCYHSGSSE -SVQVQGNSTSDKIPLYQLAGVVRQHSTLRRFCRYFAKVIWNYALRKNQPPANWASQNYKEADRFAAFDFF -EGVSSSAALSPPGGLIREPSPNERMANETNKNVHLYQTASRGSNLATTSTVATKGAYSTNASNAGFPYHR -PE - ->NP_047285.1 28 kDa coat protein [Grapevine rupestris stem pitting-associated virus] -MASQIGKLPGESNEAFEARLKSLELARAQKQPEGSNAPPTLSGILAKRKRIIENALSKTVDMREVLKHET -VVISPNVMDEGAIDELIRAFGESGIAESVQFDVAIDIARHCSDVGSSQRSTLIGKSPFCDLNRSEIAGII -REVTTLRRFCMYYAKIVWNIHLETGIPPANWAKKGFNENEKFAAFDFFLGVTDESALEPKGGIKRAPTKA -EMVANIASFEVQVLRQAMAEGKRSSNLGEISGGTAGALINNPFSNVTHE - ->NP_044334.1 capsid protein [Papaya mosaic virus] -MSKSSMSTPNIAFPAITQEQMSSIKVDPTSNLLPSQEQLKSVSTLMVAAKVPAASVTTVALELVNFCYDN -GSSAYTTVTGPSSIPEISLAQLASIVKASGTSLRKFCRYFAPIIWNLRTDKMAPANWEASGYKPSAKFAA -FDFFDGVENPAAMQPPSGLIRSPTQEERIANATNKQVHLFQAAAQDNNFTSNSAFITKGQISGSTPTIQF -LPPPE - ->NP_042699.1 coat protein [Cassava common mosaic virus] -MATPTSTTPTTATITQAATTPLSALSTAPTDEELSRLDLKPASNLVASADALSAIAADWASLKVPTAQLM -RHALDLVNFCFDSGSSKYTTVEGSSPTPTIPRAALAGAVRKHTTLRQFCRYYAKIIWNARVKANIPPAGY -ANAHIKPEQAFAGFDFFDGVMNVAALEPSGGLVREPTPQEIIAAETARSLNLFEAQSKGNNLATNATQVT -RGRLSSSEPQVQFLTGVDE - ->sp|P62406.1|CAPSD_PVXCP RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -MTTPANTTQAVGSTKSTTTTTAGATPANSGLFTIPDGDFFSTAKAVVASNAVATNEDLAKIQEIWKDKKI -PSDTMAQAAWDLVRHCADVGSSAQTEMIGTGPYSNGVSRARLAAAIKEVCTLRQFCKKYAPVVWNWMLTN -NSPPANWQAQGFKPEHKFAAFDFFDGVTNPAAITPKEGLMRPPSEAEMNAAQTAAFVKITKARAQSNDFA -SLDAAVTRGRITGTTVAEAVVSLPPP - ->sp|P07699.2|CAPSD_PVX RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -MSAPASTTQATGSTTSTTTKTAGATPATASGLFTIPDGDFFSTARAVVASDAVATNEDLSEIEAVWKDMK -VPTDTMAQAAWDLVRHCADVGSSAQTEMIDTGPYSNGISRARLAAAIKEVCTLRQFCMKYAPVVWNWMLT -NNSPPANWQAQGFKPEHKFAAFDFFNGVTNPAAIMPKEGLIRPPSEAEMNAAQTAAFVKITKARAQSNDF -ASLDAAVTRGRITGTTTAEAVVTLPPP - ->sp|Q07626.1|CAPSD_PVXHB RecName: Full=Coat protein; AltName: Full=Capsid protein; Short=CP -MTTPANTTQAVGSTTSTTTTTAGATPANSGLFTIPDGDFFSTAKVVVASNAVATNEDLTKIQKIWKDMKI -PSDTMAQAAWDLVRHCADVGSSAQTEMIGTGPYSNGVSRARLAAAIKEVCKLRQFCRKYAPVVWNWMLTN -NSPPANWQAQGFKPEHKFAAFDFFDGVTNPAAITPKEGLIRPPFEAEMNAAQTATFVKITKARAQSNDFA -SLDAAVTRGRITGTTAAEAVISLPPP - diff --git a/seq/clusters_seq/cluster_990 b/seq/clusters_seq/cluster_990 deleted file mode 100644 index b27a661..0000000 --- a/seq/clusters_seq/cluster_990 +++ /dev/null @@ -1,16 +0,0 @@ ->YP_009507956.1 p10 [Diodia vein chlorosis virus] -MDSEEFVKDYSLERINDFLKLYNSFGNTNPSITDVLLGVINDNFLSFRNIKSDFVVPKEELLDFLKLTDL -VRQLLFSVNKDVRR - ->YP_227363.1 p9 [Blackberry yellow vein-associated virus] -MDITQLMNQIGAERVNSFFMLVSRFGNDLPPTADVLLDIINSHFLDFSATRREIPLKFEDLKDFLICLKF -IRDINLNNKYA - ->YP_025088.1 p10 [Strawberry pallidosis-associated virus] -MDLESIVNEFGLEDVDRMFQLCNTFNVSNPSLIDVLLGLINDHFLKFRERESDLKFDKKEIKVFLSCANY -LRQIILSARTRQVDG - ->NP_689403.1 hypothetical protein SPCSVs2gp04 [Sweet potato chlorotic stunt virus] -MDFSELIEKYGVERISSLTSRLLEIKRTGVGLVNLLLNLINENFVYFDSNRSKCGFEKEDHVLILQIIPL -LRI - diff --git a/seq/clusters_seq/cluster_991 b/seq/clusters_seq/cluster_991 deleted file mode 100644 index ffb515f..0000000 --- a/seq/clusters_seq/cluster_991 +++ /dev/null @@ -1,12 +0,0 @@ ->YP_009507954.1 p6 [Diodia vein chlorosis virus] -MALVVLLLLEMFGGFNSYYLSDNSSFSGQILVIRTEDPKLLTDILLELPYIKERW - ->YP_003204957.1 p6 [Tomato infectious chlorosis virus] -MLVILVLSDSGRYITHYFSDRSDFSGEELIIFSEDISIVIDLILKCPYHKQSW - ->YP_227361.1 p6 [Blackberry yellow vein-associated virus] -MLVQFFFTNDIGVTIYYLSDNKNFEGEIFTVTSSDQSLLLDLLVVFPYLRERW - ->YP_025086.1 p6 [Strawberry pallidosis-associated virus] -MLFFVLIVSDIGFNSYYLSDNKEFEGELIPIVTEDTTILIDLLRICSFIKERW - diff --git a/seq/clusters_seq/cluster_992 b/seq/clusters_seq/cluster_992 deleted file mode 100644 index d129116..0000000 --- a/seq/clusters_seq/cluster_992 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009507792.1 presumed viral infectivity factor [Puma lentivirus 14] -MASIRQNRRAIMPSRDEDQEELQLRMQQMHLQDEYWHLNQLFVTYQIGIRTPSLWLTNKDKLDKFLLKKK -LKHLEDKWVKQIRQADGIKWSLHTREWHTSLVKECVAGTGNGLKLFVYIHSPLWREYRPNYDWNPNWPYA -NCWISRKYMWDLDDSIKILSRNRPMEGWPPGWLGVVIKAFCCKECKYDWNPMEVIRQDIDPWDYCGDCWL -FIVIRNTAPGSLQRLCMLQLGRPLLSWKCKSQCTFLDWRRTPLDALVIQDCLPWQWRVWMDLTLSF - ->NP_040974.1 ORF 1 [Feline immunodeficiency virus] -MSEEDWQVSRRLFAVLQGGVNSAMLYISRLPPDEREKYKKDFKKRLFDTETGFIKRLRKAEGIKWSFHTR -DYYIGYVREMVAGSTTSLSLRMYIYISNPLWHSQYRPGLKNFNKEWPFVNMWIKTGFMWDDIEKQNICIG -GEVSPGWGPGMVGIAIKAFSCGERKIEATPVMIIRGEIDPKKWCGDCWNLMCLRNSPPKTLQRLAMLACG -VPAKKWRGCCNQRFVSPYRTPADLEVIQSKPSWNLLWSGEL - ->sp|P31823.1|VIF_FIVT2 RecName: Full=Virion infectivity factor -MSDEDWQVSRRLFAVLQGGVYSAMLYISSLPEMEQDKCKRSFKKRLSEKETGFIFRLRKAEGIRWSFHTR -DYYIGYVREMVAGSSLPDSLRLYVYISNPLWHQSYRPGLTNFNTEWPFVNMWIKTGFMWDDIESQNICKG -GEISHGWGPGMVGIVIKAFSCGERKIKITPVMIIRGEIDPTEWCGDCWNLMCLKYSPPNTLQRLAMLACG -KEAKEWRGCCNQRFVSPFRTPCDLEVVQNKPKRNLLWTGEL - ->sp|P19029.1|VIF_FIVSD RecName: Full=Virion infectivity factor -MSDEDWQVSRRLFAVLQGGVYNAMLYISRLPQDEREKYKKDFKKRLLDTETGFIKRLRKAEGIKWSFHTR -DYHVGYVREMVAGPTTPHSLRLYVYISNPLWHSQYRPGLVNFNKEWPFVNLWIKTGFMWDDIEKQNICIG -GEVSPGWGPGMIGIAIKAFSCGERKIEATPVMIIRGEINPKKWCGDCWNLMCLRNSPPETLQRLAMLACG -VQAKSWRGCCNQRFVSPYRTPADLEVIQSKPGWCMLWRGKL - diff --git a/seq/clusters_seq/cluster_993 b/seq/clusters_seq/cluster_993 deleted file mode 100644 index b65ffdf..0000000 --- a/seq/clusters_seq/cluster_993 +++ /dev/null @@ -1,52 +0,0 @@ ->YP_003199423.1 mu-NS protein [Mammalian orthoreovirus 3] -MASFKGFSANTVPVSKAKRDISSLAATPGLRSQPFTPSVDMSQSRKFLTKAIEQGSMSIPYQHVNVPKVD -RKVVSLVVRPFSSGAFSISGVISPAHAYLLDCLPQLEQAMAFVASPESFQASDVAKRFAIKPGMSLQDAI -TAFINFVSAMLKMTVTRQNFDVIVAEIERLASTSVSIRTEEAKVADEELMLFGLDHRGPQQLDISETKGI -MTAADIQTTHNVHLAPGVGNIDPEIYNEGRFMFMQHKPLAADQSYFTLETADYFKIYPTYDEHDDRMADQ -KQSGLILCTKDEVLAEQTIFKLDTPDGKTVHLLDRDDDHVVARFTKVFIEDVAPGHHAAQRSGQRSVLDD -LYANTQVVSITSAALKWVVKHGVSDGIVNRKNVKICVGFDPLYTLSTHNGVSLCALLMDEKLSVLNSACR -MTLRSLMKTGRDADAHRAFQRVLSQGYASLMCYYHPSRKLAYGEVLFLERSTDMVDGIKLQLDASRQCHE -CPVLQQKVVELEKQIIVQKSIQSDPTPMALQPLLSQLRELSGEVTRLQMELSRAQSLNAQLEADVKSAQS -CSLDMYLRHHTCINGHAKEDELLDAVRVAPDVRREIMEKRSEVRKGWCERISKESAAKCQTVIDDLTQMN -GKQAREITELRESAENYEKQIAELVSTITQNQITYQQELQALVAKNVELDTLNQRQAKSLRITPSLLSAT -PIDSVDGATDLIDFSVPTDEL - ->sp|Q9PY83.1|MUNS_REOVL RecName: Full=Protein mu-NS; Short=MuNS -MASFKGFSVNTVPVSKAKRDISSLAATPGIRSQPFTPSVDMSQSREFLTKAIEQGSMSIPYQHVNVPKVD -RKVVSLVVRPFSSGAFSISGVISPAHAYLLDCLPQLEQAMAFVASPESFQASDVAKRFAIKPGMSLQDAI -TAFINFVSAMLKMTVTRQNFDVIVAEIERLASTSVSVRTEEAKVADEELMLFGLDHRGPQQLDISNAKGI -MKAADIQATHDVHLAPGVGNIDPEIYNEGRFMFMQHKPLAADQSYFTLETADYFKIYPTYDEHDSRMADQ -KQSGLILCTKDEVLAEQTIFKLDAPDDKTVHLLDRDDDHVVARFTKVFIEDVAPGHHAAQRSGQRSVLDD -LYANTQVVSITSAALKWVVKHGVSDGIVNRKNVKVCVGFDPLYTLSTHNGVSLCALLMDEKLSVLNSACR -MTLRSLMKTGRDADAHRAFQRVLSQGYASLMCYYHPSRKLAYGEVLFLERSSDMVDGIKLQLDASRQCHE -CPVLQQKVVELEKQIIMQKSIQSDPTPMALQPLLSQLRELSSEVTRLQMELSRTQSLNAQLEADAKSAQA -CSLDMYLRHHTCINGHTKEDELLDAVRVAPDVRKEIMEKRGEVRRGWCERISKEAAAKCQTVIDDLTQMN -GKQAREITELRESAENYEKQIAELVGTITQNQMTYQQELQALVAKNVELDTMNQRQAKSLRITPSLLSAT -PIDSVDGAADLIDFSVPTDEL - ->sp|Q9PY82.1|MUNS_REOVJ RecName: Full=Protein mu-NS; Short=MuNS -MASFKGFSANTVPVSKTRKDTSSLTATPGLRAPSMSSPVDMAQSREFLTKAIEHGSMSIPYQHVNVPKVD -RKVVSLVVRPFSAGAFSISGVISPAHAYLLECLPQLEQAMAFVASPEAFQASDVAKRFTIKPGMSLQDAI -TAFINFVSAMLKMTVTRQNFDVIIAEIERLASSGVVNRTEEAKVADEELMLFGLDHRAPQQIDVSEPVGI -SRAVEIQTTNNVHLAPGLGNIDPEIYNEGRFMFMQHKPLAADQSYFTTETADYFKIYPTYDEHDGRMVDQ -KQSGLILCTKDEVLAEQTIFKLDVPDDKTVHLLDRDDDHVVARFTRVFIEDVAPSHHAAQRSNQRSLLDD -LYANTQVVSVTPSALRWVIKHGVSDGIVNRKNVKICVGFDPLYTLATSNGLSLCSILMDEKLSVLNSACK -MTLRSLLKTHRDLDLHRAFQRVISQSYASLMCYYHPSRKLAYGELLFMSSQSDTVDGIKLQLDASRQCHE -CPLLQQKIVELEKHLIVQKSASSDPTPVALQPLLSQLRELSSEVTRLQMDLSRTQAINTRLEADVKSAQS -CSLDMYLKHHTCINSHVKEDELMDAVRIAPDVRQELMLKRKATRQEWWERIARETSTTFQSKIDELTLMN -GKQAHEISELRDSVTNYEKQVAELVSTITQNQTTYQQELQALVAKNIELDALNQRQAKSVRITSSLLSAT -PIDAVDGASDLIDFSVPADEL - ->sp|P12419.2|MUNS_REOVD RecName: Full=Protein mu-NS; Short=MuNS -MASFKGFSANTVPVSKAKRDISSLAATPGLRSQSFTPSVDMSQSREFLTKAIEQGSMSIPYQHVNVPKVD -RKVVSLVVRPFSSGAFSISGVISPAHAYLLECLPQLEQAMAFVASPESFQASDVAKRFAIKPGMSLQDAI -TAFINFVSAMLKMTVTRQNFDVIVAEIERLASTSVSVRTEEAKVADEELMLFGLDHRGPQLVDVSDAKGI -MKAADIQTTHDVHLAPGVGNIDPEIYNEGRFMFMQHKPLAADQSYFTLETADYFKIYPTYDEHDGRMADQ -KQSGLILCTKDEVLAEQTIFKLDAPDDKTVHLLDRDDDHVVARFTKVFIEDVAPGHHAAQRSGQRSVLDD -LYANTQVISITSAALKWVVKHGVSDGIVNRKNVKVCVGFDPLYTLSTHNGVSLCALLMDEKLSVLNSACR -MTLRSLMKTGRDVDAHRAFQRVLSQGYTSLMCYYHPSRKLAYGEVLFLERSNDVTDGIKLQLDASRQCHE -CPVLQQKVVELEKQIIMQKSIQSDPTPVALQPLLSQLRELSSEVTRLQMELSRAQSLNAQLEADVKSAQS -CSLDMYLRHHTCINGHAKEDELLDAVRVAPDVRREIMEKRSEVRQGWCERISKEAAAKCQTVIDDLTLMN -GKQAQEITELRDSAEKYEKQIAELVSTITQNQITYQQELQALVAKNVELDALNQRQAKSLRITPSLLSAT -PIDSVDDVADLIDFSVPTDEL - diff --git a/seq/clusters_seq/cluster_994 b/seq/clusters_seq/cluster_994 deleted file mode 100644 index d49abce..0000000 --- a/seq/clusters_seq/cluster_994 +++ /dev/null @@ -1,32 +0,0 @@ ->YP_004769553.1 major inner capsid protein sigma 1 [Baboon orthoreovirus] -MARAHYPYVTGLWSEPVIPFQKSQINHLLRSSNSVWQDATLSKTWPVIRSRVYTREIPCAGSVLYQRNLL -YSSFVPILLIDKDAWKEYQFQDTTWTGPEGKNLVRRTPYQEMVEYDPQPARYYDVHEYRTWQRQLLLLQR -IYPQLMVSNMLNLSQFGPITYLDTDARMLEPLVLNILTTWIGKSFEEIAIKLLQTINNHALVADSNNDLA -LRLINTIFWLSSAGILNQNRTIRGFFFLTKRRGVSEESFILNYNHHGERVNLEGYKYGFFAIRSPDWNRY -LSYSLSAGLSAMVLSNRSPKLLSNNDVNDSYTSLPHLTGPLGDRVYYISSSVLADEYIDTQYIIGQISGK -ERDQMILNKDVEYDRSRAYLTAVLDEDDELQIARRIKPFNEVDWLPGESRIGIHGLELLINQS - ->YP_003199425.1 sigma-2 protein [Mammalian orthoreovirus 3] -MARAAFLFKTVGFGGLQNVPINDELSSHLLRAGNSPWQLTQFLDWISLGRGLATSALVPTAGSRYYQMSC -LLSGTLQIPFRPNHRWGDIRFLRLVWSAPTLDGLVVAPPQVLAQPALQAQADRVYDCDDYPFLARDPRFK -HRVYQQLSAVTLLNLTGFGPISYVRVDEDMWSGDVNQLLMNYFGHTFAEIAYTLCQASANRPWEHDGTYA -RMTQIILSLFWLSYVGVIHQQNTYRTFYFQCNRRGDAAEVWILSCSLNQSAQIRPGNRSLFVMPTSPDWN -MDVNLILSSTLTGCLCSGSQLPLIDNNSVPAVSRNIHGWTGRAGNQLHGFQVRRMVTEFCDRLRRDGVMT -RAQQNQIEALADQTQQFKRDKLEAWAREDDQYNQAHPNSTMFRTKPFTNAQWGRGNTGATSAAIAALI - ->sp|P32922.1|SIGM2_REOVJ RecName: Full=Inner capsid protein sigma-2; Short=Sigma2 -MARAAFLFKTVGFGGLQNVPINDELASHLLRAGNSPWQLTQFLDWISLGRGLATSALVPTAGSRYYQMSC -LLSGTLQIPFRPNHRWGDVRFLRLVWSAPTLDGLVIAPPPILAQPAIQAQADRAYDCDDYPFLARDPRFK -HRVYQQLSAITLLNLTGFGPISYVRVDEDMWSGDVSQLLMNYFGHTFAEIAYTLCQASANRPWEHDGTYA -RMTQIVLSLFWLSYVGVIHQHNTYRTFYFQCNRRGDAAEVWILSCSLTHSAQIRAGNRSLFVMPTSPDWN -MDVNLILSSTLTGCLCSGSQLPLIDNNSVPNVSRNIHGWTGRGGNQLHGFQVRRMITEYCDRLRRDGVMT -PAQQMQIEALGDQTQQFKRDKLEAWALEDDQYNRAHPNSTMFRTKPFTNAQWGRGNTAATSAAIAALI - ->sp|P03525.2|SIGM2_REOVD RecName: Full=Inner capsid protein sigma-2; Short=Sigma2 -MARAAFLFKTVGFGGLQNVPINDELSSHLLRAGNSPWQLTQFLDWISLGRGLATSALVPTAGSRYYQMSC -LLSGTLQIPFRPNHRWGDIRFLRLVWSAPTLDGLVVAPPQVLAQPALQAQADRVYDCDDYPFLARDPRFK -HRVYQQLSAVTLLNLTGFGPISYVRVDEDMWSGDVNQLLMNYFGHTFAEIAYTLCQASANRPWEYDGTYA -RMTQIVLSLFWLSYVGVIHQQNTYRTFYFQCNRRGDAAEVWILSCSLNHSAQIRPGNRSLFVMPTSPDWN -MDVNLILSSTLTGCLCSGSQLPLIDNNSVPAVSRNIHGWTGRAGNQLHGFQVRRMVTEFCDRLRRDGVMT -QAQQNQVEALADQTQQFKRDKLETWAREDDQYNQAHPNSTMFRTKPFTNAQWGRGNTGATSAAIAALI - diff --git a/seq/clusters_seq/cluster_995 b/seq/clusters_seq/cluster_995 deleted file mode 100644 index 76c48f9..0000000 --- a/seq/clusters_seq/cluster_995 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009507759.1 NS32 [Scophthalmus maximus reovirus] -MESFALNPHGIRDPTSNLRIGFNKHISYDLETYPEIPTPSVDFIPECVPSTDRYNGDPVPLIYDGRLTPV -TGPHHLWEIDSHVEWQTWGNLRPFSPFSVWPPSTPNWTNRKAIHVFSSLSPYAYAAERSQNPLSYHFLND -QGRDWGRFWDLIWRCAQTRGARICHASTSFISSMLRLTEDQLSKLPAARDPIELLNAAGWDALALNALPP -NLSRSLMRSPPNPSVVVFECLTDWFDVMIRVPYDVQHPLGLGLNPCQFWTHPFVVLCYLRWRLLGGDD - ->YP_009507746.1 NS4 [Green River chinook virus] -MQAPRSPLDLSSSTASFPDIPTPSADVVYQCEPEIDDYGRAVPHVYDGHLVPITGPSHLLDLDEYSPWQV -HGEMRQLSPFSKWPPSAANWSTPGSIHPFSNMSPYAYTPDCRLFSNLGWPAHVDGRDYDKFWHVLWRCSQ -TRGTRICAFTVPFICSMLEIDPEIVPDLERCWTIDSMLELTGWDKIMLNGLSTEQFDALLALPPSNCDAT -IVHESTAWFDYIARAPFTTKHPLGLGLTNEQLWTHPFVILAFLRWRISWPYLY - ->YP_009351843.1 NS4 [Fall chinook aquareovirus] -MEFKRGVPTVSVHRDMTEFPEVATPPIDAIFDQAQPYCDHLGKPLISVYDGRLVPISGPTHLEDEDDYEP -WQVHGQLQTLSAHSKWPESVPNWTSHPHIQVFSNMSPYANIPGPSPYKFESWPAALAPHGGLSAWDLLWR -CAQLRPNRLPVYSDAFLLSTLRLSGKQVFELPMLDSVADMLSWAGWNADMLCGLDLCQTEGMMAIPDPGD -EHLPLLVEQTAWFDYIIRLPFDTTHHLGLGLTDDQLWSHPFTVLCFLRWRAAWPDLY - ->YP_009259503.1 putative non-structural protein 32 [Etheostoma fonticola aquareovirus] -MESFTLNPHGLRGPTSDLRTGFNKHISYDLETFPEIPTPSADHIPDVIPNIDRYDGNPLPLLYDGRLTPI -TGPHHLWDLDGHVQWQTWGDLRPFSPFSVWPASTPNWRSRKVVHVFSNMSPYAYTAERGFHRLPFHRLNE -QTKDWGRFWDLIWRCAQTRGARLCSAKMSFIQSLLRLSPEQSARLPEARDPIDLLNIAGWDELALNAIPS -DLARSLMQPPPHPAVTVLECLTDWFDAMIRVPYDVRHPLGLGLTPSQFWTHPFVVLCYLRWRLLGGDD - diff --git a/seq/clusters_seq/cluster_996 b/seq/clusters_seq/cluster_996 deleted file mode 100644 index 27b6fdb..0000000 --- a/seq/clusters_seq/cluster_996 +++ /dev/null @@ -1,14 +0,0 @@ ->YP_009506657.1 putative nonstructural protein [Laguna Negra orthohantavirus] -MNNSLLQPARSLRMPRKQLKWTQMTLTRAHYKADGQLCLHWRINSQNSRGSWQIWLQLKNWLQNQLIQQG -LNLMTI - ->YP_004928154.1 nonstructral protein [Tula orthohantavirus] -MNSKLSLPGKNLKMQKRRWKPTRMMLTRAHYRVDGQLCQHWRTNWQTSRGSLQIWCQVKKWVKSLLTRLG -LSRMITSRRDQAFDMEMSLM - ->YP_004928151.1 putative nonstructural protein [Andes orthohantavirus] -MPRRQWRWTRMTLTRAHYKVDGQLCLHWRPNSENLRGNLQIWWQLKNWLQNQLIQQGLSLMII - ->YP_004928152.1 putative nonstructural protein [Sin Nombre orthohantavirus] -MQKERWNWTPMMLTKAHYRADGQLCLHWRPNSENLSGNWLILLQLRNWLQNLLIQQGLNLMTI - diff --git a/seq/clusters_seq/cluster_997 b/seq/clusters_seq/cluster_997 deleted file mode 100644 index d158036..0000000 --- a/seq/clusters_seq/cluster_997 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009508928.1 3C [Turkey avisivirus] -SEGPSYYSLKDRLVEVGETGSTGLALGGKVVLSFGHNDDSKFITYKDQEHPVVKEENISVNNSPQDLALL -TVQTPYQFKEIRRKIYADVYSGDGFLLFLKKGTLIAHQVKRITPCDNIMTQQGHQTQFAYRYQVNSASGW -CGGVLVGIVGGNPMILGMHVAGNGSHGIAARIFPNFSQ - ->YP_009055023.1 3C [Chicken picornavirus 3] -GPNFHSLKDRMVEIGTSHSTGLLLCDKKVLTFGHNTDCGFITHKDQTFKVTSETYISVSGCDQDLKILEV -ETPYQFKNCSHKIYSGNYKGDGNLIFLRNNQLIIKDVFRIREKQGIGTIDGTYTHSAYAYSARTGSGSCG -GILVGYVSGNPIILGMHVAGNGDTGIAARLYPCFAQ - ->YP_009055012.1 3C [Chicken picornavirus 2] -GPNLFHLKDRLVEVGSSGSTGLILGGKQVLTYGHNMDRSFIKHKDIVYSVTKVEWIKVNDSEQDLAILTI -DTNLQFKQLVNKVYSGEYHGDGYLLYFRDNSLLATQVTGIRPFDPIATQEGHITCRTYCYHAKTARGSCG -GVLVGMVGGNPMVLGLHVAGNGHQGIAARVERYLWQSQ - ->YP_007969882.1 picornain 3C [Duck hepatitis A virus 1] -SGRVNFRHICNRLVNVSNEDEVATGLAIGGKNVLTFGHSKFTQLDEIRDMQFNAPAKGTPITYDGEPTDL -QLLECDIPHQFKDVSKLIATEDYRGNGWLVWKDQDQYMVQDVTKIRPFGSTTTASGTTSCQTYIYNCKTG -PGSCGGVLVAQIGGNLKILGIHTSGNGTMGAANRVFPVFNQ - diff --git a/seq/clusters_seq/cluster_998 b/seq/clusters_seq/cluster_998 deleted file mode 100644 index c37b2b6..0000000 --- a/seq/clusters_seq/cluster_998 +++ /dev/null @@ -1,20 +0,0 @@ ->YP_009505564.1 ORF6 [Kibale red-tailed guenon virus 1] -MATLPALTSAFQLHSRRFNLQRNFLLLSLQCFIAAAGSNTTTVSVSTPSAISNANSSSHQPKCIICFQLK -SENITSLTASSEHDDCLRQFNYTMHETVRLVTTDRAAFLASHCLALAASQYYHYRAYFRVVNQTVHYCWV -NGTLPSVRSSHPGAIRWASVILLLVLGLKYRAPRK - ->YP_009140485.1 GP4 protein [Pebjah virus] -MFSLQRFIALYLFCWVCFLGGVSGTSSTTATSTATSATSKPSSSSCEKTPRCIVCIVNHTVTASSTTFTT -GFSPASVWLPACKSAQLAGQTRETLTVNLVDAADYYLTLSYCLAHAINLASTNHTAFFHQDNDTVYLCFD -EQHHYLPPQVGFHPGSIAWAAVVVTILVIFRLQSLR - ->YP_009121780.1 GP4 protein [DeBrazza's monkey arterivirus] -MLGHQLHTAHINTVIAAGILGVLGVPTTATTTPHPSSSASDASATTVRPANAHTCLPCFITKVTHSSIYP -IDSASGNVRVWSGGCATNFLGGTYNLSESVSVTDHAEQLLVASHCLATAVRLAANNHSAYLANYSGSIFL -CHLNAPAFNPVVAIHPGAIRWASIITLLIVVARLRSLSR - ->NP_203549.1 minor glycoprotein [Simian hemorrhagic fever virus] -MLHCKPYLLLQLYIVAWHYCGLLGDTAAASSTPHTVSSNLPTTTSNPPRAEEFGDQTCLICLPHPNRTSL -TFDLAEQSSNTRLWSSGCLTNLKGGVTTTSINVTVSSPVDHVLALGHCLALAIRLAAHNHSVFFANHSDN -LYLCYHDSGIFQPITAIHPGALRWASIITLFIVIARLRSLTT - diff --git a/seq/clusters_seq/cluster_999 b/seq/clusters_seq/cluster_999 deleted file mode 100644 index b95aa73..0000000 --- a/seq/clusters_seq/cluster_999 +++ /dev/null @@ -1,24 +0,0 @@ ->YP_009505501.1 matrix protein [Drosophila melanogaster sigmavirus HAP23] -MNKMNQLVRFVKDTVAVRKPQSEDQLYLPIPSTIGGHEVDSPFAEPTAPTLGIIQSKCKRADWLIKSHLT -ITTNYEIKEWETWDRAISDILDLYDGNPVFKPILLFVYYVLAYNARKIPGPFNGVRYGAYFDELTTVWHA -IPELMNQETDYSYNHRVIHRKIQYVISFKIQMSSTKRRTSPIESFIEVTSEGLKHTPQFTTILDRARFVY -SLTGGRYVIHPF - ->YP_003126911.1 matrix protein [Drosophila melanogaster sigmavirus AP30] -MNKMNQLVRFVKDTVAVRKPQSEDKSYLPIPSTIGGHEVNSPFAEPTAPSLGIIQPKCKRADWLIKSHLT -ITTNYEIKEWETWDRAISDILDLYDGNPVFKPILLFVYYVLAYNARKIPGPSNGVRYGAYFDELTTVWHA -IPELMNQEIDYSYNHRVLHRKIQYVISFKIQMSSTKRRTSPIESFIEVTSEGLKHTPQFTTILDRARFVY -SLTGGRYVIHPF - ->YP_009305105.1 matrix protein [Wuhan Louse Fly Virus 9] -MRMKKVKNLVSPRRKAKSDESNQASAVITPTAPSPSSTTPFSVPTIQPNIVPSIITSRWNTVGSLRISCE -REITDWVTMERLLEYMLDFYDGNEVFKPVILMLYWILGVHLKPVEGPSNRWCWGIDFGEPIEVKHKFPLI -GSQSFDYRKNITSNYRGIKFNIEFWIQFTGTQRRSTPVKDLLGVGSLIFPDVRRFKEILDFSNINCELDS -NKNLVLTQ - ->YP_009302016.1 matrix protein [Wuhan Louse Fly Virus 10] -MKNMKKSNRGYIEMNRLRKIPPKLRRENGKPSSSTGAAHTSQVSDSSQWTTVHFTVPEGTGSSRRVSLIK -GNWTVTGTLRVSCDKNLTNWSMMERTLEHILDVYDGNMVFKPLILLFYWILGVNLAPIPGPSNRHCWGVD -FGEPISLNHRIELIGSQDFGYSKNFASNFRGHKFTVEFNINFVHTQRRTTSVVTIVNSQVPHFKDRRRFR -ELLEGSQISYEYTEEEGLVLT - diff --git a/seq/singleton_RNAvirus.faa b/seq/singleton_RNAvirus.faa deleted file mode 100644 index a3004eb..0000000 --- a/seq/singleton_RNAvirus.faa +++ /dev/null @@ -1,28953 +0,0 @@ ->YP_145802.1 putative PA protein [Salmon isavirus] -MDNLRECINRKRRLLALPDVPETSDAFLSDLRHLYMCVAFCDQHKTTGDESRFTNLELLD -QDEALGAQRAFEAKHGIKGGSLGDVLDHELKKVIEFTFTSGSLYIAEQRKRKTQADSIIV -CVSEGLNDFSVSHGVLDMGLVETGVNAVRDFCTQNGIPMKINQVGSTRTPTPISTCKISE -QITRQINSTITERKMETVLAAIAIKPELKXTQKGCXXCKELEDENILWMDPQFCEIDESF -PYRGGPYGNFLQELLLTTNDVETNGKDREEVVKXILDNKAFTVESGECIITLPDKMTCFG -EQEKKRPATIDEVRTAGERFEQSVKPKTQRYGRLSDKWMELEKFIFTASKTEVDTFLSVG -TERLESVGVCVGALHRATTTRIIRPMIQGGKCWGMMFKTKSKMGDTRKEGYCHAIIFGKG -EDKSGQNKMTMMGKTVHWHLRVVKSKGDWMAQQLCANKSRIWQHDPELVTEGVTVLMTPF -SQKIATISRWRAMRLDSMFHVSSAWHHSPACEAASAMLRKFVEIVHAINQKRDWGVVGSM -EDMVKEVEEIGEHLQTACDFRVYNXCKALIQKIAVSTQ ->YP_145804.1 putative PB1 protein [Salmon isavirus] -METLVGGLLTGEDSLISMSNDVSCLYVYDGPMRVFSQNALMPTLQSVKRSDQFSKGKTKR -FIIDLFGMKRMWDIGNKQLEDENLDETVGVADLGLVKYLINNKYDEAEKTSLRKSMEEAF -EKSMNEEFVVLNKGKSANDIISDTNAMCKFCVKNWIVATGFRGRTMSDLIEHHFRCMQGK -QEVKGYIWKHKYNERLKRKQLSKEEVKFDREEYTSRSFRLLSFLKNSERTKLEPRAVFTA -GVPWRAFIFVLEQTMLVVNKLDPNSVIWMGSDAKINTTNSRIKEIGMKNQGQTLVTLTGD -NSKYNESMCPEVMMVFLRELGIKGPMLEVLDYALWQFSQKSVKPVAPIKKRTGKSTVVIK -ADSVKECRDAFNEKELELIQGVEWMDDGFVRVRRGMLMGMANNAFTTASTIASSFSFTPE -AVYTLQSSDDFVTGSCGRDVQHARQRLEMALKVSKAAGLNVSQKKSFYVEGTTFEFNSMF -VRDGKVMANGGNFENMTVPGGLGPSTDLFVVGKQARNSMLRGNLSFSQAMEMCKIGITNV -EKVYYGNRKYQELKNEIREKCGEETMSIPESMGGDRKPRPWELPQSFDGIALKEAVNRGH -WKAAKYIKSCCSIEFDEEGDQSWDTSKTALVVIRKNETDMRRRTVKTRNPKDKIFNDAMN -KAKRMYETVVDRNPLLGLKGKGGRLTVKDLKARKLIDEVEVVKKKKHV ->YP_145803.1 putative nucleocapsid protein [Salmon isavirus] -MADKGMTYSFDVRDNTLVVRRSTATKSGIKISYREDRGTSLLQKAFAGTEDEFWVELDQD -VYVDKKIREFLVEEKMKDMSTRVSGAVAAAIERSVEFDNFSKEAAANIEMAGVDDEEAGG -SGLVDNRRKNKGVSNMAYNLSLFIGMVFPALTTFFSAILSEGEMSIWQNGQAIMRILALA -DEDGKRQTRTGGQRVDMADVTKLNVVTANGKVKQVEVNLNDLKAAFRQSRPKRSDYRKGQ -GSKATESSISNQCMALIMKSVLSADQLFAPGVKMMRTNGFNASYTTLAEGANIPSKYLRH -MRNCGGVALDLMGMKRIKNSPEGAKSKIFSIIQKKVRGRCRTEEQRLLTSALKISDGENK -FQRIMDTLCTSFLIDPPRTTKCFIPPISSLMMYIQEGNSVLAMDFMKNGEDACKICREAK -LKVGVNSTFTMSVARTCVAVSMVATAFCSADIIENAVPGSERYRSNIKANTTKPKKDSTY -TIQGLRLSNVRYEARPETSQSNTDRSWQVNVTDSFGGLAVFNQGAIREMLGDGTSETTSV -NVRALVKRILKSASERSARAVKTFMVGEQGKSAIVISGVGLFSIDFEGVEEAERITDMTP -EIEFDEDDEEEEDIDI ->YP_145801.1 putative acetylesterase [Salmon isavirus] -MAFLTILVLFLFKEVLCEPCICENPTCLGITIPQAGFVRSAPGGVLLTETITERPQLTEW -TTSRPKLEETLWLDGETKNGKVSQTLFEAIQGTQMENCAVKAVLDTTFVNLTKQDIVLGK -IKVSEFGGDSDISKCGRKGLKVFICGGTVGYVTRGCPPEECKGKKGRMMALEPTTDCGVE -KGLTTDRIKTGMLDITSCCTQHGCTKGIRVEVPSPVLVSSKCQEVTFRVVPFHSVPDKLG -FARTSSFTLKANFVNKHGWSKYNFNLRGFPGEEFIKCCGFTLGVGGAWFQAYLNGMVQGD -GAASADDVKEKLNGIIDQINKANTLLEGEIEAVRRIAYMNQASSLQNQVEIGLIGEYLNI -SSWLETTTLTKTEEGLMKNGWCQSNTHCWCPPKPTIVPTIGYVDSIKEVTGTSWWMVMIH -YIIVGLIVIVVVVFGLKLWGCLRR ->YP_145800.1 putative HA protein [Salmon isavirus] -MARFIILFLLLAPVYSRLCLRNHPDTTWIGDSRSDQSRVNQQSLDLVTNFKGILQAKNGN -GLMKQMSGRFPSDWYQPTTKYRILYIGTNDCTEGPNDVIIPTSMTLDNVARDLYLGACRG -DVRVTPTFVGAAELGLIGRTDALTEFSVKVLTFNNPTIVVVGLNGMSGIYKVCIAASSGN -VGGVNLVNGCGYFSAPLRFDNFKGQIYVSDTFEVRGTKNKCVILRSSSNAPLCTHIKRNI -ELDEYVDTPNTGGVYPSDGFDSLHGSASIRTFLTEALTCPGVDWDRIDAASCEYDSCPKL -VKEFDQTGLGNTDTQIMRELEAQKEMIGKLGRNITDVNNRVDAIPPQLSNIFISMGVAGF -GIALFLAGWKACVWIAAFMYKSRGRNPPANLSVA ->YP_145799.1 P5 [Salmon isavirus] -MNLLLLLQVASFLSDSKVPGEDGTSSTSGMLDLLRDQVDSLSINDSTTEPKTRLDPGLYP -WLKWTETAYRSSTRSLASTIVMGALVQQRGSGNGITMRELELSLGLDFTSECDWLKTCYV -NKNFVFLSEKEIAVNMEVEKFICNEN ->YP_145798.1 P4 [Salmon isavirus] -MSGFNFEVMVPEQGGKVVFSLTETGSCVSFYGDDEPGEGSCELASENMDFPSCPLGNGDD -FCLSLALSTMRWSGMTKRNNFMDRFIGSFVHCTPVMIWSYGNLSKKSHHKMVCHTCPDEY -KFSDKDEMQGYYEECLEASTDIFLDELATVVTGGFFPVGLKGSWGGWYLKYVRYAGPLAG -SSGFIVNQRFYDRAQNKTGSRVVSMVEMDGDGLSFIYEKPSVYHSDGCTGSAARFWKRDH -NERAGVELRAGLHFRM ->NP_740523.1 3B (VPg) [rhinovirus B14] -GPYSGNPPHNKLKAPTLRPVVVQ ->YP_009055044.1 3B [megrivirus C2] -APYSGAGGRQRQPPQQRPVPQRIVHYQ ->YP_009055043.1 3A [megrivirus C2] -GPIVHRFDSAEDRNSWLQSQIPPLPKAGNVRCCPCKNPDCAKIQFTFKTDDEQIRSQVIN -FPGREVAEWWLRFVCGRPGSAYALESEIWPAPPIFIPTAQPIKNESIEKELKKLKKRSLI -SFTITGISAIASAIGLLIYFLRRRRDPEPQ ->YP_009055041.1 2B [megrivirus C2] -APINIQLTNPAVDRAASITTTATLETLDEVKNMVPVLVNSASTVASSLSAASASVEHLTK -SMETFLENATAAIPGAVSAMESTAGELISSASKKIASIILKVIGFTLVIFGNPSPSTIAG -VIALLVAEVYDNAYLRKKMSDLAVSFTSKIKDLVGSLFGVSSCCDEEPIFDDIDDAFHRY -MLTRTETDFEFQ ->YP_009055040.1 2A [megrivirus C2] -APKPPVVAASAPRPRPPTPLPSPVVSEDEDEGEDEPDDIYVYYVDNGLYRHWGIRSKQQA -ISLKAHGFQCRVSYTPEDLGKHVDYCAVGYNEWCKAVCRLGQIYDYSITHNCTDFVEEIT -SYQYSFQNTGYWLAAGVVAAAVATTAIFHSVPPSWDERPFLPEKTTPRVVVVAPRRRVHK -WRRATLEKIVELKRKMLFQ ->YP_009055038.1 VP1 [megrivirus C2] -GPVNIPEPLGESTTPFQEISVAQVLAHPRLYGNFSFTQNRVLEIPLSLISYSKGPGANTN -SAQITPARLFASLFTQIQADLRIIVTTSANTSVFVAYQPPGTTPTVFGQVPLSRAALSMA -NFTIFSTMADNVGFELVVPYPGNHATFQTSLCTTLRSDNVYQASQDQNDPGNLGTLLVVN -KDIAQATVSQISVTVYLGLLNAKCFIPRPFGPLTSQIVQPNSLSVRGAEDEPDFWEDQPE -GEESFFQ ->YP_009055037.1 VP3 [megrivirus C2] -GSTFLHSFSRLFLQWTGSIVYTVEWTGPAVSAGRLILGFQPNANRESKLGSYAPALPTGN -VLSSLSQGPHMIWDLSNSTSMSFRADFALPSEWAAVNPLVTAGTAGGTNPSQTTQNGGVI -NFSSSGSCYLALLTPIVTPTVVQSSFKIVLSESAGPDFSLRYFAPRSPNTYPIYNGIIDQ ->YP_009020982.1 viral protein genome-linked [enterovirus F4] -GPYSGIHTNYQKVKPVVRQVTTQ ->YP_009001466.1 cytoskeleton related protein [Avian hepatitis E virus] -MCLSCQFWCLECQESGVGCRCVDCCSCLQCAAGCQGAPKRSQPEAGVASAAVTIQPSGAL -NNAPREPSAPPLSQTLSPRQVLARYQM ->YP_145807.1 PB2 polymerase [Infectious salmon anemia virus] -MDFISENTISDKTTLEELKNATLFQVTKVDDRDCLRARRICNAPKGHWAGLMEKAKAMGD -PTEEEKDELKKIVESYNTVSVLGVSKSEGATGPRLVSSLKGLKNLLPGVNPKTLQETLLV -GAPCPSTEPTTEEYWNVCRAAVGASMGSAKINMSQKVVMGASVIGWGQLNQSGPGVYFLN -TKEIVTAEGKVDETRGPLERTSAPLMRDISRLIQETIEEVETGGDPSFSVRSEGGSKIEG -RIAFSLHSEVSTLKMRIALEQKLAKYEYMGENLLTLVKNTSIDRMQPDSAMMGKMVLESL -RTHTVSSEQLNGRMITVQSQGLETIAISSPFDVEYDDGYVFTRMKGNFVAVGRDYKGAIL -CFREGQGTFFSGRGNWSGLMEKCLVEMRLCPCFYSCTWQDYPDKKSLYEKATFEAKQIVF -AMGENTGVDIRVNTDGEIGDKGISLLTREREDKYMSKVSYECRVVSGKLVMGLDKMSRVA -KGNLEVVREKGDDTSQSDSFYEGVLQVGSMIGTTMESLKQQLQGPVGIWRASGVSAMERC -MKRGQSKTVVASARYTFQKMMEKMATGREVSKYSLIIVMRCCIGFTSEANKRALTNISGT -GYYISVAQPTVVKLAGEWLITPVGRSKTGEVQYVSAKLKKGMTTGKLELIKKADRSDLDN -FPEPSADELLREGTIVLMQIGKDKWLCRVRTGDRRVRTDTDIQRAEAKSQVEKEDLMDEY -GV ->YP_138516.1 small hydrophobic protein [Parainfluenza virus 5] -MLPDPEDPESKKATRRAGNLIICFLFIFFLFVTFIVPTLRHLLS ->NP_542257.1 protease, partial [Primate T-lymphotropic virus 3] -SLPCPGAKKLLRGGGLTSPRTILPLIPLSQQRQPILHVQVSFSNTSPVGVQALLDTGADI -TVLPAYLCPPDSNLQDTTVLGAGGPSTSKFKILPRPVHIHLPFRKRPVTLTSCLIDTNDQ -WTILGRDALQQCQSSLYLADQPSSVLPVQTPKLIGLEHLPPPPEVSQFPLNRSASRP ->NP_051036.1 small basic protein [Cowden I virus] -MSWIAGAMQGAGLLGDLAGTIGQIVLHNKQLNIMNSFNQAQIQLAKDQLKQNQQLANQYY -EFNANLPVNQYNSAVSAGFDSVSARQLAGSREVRYLGGQQTPLVHQGQIHQMMFSPKHLM -QAQHVVGTFSRGMPGVTPSKGLPRPQGVTAKVPVAGATTTHSKV ->NP_049559.1 protease [Primate T-lymphotropic virus 1] -MTVLPIALFSSNTPLKDTSVLGAGGQTQDHFKLTSLPVLIRLPFRTTPIVLTSCLVDTEN -NWAIIGRDALQQCQGVLYLPEAKGPPVILPIQVPAVLGLEHLPRPPEISQFPLNQNASRP -CNTWSGRPWRQAISNPTPGQEITQYSQLKKPMEPGDSSTTCGPLTL ->YP_010229203.1 X2 [Potato virus B] -ASVFKRIAGKIKNCVSNAYSGAKQFCYDIGEAAAEGVFSVVMKCFHEMLKCVKQELGLAH -EFIEMLIKKVRAWYDNLIKKIGDAMYTIGVSGIIALMFLLCQMLTYSINKLLGVPDPFFL -MQIFSGLFFAYSMWDNKLLQGAMRGEFIALINEFMRNFFSHAQSSKKWRPTKADQEVLQR -IEQGETSNIR ->YP_010229216.1 Px protein [Balsa almendravirus] -MINRIYLLSIKNFLRRKLYRLEKLLMMQSKKKKQENVMEMNGILQRLESYTTNLIDPLSI -QERRKPKSLVILAPKTRMVMM ->YP_010229219.1 small hydrophobic protein [Balsa almendravirus] -MSWENIINNILLMFVVIILIVILLKLKTISDTPIKVRMPVYRMDSKSDIANNKVSEKKYA -VSKHDPAWNSRL ->YP_010229217.1 M protein [Balsa almendravirus] -MSLFKKNKSKDPGDDNALVKFDGSNAEKGSWKLMSFKINYNCRSSGEIRNMNDIQFIPFF -EGKVKYQPITEAIFYYSLFMISEGRLLREDSGSRIFNLPVPSSWDFEENSHFNLNKQILI -YSDGKEYKFRFILDINNLPFIATPSEVHKNGFLYSLKRTYGSDYQHIEKYVIRNYETSKK -FN ->YP_010229218.1 glycoprotein [Balsa almendravirus] -MFFTILPTLLLGNWTLVNITDITCPHYKDYTIHPEAINHKLSLYEVTDEDYNEYNNVLFG -RDCSKLTLSTKCKAHLMASNEIEYEEIYESPDITDCNSLKMDNMIKYPESNCRWNLFDNG -YISNNETTIKINDKSFLLDVHTGLIVNQDKIFNHCDEHMCEYKNNRGFWLRSKDINTEKE -LCTHLKNTTHLNKQEGYLSVYQNNKFLYIENNPVHYDDMCTIKRCNNLILTIKNFKKYVI -KSSGLFQECKTDNIHYLNKEESFNEVEDHILCANKLVKVIKEKKLNYYDLKYFHPTRIGL -HNIYRLNEDKKLEKNIAYYSKVDSDKDEVKVKSVACGTKVNCLYNGKHKINSEKEFNVDI -KEYKIYKDEVEKGFIIEDSMYIPYEKTEIEFERNAITFDFDEIYKFGMGLLIIALILLIL -VCVVTKCKSRNNIKSRKSLKRFEEKASFLNI ->YP_010229215.1 P protein [Balsa almendravirus] -MQSLNPDEVVDKDDKPNISVIDQKLLKKKIISFGKIVNDAKQEEETGECDGNEWNPSTSR -ILYDKPYRPIINSGEKKTEIFSYTSSEDEDGYDVTITTLPEPTIPDEVGSIESGKFFRNQ -IREQMLVEINNLLKVNKKDILFNITDGILTIQNRENHNDKTGIIKPSCPTFEEITLLKAN -YKETEFPILIEKKIAGQYKVTKKELIAAIIEYNGGVQMSQKAIDAKITDLVEDREKLIDL -LNVSKRYRNIKKNIK ->YP_010229225.1 small hydrophobic protein [Rio Chico almendravirus] -MDVISVILWTIADIILFAIFIIILFFYKNHRKDETETTVPYKRHPTTSSYY ->YP_010229223.1 M protein [Rio Chico almendravirus] -MAASEAWSFNKFRILMELRLNRPMRKVAENMNLLSLNDFFKGKLKYKKISEYCLLYYLIK -YSDDKVIESSEIMRVILFPSPADWKYSRYEEHYSNQQFNIYYKGEEYTVTYKINIKVAHP -DVALSQNDFRKTDKCLEKVYGPEYKLFKRLADREYRRAI ->YP_010229222.1 P protein [Rio Chico almendravirus] -MSHKLNPEALVGSKKPASEKIRALEKLTKDMSLLEFNEDLDSIDTNPSYVENMTEKCIPT -APKEDEMNKVRFADKDYEYNPPKYSELETNVRANTLIEVNAVLQDYNLYIKDNCYNLEVY -KINKPEIVEKKDNKIEVQKPNQGSTEWVYKKKTRGEVIITRSDLVHQIKMTYPNASPYEV -ENMLCNTYNSRESILEYLSSTKDYKGLKRRVFL ->YP_010229212.1 small hydrophobic protein [Coot Bay almendravirus] -MEIIDILILIVLSVLSITLIFYILKTNSLRDILCESIKKLDIIVKNVNVKKDDYFSKLI ->YP_010229211.1 glycoprotein [Coot Bay almendravirus] -MHVILPVVLLTSFKLINFHELICPPDNVIDHDLEGLNMLHEYKTKEYVLKEGALDVFSLS -GYDCTKVRMKTGCKFHFFAKNDIINEMEMIPIDNRTCQNLSNKVLKYPEPECISGIFNND -YHYVSREESLSQSRTYLFNPTTNEVINYHDIFESKVDNVYKYKNNRGYWIAQNNEKKMEC -DHYEEHGSSDLKIKIYEKEKDKFISVNGKIYSVDNVCKINFCGLSLATTKDRIFFKLPPK -VDIKTCIDEVSTPSKSDMVKVDNFYKECEENRMELISAKRFNYQQLMKFMPQTMGIHHVY -RINSNKTLEMAIGRYGMVNLDTLNGTEHWIECGLETKCTYNGIMRPLTNKTSIEIDFNQL -IKHHEEIKNGIYVYDNVYNQYYDETTTIYKTQNTLLTGLYMMLPWIAESVIILLIVFILI -KRLVKRRKNKRRRESDIKMGTW ->YP_010229210.1 M protein [Coot Bay almendravirus] -MTSVMRIFSSKPEEPDLRCKEIDEYKVEKFDVKFLLHLDSKIKIEDVKDLFVEDCYSGPY -KYLAIYQYIIYSAIMNKEYSIGDDYSQDYISRRVAFPVLKEWSFNHLENIAAYNDKITVY -DKNGEYTGIFRMKIKPARNHLAASLVSSKQDKLLQKVYGDKYKSIIRFLKKVQDL ->YP_010229209.1 P protein [Coot Bay almendravirus] -MSNDLDPYKVDDDDLESEFMDPLMKEKKDQKVTFKEASLTETVKDLPPAYVEKRPTKIRA -SDVLKFVNQELTNRGLNLKFLVKEGKIICEGQEPTAPPIELCPKNDLFTKQIAYLTPDDT -VEEYNQKPSCYDPDSNTWKIKKEYSGFYNIKRRDLEILITSNNNNNLSLSDIAAKIREVE -KDDNALLKLLKDNPSKMYKAVINRLEEQLK ->YP_009825039.1 NSP11 [Duck coronavirus] -SDAGAPGFDKNYLNGYGVAVRL ->YP_009825017.1 hypothetical protein HO267_gp12 [Duck coronavirus] -MVCFTVISFVFYYIAQGYTFLTGELFTRQERAYARELILKFAVLYDTVLLIFLVGFFVGK -RSKRSDRHVV ->YP_009824975.1 envelope protein [Wencheng Sm shrew coronavirus] -MLPLIYNDNGLVVSVFLWFLILIVFLLFLIVFIKCCKCVFLTHRALNATVYRPVAKFYRQ -YQEFMYIEPLPEDIV ->YP_009755911.1 nsp1/2 [Canada goose coronavirus] -MMASAMKKEVSSSQGVVIYVLPDATPTILDALFFYTAHQPVDACDAIQVRRKFDKKLTSG -KKFSFDTVNGVVHVIGVKKTVPGVFVVDANTKLADLENVLGVSTLARLYRDLLKQANQWS -LSLSALEEKALQLEESFPRVHVFLLQTAACVKVAALTLRSLIGLLVKDFKVLLKPFVNVP -DGFSCKLNLAFKKALANVVSDIQPFREAIVALYKNFTVSFVRGNLYIASCAGSVFSFVND -MLQALTEKRAHLGFAENLVVANGSAVVRKDGVVFLYGIKRRVTAINRLETYQLGEPCYVK -VPSEGYLAIVDGEQMFVFEQGDSVYAVPFSDGHVVDICFECERRMVVHDQLSVEQHDAIV -LLASNFGASFVDDVSSVCKFGVYKLSYGVSRAGDFVINSAVNAWDILKFCGTNVSALYTD -QLATLLKLRSQTFRKLCFEFLFKAKCWFADLAISVVSSLVTVTDDLFCQLCNLTFVVKKT -GVFFKEATHVFFKMVSEVVVLAFKTLPTLFGAVCHNGYLIWTHGSCKYAGTFFEASTGDL -HVQVDSTVTDSTLEFFECEPQRPSSGVCVDVVAEGTTYKFFRFKCGDNYYYAPMTSPSTV -ADVWFVKAGG ->YP_009755910.1 ORF11 [Canada goose coronavirus] -MREKLLFNKTVMNHGSRTVLVLSFVSVLSVSLCAVWNIDCPVPPDKTVCSVVSKDGNYID -RLVNVTYYNRTQQSFCGEFGCSVEAIPAELYFTAISFEEVGSVNCQGAIVMGCVTQLSID -SYICEPCHLQPLVDLVEENLAVVVVEHVIIGLLCWVIIMLALLLFLNRCNKYCPAPESFH ->YP_009755909.1 ORF10 [Canada goose coronavirus] -MDMAQVVKTPLEASVKTMEARLGCGSSECEWNWSKHTNRLPLCLFSESTHFLCERHLHNK -DDKICCLCWSHLDYRRELLNTCVQQSKLKVVERETVV ->YP_009755906.1 ORF8a [Canada goose coronavirus] -MIKLVKGFVVFCAKFYRSLLLCEVRILSLLVNKFGLNCLLANCRDFLSLQLNVVYRLIDS -SNNSLV ->YP_009755903.1 ORF6 [Canada goose coronavirus] -MSLFRRLFRTIRRYCRINFGKTPDFTSGSWYVSAFNYPEFYGVTDSVTDSVYIVPKVIDL -WQN ->YP_009755899.1 ORF4a [Canada goose coronavirus] -MFYYILLFIFYLWCKTLLVISREVAYLVIIFVQFSLKCLDGLYDRYLNQICTREW ->YP_009755898.1 ORF3 [Canada goose coronavirus] -MSDDSSCCIHNPCLSEWCVYCNPPDEDVPLHVLSFIINSQQELLELIDLLFES ->YP_009755904.1 ORF7a [Canada goose coronavirus] -MCKCANFIQNILYRNTIAYSSRAIVVSREVNPLCFGVTLQNGIYCNPSLIAFLPNTIRVN -SVTYFIQGEQVLYNGRNLLTRDFVIEKASTAV ->YP_009755905.1 ORF7b [Canada goose coronavirus] -MDETCSPEILLLKKQAQQSRLRLISLQPFIVKGPGGSWVYKFDDHEPSVHDYTIVCSSEP -LTKRYDKTG ->YP_009256202.1 hypothetical protein A9274_gp7 [Ferret coronavirus] -MSSSLITIFSGKIWFSLSRPFKDWIVSKVRFKTPVGGKVKLDYRRRALLNSHNNHVNSNF -NSSVSVLKFLRARRWQASTSHIQLGKIRVF ->YP_006908649.1 non-structural protein NS7c [Rousettus bat coronavirus HKU10] -MVGGLKQPNRQDPQPLLFQHSHTSLEVKNQVLVLTLTMAVLSMRVSCVLHLSMSVMTLQK -LLLNWLRHSDDIRLSL ->YP_006908648.1 non-structural protein NS7b [Rousettus bat coronavirus HKU10] -MKLLLLLSILSFSSAAPTTYRASQAAKVLIYTTEKVTLNNQRTHSYTKWGVCSTGWNTYT -NTMVVVNGRWVETTKPPRPTAIAIPTFPYEPRSEKPGFGSHFDYGRIEYESILCAAFEHV -SNDIAKIAAQLAQTQRRHQTFAVTTFRWSTPSN ->YP_006908647.1 non-structural protein NS7a [Rousettus bat coronavirus HKU10] -MHVLIIIICFYLQCFLWALQPLFEACAYHWPVCVYVPSTISYCYSVLGFSLIVIGCLFSA -LVLDVLGLIALKCLLVCRFLN ->YP_005352851.1 NS7b protein [Sparrow coronavirus HKU17] -MPVKPTYPHSSISLVPEGCRNKLEPCCLPKPGCYSSHISHGISLVIDELLEKGTTRSPNA -WLVLEHPDYNLWKFHWQRGRHCGPGHCVAIFQYKNSNQPYEGHEDYTTHSCYSIPGGCLA -LDTTSSSSSASPSSESLTRRSPRQ ->YP_005352887.1 NS7b protein [Common moorhen coronavirus HKU21] -MLDFKSAAESIDSVLLILSQVFNLSIYSELQGCYYIDGSFWEQDSCDEESESDADISSDD -A ->YP_005352886.1 NS7a protein [Common moorhen coronavirus HKU21] -MGNHQTSIQNTVQNTHIKASEHSNVSTLTHTFSELQSVMQIISPVLIIVLSILLIISFAL -NIALVRKLRFMKAKLRARTIVKRKSLIDSA ->YP_005352884.1 NS6 protein [Common moorhen coronavirus HKU21] -MCRCHTELRFILTACTNGNYTDRTLYLDNFDVQVNCFINTLMVYISINPDSINVLPKSML -INGYYIVYKNGTYVREDYFTN ->YP_005352879.1 NS7d protein [Wigeon coronavirus HKU20] -MAQIVRTPLEASTQAMTTALGYSQCNCDWQESKKYLNLLCVCLTLSIITVSFIFQRHVCV -AFVGQT ->YP_005352878.1 NS7c protein [Wigeon coronavirus HKU20] -MNLFVDLARLWLELFDHNPPQVAIYIVAAFFFLVPLHLLTLSVYPERLFFGQSECVRCDV -VLSILETLLIAAVFFLLSLVSNYISILG ->YP_005352877.1 NS7b protein [Wigeon coronavirus HKU20] -MDPSSLRNPSTITIDQLLQLGDSVIIRVTNILSTISITQERAVFDPFEVAVWSYGSFWEL -DSLPSSPNLSDDEELDTDESLC ->YP_005352876.1 NS7a protein [Wigeon coronavirus HKU20] -MSLVIDGDPLQVADVLRQLSLIFHTPIYDCNLRLHWVEGVPCTLSFCELCYDFLQPIQAD -SPGFSDSSTSPDFVDSQ ->YP_005352872.1 envelope protein [Wigeon coronavirus HKU20] -MSSWDVVIPGSVVIAFLIVACCILLLLFIKTCLAIFDCCSRSCTCVLDTVKPVYSFAYNL -VPRFTPPYNPSDYVIVNQFPRNSKDV ->YP_005352859.1 NS7a protein [Magpie-robin coronavirus HKU18] -MIIDLTSYHSHLSGVLRTLTSIFSIALFDEYEGAFWVSGHFIESLSFEGPDYVSEED ->YP_003858590.1 ORF7b protein [Bat coronavirus BM48-31/BGR/2008] -MIHLTLFDFYLCVLSLLLFLVIIMLIIFCFVLELQDLNEQ ->YP_001718617.1 hypothetical protein BatCoVHKU8_gp7 [Miniopterus bat coronavirus HKU8] -MFLRKTRTSKSSLIRLMLIWILLVRKNQKSSVNPRKRRRKKHLLNSTLQHLCLHHQLCCQ -MLLPMLSLTWWMRLLMPIMSLLHELSTNKMFVLALFAVLAIVNSAPIVNHKVLNPYDTPH -SGFRGSCEQLALTCRLNVQPDAFHAAATTGSPVQIPRACVSFRTVCSAWPKYDFGYLMSP -DVYVVTTTPDGRYKYELNSLAFTPEGAQLFYTLVDGYAHERRKLIKEGRREELPNLDAEY -IIHPTESQ ->YP_001552241.1 hypothetical protein BCHV2_gp8 [Rhinolophus bat coronavirus HKU2] -MNQLIFFLCMMCCCAILFDWLFNLFFYACQVDTWQEFAFSCNWSWSLFLEDFSTWFKCLS -VVLIGIFSAASLMFVDFAVEVFDLFEHFFINIGKFCRFV ->YP_009194641.1 envelope protein [Camel alphacoronavirus] -MFLKLVDDHALVVNVILWCVVLIVLLLVCITIIKLIKLCFTCHMFCNKTIYGPIKNVYHI -YQSYMHIDPFPRQIIDV ->YP_164829.1 3B [anativirus A1] -GAYTGNQRAVLKRPELRTVQVQ ->YP_164825.1 2A [anativirus A1] -VFAHKQGPVTFQ ->YP_009273021.1 hypothetical protein BHT40_s2gp2 [Sclerotium hydrophilum virus 1] -MGWANGRRSPQDVTWACFLYYWSRMSAPPPLDLLILEEHAGEPQVVISPEAPLVWRMVAA -WILRVVGGDVEQLRNLVGIVRRGDMLTWIRRKDGRAFFGNPSQPQAEALSRLPEPQWVEA -TEEEEGVEMQEGGTD ->YP_009273020.1 hypothetical protein BHT40_s2gp1 [Sclerotium hydrophilum virus 1] -MADFQDIPAARRAQGAGARPTMAARFGGAGGPVDYTDRPAHDDEILPLPSPDDSFEVLVD -KLERLAKVVRDNKSLALYTGFHATPGGAINPVDVAKRQFTTKEREQYAEWEAGSELPPFD -WNRDRLPVAGKDGNPATLSKWAEALSILWDTKGADNGNVVWFKKSHPYLIPLVTAMVGVI -NARKGLTSGQAALSEMEMAEYQTARKIAAEATDNIRITMEAMRRPTERMSAHIQVIQERS -RQLGNKIPGKDRGDGPFNKERIALGKRRVGVDFEVTHNRGAGREVRTRHDIQQALAMPQR -PVASTSTTAPRAPPAPPTGSGSGTGAPGGFARWGFGGSTGGFGSSLSQPAPPEAPPAGAQ -SPTYRPASPPPPSDVPMAPGH ->YP_009640126.1 hypothetical protein MS2g3 [Escherichia phage MS2] -METRFPQQSQQTPASTNRRRPFKHEDYPCRRQQRSSTLYVLIFLAIFLSKFTNQLLLSLL -EAVIRTVTTLQQLLT ->YP_009389426.1 envelope protein [Wencheng Sm shrew coronavirus] -MLPLIYNDNGLVVSVFLWFLILIVFLLFLIVFIKCCKCVFLTHRALNATVYRPVAKFYRQ -YQEFMHIEPLSEDIV ->YP_005352844.1 NS7b protein [White-eye coronavirus HKU16] -MRSLLHRHQSIYLMSRRQTKLTYPLANPQNRDTLVPCTNTVEP ->NP_835358.1 p44 [Human coronavirus 229E] -GDAGHSLTWLWLLCGLVCLIQFYLCFFMPYFMYDIVSSFEGYDFKYIENGQLKNFEAPLK -CVRNVFENFEDWHYAKFGFTPLNKQSCPIVVGVSEIVNTVAGIPSNVYLVGKTLIFTLQA -AFGNAGVCYDIFGVTTPEKCIFTSACTRLEGLGGNNVYCYNTALMEGSLPYSSIQANAYY -KYDNGNFIKLPEVIAQGFGFRTVRTIATKYCRVGECVESNAGVCFGFDKWFVNDGRVANG -YVCGTGLWNLVFNILSMFSSSFSVAAMSGQILLNCALGAFAIFCCFLVTKFRRMFGDLSV -GVCTVVVAVLLNNVSYIVTQNLVTMIAYAILYFFATRSLRYAWIWCAAYLIAYISFAPWW -LCAWYFLAMLTGLLPSLLKLKVSTNLFEGDKFVGTFESAAAGTFVIDMRSYEKLANSISP -EKLKSYAASYNRYKYYSGNANEADYRCACYAYLAKAMLDFSRDHNDILYTPPTVSYGSTL -Q ->NP_073554.1 envelope protein [Human coronavirus 229E] -MFLKLVDDHALVVNVLLWCVVLIVILLVCITIIKLIKLCFTCHMFCNRTVYGPIKNVYHI -YQSYMHIDPFPKRVIDF ->YP_010088063.1 nucleoprotein [Perch actinovirus] -MSSGENGTGARQGQQHGAHLRSWDQTYYSQKGSKAKKDTYVYQFILAGGSVSQIPEEVRA -KHAESIQAALRKIASPGQGSSQEGSSSPPPEESPGQEDGEEQEESQGFQGAPKSRLREGV -TSDLSNMDPENMSGEAVSWASVSEQVFRGTQPTGSLSLVRKLYHMIGVRGKAQKQEHKST -QVVYTDSVPIEDGTRVSHRMAISFPNATSSIGKDEMTPSRFLAAAIPYVSEYAHEKKLCS -PISAANGSSKVLESAAELIYDLNDSIGGFKSVMEARRAAYESLEIPNRSVITDWDERCGC -KVRNPSCSEEGLRSILLSPSAPGPSSLLVSFGPEFGLLNAVMSDVRARLKVVSMGVLATA -DDKMKASTTFGKSYKRRLEQFGVAISQEKYNTLYAAASPYWIKGMTVSGDCQELSEKWVD -IVGTWMKSRGMAGTVPVFW ->YP_010088062.1 hypothetical protein KM769_sSgp1 [Perch actinovirus] -MYTRVTNKVEKEEYEVGIQTIRVFRKTKVIEVAIPGNLDEPCACLSKCNPVSYPPAFRSS -NDSIFQLGGPFGCPRQVHETPAGVTFCSYSLSCPETVVLARAEVTTDVQHLVGSLVLGGP -RDLVPYFQVHQTEGFYSGNTGCSCTPHCVVSHESDIKDLLSITHVIVPRPRVASIRACSE -SEEEESFLSTFYKSSNLWTVVKPGFTTFSVGDLTPLIASRTSWALASGGAEDSDEFRGEW -DRRPAGAAAWGAPA ->YP_010088061.1 hypothetical protein KM769_sMgp2 [Perch actinovirus] -MLKSSQRRQTDPSLEKQSRREGERGRTTQAHQSCTHVFQHQHTYAFFYFYYFYYFCCFYF -LFYFYFVFIFLFLFFSFTILSIFLFFIFYFVLFFCFAHFPT ->YP_010088060.1 glycoprotein [Perch actinovirus] -MMFLVIGALALLTLPARSKEPRLIIEKEKGYLAFTTGEDGGPWPSFPGEGGLVGTGAHGG -RAVLLPPEECSYPCRLEKAGGKGGDLAVLTGIFPSPKWYGSGWASVTSRGSRLEDSAYVL -MGTDEESDFNASFGEGLSLSSPKICVVYVEGASESADWLSDVSSHLCRRKVVKVPLSIAA -GFDFSKLKNGVEVDIDVTSGLKNHDLGLATLSSVAKRLRSDGHKLFKVSFWNHISPSDAQ -AFYADFLEMTQGSESSCSWESDLSFENMTRFQGVHKWAIGNVQGSCAFEGRDMTSGMGGF -LTSSMSCTPGSKAEVCDFLVPVLPMFLPPESSEILAMRVPGGLALETGMNWGYLNSPMSP -FKCGRIGDFPYLMCESYLPFSEIHSICSKDECWPISLRVTRIPVFGGTRKLMGVVRPDIR -YFVGASGSTICTESPKPVICEASSEHTSHSWACSEGKCCNSVPVDLFSSGQLVQVVCGES -SAVFAWDAISSADTVGKGLSSLKQIYWEGGILTKMLIFAAIFASIRVMFYVFSLVWISKM -IRNVVFTLFEVFFKTGHVASKLWCSLVWWSKSYPLCEDCGADTYLVPHSAGCPPFSGNHE -GLSGTDTCVYCWAQGRTAIGSRVHWLTNHLGDYLYCIAWLWPLAHFKYLRTLNAVTEVLP -IRSAPQVGRRGGYRILPKNLILGLAGIALIQCVGSVELGLTRCVGSQCNVHSETVLRGLW -EGDTQCYDITVPGGNPFPVCVTVKGRGMLAVGTIEECGANFIVEQFTKEYCARATTGCSY -IPDMSQHEGRPLLHSSSSTWTRTPGCPDTVLNSYCSKAFAVDSTKGFDCLVNIPRSGMRF -GREVCITAFGMTSCSSDSPFILGNMTVDFLIETEDESPLPEQLVFSSDGQTRKTSVPLSS -FFPATKQTLLETKGILVHQECGLEHSGVSELEVRCKGKPAYSLRALPRWIDSSCSIRRFT -PSDDGLSFLIEADGCMKGAFKLTMQLDGTVAPSGSMGEVTALDVGQCGGYTGISENAFCT -VTPTCAGMSFCRLSKPVKMILQCGVMSQVHFTGGDAEELIFECGGRSQEIVLSLEDFGER -PVQKWERPKSVHIPEAGRGPWDWIIYVLSWILGVAIIALVLFTIIWIVKTYLALKSGKSE ->YP_010088077.1 P13 protein [Passion fruit green spot virus] -MMIPTLYLNYSICVTRTLRTVMVFSGDWDVPRFVELVWYVRLESIRWIHFRYIIPIGCFR -CESCRFCILEHHFEISSISILLFVYLYANNNIIRLGERLYICKYWPVNGV ->YP_010088076.1 P15 protein [Passion fruit green spot virus] -MSGCHQVHVSIFASRDDLGYPEIYAPEAVTCGCERTSIEEDTLSFLVENFCRVFSCDEID -CLNFLRISCSGITGDPLIVYRLYLCDILHDISCDCNTCEGQCQVGGFFTGRFRNRRVRRT -REPGSPTSVLF ->YP_010088069.1 hypothetical protein KM770_s5gp1 [Ti ringspot-associated emaravirus] -MMMKHEINRILHEELFNGINCMSEYVDSELYDDYQFCLLEFDNEEITIEELKALSENPSV -KLFNRHLKAMMIIVDFNGVLFNRYKKYFEFFKLNTFTCNSNIRFMSKIHMSSQMINKVNL -DLDDVPLGIEMNKFIDYLRSKAPINELHYLERINNMLFLMYKHSQICSRIE ->YP_010086239.1 nucleocapsid protein [Norway phlebovirus 1] -MGEREFNLAWLDEASKADFRLQKGDPKPAKKIKETLSRTYAAHLKTGGEESDELQAWLDQ -DHKDWESAVAERGPVTDKDVWVPRELALLNRDAALEAAAKKAQDRAVKAVQATKRVAATP -ERGESQASTSAPPAREEEGPAENSEFAQRLRLKEARTKAVKEAVEAICTKLGIKATEEQE -AAGELNWGQVLAELGDYAISPSIVEELKLAYEYQGFDARLVAATMRSKGVSPIHKKEGDK -AGAYMDRLTLVVIGLMRGANLDKVRKGMKEANRIKFDALVKHYGLQSKPVDSAAITLPRV -VATFPGLAMDVLKVMELGPVRHSTMTSLVENYPREMMFSSFPSLIPAGLGEVTEALLSAY -LLFQHQVSLVINKDYPKWDVQKQQASLEGFARAAMDSSYVTERQRILRLVEEGWVEVVDG -RVVLSKALDAPVSKAAALYRTRK ->YP_010086146.1 nonstructural protein [Salanga virus] -MDRYLHNRAIVHRLHGSSNLPVVTFKVIEDDIDEHVAVHQCVEVVLHSHRLSRAEGHSLK -WFLERGLFPARSGDLIWSSCITRIEPQDLNSYLYGFAALPREDFNCLQLRNVRKALEWPT -GQLSFEFFDLEFPGKYVWAFENMRRTIQLLSMMSSSDQVEDIICDAYDCVLRAGSDLGLA -HSTFPGNNIVYEVCYIQLLKAVQAYSTDIQQVSGFPDNAVTIFLKDIMPYVRDRFPGVRE -PMSNPLPEPSEHQLNVWALPDEGIEEDID ->YP_010086078.1 nonstructural protein NSS [Rio Grande virus] -MENYYIHDMPFVRRTGGRASVHFRASLSYIEWPVANYYGMEFPIKHYQVDLVSRARFNEF -FDRGFLPLRISSEADSQVPVSPPEPEGIFEFLACQTEESISSANEEYMLEAISWPLGRPS -LGFFRHYYNRGTRRSWIQRSMLASDLLNASGYDCLCCAFPKVYSNVLSIAQRMDLDLNLF -TGNDIVKEICHIQCVKMMKAALMERSMGTQLSPSTELILTAMGEATGDRDPIMEELLKET -AALPSPPPTP ->YP_010086036.1 ORF-Y [Rotavirus J] -MKDTQKLMTIFQESSQHQSQNLSILQKISRMLRLLRRNARRQRYYQRYKSRLYKVETMGS -NINSHSSNFDWKK ->YP_010086033.1 ORF-X [Rotavirus J] -MVRQQSTITTTLLPVQMEQLISYSIPLLSDLLMKYELRLFHLQSCYQLMTLKLCQAESNQ -FSKRLQLQSEVKDQEETEQLSKRRKIQRSRGSSQQLELGRSIQRINLPIFRLLIQLEWNK -HSYHPQRCFAIRELECLMSMVSLATLVVVTSQPLEEFQAGDSLVQQCLGVREMSFLMFGL -ELQRRGHPQSPFYQLQVLFNFHERFLRLAAFRLLLSAVMSLMI ->YP_010086023.1 VP5 [Tarumizu tick virus] -MSNQGLIHFFVLPEDCTIEINVRDKDGNVFDALSMMAFSTCGEVIVNGKKANHSHDIYDA -ASVARFGVLSLVERVAYHMCSGGDVAPLSALLKHVNAQWPKAQSHDLSNVLDELRQSQQG -VLSALLTLETKIDWNSSAVADLSRMVGVMATGLVNQGALGPVRYEDGEPVPKSSLGAGYN -YGGHDDEMSTVVYGPSGTRILRIENGEVVISREQKRINAIVPAATPSGVKYMPLLDRAGQ -PTGAGVMPHAVVHTGVERPTPLNVPARTLPALISPTVASLNPLSPEEGIKKQVNDLDTSR -NASPPLVATLSAAEHVHSPPPPVVSTSEKNAADAAVVERMAPHQQDRHRKTVKVTEAGVS -AVVPPNYVEEKRKIDMQPYHSYGGVEPDHMMPLLYMKNRPMKHAFTIKMEGPAPSGCTLF -RDDVTRGKPEIHPNITWWALDIGHVMDDGCAARHVRSNGDGTFIEAVVEFHSPKHQASYC -LIVDSFRGPNGGMALFGYALQPLQLALGLNKHVGRFVLNYNDKFCFDDVPPDVHLPSNLG -STKARNVEHLLEDLRGWLSFNAASVLGKINPSVPPHSCKAEDDLVRAMEKYDGPTKYGSE -VMPQYHHPTTIPRMMENAGKGSWGHVLNELNKACGLRTAKFGIGYDAARCCLREAGDKGE -DVKVNMMLSLFHWRRRAINHVPRIAGMAMGVANGFKLDPLRPQSKRLSMLNDSAVCDQGY -MCYDCGAVYATLSERRICSAVDFVLHHATALEGGYTLNTDLRVSFYSDSSDAFEGKPGAT -GWRQDWCRHKLVSVGTPYMRVVPFLRRVVER ->YP_010086021.1 VP7 [Tarumizu tick virus] -MASGQQAALKVEPDVVTVTQYIFQNRVSGWMFTFSQASRFFSPLFSAETVLFYEIRERDS -QVIRTLGDEVQFDLLEAGIRVRTLRDKTWYLLVIRTLGVAGYVEFYPEGLATSRQLTLTT -FRVPSFQLQARMHSGVAGSFMIYCCGSSRTVAHVVPQKMHLFLPNPSQRWTLSHQKVADF -STFYGAFAESVRFNDIQKALNEHFVRDVRVLLYANFQGVHVYIPYTVKPFSVSEALTRLD -LNLLKDITGVCGDDRSIDRAVTTLMQGERAKNIFDINIVRHIGKSLEMTDEEVAHHISTV -NPLGSVLNRVSSDYVRVRRSLDRGVTAMRQDKFDEDDGKGDKAVQLLFAELLGLKRKVTV -LEQKCRQYDEMKSEHYQHLMNHKARLDELDGSSAACAGDLKEIKEWKADYVRRVDASLTE -SKNLVGRVTADLLNLSTKLSDKIDRRVNNFEEGQKATQLEVRRVATDLEGLKSKYDVFSG -QLIKTDEDLWKAIRGVDRVCNTIVSSRIDAFSYGGSVGCGQLDLGPYASIVYFGRVKESL -KHDSRFVDYNQRVVHIRDRSYHCATFQIRDFHLDAGTIVSCLSLLPIDGYDINIPWFNAL -PEFALLYEYLLSPGIKVSQYKLVVSGTYTCYFPNVVGMFYPIHAVAAV ->YP_010086018.1 VP10 [Tarumizu tick virus] -MAPPYLIDSVLVDAFKRANISSRIVSAFEAVVPFQTQVRQGRIVIIDEKDISQLLKQKHS -AHVRFARVKQSVNYGDLKTYLSKDDTGSYLEEQGILPILENFIASQVKRVENVPASVDNA -TSGSLGQVYTGPNMIGMMLGGTGDDGERSLMARQLEWIKQARVWSNGSLEDFLLSCPGLT -TRPDHLIPVMAGANLIGVQEGSKIMIYKMDVDPKLPKTAFPGTQIVEKEEGERIEKLRSS -GVKGWVSVNMSKQAAGVRSGAYLTGLWLTCAYIPNSLYFHLNSGTISMAEQEEEVCGLKA -SDDEDSGSEAGSIVEEAHTASLSDWGESNSKLKADTSTHVPPRSASPMYSDPKTRVTELP -FTPVEFVRETTVGLINERGSREPAKSSPGVQSGVYVPPALRMFNLPPKDQRVRQVRSASV -PPVPPISSPLSLIPRDEMRQDGNGHRVVLNGSRMALGPVGREHLTSNDFTLLQILLSSLP -HVSDPDLSDVMRANIIDILTTPSC ->YP_010086014.1 VP11 [Tarumizu tick virus] -MAVAAILGSGLSNGTVVLSYERIVLNGQVRPARILAKPLISYILGMNSQVLNEWGMFQSW -CESNYPDKESNDDWLRFSTFSDKLCGIERTNLVLRHNNGNIEVGAFSNEKRGDRGVFEPC -GSPVMAGPLSEYLIDCFSDWLRTGPKDVIDWMARESRDFSLLNIEVMTPMRVVQSSSEKW -RGLLAHWTDVAETRAKQSGDESGPLRSFMVAGLICCGQGINLSPQVALVIEAQGGSAAML -ITLLSARSTRPCAPEVAGALKDGALQPKWKRYFVKSGGPNYVVPFNSRVPGGRNCTFEDL -AMC ->YP_010086011.1 VP6 [Tarumizu tick virus] -MGDIQTEGLNISGSIQAVVQTNDGAHFMCLIRGRHCINISDLWNYSLPEGWIFGALNHFY -CFVPADIGVIECEFFRQRGVEVVPVGNVDEFLQWYLNLGDAVRVVHHYVAYLGAPCVGQV -EAILELGSRWQFIPRPENYVVRTAAELKDAFRRVGANREYAEGMYRDGGADRLVHRAELN -VGDFAEAPAAVPEAPPAPALPPPPPAPADGQLGEDHIEHLQGLARAQAEGEGQPPAPQPP -RQEEAPPPAPAPAAVGPAPRDVQPFNLRENLVRLDALSARFLDAYNQLGAQVGAFQDGVI -TVDNLPNFREAFNLIEGVVLECQAEFDPYRLPGRHYNPQFADDARNNIEAAMNAARVRHH -GVQPVVPGALDEYNNNMHGVEWATVNLRVQSLNQRLVDCGFIVGLGGTLQQIVRHAGVNV -VHRGAYIDPYVENMQFSWHGNGRCVSASRFFTQFFYGMPDLHYGLNELGRVMRDLQTRVS -SYDSVSFDRIYEPNDLLDLNGMNELCRSIFVMLRRWHTLALRLRNPAHHRNVCMELARRF -AGWGPGVRYTIPAGVLRVFRTKHLINLIDPGACYTLLHYRLEHPLGAVQNPRECILDLAR -VGEWANIHDILPYMVHFEFWEMFVNWFPDRGLDGVEYLAPVFPTYLSDRELWTAVRLL ->YP_010085108.1 hypothetical protein KM535_sSgp2 [Culex phasma-like virus] -MPLTGMSVDTLVLGDSSSVISTEAGVSTGLTAGVEEEGAKEWQVECGCPVTVVDTRVHKK -HKGMKKEGCYQCISKLIDLGSNWQTFYAKYAATRVMVGLRDAFKKGTGSEPADKTVMTLY -KCAMTYPNVQVRAFVHCKYGGVVLAEVERAHNELRHKDRIARE ->YP_010085089.1 hypothetical protein KM531_sMgp1 [Leptomonas moramango leishbunyavirus] -MRVSFLSLILLLLLMASGYASDDPYCNTHNCLPDNEPLKLVIPSGLVPDNYLCIFGGTSN -FEPHDSIAPEQAKMEYYAKHDAYICRNTPNVLEASYKWYMEAGKNLVTVLVDVDRSSSPV -VPPTSQIRASSNYLIDAHFEMGMLNIREPYQRCRPGPSDWIPRRKSECIHRQSSYNIGMA -VVYPSEILQNYKIDVDPDGVVISTSKGDWSTAIRAECANYKGLRRHLIGTTLRIRSKDSL -ACLITGSRQTLYKDITMRYAFESKTGCETKLKARQLFDSWYSPSFFLSVGPVDRLPVLYE -SCKDNQDGEFFRDDYKQQCYAS ->YP_010085088.1 nucleocapsid [Leptomonas moramango leishbunyavirus] -MTSVFLPFDLELTNALEYKGVSPFETRTKIVAAGKENQGKLVALMVACRGTNIFKIVTKA -KDPALSTKIVAISEELSREFAVSLAHIASAFPEVVYDTRIKISSSISVNTLAFLKHNGLT -HEKWLLANEEFCKLVGLDFAKFLAVEEVIWKDDSFEKIVGARKPL ->YP_009553484.1 p7K [Adonis mosaic virus] -MVGTPCVWWKHIRKIKQFPQRCSAELWFHGSFFVFSRSEFVTAGEVKEALPRLPHRCKXV -SSPSDE ->YP_010087613.1 hypothetical protein KM718_gp2 [Polyscias mosaic virus] -MMSLPNIKAIEAYQEAIKDTAEIVSPSAGFTDPAIATGVNSGNSAIIKQLNTVIHLTTKL -HSRVEALDERLQSLESKVNNTLVSTSSIPIELSKQIAAINIGVRPREPSGLIRTFVDPRA -QIEKAKRHKSS ->YP_010087322.1 putative structural/accessory protein [Botrylloides leachii nidovirus] -MSSISHRTEETKMLLEDPTFLQSTNLLPSEKEMLDRALKDFRENLGQMLTARNKVSQGLA -KPRNQAILLTSQLDLSNNLMVDVYSTNVKRLKGEDPKKMQTPREQSTSEEIPIRSSGRSK -SMESGCWSRIRQLLLPKPKLFQTIKYQPLREGPCLPSLAQVKPSQRPLSLTTKKGEKFST -TTP ->YP_010088124.1 6K2 [Dendrobium chlorotic mosaic virus] -SASEIARHLKIEGSWKIPDINTDLLVMAFVFIGGLVLLWQCFSTKFEEKVYHQ ->YP_010086264.1 ORF2a protein [Lentinula edodes negative-strand RNA virus 2] -MNSNNKLLKIFGGSRRGKVENDEEEGKAVEREEAPPQAAPMKHFKFPNKYARMEEHIMNA -ERSSGPFEYVAIASRETSTFKKLLEALSVTKASVRSYDLSFDDLPIYNLSKRSSSISISS -PLSLALQKKDLHFCWLKEVYIFFVPTQSFSSSYSEITFELNDNRFVEESLVRSITFPSNV -GINGHFSLDYSVFKDDLPMISFSVKCKNSYLKEGVVWGSLKLVIQTKFSDQALIVSTTRT -AAILQMADTTMKTYDRDPNHLDLMFDDPDLEMMKKFAKQGRIVDHNQALGNRERIAMSGS -EAGSIEGSQSGNSRVKEEWLRGTRFSRGRVSPVASVEEDVRSDDQEVGEFDLTPNDFTSS -GMTERAFSKSAMKAPRRSLKDPSTSPETAANTSRNEGPSNWREDEEEQRTTARNKSVYIY -EGP ->YP_010086263.1 ORF2b protein [Lentinula edodes negative-strand RNA virus 2] -MAVALRNRFNGLQRTDVSLAVGTILTQTEISAVLEADINIIQERIAGEFIIDVDSINAAL -ELLSYEGFDPRIVYAHLAHIQKAGNIGWPQLKEDLMIMLVIHHVRGNINSNNMKSMKSEA -KNLINELFAKYHIVVAKDTSKRLAVTLPRLGASFPYQVAQIAKICNRDFAGFCESAVLPA -AMKSTSFPSLVPKSTKISLLMTVAYNAYATDQACALKKLNYLEMKEEDRKRLFLEQQRYT -DISYNSPVLDKDHRQAALFNLGLGNSTVYKALLSCTKYSSVDTSLFCSETEYLGEIISYQ -ASADLIAKMVASRVSGPS ->YP_010086261.1 coat protein [Maize-associated pteridovirus] -MASGSKAKSPLDKLLDQALALGFDVKRKGNDGASFSQRDGASKVKILKTFLANQRKKNKG -VAADVPGQSHVANPARVVQEQSARLEWIPLISKWTALDHTKEYQRLPMTSEIQKEKVHKL -VFSLKIAGSVALTEPVAKVVPVFNTNIKGDDLKTASVESDFSSLIISNQNGESPYVLEFA -EPCTTELIGAKLSMVVATGNTEVGTEWLYYKAWMSAEVASHASPNLENAFVAALLDSCLS -RAFN ->YP_010086260.1 ORF2 [Maize-associated pteridovirus] -MFPESIAQMLKSRVRRSASAAVFAAAAASADAVAGTLREFEISLARDLDAVEYESFVTDS -EGVPAMVDDAPITRCGPARYVWGVAALSGYTPTVIGRLFRHKRPMGISGSVEPLSIDDYT -DVNYCVEHDIFYECTQESVCMRCVDAGVMFTPNVLDRDLVPYTRIRGSELIPTYVGREYL -NGIPRHHWYLSSQSVLTCACGSSDHEFEFDGFPPIWFKPPASFAYALFPTSLREEGETSS -LVLSSFGYIVGRYGKRQQSQVPAGQVARSSVSLGL ->YP_010086259.1 movement protein [Maize-associated pteridovirus] -MAATTLVSKGTNMSELQEVIFGKAAKKELMEMGLGCLTTARIDAEVSAVHLIPIKQRESV -MAFLKGLVGKGEHLMSKGYYFVPRVVMVTIAHVQGVDGVCLNSRLTDTARIDLSPLEGQV -LDNPLTGDPTITVFFPTYSIPLGDEGDKSKVKRNFIITNRVVGGWISPGNSVCSTFSLWS -PEFSMKPHSYQPRNAISVVVRAGRVEGPFNGGMTPLMFVKAACENTFAETGKAFIPKSIE -YTKKGIGGSTRRKNGQVVYRKSIDGGDSLEDWDKGSISSDISLPGKKAYVDLMSEDVYNL -GNSLPEDLKAGSIDNDVSREHSADA ->YP_010086243.1 movement protein [Entoleuca phenui-like virus 1] -MLESVKMLRKFFGRRGSDNKPSGARPGGSIRGSITGSRKMKDKETEDREARRGAVLRNMD -EALFASGVPKNHVSMADKLDSHKAGSTFKYAVIGTQPSEERTGIMNKLRNYKAPSKLQNF -SLSDIPFIRLMDDEVEFSMKEALRSSNAQKHEDYCLIDSVLIHFVPLDSFLNDKSPITIQ -LNDFRRVNNTVARLATVDNTMSYNILFCLDYCVESRDLERMTLSFACPQKDFQPGVAWGA -VKVIAQVKFMSFPVRMPVIETMAVAIFADTDLLEYEWDPREFDAVLGPQALQGVRQANKR -GELENLTIAKNDRMEVGMARTLAMGSLEEEEPGEAIQALKNAALLRQRQANSTGKGKGIL -KHDSFDSPTEEKELDPDDSASQVLSGLSEEIPGPRNVTGSRTVNFT ->YP_010086242.1 coat protein [Entoleuca phenui-like virus 1] -MSSSSRKVTGVGGQGQGSSGGPAQGGSQAPSISGMVDKLGNKIWLTNTSTSEDLTPAKIS -ELVQNVSNDDISKTITAVESSTTTARDALEFSIFDFQGFDPLMVWRVLRACQSYYKDSDE -NLLSDIRFSVAAVLYMGNLQTKALTRRAQEGRTKIEYLVKKYNIRTGSSGAGLEAETLTF -PRIAAAAPVFAVKLANQLPPSAVSIAFMGREVPSPMRLMPFASLCSPQMDTELRKFLLQA -CNAHASDMGLAYERGRCKKAKKEFVANPKEMAEDQWAFIEVASDSPVPEESMKRSTLLEL -NLSQYYKQLAEVVRNYRSIMEGSEKSTVKVMSQSEFDTKLSEFISSRAMDTQ ->YP_010086188.1 ORF3 protein [Anopheles triannulatus orthophasmavirus] -MLCRVNQHIDIDTWPAVNLVCNDASKQKKDFAAMSTDLLGRNNQVALKVLEEMTKNHSDN -ADLHGFLCFALSTKNPSVTPGLRNALFVGNLYLLRSFYTSSIVTKT ->YP_010086186.1 putative NSs protein [Anopheles triannulatus orthophasmavirus] -MYQNLKLSLFSHSGKLYYDITSIEEAISPFSYTECICRELYAPHTVITPNSDYRVYVLSP -LKVSYIESGHITNIESIKEIGTRVALAYNSNRSRVFHTILGCVRDHVVTGKERYECPGFS -FSN ->YP_010086052.1 PAS-rich protein [Penicillium brevicompactum tetramycovirus 1] -MSSHVGKIASFLSTADLSRVRTLNNEDVQLVVRCLAAGVPPKAFAETLGSYDPNKEYDVL -PSAGPVPTRKILAYSFLRDRRQYADTYAQSDEWAGRLRGLLDTDPKKAVDEISTVVNRRL -RVKGSPAVADVEVGQVRGSTGSEATGGASDPNYAPLQQAMLKYSAQAGAFKFDAADCGTP -RDRRFVVTLGARLIVGAQKKSTAIMAARLCRVLGRHDRVVSPYVGHVDEEEDHEWDEEIP -ADVADGKPVRTADRAKSPTTTRSATPTSPKGGAAGAAGGK ->YP_010086051.1 methyltransferase [Penicillium brevicompactum tetramycovirus 1] -MPRYELRKGSQGELPPPSPNVGSRPFSGGSRTSSSRSVVSSVRTAERAEGRQGVVPLSLV -DYAEPKAKFVPYSLAADPHQTDKRAQAFLLSSHGEHLRKMERKYAGATRSALRSTSVLRG -SSILILGCGASRHLLTLLLHGPARVVFVDTSAPALAKMSRIFNDAGYSGIVDADFVCEDA -WTFVDKCEVDEFDVVMATKCLGQVFKVDPESRSVYGLLNKLSDVVPADGIVLVDHHEAFA -RAEHGRSVALEAGTEHFDAATIGGRFDDDVCYSSDVTHHAFDKVLTWTSNSNKSSVQQWT -QFIYRKQLPRRVTRPVAIDPYAVPVPTPFPSPPDVPYDDLVDKINPRPFTGVKRIVTQSD -HGRLDPDTMMPKFDGVPGVLIIDGQVGVFIAHSKRVVFRLPKVFTQKMVLVAELLRCRAS -GSLLAVLGVICVANAECDPLDYGALAAIRHLIAPLHSVGIFSTGPGMCGRIDGADHLVFG -RLGSLEVAVPVDGLNFTINGRNGHFVKPVEKNTLDIRSDEMYESLTSAWEVGGFEGSPIP -QPVNDKWPPGTVIEMSPSARVDTVFSFVRVRPDKAFSAPIGRLVADITAARCVNKLFGPG -GVKEMVRLVSKW ->YP_010086045.1 hypothetical protein KM555_s8gp1 [Fusarium redolens polymycovirus 1] -MSDRDVTVCCATKSGNGGATTSWGRVCCSAEEVFSCCSGGECRSGHDGQSPLRIRRDAEL -GRDCRMSGRLGVVSCDRVACHDVSCGPAGSPSIRDSGARWGGDIRGGCRNVVCAPGVVAA -IPRSTCCVRGPLSSDSCGVRRRGPEFIHQFHTLRRSQAPGAGADRERRGGSWLKLISTPG -ETGSIVSLSSQFFLGVVPVVDDGIERELRGREGGRAN ->YP_010086044.1 hypothetical protein KM555_s7gp2 [Fusarium redolens polymycovirus 1] -MQHAGWLSSVQQLTLGCSLGLSFRALLGFSCVNI ->YP_010086043.1 hypothetical protein KM555_s7gp1 [Fusarium redolens polymycovirus 1] -MSGKSFVIQTECEGVGRRGLVSASVMEFYFIIGVVEDVCQEVATRLACLEAEFKESGAVA -ACPGGWACAGVRLVVDPASVQVRAVSTDVSLDGSLRTLRCKARAIVAIAPSDQTDRIGPI -FDAAVQVAQRELGVLGVVGLARLAVSWHGMAEQVRVLKPGEGSEKDT ->YP_010086041.1 methyltransferase [Fusarium redolens polymycovirus 1] -MRQYAHRSVRGARPPSSSVASWRSTARERPPSIRSGSSFSIALSGARSVSTVKANTESVE -HHAPLEIYEYGDPLAEYLSTPVVDHSDETSTTALRYLTAAQLESLRNTERSASITLLSVI -KSLVPVNGSHVLILACGSGSFSRGVAKLGPASLTLVDLDRTAVHRAVKSIEACGQDAAVS -VYPEVSGAWEFVGDSDLKYDLVVCTHSVGQIVKSSPDSLQLFVDDVASVLAPGGVLVMDE -HLGFTDVDGPAPGDLQDTVDRFIATGLGKFHDDVNYTLPRAVKGCTRRAEWTTPGKPHPM -QRWQYFAYERRDDDNDSDESHVVAPCDFSRLGPLPVCGSVSDRSIFDMCYPSAARGRKVP -LARDDQQSTHPTKMMPKLDGSPVVVSFEDDVCTFFGSTEGGTFRLPMAFDQPVMAIGELC -TTSIGNKLIFITGVVDIGGACVDPMSETIMSGFRQLIAPLMTVGIVPNMPSLLPHVTHDR -LNLPRAPNGQSVPVDGINVRMGDRWGKFFKASSTLSVDVTSVSWQEFRKQAEGTLTLLRP -FGTTELPAVSVPDGVADSDVIEVGVRVAQKRPQPVLLRRRRDKTASDGLGKFVVFLSGVQ -RMGALGSVVKNTQELVAYLNTG ->YP_010086039.1 hypothetical protein KM555_s6gp1 [Fusarium redolens polymycovirus 1] -MAANARSAMHISCAKRQMMSLSPLSVDESGVLQLHHDEEGHVDVVSGELSVPFRFARSTA -SGDPVAGLAWFSDVVVVLREQDSVAGGLVFRDNVPKPVRVYFPHIRQGVDFSVVWDNANQ -PNQNPRYCDSKGLCSSPDPYRVIAVSGALQCFG ->YP_010086038.1 hypothetical protein KM555_s5gp1 [Fusarium redolens polymycovirus 1] -MASTTSSVQEWAFSVVDERTRVIPERHVVLPHGERAILPTPAPGSSVTTAQHRTPSEIRA -DHAGLTEAVRRVSLQGESRRTTDVGLRGAAHSRVSRASVRPAATEEGASAVSSRRSENVG -RTGESVTTLPVYRSAEELASSNIPQRGDANVLWRDQTVRGDLESPVHSGATGIPRVASTA -TNQQEGSYGPENVLDFQVPPTYVGVPGAAVGNTGGGGSEPTVVVERPRGRRSVRGTRRAT -DSVGVLTSALAALPEGSSFTISVAEEVAPDGTARSQASVSVTASSRASGSTSSRRVREVG -DGHEHHHRRHKRDRRDSGVTFAEWVAGRRK ->YP_010086010.1 VP5 [Kundal virus] -MCSSQAAVYFFSLPPSCSIELTVYDDNGKELKDYQILSFCTSGNIQVNGKKAGPAKDFSD -SMSVIKSGVLTVIERVTNQLCEEGNSEALSNVLRHINVNWPPTREAEFRQIWRDLTTQNM -GLFSKLDVLETKTDWVATTVAATWKSVKILESALTQKGILGPVVDEHGTPMILESCDTGH -NYTDDGMISTLAYGPLDTKILRYQNNKVVASKESKRILGLAHSQSPGFAHSIPIVTKEGL -LEDVRALPPLNPYHPDEVRLTTQFIDSGLSSGDETVTDSEDIKSVHEEESAPEKTIQTVV -NEFEKNVSQLPVEVKEKIVKVCNENHVPPPPGLSFMSEPISELIGDDKKSEVQHPINLQQ -SNQMMSRIDQAVTSKMPEELKGTKKAIHVTDSGLKVVTRPNYKQERQSITMEPYHSHGND -AERAMAPFGYMRNVPQVHAPDIHMGPPLNQVCNLFEETSSEGENRFPENLYRWGLSVDHL -EKRTCAARHFLSNGDGSRIECVYEYHMPWSSESYSLIVDSFRSPNGGCAMFGRALPKLQF -ALGFKEPVGRFLMARTKKFLDKDLPPDVYFNVPWETVCDARGPRDLHYEVESILLSSYDQ -VNKKLRMDFSMRKCIPEEDLITRLESPQAQEIALSTELKPGLQAPTTLTRMIRRTNADIW -ANMIDALCRESSLGVATEGFGFSAVKKYVEKLSGVDAKIAYSLLTWKRRAVNIIPKISAQ -AIGVRNGILFDPINPKPFGLMKLNACKYYDQAFLCPECGCAYKTISERRVCAVMDFVLHK -ATALETGYVISTEPKVYLGKIPFSVFSVTPHVGGWKEDWASYSFVSTCDGLARIPTRYGR -RR ->YP_010086006.1 VP10 [Kundal virus] -MSKLVLVNDRFLEIASQINISFQKVKPLSQFKDFPMQVYVNNLLYTTEAELPILKKQRNS -NAVKFIYTNDEVDYSDFKSMCTDRELYDQLDENGLLESLHIKLRNEFGLKDEDHPITFLN -ESELDKGMSPVGQVKTEFGSLQKIMAGMNLHDSHQIQKVKEWTSDDITKAKLWTGGTVHK -FQNFMNAQTVTIDEVSRLILNSGLIAVYKGNEVNVYPTTVDPSYVPGARSEIKSLSKTEY -KRVCDEAQHHQLDWIMTSPISTVSIKNDDEIVNVTGIWAIPAYVVNGFYLHFKSHQVSEF -SLDLGPPEDDESEDEDFFIAQDEERKSDVVESKEGVNASKNQSQIVHDVKSQDEMDVEES -GKKEDFPEINLKFDSDDEDTGRGFQSTQPLTPADPKPIRHRRERRKSDVKRSYTASFVKA -RDSSPDTTTPVLSPTYVTLPPDETKNLMTKELVMSPAVSALPGVDSHTKAIASTLEKYSN -TYKPSDRRPSTTRLSGDRRGLGSGGGRMPQTVSPSRFLNHTQDRVLKTSVARENISEKDI -ELLKILAPMVPLVTDPRLYEVIQGTIINILTDRLQ ->YP_010086003.1 VP11 [Kundal virus] -MPVSAIVGSSLSTASIIFNYPRTTINGQVKSARVIAKPLIPFILAIDIDQIKGWSLFTSW -AEKNLGRLDRSDEWQQFLDINIKVTTASVEGMVLRCNAGSIDLGTFSAPSKPGERSVFNI -VGSSAIAHPLSKYLVDNLVEWCKHGPSASVDWLSASEFDLGIFDIECRVPLKIVKNTTEK -WNSLLDYWRDLADSRMNAKPDELEAYRSNMIGSLILTCQGMQISPQMATLIEAQGGSGPM -LLAVVGRMRDEVAPTINLRDAGDKKLIPSWRNFANRTGSVNYVMSLNVRIPGATSVTYDD -VEIM ->YP_010086002.1 VP7 [Kundal virus] -MASITNCTDLGFRSYSWTIEQLSKETQFTTVKITPPEESYFKVIPLDVSDILCYTVNQNK -VTQEKSINRILDCEPQSDSTIIIKGLGNQDLYTLVFRNEGIIAYGYIAAINLESFSNVNI -CEGMAPIVSLEARYSTGKTGNFLSHFCLSKRDNQHMVPDNLLLFFPNSAKQWKMIEARQH -SFDTKLGVYFLSSSFSDISSLISLTFPIKRQILITARYGSHFVSVLHTIKPFTVSEGLTR -LPYGHIKYIIPICRRGQINQAKTLVELLKNERLKNIFDLELVRKVGKDLSIEDREIDGII -KTMNPVGELLNRVSQKYQRMRREIDDGARLLQLVDSTPEQTLQAIFRELMSLRHQYESLL -PQVSSNKSQIQSAGDIYKELQRKMSQVENRSLTNRTEVVKLTSDLLMIGAHCEGNINLAV -NKFESHLNNEFSDLANEVKRLDANLNLFRTQLSGSREEMENVFMTSVSSLTSKQTVFEEE -IREVVTSSTTKLNEINKRVNQQIGEIRAVCTSIANQPIDFRIFGGSISSGQLDIGPYATF -VYYGRINHKIEANSCLQCVTLKSLMLRERIFTLGSFELVNCYLEKETIVVMLHDGSLPQN -FDDNMPFFYNMPHFIKIQEFQYSPGWLISIYKLGITHHNSAIYHNIISMYLPIIPVSTF ->YP_010085998.1 VP6 [Kundal virus] -MTIDQNDPDVFQTVIETKFIVSCLNFINLSLTEGWVLEHKSNFYLLYPYEAGAVHFPELQ -AIGVQLVQIDGLQDVTNFLSRLGDNPRLILHYVQQNAERLTGVVHQRNANGIYEMMLVKR -SSGQIIKPIQELVSLYSGRQPTERDENEDLAQDLQLADDAEFNLRPDESPSIPVIEGSKD -VDLPLSQEDEISLEGIEEILNRLKGEEGKSGVSLPKELIRKEEGEDIPPVIEKRKIRRIN -RRPPPLDRDLVQLDLAGRDFIQALREAYNEVEQFDDGEINVDNLPNFRRAFNLVETYVID -HTSKFDPYRLPSSNYNQNIVENFEVVIGDTRESLRDSGEGLDILHIPGVEGEYLDDLNLL -EQRQIQEESQQIEFQLGDYGFVIGHDGTLQKIDVHNQKARQLRGELIEPFVENLHFQWRG -NATFIPVRRFLERFFSHPPGVEDGLFSVGRILRDLQTTEISYNTVDSTRRNVPANCLNLD -NMNDTCRHLFLMLRRWVTLARRFQNTALHKNVAMELARVYGGWLPGVQYTIPSNVIRLFR -NGRLLNHINAPACYSRLHMLIDQPLIGVADPRDCVKDFARSEEWIYIDNYLPYILHIEFW -EMFINWFPDCGMEGIQRLSPIFPTQLSDREIWIAARLL ->YP_010087866.1 p28 [Malus domestica virus A] -MASKITMVGDSSDSRSSGPLPAYAVQFLCFLTELFRRIYCSIETPLFVTEEEINTLTVAI -STFSKFFENEKFFFKISTVASNDCIHAEDLDRITRGLDPFSMLMMTGADLVTLMRDIELY -CEFIFAFSCGNLISRMDIIEEQLAYSHSSTIIHRMDECLKRRWVRRAFQGVRMEVSFNPA -VESEIKELIKKFGKNRGSIEFVNALKLVLDNNVMFDVFVRHDRPHARFYNMIFRSISP ->YP_010087865.1 p27 [Malus domestica virus A] -MIKGGGVTGDDTFDVRKLKVGITSKCFEYITKCALECREISTLRVNRVNNMIDNLRFCEK -FFSEKSDLKIEVEDHAAKNFFTNVLNISNDILTNNDEILVKCYEASEVQQFCRDLIVLCT -LYVNKCEDNILSDLEMKYIYNSSPSDITTAQLQQKLVDRMVGKYVFSNNVEMSIDISKPF -LKEFHRYVRGIYFVCRRSVSTYTKNFKDEVIIRVEMVNGIGMNMGLRVTLSN ->YP_010087861.1 p10 [Malus domestica virus A] -MEGLIDCLISSEVELEDFQVVPQESQKVFRVTLFFGDKYKLYYISNNKDFLGTLTIIKEL -HNHNYFLELLRFLPYIKEVWN ->YP_010087859.1 p4 [Malus domestica virus A] -MIDWIICLLVILFVFIVISPSNSGFIRLTKKGI ->YP_010087809.1 hypothetical protein KM744_gp4 [Epiphyllum virus 4] -MTESYSNLRVVIVKEIIPIIIKIPFYFRIISYFISALFYVCTIFLFISLF ->YP_010087760.1 ORFx, partial [Physalis rugose mosaic virus] -IGCFASKDVPYRDYSDELGSSPGSVDVCVSSGHAKSSLELDMPGYVHDCARVRSASLKVL -SVLSEDQGLARTLGRGNVRSYRPPPVRSSKRDLRGWGLQRERSEGLSAA ->YP_010087759.1 ORF1 [Physalis rugose mosaic virus] -MSLIKVFKRTRQANGATGEFQQFYSFPATIPGFTVCVPVVVPVKVASGKNGADLEIVACC -KHCPLEISTKVKFPKSRLAALDWCYPDADSEFSRFLSERFDSVCDDCAKELHKATCVPHG -VNSQYNHLLTEHSDTIFCDVCLTTLQNLRL ->YP_010087633.1 PIPO, partial [Costus stripe mosaic virus] -KRGILCKSRRSALARIELIGKMFVQALVHTIIHASHKACKSNNIENYQRNLRAVSWKAYG -YDEQYSQEFCTCLQKKGK ->YP_010087630.1 putative P7 protein [Strawberry cytorhabdovirus 1] -MSPKEGLDYCLSRNFEWNDPWRRYDFDSGEGECFFSPGQDKSFDREKGLVAIVINYEERY -GFDCKKPTRAPGQL ->YP_010087629.1 putative P6 protein [Strawberry cytorhabdovirus 1] -MPSVRDIANAVLGTTDEGPGDISLDLPEVSSDTYQLIIFCLLLGKLILVVFLLWLRKKNA -SLTARLKWK ->YP_010087627.1 putative M protein [Strawberry cytorhabdovirus 1] -MLKLKNSLSSSKAADAEQPPSSEKGSSDWVCVSAYIKAFEVEIGNQRASKSFEAQSLEHS -LIALIESAAGGDTGTWVSSVLTFFLKQGRWGKCVDCRTSPYMGPRTKRVSYLLDRVNVLF -QPPSPKFGKVTISAVGKKTVIDGTPVRATIRMDIVTKAWDQETVDKNTDSHPEWFCGPMA ->YP_010087625.1 putative P protein [Strawberry cytorhabdovirus 1] -MAQYELDPIGEDFSDIGDVLGDLNYANLNSDDPPEVLIQVPVDTDVPLRAGEAKDQKQKA -KVTSEAGQGSGSKSVSAPHYSEALLDKDFDIQLEGDEAVACLHELCDEIGIVATKQMENQ -VFRLSKKEITTRSSIKWFVRGYNNCLGSHILSALRENMADMRTEIKRLQSGSASMIRGSD -ALTAASGQLLTRIDDVKDDIRERLHASLVNVEETVADTLNKLKTMEQSERVKEELGTLAA -ETVQVTPKLSPADTIGRTVEKIETTVPTVVPPLTVVGQHSKASVADAESPIKVRRALMSR -VGFTASFSNNLSESVLLEAIPPSLLSEIKGVTISPRVKAAIKKIIIGNISKLTAK ->YP_010087624.1 putative P' protein [Strawberry cytorhabdovirus 1] -MQEFLIEAGSYLLSLSSRVFYTIWLSTNLTLSEKIFLTLVMSWAILTTPISIVMILLRFL -FRFR ->YP_010087619.1 putative long-distance movement protein [Patrinia mild mottle virus] -MTAIINVYPNNQGEQQRGTPRATGSRTERGAGRIRTGENRSRPRGQQDRSHPAASRQGAT -KPSVQAATKASNKVHRGTSVHPSARSSVCPPRPRPLPRHRDPMGPRSDPPEQQRRVRQSD -LAPEQWAAIRPLSTALLNTVGGFGGGQAKVLQRCLRAIRYGHSEWRKRIQPIPNVGAGDK -SSGTQLPPTGSDSVPSQEGSPPQPPADQTDVKGPVSTGHNKSEVCEKCRGSTHPNVY ->YP_010087354.1 triple gene block protein 3 [Cnidium virus X] -MHWQDAVIIISVLVLLISLYYGSHSRRDGCFIHIDGATASASNCSPEQLSAISHGFLKPI -SHACTERSSRGWFGQQRCC ->YP_010087173.1 short hydrophobic protein [Alston virus] -MLSDPESQNSNENTKKAGNFIICLLFIFFLLITFIVPAIRYFLS ->YP_010088109.1 P1 [Platycodon mild mottle virus] -MAAVTMLFGSIPTTFGMSKASPKPACGTTRSSSLPANLVAHQVVAHITVPLYDFNAKKCF -EDLEEACAKRVSLMPEGRVVSTKKAYVWKEYSAQQLRNKKRRQAAVERAFAKGSSNTKEM -HVDSAPPCLNPGTTESFKSPYWRRSVKTQKKVVKRRIVKLDDNQLSNLIKTVMKACKQAD -KPIYVFERNKRPNVFTHPLVMGRKHCRIHLQHFENKLKRTDLHLSNFARQYVLPHACIQF -HELRRLKFRPGDCGLIVDAASMPLLTSEYDDKFFILRGKFLEQYIDARETLESWELELLE -QY ->YP_010087149.1 PIPO, partial [Platycodon mild mottle virus] -KLSARFAGSLGRIKFVYKTFLNYSIQATAKRVFRLVSIRRLERFNRQSEHIIECIIGVPR -SVHKSKSCKYKEARCE ->YP_010088104.1 NIa-VPg [Passiflora edulis symptomless virus] -GRKKNDIRYRRDKRNEAYFMHEDPSVIADTFGDAYADRRGRSRKGPQFTDRGRKNHPFKN -FYDFEPSMFDIVKFFDPVSKHVVERDPKSLNMEEVGMTFDERGAGGSAWGQQKPEKIIAY -FMRKGDPTGFKVEMTEHLDTQVSKKNTLLPVGFPGHKGEYRQTGVVAQASPDEFMHLKDT -EEVQFE ->YP_010088103.1 6K2 [Passiflora edulis symptomless virus] -GDVSGQIETQILLKKPFVLAKLIVPCLIALAAAGGWYLISRLRSLGEKVDFENNRSVGVD -FE ->YP_010088101.1 6K1 [Passiflora edulis symptomless virus] -SSKRTSGQVPAKFMALAAIVTALFNNDLSDQWYSCMVKFKSLMSTMFDEYVVFE ->YP_010086810.1 putative protein P31 [Pistachio ampelovirus A] -MNPFSSSIYFARYGYSLYDRVPKYDQHNELTNPTEAVRASKAQLVHAYEKVEWIIGALRS -VMNLRSKYQAANLINQLHSSLRTVILSLSPEEVYLHRLWTVMQVFHELKFNRDMIESLLK -VIVVEFSVKDIVDIYSELSSYLALLANFSTDIFVVSPLMRFLSQIYAFTNRDQRKGLLKQ -ILKCNGYVAAHVNEEMLERTLVLVDTRIMNLFREFMETGAMILRPRDVKFLIEEHIVNDT -NVGDFYTNPLTLNTDRTFRVLKQDIF ->YP_010086807.1 putative protein P24 [Pistachio ampelovirus A] -MDRLPSALLIRAESGFLSLKTIYRLSDRTEDCYEDTDFICDGKISVLSSILKYSAMCKGK -TKLGYTSNLMEKFYTLIVDEEVGKHLTKSWIDYKVNVIVAVGIQEVSSDDKKIVVVYIKE -PRNYFATCNTQPVAGAENITTLSHDTRQGSDEVTSALLGAKTNKNVLKVTRINVDNESII -SSLSETLDELRKFREKDKGKDILDAAIELNVT ->YP_010086806.1 putative protein P31 [Pistachio ampelovirus A] -MALTPDRARINDRRGNSVSPLIVITNVINLLILVLKVYISIASGSKTVLVTAYDSLIDLM -SGGISYALITRYVYNNHRRKTLILGTGPVHALNSICSSIMFIVGCVFFLFLSLQSIVYKT -GLDGLTSKDKVWIISLIGTLAIVVVKLSLYLAMCTSRLEDVRLMAQDHLVDVFVNSSCVV -VIASYGEISRILDGVVASIMAIAVMLLWIITLFKNLNKIIVASEILESSDTLEVRKIEYE -GYNVIVNSQPGQYDSSTGNIILERVTLDGSFTFGVANTR ->YP_010086801.1 putative protein P33 [Pistachio ampelovirus A] -MMGQVGVLKPFFGHALLTGAWWFRGRCGATWVGGRDRTIAMCVFVFLLFVFLFLFLFLCV -FRVFKMSSFLSGFVFFCLLLLFSVGLEVENRLDVELACVINVGTLVVKPQQTLTVFGNGR -CADVVNDGNRTLFEWNVVEDMIYYDISLVDACTFDAIVYLNAVESYYVKCGCSSISKIVS -DCTKFRTNEYCCLNEYGNAKTCKIDGDYYKKMTKCSKGVYKYAYDDETSLHTCTIHDNVK -VVIFSNHGTESPVRQNSYVSAGILKQSTYAIIRCFVYLCNTWFLLYNCC ->YP_010086809.1 putative protein P25 [Pistachio ampelovirus A] -MDNFLTKDVFFLPSKSVLSYEVTYAFARLNVTITANPECVDEWLDNVKNKAVVINKSLCL -GLDTEWCLHDRKRRRLAIVQLCFEDTVLIYQLSHAKVLSTSFVKMLESNEVEFTGVEVNE -DLRKLRDDYGVQFKGRTIDTRDLAARTCCDDRYLKSGLKTLTEQFMGTHLSKDINVTLSN -WEKHMLSFEQIKYAAIDAYVSYKCKEAILASHLSIPID ->YP_010086808.1 putative protein P17 [Pistachio ampelovirus A] -MSLKHMGNIISDYERDYLELNRRRGNYGEPTIVNYNGADYGGYRRLDSSNYHNYDYFDPR -RERDNKLLEVWNDHATELSQMKTRETRYEYERRMNIINEYDLELKKLKKEHEEKLKKAYD -KHAERKRKSFIYRNLYR ->YP_010086237.1 N [Blacklegged tick phlebovirus 3] -MMTQVKTEEGLTKALSATMEKAEAAIIDTNFVSARTYLTYVQDCHDIWVKRGNPSVPDIN -VWLANTRILIPEETSSDEEGAEVVEVPPAPARVATPKRKAMDQDQEAGPSGVPPQKRAAT -LEEVLRVLEIAPETPPGPDEVNWRQALDTLDMEGKNIHVLQELVQLFQFRGFNAGKLAKH -IMGKEPCGYFKKVVGGVATPDKTMDILAMVIIGMSRGSKIEKIRKGLSEDGLTAVNSLIT -AYGVVSTTRESLGVTFPRVVAVFPSVAMDIAAQLTMGPVALMTMNSRSPGYPRCLMCSGL -PSLIPTTTAEYAEVVLNAYLLYQLEVSLILNPDFKKQSREEQKRTVEGFARAAMQSSYCS -EEQRIRQMLKHELVQVEVTGLRAPDTNLGRALLNAAAEYRRY ->YP_010086233.1 nonstructural protein [Yongjia Tick Virus 1] -MRTETMMDKGFISQLDPLLCSRLGDLLKQLRATEVGRRSAWATPTRQTNNDENEVCPLPP -MCTPLVYPSVLTVQLKVWPLEGPLVSSPPHLCLCVCVGFVCG ->YP_010085997.1 polyprotein, partial [Schefflera ringspot virus] -YIDDILVFSETPEEHVKHLKVLFRIVKENGLVLSPTKMKIGVKQIEFLGAVITNGCLSLQ -ENILKKIAAFGPEQYQTKKDLRSWLGLVNYARIYIPNLGRILGPLYSKTSPQGEARMNLE -DWKIVHKVQQAIQTLPKLEVPPPGSTIVIESDGCMDGWGAVCKWKSNAKDPIKTERICAY -ASGSY ->YP_010085121.1 putative protease [Shuangao chryso-like virus 1] -MVVNTSHSEYMNNRDKRESHRLKLAAFDNDDIIKEDECENVAAGFGTQDSKIGGFSRFKM -PLSERLSTKSSVGLDRLNAMRKDNSAEGIFDPHDLDYLLWHKEAKEVASGVHFAQMLNFE -GITGTLNVEFSAVAEDVKNTANYLTCDYTVEYPVFRNPLDRVRVLSNANTKEGPKTGMVR -LVSQATHAVGLSEAVAGKGVGVEMSLMSGKNVTKMHTIKSKPVDMRQMALKAMLLKLQLT -LLYACEEGKVEVTARKLSVNVPVLKTSEALELFPKNKIIVDVTKFSDEQRNLLLVLCSSW -PSQKLFNDSYCDIYSLVELEPEAYAFYVTCGESIEIKTEGYTPSPRELWSQCVQLFMNMG -GFDDLVCVVRDNRGFAPILTYNAGAANNVISVISAYPSSTCYHGLSVSTSPERRYIAPTL -LESSSLVMLVDNIMLSVYLNNVLYLAEELGLGSTTLYPRPDARNNSKIADVLYSHGLKGN -SPHNCLMDKVCPWLAKMDRFQNSGTHVLLETVNAMRRGGECGLIYCPLNYAVSTVQDVCT -ASLMRGLMPVDLSTMMGFRSNVSKTWEVMRLLNWYKAFSGTSTPCYGTSLFGAKIKGDEL -QVLRHMIHMKGDFRLNRVMAVTAYHPQSAASGTLQQTFFNNPGYISSEQAKVQESYPVQY -KPNSFSINAFGDDGEAGKTTGGGGKSSAPVGGLPSPVPGPAPGGVVPKPGGAPGQLEVVP -PRGELQVVDIPKVTESPVPYVPGDCGVDALVSLIPGATKAESLARLGLGISDNCWLTADE -LAKVAASYDHDLIVVKDKGAPEYYHTGASLRPNRIVLRQAGGHFTPCREAGGSTLQPTLV -VEVEPLRNDSASKSSMRSSILIGQETRGIVPQVAGPVTSTPSGSGKSSAESIIAVVGATS -VK ->YP_010085120.1 hypothetical protein KM538_s4gp1 [Shuangao chryso-like virus 1] -MEVRKYFLENKYTRMGDIIGEGKPADGSPKYSGMKLEDVTPSMLVVTKPVKSNVIGKLGK -DKLSNLQIRSLHSIGADKEGTAPRAGEVYQAVREIGLSYASARSLVSIGQITSTPGKLVV -CRKTDYYESIHHLGAHFFSAKPAKLRGADPFEYKIDYCIFGGLVEESCDGLGSGQYSVDA -SLEILAPIDKNRKREKTKWVDWNDNPTRYYNAKCQEVISDSSLKVEDLMTALALPRENIN -IRNDEMAELKAYLKLSPTACLTSRFMVLYLIMIRLWHNNRKSFKFESKNLKIQGVPFSAQ -GLNTCLKAPMGSTVINVVNMTEKEQVIMARSAMGYANGDIDINSFDLYLGGFDVQPEIDE -KGVIYLLGAETTLNYSDNIHIDEIYETMVKYAMKMQACSEMEMGFNLAGNLVFADGLPSF -SVPRPQGYVDIVASALSSVNNSGYVPPYAIDELNMLGFFVLSRQQLLMIHDVLMYAEGPK -GDNAVPILRIPAETRQLIYHKYKESRFSDAFGITSVVDPLLVQTQTQVERVRSVAFPTIA -WASINHDQLIKNTLSEFFWRGQWVDLCDLRHLDEQSQKKVLSTYYANGMLSEPRTIGARV -KEIKGVSVHVRQMRVPSGPVCTLLVSVECIPRCRKESPHQFTKVPFSKPTALDEDDPIDV -FFMETPVAVLGEKGDGESEGSSTPDPPSGGEEKQGEAELLVAESKTSIDAGVATVSDTRL -RVVSKSGVDALLVSLSTSIGQYLNRKYCAEDSIISRVKHLLYSWVEDGVGIRCPLRTTLG -MGGIRTKEAKNWQTVVEVIYPYLMQLDEKRVISKHDGIRMVAHINSIRKYGAAKNRFDLV -ALPLYRRESALFTCWISWLNYMGITVTYKGERMPVNGTFKDTNPEPLFDHIMDMTVWLKF -PLATELMQTWEWTPQPIVVKHNLDKTFLAHMKKVMERKCCMCMC ->YP_010085119.1 hypothetical protein KM538_s3gp1 [Shuangao chryso-like virus 1] -MAPKVDPVNVRWSGSSDEMVPQDWNVVHPVTKQKITVNLSAPSTMTKLEFFELFSKTGTT -DEFVTIGDDEMSVLRAMSSLRNCRLSNIARQDYINNRARRVVSVPNSGEYAGASPASIPR -SLSASEIMDLDPDHDEGTGPSLVAHGRHINENMVMSNFWGEKDSYVYKMCLEGGFRVTLR -SQEAFSDEELMQAREQIRLVLTDPLLEEELVMQKGMKDTTLELPSTQSALKDGYKLLEWL -KIDKELDRADDHKVVVKEVVEGIPPPYPLQLLQEFPSPTSTIRYDYEFSPRIREPWMAEC -HAGVSPSFDRAHFSRVVGPVVPTIRYPDLQGMSLGDNQVTIHGKKFDISFDCVSGVVDKV -RPLKDKYQPEEGRTKVRVDGVLMTTNYAQAKKAGLKSKYVMGRNRRLAIEFGHTHMVPIL -YTILLCGSVLAPARGQFICGDDKHGTLWTLPDQEDCKPAEDRGLEMELRVYNKLYSYNMT -VYRCYTTTRSDTTIYGFFGPKSHLGYTVSQSAVSLADCSNMIRTKRIGGSTLLRAGDRSW -STSVQPDVQYTWCCAETVTKTTNYHLEEVLARVHLINGYAVIVSSDSDVSHCSYNSGNCS -SKTSTYVWEKRDVSCDIQKIGAGKFTIMPDGHAISYDLQISLMIGEAVEYCGSTYNMTEQ -GILVQEVSRSRRDVDAAEKSYVLKAASGYARKMSMAVYYSLCNFERMWINYMSDMARSNP -TRFARTYLNRHDIAAKFVGEALLVWECKQVEVVARYKGHRINGQCYDLMPIEYEIDRVKM -TGFLDTTTNEISPISSTHDCNSVSGYIVYEGEWRKYVNDTHYDIVMAVQKIPSNIGSMDV -REVSFKNNKLHSVYGPAGSQYREFLVNDLTALSAKHILGHDVKCDEVISTRISGMVHDTV -SGIREALFWPIKMIVLSIVLLIVGYLLIVKCACGDCWRRTKCRYGKVFFSQRGEVIEIQD ->YP_010085117.1 hypothetical protein KM537_s4gp1 [Fusarium graminearum dsRNA mycovirus 2] -MTTTFWKSTESTDSNSDLAEQRAVHSTEYAVCTIYQAWGLPSTPYSLGGKPDQWEFTTKD -EGSGPSKLTGNVVDGFGTLFSDRLFNLPSKREVTFFLHSDTAIEMRIINSNTFDRLENSC -ICVEVCIVSRASPVQKHRPVVLHFWVYDEFSGGQIKVVLVETAYDYSPFLRIDRSGIGAA -IRSITSIHTLGQTGTLNGSNVFSVVPWDANKLQSCSVKDLRRLFVSTSNGKTAKLKTPLD -IKQAAEYPLLIYLGTSNDHENATRMVLSGGAKTNPIRYVRYWHNYSLEPEDARTRSAVMT -ANDPSAMLPSNVLVLATNGGKQVTATCVAVRVRADGRYLYSTMRRNCLPYTDQVKFTSIV -PSAHNLIMERSFAVDNWGSIMRVNTNNRPVVVHTAVTEVIRNAALGTTDTTVYVRHVLDA -FGTICSLMDRTAGLSYEIPQDKNFCQIRWKHDALALKGTLFIASAVNPGTCLLGVLGSWQ -NWAGPGSGGGQIPQSQEYWYPIDGRGGKDCYGELMYLDGGFSLLGRYLYQDVINSGQYLD -LTSTLVPKALRDDGLITDNLRVYVGPHEGDKVNFMLDIEYTDLPGGDSKTPTAIGYCAVQ -QGESFSSGLSTMHATTLDGYGLITDPKGSAKLDQTLQQRVGKHRQLSKRYTSSNLWEDLA -VLQTLALSSNSVQLWAKGADNDAAFLVAATPVNSSSCPTWNSIKHEGAGLVANGTLLDIG -GELPKFAALAKRHKVSSEHNPCIESALFAIEAGIWRKTPDLATVRLSTTSLAEGLADLLT -RLYSQDVLIKGDDGAEWVEGITETFDIHVISPDHPAREALRIVTDRVIAASKGAN ->YP_010085116.1 hypothetical protein KM537_s5gp1 [Fusarium graminearum dsRNA mycovirus 2] -MYSHSSSSAQRAAVWARQQQTVAIMAHQSNPIDVTLGTPRHSMVAQSQNAVSSGDSYAPQ -WAERRAPSGPGYDLFNRFEMIADRELERAGLAAPRTTHRSEDANSSASSTTSARHSLFSV -QPQSTAGDAMSAGAESDDNDDHDYESDDSYADDARSAGDDTLCDMRAHTQYQHELHEENL -LDEADDYIHSAALNRATPPLWLADTHPITESAIEVRYDVYYDQYHSVTTPIYPGPGATLH -EDLVLDVGPLFATDRTSQAPIASRTGSLEYQLELQVAAMAAGDTDLVVNMIKLERSSSPA -TPQDKQSDHSEDQIVGVSSRRHPLPDLACPICFEPQRSRRSLAAHRSSSHPKQVCSQAHC -SYSTDVPADFAFHMDEEHPIMGCDSTGCDYTTRSSQLHWTHMVGHLSCTVCGLLSTNLAA -AADHTREHARKWACSLCNEPFEDAIGLNEHNQDVHLTRCSQCDIIVRDKNELLDHCHEAH -PYLRCREVGCAFRTFDPPELAAHHEWHDQYPLSFFVVKLQCPDLGCNHVASSHEMAALHY -NTHLQKPNYACDSCDAWCHSHTALTRHSRMHKQVEENLREQPLLQQAPTTVAGDACKCPH -CAFTSRSKAEWQNHININHRSSQHLALPCSLCDKVFTGTNAVRKRHCHIRDWHEKPHRCS -KCKARFGQSRDLERHKATHEADRPRHQCQVCHETFARLDHLQRHVRRRHT ->YP_010085114.1 hypothetical protein KM537_s2gp1 [Fusarium graminearum dsRNA mycovirus 2] -MSGQLNIRSTAHLEQQAVAPDQYRAGAVAGLCSYSVPTPNITRRVHYNAGVSTVESGAGS -ADKLESFYHHRIPRDVALTSLRAGTIKHDVVTFDVSERGPTQPLTNQIVNVTYKQQYNFI -DVGVSAAIVRTELQFFPVRPPVDNTKLNLLKNALTVHEVVKPLKLQLPKLVAQSHASPGA -QLYSDFEPLRRAIANQAINDDYGMRLSHMARIVLHSFTAQAAGLSHSASDVVSTVDVRTM -FVAETYQMSAAELQQRAFVYVDDDISEEYWAFLAMAMLGINTNVGNTRTVYSRLVSVSEL -RGGQQLYYVMKSGENTPANISQNACASVLNNPEKCLSFYNAYAQSLGLAQEASSVLRQVA -VMPFMFTKNATLPYKARENPKADAYVYLLRETAEDIRLMYNSVETLVYQAPIIAGAVKAG -VAAISMSYRVKNSLNVPSILAAIKEILADPSSCRSTMSAVLCSYGGITADLEWLLPFTEG -VDIAMDACVRAYRDCGWLITTYNDLSCLGAYAPAFSTGVDMRNAQFGYDVASRPYLESLI -ALSTQGLPWTFENESLDGPTLQRAARDVVHWEAVIQWDAYSPGEGVSVAHITPTPVSPKQ -ARPFETEPNAHLSERWQKSKRTLSGNGLKALTLSPPSAAARSEATKTATVAETLRTHTRS -MSVKPNPAPGTKPHSMTWPVQAATSVPSGEVSDSESTTTRVTRANSVASTDDTASAATVV -QTAPPGVTSPPRGRSVTPIGTTVDLSREPTPSLAERLKSPFRHQRVKSRSVSSSRGRATS -DTNMGQMLDRGASESSEIALIRKTKPKDVAELGEHIVTSDGRIELITQLAGRRDYSDVVR -DLLPGGVGTPDVGDWTGTSKNGEQRNITTLMNVNK ->YP_010085113.1 hypothetical protein KM537_s3gp1 [Fusarium graminearum dsRNA mycovirus 2] -MASNPLFDRRGGGEQGVSGNNGSSMGRTVKPAFQGDDFFGIASALEMNPNMGVNDMMLVG -RDKLMSGKQQANPGLVGWQHDMQRNLATDASAWVVRKEIRDLAGLLPDNPNDDTARLETV -VTFTYQVEPVNQRADRSHRRDVAVQYKAPSVGDGTVIQFAKSTKELHYKASAATVSSAAI -GYAQQVNSYSRIGISGDMGLAMEVGRGPDMLGVFTVMWLLQLDVAGGARVELGIDANDMR -VLVPSSVSPLDEADAMKAVPGIRLHSDALDPLAYRLLYTATHRSALGAASPWVNRYRWPT -TPLTVYSGALNPGPYMQAFNGRPAAAQLAGQIVNIANTYDLRDTCSRAYSIAFSLYGDRC -VNRNFRLHCGRQTLFHEISNGQSKAQVPQMCWALGSYQLPMAAKFNGYANAEYMRDLCRS -TITAGLRIRDRDAVRRAVDQIDVHALKTVQQRFEEGQQLTGALPIDYRHYVKHGIRRAWV -VRGILHNLSLGRAVPAAISGSAVKQLRMPSEAELADTDVLAYGKERAESEANAWLINELI -RNGGTSLCQSVDEAIPRSGATAVLHTDCRYYMGMDLQYKIVGFGNDMRIKYNRPLNFVYA -PTPTMVTHQRDDQLREPSALPMTVVDKELADDKADDTVVTPEDILREVMQMRGTRTSTLF -RGKPPTKAPPVRYHDGDVLTVTAARDDVRQSVVQLAQEGGGPGPTSGENLLCGAHAIQMA -LEFAGHPNHITVEQLEDELRTAVLDAPVDDDSELRSANNFTADQLGRAANRHGYNLTVVT -GQGKGAAVAYSATELVGAPHLVVFNFGGNHWEGPVRQGKRHAIRVDGQDAGTPAVEAARA -RSQRGSVFEKTVNRRR ->YP_010085074.1 glycoprotein precursor [Sanxia Water Strider Virus 2] -MALIIVLTLFAALSNAEYLRETYDWYTAGKNNDISGLHNLIQKEPKNMEIKSESCENGGC -RLSLERNFNILTSKGFGINFYVDEMGYVNNTILVQVMDTTFNVTAKYKYTTPACKYFYRN -AFFNYNQQKYANCKSGLGTDDFMSIAEMQAYGQFGVDIEVADRKPTLLDLGGWSEEAGLC -GHWWPTVLKTCFEKALICSLKESFVLFALEPEIVFEMWIRVTVGQNTYDVVYEGNTNEMR -TIEVSEGIYLDINPIGQLVNPINSKAIGAKIHYEKSNKTAKLESQWVYLDSYPDSGQIVS -QGVGKFQLVNLNNIKSTISNKNNLNCDGTIQSCTKNLNRKLRTKQTNTGFSEKKLLDIYS -IKNSDTVEDDLIIIAPNSSLQNLKGGDTCCIKLISPSERFLDSIVNNYEEANKVLMTKMP -ILPSSFKISVSGTPELDSFHFKNLLSVPILATLRTKDLFLPFSNKEFEITNLIISSMTYF -ENAAGSFFEMSFDYSGPPGNIRIDSKDINILTKELYISSSGKYTDTVGFKSKGIMALKPT -ICVGDAAHCVKPAKIINTKTKDGSVDDPMTGEEDSLINQITTMFKLYIANIWFCIFMTII -WLFDIVLSAVLIIIIVKLCGRSTLMLTVLCCSKRGESIETSKICELEKVGTLSSIIRFDH -IVGVKLEKSMTDLSWMINDQMKVLSINMPTKSSLLEPKVKNFYHVGVANYSHEDGAHFLL -PLYGANPWEIGTCDDDNDDSGCNLEEITADTVTRNTNFLLNLWNQVPRVTQFLYIKSTSY -PRHHYDNGCSTYTVALISASGTSSYATILAYSTAYTGINLIEVSKFGNSKIFAVEVVAVA -SYKMNIDVVKNNVRSMIKADENVVISPINKQSIDAIGRFYFLITCPSGCVIYNPLVNKNG -KAEMIKITDSLLKHQIYNDVSVFRSFWKTNKVNILSQENKLSKDIVIRYQNDYNKKFGLD -DVKSDVESFINNKWYRYNLQKISDNTDSNGDITLDDNQLHQLIDPTSDFIMTDDGILDVT -LNGPISMNCHSTGVGARCRHETGNMIAHFYNTRTDINKFGSFKDNGQSYKYEKSDYKVGL -LPRKEPCKGKGYIDSQSRFLCSHDCCMVSTNNWNNYFLVSANKPFVPNRTLESINMWQSN -KDTKLYFKSPYQCMTDTFSQTLDCALEKFSAEFYISVFIVPMFLIIYFIMLICCACVKKS -YRYKKLGNSAKKGRWLIADMADNIMKGKPKFWIRPDNSYEWDDNDIGPYKRTTTGRFRYN -KLKAWSNELD ->YP_010085073.1 nucleocapsid protein [Sanxia Water Strider Virus 2] -MSTTIKSEQFTNVQQVVIPDKWADKLASESEVTYSTNKLEVYQVIFKKLMTRVPVIGSDM -EVDLDTPPAAYAFATYVTSDFYRDQVSKSTGEVKYLLKVGQQEIPVYSTRKSAQKLDDGL -ISVDDATLASIMLQNTVVKQFKEKKSAWAMTPLSRISMTNEGIEALADEMKVSDTTIVKM -LNMSTARKSYQCSDIIGSGYSSDFAVASILRSNFLNDRNKVTMLQKQISDKNIARCVRAA -PIDKDRVAMILSCMSGISNDVDKEEILMKVKNLQSIGVKRRAGLFEEHTKAHSVENFPTE -AETLSYLEARGIQDRQNLKKIVKEVKLNKALTKSTKEQILNKIAEKLA ->YP_010085059.1 nonstructural protein [Soybean vein necrosis virus] -MQSLITLGRELGSQKNQKCVIDTYWLKTKFIDSSRFGSNYIQMFSDEHVQSGFRFNEPVE -ESYIEDPDTIVDMSSDEVFPGIGIRIIFDKEKVVFKIDHKSVNRLGVKHKGHLKLLEFEN -PNIIEGTVDKTLVSSIPGICAEDITVDDELTGLLPSMNITHMKEDSYKITKSNNCFFGKR -NMMRRGWIGTGNVTSIHPVGYSMPASTNRCIGTLALKSLESTAKKGIRSTDISHAEIDIN -GLCNFHLFTSCKGDLGDKAWMKTLKFFHDDHERVFSIYIRTTSDIDNNISNILLIFKVLR -FKRFATPKVVVSQDDDSAAEINLSEGSASGCFDLRTKSVVIVQSLMRIHNEFALSLSKFL -KKKVIVYTLRDSLSFTKKVAEIDSRFLNYLEDSDGNIYLLSRTFQESLPLNRDSLSYFFD -LQTFGWAANHAAGDLATYFE ->YP_010085058.1 ORF6 [Air potato virus 1] -MTTSTIYLFSDIKVLESEGVSFGCIIDNVAVVVEFGNEQVSTYIRSMQGIDGVQERLRPL -LADLPTLNFWLTNSEKVLSVATSERVVSNFRRLETFSGLKNPHKGASNVEDLYGHLPPPA -IHGFIKRFASSGAKCGVIYMAGYTVVDAISSHDPEVNFRSSVAIGKIASQLIVHEEDCDP -ALKEPTVKWFRVLEGNLTAPSSATRVFNLFRDYILYN ->YP_010085054.1 ORF2 [Air potato virus 1] -MKVDDSVNTGVSFSIIVFTFFLLIVIAATVVFCLYFLFTKRRREQSGVERPTF ->YP_010085050.1 hypothetical protein KM520_gp5 [Wenling thamnaconus septentrionalis filovirus] -MITNLITTSLRKKKRKEKRKTKPIGGNMASKPVRGGGSGGGSGHRPFHPNQLPQYKARSR -ICYGFLSGTCKRGISCRYQHDLKCGGEREQLLFSRMKVDQLLLANSKGRAPQMVKDNLLS -GVLNEIKKRVPKSEDRCTLGDSDLKTMLNGFEKVNAGLNNPQRLESWDPSGTVDLGRLSL -LGNPQRLGWRLGHLKALTCAQLVILLDFLVKALTDMEEEGSEGEGSLWGARHEHSSRGCR -YYKSGMCFKMGRCLYSHNPYKLGASDLIRGIAIGLQSLDLGSQPLCEDSGMPSLPQDRKA -RKDMARALISESAINPRLPKESLLTMAQLIWEWDYVPPSESGPTPPSSGDVPGEVRDMLL -TLKALEGPKGVAAALTRYSQEHRGRLLISMEKKM ->YP_010085049.1 putative glycoprotein [Wenling thamnaconus septentrionalis filovirus] -MLDLIIELMRSVGVFRIRNLNRDPLGRPSRSMSLLLLFIIFMGSGTCIEILVNKEGATRQ -TMDFKADLKSAVIFPRWGIIMKPQRELVLSNGHILIPAILDLGHVTPVLGECGGPVYGNI -TRPFFEKTSRLVHRSEAVLKDLSKITTGIVEPHGARRSRRSIMAALAIGKTLASLVTGGW -KIYNSFSRGRELNQMKVQMEEMMSRMNLNLNMLNDNTKKLKKELYFFEEEFEKQMFKLSY -KVSTMHCGLQRVSTELQASRWVTKWEPIVDSLMVQPINNRQAGSLFTVDALSGLFHYLEE -RFGSLNPYSACPECTLATMTVSHVGGGYNTLALMIELPNLVQKESFIVMEPSYFPVFVGD -NEPFMSQMERTVVVKDGKGFTLDTQNCDGERSTMICDIASVNLRELGPVKDWDLDSELVM -RRIDLSREYWLAYPLYDSIIVFTAEEGTCRIRRLSGQYMTLPSGLQQLNGADGGNLECQS -YSIAIPQGAHTTPLSIYGNPPSIRSPDGHEVELISSGILSGHRLTGLLNDDDTNVLYDLY -MSDDKKQGSFIAVNQFFLGVVLVMVVFGVVWFMRNRSVIKTAWAGVKLYGIRAFGFLKPP -KVVRAGTGNQKGLELVPMESVPLSVD ->YP_010085048.1 hypothetical protein KM520_gp3 [Wenling thamnaconus septentrionalis filovirus] -MSTSEKEMANRIMKDSDLQVIGLLLDGLDDQGLDVRALPDPTGNRDYTDVSTFKDLFPMM -STSELIGSLSASGYSDQLEKSIESTGRKLADLARSAPADDNAVQFMKSYLLMCEKTGQEH -SVSLPKEERKGEKKTGEHMKPEQSQGPQPTDKNKTAAKAGPSETGKANEEEVTNKTPAPR -RNTPKALSGAETNDSPLSTQLTQTPEEAGDMFSGKAEGIVSGELRTGKKLPTIVEGCDAS -GRGDVTHLYRSHELVERIQKGEKTMGIACLKALVMGEDNFSGVPFDDILDCFGETCDDPD -TPGLRGLMEGSNGPASGLPCTDDYCGPSTVLFDRWAKAGSLGCVNYMIRRCMGDLYDGLR -AAACDTPAYYHEMAQQQKDCTDQVVKVVSGMEEMGEAMQELRRENTEMKRRIADMAAAME -HLSGVVSSLSSKLETAVVVSSGYPSSKETAAGIKGSTYNEDQQTWKTGVSSWQKRETSIW -TNPSERSPQELNTHGGIGEDDSEAFLKQLEEQWAAESSDPLSFGTSGRGINDQDFVRETL -TGTPYEGDYNASRESKKGPRF ->YP_010085047.1 nucleoprotein [Wenling thamnaconus septentrionalis filovirus] -MAAPNNGGFGINLDEVIHPRGNNGAGAAAIGMAGLERVPIFVLANPMTGPEMRLLNLAWA -VVLEDPNPPAVIVQLLVELTCSAYYNNPNDLVDHILPMVGPGGYALRVSFNGLGRFTIPG -RPELERLVSDYIRPRIQRVMNDRAWTARLAPGTGLVLWQVMLIQLCLASGHLFTGNTALQ -TWFTRRVIALQLNTRIDINLTGAIFAVLVTPGGSLTVVRCMIVQVMMATLASQPLGVPLV -EGIRAATNFSEWAGMAWAFLSLTLVMRSAHPILLVPSVRREALAFVTAIQGLGANRLRLP -FERILGASLSNGLNAGNFPTLSSAAYGVASATFCNFAQFNGTIYSMVVYRAAQILTRVVT -AVDTGVVDPLTGLQGQPMTDATRMVTEAMSEASQAQQGGNVPTI ->YP_010085046.1 hypothetical protein KM520_gp1 [Wenling thamnaconus septentrionalis filovirus] -MGLVLYMHYTAKDLLSDHRLAGDELITIFTKPEGAVTKVVDVHCAKRTITQLRDPFTGLS -DETGVWMFTDEGSRLLVHRFAALLKRGPLLGRILTEITVSGATLFLVLPEDETSILTAFL -CILRILHLPEMNGFLRDMFHVLWFDGDREENSVILDRFCVTLVMMTSRIFTPTRVVRPLA -CPPVYHHLENIIIGRLKFTHMEMVNIRSFHGGNLQFLDLEH ->YP_010085044.1 hypothetical protein KM519_gp09 [Wenling frogfish filovirus] -MGTSSSRQRVCTFDLGGGVEDAAFALGRSLPMSLTRRPRPQLLDLASQRSGPVAIRPDPE -SLRFRSEVLYLRDRLSGYPEIMDEFDDHLFRVREVIDWQAVNAGQLLHWEFHGTYWKLIL -RRGNLHISPVDKALMREAARGATLVYKVPLRVWYDGITVGPPEYSPPYPNSIETPADPAV -AHLVDVPLVGLTPLISPSSATRTPLYETLYPGLNYRSDGSSPENEYVLPAHTRLNEMTSP -PTAPCRETSFI ->YP_010085043.1 hypothetical protein KM519_gp08 [Wenling frogfish filovirus] -MESYRPSRQTVTKGTHGPRPLKGPSSLAASTRATNPRGFPVSFELGPVMRDLLVGPDTIE -SVITRDNELVMFRGRTHNIDTKKLAAFRTLTEGINEARTITPGSMTEGSRFVNVISRHFP -MEPVPSCLNMRLALRLLACVFLATRNLVEEG ->YP_010085041.1 putative glycoprotein 2 [Wenling frogfish filovirus] -METSRLVCVVICAISFIAMMSLSIMIITIHTETIVAHLSDTSNGLADQSRRLYGQMSSVV -TALQVAHKDSNAIYTNLRTNSEAMTEILHSFMEMQQLLADRPVAWSQSRACFWYDVKDIT -TQMNLNSAGPYSLDLPPRMADLGSYQLVSDTSSVSFFAMRSETTTFTDFFIELYRENQMG -RRERYATQYIPSTVPFVAKMYSACYSALGPCFSMTADLTTLQVNVYCYNKNLKMWTSERR -ISSSANKVQGQPVVTCNPNSPLVQVLALNKAFGTIDFWAVRPGVSSELSFQTLPFGPWQA -DMQGLTLTTGCAYTSMAAIGTSSIKIMSTGMVRSPYNNFNYETACGRKDHDVVFYFQHYT -KSKEYACHDYVLCRHITTSNDYVLSNLCYKALSTSSKPEYRTGRFTLDRAPITTRSTYET -YDRWLTLDGATMLTISRRTGSKVLAWNIRVEGTLHDPSTTPDATHSARGLIQQ ->YP_010085040.1 putative glycoprotein 1 [Wenling frogfish filovirus] -MNLWITIAALLVLPSHLPSTVSADIIAEKSIVIDRMAKLIIPLGPPATSSKCINIKEAER -QNGNLRDLLTEHHKPHRGTRSITLILTLLIMIVGAYIAKTALEGFSNNARQTADTLSSQG -DLNALTGNALKQVNAQLKKLAARVFNLEENAAATSDRLSQLECSQKFLMGAHTNPHASYC -KNVLLKHLVKTPSGISLPESSWACLPEEALLSPITPYVLSFDTAGTPVLIVGYGDVISAG -PIVARVFDFTVGNDSSASMVVFKRPVIILQDVYMSPESCGCTIMAESQVVCRAHTSECSG -GTPVAECVQRGDCMAYESLEGPAINWATVGFDPEKMTMTAREGPINGTSLDQLLQQRDRE -ETRYQTNMDELRKSSIEAEGWLTALKRYLLYGAMALMALFLVQTAITCVKNLQAEDNRSR -STRGGY ->YP_010085039.1 hypothetical protein KM519_gp04 [Wenling frogfish filovirus] -MTASNPAAIALICMGCFLGLVLTWGLADLICRCKRRAQKQKARRALPRLRIVSDSSALTL -QTDVTSGLSSPNGSRPISRFSDCNLHHPWAQEKLLHIVLRETTPLGDIKLVPFLRLSHHQ -FSEDMKIMRSNLYGFVGWLRAECLIRRGSQQHPVWSVVQTLNSPDHRWAGSRLKGEGFPL -GKISQAAVLAVLDVVVHGSHPHLGYALRHHIADTYRPGVRPRTALI ->YP_010085038.1 matrix protein [Wenling frogfish filovirus] -MPGTAAQKPIIWSGAAPTDPQTVLGTALYMSGQSEMPLIYYNFPLGVIDGTPAEIQATIA -SLLMTEWNVNVFMGKNSSRVRLNKVGPVDPPPPPPKTPFVLYHGTQLYFADQVLNLASMP -ASGSYPVTATQVKAVNLGPDVRAAACQCSPMEQGETRLLVQPHPNVQGLSLTTMDGGFAR -SPSRSAGTKMEKMIFEAKKGKFTCPPSAPGVVMFPVPSLKNSKCPDSYVSSRTRGKQIAF -YLGSAAVSTINGSQTEPIMSQSCSKICPHHPAPEN ->YP_010085037.1 hypothetical protein KM519_gp02 [Wenling frogfish filovirus] -MDMDTMDLSCIAGSNTGGENPFQRLDGLPALQLGTISRVRDLEATMETPQTQPQPQATTR -QNLADDVYAQLGAETKEEPDDQYEGDEPDDYFSAIETIVGSCMKGFAEQLNQQGQHLMIL -QKKLDLVQVGVAEIEQSLRASTVTLADELKTDMAVLVKTISQVSAELKNLVTITGPAAGT -ADAVVRCLSQGRQLPDVPCVISESSVPTIQHLVATAPPTRSAVDLGAALDMYQTVEEEQG -ENFGRPVYEGDELAEDICSLITTRNPALFKKIKAEVDAAGEEQASRIYTELLPMDNTSRE -AYIFQWAKIQRTEKHHLPHPASGNHQVTKKVVVMKTPPGPEYMLMNRKPTKRDLQEFDKI -VKYDGQYFST ->YP_010085029.1 hypothetical protein KM513_gp5 [Wuhan sharpbelly bornavirus] -MKMAGKYPTVDPEKSIVQGYHSLLLHVEFRDSKQFMKFALTSTTTTFHVPRTTAVLTIDF -EPANQEDVWMYYEWEPTTYSSLKLILPKPGQFPISGKIRLSGEAGQHALRCDFNVADYRI -ISVPPGPLMHRVVR ->YP_010085028.1 glycoprotein [Wuhan sharpbelly bornavirus] -MPQAPLLLLLLLPTITPFKTLRCDTSSSPSAIKYVPPKVDLGLQNATCTVKAKKRITEGM -QVEIHACYKITTYTSWGVFQDCDAKEEITRQYPGCIVGDEIPSDPCTAWWWRGNGPDIWK -LASQRYKTEVCICLPSVSATVAVSNHPPPIYCSFDDCSTCTVRDLAAGLCTLRSMKDIKF -VPKVLEAEEQETDESGVFHFHTRHIELPTFHSSYPLTADLKYSDANIKIECKLVQRPRRR -KRDDVQQYVFDALAPHLAESKMTSWTISTLQDTILSQPLIDYTKYVQAILKRNDIVGTVN -SGLVLYWSCDQVNADFLPWTNDTFYPPVTVNGSLQYLHPYTGILFSSSPPAPHGLYSLIY -VDTKHYLGSVGSGTTPHTISTTHVLSSAYENVELDALQDFRLGSHYIDPTSVAIGPLQDP -LAAAHESSKRLLDAAALHSVGLGYVYQYDPMYLIKDLLVKVATIGGVMYFCYCMFICFKY -LYHFIVWGQLILKPPALIP ->YP_010085027.1 hypothetical protein KM513_gp3 [Wuhan sharpbelly bornavirus] -MSTSRKKAQTKTHKSKVVLESGADRVQYNPSVTREELTRVARRGSRSRSPHRTPSDPEPL -PDQEEEEEATEAAVNNAMSVINQTSSLAEAIELLKQMVAGITSTKSPQEQMMKDIKDIKE -TLNNLEESIAEISRHIHQLSLSGIVGKAIPSTTTVDFSAGSTLYPPLPHNAPGPSAPPPA -ATDDYPF ->YP_010085026.1 hypothetical protein KM513_gp2 [Wuhan sharpbelly bornavirus] -MANKTVSTEAYTRALRRIIEHLEHVYIEEKGADEDAQVEGRSRVGGRQSPVQPFGNQGGV -DKSGQEGEQVTQSTPHSLRPRTTTRSGGGGGSHGGSGK ->YP_010085021.1 SH protein [Pohorje myodes paramyxovirus 1] -MERDSAIIFFIIGFLLIIWLVLTVSWLLYITSKLHAIRADLLRRMAGMLGELICNGSHDM -LINTRDNAFPPPYNAAHDRDYD ->YP_010088096.1 3B [tottorivirus A1] -GPYDGQPHKTAEKRPRTVEMVDLRFN ->YP_010088095.1 3A [tottorivirus A1] -GPLEPPKPAPRNKDIEKKKLDWQRALDIATQVVTILTMVITGFSLLKLLFQ ->YP_010088093.1 2B [tottorivirus A1] -PGIFSKLSSLHALSELIPGEKDCEQFVKWAQELKNKWDNLKEGSSEMLFKIFKWLVMGMC -AFKAGVWGKLLFAIYCSGNLLKKIIDYIVSKLKDFKTTPPKISQKALDIAKKYCKKPKKD -GDDDWPDPPPLDENGYDETLNPFHKEKNWLDWIKDLFKPAFE ->YP_010088087.1 L [tottorivirus A1] -MATNMEKKENSVRTLKITTKYTGYGLVNVIQPPLNNWLDYNGFDPGTLEWH ->YP_010084712.1 RNA-dependent RNA polymerase, partial [Sclerotinia sclerotiorum ourmia-like virus 2] -KNQPAVKAGDCATIREEWESWCKFAQGRFKNDSPRGKRFAMALKGCKRIFDVECSPCDKI -ASADARSAWDKRVAEDCSDGDSLSKVFLPLLKSHVRELVSGWGAKLESARKDPSGIVACA -SDIYVPDQQGCLETRKLNGGTLATRPDEEDTRTESLGIVRRGCAKTKGKYRVVTMQSSYV -KRVLRPVHNGLYNYISSFNWCVRGDVTRRDFEDVCASNDEEIISGDYSDATNNIYLPVVE -AIVEVLSEDPDLTEEERRVLVGSFTNIRWVSKSGKLYPIKRGSMMGNLCSFPLLCLLNKA -CHDISSDLVYGVGVKRIVRINGDDCLFKGNRAMYATWRYVTHLFGLVVNESKTLVSHRWA -DLNSQTYDARRRRLISKPVLSFLLPPRHATGEILTSVLSGISSFRTEVKLWIVNCLMRHE -ISLRGFSLSGLSSFWVKVLVRRKWFRRMVMDGPATTITTVPGGREGVGIKPKVMTTDRPY -PVTLGPPPHEKYYPVIDYCSSILTKSHVEAWTGVKILTPQVKLDRASFALRQKNPYPFPS -HLRFVGVSVRWAFVWPSSLLQIVQDQYPWMLVSDKDCLVKKSIPDHPYLTLEHRIRVTRT -VNPHPPYRRPGTVLSSGGVSV ->YP_010084248.1 hypothetical protein KM456_gp1 [Hubei rhabdo-like virus 6] -MIFGLIPTGLGLVGYDCSKHTDKVTYLQDDKNNCSTYQLNTYQEEPWRGTVLQIPLTTTK -HHRTCSLVLKTYTMYCTYSGNPTQLELEKDDFETTNYQLTGAECSKAWEHQLFIFQGVHL -KIKVPGITKILIGSDISEDGFCLTTRSTSQVIKGHIELIWSPVTEWTDIDGNPQSRELDD -SKLTWIENRTASTTQDGIVVLSNSPPTSICSWEQLYQGDGKLLTQDSKEQYVVIPSLPAG -FRINSQRRLCNLMVSTTEDSSIFLINTTALSLPIATNHFKGRYSTVISSGITGLSLLNQL -VHSHSSKTLLENQCILESMVRENIIQQAMTHPHQAALLLLGQLGWTIVIAGNGVVIKRCK -EVTVSVVPQSICYTDIPINIANSTHLGFIHPVTRVISSSSFQISCQDDVLPIFSIRGKNY -QLNPKLSHIGNLPHLPSRLSKMSINFTSSKGGLYSHEILETMDQPDDHMTRREEVGRQLL -KMGDGSFHHRQDSAGVLGNMVGLIMDSAPGHWLYTCVLIFSILSIILNVILWIIIIKSKL -WLILFNKERIAAYQAQLLSSPC ->YP_010084245.1 putative small ZnF protein [Bolahun virus variant 2] -MEYLRCPECKFRIKVSVVPMDESSPLECPNCKLVFSIVLQ ->YP_010084242.1 putative small transmembrane protein [Bolahun virus variant 2] -MAFYFGPVIDWQDFLSFYWLHCFFVVIIIFILGLVVSLLRGLTREVREPAWYAQWVRESK -SRKR ->YP_010084243.1 putative glycoprotein [Bolahun virus variant 2] -MDMALQQGNEYYISDDDSDGGPDLFIPISQVDTLREMGFLPVSYGHYSKIRKNFLNEFYM -AWAMAGTYSAIVKTNPSLSALDILSDIEIEKVGSCIMSDEGIIGCILLDDPRAINTFKDL -CSGRGLFEIVADHYSEVNMHEVSSMAPFCKDKNDAKSWTNWTSTSRSRPNSVKINFEPLE -SINSFTVIMEIRAKLDLVSGASFDLDILDKGKVCSPVDIRPKAKVSEVQEVPTTSYLPSA -PSPSVTQPAVQNRQITNNDFQKEFVPTGHVTEVESFFNYIMEVRASPSTLGCGLMKYSPE -FIWSFALSNCVQGHAPSWARNAPVLELISRLNDYCIHSIKDEGMYSREMLGTKTYVDSST -LSETMESLKSSQKRESKAILNVESMMKELLAHIKSGERSIPMAALPTVSAEDKPLFEAEG -QRNPQVTKEPTGIVFIPPPPV ->YP_010084241.1 putative nucleoprotein [Bolahun virus variant 2] -MRRSRGKKMTTNEQKVFTRSDLGAAITILDSTEGWTKAYHPFLVDGVNKVYPIYSRVDGE -VVKYGITPGGRRGALHILYGEDVPGASIAFVYKSITKLKIPNYRVAASIAGACARAKNID -LVTGEHLNIGNILSVLMHFPGLETVTAEYQANPDPDVEFVGDVEQQGTVTTVKGDFNPPV -VASTGEAICTRAEMVTLAYYVLLMINKNLDGPGSDEHHKNFTDGRLKSFLFTTVGGATCD -HTQLPSRVTGALLMSNKMAHYPNLKTSIFTLLLASGSPEAVHAKGILKDTSMTPFVMVDE -FIAADELTLLHLVPAVVTELLKFISLKKRVMEKFGEFWPFYKLLEPRGTESSLKAYKELT -KASLAWKLAKGEMTIASYKGAQLYERYKTLALTPIPDEMKSSSTMTVWEGIRKLQQAGYY -TAIDLEAAKGVTCLGPSNQTSSTSNV ->YP_010084022.1 hypothetical protein KMD58_gp2 [Leviviridae sp.] -MSKTSVIDATNTTASTTFNASAYKLSVLGNYALTADEPTEATYSNVTSSIERPELLQYRS -RRSEKNNTGLNVPNVSDTAGYVEYGIRLQNVVHTTDTADANVEIADPCCVNITLKHPATS -RITEDIATQLLMRAVSTMFDDAGKSRLGDMMRSALHITAN ->YP_010084018.1 putative maturation protein [LeviOr01 phage] -MRSETQEWEIYPCWHGKPPKTSGPTVVDSKGNIDVSAIPCETESNAGVTKGNHVDALPYW -SDRLLVTVEPRVRNISYGVAVTRMPVPPPENTCIPDGDPGGSKTIVRNKYSIDPFEWAAA -PTFDLPEVSPAELEFLRGMADTRALASLNKAMVNLPMLFKERRETLKMAAGKVGVLADAA -RSIQQRDFSRYRKVAKRDRRRVARDIANEHLEFIFGWLPLIAEVEGAMDYIAQERFEFIK -GRGSHVITRDQKVHVSAPVLGLDGWYGYNREVARAELNGIRHELIGMRTNLRMDITSAIA -GDARQLGFEPISTLYDMVPLSFVSGWVTNFDAYVRTIAPLVGLSFRTGSRNLRKQVVLDV -AGRVLEQNPPTYPAPWKAWVEERDRSVSLLTGNRTRDERSLVLELPKASLHWDVDVGFNE -VTAAISLLIQRKLKPLQRAIGIKQFRYRGPKPKWLQEIRYRKP ->YP_010087335.1 putative triple gene block protein 3 [Ambrosia asymptomatic virus 1] -MQPPLGLSWPQYLSLSLSLAFLAAAITLFVALPGQEPGCTISIDGTGIYIKNCGQHAEFI -QSVSKLKVPSYGFKFD ->YP_010087311.1 matrix [Muir Springs virus] -MSGVMSLFKRKDKKEKKDMKAITQGDSKAVLPSAPPDISSMDYGRFGLLGRQTLYEEEEE -EERCITILDLEVDLHVEILANKETRVLIELIAQLCNLQVDYVGKEHTKAIWIGLAVVAAF -NSRRVSKTKQNQVYKGEVSNGIRLLIDSEKPFELDKRNKWCQQLNYLTNGVKTEWSIRGE -IIRTMVPYLPQPGNEDIVTFLSGMGVRCYVNPDGHLVLKV ->YP_010087310.1 phosphoprotein [Muir Springs virus] -MSYSTGLIRSEVSSEMSKAFKDAGEHQIALNKEYDNMSSISTNMNALKNMFNPDDDSNSD -GTSPSSRNSILLASDMFLGNDDYGSDDSHNFLSSPSPDRDSSEEGSKIQEFRFEIPKSKT -YKDRSYRRGVIDVLDFLQRHHFIEEFLFEGDHEEIIVITPTKGMIPFKRSKSEDSQIPMA -NEKDIEKEEIEKPVKSDARNTTSEHSTSIEDPKNRLKEALRKNIKDDLRSKISKNVPKKQ -ETADDNKEGVRPTNQKEMIPSQDMTKSTKKQELSAKPPIKDGKKKEDKKAEDTHKSFNYA -NTFGSKVTVKTSKYCKNCKAATRKNATIYLNHLYTNHSHEIALIKSLAYPL ->YP_010087309.1 nucleoprotein [Muir Springs virus] -MAVAILPVSRNLPARNRTVAGSVTAPPVQYPSEWFRANENRKVSITIYQQTTAGQAYSRI -EALRNNGQWDDVLISTFMRGVIDENAEWFQSAPLTEDWSVNGAVIGQTGASITPLNLATW -ETIPRPGNLGPIANQEGELETRRSFFLALVTIYRQVLTRDINAEYGQEVSRRIIDNFKEQ -PLAMSQDDINNIQNFETKDKLTANYVKVLCILDMFFNKFQNHDKSTIRIATLPTRYRGCA -AFTSYGELAIRLGIEPVQLPSLILTMAVARDFDKINIGGEQAEHLDGYFPYQLTLGLVKK -SAYSASNCPALYLWMHTIGTMLYQQRSYRAQVPKNVPDQMGTINSAIAVAIQYVAGGDFS -MQFVADARVQGAMREMQDAEAELTHLRQLTARAARQAAEGNVNDEGGDEGESSEDEEEED -DHQLDDQDDPEIAARLERIGVLQENLRRCTATVEQFTSVVEKSAMRALAYLQENGGIAEK -DRRELGIRFRRFADEADGRVGKLLANLFPAHR ->YP_010087307.1 hypothetical protein KM692_gp5 [Bahia Grande virus] -MPQHQTLSQDPPQQPIVFSTVKKVGYIILFSIPLIVIIVFFVLLDRKHLKYIPLKAWNTS -IITIKEPY ->YP_010087305.1 matrix [Bahia Grande virus] -MSGVMSIFKRKDKKGNEGSKALAIPDEKSVVPSAPPDISAMDYGRFGLLGRQTLLEEDEE -ESRCITIIDLEVDLQIEVLSNRETRLVIDLIAPLCNLQTDYIGKENTKAIWIGLTVVAAF -GVKRTIKTKNHHVYKGCVSSGLRLLIDSEKQFELDKRNKWSQHLSYLTNGVKTEWAIRGE -MIRTRVPYLPQPGSEDVLMFLAGMGISCYSNPDGHLVLKV ->YP_010087304.1 phosphoprotein [Bahia Grande virus] -MAYSTGLIKGEVSQGLSNAFKDAGIHQIELNKEYDNLSILGANMSALNKMFDTEDEGLSD -TNTNSSKNSILQASDMFIGNDEYESDDSHHFLSSPSPDKGSSEEGSNLQEFNFQIPRNKV -GKEKAYRRGVIDVLDFLQRHRFIEEFRMEGLNEDIVCIIPTRGMIPTKTPPTLDDKIHLA -NDQSIEKEEILQKDKTSKPNKGIKQPNKQEAQPVSESQTGMKEDKKEQKPKQNQIPIKNK -QENEDSKEVAKTNKDKENKVSKGSMSKNDKLKEGNITVPKQGFEKKKTKQINEEGHKSFD -YANTYGTKVTVKTIRYCKTCNPNTRKNATVYLDHLYERHSHEVALIKSLAYPL ->YP_010087303.1 nucleoprotein [Bahia Grande virus] -MAAAILPVSRNMPVRERTVAGSVTAPPVQYPSTWFQAHAGQKVSITIYQNTNARQAFSRI -TQLRNNGQWDDKLIATFMKGVLDENAEWFQSPPLIEDWIVNEAVIGRVDDVVAPTALAQW -EEVERPQNMDPVPNEEGELGTRRSFFLALITIYRQVLTRTINVDYGQEVSRRIIDNFKEQ -PLGMSQDDINEIQGYESKERLTTNYVKILCILDMFFNKFQTHDKSTIRIATLPTRYRGCA -AFTSYGELAIRLGIEPIKLPSLILTVAVAKDFDKINVNGEQAEQLDGYFPYQLELGLVKK -SAYSAGNCPSLYLWMHTIGTMLHQQRSYRANVPKNVPDQMGTINSAIAVAMQFVAGGEFS -MQFVGDARVQEAMREMQTAEAELNELRMAQAREMRAAARGDEDEEGSEDGLDDENDGEGD -DELPAEIEQNPEYLNRVNRIRELQENLQQYNATVQQHTNAVEKAALRALAYLQENGGIAD -KDKRDLGIRFRRFADEAEGRVGKLLASLFPAPR ->YP_010087300.1 hypothetical protein KM691_gp5 [Garba virus] -MIALFLLLTLLVMVLRPRYVEWILFYMLGHYNVGLNALYNVNFLFWYLFCDIPSRFLNNV -FGDMIEKYYQD ->YP_010087298.1 hypothetical protein KM691_gp3 [Garba virus] -MSHHSVETIVRILEECTDLECQEHPQEVHFFNLFRWGKFMIQELLRKVKSLCHGIQMKIL -TLILSTRPGIRAAAQTMRTVMEKQQNLVPTLQEVATETDSETECEKP ->YP_010087299.1 matrix [Garba virus] -MNRLKRMFGYGEEDLADFVGIESKMVTVVEVKIQFSLNVTISRVGKSALSREIILKELLS -NYRGPSEKEALFVIGSLLSVPSWIRKKDGSGYGFRGEFGIKFETDNLSLSNNFEHESIST -YVKDNWIVIINSSVKTKRGKGGFNPATKLQEILSKFEIRTNLFDFSPIFIVH ->YP_010087297.1 phosphoprotein [Garba virus] -MNNHRAKEIEKIYENLKNEPSLCGDDSEDTGGMYGLGMPGTSTGSPLLQSIQMGEVHDSR -TTSESQKPVPWDSDEDSDTDFVYPSRDKGCSSDDENSDGETTKPSADFARGGYGDGFRDG -MREAVSKINYLLTTQGVGLFHFDGADIFIKKETSLNIENQVDGECSLTTCQTDQRKDIEP -REMKQDSASTEMDSDTDDPELVSSDEFLEILDGGLCVELGGDSKFLSLDSVNGVKFEENK -NSKMTVVDWVLKLCT ->YP_010087294.1 P6 protein [Raspberry vein chlorosis virus] -MDLGRILEQAQGDEVVMDIPGYQFTTKDMIIFILAIGKVMLIILLFIVCKSRQAKQGRLR -MKWIE ->YP_010087289.1 P-overlapping protein [Raspberry vein chlorosis virus] -MFVDVDPELLFKLFSRFISPNFLRWTILILTLYQTLSLMWQCLKLIKSVIQMILWLAKAG -RAIWAGLKNLGKRLRRSKAS ->YP_010087179.1 U1 [Cuiaba virus] -MEKTGQKPVSGQLSVQKLIDQGKWEPRPPLNPAKRCTKVEDLSSWPDITSKTCSLFKHPL -SFDQGPDAKRGKKADCIQIRSPVFVAKTIAQGDNQLCMIPR ->YP_010087177.1 phosphoprotein [Cuiaba virus] -MMSSQQSFPKDFDPTKTLEAAKRGLKKLESDDKMMFGVESLDDEIEKHQESSSDEEEEEE -CDDNVSKEAVPDKEQLEADDFLEQESSWTFNDSKLYITKHFSVNKDTMDLVNAHIRNSVE -KTLNFLGAKATYEGEDGSSFAWRVSSDAIHPMEKHYVWQEETQRPIPSAPPSYEDLDLPS -RGKTSPPLRTSEDTTKPDYQKPSTSSECRKQSDLSINREFLDSFKKGILFPSIDGGGDIL -VKLADTDLTEAIIRDFVYEPSTSYQDMVYQIFCIHPQTKPDYEFIRW ->YP_010087162.1 matrix protein [Yerba mate chlorosis-associated virus] -MDLRYTAISWEITLIVTSKSSLTLQQLTRLILGKFLELIASADKSDQNLGRLGALYTWVL -TNYFARFKSDNVNTVNLMIPGAPIHRYSTPKSMVIFHTGDLLLEDIPQEVKIETYAQDVT -ELLSWVSVTFSKAKFKTCSRELLEKWVTKDPSIPTSTLFDMPAYITKVTQELSNISPRKK -KGGGLLTALKWKRNENKETSSSGSNYSDISDMENFFK ->YP_010087160.1 putative movement protein [Yerba mate chlorosis-associated virus] -MSISKTSSSDIKDFEKHSIELAHHQHQEEFKLKEPFSFKSFLKNYCTLNRVDIYYEPYFS -NLSGGHFYVNLIDLRYNKGSPFREKITIKIPLDKPTGLYLEDFPTVPRGQKCPWRVVITT -HCGDIKFGSKLGKLAVIPSFLYTNTVHLPEKISIKAHDPNGHPITDNTTIIKTEQENVKS -GNNRASI ->YP_010087163.1 glycoprotein [Yerba mate chlorosis-associated virus] -MKKITFSLALGILLCMTSFNNLIFDILANLMGVQLIMSWVTGDLPSFLGFGITIFLAIQD -WAKEVKNKIKRVRGITFSDSTKHLLLITYLIIQLLLLKQITGEEISLHMPKICNVPVASS -HLTHASCFRSCDLDGISKNVRNITIYKQDSHQNMLMVECQIIRSSIKATETWTFSQFSNI -QPDEVLDPDPAKCWATVRDVCMNSKCETKKPEIVPEYHYASDHIQYVDWAHIKYSMKTIP -FSHLGKSYIRIEGNLVEYEKGFYISVTNPKLAYLWEVKTEIECYTEPLHTYQCNQVDKGG -SISYLCGRSSLWLKEKGPVAEKFCNHDMSMVIDETGIMYSYTSMPQIVKDGLPIYQSSFN -EALRVSTLSARVNNLIQEETECRSNCLNFRAPDEENPSYYGKIMIGRYLQGYYMCYEDPS -CKISFPLRVCSNKNLVAIICKSEEMWWDPTKLLAYPMERCNGDVTTRDSVSFLSGGNYYR -VNKTGVTEIGGIEIRPQDHHVYSHLGLAFIDTETIKQGLTLPFSPLTANELTKEEKTEVH -RWNFGIKAFLSSIDHTVKKVIVLSIGTISILILLIMMINLLSRGSKKSTNKYHQVSYVST -VT ->YP_010087161.1 protein 4 [Yerba mate chlorosis-associated virus] -MFFIIKPLIKLLVYLLCLFFLLSVLVWGCIMMCDLYECHNNLKLNIISMKKFIDCVKKKR -QNPFCPL ->YP_010087159.1 phosphoprotein [Yerba mate chlorosis-associated virus] -MSNGFEFKKIEGKLDYSGVQHMSSALDDDNMFADKNVSLENKEEGTKTAEIVVTQNIPAA -SKEKNSPFLKALSKKTEDNTGKSGEVEESKTPKDTDKAKETKSVKSLKENLKSRLEDHLG -RSSNNSKEENKDSKAVEKTKKNLSKDLNKVLEEDEEIEDESEELFSPEEIRDCLDLFCNK -LGVDGESAWYNHFVASLNINGAVFSSEIESWVSAIKHERATASHRAIKEILTNLNTQVVR -LTAQVKALSDTNGSLAKQNESLLNMVDSMRKNQEEWLNTQLELRQGAIRGGYQKEKGKLT -EEMSDENASSGSYYLDETDDETSELLVSHFLTLLKINSTQIQDPRVRMAVRSLFPMKILV -AACEHGIREADKPKALEKLKEWILNN ->YP_010087156.1 putative glycoprotein [Papaya cytorhabdovirus] -MSGYAIVMMILLCSMKTRSEFSHHILPAPIICGEQFPTSEYNELSCMSSCSLENFAGEPF -NITLYDQLHDTSIVMAYCKKVRMQQTFTKTWSFSTFASDLSVVPLSPTFDECKMAWDSKC -KDSECLVKPDPIVPAYYYAASHEVVQEGIVIEAHKYNTVVKVKAEDQIMIGGEFRPYAKG -SLYMQDKMTWVMWNPKAEEKCELAPTVSTQCIFTVKGMLNCDALGIVINASSAVSATVCG -QTSGVLIDNLGIVFRFGHFGRNFKTPSFPITRIDSADAIEELIMAVQLSSQVLKSETCVR -SCLSHDGTKVLEDAEFFGDRIVSNRSGVLKLCRYQPTCKLMLPIRICFGTSLVRVKCGVA -SYWWSLDTLEADTTYYCASHVAEKEWAQLIVNGRALELNSSGIFLSGDVSKSSFYDFVPK -NSYTVVSNEGLKETLARKNSFSTSWEKSHSSSKTESYNAFVAAWNGITAFFQHITLILTV -FATLMGLIFGYVLLRQIFPPRRATNYKYNQELQTFPIFA ->YP_010087155.1 putative matrix protein [Papaya cytorhabdovirus] -MSILKYISSSSSPILSVTYKYWGLRMDISLEMSSSSEIEGTKVLEDYLVDAAVRIDQDNK -VEVRREALLFYLILWLCKKLPGAVKFSKTSIRKGRGPYIYRLEIPSLLFLKTVGLEMGEP -VIEGFERELCLMKDDKIIRESRLSVKSMSIKTFKNSTVENFMEANPHCVCSPVMTVSNFW -AVETVIGDKDVLNTISSVFSKKKENNLTLFKSVK ->YP_010087154.1 P5 protein [Papaya cytorhabdovirus] -MCLEVRCVESNMRTYMSFVIFCCLFLLP ->YP_010087153.1 P4 protein [Papaya cytorhabdovirus] -MIRGPSLSMTSGLTIGFLVGMNDIGK ->YP_010087151.1 putative phosphoprotein [Papaya cytorhabdovirus] -MSIEKMDDKSLQKVIQDNIEEIAKKGPLQRENLSEVDEELNNAHTQEPEASEEKITVSPP -DTTKAEVHVEPEKEREKDKVQVTPPKTTPPRPGNPKYIVKEKKDALLSAIVTPGKPRDAA -KPPLSSPRKSGTKSDTSSPKVSSDDNSSSESEKPKGVPYSLDDLEKLGKKLATKKEIEDF -MTELCKQKGVNYKRQWGNELTSRLIKGQLMTEGIVEIFLSGITMERAYSITEGFEKTVKE -LAEKVAKLDKVSNNLIGGVKKMDSSIKRLDEVNQDSMKEVETVMADHRHALIEVISMIPS -DTPSSENPTKSKDEKKKPPKVEKPASKEEEVLGEEVSLSELDEGGLALAFLDEIGANVKT -SDLTVVSDAICHYIDSDEIKGYLLEGIPKDDRRGHRDRVKKCVKMYVAHLEAEKERKGKE -VKTDGEERGKEEKEKKRKKNIKSYY ->YP_010086854.1 P0 protein [Faba bean polerovirus 1] -MSLDVVTIDRRTSQVLFNDSLFNYSLFLRRSILIATIQSFNYLCETSSLIHGTNKAISML -SFLFQLPFIYGGFELRGGALVLPHSRKSKKRRTFNKLLADNGLYALKLGDRGTPTSTNIQ -ITAGGSYLYKYIQNPLAENIRGHADVFEFGKNHFKRLLNAWCLHLSRRNFELYPVFSLGD -NLAMVLDSLADNLVGFAGINQQYHASGFLRIARDLHGLYGETAASTVWQLFGLDRCPCVE -DTPFPNIILLQERIESEEGEDGEGFWEL ->YP_010086815.1 matrix protein [Wheat yellow striate virus] -MAHSKIRITGAGLDGVSYLERIRKSLSLNPADYHDDSAVRISLSFYIKISFHDVSDYDVF -IREGVTTTELFDALKTSWKRNPENVRYIDGMTHTDDEIDTTILLCELVTILKDLSLHRSD -ERTHYSLMSTSLTLGFGDQITQPHDNAVIPIVTTKVIPRYMHTVIQYEYPRVSGGIAASV -CAGICIRSPPIGKCPPIMKTVNVEVLAFHYGLDAGQGPQLEETNATPKEEELKKINGFKR -MTTLNKSSRVIKKPSKEGVGAALSRMLSWK ->YP_010086814.1 P3 protein [Wheat yellow striate virus] -MYSKFTYVSLLYLKTPTEIYSLHSRQPNSEERPKDRKTTSMASEAKNSQKFSFRNTEEEV -DLSISKFALFKLKLKQSRVVTMFGNDDKVDPANCYINMTSIKIVTSSVLPESDPRFMVWE -MSYKTDEENHKLGQLAWKASYNGSFVIKSTYAMMVVNGDLYTPYTASITTSDGSEIKGVK -VNVILNWTPSDVRPSNAKMGGFMSDIYCNNPSNGKTQIPPMVAWYMGKDEQRYCKIINKL -ATELSSENIYPMMELISSPQTSLNPILNRLISSSLEKGDRDRISQMTKAVGGGMSLNKAD -ISYLKGIIEKKSSSALVTFLMRASTELGVEVYIDG ->YP_010086813.1 putative phosphoprotein [Wheat yellow striate virus] -MADSLDTDRGRTTRSGSKLKAQTTHRVGTSSGSVRGGKPYEKDFKAVEARFHNFDPISAS -IIRGDQEGTKADLIMSDSSVTKATAPAEAAAPPPPPQPAAVPLTPPASATTQGQKRPGDP -ETLEEGNAAKRVQRANKVNNLLTTQGIGEPSKSAISQYVVARLNANNVEHDDAMVAECAN -MAVYGWKEGKKFVSTQILNQATTVIPDLITSMVTNANLITNAANALNSIPDKVAGAIRTN -IEHVAFQTGSKATKRDTLVRTAESIYQNAAAESKVDFINNFIISAGINIQEVKRNVANYR -TIANAIIKRNTVLNIINETTEHQALLTQVQGNKDDIRNIARGLSASYVI ->YP_010086817.1 hypothetical protein P6 [Wheat yellow striate virus] -MDKSLDNKQGDLLKMSKPTADTGSPDTPHPEPAHTPEGDTTQKEDTKGNTGKEEAAQSPE -AEQEDDTQPEWCCEIEELDVESDWEFCYREEDFDCYFDNVWVYELIDECNGWRE ->YP_010086783.1 phosphoprotein [Ohlsdorf virus] -MNHSAIFANSSVFEGTTMSNPEKKGEAGSANGKTPAKVTKLAEVTGTFVKTNKTMPDKPL -DQTKLTKIVDQLGEGGQESGTTIEETKSGDKKSKSRVASAKTSNVVQSAPVTQPTAKKPP -GEIPNTLQATDSSLKGMNLKRAKQHEQVDQLTQESEDNESGEGGSGSSTTVFTEQEVESR -VLDDEDEMEVVNLGIDGLKIMQSRDRVTSRLVALDDRETDADVILRHVNIILAHLSDKPH -AKATLFYVAQDQLVCEVTTSRPDPPKVYASDRQFAELRDPKTRLKPQQTIKEEKVEASVV -PQDSPNPTPEPTAKELSELSKVVVMILPRKKTGASIRLTYVKQDIIHILNRPGNDSSHGI -AILQENKQYTRYALACDYTKIRFEF ->YP_010086762.1 putative P' protein [Holmes Jungle virus] -MINKKFHLHRNLTNQINLNCSFQHSTLVKYATDLVC ->YP_010086598.1 phosphoprotein [Physostegia chlorotic mottle virus] -MNRKSTRSEDRVSSKPYTRADKKQKLSSPISDQNAEKTSNISPQAITDRINNSDKYEDIH -PGSLQDFITTPSDSPPHSPETMPPVPPEQKLIQDITNQLKNNGASVTYESVTHALDKSNI -QNTENIGLYESRAILWYSAGYNDAVRSSEIQDANFAKKQLPTVSSGLLSTANTLIDVVQR -FDEISKRLNKKTSISDLNSDEIVTFCLTAYDGKSSKERASSLMMYLHNYIGYSNIYNDIA -NPNTVERAFNFMKTLDPIAVAVMTTLGETAGTPIVADRVAKDKHAYALYSGKRI ->YP_010086577.1 matrix protein [Harrison Dam virus] -MDTITKFFQKTDEPLFSANPCNLDTQNHFSIRYLKINFKIKFELLQEGATDLRKIDLEKA -AAKSYRGPSEYEGIFLIALALSCGSWVRSKCGKKNGFVGEFRVKAKIFNPKLLQPFDHEK -FHCFSIKDWVIRCEYELTSEETKSGGAMNKRIQQKMKQWRMSPYHCIEEQDGDIVIIH ->YP_010086576.1 C'' protein [Harrison Dam virus] -MRSEDLDSEINRRRILRASIHRHGGEAEISQTRSTSSPVASNPERSQKANPEIYAEPRGK -VSTTFEYEFKIGRDGISSKLLWGRHINNGGIYRKSESWTTYL ->YP_010086575.1 C' protein [Harrison Dam virus] -MSQIQVTEILETLNQCHSWNCQEVSHGNHLLNWWNSCKDLTWLMVERVMSLIRGLQTRFI -MMLLSTQRGRNAAI ->YP_010086574.1 phosphoprotein [Harrison Dam virus] -MLSSKTVENLTKYQEAVQNEPDPSDGDLGDSESMPQLELSGGVSRESSAQLVEFMQRSNL -ADGGACDVPYPWTADSIHNDAFVNPEGEECRDLIDESDCGENSWSGRRSRQIYEQGFEDG -VREVGRQFRMLQEEGFPFEFDYVDGRIKVKKITCDQRILIRKLIEGGFFEHLSTDMAVKQ -KSVKPDQPVAPLHQILKEAKKPTQKSMQNQEEKFQQPLNTNSKSAAMEYRLSFCGDDTLT -MEEFIEKANRGLHICKDGKNRFVDLDDFCPQKIRERKADLLTLEEWLMCLY ->YP_010086580.1 putative protein U3 [Harrison Dam virus] -MIYQLTETMYPNLFRIGKY ->YP_010086562.1 hypothetical protein KM623_gp5 [Kwatta virus] -MAGWKLLFVLLIVLYWHNPEGVTSLMKSSLNIMETILAEPIRKVVSFFTPPCPPCPQCLV -KTP ->YP_010086560.1 hypothetical protein KM623_gp3 [Kwatta virus] -MMTRKRLASGSIDRILQRKRCNHQSHQWTPQVSGWIHRQVGKVTQAIHGVLCYLLEKILM -TPVGIQVVCQILEGEEVDEDDLPQSLRMESHRPIQVLEPENPRISPVEILGQDPKQVKEA -QSPPLPPPRRSPTPPDPSPIPEREDHRRMDPHSQ ->YP_010086561.1 matrix [Kwatta virus] -MALFWTMFRGKRDDYFDMGPAPQKRDKVNVKCFVDVSHDISKLTRGITSDELTNPIFPRI -DCPLEIRSLVIYLVGSIKTYRRKKEDKTSLSLTWSILNLEIPTDRPARFDETWKWSKTFS -SYHGSEPVFTNITVRIEPTSGKGTSMDVALLRRLESSLPNTQLIEKNEDGRWQLV ->YP_010086559.1 phosphoprotein [Kwatta virus] -MSEFLQKETIQRAKEFVSAIALDDDPETFGLREHRPNPAEEKVQPSIPSMDSPSVRMDSS -TSGKGDPSNSWGSLLLTGENSDDSSRNPGGMPDLGRGGSRRGRFTPESSDGEPPAYPGFG -ARKSTNKPSRDPGARPKTGKGGPVPSAPPSEEVSNTPGSLSNTRERGSPQDGSTQSVTRD -PEIATQDQKNNLLKKLEIPGTLPDLFLPKRVKNAGTQTELDETVAMLIATLNQLMWEQGD -DGRFGVDDGKIIYHACSKKNLPLPNELPPKHSSRSLETESAPILPEQKRESPKGSLLTYQ -DFSGKLKRGIKLKGNPVIYHQDMFDLKALKNMDPMTLKQWLAFANA ->YP_010086563.1 glycoprotein [Kwatta virus] -MDKLIILTACLLGVVIASHDYYYFPVVQSKSFKKLPVGQLRCPPHSSEKPLSHKKIWGGY -VLTQNIQTMPGTFVVKQRWGTTCTMNFWGVKTIRHHIIDEQILDARFTNITLKPVFPDED -CSWMTTATREITYYVGTKGELEYDISTGKTSDPVFGAFSCTEKLCYVDHRVVFIPDVAIA -ATSKGFKFVVFEISTDPDGVIRENSVIQSRDFPRMSLRKACVTEESVLGQRRLAFILRNG -FFLVLEMGVKSGSHMLKKSTETLGSELILRASLRLSNDKFKGRDLSMLYTQEKISGAGSI -DNLLNGFRVCDASDRSRIKQVGLGFNSLEQDERIMSRVDSLFCRVTLDRIRKCKKLTSVE -LGMFAQNYGGPGPVYRIKNDTLEVAQGIYKRIFWDPDTKNRLGYYVNETTEKEVNCPEWI -KISEGFESCINGIIRYKNVTSHPLSPVNDLEQEEALFKEHFLEDVYHVPTQHLNPWAGWN -PLHPPEIDRHFLGLKLPNIFGFMHNFEIYLVTFIVGLISLPLIIFCCRRKSSRY ->YP_010086417.1 hypothetical protein KM621_gp09 [Maize yellow striate virus] -MVQLEFELWEVSLGLHLFIIVSFLVWKFKWHLLKKLTQDEGRERIYYQTHK ->YP_010086414.1 hypothetical protein KM621_gp06 [Maize yellow striate virus] -MASNLSSSLAGQVRNLAITDDDGVNVNWKNSDFSLEWDMRAYTASINHLGGREVKVELTP -AQGKNNLLKLKLGKTQLNIQEPGVTVRFEMTTNAGLEALMKTLTQ ->YP_010086413.1 hypothetical protein KM621_gp05 [Maize yellow striate virus] -MWNFLLHVLRDGSDRTNMSSSWTVVSLSAYAILVFIISISICRLKVYQLRLIVIISLCHL -VSAIGSAFKEWT ->YP_010086412.1 hypothetical protein KM621_gp04 [Maize yellow striate virus] -MNRKDVELSASCVTGWIRQDKHVVVLDRCFVVSLCDPRFYNIDIDMSSEGVSVTTYRNNK -PMPPGVCHRVSLQGMDLIGNDTYFNIKCDVTDNILLCGKYPLSTYEGISIDTDARPHVSY -AMV ->YP_010084459.1 matrix protein [Lepeophtheirus salmonis rhabdovirus 127] -MLRKAALALRGGNKEEDRHPVTSWSSPIQSPSAPMDSRLLTVNLKVTAHMSVRTTNKNIV -FSDVLLACTDIMDTYDGPMETLGFYWIMILVLVKRLKSLRGNEGTQDFVSSVSEPISFLT -DISSPYLKTFPTTAFSFGREFRAGKVSGNASVSFSETRAVTTSLELILQSLGLVNDHLGS -ALSALKIPAERIKGSLVIHMALRDSFSHIQPATLKETSD ->YP_010084458.1 phosphoprotein [Lepeophtheirus salmonis rhabdovirus 127] -MSQKVSNVGAILDKGVSMVRAEGRRAVEDLGEESPDEDYQEHPKKPFSSTFGTWHQKFQE -DINDWGEQREIQGLDPEPRSTYEMLRDPGEEINIYPDTDLSNMGSQGPEVCDLQDFRTVL -DEISLRYNIPRLIIKRDAGRITWGEAGTGEEPKIPQDVHLVEEINVKPSIGYPKKPKASI -NETRERNSLEMALIEKRYHVLGIFDRTKKLSLGIPPIQGVNRLLADIWGEEKAMDDMIRE -LYRHHPNMRRLSRSFNFKFIQES ->YP_010084454.1 matrix protein [Lepeophtheirus salmonis rhabdovirus 9] -MRSLRSIMGKRGDHPRSDSERGHPSSSLLRDHIAGVVDPSAPPIPRSCKLLEVKKKVVAS -LNLRVEKRAESRKRLIEACCEMSNEYNGPDQTAGFYWAVVYLLTRSLTEEGQGVHTVDYT -AQLDETLLFLTDIQSSYLASFPHVKFQFVKRFSEGQIVGYVEVSFSKSRLPGISLRRALS -EVGASNDDFITGLHTFGATVDTVNGKLVIRQSEPEEDMSVRPYLN ->YP_010084453.1 phosphoprotein [Lepeophtheirus salmonis rhabdovirus 9] -MELTITQDEDSNIDPLEANLTKIMSAQSLLEERNQCYEISEEGPDDPSSTITGTVSEQLG -DWGNPLEKEVELDKENRCAVLPDLSQDFVGESSGIPELLNRCEEMKEMASLTMPIPNSPS -ILGLLNNTISIHCQLVGVPIASVIEVSGQFHLVYGKSNTVSTVDCPTSHLASTTKVNPQP -KHNNKKRTEDKNVTTTPPLGARPKQIVKEPIQDVLRISDLDVALTNKTHAISGFDPNTKR -LKIGYPPIPDSQAIVTSIDSRSQLDLIGKLARVFTSRPDYRRRLSKIYDLSTISPI ->YP_007641364.1 phosphoprotein [Perch perhabdovirus] -MLSAKGLKNWNLAREDVEALAKGIQGAGHSEESSLDVKPEVGEFKSVTASVIEQWKEFEK -TLGPFTDEEPVLPSDSGFQDSAESTDPSLESWVSPDPEEEMEIESDWTIHKGQLVGTYSE -REQNMIYREMNIMLGFAGGYLTIPKDMDGYILTLPTSDKLERMREEALRHYHLHTGSKDD -PSKGIQPKPKLTKKTVNPKPKPAEPKPQPSVPKPEKAPITEPSKPKVTPEAPPVGPVGND -DNFDIVENIWDYGIIGFDSSQRQAKFHPLKMGWDIGSWRSAAKEIDPCAPPNQFFELMIR -KSQKRNIFRRKYQNLNFSF ->YP_009709867.1 NS4A protein [Hepatitis C virus (isolate H77)] -STWVLVGGVLAALAAYCLSTGCVVIVGRIVLSGKPAIIPDREVLYQEFDEMEEC ->YP_009709864.1 p7 protein [Hepatitis C virus (isolate H77)] -ALENLVILNAASLAGTHGLVSFLVFFCFAWYLKGRWVPGAVYALYGMWPLLLLLLALPQR -AYA ->YP_009305138.1 nucleocapsid protein [Wuhan horsefly Virus] -MEALKQTIQQNFSIVASAVFYVHQGEEKSQYLNASHLTVIKHKDFIHVVDGKITELTETK -RNESYYVKTSYLEELIKKYGPGDKALDMMSSEEKASFFRSGKKSVSSKKLVRVVTSAIME -PENGESSSSTIPEVSSRDGQERSVILSDNESVTTDTITQEDIWNFLQVQEGILEQLRSRD -FLDFLKEAQYQGFNSQHIFNKMVRNAMAGGFEVEQFNFHVSKMIGICLLRGPKLKSILKK -SNDKTKAFLSEMQTLYGLNDSTRELDSVTLSRVTSTFPYVSHRVHLELMVNKMARYVIAE -VDENLAPIFHQSVPALLDLTSTNMVYKIIFVMFSIYQAKLTLILNPRVTSMAQATEVNGN -YILAAAKGVSLDKQAKIKFLQDLVDIGFLNDEQHFSKRTTRAGADWLNEGRNLKYPGLSK -AEIDYIFDVFPRDLSHNHENERTLESWRQSSNN ->YP_009300683.1 nucleocapsid protein [Shuangao Insect Virus 1] -MSSTKAFVERNVNAAEQAFEIDRPIAVNDWSPKFGYKTFEEYYGKILGHEECSTYFKIAD -KIRAHTTSNKGKTIRYRLGENLHVPIFHPSGKEDKIDAGALTARRFGGYMAKLVYERIQA -GDKDYLVCINKIAAKAGIEKPEKAGEAAKIYWSLAPGAESHYDSFGLYPYAHEAIKRIQP -SRYNMKSKVDNYIGLHKAARMRCVDNVGASASGLITINEFLDTEEGIAALKVCVDQINKA -LGKSNVGEGSTRAVFAKLQGALKNILEVNE ->YP_009300679.1 nucleocapsid protein [Shayang Spider Virus 1] -MANEQEPGLEDALRQVLDPELDIQAPAAPVRPAPAAQRNRARNVRNNAPAPIIVGNGGFV -APGAGYFPVYNNVQAANAALTANLNGVRLSNLNTNIDTLLGAVTIPNDLRTFVNRQDAEL -SAKNGAIARWLEEYLRQAAPKLEAYWLMSRSVLSACCVEIMKPSYAGIAAKVFEDRTKAT -ADLEDVTLYGEKSREFRRNLPNVFQMPSMIDQGAAINETFTVSRNSLGRMQDMLTSIIDK -RREKYPPAARNRPEGAVGAQHYDFFVGYLEGIAEPLMDTRTRRKNAEPAGLLDVQFGAFG -GTDGLNQGVLLLTTALAEYRNRNGRLPNGIEQRNEADIVKAMEELELLTNDGLIERDNAE -VIRQQASNLDVVFNFWLWCRASHVITLDGATNERNLIKMNLLLYAIGSRIVGANKITDMI -GRAGAPFTEWHESMNETAGQYMPPYCMTWKRVSECLVPVLGVLKGNDMQYTTFMSGISPR -YMIHLKPTSDHSIELIYGLFSLIRDAQNTSAGGGDINTAEHMFHQMLIAKKTTYTNTNNK -EGNVFGITLRPGAPAAASVPLTIANLMRRTGLIRNNQAQRQA ->YP_009293596.1 nucleocapsid protein [Sanxia Water Strider Virus 1] -MAAPAPFNVITSYETASVSVNALRTVSVNITSCPTFLNAFPDNYNNYIQKINAMRAASPK -EKNAVVADMVTETLRDACPAVAQFYCLGQGFVTRSRAFFSTDPSVQVLNYDAYIATGTQV -TDPKEIDDYNHCVDSYRTYMVNGGFALNNELATLRGAVKNTINASGNLVPLQVMLREMIE -KRRFNLGGTSAGAEHLREVREALSGDLDQKIIFPFSKSERKAVGFSFSNQINNRAERNEG -GRCLLASTAVAKDTQLEGDLLNTITRKFHAMPQDHVNYQLFDRIIREANAILDPEDVDAA -DGAGFLSQRSNIDPLWLTFYFAFKVIKLSVADFYKWQRAIYATCRKIRGKENIRAVLATM -LDLPGVQDTLGKMSQSTEIHTPPWVMHFSRLYDIAAVICPCDAYNVAATIQTPAISWRYL -FTVNPAVKGFISYLTTLNAVEKTADETSEIHQSERMLQQFILGRNSPLANTNHLTGNALD -VTIVG ->YP_009270650.1 nucleocapsid protein [Wuhan Insect virus 2] -MSAGQRILPAAPRSVTLANEYAPGFLSGITSTIIKDPALILKREILEPLRGAQLTLGALA -SSNDMDVDIPLDVSEGDKATADMAFITFMLSRYHKEYRRAGTAAKFSVEVGNSSPITFYC -MKNYTYSGTDDFTTDNDMILASILGLNTVVSSLRRNGMAGMHPLSRTAITEDGIQMLDEY -MKEDEKFSIIYGNELKKKGVVPVKLYNTACARKSHQFTGTTKYYMPELAAAQLIMGVMTS -KGQSPGLIDITNRNLAKIAKANGSLDKDIVLELMDFCTPASSAYNAEELFGAYKNKTRMG -STPKIPKTVEALTAYKIPDVNEQRTGGHLRSKRATDKLSEIENESFQSMKRELDELKKAA -RSTAKNAGQSTSSDMSGIDAQFKNL ->YP_009198210.1 P0 protein [Phasey bean mild yellows virus] -MLDTGXFQAXISNXGSCVCGFSDLPRHSGLFYVALSNLCVYFSAVPTITLENELILRSFL -FLLCFLLRGPGNIGARSSAARVGCVQGRKFYVARLAARLGVYLPLPSMPRRLMSIPLSSI -ELRRGANLCTPYLQRSHSRSVGARIERRKDVVLSGLPEFTKFLGVYCRFLEQGFRNRFPA -PMLVSDIRVVLSAAVDYCHQRAFYTEQFHTRASRNFAVRLHSALGEAGTLDLWVNAHLPR -VHLSNLCGEVDLSXTFLGKIFQELCRREML ->YP_009551327.1 hypothetical protein EXH54_gp1 [Phytophthora infestans RNA virus 3] -MQSIGSAFDQAERGAGFDRSRDMGSRPSDVLHSTSGHVGRTGPDGSNGRVVDAISTPVLP -ACNNAQMVPTQLGAYTVDQYFGKRISWDSYSFEALDRRAEITASGRYGYDSEYIRRVDMA -LPERFNLPSRVILGGQLDAAAHTVVRNSPTLPLLRLTTRPEPPRRDTRPFYAEAEVLQEV -EDGGIVAQATLKTFNHVLSSHRYFGLQGSVFKEEWKVAEADRIRRMAQLTRLASHGHLYV -RMFSKLLCMFNSALVREQYTPVGVGAHTSFEGPQHAAAARPAVYWADAFPPRLSAEVEVY -TVAADLAAVANQVAVALTRGFHVYNIAAGTLVRYARRIDMLNDWGVWRAAADQIAAANVQ -AALSDLRRYGKNAARGIAKLEPKELPEVTVGGEDQLWEEFLLIHDRRRYLIDAEGVPAEA -LHIWMVALAARHRINCREVQVGGVARVFVPASESVTWECDYAGVYIHTGCQHVEEKKRLF -DAMAYSDRVDDSHNLSAHAILDSIRWLVLKTGAEADLVQAVELVDSLSAGYTSMELRGVA -TGVSTAFTGLMLSHSLRVPFDATLPAYLYPFRVNAQLLSETEEWLGLRPNMRLNMMYYNC -IMQSNAFGWASFASSAEGGCFNLAAAGLTGSAAAQQRHGRELTTRRGEYSLALHDRMVLS -CCAHAYGWSPSKALLLSIQPERVVRLSIVDGTDRSFHSLWQVHTVPHAALFYEEQWLVKK -IPDYAVLPMPRGTVKWPEDKARPVVDSAADASEVRVGATMSPFDYKYWLADGGQAYSLQY -YVAANAATGTVGNAARYSAAGEYTRLGVWRKPVQARWPAAPATLDLAGRGGMRMAGELSD -YIDPYMLSMYNHEHKLPNAFGVQRYHNGAVTVGARRSWFQLSQGAADIAPTISYEAPSWA -VREVEPIADYYLAALVDPLEGKFTGFHWASLAGLKPGVGQGRDVASASLAPFGLNPIRSS -SALANDNDPHQPRGRPVKRTAAGRRVGQAIDAARARTRARSETPPPPLLDDCEGEDAGVN -STATLSMDQGDVTPTLITPNAGGDYVTADGKVVKQVRILQSGGNATRLRDVRSRPSRTVR -GRVVGGGGAATPARARVETTSQQRAEGIASALASAVRTPLATARHTGSALREGLRAAATK -GQDAFLNTLRSAERPEAGLTRANRKIAAGVISEALEAGSLGYDQLAVVLQHLPALRKQLP -SGVTAHLPLPAQEEHETVEVEVLSPKGVDELAGREVELTDTAAYEAQSNLQQEAAAWSRP -AITPGMGESAGEALREEGAPRLPILDDTESDSAPLN ->YP_009388621.1 hypothetical protein CFB74_gp5 [Culex mononega-like virus 2] -MWAKLFGLSKVNWCIVEATLDMHICMHMCMCLYEYTSIYIYIFLINLLIYLSIIFTIFQT -AQEASAYVHLDTNYARKIAFFYCVLQ ->YP_009388619.1 hypothetical protein CFB74_gp3 [Culex mononega-like virus 2] -MPELIRFKAMLLSKKGNRCRFPRAVMKKTEFTESEALSIWCISQLLNYCACWSLTEGIYA -LTGIKIDSGACSPAAWHSLSCYPESVSTEFLSLVRCVGSCGDPQKYMSLFHGDWRHTSTS -TLTTFTVAQLADVLDYVFATDGTRREHHLLEIAKMEELQHFKIPELLQCLHWKGQSHVVT -ELCRHGNHRNATPPRSSISPAGSIKSGYSPLVEDEFPAMKDIPGMSDRTRTRFTLTEEEA -IQIEKEERSKAQPPSLLDSYMRATTLTFLSESGVLSLLNRYNSEWEREVAEMSENERHGT -MTYMCTTMILEQLQGESYDKERVQIVWPARKCAVQTITDSIARRLCMEQTATTTIVETYR -MVKTLYNKAISEGKVLSEIHGGEMITDPDENEPPGKSAGQDPLVPGTTKTGQSSQVPEQL -YRTRPPYKKGFSIAQVGDHFVYHKE ->YP_009388618.1 hypothetical protein CFB74_gp2 [Culex mononega-like virus 2] -MNYITPTILVAVIVIAHTVAIRSLSYDMTDRVPVIALINDHASWFMKLTVSPVTINFYAT -LTSFFATLALMGVVAKNWRAYVRDIQRGVVRAEVLMRSA ->YP_009388617.1 hypothetical protein CFB74_gp1 [Culex mononega-like virus 2] -MDNTVSALRTLSLVQVENARIVTPGIRRNERATTGLVMDPNGVEPLPILYWRTFEEAEEV -FYMTDYGKQSAKNFANPAVHRMEVAQPIHTEYRMVTPYRMGLATSLRLKWETCGYESRGA -YRTYTTVDGIERFQINLFCIPLMRIPGFFENLREYQEHPDEGIQISDGSYILGDLKNRAV -TEDDIQNVLDYNEEHPEKPQRIPTLGALMDFRERRFLNDIDNQWDKAIHDVEVPADALMK -TENEWEYTAFLNVYSFTKMASGDTEVTRNSRYKANLARRLNALKALKSDRDLNVDDFINT -KITLTHLMRHFDDMSYYPRLKCTILKTLMNSQDPLCANLKMLLSEHQMTVFCAIAAFIAT -KELSVLHILHEVSCHYGQFVRTIEGLKKKFGTEGWRYVKLLDPLNQVTSGQIYAELGTAA -RAWIAVQPGHESFGNLVNKGKIPARYKIYASRPVPPDCLEEGVNMMNVVRDNLERIGFTI -KLEQFTQAKWAELVVTTAEQRAAVGNRV ->YP_009352878.1 Proline-Alanine-Serine rich protein [Beauveria bassiana polymycovirus 1] -MSDDVARIAPTLRGRDVTTLRGLSDADWKLAATIMSCGVAPQRIAKALREYSPGREYEVV -PAGMGQGKRTILAYSFVRDQAQYAHTYGQSDAWAGEMRGLLEKDPDAAKKEIAAVVNARL -SARGSSALAVVTDGQPRGSPGAAATGGASDVNYPPLQALMVRYSAQAGVFRFDAEDDGEP -GPRRFIVRLGGPLVSGAQKKATAIMAARLARVVGRGHSAVAPYMGVRDSDGDFLWGQEIP -DDAPDGRPAARRESVTTAPATKTSPRKSPPQPAAGDDAKGEVGELAEKLTSASLDAATGS -SVGKTE ->YP_009352877.1 methyl transferase [Beauveria bassiana polymycovirus 1] -MPARNIQGSPRRVSAPTFPELPLRPRPRAARSDSSRSVSTARRGDAGHESVQGVVPLTRI -DFSQHRAAVSQGELPLDVAWVDDGGRRFMASPEGKELSRRERRYATLTREVLSGVVRLRG -ARVLVLGCGSSRHLLSIAKAAPECMVFVDTSEAALRRMARLMGEAGYSEVVDDEYQCVDA -WAYAHSDVCRDFDVVLITKCLGQVFKHEPSRKSVYGLLEALQHGVRPDGVVVVDHHTVFS -HHPVGTLVTDIVVRGQRDRATIGGRFVDDVCYSAETTHDGFDLVLQWNDGGDPLCAQSWE -QFVYRRVRPPRVPRTGVVYPVHDVAPVPFDMVPMQEVDQDLDMIVPRSFNGVKRVVTESD -VSALDLSTALPKFDGIAGTLIISGNDAVFLSSSYRSVHRLSFAFSAPLVLAAELVEIGGG -EAVIAIVGAFCVADVECDPYSFADLQAVADVLRPLSVDGFFVTDPAILRTVDDHDFVRLG -PSSGRHVKVPIDGINLVRDGIGGEFIKPNSKCTVDLAAREGQEILREAYARLGLRSGDLV -SAAASDKSTLVCEFAVDPHSLFEWSVKRCRFDKRFSNTLGRTMVDVCSALAAFDVFDTGG -VRTMINRLTA ->YP_009052474.1 hypothetical protein JT07_s5gp1 [Cladosporium cladosporioides virus 1] -MSFERSAVNSWARSVPVFANPDSPTSPAAGRREESPAARHGATPPRGRPAAVNPRSSGQP -PPVINKHVTHQGSSRVGGVTVYPGSSVSQDPERRRQRYHHSPPEHGRRDSSPHGSGPPGS -RAGSSATHEISRGSSLHRRADFGQRAVEAGRPVGYSSRRRDTVFSDAARMVTVPEGGFVE -YESQTVQHTDGTSVTTERVSVSSQGSRRSHRSNPRKVKEKRDGNEKRKKRMTIGEWMQT ->YP_009052472.1 hypothetical protein JT07_s3gp1 [Cladosporium cladosporioides virus 1] -MRRTGFSRDSLSRQSYAPSVQNMSRRPETRRRASVLSTTSLATSSNRSVSVTAQRGPQVQ -PKAPLELYEYGDPVAQFSNEFRHNPQGVSDAVHLRYLTQEQLNSVRADERRAGRALVDMS -SALVPVNGARVLILACGRGATIAAIARYGPASMTLVDSNPDVVAGALEQVERIGQSASVN -VFPVVDDAWSFARSDDGAYDLILCVHSVAQIIKSSPSGSEGFANDVSQLLAPGGILIMDE -HMSFTDVDGVAPAGVSDRADRHIATGLGKFNDDVPYMLPRVLPGCARRAEWVTPGNPHPM -QRWFYIAWEKRSDRENVRPPAPTTFPRLAPLPACGPVSDPVLFELSYPRASRGTKLPISR -DDRRVVQPGRLMPKVDGTAAVLLLDGSLALHSGPKMGGAFRLPCHFDVPTLCTAELVLSS -SGDYLLFVTGVIDRGGRPVDPSSNAELRTLDGLHDYLSEVGIIVNSPDLIPHVSGNTLVI -PGSPAGRTVPVDGVNLLANGRWGRFFKPSSTLSIDVAADDWAIIKGDLVETFRLTRPFGN -QGSPDFPRLGPANSGGVVEVGVEFDGLMPHLRPLRGRPDKRSSDKTGKVLAFLSALVRIA -PIAVEVSTTSRLINFLNTG ->YP_009094044.1 matrix protein M2-2 [Pneumovirus dog/Bari/100-12/ITA/2012] -MQSDPICHLHRGEDKFFYENRMIRLPKYYPAILHKMYIIGVNRNLTYDGSRPSTIIDAGK -SVVWNRVDVIACVKEALCCIEFSWSNQVIIDFDYSQAR ->YP_009094041.1 attachment protein [Pneumovirus dog/Bari/100-12/ITA/2012] -MRPAEQLIQENYKLTSLSMGRNFEVSGSTTNLNFERTQYPDTFRAVVKVNQMCKLIAGVL -TSAAVAVCVGVIMYSVFTSNHKANSMQNATIRNSTSAPPQPTAGPPTTEQGTTPKFTKPP -TKTTTHHEITEPAKMVTPSEDPYQCSSNGYLDRPDLPEDFKLVLDVICKPPGPEHHSTNC -YEKREINLGSVCPDLVTMKANMGLNNGGGEEAAPYIEVITLSTYSNKRAMCVHNGCDQGF -CFFLSGLSTDQKRAVLELGGQQAIMELHYDSYWKHYWSNSNCVVPRTNCNLTDQTVILFP -SFNNKNQSQCTTCADSAGLDNKFYLTCDGLSRNLPLVGLPSLSPQAHKAALKQSTGTTTA -PTPETRNPTPAPRRSKPLSRKKRALCGVDSSREPKPTMPYWCPMLQLFPRRSNS ->YP_009094040.1 small hydrophobic protein [Pneumovirus dog/Bari/100-12/ITA/2012] -MDPNMTSYQITFEINMTSSRIGTYITLALTALLLACAVINTVCALVMACSSRSIATSGIV -SSQCTVHPNHPPPSYGVNVTGLPGNLYSRNTT ->YP_009094036.1 nonstructural protein 2 [Pneumovirus dog/Bari/100-12/ITA/2012] -MSTAMNKFTQTVSKPATILNISDSEESGDEAGVGKVSRTTQSSERWLDLLIEKFQPSLQN -ITRYINWNFIRICNDRLKKEKMGYIEAKQYVEDMAWMVMAAEADSIEWKCIRRQEKVTGV -KYPKFFFVQHKEDWVECTGCIPYPGHDLICNEDDDN ->YP_009094035.1 nonstructural protein 1 [Pneumovirus dog/Bari/100-12/ITA/2012] -MGCNVMMELGYGGRAAWLAFHITNFNRSDLETILRDARVCSTWQDQRLSVYLVGRDCNLL -RPFVQAAKFIHNTRRGQTLTHWFTKDIVFSPTGQEIEPPIDPTCELLAELISG ->YP_173334.1 Matrix protein M2-2 [Pneumonia virus of mice J3666] -MQSDPICHLHRGEDKFFYENRMIRLPKYYPAILHKMYIIRVNRNLTYDGSGPSTIIDAGK -SVVWNRVDVIACVKEALCCIEFSWNNQVIIDFDYSQAR ->YP_173331.1 attachment protein [Pneumonia virus of mice J3666] -MGRNFEVSGSITNLNFERTQHPDTFRTGVKVNQMCKLIAGVLTSAAVAVCVGVIMYSVFT -SNHKANSTQNATTRNSTSTPPQPTAGLPTTEQGTIPRFTKPPTKTATHHEITEPVKMATP -SEDPYQCSSNGYLDRPDLPENFKLVLDVICKPPGPEHHNTSCYEKREINPGSVCPDLVTM -KANMGLNNGGGEDAAPYIEVTTLSTYSNKRAMCVHNGCDQGFCFFLSGLSTDQERAVLEL -GGQQAIMELHYDSYWKHYWSNSNCVVPRTNCNLTDQTEILFPRFNNKNQSQCTTCADSAG -LDNKFYLTCDGLLRTLPLVGLPSLSPQAYKAVPTQTTGTTTAPTSESRHPTPAPRRSKPL -SRKKRALCGVDSSREPKPTMPYWCPMLQLFPRRSNS ->YP_173330.1 small hydrophobic protein [Pneumonia virus of mice J3666] -MDPNMTSYQITFEINMTSSRIGTYITLALTALLLACAVINTVCALIMACSSRSIATSGIV -SSQCTVHPNHPPPSYGVNVTGLPGNLHSRNTTQHHKQQKLSFNKPQARQLYPAR ->YP_173328.1 P2 [Pneumonia virus of mice J3666] -MSPNITCPLYCVAHSNSLPRELLQILLNPLLPLPLHHPHLPRTRKSSPKSLMLTLRLYMS -MGFLTIRNTARSHAAQMIPILRKLGSRWSPLWNPKRNLSDWELACTRRPCKPLLLMVMMK -KATYRLRRLTKSRVLHL ->YP_173325.1 nonstructural protein 2 [Pneumonia virus of mice J3666] -MSTAMNKFTQTISKPATILNISDSEESGDEAGVGKVSRTTQSSERWLDLLIEKFQPSLQN -ITRYINWNFIRICNDRLKKEKMGYIEAKQYVEDMAWMVIASEADSIEWKCIRRQEKVTGV -KYPKFFFVQHKEDWIECTGCIPYPGHDLIYDEDDDD ->YP_173324.1 nonstructural protein 1 [Pneumonia virus of mice J3666] -MGCNVMMELDYGGRAAWLAFHITNFDRSDLETILRGARVCNTWQDQRLSVYLVGRDCNLL -RPFVQAAKFIHNTRRGQTLTHWFTKNIVFSSTGQETEPPIDPTCELLVELISG ->YP_009924384.1 nsp2 [Rousettus bat coronavirus HKU9] -DVYLSDQYGFDNNGILVEPVMKLLGVIKSDFTLEQLLAALGKYRTEDGYDLPDGYVKVAI -KVGRKAVPVLKQSIFTVVGVTEQLVPGYYYPFSTSSVVEHTKPTRGGPVGKTVEAVMLSL -YGTNNYNPATPVARLKCSYCDYYGWTPLKDIGTVNCLCGAEFQLTSSCVDAESAGVIKPG -CVMLLDKSPGMRLIPGNRTYVSFGGAIWSPIGKVNGVTVWVPRAYSIVAGEHSGAVGSGD -TVAINKELVEYLIEGIRVDADTLDNPTCATFIANLDCDTKAPVVHTVESLQGLCLANKIM -LGDKPLPTDEFHPFIVGLAYHVQRACWYGALASRTFEAFRDFVRTEEERFAQFFGKVCAP -INGCVYLAYTTGRVTLFSAYQVLNTAIAKSKDAFGGVAAIVVDMLKPILEWVLKKMSIAK -GAWLPYAEGLLALFKAQFTVVKGKFQFLRASLNSKCHSLCDLLTTIMSKLLTSVKWAGCK -VDALYTGTYYYFSRKGVLTEVQLCAKRLGLLLTPKQQKMEVEVLDGDFDAPVTLTDLELE -ECTGVLEEVFGASDVKLVKGTLVSLASKLFVRTEDGFLYRYVKSGGVLGKAFRLRGG ->YP_009924383.1 nsp1 [Rousettus bat coronavirus HKU9] -MEGVPDPPKLKSMVVTTLKWCDPFANPNVTGWDIPIEEALEYAKQQLRTPEPQLVFVPYY -LSHAPGISGDRVVITDSIWYATNFGWQPIRELAMDKDGVRYGRGGTHGVLLPMQDPSFIM -GDIDIQIRKYGIGANSPPDVLPLWDGFSDPGPDVGPYLDFPDNCCPTKPKAKRGG ->YP_009944291.1 nsp11 [Betacoronavirus England 1] -SKDSNFLNESGVLL ->YP_009824995.1 hypothetical protein ORFy [Bat coronavirus] -MHPVELASNIVCNTLQHIFVDFRRYGFSVSGSPRCKATGYCLAVISKRYLERNPGAYPCI -PLLRQRPDLLLSAVRVIPRNSATILAVIKLAVAMIRDNPTRFTMRAAFVSLVYLFVSMGD -LSHCFGYLNHPRHGWPEPIYNCLEQE ->YP_009824994.1 hypothetical protein ORFx [Bat coronavirus] -MRLFLLILSLSLPFSHCFNVAFRLHAICTDFPDTWCKQVNLPCEGSVITYRQGSASLQLC -FEITAVPYSDVGTSHLFTIVDPFGRHICTYQRGVVELPSAKWGQRHVLVTVLAKADRFVH -VPCEGQIARVRQGSTIVTACVQTTGWQFGELLRQYIVRTEQHCALFTYAIPVSDESVYYK -DEL ->YP_001039977.1 hypothetical protein NS7b [Rousettus bat coronavirus HKU9] -MDPAFGNALAANVLLNICQQMQTDIHQHGFHISGNSFCRAVAWCLARLSEEFDVPDETPF -IYILCHRPYLLLRAALELEVTVGNLRTLLVMVRTIMQYDTSRTATHGMYAALAAYFHRFP -ADFRFQFLLSEDRDWPLHIKCDLVRETTV ->YP_001039976.1 hypothetical protein NS7a [Rousettus bat coronavirus HKU9] -MRFFLLLCFFLPCFQAINLGLKVLELCTTGSCISQSASCVTGDIVNVTQVDRTHVVMCAD -FRHIKYTDVGRTFRIKFSDVTCSWENTSPADVVFPGNHILQFTVLGVCFDNKVVGGCQNI -NEPCPEPHTVFHLQREDITLLELCVQAAGFNAQDVGVKYDFSLRHKNCLRYKRTLPPHEV -VDEDY ->YP_009944376.1 ndp11 [SARS coronavirus Tor2] -SADASTFLNGFAV ->YP_009944367.1 nsp2 [SARS coronavirus Tor2] -AVTRYVDNNFCGPDGYPLDCIKDFLARAGKSMCTLSEQLDYIESKRGVYCCRDHEHEIAW -FTERSDKSYEHQTPFEIKSAKKFDTFKGECPKFVFPLNSKVKVIQPRVEKKKTEGFMGRI -RSVYPVASPQECNNMHLSTLMKCNHCDEVSWQTCDFLKATCEHCGTENLVIEGPTTCGYL -PTNAVVKMPCPACQDPEIGPEHSVADYHNHSNIETRLRKGGRTRCFGGCVFAYVGCYNKR -AYWVPRASADIGSGHTGITGDNVETLNEDLLEILSRERVNINIVGDFHLNEEVAIILASF -SASTSAFIDTIKSLDYKSFKTIVESCGNYKVTKGKPVKGAWNIGQQRSVLTPLCGFPSQA -AGVIRSIFARTLDAANHSIPDLQRAAVTILDGISEQSLRLVDAMVYTSDLLTNSVIIMAY -VTGGLVQQTSQWLSNLLGTTVEKLRPIFEWIEAKLSAGVEFLKDAWEILKFLITGVFDIV -KGQIQVASDNIKDCVKCFIDVVNKALEMCIDQVTIAGAKLRSLNLGEVFIAQSKGLYRQC -IRGKEQLQLLMPLKAPKEVTFLEGDSHDTVLTSEEVVLKNGELEALETPVDSFTNGAIVG -TPVCVNGLMLLEIKDKEQYCALSPGLLATNNVFRLKGG ->YP_009944366.1 nsp1 [SARS coronavirus Tor2] -MESLVLGVNEKTHVQLSLPVLQVRDVLVRGFGDSVEEALSEAREHLKNGTCGLVELEKGV -LPQLEQPYVFIKRSDALSTNHGHKVVELVAEMDGIQYGRSGITLGVLVPHVGETPIAYRN -VLLRKNGNKGAGGHSYGIDLKSYDLGDELGTDPIEDYEQNWNTKHGSGALRELTRELNGG ->YP_009944335.1 nsp11 [Tylonycteris bat coronavirus HKU4] -SKDTNFLNESGVLV ->YP_009944279.1 nsp11 [Human coronavirus HKU1] -SKDLNFLNGFGVLV ->YP_009825060.1 ORF8b protein [SARS coronavirus Tor2] -MCLKILVRYNTRGNTYSTAWLCALGKVLPFHRWHTMVQTCTPNVTINCQDPAGGALIARC -WYLHEGHQTAAFRDVLVVLNKRTN ->YP_009825059.1 ORF8a protein [SARS coronavirus Tor2] -MKLLIVLTCISLCSCICTVVQRCASNKPHVLEDPCKVQH ->YP_009825053.1 ORF3b protein [SARS coronavirus Tor2] -MMPTTLFAGTHITMTTVYHITVSQIQLSLLKVTAFQHQNSKKTTKLVVILRIGTQVLKTM -SLYMAISPKFTTSLSLHKLLQTLVLKMLHSSSLTSLLKTHRMCKYTQSTALQELLIQQWI -QFMMSRRRLLACLCKHKKVSTNLCTHSFRKKQVR ->YP_173243.1 nucleocapsid phosphoprotein 2 [Human coronavirus HKU1] -MLEVEAPLEIVQESSRKLLGLTNLSEITKPLIEAEKPNLNSLCLLNHKEILSHIIPGSPG -SLNFKKVETLNFQMVKEFPLLSEYPLLKQKDIGIDTAGVLLKQLMVNKSSCYRDGISTIS -VPAHMPMHPMVNPSKGSSGLLITKLTLLLPPMFRQGILLLKKLSLLGFRLVRFCLKAIML -KAQEGLLLIVDQVHVLNHVDPIIVH ->YP_009944264.1 nsp11 [Rabbit coronavirus HKU14] -SKDTNFLNGFGVRV ->NP_740606.1 nsp11 [Murine hepatitis virus] -SKDTNFLNGFGVQV ->NP_115455.2 putative movement protein [Maize rayado fino virus] -MPLTPTPSTRPSRPTSFSMSGPTTLGVRLTSCSSSLRSSPSSSPDSPTSPTSSTTGSCPK -TPPGTPPLPRTSRTARPSSCMMLSCITPQGRSLTSFSSVPSSRRSMPPLSFRLSRASRIS -RSIRNFTASVSRVLTLSMSRRATQPPTTRSRVRPSTGSRPPVSPLVTSSSPSPFSTLSAR -SIPSSSSVAALPSFRPKTSLPSAFRTPSPSPLLPPSTRTFVTGWSPARCTTRSSIMSEPS -APFGLPTPPASSGLRSASLSTAGSPLPPGTTFSTSRSRPPPFVPTPRIPFSSRPSLACPT -GFAPTLGRSGAWLPPRPLSLPGPLPVPSAGSSPFTPTVSGCSASTSSAGGSGLVSHSMAP -SQGSFGRPIQPAARQCSLPTQPLSAKSLLVWQTGVLPPPSGRVCSPRPLRRPGSPTQPWP ->YP_009055055.1 3B [gallivirus A1] -GAYTGTPAVKSKTPEPAPRKNPPRARRQ ->YP_009055054.1 3A [gallivirus A1] -GKLACTFNNPPSDSEDDGYEEIEVLSSTPSEVQVAISQNQDISVIKKLWQWRKPIFVGTA -VLSVITSLTVLISLTYSYFKERRQ ->YP_009055047.1 L [gallivirus A1] -MFHSVHSVYSKSSLEKMECFKKIFDEVACESGFSGIDDPAIDQPLANRLGCTVSRQRRLQ -LARRILFYAPGSGKPQKKTYLHSSLPKSQYDPDAPCPFPYLDPPPTPTPPPEPLDLSDCD -LDFSGCMLNDDLFYYYQNGSLPFGAALTLEQIQDFYYGKEAVPHGNITYNVTGSNNSFDT -SQ ->YP_009179375.1 3B [sicinivirus A1] -AAYTGKPPVKPRKQVAERQAPLPPPAPVRH ->YP_009118288.1 3B [rabbit kobuvirus] -GPYAGPTQKVLRPPVPRTVVAQ ->YP_009118284.1 2A [rabbit kobuvirus] -GRVRAMEVMPRRRVEEKRVSEIKDWMVWETQKLPVIETADGPMHVIPISEELVVAPYHWV -LADWHNNQRELVWADSDRDVVCFKHKTTHQPKLCTCKRGYWTANMCMFNTTKRARFSTWV -EGFEVESETRGDHIQLDAIQISKPIPEGWCGSPLFCEHGIVGMATASTDSSSFFTHIASM -PFVKFPGTSRWDAEEQ ->YP_009118279.1 L [rabbit kobuvirus] -MVTTERHIDMAFQFVSVRSFECYILKTNHQSIMYWISIINNNGKLVAVINLSRRRRVNQK -AKQTGANVSKPSSGNVPMGNHVQ ->YP_001686946.1 3B [bovine rhinitis B virus 1] -GPYGGQAKPPVKVDKLKVNPLITTE ->YP_001686945.1 3A [bovine rhinitis B virus 1] -MGKYTTLDNIKKHRPTVIPFSGQGYQPGRDINASPEMQEKLLKYLVKHEHLDAALNFYNE -ECDEEVCTKWGPSIGEYLKVKTLWMKVKKYSHLFLTGLMLIGNMLLLYLNNRTPEEKKKK -KKNKTEEDNTNKE ->YP_001686942.1 2A [bovine rhinitis B virus 1] -LSTRRKLRLTGEIVKQGATNFELLQQAGDVETNPGPASF ->YP_001686940.1 VP3 [bovine rhinitis B virus 1] -GIVPVAAKAGYSGFCTTSPITADPVYGKVVNPPRRHIPGRFTNFLDVADACPTMARFTSK -PSITTVSGASERLLATIDVSLVSHEMSFTYLAGLSSLYAQYRGSINMHCIYTGFVSDKAK -FLLVFVPPGADPPTTLSEAQHCITLEWDTGLNSETVFNIPYISQTYYTSTHSSTADIGNV -SGRVQIYQVTAPSSTSELLVLFSSGRDFQLRCPVEPVKQ ->YP_009273013.1 putative NS7c protein [Rousettus bat coronavirus] -MDPAVGNALAANVVRCIAQDLHFDTTEHGIWVTGDPRTRAIAWCLSRISAEMSFPSYSGF -IRLVCHKPYLLVRAAMELPITWLNMRVLVTMVRTILQYDISRTMCRQLYCALAAYFHRVD -SDKFFDQLVTGPYDWPLHVKLSLLREATV ->YP_009273012.1 putative NS7b protein [Rousettus bat coronavirus] -MRLLLLLALVSAASSLTFKFNFQYHCVDGDQPNCRELVSTCQHVLVPVKATPNVTLFMCV -KLEGVRFQEVGVTHYYSLVNPYGKWQCQHTTTREGTLKWGTKTITLTVLAKGFGVQLEQP -DALCDAGAIFTTRIHHEVAHVCVSFKGFYFDESVRLFILSTTPDCSLVVQPLVSETRYVS -KPEGRVARIVDEL ->YP_009273011.1 putative NS7a protein [Rousettus bat coronavirus] -MKPVLLLLCLLAAAQAITLSFKVLSVCDGTACHKHTLISCPQGDVVNITQAERPFTVIAC -VTFTHVLFSDVGKSFQLTFNDQLCGLEQVEFYDTVSVRGQHVIRFGFTSVCFDNGIVGGC -QTYHLACPQHQSILHLREGDLYWQEACIHTSGFTLADVGKRYEFSLRHRNCLRHVIKPHS -FTEDDSS ->YP_009072445.1 ORF8 protein [Bat Hp-betacoronavirus/Zhejiang2013] -MRYLFLIVAICTGLTAKPVTFTHNHGRHRVVVVDWTDSTTLILNHVDEPITSSTANRHCC -NYKVGFCTHIVVEAYSTISVRRIPLDPKNFCAWHYAPCTHNPHIVCV ->YP_009072444.1 ORF7 protein [Bat Hp-betacoronavirus/Zhejiang2013] -MNFKSIYEVITLLFTYIVFVTVVITNNSQKLLECCLRLIRKIQSVKHEKQNTRCLNTWHL -VSSEHGIEDLPV ->YP_009072441.1 ORF4 protein [Bat Hp-betacoronavirus/Zhejiang2013] -MDFFSLYTFGSFHMHAAKLASLLSNSTEHVEQAVQHLNTKLTADIMLCIFLVIYLICYFL -RTDSFIAVVFKYLAGLLTGGFLCLGLFLDTPTLLLKATIGVVLFMFSLGFICRITLAIRC -KSLVPLCADDDCFVNYNAGGKTYCMPFDPNEPYLTLVVHQNGITCGSYKLYGDVSIADRI -YLVTLTKSVPYSLQNIFDAELCTIAFYIADCAVIEDHTTAGKTPRLELKSDPIYEVPCAT -IDVPL ->YP_009513012.1 ORF3b [Betacoronavirus Erinaceus/VMC/DEU/2012] -MKVPCSMHVFKMLLAQQLAHTLTLNCLTQCLIMMVLLTMMPHKTAMLHLVMSIVSFTNLM -NPVSLLNQFWQSYNSVINTAEDWVGPPQYSFYPVLNTVSNIQWFCTATFLGYTVQTLDNT -KALAKQKAAQQLLQKLNDGL ->YP_009513011.1 ORF3a [Betacoronavirus Erinaceus/VMC/DEU/2012] -MQSKRGILTLIVCNILAMSLAKHFIPEHCANYEGAMFHACVQNAISTAAGTYTNTKLSYP -VFDNDGVTYYDATQDRDATPSYEHSEFYELDESSFSAKPVLAELQ ->YP_009742609.1 nsp2 [Severe acute respiratory syndrome coronavirus 2] -AYTRYVDNNFCGPDGYPLECIKDLLARAGKASCTLSEQLDFIDTKRGVYCCREHEHEIAW -YTERSEKSYELQTPFEIKLAKKFDTFNGECPNFVFPLNSIIKTIQPRVEKKKLDGFMGRI -RSVYPVASPNECNQMCLSTLMKCDHCGETSWQTGDFVKATCEFCGTENLTKEGATTCGYL -PQNAVVKIYCPACHNSEVGPEHSLAEYHNESGLKTILRKGGRTIAFGGCVFSYVGCHNKC -AYWVPRASANIGCNHTGVVGEGSEGLNDNLLEILQKEKVNINIVGDFKLNEEIAIILASF -SASTSAFVETVKGLDYKAFKQIVESCGNFKVTKGKAKKGAWNIGEQKSILSPLYAFASEA -ARVVRSIFSRTLETAQNSVRVLQKAAITILDGISQYSLRLIDAMMFTSDLATNNLVVMAY -ITGGVVQLTSQWLTNIFGTVYEKLKPVLDWLEEKFKEGVEFLRDGWEIVKFISTCACEIV -GGQIVTCAKEIKESVQTFFKLVNKFLALCADSIIIGGAKLKALNLGETFVTHSKGLYRKC -VKSREETGLLMPLKAPKEIIFLEGETLPTEVLTEEVVLKTGDLQPLEQPTSEAVEAPLVG -TPVCINGLMLLEIKDTEKYCALAPNMMVTNNTFTLKGG ->YP_009742608.1 leader protein [Severe acute respiratory syndrome coronavirus 2] -MESLVPGFNEKTHVQLSLPVLQVRDVLVRGFGDSVEEVLSEARQHLKDGTCGLVEVEKGV -LPQLEQPYVFIKRSDARTAPHGHVMVELVAELEGIQYGRSGETLGVLVPHVGEIPVAYRK -VLLRKNGNKGAGGHSYGADLKSFDLGDELGTDPYEDFQENWNTKHSSGVTRELMRELNGG ->YP_009725312.1 nsp11 [Severe acute respiratory syndrome coronavirus 2] -SADAQSFLNGFAV ->YP_009725255.1 ORF10 protein [Severe acute respiratory syndrome coronavirus 2] -MGYINVFAFPFTIYSLLLCRMNSRNYIAQVDVVNFNLT ->YP_009407901.1 hypothetical protein [Lasius neglectus virus 1] -MSDGFPFWKQNLLGPSNGNAVTWTNNPIYQSPEAAGISWYDQVEHWNPSSEGYQGFKPSN -TNDTFSHWFDNNKNYSGLNETWNRTAETVAQNAKAPAPKVYATSTPANASIAPPVAAPST -SGIVNPAAGIQAATASTAEAGAVANKAADITTGVVQTAEGVAGATPVGMIALINSMLGDA -TAAGINASNQNAISKNYIQNSMAKGSQSGFQAGLIKESELAHASVTNAGAKIGGIAGPLG -AWFGSLIANAIQDSTPKDDYNNFKTGYSFDGKVNPQDTGLVGSGTTADLSGETNMTTL ->YP_009407902.1 putative transmembrane protein [Lasius neglectus virus 1] -METQLPGLTIRFTNLPKPLEFLGMIRLSIGILLLKVTRALNHLIPMTLFHIGLIIIKIIQ -ASTKRGIGPQRPWPKMPKLRPRKSMRLQPLPMRQLLPLWQPPQPLEL ->YP_009407939.1 hypothetical protein [Lasius niger virus 1] -MNGIPSTSASFGSQHLSSAVDPSLSFLSNPMGISFFGNSNYGTPNQIFNKGSNSLSSDAA -ANTYIGSSASDSRQLDALWGIGDDNPIIDDDQKALDDYDASKSDPSFNYGPGEESAAIDT -DIPIETGIAEGAEIASAGTPWGLAALINQQVGQSVNSAITTGQENISSQDYMSNINQHGV -NVGLNASLIQNQQQQTIKAGSAGGGIGSIFGPLGALIGHAVAGTVQANPDLFNTAASSSG -WINPTDTTAANSASAAAPTGDSTMQDNVD ->YP_009407940.1 putative transmembrane protein [Lasius niger virus 1] -MESLPPQLRLAVNICRLLLTLVYLSYQTLWAFLSLATQITGPLIKSSIKALIRSLRMPLP -IPTLDPLLPTHVNSMPYGELETIIR ->YP_009407933.1 hypothetical protein [Solenopsis invicta virus 4] -MHGINFVPASSGATTNGSSVTWNSNPTYPPESAGISWYDQANHWEPNDENIESTGTNNNE -FNEPYWSTRSESNGYSWNNSAEVEWNRQPTTNPIIGANQTEAAVEAPTNNSEGLSQQFQN -EAMTASEAFEAEEGIESGVKVGESFGGPLGIGAIILQSLGDVTTNALTAGMKETQASDYT -QNATQQGIGAQLQAGLIEKNETATIDQVSAVSKGFDLLGPAGAGIGYAIGQAAFQPGDPY -LNTVNSFNGMTNPQDTGIVQSLNTDSASGATQQVENV ->YP_009407934.1 putative transmembrane protein [Solenopsis invicta virus 4] -MESISSLHPVALRPMVQALPGIQIQLTLPNRQVFLGMIKQIIGNRMMRILRALVLIIMNL -MNLTGQLGQNLMDIAGITQRKLNGTVNRRQIRSLVRTKRKQRLKLLPITAKDCLNNFKMK -L ->YP_009407945.1 hypothetical protein [Myrmica scabrinodis virus 1] -MSFLPGNKFQFSDPSTHHAGNPSNPYNEVQWRDNPIYRSPEQSGISWYDQINHWDPNSES -IFHISQANTTSAVPYWSHNDYNLASIRNEWGANSPANSVNASSISGMSNRSFETNPLSPK -NVASTSAPSVSGLSGELEGPLNAAKSLASGAGTAANVVKGVEATASATPWGAIALVNSML -GDATAAGIDAGNRSTINKDFQANSMQPGSASQYQAGLIKDTQQIHANNELAGARIGGVFG -PLGAYFGSLLANALQDNAPRDLYDSLKTGYSFDGKFNPQDTGSVNSGTTANLSGETNMQD -NLIT ->YP_009407946.1 putative transmembrane protein [Myrmica scabrinodis virus 1] -MQEIPRTPIMRFNGETIPSTGLPNNQEYLGMIKLIIGIPILKAYFIFLRLIQLVLSLIGH -TMTTTLQAYGMSGEQILPRIPSMRPVYRACRTGLSKRIPFRRKTSRRLLPLQFQDCLANW -KDR ->YP_009824972.1 ORFx protein [NL63-related bat coronavirus] -MPPFLLTLAIVLEFFILEELPFALFLAEWFSEFVNVVLAVGLFGLNAIFTINFFLVWVGL -LCMIPVLHDALQPGVIELWLDLLRRLHDFFVWLMFC ->YP_009346020.1 nonstructural protein [Anhanga virus] -MLNYYLFDRPVVKKAEKKRVVYYMAHNNYVSKPVACYHGLEFIVDHFKPEPSACLDFHEF -YDRGYLPTLIQGDGPSQVRSSAPPPDGIFEFISDFSTDIFESFDEMSMLDAVRWPTGTPS -LQFFHHWRNRSPGYNWTSKSMIASELLTATTEMCLCCAFPRMHGFIRNIARRMDLDLKLF -PGGDIVTEICHIQCVKMMKAALIERGEDKPLSPVTELILTAMGEYTREYDPMLKELMDEA -ANYFDETLK ->YP_009176998.1 pAG2 [Santa barbara virus] -MERGVFDPLVNWLSQASNNVKFYFWVIWLLMLTLGFLFVLSKAIDLISSIILYATQFYKA -FQISLRWITKKCKNCKTNNNQISNKRGLRSAVALV ->YP_009176995.1 P protein [Santa barbara virus] -MEKFNAGSVKDHYNFSELHATLDAGLAAETDSLDLENSKASNEKTSGKSYLTELQEEEVK -KATQTMQDRGDWTDDLYKYSPVEEEASEEILSLERTSIKTDYLYPDQEAQLAGDLLKLVE -WINFQCNSNFQLKPRVNKEIIIYINQAAAASTKGNKKHRDTDQARDQEALPEASDPNHTQ -KARETKVHSKEKQNRILWNALKEGFPVFDKRGRRTKLTAKGLGIPKQSIIKFEEMFGPNL -KSLLKHYCLYSQIKKKGFIIPESL ->YP_009176994.1 pAG1 [Santa barbara virus] -MAPILPFHVDLTAHDASSAQLIADQIHFLIGFINDLNTPLRLVGIVKQGYWIKIYALPDQ -LNKFMMDLVNPLIFGPNSGLI ->YP_009755888.1 putative glycoprotein [Guangdong red-banded snake torovirus] -MILILLFIALSSATSPIHDVCGPGILARLCNLSCQELSDSWSFDFVPKHQPYDKVKIGII -NDTLPIDQIVSGGFYFPIGLYKNNSQDFLHYLKDLVNLNTSNPQYIKMNGTCKIKFAQNK -NFITESRTIFVPISEFANVSQPQIPKHTRFVTNANFTLDSFTVHLNDSNCNNTNFDLFGY -NISQLFNLRKHSTSTIRNDTRPFYISFIKNQIGLSLDWSLQGNGTQFYVLHFNSTTHKIY -GSLSQYIKYLTVPKYHVSFNSDRGVPTPYNQPLKAYQNCMTYSKTQIGYFRLLNAIMYYN -ASVQCPYLGGYGKYCPKVTQRCFTNAYESYFGDIYKFYLLRAHHCISEFVDLPKQPEFLK -CLSNFTTDWATTGLSTYSLHSLDSCLHPIINNQVLNVVLLALITEQSRVSDIKTYLDLFE -SNGELSYDLHNIYAKPVDTKGYVPFVAYLLSWYVKLCDISSFKYIYAFVNRVCDHTFSTS -SNTIYLPFCYIFSGNMYEFSKWYSKGNIQPEFLELLDYYVDFKVANSNKTFCNTTSIDKL -CLRSPFKVQASNYDVLGISPHGENQIKITIKSSTSIKGCKVLGLNCIFLASSTSQFTIGS -DYSLPHPTTPRPQITTYPTQVGSTASTVLSTTVAKPEKPSVVCNHLPPIFITLLVITIIY -VAVQVWLNKSKLKTRLRQTMK ->YP_009755887.1 hypothetical protein [Guangdong red-banded snake torovirus] -MANQRRRRNLGPRTNQNINLTQLVAALNRNAQPKRQPKRRQQPNQNKKIDQRISQLTQVV -TKLAISNAPKPTNLYHFFRSEGESVPSMPHPPDLETDLRLRASQNGIAKMSSDVRAAFVS -GAGEFTGTPDGKVAYHLIFIPLRAADIKPSAVDEKTN ->YP_009755885.1 hypothetical protein [Guangdong red-banded snake torovirus] -MLFMLSPLTIFLFVPIVVSTMATNSTPTATTSAVTPCPTTLQVQPPSVTLVNCTCTVGLC -AIDKTSVSQVFGAMYEKNGMYYFGVSVVVVASNFTLNSTCHSGIVEKSDVYTIPINDSCQ -LQIPGQFFKYTGDNPTFTSQPISLCPTTAQIQNPLPVVNVTPVIEGFLDKQVKCQNFSYL -AQAIAKGPGGGDIFLISIATMLLVLGMLLFWCCCLPQKTKKSTNKYY ->YP_009755880.1 hypothetical protein [Hainan hebius popei torovirus] -MLLFMVLLITIFCLVVGLMAMLVLCPLVSTILVVMQYVMRRLMLVKRLRDVILLVLVSLR -AKVMLKLGIKNFLMFLVIYKMKLILKLFIIKLLLVSVLIVIIMCRLIRVIVLIIIGYVLM -VMLQHVYMSLIVC ->YP_009755882.1 hypothetical protein [Hainan hebius popei torovirus] -MSVLNPNAPAFTPVYVQPPRFRRRRRRFRNFNSTGGIKKVDDKVDKLVGSVNKLIEENKK -IKLDANAKVIPQLLTGSMSTAPQLIIIPEKMGDVRLSSHKDALDYLYRHVGRCLKNGSGS -ILAHNGVIQIDLSFPSGQLSSNGGRGNSSEA ->YP_009755879.1 hypothetical protein [Hainan hebius popei torovirus] -MYLYIVLVCIRLVFSDVIIPPHAMFEHEYGYTVEDAKLCNEFSDKLLVRNISDVFYGFDW -RSCNVYNNRKFPVKCGLVNETFNYGISTFNYAEYMYRNVLEWQRIYSRPLNYSFVDKLFF -EGYGFPNILNRDYDYGFGLDFKYKFYKNFYRLNTQYKSVNFAIGRLDWSKKNFTLPSGVK -LISFCSPYSSPHYVYNQTLVLMGDNRRLALLRGSTWSRQYNCESIVTKAVNFVIVGSNVT -LYGVTYNYILFSGRVDGYVGVVPSCIDYFGGDAICDAQINACKEVERCYFVSVGKFKGKG -YVEVGHQEFFDVFSDIQDEVDFKVVHNKAVVGKCVNSYYYVSPYKSNSTYNYWVRVDGNV -TTRLYEFDSLLSFSRSLVNYNVSLVLYNILVGKYLEKGYKWVNGVLKRSDVLVIQYVQEA -YATNPVLMIVVIVFVVVALLSLLFSYCCSRPLHKVEKRLL ->YP_009755876.1 putative glycoprotein [Chinese broad-headed pond turtle arterivirus] -MDFLYLFLALACACTVFRSSSCWRLSSEGHQCNQFHLVREDHVDLHLGSVSISSKVEENI -EHDILCTDDASLHSIPTITPVVSCSDIDHLESAFNNIDRGGFYVPYCKPYYTFTQGGITY -KKLACNCDIYPFVVVDPGHELKGSEILYQQKSIMPYMPGSHLLSKQKFLHPYMCGGINRP -NFDKTHNGTWFGGTLGIGQFCPGPGYLNRQCRYMTKYRSEEAQVIFDNGVVTPGVNVTVI -HYNQTVRNNKNLQTAFNLFYNNRFLMFHVALHTGYKVILGKVFECTSVSYVYEQRVACLS -NHLLVLCFPTCRVANHILLADRIVHIGTCSGRAKINLADGLRIEAYKSPLPPPQFKRTSS -GAIISALLFSGLILSLSFHIRFLVIFLFGTQCYAIREHYFHTVKDEACVARHHTEPIMIV -LYENLCHIVSNDHFNVINLTTPLESGCHLFCEKHTSLELGENLHIHNMSVYNGTHYNSMY -KIRACDANELDHTNTSLYSFHANKAMYHTWNNTYCYVNVTQYSPICYLNNFNISIPFNGT -QVTTVSRSLRYETLLTDPPDVYSVSHQEHLSQHLFKRFIDQSWTDLDAFVSSHSAFILAS -IYTSPLWQQIHGYKFLRYYLVNETLILGFCKSLPRLQVVVNTLCHEGYLLVCHSVCTRSH -VNGSLANIVQGAFYNTTVYCNTTSTETLNITITPLEGVVVEEYISSRIWTDAFNIWMLSL -TSSLLSAWLLITLIMAIIIFLPGLFKVIRALRSLFTIKRTRFDKWK ->YP_009755875.1 hypothetical protein [Chinese broad-headed pond turtle arterivirus] -MTCKGYASSGLEFLYSASLSSVSLSCTGNFSGPAHNATLILDIEEKLVFGSLFLAGFLID -LYIIIILLLACHKSWMRTIFAAFTLLSLVFCSAVDVYDVVKELEVSTLTANPTSVTSIVV -GIIGGVCALVYLIRITIALVRACRNFKIGGIRSLCYSTFVVTGSQVIPVSEPIYITSDGV -SSSIKGVALGRSWEVYQNGQKVTASLKKGFVKEIAIDTGKLNIA ->YP_009755874.1 hypothetical protein [Chinese broad-headed pond turtle arterivirus] -MAGKTSRSMPSRARGSNQNKGRNTRPFQQARRNTAPRQRARFVQPSPQVVYVQEKKKPPT -KPTFLNPDLLPTDARRTMNSANLASLISKITLVLKQGYGQVVKTENGYNVDVGVHA ->YP_009755873.1 hypothetical protein [Chinese broad-headed pond turtle arterivirus] -MKRSYGNVFQTPKSERYNNRLQPRAKYPRDFDYCSIPVFCIVRNSHSIAIRFFQKWQAKQ -VEACPPGPVGAIKIKGVTLVPFSKRDVTLPRGKEPASYSQALRSSTSKKRRSHQPSRHF ->YP_009755871.1 hypothetical protein [Nanhai ghost shark arterivirus] -MSEGEEEIGEVVEVAAGVGAEDMAIIKHLLSNNPRVGLSPGSTISMESGIRMTPATPPNN -WVRACRTCTRPRRLSTIKLAYLTTHCLVLMFFWYILFYWYNKDVICLNNDTEDSNEDWQR -YYEQVMPTRRVVVGGRCPWSTRVGEFLGPSEVCSSHNLPDIPECPSFSDSEIVTLLRLAP -TFQATCLCKSTHNLVLYKLLLYDALVM ->YP_009755870.1 hypothetical protein [Nanhai ghost shark arterivirus] -MTSFPSWTPEANSPNANNRPFPPPPPVQVVYVRGGGGNWRGGRGRSRGRGRGYGYNQAPP -QQQSPCRTIPGFHNFNGKWYPNDSGYTPKQLGESLSNMHKASTSEHN ->YP_009755869.1 hypothetical protein [Nanhai ghost shark arterivirus] -MSSCEVWGWNMTSPQQQAQTVLVLFYVTVSPLVLITSSLTVGYGMTVFGLLPSCVRQLLI -GLLLMVDLAILVTYVGIWDAAWPSQFTSSWYYILPVMIITMVITMFAAWSFTTRIWFFVR -WVKYLGCRAAVLGPWVFKLKADKNSRFVAGPAAPGKFIVLVKTGRWASINGSTPFILPFE -PTEIQFYGKTKRHDFKPSSRVKEGSREIIVFSNWKSILYTLLTQSEKQEYTLE ->YP_009755868.1 putative glycoprotein [Nanhai ghost shark arterivirus] -MCCFLLQLVVGCLIYLSGVRLGVGIPLLESQPLMLTPRSFPDSSWTAPIQRPLTNYSDLE -DYFSFRRNCTDLLDHMANSHMCNETLACLSTLWLGPYVTEGTCEINNLQGASVLAKIFRR -VQLAIRNENQTPNCTNPGNLMPDHQTYLWLVHYMPQHHRRADLASWLTHCRILYQREYTH -PGGFQVQRRHLKIFGPFSSTRVSGKELSGLGLDGDLFDDPFDGDDDLFDFEMDLDPEIWS -SLVTEPPGLELEEGIQPFPTNLSLTGRDDLEIRKFLDNLQRPQVYTSAWTPKHPEINEQA -FSAIR ->YP_009755867.1 1ab protein [Nanhai ghost shark arterivirus] -MSITVRAAATEEEVRTGLRWLHESGMLSSPEVRIPINYTGITSGPRPVIAMKNDIGGAQW -GTLSSRYPPWLLSIRLRTLTGVQWIVARSGATKGFSVSCGQIKFGEGPLVLVPKLVTQES -FDLRTSPISVAERWSHTRQMKPVFQSTLTMWSPLWTLLVFGLKLILRNVSEVCSAVYISI -QPKLPQFTEKLKSGQPEAEGGEPKYNPKISGPFICYMVLLLSFFLFKTWITAPLGLLLVI -FYHYGNPSPVDYTVVPFMMAPAYVTNWTWSLAVCLLLAPFGLLHGLCGAATCMITCLSPS -PTKESMLCRVLGLGCGSTTSTITLVPHYYYTRQSSWGYAVATVAANLFGLVLVDPLSLMA -IAFATVWLLTRQWPHFACRVVPCSRCVPRSYPAEVATPFGRVTIEGKEFCNKHDYYCLSL -APDHSPPPKECRAMTKRLVGPEGNWLAETEVTYGGPKPLGVTAWANSIHQLGVRAFAVCT -PAERAMVAAYAYSRQLTLPITTILLAEHATSRGPTFTVGVKGMAGYRKVAAYIVSLGGVA -PPTPLQLADEEMEGLGMYSASQALVLGMVTGGLSAPTDEIAIMRGTGLGNHQVAYGQLPL -EMVGQAPPIPGDCIGPRPLPTHCNLTFMALPQFIWDTVTQDIREALKTGGYFGDAREATR -KVTPIQTPQLSKWLILTCLLVVGLTIVAAAYMDCSGSYCVSSLVIDDLHLSLEKTIGFVT -VFWADPYSAIVNACVILLCYQTQRMSGAGPVLALQLGVFHMVYLGALPVFALLPVLLMPI -TFDWRFGLSLGIMGPVAAPILLLILWRIYQLIMSGVGGMVSLDILACTPQLITTNTLERI -MESSGKTYWDLQEKSMYPNTTGSYLKQVLVVKPHEVIPWKGFRLQRCRYSLGPVDSRVAA -VIGMSGRGTAYLLSTSEKESQWLTAAHCFDDETTQIAGLPVLKSEVIGHNAWCWTAKKGP -IVEEPELMSHNEYAERMKRNGKGSVCVEIKTLDGPVQVFPLCNSGRMLSTTIGGDSGAPL -FFEGRLLGVHQGIVGPFELFSDCQGTLHTPSFDVVDAGEVPNAWLDPIKDAPVTTNISWM -APLPLTNSAYVYCASICGGTQEQASSLTQLLAFFLQTLDGDTSLFIHGLLAVTSLSLHTM -LPLTSLAITGLAAFPLGHHLLYDIVALVTWLLRASRCRSLGFIAVFASLITLGLGYPKLV -ILPGMGVHWSMDVVMLVMALILDVLTMYSDCRLPIPLYKLVGSLRWRVLNTPLTLSHPVR -GIALKPVFQNPSLETLHDSIRTSVGELVRLAESSLLKGLPQKDERILQLLVSHLKNLVQV -VIQKPISEEQSLVVDTGILTPEQTRVLRLLKKTKQDLKNSLLRTATQDVTALCLAAVEQA -TLRSSVKILPLGETPESHTRLGYEMVRLGDIGVLGTVYLEKEKWESLEETTTPTQLQACA -GTYGFVIKHSPRGPEVHCQGLKIAAKHEFSGAHKVEVSGVTLWVNVSQRGLLEFLTLNLN -LFPRRTGDLGTQRHRQLVHVNVRGTWGILLKEKTHTHITARKDNMVVMSKFTTPEETSLE -MVNSMHNRIRYPTPHVVFQDTPQVIHRVVQTKTSMVTLGDYAYVSVFMCPGTPWEKLEWN -PNECLENPEEVLEKLVKNQESSPTSLDNYDVNGCRFDLTTLELPEKRWCRFEFETLSIAA -HIRRDTKMVFAVGNKSYGLYTKSSDMLLRLNEIALTQPFVQWQGPLVQYKGPPRLEALEK -LLGEATKSPGGNQLLSDWSPTLAPGPPHLGTSKITPTVFTAGAFVDDTALQNVYVTAGTI -TNVMSDLKAKFVTTCIPMYSMEWKLFVGFTRDLLSEGPLIPITDELLMAPPTSVGPCVPT -TVDQRTLAVTTCEALQAVGSWTTTGKIIPKPALETKVRSRLVVGLDAVTVAALKLRSKPA -MFKLLGVDKPKGPELVTVALNFPHTVREIDDYVARILGGQRPQLIISSDVAKCDNSVGTH -HLLAGHLHAGEAAEDPYKEEDMVWLTQAVATHTLAGRGWLLGGKLGESSGDSQTSYTNSL -YSIRIQLALLLRLVMTTEEDVLGLHSCVRAFYIGGNEAAGQAALVGLRKHVRVMIYSDDV -LMFLMTKAAVKVVTPAKLSELLPGLTGIPQPVGKITAHKPSTGAPFLGRLLTLGVDHVEL -PQWQLRTDRSRFKAHLTYHPRGGLDVLGEQVVGVLTSAFLESVYDPTWFNRTKDKVEKGL -KELEVDYPPLPEFTAIARSTSCWPEGFLGLQTAKLLDVCICGGPRVGCCTDCVIELPLCA -LHLVQHSLSGHINSQDCHCGDVATGINSKGEPSCETHALKLNSQLDAGVRFELMRGLPYH -WSLMQGAVVAMLSKQAQIVQFPNVVHPITTLSVGTRLSVKIGRTWEPALVDIDHQVVVAA -GNLEKPVKAKPVPVGVIPTIEQCLVPSLGRLVQGPPGTGKTTTLMRDVYEEQKTRRVVVV -SSTNNSLDNIFKGLRALGCQSLFWKIPKLRAYDYPDQVGDERTATVVLCTAGMMTFPCGL -LVVDEFAQVPADTLIKVLGLSRHAWLFGDPKQLPAVGSPVPFYECLVSIAETRGIFSQLG -TNYRHGKETIDMYAGFYREKIMTDKTSWVQTKSLAELTMDVLTSLAGNQGITPYRRHLLP -GWKTVDSAQGSTFAHVILVIREVNEFTTHTNRVIVAISRHSTSLTIYAPRIWYMKVNRKG -FNIPYPPQEQALALPVKPLPKAITITAVSMTPRPGVIHLPHPPFVVPIGPDLMHNYAVAV -QSVRLPLPSVCIALGVVATDIPLLPNVPAVGTQGRGILTTDIQATSGVIVSFEPFQGSVP -AMGAGYMQSGLPNFNGEGICPYYIASRDEKLGPTLFSSGAFELSLKGDVPLARFGKKLLD -HFFLGDNKGSKQMGQHSMWSPNVDPVNLVVSYPPVMAVGVLPGKKGPNTVLDVLPEYLAP -KLESLNETLRTQSGNPVVTIDWTPVRMMTWKDQTTYPTGIPQVCKLPLSETEGVPETWED -LVRDEPLPSSVAEKYVDLVSSLKGVLNFPMKRKVSILHVGARSANGVSQGDLALMSLFPE -ALVVSYDKNSLYSTTAKKISTKEEIPKYGPYSLVISDAHSGKESLGDLNEVLQFAVSSLY -YGASLLVKVTKLTIEKQELDLECLTAQFSKVTPLVSSARTRSTETWLLFQHRVLIPRERT -SQNVLLSPAARSRVSHLPQRGSVGGWNTPIRVPALDVDSPVLSRFIVDGSNSETIDELLR -SGGLLLIPPQLYRSFGPYGELAYVQRNASLFVDSLVGTLCDGRHVRNQQLTGSFCVGQDL -PSSAVGYSKREPDSELHQPRESHARPPDLPLAGTLYATTSQTSRPCLLAHSLPNTLSKGV -HAPRWVSGAKKAFENIWTFLQHSSLRERIIWVGIRWRFV ->YP_009755866.1 hypothetical protein [Guangdong greater green snake arterivirus] -MSQPRGAQRRRSGSRQRSGSRNRSQSRGPPQGRRQQRPRVVYVQARSAGNSRNRQRGPVS -ASDRVEFQLHNLLRDQLSISSSSPGDARHGPLGKAKPMAALCLNIAKSLVHGHGVIIAKP -GTNEVTVTVTVKSPVVLSTQSGSSNPAALEKGCTTAAVSM ->YP_009755865.1 hypothetical protein [Guangdong greater green snake arterivirus] -MWRCMGSYAHDLVVAESIVSYQLEVECFAGPCKNACFACAINPSLDLSLKVFILLTNQIC -HSHVVHSDVGLAHASDLGVVTAVSHADLPKVDVSNAHEWSTFKRVALVIAVIGNVDQCQP -ATVWSFNFTTCCEINYPFQAHLRVMLVMVH ->YP_009755864.1 hypothetical protein [Guangdong greater green snake arterivirus] -MGSFSNSASHGGGLLFFFALLGLRGCHSTTFQLVNGSMHVTFVPNWTEFNLTRVNYSDCK -PYLGGFPVSFSVIKANVSHLNTSFIKVQMSASSQYVKSLMEPDAYLTTCGSYACDWELLR -YDGNPWSTVTHGTHIGAMVQKYGVNRQGKGLLWANIHDAYRSILSAIDHFAKLDQTYLDH -QLLSILNKVENVTSTGTDLEKLLYAMTGGFNSSYLQSIFHEDKQTITYIVACGNISYVRH -CFEGLVFLQHTNGSFEATGATCKGNSFMTPITRERLHDHHRLHKAVMATRPVSMRANFIW -SALLACGAAWAVMLMIWLWQKVLLAIS ->YP_009755863.1 putative glycoprotein [Guangdong greater green snake arterivirus] -MFINWLSLKLHLLQLVFPSWLWPFLQVRSRNLWCSLLLSFVWLMLFCIQFGLTSMSLKLM -FGPEWFLNSFCLYALAWLLSLFCAALLLISVLEYIDLAKQAETYCVLHPLFWRPMKAACS -AFQTLILTSTSRKLEPSRTSMEFVHPSKMCTCISKGKSICLCPTLILMFLFMIWLPQSRA -NRTTDPKCLKLESFIDLGPSRYLYIDPDMSTDYILSSTWHLNYTDYCAPNRSHPNDYKNW -LPTCAMFKPENIFKHFNAYDNNRCTRNLVLDFISNFVADYNYTYAAIVPETPIAKFLMAA -LVIPKLYDIAIVYFNASTRNIVFAVNNESKHEIRSTSFCIPPILYHAQEYQGHIKNLTAT -NQSCSFNNASVSLNSHFLSQHYKPRYILGNFPFTCACVAAYFLTWWTCKIWLIVWLFLLA -TQDVVIAFDVVKLYGQLPAAMTINRTCAVGYNPGAKQFKVSHIAVNATLHHANRTFTSPS -LHIPDPHLMVTGCIADTPDLKVDKSGRYFEAQFAHTNTPRFLDFDANTLGDGIWLESPKI -MQICTGSVCEHIGDFKFLEQEADVIGLSARELFVDDEVNVHTCRAVTRLLNHDLDLDAKV -IALHRHHVEKYLSEMDNNTSQILASDELDQLILYYSTIGPAYDRSITAVYLLNNTVVEET -SGIGDDTEVDAEFDYHADLVIYRSCVTNYTTYIVLCQFHGLYTHYKMHRTYDNGSHSHDV -IDPSTITVVPKKYDGPPCPYYLSPYMHKAWALSQTQQVMEEVFSFSLHYWGYEVATALLS -SLLMAVCMSLLSRIGRSLI ->YP_009755861.1 hypothetical protein [Hainan oligodon formosanus arterivirus] -MNPLLLLLPVSLVLANSDMYTPKCVCMATAYENDLGWFPKPDSDPAACFPISDYQTMVVW -PNGMVKCVYNKLLESHINCTMVTFGKMGLD ->YP_009755860.1 hypothetical protein [Hainan oligodon formosanus arterivirus] -MGSQCQETPLFTRTMNQYRQQPSRRGSFAPRRSNQGRSFMGPERDLNQLLRDLSFVDRRP -VQRQRNPSVRAPTNSETDLLAAIEKHFNVIAPVVAYPEGDARRAMNKRQLCKTAIMLLRT -YFAAAGYLEDKGGHWEAAFLISKSDKPQHEPAVAAAPSQSSASQ ->YP_009755859.1 hypothetical protein [Hainan oligodon formosanus arterivirus] -MACTLPTSNASCTCANYTSGMMCGPAGTYGYDMESLALMFFMLGCFIPHRPVRITVVSLG -MISSICSYILHGIGFFKYHGSAWRSVHKVHATTIILLTMLICVLTVLAIIRCVYSCRNCR -IFGCRGCCHDTYVAQTGKKFYSLGNALLLENHPTDPSQVLINGQPMPRNTTIYKDHEPIQ -TAALKTRIIRTATK ->YP_009755858.1 putative glycoprotein [Hainan oligodon formosanus arterivirus] -MTPSCTSVQIYSRTLLQQLVHTKSHIYQLSILTTTSMPRTTSLEASRQFLLKLITILLLL -TWVSSPAGYTLWYISPQIHNLPNHTANATLNFSTPTYYNIKCNFSMIESANSTSDNNDTQ -LITLYENATQEFCLGVLAISLSPGGGAFFNGTVLAVFTSHEELVVAVGHNNATCEATHAT -EVCNHGFVVRGSFHYCGNSSVFNISHISEFTTLLCRGDVKWINFHPLSWYQKELIRNHKA -LDDLIHSTFGISVDLDAYERSLTITAIVLNSLVLAHIIYTVFARWRARYQRRMPRAHVQT -TPVA ->YP_009755857.1 putative glycoprotein [Hainan oligodon formosanus arterivirus] -MALICSTLATIAVLNFSIPNTPQPVIPKYSYVDHHESLLNFTCLAGHRGTFARLYCAYRQ -PSIYRDDDLNTFLSTSGEKLNHFHSSSDGSGTLKSFAAAYTHFAKKFAYNCPSYETTFEH -WLLMTHSDDELDMIFGIKGTHHPSGFGASFTNCFKHDSINLCFRRDSEYLAQSHLTCNLW -YTTSIKCAQYASTRALDQKQRLLFFTQLHRQQYDNITHQIIENWKTDQGILHNITLQLVL -QAVISFLHPIVVQKPNDTQLYLCANLQQNTTSTTGTHKVTHLSVKHIDNHLHASNNITGS -IQAVFVKIDYNFVVANVGFFACGLYIVVYISSNS ->YP_009755853.1 hypothetical protein [Wuhan japanese halfbeak arterivirus] -MLSGFWLLLLPSLLLVHFFLSCALCLGFSLCWSTS ->YP_009755855.1 hypothetical protein [Wuhan japanese halfbeak arterivirus] -MDPRASPYSPSGQSQAPVVYGAPPPWWFRGPPRRNNGNGGRGNNGGGNSEMKKLVTAVAA -LTKAQSKTTGGAGKKQTPSGKPPQKAVKEFPGYHFFGGKYYKNSDGHSGKDLSEAFSKLE -IAKDD ->YP_009755854.1 hypothetical protein [Wuhan japanese halfbeak arterivirus] -MTEPLTTACTLGSVSYNSTGCCYMYGHYAGTWQILNLMLLFFFLKFTGGGLRYFVTSLFT -FVIWLLYFVPATCLATADFKSWIAEPFDPKDVLPLLLLLTPGLYFFAAAAWRLRRRCYLL -KHTPWACCKTLPFVVEDTKFGSVRPLTVAPDNNGRIALTMMDGYTSSTEDRTIGYINPSV -ICGPNKTLQLIKEKTTDHGVVYKIGKEHCSEHVL ->YP_009755852.1 1b protein [Wuhan japanese halfbeak arterivirus] -MNYDPKEVLSTILSDAEERAPKLDDLTILGGIDYEEPIPPPDVGSTDFSVRCLQISSRLN -QYPHALSYDCSTPIVKCQEVFDIISALHNEVASTGTVSAPPRGRWEHMGDPVLDTCAAIL -EKASEPLGDSVTVSGRGSLPNTPGSFTITPVRNTTFSSPTRYTVVEPIAAYTGEFLDDPL -MLPSLSVPSVLTDIGFNTLTIPTPLLSGELYVAHHYLLDQLFDRLGVFAFDDAMAACPSS -SCGVGFPSGIHQDDLKLSAAQCSSLVGRNVPNAINAIPKYVPAGDTRTRVVCGVNCLVTG -AMKSLTKPAQEAIVRLTQSQNPGVSAVGLAKNNTAVNGYFSRRLGDFDLTANTTIFSADH -TKCDRTVGPHYLGSAYAYLSHYSGNEVNMDTIKWMLRSSVLAPMIYRGIRVDGRLCEASG -DACTCHTNTIFNVYVHVAVFMRTVISCESYCPALRRAVYLCYHGDFSQFNLIFDALTKDM -VFVMFSDDGLVFTKEKFTSVWNPKNWSKRLQSISGLRVDVRKSHSAKLSEGVEFLGCHLR -WNPGSYKDRVYGPLTLAPKSSRLAKQLTLVKQGSLYQTIERLGGVLLSSSCMLFTEPGMF -QSFYDVTHEWVVDQCERLNVSVPDIFPDMDLVESVAFGDAPLCLQEQSDRVCVCGASVFT -VCQECPTPLPLCITHAIQHHSTTDHPFSGGVCSCGEKRLDKLGFSGTSVCCVSCAKGPFI -QFTTATSFLSTGDRDVDTHGHFTDWKLKDDYYEALRLSYSICYSQDDIFKRDVGTRYDPT -KAYKPGLRLKLRIDGVWRSAVIGDDGLARTKSGPVVVACPARPLGMDPFFTKKDFYRLSN -ATYIQGPPGTGKTTFAVNIIKDNLAKRVTYCAPSNASVRATVDKLSALGIRVEWVKPKLS -AYEYPDDVLVASSSLKVSTTGCVGEACQVLIIDEVSLLAPSEVVQIASYGVEVYCIGDHL -QLSPVTNPPTIWGNDFWLLKQVSRHEILSQCYRFGPDVVSSIRHIYPTLLPAEHHTTLNC -KLISKIPKTLDGQVICMYNHDLPRFPGAITVDSAQGSTFDHVVLIITAANTFTVREARVN -VAITRGRYSTTIYAPAMWYTMMSDSQIDLSSLIPQSDANTKTETLVFCDFEFAHTKTEGE -LKHRNVIIEAGCYNPQTSSSYSAYIPPRHPKTGDRPQKIIIPSVCKHVRGHTSDNSYYPT -RSEMLKFLGGADTVVVYNGHNDVSALEDLKPLWAPQYGLCSCGFRSTVFGRRQGANVSLC -KSCYIRKGYNACPRFICLYIEFKFLSISGMKLEVEHAKLCGFSHGPPHHALSDAIMTACV -HGCREGLGRLTPKPPKGDAVIVLASGPWNMGGVVLVDGKTRLPDVLPISPHSHTAASMSP -TSSNVFLCPVASTGTVCGSCAQLERKSRGFSTRNPGFGLKPLRTLQVSSGDLITGFVVED -GKQLVVLSRSPYKFPYMGSVGATMKALSDVYLKPLPVESVVKGLNLWGCNVFHSSLPTVP -VDAIGVLTTNPDAKNGIVVSWSKLSEMSVPATGARFEVAGVPAGSSSYDEVPYWLTSRSN -GSDIPLPETKFSIGSLCGLVTYPEYIDTDPPDSMSHIFSGEGREHSTKVDGVHHVSGPVQ -LASIHSSLYHIIGDALLLGSVKARTTLIDLSRNDFVDFIKSAEGKMTTQSKVVTCYIDYW -PVSVMVWRGKTAYPVKVEEQVCEIAPSLIGRSRPTDHHVPSDCDWYALGVDFGRAMACYI -PKPKKMALFVDFLDLPVAFSDPFLSEVCGVVNQEYQHLIVSQCLNPDVSTLVPGGSLLIR -TSGEDDVSEYTRFFGRWTRLFSRVEGTTTTVWFLFTGKLLAPVEFRTDSHHVLNACYPWR -HSDSSLALMAKRQAFRCVPVVPLQAVAYDHDRVSTLCASGSIYLSPMLQEPVPQASMSSL -LPGNSGGYLPSTLRFKPLVLLCLLMFCVLSCCYVCKPPFNLDCTGVRVSVGGPVCSCVAP -LYPTPDHRCPSGMRVKCSGFSVTPRSSPWGYYGAGATSKNQLSCWCLRDFQPVPPSNVPE -PPAFPTDIPPTVLPLPTRPPKPEYKFPTETLLLNDSTFFSSNIGVAIGDSEISVTDWRCA -EGFGYPPLCLKTDRIHRPYGSANCPPVKLSCPLAYQLSKLSKAKARGWFDSDSPCMDGTM -RKNARGYSQNCQNISLTTCKNSMDTGSDSTFPTSSPLWTFCRSDLPPIPERRVVATITNG -TVTSIKAKNPNTVSLIEKFFGKVQSDKHPSVDTLSFADVMSISEIEHALLGDVHPDVTDF -RSGSLISELVKVTEVFPQPEPPMESGTNLLEKYQPVVELGSPIFIERSLIPFYSLLQQLE -MPTFPEVKINPLFQLPSQGLFIEHKIKRSNVTFAPSSVRAKRFMLSLGYVNSDMCPFQLV -DDRSSQAPVSNLLCVTVPPNYFTRVVAVRQAFQKGQQGVQGCTATTFPPVMLSRREVPYG -SGTMKKFASAQSDIIMFLGKQSQLEKCTGALKLVTTLLTSKSTNSKLVERYCDPKRAGWP -VFAFFYTFDDFKQQEGNLNRPQGEGAFPYYTWSSGPAPKFNFSMTWVRLQVQRNGLHQIK -FGQQCVLVSKPTYWFVSQSKCISAPPKSRCLTGPVYSDGRLTNFPTLTLPCGQYSQGVYY -QAGLPTQPVSSVITKCDSLPTMTSATVERLAPGAVLLKGEIVKCYNLSLLMQGVCVNNTA -ATLQLVQLLVQLYPPLTNYSNSVTLIQKSTSDLSATREIFLKQTETLQSDITKALFNLTD -TRNRFQEYHELLLKRFGLESARLNRESAALLAKLQDIFSKAVNATQFEPIIKEGEDLVPV -ELIQLHGYENFLVALVLGIQLSLILLCSLPGLVVYRDFYHLHQD ->YP_009755851.1 1A [Wuhan japanese halfbeak arterivirus] -MKKSFCKLCTLPNGPLSPKGTGCKCTRLAYFMGDDVTEASVSGTNGRRRRRKPKTITRRR -FELLHGIITTTPALPSGFYTTSSSSGGVVKSKRPAQPTSKKQFKPKKRLFFNQYPEGFGS -RPKVKQTNVNTSCLTESSDDFRVVFEKRVTFSRVVVTHTYPSDGGYCTRKTGGYGVVDLV -PNKKNHMLSVEDVAFLERPCSFGCTLEHGSVPGDGYCGYHALVVVAGIKSCGHRFCIWWM -INKKRFIQTGICAMPSGSTSHTQLWADDASLYKLAWCIGTNVTLDSEGFATTTGEWLGAS -GQHLVLRSHHWIPVERPPTDRPSHYTEFDPRPDGCVPLYLPSLRQGYRYMAPGEISPIGA -TVLSDFVTGVNMLQISTSAPTNTLPGVTSGEAEGQISLPPAVDAAVAAVELGTDFEVSVI -PSSSRPTTPDSWDDSSCQADDEGVFSYENTPPTTPPPFLSEQTIVPFDWDPEEHSSHFAL -VRRRVTGPKFSIDSDSSDLSEGVSPTPRTSYTSNWHGPLQSRFQKLRNYFTSSLFLIQMT -LLSLIGPFRRGYKSFAAKSKSFSNWTCGRVFKIKGALQASSNTSEDPKTSDSPVITNGSL -GFGFDFSLVFPGGVSGAISSCQYTLQSAISRLNKDWGPFLHVVLTMLAGKLVGLPIHIMC -TLAFVSVAWQHDPLVLLPIPILAKIFCWPDLAAAVVYYLVTLGLFGRDVHDWFTPKHSSW -KRWRQQIWKPAIAAAIIAFFVLPVPYTLHSLLPWGGKIVPYGYTGAIHGSVDLHNGYALI -PTWPYINALAVFQEVLSYLLSPLLLLGPMVGILLAIVVLSAQYINPHWRCHNVSWFDFRC -AKCCTKEKQLRFFGHCFTCQSDAFCDEHRFGCLNRMSGHRAPPPALRIALSTPGAYSDHW -VNFMDCAPTGVLPSVNNISSWRPQTGVVYAVNTDFDLTLVSALSYVYGVSVDCTRWHTRP -KSGPTTRVNEVGLEVYKQLIKAPGYRSIPTPTLAQDGSFTFNVGSEREFNIKGVVQCAGD -TFCMYGLFPTGYSPTHSELEVLREHKLSPSTYDNPRPLAAEIWVRHTSFVQSDWVRRGYT -GFVSTPTTEAEVQCDQFCWRTFRPSDLSIDQNTCKLTSPRAFKGCTVQALFFDGMRPVLD -SNPFEALKVAKLTTRESVNVALTAPANVSVVPECYTKPIKERVLSEVQSCNLTGAFAIPE -LASLRAVPPGVTHPTPTESTLVLSYDAGLWTFSGDISSPGQIWIKTTSNLFVPEAEICIE -QALGFKARAAVALVLLALAGVLHLTLFNWERSIDMGNWDGFFLGTVHPLTVTSGIPFIAI -PTVMALGAVCWFGVSKACGFWSDIQVCALAYLLMICTCLMPRLSLPVTFAFCLIGFCNAK -FASLAALSMTMWLPLGPGIALVLAMFYLKATVFAFGVTNMPFNQAAMRNWYLTPTIAQML -MEATNTDIAKLTAYAVTSGPTATLAQELIGVLCDHTPRKYSCPNFMVHQSRENITISPLK -NRVVVISSSYGSGHGFVRSAANGVCHVTTVRHVLPSNTGSATLTCGGVSLEIVNHVTVGE -LVKLECKGNLGTLPAMAESRMCVGSPVEYHSLTGSFNLYVARTNVPTPVGATVAGDSGTV -ITQKGSGGEHVIVGYHQGVVGAFAAYVNPAGVVCPPLSDTPTSLTDDNIPVEWIGNDSSV -VRKSWISCRNVSAVGKHELSSLEAVLSDSGARTAQQTGMLPLGEGWTIFTILFSLFGLLT -LKRTLSKTVPCWYRAISCCVMATAFNALFFASAGVVVTSAAAFTAEPATQAFALEASYTT -ALSLTMCVSLFWMIAGVVSTSVKSLGIVAFATALATSWKGYFTLALIPGTCLHPVLDFSI -VGMCLCVDLLVRSGKLWPLKYINFFMTPVCLLPKVRTLPYGTFGHLPAGCSIVYQSDEDS -LSELLNEAQLTMELALEGETVPVKLLHTLVNALAAYCGLNDGWVTPPVEAYGVVQSSDDI -DRLSTKDLEVLAADPEKGKAARKALARRAAFTAMMESRLAAAARTASKDTLSSEIVPRIW -GALKSTLSKVTSLTTDRYIIKLTLDEVQEEAAKLGVILVDYASVPSLGCCIGRYTLPPVF -LSSSSEDDTTEPGPVTNQLDDSRRLMSKIKRLPRQEGNTGFCDFYVGSKMVGTTSKSHPD -NYVEVRTRGTSYFANPDHVQDLLGFVAQSDTSSVFR ->YP_009755849.1 minor membrane protein 2 [Bellinger River virus] -MLPIIFLYLSYASAQTTWNQTTNIGPRTTNPIFSPLLTWDANSFVCLTCVNESNVISFYH -PGAIPNRFWLQTDFTHNNTVARLNFTDTYMAPDSRGVFLQWTKYDLNSSFTIVQSYMNTI -LATNMSLQSTATGHFHYLSSAANSTTAMFLGRIPSLPIRILGNWSVYAPAINFVFNESLG -VIQYFNHEVQPCPTQVPATCPQPATVQEPTPCPTKPAETTTTTVPTVTTPDDCHFNLKLA -VITTCLAGVLLAATTAMLIFRPKQDVTKKSNFY ->YP_009755848.1 putative nucleocapsid [Bellinger River virus] -MATFLPMPMQLPLMQPTRPVQRRRRRRPAKRQQRNPNNAMTKKVDMLTKKLDKLMPTVKP -DPNVYTFTAKYGEKLAPLLITPEQDSDPRNHMSQEAMTKFANDVSRRLKAGAGTVTIAPT -GVVTVHLQFKPIALNPNAQAFVPRDLSEVSEA ->YP_009755846.1 minor membrane protein 1 [Bellinger River virus] -MKLFIFAFAAFQAATALYVFPCQCENHCTAPNTENNTAITDGYENSNETLTWFYSMVTTT -QNITINCTTIHEPCANRTIPYTNSCLHNDGYFRPSSNCTEQTRLRRTSKITPCTVTKQER -EQVKHEYDIKLTLYPQNYPDWTLPIVSIGTLIATLVIRQCFSRRKEFNILILPQHQKQNF -Y ->YP_009755840.1 envelope protein [Shrew coronavirus] -MSFHPFINDDGTIINVILLLVALTVLLIISVAILSTINLCCKALSCCNKIIIVPGVKGYK -TISRVYKQVIPTQDVCLV ->YP_009553329.1 hypothetical protein [Osedax japonicus RNA virus 1] -MNTNNPQCFDQMVEALTAYQGEVPFPLGRNDMVNYKEASFPKADVLCGITNVAGMLGKES -DSVCSYGVDSSMWSFGNGEYEAFKEELGNDSVMTEHHILAQANVSGGRSATMHSEASKTS -LDKATRMAASIAERCAYGAIKDVEDIRMTGTLGTAIDVQLEKHVGDMRKETRKLFTKTKK -GWRIDITSLVKETYTEVVAFIATASYLANPMYSLTVAKSRAAHLDEAIEQVKKANAATFT -VNVREVPQSYGKGVLAPGNPWVQGYQWRNLERVTLNDSSDVEVYYEFLFRFASAKLSNVA -WAGAKDLSRAAEHAALYEPTPMFRSFMQARYASKAGLTMTEEMYATRMRKLDGLMRDTTP -QTGFMAHVASLRQEINATNIDPYLTASDAEKQLASELLVEMQGNRQWGEQIPGISIALKG -ILGVEEKMVKSGENIIDPTTEANNAIADLAAYDVAIEDLSAILPGEGSNKMVTSSPKITP -PKRKASEKLTRKPTVTIQAPSDKAEQEKASARKDDVGESRCAKAAPARKSSVHPGTSGLS -NTKMYPQTSQAEGITRPVEDVGSVVESRNNSGKKTHWHKAAYGALMVVTPTDTKGTAGGF -VIVKSIEVDKGTMTVITSASRKELLRSSKNFALTTMQSEGGAIAYATLISHRASGSIGTM -TFLCSGKRWPEEMVTHYANSLKENIRSLATKHDDDDEVTLFWNANMQKVRSTTIAYGKLT -ASNLIEQVNSKSEDPALLNGLSGTPMIASCNDQFFVAGVFIGTKRDSKLKGESPFTLMFA -SLVGPAGGKAVGVIDKEALRGMQECYDPSRPAPAQEWLAGRRPPTLLTSRAALPGVARKW -LMFMMFFMLISTIGAVDVMKCERLDVPSSPLVRVDCADRGVSKEYEMINTVGASAAFRKY -SLVFDTIHQLFMGISGLHSSAVGYGVIYDISLVMPTTLMKEGGSKFAIAATTNGRKYKLY -PQEAFTICAQAHCCSFDVVRGRVVMRCNRPGTYAVRVMGLVVGKTVLRGPEGDDTRLYAV -ASNDADASMMTCSPRVREHASIDTIAFGNRTFVGKIECSHFTAFQFGETVSKANEDEYFR -KSISHSDELTTLKADVITNLVIIDDAYVYKYGECYDGFTNALPISADGRNWVYATFGRGK -DDLSVYSKMEVKKHETDLGIKDTFLMTHFSATEALMAGGSVELGDSSLIIYISTEHAENF -FLTTTTFNPAVSGAKHSSSAMAMLLMMMSKFRRAQVYDNAHDVLCEDSNCLIAATQDGDN -VITYMKSLAQIKMYTVLFIIHFSGRQPKIIMMQVSGKRNDGSYAHAMAIACTRVSTCHYV -SDQALQTRNYLCGRNVRDNQGLFLTRFRLEEVTSGSGSMITMNRGDKLVVVDGTVRVTHY -YVKATDKVDNGHVGGFMKCHARASKYTLIWRDDGELLHYSIEWTGKYSLAVTAQNNYNQT -LSCNGMCDFATPLCDVTYYIQIDAGTPFTFFHPCRDCSRLAPAARAKCMGQHHSEIGAII -MISISAAMWVIVILYLIIRFVKQRSMRLSQNKAAAIILGTSLPLAPSANATSIVTESMRN -DGFMGDVEAFVSKYAMAGVVITIGLLVLFIGSLCVIKCRPKRKSISIRQSKRRSKTALVM -LAVIFCGAALPAADAGLATSRTPGVANVQSSSAASDIIAGKFGALNQTHAEIDYISISGA -LPLGYMTDEETMIVGGDTVASMPITLQVMDVITNYSKSLDYWTCDYKARITYTNYVCAGN -IKSPTYRPDPEAPGVATDRSSVWAVRSPVYSTLSCGCFTSGEYNTFVQHVNNYPMPTCKH -DFAEIYDITMSSISVKINVQYGNKSMQVVAKTGTTVQLDDGIVLKIGQPSTIHDSLPKRV -TLYNAQLYSAQSAIYGQTKATYFGDSGSKSPDKDVKKDWFAATDKISIAYPGCYDDTASY -TSSESGFARWKRSDKILVGNTLGMGCKLVMGKEIQVTHCRVGNIPYTLTAKKIVVKYNFE -TPRLTLRCKADKSIYALNYGGVITCDTHADRAGTCDILCLVPSSKVAVHVESGQNQIEFR -VTLKKKNFEFNCEICGTKVSLNGVASPPPLEKTAKVYVKDGTTDRVRNQYRGADQKWTAR -TQKYLGIFGLLGISVTTVIIVACIFCGPALFNLIITVVNSWCECFRRTNELVEGDAEDAR -RASIHTMHPGAAPDRFTDVASQLTIFNKRQEKQDMLDEMASMISEHSQSPSLYNQAVARE -YTDRQPPEYASREASFEPAVRSVDDYMETPRRTSRSSRKRSNLHT ->YP_009553328.1 hypothetical protein [Osedax japonicus RNA virus 1] -MTTKQQTATAFGLSSAAHWRRFRQPIEKRLQGTVPVRVVQKAVNVDAPEGIMTAPVAEKS -VSWAGELCSYSVIDGKHCDVGKEEAPVDDKEQDAEGMEVAAKSTTAKCSAARVTNKHRRD -EETVRRIDDEPIDRSPRKGRSRVKRNTSRRQGSTIVGGALADKTAPGKRNGVGQTAAEDV -SGSDTFMSAEGNDSATQTGESTESSGTGTSNVEGGDDAEPNTEDHSNQQETPPEDDTHRD -EENVENNVSTEGPSNYMMAEDESALPELTVPTDGPEFVVKKHENANKRVTPTTLYIRYND -DNKRTAIEDLFSTKYGKEKLQFSTDNNAHATCAAARKMANVYITKEIKAMVGKVRPILVG -GNPVPYMSAGVATHCTMPLATAKDVARHKHIHEYVCERAKDGEPEAEEFVKSIGVLQTKL -NAEKHKLYETEHMCYNGAQACSYKSNVAVLIETVYDMPRDTTMRRLAAQGVEIVYYTMFV -PLFAAEGATIGYHRLLDAEWSLGDARERVGDHSAPNIENDFSDRLAKVKLGDEVTDRGTI -RYHISSVPYVHEINVMFPWMTDANYEFVGESGKKIYVSVEVVQDVDKFVTFKATMNSYKA -AADRPEFLTYECDDDTIIAPMASLTNGKVGVVKAIALPQAMISFVRSKTTHCITKGVFNT -GTIVADAISMCNSIVIKNSSISTTDDKLIRDINSVALALVRIIKENYRSSEELLATGTNR -MSAYTRTVVCSTIFMAGLVTTHKIAKMATLIGSKMAPALSGEINAVMKYMTMVADATYIM -MGGAKLMESVDLNLDRLFDRSMQPILVNKRNRISFSSANRAITKGALPATTIRPLGIISG -AGVNISGSGYGYAWFVEAIKSVISRFSFGQGIGRHVHKILIRLIQAMPAPMLGAAIKALV -GVFSEDVLAHALESRKKYVSEREIEVKGSKKELSLWLPEGTKKRSTLAEVVAMGCSTQLV -DNQVLDTLTRNDTHPLSAGEMITLVNYMQDDNQSSAQCEEVLTKIKMLLHGTKIGLAILT -KDAPGAVLVADPSTDAGSLCYIIPLWLEQDGSIYYLMEGEEPADLITGSATLALSAYDFE -LVCSPLTKVDIWWKRLKATISKGLAVMALSSLALFCVLLAREHYLALAMLNIPAAVAASA -TSKIVEVMTNMLNSTAGMRHAASSQVAQWFAMLSMYSVRVVGRVSGRGVVSMGWYEIFIT -GPLSFITTNIKTIYKWIVYNIAPVAAAATLASVGLAYSLFPENDDSTQSKDDTWEKKTSN -GLDLGDNDFGNAHAKADVNAEDEAIEVEVDLEKPSLESQKLLADILAQTAMRSAITLDSA -EYGGEDFYSEGTPVVVNSPYVLTDSKDSPLVVSTCETNYFYTNDDTCVGKATLRLKHAQG -NCFFDCFKGLDNDASAMKMRQTILEKTKACDLFSGSVALKNVEDGVSLSEAAAFAYLTNI -TIIVHGVPVLSGSGKDETIIAPKGAEEAVLVRPIVLNHATEAHKERGIVLNTHHIRIHNN -HFAAYLHKYDGVWRSLVTVGYDSAKYRLHLSSDLSVNPRAMGADIAYFAAIRTWAYKMIA -LVGEEAKHIKCQGGTLTAPFIEFVKTHPWLSSTVALPLLWKAIQYQMPGVTDVLRVIYSG -MHVALQMGYHGVRSMITRAETFDCVDIALSKAFGELKYSRADMQEYLRVKDESDGEPYVG -SWENSSRSGHSAAMLQCVASRYGVVLVITQWKKPTSYFMPEGIYVQEKDNLELRNKLRGA -VVELKRSGNHVTFSSIRYKTELADRDAELGGVGAWDLRLPTSAVEGYVKSLAAALKKTAL -ISMAQFSVQQLVCVFDPAVRVHITSRTLQRVLKSCAGFLDNESTNDRTKLMRLAPLTVLN -VAAAAGIHTSYITALPALMIINAALPALLDLLEYEKATSEQDHNVDNTVTTAAMKALSES -VRNIVANAFKISASMLNQYPRIPAAIMLSAKVGAAAKLLQVQGVLSIGGLWTAAACIAKC -ATVVAQIKALDSCEEIVIATIAPTDAKTSSETESALNEVDGHSTKPGGISSGEKAPQNGD -GDAHEVNTATMMPSYSTKTSGSTITGGGLEDVESSEKNEEWPDEQPERTIVTPDVPSYED -VKSASYRIQVLDRNTRSSEVGTSSSVGHKIFGLFTRSHTDPGRTSRDGFKTISELTEYMR -HHMPNCYLELPKYYNAESDPRYWYVDNEGKVFSLARVQDGKVLARLVGMVVEERMLIDGA -VSHALLVTPIELDNDEAKPYEKEEEQSLFTPNVSLDYHDLVVDEHGYICDGTALMHMRQQ -NVEVTTGVQAAARAVSTMENRRRRYNEVGRADATEAKKLNQQQPIDSVSLTFNDHKNVFA -EFEAASTQEHSYATFKLKLRKGGITEMVKKAVITIGEKAANIGGGLKNSSIPALRRKSEA -NDPKENIHATIDDMAQDGRLADPSAPRTVAELAHVRLVAPKKADAAHKGPEVRGGSLTHK -REAVVNLPLLRDHANVMQAMKLDIHAVSEAGYRIIHNHKNLITVNLGHPGYLMYGNMYLL -PHNTLVKGPLAKLAHNMKTMCCDEFNLATLSLPVTRAYLVIPIVNQLPLHRFLSLVETLT -LSMQKVQASLRSMIWQHANNQGNRSNTEKDEELLIPVATDHVKPDSYYFSTKAMAISAGA -HAQGKVYMHKSLDFPHEAVILMSMANMERAVTDFSTPLFSLQGIPGSGKTYCIVSNADHR -DAVVGCRKESLLGQQFDCSYVKTLASVIINPPAQPLRPDILYIDEYLAVHGGEILIVIAL -LKPRLATVLIGDVQQMSYYSSTGIPVYYNDLDIPKHNSYALPISATLPAEIIAAFPNKYP -GMDIHTTNDTKLTLEVQPYSVSSARAQSLHVAYGLSTALMLKKTFGERAMTISASQGMRS -ESVVINIPHNSINMFNAVESAATWVAVSRTTKEIIVNTGIIADHVGTTLKNAQESDVSRI -LVPQSAWREQHEKIDRMINNIRNDAYVRNLQQQEYDTSRFHCWMVVALQISKDTLPLAFT -QDQLTVLNDAWHDEKVDNPMACLSRLAMMAYGIDLEMAAGALNDGEGTFYYRSRVSETDY -HWGCSPTFESTKWHFGLARSVLKVFERMNVRDDGANECARARTVGIDRINLRTTIFPEEN -ETVLTRLSPGMLSKCINNLIQVRKYDDSYKNSLVLLSSKSWSVRATAKPVLERRHLYADM -VGSSIVTSFYFSHRPDWSSMLENSPGDATRWAGTLKPFADQLRRCHVAKKHRDIYRKLYH -FMENAYPGRRYIELCAAPGSLYKACKERGVLANIEFVQHAFAGASPMYTDVNMQHISTCR -AKLFESGCKCLELLGAKVALIDIAMPRTGRVDDQYAFNAYAFEAVCRKLDSTNHAAAVKT -FACRSLSIFMSTLTRTDVSLARSAGSSDCGVEVFVLFAAPSRSSVTTIGIQLSAIVAMMM -THAPIANADASEKRSYDPDSLFGSYCVPPEQASLLPAPTSPISHLIPHGQDPGLGRPRYV -KCVVKIYAEETRRTGLQFYNADGTRRTPFGVTRNSVAAKLRLWRMKIVLSINGNSAMPRT -SAFDVRDASVSIIECLVEEGEANTYISNHDDPARRRHIRVPARSSMVGMMHDDRIVCSVV -TCDCTSHIPMPPSAYKLVPSALALAIHVQNFSDSHNDFFNNIIPYIDGSKTFVVRSKLNV -SLKSWITPGSCESGYDGGLHSIQYYLTTNTGTMAFCSLKRPPSSTERRCSCGTSRNRFRY -GSGGYIFSHSKSMKPAAVATNEYEHASDMGLLADDDETTLATALKEASYEDILRFASWRI -SKLRESRRHVRQYPKIRVGEVMSSEPPSLPSSSVFNINFWMSIHYPANVWRYAGPRDDDA -LESVHNVHIGFTKLRIKAEALCIPQTSKLYHVPRVRSGAKCSLAVNLRTLNEAYTKRNGS -AYTFRHMESNSVIAANLITNLLRHMCKPNVNELLAQYRRDPIGPSTHNVREWVRFTEPEK -LRRLGKKMEGIDDFGEVDLSKMNFEIKSAVKIKPGTAHITTNPQPQTITAAHAAMTAIFS -GLMEQVWDRLVAILNDNIFIPYAHDASAFNAFLTHHLRNYTTSEYYFHEIDISAYDKSQD -YATATAIMALFELLGVTPEVMDAMDISLRTIRCRNATVGISFSINSMMRSGAFYTLIGNT -MVSLMVTACAYGESFRKVICASFIGDDSLIASTSKMPMKVPVILENRCGMEAKTLLTSVS -ERVSPIPPMFCSGYIIYDPALHTYQRLCDPIRRMFKLGIPVHPKALSKQDRYRSLKEEVD -SWAFCGLWDSLASSSDRRLGVSGTKFVMEQLRSLTHNINNYSYLYGPEEWSV ->YP_009553327.1 ORF2 [Leptomonas seymouri Narna-like virus 1] -MTIQKLKNFAISKASSMLLKLEKTLEMMWSRWRHLPPKLKNDFKIISQCRSETERRKTWF -KLGNSYQDELRVVPTPYLSRVESRNTDPFKFKLGEKCETVQNSSRVRLFLSSLYDLQWLL -VASRGLIPFQKELYFTKVLAATVLPLRWKRSNWTSLGVCRSDPKGNRPSI ->YP_009553326.1 ORF1 [Leptomonas seymouri Narna-like virus 1] -MATLWDTTTVYIQNKTVRGIINCTKELSAYEKIYLKEDLLSAVLLDTEVENSTSEFKQLV -KFLKRLKSLYQSTAGEQWFQYLVKDIDTFVYPTGSTVVEPVQSKILKILDKLTTEDDDSS -SEESCGKRKRRGRKRKHFSNPPPNPVQAPTHNEIHISNVINGLTTKEDSFPKPKGQKKRV -KGCKKVNPQKLEKPKQIPKILKSEEYRKTKLAQAKQGKICAAEARKLKVETLPDDHPEVE -KLRHFKSLFDAVKAREDPRNDVVSVETPPTKAEE ->YP_009553320.1 ORF6 [Wheat stripe mosaic virus] -MCGFGGIIEVCGYLHCETLWLSVKAT ->YP_009553319.1 TGB3 [Wheat stripe mosaic virus] -MVHVVRFEVAEVVKYFVVGWVLVTAVQSFRDVAIAQANVPEVPVIEGGLYPGATFYADKT -KVVGFKGYDVKGIEATLHHLDENSVKYVTSFVAMCKLLVIIILLTYVWFWWHN ->YP_009553315.1 RT [Wheat stripe mosaic virus] -MAGTYSNWTLEHAVYASNAWVGLTDFVATIKTLLALDVTKAAARADLCHRVSTMGTVGFV -RKNVRFPEQDASGDVIYVFLGDSVLSGFIDKVMTSCTVATGERQDMPVSSGGHPRAAVVG -ESSSSAQSQLQALQTSAYAIRVNLTALLNAINSRPFSGVYNRDRFEEHTRLVWDXQFWAI -SDRRLRAAEFLRRCDLAVELGVFPGAGNVIDWMHPPPDYHSVDLPYGRLRVGIVDLVGET -GEVVPEAEVVVDTAEAEETVSREEPATASVPELVVVDNTPKVVEKLGAWWHWWIGVMSSI -LVLAGFYGLLRRFRGGLAKWFSSGSGGGPGGGGGGPPDDSFGGPGGPRGAVLYRPYRVEP -PDDPSLGFDEDYAEGLDDSDERDLGHIMRTVLSGDLPNLVQNWSYWSAMLLGAISRSPVH -RRPMFNALRNYVAVLAGAELLRRRSGEVPSHELEAVIAAESTLRRYIALGDQRMSQLLFR -PAVDSPTARMVDWYRASVSMSGDRLGPWLNVEGINLLRDLRNAQLTDYEMTLQNELEVYV -HTSLGLLNDGDVTVNVTESLHVLAQNVSRLAMLTRVTGEPLFFLSRQRYALAQEERERHE -ASSSSVVSEVERSEREGAARATRKLEEAKLRGEEEKSVTERAVAAETVRLKKAEAQAAEE -QVRLVQVTTRVTSEGAIRDHVLQPLNTAASLGLAYGMIRRPGAGSVLPAPPGTPVVRPTF -FTGAGHRLGGGGGFGFGRRG ->YP_009553310.1 coat protein [Aspergillus fumigatus partitivirus 2] -MPRKDTAEKDPVTKDDTKGQKDAAADSTDKGKGNKPKTQKQKTESSASKYTPGFAEGNSV -LQGYNLSVSGRMERILSPFKGDKFFHFVSDAWSEFTRLKPHITERFSYAEFRHMSALQLY -NRLEAVKFDALGVKQPAPTRIPLPRDTRVFQPIWSVLANIGVVEDPDLRAIYIPDGIVPK -SKDLSHPDDIENLLSCTLYDWESSWKEVVKAREARKQYQQRTGLDATTDSNETTVQLSKE -ELIAKIQFFRKEITRAEEREKSPEYELVKGVLRKIKAVTAPSSPSSSRSGKDNGTEATSP -KKEYEQETYWTVSGAKNQLEAYMQQAKKLKSQMIAPKFDVTHKIESYRISDGTITTSPGS -YGEWMRWDPQLYIDYENFVTEVTPMALFSLSMPAESKGTYAWILPVEKREDDDSSVSARM -PRASIPTATWVLALLLQSSTLPLHRRSTWYTETDRLQNVLGVRRRYIKAAIKDPTAVEQY -GTI ->YP_009553309.1 glycoprotein C [Athtab bunya-like virus] -MLKTVFLLLTSTTLLVDNAVVMTSMGGRYRLCFGSFCYYSEFAAYKEQFEAQAAFQCAHL -LANIVAIDTTTSTCSVYKPRACFAQVEQGEGPYLVPFDYTILQSTNFIPAHLGCITYTSS -QNTFAYKTCSTPSALKDPSVVVPITTTTTTFSFTVYKDYTCEAVLSPTWTTTVWTDTKIV -ITSTIVTNLITSITVVNCTITNTHLSIQGYTLTTTELLLTTALAKPAVTNITSVTFTTTQ -LNIVNHVLTTTSVLFTETTYTITEYHHTVTHADEYKTLEPVLDRKTCNLLGMHFCDKCLP -PNKICNGIKDCADGTDEPSNC ->YP_009553308.1 glycoprotein N [Athtab bunya-like virus] -MRNILEKSSMYMLILLFVELVVSSGVFVKTNNCTSLEKPQDCEEFGELGGVHWARLKDRQ -DKYEIQAKLSGPVCTGFSDPILIGDSRFSYSVDGQFRSLSGEVVDRCSQVENDHFPECGT -AVVSVCHLIPIGNCELKVDLESSKVHVSGKTSGPLQYVIQINEEVDMEGHCLNCEGFSRS -YPLQEGLTTYHLTCNRNSLSKTLSLSKSEVCARNAQDFYLGFHYKWCMNAFWYKTLTILF -FLVMLTACVPMINWPIHMILNKLIRCCSRSEAKVKVTKAVPSFLFLIWWVVLLSHIVYAE -ASLADSLKEQGFKPETVDFKGLYRTTVPEADFELISTGTGEALRVESFDIKLSPGYALGL -VVDNVELDLKVKSVRAQMSYKDCYSTGDTKIISKSTDTCTESCDKCVEELVVPEQVLPEN -VVKVAKSSSWACDGAGCLSMATGCTCGYCYMTLTGPVWKVCDLELEKVEINLCFNIGGKG -MCKWLESVETFADGLYQINLKTTKIVLPERVAEVMEEGKKIRKIGLMNKLGEFDSEFGDF -QVVDAGSNYEKDLDYIHECHFTQHRRITFKSCGQNHFYKHKNLHPCQTCFDGAKGMDFVD -RPIGEAQLKLNLPLLGLSLKEDKVDVSKFKISSCKGCRNCQEGMACKISFETKQSGRLPI -KCDSAQSRNYLLAKIGKNTLMMTFFTDLKEGVLKCELGMLKSQVNFKTEPGKLLLEDFRR -AVDRSLEEDYHCQLFSCTMGRFLYNVFGLKGLWHYLWIIFIFVILLVVVVKLVKLILNRA -TKRSMPIFKDSRFFKPQSVNVR ->YP_009553307.1 RNA-dependent RNA polymerase [Athtab bunya-like virus] -MDLDSLSDRLKQLRLLLYEKNVNLMSYYDFQGTLIDHILRSVEDLNPRLVIQMNDKRFSA -SQANTFCEEWEMLRHDVYTACMIQSVGQTQFVVDQPFSVMVDEMLPFEEKYYGNLDFMQS -MTNNFAIGVKIGLREWTKRDLLDIISDTMRRTPDNYKIEKQELTLIDFAVSINPNRAIEE -KFRKYTEDCETLIKFFSAIGYTINSSVLVYCGDIANNRDLIASSEVDTKIEHRVQGEFWD -AFSWNNRIYLSQFMNDEEKELFQEVDPEEVQVFYNLSINEKAARAIMTTDFHTWLDNLVE -ASGQRDLFRKLTKNYNADTDPNLRAAVRDSCAEQIYSLERDAIEAAEEMESPFEKPTPRS -VKKAFANFHKRILEGNSKFSRVDRAKPNFHFVWPVTADKITSDNGDTKQEVIRNVTMNCP -GSYLDVDKCTDLMHIMIFLSNLSRSDFALFQKKGLVCDNFFEESSVENTIRGRNMYIPKQ -AGKKRQGTISLKRVGPHLRHKLDEAKVGMSKEEKIKEKEENTRNVRSNTPNVESLPFWNQ -KERKLHVQNWKDESDSLKIKIEEVKDDDAEMSYLRGTSSYNCLYDISILFKNILFLSQSH -RRNRFAIATCPNPYMFAVILPGEDLQSSNGCSFYYVFKQRRGTPLISGLEVELMWETEEG -FIYASQTVRLDKVRLRTLSVCWAKFCILYKCLCSLTAKRNFKMALADVVSKDYMELCMIM -SFYSVTNLSINMSSLMDNVRYLVLGAAGEYSGVSEFIDEKMDVAIKTSFQMFLYDRTLNL -LANVGGVELSEISDIEGAYVGHFSGLTGDDMISDLVDVIQESYSIFFAVEKGLHNYFHAM -VDIHKVAFDYQCKFLMCKNPVNKSMTYGENFTYNPELIMISSMMCNNFTQKEINRIRRGF -KVLERPYKHPLTIKTMSSLKKCVSPRPKQNTISMAELDKKIRQNTRDELDELNDAIHFSL -KSIYVYEKIDGKNVLTMKKQTKLRGVALGKALKLEGFTHFIEASSQTVVDAVTNLIQSPY -PKVPDADISEIPLHVEEQVDMNLTEMLSKSICWLVIDPDRRLARVVRKFQRTQKDREIYL -VSIEYKVSLYFVEHFYKQVNRAIPEEWISISGDAKYLELFKEKAPEDWYNLTGDCSKWSA -GDNQDKFIFNIAGNCALTESEKYLFMFLIYLYRKKKLLLDNEMCNYLKRMSESQRGAIHE -NPFFLLTDGVMKNYADITHNWLQGQLNYLSSNVHACCARFIKNCAEKHLQMKVNYAVHSD -DFKIEYHLRKLENHEPFLCLVDLIMNLHCLKINKKKSFIHQKYLEMVSMIIYLGEARPSW -VKLAMSVISGLPYLGFEQDRDSALSKIQAAILMGCPKSVACIAQSMAMKEVFRTYSMHET -GVNFPGRIFSKHIKEIPSFLGGWGSDDCYDIATLGTKGQDVKLLYNEMNRLHKIHTLKRP -RLYRLEDFIYNFEDLDAESKLELQDEIENSWIIQAFIKRLKLVENNLQDEWETSDVLGSL -FRFKRFINVALKYDTLLYKKFPDGEDWQEKKDLWMQKHPSYSLMKPQTNDDLNIYFRLLL -DNPSFLRSYTNQSQEQLLINRVRSSRDRIMSLPIDRDSLDPLFTGNKGVAEGDNVTIVEG -LSWFKYESEVKINMEDLTLALNVWIRSDPKVRTWLNVVQNTTMGKGMSLKLFYPRRMPEP -ESHRVFSAPITNILQWIYDKETFEKDGKELTMNKSLTRDVEFIRDFVRKTFGDSFEKDKW -KNLRLVFKLLTGGEMGRIYMAPTSEIGSLSQMWCQMYRSCKQDFTIVEGDVLENIDGPVI -EHIEYFDQATAVTNLCYKISSHHPPVDNPVAYKKYFINILKNVWVGNGDAYEFLNDVNKN -SSKNLKIWKFFVHGKDEGLNEEIWRNTQIIVRRAQTFSDKQKRYVGVFKLLGVIQHKTVT -VVEFYGDQNGLLEINYSGDSYLATNIMNSLHNYLEKKWGLKVSYNNLFIEASRGGFVLQD -GRVKLFRKKLETHIGIKIERNSELSKITLRDSKVIVASDDGLYVDLMYGDDLVRLETHFV -ERTKSMRRCLSCKPDLKFLVSTLFGGSREVREASLLRSINEGLLDVRSIKSVSLNVNWLR -VLADKHISSEFLVLRPSQFVMGKVEKLSLINLDELLASRGLKKVTLPREVLEMSISEKRE -IQDLIGVGMAITNNSAKMDWYKEYDTDDEDHEYPLPEVEIMSRVSKAYRFGKITESDKLL -MHCIIHDKLPDDFKK ->YP_009553305.1 capsid protein [Picobirnavirus sp.] -MNKKTGRNQIPKSNKAKASTTGRKGRGMRDQHSQRSDNRNVNSSGNYNGDIDAPATNNPD -WWNKSATFADSVRIPFNRITGYPISKNDLLPRSIFQDTTIVADESYPEAIAIPNLMCIHY -IPFTGACSNWNDPINRSYMSVYSALAAKTSGAMQINQPDLAMTTEAANSVIVLIYELKRA -LGIANLYSQQNKTLPYHVLQALHIDPDSIIGHQDEIRRELNYYIGALNSLALPAYTDIHA -RRAQLAGNVYADEDDVRAAFYAYVTAGYYEYVDTADDISDVEHLKFVYMAASTPRTADSY -LTAIGDCINAIRNSSAFGLISAAVQRGFGDRNLLTVEMVPVDFVVIPAVDRYMTYQTQNA -DFIGHYNDLDSKSLHIVQNPVRNALEVIPTIKSNSSHYPENWACIVNDNKWLNSYDGITD -ADFVIEATRLKVATTAIEDPGFEYGMDIPLDVTGTEICLNFHIWKVEIVNGKETLTATIH -KSWNYVANADPVAMATQVKFMCNLSKFKNHPLINFILKTDDVVKTGVITPIPFGDLYRYT -IMSGSALQGIHETALQSIYTLVPSLDIVGEK ->YP_009553304.1 hypothetical protein [Picobirnavirus sp.] -MKGGHSFMTTQAIEYLKLRETERSNKAREKETHRANLAQENISTANLAETTRSNKAKESI -SLDTLAETKRSNQAKEAIETSKFAETIRSNLAKEFETQRSNLAKEQETKRSNIAKEQETY -RSNVARERETYRSNVAQESNTRYKTNLDNIGYISQAAALDDMGYNPAKSSLLMLYDAARG -LVPKLSVSFK ->YP_009553303.1 hypothetical protein [Picobirnavirus sp.] -MLSMGKPLSIYHVEIVPQQTSQISIVNVCFMEYFVFPNTLRNALIHLLIIHERRTQFHDY -TGY ->YP_009553286.1 hypothetical protein [Alternaria alternata chrysovirus 1] -MMFWNKRQAAPGVMGSERMKVAGLIRPATAVSTVPWWFLDTPLRTEASALCLLLTIWTHL -RGACLAICDRRRSSDQCSECRACVARDWRHTAWRHLRWSLVAAGRPDALRGVNFG ->YP_009551662.1 hypothetical protein [Stemphylium lycopersici mycovirus] -MFCDFEPDFPVFIPFLLRNVESRVFSAPALLTAQASGGFGGPKSVRVSIAHFPLAAPDAE -ALEEESCSGTAGQDVFPVGVKSTVWCGGAVCSGSCGFDGSCGISDVDERGEGYFASTSFS -SLVSVALAELGYLGELCRVQLSVGGMRLDADFRGGARPQTTVFDAFAAEAFFPPSLEEVV -VEDVAPAKVGAPADIPGSMPLDYVVSLAETILPPAYVEAAKADAVSLVPVSLPPPADGPV -LGVDPGPAIPCVVNALFDCAEGVAQSTPGPVFAGDCDGEIDARLAVLQAGGTLPRGCYSI -VGDHNWFNILRGLARWFASSGVSVRGARMDLLLGGLSVARDDRLRALRLLRFLGLGRGGD -EAGVGDRVLFPPCARAVVDARLAYLVWDWIGGSSAAD ->YP_009553686.1 PIPO [Wheat spindle streak mosaic virus] -NRVESCELFVLHLTARAFRIEYGLKGTCFGEHLALLSSLKSYIFDTVPSEFLWAKTKERS -IFTIPQCIKRTPIDCFMLCLRVMPILHR ->YP_009551651.1 P2 [Chiqui virus] -MPQKNMQKLKEQNTTTTALKPTTQPQIEERDAIVQNVQQSPQLQTERSALEQVPKTLTIE -DKSVIKVDKITAPNDNETPEKAKNVESIDTQSTNINKVIKDATPQTEPPTVAFEAPNLTS -KVDNSNVLKSTTLSLINQLPPVPVEPADLTKMITEPQLPKVSDVQTIIHRENIFEIKPSS -IYMKTLPRARPFITTAMTVNTQFEAGQGQRLAPMIDMYEAVFKQSAHKFVPENTNIMSIL -PAWNEEIFKPYGIYTITGNVVSESMYTKWYAPANPRANTHLEEATGRALMMLVMMYEPKP -IMIDNSSQLMSISYLNTGFEHLQVLSGRTILKPPTESIALAIPSMRNGIVAQQLSDRISQ -TEWRLGRIAAVTDDNGVDIQLDIADDIDQFTAYAYNEVGKRMYDSTMTAGEFKSLLDTVI -IPGTTVQLLDTTDRTKYHHDFIENPRAGELTLAACCMHPEFARSLRPRLLQYLRSSGAII -ENLKGSPDDGTSMEPGYLEFMTKFREATQSACVDAFYSSQIPKVTLPTIRHHNLDAKHIY -GIIPVYAAVQYTRIFPHQAFYARDEIARIIFHFGVSFFPEETRNFLDKYGRYDAIPGDNT -AFSTKHGYAGHVPSIFIPFKETISYYSEIADLLRYTKTSFTQPYDQAHCPRFNSTNVCWP -AYYQKASDLDDSRTPMDIGVVVSVFEKIIAKINKMRKLQGSVINTVITYIKTNADELMQF -VPAMETELAQLERTVTTLPLTLGTHFQGSMSEPLEPILAIETTHPDYQKQEMYMEQIDPE -WVIDISVILVCGYGVTMRFGEVANSTASASVKLEQRLTFPNPVHEYFSQLGIISGLSLQM -QPYGVLNAIWSKNQVNPDIISLRPVKKVRNADIDGILSKFAEVHQKALAVGQAPLEFQFK -GTVVTKPTNTIETDPMVAFNTLRAAAVDGEGNLHSRKTLLIDPKFALYARNRLRLEDKDQ -KGQWAGKAIRLLSDWDMRRIGVYAGFVRANTFMSRKRRGLLIIRQPVEQWDPTTVLSPYE -LQTTQKRPFKVVELLPQNIGSKVHNGNYIRAKITVTLDDGTNVDLMDDVDFNGYIFQIRN -PSLLADEDRTWLVNLVQSVEFGIFLSEIDYTSFNLETTHRGGMTEQVSIHELLRYQEKAV -SNIIFADSRHNLMTLYEKPMGQMFRYVYPTANDGVRLLAKDWYQITDKLGANFQMPDVLY -FQTGDLTPDLRPRYTDGTMKISVDSLCSSNQIRVFLPASQINKSFIIRMIMPSSIIPA ->YP_009551650.1 P9 [Chiqui virus] -MQFSFRPVRQPTSTIVITEHPYLDKIHDLIRGKKIDGGNPNLELLIISKTRKFKNVDDIY -MVPTDKGIVLYTPDEEDFAYRKPQQQQLANEPFKTQEQRQNGILFNMRIHEVLSIEESKY -IVNLIERVISDLEQTISELKIRSTVVQQYCQRGIDKATIDAIIKAATFLVCYKLVNREVP -SKFSLWLRCQKGLSFMSLIESMPSDAKYYDQAVKHGLFEKCALIEGQRSFNIVKKAPRLL -IAVMDEIQMGGGMYIRKVMQSNSLTVVDSLSMSKLDGDEINSEQIPTVVSRAKSSLQINE -SKTEMSGGNPGCDTVDRNVVKDAFADVFN ->YP_009551649.1 P5 [Chiqui virus] -MTMSHVSITIQSLTGTQHNYLSSTQIAKNKIVLITIPGELTIDQALNHLHSLNVTNSDIL -KSKNDQTSTFYQNDRWIQMAQRSPMWSKMSGKLDTGFFITGRARTRLRFLQEIGISENEL -PLNLPSAEFYSRANKIRSNQTHIPQIYNSFDVPSETGSTAATGESGFETEEICSTGSSTP -QSPTRNGGTETEEVRINRRYTVRGLQQISREEMAKTRARIRAKEVSILPSNEWERRDQIL -YENIANRIAMGNEEHLRTTLYDSNKITCELLFRQTNIGTLYEAQNSWTGWKDYIYTQIIE -RSTINETTPEMLEFLTLCYAFGANGVFITIADKFCKYFVARTTPSHVYFCVCKKDNQLTT -VTFDSSEVLGILPTYGINLVNQLNFSEHRLPNSYVYSRTLGDKFIFQNTVVMTGVEIPKE -YKGLDLSPIIGDGCSVPEGSFFRNNDTFIQMDDDAISRQIEASTKIQAHQVKTKGYNMDM -VSAIPERKDNIVPNIAREKSRYQRLPNDKKDKNISYFWSHASKKIFRSQCCDNWIWVGMM -GLKNMESNGISLTYTICFELARVASCEILVYPRLVCVSAAASRNCPDYAMPRLICSIDVL -NNTYERMEPNDVWDLNCFTDESVDKIMSFFWENKQLLCRMQFEVESVIQRKISSILIRNG -QSSRLMEINKIRYCDVNHGDDKIFHISNNILLFKRALMSDVRFDIFDDNDVGMNLEREDV -ITKKALSYAMRYGITPCVPDHLKEAKYSCRHGRDRDFWNDTTAQTILCTPTGAGYDIRNN -HLILPCGDIYEPDQCGVSVHQCADFLWWRRPNKDDEEIQSCLRNEFICPGCGSTTISSQL -VRDECQHLRFFEEDNDLSDFDLTNCLFDQFYSIAPSAPLEVDENDPFSDLPIIKSGYEFV -DYR ->YP_009551648.1 structural protein [Chiqui virus] -MPWEPTAELSDFSSGSDPIPSNVKDILDKPTLRFEHDEKTGKNTITPTGMWKYVRISTDA -IARIEKYKTTRNILQPYDESEIANVFRLSVVQPSFQPETLINANKRFAIGIWTTLVTTST -NNELRELLIKEPELLCWNADETTSGKLDNLIYRVDSISKSRYNDMPYVIIPSYGSNDVFA -PSEEPFNTYWFMSKDSPNIVNSLKQLLTDTNSVMHSIPKYGNPHIISHKSKAELGSHVLR -SCIENLIQLDETWGKYVSVSRECETLMTGTIEPWLAKCRAASMMPVPIKRTTIGLDQDVD -KITLLHAIYDEFAKNKTLGPVLQSLFEDTTKLAIPTLMLRVKKDNSMYPVSKPLIPYVLY -KYNAEDLKVRDLIENRVGAPVTSIQIPNGELIDDDRLVGNTIQYGPAPLNIINYSSYVRQ -NYDLTSLFKCTEMLPNLDEYNDDMEGEFRFDYVRLFQYETSESYFALSTSCRFLESISRN -LNEFRRLRRNGELEIIVGSHQHLLQALTFKQKQLTFESGRIRLPDEEVKDRHINNSTIKL -LSLFIHIVVNKFLVKDGLTYTDYDKSKLRTVTIWGAENEPLVDILTCLFPTIKVIGKGDR -AIGSGNQRTILGAELETDTMRNVIISDINQDGPRTEQGAFDVLEFTIQIVEKLVRQSDAC -AIKVNHPHPYVLNAIIQKVREIGARAGTRECRFVRCNGQNHYTPEMYVLIMKAEQNFEPK -YCDYNHPASTMYMDPRLSPEEKVDDKGFRFRLSAKIPNKDDETTPEFRDMVEQGGMTFAI -LVNTLSSELAITHLSHYCSHVISASIVNSLQTLVVGAVSPSRLALTTRVEGLRRLNRVTM -PSRYGYGKISSDTPILLSPFRETTIGSLLADASRYQIYKTIHNWFEAKINLVVDIGSANH -KLISLIPKTTKYVTYDPINVKGMLDMWNVTVNDMPIDYRNLPNDFPVEDGMLVCCTFSLF -APDPVTGETPDSEEQLDRLIALANSLIERTKGQMSWAIGFTLYSDKIFEYIEPDTDIPGI -KLRYPKDADPENGIIQQILPGVTFGEFDIVDAVSEEQLQEKLSIIENVDVAFDHPSMLDY -VDGSVFSRCVSDAIYSIRAPLLATAIWTGTITSQV ->YP_009551644.1 P7 [Chiqui virus] -MALIDSEANQIRIKGANWQRITEKARLDYTQLSTILAQHSSIQTASIIPIPEDQKQTVKN -IVTVTDTSGSADNLNDLLYQLFIGSSKPLEIAKKIFRIAATIVTQENSIITTNGYFYRDA -ASHPMIRQLEIIERNDAINLFSKYYRWMELLLSNEPLLVSDQDVGALLNVINVPTTVDGQ -VVSDSRYVVSDVMFPNGHISTTIDENLLRTQIQDMPLFGYFSDIITDNFNFGTHRQHLDV -LRLVLIYAFRRWFTNAGLVTSGIQIGALSTFNRQNALHNGDDTDIESKGRILPSLFLTAL -LPSELLRKVKNAGGETNLDEIIADNLEELMSRIGKLSVNTPTKITQSALDKMFNGLYKIS -DFGGKNLSQGYEHASKQSIRFSDYTKMGFSKVAGLALTDSGSATLPTKDAPDPSIGEYYA -RHKTELLQLIANERLSVDELSVIFGGKLISTDQKLNQIFKTMLMPSNTVTLVDSSKVSFP -TLINQCITQNGIDKLPKDPKVDIPTLIRTNWDISAETISELELSDVGVEGGYDYSKLFKN -KPFTGYDFSQGGIKLGTFGTTWYTDEGVRQVALSNEVVGADMLMARMLDKRWNLPSEPGV -QRTMLEMMKDDMASENPTLPTILAEGQIVFLWSQFATIMEHDDWNDDDVIQEWKDKGGSL -GDHVNNIFEVAIPMLSKAKMSTVGDHFSRTIRDTDGTYAKEVSYNILDLITHGTSQIEGG -STFQQFIATEPELGDQGYNGRNLYAYFTGLVNDNIPIDKITKVITPAKLRSIVVSDSTTV -SIGDNSEPLHKVLESQIISDNTTEFN ->YP_009551643.1 P8 [Chiqui virus] -MRKYRHTVSQSSNQKSSLFLISKQEWKSLAPQTALQSHQQLCIPIDSAECAKLGTKPKEA -IAICLPKEAHGRYNLENFPKAVAFIYVNEEHETQMHNYLTRFCDGRSERGEYHTEIIATI -KSRVRVTPQTPEVPMPETIRNSNVETPVVQEMVNVTSVNLCDDATIKALDSEDDEVHDSE -WIQKFGCYSVTDSISSIVLGVKIPSDEKVDDTFRVHARALKPMGKWKHERYEVERIVAYE -TEINKANLTGNMQGMATIDVAKWHERTTIILYELMNAHVTAHMKFVHTYICKVPSIRKLF -VFPMLLSEKGNAYLNEMTSHTENQIRYEISNIDGLRWMMGLGWTIFAKQRLSGIDGRIWF -KNIMCSCKDWITDHQSTLPIQLQMRYKENDAYGISGIELTW ->YP_009551642.1 hypothetical protein [Bipolaris maydis partitivirus 2] -MATHQLINDIYNPVIPAYLAQDMLDKAQNNTHDGSAQMFKFSRFTGCTQMDVLHVITGYT -VDPLISIEDKIGYRFNESFIQILNAIDPDFLLGYSPSGKSHGASSLQETTVRKLFQTFFA -DRKVAPPPAAINGQILSRVWTTDTDNVTQDMVLSNLRMVYYDSFHRAYHSRLPVDSLRGL -SGRNSVSFANPSFLHLPLSFTEPETRDPRVTILPSDYLPDLFQLRLPYGHDRYDNTRTSH -ILSWNPTTRKIGAHESIAELTAFHSHSHEIISCFETTSDPIATLTELLSNVRVAIVCLDK -FQLHGVSDFRSTA ->YP_009551634.1 hypothetical protein [Colletotrichum fructicola chrysovirus 1] -MRAMLSDALGQLGHPCWILTLSFGGQVKLAFPARWARLVALPPAQSPTTRLKWHSGTAVT -YQHGEVPAGMDPHPRHVDKCGLRTVTVAG ->YP_009551633.1 hypothetical protein [Colletotrichum fructicola chrysovirus 1] -MSQVKLNKHGLGFRATAAPSSSRRPTKKTEKTVDLIGSPTSDFVSVLRSVSVLYVAESSR -VDFFCGSVWCPNFATFRKQYSVGVPVYAVLVARGFKVRVDRLPVGRRCLTELRRKFGNCF -RRRSVLRGAPKVLQPHSRVRFVSAGKETFAPEPSNYSGQQTRTKAIGVRGDVSRDPRVAG -TRLEDTVSAVLRSGPVRYGGEYRVADPDYASFVTSTPWGRSATASWLPGGEVMYPTRASL -AGVQQPSGLSDFDLAAICSDADDADGYVRVIEAPGIASGSIFFYGNGGDDDDALGELSRR -CPG ->YP_009551630.1 hypothetical protein [Colletotrichum fructicola chrysovirus 1] -MDSSRDKKEAGQRRLVSARWRVRRPTNASSETATSVSSNTSFPATVAHLFYVVGDSFDFF -VGDTFVPCYAEFVRVFEPGSRCYAWFVSPAVEFRFRVDTLPLGGRRAVRALRRRLSSCFS -RRAALLASPAQLARTRAVRFVRASEGGPEPKSAERAPVCDNRFFSPRAVESLSGFEHAVV -GTRLEAPASVWLREEGVRAWGSVSDLSARGERRAALSSRFLSAVTPWSPELPLQKPRAVV -RPPTSVAPLVLQAAVAAPVLRTEVAFDSGALASVWGPRREESALGPGASGSGAGGFAALE -ASLAPSVRAPSGGGFAALEARTAALGSSGLLGGGCGAVGSRSFSSAQGTRVGSLSGECAT -REVSDVTVTVEPPADDSFTANRALPSFREVVRSSLGASDDGSGGLAAVGVPSSLRRRRGF -ACHKCGAQFSDALVLSTHTSGCPNHRCICGKPCRTHAQRQECVGRHFAGEPFPCPVCFSG -SFRTFAELCAHVREAPCRRLELGRAS ->YP_009551617.1 RNA-directed RNA polymerase [Nephila clavipes virus 6] -MEYSIESRFCLWRQVCEKTLTTYFGNATPVMCKIKHSARKQNAEFIMPESTQAGWKIKSI -YNIAEKEKRFDILWKDIIRMIDEISSWGYTNATSVSLALVDAIPHISTLGQSITTEAILP -NFTELRDGIIDHETGKIKVRNDHSKDKFVEYSLGAYVRRRATQELYPKFITNPYMLLHAM -LSLFIEFRDDYSFLFCDSSLLQALKNHILKWAISSNGKIESPVRITGNNPIRIVSWGTDA -YFLKRMSVVLNYLVTLVAEAEFIRLYPKYTSVLTQVACYLFKSPLMHTKDFKTPHSAVLL -NSFYVTRKMRPHFLRFDDSKTKITHERFGTAYIHSHPRYPEYSPADSTKLNRTFSEHIEC -VGCDSESSMEKVVNIKKERFNTAISDLRKLRMPEVLDFAMRFSVDPECNFGAMALMAWNH -LIGMAGFSTSDAAIDITKKLEEMREWNKKTDSTDYIDNKRDLLSRANVQRNIRRAVYSLT -KNAFDQGLYFRANRFKEIVPNLLTGKSAGLGTLSFNIGGKVVKVTDKKSWALIEGLRILR -FSTEDAGTSSYDDWRRYFMSMGRDPDKVRIKPTLIAHHPLRQRNIAVRNVPAGRAARAVY -MQTIFEYILERLIFAFIELTNRRPQGERAFNKWTNDVDQGNVNVAPNLQDTDDFLAGVIM -ASADPSRLILCIDYDAYDQGQTYEKILKHVFLGIRDYLEAGPEWLKETYATIDDQSANFY -DLFRFYVNNVIQRWFHISRFPEVSEFLVDFLTSGAYWTFGINTTNNEMVTKEMEERACEE -IQSFHTFDDVAIAGDDQAATTDLTALSSDDVSQLRGVVSSVAHAIGFKENKDKTDMSVHK -AEMAKIFFYRGTTIRIGSVQLFESEKASQASTIFETVRGFHQLVKIFMYRYPADTRLLAS -IGIITGTIACQLDAVDKRETQERQVIVLDPYIQIVPSSFGVGCGATLTGFQNNELVYHFY -SAHREMFLAYVDLASQIRFKKLEGEQRKLVDTIFGKIQHEETITVFRPDGTEIFDGMRGA -RDMLSVNKKKLEQSAVANRELLRMKIKVPKNMLLENATKSFVEQITATMNLDPVRLRGDS -SDLLLNLESYVMDADHGYTLVCSQQPLLQGMSLQATSNPVMPRKYTLSRYPTVSTGLREI -QKYVGDRHNVQPPKNPALLTAIRNALAAGRRETVISPNITEETFLDIILDIITSVRYQNI -NLYDIIRNIIRVFFGILIDERKYVDALLYTINSNQVSAIPYSLSGSFMVYLDVNYFPHSD -YIYIPAKSVYKMHYTYIAATLFMSRLADGYPATKVALNNDDLLRKDTRLMPISKAYDVKA -QFPSHGFAAFE ->YP_009551616.1 core capsid protein [Nephila clavipes virus 6] -MDCVFDIISLNSGASVVICIPAGETLFDKIEDSYKRKFVLRGEAQRLNNQGVYFKELNIN -ELYKERKISPSGIVSVAAQNVISTKITDINPWVNRGIITVLLITMPNDSSIDYWRAFFQC -IANMQYMSYIPDYISLEDRSKMVRQLGQYRSLILSTERASGSSPFSTYSNAYRFALMSHA -CTIFSRKEVIIVGFHPIFAKFALQLGIRLTWVEVNSKPMITARLNAKALSTKKDSFNAPG -SSIFVKPDGESKLGFFADALVYNYDLVIYIGAYPGAWFKAIPPWVAHSKRIYLIDPAFPE -DWSYPGVSIIKDVWKFDNSWSENLARLGISLSNVMMDKIVICDDTWIPGRGAMAALLPDK -ISTLSVAANEGIDVFIKFNAYEELRTVLVPRLESIMWLPFMGDSNESRLKLSCVGGMQII -RRSNYLCACLEWRNLSHRDQVYESGRFLYAKSIIEKDMFTLLPSDIGGKAVIAPFSITNT -FNATNFADLARKVDNFGSRCANAGVPFYTDLLITERIHAFCTRASDSSLNITLRGDTITS -GASYHRYVDYHMPLHALYSLKHMAEVPLYAIANDILLASGVVHGYDVISPLTKDNVEAMH -KLNFTQNVRAIVVNDDIFARFLIQDVRSSLGYRLKNLTSIERGIGLHSISSTYEDRANIL -ANKLLSISPPDSIVFSPRIDKPVIVNQECIAVFSTCWESRLTLPLRVGEYDISGHLLNLL -AHTMYEPVSIQLWHTQAFANYRMIHTTDSHSMEISQRYQLAKDAGLIVETCPDEVHVIWH -KWNDYLFALIIADDYLKTILPPSIMNDNTVVGRNLPLTLGKLWSKFAIRQS ->YP_009551607.1 glycoprotein [Wenling frogfish arenavirus 2] -MFESIIDPILEWLFNLFSFILAVIFVMTLSLLIYRMRNLPFLFMMCGSAECWVSNIQMNG -HTAEMRQYEGQWLCGKIKMIKLDDLYYIRYNMSDSAVHLVMSRCTNTKLENGWESVCSER -PALLKNISTGISMIAGFNCSGQQKCFVGDGCTPWVDARDICGRKLERKLDNHQMLDICRQ -PIVGWIDSDGVHGRMQPIDKSTSVKEIDSTSSGGHWNIAGRCSCIAYHQGVALPPITLNR -HNSFCAADEWFSTHPKVGTKVCISADSLAVNFLKWSMKVMNHKQDIIAVEKGGTVNYIFT -KRAKKVFISAQMKGKSCIPGNKTGDYCQEKVNGCEKGCWNLPKSRTRQRRQSILFFWGLV -EVKWGEGGEVYDDSVLKALASQVDLNTASVKKLQLKLDLLSKAIWNGFCTNGTHSVQANM -TITFKDGDELVVLDWCNSDTLEAFIDSKSVQEHHLSDLVKVSIRMRIHQKRVTIATKLGC -VLFGLIVCTAVLRALWRRKDFSLSHYHEEDLNGQTCYSHHRFEKRYSRACRCGNVVIGKN -SFLKMAKCNNRSKLPDCLLMDDKPNECDVLDFRQGQQKEVISEVQVIEFEIHGDEPRNEG -SGAQTHQDLSETPERYEIAPLVFHNPEYVTQFHPK ->YP_009551606.1 hypothetical protein [Wenling frogfish arenavirus 2] -MERFNNDHRTMDLSYMHSEFRKGKFRINMMIRCRRLVRGSETFLSHQIHNVDDTLWAKMI -NDATIFQVWPWMDEKDGMKTEILSRLRWDYLHTGRTTIDLIDEVTGPCAPLESDAPPEEI -VVAYTNRPNQTSAKHLAFLSGKAFITTEARPDPIRN ->YP_009551603.1 coat protein [Saesbyeol virus] -MVSIISSPLKEISLDNNFAAGFLTAVSPSTVKRPSEILVNNIIQPFNANSFYSDLFTTVG -DRVIVDCDKDLTVVGEFAAKPDIAVVSYMLSAYYKDQKKTCQTATRYNIKIPLRAGEEPT -KLEFYNLRNYSLKPEDGELTSDQDLMLASVIGLNMCVQFHEGQGDGRYASSIKNNSERRG -IKKFSQIFIDNDHRMSVYKALSRNTKTTAVKLFNTACMRKSHQFYGDTPFYCPELAAATT -IVGVMSSQGASPGLIKITNSSLKKIAKAVGALDTDLIIDLMDFTIGGSSTMDAPEIFAAY -RARKTSARLNTGLSHGATGPVSFQAEASRQ ->YP_009551595.1 hypothetical protein [Melon chlorotic spot virus] -MYDLVPNCPAYPANLLPFMALVNSFSMEEKNLGYVPIELANFLHETAIFDAPVTNSIISS -LSSYGSREARYDIAKRMLKTCLVETVKKYIGSSEENFTRTEFINLSAILVKLIEI ->YP_009551594.1 hypothetical protein [Melon chlorotic spot virus] -MTLTLMRLYGNEKYFPLSCYLKQYKGGILNFMLLYRENTMISANSEIDLLEKVTNLAVHN -PELHMSFYEYEKSVVQSLLYRAEMIAEYRYTNW ->YP_009551593.1 nucleocapsid [Melon chlorotic spot virus] -MAPVNSLADVQGILNQIKGVDLSDSSIEQTLSGFVYAGFNAVEMWKMIGSTPGFDPKDIA -LLICACLQKGYGLKKFSTKVKQTAAKNTIDAIVLKYNIKPTSKDSSDPTLQRIVSTSGII -AFNCYQHVLSKGRLNLAVPAASLGLKCPDVVGCSFINSIIGTVTETSVWEPVLIVNEYLQ -AQVTMKTMSEENKKAQNITTVAEAMLKNRAFSEAARSSPIVPSGDDRMVLLNYFRGTNYN -FSPVSRIPEEISPGVYDDLKLIHKTLFTPEFRVQYQAIGKIGALMKSCTQAEFPSKLTVS -YFNMA ->YP_009551591.1 hypothetical protein [Melon chlorotic spot virus] -MASGLDNFDLVISALISPEFFSIIKYDNNLKPYQLDTLFSKQRCSLIALYNLYYLDIFSP -GLALELLRYINDLELLVIRATNNN ->YP_009551592.1 hypothetical protein [Melon chlorotic spot virus] -MDPTYNKISSMLSDATRNKITRGQTQNLATMSNTNIANIFKDLISYSSPSDDQRQEIVAY -VNTQLLKKLRITTFPAVDASLIYNNMDLDFLSLENIGNPSLYTTDEVVQIVQYQWITNTT -MAVDVNEVVGAFCYAVISVFESA ->YP_009551590.1 hypothetical protein [Melon chlorotic spot virus] -MGNCILALLYFNMLKGLLAFCDVAGYITNTHWDNHKEIEGAIVDLEFRRLGDSYCMKDFN -LTYVDVDIQIESQGHIGIYRPKHSVKKEILCAEDMGFRSAKIGEEVIVTANRVEITKTEL -DTGIYSCFPQFYHAKSILTITLDMIKIQDLYLINSFYLQPILNMSLNNSYRILNPISEAI -YATKDVIIQKMDSPTSTHLSIYSYFQDGFHYGITENLLTQAIGTCAWFISMNHHLLLNDF -QVDCIKRGLTSLTGSEPRLERLSRYDVIPDMETTIRLVMPAYKKSVISINDRKQKCSCEY -QESSGSYTLEVVGFTDFFTCGNQIYHNGTVKTLYEPKFILCNRLIVGCSTSNTSSSTFMT -ERISLMRSYIHVNDLKFFFMNHLWLTSITIGVTILILKKL ->YP_009551589.1 hypothetical protein [Melon chlorotic spot virus] -MTLLHIAAIGSILLFRSCTGVSSVMEGNKVICGPTCLLNSPLVSIVGSVCPLAEVVPQMK -LKTVCYNDTDVYEYSPGANCVSFLCYAIAGSKFKKKDEVCKDDGTFGLACNSTSDKIIIG -LVLLGALTISQVFLTLIKILSILYLVPQLTIKFISRLTRCRTCSKKKDDLEMGSDKGQYS -IVSVPDLDPRVRLGNV ->YP_009551588.1 hypothetical protein [Melon chlorotic spot virus] -MATSELNFLWADEADMEEKYSLVFGALYNHQMKEENGYLDYDECMAVLLLDGGIYSHASV -SSLNKEIFLKTIRAIITNKFQLSDDKRMKLLQGVLMIVTGPDAVEKLNCRVCQTMYHKFD -HKREVLCTKFGNKKWSRHMANHDFDKEEDRDEIIYESRPMIRKREVVRSGICNIKNNKIH -LDILPELKEILSTTVNLAFLRELLKSGDHEDGLACFQLIVSGMLSAQGGLTKVQRDSKMV -DLLMEQFGWLIDEGLIYRESGIRINKCPVCKYSSDDEMIYKGRFYNISLLLSCSYFDPDA -TVFLISTKSHNNSWFPGHLKPLVDEIYKKLNLSYSQFSCYEPCKTKERFRGHSHPKIYIS -NRAAGTESLIKRF ->YP_009551586.1 hypothetical protein [Melon chlorotic spot virus] -MELVTNQVVIPTEICIIAGFMNAMVFPKNYPEEMWSICINYDIKDSVLSNSFLSSVKEIN -NVSLTLVIYKNILTSLRLSIDNDPDYSFKLLGKEKAEVIAFLDRRLRQCSAIVN ->YP_009551585.1 hypothetical protein [Melon chlorotic spot virus] -MSKLIAKSLRLGSGRYSDMSLQTSSIANLKSEISEALISMEGKPDEMTITKVTHNWNCTA -DGSSLITELGNPSRNKFYRFAAIIVKFQPLLLTDPGTGVLNILNESISKGKRFLHHILSI -PFNCNEHCVYIAGSEQWIDSEKGKISVVSQCSGSIAKPGTKVMISDVVVVYQHSSRPKPI -KPIPTTKRSCMVIEDNIYNYIEPEVSIGQV ->YP_009551584.1 hypothetical protein [Melon chlorotic spot virus] -MATSNFMIKTEVYERFNVLHCFKDLQQLAPEFDFAIDQDKKSISQVIADLEHQKRNFNRE -NLNVRDLIPRIHKRNLKYEPMLNVREEIINPYCSECRDTRNTCRLIGCPECSVSIQVNTN -LNILTYRHISDCVGASCHNSRITRHWLFGHRLSHKGNLYEIEGKFHIARSNWIDSAAIAH -VDPKQDKVYVFRSGVYPVVSSFKFSKSFYKEYGDEVDMMFENFNRQDFIPPFDTQ ->YP_009551583.1 hypothetical protein [Melon chlorotic spot virus] -MDLIEVDNLYDLIEYCKAKGLNSSCLVPMVLHNTGIHVDINNNDFRLDHMDWKLEEPDPN -IEINLNLVFEDIRETISMAEDEAWYQPDEICYGIRDLDQLVLWCQKERTFFPELLSLVFD -SMPIYISFMEPLVFLDVDEPVSIPLYHSFAEMIDQVIQDIQMEIECKIYHDDYDSYFYLL -DSDDARWEGEDYLYD ->YP_009551580.1 hypothetical protein [Daphnis nerii cypovirus] -MMLYAIDVLNKATKTIQQLRVFKVDGQSTDDIRALRTEFERLTGKKLLSRKYTSALTSKL -FDESVTKNSNNKLTYHIQNKSMIGTHLLSLDTDKVIWTSAEDIKSKICESEVTLQSIKMI -ESDLTKALQMYDDTAPTKTVISADERSVSWKTDPKMIKVVIRDGKDESCFGLGTEKIPMR -DSNFYANEYDLEQNMMASVNFLNVASSFDDSKSLKLKSDLAKVGTAYEQWCNDHPQISDQ -YQVRMIVRASTYEEPLIKDLLSNIASTCIFTCAIYNEDGTWSVTDSFNDTDTVLAPVEEL -SATANWSTPETVDGQAEQQVVASESIASATATTESNVDTAINEQAVEINDVDESTTEASQ -DATVIEKPLVEEEQRSVDTTHRIQSVEKTVNAVPTKPCEKDELSNEKKTLIRLIGSELDG -DKPIVVNVASDYTLQDQIEDLINNKTANIRIWHNEILIGRRDTIHSLRAGNLSEFIIKFQ -TITIPESNVPTFNVKLNTGSNIGVEPFAVKNNTTRSSNDELFEWGFKTMVESLIKTHLSF -LHSTNPTADRIIITYGKKYKADDFELEMVNGFRVMTHKKSKISAFKSETIENLLKMAGDD -EAKRNMIYDVIDNIMSSCLSEAESPYTSFQQRHLNVIGLMRD ->YP_009551578.1 hypothetical protein [Daphnis nerii cypovirus] -MINTNAYVNNTRIISRNAFMKRKSSDVIEQLDGSLLTSKRLLDTGARLIERVEYVDLLPR -NQLSEIKNVGHLAYESHATPSNILDEMMFSIVIDSRRNFKINVDAIVNDCPSNVTELPSS -LLEHLAECEIIGSEYKAKFLIWAWNNKINLYMFADDKTALYLRANTTRDLITVWDDGQSL -YCFESEDSELQTILSGGVHRSVCLNDGRYLPQHENYVGHPMTVFACYNYVTMRATTSLSA -IKRYMARSDISKTMTSGLQWYRTKDGERPHEIYDYLTRETDFETRSETAKKDVVIDVVKH -ETGQHVNQRISVDRRIKGTKIWRLCGDLGPSIESVVNAENLPRDICMPKEYRHFTFLELT -HAVINKGECTLMVLGMIKRSTCEMTHVCVIPIYPVYLDQRVLTKYIYALKQNGPDHSLVH -EAIVGPNNYDVDILTLLNKYRASSDDDDLTEKLLWATLHTFDELKTRSATLVPEICSYSR -PSRLQVLNEMYALRVIVDFTPDEEGRILDYLMYMTAKYEFLVGDIGHLIFLTTYYKRGTK -YAWYLGIPPWVRHSLMRRVCSSHLASMSDKIQCNDCTNGKILGGYDYLVMPCGTVTHMGD -PTLHWHKCGHTF ->YP_009551577.1 hypothetical protein [Daphnis nerii cypovirus] -MEETRSVNAYEQCTVTSISQEKISITEFFASLQLIEEDTGEYNYEEITPIQPHTKQPATV -LSGINIKNEILSPIIRPGNFSRTSAGPLGIIDHFTENTKISWAGLVHPSMRARNEYLTPE -QVIKFKQTYGVLSGALLEGEKVKPLTALMNVAVWLKGDFGEPNVNRYSIVIPGKFGNMRQ -LASNIENWPWMVFLTEFAMNEGEHALNYYTKYQHVFSALYLCMLINHASEITHRVSDSVT -MLRLGAFDRMRLSSRRQTFTYDDGRYYITAKLIQIIENKLANDVETARQNTVTPFEADLN -QYHELNARVYASQGRDAEALKELTAKLTAEKSRRLEQLSVAELDELILTLNDIENSIINV -PELGEDRQNHLVNTAAKAGNRVNDALVKRYH ->YP_009551576.1 hypothetical protein [Daphnis nerii cypovirus] -MDSFIKRSNRPEQQHMLRLPLMHKFLSDASLRIGAFANIKQSVKQIHLQAAVEGLLVLEV -SENDVLYRTNERFDQLSLADKEKRQKERSQRMKAATRSEVFGDAVERDTVTVLNVIDWNE -LCDESYADMFKEATSTFVAELKAARLKSEVLIDAMLSNNKYNINTLKRELSFIAIALCCR -GGDEYPPIDVLQFVYMSRGFDYQTLMNGLSYGGLSATSYKKLQELEKDSGKMMSTIKTSM -MSADGTKAVYQLPDKLRIYGLAAASQIFNSSSISVPFASRGNNWNNLAIDLSDAVNFGDA ->YP_009551573.1 capsid protein [Chicken picobirnavirus] -MRKGKNQGHSNKSTSTEKNRTSGGKYQRQQKDSECEEKKIPDGKGNHVSWYTANPQMVKD -ACSIPFAERLGTEVSTFNTDTYVFPGIMAYDWIPTVGTAVNATDPINVAALKLYTYIRHA -KSGSPTYDAPDLANYFIAVDSLINFWATVRRAYGVLMWNSVYNVYMRQYVFEAMGFDYDY -FVSHIADFRNFINYMAHQINAVPIVKDLGWYARHRMLNDYVYADSQSTQRAQVFMARQRY -YWLFNEHVAGSPARFDLTRMPDKFTTIEQLREFFVSLANPVLGSESMGVIYSDLLRVYGT -ERLEILPMVDESYVTLPLFDPAIMEQFRNCVTPLPWTGCDFSGLMTTDADTNAIVSRIQI -LQVPAKYKTFDHDYLYYARVDMPTPEEVMVGTRWMAVWEHSDDTTLDLVSCGCDVPISMH -IYTLHYTGGGQPTLKNETLYSDAFVDFTNDDAQGLERFVNGLISMSPFNEHPRRYIWLSD -NYAAVAKPMGMCDNYTVVEPRSLTQMHTIAMLGAFDLKETVRPYGR ->YP_009551572.1 hypothetical protein [Chicken picobirnavirus] -MTSNQIAWQNMIEAKRSNAAREAETYRSNTAKEQEAYRHNLATEQQAKWYNEASIAETRY -KTDTDAAARISSANITAQANRYATDAKIAADWERALLDAETRKYAADRNFEGALVGGGTH -IVSSLIPGIIGAVTGAVAGGMAAKDKDSGAGKGGKPPKGGTTANVRETTPQRTEVSAYEK -QLGSEGRVIQPDDQGYFFGSNTATVAGAAIGLGLLGSVAMNGGGGGLGVRRKIFDPAFTS -K ->YP_009551571.1 hypothetical protein [Chicken picobirnavirus] -MVFTQSTKTSTKTFTYLCNGLKTGRVHGLKRYKVLTSQGGVYL ->YP_009551570.1 coat protein [Tea plant line pattern virus] -MSTNTQQKKTRQNKRSTQFGQNRAKQRAQSTGESSTVPNFGTLRLEWVRRGPGDLDILQF -PYQWVAKAESSLKATSNDIWYIIDLHEYVKDMMEFPTSVKGFVFLFEANLSGQACLVTKK -TGSFKDAFSSLNAFKFEKGCHTAVQLLAPSILTFATFSAGEVALVFKFEGTLTAGAEFMT -RKVWGQSSMLPKVEINKNLLRGQ ->YP_009551569.1 movement protein [Tea plant line pattern virus] -MATKEAVTSNSGAGHVANVYSRLQLAAVEREKEAYIKALLTNPIKARVCVPIPLVKSEDV -VMCRLRSEEINFLTRAFRSRILKSSSFINHANISICYCPNVLKSHSGTFSVSISNPDTTD -VKLLVENAPISEGRFLLTNWPRSVPSAQNLYLNIECDGSDATNGTQLGLFKILWDEKPSF -KMILEKDMQVINEIIPETDAVGKMFSNQTLEQFLNRLMLNGSEKNSLTRSMSYHINSNLR -ITELDESAERISTGLGSDKTKIGCSNRDDTTSSVLEVKIGDGFTESDAS ->YP_009551553.1 glycoprotein [Wenling frogfish arenavirus 1] -MLLDFITHPILLILRSVLTAASGVLLMLLFGLLVWRSKGLPWLFLFLSLLGMSDCTLVTH -ISEVEQKLFDGSWLCGSMHVFKSEASGMFSIRHDGNIPQELTRGCNVTSADGMVSLECSE -RPKLFDMTSLVSLRCPKTGCVSGLSCELIHDCEKTNLTVSPELAPQFCGTSLDAYLIDGV -WRGDSLRMCENETLLSIAAKYKRPFSAGTEKLTSGYIWRGVERDNCFCYELNERSFPKIA -SSAPSIFYKAMPVEGADCHHSSVFTIHKGKPLCIKNGDDLMTRLMVVGAVNLGEMDLCIG -KYGIIAKLKESSVKELAFRVQVSDREPGSVTAKGKPTQIDFCSQFQHDGQGCVATSCGCI -HPLKDRSRFGASTATVEGGAKSRRRSRREAECYFWGFYCTSDDVLFATSEEEKAFLRVSE -QVDQNTADIALIKGKLDFMAKVMQAGFCSNGSRHFQGSVEVQIIEGVVFNVSDWCTEETF -KEFCETQSVSEHNLSSQVRQSIMNARNNEKQSVFTTCLLLLALDLAIFCLLRKLWKIGDF -EIKHSHIGIGLSGETICMGYHRFREEEYICRCGRISLGSMMSDVNERCNYRGESPAVDNN -RVKIEDTDGTMNVIYMCKTILTIGRFDTFSDKESEIIQALTKTDNDPDLLKMLILMYPNE -SLLTALLGPQLDEIQEEEELIYEEVGLVALQTIPKKPPRRLNHMAQTQRCCSTYNLYSPT -YPEAAAET ->YP_009551552.1 hypothetical protein [Wenling frogfish arenavirus 1] -MESMILKRPNLMGFHLGIYLRIRTKTATLDDMEHIKSYFTNKDVIDSTDMTFLSWESCFK -KVASSTAEENFSLLRVEDGISIELANSYNFDIEEQYESAEVKGLKSLPLNLIKFHERLFG -KVPYCFGFGHQNIRGAMDITDVKEAMREFTMGNADGEVDPEILAGLMKMVVKGGAVKVSA -KLTPLVAKCIDSDILTARQFGFIELIPLLHIYLYGTEVKAQPTSLTDAQVLSFCSESASS -FNLSTFCYNSVRKFPCETSSCNRDCGVCKHLI ->YP_009551550.1 hypothetical protein [Penicillium digitatum polymycoviruses 1] -MSSPTAPRLVSVEKAKLIADLDQSVVDVILRLSSLGVKPDGIIDYCNRVALDEPAPAVVA -GGAKPLVIQAYSFLSDKSICVDKFGMELSAAAAALETLKRDPEEGRRIVHKAVADHQAKR -GSPKPIVVNLAGLPSSRGGPKTGGDGGKAELSELMRRNQALAGAYAFVAEEHGPPGPERF -RVLLGGNLAVVGQNKACAVKAAALVRILGRRHQTLVKYVRGYIPGKALLPSDKIPPLIYD -GIIAPDEKPPSDESKGQSTVG ->YP_009551536.1 NSP1 [Bat rotavirus] -MSVYRDAVYWYSKHIRVKNHRLLDNITRFMWRHADINLKIDNSRFYNIMPNSNNANLNKE -TLVKVVSHCLVCCKLSTLHACRVCNIVHTCAECLHTADCFLQNKVTQLRLRTLKYKLSEC -QSINGTKIRVIDLLKLPKKDHIKNIITFYRDTFPIDEKVLAISRNKVRQRKCRNEYGIWA -SHLYMPICLLAKIIVLRDEIYLVFGVYERGKEINAPYQECNFYKSEYLVDEENFDRISVL -EDDYMRARYACNAIKLTRKINVDFLDCSMLKTPAAYALPRYNAVLNVLYTVSLRSSDRMS -IQLHSEWNSLYPAYRHLIEEKTAAAKARKRELLQYKSAIVLKYIDSPMYATLLWDKIMNT -SNLSTLFCTHWFVDPLESDDPMCIYTKGIKKRAVVVCPTVHNMLYELYSVMKSAFSVGIY -SMNGRYSCNEISCERLSELRTRLLAKNIIYYGTDFKTFIVAKTILISDYSSFVYEAIREL -DMQPLPITNMYSYRRQMVIVDTKQRISDLIKNLASRIIKYMLCGEFCEAQSTNLYTKTDR -YVLRALDNIENTDGGATSDID ->YP_009551529.1 p22 [Tea plant necrotic ring blotch virus] -MVYYRRVSQLLVHLFQVFSFRSATMVSVDVVKYVALQLLVLSGYLDEDLTKSGSKILPIS -FPTPITPSNLPNLMVDVAHRVSQVTLSKPSVEPTVPQGLQGSGNAARPVVAPVGASTVPQ -PQVQTATPHPVAAAPAPVGHPLTRRRRSVEGTVSEIADTVGGVAETVADHPISNVMGVAP -VAQIVAGLSNVVEEATKPETTGLGELLLSE ->YP_009551528.1 p24 [Tea plant necrotic ring blotch virus] -MIIENYLVCFIIVMASERAKSYAKMYAKNNPVRVERDKDFFGEFEFIFRNRILKNTPFIF -SLLVVVFVISTHMDDLDNGPLGHLFATHKDNKLVVWILMNLDKFFGLLTFIPASICAPRS -QRSLILIASAVCVIVLPDLHIWTYAIASSSMVLFINMKSSEHKVIVLAVSAFLLYNSYST -NKRTPAPMPIYEDSV ->YP_009551527.1 p29 [Tea plant necrotic ring blotch virus] -MFRFRDLLTCVVVFIGSVEEIPQSLEHICPYEIRYDLVTHNYVSSNVYKLRPKVHDVYRK -LNSLTPVCRRAGEKLIDDISYTPTNFESLCVNNDRNPRDFNDRVIVFDDSCGQIHRSVNV -PYEVGTYGNCSWVCSTQVSSERLTIVNGSCDLSNHPLRVIDHSGVGYVLSRITHTILGLV -EDFVSTVILTGFRVLFELVFSLISTCYDVLEPLKPFEVVMVSLLLFPYVDSCYRILVGLF -VFITMMVLPF ->YP_009551526.1 p14 [Tea plant necrotic ring blotch virus] -MFKSIDDEIDKLKKGRKEKEKILKANFHEHVKSSGSIGELSPEAIGSLNSKYEAYRDLKS -AYALDTKKRIDELNRIKRVSVNSYALDPVYRESYKYLRQKSKEPVKTDGQSNSACPRRHC -ALF ->YP_009551521.1 coat protein [Rhizoctonia solani dsRNA virus 4] -MTDKISQSTVGAIAAEPSPPAGPAPATRAEPNPPPAPTATSKPKGKLRPASNFTPTSHNG -IAPMLQVVSNRRSHIINRAEPSGLFPSAINYFQAVSITDQRMSTTKKFLETSESWHPVVS -QYYACFLFTVQVLRTYNVSKIPDTEGLDFLSYVEEQLDLTQIPVPGTWAEYLRNITVVEA -HHDSFGNIHPVFPLLGGSPLTAGDVFSFPQSHRRILPNCYLALDQLIRYAQTGANTNSPR -FINYANIFQLNPTTSDEAAQAKLAPQVSSWSHVSNSRNDVSLEFWANAATLLPDRADSRT -GHHSGDVTDFFVFTGFRNAAGTASHSFFDVILQDMSSYCQFVKGSVPLSTIQSIGIGATI -PVMYVQPSTSVRNYFFPSLADLRDNTNYHRSGHRLFPTTITYDMRHGDLTLEEIAEQYAK -LTCLNTSFRDLPTQNGWNQPDETTVRDGPFWAITEYKVDRGVNPITGLLTNIPAYYHSPN -AVANK ->YP_009551518.1 hypothetical protein [Bipolaris maydis botybirnavirus 1] -MSYRSNSVSLHSVHSKQLLGCTSMLFVEKTRGGVNLKKKMPTRVEDAGIRASGKLGGKET -SATASEASPLGGLFDAVSALSMESADPQFGNPSNVLEGESYSELCLTQSILSRMPDINFK -NPFLVQAPSAKDQVVYMAWGYKLKSLPSSQKGSAYADKYAVKLWAKTNEVRVQYPVIATS -VGYRNKITTEYEQRATGNLVTTQRVDSREFQASFDEMKGFPIQFRLGGSAKSVDGDNHIA -WLVIAILRLFALKQAQETNSRGHITMSTAIASSLSINLEDMVQARSSASHSVATALANAW -FGAAKPGGRPGAVEAVPDKMFEMVLPSTAADVSEVVYLAYLSGMLDDSMRWRNGDEELGI -QPMFSSIRSSATERMKIPLVNASRAIPMADLRGYDSDLELGRAEAVFNSYVRRHELQSQV -NVARRVALLAMLDTHSSKSSRMLLGLPKPCHVVEYDLWVDPKHFSTSPLSLIATSESAGL -LMALSQMQATMRNDILVMKLIDHLESKGTPVLSSLAYESLRDWIYDAMPSGFSSWSRGWL -STVTGTSPPEIRRLANDSVHGFWTGLNATLLSGTVHVSAMLYYGEKPRTGALKYIWDENQ -RVSLARQKFVTGPQSKVLKFLYSGKANIFDTNPTDWMHYLADFSKRRDTRKIDSSLGVRS -TNTVTGEPRLIYLGLRPAILQYEERMAEAQDASGIASHYEPAFDFNSAISFSSAVMNLGA -KPKDGRHADDPDTHDGNAPVPMPRKRSNSVSAQLGVDKISPAGRLFSELFKAKPNKPKSQ -SADRPDTGKSEKLFEQGGVGSVRATLVHAAKDAQRNGLAEILRQLKVEFSSRLEDIPDQL -KNPEYAKGSEILEIGVEEAFDNIEKHFNAQDIEYTYAPLGARDYREFGSHASIQAVKGDG -RCGVRSLQTASVVNNLKPYLELDSLFKTESQIMGLQTTTTAPATHMADDYSLASVAAEYG -FSVCIVHYHGNIKRNQKGIRFYKPRNVKNPRVLYVHLKDSHYDALKVDNNLKPVLGAENA -SQVLAWLKDSAELAHLGASNQSDADSDSDSGLARESKPQQSLAKNLSSLKDSESESASSS -DDESPPMPAPKAEKTQGGASRKKRSNRKTAQQMNAQREAQEEKVFEDAQVYNQRMSEPSS -GADSGPSTPVDRKAPLAATRTVVGLDTGKTVAETSLARTTTTPVRANRNHLYAFEKDDGN -SLAMVTALRETFEQLQLDSEGSLSVNEVELRIVRECRRFVREDQWAGTVSKYRMTEFITQ -IPHSIRGATSIGRFRLWKYLETLPGVDTKVIRAVSSLALAAGTTWDYMAQDHSVGEDELM -YYIIHAQLSAVTIDFNAVYYGLPNTKKVVGYTTYETGCRHNIHAENTVVFIRQEGHLCAP -YITRKSADSLILEMKDISNYSKLHSTMDDPTEPSFLAPTRSKELWVQSVNTEIAKMGATS -RRFSNLKCDQAYWEKALPSRTCIGSDPDDTAENNIELLSCFADYLGCPDDVSFFRTEAID -WLVRVIGWVPADQSWFGAETVMLLALHRDFRVWVVEDTPDLSIHNLVEHKSSKTQSTLPL -IMSVQSGKLRMHQLNLPARAGSSMENLALACLQHRHNGTYPEPSEPTPTLPTPQRPDDLK -LKNPMQGHLLAWVIAGSTLIVTVGLGVVSYRHRKQINGLVKNVRDMWKGTSVYTASIRAL -EEVQDTEPLLGDQNTPFTKDAQPGPLSPYYEDFQQAIGVKDHLSDAGSVRSLRTVPIGDH -SVFATGRWPGIVLFKRWWGNAGEAVHN ->YP_009551508.1 putative capsid protein [Pythium nunn virus 1] -MNYNARDVGEAVSNGQPPRRAGDNPNKAFAQRRQGNRPKVKNTPSGPKTTTEAFRWIEPL -PEVTPMPAVLETITESIPAGSIELNFELPSTVASPFTQSVESVLMRTDLPSASIYQARDK -LEALSYYKACRQLYSTLSDPQKAALQPLKAIYYDKSHIPNHMSAALSMIGNLESKMGLIE -VKHAPVLFKRWLIAGLCIDPDTTYTAATVAPNSLVFKDVYSKALIDEKANERLKEIHNET -FKIKVGTTDIEVSAPIPPPTDAGYNSIPDDYPHADEMRDLVSIIQMATRDYVRSQPVPHG -RDLDTALSHLGLQVAPAGYSDQDLRTAFEDAIETYLTTEIIHIQTVFHLGEPPATERGFA -SQLVASDDVTARFGLPLSDADKALGFMFNPRHSFEFNPRFVAYSRRAKRTTASQFASNDL -RHIGTD ->YP_009664189.1 nonstructural protein NS4A [Bat hepacivirus] -SAWILTGAAIAAVCVLTETTASIAIVGEICLNDGKIFLSPDKDHLYGWFEEMEEC ->YP_009664186.1 protein p7 [Bat hepacivirus] -AMENAITAVAVASWSMEWWVFGVCVYFLFYKLEYFRLKGLAILCSGRFALGAAVLLLPDW -VGG ->YP_009664184.1 envelope protein E1 [Bat hepacivirus] -SFASHSCQVGNDVIVTNACNSDEIYFCSEDICWHAGGCVPCEGGKCWERIGVTLSIRNES -VRLTSMLPHIDGLLMLCAACDALGIGEVCGVGVLVFETTYHLHSVSRNFSCNCDCHLLET -PKSASAISFSVVSSYFKDLTWVTSLFAEVPGAVLQLVGGGHLGVLFALLYYGLGPAPLRA -VLVLLLFLTASQA ->YP_009553678.1 RNA-dependent RNA polymerase [Rhizophagus diaphanum mitovirus 1] -MKNFFKNFVERQDRARIEKLGSGVGNYISLTLRFGFFLAHVIGGRRHARLFLAVTHYGLF -LRNWRGGPYGLVRYLKSAYVTLMQFAGESPIAGCRDLGGIHRRARDGIPCIIPVYHRVAI -RRRNLDVIRFWLSLLAVYRIIEVRGKVSFKSITERGVDTIFKVQYQVQSLMGEMRNTKGF -GGIGRAVLAPVDLSSLKFKPRALLTSGANVPGGIGSFWALAWDALKIWKVRETPFGGAIV -TWVRSTNQLDLLGLIELAATTAMHDFRKPEEVQSARITGKNSRETKSNKGFATLFGKMYK -KEKGTEWRLAPLANFLNNLSLGRLHVLPEPAGKMRVIAMGTWWVQCMLYPLHKLIYDRLG -LIPQDGTWDQEKPIKALACRILEVFKSTGVYPEAFSYDLSAATDRFPVWYQLEVLSFLTN -RRFAEAWRDLLVLPGYSPKPITIIPRGEPLKYGAGQPMGLYSSWAMFSLSHHMLVQQAAS -RIGYKGWYPWYALLGDDLVILGRDVAMAYKDLCDEIGVKIGLHKSLISSNGSFEFAKRYF -VSGIDCSPISIREYWVAINCLPAFAELIARVKRYIPSLRLADAVRGYKMGYHSVAKLTKC -MVKLGNTRLASLLAILMLPNGPFASPLEALFSPTSTAVRPNTHLVDTPITERKVLSTARA -LGKSMVSIGIRSAEYLTSEQKYETTFMGFDPLGLLRYVMKSRLQVTLTNKRYVDLLDRFG -RYLLAGKLRSRGLITLLGKVLPLWKFSNQDVAAYPDPFSLDALGGIIRKPLASKILKIRV -RLLGLAWGKRPKDGKTGTKRGRKVAMGRTVNK ->YP_009553671.1 ORF1.5 [Potexvirus sp.] -MQRTATGSSWPTCWAFTLKMWEISGSPTELAQSKGCTFWSRPSSRKLRSLRWDTKCQLTQ -AVKASRLSVCKFSLTKTPTCAPNRFSTLHSPERSTQSTLLTQGPN ->YP_009553655.1 ORF2 [Grapevine associated tymo-like virus] -MSEITPVPSNSNMSRTSSARVFGGKFADLTSNVLVQHNLHNHAVVMNVMSAYSNVRILEL -SVFPVLLPAARDFNVNLYVGWGHCEHTAPENVAQLAQLPCFKVYSSSDQGFGGEPLVCEF -DMSIVDQLKPIPLEGGRPKLFAVAITSSKERISVAELFFRVKVQVDGYDIVFA ->YP_009553652.1 ORF3 [Rana hepevirus] -MERQCFSLCQLVELNELREVLQLNQHSTFTAQMALLRNLKIAANLDDNDWFEYVEEHSVH -ADNRPLRFDMFDTADDVLLQIHPAWAWPGTVQIPDYFSKNHLCPPDQCLRCFFRKAFLNS -SLWLSWWDYDQSPYPSDHSEAESSDSD ->YP_009553651.1 ORF2 [Rana hepevirus] -MAERQRPQATPPAQPQSELRKCKSCSALVKRGNFPAHNSKCPGRILVKKSQPLPRPAVVM -GGKEKKKSSASRSVTPLEVRMMRRLSTWRPGEIIMNPMFQYQNVSNATWSKTWTNTTPVN -MDLLVLTLGGYATPNIVCQSASDSPNTLSFLNAPLPLLGAPPTVSTGLSADWNRDYINLG -GEFTTGVPTADVEKWVPLSYKLIVNVSAPVTTQTGYMYAYNREINPRIAATTYNVAPGTA -YTSASTPFNGNFYGLQIPGVKSVHQQMATQVGNVHPITTGCTLVMDTYPDKLEPDSLITA -NTPTGAAVTAAITAGSAANLAGSGLGASPAGTYPTVPATVFRPQLVGANQQTQLFINDTG -STTDLTIPGTTIAPSTAQQISSGLSYYFALNQLGMKKAQIVLLTGLAPGTSVRVSLNRLD -TVVCQPGTQGTSWQVKYTGPKVDPDDIFPKDTIRFMSGSGMSLK ->YP_009553635.1 putative polyprotein [Phytophthora infestans RNA virus 2] -MTEINKFIRFNDNLTRAIKELSAITITSPAGKVLTTAEIDIVQIWLLNTHLKLHTTLCHS -EICSPSGAPPCAHEDDCVCLSRMFTSYWSICQKTQMAGNARRSLPKQPHKKANRGMATDE -DKRLAPPKAERGTDRKRRRALKQLEQSEANRVMEKLISTGDDSYTITVKVTKGQLCVHSH -TEPGLAICPDVRGSTNKCKPMLKTASWLGMVMEEGGIVVTLTGMGRTCAQAQNVFAIGIL -AHVLTFGTVGHSCQAMLGASLTQVETFNPISCAIPKTDSTEKIVASLTGTTKAVILGTML -DICVPDDDYVESIKSISRHWLGLLLCDTNPGATTREIDYLLSGAYALVGIRYHTMSTTSN -EAWFAQNDFGNVVQTDNFFSAFCDVISQVVSQSDHASTRFIRLRYEQLIRLEVLVQKNPI -LVANALRNNNCISQVRGTATPDMSTVIDKQLKRVQDTRQILAQLSLATDCGDLVLHQFDG -HDVQQLTVRDTSDVDWYKSAGAETYYLNPAQQSLVTSLMTSMGLPDMWVMSRSPGFSVRR -EFTMGTMTVGYAVGYVQFSRMTLTNTMIEHELLCQLATQFRRAAFRLEGEPIMVNARAEK -EKEWFELDSKWSHMIDTVDGYGGSHSNNVQFGGADENVAAAATYDNDAAFVFSRVYPKIS -PLFRSWAASLVRSLTFHIMSYIAGGAISVAEYIKVVKYVINDDVDIPIVRWSISWMHANM -NMFCCSKREGGKNYVVIGTFHRPSYHSVLMAGCSLLGVKYTPVAEGGIEIAASMALFTLL -PMMEVNILSRPGPYDMSNDNINAFLCSICGPLQALGLLEMMTPVAAIMQMDKVMPDQRTP -EEFTRLIANTGSTDRIRTLNALLRTQSHSMILKVAYMLASRYAQCTDIAIMLEQRVIFAP -YVRITGIDEIASMLLSTLERVGTMMITTPLTPLMSYACSIDDTLVARIWERTTMSIQIIY -DREPEDTVITTGDIFAVGSDLIIENHRDAFKYRAQTDPALRLSYIGHHFCSYRNKGVFKR -AEGTALASKELANRLTRLPTDKFRPSDLAIRSFNRVIKTLKRRGAILNLTVGEQEQTEPR -VGQMSPRSSTIKRHNDHSGFTVEQLGRVITEVHGQTSNTAAGTQGGCPIPTEEPNADNGV -GKQALDMSHVGEIKPNHGSTAQPADRRHGEDTNAPLLENQDHTLTDGPISDERISTGWLS -SVWEGLKRAISKPLRYIKDKFNRITESNRNRKRRKHTKHDGAMDWCCDALRILYIKWIKK -EPSSTARNSEDNSAPTTLVDKMAVAFFASIAALSTSLVLARTVLLNRTPERTDSMLRRVL -ADNPEMTRRLLTIITDWNLDSALAKELVGIMKKQGSSWPYKLISAVQTMSTISFWVTSIV -TAVAGMLMVMPNMSGSLKKRCTKTNLNRLWFRGDPTSQDGADEMDDEEDSGAQTSLLPPN -RIIAAFQRGSRALATKLSHASTKIRDVVVKFVTSVASLYWQFTGTQTNCVLLFLSSASLC -MAWRLSANLLVPVTLASAKAIVMGTFRYKMLRPILGTPRAVLYVSQLMAKEDHTRFLTHL -ARSERLKYALTSALRTWAVTSAPVVLVNLVIAGIRTVSNKIRDGMACLVASIRRASHTFH -HTYNNGDSATLPTSLGAVLVVASTMVVSSLVGAAAIISRVLTMAKTSRMNDPLVQYFVQL -AVAHPKKYRSLISYIYHYEWSRKRIVPFIGLVARYEMTSSSILYQVTTTMVGASIVFGLV -HVLYLTMRKAIEIVRNIATDESTPQKDTSGPVGQQCSISFGAAAMWAALATKCVSNTVIN -ANMASPNSKMGTLVKWAFGERGTRANIVACAILYPEMYNAIYCPTNTLTGAINALWGLDG -LIKAVVVSSCIAVGAIVVKKLIVDPTCRGIAKGATSVISKWKEIVCIRLESVEKRLNEMD -REHTSMKSAMTIAACAVAVAALYLVTRVFMVDRYTSTDKLVVKMLVAALKASGSDDQAGS -ILHAIISQQEKRERTSTLARVFWATITTMKWGVGITTITFLGVVGSQLSMVAVNGGFEAI -ARSAANRLRQITGDNSISRFITKHIVNEMADDVRVAVKRKFSVKRIVVATIVTSTPGTIA -LYMYSHHVGMAFAATQLVVNMVVMVVVVTVVVIYTGDFQVRRMFQKAEDELREIGGDGVN -RSGGFTFHLMAPTPTSPTPPSDNVGNTEIGEAPTSIPLLMGSDSVVIEDHTADSTTPDIK -PPSRTLRPLMGCESDGPCKGKGTMGTTTISGAAPGRVPSGTPDTPETSTHHIGDGVEGVD -DEQLEPPRQPPATQELSDSLELSMPSRDSMERMEKDIRDIEKSEGAQKRQRSGSMSLHFK -DHSNTLKRVGKQLVDKLKTKHTKHNGNTHTAGHGQHTVRMMTSPKDWDREGDDAWSYGKE -GPDVIEPPNPEGNTQLANTKDGSIDQMIDGKPNTTDGCGNAGDASEGGTEQVDLIAHLVS -IPYRMIKFVTWLANAIGCGARIITGYMIQDIPEAPLVEPRFGKCDVLHLADHSDISLLTP -RNWRQYDYTVEYTDECRMGVKTTFNNDARQYITACHVVGCVDMMQHRDLHAVGAGLYMAL -AGEVVIGQVLRLLVPGNRYIQIRCMAVSNSTIYCTTLIPMPSGYSGAPVIDANNVMLGVL -SSATPGVRTTVLAISRLPTDVDEPEMRYHITYDIPTVNLQPAMCMSLTKSFISGVCMAIG -ALIGVSKQYDSYVTIVQSAYEKQPMPTPRLGTLHLRGKRAKVVNVAAQPPTSIPHNAFLD -MSLSQGATLINSEFTTDLAWKKLLTVQNNDANAFAYMRTYGSRGANAVPMLLTTDPIGAA -LYVVVNSIRMIERVIMRRIETSFYNDVYSLMQSRLRKTNTSIGLVLPIVGLLGGMLTSVY -MLANSALEEGRKKAVVETQSANAKRALKDNLPYAPLSSLVAFTVGTYTHMKVLSAVMPTG -PATLLSTIIGAGGAIYTLTNADAYWPYINSMCAIHVPNLLTKAIMITTSLLHMYANAAVP -YMIYPVACMVGLVQVKVGDRISDLNSLEMLQSRFIREWVPKTTHEIDRKPFEIETMPFGS -WMIGHELWTTNWCLAVLDNVRYAPQGSKQQTKYIPLQYTMPKKENVRFFSEWYAHLMSAT -DRIISNAAHKGVIIRQLTTQEIFSAYNQNSTIGYYGATTGLLKTDLVTYLVKNHRSALIR -RCMSPNDEVWAWEMYIKNEILAKDKTRLLVGQTVPSKVVDMGQRTQMNEVIYEGLECLRI -FAGVNTIRDMAPYLVFMRAVFKIIVEADWSRYDGRQSVRRMIAQEMVSMRLMLHIGDAKM -RLSAIADSLGRMERTSVKQVTLKGDGHAKLLGAQASGDIMTTSGNSIRNYADQCYVHDVA -TRGLTGGDQDDYITVSGDDSLYCTDNEPNSELILERSKHIGQVLKPENLIVHRDEEMPMY -LSHTARKMWLTINGERKRVVMLTRSEDIVMWRFARSIEPYGYGKRYKSVMAQKCVSFMWS -YIGMIEFVPAILACQIALDMDKEIETKFSAVEGYWLMKQMKGWLSITTDVQDILDAQFTI -DGCVLDYGDLEVVGPVYGNLMECADNVVNVILSKTIANEDWEKVEILKDLRRMGREISSE -RKMSIPRVKDIQTKIGKLVVADIDQVETKIRHGKVYSTEEWYNSERTNKDQKEEKEAGRP -KEECVIICKTDKQSFYHTAQCIQEGKNVGACCETEGILNPKYKNKQLLKH ->YP_009553634.1 RNA-dependent RNA polymerase [Blechmonas luni narnavirus 1] -MMLINPDRGPYISRAFFWVISNLRARGLKAVIADLKGLSLWARATAIGSERSVKSFRALS -LEWFGKLDRMGLCQLGRLGRALPKADASFVEDSIKSYASAMQEDTGPTPAHCLLRLRAYA -RAWARMIHPHTVPIPEPHTSTSASYLTSVKDGGQEAECLALPVPEYEVCLEGLPEKRLET -FIGPPRDEVGVERDKSRLAYTPMVSLVASIRKVDWLVAAFTAAGPYPLKGGGESKYPPVR -LAAIQELGFKVRPVTTHQVSEIIAAQHLRQFLFQCLKKWKVTAPVMSGEKTRAIKEVVEA -WEEGDVVYSSDLTSATDLCRQDAHIAVLEEILLEWGFGHRAVHIAHFALGPHLVDATAYK -AGCFVQRRGILMGNPLTWSLLNLVNFFCAAEALSPGGAIVPSIRAAERKFRICGDDLIGC -VDRSVVVRYEEQLGYVGYQPSIPKSFVSEWGGVFAETTFLLDRVVSLKPEPFPALDKPRR -LVESVSVRPRFLGDFPSKILLPKPSGLALPLRIGPDCTASLSDIEEGEHRQSRLDVMKRA -VGVFYPDMVSTLLKAGIDPGAPRGLGGAELPWVKGCRLSSSGRAAAILASGNHLRGSALS -HKSILAAALGAPYQTDYREVDDLVLGLLEDSAPIASVGLSSPHASLPGLPVWDVHSGEAE -AYLRKEASLIEGSLRAMGVLPLIPKTHSKSKTVGRVGREVVKLRNRLLSTYPNAPISHHP -SESLRKARDIPLVMGPFHPSLQSSIFREGAKGISREVKVLAYPDSPHNRQLLLKLSGFSR -DLLPNGIWRPVEYG ->YP_009553582.1 ORF2 [Andrena haemorrhoa nege-like virus] -MSPLRVFVLSYLVSFGLSEFTTLLGNLPDEVLEQIQVTEDRYRTFLDYYDTADVKAYDLS -WASKFFKDPVCHNGWVLHLLSNSAFRDEYYLCVRTEGNKKRLIETTQLRFSDQQQWIFSD -ADNQVGVIVLELDIPNKYIFYKDGDDLYMTTPMCMSNHYYLTDDKIFPPEPPIENKKNFI -KYFYTYQCRPVRVHNTLKQLPIEVKWRVAGGQGYSPEYVVFLEDAFKSNLLRQLDQDAFV -PTDNLYYSPDYNVTIMDTPSVYAVKYLNHLPDYEIIYFEHITSNFFLDLIEFVLDRVISL -IVTILSTIFNFVLEKINQYVFSNIPYYFKSFYEFFFSLDPYVKFLIMSFVLIYIKTTKFI -KTIFLVFLLTLFTYNKLT ->YP_009553549.1 ORFY protein [Cacao Bacilliform SriLanka Virus] -MSARRSDTGSSSEANQGSSANDADPGFSTTQELWELLKPSKPITMSEDLSNRRRFTEIQK -RAKERAKAAVESALSEYEGILSIRREATRQLATNDNAWGDVLPLRVEEIREIKRAKHDLY -LALDKSTQYAHLY ->YP_009553544.1 ORFX protein [Cacao swollen shoot Ghana K virus] -MAMVDSFGITLYHRVIIMTLKLLLLDGMMTERKKKSFLTVDLLFNQKTRKKMILTINPLL -FNKKMRMMMTLMSFGHVYNEKKRNVVVSSSRKA ->YP_009553539.1 ORFX protein [Cacao swollen shoot Ghana J virus] -MSQEERKYGKNLAMAMVDSFDTILSLKATMIPLILSQLDGVMSRKKFLILHAMKIMMTVM -IVMMMKTGIHHFLILINQMQKKKRSIPTNKIPTNRMMRRRKMKNMLC ->YP_009553500.1 putative coat protein [Ribes americanum virus A] -MESEKLVIVSAKVPFRRTSMAKDTTAARTDFLSSLWRMSLNSKLISKMRQRTCYSRLCHS -CCTSAYCKILARGRQREERLRRRKLPIMSTGEIRMEPLPVTREGEAWLELEIARKMKGKL -TLQETNGRNSILTVAQPKEMVMDLDRPEMRDILFNLDFTKRLIDQDVFVCSYLVKKAKRV -GVEVCTDFHCYFVDTDMTVSALLDAIEIASFFGCINSAVFEICATGSCLCKVGLRELIIE -VEKRTIEIPLKCGYHGIKHLTEVEDRQWKVLCANPLIKLEEIEEIYIFWNSLGLKNHERH -VKALLDVNGLKESTLRILGAI ->YP_009553499.1 triple gene block 1-like protein [Ribes americanum virus A] -MYGYNNGIRKTSDRFSKGSVSKDKYGQRYNCGTDRLPFLVMADVSKLKIDFENATENMLF -QIVSLLLHFCVLQNIGQRKAKRGKIKKKKAAYNEYRRNKDGASSSYQGGGGLARTRDSQE -NERQVDAARDKRAEFYSDSSSTEGDGDGSGQTRNERHFV ->YP_009553498.1 triple gene block 2-like protein [Ribes americanum virus A] -MCYIDVAFDLVCLFICVLILVALLKLTYCNSSAFCVALALTIYSLFLNFNLLVLLYDLSR ->YP_009553497.1 triple gene block 3-like protein [Ribes americanum virus A] -MNFKSYLLKKIKSVGIGLASSLIIYIASFVFNVLYRRSF ->YP_009553487.1 putative capsid protein [Shinobi tetravirus] -MGNPGIRCGFLFGVGGVPRSGKVFRVRLPWRFTHSPVHRKEANPVRRRYSGCASNHWSWV -VGLGGLCLLALHLTPGLAISDIPLCDPRNCRVSCTTTGHPLPWCEVGPTVHCYSEGKECK -QCCPSALPPCTPWPSCLFTTTMSVPAVTTPTTTLRTTTKARSTTTTTTRRTTRTTTAYPH -TTSTATPSAPTLGLVRYQKPKPLVFHHSHEVCNPVGCEDIVPIPTLGKPYPTVRQAVSLH -MPHPLMGSYWIPWGKSDACMVNVSISLGPQVGYVYRGNQAGCFELIVSGKLSSTQCVLPA -HCGAIKSTVVQAGWFSNSVLCVGVGPDRPSTLGGLSVPPTFHPYYNVEFDAGPNHFSQRF -YVVFDGLYRLIPKWEIDNSVVMALNAELVVPPLYETLDGRFCYKGPVQDGVLTTGGCFAE -TLDQLPCACRLPTLDGPEPAEDSTIRSAELQVVWMFARTDDGVKPLFGLVDESLQTHCSA -YLTTTTYISSYLKSF ->YP_009553472.1 hypothetical protein [Neofusicoccum luteum fusarivirus 1] -MNLSKEQNDPLESFERQLEIMKSSTVSYQGKRGFFVSKEAIDALEKSILTEMEKSESPNK -LIALQDELEEIRNSSKTLQETLASTQKQVREKNQLIIDLKKRKDEAIVQRNDSLKMISEE -RNKLVDQLKFATEEKKKAMLAYEKARKGFDPEVALDAEETLAGQKAMVADLQKQLELVNA -DKHAVSSDVAKLTAAIATLDSEKKQLESTVHSLVEAKKKSSATSVPEVTIPRPEIANKTL -AKLLGKSGIEWLQKAEQQVADDYRQRVYHLMVATKGGKTANIKSISQLLETVFQWLKLQS -YKLRKTLAKWVDEIESDIRAATFKSVSYYRDQLLKIREDILAERTSSTKTDKDDPMSWFD -SVYYGALVFKRSISQKVKGFFTPVTRVFSYLSSLFSRRSNYKKPTVSEEDSEIFDADSYA -KELRKHDEEMEKRKIKMTEVPPIPEKEEAGPSRRPPPPRKQHPSFAKVANLFGAK ->YP_009553368.1 P6 protein [Rice stripe mosaic virus] -MEFNWPWGQNSETEITKNLRFEDIKVMAIIILVWVKCLLIYHFKRKIRRLRSLLIKGSSQ -WVLHDA ->YP_009553367.1 glycoprotein [Rice stripe mosaic virus] -MMRISVFLLMLCWLPVSLTFFDKSHIPITTCDKNLMSPIPWRTYCIEECGIRNVIGDKLD -LFIYNRSDSGKVQLADCRKYKIRQTFTKTWTFSTFKGAIETEELMPNYAECESTWRDLCN -SGPCSTTTPVIPEEYHWASDTTKEVIYVSIDAYQKTVAFQDPSGDIQLLVHGVIIDGSQS -GYVQPSKDLITMWDKVELQDECPWSTGNSLSCSTSDEGISYYCAGKGLVLTNISTVTDTR -CDNNPHLMTSGHHVIFRVKKASDPNATLSRTAQIVLDRGSEEAEIVDSVNKALLDRDSIR -CASSCLAFDYTISKPQMFGNQLALPYKGSFLPCNILPNCRVVFPVKYCSSPPMILVECTG -TMTWWNITGDYTIRPTYCHMNQSATKIKTSISFMTTNGRVLVNESGAYPVSREIGNTFQV -GHVIEPSSMIEVTDPLNVRIDDTLVTPESHTISNITSVGDSLLDTMVETVKGIGRFISHE -VRIVVFGVLTLFILYLSFKYLFAKKKSRVPHPKVVYTKPTSEGPVIYDTEYTIESD ->YP_009553366.1 matrix protein [Rice stripe mosaic virus] -MAVPWTEVKDSKYLATKMSVTLIMEMNEDTPLKYPSYNAFESIFKRLAEPECAAPQVAAW -FTWFLREAKDIYYLEVSNKETAQYGPTKVYKLQCPAYLLSRVTGGSQLDYTSLIGSKVMT -EKDRGIPVRTLYITGGGTSFRVINEETANQFIINDNAVRLPGECKVDGGSIIWS ->YP_009553365.1 P3 protein [Rice stripe mosaic virus] -MKIICSTGIFNEEKGFPLPNLLNSPLMKQEIMTVKYLRFQYIPIMTSNPSSSLTIDIKDT -RLVNWDNRSIFQVKIFGDVQSSFIVSGLQPYSARDRCPYLLSLSVNAGKVVPGTKYGILK -SYAVYTSKDSGIISSQISVKLERSPRDYFLKRSKEHDKKDLDSDVSFKMCRHVKFAT ->YP_009553364.1 putative phosphoprotein [Rice stripe mosaic virus] -MSVPEDTPFRSYSSIFDDSDFVQPQPMSFKATKESESLPETEKEDMSTEYLSEPLRTKSG -KKNRRRKGKDLKSLFTQEAGLPAPEADSVLPESSPYENDNAQLELPKPILKTSDAPVFLR -EKDLSKEFAAACKTNGILPRDEWKSSVAAKYHAEEGKMTKRDISLIVFGMELYKRYNVES -EVSTLFTSLVTELQGIKVAAKELNDTREVLTKIPGEIVSAVKAGVKEGTEMGMDYIETRT -KVAPKSAPKVDISKPMSSKMMEQQDESSDESSDNESEESEEESFETKAAIFLALIKVPEE -ERDNAIVLMALRAVISDSELNQAIRNDRISSSVADMYHQKISDKARELMGKGKTNKRAKQ -PKSSKYASDYYDDAL ->YP_009553721.1 endopeptidase [Fusarium graminearum mycotymovirus 1] -PLNDCLLRAIENTTGVDRQEVWRVCCRVLPRAELEGPEVDEGGLTTLCALAFAYEYRCQF -HILGDLQGHHPEFIGVSHPDFSRLNRAVYNVYFTPGHWSSGPEAPLRGSAPPP ->YP_009553339.1 hypothetical protein RVD_027 [Diatom colony associated dsRNA virus 12] -MPEIKVRFDEEPADGQLVSIREVDIEAATAVASRREIVPVLASETGLLRKLSNYAVNVNL -TAEHLDLTGKEPKITLTATVNAVVAEMNKNPVFAEKEKMVKACFMSVACPNTFSLSVVKG -HGVIYSNPACNTPEHSVRGMWRETKGQTAFLNNICSQNVAKMSACAVQMAKWFYEACISA -PLHGTRKPVLAPMHMRGRDEEPTHEITCALAPDLKGKHGVEVISLIYNALESKEVLAAAG -FDTTVNHVWNSVQNCGPVVVAEDIFWEAYKVLKFSCGDEQAAKKYDCEFALAVASVASLH -GNTDEGGIWRNLFKNMQVEPNRIVAVSPFNASTSGYNDIDSGTVISACANLQSIVSRALS -EANIAKRMMVLEPGCTNEDYLRVQATVMNEICDHMAAATAMGPEFFEQRFCVEALDPALR -DRHFAPGDRATIVANYSPYLSTYSVDDVGNEKGRFEYDKESTMPLKFSGDFAIEKYIDRG -RVYVLIDISQARSFRDGLCFLDPKLNRNNPLGECIVKGRIDSSGNFFEDTTLVDGQVLRR -GETLGDKLWRDNGCLFPSYGDTNTTESGKIILEASGLPEWAFGASYEVKITRYAFCTRMS -GEDEKSTRRMKYESKVHNSSNVKYGTKPPEIKNVAEYLRKVKKQSIGRSEARVAELARKK -LYMDERIDELYGETIDRLVEDSDKVNAASLGKAVAKVVLPVFVAEDAISQVHSGEAEITD -KSTKSKLVAAKAIMLRDEKVRFVSIEDLTVRTPGKPDTMVSELKYGSSKQLRRWNKNTYS -QEVTDVLYECSALARQLVTLVGSNVLAKHGINEQEEYYEGVRAVTDFAHKSAEIANTYAS -FDGDSLRLASAIKHLQQLRDREATAQQDAATGDTTEAVN ->YP_009553257.1 ORF3 [Culex pipiens-associated Tunisia virus] -MSIFIMNLKDTQMVTYSFRFFFYLFLYFSTMSFSDGGSTNDIPKSMSSSIEVIDENEFTY -LESPPTFHLHISKLPNTVIEQLSKLERDSSLNPEILSILKFIVPNFQNGFNTLLDSIDEN -NSYEYNLTNYLSERISSAKNQLKTAIDNLHTHEILHTLYHIGNQSIDVQNTLNEIKEVCG -KLSCPDEEIKTRTSSSEIIDNLLKILGTCKNKITDEGTPEREQLERIISFSVPGSSQDKS -IPSVIGEAVPHVLDFFSSKTKLAGDVVSGAINSTSGVVSDYIKGSDSKGCSLSVGDINCN -CKDKDLSLPNVSGFFEDKKSSQSTPNVTSINCNCSCDYKQFLEEFKNIMDEKFENITSVT -KPRKQFLNSTKFQGLSKLIFVFDNSSQLYLNFSDLPESTKEEILDIFDEIDFELYEPEKI -IQYSDTLYPQSSKLRRIRRAVTLKPPTPKPTINPSYNHRQIINQSKRKNIIENPSNISRV -NFITQSIEFRRVLNNLYDIEKLLVVSDDNLTYYHNQQKYKTREELVRFFLSNFTVDQSFY -VLDNFVYDVFNVSQLVQIHKRCDVEKIFHFDEYVEYINSRIISSLKDDVISEKVLRTILN -TIFKNSGIINKYFKLVKLELENQVTKKFISDFYIKGSPDHLISSILDIYFMDNRNLKILL -DTFREKFLTSKDKGFIINHGFIKMSEIFQFSSNSYLTGYKITKTN ->YP_009553256.1 capsid [Culex pipiens-associated Tunisia virus] -MYIPTSTYNRVKNFGWIEFSFFVDMVSRINLLDYSNKTDRDLILTYIYNTSSRNVLDNIN -RFPVDYTLISLKVTPLNKIFSRMISLCSIPRNLDQDDFLKYFDEYSRVLRELNDIIESEE -ICYHTLKFEKKYGLTWK ->YP_009553238.1 X protein [Domestic cat hepadnavirus] -MAARLRCELDPWGRVLRLRPFHSESGGRAVSRTARLPDLSTSVAVSATLRARESLRGIPA -CLTSPEGPCVLRFTCADSRRCMEAAMTGLVPALLARQLGFGTWQPDLWTLRLRDLLLVEW -EEEGLTPRLCTYLVTGCAHKTLHTR ->YP_009553234.1 hypothetical protein [Culex Tetra-like virus] -MVATSQEKLIKFVILNWLANLLETMSKTELNIWLEFNRPVEPVPPVFPANKRQRFRRFLR -SILQYFARPIAVEGCIEMIDTNIQFYEVDLVVGFDQHYRLQRNRSRESIDTSYEL ->YP_009553232.1 hypothetical protein [Culex Tetra-like virus] -MSRSRRLVTPMVSQEVAIPTAVGAVAANPYPEQPDFQKELQLRLGTLVXXVEVXAXTQQE -CLGKQKLLLRDVAILVENMDQLLTQVESLMELSKLQRQLSFEVLPRSPSPGL ->YP_009553220.1 ORF4 [Dioscorea nummularia-associated virus] -MIDSLELLQLAPTDKMAENKPADKMTENAAKGKELPQFVEGQKGNFRIPYGLELDNQQKI -ITNALWRATTSTAKIKALNALCHYFIKETKQDFSYFVIFEGKKAGVYYTWGNLQKAVGKR -NTPQGWRGFYTQQAAETAFSQYSKAQQIMPDIQNISVKRDAADIQSAQDNNKEKILEVSK -TSKRNMTVLEVGESSQCKIRITEEKETSSEKDRYIQFNKLSTLLHLKRSYRQKWLDNLPR -EVSQLINNKVKETFYFDLQISQEIIYDLDEQRKEGLEVVQWTGLPVQNSFAPAILMAKVN -WAIFEPKPLVAELFFHGMLLLLSLTEMEDIPDFFGPKLQNLLRQYKRDGNCEIHIISELP -RIRGNNILPATHHILLSRTFPRWLNYTSGPFNTKSIGDILYYTEYFVNFKGPSDDWELLG -ETLTAKVWVLHGIASRCRSITSIPGRQAEGFAITQPVNLTDEMDLEGASTD ->YP_009553216.1 putative structural polyprotein [Aplysia californica nido-like virus] -MHRCFVFLCVFLCLLGSAFSAGHEVDDSGGSCGTLTSTISERMVRYEYVAKVHSTVYFSR -VGEVKSFDPGEHGTWEFPLDHPDPMQYIVTGDSCSVTLRTHYRRNCYFYAICRENATAAD -NLFLAFFITMIVILMCSIFKCMSTMFSRTTVKVDVGEVKKAFTKLDLTPNAVPPQEGCVV -TPGESKAYVPSSQPQVCPSVSRGLSSGVVFCVALCLLSACCLSGVRSFALRSDDNEVVSG -GRYEHVSEDTPDVRFLVEGATYESNVKFADVVGSIISFTDGLCSGVLVGENLVLTAAHCL -VQPAQVLRFCLGDDCYQILSYEVVDKEFTKIGGNDLGLAVIDAKLDNFVRVLPTNAAMSS -CYFFGYGGPTDSGLSRTLGSFAVTPFMCSYEGEVLDNLVCSSYETDGARLCGGDSGGPLI -CDSPWGLGVYAVASAGGGCSGGSTISMYVDVRRDYPLLRAQVCDSLTVPRSLHLASTEMD -VLNITEADSLFTNATPVKSNFSCASVSPELLGPLVSGHASVYTVDSRYVEGCVKPSPLSA -YSENVEMADNYFLVSSLGSNPKAKGGDDFVLLIGPNTEFVCPCYYLYQSSVYRYDVGKYV -SSVKVGSRTKQLNTTSGYWQEYMLRGKSVKYQATLGHVLYANKPWWYTFMVLAISLLIIG -PFSIVYAKRVSCLSHHFSGIHSQQVPVLASDLVSTPPSRGLTHGLVAKQKGSNIVIDPDS -GTGTDLSAEEKFGLDKERCRCNPGCKCSVGGHSPSCDLATLSIQQCKKYRSSGYCSHAFY -GVAYFLFYFFLFALISGCVVVSAQPALTYTVNEEPRYDRAGPECEVKGSETVFNALKDSC -NAWPYEIKACPNLFQFVKPGAPGAESNFYKVPFYEGSRDFRERKHEDKQNFMMRNLSLCE -VVDLGGNNTCESAGYSDEELAEVFASPDTVGSVFQSMETKCEGENCTCGFFTKDIKISLA -QRSSTTYSFSCGSTSMSFKVVTGDLQYHENFRYDYSTAEYREFTELRAQRFLAPVTCRCD -VDPQTCDDQGGSRSANASSVDQKLFYFGHYDIMPEPKQYTRVCKQDTCYALMQAPIVNMS -RTADVFTSSPTSPFLPICFVKDTKVKCYVLITFLQVDLSDLLGINEFSLTFSLSGVRYST -AETVAVTVEPVPGAIDSVVTIRKVGIPPSSPLGAVGDLRYFGYSVLAGIGASNISTPDDL -LMVKGCGYGGFTGGRMEFPYKSAGTFYPRLLTLNTPPTIFQIQCPSAKVDSVPREWAAVR -PEWRTKFEHKPVWENQILYDWIKQFRNYTRFEESDGLTYFAFGIKPVPQVVDSTKIVRSF -SVVYGEGFPLNDYAQQVRVRLAQALACVAEGVGVSVDQVEMDATSFFTRVGRAHIQYKIY -VPMAYVPIKREVVAQAVDSCESKFVSIVIQGKSYTAVQYDKAALIGTFYGLGRFGANTNL -PYLNTIRKTASPLAVMSMHLDTTFPFIQDPPEVVDFVVDKCDGFSIAVACSFCVALNQTS -TPGSYVINLLCPRHKCFSSLVVTSIDCGVVNIVGTEDEVRNTNFSISFKNEFLMSAQPLK -VDIELAQTLADQNKNNDLLLGGEPTIPRLRSVTQPVNPGSNSSVGIYVAIAVLFACFFGV -IGGACWLQSQREDVTQEKEDLDEKESLLKRVPSKYLTFVKSRKPFVPTLAFKSTSNVGRL -TLFLFLVSTTVVFGCFSDSQPPRLLSFDVDTCVGNALLLDCNFSIALADNLSSPTTFHIV -QQDSDFAHAVTLFSNVSGVFRFPSPPFYFQSLPFRLMWTGRVLSSVLPRNSSFSMLADVE -RMVTLQQRTDLKGFYCFLTYAHDSDLVWEATFNVNGASIKRTVARCEKVETFKVGVFTNV -KKEPKCVITQAPNHFSFAIEHETDQSAVVKLIVVPDERIQRLSCTQLGAGEDGNRAWDVR -ELFKAPTTTSAPATTTTVLVVPETTTLKKLTTSTRVSIPVETSPKRSDPTPGIPATTEKS -AATIQDSPSMEITLSPIVTTLAPTTEAPSVTVKNVFLNTTRPFAGSSDASTLGVGSVGGV -SQLVDEVTTAAGSVKSGDSSSDTSSSKPNNGSPLQLKPEPVRRLPQPSALRLEDGLRKPS -SDVPTARDDLTDWNSGHRTDKKERGKRWDLLQWGMVAVCIVLVVILAAVFVYTLYVGCCK -IDRSDRTYKPPSRSQDRKPLIRGSVSSRPNVSVYIVVFCLLSPMCLGMMSRLAVFQSLLR -QDCAFSLVGTSRNMCGMGKQVLPIVVPAVSPYADGSPVKATASACSGMTCTCSISTSVTS -RQLGVPFTFVSKCDEFPTVFEVVPVSSRVVYSYVFPPEVDLRLATGEKLVDSEPCAPCPD -GRGVYRGTITKCQRLCGVKHSSTNSTRYTVVEATLVYSFCVLGNGKVNCFERAVQIPHPD -HIGIAYHGGMPVAYDLDPQFIEDYDSIDKVRYSLPNFGSKGDIAVSGHQIAVEDVAVKTE -VRIPISLTYVMYSPQKNRMLSLRLAGCHLRQTAYGQILASCSLLVSPSTKISNPLTLYLS -VSEGYFVPAPSITLIPGLRAYNLPFDVKVATTTRGEIIARTYHGPRIYLKYVFTHFEYDG -LFFNTYSKPLITDVTKVSLPPVFFGMIGVMIAMIVLHLSVIACNYVRARYLRTLHNCIAP -KRGATSQNLTDFGRESNVVLFKSASSVDVLQWFVLIACIAGLGIGAYFLYYLLQSALSVV -DSVVSPINDVSNALFGWLSAGAAGCFDTHFGVMCEDVLYAGRYRKLLTTEACVAECRRQP -GCTHVSMSTVGCVLSSGELMTKVTGVVSTKLAPLVAGVVDGASATNGCVSFFLSTGVVIV -CFIINLFFYVRGGLSSGRGIGRGRYAGYGFIPSPFSVPNFMYSGPPRQRRQGQKKATPVV -PTASKASAATPAAGQKDAATEKARTSKNGNKVVTLGVNNRSSGVKAYAAAALNNMSESQL -TKAMYAVQTTPGRAPNRPGVLIQMYVSDPPAVRMEGLESNVRVLDPTRISEMGAGINVRD -NMSVVIKQNYEGHPWEVSSKETMVSNRDTVVEVSDPKGTIPRNRKIALAQNLRTLITTLS -SKSANSMVAAYEYYSINQSDLLKFAFTVLHESSFFSPSHFSPMDAHDKIKSRFDKIQEAK -PMHPIVSIILAVSTEKPEFPLPLTDAQKNALAVFDQLSMYEAKWDEDGFPRNGSSEEEIA -PMPDEEFMADVECSPEIVSFLHDAFTEKNVELEPELEEASEI ->YP_009553213.1 phosphoprotein [Sogatella furcifera hepe-like virus] -MAASRNTKKTKPTPAPRRPVPAPRRRTIGRRLRESDFFTDALSALQRLATDPLSFLLLIP -AFYLLSAHLTGKASDGLADVYSALYEWTSSNKTDTTFPGSIIARLEKAPERVYGFSVSLL -PLSLRLQSRRFASYIAAAALAAYFGPKPSYTWSSMLAVAGFFFLSQRNARNRQIFLALIV -CALVLLLLSSKNTEGRGATASSSASSTRS ->YP_009553212.1 capsid protein [Sogatella furcifera hepe-like virus] -MTGFAPRSTALPLQRGGAQLTRITSTNHPTRCVRCSTSCSFAHAVPSLTPISSPPDVPRI -SPPPKPHRPANMGFGLSLPFPLRGTRPHIKTEFPTLSWLLRATPRKLSQLPRRGGRSRRR -GAAPSAADSGSPTFSPTPCQPCSVSPPTPSPSSSLYPHSTFFPPTSRAKRPTGLPTFTPL -STSGPPPTKPTPHSLVPSSHDLRKPPSACTGFPSRCSRCPYDYNPDGSLRILLRPLSPHT -LAPSRPTPGRRCWPSPDFSSSPRGTLVTDKYSWLSLFVLLFCFYCLQRTPRAEAQQHLHP -LLRPVHELYTIPRCVPTTNPTGRVSTRLATAMRACDPPPQLLFQPRGRVSLTPWDPDCLL -IVTTSLFESAACELPPHCGATLHTQLEHHWYGTSTTLCYAHPDRFELYGQGNDYTDQRAV -SVEGGYLSLSLSAITNLPHSQSLASDLAAPTVERHAGSAKVNVLQLPSLTIFPSIEDLAL -NKPPPDCKPTSYYVDPRPRPLRAERLYSAQLTDAFTKCVFSSDWVPSSVPNVTINVPVQH -QAAPAWVIHGLHYLRGWLRTTASHFSGFLLDWFTSFLRTFFLSPTMQDVLLSYLASSWIF -TKIKTQALDHLLLDWFTSCNGL ->YP_009553183.1 coat protein [Sogatella furcifera totivirus 2] -MSNNQNQKDNGSDSLQISSAQQGGRFSNPIFNEQTFFDYTSVIKSDTAQVTKQWYLHPYT -GVGPHSASFSQSLTNRDIINMYTQAGNYFYHSNCYSASYWTAALSYFQITADQVSVDDES -DEDIEEATPSKIQASKVKKTEIDLVSSLSHVDPQVRIYYSQINHIPLPIWNGTPSSIREV -LLNSPKHRMTIGGRLVLPKEWKTMTPFDIARWGRGSAEKVNAGGDVDSDVDLNTVDIWSI -APVDGQKTDGIEAPDFNQQEQFAYYTEKDFPSTTFPEALNIKVKDEKTGGFYTGNVDPSN -TVPVRSVIRSYSMLPCDIDANRAIPTTNVYSQFMTKITKDQPDDAFRSMISRPAITDADA -RIWLAHNLSQLKFGSCMDVVPQVCAMLVLHSLTKDRHFVDPVVLRTIRNKSISSWWPGLN -ISKRKTTDYPTLTMATSHLTYFIKYMARVVPVDTNFDPNLIDVDWVAVPVTSELLAVPTK -LGAYVMCHLSSEYWNGTITWHRTSAYQQTEKQVPSGSKDKSLYRVGVEYFMPSSNSVYIE -GVKKVWLVVVPSVSDAKATINLFGIGIPNSPDRNSKLVTKDMTQAWAEYWQGSDSSTVPN -IITDFFWALTIMMKTTTTPDSTRRAVGLATELSNLAYPGVRVDPSDEGKPKVKGGAWTMG -GTSVFKNKYESKDWCDGNDMPKNSDGNRKNRIAGFSFSSVSPNIQHAASYTELTQNLFLR -VHTKQTGDVVQEVWVVDRISQRWTSTKPKFNVPQYVANQATSISRLCAAVGFLETGGGAE -YNFMNSYAVQQFLTHNGAAMFGNVSAMLVQNDIQPWIWLGYGYNDYPQFFDAYSNAFKQI -FQSTVYPVNIHNLQISQPGFNWNKIVDYYSTDPHDQEAWMQFVPVPVCNYLQWINKLEIT -QSPNTGMVQPIRAMGEEVYGLRITQETNDLKAKLFLMVNDRKTAWPQVRVFDSFETSPYN -DTMWMDDYYYLSTAMIDPGQKVVSKWDKNAYLSSNTYARALSPGVLGYAAETVMVITSGL -GLSRNDQQSIPHTTPVCLPDPPTAKSFLAQKIMADPEPPQMPQDPVPTEEEVKAVTDSVT -PVTSTITQA ->YP_009553028.1 coat protein [Salvia divinorum RNA virus 1] -MSEFKLMDRAKWPFWSSLIDGLIRFAEENDSMSEGVVEEFLLGSLGKNTIEEDPKKNELV -YLRLCMKLISRIISNNARHKEEIRKIESDLGKRPRKEVEVESENEKLKKIIAELHMKISD -MEKESERSESETEKDRNAERRFFAGGTRSLTNDLSCFYLGEDEFPAVTQSISTEKIATAE -QIKRVMKALNIVDEKKFSRMAFEFVISCGSKSTSSKSQYAGTFSIDNISYKRSDIAYAIT -GTGLTVRRFCAAYANLYWNYNIRRNQAPENWRDKNFTDETKFAAFDFFYAVGSNAAIPTE -PNGDVNLIRNPTKEENEANDAVKWVKIAKAKSQSMGHVTSSMFLNKGVAYNVPKSGSLSI -TEI ->YP_009553011.1 RNA dependent RNA polymerase [Penicillium digitatum narna-like virus 1] -MVKSVRTNPKAHQADEAFSTPDQEAMANLGLLDYANAWADTQGLDPDELSDLAGKEVFFS -VDLEEATYRIPFEILDENVKFCDEIMSEFRPWNVYRDLFSTFEREVDMTELVNKGYWDGP -LTVTSSRGSFMGDGMSFIHLTLMLSAITSATFSRTKRPLGQSVGDDLFLMKTSLINCLRF -CKLAESIGCKFSKLNSISEDSLTFCENYCCIPTDIDDVKDIKSFEDSCFGDTLFLDIIKG -SALSGQAKVKVDGADPFIGHASLLAKQVKWHPLHTVASRAKTILWARNYRAAMRLSSSMA -SLPQCLGGAELAVGPTILFSDKKFQEDMLPWYEGILRLDERDFLEYYLLLRGIYQSNPKG -FAWQNDIETIREITKDCELYHTKQVDDLMPDWLLDKSTREKLAYIEKELGMISFHNLAGQ -LARREAFLSMWNLEKQETFMTFLSKDARQRANKAWAVIKSNITPIEPDKLEMTSMGRLTS -AYQARTWGLYVLKNDPSIRRAFGGMPDLFYQG ->YP_009552857.1 putative P7 protein [Apple luteovirus 1] -MENMGMMDLASPGVMKTAEMNARGCFRGSDALDVRSLLLSYTLPVTAAR ->YP_009552856.1 putative P6 protein [Apple luteovirus 1] -MATVVYTPYLVAFILFKYCTYRSEMPKLSDVRPGRTGI ->YP_009552855.1 putative P5a protein [Apple luteovirus 1] -MKFRNRLQRPPHLQSDPPVQDLWSHRNLNRNLLRNLNRNLLRNLNRKSHSLHSGNPLCRP -RNPLCRPMSHSGQSQSLIAYMLRKSPHLMNPRYVYL ->YP_009552854.1 movement protein [Apple luteovirus 1] -MDLAGLQHRHGWLWSQEGLEDEEEMEEQTLELTEAELPSLRGQLRSSLSQWTTSKPDPRG -SSSSDRAYHSALRFQGEYSSPTINIKSSVSRAVMSRTPAAPLPAHLLWRSTLPVLEAPLN -QESFHSPSRRTLQSSSRRGSLMGRIGSALTRTNSSSSMEEMDPRPRSRDSYSSR ->YP_009552850.1 putative P0 protein [Apple luteovirus 1] -MTPSSMPVTVTWTTSSSSSGTATQHTMMLMLNLPSPDSTSTPSLRLLKLPAGPSQQDLMV -RQPRRRSPNTRLCTNSQKRSAQACDESGYFKLQVKRLVIKMQNLSLKCQRGGTLTSGTSS -KTRSEPTGKTTTPTPSSWRRRLYLSTQGSLNLPCPSRYTPRESPLRRTSSSKLRLGSPGQ -NVHTRPPLKTSRTSMKKRRERATSAAFLTRLSSECIMLRERGAVEPRRTSCVTRFKVNSV -RLLNYLISMSCVPSEKWKPVSSTL ->YP_009552845.1 putative coat protein [Pythium polare RNA virus 2] -MSQNLFYDSHIPEHSTGLTLAEFPALVKTDYANLATYSRDNLRQQLSLQLPFLPPDSRGR -IAVGRVDLAYEIIRHDPENGQSSTVVGRAHVPSQAEIGFFTAPSHDPMRIIMAPVSAPLA -ADVVDKNVATATTSIKALFPNQQPNEYIGPNARARIRSLVAKPYNPERYVWRLASLYLAS -AWAKANKETLTSSQLNLPTITWLNSVTAYGAVLDNWSGSENPVCVAYEGFPSEVTPLLGV -LQLALSGDPAFRVTGNLPLPSVATVWPTIALPHVYYIGPQVTTTIGVGDITPELVWSAAS -LWCGQHGCMQLLNDYIATLSTLWCSTHKDLSPVYQTKRFGMALPVSDLSSTILMPIGVSY -VKWRDEGMLVDPPREEDLFLRGALLGVLMGLGVRTWAYRSGLPYVGLLSGADTERDRVFR -EFKLASHATGSMFNAQRVLQNLGCTGTLGAILLSLTPAFAHRRDLASWWSLQDDAYQWEE -VANVCSSIPRCCALAGLIQPLRATSMPMVNVWYAPDVISSARCVEEAFQGLLYSGGLVAG -WVIKDARGGTTATYPVTIIKSYRGAASDWQFAAPYTKDYVHAEMVFKLTSVGATLLATTG -PIGMSRAKWYLSRPTVPSDYQLDADWKAGPNAGGGPSGPPPPPPSQPPPEPPGTPPDYST -RASDPPRDPNAPTDDVPPALTTTMGPDTTTAALPHSLKLRVETARAALHDAGQSTDWLES -LTLGLQRRTTLSTPWEDRDREGRMRAALDGMQITDPIEMLKAVPNGSRANVATCLAAAYK -AAVPCAHSLSAAHVWAVEAGRMSSRARALRACSAMTRVELEDYTTRHAVKNAALSVDDGS -IAKALGRGIAAKELIGSAGKRSGDKWTADPVIERKLAAPDAPSDAISSDTEDMIKTSYVD -EQIDDEMVIAILGYAPAWLRQSSEVPGTDGDEEQKESDPPDPMDLATTIGSVTARVRGRS -LEDMAKHAPADQRLDRRPVKVAVSWDTLNTVAQARARSKIEGGDVEVDGYLVRQDGSVEQ -ISFNARGEAMHARVELITEELDPHITVSSVTAAPEADKHVVTPAGTTVLEEQEAIADIAG -SGTQTADFGLHTDITPMLPPMPPPASSTASPTTTTTSQSHGKQSRTPTPKRQSSNAPPSD -AQEIHRLVFKEQDDT ->YP_009553717.1 putative 3B; Vpg [tremovirus B1] -GAYSPRTPINKAVQIKHLGGTTTELPTSQ ->YP_009553716.1 putative 3A; membrane-associated protein [tremovirus B1] -MMHKEGDDLTGMMDKLSKTYGNDFLRVNTFSEICSKMSEENQTWYSKLWSSICSNKYKIL -GAVAAVIGLVIASFVLYKTFDEDVKMTDE ->YP_009553714.1 putative 2B; membrane-associated protein [tremovirus B1] -KSLVNDAKGFFRRVKDALYSMQPDMSGFPWKKVVKWTLIALRLALSIGIMWRTDWDPTVM -SLVTALFAVDVGATIITAAEIMDAMLNKVCTLVPEMDNMTSQ ->YP_009553709.1 putative VP4 [tremovirus B1] -MADRRGLFTSIGGAVDSAFNMLA ->YP_009552817.1 phosphoprotein [Riverside virus 1] -MENKSAVFAKANAFANATDVPARQEAQPVQVTAGRGRGKKPEGKKNTPADTNLHVNPNSR -PGRVLDPNRILNEDKLGAVLDPIGEGGLDPGLSEEAPITTGAVPKKFTDKNERKILQVGP -SRESKSGGSEKAAVAVQTSGIVTSSRQNLQLQRTQTYLPEDGSGTDFDSTGLASGGSDSS -TTTLLERESEPSDYDFQTIAECHELGLYAGEITEKSKFLSTELAPDRNGVIVADEVLVGI -NLMMSQAIEFTEKELVSFRLEGKMLIGDLRKKASGFQSDPVWIKQKSHQDDPPLRAPIVK -PTTLPAKKQTFEPVALPPPESKQETSKPPVTVQRGGFVQVVMPRKKGGKPIIVEYPFDEC -LEILESYETQPEQGKAILRANNAYNQFVLTCRFREITINTIRQ ->YP_009552803.1 putative matrix protein [Zahedan rhabdovirus] -MNVITWTKGKVSQAISKRGKKEDGDDGAKIPSYDLTPSAPPDDGYQYRTINVEAEVDVSV -CLDKFETRMEAIVAPLINLHMDYKGEGRYKNIWLPLVMVAVFGLKLGQRSRDNTVYKVQF -GKGLKLKIKSRRPFEMDRKISWNQFQKYLCNGLTSEWSIRCQLEYTLVDYSMKHVDKELL -QYLRAMRVRFEVDENQNLVLIMDY ->YP_009552802.1 putative phosphoprotein [Zahedan rhabdovirus] -MSKRFRVPSYDPSRMVEVAKAADNAYENEVEKDKDIPPLTQALSAITLTREEEARLGDDS -YLSDSDLDRSDKDDDESTPSSCSSHRFADSEGAKAQFYRKINFDRGIEYHIPEKITTPQD -AIDDLLSYMEDMGMIDSYRIDFRNRRKVSINPRSTIPVRDEIPEERFTPPPIKMIVQDPP -KADTGAIAKSTPKSTPKKVKAKKVSLPSSGKLPVYQYITIYGKKLDIDLNLYCGGCDLTD -FDDKKKWYYHAICSHKIKGIKLISIDMN ->YP_009552766.1 capsid protein [Turtle grass virus X] -MSQAPTPTRAQTQSSAGTGSSQVHTDVAALKTKLDASAQEAITLQPRSSKAPTDEELTRI -ATLASDRGLPTASFCEAAILMAMEAMDKGATDSTVFTSKSGTFETKSLAMACKDAGVPVH -KLCYFYTKPAFANRQKAGLPPARWANENVPVAYKWAAFDTATALFDPYVLESAIPFDPPS -AAAMQAHEVFRKDNLSQAAMRNQLLGNQAAITRGRLDGAPALPPPATYFIEPPTTS ->YP_009552760.1 triple gene block protein 3 [Panax ginseng flexivirus 1] -MLQKDLLLGLLGAALVYLALVLVDNFKESGCSIRLTGESVSVINCQDLEAVAKLIRNSKP -LWLSLGGRGDFEIIEERC ->YP_009553705.1 3B protein [Rhimavirus A] -AAYDPAATRRKPGAKVLSKERKPARVVLKEKFQ ->YP_009553704.1 2A protein [Rhimavirus A] -MLRTVDNVSRAFTAERMGTILDGFGNALGHLTEDRVDQIVTATLQTPPALAGVA ->YP_009553703.1 3A protein [Rhimavirus A] -VGFSRVLNNVYEKMTDVEMGEAFKTLKSRLLKGLKFIMPAITLVATVIGGYMVFKKEEIR -LDEDVE ->YP_009553702.1 2B protein [Rhimavirus A] -DAVERATRLLNPDQVEQLVTAASQTPPAVAGMADALERVSQILTPEQMERFITAVEKAIQ -NVPEGIDSVDRLSENISRALTLLEGNDPHVRVVKILLKAVGFLLILTSNPSPQVLAGTLM -LMAAELPMTLDPLRNLRNWIFSQLGVTVDEGEEETQ ->YP_009553701.1 3C protein [Rhimavirus A] -TSPEEEPRHEIFNAIDKSTFPVEIVGVGAQTALGLVDDLYVINQHAVRGSGQMFIRGKFY -DLKCMKFYEPPNTDLAFFRLPDQTKVRNIAKFLIPLPESSKYPMQILSKMFKAHPCKVDV -RVVTSKLLRATNYLRSRNIVVSNSGEPMYLNDVFRYRVDSYPGLCGSPVVLMDPSKPKIL -GIHCSGKMGVTGTGVGVYEYLEQAIHELNPSQLQ ->YP_009552755.1 RNA-dependent RNA polymerase [Blechomonas wendygibsoni narnavirus 1] -MDRAHCVPKRIRELAGLCRGLSIRPSLLRLILIGLDQGIIPWSTVSFAVCSKDETKRMSY -AGDIMDAILATMLLIDPDRGPYISRAFVWVCTNLRARGLKSVISDLKGLSLWARVTCLSS -ERTVQSFSKLSEDWFGKLTREGLCQLGRLARALPKADATTVMERIRDYASAMQEDIGSTQ -PHVLLRLRAYARHWAYTHQPNSIPVPEPHVSPSASYSSSLKNGGQEAECNALPVPEHEPD -LKGIPDERLSTFIGPLRDGIGSAGDNKSRLAYTPLLSLVSSIRKVGWLASAFTAAGPYPL -NDGEESSYPPVRLAAIQELGFKVRPVTAHSTPEIIAAQHLRQFLFQSLRQWKVTAPVMLG -EKSRAIKEVVAAWEEGDVVYSSDLTSATDLCRQDVHIAVLEEILLQWGFGQQAVHIAHLA -LGPHYVDARKYGIDGFVQRRGILMGNPLTWSLLNIVNFFCAAEALSPGDGVPHAIRVAER -KFRICGDDLIGCVKRSVVDRYEARLKEVGYEPSLPKSFVSEVGGVFAETSFLLDKTVSLK -PEDFPELDKPVRMVEKVSVQARFLGDIPSKILLPKPSGLPLALRIGPDCTASLSNLPEGK -HRQSRLRTMKRAVGVFYPDLISTLLKAGIDPGAPRGLGGAELPWARGCMLSVSKRAASIL -AAGNHLRGAAMSHGSILAAALGAPYQPNYREVDDLVLGLLEDSAPIASVGLSEPCTALPG -LPVWKVHSGDAEQYLRKECALLESSLRAMGVLPPIPKTQSKSRSVGQVGREVVKLRKRIL -STYPNAPISQHPLESLRKAQNFPLVLGPFDPSLQTSIFREGRKFKREVQVLAYPDAPHNR -QLLLKVSGFPRELIPNGIWRPLE ->YP_009552738.1 ORF4 [Birch leaf roll-associated virus] -MSGFPGNNSRRIAAYRHGIQRATPERRQTMEEASPSPPRRTTPQPRQYHIPPPQRITIRE -DLSARSAMDEAGEPIQLRNGREIWPDATARAYIPGLTLPCNGRQEEEGRRMAHAIKNTLI -ERVGTDLASLRMILERERAYTRGNSTRDNYYNDHAQAFELRQDDVEAMIEHYVSLMHWNH -DI ->YP_009552735.1 ORF1 [Birch leaf roll-associated virus] -MSANLSKQPIQGEDDYEEYITNFQRDPRASRLDYLDLVYPKRTELSTTAYTIPCYHREGR -LDHSAGHSKTDPALNLLIDLGFGLNDNAAVAFDRAQLFSRYSLQRFNTLEDKVDIVSQQV -EELRKEIKELVTVAPSKGEIPKKGQRQPEKESLRGVVDQLEKQITQLQERLSQVLEKEKS -LDTNIKEVKELVRASI ->YP_009552736.1 ORF2 [Birch leaf roll-associated virus] -MAKSIQSTLDSEEYQRTLDATKVYTEKNVGVGLSRQDVVVQSSLENFKVVIAQNNSLIHL -VTTLARRVNDQRDWITTLEQKVDRLTLAVEKGKTPEVALPDDILEGLIDRFSGIAIKGGS -GQKKKIQTSQSYHVKKDPYEILREEQAKLKEKK ->YP_009552727.1 coat protein [Sogatella furcifera totivirus 1] -MKLTLINSVNMIGSPSTHSGDNSGVDNVNSSSVVNSNSNSVNNSSAATNVNSVNNHPNAT -ISVPPQVDTNPRSCRNVNKMSDTYIVASEVCKSKEAAALTYWCTMPLNETAPHVGKFSDS -LMAIDTANDVAYLGTSFFNSNTLGFHYNTGFTVEGYHPAKVRQDSESTSDDSILDAELFD -RLEITSKIVVGAGASAGSIPERRTSAKHVVKSKEDAAALLAGREYYLPQDAGLRLYHLCV -KNVTDRHDRIGSSSYWISTHGNFKHRKTIQESEKLYSSVGAAGADPVDADCEVSLTVDPK -LQYYSYPKYMPLSHCQVTRSSTSADLGCQARPPTTSVFDQFKAKLLENDAKDALRSMISR -PAVVDGASLLSLVNSMLMTEGSSFRDVYPLLTCHLILNATDTDLATTSSDWSRMVRQLGS -DSDSNVGVRLKEYAKDAEVPQLKVSYVSFARFIQLLAGIKSEAADSPWHRSKFDQTWIAI -PVTSELLTMKNMLGYIMAFMHSDAWAGRIVWWKVIEYLTDHDASDTDVYNGVLSQMPASN -SVYMPGPRNIMLVQMVGSSATNAPTIDIPGANVGFTVDTAAAIVTTDLRPAWRAAFTNAG -LSELRQTFFYAYHFLLNRLTAGDAAGRAAFLAADISSKTSPGLGLVPKENGLGYDNGKAV -RGLWSYKAQKWGQENWQSSDWLDKNTKEDHTAMDKRISGYNFSLTSPVGVCPVSWVTVAG -TEYTYSLKDGSSYKMGLGYWKQVTPDFLSAPNYVVQMAGSFNRLAAASHLIDTHTVNGPY -HFSDIPAITSFDAHLASALSGSLAIFLLQNDIEYRHWVGWDAAEHRGFYSTLAEIKRTLF -LGQLNNTPPSIVRSPADTIDLIEDYYGVSYADGTSFMVCSPYPTCCTLQWAEKVESAIAE -AGVVMSSVSIGGKRIQGVRLEEGWGVQKALMTGFVNDRSAKPVVYVSCGTEEKPFYQDMW -VENLGASTYFQSRSNWTLNYAPSEIETLPILSTLTYTRAFKSPLEFDTVPTISYITSGLM -GVPKGRRRIRVSPVVLPDPFSLDSFLGWLRDYVARPAISAGKEYINSGSIPRSAMAAGEE -IERQMDRRQREQAKREEVESRRAQPTLSTMPSEAELQMQEEKSDN ->YP_009552726.1 RNA-dependent RNA polymerase fusion protein [Sogatella furcifera totivirus 1] -MKLTLINSVNMIGSPSTHSGDNSGVDNVNSSSVVNSNSNSVNNSSAATNVNSVNNHPNAT -ISVPPQVDTNPRSCRNVNKMSDTYIVASEVCKSKEAAALTYWCTMPLNETAPHVGKFSDS -LMAIDTANDVAYLGTSFFNSNTLGFHYNTGFTVEGYHPAKVRQDSESTSDDSILDAELFD -RLEITSKIVVGAGASAGSIPERRTSAKHVVKSKEDAAALLAGREYYLPQDAGLRLYHLCV -KNVTDRHDRIGSSSYWISTHGNFKHRKTIQESEKLYSSVGAAGADPVDADCEVSLTVDPK -LQYYSYPKYMPLSHCQVTRSSTSADLGCQARPPTTSVFDQFKAKLLENDAKDALRSMISR -PAVVDGASLLSLVNSMLMTEGSSFRDVYPLLTCHLILNATDTDLATTSSDWSRMVRQLGS -DSDSNVGVRLKEYAKDAEVPQLKVSYVSFARFIQLLAGIKSEAADSPWHRSKFDQTWIAI -PVTSELLTMKNMLGYIMAFMHSDAWAGRIVWWKVIEYLTDHDASDTDVYNGVLSQMPASN -SVYMPGPRNIMLVQMVGSSATNAPTIDIPGANVGFTVDTAAAIVTTDLRPAWRAAFTNAG -LSELRQTFFYAYHFLLNRLTAGDAAGRAAFLAADISSKTSPGLGLVPKENGLGYDNGKAV -RGLWSYKAQKWGQENWQSSDWLDKNTKEDHTAMDKRISGYNFSLTSPVGVCPVSWVTVAG -TEYTYSLKDGSSYKMGLGYWKQVTPDFLSAPNYVVQMAGSFNRLAAASHLIDTHTVNGPY -HFSDIPAITSFDAHLASALSGSLAIFLLQNDIEYRHWVGWDAAEHRGFYSTLAEIKRTLF -LGQLNNTPPSIVRSPADTIDLIEDYYGVSYADGTSFMVCSPYPTCCTLQWAEKVESAIAE -AGVVMSSVSIGGKRIQGVRLEEGWGVQKALMTGFVNDRSAKPVVYVSCGTEEKPFYQDMW -VENLGASTYFQSRSNWTLNYAPSEIETLPILSTLTYTRAFKSPLEFDTVPTISYITSGLM -GVPKGRRRIRVSPVVLPDPFSLDSFFRVAEGLRSETGHLRRQGVHQLGVDTAFGNGSRGG -DRETDGSSTEGASKEGRGREQASSADVEHDAQRGRIADAGGEVGQLEADGAGRLVGPGSG -RLAGEDASPRIPTEAVHVVEGWERKIRQLNHIVPENLPPPSGELYRVSLPGGLIGSDDGR -PNRPGTDSLRRVKHGGFFSLGTFSTSLSIYYRAIVELGQINISRTLLGYIQGIMARQYPA -ERLDDCVRKDLKNLHSYCYANLHKNKGYALLMHLHALGGPSSHTKEQVVEDVTSWVTGEL -GNMPREFVERKMDHFFSNWPTRQGCLSFEEYGSDYLRWATTGGGPKSTYGGEVYRSKWAY -CLNNTISSGQFGKKNIYEMSLAGRQVASVALKEEATKTREIISTPLSSYIRQCYLLFRRS -GRPPVNSPISSPTWLSDFFSKTYAWYGSVDGDRFDHHVPKWLVLRFVRSLGFDEETKWVA -ERECEHLDSLHLELFGELIPFEHGLLSGWRITSVLGTFVSWLAGEWIKEQSGMHFEFGAL -GDDLILYSNLYELPLDKLCALYESFGIPTNPNKSISAPIGEFLRKILSGDGVLGYPALGL -KSCIYSAAWLERYQLESEQEVSVGWLTFLSRLLPHRVRNSNIVLYVLSAIYHQLKMSVGD -FMRWVCTPISVGGGGTMEFSRPESWLALERTAADVTGKEKRLFWAFGVKSKSDKVKLVAK -KGFKVVDLTVAMKWKEMIRKNGSAFIVDNRLPSDVNLTDTLFTWYFSDLGIGALVDSVRR -HVPRLLRIGDKLNVLLYFMGMVDKSVSLSTLSHSGEQVASALAKYSYVMNSVLYRRRNVV -SMLYLAAGSVLYLSDVLRNERAVYCTW ->YP_009552724.1 putative coat protein [Gigaspora margarita giardia-like virus 1] -MKFTTTGENAVMGVVPPDAPDTTSQRYNATVHSNIEDVNGNRVINDTLSGTFHAYNYLTN -PLGQRKRSVLTGDENFMTYDTNIPQAGIYERLALMIGTHQLVNKVNIEKYIESNHFNHVV -IGKHMASCLGKMGDVVLQQYDIPMCYLLEPIHGTQNHYKNTLLQRYYNREHPFSREMVSV -YDMMSFDYLNKLKWDERLWFSGQYSNPQGSGLANYLSDVYRYSKWHREYSTIGYDTNDHV -SDLSFIDINWEKHVKDQIYYRTPDDQHVIRWVKKWVYELNELESLDWTRLYGVDNNVDYV -FGHNNRCIKVVNFIGKENQSYNSFFKFMTECNLHFYTKPVSIKSYDPRPYGTRRLYFDES -FNMSLHVGTQDSIIPWTVQTMGYLYMTTMSPNAYKYDIFAPVLIDAPVTSVPFRFRSA ->YP_009552719.1 hypothetical protein [Blackberry virus A] -MTYPRVARQYSVHSLLHVHIEYLVYGSEFPLELEYLLRSDHFGSLEELVPGFLDWHKKTQ -KYEILTIGEDRLVERRFEPSRVVQLLEFCKVDPVGLLELVSFVGNTRLSQVSFSGGFVMS -RKGRLSTTLARESYAGLVKKLDRCVLIV ->YP_009552714.1 hypothetical protein [Lettuce chordovirus 1] -MHRGSEAYNDLMSKLDSVISLLKSMNIYASHQSTMRSKFEENQINKERAKKKLNSLKLKK -KKLKLKMRELENMSDENLIEMEFSAIKRGDKKLESRINKELENRGLFFPDDDDLFEDDEW -K ->YP_009552540.1 hypothetical protein [Grapevine virus G] -MDEVIRDRFTLEELVDIYNSLVVSDIAIPDDLDFLLRLGSSDSSAVALRNYLLGNYPNRV -QKFIYSPTLVTQPAYPELGDAQRLTELLGFSVVTSENLRSLHRFSGGNLMHLSVITGQRI -VIMNYKLNRINLNCPHIHQALHGIKILSNCVFLV ->YP_009552463.1 hypothetical protein [Nephila clavipes virus 4] -MVIIEELDDMATPNRSLVVSENFRGRVLDSQGISAIRAGQTVDAGLFDAVKASYSNLVYH -PIALFFFCMGTFIFIAETYETVGPLELIAQQFHKTADNSTNNLVRAFMALLLFPLEKLIA -NKIVVAIICLMLVPYSCKPSRSNLFFTAVFLFTFLIAEFTVLEVFVCSNLFFLFVMIRSP -IYRLIIIFVFIVIAFGVFEVEKMLQELRSAHAKLNVKVPKSSSTFDTTTAAPVGRIITTT -TKKN ->YP_009552462.1 hypothetical protein [Nephila clavipes virus 4] -MAATIFAFIYLVLCIHCVFAPPCVPCHKDECHTIYKQICRTGDSRPVLVHPPSFRTRISY -VYPVVLNSGLISPFNNPSNVDSFLINIVDFHSSCNYYSDIGCVNTTLCNNMRAYSVLNFT -VCLSVKKTVTLEVYHVLMYYILDVARNHSFSLPDHPTNVFDIDDNNCIFISKNGSRQLEC -KNFAFHHFYDNLIFSFYVPSEIRYSCGFGSGFFYTVNIFPPRPKCIFTYKFLGSFVCAAS -YGRFCGDINSSIFVDSFINISFKGTEVPFNDTCNLSDFRLFSIYQNFFSDVSYPEFSRNI -RLIGGDFFSNFTKNFLDFSGNVSNELVDISRAFLLLSKNFNTSFSGLMNFSFPSLNCTVP -NVTVSIPPIVVDFSTFKCPVLKIDRCPKPDIVLRPVLNSCRNISFVCPSCPKLVTDFSKF -PKPPVPILNPVLNNCSCPSFPDNLTLVPDIEKFVEMSGKILHHLLGFVLSGEEGHLLKSV -NYTLSPRIKRSIGTWFADAVFSLCRPFFKFFLSIFSEILMAFVTFLLDFEPYLDKFLIVL -ETKLEELVDMFVRLTLSLIKVISRFLFHLELQIHLFEFVFLFTLFYFFNRDRLLSLIFTM -CVMYFVGLNRLFPSFFLVYEKDMANFSAILATVLSGQNISLVNGTFYFGDTILLTVPIRN -FSYLSYFDSVSFNFTLPNVSADYYLKLSPHYDSTLQAKRNFLTKN ->YP_009552460.1 hypothetical protein [Nephila clavipes virus 3] -MRFIHSTILILMVTICMIPVSLTIDLRELYVHLSQLHLYKWRSHYYETTYNTLFNNPQLY -PRSLAVQSALLNMKPIRAVEDGYYILDTNIHQDCVKVLQTNRLAPTYCEDILPAYCDPTR -WNLTSSTWYGEEIGICYGEGRCDTSCLPPFPCKPCNLDNIAYYVYRLDMAEPTSFLTEKH -NRLQPGTRGFTEVRANQQALLINYFYSVYNPYIMYTQDNKYALITSECLLYTRKTSEIFP -HHALQSYKNSDTATKECYLQKKPNVEDCQSLAEDEDLENLLQRLRNDSFLQIIAFNFNPS -GIEQLSKSESSPLVHINSTHAIRPDAFQSSDVIADESIHEPVAVCFTRTESYSDPISRST -LRITKVIIQLIIQVITSYVIELEDLLMSIIVVLLHAINSVLLELAKSSISYYVIGISLVY -IYLTIKFKSNLLTVIILLLSYFIYIILDSL ->YP_009552277.1 hypothetical protein [Rhizoctonia solani endornavirus 1] -MNHSDIIINVDQCNDAIDDVITHSRRYGNTVVVSTENNLSQRSLECLFNSKVPVVVLHTT -KVDNRLNVCGENTIHTAMYNFILGAHGYEAMDVDTCNWTAEIEEMHGVKMNRIENQLDSE -TSIIQDLTNLWASGKILTGIEEAPGYLQTIAKSLTHTDLNYLHDKVMEHNLSEHQLIALI -ASRNKNQIIIDWDVEFIEEIVQGSIDEVALNDICKMLHDKKQTNVTSLPGIRLNFMKNRT -DNSLLNRDFVDINALTSTKWFECLKLRNQHIIKIELQDIRNRYHSIGLELHELKPVEATK -PKHLVWVPKNENRGAYKGEHPKEKNPVMEGKVIIGSGRGFGKCSTIIGVADVGYDGLHGV -NTELITTKESQTTDEWKRVCDEIEKLMIKFNKAKRNRLISVTANAVCYATECYTINICNR -GNEIRNSDEVFNIPCDLTGYELANVRVYALTGLIKGAAVQIRNGNMSPGTTSNTTWNGLM -ASHSKYGAEVSCYTGHSEDMGGLKNFFRNYSPLADLRGYEECILNQCLLARGIKVTVKEV -YGKLRYEDNEIYINGWGLRPALKILTLNNTVEEYGVGYEASRWDFTHLRHNASNVTIDYL -FGNNSSAIMTWRPEKFNETIILNRDETMSMMYNWEQTLRSGLMPGYNQAVGLYKQAYTPI -NCRHGQQPYVRTPEGSIMITHTTGHMHRCWERSCRSTQNKGIHGLSYKEVLQCPRMNTNL -NAAEFLIKTLNNLFLKADNSIKFMITANHTYTSPGYHLINLTTAASKFEVVQLPGMTEIR -LPGVTGRALAHLRLCVLFTKARRRIFMMNGTTIAQWEKDVYELEHNSYLKRHEWCSINQV -VPSHFCAGGFGYSGILRGKLDQFLTITSLGAHIYGVEEAMIAKQLASLDNTCFVATRQQL -VMEWHKDTQLEAMTIYYRMHNGELRGPYNRPRETYGERCVWYAKELGIKLTIRDREVIME -TSKETIRVDRVFRPSYDRKSEEMWLKACIGSAEDF ->YP_009552274.1 putative coat protein [Pythium polare RNA virus 1] -MDSQSSTNSPAEDLKISKSEGVGSVRVDGVFDFFVEAMPEIALSVADLQGSAMISHLVSD -QRMAAIAAADQVFLSAGSGAISPFVRSARTITQSGSIAVLPVATPTLVGGARAAPWNWPF -RRVPSTNVQSTAFLTKVDVARAAEKSGFDAGYQKFRRYDNDGLLGLIPSILLEQPHLWLS -WIRELSCRLAFEERDAQESAALSTMTLPSADGVHPVNGAVAGLLGDANPAFFGRSVGRMS -EASSLNWEEGLAVHLQQPAGAAAVVCPEVTESWCSVVTRGRIPAWIEQAQAGNLSAEVFV -SRARSPRQLLGDMAAAWAERSRSGSFFDQRGRMWVLAPHVDLEEKRKFLDFGGVHIHPTR -HYSWSVISQAVASGGPQFPALPGTSRDVLAIVRHLACERVTGTLPGAGDQGGHNPWDTAL -GSSPWQVRLAAALGFVEEMNAAKGSYLPSGGPLTNILAFEGVRLGRGFDRVWQSVCGCPA -SLAGWRGRGDALWAAGLASVNCLYGSSGAGKPLGVFFTSDAPDGIASAPDIGPVTVYARQ -RWGSYGWSANVPSEWGSLDAQGSKTFTLDHGNFWEGGSLDSRSLSWAMLLTHDERGTVRM -DARMVGVQMTRVDLRLRGPQAHRRGAHDSFEVPVFWVKNSFRCWASTAWLNVADGIRSFA -GVYTTEGLGAVRGPGASCVSGGLAF ->YP_009552124.1 SH protein [Menghai rhabdovirus] -MTKNIRTILSDFILRQKIAKDIGEDKKGFYYSRIDGPIMN ->YP_009552123.1 G protein [Menghai rhabdovirus] -MITAFKAINFNELLCPDGKEIKVDESNFGLLNKYMAPEYEIDEESLNPYEIKGRDCYSAV -FKTGCKFHYFGSNDIIQVIEKKTTDKKNCNQVSTDVLTFPEANCVSGLFDNSYHYKEIEY -IVTKPRNYMYDPSTGELVDYNNIFDKKVDNVYHYRDNKGYWTIDETQPATTCETFKQHES -SEIEVKVWKSRMNNKSLIDLGGKIYDVDEICYHDHCGVKIAVTKDHVYFKLPTNLDIKKC -NLDYKRVYLQSEIIQEKSELKDCLEAKIDMAWMKSINYEDLKKFNPSSSGIHPVYKLNNN -KTLMRALAKYSEVNTTELHKYLEWVRCGNKTKCTYNGVIKADLVEVYGQRLTEKDFAVHV -DELKVGIKAYPRDIISHSDHTEEKIYLKSDNSFVSLFFIAAPWISEGVLILIIFCILIKY -IKPKNKRHRDILLRRNNQDFESW ->YP_009552122.1 M protein [Menghai rhabdovirus] -MWNPFKTIMSEEDKKEDPKFRYQKLSVAYIIKVKTDEVKKLNNGEIFIKEHYQGPIKYEN -VYNYILYKSLMYGLYSESTSKYNDYNTEQISFPVKKHWSFDKNGKTNVFTQDFTFYYKGN -ELKGECVIKIKPTHPSTGTKMISRKEKYLLKKVFKEEFDEVNSFIE ->YP_009552121.1 P protein [Menghai rhabdovirus] -MMPILYNKSYKKLKTNNYSIKMEDGNEFVDKRSRDAKNALFNNILDQYRADKEIIEEKYL -EYDRHDCEVKLDKETETSQTSDKKVMMNTVTFDDMIKAAPPLYTETKKMKKKNGISLSDL -EKFVNDSLLENGIPMTFDLSNKTYKILDKEVHLPHSNVYEKTAGEDVAIASAPAYNDLKE -QEVTNPYYVSSSKSWVIPKKFSGSYTISENNIDSLLAEKVKKDDREEMLHKAKTSIIGLK -NLLKLKEREYKGPLSMLK ->YP_009552113.1 matrix protein [Merida virus] -MSFVSMLKGKPGPSKRSPSTGHVPFQGRLDMSLTIFTDKPYSSMEEAFLHLIEIQDYISE -TRDLKGLYLGLIAISILFSKCDARGNGVMYTMDLKDLVSLISTDSALASGPLRTFSYERA -HDLRGKTALVRFQLNFEQSGLECESLLSVLLKRDKNKEVMSSSTSYLKLWRLKMIDYKRG -TLFSLS ->YP_009552112.1 phosphoprotein [Merida virus] -MSNSGGESDHTTKSQEDSRIQGFYSEGVNLPQESVSCLGALLEYDQEGLEKTFSQMDLED -PLTGEREGGSVQVSVPWGTVSEEQQAEYLRLLRLYNIPHPDTPEEDTGVDEDELSSGTPS -LPPTSPSLSSEGGDRPLSPFLFSDEEYTEYVDITVPEELQDVALRTPLISLLRDVVRIMV -NSKNEPFYSLQKYDLKQGTVRLARVGNLYTYCPEGTPIPVLEQRGSPKTRKKRSLSTPQT -NPTESSAQGPTSSGSRADPTPSCSTTKTDIALGQTNQVSQTTEESWPSPDHSGSPSNLEG -SVTIKRAASPSSSSHYSQTSSVESSSEDEEEIEVAQESYSFMCPIYGSSEMSVKVFPGHE -VEPLMKLGMVPPEIFRAILRDRGLLRALEAVLDIGQTHMT ->YP_009552111.1 nucleoprotein [Merida virus] -MTTIATLIPSNPYEGLEGDVDHTGFSDLSVKTGETSTWGVVESTHMGGTEIQYTSSVLSG -EEAEKPKLNHCAITGDLNKIAHLVWERIKARTLTSAEAVAFLWAAGKEFSSVIDKPWASY -DITILGAKEGGSVNVQSLLKSVPSNNKVLEVASTEQELKKSIPFLVGICLAPYRIVQGMK -VEYMDSLKQRMWTQIKGLVDPEIKKEEVTNFDFSGWLDDRSDWSQEKDYGVIVAGYDMFW -CKCKEEKSASLRVCTIRARYLDCTAFMGWQYLRNITKLPFLELATWIWDDSMAAEFRQIF -KKGEELDKEDSYLPYLSSMKISRKSPYSASVNPYLHMWIHSYGCLKSTTRSYNARMVGEI -NTDIPLRNAVFMYVAKGQGGDWKRQIVYKGDVGHKQTFVVPDGEVDTKKGKKREKIPLPP -KPEARYWHRYIMAHGGDLPPHMWESVVKALASIPNPRSQSIGEYLRRWGLNQQMIRTG ->YP_009552092.1 capsid [Picalivirus A] -MLTAYALSILHCQPSSTWXRLVNTQVATNSQTLLKEDSPIVMITDSDPISSNDAFANVDG -NVLSPLVVPKSSQPVAHQETDGPSELMSRTRRLNPYLWSTATSPFATTVVPVVTELMDSL -SSLCLYNNFAYLSYDSISLRVTPNTSRFFRGLLGFTFVPDERLTRPYAYTPSALSSLPTT -YIDASSSETATISLPWSFPLGKMRPQDLPLMGHVVIWVADRLAGELVPGTTQILPVALEA -QFVGFKFFDPSSPVSLCPVVSRTNASAAPGAFANAEGAGDDVSREATAKSKSGVLSTTLD -SLSGIASAASSIPAAAPFTGPVAMLTSGLSTVLKSFGFSKXPSEIAPAPYYPAGDMFFNS -PSGLLPTNALSPDPNFLVSTEPRFLAETDDKTSLAALAGRKILIRSLSTVTTPISGPTLL -GTIPVRPGYCWKNNTARQYSGVSQVSRTFRSWSGDLQFDFVVPASSMTRMRFIITYSPTR -PVSYSESNRFAVLEVSGTTSLTGVVPWTSRFPYLDLPTASHNYNDDQANGFISVYQATDM -ISSDPSAPPAPLSVLVFCRGTEDLTFCRFQDAVRWPALLQRTLAQGFVGLADTVKYDRLL -AECNVKSIREIAHLRHYLGDFTVTSTAGATFGGVNPPDTIAYWLESFCYFRGSVNYHLYA -ADRSSPSTMHVELPLQTSGTFVKWYVETPSPLVVSVPYTHTHGYNRKSYILPAVNVFHNA -GLASVTFSVYVSYGDDLSLGGLIPSLAFV ->YP_009552082.1 hypothetical polyprotein [Diatom colony associated ssRNA virus 1] -MRVFYDNEHPIKASMNFLQRTAQGLVKAIMPKTKTIKQRRRFSAPSILSSSVIKSSDMEA -QIKFKRSKAYNSTQYRTHLLKTKLQNTAPVKSNFNVTGKKIISVGTSELSKLLSVRNEVE -TVDRFIEPGTKCDVLLLNSNIISQTSAASVKTYAISLGASMVITNLPLTLPAIGRYNELT -VFATSNNYQHVHALQSEVFRSHTVISTDVRADLSNLWSKVVNFFTGSIMFPTLDEGLGSN -LRRYKANNKISKCHAFSQGDILVSCDHAHKGRKYVLNGKIIGEVNRYESQDMVSSPAEIP -RNFFAYASVGETVFVTDGRSNLEGRVISQCPPQFAFPGSPVPGWSGLPIQVHGNSQQIAG -VYSAHKVNSTGHISMMANDYWTDIVRDTLLQHDSISVNVPCGTGKSSFLVQSLKPHFRSI -LVIEPRISLVDAVSMRLGNRWERCAEKDEFKAQNYLVITHGKFISTLLSDAHAFSKYELV -ILDESHDPSWLTRSCLHWMANTSTNLFRMAMTASPSNDLYTQLSLDQSLFDRTYVNHSAE -ITLDTVKKMCDERNDEKILVQFDTITNLRRYKAMLGNTRSFIEISRDTILSQGIDKLVTM -VRSTDNVIVGATSVVAYGITLDVDTVIVPGDSIEPVVDFNGVNSMVKARISQNEFVQWAG -RVGRTRPGTVIFRGPFTHAKVDNETALRLTAFKSVTNNDAHCEIRGVIFPRSQLVTALKL -PLSFNIALSLCSESGSLLVPEGVSKPPFEGTFTLSRDPIEERDYKLKDGRTVVGLKYGLD -HGIPDIYLDNTDLLKVKVNNVVTDDRFGSGRGISDSANRATLVKTSTAGWAIAAILLILL -LAILRQTEHVYLTPIKEEKEQRYTELEGPVNRMGFQPALVITRLSETNEIAEEDLLVDLS -GAFMPIPGFNDTYLKSMLNARTLEFTSVSNVLLSMVTVFIASLVVVKFPDMRTLWVNGSQ -TPSAVTRRKAGLVRDMFMRLLLVRTSEPTWGDIKEQTVEILTLIRERIRAFIKEQTPVVK -EKASRALTASKKMVHDGLVQIADRIQPQTEVIISPDAVVSDVPAVGRVMEKVSDVKETPL -GSIVKPDMELGSVVLVLIKAFTFFFNFSLAFLVDSFVMYLMKAYLAEHDLMNVHRKYVRS -PHTVGQLVEQAVIKFGFVPPLIYIIVMASRLHFGVAIIISEVILKLRIGGRPLVNVASDW -LSQVVKNLLKSYGFNLNHRISFANYVVVSMTMTALSWFPSTMSSPFRLANWVSFLVESGY -SLILLMLFPARQFEYFQLKEVVSDIVAAMAEPARHVPNRRIVIPSRNVIPDSLSSLMNEI -IARPRRGVAYIMLFPVWILLSESNPRGKTLRSVYEDTMSYFTGGPDVVVLPENTASCEAE -DLQTHNIQSSLETTSAWSSFVLGFIVSVYAAFLLGLLSTMYFMFRAGDSVTVLVAGLSAA -AEGLPGAVQALSETVAASSGNITTAVEALRVGITESALEVFNGACIVMVCLVLFFTVIWA -LSGASELVHTSSGTWVLWFSPIIGTVSTTLLQRYVGNLYGPAAGAIVDLLMYYIDVFLVA -VLAPCAFIVCLISAMFGLLTPLWILWIIYAFWSYVLLRSLLLEVDLPELPITSYDAVQLK -RITLDRVENSPLSGREIGKLCAVPTTLMLPANYVGKMAIVAEQQSRDPDFGGLMRLIHDG -SRRFGSTQGHHIASIAAAEAESSLLAAHDDEKALANFQGSDAGVIIEEIDCTDELAMGEV -RTVADEDATSVTTRADPGESLRVDPEEFLRQTRKPRRAARSRGDVIKKTSTMLWIVQVLE -TMPELILQANRVSIGILSLVNYYLFRKHASSPITSLSKSADMLSGCIAVLCWANSSILSK -TVHRAEELAKWDTKATSRGQEWLNSRIALRYEDDIRLPKPASPVTDLNVMIVCCLNPLAV -VVALLVNWLFDVNSLRLLMIITDGIMSTSTLGAFVWGNVLFACLLEDKKHVVETCCRGTL -ICSVLIHTSVGVYSARDPSRRLAVIETIPSLVNPLDVEFSSQSLSAFLELPVIMRSKTLP -LPPGLINSRALLVASCRFFGFLRVFSQDKILCVGSGRGGMLQGLMGDVRNLRVDCVTLDE -EGFLLDDDLQKLGLLDGHVISQFVQADAGFERSGYDTVMVDVATNPFTLSDFVPEFNSIS -NRQNIISSMSRSVRRLRYGGTLVMFVPGTSLDLLCHLSDTFSFLFEEVDVVQDPVVRGTC -YYAIACRRLRHLGEITMSLALNNIIVTEKSGHHLELALSTIRREEDTAREGELRSHVSLT -HILGPYILKLRDLVDVDKLEMPSFHVDEDFSHLSREYQTSAMRHCLPLIPLKVQASVDSD -HKAYNCLFSSLRPPVPDREHQSTLAFPVVSAMLAASVLPTSVSFDVPSQCNDAMLFGLKR -RYDFAAPEGTITGFRRTIDFMSGFLIKKAVDYNFLPVTWDELNLEANRKSTVGYMSKAAS -KRCGQLLDNERSLLDDLMTRLKADPLDANHVFHASPKVEKKEMKDAFPMVPRLFMYKSGE -VRLCEMAMFKRLNDFMGKSKQFPFSASGDIFDRSARLADISKRFRHPRYIAIESSKWDGH -KSASWSLVAREIFADVIEAGHHEAFQSRYCREVALNDALGYVWMASGHFIEFLRGNQKSG -LWDTSINNKLVNTMIVIELVSRALRIPPEYVMNRVDLLVEGDDGIIVCEVEDAEAIMAMR -NAVYHEAGFPQTGDPRVVSNICETMFCSHGVGFTIPHSIPVPVRPADEILGRLMLSLSSG -PLTWTWENASRSLSSTISAAAMFWFLPEIRNLLSVVKHLSPPDVHIRAAHPSERWKVMNL -LGGIDIQTFDLSRFVKDRFGVEDVSMVDLCEADRDVIGINKFRALATLQPWKVVLPRYVN -PAMFHEASVEFYIGDGALSDRVKTRIAYAEKVSRDAVLSFRNKSIARRLLQSGVDMSFLR -LEIGGLKERIRKCRARVLDLSADDLSHSDSGVLSGLKSVKDWHHAGAKMSRVCIHSGSST -STLLLRTSSSVLDVFIALFVLWLAVRVLSLIVATAHRVVSQTATSVRGGRAVHRGEYIVN -NSGPVLMSMKELTRWAPPRMAESSFSPDETFLMAASFTVPRKDHFLDTPKAIVNDWECLF -KYERRFAVVSLSDQGAKAAIVYNPSYRNDWSQCGILDGIVAAMRSFHAQQANTASVRKWR -NRIKHPSQWTCNGSMVSVHSFKGHSSYGQIERPMASLLNRLRGEGTFIMRIADTPVLTLD -GYPSNQYLYGRRNTKARKRTVTGSAVVGESSAKWSRDMARPYAHRAANTVGPTTDWLRKL -NVLFFRGGVTGPGFKRDSNVRIKLVEDLNKVISPLSDGMRIDVKFSRQHTRPRVMNQEAG -MYHARDDIMGDFVPMVEQTKFRYLLVMEGNEAPDRVLSSMATGSLVILVRYTHILSRESW -FSSVLFNRVHYVEVEADVNSVLAACSPVNIDSNVKIAIDGMVHARRLLTDKNQLSFMRNV -LWQSKLFGSMNVNAGQKVYIVKTTRKKTLFEPSLIAASNIAVLSNNSSSGTRESFICLLK -VDRTKTASQLKNEFMEKPIPVERDMRAIIEKVFERDIKEDHRGILKTFFKTNPDWYKTLI -EYIKPDITVGKFALSDDPLTYAVMRWSRDEVFPSYIARMVYIVGTSQVLREVSFENMIWA -EGATPISRVDQKVLGDLGVNHHSYSRDYCIAYKSHPVTGVFILNYAYVVVVSDPVPGLLI -NTGQPIDKLKSNLVPSQVFLMDLSSA ->YP_009552079.1 ORFX protein [Brassica napus RNA virus 1] -MQRVLLIASLAINMAALFMQVLGLLLKQPIILIVGICVIMLNIFLNVLALVTKPEEDFSQ -FLERASAGTPLARNAERRAPLPVRERR ->YP_009552077.1 RNA-dependent RNA polymerase [Rhizophagus irregularis mitovirus 1] -MKNLFQNFVERKDRARIEKLGTGVGNYVSLIIRFGYAIAFLLGGRRHARFYLAVVHYGKW -LREWNGSNKALVKYLKACSVTLMQFAGQGPIASSRDLGGVHGRSRSNLPRVIPVYHRVGI -RRGDSRIIQFWLSLLGVYRIIECKGRVSYKSITQPGVERQFKVVYELGVMLQSLKTSDRT -AQRVLDPIDLKSELKFQPRALLTSGANVPGGVGGFWALAWDALKIWKVRETPFGGAVNAF -AYLTGQLDLLGLIELAATTATHDFRNPESVQDKRVGSKDSVMGVQKGFATLFGKMNKTGE -DRSCTQWRMAPLSNFVTNLVLGRLHVIPEPAGKMRVVAMGTWWVQCMLYPLHRILYRKLG -LIPNDGTWDQSKPLEGMAAKVKEILSSGGIPQVFSYDLSAATDRFPVWYQVEVLAFLTNR -RFAETWRDLLIMPRYYTGSITVIPRGDALVYGSGQPMGLYSSWAMFSLAHHLLVQQAASR -VGYKGWYPWYALLGDDIVILGEDVAGAYKDLCDQLQVKIGLAKSLISSNGSFEFAKRYYY -KGNDCSPVSIREYWVSLSSLPAFAEMVMRIKRSVPDIRLSDAVRAYKYGYHSVAKLTQCI -VKLGNSRLANLLAILMLPGGPFERSLLSLFSPTSTAVRPNDNVVDSPITERRVKSVSRTL -GQSLCSVSARASSYLSSLTVYENELRVFDPIGFLRSVVISRMRVTLTNSSHVGLLERFGR -YLLDGKLNSRGLVTLLGRIIPIWKFSVGDVAAYPDPFALDAAGGVLARPQTTKFLKLRVR -LLGLPWKGRPKGGPKVTRKGSKARMGRKLTT ->YP_009552076.1 hypothetical protein 4 [Yongsan picorna-like virus 3] -MSAQNTNKLLTGRTNETVEVEGISTYGRAFIMFKRVWGEALPMRFSNFDDRLMTVDLSHN -NIKKAMKFFTTFDTDVKEAPYHYAMSPTDETGPCRWHAAVVVQRGPFIGSLGFGSGKNKK -EARNLAKDNLCEVMAEMYELVHEYHDVGLLAKRLKFGMISEEHFESLDFIQFLRGSADFK -IGTHISMELDNEEIRNYYCKLDKTYRDIRFPPRCVECSGENSNPYFSLEKLLNDVELNPG -PVTIPAQGIIRENLDLQKVKLTFPDGVEFTFLNERLVIDDEYILVKRPDAAFMSYINMNA -KQFPTINFESEPQWKKQSTIWSNHHDYQPFLRCIIVGHDNLNKVNKTNDLFKFYDVTKGS -VHRFNNFLFIPISHLKVRFSKLWMDGSNVDIKTLNMFMSSIVNQDMNKTQFVQTVIRIVQ -LKMMLIKSKDKILDEYANLFWTLYRSTVKNMKDKRRDYTDYDLNTELAKIDIIVPTTIAK -IQPHKLNTKNIFFIENDKGMKDQILNQTILAPFDQKAPEEMKLDELREFYLSGRSMIFGH -TVTRKNFKADRVYNRIAVTQVPISTDSQKFLYKLISSRHRNYHIYDVFESVVKYMNLDNL -SPDFQMKILLKMCKLQFGSMDYKGLYQLYMKNNKTDADYEILALSSQNEMVAFGKLFDID -YDFNLFANRQYIKMLKGPSNITKDLDLDDQGWFARMKNKLLAPAYKVADKWEKAGESITN -LADMATETVNNFSAKLSDLGLQNTCTKAVFFDSDSIKSCYQSAKALVVALFEDVLTKICN -LFGVTYERKISPYTLFMYYLIWKETDNKYMRFLLLIDIAVQLGIADLIWELLVAVYHKCK -SMLNPTNITRNLEKEKYLMEQAKTIQLMKEDTMEKRKQLHKTEQNSPVDVQDEVGFMDGI -MQLLTDATPVVLGTVAVALLGILGYKAVEEKEVNIGKKIVNIARNMSFLALGIGSIPKVY -STFMGIFKYVKEWITEKLSSNFKSEITLENEAKKFLKTAIYTPGASEYVLFSDLAVCIKF -FEHYSQALEFSKELYRIKCPRIAAELKQRIKDITALNTLAMSAVAMQLKGIELVHIQYFS -EPGVGKTDLMLHTVEVADQIRIKVENQMRKEIGLPEVKTSKIPSSGIYMANENTTHEDGY -HGQKRCIVDDKHVVKNPCPETIVDMLSKVSGTPRIVRKADLNSKGMVRGVLTEVSATNVP -FPKFDGMYKYEALWRRRILVGLKVKPQYQLQNGQVDNTAILKDKLDRKRGEHLTFTILDP -VNEGLVPEQSWMSNMEAADFWDVLQSKVEVHFLREGTRQYQNPTMAELRHHFEAMMENIR -TQIGQDAPKDVHGTIAMMRGVIEQKVDKWILEDEEEKTFYEKNKERELAELDVLEIVSPY -MTPENDALMQNLIDLIPTMDDSEPLVNIANIFSSAWAELEYERRADGHVYRMVASNNMSR -MRDARVDYNNIYLDMNVDTQTYHMAYKSEKPLSTYDQEVVLHHLMKLSINDTKDKVMAAI -AIEKDKQTSYSMYETIRDKLGKTLRRTEEILKASGTWLWDQVSHYAKSFFTAAVHGLGIV -TSFFVFVTILDILAPKNIAYSRTNDRRVVTGPSISNISRTLEHNTQMLAKSVSYRMFIKN -GVDTKECMAIGISGSVFMVNWHAVCDITRPATVIIADNLTVEKDPVGCLKTLNIKPNQIK -RLSYKNKDYDAALIYIEGFRPVRAALNYFVTDYDLEDDFINFTEAKFQALMLKDQQNSAK -LGVYSVKRAWVTSPWYGADAVDTSNRDIVKHTDHFMFEHNTVAQHGDSGSLVYHNNTRIA -PKFFGILTASSCTKTYFGCVTQEAIKNTLVKFSKESTMTTIAVQGEKIENIHRLYDVFEY -PEHVYVSHLPNQSVSTNPGYEKTPIAKYFDCQTQPAVQTENDPRVPVGADHFLKASLNKS -IDYKPNTFTFEEEKFYTEFLRTMYFKYMPYVNKVRVYDTVRAITGVMRMGSTSIDTKSSA -GLPYKLEKGVSGKRPFIAYNTTENCWQIQERVFRDVEMYQKHYSAGFVPYNVKLEFRKRE -LVSQEKVTRSKTRTVGTGNMCHLILYNKINKDFFTILKNSWLENRPIPFVIGLNMEEHTE -LFMSFFVNKNYILDFDVKSWEQKVSLKHLLLNNRVRTTIISDMYKVRGEKLPFDIGTVGA -GIAVDYMDAAVAFEDVIYAKWSGLLSGHPGTLVENSEAHLGEIALIVRDILIRAGKPELA -TPTKILNNVTTMVAADDVLMSISEAWLPWVNLKTIVEGYNRRGFDITAADKSQNFKVNNI -FTAQFLKHNIVQDEEERYVHAPQSEVIHQLLHWVRTDTELTKKQQFHTNIDNAMRMAFFK -GRDYYDQIKDRLNLALLDTRDKEQWPYSYEHMRDKIYLNFRVGSDPVANAEHDDTEIDFR -F ->YP_009552075.1 hypothetical protein 3 [Yongsan picorna-like virus 3] -MGFQHSWRRNSTIMYNSQTIADTNTTLQIKANELVPSIETKQESLFDRVVDSGYTATINT -PVLRNNKNAIVGVNLCGFIPDFTLKDPYWSQMQRNMAAVQAFPNSANFVHIEQDMQILPV -MHAMYSHRLCSGTINVILRISSNTSQTGNIIISQQSGLIPKLYTVNENYQGARFQNDGHY -TADPMICNFTIPDVSLIRQVGIRTVFRQPVEVVDIQKKIFEFSSNTPPSVDAMSQFPEDW -LMIGIVSNLPDSAASQLYIRFLFDYSEVSFIAPMYPMMPAIPHNPSQQILQHSRTFVGTT -GDRKEMAYFLPIAQTTFSDRIMEAYKKMKARQEVDEINKRAKDLVIRETNERIKHEAERR -RMAEEAERDRLRQEQEAGQTNSSQEVDPRTTSDDTPRQMTRDQQKMSQIDDTRGNIIPKK -NSGS ->YP_009552074.1 hypothetical protein 2 [Yongsan picorna-like virus 3] -MQAPQINMEQIVTTNPIPVSGTTSTVPLSIEGTATAGTSQNNIVQMLYEPDILQQLSWHG -KFTINQTQSRGASVFTWDFAHLFRTNEQLLWVSGSGKQSVYKPSIDYNFVRLFFARMSRC -NFFLRLIPVKVADCRVSLNVLFDYASRDTVIKPVTVTTMSNDNMLILLDDPKKETIINVP -AFWLVNYIPNNMGIAGTKYMLNSFMPTTRINFTISSPYQPNLIQPDSFEVIVEFGFTEKQ -ISGYSSNTSFTYYGTVPTTSEPRAWVFNTVGDVIPP ->YP_009552073.1 hypothetical protein 1 [Yongsan picorna-like virus 3] -MSYLKTTEEINTTYLVGHPTQEVETKDENMPILMTAPISSYLARGAPGYQQFGWVEYAAK -EKDFATIEWPLTETNDIYLQIIKMSLFDHLFPIVNKAQLLFTFDYLVCKIMPTTNANFQG -LARICYYPFPDDQFEKRNNITLKEPATRRVVSLDLTPTDTMPYIMKIPNNLPLHWYKIGD -SYLMNYMLGKIVIQVISPLATKSALTKLTYTVRYYFEGFRTSANNVT ->YP_009552018.1 hypothetical protein [Culex-associated Tombus-like virus] -MSIYHSMPDLTRPPPELGTIRPIQDGMMPRTRTQPRPTGFISNLTRGIQNAANRAYDWMI -PPELTDAENIERADEVVQAWTRRQETEIKARRMDIDNETTRRTAEIMAQPLEEGLLAYLN -GQTRGKILNKDYVPYLVKLSNAYFAEVGQPDHILREKVMQDVIKKHLDFRLKDTPFLTSR -TDIMHRASYINALNQGKRITYPFWYFGRPKVETIQEWSENESGPYDSPRPYSYSRIILTT -MGWVAVGWTAKYLISRLYSNLTSGTTTQLAVKQLPLPSGTGIFRKFFPSTTPQVSTNSTD -TSGFTAYILEYGPIKSMLVACSRPVKEFATKLP ->YP_009552010.1 20 kDa protein [Rehmannia virus 1] -MTSHNFGEVNIVVPSTRSGDAIVLKSTPLCDVVLLVDADRNEDCLVFLEDSLLMKRGKSS -DELTCGRDLTMVKIEDCMAEYHSANLRNPRLLPVANRHSNCLSYVDFILSPVSSRAVFRM -NLSNILVDDTEVYQDSLVKLDGYWDNGAQHQSMFQYLSNFINYEKYSIDSFECFLV ->YP_009552001.1 P20 protein [Arracacha virus 1] -MKYYLNKDDIMMLLTMANSLYQIIPDGTTDSGEKIETFTQLQIKQSAVKAYRMWMRDEDP -SFNDPQINTVIETVDELLPKIQAGLRELMRPSLCEDEPQSILRFVVSEYMRATQSPAASA -NTVPVATLAKIAFRSASHKFRLEVTPRWFTRGVILDTKTKLGPYLQGYFNTTVEELLK ->YP_009552000.1 P21 protein [Arracacha virus 1] -MNANRSKLGFSFATCWNAPDDKNFVLVVDECKMFLLQVTEGSNESADGNQLLVHVLGNSI -VQDVESDRINCVTEILDCELFSRYDIITYRIVNVYTSTSRRLRYRFDGFNKTTQKMYWRN -YVFEVIMRRENEQLLDSTSIEYVCFDETASRCESLGHYLRTAINSGYSLLSFGVKDLRFA -T ->YP_009551968.1 ORF2 [Grapevine virus J] -MSTEVNLGLRFNVCEPVDVNTNKAVVDYLRLCAVNNIDVDEAILGLDGGSRRAELLCLGL -SVGLSSVQLSGLGTRVFISPGLRDQRLIAIELLRCLALRYPILPIDLGDLSGPVVVSSGR -GQLSCTWGSNKEVRKVFLSGDIGDGSFVKRAFQTRITVEIWQGR ->YP_009551961.1 RNA-dependent RNA polymerase [Gigaspora margarita mitovirus 4] -MLKTLLLYSKSKPILGLALPNVSFSTSAVVSRKFEVWTALAKKAGFTPEEVLDASTLGAY -VVVDPMNRTTLLALSPQAYQKLLGQVLSSEDSSLILLFSPLDESAPDKSKALGETQNSPT -LSLYTTSESLDKAIKSKRISYGSTYWTRGFPFSGYLGIGCKYIDRWLSFKSTDVFSAMLQ -RWCTRLHFWAGMSRSRQFRKAVYVMLRHLVKIHKASGPYGLALYLKGSYLIVLHYLSGNK -VKYSFDVTGVGIRLASGLPAFLPTEWRWAIRVKSHRVIRIVLSILYTYRSFYSEPEKVKV -VDGQMQTPLVGIRQMPVSFESFTGDLSHFTWFVRRILEPRVAPFAQMKLKNILRVKSLPA -LYSAGPLGPVGVLAYGADAYAWMARTDQESFTHPISWLRFLGYNSKVEDIRTWADQFKRG -IYDINYSVSLPPLSKSQKRVHNYLEALGTGNIKGLSFPRTQFNLAPMTSREAFQLEEEAI -PIPVGRLHELSEPVGKKRVIAMVDGIRQWLLKPLHLSLFNLIDKWFGEMSGIKSQSESVR -TFANEGYKDIFCYDLSAATDSIDRRLYKPMLDIIYGETFGDRWSSLLCGSPFRILGDGGK -PFSRVKAIQNGFDVSYGRGQPMGGYSSFACLELFHHLVIQYAHYLAKAIPNIMVPFKSYR -VLGDDVVLADKEVATAYRELMSKFGVPISLSKSLVSNNGFFQFVSEVFDNQIPLSPLSLK -ADYSHNTAESRIMFAVNAGVRGWFDVDKTSSLSFSILRLVCNKDQYRREVERIEKGATSA -LSHRVISALCIMFPQLQRWGIGNLLSSVMESNKPGALLRGLINPIKETEQNFTFVILRTM -LFKEYSRIHSLVTTEMSAEARHIMSHHLMQRFESKLDNSVQNWLPTISHLANTLWDAVPT -EDWFKEAFQLLRASYEIEPVITRVNDMARPLDYIIEKILAHNKFKRVERIDAKDISGISD -IDFCHKFVIQTYELIKKDQYYLNVLSGLSSPYEPVLLVSEEERPSGCT ->YP_009551960.1 RNA-dependent RNA polymerase [Gigaspora margarita mitovirus 3] -MIRLLINGPVPFGVTRGAVNLSLINLQNALFSTSCVILRKYEVWTNLAKRHGFAPAEVIE -ASALGAYVVVDPMNKSSLLALSPMAYQKLLGQTMSTENLSLILLLSPLDELERSAAEASP -EATKSPPLKGFVNKISLDLERLKERIQTGRLTKGVRWPSWSLGYPFQGYQGLGCRLSDGR -LILSRPSEFSAIFQRWASRLHFWSGSSRGRRFRSSLWVVTNHLQTVLKHSGPYGLALYLK -ASYNIVLRYVAGQPFEHAFTQCGVPVQLQNGLPKFLPREWRVAIRSGSPRLLRIVLSLLY -SYKGFKATKPKPVAEIFKNISLAAHQPDDIIAFSKFCFEFLKNRISPISEGELNPPSLPA -SLKAGPNGPLSALAYGADAYAWIQRESAPYQPSVPGPLEWVQHFGLDKWEKKFRAYSDWF -LKRGFNHQFNVTFPPPTRKGFGVLKLKIALGLNVPESKSIALNPKFAFHRRTVSYTPTRV -EDVEALQCLGLLLPVGRVHVLWEAAGKTRVIAMMDGLRQALLRPVHLAMFRRIDKIFGFC -SGLYDQSGAVRSFAALNNREVFSYDISAATDTIPYQLYFPLMEFLLGKTGAVLWNHMVRG -HPFIHLEGGDEPWAQRLAGSSSYYYERGQPMGGYSSFAALDMLHHLIVQYSAFEAGYDTL -NKTFDNYRILGDDVVIGDVRVAKTYLNFMRTWNIPISENKSLVSSKGVFQFLSEVFRGGE -CLSPLSFRADYQSKTFLERFSFAATAVSRGWSSGLAMEGFGRLILSKDQFLSEIHRYRNR -RPSAFIYSLLFALVTFAGEAGWALGSWLHNVVAHDPFPQLLSNKELESVESKNFVFTILR -QILFTEAGRIHELQKAESGVNQTRQFLDFGSIEFHNDIENDVDSLWLLAREGKTLLESAD -VTPDWVTSAFSYLRKVSGIIPRLSATGVVHPLDYLVLMKTTEDRNNIHKVNQWSPTNHDA -EEIFRVRMVDTTFSLIKSNPYYHEVLTGISSPYGLALRPVPEEGRPSGSS ->YP_009551954.1 P15 [Bee Macula-Like virus 2] -MSILASSLLSPTPITPASMSTSWNPLTPSLRASKPPSRLLSLYAASFALVTLSLFPTSDA -ASPLALLAPTNNATAIEPLVLAAAPTPAQNKILIALAQGLIEDHYSVARAASTAFDRRVY -VFEKEPFFSRKTVLSFLYASHQFYYRNDKIGLIYDYVQDAFPSSSELIQLYPSSHVSEDE -VGVYVTP ->YP_009551947.1 hypothetical protein [Grapevine virus G] -MMEGLIKDRFSLEELVNIYNNLVISDIAIPDDLDFLLRLGSSDSSVVALRNYLLGNHSAQ -VQSFSYSPTLVTQPAYPELGDAQRLTELLGFSVVTSENLKSLHRFSGGNLMHLSVLSGQR -VVLMNYKLHRINLSCPHIHHALQGIKIISNCLFLV ->YP_009551941.1 ORFX protein [Cacao swollen shoot Ghana L virus] -MIQTKEARRKYGKNQLMAMEDFSDITLCQIATMIRRRSLHQDGEMNQKPLNHLGRKKILV -MMTMMKAGNLSQSSTMSTETGRMNGNNGNHPRKEKQRMRKMNSKGPLNLLGHWN ->YP_009551929.1 putative P6 protein [Apple-associated luteovirus] -MRAVDSWRVTHVKNMPPPRGVDCLGLRHRITSVHVLGLSEVLWVVEACLHIHTCRWKGSG -GPVHTLHGVVRIPKRTSSDSLTMNQSTDCGYSRR ->YP_009551928.1 movement protein [Apple-associated luteovirus] -MAGESSAPHRGWLWSPVLEDDEEMVDQDLELTELEVPALHGRLRSSLSQWTTYAPMQAGS -LSSGPAYRSALQFQAEYSSPTLSIKSSVSRSSMSRMPPAPLRAHSLLRSTLPALEAPLTL -GSSPSQFPRTTPARLMRLSSRDSRGSLPLTTNSTSSIRGMARQTSLGSSSSEHE ->YP_009551924.1 putative P1a protein [Apple-associated luteovirus] -MYTRDSRCGCGSFRGSSHNTMPSPTCAMGTWTTLKSLNGNFKPNSTTPRHRCCWRRSICR -QCSKHLRSLGGQRQPDLLEPFLLFLSKHGLSVNWQMKLEPGKELTLVVTPQWMCPAPVPF -LSQICPMKTSDSSYLTPSRQILNTLSCSPPGMKRKNPGYLSCLRAQKNISFKSRSQHSDK -PTLLKTLRRLVPSSPVLAWITQCVSRRHLMLMRRRRAMGTLGGSSTPSRTGWPTSRNARP -GERKPTFLLTRSAIGSVPLLRLLNSTPCVTLLKSQLGSIRTSTKMRGKTSGRRL ->YP_009551906.1 hypothetical protein [Grapevine virus H] -MDLADFIGKTSEYSECVTILDLFNLRDLAVEQHYSSLEELVLCAHWEGGRVDHHRALGCL -VKALGNKARGLALNYTLFAKLGVREPTIILDHLLDYLVCKRRLPPIDLLDLTDKSLKVSL -HGNSLSGRLIYNNQVVVRSRFGTGVTGQRLSSLDKVILGHAE ->YP_009551902.1 hypothetical protein [Nephila clavipes virus 2] -MSSLDEFAMRVAASARAAEIKFEKCRNPYYLKTRIELFDKLGDNIQSFDRFQQGIDMEQL -DLNPVCQYLNASHALIHFKKADLKDPITKRKLESASTRAQELYTKIFNFRDFNRFYNNFD -LEPLRKYTAYQLLELIQLVPEQYSYELKVLWDTPGAIRYLVFTLQILLDVFIEALTFRDA -SLCMLWFVPVNKMDMYLSDVRERYYYENREFENKKVTHKTVNILTLPPNNELANYLDDQL -TIAQASCFSED ->YP_009551901.1 hypothetical protein [Nephila clavipes virus 2] -MEFAENALDFFTEPLLQNLIGLSSTQENAPHLLSTVINNQTALNNLDTNVSTNLAIAHSN -QQNQLALQGNALDSAKALQSSQLTASSLSQQRMYAQQNLQQGNLFQFQKNQQMQNQDFMS -NQLKSNQDFQTNLQSSNQSFLRGQTALNAGLNFGGSLISGGLNYLYAKSLMNNQAELQRE -NFDYTTGKAATALTANGMPSWLAYMPGSASNMPRTAQATSGNNYFNSQLPGNTSQLLWTG -SASQLAFGVGDAPMGS ->YP_009551894.1 hypothetical protein [Sarawak virus] -MGDHSIRCGILFGVRGVPRSGKVFRVWLPWRFTHSFVHRKEANPVRRRYSGCAXNHWSWV -VGLGSXCFLALHLTPGLAISDIPLCDPRNCRVSCTTTGHPLPWCETGPTVYCYSEGKECK -QCCPSALPPCTPWPSCFFTTTLSVPAVTTPTTTPRTTTKARSTTTTTTRKTTRTTTAYPY -TTSTATPSAPTLGLVRYQKPKPLVFHHSHEVCNPVGCEDIVPIPSLGRPYPTVRQAVSLH -MPHPLMGSYWVPWGKSDACRVNVSISLGRHVGYVYRGNQAGCFELVVTGKLSSTQCVLPA -HCGAIKSTVVQAGWFSNSVLCVGVGPDRPPTLGGLSVPPTFYPYYTVEFDAGPNHFSQRF -YVVFDGLYRLIPKWEIDNSVVMALNAELVVPPLYETLDGRFCYKGPVQDGVLTTGGCFAE -TLDQLPCACRLPTLDGPEPAEDPTIRSAELQAVWMFARTEDGVRPLFGLVDESLQTHCSA -YLTTTTYISSYLKSFVAHLLQAVWVLVKYVWSCIVVLIDELAAFLVSKRIASSTVWTLVS -IFLLVERGMWPDKLLYQLLTLTLLFSGLQSYLIRDSV ->YP_009551705.1 GP2 protein [Rodent arterivirus] -MWPQLCIACSPMPLKSFLFLSLIFFFFLGSCSPSQLPGGSSSSWFSLFSLRPAARPVVLD -MSSFQKMSDDAVRHCAAAIPPWLSHPLGIAFNGAIVDKMKRLIARLSMANYQGRVAWAEQ -QLLSPTLLRDLSNKTIVKHFVVMAGLETGLCQYITANMHRVMIAASASLRNMTITKNETT -GEWLIHRQQAPIELNHFYKWVTWYRGSIFSAVSAALTLWVVILLRIRRR ->YP_009551702.1 hypothetical protein [Yichang virus] -MLRFVLCTKSQRRDNPSTTSHYCLITTEPDTTLSQQSSPKTVAERTRQVVRKITSIITCT -LHTVTILYYITSVLYYAICIVLLYLAYYYLKYIYGTMTSYFISEVDYAH ->YP_009551690.1 matrix protein [Merida-like virus KE-2017a] -MSFVSMLKGKSSPSKRSPSTGHVPFQGRLDMSLTIFTDTPYSSMEEAFLHLIEIQDYISE -TRDLKGLYLGLIAVSILFSKCDARGSGVMYTMDLKDLVSLISTDSALASGPLRTFSYERT -HDLRGKTALVRFRLDFEQSGLDCESLLSVLMKRNKNKEVMSSSTSYLKLWRLKMIDYKRG -TLFSLS ->YP_009551689.1 phosphoprotein [Merida-like virus KE-2017a] -MSEPGGESVQTTKSEEEIRAESFYSVGSDLPQESVSCLSALLEYDQEGLEKTFSQIDLED -PLTGEGGGGSVQVAVPWGKIPEEKQAEYLKLLSSYNIPPAETSDEESGVDDDKQSSGTPS -LPPTSPSLPSERGNRPLSPFLFSDEEYSEYVDIFVPEVLQDVSLRTPLMSLLRDVVRVMV -NSKNEPLYSLQKYDLREGTVRLARVGHLFTYCPEGTPIPVLEQRGSPKPQKKGIFATTQK -DLTAPSVRETTSRGVTADPIPSGSKTLADSASGQINQVSQTFEETWPSLEHSGSPLNLER -GVNVQRAVSPSSSSHYSQTSSVESNSDNEEEIEVMQETYSFMCPIYGSSEMSVKVFPGYE -VEPLLKLGLCPPEIFRSILRDRGLLRALEAVLDIGQTHMT ->YP_009551688.1 nucleocapsid protein [Merida-like virus KE-2017a] -MATTATLIPSNPYEGLDGDVDCTSFSDLAAENGQPSTWEVVESTHMGGTEIQYTSAVLKG -KEADKPKLNHCAITGDLNKISHLVWERIKARTLTSAEAVAFLWASGKEFSGLIDKPWKSY -DVTILGAKEGGLVNVQSLLKSVPSTNKVLEVAATEQELKKSIPFLVGICLAPYRIIQGMK -VEYMDSLKQRMWAQIKGLLDPEIKKEEAANFDFSGWLDDRSDWSQEKDYGVVVAAYDMFW -CKCKGEKSASLRVCTIRARYLDCTAFMGWQYLRNITKLPFLELATWIWDDSMASEFRQIF -KKGEELDKEDSYLPYLSSMKISRKSPYSASVNPYLHMWIHSYGCLKSTTRSYNARMVGEI -NTDIPLRNAVFMFVAKGQGGDWKRQIVYKGDIGHKQTFVVPDGELDTKKGKKRDKIPLPP -KPEARYWHRYIMAHGGDLPPHMWESVVKALSSIPNPRSQSIGEYLRRWGLNQQLIRTG ->YP_009551560.1 coat protein [Diatom colony associated dsRNA virus 13] -MNSFKVESDGSVTTLPLEVGVRVDASHGSGSFVREVHSNVNFQIELAAEVENGLTVTQSR -GGLERAVMESVDVLSEGVRSSVARLAPTHYFYEMRSVMYYLGYLSSLLIAGVDWPDELAD -IKGVSPMASGVNSSDFVYNPKGGNTREVMYVLALAARGVGKKRMFVYNEQFSPASKPRSA -DARRRYLHHTIRTVSADAASCGLYGDHMFAFSRGLSCGLVLRSHSDEGGWVRKALKQASY -PTCSGMISVNPDDFPLLPRFNTVQTGKNMFLENCVNVLIETAALLSDSDPALEVSVSSVM -YNRYLNKDGSSNYDVGDRLHSRPSAFDDIAGVLHQMENYCVKYLNIINADTPSEGCHGSL -RRGTFEHYLEEDAVDRHFDLFEFLPYLYVESAVVLKHEGCGRAVGPKAGTVRTLPLFPSA -PRTMASDCSGTTRSGKHQAHRVFYDYKDCSLRGMGAFYMCAPAWNGGRDKGLGSMKFYSR -ERIEDCVTMMRRSEQKVLGEMAWIRSSCPVPAPGEGRNWGGKVALAYFGGLARAVRTAST -EDVHLYTSAPSIRSVTRFGAAQCSMDRNINAKYRQYLNDFDYEMEEMIEDFLFSSDVTPV -VRNVVGIREGTGSRPDQPEPHGEVSSDEPDTRHVAPYATVEQSPTSIEEDITSGGAVDGG -DGS ->YP_009551558.1 coat protein [Diatom colony associated dsRNA virus 8] -MNSLKVMSDGEVTTFPVEVRVRVDATHGDGQFARETHADVVFQVELKSEEEMELEVTPAR -GGLARTASDAVTTLSSGVRAGIANLAPSFYRHDLRAITFYLGYLSCLVLAKKQWPDELTS -IKGVSPLASGVGSGDLVYEPRGGGAREVIYVLALAAKAVGCNRLYVYNEQFSTASKPRST -AQRRRYLHITLRTVLADSASCGLYGDHAMAYYRGLNCGMTLRSHTDEGGWVRKAMAKASY -PVSSGIVKTDPDEYPLLPRFNTVQTSESLFTNECVNMLLEVSALISDADPAHEVNVASLA -YNRYMDKNGNVLDGDVEAAHSRPSAYDDVEGVLHQMENYAVKHLNIIHADAPSEGCHGSL -RRGTFEHYLAEDSVDRHFATYEFLPYAFVDAPVLLAHESCGKLEGPKAGTTRVVDLFPSD -SRVMPQDVQGVTRSGKHYAHKLFYDYKDCSLRGMGAFYLLAPAWNGGRDKGLHAMKFYSR -ERVSDCVTMMRKSEDNLLGEMCWVRTSCPVPAPGEGRNWGGKVALGYHGGLGRHIRTASD -TKVYLHTGAAEMRSVKRFNTTVCRMDRNINSHYRKYLNDFDLEQSEMIDDFLFSAAVSST -PRNVVGVREGTGVRPDEPGVYVDSEPLEADTRHVASVATVTETPTPREAESGDGTVDGGD -GS ->YP_009551452.1 PSCNV polyprotein [Planarian secretory cell nidovirus] -MCDHTVYQRDAINEQNLIRKDGVQKQTYVIIYDDDDVQNGSRLNEDVVSVEDGKLIECAA -HFDALNSINQPHLKTKETFIIVRDDLSDNEDGDLFKRAAHDEDTDSINKLDLDSTANQAS -KETKSHKSDKYIKEITNDDDDLDFTAILARALQMERDGVLDTVLNQDTEYILHDIDENQS -NVYAFWTIALQDELYELLCYMYYNEGDQGDIAYERAMDKLIEKQNEYIRYNLMQLLVDQL -RDIAVSEKLRSEFSLTKTFNFIISKIRIELSDIYNIYDDKKQKGTSIKFGDVSVKSFDKT -DTPASIKNCISYTKPIDDDEALQQEYIVVQHNIQNCEKQEYISLSAINDYIRTEHETYDM -FYVEPCATAIITCELFNDIEYQQASVIMTYIIQNKDNKLIQCISNTKSTFLGKCTWCGNY -VPVDVNLSVICMLCQVDITKKPHNIPQYLDVILNPRLGHHSINRDNTVNRYLNPFNSFID -DGTQVQYTFAWLTIATKVFECLMTKWKQVNSILDKTILINTDSFILLDYVVNTIPSYYTN -METCNISKALHRLGIVYIMMRRDIHKYTVEDNIVKEIIEGVIIDDILPLVKSDSISTLDS -EEMYIGTKSDKSQKTYKSLCVSLCNAINIAKSDTYMTIYKHYCIYINDNIYTPYDIYSNI -NMDDVINLYNNIKTWSVTQDDQYDIIYDNQDLQIIANILKLTIKHNYTVVTIWDIVLNIF -NDKDIDVIYITPIYYLNNVRISHAQLREFAKLYVRTGKFDVDKFNKIHIDVLYDYVIDII -CTTYLKYDKIILTTPDVIYTQIKLGINCFGIDVITKIAKNYLSIHKVTSEISRLINSVIQ -LSACRQVYHVIIPTYHQNIKICFINAYQGNYTIQSFLHDNTVADINENIQISYIVTTNKP -VFVNTDLLTAINDTRIITFSTNISVQDVCEYIKTRVPYAIMDFITVWYPEFDSVMQSALK -PVFKIDTHEDSDRFFTSNKQELDGCLIPQCLGRDWIYNTELIHENTDNIFLRLLWNVTHN -LITKVFLGDTIFGDIRIQSYRRIRMKECTLSVITHATITVAVDTIYIATLVDKTADMPKY -MRVFNITGLAIGKITGIVNSVNYHQFKANDTIYLGIPSISFNGVFVATTIIQFTNTNVTT -HLAFEKPHRYFCMTPDGLIYIIDMFTDAHVKFDTNIKKEDIELCEITYPKQEANKIQQYV -DTNYIPDLSEDSYFSTIKLITKTSIKNITKITHDHYKLTTFLLIILYVLIRIGRLDKMMM -ILGSLLLYSVIHVDHMTIQELKRIKLGHVIFLIGHSLLSYQFSYLLYGDEINARPVLYSI -ISLIFLILLHIYIYKGGTNWFIMAILGSLTFDAKFVFQLHFMAKQTRYYVYRERKKTVFK -TAHGLEFIMNNYVYPLTTDGVCSLCGVMFHNFENCQMETNMLPEILEATSSDGNIDVINR -IISGLTHIVEVPLESALQVKKVLSRIKPEFNRLRMKRLHVIKLTIFLIFMALLTSTYGQD -TVLIPETPTPEQQEAIEYYWKELVDNDRIYLAGLKCKDKMIEETKLLTRNRIIRIAEILE -IPIELIMYKIPTFQHKIYTKEFVLTNCQSNGYVRNKYRLRNKKRVNTSISNTKNTLDQTL -PIEIKIKYDSTDQLMKDISQNGKLDKQFVTDNACKIYTSYREKFYTTTSHDKKYCCYFDN -IKRILIDQTIIDVVKDKYRDDNGNRIGKIVITGKTVLTTLKQTHCKISYTEKFYMTTAHD -RHYCCYFDNVRVNKSELITATYMKQPNLPVLNIEINDTKIDFIVYNDPILGKNIGLDVLK -RLYQKFIATQIAELKWTPKVSIVDAKDFVHTIYPQFNLDDDIAIYINAQINIDDSLFILN -KCDYHSYSIHYCRIAAAIKLPQTFFTKTIDHKKSIDIRDNVKIFVYLDAIINHIHTTNIL -TYGSNKYDLMQTIINADYVSQFNFVFADIDEIIAIFRTIPLYDNILRLLELPDIIDYGQL -IKDIQIQFLNDISQLTLKDIDIFREKLYDTYINIIKTYIDINTGKITDILSIRSYYLPTQ -YTSKSTSYTKLFNREYIETFLQHYNTYICNESVIYDMQLYDNILTTIYYNYKQPINKFPF -IKCCTRKSDVIQDISIHKTVYFCPKFDINKILSSLEKPNCYMLISPIIESQYCRLHLSYT -HAQIVNINKTIDIMYHRYRQDNALYETVRNKSLYSDKYKDFKKWNIPVNYLRNTIIKDDE -VTNFTFSLPIIQFVSPSPADVIKVYATTFTVETERYTKLVSAYPNLWDYGLDLYYKCHNC -FGAVKNEDYVVYFGSHAYFPNQYMTAEPYFVDHIPSSNDMPLNFLNFNGMYQKSSATLLR -SLDHIYTKYLIKKDPKRFNSSVLYSTNVCSGAISIAVHNILFKEKIMYGEIHPNCMNITR -VKQSHDLLKFLIQEDVQLTTELKRLNIPKYNKSIYETFSRRYEPAPLNTTNNDYMFGIYH -AHDAFVAYRFGEQYNISNVPCQKELGNGCCWQSYDNSLNLYYYNCLTTYSNVTYTFDYNI -QMSKFIMRRISVQNMGNLKTLISDPMSERVHPDFVNDFDNICAPSNYVIPTYAGGLHHSL -NCYEGHGINYKYTGLRKCFIPQVERSHITVDFYDDKYITRTISGFNDNNNLQDKHCSNID -NYSKVKSMFGTLFRNMGNCVNYIYCKLKTNYFDITPYCLQSLYEYKAKINTPIEAFFGIS -GFISQTNNLQMYYIDFMFNYRNLITQLLNKNSFEEIQTLTKLDVSTQMTMLLKDGLYLKI -TDNLYSTIENMTTQEIFTFSHFVKTVFFISEDILVIDARNFTCEKLEMTITTFNTNSSNI -FLIGQQFKYKNILSIASDRCNVCALRKYVATVQYEAIYTPVKYQNALTFLEIKTSDYEKM -GYSTINTFKLSRNIFATLYAEYFNLTNMIEGIDTVIEYGKRVEHMFLYHKYHIQPNVHTK -YDDKPLTRVCSTTAMDVYDYDEFIGYLRAINQDDKIREYTHTYQYYLINCCINHQICTLS -IFDYYKMLQGNKPTDRAILYKYPIVTNLQQSTNIIHLEDAPPFKSDVAKQQYLNNFDHSL -LTPHDYTFYKNRKYRMARDAFAVTSMITAVIATTRTAYNALQQTTQPQDTTIVETTTIPI -NIMDIDYNNLYYAYWPATPTGSNPGKCSKMLHVNLKYLLHGIWPIRPIGAPLTSYQYTEF -VTMFSKYRHPNFLSLRVSEMCQSGFAFQWHELDKHGLKFGFKPEIFASMLHKAARLEVIH -PKQYDYRIQQITCRVQYYQKGIYLNYIIQVVFGSAILSNIKATGCSDDYNYYLHSTLIGP -RAYISTLTMSNYYNALRNNPPIDFSIPLDNKSPGSQILNEEQKVTITNNEVVSLTFKDDT -SIDKNSVFKFDSDLKPNSIYVQIGNPLFVCRVDRTYKDLNIRCIARPWLSNIFKQFFTSK -KLISTTSTDERIMDFYTNNDVQIQPNNVTLELYFDTDNVTDTLRFETKDEYKGDSNRYDD -LIQNKTELTVSDNYIILGNYKSICRFNFYSNINITCVSKNTTAITNTKIANKTKNRIHKR -ILYTDIDNMLYIDEIAKKYILSYKHDLLKLANVLIKDKNIYRLYHKYINLALFYNYNYGL -YLKQKDDIYRDFRLKSIISDQIYSLITNTLQTQPHIIITDKSIKFEVDESAMDNDASILF -RTIANTYRNEIVNIIDYRDGYIIKRIYKAGDLRNAYSPYTLTSTKEDIDITTLIAEDTLN -SISYPTIIRITNIGNFVTITPLFQYLLDKKDVIIIFDLEHCNNQHTIYLKQQCISNLLDN -VNSEYYTPQIYSIYIKIRYLLNNHYTMIDTRTSIVEMSTKLNILFNLMYKYTEMDFIGYQ -YNFNFSLIYQEMNAEYSLYYTYIQNNKYITLSTYESYYSFIIDYIYDLFSIFKNVVIYIK -LCLYKLWQTIVIRYNVIILGDDPYSIVTDDFGNEKIITLPDLGRQIGIEAEAPIFIGLWT -TVKALFYRLFYAIDALSNINERLHNIAIWQYFGYVDYKQEDVYVEYINKVTKDKQVSDYI -RIISGTMATAVKRDSLRNITCIDNIKSYTCKIPFVNINEQMSVSIAVYDVSGYRLSKWTH -LNKDNLSVQFESPINIVHFFNHSNEPLYVKPGFIDIKSIIHINSTQHTLCTLNLYGDEND -IFTRDISFKDLKCGAYGLTAGHGQYSVFILIAIFLFVSWFIYTSIVYCINVLNDPRKVAI -ISYISYIAIETMTFLVTYSFGCTILTYYILMILHISYILTAFSYNVLKLSLLITNILTCS -IYMLYNGITISYYIDTTIEIGDYILAVPLGNIVHISVTLMICYLAILIIIWLDHNKELRV -KSFASRNDILHEIQKLNINMSQGTLEYLQNRYNMSAREVRFHWSKEVFDKFKEDSYKLWL -VTIYTQTTDDNYLLNKPEYRVPIVMLHDPNVIVNKFTSIVGDVIQNKFTYHYLSKNDRYY -KKLKVIQDYHLCVLTNNMHNPQKQFAATGTIVCDVTTMRYYIATVNHFIETTIDEKTDKQ -IGLRNLIKDKISFNILINTKHVEKILQVEVAYSFKERSMIGNTAQYRDLVLLQTTPGCQT -QLSALYNDSPNRNNIMLQTPVFGYRKPNKNVYVINDTIQRADFTDRKLYIPNKCGFSGSP -IFVSASLKDKWCVIGVVASSAVYRNNEQISVGISLFPNYSNYNAEQQQKPNDQIDLYDKN -KIKNKTNDSDDEDDATCIFAEDNYGPENTDLERYYGQVKLAYLFTFAYLHELFGKQIKVW -HEIQNVIIPTSENNIYSKQKLAIIEMFIRNFEPLYDIIEDRALINKIFYYILENCTHSTF -IGAIPIMDAKIETLFTVRHAYGYKVNTYTFAREFIKTNLLQFNNLLSMDKSQRVFEYTTE -PLFIGNIITSLINQFKVSDALFYTPISTRIVKSMCNIAESVLGEDICHATSAVFTELYTK -IYYLTNYTITITAISAFLLNYIFAIFTYFTIGESIYTSFVTLTIHTHLILLLYSILMFNF -TDYKRIIILEFIYTLMPKKYSFYITFLYALYRLVFRKKSFIFTFCLYKTHYFVYGITLLM -TYFGQYGETQNLIYFGYMLFILMRMFCKTTNYHEKFISNIIIYPLEFTPIYIILSMIHLM -KKYAIYDIYGHISMLNEIWQLIFQFFLFGCLKYMKDLFKIYFTMHLSQIEQSRLIINIIL -LYIIIAIIYTYIRITYTYQQITQVYTFVKYVYVKYMYCIKPYILSFVDKNAYDNIIIKNV -NNVIRNVKTNLLDDKTTYKCHDNIAGYRKLLNTFLGNLYSIICSTNIGTYNLEVIPKIQQ -ALIQSSEDMSYVTIDTYKYLFKTFITQLRAYIQDNATTGQAITIKTFANMPTIIPNNVVT -LDQLIKVVDTLTVITKEDLDNYMIGINSSMKDSLIFNCIHNGMIVEDKLYQNYQYQQYVK -SDIWMQQQQQPLNIYEATDLLDIWGLSTVSRSLRTLTLSYATIMAIVYSPFGLIICNRVV -NMYNKLLYIIDDYVINFSESNWIITMENKLFIEDTCESTFTKYVIPLVFADLVYEIFCQK -LTKTKRFFNILQWMGILLIFVLYDITPLRLMIILLYGKLFVKIIKGLFVIFVKWFCSRTT -CEYVLTSISKNVDKLHQNYKIRKQRKKQEQELLENKKRELLKNKDIVKKDESVIVDTACI -KPQKQSKLRLIYTNFKNAIAINKYKTSLINGSICLTKAPYWTAWLNSPPPTFINTISEQV -ARTGFGLLLDDIVESITFIFNNISNDTTFYILENHMDKIKTNIAKLHQCLLQLQEFDDNI -LESLNLFLQDIKNKLSTMHLNSGDPVPKIFRQNFNTFVSDINKIRGDMQKCINKQLNDLI -LKRAQDKKNAKQVMQMLNEYTAEIMTNKTLATKRDRFNKKIFSIVAAIADIIAVREGKTH -QDRYNEFIKICQEQDVEPTHPNFIKYIAERWFNHDVEAFKGVKKLNSDSKSKVQEIIMEK -PRLDIKSETPADISTNIIKMNSDMDKCIKPVGKTWICKCLEECSIDKIYCTKCGRKHITQ -DEIKDVGQTKVIINDKCNIEQESNNVNTMFTITDENLFNIKYIDNRLYLTYGKDNTKICI -LEIIDPEHLEEDLKNTTYFRMNYLNNISIHTLSGTRLLNIDSVNNNKLRNLLIKQNVRLA -AQITALKNITVVDGIVILKTNTAVNLQLSMNTKMQVALLNAIDNKSRNKVKHHVWLLDRT -TAGIRETIHSSISFELSESNNVKKHYNCKLPKANAANDNQNEAINLLVAEQAYYEKLRAI -KVTKSLWLAPSLLMKYVDSRNAINIRDNKTKLVLVREGMSTYNFATIREKLQKTENTRQH -WFEVYEYFKIGHIYTKWAKTHKLEPQIENPHMNCCYECTKTLVMLGSKLLVAYLRLKDIS -DAMKTLDKYVVITPDNIGLSGLFDFDSLHNTETTTTPYSVMEAYWSGLWSRTGMGFLAYA -YYPSHIYEKINIAIKNNDTCKNYDWIIQIANDIYNYDDSIPDTYNAVISKETLLDFYRIP -QPTNIYDMIIENKQDNGEYCYSSIVNMSIQMIAHSKVDDYRGIKYFHNVDVNAKEINVPC -IGVVPIDKLNDSKLHEYYKLVDTSNIMLLAEPNSNTGREFTPIARLDVDKIARTPKPSSG -FVPNDILITQFEVCLDLTWNLSYTSGMDGLSNNFAYPINSVEGIENDIKRYRLTNGLCAC -YQELSFAIYMLQKQCNHLRNVFRERTGSEPSFKTITEIGNDPPNKASGFISSMLGPKHAI -QESLGVKDREAFIDYIFDNHIIQRSINQIIAKSANTAKMAQPRTITIVNLPAIELGRVLH -TDMRAAMIFDHVTGIYKIGYGTDKDWHDVINHYVRGNNLNIDIEELKNCEVEGFSFDYTA -FDRTTTGIQLFLNNVIELSQLDTTGRFDYRHIPRLAIQEYLMNVNEYLVYENNLYLKGSS -VSSGNAHTAPWNSHYNYFEVALAYFDKFLKMENHRVCNIMLDKIYSNIDATLRPTVHKLQ -TDLMLYMAEMIDPELVLRTFKIMGELMNCVSIQIIGDDLITNGYKDLVLTGHEMAETVFR -TSNALTVNKKILKTRGHVPPVDFCSQHTHKLVYKNGDNDPEQYRYISIADVNKTISSMLH -IDTRHDQSSDSIIEMQKYLAGFRLLLSHFLKDVTNKKTYAKLIKLHNDYIKLLTTQDVRL -SLDAYEVLESLSELDLTILQHKDVFDCLSTNAQIVVFLLRAYFELNIDLNSASIEEWKRN -NMEEAAIFGDLMTSTKDPVEVFLSVCNSMGVNIDRSSFITNTFIRAYNHVNTKWIPRQRV -EEIINERCDQIFNAFISDTQNTQKLITELNDTYYDISYIIKDVEKVIEKQNIINTGCAHI -YTTPCLIKTCVQQRLKKFNKKLIVPMYRILKTVTEHANAQNVQTSNVSIFSELLAHQTKK -QSYIKCIGTCNSRQPFVMCTVCKAPLCMVCIGIHEHVLYNDDNRICNMHNGRYSLCIGGQ -AGELIKCDCGYDDINNLYICTNTDKNDNKIKFACIQCHQLQVDGQYLKMIVNGSIEEANL -VNDVIFDKKFICVTYSVIPVAEKSRLLKIHQSICMGVDEMYANRYLLKEGDYHTLKWLYL -TCVELEMKNALEQHCYKLRRPTLHNLNEYEFYNPMKPTETKGLSTVNTDEYLLYDIRDGN -TIKVILKYIPSKPKVYYFAKALSTDLDIENLYLVNNVSFSVLKQLHDRLSILPYTPVGLY -NDIMRGCLTRSKTDDTVTQSISSVYSTSQKNFLLQYLGKTLTIIQGPPGTGKTTHAIKLI -DACILAGLKVVYASNSHIQVNDVSDKLADKYGDTYAIYRNVPSEFSEKAKCNLMTYNTEI -TDFSCITTTTMSGLSIKTNVLIIDEFSQISDFQLMVAINSMKPDRVVCYGDQKQLGQIMS -INKVKNDIRFSINDLSEIANNSVKDTHFLDTQYRMGTNLCEFISKTVYDGRLKSADTVNY -QCAMTFSLLDTKFNVQVESSVYNTTVGSQIAKYISVSGISYHADNLLIICTHKPQIQNLY -ECDALKIYITNGDGIVRTVDSSQGTERDNVIVIIGNTTDFSKNLNRLNVAFSRARKALHI -ILPYQLISINTTGNRNSSFLRSYLQYAKKFIIREVDMSNYQIPFTDTVTQSLVIVGSGYG -QCIPELIKQGYTIHEAIDKYDYSDGNCYTSIKFKQKDIFDDNTLLTYDTHVNYLICVNNM -HRAPDFIRRLCVVLCKNVREYVQVNKAPAVFPCVITTKLSSETVRAVEQLMSTITVLINR -VRYIAHIQKINGYMLIQYVSTATKLTHIVDDAILNSKDHCIYTYTKKYINSKLDTIDTSS -IMPIEYIKPINNIDTRLKKPKEQFYEYPALDGSPRSYFNHCFKYMPTYDRMILKNYNFHK -TSIIYTDSESVTSVRDPINRIPMVVQLGLYKQEDNFTADEFSINIVPSLSYFNDYDMQIR -MFKPDILYIPAMLDKLLGPDTYHEFEASAVKFKAALRTILEKLVTMCSGGRYIFGYYGGS -IDVVLFDYISYYTEDYDGSCEFVPNTHKCEHLNCTHDASFIEINKTFTRYFPSSIAQKYV -RDNFNTVTTKALCFEHITTGKQSETINLRLLNPIAIDFQHAISEEFKYAVVRNQDLHPDE -EEYLFDDEQLNLTQRLFKLGEVYNSIVKQDADNAHDALFDAKMLAQVVNHIKLPTPDEAA -YKGILTEVDQQLNFNDLVNNSLKLYQNTLYTTLGHNYDIIDIGCGAYKYKPINKERKYIG -VDLDLNAIDIQNTGFSTIVNAVTQTFALNASKIWDKYNITLDNPNNQPLTKDIAIAIILE -CYLPYINYSEHEDVLTSTDDHRNVNTPRQKIPYTHFIKCLPNIYASYVTTQKYRQSIKSP -NHLTDIEIETIQNRILELNEECYKDHIDNFLDYLHKHCSRNNFTMARTFIYNNVVYNDPV -FTLFTSSSDIMLHDSKFFRLNLQPSHEYVVGGSCTFINFIMLARYHDIPFVFTEPIAGSE -LMFSTQYTIENSTYKNKFYCHKHIFDVVKYSMLQYTKYAILDFDTSVWAQNVALPRGWIS -YMLTPNIKRHAMITNESTTYAVQDVAYICTCITSLLNTVISNTFNLTNTLREYIDKLESV -SAKNVNKTVSNCFIQHIYKFIKNLHATTNKNDIKTYMTLALDAPNNFFVEREKLNDYYND -RNRVAKLGFNTTNGNITKMGRGGFKFLTVMKAIREVFGKVVSTAHTFTIIGACTSQRDND -TQTMIGNDSYIAIEHATKLCGYNQNNFTYVDPNFYQITKPNVIQQTLSQYVETTKIKSDF -IYCDIFTKIDETKTPVVQDDHQYDKLYAKLNDVIHPNGTIIIKVTSTLPDKAWNDLQKIS -TQFSVIRMIKIAVSSLSTEAFIIMMGYKLPKSGELNYKSKLQNVYMKLMEDTREYGNEVT -GDQLNILLKVTTHTPTPVLVVSESDVANEAMILFFVKNAKRIIVNNIRPDFGNKKIVTNA -QLSIIPKNTIDTISTNTILNNIEIHNKQMKILTAQRCGRNHDPGTTSNMKIKTHWFSNYI -VKMLTFLILSFGFTLMLCDDFPTYIITTVIFLTKIYILFNNKDDKQPMKQQKKVKQKPTI -VINTETDIEDDMKDFKRILTDLDIDDPQYTIPQYIKFKEFLLELLSTDINLLNTLIVNLN -LKPLIEQYGIAWINRLMTDVVEDIKSQDIPLHLQKYYNLILGKLIPERNTGLRYIQHRFA -LNKANELYKKFTYVNEKLSDLTKGAIIIDVPYNTVHGQRARTGYANNTDAHDAWSSQEAT -TTIDLEVRLQPNTAHIDPRKALRSDIVILPKQFSDVNGLIINELMPSDTRNILTMFVTYG -HAYLTNAKINIKQLAQQLPMMFLTRDRDDSTPMDNAYKIYPMLDTPTRTKLSQRMETVIE -AIIDTTKWALDYIDVNDETILMKALRVVHPSVMLLIENDCNIGHTQTKHINVAHNKSTLS -VALSIDCLKEYGYFGIGTTYDKYIAVIHTQSLHVALLFKKYVSDIGRGINNLTKSITRCN -IKHPLVTLLDEIPSMKEVKEERELKYKFVKKLSSPIIKTGIDIPIERQNINGMLNIHKYL -YIFLNTICQYTQKNFFEYFISKMHMAFTDIDFKFTESTRIDLHFYHALLDNIHKNLLITP -KITDTFKILLSGLNDIVKDIEDNASRRYIEQIIIILTDMRDKKQQPVILIYTDKEGIARK -FTPHVDDVAMTITALEDKNRGYDEDQTEELTHFKTCIQTLRSNPQYQVDDNDSYDLLTKR -VIFKRQGVNHNVEIALSKYDGYQDILATKDIPTSHIVETDTQLFETISNCVESLLPPNSG -YEKMKEVQKSITVNNHDMLAKIDAIEKVNKTHKTKNGMRGFTMLSFMFLIFMFCIKTSDA -IIVLNEQNKISILKMETNSNSQQADIANSRHKRSISDVPQNVYIYPFDIDGTMCQGSAPV -CERNSLQIPICKSTKKKCFTTIDPILINFENYVHEYDHSKCIYYNTNDNPTTQLRTTQSI -TSTNLSTTLTTQPTTTLSPITTLTTTTQSSTTQSQTSTSQVVPTTISTTTTQSSTTPTTT -QSTTLSTTTKAAVSGILSTYTLPTTTTTMSTTTTAATTQNLTTTTRPIVTRFTSPNNKQC -ILPFYYHATGKTYNDCTDDGYDGVFRNKGQYFCKIQSYPIGDAGLFDWAYCKDLPADFVP -DRQVTPKRKKRSITINRDDPYCSDAIDCLSKQNNTLAISTKKHNYRHKRSIVDSSPTISS -IDFFRGTKVKNIIMTNEENDQFIFTPICALKINENIPQCVQAIDGKLLLKQCPQIQYTGD -KVIYRERKGFDFEILRLNDGYLSRALIYFDGLTGGYNTQIPKDCNTKIEICQSNDTKSCS -YTTLTKHPALGNYIDLPKHGNNLTINIDNVCGYEYQYEGFQDIKFVPKLSGPLEGLYSTL -PQQSNFLLRTTNIGFLGKFVSFKLNDTNLYNNVTTYYIQYTVNAFKYYWLFGTKDLTLET -IKQLVQSDVLIDIYVYIGLSKTQKVGYQIIRKSPLNYPILQQQSLFLTSYTDCSVISETD -RDLTITNATTITTMTKKYISEKTADLLKGGSLSTLQYYIYQRTCVLYDTVSTIQYTLSWR -TGTNDCSIRIQNNMLSIPYKSDMLCMFDYGQYAKDKDLQTLSIAICNNEYGCGKDIIYNV -PLCKITIAGVVYTSINTGGYFSACTDPYTALSTNRNYIIALFVLTVLIIIVIFVYRRYMR -HSTEEEFLIETKYKQLSRVIRIIMNDDTQIDTRRNALSKLISDLNTVKCVRFETVEKKEE -MKRTTKTLYVGQKTYVLASQLISVIETLLKNQMHIHTLLKFHSVHISVLTREIYDNFNAA -LQQFRQNAKDCYKDTDSLSDIQKPSVAKNFEEKFAKYQTFRNTNKAKIEEALLTRTKDAP -IVKISKTKSPLKNKKKEDVELSQTAINTVQQQSLLNTTANVILRTISRIGHDYAFGVMPL -HIIRMIFTKLPILLTLQDDVGDTPAMNAILKENYTEAQELIDLACQGGHSDMLNSQDTGG -YTILMFAATCASIPKRLVDSIIDQGCRLNTIDCNGLDALDHAISSMNHTVFDCIMKAMKA -HKNYDLLKYRSIEFIPKLHRAMMSKNYHALRVLLALKDGKQMRIDVNELGPGNTMACSFI -FQDDFLTETTFDICKTIIKNTVFESDFIKQQNYILPLMNLIETVDASDFELLERLNQLTS -NPIDMKPKLAIERPARPVTPKFFSPVMLSLLMLTICINGTTAVNLTKTIQILDQNGKLCD -KPECYDSRYIYNVQEKQDVTVKQIRCYNYAKPLVNDKYYDAICGNMIAEYVIGGILSIIT -LIVLSKVLFIIIHFLLNTIIIRCLDEFNNCLERTRMSKQKQLRNEVNENDDYKCNCIHTQ -PKTTMMPMVGFMLLFLIVGVHSQTQINETTTPVERTLDETWQLTTLILAARKGECTILTV -GKVETKFCYVNGSHIYQMNANRYFYEPRVNAYEGCECYLDRFNDKNEVFLKENRPCMQSA -GLCGGDPATIHGRWDCCDKCIKNIYLKMVWIGGAADTNARMCRQCFWPMHIPYYYAEEIR -FDKPRTKESTPVELLTTYVNTKHWITINDQYLLVDNFDKFYDVSSFIKSSNIKAKVKIAT -TILKPNVPPTVVYYDGKLRAQIGELPTNQLSNPLSYYARYYSDQPCPDGMRPLIDSTKEA -PFAGKDYGIYWANQPRGLLYSPPAHQTFFKDNELYRSLNDLIGKDIQEIKLQDSGKKYIV -PTNVTLRGYQCMKEFTFESVVYKDQCVATNVQGGYGWCYTQNSGAVKWDYCGTKDQKTEY -IVDNYIVLIDAAIGHSLLLSIGTKGGIDEKLVTVQPTDFKIVTTLCNFIDAKIFVNYTVN -CPAQGSYELAVDNLICQDLIKTCPGKVNAYETFFQCSYKKETTIKIKILTTPIVHDVNLT -CIMGFDPNNRIVLLARYNNVTITQGDGAQFGLLDFKFDLSTLAGKLLVGSLTLISTFIMI -GLVLLALYLYRMGFFTCSILSKCKPLFTKKKTVGPRGGGGRVFFRGGRGYNNNRNDRNKN -DSSSYQERVDRLDAIQKYCKNCHTYSQEDSKYCGQCSLVLSPETTITAQQVNLPPVTNPQ -DSQPSTSQLSSLSERPRNQSPNYKQRDNSNQRIPPAAREKHVYEVEYYARPTDTQYSPCR -VVWYEGYPEIDDVMNVISETVDHVITPRQYNQIVSTDISMGLALKSGFYPNVTLRNVFVG -AYMEMNKQDPESFPNKFRREGSKSRERDATPDGNRRQYSNQRDNSNAGFRNNRNNSNNRN -KNRDSSNNRQPQNNVQNAPQVRPSRRTKDIDYSSIPDLYNTITTNTEDYAVSSTIKIIPI -MTYREVPEGEVTVFSNNNTGMNNIFNWVTADLAINKVGTEEHLMLKDVNDDVVNNDLITK -NICPALKFGNYVISHKLVIKIWYLLSVFIIHDKDAKANNIKRPAWTYMAQHNGINKLMEE -AETKPLSVISKIFIYIIYWNHTPNTIDRTYCEAVLHSLGALLTSYSYTIRQDYHFAQKLW -NDICTTMITIKNRDDKGVVRFSNVISIIDLGVRFIKFMNAAQSESTKKFISFFANPTSFA -KAIEQNNTIYCFRSSDYDIFNYKSLVTAINTKAATIAIKCTKFLTFIYSTCATLYRDNNN -SYNFVDFKFISANVIKIRDLEITDNTNLCGRADIEKVREKSRSRSRTNKSTSNVRDTSQQ -RDKSNDKKNIKSLNAGIPTVAISHGNVMGINISTVNSNYKISVTNDFYNQNALTRWSLIL -KAYDVFKKSVSDTILTSSFSMNWLCTKLSRMVMGKSVMPFFLAYGYREQDNTVRYVRYNV -KNLNDSPNKMIKDMILNKCTSHLYVMSEADIAELLQKPQYEKCKNYPNALFISYKRSILR -DGILSRQKFFEYKIEQNIMVPSFKVQGRICTFVSPFIPTQSDYTDFLQFMDSDNNTSTLK -LYDYNAYMTLNETTISLKYTKNDTYNPDTIKFVDEANMADIIKYTECSQLPDLSSLDKFC -VDYSIGDIFFAPLIGCAPIVFVEGYDNNSKLIMYALPLQINSNGQKSYDTIITPDGFNNH -GYFCFVNEEIFLAKTRLSSYSRGGTHVVCQPTIDNSSDSYADDVIQDGAQSFHWDQ ->YP_009667040.1 NSs [Patois virus] -MDFSVRQENTETCHTLSLSLKTQNGKDLTLNLTLERPTSSLKIDMGNFLTFLQLADFMPT -PKQLSLGSVQQKHQELHCAFVIGELRLSTTITQDWVKLKFYRQSLLCIAYQVSLQDTFLT -LPMELTKRA ->YP_009667030.1 nucleocapsid [Laurel Lake virus] -MTSALSVYTMISAADTTGVEEFSTLFAYEGFNPEMIHSHFAKIMTEKGIGEMEFVNDMRA -LITLGAMKGNYTMKNAGKISEAGRTKADGLYKKYNMKQGSLGGDKKAVILPRVLSAFPEL -TTKVVLRTPPRDFGTRTTRLPKYIKNPVFPSLVPKTLQGDVAKTFLWLYTVYSAEQSLVI -SQEKDFNAAFTAQKQFVTIAFNSSVPDETTRIGMFKAKLEDLVQAISDFKDFTDGEVPTN -RSDARVARDAITTL ->YP_009667029.1 ORF1 [Laurel Lake virus] -MSNQTNMTDVTSDYLNLPRELQSIVENNILEAAGEEFLQSIKLASELRRDLESSTQPDDD -RRNLRLIRGRLGMSLLTSSLECPDDSFISSMGIGYCYLNSITQEFRIKALKTHYVWPTLQ -ELFSRWETHMVSGFRLYKTVLSGKLTVLHLSYSAVKGVEFVPIEMQNQCNYCHAVSKSYT -WLRYPKLTHDVNCKHTVKDLISTPLDSMYLELKVGGLFSRLRSPSQSTPTTSRKRSKSIT -DSKNHEDRQVIKFDRSVKGEGKEPDMSRDLYSVPKPTEIETMSDHLNNHKSGDTFPYVSV -VGTEDETKDGRLSRLRAFRSSYGKENKPEMRINGVILDELEGTIKVSNIGDYVNKENLNK -QQRSENKRFRPLNEASFKKPYLQIARITGEYVPLMSSTSDYTELYFTLEDGRLLDNQVII -QSNKLPTNQNGVFELSCDYCINLSDINQLSLKYFLSRPIMKEGFQWGAVSLTIRVSESDT -PYLTPKVEAMAIVRIPFSTLEEQSKDPDHADVVFTAKQVDKFKELYRAGDVMDIDEAKRE -RSTINSYSKSTIRGVVKGEAGPSHLGNQSGWEHLKGMVKPRVEEGQASISVASGSEEVDI -DVPSTTREEYEKQQELLRQQFQQTFSDTTEEIPRSELNRSSSPINSDPLSSVEESSPPRS -PIKSAMKKPRFIEDTLKKPDTSDVYQFN ->YP_009666956.1 nonstructural protein [Bellavista virus] -MPTNSLSITMENFLTSLQLPISTQTPKVLNLNSVRQTPEKLYCVFEIGELRLSTTITQDW -AKLKFYQQNLLCIEFQVSLQNTFLQLPMEMTRRVS ->YP_009666943.1 non-structural protein NSs [Enseada virus] -MDYRILLENNSERCHSFRLNLTTMEGKELSLALGLWRPTRRLSLLMNKTLLSILLGSSFC -VQEKLKVNYVEQTEQTYCLHLELGESKLLITITQNLQEATLNQVPLLSRESQDSWQNTV ->YP_009666269.1 glycoprotein precursor, partial [Mothra virus] -CEHNEGNESCRSKAVPILLGISIALGIVVVLLPILLIIDYKCHIFNRMRFCHKETKKVKE -MKRAEALAKLLAKYDAKMLQCKSQYKDQDWINDEGPEGIEMNPLNHQNESWKPTPAPRSP -KADRSSLNLTKAALLTLALQSTLTPVQTCDDVLFMSATGGIYHDQQFENSATSLVILHAG -STLCLKYPSGSQEMIKMSEMSRVIHYSPIYKACNFSLDVRSYYDCLGNWPCWEKSSCNVD -TKFERVGPKAYKLPSGRESCELVPNLSNSKCTHEQICIWYYWDVVPELNSCDLIYKEVST -SNLAHIEHTDTLGNTIPFVLSDESPFIDSPLRMHLMTLTPTLLHPPTNKIRQHQNDYYFT -DASERSMGRPGVLGDYQMSLSSNGTFFPDWAVQCKPDGDKMACMTSTPGYVRSLQIDKDR -PVSVSRTTRAINVVSKTRGYGSFMIRMSSKPDQLIIAASCSFRIDYRYGCTGCTDRAFIV -VSATDIQTTGAMQFTSNCSFVLPEVFCSSQPQRLEINDSPSACSLKIKGTNQTLDFPLQY -EFRGEVFSGGIMTFRAVESSYDKFLLGISSPSFLTSLHISLGVGVVSSVIIAILKASTRY -TAFKVGKTEVKE ->YP_009666268.1 hypothetical protein [Mothra virus] -MPEQGFGKGRGTSSGEDPKPSVRRSFPLKRVVGELELNKPPPPPPPMPECSSSMSEVGPF -GCKCQTGTLDFYLMKVRSFFKGKEGSDAIRQKTDELAGLVESEHAGRPSPVESSAPMLQP -KRLRYGGKLQDDIMIELSKRLEQIKKAKSTSSDEEE ->YP_009665979.1 16 kDa protein [Drakaea virus A] -MERHLGLKVECKNRLSLFDESNSSLSRKCKLNDLIHLNCGMPPAVEMRDDFLSFSCDGFC -GEKHRSEFEKQNSLDRETKESLFVKKHLNELKLSLIKRVHNVVEKGKSLDLNLSDDVILN -MLRECLCETKCMDVIHSKL ->YP_009665968.1 RNA polymerase, partial [Tulip mild mottle mosaic virus] -GRYFHRALTSGFNPKTGDLSSNIYSSNYDITGIDQLLAKTGGVDN ->YP_009665964.1 polyprotein, partial [Stocky prune virus] -DKNSSFKHTVSLSILQHLPGLVLDGTHETEAELEMEPLPLGVLSSLTGKNHFLGQLLVGS -LINMKAGSVGDVTIKVRFYFKEVEQWYNSATGSASFSSLGFGAFLPQNCYIGDPMLNVVV -KIDSSVGSQWRVPVLPNRGIIGDKVWYPNCSSSLFESHRAWKGKCLFRYYFSVPPLASGT -FLILALPPGELEKTKVDLDYVLSSDSGVKPFSVVEVDLSLDRHGYILVDFADWRGFFTAG -ASSISYTENEGCPWLCVLQTSHLTCLNKEFSDFNIYLEFVEMVDCKFFGPTWVPPVRMAL -EDYSSKAFGSTISPSANWLYSGWLTKWTATKYSWICFPVSPSVDLLPITTIKDHTFGNGS -NNLLKMRAGESCLWRGTISYLIRSNSFVSLMGAYSATCHSSFVNGFLPESKVANFAQGGS -TIFFNEKSTQLSLEFTIPMAETYTHFKTGSATETWGRDLCYNGWVFIKLPPWVDGQTLQF -FIKPHLDFEFMGQNPPPLVTTTLDPTPSIVYT ->YP_009665959.1 165 kDa protein, partial [Sunn-hemp mosaic virus] -LRNGRYLRLLPDPLKIITKLGCKAIKDWDHLEEFRISMFDMACEYKNCFGFDVLESAVKE -SFPKAEGCNVAFCAIYKFLSNKYLFRTLFSDV ->YP_009665950.1 unnamed protein product [Diadromus pulchellus idnoreovirus 1] -MNNDPDSEAVTITIDKTEIEKLVNLLTKGRLSSYRLTQTVTQMLDEKLNTGNGGYVSLNR -REVTSMSMMRTKLEQLQRTTNKNALLGRMVFLSIANSEFVSEPNQEYMTSMIALLDKKAN -GIGTELFRVVRDMSPAEIGLKGAGQNYKSATISLESKAPIRQMMQNQYQDKVIDEAIDII -DGFCSSDLAIQPLCQTKILQSLAQYKYLVNEFGTTMPTYSDGTKDITVKEESAITEAEWK -ALDLDKPLLEQDFSYAKTTRTDIVITPWSNHTGIEFKSEETYIGRNSGIEIDGVRLKLKL -GGLKKIWTSVKNFFQTNKPMIKVLLHEGLKIAKPYLSPVSKKSCRECYRIPRNWTSSIYF -NNSGDGTIAKDSKATVLKILPQLARLGKLNLSTNEISTDNTRVGLSDYNDEAISQIKVLG -RAMDMSPTTIIRFNKR ->YP_009665949.1 unnamed protein product [Diadromus pulchellus idnoreovirus 1] -MTSNEITTTSTFSDAIIQQADNPTDINDARIYIQQGNKAKPITFQELLSLYTLNNIDIIF -SRNFNLEGILSLITPRGLPKSTAIFARSSRTVVLNTVFRRLTLGTPGWNIEADEFLKAYN -GYKQGTYLNINGALVRNSTDGSKPSLQTEYIDDFAALVTTIMQYEFDFDTFEAIQLWLTS -KCKDVTLSSGSLVLKSTSERIVTRYTVKTNLNTINLYELGNNKSSEYEPMLKVLAMHMLH -SIGRSIGQDTIVSRVPNINMAESVASGESFLSPDSCFRSLILIALLLNDKYVSL ->YP_009665948.1 unnamed protein product [Diadromus pulchellus idnoreovirus 1] -MTSTMKLFTDHAEISVRERPPQRNNNNQEQDNSNRPAPRRLFGLNEKYNFDQPETTFDKL -LHQICLGNYEQVDDKIINDSITLAALRKYSCEYKDLKPEKAPKLKNECMKQFAQPGQVVE -IIGIDLPLDSSIDQDDLYDLKDDNDVIPVLRVYQSAQDARTKTTENKKDYILDTRVIPDN -FAASLFLKSVLRAILLQIFSSLQNQLVKTDVATNPEFMRMSNAFASTRRGPFYNIASLVP -ALSYPDSRSVPLIVGFILTQENLSLLSLYSMIVTTKVSSTIMALYENNSSEEECEDSISA -ASCTNQSNVNNSDNIRMTITLPCGLTIAFFVYYRYTLFQRRVKCSTSILLSS ->YP_009665947.1 unnamed protein product [Diadromus pulchellus idnoreovirus 1] -MDNALCYLQHLKDERQFIFGILNDNVREINIEKKEIKQLREYVGILQQNGFTGDELVSQM -EYIMKIKNIKKLSSQYDMNSAENSSGTYDFSFIGNELTIFRERLSNYSSWGVMHYIPSMP -ESIYIYMNPIHQTDEVDIVYQGSNDNYSYLIKKNGRTASYEHQITLNLAKDAVKKHNLRE -ATEQRIVNNRKVNFITKYTEVTTNGKTDQLAVPLFLLHLVKTATGYKVSYITIKIILEEQ -VESNVNIVEIDTGVEMTNAEVNAIITGLLSRMMMVTNFVLGNSMVHRRMLRQFIGMQVKV -IEGAYVINSQKGAGSNLLSGIYGQGVIATLSSLATLLQSTPQTVKSNYVLNGIHTNDIEQ -KDMKRQFIFGILNDNVREINIEKKEIKQLREYVGILQQNGFTGEALVSQMEYIMKIKNIK -KLSSQYDMSSAENSSGTYDFSFIGNELTIFRERLSNYSSWGVMHYIPSMPESIYVYMNPI -HQTDEVDIVYQGSNDNYSYLIKKNGRTASYEHQITLNLAKDAVKKHNLREATKQRIVNNR -KVNFITKYTEVTTNGKTDQLAVPLFLLHLVKTATGYKVSYITIKIILEEQVESNVNIVEI -DTGVEMTNAEVNAIITGLLSRMMMVTNFILGNSMVHRRMLRQFIGMQVKVIEGAYVINSQ -KGAGSNLLSGIYGQGVIATLSSLATLLQSTPQTVKSNYVLNGIHTNDIEQKDMKRQFIFG -ILNDNVREINIEKKEIKQLREYVGILQQNGFTGEALVSQMEYIMKIKNIKKLSSQYDMNS -AENSSGTYDFSFIGNELTIFRERLSNYSSWGVMHYIPSMPESIYIYMNPIHQTDEVDIVY -QGSNDNYSYLIKKNGRTASYEHQITLNLAKDAVKKHNLREATEQRIVNNRKVNFITKYTE -VTTNGKTDQLAVPLFLLHLVKTATGYKVSYITIKIILEEQVESNVNIVEIDTGVEMTNAE -VNAIITGLLSRMMMVTNFVLGNSMVHRRMLRQFIGMQVKVIEGAYVINSQKGAGSNLLSG -IYGQGVIATLSSLATLLQSTPQTVKSNYVLNGIHTNDIEQKEYEKTIYFWDS ->YP_009665946.1 unnamed protein product [Diadromus pulchellus idnoreovirus 1] -MDNALCYLQHLKDERQFIFGILNDNVREINIAKKEIKQLREYVGILQQNGFTGEALVSQM -EYSMKIKKIKKLSSQYDINSAENSSGPYDFSFIGNELTIFRERLSNYSSWGVMHSIPSMP -ESIYIYMNPIHQTDEVDIVYQGSNDNYSYLIKKNGRTASYEHQITLNLAKDAVKKHNLRE -ATKQRIVNNRKVNFITKYTEVTTNGKTDQLAVPLFLLHLVKTATGYKVSYITIKIILEEQ -VESNVNIVEIDTGVEMTNAEVNAIITGLLSRMMMVTNFVLGNSMVHRRMLRQFIGMQVKV -IEGAYVINSQKGAGSNLLSGMYGQGVIATLSSLATLLESTPQTVKPNYVLNGIHTNDIEQ -KEYEVSSILKYTTNKSKDRKVGLAERISNINKPYSVTMTLGKGNGGILAIREYSALKFNY -DYPDQLDDIIPSNADSSRPDAVRMTMTKTQSNNDIKITTTVHIILDITDYKDKKVSEGVI -HTTLRKSGIYVKLEAIHNQTRSEAPGSLGGTDSLVSTTLCGIAQVHSKTSALSELSNNGG -VDFFDGDDVKRDNNMCTNRKNFCVKFTTTSRSSPSVTLDIKSLGKRYKGNFPMIIQRVVD -LTGREAWAYEAQLSSITMDNVQLRFGSKQEISIKETSQQFVYDDNGIIKVKYEKTLSGSV -LKDGSKITTVIPDQKFNSINDIDNILTSRNQRNLRHRDVPWTGANNATAKYNGFRDDGMW -GRKESGLMQYNNEDDVIIPIAFLGYTTSGSGGSRYILREYDIERAQQLMHTITRRDRSNT -RQGQKNIYVRDGTSSGGYVANWHAMKTSMYMSLPGKNIMIKNVEINDIQELVLPNGVYDQ -ILLSATNITLWNSVLILNQYVVYIKELAEDNARRLDVVEKTLNKVIELHQTMTVTPEETA -QEESGWDIAGRIFTMLGAVVGMFFPIIGASIEVLGLVATGVGSIQQGHIVNGSLELTLAG -VATVIGGYKLQKRLRQKYTLEGIKDSIKIKMDKLKEKFGTRVKNTHIGKEDSNNGVSTST -NKRSIGKANNTLTGTTDIEIVNDVINGQSAHITKTTYSQISPELQDCYRELDALLELTGN -VEHWPSGLNLATAFDIRLTEKIDKIGKGKMSINAIVHGEQRTAEVNDAVWSKCVGVKANV -EDYTIIRSAYVDTVQKEEMINVDRDIIRDIYTANGTKIVEKTSVGINSNNVNGKEYTVTQ -DGDISQLEHVITSGTVNDQSMQLIIQRCVLYYSELSSSPSD ->YP_009665945.1 unnamed protein product [Diadromus pulchellus idnoreovirus 1] -MDKINNSKTAQHDNVESAPNSGQSLRPVSTDNFESSATDGREGNREHSRMKANRIEMNSI -NAQRGETKNEAVSMTQYNNLQQQIAMLQMSLQSMMKEKNKQTEKESEEQNNEIAKHISNA -DINTDTESLVKPQVYIPDKLQKASTSQITDADSHHRSKPLPKAQIDGSLDLVSDNVQGKG -PDHSTNENTSVMKTISMQPNTMTASEISEISVGSMDNSVFSVYAQAVISERGVNAIPILR -LTDDYKPQNLVNVVANAIPTLFTFHSIHEQKLTSKELEIKPREVYKELTLDQIAQSMDFI -KDRTIVVSVVFEPRNLPNFKMSDDDINYLRQVVVLGSQYNANTTVTEVSRRLRNMIMLIA -NSFVLVKDITKCVWKRKRQETKILTPMKTFFKNDNPHPMLGVRNHAAAWFTKAMIEQFEK -YPITIQETETEETVLLKRFGNDCNNRSVYGATVNNTVRLLKGNTLTVDRYLTMVRANAFG -GSAIVSQDQGDSGLRVLFYPPRHAWNILLHRMLMSTTFSDTVMNHYVKMISDMGLFTMQD -PISFMSLMYQQGNNRDNVLTSIFGAIPKVSEIDFITMFIMEHFSWKYDVIFTSSINITVE -SAIPEMLSILYTMLFFPKLSQNGMHTLLYRVMLLLRILDKNGMDQLLRQYGAQVQLTPNG -QVAELHITEVIDVISEQDARNGLIHAIGYPLVCLDQIQELWTSINEIINRRLPQVILKRK -QNANYPYTRDDYVAYASYGNMEGQTVVGTGFEGEFLKYIRMIQSIGKDMFTKVANQSSVS -VLNSMSRGTFNTFIMYLGNYESSIPKVVRSLSKVSMLLMNSWGYVHEGYNDACIVTPQRL -FGITSGTGRNPPIGLRLKVKNTVNIMTGMTVYIGLEGGTLRPTLSGNLLNASLSIRDTRS -IKTVVPFDAVKCTDHGLALAKDCYRFGVAMSTLQYVIKIINARENEETYQYMKDLKSFFM -MRTFAEVIDDINTIFKVNLREIMANSVVLEYTSDFRVESLRLVYQARDDIGPETEQGTAF -GRLINWKDDIVQDNIHIAAKLMMPDTSPAYLNQKGVILKRYIGQLIKPSLPVITNDMHII -DYQESNMNFKFQSVSETTGVSFTFRDKNNMLRTVLTPESDELINVVLRVGNPGDIHVQWK -QFIEQSILDGKLGVIFTDQRYTYKICYWNEASVPIPDQNDLVNTLLYSEGGEYLTIPFID -TSYAKRTSIFTSGVHTYKSFIHPLETTHITGDVITGIFNTVTKPHEFDIAGEDQLGYAYR -SQSGIDPNRSPQSGIPKMMNSVPIMNNPINVYTAVPVFDGEINVLVQPPGML ->YP_009665944.1 unnamed protein product [Diadromus pulchellus idnoreovirus 1] -MNPVEKARQKLKKKNAAKRNNLTKILNMESTPEAQSVDNISQSQNDLTKSLTTVPKPKSS -ISTKILKRKELTISDLIKLIFTCDVHEDDIYIVGGLSKSDFTIKKILYKNANVPKILYTN -NYVYVHTDDHKIGELASERSKSRLMQTLSLVDINKYDQESQMILSSMKQACEIVRKKQIP -LAQENIDTNVERILSGENSSASSVTSEECEQDVMDEQSAEDNEEVSQEIIDALNAVVAPV -LENDMDSTESGDIGTELISMFAGQIMEYFSSPLAKENMPEDVLNEEMELLDELEAKVYKI -MTASTNKVTKLLLQSAHNYYQGFSDNEKRNLLSRINDLLNPLIEYADKLIDNFCQLYGPA -DQPITAIGKVLNIAKKIVSVNGLNTNLKLKNGKFILNAE ->YP_009667104.1 small capsid protein [Thosea asigna virus] -GWGLMFSKVLSIVRRIPKALRTASNVAETVAGCVEDAQAGVTKVYETVRGRRGAMRDMTL -QQ ->YP_009665202.1 methyltransferase, partial [Abutilon yellows virus] -SKVPPPKVENRVGSPPPQGIVFGTLDVADERLNEARIGDASVNKTYADVLKSKRPPVKTK -GRGAKNDLSTTGKRQGPKPDSVKQVWRPEPSKPFCEVRHHIGERGDEYFTIRYENGKTAY -VNNGPNAVVDMFNLTLDNQRYTIHPMCLTPNGKRFYNYPNSYCWLDAFAMAKTHMPKSNP -KTTIT ->YP_009664554.1 glycopolyprotein precursor [Ferak virus] -MQLITIVALFSLCAGQYLRDLYSHDSDYGFKDLNKLSSINQKKPEYIYIKPESCTNSKCK -AKLSENFNMIVDKGYGVSFKLTHTGLMNTTIDFFMKETNFNITPNYLYTTPMTKIYYRIY -FKNYDNKKYDNCVNGVGDEDFTTIADVMLSGKKGKDWDYASRVSKSFDIGPLSTDAIKCG -HYWLTTLTTCVEKAIVIRLDRGIATYKLNDEVNLDFTMSALIEDVEHNFRFSGDFNQPQV -WQIKDNLALEVNPIGTIISPLRSYMSCLFKTIGEVSNTKCYMSNSAPDIGSISNTGIGKF -QLTSFNNIDKLLYDKALLSNDFIYPCSEKNYRVAKVKGYANEEFRSKIFEIYNMKKSDHQ -EDKIVIDFLQNNSSEPNGLMLGDTCCVKVASPTDMFLENAVSSLEDIRETYKQKMLNNLG -NIKLSNSGLPRMEDLNFVSLMKPTVMVSLLSDGLDIGFDTREYEIKNLKINKMVYFQYAA -GSYFTIGFDYVGQPQNIKIESKDINVLTKEVYVHKSGTVEEMVGFKSIGYLKGKPSICVG -SNDYCATPNDIDYKQPKDGMIDDNEVDNKEYVDRCKDFFWKLFTSNWPMTAVSVVLTTVE -IIFILVIAAMIYKLTRKFFTILCCSAAMKEVNSFITDKLASRKREVLTQEIGYFNIASNV -GTFYSTTYEKSVINEVTKDIDFLTCSSFAQNAIKEVKCESYCTISPFNRKWSMYNQNGTT -IFDGKIWSSNAVSHKLDGKTSIVGLIDNLYDNITIKGTSDCVAMWDKAKAGKLSWIKETV -MSGYKNKFGYSTIKLISNIDNSNNYVFEILDHLTAYDGYDVLEIQKFGKPGQYHAVSVIV -VSDGSLDVDDRISDLKNLLSIPNEAIIHSIKNKVGNYIMRSFFLIQCEERCIITDPTSEG -KTKEITLTKYKAIIDNEGIKKKTMAKLIDICKKTKELESATEEHMVNEVSVSREGNKSTH -FLFNSKSGNFVMPIKHLMNIFDSTCNYKVKFNSLYKNGYHYSNFIIDNDNVYEASRGGPI -AINCINTGHGSRCKHLNNEMTAFFNEDGTNDLELKKIKIKGMVYSYAIGDYVEHVSPVTD -KCTGKVMVDSLSNAICSHDCCLVSDNYWQKFSLFKANDKYNIRDGAVFSQWKDEKKLTYN -LRGTDWCDYSGTIESFKCVWKEHKAEMIIFLCMPFLIIALYYSMLVVYHSLVWCFSKNPK -RDSRYMKTKRYKKEWFFSNMANNLMSGRPKFWQYEGIPLEDMDRENGIGKSPAYRRRVKP -LI ->YP_009664553.1 putative nonstructural protein [Ferak virus] -MSKLTISISITVLAFLVVNLAFLYSNLNQTVEVTENCVVFKTDTYCKISRFDICKINNYS -CILTFLIILFTYISRCTLIVGGILFVFKLANLVVLIDNKYAVNNNSRIV ->YP_009664552.1 nucleocapsid [Ferak virus] -MTSSTVLKPDSFRQITVPIEDSWPAAMLRTSAELVVVDKADIYVKLMSQLDENVSMSTID -NTMTLINTRKPTAAMAFATYCTSTLWAENVRNSRGLAAFNLKVADTSIPIYSMKGENNTR -LDKNMFSVDDAIHCSTLYMNKIVDDFYLKMDKTWAMTPLARICMTDSNIKALSDEMNVDE -SVVVKTLNVSCCRKAYQLYGVTKYCSLEAVVAGIMRTSFAPNASATTKSIAAKNISKCAN -KAGVGGIDTKKVSTILSYMVGSNSGMNMEVDNLMEMAKRMAKERSANLATSSSAQSAFSA -ITGTSG ->YP_009664551.1 putative nonstructural protein [Ferak virus] -MIFALRVIRTRDSSLTILVNRVKPFQTLKFNSCDYYLFSDSLTCLKLNQNSIAFIIRSGF -GLEANYQGLTIRELPDFLTDLTKFNLSVTDYNFITIKINDFLNRVEA ->YP_009684360.1 nonstructural protein NS4A [Guereza hepacivirus] -SLVNTLIGLGAGFAASWIALDAFGATFIREVCSVTVGETQAERAQVMEDFIGDLIETEEC ->YP_009684357.1 protein p7 [Guereza hepacivirus] -AAMTRFVDCVIVSTAYDVPWWLIVLLFMLAHRWRRGMYPLLLGNPILLCFVVLVHRVCPV -EARSAVG ->YP_009684355.1 envelope protein E1 [Guereza hepacivirus] -CVVDRTEADNSTRNGTLTSRSIFRRVSNCCDASQIFHCTDHWCTHQPGCVPCGLENGNAT -CWIPYSRLVSHHPEHVGVDMGLGRHVEYLALASTLCELLEIGELCAAASMVGTYVYANME -VRGNWTCDADCFLLVSSGYDPGFMAFLHWVGSQLNWFTVILDLASRIPAAIWHTSGQAAT -IISAVTAVNLLSGKYVKAIAFLAFYVEAVTS ->YP_009227193.1 protein 2K [Spondweni virus] -SPQDNQLALIILIATGLITLIAA ->YP_009227209.1 protein 2K [Zika virus] -SPQDNQMAIIIMVAVGLLGLITA ->YP_009679011.1 nonstructural protein NS4B [Hepacivirus N] -WGFPEFTEPVVTRCRIWVERVQLWAAGMAKSGAVNDINNWFATNLITIASALQLGAGILI -SPDNPLLAGLLSFSGAVTLPLSRSLQAIVITLGAILTARLTTAEGAVFIAGATLAGFQLS -GTPLLSLIFSVLGTYASFVPAFGVVFKLLDGQLPSTVELASLINCAFAPGAAVAAIAVAV -GAIALTQGTGVVWMNRLLSMVAKSNVISPDYFVEARDIRLTIKTLFEKIHPWNVVKTAIK -FLTTPTDVPC ->YP_009679010.1 nonstructural protein NS4A [Hepacivirus N] -WGAGVAASAASVFALGLALDYFGSVAITSAMILDSGEPPNAPEPADDDDGFEEC ->YP_009679008.1 nonstructural protein NS2 [Hepacivirus N] -LDFDYATHAPLAFVTFLVLVGSGLFATAAPSVSFTCHYLLEWLSCISYSLESNHFIFMLA -LAVSPTLMLDISMGLLAIHCSVWIFAVTVPNFMLPKHRSTYEILLRFAKVLPAGRWIQRI -ILFVAGEAGVVWYRHLGQHLDLLPGVDQLNGDPYGAIRSRLDYFEDAGHRYACGDLVRGR -PVLGRQGSLVAFGWSTLPAGFAPC ->YP_009679007.1 protein p7 [Hepacivirus N] -ALLAPWVTAVAIASTWDDWYIRLLIYLFLCKSRRPYRFLLCNKFPLTIFLLLMNVLGVTA ->YP_009679006.1 envelope protein E2 [Hepacivirus N] -TTTECSRHDDISRNVTAKWGHMTSCSLQMIPCKCRNVTYSVEDMWCFGDAVVNVPIYRDR -AGGKNVSLARLPYLPGLRGCEYKVNGTWSCCSLMDRDPICKQHHCPTDCTWKDPALTWER -CGVISCITSYHAGNGSALCAAWIVRAAMWDTFAISFDDKNWINIRYNKSMSNNITTAARL -PGARRLYSGMWVFSPNGTYFPLGDLATGLISKAKHDPNMQIIYSGGGSLAVPHLETTLFL -ALVAGVFRSKSTLLWILFISLWKSATA ->YP_009679005.1 envelope protein E1 [Hepacivirus N] -YRQVKIGSHYQLTNCCQERDILYSTQEFAYHLPGCVVCVGTSCWDPVTVFLSVKPNGSTY -DHHLRNHVLALGVGAAVCDMLDVNDQCGMLAVSLISLFDILPTSLTLNTTSTCYLEVDYS -PFGTIVGWVNWAVNEAGVVTWALSIVTRIPYAVFHLMELSSTPFLIAVVWFAIQGQWARL -LLLAVLVVEA ->YP_009679004.1 core protein C [Hepacivirus N] -MEVSVSSSTQTRSRSRSRRRRASRSRSRRRPGVTVVVPTSTDGGRRRRRGRNRDYAWPYI -DTGLSYVVGALTPVGSPSHDPYRRSQNIGRLIDGPLSWAADVCRKFPLVGPPLGWCARVV -GRAVRVCEDFINGLTRSTVGMSIFVLCLCSVAVSG ->YP_009679030.1 nonstructural protein NS4A [Hepacivirus I] -SWTGGLLGASAGLLLLYAATDTFGYFACTSSFNVVCRPELRLNETIDEPAIELEEC ->YP_009679028.1 nonstructural protein NS2 [Hepacivirus I] -FSSECALVAVTGALGLVASGSLAFSAPKISYTLGFFSETVLAFGEMVFKKHSRIVFATLF -LWPTLLYEVANCYCVVVLSAYALSKLCYFLLPIHTSRDLAWWITKASLLGRWLGSKLQAL -LLVLGADREMWLYKHLGDLAEDPEPTAVPYVDPYFPLEVQVEFHYDHARQHACRSIINGK -PVFCRMGDLVAAGVGPVPPGFQLS ->YP_009679027.1 protein p7 [Hepacivirus I] -PPLLYASLSICNTTWEAIPRFLMGFRFGKVAAFLLCPNVVTFLLLLPINPVEA ->YP_009678997.1 protein p7 [Hepacivirus F] -FTPEIVAATASSPWPNPVVRLFVYLASLYREPLALPLSGSVTGLFLSLIHLTSAY ->YP_009678987.1 protein p7 [Hepacivirus B] -YPLRPVLPSQSYLQAGWDVLSKAQVAPFALIFFICCYLRCRLRYAALLGFVPMAAGLPLT -FFVAAAAAQPDYDWWVRLLVAGLVLWAGRDRGPRIALLVGPWPLVALLTLLHLATPASA ->YP_009679020.1 nonstructural protein NS4A [Hepacivirus L] -GGLALGVGFGVAMSVIAFDLMGSFSIRHAWKVTRGSTTLEDADMPAYLTDMGELEEC ->YP_009679017.1 protein p7 [Hepacivirus L] -WPASLVAAMTASTYDDWFFRIAVFVLVWKLEGRLWWCLARSWKVVFLLSVLAQVQGAQA ->YP_009664179.1 nonstructural protein NS4A [Hepacivirus K] -STGWVVAGASIAAICLLTEASASICITGIIRINDGKIFISQDRDNLYTILDEMEEC ->YP_009664176.1 protein p7 [Hepacivirus K] -ALTRVNAAVIAASASQEWWLYGILAYLAFYNIHQIKLKALFVAMCGRPGMAVLMLLLPDW -AVG ->YP_009664174.1 envelope protein E1 [Hepacivirus K] -SYASHTCQVGSDVVFTNACNPDEIYFCTDYGCWHAGGCVPCVDGECWHRLSPSFSLKNDS -LESLGLIPHIDALMMLCATCDALYIGEACGMAVLGFEWIFHLFHSSYEFTCECDCYLLLE -APSSIKVSFDVFQSYFSGLQWLGAVLAEVPGALLGLVTGRHLGVLFAVAYYAMGTAPLRA -VGVILLYLTAAQA ->YP_009325395.1 protein p7 [Norway rat hepacivirus 1] -LEVVKAATAASTHDLWIVRAVVYIVCLRWSMLAKLLTKQSCFFILLSLADVVEAY ->YP_009259248.1 NS4B protein peptide [Bovine hepacivirus] -WGLPEFSEPIVTRCRIWVERVQLWAAGMAKSGAVNDINNWFASNLITIASALQLGAGILI -SPDNPLLAGLLSFSGAVTLPLSRSLQAIVITLGAILTARLTTAEGAVFIAGATLAGFQLS -GTPLLSLIFSILGTYASFVPAFGVVFKLLDGQLPSTVELASLINCAFAPGAAVAAIAVAV -GAIALTQGTGVVWMNRLLSMVAKSNVISPDYFVEARDIRXTIKTLFEKIHPWNVVKTAIK -FLTTPTDVPC ->YP_009259247.1 NS4A protein peptide [Bovine hepacivirus] -WGAGVAASAASVFALGLALDYFGSVAITSAMVIDSGEPPNAPEPADDDDGFEEC ->YP_009259245.1 NS2 protein peptide [Bovine hepacivirus] -LDVDYTTHIPLAYVTFVALIGSGLFATTAPSVSFTCHYLLEWLSCISYSLESNHSIFMLG -LVLSPSLMLDITMGLLAIHCSVWIIAVTVPNFMLPKHRGTYEILLKFAKGLPAGRWIQRI -ILYVAGEAGVLWYQHLGQQLDLLPGVDQLNGDPYGAIRSRLEYFEDAGHRYACGDLVRGR -PVLGRQGSLVAFGWSTLPTGFAPC ->YP_009259244.1 protein p7 peptide [Bovine hepacivirus] -ALLAPWVTAVAIASTWDEWYLRLLIYLFLCKSRRPYRFMLCNKFPLTMLLLLLNVLGVTA ->YP_009259243.1 E2 protein peptide [Bovine hepacivirus] -TTTECSRHDEISRNATTKWGHMTSCSLQMIPCKCRNVTYSVEDMWCFGDAVVNVPIYRDR -SGGKNVSLARLPYLPGLRGCEYKVNGTWSCCSLMDRDPVCKQHHCPTDCTWKDPSLTWER -CGVVSCITSYHSGNGSAACAAWIVRAAMWDTFAISFDDKNWINVRYNKSMSNNITTAARL -PGARRLYSGMWVFSPKGTYFPLGDLATGLISKARHDPNMQIIYSGGGSLAVPHLETTLFL -ALVAGVFRSRSTLLWILFVSLWKSATA ->YP_009259242.1 E1 protein peptide [Bovine hepacivirus] -YRLVKVGSHYQLTNCCQEGDVLYSTKEFAYHLPGCVICTDDQCWDPVTVFLSVKPNGSTY -DHHLRNHVLGLGVGAAVCDMLDINDQCGMLAVSLISLFDILPTHIQLNTTAACYLEVDYS -PFGTIVGWVNWAVNEAGVVTWALSIVTRIPYAVFHLMELSSTPFLIAVVWFAIQGQWARL -LLLAVLVVEA ->YP_009259241.1 core protein peptide [Bovine hepacivirus] -MEVSVSSSTQTRSRSRSRRRRASRSRSRRRPGVTVVVPTSTDGGRRRRRNRQRDYAWPYI -DTGLSYFVGAVTPVGSPSHDPYRRSQNIGRLIDGPLSWAADCLRKIPVLGPPVGWCARVV -GRAVRVCEDFVNGLTRSTVGMSIFILCLFSVCVSG ->NP_739593.2 2K peptide [Dengue virus 2] -TPQDNQLTYVVIAILTVVAATMA ->NP_740323.1 2K protein [Dengue virus 4] -TPQDNQLIYVILTILTIIGLIAA ->YP_009667027.1 p26 [Anthurium mosaic-associated virus] -MTTPYPRFAINRAAGSISNQSFFSCSLLKYSTNSLPVRLCPCSLRPSHNAWATHNPSPTT -CFTFSFVPSAVTSLMNVSIPLNPKLSAIFLMKLIFGGFLAFRPPLFNLSVASMSSSSLLS -FPFSGFTPASAFVFSFLLSPLFCLSSFSILSSRSLCALSFCSLLSFSIPRYVLKKSNLSF -SIVSLPTLGSYSSFQFVSRYTSSYTPYPPSILAIVLWLTISSPIFCIALYPQNIIISSLS -P ->YP_009667025.1 p38 [Anthurium mosaic-associated virus] -MPMICRYVRSGFTPPSDICHSGTFKLSHLHVSLMKFPPFPDFMSSSILLVSVFPINSISP -PKYPLDQVLHLSLSNVLNFFLASNSSPSKPATMLQWSLLNHLPLTFHPQHCTIKCDLHHG -PCWSLPMLNIPIIVPTNLTISFLSLPAGPMCNDVIGNIASIRPDNSVICSSLIFLFLQYL -IRNALSLTHSDFCFCQNSDFFANSSVSNNALQNSSSFLLSFLSSTNFSCVWLLLSLVFLS -SSSILFFNSAARLISSLSNSIVALMNSVSNLTSPKSFNPLLFLNASFAKTPLSFESFSML -SPLPFLGTNFPLPSATTWPSPGRLDSFFFFSSSFLLSSSFFISS ->YP_009667020.1 NSs protein [Witwatersrand virus] -MGSEMIDLQVHLTLRSNTWHLLIDTTKSSLLTTLGPSSSMQETPRVRWQILTRTRYALIL -EHSSWNWLIIIDRELRNEWLLTTSTRFIASPDILLDSFLIHTTRIQHGGMA ->YP_009667007.1 putative viral protease [Brassica campestris chrysovirus 1] -MENTSAVIGFLKGVPEFMQHEEEYRRAVASTRNGELQPVDMGVWEKACIGIGEHNLLRAL -PFAEKFTLPGMNELLRINSQSLSKQKDKDLAGKGTLTHSCVYEETYIMNDPVELVPAYRV -VNNANRPGMPIPDNHPMYAQFMAGVTGLASTFLNSIALSFLGEKLVLRHDMRHILLKGYL -MLMSYNLASVSQVKKLRRNSNRMTLHANIDPEVKMLLMTMNRVVVDADALTNDELWLFNE -MCGPYPSREWGHPTIYSNIKMEADDVVFFSRREASEIPCDRGFGSPERMWNDLVNIAIKF -SALDDLRCVVQATRGIPGMMRTVNQWSGKLQFHVEYPLSYSLCLAVNSKCPDGVFSTRHS -NYFATSKCLVADLLLTKMMEMSMFNIIEESGALGHVGCPTGGPVADTFFNATLRSYGLSD -NLEKVNFLLQEWRGIRGCGSDVMFGSKLRNTVARMAAAIKGGDSSFLRPQLLFSIPVSEC -KNTTWGIIRGWRFQGSEILDTPDNRVKQNQITKGYTWVMGVGKDVPRLGMNALGSLLLEK -FSLEEVKFMQCANGNYEISLVRHVIEGELGARTDEFELGARSFYHSNFPGTRCTIIYDKA -GVSRIVSDPDLGEEVIGSTLDVHRQDGGDVDKDIRVNVASFGGSNSQVQIRNGLDNERIV -DLVGSGPRRRGEATFQDRQVIRTQERRGNPVANALGGVNPSTVQNKEADAPPTGDGFRRE -AGTGRGRGNGSRGRGGRSSHTLGDHFPPLPRSNGYQPRIQFVNRNASTLNGARMSSSAGV -APDSCVATAGEPVVRSAAPASDAAASTVSMVPRGEVPLSTRPRMRMVGGKVVGVEATRCT -GENITLCEREQTELAPEGTLSGYLLQTVGDGRCGIHAIVQDFRVRGMIREEDMNEKFEAI -LDRMQDPTWQCMTDLGAYLNAMGMGLVVMEDQSKTMHRFGTDEAEHIVYLFNKGGHYQTF -IPNPDGPDIMDGWKYTEGRATPRDMNEMWPLIQGAFTNARIQRENLRRMGHDVPESPSYR -R ->YP_009667005.1 putative viral coat protein [Brassica campestris chrysovirus 1] -MEGLKNVNLVACANALRSNSIGWRMAKAEYEGIVEKRYTELTCKGKDYTKLGAVIRDTSD -YVDDVVKGGHVGLAKDHFFIDYDLRANLLGTAQYSVECLSLSFRIDWKVKYVKVRLHTGK -PRRVIPSVELQPFLKEGRVRDLTRFANATALGMREVRDEGSTKSDQLARVFKTGSMGQNK -LTRLVKGCLLYLDRLEFGTITLRSVMPSSIRYNVDSLVATLQMKNTAFVYSRYERSKSYN -VIVYAMCQQYPSPLLGGVEHVSVPADGEHVLLVSKLPQGVFNNVMITAELVMGSLIDYCE -QFCLGDELEAAMVIACSLRQNRYLETVSLPAVMDKCDLIYPALGQLEAGVTQKTILSLEA -ATMIGRFHQMATLVLFKDVSTSIKNTSISDFQLQRTMLSIFGKDKRPLMEYLEDKTGAGI -LQISSDMEWLSCMTEEGMTRMGQFSVFEGFWIVNNPLVALKDGIVRCLKKGVKHDLVMQQ -PGLFVDSYGTLCDEIALGGGQPGLRVPSGEFNIKVAGIRAPRGKKITISFEEEVECNFGI -ACVPVPPESALPSGLREDLCGGFEIESDDGEESDDEVEEISRPTQRITFGTMVDVPRALP -PVIVAPRVEVPTQEAPIITLPRVATPRETPTPATEPAIASSVEEGREEGHEVEDTSADVL -GESLDNTPAEEATEKDGSDKEAEGGEETPEEPPAEKEMPVPAVLQSSLARVSFGDLTIVP -PAANEESRRRAEDARFRAEREAISKSVQDRFTNRQRAHVTYVPPRNYAVSTQSSLRRDRF -ASEGACTDWSQYNIEDIEHLKLSEFMSMHAKLSETITELRTYLRCLPMHKYAGKFIKEFR -TNSTIKRALLGAVDVSNSGQRCAEVAFSDDWRPFIMQLRAESTKRVVETPVPIATEEILS -KFPVGSNAKEALLKEDEFFYTPDMEWSSFKGIHSYCIDWAWWRMVATRHFKLRERIDSSL -TEQELAWYARYMDAGGSEEVWEGIFKSCKGHRLMRELRWHEACHKWKEINRRCNHINHSM -KFKYQDKAESVRMVTAGDSYSHSKLSETMTVGEYNDKVYDIAELTEAKVKLLLHQLIETE -APIGDIAYYVIGFKMMNMQPMDYESLARILADYLED ->YP_009667004.1 putative protease [Raphanus sativas chrysovirus 1] -MDMGMSALKFINELPMNLKHQEGYRRVIEASRAGQNPVLDLAAWEKACVGIGEGNLLRDL -AMPEKFTLKGMDVSLPIRSQSLAKQKDKVVGKGTLTGERVYNETFSAKENILLIPHGEFV -DNCSRPGVQLPNNHPMYKSVLSSLTGIANHYLTSTSISFLGEKLTVRQDLRHLFLKGYLM -VMSYNLATVSDVKKLKFNNLNAKVCYNVTPKDKMHLLVSKKIVVDASMFSPTELWILAEM -GGKYPNRRYGDRNIYNSIFMEADDLVFFTTKGEDSLICNASMGSPERMWCSLLNIAIKMD -ALDDLKMVISEFRGLPGILSRVRDWTDKYDFRLEYPLSYSVLLALDGAVEKKPTIQKHSN -YFSTSKCLVADLLLSRMMEMSLFNVIEESGALGSIGCPTGAPSADPFLDGTFRQLGLRLD -AEGDNIVLDEWKGITSADTPVMFAGKLRHMGLMMASAIKRGESDFLRPQLLHALPYSRCK -NTTWACVRGWRFQSFNFEDDRDKRLRDNLLTRGYTWVMGVSDQVPRVGLNAAGDKLMDNL -SLEEMKFLSCASGEYSIGLVRHILDVEVQPRTDEMELDSDCFYMSNYPGSRCTIAYDSSG -LPKNVIDSRSSVNDVRDTLGVSRRGVPNRGAPPNLRLASFGGGNVNLRLEPSGAQDPALL -LGPSDGGDTDGVPSAGANRRGDQRYHGRPNGRGRTSGHSGRGAGSGGRDGGRGRAQDYRA -PNRGDTLPGRVAGTVTDGARRHGTGESLPAVLDSNPELEGQTAGTNDESGVLDEALLISP -GALKLVMNPPRVVVDGVPRGVTARTVRGEEVEIVAGLQKDLVNENALRGYLLETVGDGRC -GVHAILQDLRVRRMIDAEDVNAALTDILSKLVSTRDHNYQELAAVCNNLNMGLVVIGEES -KRLLNYGDVGAHHIMYIKHSDARSHYETFIPDTDGDEVFDGYTYFEEHVTPGELAVAWRT -IFPILRSEEIEAERARQVSLNANGSRNRWRSDGAV ->YP_009667002.1 putative coat protein [Raphanus sativas chrysovirus 1] -MMSGGIDFDIDHCVNVIRNNSRGFQKAKAEYSSIRELRYTDLTCTNRDMGELGAVLKNAS -VYIDRVVRGGHAGLASPVFIIDYDLRANLLKAAEYAIECVNLSFRVEWNVVDVRVELYPG -VATNVIVTHELAPMMRDGKARDLTKFASATGLGMKEVRDDNSRNMPLLMDVFQSGSVGQN -KLTRLVKGCLMYIDRLELGPTTVRHAELSTMKYNVESLIGTLDLEDTAFVYTKHDTAPSH -NLILYSMCQSYPSPYLGGADHVHIPADGRYIVLVGNNPTRYCQDTYVSAELIMGTMIEYA -EYFCLGNQIEAAMAIACSLRQNRYFKVVGLPSVLSGCDVIAPALGRLEAGVTEKTMLSIG -AATMLGRFHQMSCLVLIKDVLTSVRNTSRNNYFLYDNIRDVLSRDRFTLLEYLEDKTFSG -MLKISMDMQWLPYLDDEAMKSFDNISIFEGFWVVNNPLTALKDGAIRCLKKGVKKAISLH -APGLFMDSFDTLYNEVVLGGGDENFNIPDGGYTISVSNIRCLRRPKPIEDFEFEVDVDLT -VPCENSKAPPAPKRFSSKPYSGWEVGSDSEEVRPTRRTVPHNALPPIPRAPARARAPPPL -QVPFQAPAQESLGHWDDVEDDPNPPVVVRPTLVATGEVMCNMEAMRVALPGVTMPVVGEK -ETTAGEQEESGDEGTVVAETTDLPPVQILDESPGLDKSTDTPFVSCLVTQPVVIAQPSQL -PRSGVDVILGQRRFTDGSVVRSDDSGGRRGALRPIVPQQSSGARVGRPLTQHITHLGKDE -FQVVDAMRVYDFVNDRRSMSIEMMELRDYLLCLPQYTYAGEKIWKFRAEGDARNKLMECV -EVWNTHKQGRIEDFSESWRSTILELREKNLYRVEAYEWPKATDEEVDSYRGKPLLHEALS -REDDFQLPAGKTLEDLRGINKFCGAFDWWTVEACRICKLNDRVEVLTESSKKWLADYVDK -GGHCSAFGEVWRMVKDHALFKSMDWHLVSGEMKDIIKHSKKRDLKVNFRNDSKQKSIDYL -CRTDSFTADKMSRYISKEKYNDAVYRMMEVSLAKMNLLLHQLAVTGKMITRPEYYVIAYR -STRQEANFEYKLKSLLSRYVD ->YP_009666940.1 nucleocapsid protein [Guertu virus] -MSEWSKIAVEFGEQQLNVIELEEFARELAYEGLDPALIIKKLKEVGGDDWMRDTKFIIVF -ALTRGNKILKAAGKMSNSGSKRIMALQEKYGLVERAETRLSITPVRVAQSLPTWTCSAAA -ALQEYLPVGPAVMSLKVSNFPPEMMCMAFGSLIPATGVPESTTKILMEAYSLWQDAFTKT -INVKMRGASKAEVYNSFRDPLHAAVNSVFFPNDVRLRWLKSKGILGPDGLPSKAVETAAA -AYRNL ->YP_009666845.1 putative TM protein [Mount Mabu Lophuromys virus 1] -MSDAVYIDPDDPEPSYINMQRGRIVSNSTYTDHSYNGRSSYAYGEYGCCYQRGTVIITLL -AVILCCEICGLSMGVYLLYQSGYIYHWKPAGGGSVSHGVDSNLKQLLDNIKSQLEYAMTS -ITYGIPKEFSNILRQEVDRISKDVDKLEILVLSSVVDLNVSLNPNNTFSLSTGTKVSICQ -QYLNLLKRGRSDRCRQDRTRNFGPTTPDRTKNTLPYAS ->YP_009666842.1 C protein [Mount Mabu Lophuromys virus 1] -MAFKLSSLFKAIGMRSRRRTDEVPLVNQGQKKEQQPGKLILSQRMDIPKDREGEEKAKRV -ILRAAAEKAIQILDRASVNHPYQKLIPGNPPAVEITKEGMMRILLNSIVEQGMTVDEKAT -EMVEEGLLSGRELIALKEAIPLTRLMMVIMIGYVC ->YP_009666838.1 transcriptional unit 1 [Vaprio virus] -MAQARSESGQENQLRKGRTIMSRFKSSFSSHVDSGPKSVTVLIATSDSDIKIAIGQDKKI -NELIDYSVIGKDEKRTKKIQRANMVWKQNYETEKVNNCLYCWKGSQGRMKKVSFFESDEF -IYVQNEQGMMDKYVALNDPKNLQKGKEVKLHGPIPEY ->YP_009667137.1 P1 protein [Mediterranean ruda virus] -MEQASVSTHPEHKVVLTRQSTNNTTCETDLKCFTPQDLHAIGQGMVSAYDESVKKALQHV -RGKVFTEKMEAHQARINKEITMRFRRQRKHLKSTRKISKKVEAVDDWDNQMADFPIVGEA -TSNLSRTTAESVSFKSPYWRKSEKIQKKTKQPKRIQGERAFNHLVTQLMAICKKKRLMVE -VSDEKSKTNFGKHIQHRDAWGFHVILDHMNGKKSNKDIQLNDLMRRVCQRTARDFYDFEV -LCMKPGHSGLVLKQDTLPQLHNQIDDIFLVRGSIVKNGLAVLVDARETLTEYELNHILEF ->YP_009667134.1 P3N-PIPO [Paris mosaic necrosis virus] -EWHVLSWWEKCYITWQLKKFTPSTEKFLTRKAALEKGEFSRKFVSACFMKAQTHLKSSRD -IIYKKCE ->YP_009666514.1 hypothetical protein [Caligus rogercresseyi rhabdovirus] -MSVSLTLAFCAALLCKVSNDPELCRLERPGEEPYSARELHLIALCVMEMTRDKTIEEKIF -RWRTLSPSQKKKTIDKKKKRPPFSFILDVGQFLGEIFGILDSSLSAISTSIDLADDGQKG -SEIDDVEGTNRKMNVV ->YP_009666513.1 matrix protein [Caligus rogercresseyi rhabdovirus] -MKKIFRSKRLGSTPVASAPTVPGPWRISPQEDLSKRGASAGPSKTKGQGSPQCNCREVKL -RIKGHLSIRLGSSPRTREQAQYACTNFLDQYGESIEKSGLYWTAVIILARKLQSVHPGGG -NSDYTASLDENISILTTIASDLLNDIPKLEMSYGTQEGISGRFGIISIQLTPTRSPALPL -RAVLAKIKLPAEKFIKMAETFGVTYELIQGKIVLHTTTQSRSHLREVVL ->YP_009666512.1 phosphoprotein [Caligus rogercresseyi rhabdovirus] -MTSKTTRLTEEVMERIANGATAMRKSQAQDSIYGLADDLISPSTLTSESRYQSLRDHYQP -TPGQTVDYVKELDIGDVATVAVEPETSDRDIESEEPFEHACLIKHDQLATNLNTLMRKIK -ETTNLQNFTIEHEKGLVCIKWTTDRPPDRPGPTTNCKTSPQVSVPETCKTKSNNAREDLL -YDEVSKGLWTIETIRTQMRGKLVLPQRPGNQGLPEISPGMEDTEYVISLMRWFNVYPKYA -RKFRIPSLKKNNN ->YP_009666505.1 hypothetical protein [Angelica bushy stunt virus] -MILEIEENPNLWIVDLDPAGIIPMDTNNALQFLVRAITEALEDTNNLSRLLELNIDISLI -SRRNGLLASQYISRLFHDRLLRRIRQLQERANALENLENLHQDFQNQAPIFRTEDDQEEE -LFDPHRCHAFCFCKGKI ->YP_009666354.1 small basic protein [Turkey calicivirus] -MASTAIAAVGAGAQAGGAISGLIGSISDAVYRGQELNLSRDALTAQTEFNNNALKLAAAS -PFIDASASAYGYQQMMNAKLTIAQNLGANSSTLAALAAGQNGVYVNGRYQPIQYNNTYGN -RSYVQTRPNFGIGSFSMPTTTISKITNNFGRSGYGYPYAYSGSNSTVSSGSVRSYTVNGS -VRSNSSTSSAMQNYIDFGFLQPGGTRL ->YP_009666337.1 minor structural protein VP2 [Tulane virus] -MAGAAFASGLGQAAGGIFGSLISGAIQAGLNEQQFNHNKALAEQQFGYNLQLAQQNATLT -KDINQFNQQLELSKYTNAGFSLADAALMSKSLSSGLSPTRVLTSAGVKVYASGAPTSYLT -SGKQISSGIQTMHSALSAKPSKTPTVYKWVNNPLNYDTLSIGSEVSSTVPTLSRSSSVSS -KTPTLSRSSSISSISSGSLSRFSTWGSYMDYKMPLNKP ->YP_009666318.1 glycoprotein precursor [Wuhan Millipede Virus 2] -MQLISWLLLLVFIWAVFYGAIAVPVKITCNCTLAWYEHLLYGTIGIVVWSWAAVISFMVS -FNHRYTLLRRLSHLTITDDDLRSLKENSADFDKMYMKTFDQSVPGFNIYKSTFGAVAHFY -YFFKDLVVKEYPDNGLAIQPDTNDVEIDQVFLDLSDADNKNVYAKYLKDSRSNVQKRNTS -QRIDDKDNKNQLIDLQSWAKKLSYNIYGKGLNNSINYDAAKRITTSVAEAKSAGQAYATV -YVDPSNYKGNKPSALTVIFIICMMLPGSFGAIKTVGVNPCVKSIMSDSSSSTYDVTSIEG -MVVNKFILDMNSNYDLVDASEPTVVLVDGHIYINDYAYCKSMWPFNNWPSATASLLSWSF -YLLATALSVYIGLTVSKLWSWLIADTINMFVDEKERSVISTTPDMSLGARADTGLTSTKD -GGYVWDAADMDDSKSSISTTAYPDTQVNITSGLEFKYRESYNYKRSAACRTALLFVKGAP -GPLTTIVLLIVLFTGPTAADNIDYYNIESVETLIDDQSGLNMILSPLYIFFNDVINLSLW -LLCLIAIVFSLQICLSACMDYQYECQDHTSNHQACTTRGSGNSLVSGVQQGPKIDTSALS -KYHTESKMLSNNQVDTEGKICSNDGDGNETSELSHFDSGCAELTDYKRPSVLRVVILLIK -GAPGVVAAVAILIILLPTQAAAFKQVSHITNITNKSINIIPLAAQPARVPVQMHTHTMKV -VELQLGCMHCGFKIHEVFEPISIKNNLERLQRDTPKVRVADLRSMFNCQRNSLCRQCLHL -IGSLKESLKVMCINHFFKMSFDPSKTVDQNIEYYLGAKMDIQLELKRCRSNSASDVCKVL -LSTHNRLSPFNKFGINLIGMLTDSGVRVTPCPACIVYTFPTSMQLIHQDKGFAYIMSTDC -PLDPSIDEYTQYYNCFNDKFQMNCPMGYVSQVQTIDDVIAQGSNIIICDPSGWNEQYIHD -YYGKLGSAEGDPMYSYITDEIYTNYDKGVINDMQTTGFGQFRINEGYKMTLWDNTQIDLK -VTGLRSDQDFDADLSLSHYFTFAIFVTADDGIDVFPEDLSKYRCPSAFGNNPHTVTCQDR -AQYFPISNTTCLRNDTECMMPLIAYGIGGSLYTTSRFSKFGGIDTTGQGRDQCDYASSGS -GEQYPWQMSKSKCHQDVSGAIFCELYLSIQVYGSMTFQIGGDGTETSGRPWFTGWTVESR -CSIPNNIVQQGQCRIIDYGMTDPETTVLGMPMYSTFLADNIINSAASNCSFILQQPKLAG -SSYLSKVSDTTTIGTISLDGICNTTYVNHTDNDLLYCDDGTRGEKSSMVLTGTPTYKEVS -IVTCTPVKGVLVCTIIPEGNITHCFNEIEPKLFSGLHKTQDLKKQYCIEVKANSTTLSTS -SWTGTRISTPGFVAQVTLSEPNVPDRLPNLVEWTKRGAGELSLLIIAIYFPWIITVIYIL -ILVPLGFINRYNLGIIARKLGVTSLTRTKKPSDKCPICKMRTYNVESFRVHLCRCKLMQC -PYCLGCNTVKKPDTCSAIQFTSTNDWNKHMDIHRWMRRNYFVGAVKLVWYYTKAAVILSC -VLPHSGAAAYDFSCTGSDPRCTSGQQVPLYHNNTRFRGHDDLPILNGKIESKSGCVDGQS -CVVSYSYTGSVPVFENSMFKVRITLEGYKTMSTTWVIHNPRLVTECNLLYIAMNTQRGTE -KCNYNCKGKDDCSDPQKYLFTPLGADTNGINYIVYDMNTPLKDYYCPRTSDCVPGWTHVF -RWFREGCATRADGTIGCYASTIPNIQADVAPVYECTVQRMEWDVCQITDNNGTQDCYNIN -EGHSMIGDSGEDQISFNSFQPTFMKPKFRVAAVVRTGSSKAKGLFYDPPKAQDAMMGSPF -SFQCSSVPSLSTCAKQCWFPEVSSVISEKSKTPKLSYSFIAPDLSVGSVSKTYTMLSSIV -QCNNEGSTINWGDVKVQRSINIWGTNFQDTVDTHVPSLSLNLTHCDLAWTTITVQRETVA -SMTVNYAKITSTTDLSCAGSANRIMSGRLEFNMCTLNEGTVTIEPGYGVDVLLHNIFVKA -GCFDYVLNMTVAMPCDVFITLNGNTELYFDCNNISYSEPDFDSGVIIHDSGAYNTNAFGS -GFMSFLRTSWGKFVMVVISILSIIIFFIIVFILFQCIGRGSINRMAYNEKTQKMD ->YP_009666309.1 env homologue [Cladosporium fulvum T-1 virus] -MAPLLKEGDKVYLLTKNLKTRRQTKKLDHVKVGPFFIDKVVGPVNYRLRLPPDAKIHPVF -HISKLEPADAETPCQESFHFEPEAENEFEVEKILDKKGQRYLVKWKGYDESENTWEPRIN -LANCYQLLRQFQKWRQDSRKQEAQERRASPDQTRSRPKYPHARTK ->YP_009666307.1 putative gag homologue [Cladosporium fulvum T-1 virus] -MLSMPWLLIQATNPQGWQPEFFYGDRVKFDTWVSQMDMYFLFNSMTENLKPIFATTFLRG -RAQHWVKPFLRKYLDSNGEDNADGVFKSYNHLKHAMKSVFGVSNEIATAVRVIQHLTQKT -STAEYAAKFQEYAQLTDWDDEALQVMYRRGLKEHVKDELMRDGRKIDGLGDLVQVTIDLD -DKLYERAMERRYDSKVSGKAGYTPGYDNRNRGFNDNYNKPKDKPYYGPQPMELDVTEKGR -KIRNSKGNRRPPSSRETRTCYGCGKPGHIARDCRGKNMVRREQFNMMQRRTSKSESSVES -LGDIDYTRRVEERASNGSTEPLLDPSQGRGGCHGQQMVVIPFELQNQPRQFNMMARRVDY -EIESESRNGHGSLHWRFCYQDSCQVHYSAKSGAGYWPQQPRGTLGATHRQDATLQEVDID -ESCFDDDGSDKENQDPGWNGSWSPEPQEESQETTGLDTIEEDQDPEESSEEDSSEGEESD -TDDDNEQMTFTVDAPKKLYDMIIHLQRRHEEFLPRIGGRRMLHSIEFDKTLDTLRGMAWG -YPLMETTENLATAVTERPPIGSRMIGTGYLTPSGTFVSNELRNMVQHARALYSETQKIQE -RHRVQMWTQKGSRQVQAEASRHEARRSTYEQGKGSTPQE ->YP_009666306.1 hypothetical protein [Xinzhou nematode virus 6] -MRHLVFLCILIQAATQKAATYSIAQINKIGISEFTPTSTKNILYDLKLNMIAVSHDKVLT -TYLDLKKTCTTNLNIEPTQLSIVKSSGNWYVLVLYRTHYSLLSFYCSEVTTNEIQLAGFP -VLGIPLYKQSTLYTVVEDEGNYYAIVTSAVTNTFTKSKISPCEFFIVRHQFYPYIECQSG -NIAYFFDFAGAKVEHHRTLPHAIQLGDVSLTITATNLTLKDQFKTTTYGHQIDLIRYDGN -IYGNKLTVFIISRNCIASELCSTLFYMNLDKQQIEQQDQSFSAIFSSEYLPSIEKPQYGW -STILKLIISFLLGSNLLVVLFWIWTKIN ->YP_009666305.1 haemagglutinin-neuraminidase [Xinzhou nematode virus 6] -MTVLYTATSKSWLLATIILILMKCSQASTSATQAKEQPLQLRYFTQYNTSVNSIQCSRIP -VVSTSQLDSRFWCMTFINIIDKECSDHGQGTQFIKCFNKLETIFEIPIKSPNNSSEPRSC -SLALQGFDPYLICHLQQHKGNVLVSESEAIAKHKYKFNIWIHSPTSKFSHIVDHYSISKT -FNMYANAGKSIIQNECLLTSLYGKAFTMLISLNLTSKNVIQKKNITSSNQESSIKYHQGI -LKIFLRSANNKSPALQYANITLNKNCSFSVTSVESSQYNTTYFCCINSGVNWTSSTTARH -CKYNSTFFGAAQYPSNNYFITSDPKTTYGRVGPGINIHGSFIPLASKAAGIAYSSCTDIQ -GGTGAICVYESDTCFNPNYCIVSFLIGNFPKEVPTESTPTDTSKQFTTTTETTTATTSTT -AGFECKTEKVPPSQPRGHGLTVTISLLVLQSCAILCFFAYSYRQQLKRQLLIALHKSIK ->YP_009666304.1 hypothetical protein [Xinzhou nematode virus 6] -MSQQVTIPLSDLIQQSQRTRRRRQRRTRRQLPKNNLASKQIQQLAQSVAKLEGAVQKVLQ -PKQEVKQKFFLLKRPDEKQPTFIIPPSDKKDCRRKMGQESINVLAEEIKKSIKGGGGDIV -YNDGALHCHIEILAPGHDHPDLDEMLSSLNISNSSKGATSSA ->YP_009666302.1 hypothetical protein [Xinzhou nematode virus 6] -MASLLVLLCIVATTSATMQYVQTNKNYRFQGLNTSEAFQPFPVKSSNIQNATILPKIYCP -IVLPTLIWTPEDFLCGSCLWSDHHGEPRIYFCDEFQHQLKSKAGNATAAFQNLTKTESGS -NYLKISARNVPLTNCLRLTQQTCEVTKFRDPKTWNGTAFLLPYRGDGALWKMQNTEGYQW -MFASWQNQTKANYTIEAPNAFFPFQLANYAINDNGHDYLITMRNYIIPLDNANSSLIDLG -GTIDFKKASNCSSKSQTTCPTVKPKTITVTVPKECLKVEPQKDCKNITVEKVVEKEKECP -SEEPKTVEVIKEIKVETKCNNTEQITPKEKEELQKQIRDLTDRSSALLAVIAVLFLLFIV -MVANAIKSKEKSRPKPNNHPY ->YP_009666301.1 hypothetical protein [Xinzhou nematode virus 6] -MKFLIAIVSVLALASANTTETTPSDEPTTTEATDTTAMQTTTKAMTTTESTAKTTQKQCE -VCPQYDFKFQLLDCRCNGTLLCQIELRDEPQLEKSNFTVLTGLYVFVNETYIQWFSSINI -MYTNHTGRLPGLDPDCVENENITLAVAQSKIYTQPIAGGCQLNTPGNFYQYNGTKPKPTR -EVLKFCNATVLNTYVPIVTKDNSDQIVKYVYREIAYDLKCNDKTKLSFHDYEIAVYILLA -VLAGFTFATILAPRVLKTNKKEKQQWHPY ->YP_009666290.1 hypothetical protein 2 [Beihai rhabdo-like virus 3] -MAESVHEHKLFFCYSITEVSPRIRTYPLDVPICTWKENEGPRVNFGRKCTIAIGCTPEKG -PVLAIYPHSGIDTSRYKSLPKEWRSGKPVLYSLRGCMSAASISGPQKPRVQYCKEKIELG -LPKTVKELKEIRAVELSDSDEEDNFERSYSQKSPSAPPSYEAPYRGVYAQLRDMSLNH ->YP_009666289.1 hypothetical protein 1 [Beihai rhabdo-like virus 3] -MATRVSGRKPVKALGKASKKAPNPKKSTDPKDVSLPSSEEEDPFYEPGTEQGGPDLDEAM -DNALRMKDLDSPAQVVAPPVIKPGSSQKKRKVDESGESFEDVVSKNRDLLMSILANQNQM -MMKIDQMSEAILLLKATQEGTKTARRPAKIKQTTLSSVPDVREIVSSPIPSTSSTVPEHN -IDADLAASLRALQS ->YP_009666288.1 putative nucleoprotein [Beihai rhabdo-like virus 3] -MYVIIGCTFFLKRQANMARNQLPVGHDLFVQDNLEDAAIFQMPVAPTLEKCATALVTVVQ -RDGRADWEGWLSVFLTGAMQICSSPIVLNNHCTIEDMADAELSANGRIGAIKGGFVILCN -AIKPIVAQNKEYVRKRWTTAEKTLKEKGVKMPGWGEGLDGVSEERLFHEETLTASIRYIR -LDKVPVAARGVVEGQVVGGGPILAALRDQIAMIYHGAGMTSIELMHDYVLRPTNPAIALP -AVCEEALQFSKAYNGLKEKFGGAFLYLRSIAPQFLNSLDISKFPTLYWVAVESAKREGKL -GPNKGSNYAMSGATPKISTEQMEKYVRRSLSVVDTVTPNVAKTLQALGLERTIPGLERIL -EKMRGRKRKAPVSESESSDESL ->YP_009666286.1 phosphoprotein [Orinoco virus] -MSHSFQARGELSGDEEDPPPIPMSRSGALESIPDTGVKTIGVQSPPRPPLHSPKGAKTRA -SVKRATPCDDLEIAPTEKKPRRKTDDPGSVHVHKKLDRILAAIGALVDSNKDLARRFDDL -STTVSSKMAAMETKLTTANERITSLQTARRDGLLGQMASSTPSCPPLSGIPHYVSQPPRT -TPNIPPSSSLDDPVREMRRKMGLE ->YP_009666287.1 nucleoprotein [Orinoco virus] -MTTKFSNWGEIESTVPVSISLNIVEVITLAVNKGMLGLIQENELLSSAYWLLGLEDDKTA -KYITATVGERIPPTAAGRQTTAYVALCIMMGYVKELTKDNYEAASKRWKAGLASQNLTDM -VEEPVKTSLWTHILTLKIKVYSRSDKREALLRRFLAVGKNLEGFPPTMRAVHGQLQLIYD -MAYLKSVVCMQDFIHSGNPALLLLHVRKEAREFQEVIGRVQAAEGQNFHLCRLLDNTKYP -ELNHSKYPDLYAVTLQWARKTKRVQKNYLGSKAITDRSLDIDMAKHLISLKGASKVPTPS -EEDARWLESVGCSTKIDEVRRLMGKGSGSKRRRGEASSASESEASSESEAEADEEPPRRR -SGKHRRH ->YP_009666285.1 hypothetical protein [Orinoco virus] -MGHLTHPYRAMIMLSSERNPNLEVLVATVNMGEKWIVSQNLDHVWPAVSYRIRTTKRHRR -CDLLLPKQIKNWIKTAFKGPVQTRHNLEEVFQLPVKYLEYYDTLYLTALQDGSLSPTAPP -PYVGEEGREDHLRHLQSHLGSDPDGGDPGVCGLLQNAFYNLSISTAGGGDGHA ->YP_009666284.1 glycoprotein [Orinoco virus] -MTLTAILALLVFGVTLGRGSSSPMICPVDTPPSVYTMPEVNPCSDQQLEDMSITVSYNQS -QHANIRGWQMSVRPVTCTTHYFFLGTYTHDIEYGVPSAVDFPGGGNSFVCPPSALVYSSS -ETLQKDLCEYTWPTRTSTTRDVCYMERASLISIDGMASVNGHPVESCDAKLCKVNDWTIF -QHNLGFLPSRSETTFHYSGMARCNKAICIVARLGEAYPLISIVANSTWSTAYRSISGSII -TLLWLDSGLSSQEPRLPQTADRSDPATLAKIVKLESSIQALNEEVNNICIGVQNLYTAIR -TLSWSSPTAAAALYLNRTDVVAQHSDNYFLVWPCVTIDIWSLRYTVECTKYIPITYTLSD -GNKTGYLDTQRNLVYSWSPAADCGPSYVMVKGALYEIHQSTSGSNHRLVTSEARITGKIF -RPVPRLASEWSSQSWAERENHPSEFSYTDLTRSPTIGGSSWLDAQIDARYPILRYIIHIK -LVAFLLGSCGTTLALYLTYNALRTRRHLRRIECQMAESMRMKLLA ->YP_009666280.1 ORF3 [Drosophila unispina virus 1] -MEYKNISPYFIIIIFGLQHGWANIIDNKLLILMKSCAYDITEYHYECNNGVLPLKAVGVN -PRYQADPLKQCVKLHNNENEVMREFYNLPFIRNKNEVLIDGFAIGTINEVNNTCHGIPHL -YNREFIRSYLLRVEVKITLNHSVIGIKNDSQGTDFSTHENIYPQLPSHCRYEVYSCLDTT -DQIVYYWDKIL ->YP_009666279.1 ORF2 [Drosophila unispina virus 1] -MPNTNMEGIMKYIPETNKDLIKHDITQLGANFIKWSSLNWMIRIYGYNSAPYEMVKGSLI -ATWVVINAMSKGVTESIRVSYTGSWKEILSTLGYPDFNEDKLQKKWKEYIELFTDKTKSN -ESIKKKRRMPFLDAKDKTWTENWMKMIETMSSHDFHELNSVNIRIYFEAEEGKIIDYIIG -NKYKYSLICHQEIKRIIGQWYMLSPEMAIENSCGMPHPIAPCYVCVRNINHANKLNRDAA -SIKGKNGIMKYIKNNADIMANEIYLKQEDQGESLPVVELLHKEEFLNEGPGEKLEVAFER -SSTATIQMGRTQGGSNCYSIILPSSCPPLLSLCYSLREEIIGRDLPTLMSVSEISEYNRI -RESGENEKCWELLERFAISYVGKRIPEAQRVTHHQLDVEDQLTEDLINRIIKCKTWDQLT -DKIHKLINDATSHTNDLESLIAKNSRGVQYPSAHSGLNQPQTTLDLPFLEGPSTSQKARQ -YTQQLECKPKRGGFATEI ->YP_009666278.1 ORF1 [Drosophila unispina virus 1] -MGDKDKRNETQGALGPILSSFEEKGKVMNVNEEDKEYETVFSQLSPDARDKLINKEVVGI -SVTVYRQVQYPCVFWIAKYNDVVIQIDANHQYSSLLRFVDPDSVFGGAVWNDIENKVTYV -NYELVSFLRVIGAPNLPMGLTRLYTSALHLKSQKIEVIPWSAAKLILGCLGLMGSTRITN -LISELLVASGGKITEQMLFRSLQLGILGFRLWDDTEDEWLQIWNEFSTCEWENPTAKVLS -AWFAERHDRTLEKGTFAWEEEINFAVFLSVHGHAKSYNETSAAESYIKRYKAFCSQLGNS -SGIAEFQGSFTKTIWKPESLSKLQGILSPKAKKILYNKIVMKKTQVQFSWLSYVYLLMEG -NGMTMVRETHNMLAGTPLKGFFDKRMVVEVRDFLKYYNKKSNDTGFLYSWYIQPDVLDGF -AHAKFINLYSLTLIWCNEHGKMKNYNSTMLDKSIPKTLLNVADVTQMDGTDNSNEIVQEV -QTLLHCKSNLAGGSQFDLGGFLKMLTKEYTNQEKGKETE ->YP_009666273.1 gp5 [Sclerotinia sclerotiorum negative-stranded RNA virus 4] -MSPSYKEKLSLAETKLRMLIEGGVLESLEKAKIAFVHATVQAKTQSLLNRIKDVAEIHSL -HAPPSTPQLPFEAEWMTVSSQAINAIETAELLVAQLSDQLISEKAKTRPPRSSGSLDTIL -KQIQMIEDSANSPPSNDCLDESHLSAMGDTFKKLTKQT ->YP_009666275.1 gp3 [Sclerotinia sclerotiorum negative-stranded RNA virus 4] -MSRLRLPQSFSGPVWTFSILGVIVSKRPEILLQTRLAALRTLILVSRSTSLSTRVSMLRL -PIEVIAPFSPEMTAVHEFCEQLPDHISVSDLNRVIDIGLAGLTVAPSTLATYIAVASVSE -HLSCEAKVLTASLGIGELPRSRRREIRFEVVRILNESDVTLRDKVKLIQQDILSSSIPSA -TE ->YP_009666276.1 gp2 [Sclerotinia sclerotiorum negative-stranded RNA virus 4] -MAVHSRAQVLGLASASLFRSSNKAVEVASLSETINYIKISFGEVGPKDEAKLRAFVTALL -IEAYQSTEMKSAPDMISYVLTGTELIFPGTVDRLQRDQAGQFNRISVQVDGPGILDRMTN -EPGAAETTTSSENIIDLMVVDLEEDATLWGVGIVEVAARWGIEMFPIGKNVSLENITAFR -HNRVLAIKNALILPDDYPIFRESLLPVFATLKGIERSFNAYKSIRKHLATRWAISVNQGS -TTRELDAFLMTFRLTDGYGLGAPMFIAELLSAYPELQGFAELSPAINSFIDAMGAFMEED -ENLRGFVKVRYGSQHRLFSSTTRGALLALAVCFKKQTEATAGNFIDTSPFSDVLARANAY -LQSKGRPVISGIGGRQEAALQ ->YP_009666277.1 gp1 [Sclerotinia sclerotiorum negative-stranded RNA virus 4] -MASKQNPQVISEEAVASLDNNVSGRSFSMGVSKMMSSLSRASWADSVEEELPKSPDSTAK -LTTIGSAKLASTSPARIDSQSRSDAPESESEDEFQESPVIKKEGSQLAAEESEVEFNPGP -VVKGSAPKQAVGILGVRGNQREILNPTAQKITDEQLAGTTMKITLDKLQQVVEAQDNVIK -ILLQRLDASDTKIANLLATINSLKVVAEDVSSRVKSIDADALDVLNQAAKIVRDNRVPDA -PIAKIEQELERTQDSVAKAAERAPIQSPKIKASKGARRLKLSFE ->YP_009666262.1 putative accessory protein [Shingleback nidovirus 1] -MNPTTISQVLLVSIGLALSSEQQTWNHTNLGPRIGDDLYWPPFLTWNKDRFYCSICYNDS -GTVKFPNFNNNVPSRKWINLTYSTDGRVTYLGHHALINWRVNATHIDTYVNGSDFVWKEI -NQSAVLEYMPAGPYPFSVPRIYLKNTTAFNFIGKLWLFGANYLSAGSLRGANGHYLPSTS -YGINASAAVYEDHFAAVNITYNLNRFKTCESTVSTPTVAEISCPTCPTFSTSLKTLLTAV -TCPACTQSTCQENQQTCPETPVTKCPECGSCNITIPQPKIIKHETTEMQIVRSGEDCTDM -RVFAISSTVFAVVLIAIMANYMCCRSKPKSKQQIY ->YP_009666146.1 28.8 kDa protein [Cordyline virus 2] -MDVFFAEFVNKCDNFIFENLSDILSRFDVEIGSENFSITNNHLIHETIKTTFKRKYGMKQ -IALLKVCDECGVNPDIKRVIFEKVEVETFKMACKFRFYQKMLFHMLLKLMFRNVGKLLDH -TVLIQRKHNDNLFSYKLNVGNRAKLIKIDYIDKEDNNRIKQLRIKVRLSSFRDHDIEMFA -YDNETYFNAMETLLSKINFEIEGFIFYLEYWEDYGSLTSEINESDDNVRTLIKIAETIRK -I ->YP_009666145.1 25.9 kDa protein [Cordyline virus 2] -MEDNSVVQTCDGFDTSDLEDDRVRNKLFSDMMDEVDQIKSEICSSTTANSHKLKSMLKTL -SLIHHFLKKNENLRVTYIDKRAKELIERFIVLDERFILNNEHVVTTTVKLADVLPMVLEL -KGLCSLKIDFSLRVVLTKSDCEDILQNIPRRTTKEGILEIVKQKIFEKYGVSNLLLINID -IRRDTLTYLKSLAKGNSYFSLIRQKSIDFELNKNLIMSFEKVF ->YP_009666141.1 9.3 kDa protein [Cordyline virus 2] -MEEVKRTLKSNGKTVYKFKQEDPDCVMLLKVEESSFMKMLYFSNNKSFNGDLFIVDEVVD -APTIYVLIEALPYYRVEWS ->YP_009666139.1 4.2 kDa transmembrane protein [Cordyline virus 2] -MVLLIFLQLIVFLFFVNFVTKPSNRGFVVFKQIYI ->YP_009666136.1 nucleocapsid protein [Severe fever with thrombocytopenia syndrome virus] -MSEWSRIAVEFGEQQLNLTELEDFARELAYEGLDPALIIKKLKETGGDDWVKDTKFIIVF -ALTRGNKIVKASGKMSNSGSKRLMALQEKYGLVERAETRLSITPVRVAQSLPTWTCAAAA -ALKEYLPVGPAVMNLKVENYPPEMMCMAFGSLIPTAGVSEATTKTLMEAYSLWQDAFTKT -INVKMRGASKTEVYNSFRDPLHAAVNSVFFPNDVRVKWLKAKGILGPDGVPSRAAEVAAA -AYRNL ->YP_009666045.1 unnamed protein product, partial [Kirsten murine sarcoma virus] -ECCFYADHTGLVRDNMAKLRERLSQRQKLFNSQQGWFKGLFNKSPWFTTLISTIMGPLII -LLLILLFGPCILNRLVQFIKDRISVVQALVLTQQYHQLKTIRD ->YP_009666031.1 putative heat shock protein 90, partial [Olive leaf yellowing-associated virus] -MALPPYNLTWNEGDLFRSFYGIRNVQSILDEAKLDYERQRTPSFVSYLDADFRQYDLIWL -LNSRRVPLIARPLFYEYCYLYNYEKKVRKFENLVYELDSTIRDILNTRYLDDLDKELHEF -SDDEKFSSLDFNIRDLYRDSYYREQTSDRKKFLRNISICCNRVINLERETLSGNTVFPSL -PTSQIKLDTTTGDILSWAFRKGRSVIDGLSFTKYHSSGEWFKNTINRKKITTNGVGLVDV -INDIWSISFLGGLYSIIDYYDVDALSEDSVRVEFLE ->YP_009666029.1 7 kDa protein [Olive leaf yellowing-associated virus] -MWPELRFTLCVLVSTLSFVFLACLILLIVHFSEIIKNALKRLRQQQGFGNTDSQLPFRGA -AA ->YP_009666004.1 mall hydrophobic 5.65 kDa protein [Pineapple mealybug wilt-associated virus 3] -MIRVDNFLWAIYLLTFITISAIIVVLVVLIQRLLCPTSLPPNAQVPHGGGY ->YP_009665990.1 RNA-dependent DNA polymerase, partial [Avian myeloblastosis virus] -YRKVKPDIAQKDEVTKKDEASPLFAGWRHIDKRIITLHSSFSKINLLVCFIFH ->YP_009665985.1 gamma-B protein [Poa semilatent virus] -MSTDLCSVCGNVKDVSTFVESQEDGKFCSAKCLRKATFRRVRKQLAEEYLKHDLIPVSCQ -LNSFPGYHCGMISALEMDPSGKPVVMNFCGQKHEALALALKAKDGAKLRLEYLERRFYQM -KDVYARRLDRIAENLKEERNRLTTSGTITVKRDGEESKQLEVSVPMTTADFFKLSKL ->YP_009665184.1 nonstructural protein 1, partial [Una virus] -KLIEQETDKDTLILDLGSAPARRMMSEHKYHCVCPMRSAEDPERLVYYANKLAKAAGTVL -DRNISGKIHDLQQVMATPDLESPTFCLHTDETCRMRAEVAVYQDVYTVHGPTSLYHQAMK -GVRVAYW ->YP_009665178.1 gp70, partial [Endogenous langur type D retrovirus PO-1-Lu] -GQPVCWNSRPPLHISDGGGPQDKAREIMVHKKLEELQKSLFPELHYHPLALPKARGKEKI -DAQTFDLLTVTHSLLNNSNSDLANDCWL ->YP_009665176.1 VP11 [Eriocheir sinensis reovirus] -MDRSKSINFQSFMMNTRPPTDMISVSNAMIRMGDRANQKWAIDDRLYFAIRKMNPVFVHD -NQIPTKYDYSILQIQTRLIATLRETLLFLVFSYYLREYQDKNGVVSFYPVAMKDMRPIVN -ELKRRVNNSYDMTLNMAYRTSVVNAVSAPDAFDSLAAMLAVTSAELQKTARLCPELLNVF -GKMSFIIVSGPERPSIISWKRQL ->YP_009665175.1 VP5 [Eriocheir sinensis reovirus] -MDRSRTFQIPQNAPHRDIFSATTEEVAEMHEQLKSGSLLRGFLVVEQGQGPYYVVVVDEA -VHQGWIYNARTARLEVRKVKGGMYIGQNVIPDESGVMHPGDAESHHDLLPTLLQAGLLPY -YQYQPLNVSGALDLTDESDVQTVQLDSFGKPTSKFEFYPAPSLSKLRNANIPCRRISRIE -TNRCDWPHVFVLDPYNCTLVKLPILRTFSGLSHEGGVQQDKTVYLVYNPLEFVGIWYVGS -GRTVALQKVWMKRMLRDAITADFCDAVETDKRTVPTAQELFTDAVNSGSRREGSNDEWIT -MLFQAKQPRFVALRRLLSICDMKKICVEGESARDYILYDGEYILTVFHDRNGWIAVYDQS -VDHLLTTTVSVAPTPRHAPIKFSKPYRYMVHRIVGREHVESLRLAELGLVPNGTGNICTY -CQSESTDYMDHVQRRCLYTIRTLGLYVCTSSYTPHWIREELERQRVPTQYRNGAFGPMLT -HGGIPLTLSQAVSILMGNNMKGPQGTGTLMQISYYGVIAPPISRKYRPRRKMTRHDSRT ->YP_009665174.1 VP12 [Eriocheir sinensis reovirus] -MAANANLNLEINNFAPIISSIGSQLCSLAAHKLLTTRKQYGSGAKSFDEFYAEVGGIIGM -MGINSQTPPGIREGIFKLYRSAFLFGDLFPENFGVQNQQYIKSPPEFTAPARKIEIARPA -GLRAEVIYNPYEVRFSANAQIPQGTLLGTVTLPIYGSLIATRRCHVNAIGGELTSSRPQI -SSSVPLPQGEIAVASFDAVEVGYGEGDRLFSVGIAVLASRFSGSVVSMSRHNYMMQIFTE -LPDGLSERDASAVLHFAQAAPVVLGMMERLTDAPKWVLDY ->YP_009665173.1 VP9 [Eriocheir sinensis reovirus] -MSDQVTNRSGASLPLSHTFGYQLLAQKKDYDYDATVPADATWTPFESNDKYGNADVDIYL -TFYQIGAKVFFTVTKQPTEDERSLPLSVTWTRNGGVGFTDDRGAIINWLQLISKTQATVT -KSLIFLVCDNFDGFFVLIPCNMNDAHQCGSFAVRKKVAKPLAVLARLMNIVPSTKGESNL -YIQNMLKALRLRSDNTTELIPSDDGARVMAPYLTDEDTESILRGALLGLEPGNKVIRWCN -LLTGYDLDQTTANFYLDTLTIASEMWKTQGVPILTNSLFAPEYDGLVPHLPELAKDLTLY -QEWVHEAMETDHNGALVRAGKYGIDQGLFTLDDPFIPAWLRELLVA ->YP_009665172.1 VP8 [Eriocheir sinensis reovirus] -MSIASNYADDHVEDNHSVSSSQPAGRDAVVSLPATAFDDIMKRLAALEMCAADTAAENQR -LHQQIALLKGEEEQVGTKTIQITVGIYQFDVEVPEDKEEDLTKGLSMLLRVGANTLKSHP -KGLVDALETTSRVVGVSHESLSYYDKLPALTKDVIWQKPDSFTLSGFTIHSAAELMSVAV -KDAGDDDYCFLQNIGGHECVITAGTLFTRILLLSGWRNSFNLEQKSVQKLIQPVQPRRQH -THQERSAGRSIGKTLRAEVARLDQEDERVVLIPRRPETPPLADRLYFNRSSEMNLQNA ->YP_009665171.1 VP7 [Eriocheir sinensis reovirus] -MENQPTNTKSDDRSILTAIHGSYQLTPQVGLSKPGTKAVVSSLFNDDDQLVGLDQLQRYL -GNSYRSGFITMDVPTKRNIAQAVLTLLPPEAKINVEAFLRLVMHVEALSDANALARVTTV -LCDLTGKNAKQLLQKRDTQYDFTQFEEDWKICGVPLITASKSGSKDKTTIIIVPDSPIMG -VVYDRNDDAVLSVYGSYEIRKKAGLQYVLPGVRSAPFGLRGKAATIATAVNEGLANIVNN -FGYDEPSTFVQAPKDMPVVGQISGTDTIPACIASALKKYKDLMIDVDAERTDHEGTHVYT -TKAISMSQDVATTLIATDSSAPLGYLLALTDDRSMDRVSLLDAVKGKVDYEKRRAKAAAE -FVNTNPEEFDDLMQKRREQMARSRSRRNGATHSPGSLNRPTPAKAGASLKFSFTTKKRAV ->YP_009665170.1 VP2 [Eriocheir sinensis reovirus] -MTLLKSRLNDFDIPIARYVTHDELDQQTQLRRKRGILPIISTGSNANQIVMRHAFIFAYP -GQEILTTSPMLADLINKTKKVTRSQVGDMLREIFMRKDTVQIGSEFRQAEYKVREDIDCQ -LYTLESDFMIDDLVDGQHIRLKFPIVDLPVDTIRDEIIQDFVHIRQDKPLGFARIRNITL -KGGQEAVLNDLREPSLFRISSRQIDVASVSTSNPYDRPIQLYRGGQLKLLWSTQPEILAV -QPNLIIYVGGAPGDWVNHYSKVNSKSKWVCIDRQVPLYPCQHINNYVTVDNAASLLTYIE -DSSRVMVIWDVRKLRPSGMSREEWNTVVSSEYDLAKTFLNACLAKFTKVFCHVKLRPEYQ -NSQTRYIAGTKIKLQAFNRLDSHETRCVGWITEVIDAYIATEQYISLVDQTYNKLRDSNY -SLDLRVVSMRLQEAVSKKVSPNDFLQVPSDKMVALFSLSNALNIGNKDQIFKKVSQGAIV -TLEYGGLERQGETFGTVIDGREYSDFSVDILDDVTRHDCTLQPLWHMFAVSQMDYVGDSL -YSVVLATRPRHTYEQETSVTTEMVKAVSTHLKLNYFPDDQDRVYTVRKEVIDTHAKKYGI -VGIGSRGDYRTLNDKFKERRSVSGHLLYVLVGACLYPMGVRKYVQVIINNSQNMSIGTEL -KNLTEERNRWHYILDYILATYAAEKLLSEMVTGLTNPWNVERCYRAIRIVREQLEAYLQC -PSSVPVILIPAMGGKSTLSTQLDYLHDIDDWYEKTGFFRKREVGMTQQEEEDAYDQVLDT -ILRDWRSMRAKDVLLCHTVSQAQHLNARILGGFVPSKRLRRIVTQKEKPHAFRVMVSNMN -IRDISEIDHTVYDSFSVLKELVVDVAKKAVCKS ->YP_009665169.1 VP4 [Eriocheir sinensis reovirus] -MVAREMSDRSRIHKKRHQSFLKLGEYIRQQHTKNVAPKSKVDWSLPLVCDTSGAGESSCR -IERTHEILKTDERAVDRLFTSAIGKRAKPITPQFLNKDIKQVYTHRYQTLSSVHIAVAKE -EILSLIAERVNLPSSVVLRVDRTNIIIAGQITEKWYQIECDTAIPLLSLFIQSIPPLKKL -NNNHYISHSPSHLPLEDAAQTQKITFANGTVFARKVLRHTYTVGTGTLEPFWHYTLPQTD -GTNLYYHLFPPVLMLNHHLSHKNFRLSVDMFIQLLVRRGGAVHCDIRRRNIAVIDHRLVL -IDDHRQDGGEYLASNPYYYDCGDSEDLILPTTFYQDLLASFRVMASISGCVYPWSTDRSC -DAVTLLFDKDMKLNGDKFHITLTYGDIYQISVLMRQHMRRLAKPSIDIESMILKHVSPPT -ASQLIAFRCHQEASGISEHVDG ->YP_009665168.1 VP3 [Eriocheir sinensis reovirus] -MASTTRLVNDQKQLEERVKEDARILAEARGITITPAVNDAAIGGQEVRNIGPNEQATINA -LNNAIKRIEALSVTISKAERLDDAQILTPNAYTQQLEDVFSPEEDVYVVLPKLAFPDVKG -VIDRRDASPTNFTFSIASQLMTKLSATTHTKIFTEYTKIAASALGPEISTEGIPLVSFID -KLDLSDAETSRLPVIQDSMVVQKGECVVGNAEQGISTIWIKRVPFVGSEFQQLIDELLWQ -YSTKSLTTKEQRRQRIVEMVNDRRIMIQNLTPAERPQVMRHVTTEINNGLFLKMSPVAQL -YIYHLNRAFIDGVGFTPLAQKQQQLQLQLKSNILTANLIRSAINGMNTESNQEIAIKMMQ -AAQLRRAPLEVAFPMHVSLSPEIIVQCFIIWMSIPEELLSDRSNFTIAAVIWAGFSTDDS -YADIMRRSARASDRQNYDIIKAALTSRRFRLPRAATTLVDENEPVVRRYQIGSVYAPFPV -DRYGNPVYSNCNKVELASDYNAEGFTIRKDDFRALQAVLRIDEDRASDMFTTLRIIISSI -PAVWYDAEVVHYPHVTVELETLAAYGLTGAYPKTNHGVSTIVKTVNNISATYSTIAQMLS -TIDLDPTRYGTSESIERFKTAWENVEAILQMDGNDFVKTIMYAYEENFPKKDFYMMLKQI -ASDGQGAHPIAAAIDELRTIVYREPERFGYIDSVILTHNPDVDVGYNRFFHLHPVVTNQA -SNTIKNAELWNQMRLEMQLEFLKAGPVRVVGPFHVTYNFLSEEEDLPATSHLVMEDNLTI -QQHLTFNFVKRERRNNKKRVESFRYRVSDMYVAVRISKFKLEVLRDLGDFVRPRTYLNTS -KSPLATTPIRVVEYVR ->YP_009665167.1 VP6 [Eriocheir sinensis reovirus] -MAFRTRIALRGPDDLVGKGVLRRQDYGTTSQINEGLTVFDSDGFELAAKLDQTARTANLT -RQGLNTAISDIDSEIEHLAENLYSTEGEISETEKNVIRTARMVGHFERAVRQDISVINKN -IKNNTDRIGEVRGDLIALVESVRNNTVQDIITTTAQIAENIGLATGITGAMLPFNFVTSA -TADATTAVTIATDTASLANSSYNLVQLQKILKHEASLRELIPIFAKAIVSGKTAEEITQI -ISRVADHKVSKVSTTIHDMVKGVERHSMNLWVDKRKLNGGLTSGIFEQFKDYGLFIVEAM -QGILRLRVYIDISSNTKPYVVAELRNKGDIVDDLSEDFVAFPRDTLTDLETMYHRFSALG -DYMKKVMNVDIISMQKQIVAILLGEKDTSMGQIAELTEDLQQLESRNLAVVDTYASHLAS -TSEFDQHPAVGSVVTTFQGMGQHDELIYPPKGVHESWNLLITVQRQLSGHAYMGVGRLVQ -RLDGPTSYTLRIHHGPVRITARDVDATLTALPDSGRTIHSHPYAHHMKTAAAMTDDTPRF -QDTDLGKRVTTLTKGLGRAAVDEILARLHQPHPPVGTQDDRYGAVDFLSAVGKRVIKSYQ -VSGTVND ->YP_009665166.1 VP10 [Eriocheir sinensis reovirus] -MRRLVHTDCVTRSQLATMNSQTGSRGFSARYDLYQLVCVILATLGGMSQVMAMILAGYVP -VQYRETCEYVGHAIAILCFAIQLGLMRWMRSDKVAIGVVMDKMAHSLTAVGAVGDKGMIK -KQGKRLDAHGYLNLLGMAQQHLDEIDQQQPEPEDTDSLLGDDDADTQVKLNGKYVMMRSG -AGQTLPLRLMSDFVKAVFTADFRSDDPTLTVKDDTVLPMSLFNQPMTGAVIEKYNPFYIY -VVWGVRQSGIWYVVKGIAIPMPTYVQNWALKRAEAGRPHFAFKDAPWINDTSKITPVPDP -KKVPVVTVPSQVFNLYPNIHTDHTFIPDSPPPYPVAASPV ->YP_009667061.1 P1, partial [Iris mild mosaic virus] -RKQANTSKLSF ->YP_009664832.1 28.7 kDa protein [Cordyline virus 4] -MQDFFQSLLDADFISLTISAADILSEFNRRFNLLSDESLCDSEHSRLVNSTFLSQCKDEL -LLIRSLHGGILHKSVYMKIFEIVHRERVYQVYIKSLWNLMQKPMICYLLAKFYKDFINNG -LFAVNNLDNERSSVSLCKLSTRYVIFIKNIVKPCSKVKITMTSGGYPRFQIYDTASESQR -LQEFVSIFFTGFSISIFGRKTYFNGHIDSSQIDYGKMYNLVNQFNLIKNYINDNIEDLNI -KIITLI ->YP_009664831.1 25.8 kDa protein [Cordyline virus 4] -MSSSYNNFDMEQKRNTMFTKIFNCINDIESSILFNDSMTVSSLLQNISQLKTILSFVSKN -EDITIKYVNADHKRLVSSVIGDDDEFFLENETLIVDSVKSKDLVPNIVELIKLLELKHRL -KTFSLLDSNEFCDIIEMLPRKCDHLLISKKFEEFIVEKFKLSDKYNINVHIKRDIIKTII -AMVNKSPLYNFLYNTKSTCSDLNKSLQIFFYKGQGCVKSTTI ->YP_009664827.1 9.7 kDa protein [Cordyline virus 4] -MEESKNLFDHLHLILREFKSFEEPKVVFVKYYVESFIKFAYMSNDPKFEGKFYELSGGLD -FDDFISLTNFLPYIKSEWSQ ->YP_009664826.1 putative 4.4 kDa transmembrane protein [Cordyline virus 4] -MSLLRLGRNITWLSSMIWTPTYKFIYQVLMVVKYIN ->YP_009664822.1 28.4 kDa protein [Cordyline virus 3] -MEQFFNKLSKLSFDKLYDDVDTLIDEFNVILEDEDFVKINKTLFPKLTDNYTSRRVCFEM -AAVNKLAEFGLNYDGIHAIQEKILTENIKDILRRRMYLSVIYAKLFDVLFFNIHILYEKE -YLTEILTHFSYYAICIDLSGYDHLEAETIDGKDIKLHIFRDGEPGYLYNQYIPPSVELDL -MRFVALKEFKISFDDELMYNDSEQHKYFADLRNKVYASAKRRNKMIKSCKDLNINIKY ->YP_009664821.1 25.4 kDa protein [Cordyline virus 3] -MDLESRSTEKNRLKNQILTSAIDYFFNLKYYILKENAITLERKKNLLSKIRYLYDFFKAN -ESSSVNYVNIEIKKLFETELHIEEESLIDSEEMFTSTVKISELGDQLNELINILHLKQDI -YTKRVLNNESLSDICIMIPNKYSAETTLNVIREKILAKYDLKDTFNLELKIPIMILKTVQ -SFSKNLPSTIFVRASSLLKDINSTMVISFDTTYKQLK ->YP_009664817.1 9.5 kDa protein [Cordyline virus 3] -MEDLKNLLQSFNTSLKDFETPQEDITLFVKNIHQRMLQTMYLSNNRSFKGKFLILSVNED -IDLFYYLLEQFPFKKSEWT ->YP_009664815.1 6.8 kDa transmembrane protein [Cordyline virus 3] -MNFILFCSFFLFLYTHLSSYQFYFVLSGFILFVYIFLNIPSLNHNYIVYKPIFRN ->YP_009664812.1 p22 [Beet yellow stunt virus] -MKLLLSDSYYYDSINLANKCLNELNNKSVPLESCIASYNELLIKVMAQSQSDAIRKNEKN -ENLFPDLSVMTTDLELMVRDIRQLIRAEINKEFNCSSNQDVVKMIIRNYINTHPSNSRER -PVTFEQIQSFSMRGVIKTSLQNLSYKYKFEVTINYFKCNALLDVKMGVSVKTFVENYLGE -NLESFL ->YP_009664811.1 p18 [Beet yellow stunt virus] -MNVIESLQFVTPVHEKYALVLVTDQDAFIFEHLGVQHSSPYPVLWYHGEGAMADGSVISF -TYKELQDVLNSLLQYRTRMLRVRKTTSSSLELINVRATNAVVSIFRCNAPTLIFVTSKGP -EESMDAVLYNGIIGTPSVDPTHLLSSIVESYGNITHFAIE ->YP_009664806.1 p6 [Beet yellow stunt virus] -MDCILRAFLPFGFALVICFFIAVAAYFFAFFVKNTHSQDTDVDIRQEDLAG ->YP_009664805.1 p30 [Beet yellow stunt virus] -MALYKYSALALIVDPDRRTYSFSFNLRAIFSACDARVFQGVSVCGSVLASTLPTLTSSVF -EVQSNGEFLSLKRYRMNDERRRITTGAEMTIPHSDAKIFHVQVKKQIDGNTGLLVYNGPH -LILGGTIVRQFDILQLGCENAYADLACPTSRFSFEVSDVLCDCPMSFEIINTSDDNNRSA -CTDAFYRRVVFEDSGDLLDTVDDSNSERHVEVDLRAEESSRGDPRDVDVLSSGEPEKKSV -LRNRNLMCLFLLVLLLAGATIYVYPNLSRFGVS ->YP_009664802.1 p6 [Pineapple mealybug wilt-associated virus 2] -MNTNAKKYLLSILLIFVVAIISGETRSLLGLILFLVGFLIQEIIKDINEY ->YP_009664801.1 p22 [Pineapple mealybug wilt-associated virus 2] -MSEEILKSADGMSCVYHCLTLIALGEKITTEGRVELLINRLWFTHLSDDGKMRHMYDVVE -NILTFAQQHRIIIPQHTSVFLKYNVGNLINVDGYTSLLIALEEFLARSDELREQAVSEFG -DGFGGFYPVSQVVELYAKHNSKISETGVRRLLEKKPLRDKDVRFFPKEPSERDLLSAFVC -IITDELYTRNCRKK ->YP_009664800.1 p20 [Pineapple mealybug wilt-associated virus 2] -MEFRPIEVYYEPENGGKLSFNEINYETTLETAEYYRLCGYSTGFTEGDEYTTNSWLVLNP -ARFREEVYDLGIGVPFTFYNNLRELLDIIPNLRTIKSVTLRRLFSDSGEVRIVLKLNVIE -KGGLPVSVEILPEVKGYRNILKVVSWERDNTRGIVKKSLLDATILLPKIPV ->YP_009664795.1 hydrophobic protein p5 [Pineapple mealybug wilt-associated virus 2] -MLDAFTAITIIASLILAFLFLLILFIVVLVYNYYSRMHSSMRSYGAA ->YP_009664762.1 p24 [Mint virus 2] -MSRDYFKEFIGTGPGKSKSEEVGVEGKPTTELGEVCRVLVENGVGLGHFKSNPVAINKSK -QLRRLGVLVLELNGYNESTFLNRLEERRREISRTPSECSVGEEKGVRERKISLVNLCKEI -CTTGVKGEPELELQGDQLRILLSWNRTEYSWDNNEFRPLGFRTYAFEQDRLRQYKWSLHG -LNAFPNAHGRITEISGSGLRDRQRSGGCL ->YP_009664757.1 hypothetical protein [Actinidia virus A] -MHNIYPRYKLNTTGTSQAVTLGYAKDETGGLIERFLNPELHGVTNKEEIKEEDVVEYIFL -HAQFGFYSAKSVAEALQGNNYRRKYEAKLVNIICERRGLTNEACVSYYLNRYVPDQTIAQ -WFSLFLQGKNPPVPTKGFKDELPWDFVLRERPGSLSVELNFNKSLNQTVTEGPNERVSEL -IREYDFDALGIRSWSVLTDPNKSSKGGNRYPGKARVQST ->YP_009664746.1 triple gene block 3 protein, partial [Phlox virus M] -CALNSDFQAALSGLKPLGACSLG ->YP_009664731.1 triple gene block 3 [Lagenaria mild mosaic virus] -MFSKQEIALGVLAAVIALAALNWHPRASTPICQIVLDGSSSKLVGDCSNIGADVVRALGE -TLARLRF ->YP_009664718.1 U3 protein [Bas-Congo tibrovirus] -MTMKKTNSTMQSTNHTRSWLSDSVNWFAGMWTNMGTWGSGLLGAVAFVMILIVMFRCLGI -INKLLCCKRKYQDRTKPQPTGRVKSRIQFFQKNP ->YP_009664716.1 U2 protein [Bas-Congo tibrovirus] -MQSSKKQSTELTKMDKISPSYYQVTLKIQSSGSSNDCISRERFYHKIMRRFNPRHHALGI -YLGGEIIMQMIEENISYIPSNKCWKVSGLFSLRLKNLDLLQTTGRTSFTIIDKHIFSADL -IISGYIEYQKVMRHEWELGAKKFVINSISGHPNLKVREDSLGNKYLKYKDI ->YP_009664714.1 M protein [Bas-Congo tibrovirus] -MLSLWKSKKAVNKISTQSIWMNDPSCPTFEEVFIPPVKEDFLQEENAHGVELNLAFSGGL -ELISTIQLRSVSQILSHLEGFVDLSEFSIMKRGIVGITLLILGLHLSSKPGQTSGSYSYH -SKLDAVINVLVSPDLIISKTPFGVRYGIETAELGISISSRISCDYKITKRVGHRVEALYG -ALMSNGESPPELSQTLKKLDIPHLVQEDRICLQPSWRS ->YP_009664713.1 P protein [Bas-Congo tibrovirus] -MEKRDLKSLMRHYSKDGLDKRLQDMVDSEDDVLVDQSGTLTQPIWENPLQIIEEGDSGKS -TKDWQDIITEQSPPEPTSSTNKKKKSHWPQVEMFERYEMDVVLRTVSALGLIDGEDFEIK -QHGQSFALIPTRFKARPDIVSHKTLLEILERGIKVKKQGKDLYTKITLHTKGLNISKLDE -IKFAEDMDSPESVARTIIVASKWQKLLTPVGAEFL ->YP_009664715.1 U1 protein [Bas-Congo tibrovirus] -MQHEKNYTKDQNPDHRKTSTGITPRRATMAFHPMLCRLELSVSAAPPASPIDATLLRSLI -TSVLNSSWVDLGGNTANEKVLCAIGLIEAFCRERVIPPTSNSFNTSVTYHIMVEDLDPDD -LGNIQLINKPLLSLEGDLKVLGSYQLTFQTIPGHSEPRSMTDNGIYHSDSPFFQIALGHA -LLGTGKIYDHITRALRVAPITIAPEKRKEPLSSYMV ->YP_009664557.1 NSs protein [Umbre virus] -MLVEVLITQQMNTIRLWVSTENFSQLITLESSSSTLQRPKLRWLNSRLRQLRLRLEHSSS -SWLITIELDRLSVRLRTMS ->NP_042689.1 alternative rev protein [Jembrana disease virus] -MMEEGRKEEPEERGEKSTMRDLLQRAVDKGHLTARPPVHHVKLGRSNTVSIAECARGYRP -CRGRRPARRPPIRRHPSFWGTLRGLVSEAQRRQEDRMSDLENRMAELEERFEDLALVDSG -GKNPAAPAQSVSPPSSNPFAYSLSHFSKSKRVDCGEKGNRWGRPGAFPGAGISELDWIES -GDGGDERPKGGRYPRGGNTPS ->NP_042690.1 rev protein [Jembrana disease virus] -MMEEGRKEEPEERGEKSTMRDLLQRAVDKGHLTAREALDRWTLEDHGPPVHHVKLGRSNT -VSIAECARGYRPCRGRRPARRPPIRRHPSFWGTLRGLVSEAQRRQEDRMSDLENRMAELE -ERFEDLALVDSGGKNPAAPAQSVSPPSSNPFAYSLSHFSKSKRVDCGEKGNRWGRPGAFP -GAGISELDWIESGDGGDERPKGGRYPRGGNTPS ->NP_042685.1 vif protein [Jembrana disease virus] -MERTIQSPMGRRRGSSGRRKRNANIISPPAYAIYPAPQYRYPRWEFVMNDLYSQTARLQK -EEIIITYRYAVWAREWKIQTGFLDLGYLMTPAGTHTTGELNELDLFWVRYTLCQHRSPKW -RELLLGEMTHTSCRRTAQAAVVSHTKPHTLQRLAGLTLVCNQNLCWYPVGTVTRNSPLWM -HFTTGKEPTIQQLSGHP ->NP_042688.1 env precursor [Jembrana disease virus] -MMEEGRKEEPEERGEKSTMRDLLQRAVDKGHLTAREALDRWTLEDHGEIHPWIILFCFAG -AIGVIGGWGLRGELNVCMLIVLVVLVPIYWGIGEAARNIDSLDWKWIRKVFIVIIFVLVG -LLGGCSAQRQHVAMLLSPPGIRLPSTVDIPWFCISNAPIPDCVHWTVQKPDQKHQQIENV -MELQEVLDNATFFEVPDLFDRVYLELARLDANSTGVPVNIPPTGISQVKGDCSTGDIQGM -NETLSTRGTLGERTFLSIRPGGWFTNTTVWFCVHWPFGFIQRKENLSEGSAQVRNCLDPI -NVTEPRVANYSYCPLEYKGKNYINKGLKCVGGRVDLSSNPEQHTDLLACGTFCQNFRNCD -MVSRDILIGYHPSQQKQHIYINHTFWEQANTQWILVQVPNYGFVPVPDTERPWKGGKPRG -KRAVGMVIFLLVLAIMAMTASVTAAATLVKQHATAQVVGRLSTNLTYITKIQNQYLHLFQ -NLNTRVNNLHHRVTYLEFLAEVHEVQTGLGCVPRGRYCHFDWRPEEVGLNMTLWNSTTWQ -QWMSYYDQIEENIWNLKYNWSEALEKGKSNTDGLEPDVFRYLADLSSSFTWGSWVDKLVW -LAYILLAYFAFKVLQCIMSNLGAQTRYQLLNAQEDTDPAGDGDQPDDHRSGDTPRSGVPS -GGWSQKLSEGKKIGCLILRTEWQNWRNDLRTLRWLTLGGKILQLPLSLLVLLVRILLHIL -SPTFQNQRGWTVGRKGTGGDDRELSPELEYLSWTGSSQEMVEMRDLKEEDIPEEGIRPVE -M ->NP_042684.1 gag precursor [Jembrana disease virus] -MKLSKLEKALKKVRVTPQRDDTYTIGNVLWAIRMCRLMGLDCCIDEATAAEVAILIGRFQ -SLDLQDSPLKGKDEKAILTTLKVLWSLLAGHHPENSDMAEKYWEAWTIRERESQKEEEGE -ITSIYPQLRKNFPAVSTSDGSPRYDPDLTKQLKIWADATEKHGVDHHAVNILGVITANLT -QSEIRLLLQSTPQWRLDIQLIESKLNAREHAHRVWKESHPEAPKTDEIIGKGLTAAEQAT -LTTQECRDTYRQWVLEAALEVAQGKHDRPGPINIHQGPKEPYPEFVNKLVTALEGMAAPE -TTKQYLLDHLSVDHANEDCRAVLLPLGPSAPMERKLEACRAVGSSKQKMQFLAEAFAAIN -VKGDGEVQRCYGCGKPGHIRRDCKNQKCFKCGKPGHLQRNCKSKNGRRSSAPSGQRSGYH -QEKTSVTPSAPPLVLD ->YP_009664199.1 nonstructural protein NS4A [Non-primate hepacivirus NZP1] -NAWVIAGGCVAALVAVAALTGSVAIIAEVHVNEKVVVVPHKGVLYADFDEMEEC ->YP_009664196.1 protein p7 [Non-primate hepacivirus NZP1] -YLSDTMRLIALSYIADDSLLWALVFYCVIYFTPSRVPPFCVFVYYWKFALAFMVLALPHR -AWA ->YP_009664194.1 envelope protein E1 [Non-primate hepacivirus NZP1] -SVVRNGGHVVSNDCNSSQILWASSDWAIHEVGCIPCVGGVCWVPLTSSISVSNESVIVRG -LGSHIDVLSAMASVCSTLGIGEACGAATLTYITFLSRFFMPLNLTNDCECFLYPGAISTF -EFTMRALQSMMPNLSGFLSMFSGLPNTLFTIFTNGHWGVILALCLYGTTNNYFKLCLLLL -AYSGLVSC ->YP_009325388.1 nonstructural protein NS4A [Equine hepacivirus JPN3/JAPAN/2013] -NAWVIAGGCVAALVAVAALTGSVAIIAEVHVNERVVVVPHKGVLYADFDELEEC ->YP_009325383.1 envelope protein E1 [Equine hepacivirus JPN3/JAPAN/2013] -SVVRNGGHVVSNDCNRSQILWAASDWAIHEVGCVPCVDSTCWVPLTSSISVRNESVIVSG -LGSHIDVLAAMASVCSTLGIGEACGTATLTYITFLSRFFMGLNLTGDCECFLYPGAISTF -EFTMRALQSMMPNLSGFVSMLSGVPNTLFTIFTNGHWGVILALCLYGTTNNYFKLCLLLL -AYSGLVSC ->YP_009618396.1 nucleocapsid shell protein [Pseudomonas phage phiYY] -MYPAVAAALRAAPGAVKLLATRLGVPSSVSGVLNFAKANPTTFMLAAKETFDQGVAIYDL -LGEAIGEVEQSANAQKISFKQIDSVGGNVLDQLDALADEMQDIDVAIRVVGSLHELLALR -RALLMSEEHFTMYQRLKRLKGRI ->YP_009618395.1 hypothetical protein phiYY_sS7 [Pseudomonas phage phiYY] -MGAEQQLGSLPSFSSNSGKTPPSRKDSTESKSPPTCFSGSSESAAIALRATPSGSLRFSH -SAAALATNGHDDLSERTVSYRRFAACTLPVKAPCAISATEVFSPVRAAVASSTRAKNEVI -ASAGMPACRATMATAALGEMRLSNIRYVLSGASGAGTW ->YP_009618394.1 morphogenetic protein [Pseudomonas phage phiYY] -MLLSLISPKAAVAMVARQAGIPAEAMTSFLARVELATAALTGEKTSVAEMAHGALTGKVQ -AAKRLYETVLSDKSSWPFVAKAAAEWLKRNDPDGVALKAMAALSELPLKHVGGDFDSVES -FLEGGVFPLLEEKLGKEPSCCSAPMTFVVEGVYYCDICHQHKDV ->YP_009618393.1 putative membrane protein [Pseudomonas phage phiYY] -MGLFAVYTKDDTTAVKETNDTVGKKAVEFLTSPFSFKEDELVSKKNRAVHILAWATIAAA -GAEYFGHVRERQGKGPLIPGLA ->YP_009618392.1 putative muramidase [Pseudomonas phage phiYY] -MAAQGRTKVRHTTRALQEWLRASGYNLVVDGVIGPKTRAAAAKDGGNIANLLIVEVDKLQ -PKLTLPVAKPRDEKLVVLVRQECARQGVAFEGAERVIDHESRWDPNARSATGATGLMQLT -RWPVAQYNLDAGDPMVYSNDDRSDVAANIRIGVWYLGYCARQMGVSPMSESAADWALIYG -AYNLGPGAMKLLVGGNYTHPDVVNAWRGQSEHLKRGGLTRYIRNAEALFA ->YP_009618391.1 hypothetical protein phiYY_sS3 [Pseudomonas phage phiYY] -MRSASSNELTHMTVAQLPYRHSKNSSDSRQIRYGGTSRSPRQILSTDTRPLNEGAKPYNC -FISSPYANRASALRM ->YP_009618390.1 hypothetical protein phiYY_sS2 [Pseudomonas phage phiYY] -MRTISAGPRDSLVLTIEPSGITMHTSPSPWHLASRVISRVTTSVL ->YP_009618389.1 hypothetical protein phiYY_sS1 [Pseudomonas phage phiYY] -MLSVKPMLAAARAFTAQFSEGRADKARPLAQESSIAKMPTAALIKKQQSLSKKVESIANT -LPGLLAKLEAVTAEIDKRAFGPVSQPGRYTL ->YP_009618388.1 hypothetical protein phiYY_sM5 [Pseudomonas phage phiYY] -MRAGTLNAAPATTSTRPEISIRTRLVSLGIQHSLQSVCCSVSKATIAGEQREAALYFEDQ -RDRTAHASVDNMPHPNASTMNPTAKPVVRIRAGHGSLWPVIHRCTLTGRRTRHEQTQHNT -ANAVDQRGTLSVLEGQTIGEKLHFSHPCC ->YP_009618387.1 putative transmembrane protein [Pseudomonas phage phiYY] -MGLFKSISKAIKRVVKAVVSIVKKVIDVIVKAIKAIAKFVKKVISAVLSFISKIFSNIGL -LILMIILVIFAWYLLPYIWAYIQMAWQAIVNFLGPVWNAITTTLGKAWAYVTKFASTAWA -TIKGAWGWISGIVGALWKGMASAGSAVWEAAKEVGSVAGSALGAVWDFLKENPSLVAGGL -AAWWLGPKGLMVVGGVVLGLYLLSKKGGSNASGQLSIDVRGSGEPVRARDGAYA ->YP_009618385.1 putative host attachment protein [Pseudomonas phage phiYY] -MDYGPMVAGTDPSVPLAPTLGAFLRNSDVEKVPRWAEVIGASGFTDESNMYEVIFHPNVI -VRIELMQAASAFIEAAKNGA ->YP_009618383.1 phage procapsid protein [Pseudomonas phage phiYY] -MLKFNLREIYKALPRLTQANLIGMTEGAIPVASVVKPIAFNTLHAASHEGEFFYEFGKGV -VDPKLIARVMMHYAASSTRVPSFQALVDEFLEYQYSVASNSTLWRNLVAFVTGSSNDRAV -KPDSMGRMPAEAIRERLGDEAKKQGIVSSHADFFNLIVADYAISMLAQARLVLPGTPMVY -RISRLVSFPDYGLYVDAVRAANLSRLLEGLATSDLGLVKKTMEKKEAVSPSFIAHQLASA -CIRAADRVRGSYNPDKVVEAVFYSLGTVWDPSTPAANVATASVQSSEGFSRLLVNATMFV -AYQRRVATVGAFPAPSFSDMVIAREVIPLFLEAVERISPYAERTLAQAVGHLGMRSNTEV -DGAKSHVAVYEAWNFSRSAVAFVPVRNNRNDLGRFLMEEVAVSDAMSSAMGPIAETFSVD -GFVERHLTALATAVPGAYNVKANGTEMLLALPSVSAAPLTLGVTTEALLSSMRNAGPFEP -AVDQLYAEGVEHVISDSEGMGSDTHRAAVARGEALINSYYVLMLARALLTGGMTRVGSVP -VSNNANTESNVALYWELTTSSKVPFGPTGILGGRLETSEPVERVAYGTDEEPTLSVTPPA -LPLSAHDRALHVWNWHDSSSKVEYNDRFETTISNQKVIVQLNEIDILSLGYRRERLRFMV -PMSARAIAEMWVTWYTETDKELQAMKAKTKDTAALAAIEGRQLSAGVLLVQRLRSVGRSP -VGSNLARVINSRIVASLRKSAQIDSMKHVYTVPHQIRLEVYCGLILLELLGVLDPAEVSK -LTASLSATNALATVMTMGLDDSNNN ->YP_009618382.1 packaging NTPase [Pseudomonas phage phiYY] -MTDNKRPQLKDKEPAAKPARTRKPKNETVALVVEATTEAEAKKSLREGGLVPAAHEIMIP -VGNMILAVDTQVLDKCALALAASDDPGRWFAENESLIHSTVFAPVAKGLHRVYPLLSVRP -EVPAGYEASWPTQDHMPGLHLVVGGTGAGKSSYLASQDLTLVIRWGEPAERFDVEGATHA -VSDLNEALAVAFVMARAGYRPAIDSFRNLVFGIESAAGEGGISTALYSAMTAINNVCSRL -GIVVMVVVNPMATEAKAELVYNNMAASVAGMTVLMDGAVSKQTVRTLSGRTWGVGKQPKA -AVTEEVIPRATVVPQPSAVVRNTRLESQTIDVSDDDLNNEPGRQGSRKHI ->YP_009618379.1 hypothetical protein phiYY_sL1 [Pseudomonas phage phiYY] -MLNRVKPFTQTTRPRICGDDVRANLTANRELVEAGIDCSPGERKAYLVVAEALRRSELCF -DTAESRLYDMPDGIVLAVAPRESSRWTHSYVLDEECASHLKLP ->YP_009508066.1 capsid protein [Cryptosporidium parvum virus 1] -MITSFESIEKKNAVYYPVDLKFVTDLSSDLSNTADGLGQAWYKISQVAVEHIILTALKIN -YVLNHRTLLLIYNKKVPDMDLINIIQSSIMVPRFVRDLIREILRPMHHSGITYIPDLDLS -TRPTPHLLDTFYPIAEHLTRWNNVCQKLGFEMVPILPEAVQSVSLTFYSYETDELLSFDN -LINLDWRIEAFGWTKHLVHNPTSEVDELGKTQTATSRKRVQEKDYECDDFRKVYERPLQN -RRILGMIVYRYTCAPYTMRLGHLSPNYRFPTEKNHSETPPTSNRVLLSEQTMVHSIQKNR -SKPKKVKIVTTECSTDRSH ->YP_009508065.1 RNA-dependent RNA polymerase [Cryptosporidium parvum virus 1] -MKFVNIYEIQRFDGQPTRHGIAPKKIFRSKYIPTGLVPRLKYWRDVPSRAEMSKRIGKYF -EDEFKFYPNEEKLNEAVNIVQEKWITHYGTSLNVTSVSESFRTLPKSTSAGLPFKSGCTK -YEARNKMMRLARSQWDRVSKGSQLQVLPCRSGARCQLRKRGENKPRLIWAYPGYLSIIEN -QYLTAIKKVPPPNFIGWSINWLDGGKSLNRLLFGDKWTWQSIAQIDFSSFDATVRTELIF -HAFKILRSLFDLTRTENIMLDQLRHYFINTPILFYDKIIVKNRGIPSGSAFTQIIGTIVN -MIACQYASLRSRDYNLRIPFSCWLGDDSFLNFETALCRQEFEYDYLEKFKELGLNVSIDK -THYTTRFIDDFEVRFKGIRPYVKFLGKQIDILDLTFHNDLDKLDAQMALPEKEDLSAYET -GVRLIGLVWAYGAHYDIYLRILKVYLSLKLKPVFHVQQLLSYSEKPERTKRYLENFFSSM -KYQLNLDLDIYDLLTFPKFWDVSNRYFGSKYERLDFRSHKIYG ->YP_009507848.1 nucleocapsid [Jonchet virus] -MHTKQSMTQELNLNDVREMEIPLTLDFPNALSRQDIGKAKSLEECLAQFRSLLPPLDENG -ISLIKVDQILSFRNIERFIETPGFGFKLIFNINTKPIVEKIKSTQSTSFLKFKDSTQAMK -VLMIKGTTRLTQAQQEENFTIGDLQKVSAIYTNSIVDAFYKDRSVLAMLPQARSRFSDSC -VEALSAYMFDEQSTSGVREKVPEVVKAMNFGCLRKPHQCSTSRHYSAELSVAMGIYGMLT -SPNPSAETMRIGKETLKKIVGQAPASAARARDMLGIMIENSGAGTAMVDQLIEEASMMIN -KLSIPKGMGIASTPKSTYTVSAPGPSKVADQAKKELAEIQRQIDFKKTFLAGLEKQDEEA -KKGEQSKKGVHDPSKLDF ->YP_009507847.1 putative nonstructural protein [Jonchet virus] -MRTVAFRFDLSIDDHLDISSSVCPPEFIVKGELVFNSQLEVAHKVSVSEGEVEVRFFLYG -ARAQSVVSTLPSRQNGPILKDTLRKLILARSNIQRDIVVVSYLISTAYKAKYDAGVKFE ->YP_009507727.1 NS4 [Orungo virus] -MMTSKRMNQWIREAASRVIESGRKLEMPRVNSLPIKRRRLEEVRIQSSGKVEMEGDKLRR -LKAERMELEILAFRIKTNNLIGALIQITRWTEKIDQEIRNLNMDLQPNELQTPLSQSTTA -VDLRGQDRMDTSN ->YP_009507719.1 VP2 [Orungo virus] -MAFERTVALTREFANETRQHFGKFDIVVNTESSIEDEATGEWEFARSDGRLGIYEELHNS -IAYKHYTAILKGPENYLNIPQIFESKIYKNLNRDDLLARYDYSQILRFRDSKIAGRLRMQ -TYFGDVHVSAGLIDCVAMVREPIRREGCQHMMVRSFDLMNAGQFFDVHAPKIHAFDDTVI -VESMDIENGVWRVKAGGVGLKTLQEKAEGILGDSVLDLVHNYLSQKWDAGYVAAKLLRFD -ETYKFHPIHEVGIFNTLMIRMGQLDDAYRDGFFDYDEIHAQLMRDVTFQYPEGKPVFRRD -GQFFKNLVQYSGRAKIGYETYLPDRELWTEWRTRSSVQDVVPKYMEWLIGRLQLGKMLKI -MSELPADVTMPNYLLKAITYKSSVYVKRLILTYIALRMTFGRCPVNKKGTLASSVLGFLH -AVIPNLEEFLRKAGITDELCVKMEFTPRLTVMEREDRKSDRYAVVLQVYRERKLEVFTPI -DSDLFEDRKKYYDEILKDKRWRGEETDYFFKDSGIHRSQVAGLSAEQLTLKKLGRDSDFN -DPKRFVSYRYRYVSVKAGDIYAEGESVITGPLLTLIPHAYEFGRVSLIAGQSRDQSKLDN -VPVYRDHMKSLELNGVEVGYDTWCPSGRAVQVNLELISTILYNAFKRPIVKGALCHFGMW -EFYNPRRPAYESVDVTIMNAISDITGSKRWAYQEIQDWLARFIKGSRVDMVTHRFHGVTK -KPTTRGEIWIWNLLLVLISNPSLVVSQTDKFPLWVCASDGLHLISTGVRHTDHFRLWEWL -PYTEKIGNDDYMSVLATDRELRLFEIALEYFETIRIGYRRDEWTHLKSNMFNTWLGTHCG -GVGDGMILFDPVRLPSPSSVLYLICSHNSTLEGMMYLLHDIYGKIVEENTGTVFIRVHAR -GCKCSVCRGGLQGVDVKNRSAMRVRSMPGNIGFDSVNLILSTSTTSAFGNSYIYFKLINR ->YP_009507717.1 NS4 [Lebombo virus] -MEERSQETPLTREEERIKMLRREVDRLLNLQIGRNWEAGTDWMLAQIDVLLFRIRVGNIK -QAEEQLLGMRDRLEDALERKRRGWRVVWPPRR ->YP_009507708.1 VP2 [Lebombo virus] -MAFERSVVITRSLKRQTNEHFHHHDIVCDMSEGVSHKGSWEWTETDNRLGLVDVNHNVDI -YIAYHEKLTHAEGYYVHPQMIDTRVDTTERVYARTGNDLSHLIRGHDSHMRGKIKFQTYG -CSIHVSSELCNQVFFFREPIRDALCKHEDLRYLRGYIERMLFGLYVPTTYMIDDKVDVSR -EDESVDKWEFKPRGQGSQFYVKLEMINASHPLLQIKEALLEEDWDVKRTLDAIILYGSKY -DEDDGVAHDVKYTGMIHSLTEAKAFLKMLHGYVLDPKTHEKAIRKNKFSNLRAAPVFSGT -PQTNTFLGWMKNYLSELNLSWEHFLPTGRAWLTSEDKRRGYSADAYLTWMAKEIVNQIEL -KIKAGRLSRISKFILAMLREGTSTFIARLSVIYMVMRDLFGACPEVAPRGSIVNAVVLIM -RMMVPNLDDLVKKFYGDGVGCFQQKRVVVFRRVPRELPRERRRGVAFEFKRIKRPMSLIP -KSLFRDMNAFYQRVVDQGWVSKQGADDFFNDAPVHRSPIASRYPRDVAPYIRDEEYIVSD -RFLTYAYRYRLINYHRFQDDTYREKRAQSRNEQGVAKGLNAVILGWIPHTFEYEHRSLLR -GEARGEAKLKEDPLYREEMESVFEHGCYTGKNLSYWCGPGRISATNSGLLFDMLSRTFHR -PEEDGVLMDFSDESFYRLETSSLMSLTAVVYNIWAKCYGGVPKSDEDAVDWMEAYCKGDR -LSMLELSFPYFHRDARTWRDLWLSNSLILAGMADDVITLTSQRFFPLWVCGDDKMHLISV -DITGGELNTRLWGWLPYLEKYAQGGYWDTEVSSPDDKLFTRCMEYYSKVSLSIRKEDARA -HKVHLLDTWMGAQCGGVADSCVVLDPMRLPSPTSVVYCISSCESDRALREKIIRSMLPYM -STSGLGVISIIPSSKICDCVTCGSACPHVDVENESAMRVRKLPGVSMLDAFNTVLISSKT -STFGNTHMLLKILNK ->YP_009506655.1 putative protein [Hepatitis E virus rat/R63/DEU/2009] -MVRCYTRRHIPALYTINCHLWARSVFGSRAQPRPATHIITTPLTLIVCGSGGMGPLKVIF -MFLPILLCWVLGLLISPDWELSAPARLSRRQ ->YP_009506652.1 putative protein [Hepatitis E virus rat/R63/DEU/2009] -MLWRLTIGSEGIQLPCRWPIRSACIGRRRLLIAYSAVEYTKSLPKSPCRPGWIIISRATR -CTYYQPCRLGTGPGALRLARRGRTASRPPLPPRQPLRRRRLRGPPQLGLPEALTRGRRRN -PQPTYWWSPQAWRTPPTWPSSRSRRAHSANSWVTPMCPRGRCTTGLWRGSRVRGSPSVSG -VKTATWSLFQQTNLRRNGGLEVSLL ->YP_009506651.1 putative protein [Hepatitis E virus rat/R63/DEU/2009] -MSPPRGLILLERPRLLRRRLPPLLMLRWYAPTCRTLKPLSLLSSSPLCSLSLNPLFLGRI -RYSALFIIFWKRMSGVRLAPAWRLVRTLDLLMSTPMSFTDVFFHLTVEICSAGEIARGAG -RPTTSAVAFLQGALLLIFPFARVGSNAAGIMPRSASRCIPCTIYIRVRLPGQCVLMVCTL -YLL ->YP_009506653.1 phosphoprotein [Hepatitis E virus rat/R63/DEU/2009] -MCAECVSLSCSCFCCSCRCCTRPPCTPVEPGASGGLDAAHDQMEPCLNQPSPRPNGPIGY -PQPIPLLPNSPPCPHLAPPGSIGAAAGPATGLPSYPMPGSRQ ->YP_009508993.1 3B (VPg) [rhinovirus B3] -GPYSGNPVHNKLKPPTLKPVVVQ ->YP_009508960.1 3B (VPg) [Simian enterovirus SV4] -GPYTGLFKAKPKVPTLRTATVQ ->YP_009143531.1 hypothetical protein [Sclerotinia sclerotiorum megabirnavirus 1] -MVKREGFRITYVWRTYEYGRLALLYRPQDRGRSVLAQLIVRNGPALLRDMRSGTTRNSLR -VMVCISALVSLLARARTTLVKVKQAELASAEQRDRERSYILRRNGHCRAVIRYAIARRDE -QVRTEAVRAETFAEQRLISEHSAIVDLVAQLLAEEAEPLFEAHKAAEVSPTLVREDQAPE -NVPEEEGEISDFVFGVAAVWRAINIRAWILRGQYNYRTRKLAQQRLAEMNDVAARASDDV -GDTSGRQPSEAATFGHHFSCFPALGICNCDAHAVSAGAPAVEVMTDEQVCKKCEIITAQV -FERNGRANVSIKVVPPMFARAAFEADGSDLRIWNIAVRRAGYEELIPKMRTILANSTG ->YP_003359177.1 hypothetical protein [Diplodia scrobiculata RNA virus 1] -MGEWTEMFASNPDLGIMEQAYMRLKTQSACDQLWFVGSTDQRVVDPNLRPPSKPQKTQIT -DSDRQKEEEELQMALALSVKESGTEPSAARSNQPQAKAPQQSAPEQEQHQAIASGTTAAT -VSRVRALYDFTPSEPGELAFRKGDIIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLQDPT -KEELEREAQMEAEVFAEIKNVEKLLALLSTSSSADARDNEEITSLYHKTVSIRPKLIELI -GKYSQKKGKWNLLREFVCWPLIVFQMISRSSTRSSSRHAATTKPSLKPRCRNQRSPATVV -NPMATAPAPRRLRVLRTVAILLKDLLRNSFTRLLQPQTKATDILLRMGLSPSRWLSPHKH -LLLHRWLVLDQRMASSPTSRSACLSVEGPHPWPFPRKWRPTAQWTPGRLRASKIRK ->YP_002600763.2 P2 [Pseudomonas phage phi2954] -MKDDTLPLVTGGDRGFESVAKSLFDGVHNPHIANPTLFNDTYPKTITFDPKNPGSVFGAG -NTNRNKPVAQRNAREVLPGIYSFDPDMIKFQRRFATSVDADLKPEIDENLFSLNGMHTNM -ATVMTVSGFPQLPVSALPRDNAKFVKQLGLAGKMTTRQRAVATAVWDLVWGSYKPSAINI -PKLSATGPVRMTNDPEYKLQFALAMQTHGRMERMLQTFMSGDLGALHRDFEIAPIMGVNV -RWQVDKPGKVRKSWSLQDCLRDPSPDQHVITTKVGDFLPHAADFAAMRCRLINAGPWTIN -CVLQMVATGTMYAMFEQYASTWHKDEDTLDQFCSGKDIWCGDVSNYDHSFTEEMIDLSLD -RGREFWDPRLMQVAESLYYAAYFARPLGPDDKIGAMVGDPRDYLNKQVIAGNRSGHAFTS -LMAKVWKVIDTLCVFDAMGYDAIKDLKPLLKGEMPLGMLNNGDDETVWFDRPADYAFFMK -IRDNQPENERMFKVEREVGQVYSGKVFQKVGDRQYKSVERLNTTFERTLCPERSIGGFFR -PYWPIGLLERFNRRNSHPVLEQMWDKFDEAWAIEMAPTHGSYLGIIDRAAKSMPFNVNGL -NWKDIAVLEDPSKLHHRWTPDEIDANVVKSSISRLHHSHFAGFYGTYYQGNLV ->YP_002600773.1 P5 [Pseudomonas phage phi2954] -MSKQGGVKVAQAVAALSSPGLKIDGIVGKATRAAVSSMPSSQKAATDKILQSAGIGSLDS -LLAEPAAATSDTFREVVLAVAREARKRGLNPAFYVAHIALETGWGRSVPKLPDGRSSYNY -AGLKYAAVKTQVKGKTETNTLEYIKSLPKTVRDSFAVFASAGDFSRVYFWYLLDSPSAYR -YPGLKNAKTAQEFGDILQKGGYATDPAYAAKVASIASTAVARYGSDVSSVA ->YP_002600772.1 P9 [Pseudomonas phage phi2954] -MFAKSVKVTLDRSGTEIKATKVEERTLIEGVGDAFTSIVNDDIAVGGLGVTVAMVGAIAG -TAYGVNRVLTGRFNANPFSAG ->YP_002600771.1 P12 [Pseudomonas phage phi2954] -MGAVNALGQANPLVKSVIDSLMGTMAKNPAAIQEISSVVEKIAQMKNLSVEELINSGGLG -RALLEKFTQGAVVQEEKVTELQSMSCDKCGHIHYQMVEQYRPRSPLAQLAGVAKAS ->YP_002600770.1 P8 [Pseudomonas phage phi2954] -MAKPPLRLPGVSGRAEKATAKPKMSKLDAAKAAVGAAGAAGTAVWGLDELLSFAQEHYPS -AYGLVSGMFQEQGVDIESDAVKNGTGNTRANVLAAFARSGVDAAFIGSVGLSDQEKLGML -GLMAKYEQSMVAEVDKSQSARVSTGNQVLDRDIMVLEHARACELLGLTGPRRIKDLYLLV -RTINTMTEAHVEAFEQYESIFGRARSRGTL ->YP_002600769.1 P3 [Pseudomonas phage phi2954] -MSTALVRRWLADNCPLDLSKETTKPTFVVFKANTAAGEILQIFKRSTATSWVSDQLLGKL -QAVATTDGQKRIARAVSAKVMRPVLFGNDYMIAPVSALNTLRFNQVTGQNTLTAVTGLTR -NQVLSRQAQTIPSVVIPEAADPAFGLMPGLTINSFDPGWFVENGAYPYYDAKMREAGFGQ -STPPKAKTARSDVERNRMVEEAIAEAGPDMCTLFLTTQITGPGFEGASRVVIYWSYPAAD -HAFLAIDARAMSSALYMYPNDRGSIYLDATYATEVPVIADPAFQKRYAMMGKLNPAYKPE -TELLAYQKPGQVEADDCWLPLLTSAGNQDLFFRVLDENCNVVVQNEVDMQVVTITATGCE -FAGVLPVSWYVGYVERAVEDGVSNKGELEDATKAMGEYIDKDITFLSYSSAEMLPVDMVK -LTTLYIDYAKAKKKACEDNDFMSKEFVKGTTYGTLNTLINGSRSVQTFFGYRDANQASTI -APKLADTLTKVGWRVDVSADPLKITDNTGAANGTIAFGDVEALGTFLGDGGVISFGTVEL -LAARWGLSATVVNEQLAGRSDLTKNVTGLGKMGSVNNKYDFRWGSVGITGLPDYRNVEVQ -DSYRIAMISKGVVGAVGAKFLGLLVSELNSEYVKTVLAKI ->YP_002600768.1 P6 [Pseudomonas phage phi2954] -MPGWLCKIWKFFANLLGKIVDFIVDVLSAIVDLAVAAVGSIADALLSGGLGSWLLLGAAA -FIGYKIFFAKKDEDDEEEEQPVERRPREEVIRQRPSSPQGTVTARDFGMPRG ->YP_002600767.1 P16 [Pseudomonas phage phi2954] -MAHARISTSTRPTFSRSLDVAHQSSGFHDRLFVAGVRMWNHEHTSMQRALRRLHLASSRV -INFSVFLLLGSGAGTLLM ->YP_002600766.1 P10 [Pseudomonas phage phi2954] -MNKVLDPLLLKDTAPRSHVALVYLAVGLGLGIFVFGSDSTSG ->YP_002600765.1 P1 [Pseudomonas phage phi2954] -MAKKNQTTSDRSVVKNDKAAVVHTKFEFPADFGLVNAEVGSLHVTIRDTIIDKRAGTTVV -HEVMGESPNLDVIAHHLANEGVRQAVADFILTRKVTKVITNATPVTKLRQGEIVLMRETL -LRQLNGEIADQHVCGVMAEFVIALARKAKVISDSVAITISTHYPSTPVTIEGLTEDLIAQ -SAVRTMEGVSLKWSNSENMSREAFADAFAEALIPVGYALISTNDLTQFFNDVVKAIRARL -LISEETGTIGMIDDKWLHHPMVTELSTNYIFLEAARSLPYGSTIQTTNSAYSLERDASIV -LASLKSSRRFAIVKTDEYRATYGKMTLVDGLGAPCYFYGWRAASMHPVAQNVSAFDDATM -PGKAMTIVPGSEVASKFIATAFPSGTAASIDYHLNKLATMRQHLLDTKDPQFKAGLEIGE -TFIFGEDGLAFSQELACLLADKVFLSKDMDDTLVWNFIVETKHRFFYQSDLQVNLIGDMF -YTKNIGLALLMMKDFTPVREIEPRSQLLGEKALFTRVLDMPETRMVSPDERITYALEIGG -GKYTGSIRAREVGMNDLPISAKFVRPVFNYQVSQAINEIQKATTALIAQAQQPTTFEGEQ -VIFANDSMVAYMRQARIQSIVDMAREVSAQYRQTVEGLLKIKSVSALTASDAMQARGVLA -QAQFMGYADIIALLLVMKTNGMETAFITEALKSEALIPALLAGGTDRKPVL ->YP_002600764.1 P4 [Pseudomonas phage phi2954] -MKMLPGELTKLRQMQHNAYHKAVPGAWFDMMTDIIAKTEKTELESSDGVLSINLANGKPI -LFDKTGAPVRDEQGEYVNSTHRGWTVAITSQLTPGCVPCVGEVLGARIGSGVLVAVGKGN -SAKTPFAYALAEEIGGEDGYEVIRLGEPLSGYNTDLEAATFEIVHAIMNTKVIVLDSIKD -VLGNAAGNATSSGISRGAFQFLSDLGSIAASRGCIIIIPVNPSSSDPKIEDLMIEASKSN -ATMVAVGKDDSWNILGRTGEGLIRTSTVLATSFDPKTLLMTLSGTTTKRTETVASKVAVQ -TVIANGEFEQMVHRLTR ->YP_002600762.1 P7 [Pseudomonas phage phi2954] -MDLIKEISDRNKKELADRVSVFETTVLVMSHSGDVSTAGGFEVVRARTLREFVLAETGRI -YAFDEQDVRLVDVIVGAQDVIGFRIVAVNSFPDQNSDQAISSVLASLPQMDFLRLMGDIA -DQRLIEQSMTELEREQSIAKKQHSQRVDKHGDVKPLIDFDRSFQQDPDDAIAPSGSE ->YP_002600761.1 P15 [Pseudomonas phage phi2954] -MDHEVLRMNTYQQSKDALASHVVAIAAVGPSIASAIVERCVEVTDTDVVQDQAVINLNEL -IHLIHTNKSNWLSHSLNSHFHTATLFIRTMIGFRAASGEQNPHLIGAVDLCRGLTHSQYP -CSMGV ->YP_002600760.1 P14 [Pseudomonas phage phi2954] -MLKVQCYECGVIFNYYNEDDNPPCDSETCDACLDKFEEERITEEDGCLK ->NP_659029.1 unknown [Gremmeniella abietina RNA virus MS1] -MSKTQTNPVGVRSSPPKPQGKIRQPSASQLRFKKALARALSVRSKFLKRRLSKPEFVQLS -AAVKKQIKQETTPVNRRLNRVIDIVVRLEEAANSSLSCLDRPALDAAVRNLYDRLNLVRS -NLLEAMRESSSLSEGCSHVSKKSVIHLPEPVKVDKIFPGFGCISARIPSGRQVLCCSRCS -GLRNDAFFEWSGLQPSFIDKEGHSMVEAYPLPKSMKNINPLDFQHVWTSVLDIHYSR ->YP_009553733.1 DEAD [Kampung Karu virus] -FRKRNITIVDLHPGSGKTRVVLPALVQKCIEKRLRTVILAPTRVVAAEMAEALKGLAVRY -QTTAVERAGAGNELVDLMCHATFTNRHMIGTQIPSYNMFIMDEAHFLDPLSIAARGIIAT -KVDLGEAAAIFMTATPPGTSDPFPSSNS ->YP_009553732.1 peptidase S7 [Kampung Karu virus] -DAGVYRVMKETILGSKQIGVGIMENGVFHTMWHVTQGASLKLEEGRIDPFWASVQEDLIS -YGGAWQLKGQWDGIEEVQLLAVPPKKNPENVQTKPGIFKTKEGELGAVALDYPEGTSGSP -ILNAKGAVVGLYGNGVVIGNSDYVSAISQ ->YP_009553726.1 M [Kampung Karu virus] -HGVKHDTESSVWLSKDASLIHLQKMERWMLKNPAYVLAALCLAWMSARTKIQGVLVFVLL -MIVAPAYS ->YP_009553725.1 propep [Kampung Karu virus] -LIRIGPKDVGTTLRVLQGRENFTCVVLSSEVGRPCDITMTHLCPDVKEAEPEGVDCWCKG -GEAYVQYGICLGERRSRRS ->YP_009553724.1 capsid [Kampung Karu virus] -KAVGQALRGLGSIRLVFAMSAFLHFVGIRAPRELVRRFLVVPKGEAMHVLKGFKKALGSM -MNGVNSRKRRGGATSLILLQMIALTV ->YP_009333113.1 protein 2K [Cacipacore virus] -TQTDSHLAMFLVGVMSVLGLVAA ->YP_009333129.1 protein 2K [Kokobera virus] -SVQDNQLAMLMLLIATILGGVAA ->YP_009268627.1 nonstructural protein NS4B [Hanko virus] -WELRLLPNITSDLQSISHGRTRTDSSGSRQESDWGFSWMDFAQPVPTSVELTSVVITTFT -MALFLNQIVGWSYEADWLKSYFDHKGVGHIMGGFRLDTISWGSALSSLLGTLSYASWGAI -IIGMGGATIYFFFMVKMLKWNFTGGSTIGLENNTMRQDRETGLNRRPFHDNRRSLLYGVV -IFECALWVFCFMSVFDLVIASCVSTYCVWIIFNASSEHHRNVDLGSVCSFVGLLYATCPS -QKCVQVLIRFALSRLNITTR ->YP_009268625.1 nonstructural protein NS4A [Hanko virus] -SFLSVGLFYKVLSQIIQAGVVNTAWKKIGDVGLVFMEGGDPHSKDESIVAWTILIGGMLC -VIVLMICVWGLRIVMRMVFGSREKHSSVPTLLADSQPYLVCLVPVATHLAGVPVPVTIVV -FVILFLTFPLIYRSAGQR ->YP_009268623.1 nonstructural protein NS2B [Hanko virus] -ALCSSTLTLLFTAVGVAGVIASDYGGYPGASAAIAACLIAGIKMTDFLATRLSLEFVSTG -QFPEGTTIEKEKETYDGTFRASFTVEGIKLLDHTEPVPLVFAISYVALGAVTCKIHPGLG -VIYAVAMVATNLPSLLQVYVLSICTNAFR ->YP_009268622.1 nonstructural protein NS2A [Hanko virus] -TNAEDFLNPKLILTKANAMVGEVVNLLCLALAMQVVTHTWKHKSLARFHLCCLLFLLFGV -PTVFGFVGIYTWMNILPISHGSARMCNLTIHLWAVLQHRSSSMFLWGQTLRSQFQTSLAG -QMLLLTMQMLHQAIYAHSSMLGWGIEVFLSVIVMQNLYTVVDHIHPRLIAYCLLFGWRTG -LCIGCGFLLTFLMKRWMTIVAASPSAGGWRSGYR ->YP_009268616.1 capsid protein C [Hanko virus] -MHRLRDLLPDKGKKNRSPAVRRQGGIAKGVDRKIIPSSGSKERKVGTERKAKRVVARMKP -NWLSFGTGNQRSMWRQIFSVDLMEGLLLFIALMSNLYERVQRDIADLKRRVTRLEKER ->YP_009259663.1 protein 2K [Chaoyang virus] -SVQDNTIAMVLIGILSIAALIAA ->YP_009259534.1 protein 2K [Donggang virus] -SIQDNQIAMVLFGLLAVIGGIAA ->YP_009259364.1 nonstructural protein NS4B [Quang Binh virus] -WELELMPNTRRDLTRLTHYLMERRPAATGGVPRAPAAPTLPFSITSLPGALCVSYAIAGI -GGVFANCWSDGHFLRGLFANEAQSASVIGGVQVSAIAWEIMIPVAFSAFFATTFVTKIYG -ILIGAIFLILAHFDRKHAFSNRAVKVLVARTSKKDVDDDISGRDGLSHARPTFFMTQVLS -SALWAITAPSSLHIMVFFAICCFTFLTFRRPNNYLLGLLDYTGLLLVLMIVVDPVLLMFV -GAALVFWFIVHPNRLATR ->YP_009259363.1 protein 2K [Quang Binh virus] -AYTGDTITIIIIMVCLAVMGIVA ->YP_009259362.1 nonstructural protein NS4A [Quang Binh virus] -SVLNFDVLGVAHGLYVALTSINMEMLGNTFRDTIENLHVISNVDDPYVSDFTMGQSLNAW -TAVLIGAAASTILLLTVVGMYKIVCWLFGRRPDQPSSAPPTIITYAQGGISQLGSMAIAI -APMCAVLVGIPPVFVFIAVVGLFVIMSVNATNVHR ->YP_009259360.1 nonstructural protein NS2B [Quang Binh virus] -ASKRSALLGVYFVVLYVVSVLLRFTGLESSAVAVFLGGLLIGLVTRLVPPTKYVLVPVPG -TSVPSNCEESFTRLPPGLEGVYGPSGVEFLNYTDVGLVSVAILMFTGCLGVTLMNPLLGI -TSMLFCWFTEAYVWLPRLIFGTSNRR ->YP_009259355.1 protein pr [Quang Binh virus] -MVIDMQVSSAKGVQIYEGKTNRTDYLHLFKLPKDGCWNGVLVSKHCPKVGDLAKDLEATD -CGSTWSEFTLRYNRCVGKKRVKR ->YP_009259287.1 nonstructural protein NS4B [Mercadeo virus] -WEKELTPNIKRDLTHMLATVSGGSLPISPTAKPAWNLPTWSHEFLINVYAVVVGLNQVVI -TLTEHDVAKKYIADAARSAAVGGMRLSNIPFYAVVPLLPAVFYGTTLISQVVGWVTGFFV -LALFSAEHKYNLTDKVLNNFNAEKQKREVEALIGRDQRDRRRNMFAAVMAGLAVIWAFLV -QDLASLFVCIAVCIHSLWVVLDPRNPNHPDMELGHILLFFGVIRMEQTTLYVACLLLRIL -LGGLEKSMRTGGGLN ->YP_009259286.1 protein 2K [Mercadeo virus] -SIEYVVITRFIVTGMIVILGLIC ->YP_009259285.1 nonstructural protein NS4A [Mercadeo virus] -SVTVVDFVMAVQHFIVSGAFKQKFFEVLESAYIATRLGDDDIPTMKKDKMISALMAIWMG -GIFAVILYLVILLFLSLGKYITRDKNPQVINQYDEIPKAWGTCWALLSYHMGVPFAMIFV -IGGAITLIKIIAGNNTTR ->YP_009259283.1 nonstructural protein NS2B [Mercadeo virus] -SATTLCGLLRALQRNTRMLLLNGPWLVLGCLLVLSRDWSLAANGLMTQRYVPTFAMTCLA -FLARPLSCCVRGSIDWIYQCKFVAGSGIVIAAVWLLEEAQRPELAMAILVLATAVWFYFS -MTAKVSLELVQIPGDSCTIGETKQFSPERRLTGTRGHHGVEVHSEQEKNGVIMNICVGVV -LLLVFCFNWQVGIVGALWYVFSGSNKFIPALANAVFRWNLR ->YP_009259279.1 membrane glycoprotein M [Mercadeo virus] -DTPKATETVKDRLKENMADLEASAFTWLKKNTFTTMVFIIVVGIALKWPLWIVALLVAAC -WSTVLA ->YP_009259278.1 protein pr [Mercadeo virus] -LTLTFSPGKSGNQLIGGGRNYTGLMNLFKLPEDMCPRGIHVEKRCPKVEDLSTVDGIDCA -STYIEFTLAYTRCEERVRRRR ->YP_009259275.1 anchored capsid protein C [Mercadeo virus] -MSSKEALKKKGISLGGGGKRGFEMKKAPQHNNSNRQLRRSLFRMDVGRALELMLASIVNA -IRGLIQRVSRLETRVGLLERKKTRSIYYSLPMFTLLSLACC ->YP_009259271.1 nonstructural protein NS4B [Parramatta River virus] -WEMRLLPNISSDISAILNRQRQREDTPTFDASPPWEWLDLAQPVPHNVELTSVVITTFTT -CLFLHQIVGWSYESEWLKSYFDHKGVGQIMGGFRLDTISWGSALSGLLGTATYASWGAIL -TGLGGAVVYFFLMVSMLKWNFSGGATTGLENNVMRNDRETGLGNRPANDNRRSLLYGVVA -AECLVWLFCFRTATDAIVVACLVSYCLWIINNPASPHHKNTDLGSACSFIGLLYCTCPTQ -KCIQVLMRFALARLNMNTR ->YP_009259269.1 nonstructural protein NS4A [Parramatta River virus] -SFITFATLSHVISQVIEAGVVNSAWKRIGDVSIIFTEGGDPHAKDETIMAWTILVGGVLG -ALGFLIVAWGMKAVLRVIFGSRDKHLSVPTLVADFQPYIVCIVPIALHLAGVPIPMTIVF -FAMLFLTYPLMYKSAGQR ->YP_009259267.1 nonstructural protein NS2B [Parramatta River virus] -TMMSSSLIVIFISVGIAGVIASDYGGYPAAAAVTAALLIMGIKMFDFLTTRLSLEFVSAG -MFPEGVEKAFEPDSVSDLFRASFTVDGIKLQDHVEPIPILFAILYIFIGAVACKVNPALG -IVYAIAMFATPLPELMRLYMMTIYSSTFR ->YP_009259266.1 nonstructural protein NS2A [Parramatta River virus] -YADFRLPKLPNSRPSAMVGSLVNLLCLMFSIQIVTKTMRARTLMRFYLCCLVFMFFGMPT -LFGLSGFLAWMMILPISHNSVTMCNLTVHLWAVLLNQSSAMFLWGLTLRSQIQRSTAGQM -LLFTMQMLHHAIYAHSWVFGWVIEVCLSVGLMMNLLTVIDTVHPKLIAYLLFFGWKTGMC -VVCAWLLIYSIRRWNSIVAAAPAAGGWRSGYR ->YP_009259260.1 capsid protein C [Parramatta River virus] -MSGLGGLLPLRGKKKKAPVIQSQGRVLPKSDWKGAPKQDLNKKKAKKDETKGQNWPRRIN -PRTGQWSAIEGSGARLWRSIFSTDLIGGLLLLIAILSNLYEKVRRDITELKRRVTRLEKS -R ->NP_001006603.1 nonstructural protein 2K [Langat virus] -SSDDNKLAYFLLTLCGLAGMVAA ->NP_776078.1 2K protein [Rio Bravo virus] -TQIDSTLATILICVILTVVTVVA ->NP_776074.1 non-structural protein NS2a [Rio Bravo virus] -GSMTALEAQSWGVLSVMLLFYMGFLPKIAKERWSVPTAFMFFVFAITGLIDCRDFLRYLL -AVGTTFAWQFPEPMLWMVAMQTVFMLRPALTVGILFARSWKFERILALASLLQVFQHVTM -EWESVWKVLDSLGFVVYGFLLSKSGMQSHVFMLFVVSLTWMDSIVMQHALALGGVMLLMS -ISPKFMQSDWIQKTQLTILGGLKILNAPFYVVALIFYTCFNDYMHRGQR ->NP_776034.1 putative non-structural protein NS4b [Tamana bat virus] -DGEILTLIKKLIKVKIQQIENNYEVPQQPIEDTRIRTWSLFDRPPVYLYFTLHPVIIQLA -RSTSVKALIQFVDNVKLRKTPVNMPQPTVYFIVAVFISIFNFYYYSFPHLVASIIPSILL -YCVIYCAHYTDIFKRFVDTNHELGNSNNQHIPYSDPAYSEIYRVLVIVIEITYLLVYKTL -TLDSVLVVLHMTSPWIRGPIGEGLNNYGVVILAMSMKHLMIPQSIVAITAVVLDHLKTTQ -RFRSSIFTTQILNRMVEHYQDLEWR ->NP_776033.1 putative 2K protein [Tamana bat virus] -EKSTGEVTQLVTIGAVIFIIYYILA ->NP_776032.1 putative non-structural protein NS4a [Tamana bat virus] -GCELFSILFGVQCYGWMTYFDMPASWIALAHQALPPQWRETITPMKLLFILFGFMLKVVY -DKIMVNKHLVYNYAPYKGHSQMLMFLTVASTMLQNEALKIMSYPIWQILFVVFITVIVVE -TVCGITQR ->NP_776030.1 putative non-structural protein NS2b [Tamana bat virus] -TAMVTLMVSGFFKNEFLSLLMILNFTLNWTHFVPILMCLPHFLGLPNPWIWLGVLVLALI -GLTNGKLELDFQNKLVPLPQKKFETQLQLGKVYWTASGIEVEIREEIRMAKYDYPLLALY -LTLVFSASLIHWSFGITTLMLGGSLFNLRDK ->NP_776029.1 putative non-structural protein NS2a [Tamana bat virus] -SKMNKDNIQDLITKNLNVLMVIDNNDESSIRALIGDKMLFCEANWVDCNTHDYELSFWTS -ALITTKSRSYEHKPCKIQPKDEIFEDADSFFSDEKVVLTTAGISRDNVNFYWLFTFFLGW -ILFEWAVIVDLGSIFLYNIKKRWTVLLIIPTVFQLMVNGIITSIVSITVFFCGSMKNTLV -TATDIVEGINLGHWKR ->NP_776025.1 putative preM protein [Tamana bat virus] -VMVIFCGYQSGNEPVCVDFNNQTNIVPYENGKVIFGNVTIVPPIDRFGLLIPTDNYDTIE -CVRMSPGIELSEEVCGFMGPKYDVLLRTQWGKRETEHMHRTRRSVTETTHESSGGEHWDY -SEYLTDFEHKMSKYKMPVLIILVGYLVLKTQNMALLIILVIAQFYLADA ->NP_776024.1 putative anchored core protein C [Tamana bat virus] -MNKNKKQKPNTKMRSNPQKNKIKLVNKKLQTFFMAFFQILLAVFKNFKVSLQLRFLEKVY -RTVFKNLAIRSPKGAVAIMKWGVGYLKKRKQKRQKSSGGYEIEAARQALYPITLG ->NP_775654.1 2K protein [Modoc virus] -TQIDTTLAMFVYSMLLFVGLVIA ->NP_775686.1 2K protein [Apoi virus] -TQVDSTLAMFVLTLYTLIAAVVA ->NP_775682.1 non-structural protein NS2a [Apoi virus] -FDEEPVWKPWGIMGLIVLLYMGFFPSLGNGKFSMGFGMAVFLLCVTGMLSLTELAKYTML -LMAVYAERFDEPCLWAMTVSTVFDLRPGAILLLVFTWPWHFQRVVAAASVTNVLSLMITE -GVWAILDGVGLVLYCYLLTRSGKKSMWGLLFVSASRLITEGSLQVAWILVGALTMLCSSR -HFLEGDWVQKAGYLCAGYHQVVSSPWRVIPMLWNEMASRKRSGQR ->NP_775651.1 2K protein, partial [Montana myotis leukoencephalitis virus] -TQIDTTLATLVLAIVTFGLLVFA ->NP_775647.1 non-structural protein NS2a, partial [Montana myotis leukoencephalitis virus] -QNEMSPLDARCWGVFSILVLYYLGILTKIEKGNWGPSLIVTISIMMWLHIIDVTELLHYL -LAVGYTFVWQTSEPMLWIVAMQAIFQLRPGFAVGFALCKTWRFERIVGMVACMWTIQELT -TPYEAFWRFMDALGLLVYAYSASHSTRQSYLYVVLMLSLTKIQNATIRLAVGMFGTMLLM -LVGRKFAESDWLQKTKVTIAGATRLMELPYFPLVVSYFMRGQPSKR ->NP_775522.1 2K protein [Powassan virus] -SGEDNRLAFLLIGLGSVVGLVAA ->NP_757358.1 putative NS4A protein [GB virus-B] -SGTAALAVGVGVAMAYLAIDTFGATCVRRCWSITSVPTGATVAPVVDEEEIVEEC ->NP_757355.1 putative protein p7 [GB virus-B] -GLPLTFFVAAAAAQPDYDWWVRLLVAGLVLWAGRNRGHRIALLVGPWPLVALLTLLHLVT -PASA ->NP_740262.1 non-structural protein 2A [Modoc virus] -SDGEKPLFEWCSFALLTTIYLLFMAGKNTTALIPFVAFLGAILYGSGFLTANLVMKYVAA -FYLNSVLEKSEPMLWAYMMEVVFDLRPGMLLGLMLNKTWKFERLVAMIGCLSFLQQATIE -WRTAWVLLDCIAVLVVFQSQGSKIKGHLLNIVLLNLSWVTSEHLIMMVKLTGFLLLLSDS -GVFERSSWQQKTRTVLTAGMMTLNTPYSFLVAAWPLPRHIR ->YP_009618378.1 hypothetical protein [Pseudomonas phage phiNN] -MSKDSALAVQYSLRALGQKVRADGVVGNETRSAMDALPPAQKKAIVELQALLPKAQSAAG -RVRFSDAEINGAVARISSEIGVPASYYKFLMPIENFVVAGGIETTVSGSFRGLGQFNRQT -WDGLRRLGRNLPQYEEGTSQLNASLYAIGFLYLENKKAYESSFKGRVFTNEIAYLYHNQG -APAAGQYLTSGKLVYPKQSNSAVAVVAAARNQHVKEGWA ->YP_009618377.1 hypothetical protein [Pseudomonas phage phiNN] -MPFPLVKQDPTTKAFTEEKERSTGTQILDVIKSPVGLFDADAKHEFVTRQEQAVSVVTWA -VVAGLAGELIGYRGARKGRKAVLANIPFLA ->YP_009618376.1 hypothetical protein [Pseudomonas phage phiNN] -MMIGLLKYLTPAVKVQMAAKALGLSGAEVAAIDSTLGRVSSMPAVAAVLGGKPLSLATIA -SVVSDVNPAATVGALMPAVQGIVDTEEGSFALAQTVVSYLESDPNGEVLVQLLHKVSNLP -IVGFGDTQYEDSAAFLAKGVFPLIRKQPKEVEAAPFTCRQCDHVDQITDVPQTPTFVHRC -TSCGFVQLVHRKDV ->YP_009618375.1 hypothetical protein [Pseudomonas phage phiNN] -MLLPVVARAAVPAIESAIAATPGLISRIATTIGSKASPSAILAAVKNSPVVAGLTLAQIG -TSGYDAYQTLLENHPEVGEMLKDLSFKADPIEPDFIGNLGQYREELELIEDAARYVGGMS -NLIRLRQALELDVKFYGLKLQLNDMGYRS ->YP_009618374.1 hypothetical protein [Pseudomonas phage phiNN] -MAVVNVNVTQLDSALRPLLQLARTHSDANLVVYARGLTPSQRTALYKLLKDLDVVIPRFN -KALVSATTLVARGL ->YP_009618373.1 hypothetical protein [Pseudomonas phage phiNN] -MASNIALYPTAIKATDLAPLVIRSATGSFSDIALMGSVIDAQALADMEVADLKAEWPQEI -VAKASERRKSETVFISAPTGFQLGAGEIPFEELLGEGGNLISGVLRETPVTEVFAKWGGI -ATPDSGKSWADNYINNFKQVELAKNFLQTAWRNLIGDVASQRAFCEQLSGFLPPSAAEYG -SRWISSGVGSSDLAIALKEEAPAGNTTIFILYRLGVGASNPADGNSNGGLLIRISFPYLP -GTTGLRMMLYDSPTSPSWPNGTAYAVYNALATPALGGLGKVVMKNTFIWADQNYCNVAAL -LRGTPTRTSFKAVTPGATGYGNQIGDSLETCFEPLIVAEPDTLPNSVIPGLRRIPGWGGP -AGRGIHVYRVHAYGNPEFALGMTVKGTFSLAQDTIGRTIMTVADTHKMFTWSGNFLADME -DARVRMGGEPSGEDSSEIRALLLRSTVFTRLQTLPTDPLKDTDLFAKASKGDMDLRNEIM -IPADEAKKATSVTFGQASQRASGQQVQVLHQFFRYRESLGDLKGVVVTLIGSGPVGYTFS -AVDINNVDITDVDEASIAVALGAKVVNTTDLTTDGALTAVFGLGSVDFSVVKEDKTNTEL -EFNEGILQDNLVFDPMQLPKGQMSAYCCLAYARPRTSLDNAISGFLTMGRLATKKMIRSL -PLKPA ->YP_009618372.1 hypothetical protein [Pseudomonas phage phiNN] -MSLFGSLFKTIKKIVKKVIDMIKKFIKKFWLIILIVVIIVFAPYIAPLLVSWGMPTLGAF -FSTIATTVTPYVTGALSWAWSGISSAGSAAYGAFSSLSFGTQASLVTGAAALIAPEETGQ -LIGDVVETVGSAAGSVIGGIADGVGGLIGNLAKKVPAWAWLAGGGALVWWLLPSDNGQRN -G ->YP_009618370.1 hypothetical protein [Pseudomonas phage phiNN] -MFNLRVKDLNGSARGLTQAFAIGELKSQLSVGTLQLPLTFTRTYSASMTSELLWEVGKGN -IDPVMYARLFFQYVQAGGALSVDELVNEFTEYHQSTACNPEIWRKLTAYITGSSNRAVKA -DAVGKVPPTAILEQLRVLAPSSHELFHHITTDFVCHVLSPLGFILPDAAYVYRVGRTSTY -PNFFALVDCVRASDLRRMLTALSSVDSKMLQAAFKSKGALAPALISQHLANAATTAFERS -RGNFDANAVVSSVLTVLGRLWSPSTPKELDPSVRLRNTNGIDQLRSNLALFIAYQDMVKQ -RGRVEVLFSDEELSSTIIPWFIEAMSEVSPFKLRPINETTSYIGQTSAIDHMGQPSHVVV -YEDWQFAKEITAFTPVKLANNSNQRFLDVEPGISDRMSATLAPIGNTFAVSAFVKNRTAV -YEAVSQRGTVNSNGAEMTLGFPSVVERDYALDRNPMAAITALRTGIVDDELETRAANDLK -RSMFNYYAAVMHYAVAHNPEVVVSEHRSVSAEQGSLYLVWNVRTELRIPVGYNAIEGGSV -RTPEPLEAIAYNKPIQPTEVLQAKVLDLANHTTSIHIWPWHDASTEFAYEDAYSVTIRNK -KYTAEVKEFELLGLGQRRERVRILKPTVAHAIIQMWYSWFVEDDRTLAQARRSSRDDAEK -LAIDGRRMQNAVTLLRKIEMIGTTGIGASAVHLAQSRIVDQMAGRGLIDDSSDLHVGINR -HRIRIWAGLAVLQMMGLLNRDEAEALTKVLGDSNALGMVVATTDIDPSL ->YP_009618369.1 hypothetical protein [Pseudomonas phage phiNN] -MPIKVTQAHIDRVSVAADLLDAAPVSLQVLGRPTQINSKAITAYIAAVMDLASKSGGVLS -GADIRPAVLLKDSSIFTKAKKTAADADSDVDVLDTGIYAVSGLARKPVVHNWPSAGIYSG -VTALMGATGSSKSITLNDHLKPDILVRWGEVAEAYDELDTAVHISTLDELLIVCIGLGSL -GFNVAVDSVRPLLFRLKGAASAGGIVAVFYSLLTDISNLFTQYDCSVVMVVNPMVDAEKI -EYVFGQVMASTVGAILCADGKIVKTMFRTNKGRLFNGSAALVADVHVPNMDEPTSMRALD -KPSIARVRPLELSNVDNDDTDRAPRRGAAFTL ->YP_009618366.1 hypothetical protein [Pseudomonas phage phiNN] -MANLQDVHLRVNDRVIPIYFTARSFLLVSPKRAGKATFLAREEGTDTPIVTCHVADFYKE -GV ->YP_009551503.1 hypothetical protein RVD_036 [Diatom colony associated dsRNA virus 17 genome type A] -MFKVFKEVYETVTKPNASRKLRLWTNPKPFKLDELDELGIDDNNIINQQLTSPLSAQEAV -ARLEGNSVDMRYLLIANRKNKIKQETVVGRKWTLGASSTNVMTRTGDDWGTVTVNVHPTF -AGILTNKKDKAFLESMSNITRAFAATSGTTVDGAAKRAAYLVEKGNVNMVRMVYRLAKMY -AVATLSEKNNVKCTIDSYEDDCDLRALTSLNVFEDSLKEALTGRNYIYYEQTPATPGSEN -ALSVYAAALDTPTYGHRVRRAAGNSLLSFWPDIGKPVLLYKANTPEEQLRSFSFSSLDIH -TAANELLVPFGLMELFSEAVREMFTLSAAPEDAEKMLGLDDIEVALPKMDTSVLAAGIMI -ETIRQAELGIDYHNPIELQEIALQSTIARAEFEVCHRTALIGAGGLVDMIGQNMDAQLRR -AQYVAARPSRTVNALTRQAASIKKAAFAHSGFGRLLSTTCFIPYASMPEVHQCLKDIVTH -AHAIQWEETALWATSLPYGNTLTALVTPMTFDATKVKANEVYKPVLDDVTTSDAHALQSL -YNSRAAVGREFDVAYLTTNTARTLWTHNIERYVPEKNYRGAIADMQFMPYSNEELHVSMP -VLAFRTPVDALAYSNDAALRREYTWYIDMLDEQYISMATALNTTLQPINKHPIPAPASKA -TVLSVDLSAAGSAQSSSGAVTDLDSKTEYTQQVLDSTHQEPLEVDKSSRMKIAAALDDIA -EQYYKANGLEPSKWLKNFMVGVAQASTGPEEANRASSLSNVHSILTAWDPVEVLKELPVT -QRSAFMENMSHICRAAVVYASPIQASAEDFSNTAERFHRMATSLQSNPGLTVEEANKWWT -NSFRTQRNELTRELRAVQEELDATKKNYYRNPRKRNMLKDRQVELSEQLQLLKNRQLSQN -AGDEDVQNAFKTGKQYTSLLKLSQQDVEKRKALQAEAERQAEELLARLDMSTVASMKAAG -LEREELVAMGYPVTQEIWDTLDLEQVAAEETRLAPIQDDVPAPMKDLDELADYYKEKYRS -EFEWDAEPEVVLEHALTTLGETIPEHYEGSIPAAIQILMLGEPAAEETKEESDFQEEPQT -GLSGPADHPAESVEQQGVQPPKTLQFSDEQ ->YP_009551501.1 hypothetical protein RVD_038 [Diatom colony associated dsRNA virus 17 genome type B] -MFKVFKEIYETVTKPNASRKLRLWSNPKPFKLDELDELGLDDSNIINHQLTCPLSAQEAV -ARLEGNSVDMRYLLIANRKNKIKREMVVGRKWTLGASSTNVMTRVGSDWGTVTVNVHPTF -AGILTNKKDKAFLESMSNITRAFSATSGTTVDGAAKRAAYLVEKGNVNMVRLVYRLAKMY -AVATLSEKSNVKCTIDGYDDDCDLRALSSLNVFEDSLKEALTGRNYIYYEQTPATPGSEN -ALSVYAAALDSPTYGHRVRRCAGNSLLSFWPDIGKPVLLYKANVPEEQLRSFSFTSLDIH -TAANELLTPFGLMEMFSEAVREMYTLSAAPEDAEKLLGLDDIEVALPKMDTSVLAAGIMI -ETIRQAELGIDYHNPIELQEVALQSTIARAEFEVCHRTALIGAGGLVDMIGEEMDAQLRR -AQYVAARPSRTVNALTRQAASIKKAAFAHGGFGRLLSTTCLIPYASMPEVHECLKDIVTH -AHAVQWEETALWATSLPYGNTLTGLVNPMTFDATKVKANEVYKPVLDDVTTSDAHALQSL -YNSKAAVGREFDVAYLTTDTARTLWTHNIERYSPQKNYRGAIADMQFMPYSNKELHVSMP -VLAFRTPVDALAYANDAMLRREYTWYIDMLDEQYISMATALNTVLQPTHKHPIPIPGDRA -TVMSVDLSAAGSGGSGSTTLTDLDSKTEYTQQVRDSTHQDPLEVDKSSRMKIAAALDDVA -EQYYKANGLEPSKWLKNFMVGVAQASTGPEEANRASSLSNVHSILTAWDPVEVLKELPVT -QRAAFMENMSHICRAAVVYASPIQASAEDFSNTAERFHRMSTSLRNNPGLTVEEANSWWT -NSFRTQRNELTRELRAVQEELDATKKNYYRNPRKRNMLKDKRAELSEQLQLLKNRQLSQN -AGDEDVQNAFKTGRQYTSLLKLSQQDVEKRKALQAEAERQAEELLARLDMSTVASMKAAG -LEREELVAMGYPVTQEIWDTLDLEEVAAEETRLAPIQDDVLTPMRDIEELADYYKEKYRS -EFAWDAEPQEVLEHALTTLGDTIPEHYEGPIPASIQILMLGEPAVEETKEETDFHEEPPI -GSSGPTDLPAESAEQQGVPPPKTLQFSDE ->YP_009551447.1 hypothetical protein RVD_003 [Diatom colony associated dsRNA virus 2] -MNKWEEISNNLDSEEIIEHLKKLKDSEIYKHYSPDSDEVLCSFKNEKDVVDFLVACEPIT -IDFSSREVTYSLSKKGVENEIFDIDISKSFSISDTWLYDKLHPVNLVFPNAVDSELSSEQ -KNLYICLVISGVLGDIFEEEFLELVSNYDFRNWESKGESTLSFTALCKEWVQMDSIIVKM -VASAARFALSREKAKKEIPTALGLSEAEASELRNLMKRIEDERYNYDNNILSDEKKKGMS -KLDIKIKIREMNTKISDILKENPRLHELYKLTNLNSRNINPIVYRDSQLKSKKEAVRLFQ -KFSGREVRDDKDKLEVSKIAKTLMRESMRACVNKLFSEEAEIYRRSPDNYKAPDFV ->YP_009551446.1 RNA dependent RNA polymerase [Yado-nushi virus 1-A] -MQKNKKIQLLPKTTQQMGIISSLLFPDLPLQPLPESNIHHSLIDKMVDVSQKIRSFPLFL -QLKILNTLPFFKNYAPAPIFFFERLLHALAKSQQFELANPFLPSPFSSKYPIPRVSPLRD -ELKIFYNRLISENKILSKAITPIAVIMPSVSGKTTMALKYPRMFQDIDSLLNKRTKEIMD -DDISTATTQMDWDNINNHWRSIVSAGHLKYKILLAHSPDQLPPGYRFFIVNTPIENYKIP -KDDPIRRKTAHLNREHLKRDYEGQINYFFIKRPHLVTKFLLSVYSNPLFSPNVALPKLWY -TRIRMIQCQYLMNRAHPIFSDSIRFHKYFAVDHATYSKNTQFEEGFKDQPLIAYFTSNTL -YKATRYKPKYFDVSLGPFSDFFFLYRIFRPQPCHFYKYIYRNYTYTYNDAFNISKEISAL -FKIFNYHLTPNWISYVDFTIALPPLPVPKMAETYKEQLDQWFVNDWVHKNHHPKLPPTEV -AFTHGMSQLFKTFDIKKNHAITNLKDNFIDNFIEFGTSGTTTLSTGKITTNRGPFPLKRT -KVTRAFQTTQNQLEAYLQMRPAQVTAVQKQEFGSRNRLIVPMAYPFYLKGALIAEVLEPL -VNSSNTSMFLNTPQRLTFDFDVSKHCRTAFGNPMDLKSCEAQQNKFEIESSLRFIEQLCK -VAFPNATDIEERINEYITSSTHGIGRYEDYYFLFNKGNPSGSRFTQWHNTIYNGSQDYAI -ENDMKILGFRQSDPEHRQFTGDDKNSFNTDIVSIVAKKALYNNRGYTVNIKTDFILLHAT -EFLRYVYTEKGKTGYPTRVLKSIIMRNPYATREDTTFIAEIHSRSTNFLQFYSRVSYDSF -DYRHFYTDIWNYLHTQGINLSLAQTKWFCHLTPELGGLGIAPVHSYTNFKIRTKMNRRPN -IPQSEMPPLDLPVFSFIKNMNKKYNTEYKPSNGAIADLILDFRPTIIDASVQYLEQSLTY -DDIPLLSDRQIQDVIRDTLLHHQPYKHNFALSNEYMSSPLWSDIVNHFRKDKKLALLFKS -GNLNTWQRALSRKDFNDYIMHGEKITVPQTHFISPPAMSLLIENLTATWYRLYLQKIQKV -PKLFSKLSLHFQIDMFPHLLEFLRTHPFKLGF ->YP_009551445.1 coat protein [Yado-nushi virus 1-A] -MSNLITVKIPLASILEDIATKKVASCRGINFVATKRGFRLDQANASMQVMAHLGEVHVEI -DQEAWKGNIVKSLPTDRVLAPQTPLVRKKDNVVGKMIANAANSSAKKDEPTLDELVEENR -VASTAHVAAIQARNDKLTTMLKEHNTPVAPEPANIPLPPSPTLTPVEELTPDQIRIKELE -AIIAKLNTRIAIDPTKTTVNDIISGKNISRPPTPQRRTPTSPPSPRARALLAKHMANPIF -PDLGKYPMLTPPPIPYATRPYALVVKDNLPPAVPNTRPQQGAPISSFQHLIPLVQKARNQ -NSRLASVQCRFYAQGRCRNGLNCRFAHTGEVTATAPTPKEPTFKLGESRANKKTQLFIEE -FIASKRGLSPQKKIDLSWVSHPRSFTEWCLAMWNSVNGHDLSRPFNRTLFLAAIKAALPQ -GSRIREILTKANLLMGYFKMTTSEVVKKIFSTQPVYSLADFANVNIPLAINLKHGLFFVP -YQYNGSTPVYIVNTIVPVRFRKAGNFENSEGNSPSQFDKIPLMHEINSTEWVTDMLLNSD -NSCGKHSITMINPDLGATIPEGPLSLSDLNNANDEIKAFGVYDLKKKEWMQPEGENNLAF -MTLEDENGGEKYHWSPMRKNTKGSGLYRKATSTEIKKMFDTQTNLYNAIRNTDMTMNIPL -TSQLDTATLPPASTTFSNFMTNVLGKTSSSKGVISLKQISSSISHLIPDTPRADTNNNRA -SYFYRVRRLLTRAMRNQSVPYVPVYEHNPVASYKIVRYDTFEVSNKLVLKKAKTVDVSAR -SISLTQDISLSTLKAEIIDSTNNIKTFNNNWITMMDVQKPIADVFKVLSLRSENNRVFWD -TGLYNYFSNFADVTSSSFFPIALNKTLRHHAMTSSMSIGAFNQLEDRTPDLKFDPLVAEP -KIQDKLYPLFNHDISDINAYTVPLPVFIFMMFPQHFRKEIIVDYMLAFSFGNRTINEREN -FLLQAIRPRLQEILTLNAEPVQLPTNAHDFAIKLDNIGVFIEGLTTSAPAVRSFFDSLHK -LSTGYADFRIRGTPLRAINLPFTVILSEDFDQTTRATVPLNENDFLKKHDAIEKMVSMLP -HPIFQYAIEVEQTINLPGNGQNTLAKNTSKDLFMPVASLVNQFHKKNQLLCVDIVPHQGG -SIRSNFTYNDGTVASEYRYPITLLSKDGTKAKVDIIDQMTKPVSVLKDIKEHLTYSRDNV -NRLNASMNQSMSCMLTETELADLLNLTANLTHVTPLPTTVHDISQTEDKLDIHYINTPRI -GLTGYKIKADDMTDDEYIISDTYVANVTDGITNVAFNRFRDHTPSSDLGGANKFIAHPDY -VIPFFDHDWFVFITAGLLNFQWPDIIGKVTTNTVFEHNATLDAIATEQIRLIDTYTDTKN -IPMRDTFFPPGNDVRDSLEVISITNSIAMDDSVLNQVAHAFSTQSYTCSHPYKLDYDGNE -YLDNLLACSTNHVPIRFTQMNMVNPLPILSNLEKDFERATINNTPNKEGVVRSQRRRRPE -DNFLRMITQIITRFDFSGFSTVNENNMVTLRDAATNQKISAYQRYTTNLSQIKYFISLCI -RLGTLQTFNDITGTSTTRVKTPTYPTHLLAVNVITQTEYRISLNKEEYWSHXKSSIVITT -PTDVSVEQEQIFDDININRNVNRVKPSFSNLSEN ->YP_009177020.1 protein 6 [Alfalfa dwarf virus] -MGDYTDAIKRLTDDEVAFEIPGYDVTTKDMLIFVMAVCKVVLLIIVYILFKTRMRQPGKM -RIRWT ->YP_009337028.1 ORF5a [Rat arterivirus 1] -MFEEAGRAADACLLLVLAFFLVYRVVLSRNCCRKRQQQLDFTIDL ->YP_009508259.1 gamma-B protein [Lychnis ringspot virus] -MASSPNVKVCTMCCIVFDSELEFCSPKCETRAGFKSERKRRAELFAKHNLTAKTCGLNKF -PAESCGMYANIAEHQLPDGTTTLTIDDYCGSKHYYQGGLLAVMSDTELKIRAAALKLEHQ -RATAVAKGIKLAKELAALRNSSKL ->YP_008992089.1 non-structural protein 3C (proteinase) [Carp picornavirus 1] -SPVTQAHEFQHLIDAIVEIKFEAGYKVPLQGLALAGYSLLTYAHGIGSGRMTVQYGNMSL -ELEEDEYELAVFSTDDGDTDLCWIRLHKGIGIQFKNLTNSISTPEYGMDCVLVKKIGSNF -EIRPMTNVVDSDYFMMVSDVSRLSGVRYGNFKYFGKHSRGDCGSLILQKIKGTWKMIGLH -NSGAAAGQRCAGVRLDIVPIQMVLE ->YP_008992088.1 non-structural protein 3B (VPg) [Carp picornavirus 1] -APYDKAMRTDVKKVTVRRVRQVLRNKTQPPPVPEHLTKE ->YP_008992087.1 non-structural protein 3A [Carp picornavirus 1] -AGEDEEIDDIIQSLEKPKPPGIFDKLRHPIQASKDACKAVKTWVSTMMDGWTRESVVEVS -SRVMMVVSVLRTVWGVYSFLKDLGTNKEE ->YP_008992084.1 non-structural protein 2A2 (2A-like NPGP sequence motif) [Carp picornavirus 1] -PWFVLRSDGGLAIARNGRVMVPVYDREGGFEWAIQPQRLFWRVIYVRDSFLDSYSSAIPA -LHHLVQDSTMESDEEYKNAILSVTIVSMRPGWKRLRTFSLISLIGFFSAIDLVQAAYSRM -RLLLSGDVEQNPG ->YP_008992083.1 non-structural protein 2A1 (2A-like NPGP sequence motif) [Carp picornavirus 1] -SPPASKTDRDDDFDDDADFSFRAARSPMDVVDDYPFKRDLTRDGDVESNPG ->YP_008992082.1 capsid protein 1D (VP1) [Carp picornavirus 1] -AGSDDEVEPPVTNLEDEASTAHRAKRPVFRNVRVRIPDQIKSDHMLLSNLFGRAHALKPM -TATVKDNTYKLIQTEKSFLSVFKLFRYRSGDMTLHVVHTSDGPLFAAHSYLAPDLGTNAE -DNYSEVLSQGAVCMLPKTAASICVPFYVLTPFVSTSDYGKILLSSPDDSSYTVRSSVTFD -SNTRFYFLQ ->YP_009508062.1 putative coat protein [Heterobasidion partitivirus 2] -MADKVDPPRDVVAETPPVPQPASSGRTVVKQRHPAQGAPTISLAKVLDLESDVHKGKGTY -HSGSYVPDVRFFVLSLCTYYGRVFSATDYEASQFVTPPSLIAYSLFCLYFLLFYRDMHSK -SPSQYARTFTDFGRYNQLLKLMDEVYIPDEIFELLKVFSVWSPELIPNLQFVPSLGSTLP -LYDIPYLMHPAIFLHGHNTLFNRADAVGHYARFLRTELFSINSASLGNAARIMTIGNLIG -CAYTPNATQGSARLIQNWLSNAILPFVDPATHRQHLRRTGLAKYDLGLPTFTSANWNPYT -FLFSSNVSSSFESFIDCAHACSEFSRDQLKASRHMSDLYSQVSPAPGSYMIQSYSTPTWH -ATSLPSASFPDGEITRGDFDTLHTTYTFFGIAPAAATANSNNLHMPEVRQNPATATSTLI -GFVPNAYLIEQHADPDVAVPQQLSLIDRNEMKHTRPNILVFAPGDSTVSAAQWPILTGIV -VHNGNIDSNVIKAPNPDDDLPQIRSRYVDGFVSLRVIRPRFANRPTWLIARQALERVSYS -TINFLWRSDLIAVPRFDAAHNAPAAGGITGLLWPFTFFRRVTQMIFGTNVAVSDSPTDPT -VASHKQVGDAWSSFRLTSDSNAVPSVENTYFGINHGEIIFGRNSTLNKFSHPSDLLRRN ->YP_009508057.1 putative coat protein [Heterobasidion partitivirus 3] -MSSIPDFASMNESDRLKYFEEQQKKQKELIDQSIKSKQTMSAMRVRPVFQQARNNVTSVN -TSSSVPTKAVPPQPFSGTSSSANNMLRLAFGENPFRPKQRFGQNGFVPDSQHLFALLSVM -DAKMASTRKFVEGCEFWTPLVSQYYLSVLIFIQVARAKHEAGLLSGELADVYDLFCGHSP -AINLNALPVPGPFLNMLSQVAAHIPHLVDMDNICPIVPNNLEATNTSYYLYTGQCQNLRG -RLPNVPYILDQLHQLGVLLTANPVGIDVANQGRVLHHSFLGVPMFDATVAPGNAAQWNSR -VAFDAGFTASGSFIAVDPAARHPFFLNRGLLSQITDYAALINVTRPARQNAAAVTPSWTQ -FLGLDNMPFFLQVVRIMSWYSKFWQGSSDLMSFTPSGHTGGQNTFELVNPPASLNAMTAG -GRFYTLDLKSKGYSRNPLAPDADCFDAALGPINLSWTPADMFAGKLARNGAIADETTLSN -TRTAGPYFSETIVDITGEINPSSAYAGILNQYYYSSVALKN ->YP_009508052.1 capsid protein [Heterobasidion partitivirus 12] -MSSLPDFASMNESERLKYFEEQQKKQKDLVDQSIKAKQTMSAMRVRPVFQQAIDGAKSAS -KSSAVPTKAVPPPPFSGTSASANNMLRLAFGESPFRPKQRFGQNGFIPDSQFLFTLLSIM -DMKMTSTRKFIEGAEFWSPLISQYYMAVLVFVQIFRAMHEAGLLSGEAADVYDLFCGHSP -AISLASLPVPGPFLNLLAQYAVHIPHLVDMDNICPMIPNNLDTTNTNFYKFQGLCSNLLG -RLPNVPYLLDQIALLRDNLVAAPVSIDVANQGRVLHRTMFGQALFAINAAPGNAAQWNTR -VPYGAAVPDNAKYISCDPASRHPFFLNRGLISQMTDYAALINVNTPAAHNAAAITPSWTQ -FLGLDNMPFFLQVVRIMSWYSKFWQGSTDLASFSPNGHTSGQNTFTLVNKPANLAAITDA -RFYSLDLKMKGVARNPLAPESDSFDAATGPINLSWTPADMYGGKLDTTAITATEANLSTH -RQGGPFFTESIVDITGEINPTSAYAGILNQYYYSSVALKN ->YP_009513272.1 attachment glycoprotein [Human metapneumovirus] -MEVKVENIRTIDMLKARVKNRVARSKCFKNASLVLIGITTLSIALNIYLIINYKMQKNTS -ESEHHTSSSPMESSRETPTVPTDNSDTNSSPQHPTQQSTEGSTLYFAASASSPETEPTST -PDTTNRPPFVDTHTTPPSASRTKTSPAVHTKNNPRTSSRTHSPPRATTRTARRTTTLRTS -STRKRPSTASVQPDISATTHKNEEASPASPQTSASTTRIQRKSVEANTSTTYNQTS ->YP_009513209.1 ORF2 [Beet soil-borne mosaic virus] -MWISIPFPLMRMVVLIALVSAFIVTPGAIAVVLFVIHQHLLVFVLLWSTTNCLITWPLND -LCVVWLEPMALNKIIAPFLRMVGGAFATICGVTIMVMLYIVRWRGICCFVSNKIFSDYL ->YP_009513206.1 14 kDa protein [Beet soil-borne mosaic virus] -MEKSNSIGVYVKDPITNDCRLFSVKCGNWCLFTNHVFVTYRGKNDDEKVVKDTCRLHFHV -KCVSCSSKVTFKANNRDHLEWLSKGFVRVNRNFSIVGACSKCRGVFDSCAQQDELDNNVV ->YP_009513205.1 15 kDa protein [Beet soil-borne mosaic virus] -MVLVVKVDFSTIVLYIVAGVVVVSVLYSPFFSNEVKAGGYAGAIFPNGGCIMDRNSFAQF -GGCDIPKYVADSISRVAIKELDADIKADLNSVVAKRVVLYEGLAQLCYRVFSWLVCLFMV -CLMLFVWFWYHS ->YP_009513201.1 75 kDa protein [Beet soil-borne mosaic virus] -MVDEGRYMTWKDATHNKLMTDRWARVSSVQATINQALSLDLSKAASLPVIKANFSSLGAN -WSEDGDVFVAPMVRFPVTLNQFGALVLWLNLSDPAFAILMTKVFTLTNAGGADNASASSR -REVVAGSRPETSGKSVGTDESGSYTLTTTLQAFNQAIRLPEVLWTRDKFEREWNLPWTPT -RGVTPAVPRTNYQLNAARTMAHIRAALKARLYPGDSIEWVGWVHSYPPPPYDGYDVPSLD -IINEKLAADDVGGLVLPTPDIPGGPNFEVSEDIEQTGRNGLWLMVGLLLAALAVGVGTAA -YHRRKLRSRLVELKSLWFSRGSGGGGDFTVELPTRTTDAFSLGTTISEHAPPPVGTMRHR -STTATDSHEALPFETWVYLNLSRVYFSIGCSDLFYATREFVTVFNGEFEGLIELLEASDE -DDGVYTDAESAVIVGTSAIVNDHDQFDLNTVILEKRIKFKRLSLEEAELNRQESMTIEFA -DEERRTLMHKLESDRLEATHKVNKAAADAEAALSVAVLAAKEARTYDDKLAFDRACKEKE -LRLRELEVERMPGKTERYIHTGIQGGAQLAGALAVGSMLRTRGVSNITPSNASGSPTGIG -RVRSASQPVPTSLSGGSVQGANNNNSVGSVSSGSRVESLGYNSAIRNHNTFWSKLRIGSE -WRQNSISRMQARPLAGGGIPPSAPAV ->YP_009513200.1 29K protein [Beet soil-borne mosaic virus] -MDLNTMMPAFNVAYWDGVHAPYVVKRMMHEVVMNVGPAGFICYPLPVDFDLNDTGVIHNF -AYHNRVKTMRLFVGIQNNCSEWVYGRARFVVFSTSAISPWVNNGCMSLFSPFVGVNSPID -RNLLRRESRGVSVLWDRVYRVNRGTQLFVDETFDFIGPGNYPAQVGENYPSATTYDSIYV -ACVTDWIDNNVFRLTSDSVGWFHSGLDDGPRLAFGQGLNAPDDDGDGVVGDDDVDVDGEN -IDEDADVMDDANTDDGD ->YP_009507902.1 NS5 [Maize stripe tenuivirus] -MAWTGPIKGTFSGMVPRCLRRTPEQTRDVIDSERLLYHRFRALFREGASQSPLETCCRNH -KRSSARADQTNIRVKKTMVAKTRNPRAIHPLNEKVYSVRKIFKQLPSNKPSRIVPVRENG -CRWRKVVYHRAHFEELPMSFKLSPRAYPRFNCLVRKHGYGKTIRMVSCYASGISEAKNSL -ARTIREKFARSAYLSGKRQVPPPLKREDFGTWNDYREELKKNQTKWYLCRVHYRQAYDRL -YDQEFRYWKGHCSFETTRPRVRCGDWQSPLDSLVALQSPPMDLVCNAPTLLPTAIPVPDH -PSYRKYKLSDLSWTKQHERAVREDQKRLKRAVGEKDKIKDKYGVQHERLYRQNFMKNFRR -IAEMGRSSAPRGPFL ->YP_009507696.1 NS2 [Equine encephalosis virus] -MQTEKMEKPRRFTRTVCILDRTENTYAGKVSKMMRNPYFIIKAGRSVVTGTAPFPPPRST -VIEVGDECAVAIQDGTDVTYIQLTRETVELCVERWNEYKFETLNPTSNVGRINIGGSDVL -GEIKYAKAQSLIKPYTRNASRSSDMPNLPGLTPTDIDVRTHRDEDRKNREEGRARLENAL -RGANLGASSRLFGVDAAPCIDVPVRTLEIQKPDLGRSQDWNLSFDSNPGSRSMSPLSAHY -TGLKKVEPERPSPFMVQEDSLEQDEEPLDVMTSQFIKLNSDFSKAYGTSPMLSSLTQKLA -CKSMRFEKFVTNVMINSENVPLFTIDQSSGNAVLKGMGECSSAAVVVSGGSYFVLPAGGI -DL ->YP_009507695.1 VP2 [Equine encephalosis virus] -MDFRVLICYNVNGASSLSDDDLETYEDESTIILRVNNKYLVDINSQLDQQEDIFLLGGDV -PNDNTFAGNNAWPKNLTSFDLFDPTDSITEVLKKTKLGVETTTSQLVSIRYGKIAKDAKR -LKGVKNQGALIKGTYVEMARAEEQLAKMCEIDQHRDVMTRDIMRGTGNRIKIRTLIGEIN -LPGWLEGTYSLSRESKHLEVCDWSRHCFTLYEMGVLFLYESFKYIDTKTIGEDILSKASP -EMASTVRGLIVGKFQRERFKQLRSLSIVPKCTGGADYSVPEGMLYELLSVYMKILEVSSE -GFLREERGKIVDIVHAHVPQDRRNFVSFKATGASHMLQAINNVLNVRDNILGIARTHRTL -GGFYFATDTREYKEWMAEMGQRGFSAEHYADWIMRCDSTKLEEFFTLKMNFANFLRWWKV -IPRDFKFSAVYERTGVRLSGKKLERHFDDVFVWFKDNVRPLIHRGEDGKLFSKIVELTIK -SAYDGIPHCKPESLVSFLKALSFCVSGEKIDDQFRAVTVSASEEDGDGLFWSARQVYDVP -TREGILLSAESLEGCEEYYGKIIEDPMYEAASEDEVLKGETDKVHHLFPKDKIGQDWIVV -PRFIGYSYKLYRARVRGEADVRVGFAEALLSPQCQYLRHGIVVKGGCRQARKRVVDRSYT -NRIRLETPNEREGNHPCLVAKLVGAANRGVGSILVFYFARHLKMDQLLVDLINVYLSATN -RFHDDFVRKLRSEWPQHETTFREAIRVWKRTGVLRLQDDLRMMMLTPGNCYALDVKIEDA -LMKYFGVRVGLDSLVESMKTVKKVSQLFKHVSDLMSFKGTGVDYYVWNAMIVITLILCPD -FLESPKTIPIFTATNKKVVAIPVLMKDYTLGNVAMNAVRYLDTVAPPQLLSRELSSSEIK -MLYSLADVYLDSPDHIKSPRGLEGIYTPFQSWVGMGCLGIREKFEIMVPTSKPTQSHFVV -TLSSGDVSESDAHKVRAALDEGTGMGTLDVRIGDDGVIRVTDSLPKVKRYKHLTHNEMLD -GNIIRLDTASRFDTYMAAKILN ->YP_009507689.1 NS1 [Equine encephalosis virus] -MEQLTHRHAFTAEQINTLEYVGVLSPYVNCSHRRYPCLIKGQCCLQHFTYVVDRLNEDGQ -RHEIDALNETYARWLADRENVWITLQRMVREEGVISTDKSRASLVEELSRRFVEYGVQDR -FNDLRYKKRPARVVVDEEKGAAHLFCIPMWQGNLSTIFEVTRFRRIAAVVYDGDMVDSFA -SPLGRRSDDILQRIRRRVHQEIPNCPRTGEHGCISHVIFISSHQRAILERGDETDRLFRI -AESDAKMLRAHGSCVGERIAFQRYAVSNGGVEAVLNMFLTNVPTPRGNMSLCMYMAQTPT -DNVHNALFPLLIHKSVVLDGLACDMVFYFLAGTERCCGCFLKSHGVQHISVIHTRLGDHN -ANPVMRARIANHKITNKEIQDMGYKESLITLNEHCFGVTCLDVSEAIILSMVEMQKRIRG -DGHWGVDSYTDAIISLFRTYVHWNPQGRDRIIMFRLICFCIFGAAPGQDGRFCDWDNYDR -FADVINNGCHPTPETERVAHSLLLKFGVEAMRCVDVVPLTALDTPVVAYGPIVEMDDIVS -QFANMYYN ->YP_009362279.1 glycoprotein [Colocasia bobone disease-associated virus] -MSGCXLFLCFFIIWLLSTSIFVKITFGFSTLSCNDHPGVPSVVSCLQTCHNFSYNKPIAI -SILPQTIKQSLILVKCEKVTISKAFTETWILSRIEGERTEKTEATTIQACLKEWETKCDK -KECRTKDPQISEHYSWATTEVSSLEFIRVYAERVAVIEIISEDPKINIEGRSVSIHDQGY -QTPGHTYAWEVTPIDTKCPWDAPSHTTVCYDIGGRMACPSQGISISKAVELKTNCKFTVF -RDRTGIVFSPVGALNHEYYPVPALTIDTGLKQTIEGVRLALSIRDMQDCRYRCISMSSGY -LKIDDYYYIRNNKRWLECSLLPNCTVGSESLSCNDGELVQAFCLGKPTWVNMTSAIGMRT -PNCTKPNSKPIGREDIIALLNKYHASHSLFNLLSSDDIADITMTYLDKLKDLKLYPLNIS -QSTHSIDRHIEFLSPLQWIRNTLSRLSHKIKKMFVSIIFGVFSLIMLYATVVAFLSCIKK -RRRPSILYSIVPRGVPPVVMKSF ->YP_009362278.1 matrix protein [Colocasia bobone disease-associated virus] -MSLKFLFVMLDVEIKTEMAGSLKPSRVDEACFTDLLKKAEIPEKEVGMITKLLVWYLNSD -ATRDNYHIEETSGPTLDFNSAITHEYKLPSYVLVRYIGPDFPEQGIEKISGRSEYLQGGN -IIGSCSIKINNLKIKEVSRSVAEAMFRKNQSYLIRETFDLTAPSTSKKKT ->YP_009362277.1 P3 [Colocasia bobone disease-associated virus] -MNQPSKKERGTKGGLMMRITNEQISQQIEIKTSASFMECIEIPKPYVNPQCVYTEYMPVI -TTRDIGRVQVEFVDSRFLGDGRIIAVEFPANRKCSFTVYGFDSFFADDPCPMAVNVNPKL -TGIGLDYHVGTLIGYPQFIMAKKPFPAVPLLVEDNITKNKFDEKAGEDRTISIDISPPTE -VKIIRRPVLSEY ->YP_009362271.1 hypothetical protein [Chaco virus] -MIILPIEMFAPFIVIAFKRIRKIIIKFLLATCANLNVQGEIKERFYLYVTGMWEIYRHGR -KQDFQALLSEELREIRYDP ->YP_009362268.1 hypothetical protein [Chaco virus] -MGESPINNYHFSDFEMTDSTLDLSEYNVLEINAIPEGLQQWEIKSRQIDNILYALAQLGI -RCDYLVDDVDSIKFKLDFDPPLYSYERDTDDSFIYFDEPDDLDDLFTLIDDEEFW ->YP_009362269.1 phosphoprotein [Chaco virus] -MENFPSDFDPTKNLKASLESFEKSCQEESKYGGETHNDLEPSFLRADSPDELGVTGKTDV -FYVSTIPVGLSSKEIHDLQITNILNGFKQIGIDCKYLSTSNGNIKFMVEWADSDSDSEDE -TETDTKKEDPTAPEESDDEYNFEDVTLSTTTYQFLQNLSNEIEKESEITDLQDYNPRPER -FLQDLKQGFNLPSIEGGHVHICLDDLTLDVSKIRHLNFPEEYDVREYCFLMLSKYSDDME -LFSMVDWD ->YP_009362265.1 hypothetical protein [Klamath virus] -MRCRPNCPICRLRAGPRIWIRDMVRGDPPPLNPQPGDYLFVRVPFPPYWLRLKY ->YP_009362263.1 hypothetical protein [Klamath virus] -MLGEILIAGICYLLGRRFFWGYLAYIAGQYNLLHYPLMIIQFLLWLLFYNAPYQLWGLIW -STFQSSFDEFNNPGEAAISPLDLPIYRRLGQK ->YP_009362262.1 matrix [Klamath virus] -MFSRWWSSSDTDSSSEMPRPSSPTIPSELLEVQIRKAIDNSKKAFRVSGKIILTSESKTL -TPRDVTLILHDWSQTYSGPFLLEGILKGIILLAGSELHMTPWVDGGKYGRTLNYECMFPF -RSADVIPQKYLSYKHEGRRRAGGLSCYMTIEAEFQPALGAVPDYNKLLGNCRKEKFYPDD -PASVLETWYLKILIDEKTKEVCF ->YP_009362261.1 hypothetical protein [Klamath virus] -MISQNFRIPWRRLKERTSARWRETKEDLLSLTPPTSLTSLIHTAPVVSLVNFFLMVLIRL -KLWRKGTNQRATQTTKMQIQETEAQTATSPPSLSPTMGPIYRPLTIPLNQETTFSTSMDP -TKDHIPYVSTPKSCNPLQSMVSSSSYASSYMSSGQGLDSPSRPQVDTSPSIRSRFRAPQF -LRAPFKRAEMCTLDIRSGSKLDSSTPEKREVGFC ->YP_009362260.1 phosphoprotein [Klamath virus] -MEKRVPSAVLANYDLSKLQDSLEEAEGADLSEMERDKGGSAQSNSSDILNLFDPYSPGGQ -SSELLFDGLNPIKIVAEGDKSESNSDDEDADPGDRGADSDESSESESDHGPDLSAINHPP -KSRDNFFNINGPDKGPYSLRINPEVLQSAPIDGQLELLCELLHELGTRVGFSVKASGRYF -AIDKIEVPSSSIPPGPVQASGNVYARYKERLKVGFKYPRKKGGGLLLINEESFNVGSLPE -DIFAGQNFKTPEEVLRFALARKGVLAYVQTMADL ->YP_009362233.1 hypothetical protein [Iriri virus] -MKSLADWLSKSTVDGPVFITKMFKEENGLIDFYLDLYLEVVPIGLEVRFCRSVVEPLHVI -FIISP ->YP_009362236.1 hypothetical protein [Iriri virus] -MEKSLEKNFGLDGLKFLEKGWEDFKKSVAVIFWILIVIAIIKVLSFLARVLGQVFRALTF -VSEFAGKGVKKLKRVKKRRLKLRKK ->YP_009362234.1 hypothetical protein [Iriri virus] -MKKTMGAKGRLACIFIGMVFFSYLRESDQQGFTYIKRTCGDKMMRVIPCNLMDSVKTLTG -PKGKCLVFCSSKRKIKGRDGKQRDLCLTGDPRSDEVMKCKDKISGTKSP ->YP_009362220.1 hypothetical protein [Ord River virus] -MRLKTLGELRLPKCLNAAWDQLANISMMLFKINIVSNIPFIIYEVHELIGYIVYIEFPVQ -CEDMMYYSLYIQGST ->YP_009362211.1 hypothetical protein [Sripur virus] -MLSFANQSHFKSTDHIKINIPEWIVMDDNENLNPSIFYYFYQLLECIHYWAYKICSFIEE -KLDNSS ->YP_009362215.1 hypothetical protein [Sripur virus] -MNLISMESLYIPVTLFLRTIRRWMLKLLYSSFVVDARIDSYGQFYSQLICKVQKIIEQMR -CRERESWRELSDKAMNEIIE ->YP_009362213.1 hypothetical protein [Sripur virus] -MKLMRKKHLSMMQLKRKRNLIYSTERVMRMSNKLRTGVLNLRGRKKMKLKNQKRVKMNQV -QMKGDMIGSCQNSLEQNCTNIRKKSSVKLSKHCCLKWVLERSKWSSKRKKDSAVCSKKMT -VKMRIRMIKHPSKKMKKQAACILLTKPKEEHMKPLHLQLSIRKKERKHSVIKIQDHQLML -MMI ->YP_009362214.1 matrix [Sripur virus] -MNLFFWKRNNDPSDFWKSEIKSDGTTSKVITYKITCDITLNIHNDIHGPMDIVRIYNMYC -DNYCGVMQSKNLHCLVLAMGLCRLNKVDGMSKGGDFKCQLKDKITLSFNDNADPGVQRSC -QMHRKRLSAEDMLVNISGSTIFEECESDKFYELSCPKTKCGVDFKELIKERPFILRRGKN -STQMCRRP ->YP_009362212.1 phosphoprotein [Sripur virus] -MSFNPFTFPSSFENLSLKAAIKASDEIDEEEALVNDAVEKKKESDIFYRESNEDVQQIEN -WSFESEGEKEDETQESEESEDESGTDEGGYDWKLPKFTRAELHKHPEKILCKTVQALLFE -MGFGKVKMVLKEEEGLCSLFQEDDSEDEDQDDQTSEQEDEEASSMYPTDKAERGTYEATA -PPAEHQEEREEAFSYQNPGSSAHVDDDIMKFLLKLEEGVIVQDLDGDHVILNNDLIGLTY -NEMKNIMITDCTNIMLTLKESVVQIPRIQQTLAMIDFDSA ->YP_009362210.1 nucleoprotein [Sripur virus] -MSSKVFYLAGSDKSVQTKENRFGKTPIYPSVFFQKKEKPLVLLKDTKLSQEELKGVVVKR -LKDATLTSDVFLTWLYGSSFGESIKLESDWTSFGVVIGSADEKISFRNIFKMERGGSHNL -NAADGDLELAKKCTDLGVAMVGLATFRLSGQSAVQQLHRQNVAARINDLLSSETGGHLSV -VANRGLSNQWMVDKNFLKVVAAVDMFYYRFPTSEEAKCRVSIMGCRYRDCSAYVALGYMC -KITGLSEAELLSWVWLEKIGHQIVAILKSGEECEDEYSYFPYQSCFCLTSCSAYSVTQNQ -ELYLWIQATGCLLLNNRSLNAIKSGDVNPYDVAKLSTLLGYACSKSFCFKEVFTEKKDGT -PDPSMVLVKQLGSQPTSKNGKAWLKFFLQRGKLLPDDVKIWLKECQEKFPATRAGSVGEM -MANLVL ->YP_009362203.1 hypothetical protein [Gray Lodge virus] -MMSWMTIPNQLYIILSRNLSLIGPIVSCKYVVKMSQDQKILRLVILCQPQWIQYPHPDKE -SLNRLIRMYLPPWIHVTWIVFPLCIHQGLVQSSGGSSTQ ->YP_009362208.1 hypothetical protein [Gray Lodge virus] -MGIDLKINWTELWNSITRGLREGLDFLRVWFENFYNDARKWGIIVFIIIITIIIVMIIMK -ILKCVLLIKKLCKSCDKTSPTRGKPVRKIRKWWRKKVPKRIQKLK ->YP_009362205.1 hypothetical protein [Gray Lodge virus] -MKTRMYGFLRIDLDPLIVYHRNAWELIFGGINEFLSSTRLLWQKEQALLTGLLVSALEFD -HYSAEKCSAFAHIVTLLDSDSTNPGSIPNHYVSDSFHVEILGKTARVMIQLDIRMEEGVG -ETLWTIHSRISNQQPFTALRIPLMKLIKLTLSS ->YP_009362204.1 hypothetical protein [Gray Lodge virus] -MLYNTRLEVMVVGDLALDTFGAKLELFMGMYKKVVGQFKDANTLWRSSLLMLLANAEETQ -TVNGLNQIHCVILKLIDYQGLEEIQQEESFYRQTSFVPINPGKDAGAWVILDLIPAYGSG -ESCQSIWEDAESNLSVAKYLSWDEANRLFKLVE ->YP_009362202.1 phosphoprotein [Gray Lodge virus] -MSKNFNWNGLAESVSKSVDDELDDDSQPALHHLESKLVSDWANSIMQIRSEDVPRPKDPP -TCDSVPASMDSISPPRQGESQSADKDVSSSVDTRDLDSFSFVYPPGVGTKQRRIINSMIK -NLLDFFDCEYSIKDTPCGMVITNTEPETDDEVPPLEEACESIHTSKNGQMISVIISRLRN -GLVLEKKIGKGSVKVDYTTLKIPDNIQCPEEPFLDLQSAYNWLIKKSPRYHMIQSMYKPV -VFP ->YP_009362199.1 hypothetical protein [Keuraliba virus] -MFCSSFIIYISHHTLSQLSSNQRRETFFALMKEVLDVTGGVIDRVDLVRRGDGSMEMIMT -IIGR ->YP_009362186.1 hypothetical protein [Joinjakaka virus] -MGMLTGVSLEMFDIRDRFDQLINIFKEFKEKLEVYVNMLSSIFKQILFYILVIVAVMVIL -KLIIIVFKTAILVIKCYRVCKCKKNKSVHVKRKKTSIISRIRQARLQRKTRLKTKLDL ->YP_009362188.1 hypothetical protein [Joinjakaka virus] -MACTKMIKVKLVVGHLSDYENKNRVWNAIRAQPINFKYKMSLLRSIYSDPSRWVQTVIET -DSHPILYWPATDYVWVKLRGNIKLCFQLSTFYSKKEFGPICHYDQLPKNENWNGWTLSDY -EKLIGCRPQDLIRPI ->YP_009362187.1 hypothetical protein [Joinjakaka virus] -MAEYCYIHKAKVRVLKGNPFGHPDLFKILRNGGYSDGEKLCVLNSLETRAESIVQEYTKF -FNLIHITRKRNEIIRTSDFELMVKIFTSTSLISPPPSLWSQTSRFVKSYFTNKNELCEEL -NIYPIGIDYESLINYIP ->YP_009362183.1 hypothetical protein [Joinjakaka virus] -MEVTQIYGSLRTTPGLQVYSHEYQVRILNQLFMDIDYHGFLRNLVLIACTTLLKRLRTRR -VFGTFEWVGFSEIRWTHKVTHHEPYINLTDKLLFTKTFVVTTTHTKPTSFHFSISLYPSI -GFGTSIRTQFLNSDPDASDGDWRDVCQMSNVNPFEHMWV ->YP_009362182.1 phosphoprotein [Joinjakaka virus] -MAYQKPKKFSSGVNWDNLSKSFKMGDHDEEVFEEEVMTSLQIKEEGEQLDDLDWGYSSKG -DKEYIDEDEGWFSLPLETTPYQLECMKKQVRNVLRKNGLICLVEVEIKTTASEKYVKLNC -DVAQRTPVQPTEVINFLKNARPDNQSDLSIKKNTTPQSGDKVMTGCLMGALQESVNQDLE -KGILLKRITGNGSFTLTWDHINSNGGSLELLSNTKGYNKHDVLENLLKSIGLYKKMWIFY -RHNLYC ->YP_009362179.1 hypothetical protein [La Joya virus] -MKIVCSLHQWSVMLMSYLPLSLIYPIQGTPIIFRFLRVILGNLMILITISLSNLTAHYAT -MVVVDLEHI ->YP_009362173.1 hypothetical protein [La Joya virus] -MQALVSISMHVVTGQSFNQDHLEEWIENEILSGVTPWYVVPIIFEAAQNAIRQNKTNYHL -QFFATYNSFTSLDDNLFNDLSNGPIKNKLQITTWEHGGYCHLSLYYSLQIYNPYSSPIIN ->YP_009362177.1 hypothetical protein [La Joya virus] -MVFPGLDLGNFKNDISGFFDKLGKDFKSGFVSLGQNINNMGDGIVNKIDKVGTDVKDFWA -GFSSVFVYYGKLISLIILVLIVFTVAMKIMSKIFSCIAGCRACWIGFTQTRTKKLKEEPP -IHSIQID ->YP_009362176.1 hypothetical protein [La Joya virus] -MIKEFSKIGKNLLDMKWYCPSIARGSGSKSLLCHLLAPNGRLMDPMDIMMNISPKCGIHL -LMPVLRSKGICVKKRPGMPNVKKLGTFQPLKAQPLMRHPLTRMTVELHSFYIKRVNSWSP -SSHHSHAIGITSTSTLKHL ->YP_009362169.1 hypothetical protein [La Joya virus] -MGSNIYLKSMVFVIGPKPLTFDLLKFIIRKIPKISQDYQVNCLGKLAIGLAYQRSEFDVS -KNSIQDGMFEGIIYFPWRSFPNLNSLDYSYKLRLTNYCDIYHIHIKIKASKSTERGFTIW -ETWDRCQNNFLPDPSLEYSSDHLGFSDLIH ->YP_009362171.1 hypothetical protein [La Joya virus] -MSTQKAYAFVSGHFEYKSFHFDKTEFCKALIDLIKTFTQDPVKVQWICLLSTIAALKGKI -LFKGDNTWGVRIYLECNLDLKGKWNLPRVEVIKEDYCSTGSPFMSQVCGWLAVNIKNGSR -PFLRKKHYSPDYREDHHSDISLGEFLRQAGLGGMIP ->YP_009362174.1 hypothetical protein [La Joya virus] -MAKTAILSTDLVLEFDESNIGEITILRVIRDVLDHTKIKSKFQNFIRLGVGYALYQCKLV -TKEEKYVKIESSSWAVAKVPYQVNYNPTSPMESYTIHKNGGWFGLNYKLHIVTSVTFTRI -TGGLSPEDMWAPVLNPKPDFIFPYRLVKQFLGFEFSDGP ->YP_009362170.1 hypothetical protein [La Joya virus] -MDFNLDQEEKSHPLFFNVEIHIGYRGPYFDSNDLLTQIDKMIESRPSSSNHTDLIRLAIG -LGLAHCVHSQITPTLWNLNGSFMGVLKLPDYPVNPTVHTVVGQEITDGCGLLYPDISVYS -KIYIMLGNESKVQDIITHWYTGEGGVCYEYDFSLPTVAGALKFEHLFL ->YP_009362178.1 hypothetical protein [La Joya virus] -MALSAAQTVIFKGSASLTINGSNVDQLDLIHIINKFTNQLDLVVFHQPMVKAILWVILRE -GEAKQTFDSLQIKGKTCHSLSLVRPVPMIPENDEDPPAAQESTLLVQKHYEGTHHDLTYM -IAIDLDIRLQKRRSSVTLPDIWRCLPPTTVNVLDIPIYEVANEGQFNDLVRERPLLYFTD -VNSEVYIKMSWDPENMIEPIYLLKSIKSVFKKIRGYRYQMEIIQMLFRIGLLNLKFSNED -RLQSSSMVSYVDVLLAIEPYLSYTGYPNHFSFSKGYTGKLNDINYNIIIKFDCTLCNNGC -GRSGAYMIRQLEKDPNVTFSLDEIDGVD ->YP_009362165.1 hypothetical protein [Itacaiunas virus] -MENKAFGLDDLGAFFKNFITGISNQFNWFFKTVFSLVIIFLLIKILVKFMSGLSNCIAAG -FKIRRQVRHWRNGNEGSRENP ->YP_009362163.1 hypothetical protein [Itacaiunas virus] -MDPPSYWVNGARPVLLRESYPVGEQFIFRGFTSLLGSLNKANIVNMLEHLQKFASSPIYY -KIEDSILEEGAFFVTILDPQNPQHSSV ->YP_009362161.1 phosphoprotein [Itacaiunas virus] -MFSLSKVLDGYDKSGLAESLRDFENTTDEMESQNALESGHYDLDGCKNLVENRQKDWVDD -VLEENKRTPDKSEQLEYLFHFDDFSGASDHRLVLNLQRLTDLMCYETGTIVTLEPNWGKR -QFMLRKFSPDGFKLRLESDDLTDDLPPPPVELLEESIKGAVNQSDTQGHKPKKGKIIIPP -KMSDKKPVTLLDIPTKPGSLLEVRSILQKRKILNRLVISHELNLWRWEEN ->YP_009362148.1 hypothetical protein [Rochambeau virus] -MKSLGSWLSQSSHDVPIYIIKWFEKEEDVVDFYIDLFLNAQEVSKEIVVKFHRSVIDPLY -VIFEISSGPLSQHP ->YP_009362149.1 hypothetical protein [Rochambeau virus] -MKVVFIIYTLLFSHLNSSEIFDDEESSCDGNELEKLQCMLDSLNSGGVLESVPQTSTSPQ -GVGAIISGIEKPSFFLQLFLPFAMAFRDSIV ->YP_009362152.1 hypothetical protein [Rochambeau virus] -MEPVLEKNWGGNILEGFGKYWVEVRYWVSVSFWFFIGIILIKIIKSLVLIGEKIVSCSGA -IYSIIKKHCLRIKRTTRRRRKIKKHHHNDPTR ->YP_009362151.1 hypothetical protein [Rochambeau virus] -MSSIDSGVMTTRSKRSSGRFMGPINSKLALSVFVLILVRITVTRGYQLATKGCDEYNYSY -IVDCVEGSYFGLCKIKTLGDVCHWYGLDNWKAEVCHVYLNRYHDDEFANLSLDSDRGCEE -VQEYLARGQSSNIL ->YP_009362135.1 hypothetical protein [Landjia virus] -MKKKMNSVQHHRNHRFFRHLTPIGQSLLCLHRNHAQRRRMISQMMITMNPWRMLEALLTL -WFMCLIIYQLERKSD ->YP_009362142.1 hypothetical protein [Landjia virus] -MESIHISGKVMITCQNYQLDALKESLDEHLRCWMKRNSNIKNHLGKFVSALLLMKLTRFE -DLFATEYWGTVDEVVMVPKEWLTYPIWIRLKSEGKPSDKLPIDNMTTQIEIRRTDRVSNQ -TVYDSLYTKLKHNNSKWAMSRFIDITTNNSY ->YP_009362141.1 hypothetical protein [Landjia virus] -MKLDLDFDFKGKIIDPLGKALVDGINKGLSFDFKGKIFDPITKWFTNTFNNIKDAGEPIL -YYLKVVGIVFLSILAIIIIIKFFKLFELLGKTFKFIGKGLIIFLKKLKRIPLKDCCKRRP -KPKRQKPQISTISEQTGEDFRTVKLNPIFSNLP ->YP_009362136.1 hypothetical protein [Landjia virus] -MDYFLHGGISIHKVPGSVTKEDIHYIMMKVINDLIHDLSMPHDLAGAAIALILSNVGYRD -MSDGSVEGEGYIQEGVSFTKPSQHPELCNRNWEHYGHHYINREDGSFFEYFIFMSRPLIF -IGKPYIELWSCITFPHNYHKMTLSPDLLALEYDFAHMICHM ->YP_009362137.1 hypothetical protein [Landjia virus] -MNLDVNCVIRFELPKQLFTQSNLLCIEGAYVRYAQRNYRLNHDLSAIVINTAFSWLDMWP -KNDELVQCYAVLFTDFLIPRRLRGSRDYEIDWRIDNFNIKLKETNVPLHLHLGIRTPMMP -SATMISEVWGKRGRNPMPLKKEWSLAFKAEVYRWDYIFNVLQDPIILN ->YP_009362134.1 phosphoprotein [Landjia virus] -MEQEQQINCPYDWDAMSNSLKQADNEEEDELCTAPSKPSILPTSDSDWAESVMSPQEPCP -EKEDDQSDDDYNEPVEDVRSSLDTLVHVPDHLSIREEVRLIGDIQQLVYVLTNGQKKMDV -MTKEGKKILILNDINTPSTKSKVPIRKERALDDAGCIHLARVVQDLGKGIKVNKILGGVS -TLTWRSLLIDPDDIDRSKAYTSAASAYKAIIKLSPNYSIIKARYKCPY ->YP_009362120.1 hypothetical protein [Manitoba virus] -MTIMRITISHQHRRKVYYRRLIMIGQSQSSIQKRSTRSWRPLGILRMFNQILPQKRKYLT -NLINIILLYLIILLQEKSRSLSPKLNP ->YP_009362127.1 hypothetical protein [Manitoba virus] -MKWNFDNKIVEPLANSIKRAIEKGLDIKYDFNKNIFQPISNSIRMIWMDIQEQWMKIIKG -LEIIGIIFLIVLFCIIAFKIVKVLAISFKSCKQCIEYIKIKKRCNKPLTTINT ->YP_009362121.1 hypothetical protein [Manitoba virus] -METFLHGGITLFCNSILVPKTLLHEIILKITNDLVHDCGMPPDLASAISTLLLSNTLFKY -LSDGTVEGTGFIQDGVSYKGETKWIDQKGKNWNKTGIHDLTDVSGSFFEYFIFCSEPAIF -EGKPFEELWATQDSHHPYFKQKMNLDVYSFEYGFNHLLHD ->YP_009362123.1 hypothetical protein [Manitoba virus] -MDLNVGCYIDFTFPNNLFDLKTLELIEGACIRWCQRHINLNKDLIAIIINLAFSQVEFRP -AQNQKVYGRSEVNNYLELQNFLRGSKDYFIDWAKHDFTMKLTSAEIPIHINFYILPYRGG -LLRTLDQAWGRNYKSTMLRIPEWQLEYKAHLYNYSYMLTRSTELPELN ->YP_009362122.1 hypothetical protein [Manitoba virus] -MFILLSTDLIISCMIKMDFYYINLYLEFSLPKSAYCYAALIHIEKRLQDIISKKYKILSG -IISGWAISNLEVVPGNYGLVECQSIIQDAIQIKFDPTSVCNDLVVNKRFELKVLQWVIPI -KLLLEVNRSHFPIGSRLTSLWGRRGDSFMIHDEMWDIKTVANRLGFADAI ->YP_009362119.1 phosphoprotein [Manitoba virus] -MENNQQISCPYNWDQLNNSLKISEDDDYEDYNLPSTSSKSVLPTTDHDWAESVFNPKEEY -PILETARDTKNVQSNITPEEKISYQLDQHHITIPDHLTAREESKLISEIKSLVFDLSGGS -RFSTIEEVNKTRYIVIKKSNCVKARVTQPEPKTSGVVEKDRRVEQDDLFDFVLDQFDKGL -KVKRSDGKISKLTWSSLNIRRSDVRYKRGLNDKELIYKYIIKHSPNYPLIVAKYEYLN ->YP_009362116.1 hypothetical protein [Marco virus] -MGSCCGKPSRRSHKIILPNVLDGHQFLTDESRIYLTPQDPPVSICSVIGHDISHNRNPSV -YLNHYNKYYDNYQNRETIRYIDDSDDDVYDQLAATLK ->YP_009362113.1 matrix [Marco virus] -MSMWLQRFKRSHKASGPWSKMDLAISKSSDKTEDKEESFIGKIHMDDNMKSSNFFNFKAT -APPAVETALTNLEVQSEILITTDLAIGSMNTVYCICDKFKEDYRGPEYQKDIAKSILAIL -ITHLRVESVTNHTRCYKGEFNDVIQLSLTSDHASTKAWSVIESKSLFYRFQKQVSMKIKI -KFKQTQLRGIPYLELYKLPMSNGSDPPLIDSVLQELEMTNYEIQDDVFFIV ->YP_009362112.1 phosphoprotein [Marco virus] -MDKKIIEDSDIDWKKFGSSASLCEDAATAQIDHEEANESRISTYAPTKPINLDEEEQFED -KFEKMVLSAPFLGFGKKKEEKPKNTGPEPDVTKGKKVQDAPGKLADALKNKGAISKVKPK -PEPMDLDKVKTPEPKPKISVIPPMPKPVDQLGQQCSGEFLESPNWSRVYYGASDQVATIL -GSVVSLVLRTVDPDGKYSLITGQTVTGLRVEFKEIKRGVFSNQEFNKKMDEFVQEMEQRK -KKEEGGEPEDVEMKTATPKPAPFIVPRPQKKPTIVPDAPIVIPASVPYPEADNLKDFVNQ -SLTNGMLFQKHKKGVVRITWSTLGLDPEILGVAPDFLTGYELMCYLVSQSPKKKAILNVC -SIPKKIGKW ->YP_009362115.1 hypothetical protein [Marco virus] -MYLKTLVLLCGIGVIQSVTQDDRRSKINLLPFLKLEWLDDLRKPVQDVVMDSQAVFKEIF -PNILREMQAIFQKIDREISTKLFDPSREAFQKMIEGTDRIIKQTDELFTKKIPEEFNKMS -REIARSTQESINMYKRFEKDFKDKIIEPGSHAIGSVRQEITSSVLMLKNNMSTSISRMTW -NIGNEIKSLKMGLVNEKILSLGDQINEKVIKKTDQVLTIFKDTLNNKVSPLFQKLSQIDF -KGSVDQVNQLIAATKGNVVTPVTVLIQSVKQLIENYSKSINNYLMPTATKILDQVSDGTK -TVFDLMSTSSKKVHDCILEIQASLSTKVIPVFEGFANYVTAISVALKPVLENWKDSIEQA -FYIIGYNINQVINQFNIYFKDINWNSWIQNTKTWITETYLSGKAALFDFIKSASEYSFKA -IKVIKIIAIVLTIITLGIVVIWIINKVMIISRWCCPNNS ->YP_009362105.1 hypothetical protein [Sena Madureira virus] -MSYYLEELKSNDVNMEEVPFDTTAYNTFEVDAIPEGLPQWEIKRRQIDNILGALSQLGVR -CDYLTDDVNSIRFYITLDPQLYSYEVDPEDNFIIFENEQSEDEDEFLCFIEEWDF ->YP_009362106.1 phosphoprotein [Sena Madureira virus] -MENFPPDFDPTKSLNSCLKSFEKTCNEERIFGGENHNDLENASGGDSITTNDSEQSSSDV -FIIEKIPVGLSAKEIEDRQVLNILRGFQQIGIECQFTSRNDDHLKFTVIWPDDSSSEISN -NETLQNDKREEKYENENNDSDDEIQSAPEIDLDTFNFLKGYSEEYVKLNQENILPQIDQK -TLMFLRDLENGFELRSIEGGYVNIHLGLLKTPIDKIKKIKYPEVYDVKEYCFKMLSEDPT -DIELFSMVDWD ->YP_009351888.1 PIPO [Sunflower ring blotch virus] -MEKSYLDLLEEAWRELTWLEKCRTIWHSQRAKRFIIKPLKPTNKADLKGLYDTSPGACFT -RSLSALKNEKEKRVEQVRLYINR ->YP_009259649.1 putative glycoprotein B [Diachasmimorpha longicaudata rhabdovirus] -MSDFKTQTNTLQVLMLLGIGILSMVNFISGTGDGQGKVIGIVKKAQTIYRKVPSPPECEL -DDDHEVSFPVQGSIWRYKSSNADMVGFILTKSETTTFCHENLLGGEEKRILQVRDLPFRE -VDTEDRIQVEKLRKATFEAKIPEWECSYFSDNTVKTKIYHVKKMNYQYDPSTRKIVIPPG -ITSEEGNGIFKLGNNSLFITMSPKPVFPECNIELFKSSVATLVRKKTEVHQVIFNQFKEM -YYYNVKQFMMCFKTRIYLTLGGYLISTVNITSTSHTTPTNPTPPSATKPPLIITDAPIPT -STAGHGHGKRTKREVTPQEETIRVPSYRDIQLSCVTENWLENIKLRKKVYSVDIGAACWE -LSSETIEEDSTSSRRRRSLNDVHEVDSHIFLNYQHELSRTELQWGLYHLANKTSEALTHL -AKEFCLEQLMHHTALMEEIDKGSISMATFSSFYPDYIYHSISLKNNVIEIQEGSIKFVNL -MDPLVCCERRCRIIDTKNRTMWLESSSRRIYELSESQTSCRWKPNSFIVQDKKTRNWIDV -MDAEVISPLYKLKSLNRPDPLEFSFNTTNIYNPYDFLSPLYTDIVKSHRGEDVTVSYGKK -FSWLLGAVDSVKEFVSSFKGQLIIGLFCIFLIVVLVLKMFHFILNKKVNRRGEGSPVMVP -LTRYGDRIPASSF ->YP_009259648.1 parasitism-specific protein PSP24 [Diachasmimorpha longicaudata rhabdovirus] -MSNFQNYPTYFYIVDNTHLVTMVLTIQSIIILFSFMLILKEIRAQAIELPLDTMFIPSVN -PLTSVVGNNPPSTTPVPSTNSSSLFREGQNYEFQTFFVRTSCNTSYGVQHYHVEFKSVTL -TTSEHTGMRDRAVRECINFGYSPHAVIPLYCSNGVVHPISQYYFHISYTNPVTGVTKSCP -SPDRVSSGRWKYQLINYKESTLV ->YP_009259647.1 hypothetical protein RS08_03 [Diachasmimorpha longicaudata rhabdovirus] -MQQDKMGYSVSFTLKGHLKIINCPKKWLDFKYELSCLILSHWENDFPCLLINEEIRGLYY -NVLVNLLEKKHVMIRDGVGGYDCYIDLISKGFLHKAMRQPVMTSVKQESRITSVSFPLTE -DTTILVKGIIQLIQCPFKIDNWEKAVAQGYRPFNNMIKKDSGGKKNQVELRSISSGPSTA -VPEEIKIKNLLYNWIKKH ->YP_009259646.1 hypothetical protein RS08_02 [Diachasmimorpha longicaudata rhabdovirus] -MSNLGEIPDSLTEEITGSLDISPSERYRRKMEMIRPSLKPEVTPIMGATDGKKNGRPQID -SEASSGEGEEPERPQSSSISPLPSSSLFSLSPSAYHHKRKKMEEMWRNYQKGSKSESGFK -KICYHVFNDFLTNKPSILTPLSSSWEFMELHDKKFIIGEICCQKVLTNEDQIIGALVCLG -RKSQHTLRESLEKQTMLLDKQETVIKDTTLKMEKLVESYQSLLETSKIQLEKISTSLAQR -EYPVPGSSVSTTGSSKIDRTFEQMRLGPYVIYIGTDQTINMGATVEKNKLPVDSPMMKML -SLFGDNNIKKLKFVIKHPIIENSFLTYIKTCQFRYNNWGEFKESFTQYYNAGS ->YP_009259546.1 surface [Tibetan frog hepatitis B virus] -MTFPPSIRLGRSLPFKGYTFKKILSERFPIGDGLTFTQLGFGQTRLNTAPGNKESQINTP -NIPEHIQKKSPSTCRHYITMGSYTKGNPGMCFYSMIILTAGSLIIYCPATAIKARTTRAS -EGSHRADGAMRFFARVWSWIGGNPSQHVSAQEHRDRMEDPHAFQQYLATLPDINRAALLS -LNKHFRLDNHQGFLQILDNATFAEIAEHYYRFRQAYANHSITWTPPHEEEYWEEHALYPE -KAAPVEPIIPVPGPKRAPPLKPRPQPRNRTKLRKPSDAIPVLLAIPTSSSTEVGRLPYQI -YPHELLPIPKLEEKSTTANMSGAVSPALWFPVVALVVYFLLTKAREILAKLDWWWTSHSF -RGANGECIFQDTSPQTQKHLAGFCPLTCGGFLWTSLRLFTICLIILLAVCGYLFLMEKGF -TSLGEQLWELGSAPGTLLSSLLSSLKGSGNIFLSIALLTWMTSSYLTLTPATSLLLLTGL -WATYNNMGSG ->YP_009259538.1 ORFY [Bluegill hepatitis B virus] -MRHVFSFFGAVELCSPTNLSLRIFHDLLGVAHAFAGRRWLCHLQILFWSLAQTRNSSTFY -QQTFSLKYKKLWIFWKHSMGTKLTRLCIILLIILLCVSCLIATKE ->YP_009259543.1 ORFZ [Bluegill hepatitis B virus] -MKCIFSFLAQCQFGMVPKNFYHFGFWHGAKPLGTTPTAFLNRYISHALLAACQHARYLAR -CQLYKSVSREISSFWHSANSSGLGRGPIFRSLRSREPKGRGIEARARV ->YP_009513251.1 bel1 [Feline foamy virus] -MASKYPEEGPITEGVEEDFNSHSTSGLDLTSGNKEEPLISLALLSMHTSKIVVWIRDHFF -VKILSFGGKQKLYYICNQCHKGIPESGYITLNTKYYLYEKGPTETGTKGLTLMRRHVQNS -PCFLNSRKESGTPKTDPTRPATSYSLCRSDYQEAGCSRPTPSNSESVCNGLGQPSERGHT -SGESGGIMEEVSFISWLEGLWGEGFDYAN ->YP_009513235.1 hypothetical protein [Solenopsis invicta virus 2] -MNGLPTTATQFTNWYSENFASSGISFLASGGANWVPGSSSVSSSVTPSRVQPARLNQDYA -SFAQSSPANNQGFDPWGVKDSPIIDDDERVQSNLETETEIPNEAEEGLSETVEAVGEVTT -GVEAAEATAAVSTPWTLLAIANQQLGQAVSTAHVSGLQQQSSADYTANMQSHGLNVGLNA -DLIREQQSQTISRQQAGGSIGSLLGPLGTLIGQAIAGYNSVNQEDLKTAASFNGYVNPQM -SNIVASQTTSGDAGQATQVDNVDTTNA ->YP_009513236.1 putative transmembrane protein [Solenopsis invicta virus 2] -MDSLPPQLNLLIGTVRTLLLLGYLSLQVAAQIGSLAVAVFRPLLRRVGYNLLDSIRTMPA -SHSHLPLTIKDLTHGE ->YP_009513227.1 G [Avian metapneumovirus] -MGSKLYMVQGTSAYQTAVGFWLDIGRRYILAIVLSAFGLTCTVTIALTVSVIVEQSVLEE -CRNYNGGDRDWWSTTQEQPTTAPSATPAGNYGGLQTARTRKSESCLHVQISYGDMYSRSD -TVLGGFDCMGLLVLCKSGPICQRDNQVDPTALCHCRVDLSSVDCCKVNKISTNSSTTSEP -QKTNPAWPSQDNTDSDPNPQGITTSTATLLSTSLGLMLTSKTGTHKSGPPQALPGSNTNG -KTTTDRELGSTNQPNSTTNGQHNKHTQRMTLPPSYDNTRTILQHTTPWEKTFSTYKPTHS -PTNESDQSLPTTQNSINCEHFDPQGKEKICYRVGSYNSNITKQCRIDVPLCSTYNTVCMK -TYYTEPFYCWRRIWRCLCDDGVGLVEWCCTS ->YP_009513226.1 SH [Avian metapneumovirus] -MTSTVNLGSDTASKWTVIKSRCNSCCRILVSCAAVICAILALIFLVATIGLSVKLAFTVQ -EVHNCKQKLSGASTTTAAIYTTPSTMIEALQTNQLKLTTNERRSTPPDCLVEKKLCEGEV -RYLKTKGCLGAREGEDLNCIDLVVECVGKPCGHNEDYKECICTNNGTATKCCYN ->YP_009513225.1 M2-2 [Avian metapneumovirus] -MPVVIPCRRVTAIIKCNALGLCMVRKIYDYSIASWSDLIEEVANMVLIDHINRKQCVECR -KDLEFIAIYTSYN ->YP_009513212.1 envelope protein [Koala retrovirus] -MLLISNPRHLGHPMSPGNWKRLIILLSCVFGGAEMNQQHNNPHQPMTLTWQVLSQTGSVV -WEKKAVEPPWTWWPSLEPDVCALVAGLESWDIPELTASASQQARPPDSNYEHAYNQITWG -TLGCSYPRARTRIARSQFYVCPRDGRSLSEARRCGGLESLYCKEWGCETAGTAYWQPRSS -WDLITVGQGHPTGTCERTGWCNPLKIEFTEPGKRFRNWLQGRTWGLRFYVTGHPGVQLTI -RLVITSPPPVVVGPDPVLAEQGPPRKIPFLPRVPVPTLSPPASPIPTVQASPPAPSTPSP -TTGDRLFGLVQGAFLALNATNPEATESCWLCLALGPPYYEGIATPGQVTYASTDSQCRWG -GKGKLTLTEVSGLGLCIGKVPPTHQHLCNLTIPLNASHTHKYLLPSNRSWWACNSGLTPC -LSTSVFNQSNDFCIQIQLVPRIYYHPDGTLLQAYESPHSRNKREPVSLTLAVLLGLGVAA -GIGTGSTALIKGPIDLQQGLTSLQIAMDTDLRALQDSISKLEDSLTSLSEVVLQNRRGLD -LLFLKEGGLCAALKEECCFYVDHSGAVRDSMRRLKERLDKRQLEHQKNLSWYEGWFNRSP -WLTTLLSALAGPLLLLLLLLTLGPCVINKLVQFINDRVSAVRILVLRHKYQTLDNEDNL ->YP_009513262.1 3A [Equine rhinitis A virus] -SWSDLFKKCSTDEEQKMLQFLIDNKDSEILRAFVSERSILLHEEYLKWESYMTRRAKFHR -LAADFAMFLSILTSLIVIFCLVYSMYQIFKTPDEH ->YP_009513259.1 2A [Equine rhinitis A virus] -NYALLKLAGDVESNPG ->YP_009512996.1 hypothetical protein [Flanders hapavirus] -MGFDIGKDIGKPLKEAFDKFGSDIKITFLTVLNWMKWISIGILIVISIILICKIIKVLFQ -FGKCLWSGFKCCKKCFKSSKTRAKSSKEKIKLKRATKIIHNPLRRNNSRIKKVPSVIKLI ->YP_009512983.1 hypothetical protein [Curionopolis virus] -MKSLGDWLFQYSLDRSLFVTRMFESEEEFLDFYVDLYIACKSVNESIHVTVTRSLIDPFH -VIFRIWQT ->YP_009512986.1 hypothetical protein [Curionopolis virus] -MESSLEKNILGGAWKDLEKYWKVIERWAQFAFWFFVVIILLKLLKIVLEVSQKILSGCKG -IRRSTGRVLKRLRKRNQRKIKALDTRVRQQK ->YP_009512984.1 hypothetical protein [Curionopolis virus] -MKNTMEAKAVVAGILLLSSLILFPTLDAQALTILKRTCGDGMMKRVPCKLMDSVENGISA -RGSCLIFCSSKKKIKGKDGRMRNLCLTGDPRADEIIKCREQILKKKTEI ->YP_009512963.1 hemagglutinin protein [Feline morbillivirus] -MESNNIKYYKDSSRYFGKILDEHKTINSQLYSLSIKVITIIAIIVSLIATIITIINATSG -RTTLNSNTDILLSQRDEIHNIQEMIFDRIYPLINAMSTELGLHIPTLLDELTKAIDQKIK -IMHPPVDTVTSDLNWCIKPPNGIIIDPKSYCESMELSKTYELLLDQLDVSRKKSLIINRK -NINQCQLVDNSKIIFATVNIQSTPRFLNFGHTVSNQRITFGQGTYSSTYVITIQEDGVTD -VQYRVFEIGYISDQFGVFPSLIVSRVLPIRMLLGMESCTLTSDRLGGYFLCMNTLTRSIY -DYVSIRDLKSLYITIPHYGKVNYTYFNFGKIRSPHEIDKIWLTSDRGQIISGYFAAFVTI -TIRNYNNYPYKCLNNPCFDNSENYCRGWYKNITGTDDVPILAYLLVEMYDEEGPLITLVA -IPPYNYTAPSHNSLYYDDKINKLIMTTSHIGYIQINEVHEVIVGDNLKAILLNRLSDEHP -NLTACRLNQGIKEQYKSDGTIISNSALIDIQERMYITVKAIPPAGNYNFTVELHSRSNTS -YVSLPKQFNAKYDKLHLECFSWDKSWWCALIPQFSLSWNESLSVDTAIFNLISCK ->YP_009512960.1 C protein [Feline morbillivirus] -MASNLYKRSKTTLRLPKMSILPGRFTNPLDKQEHLQCKEEPLREIILRQGVTMTQCIAKD -QVLLFQVLTRISKDLLDSIIQDYGIQRVTSACYSKAMMMKTIIQRLMAGLPLSKDWMNRI -MRTQLLNNQEISVLRECLRLIHLLVPRKLHYLLGDLIYLGQEYQPVPLWI ->YP_009512959.1 V protein [Feline morbillivirus] -MSSHQIQQVKHGLESLQEIKNNPPSSQDVNLAREIYESIRQTGTSSVQGGAIAGDNITSG -GNNDSMYSQGPSPPISSVNKNIEGPTGFDHSGLWDPEGNLCMLFESDDDENHYSEINGRS -SAIEGLDEQDNENSIIKQPGNQCTEGVSKTDSSLSSQETTLSVGGSDIPGAGISTCASLD -ITVNELEDATVRNSNNMKGNWPIPKLLVKPPPRVKTSVDHSNPLKGGHRREISLTWDGDY -IIREEWCNPICTPIYSTCKRLQCRCKQCPSTCPKCE ->YP_009512958.1 phosphoprotein [Feline morbillivirus] -MSSHQIQQVKHGLESLQEIKNNPPSSQDVNLAREIYESIRQTGTSSVQGGAIAGDNITSG -GNNDSMYSQGPSPPISSVNKNIEGPTGFDHSGLWDPEGNLCMLFESDDDENHYSEINGRS -SAIEGLDEQDNENSIIKQPGNQCTEGVSKTDSSLSSQETTLSVGGSDIPGAGISTCASLD -ITVNELEDATVRNSNNMKGNWPIPKLLVKPPPRVKTSVDHSNPLKGATGGKLASPGMETT -LFERSGATPSVHPYTQPASDFNVGASNVHQPALNVNNNCNDGRVTAPNSHKDIEGESEIS -IQDIYNLILGFKDDYRKLSNKLDMVLEMKQDIDNLKKNSAKVQLALSTIEGHLSSVMIAI -PGSGIDSTGDEEKDQINSDLKPLLGRDHCRAFREVTNPLDESSLANSPTKHVAKVNKNCT -LQKINKNETSAIKFVPSDSHASTSTIRSIIRSSNLDQDLKTKLLTILSQIRGADNIREFY -EKVMILIKNKN ->YP_009512948.1 small hydrophobic protein [Avian metaavulavirus 6] -MRPQVAVWALRLLATGLAMISLVFCLNQVIMQVLIRDIGDLLTSSEIKTTRETLREHLSS -ITLFMSFALTCSISGCVLSLVALYPSKNINGTNTQPQVEEARSENLSHSSMHTINRPATP -PPPYYVAIQLSAEMQPGYHPGD ->YP_009509114.1 NIa protease [Cardamom mosaic virus] -IDTRLSNNNTNLEIMNMIGHIQIGEGRLHCLLYKDFIIMPAHVMQKPLPLTISFKHYTVK -IPELQEAYAFEGFDLLCIKRPPQLAPIKCSASLATAQEGMIVQMLHKKFLTNKPIITVTA -PIHETSELRWAHQIPTVVGMCGAPVVDTQTGKIVGIHVMGDSFKKHNVFETFPSDALEIL -NTNDKKIHQRYISQKIKIWTFIPETHGYDPRKIQGLQ ->YP_009509113.1 VPg [Cardamom mosaic virus] -MKGKHLNRDKRNVALQDTFDEAYTAIRGDEDFERVRSRRKGAKEKDIAPVMRYAQQKRPF -LTLYDLTLDEDITHAVFADHNNQAFYETANPLANLKDVETHLQSHKDKGGVVFWSDYADD -TIFMTITKRDGTVQKVRLTPHSSERTTRHGGQQGFKEHEGQYRQTGDVEILKQPQQTLE ->YP_009509112.1 9K [Cardamom mosaic virus] -MEGKLTRESMIESLQLEDIPNASLKDAILLGNRRSILALSFLACGAFAGLAWYLTWDDNE -GLNNKWNKKQRVAVHKEVLE ->YP_009509110.1 7K [Cardamom mosaic virus] -ASIKSSEKQMMSVMAMITLLVHAFDIDLAITMSNSLNHVARMANMLTDTTAGWVMRGNDS -QELQ ->YP_009509108.1 HC-Pro [Cardamom mosaic virus] -MASGKKQLGNFKVEVLDVLNTHMAQMLMGKTLSVEERTREVLVQIVKKHYRKNEMKEGGD -FVMVDGKGKTVASFPTLLKGKPTLKDFVDKEFQIPAQQPFNTCDSVTKCIKAHRQQLAHM -RTISSDYTFGGISKICPIPLIQGMVPVQGMCFFTMVVSLSYFIPPELDDKFAQLLEEILQ -LLKAWPTFETVAKIAQFIIYRIPILAHVPIPALAVDHENGLMHFCDQRGVPAGWHVLKIG -MLAELANAGTMTTSKLNKYYVG ->YP_009508876.1 polyprotein 2 [Prunus virus F] -MQTYNLFKDITGFETYAEYEFDLFTSETWLEYEERRNRTFTFVSKHLTPCKRIYHLDQRK -IQRPTRSENFKSFLISILYISLYYISSFSRKLDKMAFQGSSHSVGSGTSETLQVPLGTAN -TIPADVLADRGRAYRETVPSRASVLPRSRDVYSDRVTRFMRLGWQGLVGNTATTIRIENA -ELTTLGGNHVVDVPIPFLGTEATSVNPVVMAMDERLTPSVRSSSGPNLAHVGVVEILVDG -LVAQNARTVAGALLYDARHQDREQAFLGAFASTIASEPSRVLFYPDHTVSLLQADAARTL -HLAIVLPNNDMREHDIAAHIRVGSITQFTRGSFESTHTARLINHTRTDRAEAVRYLGQNM -HVIHAASRPRVEMPNISIPFGRSISMRQTAALQWEAVERPRQSVVLQFDNPNNRSTFSFD -AISAETPPVNPDPVLNESQGQAVSSLEVEMKVPIVQAGEMTQRVLYSGTASVATNAAEGT -VLASFSMAEVISAQTTHAPMLQTYGQVPGKLLLRSKCQIPAACGISLFWIYKERGAPYTS -PLAVKQAASDPHIFWNPACQSSIDFWVAPFSCSSHWIPSYFSSMESHFILACSTSWQQAP -KTAAAVQFALYMEPSVEAIPRVTNVLSPENMDYFRFLGTIAFKQQAHASAQVLDLSLGLP -CIYSSGLGHNSCSAIMSQFQYWKGDVFVEIVKASSPFVTATISVALLPGGKAWATDQRSL -SLVPHVTVAIDPQASRYVCKIPKEVTGAHLLANNEVASLSRGKKLSAVLAIWIRDSVTSS -VDGDLTLNVSVSRVENLECLGFSSGYPFSASRAQLSVGEAKYQDVFKVLIPDTQKGVATY -EISLHRPLSVTVNDKVNVGMERYYCPLVNILQTSAWAKGTIHWKLIWYSKPIQMALRTSC -VDMEVHETSVAGSYAYVRDSSCLPSGKFEWDTYFTGPIEGFMFLDDKFGGQQSFTTLRVQ -VSESSECSGLILMAKFGPDFVVSGSAGGLYRSVPKTTMASIVSMFP ->YP_009508868.1 polyprotein [Grapevine fabavirus] -MLFKYKTALFIDLLLQSLFCVVFRIVSERAAWKFYRLQGSHKDLNLARRYGFLIAHRKCA -SLHLFRRALVLRVVRMSEAIAHAIPADILADKDRAYKTSQKAKKTLLAKTEELYVQNWKQ -KLRASFPSSSGTKEVPLQVAKQYDLEVGAVRGKDTLEFPIDPLQSVERTAEVFDDRVPMS -RRGRNFKEGKTALHLGALEVAVDGIAAMSSDLYMGAMLVDTRHNNPENAFRGAMVAQIND -QQNRAVFYPSTRLHLATTDRTDKMKLVVVCPNNDMGDDDTCAVVRISTVSELNDGFHQDH -QTKLLVKRSTEEQAHAVAYARNNCITVNPRVCSEFNPSISIKGLGGIKVERTGPLAFVTR -KVEPMSFSINAGREDIVAGWNHGTVEPSAPYFASGSAYGRAELGQNSEGSTSALQALPGF -DNLHVAHEPVTYIDPVRQLGSLSASQRILVSGRFKIGKNVVEGTVLHMESCTAWFNSQFG -SLLRLIDELDGTLQVEIECAVTSLSGVGLIAGLYEGDRLRETDRLVRTKQLFTCQGVNWN -PACDNKVVMEFSPMAGLLRWNAEALSAKGCFFVVCATGPWFNPPNGDIWANFRIRVNPGG -QRLPLVRPLERTIKCSTKRHFGKFALKQGDEPGFIRVPMVAGMVSLAKGKVMHPMSSNIM -ALWGFVRANVVCELVRTSSPMMNCTLALALLPASLAFSLTPSQLLEFPHVSCCLKEMSSR -VVVKIPAKSMGLAMPTNLGRFGGGWTRDNASHYLVVWVKDSISSTVIGDLCLDINVLELQ -DVERAGPPCSLSFRSEGQNNDVIGSSAFSPFFKVVNLDVDSPMTCKVDLLTARLCFDGTR -ADWMQAFSPLSSPLLRILQQAPWVRGGLKFKFVWISKPVPYKELCSAGVISYHPYGPSCD -PVEIHSFSGPSGTCEFSVQLEGPVFGYAADVPPSGYVGNPTLVRSCGISNNAEYVPVPWH ->YP_009508544.1 bel2 protein [Brown greater galago prosimian foamy virus] -MRTNNSLIDLRDLESRVRSLPPLPPAAAHEWIRSQILHEDHESVSRGLQCQIVPVLSPVP -VPARSPMQMLMLFWKGYCNSHKKDEPIPEWVWTPEPAGPRIWNASSLVMTTAVPGIGLIQ -CTLTANSCKVHVCGGRRDPWYVGTCGPTVCWNMYYTGDPFRREQHEPTLVHLAPHHDNPF -LCGRRPHIDFCKSFSYEGDSPLQQSIKKYKGLTTEVVMGTEPLATTVIELECMEFQLASR -DVPGTPTFPNWGFSPWGYTLHMMAFGPEGPNTKERVSWEKGLLTRNFSYFRSSAVRPQEL -EAMVNSKLVLAPLHPFQYRAGIQLWVNTALPPDWVVLDPRGNRWVSSGQGWEQVYEDIVD -CADPEHFTSGDPGMTLPLHIIPEECRDDYESDGEIPRHRGLGWVSDPESPRPPSPSNSTM -SASPLDGACSEKEDWEEPPPLRHRPSTPYPPPPPESNESEED ->YP_009508543.1 bel1 protein [Brown greater galago prosimian foamy virus] -MASWNPGQKGINIPQNDESDLPQPRPLPALPASEFMDHNYVGMRQWYNDPSGGYPDLGNE -ELHDVLFSLAYNCLHFYKSLPIVRQRFKCYLEPSFQRVGIYNICFQCKQCYKVIIESKPV -KYDPEVKFFTLLLSNFQKSSWRRSRMCRHLEWHENEQFSYRSTRPREQGSEPSPAATSSC -SRMDSVPDPPRGPRECLQGPSMSDCASPIPGPCTSQEPYADVDAFLEGLLQQPQKGRADS -GMGLDPGAGWTEDLERFILGDDNSCARDWTDSMHSDS ->YP_009508540.1 Bel2 [Puma feline foamy virus] -MAWDNPQNVVTRLVNLGDPWKKYLLSPGWKDCGERDLTMLTRELLVPGIGLIQVAATAAE -TYVLMCNGRCITGSRTDPDCDPLFCKLLCWKQNIRDPRECNLEEWCLYSLDPEHDPLWDP -KMTVRRHRNLLPYCMRPFLIWMNYISHNPLTQQCIMMKTLNMLWRAQADDPSDVASLHPR -VKVFKASHFDIFGSASGNREERVSWAKENSHRGEYSLLPSSDDEEEEMSEREELLCHINQ -CQQKLFYPGGTTDILGMESNNWLTKFVNTKFPKGTKVILPDGRKFIACDPELKPLLQELK -FLDRATSESSDSE ->YP_009508539.1 Bel1 [Puma feline foamy virus] -MASNYPEEGPVTEGVEEDFNSHSTPGLDLTSGNKEEPLISLALLSMHTSKIVIWIRDHFF -VKILSFGGKQKLYYICNQCHKGIPESGYIVLNTKYYLYEKGLTETGTKGLTLMRRHVQNS -PCFLSSRKESGTPKTDPIRPATSYSLCRSDHQEAGCSRPTPSNSESVCDGLGQPSERGHP -SSESGRPMEEVSFISWLEGLWGEGFDYAN ->YP_009508534.1 U3 protein [Beatrice Hill virus] -MTLSMDRLGTEIFTKIYFKIKEDFTGWAYNLWFKIKAIVLVLIIILVFVVTIKLFKSCAY -VINLIKNCCKGIAMLRHKGRKILSRYRSDRKKEGNKKILIESPIYMNNFGFQSDTKS ->YP_009508486.1 X [Southwest carpet python virus] -MDTSEEIKAVAELIRRATLKDGIEVDRADPRGRCPVQADSSNTRGASPELTDSGPAVRGL -RSSNRDGKRATGDAARKGQKGKEKKGDSRSTE ->YP_009508489.1 M [Southwest carpet python virus] -MSPSSVADAIFPGFSTLFVKLSFFNAQVRVAIASVFDEIPISSDEVKQYVTFDVEPIGPG -QVRLFMKWTRYGLEKIQSVLINRRALTDLCFLDLSPQQSAAIIAEGFNVQEALLYYIHPG -PLSQWIKKKV ->YP_009508487.1 P [Southwest carpet python virus] -MESRSTEPIQEVDALYKQIPAILEELPQSLLIQGQQFADSGAPTGMESEPLAMLHEKVKK -AKRKREIVDLQSNDTSDDDGELPDPLVGKVDVLISKVDGLALLVDKRFSLLEGRIDKLQS -DIAYLGSRAAEGRPIQTPIQPFGPPAAIPTAPVSHLYPDLPNNSWDDLGM ->YP_009508485.1 N [Southwest carpet python virus] -MSTAPDPAPSTSGPLPISPTASLPLPQATHLVGAPMPGEGPAPALLCLYNQAVRSLVGPL -ITAISVICAVCPPFRQHFHVPENVLKPDGTYLRWIPQGYGTSSSKVPLIPVDSEHVTGLY -HAACTYLVALFKDSRDNSHIKAAEGRFAAFKAAANISVTHTPYPQIDTSSFVAWMSAQTW -AHSSLITILSTPITGPGAHLMTQMHLVAKDAQMTTLNAIDTFLKEFDSALILIPGVVDDI -PKFQRAWQELASLVSSTWFPYVKATMHPQAKKISPSSFPKLASAALYHAVETNPTMRMYR -QNKPIPGGIPESRLKAAFQKKLKRAEAEIFTDEQRDILQKYGVTASIIERTLRKQTKKRS -HSPDTSEMDT ->YP_009508480.1 X [Jungle carpet python virus] -MDVTEEIRVIAELIRRARLGDGVEVNRTTPRGRPAVQADSSTRRNTRDPEDTRATTRRLR -SSNRDGERVTRGIARESEENKEETRDNRSTE ->YP_009508483.1 M [Jungle carpet python virus] -MSPTPVIDSFYPGFSTLFVKLSFFNAQVRVALASVFDEIIISGDEVKQFVVFDVEPIGPG -QVRLFMKWTRYGLEKIQSVLINRRAISDLCYIDLSSIQSDVVITEGFNVRSAILYYIHPG -PLSQWIKKKV ->YP_009508481.1 P [Jungle carpet python virus] -MESRSTEPPQEVDPLYKRIPALEGIPETLRTQGQQLADSEAPTEMENESLEVLHEKAKKI -KRKRETTDPPSDYTSDDDGDLPDPLVGKVDSIISKIDSLALLVDKRFVLMEEKIDKLQSD -IAYLGSRAAEGRPIQTPVQPFGPPTILPTAPSIYPDLPSSSWDDLGM ->YP_009508479.1 N [Jungle carpet python virus] -MSTDPNSLPGTSSSTPNFLTASLPLPETTHLAGAPQPDEGPIPALLCLYNQSVRTLVGPL -VTAITVICAVFPPFRTHFHVPYVVQKPDGSNMKWIPQGYGTPSGKIATIPADGEHIMGLY -HAACSYLVALFRDSRDNSHIKTSEGRFAAFKAVANIATTHATYPQIDTSTFVSWMAAQPW -SHSSLITMLSTPITGPGSHLMTQMHLVAKDAQMTTLNAIDTFLKEFDSALILIPGVVDDI -PKFHKAWQELAGLVSPTWFPYVKATMHPQAKKISPNSFPRLASAALYHATETSPTMRMYR -QNRPIPGGIPDSKLRAAFQKKLKRAETEMFTEEQREILQKYGVTSSVIERTLKKQSRKRS -HSPDTSEMDT ->YP_009509098.1 P1 [Daphne virus Y] -MASVQTIRFGTFDVPIFGAVETQKPVPVVSASMVEKLEIEKFITEMDKRPAGILESMNVQ -LRAFDLQYAQAYKKHLTDLRSGKLSDKLLEFRERSKKLRRRGFRALEGNETDSIVKSIDV -AINEAQHEEVAVPTECKKRAYSRKAPKNKRVRAIKLTDQQVCSLVRGLAKIQRGKSHTLE -VIDGKRKQSLSFSGGRVFAKVKHEKGIRASCDIQALLAWGNMIAENIFGATVRCEQTAKD -GLKRGSSGMIVDRSSLKEACIAPPGCYLIVRGRLDGVLVDARVALSRGERHRCVHY ->YP_009508377.1 hypothetical protein [Soybean leaf-associated mycoflexivirus 1] -MAPIRPMTILLPLLLKPITQLSLFFVVLICLTCTPVALAEVNAMQFNGTHLVKPEFVRFP -INQYLPSSHIDFGDSYQSLLDYVVQARYYRHYPDIMGYERHGNGYTGGDDGLWLILADAD -ASGLGSMTVDFPGRWDGLAIKGTTTDNGLLSPHLQYPIIGITVFITSFSPELRFQPSNHV -TYVVDVPCDRWDHALYVDFAANPLKQHWFAVHRRVVHINDANNPNRQHDPVPDHVHDEL ->YP_009508376.1 hypothetical protein [Soybean leaf-associated mycoflexivirus 1] -MSLPTDRNLLAIGGDLLHKSDVYCYFQSVSSSSALLLITNKSQADWLRSRFPELVPPNNG -PNPPNDHSVATAFEANYTTVSFLRRAYLLDLYAGRLG ->YP_009508375.1 hypothetical protein [Soybean leaf-associated mycoflexivirus 1] -MAIAATDAQLPALTAGVSGLDVASLSLPREIEIPFIQNFLPMVVKKLSWATGEVNIMMAP -FETVKFVSLSFSVEVSGQTGKLQFAATTSSDPPDSEDDWIGATVFQRFSGNAHGDTYAEY -VFPSRHPFGLELKATTLGNDPPNFFFRFKGGTGCTASIRGKLVIRGGGHGIIKATRLIDV -TPKA ->YP_009508366.1 hypothetical protein [Sclerotinia sclerotiorum deltaflexivirus 1] -MKLFGRFNLALMPLALVPLRFYSRTNVTQKILMTTVGPIAMAFAMILMSIVFWGLLFCCF -LPNLQFGFILGICHYMHIPCLRAIGIWGTLWSFLALQAVVSSSSSAVIFIGQVNLHPMTI -PQLYHHQLQSCSVAQQWQVSCINLCHIHSFNPYL ->YP_009508365.1 hypothetical protein [Sclerotinia sclerotiorum deltaflexivirus 1] -MQLSALIWLLMNLFNLSLFLLLLKLQVRMASFSSLPPLLTFPLLAIWIGSALLSTSVSPV -MHRAILSLSTIFQHLIPSATSSKLSLWVMPHRAFSFNSMDLVAMLRAFVVVLHSVVVAVV -SSPRLASQNLQLGRMLPQSNVKAKINFFIFAFPACVFAVRMPIGQTWPVNKIGLITRDPY -QFVNTVKYCHNYPDIMCYERGGNGYTPGDEAFWSIQSCTDAFGLGAIEILFPHKRDTENL -DDHGRPNCNGLCHDLNVNRVLGASVLLFSAEPSVRFHPGHLSLYAHTVPQSYWHLGHFVE -FSGPPGGCFIFVFRRDIYWSGQPPPYDNTPIVPPPAAEL ->YP_009508364.1 hypothetical protein [Sclerotinia sclerotiorum deltaflexivirus 1] -MTGSKVLIMSALGATDTPVSNLTSGVSQIGITSPPTPTDIQIPFVQLFNPHTKYRLTAAD -ATLRAYLATYESVQFVSLSFAVEITGQNGKLQFAATPSDISPSGDLDWLGAPVYQRFAGN -AQGDTFAEYNFPASHPFGHELKAVALGNAPPRFFFQFDGSSGDVARIRGRLTLRGGGRGI -IPAVSLTELATGKNAATIKCEGEN ->YP_009508279.1 Pns 12 protein [Wound tumor virus] -MSNKESNVALQTLRVTKDMKDFLSHRIVGEPPANIKIEYQKIHRYRTCVCPSTGHISELC -PSGDLILSLGAHRNVIAAATVYDVVKNKIKSTTSKAGTSSTLSSLGLSGFQKPKIGSKNK -KTMFSKQNNSTNESDESGGEEGSSLNDLPKSDLINAIMELASQGRNNSKGKGKRGGKR ->YP_009508275.1 polypeptide Pns10 [Wound tumor virus] -MFRGCAIARAFEPQTTIQEVTIASEKNERIFIQDQEDDSGSLRFSPSIGGITAETTEPRS -FSFRQNNLSCSDGNILQSVENDKKDTEGRPSEVRTSTQNIATSSRSVNSNLQCNTSTPSP -CNSEGIGRRSGSFKYYDRGNLVQQPGIESDYLATYLTSLSVADEKDVTIELVNIIRIIDG -TDSCFLLFDRMYFESLRISEERRLERKEAFSIFTMLCAVAARIVKLSIGFSNRSIVIRDD -VIIFTISLLNEGGVLECVCRFSTVPDYEESFKAFTFIVNVFRCIDYRMGCLYVGSNPMYA -EQNEEPDMTVSAKLNRHLLDGYCSRPHPYAGDIGDEGEAGKPEPK ->YP_009508274.1 polypeptide Pns7 [Wound tumor virus] -MKISDFCFASANDGSYTLKAFSELNEYKDVVKLVSDEKIGVGFHCYNLGLMNIVEDFSGN -LDNESYLTSKVGKRMASELVTAYSKFGSTSSRTLHSSLNLPVVNITSLPTSQAKDLKPNH -SLDDKGSMLRTQIHSILTGNGPLTIKRRIDAFYYSASSIFTRHMTSKYANPGSNVPQRFS -FIPDCAMNKKPTLFLENRDNELQDSMTIMLMLGQVFSDALTYYLNASILYGILGRIESKV -QVDLPAITLESVHVTNNLEISPAAFALIASVWLDKAEILSKLNAIDFIVSPEDNEDRISN -LLKLMLPVQSNNITVEKSDTRFSVTHSDGFMRYYMCFSKHEFDYGDHLESFGIPVLRVRL -GKPISNELNKPMLVMFKKHESISSINVRYQVRGGSIPKFRTSEFRRDIGMLVANSRFMAT -DITLILSTFYPFTQETDKLFIEQHIKEIFLDMYPWIDKLTSADAKSEVNISYGNLVLYSY -GELVKNSIFIAMMDNCKDARNSFSRADMREIQAFVAAFTQ ->YP_009508273.1 polypeptide Pns4 [Wound tumor virus] -MKQVAGFIGMSQKNKGIQQNQWHSGPPQGLLSGQTKAEQGTSSQQAGVNQGENSKSGAVV -QRKMPMRRDVNSAAQRQHVRRLVYTPYFPNETWNISTFKNGAKDVERSVISTLNNVAENR -VMDNCTSRVIFEMTQIQFESLPDIIRNEFTRVGDDALKWAVPEDLKSADLDHMMVVKLST -EGTIYPTTLIFPGGCSGMAKLKSVYSFLESQLERIVTPTPSVSLKYVTSWAEHLFDLCSG -QLINSQNERVDKLLGYMIWDIEKAITLTDQVIACYNHPEVVLRRLGASDIACAVLAGESV -VKLTRLALSKSPVDGCSCCRILELILNLPSRKPNDKVPQVPLDILFASVYRYVSAMCMGR -VLNGRIDASGIQSTDHATASIKLNDIIVNDLELRSMGVDKTSSFRGTQSMRAFYVPENLA -GSILDRINVLVMRHFGILHMWGFNGVVLQNQEGYCDYHIITGLNHLTTITHTNSMVAVHW -GTESRMDNIFEIKARTLPTASETMITLIENALKEQLTSIVKDGLRKGVSFSVKRNINDSR -FGFETNSSPAIFLKLRDMLKRAKPFSDLLSLALSKVIKKENAMIQRSITTVEVAVAIKMK -VYGLDEYVSLMKVEKKEVESGSLPLQEFLKLKSNAAGAQSSTVAVKMKEEEVNSKAYCLI -SETIVVNMDAVRSACGVVQSENLVIKSELSGPELSESVTSGLMELLGRNAGPSKSWADQV -EEAENEEEKQKE ->YP_009508271.1 polymerase, partial [Main Drain virus] -MEEQLYEQYIKRIQSAKTATVAKDINSDILEARHDYFGRELCMSIGIEYKNNVLLDEIIL -DIIPGVNLLNYNIPNVTPDNYIWDGEYLIILDYKVSVGHDSTDITYKKYTSLILPVMDQL -GIPTEIAIIRANPVTYQINIIGETFKARYPNIPIQLDFSKFFELRKLLLDKFADDEEFLL -M ->YP_009508264.1 highly basic hydrophilic 44kDa protein [Echinochloa hoja blanca tenuivirus] -MSEGSIKGKSWSGPVAGRFVGMVPRGCLSNGASNRRATDSENMKYHRFRALFREGASQSP -LETCCKNHKRFAASAMIKGVVARTIVAKTRNSGAKHSSNEKVYSVRRLFRQVSSNSPSRI -VPVRNSGCRWKKVLYHRATFEELPKSYKDLPRAFPRFSDLVRRFGYGEAIRRITCYADGI -SVAKNELARTIRAKFARKAFLTSQKQELPFPDRDDYGTWNDYRQALKENQTIWYLCRQHY -KQAFTELYNREFRYWKGWCSFTTVKPRMQCGDCAEPLDSLVALKTPPEHLVCNVKKNLSQ -KLTSTLVLPWYEGFNMKDSRWVKYHDSQVEKKRRALELKKNRKDRFGINKEAKMKKDIDA -NARRIVMLGRNIAPRGPNF ->YP_009509092.1 gag p12 protein (70 aa) [Feline sarcoma virus (STRAIN HARDY-ZUCKERMAN 4)] -PVLPKTDPPKPPVLPPDPSSPLIDLLTEEPPPYPGGHGPLPSGPRTPTASPIASRLRERR -ENPAEESQAL ->YP_009509084.1 6K2 protein [Tomato mild mottle virus] -SKQEFLEEKVLELNKKRVQPKILFPIVLGCVLAVSAGGYYLLRQRKKSELELH ->YP_009509078.1 X protein [Tellina virus 1] -GERPLSRQITRIARTAARMTATALRSAALTPCCLRNQDACNLTADILMELTGADSCPPGI -SSAARLVNENNGCRCSNPSPDIKDAISAIEAGEAMDSILTAEVAQA ->YP_009509077.1 pep13 protein [Tellina virus 1] -ASGKPLYRNMALA ->YP_009509075.1 pep41 protein [Tellina virus 1] -WEWSDVLWWIKKIAGTIAPIAGAVFPAAAPLTSAISTMANA ->YP_009508098.1 unnamed protein product [Belladonna mottle virus] -MNQKLSPSSNPASLLPALLFQPLMENRPAPSARSSSSKPLLSASMRHWPRSTSPPLTPWP -NSPLGIDVPKSSNSSSPSLPRKEPSTAPSLSTWHGSRLIPQLHPPKFSPSMAANDF ->YP_009508086.1 p5 [Redbud yellow ringspot-associated emaravirus] -MKMFDSYTQAYHCMFGAIFNMIQELFPQNRYANCIILNEYLRSGSKHYSDLFIESQHLVL -GEDKIDLGSVKFYRKIYMIINMILGHLEPLFFVHGGLFEGLLIEDQSMHLTLGSQFVRNV -FDNVINQKYNFQLAFKFALKNETADIMSDTFAFEFYSKSIMFLQLKHISKITSSYNRGKQ -LLRSDSIDGESHVQDEDIDKILLGSPSASTRGLNRQVSIISIN ->YP_009508073.1 p7 [Citrus leprosis virus C2] -MINMNEMALIFIGSYSYASARTVFGGTWNAHTFEARSFEFIIIVVFIHVTILFYLSFTIL -GMDKTIL ->YP_009508072.1 p15 [Citrus leprosis virus C2] -MHEDSSNRWLDRDDLGYPPVFAPQILTCGCEITSYEHDSLSFLVENFCRVFLCDEIDCLN -HVRRVCFDVTGDRLKIFRLYLCSILHEVSCRCWDCEGECRPRGFFLGRLRGRVRRHTLSD -PSGSPTSVLD ->YP_009509063.1 d1ej0a [Citrus leprosis virus C2] -QCNLTIADLSRVSDISYSVYMRTRTSEAERFNKIYLTWAALSSGGVAIYKIFCPEQIVES -LNLISSLFEDIRFYRPHVTPTSAVEGFLICSGKR ->YP_009508047.1 coat protein [Flammulina velutipes browning virus] -MSPPRFPKPPVTPSASQTADPLGTRDVDSESSKPKLVNSRPQKAVPRAGPTSAPSVSDAN -PIYHAVSNFPYYPHQRLDTSTFVPCTQVLFAVLAHMDNIMCTTYSWTQSQPAWHPCVSRL -YFSTLVYYQILRAMDAARIITVLQKELLNFLEHTIPASALPIPGPLAPFLRSPFLLFNWH -GWLLQCMSNHTXWPHHQKIKYNISSAFNNLLPNLVHAVDSAYSFATDATYVFRSAVNDLN -VKMEATDPDMPAAETRHWNSAMMDPVYRNDMYIPTRVAQNFAAFSAPLGLPLRYNYGPDT -DIGLSHFLYLSGGKHDYLNRLAPVFARYSQYFVNSKSLQECSPVGSAPGQINGTLTDAIP -VPTISGTTVYTPSTLAALVTPWSSTTIYTHVNLSLPEFFEHQAALTNVNVTEHGAAPTFG -TTATTLNGSFWRMKPHYRESPPINVTKNLFNIISNEMFAEPTS ->YP_009507967.1 6.4-kDa protein [Tetterwort vein chlorosis virus] -MGLIIVVYKFYCGVNIYYCSNNRDFVGDFEVVTTTELEKLVEIFESFPFVRTTW ->YP_009507965.1 5.4-kDa protein [Tetterwort vein chlorosis virus] -MFLSFCSFYIFYWLLSILKNFFLYSSLSRFSLLVRLISEWVGLL ->YP_009507964.1 9.5-kDa protein [Tetterwort vein chlorosis virus] -MLTMYRTHVIHLFPHGRIWFHEKSIPKQKQNKKQKTKTKQNISRRLYLKLNKFTVVFAHT -FRARCLCAMFRHVSRER ->YP_009507963.1 P19 protein [Tetterwort vein chlorosis virus] -MAEIKHIIDGVYDLVKAFLNNGTFIENYYSIINYASAVEDFLSKSKKVKIADVPMLKTGF -RVCRDNGLPTDFLKNLFVKEYNREFLLHDVKLYKVMCHIVYKMLCDDDFFMFLSDFYSDG -EVFCVLQDWTTGLTIRYNESNGHLNLVNEEFLTEPFKIFNDMI ->YP_009507962.1 P6a protein [Tetterwort vein chlorosis virus] -MRYDWLTYSCNIDSPLYSGPKCEVDAIVFWIVLSLCIILIVTGIYICVLRCRD ->YP_009507952.1 p5 [Diodia vein chlorosis virus] -MNTDILISCLEKFNINKNFSFENYGSQGRFRFWYNIQYYLKLC ->YP_009507936.1 Cys-R protein [Rice stripe necrosis virus] -MSRSLLSTVNIHVLVDGKLVYSFAVRGPWCNGSVTNRVVQYLPGNSTNASSASRALSFRW -SCIVCGSHVGDIKKYIRLHRRLLRNNYCRMQHKFTFTEVCTSCMCTYGLKVPCVEAPITA -RPAKDGSEHVVKTEGTMDCSEVVPDSDTSSDSD ->YP_009507930.1 readthrough protein [Rice stripe necrosis virus] -MSYPDWTDTHDVLSYSRWVRTSEAMSAVRTARVSDLSVAPSLGEIKAAILSLGDRHNTED -PFVAPYYRFPHHITEKGCTHTYVNLSDNVFKQVLAAIEVCTDKDNAVNSAVNVRRDGAIN -SSSDAKTDKDNIIIGKYQLHQALRRFEELLGSPGNLWNRRKFETQYRLKWVDDRPGRSPA -EAXGYGAAALSDLVAAAQSQDMYPGYGPEWNLPPFKHGQPIQTPAPWNEFDLPSYDWIAE -ETERRKKLKQDGNNLQPAPRLAPSHKTWNPEIFLAVMLALCVGAGFPLGWYYRRQLRQCA -NKIIGYLPRRRRPPRYHVPYTPSELDTFSCGSTKTELSEPPAQRAEGRTKQAADWEVTLR -RFMVAMDFSLDECDEVVSYFARDEISKALSSNCNDLVLEPDGYTLGDAPDIYEPIGLLPI -QQEQFVHAESVDPIGEDVAGRSLSGISDYESRMLDLEKERLRLESERITRDLEERRRFDA -ERLAFEREKADREYQLMLRQDTFIREQGDRTAAAQEAFNKQQIELREREISMGSTAGERW -GQVGLQTAGSVASGALFYGAMRGAGGLKMPRFSRGPNPSSGPRGFQGLRSQSISSGNSQS -GSAGGSVARQRSVSLETIPGGSSMRGVQNXGTGSSVSGRPNPLTANNSVSDKIGNSNSQL -VRLTPINFGPAA ->YP_009507935.1 15K protein [Rice stripe necrosis virus] -MVAVVRIDWSEIVLCDHGGIVVVTMVFLWSTVQRHEPPPGVAGAMPYGGLELNRASLNVY -NHPSFDSAAAIKEMGKIADALVLMNRDDSIMMAGLSPIYGGFQVVCNIISRVFWLVVVIF -WFIVVPCWFWCHK ->YP_009507929.1 putative protein [Actinidia chlorotic ringspot-associated virus] -MELFKTYLSAFSWMYEQIFHIISEEFPSKYYDNCCIIHEYYMSGTIIYEDLFFDGPHKRI -YDDVINAGSAAYYRKFQALCFMVLGHLEPLFKKHGDKLDGLQIRDYSSHLDQGLCYIRHI -FRGYLMERMNFEMANQYALKSFDSKMVYGTPSFEFYSKSIMYLQLKHISTISDSLKDGLK -SLKSNDLSDDDDESGEILKKLLLDNTDQTASTSCKLKRQISIISIK ->YP_009507874.1 non-structural protein [Gamboa virus] -MIRRQALALMIQRRNTMSLLVLTGTSLLQQTLNVSSCMHVQPRLVWQRTRRNAERLLLDL -GRLRLLITITEEPLRLQCRTMIIPCTAFPDILPCTACRPAPRISRTLSESSQSSSTRSLS -PWGSTGSMEQ ->YP_009507786.1 p17 [Pulau reovirus] -MSGRSRRTLPVSDCSFYGPWIISGTYPVVSLICFREITYYINVDIPLDHPQRVVLPHLVA -DPVVWHVQLINRRATDSFKPYFCELDCVLIRVTPLTSHNRSHVIIHYSPFAQSPAAKRSY -RFDHDDDPEHKRFAIRSVCYSQ ->YP_009507775.1 putative guanylyltransferase [Cryphonectria parasitica mycoreovirus 2 (C18)] -MYVHAPFDLTTLYVPLPDFLSLLVNDIPFHSIRITSLPDPFNRQKVIGYALSVRHILYED -LGFLGQGSLTVDVPSSDSSRIPIDPLVFLTEHFHSVLSRYHSFLRTRLTFLLEYQDAEID -RHAFVFLPGLRAMLDRHSVTIPPSAIPHIRLDVDSTVTVHDAMTTFASTTLMHLCQSKFS -PNGALAVPRRTRLINCALSDLSPHIAGKFVSYLVKFLPINDKWLLPAIVSSSDQVCGFHP -RHNIPWPHYFIFPDDRSLMFRQAYLIHQTSLHCSATTQAIRGPRKVEILQVNRSLPLSLC -QLSVLCQIPVAKIDLTVRDVPGYKAVVERVWSDLKWKLSSAGTYHYFREINWNQCSYLEI -GNTEDPPLSSIASYSLPDLSESVTRNVLARSSGISLTAEITPINVSAAALFGQYKPLQLQ -PPTMDVSQVFSFLDLGPVLSSRDQERQPVVLNSVLSFADFTTIPTPGSIMVEPSSVSSAP -LYPYDLADMTPLGKAAFVALADSVGSRYRIVPLPGTSDSQLMVDTRDLLSLFESVATLDG -RCVLSMSHYCLLNFVALHTRFQQGTMSMRLSSVRKCLIDVPCSTQIEHVIKSVALDEALH -PSLTSDAVRAGGAVLSGWVLYWIDMTAAAQASRIGEIGAVIERIVSHCDGFVIMASFVSD -ALLSVFIRVLPPTYSLHIFRPYGAHSPHCATFVGAAHARPIVDAPRVRPGTSDFFSFHIC -VRIPPMSAHAEGATLPKILSLRAMATMIPTAFTFSCPGNVARDLVQIGAGFSSSGHVVKW -LSNHGTEYWSGVFPQTPLRALASSTYAGGVSAHIPVLPHIALSQAAPVDLQGRYRCIDGY -KYIYTVLPFFVEDVLQSRPSPRVVVDVGGRDGRWRAMFPNARYYIVDPEPCPPEACIYQL -RDHDGQPLKWDFSNSVDFYHRYIKPVVAPAPEPDDDVLCLFSHVFPAALPDSGAILAAMR -SVAAMPCNRLIIGNAPSYTEREYLACLTPPSVSRDLIFSGSKVLTNVYPSPPTSPALYQV -FDAFPDGSTYTISAADALVVGRRFGCVPTPSAVSILDILCDLTYVIWVDA ->YP_009507773.1 Pns7-2 [Oat sterile dwarf virus] -MCDTMSFLQVSAIQRLLDQFPVSDHPVLKFFIYKFFGVAGDPQEDFDLHRGYHYRVITLS -DSYLPAAADRIRNMGVKFWNALLPFEKLHLYTSDFDFADVDVNLFKRIVNRSLLRSTFPI -LTKYRCYHPYNFKTEQEWFISVFSKDVDFLIDHKMIRFIPSPPVDDFILTRELMHNALPE -LTFSQRDQLIHIFGYGKWLNAFRRVYLKRWLKLTYTQINVNWELN ->YP_009507771.1 Pns10-2 [Oat sterile dwarf virus] -MDERRQSKYFDSYTTYLPYHVDIDGKIRLQNQNALNEVTNDMVVFDEIVDTVETAVVDTP -TSLFKNAYSKVVCILWFVLVHLCFGIFRYVFKLCYYLLGLIICNRFSRLLISTVFSFCFF -VLIFLLIIFYHDSIIHCVNYLLNSKQDIDAKINEIVAKVEQSTKIVDFNFIQSNDKGEVM -QNVTYTRHVPFNNG ->YP_009507770.1 Pns10-1 [Oat sterile dwarf virus] -MADFSRRELGAFQVYEITTTQNNNSFNQNNSFTSNFNPAQRKPILDDGIYHLLHALVHGH -TLEQSHFSGFEYKSTPTLSRTFATASAYVNKYITALIEPRSVDDIESRIGLCAPFGAITV -YFAKDKDAKQRFPYSNDDFEVLEADLITTDFTPDHEDFEGMTAFCSLIRMFLTAFIVQLG -KTLGPNDHNLLIQSYKHIAAIVANYDWLFNKEFNRLAILMYSFPELSFAAVRAILPSNLS -VPESILQDYAKNGLFTSYESARDKKIVYKTTRASGLECSFFNKDYKPARTRKSVDTSKMV -TVDISQINFNAQSLN ->YP_009507767.1 unknown [Choristoneura occidentalis cypovirus 16] -MWLEGDNPPEFNIRFLTPFAVEGEERASIAATDFVDNIVNQQTETNINYLQGQVPNIRNQ -GITAYISVCNINNILQSLIGGNGFNSDNYAQLLAIGQTYTHEPVTSALSRDGIYYRDARR -VPQTRALYPTEHILPFAENLRRCANSRERSIDVLSTATEFYRLIEEHDVTSEYVYLVEHY -TPVTIGNDMSNWDIVAAMIDMSTPHPLSLAAHTKLFAFCKCLMLSFCASITNNASYNEEE -KELTAEIPTYSISLRNQPGYFRFFDLSRQGYISWLIKTIMIPYLRRYRDFMAAQPAARSV -RQAQTPRKFVPYFGDVSKLTDNETXYVTDRIGLVNYDKYYRTISRVLMYSSAKAFHHPYH -RVIVENSDGIIMNYCYNLMETYNDAALTNQQLKANFRAFEHTKNKMIDIHHKLKVNPEDL -PYNLLLRQVHRTQKGTDTTIVMFWTPEKYDKVLVINLITLIYTPFMPIAYIFTTSAARVD -IMRSTFDIEYEAVSLQMIYNLIESFNAKIKDSQSSLTDDDSDDEEPGPLPYVNQDAEFIK -NGGDPFLIPSQYDSWRRQPISSIKTSVSATFTNMIRMPANMMNTRDQNARKFVGEIIEQM -GSYPYLSGFINE ->YP_009507763.1 unknown [Choristoneura occidentalis cypovirus 16] -MPETYITITLKGGNGPNKATKPDIVYLPSQHALTRVNPNQTSESNPTLSQYLAGKLAIDE -EYLLSWTTKGLMLLKHAKSTTDNFPGAGSIFVELLPFEMSVEFLLAMYNEFKECTKDLIR -MRGERSMNEIRDFVRVTNFDQLQRSAAFIYVGLIITRLMTSSTVVDDVLLNMHSAALYWD -DFSVYTAFSSPRDLWRKMFEETPLKNLLVAAPVTDDFAIGYTGSGLCRIKMMRFMGGGAH -ERLQGGGMLKVKLERSHDSRSAMPTKNLTDEVNRVFGATMEMSTSTTPEAFADVFVAPQS -QRRQPPKPAPGGSKTKSTQQQKPSTSGEAPLQPKPPQPPRVSDKILNDPLLEQGISSSDE -NDN ->YP_009507762.1 unknown [Choristoneura occidentalis cypovirus 16] -MTHDFYNKHIKPIGAQAHITQTKLKDIDNKSIPVISDVSQLGFIKPLIAAHRDTYGTISY -NGKEYSKMILCTSSITKQEKLKNSIYQANVILLLNTKVKVSPMDIEHLFPSFILTSESLL -SEKEEAEFIAAVHASIRDEHQQPTLVTSPPSNGVEILPQETPSNDQTPNVEEQAVDPSLT -DALNSLSVTMEPDNIRTQPHDDHPVSVEHIHDADSSPLNVISESRQIQVHEMAQPSCTDT -ATEAPHLEDPLSDDEQSDGSAQVPPIVPQATQQRPSHPPPKAPKVRSDFRRLPPINILLP -KYTQAPIATDIKIIFPTEYDALHSESLKSIIQHLINDPTLLFSEFTIEYRREEMGELLYH -LCENGIMYSEVTPTAEDNVMLITCRFDPLSACSTSVMQLSHKYLTAKRSDYPLIGAAVNN -TKRLRQDLERINTYLAAHSTVIQQVEFTVSDDIPIIKFTDNASITTPLPCVLATSKIFCT -FLARAVRAHSTIAPMLAEPLNTRNVLAIQT ->YP_009507761.1 unknown [Choristoneura occidentalis cypovirus 16] -MYIMDIDEVDAPINYNLAETGPSTSGLQNAATIIPDELYRQERGIESVLTALTEYLKPLN -IHAKHTQREYRDYCRQVSGSSATLSLPYYGEGAVFTVPRKAFHRYRFCGSYDGHEFIQCL -ISKGHVMVPIVPVESPHICIFGRKCIVAFSQMCNIPTERCEYFDGACTEYSDENLVYLAS -FIESNIIVFSECNNEIISVKTYNDPNTLCFITSGDGHPLNLVRVKSELIGLMFEGYGMIS -SDTPADLPSEANNILITHINLRSRMVSKMLYDNRPSRPRRIDISNTSSKALHPPHNQPGL -YGHKYDEVWATRMVKHLMRLTSQLYGVTCTTTLGQVNMPGAKFTSPNPFEVITTADISEY -GVENASYEQPYRVINAEDHFDIEYLGYLSRISRPTHFAYHWLRDSDVVTEKLCLAIPHVY -ESKMRAYHFIRVYSVHNGTVQFPLTYNYCIVTFGSSCPQPNYLLPYFVMPLAGGLQVFDS -IWDIDDGLIGGTRFERRGILHPDPAILEAIHENFQFINDPDSIPIVRVDASLINNVAFRF -SLYARHALLPRILIKRKQYRYVPKYQVLHARHDPKYIMKPKIACSASIRTLTIFGDITQY -PCGDMTSSNNPRMNKHACLTSLFRYASMLSLPRCSLCGKKFPSEGLSYLCCQYINEYVRV -HTRGIRLTCSVRHNCICAL ->YP_009507748.1 VP2 [Green River chinook virus] -MEKSLSESVPKELKMQITATIQTSPPSSHRLNRSQIMALSTLRSRRTPAVRATPSARMAS -SFFNAGILASPSSQARPD ->YP_009507747.1 VP7 [Green River chinook virus] -MDTKPLHTTVANALCDALISGHLLLAKIGGTWSTDIRSPDLISTGQYQLCALCLKQVCSY -HVTEPCYYPHECHHGQATRNVGQRLSENLLTMSHAIRRSVSDAVLAMRGQEETSLLNALS -GAAVGYSPEYSFSELAATMTRVRKGVTAPRAISLDALSCHINENELSPFTARISPSIPWC -AVPHSPPRSRPPLAPIQQDWLKRRRSWFKSAACPSL ->YP_009507735.1 VP2 [Warrego virus] -MEFTVAIVEDSDENITDAGVHNKYGHFDVVIDSKSRKAAIKNNIYSMNDDIKRASLETPE -QAASFEKQYATPIKTENFIIVPDKLPIAMKHYDTRKRMNDVLYENDRLVNAISTMYNYQE -ANVSDNQESHTRVMTQPDFGNIFMKIEEADHCYYQRLAVPRKECDHLINESVDENYRSGH -MFISHTYFLLENQAMRMELVDVRGAVIAQDMNEFPQRTDNTKEPKFEYFKAKKGTRKGNV -LYRLYEILAGGFVRTTLPNISRTNRPITTVRSEHDESKLIEVLDLLNGFDTFIENVKEDR -YMRFPRNDLFKKVYSEFLRILQEGILNDIQMNMLHDYGKLSMLLAIAAGRAIGYPINYSH -QDRLVRGIYCLFYFVHKRGYSTVIKYPLHSAIYEKYAKSKIDENTEEEAKLLTQYYLRRN -VYTEVVDGNVGLGIYKIYFPFVDDNEDIFHGDYKIYGHGHFPLVHEEEAEVKRIKIDNEK -RQFSLINLKKLKEFHVEIYKKGKWDVDNEDGIDEMMTYPFKDFEIVKRIERSVQGDDISG -RVGQSVLQVDKCLLYTLDPGVCYKYHKVTIKIGAKCKKSIFFQGMIRRLQIIVHLATCVI -AKFIDTYQMLEPPMWNGSRIVQNEVKRNMLADAKDDPVFKEYISEINPNFDFTSMEQSCA -GLLYGQRPRWKIVEEYLEYMLRVKVIKQYSAKEIEMIMRSIQRNEHIVFVILRILIEEEA -VKEDDGYNFIYDLRSTRGNTRRAVFVRWFPVLMKENVNDCEKRDRINILCLLFQSLSGLE -MMTKTFPMFIFHMERPMMVPVKKGNDWKFVLHFYYGEEERRNWLADDDDNEMLLIIENFY -YEVEMKQKETSIPAQSKRWNHEMWISMRCGGWSDGLVLILPIVSPKRGSIILLLHDERTE -SRYRIDLIKRQFRHVKYTLFGFCAIKFKSDGESEMYTEGQVDMKNALRQGWGVSHRCYII -KFIEQIKGNKHLATKLTNI ->YP_009507683.1 VP3 protein [Corriparta virus] -MAEYNIAVLERTIDFDDLAKCLLRQADLIIITKSRWPEDVNSLTQIRSVKVSLLNLNQDE -QGLMVHHTKEKKAEDVYLTEPVVQTKNVVQRLYYSLADQSINIRMTDRTDGYDTAKKLAS -DFMNSKVIVKNGEFDHRLRIDTQIGKMLMHQSLFTPMHLARHTVCVNKSLHPLDVARKWY -DQVTHGWMKMRIPNVKVGTQEYAHGKLPSDPQLGAGTMALADYSYSKNNKRMVAMWNMFK -EKELLKTAWRASTVYGPEVQMLGVTTMYTVKDLHEDDKAIADVNAFSLVWGTMATQAPYY -KRLSKHLTHDISCRVRVLLRVNDMRAIELYYILMSRILPPWFRKATGVSEVDIVEGKESF -QLSDIFYEDMHPLEVLRSRLNRDVVILPPFYLPRKTDNLDHWMTENFPYLKKLRQITHLT -TYKEVPTTTLMEVTTLNNLLLLIITLIPGANIDKENTLDVAVFEIRSSTDTVNRLAAHEK -HHWEYVSPAIEKNFLRKITVSMAVSRFSSAFSSYMGLTLHQVADLQRVGLPDEEYHDSVD -KYEEKGDDRDKAWRDEKTEIDAMKEGPAKEHALKQYKLEKSLADHRFFFVKTAKRVRETV -DRAWNFAIKSLDYVTYNQVSGVMAYHCWGFADVMTSSIPLRAPRRSHIPLLIGPGYLKQH -DIPHLLSHRFPLTYDTTTGSIGILIDHGDRVVIWKRGDVRAKVRDLVVGKIKGKLITISV -EGGVVGSDFYGVKLGSVS ->YP_009507679.1 NS4 protein [Corriparta virus] -MDGFQHLQWLKRELTTVFPEADPMQYEEQIEIAAAMKNPRRHTVDQLLPQMYYYPPERED -VIKTMLRQRIGMLKMVLEEMLQLLHLTQKWDPTEMRGKLRTSETLVRSVQKVMFGTTWDY -VPSAEMPPIEEVPRMKRKTEMLEHEHLEKRWC ->YP_009507249.1 ORF C [Trichoplusia ni TED virus] -MSQHNKVLEQLTLNQIRVNATLELLLQKEAYRDYSLIKFAKFAQILGIITNNVEDLMLEI -IRLENMMAFIRASSTHHSMIDIEALQSMIDRLKSLYTPNQILNLELREYYSLIKPGSYFI -DKRIVIVYNFPIVSQDTYDLYKLSIVPNKRQLALIPSSPYIATDEKSFVYIEAECPKYSS -TYLCEKKTGQQIQSKPDCIQKLIVHQSLENTCQFTKISLIKEAVEKLDDQHYVLSLPEPT -KVQLACGRKDFNTLQGSYLVTIPMGCYLQTPELTIINDDNAIKGQPLKLAKIPYDEMNLT -AVSTHINFSSIDLEDLHSIQTKFMLGKPIDIEEIQPTALYHTTIPLYVILLGAILFFTLR -LIRKYKCWRLKSEDKEKQSSLEIHTYEDVKKNTRKRDDFPATFSLNMVKNSC ->YP_009507247.1 ORF A [Trichoplusia ni TED virus] -MPHDPDVIFKALRLVPEFNGNPNILTRFINICDKLVEQYASAEPGSELGNLCLLNGILNK -VTGTAASTINANGIPETWVGIRSSLINNFSDQRDETALYNDLSLASQGNKTPQEFYEQCQ -TLFSTIMTYVTLHETLPTTIEAKRALYKKVTVQAFVRGLKEPLGSRIRCMRPETIEKALE -YVQEELNVIYLQQRNESSRAHSSPKMLPIPQQSPVTPFNTLGIHRPPVPNWPVPMGQRGN -QPPPQPFKFNVPNQYHNRMPTKTQQMLRAPPPNYHPQSNVFRLPPRNPPPNQIVKPMSGV -QHFVPKTLPVMTGHDWRKSGNPPPNNYFKTRELNVNEFYSSDDSYNSVDYYSEPGCDYYT -DYYNNPYDYDTNATCYDLPYDASETEAQPGPSHVHESQDFQSTKPSNEQG ->YP_009509000.1 putative NS2 [Theiler's disease-associated virus] -WLDFSSWLLSLLFAWAVLALASLTPAMKKRKLRWYSRWAWCYSRFISWVDHTPFNGVDPL -SRKASYYWLFAGLVWPNEVAVVVASYVLIAVVVDLTDILLETLLLSNPDLGRLAVLCDTI -AGLRSPWFLHWVLERAARRGIYLYHHQGHLSARLAQYLRELDGALEPARVTPQDCEFVRD -AQRILECGRNYRGKAVVARNGDTVIIGAVRGAWELPPGFVLT ->YP_009508999.1 hypothetical protein [Theiler's disease-associated virus] -AAAILAPCASCAPAAWFSAAPMLGWAFRYPTWHESIMALLLVLIYMRFAGVARLAALVTW -KLTRNFGAVGVLVLLVLARRKTSALGYEICISLTGEADWD ->YP_009508997.1 putative E1 protein [Theiler's disease-associated virus] -KGRFYLSNCCDPKDILLCTYDFCVTRVGCHVCTEVCWNVSRPGISVRPGSGDVEPDLKGF -FSVAAVGGYAASLIGLGEPFSVGLLGLTILYRVDTGVPDGLRCDRPCNVSVPVWPSSLEG -MRVLWEVVWGLLYRIPHMIWAAFNIFDVWLLGLVILLTLEGRWHLAIMLVLAAG ->YP_009508996.1 putative truncated C protein [Theiler's disease-associated virus] -MAPFLLLVLLCGAGAIRAPASHKCSF ->YP_009506352.1 p29 [Cordyline virus 1] -MDVFFADLANKTDSFIFDNLPDILSKFDEKIESEDFSKNNNYLIHETIKRTFKKKYGMKQ -LAILKVCDECGVSPDIKNVIFERVEVETFKIACKFRFYQKMMFYMLLKLMLGNVRKLIDH -TILTQNKHNENLYFYKLNVNNRAKLVKIDYIDKEYNRNRQLRINVRLNDFRDNNSEIFAY -DDQSYFNGIEFLLSKVNFEIEGFVFYFGYWEDYGNLTHDINESDENVKKLVKIAETIRKI ->YP_009506351.1 p26 [Cordyline virus 1] -MDKNCVANSTEFTDTSDFENKKEINRVFSCIVEAVDNIIDEICSLKVNNFNKLKNLSDHL -RLIEHFLKKNENANVTFLDRKSRELVETYVTVNDKFILDNEQVMTTTMRMSEIIPKVSEL -TKVLKLKKCILSGQVFSRYDSEEILIMIPNRSTKDGILELIRQHITDKFSIGNHFNVKIE -ARSDVLAYMKSVAKGNSYFTFLKHKPVDVEMNRNIIITLLF ->YP_009506347.1 p6 [Cordyline virus 1] -MEELVNTLKHCGKTVYKFEQKDEDAVIMIKFEENSFVKIFISFKQSKL ->YP_009506345.1 p4 [Cordyline virus 1] -MIFLFFLFFLLFLFLLNLCTSPSNKGFIVHKQIYYSP ->YP_009506341.1 p15 [Mint vein banding-associated virus] -MDTGFNLALKDLKVLYCSDHFLKSNRFHINDVIHSNSTFENEYVVKTISLLSNNMIGYMD -AYFQYADPSFSETQMDQHETRSIMFSSKVLKDTLHGIKLDHEGNVICRMFAVFEEINDKP -IYSIYCNNV ->YP_009506340.1 coat protein [Mint vein banding-associated virus] -MGKDDIKPVTGDSSSSEVTVSKSIGDFLMNPDPTVTFEFDVKTLPNIKEAAAPGTISDKS -FAYATSELKRVSGVTDDKLFNENYMIGVCQLLVYHNTCEKGPPAETGFVTVDIGGKDYKI -VWQEVKAIIDRALHGAGKNPLRLWAKRLSPLCSALIKNKQIKIADTTAASWGSTGEFDEV -CFDFYVPPKNADLESRSAAFMKTKVAFSQKNATERKHKEVNVIQTIDGYRI ->YP_009506339.1 p62 [Mint vein banding-associated virus] -MGCKVIYNSTFHDKLSMFLGKYDVSETFTEYTTHIKTNVHKFTDCVLDVDNKYSTYGTLR -FRFNVRLNELYSSTTETITACYIVYLVMVAHNIESKSPWSVRSNIEILFRCDELPWRKYL -DKDLITLHKEGIVKTKGPAMEDIVRVSNRYGIGTTDDRYMIIEKLYTILNREPTLDEIEG -KVILPTFTELECVPAVFTTRSKNPLELIITTGYTDFYNFQRSTSTMSRLATITYVLDNVL -SAYKRFHNLVLNPSDIPRFILGRLFRILLVQEPHNVTFDARVFWGRSLRDDISWILNKFY -GLDLHVSDLDIIYTTEVLEGEIFNASPLFYKFRLDYNSEFGELTASYVALRRDLDLALTK -DLVTGFYSEPENSNLPLTDTATALVIIITWLALYRTNRNRIYKKPQSILVSYGSDDTEYF -VSMVEVQKVFDLYQERHRSIRNIERAWATPRAYAVVVLFDIFQYRTELWSEMNIPDNMRF -DFIKGLCCRPDINKRHLFAILRYFDARGSMDILNPVGLKPDGLPFLL ->YP_009506337.1 small hydrophobic protein [Mint vein banding-associated virus] -MVYGCGLSSSVLGDVLILISIVFIFVSAGFAFGRYTKPTSVLVDNNNGSRRFSSSIPPI ->YP_009506342.1 p24 [Mint vein banding-associated virus] -MVDVDYDDIFKYIMSYIYEVLSGFYTFTDEPLNYTTFDMVCNQLTSIPDEVVYDVCNKYM -INVASKKTQVQTFNVFDTIDYLTKISVVDSVRQLCDNIVFNQKMRYHIRKLPGVPEVFDK -VSGCSVMSTNDVKTWLLSELKAVDETYFDSVTIDSFELHFNIRDAIDRNLSMKEKNIKRA -LCMTHVKMDTRICEFVYLVFGINL ->YP_009506333.1 small hydrophobic protein p7 [Carnation necrotic fleck virus] -MDCSLRAYLLFLFGWLVCFLIVVFSFACYKACLIYSSYSTEISDGNLSLRRTNNISDLSR -SVPV ->YP_009506258.1 6 protein [Cacao swollen shoot Togo A virus] -MAAVLVGSEVSVAGKLSKVTVDVDASKKFSWAEGKGSLVWKINDSDLALRSTNAGIEVKL -TPKKGTTTSGIVLDLEGAKLTLSCGYKSVVVLFELGETPEGRGFSEMRSLSASNLSLLRM -AN ->YP_009506257.1 5 protein [Cacao swollen shoot Togo A virus] -MDELRLIGRCIAVKMVSPRPRTSLTRGDFRGVSWDKREWKAIIKRRGSRFVRAELIPRSR -DDNGQCISILFSDDTSGITMSAGVCKFLLVFDPTVEIFLDIPRDAQLNDSITFEELDPPV -TISPY ->YP_009506256.1 4 protein [Cacao swollen shoot Togo A virus] -MPCCVIKGYASANQIVEGQVLKEGCRVRFMWDQKGISWGMSLSPEGGLSFRLVPERHVQS -YLKRLMITVGDDQSSFVTMTSECRISMFLFKVSISVGTLPDVVVPVERLEKLSI ->YP_009505635.1 nucleic acid-binding protein [Caucasus prunus virus] -MFRYKMHVDKRVMTLACCLRTSTLPAGVRSLIAVKAMLVRKLEIQEEQARPLCGVSTFAM -KRRAKRLGVCHRCMRVNPKFYFTTRCDSKTCHFRSIGNKERFVKFGLGKNGLDADDQGHF -ELTKTGKVSELSKHIEAEVERIRREMNVAI ->YP_009505631.1 nucleic acid binding protein [Melon yellowing-associated virus] -MDLVLKQDVGWLTVLLARRMGLDYDISRSIAKYVVNYNNGIRTYESYVNGSSKSAKKRRA -KRFQVCVKCARPHCTNGINCVPNTSSQINVSNLIEMGVTRYLTESTPRKGTHVYDHVKSE -LGLIKYNSLKVKPK ->YP_009505629.1 triple gene block protein 3 [Melon yellowing-associated virus] -MWCESLIGLIAASLTFLILIYFSRENETCVLIVSKSSLVVKGCGNNVPDISNLDLSFLQG -VSCDGL ->YP_009508982.1 3B [rhinovirus A1] -GPYSGEPKPKTKVPERRVVAQ ->YP_009508971.1 viral protein genome-linked 3B [Dromedary camel enterovirus 19CC] -GPYSGVHIGQAKQKPVVRQVTTQ ->YP_009508949.1 3B protein [enterovirus D68] -GPYTGIPNPKPKVPSLRTAKVQ ->YP_009508927.1 3B [Turkey avisivirus] -NLYSGEPTRAKVTRVTREFQ ->YP_009508917.1 VPg [Bovine rhinitis A virus] -GPYGGKPTVVKRKTVEAPNLVATE ->YP_009508916.1 3A [Bovine rhinitis A virus] -VKTLHDKLKASLPRGRGYRCDREVNLKTDEAERMFRYLLNRDPTLAGEFLEKECDPELAD -KYLPLLREHTGKSKLWTTLTKHCDLFLHGLLLVANLVTLYFQNRKPRRQ ->YP_009508913.1 2A [Bovine rhinitis A virus] -SGISNKDLLLQAGDVETNPG ->YP_009508911.1 VP3 [Bovine rhinitis A virus] -GLVPLAPHVGYGGFKTTAPITADPVCGGVYNPPRQDMPGRFTNFLQVAQVCPTFGKVGSG -ATPYFVTQTDNDVLLSTIDVSLTSYEMSSTFLAGLAQFYAQYRGTINVHFVFTGSVNDKA -RFRVVFVPPGTDPPTTAVDASLHIHSDWDSGLNSEFVYPVPYVSPTPYTTTHGAEADQAT -VNGWIQIYQLDATASNLAVTVAFSAGPDFELRFPCEPVHYE ->YP_009505585.1 nucleocapsid protein [Fathead minnow nidovirus] -MSFPMQPAYFPLQQRQRRPRAVAGAKPKFKSAPPSPAVINAAVKKEVSTHIPMPPISMQE -KSGLRALDVRNNFSTAELAGLYAQLIQHLNHCHGRMVFMPGDTISQGFVQVQLKLRLPSD -QCLKMVSAHSFAEQRLLASEGEASVTTTLLSSLDKQAVNSLNAKD ->YP_009505583.1 spike glycoprotein [Fathead minnow nidovirus] -MMLLILPLILLCSSTHAYRVQFVAPVPLNWINCYTTDCVSWQACPTTVAALVCVRFTAVA -PSFYLSANNTLIGYNADPNTFYDLITMVQQSTYSFSQAQTDTPPTKQCNGPSTILSGQCL -TPADSCLDPLCPTTSFFETGSLDSLTLDTQCVASYKGYGSVYGTPCEPFAPQLSPYFTKN -VPNTPGFTDYGVNRQSPKLGCYYEKWAKYRPKPYTATIDSLAGCDIVFFFVNTLSDRSGN -MGVFEREEGDWNTIAAIKTKFPTTQVFLTFGGWTSDNKIMSAAMSNSTILNDINTLSSRL -GVSVDFDIEFPGSSNGNAAVFPYDEALITSFMTDICNFQHSAGRQCAWGGLSVGSHWHPT -LINKLSTIAGVDYFPIFGYDLHGSWAPIPRQQSALVNYSPDPTVSGGGPLNTYSLITSVD -NFLTIVPASKLILGLPMYARGYLVDSSNSVLGSFPLTGPMLGPGETGSDLIVTTTTYGEV -PATYNPVTDTIRRDPDQPGFYIMERPLPSAQKGLIYFMNTTTFAHIHTVLKAKGVFQYYL -YASSMDQNVPSTSLKYSKIIKTNRQRRAVNPSTVFYNYPTSAVNVPTNCPNIVLWAEGLV -ATTSIYQGCQRENAASSLCWYRQSSFLCVPSTEVTLITKTTKSCKGINTNTLLPTSSASS -VMCLDLTLYTTEVSLCGNINAPTPTTKVQLSLPSPDSLTWGYASTPIYSGYLTHTEVISK -PPFCTSFTSLPSCAELICGSNSLCYTKLTEFGKLNMCTALGDAISVYNSVITDIVESQAT -LDALYTEMLDVAMTKFGTVAQTRKKRFVEFIALGVASVALVEATVAIGLAVANANDIREL -RGDVKNLRDESAANFKAIEEKHNAFVKTTADGFAAQNEVNKGFNSNIDTLQNSLKDMAIV -TSQKFKELDQAIQSLVSSINSLQLKLDTEIQLSANSDSALARVLTALSLGHRTNRNLDRH -ISQVRTCLASIQQNSLAGCVNQGSNSIPLGIRVFNETGDLKIVFFYATRQRLGYLQFKAT -SAFCTNGTLVTAAPGCIFTANGTQIEHSKIGPLTPCGSSFVSLPIATCPTGSVDIEGVKP -SLTPNFEVPQLELKPPKLEVNLTNVNLSDYIRPITPVDLSKIEDLQARFDKLNLTLTELS -HISSSGSGLPTWAIIFIVCVAVVIVLVFIVTIYMNTRQSTRLDSFLSKIR ->YP_009505563.1 ORF5 [Kibale red-tailed guenon virus 1] -MAVASLGPRTLALTFFLNVLSVHCFDNNITAQVCLPTGLGTLGLNMQIEINTTRASNYQS -LKQNNFTQLVYRLWPHFQHLPLLFNCTAGGLTYNETSYCFHCNVSSQQLDPTPLQYLYLH -PVPLVMLILAAISLSV ->YP_009505562.1 ORF4b [Kibale red-tailed guenon virus 1] -MPFTSYSPQSLTSLSTFSSSLLRSLLVSSLVWSFVRPSPAAQESLQGVPSRLALLRQCRG -NTELYHSYFTATAQIDSDSLARYVYDLALRPILIRHYSINICRFRPIQFAHNHTFSLRHL -ASLKHCEDVSELVHILETNTTWQLPLWALGLSHSPSFSMCYLSTALIITLQLKYACQRAL -ARLVSTCRSR ->YP_009505539.1 matrix [Radi vesiculovirus] -MKGFKAMFSKKKSKKGKEIKAKGKGKDKNKQYDEDERPPAYFNVAPEWGSASAPMFGYDE -YIESQSSIQSLKIQFRYSLEVTVDYPFANFFEALQSMRNWKIDYTGYQNKKSIYNIIMMY -ATKRLRAGPRGLQGRKSTEYSAEGSGRYTLHHDLGDLSGMRFAEERFVRTWQDQLRRGTI -NFYVWLGETDDNSNLPPLICPGDFKDASEFNMSCEIMGIRVEVQPDNQWVVKEA ->YP_009505538.1 phosphoprotein [Radi vesiculovirus] -MDLQRSAFEALRNYPNLENALADADESESLRDPPSPNSSQAHESEGEKFYLTDQLDLLES -SDEEDEDLSTDIGSSGQHQDTYSNIDDRSASNQDEDSEEEREAYGVHFPSEKPTIDLSDL -PATLQRRIFVDISNAFKKMMEAPSCQHFPVMVRGRIELIPSSDMAIHQTPSSATTPESED -LPPSPVKRDRLLDRFKIHHRSTQDPLETSFVEIFGSEDSARAAWGDGSLSYKELLILGLR -RKGVFNRMRIAYDLTPIFE ->YP_009505524.1 small hydrophobic protein [Durham virus] -MYVELLVLGLFFLCGQRIFLFGLVYLLGRFNLLWFVFDAIMFCLWVFCKNLPSEVLRLTG -DWLKPSWESFQEEMYSS ->YP_009505522.1 putative protein C [Durham virus] -MTFQNSLTYVRSLKEGWMRTLEDWAEICQERWERDWARFLIDPGQVTTLLDCFHAMKEQC -QSCVRRLYQMTTTPAWVEGTRSQIQSTLGLTNSTLSQTNPAVRPGEQDPIATELVALSRS -LDESMEQACQAIYRPA ->YP_009505521.1 phosphoprotein [Durham virus] -MSEQIRKVDLTKGHYDLSKLVDICQEFERGMDEDTGGLGGDLSGEVGAGLGSISDRSGAS -DHSSGLFSRNEGTVSIVCEEVVSDDDNTGLGGGDQVSDTINLGFDKLNIEPNQPSSQTGR -TRPDCYRAGCPKQISGRIDGASLPGNISAGIVLDYAAIAQCSVEDHLKLMFHQLFQQIGE -VVGWKIDTYQDTILFITPGTTKTNTCHHDAGPVIKTEATPVPAKVEQPSSSPTKAATTPV -QQNNPPSQSNKGPDHQTQHRPLDKEDAWGHWRSKLVSGIELKDNHSGKSVVIDRDTFGFE -DLEMDEFQWDPSMSIEEITVKALDHLGLLDYIKLCLD ->YP_009505523.1 matrix protein [Durham virus] -MFRLFSFGGSSDNDDRMPKFAPVTENAGVERPEPQSTTKSVPFQVDMTMVISTNNPGVKD -SDVRDLVRVWASAYQGPYLLEPMIKAVALAAMYNLMRDASFEGVIKYSASLTDVLTFNFP -DNSSIPEQYLNYKYSGMIPVLKNNFSFLLTCRFTHTPLRGPHWSSLLKKDPTNSEQRAWL -VDELKTLSCNKFY ->YP_009505518.1 U3 protein [Ekpoma virus 2] -MKKTTENMGNSLSSHLEKFKTTIIWVIGGIGVCIVGCLLIKCTSCLHDIILCCASSKTSS -PANKQMPTIYQKFKKQRRKKMKNKITKLARTKAANKTSLKRIKPSTRSSLPDKRVGELIG -KYEAG ->YP_009505516.1 U2 protein [Ekpoma virus 2] -MNNMKKTTGIMATRQSPQRHFAIFLKLKSYQSNVSTVSINKIVHTIWKSKPKYSTVLSQI -LLSAVSDFYKIKELSPGQTLEFEGGVSLEIKDPQLWTLTGNHEGQLAVHRLLHPAFCFHF -DIRWECIPRCMYEIMCKKIDLRFHLKNFRHPECSAVKKEPGIHYIEWK ->YP_009505515.1 U1 protein [Ekpoma virus 2] -MKKTTEIMEHLTCRVSALFDINRELIPLSKSTFRHALMDTIMTNSYGYDHNEPELVGLIG -CVGVLSGLLKVNSPTIPNTMNSIQEEFTVSISHPIKLTLPLLYYKTMLVRIPGSRPQALG -FCTIEISAIPGDCCSPIALTGSPPTLELPDLAATLGTTRVGKRRISLDGNLIVIK ->YP_009505514.1 M protein [Ekpoma virus 2] -MKGRNTVLSKKKTTEIMLSKWKPKKQTNQGAPGGFSPYFMATCPDPDWDIVSTGGSSNPI -VDKSYVTTSTLVLTTNKPLKSMESLYSHMESFQDENQLSMIIKSFVGLNMALLYTHLTAN -NNTITTSGPYTYSANLDTVISYPIKSGIPVLKTEKTEIITLNTREMGQALSSRVKVTLKP -TKRTGRKFEDLYKVPMSNGTNPPDIQLILRKLGMENSISNGAVQLDMYT ->YP_009505513.1 P protein [Ekpoma virus 2] -MNKPDFSKLYQNYSMEKLRDSLRDMALQPNDEVPEDPIHNNPSTSTGYTNKNPLESSKLT -PSYDWESSISPDTSLTQQSKLDPIKEVFPMASLSDILRALALFNIHESMDFEISCEKLTF -SIFPINRSVTQNISSTPEHGKTYPTLREVLERGIIVHKLPSMKPVTIHAWTKGINLEKID -DLMYADDMDDVECIAKTIIKASRLTRSLTHEGAKHCA ->YP_009505510.1 U3 protein [Ekpoma virus 1] -MEKAILTELSSFLSSIRAFFISLSPYLNLILAMAFMYCLAYLISSITNLLSQLYQACRKT -LSTTLTLISRIKDLLKKKNKTQSKISELLNEM ->YP_009505508.1 U2 protein [Ekpoma virus 1] -MIMKKITEIYSTMQRPKEIKNEYFYVTCNYSIKLDGLMGVPISTLMGGLPLDNPKSTNNP -TIYRFLLGALLYKDMNLSETLRFRRVENTSAIYAKVVSDNSVYWQPLGERRIRETCDVGP -GTVQIVCSLSWTKVSEKAFSSSSNKVDMTKTPGLLLHPQFDIRKHQRKSYHLSLLK ->YP_009505507.1 U1 protein [Ekpoma virus 1] -MTAKLHIQLRLSILSDNIDGLSNHEILSDMLYLTTKYAKDPYMMALIGYAGMDMPEELRY -SRRDMGNAILLESKTIKVVSLSSTLAHPLEEDFFFYCQSNQEKDILETHGSFIIDARVHI -TSDDMPTYPDSINPQHQGRRDYVIGYLRKSGVDIDINQDGILIKSWFEEVVGDMFD ->YP_009505505.1 P protein [Ekpoma virus 1] -MKKTDLKNLLTHYSKGGLDKTLSEMDKLTTELEGDPIEEKSQKTRQSGLTSLQIGPTSRV -SPLVDEKNKEKKQAYSPDLTKDWDQEIQNQVGSRSHGIPSSSSYEDDDWEDYLEKEKEEE -IYDDPWEQANSSNSNWRETTRTSHAHKPLPQRERRIIASNTQKMTPGEHLKCTTSNLELH -QDKNGGPLLVAVINNDSPSGALLIEDLLTHFGHVEGEDFAILNDPDGYKVYDLRNSVGDF -LPSTKLEIIEEEPSLQPVKMTLIQILEKGLIVKRKLGTGKVKLTMKSIKNKTQIEKNKQL -FTEEEMDEAAKIIITKAGMDKILSYQESKICQ ->YP_009505500.1 unknown precursor [Drosophila melanogaster sigmavirus HAP23] -MCHRTSVLPLVLSFFHLYYYGHVASVSIPLSINITDRILPVQLNTTILMEGAEELNITQQ -LNVQHFQEGRLTREENFVCSHMTTTDLATDFFQLSKLAGAYDAAGSQDQRVYSPSSTSTL -APIFPETTPATLSPDGVTLNIRTSVMDINPLTRFTKAVKDLREDITPGYDLTNGRKFGRE -FLRALEENGVRSRHKRDSTTDMLQMTLDVTESRETYVEIRDQCASVLGALIKSMVPSVSE -HCLYHYHIKNIVNCFTSHYIRLHNEPDFPLVMALYSEYLSLTVKIHYMDEILVSTLRA ->YP_009505499.1 polymerase-associated protein [Drosophila melanogaster sigmavirus HAP23] -MNLTQEDERRLALLRERAAAWDHANALGEFESNAELDVYHEGIAYPPSIDEMEVGEDRPT -LDKILESSIGSESLPNLEDGPPDQEAAYHEGEMYSTMTTSYMDQGNESMDLSAGPTCSTP -QPITGIHHKETINGRVTQMVYIGETSDMALINRLQDEFDVLYNMCPNRNRRGEIVHLIVM -WSTPLKPSTRYSLSPAQPPGKKRKIVQHSSDPEPEIVFVPEPTSSEQSLPERQIKAYLQR -GIELKGKSAEVPNFKITNETLGFSDANLKLLYPDTSLYPDNPVFILEDVFTSTRQLNKIK -VNYQLYEPVLPSI ->YP_009505496.1 glycoprotein [Drosophila immigrans sigmavirus] -MLRGYIVALLPFVVAGVFLPEFDKNSLRPAVIHTLTCPAFIPERPNFNYDQRNNQDVTLF -KPKPDFQKVVDGVVCTKIELTTQSEDFLLSSCRIVRSQRELPLSQSECDLAIAKYQRGDF -LDTNYPVPDCSGYSKHIQEKVFVTVKYHSVKFDPYTMMFLDSIFSEGKTPFAYSTTAHES -TLWKMTGSKPPCSEFEEIQGELMFSVNSTFSRGQDIHLWALGIQEQTFENACKVNFCGLP -GTLFPNGEWFNLRDDPKSGNTSTVTERLDPCVGDIEVKTESADQELQNMFTNSYQTSMRL -WCLDTLEDLNSGIPIPHYRLSFLIPNAVGLGPVYKIINQTLFTTIGHYKSVTLNKLTQLN -VIGTIGNGSDFVISPDQLTPTGHDNTFDWVNGLMYSNGKWSVPIVDVLRNNIHHLLSRPL -NLSTIRHTMANSVLPSISMNSDEILTIHQSNDKSSRGNWITSYIHNMHTTATLIIQIITG -LFILIVVGVVIRVIVWSRVFKLCKRQSSGKSKHSQNENRIIRYIGEPLGSHSAADLETSG -RVVWN ->YP_009505495.1 matrix protein [Drosophila immigrans sigmavirus] -MSQLPKGSLHKNKIQSGSSSMIVRRENDCDLPGGSSDRWQNSFIQPAPSAPPVSSDYVLN -SQIKAHLEVVSNIEINTWEHTREIATSILDYYSGPINGKSYIKILFALMAFRLGKQSSGT -NYHKYSISFNDRVSFILSFPPEELPSMPIKFGYSSGRGSFLYNVDFKIHLTPSNRIGSRL -HRLYDSNAIDSQASKCPTIQNILEVLGILFVLEDSQIKLLSASS ->YP_009505494.1 PP3 [Drosophila immigrans sigmavirus] -MGRLTCMQSWVRRTNSDYSVINTSLCHEKNSTGITITIMNIFILVLWSLVGISISYSPAR -IHHSDHAQIFQETPDMQTLKIYTQINASLIDASQITQVLAVSSPVNQSHIRVKYKVCVWR -SDEPVQIYLLPLSRLTGTAQSLFEGLSMNTQEIIPNYTPETSRLGEFMTSPSPTPMKPHP -LYLNLMTEIKPSNTKTTSLQEQQNLLKRNRKNVISQYTKEIRDLANFPRNSEIIDPMMIG -RRRRDIESEESLDNLAARRNRLVNFRAALQARLNALNALVHLTGNDPIRDCQYALVPQKL -ISCLYQLGMLDPDEEILELVYSISSHLMSDSILRNIELNDYKLILQRESK ->YP_009505493.1 polymerase-associated protein [Drosophila immigrans sigmavirus] -MDKSSKKESSALPKKVSKQHHDESTSTTTLETPQTSVYALAKEELLKITPVAVPEAFDTG -FPGTYTPEDDEDEEDFRMLLSKYNKLTDTIVINGLEGAERDQEMLDGLYHVRMEMENTDE -TVQSPTPPGPTPEPSVCASLDLSSLDPVFCTKLAQFLYEFDMINLQKGPAEQLGLKVQQV -ENGGIFLAAAPRPNIVINPILLEPPCVKLPDVTPCHPQKMCPVADELVKRIEAELEKGFL -WRHRLYGAKKTKRYNLESAGFTKCDIPGTLARHPEIVDGKTYMYAVLGEKNQLRLLRNQY -ILVP ->YP_009505488.1 PP3 [Drosophila ananassae sigmavirus] -MLNKKNQTKINPQNLDSHPSPKMQITKLNLIVLFTSTYWTITIAIGPICRCEGDIELDKV -GSINMTTTYHVPYGHIVKMEQTLIFETKSDNGSIKRLVQSCEKSNEETGIMSMYSQDEIA -KQKTGMLAQMEIPESDEIDDSPDSPLKVSLLSPEHPLSSNSIPKTSEEVFNDPGTLTVHH -VGRAIHTPGKSPRAPEDPTKKIPEWSLNLGNGYRQRTKRAIDNGVTSRFKPVSEMMTSEY -LSKYDFLLSRRIKEEEKIWVDIADLIEIWVGSIVNPIHVSCNKKGHIRMILKCISIHMKS -IFDSVHYPTIMGLLGEILILDVKIRNDFAAWSGIRTLRQDQENSRRRGRG ->YP_009505487.1 polymerase-associated protein [Drosophila ananassae sigmavirus] -MNTKKILRESKLEDNLGHKTKKPKLANALTRGLIPEENRRQEGDIPMSVIDESIQDEKMT -EDIDHKPKKSSNIIQAPSLGHRWLDSYTRSEPDIATLHDDGSVRGVPIERPPVITQPVDD -EMEETRQGEEFLMSLQQDHQTLHFENESATIAEEEGEAYIMFGKYVTKECQVTTLRILEV -VDQYCKSKGIFIPRLLVKKVESDPSAVSLSPHFSPDSPKEPKKSTIGGTQRQPSQPDRPG -TPVPDSNSVAMVRCIKEGVYLKSKSEGGPRHKIDLSSLAMSEEEIVSLYHQMKPANLKEF -VKYCLVFRGQFKIIARRYDLSSVSTQ ->YP_009505483.1 matrix protein [Drosophila affinis sigmavirus] -MLQSLPKFLKPKKDSSPGEDPAESGDYPENPPPYHQSLLSMPSLLKENWKIEISMSLVTS -GKISSVDVLEDILGVIIDEYYGPIGFKRVIQYCFLATGYHLTEHKKIGTDVHYQASIGGR -FTVDRKGAYPLKDPLEWTLSKTTTSGRIIAKIDFSISFTKMTRSGMSLVHLISLSSYAPV -TPGTSSSDFQISEQDFLTLFSSYGVKWEYTSGPDIWIEEEMHYSSK ->YP_009505482.1 PP3 [Drosophila affinis sigmavirus] -MLIIWIAQGSICSSFELICRCDLQNTTSLNPDHVARAVTTYYIPNLDRYNITQEMKIKVM -KGGQVIDTYNQICLHMGPMAGVTTHIPVSELNSQSAAILRQINSKRNSTVLDGSVPSDRC -RRGYCSISTTERSKDGKPPETPVYTIVTSNISIETDTFNTVGKEVDDIDTASYLNDIEPM -FPHHRILHKDYLIRGLQQEQETELAYSKNDYNRRKRHASPAHHQRHRIINRQLGMLINRL -NLYESLWIQNYIIMLVYIKKTENPDFTHCVEYPSLRDMLRCYADNLETLYNSDYALDAMR -YFTEGTQVKYNYRVEEQVKYKLENRLHQKRHNLRGY ->YP_009505481.1 polymerase-associated protein [Drosophila affinis sigmavirus] -MGKKQERKKDKADTNYDTLVALTKQAIADNPGLGDNLQGDVNLQPASLEIPGMGDKSELP -SDDDRSDGGEDLGDIIASGGASTSQGARNIIDPDSDGESDYKDGEVPLPINPKRVTVFYR -PPAYQHAEKIIRDTVEAVNKLLTYESVTTEGHGESFMLCVYPRMTNPVTPILENILPGHR -EHVQKAIESTDQPKPCIVDQPSIPIDRRSALLEKNYCVKYLDQKNPKVYKIDNGNPDFSP -EKFQEFYCKYQGFPKNDYNLVRRMLMEQSKWYRYNDVLDFAPLR ->YP_009505476.1 phosphoprotein [Lake trout rhabdovirus 903/87] -MLSSNAQAKWSGVKQEIATLARGISGAGRSEENSLGIEPEKGDFKPVTTSALSEFEVYTL -TADEMLKEYGGDEEEEEEGDQYYAILPSDPGFQDESNPSDPSLESWTGQARDEEMEVDND -WNVHKGHLMGERTEREKTMIYREMTAMLGLFGGFLTVPKDGDHYLVAMPSSNRMDRLGDE -ALRNKQIEQAQTEREQRKNHAADKSPQIPTFSVERPKPAPVAVPRPPGLVKPTKPTEQKP -KKVVEKCNYVSLEGTIGRKVWDSGVNGADVNGKVANFSPLKMGWNIKDWDTRVSELGEVT -TPQQLFLLMVQKSQKRNIYKRKYVSFSIVE ->YP_009505465.1 hypothetical protein [Mossuril virus] -MWREWFAASSFRKLNSDLQRKTKLNVLEWLALVLCFPLVFTTSSVFTFNCGMDALQWLST -EKRSELLSAHRSTPGTPWMESVMSKNGEKHTTHTPPPEEH ->YP_009505469.1 hypothetical protein [Mossuril virus] -MGFNFDVDVAKPIQNAFKNLWNDITRFFEPFLSWMSYIGKWALIILLIIVSIKVIIIIYK -IGKCVWRSGLCLKNCIKRVKKTRIKKKVVMKLRHKRPRKQRIP ->YP_009505445.1 small hydrophobic protein [Bat Paramyxovirus Epo_spe/AR1/DRC/2009] -MPAMQLPSCTVCLMLTFMVLLLTLYVCLLLTIIDKTMMHHLTQYHALSYRWRFDDPF ->YP_009505432.1 putative glycoprotein [Pteromalus puparum negative-strand RNA virus 1] -MYLFTVTLLLPAAAALVGYDCNKKGMGHPYAIGSMSECRKFDKGAISSSAWAGTILQVPK -QTQTPHKTCKLLLRSYSMYCTYYNNPTMLEKYSDDVDLTPYIMDEQDCIDAWDKRVFYYQ -GTQISVNPGGVTKILIGADIDENGFCRTSKGTSTVVKGHIELVWAEVMEVTDLRGQPKRR -ELDGEGLRWSLTRKSSTTVTGVTVLTNSPPTAMCSWQSIYQGAGELLEYPGSETYVTIPK -LSSGFKLITVVTVCGLRVSVTDDPSIFLVNTTAVDVTPANDEFSGRYSSYFKSGLLGLQL -ILQSGMTTTTRDLLKNQCILEQMIQQNVVHQASKHPGLAAYTLTGVRGWTIILSGAGVIL -KQCTEVAVVLRPQDECYTDIPITINSTSSPLFLDPTTHIIKISSHTIECDDDSNPIFQVR -NQYYRMTPKLVPVRGLPNLPSVLSDLNTTLLSPGTGLYPNEVLNHLDEGMSHISRKEEVE -RQLLKLGSNDFKTIHDGTGVIGSLVNYVVESGPTRWLMIASITIGSLSITISILLGVVIY -RSRLWLVLAKKSTIAEYQATLLGQELDRRNQQQV ->YP_009505431.1 hypothetical protein [Pteromalus puparum negative-strand RNA virus 1] -MSGKKDAKTPRSATKGTLSKAEELLQQLAAYDPLTNNDPLSLGKKRPKPPKCPDIQEPSD -SPPYKRHDTRGDEPDETRDPDPSLHPCYYPLGNSSSTASIVEVIESDSQDLNPPEEPKDR -PSTRGETSRTATREQREVLSIRKLADTQEVISSDEEEEGRESGAVPKGFYTVPPPVLQYI -TGESSKKPGGFQTEMDRWFEDEWGKLVNPPPGLLSTHYLWCLPPSTTNTLAGEKFKVASN -NLAISLGRSKIWGKKKSSKGTAGVLVLPFPGVQSNTAEKAAGDRLTKAAEALQQLAEDFK -SAIAILQADINRQAAASRGFITDSSQSIRANTLSLIRSMEETAKSVKGTTTPVQGMGESR -RDSRTTTPSLPGPGAGQSSRPSTGGLTIDPSKFKRIVPQGKK ->YP_009505430.1 hypothetical protein [Pteromalus puparum negative-strand RNA virus 1] -MSTPHPSTSRRTSPSDSGSTDHDLEEIRSIASTAAGHEEEMDKGLTDQVRQQLLIKNAEV -CRNLLLMIQDAVARGEKLTMTISDEKIRSNVKDTLLQEANDLKENVGNIQVDNAKEIVRP -NVNLPELGTRIAITFRLLVEKIERLADLKLK ->YP_009505429.1 hypothetical protein [Pteromalus puparum negative-strand RNA virus 1] -MPVVVPSNSAMAFTYADLNPLLQAAGFPSIPDNTTSWRFTSYKDLISFRARILPTTIGMM -IDQATPPRVDGAQFEQNSSTAPPNSYLYFIATVLKRTDVDYTGILVAVGLPQFCASVKRT -LLSLQPELEILANEDELNRFPPLTGIRQFTSLGATELTCFLASEDHLFQILEDQTISQES -RVCTALVAMFPYILPELQTRVHVVHTYQGGAQVRPEALFGGGAEQAVENARRLTLLAIYL -LAPAYTRQDKLHEQIARRTQAAAVQEQLEHYDASRTRTLLDSLQWKIGSMMPIVDDVLAM -VICRTTRGTTDPNAPLTELISPGELNRNQEIGRVASSLLEQARLVYTNYHSTSIHFILPV -FDPLVTAIGADHLTFREEITRFRGIRTVVSSAPFMGLCAQLPAQYHIKNCARLAYLGLLY -HDRSLTTPEEKASFKEYKISGVREHIASQADRNIVENLVDILPSQTVSAIASLVQHISLE -KASILMDSKSPEEQLEVLNLLRGEDAPGAWAMDQMNRENATYTRQLIESGVKLLKAALEE -QYELRRDAAETLPTPDAVRTRRAALIEWRKGITNMFSNLTDWTEALPSAPRSGMEAIRTT -TLARLTEIMTAIRTDDAMEQ ->YP_009118969.1 nucleocapsid protein N [African pouched rat arterivirus] -MSGPIGFSHALFDQLTRAGMPRRKAHKNPQPAHQDLVKALSSLLNKGQGPKKGQAGSGSR -QKRHENALQYPLAQPGDLRRMLKPTSAPMVRSALLSAFNSGGGILVGDADGKVTFTCDIQ -VPPRFIHQPKQPSE ->YP_009118967.1 ORF5a [African pouched rat arterivirus] -MQYDMLERYAISLEHAIIFAVGCVLCYLCLLRLFRRGGKRQFDLCNTHLDPQPHIVCFE ->YP_009118963.1 GP2 glycosylated envelope protein [African pouched rat arterivirus] -MYMMLLLSLSPRCLTLFCFLAFSSPSLSLQSWWGSSSFLFSARSSRVKGILGGRLHSQNL -ASMLKMCTPGMKYWSIHPLPLLFTSITEQVLNKWILRQMAFQGSLDGLSKLYTSAAKAGF -QPHEHGCRYEVEKHNLPSTAKFEDMNYILPEDFLAKSAIVQMLKYYSTLEARACYHLMSR -ASAISALLDEESVNVTLLNNGSMIKVSNWYNISALHLHDLEAWVLTYQASIFSSCAAACT -LYLVMILRTPLYRVFPFQRAIRAVFSFLLPSRLARRNTSGQPPVRKEPKLMVSTLKRRAA -STFR ->YP_009480673.1 ORF3 [Bat Middle East Hepe-Astrovirus] -MPFRMQGSRSSSGRARDQRSRNLGQPRLISARSLARTQMASPGSLTCSCTLLFCGTSMLR -TPPTPRRSERRSTPCTVSQTWSLPCTAWWAPLASLVPSVSLRCXLTLPRAQPFPSTPSPR -ASTRRSASDETDGSNLAFHPVRILGSSPTPRRTRGPSRSGKRWRCSCLGQQTISTPTSPT -LVHFGDSRCGCVTSSPTTSRTSSWRLLRQGRRLILPRWPRMRPGTSQSRQQSLSSAHGST -HPPQELPMSCSPSLTSQVGSLLKFQSSAHCSTQGWLSSSRCSARPGTGRTSTSCAPVSTR -PRLATPSQFQMPSTPQSPEISACNSSPPPTSVAPPKPPLPRRPPAPSSCPPPPSAWLRGQ -PATSRSPSPRGRARWNELVRQATTASLSLTPSPAAPPSYHPVTPPISPYAVSGPCGATET -RPSSSGSYVPMGRPAERTAPSSTSPPTRRGPWVSSVRQQLLLTSPGYCPMCHRATIAWSL -GGQRSPPPRVCPRMYDPVTRSYQCISTTGSHHQTRQNGRPRTAGCFADLQRSVSL ->YP_003126910.1 X protein [Drosophila melanogaster sigmavirus AP30] -MCRRTSVLPLVLSLFHLYYYGNVLSVSIPLSINITDRILPVQLNTTILMEGAEELNITQQ -LNVKHFQEGQLTREENFVCSHMTTTDLATDFFQLSKLAGAYDAAGSQDQRLHSPSLTSTL -APVVPETTPATLTPDGVTLNIRTSVTDINPLTRFTKAVRDLKEDITPGYDLTNGRKFGRE -FLRALEENGVRGRHKRDSTTDVLQMTLDVTESREKYVEIRDQCASVLGALIKSMVPSVSE -HCLYHYHIKNIINCFTSHYIRLHNEPDFPLVMALYSEYLSLTVKIHYMDEILVSTLRA ->YP_003126909.1 polymerase-associated protein [Drosophila melanogaster sigmavirus AP30] -MNLTQEDEQRLALLRERAAAWDHKNALGEFESNAELDVYNEGIAYPPSIDEMEVGEGRPT -LDKILESSIGSDSLPNLEDGPPDQEAAYHEGEMYSTMMTSYMDQDNENMDLSAGPTCSTP -QPITGIHHKETINGRVTQMVYIGETSDMALINRLQDEFDVLYNTYPNRNKQGEIVHLIVM -WSTPLKPSTRYSLSPAQPPGKKRKIVQHSSNPEPEIVFVPEPTSSEQSLPERQIKAYLQR -GIELKGKSAEVPNFKITNETLGFSDANLKLLYPDTSLYPDNPVFILEDVFASTRQLNKIK -VNYQLYDPVLPSI ->YP_009336881.1 hypothetical protein 4 [Hubei tombus-like virus 19] -MQMPKPKSKKTLRRKQPRPRQQRPLAPMNVGARLRTAQSTRKKPEVVTDLLLSVDIPKDT -PRGTILLDISLNPTITKRLANLSKAFVKWKMTNCEIQVQTQVPATMGGGLVGGYVPDPSI -RLSDGIQVLESLTATNQAKIVKTWESFTVKIPNSKELFVVRGDDSRLSDAGRFYLVVDGP -PTDNSSIRVQIRWSVQLLVPFAQDERNILDSLTVTCSALGIQGEGVLEGSRNCTVHNWTP -QHGFAPPFDKTPDLDLSHGLHGLPNFSSAGPNIRYYRLDQPAIAHPAALFLAVFNQRMLN -ASLPDSWRGVFLDMPNYPEPDISVAPSIMLVQHSTLTPVLQEEYLGNQEGSFLVTVHRFL -SATRQLQCSKEYRMAQPNPLLTRSLPQENVAMVLSRKLAQMSLVN ->YP_009336879.1 hypothetical protein 2 [Hubei tombus-like virus 19] -MENLSRPSSLSCGKFTMEKSKTRSPRSTNLRESNEKIVTNVLLARLSEQLETINRRISLI -EDSLQESNRSQLQLILSISRLEKECRQGVPGANSPTESRAKSSKKLPSCRTNPTIPPFRS -RQESFSSIDSLESMYPGPPQRHLGRPAMNEALIRELNTTLHASCGGQTASSSHPDSEGCG -IVSPGDNNNESVPTKPSPLTSQSACISGPQIEPKRQAPQPPVEEVVPPAQPPAVNGAQPN -VTEEVAKTVCAQPKATMRPKLTHPEETADYIISTNDSRLVKEALGVTPQIVVSSSVDQTL -RWRATKIDGEVVLRSEPVPVIRSDAGYFSNSGYYAEDETRPAKTWVIGPAAPVRLIAWVD -HEMLAHMRRYIMKVGLTQSSYHKLVAQGELFLKNFRTDLTDPHYVNRILDSTACVAMVPT -QNEVVNITKLSSGLVFNAINTVSDFRRLGLVPRKVWCGLFNGSPYKLPSAT ->YP_009336878.1 hypothetical protein 1 [Hubei tombus-like virus 19] -MNSLKSWLLTVADYCDRDGKPFSPVLVKLWQIHYGKIEDPVSALYELTGVQRKDSDERVA -GQIVRTIRNYQSQDLSYRRFVTRIQPFPTAAHFEYLAFGERVPPGSPWGQLSNRVKSEII -KEVAKLPDKPDYTAISESAGKFFFDRQFGEHVPGSSAKAFGTACHERGVNSRTKHNTARF -VRWANSEFESPRFRRVWNSLSWRQQQRVCADKALTADLSVGVYLGPPN ->NP_690810.1 P5 muramidase [Pseudomonas phage phi13] -MARAPAVSATRALQEWLQAQGVETVIVNGVARKLVVDGKMGNITSTAAKQDESPITKMIF -DEIVRLSPVAPRARVSFPSTSNDPDRSVMEQLVRDECAARNVAFERVTQLIDHESGWNHA -SAISPTGAVGLMQLTSWPIRQWNVDHAVGKQYEQDERYDLRSNIVVGVWYVRRCAEFIGE -DPSSTDPMVWARIYGAYNLGPGTMKLLLAGDYQNSNVQSSWRVQSNALKAGGIERYVSNA -KSLFA ->NP_690809.1 P9 membrane protein [Pseudomonas phage phi13] -MGLYTTYKKSAEQTVDKENDSIGKKVVGFLTAPVSFKDDVLSLRNPSHQRSRLGRSDLPG -RRVAGSPPCG ->NP_690808.1 P12 morphogenetic protein [Pseudomonas phage phi13] -MLARLFNASAAIPIAAKMLGVTPDALSQLAASATGALSPHAGPGFSVVPMVMALAKGDLT -PLRALYENVLSVKAARPAIASAITEWLEQNDTDHIAMKAMGYVADLPLQHLGRDFSSVEE -FLTDGLFPLMDTALAPPTVCHCGGHLSVLSPTLLVCDECETYKDI ->NP_690807.1 P8 nucleocapsid shell protein [Pseudomonas phage phi13] -MAFPLAVVAQTAGRFLPALASRLGVSAKMGEVLNFAKANPTTFMLATKEVYDQGVSLYDS -LFEAAPEKMTKAVAQLENSDAGRSRLIEADLVPQGTLVGLDALSDEIDTITAAIEVAGSF -DALMQFRRALSLNDEHYALYLKVKRLGKRTV ->YP_009497847.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MDAKQSGAGARVSGWWPDRQVIGREALRQRTSRTLGAMGGAVGVSDTPRSFFGGGEVPTE -RLRRGLPSEVAGMFTAEQLSEMLDMSAEQRVKALADAWERLAAAEASTGPTSSGPQTPAR -RAPRSVSDYNTTQWVAAAANDGIRVTPTLTAAARAVAPALNRAVVPGGCQPHASYGRATV -ATGTGDIAPPSARQTAVVQAIGESVPTEPVDAEVKEGTPSPAAPPRLLCGTATLLALPQV -CCGSALDHGCAAAPCAVGQVSGAAAAVALASRPVVEPDADASSMGERWKVMSTLAARLGP -IAQCHFGSCRDVCACTHRHPLARALMGIGVGTEELRGARVHLTTPQESRSVASVLLEKVG -GGSRVERLAVEFVKAVDGPVLTSLVVASRDGKADNLLTLVAEAMAQGSLAAPRTMVTFGR -GDGGGPAFDPNKLYDACVYSGERGHKLGVLSRAVWLESDADVHWMPHSNSLRVTLQCWNR -RGDCKGVSAVIGPTTWRPHVGNSSWETLGSDVYRDWFGLVVARRGGGDTTVRHQLSYNPG -MEADQLGGLLAHSGCGVYVSGADDAATVAAVHPSLQVTYTHITLGSPTASKLVQAATALD -EFVRRGGAHRP ->YP_009480682.1 hypothetical protein [Botrytis cinerea fusarivirus 1-S2] -MWSIIFSVYWPEAIQLIAATFWMWAAFIIVFPAMLILHGLADVMFICLFSLLVLRSYGAS -LIFIFFKFSMWGTSPAFNIADQLRPIVTGLEKWLNIDLMSHYERAIRDYSLSPWSLQFLI -IFIISKYFLRRQMDFAKSLLWALLISNVLGPPLQAQGLLRQSRKFCLGFI ->YP_009480679.1 hypothetical protein [Botrytis cinerea fusarivirus 1] -MATTQETPSTTPFLEQKSTMQLIREVELFTSKEGQPFSKIFRGPCVENGLLVHPPPLGHE -MNLSPMPGLEKGTKLFELDPLKVRDAFLQFSNMDEANTVVMVGSSRDDVRQIFGVLIAPF -TFKFWLDPGAPSHTSSLLLIIDAEDKHALIDWDELVANSPAYAQSPDSSQLADIITPLAE -GKGLPLLSAHGVFQILCNLVDVRGFIGYYSLQDDDILEPPTPLTTEQRVKLLPGYQSPEK -NELIRGMEYVPPTVEEEEPSDRGSADGLEDDDDGYQTASSKRSKRVPNSKGKRKEAARPS -KPTFNETRKALNESKHPGYTRNVNAVWGPCVAIADALVKAEDPYMAKFGRHLRVLLRNGG -NLTSQGLRKLLTVTALAVMAYNVGDRFEEVKKELESLVRLNRSKSQAAWMRSITSGSNPL -WVGTFFSLRGKIMPGPRNTIVYLGPVWPTSGAKTEEKGFDTPQTKGESWAHWLPRKMAQA -EGLLDLTSKSIQKVFAKLGENLAGMKKFILAKVLNLRIFLFPELTKEEIEASVAASLETA -ARDDEGLGITASEGRTWLAKFANWVFQHVKRALKALAAAKHAASLQLKSFRSGMRNGLRQ -KSWNRATEALLTPLRVRKANDFKSKVFSEWEARNPNAGREEMDIIEGLILRRVMAHFFKV -RKGRAETWDKTVLGFRTKNEILAFHEDMQTIISLPPNMEELDEKPILMEEKDIDT ->YP_009483885.1 3B [Sichuan takin enterovirus] -ATIMSSLILLTSVITLVYLVYRLFAGYQGPYTGLPNKKPKAPVLREVKAQ ->YP_009483880.1 VP1 [Sichuan takin enterovirus] -PPIEAALNNHINHTVSNALTAANTNESSHNISTSSTPALQAAETGATSNASDEGMIETRR -VINTNGVQETSVEAFFGRSGLVTIM ->YP_009480356.1 VP11 [Callinectes sapidus reovirus 1] -MNWSKSLNFQPFMMDTRPPLSIIPVVNQLVKIGEQANQKWSMDDRIYFAIRKINPVFVTN -NYVASKSDYTILQIQTQLIATLPETLLFLAFSYYTREYQDKVGLMRFYPVAVKNMIPIVT -YLKDRVHNNFETTLDQAYRMNVVNSMTASEAFDLLAGMLATTRAELIQRTRICPDLLNVL -NKMSFLIIYGPSRPTIFSWKRQG ->YP_009480355.1 VP12 [Callinectes sapidus reovirus 1] -MAQNMNLDINNFAPVISSIGSQLCSLAAHKLLTSRKQYGNGAKSFEEFYAEVGGIIGMMG -INSQTPPGVREGIFKLYQSAYLFGDLFPENFGVQNQQHIKSPPEFTAVARKLEVTRPQGH -RVDIIFNPHEVRFTTTGAVQAGDLLGTVALPIQGSVIATRNCNVNAIGGQLTSTRPQITA -SAPLPARSVIVASFDATEVGYDGGDQLFSVGIAILANRFNGSVANMSRHNYMMQVYAAIP -NGMSDRDSSAIMHFAQAAPVVLGMMERLTSAPKWVLDY ->YP_009480354.1 VP10 [Callinectes sapidus reovirus 1] -MADSVSDRSGAGLPLSSTFGYKILLQKKDYDHDATVPADAIWTPFESNEKYQNADTDAYL -TFYQIGTKVFLTLTKASQDVDRSLQLTVTWTRNGGVGFTEDRGGIINWLQLLSKTNSVVT -KSPVILVCDDFQGLFVLLPCNLTEVHQCGDFAVRKKVLKPLAVLARLLNIVPSSKEENNL -YIHNMLKALHLRSDDSRELIPSDGGARVLAPYLTDEDTASVMRGALLGLEPGGKVIRWCN -LLVGYDLDQTTADYYFDTLKIVGEMWKTPSTPQIDVASWVPQYNVMVPLLPTPPTDLTLY -QQWVHDAEETDHNGALVRAGKYGIQQGLFTANEPFIPQWLKALLDS ->YP_009480353.1 VP9 [Callinectes sapidus reovirus 1] -MKSQTGSRGFSARYDLCQLICVILATVGGMSQVMSIILSGYVPADYRETCEYVGHTLAIL -CFAIQIGLMRWMRSDKVAIGVVMDKMSRSLSAVGVVGDQDVISKQGSKLNDSGYLNLLGM -AQSHFDGIEHHQPKAEDTDSLLGDENVESLVKLNGQFVMMMSGTGTTLPLRLMSDFVKAV -FTTEFKNDDATFVVKEDTVLPMSLMNHPMTGAVVEKYNPFYIYVTWGVRADGTWYVAKGT -AIPMPSYVKDWALKRSAAGRPHFAFKNAPWINDTVSVTTEPKTNRTPVVMVPSSVYSLYP -NIHKDEVIVPDAPPPYPVAASPV ->YP_009480352.1 VP8 [Callinectes sapidus reovirus 1] -MSDTSSYKTNDLGEDLSIPPNRSEAQEGSVSLPASTFDDIMNRLASLEARAAETAAENQK -LHQQIAHMKGEDEQIVTKMIQITAGIYSFDIEVPEEKEDELTKVLQILLRVGANTLKQYP -HGLTDAMETDSHVMIANQEALSYYDKLPVLTRDVIWEKPDSFTLSGFTIHSAAELMSVVV -KDAGEDNYSFLHNLAGYECVVTAGALFTRVLLLSRWRNTFNLEQRAIQKMIHSPQSRRES -NNNERVGKRSFGRAIRAEVAQLDHEEERVLCIPRRPETPPMSSRLYFDNPVEADLENA ->YP_009480351.1 VP7 [Callinectes sapidus reovirus 1] -MAGQTENGNGGNQSGHREVLKAVDGNYQLTPQVALSKPGTKAVVSTLLNEDNELVRMDQL -QRYLSNSYSSGFLPMNMNAKRTIAETILGYLPPDAKINVEAFLRLVIATEKIRNDEAMVR -VVITMCDVTGRHARQLLVRDKSTYEFSDLEEDWTASGVMVVTSTKNNSKESSTVIIVPDS -PIMGVVYDRNDETILNFYGSYEIRRKAGLQYVLHGVKSAPFGLRGKAATIATAVNEGLAN -IVTNFGYDEPSTFVQAPRDIPIVGQISGTETIPACIAAGLKGYKELLIDVDVSREDDEGL -HTYTVKAIQLPQELAAVLIDVDSSMPLGYLLALTEERSMNRVSLLDAVKFKVDFEKKRAQ -AAAEFVNSNPEEFEDIMQKRKEQLEKMRARRSGATRSSGTQNRPTPVQAGASIRFNMKR ->YP_009480350.1 VP6 [Callinectes sapidus reovirus 1] -MDRNRTFQIPQHAPHRDIFSATTDEVAEMHEQLKSGSLLRGFLVVEQGQGPYFVVVVDES -VHQGWIYNARTTSLEVRKVTDGLCIGQNVVPDDQGIMHPGKGEPYHDLLITLLNAGLMPY -HQYQPSTVSGAIDLTEEYSTEAVQLESLGKQTSKFEFFPAPSLSKLRNANIPCRRISRIE -TSKCDWPHVFVLDPYNCTLVRLPVLRTFSGLSYEGGIQQDKTVYLVYNPLEFIGIWYVGS -GRTVALQKVWVKRMLRDAITSDFCDTVELRGKVTPTTQELFTDAVNSRSGRKMNNAGWIE -MLFKSNLPRFVMLKRLLSDGDMSKIQIQNEAARDYILYDGEYILTVFHERNGWIAVYDQN -VEQTLTTLVSVKPAPRHTPIKFGKPYRYMVHRIVGREHTLALRLAELGMVPNGQSNICTE -CSTDSTHFMDHVQKRCLYTIRTMGLFVCTRLYTPRWIEAELARQKVPAHYKNGAFGPMLT -HEGIPLTLSQAVSVLLGNSIRGPQGAGTLMQISYYGVVAPPVVRKHRTRRRMTRHDSRT ->YP_009480349.1 VP5 [Callinectes sapidus reovirus 1] -MAFRTRLALRGPDDVFGKGVLRRQDYGTTAQINEGLTVFDSDGIELATKLDQTTRNANIT -RKGLNTAIADIDSEIKHLAENLYETEGELSQTEKNVVRTAQMVGHFERAVRRDIAIINQN -ILNNSDQINQIKNDLIALVENVRSNTVQDIVTTTAQIAENIGLATGVTGAMIPFNFVTSA -TTDATTAVTVATDTVSLATSSYNLVQLQKILKHESSLKELVPIFAKAIISGKTAAEITEI -ISRVGDHKVAKVSTTTHDMVKGVERHRMNLWVDKRKLNRGITSGIFEQFKDYGMFVIEAT -QGILRLRVYIDISANTKPYVVTELRNKEDTVDDLSSDFIAVPRDTLADVETMYHRFSTLG -DYMKKVINVDISSLQKQIVAIMLGEKDASMGQIAELTEDLDNLEMRNFTVVDTYASHLEA -KQEFDHHPVIGSVITTFQGFGEHDELIYPPKGVHESWNLLIAVKRELSGHAYIGVGDLVQ -RLDGPTSYTLRVPHGPLRITARDVDAILTASPDSGKTIHSHPYAHHMKTAGSMTDTNPRF -QDTDLGKRVTSLTKGLGRAAVEEILERLHQPHPDKGNPDDRYGAVDFLSAVGKRVLKSYQ -VSGVVSD ->YP_009480348.1 VP4B [Callinectes sapidus reovirus 1] -MADDFLEHFDTHLKDYSFTFDPNDQAKTEKQIRKERENVQMLMFQRGIPQQPREYCTLAS -ESDHLLYTVHSLGARAAICSIQNLLYYPLSVNAPSRLRGLVTYLRTLNFSNETNVIGTLQ -NLKDHPLFNEYISPSWDKIKAFLMMMSASGKPQSVSDDYEDYLTDDRITTVTFKGFTVVH -AISSVRYGNSEMEGEFYTISATSADMFRHLLANYHYSEGA ->YP_009480347.1 VP4A [Callinectes sapidus reovirus 1] -MSDRSRIQKKRHQSYLKLEDYVQEQHSKNVSPNSKVDWSLPLVCDTSGAGESSCRIERTQ -EILKTDERAVDRLFTNVTSKRSNPITSQFLDKDIKQIYTNKYQTLSSVHVAVAKEEILSL -ISEKIGLPSNVLLRVDRTNIIIVGQITEKWYQIECDASIPLLSLFVHSIPPLKKLNNNHY -ISHSPSYLSLEDTFQTQKINFANGTVFARKVLRHTFMVGTGTLEPFWHYTLPQTDGTCLY -YHVFPPVLMLNHHLSHKHFKLSVDMFIQLLVRRGGALHCDIRRRNIAVVDHRLVLIDDHR -QDGGEYLATNPYYYDCGDQEDLILPTTFYQDLLASFRVMASISGCVYPWSTDRNADAVTL -LFDKDMKLSGDKYHITMTYGDIYQLSVLMRKHMRRLAKPSIDIESMILKHLTTPTTSQLV -AFRCQQETSGISDHVDG ->YP_009465946.1 hypothetical protein [Grapevine virus I] -MMNEDEVATYLANRLGSQCSLESVCEWYNRWLLDAVHPPLGFYVVVSFFGDESVSENLIN -YLNLLARVQGKELVRFTTQQVQRSESKFYSANIRLVKELFITNNFSNPNLVEFYKLLGSC -YLDSCYISTGLGGTTLKSSTLNSIKLDLTLDDIKGVLKRLTGTVAVI ->YP_009465709.1 ORF0 [Pepper enamovirus] -MRSCYAIPPLDVDEPVHSVKFLLNSLHNLYTYTRHHNNVELHCCGSYCATLAHFALVAYV -LVWGHPHSRARRPGVDIEPSAFPRGIIFVGPPSVAATLRLYGARLGAVLPHSIQRPDGRV -AISVALAQPFPTVLDWAVSGSGGGLWEFGTYAPIPIPGENHIADWVRPPAGFYNSLVVDL -CTRGQSPALTLFGRLWSSYNSPSKNRRRRSLYMGFFVSCLTVAVSTPGKTPYDILAGSRF -CSLVSMGVKDCEDLGQGATTALVGPLPVDAPCTTCDNSPCQSLTELELKELGVFYVLAQL -EDAEEEEEGWDGPGAEEEPQEEEH ->YP_009449566.1 23 kDa protein [Blueberry mosaic associated virus] -MQVCDRNNRKDRSFKRYKLSSKELINIKINDKLFETEEKFMKYNHGIEGETKRCLFGLWM -FESSNCNSYIFRKKGVIFLSKEHDVHMTSYFDDIEICICNEKFELLSEDSYNNLTGDMIF -KVPNHNLYELYAHDDKKKENTLFSMLEESEKTKYTELKRSIRKLVIHDEKRKFFSAQSSF -KDAETSSGIRDPVE ->YP_009449562.1 nonstructural protein 2 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MSENKSVNTTNIRAAISELALGAASWMDSSGLMTFEKMRKSAENSLRVEQVYEPRTWEDA -VAEETLRNQLTALRISVEEMTQRSQYERYSEFGEVDLLLPLMRNLEMKSDDTNLDVKQIP -SGEEKAQLLERFRSCLVSLIRLKSKLGVAMVNSLTNQDMRAALDEIKSVSRTISMLKECI -RSLV ->YP_009449563.1 nonstructural protein 1 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MSENKSVNTTNIRAAISELALGAASWMDSSGLMTFEKMRKSAENSLRVEQVYEPRTWEDA -VAEGREILGFTTIAALRKPEETHAVELGKNIIYPLGGNPFYLSPCTIDTLYEPKLIRQGE -VFGVKYRNCNCFVKTAELLVTDMGEIIVLFCRNTEKPAYCLKNFRRGDDPEKSVRKILRI -WRSGLVVAVDAESRDEIRRYKSGCETDPFWRREGATTGEVQELLGVIDKVEIQAGSSDGE -LFD ->YP_009449561.1 P42 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MAQEQLLAELEGYLRGVNPMTRQTIMKSARGGMDSAKEAAKAAKKGEMQLTSGESIVVHI -CLRAMYPGIKPWSEAKKDLDKATEGLSGKDCKNIRKALRKAGDLTGIKEMMMMYEMREDK -KAEMVEQIYDDPEDFTEDVRLGTVAAWLQCKNKRSEKYHHKMSMSGSTALALGDAQKAGM -AIENMASVVPMKKEAQALHKDAEVLIELARIAYGSRAMEGHLQNAMDGIGSKVSGMANLA -LKRSVLTLLMLVICGIPTCVNAETVEEFCRKKLNQTEEKVYVHCFNEDDGRAMTLAALIL -GCFSMLYILIKAILMLLLTIINGRPNGSWDDLKHVVKCFSETGSENFARDIMVLESRRDG -EETSSPEEGLGPPLSGFNENGVFMETL ->YP_009449560.1 polymerase 3 [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MSSVIREIAKRFLEQATINIAEEVVREYGDHERTMISVGVHFQACCLISDEYTLEDETTP -RYVLLEGLKRQEAISKQNNICSTLGLEPLRNLADIFDRKTRRFLEVGITKRESDEYYQEK -FNKIGNDMDIHVFTYEGKYFSNNPNGLEDIQKTRIFTFLSFVSDELRKENMFTEMYVTEE -GAPELEMYKSKLFIAMRDESVPLPYINYEHLRTRCETFKRNQAECEAKVADVASRLKIKL -EHLEENKLRPLEIPKEKEAPYTHKFLMKDAWFFAKPHDSERAQPQQILYDFFEAANMGFM -TTSPKPIFGKQGLMYHSLWGQTKRAIKDKRNELEPSEQRDFLCGIGRASKKIQEDKWQES -REEEFKQEETKGAAKRGFPTWFNEEWLWAMRDSGDGDNKIGDWIPMAEMPPCKNEMEDYA -KKMCEELESKIQGTNCAREMSKLIHTIGSLHTECRNFPGKVKIVPIYCRGTLRGESTDCL -FGIAIKGKSHLNKDDGMYTVVTFEFSTEEPNPSKHEKYTVFEAGTVPVEAVVLTPKRERV -LKEKKLFLYCRTTGMSKLKNDWFSKCRRCLIPTMETVEQIVLKECALKEENRVSEMLENK -RAWIAHENGENLTRLVSTKLKDLCRMLIVTQFYYCIYNDNQLEGFCNEQKKFLMFLQADK -DSKSAFTFNQKGLYEKIEECIVSNPLCIFLADRLNKLFLVAKSNGAKYFE ->YP_009449558.1 nucleoprotein [Influenza D virus (D/swine/Oklahoma/1334/2011)] -MDSTKAQTPEEQRAKNAKTILENIQIYERMCDLFGVSEDDKLIIENSISIERMIRVVTDK -KYQDKKLKNAGSDPEKIANAGKVFCRLVESTAGKCSARLGMALKPNVEAVLTDVLGNELD -RAAVLGKRMGFSAMFKSNLEEVLYQRGKNQLKKRNAAETFTLSQGASLEARFRPIMEKHL -GVGTVVASIKNILASKKNGNYRNKMVRKPGGNRESWSPLEREISFLNKKLFPGPMRQLCK -KFEYLNEQEKQLALNLMLDASLILKPQVTHKMIMPWSMWLAVKKYAEMNKGSPSLEDLAA -YSGVRAFMAFNTACYMSKFTIGKGIVGDAEIMENGNDKMQTLAMACFGLAYEDTGIVAAM -ISQPMKKRYQLRVGNFNPPEKGTIKGTSAGYFHKWAEFGNRLPFNSFGTGESKQISNSGV -FAVQRPSTTNIQRLAELMARNTGETSDNFTQLVQKIREQVGAFADQKANLREFTGGYIYD -ITDVTKSNPKIPQLGGDSFFFEFTGSDVPRTGAKRRVGGADDVTPGTSQPKKRGRQGAGA -ESSMDIETVGED ->YP_009449453.1 nonstructural protein [Kabuto mountain virus] -MTFYLDNERYDLTYNVASVAKPPVTRATLWCHLSQGEIPEHLELTISGDQTDVVLSNRVR -TLPAPMEAAMYETWFHGLECDFMRRTLRWPFGKKSKMFLGLFFQIDGKKYMESIINRVAK -QQGLQWLPFPEKVVIFMHFLNDERKKLRLPGMIITGSNLMDTAMAIFAFRLLRSWLKVLR -QRSRESKEERDRLCQDDVFAPILTSRESLLTEDFVTSRWPCWTLDWPTPGEAAEMVPRET -PADLFDRRILLNRPWGRDLREPLTRRDRLFFNMFRFKRDEDEYIRMDFGTL ->YP_009449445.1 Putative coat protein [Rosellinia necatrix partitivirus 8] -MSSSRLRSTIPAPAAPTVTVQDGQPAAPASVAPQVLQYLDPSRNTDSNTGILFNLEWVPD -FRFMLLSWLYSLARIVPNSAYTSSPYASPASSIGYTIVMLVAFLYHTDASHLQHPSAAAS -AIMNDALFSRFFDMLLDFPVPDFASNEFASLMAFLPDDIPNLVILTSLASADYYHDFGRH -FSANIFFLAHNLLAGLPANTPTSTLRATFYATPVNSVNIGNNNNVNITPGQLFGRINGAN -TVSNWLNQRIDAMINSMAIRAVNQNNMVAQIQFPTVALANTANYNPYLFLASLDQHNIGS -ITSAMRNMSQWISATFPASKTLRHYMQAGSHETSNYLFAEIALPTWNTTQITITVPVSQT -NSDKFLPTAAPDQNATELAHDSGFLTVPTAPADTDVNGTSVWNIAAERAASTPPANPMYA -SLRSSATRPDPYVNPIPHVTFDVNLHVLPRMYIYAPYVTNTGALGSVITSGKLIESGDIS -GIMQIVPSTTTPLLYENSQFHDGAIRLSRTRSALFHAGIQIHMQERPARRTLDAPIAFFR -GLTSGLRYPVPSTGPVYAPSAFPNSSQARLLPGARWTSHATNFFHTLNVFGRDLSHDLQL -HADSSFNIWSGLRFRYMTNTGPVVYVLPSARHIFGARARSYGTEHPALRLPL ->YP_009448190.1 TGB3 [Babaco mosaic virus] -MASLGEIKIALAVFVLALAIFTLLNSNKRETCLVEVSATLSRVSGQDCRHITPEIIQALG -EALVGLRL ->YP_009445961.1 outer fiber protein [Piscine orthoreovirus] -MHRFTQEDHVIINSRLDAIEEDNKRNFASLKQSIHNNYGLLRSLLGGQGRLNGKIGDLEK -DVNLIHLRVVSLEHVLDDLRADFDAFTPTVGPEIDDKLAPLQKQLKVLNDQLTIMNSEVA -VLGKGIFGDYQLTDLLGHTVGGVAAVTTNSLTSAFRLSDRLPATTVGDFSLSTGVGYTFV -GTAPRPILQVEDFMRGTCRMNLTDTALMYGGSHIPLLQQSLLQLETTVPPGPTDWKKLPQ -MVKGVLWMSLVNYEGANVVPVVVMRKVNATVTTVILPDMVGKQKLNFLVSLDDKINVHES -WNGVIIHGGDFVIII ->YP_009445960.1 non-structural RNA protein [Piscine orthoreovirus] -MSNFDLGRQANKPKTEYHLNALPYLKCGIKNSESVGSVIINFPARFDTAKSVSPLSAMTN -DGFLKFKDPSDSLASRDRPAFNDYVRALQPSPEHPHHFQALDPAFTDEILKTCDPTFNWT -SIKSGDKYYLPAISQALVYRASGFRFNSEKHLEQTGSLLPIALGISKATCALPVLVDSGT -VVCPEENVSALFSKDKLSSLDIQFGYPKPKNGNDSTAYTKSINGYQIGAYGLKLPGGHFL -KLIHILNCMCLKADLDLLSQVPSLADSLNRGMRCGYALLQYVSQFATVDRELLLMSFLLK -EANDPTFHEVAAMWKSVRDGTAQMDDVRFDLQPFGVMASTASLRDGVRIMAMFC ->YP_009445959.1 core clamp protein [Piscine orthoreovirus] -MARAIFSGISAFFANAPYVQDGDTIKHAFLSGDSLFFQGTNTLYPTLSTSYQGDTDLPTP -FTVMYQTAMVRSALFQVPLFGGLWNARSYRDLVFTSQAMLNVKTNTSVTCPPPVIPRPAY -VYNVMNNQRFAQSATARNKVYVDFSITTLFQMDINGFALPLLFNPDDNGIDVTLALTSLV -GQSWSTIVGARYESAGNAAMDIDNPIHRTNRALMLLYLGSACGYFNPTMTWNGFYFRQAG -KPGSWGADLDPILVRGDSALINRATFVRLNRWVVFKDFLWQMSRGTLHALVLGGMICAVE -QPLRGLSVISVLANTVCAPWTGVNGRAGDEVTTIGLKYVAIENLIRSGSYTVAEGVVADA -QIAAWGVRNTDHMDRVRAADDANVLAGVNIRRVKPWDNGGGFQRLAAVRALVNLMAANTR ->YP_009445958.1 non-structural protein [Piscine orthoreovirus] -MTTNITLQASGSLVPASLLGSVPFEYVLNAGIGLVCLIMLSLLWSLINATAIRCGIILHP -EIGHGVNGAISSLVAQMPFLRTQTLTSESSMTNGQKTTVAVQTTDQTDAESLKLSDALET -ICVA ->YP_009445957.1 outer clamp protein [Piscine orthoreovirus] -MANHRTATTTDFSDFIESTLHGSIIFYDDQHNTSSEWIPGTSKFVRVGSLRICVECGHRV -GLSHNAKPVMVTHQCDGDTLWDHSTPGDWTWSEWSYFVTSCANALSANADAYLRILNDKW -TEDNSRGSNDRPDRRGVIEAKRRLRDDMRGIMKKKTAGDLGLTGWLILDPDELESFPDYS -TEMTQLQEDMEELNPVEQKTGNGGKAHVAAANQFPHKVILRPAYGTVPIVMYLDTREDHN -AYLCLSLKTKAHMVNMIRRMCYSGMPANIIKMTQGMALSGMEEMTFRSGHRLFGHMHSGH -TIPVKGTSSLTLTSGKCSHTCQNLLKWSSA ->YP_009445956.1 non-structural protein [Piscine orthoreovirus] -MAESITFGGPSRKLDLVASGSKPITVTVTVGDLGCSIYGTVPRGTDEFVTSDRYLAMCRH -LLVFKPTLNNGTLTHYTAFSAIRSMISPLGFGVLRNVDVVEKQCAIIEALERRGMLNEVK -DAAAELPLQLDVTDTSTHVDPAIIDSLPPLIQNEVAAGLTPLELPAITMVQTAPLITPAL -GMENDDFNLSRYFFASGFIDQASRIGGTVNDEYVKGFMQALPRFNDDGSIRVDCDVLTCL -CSRDEDLSVLTPLSVNTTAVSDMFELSHDHQPMAYLRTVYVEDYIASHLESLKNRETATP -LVLKLSAVNSVTPKALIALVESKATDSIFNQADKRWMIGLDPMFSECWPGAIALLSMLFD -HKVDYWSVRCRFILRSALIGMSDDDARPRVQMMRMHYSLTTPTTWYSTRGVYSAEGRSKI -HYASGDRMRLGLRVGEVRDRQVTMLEDLSTVHSMDVANMKDQVIQKDVQLKALTEAMSQK -DSLIDSLRADVAGLTERAVLVQAEHLTTIADMEVRRVQSEDKARIGIDAANRRAGEAIES -AHLLTEEFSKCLSSDFLMVKPLPEHNQCPVPLLESVWPALCQRYIQNMQLVDEIWTNKLA -DATDTIATEMAEETMRIIAERDCQAMVMPVVEAPKPQRKPRIYEPSDDDLERTSVSSASS -EKKKRVIWSRSATRVPRTDVDFSAITAARRDEHFELGMPREGRYPVHSGIPGSVRATMTR -GLAIDSMSEFPKIIDFGGSDDWDVGVNNVLRG ->YP_001165471.3 hypothetical protein WMSV_gp3 [Woolly monkey sarcoma virus] -MLLTSSLHHPRHQMSPGSWKKLIILLSCVFGGGGTSLQNKNPHQPMTLTWQGDPIPEELY -KMLSGHSIRSFDDLQRLLQGDSGKEDGAELDLNMTRSHSGGELESLARGKRSLGSLSVAE -PAMIAECKTRTEVFEISRRLIDRTNANFLVWPPCVEVQRCSGCCNNRNVQCRPTQVQLRP -VQVRKIEIVRKKPIFKKATVTLEDHLACKCEIVAAARAVTRSPGTSQEQRAKTTQSRVTI -RTVRVRRPPKGKHRKCKHTHDKTALKETLGA ->YP_009329885.2 coat protein [Rhizoctonia solani dsRNA virus 3] -MSDPAIKSIDDVMSYVRKQFEEMRSFQQAATPPVPEKKEARDALGQRDVVAQAQKATTAS -ASKPPGPSSAPDVPVPFVHTSDNVTNSLRLALGLNFRATPVPQFGSNWYLPSYFLSHQIL -DILNRKVFSVRKFYEASEFLDPLLVHVYIQILEIIHTLRAQQQGSTISTENELFLSWFDN -NFPSDTLPVPGTHVTLIANLAASSPAIGSYSNIFPRLPDDSRATTNTNGVPTTNAATGTM -HQLTGRLVPIPGILDVYRTRLVLAINQINAQSPSTMTVGNFNLAHRTVLNLQCLTGAENS -FTGPYYQAPVAAGLPATVAYFSSPGVITAPWMSATAASSFMNNSLYAQAMFGEPSTANRS -EDFLSWQSFCGLDGDTQWFTETARLMTVYCKFVKGSAPLSAIPFSGHMANHVTWSPSSAP -YGPATHRYRERISMAGNGSVFNLGIPEADIADSALAMHNIGQHHAGAPGNANLYRDGPYF -NRLPVLARITHASPLEGMGAIISDMYTTEVPLK ->YP_009440977.1 P1N-PISPO [Sweet potato feathery mottle virus] -MATVMASAKPAGKRKLTWKECCNKWGRAAMEQQQQQQSKKTVHLGRDHLAAYLLAFVPET -EWHKYYYGSRGGPSPALLILKGAIEHGEVYKWESELTFCAECDDVLDGHNCDSCGHRHIK -RDDNIADNMNAIARALGGYDAYYASNWAVYETAKYELDQVAPTAGMLYKQAKEAEKLLGK -RPTRREIQEVEDLWAEYEEAAAREAAEASEASNGHATSEVANKNAYLSDGEDDEAFPPLV -VTVEKVVPATTIIESTPEVGKTIEVQTPLEPVPEVLAATTFVEATIDGKDAPTGSIQFGT -IVCELEPTKASEAEIAKEPTTGFFFGTIPAIVPLPTIPLLKLESTIVEPIATPTVVVTSS -EIVKVPIATPTEVEKASKAPLPKHLYPWTAKTQTPGKVHHKMVRKWVQKTQQAAAEKEKL -VWKKTGRTIGHKERDQKRSQSKMEVGTLQTSQEDQEGQPKTAPTEAHGEGTAIIDGYATS -SSDGHLHCWGSIGESGNDSNSEWEDFLHAFHEEEENFKISQINTRENSRAHAGSSENCVQ -KKDEHRIGGQEVHKRAVQEISRSKLFVPSFKTYGRLKRVSGFKNSHNNSKPRTSSCQGWG -MEKNCKDNNVVQRFKWPGVESRQTVGPKRSCTTRNARGAWGFTRSAIRRTNEAW ->NP_042281.3 nucleocapsid protein [Sonchus yellow net nucleorhabdovirus] -MSTTPTITLADLERIREPYKVLSKTARPENPSGQCTYREYLFSDAVKYPIYKRATMTNEE -IVTFFGKITSDKHTHMTESDMWTFVQCALSLKDPVDRSSIFDKGFWDANHLCADYATAQP -ANTGKVAMSHHNPGVVVTQLVPKYDTGGSSSQETESMASKAEAISFYFAWLTRFSVKQAP -NTINVLYDRVRATYLKFYSTSSSIFDTFRPSNTWLQGLKDAFDTFPRVKNTLILHVAHAE -TYFRPTPKIFNVLRFLFFQNLEFMGLHAYVSIVTIMSKVALPPSQVLSWLRVSGSEMAID -EAFMIMNTLDNGMIDNGHNAERLWKYARCLDQGYFNRLQSSYSAELIAMLAYIEINMGIS -TEVGYNSPLNIYAIANNKAVKEVGRMKADVFIQCKNSVVSLTQDASVIDKVYAAAQQKHI -RSEEAARPSEQNKEDEVVAMDTDAPSRKRRSDALTTEKPKKALPAIIKLPNIPDF ->YP_009428585.1 P7 protein [Grapevine Cabernet Sauvignon reovirus] -MSLYSSSAGLNQKAKTITLTYLLSLSEFRSKLQFTPSQDYGFTILSTPEHWYSIDKAPSS -LFFIVSQSPGASSLLARLLNKAMLIVVDDAMEAEFNKKLESIQSPKLPVIKMEGSDYTIL -FSIFQQLIDLGSYEQILGREDFQAYLRSKFPGVANIETILNLKRPEEVILNVRDDVQSEV -EGHKFSEYTNISKDEKTETLVPDEPKPVESVIAQIPEEFENIILSDEGDERSVEAIIDGA -DLESFMETERQNVKLVGQSCDVEVVSPLTRAELTTLESTKIDVQRIPDEAFCGISPKERE -PTALYQFSNLLIPETRALPPLKQEVTSGEEIINMLKREAEGRHSDTDSSMSDATTDIEDM -SQFMIQVLNDLGDEIPFEWIEEVVSDEENEPRSSDHSALENHSFNHTIRGVHVIPTFPSV -NENLNRVTKCHHYRGATSRSVKDFLRLCESMWRGVCDKRRASKMRAFSTVIGDMLSAYET -GGAQSTIEYIGACLDGNSCETKSVNIIFPINATVTAALLDKMPNLNEESYTEDRSESVIL -GMWSIHPLRGAFSHERSLAESLVFQSEMRLVRTHFENILLTTHHGFQLIKSRLSEKGIVF -TFARGLDV ->YP_009428584.1 putative outer capsid protein P6, partial [Grapevine Cabernet Sauvignon reovirus] -TSQDFNSDRLRQIYLVEPEVNDARAEIPHVAESDQNDEDYQDYERMDQDPQIQHDPIPMV -ADAAIRELVPARMIENVNEYNENVSDSGDDEVDEMVDNDNVQEGPIPQVEDQEDQPAYVI -PRLSTVRSVYLERLFARRRVGEDQYIQIRPRFAPDVVPDFATVMDFNYKTVPQDGWLNEA -GLELSLSGGLINGERIPVSQFDNLISLTIPQEEQTQTNYVAPRPLIFNVIPKRFSPVLLD -DRVNLEGMLLELLQANVLPEGLLDRIDQVCGNYLYGTEPQFLDRLTTPAQRNSQTIVMTV -SGLVCSCYNTCPKKYRNSIVPIEVGRQLAVKVRQLIATIREGTYVDVTTLFANWFRLLYP -EAYMPLTNQLTNSFFHWKRMPAYEDFHLVVPFLEGAEDQQNRPTDLYKYWTPTITPEILR -QSIRTLPFFRLLLDVCSTDANLPFEERRNTMSENMIVYLKIIFHCLSLAYVLYWDPCDPS -YYLIGMYPPNDIKSSVTTKIISKANPLTEKAFI ->YP_009351839.1 hypothetical protein [Otarine picobirnavirus] -MTTNQINFFRTQNEKLAAERSAQQHERELNEIRRSNLAKEQEMRRSNMAKENLGFQQFNE -SLRHNKATESQASSQLREIERHNRASVGLGYSNLSEQHRHNVASNSLGYANQFEQNRHDV -AQELESQRHNTTTEQTSNFGTIGNVLLGVGGLFTKSNKKLKR ->YP_009337366.1 hypothetical protein 1 [Hubei picorna-like virus 66] -MSVNGKFWNADDSEEEFDSRGANDFEEEFDIDLFENRRPKLGACDEAPKVEGKVYYTRSK -TGISALQFRKQKRKEQQETKERLAKQREEERLRLLKLWGEEKKVKKTKANTPKKVDHVAR -SVSNTKITNVSFETKLRDNTEIVYDQRILYNADSFVEGMDKLKVAQHLSRDVSDEQLPFL -IKEHPLAVSKPDIHNLMIYGKSSLKTAIVVEAKPAEKRSGKAINKERVNLSKRNFNFGLD -GKPLSSQTNIQDTMGKKKNDIEFPQKRSVENMTVKDFLIENNVNSEKTGSNNNARKTADR -KTEKRISKNKREKMEGKTEIELTIPQSVQTGEIELSSELLGSETSVVKDDIPMEQTIQTV -EITTQTKEEDMEVKTEIETAQQVSVETPKSDEAKPQPSLLEIQISKLLEEVASLNKTVQL -LLEKNTALENHNTVLAETIANLLENNSKEDKKLEEEWKAIEKEKKSIEEEKRSIEEQKLK -IEPSKEPSKAPILFEKLATRIKGTPGEKKSPKNPNAEAREKRRIPFVNNGASKDVPVKKQ -EKTVEKKEEPKQEIELVEEPTTSKTPDSPKNTGAIPKIPKEEKAEELVSKFTDDGNTLKV -NLDLAQTEEEQREVIGNIILNDEETLKKAVNQGVRPVNTKTVQASFAEELAKGLVRSKSF -VHYSPEKRAVIKRDPNKPKGIYWDDWERIKLKPKDVQERAVRKAYNSLFYGLCTRLRQRW -EIVSPEKPNPYLTKPSFIWNRLATKSIGDIWSCMEVWRKGACSYKVAGIPENWNKLE ->YP_009336645.1 hypothetical protein 3 [Hubei tombus-like virus 22] -MRGTYHLTIIGCLCSMPRRNSTRPTNGAGYAPVSLGTGIRANSRTPPMVMEGTDRLGVVN -WEGALPTGSVLFNLMITAGIFKSLRDAAKTRQYIQWHHLSFKLACGTNTAKDGSYVAAFV -ADPEDELNEDPELAVEEVAGAPGMIQDSIWRTRTVEIWRGGRNNTGAAIVDPTKFGHYTS -TQGEPRFFSPGYLRVLVDGAVGQAGTMVLYCSYKVTLHVQARQTDSEEVEVPRYRTTVNA -FVKSGNNRLEFNSSNYLNWARIFPGYEKPEEDVWFKTDAPLLMCSGKDATSLTRYYIYSP -YVCYGHEEDTFNAYPLPGMKTFIDGSVPAAAKLGALEPVITPSSSSIFYQAIPAGSEWEA -VDVSDEEETRSKVLGSIRTLPSGGCTNVPPKSKLVLKKIK ->YP_009336643.1 hypothetical protein 1 [Hubei tombus-like virus 22] -MEGRKPRAGVRRESDGGSWRAHVHSGEASRERIEDVEDEIARCEEILRSSRSSWRQAAPR -GAADSKRSGQEGRRSGRHDQGASHQGPQGSSSPKQAARKGREQEDPVQPQSSGSSSDGEE -GQPPEVPALAIPTRDEERSESGSGSSGEETESEGDEEPAQAGQAVQEDATEPVDDPDDCW -DVGGGANAGCINTGLVNFLKAKLAYKPRFKNGVLDQALVNYAVAQAQIYMRDHPARVPED -KYEVFASSIMQAIRDISVEENNLRKLELEAAALTRHTNRLTVRSKEFVYDTGAGRFSWRY -WFPKASLVRGVPTHPIEEEEIEMGGRWVDIASVFRRAIRQPIVHTTIPRLLGQEMLGFLA ->YP_009325420.1 hypothetical protein [Nigrospora oryzae fusarivirus 1] -MSWEDSDDPRKQQAKEYPITGLIVKMTDLKVRYLEVNGDTFKVKVIEELRQIGQAGIKAS -HIEAPETLVALVRNAEQVPASFGKSGVVMFVKYKTRESDYFMVPITELIPGELISRKRFP -SSPLTVLDDKVLEKMGERNLETVTRVKSVIVARLSELSVFGYWDLLSPLTPVVRDASSWF -FWKKDSPAPQFVTSRTTDKEELSKRGEIILAALKNAKTEDRLKEDAKFIIVWILRKMDSW -SEAGLQRVCGFFNQIGGSWQKHHDLRAFDYILKEYGWPVDSSLPPDGKMGLWLASIQSRN -SRNGFSHPKLYKTSVNLKTLKKRILRQARSSLTPQQYEELTKLGLDTSYNPGTSTPPGNS -KLEMIDEEAPSEPGEEKEPGENASEDEGPQVNYNDDPKAEDAWRKAESEAEKSRQARLKY -ERRKETFYKKTHEFQTQFTKTKKSLVDKYVRPFITTAKQSYNSFVKGKP ->YP_009422198.1 ORF3 [Bastrovirus 7] -MFSSPLWEILLTPTMQAAIRVLTDLGVVPVVRSGCHRVFVPGGLGHHPHYVAKWFYTIQI -DPRPGTPAFPATITLAIGARFACREAAMSDAALQIDPLELADHVLIGPSDQQRLAFRYWY -YEALQSQLDLILEEPVD ->YP_009417300.1 capsid [Australian Anopheles totivirus] -MTEVDGSQVADSSQSVDRVAFVGDEGFTALAESVGEIQKATNFAGSWEVVGSSGAKKPAA -GGPGRRVTRQALSGVQSHSNDIPKNDPDQRGAGNGGHSRPGGGNGGGGGSRGDGPSGGHG -PSGDGHDGGGGGGGGGHPGNGGDPHPGRSVGNGGPSGTPSASTGASALLAKLFTEPLRDP -VPSGTPFLPCNTEEVVSEREGKGTQYSPVMWADPLIPINVTIGSVHSAINKLPGVGVSVN -NKLDMVEPLLTEQGMMLKSLIDSESNPSLLRSMMPVKGMKDISALISLMETSRRSGIQAM -SDEAPLIRLGLLMHCLAPVEWMAYSTSCVQNSVANGYADVAGAKRSWTSAPTNSTATQIG -TDAGSSNLYWRTLSQYARLVNGSGTKHDTIYNSKQEEVPVDSVKFIPVKMSWRGQSWLGP -YILAHTSTKWWNHSVQVEVPVKIHDQKSSTNKMKITCMPKAATVYVPGSYKYICLVITDV -VEASFPTTEAFYIGNSYKALYNGEFGFGKIGHKILGRNNESPARAASMSDCVAAWRVICK -GLLSHVNPGAIEVRLAILSTSRFAGFSVWTDEAKPKKKTSDVEEEYSSLLRDVGMDESEA -PDDFDGWLELLAEASAQIGEAWDARGVVTVEKIRLMKKAMSIKFGKQLEAEEDVDHIGGA -TCADPKSKVTIGDHDLPDWFSQYNTTATMPDRVKYYTWWRTDPLHNYSQALISCTDGEKP -ALEKFESGEVQYEVTEANDLIRILSYSGVYMDNSSCAGAITEAIDILNRSVGFGSLVLAV -TELWRATCGLPLLDYNRISTSWKLNDPQMRKLISLVTLGFAVESPNTTNTAWTDTDFEIV -KVVKEAMGITDDKWVDHWYGGVVPWWFVQAVLTKFGGQMAVRTKEPTSVKLNVDDDWLDE -VGYHIKANESFATDISVLTSSIMYEKKVQRRASPFFTILTPTKGAHEMKVHWTSWYYNFV -NDLPKSGLRRRMKVTAPDFDGVVELNTMVFPDSRRFKGYASPEAFIDGTNRYLLPLDHCV -MSGLTWPDPFVDWLKQGLVAIEPHLLNLDVVGAVGAALGHVNKTIIDWLNEKIHGSGSFR -DESD ->YP_009417303.1 putative minor structural protein [Fathead minnow calicivirus] -MAAALAGALGGSLIGSISTLGAAGIDASNRLQIQRSDQAFQTQLLDRRAAALGEQGIPES -AAYLSGGGLGPGSLGSVTMAPFGTRVASSGYIGPWLPMSAASVRRGQGVAGLAAPRR ->YP_009408641.1 capsid protein [Heterobasidion partitivirus 7] -MASEETTPDTRDVVAETPSAPQTGTKTHVKSKGPRATGTPTVSLAAIAALKDDAHHGKGI -YYSGSFVPDTRFYVLAICTFIGRLFSATDYESSQFVTPPALIAYYLYCLYFLLFYRDMHS -KNPSRYAREFTDFGRYNQLLKIMESVYIPDEIFSILQNFSEWSPELLPNFQFVPTLSSTL -MLYDLPYLMHPLIFLNGHNTLFNRADSVGHYSNFLKAPIFNMTSTSPTIAARVIRVANLI -GCAYNNASNNIVLVQNWLSRVILPFVDPATHRQHLRRTGIAKFNLETIDSRPSTWNPYRF -LFTTESAANFESFLDCVHASSEFNRDQLKATKKMSDLFRSVPPAPGSYMIMSYSTPTWHL -ADLDASHFPAGELTPGSFTTLHNEHTRFGVQITSPTTNANNITVPTTDDGSGPATGFIEN -LYLASKHTGPAHNSASMGFDIIQRNEMKHSMPNMLVFSPGDSTVDAAIHPMVSGLIVHNG -NIDSTAVRTPNPDDEIPYILSRYYDGFMSLRVIRPRFALRTTWLIARTAMESVSNATLGL -LWRSDRFQVSRIDADHNAPAAGGFMRMFPFYFVSNVTNWIFGNNMLSSNTVNEPTDPAHR -QIADAWSSFRITDEPNALPTVKNTAFGINHGEVLFGRNSTITKFSHPADLLRRN ->YP_009408595.1 P4 [Jujube mosaic-associated virus] -MADAGRSGSSGPSPIVDPDQLTPLLWLAANYRDRLEDLSARPIAADKYDQIAQRARATHQ -IAKNAVLATLEEMSQVLEAQKNYFQMSAIKDNYYGDLYPLLKQNQEAFEKAKQAVERTLE -LDVSL ->YP_009408588.1 14kDa protein [Abisko virus] -MLDKERLGYNTIMKGLISLIIMSLALVSANGDLEDFASALMTAVTSQKSFNYDLSGVAKV -TKMGGSYLYSGSLFCKDPQALIEKPEDLFPKFQRLAVTGYTFRAVEVLTFTSTSKLPLPA -WRVQVKYTAAGL ->YP_009408587.1 capsid protein [Abisko virus] -MRRSLRPRDKSEQSKRGRSTNRDTSNRSRESSVTTREPSSSRESVDEHISLPVAAPLISS -NPIILQPSNMTAPVVHNYSNWTIEHDLVSTQNFVPLLDLVRCLTVAHRIPFAIKARRDEA -RETLMGLLSVENPAFSKERRFPDQPLVNVSIGIIADLLDRVTSALDFGERYLADIANSQS -TVKYGSTDDAKLSFYRGIQRLLDILVKTRSDSRILYGVFDRSSFEAKYSMRWV ->YP_009408175.1 TM-glycoprotein [Morelia viridis nidovirus] -MSRSVSRLLLVIVNIICIVNVYCGFNGTNIEPREQNPINHQFMLWNKLNFVCMTCFNESG -NIYYYHPKINFINKQLLSASVLVKLIGVEPTLQFYHNNKSFVFVNNTNQVSLVGEKFLVN -ESLYFDGMGPYRLSILSQMNKTNAIFQFNTTSNSGLQVYDTHYSWLDSPFNSTIVLGPLF -MGPHYFRFIKYANGTASPVVALRFNNLSSLGYPRYFPASKEKVCPTKAPIIVTQTCKPEI -KTVTIIKTITSVKEDKKVLMATPSEPPQLLECKQQLNVFIVLFVVVSCLFLLVVMVMMCR -YTKQAQKQAKSSVY ->YP_009408174.1 N protein [Morelia viridis nidovirus] -MATYYPISMSMPMQPQPALLPPRQRRRRRVRRGNVNSQNVSKQIKELTQQFSKLIPKNKD -SVHYSLQASYGQEQPELLVQPTLDSDVRYRIAKSDLSKLTTDVKKRLQAGAGSITKNPNG -QVTVHLQFFPPGAVGRAFIPLKHEGAETEEEV ->YP_009408172.1 minor M protein [Morelia viridis nidovirus] -MKYLIFVGILLTGLIYGVVSSNSISATPAMTLFATSSLSFITGLSTQSTHTSKSNTKRIT -AKPTEAEFYVTHCQCPNYCKLNQTGIGVADDVKFNSTQSVLFWSTLVTLFNPSVICVQVN -ETCGNKNYPTTSACLIGFGLYQPTPSCSKQVRLRISEVPVCQAVNSTVIKVGRKSSVLRG -ITIDMSSSISSGVLAGGILIACFITSFIILIIRATLSSQPNITIKIPKASVY ->YP_009407930.1 p46 [Citrus concave gum-associated virus] -MLKKMFSRSSSNRQKFQPSSQTRSPVQEPETSSAKITKNETVAGDALNKAERQLYQFNLP -INEQGFDDLVKEYTEGTRFQYVALSGKDEETYSARIRRLVTATPRIGKEALPQSKLPQLK -LYDDTEQFNLSLAVPKKGKQYVRLSSVMAYYCPLVSSFSEFTKVSISLHDSRLLSKTCVQ -SADFNSNITQKVELSLDYCIPRTSCSKITLNIAREQKFLQEGEEWATVQLLIRLEESDFP -YCSNLKEVTAVMALPPAVLDSYNVNPNHIDTTIVETQRRKIRDMYESGDIADENEPIKER -LGKVSYAKSSVAPKVKGHNTNKSFGSGWEGMQFRDPLVPKDQVSDNPSDDGDLSIPELHH -SGEIEVTADDVSSLKGKGKMEEQTPLYKNFHGNKSKKQGVSFNEFEV ->YP_009407931.1 nucleocapsid protein [Citrus concave gum-associated virus] -MADKINNPSTQAEFEAIYHDATSQDQAYFVAYFKRCSKALQERLKKEISARKLIGAGPKF -ATRDGDDGQEPENKGDEADSSNISDIQLAEMWQEIDLMDVTSIDSESLKVFDHQGFKPNE -ILKSLMVQARKNKVSKEDFKTDILMMCAISIIKGSINEHNFKKLSTEGQTEVQRLEKTYG -IKRGSGRNEPANVVTISRIGATFPGKIIQLFLAGKVTARKFIGPFKSHTLPQYVRHQAFS -AVIPSSLNERTKMYLLDIITAFSVDQSICISPNKKEKPDLSNLFEKQRAFVQTTSDAEYP -PEIVRKKIFSTILLDYDQLLSTARAILKVFPEFVIVSKENFNADINATHI ->YP_009407929.1 hypothetical protein [Actinidia virus 1] -MESEQVVTERQLLLEKMSAEIVNNGKDIIRIVDELTNPDPSKLHSAYYKILHFAPHDVVN -KVVNNHLRSSQLDLLVGKRIEMISEYQITRCLVTALEPSRYMSFNSSTMYEDMLRLNGDD -WRSTIAHDEVFGTM ->YP_009407928.1 hypothetical protein [Actinidia virus 1] -MTTLLLFFILLVSLVIIMLSGAIYGVLYYKSNKKLNLSDSLSYVKEGALVISPFHDNGIG -TGGNRTSVVT ->YP_009407927.1 hypothetical protein [Actinidia virus 1] -MSFKGHSPPKKLDKASQISVTVITKNCKYYVSAEVHWHADFWLIYYDGEHSYSYFSDRNT -NRISKIKLFGDWFNVIKHNSVRINYVSIIKYNHLERPDDENDSCDYIIIDDKMLCIYNKR -EPKVSKYHLVTEINPLENILDEVVSIDSYCVIYRIPI ->YP_009407926.1 putative coat protein [Actinidia virus 1] -MTTKETNKANVTSTSSDVTHDFMLGELGIDVATLTKNVDKIKKKGFFELNTNRMYNKDHQ -DAIHKGLRESIHSKYASLMANDDTVWPTLFTQILCRVAIRQTSTKTNYSESINYYGGKDF -DTAVAIPDRDIRNFIIQAAHDAETHPNPERKFFRAYSGMWLKICQAGGDRELENTSLAAK -WGLPQDYRALTPDFMEATKDMSDEYAEALRLKTREAVSSAPSVANAPLLNTSLLSRQFTS -GYH ->YP_009407922.1 putative transmembrane protein [Actinidia virus 1] -MDELLQVAFSMLFLLGFCLLLCTCLVGSFSVYKKVSDPDAATENISRVGRL ->YP_009407921.1 hypothetical protein [Actinidia virus 1] -MNCFLRFSRVYDNWVAVVNAILLGLKVYCYLKSNVTVLLVLVIDSCMDMIFQVLNMLGNV -FKTNIFLIVAYASVILTQIYPLHLVSLSLLKSHDYKTTNTCQIIIITLSMLVFQLVVKLF -MYIIGKANFNTTGNDALIADQLYDVLTTVISIIFFCMIIRFNSPISNLLDYWGTVALVLV -SLTFWLNNWYSRSDHTTISRTTDVLSSNSLSPVDSRDILPIVVN ->YP_009407920.1 hypothetical protein [Actinidia virus 1] -MGALFTVYESERHVAYDNRDRHPSWGSSCHYDTYYNNCGSGGPYGRSTDSLISYYNFSEM -QQHLMRRERILSENLIERDKLYDAEIRKRNECYAKHKRRSRKRFSLYSLLYR ->YP_009407912.1 P4 protein [Wheat leaf yellowing-associated virus] -MQGGELTVVDQLGQWSWSGLPQNLEEYDDVEHQQEEVLCEDLEEEAIGKFSLSRLTISKP -IHPGSSSSGRTYHSTQRSIMEYSKPTMSIRSHHSLYSITHAPPQQLQVQSHLKWIPRAPK -QQQAPRLSRSQSRAIQERPSPPHSSGVKIS ->YP_009407906.1 P0 protein [Wheat leaf yellowing-associated virus] -MLNAEITAEGFVFTGPATSAPATHEDVEHFLVTYRRSLFLWIHECSPFDTIRVIHKYGSF -HKWGLAVRAYLCAFIPIILLPGTTINAELISADGIFRKSIYVWLARFKQHYRIASRRGRC -LIYHKQPDGSFESNLWRAVWAPELQSVERFKRITERGLESFKTALNLDILPLERVHKIIC -GKPALDDDQVISILSWYDDILDGYDSEDNPVETRAGMRLFGCGFYNDLLDVEKDLLIHSF -MVGWSSTHSPFYDNTLVGQTADQIRIWGEQWR ->YP_009407717.1 P1N-PISPO [Sweet potato virus G] -MACLANGNVAGKKKKMTWKECCNKWGRAAMEQQQGSRGPYTIEVGKDQLAANIFAFIPNT -EWHKYYVARIGLSSEALKLKYAITFGEVYEFDSSFNRCPECDCAIDEHRCDECSIRFKKS -DDNIMKNMNETARALGGWDNYYAATWKQFEAAKDDMLEVAPTAGMLEKRAREAEKLLGKK -AKRHEVAEVQQLWEKYEEAKEIEAEEETFFEHEASLLMQEAPKTYKDNFPQLGKGSEATL -VVEKEVPVKEASTGFFFGEIPALVELPKIPILEILPAAPVLNLNGELNEQTQPQGKDESI -DEVEKDQVKPVAMIQSGFKKYELVGNSFQRVKKLPKTLYPWGEKSQTPGKVQHTMITKWV -RKTMNQQAEREEKNLECMGENERNSFCKEGRTESEMEVGTIQTREKDEERQPEAAGKSKE -EQAANSIGRTTPANCLDHIYCRRSSSEPDGGEEATEWADFLNAFFEEEENYVKDQVDRTT -ATTADSSCTKNCEEETAMRGNYWQESDKKQIHQAQRINIFVPSCKTHGGYPKTHRPVYPR -AKPPCYQASSSACSMEENSQNRGDRKRNERFCVESAENHRSTWSRPKRTFRGSWGFQRNT -I ->YP_009389537.1 ORF1-RNA2 [Squash chlorotic leaf spot virus] -MDLIDKLDISRERQDFQKLLKDTKWSGTVAIEAGYLSSTPTLTLLSEQPSGEKEIFLSLK -WTSWIPQPVGHLLLPGEWSVTDVEIDGPLVEAACRLNAVYSASETLFSSASTSSASTTQE -SAQLAQLTQTNKTLETDLALLRQKEKELQDALKTLQQQNLDLVTRISQFTNATSKPSKSS -PFEKKKTVLPPRPWDGQFDFSTFKPWASDPPAGQISNRSDQQAPRRSHRREDC ->YP_009389482.1 triple gene block protein 3 [Vanilla virus X] -MHSLDTIVLGLLLLGLTLTIITIIQPKPCTLLIDGSQVLITNCKLDPSILEALASIKPLQ -RG ->YP_009389476.1 putative 8kDa protein [Vanilla latent virus] -MSYSLSSYFQPQYILVAIVALGLSYTALTVTGNFLKPANCIIEITGHSVVVSNCPTDQIP -HLAKLVESFAWSSHGLH ->YP_009389464.1 hypothetical protein [Grapevine virus K] -MVYPHALSFSVGREVQIESEKIEKALLLLYSLGYDLDTILLTREQDSDARLEIRSAWLSA -GLPCCLLGTLGRTEFVRLGERSEREVALELLNCLSLDYPLLPLDCTRLEGPVKVVSKNGQ -LSCTWGLNKRETVYGRGSVASDHLFKKGFAARIAVESWVTR ->YP_009389422.1 TGB 3 protein [Euonymus yellow vein virus] -MRSIEAICIVSLSLALIVALTWQAPNRCFVEISGAHVRFVGCAESPQLWEAVKAVKPHTH -GLSYQDSKVQDVSLESRMVRRPGTRRQDKDCGF ->YP_009388624.1 PIPO, partial [Cucurbit vein banding virus] -EKIRRNVVKFLPRIEFLWKVTICHGACKIFQICDKICWTERSGRHDKVMQALLRFCGLS ->YP_009388599.1 N protein [Olivier's shrew virus 1] -MAKNNKPRAKNPKNTSAGDVVALLATALRGAQQPKNSKKKSKNQKQPAPAGVRFPLALPG -DLRPTLNQRDYAAVRSAVVTCMNHGAGLMRLNGDRIEFSVDCTPDRKMLERISSTSSSPA -S ->YP_009388596.1 GP5 [Olivier's shrew virus 1] -MKCLNNSARPLTLWSALPFFFIFLWCGGVQVGIVGASNTTSHSLKYYLIHNITLCELNTT -ALPGGYSFTYVEEYWVIAPFICYIMGFTAKTLSLSCDLLVVGPLFGVAAHMKAYYLMVML -IPTSAILLGAWLYQVIITFMTWRHACTRHTSFVRSSDGQLGKINSHVLLVKGGKALTNNG -WVKPDLVVLKGRKAVETHSVPCDHYA ->YP_009388597.1 GP5a [Olivier's shrew virus 1] -MFKQLGEAIDLVVGLAILLYFLMVWWRASRDRWRKQYDLSLAEVLSHS ->YP_009388595.1 GP4 [Olivier's shrew virus 1] -MAFSTFLLCCACFWVCKSLAGCVPCHIGFVVNGSFHRYYGLKQTDVLKADLGYGLMFDDT -TQMTIKYNYSVHSRGLALLGLLSCLTYGLHELEMHGHAQIGSILNRPVVCTDRPFHDDLN -TTVHHSVGAWTLHILSPATIKWGTVIICLVAYLIAVT ->YP_009388594.1 GP3 [Olivier's shrew virus 1] -MEWYYQLCSWCVVSLLFCTPGYSNHGSPDVKCNGLDKICFDFTGTGDQFNASAVVDSHGR -YSNKPGWPDFAHAMTKMYVDQRESGEHDVQHQKLMEKMLLVVALKLSVFPNFWGSRNGTI -THTYINLTHLCWCGHLNNTFKHYGELSILDYKGIPFTWLFQPFYCAVLVFGCAKALRGVS -PAT ->YP_009388593.1 GP2 [Olivier's shrew virus 1] -MGFKRHLRSSSSPSWTWWSISLFCLLSSSSATFLESLSYFAANVFAKREVNLTTSYHLLK -QYEAFGSYCDTFASAIVTNSEDTARHGMLQVFKRHLEHKTETLCKYYIGYEAIKQGNIYH -LVQSKIVPWLHWGPSFDCYNWNVNKHLPIVCGSLLYFDKFGRMRLGVDTNCTNYGGTPRQ -HHYIFGYGNASLDVPELDHLLRGSMYQALVPMCKHIMHVYLSLDHLDSVHPDVTFNFTAN -TTVYATWNGTISYVPGVLYHYYSAHPAIATMAALTLNAMVWTKYASILQARVTSLMPQQL ->YP_009389248.1 NS4B [Karumba virus] -FELDLMPRTFAILSRVAATGARFDTGPSVEPFIVTGRHVTVELWVVIMAMYLTALIIAPI -LKSYIQGKSIAAVFANEPVASAYIGGMRLTTIYALQATICVGLFYFHANLPSCVVASVAS -FLFLMVFAFDVKYAFSPAVVRALEAKNNKRDTDRPSLERDEETKGRQLYYTLSVVMVALW -VTIVRDQLTFVTAAGVGLHALMCLIVPDHPFHRNINQGIVTMLFGFLVEPVKWTFIVGFF -LWGVMHYTSPNSYR ->YP_009389247.1 2K [Karumba virus] -SYVDHTLSWWVMVLSCIVAGLVA ->YP_009389246.1 NS4A [Karumba virus] -AHINWEGLAQGLWHVVTKSDSSMFKVAFQNTMERLHDLSRWDDDSLRTSDMTESVGTWII -VMLTAVSTFIISLLIFACCRCCKSSKTTRSQEVVYTTTVAERNVSGLWSSMTVPVLGWVA -GIPGPILFVVAVCLGLVCAFMCNSATR ->YP_009389244.1 NS2B [Karumba virus] -APAPWRSSGRTHISQLAVYLLLLGLAYAFHLLNLEVLAGACVLIGFLWILMGEMLTSGEL -ELRRVSALEIPQGLEKIVIDRDFQSEYGRFTDAGVKLDNFNDETNVKFSLLSLGFVAALI -TVNPVCGLTIGFLIWIFTSCPLAKDLAMAASCFR ->YP_009389239.1 pre-membrane [Karumba virus] -KTMNGIMDKGEHVWKADWNVDFTNVKLPKDFCGSGIHVEKMCPQVDSLQDATIDCAGRHD -QFLLSYTRCAAKNRVKRGEPGVVEPKVTNIWTITFNDELNSIIERVSGMLKNNRLMATAV -ICLVGAFKKWPTWLVVLLVLLPWTVVQA ->YP_009389238.1 capsid [Karumba virus] -MQVKKIKMRGGGGGKLGIKGIKTSSLRRALNMVSGDLNETLLKLVIAMIAIWNELLRNLR -SLGRRVRKETRQRTGNNKHVVACFIIGLMAALACA ->YP_009380547.1 p6a [Rose rosette emaravirus] -MLVLHQSWLLMINMILSLKKLCIIIIKTKTLIRCNKYWYCSISLSLNYNSLQALVSFIMF -YI ->YP_009380527.1 ORF8 [Coronavirus AcCoV-JC34] -MYCLLLLLIGSVMGAPTVTFIRSKTLESPQTPEELLTDFKFLGDFVPADTADVITSSYTA -EHTEIRPWDFGSMTEDRKRILALFKCKDLMQYVEGSVPEICNQLS ->YP_009380525.1 ORF6 [Coronavirus AcCoV-JC34] -MILVFLVLIASVGAITDSKSNCSFSFSSLLVDGTVSFDTVHRVTFANCFFNWEDWFGCTS -DCDLMAPLLSAFMPANTSEMFQVCNGISVPDFDNCVSGFVMRYGALYVPDDTHVKYVPEK -NECFGQLKFMNNVIWFITSHLTNEICCSNRIAANPFIPVIENNNFN ->YP_009380517.1 putative P7 protein [Peach associated luteovirus] -MIVNLTQGAHLALPGGSYSSWLLSQISDCGFTEACCAITTCGAYTPHWL ->YP_009380516.1 putative P6 protein [Peach associated luteovirus] -MTMRLIPLPRALPPIVVCLVVDSLVRKRGEDRCQVVKVVKTTRWILGNRQSWIILA ->YP_009380515.1 movement protein [Peach associated luteovirus] -MGKEGTRAQMQNQLWSTYNLEDGEEPVEVLQEETELLAPDLETTATGSLLQWTTSIQPPA -GSLNSDPTFHSTQIFQMAYSSPSTSIKSRTLLSSMSRTRPAPQVGHSLSRLILPGNKPIS -SLGLYPSLCQKGSPEGSRQGSFVDSSGTPLRRINSGLSTRETVSRRTSRANSLSPSM ->YP_009380512.1 P3a protein [Peach associated luteovirus] -MDFKFLSGFLVGFLVSVPVSIISFIFTWFHFTKKVQNISDDNNSPRRIG ->YP_009373262.1 P0 protein [Grapevine enamovirus 1] -MSSEGNSEIKKICEQSKGFSFSRCDLNSRDFLIESCEKILAVLEHHVSQPDSHHDSCFTA -FLYFACLLMLTHPASWCSASRRHLAYDATLLGGFPLICGFRAEDYDFMGRLGARFGVINS -EPIAVHTAQGRGAGIPYAGLCNFRNESERLFAYVGWYLHLYRTSNGGNSVQVVTRLQNNA -VSHVLSRINPRDPADFMWGFARVATMANRRPPHMLRSRADNVLLVRRLFDICRGDVQTDD -HHDLNGYGLASLLGNITTDCAVSCPAPYVADLDYPYIDTLGLTDSDEDDDLDINEAGVEV -PPEIEPDNWGGLFP ->YP_009373229.1 hypothetical protein [Agave tequilana leaf virus] -MYPSVAKRYSVNSLVQHHTDLIVYGISLEVGLEYLLRSEKFLAETELIPDFIEWHHRTQK -YRYFTVGVESEVTLKYNPNEVLSTIEFIEVKNSNLLSLVGFVGNTNLVHVNFSGGFIHSR -RGRIRTNITLGSYMGLIKRIEGCVCYALADE ->YP_009362671.1 putative TGB3 [Alfalfa virus S] -MQAPELVHTHASTSCQQSPWYSSPWALLLVSGLSALLVLAAANYFNSLPGSHSCLLTITG -HSVSVSGCENHDVPAIIQSFAWSGHAFNRQLPGVIHSD ->YP_009362255.1 phosphoprotein [Oita virus] -MNSDRAKIQRLAKALPWENVKINIEDIEDSEEEIDQKIIVTEERDLEWTENPIKEQVEPF -EIQEEDSTPTEMGLSACEKEKEKEKEKDTAENKGIEGSGQGCSKRSKRGKGEQRGKNKSE -ETSAPSDSATITNPDIKEDPVCALSVEEDALWREEKRKEALRLQSDAIRVERVNYNEIKT -QEDLDDLMTEVIYKVLECTGYCARSDLTSIRRDDIRVYYKESFVKEAERQTEEWKKRLEE -EIKDKTCKDNLCDPDSKREENKANPKDQSSSSIKERHQPQIESTDEPNPRKEDRSDNAED -STAIQMQLETLLQEGMCFRKKRGGYMTVSHDNPKLKNIKLKEIALSSGSVKEAKILLLKK -AGLYQGIKLLCYID ->YP_009362250.1 hypothetical protein [Sweetwater Branch virus] -MELPKSFNLQIDYNNIWNQISSRISPIWHQIKKWSIIVFILFLTICIVKIGKFIFQCIKI -FKWLANSVFNYMRICFKQLSKKIKPKQSPQKTIYKDRSKEVILDV ->YP_009362073.1 nonstructural protein [Madrid virus] -MMTGAQLPLLLILNKPTMNLLATTGRASASIMLEFSSSARMRLNRNCVRALRRSLCLNLA -VGRSRLLIIITPEMHQTRLQIIV ->YP_009362070.1 nonstructural protein [Marituba virus] -MNTGRSSLLRILECSSSVRMRLNRSSVRVRQSSLTLNLALGRSLLLTTITLPTQQIRSLM -VN ->YP_009362048.1 nonstructural protein [Caraparu virus] -MTTGAQLPLLLILNKPTMNLLATTGRTSASIMLEFSSSALMRLNRNCVRALRRSLCLNLA -VGRSRLLIIITPEMHQTRLQIIV ->YP_009362045.1 nonstructural protein [Oriboca virus] -MSTETSSHLRILGSSSCARMRLSRSSVRVRQSSLTLNLALGRSLLLITITLPTLQIRSLM -VN ->YP_009362014.1 hypothetical protein [Kibale red colobus virus 2] -MLKELGEFADKWFINLCYVYLLIVSLYLAWAIMRRQQHQRDAFLNSQRIHLSAVGNDC ->YP_009362013.1 minor glycoprotein [Kibale red colobus virus 2] -MANLEGIYICLLIAAVRTGDSTSSTTTASSTITSTTMPGVTADKKLKCYPCYHNNSLSIN -HKTHGDLSAYTSSCGAHVLAGIQSLSTHTTINFSDVYSAIALTDCILAAIHLAAKNQTAH -FTGNNTSIQLCVSTLPVHYRDFHHFTPGGARFAAAIVVLLVYLSSRRRKRT ->YP_009362012.1 minor glycoprotein [Kibale red colobus virus 2] -MENHHVCRAALCSWLLCFLFCFVSGNHSTICFPVPHHYMVVHINNTLTTCSAFGWNQYPQ -TVGVCGHESRGTFNIGQLPGTHSGNESSSDSVEFQLDTNFDLRLLVYGLTHLQHYPSLFN -SSTAIIAYHNTSICFTNGDVDVGEEELSEEGEDEDHNSTNQTVPWPTLREFTYAFSSPLF -APAILLALPLLLVQL ->YP_009362011.1 minor glycoprotein [Kibale red colobus virus 2] -MLSMSCLYLSLTYSFILLSSYSPCVSGNSLAWFSKLYLGGEAQLSTLNPNALYSLLSHHC -HHHIISYPEHPLGMIYADTLSRLQADLATRHLRAHPVDLAVNGIREHFHYRDQCSHLTDD -PQKAMTPILKSLDHKLTFLFQRACQELMAVRYYIASNQTHHLGSPWRTIMYVELHSAAGF -CVFCSALSLVIILQSVFPCLTTIW ->YP_009362005.1 nsp2TF protein, partial [Kibale red colobus virus 2] -LASNPISWLFCAHQALVFRCLFWCLVTSFCSWLVSLHWRQAYGPYQLRPEPGFWQEDIDL -CAPLLLLCCAFCVSLLSFQRLRVFANLMDLIAVIASPLWLIVSVALSFVTSALDRERVYC -YSPALCITLNLLPVCCMLFYLSLTWLCSAASCLIIGYAYAATGVAFGKPQKRSCLRLFLS -AASLALRFWIYVIVSPDPQWTSSSKPLGGAAAIPDASILL ->YP_009362001.1 hypothetical protein [Fukuoka virus] -MMRRLSFLLLLLLIILDWILLLLWVQWIFTPRKLSRCRYPWKSDVMKSLGHWMRSSMLWK -CGWTRIPALSGKFTWILGVICVLLFT ->YP_009361994.1 hypothetical protein [Kern Canyon virus] -MSVKVSSESGKEMKLLADKATQTKWCCCKRNVGVQVTIRGQRKKKVTFFDEPEEFIYIQG -EDGLLHLYEKEQVSIGV ->YP_009361984.1 hypothetical protein [Kamese virus] -MWREWSAAFSSQRLNSGLQRKTKLNVLEWLALVLCFPLVFTTSSVFTFNCGMDALQWLST -EKRSELLSAHRSTPETPWMGSAMSKNGEKPTTHIPPPGEH ->YP_009361988.1 hypothetical protein [Kamese virus] -MGFNFDVDVAKPIQGAFKNLWNDITRFFEPFLTWISDIGKWALIILLVIVSIKILIVIYK -IGKCLWKSGLCLKRCFRRIKKTKIRKKVSLKLRSKRIKKKRIL ->YP_009361964.1 hypothetical protein [Mosqueiro virus] -MDTWRHHCPHLVRWSKLISWIKLSVFMMDGNMMIWESLIRLIIKFVCIHLQWKILSPLWS -TGFLLMSATGII ->YP_009361962.1 hypothetical protein [Mosqueiro virus] -MMIWKMTLLCFPKWNLNIVAIQIGLMQCLTPRSSLHRWKKRKGMTIFIRLERLDCLTISQ -LLRNLTLSMILSASFHK ->YP_009361969.1 hypothetical protein [Mosqueiro virus] -MVLKDIEKFGNNIKNAIVGAFHETKNVFNVIGNYLKLGGYVIIIILSMIVIIKVVKTLIA -IGKCVKSCFCSTRKLIKKVKKAPRKAKILKRISGHTNRHRFK ->YP_009361966.1 hypothetical protein [Mosqueiro virus] -MILQIQLSIHVDVPAGKYDARYARRLAFYLVNRVAQENNIPRDIAGIAVSFLMSQVSLIH -TSTDFDYLCGSIDVNLDIPSNARAQVPCLRELITINSPVFIGDETIHPTLWGSISYPALG -TGVRPWEAWYTDRRCFIPSNLRLEIEDLAYDFKFEYILD ->YP_009361963.1 hypothetical protein [Mosqueiro virus] -MEFFVSLGLSFSSTKKNITLEDIDLMIKKMVVRTHQIHGLPVDLGGLLINLLWEHTDFRT -DCRGNLMGYGYLEASLSTPGTLVQVDLMDKTFCIHDGWEHDDLGIIDQVDYKVCVYPSPM -EDPVPIMEYWIPVDVSYGNYLRRNPDIVANLYGFKHLISLCY ->YP_009361958.1 hypothetical protein [Hart Park virus] -MGFDIGGDIGKPLKDAFDKFGADIKMTFLTVLNWMKWISIGILIVISVILICKIIKVLFQ -CGKCLLSCFGFCKKCVKGNHSHMNKTRKKHQFRGKVKKMTVPVIRKKVKIRKDPSLVELV ->YP_009361872.1 hypothetical protein [Le Dantec virus] -MFYSVIQIYISSHTLSNLNSDERRMTFYNLMKDILTITGGSIESVNFIRRGDGSMEINMY -IVPI ->YP_009361836.1 coat protein [Black currant leaf chlorosis associated virus] -MSGNKKQMPASVQFQQTLYQQKLARARVVKRPGLLAYNEVTNPATGEPFAGVDLASKMKR -LNAWLKSNDKDYWDQHFAVQANRPAAKVVRKSPQGAPGPSTSSTTRVLPEGEVVRKYWEV -IPGKTRMDEVFGKPTDDGEVSYFAELDFSKFSLEKPISQIVLEILVDCKTTDEIVGHVAV -VEVDSTDQTISDGLIFGSRYGSAELTSGRKFLKLSVPEGSTLESATCGKRVIVKRKTCDQ -QVFLRRWVEYTVQCTNPEFSTGKVVKLAHLD ->YP_009361835.1 movement protein [Black currant leaf chlorosis associated virus] -MYSRSVSMRSNSSRASSKGKSKLPLPLDPNRNIFHLENEAGGTSGVLNLNRSSGLPLEIQ -TRGFKRIVPKDPNLKGMAIEFYEMDQSMLSDVYKGQLKSDKFVEFNNYMTLTHAGSRDEN -VYPLVNTSASAWTKFKAATVQSGRKASHLYNLMLTVVPAVASNTPGKLHISFHDNRMEEG -ASRLFGIVQNITQPRVYLVSTGYSVPLDEFDFRVKLHLEGVPIKKGKTAVWARLGWNLDV -NEHPVYIPCVPALASEIEAGEIPLQKLAIENMVAESNGMRRTSFSDETPIFANRMGKYLA -DQVNTLDGIQSANQSETSSLNESESEKGSASQPEISFSGPIPQKAPNPITRI ->YP_009361826.1 3B [Miniopterus schreibersii picornavirus 1] -GAYDGKANKKPVVTKKLQLQ ->YP_009361825.1 3A [Miniopterus schreibersii picornavirus 1] -APDDPPSFEDFLLQMNLATRERDIIIQEMRELKQGIQETKQLQLEFYSLVLVVGGIAGLC -YGAYKTTSAICEYLMPDTPPKEDEETQIERAPFLEPAQAQ ->YP_009361823.1 2B [Miniopterus schreibersii picornavirus 1] -PGSLVEAALKDISEEITKLPEEESKGLKKTFKEIRDSASKLTKRLKPKKDREPKIEPEVE -CAFLAFLEAEDPIETMAKGWNAISEIQRLWASVKRVLSDSSFWYDLLVMIIKYIISTMIW -ILNPTTSVTLGLAAMAALDFLSMKGLKTKILDYLTPKLGPPPPIPDGLFSEPPSAFTKAK -AFFGMKDETPLEDQA ->YP_009361822.1 2A [Miniopterus schreibersii picornavirus 1] -GPPPENKRESVDQDPHILELDSYPASGEEEEDDFHDMEDHSDILLGGDVEENPG ->YP_009361817.1 L [Miniopterus schreibersii picornavirus 1] -MATNKGNTTCSCCLSCVFSAKSGSYSPKSSEDVSPPPVPPKTGKRLHLSSFGCGTTYELD -KEFEERLTRPSRTGKVVSLVSFDIPKYNLKDE ->YP_009357237.1 hypothetical protein [Watermelon virus A] -MEDLPDKAPRDILEKHLHERECFKFLEQSFDAGDKGQIPSILVKLTRVNVGFYEFLEEVF -TESSISYGLSGLEKGFDSLKAKIDKINSEVSEMKRKFENLEIKLVESQSSEEEGSPDVYE -TKFSDMRCDRKGTFLYTFEGQVNARILSDFKPFECESGKFLVHKYVDKYEMIFTHLGQVM -GYFEIETNKELTFGTLIHFLREKYSFNKLFII ->YP_009357228.1 triple gene block protein 2 [Cassava virus X] -MPLQAPPDPNRTYQLVGVCTAVICICYFLTQDNRGFSGDRENSFPNGGKLSYCKTAVFHP -PNHRDSQAGAHIFLLVIALTALIIFLSRRTPHSCPQCRG ->YP_009352867.1 ORF4 [Wisteria badnavirus 1] -MANRGGSRGTGNIPGSSRRHKYFIHINQGNERIPHTTFRDCDGNLVLPEVTAQLYCPKLT -GTTTEEGVSLQTATEHRARMSSIKDSEYQKFLTQAATVDTIFRKELEATKSCAGGRDNYY -RDMLPAMEERQRIMEQISELTAQLNELMDCSF ->YP_009352865.1 ORF2 [Wisteria badnavirus 1] -MSSWISSSETSVYKDTLEAVSTLSGDHEGGEGFVKPDTLGSKGITHCVKQNNFQIQILVQ -ITKRLEDIQLLLEKQGERINALEKEVKTLKTADFKVPEDVIDDLTKKFAAIETSALKKAK -QKVTKEKTPFLIHHDPYELNKSIKR ->YP_009352864.1 ORF1 [Wisteria badnavirus 1] -MSREEDYKAFLEEWNPDPKDKEANFLDSILPEPHHLNRHYYNLPCFHLESTAHNHSLGKK -SSEPTTSSQLDLLLTLVHNQSIHYDRTIRFHQAIFSQLERIETRLTTLEARQPPTSNTNQ -TQTPKPPRKEYLDLFQRLEDRLKAIEDNIPS ->YP_009352251.1 P0 protein [Cowpea polerovirus 2] -MNFGNFLINEQGSCVTSLKRLSPRAVEYFSVLAVVCQTFLTNDYPSSDHEFILRCFVFLL -PFLLRGPKNIGVRKPGDKPGLVRAKQFYAARFAARLGVYTPSLSGVQRTVSLRLSNLEFT -RNRRKTTAILQRHHARSVGARIERRKDVLFGGERYFKQFVQTYCRFLDHEYGRDLSKPLL -VSDLRVVLAAAHDYSLRVAFRHQEFHARACRCLALYLISSLGEDSALVFWVNANLPRSHI -LFHSEVVLAETLLGQELQKL ->YP_009352244.1 P0 protein [Cowpea polerovirus 1] -MFVTVPLGSGALQLTFEDESLPQRLEAFNLALLYHLCQNVYSSNDQLCSFIFLLPFVLCG -VDLHDAWRFNRAALLRFSNLLGVTVESDLGGTPRVFRAPHTAREDRAVRRENLLRTRSRA -LGVAVERHPEILSRGRYYFTTFLAICVRDFQQDDSYLVRRRPRAVDPRVVLRDLRDILHS -LAFYYAVDDARFAAIMSGFINENFHPDIAVCFRRLVGLPDRRINSSNYKTAMDLFQNSVL -QAIFRN ->YP_009352231.1 putative long-distance movement protein [Ixeridium yellow mottle virus 2] -MTNVFNVYTPDTSKQPRGASANAGRRPPTGVGRLWDGHNHPGARKRESNVRTPPAPKGPQ -DHAGQATHAKGNPKHRGPVVHPAAGGGVRPTRPRRLPRDGEPLDHRSNPAQRKRRVRKSK -LTPEQGAAIRALSATLFDTLIGYGVRKGALLQHCLRAVRDSHSEWRKRLQSVSPVGARGE -PSGSQLPSSTADCKTSPEAGTIGPIEKPAAVEGTSAAGDDQPTLCRSCTGHNNVY ->YP_009352241.1 P protein [Tomato yellow mottle-associated virus] -MAQYPPESSGEDLFDVGNPIDNFNYSNLNNENPSDDTDEVAKSIVIPISKDDSTSKRTAK -TERATVAPKRSMTDISDDQPLTEGQIPVVLVEICEEFGLLMSKQMENSIMRSQKTRVVTR -DSLRWFVQGYTVCITSHLVSSMQENMNDFRTEIKKMQISTSSMGAKSDRIVKAADTLEQR -INEASGEIAEKFHSTLENVEKFVADKVKTMSDIVEATIEDKVLAYNTKETTVVSPAIKKG -DLETREVPKQETVIPSKVEPHQDIGTIAAVTKASSSSVSTDRISIMISAGFSRQFVNNVP -EETLIRIIPPSLISDIRSRTLTPRVQAALKAVIMTNIAEINKQPS ->YP_009352239.1 M protein [Tomato yellow mottle-associated virus] -MLRNRLPFHHHSGSMEGSIMGKITKSSKAMKDEEQQISKTNKMWRCVSLYREHFEVELGR -NKRPEEIGKDKIRVKIVELISQEIGKDNEKWTHDLFEFLFRTRRIGSCVDSYTSPFLGPS -TKRISYVIEKHNVFYDSPQIPPGRYKLNAIGKTTTIDGTPVRATIRLLIAVKEWGDEQVE -DIRAQGYDWFCGTLE ->YP_009352237.1 P6 protein [Tomato yellow mottle-associated virus] -MTEKVGESQSNRLEIEGVLTYKTNQRYRFGVDVCDIHKINIKPNYIFSNLLLYVGQVSIF -PRAAAMPSIKDMVATIAGATLDPNQDDTTINFPEMTDEIYQYVIFTILVIKFVLLIIVYR -LKRKNQVLTASLKWK ->YP_009351920.1 putative glycoprotein [Mogiana tick virus] -MTPLPIPSTMLQRRSRQWSRGFWVVHPLTLFTCSCLLLLGSSQETSTSPSLAPSLLWDRL -LGRTRRSSHSSPQSSFADKTAFFREQLSHSRQLATSGSCRRWQLSSSVGSASEPGPLWDQ -VLEWRFWVVPEYGVPYGKVVTGIKDIGPWPDYSDPSGVRLCEDAKYALHMNTTFHCSDEK -AYGWDCDDRHITLSTYEYSLNVDRTRAIWKYSIYTRPVGRQLGNCTVTDRVYVGTMWGSH -KELYPLVEFDEDPLPDGSNRYNVRVLPNGVCQLLPNQYSSLALKASEVQEPKVKYRAPFH -VYDQLTPHGPSIGWFDQVWPDPYACIREGQCQSYPMSREPCNGENDVQIKQTLGVTRGGI -KHRLTIRVTGRKGPCDPIIVADSDYHEVTRYHEGNTLVVVCDLPLHHTVVSVRAGDTWKE -HRVAKDVYQTIHEQEDDFGHIPPQWVTWLSKWFGGLTSGLARAFGLISRLVDLVVNNLPT -VLVAIVLATPLGSALSPALRVFVVVLTYTVTGVKADGGTSGEDVLSVPLELFLHVAIAMF -QGRDIANPVSLALTALSNLAPMPMPLVFFTLAHLLMINRDWTLALAGALAHRGGLPGVAL -YHMVNSGRLRRWGHNLAKKAETEAVEQLPESHPWFDPPIRGLWQDLVGLQYHSQGYVAST -LQAFLSASRAKREKKYRRVAFVRKGDIRSFKNFFLYPGEYHRLMPHLLAMSPSQRRVFRN -NIPTILTRREGEYGPLLHGLKIAGYVGDLASAS ->YP_009351918.1 putative NS3-like protein [Mogiana tick virus] -MATPAVAALSCILAAVDKGLAPNVGTKSLLAMAVASALAPELVLAVAWLSILKGNSPGQE -TNYIQRHFMELLPTIGWLSLEYAAPLDIVLNEEDTPHQEDRRLYEKALDLSYIGRTRNYL -LTAIVVLTLASLFYSPSAGVLGAVVILTVSFLPRGDLRGRTLDDSAPIGEAEGIYRVFEH -IGPWAFMKGVATVTGGSIVSSLHVTGDRAVWIEDRRYEPSVVQPSGDFIAWGRPPVIKPL -KEDDEVVALALHPATDTVLPLRSRTARVQGNAIYRISRTSPGVSGSPLFVVDFDENGDRT -FALAGTIGRSIRAGPYHQYEIQSHLPLPTTPYDTILKAGIVLQLFSHPGAGKTRAIPEYV -RQLMTWSNKVYVAGPTRVVAREMLEALEGTRWVCAMVKGLPKPHALARVVVTTHQTLLRY -ALTSGLLASRDVSYVLDETHVDSAQTKVLRALVHQAVGKDKSKAACIEMTATGRDSTSGE -IRVATDSNYHIEEHIYTTTVAQAVKQYADTYGPRRVAVFVPGLTGKNGALQVAKQIRQLT -DYATVVLSRKTYEKNIKLIFKDYPRGLCIVTTSISECGANYDLDAVFDTCQQYHYLVTAS -GTKGMITPSTQAQTCQRRGRVGRRKEGQYYRPANYDLLQAPPLDHPDSVTLLEANMCLRA -LGLPEEPCGRVVEEAMLKMQPSKDQVYRWLTEGDTETLTETMAMYNVEGGRRSREQERTV -RNRMKTYFHDVRWERVEDQEETPQPRPGEYIWDEEGPDMIQGALYTRAPPPHRIRVNEAT -YLRGTVIETLREEADRELRETVAEQREE ->YP_009351917.1 putative NS5-like protein [Mogiana tick virus] -MDTDTVIAGFAMAMLVLLAMLLQIASHYREHATFIYTPGGKLEEYKGDGLKVWKLEPTLG -DPTELGRQAKKEMNAMTYEEFDRMKFRGVVAEVYAGDKPSKGYDKLRTLLDIMDRPKLGA -TVDLCAGRGGWSQLVRELEGPGAITAVSLWERGREEWMADPAIRRINANVKNVKPWHVDT -LLFDGGETFKRDQNIMKEEGYNDALLDAVDAWMMQATPPTNFVIKIQVPYTQRAMKLIEK -WQVKTKKGRLVRLAGDRLSNTVMYFISDRLETQIRGRITTFLRQLRERRADRSLTSDPAL -QYERITPEWTAEAVIEGCAPLTPLNMTRSIAEMHMEHPPLGITRFFKELGYKIAKKKGSE -GTRKNRFVGQLIEPLRRVLERHHLFGAWQLTSTTPRAVFNIFRSKVDKAPVELHSHYPGL -KKMYDILADLWLQRYGRMKRLTEDEMASAINRRGAMGYQMDNRNYGDLGAYWDSGDWKQD -VNTFKTALLSGTPTHAVYNTTAKKEKTKNLTRQVNKGSRIIQYLPADARLYELKVLGGLH -KYLEKCGWSVAGQGLYKYGDRVKRSMDATGAAISEDVAGWDTKISKGLLTLESHMFTRLA -EDEEMAREIHHLYRLYADPHMVVQREIEGEVHDVLLRGRGQVSSGRQPTYAANTITNFIT -TTYGMAVTLGIPEADWPRLIRDLTDERGNRRLLVSGDDKVLFLKGNEARVYAASAYQISN -DMGLVRKDMALEQESEIIVDVKEISFCSHRYWPVKYGDETHYMPVRDVGEIFAKATMALG -VYKDDMTQEAWARVQGLNMLVNYHHIPECRMLALAILSVTRIGLNLKGITKGWMMSTEWL -RDDLAPDTIHALITDGRTSGWEQLGYVDFKDRKGILLRPDTSYKNWRRDLPEKVRQLRED -GQYRDWLQKMAVFG ->YP_009351846.1 VP7 [Fall chinook aquareovirus] -MDIKPLHPTVANALCDALTTGNLQGSHVDGPWSSDTKCPDIIATGQYQVCTGCFRMVSSV -MSDRGRVYLHTCNPDADQRHAGAKLAENLLTIAANIRMSVSDAIIAMRGRTEPSLQQAMS -RQCQGLRPEYSFSELATVMNKVRHDSAVAAPTVSLDKLASPINVKRTLAFYGKDLRNHPL -VEGTPLKSELEEMTGGNRARDGLTGNAVVQLSGMVVPVMFNAELEMITPILSTSNRAVLI -EAMLTHSCAMTTSQQRVRAYGKKGAKVSELAVECENRHGSNRCHRRCKAVLITSVDEADP ->YP_009351836.1 hypothetical protein 2 [Loreto virus] -MLVLRIFLFFYLLSFIHSSLMKNEILSKLNSRQNRIFYQKFKFHYNFSAYHPSPFEFDPE -CIEVHRTHSWFFQGCTLPPSCGDVHTKILDSSFGFKELMCYSFHKSQNLSLVNISPFSSF -SKFNFTFKNQLKDYGYFETLENIEPVDFFEHFFLYVHNGLYSLLPKICIDNYITPGQPFP -SSVLFSSSHDDVCFTHNTGGINCELKLFKSVGELFMHYNFPLKFRNSPFSTHSYEKTGNT -EIHAIENYQPLNSVYLLDNNSPYSYSVSSSVHLTPTPYVLRFPIHNYNGKTHCFKVHSKY -VNPLASILKFLLQSLTLIFSEIIKALEFVLKPIINLAFKFLKALYDEVSALFNSLLNSIP -QIDHVLDTLLVFVLSLIHFHYYHVILISLLYVLNLFYFSYI ->YP_009345891.1 hypothetical protein [Jingmen tombus-like virus 1] -MSGSVQSLTRLRREAEVRLLSATHLVDVLDAVVLLLIHAERLGRTTFGAALQDVATLLRH -VAARGGERTTRATALDRELAGAVIDLVCRRQRNIRRFYRRSVDMASMREARLAVWVSRLT -AQICRLQRDLRRADDEQESQWELSPTYSLTGSESDGHHE ->YP_009346040.1 hypothetical protein [Wuhan insect virus 22] -MAMNNSATTSQGQQMVMGSQNSDSGLRNRRWMRDGQRQLDRALDKDWEKNRDWSSQVDVE -NKIASKTPTQQPVSRTRRNRNRAVQWKTNKKISEDASRIDPSKYKSVATSELKDEPLYNI -VNGSRPNKRLYSVNFDFSSFPLLCDMTYRELRLSNPRIKREMPFCSFLHSMTSCLNAWII -QTVKKINSEDRFSEERDPAELIPLEMLIPQPIAEYLSLVNTIVTSSGDTVRVNFPDAGLP -QLSLPEDGDKPEVPPGSFGDVTSQNHNAYECYVSPLITRRFLEASRDNNPNYHPLPPERV -TAGTTPTRNLLGYYPVEKVPPEGVAILSGYEFPETDDMTGRLQLVPSLMLEVSNSLFRCQ -RDVKMTKFHDIVRKPVQTGLVFAKVTSAPQINVSTLFNISSNLHGPMAFGNVTASQAALF -AYRRERTSSAKGLCYVAANNAVPAGWGATINNNFNMAAPFHAYTGVDGPQFRSDQHQAFP -PDTERATLIGDWLKNFRLSQR ->YP_009345880.1 VP2 [Orbivirus SX-2017a] -MDEFSVALYPAKVTKHDSGVHKYPIIIEDQNVRPKDGWDPERMASREGIWLYNGEINKAL -HSSAADFCAVLAPTYLDVMLAQLHRNMKDQRLWDDMGFAEKRRRVHNFQTTYYKGSKFTG -KGLIEIWNAQIHADATYLETLTYDYHPVEKKCNHTYIEEWLNQYYGGLRKLCQPGCYVLK -ETQKLMIKDEVEWQIVENEVGGVGNGKGKQIAKPKNMQKGVQYLDKYKEADQIKMRARAY -RLETYGMYAAYENVEPFKKILNGIDEIISGWKKTFNELPYGKIWFWMSELAREICNKRET -YEENKEISERYQKELKKNFLDRSQEATNIRKDAKTDREAFAAIALISACDAVEDKVTWEH -RFACCRGTLMYAELIMGDAYFHIRKYLKWSIRERYYPRRQIEEGKQYIYRKVSLFNSDLK -KGQDVIIWNAVREQGKDCHVNTGNLCQKTDIDESDLYFWHDERLYSEMMSRLINGDHKLS -AIKDTDLYQSDVNVYRMDIARDTYLDETGDLFYPTYLDKDIACPMYSIKYRSKIVRITTE -QPKDVWEMRIPGTYLTGFDHAPCFPLSSKMSEIDDVVGQSLKRKQKGSKYYDYVTEATQG -AIPEEERCIVEAMTKDVLTFQKKFIFSKLKHLTISSYILEHSKKIYNMGELDVWGLDEQI -AKYETLSQFLIALLVFILEGKLITIDEEDARLYVQAIIDGKVMEIARRLSPRLVEILSRR -DKVFKLEETFWYNILLIIKFSYRNRILHQTMVYPIIYSTQMLLHVLPAKECSMWTLVSLA -QFHPGLVTRTRDLDEAENILFNECVNNIMTAKITSIYEGPRTSDSKLMQFKIFCGSMCSG -VSEMVYMIRAIIHPEPGLIVVAITDGLRTKECAVKCIMRNFKHMRASIKGIAIINLVGDD -DLACSNVGVCNSKRVERTFMRLKHKVAIIKTKGCVLGNEELISKIMNRGV ->YP_009345599.1 putative minor structural protein [Bat sapovirus] -MGSWATGAMMAAGAGTDMVTGLGNLILSGIDMANRNRLQRDQLRLQSRALDLQEQQLAVY -SQLANPISRYSLALTAGFDPTSARQVAGSSELRTIGAAQLHPVDSHTLQNLQRSHVASQF -AAANRVFIEGLPNSGGINRPIPYNQLPGNNRPNFARRWNESNA ->YP_009345138.1 hypothetical protein [Wuhan cricket virus 2] -MFSEKKTPLTTTQKLRVLLSATVTDYGPAWTTSSLPRQCVTVDLSETDLIRYSFLPHTDI -PVFNIEDEPITDPAVCQYEAARNAGAIAAHFDEIHRKAQANFGYRARAARRFAKRRHEEV -HRATTNAHPPTTTAPPTSCDAPTSSSHPRLDSPLQKAITHAYDSLKGNRFRALAELEATD -TMAEESTTSFAQMRDSPWRARPNNQRKRKTKYRFHQEVRPILPDASTDTVERNGLVLYTP -PRTGKTRYLASLKQTVLQVSDAVTSDSTALESILDAGFIVATSNPAVLSYNVPIIAMFPG -SVPDSVTRMEGNMVCYTHVSDYELVLHSQVLSHVIRFE ->YP_009345137.1 hypothetical protein [Wuhan cricket virus 2] -MEDLPRVAPEDSIFARYSWEFNPDSLVPASSRQTSRRLDLNPNVQTTPQQPASPPHHGMR -TIQEWASLVREHIQKRMAREPYMTIGEQMKLLSLEDFHIEPSVPAATTLHINLPDNTEEA -IPVPSDLTIDTANAKLHQAEQPVIRAIGSVAATNVIAETERVITNHNREIMSDDLHKVWV -MNHFVRRIPLSHETHHQRGLPLPTFSSSPEAKIARTSTPLLDSRPPSISPSSWSASDIAS -DSPPQLPRKLAPHLPAAPQKEMRYLLSATLTSSAPEALFFTEEASKNPIVGSTLVKQTYG -LLHDWLAAMSFKMRTSQVFKLRNTLACIHCHQSVNMAYNQVTHNHRCVAYALLASFTDTV -SCQDGLHHLPQYSAPLYYRLVRNLRISHPLRQLFSCHDGVFTPLQTTWLVDDT ->YP_009345134.1 hypothetical protein [Wuhan cricket virus 2] -METRSQSKVSIASVTSLADYPESDPFSDPELQAIFSEGIVVREVRTGQIVSDGRLRDAPL -ERVHPLSFKDGLQSRLETTLSTFDSEATRKQFLETQAREDGIFTKVMSELCPQTQPTHIL -DAITTKFSKDKPVVVHLCGKVFSIPRIESKHLPEARTALSAYLDRLCEVIQANLELQNQR -LNKPETLQHLNCILHERAKTVSARQPRLSVTLQILSALVLEQAAHCSNQRAITSLRTASL -SDDLTTGGAFIRMDDTQTTIAHRSDTMIHDNTYSAAPNIVYNLTLKQMRWIVTYILDPCP -GVRTEAKINLLSSLRDNVRADAALNPLAVLQRACAEHFLTREEILHLIFRDERANTRCPT -CKRHIAFLGPHISHQACPILPWLHNHFQIDLTTEGATAPSLLYLRPATAAYARELLAMDT -TFKQTPFVASTAHDYSIYKMDAKGQPSRIAFQGSKVVRMTPQANR ->YP_009345132.1 hypothetical protein [Hubei myriapoda virus 9] -MAKKKRTVKGLKADIAALSHDVQQLAISTVGSKRSPNVQRRRRRRNRRRAGGPGIPAAVG -GNQVVLGSSTAGPMGTSRVRHREKLIAGTMASTKGEFVKSIDLSPGISGATALDKLGMLF -SQYKFHSVGLEWHPAVGTNRGGRTHMALDMNVMDSDIATYDAATQCQPACNNPIYQPCNL -QLNTSWIQRQPWFCTSKTDKAAALSEVAAKIVLAIKSEVQASDWLAGEVWITYDVSFQGL -RPNLTAGGDDSGKKYTFRPAPYYAAPGVTQGDPMVLTPYEQAWEALRTVFVDGFPDWEST -IYNHFPIKSFQNPSGPSSLLDLSDVWSKLIADFPTGTPALMTLDVFNATPTGKLPLNGIY -VYGFDPHGTPRINPFPSIGSSDVEWYHVEVPVTSRLEEFSPAIRFLWDDTEFTEDVAQVV -SMELHTLPTGVLPSRYNFRTCLCPCCQMRGTNPADNGFVVV ->YP_009345129.1 hypothetical protein [Hubei myriapoda virus 9] -MSQSSNDYSMPKVRSLFDLASDQFLAVVALSWWLPFSLPWAIANLLLERDPGMPTAMLSY -PDLVGTETKRKPLRLSTGVSRSWRHCDLCRSIRTNYYLPPRFGVASCSECGCIWLSIPFH -GRKEYFLYCKVVQRLLNRGMQPLPLTRDILRRPYLVYTGKYARFERVVSTTILRLAQMSD -SDICNTLWSLFSRVRHTSAWGRRHSGACDRKCSVHRDAVSFCNTGCSGCARIQDRRHYRL -SFLTNEGFCLGTAAANDCTAVRCGTRPEHFCTAVWRSSGQAVDILWAIVASSKVVRIPEI -LWIHTKGGIWGTNCSWQTSCSYARWLRGQIQHLDRDGLHLILFRPAEQIGLAILPEDPNP -VEVQPGIICEYGRVSRELGSKRKETQGLARYGRQRLLPRTWWQDPIDRPIYVNGCYARCI -GLVPHRTFGPSFWRLGRLHGPLFSGVRRESEMRRLRDRIQRDRERERSSLPSSAEAQHSE -NDSNQIG ->YP_009345127.1 putative nucleoprotein [Hubei myriapoda virus 5] -MNDQAARANELHELMVQRVMNAEPQYWGGTRDTSKANCKKIWNVPKEMWDAYHTSITEWN -QLMPFEQKIRWATNQMENEKSIRTAAGFEETMLVRHRDAEIDPTGMTAGQIESLIFLYNI -LRDKINRQEGQVGNAADMAEKTRRALYTFRMTGTISDKYLEEGQRGSVLARVKAMRTARH -AGITAHVNEELNKFINEDARLPAMRCPQGVDATAFNTRLADIRRSFNSALPEGNAGVNAS -NKFMVDYITMPMSYAINSEAFAHDDAGFEKYWALLFRISIWSQGPNVGLNEWTRFKQAQD -ANCQRFLDLAVRGDGGLRVNPGLLTRQRLLGAAVMNNKTCTDRGFINVNSREQEHEYATF -VSLVAVVDVERQAGLIVRDPGRTSDSEYILHQLLTLGLDTGMWYNKKEIPDSAIRVRFLP -RNQ ->YP_009345126.1 putative glycoprotein [Hubei myriapoda virus 5] -MKIEILLWILFMVVQDVDASLWTFLGLDDAFSFITKPIEYGLYCCCALIVIFFLAHIFIM -CKTNSDKHLERLTNLKTSIKERKWKQILTLGLLSSQVPASQAQIVMFDRMRVPVYSELVN -YTINVVSSDVLNHASMLFDAIQKYNVSDANSHFAGIKLDNPCLNPLMFATLENVGSNLIL -ATGMLSYNLIDHTGDKLRTENGVIFGAAGNTGISALTLNGISKHLSCHANDSMGRIFFNN -MKSKINPRNFELMMSLNNKIDRLCFTNEPKIIEFMKTTIKMFCTTPNVTVEFSDGSVILD -YNTIIYDLIVHQMEFQESLSECKSYDCFVGFQSLYLNYKELSDKTSLPSRSKRSVRDIAE -AICRIIKIGQSEKSINECIMDGGVTADILKNSLTRLEDSLNVRFQETVKEMTNLQDQISE -IKISLMKWSHQVDLALTGTQRSLQILEQNMMIYREMDLIRSQINNHIVGLMSRTRFWYKE -LQNRLFLPIWSHSCVFKRDCTQLNDFLSSHVLYWKQLMHHNFDPRIKMISHDSTILSFLS -PKSITYKTLNLPYLPKIDIRRHCAPNSEGIFKCEKCFNQPFTMLTINFNGTNHYESKVHP -RTMMTYNLTWTSPCYSDHGNSDVYVTVAQNCYQIFDTSLTRVEHSHCTSPGITHLPVHNI -HTDMQFPKFSAHIIKIANLSESMNMYDDYFNSSHFNFSSEVHIKSYISAITAEHASSLDA -IRSIKAPMKQTTDAVSVVSVIFFITNMLLTSLVACFVYYKFKKLEPKKPSELEQIISEVD -NKDDDLVSGLTNKILHQPKSSKIINRTSNYDVTASAPEEIEMVQLYPSLPMECAMKVHLS -DGKEKTFYRSSFKHFVFEGHQVTILPESPGGKVITVTDVVDVDWIVPDQGN ->YP_009345125.1 hypothetical protein [Beihai shrimp virus 3] -MYGAYFADRMSLAGSLNYQVSEGAAFYQQNAGNGCWVSFFNYTLLKKEDSLSQVWWEKLK -PEGEPSIWEVNKGLGTWFDCLTMHLEYVYPVINYRCIIMTVAKANVVRAIVPVPSSRSCA -PYSPSLYRRASTAVHLAVMGPVSEYYDCPTLLLSGGIVEFPSVHKKLCADFKVAVVYALM -IRDASLQHLSTHTNSSCKVTFSSIVDSVVSQQPRHDYLAEVERAIPSTRINWQKIKLPGK -PFNIKLPGGVITCPRLVFKNSTCNVYEHHIKYFLDGDSNKYRPNTSSIESLVICKQVAMA -SKEDPPSFGVPQ ->YP_009345124.1 hypothetical protein [Beihai shrimp virus 3] -MSSRISIKYLPEVTFKAANGEALFSPKFERTGVTSRESLSGAVNRALNCMVRVKDFQVVG -HAFITKLIAAGHDPYVDAVVEALIQGDDDNTLTTKYMVAMDKVAILSGIPKETGSVATEL -KVAASQLNSVNDLISAMKQNSSAGMSSTHVVDVYTNVLNLSACCNGSTHSYLPDLATNSK -GQKMIVTAMVSRPRLNQRDRDLLDAALNLIGLNSPDHIALYNEMQQMAGSGAAVVANNSK -NICYPFYISLVAAMMFRDNKTDTDVQSFLIPFVKMAKTKRGAATKSHNILQAYNFPGYIQ -GGKDIHLNPCYLTPYRMCRALMAAAPMPLVTNARGIMMTPESIAFVLRLYEIDVELGGDR -NINDATSIFHHMLVGGIGSYATTHNKTGNALNVTVKLSGQNYTPAPPMTQVMTVVAQPQP -QRAAAPMAPTAPPTAAVAPPPAPAPATDRLGNIVPTAPAPVPGTVPAPPAIAPLPGAIAT -SATTTVTVPQQQQPQQQQAPAQAPATTAAATPTVAVPGATAGISTNTATPSNAGNNSNA ->YP_009345123.1 putative glycoprotein [Beihai shrimp virus 3] -MAETFLAILLLLLWCSPLPVLAKGYSPHVTADKERNMVRVGAFTPRSECTVTIKPSGPCA -WTADGVGHFDGKNVSWCPAYPGNCDLRKYTEMNGMRTWSGCKRYAVGNKVGMCDVEGETF -DHMEGVCKSVTEMENDPCLLFWGDGTANEILAATEQLEPIYTGSNYKMFVIEWKKVKWQF -HISDGGSLFRMCRTAWDHSCGTPCCRAVPEGERLVCLITDDLYEKCQYQIRQEDEKLQRY -PEDLDKTEPSGYLPVDLDTKDLQHHSEVHFSELDELVDSEISCQRPPLICGSRRYTDYKV -SEREVWAPSTPADSPNGAFKCTPTDPQTTSVECHNFCLVKASGLCSGSESFGKFFKIGGG -FSCHCDWVTKISTETIYTVGTSIYYGEHECTVGAACAAANNTMRRIGRRLHGVTEELKCK -STDGQVDCGIAECMFLVSAGNSSAVGRAGDQIKLSVGLRDRLRGYYLQVKLLCDTPVMAG -LKTLKKQRNENHGSYRLFVPPEELCDCLGLRSLLLDDCRSLRSAIVAMGTSAVVEFLAIL -WCIVLIVWILSVVKKFLYRAGFLLDGRSVSNELLECRETGELRDEQVRIIKLYFEDAITK -HMFCSLGWWTRRQQRALKKVCLSYYLYLIIEGYGKNDAWQRSCKIWKQAAAGNELKDEVL -KDLVTSGSRKIGARSDQKTLNPASLSGVLVTLVYCFTLMRLVGGSCETGSVDVSSSVCTM -ASEDTMSCSVKTTILSTIDVVGKSTCFNLQNKTTGDIVGQVEVEYSMLERAWSLNNLYYT -GHWSLMTREDSSCYNKGWCTSKCDGKMKPAGYRNQLCVNGICKVQPVSSACCYWYVTIFT -CGSSYKCGGVTSMIRTETDGNHGWVKGVGRGEALTTVAVSVRTNGGDLKESDGVIKGVGT -HKSGPITVRVKGVANLPQINFGGHKIYATDTATYLTLASDINNPVVGTIGDVQASSAGAW -TNPPEAITGEEHLEHFRTADVVDLSCSSWDMSILQSEPGINLLHDQFSDTQLPVVFQGSA -FKEVSNERLIEELTGSRPLSVEIAISGTFAVKHVIKECKPEMEFVEMKGCFSCYNPGAIL -TVRTRSNNPYSCVAALTTNSEHFNCPGSLEFEPGDFVERKVSCISSEEENDIVLTAQTRT -KVSVEVTGTLLADRLLAANELEGAEPDESSSVLNPLGLSDLNITLISIGSVTTFVVIFIL -LACFCGPFRDCLVFACPCLRSLSRKLPDKLSGFKDKIDRSAEKAKVSGIKAKSEKEWGEA -KKAQDNHDKVVRMRKKFGQA ->YP_009345122.1 RNA-dependent RNA polymerase [Beihai shrimp virus 3] -MSTAGESSFFNDSGEEDDDRNETSSYHSLLLSHINALTVLKSYGVGSLHSEVYIPALGVS -AFLKETIKTGLEVSSVMTQQRTTDSCRQFRTDYTWVELQFLPYYTLATHVYGTSLPYHLL -DLLSLHRFRMLIVCKLYSGHLTAEGEQDLLRYVRDNYSEKTFKQFQFGADMQSIIKEIGK -QEFRSAEVEKAYYNLSYTGKKLFRGHHKFLNRAQCLRKLKELKDAVEVSTDNDFIDRINS -IPSHLFHPCQMVQHLPDDFLKREAEPYLSEAGSVLIEHLRNSSATAELESVNPDDIASSK -RHLQKYFANLSFNRQSSWVGLADILVMKYTLALMVEDDVKEVSAMLSAHNKVKAAYSPSA -DEYFSSRDMINDCLDTIMTIASRDFKDPQEVQYLCSTLKDTEPSEALVMGVYRLKGLLWE -MIACDRYGVDYIPETQKPTMEVLIRSVWGPEALDTLRADNMTRLGLSLTPDAVRVHQPGS -ILYDPTMRQIKDFVSRPENKSTFATTLFTVTYSETASSTGGSPNSSASQLRDMSNGVVNI -PEPELEGKPIIEIMEFGWVRDTGGKTRNDEAKWLRTVCSLLLSGFPVILTTDIRDSRDAI -WSLPSLKPIRRTILKLQESAETAAGPFMTYNLSIDRSQWHHEKSARKMGISLPPDLSRQI -ERSKKRLRDCLVDEEGYLTEEDAGTLFETLSTDKAHIWDDQTIVNRGVEFLSRVFTSDKI -TAKKTRITSRSLEEFERGFQKENRDKNPGDTASRNRTVESLLFLGELDKQMWADSSVVRA -ASNLDRLMNATYPSALPSEKKFRRLMHFLSLRLAHLSGVYLVSMKSGNLEVTDKTGNGKY -VKLGQQIFPAAGEFKEDKFRDNCQGVADCLRDRTLTLIRAVVSDIREGATPHHYCKRSPE -VLDELKNDNHGILKVRADVLKRRLKARITPTMKTEDGFVREDSKGSTPTMEECFRALDDI -KESMSVTPERVEEFKNLITDSEIKEALLQSGFSEVSRDVMDRALTLLCSIKFFQRLIIFQ -EICRCFLSLYKRKKSNLITETRVRSTNGRLYLKRQRNYREAFDIAYVNDDGTGYVKMRLN -QKLGMLGYALPSMLVSIFIMNFQECLLAREASLDRFATLFSKAVEDYDNIAREHLEDSYV -DPGSTCARKLNISDSSEEETMARGAVGLLYTAMFRLHPSQDNNTIASMSRYAIMYNWSQF -ADSEGLGKKLVNKCTNVWDYIEMALVRYMFKLKFVNVNSFKPPNCYHLGFNVPQNSNVAV -SCMYYHHMYVRLLGDRAHANNNAHKSYLDTELLTREALDDEDFDTTTILGNDNMYELFET -WSANEGSAEAIKAVEEAATILEANQQLPSKQPVYSRFVVELAAAGLRNELGSTPFVKLQT -SKNVNKTLTEICEPNSVISSFKKSGTIESIVDAVVGDMDRAVQNTRTEHAIERAKQLFQT -DDEVKDLITNNPAHKDLLENINRSILESSQIFIPARVAELGSKPGNFPNSLLENRVSVIK -IAKQVSARRIRVLRDLKRGRKLEDDHYSEDCVYTSDNTVLLETLHPLACLGELKLGDEET -LKELELLELKCEEFMNFFKAYIRVTAEEPDVNRVDIKAILMSLEPEIDREKTVLYKAFII -LMQRVAVSRMYYYEWLKKKNKESIKARDVALSKTQIKSLFANFPNTFDYVSRVYLTNKPL -TSLLDAREDILAASSLEMLSERITSMLGVTPTADVAASILSSLTEMMLRKTSYSLRKAGL -AGSSAYASARSTSLEMMGAIIRSFNETSLFQVAMRVLSGNFEFLLGVAPKAQMMSVRELG -ILEIQTKIVMAFFESVMGHILKFIPSDLLENPKKKNEVLNQAGQWMREQSEGICSVLSTS -GDRSKWAPKHSYLSFYLFFKTLLRESSFSQPIELICLEGLRKKLEIPTSSINAVMKTLLN -LTSVKSKSLNEIKKAFVELFTKLKTMPIAAWNHYNSIEEIEDGECLLEFLDQYDEWGESY -LEIAELEFDWGTSLDMCPPLFMLKFLSLGLTPVQLHVCHMLSHGRLYTTMFTHMIQGIPH -RGSSVVHNALLKLAVKVHAKSEEMCDWSVSSQVEFVTSSDDYFMMMKAPDSVARHDFERS -YMLLDRIQCTLANSVCIVDSAKTVVSSYVAEVYSSFETGNRTNMPLIKLVQNHLPGEQTT -PLQHNLEEITRMNQFVDFSATECDLYAYILLKHSRIKESTGVKSDLYQILQYCSGVLNPF -VSLSYHGALSHNAETGILTTSWTNHQWLEKHFKSAKGDMKLFFTLLSVDQTFTETEKLRR -LTAYLLDGVAVDPRCGRGGKVTSGNKNLDNTKLREPFSMPYSKKLKLQKEKLGESKPTHQ -PEVSQAYSMAARGGITNIVGVEESRLVQALSSPKLEIKMSRIFEDSGYAMLRYFLNAHCV -VIDPDHPITKHMVSWRLLSSEKNSAVVKIAKTKFRLCELVSACLGVAAAMLNMPGSTEVP -PDKISDTVLSVLFKKKKPQPSTEATPTKQPTAPQERQIPEYDTIMEEESVDSTPQTPDDR -PSTSSSVNTAEGGVIDDMTGAVSKIGTKFRKRRKRRAVGSPRLTQPATPVKVVFEEPADP -PPSEDKKEEPVGPDEDEDFHMTDDIDPKIVSDAVSVEEAWQLYKRVRVCCGDVLNGIDGG -EMKYLESNRQPKLVLKRRVPPDETVIVTNMHYNQRDPSKLANNWALIAVHMLHPDVVRTL -ELPFDNYSAVYRDIANVISQVGSAPKEPGLKQEYFARVEAAFATQGASTRHSYIRGTSRD -STLFGSSLVQAVSRNVCEGWVVELSLNLEGLTQEEGESSMVCQTVATMASSCDLRDGGSG -KLINCLNRVVDTMKIVGNRTIRDIVTTHLSSRSEAPRDLVNITAICSGNVGSNTKDSQVI -KICETKLLYQKALVSTDGVDSVIFIESEGVLITSVKDVSTLTPLLSNLWSHVFGFEYPRN -SGDRGIIATAVTISEKARKVISLPKLYLRMEKDGSYRRVNNPSGTNADIECYVDSSRDRE -VRVISRGDKLSEYSEILTVDEGGIAFEHTYENVEMSTRSNAVRFALIRMALEEGEALPDT -VTEGIARDRTMRQLKFKSCVVKARRDIKLKLMLQVLASCKFQWPKEKPCDNPRELLAYMS -SRMFSSQRSLVEDHTRLTAFVTNLKADAAGTPVPRFLATKVSRLMCSVGSRYTKRTARGM -VVSGRSVISGLKDSALAYFETLAQENSTLYKYLGVLCLGLSQRELGMVVMDVVKPLSWYH -ELREFSELTSAPSYEFVTPLIEGTSLYIRVDNETMYIIPAEDDRCRWLRNCLTMISMIVS -HLKGFGPMTVPTELSHGNQRILSTDIHTRRNSLSVTRTTFRKLADCVSGLGGEQQGDRMI -IDADEVLTKVISHLFGQCYYDMSACDERKDFTEKEKAIHGDKELPVSRRGWPEGRLWKKA -ELAKKCLLSKHFLGTLSAERADDLVRMWLRKGQVFTQEPAALSEMESLRRECRRLENKIS -ELDEDSKLRKKLVAELSRATIKLVDLENPASEGAFDANDPVSVYDDLLASFKAASQEGFD ->YP_009345121.1 putative capsid protein [Xingshan nematode virus 5] -MSTQQGNRRVRRLRNTVAALQQQLAQMQVQPVVQQTGGRRRRRRRRRRAAAIAAAQVSTA -PAATSLVVTTPPAPTLRVTGTDWLDSEAVGSMMQDGWPVFELDLNPTQFPGTHLAALASG -FEKYRFNRLRVLVSTRAPTTCGGGFIAGITPDVYQELVRGIAGKRYVRSLQGAVSSSWWQ -PATLTMPCGVEWLFTSPRAERSRSSAGRLFVVIDGLPTVDKINVTLQLEWDISLMAPAVP -LEHKSHGDWKIPACTWVYDAAYPNWASFKAAGTPLDEWWNNSRWSTLYLVEPGFMVGESP -VRVIIPHDFGGSDHGFLAYSTLYAAKTDAAGTRRVKVTANVDVGPQHIIEIGPVNF ->YP_009345114.1 hypothetical protein [Wuhan insect virus 35] -MDSFVSSYQRSPPKTIGFRCSSSQSSSQRSCPSCPTANTSSNQSRFGPYSNRHLSPLKYL -CYFLFCWHILHLGALPACSASRVKPKSKGRHTARFPTLEPPHDTPPLHKHFCYLVNVQTP -VYRTKSEPQLNLQYVRFFTDYDRQFVCVNSTYEEIIADGSRNRYSQFTKFNNENHRLFRV -GTIVNPYTDTLAECQYLVATSNFASARCDMPDHCGDVLALSYNGGWFTTTTFCLGTQARR -KTNTIQDTGLVDIFDFTTTADEEFGLPPNQLYSIMYDGYDYYLIPKDMLTYQIRYLADND -TYITLMEDKPVNFNCTPRFSPSDKYLLRPIYIGSQLNSIVSEKILKSLIVDRIPIPTTSF -HVPLQPLYRPHSTPYKVSSQYYTSQFISPIDSPPCLLLTCERQTIAYRYDIPFLSSLANI -VQVTVSTVISALIMALNVALTATTNQIIAIMDPPTALFVLTMYAKYRNIFIVASATFALH -LAKTWLNSSGFGQ ->YP_009345076.1 hypothetical protein [Cacao yellow vein banding virus] -MERSSSHRMLTPALPXNLLEDHQWYLQQASNLPQDMQLHXLNEKGAEDCLSYKFXEVSKQ -LQEFRTMVDRSLAYYTRISTKDNYAGDHKEALEYAQGEILTALTALEKAKCIFIKERGHV -TVLRP ->YP_009345072.1 hypothetical protein [Cacao mild mosaic virus] -MAGSSRTNLSLTLGNPQHGRGDASLDDLISQYKEQVENHLKACTKPRPMDEFIESRSQIK -NTQYELYAKMREELTKIHSILEFHRDAIRSQATGDNAWGDNLPYIKGETQTAYYHLCELG -AILHKIADVSI ->YP_009345059.1 hypothetical protein [Wuhan nido-like virus 1] -MNGNANMSANNQFGNRRSRSIQRSNGNNQQFPNQGQQNNNFRQQPKQGQSKPFQNPFNGN -FQGYQPQQFNGNRGFSQNRSNSQTRFNQRQVSWNQQPWNQNQQNKNKVQRKIISVPSLYT -TKEGRKPTISDQPFNIVVESNNTSRYTVSQYLFMALYFSIYGPIDGKSLSGKRIPVETNI -DHVTKDRIENLYRGVISNLKTSKKRTEVTDDIPKRFDCLTVGNDWAKFNASDASANGSGE -QSTSKSLWD ->YP_009345060.1 putative structural polyprotein [Wuhan nido-like virus 1] -MQTCQPIINLETVDRDQFKDQMGIINNFPIRDNKTITFVNNQNKDSRSLSRILSMGIFKV -TNPNNLMVTEDFLRTEVIAKPDSTNVRSPGTNNHGIKISKIKIKSKGKSFRCHHFIQLRK -GESQRSLTNRLISWWKVTILAVTQFLNIYLWPYIFPSMDQSMANRSQEREFQSRPISTMS -QKTELKTCIVGSFQTLKPAKKEQKSLMISQSVLIVLLLVMIGPNLTRAMQVQMDQENSQQ -ASHYGIKETGYFEQLGDALDKKLIWIYYFLGIFGCLFILKHSIMICHEIIKCSNSRKQYK -MDYKTVALAVILLPMVFGEVDFNSPSTVVPSVTCNSDHIYLSANEYGHINFFFEGIKGGK -RFIAGALVARECRDDHVWLREWVMLPSWKKEKGEVLVNRTTIPIVIKLNYYDQQIFSKFE -KMFEMLIFMKDHMNADIDYYDFRKRIIDEMSLIKVPSPITSLLLNQLTDKHYLNDRLYYS -KIDNLLGKHREGDLPLLESITQRVIFKKKHQYKSHSKYQHLENIKKSMRNTFKSYDLQFD -RFLAHDNFKRTIDEVDIPVENITLINGNNLYRSELVGICYQSDAKSFRTESSYAIYYNVK -KIGPNVFYIQGDRICQVYWTEGSPPLNYFWKHKSEPRLYPYSVSKEHHTLIEQYFCLNTD -SYCNYINLPEEILNVCQKLDTIPMKGNQVEIRRFHCPIHFDHEKTNRPTFLSPCLEKNCE -KRKNLLLYFGVFFGMIPLWFNLVKHLIINLINIILFFKKRFLRTGSGGKFFVISSFSSVR -FDLRKASTLQKIRFYCKFYLFPLHIILQVIGFLILPFTIFKNKKLLIFPLSFWLATAEAQ -RFGLPDDGYPEHYCTMGRNESGYCNKWCDVYPEIPNCEEFFSASRVKRNVIGPNPVKRIQ -ECSAITGLDLDSVKGFITKTGQIDELNFTGQKCTKVGCFNRNLYSSNIKILPCSRVIFKK -VNNDICFVEVSNVKNQLNINQKYYWQSKRLVKIQEEGTCGHDLCSSSISKMNRNKCKDNC -FEHWGNSNDDWRDSQNWKYPFWHFFGKKLNINAVKNYYSPIEYSCDSEEGECYSPKYGAF -SATKRDYFQLDYLEHSDKEYFCTYNEVRDINKEIGIDILCNTNSKITKDTYVLKENIESR -TFFGEKFVFNSKFDEFIPPKGLLICFQYRKTDEWSNHIYEITEREFIDYFGYWSENGLNY -NGFDQTMNWNNEGLQDTNQNFESFEAKLNLKFKKIDKSDIRSYEAQIQEIINTQYVSKNE -KLEEFSKQISEFTGQKVPFDKSSMYSIKVKAFEHKLSYGDLEIKYESNEEIINNEHLYKV -DLKILSCEGVENLYNGVTVIFEKSQTPGGEIFSCTYGHLERSCGEVILAPETHQEVKLSM -GIIGSKVFLTQIKPFFVPNNIIEVDCKTNFTLKDKIEVGWHVKEGIVSHEDYYGHSLLNQ -VNDFIYSINPLKNLSLYLILFLIILFIILSIKFYYTFTRILFYKKEK ->YP_009345058.1 1ab [Wuhan nido-like virus 1] -MISMRAVCGILALLAISGVLSHTVVNYNHELIPEDFQFTDCPDRIIIRDGLNKYNENVTV -YFLRDKNGKDANKRLDQLGVGVSLHGYWPKPRCYNDNGVIKSVKSFMERQVISQSWDLKK -EYNEIRKFIIDKDYRDKWISHTSKWDQWYNNEYKKHFFNEGYNLDKFFMKVEDSIENLKI -KPLSPHECMFSKDKKINRVTQLVTSETPEKNSCKKDFEYKIINENQVVKEDGFPVHIDEN -GKLMQKDPTGNPSLYDQIEQFFTHFEMDMEYITDGITSIKNYLSEFKGFWHILATGFWSM -SLTAWQLFGKVKKGKIIYIHEFELFETYYQFILHKHHIVVFGFGVTLSLFLASMLDSATL -WIISIVLNVGFFINYFFGLNKKENKGKLFIAGAVVMLVYSMGCYSTTTYYENGFKRVNDV -DITQVPASDRIDLEMYLSDNVYKAFGGYYNLSLIYVTIICMVMLVNMVRLFKVWKHLTDV -ESFTKESAQKKIVVAINGFTFALTTMMLSYIYLNMTRVITIDNSLIINQDVAHSKTKYIA -IIMLILTALNEIMAFMPYAQAPINLMMTISVLVMCVLKKSLITEFVDILYRGFLMYYHSP -TGSSLLIFAASLVALIMFKYTYRHFNMWLLMLEFITLVPFVKFVNRLPIINLEKNVKNLL -ERMGLEDLLPVYIVLMVLYVMLKLNGKIVRTPKQYYKVINGKLIMHGNLGKCIKNITLVF -MEILVVLAMISWALGTVYGLVRQYLDNNTENNASWYVHMAFGIFCLWQIGVKILLINSIR -KVETETSKTTMISMIVYAAVFGLFMIYVGLQYLVWMIRYDQYINGIIGVFFNGMPYIFGT -MIVEIIRRLIAPIFCLMRERFYNTHDEFTRNMLSKAETLNQWITNSCLMVKLSISIIYAL -SAMVKTGWIAMIYNHDHIETIASNYEVFQTVIVLCATFAALFEFDKIIVEWFLGEIQVKE -KLTYERVKYNDIKRNAIFTDDLWQELTKDDQDRVEMSKNKTNLGEVKTGTKRNYDNARRL -LNETESDLTTTKQTEVFTEVLPAVNERDMSKIIHKSKFVLKEKKYVIQNEQCKLDDELEI -NFEDYSKNPNTYIQNEDYIPLMMEIDSKIKEKKTAMGLQSVGQKNAVHMEMISKAGQNLQ -KLCDIYSPLAGYGRFSVVQETNWRFVNFLIPYMSLSSFFLEVIHGIWGGMDKLAGLLSVD -LTKTPIILAAKGNAKDEITNKDIFTIEEYFRITGKTYKDLRDHIKNTDEKHDNLIPNSDT -IYVVGSSKGKLFVAFDLNIVTQLEQRENSSIMGENIFGVFHYYELEQARTVRPSRIENDM -TLSTSGTFGGLRYSVPKGTGLLYCNSLNMYLHETIDNMDKLEVNNDFKDKDYPDWLLGIM -ALSVGKVYLTKQDAYTGAEGYIGSCATVNYAGKLHIITNKHVVMGYGKEHPLRTKSSIGY -VGTHKNVEARFMLQGKVYNVQMETLVAAGDDFVLYSIDSEMERRLSRALGPIGIQEAVNY -FPSFSENMMKTGIYLSATRQTDRTIDDEMNKISLRNTLVQWDSARQIYNILGYTTQGMSG -SPIFHIEQDAKRTKIYLVGLNSAVESLERHQVHMTRIIDAFKIKDVCDSFSKGNARSVNE -NCILPCLTEQLQFDMQQAYSINFCGIDVEEKWGGGIWPILKNNTLLCNKGKYDEQKKAQY -FWNIYNHEMMMSESPAKIDHDLVSEMFESCAVTTDIKHEKLLEIDMRSEGFCMMDMLKLI -NIIRTKDSKGKSRYDGFSFRWNNLKEYKFINNSSDTWIFNIQICKQDIDNRTIIVEDNFL -HSFFASIESDDDYTTIYDKVLKNAPIDKVVTGTWLGNQLMVTTYDKKEFNLCTTGTLGTL -YMYCGELDKKIPSVPVTEEEFEDLKNNPDKRQEIYNRIKNLKEDKKQIAADLEKKRRQIT -DEKQSYKYLEKANEYESVINDYKKKVKEFEQNNKNVQGLLQELKAQKKEIEMRYNHLMQE -KRNNKKDKIEEAKKEREAFKNEEKGIIDEIEKKINELRGNINESKEQVKQLIESRRLIGE -NNHHKRKEIFLKNEIEELTREIEALQIKKEDELKVIKQKLKENQIPPPEKPDVQNPELSP -EMTQMRKEVTNSRKMIRDIDQKIDELKTMLEHKSINLNSKVTVANVQVVTDKVKQINDFV -EQQCRTIQTEDGYNSLMETATRLIDSLEQDLLDDFEALQNSYYNLLEKDPFEEVKRKKKS -QPRSDEEKNLRKRDYDREELQNSGEERDQKKPWRGNRFEVLNSLPKDTQPSTSKDSETKQ -QDIPIEISIEEMEKMMKGQKQESKKLRDRTYDRVKTVLKQFKNGHKTFDNWGQRIDGKFY -RIKIEKKDLPKLVEIQREFCENEWEISKAELDEAMNGPVEKKFANQFLGTNRYIKMRLES -KTDKAQNGIVNMIFSTESKATVKSQIEQGRTESELMRNNNYAIFDKQEQWPGWAASLVDN -WFKNEKIIPIWARQLSVRQISEKFVTVIQVSDKMKPLLTNEQDSNSFLYSTVVPRTRKVN -WRVELTDVFPVKDKLRKNRAHYEPVFPEYLKTTWVDDMILYHKTKDDFKSYISHEQQLVH -VSDENFEIIKPIIDELHDKHFKKFNNSLSWDTFDHTTGQKIKQIAEIDVEDNFKRITDSK -GQIFQDVNSLLKYTNHDHIITEKIHKQIPEEIDYVKIYTLSDARNNIINGFNKIADSGYV -HEGWDKNIGFDLDEFNISIRSYKTAQYPTVGKYYERYKNLINNPDTAIESIVIDNVMEDL -LIEKGFNTQQLLSNSDYYITEKNIYHLTDSIARYNLSPLPRSEQLQNDVDELKFFAYCLY -NNDRKIGLSGFRPDSFIKVNGQSAPGYGLNRIFKSNENLKDFLPAYVDYYHDLVLNYGYI -PSCIYKSFEKLCVQKKEKIKEIRTISGAPSWLSALGKQYAHPEESLYQKCMNETTLKLGQ -NFYGGGFDYFAEELLASSNEQILYRTSDFSKNDIFEHVYVKELISKNRIEVSGFTDEEKK -IYCALHENNTNFIRSTPWGELYQVETGKASGSPWTCIDNCKKHDGILYVQAAKMICKEKD -IEFKPDNFKLLYNIICQGDEIDFKCFSDDAIHKVKPVYVKYFINGEQDIETKINKNMGVL -IKPNKISEWTDKLDYDFEKKEGICWLGNHFTKYEGKWYPIRSEEKVLFGLLSINPESRVG -KDVNLNPDTTLAYSYRLAKLFSFMLFVVPNERVFKLLETIRSEVVQRIKAKVPDTKLSMY -DLLEKVDEKFVSLWIAAEKVKMEDKNCDIWSHTDFKNFIGKEILLYTNTVKLNALIYEGQ -RTGFDFSHGSNVIIDLLKESNVEIKENLESTILAANHVDDIFMRKQMPFAHVNNKNIPKI -LSGLMNTVYNSTCDPEVLNMRINNFLSIRLHIDYDIEQINQLGYKTVVLTQKNIINYKKI -GTDVRLLIALPRLNEIQNIYSIAQLFNGRSYIYIETDKVHKVDLSYLFDNFEQKNRWLIH -EGTYLYEFKRRNNTIERTLNYSACSSYSTDEFNKENLQFFEKDKKDPNAFKDYQYRKNML -EHYEVNCDPRFVEYMLHHEQTICENFNVDQRESNHFIQSINGGPGTGKTTKLIEVIENLK -DKNYKLLVVASANEQISNIGNRMMKKNMKFGMSVSQKARELNLVPQDLLNYTNKYKRSDI -MLCTMHTAYTKKYRSDIILVDECSRVTIAEMVLFLCRVSPFYSKHVNYDVKKLYLFGDKY -QANVFNPDNLQTNFMQPLSSLIPTEDTIFLNRTYRFGPNIVEILNSIAYAELPLVAEKKE -DTKIDTRFTCYIGKQIHHEKLSEINGEQSYVNIENARYVMESLKKEHGMESAKIIVPYRG -QQRLYKHHYNEDVSTIDASQGREYDHVILDLTRCNANGNCGFSNELFRFIVSITRCKQSL -TIVGCNKVVNGTHFARVCSRGTDTFTKCSQ ->YP_009345056.1 hypothetical protein 1 [Xinzhou nematode virus 7] -MDNEMLRGGRGPPINQPRAPPPDRRGGAGRRTRNQVGADQLGFRRGPRTQLRGPSRVRSS -RASVARGGRGNFPPPPPRGPAQFEARGMPHHRGSQGLPRPLPAPGQQQAAPVDHFPPLVP -REGEPGPQPVLPCVVQWPCVPAPPGPAGPQAQPPAPPGAVNCGAPQRHRVAPIRALSEGG -EPFEPEVGPTLRDRCREYLSRRLVWLARTPENRAAVVRAARTFVSSQDPDCLVRAPWLSA -LIDELWFDLRVELELGRVRPWSVALHEARHAHYVEGRAHELFTPWRLLNYEQSLGLDQLS -AYWRPIALCAGGAGLFCGAVAIGCWLGGAHRRLPFEVVLRWG ->YP_009345049.1 putative matrix protein [Wuhan pillworm virus 2] -MSLSKFRAILSRSTEDAPPPYNPGWSLEKVVERARIDTSYQITSSLRIVSNREMTEHHGE -MMLEKLKEYYSGSVRMRDFYMLIFLAAFNSVGQGKVQGFNTCWEVNFDGVVRLGTDKDPP -MIPIQPGTISGEVCANGIKARFNFVSTIHRSNAVGLPLSVFIAGRNKVALGTSLYRASLL -DINISVIDDEIVVKI ->YP_009345052.1 putative glycoprotein 2 [Wuhan pillworm virus 2] -MVAPWTGWGRELLGSIIQYTTQLEKNSPELTRILGLLSPSLPFLLFHTPTHIVIVPMTSL -INCLCLVVFVTTPTYSLETSYPQSCDPRPFPCLWMCFDHWEDYELAVSVPVVELTPQVVI -GYLGIWKNDTCEAYQSPDHPRTHPLALFDPLSGMFIQPSFEDRRCENPPCLTAWRTCWMP -ISDRTPYPLYRDTVLAFFVREAPEFDGLHVVVTLGGLTSAHYKDLCYMYKGTMIGLTTVH -GGYWVGIGDDIDSLIKFLHLPSIPSCYNRARLHIPESQICNIKTNRQRRGEPQVEWSSGI -LFSLGPLGNVEEPKLIRVIPKKGWGGDSQEWDDHWKESTLLHSGYAQLMREGFLNRTIPK -EERKNPSRKYLPSLVVLILFCIAFYAIFLCLVPMRCPELINKLINRRRRRRGIQTFRENL -AI ->YP_009345048.1 hypothetical protein [Wuhan pillworm virus 2] -MDSTTVITKKMMKTLRGLDKRLKEEDQKYSDNVESQGSGPSFLTEKTQEFFSGPVTSVAE -QPNEVVVEDDNESRSSEDQEEFSIIPRRIIIDVSRVERRLVNWLLSTLDFFHIPHREEGE -RDNPGQSYDDEIKSFRRNEATSTEIKDDSWGDYQENIEEKESKKLINNKYDPDLMRFTMK -GRKGQKARIIFMSDIFDNDFSPLWNCKTLTDVKNKIKETGFYARYYRMYNLEDYEIKFDT -Q ->YP_009345027.1 hypothetical protein 2 [Xingshan nematode virus 6] -MASDSLKSTDYVGRLRSALGNRRTVQDRETEDFTVASGLAFYSALFTPVATSILPGDVRE -AMCGLNTVSQKTSGSGCFSLHHTVSDIMPLNLELGDEKKGNNRAARKVSGGNGKVKRKSN -DQGWDVKNQAVHGREVKVSTGLGCHQGTPPVTSLYAQLVHRQCLPLEAGSGTQASTTNNP -VYTDYGSLAFMTEVVTGQPGSWKDDAPILRMLLLGDIFAMADRYKLDPIWYKLARDANPS -SIEWHMTGLPKEGGVNSRSVQITAVTLDVWAALRVGSLKDSTLSELVQGVNCAVIPISSN -KLDEAWIWLYLAAFCTSRIWNGLHQWEVSLDPSVRSKSEKLLETIFTTRSSLCMVEGHER -ILLVLTDVTSRLGYKTTRLAREKIVPIWQKTDPKVGWVEIIDELRYATGVTTLDYPHLGD -LWRMAWKEMMASVATGDSVNRCMALVAEATRVMDVGFYSGGEVNVMSLGKIEGLPELDGV -VWKNRQWESMTADDAKFGLQDASLSWVSVSGMRPDFAWTVLDPEKGRSMLTVGGIDASLY -CHEATGDMRMARYVGIISGAERVALETCVAVSENVSAIASFMACAVGWMQSVAGIPWYKW -AHHHNLPELTSIDDLASMMLDAASDGHIKRSYADPTWCTWVENNIFHGQPWSKVCTTILP -WWAMKFVIQKVGYHLDVGLVERQKPVEGKRPRIGYWATMASEWQNVARVTSRITVRYPDE -CVEYYTSSYDNANLGAGVVGYNWHRAAAEYGESQYHWQTSARPWNKSVDSSTLITPVSYA -MVYGNPSGMLITMTRNRVGSGMDDQDVTGRWPDPWWNWLLEGVYEALPHLLQGNLLGAAL -AGMSGGVNAFFRERLNPVSAQKEQQARMESAARAADKILTAGKDLVEHGKAAAAETVAAA -REFAGEAGPSGPA ->YP_009345026.1 hypothetical protein 1 [Xingshan nematode virus 6] -MFSYVRRGPRRRRGTETASAPLVVWGLRLIRRNSIPLAGWGLPGYSGVLTRNLGTTSSIN -GGRFCMVRDLRGSWLLVVGCKQPVKQAHRSTWECSGALGVTGNNKARRVYRMAGISGRIP -PRPLPLGVPCHFVVRVHGCPWHG ->YP_009345024.1 hypothetical protein 4 [Wuhan spider virus 4] -MSKMGAECSNAPAGDCQFYKGVLNTYAQIIRQILEQLEAYKDFPYKANDYYVMLTQVIIS -ASDDDFDNCRALLASMDSWIEEISPFDARDVISRTLMQALSKFHFSFKQNLIDNLKKACP -KKVRTPLHSQCSSCDHFPEKSEEEVEVVRNAIYEMYLEDQDLKRRGVLTRKPPSHSFGGE -TIFKPVPIPAPVHKSPPKTPERRPSRPSSRNSQKVYRCDIPCYPTQEEANRAYRKAQHDA -WFYKHEEWPMEICEPQIGEPEVYDQEQDDSWRDPNVYDDDGTPFHPRNLP ->YP_009345023.1 hypothetical protein 3 [Wuhan spider virus 4] -MAAAALAAAVGGSTISAGATLGASLGAAQIQRNTSLQVQDSQQKFTEGIINRGEQAFTKN -GLPGYLYWQGTGPMPNTLYQVNGANFAEGFGVNTNLPYYTSNPMSQIYKAGKPQYSNKDA -TETPPTKISSSPQSNTQPKTFEPPSEFNRTGWQSDRAGLGQGRFASNYNAVPPPNFNSAA -TQTRNDALIRSVGVQFPGPGPRIITPRVPSSYRAIN ->YP_009345018.1 hypothetical protein 4 [Wuhan spider virus 5] -MASQDLRTFSENMEVVANGPVLPKDKYVQVCISTITSVCQLLTALNFYPKFPKIEEICLG -LDSVMVHLRAHKFNLARAYLLDVIQNFKPLSSFDAGDAFSRSLAKSALTLLNSVQQNGID -NCIKSNASFKARALPRVVPRRKRKSPLHKYNQTLEEIEKFADKFRTCLKINEEEPMEVEI -QNKKVEEEPMELDPREDWTYEDEMAMQTDPAYASSYGD ->YP_009345017.1 hypothetical protein 3 [Wuhan spider virus 5] -MALVALGAAALAGGISAGATLGASLGAADVQSKTATSINNQNLDFAKQQYSEGLSSFKQA -GLPSFLYYSGGNSGLGNLPKTQSHIEGSSFSSSLGVNADLPYYSANPYNQYLQTGRPVPT -NKQTQERIPNSNNNTPRSNDIELQDFSSIEPTSRITNSNGNNFQYGGSTSMDNLRAQFAP -MLGGNRQSGSGKYSSVPPPASYFTSNRYSQTPPNFGNVSKSSQTSYSSNFGSNSATNNTR -SFYSQTNGIFFRSVGFNPLSARGR ->YP_009345011.1 hypothetical protein 3 [Wuhan spider virus 6] -MAQAQGLGLLINRAADYQKSAFDTGLNYLSNSALQNNFWNNKQNYQSNILNYANTLQRSN -FNYLMDMKQDSLQKAGLPSYLKFSGNLPFGTPFSSQAMSGQNYLNSTLPGNAARAPYNAY -STQNLGWGQAPTY ->YP_009345012.1 hypothetical protein 4 [Wuhan spider virus 6] -MGFIMANISARLFGDEIVEMAERRAQENDRFGNQDEFPGYQANNSDPDALLEAVDFSPES -ITTNDSLQPIVQKLEDVQTAIKDLINSEAVDGRMLEDLFTKRDALQGIMRASTFVRMRSA -FPTMCAIGARVSNDATTRDACWALDRVLKREFTDFFGSESNRPYPFPYSRFVDIHTLTLA -KANNIFFQNHPFAFGLKYYQDQIMAIARNEGNMRKRKHKILNVLRNCQSYISKNYFVYKG -SPSIWYDDGTEVDDAIEWLIDFPEVNSHGFQMLATLPGGLNYYSTYWLSLRAIALQNPVL -RATIQP ->YP_009345008.1 hypothetical protein 4 [Wuhan spider virus 8] -MMARNKKNVSCQTTAGQTSWTQRSAGGAGLSKSQRQRQRRKQRAAAGTVAIATAGAGAAT -VDPTTNAAAAFLASMGHQAGRYWRWLNNTHLGSTPAGRLFALFSVHPAAMFHYAKYPEHV -PDDATANTYLSKFNMNMRVSATTGQYQGATYILVTGNPEMPLLFYTADNVWTRKWPGLIG -QTPYRVVGGAYRWVCFGLTVYVHQTANEIPADLEICKLRVPTETILNPDNEVPFAQWWVP -NHDDFANLDWDLQPPGKGLYAVSFNGGEHPQFAPRFEATRLRMIRPSSSGKRYQEFVFDD -DTPQNLSLEDRDCFLLRISGNTPITLKVYGLLEIEPETNDTTAMGPITSKALSDPQALLF -VTRAAQITRGVLPAAANSEDWLSRLGSSLGSSLAGLARPLLAPLDPLMQMLKL ->YP_009345006.1 hypothetical protein 2 [Wuhan spider virus 8] -MFGLGMLWRTAPAESPRSPPTPSPPASAASGEAKGWKKLPDKFEPLSERKELDLALPPTQ -EVVRQACEEAGHGPASGGTWQGKVHPALLEKALNVGVAEPCEEGNSRPPAMHILGTSSIA -ESRFATAQWFSTKVPSGLIEMCVRRAMCLGRSAKLAALLKVEADRWIRDRYSAISDELAT -RWIVVAVARGMQISDDEITLWKSLNHEQANEQMHRASRFWNGEPAVPLEDGLLMRLSRGT -FARRIGKNKLWSAKDPQ ->YP_009345005.1 hypothetical protein 1 [Wuhan spider virus 8] -MPAASFMERIEYNITAAVTSAVLQSLGFVAPGTSSTPATSAAAAPPAPAKVVKTKKTPPK -AAPVKAAAPPAPVKAAAPPAPEAEVDERKQTAKQAKKAAKQAKKAAKGELLNKAQQEQEK -SASSHQEKPETEASAKTRLRREQRKKQKKSKKPAKQEGFTPAKPDKKGKGKKKATPETAG -FVPLGESGAKREKKFGKADATHYKMGWTKEEQTKASSAFRCDLACVRCNYATGNEICNNC -RKFIKLVCCSVEKPNEAHAKWVAGGKLGEEPPATYIGAAERRVERSRGRMRVVCSGCDTP -IVDWPRFMLGWQHAEAPSVRIIMCKRKACREPAAAGWLNPGSSFSPKQVEEGPVIATADE -LRGISKKEESKPHTRGNPRLQDFLSENQFAALEEASAAAGAKGPRVTPKRPKKARQSVEA -GSQTTEPDLRNYISLDAVRTAIETGDLERLYLEAATHAALSAAKASVDAGTQTEVPHKHS -VACGPDAEQSETPAAQDSVASDVAPSPSTSFAQAVRGATASTSAAPQDKGVGQTPEKQQP -KASKSSKPWPKDKPVALAVGMSTLDWVEDGIQELKGKFDKPHGPKARGVLLFSDVPRTYS -YSGVKLSPREKLPWMVSLERLALKYLKEEGGLDVKPTDFGMLVVNDYGKDQGIPEHSDNE -RDIDQDRPIISLSVGGKRLMTFTDLKGEVVEEVPLASWDLVVMPPGSQKLFHHKVDGEGG -PRTNFTWRAWKSAGRPHPR ->YP_009345001.1 hypothetical protein 4 [Wuhan spider virus 9] -MHAIVVTDHIWTNYGRYAATAQSFINQQRALYDPNDRAWHIAPQHQPLAIVAHDWQWDAT -YRCYISNLDYSWAVDYTHKISPQFWFSVHMPKGIAMPVVGAVGSDWDVIQHWIHGVPVIT -HIEDQVSRGAKIGGVEYNYTDLSINLQLTIPKHSQNFRINLPLITLYPDTPYIITVAATF -YMFTLERAKKEQPGFYNLAEVWFYQEGGAPDEPSR ->YP_009344998.1 hypothetical protein 1 [Wuhan spider virus 9] -MDQNVEGPLPGPLGLDEQIDNDYINIGHEAGHDQAMQIAVHDNDWADLINLEHLEPIIPE -PPIVHRRAGTLLQLFREPRRWRRALRQVGPPRLAPQPDEIDDLNDAFDVLYRDAPAQVYQ -PIVVPRERLNFPKRGLWQRIKAKIFKKQDATTTTEPMEMGCQCDLLAEDVPPLPPRDVYE -YAGPKKVRIIDDNRPEFKFTPSTWLGRYDMADDDLVSFLYSRSMFMEDGKLTLLYMKQRA -IKWLDGFDKQKYSMKDIAIIIRDSTREAYDIEHRVVKTTPFLMRLTFIMVIAMLGILTHH -YTTQFRQGLEKDLSYNYPGIIPVVKEITHNTITIETWYGVLEVPDTNLHTKAMFCNQNLA -NPGCLEFCPHAVLNAYTHPHIAYACLFRVASLRSMTSADREHALKLSTELAWNTKWGYTA -RARVMSWFLLPNVIWRQSYNLVWKILSGRAGLQESFTMLYWSPQVITMLSLLIVGPRGPS -ILRP ->YP_009344995.1 hypothetical protein [Wuhan insect virus 8] -MSSSYFITLFSLILLSLYLCKNFQNVSSSQLLSTFYNSSFWNKLGRSASISNHPINEYLS -AKARLDLLGTYSSSVFSFDRECISVVKTNALLEVDCQLPSRCGTLHHKLLSKTFYGQEVR -VCYSFHHSVYADAINLYTIKFPRDLHYGMLTQHDSVDVHDIVPVDFFEHIVIANATDGYH -LFPQICLQQYTSYSDDLPPSVVFKESDDTLCVSHTSLANVDCRPLFFPSVAAIVTTFDFP -IAFKYNPYNYLLHLKFRNQDPDVFGYAADTTRGYFPISFINNLNYVSRSPLSSHFLDRNG -SSTVFSRNYIYFDSRVHSFSSKDYCYRIESLYKNPISVLASTLISVVSPIFYELLELLEI -EVENIIRLIVKAFLFFLKLVVELLSLIITPNVLSALMCAFSIYIYCFDFVITFVSFLIFL -AFFLSY ->YP_009344990.1 putative RNA silencing suppressor [Solanum nodiflorum mottle virus] -MPSIILEIISSYTVNGAPCDISEPLPFSRELIDTKKLFIDLPGDNLPDSYRVYGRFNPAC -ISAVELHIVCANCGASYWKFAELKNVELVEFDYVESITRPGSLPSVYTYTNLVDAELTVE -VCDESETCSEHHLNTILGLADAAGEFSLDSDSDEEDQLSLLYRGDLSLERDAATDWRHRE -TDEN ->YP_009344988.1 putative glycoprotein [Xinzhou nematode virus 4] -MDVSAIKVLLAVLSVVHPILIPDCKSNTVWFPATLDISMCPLPLTEYTSSIFKEGQIGLF -SPEDMTQKLVDGAICYIKVSKVKCTTGFFWSQNIQKSVSFRRPPKSACRDHIDQQREEIS -FTEAEFPTPVCKFQLLSSTTTTTESETLIVEPHPIEYSYRDQLLLNSVLLRGKCRERICP -TYRLEAYWIAKDPLPDCPPMTRHPAKIYFDGQKPVSVISDVLPPLGLKGSCRTVYCGQPG -IRTVENIFINISGVDITSQIPTCTERHQLEGFSKDISSKGELLTFEEHTKYTLCIYAVIL -MKSLEVKHPYLLSVFQPQFAGSHPCYRIFNRTTIMKAICPYIHMDPVGVSPHGVIGTDKH -SKPVIWKFWDALTKEKCPSLGPNGIIRDEQCKVHYPHEYVGSDIMSLIGEMMRDHAPIEK -SFDILTRNTQSGNVEISDKRKSQSDSRSFIDAMSDDFTTLKADFLIAVKAFAGFCVVCVL -YKLKFFKLITKMLCCCISLGKLSTKLFVASSSTVLRSSNTHRLRRRQRRHEEIEMNPFA ->YP_009344987.1 hypothetical protein 3 [Xinzhou nematode virus 4] -MLSKFKGSKKGKPGKENPPGSSRETSADVSVGWDTPPNLGIPTSASSQSPTVYSVESEQH -HLLRLEVECHLVIRASKALTIKDLAGHINHLFDHYCGQMGLYDVSNILLCGSLSNVRAKA -TRIQSMPVEYSSDIVTVIELMTVGNKAPLLLNPAECSADWTSIGINHKTEWHFQAVMKLT -TTPGVSLLKVAGSKFYNVVAFSSVPHTYNSVTGMLTLL ->YP_009344986.1 hypothetical protein 2 [Xinzhou nematode virus 4] -MSDSNESPPEHQFAVPAVDHGHLARLQREINLRANIAQRDSTLFRHVELTDDSPVIPTQQ -VFERPPKLSTSKVTREEIQHARTMSIISNESSKTGSEQQLTFPIPSTDCDAESYIAGIHA -ACIELTSIMKFKIDYFDSNESTAIVGISKMHSLSRSTSSTQMSTEPLSVAPPSTQSSHMD -VSGTEEPLSLNEILARYDDPVELTDNLGEMFQVYWKNEISHPKELLAQVIKKIGKEKFLD -RPLNQQVCLMILGDPSFEYLRGIVAPSFRR ->YP_009344983.1 hypothetical protein [Xinzhou nematode virus 5] -MAHSAESEIMEQLRELDAMPMPTFVRRGVILGTYGRHQIDYKKDELFQPDINKAQLCLRV -VTKGAVEEVRVHHVLTARMIKYLFDEEQGAPDSPASETATRDAPGAVLLVALKSLRLASN -PDKSPLNVKSEIIVSAPALSNGYVLWKNETSDSDTMRAVESVRDSMLASDVIECKLAGDR -LVARGFTGLGLIVQLVHHLTSDEAKEDFARLAAAYQFELKALIRTLVWLKKADHNYTYGF -YLGIPQDCGSKTYIHLSAIAFRALNIPSMAQYAGGLRNVQFPPNVMELIEKLRAHIMDVT -LPTDLGDWIHVVNGSTDHIVKLEASLVRGIPEPLIPPAAKPSAKRGAPAPSAVETIEEEG -DEGSEAAGPSRRRSPHSPADDQPIAPKSRKTRK ->YP_009344980.1 hypothetical protein [Xinzhou dimarhabdovirus virus 1] -MKRILSKSWWTSKSHSHEMGESSGSHPESQSVPVSWDQGVALTEPPSAPLSSSGPFCLDL -EVEAYLHVQCNRGVSVKTLSQHISQYRDAYQGELGLYGVNSIVLCLALSGVNKCQLTESS -AYHFKYTSEIATVCRFFTQSDKTILFRYGRVVSKSAWSSLGIGHKTNWVFSVSLKPTRVS -GLDYEKHAPKAFAELFPLMELNFSRDPETNQIILV ->YP_009344977.1 putative glycoprotein [Xingshan nematode virus 4] -MFHTWITLGILHQFSTSILLPDCPSKTNWHDVHLTSTLCERGGKPTEYNKLETTVIKVLN -HKQFQKEHEGYFCSSVTLYTICTTNFWFQSTIDKSLERELPSLIDCYLALDHSKEDPIDF -HLQLSYPPAKCEWGFPSKTISQSKKVISLSSHSARFNSITAGLEDPLFLHGECYQSRCST -NLPGTIWIAKKNFSECEGLTGLLVHVGTIGASHQVILHKGLPAISSFTLCEKTLCKREGF -ETSFGLFFTSNYPFTHVQSSCKNPYNSKYYTSEYDAEVRLQLIEKDLIVLKCKQAVTSML -RSKSIFNPYLHYFNPTKAGTAPVYQLFQDKLKVAICSYIHAEPYSIDNNASGIRDTLGYR -VNSSELSWKFWSHNPQEPNSSCSALGPNGIHRDDNCRLFYPYLNLLDELTGDPVMKLVNI -STPQIKNSYNYLNKRLRKQMMDELDNPNFFNLLGQTVVNFWHLGLIFLVSFGGGLFLIKS -FKYWIVNYKKKRQNDNFERVQQVELSLIPFGE ->YP_009344976.1 hypothetical protein 2 [Xingshan nematode virus 4] -MNYLPILRRLNKNGNRNENRNDNTKNPSNLGENFKPKKDQTFLTDFPKGEFKNFNSIQTS -SRSPQLYFDKIEKPIVELSCGPKFSKEYIEKVHYTLNLEVNAEVTILTTKRLTIQEIAGH -LLEHRERFVGDLRIYPIYHITMLLCLHNCKHQDITRMEFKHQYSNHMETVLQINTKFSKD -NVISKNGVAYRVEWTNLGIDYKLHWMFRTFLKKTTVKGISPTYQQFPLLPYFLEASALSV -CLKETNLIITQ ->YP_009344975.1 hypothetical protein 1 [Xingshan nematode virus 4] -MTEGRLFFEPEDVKSTNWIPQQANYLKTDLRKDRELTGMDDLESSNFLDDDVYSPPPRIP -FGELSQDTLKTVQPVENPSGNTSPGFTTYKFTPIGDNPEFQRRLVSETLKDLEKLHLFTI -IHEQRENQSLVFQLKRKTRFPSTHSGISEINPEERRSSVPTDQLREIVQEKSRIYSVQTK -ARKIVDDFPCPVKMIGASGSPFKINWKEINVQLEQELMAFTEEELEELGKLSHDRILGKL -LIKNHLSSPVTKLISYIYFE ->YP_009344972.1 hypothetical protein [Xinzhou toro-like virus] -MHILLLSFLFTPLFAEIRVKPPYAPFVTQGCFTPTPTENPVPATGLLVTDQSTTFYGLDH -LMCSDFQPLHERLRKCELVTNPANVDFGLASNLVVPSTTGNLTKPIYNKTVDTIILFEGS -SIGFTPNMHNFLPFGRKFYRLFYPLLTKYKTIVQTSNSTNQMQWTDGRPTTKIYPPGLKL -LTVCFTTPNVHQSPSIKIRVLMDGKVQFSVFSANATVDIPPKYSCRPFLTNGENLTISLP -KGKFIKTYGISYSYYTFSNPGNNLLHVVAQCRQSSDVCTLQTKACQETPNCLAHNLGPFD -LSSNIEDNNKLFYDYLIQANKDSIWCAYNGKQYENTGCIFNSTYYTPFISNSPYKVWTQI -ENGEAKPLDRFNKILDRSRSWSVFDIDYKSFDAYDPLIAMLEHEGFRWVGGDLVKDNVTL -ASSISHAYSKFPTITVILCIVVVLSIISFGLSLLCSAKLQKTYRTN ->YP_009344966.1 hypothetical protein 3 [Jingmen tombus-like virus 2] -MRKANLMNGASGPTIQSNNNNPPKSNNDANRVVPASRRRRRNRNRRRRAPFTRPSPPLAE -SASLNTYADIVQRGGMAILRCREVFQILAPLSESPIALMLPATPTKWTRTRTGVLSSTYA -AYRPLQMRLTYVPSVAATTAGSVVVGTVFAGVRLETTDFNGLIRQMPVSNGGFVTTVWHS -CTSRVSLGTNLRANNFPTFSVDMDDIPFWILVGTSSTVQPGWLVVEAQFSLHNPLNNQGA -VSFSGFGPATFTQGTGNTTISIPQADFVGDLESGREYVFTPSASVGPSEGVVAGTLEPFR -AAYTALTGDNYQFIGEFSIPSTVTQFIAGVGRSANFTQVE ->YP_009344964.1 hypothetical protein 1 [Jingmen tombus-like virus 2] -MVAIMVALVFIALIIPDTPKISSDFSAFHEGLYGLSLMYDPYVDNDRHKFDHLCPTPATF -DQTDFVEVQLTLPDDSNYAVDGESFLTENATQRNVTINRYELNRLLRLIIRRAQTGIGTF -QQLLQTCHAIRLRDHPFNYPNYIAIAMSLASSMLGKKLGFQSGPTCSNLVWTTSRGCEIM -KYLITSTFQRLAPSSGEVKSSTTETTPPSSESAPAVARSRTRRTTAGRTG ->YP_009344826.1 minor capsid cell attachment protein sigma1s [Mammalian orthoreovirus 3] -MEHHCQKGLNQGLQRSKRRPKYTLILSSGSPKDSMMQINESSLLNKVGTTWLHQSVMHNL -QSPDWKTLSEPSKQLSMDLIRVLPSWVVEWDSLRQDLQDYALTTTISLREWILRNVTLDH ->YP_009344814.1 minor glycoprotein [Kibale red colobus virus 1] -MQHHPLRLQQQYTCWLYLTFIFICGRYYGSSSSVVSATSNPTGNSSFSCNMTCLPCHFNY -TSYSAHGSQDRDVSAYSFDCATHFLAGKTTEAINISVQYHNIISLLALQMCIARGLALAP -TNNTVYFRSDNQTITHLCYAPFQPATHTRSPSPYVLKWGAAITCLLGIAISIK ->YP_009344813.1 minor glycoprotein [Kibale red colobus virus 1] -MPISCSSLLAYLQWHSSSFSLRSISSPCISASRAWLFFYSCYLCLTVRAVADSSNQNLAI -HLHSTALTFNVTLRSYGCYLLGSVEGGSQAKYNTCPNYGSAHIGSNQSGVIAESSAFNYE -LLIAAFNQTRKYPNLYNSTNVTVSCDYAGCNITRYGYSNNTPAGCTSHSFSFAEDTMAAL -LVLYLPPAILLATALFLAT ->YP_009344812.1 minor glycoprotein [Kibale red colobus virus 1] -MPFTSSSSLCLTCLYIWLSSSSVWQLADSSATPLGQYFAARLGPSLPPALISKRLLSRCS -ANIINYLKHPLGIVGREHVQELFHRLRVRSEPPLDFTVSGIRMHYHYNATCEDNQYFVPK -VEEPLSKAIAQIQRAQRQSCQYLVQAYLHTYNGTLHPSPYDPYLAHAYQHLEHGYSFTLV -ISALLFVLLPILRIRTLRSIFIPLL ->YP_009344806.1 nsp2TF protein, partial [Kibale red colobus virus 1] -LTSSPTSSTSYMGLTALCTLGLIVVLCCLCAYVCSYACMRNLLASPYWRYHYTYAMPDSP -YVYLVFLLFFSMWLHYSFQTNTIYALSMTTNVCSFCMTCSAVSVLALLGFLPQVPLQPGL -SSSTIFGVLWYWDIFSITWVFLSTLASSVWSLVTIAYVGDAFALVSGQPPRSWYSLRCLR -AVYTGLLYWMYAIITPTHQWTSSNRLQGMPGVIVDNCPA ->YP_009342472.1 hypothetical protein [Botryosphaeria dothidea virus 1] -MAFPMSYRQFIDTIPDEIFFAYAEAFAQADDPVGLLQRIREADGEDIEFEISGQLVSMPC -LIDCSDILDGTAGTQGPSGATQEIVEAVEEHVTPDVGFVGTGVCAQLTIATQRLYPHNEI -EAVRAMRMDDGPVDTSQPYRGVAEFTGATSDPHPGWGGDALPAAPVFYTGHYGPAPTCAY -VGDGLVVFAQTHTHLKEQVRTAWTKGPREGDGVSYYQLAKTPHGVKAFKLQYVANRGTLL -WNGRVPLGYLDFVKQLGVIRARDRRWGPPPGSDHRLEPV ->YP_009342459.1 hypothetical protein [Wuhan fly virus 5] -MSRYNFNNNNNGGNFQGFVPDFNNWRDPNMSYQYNNQQQQRPQQNFGPQRGGRPHVFRGR -GGRRGGISNNPKANVRNPVELKEETPKPTTMPTGQHLSKTNSEFKNDPLYLEAFMPSPSE -ELVPIAEELNHFPGFDGLTTLIEETYENFSAHNLNFKRSVSLSAYSYYITVLSWARVLYL -KKMNKYKLTTNELEFIEMVYEQGNFLLPKSVIIYLSGFGNFNIPSGVESKFNLKPYTYDE -LGYFVDFDTKFYLCTSYPNISIAAERVMRDLAYTDNPEIGEAWSPAEIEQEWNTRCIGYA -PSVIIHDMQRAVLERALVRSDNFPSDLNGFLVNVRLMNNIQKYLSEIPSLESGPIPKNLT -GSLGQFIIEIPTVSVGANDEIGSLSFTSKSPLSCPGSMSFLGGSFLYRVDKDLTPARRKY -FYPYTKDSDTQEDKINLNLLNTGWSPIFNQIYHYSNVPFKPVLRVKKFCSIDVKPSGV ->YP_009342456.1 hypothetical protein [Wuhan house centipede virus 6] -MRLLPPGTVTTITRVLSGTTNHYYAATVGTITYRILLNWARSTNQTGYLISNNSTTPNPS -VLGLIAPTLRRLRPVRQRTPQVSGRNRVGQQSAPNRSIARTQVVREDGRLVYQTNPTSNN -NHTRGYAETNDAARDGDAIEPVTLDIRVMSRDKSDL ->YP_009342455.1 capsid protein [Wuhan house centipede virus 6] -MVNKNNQKARNGKTKRKTRNGQLQATREGPASSRSGMRTGIPRIRNRDPYSIEVCNTEIA -MTITGTAAGGIIPAGGNIKIMRFDDSSTGNALNNKHWITKLGLAYDKFVIEELSMKFVPS -LPFTAAGMSAMYFDSDPSRTTPPTSVASVSGDMRAVSKQIYAELPLKVLRNQLNRLPQYE -TFPGSGDTGVATVGSINFVHDSIAMPNASTTGNITIGNVWMTYRIRLINPSNAVA ->YP_009342452.1 hypothetical protein [Wuhan insect virus 21] -MPRRQAVTSRPWRGLTRAAPVIATRRRVSSRSAKDFLARLGQAFNRVTANPIAIIAFAIC -AIMAVDHAKDPLSDSIKKVADALIKMPTLQFVGEYLKEHIKQTVGAVAMGAAVLVSARPA -EKTTYLLGTTLFSYIIPEYSIWAYGCYAAALTMLLQLRRLEDRLLIAGACFVIYVMTVKD -TDHTARVG ->YP_009342453.1 capsid protein [Wuhan insect virus 21] -MEGPHESSPRHCDAPTCEQPLSEGLLSPIRASVQQSYGQSHSDYSLRHMRNHGSRPCQRP -TVRFHQESGRRPDQDAHSPICRGVPEGAHKADSRGCCHGRGCTGKRSTCRENHVSIGHHP -VLLHHPGVLDMGIRLLCCSTDNAATATQARGPPFDSGCLLRDIRDDGQGHRPHRTCRMST -CAVLALIALTLLTISRPTNCREISGATVIPGDGKTYCSTASSGFTLRTATLVSRITGKHT -SAVLVPRGLVTNDKSYLSNDRFEVWDFDKQLVNTGTFISWPFEHPPRDCLTLLETNTLAH -SDCPLSRSCSNVAYHQLDVKWYGKESGLCTGTLLPHVTVFNGTATNRHGTLSTDWGPLYS -FVDAGSWTDVYNVLPSLRLLRLPGRDIMFSYDATLNLVALKSDPYKPVTVPVIIQPYYAE -GKGQEFCMWVNPPERSRALVIYDGPTLTEWPPFTPVLAVHDLDTYQPPICLDYQPRPDMP -LNGHRRVTMGDGDVGRVVQDTPALACAPFKHISSYSVPGSIWLKSMLAFVIESIETVVAA -AFRATITVTHDLIAEFNDRFMLFEISLLAGYVMWHTDSMIRTAVVCGMYTLLFGVQRNPA -QSP ->YP_009342438.1 hypothetical protein [Wuhan house centipede virus 1] -MFSLLIIVLIVTSNAFSQNLLSPAGRDCGSTYNYTSAYFTNDCVDGYLGDNYFDLDFRCS -CLCNTPWNYKFNHVCKEPRYHRITCGFGGPRWYINCWNPSASEYRRYESLMRFAHKKLPI -NIKFNQTSRDLVLTYYISHGSALSSEPGVLKNFHLNLNTFQSTSLP ->YP_009342436.1 hypothetical protein [Wuhan house centipede virus 1] -MYIKFCVLLYFVSFVNSAQLYSRWLENGYFGTNITLPLLSLKYTETPVGQYSRSPFVFEK -SCISVVKTNTLLEVDCQLPQHCGVLHHRKMPQGWFGQEVILCYSFHQTTLGGALKVSTMD -FSPSSFTYESPNGPLTVEDWTSVDFFSHVYLLNSNDRYSLIPRICLDHYTSSNDDQPQSV -QFEFTESQVCVHQSLHPETDCEPEWYESMEKLIVSYDYPVAYRGNPYELVTHIRYGNQDP -NVINYVSSNKLNHVFSVIDGKTYSSAVGLSHMYLHGISSPENYIVLVDPSPLKVNQSFCY -PIQSVYKNPLSAVINSIIDALEPIILKLLSILEQYLEKFLKIFIKALLIFLKLFYELIDN -LLTQEIITALLCSAAVYLYFKDYVITFISFCLFCFLLYYIF ->YP_009342429.1 hypothetical protein 1 [Wuhan insect virus 28] -MERIINNGGNWTLQTSQNLRLGEQLQANNNNNNMRENIHHTRRDGHVKKRDVGMTKGLWV -KDLTAEGVEPNPGPGMGASNGNGGSDVFEVTTSETVMDRFKIQQQPDLCGIGIINEVMPI -NVLTPNADIRFGPYLLDHELLLSEDLWKTEAADEGWLMSVKHKGVVRKTDGTEAEVDIPL -DLKLTCGDAGLYIGKWAWPEETSPMGALLPEFQGLGTRRIDSDIKNQIAAMSNFRGVNLS -NYLAGVAPLYRASLRGGNHVTMWLKMWLDFLVVDLVARSGANRYEDARKPNVPARLHKVS -KLEGEDVNYVNANMDKYMSGNKYLYSMGTSDESMTYLQYTWRYASPWPLNIEHLPTVDNP -DGDRRINDIPIPMSINLPGADEFTVLLGNHEEQILPSSPSSPSTRDELLGYMNWYMLKTG -SWSDCMTGFELASALGVGARPRRLNTIMNDRDYVNGLWSKKSIRLPNTNSLPAFFEPFTV -QTAGANQAVDLLAMRPEFVGPMMYLYNYMVSASTQEAAISLSMVKECWIGPRQGHSQRHF -QEIMYKIPGQNRFSKFDGMVMSNCAWMFGFSPSSTLFRAITRTESTSAGLIKMYSATRAG -CVPALWSGFEGAFFTLCMNERYMPPVLNGSVRWPKSKQIXVXXSLGAPAHVRLARTFGVF -DDMYWLNDSGPMRNAAYYYAALAAEREPGEMKAARWIKPREDELPTEIEDVPLDNLATLG -FDWILKPGQLCTYCPVQNRQLAWGLXFKEGRDRYKRIAMGRANETPTLSIYSLNGSRALD -SSRVIYHMPAVEKMFSYLVGAQVPKHVVTPKKPVQEQVDVASDGMPTAPGNDNDIEFEGN -PIKMGGKNAWIGTKKSGRSKPAWMPKGNDDRDARGPKANRFAELDSAPNGRGFTNDMAYD -TGVSGGVKSRQPPKTPDDDAVLDKAIRMNALAQAEAPPKVSEVMSVSEASDKKKNDYAQA -VKSGLTPSQGGNISHVNHQEVDDSTVMTHEKDDKGRDVVRDKPFVRQPPTLAAGLEVQKV -GNKLGIFDTNVLMRDHNDQKN ->YP_009342336.1 hypothetical protein [Wuhan heteroptera virus 1] -MQYSTFTIISSLLAFFLNAMVLGFNLLVLDKFEVYDFQRDYTDRFIRDMLETVEFIAKQN -KGTKFYLFNPSFNTTKMDKEMFKDHKGRFHYFAQVLHNNKEIFYIYHFTGVSPFTTISHF -QTYLNSHPLPGLFSDGHGFFCYRANDDF ->YP_009342331.1 hypothetical protein [Wuhan heteroptera virus 1] -MSLTDFTEIIQNFVTLYPEVEVSGVALLYKPRSELIYSVSFSNIPPFTFNICYFDQLLRF -FRAYNFFPFRIKDLRLVRFRQNEIEQHRWQLQFVRRTTFISHILQ ->YP_009342333.1 hypothetical protein [Wuhan heteroptera virus 1] -MNCKFCYSNNNNFIFSINMVDFFPDFLNAYAKIFTNPAIIVLYIACVVQMIEMEQQTTPT -LFQHLIDITSDAANESSALPIAAALAKAIVWLLKLVDKFKIQIIPQVFVWVPYFMKETSS -NLYFTLIFSVVSLIYSSWSTLQTFLYGQVWYLFTEMEGKWNKVLVGVFAIVSFIYEPFGF -HQDSPIHSAVSSLVLQIPTFNYTNIRRSVT ->YP_009342332.1 hypothetical protein [Wuhan heteroptera virus 1] -MIIKLVIVVFFVNISFVHSRFKKVSIKEGEELVTNLRKQNLEKYKNETDYRELLKHSTKI -AEFADLFIDHFKTVAPGDVPDTDFVTSLIEKLENYILDFFGGVTKQVVKVVLDMIVNKIM -DFFGSYQKDIAAATVKIAQDLDKLKTMQRDIAVKTQAFQQNMWFTDFAFQHIKLPEPVIP -YVPTDHTIPEEDAKRQKRDIFNIFGGILDGLAKLVIGIVKPIFMVFIDDILMPLFKAIFS -VLYELVPAVEYLVELVANLLVYVLNLVIKLLIIIDSKFMVLEFTFIFVFVCVRYQQSMVA -IVITVLSFLFFGINRPYPSLVKALFDSLPDSIINPHISLLLNVPNITTMLPSVNFSNIHP -MLNEL ->YP_009342330.1 hypothetical protein [Wuhan heteroptera virus 1] -MHPCMFRLLFVYYYVYQYYHYLAHRITVIVYIKISLNFLITILIGSFCWSVYFLNIFTVF -AGYDLEDLIYVRSLFLLHKITTVYYKISYIYLKFRKVLFATTFLVSLTFIYDVIN ->YP_009342324.1 putative structural polyprotein [Wuhan insect virus 19] -MVLKKCLTTREMLMPITLDNLDHKAGEGQNHVPMEIRKINKEVIQEEEVIQETGVKVDQI -HEEDQVVRVELDPMVHIKKFTCHNSVLIVINTFLQFLIKHSKFRLMLNKEIQCNIPPQIT -LLWLYILQFMQDQMVGVSKIKLLSHLKIYPQLKMKLNLVCTEFLAISQRTYKLQAKNCMI -GYILCGIYFMPLATAILMEERVIPQILDLKTGTKELQAIKREVKIPMIVQKNLKSEEVNK -ESGIFLIFNNMRKGIIHEADYLFWLLFLYVAFSLYNAIVKTIINTLNLIGALTYFCIGSC -FKKKGKGYQPIVLVIFLLLSPVGSFKQPSSENFNNLQNIKALEKSEKFFTFKEGNETHKK -KIINELYDFEFKGKNFRYAFSNYTKDIIYDRLILIDDGDRIGFFYSSNLTKEEQYEGDFV -FYDYSSGVIGKEWAVIDATFVSKEKLKLGNDLKSCIEGRELVSELNELSKYFNESGSTLW -DFVPVELIGKITQKSNGFTGEKSKYTYWLIGIYLENSMKSYIHYVFREQLKLLRKQENLI -SRFCKDYALFKRKVFYDIIDDSWKQKMNKFKLENVKRYKFVQDSDPLEKIDVENSEGGFY -NISKNFREVKYFYYDNVVMPCFNLEQIIIWQHRILCSYSDQRSYYRFEGKDKYRIYTFEE -KEHLNNIYWDTFRGRLNLSYTPNKNNKFVIYRYKDEISGNGVDISIDTVLKDWNDNCKKT -SETLSVNGMYWIQEFNCNYDLNVKERDMIRDYDNQCEKFWGFLCKRYEIILLMLSFLFIF -WYPIYYTFKILVLTYSISLCFLNKKGMIIRRGSPSS ->YP_009342323.1 hypothetical protein [Wuhan insect virus 19] -MNNGVKKMLNNKRDVNANNTRQSRSQSRGRSKSRANGNQKNKQRGNSRRRSNSRNRSKSR -SNSRGRSGSKSRVRPYGTYKKVHVPQFGVNSHKHVFTISNQTFEIQINVKQGNPMQYTTT -DYLIMALYFAVYARPDGRSLQNKVIKSPQNLPTVKDEIESSMYRILSNFPKDLQAPSKEL -YDRLHIMWDLFYAFSNSHIDGRKGDTTNPRSEDWHKGATSYKEGGKNTNDSAEEFKE ->YP_009342322.1 1ab [Wuhan insect virus 19] -MRSLTIFSTVLTLMVIVKSQKITNLWIEQIENCSEEKIKYCERTYDNKYGTGVTTWVIKD -KNGKEMNKQLAEANQAIALHGVWPKEICCEDEQFGEVRLMMKNYNNKLPEIQQRIKSIKE -KWQKYGLIFDFSKNQEFMLREYHKHFYENGLNLEAYENMIETAIDRIMIGTKQAYPHDVR -FNEFNWIEQVVDVEKPDDVVMWNYKILSKPGREFANPLDSNKTFSVTEFPFSFDFTGNMT -YAYRLEILKQNENASYPKVFKALNLLFKDVPIKYAVKEAHNQILEIYDNAINKNYTYFGV -ILSTIMSLILVIMVFKQIHSKVEHNKYNDMISLENFEFWPKLTSIMTVYVIISYFNNIEQ -FKEYSYTSFSVCAIVMYLFNSVSNTLQNFNIKITIYMVSFISCVLSAIIKFNSHGDFDRQ -NYSFLINNIILPSLIMSYHIKNTVTALWKQNNYRQIANVVGLTFFLAINYKVYMNSGPKC -NTFFHDFKKCLNSEVDVAYVDKDVNWVNAIASIIILNFVFESLHTIYNKKVKKMPITILA -LSTIYVAGQSKVILFYLGYFFENLENSTIIGDGTIVNLVVAVFVLFLTQQWSMFTNPIWL -LTEFLLLLPVIRSIGTYSLFGLEQFIERLIGEEQWKKLAFYGALTLYIQIYSNIKMRKVP -NVWRICGDEGIREKKAYKKSIWELFKIITTILISGLSLLMSIQFIPTDLISGAQFTKGGL -IFHIGAFIILGFLTLSSALSFLNVVKIYKTDFGTLMEYKVNKIMLLGLNGIFTLGYCILI -VFVTWQYITNIVYYTVVSEFYASLLPIIMVGSVMNALKWVLGQTIEFVKYQTSIIKEFEQ -NYTRGTIQWLLNTINNTFNIMSMGINTLYFMKFVIRFGLMLMTIQSFALKDVIGLVCVYI -PYIFLMILGLDMYFNIIYKINKLMTNGMKFQRCEYWERENSQNVYDAYKNNVLDQQVNYN -NLMMSVWDHLLHLEVQQVRTKRTTNETNIPDKESNGTVDHLKYNNVQPGNEDYHLLVRGR -GNNVGTRIDSTDTSKKQELGYIQRNQSDEMHDVPQQANWNKMSDLNVAVISEFTKVGTMY -TVKKPEKSNGRAIITNEFLEEEKLKLTEPTLYCPSHSTINARSEQQKIATMLGTATVNDN -QQAKTLLEVCGKQFVNTGFLVDVKEVYVLEKEAEDINYNNPWEDLKNTIMQLISSVVCWR -SGTAAKTIFTNKSEPEEGKLYYKEEDDNIYTLQEYIDKENLTIGEIISRCENKLDKQQWE -LTQNQKSLFIFVNTYNHKWVTESRTVALNIQRRSHSRLQPEEKKLHLMKPVSMGHIVRCR -FVENNYDYSLLRPVHSDEFGFDIMQDCLYEPKMNVYLTYPISMNQYKGGECLDLIMLDDM -TRLVIAACTGLVYKKNIAKDKVASHVGSCSLVRDAEMKNLYIVTAGHVVDGLEGRQVRRD -VMAGHKKCEYIYNNQAWAYFGLNGKTYGLPMNNLIAKGSDYAAFRVSTEDSNKYVFDVAA -NAKVSSGELWRRIPEPNGISEQDVVLVNSVRQSARVEEKNKHKVHLNTAFVQKYKNTDIY -TLWGYTVFGYSGSPLWSVTWERKSGLDCAHITIEQRGIVSQAKIAEFQAELAGISKTTII -SQIINGAMLPDDPNQKLKYLDASLFDANNIILSGVSRARNIRNLVDGIGTSRVIEIEVED -TDKFVHKFSEDSGERVPVPKLRSSALYTNKTKKFSEQLEHLGLAWEQYEDNFDMVELTSR -FTMDYFEDWILEEEFFKTNNRNVRFEKSNAVSFLTEDLPVNDQLKLFFNLEIEQGSDYSM -KDFATRVSEMIRGNKIGYFQLNKITKLIIVPEEGDYYTWFFLRERQPNDIARHCERIARL -MSIGQIDCEERIAISDMYEFTQPLWTDYTSLFEIARAFMEGHIMENFLYPMVDIIDPENG -VLKITEAAGDFFFEHVTKDNSGYHEEWITLEDLLKMQPCVAYCFKGEDNITPIKLENENK -DSFIEKIRQLQEEKIVNREIQHDVSSRIADIRAQIADYKQQQDYLDKKAIWDREVEARNL -IKEELKATNEANQDIKRKLREKRRNIEVKFNNEIKAKKNQRINVESKINERRSEIKEKRE -QNLEKLQTELDQLTQKKKQLEQRIKEKRDGFKNRFDPTKDKVIVKLQADKDRISTEIQAL -TEEKNALLAELKAETDALPNTEIPKLDQTLNPDTEAKLKTLLDELSKLRKENSEIVKEGK -RLSEALEEIKKGNIHKEELIATSKREAQKKDELIDAILCELTDVKAKLGHLEREVSNQKI -KNRNLDAQSECVSTSKEGGSVIRANHYEIATEKGSMMVELGQQHQDEVIDNKKKKQKVDK -DDSHYRWADIVDSGDEQEDYYYEEFTPRDFKKGQKLKGREGAPETPTDESKRIKNWARDT -GIIITGRMSTIDEQPGTSKEEPILIGGKKKQEFRQTERTNGSDPKGRVDVDRFPIVEVTE -LNDDLKEALLSYIGEAKGGENFAFNKLKKVIHNVVYNMGDFSKIRENLKTYYFVIQKAEK -SFKLTIDNKEKLKLLYDIVKFLQNNGGNSGRNDKEWDLFIKLKEKYLAIIEDKIGDYVKK -GLENAALGYANHAVTIETNKRKYNVKVVATTIDYNDIADTLNAYMDTRPQHQTIAFFKKT -SVPTWIKNIVDFYYNEKINNKLPLNSFSVNQWKDGKMWEQNNIVVEYNKNMSEDIQNSIE -EGNVSFFLPQRSAQPLKVNWHINDFINSNFTHKKITNTKFDILDYNWIGEIRKHYQQNER -HISYTFAQSQLSYLFEKPDFEKTIKNITKESFKNWNYQPYWACYDREGEKIETELSELQV -FKNLHAVGSKILFSKQDFLEYINHDLWSLRLNKDMPKKFIHNDKMIPIYTINQARFDIMP -DTGYNKIADHGYIHQGWDWFKGFDSHELISKNRSYNKGSDANFANYQTRLKNILENEQEL -LDTALIDEDMKFILEQHGFDTDKLVKDSNLYMTEKNPIALMHSLARYSLSPLPKDDVINE -LTETELEFMYFSLHHIYKKIGLEGWRPDKMISFNGTSSPGFLYNRFFGSNQDLEFLVYDI -IDYYKRLVELNICPLTVFTHFEKLCQQEIAKRGEVRTITQAPIHHSIIGKQYTHPIELAY -QLHIGDVPMNIGQNIFNGNLKDFCMDLEHNRNLKYRSEDFSKQDIFENPILMDIINQTRI -KISGMSEFEKKMYCSISEPNVNPFRVSPFGEFRQTMCNKSSGGCQTCLNNCCKHIMVCYL -ENALVLCEHFNIGFKRENFEQLYTMIENGEFFNRQNFSDDCLSGVHKDLTKYFMSKEDEI -ETIQERTLKKCCLLLKKRKIESWSDGLYSSPPDNWGVVWLGNYFVKDKLNNVYPVRRLER -ILVSLIFQNPESSVVKEVRKTNCDSILKFSYQLTKISVLMMYVVPWREIWNRLNKIRNYL -VNEIKIRFPSERVDILKLISDPEQSKWLGLYITSVNLSIFDKTVDIWSWEDYKMFITTKL -GFWESSSQMIDYINYGRLKGYNFSLGTNKFLTLLNYFGINFVTNNTSKIMACSEVDILSQ -NQDRVFPFYGIKNIDINDSVKYLSKSVPSKFLSSYSSEVILKRALRNKNITVWGPIKGNK -LTILINLDSYENFSEVYDMDKKRILMVLPKPRKIMYGYKTFIYMSDLLLIYGRGDKIIYI -DLNKLKNNFELEFIGAVDGQFEMYELKRKVSRDNGLVFQDKELVKWDYSIEEFERNKVPL -LSLDPSRNSKNEVKLKKIWQQCKNWMFSDLKMALYMMERDNIFVNEKYALNKNDSLQYLY -FINGGPGCGKTERLVNIVDKYQKGGFKVGIIASGNEQVVNIGERMVNNGLMFEYIYSQSA -AEKKLIPRVLQHKNYKQKSNIKLMTTSLAFKSMKLRECDILLVDEASRTPVIELFSLLSE -YSDRNIHFERIILFGDKYQANIYNPYDIQSNWMSPIPNILPPNAIKYCNKCYRCGPKTIN -ILNDLGYVEKPLISMVNKVHKDREEIMWYNNCKIGCYNEIEVETEEGVSYKNEQNFNLVK -HLIETSYEDWQVITPYTSQKELYQTYGIECLTVDGAQGKEWDNVILDMVRCNSEEKFGFM -KENTRSIVAISRHKYKLKVVGCNLLVKGTFLQNCMEREFVIKQVRSHDGTSNFK ->YP_009342049.1 hypothetical protein 3 [Wuhan coneheads virus 2] -MVSQSASSMPVMAEPVLDEALTTPTSLGNSTNLATTHEIANPCAMVVDNPMASGRPYDVF -TWNRKHYQLIKSGKITSTDTDGANLTFVTNNPSEFSGLLGAEANLHEFCTPQIQYVVRIY -GTEGIIGRCLVAAGDSSLFISNKFEAGTGLSDALSAPYWRYISFNGVSSIEFTLNDARKD -GFYRSMTDASSTWQYLGIFLVQAPRSKYATSTATTTDVVSLDWEIFARYGPRHMFFGPRL -VKPTTLTLNFTTTLQSFMPAAFDFCYGSAYIPDDNEKHVTFPTFLQADGSLKFDLSAQSY -IGSRKVRDCYTNTIVSVGQVAEELDIISGASGFNWGVSDEVMQRCMNFGLFQFWGEGEVS -QSLLDKLDNIKVLLDDTFPLMLDTPVTFISDQEYDYSGSELDPYEMIKKADNKQVIQIRG -CITSGKGRIWITEPSQSHVMSSFGDVGILYFYSNKNGTKRPEWTSALWGDDVHDRDAGAM -AMAYYEDAENNVEAPFIETVSPIISSFKLEQELDDKVVEWTYCVNNYDKVKFLGPRLAEL -QFKRPGSSVINKSVRTTPGFEAAGSSDFNNYLEAIRRQFKCDLIGYTLTINGRYYCDVAF -YKGHNVVCTDTNFQILRNMTQEIEVTNIRPIRTLGELRTASVLPTYWTVEATESFFPEHL -KEFSSQSAGWMIGGGILSGIGSAIGTWQQQQFQEATQKRNLDYLTTRDELNRLQQQVLQA -QAHQYQQQGFWQRAGVGATAAGGLATNSAETSSTETSKDSTTPNVTPEGLSVLQGNQDKL -EASINYKPLVQVSDFNLDEPYVTSSKVAQKPYNGPMHTGPPIPPTNVGFKLYHKISNPNT -IENEATQA ->YP_009342316.1 hypothetical protein 1 [Wuchan romanomermis nematode virus 3] -MAFLRSLVDLFKTEELVRIPQPWWKADIYVKQEVFSAYKIGAVAGGLAFAAGAVALVRAM -RPRKLRLEVDPARTDGEGLCPGNPLLEGCRIPGCQVTLALRKDDKLYIVGAGIRIENYLV -TAAHNIQCRQDLYAIGRDGEFKIDTSLEISIAPDVSAFLVAESTWSRLGVSIAKLGPLLD -GSAVSVTSSCDQRGSVAVLELARVGLGRVYYNGSTQPGFSGSAYMNGSVCVGMHTHGGAQ -AGGYETLYLYSRLKLATAELPESSEWMVKHLVKRRHHYEIVGDAVVVRDDTGHYHISDKE -LLEQVERRRQMVEQAGEIGDVDFGRGVSWVDEMQGELAQEELDRRGYVPEGRLPQALDFS -GEFQGPPVKARRSLPAGQKSQGSSSSARKTRPKPIDRQALMNRLSSVSSKDLAKFLELRK -KPTSDTSSLTPVQQQSSALSVSNPGVQP ->YP_009342310.1 hypothetical protein [Wuhan Millipede virus 4] -MAAQPSTSLRPSDSDSEELDYELEEEEDTDLEAIFGAGMVITETRTGRVVSGASYINSAG -QDITSSPLTFRDTLVTRMEAAARDFDRKSVRDQFFAEQAQAQGEFVKIIQGLNPDVKTSI -LGKITEKFAKEKPVKIPIFRKETKIPRISADHLADVSAGLTIYTNILLANLAKVLAISGQ -RLDQGKTIDHLERYFDKKAKTISSTPERKAVTLQLIIAVALEMISSDETKNTMDHLRSHP -ILDDKVTGGAFLAHTPTTETIEDRSSSMIKNSTYAVAMNPTYNFTVRQLKLLITYMFDRD -FSIRTEAKIDLLASLKDTLRYDVVLSPIKVLKRAFSEHYLSTDEILAVFFHDMKGANRCP -HCRQTVDLTQTRLRHPDCYIIPWLIKEFQLKEEPDGCRIQSLLYLEPTIADFGRQLVAID -AKIKTTPLVARTATDMSIYQLDNRQFPRKILQHGVKPVRVEDRRQPYH ->YP_009342305.1 hypothetical protein [Wuchan romanomermis nematode virus 3] -MWWDFYTWKPYIYSVAGFNFTARAPQGLIPGELYWLTVRVVGPFLDQFPFQPALSFSGFE -LVATLFSAIDQLYSAGVNVLTRWVTKIVVRHVTVDPSYSVDPEILVRFGQRHVDIFAAAV -RDYARIYISMVFDSLSGYWPDVPNQVDANSERIGIPRPMGDGADSLETSWEVVSEG ->YP_009342301.1 hypothetical protein 1 [Wenzhou tombus-like virus 9] -MERTLDTESLDTNSSKVGSGCSQVSGLTSDDGVSCVGSSVASSEESRTGDRENRWKKPVR -RTVHAVGAAATFGGIVLGCGKIGLVLSPVTLGVMWALRDKKPSKEADLVLGVVNGDIEVE -KEATLASTCEGEQQPRKIVGGGRNDAEVVKTKKKQRWYQLKKQPKRRIPVLAGEVASGLK -VRHGLLADNAENRRLIRSDASRRCEALRRDGDEWFKNLRNHDMLAVVVHASQMFWLMSDD -EEAAISIYDDHYLVANRRRRAKLASSPTAC ->YP_009342298.1 hypothetical protein 1 [Wenzhou tombus-like virus 14] -MEPTLNQKETVNLSASCINSGANSGGDEPPALKRPFDGSGKTIINESTMLVNPARVMYNL -QPNLVVHPPNVQQQPVNQNQNQQPIVQQPIAQANVPPQPQAQLPAQNAQPLIPGYHLVPV -PQPNVALHNILAPTQGPQGFPNQPPPPTVVRTFKRSWLERLGELGKRKSNKYPQVSAMTT -VDNLSGNVNRLHKTKIKSRFFYRLRKAATNDNDEIMDTVHHDHDYTMTSKTFKDIVLRNK -YDVLSNVPIEEAVTAVAEDENIPEDRKKDFVVALQRQDPNHLNRAVGLVRLPTTSHNVTT -ITDQIDIECDTSELEKMNQRSNEIKAHTVNFTFPVKRKKLNKKRIDGTKTIKAFDQLLWY -LKTKHAFAAKTLSLVTTLRNDARVWMATNNFKMDNEEDYNMMTNAVLAAMVTDNKDLQLR -EVLKVRKEHNIRHVHNRLMLRGIITKKFNPNVFGRKVWRGADTSFFKKTAISLANNVAPL ->YP_009342297.1 RNA-dependent RNA polymerase [Wuchang cockroach Virus 4] -MEYILCTPVVNGDGLRAGSFLRFFIRRSRAYPARLSDGPVGLSSFVSDVSQGQLPQIKIP -PMESPAVRRRVASICNAFLAAWIEVWPKAQYGLEANLANILPFRSLAHLIKWVIRTYLGN -GETYLRGQLKLFAAWLRFLAGDQLHLPPSPLRRFPFSSEDGRLDPTLLFTGSISAKAGSP -AALLAFSRFARALPAGNKQAEVEALHEHFKLMQSTPEVVPPLAKHFREVASKVGKDSLSD -IEKPGMTLSFSSSLERSRAEGGAAEEIREVTWDYFPGLRRMHFDVDMQTDVYVSSFEIDP -KTDDLVVDGTPYDYGFTFGEGLPGECERVVHMAHAACIYKAFGTEHLRGKIPLSLPRVIP -KHRVIAVPDRGGLKVRVITAGPACLQSLAHNVRKVIYRSVLSKTPTQWGIIEHGPQRFLE -QLKLPADRDPELGPWVALSCDMRSATDNFPHYLVEAINDGLEQNLPEDIRSSPNWVAWRS -LSGPQTLFYKDILNEDGESSEITSTCGNLMGTAPSWALLNIFNLSIFRLAWSLWSSRDFR -RRCRRQFGQESDSAVARRIFAHTGQLRELVLREISRDKFHPWRYPKGYSFNELTCLVGDD -LAAACPLMVAALYETLLGLAGGSVSTGKHYVQPWEDGNFLLVAEEFGRIEGQGIRRLHFE -HLRAFTQISSSVDTRSKKETWAQLGSALDSAVRSCRSTHSRALCSFGHIASSGLRQRMLK -LGLPVYLPTSVGGLGWPHPRGLLVGLSRTSALALRAYRVLRGFRSDPVKFSCEIARLRAT -WYLSEISHKYLTLLEIVRGYCTFPVSRDKDGKVAPLTEECPIGFPNEDFPDSVNLFDFIE -EVVISGISAGFISGEETFSAGHISQRAHKAFRIVYTVGSGTSQTSTRSRASHTIQAAARS -FRRACRRLLDLRQGNIQGAMSYSDYMNCLAEDEELLARYHVLKNCDRVRSVLPSFATPLQ -EDDQMSPPQEHLGRSCVLHV ->YP_009342293.1 hypothetical protein 1 [Wenzhou tombus-like virus 10] -MTDQQFHMLPKLVRQFVLRRVRTTIRRRCVNRGRGKLLGNKMMHALNGNTTDYVKWKQSF -DESLKTTNDKSRQQRVDMNIRVSVDMPKTFSLTHDLAAMAFVAVLDGFMYHIAFDYLKKG -KAKRIIVEMPHPVNGCTKQCGWDDNVVRSYPAPLLINDIIPIPLPCEVTFGRNGLQKHFI -TDDDYADFDIPLDDSLSNLQYDSDTKSWNSAGSPAQSTSSNVSGVTAPSSSTPTPSTSAP -PPKSNKQLSIVRRSDSKVQTPSVEQRVCVVPPLQPTPSQPTPPPTLAAPRVVSEGYTEPH -TRLHFLARHAAQISEVERPQLPDFTENPYRKWVAEVLLGDRNNPNMQFPLLDLRSPLAIW -MSSGSFKTVMRKHIQYGNSMGLDAEMLVHLVRLITEKREASSFATLYHKAYTWASHNRED -WPLGLSDAQSAGCARYTLGTSSLYASLLNNITPGVSEESFDVDPQINPVYATKNRRNFVY -NVVLIILFILFSYAAYHTFRFARYVDGHYNRFAAYADNSFENFGNQFTAFAAVTYGSSCF -THERIGCALGDNDCYRRNCRDLLRIYHPDKGGNVDSYTHVARDCEFLNKGGEQPVYENCA -YANKEEGVSFELNELYVRFSQFAKTRGIDADFGDYDYFFQAAPSSNPICDAVKNGESVPK -EVLMICQFFKLRDFRPSCYNYSSFFNAYGSMYHNGIIFQSIFPTMVSICVSFGKGQTMRE -HMFTEVYYTMAFQYCCVCCFVALISVLFATLAIYPTVKKAFIERGFRVGCSVWVSKCSVT -GVTSAAIMATFILIKERDLLCRASSAAYVARALKELLLLVISGLRSLWAAAAA ->YP_009342283.1 hypothetical protein 3 [Wuchang romanomermis nematode virus 2] -MTYIIDILFKLVNLSNLNTIQTRITIAQFANTNLYNEKDIILWSEKLIKRVWVQSTQRSL -LICEIDNAAAYNLTALGCECKIHPSQPGFSIDLINLTGHPASIFCSGTWKVSVVDIVVQQ -KMEEKYLPL ->YP_009342284.1 hypothetical protein 4 [Wuchang romanomermis nematode virus 2] -MDRPTFTRRRSSSVGPTIESRPTVPCKYWEDSHICMNGASCRFAHNDYDPTPLDIVRHLD -FMVGIMNKITERISRLEMKVSGRSNFQQQGTLQQRASRMLAHSNRFDRRSRYHASPSSAV -SRMSQRDELDSSQPVQTSFRTEPHHNPFSPDLMGQFTPQIPSFSYQQAWEMPPKPFVNKN -TQIAKKIAEEKQANIKAMLELEKRLQNNLDQATVKNVLKNAGPSTDSQIDSDKKQEKEDM -KQEGQSEF ->YP_009342282.1 hypothetical protein 2 [Wuchang romanomermis nematode virus 2] -MSEENLNEMPNGAEAAMKANNLIRMTRNQSMAADLLEQQYRGELDPILETPTEYEDKVDV -SLQALVAINGKLADVLNGLQMVTTSTQLIMENIPDVKDGNQMKETVLTDLKAHMSQEIVA -LYARLSKEIADMKQAFIGELQKIRTEIQALKGQKSMASLSLQPVSQPMVATTSSAVMPTE -KRKIDMREYSEILSAFRNYEGSDANKQAIQAAILRRDLVAARAIMNG ->YP_009342281.1 hypothetical protein 1 [Wuchang romanomermis nematode virus 2] -MSLTDVLSAPGVFSRTTRVVTGTASQTIDKGVNIVLPLLIPVEINPYTTTTICSTSLRLI -MNPDIDSRYAVGGFFSFLFFNLDIQYETYRDTLRLAGCKILPIDVSADGIPETEDPVIRD -AFSQPIGLEISVMSIAAVSSVLFPMIGKQPTEQGFGLWWASRCRAAEGKFNIPATSMVML -QPSKKHANAIYSQTMGHASLRKSLFMTLADYRKTHSDKMADAIDMTMILLKNTGLTHMVL -IDKYLVTPYHPALFCEKAKPDLNALIQAYLFLKGVPDEQKNYLRFLRSEDELSVLNRMKF -RNLTAIAYHLAIKKEPSLSNYNPALNESLKPEEKTLIDRLDQTFGKSVDVTHADIYRQRY -GNNAFEEVQRVLNEYTPAAGARL ->YP_009342278.1 hypothetical protein 2 [Wenzhou tombus-like virus 8] -MTTAKNHKTKTKNKRMNKTSKPARRPRVAKRNALTPVERMIADPCHGPIEPIYTSEFGGG -TVLKVRRRVPIHDLSSARNGFLLWYPDYANGGQDGLGTTQGKSQPVRAGGANLFLYEAED -PSIPPTNSSAAGGYFGTGVNAQSPEGVSLPDPGFDIGINNNVSGMRTLGACMRIIYTGRA -DFRSGEFVAVHRLPSSGLVNTEGAVTSPADLLTYGYDTFRTKDKELIWRPGSNSEHPRKP -GTLAFGSVSQEGVEDSFSDSAFRVGYNTQPTKVSNDSQPGESSGFGFAWFNLPANASSDV -YIEITKIVQFQFSPINRTMEPVPYQIRDKAAQQTPDEAVAGVDRKCGPGWSLRDIGSYAQ -QASDMIQDVALGGLQLANTYHMVRNRSLRGAGQQDYLMV ->YP_009342274.1 hypothetical protein 1 [Wenzhou tombus-like virus 3] -MCILILLCVAFLALVFKLVYRSRESVYREDVDRFDRACEGGEIPDGRVFTRLAFEAACAC -KEKYGEMRYNNANRIVVGEFVREYLRKTYPDLRVVDRIKHATYAVELALLPMKSAVVAQE -FAADVEVVGRRTAGYATR ->YP_009342272.1 hypothetical protein 1 [Wenzhou tombus-like virus 17] -MYDAQQPHVLYDYTGTGRVYIQSNFMVAFKTGMCILVFGIMPILLADYICPGYNTVRSYQ -HFWTFIKYVDTDEIDRRVDINSASSLKHTDPFLAWFRYERNDNGEFYPARDMLVSMELLA -QCSHAMQHVLSDDKTVFERILRFCSTNCTVNLDRYDFLINGPRQSIIHNTAILSFSLYKQ -RREYLKEFPFPQLQ ->YP_009342266.1 hypothetical protein 4 [Wenzhou tombus-like virus 12] -MNGIDLSHCTARPQSDAGSAYLRVAADPCGENLPSDFKGIPDGSEIDIVLLRMRDDLVLP -PPPNLGENDTWGLIIFDTPYFIAQQIMVRYRDAVGPPSQTTLREYLNGISRSAADYLPNG -SYWYPEWHTPKRRAVESNTFEFYPRIQFVLEDANFQVSFLRPTVLSAFDFSPDANGWRYI -RKFRFVSKGRTLHLNAPATATQGRVVSGQVGTESSPKIIVQDQGTINEPATPYPARFTVS -PPFQFNNLPQQDLNCRQDIIKTGSYDMQRHWNGSHIWNEVEDVRPIWRAPNSDLVATWRM -PPLALSQDNVSINTALLMKYDGFDVSLGWIVTHIDGMSGSASIHMKHRSVWEVNVPGTSP -WAANKMAPCPHDAGALSLEKQLAPAIPHSYEARYNDMGLLASLIKGVTAVGKYALRGALT -GLANNPRLTVIDDPYPGRNLYGDNGFTGTRNGNGTRRKKR ->YP_009342264.1 hypothetical protein 2 [Wenzhou tombus-like virus 12] -MEDQSSDDFESIVVSNSESSVRIGSTEPRRCGIDPGDSISQRDPGESSSEEEEEEQFQED -CGSSTSSCGSSSTSHSSSQRGSNSGGTVGGRRESGLLMAPGDAGGEVRESVNQLEEHDCP -FKHERTTLFNPNYNCCDLGAWVRPSVVEYDKPWFLFWSKRRDLVFSPVLVDYLKPMAMLR -ERDNALGLSLLTAARNKTISALGIHPTWITPIFPGSISMAMQVGPMEKRAKELQKPLIKG -KLPGNWTLRSTHKPLERLVENNSRLGMSRVRSNQFVRKWIFGQSPELPRIG ->YP_009342263.1 hypothetical protein 1 [Wenzhou tombus-like virus 12] -MRAQKSANAVGGAVRTPIKGSKPRTSAEVQKTCRQCKAPTRLVKGFVVCQQDPNHNFKPV -KIVCGMCGAGRSDLVIYPGKVWCTKCAATTIDKQAKVEKKVVNNKVVQPKAGKPKVKSVK -SVPGNSPQKKKIPFQPIGVNSRGLKSGDLKWFGKTKQTKTTKPKFAWIKKTVGNVKGLES -HIFYTNKTMQGAQLAALRESPCFSCGGKFATGGGWSSIEPPSSSGKISKGSIITMACPRN -TCLSEYNVVVVVEKKKKEFKTLPLTGKGKKILTPQPPLAPETRPVDLSEDQVKTVFDEES -SRHNLREKIVSAFVAANEDFRLADSGARQTLVNNARRQIKLLERQMPQRLNLRQKTGPVR -TVPLRWKINRRTILNPLWSVTLSLLSESDQLNPEDVELIQETAYHSVILESLLPKKKKKN -NSKKTAEAVHQVAEAAPPPTPHPSEEVTAEELWGDDASPDSSWLQETQEERYENQ ->YP_009342256.1 hypothetical protein 3 [Wuhan arthropod virus 3] -MGVLSLATKVGKVAAKGATTVNRVVVNNGLPNTEKRISRRAARYERRKAKGKFTTNLGAK -SAHYKEGIDIAKTKGEASVFSQKYKKAAPKKAPNKHLVKTKKVVKPITKVTNPKSLLKPG -NMGVAKAITKAVPVKSVAKVAPVKPLTKVASSTTKKSVVKAPIQSNSKFDDPLKKGFPSN -STGAAGVKPPPPKDLGLVKPTPAKVLSSATKEKFTIPRPTLVTKAKPVAKPTAVVPPTKV -VKPPAKAGTPTTPAKVNTPVKKITDVVPSKGTVGKIDDLTPKPKLKGMAKYQALAKKHKW -KIAKAVGGGTLSAVGATAMGLLGYQAKVAAANIAKNSNRETLIYDATKSSAKYAINEKEE -AQNAAKAQKQREEDLANTATTNKHLEAIANKPTLASMLTTKRQKSNIRYQVGNNQVSRNP -LRKVTNSRPQIDKLAPEPSFLDSLRFKSNMV ->YP_009342068.1 hypothetical protein [Wenzhou weivirus-like virus 1] -MARGGRRAGRAKAQPKPEKKAQGGQTSAPRRKRRGRPNSGWAVNGRAHHGHYDAFDPRKQ -PMARAVGHATAVRGFGRLKRPSFNDGMEQMYVFMPSTTRVVGLYGNYKSDIDQWRGAGPN -VDTNTALIENMGFSEESGPHQTLLGKFSVRLRNISKAMDASGSVYVLSLNAGMFLESLNG -DWTARTNWTRLRNYVLGSPRTRTFSGSELLKTRQWNTHPIDATRSQEFQMVPPATPDTQV -TTFRNSMDSPTHSMVVFLFIPGESNVRNMYEFSFAANHYCRYEVNGPLANAAEPVPTAPI -SVIDGARKFVENVGSLGHVVEDVVGTINAYAPAGL ->YP_009342066.1 hypothetical protein [Wuhan arthropod virus 1] -MYITHEAFSAGLKSLYMPVHTAVLLFFKITNILDISTVVSTKSGHSIKFDAPYLKIETDP -VPCKPAAFDLLYNNLVKNFPALATTIGLKNVTSFRKLVLAFGLTYSEKYRKFQDGFSVTV -TIDNDCLEDKFECVHAVAFNQDFAVARLIAINELLKGDLQVFAEKFSSTLLRSEMSIDEV -D ->YP_009342064.1 hypothetical protein [Wuhan arthropod virus 1] -MLTKMFGLYFMFGLILIVNSSVTHDTIIDALNYNSKILDSLLSLLSEITVTSTSSTANEV -ITNNYLSKIQVDINKNYHLHLSWDETFNAIDRFVKLGNHGIALDGIPGTTMSGKIKSNTA -FIICPAMIPMSCRIKMRHAKFDVTRTHDYTKYLRGGTKGLGK ->YP_009342063.1 hypothetical protein [Wuhan arthropod virus 1] -MNRIILYLFLIQKFFILTQQADMLTLIDAINYQNKILDSILGELSAITTSTTAKLANSVK -TNSLIQKLAIDVNKDYSLERSWDNTIEAINYFVKHGDKQIMSSGSHSSVLKGDLHGEIDL -KCDIPPPEGLGKNSCESRINMVDFYVD ->YP_009342062.1 hypothetical protein [Wuhan arthropod virus 1] -MRCLILIFCILFACCFDFNYTADQPSYILGGVEVTDCRFIANCTVSYQTLSQVNSSVTIV -HNYTQFFVSSAVNVTTQVSVLIYRGLTDGQDYLYAGHWGGENFSAVCHDSEFYILWGNNR -IASYKSLTTDNYYLLLKLPYTVDVSLNPACDICFILKKLIQQIKPYIVVTLEDYRTGFRY -PFVATSEICENSDILAPYSHFTLDYEPDYFIPIFDPEIFHFNPAS ->YP_009342061.1 hypothetical protein [Wuhan arthropod virus 1] -MFFEKFLSRRLTGRFVSIHHFQFNTLFYLLLLNILINYAIYMSSGGGGGSLDNIEKKLDT -MINYLDLMNKNIEDINGNMNKILKELQALSYITKILLNIRKLLEDNVSLHKIESLLIAIE -ANTAAILAEVTANGVISLNSKNLLDSINDNTEQIPHISSQTDSMLLTLNAIKGYSESTNQ -EMFITNTNLEVILSEIIANGVILVNSKGILSDIKTNTEVLSHISTQTDGILLSVNAIKGY -SESTNQEIFIVNTNLEGVKSNTNLIPHISTQTDSILLAVNSIKGYAESTNQEMFILNTKT -ESIVSNTQLNPHISSQVDSILQVLNSIKFNSDSTNQEVFILNSNLESINKILSEWNVTSG -GGDYNTILDTISLEIQDINCAVHKCKKFKNKSVLKASNILRKFVTVLPDYVLGNITSKFN -HPTKTNCLTTSYSLVIQPPNSVATYRGYEYISKCNTTSSKCNFMNSEGPHYTSDDTIMVI -PAYDIFSYSYLQDVPELQNYTVAVPISTNQYFVRTEAGEVISTILLFDSTGLVIEKRYTY -KTPSLSHSHVMFNKRVNNINYGATRILLLLPVVVKQTRDCFINLNCQTATFCDTCDVASA -TIPGIISALYMPYIRKINAITFAYDVGGINPSTLNLDTSVKNNVFDLYYQYVNLTFTASG -ETSYQTPHTIGCF ->YP_009342059.1 hypothetical protein [Wuhan arthropod virus 1] -MPLRISFIKYEKLNTNGKLVVDFLSDLIDQIYIYIHSLEDRVFESVDQFVELMRIAKEHR -NTQLVYDDSESFYREITTVDFKESVGFHYKNSVEDILEKLLDLNLGQDENSLLSILDIVI -NAKFKKELCYNAGPRGWYSKCLYRTKCGRYCPIL ->YP_009342058.1 hypothetical protein 2 [Wenzhou tombus-like virus 4] -MNTTNYAGLSDLLTAGSRSIAYSKLLSAEAAGQLEPGAASYIISALDPFHDKQYDATDVP -SVGVQRSIVRVLRTRTRISMESATSVGYTQPGRLALCMYPSVNSRYMSKKDIVNGQAVDP -ATAAAKYVGGLVWELDIQGQPKFFTGDPSDYSADANNGRMSIADVTGGMPDHAHMRVIGC -GFEVHNVTEELSKGGTVSVWQLPGIFARNKVVDGTQVVTNAPATGIYYNCDFLGRAPTTA -DEVTNIPTGQTWEAGQGCLVVPRLDSGDFLLAGCNTPNAEALIEGVWDGNGTYAYFPTGS -NTSVRTFSTPFSMGGALFEGLPPESVLDVECKWIVAEVPHVSDIGDLVIARNTPPGMFPH -TTKLIACLNRTLRDGYPAGWNESGKYWSSVLRAISSVLPMIGNAVPIPGAKLLTSAASAA -LQQKARNRDETIDLMKDLKSARPGTKEYNELYRQAMANAGPIQRRQLRRLRRADERTVKG -FTMVSPSTGSKTKLPTSSIAVVKRR ->YP_009342050.1 hypothetical protein 1 [Wenzhou tombus-like virus 11] -MSQPGSRGQSRGAGRQTPNSGHRGRDGPRRPTGDDRQPNQRARGQHPSGNARGPQWQTVG -RRPRAANPEALMRRIHALEQINKDLLIQLGRINPGEGSSGSTSRGSTSGKATPEKPTPAP -RKGPESRNPTPVHQASTATPRGENQASRTSKGRQRCRTLSNSHLPRVTTPNPFELLEEEF -PHLSPGTLALAASVGSSGACTPTVKTKSGAPAGPSRRGRSPPSTQRASSLPALNAQHRAP -RAGGQQPSRTFVTSSTPTQGTSSQRPGATAEPKVAPNQAGSDPAPQPRVRFRPGDFGWRG -AAQHGVFHSPTVASPRYAGACLEASNKKGPLANDSNPVFILKPEVRTDVECGVGSTRANL -ARLLDLKEMSLDSLYQDSGLDPNSWKDLVSFTIDKAKALVVDEFMYYELVSRFPFTVRTA -DLARKMFNHLNILMKQFDTRMYTAKQLYRIKQATVRAALLPPAEELLTRKLIQQESKEMK -KYNAFAEKGNAGSVFAPGAGIVAAATSLAQTRVGLSPDKK ->YP_009342314.1 hypothetical protein 3 [Wenzhou tapeworm virus 1] -MIKNILRDSGTSILSGSVVHSLGGLVSTVTGGLGVTAIYKKVKDRWAKKQEAGCTTIKFK -HFTTGPDGTFCICGDKHKFTGHLVLLTTPGDELGHLPTGHYHKQAMVVCLAPQCMDNNVQ -NPEELCLLPAHASSI ->YP_009342313.1 hypothetical protein 2 [Wenzhou tapeworm virus 1] -MSTITRSSKRSGSELSGSARKYQRYNPEDLQEGADLDYQEWQFGSHGSVPTTVEEKYAAL -ALQDQESTSAQGPQDPATVSTTDPAVQILDQDSSNQTPHPALVVMLGRIVANQERIIELL -QRIEAQQRHFADSEKAWTEALNATKTSLIELYRQSSVAIVRPSQTSKPAPLLQAPTATFL -SNPSVADANASAFNKFF ->YP_009342315.1 putative glycoprotein [Wenzhou tapeworm virus 1] -MRFSIVLIVALFSSVVVADLWVPKLRPVLWGDYKVGVRNLTVASPLVNISLEYLQHLGTT -ITSDIVGVRAVPPRKMCSLAAYVYRPVVNTCRGRVVISGSFISVDGTFIEDSTAPGVYHL -CPQNAHRTIQHLGNFLDFVPTLTNITVHNKTYLCTLQTYHLQHPEHLIPEGCILSVLCNN -FGIEFELADGKRVPVDDASTSGSRLLTEYLMTQGPEIRYDLSHCQTQRCIVVPPKDQRRV -KRDLTWVSKGVHCGGFLVYMHCQEAVTASSVDYALDQVHKRIESISVGMTDKIAKLTSVE -TEFRTSVSSMVERLESRLNEDAAKLNEAFHSISRGHENIKVVQRLYREQESFIRNLMDQV -IQQRVHARDIVSEIQWYSRLALSAVSASESETMRILAERDLEISSLLTAGYEFVVSSAMT -QNGITIFYSMPVDYELHLAYLPYKPGLRLEMDCGIDKCDQCVSQAAESTKLTRPVRGTIE -HRASVQVTPFEVGSCLRTGAPPFMIVQLPGECLNVTIHETTTIPCTGVHRGHGLSVQLPP -TLLVDVNYLPEPDLSLPYFDADSGSREFAKLVTDLNQRSSEEVDALRRITASHGLKTYHD -AAMSSKVARDALILGAVSMSLIIAYIIAKTIRFIMEARGRSPIVGYTNISDPNKTIVKRQ -EHTCYTYKLLVKDSSGQLYTRYLLVHTQLPNPMHYEASGLVCRVGDGKFEVRAAVQSTEA -SPCKCPEIETAFFSSYIVH ->YP_009342312.1 hypothetical protein 1 [Wenzhou tapeworm virus 1] -MTSKTPSGSRPTPQKERLPLINDHFEVDGMYVYYFESEPESHDLRVDVVDSAAPPTVRAL -GDIRGVTVSPNPFAAALFCSFPRSERWRFCRFARSLDEVRSRGPQEKAYLMTVLPEPKSP -DPNSRDRINRPLPTGIPTMAEVVSVMQHWIAAVAVTKDMVNENITPATSRRVKAWLASIN -KTTEVVEILDTVVYQQYMTWVQTLPMVIKYIGQCCVGPHEEDGHLIDKRFLPPFQGMIDQ -VRMMNAYGRFKVAKMTFDMLSAADIRGVYKAEFLDLIIRFRTAWDRVAHRFGVALPYAAM -LDPAALAPIQGAEWEKLYTLGKLHYCRNTGASMQNFRGYNHQDVIRQSDDLLRPIKRKAG -ADLISPEDMERAKLPRIEVAEDSNTLERLLKAFEHSNLPTSSSFIPTPSDNRMDFH ->YP_009342295.1 hypothetical protein 1 [Wenzhou tombus-like virus 7] -MRSVLLGTDSDNVVITKEFPVFYSGYPFTDDNVSTQTDVVPIEVDLARAMNESLFSYSPG -ISIDNRHTPRYDQIDATLWQWLCPPRLRGARLLLSNRETYAYELLKALNDPISETIDEAL -YKHGNLIKTAVDYLYTFRATMPGVQFTHDKDEMGMLIVRADAWISIKLKEVLIERPNFRG -MHMQFIKMIFLLLCQQKSFLDEMSSDLYHSRTLSLNARRRRNGYRKYPWLGWFSPLANRP -EPSRA ->YP_009342292.1 hypothetical protein 3 [Wenzhou tombus-like virus 5] -MGTAAVTSHSLYNSNLLGCATCAGSSTRKLAPLVQRRVGAFMWPGVSRLMSRLCWRSTSV -AGCLTLPPVIFTYHNLPYFMALAYHGNYVGPGWSAGKYQSSVESDVLPVDEFDATGKRHD -AVYARGGDRTRADFEFAYDNLTTLNPKRWLAGALVGAQGLCRFATGLNGITEEAEGSQRG -VTKHSSQGLDKDYPPLSIDNMKNKRNRIFAGDSSHLVMDSNGRREVERIDKQITKSYQKR -KPLKQRVQQAFSPLKTTAAPVSIGTTLVAVPPQTVKTGNGVCIAGREFITQVYGTNNDSF -QVAGLAPVHPAYYPASTMGNISRSYQYYRFRKIVAHFVTRQPTSVTGEIVMCYSKNILDP -PENGDSGSFLPRAMTRGNAVIGPLWQNHSVEIPLDGTFRLVDAFNAGTFADNVNGEVQVY -TQTNVDDTCGYLVWDYVLEFSNTMFTPHSTSLPLVSGPGTKYTVSVAASAANDALIGTSS -LITAYPNQTVWSLALDLSASSAGTGATLANLVNVFANYHTTTTALSQAGTNLPLVDGQRI -FAMVVGGVLYFYSSYEAAVAGMGSAQLYIRTATTTASTLIFNGYLVRLGPVELTAAN ->YP_009342290.1 hypothetical protein 1 [Wenzhou tombus-like virus 5] -MATNNSNTIKLLALAAVPLSALAYQAYRRYVQDAKDCALVERFQKNCDGLLEEQIAADQE -VGAFVEGPDGTREVVVEAPAKKEAVTSTVNKAVVLENEQHRVRNHYRVGNQFNFSQIIVN -EAKNRFGCPQRNKANLLAVRKFLVDRMTAVNVRPTHMNMMLPLCIEMVFVPNDSELAAER -IAACWEATRGGSGYKRWYRWACNLVCGVRGAPRSNVA ->YP_009342261.1 RNA-dependent RNA polymerase [Wenzhou yanvirus-like virus 1] -MVDQCRFLGLSDFPIRVMGPVGTVSHYVCGKPRIDGACLLYIPARPPLVPLAHWTIAVGG -QSYDYADPAALGGVVLYSDIPPISYNPHVFWHAGSCKSATLQFWMRAGNGCRCKRFCRHQ -FMWEYNHELANRGLPAGTGPVAFMLSCRIHPRKLYNPRTVRFGSGRPGQYVAHILRCPYS -DYDVRISNCTTVQIVTSRGIVYSQNKATSMEFLNKTHLCVPTGEFMQWGGVALELLRIEP -QPVISFTPSPRTRGRFYLVVGVAKAVSSMFSRNPLVRYHVTYRRFASASTFCAIGVAGAL -MFELRSIARSLFNMRSQQRFFPMQVEYDVATFAPYNIQLPFEQELFMRMAVKRDITDAWI -RDVITRLAHQNDWRGVLNRHELNQWISRVVTEPGLVWEVQKDGLRNNACYTCLSIGRKMR -RNECAQCRTQRNLSPLYFTPIPYTPFAHVGLVGIYSRPPLLPPATYYHSCEERYLPYKSG -GWYPGRPFEFIYHCSYKGKIVNDPETAYAIYNSFGPQPRCRGKLCGPMLAGAHMQCFTSG -TETALLAFVGRMGAVSPEYQHYLSLGVNHSVYNDIAKVNARHKFDYLLEIFKHVGAAAYY -DGDNDAGGLRSKYIPSREVVPWTPQQVIDHQPDSAKRRIMIEAYRDIDEGFLTPTPKLYK -AKAIAKGEKSMAWDHDISETLIYKSKQVPRFISACSPHTNALIAPYIAAAEEFVKEFCSS -SASVAYASGMNPEQLNEWLNTYAVDRLIIEGDISSADSAIGVEAHYLFFYFLTWLFPELP -ESDVWHVIQALSYIYVDRDGSKFVAGPVNVSGSRYTSCIVTIWVMVANVTSSAFSCLSDY -RTLSQADRIGMVKGLLQSGNAATITCSDDIYTAVNTSFSEWHFMRNISPYLRAYTYECSV -DSAWLRRFCDTMRTEFFLDVRPNKCRLFPAAEWRLATFLAMRPVWSGSRYEWGPEICRRM -RSAYWMFDKEHPPMAWGRGISAALLVAGRHVPVLRDICTWHLSVTHGPIMKLPFTNIWST -FYRYEVSGEFTDRGLNEFLADYDISTSEYLDFVDKLKGCMDPFINIQHSVIQKLLMRE ->YP_009163948.2 outer capsid protein [Fengkai orbivirus] -MDEFSVALYPAKVTKHDSGVHKYPIIIEDQNVRPKDGWDPEKMASREGIWLYNGEINKAL -HSSAADFCAVLAPTYLDVMLAQLHRNMKDQRLWDDMGFAEKRRRVHNFQTTYYKGSKFTG -KGLIEIWNAQIHADATYLETLTYDYHPVEKKCNHTYIEEWLNQYYGGLRKLCQPGCYVLK -ETQKLMIKDEVEWQIVENEAGGVDNGRGKQIAKPKNTQKGVQYLDKYKEADQIKMRARAY -RLETYGMYAAYENVEPFKKILNGIDEIINGWKKTFNELPYGKICFWMSELAREICNEKET -YEENKEISERYQKELKKNFLDRPQEATNIRKDAKTDREAFAAIALISACDAVEDKVTWEH -RFACCRGTLMYAELIMGDAYFHIRKYLKWSIRERYYPRRQIEEGKQYIYRKVSLFNSDLK -KGQDVIIWNAVREQGKDSHVNTGNLCQKTDIDESDLYFWHDERLYSEMMSRLINGDHKLS -AIKDTDLYQSDVNVYRMDIARDTYLDETGDLFYPTYLDKDIACPMYSIKYRSKIVRITTE -QPKDVWEMRIPGTYLTGFDHAPCFPLSSKMPEIDHVVGQSLKRKQRGSKYYDYVTEATQG -AIPEEERCIIEAMTKDVLTFQKKFIFSKLKHLTISSYILEHGKKIYNMGELDVWGLDEQI -AKYETLSQFLIALLVFILEGKLITIDEEDARLYVQAIIDGKVMEIARKLSPRLVEILSRR -DKVFKLEETFWYNILLIIKFSYRNRILHQTMVYPIIYSTQVLLHVLPAKECSMWTLVSLA -QFHPGLVTRTRDLDEAENILFNECVNNIMTAKITSIYEGPRTSDSKLMQFKIFCGSMCSG -VSEMVYMIRAIIHPEPGLIVVAITDGLRTKECAVKCIMRNFKHMKASIKGIAIISLVGDD -DLACSNVGVCNSKRVERTFMRLKHKVAIIKTKGCVLGNEELISKIMNRGV ->YP_009337908.1 hypothetical protein 2 [Hubei diptera virus 14] -MFNSFEYPTIVVNDFNLLNGQFDDPPLGANMTYQAPMAVASDQSTIGGSRIKPEVNFRTP -REIWQGFERNEDFYEIHLQFGLFGPFSEQQGADPNIKQGTLKGTFEVDPKEFDIIKFMPG -TFDTFTTSNFGDNAVATMMVLVRKLVIGIQFRLPVFKFTFELNRDIPINEPYNIILMGSW -FLMRHGVRSFRSIEDQATVEEEIEE ->YP_009337907.1 hypothetical protein 1 [Hubei diptera virus 14] -MKTCPVCQRKFVNKQALKQHMDSAHGKPAPVRRTKNNINNMIVSRGNDNDIPIRIKRKEF -IQAIDKTNLNGNLVFNPRTAASSKILNKFAKIYDNYIVHSCSVQFVSGSRSTRNGMVVVA -VDYGSSSIVTNTKENLYALPSVATQVHSNSPVLKISVSNAVRYCNVTDKNRDNPFTIYWY -CDTTETDGGVGDLFLTYDIEFKGLTP ->YP_009337897.1 hypothetical protein [Changping earthworm virus 2] -MFQVGCPSNLPSADRFLFQRGSSLRLGRNVTDLIAMESSFPSSPSLKSASQNSSETGDGH -CLMLCVTAPLRRLRSVFRGKNRPSHASLVKVHSSRDTVDMAQIQVGQQERSLDRNSPPLL -RSLKSGLDTLPTSPLETEVLQAVKNLERHTSLMLINGVGALQEQILLSVMSQVSASLKEA -TNSQDQIRTLNCISDIDRFSISRPAQQEPSVSESSVVRSIGQCGRISPIIQESQEQNNIL -RNLT ->YP_009337896.1 hypothetical protein [Changping earthworm virus 2] -MCSDVSGRLSVELAKCRQVSFPKGVIVTLGPKCDGSDCYGEFFPLITFTEKCFPEFIRDW -GWALFNVVCNCTTASTPLSISREESAKSCFISKSTFLEGYGRYGADPGWAARTLVRPKFT -TTIEEFEEWVGHIANVAAGNRGPAGSQESGEAHFSNVDQWGGRIAGTDFVVRHVTSECEL -ERGYKFAGPNKDLELHLRYRPFFHIETSTAGTLGVRVFGSPIYWAMWSYFAHNPGITGAE -QHIEKFNLKFGEAAWIQKVGIIYKDVPDEGDVEYQGEDEEEYDGSEELEELPTLSDIEVN -PEEIQARQESALLEMSALKDSLPRAFYKPLPRVQRSDFLQRYTAPYRK ->YP_009337895.1 putative glycoprotein 2 [Changping earthworm virus 2] -MFIMILLSCAYYIASTSRVEDFCTSFIGGSDNSAFYDDLRSSAFLVECFGSDTVLHANEV -VNNFIDGIVSDGFILESREPLRLLADDSDAIKEACGPSTLQCVDLARERVKRRRRGKLNE -LHQFIVTKIAVSQARRKGAGNVTGTKKPGGKIGVDDWRKMWASTTPLASSSVTLENTTQP -TNQANQRNFTLRPPNRKKRSLGISNGEWVLPPISPYQHLVDDGTTLNVPVCTPKPSGTEQ -TAQYGLVPTEIIKSGSVTFFMVGPCIFDIIGGLLGVAEQCDRGGIRYIVGDDYQTMPVEV -CPLNVTGPDPGLVFRYQVTCSAKAHSIASSGAVKMSMSCQTKEMASFYHELDTGATFGTI -TRGFDGVLYQYVQASGAVGIGHAQSPAAPYSPTSYIKTLEDKAWYGSETAAKANMIATFA -TCIVFLVVVPLFVGLLFCADCKLGRARAKGVSHLLRGKQESAKI ->YP_009337894.1 hypothetical protein [Changping earthworm virus 2] -MESTPLVSVTIEGITKSHLLSFKVTKNKRLFDIVRTFLSANINTWSLGTPDPQIMAAIAS -SGIDPGLLVIREDHSALDIGETISKVSELTDTYSKILENFSSAKGTLTLLEVHLRDLRKL -TASPLITTDVANQWVELLTLRNLTSLECEAKAINMALSGSSEPMRWAVAKNVIDLLPLPL -EAIKTTDKYLLITLSVYERSFLNSKPNPSREEMYFSHVLAELRLRAADFWVPFLMLVWYS -VMRKWYPEQVQQYCGLTQSFIVLWSKATSVLSPPVTTDSTAEDLHTFLVDLSNTVMQGMK -SYQRKVPTAPXXXRKEEKRQHSRNPVYNQIH ->YP_009337893.1 putative glycoprotein 1 [Changping earthworm virus 2] -MNLILLLIIVRLFKLFSTQTYDCTTNDGQTTMILSSAAACPNPPVLMTYNKTADLCDTPK -PVGTKQWIIKEYQFTQKWFWMYASGGGNCWITSTLYYETPLSEILSFISSNGPSIRAPSD -PDPHDYFASRCWGDVGQTKNTRKQWYFEFENVLSHVGQKWIAEGALEFPQSWARTVLNGK -SYFTRYYNNYVTVLVTDASINPTEVCTGCETTNCFATRPTKCNLGKVFYQFGPLFNKINS -TSTADFVFYIDEPVVSLKAMSSCDSRSVICFTTARGTHCISDISRKRRDTGDISSVWAKI -STLEEELKAHLAAISIITNFNFNTTSSALVRLSQMADYNFQQLVKVVNYEACLESNRIKA -ITFALYKSDPRMFVSSNLGVPASAVSVYKTSGTLITYSVCSKIVTSCALVGMISGNLCVS -VKCANGKFLLENILSASQTNWTCTDGMFWDNGTTIAYYSGGMVYIDGRPSGYKVVDIKQN -ALYFNTLVEEYLFSNRSKQMSEITKALNETIYTANSKPDFEDVTKFVSQYLDPWGQFMAI -LFSYGSYLWLAGLTLLYINDRNKFRVIKQLGVRSFKKDNETLKL ->YP_009337892.1 hypothetical protein [Changping earthworm virus 2] -MNHRMNSRKQKHGDMMNYNMTNIYNTNAMMRIRKRKINDAEDMRAKRKCLEDLRKLRTET -HETGFRIETLRAAELLMEDAGFSDSTVTRANSKLLRNLGHKIKPPNIQLHNISMNRFSSI -MVKTSEYELNSLGIEPIHAAGRTYIKFQDLSKLCCSRTTDFDSALAEFFNLMSQRTQRSY -NLWKSLVDEAQILEVPRVPTHQLQEVVMRDKVLPTTSSPLEVLSYCFPAVYPNVVRLSPL -AMVENDAKFVENVIRMVKSLHFKMLNQIDGEVLGHHLMKLMTEDKGLRNCSRATPEGFEM -FSCFFNNTYESHSISINMAPPPRTDKRIFDCILNFAYKFVDGSVTKTSLIKAFTKFADTH -RFTIKDHDWSSYGYECLFCTALMNGDFPKVHTKHDYVTSILKAGGILIEILDNRCAYRKK -YPRPKKRFHSNRLMPGAPVPLYPLMPVVHTEDKTEWVTFLTGEKMIFHNKTSQCYFEIIG -LNKSPSFEITCSPQVSPDCLTRCYKFVQHWLGYPPGNSGNKYLWSRQFDPRCCWPFDSDD -PYTSKPKMLFVAVHNIERSQIEIQIQPSGKVLKCLSRITVDTKVKPRARSLRMQVLDFNK -SGVIGQLVGSGQKMQILPMPPPKPWPSGFAFEGAAGLDPNVTFHTKQQVFKQLVSFLLNH -SMPPDVLLSTVLPEWKSTFGRLLGGLETLAVRSHRHGHSYNIEYMSKTKNISNFLRTLAL -SVPREDNVTIVKVNPCDMVLSITDDILKYTTSCPPMEVVEFVSVTKEPWALSSISLGPGQ -CNEGMFLILARTRHRSVSQLEGMGYKVEKQLTADEYENLPFYRTLEILGKFTSLGFLPLE -GMGTSILGIVVKEDVACEYWVVSLKDVSTKPFQIKARKGKRINIGTTTGADLINEAIEKI -KQRNLEANKSTAEDLYKMVNDLDDWDTDSIAGELKDNDMAWLNDRVSMSDRASPTRTLSR -PGTPTSVETATASALSSWDFKRVNRRLSF ->YP_009337891.1 RNA-dependent RNA polymerase [Changping earthworm virus 2] -MSESEILQKIKLRHPELLEVFYHNQHETQEILFSRLSAQLITSILQEHFSDVIPQTNKSY -THEADSAFMLVVGSLKPHTANILFEFTETPNPHGKQLVYLLWQPDSESITEGLNGVAPLL -HLRLNQLYTDVFIPPTESETKLLNNFDEILEWAEKKSLNEFGFDSWDAFLKEPPVKSITL -PKVGFVEPSMTKPEFLHAYNSLPKDFYPQGPLLANGKHVPSRLVKPRDKYLLSLGGNILT -VHSSCKTDRELVFNFYTEVLSHLRTRSSIGRETGAWAMTTPAELSSESTFLVRCCQQIVY -AFCRQTKLPRGESDCFTKLEGTPTGVLCHFSKAKTPSALLRKIGWHQKLNDKIRLKETQR -KGFLHRTIEYCTMEASETYASSLIHLLLKDQNRPVTLPPDSLWRTISTSSCHPKVKDAQA -DVTKLWTVNAGVSPTLYYNIIQEAMLAQRLLKSEAHKHKIWVVPIHLDILPNGDRTVGGI -AILFPRYSKSGQEGCSMMILESWSSMPSYHSFNDDDEIFEVGHSKYLSFRRREYTYNKAS -DYCHVSNVIVPAKGYCQFCEDETKFLPACLLLYLTSRNHSILLEGAFAEFRRSIMGIGAE -FYSFKKLWEEVIAIRNNPTANYLKTLMVKAHVTGEFLGLQLGDELMAILHPYLGGSPHCS -QKADQLYSQIVETLDAVDGDEPFTQWDDQDQSMFLRPKNRTGYGPLIFNTMKRISDMSPT -RARELSEVFSVTEKERSISVLASGGTKFVPARGTSVPASTAFWDYQDQMRPIFEHYNIKY -TDNSWWHIVICANIFGEYFEILPPTWDRSTLTKLFVEIFSAGLAVKQTEHNRSEGRNIVT -MSISLQNFQNFVEEVAKIVNRMTGSHGTDLSSLEKRDLLRKVGLAASIELDTFLASLDKT -KWNQLLQISTAMLLLAASYPNDASERRFVLLVGQIWREKCLYFPSKHSYYTGGMKTPKTI -DELSRMNDEQLLNDNIRDDLMMVLRHYRKKRVIPQYIKCDLIMLMGMFNHSSTTLHIWPA -YANHLDDNQTVSKIIDFCASSDDSMVRAKKILGMSALESYRTISSLWKSMGLNDSEDKSI -IHDRLVKVEYNSNVFSMGQLIPNLSRDVAGTKVLYENPEKDLETMKNQLFVYINEGTLST -QDAAIILSDKYLTSLDIHDMLPFQKRHPIFLNNLTSAGLIPQCIPIWCGGTNHIPPELWG -TMDDKMYWYHHHKDTGKTNLYLEFLASISTPPDVLASEMPVDFKLGLHTTICNYGHRASR -PKRKQDQIESETTRLWAASAEIMNSIKFPPLL ->YP_009337887.1 hypothetical protein [Hubei tetragnatha maxillosa virus 8] -MDMINQLFGDIDITVTKIDVNEDAEYQKYALSLYEKLKLRNGPDVNAIIAELQKEKKERD -NNITCLTFTVEKGEDCFDIKDEQVEQTYGVRIHDESIQLLVPKKNHEEDIVSRGTSYLRY -IRDSIIELSSDGIEKRTYSTNNIIPEIERIKLPDGWSKCQKQAVRIQAQIIIDERVMRSK -LKTFAKFTSEMKFKSDPIGKGVWNITGTKIPTTSPILTADERLRLNSSSMDDLCTITFSN -KVGTIARAKMNLSSKVSKKVFDLVIRDSETILAIALAEARVTPFEALSSVFKMNKDNESY -TCYACGTAVALKRHTYHSQCKVIQWLLKYYPFEYDQVEQQLSILSAIYISPQTRQRISNI -LELHNKLNNDIVVRNQDQKVVWSRQEDGSLVRNVYNTDGYVDQVGSHDWRVRVGLSPERV -RSRDAPTASSRTTSAREN ->YP_009337871.1 hypothetical protein [Hubei diptera virus 17] -MSNAQQEMFAQFQAFMASKDAAGTSHPVPSTSAHRNNRSWGKKGKSGGGMPRTNVKANLP -PVDTSASFPAPSGKYLAKTASVEKNDPLYAEAYFPAPADEFRQCADYQDIFTGCQGFPLL -ASEIYSRLKSLSPSFTKTVPKCAVDYYLAVMLYARLLLLHRENGGDLSYAESDFVELIMS -GKGIATGGYTIPKSFALYLAGFGNTSLPNGRDLTWRMSKPTLASAQIEIAGRALTIRGYF -GDIVSNILRYSIYPSLGVFAQRIVQDLARTESNQAPVRWDLPVSFRLPGHPITDSCLGCE -SARHMSNEQVATLYDAGVTIDDFGSNNAELHVHVGLLNAVHQKLMGIPILQTKTLPVSTI -GSQGQIPYQQVVELHTPGLKNAVFSGNSILKIASSSGYLGSSYLYRIKNENAPSILRNYL -PLHYSNTIPADIRAAMMTAFDASDNTLRYKRFEVVPYSASQRLEQIVLKDGQAA ->YP_009337862.1 hypothetical protein [Wenling crustacean virus 13] -MKIPQILLKHPPVLYVVVVMAQHVHLVNGLLDKAGFWREVLKQEDPYMKLCLRHCNARPW -VAPDGIRKWGRAMEVAWLLSTRIVATLRVTTPHSILVWTMMETLAPQVHDERYIPRLEAN -ALIVRSVYNDSSFQSLVSNYTDANLAQDLHDLSQDPDFERPANDDGVPTTIAIQFSAVAN -KSAEGAMAILWVLAKRSVNLHTRPAQVLVHTLCALAKQGNATQAFVEKITQGFVTDTGKP -LNLDAEGIEACWRLIVHHVDDSNVEAIVSDWLAKLPHEALRLRITLQQIPGEGLTCITSI -IKAIQDFPGFNWTWVYKNFPGEMTAAGTASIAITNRGFYGYKKDLSLVKAANFRTVGYIA -QQLLVRAGGEGPLRAAKCFTRTPKLKALIDQMIDDFIINAVTIPVNPGDEVPAGIWASIM -GYSVPPAPQPVLMAGPQPGGGHGGGQLPPGGPGPGAPPAPPQPQAGPNVQGGNRP ->YP_009337859.1 hypothetical protein 2 [Wenling crustacean virus 14] -MHPSIYSEGLDTIFELCVFKILRTKSVHTELLKLICKGVTMATGGSPSPRPSGRQFSAPV -AGPAPRVQNPVGSSTPPSAQVSKGADPTNREILAVLRSIEARMSSIEVAIDRIQQDIAAI -KNIGPTMYISRQPGSSEEYVPAKDRVRFT ->YP_009337858.1 hypothetical protein 1 [Wenling crustacean virus 14] -MYPHPVFNANLKHDDTPLKYLQTNLLLFSMMAAPAVLVDGILDKPGFWTQVLRKSDPYVK -LCLRHCSARPWTQTDNVVNYGKAMEVAWLLATRIVAKHRITSPASTTVWTMMETLAPKVH -NTRYLAQQQADEQIIEAVYVSRSLGEIMTNYTAQQYQDDLAALAIDQDFEVPQNNEGLPA -TVDDALDQVQNKAATAAMAILWIQARRAANLSTRPSQVLVTTLCALAKQGMATPGFVDKI -TNGFMQDTGKQIELDTEAISACWDLIVHHVDDGNVENVVRKWLARLPHEALRLRITLQQI -PGEGLTCITSIIKAVGDYPMFNWAWVTLNFPIEARAVATAVTEINGKPYYGYKKDLSIVK -AANYRTVGYIAQQLLVKVGGEGPLKAARCFTRTPKLKVVIDEMINDFMLNSITLEPAPGA -MAGDWFLGPIHGFVQFAAPLPAQQQQPNPQGGAGANPAPPPNPPQAGPGAGRGRGQPGGA -PQAAPGPAGRGAAAGRGQQAGQP ->YP_009337855.1 hypothetical protein [Shahe yuevirus-like virus 1] -MAAPDTRIAHMGAWRRTEGGIGVRLDHPVGYNVTMLVADWGLDTTLTSAVIAGMLIRFSA -IPDVAVVLQAGNPPALSFSAHVPTDTDAAARADKNEAEMAVQPHNEINDFYRDIIDAYTR -SGGWIIDLCAAEQVRPLKDTNIVSYFRSKGGMVVSGNRIKPLIKVMAALLPRLVGNDAIV -LSTNAWMRYHITFSSTPQLVARAMSIVGGGFPTMFSAPTRAAVQAALAAPWSEPLVTAIP -LGAVCITHSILRAFGMLPEGWTQGEKAIASGSPTREEAVYKGCIKLKELMSNTAAISASK -TMAELCASMNPACFNQ ->YP_009337854.1 RNA-dependent RNA polymerase [Shahe yuevirus-like virus 1] -MSDRLVTTDYTMHLLLAQYGDPFSVRRMSKSFKKNSKTYKEFLEKDLISRDVRVALDKYV -DASRAHSMKEIHASKVEGKPVRLEVPRGISGNLAVWEAMDEIVKVAGKSRNFPPALLVPY -KSVIVYSVQSALRRSGSVFSVVQQKIVEEFYIHELEKMLPLMYIKDAISKLALSSVGKYN -SLVKTEVEGKAVPERYNKTILIDKKFPVNLDIETFGGVSILTIGEKRIVLSNLHLMRLRK -LLTAFINLFLATADIPVYGEKSCFYTNLCGILIEYAKGDPEGVGELFKGARSYMICLLDE -THLMGGNPAKSLAATWEETKRGKVLEIVEKLRGLTPTIEDAINLANIFRLIPHPDSNMAE -AFDTIRGVKEPNTVDRNILPRFEGILKRSLYRSLTQQGYQVRLISIGPLGNDLENMTNSV -SPKQSKIMSAPYTNWSDVVFSPVHNMTKPSEIVVPASSKASQIHPEAVIPLSESLIDKDG -FYTREATEFIEKARTINDAVSEIKNKSELDADAAIKHFEKVIKLHEKLEARFPGIPPEEI -PQEIFEEFIKENSDMMYLVGTEPKFGEFHKKVTRMFYMGEQKLKAITQRVERLARQVSRR -QFGVSIVKAYRARRADLEAFCRAMGSTIEGLKALFVSFDMSEFSKKFPMELMRIYGTVLA -QIYDAEWLSRIDIIFRASMVIHNSRGFFDILFGVKGGFEGFFNFVWSSIHAVVMEMALDA -LGYKGNLLTFSDDGLLLIYLNPNMTKDEKRELMIVIQDIYKRCGLVFHLGKTLVSEEVWE -YLGDVCYKGKLIPMWVKEACSVGKKIETSGINPFYQDIRSLEGQADAMVSAGGNPLTAFI -LKRILFEERVNRLGMKITPAIMEVLSILPSNTGGLRITSPYEMMVQSTIETDAEILADIH -LLSKSDEVLASGIMSMLSENVTKKKRVLGGIMSGNSFSTDIGNTSGMDIINKAIEEAKEN -YENPKELESNPFEGEFGNNLEELLKTLEDINLEAMQQLIMTTPVYQNYTRSVALMRSEGA -VRLVSRKTLRYLQSNDTNNVRKTISYITNYLRDSATNKFRLMETFSRLREANYLNLSLAP -IRPSPRVALTRVDQLGDIQVRVEPHNGRSMASSTYIEPHLRQPKDITTISWNSERTGDFK -HKTIRRFAESVAKYLAFSPRSETFVRSLANIMGISLPHIPVGLVTGAHRNVGSGDLNLDF -KLTAPRVYLVNSVARYIGATASNIYGLGRIDRTTYPESARALTYFDYFVRSLGFLVPVSK -VLINEYIFDKEFFDLMHITKETSLRGPMRALPNAKELDEQSRTDYRNAFMEYIRYSKFSS -RISDMDMPEIAITDVDRRMINELSVASLSKWIVDTISLSTEVIIPEAVFPTSPISQFYVV -RRAAILSAYRMMNPVLKGKLSTLVIGYIFRKEGDSKSLDELNNSTAFSEFRSRLVMVCTL -LSSSNLPGISDIDLANMMDSVGLELDDLSDIVRSSIMNAAGKIVVMRTPSHPAGKFSRAH -RIAYTEAFNTTLQYIYKVCEDEKWDRNLIAKRLNITSNIDDLIDLLTISKTILRESPHRS -SESPYNKTAFYIHVRKFYSFLEYVSLNMDDITDDNTFEEYFNEYTLTLFEKERLITEVKP -KAGEVGPDHLKIIDEPLDTQIMGRAKAHYHALSRGRVLGSSASEWKPYVMDKTMLNGIIT -SFYQLIIQPAARSLVEYPASLDKEILVNFYPSTRAKNELMSLTVTPYVIAGNILNVKKLT -DIGRIDVTKLLTEHVKAFVLQEGISGVTVPDDASLMLAKACSSVELPNCPKIRMYDDFHK -SDIRVLLLLHTDINLAVTSYANLASLNNSLVTIFKDTDSSNYAITAVFLDQPIRVIDTTN -KKTKITIDVPEVHPGKISDMISTMTVLGKSDVVRANYDYKIKSANLQVDVPPRVSYVINY -LKEIGTESQVNNENYLIQAATELAKESYMPGVKLACYALVLLWLRGESDLRNYRGLIIML -NNKLKDKLLLMSLANDIALTFTWLMVTRLSMSIEMPVDNLKVIKAEVIETTCCVELPLTA -TTLRPRPIREVLALFIEANTRKIIGEVASYIYNEPPRILELEYDTANLSLEDEAALAAAE -DMFE ->YP_009337845.1 B2-like protein [Hubei unio douglasiae virus 1] -MNIVETLLAYQYSKPLLIRPQNWLLYWMVRSTLDLISKMTLTNMELQDRTSPLPSTVEVV -LSSEKIVLDHLMLVPHLVAALNLTILEEVPTLTRIAKNELTQLVLSTEALTKRIHQNVKR -MVDEVGKLEGEQASRTQRLINQIMDQQLADASRK ->YP_009337823.1 hypothetical protein [Hubei virga-like virus 12] -MYILLFFILFYNFIFEPCGKTTKPTPALNDVCVFYCPSINGFQINKKLYLDGKGHSLHGF -WYKELKDPVERISFNDFLQKKPKFKYIFYDSTTLLDELGCGQYREYVKHGAKSGYTYLQW -LNKINECQVPTFPHQCHFNGSHNVILSISNYNISTIGCKLNYPFINLCRF ->YP_009337822.1 hypothetical protein [Hubei virga-like virus 12] -MINCIKNFKNYNIRKFTWVFIYFVLLWNLEETKSVIILFLIFNQHLLHFNFKRNFISCVN -IIFNNMYTKYIKEFTHTFVIFNDYIFFINSIKPLRFNLKENRDQIRDNYSNLLSKAIAVD -INTRFDEEFILIDLDNWFNSKVLNSLLNSIDTADRFFDNSEFLITQSHHLNDASKNFQNC -KNRLQLILNLPVQNYRNYGIYNRCNFEENFSLTWKILNDTPVCSNFLSNLISSSTISNKP -SSKD ->YP_009337821.1 hypothetical protein [Hubei virga-like virus 12] -MFLNLFRINLIIILIKISLSQNDLSIQHPLHPAQLIYSRQFADITTEKINNEKISSDTSL -ARNKRYVASFNPPTRFTNLNCLSSAIGKQRLTLAGESIFDGNYYIANDHYDKECSFILKS -SSIFEKSNCYKLNKYNCRMIHSIDLKHNLLTTDIRFCWGIKRDRVITTNKFKLRIWKLKN -IIKNNIDRTSYYSIYTNNTYWVTRSDNNFNSYITVPTFNIHSNFTIDYKILTDKVYVLNT -SPYYNFEFFQKSHDPSNFSAWGIYSNGIFPFRVSYVHSSKFTCNDNLLNLTCTRLYNQFG -QSFCKEIYLYPNCPDDFYFQPYDIYQVGDVFNINHQQSHWLHDVEDWFINIFETLCKKLM -QILFEIFNDLLKIFWNILEKIFEKFNSDTLLITYHNLDRKYKFTEIIFFILLALVFFKNL -TILLVITPIIFVLLGLKRADTDLSPLYFLSAIIRFYIDENFLEHCSNSTCGFYFDIIYNN -D ->YP_009337820.1 hypothetical protein [Hubei virga-like virus 12] -MVSTLFVIKSVVKQLIANPLYLLSFSIWILFVIAEFEIIETHPIDWLDNTVNETTSAGAT -VLRVNNFIEQNIHKFIICTGLFMNIYFSKQSGKIVLINWILILFLFLIKLNLKIYIRGIT -FIIGEFFYCSIRSEEYKFYIILIVALIIYFEGELIKNVPQSLSDKFNNNINKNITKSK ->YP_009337816.1 hypothetical protein 1 [Beihai tombus-like virus 2] -MEKVIRKVTHVIVSQRRRCAKVIAQFTGRCFRCLAYRATQLTQCRVKVIRGAVVGTLKRV -KGQSRRLLVYKIRNACRGDLKLRFQGTYKAVETIVDRTGHAIEIILGWMLQIFLAWFALF -LMYKLGVLMNHIYLNYIKPEYESIATDIPTKMKERQRLVYCGMTWRRKLMHWRMQLARFF -KRPVSGVEPTPVTEQIKVLEALFEADQLHELPPLCTFRGKIVFSYHLAFKMKATLGVVGK -YDVARTNVLIRTANAILVEMLQEMSDEEKERVKLLKYNHGLRHKVVCQAIAIFKIPSFDE -VSMAEDLRASVLSQITDAFVSKVGGPSN ->YP_009337812.1 hypothetical protein 4 [Hubei rhabdo-like virus 9] -MSSYLVMSVEGVVSTDFEASLDRQIGLNRLIHEVLVRTTPRIPEWCRIPVGCVVAYIVDR -SHQTTEPIPTPYGEKVGFHAVGLGAGGYAVLHDSKHSIDRQHFHSVFEDATMKDNTFQSM -KSSLKWTLTVVDGVTPANLVATGKIILDPRVDADRLRVLAKLPSRGVAGPSGLMKLFTRG -NK ->YP_009337814.1 putative glycoprotein [Hubei rhabdo-like virus 9] -MPNRDMYGIKIVIILTLTAFSFKLVKGLLPGDPFGPVRARDYALWDCRSSGPGLSSPIPK -RPNCTAISHRDKTRVEGQVTIWKIDTSLWKVEACECFSETVTATCVSPLFGLESKSTKRE -FSPANYTYCLQSCLDIDSGSLTQSEIHGVIVSGKDPEYDCPMWGTRSVIVTITSVMRLTL -IVDHDSDVMTGAVNFARPCKYNETKCPTVKGGFVFWKQLMKPSDRCNLKATGLSEICSLH -GDTELSIHCPLTKAIYHLGHHQIIPTVACSWVGNESKIDVYKTLEGVWISGRSKAGGNLV -DWIKTHQQGDQSVVFTQSSPKTNGSHILGLTGPQLAWLEDSWVKKSSEERSYLELQLCRE -AQHSWDLAWNLRHIAPMAAASIWTKTPHTISTLFSGFVLSWPCKEVYQYRFLKNENCSEN -WPIEYSDGIAIHTGFLEPVSYRITQRRGRMSCRISPGFLFRINDSHVVNLATRDFSPVPE -SYFAVDPVPVFHSHVFYSPSLYSVEELGGQSQYETLVELHHDHLRQAIYALSNSHEISTD -EKLDALAPIDKATSIIGHYLGSTMDKILNSITLVITLVILLMTIVYVAKCFCTCYFTPFN -CIKYARRPKSSSNENIPLRRRYL ->YP_009337813.1 hypothetical protein 5 [Hubei rhabdo-like virus 9] -MMTQCELVCLKPITITVIGLLITAVKSVQERPRYLDHLTVSGPITRQNVPVYDCKVSGIG -MKTPIPPRPKCDSLLPKNPDEEHQLVSVPVQTCMIRILTCTCDYVEDLTSNSTVSYEYCV -PSLYSISESECNATCSDPTFQPPTSSGLDCPHPELVTEYPECFLTAAPFSRATGYFFDQE -ERFDCHYLDRYCRVNEYRALRWRVEQSWVLL ->YP_009337811.1 hypothetical protein 3 [Hubei rhabdo-like virus 9] -MAEQHSPPRLERNMGGKYRQFPFLRKEDAPMTESELLQYEENLRIIHGQEVADTFRRLAK -TGDMPTWYWRGFLDGVAAGKRAVYERSLNKQQAEVNRLLSMLEETGQKVNDACKDAESCN -VQALAESRLLADTVQSACERLETLVASFSLPKPEHSFTNVTEETDAKLRAVNIINEAQLN -PGLIIVLTRFITRGSFPLNILKSLPVQKAGPDLDQAVMLMIEYLTSKGLVTLERLKFAAS -QFKCVQENPEAARPHC ->YP_009337810.1 hypothetical protein 2 [Hubei rhabdo-like virus 9] -MSSFNEYTKQLPFDPELGSHISKRVDVNEELYECSVFADPDNVSITDLLPAPMRQGQSLT -ALSTQSSDPDESGPSCSSRTSGTHRISSLPTLLKINPDGPYFTQMTTIFKTLTRGESPDY -IRGVADALSKVQMMVQSDMDSKRMVMEARTDLMEKIHDLTNMVETLTMRTAPTSAAVSPG -TAHGAVALQVPPTSEMVTAAFRPWTTPQQQSSRNPLNVTSNPTSLPVVQPAQPSQLKFTP -EHFSIIAGWGVPNNVYSGFAWAQGKLLISSFQLEKLTKANSEAEKKLILRDLISAMYGQS -LMAVSEMRIMLDDAMKWKPGK ->YP_009337809.1 hypothetical protein 1 [Hubei rhabdo-like virus 9] -MAQYDFSSAELVKYASEHNVEKYETGTGVGMMNSVKWDDSLIDTIPIHRIKHQDPDVNDA -IFIEWLKETRSSLKDIESFIALTVYVGMQILDPESGDYIIPETDVAAAKKLTGINSEISE -LFEGKLREFGRSNIDPRPPADAAGYGTKDIRRKMVPAYALYSLRVVYRTSFNLKDPNKLL -ALKAQIGSFYGIAQSETITIDFPIEFQSEMSQRSYIGTQIINTALVSCLKAASKPDTSEY -SKAILNYCFLLHSKWNGFAAISRLMVICKSENRQPQFFIDSARFAVTDSMLDSLENFFDR -HLRKDHCSHYAPWCRAIANNYEMELSNSNMLIVIAIWTYIIDPCKETPIWTSHILDKLPA -THDKTALKIAHMILAFIRHLQLGVSEKNKLLGNMLRNNKMTDEAIMLDMQQMARSKSQPQ -PQIGLMMPAGFFASGGASTVIGT ->YP_009337804.1 hypothetical protein 3 [Hubei tombus-like virus 43] -MPNKQTKRTTKNTKPKQQRRKQPKAQRNVVSTQSAPVSRGHRIKQTAPKITASSKGVNVV -HSEARSVAVTSGSSTVDMSWTLLPQGSNFPWLAAMAIQFDKIVWNKVSVTFVPIVGTNLG -GDVVMYFDTEADDTRVTDYVSAYSMEGAVSGRVWDMCTLHAKHADLHNQKSYAAKGETSK -SLIGSPGMFCMLATLQTAATANTIIGRWVINYDVTFLKPEAVTASSLLSLAGELDPTAMR -TYIEAHWTDYYTHAACVSNCPCYTSGDQHEQCYEEALVGGMCLVDKVTDTCSIMCPCNSL -KNASLGHKHMIGHSKDLIEMDKTTHDAEPMEVDDLVAINQQPTLADELTSRGWVLNLPVD -NNDEMAKFLKAIDIIDKVTSVREGDHHMWNGQTIHASSTSSSDSEMD ->YP_009337798.1 hypothetical protein 3 [Hubei rhabdo-like virus 2] -MLVGATTCAEVTGEPDVHGGMVAFAGDLRVDYPVTITSGIRRQAWSIIVDHVEKTRKPVF -MYAWLFEHVMRHSHYKGIDPSLLAKNIHDTETRLWRGSWPARADFEMENSTPTSLVVEDG -RIHWTLQSPNGNITVYIKGTIYLALSRLRPSTFESMHLQCYVLGTLPEKAAQMLAHHNKM -ARQLADTVAAEDQDRESAPGPSGTKILSVSMPLQK ->YP_009337797.1 hypothetical protein 2 [Hubei rhabdo-like virus 2] -MADRSEDNEPQWARMSDEMQLTASRTYELSMAQEGIPVGDDFSDAPSHQSESMHTAESTG -FSTAEPILAAGLQRTEGGEFTPSEHTEETTDPGIQETDTSTITASAVRRSLSTPQVLHKS -SRSRGASDSSEEDSEIGEEKFCDSFLDTMRYTGVHLLGYQKNQAESTAKQIYRYCPKKVQ -SILNWGLDLIVRTLPFKITVETDKQADSLRRSIGETQVVLKEHQILAEKYAESQQNLLDQ -MKAIQLDLVHQIGQASMAAKVQPVDNGDQLRTLIAIIGQVKIMDPTTQVERYPTMEELQH -LETYKTADNLLRAYGKAGVMIQDWITQFK ->YP_009337796.1 hypothetical protein 1 [Hubei rhabdo-like virus 2] -MYSYGMEKIIEKGPLGRETSWGTFALETARLPASLWHDSQLETIKMPEILNYGVPLEPEQ -SIMALEMLLNPTPPKILLDNVGLLLAELAVRACRVPLKMQEMLFCEEIHRQAPYKHLVDS -PCQLDWNRLLRLPPVTPKAATQQASGGQVAEADPQPSAARPVELDESIKYSGHIELSPNA -ADVVQILREELKGPLGVPVLVGAYIGYWALCCLRAVSKSEGNITAHMGAKYHDNFKTLYQ -PEHKLPIIPLTQSFFNNLITHFSARVTAAASIIYECVTAQWYYLNRDKNTQAVGALRSAV -TQNASFHGLQMFNFFQRVAELYNLPIYQIVRELWAHPYIPSLIHMLGAHIAYIHKPDERA -AVAKEFGFKLTAEELKAPRSIYWSFARYFDSTVLAQLNCRECPELAVTLAMLIDAQESNE -ESKSRIIGNYRFPPIDDDKIFRCAAVALEFITRSQGQHWRGADDPTFTGASAKAGVDYKG -RAIGGLSDESIRQQRIEAIVVKARKFVTTSTTASSNPPPMPPRPLPSVSATLDQSSAPQD -ATAEQRPSIPSHTQTAGTVQVAPGAMPPGTSHTGEPAAGGAKLPAFAAYLAKKP ->YP_009337793.1 hypothetical protein [Hubei narna-like virus 19] -MSGAMPKHCEVRKVTLAALCRPLVCTSSGRLGVWDVRSGHRSDPVRKSGRVRIRSWDPPR -LYPGQCHEPRAPLAEADGATRTVSMSEVTPITASSLIELGLLRFGGPDGLGPLLAEVFLR -ENIHETTGDAVRSCDETCQLDSCLMMTGRVTYSGPNRERYTIQPHPAFSIWQTGSTQIQR -EMWWNSSGEPNHKVTVIQPYGSVRLAALARYYIRSLGEPSSQGLNQGALTGSRTNYASNR -KVLKDLHAGYYINWDELTQGSLQGVGKLLCSETVRETHCPLGKSAAGARNDSFRPVYGEA -HLLHDIEVNFRPWEDPEEVIPSDNMRVRTISSFLHAKSVEKGKGEEAERSAHMNTAGTRD -DGTIRITELHRAKHDSAVRINVRHNMMWLEESGPERLRINVGNVVCCIGKVTANADTVPV -ERRPNGAKKLNIIGLSIHVGPRLIRHHCPHLSSYYITNDEGSSGELCKNPRFISFFRDRH -HVGNPLLLWRDGTSCHIDSVVKGFRDPRVEIALRKRFHTFEIALRFCIADQLLHTSSFED -RCSLRRGVLIRCPRNFLFKEVGIISRELPGPIVNVVGQFHVDITWRSCDDRSVCLYGLGL -DKCIPFRETSANARNLRPSLGVAHVPGQPFLEGTRHVTLRSGSSTSHRPLAVLEAELLQL -LGYVVPTPRVTRTAEEPTCEYLCRDDISKLLVVSKYNIGHNSFPDRVRISNSIPIRQGRH -WQLMPMSCPNNTRGNRPRQYVRW ->YP_009337790.1 hypothetical protein [Hubei mosquito virus 3] -MQRDMSGRRTPEGSCSEPSRARFSVPPCEPPDGFCPVVQGSTLSKTRRQTLRGWITCRPC -GGIDTSMILVLHVQHSKLVFCSLSCEMRLCLSQGTATWNVYVVYLPAQASDFSRTIQGAE -FPMRIEAVPFIDVTELLGSPIVVLPRHRGQDSASVEMFSPNHLGAECAELPGVECFPGGL -HEAQFCGIIRPPLLLRVGGVCQSIVTAHNEFLHAGLSDDRGIPQAKKRKRDQGPHKGPPA -LPK ->YP_009337789.1 RNA-dependent RNA polymerase [Hubei mosquito virus 3] -MVRRAYDLKRPLPYSQVEAAKPCAHQVKTWKEFTNFLLDLVAHGKSALVRRPGSTPFTRS -FTSFIVSRCAWSRGRGKWASIAFSLGQLKRCFPALPDEMRREALDKHQELLGSAAEPFVE -FPIVDRIIQQYFPVNWARKTSVKVYNAIPNTACIEGGSCRDYLASTGPKPARGCTPIPSS -GQILAKRRYESIFDKLYMQRLQPLPTLKAVAVPDAGKFRIITIDSVSAKCLQPVQRALLS -HLSRFPEFEFCTSTFQGELPPKWGKMAKGEKLLSVDYSNATDGVDGSFMSELMDRIIDRS -GSFELLALREQAVQETTLGRRISNDLCSFWQRRGTLMGSLVSFPLLCLWNASIIREAGMK -KFIVCGDDALAYATNTQKKRWADYSAKLGLVQSPGKTFHSRKFGTFCSKVVGTEHLNAGR -ILAPMSGENYNRTPKQFRHIYKRYCFYPHGEFRSLYGPREIGGLGGEVDDIDVPSRRALR -KAQAHLARQGTKYQLGMLDMQDENHAGIYTTAWSACDPTPQRLTPRLRKGGSLDYRTKPI -RWFTRWDRKSRSRRF ->YP_009337786.1 hypothetical protein [Hubei macula-like virus 1] -MAPSTMQRYLQILATDAMAFSSQRKVVVYVWVYPSQRKFFPSRHPIIDRGRVIYSDAVRA -LLLDNVFQTLLSPQSTTSDIATLATSLGASLDDVGIFFSFSCSFSPVPRKS ->YP_009337783.1 RNA-dependent RNA polymerase [Hubei narna-like virus 15] -MALTYELCMPVFNGDALRVGSIVNFFCRRSCTYPARQSDGPKCPKDFISREILKSSLPHF -RVPGPACSHKLRRRLAQTLNAFAAAFISVWPSSQFGLCPTPPNLTAMWALCKFLHWVCKV -YVGNGEPWLAPVLRGIATWARYHASTTHLHLPPEGIFRTPLSSADGSIDFGKLFTGSISR -KATSPSCLLRFSRFARALPPGDDWTCAQGLVDHQKAMRDNPTVPEQLTKLFKDVATRISC -QPLLKDVGTPGFTLSMSSCLERTRSQGGAAEEVKEAVWEIFPGLRRIHEGVTEEEDTELS -RVPCLDRNPLYSDVEYYYSFYEGLPEHVPRVERLTYLACCEKVFPPCRLERRVPSHRIIP -VPDRGGFKIRVITAGEALTQSLAHQVRKVVYRKVLPTLPTVWGIREDGVRNFIEQLRMPS -SPDPRLGRWVALSCDMKAATDRFPHPLIEAINDGLESNLSESQRRCPAWLAWRSLSGPQR -LLYPGGDVVLSSCGNLMGTAPSWALLNMYNYALFRLAWSIWSTGWSRRKFPELAAREVLP -SRQSLDGSWEPLKSRVLRVLSDSRFHPWNFPKGLKFNELAALVGDDLAAACPFGVAMLYE -LILELCNGKPSAGKHYVMPWIDGSYLLLAEEFGFVKGDRLVHLHAEFLRGIVTGTNCVDT -RSRNPEWAQIGLSLAASIAGCRNETRRALSSFSHLMLADLRKTLLRFGLPIYLPASVGGL -GWPHPRGLEYALERTSAKVLRAYSVVRGFRQDPVQFILIIARLRSSWMRPELRTPFSNLL -SLLSGYFRSLKVSRDEQGRLAPCTPESPIGLPSLDGTSKNFFDCIDSIGVHGVTVAFVAG -EELPLLEQKERFRTIGASRRAYGQAISDLLRRREGHVPPLLPRRSDYAALIAEDEKLVRD -CHLYLGESLEAQLPFLQGVLGKSPEEALEDIGEAE ->YP_009337780.1 hypothetical protein [Hubei permutotetra-like virus 9] -MVNSEGEYILEKFQHTPWNLHPTPHQVQGADGYSQYVGWRPSPSGPPTLFDAVSLSVGNS -AGFADASLQNLDVEEPKVDFVFNLQPLDLLQPNIPSIVRLTFTLTFERMNIPAEENKYDW -VFDLSDLLDHVEVLPTSSLVVNPHLRQFTKTFWGFTKRYARGYIPKVVVSMVSHFGVEAE -DTFVGRIRGACEWMSTSLALFTGPVVREPARSFAYLWELNLLKKRHSRPLDPVDDSLEFV -LLDAS ->YP_009337779.1 hypothetical protein [Hubei permutotetra-like virus 9] -MTGRRANSSSEKLKREIANLQRRLATSTISSNGPRSGRRRRRGGNGNGGGGPSVPAAMGS -NPRPSRGRRGGARTRVGNGGRIVLTRDELLVTVATTPDKNESVFSKDLVPSPGVMPFLFR -LSSCYQRIRWVRASISWRPSCGTATDGIISYGVAFNGSESIKSRDLVTSLTPCNDHPVWQ -STGISPLVIPGDMLMSRRWYPLNVTGGDQFDKGMGKFCVGLTHDAEKVAKSRGEFWISYT -VEMEGTNPA ->YP_009337776.1 hypothetical protein 1 [Beihai tombus-like virus 5] -MLREHAPEMGTIMYHNGDNGLNRVLAVVCTTRPAMDLAQRLREIDLLQRWDGPVYIVPQR -IGRFSAPQFIETCRVAMPQCVVARFYPPRRLQQEVEEANQNDAGEEPAVAQVERQEPDDN -EVDENMELGPDHHVPVDDATLTGRLATWWLRITRQLRQPEVPADVRWARCGVFPIINLRA -TGSGLMLVGSALAAANVPRLTWELSYNYPCELTSKALATFCNATNVTLDNALVHHIKPYS -HPAFHATYLTRVIKFVSKNIPLLANTSIGWTMRKCRCTGPWYYPKPKWSWLGPAVFVIGC -GLLYIGVRAIVRSDPEADAVARQAAFMRPRNRNTLTVIEGRLDAWMQRENVPIVYRAGVR -VASAVRAMDVSPEEEHLLTQVGGVQQNLRNADVSGWIGNVSQYLRPFLPFLGVGLGLVYF -RPPQSVLVAGRSSLYAMGQELIRPGLQPTLTGGLH ->YP_009337774.1 hypothetical protein [Hubei narna-like virus 21] -MLLQAGVAFLPFPSALTAHTEYIPECSSSSLCRYRNGNLPSFQTCRGVLPQVALAPKCPP -TLQHLSLRTDFPVRIPNSMHNDAPAARSNNPINGTRTLRHCNAVHPGLLVNPRIGKKLRI -ELRVDFSEGVEHVREPLPHRREARTATPAPRGGQLAGLRQHNLGPELSLRRSRGHRVLQR -HRRGESELSAFSGAALFRDQSGLRAATFKFNLTVAYPRIAIELVRLSRSAGEGRHCKRRS -QMTHTSRGADGASESLRMRKPGSPELSRIEDRNLEPIELPHQRSVYTQNPITDRLPPLYI -LDFVHHSTSCRRKRDIRWQPFRVWRRHQMPDRNVAQHREAHNRGCAPPTAARIDRTVPKG -TRFERIRRARQQGSPRMNPRSHDDCPQTGSCRGTFGPRCGHQEGPLSKDEAFTTPVRLAE -VRSSPCCSDDPLIAVRLCRRVRRAQIISPDNCRSSAHQPRSYLREKAAALGRQSQVSTGK -VVEVKNIPRKREAHHAAFMGNPIGIFTRTIQIVEVRMMQVHRSEQSKPSCEHQVVVGNAQ -TFHEPSPNGLNKVMRHEVRGRGQVCRVELDSFRAGQGFGNLIVKMSRRSSDIATSREVNP -WLRLQSGPQTLPDRVTDDHPVCFTRSDNAGLCSQLRNHRGPHIGATACADIRADALDGGL -RYDFKIILLRVNAGVVSFFDPKVDVVDRQGLSDRFKVRRCKQPEFLALTTPGPAHRFGSR -GREVNCHLIAERCSLTCPPCSRPVIERVEEFHWNFLVGSGGEFIAVLDECAPLLLMCRQR -HRSPQSGNLSEGRGALNRTPKESLKRPSLECVERETPRRTRLGLPGVDRARATVLCVLFN -TLDGFFSAHKGPGLFQPFAELQESLCSSPMARIRQFPSAPLQGWEKREYDQPETVPNTVD -CVEIFDAGWAQICRLLGAFRGQSRGGGRTPLLLVGPLRGDQNRPVSKSRW ->YP_009337773.1 hypothetical protein 3 [Hubei tombus-like virus 3] -MAPFMSALGIPFGSRSVYFLMSRLLRNASMRAFLSVTVVTSLPKGVWYSVVLYSCVGTLN -SWSWDDLKLTIGSTGLMAQNGGFALNTSVLNKMPRDCTAPRAWAWVQRVPVDVQSSSCGW -IQYTQHKMPKKNNKSATSHVFRGCREIFLVPAPRAGVNNTVFSLVSASDGSAAFAQVLCP -LGLTSVKLGTSTFTAGSYGNVTGPPLRGLFNRASDFQWYRVTRAKFVFVGAVGSTATGVL -TMNAYSDPYDIAIVGSAATMAGPSNRTFDLASSTNKELSIPVPVDSTWKKVSSMLTVPGN -SYPFNAVDATSFATLNTIGDLSFGGVGAYLQGAPGSVTVGSFYLDYDIEFKSPIDVQLNL ->YP_009337771.1 hypothetical protein 1 [Hubei tombus-like virus 3] -MERSLANAVQLVTATKGRTRHYLPTMITLTDNTELCFFAVCIVSVLYTIYQLPRFVTWVC -FVVWCWWNGVVQVSAQQERMGARLAFYADEEDPLDLDAVSELCEDDVGRSTRRVRRSRKA -PFAAWLVEEIRGAHLSQCSRTDANVLIFERYARSIMAEHNVRPSDAAKVLPYATILFFEH -RSFDQIAAVGTTQSSAFVSARRDFAAKYVGRSGWFSFGNAS ->YP_009337770.1 hypothetical protein [Hubei virga-like virus 7] -MPWLIIIMLFYYLLTLFYFNGNVMDVEHVKLFKFANDSIHKQIDIVKHVYTLQTRPYILW -STDVQWNPKKFVTVDKYRVSVTDAGLYGILNEGAQKNFNSSDYLRFVKPYGLKLDVTKSR -ITLLSLS ->YP_009337769.1 hypothetical protein [Hubei virga-like virus 7] -MLYLIVISALFCISSTQFVASNFELSLGEIAELTDGNVVFQHEFDILDKHLSSEVQIYST -NFYSHIVGANCPVGFHRQDINHYTFSDIFACIYHGYTDIYSLPVKYRAYSLRLTSNVIDR -YSTIQYINLHEFLVLKNDTGYYLSTRFCFNEYPWKDINGTIQPHLYFENHDTFVKFYVSN -SCLLRYLTTDAEHLTYYIFSQIPSLFDLEVKTPNSAVDFFRVGFVKYHWLHHTLSPGDLP -YMDYNATHSITKHCYSVEYCVAVYYPVQRLFTTIAIQSAHANSFVHSLTHAILSILKPIL -VEILSLMSFLFGSIIDLIFSSEFIDLYKLFFDNFIKALHQILTFIFTNFRLLIYYLLTNH -IYFSMGLFLFLLIYIRYGMLVYSFIFVLLLSSLVRLD ->YP_009337763.1 hypothetical protein 3 [Beihai tombus-like virus 11] -MVSACQPCTDNGAQYVQEVIAPSGATSCSGPPDGCDQSATKGKTRDQFVLSRPDFLPEEA -WDMVAFLTPYYVQQLVIVAFPSTVTPTQVRTFLRYILNSIPSEEWMGKQLPGWHNPADYS -IYDDNGNVVAGVPTMGVGWHCPRLLRNLFPDFGKNPRFVELVREARRWGRYLTITPVANA -TQFKGRIASASLQFNSALNPYSLAITAGLSGPDPLPPAISFPIGFVSLTLSLQLSRDRLF -YYDGIRWHNIPWLNNPTEVQFQSYYGFSIWNETGTDILVNSQAVITVRITITLTGVATVS -WFVGPQLVHQFTGDVDIGDPLDNQFLRKREFDSPSAAVSNELARYSVTPEFSFEALLQAD -EKSYVNNWIEGAHSRQAYGKDHLDFVSTREWRPLIRSANNVETVYLDALSVKRDLVDLSG -KWQIDYATNLDPASSAAIVYGTHYQFCCETSSEFMLFKREVPEKDEGAIEMAQVLQTALP -HTYPAKFNDGGILPLILSKVKRVGTNGLVGVAKGIVNELLGGIREIGTADRLIGYPNMST -MYGNNAVALYNSNGNGNSNGNGNGKKKKNGNGNGVIPSIFSRTMNI ->YP_009337761.1 hypothetical protein 1 [Beihai tombus-like virus 11] -MSRSRDHVPRNLTEVVEFYPHLRKQVDALFRKARLADGQPKPKPSKKPAPKVVRCLWCNS -KGYRTYGDDDLGYTIADCFRCNTIFTFKEEKGEIKLRSHPFYKKEENVKRAVENIGKEVG -NALGQIASKSEKPNEWVTVGKNGKPVKKDADNKVKKPKVKKEVSTKVKSPKAKKDVAVQA -STPKSKKGKKKKVKTPKGTNTPLIQRKAVTTDMDAVARKLKAIAKKVNQERSKVQQSKPK -AKPTPPKAVEKTVQEPLPQRVFPVVVQEVLRDKPIKCQETEEIKLVDMPNINVDRMMKTY -PTDEAEFKAMSANFRQEALAQPAQAKHWLKAADRLIYSLPTRVQVRSPKGLMRAKRDLIT -SRPYMHGYLQLCNQLDDFEMKHSEEDFMQAAAMQVALRPLKKSWSKSKK ->YP_009337759.1 hypothetical protein 3 [Hubei picorna-like virus 72] -MSSCDTNCFCKRIFAINPNYSKFLESCLYKPIAFSDYHICDRMASGRLLITFNRNCQLWT -STGDNLPPCHAIMHVSLQNPGKFFKVADLTKDFPHFYKNDIPTFLQHLNGHGMTELNGYI -IPIIPSVIPKNIHMLLMSILFPILPSVLQVHTIDEGCSEITVEDYFNKIKIEYPTLYPLV -NNDLSKRNANQVVTSLNRVRECISLILFNPRTMLIKGSRPKYQDAHYSTHMTYNHCNVNH -WMLDETFKFFDPREIKYSHICATRMIFSLRVKWFNLLAMLNPSVLSPIQNSTVCLFCGAL -RSGPDPKGLVCDDDSRIEEEIVSTSALSPRVRPVLVGKVNDGIGHWSGVTHLEFDKLDSC -HVNLNVVETRYFDSVTEETFIHPRELERMDGVEDPNHTKDDLNAYSRMIKGMRTASKLVS -DYVPDGEI ->YP_009337748.1 hypothetical protein 1 [Hubei tombus-like virus 5] -MVTIILEAALISSVIVPLATTTALRVSRWMERRRRNALAKECIARFDNLDGSFANVLESA -RQDSARRDAIEATAVTSAVLSNMGVVGVTVESACAQKAAERMREQRGWIRIRNHRLTELA -FSAADEAYNEFGARSLSKANDLVTRKFLRDFFRECKDLRTKDANRAIEIALPFSYLPPAE -RGSMQEFVTLDPFVERVGPADLRVQ ->YP_009337740.1 hypothetical protein 2 [Hubei odonate virus 7] -MRRIKTYFNLPNDIQDESDAHQLLSSQQDPIVEYIRENNSTSYKAIEAYADPEKLVSSIN -DIKGRVDQIQRTVDSVGTTAGNNAIQIQNLGGVINTEIEDRKSGDREILETLDRLSNEFE -GLSNLLNTEIQERKKGEMELSDKVKSIDLLVNSNVSSIQTLNQTIDIINSKLSNLLSPIN -LPVNDGKIGISLSNGEPHEYTRVSDSALNQNPYLAFDTDYTFRPTSPNLETIKLNVKSTP -VFNKSYYSNTENQTSFITFKEIN ->YP_009337729.1 hypothetical protein 1 [Hubei polero-like virus 2] -MNIEVLIPGYITVTKVINRHNHHLNAAAFLRNICYFIVNYPGLYDVSILSRSIAFVLPLF -LNRKCRFTRSGLYKVPRSQARAYLEWGLYIGFFPEFVLSPDGMLINLREPTTEGAYRREL -RSLIDDVICEGIRGHPECIFLGPEHIGKILSNVVRYRCPDVRQMRFNSSVDRGVILAATG -VGDILFDKIFIDPSDYSLPLANIAYYLDQIDHEYGSKSIWKFTILDSVCQLLSPEETLSE -SCVQQELYK ->YP_009337716.1 hypothetical protein [Hubei virga-like virus 17] -MLKFILAEFENFAKVKFTMFRLVLILIIEVVVVNCKNYRQEVVINNVSLVKLDLVPLFAF -ETGVPVYFKDDLDLKNLLVMRELDYLKNFYTEMNNLYRYFEYNYVRVKLHCLDALSENLI -QDYDCELDYSCEPHIRIKVAHTWYGSAVYACLGLNRTRVFNSTNVLVRVHKLAFPGVGIY -MKNVSDIYGNLDDSGLRYDDLVLADYEIDGVRKFIFTYKYMLNQSSAAFTDAYRIYGDWD -NVCVENKVSKFMYYYFGYIAKYNGTDKVIPHMPLMVYVGRRNAAADYASEFFTYYQCTEE -NLKEPLLVNVGGLDFLKYNKPNCTDYVKVSSDEFQCNVIFDLGNPFLKAICPDDYIVKKD -VVDHNKNDFDTEVNCIKVRTIKKSWFSELFVFLEKKVLHLVEGIIKEIAQVLSESVKVLV -DELVKVLKDMGPIFKPLLEDIFKDVKEIAKEVFKDFGQIFKDIFADAVELLDDLVNFTLG -FVFGIIRYLLNLLVQLEKDYYVFEILVAYVVLRILLVHNLSVVVILVIVCLTFGVERRYD -SVVYDIWSNVTIDMKLLN ->YP_009337711.1 hypothetical protein 1 [Hubei tombus-like virus 36] -MNDNHSIKRPEKEGARGGNKKRKGDPRPKIWVEKKGNGAGGGQPKRREESVRDAAWYTEK -NAKLTFLLNEGSISEPRKRELSAQYETNLYELHKLKQRNAMVQEPRMPDKQPELPPPAVA -RSVEPSAKTAARKDKGGPRKQGTRAATRKSAVEKSLINSEAKAKGIDDARQDRVEFARQD -VQSANAILDNLLQQQAAPKPEEEDEDTSEEEESVEPKAPETTADKWLSDLYRCDWLGPHS -KFTFWEGRAYHLTTIAARLILATLLWLVLCSFICNSLQGIAYTYLSAHDADLTRAKALEC -FVGKYDQGRSYWTLGGGLTKLDVKPGDPYYDHFYDSSGSAIKGAKNIPTQRIMESIRKLR -QSSANALTAYNWISNLSTFLYQGKYLTGEAFFFIILFFLWGKIYHSDTVKHSYHNVEYFD -PKTWRACDKDAPGAVTADYFNTARDMRNDVSARSKKEHEPLYFAYTRHEEHWWYIGVGRM -KVPVFRHIKKVPNVKLSSMELVFQICHPFNMEWTAPEDICIDRMTKTKNTTQSININKQL -IITKQDVFENSRAISLGFFYGLRQDHSKSAFQLAPELKAAYQFDFLHTDTVDTRCLYLMT -QISGLARAYRSYVHMGQTLVSLCLFLWAYM ->YP_009337710.1 hypothetical protein [Hubei picorna-like virus 7] -MPPLSTYVVGPVEMNQRMVRNESEKDYATSKWKKSKENENVALKHEIARLRLENKRKTEI -SERRKDEIKKLRNPKVINESRMSVSPTHSIFSSVVDVEKSDIEILKAFMNLDCKGTFTNE -RNPHKIIRRVILEVVSIITTLDITVVERNYRHIGKSDDGRLSVNDIYNEVIEKKLVLLPG -TVFNATPSMGERFHVGTTPLSEAIFTPDDEPSPMLKAGVLIQKLGVLALSLSQCASWEES -LLEIVKFISYSADPAFIDKIIRGRSKTIGTIEEFIESRLERIVCDHNGVAHESHSGLFDK -LVYAPADEARVSTTHDGNETWVRNMGPGPVGSVVSDMSSKFVGAFRKKKPQTPPTAPVPD -PVPAVGPAPEEEDVDTVFSASPTVIELPPRVVNQSPASSNPFAVLRRGLEVVRTIARSGI -GLENKIKEIPVLELLDEVVCLFIFFTVPKPGKVPPQLREHKKDDVEAIPETYMYKELLDR -AFKIHKTDLISIISRICTVVDTSLMICATLWDGVDYSTLINPLDISVRLARLKGLENSYA -AGNLDLMAAEDSTVLGTVAYENEVMKLVSDITSLIQNRAVKPAAETAYMQYLTSATKLKS -VIVMAVRCRTEKDVPYMIGLYGKPGVGKSMLSEIYMTVVGLAYKTPIRVNDIWLWAPDSN -GWHTGATTSKKILQVTEHDNIKREDNSNQIFFDMARMCDTLPSPYNKPDLETKGIAVNML -IGGVFCCNQQDFGITRVKDPGASARRIDFFEVLVKPEFGKKCEAGTHLVPDVSKCRMVYN -AHEGKPVPDEDTYILQPYTFQVTENLGDTSGGSRQVKIVPVGPSLSVPEWRKYMYKRAKR -KLKESRNYAEKLGLVRTLALCKECANPHHSCLCGPQGCNFRPHAMYYKDITTPVTWSAWF -VEQVERITEATRGLSEANKKKRALLDAMLERNSSQGVELPDLPTLPPQHEETDSVEYCDA -SCVENEAPKAYQLRFAEGGKVISCRTNVPIKVNEEIATGVTGFLGNVVRRFTKSCVSSVL -HAFFNIYTSIVVAAFVFPFMPILSPIVFIVMLGLTASVETTSAILANRLVAKKADKGEPI -VYWDTTYSELALTLGLMTVAGFGLSALVTSSRSKRRKALQSAPSEDLSEVTEDVADEGFE -PQTPEELIVRQKQPDIWLQREPIAYKESVPHDILTMTYDQVVSVIRANMIRLSTWDPVSK -EARGQTQAFMVNTNVMILPVHDHEVVENRPYAIIRKGTGNITICHFIRLVRIQCNGQDTD -LCFGLINISLPVRNVRKMITHDYCRTFEHAKDRVVKTMLMTSNGDYPASSRYGPIYTETR -STMGFVSDVAYETVSGDCCSPLITTEAPFVLLGFHVSRVVDSKRTYSSIVQKFEYDIRFT -RALKPEEKKLVGGEFLFFDNSILTCPRPKDDDPSRRVCTRFLRNEGAECTATPPSVLVIG -HNASTRVSAKSQVYLSPLSPHLEAAGWARLHGPPPLNANRAAAAALQYGSRGARPRTFVL -NEALIAHYVAPIVEKLRILGKKPRMLSYDEAVRGMYDNSFVKPMSLSKACGGGFPGKKRS -RMVYTCLQDEDEPGMVFAEIETGEYTFYVDKVPEPETQEESTECAVVDRDEPIPSCNSAL -KGEVEQILRCWEAGVTCNTVVQAALKDDPTLLSKIAEGNGYGRTVTSQSTAHHIAQRIVF -TDLCGALKAIPFTSGCYEGVSYRSEDWMEIAEFHLMIGDPEVTAFLDADTKKMDLSFNQQ -DLYSTTEALAQILEGCGAEPRQIKLARSCGFEMSLPILNLYGEWLMMSMNTSGNNLTVTY -NNISGVQLRTREAYVAFMIAQHRDMATDSEWDFYEIERAIQELPRDIIARILEDFHIHVR -VGSIGDDSLMSTILPGFNMGFITAYFRQKGVQITGSDKGGPLLENLRLRDLSCCQRGFYW -HPELDRIVGPLNIKSLSRSLHCMLPSAEHPSVIERAIMTSVLEELSLHGREVYDERLNML -IAASLSSGRPGFYSDLFKSYEVQIEIMATRHPTTMSEQRRQNIKTVFFHRKEEGLANLSG -LTRVALQPDRLPHLDMAQEEMRHPVVENERGEQDHAPNPQNLICVSNQSQINNVELITPS -STVDATTVAATESAERRSIYTSDTPTSTQTRPIKLFTLAWSIDTVLTRQFDPWSMLLSNP -VIRQKMNNFRYFRGNLVIQVFIDGNQFHSGECWLGYLPLPLVDEITEYDNSTYEDLVEFS -QRPRVSISPRNSQGGRMVLPFIYHHDFVDLLSDQISELGRLYVRSIVPLRMANGGTQPAT -LTFMAHFEDLTLCMPTTHNLPVLKVVNESTITSTEGTGRGNDAVDLSMQSSTLTTSPQVM -WQRGENRSFKEIAGKECFIGTYMWESSAAPERTILSLRCSPFHGMVEGTGITAEYHITPS -TWCSLPFTYWRGCCEYRFEVICSSQHRGKLFFVWDPLYTVSDGAYNKNYMAIVDIGTKTT -HTVRVGWGQSSAFLPTITGMSQIVGQSIPEYSNAVPYANGVLTVGVFSPLILPSEETATS -VHINVYQRMDSIELALLREPLAAMSPQKNSVIPPSTGTATISPPVTAPVTNPTLTTTRVL -EICEEPMPYVYVPKTRSNLVGTFLEARDQTYTTALADKDLTRIRLNTTGTYQLSKSTTLV -PEFPYAFTFRGFVEGGTTFSITTSGVPNPLTVTTQLTGITDVKDAIITPLEEGTRFLGEF -TVNGTSRVETTRVGLRLPRWEKRVVRSPAELPTFIDLTPFVNWYGISGAGDVGTLLTDQN -PGGRSGCIFKIRIPNPELLLGNVVVSYIGNSTVVAEPMLMFSPIDGITHLVNAASGERRH -HVYNYAEAAYFRIPPTATISILQVAWISRRADATRSAVKAQPVLVTNQSGLSDVTTFGPA -CTEGLHTDYFHEIVHSIDDCLKAANAYAYCKTTTANPGNDGYNMQKLPHFPFVYRARLNS -TNVNEVYLPTLFEYFVRGFLTCRGSMSVHISFECRGNTKLLPSFLRITRTDNFTVANGAT -ADDVRNLYSFKGADYIYPRLTADSTFTIPWYETRRFAYPRSTAIANHNAYTARVDIGCDQ -SGQSNRWVVSYRVGDDFQLGMFLSTPVLRAIA ->YP_009337706.1 hypothetical protein 1 [Hubei tombus-like virus 24] -MGIGLSDSSRSTPSLCATDAGVCHQSPQNPVARISGGRDERRPVNRRGVPVATQTSELPG -HARSVDESHPARDVVSKSVAAIQDRVDGLGAELSLPGWQRAIPDPRKDTYSELFSYLRRQ -CGTSRISMLTLDSMRRMGDSWLARNRVWLSETARTDVLERVIVDLAQPQKSDTYLASHVT -KGTFYSPISLGVGDIKSRIAHANELRAGRRWVPTKNSWHHVLAALLFLAVALAHPIGLVI -ALVGLGGVGYSWVSTDHGLWEQVIPEK ->YP_009337705.1 hypothetical protein [Hubei permutotetra-like virus 8] -MECARWKTQKEEEARKETTPGGRRERSNLEWLARPGHWLTPLLPEGNRLGPLLRSHKGYV -VMTDCCLYLILLNMVQGRSLLRFPLCPNCVQDLLKRLALGRELNTESLGFPLSLKLELQV -PVAPSWLLSKTQQTRFLKGKTDYNVFLHKIRRLLHLFGNPPTLRWLGSETYITPTSMQMS -PGGLHLGQFVL ->YP_009337697.1 hypothetical protein 2 [Hubei picorna-like virus 78] -METLNQNTPYNTTQSKTLNSISLEHNEPLVEHTLGKHPTLSSGKAEAISDPGSISTTNLF -HKPIVFANNLEWNVTQAINTSIYTQPLVNIFEMLNISPGGQNLRGNTFFRSGAKIELKLT -SSPFHSGKLVFYYVPPGVSTQFRESIFAKVQFPCVYVDAGNSTTGVLDIPFVTIKDFFST -VNPDGRSDFGTVAIAVVNPLRIGTGGPTSVQLALTLHPTQNQIALPVLAHDIQIQGLDLA -LSDSVHPTNQFNILDSQNPLDVLKSLDPHNLRDVIGRVLTDLFVPDEDVSKSSTRAMLMN -PIDTSVKEPDTPKVLPKPQNPNNTTSTTKVNNSAPTLSNITGFTTEHMSLIPEKTVLFSE -PSLEMNLKRVAQTPSLIRIGEWTDAGDSGTELFKVPVDPMIAPFLPTTGQSTGVYYPTFL -SHVIEPFAFWRGSIDFHFSFASTDQHKGKVIAAWIPFDEINDAGSSVIIGGDPTIEQLSL -FPNEIFDLSLNKEFSFSVPYNSETPFRQVSDYNTRVRTDDGNIQGEVFTDYSLGTLYLNV -YNKLSHPSTVSSTINFNVYVKAGQDFQFRALKFNNDGGNQYQRINYVTLQGLDVVYESTR -EGMARERPNRVGIISTGLTKTSFQEDDSEEHLGVLLQKYYPQFGYNITLPVQNTSTVSIS -SVPGMSFRTTRNTDPTLNPDPRWRNLIAHFRDIYAFWQGSLNYFILHNSTVNNPVILMAS -HDPTDYAVDLTPVNSGQASIRPNTYYIPASIQATPTAVDVDLSETSVYSHISNIRVNPTI -EITTPHRSLYRRLYTSDFREAATSILDQDRSIGTIDLVYSNPSGTEQSISALVYQSVGDD -FQFKYLIPPPSLKSRR ->YP_009337695.1 hypothetical protein [Hubei virga-like virus 15] -MSSADRDDARTRMVDSRGITTIKGGNLTGATLIQSVQDAYTNVMQNAIATSMMALLVIIT -LAEHAQTKGPLESMRDALAALVDSGEMSGAKNFIASAVHNILVYVVNHKDVIIEVAWIWW -PWVVKPSTKNMWMSVVLSFFAVFAELTLFEIVALANAYFLWVSLRNPAHKTLAFIFGMVI -LVVSLEFNLTKEKPLTPTDIPHTFDPFPNVKNPDDRPPAPDFATITKKEGARVARSIGSG -TIPPPTKPFK ->YP_009337694.1 hypothetical protein [Hubei virga-like virus 15] -MLVFNFLLLFTSTVAVHRPIPNYDPDDKFWFSPPSADAGKLTKMYDLHGGFKWCHGSVLR -YGFWEGLNAFTIYMYLEKDLYRLRNKVTIHTGQYVYSLVGAHLLTNDREFENFNSKHSLA -CFPDTGLPKCTLREICKARTGKKPFPLVGTCENKDFRIVERGADFDPQCVCYGDCDHDCE -HSTLHNYKGIEFSLGYRFNSKPPLKVSVDRYGVGCIDGLTSLAHTYWQTANYHPAYLANS -GVLQTFRLRKEFEDLRPTVLNVTISNRYVCDDAMSRRYHALDGVVIYPTAIDCTEFVPFD -FHTLICKDALFVPMCPTEVFKPMVARYRYYDITNHRRVVGALDLVGAIQNQTGDTMVDHF -FDHFAGNATRHHVVRRSISDWISGVVEKLFEPIKYLVQEILDLIRPILVEIAGEIFKLIL -DILFDLVGILDSLLKKLEDQIHNLVDLIRVLLHHLFSIVTRLLLSLECSYHLFEALFLVA -FFRYIFHSIYIASLLTFFIFLIIGFERIYPSPVYYYLSEKFRLSVCSVPVRYLMNLQGAY -AVLKDLFEYLSGFMPNFLYQGSYDLFIIASLMVSAFIISFFFSDV ->YP_009337690.1 hypothetical protein 4 [Beihai tombus-like virus 7] -MHSITCPHCQKVFEFPSKRKASIREHLLAEHPHIHLRCEQRGAAETNRVWFRCVLCDETY -NDLSVYELHLVTHHEAEQMDFDSNAVPLDTMDKTCKLHMLRQRRTCKREPDLFGALEISH -V ->YP_009337689.1 hypothetical protein 3 [Beihai tombus-like virus 7] -MQLASARQMSSHKVVPSADDGYGWDCPTLQLASQNSGFRQIGPGWDTMTSQRNRGSARGS -QAYVVHLYAHLSTNQSGWVARSYAFLPTSAKMISGPSRFILLPLMDYTARSPIAGAHAMN -FLLYAIE ->YP_009337687.1 hypothetical protein 1 [Beihai tombus-like virus 7] -MNSEQCLQMLKELLSSLVDLLHQLKDLLQPKLISACGAVSNAITASKPVISRGIVLCATG -FKQILTGTITYGTPVVTHSVQITREAIKIAYNAILAKIMAIMPKTASVIAFVSHMAFAGV -RVTITELLQWLKEIIRCFLAPESEIFERIIDDVNLCAHQTVGQQLLTVVTSSPLRALQWI -TMMPGAMMSSAVFGLRAILMRLMSGPSNTLGPAAELLRPPAGQVFTLWFSHMFGAVLATN -LLVLPALPPDLSESEKLRILRVTGLVALLLTPTLVYAQCRLEQ ->YP_009337678.1 hypothetical protein 2 [Beihai tombus-like virus 15] -MYKYQKSKLPQKLAYPSQEHSTSQSKHSNSSKKISPKEHVSTMTSPKRKSTKRPSPKRSK -RPSLAPGTLLVKYRELLAPVKQGTTSYKFRPGTSGLAHLDQRARMYEMYRLRGPVRVGYK -TASGTTTNGEILIGIDFDATDDRLDYMGTAALSPKTMSPVWRDCQVVVPKDRAMKQKWLC -TATRASQTVASDNTAFAIQVHNSGPTGCGSLWVEYYLEFMSPCVNSEDVGIAYITTSGNG -GGHGGSVVGNPGLVPGGHSKAFAIPDALMPAFNEACKAFPDVMKTVLVPDGAPGGHSYIN -LENKGYKDAYVSLPSTHWPSSQQISFWFAAPSVAILVRYLLNKNHSSV ->YP_009337673.1 hypothetical protein 1 [Changjiang tombus-like virus 22] -MERGDCDLIVPELTADDNSINRPAVGAAFGSFDYGEFSLFSLGLRSASRLARHGAVLDER -VGEAGSVAGSGDLVGDSDYHYEVNSPGWVDLSPEREEQSGLDPSVPPPAEWEWVDPMSDG -PDGYSRLPNGEIVWNGAENYAALMARDLNHEMHALCGNRPGAPERVRGRGPPLRKNRPRV -ADRRPAVRLPPLTREGRAAVDLGFDVDDLDQDAFEFGDVGGRVGVVERGAVIIDPPRAPA -RAFVFGDLGEPVEVEEGGVVFVNPPRCEAPALPVEPIAAKGPEPEVPICLPLPEEILEST -DLAAVQGPPLAWDVSRLVKPAFLVPEPPDNKGKPRDLSWDERVMREQKLSALGTRGSSHT -AYFLGNQTLSMREIYKRKHNRRWWLWVYLLTCYLLCSFVFGFSIWLESLWGMVLVSAVVV -SAFCLFIWHWYSVMWGIVTYMVVLEDVPDRETEHRRLADSEQPDIRTHPLAKGAIERPVK -ERRARVSRVEKNFWGGEFKPCRDRTLPVSQQLLDDCMSPRIVPQSIMGDDAAVAERVGRY -LATCSHVNTANSDQLIQNTGEIARLLIAERFNNWSVHRGQGGFLPGAS ->YP_009337669.1 hypothetical protein [Sanxia water strider virus 19] -MGGRLQRPPHREGASEEYLRPREGRCSDPRPGGSSPGDLLLPQVPLALLSRWMGASAKRL -AEPLDRRLKQIVQLLTDLPGKLEDKFLQPGFHRVAGELDGAPIAGHLDIFSSPVRKDQDI ->YP_009337664.1 hypothetical protein [Hubei zhaovirus-like virus 2] -MPQNQSKRKVTVTSRKSRAQGSRSKVRSGLRRKPRRMNALRTGVIQQTRQNFIPVSYSNQ -SKTRAHKYTVKHSEVIESSLIAAGSKFSLMKQYYINPGNSIAFPWLHTIARCYDKYSFRK -FSATFIPYVATTQVGDVCMTFIADPRQTVPTTVDAASQYDGSVSGSAYKQLTMTCSHEQL -SRLNTYFVDSPNQTKGDALLYDVGILCVFSDQVPVQTQSLGKIRFDYELELFQPVATTEV -GQFSQFTSGTYTKPYLNAVVDNLEDSLYIKSTEPTKIYAGRPGTYEIENYIKYNSGSPSV -LYTSVGGIMTLLTSFSDATDFEAIGKHLWQVTAEQVAAGAHFYLTTNLSSAFLQTITTTI -SKLSL ->YP_009337662.1 hypothetical protein [Hubei virga-like virus 21] -MSFIKDLFARFLELFRGSISNEIVRELVRLQRERAITIARTVLTDVYVYDGKAYFRTAEV -RRHENIYYQYKDGKCAVLEESIQKVVRTCSAYSRFTSDLIVEDDIGVLVPLEVCFIKTQA -NVDLALSPANLEDRVAAMRKFVRDYDAAHSPPAPAQGVVSHPPRPASRKM ->YP_009337661.1 hypothetical protein [Hubei virga-like virus 21] -MSLEWCCILALLSCRLRQLVLVSATPLVHRRIQPLVTFSGVEPEYTLLVDHLPVVTEGEK -CLVAVAGDSCELPDYCGQVERFHMKVQDLLLVICAGHHVLPGHKSDIEIFRAYSLDARGE -FIPVELYFIDVYSLDRKYRYSVVLPWAMLSKLVVKTAVQHSFYVDKDLRICRRGTYLRSL -TRLLSRRILNSEKQLYPGDAPFLEANSLRGASCRPSGTHEPYSCTDLIVVNETYALCSSV -WTQLHPGACPMDRQYRRGEFGFEDVCTNITVLEKKKAFGEDPDDGWLQRSLKQVVNWLTT -KIDDFAEFIEGLFLKLLEKVIAFMFSQLEVLDSLVEFVDSRYVVFELMVVSFIICYRSNL -PAALIFVVIFGVTCGYDRTRDFRLLPELKALLLWSG ->YP_009337660.1 hypothetical protein [Hubei virga-like virus 21] -MVNGAFRRSRARATVARRSFLDSLLVVLLRVVAHPVSLVLAVFLVLFVAAEVLETTGPLE -SLDKLIKQELGSKDINSLEKFLLKGFDKCIVFVILYKTKVVATLAYSIFVALNPTKLRWS -VFGAAVLIVVAIPSLPVFYHIITAVALVFYLALQRIEHKALTVFIYVAGMVLYTSAVVVS -VATAGAGKRNSTGAP ->YP_009337658.1 hypothetical protein 3 [Changjiang tombus-like virus 11] -MAKTKQNNRNQNGKSTKNKGFRRRTYLPRQLGMTPFQALLADPCNGPVHSAYGGEAGITQ -RFVTDFTLNTGAGNTSGYLVFCPAANVRVQYEATASTIAGFPVVGLGPGATFLATNASKG -RSAAACVTVIPAATSYNNLTGELAAANIGYNTLSTLTSTSVDGVFQLCNSRTVMAKQAYD -FKWFPQELDATYAPSASGTGSFNLPDPSDHNAIVVAWRGYPAGVALSFRVTTVLEWTPVS -NIGIATTTMPRAPVDHLREASALHTHNPHWWTNMYRDVGSHMVDQVGKGLKYLGSVGVQQ -GVRYATQNLMPKLASAAPLLLTL ->YP_009337656.1 hypothetical protein 1 [Changjiang tombus-like virus 11] -MALEIILLLVIAFEIIVRTKVWDAAGSLVTAALEGCGRAWTWMVPCFRAILPILRAPILE -VVSATSCWVSVLYHSLLMGHVRRQRRRRIVLESSKRTQRVLSVLDMDEIGDDEMELVGRK -LYVRCAVLISRRARMGLKYPSYSPANERIAADWILKHLPDDMTVGVRHKVLPLAVKLTFV -RSHYEDKAALHFQGLKEYVDFSRH ->YP_009337652.1 hypothetical protein [Sanxia permutotetra-like virus 1] -MTANALDGAAAKSKGPDPIQTLHLGDNTLSLESRNTPAFDNISRINLGLDTFLTIRTNFT -LNAGDITSVVCSLKSSDGIPWNIPWTGVPVVDKFVCESGKKFYSVTTNLLLRCDKARSAN -FYFEWEVDFTTGFRAGWNFVMQYTLDLIASPYLNLSILPAIGYGTQQYQAILDGLHHEEA -QDQAKSTQPVISNLYPQLPTAPQVDTRMEADLQQPPIKTKAKLLTRLFKRKISKP ->YP_009337647.1 hypothetical protein 1 [Sanxia tombus-like virus 2] -MSRVDPGIPGGTPLQHKSSRNLMLIIIDGHIPMVSLMGQSSVILLGAQSRSFAFLFVGLT -CSTLWKKVLMRYFSLMRNMSRCCSSASAGSKQREGNLLRTCYRQIFWDCLSTGRDQPSGL -PYVLDWLAWLDAG ->YP_009337644.1 hypothetical protein [Sanxia atyid shrimp virus 2] -MTKISSNNDMFAKGCGPARGASTASANRESKKITQTPVRNKRTKIYSKEETETVDVVKSL -FKLRNEPYVPRIVSGPGRKLTKESTISHRVAASMRNFNKIKNKKAKTASRGQRERVYEHV -VNVKTSAYNNTGQSVVNESYLLDSVCPHHVLLERQMDGRSIQEKYMASKLSPTAKPWVPT -VPQPVPVVDENPKDFAKSKRLKREEEKVKKLEREVQNLRHKNKVKSEALIKANSARRETK -SPVINETGMNLVNSPAMSLFSSLVDVEKTSSRSLTTFLALESGGFLKNIKNPHEIVREVV -ISLVALETGKSVKEVRRLYRKKVRSGASESVNQVYEEMFSTMLVFNPGVVVNRYPTMWET -YLRNDPELLTESLHVPEDGPSTLLRSAIFAQKIAVLCVTLIDAPTWTDVMFEIGKFISYT -ADAATIHRFINGKTDGTKKIEKFIKDRFESLVLRHNGVKDTTSVRPVLDRLSVSLDGTCS -ISPEAKKESKKSALPTVEEETIEFEYTEGSRDPLARPPSPTPTIVEIPPRTSRVKNESDG -ITTNPFAMLRSGIDVLRTVARQGIGLEEKIKEIPILELLDEVTALIVFFTIAPPTPVGRG -MKGKLPPSDELLPPSYLYKEVLNRAFNVHKTDMISIISRICTVIDTTLMISATLWDGVDY -SVLVNPLDVQTRLARLAGYQNGYESGCLDVMRKDDPNVPSTDDYERDVKKLVEDLHSLIR -NKAVKPAAMGTFVGFLTRATQLNTVITMAVRSRTEKDMPYMIGLYGKPGVGKSMCAEVYI -RVLSLAYDTPIKPSDIWNCSPDPNGWHTGATSSKKVLQVTEHDNIVVKGDSKDVFFDTAR -LCDTLPSQFNMPDLEKKGITWNFLIGGVFACNQKDFGLARVRDPGASARRVDFYEISVKP -ECGMKSEHGDHLVPDPDKCGTVWNQYDNKWVPNEDTYLIHPYTIVTMDKVGDDSGTGRKF -MTRYLTDKPLTMPQWRKWMYDRARKKLAASRKFNSGLNDTRAQTLCLRCANPFPSCLCRV -RGDAFCPSVIPDPPPASGMWWGRAFNEIAHGIARLTLPRASEGDLVTRRSKDIPEAPSDL -SEAVLPELEELPTADVPPILNENDVQPRSVKAQVLKAALWPDGAPPEGETRIPCSATVIA -RRVASKGMGSMMTYPFNLYTTFCVGAASIWAGFIPCFILTSFMLTMTFSVEDISARIARR -LFPDAKAAPAGRYWDTSYADLAVFIGVMGAVSACVYTRRSRDTAVADSAHHVSTRRRQEP -VVYVEPGDSVSEMSAPHVGDQGFEPKTAESLVRKAKGPDIWLPRKTIDMNLRVPHSIRTM -TSEHISSCIAQNTVTLSNWDSVTRTTSGRVRAIFMTPNVLLLPVHKDEVRVNSTYALTFD -IGKSLTVIDKIHRISYNGEETDMCFALIGTRYPYRSIHRLLEHDIHSHYASLGEDPAEVG -EASLHTPGRVMKCFASYTRIYTETRSSYGYKCEQTAMTHSGDCGSPLITTENPALIGFHV -SRILDSTTTYASIVDRESWTTFLASCTKNENESIMFENVFFDNKIPSCPRVKTDEPSPRV -CTRFIRASNTGPECTATPPCVMVFGHDASTRATARSEVYITPLSPYLETNGVPRLHGPPP -LNSNRAAAQALIYGARGAYPRGKGVNDALISHYVNGLVPKMRALGMKPRMLSYDEALRGI -PDNNFVKPMSLNKACGGGCPGKKYTRVIKSRFPDEEEAGMELPEFDDVSVVIGPVPASSH -SVGTHHAVIDYPDLIPSCTPELKKEIIHIFRSWDSSTTCNTIVQAAIKDDPTLLSKIESG -NGSGRTVTSQSMAHHVAQTMIFGDIIGMLRAIPLTSRHYEGVSYQSQDWHDIAYDHMCMD -GADVTFLDADTKKMDLSFNSQELEATTEVLARLAEALGASPDHVSYIRKCGMELAVPFLN -LYGEVLWMALNTSGNKLTITQNNIAGVQLRIREAYVALKLILRRLLDPRTLTSTILQDVR -DEMNDLTEEECSELLADFNQNVKLGSIGDDSLMSCILKGFNMSFVTLWFLCKGVTITSSE -KGTAVEDGLELFELSCCQRGFVWSDDFNRIVGPLSLKSLSRSLHCMLPSKESPSVVERNI -MRTVLEELVLHGESVFNDMKMRLLNACMEADRMHIHAKALSGSYAHYLAVMRKRNPTTLS -AEEIRDARNRFYHGMVKKGLVDPQDARATHGLERLPAMTFHQGIGRHSVDEIGAEDETDI -APPSELKCVSNQNQENNIEFVTPSSTADVMAVAATSSPAAGTVKTHDTPMTVQTRPVRLK -TFSWSVGSVLNSVFDPWSLLMANPVIRNKMEFFRFFRGKIHIKVLIDGNQFHSGEVWLGY -LPLPLHDEMTEYEPTSEADLVEFSQRPHIVLSPRESQGGEMILPFIFNRDYVDLLSDEMT -QLGRLYMRTIVPLAMANGGDQPCTITVMAHFADLELSMPTTHSLPLLKVVNESALNTRME -TGFIKATKDVHNLSLQDRSDLSTDPTSMWSERQDLSFASLANRESFIGSFNWSSTTPHDI -PLASFRCSPFHGLIEGTAPNQEHHVTPSCWVSLPFTWWRGTCEYRFEVVCSSNHRGKLLF -VWDPLYTRTNGEYNKNYMVVMDIGEKTSHVAKIGWGQSSPFLPTVTTMAQISNQIAGEYS -TPSPYANGVLTMSVFSPLMIPSQDAESSVTINVYQRMSKDIELALLREPLVGMSPQKNNV -KEGAAIIRPVDPPVQTTVANPTLAVTRIVDICEEPLLLAFRPTTRASTLPAPYTNYFDQT -MPSVFSDVNMDKLISDVYEPLSLARDIPLAEDYPYVFRLRGFVQGGGPVKLTTINTPVPI -EIDAALTGITATTDLVLQPLNNGLRFQRDLILSSSTRFEVSKAILRIPKWLRTTVKHPPE -LPNFIDLQPFLNFYEPNGSAIGPLSTNQIPVGRTNVYFRIRVNPEHVLLSNVVLSYIATS -TGNPIIMNSSRDGNCVLPPTGSDRRHHAFSYSTDNVFYVPATSTFTATQLTWLKADTAAV -RTAVKGLPVLVTNQSDLDQTSIMHGPSMIADKSGDHFHELHRDITQCLEMRNVYSMAVLA -ASNGTTVLKVPYFPFQYRVRLNTDNSVDRFTPNMFEYFVRAFITFKGSMNIEMTFEHGAG -LFHPSFARATRTDNTSVVSLASINFPGILYSFRSADHYNPRLDPSLKITVPWNNPTRFGF -ARSTDPQNHSAHALRVDLGFQPTARVVTSYSVGEDFTLGHFLCTPILRVS ->YP_009337643.1 hypothetical protein [Wenzhou hepe-like virus 1] -METIILAILQSLSSVFQKNLHYAIDIFGGMYIVKSLPKDAKVIDSPIPISLYKVEQYSLP -VMYFPEYFVAQNLSDDNPKTFQQFQNILNIHDYIVTHETINNQKVISLTK ->YP_009337642.1 hypothetical protein [Wenzhou hepe-like virus 1] -MLFKILRVYSLPDFETVQVRFKFRKGVEVYVIDESMAYTTTEPKMRVRMNVDIPLYSQEL -SSGDIVHTRCHVGHKRCVIVVTMDKKKIGDHSFSVAGIKDFSESSEETNFLRGLFKLFLK -EDEDSQLLYQENLYDREEEKPKLDLKKEIKETHAALHNLFDLIDSESSEEDEAPPKYQEV -PDEEEEGDEDELTPLIGQYHNFDPVEGLILHDFETFHLG ->YP_009337641.1 hypothetical protein [Wenzhou hepe-like virus 1] -MYILILFVLPIVYSVQHVELVEWQFDKILSLLTGKSSSSEILDILKFNFNQPISKTKLNE -QAYHEYMHELSMSFKYLDFEDFGLIGHSDCGRLPYVTLKMYSFIDKTGPNAVVTQDRQFD -MPSAMIFNDIFAKPFGEDTMMEMLKAIINIQTWLANDTGTYYYYQDAFPINVHELNYTTL -YVRFICSNQKLMYSKPVSLQNVIDRAVAHVFRFIDPSEPFWELTPLLEWSSIYMRRQMVD -QYSCVKSTPNLNALLHKSTCLFYDDNGIPSNKSIYTPNITMSVYVLQGGEPRIFQVAMPR -VCPVLRSSFEQYKDEIILQFDPQIAYVHSPQILQVDGKPYFKNIDSSSDFVVIGVVFHHD -NIISIPWFTSFASECEGFDPYPGKSQDCATVFKDIILSCKGHNIQASMYDRPTLDMYNHR -TSLNRKAYSPVYIGALGVDFYVSPDTDKDAYSTSIFSVVGASFTGTYTLPETFQLQPAGN -IFGTVLKNIVPRDIYDTFEDIMDIYDKIKETFDSIMESIESGMAIFELALEILGMFL ->YP_009337636.1 hypothetical protein 2 [Changjiang tombus-like virus 7] -MQWITANAFPCPPPPGLISSIFGEVTITTYGGTKRVWDSRKIRKWAYGAGAAASAALSLV -ALTAAGCGTSARSLALRTGAALLGVQAGAWLRLHYDAASTVPEIDELLAHCADIEEVTAV -EGKPASEAAEATKTAANIVRNWADKAYAHFGRRLEDTKADRDALGFWLARQMKEGSVRDR -DIAQYLPLIVEVCLLPSFAELTAQEMRRSRVANILRRECHPPKV ->YP_009337633.1 hypothetical protein [Shayang ascaridia galli virus 2] -MTTYLVMNMSGVIDVSVAPPADKQETVDIACQMVMAAITPPIPTELRAAAARILCNKLDE -CRPLQSTVITSNGKVPGLRYPVLLDGKFAVKLKADRPTRDFVSLHCPQRLDNEDDNNNSL -FIHLTLSAELGIINGVTANQLTITGRHIVPYLGNDERFEAIAAASIKKKSDTPKSPIRRF -AALFKKKNHN ->YP_009337634.1 putative glycoprotein [Shayang ascaridia galli virus 2] -MRCKRLWLVVTIVSFLTGCHTQSWLDDPFGPVQHHSNPIWDCKTAGPGIVNPIPQRPNCA -AIITSPLRRSDVVIQKWKVDLSSWTVAACECFTEIIHARCDNPLFGVPIKTHWVEFTTTS -LEECINVCNDRSDKKMTQSGTVGVLTEDIKPEYKCPFLMGTSITTTKILSVSKLRLIVDH -IELTVTGPVGFSRICKYTDEVCKTTKLGYVTWRKTLNLTSHCNLVPAYDPELCTIYGADN -HLVQCPLSKSLYHLSDTSASMKTICMFKSTPAVVVKSLEGTWLSAYNQSITAILKLHSNT -SRNLVRVDPNVEGLFAEVNSHLSWLAKEWLSRSREERIRVELGLCLDAQRLWDAAWNIRE -LVPQAAAAIWTGNQNAVATVFSGFILSWPCKQIYQYRFLMNPNCSENWPIEYATGISIET -GFVEPVSFKITYQPGRMSCRVSPGFLLPINETHSLNLASRQFTPKPKSYFSIELSDADKS -EIYSAPSLYTVSELGGTSRLEELVMLHHNHLRQAVKAVSGSYEIAIEEHIDQLAAVKSTY -SLFGHWIGSTADSIVNSIAGIVSLCILIYITGLIVAMCVSRATWCRTVLMCWKSKSATGL -GQSCNADTKFL ->YP_009337632.1 hypothetical protein [Shayang ascaridia galli virus 2] -MYFNPYADPLYPAEPAFEVFDDCTRMDSPVPESESSHAEQTEVSALDRRTFALGKPAYRD -FTIKGPWFDAATLEAIETSLTEKFGACVVDNFRHLCTKQNRAILFGFVRGLDTRLEFQYE -EWLAQSKVTISRQSAEITRITRQLQDLRVQTEEMIQTNSAQIKSLIETQSSAAESLDRAI -SEMRMLESNKERQTKSLDVTSLASKVILGCRRVLNFKTSVQVKYTVLGKILTGQMNELTI -NNLLLLTTAQQINDFLNWYLSDLANSGRISDEEIASIRQSVSTESDTKRSASVQSTAGTT -QARRPNQKAPLHPALVRVLQAQRETK ->YP_009337631.1 hypothetical protein [Shayang ascaridia galli virus 2] -MDSNDTLLDGNELQEAEHHKNSLLALAQDTNFVSKYTLTSGDFADTEDPYDDTRFQLPQT -PTSESTTTAASYPPRTYATSSSDHSDDLRHKYINEAAAALHKAGIPIDSINQFLYTHDKN -KAGFWEGVVQCANLFLTKSSSQALDAIPLLHSKIDSLQKQMANLCELLTTNDKLTVQNHN -KESVQSQQHSGIQPEPKPQSVTPLEIKFNDTTPLYAREAVTNLPIASACKQYLADCMARE -FIPWSFLHAIHNATSPNMKRTSYLEMIEYMRSHGMPPDVYDFFIKPWN ->YP_009337630.1 putative nucleoprotein [Shayang ascaridia galli virus 2] -MNARNAGAQEVHGGRNDTPSDVIAQIATQTKYTLHGVGMASTENKRWTDDEIDKIPVNPV -KHLDDERNLALFTAWLGELATNPKDPDAFFQNTIYIALQLRSPRDHTYVFEAEPTPGPSN -RPSYRTSLVGDDKIDVAHLITPVLAAFMLRCVYRSQFEPSTKSTQFNTQACRLYGIVPTS -HPAPTINALAAEVIRSKGVAGSIEMNSVLVRYLKAAVADEGGPVSGICDYSILLHSKWNG -MAVVGRLYQVCLAYNKTPEFFLIAGCFFATAKIIRQVEEFMDRTLLSANPQRYVPWARAL -SNAYETELSNQNTMLVIMLWSFLIEPSGTEGVWTSNMFRNIAPGAKMVAKYLAALILKVI -ESIDTATNATMNPIISGSSIATPMTHDEVNSFMNSYAQTHHNQLLSGITPRFEAINFIQP -PHNL ->YP_009337629.1 polyprotein [Changjiang zhaovirus-like virus 1] -MTCPGCQLPKREGYLCNSCVLNNLRKLVDQHPDCEELDPVSCALQGTGESLPLWLLINRI -PKVLLPSALERKLHKMVAQMPQVPQGFELYEYFDIYFETVSKKMIRVEVHKSMPLKVAFQ -QFAHRAGIEQPSSNWKFKGQTFYFDTTIEDLNIHAGDIIVEYLRLKGGSEYMTTSYGSTV -EKRIKQPPHVADFALYSERAIGSDIITLVSNMESAKINGSEVKMEDLVPKLRLTPLSDEW -TGRMTWFGYQFGSLGREPVFTTADEYYWENVRAMASDRLTPQSGNGFTVPTVNGSCVYNT -EFLLDMHTTGMPRSWRKLGYGTVGLGFASGETVFNLALIGGYSVYTEHGVHKGHFRSGFV -LISRKGLAHVVPFLANEELFDNELDIEPEQKRNVFIYSSGKYRLSNEAWWVTEEYKLLLK -SVGIESEKEFKQKQAIKRKQQKAKVPPKPKKDAEHLKIPIIQSLVEESKIRTMGTWKEFK -EYRQAICNELFERCETNRSADFDTIFFKHVGYLLHNLHYSMLTIDKAEIIASQLEKVMKS -PERVLALSRADVYHICKGRIISPLIKMEKKQVWVEVPIIDGKAFGPYADEDQEKYTPKFG -STQAMAMRKDMDRLASQRLIEGRVVNLSRKQIPEGDNADALGPNPQYVPQVLAFKAVQHK -GVIHDTVLAAANGFVNVNLTQALTYTTAFTHTKSGLHPNARFIADCAHLFAINAMLTKLS -NLRKHGARDFRIVDVGGKMSTMYKILKLIDAHFRITMPTVNNPLNIHYVIVRPNESQYDQ -QFHDSYEPWARDPFTEAQLPNAQHFVILTARIVGYFQDYQEAAPANEGQHVFYLHNDTIY -YYDEITAGVRETHFGSFNTYLLQSGKQKILQDEVTVEAQEDGTIKVLALGNGTPYRHRNK -IGIDSGFGTNITAYADLPGIRISAFEILPGQTAVIASNTPYQGAENQSTLAQAVKILMMS -ENLVPFHTFASSFPKLTTLEVHRLYQRAQTGLKYAREAAQYELLGYWGKQMYLFKRSVFW -LSFSALGVETIMWSWITKKLVRTLKQCAAYMMMKIFTMPPPIETPQITEVIREPESVIEG -LTVMAKTLTEAAFKVVSWPFKQVWRALGWLNTKKAYILGGALIVFGIYMFMLEWRYTRAR -LTCAVTNENTQDDRVRVQRMADFGAMDIQAVMAVRYGINQQDTRPIPIELKQNNDARNEE -RDLQPILTMSFGSCKINQWEEVRDNLLKHDERMHFKESSASEKRRLEKIEQEFQIKEEPA -FIDPEKSYLKNTQEISYDNFTSPIVSKITFYEPAMTTISKLKYPTLETDEKAVRTGPRIQ -TLKGKAFSYSINHNNPHNQISAFTDRHAAVKCKPNRRIKRLMIKYFHTIWKHKQDYSLDI -NNIVDFEPYMLEHPQWSVTKKKKYREAYEHFKNWKTDKETFDYDDKQNQNVLEAHVKSAE -ENAGFMENGASVAETLKKLKARPRNIGAMKAEYLWVHWLQASLLKQQKKTYPDFGYKGTT -TDYEKLFDERLPGIDNKDTTCISTDVSSFDSLQFDWLMDMIDRPYWEKACETFAKKFDWW -TPEHTRITIETACSTKVRMVYAWKGLRLFDVIISGTTPSGHGPKTTDGNTKRNRFIQDFI -LWMAGIPRERIIRKIVGDDFWMRIATADYKAWWHTAKSLYLMTNVDEEYGTGWICKMINV -QDGKEARFDFCSKTTMDSCGKAIMTRDFSKYFMNGRYYNGTSRKILSRPELHTHAVNCSN -LEFFADVPYLQDRHIGKMNLTPREEKQIEKYMREHTTWKVTSQSYHRRYVDIFSEIQLYF -KWKHGKNIPKEDIISLVMQFRAGGTVTVVNEVLLSCLVGSNKMQNQNRRRRPVRKAAAGT -NKLAVVLQTMVQEAVKKGVQDRGRRQRGQIRRIRYGSTRGWTDKADRKSQKKDALVQKAQ -KAKEQLTQDEMEYLQCVLDPSLYTAKIPSIFGVPSAICQAKGTIYYTVPGQTFAFQLMPW -SDSQIGGYSTAIAETGALNSVTWNYNIAGPLSSSNANLRRVVGAYLKLNVLAPDMTRSGL -ISIGNTPTIGNTYTMDGFRDQPNVQTVNCVSQTSAKAIMLPHDPSYLIYGNVGVGPNNVQ -PTILATLTGYPAGATVSVSYRVVYEFIPTSAYTDLIPPTRPAVTTKDIGNLVGKVSQHVS -EGKSMFNDMLDVGGAIVGALGTVTSLFSKL ->YP_009337625.1 hypothetical protein [Hubei permutotetra-like virus 3] -MNIETPTLLTTTDGRYCRRTVMQSSSIRLLSCSSPTLEQLPCACSLPVAEGGVPHSIRET -VNYLFKDGEVYKVTPMTPQLSIITFEHLSYREYLNCDAYLQTGGAFSAYLKSVGAALSHA -IISILATIFRYLKIAASEVYYHLLSTAAIANLVYATSLYIYLIFKFKVQQLYTLIVLYFT -VFSLTTILLSFFRAHHSSYNNLLLSD ->YP_009337620.1 hypothetical protein 3 [Sanxia tombus-like virus 1] -MCRCKKRLLLRKMNGSSKSRVVHATLLARQLLQKQQVTLINITTGRVLVILRLTGWFLGT -LFARLSKSSKFRSGALTCVVLWIRPSMRSCTFHGIICIALRLGVVVNKWKDSRLRSRLDS -QIFRDSHSNWPNQLAVSPYAVGWDSWLAAC ->YP_009337444.1 hypothetical protein [Hubei virga-like virus 23] -MNRSVSTQQLDRFRPNARRGQTRRSSSLSRNDNPAYYKPKTPPRNNVKVVYLSEPTANIK -TKFNGYVNYPDLFIKWQDLVSIVLRTAKIFSSKLTNKDFARLFTLADRSIKLHTTCNYVF -EIQGQSDKGAKVMTIAMHGPASANDLFINDSLNDIIAAVELIGAQTIIPQTLSSGKFFFT -KVKLNSNSKESSIVNDKNSPSLFSDTIKLGLSSGSSKS ->YP_009337443.1 hypothetical protein [Hubei virga-like virus 23] -MSNPKLYHGVSFNVIINDIDTDGERFDVKCTIILYENTSTHYSLLGYHNQYCSPIRITKN -KPKPYIHVVTDTCIFFPPEMHSNKSEFNCVFTRSTPEIDRINMFRSEIHCALNKTGLLLP -CSVSTDVSHKIIRYIDLATDDGFYNN ->YP_009337442.1 hypothetical protein [Hubei virga-like virus 23] -MLKFIILILIGRSYSFTLLTRIVNGEPSTDLYTHPLFYQGTFICTAVAVSPTTAITAAHC -THGYTNQFYISDLRVTRFVTHPSFEPLTLANDISLLFASEFQFYVGLYYSLPPLNSPVTV -VGYGSTCYKCVGTSGVQRSAVLEIKICPQRFTVAPNTLCAGLDDGSKDSCQGDSGGPLFY -EGKLLAIVSWGYMCAQPLTPGVYTVVESYQNFIFSQHSSSESQSVSSPISQQYNHCLAFL -HVILYLYYH ->YP_009337441.1 putative glycoprotein [Hubei virga-like virus 23] -MQLILTLTFSLTLIAMTTAQLREIFPRYDVARGNFEDDALANLYDRGALTNSADINSTCD -SYGCSTEFSHTFNFNAQRASGTKLTLTNNGLQNSTIVISVAEARYSVSLQYLYTIYPAYM -FAGWASYNYEENNYNQCKVKYDWRDQVNARFEKLEDYVDNYRRYQIVGSDWLRVDYAAWG -SVATKVCNHYWATTFTLCQRKTLVADFGEGIAVFKIAPETTLTMILNIAINDDVKNIEVQ -PSIDVPTEITLGDLTLSISPAGTIISPLANNYYLAHFQRKEMFDTISGAKWYKANSVPTI -SSISETITKYHSPNLFPMNAYKPDSETLQQFYFDKMLLQGVNVVKCGSSFEQEANAKNSV -QRRLSKLRAEAAAEPSTTKMDSIISYLPTLSNTNPPQTKTYFNDPYDPYDNTSISTRRDS -DCCIPITTESRSYITTLLKSFDLVSDIDGQYEVDTPSSITQFPGYAYPNAITMIANNLMK -VSLSAVLSSQSMRIPYSAADGLVADVSIPIMQITTSSAGSYFNYEINYVGTANLIPVSAR -HINLLKRTISVTSTGTYSGTIAFVSPPDPSVDLTVCFGSNSVCIKPKVTNFLTPPQGAVQ -DPDTTTCSGVPPSFFSIIITNSGLLAISIIMIIVDIILGIVILILLIRIVPKTFMLFLLL -FSTKTNALSYTAAPISNLTLLDNPALDNARLIYSSGISYSTKCETQSCSEYKYQSSSPKP -VEYTPDAKMNVYLNNYAKLFAICHNFAHDTGQRLPYNTVPTPNIDLPKSWFYTIYDTVDS -KPFVLSLPPYLPSTRGNYVTDRGLFSPIVSGYATRTEDNTYANITRIQPSFQSKFLLYDF -YNTIYGGFMQPYSSLIVASQTDTTKCSNGEFTRLYMMVPSTLISNFTTPIIISDIDLKVA -ASTYRAIDLISRAPITYGSLALTLRNIYSGTIEANTFICNTPQSTLYRATVVLSCNGVCQ -TSNTTRMLSRSVTRADRTIIDDIAFYTTNAYYTRQGLYVPSLVGPIEITPYSSGSCTVGY -DSNGGLLMIDYEDVNVEHVDYRIDLQSFKVSYSPNKVFIKPSPSACTGRTFVSDTNALLT -CDSDCCLTTFDNYKQFTIMNAGDVVKFPQSSDALTLTQYFPSGNQRNVFCPASGCSYATM -LDVYNCALKHHAASTVFLFYFVPIFLGVLIVVYLCRQVIKGFLYGFRNLKFLNPAFYADK -IYNPSVAAVSQIRNSTLRRVKRITSNKDA ->YP_009337440.1 hypothetical protein [Hubei virga-like virus 23] -MSLLSIRQYLIKEFSTSCWILILILTNILILVWAYPPPQYIGDGRITWRGVVYTQSDTSF -ICKSSITACIFQSLISLVIAVGYPIYPVGLIYLFITLLNKWIYFLRIRHAVDSDPNLLTY -SHRHDNCAIKRDISPV ->YP_009337435.1 hypothetical protein 2 [Sanxia tombus-like virus 3] -MAPKGKKVSNTVRNAVDSRSRGGIRPRIRGTLGNNMVLRGNEMLENLKVPATQTDHSLGY -PLIAGVTVARDSVIFAGVARCFQQYKYLPGTALHYQPSVGLNTSGTIYAAWFENPEMVSD -WDILGTVARIARIKANANVKCFPVWQEFRIPISGTPRQKMFSTDISTNYSVADLTRTCQG -LFAVAIEGLTANATAAQTIGRCVLETVLQLEGLSNPTS ->YP_009337433.1 hypothetical protein 3 [Changjiang tombus-like virus 5] -MAKTPKNKGKSQSVRVLVTGGRKKIKRKATAGNRMGMSTLPARWMRLLTDPCLADLTYPC -YGGTDAGYLVRTVDTFSPTIGGSFTVGSQVIADGIYTWSPWNLSATTGTRSSFWTSGDPL -TPSSAGFNGNFISTAAVRSYRPVASCLKWVPIGPIGGRSGLIASGYSPGVVATPSVGVGN -YVTLAQRKATNGTEMHEINWLPTSHDEEFTTVEQANSTSVGTVFMALKGVDAVAKSSTSA -TLNGYFEITTVWEWTPATTTGLCVDPRTPSPYTSQTVLSMFGDIKNALFAGAHSTAVGAA -GQFGRKAVGMIGGVASSAAMAAIDYAGRSFMGVANNPPRYRGNSVPLIGY ->YP_009337431.1 hypothetical protein 1 [Changjiang tombus-like virus 5] -MMKSRSFLMDTVSPTVSTLCVAIQRLSFSSVEKDVRTGINWGLVTDYLGTGMMVAAAAAC -VYAATKVPLVKRAIHNIREGAMPGRVLSAIDGKATYEEHLEEAMEAEREVLGNVEATVPR -ARGEDLTRFAAVLAGDVKLKLGTCPKTTEANRLVAWDLLNKACVARDVRKVDRMRFCQVA -IEMVFLPDSLDVEMHKLRRSYAVRDRLAAMKSGDGPLDRVMEYLFGGGPMLRFTSA ->YP_009337426.1 hypothetical protein 2 [Wenzhou bivalvia virus 3] -MALNHDSRRFLEAATCAPDNDLQPRVPDGSGTRSVVQKFVKQDNISVKPGYTTIVVCTPT -LPMAYYTVTYSNTTGFVPTAGNYPPLSSIGAEYQEAHTEFPQYFNTVNNSSGVSNTASID -AARVISRSAELASTTNALNQYGTITTFKSPFALCLQPEIVGTGILGPTSYNITGARAIVY -EGAAIGSTVGFVKDGAYSVSMNRLGGTGVFPYTEFIDNMADNETVPSSILSGPSSLEILP -WKGAPFFWDNNFDTICFKIFVPDGVPSAQTFILKNWATVEMRTVQGSWLNGFAQPAPPLD -ARAFRLYGAIQEKLPVSVPSKDNPNFWNTVLGLVKPLSGFASMMPGPIGAVAKGVHAVSS -VLSPNSQHREAKANLGPFKIKLTNRNKPKKKKKKKGNRPRSVVGNQRLIR ->YP_009337424.1 hypothetical protein [Hubei virga-like virus 1] -MPKKKRKSRSAPSDEPATSTEVLRELRSRSVTASLESEAETWESDDTLVSPPPELVPITR -SHPVHAQQTVRPPISTPRPEMTTQPPAPGNAEGHYLGWNDEMELLSAHSYCSLVDLRNFV -HTYMPLAYSVHSNRARVLEALKALRAPAPYAENIRYPQRGFYVDLNSTSISPIISTLMYC -CDCTDRATNVHGQTASQQTQNDVRRSYEVNFAQLQSLAYCAKVDELARNGIMARAMFESS -NNLTWQ ->YP_009337416.1 hypothetical protein 2 [Hubei picorna-like virus 79] -MAIIKTNINNSYSPDPSHVLNTIHLEQKTPLTENTLGQSHNILDDPRHESVAETTQMSLN -QWYQKPYLYAQGNWSLTDTQNQVLTAQPITQIIQNLQTTTDLAMRGNAFFRSGFKFELKL -TSSPFHSGKLAFYYIPPGTDTINRNDIYQFVMFPCVYADAGNSTTAVLNVPFVTIKDYFS -TQNPDTVSDLGIIGVVVLNPLRIGTGGPTSIEFALFITPTENDLSLPVRSHTVAIQEGAA -MDASALLTLPDTLSMLTNKLGIPSLMHHLEEFGDVRRLLAALGDPKKANFDAMVARDLAA -SRKDQASHSASIPNNVATPQPLENSAPTVANISGLTNEHLSLIPEKTEHTQTPHESRPDD -EMTLDFISRTPSLISISTIGAADTSGTVLTYFPVNPMLVPGTNPTNTTDGVYNPTYLSYV -CEPFAFWRGSIDYHFTFASTEQHKCKLLVGFVPFDSLNATGQSTLLLNPTINQLSAYPCE -IFDLSLNREFTYKVPFNSETPYKTLSDYYKLRPGALPTAASSTNNTLGVLFVMILNKLTY -PATVSPTINYNVYIKAGEDFQVRGLKNNPDINNIFPVSYINIQSLDVAYESSLEGMRKHS -SNYVGIKNQFDPSPSFQSDNSEHNLYDLLARYYPQYAYTFNLAANSNRNLYLESHPGPID -RLTRAENRSDPVFRNLIVHFKELFAMWKGSLNFFILHNTTVNNPILLTAAHSPLTTHAPT -VYPSTKTTVNGANVGLNYDPAVAGNTIDLSVSSIYSHLSNLRVNPTIEITTPHRSRFRRL -YTTLTPNAGRYLSSFTGELSLLYSNPSDTAQQVSATVYQSLGDDFRFKYLIPPQSRQVRR -TAAPA ->YP_009337414.1 polyprotein [Beihai zhaovirus-like virus 2] -MIKRDAQILREIEFQKEKNKEDYKDMVQQLQKHVADTLDVRYGKIVWWNGDWYYSPTNGY -CAQKVVLFDYALRGGQNTFSKFYYQMTIPQAMFLFEKHNLKNINNFYVSILPNNAGAHCL -FKIDLQYMTSNNMFKNVKKIEEQQRQLRKLKALKKQKKKQNKDKKMQKELEEYAKVVESP -QQNKVAKKKVDKTVREENKNSSFIDDQGRELPFDVKIDKHFEPEEFSKDNLKEYNKKADQ -YWKKMRIVIERLNKRIGALHMGDCDFCPHIKKVQLCLTDKLYMKNTFIWSESFFRNLGAV -RKALNATEDNFCQNCVHKCFVTDSFQFMKSAQKFGYLEPYEKLGFYFNKKVAGSTYQISP -ECGKNQVVVDGKIVDIDDLDASQISEGMVLFENAENQDCQQGTEDLSNYHFRKYLKQYLI -SNTVQKFKEIQQYSKDRLSRFYHYIQIKLSPSIDTQTKQKVLYEAKVTYYQRFIWFIEDV -AQYFKIHRSPNRIDNLEQSQKLPHDEINNSGDSLRTISYNQNNKPGIISRAVHKLKYSES -VLTLRNXMQNLRRAMAQRGRSIGDLAVNSTNSCIDKLMKRQSFVKCVEFTIDSVTAIREH -VHKVILKTPVCPTVQQQLMNSQPHAGFDQISRSSDQIVDQQSYIELETLQDSIDDPPINE -DPFSNTHPLPINNHEEENTGGQNAPDEVLNVPDVDDHSEVNADNDQNRQAENNGKSINSQ -NLPISDKLDLLNKSVSDIEPPSHNENSVDQSEISQFQECVNSIGSRDGENHRIKNVDVPH -KILQLADQGLYDYKKFSSSTEDLLWKTMINITNCQREYNKDFLRNTKQPEIQKLSSVEEE -ESESYLSGRQFMRQADAITDRCLAAQKKPMVFVTPKTKSRLNSSLYEKCFNAPKINFNRR -SKSFSQSQQLSQTVDLHNLKVAGQTIEMIPQSYLDNLFEQDNARWYYKNAIYRKIPVYGY -EPMEEFGNVYVSKHHETPLYRKTSATDFYPPLLTFYDALNLGLVPETYLPTKLKYQQYKE -PDYTSLSIKQRFQYNLWYTKTEQEYYDSGKWGPRCGEPQIPSPLRQLWKIKLSTRTDCID -EGYNDVLRNKKNHLDFYARPHRQSFRNLAKRIDQAANVMYFYEQCHPMCMQFWWTRLFPK -VYEATMLNMNYFTPLETIQTDQTYVPILHEYTQISKFKKRSIPIIPKRSDEDVFETRFIV -KRKKKIPQVILNEALINQQEIPINQGVRPIENSNDDXILPSPLDSQNDFPMIEELNLSQH -GTEDVPLGNNEVVNQDNQYFENLGDELGSMATPDFSDIIEEIIDEESFQGRQLLSQANSR -MTDNIIIGPAQLQDLQEVDNQSAHQINQIQQDQQPLINPQNAPVQTQSVEDAPVQTQSVE -NAPRDIESILRDPDMQNLSQDDFQQLRDPLTYDDGLFQEVLAEIAEDFDHPQHANEFLEQ -VCQYGSRTLDKETDIDLQITQEMTYKQIASKIELRARQSVLKVFGRIKTAGSKLMEVFIV -DFMNRNKIKMDQRPNFDINAYSTNDSQHGLLXTVATKMQVNAMTQSNGTPNKLVIDFNSK -LSVLRQAAQIDLLNQTRSDYIGTRYLFWQQDEDYVRSNGLQYGMNQLSIANSNNFLGYFV -FIPAHDVADDALEYPSDFQDHIIQFRRLLRSLILLRGYQHVDICFNDVHYYITVDQEKYW -NEMIAGLEEEFGITIYCRVTGFKFPRVNGHLNIIDNEGTCSVNNGKVELYARGNGQPYRH -SDICVLMESKLDFDLSAGIQQNSKLTYNCWYGRRLKFKRLVYPNLYHQINFKQNRRQHIW -KNVKDASLDLRQSAKLISGYNQSERFRQLDILIELVQEFYDVISVFHMLPFKNPLRNQIA -FVNDKVELFYSVEFVCGITYFMVNGITVILYAAILLNVFITWQLIYWTTGFSLLLVLPHL -AICCVLQYLLIPVWFRCVAGIFIKKVHQLMSYADGKAYADIGYIRGKVELCFNGVMRAEK -NAHYSAGLARARVENKQTIHDIEEKQKARKEAFKQKVEKEKQRQQQQQEQQQQQGQTQFD -AVPGNINNPLGQQNGEILVAGKSIQKKKPDSETPQLNTDHQDLKKIEFYDLDSQQQITKE -SWFEQLREHPPFHRRAIQTGHTILKLGEKINSYCWSPKSANNIVFAIWNRMLSHTIKPTK -KFLKMMKRFCRLKVSQLNHLPDSSLPDIDEILKRKKNYTSTKKKRYQETCHKHKVDKSKF -RLFFKFFLKFGEQYTTFQDVDESDELYNESSRSRAIAGAANDIQGLPYLWAQWLIMSIAP -QIPGFSYRTKAINLRDKFQENFKYHRYTVSTDFSSFDATISYDLKKVTEHILIKHYLEQF -QRRSLQLGYLQKNIDQCREFVLREFTDFIFTQHNLMCKFTIRGQRASGDPFTTVGNTFIN -YIVNAFYCDLVGLKDYRSSQSGDDFIICFRTFQEALHYKYNMDLVFCSSKKQPINENDPI -SGICCPPDERSIDYDYAYYCSKHIGYRNGQVLILTDVKNFMVNSRYYMGHSTKMIGSPGE -HAAAVSRAKFLASVGCKRMQDIATSVQRLHGSSFVYDVREFYQRFGDFWDDLEQNVDDIE -DFALECFSMYDMNLPLIQYQCNKGYKEIDLYDSFNVTNLNLGYRVVDGDIMVAGYTKKKH -SLAKSVYSNRQINTPNENQNVQANNAISNRDKPQRKEVASSKRHARSDECHIIGRNPRNH -GEAAQRNQRKGRQMVDLILCQPKSQRERSNGIHGQTTFRKPNRNHQSPDYKVEEREYFRE -ESKKGPLQAESINLDPSTRTEGVKGQNSQLGEEEQESEEG ->YP_009337413.1 putative coat protein [Hubei virga-like virus 2] -MFSPNFYRVFEVDLRTLFSPLHPSRSPSLLSLESDDDVPAAMQYVNWNTCQHRPALTAAA -RRHKWCSLVDLCNFVEAHKMNGFHTHASRNVVVAAIARLRTHAPFSRTERFPEDVEFVDL -DATSVLPYIDQLHQACDLNDRAVNVGNAQPNGSTNDAKRAFDVAFTKIRDFATAVDFPEG -SGVWTRETFEARYGLEWGNPPAANN ->YP_009337408.1 hypothetical protein 2 [Changjiang tombus-like virus 20] -MRYHGNYCGPSWSDGKQQLSVAAGDQPAIDDFDKTCEIHDKNYALNTNLYEADNSFYMSN -YGMGTKRSLAALAVKANSVLREYVPNNLPSQVTGPRSFNMVKNAKQPTKLRGAKVAPTKR -TVTKNSQQLSTVPASYGFSLRMQEPRVQRTGKNTVIVGSDYATSVATSISTGTYAPSGSI -LLNPSYFASAMLGNVARTYEKFRFTKAVIQYIPQVPTTTAGQIVMCSTRTVKEPFINGNS -PTFLSRALSQGNAVASPLWKETTLTVNCSPEWSIVDGYIDTDLDDSIQEEVQIYTTCDSV -LTTGIIVLHYTIEFKDPLYSYHSNNIPNPIGNGVFDAFSDNTPINAVGDALILSNSSTTT -GTPSGTIFRMVFRQQRSTLPTGVPQWNALANTVTQASSSLATFTNQITSIAMNPGTVLYG -LQATGGVALFATLESAKAGASGYITYASATTVGGVYQFIISIVQVGPSIAITTQ ->YP_009337407.1 hypothetical protein 1 [Changjiang tombus-like virus 20] -MPRASQVKTSRQPGNRASPVTQKNTNRDSCSSSASTTDTVSDLVTDARPGNALGEAPSNL -SKLGKDGGTAGSAAEAPLVGELEHKRGPKSPKEKPGNGVRVKSKTLSPQDIKNKSNSTKE -TVLSGARRKAAPGVRLLTQNVMVSRNIKNTHAPIAGGQDAMHQGIGHEGRIKFTRPWVIS -PELQIILKTRFPNRLVTTEGRKLYNHPHPLSAIERAIIEEEIYETLKNNDCNLIKDIGGN -ASRHKTARRTDVHSCCPILSPKDVLRNNRYNATMNYCTSKAEDCNVVPDGYMAIHSLYYL -EPATVLHLVHQSRKKILIASLHDFSQGYGMMHYNGIEHETRFQIVDRNTVLMTSSGNDGG -PYTHSPCFWLRKCYYSDGKRAIAWGYRVVGDTYIYTFTTAPLGLDTVKERDMDLVSTIRN -TSHHGEIGATNYAPLMNYLHLDTVVFRSYGPLVWAQKNSRQIFIPKGLIQRVAFNLVGKP -RNRDTLIMCVRDTKQELNKRNLELPDSVRAELAVFVPPLAFLLHLEDEVQSFNRLVMPTN -QKLYKQLNDAINLVKPYGCLFKCFGKLKHQESSVVVDEYNSTRTSLDTVKEKITTGFAFL -STTAKNAMKKQRSGTYFKVAIEEPREDKYVMKQVATTFSGHIPIVPTKTQSNTELALRNR -VLAEVTPPLEGHWDNMADRFFNKQFLDFTQFDYETDEDKAFVKWNSGFQPGRQKDHERAR -INLKNEGIKNKHYYRKTFMKVEKLDKSSADGVEDFNPRAISGTSHESNVVMGPFMTQYSK -QLAKQWNGEGTFYYTSGATGESTGKWMYDNFTDGDLIVEVDFSAYDSTQSRDSYLFEKRV -LMAAGMDKHEAVCEVFKHQSNMRGFTGDGIEYKLPYGRNSGDPNTSCGNSLLTAATTEYV -LTKVFGPGDYKIKVMGDDNTSIVKMANANGVEMDEVIELVKKEFLKFGFVAKVKIHYDVA -SAEFCSSAYWPATINNHHTYVMGPKPGRLLPKMGYVIKDLSPGEVKGMFEGYASTCSHIP -VLKQYVNHCLKQMETIKTKNYTDKEAKYKLTNTGGAVDNDQTAAFFLQRYGLSLDMTIDS -LKRTLDGSGPDDMVHWPLLEVIRHVDA ->YP_009337394.1 putative structural protein [Wenzhou hepe-like virus 2] -MSTEIGQVNGVKVHAGTSAGAAYVNKVTHPPSPMSSEYQGRPDCSAPNVVLMELKAEENV -APILSFPTSASSTKTINPSSMMFIQTSGAVVMNYIFMWLADSSSTVMGWVQPQGQNAVAS -TQPTVSQAVQPAVRSAGYNFNQWQSDIASHRKTYKSSTYYLNATNFNNQGIVTSAKFKPD -IVHGASLLSLIDSHSGDYESSKSLIAAIKAACNHQGIQFKFEDDGFTFIDKDGVKLPGDS -FSYQIWEWGSFTGSKGNTLPFSSQIYWNTLFPANSSELLVSSPKAATRPAREGAFVVLQQ -EDEIIPWLPNYSTSSTTPANPSGLNLSFMRYLSGQSAVYIPLFNQTITNNTFTPFTADVP -WGSLDWSYTIFEGLTVPATGPGVTLSSVPYITVKSILGLELQPRSSSSLATFQKTLPLPD -DDAIKMAIGIMHARPDSLPASANDLGTIAATAMKFIPTAVTWLKEIFGKKQQEPVREKVV -IQKPKKVQQSKENKKRDSDLDKRISALTSAVRAMQMQSVKSAAPATQLPSYTEGSTGNVM -RKKRRPTPMPKARTPASKLTTRRRKN ->YP_009337393.1 replicase [Wenzhou hepe-like virus 2] -MDLRNLTTCSKSGVDSINNLRDTVAVQYEKERVESAWTHTYKMTATENEWLQECFPRQYV -YPKGAFRDSEHPVLAALNDFANDDAATQVKRCVSHGFRTMTIGDSVARKIDASHNCLLLD -SSREDYRIASSSKDTLQSHVVLGLETRHCVKGAQNCDFKADHAFSVHSAYDISMRDVAKI -FTRHSLRTMTVYMYFTTTLYKGGHTDPFAFFHVVHDGDRSKFVMNDESIMYSHSTKRWKA -WHETTAIQCQDFNLIFEVVRTYGPLRVIKIVRTRKNELGCVSRCVPLSRIFPDSVLVPDM -YSAIKMNFYVEQKDIKHFVVPQYIVSSVMSYIQRAADEGYKFPEVATYWGGIIRRITIGE -SIVQKAFVASPQEQYRILISLFLLGALQRTDRTKTISEAFTYIKGHLKMTGLCGFVQTKW -QKLCNRFADNARHWQAGKDYKLVSDGLGVDSYGFWFSEFQIINILDYETDVVHRFDRCFN -RVPPGVPPSVQNIECVSKATLNHTPSDPVPDHSPSVSVITSDCPSIDCQPAPKHSIPAPV -VPKKRYNFPALIAKAKTLVCNSGNRANASINNSDPRFPNGIPVLDLHPPPNVQPVVGTPK -PQGSDANAFQEDDDDFTGLPELFIIHENNSALEGTYTQHSAGHDIQASSSDIFVMDDDLS -LEDSAAIVYHKGVEYILGNTNPKVSPSQQNFQHSLPAGFLAGHCAIQAWFEAVTSKGLLS -KSTTPRFILGFAANCLYDYLPNCPELNNAIIDDYIQRGVYSGSNVSSICLELLAQATKLN -VYIQSSVNNTVYHYGSHDERDNIILHHSGEHFSFRNNGGLITKYVGILEHLSPYTHPTVL -DLSAAPGFVSKHLLDRGFKVFVAVYKGGLPVKKSLKVDFEYSDVSQLFSYLKGENRKFDI -VINDIGRPVNSEEVINLANSLVPSVMNDGGYLFTKTFANPHELWKMTCWSDVRLSYDSET -NTERIFQCRYQQGRDVDDFFKYYDTPGWNRKITTHVIPCQDNVAFANSFFIGKLGDVDLS -RCRPKNIKNGLPSDVFEVDCLSGFASASKTTFAISKFKKAVFIAPSSNLRDEHVKRGVKS -YTPHLFFGSDGRFHNHNKYTHIVVDEAFQMNVAFFSLLAAIYPNHRIVCLGDVHQTPPTD -FAGTGRIRTLYDFGLRNNILDVYKLPQDITDAVNKTLDFKIRTHSSVAKSIYHFGGNVKE -FVKSKIPVITFNQSTCSRFLDYGLNAHTITTFTGSRAPVVVFYIDSASIQSELLAKSKFV -YTALSRATDAIVLMGDIDGLVKRYNIAPTPISRLEDVTGIHISHMIDLPEEKPFMPTVAP -GLHKDVASIGVATNILTDIIRPLNDPSGDFISISKTNIPEVESGKLVINPDMMRDYRKNN -VVYRLNKIKFAKHQLSNNVMEGIQTLTKRYSRKYLVSDKREMEYTLKELLTGLSMAIYGN -DHSIAKLKRDMRMSPEYLQKRQREYLDKLNLKLKDKTVMSEIDQVCRLSDEQLKFFNKRQ -SKFDPKIAFDTSDKVGQGVAATSKRINVLFCGWARAVLDRVRELLERNNRNIVLATHDSD -VNMNARYIELISKFQKLYDFTCNDFSEWDASFRQAFVKLTSWLLIAAGCPRALVEEYEKF -RESWVMQYRTMWGNASLHGEEKQFSGNPFTICENTIGNMALCFTLFEYKGFQFAYFKGDD -SAVNCESSKMHSKASRIIQYTGHKLKLHNSPVGEFAGWFMTDKGLFPDVVRYCAKFLDKL -YVDQDHFNEVVMSLQERCSAVVNSEQLFTGAATCAHYYNELYDERIKISSQDIQSLFHFM -KSSRSIKFSDLVKTEMMSYKVDALAL ->YP_009337392.1 hypothetical protein [Shuangao alphatetra-like virus 1] -MHQFTKEPSPDEPFPANTRNFPQNISASFINQPGSYYFSTIAPYSNYGKPGGEWINFDIN -DIYRDIFKDSTTVDQLAAKLICHLSKLYIRIGSDGPTPRYPLISLDKVRPTIQKYDGFVH -NGFLENFTTSNRFLDIIHSKFGGEPYSSNGGNTITHVARYLLIVDENVLENPQLTCNIRY -TYYNFNRDDITNTNIYDNPAIDGGASQYSFVMPVQGTTSFTA ->YP_009337391.1 hypothetical protein [Shuangao alphatetra-like virus 1] -MQINAFAFRTLKYALQQIPQFNLQTPDIRITTPAKRNRELQFTFTASFPLAGPVDDLFIR -CSGEGLKQFLGHAAPSLPFKLTETHAYAESSGNLVEWKIAYTFATPYSDYCLYSMANIDD -INNAPIY ->YP_009337390.1 putative structural protein [Shuangao alphatetra-like virus 1] -MNSRYSQVAAYQNMAGSMTAPGFYRRNLGYNIRNGAYGFYRRNSRNMAQPTRPGERLETY -DVPLGVITARGGAFGIGPDATLYGGTKYTVDALSYNNYDVKSVGVYWITALNETNANGQI -AVYLTLDPSATVPSTDQAVMNQVSATRDMGDIFFASDKRYTQATPLRFRTPPSNKVNYST -GQADAGRGGAYEEQGKIIVAVVAPNSNITNYGSMFMRVTVAWSSPGAPNNLQNITINNDQ -TEKDKWNKVLATNDAVRIIWENAAQDDYADISIGTSSSTTFYTYPTLSGIPSDSRDGCTF -KTVQDDSGYDSNSYIYWTAGIDELPTKAPNGAPAKPTIKAWDADEKKWVNNSNFGLPVFL -TQPAVLDRHIQNVVNNVLRSQTQNSSVLTNQADSNNEPQIPAAVYIPDENQPIKSEIVEQ -PIEVTINDQPIGVNVQNDVNTKITSPIESGRVLVSTDDDSSGITDALEIAEVGLLFI ->YP_009337382.1 hypothetical protein 1 [Changjiang tombus-like virus 6] -MIDPMVCPASTGSPAFYYQPGVKVAGPQYTIYIAVRFAHMYAYYQALFQGCANMATSVPR -GGGAVKMVSFTHRGREVCITYGWAKLLSGAVYRSRAHIAALAGISAIVALRCGSRGQLRA -AATMAVVGAFFAFLTRCGRATTVHSGAVERLVSAVAGVDATVCADRDDNAAEGARTAVTR -VVREWLNKAILRFGRMEDTPADRRVLRLWLGDEMKKADMRDKDAVAIIPTVVEFMFVPGM -EELQADEIRCSMMKQGLIRAVTADPW ->YP_009337380.1 hypothetical protein [Hubei permutotetra-like virus 4] -MRQFSSRGRGATNNVNARNTSGLSHTVYKKDFTLTTDSFTETVSLEDGGSYRLIPSVQTE -TEDEDPLDPDFEGLITNIVKPPEQYSNTAHLKFYPLRDILKFRAVDFQLNLHLSLEIVVD -YPTDIEALSILWDFSQCIYNAQVKTRRERVEGDRVLFHCVAAGLYKYVPVTRPFFKLSVE -WTNTGITSSLAYTMKTQLYAFGLFGDARQQEGFVAPCAMRILKKRPAVAGLTQFSRSRAM -ILGY ->YP_009337379.1 putative capsid protein [Hubei permutotetra-like virus 4] -MTRRTKKKPTTKTMEKALVAAMTNLTTKRRAPRRKGCGAVAEGEMVLRREEMLVDVTLSA -NTTESNGSVVLALPNFPWLKTVAGSFERYKWKRLNIHWRAAGGFNKGGLVAVGMDWSSQM -SSAYSKPTLTAVTERQKVLSLTPHMSLPISANSISKMLGLPVRMLNSRNWYDATKTDDEG -AVGTLRYAAKCDSDTTAKFIGEIWVDYEVVLQGTRA ->YP_009337357.1 hypothetical protein 3 [Sanxia tombus-like virus 4] -MVKLSNRLVGKLIDRASGQRPRNGRRRVKRRRAAPRGKAATMRREIHVPHANVLANPSTA -PLHGCVGIYEGEQGNITRLSLDYTIGGGAGNTCAYYIFHPNTGLVSGAQTTAGSTAIVPA -FGVGAGTSPGYNLLNTNARKVRGIAAGMRFSIPSLSLTTIVGEVCVGVCSADTFMNVPNF -TVDQLFLHSAGRGPINKITQDCAWYPGSFDARYSTYAGTIADTGSDLSDTNVFYFAIRGI -PVSTNVQVKLDWVCEWVAKAGTGLVPSYTSNPGSNHQTTVAHLHENHPGWFHSVKSEAEA -VGKNIVREGVKAARHKAEKWVPTMLESGFAAFGL ->YP_009337355.1 hypothetical protein 1 [Sanxia tombus-like virus 4] -MIYRPEFNYLDADVRKNFFFPPKKIDFGSRFLKGVGKVWDIATKVTGGTAAVVILGTAAY -GAWKIREHFKNRSATNELAEAVEDFYGDSEGAVEEVEETVGEGDDVRVEKKKRYHIYNDG -ALVVSGKYMCHVAAVCREKFYGSGFTTKTENACRHYAARMMREHGMRECDIMDQVPRVLT -VVWFVTKSERKFEDNMRLLNNARLIKKGGVI ->YP_009337353.1 hypothetical protein 2 [Wenzhou sobemo-like virus 3] -MVSRTDTLEAGLGTETIWSRVFTLLTNTNVSKSASFVAREKVSKYYETILCLGHAISPGR -LLIHVNGANWVFQQLNWCLPCLQRSKPQLTMVCNLLKAVSRSYQYLVTCLTQDQHGLGFR -VLHIMLTTTYMPCMSDQVVLILELAVRIYMRFCAWKIRRTSSYKTSRPLPANDGSTRVLA -QLTLLNDWWNTMAVCMFLIEKILLMLSSILNGMTK ->YP_009337349.1 hypothetical protein [Beihai tiger crab virus 1] -MIMDFKQRFNHFRQIGLIPDRDVEYERTLNGWKALFVIRGRMYQVTERTKSEAFNELARV -VVQHAESERYAKAAPSLPPPFAHLQEYCQLSPSAPVDIPPPAPALLPEPKMAYNPDSFIR -AGGTFTFNTSFSGDGFLAVFEAHFPKFLSKKKFVKRGYHHASKSLEHLLSECFMELNSSF ->YP_009337348.1 hypothetical protein [Beihai tiger crab virus 1] -MNQLRSSPSSLVLLAQSLSPNWIICLFLINTNPLIMVNVQQRINLGDGGVWPLIAAAFLL -FDTQLSLLITNTNTIISVLEISREIQSNTFVTLSDIRKDLYEMMKELEAQTGELGGIDAE -IFLTNSRLADIKLQMLETGAIIEVINEGLIGVFETAVKNAVSNQETSYAMQINNANWEIQ -EAATELIQQTLDAILVKIPAEPLLARLNMAVTSIEYANRDAVQWDGDFCENDPFGFGPIN -CEGIEEEAGTINGIVFV ->YP_009337346.1 hypothetical protein [Beihai tiger crab virus 1] -MDNSLLGAGAAAPPTQPTTFGYEPHKTHGSPAFAGSGKDSVGFHNYGVRRYDKHTLAGSE -LIKVNLQDIKADTDFIHSVRDFLLLAGAKLMDRFEAYEVDNMTIAFNFPANWQYIHGFAR -TGWIMDPERTTIPNYDRDNGKGPFTHFPMRYTDARTFRLGHHCTYTLPIPRTKFFTGPVT -NRFTSPGSFFFSAFYDKKAIPGIEDWDSAQMVNVTVTARVNYYCPTIRTSPIVEFNRIPG -YQLTSQGQDHAFVFDQAYNRAYANVIVRGTGYTGNPETFFFQPFYINMLVAGQGDTDDPE -KTVAINFPVTRGKYIDNGGSYWLQFDASKVASLIPTPSDDIRYTITNAKDTWTPAGFTIR -NV ->YP_009337344.1 hypothetical protein [Changjiang narna-like virus 3] -MAKTNTKKSGKKNVKNSNTGNKPRRRTMGGGSVAGRYAQLLHNPDNGDHMFDVYDGERGE -TQKFVSTITLNTIAGHTAGFLQLFGATGNGQWQSGASSSAALTFALLNTGCPGAAYLTAN -AAKSRCKALKLELIPAAASFSNITGEVAAGVTTSGSWASGVTSVDQLFDIAKAYGPLRRE -TVVSRWIPSGLDHTYANYNSVPNEDSNAVYIAYRGWPAGVPISIRMTYVVEFTIKNSIGI -PPTGAVSVPVGHPHILAAMQQQDPHWHHSILDEVKRAGVGVARDVGNFTRHMARNGMVRV -AEKVFSRGVSSAIVLA ->YP_009337343.1 RNA-dependent RNA polymerase [Changjiang narna-like virus 3] -MTRNTITHHTAGGRAPQLSKDRRPRRVLRSTPTSADLHVLLPELVWDPVQVARLSAKGCW -RKANDALIDSFQLLGYKFENILCPVPPSSSVPELMSILKSWTAFWLPHMLGDDTPVQRFN -PYSLITSEFRKFIRNRVTGGGKARKYRIGALLLYSKRLFPSFTVEMVREKVNEFSKSVAR -IEPSVLPRKRRMFLEIQRTLDEFCPPGEEMVADYCKPFPPSVSACHEYSRQEGGLQAYIR -DFPLEGFLEDPVVKKILQQFRLTDTDLSSNGPLNYLWERMLRHLIAEAIGELGLPESEWK -PMMVGATGLTEPLKVRIVTKAEWFLQLLTPVQKAWHSKMREHPVFQLIGGADVEDALRPM -KLSKGEKVVSGDYSAATDNIFLTYTKEAAEAMLERTRFQLPESVPSYTDAFLRKLVVHSL -TKSVLDLKGSSPVPITRGQMMGHILSFPLLCIINRAASCMAVSRDSFMRINGDDVIFPAT -KKVYTKWKSATKIVGLEFSIGKNYYSRDLALVNSVYCTYSKEKDRWIALDVPNVGLLNMP -LDRQVDLNNGRQILPWEQLAQLFREFNRFSTSDTHTKYLSMFRKYYPILRGFPGPFYGPV -EYGAFGAPVPPKHEFTKNQLMWMNAHRLGIFNYQEGTRNSFSKISNRYESFIAIELTKGM -YKFGPLPVGAAFGPPRAADGILDPYARDGGLGYRLMAMRRWFEDLSSNKHVKIFGARRWN -HFKLSMKDQGGIPPLPPNFLHKVLENSTWSMRPAWHRQRDTVGVRYKEDASFLHEIFQAQ -EEQTEETTL ->YP_009337340.1 hypothetical protein 1 [Changjiang tombus-like virus 17] -MRFLTNVPSVYEGFSGRLRSWITENRRNIILGSFCLIASGLTFRKTSQLTLLFRSLLGLL -GAGLSFTLGNNLTNLVSLQLENKAKIARRGTRGKMRAIRHRRVPLTNLHFEVESLCFDGL -RNSSDVTDIARRLKQIAMSSGLEVYSDDGTVNKVPVKDLNMALVDSVVRDCVLHISDPDV -LRDIEVDAENRDMAMRRLLSLGNAGQILSGWEHLKISALKCGAAILGRSYLVGRN ->YP_009337338.1 hypothetical protein 2 [Hubei permutotetra-like virus 2] -MYLSQRQFTNHTTANHLDDGVWIGLPEMMTENDVNGAYALSSGPIPINIQNAKQNTVIMN -SLNTPAHGVIKRVPLILDTYLVIRTNFTLNTGDLSSVICSLKPNDQSKPWDIPWTGVPIV -DKFVCQSGKKFYTLATNLLLRCDKVRPADFYFEWQLEFNTPFSSVWNFVMEYTLDLLTAP -YMNMNIVPSLTYTDRDMEHKLQNLQIESDDTDEQHESDYNLPQTLYPPLPSAPMAEAVIP -QKPKTKRGILTRLFKRKIYKP ->YP_009337333.1 hypothetical protein 2 [Hubei picorna-like virus 18] -MLSTMDPNLAPVGDVPFIDEVKDSSISGQQIVTFVTEQAPDVESIPMPKDLSSDTLSIIQ -ETRDHSIKEILCREYPVFDGEIIAGGSDNDVLFRINPLTQFLSRTNVIKKVSQFAILRTN -LKLRIEFTAPPTVGGAVMCTFYPDLEYTAITSRLRSRLQRSQAPRQEILLSTVANFYMDI -PWISPFYARNLVTGTGNIGEIVLSRIKPSNTSAVSYKVYVQCDPETLKIEYPTFNPISLS -ADDFRDFVYKLTQEQALALRHTRMHVDYKLKFSNLTIHQKEQILNCHVRINNRVYRVGNL -FEENAVNLDLMSHQQLTTLFNGEIPNVDPEEWTLSEEILVEAYVPPLLQGKREVVQQEKK -GKISGLLDAGARISTIASGVPIIGSVASVAAPILSVGSKIAGLLGFSKTIADKPIRPIRQ -KPADSHLTNEGVLPSHSFAVTGATTVDCPSGTFGTEMDEMATSYIERSTAIIGDFRVSTS -TPVNQVVYAVPCTIAHYEGVNNEYYFTHQTWLANTVEKWLAKLIFDINFTGNSFHIAKLR -VSFNPHDRGTFAVGDIMSFDALDSVRSKVISFGEDIPNNSIMIEEVSTTTMKLVPSTRNA -AGQASIATYEANRYREECSYGMLYVTVEVPFKATSTIVAPYADFYVTFSTQDLVLSHPIA -HLPFAPLLQGLRSTITEKSVKASRSERFELKSSDYLSGTAAGAKVGNYLLSCGDKIESIK -NLLNAFYVFCPVISVQPSQSLMILPYASRRIQGQTTAQQYRHTDAVDYFMCGYGFYKGSM -NIRVSNLVPGQPLGEINLQSPVNNFINASFSPALNHQLGYALSSTTALARSGSRAIPIFS -EECVSDINIPYYSPFNISRVATSGLSEFEQGRLDNRLIIQPFTTQSYRIYRAVGDDFHLG -TLTSLPPFGLDTLNILIP ->YP_009337324.1 hypothetical protein [Wenling hepe-like virus 1] -MENKLVKLERANIIEDLVRDFDFKLFGKQKQDMYRRTIRFFMEGIDHAYYSRWHTTKSDA -KDDMANVLANITLPDVPRNPEPFRVTVDYDDEGFHIVGPNQRVRNVTLKMNVGKAISEMA -YQSRHFY ->YP_009337323.1 hypothetical protein [Wenling hepe-like virus 1] -MSIISRAQVRITNTQWSYLVDYFNFFTVGLYGLLVAYLSSIAYNQFTINQSIIDSRNMIL -EKMELQHNITIDIYNQSAEFHRNILEAISEQTSLINQTGITINENLVKQHNISETILEQN -IGAFEENLVLFDKIILTLNDTLKELVSFNQSSGVNFNSVIYNQERVLQFLGDDIGKYVLN -LLNDHVDSILTAEVNSADFLGDVCEYDPAGLGPINCESTQLVRQRMVEPEFQ ->YP_009337321.1 hypothetical protein [Wenling hepe-like virus 1] -MESKIEVSGVPTPLVQDVALTPENKPVVPQQSVAAKLHSQLNNQRITLAPVSAPPLPNPD -KYEVEKVKWDGPRPGTVSTNLYNLRDAIKARTTAFERYEYYKVEQLTVTIHGVANRLTIR -NLIACRYIQDPYNSGSDELWSKDAPYDTRNNGITISRFDEETMIEIPMPTNWLYTKPSAD -KRFYEVGNLLVNTAGGDGASAYYPTATISATVLYKVPTIQIAHSNEHVFVDDNWVFNSFN -FKTNSPTTAIAIIRATPPWQGTSVTFTESIKVSGKIPIQNEDGTTTGYPVHFNFQNAQLL -EDDKFIVNFQFASADLLDSDGNELDSGVYQNEGSSYWAIKPISNRKLDNALK ->YP_009337315.1 hypothetical protein 1 [Hubei yanvirus-like virus 1] -MASPGHDPTRRNQTGLILGSMTKSSLARARPARPGQPPGGRSQVSSGVNEQPVAPAQGET -KGASVPGNAQKAKTWAQRKGPSAAGKQKPPRGLANVAYDHVWSCHREFAIFDSQPECALR -DPETAKALVDATKRCGADGACAFYASISHCNSVAKVQAYTKSFPSWGTLDVRTVESTWLK -LSRVFQTLIRVYHLDQVADGVWKLAQPQTFNSNRQVQNFWSFLLVPPELNVAHEWHCLPL -CNPNRDAELFFEPKEAEDTVGDPPVVQATAEEKGKQVVKPPEVEESSSSSSTAVCITEPP -RGPLEQGPAPTLGQIGQEMTILEEEEAFPHLEVLVKAGRLLDSYPLTRILWASICTPELA -PICEPPPPEAPIPPRPPRYEGVWAPPDGWEWRGGWWPSTRPPNTDQQGYFRQDPEVVSAS -LNLACVFADTVLYYPLPPGNSVERVGSRSISDLSGSMVDQHFLPGDVVLVGTTHYRAETV -LAYGVPLLKLSVAVEFTPVESVLHDAASFLRNKLCSKVWSWAATPKGQVYPGSAERLTDR -TVCQAEWTQWMGNAPPELLPTLTTIRREAQLADWGEAAPRANRAALYAVQQEATLRGRPF -GLATGTKLGRGSGTYFAWGDCYSCGGKLPGKRMHGRMCGCVANPTRAARAVREGLHVVPY -GGVVYPGVVECTTRHPPLKLGKTTLANPSVFRLCHPDASSLSSNPMRAVARGLEGLV ->YP_009337303.1 RNA-dependent RNA polymerase [Shahe isopoda virus 3] -MAAPVGANYFTQAALNTLAYVAGIPGLDPRDLIMQGHPELFEYECLALPGMTCGESALRL -ASAAVWSETNLKKKRQDRDPPPFLLHGVAGALTTLQMVRRLNRLSMGSFPIYRYAGLDVL -GAPMFDLISGKPRADNYACVFVPQNLVLVPAFPVAHWTFTTILPPPDVPDRLVVGLPTLI -YTRLPLAPQMDPVPGQIVFWRARVTPENAEAFAAAAAVGVACKCPWIQVCDHEQAWLAVN -PFFSRVTCADSACMEGSSYAMVLKTLSPDFILRRIPNNGVKVLQHNTVLKEENVFGCPTI -RSERFFNTLATAFGSLELQPYQSVAERDIYPYRFRVYTYGRCVEHATMYNMFKTLCLDTA -SMLSPYTFQREFEVEPVAPAKPWGECGDLTLRLPRLNELLQRLAVRKEVTADVVIDTVRR -LAHEEKWTTEIDRDEFELWLSRVVTEAGERAQPLTLAYTNGQCWTCGTFGKTHRHECKAC -KRRARNRVPDRPLSDAFATHMGFFPLWSERFMLPNMAFKRGTQVRVGKAGNRVMTTRAEV -NAWLSRQRVDVSQRGRLCGPMFCNQVPKCYPKGQAVALSAFLVRLGGTRPYPDTFPCQMC -ASDGHEECTKQCTPDELVFAPNNVRPEVKLAYDLLYTVFSQYVLYGGLTSASCPLKPESR -TEFLSHFAGEKLLKMVEALAMINDGWLENMDDEAVRVRFTGFTKAEKSYDFEWTGDCLIL -KKEGKPRFICSPDPVMLYYLGRYTHVQTKWLSARFLPCSRMFYAGCATPEDMNVWLNYTA -GDAQYMNTIVDDVSAMDSSFTKTLLDFHERIRDLQFPHMAAHTKAAFRGEERFWVRIGEI -RAFVEWVNASGVPDTSYKNTAPCLPLRVFAIVHAIWGMIGQPFELTIERYYLVLDGIYTS -AAGDDGLTRVPTHMFGVDTSSPQFKMGYCKAWSFFGFNVKVDIVPPCRWRMATYLAQRPV -WVGHRYEWAPEPARRLRGIFWQIDCSLHPIAWGRGIATQLLQQAKALPVVSHVCQWYLAR -TSGPAIISAATAASHEYSPFHGAVCSGDINERGVSEFCVDYNIPRAELDRFCRLLELVPS -VLVNLNSFVLDRIYAEES ->YP_009337302.1 hypothetical protein 2 [Hubei permutotetra-like virus 1] -MDVPDFNLDKSTGDLAIIAMSPTFSPFAKNWTKMRVLDAVSIKWRTNFVISQGGFTSLAC -AIKPSKDYTVMWTGQPLVDTYLTRAGKYMVSALVDVIFTCKGAKPLVFDLEWQLHFGSHW -RDGWNFIIDTAITAVSNSWFDFSMTPLMPVRKPREFPHRVRKRDLNAPFPPMTPPEPAHT -QFYFVDDYYSDLGSTP ->YP_009337298.1 hypothetical protein 3 [Changjiang tombus-like virus 3] -MASSTNEQSGENRVRQGRTAQRPTRRGHRQGARGKQPRGTDTRTSQRPDNIHSPAPTKDA -QQGGRNTAKVPAHSKHRRSALHRASRGGIHTPRPRQRSGGSRAVGSRHAPSQPPGGYQPS -ASQVERWAKIDSLLPALLDTIERHELRPSEVFQRGLRVARNAVRARRQPVQPVPSVVTPD -RGGEPQLPAPPPTNPAGLPHDGEGCTTGDCNAAVLLSRGNVKPVCHAHKWDVRQLQHK ->YP_009337292.1 putative X protein [Hubei diptera virus 10] -MKKTTLAIQAKHKIRFRRQAIMQFWIIFLIFPLHVFFEALSDDDVYHIVCTTNNSVADKV -YSGIVTEYSTRVKLTHPFTISQWIHLDATYNESYQIVYDQYCEVDDEMIGASGFLADGKI -SSAYKKVVAKIKASVLYLDQLQEVARSPGFEEQKHTISGFQSRITEDDNRSSSTEIDDGS -VNELIHLPISIDHNEEPITETTTLPAPHILNGQLSVLTNHAKTLLRADLRRAILENDQLD -GLSDDDIPDGFIPSRSRFHRNIVKSLLKRSNMRAKRSNPMNKPNDLRGKIQGTLHSIEIM -KKRIYHLETKWKQTAFLLSYGIRLLPITTSSACKNKHKFKFLLRCYAKHYDIITSSSEYH -NLVIMFLDLADKEGKLIVETSIYDNLNKILSQYEDQRLNDST ->YP_009337291.1 hypothetical protein [Hubei diptera virus 10] -MEKTTTPKKMPKTRSTSQTPGPSNKRSRAPQSSALPDAKKGQKEYTQVLKGLGAMMNERG -GDLLQAHTVSDTQKTLGIDYDDIQEEALNPNVLEEEDDPSNDIQESGDAFFEKIKEEHSR -KEKEDKLRKGVKIELGEDEEGKISLIIPENVNLIKSDIIQLVKDLSQMYTTKFNCPSPTF -TIPNYGALVTWEKTCPVEKKVSHPPILKTVELPSTSRLQANETTVGSTNEPVIKKPLLDR -YIDALKVGVHCKSLLLNGKGLTLTLNKLGLKEDQLRNLYTEHRIPKSFRKFIMISIGDNK -HLYKILANFSIPIVLD ->YP_009337283.1 hypothetical protein [Wenling hepe-like virus 4] -MSSISSFEEIEMISDFKKELESFALSPKAIYQQYCFPCMHDEYTLNDSIYLRVFDFTFVL -PATNTYDDLWNIAADQFGFLRHYINDHNFQKYLEFFSRFCPNFKFMLDFGDGEVIVRIVH -NSASRVFEEHSYETFSTSGYSIGEAVKVTLQAFFNSETFRLISKSEI ->YP_009337281.1 hypothetical protein [Wenling hepe-like virus 4] -MASFFYVCLFAFIISAEPKKNLRPTVLNVLLRIEKVLIGLDSEIAALSASTVASNSLLMS -VKNYQKNIERNTNKQYSLTQNWNSVINHVDRFVKLDGKHDIVKAGAPGTAMKGELKGRGW -LTCPAFKGSTQLCKYVFPHARYDVNRAGEASRFTPDFWGKKY ->YP_009337280.1 hypothetical protein [Wenling hepe-like virus 4] -MKLLATFLSRAVSFAALFSVVASSYLIPVGVLPFSPCHWTDPCFNVVYEAPSTQCRSSFP -LAYKDGKDWFFYDGSTDIPFNNTLGLTVYHNEHDGFEYIIFPAAQEPTPDGYLWANCVYN -KYYAATDESSSITRYPLLPLNIFFKPTEHSFETDNICEHMRLALTGGINTLGYNFVLTFL -NVYDEAETVISIFNTTSCSGFPIDSVVNSSGVMIYTEPVRSIPTWRPSFMSAYLPL ->YP_009337279.1 hypothetical protein [Wenling hepe-like virus 4] -MEFVPLVRVRPTRVFALPRQQYIPLFLAVFVCAYSLYYSSGGGKDYTKEIYDLLDSQLVV -TKTFRASVLSKISDLDNELAEVNNLLNSIAGDTASITDPNFIKNILDYFIKQFTSRFISS -LFAAANPIDTIKDISIIGKNLAKIFDIIRGKVKFEKYFKETIDLLDQIKLATQGSEDRII -DLYKVTQDIDCALHSCAPVKAQSVPKIISRARDFLHNISIFDLPPIISNRVRTISRQCLQ -GTGYVSFLSSSCVGACYVSLTANREYLVMRSCSDGACVLPLHYDNNPREFGYNGPPQPYP -APAILNMKPIGDAVLAVPVFSADSFTFTFLKDVEHVAWFGSFEYCDSFVRNVPTGVEFKG -EGFYVQYSSLYRVKYDSTNGVVSYESTGEPVISVSYSPIRKYDCQFFLYLPFQLQVSENC -LSHNMNVPNALALDDRDSCISVRGNTQCSDYESPQYLASVSSFYYAPAQLFGATDISGYN -VTFKYNVDEMEYVDVVAGINYLPCNSTYQFDDSHMDRWVNVSFAFTSAALSSNFKQTSIC -FA ->YP_009337255.1 hypothetical protein [Wenling hepe-like virus 3] -MDAYSILEPIYQEDIDKFIQYVCLGYGIQSPRYIQRTASDLKFVSIVWLVEMFNSGLGIE -ITNCVDDDVFETVEICKKSIARNALVLIKSGVFERSGLSFVI ->YP_009337254.1 hypothetical protein [Wenling hepe-like virus 3] -MDVAPTNAALGAQRPTTESTLESLPAVEHEARSTFAGNNLNNGQPGGDTLRVMARFTKEI -AISTASGPLTNIYLTPEELAQLDKSVATMFKEYPLWEIEGIFMTVVNAEPWSTAAHALAV -TQQATPLVPVPANEAELKLMLERGRRNLLISNKSSADVVLKLPIHSQGVKETITMYDGKK -RDPAFYAYPPITIGKYATAQLGFCNMIVQFSVMLAFHDRTYISGISTTIGPLEELIVKKF -RIFPGLDSTSTIEFDLDRLEDADYFRNVATVVVVIDLSKGTVDAGNPAVGIVTQYCNSLA -VNEKTLIANLTDQYAPGIDEDTKKIDFDQEVRFFGMFEKQVSTRDDYRMMRYRNQCNSPF -RQVREKARANFYATYDSNFLKELRRLSVGELKIVRQLLGVKECDCDFSINE ->YP_009337253.1 hypothetical protein [Wenling hepe-like virus 3] -MYPTVNSVITPRVRDARVGKLAQSVNDISESLNNLASDVNEIESEVKQCSQRIIDNEQLR -IILANTADESFFDYMSTLLHDDNTGMQVCAVGSTVCFATDPLTSAFINLSFRVNLSNDEF -ACAASGIASSEDRRYYDYSKEEPVEINISAGSAKQDTFDAAIEFKSDSVIFKFGWLHYIQ -PRSGLRDYVTLTTDGMTGGEFRDMRVKYYEIKENIRSVVWESPPISARTIPNYVDVLHGY -AGVSTTYVPQDFNPTMSPANYSYTVSEIAEDASAVAIVPLLIRDSILALDETKDKLQEYA -ENDSSEYAFLMNELKYSRTMFRGLLVALQQFVPVTRVEYSDDIDADLEPHPEGSPVSTQL -EVHAKSNFTECRLNLPEGFEPMMLELTDEDKISRGQVLLTHPIDTKVQTPLVEYIELPGP -VTYRCDYNSPFDTLWTNRYAYDVDVVSRVYLKLQFPSNNAFTAYVIEQGEIEYTDYKGNE -RRFRTTFTLVKQADNVATFESLVEAPIFNLKPAPFQGVNVTTDDPLDPTWERWQTIDFVS -IVATSSGEYAQHAHRNFKIKFTKPAIFATFKGVIDTTVVNLPDAQMMALISLLLAETADL -DVRIDTVNKEIEVIGKIIQRTVFDSIAMALRGFAYCVPGAAVVLDVAEFALEITSAIVKG -RYEEAAAGFIGTVFGLLGRARQRGLPKGVQDNIIKGTSAIKNFQKAARTKMKRYMFTNER -RKSYEPDRFYNIPVNSPGVWRLSRPLGNKVGEDLWSLSDDMKNSSFPGFRMFHKILNDAC -IDPKHQWVVRKDFVYFEGEAHELLQVQGVAESFRTPGLPSNLFNPGLINKKLSGGDVGTL -GSMPLLRRTDGTFVETPNMAGALKKYTKLEADTQTLARVMYSKIHTSRGRVEESYPVDYN -DPLAIASLRALSPGGELANVPYALIGNNCVNKARRLMQRYVGTNDGDNIIDYNLDRALYD -QSELKIDDLDRIYSAYLQNFSKKYKYWK ->YP_009337244.1 hypothetical protein [Hubei virga-like virus 11] -MSLVKLQFANILLPLEVSTSEMDQFKLELINLLMTTFGSVNLFSSFTIFAVLSNNMKLHP -LQLSIVDHTEPGSIPMNREVAEFIAKTFSDRICRTIGYNIYTLELAHAPINNQVDDDFLS -RLSKLNLSSSSSSDSGNESFTLTIRKDASIGQIFDSIINNAKDYLPMKTIKDALKASVDK -FTSPSNASATTTNGSRISRSKSDDSLKRDKESTETDKRMLGNMTASDLLNAMSRGCSNTC -NITCPKCEYIVVKNNSTSNNGSITPARKSNNVSDCLFYTPQRRLTDYETKFTVNYNSQVY -NVDMVYMGMSERNKTIAFLDVLLSLNNRRLFTNATENSSYIKRHYKRNVPVLSTKSSNAT -ITTRDPNSIAMLRRVTIPPPSTPRPLINTFVDSFDKVEINPRTHSNIIPYPSVNTGVINV -RNDALKKIYDSNGTLIMDFTNITLEIIREGHTYKFTTAKGSYNTAGEFMKYIVDAYGLRQ -TLELFMDKFIDMPDTDFQALLDGIIKRTRLMKRMMHNDIYRDAITPVFLESFFTINKDDL -KPLLSRMVNSFNKYSDKGYFVNNFIPLKTYYEYSAYKDTIKSLVCKTADKQ ->YP_009337243.1 hypothetical protein [Hubei virga-like virus 11] -MYLPTTEYYAVHSLSWIDIKIFSLVIHSIRAIDFSSQTDRQLAFAHLQVLYRTNQLKFDV -RYPLDVCLISLNLDPFLKLMTSLLKSLSIPNHHTPEDYRTYHELFQHAMNGIIQNLNPNN -YIMNRAVFEKLFNLRWV ->YP_009337241.1 VP2 [Chicken calicivirus] -MAAAGFAAVAGGASNLISSLGSTATNAASLAIQKELGEKSLAIQQQGQMLSAVSPYISAD -AYMKTIGSAITARYDALTAMGVDKSYAMMRSLNPNWGYTLNGNHTMPEVRTPTVGSVSAP -VLSPLHLSNNFQSTQSYTINNGQATDRQSNWGSVRASSWVNNPSQYSRDTTMSGTHGSSI -GTVSPFSSVRSSSSSNSSFTVLNWEGYVYNSWPGRTISTQTDPHTTPVADAGVQATVSSA -DASVGTRPRTYRFNSSRSSWNPNAFRNPQMAIDLNTPHGGPPARYPR ->YP_009337235.1 putative capsid protein [Beihai sphaeromadae virus 4] -MPSRRRIRQPIRRRMPKRIRQPKPVTSSQTLTSTYDIPIGLPSMTAFSTKAVSLDPSAHE -NSRASAQMSIYNKYKLLACSLIYSPSVGYDSSSGLLGVFHSTAEEEIAPQTREDFQGRIQ -NAGPSGCLIPVRKNKVFTVPKSVIRNIAEGYTPGATQTPGRIVIGSATSCDIDSPGLLTL -RSTYQFIGPTVPATPASPTKIIKRAPYTRRLASFVWKPTNPEWFLISGLVDSYAATSDAI -TDVSIEFSVYNASGSSFGKSIGQSYNDWQSFIESAFADSQADWETFTSSTLAAITFDPPG -SPFKTAGILDSQRFVTTMPDSTTTTLVDSVTTTLEESVTTTLPLSQTTTLQDSVTTTLQE -SVTTTLPESQTTTLEDSKTTTLDSSVTTTLPQSQTTTLQGSIVKTEPGSVTTTDSDSTTK -TLSGSVTTTDENSKTTTQIESRVYIALPNQGVVSSNNPLPVDDGGGGFFMNLATGVITAF -VLEKGRKYGPDSKETPEGYVRLKGTYPAGGDVDGVSYPDVNAPSSTTSVDYKQFTSFDVL -IKPQNFEGTGWHQKLDSVICRHYLGPAYYGNLQSRVAIDVTVAPILFEEADTGNKILGDP -CRYRALYYKDTNDFRVIRSSTEVEAKLYDTQSAIGFRVIPVMSDYPIYEDESKTWFAIYG -HNVDTNL ->YP_009337233.1 hypothetical protein 2 [Sanxia water strider virus 17] -MAPQNQNKQQPRASKRSQRTNMNQQRTVASVPRQGLRSKQQTYMTGQPKFQHKGDKVIVS -NSEILVEVNGTVASGVIPAGGAIRVFRFENVATGNNMNTTRWLTGMAKLYDKFRVNKMNM -RWVTSVPFTYGGQVALRFDSDPSKTTADASLLAVSGDMRALATAVYNSASNRVKKDQLNR -LPQYETFPSATDTGVATVGSINLAYSAITPPAGTTGTINLGYVWVDYEVEFFNPSNAIAA ->YP_009337229.1 hypothetical protein 1 [Changjiang tombus-like virus 4] -MIQVPSRQGLQAIGGGSTEQLRSHRPTLLGLFEDGWMGYPSALSPSTAGDVEVPKAQAEV -PSNNARGPLFGLGESPTAKTPGETPFSQVGGTSAPIAPSSGSPRSIWAKLWSWRLGYPTT -TLSAEMADALAVGATTEVEEEALAALDEQCNVHYGDGQPPSFLGGDHNFTRFAYRLSIKA -KLKFGYDLKPTVANRQVVHEWLVRHMRDMNVRTTHIARVLPIAIPLVFVKDKYQLDADNA -LAGAPMRRAAQESRKTVTERWREQRTWWEWLWGTSPRPLTFTE ->YP_009337219.1 hypothetical protein 3 [Hubei odonate virus 6] -MDLLAEVWERDTTLVDVLDDSGIGEIPSIQSKINAIQEEQSQQKDQLDSLGHTVDNNQKS -NEARILDLYTNVNSNTRDLQRLEQEVKDLTLSIGKIQLQMHELELKVSSNSQIIDDMTYD -LEVVKGNVLTLAQDMNKLNNELLLVKPDVSELKSQNVFTYPFSVYANIFLQDICAVYEGS -KVTIVDIGGSSIEYQTTTIGSGMGRKVSSPVKYVKRYELVPSVVFNKKVYQYISFVGINR -TTSQPAFSDDPIVTWGYQVIKLSNNVNLDAMSIMLIKEAYVPVNFSKIK ->YP_009337217.1 hypothetical protein 1 [Hubei odonate virus 6] -MTTRKCDDILRKEPNYSRQNTTLANDINIDLKRRKRISPYLLDMLNEPVISDKPVKKCKV -ARMTGHTTRKYSTKTRDLNSKSHKVMTVTRPENRQVTVIQKVEEVQPKLTCKQRRKMRSS -LRICLYNKANKGKTLLENKTWVEKIAISDRDQVPEAIQQCYIPPPRKQSYERIVPGRVNL -NTIDYEVHDNWTKLSDQDVGVKFSKRMTHNSDENALSKLFAEIRKEFSETELERKVREQV -KDVTQTLLSTQNATVDRLIKEVVALREELNRANAEVASLKRKLEVIPEVEQQCSVVVPST -TIPTRLLPLKKRIIAEVSKADTTIVSEPEKVNPVIKKVTPKVIVSPKIDVKANVQIDDEK -PSTSKQALIKTNSEVASEIAKVQKPSEIVIKQKSDLTFESRVIPKIKETYTDRDIDMWID -FNLKNEDVRKKEILDSLIEDDKSFASLFDKHASPTQRLNFAKLGCESSRARINAQDINRF -TWTKICAKHKTDAERKVECDKIRATLFRTLFFRKQAEFKKLNLGVVNPCLIGVNRWITKL -LSLKTAEERIYFRTSWNNKVDAITIRLQNLAKQKC ->YP_009337214.1 putative matrix protein [Hubei dimarhabdovirus virus 1] -MKPITTALKKIRGSPGAIRSRAQTTLPSLPPKDLRCSKWSIVAEMKLTLSQEALDWEIVQ -RIAGVHRDEYTGNPYFRPIIETFYWLMLIHTKKDNTQITNSTTYSSVFTELVSVHHNIPL -VSNQDFSYSYCTSGIHRHTTFTIQFRVKFTPTVRRTEDLGFFLERKPFYWSDGPDVIQVL -KMEGLHIALCGDLLVIIDPEKN ->YP_009337213.1 hypothetical protein [Hubei dimarhabdovirus virus 1] -MSFETESAHHVDLAESLVKTLTSMQKNQTLSKLPVFDDSSDMTDEDHSNSDPDVVGAQYL -GDPAELFGSLPPAVARATPQSLDLSFLSLSDAKRVQDLICSLDTSRPIDEIEFVLPSAPR -KPSTPKPSAPVVTEQRQPPKASSTSKTRMVKLGILLEKGVEVRSRYPDKPPFKIYNGRNG -FSKEQLAARYQNRELPETLYDVVKEIFTEAKNWGVFINRYHAALLKINLDQYSCE ->YP_009337203.1 putative structural protein [Wenling crustacean virus 1] -MSEPFQVSEVTSAKGTRNAPGYSTLDPQPVQVAKPVTTTYNYRFAAPNIVFRGAPGTSVL -NLRLSLNHLKLSDPVLAKLATSYQHWRINNMSVETKAVGAMATSSGSFYTSYISDPLMVV -PPDPEDLLKLLVRRPETEQHTARQNDLQKIHPLGTAPSFQKKYTYTSLGGDPRLSEYGTY -VMAMATAPGAADQMLVTVTISLEIEFELPTIIPDTMTLSTPDIWTMAQDPQYNFGPLGAY -IEIRTHSLAVPQVAGRFIATPPNTIQLLLSDGDVSELVVVTITECDAVVDSSGRVTLSIP -LPATSNAYVDMPDTVTPVGDLEIYGYINYSEDRSAERIRGMISKRNQSTKRFASTLRNVN -LHPKTKTAIISTHAINKHPENGPAQPVRP ->YP_009337202.1 hypothetical protein [Wenling crustacean virus 1] -MPRGRNIATTGRGQHINLKGVEDELPDIDNFFDYISALYRNAQLLFSTHTTFTDEVAANA -SLNSPITRFLLVPGANSLPQPGAASAFTITLLPFRTSEMSLVVSDISDANISDQFQCPIS -TLQEDSGGWQPFTTTRQPIKPIAIDIDRGREHLLLNVEIDGLTYTTELTLALNSFVYIHV -ESYGFSVYTQSPYKHIELAFHKTMQIDCAAPNPTSSIHNSIGTYTILTILHTRVESPGPD -YTQRFHIGSTLPFAQIDAHDALLTVVKAVARHSLDDHYAEAMHGDSTLLAPLATLTQSVL -ALTSRVPMYEREHVQFTSGVNFVTAAERLWSRRLESNPAGGMSSSYVWPGLRYVGKPVDY -GATYFSTTHTSGSCQVSIGDSSLHPGHSSHDEVTLQFTYDQDFDDSDYRVYRARYTNFRQ -FGDDNFFNNTFPVYTSGSFSTQSVSAMNSFSAVHCQGTLTFIKGNTTVEKDFDTTIPIQL -VRDGDTYRGNWVAQIGTVSLPRSNFSLPSNDMWFTCWTDGTVEHDNRFPFITDKLDFGLY -TDPPRLKYLAAIPLIFDAPDELLAVSDILVTGALDFSLDLDFAPQFQPQPPFISSTENAL -AALTLQNSIKLSIIGMDLTIIDNRIDAMESNTRPNIFQFLGGIALTASMFMPMGKVMLAT -MLAGYSLETVGDVMANDFVTIGANTLALVATLIAHHRMSGGVFHRDDVLSTQLPFFEHPD -LPQIENTTRFRTLKENASLNSDQFGALTRPLGDTTFSEPFVAVRTSRIGVLPTPDHSLLP -ASFVDAAGLLEKRSLYPEHHQLHVNTLQLYETEGEVKAVNVLTVLGVSDGPQRFNGVFPS -ASGFGHTPSEPGVFTLLHSGTSQSSVIPLESLSGATPEQILRDYRAKCLSIGKSYIDTEN -ADLDTLRRWYLGSNPARPLHTHLPTFTGTAKSAQVNIPIELVEQITASMADHNAFGKPYK -YNLTNNNCKHFADSMFSMIAYGERDEMNAAFLSRLSSTRFNPGVITEFELIQYLDDININ -TINTVKPGGPIIYTREGWL ->YP_009337197.1 hypothetical protein 1 [Changjiang tombus-like virus 8] -MVTTRANSEFLPVTRLSQPDDQPYWTFWDRWKSSFAEFWSTSTITRMCCCVDPEVHLDAQ -LRRRQHNAVRESLLYTLDYRGDTSIITATMNDLLDEGHVMLQDAAPTPARSPPTINPATL -GPTFTTLTVYEPATHHAWSQPQLAPPLNHTRRNLTPVKFVPRFTAAVIVELRSRLGQLPE -SIPGNALIVEREALRLMRKYSVREVDAVTHLPSIISCYFREDLHYRVETSVSRMSKFQRW -LVGEAQPKPSFTPLA ->YP_009337192.1 hypothetical protein 4 [Wenling tombus-like virus 2] -MYAEIESAAWVYNKAKFVWKMYPVLRDDTFILYRSVELPKLKSFQTYCNITGTISSSQYY -GFDEVTLNLRVERSKDWKVIEESMVTLDSHTPGNLFPTDNRSAVQLLYTGTFEVPPDHHI -TTSSILAVIARYPVVATTTLSLAGRSAWDILRMYFKVSFHSSLVFNIKGEENIKIVRSAS -FPMLSHRSLASSSSWQSLPELSSGRSSAQLSSVSLNSEEWIAI ->YP_009337191.1 hypothetical protein 3 [Wenling tombus-like virus 2] -MEIVPRGRRAPPLGTVQAALRGLNLARQAYNWMNPAPQQPQNPPGTGRRSRRRGGRGRGV -STPVQPIPMPIYPAFPRGRGRGRGRGRVLTTNPGRSVTITQSEVWGDVVKGPNVFTFQPS -AIGMPQLKHLAAGYTRYKVIRVTLEYEPAVGRQRDGVIHWGIRPGVVAKATATPEVCKAA -YPRRSHPVYVRTTMTIGHDLIMMQPWLGTGETAFTLVANSPGDTTQPGFFECTYHVVLDL -PKPP ->YP_009337189.1 hypothetical protein 1 [Wenling tombus-like virus 2] -MGVLVAGYSPSMAYHRVEIAHPFEDGYITHILPEIEGWIAYDGVKLVERVSVEDKVRQYR -QRIPQRYLGKFVDDFRCCLRIEINEILSKPGLPCLKYQQDVLMQEREKNADSGVVVHWMP -TSILKTISHTPAESVPTHDSNVQSIKEGTVKSIGTLTEPMVDETNQTEPQSNDKEIQKDP -EQNASYVEAMAIVEERVCPSDSHETKYIKGNNKAEDSDKALKAGLKHDVDLRGWHETFFT -KLFGRLHPANKRKNLFYKKASSLKLKVDVEAYWYLVAKLMFVPRDTKFTRTASLEYDKYM -KKFDLTLYTMEERALMKARVVAAIIPPIPETMTLIPSAISNGSKIRKFNQAMRGHMRWKG -REVKFE ->YP_009337187.1 hypothetical protein 1 [Sanxia tombus-like virus 5] -MCIRITMEKINPTKSVRRAGANVTYQRNLQRLTLSPPAKLGVPLGQGPIPFSRLGAVLNS -GFLPPADMLQSVSPKRDKPSFKKDTSVQPQATHTDVTAQKKMRKVVITYAAKPAPPPPAP -IVTVPAAKPNLATEHMETRLAGHRQHVVKGRTRDAYCNNIIDKADIEKPVLHTEELIYDV -KLLKPSFKAIVRTGVARLLRAHGREAIAVEAELLGHLQLEAMFQKRSAKLLLLLKTKAIQ -WLKNFSKIILKTTEIVALVGRTVAAAMCVHEVEEEARQLLGDQAETLNRAKHTDFLHGKC -KSGLFQSRLAGDD ->YP_009337180.1 hypothetical protein 2 [Hubei diptera virus 11] -MSKKLAVKPKTYRTTTTLQPIPIPSVDDLNQSLSPITLIDFLHKVKNWKYTLNDLVPDNC -LSSNDLFRKVTEKIRVHSEEDLEALKNYHKNWEYTKLKNSLNPITFACYYYLTITSENRH -IYNGEYLITHIEEYLQKSPKFNLDEIIIYCYSLGLTDPHKITIKIKEFVEQRKDHDSKTY -LPVLEEALDYLTKSSDSLNSSLHIAEPLLCIGEVQDTSTHVVSPQKDSIKESYNEDYHTR -LDDLGFSSVSTPITSTLYPDIPRYPPPYQNTKDPPTAPNTDDCPIVKLPNEPCPQTLLSF -SLKPSIISCLLFGNINAAPHPPQGITWEYIEKYCTIPYLLNRLISVPELIPDPPGPLVAL -QKRYMAELTKTLTSGVKQEQESDFNSLKEVVEKLNRIANDLNNQRISDSVQPILPQEITV -RPVFVPAEQRPQVTQSAQPPRSFKPKMG ->YP_009337179.1 hypothetical protein 1 [Hubei diptera virus 11] -MNIPISSTVVNKKIFTQEHQYLNNLVVVSDKNYENLRDSETIVPFLGVALLTKDIYVSEA -TAATLPDYFTVLTDPIDTNVIIKTSEKMVMLPLPGLVRLYNDFVSSKTSIPIKPSVCGTW -AWYFLIYKEFQPIYSAYRSNPDYEIETDPEIIDAVTESCPPVVENPYMVQEELRMTCHLI -LLSLFKNFTDESHVSEXRNKRMSSVKAAMKTQHSYQGRGVSLEAIKSFSDDLQFYPKLKR -TLFMFAYNLRSRYPELNLAVQLLNETYNATVSECLRFISGPKYMAHINPTILDEIKRFME -AVKGAKAKSGELWPWHKIIRYEDDSLVTSKFPNLASAAIMRRKLDSSTGSFARFKHNESL -GVLPDMQKLVSTPLPPHMLSAGSGTSYTEAQNLQAIELGIDLKASQPAPNHSAFSMDALV -QAYLAQRQN ->YP_009337177.1 hypothetical protein 4 [Hubei picorna-like virus 71] -MASACKNCSPICQGVRNCVTTTIESLRTNLTALSFVSPTSMQAITALRDSLLVAKGCIES -SDYGRARFVLTAGTPTAKELSGLPSNTPFIRALAVAIGRSYSAIQTNAIDTLQKICPNEQ -HHHIMYQPNDDPLSCTPWKFRRLIQAGTVDRATADVFEGFENIFLLHEDPGYEGHHNITD -TLRTCHGTHDRCLYCVLGYKHCYASRSHFVRVCGNDECAGCYFIEHHVLGRDVNHTRMIQ -FYKFAQMYHFYANRHYQIDEDKMPIPEVNTYSYPLFTYRHTRTLYTTESQDRMIENAMNE -DGEIEWHRLAESI ->YP_009337176.1 hypothetical protein 3 [Hubei picorna-like virus 71] -MNTKTLQWHPRARIVRQSVRGYVTVSPLPLNHSERTLQLCPLCLQQVCKLLQHSETLYLL -QKGALNRAIMVELGSYLPLEPQRPRNCQGYLAILPLYAHLPLQSDDHTVPFRRMLSTHFR -KYVPMNSIITLCTNPMMTHFHAHLGNSDDSSRLEQWIEQQQMCLKDSRTFSYYMKIQDMK -AITISQIPSALATGPTIDAYTVSSDINTAMQAAATLLEFAETMNAQAATSSNTMCLEETS -TTQE ->YP_009337173.1 hypothetical protein 3 [Changjiang crawfish virus 7] -MVKTKGTKGKGKSAKRVAKRPAMRVRGPEGHEARLARLIMDPCGAELTTGYALATEGLVQ -RFNRFITPVATTETNFAYIFNPLSHAAESIVQKLSTGTGAATNISSTAPGEAYLDANADA -VSTVAACMQILYTGKLVDRKGYIGVCQAPWFVMNDIATGTTDLPTLLSYCQAIQPVGSET -LEIKFSPTIRSLLGQTANVETSGGIDNVLMVVAIGVDPNQFVVKFTSVYEYVPKFALGAP -APRATKTYIPGAPERIVSTLDRLGHWWHNAGNAAAAAYRLGGQMVYGAGQAARLVSATVN -TARTLRSAAVPLLALTG ->YP_009337171.1 hypothetical protein 1 [Changjiang crawfish virus 7] -MVLLELSAALLAAKWLAGGGAAGYGGYKVTQYAMSIPEVALDEHTHGHGAWFEHHDERLD -VRFAADAGMPPGHTHETVMDGEGALADAMHRQGAAAGLGAGEHQVGTAFIRYWVSQLRVE -FPARLDRPSDRAAMSKWLAGKLRARGVRITHMANAIPKCVALALNRSRAEVEAEEIAEAA -AIRTRGERWAYNLRRYLRVRGARQPTGSI ->YP_009337167.1 hypothetical protein [Wenling narna-like virus 7] -MVTHHAREELAQGVGHPPRCESELSLQRPFLGPEEDAQFTLKFHREVLDSFQTSQKVKPG -WRCAPLADREGRRGNPDVRVFSGHSLPGYRPADPFPAARGPLEVLPLAVQKPCCEAVSQP -LGQRHAAHRSSQRRQAISPDYQRQGRRESSLPRPAPNARVEDAKYSPNSHRGAKIHGSLH -RCRQRSPGRSKAAILGRVKRSDQPPKGYAADGGELCLNPIPLAHGRLPRRPGNREEVCER -CSPRFRRIHETVFLLLPRDLKDLFGEKNVVSGSVMFTDRELRSAGFRHFLVSVGHFWWPD -RRKVVATDDRPGRRCRLGGQGNVLEGETPRRGPGRLGPKEVNEIKQGPRRREAHHDPPPD -RETVAIRQTSIQGTCQFSEDLLNLCRWRLSRTGEETIDKGRDQVLREQICSGSQVGGEAD -VLLLRRLLTVNPLGLSPEGPRVIPRQDALHAPVFPETTE ->YP_009337157.1 hypothetical protein 1 [Wenling tombus-like virus 1] -MTQPRPSHTNNSNKTMRCYRCNEEGHIARDCVPQRRRRGGRGSGQAQRAVRASDERSRHV -HSCVKCCGLYSHIHPYNHEDHEQRPYQCPYPTCEWYIGSDTAEEYRTKPQMLEPIPTPTP -TAPPTPEPVDPLVGPPIDEEPADESTPKGFSSFNDLKDHLYAYLTKQSAWTERTVLNRRS -MVQRAETWLVSKKVESEVLRTQVIQAVIDTVDIMNPLEVAVTSAAQNVEWRNQHIAANRA -AAGWLYQPPAVGWSLFTKFLIITLLFMTSIIAVCLIGPGVVANSIASLAGHAVAKTVSIN -ISFLLANAAIMAWRGRERAPHETGNSAVFTSGNMGR ->YP_009337156.1 hypothetical protein 2 [Changjiang tombus-like virus 12] -MVKRRGSKRKTNAPRMRNAPLAVRAPRPRMVSNEEKLLKLIMDPCGAEMAHGYALSTEGV -VQRFTQYFTPTATTETSFAYAINPAYYGPGGVNQVLGTGTGAIGTAISAPLPGQTFVDAN -ADTVSTLAACVEVLYTGTVVNRKGYIAVAQGPSEQIYSTITTPTVTFNGLVTLSNAVAPV -PSAAVSVKWTPPVANFIGSASAIETDSHYQSNSILVTAVGVNPNDFVVRVTVVYEYAPKV -GLGMPLARATRVTPVGVGERITSTLDRMGHWWHNLGDAAAAASRLGNRVVYGAGQVAGFT -RGLLRTTEAATTLLALAG ->YP_009337151.1 hypothetical protein [Changjiang hepe-like virus 1] -MPQTRSEHSSNITWTPSSGQKPASPSSHQAPVLRVGGARISRVKSTASSRTCSICAAHCR -KSLTNSKHHHENSLTLNDRSPPSNSRRLIFCDAPNCWLFVFHSLCRACMMFPTQTVRDRF -RRLLAARQLHKISYCCCARNQNSFRQIGPHRYTNIAIQNPISFINHVVDYFL ->YP_009337150.1 hypothetical protein [Changjiang hepe-like virus 1] -MVRSNRRPPTPKVLDWGHHQITIAVDDMKVEGTGADAINYYSMGIKANSLFDSNKCPTRH -GKTPATAVIVAASIHAIFGNTSDTQGTIGAILTDDHQLTGDTNNITDSAGVRGHLLSSMK -IHPGRSNNTVREVSSPNGYLCSASSKKYDGRAYAVIVRPDVQFTNDSLAASGRDQVAFTF -YGYTPAALSSCIFNVQFVVRYMGINVQKNNTTTRIAYDLPYDAENLSANFINDAFKTLRF -DHLYDDGSGKLASSKVLGVVFFNNDDDVSSFQLITDPNELIVKLGERFVFPKPLPSGRYA -SVPNRFLRVKNVSEDGNAWYSHHDTLTTSLPIMLKDVYERVTMLTPDNRTAAEVLTSCVN -GTSQLLVTGATYVPAIKYTLDAANTISAFRSLSEQSLTPLELIHELCGRYAQHLETLNSV -LNSGKIAGGILQTVQAIHRVINGPLVQLDPRSTDLLFSACSQEEASEHPQN ->YP_009337149.1 hypothetical protein [Changjiang hepe-like virus 1] -MSRELLQRFGSAEKMRSQSQTQQQTRSGRSQLDDYWATPVGFHPVYAVTRLDPTGGLQLE -ILAKLDEIANKTSIAEVDLAPVLQKQQQLIDEVSRSTDNNTASSAALLETFNSHTQAITN -EIRNAADRIVNALGISERLYHGSATLRTNGREWVFIREILVNYGHIGTLSLSAWFAQQFG -GQTTTKPFAIFIKAFGGSAGASTRTQFVASPLAFADAGVDVPCFAEHYQIVPTGTSISRL -CDDWVVQARTDLNYDFQSISLDFRIQF ->YP_009337148.1 hypothetical protein [Changjiang hepe-like virus 1] -MTNFQPRAHTLEVLQTNSEHTNQQFDVSNANLQRVLDQFIINNEKLSQLNQQLATALENQ -TIIINKFTEQLLKTDSLIQNQQSSIEHQYNIQKSLEAVQQTVTQTNGDVSRIATTVRLGG -KNALAVTNTAADPIWTIPAG ->YP_009337143.1 hypothetical protein [Wenzhou picorna-like virus 54] -MQMPMNLAVSILAQSPTGGNISEGLDESCFVKAGYNYIPAGTQDSYVPQLLLTSQVYARA -LWMAAGHIPAPYDGNYIIPTTSDQTRELVQVDSGPAINVPESNLQVFDVYNIGSNNKKGI -LVGSEFFQATEEKADVPILVEGRAESSTGYDEFEEVELVEGCCQSKREQYPPAVSHSSHH -VAAFEVDMPELVESEDEEGEGDDDSKPPDQTSDADSDNDVFHNAESSVSEVMACLLEGEA -KDVPDGDTAFSEGVLQMEAEPNNSRRAVRNALRAQAPMEEESRQDSDKEAERKRKTDDLC -SRIMTYVYGVKDKFYDSAKKTAKAFRDFWDSPSKHVEAMVSKFITVAMKTTSDLKAKVAE -TVMNPMAAFKNFFLEYLKKVPTFLLVLCVVFVVSELLNTDYMKTTILPLILTPFAKLIGA -ICGKLPVDDVDTYNGWFWDSFRNVRARFSKCYLWCAKKLGKYSDDQFGEFMVDTEADKVP -DDYVEDTDEKESFGTRLSHWVAVIVDSFSLNTLQKYFGRAFSTAAASLSLMVWMEKRCSS -VSRMMDFFGRIAPVWYTYVSNLMCKKHSAALSPIHDDLEFIDQCLHSGIDSPFMKDCKQI -LSRHIRNVANFFNHYGHTLVLSDKTFLTRILTDARRLESQCPADSSSGDLRVKPVMLVLC -GPPGIGKSTFITWLLSRFVSCAKDIARLNIGKDTRIERNMYDGQSIAEISEIFPMDDPQS -RTDQIDFIMKYVETTPFTCNSAFDKGLVQFHAIFTATTTNYNWTKVISEVTDYGALLRRL -LIFKLKLKDGYRRLDGTIKHDPVNYSHLEVAPVVDALPYVGNPKSVPGSDKKFSYPGTSS -NSPVRVGLETGVDQVALGNGVFCRPNMEAKELVDVVTRMIDANTRAHNVAVAIMREQSDP -TIEPFLKDLDSLFCDSVVLRRSIIVKAIVKRVVVQYIECHPDCTTPTVAEVLRFLAGETR -FKCFLGLNEGNTANERQRAGSISLKVVQSPHFKDESTNWALIEQIIGNLTKEEFSFCMKK -LREMDYNGDLKIDYQVSHFKHDQVIRHLAEQKDQIFIKKYLLRGCLAPTLDPDDFKMELV -EDSDDFWYLVGKSPNTNKWRKVKQDSAFWARFSEKVNSFAALVSDGSYDELPLAKPAGAE -SLIPAKDAVVTVRKRPLFNPFCHGFWCVDADKCDCKRAKADGFGFTLLSLGAAAKDHPLL -TIGAGFLAFRTAMNFMQTCKSVTDVISASLQTTVQVDAGLTPQRRAFAGSQLETDVPGRI -HRKRSDGSFGERLEFVFMFNDPDLTIDKLHQVLEDYLHEGIDDGSITRCAFDIIDEFADQ -SYKTREEVCESFQVFDVASQSIVRRGYFPINILGEPVCVGHLAAYVRGAIRWYEQDQREF -RNYMSSIEEQSGDLQSKSNKNRKRRLVQAKKKQHVPLVKGPCQGKTENVEMKEHERQRLF -IMQEGVVQVRIKPFGAGSFQTAYGFNCGMRQIMLNLHLTASDDCIMTTKRKYNPMGDSME -IIMVDERNRDTILTVDHTQLTPLRSLNGKVEVDVALMNLGNGPGLRKQVKLFAKREMFED -CIEFDDVMLLVGQRDPIKIGKVMIKPDHTTAMKKDEGSSLRYVHSGVLLYKNTGFDMTGY -CGCVYVAKRQGNWWIIGVHVGLQTDLRRFGLEKGDWQCGVLLCQDMLESAFPVETPKVAK -EADIPIYPEHDDDGKPWYPDSAIVNDRAFCSNVSMTKSFKEAYEGKQPVSQYAGQYGKPR -VVNQKSGIVDSPVAHLTGTRHLYGVAYQGEKVKQGFPSTLEMLNAGLDRSTYDGPNMSGA -AYKFVNNKLRSVILENPPSGPIKSFVTLSEVINGGWAVGQTVDPAAVLTSVTERRSGSLR -FNGIDASASAGIPGRGLRQVDFLYEVEDEDGETLRMLDPTTSNGRMAIKLLKRILQMFRE -RKSTWMVFNVVLKDEALPMSKIKPWLKEIFPEEYAAKGGKTRVIMCMVFCFNLALKIHLL -PVVTYLKALSLKIHLVTGRDPYSPDYQTVINRLYTGIQGGDKTFFAADVSDQEKRIDPST -YGGFTDLVEMVYDIQSKIWCHKRGEQWENFRNQQRKHPDWFSDDSRVAAISSCLPSGNLI -GSSVYYMCLRNQSGCYLTSMISTYYTLVSEIYTLQKAYGLARSLVSMSRFADNHDLTLYD -PCEKRNFLACGDDQIARYSDELLDLFADANRLLVELPGNVRSQFPVPVELDREASNQRQA -GSYVQACTLFFCGLPLVDPDTGGNCTFTSRAKATFLGNHITVNPELTALVAARGLRVSSF -AVLRDVSRQKCLNFLRVSADDDPIAPLCQNFNTVLELTFTSGREAFDLCRNDLIDMMQHI -DVEFPLVTFEQCLERFVSKDYVLGDENNVFDLH ->YP_009337142.1 hypothetical protein 2 [Beihai weivirus-like virus 15] -MPGKKQRQRSQGQGKKGAGKGQPPQKKKPSGRPQRGQKAAPAQDALHGHIYSPWDPRPVP -SIQYEGPATSLTTRKVSFASPTTATSVVVCIATTGHSATIGLTMTDAATPFVNTLDGNFA -SGSATAGGPTSGRSQKIGCRVTNVTRAYDVEGEVYVLSTNRRMELAAAPSAMTQAQVVAL -MDTIREHPDTKLMGAEDFRTGKSFYAVPRDTGDYHEYAGWLGTETADEYGQHVAAWPTGA -TGRMPMSVIWMVFQQTSLATNKYRVDGMGTYYARWPLDHVASTLSKPVPVAPQAALAKAT -QVGSTLGAIGHTLESVAEGAMGLGRGLGRAYSAATAWYGATQALAGNARLLALGA ->YP_009337140.1 hypothetical protein 1 [Beihai weivirus-like virus 15] -MWLWRACSRDSREMEASVECARFVTEAPLTRWLTWMGISVWSTTCGPTASVVLWRIGLRS -PAVIRHVARLVGFCTLRWKMRRLSSTTTPVRPMRALPPPLSTAYGGSGAPRSQLRCCVCC -LRSLTQSRLTVPIGMLCSTCGRRMAQAWSQRNAHLAAKDCVMELSSDPPVGGFADLPVWV -NRRILDLRGPTEEEVWEWVVQNSAPTRRPPMGRRTRAPPPPDPYGHLEGPVRVAALIRDR -EEQAAARRRQPGPLMRLQEKEREAQSSSKADLLARGYAPLRGPGA ->YP_009337136.1 putative X protein [Hubei diptera virus 9] -MERNTAGMFFILALVIPLIHGKVLTLTCNATNPDIEQYNEVYTEYIGVVNLTTPFRLTQS -VTVTSQFNESHNIVYNQICDVGDWTFWQESLISPSEIKDVTKRIIAKVYLGLSSIQEATP -HNKEPSKFPEANLSSETLPSPDEEEANLDQAISHPSLFQRSLLKLQGTQARGVLAEIDRD -LRSMDWSDDDPNLPDNWNPSKSLYSRRVIRAIQTAKDRSAKKTLRKNGPLVPGDYADYLQ -TLKKRLYSLEVRFNSTLILFYTAVNNGIFEHSSHCKYLNSFKHVIRCLHVNYNRLAGSTG -WELILTLFGELVDKEVKLTFETRLYDDFHTLIKKNSN ->YP_009337135.1 hypothetical protein 2 [Hubei diptera virus 9] -MKKINMENNNTTKMNKKTPNKSQSKTRSTKQREINPPLLQQFGPNTPQDPKKWFDEAQSR -PGEMLENVMKTLAKDGPNPEAEIREEDSGFLNPNIPTGIIDDPIECMAVGAAALHLSEFP -TTSKRGREVNTYKTAETHQYVTADLIENKFQNGQSYDSDNFLMIFKKLKTNMGDTADKYF -KLTEGEGEWLITLKNPYPSPELKRQRQTECIPTSSKQTKVIFPDENTNQGASETEIVNPL -LESYLKFLTPGLTLKSREYGLGSLKISLGSFSMREEDVRAYFVGKEIPTTFRAFVYTLAK -CQPKIIRVLNKYIVPLNVKSTD ->YP_009337131.1 putative capsid protein [Hubei hepe-like virus 3] -MSGRFQNRNRSISMRGGGAKRTYNQRRPKPAPKRKQSLKGSFNQPRRGETIISGRMYVGP -LTAAGLAISVGPNNQQFAGEKLVTNALTYNQYRPLSATVMYQPLVNQTQGQAIINTWMTS -DSQEGIPADDTVVKRTMTSAKGTSWFSTQSRNYKAVLPQTQFNSYPTGANGGTTYQDACR -IIIKPTSADTAITNYGDVYLMLTIAVSSPVPPPTTSSFANSITIQKEVWLTGISTPVING -LILTKYSTRLGVATATTTNIFDLANLTGSEDLTVPSVKILDAVAYYFTEKTATATPTYQT -TQMGTNYQWDTTQNTWRTIALMYQQRLPVMTQVVNNVVPSDNQTIWDIARQVAQRITARA -VPITNNVDDEGGEIPLEINVQNQPIQVKNEVNEQEETQPLLVDVNNQPTVSVKNVLNQEN -NYEPLLVTQDQNDPMKVLIEQQPVQVYQQRTTSDWIEDIASTIGKILLADSEQSHSSESP ->YP_009337132.1 hypothetical protein [Hubei hepe-like virus 3] -MSQPTGYIRTDAVENGKVFKSLDLIVDSLDKIYQTLTSINNNITPNPNNNNIVFISENTT -PDVYITGTAYNYESGTTISYDNDKWTYTTYADETHVENNFNTNILYFASIGYLSPMINGG -NHLMIVLENIVKAEGNYTPARHSTVLTKGNYALFTITDGGLTFHKTGYINITDIHI ->YP_009337129.1 hypothetical protein [Beihai weivirus-like virus 1] -MTRSRAARRPQRGNAAQAAPKRQPAPRPAPRRAGARRQRRGGNARGRGVDLFDPRNTMLV -PSVVSEGMAFPMGGSAVRPFNAGTTYRSLIAVTNTGSSGSILSWLQNAATPSTGVDTIPL -LSLADDAGGPTAGRAMKAGITIVNRTQNLNKGGQVTVLNAVQRVLLPAAPSALTQAQWDT -FLSKVVSHPSSTIYDGTDFAKPKTFICHPLDQTDYLKYGGWHGTDTLDEFWSHIAIWPGH -NPDSRPMSTIFVVIEQPAVQNAYELKARARYYTRWPLDSVGGQAQGVVPTASANVVNAAR -DRAEATAHIPREGAVAAAGAAVMGGLGWVARGARAGAAAIEGAGALMEPLLPMAAML ->YP_009337125.1 hypothetical protein 2 [Changjiang sobemo-like virus 2] -MYKLHVKNNGLDTTIFNPNCSSENLMSSAREQKQNKKNAYPDEYDNLPDSSFPYFDNYTG -PYWSDGKFQSSVNNGQSKPLSKLDWHSRDHDACFADGKDIAYCDDVYYNNTRDLDLRGRL -IGIIPKLAHGGFSIFGQSGRVGGVADKMTRPTPAQSAGGHPSGPTSYSGVPTDSLKPIGG -VYDGIGLVAKPSMPNSFPKHPDFGESTLLQTTYAPETQEPKVIDRQELSENPLNLNPTRD -DQVGYTYESKGRYNSQKTWQKPRKHKNQRKRRNKRKNKK ->YP_009337123.1 hypothetical protein 2 [Changjiang tombus-like virus 1] -MARKRTRSSQSSDESGSLISRNRQPPRPRVRGSLGTNTILRGVEIGGSLVTDANGSAAGV -YPLIAGSLTGLTNSPINNIAKYYNSFVYQSAVMHYIPAVGLTTPGQVTAVFINNTEWMSY -ALDGTRTVTELGTLALSQSNAATHAVWHEFSYAMNLPSRRKRFDVNSTLPTATVDVVERD -CQGVFILFVSGAPANTTISVPRRSVTLQVEGMSGFFP ->YP_009337119.1 hypothetical protein [Hubei rhabdo-like virus 7] -MSEQRQKILFDKLKAYVGSASPESTVYASYPGFLLRYTLEEKKFLSFLLNCWQGEEELAT -LLANGELFLLREWRTELLDALKGKFGVLPVKTIKTPELSPKVYSTIDELFSLYEREEEDD -DEDQILNISDFLKFLGREKVEDILDIPEDLSEQNVHSFAREVFEKLCDDFFPESRKYETG -IYTSVSTAIDKYFETNKTEFEPSQIKTDLSTEINSLARKIDIMVDQLNILSQRGEHITTE -KLPIIVPKKEKEREKEEAKIVPHSSNPTPSTSASIGPLDLTKIEFF ->YP_009337112.1 hypothetical protein [Hubei tombus-like virus 28] -MSVYTGYYTPNNTTWYQRIGEWVRDAMNYNPELKRHEDKVEELKLKITENQNRLLEAELD -QHAKALELDAMKVDHKEGLLAHLKFYTLNKKIDTKYIDYVTRLAEAYFESMKIKNPRLKC -ELMDEVLPIHIKQRLSTMPLLMKDDRTIASANYISELIDGRKVSYPWWNLWQPTYARLGG -SGNENADYLVPTQPNFWKYGMIVTGSVAIALGGKWIISRASQNLTDGITNLLAQKAQQLP -STTVITQSLSKDMIEIASKGCTNIYEGMLWIWNSGHVSNILPAFGQQASDESIRMIMTSF ->YP_009337110.1 hypothetical protein 4 [Wenzhou crab virus 5] -MTKDLSAVPSTSGPPKEDPAVSDVSSKAAAKDVESIIPAAVTGAAAEQPAGVPDKVPLLP -EGTKNVPPPAPPPALTTDLPTTEPVGGPSAPLVATEASPVAESVRMLVGAARHAVPLSLG -ANLQYRATLAWRYDRSVVQAILARDEVQRYPVLPVMGIYRYAYGYADQANPDRIIPHNSY -DLDWRWRAKYEDTLPRHPLTLQPNIQKAITESTDDIAAFTVVTRARGWYFEGKTFLSLGT -IFKNRQVERGYSTMAPILKLMGYQLSLVAPADDGLLGCASIDAASAGQWGPNWFPGHPAS -GAGLQPCYGSLISLIKFRDWMTGQQQLNVGVPGALEPITPAMIGTRVTIVPLPLAYIQCA -AIVVWYWISKLYYPLKNRFREGPVRDWEGDLLHQDNAGNWVPWNNQWYPISSLTSIEGSV -IPTAANQPPTAVLFVLFEEYPQDGAITLTLPTAAAPVNLVLGGAFQDVSPVLDHRFQQGV -ATELPAISDALSILFSYEAGSIDLADCVRFWQCQGNVLPTLSEGSDNDWESIIGPEGADH -RGWVDGYHLLVSTARNRRAPPYVPTNMVAAWPGVAFLDANPPVAATSSYHWDIPIHDQVI -ALGVVTRILAPTETPPREVDPPQPELLLPWVMVGGHQLGVATDLLLTSNGVSRAMLYYVA -RGMPPAHTVSTMSDQQDMVWGRPGKPGAEGAAGMGPAISSMLFGSRVLAWHPCNGIVQAM -PQAQVPNAYIGGHTVILGRYDTPTLAQFSSAVTVSTDESTDLTDLTYTPVLRDGNRVIKD -LYTRLPDPSLSDSWTKAWDIPRRALDGLMANREGLGRYRTLRINKLDAPNQMAQLPGTVS -GPLTWGSLLAYPGDPSATIAFSPEPWTLTFQRPVGPYDPTDATDLGLVVRDRTWAYTKDV -YARFKPGYYLSPLGTKWATYAADSKSYVRRRRFVVPTV ->YP_009337109.1 hypothetical protein 3 [Wenzhou crab virus 5] -MLARARMMTPQKLAVCLPVGQSLYGWYSSMLAVSWGTHLRRWPQNSGGPPFYLRTMTLEP -SSAIYTMSRYFVCSSDEEELNEQYELQLAGSSQSDANSCAEGSTAGFCGLQSVSAQSVPD -VDSSEPACVCRCFSTPHGPTCYVTRGGVRALVEATAGIFSEHTGRSTRTRVILGALGATV -LGAAAGAVTYYSLTTSGGTDSLSESGREALGDAVAQVSLNDLPIVHPESTSPPSADGSEV -SAWDNQYD ->YP_009337108.1 hypothetical protein 2 [Wenzhou crab virus 5] -MCEPPLQPSLYGVGMRLRLGFLLMNLAHDPLLPRSYPSHGCGGTAGCGGARPRPDRTQQG -QATDLAHNLSHRLVEASERHRGNLAFGFMRRLAIIVRGGLPNARSADPPDGQERAGSSGE -GRRGASSGSKPDGPPGAKRESRLWERARTCVSRITSEEAAYLFLAKAEGQGEVWYQAVAS -LLAVRYPNRTFNNASKGTDDDSTEASCLLACGPVSIRLVFIDACCELGHTPSQVASELRW -TTVLPEDHDPGAFQCDIHNE ->YP_009337107.1 hypothetical protein 1 [Wenzhou crab virus 5] -MEAQSSIVSHAPRGLATADGAARQIPPEEGSNLVNRNEPGEAAKTASKPLTPSEGKGSQP -EASKRSPRLGVSGLESSPQGPSGWSAESAHSWAPSVVGEGGAVWVQELPPKAALPPLVVD -GVVSEPIEVGNLDVSSLHMTQTQASFIAAHGLNPVSKGQWKRMQARLLSLSQALGPVAYP -SGGTGAAFFSSGGVWYLVGVRVTLVIQGTTSKLVPRATLPLPSGYTLDVLHVCALLWLKA -SLMEGLLQRYVEAGAAGIIGQRPQKPRGSHGHKAVHQGEKLRAKAARAEADNQKEGKRQR -FPPPLYMAYRVVKGREAPGAHLLPEDFTILTTLTGYYHAGQITASAVNDCSTVSQVIGLM -EKTTSPLSGRESLIQGTAGPGCKAASQSAPSVASVTRSKEGSHPQHIFTTQDVLAGQSAF -TPPPPASLSPTKAARTQGECVQQWRETMRVACDTAAVAVPGRERRYLVATVSDHHVQQLG -PGAARNAASVFLELSAALTEAADEFEAAERQLAGSHHSPSSSRTGEAGDVDPVWLEWITG -RMFTYYRDHEGCIKWSQDGDLLTGTLAALPSMSVVASTSQSCMMQLASALLKKLGYVRTP -PTTEFVRCWDEIKAWLSIDEPRS ->YP_009337106.1 hypothetical protein 3 [Hubei picorna-like virus 69] -MPCENYKQIVFSVLDGLQKSYNTLKIYSEIEPFIGPVQSLIKISKQQIMEGFFDEARLTL -LKTVSIAAKIASFRVIDTFITTLTTGHLKILRSLAQNGIDFLKKYCPNLQEGLEQFFTEE -RLNDFVVVEKQMIQTPKIPQLERFSDPYYDQWDSLPRLRDISTLLYHVHLQPELPAVSVQ -DIIEPEEPPAVPSRDLASIAHNVLTGVDLLNPDPLTEYEPEPPEIEIKLEWPNLMTQEFQ -FPCFCDEEDDVDEDDRPHALLQFYYDLFSDFFTDMQYFFPTIEKEHNLFLIYLLSVEQWT -LASIVSGLDSYTVDKLLNAEIHLPNCIMNHVLTPAHINMWMTLVNEYSMPWRHVWKAVHI -ACENSEFYYDDIDAYISIPPCKFSYYNDEELPWYVAACKKIMSTQFTIQDVDDVMIQTRS -SYRMPIET ->YP_009337102.1 hypothetical protein 1 [Beihai weivirus-like virus 13] -MYSVKLSPAQSFMYSLCNFWGLFDTFTQYGEEVPAEEEARRPESSHPFKGNTRVSPGSGR -CSTQSVWCREVFSSQGVGHVNASAYDGGLERSAAHAFGLATSRWSLPGDTHNDAAHHKRC -SCHLCASDEGRIDDIEWASCMVPCMWFGGRCARTDQRRSRHEDDQHATRWDWVGGRHRSG -GVDGSGHEQSVLATGRRFVHHGASQSAAGFGRRDPYMGGFHTRVQFVFQATTFDRCEVGI -AWSDLQRSAYQYERVQ ->YP_009337095.1 hypothetical protein 1 [Hubei tombus-like virus 13] -MVIGEQRCLYWGDCTRDSGYRVTPSVALAKFDDVKRPGREVCVHCGGVEFVCCKNPNIVS -DQVSRRRTSVACLNCEAVHQVGPNNFILYDAKWQDDCRCQCDRFVGRSWGTQSTRCHSAR -HQKANPKLGSKTRRAGGNSKKIIRESREVNKPFRDTPKKPGMMKVTNGNGPALKVITGPP -AIKETIDDICKLHAGQWTTHRNKRRVVLFGKGSYTYSDTVLRALEKPGWLLALEKVVKAR -LQDPLRNLWIEGQFDTALVNHYPKGVGIPPHNDAEEEIDQSAHVVSYSIGDTVRFSVKKG -KATPVSTLLHDGEIAVMESGFQADYTHWAEGPLNERVSVTWRKLLPSGEDILENPSGGVP -ESTPPTPPVGVRCDEEVEALFAKVDKETEEFYERRRLSREEKEAQESFINAWCHEAQEQE -EFTSKPEVIQNIMKHWCLDSLCYRACEKRHSCPTRFAKYAIDFGVEVALKATKDPQVSHG -ALEGDCSQGNPSRKADGGSVEDREREERERRRVRDREREEREERERRERDGVERLRGCPV -RVQEPVGEEQTPDYTSVGVPEEDEGMGVAFGGEIKAGWFGNIGRACVRVRQSFNNKLCNL -YGLLGAKREVHVLPRVAGEHGGAVQGTEALQLENLRPGPVRQLQSATDVGCVQRAVPELQ -HRAPLGREIVSERKVDAEGTLRNDRRVVSETKPAKEAIRQEYPPLAVNTRPTQSRQCSTV -HREEHDEQAASRGVLGSAQVETGKGHAKGAEKEKKPIAQEVMESMVPRAGKKILPRNYKE -ELNAFLVQQCAFGGRTVSDTYVLNKKAIDWLEQFDLEASGITSAEQFKLVTLEASTNAIL -DFEQAKKLNDRMFEVADYLQLINGFLRKGNAFGCVVPMWALAIVFCCLWFVSWYGVYGTI -KAVWIPLDYVRYNNNRVLVAYVTACACDCLLAVIGVWALKAFLRCIGKCGLRRFRCRFNN -PLLERYGRKTYTKRWTVTARHRVVACSCGPLHQRWMDGEASCPRRTMCGGCFACTEAPVV -GWRNWFKRPEYDDVRQEDELLYDYGNCPGEGHEFLFEDGERVAGQDRMEYCINWDEMYED -GEPMDIECEAPCTRKGRICGEVRILNWEFPAWCERDIEEQCKERVDNARIRVDKVYLGSR -DFSKWLVIPTQWCLPNDGLIWLLVALFGVYKILEYSNYVGFMHYVYALYSTCEYTGVQEW -AHGVLGETSPWESLREAGYEDWMKGWLGIEWVHVAPLIDRISWSSHACIAIVSGWSAALE -IAALRRALTFTKQIASPN ->YP_009337092.1 hypothetical protein 1 [Changjiang tombus-like virus 16] -MATFDLGAAERQLDGAIGVISKGFKLGVMVCGVCTAGYLAYRYVPRLWRLMEVMDHSLTG -KERAMELQSKAVEYVPDEDTDEEEADVGAEDNDAPPGLGRRGPAARRRARKEKHTGMDGV -PLTNYYASIVSEARVHYGYKGYSSYNAALARAYMVRLMTEHNVRKAHMLENLEDMVNAVF -AVSAVDRRAQLEREAGLLFGWMRRAQNC ->YP_009337084.1 hypothetical protein 3 [Changjiang sobemo-like virus 1] -MRQTMVKKVKANKKKALAVKSSSSKRKNRRMKTKMGIMRTEGKLMKAAQLIADPCNGPLG -YGVGDGYNGAVSERQRTTIVSPSNNASNVSGYIVWFPSYHGATGVGGNANLYYFETATPA -VAPVNTIANPMGTTVNTSGTFLADPTSASISAGGAFTRAKCLSACVQMAHIGTLQTTAGQ -ICTVRNLSLAAFNTNYGTVSPFQPMSVDQLFGYAHERQRFNIDGHEVVWRPADNSSVLRS -NGADPAIATYEPDVLFIQGNPTVNTTSSAVMDPSSVMGIAIGFRGLSPGLSSTMFNFVKC -SELELAPRNNLMEEMPRPVPGPRYSISQVTDYLDSVDPSWQSHLMSKLGGAATAAVKTFA -PTIVDMFKTKLYIKDGSL ->YP_009337079.1 hypothetical protein 3 [Hubei tombus-like virus 15] -MTRSRSTRGNSRKSAPARTSDRAQNRNNMQSNNQARTPLLPVGVNNKATMPWNSSEYHVQ -GEEVISVLNVASGSTAGQTVFNALITPQSARRLGILSNCWQRIDWKTCSLNLVALNGSTV -TSGYTMGWVEDPEAPVPDTASELISYLTALRATTVRQNWVQSEAGCQVNTPDKPEMYTQL -GSDVRRYSPGRLVIVVAGDVSSACTFQLMLRYRVRLYVPFAGVNAVDPGVPGLRGTWPTA -TNVSVSTTTLTYPGLGTGFVPGTTRTCTTPLAVMEAAAPSPSVPVRIFPRGTRVTLNPLG -TTGGSVSVGIEGATYWVAIGQITSGQFRAFTPLEIPVTGLTNATTLVWT ->YP_009337077.1 hypothetical protein 1 [Hubei tombus-like virus 15] -MNKSSTGVSTSSLGHGKGPGQGSHRVREAGANRNKRCSLGVKSGGKTTNTTGHPPAAGWI -HPQPAANAAAKAAATDPNKIRVKERTTGNGAKQGSQQSLLSKHRWSKEDLRAAAARTLRA -RVKQLEGELEALRKQFKQSSTGKSGGSTPKRNVDKPGSKVGGKQSNQVPKSSPPNKKKGD -RRTSGRPEDRAPPAPQEQSRGDRTRKRHTAPAPPVPPGPSSAMPAKVSRGTGTAPPAAEV -TPDPAKDKKRDLPQYSGPSYAATVKSGLCTESNKPIFSKECAIIDNSIIARSAKRSMRKL -DHQWADKELTHFLLMEFAFTPRTTEVLRLMHGRLQKHLRTFDTSAYTQEEMYKLSVRTVE -AAIRVPQAEQAVRAGLKNDSALEEVRKNNKFLESGLVGNVRGLLGNKKLKEMPGKSR ->YP_009337074.1 hypothetical protein 1 [Changjiang tombus-like virus 10] -MLIPVVKSLVKVLVVSVPTITAVKHFYALKLQRLFKKLSDECIFGGQWNLGRAYGEIDRL -RGNLWTGRLTEEDRNILRTWVGADSARRTLAQNRADENLDPEEEDAFENEGDEGEEGDEP -PVRRIRRGGHRSAARIVCDRVVASIGQRAFSSAQKMIVEDHARRELASLNVRKRDIVRLL -DTVVGMYFTPTESQLRLGALLKAKEFAAQRRLVDLEK ->YP_009337068.1 hypothetical protein 2 [Hubei dimarhabdovirus virus 2] -MYSLQGGLTDVKEVLNSNPNYLRKSYQMSTDEEKYSTPPLSASLISEDCKNLTDQWSEAP -IIPTDGMVDQGLILEMEIDNEKDHASRIEMSKLKTPPQDDQRNETSKRSRIITPEEDKWN -IAIDMGSLSLQDKMSFKEAFKKHVDAFVKGCRIPLNINYDIEPMDIQESKIRVIKTSSPS -EGDSMAMAQKPPDPELNDTQKKLYSLLLQGMELPLLSNPKRYFVLKIGKDEFEGNAVLDV -IIRSPDLDIRSLLRRVISISQQKKMILNLYKWDAKI ->YP_009337066.1 hypothetical protein 3 [Hubei picorna-like virus 67] -MEESQDQSWGVPVFQPAGLTELSLRQISLPTFNEDQIPPQSFAQERRELVAQRVQLDPSL -AWAHTHLVAAYHRDFNLISNQALMVRDLCQETVEILAEWETRMSHFRKIQESQKRSIAPL -SRMGLQIAQNMSALICRLRAKQLPPGIQESKVLLRSLNILLRSYHRILTKMCLEVSRHPK -VEIDSREQALLSLELAHCLVILAGESEAIEYYHLEKMPEVLEVEPVHLNRKKVLAGALQY -YRSLLLGQDFQSVHLSLELESLSMLPKIESKDNITLIWRALRHNKWATLTSSLQEFLMPP -HGPEPRSDRYLFKISEFFPFKFFDLSQGMLFHVVRNVQSNHVHAGEIAKYFSKLLKEEIE -LDVNVFETLFGFIIQDLQHFDTWYVTIDFPRQVFDLDFDVVDSWRTSTLEQRRPDDGDEV -DHWFTSRIQSDMDFERVMERFHNPFMLLEPQFINLQINQQLINLSYTLCSRCQVRFLNRP -GAPPNSEDALYCDRCRNPSFR ->YP_009337065.1 hypothetical protein 2 [Hubei picorna-like virus 67] -MALFAAEEILTTGLRPHKIINNVVRPKERPPPPPNGRKSGSVLGSAGFSTSWADRAQFET -DFVTDVQRRSNTSTILRSREKGTSSATSSIRSVSGMGSYASSRSLPSRFQPDFKSGFDGA -RSVSRNSRNSSRMGDSNVSLQENSGVAEAINSSIVTHGIADSSEHVSADLPSPGQAIATG -DTGVESIAEEPEHLITFISSYTHENVPGSESSSESGDRQSRASTPVSRARTLLSNISGRI -GGNRVLPSGENARSAGSRTGASKSKEGSRRGPSVLSEFAAGAGFSVGPLVSGIGESINAA -KDRKQRQHNLNMARTSAQQMGYPNLLATRIPHAPTWAGTSFR ->YP_009337061.1 hypothetical protein 3 [Changjiang tombus-like virus 18] -MFKTLNQKQLALARTRSKKILANRYLNCRYNPFESRGGAMIPDGIGKHLESRDFRSIYHL -KVTGQAEVLILPAIPMVAAVISPEGNTLEVNGMSIINGSYVGLCGSNLLKYNTPLGGTVV -QGDDVTSARIVTVGYRLTYEGKATEANGQLVANSLPLMLDGSPMLNSGSYVFSGGNPVTK -VAGSMTAINIDMETNAHVTTRDTKIFRPEEGVAGVLKRQVTVANHAFKPFWETGVYPNNL -NGLDNVSKAAWLQNPPAGLVDNNSISYPTVAVLDHALGVESIVINNDNTTPLLYRLEIIH -CVQFQHSPNWGLADLTVDAPAVDKQALEADDRLNSTVTHAVDATSSTIPTRYSSTVGPAT -SSIFSDVTMDMSTTKTTTRSPYARTTTVLQQPKRKATTKATPSPAKKAGKK ->YP_009337059.1 hypothetical protein 1 [Changjiang tombus-like virus 18] -MMLSSSVNSPVTPALSAQTPQRIGGIQSKRQKVMGYLRRKLAKVPYHVNPALTVRDLHVD -EPLSKEQTKRVEEFIEVVEDPKSSQALKDNRYAILEDEVKHTIIQMPSPTGNTYQVIRNK -TPKVKKVKIDSPVMPEEMFPDRQVINRQVKKDGILAKTNPSLVYYLRTKFFLKFRDHALM -QTMVHEARMWLLKNGHTCDNKVDYETLTSAVTVAFMITKEEIAFRQLIKNKKNFDNMVHL -NKTVTGNLGRAAGLREVKSLGHSFLSEVHLPTRPIVA ->YP_009337058.1 hypothetical protein 2 [Wenzhou picorna-like virus 37] -METFPLSSVIDREYVLDNFSWLSTSPSGTVLRTYSLPGDIINSTSAGNYLKEKLNGFKYY -RTKFRVGVRIVSNRTMYGRLMVAQTYLPTKDDTWKYPGSNATITLSGYPHMLVSATSSET -VYMDIPFVYPQRFADLGVLEPLVGIKITVMNPITSVEELDTSCAVIVTAQLVECELLYPT -QPVSLQSNEGLLKSENGVISNALISGRDTMAKVSSNFSVGSKLMHAYDAASHTAQALGLQ -KPTSQTLVEKFQKGFLNQNTYGRGLETNTVFASDPEKVVSSTVPITTTDNEMNLLKLVQT -PTFVLYQSLNPLGANAATIWYDDIIGMNHNFAKYISKAFKYKSYSHKLKIYVSASIFHNV -RLVFWITPTLPVGIPSTTEFTQYYHQIYDITGDTEFDFTLPYMLPGVMTNSATTNTGCVL -NAGVLAWSVSNHLESPPIYLNVYHSAGPDFTVAGQVDVSYIYSEVPPPPGSQVELQSNPR -EDFTKEFSMFHPSFKYYYHDGFVQTDVTSVKELMLRKYPVQSRNVMEYFPLMLYPNTTNG -ECVGYEYFMVPYAFYRGGLRLTATSTNNGKMACLANIGGDKYLQGYVGMAGDSGQMDVEI -PYYDDQWFRCISKDDPLDTQLFITGTAGKNVFTLTSVSDDFSLGFIRGIRDGLFVTNDAY -ARLRSYFAQ ->YP_009337051.1 hypothetical protein 1 [Changjiang tombus-like virus 19] -MSDQNVQTPRNRGGRQRPAQQNRQHLQQVVNTQHRIYQFTKVYTAALDKGFKDRAALNTF -TTWVNANIASIDPASIRVCNECGSSDLIPCDHFIVPAAPNAVVVNAHQYFAVPAGPTNFR -RRFMWVGGIRRMFTWPSYDASINNNHNLGGFHNSDIDDNMIIDEMYNYIQLNMCTSYLIN -GSVDRRARLEHCHKLALKYCEEHSLRNPDPTTTHAIQNTIQRACDNAENDMLYERTNPTH -NLLNPYALLDGVVNGVKYCLNATARGIVHMRHPIIAGVITVMVFRNARWLQSLTWRFLYA -PAGRMVINSALSAMETTRQIMRGSAVHLNNLANWILNVSGAMRTSYCAGSVMQWLNNGRT -FATETVSTMSSGVSMDDILSRVRALDAVI ->YP_009337042.1 hypothetical protein 2 [Hubei tombus-like virus 1] -MPYVTMYSPVVVAGSNTHHFHHRSTRFYFSQLHSTHSLWPRQRISRNQDNANRRATARMW -SSVPRLRRVPEEPPRILAQDRPSCFSTSGKAPSAATCCQPTFHGFRESPQASRGGASTTS -RSGTSPECPPRRTEQ ->YP_009337044.1 hypothetical protein 4 [Hubei tombus-like virus 1] -MDPNAELVNTPVTYPDDTPVGIPFQNSRVSTRDAGDDGMTGGTETVSGSPAPTTQPVQTA -TLPDGNYTLQNYEGNNVVNNLYHQLLKFGGETYQWQWTNAPVSSPYPGYVYGTLYQPSTP -HGAGWLYMNDSDGGPSFGDTAAYVCLKPVFEGASQVTTWILATPGGKLGACDKSSSYGGI -ANGIGYYMNFDNNSPRKWSFTKMS ->YP_009337043.1 hypothetical protein 3 [Hubei tombus-like virus 1] -MAKTKNQSKSGQRKSQGNRKNVVVRAPTSQSARRTTQNSGARQTILLFDVRKGPISCDLL -PANLPWLQGVAPSFQRWRLNNIKVWYEPRVSTATNGTVALTFQRDFADGIPQTYSSLTLS -GGSVRSAVWDKTNLSIPSGPLKEYCSLSNFNALSPTDKNDRALGKVIAWGDLDNDIVAGH -VYMSYTPELVGPVDPATQASGASTTLPGQ ->YP_009337039.1 hypothetical protein 1 [Changjiang tombus-like virus 21] -MHASPLYMSMSVSKTPVYGSGYKNGIVADFFFPAGYTATGDTTTQQCFVSYELLMQLYNQ -NYLNHIFERSMVYKKLINVASNCGTMNIDRSYDLKYDLVNNTINAAIMKYDSLVFNYAET -DFQSHPPNTESSSVGTESRMPLCQNSLTQKMMSSVESTARNCVHSTRALSAFLPDVILKG -TASLYQIASGSPMYLVAWRNASLLKLPTFLMNPYWILPDLLITGVVQHLNHYYPTKFYQL -KSGYSNQIIAVQDKQNFLGAIITTKNLVLNSATVILALRLLLNTKPIWNTNGRV ->YP_009337037.1 hypothetical protein 2 [Wenzhou shrimp virus 4] -MNPSSDIFVDNTQEVKETPRQTDTTVRTDLTTASRGLDFTCMGDSNFQSIDNILKTYTYI -KNAKWDKTTKPLPSIPDQSTTVDDADVVYCAFPNEYFADSLIHSKIEFLNYWKSDVEFEV -KVNNAPTQQGCLYLWYEPLRGSDFPLEAGTPRAGLAQQTSYPGAYLNLEETDTATLIVPF -LWYQEYFDITVLDTMGRFHVSVVAPLDGDSAATAVDVIINVRCINPDIRVPTTRGSAFRM -EVAEVQMGPEEPRDGKITQLAQGVGRVADAVAGFPTLAPVAKSVSWISRLVGSAASAFGF -SKPISIQETKPIMRFPGFGMQQIEGVTPGLSAGAIQDNEIKHDRPGPDEMDFKYICEKPV -LIQSKQISLPTFNVKGDTWLAMKTHPIPDELTYPLNATHDTVSGGPMQCLFTQFDKWRGT -LIYDFELIKTKFHKGRLQVSWIPLETSDPGSININKAYTKIWDVGVSSKFRFKVPFVLPI -AYADVSKTRKFGNHLTIPGYTGIIVVKVFNKFNYPDTVSNQLTLLTKLSGEDMDPQVPLM -RGVYAKTGDLLPADPPVDEAIADVQMGYEDNFSVPFLNDRVGGERISSMRQLLKKSALRS -GNRWNECNTNVLVSMYTFFSGSFTHTYHDHPPNQPAQLQIALTKVGPYTDSSALYPFVLS -TDGVTQVKVPFYSNFPCLPTKSCYNGLVSNHAGAVYRAIGDDFNAWYLVAPPAMVVPVD ->YP_009337035.1 hypothetical protein 4 [Hubei tombus-like virus 20] -MVKDCLPTTDCGAHYVQEVVAPSGATSCDGPPDGSDQSSSPAKTRDQVIIPRPSWIDGTW -DVVTFLTPYYVQQVVSIAFPSEISATTVRPYARWVINSINTQFWWDTQLPQWINPAEHVI -YNDQGEIVLVPFPFAVGFHCPRLLRPLFEGLGPEPRILTETREMRRWGRYITITPVANAT -EFKGRIAAASLQFNSALNPVHAMVEGGPDPGPGPVDPTPPPTLEWARFWCDNYSGTNPYL -YTRAGLTRTWWSSSWLFEDNLSFDLFNLNGNIIIPGNTPFQTSIVVLTSGGAPPALSFHV -TFRVGNNVVFQGDFSPGVAIPLFKGRRAIRSTPIDTDELARYTITPEFSFEALLQADEKS -YSNNWIEGAHSRQAWGKGYLEFTPTREWRPLIRAPAGGRVVIDQASIKRDIVDLSGKWHV -TYASNIDPKASYTMMYGTHYQLCNETDASFQLFKKPVPARDDGAIEMALTLQTALPHTFP -AKFNDGGILPMILSQVKRVGSAGLAGLAKGIVGSLMGGLQTIGTADRVIGYPSMSTTYGN -NPVSLYGGNGKTNGRRGRKKRANGRDAIASLMSRTSNI ->YP_009337033.1 hypothetical protein 2 [Hubei tombus-like virus 20] -MDKVSRANTTILAYPVTCVYSNGSIQSEEGLGVNEALPAQLPETAESSGSGGPGPIELGP -TDQCSSDDGGDEAPEGQLEKSKGPKEDWWNKSPYAGMQGKFERDGWYLKTATLPASLHYV -RHDYDFVPIIKNKVRRLTNATAPKTVEYFPDLYAHLKLKAALIPRDELMRSQLRAHAQQL -ILQKEIHPRWAERGFVGSITLAMVPDDEEIQAHIWERSPANESRKAFLSGEGEVYTRFKW -VAKLTVFALAAGWITSRQITLRFLQRMLRRAGIVVKCTVLSFLMYVCHLYTEIMAVVPMS -FVALLDDTLRKPAKQRGSILMAITTSCVAWLVASRK ->YP_009337032.1 hypothetical protein 1 [Hubei tombus-like virus 20] -MNDRGNRVPLGGKRPKMTLAQVVAKFAPYLKPRVDALQRTERLYKELMAKTPPHSAVKKQ -RVATRPNKCYECGSKQHRTYGTDREGWVACECFRCGTEYFYHPNQGVQSSAGYTAIGTKP -VVNNSASWAGVVKSNKRPQTPSSDWKKDWNKVKDKVSNQTTTATPQAPQSPQPPKLSRAQ -RAKRAKELRAEKLAKQAKPITQLKSSSESPDTALDVTEIKEDKPIKVNGEIITAEALTAS -INQVLKTVDVSDDLPTYEQYLKDFRTESHKQPGEVGKWIKSAEQILQSWPTRLLVCTPTG -VYRVKRDLESTKPYLLSYLKLLKAADLEDLDLSNWDQQISAAAMMVATKPLRASWRRAKG -RRKTGGTNPPTRECKESSKEMAGT ->YP_009337021.1 hypothetical protein 3 [Hubei picorna-like virus 74] -MSLSATSVKGAILFRDVILSQTFIPRTADETPVLLRLYNALQVPGAQTVDTIRSIGFVRS -VIQLLVVLLSDLGLTNVAGAGIIWVLPVLPNVYQCVLLAVFQQLTSDDFHQYSDQQLCES -FRKAWSISDVTPNDNILSAMAVFRKYYSTPPNAMPGARYPLISTKHGECPCYKCGLPSDM -VEAVETPKQPGDIAQSSYLRRLHFYYMFDTKGYSNFRDYCVRDIANFFLRYINYDIFVTN -YVRERDYPYFDSFTFGVCYDWKIYHRAMSFIYDLTNRVNALSVATLMSRFHVYADVGEKY -SSFLFPSIVAQIVMRQNYNYYGDWKIEYILDNLQKFYQFRDVVPLYCKFFKGTQPEFLPE -TYEEAKRGVENEELDQNLARELTIFAKVFHLVQSLRIDDASLYNSTSYFKTIGLNNIVCP -GDYFTWNVPQPRDLEGHKKLERFVYIN ->YP_009337020.1 hypothetical protein 2 [Hubei picorna-like virus 74] -MAGFAAAGAAALGIGASFASPFINQSLDYQNFLKQQNYMQSQYEKYGLPYLPGFDFKNAP -PSSFVNHSSGTNIEISHMPFMIGGAFGTMFGTNDWGNQGSSGDGVNSSRDSGSAYPGLGY -GNNYSAVPPPPSYSSGGQRDLVQGKPGLSGGIQYSLNTLNTPDESEYAGPINTRSVYTSA -LVRPPALNFSHEVADLSGASGVHRTTLNTQYFSSTRPVPTTFSVQADVHTPIQLNSGVKP -DFGQNLGLPSRPFSSVSRVTRGSSVATDSDEILPDPDTTDSANLSSDFQSSTADSEANNN -IVSEDAPIGAVDDSADIDAESILGSSAGIPL ->YP_009337017.1 hypothetical protein 3 [Hubei tombus-like virus 38] -MSQEISVYLIPKPDGVLHNVELRTLPDMASMALKYQQFQVVSALKARWEPLCPNTTVGTI -GLQFSVISTEQTGAASNALNFSSMGSVTNPISTSMDIPGNRVFLLRGVADQFPCLVYQAT -GDANVALGRIKVTFSVKWYSPIVSGTGVLVSSGFYVQKSGSTYTEDIEDKCVSIASGVMS -LYPGSYSFSMQNGDYSKLLDALKAAGAQYIIDKAVGYDQHPTNNFGVTVLPGTTANIDTS -AWGNFLIWGKAILWLGTKIAQMLGKSAMSPVMNVPTVLLQQGESFYAAQIAAARAASTDI -VNSSDFDSLALMSQVVSYLLPVPESLTLAKPKSSLKQRPKPKVSNQTPNASSKRRAPKRG ->YP_009337015.1 hypothetical protein 1 [Hubei tombus-like virus 38] -MEAATLVVADNQMDRNKTYARVVQILRSRMASRQADMTILSEVATITLRLADNINRDAVL -SGNFRGTILDYFDRRGWVAYYLIAPLGTWLPRSVWGWMLSKMTSREHRFNRWVWPHVSAT -HCSRIMSADELQRIRGALTAIDPGARQPPFQEPGLVLNAIHSDPGTDSDGTHGRPRERDR -IPSNPSSSTRAETNRLQSSQAAGNESEVPQNEMPIRNPNNRGRGRSNRRRGRQRPRSTTA -LPRQTSQTRGRIRQGSPNSAPNIDPATTVGRVRNEVQTRRATAPNRRGSKGARHFRGQL ->YP_009337010.1 hypothetical protein 3 [Hubei dimarhabdovirus virus 3] -MALRAMWGLRPKSQRKSFACSKDPDPFSIYSYVTENMSETDRQSLAEGHPFKMSCVMEIK -TIAVVNREFKSLEEKICFFQAILDDLTCQADMRKWIHTLYALALLKSALSDGDGAYKEYS -AVISDRVILLSSSPSVLEKEYILRKRWDTSYRGVSGFISFCCSFKPTNTTCGSLKDLVGR -ELNDHANPADEAECLRVFKATCLKFNITIDLVKVEDGEDFIVQH ->YP_009337009.1 hypothetical protein 2 [Hubei dimarhabdovirus virus 3] -MNHFVQTNQLLSLSERVGEDLNHVGFSNFANNPDYSEEHGCSAIDSAPIQLPNLELGMPT -PRTPRNSKTRATVADHVSSALRPSSSRSGTEKPKKAQKGQKQPASKSDTPMFLNPPRRQK -TEEEESPAAETKQPETEAQDAQHSEDLDENLCDLDTDDQIWVERHPTPKYIPESEHNDLR -HFIKDMLKGHAHPEFGEFLSVAQGDGEIRLIYRRPTVVTPAASGNPDKKDVPTNPMPSTS -SASEPSNPAPSASSAPEPANQNQGQPPAAAAAPAPQRKQKFETLIIELISSTEVQSIVLR -GKVQQEMKLSSAYIRKYPLDAAKEYFKYRGFVFPSKFTAARVKRT ->YP_009337000.1 hypothetical protein 2 [Shuangao insect virus 8] -MNGIKFVPSTGSSQSTGSSVTWNSNPTYQSPDSAGISWYDQATHWEPNDEGIESTGSNYN -QFNEPYWSTRSESNGYSWNNSAEVEWNRTSNQTSFIGANTSEAANEAPTNDLEGYTQELH -GEITSNEELMEGEEAGESAVKGAEGMGGPWGIAAIAMQSLGDATTNALTAGAKETQSQDF -VNNSIQQGMGAQLQAGLINEQETANIGRVGAVSKGLDLLGPVGAALGYSAGQAAFAPGNP -YLNTVNSFNGMTNPQDTGIVQSLNTDAASGQTQMVENV ->YP_009336996.1 hypothetical protein 1 [Beihai sobemo-like virus 18] -MNTSALFAEFLEYRKMRRTMFQSSPLFEWFELFIVKAESLSRMFDIGKMIPMALTVSSLS -LSLCMMLHGLALLLNVRSRITSFLSSIFRTLRYVLTRLLPGVRTYLTVIALVVLCLGTLH -IALECFDAFFVEVPDEMEPPVDISDVSVATVSVLLICVGSYALLIWTNLDRLVLDYSYVS -SEAAKQIDPNLMPGSVAMNDPKWLVTVGARIGNMYTIVGQAFQINQSYVEDEIWFITARH -VVNHIKSYDNSIVTILTSTGKPLTPIKQVQLSDSLPDCMLLIVARPKGWNEVGLKVVDPP -FPSLSTDFVCPVSIYARDVPEQFQVRASRGKAGYLVKNAGMILHHTADTVPGWSGSPILV -TGDEGKLEVMGIHLCCSGQTNNASRNMGYALNAVLEAYVKSTYGGVGNTVSQPVKHELVT -EARGRPRGRPRTRRVKRENKSKRVFDELYNRLESRTGLQISRIDEEGANYDLFEECSRSL -DATFVNHHEVCQYGCQSPEECPLCKNQVEILCGRCDWDDRYAHDEDCAMCNTLTAVCMCI -TTFGGQVAFANHPTRVDENGMPEVLLLWFGSDYYPATTVPFSLNIGIDPQPFILHKTIGG -EAKGKNKGKGFRNLNKYAGSTSPSVSDPRSFLSWFDDPEADRTAFSFFPTVLPNKDVMWI -VRDNNSGRTSTWESDYLSDQIIGRLKTWVNAEAKSPVLTKAILDEDDQFIALHDSILEDE -FYTVLKVRSVPISDSLEDDRIAVEKALEDYRYAMEQLGSTYDSLAMRARLLIDDVSDDAA -MISCQLAKDIQYVCDTISNLAQYGAQLTQKSNDICECSQVQISKRRLMAKKRAKLRKEVD -FQPSQAINDIVSTCRGTVNQALRMAESIPLRTYRTESYTAQYPGDPPPNGPSSDQPDKKR -KLTEEKLRAGFAKALNATYDYGILTKLKDFHNEPKTFLDFDKVRQTTSEKEEDSDFSKSA -SSRLGSPTTPTESVTPRQFHPTLRSFSRTTLGGSTHPHQMMEVENMAERYGTLSNYIPQL -PPRLQKEFQTLLNGKHSEESRYLRPEGLMRSCPTKLEADSLRGLLVSLKDNAYLSQELMS -ELMRRSEN ->YP_009336991.1 hypothetical protein 5 [Hubei picorna-like virus 75] -MAQLQNVHDSGLSRDRRVLAKRELGKFLKFVDSLGVLIQRYPLTLNKCVVSELPLVLGEC -TSHLRVTRQKVILPYSPRLHPSLVMNYLNTLMKNYVSFSQLFTALSKTAYPSGQNCSNYL -MDYDISTLLPPTLANALTLWGSSNKFVIVPIATMSSVLVAAFVMEMSIHETLEFIHRAVV -NHAHQVDIANPSTLRIGGWQQKVWQLSSYHQLSQLPIETWVCMNYYLHIVHIAPTVVTAE -VLFDFDLVDNFTPCYAEGHFNSVLGATAHAAHNYASGTPVMQWSHSDFLSTCGYERFDWH -EPIPEKTINTIEGYVSLGMSKPFDKVLRSQTRIVRNRDMCHVDYEFDDAMWTDDFDPLPV -WFTNLHM ->YP_009336990.1 hypothetical protein 4 [Hubei picorna-like virus 75] -MSLKDLRIWLDLIKFLDNNTEIFKDGSAPERPRLWTLTRQACFGQKRTWEIPEVCRLIGC -FNSTLSTYIEQMRGIGIAIGTRGVYITSPRYPTESDLAILAALAPFSCDELSKHTNEELC -EFFTTLYGAFENSVSIRPKLFKLFDGLRHFHSSTSHSSKCANAVGKFKQICNCPHSDDVI -RSCCSICHGDVHSRNTRVYSSCCSESCTSS ->YP_009336989.1 hypothetical protein 3 [Hubei picorna-like virus 75] -MASIAMGIGAVVSAIGTAASVGTSIANQKSANSTTLENQNSVNVTNIQLQHDQQNFNEHM -FDKNVDLFTQAGLPGYMAAMGGGMNNPLYDAFPRTSQQVAGNNFSAMSMPGNVMSEYGGN -VIQQMTGMANYRVSQRSNINPTSTGTQTPSGSGTMNPRSTFSTQTESNPSGTTMYATPNT -PQQLWNQLGGTTPSTSQTVAPTAAVAAPAGGSAMPMEDEDSS ->YP_009336983.1 putative glycoprotein [Hubei rhabdo-like virus 1] -MKSVMIAILLSRELMSWSLLLEPLLKVPFRTHVASLPPPPNCVLHHKDRNGEVRINTTLW -VLNSREALGSVPVVRKWKVATSCTVYFFGAEEKVLESRRQMLCDEECSKIAQDNSIKLGF -SPHYSCRWTGTVKEESEVYEKTLSLVYPSTTGYVIVDGVSISYQDGTLHHELTAFGALRL -SDNCPFIPVRSEQALSMRSVIGGVTLYSLTFPHSGLYMSISSDELPFKSDKCPQTIFPTD -SIFFISYGEGAVKTTDSIRAILKPRVSEVSVQVNFNLQSLSEHLSEEFEALGFAHCNSNQ -HRYLKAIQKQDWDEIGYLLTSDSHATLSKDETGFTVETHSLLKPNITIDDVVESNSTTGK -LIIKGGALFFHYVSGIVGSNPQADSYYPLLPLVNGSYYDIKNRRMDNRSQALRYSPWKFS -PLHIDHDLPINRPPEILEREESPIVDRSNWFTDAWETVKGLIWWGKFMIGVIVVLCFSLL -YRRLRAACQKQARPKIPAFSSFA ->YP_009336982.1 hypothetical protein 3 [Hubei rhabdo-like virus 1] -MTNLIRRFTRSSKANKVDPQNNSPYPPKSFKFTLEHIRAAVALEGVINFDIEGPIEYTVI -PYISKRMVAYILRIAIDQHIITEEAATYFDAPLRKAIIQSAPYQTSKEKKLIHRITGVAP -VKLLTPVSCKVVADKESLTWDKTTEMIGKALYPVVIRCFGELNTSSFLLRDRGLLRGRMF -LSTPIPSPQQVAKTKSAIRKERARALAIEDTPGPSAPTLD ->YP_009336981.1 hypothetical protein 2 [Hubei rhabdo-like virus 1] -MYPSETRILIATVIIIAIFGIIIILFCWCLAKRRKPGHHLTRPHRCKFHYSRLRDLENQR -VTSGSSSAATSYVSTPRYPADSDSSRSVLTRRMSSLSDKLRQIQEEDPELKRRFEEIKIR -GADSLSTPSDADDLVQRSLRGFSSESEILYSLNYLPSDLEVEAGVETQRTGEGDNTGGGT -AGSSQSTSTQGDCGPVLDPYWAAVLNSNFNQGHPRDHLQRLGALAKDHPDPDIPNIWSEI -TPIFQDLLKANQIMLLRLLLGELRNPVQDIRMFIRGVQFGHQMREYSLLQAHTLHLESLI -DTMNVNNAKVVGRLEASMNQNAALASQVTEASKNVTHFVAAVEKAQLLAEKMELSLVPPE -VVPASKHAAPSIISRVTETQKAYVTLDAKLGDGVYESKIGLVTIVAEKVRSVAIHAGLND -PWMPLVGMDARVFQIMACVNLDTLESKLQPGVLASIFTGSSEEKNSKFGALIGSCGSAAM -QWWFATRVDESMHDDLSGESSSA ->YP_009336980.1 hypothetical protein 1 [Hubei rhabdo-like virus 1] -MANANIARFNRIREKADAAGVPGMIPKATAARTGKWSDEGLKKMAESNPFPETARSLGMR -KAVLTRFFAFYRSGKIDTGDNCVATVVDALTLLGTGDEQAFLDEDFGPKSFYPPNTSANP -TIPATGGSKIKVDTTGLDPQRVAAYNELIRKFPDGSETRFWKFVTTAIESFDGTSITTEG -RQVTHLAGFLAATLCRAAVKNKAQLGNAFLKEAYGKNLTALVQFPVEHDFDPPSEETLEK -CCSALAKGMGVTDKIFIRLVNRYTQSNSAIPVPSAMVALLSASVLTHTARNGLGMVQMMD -QVCLLLQVNWQQLMDLTSMSTTIAAWDVLGSFMTEFYSRANMQYSHNWARIIGDGYLRGL -TPKEYPFLGILFLGVLEEFQGPGVWESKWSQNPKTTCSGALDFSKLLVEHCRSSLDKLEG -YGGALEILQKNKQGPGTSRPANGVVQAARNVF ->YP_009336969.1 hypothetical protein 4 [Hubei tombus-like virus 2] -MPTSNYDVLGIGGSTTLRAADGTQLISYDPGSAGSIMVNVSGKPLFVDFTAFFPDVRKSG -IGRPSLKTRHNLTESYQTVVDENADLSRFGGEPKKGYEYSTSRTIQPDGYFILQWADLND -SRTMPQTRVKVVISDTLGERGPVVTGGSRDTVDGKGTEVIAITRQPTVGASFTSWAGSYT -YTDPLGFGANINRLPSFITATRYRLGGSMDSVALRNDSDMEYVICFSLVINNFQGSIRYY -CPNGERTEMELNGRTIGVATVAFPPGGIMAYGYDAYSDTGFPPVAVTMSVVPGKYSDVFT -TGISVL ->YP_009336968.1 hypothetical protein 3 [Hubei tombus-like virus 2] -MPPKNNQVSKRLAARKAPRPKTSSSGNRLVTAPQSRAVRATVPSGDRKQICVMTIKRPAG -LTEWAQEYTLHPDNIPWLEGIAPSYQRWGLKGLKVWYEPRATALTPGTVSMAILSDFKDG -TPKSLQSLTSVKGAVRGAPWDKFTLSCPKFRTYEYVSDVASLSGEDLNNRALGKIVVCAD -MDDSFTVGSIVGRIFIEYSDVLLDSIDPTLQRKSAATSPGT ->YP_009336966.1 hypothetical protein 1 [Hubei tombus-like virus 2] -MATTNINPHTLLQRELAAQRRMGSNLVDVVRPVQGPWQPGTELDELNIELQAVGEVVEEA -GKKARGFFSRWLFGSRIPDAVQREVADELILADTVSAEPAEGLDEVRNAEGKVVRRKPRR -SNAGWWMAYSVAARGVWGRHADSNATRMSVRKYIHAEMREDRVTKLDIARVIDEAVEWVF -VASEGEIKSHQLRNTRAVWERTKEARRPWWSYWWGVSHRPVGKAQ ->YP_009336964.1 hypothetical protein 2 [Hubei tombus-like virus 14] -MQNMTSTRRKGWEGEVIGAGPSDHIRWQKKQYTDQRPKYHGANANGWTGHLCPDCKKSNN -NNNNKHNNSRNNKHTRPSNQSVNRNSQQQRHRQQHQPQQANNNKSAMNTEPGPRNQQPGK -HTTRLVQSDGGSFKGRRTRRGGRKARFFRELRAWENNGRLGPRPQYPQSEDSASSKCSVP -VVAEAAACGFTSPSTEMTPPTTEVEPKQKVKDWIKQQQPVQQISNSSTSNNNSNHPAHTQ -KPSSGGSEDGARNNITKRRRDKDLREWANVLETQNRMMNETNHQLASQKNKVELENAELK -RQLAQMQEQATLHQGALAKALQDAEDLRERNQALTERVKATLEVPAPKPDPPLAVLLLEN -MVSHLHSLELVNSLNPAAAIKELEEIEERLRPLCLISPTAPQKVQLERMFSPPQMKDVAT -DTIVQSVNSDPLNNPEVSQKEDSTSQKLTPKAVMEEIRVVQRCSAPCCVANRKLKSELIK -DQGTDPEPMPVFVDSAVGTGDISNAEVQCNPETSEIAVQTGHGDCTLPDEICELRKKFHN -WIYSRTAGLPKNATYMKAMNGYIRQFLQKHGVEDPAEELVDCLLHEAIERAQPNDIELQL -ASMVERPDVRHQMHRFNSAVQGQMTIFQEDKRKDFCKTRMNKSFLRWQRIADLFTGKYTR -RYTKDYQQGYEPGFGKFKEPMTVASGN ->YP_009336963.1 hypothetical protein 1 [Hubei tombus-like virus 14] -MFQLFESELQTCRGCGNIARVYCEKCRQSYAKYDLNTKERLGRRSYWCRAKRSHPLAEEA -IYRPEAQIPWCECKRLDGSPMPGLQEKQQQQQQQAQQQPQQQTYAPIQPISEQKLAAAKA -QAAASTTTSQQQQIRDEHGTWPTKSAARQAHYQARAVGWRELQREKNKARRQESKVLSGT -QSMGKQRPFRAPPAVSPVRGQRFEQVQCASCGGSGSMWLYQPIYRNDPPYNRGGAKAKGQ -RLDQTAAASTTNQQQQHQQQQQQPSSPYTKAFLRRERRRRQKQYYEEEARQRLEGMGQRL -GNPEQNDERDQPPAGQSEEQGGIRECRVEEATCPDAGASDIAPRCPSKSPARCGGSPGAK -PSTDRTSESNPRSASPEARPAPCSALAGEHGEPLALPGASEQPKSSRGNQRTRRNRRKIA -ATLPHQPHSPTKGSAGKDVLAPSDEGCGDRYDRAIRQLGSVEQPGSESEGGFDEPEVDAK -SCDGRD ->YP_009336959.1 hypothetical protein [Beihai weivirus-like virus 2] -MLQGDVANTPALALVGTQPPPGLSTCSVRQTGVLGGVHARTAGRNIPGPVPGSPAWLVWV -HDHFDHPDGEGVRETHGRGEAARHGEGEGSSVAGSSQHRGQRTLEDREYRTCGTQVFGTG -HDNPRGQGVEHNGHHRRPSFIAYDIVVHDFGIPPARVGSLADGHVAPTVGEQTILEAASD -AGDVDGERVQGGAREAAVGGGQPWEAGLRLARGRLGGQTDDEDDGFVGARWMGCMVWSSG -VHGHDEVPCDDLLSETHASARLLERTDSQFHFGW ->YP_009336955.1 hypothetical protein 1 [Hubei tombus-like virus 11] -MEYTLNVPTRGPLGGSSRQVNSQYSSRSYGYYRRKAASRHFKPIGEAFDEMDGQNIPTQH -LRDNMERLDAEPSVQESMIAGYLLPTPETFQNGHIPAHDDEPLQHHMLEKFDIIPGYDVT -LNEQPVENQQVMVCTELLRQLQTYAAFKPRTSELLLSLKNKGSQLARPYANSAEWKHQQV -LMCSAIAFEPSTEEITALAHISSSAVATKWTFVNDRLAGGNKDLIDCRVLKPKLATRFLS -WIYPSVTKQTPVPVN ->YP_009336952.1 hypothetical protein 3 [Beihai tombus-like virus 18] -MSVINKNRVANITRPMVDRDDIVTSATGAMHRVTPVMPDVKEPEEYRKFARKMFEIFLTP -LEWDEIIKFEVFIDMCDKPQSWKDTMRECHYNRVKDFDYEEFYRTNSSHIKEEDYDTWKN -ARTINGTHRKGYAKDHLSWIARYVKSCEKQIYHKLPGLVKGMTPKERMEAMYELGDHMPK -TIGDYTSYEASFKRKKMECVQFEFYEFMFQKLPFKNKLMEGMRHVIGGKNKMRFKNFTWY -SIARKMSGESDTALSNALDNWVTWLFLLGKKGVPPHQAAEMIYVEGDDNASSLQNHKLDK -KDFEDLGLKIKIETGLDIEQTGFCQLYFTLPPTGTICADPWKKLVKFSKAPVKYAQASDK -VLNSLLRAQALSMLYLHKGAPVVHKLAERLLYITRGFNVRNHHWESAMKYGFDGNLIKTM -KWRDYIDTPVKMEDRVLVERQFGMTIEMQLLIEEKLDSWKGGLLHLPVDWFPDDWALFYS -LYATDGVHSSWFSPEGTLRKHHKDTILSFTRKTKSGTNVLFRPARSPGATKYKGFNNKLS -QGN ->YP_009336944.1 hypothetical protein [Beihai weivirus-like virus 17] -MRNKWHRALYGALFCSFWGTAPPLLHALIAIRFASRGAWLALLLATVGIIRKLKQGGFYA -QGVLCPPPARSMLKAVRVSASRVICDNGSEEEQQVGSTSKAQAARSRDGQSSARDARLGH -WRGCVGWQGLWEYLGCWSALLGCKAQGAHASAAFSGPLHDCEGYAACTSVHTLQRDRDVS -DPGRVRGSQALVGDHHALRRDRFEPH ->YP_009336937.1 hypothetical protein 1 [Beihai tombus-like virus 8] -MSRAVSNVPPSGTSKPKEMRCYRCDGVGHMARDCGPEKRRRGGRGAGQARRAVRVSDESS -RHVHSCDKCLGLYSHLHKYNHKDHEQRPYQCPYPNCEWFVGAGSEEQSRTVPLMLEPPKA -PAPPAQPTPEPRDPLVGPPVDAAPAEEETCPKGFSSFSELRDHLHAYLTKQFAWSERTVL -NRRSMVQRAETWLVSKKVESEVLRTQVIQAVIDTVDIKNALESAVTTAAQSEEWRNQHIA -ANRAAAGWLYVPPKPAGWTRLEKVLVSIVLAFSCVTVVTLLGPGAMASAAGWLFSQVVTG -TASLNMTILLANVAILLWRGGQGGGPQPATPTEVFRAGTMGLG ->YP_009336936.1 hypothetical protein [Beihai weivirus-like virus 8] -MALTAKQQRAIADAAPAAKAAMRAAFALQNRGRVPGVDRNAKQARARGRRGIPTAIQNMP -RGAAGPRGEMPDATRFHWDQTPASSNVVAPRGFGYYDAFTHSPSDAVTAFSVGPATPIQA -NTRANVTTSAPDGVGAGDLGLSMIIVYPAATDAQAALFKCGATATDPVTTTYFRSPQLAL -SSGPESVMATRCSLQIRNITQVLNQGGVVRSLRVTTGIINPGIVNGPTQDLLDLAEHVRN -HARTRTYAGADLVENKQINCTVVDQSRATTFLDFGLQVPVEDLPWIPPGSGVSVGPYTLG -LHNPTYTPIILLFESFSTTNEYEISIRTQFLAHYVQGSMLANLAITPPSVGDKLNVHRDN -EERKGSVLHDVSVALKHAGNWAMEHGGEIMGGIGAAKWAVPRVGQALGWGAKLAPLL ->YP_009336933.1 hypothetical protein [Hubei tombus-like virus 29] -MRPRLVMNRSVSDPAFVSWVIGDPLLSNREARNGPKRHSDSSIGPTRQPAYVTTLHNVAA -ETFGKLLSMGYDVQWNYICNLILQHGIGSTERIIDIARNECSMIPKFSDNEGRQHLEAVD -KHDKIVADTKLVKAEARKIELENVKLEKENVLLEKGLDIKKLEQTIQQLGMEKEHAILLK -NDIDILDAYGYLCAQTIGQQITNKHETTCANICRNYFAKSQITDNMMILHAIRIILAMYS -VDRKFAMTSYFDWDAKGKDDFEKLRKKADVEHKPWKVIKGITAVNKMRAGITTRSRFFGL -FNKKYELTSF ->YP_009336931.1 hypothetical protein 1 [Hubei toti-like virus 18] -MASSQAFGEERDTSGPNARGMVSAQPPPLDNRGVVRAPGLSPTGVVSGRTATLGEVVPSS -PPRPYPDCLGAGREAALTSVVGGRGLTRAPPPATGPALTGSSSGGSFLSLHPSTIGPGST -SGSSGSLTGGSTAGSPGGRDVPLGGSAVDTRELGQPRVYSSLDPFEPVVVNKGDSGSVSV -IDYMKVNWGIRGLSRAGINRQAERAVLEAAGAKSTEGPGIVSRPGVIPMRDAPLGRDWDN -MTREEAMKLPKIARNLYLSRELSMARERERLAREKARDDAFKARKYAETHGGSLPPEDWE -EDHEDRTDRVPHAVRDAEIRLGDVSALPPTLATPEMVRGTAGLESAGTPPVAGIFTGCVK -EGLEVLYRAAFSDEQLEVGNIARCEDAAKKLAQATMGAITTPALHKYQRLQEDDPAADAL -YAGVPTRPDSFGRRTTFRRRDDGTDAQGRTKTKEERVDTTVRRGGLKEAANKLASTMLRG -GNKGYRVAQFLSRSVNNPLFCVAVCRALLQQRVTAQLLVSGLGSIAKAQALVATIHLYAA -RPEAVTEPALDTSDEELLRGGNLSRVPLTDPSYAMSVALTHNRPLASKLLDCDVCAVCVA -ACLVGEEPPKEFKIPTTEADKDAQTTETPVTVYKLRSLIKNGASARYLAAVAAAHNREQH -SENGNISFVVGLGEPRSAVIDRCIDFTLAPPSQPITSNRDGATHVRLQQFTTDEMTVRVD -DQRSTPVLQPAANLHQLVRIWVGGELPQEEQDENLPRESLRPPATISGRTPRTATRPKTR -TASSSDVSTAGSSDQGSQPVAGPSSRPDGTSTAPPAPQSQKAGKRRKGVLKKIGSLFRIR -DSAAAGAADAIQAVADACHEFEACPDPIVGSDEFLAAGVGGREIPALYIGVGQSFDVGTG -AFHITASTQDFQRAIEEGNTRDPRIGSRQPQNVLGFLANVPYLVSALASSLMIDVSDLTC -RSLKVALYEGLREKRVTNPIGVSYAAPLAAPMPKEYVPLDVIQQWQFTYTVIGYDVLDGA -CRLDGLIPIEGGQQWSLRSPEVTAVVLDYDLDNIRTAWALQTLAALPYPLLWVTEWQTVV -ERSPEGRERWRFCQFLRNEGLVSINDSVRHVVFVTTTRAASISLGGVEQNVAHLLPNGTF -PPIPSLDATPLILNCLQIAMGSDLPLPQVWERQVSNRLGGASLNWTRINNYVTYLTTRWH -QQVEIVTDDQGGSIITGWDGSPLPPNCPPAWADPSSEVFFCEDTVTAGDNIFTPRPDADV -HPALRLGAWSNYAELATGFKVATYSSEFLNATHVDAQIRTSGYDRLTKAKYMRRAVEEWK -QGTSVTDEVASPTHYNLLRAWWEVLVYGKKDDEQGLLSLKELIALILGVEGNNCAGLSWE -NNYTRAILQSNSGLRTNGPLWLDDNSGTTGSGIGGTSLKYNTDLNSPLQGYWAQEDHPDD -DPILARTANKLNQLTAVGSVAARTWPGYQRDLQNVARLETTVFSALNVIAGNTAALAPVT -RGLDNPLAWTFGSAVLRKDWVLYSFSTLALSRSAQSILESTTSCPGLTLRWPGISCRAEG -TGARYMERFWGIPSKDVISKGGFPLGGSRGGTGDLNAPESPVFRLGASSQDTTDKTYGGQ -SVPPAGARSLAAGRVPESLDPLTDQRAQEEQ ->YP_009336923.1 hypothetical protein 4 [Hubei odonate virus 10] -MSNFFYEWLTSRARTLLSSFLTSTRFWRTHSLNSIMAEDAKRDDELVGQMCEIHMKTGFC -VFGSLCNKNHPEGVKFDMKYLPIHLSTMSEQINHLIKLNHEKDKLIQKLQDESLTVLTFV -ESLNKSLELYGLTVLPTGAVERDKKAIKGVDAIQKVKRLSERCAKTSNEEVD ->YP_009336921.1 hypothetical protein 3 [Hubei odonate virus 10] -MKQYHYSYQYLYFCIFVFISLIKRQVKQSSLNCTAFYLNFYPLSFLRMTTSSLTSLDSAR -TYISLYQLRFRLMNSETLQSVNVIIPVCRGPPVSKIADEVNTLMRRCVGMSRYIVGSPCK -LYLMPDEDIVNDLKNRFNMEFLMPINQSGFFVNVSKISGISNSMLIEIGELIPEIASVIM -TMELADHLIEYNWE ->YP_009336922.1 putative glycoprotein [Hubei odonate virus 10] -MIALYTVLLFVSMSYEAAGLTPGNSLVIEELGLLLEPEGLLVGSYEDRYVHLVYDYNHIP -FQKNASCGRSNNPAVHGEERVKSLENLLKLIFRKPEEDSGKIPCISGSSNCTSAQPGLGN -RKKRFLGTLLVGLTSLFGVGTSLSNTITLREQEAAISFLKTQESIVERSIAVLQSTSQKT -IQALDNLYILSKNEFQKYDKYFRYMECELISEHYEILYHEMLRVLESRIKDLIGALLTGD -VNPGMIPIELLRSILSTPQGLDSNYLSDNPSIIYQVGRVYPISLNVDKKRIHFLLQIPII -KSSDVTHLYHILNFGFSLDSKSNKHGILKIQEQIGLLHHNDILWPLSIRQDSCAKHNNIL -FCRFHPIHKTIKQDFMKDLINYNISTNRTVNIHDIAEDVHVVDRGSSYLGVSTWADKTNI -IHTRSGISILNCKTYSLIKKIRTHVSVSSEHTCRHYLTFVAYTSFETLVVHGTVIQSPLI -TNIVEHLSYNIDGLEDLSIPPTLDIQAWEKLSVVLNKTKELSTQQSHYQAMEQHLSNPWV -NLVLIIISFSLMVLIIGIGYITFRRLTLHKRKITNTQELSLAMSSLLKKQHQEMLLPYQV -EKM ->YP_009336920.1 hypothetical protein 2 [Hubei odonate virus 10] -MSKFNDSFSGWRQSPQIISDEEDLIESKFHNRLLIESPFYREDKLDVNIEVLDSILSDEN -KTVVLENLYDKSVTPSENPVISSAARSHLNSLSERIRGLQIYQGGEVSEEMENEKRIIQD -SIEHDTAVGESELTENYTDEEGKNPDKVEQDDRPTWFHSIAEGYVSQITGTTQESGTNPI -PAGSTPPSSSCKSLDKAVKREADLIRSMTVTPSHQSPQIGGLEYNKWSDTTTRNDPEEAQ -TTPASPEADSHQNVQGSEDGSNAGSLPCSDDEAPPVPRWLIADSAELVQIQAEILHTIRE -ADCLDDIQCQLESVVGGVPSELLILMMLRGDLAILKLNQDIILDNQAKLSSNLQKLIAQV -DKLTQKVENITKAAVSKEPERIPEPTAPKPTGALKKKPISILSETDGAQSLSLQQHLSTL -SHQFQDNFKKSSAPVRTQVSRQFNSRTMHQESKLGPKIITNVSGELDRTLTIKGFASLPR -DSQLRIFTKRFTSAGLAKADLDQILVAASKPGVNIKEAIEKAVRAILPDLKLDLS ->YP_009336919.1 hypothetical protein 1 [Hubei odonate virus 10] -MIPNRPRVQHDDIYNVLPRAQAAIGDPIQPPLLQTIRDSHNLGHQIQGLQMEAEATISDD -IPLTSDIPQQIIRLVDQVPTGGPHYDDHFHLVSTWILHLTKRANNNSPSSNLSLFFSSLC -HYMPRIRHILKTYATDSRTYQVVELPLGDFLNQHDAIDINEENPDGDVLCSSENDKGRLT -LGLNCLLIGKHINTVNHQSWFEKRIKSISGTLSIRQEEVQDFPSFDIKYCQDVYGSLSAH -KLLRSRIFTHIHSLVKDPNSLQALCVAVCGLLSGCEMTSFLLAYRVLLCENPTLFYLYDL -NSIAGDLQVALKVFRNFGDMRLFAKLLLNHNVGRVFNTPAISKLAKVARALALDAGEASL -ANYTMSADSEGERTLIARVREFRKLLSGCESVEGERVRSAFMTDSRQNEAILKSNYSNHF -PNVIAAEPNIMIPTFNAPGVQRDN ->YP_009336916.1 hypothetical protein 2 [Hubei toti-like virus 17] -MSGLAPPSSDTPASLPEAAGATGSGTAQAPVPATSRQAPGGASEAQGAKVPAVSPLTPHD -EQSSSERTVGPMGKALAYVTQEATRLPALYGTGDDTRSLAEWWLAQRGGYAAIGDSSSVY -AGYQAYLGLSVEVYNYTGVRSQIVDPIRQWGGWYWRAVEGVDVGGEVFAYPANLESAISR -ATTGDITPLSQLLRIKSWALEGTTLVMMSRMLATSLSANPGNSTAVILARLLGYLCALLV -PADPGGNVPASMPANSTVVAEGQWFPYHPDPGDAMGRIPCIYTTLEVFVRWTLGEQNIPG -WEALEMDRSIVVVPVDHEATLNPRAAAWMTVGMMEYPVRRLIRMGAPRDPLGPLRTRQNA -GGWLGQWIPSQMLMRIDGRGGVSADATRVMFVRVSGSMGVGTPALMLPGIANGLTPFIGV -GQPDERDFTMTLASLFLNPSAQVPYIYEAFLRTVSVIGAGGAYGVALRFWGQHGILWPRP -WEVWGTGPPADKSTTGLAPAHTVDRGWLPREAYSAFTPERALSDANWFVNSAGMLPGVLY -GGHNRQGALSGAAVHFTLPRYSSVFGIAAAAGVAILTPGQESLKGLSPIALVAGVMRGSL -QYACLYDLLFAVRGVAREEIILNYRDAFPATKATRFRERWGYQGVSQPGGGSMAEIAYIG -INQRALRCYWPTNGQVFHTPNADDVGAGMHIFGRIAAPVLRAIVPDLPVSRATFGTFSEV -FGSLQLPNAERTGIEEGFLTVGAADDWDRAWKLSALFSGLDPFNNPEMGDRFQIFMRSCP -DDTSPYTCAVVGPLARFAALAIGDFATASSRYSWLKRTWWLTIDRPPPAIDWNLAFGLGI -RCTDRTLALSSRAFEFKRWDFGEGAVSAPDTNVVGDALGAMRNASANPF ->YP_009336915.1 hypothetical protein 1 [Hubei toti-like virus 17] -MNREAPENRNAWRCVIAAGWDISSGAVDNCGRGVGGRLRVIAASQLPQHEXSSRCEGPRP -SEEGASGGKAGNPARPRAVRGGHIRDRVGEDGHIAFSLSRLVQRARNVIFGTATAGQALA -CISKHSGNPQWYRALAAAAARKYRSDAGYALIFGNAACGIGGDGDEVVHLVECISTRRVR -KDACLALRQHWTRCSEGGTKAELYSRLCSVDLEQSGEGWLICPGCGGDFPASWVGESRHD -PAGQASWFSDEEGDDLRSIGDADPALCPG ->YP_009336907.1 hypothetical protein [Hubei toti-like virus 24] -MTIEDKKQNCTAITQHPLFEAAQAAWAQTVPAVLPLNSGNPTHCDQVAHQAIGGAHRLHT -YWQWAEPLRLVGVRPTTLALGSTILAPHLPVRVARHAQRIAGPTTDLEVTPLFQQFAALN -NTPVGEFQASRLFTMXMTKDTRSMALLASVLAQGVSATKDETPFLRAVLISECLIKVARL -PVLTQLPVRVAGDLRLTSVKRQMVKYSTSAPDGNIMAVDLARFINMYANPSDVEQHFAGF -TKDTWGTTTAVVPFRTDLSGLRSSGPYVLSFVDTMYWNARIKYLLECCPHSDDAEKVDLI -AIPNAALGVVDGEANILLVLIDQVVRTNNRFELEVGGQKIPVSGASRVTIAPAACSVRKV -VDAVFGAGGSAGDSPIGQADAYSAIKELIGVIGTSDCYEAALILASEMCRSFPVDPLAKV -GRRGDSIGLYQWEEDTMTNVLGASGPKVATWKGHFDDGLNKSKMTYLQWYAVAQSGMLPE -AYFEVGSILTTDASWPVIGSPKGGSSLIVNTADITVRVARALELVYGITTRVKPDTEFTL -SPWLSASAVLIASQTFAALASAGLSWASLNMLFSVHVRVPSAVEEVVSAITNGRVTTSPA -SKKVIYRTDIAVFSTHATLAATGGQWAADDSGGFPLPFFLVRELVRKFNVNLVDPGHLLQ -ERMKEADEDADIISVCAPVNKGWLFVPWYTSTVDWVRRPFTVLSTAPKGQQIREMSVPLY -WREWADESSAGMLRTRNVDIPARVSLYSGMMVDQFFADQFIRQETWSEVTSATPVLCHHG -DVVADVTVPDPESPLLRAVWSDSHGFVPPILALEAPVDVDGVLPPSGPARTNGQRLSRVL -QLPFGASDLGRARCSPPKAESSGTPGLGVNVVPTPRRELRNRDPLGLLGLNRVGGQQAQQ -PPLNPPPPPAGADPALANRGAGQPAGAGNDDQHDPDSR ->YP_009336897.1 hypothetical protein 4 [Beihai tombus-like virus 10] -MKTVTINTKWTADSPDSWLLNKPYRIDYTEKIHSVTVPALEPEISIDNVTTAEYMVGDSS -TNTMWPDGPERDKIYVRHSFLGSINATQWLKTATIVACYSLSVKYKILQNGEPNRNGAGV -FGRDLQLFRHDLTVMATSPKGITTAVVCPLVANTVVNVLDDRVLQSLEFKTTFVVVAGWT -YTFVMHSYMRPFFVNYKVEAGTFIVFSAVATGAFMTYIYPGEHQVSLT ->YP_009336896.1 hypothetical protein 3 [Beihai tombus-like virus 10] -MTGRGRGRGRGRGRGRGGRRAPPQPRPPPPQPPPPQASGRQLARRMARMSIGRQMNTGKT -QIRRNELCIADVTSKFATAKRFRMCPGHTGMMLLDAMGTIHSSYKYHSVKIHVQGMGPTT -SKCILHICADASVGAGAVTPTAVLQTIPNATCRAWQTTTMNIPSTILNRYNHYISHEATA -SDQAEPFTLIIIGAGSADGDDVTFLVKVNYNVTFFNPEPQ ->YP_009336895.1 hypothetical protein 2 [Beihai tombus-like virus 10] -MFRCCRRSRKTKENNRKMAEPGLTRFLDQSLDKARLVPREDQPTAFQPINPPPHQPVPLY -PQIPSAPPQNIQCPPNQMALMEDMMRQMQEMQSQLSRLKEENQQLRRLSPGLAPIAFNAQ -GKGELSPHELDLNLQLQVGQPLKEVDVEVQGLLQLRKLKKGMEATLKLKFNSPQVWV ->YP_009336892.1 hypothetical protein 1 [Sanxia water strider virus 20] -MADPHPLPPDLRSKGTVLREETSCHNGKPTPAQQKTVNQLNSLITNVVAAPSSPSVSTSP -KASPVPGPLPASRPVPQVYIPKTTQPRPMTTADYMNFETSITIDDLPPLLPRPPAGKEPA -KQPEFVPAVPDFSKFSTEMSKMDIVQRKVFMAQKNKEHNDLLRIYNQQYAQYLAEHPNAT -DFRGRNPQPILPQGIPQPISSPALTPGLNSTLLAKDPPPRASAGHALYIPKDILAREGST -TSGPSALTSIAPAAPEPMSDDKIFTGRVNESITTLYKHVFTDGIHERLNIQGCEDGATKL -ARAILLAVDSPVARDCGSRQQPDAAVDALYAMAPTSADSYGTRTTYRRVDNGVDANGRQK -TREVTETRTVRKGSLTKSANDLAARLIDGNNNGYRIANFFSRSSSNRLFAVAVARALLRK -KISVALYSKGLNSASKARALISAIQAYAADAVETTSPTLDTSTRDIPDAETLPRSILPEP -IESMSASLAYSRLIAAKLIDLRACPVCVACAILGEDVPAEVETPTATKQNLREQPPTSIS -KLRTLLSNGNSSRFLEAVANAHNREMHSLNGNISFIVGLEEPRPQVIQRCIIETVQPPVK -VPVTSFRDDAYNILQQKTTDELTLRVGDGRSTITLQPAPTLHQLVLNLDPAARGPMLDAG -NNMTIPPRMRDNQPSTSSSDAPPPAQAGKGKATVRNASKTRAQTDKAPEVFSEPPTQTSS -SNSTPDPRKTSVLGRIRKVFKVIDKVPATCKTNRPSTILGDAPDLSGLGTSSSLGDSDSF -ISYPDIMAAAGPAYVPPLYIGVGQSADVGGGHFFLNPVATDFLARVRDADTKEPGIACRL -PENILGRLANAPYLLSALANTLPSDNSDFTARTLKVSLYEGLREMRNTNPLGVAFAAPLN -AYPIRENIALNQQQDWQYRHIIVSYSYLEETIRLGAEIPVAGQDPWSLKAQDTVVVCLDY -DAVDTNVVWALQMLTALPYPLLWVAEWQAYLRQRNDDPTDVFWTLGQFLRNDGLVSLNDM -VKKVIFVTSTMLPQITISGHLYPVTVSLGPRVPLPPAQVYDSEALILSSLTLILASVTPL -RKIWESLWSQRLGGSALNWTRIENYVAYLTTRWHPQLEMATGGDGVPHSFWWQGPLPDNS -PILFEMGDADTLFATDAVTAGDNIFLPRPDADINPAMRLGRWTNWAELAVGLRVASYRSE -SLNLQQLDPHIDSSGYSRLTVAKFQRRAIEEWKYSSLTSDEIVAPHAYNLLGGNWNKLVY -GDGSTKQLFFSLLVARILGLTPSDGTMNWSGNWMRAVNQTFTGIRTSNAMWLDERSGDLT -CTITDTSLTYITNLNDPLYQFWVQAQNPKDDVTLSRLLNRLDLLQQHTALQSKVWPQAAL -LPINMVRLETTTFTALNILAGNNLLLNHPTTPLEMPIGWSYGSPILQKDWTQFRFLSVAV -SAAAQRSLETRTSSPGTTLRWPGVLIKSEGSAATYLEHDWTLLADEVTAMGGTPAGVTSS -SDSSVFRLGPSSTDRGPPPDGVTSSGQENPMVQPPGSDDARLGAEGLSNHPDHNQDRPQI -D ->YP_009336888.1 hypothetical protein 4 [Hubei rhabdo-like virus 3] -MLIKSLKMSNKNPNPSKGFLKTTPTEDCKNFNQSFFCSRGTMCKYKHNYHQGNQIHILSY -LDGIVATQNMIIKKLDQVSVKFGLDESKSDPSSSASAGSRSRPSVRDMTKIAKKSRSRSR -DKDISEFKIPLIEPIFNPGPSQIHPDQAKSLMNPHARPFNPL ->YP_009336886.1 hypothetical protein 3 [Hubei rhabdo-like virus 3] -MFSFYRRSDGSPWSTIHFLYKVQDTGTGICYSGVVRIGEYKSSDTLPDHVLKDIVYKQIH -QASIIMTDKLYLLVHFTQPADGKYSFLPRFEGNSFGIDLSDNLGVPRYFLNNCLLKVISF -EIGCSSKITSTILS ->YP_009336887.1 putative glycoprotein [Hubei rhabdo-like virus 3] -MGAFSSLCLLFILIGTSLSGTKTPVLLGLNHGLLLEPYGAYMSHYGFNYIPISFSIPTEL -PAGINPCVNKSMIELHHKSVLQFISNLVPNSTLDRSSGDRKKRWIPLISGILGSGIAIWN -RVSIQSMEKNMDTINRNIQNIYNHVKTLTSTTNRIIDDNNRIHHLVSEIISAINKVSDDV -QCVKSSYLSLSLWESSWTSLIVNLFVRASNAALTGKVTPDLLSASNLQVLLQEEATLHES -LYTKDPTLVYELSSFVPTIITSSPPVIIGIMVLPKISLISSGHVYTVNSVPWLSGEHAYK -IDRSGTVVISHAYDVWIPDPIECISHPGLLICPKKFFTERPDLCIKNILSQNKTDDCNIL -VKSRPLTPIALQLKTGVLLSAFDQGTASKIVQYKNKELRTSPLAKSDHPNYFFADSGHML -MVEDKIYQLTELSHPYDFSVQPIDLANSSIDISQHLVVPDWTEISHVKDPPNLLTTLSSH -DLILYIIIVFFFSTFLVIYGKRTIKGYCMRKKNIRKRGEVSNFSNAHQMMSLH ->YP_009336885.1 hypothetical protein 2 [Hubei rhabdo-like virus 3] -MDSDPYDSKESLKPFLNFDASRNLRETLNQTSCDANLENLLSRARRVKETSKPSKPVKQS -TSHKKKSRVVPQVITQSEKKITRRRPKKVVRMSDDNVSVKSDGAGSPIPVADVPGCSAIS -HYEVPKTFEDIMIKKMDELITSFNSMVKKVGILEEKIGMIEAKVNDNEATCTDLIVEASS -QAGSLHVMSSDMTEIKASMASTLKVVLECETGISILKKDKQEIFDRIDNLQAGASHLPSK -KKKEKRSKVVESEEEKEDEDDNKAKEAYAWTAKDERIWENIKGRLTTVKKQDVKAIKSMS -DSKDFKQFLIDKAIPYSKSECDPIFSQVKSNLWTPGVLTLDSYMNAKSVQLDTSSDEERP -KKKTSREKKTKKVSSSESDSDSDSSVQITYKKTKRNLGTSYKKK ->YP_009336884.1 hypothetical protein 1 [Hubei rhabdo-like virus 3] -MSGEKIHALAGSLKDLIFTDLVPSRTDNDEVILKSLKKRTLIAYFLDNQKKRVRINNKLL -AYYCAQFYNDTDGSRISDPDLSLSIVGILLSILDPIKTLEAGKFEEGFSLAGYDFEARSL -SKDEVNQLCDEDERYQTLSGLIPDFDRTLRERDVWLILSGVLLLTITKGVNPAGYGNWYK -KRVAAFSGSLGYPHGIKVLTENTKPLKASMTGNHSFLAASLTLRANIFQIIIQASLLEDR -AAAIFRDVKSLSVGCEMSHILMIDEMLYNKYPEIRALRCLSEEHTPMTRAWRYLSTLKKE -EALFCKILEPRTKTNVLNRNNFSQYAIAAHAAACFDNPTMKQYVVSGQDNNSTIREVVST -YLEKRAEFAIFAMGSSADARMSSQAEREKFIKSVEADLTKTSDAGGSGQRT ->YP_009336875.1 putative capsid protein [Hubei leech virus 1] -MENTISLPQPTTASGRAWVKTYLHPPTFETGTGGIPDYSVQSSVVTNFRNEFSLGCPSTW -KTWSALLITQPSPQIPLWSVIWEGAGSPTASSFLHRSFENPSFGWGDGEAWSKTASQMRP -IAGSLTVYLDAASLYNQGRIYCAQGSAEVTYQTPDKTELDVLVVLGQLPTEGSEIMNMSS -KSVSHLAKEGMFTVQRFVDPSVQYLRASTQQAMVEAYLRLEDGTTVVIRDGFGNRRMAGW -YPGMSLSYVLVKGLLGEATLSMKRPHAWETIPAPGSTWGPFASPGPCPDAAALELAAVQQ -HAKRDGDVAAANDLGSFLGGLLPTVRKVWNVAAPVAKTILSTTPKGRVVAGVIDGLGQVI -NPQASGTRSGQVAQVAAPQVVQQSAPQPMPASLTRGQRRRARRRARRGE ->YP_009336874.1 RNA-dependent RNA polymerase [Hubei leech virus 1] -MDLGNSPGWPWRRHVRTKQQAIDAFPEVLTYWDAPGPVVWHGFGKLEILPSEKADRKCRL -ITGAPVDLALLGARLYEDFNDRFTTLHTETHSALGMSKFHRGWATLAAKMDGPVTEADAK -QWDSSMTIKLLEAVYRIRWACLRSEDQTAGNWARHQAYLRELTHSTIHLPDGSMWEAIGG -NKSGSVNTAHDNTIGHIIVCLYAWLRLGRCSKERFWEYPFALYGDDFLAGKLEERFFDFY -RETGIRLPRENVVQHDQLEGASFLSQRFKKVDGQWVAEPDGAKALFSACTSDLKPNLRLA -FERVRSLWLDAYWTEAEETLRRACNSLADELGEPRVSRLLAKRIWNCYEIEGGSGVSASP -QNGEHNFITAADHCERESVGENLPPPANL ->YP_009336873.1 putative protease [Hubei leech virus 1] -MTYWNIKVIMTILLLGVAAVAVIAGVLFVCFKVRVSTQQAASLTSTFIGFCVFLWIVRAL -VRRYGPNKTVRELVMERFKKKRSKAAKGPSGTMAGDQYQVNLIPGVTDLLSELWDDVDDT -FTLAVPLFAMGGVAWGRDLLFIQTAIRKFVATLPGWLDLPLGDLRGRGIAFVQRMHDQGG -DPAQGPQPQRPWRERLRDRAIQLYEAGTHPIARAWDEAQRLMDGPNYRATLKKIAVTGMT -ACALFLAYRSWSGRKNGKKERDEPEMDQIVPEDKTPSPLMLREVFMSGSTVLQNVPRAVQ -GVRLLRLPENGSTRQSYMTCFKVGRFFVTCSHVEFGEGEEAAIALEAVPLDSNPTWLRAR -VLKVFPRRTCELADDIVVLECPAQLATVRSIPSRVAKENPIAGFVAGFVDGVHSIPAMSP -GAIDTEGTHWCTTAPGASGSAVCDHAGVAIGVHYAGSHKLNSFMPFDREVLDFVKGGNSE -PFERSVKRTRASSQPNGGRTPGLSPLQEPTLEISDTSGLDPLPNLSGPKSSSSTATSPPT -DAAPISRSRRRRLARRLKKLSDTCSESSANAG ->YP_009336871.1 hypothetical protein 2 [Hubei toti-like virus 16] -MAFLAPLVIPALQALGFGGLTALGSAAVKKVIGDAVPEDQQIALLTSTIGGQMDPSSTQS -LSPQLQSGLMELKTAMGAAPTLFFSQEALAPRSAQGFLNQPLVSSHSDQRAHSALSQTLS -DAAALSQLRGMQLRLQSIDPMATTRDPGVAAFPGSTPSTSLRETRDPMQGQQLPGTSPAS -WLGLQQVSPPTFQNQLPLGMSPDHQQGQTTSSTLSMIPGTNLLVAPQPINKLQDNQAVLT -ERPPAAGTQNPVAQGISGVGGSPGLGNIVRGAGTEIFQGVKEAGQAAASQAVSQISGTAT -DLAKKGLTKAGGYLGSLISSGLTSIAKKIIGDAPPGFEGFDARKGGFIGPTLDDVFTGGF -NKYGRETPMALASNVDLNKIVGDAPPGEQGEVMTDAQAAAATTNLTNSVKFNMMSRIILN -EQTLTQSSSLPRGMSELQLNNFFARDLESLVGTYKQSEVQALFGFVGMTCQYNRWPAAVY -TPETVESKGTPKEKVIPAHNGVGTFSIDALYCTGVALETARVAPPCTPNVTLSQLGPLLA -GAALGEYSSQLRVKTFSTDMDLMIRASAEALNNIQSQQGYSFCLPLTKAIGYVLQCIQLF -GSEFNELVADMYFRPTEKGQHHAFQRAFPLETLAGTEAWEGDDWQGAKFAVVCESDFIKV -TCGIISGANWGEFQPAEWGHNCAVVFIPNNDKSNQLMNAVRMISQMAYPAKLLYIHGKWA -YFSREGELTTIGAEVHAQKKAGLTRIPGPYKYALFVVMGAREQSSAGIIIGDGSGQANHQ -IEVNAIDHNPTTPYVCDAGINGFSAARNGAVTDAAVPMWMAFIKEWEVIYGNASDRSSAM -RFWADNSILFGNFFLGKNPLDIGDLQGFSFLSWADPVAHPPLPSCLAEYTDLPVSWSGSC -KQVTPQVARYLMSSMKTASYMPVASITPDYASQRPWTWGYGNPPDGCRLPYEDVMISFLV -NKKWISPNEEYPAVSLDDAARLGHTITAMANIMAAAADILVQERDFTLPEVIFPPLVLQR -VPMAMMRQKNVLWPALEELLTNGISYPQYWNNRDVNMHCWEAMIYWEVAAQTIYPETVSH -GSAVTTSARIPVSVMGQWFAPLYPQYFQLRLNLQVMSPQRTYISINNVARAMFKVEYAEE -TTGLLGKSLSPVSWLMWRLSLGVIISDTVSANNGWIVQCVNNGGVFDYYIHMEQPDASRN -QVMCREYGAGNVTVPPTTKISLPTGEFILKRSIATGLQPFSLLMQGPRDAFIGVGTGSTA -YQMFTQTNTPQAIFVSETWATIPDWLFSPAGLQSVRDSI ->YP_009336870.1 hypothetical protein 1 [Hubei toti-like virus 16] -MTDGLPGAVGDSGSSGSGFRRFDSFGIGSGQEGDRGRSTGGSTDRTTYFYDRRPDGSVKY -TELEPATTIRVDGIKDSYGSGSNSLLFAGSSRTSLSSGVFESTFGQLAFGSARPLRPLTD -AQRRSGVVTIERNATPSTVHRPDGHHQGSRRSRLSRFDPLYKPTRNKRSDAGSAAARDES -GILARIAAGVASNFSKSASPRYEPRSPTGSDNEFDIIDDPRDESARSASADQQTSG ->YP_009336868.1 hypothetical protein 2 [Sanxia sobemo-like virus 1] -MTTKVSRPDQSSSAQNLLNVKLESLSPTDPPVLQDSVIAQTFSSRLNMLLMSPVNTILLA -VPDTDTFLIQMISHVFSLAILSALFYPPTFGALSVWLTASRSRKLMTVCLLLFRALKRHQ -QVLCRLLTTSFTMNTQARPFQDFLVPRTCKQIALRECILALGPLILAFQSRLLICCLIIP -IWILMTWIISKEKAISEDRRKQIERLLMIRTLTT ->YP_009336865.1 hypothetical protein [Hubei coleoptera virus 3] -MFPSRQRVQLAMNRGRTIRASPMFAAIGQFSKSVDIGRELLLMKAMSRINNQPGFVNFSG -AILIANQLSKIVSSPTGAVTGSTSISQVSGILFARWMLTEELTENDIQSSRKEIVRLLDL -QPPLSGPDVPNLLVKIMIEIGKIPKDSIIDHDGATARLGRFSCEAVIPQEGLAARKVVFR -EYRGNLIPTTWWGHQTNSECANELSTLTTGPTTLDFDSSLQPMTPDTPWASLTDDDAKLG -MVELYGSIHSERMRQIFNSAFVIAVIALSKHDNMTDSWLETRLERLVTCLDDSQMTTTVT -SEAIKNFYRRYQVEKIKVDDLYDLFVYINSLCLDFDLEPMQWILEQAFVNNVASATAIAE -IIAKSKTVPITTILETLPATQIQSLITLVAHLQHDPFCAIIRPPITVRQYPDVAYVGIAA -TYNSRTGPGGKRYQGKPDTMATLTTAALNGLVNFLLQTSRTQMEMELNLDTYAKKFLMGQ -DNSQVVFIDQDYYVIKPDVVQQPTAGQSGTSTTPTQTDVEISRDLKDQLLRSERADWPRR -AKSLPEGTVRMTQQEVFAQIVDKEPVKMKAFRDAMDILLDITQQKPISVLTGTNATARKR -KSKISGRMREIIVSWGVTMEEIWNTEDAPADLTHGTIDIDELVCLGRKKIGVAGAQGPAQ -APGPAEVVEQANA ->YP_009336864.1 putative glycoprotein [Hubei coleoptera virus 3] -MFFAILLAILTLSYGSPTGRSKLNLETATVMTQHDPMFLPYFEWKHLLHVQLLCPDSNIM -SLIDALTKNVYDQTNKLELNLQVLTEELSKDMNNNTLSTNTLLMRDQVHVAKNLQAFLRG -TSEKWKKLVNGLFKFHGMAQQSTCYHLVKTNQGKTVPTGISGMASDASTSYEGDLWKVLH -DSMTKSRQKRTIHIHGSDNTIFHNSQITKPSDITKELRRDKDLSDNPTTVKSVSYHNPKS -SEMLKLQNCFCWDEATQQAHVDRNCDLANCKRVLDKWLMKGFGQITGNVKLYNEYGKTVI -KTTTQPPSQVEDEVVGSPDQSEVSREVDGQAETLAEKLLRKHPVVPSKTMADANQASRNL -PTVDEQYEQILLENYQTSAFVYQLCRLRLSDNLQNCLGTIPETNALNHLWERLQSTHSKR -IKRSSNRVKRAPLGIIGRLYKWLFGVATVDDVDEVRRNFVSYLKGQQNLSKANQEFMTNQ -LSINQHFDENLKILNTKISDTVKGMNSTIDMFMDTIQQTNNVFQDLYLTTKLSSLLIHGN -ILITGAVIDALRINQYMDSLSSRYLSLYHALLSDTLTAEILDPASLKTILLEINNKLPPN -YQVIDLPDFRSWYKVSYSTVSLIDNSIVYAFKFPIVRKSSEPTLWHFNSLPVFKNGTAFK -LNYHDHWVYLDEHNQEWVPMSQTDFNARCDKSTSVCSGFASRYTYSKNNYNHCLLSLLYD -SSKVIDQCEMSPIDIDQVLDPIIAISIPPNQWLVETLYPVKAVKSCPAKSGQFITDSVMI -SGVMTIELAPLCKISIAELTLESSLAPPGLESQSYAPEYTLESVKISTSDIDIIALKENK -VPQIDIKMDKSTWNTKAMQIKIKSVETNLNAQKELVSNISEINKKLQADLIKIESSSGKT -FSSQWISFYWENIIFYIWVIILTLMTLRVYVRVFGIPLIGRGNGIMAKATFPLVSSSGFG -TANAFPPQGKIYMDGSTIWASAPNATSNVMVNVTNKFNEFFSQPHNTTSVIHLCVMVALM -LLMLILMYSLMCHHQSMLLRKLLSLGVLPINTRESQHIGEIGVQLYVLVMMKSMFGSKTH -YAEVGIQMCTLPGNIRDWICTSNLSENKTFIVPKWVCRWSSTLELSCQWGPICLKSTKYP -NVDTCKDLPKHGSFNMREVIEQINPKCPFIWKYISAVEVNKVILRTHNDYKEIYSYNSDT -LS ->YP_009336860.1 hypothetical protein 1 [Shahe tombus-like virus 2] -MADFSPVPAATIGGESQSEGMANPNFTFGTVEVLARGAVACIVLTGRKLSKWFWPYQPTA -KQIDGLVKLDSEDNDGGVSGWALTKKWCAVAKAEFGTVQKRTPANDAAIADKIRRLMKEA -NTRNVDIIRVLPWAVASVYVPTDADLVAASVYNHKVVKARRKHLAVPQK ->YP_009336858.1 hypothetical protein 1 [Hubei toti-like virus 15] -MADATTPSVSPLTEPQAENPTTSSREDGFASVRNLQSWIKTPLSIVGSNNAPLSAYPTGF -DTASIDGQKKQQYSTFSVLGASYLSYLCLRYSVISSLQQNTQTQTQGEYDIVNPPPGAVA -ALTIAQQAAYHNKELLYTRAHAFVPTAAIARKMARIIKMGPATLSIPTVQSLQTTTPIDL -SEQNIPTETNNAVAASIGTTSSSTTLRSSSSTTSANSGPSDHATGRSINPQPDDPPCEII -SKPEVIPYRVVKTSHLAGSSEVTSIPYSEIYNAISASLSSEANTAIRATLKSALVCEAST -WSVLVKTLSQESGSVCDVAPLVRLATLSYALSSTAASFDTMPYKLFRNMSVSYQGTAIHA -PDPNLPHSIIAMPLEVFTTFMVGTAMTSPLPSIFLPSEVDKGWVGIPISEQDARLPCIRE -IITSHISSDLTFGTITKRYNVSAPGDITGQLLCNPASNMSYVHGPRHVILIIKDRGSTNE -TDSIQIGGLQVPVYRNRTGQAPMITPANWTTEWVRYYNTANSAKILSNIQNAWNHLTSKT -ITLDTAGRALSVAAELTLATPPGLYLHPNSNGIAYDYDRPLSGAFVIHVRDNNGTCKLDK -TDYMADVITLTTPGSDQTVKKVMAGINIASISPLHLPPSGLVPTTYTSSGPSVYAANDPD -LTKASDTYHIPCSSTLKRLSVYLGLINRTSTPYYFASAEALSGWVHMLAHANSANTAAML -QEIDMPARDWTGFNTDWSENNRFEEINSLKRTVSMDMIKHFEAESEFESWDSYDPDWIST -AYGGIDPFSNTMWWQQSPVPSHFLLQWYKKLKTVSCPETRPGQNPLLYVNGRKKFAVTMT -ISNKPSWEWIIASIDTHHRHPIVVDTSYRQNRANAVLGSWIEQTQYQSLSASNARGANQS -FFETMAHTESLKVTFSGYVGNRQLSVVDSNYGSGNWTASKPRVSELQWPDPPSAVEQLSV -SKNLRSKPAEPTAGKSVLADSPPTPTAAPPEKKPSPEAPTKPQAVDTPVKNKASTPPPPK -TATTNPAPPPAPDVAESASD ->YP_009336852.1 hypothetical protein [Hubei narna-like virus 11] -MTRSRANNSRRKTRRPRKKAPRRKPRSRPRTSGLSKVITDYAKMVMDPCHSTLVRTIGTA -TAGSVTERLRSTIGTPTSAIVSAVGVRTIVPAPSGYIIWFPSYHNGTGNLNPANCFIYTS -PSSSTTTFVVNNDGRPTNTNALPMGTEAYDTTGLFVQDPANNLLSGAASVFSRGTTVSAC -LQLENLVSLSTMQGQVAVVKNYNLAAFDLNSGTNLELKAPTVNEVFAYAEERRRIDPAGH -EVVWRPTDASSVARGIGNEALGKNFAGSPYTDVCFQAGQTGINSTNLAATAPEHQYGICI -AWRGMAAFTTNVGLNNEPITLNLIKIVDFELAARNGQIESKPKPPPAPGVSKDVGVSFLD -RVFPHWQSTTVKLAHAGAVTAASMIGGSAAGNIVDGIITMAQVGDVTKNKIGGKNRGSQM -IADY ->YP_009336850.1 hypothetical protein 3 [Beihai tombus-like virus 9] -MNNSQSTFSPVHKCPVCGKVFSSKRGLAQHGQAKHGWTKPQRRNRSNDQPTGGKGLVPRG -NPGNTMGNKKRVDQIDLITTPNWGYCEKVFKKLISVGCTLDGAAHVVHAVDPACPEGIPW -NGIPDENTTRILSRRIISINTITKAHSAVGNWDFALMTCWSPHAEFVWFQQKTGGDWSGA -TQGQHWDCKLSRFSRLDWQSVTRFRQAFGSTTIHMDASATTTEGTVYSMQKSPERYLENE -YKSGLVNKTVNKPIATVKIEHDSDSDDSWISAPTTSTATKSKVVSPTGADEVTARLLCEY -CGKWTQADMAFMDPGFYTAEAFKGVYSVCRLAGPRVWSVGSWQDEGGVDRWRVVAPLGTH -HDFAKSKSPLVSNDIFQPFTNGWVIFTNLDPKAQLVIKTVRGYEMEVNSESIAALDTHFS -PDSDPLALHFETQLLRGLQSGGPASDNDFGDILSGALDVLLDGLTATGGPVGAVLGPAGK -LLKKTFWR ->YP_009336848.1 hypothetical protein 1 [Beihai tombus-like virus 9] -MVWDYAINQLDMFYYNDSGNQHTVGVQLNNQHKTSSMIIHNIPKHETHFSLAGIDKKFCW -FGRKIPLRLPVRLIYSDGSFTKTKFKKINTKKYDFIVNYQGGSEYEHVLPQPEPQVPIEP -HHANKEPTSHKEVDETGMAHMDKTKTMLNHTQPKLKVRQRARTYFEHAQAITEEIRLNIC -NVQGYPCDATYQLGVAKGIEIAKKYNWQRFTQSERTEIIRKSAAAANVPDATDDQIRNMF -KITAKWIKKQNEFTTTGNAGNLNGINGKVAVSLPTKKAGKA ->YP_009336842.1 hypothetical protein [Hubei hepe-like virus 1] -MPPRLRPSPTSLPQTTSASSLPVSCSSPSPFSTPGKNGSLGLSLSSSSSGSPLHTASGST -SLSPCFSSRTTHRKPRRIGRLPSLFCLSVLWQSILSRRMACPPRMPSRAHLLTLTRYFLP -SSTVYHLRNLNLLPSPNLLFQYSRLLRHQPPLPYHLPLPPRFDPLSNLFFPLGILVRQLH -TWILKCNAGIERTRLRYLRTSPLLLSHRLVTWPSSLLSETICLAEAMCRMETFLDCARFL -HHSVLVHRDPNASIWGILRLSLIALVLVGAAPILVGEACLVSASVPTTPVVANFRPLSVP -LPLTTSSPILTFPPSTPFCVLLDTEKLWQSPDCFDQILSCQNPTLLTLEHHWYGSSVTLC -LALEHFNFTAVSHSFFLSRFNHEFTIYHSPHGFFFLSDHFIDSLISLDPPHIFSQTTVFL -YHTLPIWDYFKQVDLLFFSLTSYNITIPVNNTFTFFTTHNSSTQYYREVRLDTSRHTIPW -YARPFFNLLEAFLRLIIKILFTLISELLNLLLTIIIRYHLTRHIVAFALIFALSSLHRFN -LLQFAFSVILTQSFILFFPD ->YP_009336841.1 hypothetical protein [Hubei hepe-like virus 1] -MSFSRASFRGRFRGRGRAAFMRPPPSYFYYPPPQPLSVPIRPAPPPPTNGNAPKQGYLET -ILASCQENISNPFRLALFILGACFVVAWAINASSPGHQVVQHLRGRLSETHATKVKTITD -FLTTNHVRFFASGVMLIPFSLLYARQKWLTWALAFLLVIWVAPTYSEWQYVVISLLLFAY -YAQKTKENRQTALVILLVGFVAIHFV ->YP_009336836.1 putative glycoprotein 2 [Hubei lepidoptera virus 2] -MKKTNRSTILFNMLFNKMLFQIFLFLVNLHVAISTSQKLKDYQLIPIKEIGSRRTILPAD -LSCSTKSNNDHFGITSFQTQIPLHESPTRVGTFCRVFNTNLTCSFGAFTYTFKTSSSAVS -SSRQECQEIVSRFTHGHHSGFLEVTPNCAVFSFATKTTRHYLVTKEILPFSPELSGVKSS -LFHQNVCQDKYCLSSDGLTHWFLDSHESPGCTGTVLGFGELRRTISESGVGYTIGSSLIA -DTPLKELCIGSRYCGKYFVLNSDFQAFLLDDQSLEIQDLLLTKLVKCPANSKVSPIPTRS -FRSRQTFSDKIKIHSNLCQDRLNAARRTNILQERHLGLFVLPVEGISAGYRIENGKLWQY -SLLYRRVRQVSIPHGNHLESDLQLTFEDTTGSTLHLSKDLCIFEAATDQSLDQFSCTWFN -GIKIGQTSMIYPSYTNSFNYLQPCKEIQFQEEKEEERERTMDKQQSESLSDWFFKSWVLL -LSLFIAFLLILSIILIICCCKCRKHGDQSDKLYNGVTIREIADRDVSSERSISFHSVHPR -RVLPIQNPPGPLMINWFGDE ->YP_009336835.1 putative glycoprotein 1 [Hubei lepidoptera virus 2] -MLFIRIVFLFIYNSAATISYIHTPNFPLIPTFILESGVEVTPSNLSCNQIPITSQDGLLE -FELYIPYHETTYIHGFFCKKFEYTVSCNTGMSGFSFSSDVHPKEIDQDDCRRAIYNWTHG -GPKIPELNYPTCAIRSDNKASNIRIEIDFVSLPLDRSKMGVIHNFFHEGVCTKKECSSVH -PNIYWIQSNDSKVACDNLQPGRGYLYKDEYNSLSSSLIDTEYTVPLPFNNICKLNHYCGL -PSFRLDNGLLLIPKGNASTNIVFDLSVNLTICQDKSTFKEASSHDLISSTDLSELLQIQN -IKCESVLYNYRVSKKIQSTDLPYLGPSIPGIGYGYYITNNTLYQVKVSYERISGISIDCP -SLSNCSITFTKPGQNRTFHWYTESCRSPSEEFTPMNITCLWINGIGFNKTHMFYPHESFS -EFILAEYNSVLNDGETYNPGPETEDTDVDISGDRDQEKSTTVYESWWDWLKRIIIYILIG -ILTIIGIIISIKIFQCIKSNKVQGKVNEIHIELHDLHQPNDDSSREKPQIRKESTYMTNV -GNQKMLTYPNHPHLDKFFN ->YP_009336834.1 putative membrane protein [Hubei lepidoptera virus 2] -MKQFVIACVKPEPSRNSQDCINSNYNTTTIMLKKTGQGSLSSSRSADNEIATSTDSSKGR -KPKNSPFSWFSKKPTRPKNHQISVVKPSAPPEPQLRFLEDIPVDWTRRPEDESHQPSIEV -DLVSPIAFYVTASLTLNSRIKIGSVESLLSKLEMWIDHYSGSAVMYPYYTLIYILLGSKL -SNRPSNSKMFLYSSNFSGVIKFGYPQRQGKPPSETLSVLGHEGRDSNYVSIRFDATMKES -NRNGVIYSQLYRLNGYNFPFPAALDTFNLNIGLTHEGLIGPSP ->YP_009336833.1 hypothetical protein 2 [Hubei lepidoptera virus 2] -MNTFRRINFDKEKKEAREQNWNINLPKAALEQAQEELGTDSDDSAEEEDPGEPKDPWNSV -LTQNPVMSEVNRDRQFGSSDIERESAFSHHENIDDYDEEGHAEDLIQSGDVNQIVKKALE -TSTPPVKRLGERKIQVNLQFMQSPPMMEKMKDIIHEVIKAYTTVPGRVEVSASDDGIYIF -NATNPYLSPDSDSDPLSSERPYPKPKEKPAPQQVQRQFLPLEANTSTLTDVEIMIKKGVN -FQGKYPGLPKCIVRYGRWGITEQSVQLALKENPNLSVNETIRYCMRQAGTLKKFSGLYKF ->YP_009336830.1 hypothetical protein [Hubei arthropod virus 3] -MTYSKFSNSLLSDFDLFTRPILQEFGHLSHSMNSLSTAQIERFYTLLRRFIFNTPQVNFA -QYLDPITTCLSGFFSRNGLALASDIARWASFLLNIITSIVTLAMTTSTTLKALTITCLIS -CTTAIILEIVTSRVNARRAQELMSRVSTEVVNGFSAEIINEILSMGRPAIAISDEITPEM -AVTGTLALGQKFQFRDETRPQNIRTVAGMMWDADFKGTPTEYTPLERTENGLEPIDIDNP -PPTLGDGYIRLGGKIQKWRPPTIASDITSSSELAAETFPAAHRPGYFDEISAPGNLEDIP -LTRSELYRSTSLGNIPPHLLPLPESRSDSGVSSSTKPSSSIPTLESSFTPVSSFNPNNTF -EGAVWERQKSATQWYKICKRILCFVVCATGLIITKGDLSKMNYFITLHRFKKAISEEFDN -ASEVVDWFCNEICGLEFHEEKDAIEALQTKIKQADEYLLMQATEFGVDPVKFIKMQAFVK -TVSKIITDSGSIKDPTIKNLSTILQTRSVALHHKISEVWPVVEGSKIRQCPVPLLVRGEP -GVGKTTLITNVIIPKLAKLLDLSPSTYMVKFTDSDKYWSEYGGQSFAVCDEAFDKASDLQ -DNMVTALNSVISAGAYNMQGAFIKYQPCCFKFIFIICNSKTCPKLAKMLSPDATRAFWDR -LNFPIQVSVKPQYHSPIRGQHKFQDDWSHIQLHEQTFTFNAQSSGDWVINGAGRQVSLDG -LLKRLVTSYNKEAATFKKSLDTIAANCPATPQGEVTDLSNFVVNIAGRSGVGKSFAVREL -VTNFSRYLKRGIQFHEGKLNGIVDPKKIQVCNDMLPFNEEHFNHVYDTCKGQLIFVTHNF -PYNPRRTHIPVLGYQYSWEYGVFMTYKMPATARRAGVPGVHVTPFGKVSTPNSEAIYAEM -HDRGFFQVSGSTTMQTGTFQQHVWAHFLKYLSSHQEISIIDGQMPPTPPEIDIKFESISK -LKEVLSSEARTAMLLIRPQVDNYINIPNRDAIFGDVSIDPKVFKVPSEIDSFSEREILLL -MIRNLRHIGVDVPVRVQVGHACYFAHYNTIIKNTQTMTHSISFDQSNLILYSHYDGTVES -ILTLPIETAAHGFAYGFKCSTPALLDILFSFKKEILEHEQSRLYLAEQHKKEIRIQAARE -VLSKKPSYMALLRQHPLFVPACCCFAALGTAAVASVIVYIYKQFKSPETPINITAEVSTE -GIKWEDSEYDYFKEKHPELNEEVLLALRKLRERYGRTAYEELPADELAFAQQATFQPQSW -TEQETRAFEEKAKRLKATDEEIRAIRSNRESYGVGASPIISAHELENVRKVLGTFVPNAI -QTMLPTHSSSYLLRKLEEATVVVSTFDGVRQLNCYGICIKGNFILTVAHIGVDGLSVTCD -FLGAPKTFDAISYSIIGERDLQILQIVDKTFPPGADIIKHFIADDKPQTMECNFMVVAKP -NVVMPCEIIPNNFQRSMWGFTTGAFVASFHSIKTEVVFEGYCGYAYLTKDKIVGIHVGAR -ANRRGLLTLASQNILKAMLEEKPQASKPLSEEWYLRTFARPDGTPISSVFPLPCSYYDKW -ESVISKHAFEPTDGIYVLGKTHFQSPFPRREKFFSTGLAEIPSFPCAVANAPMKPVKGTV -CDVVGRPSIALTQITKFGGFSVVPDESALALATTDLGNLLSLTIGEEYDTPYPSPSLDQV -LNGYEIGHPLRDFVEPIALSTGAGFYWKNKFKISVKRDFFNQIPDEKFVTKIVFKDTKAA -EHLRENYMMALYATLQHVRPLFVNSDAMKAELLPLEKVKAGSTRLFSVADIVEIMLERKF -FLPHMALLNKYHEQLPFKLGIHPQREFHWIYQEMKHLEGPIYSIDFARYDKSHNFHFVFA -ALNTLLRDPPMAKIFSEIVCRGVHHIFDFVYIREKGQNSGSAITTLLNMLMTYLLVSYAF -ILHYYRTNKKYPKHPVSYYIWMCIYSDDLLYSERQARVFPIEAFVSIMDELKLQVKYHAS -GPEGAEFLSRNFYCELGTVYPQLKHSSFTRHLYFTTLTTSDHRAAMYSLFLDEASFYSRD -YFNKACALVFAILEKQGDSMTLSLIDWRSWNMRREIHRRLVYNNERYTLAPLSVGDAFTT -FPSVEKFSKIVKHRYTKAVEIVSTNENIEFSEQQVSNELYIPITALSYRSQLQNSNNLAK -VRLTAHNQAQPQMSQPANVQVAQHDNLSDVRAVTSPVAATAKATVSDYTSNVSNIPVAPI -PPVAITNADDDSAPLVIGNSDAAPSLLTAGGVSSNLLLQAYRTWTRVGQYTIASDAAAGT -SIFTLPWSPSTLPGAPGLWCSMHERCTGSIEFAVENIGSEALTGTSKVGWLPDVEAFTTE -DFERVGKWLNMPMNKSSVGHFIVPDVRKDGYWRNTSEVASHGIVAVIDVPLTNPFGVTGA -TVTLNVYCRLGADFELALWQQPVSPTALQLLPSTTFSGLQLDGLRFLLDGNEAGKTFQGS -LPPFSSQEFLYLDGNVPGSNHLYNHNTCGVYFTGDMSTVNTVLVSTTTLPSITPAEDVLP -PINYRSASDFIMTPTPKITLRMADSSKIVTEPFPITDFSIQWDNQDIVAAGYTIIDATES -TTADSDLITWDTAALGALDLDLVLTTWPYSVSNPLPNDYVKIAFSTYTVPLDLATVDTAL -GRAVVPPSSKYLLFTNFIKSFAGDGTVEFDIVSRYGSAALLTCRFSADLGFYARFVTFTP -TRSYYASSTLDDCAVVNLRKVEDGTVFRQSPETGLIPRFSSLAQSLRSRHLNPQRQSRVT -LDFMNRERKMPKFLPSETGHIRPSKAEQFDDYIPFIRPNDKCYLIKSNVEHYSKREVLDL -FPVSHKFMQKMRNRSPGMIIVELAEYDLLYYTYPTRVLIARLRRENGMQPRHQASAAIIG -MSMAGAALSTGAQTAGGMFGKKKDREFAKEMQDDRQAWATEAGKAGMDFQREMWNKNTAA -DAVRIKGQYEHEFEMHNRSAFHQNRMQRSGFRQQSKMQANQFQNSQQMQSNAFEQQTAMQ -QADFAHQDAMAQAKLNAAATAFGVTNPAMQSSASTQTPGTSTGTQTYDTGTQPPQRSSSF -SGGLPPSTLASIRAGTRTLPFAPPPSTSSKSVGTSMNPLSSMRSVGTSMDSDWATSWPSP -MEAFGSSQSRSSYRAARPGPYVAMPK ->YP_009336826.1 hypothetical protein 1 [Beihai tombus-like virus 3] -MRASLFPKRTTGEVVGLVDGPVPTPQEVRGGPFSWDKAWLRCMNTLKQNLQSEIVGCVNH -NHVDPRPEWEIAVTEGLASTGNVIINNTIRSTGFNVSVTAGGAVGTVGVICGMGVVVSYA -PLVAGVAATWAVSALRRERSHAVDVTLSVLNDDVRIGDLKAAGGKEEAGGEDQAAVDSED -NPAESTRERREFEVRRRKPFFGPQPRNHGRIPVMAGEVANLLKLRHIGLRDTPENRFLIR -ADAGKRAEALRREGERPWNTVRNAELLSIAMHASEMYWILSRDEEYVGELYSESLLRGLR -RRRNRWVSSSVSSK ->YP_009336822.1 hypothetical protein 1 [Hubei tombus-like virus 23] -MNDIIKSKEEPVQDISGTTPSTADESRASFGKAPGEGFQSKPIQTNQSMKKNSKVNSSQR -RAAERKNERDDKANVSNHAVKNGLSGGMRFKFNKKSKLTYDQQKANFEAMLNPVQKANNN -PQDFPNKWRQVAARNKRKDAKSATQRQCAFTGRQYIATNATQRLIEKRNQSIHHLRMENQ -TIRRELQSLKECINDLTKLLRGGKQQNLRKPTLNSTKSGESSSKKSRTSEVGTMSEMNSE -RFTTRQSLDPLKRTQSLQCLSYKDALLKHLNVKPKSITRTSSLKLNTKQERKHMMNSTEQ -STSAMRNISSGSERNKQTKGCQKAESRGHQAQNVSTKRSRMTTRHSTDGTQVIKMESKGT -APETHLTDSGMQTEEVDNETRDTIVDDVRLINQGLSEEDSLPKPMIATKIANKGKESGNR -FKRNLLKQREPVLQLPLGEIQEELISYLRIKAGFHAMDTMMIRRLFQHAETWADKYCKEL -SWQEKERLIADGVVTAMVPSEHMMVLRQKLKDSDVQSKLHKASDMAKGDLGKRNFFGKEI -SLPTASK ->YP_009336816.1 hypothetical protein [Hubei hepe-like virus 2] -MTLNLIKSHFSCAISKSLVALPYNCPCGHLFDAVNIFTWVNTNNTCPVSRLPLLVQDLTF -NNTVYQFLQELRLTDDTDVHDCATTTATEISRGDDTIAMDDFTGTNDLGVQTDEFPPLVE -PFVYLGNEVITVANLNENERRYLGCGKLVDLADGIFNPISTNPHLEQVVDRFNMEYIRTL -IPIRADHPNIVINKYAYQTRFDLVATAKLLCRQGYFVYDLFKIGMHDDLNQYVLYSTPIL -VTGQRNILSKYKRLTN ->YP_009336815.1 hypothetical protein [Hubei hepe-like virus 2] -MVYRGNNRNRANNNRMMNNKQYVTVRRDKYVNLISGKKQQSQNKRKQPPQQRKQRPIQRP -IQQVRTQVFRPTNRKPLRQAARKTNMMNDPYAMCRLTPFQSRGLADGIPDGSDVRKILLD -HRMSNTFTFGSSGMFNILITPCIPSSIWFNSMNNDSTFTVNGTTYPYNITDFNWLANTIQ -QPEWRGIPVTLLDQIGKFDNAGSIYNSGKCRLVTVGWSLTFIGTTVQNSGQIQVQSIGLT -LGDNIPNMDTFSVYNSQSPSNKSWNNGQVMIRTLNCQPLSDGAMSVETKVIPLRSGAHGV -LKHVADEYEWTDVTPNLCFIASPEEERICSLKHNENGTSENVMAHWPHCAAFDDDWSTTK -LKITGGTTGASFVLDTIYCVEYVPSITSDTYAIAKGSKSEKPTLLKNVNDAAKSLPIGSI -GSAIDVLKTGAAIAAAVF ->YP_009336812.1 hypothetical protein 2 [Beihai sobemo-like virus 25] -MNNSLTTQYLGIPGEDPTSPPWASGNYVGPFWSNGKLQESVEFGDAPPLHELDALARLHD -TAYARYKDSAHRAAADELFAEEAEKLKKKYGPNWAGNPQVAAKLVRYGNHTIRAASRVGG -NVATGFKFGGPLGAVGGLLYSGLQNIKQSHDMVTGNYLRKERKDLLSLFSKDPMKTIKVQ -PIGGSVVEPGSSDSKPKKKTLVEKLKNLVTSPTKIVPVKEEEGRPAWAVKQSRKLEKFRQ -RLNDANNRQKAGLPPKRKPKRKKNLGAALPDAYKERKRQEVERKRKEKRKKILLKVGGRS -A ->YP_009336807.1 hypothetical protein [Changjiang astro-like virus] -MFEHTVTLFTNNHIYVQDVEEVGCRLFMLPWQIWSRRAVPCGCIDDGSFRYHRWNVVVYD -LRLCLYFCVEWFDSSPIYGVRPIEVLSQWRLCVVRCGHGATYLEGPTPGILGVVVNISLY -ANTIRLDMARLCNSADRHYCDHRQDSTRRIYTQFNAYAAISVVIDGVVRNVESYYPTWDD -TCDRCMSGYGLATQTENEPNCSRIVILEWAAYEGNLDNIVRLVTKRHLADCYWCRALLQR -ICIKWYNWTYSPKFKQIGLYADPGFWRCINIRCDRSLLSGAIHERLRSDTWPLENCLRGG -YY ->YP_009336803.1 hypothetical protein [Hubei narna-like virus 9] -MPQAPQNNNKQNTKHSNAAMQKINEQNKNQNKSQKKVNHRRGYQQRPTLNQSQMFYAALT -CPFDPNVLGVQVPDPFPYPTQVYHVHQTTVIGNAANNSGSGAIAFLPNPVLSLIDITQAN -VGNITGSCIKATPFTRYGPLTPTNVSNAILGAITPTALSDVFADYRVVSWGIKISNLMPE -LVATGRVIIAQIPLGDTIPSYPNLASAIQPVTLESIFGIDPVFLGSSNILELPTGFQLTA -QDFLHGDLECGGMYSAADFWDFKTTRDIGKLNVGGSPGTIFTGDDVAVTTSGLNYGIGYK -DMTRCRGGSAIVIYFEGMPSNQIENFFQVETIYHLEGTPNFSSISNNALISSTARKTAVG -TTQNVEQVMAKASKVENVFTWIDRGADFLNKNKSTIMKVGAAAMAFL ->YP_009336799.1 hypothetical protein 1 [Beihai sobemo-like virus 2] -MSTKDKRIVDDTVRELSKRSKPNKKSKVESIIQTAGSYDLQYFDEISLDFLKYRHQVDKP -TSSFETWKDSLTPPVKVSMMKEWKKKQMDRNWEDDPVPGWRVPDALQKKYGFEFLRATPT -AFSVPLEDSNEVVQKAIIDTPPSYRPPTEDIFGDDEVKNEADDPPAESGSDGMNEPTETG -PSNPGVKSVYIVDYDDDKQLPTEEIVDIINNYVVMNVAYNAYFEDAIEDIRNGDKMKGRT -LEKLQNRLAGAWGALKALRKQSNYHIAVQLANYKGVEALHSEMNQVTITMAERSEIIARI -YDDAITKSKVQERIDKIAKELSELRDNIQSCKEIKNPSSKLDLLASVESKIPKIKPEADY -MPNEKSGLAAELEKPFNEAMNQEIEKKALELLESVQSLNLVAPLGLKDMLRGATSSSSSM -ITPESSTKKKRTRSKKKKVGDGSDKRDQ ->YP_009336792.1 hypothetical protein 1 [Beihai tombus-like virus 17] -MMNTRKQIRNLNFEELTKACGCDRDAQNWLKVALDPFHDYNVQLAGLPDNDSQPSAIQIV -PKVYQIKAPAGLAVNETWSAHISTLPIASTHACRSYYRNNTSGVVGDAAVTLSNDGQAGE -IGTITVITHGDAGGLNGYDNLSYPANVAQATDFGAITREFKAFSLDDDNDKTMKKLVAGG -FEVHNDTAALYKQGSVTVYSSGQGTQREGALLRNADTSTVYHHQHLRKSRQPPGSKAEAG -SMPDSRTFEAADGCYVPIRLGDDTHYSIASRTTYLTESVDSSLVTTRPAGYVITPFAATA -GYSEDYQANHRPLDIETTGAYFSGLSPETTLTLTIKFIIELCPTSSNQAMLYMASPTAMY -CPRAIELYHQMIRSLPPGVPVSFNDKGDWFRMATKVMADVAPLVSPFLSALNPTLGAGVQ -LAGQVAKATNKAISKQETSVAPNQKSTQPPPRTKVVSQPNIGRSVARKK ->YP_009336789.1 hypothetical protein 1 [Hubei tombus-like virus 8] -MQNNFYEKREFQVNEVSARHTRERRNLWAEKWDSFKSGFAEMYYTSTFFNLLCCTVPEDH -LEFKLDQADRLAVRKALMQSLDYGAHKSIIAATMEDISEHEGYEMRMDPPVKQVPVPSSS -STALIVRNVNLQPQGAVMLKPVKGVQGVRSIPRFTAAVVVALRGKLGQLNPSVQGNELVL -EREALRMMRDYNVREVDRAVHLPRVMAAYWSADVHYRVPTVESRMSRFQRWLLNRPSSSP -QYAVPRA ->YP_009336783.1 hypothetical protein 1 [Beihai tombus-like virus 13] -MEMAFYQIREMPPLLARIEERPQRRAEDRPVLSRMFYKLRKIKNHMKKAPAEEHNILPVL -QETITPVALHNAFSLLHDAGDIVNDEQIDIVLSETPKKVKDVIKKVPKAQLNARLATVDE -NGLLQTSANFVEHDVAIEDRFEMSTDRERIRPAKRIKGEFRKAVAAVVKSDMYYYMKIKY -FMKERTPGMVQQIINDCRVYLTKSGSLMNTKEDYELVSSLVLAIWLPSREELRFRSAMKN -NVVLDGIEAINQFNKGNLGNRFSIPIPSVSRPSSLTKQVTINPKQ ->YP_009336779.1 hypothetical protein 1 [Hubei toti-like virus 13] -MSSSQQSDSKKDEGKTPSTPNQHSNNAPSSSTLLKQQQFFDPAHVLQSTTAQVLKTWFLH -PYTGVGPHSVSFSQSLTNRDIINMYSQSGNYFYHSNCYSASYWTASSSYHQYAYKKKSSL -TPSGGSSTPPAAVQSSVHSEDSYSFISDSGAGLLDIGPNVGIYYQNFDQIPSKVVESLSP -SVIKILKESDKHKLTLGRKLVLPSTWRKYQPFEATRWGKNSKDKINQAGSSETEIDLNTV -TCWPRIDIKYPNKDSVKFADKKWTPLADKSAYYTQAPIPSDKFPEALGIEGIDDATFQGN -LDCGDTVPVRSITRTFSMLPSDIDANRSIPTTNVYSQFLVKLTKDLPDDAFRSMISRPAI -TDADSRIWLAYNLSQLKYGSYMDVIPPTVAMLLLHSLTADRHWVDPIIFRTIRNKSIRAY -DKDIAISARPATEYPNLIVVSSHLTHFVKYMSRTVPVHDSFDPNMIDDDWIAVPVTTELL -AVPTKLGAFVMCHLSSEFWNGTITWSKKHAYSIPDSMIPDGQAEGYKQKFRMGKEYFMPS -SNSVYIDGVKKVWLVIMPSTSNATATIKLYNTTVPRSPDKDGKLSPKDLTPFWATYWQGT -DRDNIPLLITDFFWALCAMTNSTVTPDSTRRAMGLATELCNVSYPGNRFQNSTEVQPALI -GGAWTYGGQKVFKNKYDSSDWVDAHIPVKNASYPRRTRMAGFSFSATSPFLQHPSSLSTL -TQNLYLYNLGMTTGEDQVVKQIWVIDKIRQRWATTQPTYNVPQYIANQATSFCRLSVAAG -FLETGGGREYRFSVSPAVQQFLTHNGAAMFGNTTNFLIANDIQPWFWMGYGYGDHPHFLD -AYATLFKQLTQSMMLPVNIYNLAISCQNLDWNNIVEYYNTDPFDQERWMQSAPIPVSNYL -QWNQKMDLPQTPNTGEVQPVQLFGKQLYGLKLDRTNSDLKARIFLLVNDRKTEWPKATVF -DANDETPYNEWMWLDDYYFMSTALVDAGQMVTTKWDGNAYLSSNTYARSTSPGVLGYEAE -TLIVVTSGLGLGRNAVQSLPHVTPVSLPDPPDAKTFLAAKVADSNPPPPPEMKSDPIPTK -EQVSAVTDAVTPVTDTITQA ->YP_009336774.1 hypothetical protein 2 [Hubei tombus-like virus 10] -MRKPSNLKSANAWAKSLSNPFGAPAAHIPDFETNSSGMITSTLYTQVLPLGYASTATTHN -VGMIIQPHPRVHFTQLSEVNTGTANMTDMNSGNTDVGALLSVPNLAGFGDQVRYRMTSCG -VRVTYAGTELNRSGEYIAGFLQTDYPAQGTTAAATGIGPMSTLMPKTTLGYWTMAQIIGS -LKNPIEARICDGTAEFHWKPNGVPGYGSGGANIAYFPTTSGTAGAVTNPSGYASNPGEGG -VPYGSENLVILIRGDTTAAAATTGNVYDVTVTSHWEVIPSVLNAVVYDVSPSLSDPQALS -AAMNVISRRPNPLFSTHGVQETTFEEPVYNVKKNKVKQIAQAAQESGLVQELGMRALRVA -AGIATRKLAGRTRAPVPPGRRLEF ->YP_009336766.1 hypothetical protein [Sanxia atyid shrimp virus 1] -MADTAKRPRFFTRPPPMMPRGPPPQARKSARSRKNGQSSDFFEGVLDALRRTSSQPDVLC -LLALALLVAYDITHIDRLAAFFSARTSTTQLGSWISANSQVIAGLVILLPGLYKFPVKSR -FIAGIVTFVTVLALPSQSSYVYFAVSLGMTVFWQVRQPGIRLVLFSALLLYLYVFNGAAL -GISPTTSATPPPVTTTTASTTTRPPAGR ->YP_009336765.1 hypothetical protein [Sanxia atyid shrimp virus 1] -MNFLTASKTTLALIWLRIAPMAIFWIVSSVVRLSVTTVCPNQLLNRLNTLNSLFPVAISV -VLHVLRFFLTLLSHVNILILMLVIRGLEFSSLIFSLITSCPLSLLLYYLLLFLLAVSPAF -LLAAAVANKLFSPSSATTCVFMALLPLVTSDALFLSSSSVHFNDITQGSISPSPSVPSGQ -WFLTSPLTMLNPECSYILSTSRIWPSECELPLSCGDMLEKQSLGGAFFSETDLCFRFFHD -YHTFQSYIVYGILEGTLNTSSYQIDFHSFDPPIWLHSPSARRSGWIHLDAAHYFLHYDPL -KNKYAWDSSLFNTKRECHMVNTSAVFFEAPYIDYNTSSLLLHDLIFQDYLSSGIPLNYTL -HPKKTYAFGTELVLSFVPNSYFSFSVLGNGFISSDSFTHLLDNGTYITIESDPETLSISL -YPLDFNPFVEIFEYKGHSFSFMCTSTCAVEFLHYTNILSWLNLTSTSIKNTKERCVRSIS -KVSYTNFVTGIVYRSISTFEHAVIRLFRLFVDAISTAFQQLLTYVLSMERQFKIIEFGII -LALCTAHFNNISTALLLTLSIWYVAPFNTQ ->YP_009336764.1 hypothetical protein [Sanxia atyid shrimp virus 1] -MSFLLYLANAAIALYSLDDRLASSEVYIAGYGSPASQHEFSHCFQDHPCSNLAKNCTHGH -LLDRQFGGQAQCYNCMPQSIAQQIEHSKQLVSCRNIGGLARVAIFPNSSEPCEYSYSYAC -YQGIGVLFTDLFPNYFVPFVFTLVLLVVIFVGCFSCVFARCCCR ->YP_009336763.1 hypothetical protein [Sanxia atyid shrimp virus 1] -MAQSSPCPLEVLNNLKQTTGTHYSFIDIHFPDRWETTLLYSGHSTTGAASTKRRARELAA -IALLEKFGRWPVSSARITPDLVISLLLKPRSEPLTFYSIRSNVWVKSGTVHSLVKDYSDL -YRFLLTLN ->YP_009336739.1 hypothetical protein 1 [Hubei tombus-like virus 26] -MALPKASETLSPQDFPNPPKTLAPIPSLPDSLPKSLKHSRKCTPGTFDHQRLASELEGVV -TCNNTYTHLHDTVNIHTNLQDELNAHLELAMALKPRNVDFYRQAIGESQNFLNRYNVNLP -SARLLLIRNSLDFLSSLTQTEQLIHSKVEKTQKFSGLKYIKENSLSKVNHILSKIASRPD -FRLKAHVSRSYKLYTQLKSHIHYQYTLTLIPITLIFSILTLPLTILRALQTRWRASSTGT -TTTVFLNPMFSYVLPKFSATSQNKPLKASEIYLRHTAYAETSAPCRKMNILKLCLLSTLA -FLVFLSIMLITM ->YP_009336736.1 hypothetical protein [Wenling picorna-like virus 9] -MSNTTNKKPLQETKTTGSKSKRQTGLDKMGGDQRTQKKEAAANLLVPAQASADVRGPVTI -RQSNQVAPDTGRTQCNSRAAGVVGFPDADITTQTTRYVEAGSFPWLSTSDPGTTIFTLDT -AGMFSAQKPFKCNEQYYRNIRLTPKIKVVLNGTPGTAGKIVVVYIPHGAEHIAWDWKQVV -KYPHAYLLPQESSSVDLTGVYVNIARYTDRVPIANPSVGRFEIVVFNKLRSAVATDKVVG -HAYLAFEEVSMRVPIPTSDATLMEDLLDTDLALLEEALRRKKMQPKGILDGVSDLIDGAA -KAVETAGVLGFLHRPRDPTQDEWSLVDTSLPTTRIGLTSHEACPPDAELSQCDNTDAQTD -CIHLGQLQVLHAQFEWTVKDVVDKVLYEEIMDYGKAAGNPFRMPLFHGDVTFNLEVIKTP -FQKGQLAIDIVPTDYDETGPNYGLQEVFDISDENTKEVTLPWAYATDYRSVTNVDRRWMK -LRVRVKAQLNVVNNTSTTGLDVNVYAKYGQNVKFIAGPDWTSVAQHPEIVNSPPPTSSGS -DHRPKGKEDKSESPKPVTPPKDETETKTNKTAKSDEVTKQTPDAEVSTPVDSVRSLPQDN -VAEPAESVPLNQVSEVEKATYHPANGTNIVNWGKVPVYLTTANWSEEVELNNHIIFTIDL -GDALRSLPCSVQYLILSGGVNVTMVSNMTVNTPGSMTWSRSVYDRVNGQLLAQRFQSFNC -SEHRSLRLGFNYTYNVPAIFRDATSDETVSVLITCAYTGTWKKGQYFDFYISGADDLYLQ -QPLPVPFDSPIGFTAKMIVMQAPEPPKRTFRLPFAGMFEKSDATVTPKGLCDNPKPDTQQ -QPKTTHTHYRMVMSAEGHVLVPTDGAGCKSCDDDDSEAEDADEADDCIHDDGVCYQFNRS -DVYTEIFKDMLNSEDVPKGFFDGVFNKIGAALGAGAFTELKERSKTVVQDYLPKKQNFAS -FSKNFMAALSLKFIGYLTQMVQAESLAQWIPIILLMASDMILATSAELASTLGSTALDSV -TSVVMAIIDKISGEEDDKDVELKDMASEDTPRGKGTCAGPTWIQAAIGMAGGFMSISSHM -VTRLWELVRAAGLLGNAIRGVGYLLEALKTFGKWLGLLADEEGEARRALRDLIEQSPDNI -LSIQTVTALGTRALSAMSYDNLDDNHQFAALVVQLGYKIKKQPGEIMQLVESCKKFIAIA -TPSINAGRKLGFEAVWVNLIGPPGIGKTVASNRIVDGLSRFLTKATGNIYNLSLTQDHWN -GYTGQPVVQLDDVFQDPEGKGVSTLVQLISSSSIETPQANLEDKGRQSQVRFYVSTNNIL -EIPQTWVMDSEAIYRRFKDACFRGVSQGKWVRMCWTGQTWTKETNPDSTLKYYTDQEVLD -FIIECYKTKWDTHIAIQASMSDLQVEEAEDRYACETQIPLKFTTLAKEKRETYIITPKDA -ERAKEEIEDLNVNEDYILINTIRFFSKYNITGIIALPQQPDGTIRFSLPSTRSVREAVRD -AGEDKGMLLCVIEAITGTKAEEYLPYKSATIDRLVTALDELAKHTLFWKGFGISLGVAAI -TYVAVKAMRMVRNAPKGRYESAGPQRRVIPLPRVNNTAPMTARGVSPQGLDDKTGIIHKN -LADWRCIGGYKVKILCTGRIGIVPAHALKVGFAHTLTRTIQGVERTFDVLVTPDTYTIAE -DENGLQDLCLVYLGSTIPAFRNITNMFISQDSLITLPDGPAAAVLSSWGSNQTHVVRSPA -KHVAQWVKWDFDPEGPQGMRGRWQKCFQLNFTIEDGMCGCPVFTTIQGRDARIIGIMNSG -NDSHSNVILVSSDIIRQFEAYTVELGAGRIPTEKEIPWVEEVSFVPKGEIRDAQADPVVK -TADLPIAERPENPIFWESNKPLTSGKPPSSWLNTRFQKYDMVEYGVVENAGSYEPGRAPS -TRSQLSERAFPESVVPPKAFVQKQSETKLDGLAVKITEDKIVNMLSDVIAPKVMTLEEVL -NGSQRVTSHGNSVHTQPMTLNSAAGCTSLLNGARVKKGDHTYLVDGVKQFTPDFLDRYTL -LKEDIDQGRKPEILTGMAVKDELLTGDQLKAGKLRLYYPGDMLYQVLGMQYLGDFFTQYA -DSGLFHTLGIDCPTQWENLARYITTHHEGRPHMAPALGLDGKSWDISQSPVMFDIILRII -HRVAASTMVGDELDDFKLMTHGLLENLCYSYMGIDARYGVMQGHKSGGPYTTEFNTIIQL -VIMIYQLVTTVIDSPTLRLADIDVLIKGVVFVANGDDSLHGRPAWLKELSTYPDMGQRQG -ETDKKHERRLLKFYTELARKAYKAFGIATTVGDKFGDEDTFVPITQVTYLKRGFWFSNGH -FYPRLDERSFNGLMQWKGKGVSTGEAVHAALILARGAQDYQNFMKAWIRLATIDLDLALK -WQWVETGKTLGLPAHAIPEEEALTDTDAQDLVNASQLPTRILLALYGKFPVKDFIFRRQS -ATMCLRRVADCYLFGSTHPRPEDLWNDWTWLCPVEASRIEWLSENWQTARYMSVREASRT -MDREVTNIETSVEDTTAAMCLLESTIDTWDGEGREPYPGPLIRAPCWRPRYLPGKCGVCP -EWIAVTIPDGEMVWTTQECIMAEGMFEFDLTDTLGQWVDSDDASCLALDWGVYGMTRASF -DYATDLSIHGLGLV ->YP_009336734.1 hypothetical protein 1 [Hubei tombus-like virus 12] -MSKPTRRTHWLPTATYRGRRGSKTPSGPSSVASDLPSTDELRSELSRLEEEAQKVQPWTD -VEVRLLGFLRGRLYGAKRTPATPQSLNLQGLNWCVKNHDHLGEYQHPAAQAALVARCVNV -VLPPSLEEAGLIDVYQREGEKIKAFNNGLDEMGDRREDLRWLVGLGGVTAAVAGTVAGLT -GSRVIGGGLLAAGIAGVGIYGWSKVRDITRRAQAARWY ->YP_009336727.1 hypothetical protein 3 [Hubei orthoptera virus 5] -MAGKFVFGLTVSILVSFISGRFVSKHEVAIIADEFTTVTLGVKILMPSDLVKDILTSLPD -NAPPATHEGRAKLVKLLSELYDRSLRREMINVNKAVTHDLSEDSYMSNLTLHASCTYFPD -SNSSGDCSNISMGSDVRPPPKTDHLELYLPRELRSTRRKRSSDVVSYMNTLLNLRSAPDE -YSCSTLIPCIYHLTIGQFTYLYIVPQGDASCPSICEDGVSIGVLVASTTDPSYSWRFGNQ -ILACGTRRRNSLLCSIFPRQHTCTCEVLIQANNNLITPRTIRRGKRGVTSGLRRAFNWLS -KVLKWGPKKILHSFKKHPWRTVGGTVGFASGVYTIYELVELKLSPPVPSHEEIVSIVEKM -RQTDQALVNVSDSLYVGIGAVHDDLLNFRQDMKDSFHQLGQWSDQVTVIHTLLSLCVSRG -QSGLSGLLRGELPQTVISQESLQLFISTLLGKELSSAVAMMPLPIRLVNADFISNMVWVS -VRVPKKGTTLLGRVYRLDGETRTVNTPNDICYTTRGDQYYFAPTSPDIKPTPSHRITLES -CTKSGGILICPYGSLTDVTKTTEHDICFSRYSSPKRSRRDVNKDIITEVLRKTSTFTEIP -LPSDTPPSTFHQFTERYKVAISSLQESNKRLEDAWQELLEKHSSLNLWSRLHLAWTSVFS -FLTLLLVVKVGWDILTTRRFRQGLKVTPDHHEAVSLRERGCDTI ->YP_009336726.1 hypothetical protein 2 [Hubei orthoptera virus 5] -MEGFVRSIWGDDVMKVASSVFGDELTPLLDRLESNDLLEVLAAAKIYDPSKKPSDSLIQK -FLTRDRRLAGEGVNSAANRDKLLAALGKTLSAPSNPNPACDNPCSSGKKPLAVGTSKSKD -RADPGPPPPKGSTPTGVPSGSSGEVLPVLESLVQSLTLMTKHMASIGASIDKFTESNGEL -TREVRSLGASLAALAESHSAKKNLDLGFAQPPPLRQGVSLSGSNASTFGQLAHGVSVLGG -SSMSSQGMGYPTDGFGSGAVYSSHATVPTVDYKVPGDPVFYPALPTKK ->YP_009336725.1 hypothetical protein 1 [Hubei orthoptera virus 5] -MDTFQIDPASDTPCPHRAIVFQPAGGRSVRIYARPPDQGAAPSYPIYLCPNADFLTLSVD -ALTIGGYGDHVQYDIRNQIEPQDWVADSATTFTVAPSRLPVWIGIDHAAAKYVVDRISPV -ILSAPPESDPRLEWIASYWLNLLVIYSPPLARSIRAWALAGENPLYTLQEVQEKYPPAQG -TVVTPIAPLHQLIHTYFAMTLGFKNVNSDYISDWAQKRANSMLAGLGLDKASASAAIAGN -FPTAAVTSTFFQSHPTLLRFIIDFVTANLTECEDPGIQKLCSIVDMILNKAGMATIESAY -NFAKAASTAASFLPRVAEQSVALIEIWEAMMKDEGEVTQTAAGNVHGLGAMFPYARALGL -RGVELLNVGKYADLAYCAWFQRADENPTYRNMAHSQATDQKTLAAHTKVPLSIGYTSLTE -TVKKFLDERGISEAQYTEGCKQAAKNMELIKPEELRSNFELLTRR ->YP_009336723.1 hypothetical protein 1 [Hubei unio douglasiae virus 3] -MAWWALAYPLTQCMLGLWTSIALWQWVAFTRAPHAYAVAWGWLPECQSTGVWPWSRDTML -DAAHRQVWRFARARGLCVEPQHSWWWLVIPPLCLILGYTGARCVRYGVLAVWFEGVRTLH -PKADTKRKLSGVKCPPRVATNPANPHAAGAAIRLQVHKFVHAICAAWDTGATVVRYDLSY -AKRDEMFGTSVLGRRWLRSAQDLSQPVRDDPRPIGVPVSAIDTAYYLRPYDWDEFCGELI -VFNAQLPTAIAWKESWTTAYFDAQSVLHEQIAGGKTYTHPLPEPGLHELFLHTRGWFWNQ -RVVCYEQTVVRVPDSRQAIFIWTPQYTITAPWWAFCAMYRFMTGSVPPRPRNWEGAVRVE -SSAGDRKVSVVQMVTPDGKISARLESTIDGRAFELDRTFVETVALRGAVHGSPVSIHDTS -SMANRMEGVSTDPAAVTMSAVVAGAGVLPVTTTPVGYVPGAGVETAGARVPTKEEGIAGL -VNPPASVAAHTPAAVAAVLGRVEQLQVAVPRTISPALKSELMAAARYLGGLIGPMDPKSR -EFVLESMTKPAQRARNAKLPQQDVAVMPDAKPSTMQKTEATPKPNPRVINIVETDFNVEY -GRFTKAFYAGLKKLPMCGSGVDATALAAKIADSTVAGLLDSIDIADMDASQGTTARVDIY -EEVMRTVFAAHLQELEPLLLAARTGKLTYRSRVDDAEKMVAVVSDPNVSGCSDTTNVNTI -IMIVVALVAAQRTDGGYDTHPCGLFSGDDGLVPKRIRSAFDAVMAELGFTVKVEDLASPE -AVKFLNQIFVSPSTTRTSTPSMHRLLSKLCVITTANAGLLEKIMGLNISYPRNPIVQAVT -RAYTNAYGLAGADMQRERARLKKRPTYELAAKQEAGAFLYDSKDEAAVYREIAHEAGKDT -AWVRAWVKELGKVRTQDDLLKVGFLRDDGSEVDGKYPITRVAYPAVPGKGKRPGSS ->YP_009336716.1 hypothetical protein 1 [Beihai sobemo-like virus 20] -MLDSRFGVQKQESEDFLNKILESDDIENVESFVEPNFDEGFRVKDRKGRTHVFWSDNITD -EIVTKLNKKSKKASYTLTPVQLQSAAQIQPQAADNPTPKDTKLSDSEIQLREQINELTKE -VTKLREEQKALNVQPQRPVANVDYQQVQEAQVCMGLYQRATQILTLAASLQQFLSGHFRD -EPARVKQFAANQQLINGVLNQLAQVGYAMSAKMTTIAQLSDEDLQNKNRADRQRKKIPMP -EADEETRNMIEEALQFANEGFERIEAIPDRLYPDYAAPAPPVEPQGASDYADCMAKKYSV -QIPTIFQLGSAADFLSHKASQTQQSEGRKNIRTEKPQMSEVEKQTATLMSNFPGLSKKLS -PTQVATSGPKPTEPQLPPVSPSTHKEEPKPAKTAWSQQKMSEPSVQDVCANAIEMLSATS -SQSDSLAQALSLLKKMQLENEKEVESLQEEGLPRSEELREDEEDEFILVEPQKVKDMKKC -PACHSKVKKGDTWEDHKKQPCFVEWSKKRPPLNTNKVPRPKTTA ->YP_009336712.1 hypothetical protein 1 [Beihai toti-like virus 4] -MGNAGAKGSGEGVGSAIGGALTGVSPMLPFPLNLVTGLGGTAANLVGKLVGDDPVVAQEA -FQQILQQNPQLANQVQVLPPGPQVPPALHSQIQTTPSSVVTSGETTPQSNQSGGVEGLLG -HLKEANKLQSFGPFTHAPTQLNQQIDQLISHLGQGHLTKPTMAQVPTTSQIAPNTSIANK -LGTTQLTADVAEQHYVKPIESALVSGEAGKVGSTNPATNFALALQQGLFPQAQNTMKTLE -NQNQETNIPRPLMPGSQNQTELTNPKVGTSTMLPLVPVNNARQLNLLNGMNTVGGENNIV -NSNLGTSTTSARPTSFGGILKDTALDVANVGAKELGKTAGNFLRDRGPDMLKQGISFLGK -TLGGLFRGKLVGDAPLQPGTWSPGDFNLLPNTNEMFKPGAIGTDIVKMIGDAPIDGVMSN -DEASAAVKAMTTSIAITLFAKLVIIEQTLETALPIIFSNNIRQAAAQTARQITVQNGMVR -LSQLLFNTDLIGNYYFYDGIPVYRTNHKDWHVESPIYTPTYTGLVPISDSPPLLGNVTYE -ALKPLLSGAPASEYPSTLRIKTRRTDIDALLTISSQGTYELTASAGYSFVCPLVKLLGYQ -SAIGQLPGDEMTQYMSGVYPVINFSQNPQPGATIFPWRSGSSDLVNHNTWKWLKVAWVTY -TEFADAIVGMRAPWLDVFQPINWGITCAVVFISRSEMQDGATNIVRMLSHIAYPFVIFPR -EVKWVQAHKDEGFREPVMNTTACWPHIFSAQIEGPDQAILFVVTDLSDRQAGFITLGIDN -MVEVLTDVITPNTPWQLDEEQHETRHPILMPIFSVINEPARFQAVIMSEIRRWESYYGNN -SDRSSAMRWCAEQSVRFGQPKNFCFTPTGTHNIYTGYTYNADPSVSPYGDLSKVFIMSED -GKKRWMFANMLSTTPLMITPNILDGYFRTPHNEYTTFATTHFLGQEDPVVNFLIERGMVS -PAEEYPTVRFTLAPKNYSAITELAQLMAFIMDSSAQARSVTVKDYYSYPNHRLNDDTNQN -VVTRMALEKVFFPVGHAVCTNGIQKPSFSVNYQSLEYNHTFPLYFDAVWSFLGDGLTSAK -NSTVGVFGRIPWALAERWHPSFGIRDTNTNVYGLDVKITEHMGVNGYEPHELISMMSCDY -DVQFIASLFRVSKFLSGLMVSSLQVNNPLWLYDSSTNYFYYLAGATLPLDSVVGRIIALS -EAVGVINIPNFNLTPLPICGFVPTYNISGGGRKFRFAAIQPVDSFVNPSGSNDYQLFYPV -KTQASLRTTIGYHEFGHPLFSDFTSNNPFAY ->YP_009336711.1 polyprotein [Biomphalaria virus 3] -MDTTIKAQPQMTSLIPDRTVQKQVGPTAFMMGNSPGKRVGFRIEKNNSVSAKTIGFADVD -LPFLLQRPHQIATATWTTASGVGDTVMEIKGEDMLKVNTLKSILSYQYFYRFNPVLEVTV -SASSTAVGALLVYWRPTDFQQQTLDIDHAMLLPHEVLQPYKHASVVVKGFYQRPIRFNSW -NDSKIGSFFVRVFNRLDGPSSTAAQNSISVTAYVSFEEVSTRMPKTPPPALYLDRMTLVE -KRELLDQLNSIKEDEEVVRKGTDEEDLDETFVRKGEVDAAVTSEITENLSTPVVVTDTDT -TEEKATEFGNTTGQTVGGHSTQYRGYMSSDHGNLKLSLQRFGIIATVPAVETNKWPTTPS -TMQVFGMMMDDMVRCLAPACSYYCYSGGMRLKIVTNCDVSVKAVITVSTMSGTQYFNMRD -NTEITVTIPYYFKQSARILWDKQNLATASDGQSVEVFVIGLVPPDTKMYFAISTADDFVL -QYPLPIKDLKPLTWGVKPIVYDGVAPTLDTEIPNTEKLVKRLEKQREEKFVRKGLADAVK -AAENAGTEIVHAIGSGLAPIAGVVDTVVGFAEDAGKILGLFDKPDAPQMNEWNVVDHAVP -TVRMQYCSSDYVKHDESIPCDGRGVEMMNMSKLLEIPTRVATWSWTTAQVQGTAIGKQLC -ELTSHGLYSQWKSFLPRWWRGSVCFRFEFVKNVFHKGIVACVLGKGTPIVTISNYTDFQN -VVFDISSGDSFDFVVPYNALTDYAEHNDSLGEVTIMVINALVAQNTTTSISVNVYMSLRD -VELRVPPLPFDYIADLNKTKNYVGPWADPPPPPRRIPAVGKTPKAVEPSSEEFQRKGKMT -LLEETQISETQSVKKWKLESAVFSKQGAASKECEEDYLNSCELRIPVTGKLVAGPPAAKA -FGRAVIDMDAGTVHAHTKNCCFRMDFDVKATTHAQVIDAMSALMSEEPKIPRLCAPKRKM -KKRKFLSIAVRNFVDDRKRYAVILKDESLVAIEFAPGLMWDAIAWALDQNFQVERRGGLA -TKMGEGIGKGVSNKIGVDSIKTKVIDALGTVKDKIDAVDDDVIKTMTAIAGLKVPAYLFQ -AASLSDYKGIVSIVLHLLGDALVALKGYRMVVDLVTALMEKVHAIVAHLTDVIPKADFGI -DKSLWKIIKSVIRTVVEKPWSKFSSFVTMLKPVALMGQATRGLTYIVEFFKGILNWFGFF -LSDKKKAMLQNKQWLEENSVQIDEDILNMREFIVNGNVHALATDEEAFKKIKSLALRALN -YRERMLGIMSEPKCRGAADLIHNFIKKVQTLPNDPLNVTGFEPVFVLLEGPPGAGKSLYS -VRLAKLFAKLLCDDERQFYRVSVDADFWTGCADQQVYVIDDLFQDPSGEGVSRLTQLIST -VGCAVPKADIEGKFGMSQAKVVIGTTNSSAPTVGSLTEPAALKRRYAATHFYKDKLDGTW -QRVTESSKGKREFSDVHYTDDELAVYVQKHFDRKWRRHLGLQAVEPLEEYSVLRQTLSEV -REAAIRSHKSQVGEAVSDVMTDSEPPSESEVDDVMVRKGKKDFYNHATDPGALAMIYRRI -FVIDNEELPEYCDFALVDGWSSVYVNTGDSANDYFAAKLRALQVPYECRVMGVNVLMSKL -GTDFINHRVSTDLQDPRNRFLLAVSYWRNMDVQLVLGIAKSQGSKKVSAMLKGILNAITD -FCLCATAVITAITAVVILVVFCFAMYFASQPVESKGAYDTRPPGRASSQVVGVCRKGFFE -DTQPHLVKAMMGWTCVNSRGQRVNVHCLSVGQGYVLVNKHGVLVGMQHYLTYWEGAYKRV -VPVHVSDATVVEFTCGDQIGEGGSVVLDLCLVWIGSTIPLRKGIIEYFVSQAQLEILSEC -DAAAFLERKDKVTVTGSNVKWTEKQRISQTALGEEIYQNCFVGPIFLQDGDCGSPLVVMG -GPCDGKILGIASAGSVTKGVFLPITKESIQDAMGALAEVNGNTLLPNEDTEMYLRSAITI -HPRLAEKTVFRSEGSDHPEIPGQNPQFLPLAFGSVEEESRVALKTKLHRRSGYTPETEMD -LVSVPVKGISIAGMRNSKAKIVFEDGRKADGQIFNNRVPEADYDSPRLDKALEVVKDVYK -EFVGPCRVYNEAEVLNRIDVVLSEHDVELESNPVNGSSASGHYLDKNFKKKPRGHWIVCD -DAGSRYYGETLRRCVDDLERELRHGKLPTHIVEMHYKDELRPMEKIEAGKCRIFYVADFA -VWCLQKKYFGDFITKFRSGHGFRGKHAIGCDPVKWWNSWGQVWKNYNLLCCDVSGWDTSV -TGWHLELVRRVIESFYVGATDEDKLVRKLLIDGISWTECVLGDAVFMVSGLKSGMFATAE -FNTILHTIIVYYVLDELIPSHLMIEWPFMIYGDDGAVPAVDLVRLGEGVECEHKVYVSCC -GICRVSAEKQLIDVFKRGYKALGFTLTGQNKQEPTLCQVEEAVFLKRTFHPVKMGCGYLG -SFYVPRMDRSTVRALIDYQRKGVPFVENVKNALVFARQGWDHEMFRWVSAMAYRHAGLNP -CTWNEVASWYEPHRDEIAVIEVATWFPEPCALFRYSPGLLVQMRLKFVREVPVLAEDVWR -WVEKGLQMEPMLLCEELRIEHPIFSFMRKTLARQGVVRVKSIEKLCAMWWFFCYFTEETR -WRQVVESTAPVVTVSDLEKQLEVLQALPWTCPLVNVELYAALDQAEEKFPSTSEMVWWAI -YHVYQVLYPDFGGSLEDVMRQFRATGMEPNDAVAFEEAEAIERKYETCPSRLSFQASVWD -IEDPLEQEQMLRWMYVKPDTSSRHWVELTEHGMEEYEMKTPRCMVATSYVGGKLVYMKQL -PDWKTNSRKPVASDSVEEEVPEEAHCACGCDD ->YP_009336702.1 RNA-dependent RNA polymerase [Sanxia narna-like virus 1] -MTPQMREHNSARSRAPRMSKDMHLRSPLNRGPKGADFLVRIPELVADPVQVSKLSAKGVW -HKSDNALVETFRLIGYEFKNVLCPSPPTSSVPEVMSVLKNWVAFWLPWFLGDDTPPQRFN -PLSLCSPGFRSFIRNRKTSKTGKSRKIRIGALLLYSKRLFPSFTGEMVREKVKEFGDAVT -RVSPGDLPRKRKMYGSIEQSIDEFLQGGRMVADYSKPFAPSTSACYEKSRAEGGLQRFVA -DNILQETVESRFFYDDLNHIWKCSEDDLTFGTPIGLWNEFLDIMFRRAMSETGLPDQEWK -RLPVQATGLTEPLKVRIVTKSNWFLQLLTPIQKAWHGAMRSHPIYQLIGGIPVEQALLGL -DLQRKQKVVSGDYSAATDNIFLEYTEYAAKAMLERTDFSFLDPQLVQFVPWIKKLVIHSL -VRSSLELKGMEPKDITRGQMMGHILSFPLLCLINRSASVMAIPRERFMRINGDDVLFPAN -PTEYRKWKRATASVGLEFSLGKNYYSRDLALVNSTYCVWDKASARWKVLSVPNVGLLNMP -MEKQIDTETGRQIMPWEVLAQNWREFSRFSTKKTQSTFVRLFLKHYPILRGFPGPIFGPT -QWGGLGAPIPDGHKFTRNQLMWMNAHRLGMFSYLQGTRTDYSRLSEMYAQQIEKYVTGIY -EWREPEYGDSFGPLESGVFMDPYSKDGGYAGQVMALRRWVVDASSMKHIKIFGARRWNQY -KLSLKTGIPPLPQFYLDKLRSGDIYFPRPGWNRSRDMTGSRYEDRATYLHEIFPSTLKN ->YP_009336697.1 hypothetical protein 4 [Hubei tombus-like virus 18] -MQVNRYLGRGRGWRDWVVPGLQVGGYLANSAIRSYLGRPQTIVYQQPDPLASQMANMSIQ -PAQQSRARGGRRRGRGGRGRGGRGRGRGALPLIAPPGSGAIVAEDTEIIVVKTADKPAVV -ALVFNPAVTETPRLLRYEEMFERYRVVYFRINYKGACSANQRGNIQFAITPEAKSANVTV -DKIAQLKPYRSVACWQTGSIKVDQRVGETRYLECGGSQPAFTFYYVASGEEGQVVGNFHV -SYKIQFAYPKVFT ->YP_009336695.1 hypothetical protein 2 [Hubei tombus-like virus 18] -MEKTPLETGLGFLSLRTLWRMYKERLFVKEATPVCPGVECIETQVYLWAKAMAQENERLM -MQLQSLETLGSYVNEMNTVVNLQNYPIMKRFSEMYGGCSAMKNQQPSLLQVVKSRLGLTS -QAQPCQQKLGISIARTKQTSEILYRKPQEISRNFEQTWLACKQGRYHYLRTGLSITGLYA -IRMSMRICKLLLCLCRALLVLPYTCVNVLSDLLKDMIQEDYPEWKLMKSLEQLSPTPMYQ -A ->YP_009336694.1 hypothetical protein 1 [Hubei tombus-like virus 18] -MANAQPAQGIVGLENIIAQLQQLTQDPNFDPQLAEDLILATRPVLEAHRLRRERVVLARD -LLIALQDGEDPAGDGLGIPLVADALENVQREIIRERGHPGVPGGGMHRDAGLFVGEGNGA -RERAPNDAAAELRDLRVVRERDEHRRQLAELPDNEEIFGDVWWMFGDEEPAAEPPAGREI -AVGPDIPGTAVPAKVRDKYRSNKTDIRDPLPEATRDLPEFRADLVSVQAGTVPLFTHRVI -DHRPLCDQDVYAHLQAVTMFMPRTPGLAVYMRERALRFVEGYDTGRLSRMEINEIIGAAI -ANAYVPSVAEMQLIRTAEYIPTQYRLDRFNEFFRTGDSSSSAATGPKNSLNKVNVVRKCL -SKRWIVGIGLLAMASLVILRKPQLPLHIPTLSRIM ->YP_009336692.1 hypothetical protein 1 [Hubei toti-like virus 12] -MPADLRDYMCNCSSVAQTTGAHASLSLHHSVSRLQVFAIDVPGGEVGIEGGVNYSIMRRI -MPSTGLGCHQGSPWVTSTFNEVLQKLVAPLESTSGNYGALVSNPAYSDFGSLAYLTDVLA -KSTGSWLDESPIVRCLLLGDLSVSHRTLQCDPLIGQIVSDGQIKRVERYKPTYPRPDDAL -NPAEVQAMAVAMDSWVMLRTGQRNVPDWNVHDWSYSVAIVPCETSMLESNSIWLYMASFL -TTEIWNNRYVWKVHVQPRSDMSSEEPEHEYMLMVRPRAAQVEVQGPGRVMLVVTNITSRS -NLRWVTIDGFNIPVWNPASGIGPQSVDIMPMLLQYLDLQGATNNISQNWLQAWKWMCDRV -SSGNALNHALFLAAETSRVQHIAPLAHPAVENSMPFYSINLRKELPRILKSNWEMRNWAD -VDPDPEKEKSRIHDWIEQSIAAWISPLCIHSNLTCSFETAESPDWSKLDWMRHKHGADQT -ATCHEATPDLRVARFVGLIAVPTPIVYIDPVAVQESVSAIAGMQVAGCAWMQMVTGISWL -RWVLYTAAQNDATRMVIQDRMMNEATAHHVSSGRPDKTWINLLDKVLGVQVKFDFPQLLK -NTPSVLPAWWQVKFILQKMNYLMPGELERRQRPVFKLGVLESSMKVGFYANDSKHWQAVA -RYTSMLGVSETNDVPLYAANNEMFSHLTQSYLQLNLTRLFTMCAESVYHSNGTYYNPLTV -VDTACAITPVANSLHTSIPAELLIIKTKAGAGTNVGDQTVLGEWPDPWWDWLLAGVRAAI -PFLGSSIPTAALVGAASGLHSFLAEKLNPSRAKEEQMIARAQATKALESSAEAVHDVLST -GREAVGRISKAINDFTSDQLDE ->YP_009336687.1 hypothetical protein [Wenzhou bivalvia virus 1] -MSKSFIKQSKSQDSFTTKFISPSFADIQQRYSDYMERRIPNKALPLTKGKMQASELLTEV -GGAAVENLVKAVGDTIIGGDNNRKNNDRPGLRQVEPVIQFASFGLLTGVGNTGIDRGIQT -LTNSRAMRTQIAHHDFSHLLKRTYMINTFSVKTTDDRGASLYTFPVNIRPITTSHVPNMT -SAQLPISALAGFFSYYKMECTLTFTPVATVFDRATLIICMAYGTDTTPTLEQASNQMSQT -LEIDGSGRSVSLKVNFVTHYPVLRVPQGEGSDDWATENIGLVSVLVVNKLTSNSGVVNPE -VPIIVSFTLDDYELTTTSQCTNTELMSYPITLKNPPLLGRQPRPSFDDNYIPRTAGRLQS -ATSADSGSGISDGNKLTGTGRSSEVVNSASIGGTKVPSYGDMMRRSNIADVSLQIDQFTT -VGVLQVCSFWDLVSRQAKTALRDFMYLSFDKGARMDINVVVQGSPSIKGALALAFYPMTT -PSEAELFATSLMNISAPEGTVVLQFNGTNTIDIQVPLSTALGGLMRIDSVNDAANCPFTA -VFYMITPYLGTPDTNPVTINIRTKLEGVSLSTPKFVANLESSDTVGLVRGKFQSGVIDEH -DDSYTLEQKPASSAPVPSTLATSPPEDNEKAMLCSWRCVVSKQAPEVSTGGQKGILMPLH -IGYSDITSGAEISAATSYPVAKNISITPGERDMTPQPSGFDADQCVYMTPFPGISLLQCY -RFLQADIDIAVWINTVSGKSSYLVEVIYDPRVLFGAKYPLPNIDEGQFRQGSISQGYMVC -NPNSWTMGNIPFASNYGTALTPTDYDTKYDGIRCPMVIRISSRLRKGVNGGTVTAVPDGM -GFGPGTNKVLDFDLTELQIFIRFGENTTYSIPMRVPQIWSNPEQPEGKYSDSVPTETIPT -VLDVICGVAGAPNWVQQQDKIVKYAELGLYRTTTKGESYSPSSAASYFTSREQQHIYVFT -TALDYSELNALFGTSYSADTVFIGNGMMTQIETSSKFLQMSAFIGVEVLAQNPSAGALNQ -GLTRQCFLTAGYEFISPGTQSSHTSEITLNANAYAIPTWRSAGYIPASYNGWYVVPDWRD -PKAPLVQVDSGVPYQIPNSTLMMADVYDLGNGNRKGLLMGSPFLQVEEDVQVISVDDAKG -NLESAAGIDIVRGQLQCDSGRERSRSPTQGTVSQSTMPALQDWSGDESSDEDGDGGDDDK -PPIRVHSPAAFAHSPTDEGTGLLSRIKSGALKAGMAAGQFAFGASRYDSESDEDQGTGSL -VEQKEAPSIEEESKACFVSSSSKDSPPAKPKFEQEFISNEKERTARTDNLCNRIIDMAIS -WKYKASEMAESSVSKFKKFWAAPSKVIKAMFGEFVGLLNSASSTVAETVMGAVMDPITSF -KDFFVKFLKEVPIFVIVILVIFVVSELCNTDIMKTRILPWLLTPLARLIGAVCGALPVQD -LDVYNGWFWDSFRAVKDKFSRSYNYCVKLLKGDRYTEESELNDYLNAAEVVPEEEQEEEP -EVSFGTKLSHWVAVLVDSFSLHTLQKYLGRAAISAGSAVSLMVWLEKRFTSANKMLEYFG -KIAPAWYTYIANKFVSKHSEALNPIHGNLEFVEQAIYAGAGSSFLKDQKSLLTKHIAEIT -RFSNRQGYLLSPVDREFLKKILVQATRLESVLPKDMSGSHLRAAPVMLIISGEPGIGKSC -LLNWLLAQFAKNPDDIARINLTRDSRIETNMYNGQSVAEISELLSMNSPEARELQLQFLL -RYCENAPNVVDSAFTKGEVRFEPYFSGSTTNYNWTVPLTELTDPSAFFRRVLILKFRVKD -SHRGANGRVIIDKVNFSHLEAAPVVDKKICGPRSKVGVDKEFGWPGATTNSPVRVGREIG -EEFVRLSNGVLCKTRMDVGDVVTLVNDCAHANMKNLNALLAVIRGAKVQVDTFSRDLDKI -FSDDIVNRTAIHKAIVRACAVQYQLLDDQAEKPTVGALLKFMLGESGDSKCFLAADGQSI -DQQKNYGTITSKFATSSLVNEKSKDYDLLLPLFGELDKHEMGYCMQKLRIIGKSELMATQ -VDYSPTNYTYEQVIEKLVNQEDQSFIRHYMLADLLKEGHNPYDFKVVLREESPDFFIVQR -RNSQGNWVNVKKTHSFWDAFKNRMCSFCSLMSLGRDVVPLPKGALVPEKDGEVNFRARPI -FNPFSHGFWCTDRTKCDCRNKKSKDSIGFGRTIAGLAAATKDHPYLAMAVGAMACRTAMN -VLDICNTVKLTMKTMLQHEVKVEPGLEPNRRPFLGTQFRGDVPGRTTRLSKIEFIFMFDS -DIYSIEKVHEFIWHYMKAGGHTSIHTCAIDIVKMTADFYEIDPDELDASFAIFSVEAQEY -VKAGIINILGEAVRVDRLRAYVRGVIRMYEEDQQGLRVFLDSIEEEVGELQSKSGKNRKR -AVARRKFDQKSKQQVNKTATVPGKLNSRFELVDGLCQSYSEEVWARYGISKVHEIQRLEM -MGPRVWKIRVKPKGTNTFQTAYGFDLGMRQMAIPLHMTAAEGAIVTNSVEYNPIADTFDL -ITIDAQNRNTMGTIAMSQVTNVRAINDRVNVDVGVINMGNGSGTRKALGLFMRKPALLEQ -LTFANVLLLVGGSDPISIGQVLIKPQQTTAMEKTEGSSLKYVHSGVLLYPSKNIDMTGLC -GCPYVAKYQGNWFIIGFHSGIQYDLQKYGLEEGEYQVGVLVCQEMLEATMPVQEPKVSKK -SNLPDFFELGGLNDEVKLHSLNKAVEDRAFTSEGSETEAFKESYRRRNLEPVTQYAGRCN -VSNLVKQKTGMVESPVAHLTGHAHLYGIAFQGDSEVSTREMQCLGLDRTTYKPENGGIPG -EGYIQVNGFLRSIIVQNPPSGPLKPHCSLLEVLNGGSATGLPVGFDDIMSSRVIGDGDMP -FGGIDTSASAGVPGKGHKQRDFLTQIEIDGQLYKALDSSTTEGRVAIAVMERVLEKLRTG -ETVAMIFKVVLKDEALNMAQVKDWLKDVFPGEELMGKGGKTRIITCLFFAYNLILKIFLL -PVVTYLKFLGSKIGWVTGKNPYSPDYQQIFEACFDSRFADAPLERFLSADVSDQESCIDT -ATISGLIDLIDIIYSVQANVWIHKRGNAWMQYAQLIQQNPKLYDGVARNAVLSSTLPSYN -LIGNEVFYVPMRNQSGAFTTTLTSTYYTIVSEGYALLKGYDLALELVEQSKYASKHDLHA -LACKIPMRLRELRASGDDQITCYTAELLALLADANRVLTDMPAFRRKNYPVPTELHPESS -DQKHAGSFVQSCTLFYCGLTIVDPDTGGPCKFTSHENTTFLANYMTTNPELSLILAKKGV -RVDLFARLQDKSKQKCLNYLRLSEGDDPMGPLCQNFNTVLELTFTAGPREFELLRSDLID -MLQYLNVEFPLVTFDTCLERYLSRDYVLGDEDNVFDIH ->YP_009336684.1 hypothetical protein 1 [Hubei tombus-like virus 31] -MNTNTTTHERTLKSGIVVKFTRSPGTLLSKDTETEGTIVTDGWESSSRSQTVSEPTNLAA -ALEPVLTKGVVVASVVRPQRQAPESPVLTLRAIRRGTRAGRSVRRSAVFKELQDYPLSWA -VIEQLRILATEICLGKELNVENFRIFVRKFEDKLTLTEVYYSQSRLDSVEDILWDEAPAH -AVAVIKISDDRLSATRSFRLGSALEASLPAAAYRDVANTASAVDDMFRELYLLHNPGVIE -RRNIQQGRIEYGSDSWLWRGLESVYYGSAARWNWLVGKQLAVPTAPQC ->YP_009336683.1 hypothetical protein 2 [Wenzhou shrimp virus 5] -MRTAGIAKFQCGLEKILPAPITNNTTNNDTNIDSNTMSPNSNDIFVDNSQNVQQTPRQMD -TTVTSEVVTTSTGETFTCMGDHNTQSIDNILKTYTYIKNTGWTAATKPLPSVPDTSTTID -DSDVLSIDYPGDYLSDSLVQSKVEYFNYWKSDMEFEVKVNNAPTQQGCLYLWYEPMRGAN -FPLANTIQRAGLAQLTSYPGVTLNLETTDTATLRVPFLWYQEYFDLTSADSMGKFHVSVL -APLAGESSAAQVEIILNMRCVNPVLRVPTTKATAFFAEAQMGPEEPRGGKITQLADGVTH -VAEVAEGFPVLAPLAKTVSWVSRMVGNVSNALGFSKPYSIQETKPVYRIPGFGIQQIEGV -TPGVSFGAIQDNEIKHTKDGVDEMSFDYMCSRPVVIKSQQIALTEFNTKGDTWYQTLTHP -IPDDIRYTLNLSEDTVSGGPMQMVAAQFDLWRGTLVYDLELIKTKFHKGRLQVSWLPGVK -ADPGSININKAYTKIWDVGVSSKFRFKVPFVLPIAYADVSKTRKFGNHLTIPGYTGIIVV -KVFNKFNYPDTVSNQLTLLTKLSGEDMDPQVPLMRGVYAKTGDLLPADPPADEAIADVQM -GYEDNFSVPFLNDRVGGERISSMRQLLKKSALRSGNRWNECNTNVLVSMYTFFSGSFTHT -YHDHPPNQPAQLQIALTKVGPYTDSSALYPFVLSTDGVTQVKVPFYSNFPCLPTKSCYNG -LVSNHAGAVYRAIGDDFNAWYLVAPPAMVVPVD ->YP_009336679.1 hypothetical protein [Hubei virga-like virus 16] -MSTTPPNTVGGFFDDLLKVFLRVFANPVTLILFVVGTLEFISLLTESKRNVYEFLIQNFQ -ELLADNPPKFLISVINSFINLFKYFLIHRRFFLPVYFILIPLILQEREFLTSLCLLLVIT -VFRSLSVLQIFCICIVWISYNSFSQYHRFVLVFLICLFLYFDMFSSLQETSALYKALQQV -FV ->YP_009336678.1 hypothetical protein [Hubei virga-like virus 16] -MVSTVLSLLNIVCLFSFGGSGFASPLVFGSNITLTDFNVLLDRFKELDDTVTSLAVTAVS -MESMVSDFIMNFQKLLLQTGTHFNDTLIFSEKFYNVSMQFNSLHASILTVLKTLELSTTK -SANLSFVKTFLYGPKGIAYSIPKASYQSFKRPSSPYVTTHAYTSLFAGLLGDIERLVVNS -FVYVLKAFLQIFIDSLKLIVDELLRVLRNMLPVFERLVLMLVDIVQQLLKLLTELFDKLN -EQYFMVELVIVFGLCYYFTRDIYITIGLFLIFFSIFDLTK ->YP_009336673.1 hypothetical protein [Shahe isopoda virus 2] -MDSNLHSPARPVQHEDTAPQGNGEGGDVTRRDTRPNPWSNRSFKRAYRQNASKRGVGRAA -NLAAIYVRCWERLHPAVAHAGGIDTILAMLAERVSLKVAARGISLILAVCTAGVAIHNRR -SPLVIALAVTAAATALIGEFGSGLTVAQIGLFMSHLFKGETQKERAGDDKTWLNMIVKKP -KKGWDTRSDASGTTDDASSSSDDDETPELSSSSDSEEDDGKAPHKDADKKTNIDYDDDLS -KVPRAQRRVNIAINAARQAATRRVRSKALTPEEAKEEMKFIASTEIPDHIVNGPLFIAKI -MLNHAYVLCLKEAIPIYHDAEKAPEEKFRAFKEEPEAKIMALRSWYAHIDSAAEAHAGFT -SKSVQENISKIINVGITGLSLLTLATMKFGGFGIDDITKLISQKNVLTAEYTTIKELAYG -VARDWFGVELSPAYSFTEQMKKFTDEANELLVLSMQHYYEEDNMAKVTKWLGAVDAFVQS -ARSIKDVNQSPVYTLLMASYAKIKDCLDLARRNMAMQGHRQEPVVLHLAGDPGVGKTWMS -TYVREYVSQELGISSAPYNVNLAATGGFFPPYCGEKWCVVDEYLGSVDDKMVTHLNGICS -TAPYKIEGASIPEKNQWVNFDFVILMSNVFRINLPMLTPPAEKAHYSRLKTISVRFPGQN -REDPRGMEGRQPDCSHLRLDHVEFAEVGGVYTLHAATPLSMGDLVDDLMRKYRENKAAYE -RRKRTTIGRVAPPTHRIVRADDMLAEPHAVNTMPFTVHFAGEPGAGKTYASGQCLTAVFA -DLYNLPVVRVSEEDFTTGKRHKDPAIYYLDDVISESNMRYYMTWFDRLDSRSIVWISSNI -VVDKRRSLSWTVVPYVPIGVPTPTSKFVVQVRTGTHQGFLRRIGLSGKVGSIDCECSDIP -ESDSHGIFFKFERAGVRRKITMYRDGSEFPVKDVKELQEYIAVARAQHMLDSNEICFVYD -QPLSGKPMDITLHAPSISELRDIVTDPVKLAAKFMSNDPNYSISGAVFNVGKYARDISAW -VYDGELITREDFANCAKFYTANARSAGLRVNVSVAGGSCLAEYVDGVMNLVGTTVDHYVA -DTSGYTVGETEMLEVRFSDDYTICYDTDRWLALIGGNSTDEVQIHSPARIAMAIQRLKQL -SSAAQDPLIHAKRRHLNTKLGEIEDDHVNYFATGIIKLFNSHPLLLLLGTALTVGGVAYA -LASMFAVEHRSPRDILEDIEDEIDVEKKAMTAEQKHMARACVKELTKRDPDFVQKMENAF -PQIYWEMYYGLDGTVVHGHSRKAPGAKTKRPDDYDGNLEGLARHSRKNATNDLERRDIIV -DVEQFSSLGGSCYDYISRCRTENRVPKYSDYRTSRPAAHSTSQIDHGKDLPIHHIVESIE -RASVIVSRPIPGGGCTKLFGLAVAGNTILTVAHIAAEEGEAVEVECDVGAYTITYAAVVR -KISRPYELCTIEVTDTAWEARKDITRFFLQTSDDRPNRGFAFRPGTRGRVAQLISTPVRP -LENLQFTCKAGDIDIDYRGHSYTSSLASLSGPLGLGPGDCGTPFICDDAFRQHNVIAGIY -IGRIMNSAYYVAINDVILREMMTFAHSISDDLEIQRKELLLDRVVNIDVETAHILSNRNP -RFDFSADLVGIGGIIGHTIGNHATERPGESPCRMNTDLDPIIAPTDKVPVPTRLEQVEDP -SQLVPIPAGSLKGTKNLIYANARGQFHAKTSVGMEHYDHVRPFVANRLPLECKQFRRKTL -QEVLNGGTIDDPYRSVRGTIDVSTSAGLFYEIRFGMQDKRRFFRGTTINGEFVPDRPLVI -NTAGEEGACLMRRLKYITEAAKRGETLGVLAKTFQKRELVAAAKARIGKVRTCESMDFAL -NLWLSCMLSDFFEIRQHHRYGQHMVIGANFRQEGTWMKRHLQEYNKEEVIAVDVPAWDRN -THADLISSAIKLAFDVARDSPNFTGKDTIENEMKAMMGYYNRPISVLGDAAYWVDGRMSS -GMMFTAQFNTDGHLLMRMAGANKLYEEIRGEKMPWDIYTHYCREFLYGDDSISSVKPEGY -WLLGPQQQQRIYQMNGYEPTNDRKDGPPCVAPYDEASFCSRYMFDDGMFTYMALKKSTIC -SFLHWSANLDPEFIEANCRVALEESIPWGRDFFHEVKRGVITLACRYALKCHIYSYDAGL -RYYREGVTNELTGSVETRMLDAVGHAGIDLGAKPKEAINHSSTPSFLDPIMSWKNEIAFR -SRFTKEIDRCGNALLQMVCDQRRKIEEMKKIASLNYYTIPDAAGVLANLSVAETLAHEII -VEGMSMDEAEPPQPKIVLSDHEAELIMRMRRMDLTNEDPMEVMRRPTAHSSITLPTDGIA -PMAEGAQGVEVPSEGPMVLHNFMVPTTGQPTDMVIMAGSQLSSLEIAQKIFIDTSNTAKS -ISTQASRAVILETFPYLDSSKWSSYMKDNARLNEIAAGTIDYQIEMHGPAINGGAVGFIW -LPYTPTTTTIDLTRVGQYPRLMMPVGGSRQCDISIADSKLRPAIREMSEFLSGVYDKKTT -PCIVMYLEMEVNNGYNPGTGIQIQAKYVVRSRFGVDWYMSRGVAGGAESGGLVGRTLAEL -TGTIGLRLKSGSTLAFPNQEKTPRPDAFVSGIQVRGSEFHNDNTVNIQACELAATSYGNN -NRTDAKFVYLLVRRVTTGRDAPAGSAGNSLYYENLEVVRLSPQEHSEVTYTLPAEQGIPL -TTVALTTQDHGQQTVTMKLMRIDCTSSEGLQYTTFWYFAGYSSEWVVTAVSDLYVTATAV -DAVMRAFLSSSIVYTRNCSQIGVSVIPPYVVAEVDDVAYPARGATFIPAKTIMSERLLTI -IGNQKYAKFTSPTSGLQFFNLIAYRGQLFTNSSPHLVSTGTIDEWVVSAMGDFSFTSTID -VNLLPTERYNVDAARNETVVIAPPLREYKNMVHDAKAQGFILRRRDYERILRRAAELDDM -DPEEVVERYLNPTATRDLVATIKELLTMTDEDEVVRMIANLMVEGAVAHAMSPYAAAAVA -GVEGVASGLGGFFAAKRQQKYWMERAAVTADYQMKFMKAKSEEQRNLIREQVALKQSAAV -AQANIGINNKVIGSQPGKTTTGTTMDKNATTQTGYRRVNPAIGGLTEDFVDPHAPVFKTA -APVQNTVSSSLPSTPRGSLVSAGPHSDYGNVQVHSQPSTGDESDVGFTATNSTSSRAPTD -SASAQSRRSSSASHGSSMSEYSTTSRPGSNGNGYSRATTVPVASLKQAPPASSQAGKKAS -PPTAQAVNQTTVDSITEAKVANQRPHPEEVASTNASTRERRAANAANVQRWNQQDSEVEE -YNDPEYEALLVK ->YP_009336668.1 hypothetical protein 2 [Wenling picorna-like virus 7] -MYAVDTILRFSMNASPMHQGRLVVFFQPLVYDVSMYNQAKAYMTTLNHVWLDPSKSSVVE -MRIPYRHVRPYLRHPGPKETPGIETSMGMVRCMQFNKLQCPSDAVNPVNVSVVVSFENGR -WIVPYDVEQGLNQGNTIAKVINISGANNKLDVRDAYSQKADNALALSVDPLGMHTPGLSV -PSFGVSLKGISGLSSARGAVFCDRLTLDPSSINPLTPDISGIDIDEMSYSYLIPLFSLVS -TVTWATTDKTNHALLTGFLSPAGSAGDKVDVPLLHYLSRMHLFWRGSLRFKIMVVGTTFH -KGLLFFGVHYGDHMSAIEGSNTGCYGSYLSLDQGKNEFIVDVPYISSTPARGSGVKDMDN -AIGMWSLSVINPLVTTGTVVDKVEINVLISGGDDFQFHYMRGIPSTDMIYGPGADVFITK -DQQIDRNAFKPLDDLEDVYDKFANPKVEEGLNQSALKFISESSLGDGMSNAQVQDALVEP -SHEGQPKLVETMVIRKDGGATDEQLQKETITSLDKPSVFHSNFGESVRSLAEVSRRSYWI -GRMFAGSPDRAIDLLYLSHVLSGQMVAGDNFLDFTPITYFSRLFGASRGSIRFRFQLAAY -VNVKGNVESQGPSAMIWFDPTTNPPLSPTSSPFNGDITANVAARVYGKYGRANAQPLAVL -NAVQNVVSVEIPYLSPEYMYTNPLFSSPIDAQSLHYDSGSLTAQPRGCLVLQSLSDAARV -VVDVFVEFANDFRFGFFRGATLSYASPGVFGSNYSFGVVKKGDSVPNLGSIVGAGLVNFI -GPRA ->YP_009336665.1 hypothetical protein [Beihai sobemo-like virus 19] -MAIPSFIASMYDLASEQFGVIGMLKPNLTLNWDYFQSKAPTSPPEVQTFAGQLLASIMVS -VYSLYKSTDMIWAFLVGIVTSLNPNIRTSNLVRPFTIDKILSYAGLCTCAYWSYTAENVI -MAFFAVVGMCQSAKLVIGHLWWCKNAWSASEHSDQIAGNSYFESMTADSSIKEDTKKMKC -QAMACAGPYTGSPLVGQVIRLPSFMDDGELYCTPVHVLNDDVTHIVTHKSSVNIESVEPL -PPARGFPDLAFLKLPNGTGAQLGMSEAVVSSIMGGETGMAHAMGVGSNFYERSSGRIIEL -ERGGLAYLGSTLPGWSGAPYEVGGKVVGVHHVGIPSEGNLGYDILLAMKILEKQMQEPEY -EKRKRGWKKKFRREKYPVADIRASNGYAISEHRIGGARTDIMWKIKNPKGKIVMLYYDDL -TEEERSHIRGEMDWQGYSFESGPKPTTPVNAGLIEQVRNNLRAAQNAKRDLQTMSDLYQL -ANIRTRNGPLETAAQVGVDTQAINAAISELHLQMERFAELIEYYSAKSAEDIQNQKRMIR -KKRNKEKPLVSQEVAAEIQRKQADINAALSRAAHLPPREQGGQIDDENDVEMPAYEKLSS -HFVGRFKDKYGAIPREFLDDCSSGEESGEEEDSPTFSPTPSMEKSSLNVDQDASSTSCQT -TKTDVERLKHLYMSKLHSGQMEATSGPQMINQTSLNRLKNTFNEDMRQLEVASLPMTPKL -GRLQSAFDTSLGGSKTQFNYEQSGKKKRKSVHWKTSKKRNSQTSSKRSLTRNPVQESHTS -TKDKQMQKSLDMSSSKDTQMSMASDRMQSTESGN ->YP_009336658.1 hypothetical protein 1 [Hubei tombus-like virus 17] -MRAQSEYNNLRRLWKENHTSPVWSEEDLPRLFRLPRNWRRRLRLPRPRFVPPMEKNHGYY -QHIICLMNHHPDQMRKYIHQVREATRPEEVVCNLEQLFRHRPMAGRICYHAMPQEPTSRE -VATNTITPGPSLAQLVQEKLSCPDFGEDVKIPGIMTWLKMKGQILRHYQRLNLNKIHHQD -LYWHLKMHSFGLPRTDNLLQVLRQRAIRYLELQKCNHTVKEHAQVVAYVVTQAMILTEDE -VLLQDIIKRQNFPFSLLSFEQFVNLMTCCFTVEPAPKIFNSGKEPPSWLATEIRSTLIPA -NV ->YP_009336657.1 hypothetical protein 3 [Hubei picorna-like virus 76] -MLSNTLDVHLPLQHCQQPLVAHHQNIKEISCTLVWLLLSMANLSFEQQLELQRNLLTSNN -QISAARNQSALDVGNLIGGQRQQYNTTLIANENSRNLTNLTISGQRSADTAATNAMKWST -GLLNATTFGLGTLASGAINAGLTALQEKEQQNLFDYTTGKASAAFQQAGLPSWLAYMPKA -SSAFPTTTQFFSGSNSMTSSIPGNVTSQPFINSPTQNAMGVGDVN ->YP_009336654.1 hypothetical protein 3 [Hubei unio douglasiae virus 2] -MAPKKQSRRASARSRAPPSGASTKTSRKPGLGQLTVPANASMTFGVTSGYPKGFGFGSPT -PTPTKNGCRIKGWYPIQWSNVTSPTTNTLFIPLTISEWFMERNQASGAAGAKAQVKIANL -GGVPARMQTQANLYNEFRIRDKVGIMFLPSGGTGQAGRVVMSLLRDPADAFRGNLTTAMT -TTAYLPLNGSDGTNMTASEVLQMEQRKVGVLSLPWHTYFDIESDVWVRQDESLVAANAEW -ISADKWSVEPSAVLRQDAPHGGICVNCNVDITGGVNSSTVGFFMIYLDLEFRSEVVPRFQ -QSQTPAP ->YP_009336652.1 hypothetical protein 1 [Hubei unio douglasiae virus 2] -MSSPTVKSVVPNAGGNASVTGTGGLDHPTPGRTGIRARSGEPGHGRNVCNRKTASRRNNE -EPIKRSAPPNRREGRDKRPAGVPLGKGKGRECGTLAPYARSQQGRAPREKASNQGFHPKE -DPIPPWNRVVNRRSDRAKLLILIQQLNLRIIQLEREAMRLPSGQFQSAPILLARNGWSTP -ASSFQASPKLSRNDKSERKREVRDSNCEVKQNVLPPQPDAQASGESTAPQPTKTPSPAGS -RKSNRGDPTTPSSRRSRKSSYGGSCETSAPFVGAKTATEPPSDHSSYHRDISCTSAASTV -SGVSRCMSDLTSEEKPSSKEHSRKPPKNAGRSYQWTKESGPMDANLDPIYGAEVKEIPRG -RVKKSIRKWNKTTKVLPTEVELHFYLVLEFAFVPRDCTVMRQMTNKAKSYLNTFDTSNYT -AEERFRLVMKAVRSAMCVTEEEECVRAALKNPDVQELAHKQAAFIQEGFVGNEGIGSVKS -YLSNACKINVFKKGTHLPKKVK ->YP_009336638.1 structural polyprotein [Biomphalaria virus 1] -MPFTFEMYKTMIATGNVPAYFRTRSRPPLVKTSSLSNQECVLNQAMDPMYNYDSNMPKPE -FLHHILQFVDGILPDLAPGQYCRSCHNTIVSSHREFSNVLQDFVDEIRKNPNVTDQAVEA -SFHHLKKMQLTARKALLIRLMSALCNCHCYPDLNIIYSDGKDVTITVDQMYADHHGQFLS -SRPISFRHFVQTGLDDYYVQATGDVVDGPFGNRITRAPPLIIDAWRSSKVNLFPWVESDE -YGFDVPDAVEIVQQSGELPVYGECEISDDVFECEDADSDEDFYIVLSDGRKVGLEEFKAW -EKSEEFSSLTTEPDVVPDTQIIEDSVHDQILKQTTKDMKDLIKLFVSPQFDPFAPNISYP -DVNSHECEDFEDDLQDSLECNCAACCPIDVIQQAGSPLVADYGRSKATDTSASTKTIKIC -KTSKMTVCDQQYEVHHLGTNVQYDTNLAIAPSTGMPSEFPFQVVDTLTFDNAATGTDYIR -LRVSSHTAFSLISRLFSYFFCNFVVKLSCRPCLGVAAQFKIGLLDANTKQDTTPTITRAE -ILSGNGPVWNVNEKSEIYVMVPWTRADLMLTHDDIMSVIGITPIAPTAAATGVTTSIQIT -VEVAPIDIRYFAKRAPTPLVSVRNVPFNTNLTLGPGTFYISSATLQSGALHSIKVETASG -TLVGYLISDETISSVILNEKTTVKVSTAAGIINNSVIFVSNPITDVNVQATEIVQQSGKF -EQGGVDDNVAPFEQSHIVDKNLPLGTDQVNVSAEEKIYVPILTTSVTNTVTTSPFLQVKM -SPRNFGLTGNTGTYTPSHASLEAERFCFYGPANHSFVTIKLTSIANAYANGRIYVAAIPA -GVAVPNSVKEATQFPGVYHNFHGGESQMSVPWLHPLPALATSSDLFPCTLAFYLLESSYA -ASQGTPQLTLWVSADNMNYSVPRAPLNFTVLA ->YP_009336634.1 hypothetical protein [Wenling crustacean virus 15] -MSEPSTAALMAAMLSQLRETDLGVSSPMNYDANTIMSGIAPPALPEGVEHHPNVPLPAVD -LTEEEMQWAARMEPSVVAARIAAKADGRRGAALHSYLATDTLLTTLQPVEPGRFASAPET -ALVAYYLVSNMEATQALMPTWTAPQVATYAFYVTLAGLHSGDDEVGKLVKRFSQGPPAKL -GERMRQRGADIAGVEMPSQDADLTVDDKLNPAVKPQVQALARAAMSYVAIVVTHLGNATE -HRIRKYNQALQTAGLAQIVTTSDAIQSAWTVTRALSVGGRMDICVRDYVVGYLSMDIENL -QNFARQALFRTVSPLMVTSFLIGAYPDVGWRTLLGMYPGETSAMLGAAEHIQRSGEDAVY -TRCAELAQARYAHVVAAAVCLGKTVYPSLMHLRGKYRSAKLSPQVRQWIHDNRDRISAGS -YGVVSDEVADHAAHIFKMVSMAVSMDI ->YP_009336625.1 hypothetical protein 3 [Hubei picorna-like virus 73] -MAFIFNGALPTVVSTRYELALFRATANNILRGEPETFQEHVRAVLELGNGEISIDGLVNA -GLNRKGSQQFVTQWFTFGAFFNQKLNFRFCNLNNSGFATKMIVNFFTEREIKGQSWLWFC -EQLGKIIPHDFDKFEKEMICDCLEQLRASYYFDSSTVSQLYSLDTLDSSFLRQFPFFLSQ -HGLVWRQVLKTVILPQSKIPKGRQAHYAAHWYSCVQKLRDHHPDLILDVGTEIDYLKIRP -MFVRLLNTEPTLEDCQLIEFIHRQYDVFKWLYMIPALSGNKIFDLRVLAFAWYLYGRVTL -SNLYYDCFLEVQQDVREYSVHSCPFVPAAWEALERLDYLQDEHLFYDLASKILTNIVCHF -ICAADATQQCVTVYELLHGEPREKFGTLKGLAYCARLRDYFNKVLPGVTDKVFYVDPWC ->YP_009336617.1 hypothetical protein [Wenling crustacean virus 12] -MASQHDTLSIHANQESLLQGPDVSSEEASTPPAPRKRINIRKASSQPSPHKRPKLGVTLE -SLSQQVKIGFNKLENQINSILTLLQTYESRVTELERKLASMGVNAGTTGPTLAKQHAVAP -VTAPLLLPSTPVDWDNL ->YP_009336616.1 putative nucleoprotein [Wenling crustacean virus 12] -MPDTRSGARHGAQQDPLPGTSNQPPPEQGHRYTPYYIPRRAKHKSQTISQIPAAKREPIK -RYRKATAAAMLLDIHAPKDYTLYISCMGYLSGLSSEVMEQLFEHKDGLIVTHPSTTNPPP -GITPEVAMSAVVIAGYATVTNLAKEITTDNVAYVGRRINAIGADAENDYLTNVGDSVVRS -YLDLPAIRDARCFIVVREAAQTIIEDLLVPTDEASYLDTALYKSFELVFRGFGMTALMEM -EYFTSIVTKALLLDVVRDECKRFKEAFKRFKSAQPAWDLGRLMKLDGINELNHANYPHLY -HCAMARVTAGSTFKNYQKSDHQLRESAATLTQFANTNLTMSYGITAEIANEIHQLTGKDV -NSLIGTNL ->YP_009336609.1 hypothetical protein 1 [Hubei tombus-like virus 25] -MFDVLVDNCAVDEDPNDVMNLPGVAVHMDAENAANYINSIIEPGAKRMGKNKIEGLNAVS -VGKRKEVVDAVCGIFEDSANDIDFGIKLMTSGAKARIAEAVNVAMDEAGGPAMVPVVKEV -YTQASVGCETGVGTDDVTRPRRAFRVQKRRDFRSYRNAGCIEADETLTYYLKCKYFMKPR -DSGTLHQMVSDARVQMMKSGHTLDSEVDYEMMTRAVMAAFLVDAAELEFRQRLKNYDAFD -AATKITEAANGNLGRIDLLGARAGQGSILGKMLPSLDLDTPKV ->YP_009336607.1 hypothetical protein 3 [Beihai tombus-like virus 16] -MTLQFHLLLKIHNFLICNMKLKKKQQQRPKKAPKKKTTSKPSRQLNDGLKVRYKECWGKV -ESGFNSYKFLPGSSGLKHLDSLAAIYESYKILSTVRVSFASSTSATTSGEIVMGVDYDAR -DEISDFHGVCALQPKHVGPVRNAGNLSVPHTRAMHKKWLFTNSSAAPGEAAFAIHVYNGA -AESAGTMWVEYDLHFISPTTKASLVSVFESFEVPASSGGGVANRPIYSSIRMLGNTAYNE -KIAANTVLWVVSEYDVQINTIFWTILESLTRYIEGRPYKVKAVKAIKETQDVPATCSGSG -NFWAIATSAYAAYTALIACWSVHFDLPKKDAPAPTPIVSPTTLKEPFSNPSPTREDINAQ -AKLQSYPKKKREMTRAEAEEAIRKSVRDADAARWNSIVEFFSRGNLQRTLQQDLDKAQNC -PTTLIRDDPTPVLEKQELESPLEFTETSCQLTIDE ->YP_009336605.1 hypothetical protein 1 [Beihai tombus-like virus 16] -MRSTKINISFKGPRMDYLYLKKLLGSNVNIQSTGNWEEMDHARLRAFRDCCYQWLLQQCR -DKRILEIGPRRHYIPHFQQAAIKTNGKPCYYTVDKTEKADFQGRFQDLRHDNFDIIFGVD -VYDLQDFVPQNDNVTYYFALAEYPHTACGGTGKLELPDLGVIVTPDGHTTDSGDKNYTDK -VPDYLTLQLPSFAKEHWPSGWNIELVITYGCYSIYKVHTGERSPVSLKSNTFFDASSSSY -GYVCEPTTVGAHICPAQLVNLAMPHGRDYNKNAIRAGTNALVDEARKISDDLFLTIEQIR -HYACSAVRISSLRNAAEHARSANDIYTALAHNARGVTVQQNASLVARLVDQIEFALEFMN -GDAEQHPLTKLLTKILTLLISTCKNIARALRDLIETLGDWIREACIWGLRQNSISLIHPL -LKWILDQIHPVSYHERDSVRSHLSSVPIAPDETSNIHNYRNIHTEADFKPPSSYTQLGPH -LVEERPHVIVHDKDARDAVIIQREGRPTPQGLDYSILEETAEQVGIELQSFITQETGQSS -VEPVDFQFGSTVSQARVAPSSMQPGETSTTEQPATSPKLTSSSSSSLSNLASSRTSFLPG -TFGHVPPQVGTCLPSKTLSKTIQTLSVEKPSKKQLKPFTKIAKPHKLGKSTNVTSPPSTP -ANPPKYYKSSTTSVTESCSDMLPSCIKLLTHSCKASAPSPTTSEDGSLPSQREGADAQET -PTPTSATPSSTTYYTAASRKLNPWSGGLAVMIALSSIITLMSPTLPVSALPPKSAAAAVS -GTPLSVLPWFIPQQEGLHFYATLINLLLGIVILLTIFLPKLPEFATKKNSQQKPMPTMAY -QSSDVCSIHSPQNMALGFHSLQTENPGICSYDAMENLPTYNPPKMLVSFLKNILASHSIC -NKKLKIKLDDHQELLLICHLSGSTSSPCRPSIFRGLLDNIREFPMALLDKLFNALIKYKL -ESLLFSG ->YP_009336603.1 hypothetical protein 1 [Beihai victori-like virus 1] -MREIVTRAATELYRNVERAFNPVNHSLSEVDLGRVDFVTVPPHNNNIRTRAGDFEVNTTA -SGARTLEATSAQRSPIDLLAEGLQRRSVELARERNSIQVNSQRVKNRAVGGEYTQRVRID -TGETYEELQQESEEFRTNRFNTVENQLRDKYGKIAFSGGTFTVNQTDLGALSPGNLLDHG -VPYHDKAYVSKFTPFGYKRFELDIGPTTAHASAVKQCLFVKTDELIPHKIKELIGRVNYA -NGVNNTELRQFLYVRRFHQNNPIDISSGSIRIYDNWKELYEAIKAHEVSKLNSISDRLRN -SGAEIAVRQAIAEGDLFFNNTQYSRRNLIKAWAKHYTGLNSYSYQRTLQVVGGLLLLFTA -YSLVFRYATLGYKQYTLSELWELDSWTKYPSIFIRYLPIFASDEAIKRERRERRQRERAR -ELISWVGEGRLNIVRSFIESYHAEWLLAGSPETNSLDLEALILSVGQEIGFDFTRSRTLL -IDLLEREEVPRLPQIFEHNVLNQLLPAVIAAEAH ->YP_009336601.1 hypothetical protein 1 [Shahe sobemo-like virus 1] -MGFIGIMASFFSLVVNVFFYFSIFLAGALAVLMMEGVQYPFQNQIISAFVMYIIVNGLLR -WRRSRIPVIPAVLPQLDPQDLLDLDELLSEALPLVRQRHSAIRGLLTDRHALNKLPEGVF -GIALGMPDQNDIEDNVFCGAVRLGGFLVMNCHAYRPKMEYHTTLVTKRGNFWMRDLVVTP -DPEEENVLEDMDLFYFRLNPHGLCSTKAAETTVITQEGVSARIISLVNRGVSSFGTVRTF -LEGETTFGVVFYSGKTKPGCSGFPYLVGGKVVGLHCGDYNGTYGDGANVQLVLAKLKRLS -LGNRHSWFWVLDDRHAEFTKISHSGTPGELIVENSGRYYYVDQEDYLTEARKRGVWAANH -KGVFGRFVGDERDEEDYENDRRSDSDHGRSRSSARSDYSDDDHFSNFEDDEFDDAHSRWV -GRQKRHGKSLSGFKTGLNDGNPDSDAVVSKNVEGPLPEGPGYAVTARLRFGADPEIRMTS -GEIKQEAQEIAACQKKIAELLARVEALTTKAQDYDQLHVQMEKDRVRALMEAKSQSDQAA -AQYMVAISALKSELAKEKSERLTTKEELHQMHLTVSELRKEMRSANARLTEAKAPVKLKP -VVRKQTKNEKKVERCLELGCMYPKEILLTMHGPGLDALLQKLEADREDASASRQAGAIPR -N ->YP_009336600.1 hypothetical protein [Wenzhou shrimp virus 3] -MAAKTRLIEVLRAQGVTPTYSCKLALTTRVGDEEVPFVNLPVFVCDLYFVVKTPFGDQIH -EYSARATTKLAAQNICSALALEKVDDVTRERKPVYPFQVVNMQGIRYYTPAELLRFAVEN -SLTTYGF ->YP_009336599.1 hypothetical protein [Wenzhou shrimp virus 3] -MEQYRIFDDDFHPCISPQRFPDALLRIYAHFDGIIEHPVLEQELDFRVAGDPPVIRVTTS -DFNGRPHLTSSIFVEWTYDEILHMIRMHYEFGYDIDLNAMVTLGFDPMDVWELTNAERFN -IDVPDMHEDAPPPNGEYYYDADDEEMEVDDDYITESEDEGYDSYDPYFNSSDEEYDGPLP -NILHD ->YP_009336597.1 hypothetical protein [Wenzhou shrimp virus 3] -MEKSLNTAEGIVAPVMPEEPGQPIKESSEPLLTVDNSTEQNHLAATLRYNALPIAGNHRV -REPLPPILKDGEKSAMHEITSLLFKDLSFKHQFSLHEAYRVDNLTLEYQYANNMIAQHST -LSVAYIQDPARNVTAPDADRIEYRPSTYQFNRVGDYMRVNIPCVSNWLYTDTTISRYSSP -GRLYFLFPGEGNVKQLPNITLISDVSFKCPTVRSSATTVEEFLQFDKATLTFDEIKVEDE -SMPFPATAVFSASTKYDSLAATDAVAFLVSPGILIVNATYDDGDSYTYEVTLSRCRLKGA -GTSTLFMFDVSDLMKGGETTASLVSSSIDTSFRVFYTVEDVMQ ->YP_009336594.1 hypothetical protein 2 [Hubei rhabdo-like virus 4] -MPSTYSKFFAPKIAHGGVKGKRLVKSAISSAIPVPVLPTSIPTEDRLRYLSAMASLGTSL -TGTVASWKVYILAYTAMLFPSLEKAFPREIYAPIAIPPETVTAVLGAFNGLEAMPEEYDQ -EELHEAEVAFNKLSLGPGLPMGSSLMKTRFLPEEAAAKVIASHYSVLLFIAGKRIDSEDH -SALTRNRPDALIRKAHMEQEPQLLTGNLRMSDKSHNELNSAWQELAALRGVIFPTFAEFA -ADTTDEVQDLIYTTMHLLRFSGMQHAKIVHGFLGAFPWAREVPALRSAIAKFDESVVAAA -GYPIHIQPYVKLIYGDKAEIFPRKEMEVLISCAVAASQETSPDIVNFYSTDAFSPIVEAF -MTERERRGHVRTLTLRAQEASLKSKIGITGGEQGSDEEDEEEFYQIPEEEHEDEDIAA ->YP_009336593.1 hypothetical protein 1 [Hubei rhabdo-like virus 4] -MTDKQAANAGMLPPPEVSEKIESIASALPEIDFNAAAALLPSNTRGIPPSSKKKGKQISP -QSHAATEVESSRQTPIPAGPKVGASGHSSTQRSARGVSRGRVRPSRQVFPPRKLASVFQE -VDEADLPEPTLADPVTSDQIDRMSEVSSRMQEMIQDHEDIINSLAERLENMEKSYGILLT -KHTNLQKECDGLKVQVEANKRGPQAELRLGGSNSLTNTPFSSSTAGSSSILNRPGFEQAP -KPTIEGGTDSMVAGQHRRRRAKY ->YP_009336590.1 hypothetical protein 1 [Hubei tombus-like virus 7] -MSFAYTYFFQPKRAPTFDFSTTRRLDAFNPATALTGGPGPNIAPSAEGLDRLPSDNAQHF -EQAPSPSVQGEGVHNAPAVGGAAGVGLLGNGAAHAGKTDAECAAAVIGGSSFELRFDAFV -ALCSRLRPEPISRAVCEFRLLNGGLNLDERVAMARCVEYVDQYEFRCPTIAVGALTWDDL -VEELASTVRADPIEGPLNQLRPVRPRPSSPPTAVAAAIPVAVPAPELRPISWAAASGRAE -PKQLQFAADDDCVPDVAHDSGIRVGFVDEPQVAYRPADSDYDDEPEPSNPIVAPSKPQML -SQQRGFFWRMLDSVLPRFTIFRQAATQLHADEVKVSFRNASVDDEPELGEVAQHRFRDVA -LGAVSHRKYHRAANRWHQRLRLLRQLREELIFESSEVKRVRTDANVAWIAIGARKLVAKQ -VEEGKIDRRHARWFRSALTETFFLKDDDDEFVDTLRGSFARAW ->YP_009336588.1 putative glycoprotein [Wenling crustacean virus 11] -MEFLVAAAGLISLPPSTPLFYPLSSYRDYPIPIPERCLVSSSQASETFPSKVSLLVPDVS -VHLLTATIWSVIETRTSCTVYFFGAQEQMILTRRNADPRDAEHLVDRLLVEDPASIEEDL -DKEDMYSCSWTGTYEKTSYRLVSQNISLSLSSSGKVLSPASLSSCDFSTSRKCISPTKNS -VYIGSRLFKPLETSVLCEGLKIAFVQPMRVGYDNGIHRVWSETETLEFYVRSNSTGPCDD -LFVSEEGYLLHFNPSLLSLPLTNSSLDHPDTEMFYEDVQCQNACLTPSDCAQCGVRRHSS -SQKKKTWDEWKLLHNFQSRLTLTEAEVQAAHSVNEAQTQSDVSQLQSALCNLRHLLWSSF -QSTRSGTLAAQYITGTPYHLGTFTGRYIRVWDSARAKNVRIDPSVVKGSTVKAIYVTEAG -EEQIGGLTLGGFIISGLSGRQNSIPAGFVPFGNDSYIEIIGRTVRKTPGLFNIATPSHLS -FPDQNLYTPGELTNGSEGILEALADIQIGDSLVRLSSGQGVLGNHRASNLLAPLKSFETW -VNTTWMNWVVGFFTVILGIFFCTCGIRCIQVCWRMGKAPAPVERMSHRV ->YP_009336587.1 hypothetical protein 3 [Wenling crustacean virus 11] -MLMGRVDATVTHYSEYTTRHETIALVILSGLFDPYLPRESLFVLASELSLRFSLAESISP -DCVMGVSDGKPVVIHLQKRTATVKVLYALPDEGWTHTGEYENTFSAETSCSFGIVSTNCS -IHATVRQKKVSDVVSKKMIDQGLGYRRLRGVGDVTRGLLINIHQTIREKGTERALKHVKR -SEEAASFVGESSLVGPAGGSKALTFLKNFVGKN ->YP_009336586.1 hypothetical protein 2 [Wenling crustacean virus 11] -MGLDLEKVRPMSLNLSSDFAKAFSGPTNHSLIMDETITNRATAILTQALQVDDPEPEIDD -DDLEEEDHYKTLEEEDADLGSDLEGEPLEKPWNELADDQEASCSKSKSQEAFSTSTDIHV -SDGEDDREDTKRLEAQKHVTLSALLKDGGGSEKKLSFIKAGSKDDSSIRKTMKTNAADKV -PIQYRKQVQGMLKNLRKDEEKEALVSGFLAGSASARDSAMKEAIAEIRALNKDHRALLQK -HESALQTALGTIAQMKTVIDSLSKQGAGKAARQENVKPTEGNHSARINGKAIFLVTPKGR -SIPGTCLPMNKDKMWVEMCEWAKTESRIDTVRRIPFHRLNAAAFKVSWSPVMADIKEKRM -LENADLSVEGTMRKYESVAKYWRSL ->YP_009336574.1 hypothetical protein 2 [Hubei tombus-like virus 33] -MSSNQRKQVKNNNNNKSKNALVTLQKQGNAKKNKKKVNQVTNGVRLGYQVTRNSFLKALV -SPFCPEAYGIRVPDPFPFPTVTHHLRQTTVLGANATGSGAAIFLPSPVFSLIDVGAVNGT -GATVQSTPFTRFNTVGATIPYFLFKSTTVGALNAIYGTYRVVSWGIKISNLQPELSATGR -ITIGMVPIGDSVPSENELVNTSILASSITPVFGTPVSQLGSAGLLQLPSAQMFAVQNLLH -GDLEVSGMYTNSSFWQFKTTDTQGNMYTSHTSGDSATRNTATGVIATSGYKDPNRMMGGC -AIAIYFEGVPASTVNAFQIETIYHIEGSPQISTSASTTPVPSGAEKSIVGTTDVVDQAMG -VASKLENVFTFIDKGADFLNRSTAAFDQIAALGTAAKLLM ->YP_009336573.1 hypothetical protein 1 [Hubei tombus-like virus 33] -MKETPGNLDNRITVARAAVIGILKTCSHDLVTHNNVATLVDYVMEESTNIFAESMENENK -FYLGLHNRKALILDRQANSRVLVGELGDLTRKSLDEKLRHFSCAVTNYVVANQVRLNAIM -LSGVYTECDVSLLGYTGYVFFGGYVSAMAILPHVHKLTLDQSDLTQEDLNYEFVAKCVEE -AVKNELEQRTKMMSLYGFYHRKNGSDCKVETPSLAVHDIDKLKLLSKAIRKRHELKNVCQ -VSKVSGAKIAESMVALTGTTVAPVVATTKISTYVPITSSSSTVVSSCDDIFKPYIKSMGL -NVMKDSSYIDDADSVSDDSTINSQESVELNLTNIIVHTDYKPAEILEGYIHVNRGKIVNR -GDIITPGTTCPVACLSIQGIDSVKPVNLKLLTEKGVKLIEKSDTDPNKMKDTFESMHIIG -PVNVDVIPGVFNKTKHNEYVALLGRHINITLPEHNKNWFKYQHYYANFFKKFNFDDIDRY -TLSFDEWVSQQKTDKREGYLKAVNSIAGAKFDDARYHKRQFFIKSEIMPPPAEGNLADKA -PRGIQGFKDNISNMFLGSFIGGVSKMVAKAGTSDKNEFSYTSGWSSMELGAWFNKYYGQT -HTKSKLKYIIMEDDFSSYDSTQGRGAHEMELQFYNDVIDKTTLDENLKKNIRLTLVNQGH -TNGVGRAHKYSVPHTRKSGDQNTSIGNTVINFYVHYIAIQEWNKIHDRVNFGRVADFRML -GLGDDNLMAIAIEAEYKEEFMLFVEKIILSLGLKPKLACNKFPSYCSSYFMPVQLQNGLH -SYVLAPSATKSLTKMGWTLKTTSKKDSVESRVWGNLHGIAAFKFLPLTRVLYKYYEGLNV -KAVKNDEWKAHDTSACKYSSHPDLLRWFSELYEITESEVTELESYLSSSVTKHKGKPFVW -SHDVFRKMLKLA ->YP_009336560.1 hypothetical protein 2 [Hubei tombus-like virus 40] -MKEGGLYLYPWEYALWCMLSMLVLFGQLLDILSWGIPIVLRERGDAMLSGCALELNLAVG -MRLLICSVSTSALLTVLSISMATIIFWHGKGVSLRSSWSMFIWESKRESEMLAVRGQDTG -LLETENMFVVLLYKRLTTCAKTKTVTQFSVIAGKVVVIVLFASLSLSLCYRTPIITARMK -NCAASSRGQHSSSTTTSTPPPNSEYMRMKMNSDARRERPSPAGKLPCSQKLVVLMDPTGT -IYGSQRALLYLEQARSRTASLEQLEVLLCSLDTLAEESTDPTIVVSLRLLISELAHGCPK -GEMYMEKVGCITWTGRTSSSLKRQTGSDQQETLTAYLWQYLKESLCSWLPQIVTRNICPT -SSHTRRPNYTPSAYAFGEGRQSQDGWLKGLTNWLWAWYKTAACLLETPYISVRSALFTIV -SSGGYWIDLTSSMLQNIYMNVYTKICPHTTPYPTCGLHEKSQRTIAKSTPSGYDSGACPA ->YP_009336556.1 hypothetical protein [Hubei virga-like virus 9] -MMITNYHIIRLYQTRYSCCGADLNLLHTCMTYTLEVPSRVLTESCGTMETPHDSKFKMPV -PENRDLCSKKIDSTKKCDEKQCYTGQTHKMCPPDHPKFRPNGCPALKPPPGLEYYKSSHD -GKYKKWD ->YP_009336554.1 hypothetical protein [Hubei virga-like virus 9] -MCEKSDSGIIGEESYGIERLLENEFIHYDLDDKLQQRLVITLLLTNSWRSDPIWLLQKIT -AARKRDTYSFYESRWFEIEAGGQQATTDGSYQDNTEEGETHKRGRYDDYSC ->YP_009336550.1 putative glycoprotein 1 [Wenling nido-like virus 1] -MLLLAVTIILFATVSAKTSCEIWLEHGYCDTHLERLRNHTRWLCPHVCGKPLLRSGDTYI -EPSKQDLMTQFSSPYEGFTRGAATVFDYLGKSERKGRPGWTYFDCNETIHYAKDYGYLAY -PGSRTPIRYEGIQWRTSTPIQGTFVRSNPLWVRLHEGPDPDANFICTDSVMTGHFKAYSD -YKGAKDDNIYDYHTHWLAFCGADESESKCTDSPYGTISYRIPASEHISDGVHNGMTKNAR -AATAIAYQLPQVCKETVCDTLDFNVTHIFEGCEWKVQTEITRANLLLFCYHHQIPWPVAL -HTVKAGVVENQLPYLPHQADGGIYYAFPFYIPADKYYLTVFDPHCLMLSPPVMEIEKYMY -QTLPRFHSVVEGETTDILRVPVYLFPSNKANGIEYAVRITTRSDGRIVGTGMFEHELHGC -ELSYYGGRSQLSAYHMHVSTSINYTHVADANYQSCLDKPAYVLGTYLVLCWPSYAQDLYS -QPLPIVRCDHHSGYYYRCIVDDFTGLVYPANGPLMGGRAYAYSYGGWKKLYANPPDSMGV -DFYKQYRGAINKYQQPVGQLVVWGPLWSFLCFVVGVWVLILIYLALALLISLLETLSSVS -KKKSTGFLFHGSYFVHCFLSFAYFGYPGYKPAWFNPQQIRANAENAKTLHKSKWVAVAYL -CIFLFPVYMVVIFFSGIFSIGKIAQGKVKLTKVKQS ->YP_009336528.1 hypothetical protein 5 [Beihai tombus-like virus 12] -MSLRGNGEWATWLTSPTKGRVYPAPRRTLSPWPGKVVVRCRLCAANSTGQYLGVAYATSP -RVIPPVVLSSRVLFLWVWLLPRASRSPGSGRSTSCTSSGARARRSRRPSCRRRLPPVAAT -ALRSAMARTGPMGITRLTRYGEICGALGTRAR ->YP_009336525.1 hypothetical protein 2 [Beihai tombus-like virus 12] -MGAAQTVVRRDYAGVDFGHAARSTAVVPIVVIIGLVSVPLLIYFRSSGRWCLGALQGLLR -SARASWLGSKYHQRLVVPARIMFQDAHDGVLDVAARKLGGMIANRARFYEELDVPLLMIH -EQVR ->YP_009336527.1 hypothetical protein 4 [Beihai tombus-like virus 12] -MAGRRGRQRGATRRRGNALASAQRVLHRTSIPFDVADATGASCTKIVLDPSAYTKDRASA -MASIFQKYAVRSVAVDFTPSVGFNESSGQWGVGYMADESNQGQGLPSTTADVVAMARQGC -GTLSPVRSKLHWAVPRRSLRNITEGYTPGGAEQPGAVFVGVAAAASVAQPGVWTLDILYE -FWGPRPPFEKAVLPTEITSGGGYGITFGDGSDWPYGYHPPDALWRNLWRARDSCSLTTVT -SFYAHTSAGVFLLEGPGKIEQLEGLGTVEVINAFMDTHSLLGVSLFGTNAMKQIPIPAVR -QAVSVQPEFRVRVINEVDDPVPTQETVDPLPVEVTNVPLPVAETVDPLPVEVTNVPLPVA -ETVDPLPVEVTNVPLPVAETVDPLPVEVTNVPLPVAETVDPLPVDVTNTPLPVAEVVDPL -PVKVTNTPLPVAEAQLPLPVNETNLPLPVDDGGGGFFMNLATGVITAVVKQAGRTYTRDS -KETPAGYVRLKCSYPVGGAVDGQVYGSVNIPAYPTAEAGLTHFGRLDAGYDYASELFWKR -FANMTVGLPNDHWYKAVSSEPPPFGGAGGMYIYITDTRKPAGLFAGNHITARCRWTSAEW -FEVHCWHNVDSDASWSKDVHCFLLHKPLYDSELDDVYDTYQDHRVRTHPV ->YP_009336524.1 hypothetical protein 1 [Beihai tombus-like virus 12] -MPAARPQGCCNPPDGGRAQKVRKPKRQSTNAAGRREERRRPTDQRIVDALGGRDKVYQYI -DACPLVERRDGSKVKCNARKTFVQELNRTICQRHGQRPKCVVCQRRKEWTADKLMCWEHP -PVRPLKRSPIKLDRHPQGLIYVFNDTGRQITSMEGPAEGEVLDGRDWTWYTTMNSTFDDR -WAAKELLNSTAEFSVCVDDAQELLPWLLKWLPSDHPLYTVSGFCSPANSASNRCSSRVSN -SRPVKSEAAGSRQQMNKKAKPKPPPKLKASAPVRSWAPSTKVHPGQTFAQAASGGRETGP -KVPGTPTVGVAMTPTPAPRPAEVQVSAKVSAKGPQLRTSANAAAEPPTRGLKASSGQAEA -TLTTKPKRKRGPRRKLNASGVGAQKPPSGGVEGKEATPGPDLGPQREAGKKKRRRGRRAG -RVIQAKRALTQPEAVRRAAEEPLAGGGSAQKRSVQPVVMAASTQTVRPLAPTRVSVATQT -TPTTRSAATQTTTTVSVATQTGPVGSSTGQRPTRRRGRSAREATPRPHSTRSRGCSTGSG -LRSRPRRTDPHPCPPVPMPATTALSVRKGA ->YP_009336517.1 hypothetical protein 3 [Hubei tombus-like virus 39] -MTILKGKVCSINSNEAVTCQLFHAAAFRRILMSFDGFQIQSKLKLNYEPSSRLNAGVVGV -IVTVGIKCAMENPTDVCQYAPHAAEGMGGQANTSHSMKYDLAPMDLVLESELHERMVYVT -VAGLDSEDHWLGDLTYELHVQERYPSPIALFAVNNSIRMANCKRSVSGTTYTNVLATTTT -IHSSNHDSSGFSTGVGSSITELEVDSIVTNNIQTLWFNVRTCGYNTLYFIGIGSLGLNFV -LSNFNDTDGVEVIDSGEIDDDPNTSWYITFYCRKTTDIPLLSVDQTTDGFLVEYPFLLST -VTYGSPVEHNTSVAKVRNLNKHQLAMLPPTCILPATTSHSDTSDNRPQHQAFRHTEATKT -TYSK ->YP_009336515.1 hypothetical protein 1 [Hubei tombus-like virus 39] -MASKLISELRSSLESTVGLHEIGEITGGILEAEGLPADTVAEKISSLASLLGDDCGVNRV -ISCVMDCVVYVYGTVRQVLVWFGGQGMKGLRWVVSLVYRTPLGTLADAIRDAWLYLSDAT -LGLLRVLGVLKAVGTASRVTTGAVCYCSKAVGDVAMGASNYICEFAKYIPLTTEINVLMA -EAAPYMTVSVKEVVVNTVSGLKANFGKKIMFFDGLHSGFFGIASLPANYVTDFWGVVRTT -YTTVMFVVSAGLYVASKLLLIPRVGTPLVIVMGLCATRMIQAIGWGPSFGYKRLKGPKSV -VSGWRNQGAVAYGLAYTTWTIKLLWNKVSTLLRLEKFSQMSLDTSVDDYFIVVPAWFIPR -GPTIGRPVKSKLVQFLEIEHPWRDYKPDNSNPHSKLAYERRECERAAMMALRKFGARRIR -DVGGSLMRNYRRPYVHVCLPVDAENAVRKAELDGHYNDICERLATIQDGGQTTSIVNNRV -VPDDPDRLLAEARMIEHFKHFQVGHHKVHECRDECDAVYMGYSDFYLSAYDIADFAFDDT -TAFGIMATFDFSNYPIGFQDECKLEYTDKGVEMTTLGGRRYKHDFWCWSDESYILGRKRC -VVARKLRSFGSTQLYYLFPVYEVAFTRSIPILKSHSMRVKECAALVRMLPDNVKLCDGKL -CGESPDLAIHWRVPVGLVEGVALRLVNNRACDYEKMGITLVRAALRDAGCNEAATMVVLE -LVVARAVAMRREMESGFKSVDKAEKFFQVREIHGLLRAILLPAVVVITMVWFGPRYKPSE -YKTGPSFVYDPPVLFERRVDYSEEFVKAAAAVTRMTPVITEVVHEGLGMAPETIPPVVIR -DARDVFEEVAREMPISGAQQLDLRDAEEAFILQEYRDEPDDESQSQDGEQSVSSSHSSNS -SLSLSITSPDEFANTSGPSPGSGMANTTPTSEYDDMIAWYYTGRSRRSNSMPVAGQRIRE -VADMFPSEPILHTISNETQPATTGALVSGLERIQCYRPMGPNFSTPQPGPTSDLSSNSSS -EPLAPALSRQVDQMGTATYPAFPGSVGGSKGSSTDSRVAPVPELQSAKLAPIGPKYLPDP -DRHKAGKPQKPVNFEDSQSSPATEDSPYVKGHVLGRVENFLKSENMAVEGKPAAKNAAVN -TLSQPAVERLFPMEGNQATTRPSPRGSGRGTTSEQCSERPNITADTRGQQVESTRTRSAN -STETNQTPEISSSPSSAMVRSTQQASGSSASSPQ ->YP_009336514.1 hypothetical protein 2 [Changjiang crawfish virus 5] -MLPNEPIETYQLEQNSTSSHTTTFYDAHVITNDATLRPTDAERPSPCNISDITNFLKIPR -PIYDGLWGTATAGHSLINQLSLPYSLLSQARVAAKMDHFKYLRADIRISIRINSSAMHQG -RLLISYSPRFNHRSAIPKCETTITHHSSLQHIQLDLGRANNATMLIPYALPYEFMDLDDF -ALTTSTTYEMGAIFIWVLNQLRSDSGTPVNYKIYANFENITLSGSCGPKERVFADPRLAV -PVLDDPTTTVVKYIYEHETENGIVTQMKPSKEAEKKSETGVVSGFMETTAKFLKPFSVLP -VVGEFASLFSDGAMAIGGAAKAIGWSNPPDLRASLPVISTFYNTTNTSGLSTAYTLTHDT -ADLVQPVGHLLSSVPNEMEISRIIATPFLIDTFQIKSTDTEGANLWNHILSPINVRYAPV -PKLVFHSPLSFIASAFTHWRGSMRFHLSFVTNAFSAARVRVMWVPPGFSVPTTNADAAEY -MSAVIDVVGPTEYSFIVPFCASTPWLSIPVTSHNHSVGTYGSLAIHLENALVSNVAVPLP -IECNVWISAAPDMQFSRFTDARMNIKFQADTSITACLDLDTIRKANYVPLAEGIFYTEHN -VCTPDTITSIKQLCSRMTNYASGGLVNTNEIFVSPYSPSSAKRQTPINWFAHMYRYGRGT -FKFSVNNYDATGYGYINMLNATLPYTPDTSWKVLTASDVTNKISATHVNGGLLFASKMTT -QSVVSVPFYSTMYAITLSRSDAIVRDQPVCGLMMNGTIGNSVYMMGTGDDWEFLSLLGAP -TLETDLNAYSYTLLLN ->YP_009336511.1 putative glycoprotein [Wenling crustacean virus 10] -MTLFILLVLIPLTACERIDFPDHSPIFYYERELPPLSLGFPVRDPCLANNLKPETFKRDN -ITVLTPDTSLLTLKGLSISKVFTKTSCIFYFFGSKEHTILSQYHLPLLPSDKEFLEQALT -NDSKWTPPSEELYDCAWTGETIKETQAYEVKPITITVKGNLKILTPSGFDTCEIHGRKFC -YSQEDRLLIHWTGSISLGDGFCPGLKVAISHPGHIYKEPNKGTIVEIPDLSLRFYIKKEA -EEICSGVLMDENGYFLSSTKFTAIHNTTPLKDTSILGMRKVFHKKQTCLKSCKEKFACSS -CLSQANTNWESEFDTERFLSTHGFSPRLTLSESELDYVSEHISQLTSLRLGQLAIEVCKT -RNYQWIQALVTSDANQLARLISGESLALGVLKDGVLYVVLPKQAKAVVLLQKLPNDSHFH -VEYLTVNGSQQSGCLQPNTGLILECDQILTTGLKSFLAPWGPNMIKDLISGQVFATPTLI -QSSSPNHYTFSHEVLTTLGDIWMAIQSQGQWTNQRITEGHLVNILNGKTSNPDLSKVLYP -FEWIASMARGGFSTLIGALIGVLALILLVVIILKCGKGICEKQPGSRLSLKHFKQDGEKE -VYTLKAIS ->YP_009336510.1 hypothetical protein 3 [Wenling crustacean virus 10] -MQCRFRKNKTPEKLDIMSNLVIKLCAEGTIVGTPAHSWAQIASTIVDALFKDCGFSPKIM -AQIIVLLHESLRDKVQETPVRRTIISLKDEIEKEWVTKRTYNCKLVAHTSFPYSPDLNGF -LKKLNLELSGEGKEGTVVVSLRLQGELRCKPTNYDPQTIQDLRFVPLPPVGKVLTEQISK -LRAKTKNQIEVPKDHGQDEGKGASSKGRLKGLFSGLKAKE ->YP_009336509.1 hypothetical protein 2 [Wenling crustacean virus 10] -MFEQRLLEMIGDMEGSKSLKLGLEELHSRHRELEKALELTTNDEERDHVHTVLQKNLKLL -EKVSQDANKDQSKADEPAYLDESDDEESSSDEDEDVPAATPSVEFLQKNAEAALSSYTTM -VLEEDWGAAFEREEARQEQILTNSEEQWMKYSDQQSSIPKKEDQKKSKGFRLEDTGAIPK -QASSREDKLLTPELFLKAKCVPPMDFGRLKEVLKNCSQNCWDAIIEGYAIGRDSRRDEDL -KEVAGGLKKEVVKIEKLLNSYSTTLSNAASYQDKMKVLLDKKIKEATKIEVQQGVSGSGL -KTLTKSPSTRQLFVNCYYGMYKSSTTGWDFSSLAAIHHPGFKDFVEHVQGKEDSIKKLLS -YDWKVVAKTVWRAKDKDGKIKILSQLGKSADPWFKFMTPLRQPEPVP ->YP_009336505.1 hypothetical protein [Changjiang picorna-like virus 16] -MMETKIRHSFDSFDVSADRNGSQLKIGNSMECRRMRVYMRLYKKIYKAQAHAGMDTAIDL -LSHYVNPATAVRTISLILSVCSAGLAIAKDAPKSVKAMCIATAVTTVAAEFVGCFTNPFQ -ELPQLFAALFHKEELLAPEPQPEPRPLVDVDAPDAPVQASPAIKLDPGISTLAAELGEEP -LVPPCAAVPLTEDEKRAINKSIHGGVLVDEHLSNLPLEERVQHFHAHDDIEDHASDDAGP -TRQQIYREFQARRAVLMMDAINKRMDAPDNYIWVGLTRWAVEKAALDWFPLNENADPFQE -EIYIRDRRLADPMNDRIAIRSLIEWLDDLDEDEEEPVAVAHAATTLDIPKIINTAIFGLS -MVTLASMKVGGYSISDLTKLIAAKNTLTTEFNTIRDFAYMVARDWFGIELSASAQFATSI -TGLLERGDEFTKLSMQDYYTGDHFKELGAWVKDVETFITTTRTVKDINQSPGYNMLMALY -TKCRETFESVRRMKGKDGYRQEPVVVHLAGAPGVGKTWLETYLREILSKELSISEAPYNV -NKNQNGGFYPTYCGEKFMVVDEFMGAVDDKMVEDLNGLASTAPFKLEGASLPEKDQWAAF -DFIILMSNNFRPKQHKLTPEAEKAFYSRLKTTLVRFPGQDPENPRSLAGRLPDCSHLRLD -SLSYEHNGSEFVLDKATAKSLHEFVQELKAQWNENHRAYIARANGVRAAYAAPTKLIPVA -DPIDAQAVAHSAAGAPFVVHLCGDPGSGKTMFTDRVMADLAKMYGLPIVHVKDLSEVYDE -ALCVLDDVVTQDNIVDYMRFVDSLGPSSIVWISSNIPVEPRWRMDRRTLPFIPWGVPMPT -HMFEVGVETKTHSGFVRRIGLSGRVQSYDSAPVYVSRQESHGIFIKFRKEGLIRKYDIYS -DVGVQQIQLKDAADIIHAARLKHMDESGDLVIIENSRPIIQGDVVLHANTIQELNEVTAT -ATVLSTKFLTNVNPNFRISGRVFSVAGAARDVTQWVQPEPIVDPHSFRRVAIHYANVVRA -SGNSLEVSIRAGPLSAVFTGNVLHLDGITDGVSAWDAFDMDGTISVVYTDGLVIRAPADE -WLALEKGGLNGSLRAFPAHRLTAATSYLSSTLGTQEDPLARYRIKAVKTEAAAIRDQYIY -LVAGQVLKAIKDNPVLFALVTVLTVSAIGYGIYRLLRNDDVEDYSDELKSLEKKAKKGTI -SKAEIEMGRRIQSNAIVDKNVDMIVKFPTKYPALFALMDGEEESHAKLRNRKSGKRNWKG -KTADDYKGNLEGLTRWTKRNWKGKTADDYKGNLEGLTRWTKRNESDPRKRRDYITHIDEF -ETHGRDAHDYIQHCRKHDTPARWSEYHLYTTGKHAHSVTTVDHGANTPLSQMIATFDNCS -VIVCRSIAAGSTRVFGQMVGKDLVLAPAHIAEREGASLTIIADADGVPRNYTASVKKIAR -VYELAVIKITDPSWKASKSILHRIAGYEDDRPAKGVVLRPQTKGRPQMAIATDLRVTRIP -FLTDSHGIEIEYRQIAYTASVCSTAGTLGLQEGDCGSLLIAPDPFRCEKVVVGMYIGKLG -ELAYFVTLNSAIVSALVSEAEAAVVTTVLTEEIGGRVVHYDIETQHLVKRAPNHVPRVDF -ASELNGIGGIIGYTIGDTGATRDGEKPCRVTTGLDPDTLPCGKRPCPVRVDQVPKPDSLK -PIPGGRLKGTRDLIYANASGQFVDRKSVDWRYYEHVIPHIMDRLPRDCFNFRRKTIMEVL -NGGVAGDPYYSERGSVNIYTSPGYFYETKFGMTDKTRFFRGHEEHGVWVVDRPLVIDTSR -PEGKTLLTRFSTIASLAEKGETLCTLAKTFQKRELIKDEKADVGKIRTCESMDFALNMWF -ASMGADFYERRQHHRINQHLVIGADFSREFTWMRHCLEDKNPHEVMAVDVPAWDRNTHAN -VIKAAIELLVRAALRSPNFTNKETARNEFKAMEMYYTRPISIMRDCVYWLMGRMSSGIMF -TAQFNSDGHLAMRMAGAAVIYEREKGVLPPVDVYSEYCAEFLYGDDMICAVKPEGYWLLG -PQRQKEIYAMAGYNATNDAKTGDPEATPYEQASFCSRFFIKDGQFCLAAIKKPTILSLLH -WASDYDVETIESNYRAALEEAIPWGPEFFNEVREVVLQMKEKLGMRLLVYDYEAGKHFYT -LSKRQELDGCLESIMTARAHGAVDLGAQPNDAKIVLNHSQQPLVSTVDSIAITGLERQEN -LNLSIKMEPTHLELEDSVRAGYDTAPYAKILKIAGCHMHRAAWIAEKRAKDKRDPHNSVS -IWLEGYIRYGNRQCCEDEDCFCWALRGSCLKCGDNHCGYGPYITPIDLEEMDKIHEDQEG -VIAVSSYGTVVGFLHRDDDGTVWYVDNRTKRPEIVNYDGPMIEEAVMAFMAEQMGATSDE -LPFVRFQPMRSRFKPELVVKDAKSQLDDKAGPPDDPQPHQYRQEVLALRRYDAGEISYAE -LCSLLGILKPVAHSGVVPTMPTDTGDVGNGSNYVDPIPSEGPIVDTQFMLPTTGLPIELV -QMVGSQLSSIEVATNVFAPDSTSTKRITSSAGRGTIIYVDPYLSTKGWSKAMKDNARLNY -YAAGSCQRRHEFHGPTTNGGSIMYVWLPFTPTGNTLDMTEIGQYPYQIIPMGGGHAIEYG -LADSTDTPRVRKMSEFFDGNFDPKTMPCICAVVEMPINNGYNPGDRNEIVIDFVTKMRFG -EGWYMARCVPGGIDNKPSDAFVGKELKEILNSYNIRLATGTTEFWPPSAWPVSELPDAPI -EGFTLPAVQNYVQQPSSTPNPSGTDEDTHTQWGYTKFPGDGNTGGYIWITQRALCTGTGL -QAPTWGINSQYIENIAQSRAADTDCNCVTHYEPTPTGDFNVTYRTASTSTAIPMRIHRAD -IMTNEYGTWVVWVWSSAPIGNNITGLDTVTVPGNVAAVAKAKFLGSASVHQMNLAQPRAA -GLIPYKSAFFKHYCPPIKGTTSLPTMAPEGYNFSRKNDMKMFKFVSPGSTANTFTIVCVQ -GQIMIHFDSQKISDDFLDDWKCTSVSTYSPSGAVEVSNFAATSYAMATVTRGNHNIILFG -GGAGFSKEIAPAFLPTTSRYAKELLEERFAREFGVDPRDVGQLRGPLKTMDELLRFIEDP -EDRAILAAMFLDKSSTLVPAATAHSATGIVLANALESTGSGLGYLFTSKREQKLWKERAQ -VTAELTKEIDKARAYNQHQHQIAMMNQKNALAAAQLNAGMNHVNTSTAGWTYADNPTRTI -GTTMHRDAGAQAGKPPRLNPITDMKKKTFSTASTYDPMDDYEFANQRPRTVSTASTTVSE -ANLRAERAVRAADFEKTVKERDEHQKAAATRKAAQAAEAEREWNEKRANSVAQRNAEKMR -QKMEAPIVTHTFDPPTTKPTPKKVPAAKPEPAMTEAQVHKEPTPRPSTASSVAWDDFEYL -DPNDMPNSIVFTNSKGKNVLV ->YP_009336502.1 hypothetical protein [Hubei tetragnatha maxillosa virus 7] -MAGKPNQRRVSRRRRTSSAGPGNRSRSASRNSQNSRKPRSPSVNSRKQLPGTSGSPTSKT -SPRSILTLSDSGITTLSKAEGINFVKRSVRTSLSNNTYNYVTVIRPCGIKGDGLARTTRE -GENPYAGYFLLLSEKPPTGVSSVLLLIWNLLLSIIQSYGYTGPYQLLCKMYGYYRSTSRL -LSNYESMGPSLASDTNYGRFFVWLSAKLSRDAVGPKLCEATGFADVNELEASVEKMQKGY -ACFKGVSEEQHKMSPNTKIPFGICFTGYANALSSAFSNRYPNFFKQNSDGAFNQLTKDPT -KVSRSTKTGQVSKFIKTAIRSDFTVKQIQDALEYVANHPKVESDNEEAENEQQQEDDVLE -KLGATHLADLPTAENVGTGVKIGGVTEDESNTDGKKDGSTV ->YP_009336501.1 putative structural polyprotein [Hubei tetragnatha maxillosa virus 7] -MSKINETVIYRSTGLPLLHQLANCFLPKWLANPIKDGLVEGAERAVLAQEIVPEVRLEIP -KIVENHEAPQSILGNSYPEPPVHQHLKQALAQSSHLAILESQHSAKPKESTLLRGVSEQA -LAIIHITMLQLSGLVGSKVTGSLGRHAKAKTPMLDTFCFCPKNHPLVYHLCCYLSGICSS -PSSNPMDIQARINYCVKCMVIIDLHLDFLAIMSQWGQALQATLTMVDFSSGSLPSSPEMR -LVLNSVKQLDLPTLTSLRHRLKKCRRDMHVSRAYLRNNTKCPQILKSLLVYVLLVMPMLC -PVHSLTDTQISSSKIQMELSTSSPKIQQKLAEVQKLDRYQNLSKLQFDQTLPLNRYRTPL -STLPITPKLSQTTKKLKTNNNKKTMYSKSLEQHTLQTYRQRKMLGLESRSEESLRTNQTL -TEKKMAQQFNKINLPEIYFSWASFCVGPNEIKVKVYSAKFETEKDISELETCYPCLDGGD -HCVSVEKVYRCMKPEEERVSDLPLGLGNLVYAKRKGENYIIRFLMVAAVCAVISLCVQVL -NLAFTVCVLLKWVAAPFRLLNKIRHSKSKLDSDDEEKVLTYKLAPGSRPGFVRDKSLKTG -VLNTFLLCCLLLVPCCAASKGFCSYDDGGQRKMCTIDETGMVFDEHGNALIVENLKDYKI -ELEPNKTEKEPEVNKGGEDEEKEIVEYKKREWLFIDKEGNIYDKYGNKVEMSQEKDGDEY -FKVLIKNDTMPFKHECNFKNNQENLRLYFNKNIFFCPLNQRCKSIEVSTLSGWTKYVQIY -GTKKADGEYRRCWWNGANGEMLYGLKSVNTFRNDLGDMGFVENNKIMEGCPLYEKLRLTR -KWDYEGCRHHPCGSERYICEYNNPNMEMVQEKLNATNLLYQVNMGHVFQFFVQKEQFNMY -KGKVYKIEGNGPVVEIKLPWITAMLLSYAVTDTNYYNNETFLLGSSVRAQQFIMKGECYE -ETVMENSIVQCGQNVSLVIHPYCQYDESFYGWFVYALCLNRAFVIPLLLVLFVPVWLWCC -KYIILQLRLIFTLYQWRDKLTSNRKMTEKFGKKTTYSKSMYFMADLLKCYIPVLYPVFYL -LASLIFIPFQLLWFIALGIKRITPTKRYRVYLWLYPFVIAGTVVRCQDFVSEKPEVKNIK -TEVIEFEQISRTSGAAQLSFIKTGDKIFKNVYFDKDTTAQQTCKIVLGKKVCTTKYVSEA -NLPLLSGAGFQLFMKNDDGTSTKSVDVSITEVMALCSITNRKYSTSFDMEEIRRESSCFA -SNGQNNPLYRKASRCTVDGWFENWGGHDFQPTNKWYTGNGQCTAALILHHHDEGKQLYPP -TLLDCATPCKDDGCVAWQCRTYISAKIARVKKDWLANLFQMGRCSFSAKISVNHEGKIQE -FPVQQQIGSIIFGDIKINYNINYKQRLAQNSYLMTLASFGSHTYGRVEVVHWHIPSFEQL -ENIINWWCAVIPYPNFAYCTWPVGRHAWVQSPSREAMSGVLDNNFERIDAKTKSYYVSQS -EKLVHEEKEFTENSCDKWKKTLKFSREDLATFEVGHLDGDVKLKFSTTKESVVEEEKNNL -LENMKLTVNSCEGRADLIDSSLLTFTPQNIPTGTFGYIQAEYNGVMITSRMEVLTNGAAN -KIKFTSHYLNATYTLVVKTEQSVRAWSFSCDVRVPSKETLERNIIGGNDNNDIGGFDFDN -PLEKMKNFFAGLFGNWKMILVVVAVVIVFAIVIYCYCGVMSTWKRATGMLPRYKAE ->YP_009336500.1 1ab [Hubei tetragnatha maxillosa virus 7] -MDANQQPLGGPDGEIDQNVENNANGEVPAPVQQPAHEEADVNVPEGEDEEVEHEEEQADN -LQWDDEGFAALVDAGNLAAPPNAPRVHFVDAQEVHDQERPLPEQPAQQGAQAPPPPQQQP -QGDAAAREAQAEDRERANRMQRRAEVALMRQQRDVAPPDFYVPEHVVQVDAGRRIGNEEE -NEVNIERMRDPPPRVLPAAPVHRVERVVDEVAEVRLAEEFCRLFVLLAQRSWQHFLVASA -VFFNLAWRFTCFTAKHGYSFLRAISWILAKIFQHVLLILLIMTCGIFLFNCVPSHPEGRI -TGGVSRTIDMYEHLYIKIAEKYHPRQLNFTLSEGKPIQNLTITQSPDVVKVNVTASVQLA -HVYDVYKRFEKDWRLFINNDQHCASLATFPEKYNHTVKAIKTRAHELKMGVFEINFERKY -SYDRLRRMLSYRSKDIILVHNLHMAAERQFMLLHGFCDLDFGNGYKLVAIYEVPHQHYTP -TSLEGAFGSNMPGKDAYPDQFWPVISRFVTNTMLYVISEKENSVSKITVKVEEPQKKIED -KLIEVDNKAPGLDSNAALHQVAQNVAEESKVIVTEEKEIPAESNSSASLHQAAQNATEES -KVVYTEEKDNATVVSPANDTVVETRDNSTQTIEDPVVIIESETVSVPKGTQWIKVVDKLS -VLPPNDIKVIAKVIVREIRNIQIGFDEMFADILAKYDIQPVSEWYLGDGIAEDFHEILTE -NAFNIQKLREETAALHSKRVLPQDYIFDHVSKVTAWFRPQEKAKELLSHIPTFKTPFDQF -FYHQFHESSNAILDRIVEVYNEAVGRTLNYINGFAIYGDYVVEVASSINVTLIEILELIK -IIVCVVNVIGFILSFVFQKSYNFYNLSIWLCFFHSTLYALKMIRMNGLQQTSYEGVLLLG -SIFVCSVILFLHRRHVADKQIARVNHVLAACKELESSRVVYVVVFAYHVVGIVLTSICAS -LHQHVIAFFVVVESNLFQSTSWFTVNFCGVILLATYIALKNTRIGKLKICKILMFIALII -LVYLARDLWRFFVTVVIVCALRTLMCISYHLVTKIFHHFLVWGLDAAAGDTSEDKKTNIA -ANHVMSTFKIMMVVNTGEYINFIIVLPFLYSLCFYLSFVNLIFMAVTFLLTAFAIAIWLT -PQLTVTTSEDKRIRKFDVTYYRRKVPDHILTHFVKEQATSFLRHIGNITQNEYNNKFNEQ -SAFIDHIRKSVEKDIANNLATERDRQNYTTWAMDYATREATRRTDLIIRALGLMPERARP -EDFLAALLDASRVETPNYDRIRNDNNSIVTLWNQVEPMPMTFDHFAIPGDMTSEVLNYPN -VAADTEGQAAHIVAEKWLKEGRIEEREMPRNNIVKNNSADTAGTGNWNVTAVEVGRKQII -YDCYNTIKTRLYPAIREYIASGGLNFVFFFSLPDEESINNEFKRYLIDNNYVDFDEKDIV -FNQSMVVTKEIRDIRNFVNEIMNQRVIPTRIPEGKALAKIIKEYTRNDKNSVFKGLAMVR -DVFCSYGMSGWQLPESKIPGIEVCFRYPDVYFAERTPPGMMRLLLQRKVEVCMAMTTNMP -NYVIGHPTSECHKGACKQDKGKYVLEKAWVQAGGPFKCVFFNYGVCKKMTERGFEMERDL -FLTAPIRGKATGNVFIAKHGNSHKLFTAAHVICTRKDGRDDLVPGKWGSEPDLEADTRDV -YLPTSYCDDIHELKNNYGVTFIGPDSFKIRNVHYGEIKIKDYEIRGEVLIADLPNNCNIC -PENPTGPVDITGEFSFLRINVIGRDWKIHRMPVSIRDGKIYYNSVPGDSGAPLFCNGRIC -GVHLGGYNSGLATQFAEIDERMSFPVKQLAFSGLESGQQFGDIISKDKRKFERGNAPSFL -MVDGDKVSIAYPEHGRNGMTEIVANRYGDPEELRKLLMKALKYGGKIIIENGIDKVEFDN -LLEVDSFADIQKVMGILHDYDEGYASEAGQVLVSKETYEQVAEILGICETDQLMTMDDNE -LTLKLNNIKSALVDIKKRVQQAKLKEAELQNDPKYLASKEAFEDELRKYLEGKTHFMELM -EVYVKSKKDVDEKKKAILNERDDVHKEIKKLMLEARQKAELSIKPYAEKMTSIKEKLQEA -KVDLDEADKTQLAVLQTKINKKNREKMEIDQEIICLKKEMKCILEKANKAPKEIIDGLQQ -EKKETSKLIANINETLSNEMKGLKAKMKEATDNADAKIKEHDLKAPVCPPKPVWIETAEV -KPVQDEILGLLKQQKNYNKKKQAIEKLLKDSIQQLENKGQSWSTMKEQDSFAKTELAEIM -TYLFDASVGKAIANEFVDENILRMYKALCNIADLWQLPMLDDWKWAALCFEKVINLKFAD -QWLYYHMELMPYYEDCKEEVGYVQFAEHLKFLRQEVDAIANDDLPASQKIIYTMVTPLNM -RSPAYTQNVPSFCQPCAVMCAKCGEKFVCKQDHLNQNTGTWLDQDCTLHCYDAVLKRKRE -HDCPSGSCSPPDISCNADPGFGLDCAHKGQKYNVRLCPLCQEEKRTTCVNSNAKYGGTSL -ATILNGHTYYIKGRCLAAMLLRMTNGHEVGKCTGKLSVDDTALAHNMVVYMRDNSDKFIK -VADGAWLDIKDISTERASKIEQIIESVIPILTVNVCPEPQKTVEVYESEDEEDEDVEEED -LNNFVNNQAAQLKIAETITPIINRLIMQNINEMKKAMREAKQPGNKSAPKKNPKKAGRNQ -YCSALESPRSDEEETVKGAFLGQDQANLSEESSTTTLITDLSLSEGSSQPSASKVEGQEK -PTLSNFSSLLLKNLFRGTLNTSGEIKFTVKRSPGRLTTKSTYLAILSTQDAHTTSRTGPL -DRLILPGSTLIVTGKALYNYEMRMDDENEVAVIAEIEGQPILTDWTVTHEIKCPKLEFEQ -YSTPKEAADFLNDLLPNEAKVLELGCGNQTIRASLTSLTQYVGVEIDRDFEHKDVIISDV -ADFNGDYSDHIGVSNPPFGSHISTLFTNFLSCFDRCGVKLIFLFIHPNKIALVRRFFNKK -YRISLLKYFSFPNRKSQDYHGCDILGIPVVLIKLELAEKVPLPQGQVLYTVMRKMDGNDD -EIVLAHYPDSPIVTTTEEIRELLSFHKDFVEFYKKPDLTLKDMKPHSLMATKMGMKYNFD -LEKGDNPCDPLVIMYKNMFTRIDTYLDFNKEHYTIERARLDHHETVCKMHGDVKMNIHQS -LGGVMNTRKGRGSIADKMEQYKEYQNSIDRDNSFVDEYLLDTLKELAPHLVEKVGKDYFV -CQDDRITNVRSVSMYGTFVKDPVELMDSMDIEALSYAMYASKRDDQLILDEYYPLPKSER -IVNNQSSPGFPLMGNARKNIDLEEEIESGVKHLENLNEEKLLQFIVYNYFTKNDLMSKVK -RNIVRIISSSPIAYTVLCQEFVTPYEHGVIKRNKQNPQKIGLSKFYQGWQHVYQYLNRNA -GSKFTEIDFTGFDLRIMPVLIELYYVYLKNETSHEFSESELKRMAQACEGQVASYGISQE -GEFISIYGGQKSGHAATCSLNSWVHRFMWYYIMYRFIKLHHPAWSQKEVYEYLFDNFSLV -ILGDDAVIAMTDDLLDLGIQPEWIIEQFRDHFSMIIRPGKYKFAQESILGGVEFLGASFR -LDVDTGVVIPVPSQTRVLDTLVHNRDKAKLTAELARQYPGASNAIKILQLLKVHNLLINA -FFDDELRPILSEMRRRLLVYLGIDEVDFARASEVIELLDKDVKKIESLEMRDKVVNLVSF -VHKHMTSADGELTRVWSPARIKSLYLKTVKVRSIRNASALKHLLESSLKLAINRHCIFVV -SPLPSGKKSWILYALFKVYNQKNNWNATFELEYAGPNEMLENITNKHDRENLFFFGSNEF -QDVIESEDYGVTIFNGNFRFPFLGWHYAEILSVIRQKDDYFAKRIRDDNLTQKLLGQFSS -NVYLIDPHDLIKYDKRIMRLTSYDQDMDYGLPVIFVQNVYNGYSQENFEEFMQSRKHQKW -VLTLYMKDDLLVKEDTIFVKRENTLWSCNLKTEADVKTHTLPLVTGVVTLLGKDNCWAIQ -EVLQPLPQRIDDIKEIVPWFQNIRAAKEKKSPDNATIFGNENFDNNYLKILRKSDSKRIA -RVLRGDFRRELVFRECGTIVDDYSYKKLLIESLKHNWTIFIGPPGSGKTTALALLVKLIS -RSKNERVAVICETNEAVKQIASVLNKQKVDFYLNRPKKGPVPYEHSTTIDCKVWLMTVAH -SINYEYDTSVTVICDELALIAMGKMAHIFRYSLGRFIGFGDDRQRLPFETTMVEDYLSFS -LYLPYRANHILSFNYRLPKTLCYFISDNFYNGKLVSQNKKEGEIKWIIHECESETDRTES -TYNAGTIDLYPECDVILTPYVAQTVLAAKKGKTCYTVDAFQGREAEHVVFDFTCDHATSF -NMDPARINVAFSRSKNKMTILATRQLVEAFKKRFITTTDNFSLFQVVE ->YP_009336492.1 hypothetical protein 1 [Hubei toti-like virus 10] -MVITRQQAKTKEMNGQQDMALTPKVGRGRGVPKALTTPVPKQASGHPNGVSDAEAKMQEK -VMESTTAKVGELVLIAPCVGVPPGDVAVVDASSSTLAPTASGPSNTGGEEMLVGTVVEPM -DVSGTVNDMPVDADSQAAGPSSVRGPVSSASLGGASGGSVPSTSATGSVLSIAANAVVSG -APAVRYPGNSASRPRVAPLAMSGVPVPATPFTGEYHQVDDLQKIGRSSVVPNNVVAFPVG -SCPEQTQTILAGLRKLLTAQPERIDVQEREIVITGIVSMPPLRGDAPMARVTTHFNRTYP -EDAPTRRTQLTFAPPVIIGEVDPAIQAQYIKNNLAEFRPTSSALRGQVIMEMTMANRITT -LLSEAAQDFDLMGLFTIIHLMVDYLYFLQRLGINAVVAANQPDYIAFINVNPPAGDIAAA -TNEVTNAVMQGKLCFDNRWVSVQDMRCMMAVSAGPAYFNIGAPANVPIHHNIRTPPIYWA -LWDNAPIVNPGPGMVSISDLLAFAEKVVKHYSCRHAYVRGFIRASMLINGMVEVIGVGNH -IYMSSCLEFERFSVPRPRGRNMVWMLLQAVWTSTINPLFFEAEFFALAGLQVAGMVQLGA -AVAAMVSLATSTVFHHFNITGRELTMWAGRDPALSTHVINQLFYPASGRECTLLHMSTTL -VVAAFSGMSIHWRCFSTTSWSNGFEHRVMELNDGWQHIWHRHVPYPVLVLSMEWAVYSWI -LEWGYSGPNPVYNMGNEMFVAGPAASRGVYVHEGDIKYVQATQSQSTFVYQVYGALFINT -VRGDWRCGDAMPISFQTISRSDSGHVIYGRELLREDDLQPLYNVDIFAILPGTLISYDWV -RNCTLGPVLLASDMDGDVFQNWLRNSRTDVVSAAGFSTRFAPQLGEIAITQFDMSSLLFG -AGGNKQAAGASMDDSAQEGN ->YP_009336490.1 hypothetical protein 3 [Hubei tombus-like virus 4] -MYSLLAVGGIQYTTEMANKSKKQNKKSIQHLYRGVKDNLVTDARAASLSASVPGANATVT -YCLAPLGFGGQKTADGLVSLVEFPHLPWLYQTSKNFELYRITNAKVCFVANSATTATGNF -SVMSSPDYSDGANSSFATNPTGYPMSGLANRDVKVPLRIDPSWKKVSYVTMQLYGAGTNA -INYAINTVNDLCFGSFTVSMNSSTTVGLIYIEYDVEFKNPINNLMNL ->YP_009336488.1 hypothetical protein 1 [Hubei tombus-like virus 4] -MATTLLIPAYIATIHAADYAWSVYTSSTNPNDDSFERRVEWNRVKSWFRGTTALSREDER -RGHNMLREFNSEESGDLPTFEDEDLFDVELDGEGKVTRKKIRRRMHKPFVHRLVRHCRGE -LGQRVHTPPNVMVVERTARAYCHEHYVRSSDISCILPVVVALYFFSRSDVQIRTEALKQS -SAFVKSSKPHRFVGVGGRQHGVADA ->YP_009336499.1 hypothetical protein 3 [Beihai tombus-like virus 19] -MAGGTKKRTGKRSKPSKPAASAAAQALARALQKQSRPKPRPARKAEKISGPGMAKTSRLS -GSDFLGELHWDGTDTVAKRILLSPHLKPTMWQGTRLAQLATNWQKYRFTRCTLQFNTSLP -SVVQASMLVYYSPDSSDDYSAVKTTADMLQVFASGYGAKRFAATRDFSMALPVKVPGNQW -FFTTTTPTDSAALDRWISQGRVWIVLAGLPMGASGQLGATTIGTVTMNWDVEFSMPDREI -STLPAIPPTPKPGPDLVFHLRLGMLTSGNFTASRGIDVSVWGAYEHNDAGGSFNGWGWDQ -CQVQTIYNGATEDESVDYNAFNWWIDHQSQAYLDGAAQHGDLADKCAIRIVVGYQQNQPS -DAGKLVLSAVHCFVLSQISGTWRWDSSSSGAVRDLEYTTPNQANIITLETTGFIRDADQN -N ->YP_009336479.1 ORF4 [Adelphocoris suturalis virus] -MDGLSELFREVTLATLRDNFITSRSLSSYLHQKIYLVCKLEAVLEGRTVIYFFETYKLDK -RTKTLLHWNKNLTPHLTVENAKIILDHLGLKYSTDDLGLYVEA ->YP_009336478.1 ORF3 [Adelphocoris suturalis virus] -MFCLMMLMAGIIIHKSMGMRSMSLPAIVHATESTPAVVYSSDSDSVSIITDDDLVDYSVV -QRRSRRAPPPERPCSGSYYDNGTWKYTQDSCNVLADRCQVLNNGAPCSVKCGTSSSRTKR -QTKYTPIVHTPPRQPVVTHKGKVNVSADTDIYYLANNVTHRVPLEDLHIEFKDCIVKSIS -LPGKKITVHQFYSMIVNEVIKNKNYKHLFGPLDAFSHSQHFRDPAFATFFLDRIAMYEER -NPTFRSNLRDVFAAEIGCTIADKSVCSHDHLQHVIYSALDLLKNKHSSKVYVETDFGCAI -VSSTTIHFGKSCSPTTRLRTSELASNLPAICEIVPIPFLHRSHITAPEFLQILGSLNATD -FDEFAFVINKRIRAHDTHSEAKEHLTLSMKKKFVIEDFFPSRSNISLNEVKGASVLMNDS -DCRIAIYSVIFHREKHLVGTLSKKPEDSVVYYYPKVNATEC ->YP_009336477.1 ORF2 [Adelphocoris suturalis virus] -MAAALLEAAEIAAEIIEAAKLAEELGTGAYDLATSAYRGLKRIFGTVTQRYEPVTNFRFA -RSFTFIELPRLQRVLLRMQNINYSLYYEREYARSLVSSITHDHSMNFFVDGIFREDVVVV -IISRQPFLIPFSLLSRSLSLSQHPTNDELTTHRELYYTSTNNLLALVNDRSNIYDKQKFE -HEFDLKIVVD ->YP_009337627.1 hypothetical protein 2 [Sanxia water strider virus 7] -MSDPHGDPAPVDRGGVISVEVSEESSGKPDFPTSIILSRFCSVESEQVYKDLLNTWSILP -PIIWEGTTDAVTTYNLPYYAVESLKDYPQMLPFRMYQYWRGDIEIRATVAGSPFAIGQQQ -MAWYYDATHDSMFDVMRKNKYARSTMLHTLIDASPSNDAVLYIPYKSYRSFLQTRTTREE -QGKPLDLGTLQIGVLNELLVPDGATKQVSLVLHIRFPNSEFQGRVSTALPGHL ->YP_009337626.1 hypothetical protein 1 [Sanxia water strider virus 7] -MRFNIPDFGVVADIFTTLMDLLEDPKTSIEDKVKIRLDLLSNEGAYGFDLPSFGATRRVV -KEVVPTIISEDKLITPVKRGMSYANRLQQRVAKPVKYIPPNCFCPECAPKNAIYSSSQYY -RELWIMNEARKRGKQLDLKKASSQATKSRTDFVTITSKTHISARNKQILENVLLDRTSKK -TKKMAVKEEIVQSVSKVTAQPKKKVEINSLPSSKEEKTNSLVFVSKRKQTRNLTSFSRSD -EKQSRIYTPIIDPNYKPRIKVEKSGFIKSRTVNNAKTVEITPFLAKLPPQVVNSTSETVS -VKKVQPEPETPKQPNNRIRTKLIREFRELIRVSGLKGTFSKEGKPTKDTVLVLDALISDM -RIKADQLKVSPESINLKSTLQSTLGTAFFVQSLNV ->YP_009337268.1 hypothetical protein 4 [Sanxia water strider virus 14] -MKRPKAPKAKSAQANMPRAPRRMAPRNNSRNGQARTPLLPSGVNNKATMPESTQQMTVRG -EELVALINVPAGSTSGQIIYNDTIKPTSARRLGILSTAFQRIDWKTCSLHLVALNGSTVK -SGYTMGWVEDPEITIPSGKSEVIGFLTSLRATTVRQAWVESTAGMMVQTQDKPEMYTQLG -SDIRRYSPGRLVAAVAGDVGEAATFQLMLRYTVRLYVPMAVGVADEPNKGLKAIFPTANN -IAVRVGSLTYPGVGTDVTSGTVLNLTRHIIGIELQTGGTRADGSPPNGFRVFSPGTEIRV -NTIEAGAPVSFSFGPDIFTFSRTDFSGTNRTAFTTITPITQSTGYKCYDISEF ->YP_009337266.1 hypothetical protein 2 [Sanxia water strider virus 14] -MQTSTSYKPDLKKFGEQRARTSWQGPKSSQNGFRRTPTGQGSPAANKQQCSSCGELGKRL -RKLEERIRTLSNKHVRLPSATGSWQTVCREKVAPKSRQRPTTSHQDRTGVKPLNNQERDT -ILRKHDLLNPSSTNQARRVGSVPNVSSAGTSGESMTPLLKTASLSRILPSRRTSPRRSSR -RSDATTSAKKSSGPDAACATAPRTAKAAAATVQSGSVIEALATTPKDLKRRPGYKSDRDS -PTIKRVTWEARRAPDDAADKPVYATNTSKRGPARKVPLGERRRQRKAFVDVDDNLYWYLV -LEFALVPRTSDILRQMKAKAKQFLNLHDLNDISLKDQYTMVIGTISRAMLVPEQEMKLRQ -ELKSDAAIEEMGKHAKLVKRGMIGRAFGGLGKPFSLPEAIK ->YP_009337265.1 hypothetical protein 1 [Sanxia water strider virus 14] -MQADNADIHKLQTGLEEIRRAACQDILARPQILSKRFPSNTDWARFTRREQAAMLVLWRA -GKTPEETRGEDTDAEQQARAPPIRNRLLANCVPGKGCAEEPSAANNITPGPDWCKTSQQS -GTGHNTAEARPTQPLEHKSGKKGRVGAKCKQRRDKRRVYDSLVKNGFSLAHLAFEAHFPK -EVVEEVRRYYVRQKEFWSRCSMCNSTPHCEGSGSNGSVRICYRSSCYHTERLETPTWLQV -RPGFSDNQESHVGS ->YP_009337404.1 hypothetical protein 3 [Hubei picorna-like virus 81] -MGPVIALILFMMSQFRKSTHCPSFISWHTCIAPIKSRVEPKVMTLERLEAWARNLSVEFT -PNTKSCTLLQLACALTYLKNMEDKSKRPRLYDFKVYVHTRELNLDYASSEVRDAFILDAF -ENTTLFKRPIHLPWTHNVPLGKWSPSTEDLFFRLSQIKDRLITTQEIYEEFVNIEEISAM -GYPLPKQKYPGSWHNYEYRNFAFVQLAVDPTPRGPTLAEYLGEMPGFFMIDFDTVHTCNK -TDGSVEEMIESFGLYVTLPKSFGRTYKATSTGDVPKLWDEAPDKRAYLMEFTRVLLMLPK -ILEKEDFRKLTYRKILQIFAMNCFTAVYTPDRDEAVYILAPHLVHRNIDELVWFIKQLSK -RGERIMELYCTVLRCMRWATYDKDEGFPSVESNEYLQCKNTLFKELTNMFMCKYLKVHTG -REGRASWFSFNMDRYKLRAIAIAAVKGVSFVDVTNTSIDYKSKFELWFNNKHYRKTLGRM -LEGPLVCKAGGDESSSSQSSEFQPAQNVSETQYDLLADVMKLEKNNRELLDKVAKLQQER -DELASAQKPGIMDSMAQRLTTPLAIPIVEAIEKVGERIVEQKPSNLDATLIETQELIAKL -SDKFLSKSDPAMEGIFSSINVIFESLKKFLPSFVTISELNEVSASDVFYFVCLYIAYVNV -ESKSLKMLIVYLAMQKLGLVDIVLKKLNTLWEFVWSEGDDVSESETPIEPKAITDYLYQF -MDNTKEFLMSHKKLIGFGIAALVFIVTGKYVMSKKDVCTAGDKVINTCRNFGAVGLAAVG -VSRIMEVFQVVLETATEYLPNPKYDPAYSAENIGNMATMATVLQDPKVMKACLHTPDFPT -TIRNMFRTAIAAKQYYTTHEIKPQLSAVLNNMVTHLLNAVKVATHYDEASKPRPAPFHVQ -LAGAPGIGKSSVLPQIVNTLGEAMGIAPTKPIYTRNTANELWDNFSSDVEKMIYDDLFAI -CNAEEVAEMMLVFSNAVYLPHIAEMSEKGTPFRVRVVGSATNVPYPEVPELVAPQALYRR -RHVLAHTECDPRVLDPNTKAFSQELFEKFYPHKNSKDFPHLKFQIWSPTKKMTAFTGEPI -METKLSYEEFVVHCLNALQEASRCNAQLGLYSAQSSSNAELQALLQNDVFSKTKVVSHSV -VPPLPKVNVAPDEREKVMSVLQPILDGKNAVYERLSAGEQVEQPEVSHLQIPSRYTSVLK -LLQENIKDKQMTVIHLNDDCCLPNYEDSCDEVEAKPMKYVISLSKDKTLVEEGNKVHVTL -DKRFMSALRRCENGWLLDTSVWNHEFKKIYDSMSVRNAYQKEFERWIFTSGWFVRSWMAW -TELRQEVRLALMKKVNGDSHCLTGVWKTFVNLWNRTYDIMSRACAWIGRGIKWFWQMLVR -YKKVLLTIGVTVSLVAFFKVVGSWMNGIKGKSKDFFGSNYVTGKIVPRAASANTDRIEVI -RKNIIAIRIPLENAVEEKFFHGLGLFGQLFVLNQHSLRMAGVLVSKIKTFKMFYRRGLDY -WRSVTITPAMCYLVPGKDLVFINCRDMPFYRDIRPHMQLASDYGRFNYDTVVLPSTTDDF -NKKSPEWLLFSMKMTGFVPRMMEDIPNLDARNDKFYQLQGGVPGGTSGSPCIGVGGTQGR -DLMGILSCGTNATTFVSFLSTEEVARADAHFRAAGNHVIVHQGTEIPIMNEQPDTILCKS -IQLPIVGKAPINYVPFQTRKTVYKKTILAQEFPSEREPAVLNLMDPRLKIKPTHPLAHHI -SNFEEHEYEPLNNYGDWAARDITAEIAPNVQIRLKNLTFEETLLGDGNVLPLNLKTSPGI -PWIFQGRTGGGKRPWIIKTPENVLVSVDKGLADQFKHMDDLIQQGVIPAHTMYFHAKDEL -RPKEKVEQGKTRAIVCVNMAYNMCLRKYFGPFFSVMHKMSDGFHPCMVGINPESITSHIM -ATRLKNWNNCFALDLSKFDSHVTREQFLLCARIVNKIMNDGCDLARVTLLLGVAHAYVLG -DDALFETQKGMKSGAAIVAEINTLVHWIVFLAMYYQICHESGRAEMATYQAFKRNVTLFL -YGDDMICSINPDMTWMTKEALIAMYKKKGWDASDASLKASTTAMQDAITHKNKFEYQTFL -KRTFRTCYELGGIMVMAIDKSVINDLLHWQRETDNDEDQFEINVGLALQFAWFHGREYFE -SVRSRILRVCQVEAAECTGFDQLTMMMQERYFPSTIASHYVGPPIDEV ->YP_009337403.1 hypothetical protein 2 [Hubei picorna-like virus 81] -MLNGIIMDNSYFHDIARSAGFFNSNRPFRSTAFRGGDFLSPSTTASRIEQHIFFRGYNRA -KTAQTIPLEERPAASELAYSPSLEQGTLQAEQSIEQEVTESALTTAEEVGATVAEEVGLA -TAEAVSTAADIADPLFVLNQIAGQALSTGIESSEQVKESQDLQAISRSGSDFSSYKYAQV -RSQEDQDIRKNTMANTIGSFFGPVGVAMANLAAPPVSRTPKVTINSEQGQVSIMSNTPIA -KPTIADSSLPAQGVFSGDVPAKHNLPDGSSYAYMALFPRIVGVKSMSINSAIRFFLNPKY -KQLLLFNAHTYFQMVISVRLTVIAPEGVTGQLTVTRNDGDNVFNYLNFQGRTVIEFDYVP -RFYTQFQYNEPSVYSFAQERNPFIDVFMNVLNNGVLYPNNVYIMCEISFPKSKFFAPRGF -VSANAYKGNYYELVDYVLSMSIQQKLDIHPLPDVSGPTLEDINPLTGWQYTGFKIKITLP -AKTNPLLPLFAIKCTPFFIPPIYYDKVNLSLPNWSETFPIVVGSNAQDIVSVEIANPPCS -FAALAMMHVFSTWRNFKLRLATSGNFVEKGSLYVAQRPFANIQDPSVRVWQKLPTFRGDT -QGGILYGYSENQHAEGNFVQYDLSTNRMIEVSAPYLYPTRKFPHSEAIDGSWITFTPVTN -LDVAGGSKVNLELAIYIAFDDLSFNAPLPWLGALAFPLSFLPNSVANYAYPIVLPDPKAK -VDEAKGTFIPSSAPAGKEAVAEITRKFHGLGLG ->YP_009337402.1 hypothetical protein 1 [Hubei picorna-like virus 81] -MHKNEELNIKKFDMEVQLIKQKTRFVAFQTGQDQSTDATEHFGLLPVPRMINQASNELRE -LLAAPVLLHRTSLPWKNNFYIVNYKKGDIPNLEYLEKTFKWYSYDIELRFVVTAHLQLQY -TILYTSVYHFEQLVTDDIAKDNLVLSDNPYALITDREPDVTVTLPWMKEVDALNTAYIHD -DGTTPWPQIYLLQLHPLMATANAPPTVSLAIFASLKNVKWDYYGQ ->YP_009337361.1 hypothetical protein [Wenling hepe-like virus 2] -MADSTQESTSMAKYKESVSKIMNALLHFGAILHLDKSMCVFRLHQNPVYRIVLKATYKDA -SGDKKELETVSWGTKSQANHYARSKLLDIIFHETDFVKRVDLEAFDLLISTKRRLTKFAS -RERCTCFSLGTRRHHYTEKCKTFRRHTNFEVPLPRRQDYPFLPETRYFAAVAERYGHNHQ -F ->YP_009337360.1 hypothetical protein [Wenling hepe-like virus 2] -MSLTENNSMLNCVAPTAPALESDQYTSANTPNIIVDDGNEGTVKTIRITETIGIASQEEG -YIVFRKDFNRAALSALDDTFAANFRNHELWRMTRGHVTLSNAEKFSTASSVLKYGQVRDA -QNNPPTDVKKLKAFISSNPLAGNINGRCDGSRVGFDLTPKTQMWPMKYTTNANRDPNSMM -YPPFLMILCTKGSTPIVNLQADISITLEFFAPLKKDETTTTDNKRTNLNVNAVTITLGRT -IEDCSADFTFTADPVVSAIGAFTPDRIPTWELDFPYGDEEFQRTRVTLGHGVYDFANKRV -RCAFTDMDFALPDGTNSVSHNLTPTDVSGTVTFVTQVQNGVILQHSMNLQQDYDSAIHAL -EAGFKDLKPLEKVATIRLLKQSMLSKGRLTFNQ ->YP_009337359.1 putative glycoprotein [Wenling hepe-like virus 2] -MILSLKPLKSTRICQKSTLLESVKFIESAEFVINNFDPKMNIPAQWVNAANFPRKGGSAA -PYVNIANLPDDLTGQQPSLGDANNLAAMVAILQAARYKADEAFSDATAQLQLDTVTSDEL -SFVPLVSNVHELTPSNFTHSWAVSFPPGIKQDLYFTRGPTPTGPGQYQFYNETFVESDSG -WITQKTGSQDAIPALPESIQIRRGGRNTFFKIKGYNKIRLVASTDAQQLVTVVCDPYGVR -IYAGLPFKEPQLLAQTRTVAYLRNSTVVVGVPGVSEITMIAGYVHAWADTNFSEFGFHGD -VEKCARLSALELFYTAVRAAVGQSVIKAALNASSLTQKVSALTPALNARMVTSLAKNAIM -GISESFEAEAPFASGQTRDNAIHGVTLVPFGGDDSDPKELDFILRGPIQPFSPWYEATSS -SGVKFRTGMVVDEFAGWSTGTGVGQVTITANHESGYVNFTPEDPWNNNLYVSKRVEVFLE -SPTVAGAGFEASGTATYKGKTYQLVFDVTEEDDLITAFASVTLEQTHFEPWVLQNFTTYM -GTGCSIKNQEQCYIGETEHIYGYDGPDPLMVKALVDYEIGTSDSTTYLHRCKHRLSPPWN -TNLSLETKPVINVKVYSVPPVTAAPVVVDTTETIMFKELVLTSLNSAVNSVDIRQQDRRI -EEIERRTRPTVAGFISTAAFTAASFLNPGVGLTAALLVGTVGEAVESFQHGYTIQSIAEM -VTAGLVHTAQRRVHGKDEVDESDDFLVSVLNILLPSKASSVKLAEHRTKVMKSIERGEPL -TVPTAQGFPVGHKSLSLPFVSLNNKQITPIKVPLPSEVPPKFQPYANKLENKGMYPIHQS -VTANSVMEVGDQTVGFQISLGVSDGVQRGDGVFPSRGGVKGVPSEPGCSFFLHDGGISNN -VLSIREFTSDSNIIFHENRAFMAQLGFRKSYIDSLSPERMDQILKIPTVSQMALKTVGSE -RCSDFSLSLHSMASIVQSHTGAAGREMWGYNVLNHNCRHYAREAFETIMTGTPQGQVVPW -FHRYIKERASNNMSREEFVDVVDNIYQHIYHTLDDIDNSVYDIKHLIATMYGETLTDEEE -EIVDNKYLKDDSKEPREHTFRNGRPGKLLSFN ->YP_009337287.1 hypothetical protein 1 [Wenzhou picorna-like virus 51] -MKLFASAGVPLNGAHVGQKAMVMGSQSETLFPGGNVRESLFESSVESPLRNFDDFERHPE -LLECTKNFCRSSDLNCFQVHSSDPQLKGLVFKIYPKFEFDGDIFVWQANTYVDGHQFLSS -LRKFCLNRYSKDTDCLLVLTSNARCILNVYQGYYRSNDKKVNLCKIKFLRFFVSRFKYNV -RAFDKSVNNNTLFVPHVGFAQAYMESRSCETLTAQAISIATNWDFTSDYVTESISEGAMS -QFKGMAADYVDRFSILTNIVHCSNTLYDVLVSAYKGQCGVHDVVMAINTFYRSLDFTNPW -AVRFKKSLVSLFPVFEVGSKVDKVKEIFRFLIKGCSSALRKCFDFFLPEDEDSERVDDVF -VTKHVGSDDPYDDPDSPLVEEEKGWLARWFGDGPFASFIPHGPMQGLIDITQSDLGIMTS -KLSALVASFCALLTVDGPPPEFSMSGLIEFSKKVAPIVGGTIIAGTFTNYLIDLDRVLAM -MWQCADSIFKGDFGRFIGVDSYSQIFELYTFVVSNYGGVAPHHHSLSYNERDCFVSPVTL -RLPASIINSSDPHWRIMINELEGRSEVGGSTAPKAWSEGLQTVAHYLNMKLEDIVKKASV -TKELKTKFSNITTHLHTLSRSACREPSPVKASGHSFIFTGGAGIGKSTQIGAAFKDYVID -LIMNKAYKYGYDDIGDWRPGQQIDGRLTTTARASNFQLCRQGGVNFLFTHAEDFDPVINP -NGPPKVDNLHERIMSCCDTISANRDAAALTTVRGDGVKGDNRDRIIAGFYSENRSDAGLQ -DLAVNFPAAVRRTMFIEWKLDQGYADSAGKLNVHDRVVKGAKNSSGSSQIYNRVSVYTFE -RMAHASGEWVAGEEVQRTQVTYTFVEDQSFGVGSAKVSFKKGHDLVLTNLTINAFYCYIT -DFVNAKYEESFSQWRQAVTKSRLKAQMGRCLCDNGITLSQCCKCAGPHEFNPYTGNLAVL -CPVLRDRPGELLVHDKYAKFEERSVLCGMHKINDKVLDLFKVMVHSPTLRPVTRTVFREL -DFSSLLNAHVTGEKWAFAERTGAFIEPASLDHLLKISAGSVRQSPASLESWWQEQFDPGF -SLSHAYFLLLRYLVFTPSVADLERIAADTPGPEDSNNVTIRMLHMSGYACGVDCNGVPLI -SRIASQARTMKPPEAFAPQATLLSLIKLWIFYALLDWPSKDEPLSGNNVPPVQCDDLIKK -YFSSDCAVSNAGELLEMSKAQASAPNSASDMFHYYPFRRDRYITDFVAALTWSKINVSPI -KNCKDLVSSPGSNYKCEDFIRPISQYFAIPVAVINTDVTTFVPAAGGENGAEEKADEPFI -VESEEFRKERARGDVFLWENFRLRTGHVWQTASGFVPDNQPNFDNNRLVTSHNGCCVGVC -LIRANGHKTTYHDHSCRLCRQVNATRVCLDVRRAFEDARYRTRGLVWPHHLDTSLTCGEA -ALYQAVYRAPWESLIDTYDGSQLEKLHYGVWKNLPGDSDLPFPEERPDKLALPNVRATWH -GTCYSNRVREHYRTSGESHYSEESYRRLIRHDNQVVDCPEWADPDVWWRHSGQVRPMYLL -ASVDEWKWSFNKFLTKLREQARASRFKDINPFLKKAILTGLAGAIGGGALYLICKTVLNK -GEKNPGDFEPHGVASDIPAGKAYWDDNDLKTLNTAASIHNQSNVTIGSHGRTPKALIQSL -AGMNPSDTMSRICKVKWKNAACQAMDMYAILVDSSTFVLPAHAFAQIDLSQGLDLIMSVH -TTNNKTKVGAWVDHSIKISDKTVAFSEVSDLCRVYHALGVFSLKPLDCYYKSPPSSPVQV -KASYFRKYIADGKWDVVENVTFINDHKDLRLHGEEATCFPHNRYVKSHLQGAPVMECLAR -LSAPGQYERKCGECGLPCVLSANNKHPTIGFYIGKITPSSSDGVTREYETYVTLTESFMR -ESYAQLHSISFSGRGTSSTSLGSFSASFVGHMNVVCEGFDEPGHEAKLMESVKRSRSNIR -YGRGTVDFRDYMPGKEDCENVARDTEMFKYSGSKSGIYASLPTFEEKKVMGIYPSHSGVR -GIYPIIGSDNDVFFYPELLHLGVAPWADEKPHETFGGDLIFGMVGPGEETSDGSKTSMGL -GKEVAKCMIHAYIPPPLIKDASSRLLSHYIDVTSAILNDKNFSHDHMIKLLHATVDEQFT -GVVDKDGEVIMPKMDHTSSWGSNNKPMTGSNKRDVYEITEDRELIILDGAEAAWEAFTAA -LYCLTCGVLPENQVITCFTKRECYPVTGPSEKFTYSSHPDALSFYSSVIGPDKARALLAC -KPFEDQKIRNIFHSVDGLKVKVKSRLVSNLPGSINVGFRMLFLPVSYLLMRFPIEFDMVA -GLDMGSCHFEQSTNEIFHDGYDKETGQHFVFDADVNAWDKIMPAALTRHTLTVLVELVFA -IHKFYGTFNERLVMFSEALMRWWDEMSLFYGSVVLPVSVMPSGFVMTLPMNSAMNQLLAI -CNVLRYAEKHGLAFPDDYTTWIRHKALGDDSQTAIKPAFVAACRRAKIPVFSAVEFSQIM -LEFGITSTLGDKSDGADMRYQEPSKLVFLQHVMYYIRIPAFTIKEIEEDPKRRNMKVLVA -AAPLKAPVLVKMLAKQDSSSVVDPRFLLRDQVYILLGELVPYGRMRFDKFVAAVRRFRHD -YWKPPEMDFEYETHFDWNFWLDRYVQKFCRDGKLDSAILKQRQLNQDSFEALKSALNPHG -IYELDYEGIT ->YP_009337237.1 hypothetical protein [Wenling toga-like virus] -MFKRCPRPPSWGPEYTAFVIGRIPSLPRVPLVIYAPPHSGKSTLCGGVPGIYDTDYLAYW -QGTPKVVVTNIPEALAIGITSVAVLPDEATFRARLRARSIVAGAYPTFPERVIVVHSNDF -LGDIDEIAALLRAHI ->YP_009337224.1 hypothetical protein 2 [Wenling tombus-like virus 3] -MVILAEDETKSGCGHSCRTPRCTRTRSGPINGSASCGRDTSGSRHSNRHRFRYRRRRGKP -RCPRCRHRGDGKKFCVSQRCASISATEKDQSILSEDGMDAHAGTSCTHSGPAKTYAELST -HSSWALPGDCGNVAKELVQSNSRCNIIRTPGCGSTTDRRDHFCGQSGGSDVVLKAASSVS -PSDKTPLIHVSGKSEEVLGRFRLAASHAARNLFRHLTLCCGGIYACRERVGGAQLDDSCE -EGLQSVHQSR ->YP_009337223.1 hypothetical protein 1 [Wenling tombus-like virus 3] -MKTWSGGICVMPSFHTKQVGPRTNYRWSFWQRMKRSLDVDTPVEPHDALGQGPAPLMGVR -PVDEIPVDQDIPIATASGTVGVEVNPGAHDAAIAATGRSFAFHNDAHRFLRQRRINRFSP -KTEWTRMRVHRVPIQDQRKPMPNYRPTAHGLFQETAEMLQKNWFNPTLDVTLSVPRGVDR -RRIEEITSVASPEDLTWFLRRQALFRPRTKLLLSMLVEKAKKFLDDSDLRHHTQREIYFG -TLHSVAAAYMPVERELEVLNWMTAAKKDYNQFISPDDASS ->YP_009336731.1 hypothetical protein 1 [Shuangao toti-like virus] -MVYANIDDSGQGQAVNPTVDREFEESEWWVPQGPLEEPEETEWINIHPEDIGIRKEDLND -PVTRRKTVTKLMIANKLTDTFSLESSFQRASAYLTEWERGNREKTLLGNRSNKSKESNEC -KIFRGDVASGVEALWEATKMSSGDGMEESALWSLLNGAGPSNPRVYNNRTLTKYEEEGAK -LGVGSDQLHYHKSMTYTRTEVPRSNSNKDKGTETKVKEEIVKVGRLKDRAAKMAINVYTS -YRKTLGIMSGNVKNRIWTKYVASKLARLVGSNILIGWERELINKCQLSEMQAKKKVSMLA -HSIRIWSLEPTDDPEEDKLKVKEPDNEDVESETLDVRRMWFVSGLISSKFGESTVNGGVC -PYCIYHEIEGSTPKAVIKAEGIRECKLPLRDSKSWVYAAAIKHNKEMHALNGNIASRILL -EGESRREDCMSRDRVEGWDRPPIRCIIRENWIEILEEAEKYSGRVYYSDGNHGGRTSYAG -AGTLHEVCKGDLTLPAAEVLPQQHQAGGSGMQDDGRPGLPPATRSGKIYGPNWSREASER -HRTRGEDQTWISVGLDRNKHHGKIVMTEMGDEMTRALRDPEDKTGLINLNRSRNIYNYIV -GGGSLAQSVNHCNSSDWRELGLRICLYDDLRERRFTQPTGVDWAIAKKDEIRTEYVATKP -EHKWGYKCVCVNWRYLDKFLKIDGRMPVGPNMNDIWYLNSDEVTVIALGDNSNDSGIGRE -AWILSHLDYPLIHAVDTFSIGEIRRVGVPEYSEKTFVRTSSLIDIPNKARNLVFVLMSDS -QDTYTLGGVTFTVPRVDRFDSIPAGTGPRVVDCDPIIDAMLNRVMMSPECIRMSFERYCG -GYFPTGLDWMEVDNLATVLKVRWHNRMSVGVEDTPTGKVKEYKYMPTGFARQCGITLRNS -IGIDNYGSNDAVTCLDHVFVKRADARSTPVLRIGRWDCMSELGVASGFAVYNSFDTENKD -RVISRISAGGMDSLLRAAYWRRIVEMWKRQNGIRDEIASPGSYPNLDNYWTMFVEETREG -GPTLSQMAEVLCKGKYCTWSWRVNELKTILPSVTGSRTPSSWWRGPLNETWKPFLIGGNE -NHPSDTYHLNTVRTEWCYNHWEPQDRGTDDFHLESVLNRINLEKGLEGIEYIGLPSRSKV -GNFGYHVECQISGILARSAGWVKSYDKSHPVDNSIKWTWGLNVIIRDWEHDTLKKICLEA -NVASLLEAGYFLERTQLFRGSETLPVGGSNRDYLYRLPTTDMSLVMGNSRASSKQSDPSK -ELRKTTLNIVSDQRIDPDEAKHQEEKSTGLTTWKGSDKKKLMSSTEEKKTGSAVLEIKKI -PEVDSKGSGLTKATVGGIPTVDTSQSQMASHQNRKEVERVMVTAEVQPKIGSTKEDAKYN -LDHRKKQDEN ->YP_009337328.1 hypothetical protein 4 [Hubei picorna-like virus 77] -MFQYPHLVRPNPSNFIKIYVYQIVHLRLIMYLTNRYAHLLPHPLGRTPRDPNSVFTSRDL -PLTPLTTNRPRNISHINESVSSPSRYSSLSNHGPLTHSSYATASTPSIHSVPSRSPSSVF -SRANSSSSRNSSSIFSRSGSQHSTVPHRLPHNQIVTRATHPMSTLTHPLSLVPFVGSNSK -PRVDFPSHKYSKVSIQDVTPTKSKSNFLGDAMHGAGSGFFAGAGLATGQIYSTNRKAKAI -EQYNADKVAAYEKSGLPSYMAYSNTAPSPPVTATIQGNIVHKTGNSFQSIGNQPSSYLSQ -ASGFANM ->YP_009337327.1 hypothetical protein 3 [Hubei picorna-like virus 77] -MDFRDVSINGFRTSNSSNPNTAYLDSYDFGVGDNLDSTLQCLEAAFAPEDYEFLPTSNVV -TLNTPLESLFAFASYSNIFGDRNSTPGLVPAITTTALQTYFSKYPFDMPAGKAMLLQLYD -NILKTPILYLKLYRGGYFTTSSYDAYVTIKIIPYRYSTQFISYIEENVPVPTSGSSKSLQ -FHKNLRLPNCSFAADHVFNKSLRSFTSTPVRQDSKRSKLSLHLKGLTIDTINN ->YP_009337164.1 hypothetical protein 1 [Hubei tombus-like virus 27] -MESIKAFAQRISGWMMPKKTDLQNQLDTIKYIQMLLRSKPGQEEFHDAVDNLNACKTGPQ -IKTVEDYENDARINAIRSANQEAALNHLNYKSIGKFIDENYTTWCAATLITYLDTVLITD -EKERYDIISYCIKMNIAHRTANERFIGENNFNDIERLNESSLTITRDKPWWAFGFERTRG -LTTKADLNKNRALVWCFRPSTTSIIISTLGAIVIIDKLRTIQQFKTLRNTITTLQAVNH ->YP_009336977.1 hypothetical protein 1 [Hubei tombus-like virus 21] -MSNVGNRLKGTAHNCASCHRRLRGQPGQRFCVIVTHNVDQQLRNLAAKSWVFPNECPKCG -EAKYHHQLANGKEVRQCKTCRHKWGKILRSSLPAKSPVRQPAAAPQKRPLCNTCMQPGML -VFGDLGHCLRCGHFTDLLTLGTCSSKQKAKAAKVVKHNLAAPKMAKQAYARAVEERLQSK -SFKKPLPTPRSIFNAAKPIPMPRARPGPSKAVVSKKTQVKPKLATIQEHRKLSPPANTKK -LVNQQLAGTFGQPQPRKSRDSLAPRANKRVIRAIVEDNNGQSDVLAMRKAYNSPQVSGNP -PTKEDFRFVRNLRLSPHLYIPGEGAGVWVEVPALMKNPRLEYLDIAWLAAMNKTQEQRLR -LALILGKIANQQRLNKAMRKTSPTKKQ ->YP_009336579.1 hypothetical protein 4 [Hubei picorna-like virus 70] -MPVCPKRVFVIFAHNVLSYLLKKTVKVYNLLKIKMESTTSVYAKFVENLILALVQTTIAL -GFVLPTYEDTLTLLRSKLQEACSSVASRRYIQARFILQSYKETAKIIGQIRSTDVTISGQ -CAAFMKQYNSITQNAMDTLIKKAGLNCVPTHSPWSIDPAIRNMLKLTPQGLAKWCDKLDE -PIQPLRNVLAEFDPFFNFAMPDSSYVCTDEGVLVDSHFTTHEEEEDSFPCLYCSLGVWHC -DKSNKRFERCCFNQNCVSSYAIPSLACNFEFLELENWHLPLIQHYKFVQFYAYTHSIEED -FPLEDLPLMTNEYEYPDLSVVNLRSMREAQLAIQAASNLLPSDVVE ->YP_009336578.1 hypothetical protein 3 [Hubei picorna-like virus 70] -MNILGGLLDVGAGVGTSFLQNYFNQQNMQQYFAGQTKLQNNQFNNINSQFSKYGLPSFMA -YTGGGLNLPRETYQLNGFNTYTGGYAGSNQPTISNRWQENLGWGVPNFKGLITSSSDDEN -GVSPFPSWTNKGTNPDNNPNGTVYYPGRTVNFQGWDDPRAGLNFANNNSGPFIKSWSGND -QVGYTPNYNFNNINRDFDNKYFAPNGAFGNN ->YP_009333613.1 hypothetical protein 2 [Beihai shrimp virus 6] -MEVGVAQRTLPSAEAEHVIMKRHDPDRREMLINEFRFGHGYPGESQFAARLFTQSCSFLL -ELATDFTPPEGWISDLVFEFLPHCFEIVKQSIHFVEVMAPRVFWITMTTVARATVETFPE -YLRTVLRISIPVAGALQVYVDKQNRALLKPGEMLFWANISCLTFRVLPFQFDPLVTDPDA -VVTHRSISDIVRHHPNVRHHRHRYGNTIRNRKTHP ->YP_009333612.1 hypothetical protein 1 [Beihai shrimp virus 6] -MTKRANRRLNNAIASLQALTMATRTNIRAARAPVRSAPQPRPGRNRRRRNNRGSVPGGYT -TGSAGPLRTSGTNGGVRIRHEEMFLNLRPRVAGENIATQIFLPGQSKMPVLDSFARSFDR -YRIHSLTLRYKTASGTTKTGSVILGIDGAVDHVPTTIAQVQGLYPKWRGPVWGEGAITAN -IATLMPQRWLTTSTDVSMLKSVAHAAFAVVVGLTSAEPDVSPGEIWCSYDVEFMYPTGSG -N ->YP_009333610.1 hypothetical protein [Beihai shrimp virus 5] -MQPNGTVTVSTKNKKRRRQKRKNKTVIQVVQKPKRQRRRRGLALNQAPVAAGPVTRTTFT -GPLITSGMDYLDADLIPAKVDDGTLIVDKLLNPSQLPGTHFSAIAGGFDRYQFTSLSVQV -ISRVPTTVGGGYIAALSSDVVEEFENGVSLKQHTMAMEGSKGAPWWTSVSVTMGKQTGEW -YYNSPQSNERFKTTQARFLMVVDGPPTNLSMNSSVQVTLLLKWKAKFASPTGMAPRTVQK -FTIPRGTVFTSPNPTHPYYGQYLYVPGTLSEFWKASKFSYVYIVVPGAEYKRTPPAESAN -VFAIQVGKTSEGSWTIGLWETIESCEKVDFNAPGGRSGILCNGDWTLDRDVDFRPLCPVT -PKKRSYLNLSVSDDFTHKAARNLINTERESIIHADAIGNRSNKLLQASTELLQNQMKMTE -KLSSLMESLTLTIPIIGTTLRTMNVEVPIPPASSSCDDSTFSVVAEETE ->YP_009333608.1 hypothetical protein 1 [Beihai shrimp virus 5] -MVRFVDTPQYHDLVKVTNRHSKKIAIIEAIHVLAAFGAIVFLVMYLVEGIVIRGDAMIGE -SRTPTRFTFLSRPPLPDRIRYGLALGIASVLAISSVLMLIHTIITWYKLRKLRVANQILL -NDEEVVIFDRESMEESIQPNSIETAVKTKSDPNLRKTVIIGFGTDDVIEGVGHGFFTKVK -DKLFFCTAAHVFRNLDPEGTLYFACRPDEKFIGVSMKHDGLKFYDFQTEHAVDFLAISGI -PERLIDLLKVKLVKLKRDNVDRANQLCNLAYGAKVGDTNEFVETRGVLRYIPENKVFGIG -LHTASSRRGTSGAPLYSMNTVIGMHIGAMKMPCNGHDALLNRYVDITYIKTLLELITREK -TTAEESASFEFLERMFYKTKKSAKAKLIFTPTADWIVVIEDQFGKIYSYDTEDFPKSKLE -FLDFISDVQPEDVVWFDESVVPPAPVKNHKQAFVCENVGSSKHVSTSAQTEINTPKINLR -YKIVRDFGCNITSTFVQNETIGSEVGSILIDPDYPPEISPTYAQSGNGIVPEMTRATQTE -PEPWEESLKPDLMDLAQRMGQTNFELAWTRHIKEVYSQLSETQKLVSVISDMLLAQQKKK -VECLIPEDLEMLIRGALHREPPAQFAYLMETMEDVKRQHQELTESNKELCMKVERLEKEK -SKDLKAVKEELEQVKTMSSKIQKTLEKPVTEDKFLHDGLEKVKQMSQQVLDKLETPQAAK -KAQKVLAQEQPKDETMVVNQQDVQVLPKKRQRNKKPNSSQTVRVKGDHLLEALAVLRQQE -TANRFSPGEINQQWADLLGYMNKQYQLGVNQSPTSQQVPPSNGHPMEATASTSRFVPMQN -CVPMHTPTHVPPPNWNAQPPLPQRTEPIPNSPNGHCQ ->YP_009333589.1 hypothetical protein 2 [Beihai picorna-like virus 114] -MTSRAIFNNNCTAPGESSVANMSTFGDDLSNKQAGPDSRLAMNMSKMPGQMFGRPIVPVE -TPDGTVNAITMSDHAIDIHAGIARNPGYTTPNHVYSWAYSTSWTSDASGTNIPLVTSPRV -HAYRDFFYYYHCGMLVKLVCKMPLDRSDRFYVNWSDKQTGANSTVGIGFEWAPVEKNEIF -VLLPWSAVYPMATPDADMSDLFGNLNISTIGSNGQSVIVDIYSCPVQQTLYNLRPVKQAP -EGFIASNGTKIPPGAYNVAVSGKLVNDAKASIPGQGTIVTIVENTTNSYMETVFASTLPF -VVPEEGELFITQSTYGDLKLLFSPVTSTVSTAEEQMDIEQPGEHTSDVEMTDLTGHTPDI -APRTNPNPVIYGKNTTESVRETNQRYLVSTLNIADATPQEFTFTLPEELLVNYARHQIWT -KYPALKFCGTQTIQNPARYRIIQLPQVNSLVVSGDQFFELPGIEWDPKEGDLDLTPYWDR -ANTAFYYDNSQTLQQNFLSFLPKFYAQPINNFGNTVPINVFASVDHSSYHAIRDLTLSSS -TAEEQMRFDGLKHCCPHACSLTSKRVWGDINCEMCNSALECKCKCLTFLNTNLRLYGHHF -APYVTKDTKDLVKHAYWDSLAEENRYIVIYKGYEDISSLVDRTDEVEIRDNLRCALAEIN -KKIHADIALAHGKVYTPKLVDVLYFPWEYSPPELQTRPSESTVQPTAEEQMDVQESADYQ -TPGNQFQTPLRSLPMDEGANAVAKTYAFVESFELEVTEADPTPSVAIPINANTFGPYVRQ -EARRHRNWRGNLKFKVLFNTPRTVAGAGTIAHLDQKPATQPSQFVLRQFPHQTTMDNSEA -EIMCGWRKENPWVSRSGNNGYLYITFNGAQFGTTSNTVRITIYVDASGIEFSRSTPLSAL -PSATTYFIPSQLSKPLKDVSLEAVAHSLAEVEATESDIQLFHEAGLYFNGTAMECPTCHL -QIGMWEIEDNPLADHLKHAGQKRTRCSLAKQRLRSGADLTRTLSLKAIRELNQILMDSRM ->YP_009333577.1 hypothetical protein 2 [Beihai picorna-like virus 121] -MQSLCRECKEEILSFFRNKSSTTTAISKPSALEPCGRQDCQAFWRTILHRSLHLTGFKAD -SAYHVDFQALYLPRTAAASGLSVSVSTTKHKILDFFKVSSQCVRDQELTYVLDVRLDHTC -YFEPALIGPSSLVFEATKTAALTAAWSIHYLELTRSEHPSIQESWIPWTRCNWQDEFATV -PRLARLFAACYNEPLYSCLNAFMTEDQLKALVTQPDLVHTSHLFFDRWKDQTPEFQLECS -SVGKPGYGPNLDSVD ->YP_009333575.1 hypothetical protein [Beihai sea slater virus 1] -MSNKFILNTITPSITSLRFKSRLTTQACLIKAGQTDDYVRRLSASKSKDSTDPHFIPLQP -LRLTDRFKVTDCDPRTGESTGELVGVIPKQDHKDGVRSGFGYLARRPSFTPKTLKPKTKP -SLQQPSFVKPTMTKSSLPAHSKIRQSLVNFARTMGAEKIYKLSTAELICYVHKGLKVREE -METVNPPPQLPTETDTLGDSSLKEKLPPYVPPPDDIAETAYFASLKRLASNLDRLGQNSQ -RIWEHTTESSKNLLMASFPRDIVQTLTKTLKTQGKLAKAEEAMKWVTYIMNIFVYSYVLY -REDLTTPAKAMAVVGLLTTLTAMTLSLVSSFTTPSEEELSKALSECVNQMLSQAEVKVTT -GEMPNMHTPPSTRRNSQHAQTVLAPPVLGTVTRPSPSPTDTALAQAQAMAQAFEQPKFTA -QMAEEKKEEEDTQNQNTSERKHQEAYHLIKSAFAAVLVVVAYAATGGDQKKMSHIVLLGK -FKETINKSYHETFDFVEEFLDIGFDTQIGTTKKAATELKQCIDKCCAIANKEPVEFAKHP -ELNNQLAELTASITQKLEVAKHDESSNIKRLTTQLSHLQINLMQLREKVNIFKKIHNTRV -DPPFIMIRGERGHGKSHLITRELIPRLAKKMGVDPTYYALKLENGDFYNPYGGEKFGFID -EFGDRGAKDPLFLKINSICSGTHLNMQGAFIKEQSCELGILFAATQMVPGAPLTADGFLT -TAAAQAFLSRATIFNISDPNYNDQFDRSQQPHRKADYSHLTISKERSAMSQADSTMKVTT -VNPSMTIDDMVDDIYTLYKYRYEEWVKTLPVKAQMGEDLDVGESFVVHLLGEPGIGKTSM -AQLVGRDLATTLGFPYVSVRHGDFPSIAFTKRCVIEFSDPFPDDESLYKMLYDRAVKGSI -FIISNNSTSLEHKLKWSWSFRNYHEISTKYEGTTRRIGLTGTIWNKAKGKYIYVDSWRGC -YYNVVEQGYVSSPITTRKRMTPSQLALEISDKYASYMARALDIKIIRGCTPAEASIIVKF -KDWETARYNLSSLTRMSLLLASVNPDAMVAVDPNDITLGSIDPSVFHMKGIELDGSPLDI -VKQMVTHLRRNAYAHDVLIVVGNERYYGRGDLLRIEEDDGALTVTPSDNQEAVLVKKNNE -SLALDYHRFYNILNHGTQGTDDIPYDLARALRQQKLAIDKDQSLFPLKVKFELEEAHKQN -VISKFQQRTDMWSTIQKQPWFYVISAISGIIMLGSLGYVLYKTFANSTGDDHMCRRKKKE -DGTYEHIVDEEEDRPAKDAEDVDREYPYVTTKTGEKVKADEVSLKELDAKEVHDLVVAQS -GEIDDSSDRFESFKRKANRKGLSKTERESIFRMRKAMGRPIDSEIGEIELSKIRESLKIV -VPQMEIPREPKKSELDLIINAIVRVHNPIGNVHGIALRDNYILTVAHCEKPTHITYKNKT -YAVVELETMKAYDLQMLQVVDKSWQSARDITKHLLTEDRIHYISRLRFVEPNSDRVLITD -LPATYIKSLCYDNDKQWRSNQFCADFAHVDGFMTAYGMCGLPYITHDHVLGRYVIAGIHA -AAAENHWQGMCALATIEKVNILFEKEREPVPAVVKPQMKTTDHAVQINLKTGSQTIIVPE -LVLKYLKTPLELNTRIPMGIDGINPLWTAPFEPALPRKNKYVPTPFCHIAKERGYVNGEV -NTPLSIEDALKHHRPPVAPDTQGKKSLEMFQVYKFQGAPASPPEKVFAESCRIFDSYLVK -HFGGEKFKATSWYEAINGLNPNHPLSKFSEPLDITTAPGVIYTKMFSTVKKSGVVSGPPG -KRWFSENPAGKACKEYADLIWVYLTEGRRVMTINCDKIKAELTSVDNYKTRLMSCTDLAE -CLAMRRLFATFTSELKSKRNETGMMLGVNPLTEFTFMMSRLRKVGGSGFEIDFKSYDKTN -PQWVGKQTMKSVAKRFTPGLRKAVKTAGTYIYTAIHQILNGIYMVEKGNNSGGFMTSPYN -TLCAVLSLIVLCAQIWYNKYGDLKGFDFWKHFAFAVMSDDVTLAVSPEMSEWLRASHIQA -AASDAGLNLTVANERPEPLGNLNFLSRTLSDINSPDSFVVLPALKISSIKRHFFWTTDKS -EIQYLDLLNTVLEEGSLHSRLTFLDLFAVVYQIALGYPKRFALQIDWRSFTQRRAAVLKS -ATEGISYERVIPALPDSLSSEVISESWLHDYVKDIWQSDDPEGIHRISNIAQHKIDEDVG -FIGYANKDDLKNLEDIPEKPTLSLDETTNVKETKVPPIKVTPQMEGTPQNRAMASTATTG -TEGVNAAATLTEGAIVSSVTMNPVQAPVTMLAMGGIIQDIRDIAHNYIDHATSYSVATGV -VVGTEVFRMKYGISGMNDYAQAYVNLHNRYAGPIEYRFHCVGNAALSGEVLFGWVPDSDA -TYTLQDLQKYKYFTMLLNRNVTVEFTLGDARQNGFYRNVTGDDATKPAIVCMVYNELLNP -YGVAGATVFINVASRLSDSFILAEPVLDQTATVSTSTVSGVLEGRSLGELVDSEAKVYFD -GFCQGQYRSMQLPFERPNRMYMKSYNGPGDGQIYFLNNGALKNESNTGTDSDGDLLFSTT -TVFMTAREGDAHLFKTGENSIAIETKEFDWAEGYQPYALRKYQPLNDKPLARFEPEMGGK -VVTTEEGESWVGWGLGQYGNYLGTFNYHIFNLDLKDEPYTVSLYITPGHKNTQSTRLVEI -DGQDPDPYLPESWVSVNITDQKNVVLSDAVANTLNGQATIYPTGKEKYLNRLLWDMSEGN -KIIQFDLISTFSNVPVSTIRFDPAMSSLCANTSSRDMAYSVYNGASANDILIKNLRFVTS -SADLPPRASSNFVTRVDNSTRQGYVRQVPFPRFRATPQMDFALVALQGAGAASSGIINRR -HEKKMLDRRLEGMKELTLESKKLSTAGAMLLAKQKYNLQMRAAGLTNPSEHTSATSYADV -ESGTHYADISPAGSTKNSGMTILPSSTPSLSGGTMDDLDERLKSLFSVENLDIFGMTQDW -VDTSEFGSSALPTNPQETVDDSLDDTAVAQDDAVDRIALETLDEGGEPEVTDDGQGLVSE -GTKVPPRSVPSRSLHVSLPSLPKKGFKKL ->YP_009333565.1 hypothetical protein 2 [Beihai picorna-like virus 115] -MNSETRTMFKNTVAPGENSVSNIKETNTDISQKRVGPDSRLALNARKQPGVNMGRPMIVV -DSPDGQVEAVVMSDATTDIHPGVARNPGYVTPSHVYAWEYGTAYTSSDTGTDINVKFNRR -ISTYANLFYYFHCHMLVKLVCKLPLDRSDRFYVNWNYGNAKPGTTGVGFEWAPIEKNEIY -VLLPWSNPAPLAYTQTTLDKMVSDLFGYLTLKPIGSNGGVVVVNSYSSPVNLKLYNVKRS -ENSAVSCKLPEIPKYFSIDSKTTVDIGPDPVSLLVHTATNGTGAESSDRKVWIPSKRPFS -AAVNGVFKDLRIFGATGTITGYVFPQDQMSAFPVQTQYESLIKVTKNSIIPAGVYSAALT -KITNNAAKITDGMGSIVAQTTAGGEVVNLGVITMPKDGKLSSNNPDDFEFALVPLNQVPL -PPKVVINEDDLAEEQMDSSLNTSEKPGEKGSDPSLDEKIGHTPDMKPETINDKILGKNTT -ESVRETNQYYLLTTVSMADSTPVEAKLNFPNNMIINFARHRLWTKYPQLKLVATQTIQNP -ARYRIVQIPQFDSLKYNPSDLFEMPGVEWDPKDGDLDLTLYWDRFPTAFFVDPKQTIQQN -MTSFVPRIVIQPINNFGNAVPINIFARVDNSSYSAIKAIPDLAEEQGLFDELHPKLTRTK -NALKGAMFPPEKEVGMAFCCSNNCGLTLNRACKYCNDPKSCKKYCETDPKYNVNCFDHHF -NPYFKDDDPRYKTLMLRSYNLAFGVWCDQNNNNHVEWINIGCNEDYDVIKNRLWYAVNMK -AHCNIPDQDNHCQCKPVGGNTYKWHRDYNRDHWRKGSTRSLQPVVMSDAEEQIDQNPSGE -SKNKKKSKPRSFRIKNVSRFSKCMPACYRAARADFDDRFYGDDDSAEEQINEAERVIPGD -QFQSRLGSLTADEGANSVAKTYAFVDTVTSVVDATDPTPSFSIPINANTLGPYVRQEARR -HVLWRGNVKFKILVNTPRTIAGAVTVAHVDEDFATQPTLYEIRQYPHQTSLDNNIVELMC -GWRRENPWIKYDDNNGYLYISFEGVQFTGSTTLSFTIYVDSSGVEFVRPQSLATLPTSNV -RKVLNKFEHPLIDLSFEAVERSMSDLDVSLATVVSMYEAGLYWDGSFMRCPTCQIRLSWW -EEQDIPITEHWRHSGAEFSKCSLIKDRMKQGFPKRRLGEQAHKIKAPVFKGPEEDDSLC ->YP_009333544.1 hypothetical protein 3 [Beihai picorna-like virus 118] -MAAAAAAAAGVKAGGDVVSTTTKGLFDFFQNRENIDYKKFELATNQNNQLYNTFLYHQNF -FLPELELKRKQVQSQYDVAALNQIESRRTAQQLSAYNRETALQLGQQNFSNALKLGNNQF -EQSRKSMQLATKLNLEADVSAYQRKLATQTNVLNRDGLPSSLAILGAGAPRERIYAGNRT -FVSAYQGNGPAYSSTPSQMMLGNIKLEPK ->YP_009333541.1 hypothetical protein 2 [Beihai picorna-like virus 105] -MAAIQQVIDSAFPLSFSVWYTKGDERNVLRELLGCDDFNLETNWCAQWNERVQADRQYDR -MLKWDMAKTTPMRLYKIRLVMRKPGFDVFGGFDDAGVAYCFYGKRGVTPPAVCILYYTVY -DDMGLLSFNSADSRKILAYYLNHWRYFRVGTLGVARTEGLLAAYQTLSNHKQDITNLMTL -TQETIRVIEERTKALGEHCQQYMQSYIAMAIDGMLLLVDLYMAETPLQYTMWVARVLLRF -VPSTITSYIQTLFDEMLTFFNSNCSTPSVSNVGARSEGAVQVGQATTFFEAMVGIVSCVM -IGANVDKSSIKAATTDFIKHFPYVANIPRLAQAITWLMEHGKQIIMWAIRFFSKYVLPKE -WNDKLFYMQESGEIESWIDRVEYYSTYLGLIDLSTSLSARLEVASLYAKGKRIMAECVQR -PQWMVSGYLQAIWKKCVEAYNVMNKTKFKGKRVRPFTIKLKGGTHIGKTHFIKNVLAPTL -ADALGVDPERSSFTRVCTTEYLEPYMGEPIFMIPDLGTAPDGKDYIECMGYIDSTDLVLN -YAFEMKGAMFSSVCLLMGSNIAYPAPKELPDHAALWTRINEHMFEVEVAPKYKKYVNPDG -VLDGKRLIDECGVVRDLHIFRRMHTLKGEGIGRGANGNSVGEVYDTEQFLNWAVENFART -MENASRLNESFVAPINEQARNRLKTATTPHLYNAGVVSQGLIDEETTNPFGNDAFLEKLA -SIEAFEAEIAKRDYSAEDQEWWPTGDYENGTLVGEWKSLVVDPAYVCLTNPGIRESQNFE -SNKSLFRARIRRQGFMLQMWNQIKSAFVACKDVVVSWIKHPFLWIGVAGVGLHFISGFVR -DKLRVMCVHSPDCVVKNVRGKTCNTICNNQCGCAHDAKCKPTRAFYTDDELRDLIEEYGL -VDAKTEVGVVSGSSTVNRLAKRVIAARAVKPKLVTSKTEGQIHEDTIDMLFKHAIVVVEG -NGVKMHGLRIKGHRLMMPSHFIHQGDFADGDPITIHQPGNGNATSTTVAFNSAAVRYISV -DKGDLVQDSPCMWDVGPQLGNQSKDITKFFIKNDDLVALRSISCALLRNMNNDPKVIVSK -AKLVESIGYDSSVTKYDARLGRVVPANRYYIPRGLEYNMWAGAGACGSPLVVYSSLSPRT -IFGVHLSGGYSSLSYGCPVTQEDLECFDQQFGARSEGYLARNTRTICEMPIDVPYNDNLE -RAKVFPEGDYVVHGVVEPKLSIRPASKTQIIPTVLYGAWEVTKEPAVLRPGDPRSLSDVS -PMQRGLRKYGVGIEEPLPIIYEMFAEGLSESWRKITPAAGGIVLTLEQAINGMDVDHIDA -INMDASPGYPWINSRPKGEKGKKYLFYVDGKYENSAVRYAPTIALKEAVSHRMREACYGR -RVQTIVSDQLKDEKRSLAKIKAGNTRLFSSLPVDHLLCERMLSMDFIASIMNHRVDQGKP -DAYVAIGMDPTGPQFNDLYKRLITYSNWFDGDFETLDGKEPATILDLQARLKYEWYARSE -HFIASFAQMLLDLNINIDIPLEEAARNAYEVFACDLYNTVHLALNVLYERKRGMPSGHLL -TSVFNSGTTLFYLFVAWVVVCVRNFESGSREFRDLISYDAFREHVKVVAFGDDFVASVSD -YAAKFYNFIVVSDIMNQMRIGMTPGNKTAIAREFVPFDEITFLKRSFRIHPGRNVCMGQL -NFEAITHSLMWQHENDDHVAMLQQNLMGAMREMVAYGPANFNEFRDVVVSNLNRVGGEYA -ACTVHQYRTLEREFLAKFD ->YP_009333532.1 hypothetical protein 3 [Beihai picorna-like virus 83] -MSGDFKQPNVALAYDQFRGDLQAQREWFSAFSSRPIFYSHSVYPEDPRSNLELTIPDVSD -SNDIEYTGEVETMFGMYGLQYFNENGTYINESEYKGEITFEGKPRKIYTPRGSKVGDGKE -AFGIYYELFTDATVLTASSPELQAQWDERTPTPSIGTFGTPATGHILQSKVNDIVVSKTT -QRVKGPQPYKAIRILDSLQPAFPVLPGSNGESATYGSDMTQFMNELGSFFETHDEIGSIV -YTLRYVGGQKIGDVLANRLGLWANSSYLYGLFLEDLSWVETEAIFSIQEWPQLSKCDDQY -FVDRTVNSESGYYARFNNQLESKGFELQASIAAGVAKGLGGLFQGLGDAGMTVWQINQTQ -AHDLLMQENAQKFRELMMEDSQKFQAKLAAEKLMLGALAKNWLAEEEFARSISADVGQTQ -AESSRADMNSQQMAESTPGNPAWLAEQKRPLYNKVPPPALPGFVSGGVKTGSATPAEPPK -FGDESAEETEFIEANNPLFVSKNRSSLGSSGPVQPISTAPKKRRTGNQYTYKQLMAQHPM -HQVFEEEYIEDEDVDEPGQLLAEEGLPKTGKATYKKLI ->YP_009333531.1 hypothetical protein 2 [Beihai picorna-like virus 83] -MIEQPSTRQMQAQTTNASNNPATDPLHGVSAPMQVMNSTVTGGTNMGSGALLMQTPGGLP -PLFSIATGVSSSLASKMYECAFARSLTFGTNTTAGTVLDEVLFDPWSATNVNGAALLYGQ -HHKHFTGAINLTYEVVTNATMMGRILVVFIPETFGSDYVVTRQNAFKHEHIILDVSVSGK -GTITLKPTTTSDFVVKKNSGKFYGKVVVLAQTDIVNTYGATVNVPMNVYSELAPGSMYTA -IDDSVDHTCPDPVNQVFRKSRPFSQRTWALH ->YP_009333519.1 hypothetical protein 2 [Beihai picorna-like virus 124] -MKDMQWTVANALSRPTFIGTKEWTVSQGICTLLDTFSVPFDLFPHGPSLTAIKMLRYFRG -NPVIRAFVNGNPMMQGRLVLGFVPLVERQDFLQCFQNRRAWITTVDHVFLDPSKSTTAEL -VIPFRSPRDYLTTSSTDVNMDDHTLGTAFLMVVNPLTSGADSAQKVNVTLTVGFTETHMK -VVRPDLVSSGNSSSNTWNIWGSNNHLKNKDAFRQSVSTDAQANIDASPLGMHKPGVTIPP -VPVQARVFSSLNNARNFLYIDRFGLNCDSQNLADQEDMATDTDEMDFKWLTSKFSMVSYM -SWNKTYPAGTELKKGNISPVSDTISPIDTPFLEYLSLPFVYWSGSLVYRIQIVCSQFHRG -QLVFDVEYGNKYAEETFMATAYSTYLSMENGVTDFYIKVPYIAPTPALQVQTTGANESVW -SLGKWKLSVVNQLQGPSTVSNFAEINVYVAGGDDFYFHYLGGLDNVRFMGLDGTPDFTTI -NPLPTTTKPPADLETRGDKHTNSNVPTNDNEDMNEPMSQSEVGEAMVVPAPKSLGETPPP -HVYGERIQTMSDFTRRYYSYGKLSLSSLATGDSADHATFDVRRIPNGGDSQETNMPHAYC -AHLYGMMRGSIRIALKMDSHLVKTVETGNKTIVSTIDTSDVSIYYVPFTRKGSRDYLITY -LNNLTPNTLESIKAYNMGVLSTKSPYLELEIPYLDVGKATLTRAYLTATNSWNGLRSNTE -GYGMLVLVQENTNTKQEISVFGSVANDFRYACFRGYPPHEAKPGLLNKKTNSVST ->YP_009333514.1 hypothetical protein 2 [Beihai picorna-like virus 101] -MVWLGCVMYLTATEKDKIFENDTHDNILQLTKFSDDTGYCDHKRESVMPNLNPFADVSLT -GFLSRPYEIGTRTWSTSEARWIVLDSFSFPYVLFSQQPLFSKLKNFSFFSARIRFCVRIN -STRMHAGKLLVAWYPFLDNVGDNRNVMNIVSASAFPNIIIDANTASTCDFVVPWDFPKQF -LDMSDLKNNYHRNNMGRFVVFVLNPLVVPDNTPVQVSYWASFEDVKLAGYTSFEGELPSP -PTALVNGDSPLNNDTLLDYLALSNEVHTQGDDHEQIEKSETRLVSGPAELVATISSLMSN -IPLIGPIAKAVSTGALAVGGVAKAFGKSKPADLGAISRMQIAFPTLSHGTGLESAPVLGC -LPENAITTLPKVDVVDQDEMQLSRLLSTEAYVGNWAITPTITPNSVIFERHNSPMAVLTH -GVANNQYRVFHTPLSFTTSAFRFWRGSIRYRLQFVMSGFQSIRFQLLYEPSNAVGAIHAS -GPNVISTIIDVNQTTDFTFTVPYISDEPYKTVGGDPTTINSAFAGRIVLRTVTGLTNFTT -PAVGMAINLFHSAGPDFQLFQPITNYLVDRSGVTRTELLDLDEEDQESYDFVETQGVMTS -EEKRLDDYPPLLDATGIVLNNPVHGENITHLKQVLCRTSEVNKIINTQVCTVINPMSKKT -YLRYTFMNWFGLIYRWWRGSFGVRALQYGAVLANAQSNIFINYVSKNLPSVEFTTGGVTA -LVVPPLGNGANFVPNDKNFIPQAIVPYYSNWFKQPTAWFQAPNTGFVNNMFIFNANNAQF -GVFAGDDFELSRMIGPPNVLVEKDDFLPYN ->YP_009333508.1 putative capsid protein [Beihai sobemo-like virus 1] -MPSKKTREIHKGERRARRRARRKRNKQLAHGQKGPQRAQESTPLRDVGSALGGIAGSLLG -APKIGSLLGGALGSGVGKLFGSGEYHEALESELGAPVAEPADMPETNSLVEPLSSNDLVP -LMHQDQEGAVTITRREYIRDITILNSGSNFNFYIGPGQQQFVWLSGIAKSWQKFAFTGLA -MEYVPLSGTAVSSTSAALGQVAMCFSYNVVESTAAWPRGVNAAILNMNGAVGCSPAAAAT -CYMECDPRQAVNPFCFINNEQPAVANWSESDYFPAEFLLRTSGAQELTTPFVCGALWCTY -EVVLLEPRPVDPSPALSFMNMPAFKDFVSVLNEKRVLSNHCGPYTDKQVMLRAAEMRRLA -AILRSLPFADALEKARLQSWSIREEDDTATDQQDQVDLIVSQLEAKYKALSTEMDTWVQP -VLTSTGSKRPKY ->YP_009333501.1 hypothetical protein [Beihai picorna-like virus 108] -MLPLTLKSQRDLPATAFCKKLAAAGGAAARAACETPEELATSPVVKAASDPVTYRKVVDR -VCTPGSPEHQAATASVPKKTEEQLRRAHEMPFRQEAAESNALSSFLKNLFGPIVSPVVAV -TKGVTDTLSGRLVDRLVKEGSDLIKEEADATRYQFARTVDMNVGMACQVIDDAMTECRTE -FTEHIRAGLSQVETSTDKITASVDTAFSKVRETIKSSIDEVTAQAFPAMQAVGAAADSIN -NMVSQAFSLIESLRVNVESTFKQVHANCLKFVRLLGSAIASNVMSMFLSVISLATTIYLD -LPTHLRVMQVLQIVAPAWALVDLIGCTSLAEVVPSFVSSVVKLCSDFFGGAWGKFMSMFG -SIPTPEPTMQSDDSSSSGFLTKLLLLCLAAFGLTEATSIADFMKKVCSMGSLESGADSLQ -HWIGSVGWSLFGVDLLGTETGRLASAELVVEGHVYDEMSSDELLLNVSKAQDWIKRCDAH -LSITRAFGREKHQTYPLAQVYSSVRQKIANYRSAKNVVADRPDTVCIHLHGEPGAGKSHF -IKTHAVPYLVQSLNLAGKRDAEGYLVDLSESLYILSQDKYDEPPRGEQIALMEDLGADET -PNGSYHRLIALASGDPVRLDGAAVEDKGAHPCFTSLFVTSNKTLNTLSLGLTNEARKALA -SRMHSFDVVNPAVGRVSMATNRFAERSESVAESLRFYRCDPDQGTRLDGDRYYTADQVCQ -YIQREIMLAEKRRQARVNQVRNVARQAPTTNFKAFSPAGPGSLTGVEIPPVVRPIYNPQQ -NPQARPPPPQRVLGAQPELPGRAAARLRRLTEDMELQMAPPLTIHSERSAAIRKLVASKF -FPELVDQSVIIPEDDRFLNNVSMAVGQLGDLPDLSCIANEKLRKRVRQQIANSWSEDQLR -AFTYFWDSRLVTQEDVDELGYSADTIDRLCDFWSDHRDLIAPIPRFALAESIVAGRRVVQ -DEWTYSRANIWLFHREWFRKRATEYSGLKFGKREPMGLDPVDVSYEPPEEYFEEDEVVVS -QAAITKVERNMRLEEVTLKGEHPLIAPLIFGLRQVGRDVTLAEKTPSINRATTPTELFRA -VSDEQDVNIAVAFRVQGETTLYSYGRQDAPLVYLVNAGDSWYWGNWGAQFAWNWDQMLTN -VTVIFERDYVLTPLETFDSSHGIIVTHNGGSYYVGSPDDEVPPTMCYLNGKWWQVNYIDR -EEANRLTQLVGTDSQVELPDNDQLFELPSLKRALFAHTLSWLCVSSLPRYILLKAVARVV -DRIPEPGNPVLTGVYTGIKWLTDFLTNGRQKQAYSIYQGTEDFKCWCESHMTQRLTIDAQ -HISSRGDQILRLTREHHEPLLITVTDGEFHVFGEEEQARVWLDRGDYDHFSSAIVAIETD -GVVSLYEPANRSADYRRPPRTVTESPVSYHPRHNVRAPAVINDLHGLPQVTAHAKAFFEQ -ARFAAHSDRLDIPDGRSELRYLTVSELGLPVYSWLNGWQQTPVTSADFYMAVTPKHVTYA -GRDSRAYALAAPSRLAPYVPSLASVEQQIGTAIMRAHNSTKTYHALIKIPLGVYHIRKDS -SGVRCSFEPLCSTAPYDEQPTGIQLIKHVITGNYPTDELRVRQDFDLAAWRWLEGSLDDY -SIITRGVMRLALLFETVKAYVTRVYGLSFFQLLSGLFAVWSAWTVYRNMQWLFGIGEEIV -CVFDDVMDTNIGERLGIWEWVDEEDEDGPYQRMALPREAPTVLRGLGEHRTQEPLDSPKE -KRHTNEGRKFSPLVTLSRTVATTQKYLDEIRKNGSVDVGCSHRGKEYLLRAHEAKSGRIT -VKVITTDPGRLKQLRQSGYWVGGSIIPQMYHGSTNFDKTSETVTNQVAWVQILSNDIGAG -LGQGCAIMMNDFIGYCPCHFVRPDSHLLVTFKDGTSMRGEWFRTACDPMTERAFFGLVDE -RGVTASKQGMKDLSKLLLPEAEIKQLSSDNSSRNTGYIIRHMPGRMHQPATVFGRFSLED -YVCENEDTEGRWKWSKSCLIVTGIAEGVFDKGTCGSPYLVVRNAKSFLVGCHSAAWLNTG -ALGNMLSVEAWNSAVQKIMSPQNCPSFQGLSTDETEEAPNWVARKYSYMPEWSLEKELPM -YAPRVFEVVSTPHTEQLCKEYKHYCPVGTGVSCVSLIEPRPVRKQTCKSHPVDHPALVQF -RSGKEPVMTRQAIEAMPIEESKIRPDKNGAIYLYGQRLAHAMDRPIDAITVGKQQMLLKI -GHDLGYLNSRALGVTARKFRVFSVDEMVTGLPSSGIHGINTAASLATLEHVIPGITNENL -YEKDMNGMNTLADNQFGRAFRELARDTLDAACKNGSYIALPGICEFKQELLEHKKIHKPR -IFMNMPPTANIAMKCLTADATSAHLGKAEFTGIWLSEDIIHDGHKLAWRLTHDANGGDKF -FAFDVEKNDNTASAAALQSCVEYYSAFFDNGRFGPEHSKFRTEVRRGINVLLRGAYSTPL -ICDNAVVILRSTMPSGKYNTSMDDAIMNICRMLYVLIKIGAYESVEEAMEGTRLEALGDD -MCGRLEESLLEQGINQKTIAAAWKEHFGVTLTPGDDKDSVLKESLHLDEVSFVGTAIAKI -KHPSGQYAAHRGMCLRERSCASAFAYSKSYNPSELADAHQEVLKHVVLHGPAKYQQYLEA -AQAYARDHQLENAQYLSYEQMLRMRWEAGDPRQVPAAHLRERVGANLVTIEVAPIAPELS -RVSAAEYRRAVAGGSSMSSSRAKTWVSRALARAEDRDQMLLISGAVERIPPLVCAAVADG -VPMQVVKKPKTSQSITAFPVNDPVFYGVVLPTIPIPDAIREEILDGFADICRSVEGVTEI -PQPETRSEIAFNRILRGMRAVMRLHRETGPCPMAWQALREWAIPELLANAQSSSVLQSAL -PAAFGRMSVEEVLQSASPSTRVAAGAAAAVAAVGLSWAGYRLRYPPGRSQMQAAPEAGHA -GVEDARPVQIQDVSSAVMALNRSLIGPTAEYEKRILQFEPLEIIKIPAASVSSNVIAVRK -FDFWDPQSTGAWLNQRTAFYKYVTGFVQVRIDVRQQAYTTGTILVIPFTESNVGDITEAS -TTVDPIQAAKAVPVAGTTAAAVGDQSALAQRIDLSMPGQFTITCPITTSEPAPKGVTPTS -QYTCGYIIVVETDLGSSIKVNTSDVFLTVHGCFANLQLSTNVIGAMADATPDDSSNPYGL -SILTRRKDQPLAGTIASNAGVPEMPKGTLFLDGKSLLTKTVQEQLSYPHYGPMRFDMDDE -GLLHFRGTVCEVNPDASQADTGGEIHKDYDFYDEQDQKTTTKVHKYSLAEMADQFCHKCT -VTGNIGAKFGYGGVHATRDGDWSPRPKKLTTLTEDLFAIGEDPLDGHIAIPRSVINMKSD -QKFGQFTTADFAVVAGRRYQITGEMPTYSTVTIYNSPPWVDHNNWGYSGSVLHSVAHNPH -VSRCYIYHRSDVEIEWTNMRMHVLETSGGYSDGWQLAFRRIHVDQTRMPGLEYRDTNLDN -HPIVPSAATPADGPTMHYVSPELQKVYVTAGNEHQSDLRTQTGSAHKYTGSGVDSGLQTY -HGCVDHFPWTPRVKPESFDVTIRMGRLEGYDTTYYHDVALPAWEYTIADRRQIACATPHE -LNQDASRFGAGTDVVSQLFGQAAVKLALSGTPVAKRGLDAAPEVPAGYVSLGIANDDEMV -PTVLSGITGRTVPPNIEMVQFHRAVIESLNRDGSGSYLYYATMSGSRIVVLANRHGLYVN -TGNNTDLFMIAASSTRLRISSVSRQADPWAAPQSDLSGFLDRTVSAAQPMGAYKGAGRSP -ARTVMNPDMLMQLSLQRKREFPKGRSHMFAAAAALGGAMNGATDFFKWSQANSRANKYLD -LQRQMTEDTLANQLKISKIQAGSGILRTGLTVGAQMEMQKMMIAARYGNQANMSTGFTGH -NPSATANTADAPPPYSEKEQQAEVEKETAALNSLHPGGQTGMHLVQPASEETSPKGYVET -QASPADSIPLEPVRPRSRGPTGGQATASPAASSSSVPAVRSTLADWGQGTKALREAHEPL -NGYDFDGAAMASAAPEPQATMPDLDTAVKQSMVEAARGPAASTQATPATTTTPAPAAAAT -PVAASVSSPTGEATSQNWVKTTGNGIPINDWGPVPTAGLPVAQYSAQSKHMMWLMGGGDG -IGAYKSGRMRPVTDASGSLRASYPPQDTPLMAFQPGPAHPGIENRLRKRPAKPYGSMVFT -RSAGAKPSGI ->YP_009333490.1 hypothetical protein 2 [Beihai sobemo-like virus 11] -MENQFTRKQQAALQKAKTPAAREALKKLYTAQRAGTARPKAQAKPRPRSHAIPNFLDPMC -PFPMPTLASEGRALPHTGLVSSDFTVDTTNTTLLLVANTGHSGTVAFSVKLDASGGVVAG -SIETYTIPTLSVSDHNGGPTTSRSMKLSVSVVNCTNNYKRGGRVTYINSSQRLPPRNLDL -STEYASIVSGIKSSPYRRRINGEDLVKPKHLITFPVDNKVYHSYDGFRGTITAGDFLSYM -LTPGDNVATKDAEISPRPMSTVAWIFDPAPDEQAYSFTVRASFYTRWPLTSVPGQTMHNV -PTAPAAVLNAVVDKAEAHGSDLVELAAGGAAVAMGSRISGVARSIGSGVQAVGSGIGQAL -GRAFTSPYGEAVAAGGGEGGLLAGMEGLSSLQALQLPLLA ->YP_009333488.1 hypothetical protein 4 [Beihai picorna-like virus 120] -MAAVAADAAAGEASAGAEGGSSGISQGASNIAGGLMKGAFGLIGQRVGADLQLRNFKAEG -DYLQNSFQSAGLPGVLAFANGGSSLPIMNQRIGQTGLNTLQINSFTPYTGTLAQNMFHVG -KLW ->YP_009333487.1 hypothetical protein 3 [Beihai picorna-like virus 120] -MGNEQASLPSGNSEFVPGSMGPPIDSNDPMSKGLLAASVDDFCSRHSTALGYYDVLYYQR -YAECAQDFITPGGHDVSVQPKSSTEACFSSSLINTLFVYWSARNTTAGLTWKYAETVKSI -LNMLKQKGSGKFVLVVHLEDDWRKSSLSLLKQGMKPEDVSRYTSVVSQMKLA ->YP_009333477.1 hypothetical protein 1 [Beihai razor shell virus 3] -MKRLGLLLTMTFVEQTEHVFEAVRNKSRALINTRLSEIWNMTFPEIRLVERKPWESVSST -GDESVLHQFCMSACFVIIALFVLPVICCIVRSVGAVAWDHLCSFALVMVELVKWMLRVTV -AVTTVIMLVVRLVWAWWYNDLYSCMIATFLVAVVSIYLLWRLFEADSAQIYSGFESIKGG -TNAPFRIEELSIMPLGSSDFEASSPSSSVVQVGFQRGFGLNRAFVTVGNAIYFRELDGKH -YFSVPTHVITALSSVTSAVPLLKRGTTVIAVERICTLGLQHLAEFTVLVAGQRARGMASL -ARVGHVDRRDACPVVVHAHTDSGSALSKGQLLPATGMGSSGVHVFHHTASTDKGWSGGLV -TMDGKAIGQHVGWVSAKDPYHGESKANVAFNLAYLYAIVDWKLRPAGDRSGLEADSFTSP -LIDRLVADYETDDRNDWYVEELVSPNLDVTYIVFNRRSGKVSRYERDDLSSDKSSMIRRY -IAGSGTENAEFQDASSEMTTACEEYVDKEYRRLVREIDELMGSYMRNNGVDAAMDVMREI -TYLAKAQSTYIQTLCDNLRTPNRGMEALPVVPVDYGNLRTMMVLVEQYADIQRQLGSMAA -TEQLAAGEIRARVAAGEPKEQVARDQMKIIANMRAINAAIELCPNIGRELTMLARNQAQL -SADEVTSRNSMDRVRRKLRNEGYDPAADPATIDAVIAQRQALLNAQQAAKAIPLRPEAPL -VIPVAAQGPESLTLDYYRRKSQEGTNLTGFNVYFDPLKVDEEEVIRQIRDIKQSAVSFLV -PRPASSGLNSEVLKPKVAQTMPASASLSSVTQSTSAEETTKSPTTLPNYSQCQKAGSDPR -KASMPETQCLGALNGTLSRTNGLEANVNQCLPVHYPTLEAESQQLIANSKSCVETCASKG -VGCSQPQASQSPLEKVRVDLSEMARNINRLANPSSAPKLKMGVMREFFSSLASQEVDSEL -DQIKGLQKSMEQLHAKLNTMSAAKPKRRNASVVSK ->YP_009333464.1 hypothetical protein 4 [Beihai sipunculid worm virus 5] -MDSANLSISPVYQGPLDLNSEIYVGECDKFGDKRKRRVKIKNVRCKQIVHTVIFGNTEIP -VTLACEENRQAVHANTCPHGNETVPRLKCIAAARVFESSRLEPPPAISRFQKENCYFASQ -VFRLFCFILQCIPSLVTMLPPESGPSVKVLESEKVPLFTRYHLKSMPDRTPMDEDISTYI -WCVQPIHIWVFELVVAHINWLRFCRFPSVYTYLSGTCLCSQAPFFICSDEKCRRNPKTDF -RFWPRFVPQELSTDRLDELKQYKWTRSLRAGVWRMLSGQRRFIKPMIPSEIESSYMKVIQ -FIYWQSFLLCSYGRRAHPHNHPPVKNVMLWRTKTKLVGSFINYMWTNFGSLPRKEDLVPF -YRMTKMMRTKFYAEVLAVKQKKGKATESDRHLIRRSLHQIWYKVLSPSTPNKLYNDRASH -LAAVLIEYINRLPFLKSLGQEKCFNIVLNFS ->YP_009333463.1 hypothetical protein 3 [Beihai sipunculid worm virus 5] -MAAAAAETVTTASAGSAGGFAGISGGNIVSSGIKAAGDVITQSVKDKNEWDRLNLSINYN -REQNQLNRAYNLKLVDKQQSNALALQDDKYLQEALLYQKEAAVDLTNKEELNKQVLGLNI -SQDEWKMKQFEKAGLPGIFALGGGSQNLPQRKQYLGGGGPSSYRTTADIKQSGFSSTAAQ -NYYGAGDLFITPKKRGMNYVLT ->YP_009333454.1 hypothetical protein 1 [Beihai shrimp virus 4] -MKTDFANWTWISSQLANIIHPQCDLPKPADLIQIAILIAVILWACAKTDLASLLVSLLKS -SWNRFVEAQSDLIRWIQKLAPTLLLMTNILIEGVPNFWYITIAAQIILLVVGFGVVSVMP -QAKLIPMLELQAVLPTSPFMDDKSKVPVVAVANANGDGSHIVGQAVRIGTLKGKAAFATV -AHVAKALTGTPYLVNLDENVAVKVLEVVICVGVPDAALLLCDPKKVSQLGGIAKTAQISC -GAKPAVLHSHGLKISTLSSLKACSKANVENNGNCTFVYYGSALPGFSGGPVSVLGRVVGI -HTGATGATSSGYLIDGLLAWMRRFEARAGRVVMEEHSPGAWMQKLLDRDEDVDQYYDFEE -FVEPNHDRFLRVSDRKTGKVYLYDEDDVDDELYKLALKRAGNTRTRTEFELNSFKKHAPT -PDEPVNYDYVLRGQQAMARYSAVVATLSRSIMALQTVLGQVRKEPSQTAQVGVDVNAFNE -FAHRMSNVGVAMSQRLVEICRLGVTDVVDKNRAERWRARHPSPPVSTETREELRRMELAL -EEAYNAMEQIPVRGDDDFEQHTSIFCNSLQARYGVKLGTEFHGDSFLEERFASTSMTEST -KMSTQPTPKEESASRSQTSFSKLSPYPNLTDGHQSEEKPFQLPSPITKTDAELRRNALEN -PTKESLEQSKQQCKEAMRNLELASPVPQETGQKDTLTSSPQIYQQHRVELSDHNGVPVKN -IKINMLDRNSNPNKNRPFQCATCKTPLDAGKVLKHRCPKIGTGQSTM ->YP_009333451.1 hypothetical protein 2 [Beihai picorna-like virus 113] -MSSTKTNPPTGVIDDNATLENFSKEVVGEKRLAAKPASTIPAVRGPYSSSKMMTPDGLVD -VYSFSAIPSKPLIDPSKVNTATNPLYHHWEHYRTQSITATYLQRFEFSQQDHSTLNKICS -FYRYNTGGMIVKLKLQTTELEGVELAALSLSKHLAHKGEDVGGIPTLKWVPSEQPVIYFH -IPQDAEYNYNPELQLPSLILACVRFAQVKGMLDPQLQMWISPAPEVRHHFRVDVPKEVDF -DYLKTIIEPEWFTEDNKCTLTYHPSSGATYSQPFFSLVAADSGATIKDSLEQSVCEMLTD -YSYEGDTTLTNEQKFTIHCITKPMTPIHVHVIKIGHVAAELGYPSFRRTKMQVGEFQTPS -DQVGPAFTGLNSWVALTKFALNSSVDVKYIDITPKMLSQLLQGRLLSSRLFFNGTLHCRV -STKVVLTNYQAYLVILPPGARLSTDNDTAASQALHLRPIDMDSSHQSKTFPIMNMTPKNF -VTYEESFATLAIVFDNKAFRLNMTSTNPEMLIELNLSSFRGHVQGAKSSDWGKAFQPARK -QAAVAAEAGELALGEIVEEVGLDEGLGSLTGSTSTLVGEEVSPKGAGLGDHILGNLTDDV -MSGVDSKVSSVFNPGYHKPNEGAIDKDFSGLEQRLDTDLEMLDSSIQIQGQKTLKALGAI -DKTLAAGFGQQASVGGSVICEDDTWHMLEVATISKGETKLVDLSPFAAEDSLAPMAGYMN -HHATWFSGVPGVGIKILAAAGLATAEVFTTPLTNDALIKSNAKYYAKTYVSGQSMQKIPT -NWFLPQQRADATAVRDSGRVVISAPGGDITVLIYKNFSQKYVLASGVRSEFEK ->YP_009333450.1 hypothetical protein 1 [Beihai picorna-like virus 113] -MLVEDLNVFVQGTLPHDSYYKLTPPLPIFFLFLISFFLFLAERKQAKVSHLPFRMLSALM -IAYSLYSVRPHQPIPMYRKPKFLNTNPERKRRLLVYKKCDNSLCSRDHYELQRSVVSRTR -SNPITDHVNMMQTKSSEMFKKTQKQKWATEDECSGPPHEIHIVQQNRGRLPSQGYVPVRN -LLGEKNDISTIDDLTYIPWWFLDDPEVTANADLTTSITYLPWATPLFDRCQRVAEWNTDA -FKAEDVYYYENSEKFMTTGIFEKSRQFRTRQLNLNATVVPQEKIDFLQTTLSQKFLCKHY -LPCAKHENTTNFDCPDCFACLRQTTLKCFLYERAHIPAIRLREGYFFNAHYLNDGPVFVN -DQWWTFPMVHSHIQAGDTAFIPSVNSNYPSVYEVTSDLDEQAKLSYVGLRKTRKTLLIHP -EKDVDMLICAGGKRTKEMIISLTKSDVVKGKLVPISQPGDCGSILRQKIEKDEPPKVVGI -YTGEINMANSWMQVFSPLNPRVYRILRNSRSAPLSIHHWHHYKTVALRQGLYKDTKESIK -NVKDITEQIKKSNVTQHFADTAKTVNKVMTPASHANNIAPISVILQLIAIAKAKTASAFF -TAVIVLLGLLGVEDTMWRSVIKQFQVDYQNQGFSDLMQDLFPVVKILDEFIPNLSFSSAI -IKTGTYLTAYSKVKDHVLKVFHKHGIWQTENYILQDHAKEIQDHLVEKVPRWAAMSIEDL -NKMNSEVDEMENALVDLRAIQQFASGKKSLPLFGDISWMRAVESNIKTLENLLKLVRTSR -GSACTRQTPVALHIFGDSHIGKTTVTTYLVKQIVKDVEKLIINSYPGKSSAELKRILESD -EYEWFRDLKTSSTWSKNMSDEYDTGYVGQRVAIADEVFQDKEHKDHRIFLSWISPNPYLH -TMASVEAKGYPDRTILIITTGNTAVPSHSTTIAYPDALHNRFVTWHPSNTLPVGQYPPPR -MEDGKEVHSFDHLKGTLSYKSFKRDAKVQHVSKYIADRIMKERQTYLRSNQSEVKTATRN -GASFVELLEQVKQNQTTINTTRLWESAKKKKEFLEKHLKEAVDFISQNEEETAVPATLQV -KLEHRLSETEIHNLLDQIGIADEIDHKIVVSMMKGELEMIPQGILEHTVKIEGDKVRFTI -NKNLCNSQTGDLYLQSGSLQASFQNFCNILSFISTERVDIEYREWKASTGPGGAVFTRDY -TISDTLPWLAQKMKLPESVTTALKKAVTAVEQNKSKVIMAIFGVVFLIILGGFMKIGLTA -NQRREMRYSCQSNRQMTPQENQAAYDWSVMKGADRHLACAALPSEEFCTLPCVACETASK -IAVAHKVSTQKEKVMILRVVQSQSPENMENTKILPFVGIEIPDQSRLLAANLAVETDGFV -FEKKTFSVQEDQEIADFSNIATMISNESSAALQKNKVIHSETSAYTIPQKRITSENSATI -QKGKILKSESSAQVGKGKVIVSESSANIQHGKQIRSESSAQLSKRQVYHSEFTHTNRTHS -PLVAYTYGMEFTGEEEIPVREKKEGKEEMVERTRLETLLGMLRSKDIGKELMLVNALHYM -GYVPEFHPRMSLDHFSSVVLRLVGSQGTTQRCEASYAFVKADALLEKQLVNKFRDLCWGE -SMCQFCVDNIEEDDLLKMNPLLHIYKRMSDKTLNSELLEGGMHLPGKEFAGPGTKNAEKM -MSFVAPTNNLDSLSRTHDMKVADKGELYDNDDKQAFKDSYIARTSLLAAQKFKGWVGLLS -GKTEVIKNESGIPRKVTMFEKRSDLERQFVTEACADTNALSLKRKVIEKNLVKVWLYEGT -TKYGVHGIGSGNRIYTVAHVFEEGSGTVVVETSSKTYTLPLSTVKAHLTQDLAVIDMSGV -PKFTPFANIDKQIASENDLQQVTSIPLVSQYFPSIQDSFIGTKEEKLQKLTNSSHRQIED -VFVVSTCAVSGMPSSDGDCGSPLFILSNNVQRKIIGLHVGYDVRTARSMSVLITIEKLNR -IAAGKDYQNHNSTITEISRTDILEILKDEPAEKIPEKYRPVGKFNNLTKPVQSTRMRRSI -FHRKFPEVNRKPAALTSEKYEGEMEKDKNGKPDLMITNISPWFKKTPLLSAEDKNQLKII -TSNFYNAQFKTSMLTRQKNPLTEKEILNGTETMPGMNMQSGSGAYWNRFHSKKKGYCQWV -PEGNQGYWKLADHQAAQKLKAYLKLKEEKALKGEELLELATTHLKDECRKVTNGVRKLTR -MYVCNSLPAFMHEKKFLGRFVEYFFDNNYAIGHGVTVNPLSKSWGVLYEKLLKKGSNWFD -GDFKNYDRYQHRDFISAAWDIVNRWTLENLRYKNKAGLSMLLNGCKEQFIYSTHAYDNDV -FLTDHGNPSGHTLTTVINCIANLLYHRFLYHKLVGTSAENTEKEFEKNVAIFVFGDDVII -SVSDAVKEVYNLETLSVAFSCLGQIYTSGAKDGKMYRYRNPDEATFLKRRSFKLKYMVVA -QLEWDSIISPFSWTKLDDSDKNGYVAMVESCLIEACLHGRQRYEELSGKIKDIMKEKFNY -DIPSFGEAYLTFKKQYHA ->YP_009333448.1 putative glycoprotein [Beihai rhabdo-like virus 2] -MNRLTLLVCLFHIAYGLEAYDCHDQTPINRLTLPQPEQCQKQSTDLIDLPLPQVSLVQLP -LFVRVNGLKFSKITLDRTYYCSMTRLMTPVVDYYGLMGFSGAQTSLQDLGLESISPPLLY -DEAKRVSLSPEWTFTVDDQLIDQEGYCSQRSTRFNLHVQGLSMTPVVLNLHSSDNGIVDH -VKFWDETITGPLSLGYGHSIDGDLFIWDAQNTTYCGLELLYSGVPSGYYSVNNESVMVFD -DFSTGFRVTNYTHICGIPARLTSIPYLFVLTQEAASSLSLPSSFEVRPSISSLSMANSIS -ISLVASLRGVRKILVEQICKIDTRLSSLILGDSSISPDLAAYLLTTKKGYSVVRHGGEIL -LTKCNLTSVVIRPTNTCYSMVPVNHVESNTSSFWNPLTSVLSSTAEPLHCSSPYIPSFEY -RGTWYRLTPHLSVIPAPMEYNLGARRTLEIPSGVIHPSHGMSLYHFQDQQRLATLARTDP -LAELPQDHNIPVVHRPHLRPLYKSYKEVMSRMEGHSLHFQYGFSLVTGVLIWLVMITIIV -LFLAWKVRIVLLRENAFAPAVHVTLGDLN ->YP_009333447.1 hypothetical protein 2 [Beihai rhabdo-like virus 2] -MSAEEYNNLFKTFQQVETKHPELDTEAEPQLGTALEELDNLDKRKVVAPPVREPLPPQPR -VKVMPSTTTPIKLPISVAPRKPPVMKSPIPPVKPSEAFDPYSTDSSGSREDLSQELPSSE -EDELADLFSPKMTLARTPPRKRTLPNILSPRKTLQQVDRTETAIIDESQPYVVGSTIGDW -IQNPIGNPPREVLQELAIWRRTAWKTLSDPPKWLRPEHFVLCLSPVVDNELKRSLLIRAS -LNYKMAKATSPQLRSEDALKEAKAVLKSVGELPLPESGMERGAQELAKSHDLLKEVGDAV -IEERTALQSMLKELRNFLESAQIQFCNQMKATADQMVVLMEKASELKAKEQGSVMRSQSA -SEQLLRPASVVTARSTPSSAASSSAPATPVFKSVGGIIHLN ->YP_009333446.1 hypothetical protein 1 [Beihai rhabdo-like virus 2] -MTDGAQLATAIITDLVNNHPEYSKFHPNSPLATNTPISLLSGQKGLKTTMASLTEPSIFL -HWDQLPASLTINNPFDFPEADPINPTYVIASTQKIPVDDKMANGLLIIVGSLDALYEVQL -FRSVSEGYDFTYPIAKWAAKSPNHNLPSRPSGVETSDIEFYTMKDGDVITFLKDPSIKLR -TKIISIYYRSYPSCEEFMSKTYQRRRYRPAGSQALVGLAPSPTELPALLVVCCLAPAYTT -TNKLSDQLLRRYSAMNAEDLLPALTAQDFSMAIAALGWDPSMMAPAINAVLMYILAIDKN -GKADNEVKTADLMTIADYAKKWHLDVFYTQLYEQMRMVYQNHQSRSILFGANAYPLMAKF -IKTFGPRWTSETDKMDSEFKELSDKPYLNVMDKIPESRQVAAIPRIIYIGLQYYAANLQD -PTEEANFRLYNIDGVKQHINPIEDVNVCDTVVRLLPRNKLVAVNSLVPHLAADDIINILA -TETEAFKIALYHLCLTTKTTGKWFTFEQNRIKMKESQKIQASMEAVILAEFERRIAALVA -QGSAISDPAQFAAHQRKVEQYRVLCETERLAQISLDTKVVPASSPEFEGLRDEVLKKNKS -WWDKVMGPLP ->YP_009333442.1 hypothetical protein 2 [Beihai rhabdo-like virus 1] -MTKREILSCDKLREMISSTSVSGPLSSQGNDINMLSPLDQQILNELRGSESLPPSTILPV -RRSRTVEDLTNIPTSQPIEQRLVFSSPIESTKRKITEDNSPMSSGTDQLISKLTELPLRP -YTPLTELLPISDILDPQPAATSTTRSSPFKKRSTRASSVHTKISYVPTLPSEIQESETDT -DIEVDDAHSLSVPMSRFLQASTPPPPSPSTSHNLNTYNLASAYLAQSDPIEPTGNYTVSS -KMMAFLSGESKTVDSDMDLELKRWATDRWDQLINPPKGLKCEHFALCLKYISGNSNRHKA -YHIAKGNFHMACATEQVWSDLPKLTKTTSNLKSALSTPHMTIELQSLITQHTQLLQDNNR -LITTLQEYGGSLINQYQDHTNQLKNITQEVSNTLSSKISSLVSAIEKLSGLSDKEQGKLL -KRKSLGTELLDSSLSSVGPSASATRSQSSRRGSTVSTYPYYYSNVTSLP ->YP_009333441.1 hypothetical protein 1 [Beihai rhabdo-like virus 1] -MAVDIGYDSLNKVIGSHPSVNYAFVSGDKLLAKKILNMTDVTTIKSQLEAPGLVVYWDQI -PDLLNAHFRYVDGLLDAQDFLACFYAVLDKQYFATNYKSVFVVSGTPAGLLVVAQHFRRY -MRQCDIVPPDARNLFLDDQYTIPRVFPASTKIVPLFKVEPAYVHSFFQDSNVSFDSKVLS -SFFNNFPSTRDKFINKYTIYPYHCPASAPTTYISSPEVESILMVSVASLCTAYSQRSRIT -QQIGKRITAMLAGSNYPSIRVDSINDALTAIDWKPEEQPPAIVEAIGWVCAINKDGIIDP -VTSINDLMDPDEWAARYHVPDWVKFLFVQMRLVYSHFQCSSINFALVILPLIESLTTPGT -SYWKKDIDALNEIKNIIIDCPYSNCMFTIPEKRRISAFPRLVYMGTHYHAKHLSDEKDKE -DFKFYVTEGTVHHFKEKKDQELCRLQVQSLPRPTVSALAILGSATPAEKMEEIVSGYDNI -LQAAIYQEMKRNYPQAPWVTYKHEKYKRAEVARMRTSLLNIIDQKYQDAITYLNELYMGA -QNDETRIQLKTKKDDFMKTVLGLKAKLTTLKEEMAIPENPEFEVEREKLIDLAKPILDAI -NAIIM ->YP_009333435.1 hypothetical protein 2 [Beihai picorna-like virus 7] -MGQSPCTWANRPNKTLYFVMFQINSKTKLDVSSARGRKSKSYRGTLQGLRIQQCLFVGAG -WFLTSYMPIRECTTLSFEGLSYDFQLFVPLFRPTCDFVVALYVEELADVQSKVVLQSDAP -DSFQYLFSGKSHSLTKMVPYYGKGQRADIIKCDEMALVYGINKYILPKFSFFRFKTHLCE -VCKSNVNGRVTYGVLAYHKTQDWKRGNKLGGLRVYTQGTLSATYKRLR ->YP_009333433.1 hypothetical protein 2 [Beihai picorna-like virus 77] -MEQELGSREVQNFKEGRDHSIHDILTREYLVMSSVIPIGGEPGEQLYLLDPIELFLSQTN -VHDKIKGFAFLRTLLKVRFEFTVAPKTSGGLIIALYADMTDQAIASRTSRLVQISQTPHM -KVSLTTSQTISMTVPWVSAFLSRNLQSGTGRPGKLYIGRLTPLDIGTVKMNVYIQADAGT -VQLEYPTIGAPLVSEAFLRKRVEEANRELRAMVARNSKMHPPVQRSAAAAPPQRPRPIPQ -KHVSEASNMKNEGIVSAVLREGAQVATSAAGLPMVGNLASTVAPLLKAGANTASALGYSK -PTLDAPVVAVKWKPGDGQLSSQTAINDHVYTLDQETSIATDYPLFGTQLDEMSVDYIMRT -PNILDDKIFKISDDQVPNQVLATFPLTINPIIKDDGGLYLTHQAWVSSTCQNWNANLHFD -FDVFLTMFHNVKLRFLEAPNDHGTYAVGDIIDKDVVNLAMSNVVQFTGDKANQQITAKPM -ANTAMKYVATPFIADGTSSGYEYLLAKQKTEFCSYGTLYVLVEVPLEVTADVAHTIYCVP -SFHATDVALSNPSTLINYLPMKHSSSSSLTTGFGNSSRSATQTRSFPIASGPSVPIDPIR -NLEISMGDRFSHLNKVLMSYLPFSPTKAITETEALVVNPYQFRATEDSEYIDLVDYFSSG -YGFYTGQMALRMITHETQGFIGDSFVMSTFANQYFKNTSPTGFKLLDNAGYITSGVRCIP -HFAQEGAIDAKVPYYQAFNISRVSHPDTYASWNDGQLPIHWYFKSSTSQKVKVFRAIKDK -FRFGFLTSLPPFVINPEAIIHAP ->YP_009333421.1 hypothetical protein 2 [Beihai rhabdo-like virus 5] -MEVFLLFILLGNCLGRKRRFEFMVSIGHTEQSYMCHDIGKLYRHRFNRMNANPKVSFYIP -ESVLGEQAAHFDLDSDCEYFPVEQSGIPAYWFHSVQRPKIQYRGYMLKKPHGTRGRFKHQ -FELFARRR ->YP_009333420.1 hypothetical protein 1 [Beihai rhabdo-like virus 5] -MSSSSSDEISDAEPVLSDSDSSEASAIPQAISYKDDKTDYKSLNEFAVALRDEILTKLDC -ALIENRKLRRELRRTKTKVHRLSDTVNRLNARLVGLTNTINNNSIKLGQVTQEVERTKLT -PHDIIRSTYLSKRKFEKTLEGKLNAAPSTSTNYEAQVF ->YP_009333419.1 putative nucleoprotein [Beihai rhabdo-like virus 5] -MGHDLSHISIFSFQKADLVQDYGNVVKKATGRARTSNMTGNRKTINTVPYIQMPSPLMEQ -AFVCHKMTLRLGEEEAEWYVPRPAGCSDAEYGSSAHLKLVISWSALEPILEELRGSAEQQ -KQLAGAAGCTKEVLEILRGFFLISEAEVATLWAHHEAIHGAAMEQGEPEATLGGSPGKKR -PRKEKPQASPEQSEEEEATSGPRRKRFRRKGTTKFGRFAAFKAKYEDANHPMYQKKILLE -GADREIYQATIYSHPNVAFAEYCYAKATQSRGVEGQKKETRFAMGNFILTCLAAFLPGVL -FSNAKFDDRQYGSYQLGPVLKEGSTTEQEQYEWPELTAAASRARYLEILQIGFYAALACV -KSVTQENLSFLEKRWNAAISQSDLTSPSKTNDFSFDPANLISFSTNAGDYLSKSDLYFLT -SSLLAETDEAETSGSIASCLLKQVEMICEYSGMPRIKLMMNWATRFDSSAHYCHAVVTEI -ISFLTILQEIQAKVSTAEFPFLRLTRPALLERLSARSFPHLCFCATMDAKMTKNLAVGYA -GKDFPMTMSAKRLQTLLRQKIPDVGALSEVQVAALASLGIQVEGDIDEVIQVARKRKRQL -VESDSD ->YP_009333417.1 hypothetical protein [Beihai rhabdo-like virus 4] -MSGRIRPRRRLPQVSSAQAAGGAERFRCYLGVTFRFQNGLSLHTVKSNILAIHLKRPYVS -RGYIRELKLTLQRVGGPSRIAVRQPSGDNPQTPTVCHVCRDERPIYTYLWSDLGISETWC -KGDEAPTISVDNFKVARVLEDGYCEFLYTETC ->YP_009333416.1 putative nucleoprotein [Beihai rhabdo-like virus 4] -MLQEAGIEFILMPTKVFASGKVNLKDQMSKGLFWEDLGSLDLLPYISNPRVFNAVTELPE -TLKYCINMAGGINYLGWTKESAPGDTPMSASSISTPAFPKQTPAGGQGEDLLSAIQRAAA -ERAAQLQAEEEARSRSELRQAQDQDGRRNPVADRDHRPAGGGGGPGSSDEGDETGDDVSS -IESDQEGGEVDGQGTRGGGAGGSTGRGGGRGDNRGTGQGEGRDERERRDRRNRRTGRGGR -DRRGGRGGRGGGQDRDRDRREISGPQKNKVPREMDSAALGHEYPEATLYTDPDRAYADYQ -READALKVWTPASEVPRPNPGLALINLLITCAWFTFNSLQIQNVRAVRSQPLKPERKTDA -MRNDPHLDLRYLYHGFLAILCAIKPMTEDNASYVWKRFCAAVNSQDLDIELKNKLELVGV -SLKSLCDAAAHIKRYIDPKDVLSLLRELDVDDPDLVAEFDLKPVHKGLLQQMRLIYSGVA -MARIMQMEKWAQLFDCRAHYCNIVVSEIISFLSIVKALRKKFGEDFNFLRIDRPKELEPL -SSRKFPHLAYCATESGYMTKSFTRKMAKSDQPLMMPAKELKVMIKDRLRERNILSPKQIL -ALRSLGIALPEEKTQWQGTKRPREDQAGPSTKRPKPSNAYFTESSEEESDEDL ->YP_009333411.1 hypothetical protein [Beihai rhabdo-like virus 6] -MAEKSRRKKSLGERTPGSISARVGDRAKKRKLTEEEDPLPAAIASFTELMEGKFARVMEI -LEEIRSQTAGMEARISSLERRVGNMNVDPRGTTVTAPPVATIGRGRGRGLTLVPPRQIGS -TAAVGRGRGGRGQPIAGPSSFRPRSPETGSDDEEDGSAMDGQPSDDRYEDADLLGLL ->YP_009333412.1 putative glycoprotein [Beihai rhabdo-like virus 6] -MLAAVVAATICGLAAGGGHGLLCPQSRAHEVIKLPQVSPCKVPFLRKGNVTTKAHNTEAY -VTEAWMVTADVCFGNSSTGFFNSHTCTRGCKPYPLTPGMALDLKNGFCPTLGSRAHSKFN -REMGGVPSCQYAWMSSVTLSVLRCRRHAGHAVFVHGGSVHSTLAPVITCNYTDGGCQLGE -GSWIFWDVDERSSSEWRFTEHNEARCDGTRILLPERGAGFGLKNVSGCEWEGYAETYEGV -FLKWEAKRSKREVPKSTLALGEFLAGEVGVEAGTFCDTMLGKVPASTLIHHAHASSYARL -LLESPALIARALDHHLLVWRCQMVLVRPRGGKCHSRPRVEYFTGSQWLPAFLADDGQVVK -KAGPRDCHTHLLHYHLNGTVLVEEGERTSELAVTVASYKHVSTLKALDPNFTNLFFDKDV -LDVEIHDLAEMQHKLVSFARDLGGSIGGTGGGHGNTNLPGLVFGGLASSVAFFQTLGSLC -GIAALIWMVASRCMRSRTIYIPVRGPGGL ->YP_009333410.1 putative nucleoprotein [Beihai rhabdo-like virus 6] -MTAAGPFTKRPRHYESDMVSLKRHAPSFTPLQMPHEIDIRSRLYNGTTTAIDWVAAVAYQ -YRLSSEVIAKLFSPYALDQHNRPLYFPRFDTEDAKIANIRARVKDSGKEGLEAARVGIQI -AAYAVIVGLHKTIEDKNKEYVLRRIAAVGASQDDEILTNIPVADMDYWVVVDRINDAHKY -IRAAEITNDLLHDVCTSVDDDSTPLHIAMVDSIKMVLKGYGMLGTQVMANFLTTPSKAWL -MAQVLDEGIAFKRALRTFKALHGDSWEWGRVLKLNTIETLDKTSYPHLYWAAAVRRNGGT -GGQADGLKNYVMKAPDGLMVNKTQLESWALKDLASAAVLTATFAQKIKETLGINLDDKVG -DKVEDL ->YP_009333396.1 hypothetical protein 3 [Beihai picorna-like virus 117] -MASAAALMGAAGVSAGGGLLSSIIDNPMMEAKKNRLTIENQAKNDMKVNAANEGLSEKLT -HYTANEAKQLMTAQTANSKSLNTQNAQIQKELNAQSAQLQAGLMQTSTQNQENLQTFQMN -QLEKDGIPRSMLYLSGSRNSVPEQRAYLGGGTFKTFNGLSGNTAYTGTQAQQMLNMGNPL -AFRYQSRNSNFGTRTNTASLQKGFDKASQYIGQFKPNKSKGNQVAAYSAKYDDVMLVP ->YP_009333395.1 hypothetical protein 2 [Beihai picorna-like virus 117] -MASGQPLATAAPVVDSAATPAGPLSLETGRTTHQPLSTVTGNFNSLDPFLYRHNFIIANF -RWNADKAPGNLIWQLPLSPYNLGGRIAERAAAYLYWRGQISFEVIVVATGFHAGQLFIVE -TPPDYKPGSTQSISDLSTFHHEQVDVKVGVPIQFSTRDICPTQFHTVFDSQSTLKPTESV -TGMQSIGGYISMFNLTKLTAANTAPEISIIVKACLDPSFEVSIMRPLSFDNERDEVETGR -WQLLAERLNDNAKDYGVKDSDVAGLFLVGGSTEAWRGVDRLGNAANPYTGRNMEKLLMAP -CSITGNVNKEDIYLSDDYTPITHPVGRFNMKIVDPEFNAVKNQTYFYPIAYGTSGSATYT -KYTNTDWYLGRVSSDKYYVCTNPTRTSFHKVITPSGYCLHVKPSDITGPSVTALNGENLL -YTRCGTGSVAGTLTESDSLCFKEITEFCIQHKITLTSNTPVFRILDRVTKQVLGHARLNK -QGFLSTNFPSETVVFYEDVMLAFEGMYSELEDLPEISVTASTYLLQKAMTRSFERMVNKN -KTKSKNGISSSSYGSSRRFRGRRAPVVYHRQSYDGGKEEPTYDRESSQERYESKRSQRRF -KRKTNTLHSKRSEATDDGSDSEFEILEYPECSNPERTECPECPAPGWPHADVDPESGELT -DVSNEPTGERRDSSIDALLERLTQLGARATRVFGRRNLQDV ->YP_009333562.1 polyprotein [Beihai sipunculid worm virus 6] -MSNGSRPAGRRIPDGSSDNAEPKHVEACTDPQTQDAGYDSDTDLNVYRFATRDEITRALH -PSWESEIFQIAEAIGVEYWPDFGARLTPRRPGGVGLDYALSYGINTVRIVMNDDWPVDVV -SLVARLLVADMDTLDETGPPSTITTITFPTRLEFIDALVAAKEGLTYCYTNIGANLITKL -VLSSLGSLTTFAKIGALLDQIKGEPDLEFLEFLYLSRDGPLFHLSVEDDGGRKIAIGKWS -QTLDRSFLAVKTVDYYGTLVGARPFLPSFMMVATIFLVVLLARTVTPDDWMIKMAQDAEI -QLIETSRPGSGQCWRMGVPGAELGSYPTLKKFLDAAQRFGHNPHVRVKPGWIWHVSTDGE -GYSISFLLAHRPWYENFVFVGGSLEDLLDEASRRLDQVSIESYLWINFYLALSLCCQLNG -KFARSALQVRVWMFGIFVPAIFYCSTVARPFLVTALLLLMWRSGTSSKPRFKIFTFGSRG -DTVPMKYYTTLLQKRGFSAVQEDLMDDNQGKEALAAVEAGAFLSVCHKLSPLPEKIAEWV -NDDASNYALSPQNGLGLTTRLISYELAPPPNQLKSWGMVPSDKLGSWLTNKLFRLAHLLS -MPHIRIGSFPGCAPRSADGSTLLSRSENKGTRQTLVALGSSSMAEPVNLDKETTWSTRSD -SVYKYDPRLNHAEMMTDYETVLTHGGAGTMATAASCGAKAVSLSKAIDRDYVADPDFVFS -HSHYYFWKGLMTELTFEQSLRLLVVLATSEPLTCLRLMLWLGWRSFLVVTFIVTGAASAV -SPAVLSTLASGDLPGFVVEIVRSTSNFDMSFGFSLCFLLMIRVQMATIRRKDVLNVAWNT -WKTLAIAAGVVAFDPTSRLFSVVTGLGPAVLVRAFLCYFERTMYVRLIQVLLAVLYALNA -HSDLSRDIIQIRFSRLEQLSNTSFPIYHTEFYNPKTGQVAGIGVEKRGLLYCTFYDEPND -APVEFSLGTGIDVGHWEKLSTHVKSMDGTLYSPLVNCQSVSLLTRLRFAFGSDLILLAVS -TVVACTLMATMGLFVTIAFAVAAIVGVGATTISSMFPILTAAGGIGIENLADLLLYLLAP -SMSFTVPKVENEESYPQRQADWTPNSVSGQMMIKVGSEYGLYKQPFRGVYRPEYSLNRVR -SYAWPHSVDINAPSGAHRAQEISAELEKVEITGSNIAVIATSRERRASPRYLRSLQDHHD -QVVILTNQRLHDDDCTVVYLNATGRELACARVLMPQREGVTYYCYNAENLAPFDQASVLT -TAANRDLGDFDFVSVIWYGLHQASCSALAYRKHPDFARFIELETYGSDEIAFMRSKGSLY -VQASPSLFAQVYKESCSFDCDRRVRLFRWSNGYEPIVQYKITKWSVSQHLDGIRSSVTGP -WLPIIHQTGRKCSVAGVQVDLGPVASACFPGSDPLKRLRKEITYNCKSFLNRLEVLGRVM -TEEARSGLITDESWSLLTSIEQILAVFDRGERGLKGTYAPELLMVTDRRIEELSILIHPN -PTFVHASYDETLAHYLEHLNQSGKYALSPHEFTRAVNRNPFVDSVMRSRMSALGVPDGVD -GQVIANREVMIASLAKYAYSQPVDALLLDDIRNVAETVIATNPDLYCDARIADPRKLINH -FLKHRKYSAGLPFIGRGGPSEPRIKTRWDLKRAGWLPAIKKIALDPFVSGKWYPGIAHAF -SKSQVVPFTKISSNPRKLRSVVATSVVNNVQQGILHFDVNNRHAPHLSPAKVGLTLNGAA -MGSVFESLANYQKVVSLDAMEFDRSMEATLFAVEAEMRKAGYKHHPAGSAIMKHIDCAIK -QTQHAYIVNVIKETWDEVENTSGPESKRVFAAIPPSEKDAVLQTAKDVGDFSHPTAPGGI -VKKIHGGATGDSNTTKTNTDGLEIVLVYSVCKAMNWPFHEFFERCGLANVGDDNMLGYND -QIDFDKVFSIAKRTFGITFRVESSGNTIYDQTFLGKYVRPGRDYLEDFVEADLPTPPYAV -IHDESKLLMRYSNFKADSAKNFASEIKRDLYLIKKGQGYLNLTAHQRPLYELIRKDLDTV -LERLPKKVRKARGAVLKLPSYRQVVKTWYKQGSLEDAASVSRLQFTMSPLVRTEKALKNM -VSTFRAMAEYFPSHLTSVSTEDVLEKRQIEHTAGIFEFHAFHAFTAENGRPPSALELEKC -LRESPYYAFTKPAQWFNDVGCYLPVEGPQFDANLNYALASFWWYTFLYVNTNKCAHVLAQ -VPFGEVMLEILNMGLFTSRNAFGALSYCHYAATGRASQTISNLVPKDPYRYHKRMGYLLA -ELAPLPRLFGIIPFRHFRGFGVVIPKLISKTLTLGTFAPRTSALNGKAGNQGSWERCVDQ -ALGYITEGKTVAVTAPTGTGKTRYFPTILSAKVDQQVWVVMPRRLLCQEYAQYPGIEWRR -RGVTPDTKVQTCTYGHLQVLVQADSVPRNVMFVFDEAHEVSCEWIYLRERFLKDHPSVLL -TATPVAWMKNYPHVAVDIEPLHAVQYVKWTDNLQIQVLNALQTHRRLLVIEPSKAKVERL -ARLFADYGFTALTSTQREVPETGHVIATSIVDAGITIPGVDCVIDTAERIVNDQGQLKRV -RIDRATQIQRAGRTGRTCPGTYYYQAEPDNKEYRPSPSLVSCLEDSELAVFFGVGVRLVA -PSNQALTGNRYVRLKKDMKPGVEKASLSLFMKLIHSTPSELDAKEAYKRIFRGRLTENEF -YLLETSGVEGALTPPEILFTLFREHQPHYINVDGLVSSSLEIRSNSVDLKMGASVKFPVK -HGNSLLD ->YP_009333480.1 hypothetical protein 2 [Beihai picorna-like virus 112] -MEVGTYDGAVSKPFVSQSIGSSKPVVDSNFALPALRGQHSTHTLVTPDGSDAVITIGKTI -PQPKQGPPLRTDSNELQFKWQHLKTATLSSTTALVVSANQFEHMFKSLITPLYHYVTGGW -LVKFVVQCIQGEELELIFNYHMPGDEPKSEMEGGMSRLKWMPHLKKQMILYIPDVSPYNF -PTAQYFPDIRIETGRYKLLFLQTKLPAIEMFIAPASDARFHLLAMSPYSPAGSVFHQMVR -VSDGSSVSLKAAEGAEIKVSAVHFGFFPAAESWTDAISLDAVSGQHRYRLLYKSDGGLEI -TSQEESLDPGSYLLTILPQVTLAGQKGQAEIRFISDSAFELEVVTRKERELKEAKREREP -LLVGETQVPHWQKRVTETPGNWVEIKRIVINEATPITNILVGAAIFRRLRTGKHMWQSRL -FSGVMRCRLSVVGVTACFRVYAITTPPDVVFSTNDKQLIQRATLAGAVSLDKDTATREFD -VQNLTNCNFIDLEEDMANILLIPDTAAFRQSFPETLPPELSVEVCLDGMIGYLTGHDDPP -ARSKRDKKLMPTGRLFSQALEGVKQQAVESIQESASAVNNNVDTGDQVISSAMEAGRDVL -HFDRAVENAQELAEGAAGSVASVFGGGSEIGAVQEVTAEDAQTNVISEESEVVQTELAVI -NKTIESNRMTTASMGSTELSNDFVWKKHRTIRFVEPSQYAAYRKIFDGKKTSDRSYKEVF -TIGDIALIDFLPFASNFAGDLSKNAGVSNRLTHFFSGYPAIKIIPRAFSGTGMAQFWTPN -TAIKEEVVSSQDNYTKYDILDKEIVLPSCWMIKPQRAVPDVLLESGCVAIRADTPMVIVI -QKNYSSMYVAGVVTRNQLWDLDDFHSIDPA ->YP_009333479.1 hypothetical protein 1 [Beihai picorna-like virus 112] -MKTRELLVLLLLLGAAPLLWKSSKEQRTVIDYGRLAFQKADSYVLDDVSYLTVVIFLGTL -NCWVHLPAIVRYKVRQRIKRVLTWTLFVTTFFPLAVVYNAYSYWKFQRLRNEVYQSSPDI -QSEEKEKKLAPYAPDPEAEDRKINGFLYRLPQYKGIPIHRERNLIPLSLLPGYDRVEIDP -EEDYFYVPWLLRHYVPKDDCDNVFTVFPKPSTRFWTFDIEKLNQYIESEETFDTILDFPS -VYSSFDTVPLEEIEPPVFKTTPVHIWCRNNNYKYCGRHRGFKSMCSYCKHGQEMMQEVEM -LVFKEGIITQSHFLITTSHMNVKGRWFQLSKCDITSLIEYPDLCMIKPPTDEPLSDISYR -DVLPQTTYEGCAEVRLNTDDRKLFVLARQAWGQIFESSGIFYDKSYLLGTMEKELQQGDC -GSLLQSEGKIVGVVFGQTSYETERSKSDRTGLAFPLSIEIADELASSPFRPAYCDYTARI -PEHDSILWRRELTPREEKLKGKPGMIATAKATMSSVQEVTDEVKQQLPQVVHSVNSVTHK -LNTVFDKSRRVLISPFSIMFQLSALLASSCFEAFLKNIIILMGLLGFEDTMWRKLLKRTM -TSLNQEGFSEFWTDIIPLFETLNAFLPGTSFTKSIIHASAYIKAISFLEEHIAKILHKYG -IWRKQKYAIVELAEKILEFIADHTVDWNNWRTNAPVKLVPWLHVFERIIVDLRFIQTFAL -SKGRDNPSKEFVSQKLLQSNIDGINKLIDAVNQSRAQGVPRATPVACLIYGQSHIGKSTI -TDDVTTMVKNEVFRQLALKVYAKEQKWVMKDWCNEMCDGKHNSKTFPSHEPRKLPTNFKP -EAYSTKVYAEFPWAASFVLSNVWSKNIADEYDTGYAGQELAKVEEAFQDTSQKEHPVWLT -WISGVPYGHNMASLDTKGIPDRTRFIVATSNTCVPNASATIYDASALINRFFQWNPENML -GGKKYPDLVDGKRVYTHLKGDLTYKGATVKRDAILSDVIKCVSLQIIINQDFTTTRAEDD -IAIPQVDCYPDFRAELAEMVKMTPENLDRIRKFKGKMSNLKDKVFSMFEDSRKQKSPPKE -PPTVRFDAKKSSLEIFKEQLISERELKEGLRHLDESDADVEVATDALVTDHVERKFQLFS -GDEECAEHRINAVALQFDNISSDFQTEEKFMLDLQELLVDEETLTCELMGRRFMISHSTG -AWYWIDEKERGWNLFLSHLSGGRAIIVQTCMTIRAKIKKQSPLVKTIFAIIVLTLVFLSI -KFLMPERVKAKVGYRCRPRTEMTKDLNIAVANWYACRRSRLAQRKLASAAPQRPDCVGSC -VLCERVYQFAAAYSSEEKEIDFFYWYLSTFTTDDLINKDSMKFPHIGIHIPTAEELLAEN -YMCEVRDRYQQPEHTENRGSGPKHQRFDYFQQQRGSGPRHQRNDYFSQQRHSGPRNYHRD -LFAQQSNKFGSDEDRYTFSDYNPRVSTTNRMEALAYNLDYVGSRRYEYAEFSPKERSVLD -RIAKMGSEHNELKDDVYAQIMRLTYVPPMLPMMELGEYYFYLFRMDREKSGDEQCEGFAN -LTANYATTKQECKNLLRDLCELDQCDSCYALFEDTPCGYSSLRARAKRQWTTSIPQGLHF -PGHSFTGPGTNNIAAMTAFREPTDHLDAISRAHDVDGPVFDNNHIASFSNAITKEGLFAK -FKKVFSSGPAKITTDPSVKHKEEAVADDGGIQLAKSFQNNMVQVRSTGMYQQTVHGVASG -LKVYTVAHIVEEEDDVEVYKQNGNDWEFLCKPSWYRHPDSEIDMAILILPKTVAPFKNID -KFIPTQEDVSEVLATQSIVQYLPMAGLLFLGGKSQDFITSTSESTGLKRTNLIKVLAPKA -AGIPSRPGDCGGFMLALNTRLKRKFIGLHIGSVHSGVVLSVAITQERIKECLHMHRDQGA -RERIDDFKILTEDETKMVPDHLGSVCKSTIKSAPQPRSRLRKSIFHSRFIDLAKEPSALT -PRRSAHTKPNKKGKDDLMVGNIEPWFESQPTLSYSHKQILKKVTKDWMKSMPKPSATVPV -FNEREVLNGKGMVPGTNFSASAGIMWKGAKKDFVRMNAMGYYEFNDEKKTKELKAYLDQK -EKDALKGKEHLEISSVHLKDEVRPVVDGNVKKTRMFVCNSFSAYLHEKKFLGPFLNYFYE -NGRKLYHGVGIDPLSCRWGEMMSYLSGIGDNFFDGDFKNFDRYQSKEFLNAAWDIIESWT -MENVRYTNRTGLRNVLRGCRDQFVNSTHYYDGDIFDTDHGNPSGHTLTTVINCLVNVLYH -KFLFAVLTETDPVKHNMQKNFDKHVRMVVFGDDVLVSVSDEMKEVFNLKTLSDSFKQLGQ -TYTPGNKTGEYYTTQPLIGSTFLKRTTRKTGLMYEAALEWDSIITPFKWTTLLESDVQGY -QSLITESLIEASLHGPNRYHSLIEAIKPVALNRGFSLLTFKEARQAFRKHYFNVKSQYVF ->YP_009333469.1 hypothetical protein [Beihai sea slater virus 4] -MMLITLLVITGALSQRPVNDLEFIGIVPYRSCSIFKPCDIHFDYNNGYDPFIVINGTYPQ -RLGFKTSDSKPHLNITIPVYTAALRIEDNENCIFYGFTRTAYSLGKVNIGYHDDIIQIGV -TSYAASYFCRPIGGTKLVDTSGSWEKWFLGDWVPLKLYDPEYDVTRTYAYDETFVWQRDL -YHRARPIPRVPRNETVDRS ->YP_009333473.1 hypothetical protein [Beihai sea slater virus 4] -MDVLQFVERFPQGWGTICHFEKVSKILNLIFGTYNYFYVANGKYHVVYDGLVFQRDTLQE -LREFILYLLLVRESRRLFTLEKCSCQTLVIIACRSNCPLDVSKEWPADLVLWNQDRTGHY -RFEGHDSLSSVLERLFLMTYEIVAFNAEHRIKDFAIYNELLTEVSCRVHEIKTQVFAYEP -VLELLQSLET ->YP_009333471.1 hypothetical protein [Beihai sea slater virus 4] -MNWTSRDAFSSRGNPRCVKYPVSSVVVLVILPLLVLGIKPSSAIFTQNLSQPFLNVLNHP -TNVSALFEQIAGSKSTMRTIQSDLNTIIRLLDSMVGLQSARVISMNALLVQINLFYADLK -YQLHALKQINVELNLWVPTMRDLKPYMAHGDHEIVLDGMPHTRLRGHFHAKHLSLNCMGL -THTFCSANAHGAYFDVSDVRGHIKPLRGV ->YP_009333470.1 hypothetical protein [Beihai sea slater virus 4] -MTKPLFGNVICIIALGLFLECHATKQSTVASQLKQIINLLSSVVASSSEVTVAESSLVAI -QVNIYKISKKLNHELDEQRRILESWEPTMREVSGFVRRGSSYSTSTGSRDQTFIGDLHSE -PFTTILKCVEPPNERICTVRANSWFEVYYAHHTKRSEYYHSFA ->YP_009333468.1 hypothetical protein [Beihai sea slater virus 4] -MNRNYFRLVHHQFNELRRDTQVAYASLAALLLVAGLATTGIFTLQEISEKIEHDPEHTII -DGVIDDVIEMYLNQLFTQPGLASRITALGDLIKSIKEIIGPILKYFTKDSDYLLGNVTAI -LTNITYSNLVSDFILQELIDLNCAIHKCESIDEDDVSDMLNSILDFIEDHPALPEKVYDY -FKTYPITAECLHFKRIALLSDADTQQQLSARPDHEAYEVVRHCVSNGVNCQPVRYESKCS -DGKYYCFDDGFFAIPTYEPFSFKVLQNEDWVMEGADYTDLVYFQGKIRYTPQAYTYKFQY -LKCVDGELHIYTCEDTVESCELYNLETTCYGEGKYLFLPMHVKRLDETWCSIMPDQAESC -SIGDSWVDSFYYDFWYFIVNGAFGTHVTAEFKDQESFTKKSTAYTYCHKCDEGPYPSGSE -LLIAMDNFVNASFPTQESTYGGMGNGKHLCLNKYNLFK ->YP_009333467.1 hypothetical protein [Beihai sea slater virus 4] -MIMAKGDDLYIHAKKIIQKHFDKNFKISRPIVPSFVGFIIYDKLYLDIPKLTVRVLNRNY -ADNKSISDYIIAVKDWLSVIKSQEHLTVCSILNAKRYGLSVAECEYLLGFLFAFSRGRII -NTTNSKILVRERKQPFVLNE ->YP_009333400.1 hypothetical protein 1 [Beihai sea slater virus 3] -MDGFFNPSSSRGHRGGSGRRRCSAWKQARTQSRRGRASRPGRDNGSDAILRPKSKSFKHA -SNASIPRRLEQCIRNTIEHSRFGQRKENKIRFQPAGVEGASGLGVERLTQAEIDARAERC -YCRDESGTESDSEPAIIDFEPSSPGTGGDIPGFECAGERSSPNPPNIDPEPTSSGSATEP -SSGQLSPNTKRALDNLLSCIQVSSFPPSTASLAAYLKECVESRDSNTKASS ->YP_009333401.1 hypothetical protein 2 [Beihai sea slater virus 3] -MAFLIPALVGGIEAAVAGGGAVLGNKLAHKVVGDAPADLEGIMEVMQFLDPSQNPSSMPR -MLQSQEDLSSALETRLNIQGLDNEKKTKFVSNLQALKGQADLALKDLLRLKSTQGLSDAT -AVMNQAPNLIQNQPSLTSNPVPQAQVVTSPGLNVQAREAPPTLQTLIQNLQAQAVPQSQV -QDSSALTRNEPSTTFSAVYKYPVSRLPLQASQPISKNVLNQGTVIPRPQVEGTSSSQGTT -NLRTTASDTVQGVGQALKGAASDAGKVAVTALGNAAAEVGKGVLSHLGKLAAAKIGDFSS -ILAKKIVGDAVPANDHRIGTWMRSEKVVGDAEVSTKDNPKLMALLQQMANGGSAPKSGED -KTDGKIVGDAPPGVMEKQDETMTDEQVAAAAGNLQSSPSLALMSHIQLDEQMLESGKVVE -FTSDVSEAARMIARLGEEVSGVFKTERLISTRTQVGNQYFWNTYFTLRNPRLDYDAKVTT -ESGAEVNNGTSNDFGYLYLARFHMFPVTSVTSIPMLPSATLTTLGPLLSGSSLTEYARDL -RLTTLSFNYDLIARLWPMIADNLENQTGYSFIRQIIVLLDLLCDLTGVIPGTEYGEITSN -LYVQPTARHRNNENAAVFDDSGWNAFRTERVAQADGRWIKFAILDEVTYGKVETGNFSTS -DFDGIFTPTNWGKNSAIVFVPIQKTNDFKWIAAWTLAHLAHPWSNYGATHTYATTRENGD -GYAFHTDPNSNAIFNTNYGCALAGPAMGVLYVIVGTVKLEEARINFAGRVLTGDDIPPSE -PFEFGDNGFDDYYNVMVDPSITAVCECIRFWEETFGTASERASAMRIVASNRKCYKDKNF -MGNGQLPGQVIASDKYSTHQFATGPKIWQGLGNGDIANWSDTVSSNDLLTAQAVLAFGCQ -NALLMKPVLRGIRSSSAAPVTGESETNLNTQLVFSERNPLVELFVLKKLLTPAEEYPVTR -LDKHVRTVTVLSAMCDEIAAMVDLSCQANSVPSAIACYPELYTESANICAFVRNKINPIC -SGILTLGIQFPQVFYADTESFVYTLERARLLSATINSDNYVNSNYLIGLSRIPTHTVSQY -VPSLQDNRTGMQLSADVLRPRLGKFSGLVPNDWEGSTFFSVDSASDNMDDDISIDNLLRP -LSRGVIINSVNATSTNYGFAIASEGGNTAYPVLVHLTSWDFVINTIFTKAMNDPNITIPP -GDSGMTPHDERILRRVPVDAWRRGRIIMTGPRDLFSPGSGPVYAYSLFSQVNSPSNIQTT -KAWNADNDSAYRAADLGTVFKYT ->YP_009333364.1 hypothetical protein 9 [Wuhan heteroptera virus 3] -MTTKTNLTSTARKQKQANKLHPGSIYKLLSTDYTTNPKPLDAPSSSSSCDSNEQLIDFHP -PSYELDPTSIVVLNNEYAQIIDKVESFKSPNIPNILSVVDDASASSELCYPLAHVYHKDD -HINHRTNQDINVLVRQRDACIDYNGVNVTFTKAALINLMCTVPIEPLFKSKNSEKKLDEW -IDEFDVRMDSVFTPRPIQPLKLNYENGNLNDYLKSLLFTTNSSEAALIAEQICGRCLQVL -EGRADIDVNGNCHLLSKRLYNLNPLQRLTINSTTKQTCYWLLYLLDPLQRTSLGIEVPCH -KRDEALRRLLSTCTNARGEQFECHYTHFTGINNSGGQVSVTREDYISIIRDMNIFNLLRN -NPMEFNITEVNHNKLLIYHYFLLALGEGKTASESNANIFTFKLPHDLDKNVRSTYNGNLV -SNSFIYSLALILCYMFQNSYELYNIWTVPNNVENPRFNSNQNIAGFKLVM ->YP_009333363.1 hypothetical protein 5 [Wuhan heteroptera virus 3] -MANRGLLLDDYILISINLELSALNNNKKELIKRIQSSSNIKNKTLKQSLLPNLNTQLTQR -AILTSETVNNYLDEKDRTALRLDTVLQTANSNKEPFLSQSFNNLNTGIISHQLLTLIQHF -DKMLTLQTSMCVTSTFNEKIVNYYLSDYLTYVTNYITLFPTATLQLDCLILQACTYLVYL -QTGTLPKAYFETLKSINAIPIILCTPKRFRGHSYRTKLKLLNVADASLDTLVAEAHDVLK -LPGGVIPRIYETIPQFPLPIIICTESNLIGALINLDLKFKYMLFNNITSTPSYNKEIAVT -LFNSYIGIKDVNIGVSSNLWNIRFETFKKLSITFTQENSFSITLNGIGSDQTLLTYHQWG -SSSHKSRNAWVLGLLRRIYAPSSSIHFNLSKDVVRLHIRESNNEPDIISSGFCIQNSQML -DIFSGKLKRAITFISKKNQAKSLFRYNFSRLYKNKLVDESDDYYRFVDIVSNGLKPTVFN -EYNIFALAIPNGNGKTTLCQTYPDRFYDFDEYIFGEEDLSDSDISGTYIKIGNSLSPSNR -KHLYETVRNRILLVHSVHIIFDCNLCFVGAIRLESEDNISLTVDDVRKTSYKIIVNSHIN -DLYYDRVSDYAGITETALEISYNLQHVLRALEHGFTSEQDVFNTIANAPGLSRNIISRSI -DRYLMNEINAHNFHLTGPLAADQISQYAYLLNKQRIEWLTDSDVGMKTYVLLRDRYMLDN -NIDPNDYITFGNNYDDYANQDRNHLIISITSQILLFKEYQNNIDRMRALVDQSAVRQLAV -SSTSIHESQLFCVMDRLWALKCSSS ->YP_009333362.1 hypothetical protein 2 [Wuhan heteroptera virus 3] -MLPGYSVLHVNESPCLYNLCSKLDYPLNSIMEPKNFKITKPTLKKRQLRKFEPAIDSSSP -VPNATGSSFSDDLMAYIDKQLNMSKIGENHIENKCQDIIQKCKQLHSRRSQTKSFKYELK -DVEFMFNDAEEYIFHNPNLIDNSTNIAPQLTGKYSEVMELKNFLRDINARLSLDGLDIVL -TSLGINLLISNRITAYRTYDAYLTWKEFTTFYILAEVLHLDIKRPRELLNDYFYIVSLSS -GERQKSQSDISLTHILDDDSKIKTVAELLKPEGTLYSKALKTTFSSLFTSTLNDLDSDNM -NDVVSIEIDQKATELYLKEHLLTYKIATIFAPNKQKDVKKPVIDIIEFLHNIKNEILSAF -NLDNEVDELLYEYNLHEIYIGYDETKKMLKVKHPFIFHILNYFCEKSSLKISTSFLFNYH -RISYPSNFKLTITTELSLYLGERVFMYLGPISESGRLLAITLGDVFFNQVSLIPFISGLS -AIPGRLLIFDPIIRSIRYLYISIGVDLMNDESLKETMTKLERLNDMVANDLKIFLASEDG -PIDRHVGYDLKKNETFKLPIRTAATISCGCVTALNDQLYYNTEAHHNIHKPPHGSPIEPF -STILKSVNQDNQHSLYIRNTSHNSHGHLPKLVCAAIAGCNNKIDHSISWYEYKTTNLFSL -DGRFEQLPSTLDVLMLTGLCATAIEPRTITQTILIPLDLLCFEDNSKFRLAVIEVFQRLG -FLILDPNDLQVMEYFKKPIQRGDPTQITRLMYKIYDFVHVFATQNDNILPINAYLDSIER -DKISDYIKKDAKLEITAGVGSVCSPYQYNPINNTTKLFRTSVENCANQISSTPSGKLFHN -CLQTSVYALSDSFIKRKIKLPNVVQIYCLMSEKLVGHHCVRGIFNKKPLYTATKDAFCRK -CKFRYNFSIRASACAWICEALYKQDDADSNFRSQSHRRRYNRDRSTTRRTRSFLS ->YP_009333361.1 Major core protein [Wuhan heteroptera virus 3] -MNKTKFKKLSDLKDKPDKSKTKTEAAQPPEQKVKFEKTKQIEDATRTANLKQIRKDKMKT -LAQKASIKVKEEKLISSVKAVLDKNIDKPEPTKPSNASIKLTETKTKSKKDEAIVPKVKI -NSSDDKDTNDLESQSDKSNANKNKEEPLEMKDNKPVALTSNQQLVKVNLLKEKLILTNKI -NLTFTVDDVIGYRVPTEFTTQLEMAKLVWLYPLETYPSFFSQIATEVENKAISLGTYGEQ -INLKLDKEVKIFETSSKVYNQNTSHVYVMFEPTGFGNNDISKMKEFNNDQDIYRKVVFAV -NNSQSRYKQSLFATNIVRMLAFLRHSGFYFKNLDYVVLNTDLNSTVLGQVLTGKELMSDG -VPNVLYSGYKYIDRAIQTELINTFLVANNTLKFPLGRYHKIKAFENILKFYCIASTDQWV -AALSFLNMKILENHRIQDNIFIDELAAFVNEESKISLSASHPWIQEISYAITYKIPDYTN -YLFGQFFFPANRAFQADLAIQFFNAAEILDSALPYDYIQGNFIQAADNDVLRQVLSILKH -SKFENIVYIIVLEAFGVSDNFSLTGINNTRGLRGLFMVLELFLAVIFTPNIFKKLMFKYI -PLLYNFLGQYFPEEMEAYKARYGEYGRMEHNTWKKKDITYDQLALSEGILPSILVKEPTG -QNGQNFQFLKKVYKLLKIQYYPIELKKRKAAKFPYVNDSYTYYSSWPVGLMNQNNGEDEK -LASRVRILFKKFNQIAKEVMQQTTFFNAPQNNTNTNNSNTLKGILASIQTLILSSVPAFT -RYAAAFTIRLMNMPLFSGRVEDILFNVSAAFKDDYRIFFVGPIGKNLAGIRTDHGLAPAS -TRVNINLFQLLNVFLNIVSPEGRAEPFKSESVTYKLATDLPTFDPVDLWQQYQKEVGNNK -VKLEVLQLFNDIIDPTSDESIFGRFRNNIDVAQNPTVIKDILHFYSKEAGAALDDVFRIY -TNEYMGTLFQDPSRIKFQLAILNSDLSVFSYLMPTTIIGKSYTLVDDNFYRRLDIGAGLL -DQSSYFKGNKIKGVALYRAFPQNIIMTDIAEDRGITMEYTLGFTFKNVPVSHTDTVNNVF -TFVQKYFYKIDDQVYEVERPELIPPNHYHTLLIKNPQDVHDSMAMDFLKKAVLQSKMRVD -FTELVLDYNIVMLSSFTMTNNIEHALLDNIFTFTNPTGFPVVNLYDSRNLIFLQDTTTYS -TFERIVWPLQKVDDIYVAIGLNILDRERSPLIRRNFFARPINPATVDDDLENLVRYPPTI -VNPVVSLTNLATDELPVVDIGISNLLT ->YP_009333360.1 hypothetical protein 7 [Wuhan heteroptera virus 3] -MSIQKPTISTNAPIRNSLNQLITNANKNNQSSALSVSFKNKSVIYPKDVPEINNQLTQTR -AQKKLFSEINVFTPNLGYINYLFAYNFNECKSLINACHFEDFFTSLKNSSANDWFTENTL -NNARTIFKLLDVSDQINIDTKQDSIIGFNQINILIDPTTLHVLYVYRNGLKDIAITNLTN -NQIVELFNSVKDDISNSDQEPQIERIEVNDGDDLNTACYIVKTLEVKFLLILYYYKILFS -QIAATQDEIEKRRRNAFKEHLDDYEYRLKCDGLSSIAKIGLILIASTKDAVLPKIILQAS -LLFKMVLNMTNLTTLVFNTRIINNLKNSFFDTEHNIPIIKSIQDNNTYGCEYKDQINATK -FIPLPITFSFQARKQKATDNNNSVYSVDEFVIDN ->YP_009333359.1 hypothetical protein 6 [Wuhan heteroptera virus 3] -MSLLLCKLSKQLRVEFIQPSLIQTYVTTLKNKSLYKHLKLESLITNADRSAATFPSNFQS -GLFLLNNLMWSQCSKLISNLHEDEDYLEQLTEIKNDRFEVPENHVLILPNIDINFGYDVD -ARVKYYTDTIITACKKHVSTDVSNISEALFTLGLIYKVLIINISNKELKILNEYIQKNGS -TNSVNITTMLLSLANREFYFKRCYLSGMFETYAFKMLSLAILNYAVYISETNPVESQELA -YYMMLNFGGIQSVSSIIISNISYTTYISYFQFLHKTNYGSLSNSTGSLGVSNANFYTLNE -KTEQKEVNEKLKKLQTIILKKNILNNDEVVVKSLFFITILDLNETRSTQFNQFITNIRNI -ANDQFGIVLSFPTVAQLFPMLYKANILNGVLKNKLKSYAYANVEQGMNLFKECSNKVLEP -KIKKSSKQSKGTSKTVQQPSVYTVSSNPTIALKTIPENVNVPKLIAKSTCSSLDSPTCQK -QMFNFDTSNDDLDGHVKADDILYDVPQNKLVIEDDDDDGNESLDNDDGENDRSFHNSNAS -TPQKSNSSRSPSPMASQEHEVNDTINKVDNQIITHTPSIALTIQENSRSSITTTVNQNIQ -ASPPSYSHADKNTTSQSNINYGIARTKLEWFSLGYMFANAKGEPDLTRFDRFSSTKYYYN -ENKFAKVKPYVKKCIIAEMMGLAKIDDLDFLVNLIKTSPDVFDSDPDSD ->YP_009333358.1 hypothetical protein 4 [Wuhan heteroptera virus 3] -MNFNLIYSFNIIIFIYSTVITAQLFTIVNPLLNILKLRTLNRDIDDLYSEIIKYDSMRQL -SDNDHVNTRYLSDVLCDNIIARELWIKEEFLYDIYVDCPTSQKESMDNLFHVIQTLEKFN -INFTHSPLFDKSSKRDGILKILDIDILFVLSCSKYCTFPKGYKTLKTQVDHIIPIVIVIN -DKDCNQDYQILRSIVTSFGFNFWSQAMNTNTYFNILYRNIRNAYVNEFLIRKAFNLRVIE -SRSMLNVYSHDYGIDTIYPTITLHNGTELIPSPHLNLTQNDQALLYCIVRLLWK ->YP_009333357.1 hypothetical protein 3 [Wuhan heteroptera virus 3] -MTTIKTNKQLLSPLPLGNGGTLLSLSQQQTLVAPKLTLIVKNSHYLASQNPSTNEIVMAC -GSLEVMNFYLSTFHIPLINYDFDQFTKIIERKLNIANRESIFENRERLFLSIVNVWNMKL -GELPIMVQFSRGDCLVMARCYQFLKTYDDNKIELITIGKMTLFPNNLTNYIAPVSQEDVN -LMISKFSDQVQYNSLITDISLVCPASFGIVSNTCEIQEPKFAIEEFSDIDNPDDNFVIDE -AKDKINDDLIATLTPEKLLLPLEYYKDIFVTDDDVANGVNLDNSILDQTWVVPTTLVKGM -TRDQFTVISHILRNSQFVKDLQQHNHTTVISEVLKTFVITDLPKDMNVKVGPEQAVDLNI -PIHIFSQINPIEISSMKLEIDGQFYTLDQLKDLASALQNVWNRVVRFVKNSPFIADLAQT -TYKHIGKNLTGFIKKKFGLSDDQATFINSVIDDGPSKFPDLLKSADKLKNVLCSIFPNLT -NLNRKSKKTSLVPKTKGLQLASETIDDEREFINKLPDTITTKTTITIVETTGSY ->YP_009333356.1 Inner core protein [Wuhan heteroptera virus 3] -MEDTNFTLFPQSGDIQDLREKNILTTTTFINQLKTKPRYRINHDKELNLTMIIFNAPWQL -PTQMPIDLIQVFDAFDVERYFSDEIYKTNFNYKINDYLDKLIFAIEGYLIKDDLTLIDVD -QGQWQSNLNYISQFTIWRYIYNQFSGGIKSADPLINIAEKRNINELTSYIVQNLSCNRNI -PNETMILDNNLMIYSPPLKRSYVIQHSIPTYTLLSNLNNVLYDIYWHVENQNSLEVPWTP -PLVNDDFQNSGIIAMHVYDHFNHSHIRRFRQLDELFYYYITKIYATWRDLGSSNKGVYDR -YYHGIVNNLNHTKDEILQQPPVKMLYRLHILQGLPPLLSASTLSLNRFCLELIKLLSQNE -LTDWLIYLGFNNNISFQHFPMIKVVFDVLFASSIGRRLRLNWIKLLPINVEIIPYNAFPT -HINYSRTILIKELPNYSKPLINEFNCVEMLSDIKFISKVYLDKLLNVYSINGFGSFSTQY -IEDIDKNLQSQITIEYKLREVLKSSFDKAKSPAELVKLTELESSGYSYSTEQKLILSAYC -YKDDINFITRNSDDLEIARFSGIINDGVNSSTLKLLLLFLHCVTKEYTDWSYILNKKAIC -LFGAIIEPMRDILRRITLDNYVITGFGDNAMSPNFRSDISGFIPSQRFHFIISDIDQTSY -SSFDEMVLGTFELLTLIFETADEGFIIKINYLTLALIDKLNLYLKDKAVQPVTIVYTDHS -PYGSYEVFFTGFGSKIVGQAIDKKDVTLYLANMLTFASYDISFEKFLFQLPNNQNQRAYD -NQTFGDFKSGYYYIEVDSNSLGHAINYYENISTEIAIGNTIFGDLAQTSRLIGMSDMRRM -IMSAMVKVYGTSNNKFNNVSTMGLISGNLNVQCKAVSPAKSFSNGQRLAAGMFFTHEYYD -KITHVLDVGCRSYECLIIILNLNYKKIKYYGYDSVNLGYRFPRDSNIHFNQQTYTVQNII -TLFPKFKRTLVFCYNSLFMTYVSQSSLITDLKLILNEFKKARDSNAFTFMVLTIYVCDPS -LEHLYRQLGNYAKNDEEILFTLDTGDPVATLTPEQVKTLFYDESDDGYYNQIASTKTQLF -QGNILGGALASGISLSNVAFYNCTQQCFILATDVVDKVRSKPY ->YP_009333355.1 hypothetical protein 1 [Wuhan heteroptera virus 3] -MELSSDTIYKELRKLRSDVNYLLANRGTQDGRIQNLIQYMNFLQYTYGFNHTNVNKFKKF -IILETTLKDYLQKQKKYWDETIDLSSITFNIAQQKSYSDCYIDYDLNRKENFIFEFNLIA -DRTYLINNDNFKLSVARVNNKPLVYDIQLNNSQKVTLALITDKCLNDVFTNIDYQYPTFP -IYLKFDTKNNLYIGLITITDKIIAISWTMLMSNVNFSDLVFDYDGIGYLAKSDTTIPMGL -IANFQSIYGLMVTKALSLIHIMEQNIPGANNIALSGLNSFNYLKQLYENAITEVQCDYGN -IKSSTQLFIGPIGCTTYWKKGMIGSLGETFSANIFAFVGAHDNNDREHSESHRQVVVFRG -CQTTGIIGMYFDDTNLHPNINQIKLPGPNYFSTPFTIDRTDQLSKKTVTLKGEFRITQTV -NSIMLNKIVNVKGSDLVDVGVVTAAALDFVKKENINNGTTVFNYAGKNYLLGFGSPKGTT -DSLLFLCQAEVEFTGQLFIEGSKLLYANPTSGSVELSLGDSTVTKNLTFSRYIEKQAQLN -VTYLASETFKLSIEDRDVGFFLNKIDKASDEYVVFSKMDKDNKTLINPTDNLADNYIYTA -MSTAGHWDLAKGANMFDVVKDQMMEKIDNIEFYLVTDEAMFMNRSINTSSNFSLNVAAKE -FGWNGQMKADINTWARNGYLAYGNSTAQVSMQNGNGWYDNRSEIVPDVQSKNSWGTKQMT -LTFDAAQIGVKLPSSFDYQPDFKCEFKLLSITIKSMNEIDTIDDLNVFSTSDDLIKIKKD -IELILLTEKDLADHIADLDYKITLIDKTLNQIGEVLSGIIKTLQALSQPSQVSEIVQTLI -SGGFLLLETFFPGSVIVLGPIALLITGIVEVTQHDVIGGVFDLIAGSLIIGYYHLPSAIP -YIKRFVNQFSKFNKLTNPFSKWTTRIGNTTRKIFYHVTGKYNVLEEEISMKELTNIFTND -NYTTTYNITNIVTDNTYYIAISDRAYGEPVPHITQMELTLTRLFFGLHPTKGKSAYSLKL -TLDFTVPKLRFINFTPVHNADITFNAELYYHESILDNGGINYILITKDNFKDNIVIDDYD -DFIDLVTKAMLIDDKFNIAMLDEKMIHFITGLALIGQNNDFIPNEACNINNLIYFGNTIS -CTSLLNISANLIGKYGTDYHYVL ->YP_009333330.1 hypothetical protein [Zhejiang mosquito virus 3] -MGATSVGQPSNSPRSPWQSSDRHDVPKGETGPGRSDRDRHSRLLTLGTPLPFPLLQRMPP -ERESHSPFPTIDLPGRLRNPMGDGVENDQTRPRSLCGDQHSLQLSEGLKTIEEAGSFLHR -PFGQEGAVEPRRLSLRPNRLKSPRHFPERRVHPQRLLLLAGRALARRLLHWRRNSRPASS -TWAKEGVFPGGRRRDFADHGVFERNGDTGTAGHRLESPRGNGQCLTQLPSCPVRPCRPST -REVGVAGQDRVRPRSLIRRDKSAAGLFCSAVSEFWGPWTRKESHLLLCLVAGCRQSQARA -LKAKLTCRGDCRREPLGVRETRAPQFSGVEQRYSRTPEVRNKTPVNGHQSLGHGAVEPGS -LELGQARCSSRRYGDIIWNRVGTDGAGTPAKEGANRNVAGHANGHDLRRISEAASVPSAA -VEFGAIELSKQGRRTVSTTSYIAPLLQLDIRTTKHSYLEGPLSQYKGIRAPEALEAVGLP -TGCSDKVFVPSRLLGRDSRHEVIASSYRGRHVDQHRRTLRGTRQVSHCQVVQVEHRPRDR -KAHHTTPFAQALDAFPRNVQLGARRQGTQVRVRDLDRAEQVESELTQGGGRSRTQSVAET -IPHRPAHVERHEVRGGGKVCAVELGGTSTNEGVGQVVVEGIEDLGSWADSRQVDPTVPFE -KGPDGLPTGVSGHHCPGRRLRHDPRLHTQLRNDCCGHRNRGIVGRMFGCSTESAVRKDLQ -VVRFGRRGQQAYGAAVCIERVRVPLEWLARHRVELVAEQLLDERVVFGHRWELTKLRKLA -ALQRAHRCRSRPGEVCSGIRKSPAARSQSLPGPDFRPRSELLNERGFRVHWLGQHLPVLS -KASDGNFCRADRHCPPDSCELGEVKPTLPRTGQHSVDISTLEGPNGEAARRLTLLSPQRA -GTAIVGVLLDLLGGKFDATAGHGVSYPAIESLNRFNSGYCFRRQAALLKAWQQYPQRKAE -GVPDVLRLLLLNRGRGFGRCLSRSARLLRRVRSTRRGLGVHDGGGDLGVVGLPGQPFRTG -LRPTNLGTGGLVRACRCPGRYGSAHSFRKTHYRR ->YP_009333319.1 hypothetical protein [Wenzhou narna-like virus 4] -MTKSRRGNTKSGSRPGTKTKAGLRPAIAAKIAKPVKAGRFRALLNPGHSPMEHACAKHYT -QTVLDPFNTEAGACVPMLPCLDSAKRKVFARGTGMTQSSNGFGGIVASTSVTKDAPAVWY -TDGSGTGDTLTATHNVAAYSNSELVNTDLTGGNVQARIVGCGIRLRYIGKQIDMSGRTFA -LEEPNHLNTINYTVANCQALDRCKSKNLSREWTVACWQPVRPGEQSYQMNANAATDPVHY -QPLCVLIQAQAGQSLPFEWEWFCHYEAIGYGARGKSRSHVAPIAGPKAIAALQQTPSDVF -DDVSNKRLSSGRLADNMISQGSSFDWSKLADTGLRVAQTAIGAVTSRAAAQYMSGGLAAL -AL ->YP_009333295.1 hypothetical protein 4 [Sanxia tombus-like virus 7] -MTARCVLAMPRRRGTATNGAGYAPVSLGTGVRANRRTPPMQLEGTDRIGVINWDGALDTG -HVLFDLLVEPGIFKSLRDVAKARQYIQWHALEFKFSCGTNTAKDGSYVAAFIADPDDKNP -DDPTLAVEEVAGAPGMVQDSIWRTRTVSVGRGRGNSTQNAIVDPTKYGHYTSTAGEPRFY -SPGSIRALVDGRVGQAGTMVLYCTYRCTLHVQARQDDAQLAPAPVIRNVFPWVWPDDTDY -AITDPGPNGVVIRWDDSFPEYERPTTDTVFQVPVPVVCSAKGTNAGGRVICPYILYQPSS -DQFYMTDDLDGAAVLQATSPSAASVFQIMPAGMTFTVVYTEGEVTRGVRELPGGGLTNRP -PAPRGIRRKAY ->YP_009333293.1 hypothetical protein 2 [Sanxia tombus-like virus 7] -MAQRSFKRGCEPASGEDEGTHGAADQAGGRRVAQQQTDNGEGRAQAGQRKIPKSREARTS -AASSPRSGCQAGSRETSQRRRSSNGHVRCVAGRGQQGPPASNKAPSEGITYQVLDTVLRS -GNRAWRQTPPTRQNSAGKSSSAGRQAREPAERGAREGAGSQQSEPRAAQCQEAKERLETP -EPGTGGSTQQESGSSADEPQQAAWPNPRAPVFSVGKCWSCENGGVHPTGKRADCHHQTKQ -SKGKKERKESTSAAEIKAVPVSNPGAVVPQAAATLPEARVAPVEVANNAPAEPEREPVDA -DAWVEDVYEVKRGVHVALYDFLRAKLIYTPRLKNGVLDASVVKYAHSQAMVFCRDHPEWL -PRNRYEVVLNTITAAIGNVGSEVQELRRLLESSVEMTTHRNALLVESAEHRWNYKVAYPW -WSKNWRMPTRTTVRGRDAHPLNHTEENPHGGVAWTRVMSVAVCILIVLGMLVYELSRLPI -DWSALFVTAMPYVARGAANLARYPALVSALIVFGAAVTMYNALGFAQ ->YP_009333292.1 hypothetical protein 1 [Sanxia tombus-like virus 7] -MATTAQAGGSKAPKGARPVQTGNSANGVSGSNASMKKILDALPGVSPKFVRRVLRGRRLG -ITATVLAVYNAIQRADPEDPICSSRSHTNKWLNAHLNGVASQRQAKTKGRTEPLIKQAAD -ELPNNKRTTGKAEPKQGNAKSRRAERRAHLQPLVRGADAKLAAAKHRSAGGPAMGMSVAW -QAEANKAPLPVTKRRPKESRIKYLIRSYEAVIARGDKLHQQGKIVPENLHLQADKLENLL -REEREKVRGHNKVNPVRLNAKKRRSGLRRQNPELEAVRSKRAAAVPMNLNKLLGQTQGPL -SSVLGNAGLAKTVESILPENGLTVTIKPSSQRERKNARKAQVQRK ->YP_009333290.1 hypothetical protein [Hubei virga-like virus 18] -MPNIPASILYDFVVCSLKTQVDIAKRVRDIQNADYILFDPSFRRTHRIRIGDYRVEVDAM -GRKAVTCAAAKEVFDTDDYWQIVREFDCDDIILHHHCVALRAKPVVKSRSKLTLDIPPPT -HHHPASLSDATTPPSSP ->YP_009333289.1 hypothetical protein [Hubei virga-like virus 18] -MNETIVVSQKPAIRKGFFQSCFDSYSVLVDSPFIFFLFIVAFFTLVAEINNSYGPLELFS -NALVEYCKEDKSFKSIALLMLSIVTIVIKYKTSVLLSLLFIIPALLDSSLSTWLLSFFFV -FVSFISSLDAYRLFLFSQFYYMYVFVDNHFYKALLLFVSFIVFLLGYSHFSSIVGIQ ->YP_009333287.1 hypothetical protein [Hubei virga-like virus 18] -MRLIIFVLFLFFLYIPVHSYTTNPTSLRQVCCQCRDRSLTCNFCTQYTDSHGCDLSGSQL -TTWAISVSTYFETNLIPTHTSTFASSYGYLYFLKDSIVTSFAAAAPSCSRHTNTAQYLYG -DSILKDYDCSSATVGSSCGVGRYCSSAITTTSGDTHYCFSQYAKLPSIEWYDINYYYNKL -ASPTGQCVEANYPSLLSAMKELSSAPASSVTVNGYDDTSMQISVRSTDYLLNTYRMLERG -LPFAKRTYQNIETYCTDDPVVTSRIFSSADQMYTWYLNVLVIRRGPCSLYVPYSEAQDIC -ADDLLISDYTASCPDSFRPRQFSPNRWLDYNITVPNPNSTCDKMKELFECGVKDWIDYFA -NLTAGGGSGGSANYEEHARLTDEYAQARQFGWFSWISSVFEPGIKLIFDIFGSNFEDYVV -TFFEKLLEYILQIVFELFNSIVALFKKSQQFIDKLVTFITRILDVLFSFIAFLLKALIGV -VLKIEQHYLLFEYVLLFLLVDYYLINNNIFSLLVVLLVMVVVGIDRRSPSILLAFHSLEY -AYVNLSGYDPSSLTWDYSLTYHSYSRNKTYNISFPPLPELPDVPLYNTSTQVNHTYPLYE -IKNHTVDCDSYPLYNTSSYFIHQA ->YP_009333223.1 hypothetical protein 4 [Shahe isopoda virus 5] -MEDAGASRNLLSVVSSLGRLESQTQEEPSLSAPLRRQTSTSTRAKASLTNIAGKLCRGKM -FLRSLSLVLLIVMIITSSLQLRASLTGTPKLLLVRLQEPVPLGLQGNSQGHLEQRLSSVI -TLQEVPIELQMLMHSDTPASVLESIPHPT ->YP_009333220.1 hypothetical protein 1 [Shahe isopoda virus 5] -MSLALLSLQDPTFVAYHLCLISWDGDEQGEYNFWEWKKVYGLEKHVTAEMVCDALEGPGP -QGEANEESSALFGMQQIASFPAIRAGLLEKKWKEAGLAPPRYWHLLTRGGQQRIITLTRA -IQERFGTEWRGCSGTWASIGATFTLRRAEQASQQLTVGDLDPESTCLLWRRDWQENYWQV -ELFGPQPLGNRAGGCRFYVEGNTALQPGGAGASAAPTRKARRGGKEARARHNAKRFAEKL -LLLESRGELGSWANGWVFDIPDPVRDRARQYLPPDFVIP ->YP_009333186.1 hypothetical protein [Hubei tombus-like virus 37] -MGEIVSPENNFNMKKSFPQDSLERQTLAAGETAPLVSNKQILQRSTLKVPLLRDPDKCER -GPHNATTKRASLPKTWVSEPGATHTAPGGGTIGKRLGPGASEAPFCHPGDRGFDVRLPVL -GVGETNILLEHVIADKVLKTKDAGSKPAASIKSTDSRVSRGLYVRSIDADGGEGPVVFVK -RAYCMKFFWSFGHAPDVWKACDVNPKTHHDFNTHNQQKFWLVQAKRQFPDSVKAEEFVRF -WWLWATFTVASGGVICPCSPSFEPREILKERLAKTSEAILIQAYHLYSHFVCPTVRRGPM -EGRFYVTRLFAIVGATKPEKIEAMRDIRRVNAEWVMPSSSGPVVTPWSDMSDQLPAEPVL -KTSVEATGTAVDASVLVDRSKLPLTLKVERELKKVCVTVSNAKAIKRSVRHLPSIGEDSE -DEDPEQKRIKPDADERVTMEEEEKALEEALGDWGGLGAPPVPMADSELGGKEDDDVPPLG -ALSSDSAGAARLGELVGDNIKVEKERALKRQKAEQEYLLQQMLDGTIPYFSGKKPVVAGP -SAESKPLPPLSGEPATNPVRGYKVDTRPLKQDKPAPLGDMLGAADVVIRTIQDGKIHVAK -ETKPKVVKDAEYLTSTNAMKLIQHCDHKRGPKLAIRDYFEVYDGGVRWAVDASGVARRLP -AGAFRNLPEDLQGIVADMQGEIDRARAENEQPAGAEAEVRDMIVAPAAPTLVPYSGPVPV -VSPHSTAFLAAEPLCDEALEENFCIYKFEDLPSLLRDDALRLMRLDPVHNFRLIRTYTNE -RRFKVAIKFISEEAVDMVDKRPFEQRNGEIRADAMSRKVRCRLSLLKLRSNDSFRTAYNS -KCSCSPWRSTNDPRKSTVADWRPVYATTPLRERAVGSVFKTWNEFVLDAKDRSRLFTDRE -FCYSETMRLSVLNSLLDRTFEPAALGTVLSGIRRTAAVNLNPTRHEQLHTKLVCLVQFYS -IRAQNGLDLKFPSLGAMVDGGSVSNSXRVRPFKPVYFTSATSSIKISPAVLTTECPITVT -RRLSMSDLESLTWVHGQAFDLMCLPCGGRLGSGAFPQPCVLPNILSLLHRQIVAQSNDSS -HVDDFVYTASFLCGKLEANITMALETEDFEEIDRKVFDRDLASKTTEFRDETWQMNVDKR -EGLYNKKVDQNAQLAKYEELTKKVQWNKVKGAYYSVMKERGITVMKREAYKFLHRMHRIQ -HILYHDPILSRWMIKGNTPDELFDKMSNIITWSFRSQDISGFEKGITHELRNPLENRLIA -FAFRSMGLHEEAEYFLRFVGAKGKGRRGARKVSHKFFIVYLFIRCSGDFWTSLGNGLVNI -NIILTGHRLKNAGRYASIEHWWCDASVLNFVTEGDDGNMLLKDFFDVASGLRMAYSIAEE -SHGPGGADFLKRVHFPFRDAFGQPFAMLNVLRSIRSCNFVTTKTSKTSKILWLWRAKALS -LLYLSPGHPILWALVERIGQLTSGLSAYKGWEKDFEAKWQSLPLDASAISKRFPNPRPTV -EMRAALHYSTNAGSPAIDVSEQLLLEEQFLKFQFGSPIAITPSLLDYEGDLLAMRGSHAD -DEPSLIYDRECDPTVLYVLDGLVNGFPTTSLRNGVRLFPRPTRNIQTIFN ->YP_009333171.1 hypothetical protein 1 [Hubei tombus-like virus 34] -MSFSKAKMYRNQIVRTADGKKVWAAMERAQITEKLTEVEMKAVTGACQQLEDIELKYNNR -LGKYACHPIEDGPGEKGRGEVDVTARYQNDRAGLYESINLALRGHREWNASVWNIPSSTK -PNKQLEAHLAEFESVVDGALDAANALYSAYIQTAPEMTGTALLDYRRDTTEQLASFERKV -ANASSSVLAVFPQASARVHALSKPFVAIMKGHKEAYANQTADVATIAESWEDLCIDDSPN -YTAPDMTQAEVRDRLLGNTKFTDAFDHSVEVAQDAGHPAMRGTRVAASTVLYLNAARRCR -RTMEVNGRAMACVVDVGAGSFGPDRLMTLKRDTRNANVFVHAMIPIVDAADRDRFARFQA -SPDFISWNAVHVTGRVNVHRLNWCNHRLRDCTCLDLYSHVEPVAVHSSYYLLQADYDRLF -KKAATLEAVEHIPQVGTAVPTEDPEYVWENAENDGVCKQGFFSKLCNTVRRSITGVDQVR -MQPLKTNSTTYTHPDNGETLRRGGFHPNRWAAAVDRALESNSTLGAFAAGVCAAGAIGGV -LGSIGQPAARVVGAALMGAGNSLAMTTLAATFVKWDALQPIPWLPGEYSIEKRVAGSFAL -PTGEQVCHIVRYTKVERATPLEPQVTHCDAPATDQVGRVAAAMVTGDAEGKTARMMAAVL -LREKVPARVVRATIDRARQVRDFLLPAPPAKQRPPWLIKGALAVACQPFVSGVSKAAASA -MAVSLCPPALYAPATITFYMWTTSPVTVLYLLFAAPMHLFGLWLVLYLTDRMGSV ->YP_009333160.1 hypothetical protein 1 [Hubei tombus-like virus 32] -MDHKHLRDSSPVVSDDDMEVDENQPGYELGIAAPSNGKSCLPSPVGVPMEVDTFIDDVEE -CHIGVPALLTDVADTLASSLRLVDIIIDESVRRAVNKWFDNNGFIYNQYWDEDANFRVDD -DELLPLARMDNMMSHTFIPPPIVLRPRGARYTYDNGRHRHARLLIMSMCNPDRVLVRSQE -YIIEKNPGPPKPRQAAPKRDRAGPRKTDANRGHIAEDRVVLAFRLTQVYVRGRDTIYPDI -ASRDRFNNWVRANQAQIDPAEMFVCKICGEASLLLCDHAIREAVVPLACPPPVQAPTYRY -LGLRRLWYHNSKFNFGEQNARDVRHFDNSQISDVEIIPECYNYITSNMQTSYDVAGKDSR -ELRLSHCHRLAGRWAELAKVKDRLMEDTWFKNRLLFTAQRACDQVETTMLYKFTDPTTQN -FGLARQLQEFVLFTTGFSALVVVLLVCGVTALEFLLLLLQNIALGLSPWGLISLRGKIAM -LACRIYLSKLAHDTQSVTVRVFLLCFTYLTCLVDARA ->YP_009333378.1 B2-like protein [Beihai mantis shrimp virus 6] -MAKQAFYEELRSMVQQLREMGERYEKVRDQLVPPPPSKVSKDLGNLAACIHKGRTAIIRG -IETTLEKPTVREYLGHSERRVLVAEVLRLRALLKECEEAGTAEAEADNAAAGDADAVVPP -S ->YP_009333377.1 hypothetical protein [Beihai mantis shrimp virus 6] -MDTLLQVLRGIAPGAASLLRLTRWVAGNLKSTLLGVPWTTLPATTTSSLLATLRWTIKFL -VQSKERLLPALTLTTTSLREAGTGCLGEEIWESSTPSVLLQLPDPTESALSPLKTALWNT -QFQVGRFGDIEFGIGLLAESMSYYTNRTISMVIHPGCSTACDRSCSCWDFRPSPSLRSIM -PGLFLIWLTARLCGLSPSIRLGYMAGYLSRCIFAGCGGWTTVAHLALAGICIAPYWTANL -PLA ->YP_009333375.1 capsid protein [Beihai horseshoe crab virus 1] -MVKCNRCNRQFANQESLKQHTTAAHGTGASKVAAKAVKAGKGINSITTAPLNRVSVLGAT -NAASTNLRRQELLTYGALGNTQLGSTWALRALHPCDEAVVGGAALPDHLSDATATPELRY -MTTISAPSWANGKPWDVCIIVSPLPEYAGWYFHKTADQEWHPEGQSGKVNMMRFPALSSS -EMEDVATAWRSTFIGMTCHLNSSSTTNQGMVYASQFACEYESIWSENDRATKGVLMKPGD -ITPDALFQRSPKTCAWNAKTGVYMPIYPSQPTHRMHSTAPQPTGTYIPDGTEKLQAGSAI -RWFNGTSASSAQQSVAIDGFNWNMGVIHFTGLDAKASVSVKAKHGLEFIPKPGTLMACFS -RSSPPIDTKALESLTSVAQVSQAAYPAHYNDLGSILSSIGKILSPVTGVVSSIGRGLGKL -GIPVVSDIASTVADVSDTVHDIAAGGKAFFS ->YP_009333373.1 hypothetical protein [Beihai horseshoe crab virus 1] -MSNKTVKPGVVKRKKPARPNRELKQAPKSDDPALPLTVVINGVEVTSGPGTVISIWRGGK -RWYTHGSPPKSGSVGTVVPGVSYAEKVSTDPKEESRKKDTVPPVTAVKVHTISSADEKPP -SRRQKRLVVAEQATAEVAKSGGSWNEIFRRLWSARSDKGPKQKMKDWAKQVEKADFLAAR -ESHLGKANGKTLKRRRQRLAAKNKDRVTAWVADQNRQNLTDLQTIPERPPTPHPIILDKL -PEDLDEVDWPKGEPMAQQVPLPQRERFRKDPLIPVGKKGMAKLVLDNAERERAALYRLAR -EQQAEAEKANAALAEKIRQREKSEERRFNLLHKRETIGPPIKTKSKELVPLGDLSGLRAH -LQAKVAFKPRDHRTPAWLSFEAQRWVADHDTSQWDPLALQTAVEMMIVTCLEPRSVELSS -EKTLSTRSLSKLNSIYRWGKTSRFESWRLCADFAGGFLGCRVASVIFEERHYIRHLINSF -VARQCVKMGWYKMAYRFDKPVTLGSCVRDNLERLNPHLILKAKKALISLTDRFVLALKMK -LGYQPPVPPPVRLEVRVARYLMSKVTLRGAVLAAGFFCGTYIIYRKVGLRRRVRHPEQES -N ->YP_009333371.1 putative capsid [Beihai barnacle virus 12] -MSSRQTQEDNQPDEPVPNPTEQKTRVFSNSGRRGKPKPQSKAGKPKVSAGPKAPPPAGPP -KPQHLSGKGTSLANDPLWQEAFVLEGDKGYVQVPTKREFQVSAEGLHDIASAVYTEMSMV -DGHFRKTIPESAFHYYTIVLLHARLAELGKRHPTDTTYEDFRFVELMKEYGPVPEPIEAY -FRSIGDLPDVAGVEYKLKLPPKPLADRHGPSTGFHRRVSAETHNTWEQVPAPGVAAMRMC -QDMAYTQHPNRDIGPIWDLPAELRPVPEHGHTPGRPTGNLLGWQPATLLSNEQRTSLSQC -GVTPTEASTAGTYAVNLQVLGMVSSALARATSVYRCASAAVHNSANGSYAQATFCEVEDS -DLGFQRRQQYTSAGLRSCTAFQADARISVASRNCCFRVKRKTGADGTNRWACYDYDQYRT -VPPSWTENMNATFNAGEASAELNITKLATAYADKSRTLRPWARAPVINKSRD ->YP_009333368.1 hypothetical protein [Wuhan pillworm virus 1] -MYSSSSASVNDSVYSEDDLGPVCKESMSIPDEQFLKYKLANFQNFNSHNNKVLKLIEGMS -KDSKYFRDDVLNSQLRFHPNLESISELYFTLSDIKVKISYDSTANLLEITSDACKGGGMK -SIHLNDTEDKVDYNLNIGKGLINYLWPLFDRVEVCQINCINTFKSKKDCMCIESKYLVLD -RCDEMDELRFKATQANGDVNDMDFLLQKERSIVTMLALVMLAKEKPEDMCEETASKIARL -LTKKHNNVLNGIPDVLQFCMIEHLYNESILSDYKSEYVLEYMMNPASYLSAKISAISDIC -DKKGFNIGYSSYRPGYASLITHANYINECKIGHLTSLSDKILTEKGFALCKTDGTLLKKR -TLKPRTFALDIALDWRNLYDKSIFYCFDLISFKIGGLSDQALINPVNFRCINSEKVSLLR -DLNYISLTSADVIEDECKVRNQPKSVVVKIKNNSSLVKVHSECLERAHIR ->YP_009333367.1 hypothetical protein [Wuhan pillworm virus 1] -MKVCQFKLTPRNGKFISGIFPGKIIKSLYDVSVATGYLELAAYPDGYQDRSDYMIDTVVK -VIQGLGKKALAAVHKIQSSSELNSSELDEMNITIQERLHDELNDDHLWPMPTGNVESTLN -VPDDTIKTLNEIAAFMKEMSPSANTNNKVSDRDALEQLESDLMAYEQFGIIGCSNLIKRT -VAGKQAAGTLVTGILRMKNSGMDDNSIKRWIKNKSDKAMDELGDEAFASAEVLSREGAAG -QLAAQEKNISVMAWLTIVGILGGYDSEGKALTTTNKLSKDIKEFCDVMRKCLMIDRSKRR -VIIEASGSSFTKKVWTMARTSLRLNCCTLILSETRMVQTCVVTGLGTALSNIRNVKADTG -FVRFLCEMWLAIESVPEDPRLKKDDFKMQVASLLQHSLVSAGNCFHFSIKMSGRASDVRI -KQGN ->YP_009333366.1 putative glycoprotein [Wuhan pillworm virus 1] -MRVAAIIIQGVLSLRVSRVTMYNITIKVITILVLNTILVRCQNCASVKGNQCMTHSGISC -KADNNGRLNLSRVTQSTKGTCDEDLILTIDDVMIKPGCQNYSLDGVNWMTCDRVSVYDII -MKKCVNLMEAVWEPCPIFYNTVDEFKNVSNSNETVLRWTEYTFAARRTGHSNVIMCTGCI -LNYNKCSKTCSTEDLVRLIDTTDKRSSQVIDARMSKPPDVTCTDNTGLVYLNCYFNGHRC -KLTDFYSSVTNGIMQSSDRTKFVACYEKDNYSILTNDSCNRHCKDSHDCQFVGQLCVDTG -CISRELVRGECFCSYSKISTIERFGYDNYEFAENTCELMTDNIELTDGGVHQEVRRVKRD -SSYSLYSKKDILPDVSCKRVNSTIDCGELQCDYRLSIGNISLIKLKSERAIVKVNESLIN -VSPMLNIILYCENSINYEFVGMTDRTGDIALYIKTLHSVNMCDKMSWWQRSTHTQCLIND -HMNIDWALKRVSMAIILIVLMVVIVISVKVIKLVLMAFCWRKQNNALDQIADAVTGNLKG -SSDDLLELAHLREMYKEQNKKYSLWRRLTCWKYRAEKRMIDLMFRIGAESKMDVASITDK -IINLKSELGVDFTVEEKALSLIRTDSMIYELPSEKQMHHRLTNEVPSGRSARLQRLRLLG -LAVLLVMAKPSEGDEKVCAGHITPGKFTSCQNSDDGKTISCDVTTTARLDILSVGQSLCI -SVMNKSDVVMKLDILYEDLSRIWSTSKQYSTGSYEGVTAQKKICRNGGYCDQKCNGQTER -NHLYGEDSTLIGYENEMYTKSVCCNWLVTAFECGDMRKCGGVSMSLNTYGLPRSDVHSLI -KGENAATIMVNHKVIGESGRTEKTSARVRMGSTESLGRMEVTLIAVSNLPAVEFNDNKLI -LNETTAYLAKAVNVNDPKSGILGEIQCDDVRAWDSPLSSRAPYQSTFKVAEDIMRLSCDE -WNNYYVLNEPIYNKLADGGYPGSISLPGVYGGNIWRYERNLNQLVEEINGTRPISIKIDI -KGSFDFSVTVHKCSPEIRFLNLTGCLLCEYGGAELTIAVASVNGYGCHVVLNHISNQLTC -IGSIPIETGEPQVRSINCYGKAKTVDDIVAIRYDSKEYQLHVIGELVMANYTTDEETVLS -EMNSIWNEFSSSLKGLGLIAGGLVALVASVGVGFLIMGLCVGWSNLLMILGGFTVTRFLL -KILSCGLLSKRLKQIKQKEMAEPKATQLKSSFYDLTARANESLDSEQIEKKVRNSANLFK ->YP_009333365.1 RNA-dependent RNA polymerase [Wuhan pillworm virus 1] -MAHWIDPDQDDDKWFPIELELGDDDRSPEFNLPLLEEDHEDLSDEDADKAGPWTFISTIP -APRHQSHSKSSLVKCGHDNNHTDSPENESADYEIRKAMEKHLKRFNEMVKDDRLLIPTSG -ELTIKGFWCKYFNYPYYIEVYDKIKYSITRSPNTITIRGFIDNMHFDRAKGSWLRIESII -MSFMRVCECTALIPDDFRMLLVDLIIYYQLKGILSDGLRERHVEFLRSSEYNVSPNIGNK -PSVEVAISKLVEESELESRVFLRYKRLPPVKRSYVFSEPSYPTLLAVESAIKQAECQNLR -AISSTTETTDFLNTLINSKTLDYKKLVESVPPRFRASSQHEVGKISRRLIQKFKDSADWR -RFRSPDDISDAIIVLYYTQSLVAEESSDQASVALSVVLADLNDGVDDFNLKRSLILSDID -AIWSTCQLMVIDETQRGEFESIIFLIRSCMSAEEMISMVNYLKGTTGEIMLCNEFDLNYK -QEVNKPTMKEILLSWLDADACTTMAKMLLNASILGAKPDNSAITSVHGLRKENRFLRFTH -GLAKDSEDKCLISANGGFVVSDIASFNVDELTDSDEEILIIYEFSWVYEPKVRCLNDLRA -WSPLISASSKLGWKIIIRSVSLGNNCTSFFRESSSARTMMESSFRSAFGRLKACMSLSES -IVRLSAESMWPTSKNYKQIDFHDPGPTEDQYQRVVATVIDELKSDYQYRDPELIKLIEKE -IKREPYKSIATYKNVLEGVEFLSICFEEAKLRCRRIVCPTNWFSDIRAKYRNKLLEFNYM -GENFKTIRSYYEVTIKKLIPNDCLIGVDDSTKPLTRLDKLLKFNVPSQTAGEKQMARRIR -ELKLTIAKLKGLALISLNDGSLSTTKVSKSLNMLKDDLDNSTVHQILLEHFSDVTSCYKS -NDSKLQLKSRIKAITDQVISALSENLEPKDHNSFRDPLVLSVVNDHNLLGKGSTNQMEVI -KKLLLADQISPVDEVRCELPNQSEMQKKVDELFKSFKLSDKLEGRYSKWLYELYLGTEDV -NEWLKTSGFLNEYFMIFKFVMDILSRISYFRELTKMMLISESFIKIYKRKKGGSVISTRV -RATNLRLYIHKHRNYNESFNCLIMNDKGAVKMKLRLNERHARLWLSLPKMLIHFYISNNQ -EDLYKMVIMKDQSLSDELNTTSYLQCINEVQSSVRRVIESFYNDEENQFSLWRTLLNADT -FRLTCKLIGCLLVTHLRHTASSENNETASVIRYCIMAQSSKLADLPGIAEKIARKCRTGW -DLIESLLIEDVLQNVTNRPNTYDMINCSRLYMLGFAYPSDASEMINCMYTMHMYPRGLGN -WKDAVRKAHRAYLDVHILYEADAPGNEKRLTGDVELNKWFQLWQTSSEDNRREVIRKCAD -KLSLLMSQDTKAPSWSSWVVELASNIQKMNLDRDVISSLIRCKELSVPITAIAEPTSVIE -EMIEKTEPSVLANEYVMSRDSRLKNIFSQTAKRKKEDLNQNVHEVKEELKKNPELLKLFD -NAERNSICISRESLTNSIKAFDVNSLISDNRDEEIIHIIKGVNKIFIRGIESHLNSRENF -KIKVNNSILGNIKGETEDWYRVDCLKEVGRDECFDIFKLITGFSVIGDQQTLVKCNKMCN -KLQQMFKIYMDDQSKHCGVVDLELCRRIEESEKLLLTSMSDCLKTEFFKTIVLSAMSGLN -WCLRYSPTNRKFIMRNYPMELDPVIVGGTLGESSASVTMMRYFLSKTSYSKSLKLSMYRS -LALSDLKKGIQMLYLSANVNVVIQNYLENTVEIMTNRMSVIRVKMKSSNSRSLLRNSRCK -SIEIFMEVMRNHGNTKLFEIGRNLAMDEFRSYKFGIAPKDQFMGMRELAILEYNTKIMMA -FVEGVSSHILRGIGSDLLRNPKRKTAMLEHMTKNFNSKSNWCHFWSGDRTKWGPKHFYLV -FICMMKVVLKESPLLNIVLSVLCKGLSKRVEIPFPSIESTMNKLMDKSGIKSENRLNIGD -WLKKLFDRLKTISDKDWLYKIILGSHDDEAPDENMVESDIIFWADDIVLNLDLEVIIDII -MSYGLSRDQLFCLYHLSKGRTSHIMLYHMNQGIPHLTSSILGNSALSLTKYLFESYITRN -YPSLKNAIEILYVTSSDDYAITMVADKSLVTRSIFDKLVDMLDGFQIMTANLCNIKDSVK -SVAGSSILEVYSVFNVRGQITPATIKFTSNSLLPPNQPTPLSMNEENLSRIQQIVDNGGT -EIDICLWQALKLNQTLGYIGFSEIEKMVLRGCSGLLNYGICVPYENVLLGDSEVSLMLPT -LRNIPSLNNIIKLSDDKLCFLLRKDVKQSLKSRKPSKLVTSIIALMLDDIILSSSPKLSD -CKVTSGRKHLSSGFLRDPFPPPSSYKLMKQKLEETMEKFGGDDHKQRAEAFYGELKVLTR -MGLTNDLRVELVRCSSAIKSNKLSVILTDSSSNIMYSYLSRAYRNNLLKLNDVEKLMPKH -ASWDYWQDPDIADSFKSDSRFKLTDVIHILYKRIMICFGEGRSGDVAGWLDNETIEEATS -HLISSIRASISNMSKIIENQFGSEGTSYREVLGRSSKTLLVASDRVSKYDHSIKCFSHYG -VNPGRKRLNNKWPVVLCYIMDEEILTSGTVRIDNVDLLELDKSIIIASCGSPPSSSDTDK -MKDWLFLVEQKFSDDFSTSRLSFCISSDNRADWKQLHDMIDLNRIRGYMVNTTGIKLDTS -QMTNYQESRVTNFVTVGSCVGTKDRESYLNYFRRSLMEDPKGGGMSIITSFGKAAMNVIS -SDNNINKAVRILLMERHTSDESQVHCGEMFISGNKCQFITDGTRLIYYIPATASFFSNES -SLKALKPFLITFIALMQGKRSFKIDSLLTILKDSSYSSDETDLSITRAGYTCKKLMQVED -EDIIFADALENEYAVRFYIKETVENIHIDKSNLSNERELTSYRQTKLDRGGLCTRVTLVL -NEQLNVLPTASLWAKLIKDPNDPFMLVLERVMRNSPEMNLTYDENKIKASSSLPWYSVES -IADSTQLLRWFATEPYSVADWFNYRKLDSDEVNLFSRTIQCRGSLTSAVRLRDITVPSFL -SCDLGRYIFLHRPEVRSELRQDKIKSDFVEFLTDIIDLNQNADFILLKLAMFQLEQMDNS -ELVLNKVRNHYIEKDDHSTCLKSKIGKLDSERRSQRSHKSIASGTKPTRSDLKKELSVRR -QTLKKMFHKFINTLNNIHFIPSDCIQSGSFNFRMSSVGFSDDILIIRNVNGERTRLLDLS -NYITWLDSVPILKISTSDIINKAVSHTSDNKLQLPQTRSELKARVYGTVQRARQDDLTYD -DRDSNLGSDNENDVQESISRSTSISSFTGMTRFSDRDRENVVEQSAGEGVSAVGDDNGDF -IASFLRATEMSRESGSLSSSRRSRQYD ->YP_009333353.1 hypothetical protein [Beihai picobirna-like virus 8] -MYTSNFIYLKHMNNEYKKPFFRKNKTDKNIDSDSSVSTDSTQRNEDSTSSLQASGNPAFV -PGGARPWGVTGSQSIPPMGVSRNVYRQINGGVARALGSDIGKAVIGEVASYGLTKLNSKL -NDFESEFENRKPPRNKGKGTRKRGRGNRDSGSGNGGGGSDNNYSGNSSGERGVPYGGSPM -PYRNRYILDTGMSASTIIDDDQNTTDHWSPLLTTNGSLLDILWVPQTRTYDVIRTYVFDK -YLDKLQQDLNPEYTIDTDVFGSYLSDVSEALQAYYTLGHIRAFAFGPYRQQNIALYKIGR -RYGSDVIDAHRYLKSKLENLPIPPRLVHFMYYFTQNFTMHPTPASPCIRINYRGMFLPGK -NRKWKDYGVNCSISADLFKGLIDNLDKHRLTYNKINRICPELKVGQLMDPSCEVIYDEQF -NTWWHNHCTSYWEGGKLTYTRNVADLRLTAYYGQYTNRLDTAIYASSDLAVRNAEGDYYM -YPGVWCPFNGWKNTDAVKDEGPLTSINYWREDKIYNMNYTSSKENVKWGPFSNVYKVPLI -DDHEKKIKIFTGSDCGVQIAQEHNAALMGDQAKKLISWIFET ->YP_009333351.1 hypothetical protein [Beihai partiti-like virus 2] -MESIPENSNPAAPEVPASAPRGGGYRGRGRSGPRGRRGRGGGGRGGQKPQTPPPPPAPAG -GDPFAPRGKGGNPAIPKDDPAADSAIMIDGIAGFVEKTKNQLFQLDLSQYITLVTESYIA -QTTCDRGLAKFVSASAYQYYMVILLWRRLFQLTSARRGDSCFDELSRALPHSLPVPKDIK -IYLDSLGNIKDGEGQDWVFDFIADFSDTKVFGISGSYGKISTENHLQYETVPCPVIPLLT -MRADILRTKFAGTRSWSLPTALTPADVEDTSPGLPTLDLLGYQKAKNLTDDQYNVLIGNG -VDVSGPAEDETFFNARMVANVPVIQSLMGFLVGQFESTKCPMERYDTTAITGSLAQVPFT -VRSSFSFSPNERISQKVGITQTYRQSPPYVACASMMFRFRIMRAKKSGIQTDGFCYPWWN -DTKKKYIIPPEWIANANDIFNKPEKWNISDFTAGTADGQALLSDLARKTKKKQE ->YP_009333348.1 hypothetical protein [Beihai picobirna-like virus 7] -MIYSKSINKVSLTKLAAKRFQDDPYVGMVLRQSPEFDSFDVNLRSNPSNSDSPRNMSRRK -TSSNKKPKKKKPVQYNGPKAQRARAQAQASSLAQGPFNLPPAAFVPGAPGFRPATQPLLP -VPTKGVGALDFAQSVVDGIGRGAAIVKTGADAIKAIDDATGGKLRPRRPRNPDRVGGSGG -ESDGSGGGGGGSKSTNLNNPNGGYSYTMMCNKPVSQKIEISTGVRSGLIVNPGEPNNDSD -FSSLYIMSGNLLKKDSVDTSFRRHIETTIWPMVNQAVQYNLNFEYILSLTDFNNWFYDMT -KALEVYYALDSVITYGEKVENNNPGSRYLREKITGPIRLKFNELRNILNNQAIPPRLIQL -VRYMYQYYKFSELPGSPLYRLSPGFIFCNDANVGDDAYIHALNTAMLDKLITNLTSHSDV -HNKIYKAMPEWHVSGKCLPPSCDTAYYDPSFRTFWFNSCTSYQVGNVVKYTRKGDGSSNF -RYWLYTNNFDGLFFALGSFNKTTEEGTRPGLWTPPSDFSGIVGETNKTSVQCFHADKEIR -RIVQLDGTYGKALQSDNILVPYQNLGGSGITIQLCHNQDGSTQVAQECTFNTYKQAVYEV -TNWIFSI ->YP_009333346.1 putative structural polyprotein [Beihai Nido-like virus 1] -MQGAVSARNKTNTFNVRSNGEYFQVTVDSRLLDSKPRRFLADPARLKHEIGFGFFGDSRD -ESTRFLTAADAIRLRRHNQLFRKIMQEKRPGFTPQVPLSAVMDTDYDGDTSSLVEKYTSE -RQPSSPRAPSRVSPFLDSLICFTKNGKYVGSGGAVVVKYQGKETTAVITAGHVFNKSDSL -HAGNAPTNSLRISGLSPISISQSAASDVVFLIDQRVTNFLITNKIPSLPFCPLRKDGLVR -ANLITCALIDKKPTPTRVVFNLLGNTYNQPTSSWEGMSGSPIVVAGQIIGVCVTSGTDES -GENPNWSMAGVAFFKSGAPDRWINPSSKGAIRTCCSAPIRLTFLSCLLSTTHAFSVTKLL -LPNACDTNLTIRMIDDILDVPHPLPDPWFIAVFTADAGGLDVNKWLAQHHLPFSLHGIWN -NGINLTCCDCDESACQHEISRFISWADEFRDTYHWNIGSDHLYSHEYSKHGFSYGIDANG -YRGFVHSANDYYSHNTWYPYSCRIHKGINYIQEAANIPNLGANGCDEDLVYSYNGHFVQL -PFRLLYDYPSRVRAIYDHIIDGDYDAVGVQIIEPYHGNFFYLHVHPLINATDNQFPGIYL -NDFGFRCGGHWRSPLSTPPMLLYTTSGLTNVCLQCTSYIAHTDGLVLAINTTHFTSCEVD -SVKFFFIPQSTTRLVLFESDTYYNHTSYQFTDSDFGLLLHTVGRAETKRDSNGHVTHGYI -TGVLNPTEQNYALYYNDTVIFPLYIQVSGWPLFFNDINDASYPPSHTHHFHAIHKSAHHS -STHHTISHTSPNFTRSNLIPQVFHVFFLGSGGVFLPMDIVFNTPRHVFEVDFANTLPGPN -HTIMYYGSVYINSSGVQHFKLFPSYSPIYIATIYCATRCCKVRYFSYSDYDDHLEQTCTG -LYGPFTNFTAHGWISVEHVYGDVRGMSQGFHLSRHHTSYYITNLSKQPRIPCYLSHAFGF -YGRLACRVDEFDGTFKPGESLYLHRDGVYFESVFLSTFYPSPVYSQHELLTHYHSDPSKT -HCFERHFIIPTNNHSLQHFTFYPALASPDQQHLMPYPRNTNTNFTGTYNFSYLYASKFNL -SPISHCTDPPNVTHIYDRSSNTLLIKAPGARIRVRRHLSESSPNGSVPYVVSFGELILDI -SDICPDADTDTCNVYFLVGNERRERIVRLYTNRCPIVPVLDDITPKLNDFIYWSCQHIVL -FYLILISILLSSTLLIVTGIYACVRYVIWGSIKLCLRLSCCKRCRPKYTRLPVDESDKTS -VPSSKSTIGLPLTLMLLFCNIQPADCFDLTTQHTRFQDGLVFYDAQLTHVSPARPGDTFA -FDLHPKDSVNIVKPKRLRVRILDVGCHYDSIFQYWTSALDSGVHNAYTCCGRFRCDHDMP -PEVRSRLNSGYRVFSTYQHNIFPCVWGYGKCFCCEGNNGCSYTYHAADNNWAYDNYGVFY -DVRLNTHFVSVQVCLDTVCDNATLSHSTSPSVVVGGVTVTLENLLDVKCDYHGTYFLYNH -DLYLIHAPAFGTVPSGRLGDLASRDIIHSRPKDLYVSPDITPLFPDQFNGFQEEMRDDGF -NVFLDNIKDHNIHPIGGVYGGYTHINRTGRIGVSVHQRHLTAGSFSFTTTIKDVSFEIIR -TQHHLEHLNLVRFSKSCYSCDYPTFLLLDYSSSGAGNIDYVCQPAICKSSYITVVEGRGN -SSIEVFPDQRYNNVTVCFITSPPACVNATFTSLAPSIYTQPNNTRISSDGDAVDGDFWSF -LDHLSLSDFLLFGSFFGYFVVVALGLVGYKIAGRLRCRRLNLSSLPLLSRLARRKFDNFE -SIEYEPVPTSA ->YP_009333340.1 hypothetical protein [Beihai Nido-like virus 2] -MTYTFYTDGPGTSAKLSLVGLIKSLIYYSVTLLFSLWFCIQILSILSGIFIDNALVQTQG -TLVNNYTYTRNAVKRSTDEVKQMAVIVWDVILSLLRVTLYGLQAVVDGSNRELNNYHPRD -LTSTAQPHSTEM ->YP_009333339.1 hypothetical protein [Beihai Nido-like virus 2] -MPRPQPRPPSHRGSREVAQAANQTCSPSPTDHPQPQRGIAGVTTEAGTTATVAARTLITR -EGYYLLKAGDLVISRQKGPPEVLLSVPATSRVLSGHTIGSP ->YP_009333338.1 hypothetical protein [Beihai Nido-like virus 2] -MPGQNAQTSTSPSKPQRKPRSRAGRKSNMQPQSNRSSSTPKRNRRRNNRSGNNSNRRRQN -PNNQGRVLPTQGRRSGNLQTKGSTRGPTISTRDIKGIIGAYDRLPVVVVRAEGESTDTRF -IYMTDRQTTRDPLFKPFIDHGVTSNAIKQYVTGLSRSHGKTYELKFPLPAEFGGDDYKGK -FLFDKQQPKKEKASSSK ->YP_009333337.1 hypothetical protein [Beihai Nido-like virus 2] -MTSSRKYERPAPELVTKVVLTEKRVLYSRDTLVLNPISLHYQALQNILFHLTSFVKNPTA -SQGLIRGIVLEDINPDGFTWCANPCFSDICWVHIAPRGVKPLPDTAHNLLHNLGGMYREK -IYQFAPWLADQFTTRSIKDVYKPKTELLLYDNHHFQRHVGLVQGSKHPVVNESLLYSPDR -ITIFTGRQLVNVNKVQIKHDPEFVAKEVKLIKDTYTLTNVQSQCYAQLVHYARTECPDLN -LALQATEEAEKSRRPQIKHAAPVQQIILNPKEESQA ->YP_009333336.1 hypothetical protein [Beihai Nido-like virus 2] -MAADIPPCSNISLYVDHQFCYFLSKKTCVTLIGNTRVPIDPDVIYYRFSGGVIANGHLYN -CSIDTTPWLYIGIFLGCILLQCTGILFYQFKLIKRCLYSRAENPSSCEDHDIITQIRTPS -SRAGYKSSIDRKASAVFKGHARSKSYFSALPSIAEHPVSLDQFREKSYSLSRFDQRNSP ->YP_009333335.1 hypothetical protein [Beihai Nido-like virus 2] -MALLHFVFYLIVGSLIAYYSHSSRIGETYNECERSFNCTLNTHGVCELNLIFDLFFACYP -LECIDDSRYRTLKFSSSEQPLKCVVYHQKTYPCQHNGVIQQCPTAYYSYFGPGNLLWVIS -VWHLTLRYLQFSVLGLVYLFYTLCSRLSPVFQIHPSVLIITVLTLGVTIGDYYYGG ->YP_009333333.1 putative glycoprotein 1 [Beihai Nido-like virus 2] -MHFFLQLCFLATAAQASTLHTTCNVWKKAGYCDTNLKRIRDHTRWLCPNTCGAGTARFLD -GYVDIPAKYKGKHYSPYESVHTSYNVFDTMNMRMSEVDWDHFSCPTEPTSGVDTFSKTIR -LISGYPCTYNVEYHLATYDEMLKEGQAELLTDLNRVSAGSHWVPMHDQFNISKNVCNRHV -MSITADSEFQAIKFVVSPGFKPHIVGNVIDAFTNQGHGRYYIGVFDKRVGLGGSKYLMRA -IDTISDGESQIGRNTWRSAGWASSFYCEDRQMFSYVDIPDLEEISILPPMQRPTAQEALE -NCVWLTTSHIANVPLILSCPEHTSPINLYLHSVGFGGTSLYTFNLNYHIDDVHGGISYLW -AEYNQATKYFLGLADYELRLPSEDLQDVEIATIPRYHVVVFEENTNFIKRRFIHFIHSAF -SAYDPNPDSYKYPCTEPGFGERWAFMPVKFSQLAHVTSVCGTFSPPLGYCGIHERDCEND -SGQKLQWGVDAYKYMYNALLTGPAATFELTVHYNSKHKLSDFRTLGYGKANEFGDDDYEE -CHRKPFYILGAQIALCFPHQAQDFYGNKLKLTGCVRQGGYYYRCVAEAFVGLVYPAGGPM -LPVAYPYIYGGWRKLYANPPDTIERSFYQSYRGASVKYAKSIDNLLVWGPLAAFLGFVVS -VWILMLIYLLIALVLSLLEWLTCFKKGSKSYRKFHGTYFVHCFLSFAYLGYPGYKPAWFK -PDRLATNANNAKTLHNAKWVALSYIAVLLFPIYVFVIIVLGILSIARIAKKRVKVKTS ->YP_009333329.1 hypothetical protein [Beihai hermit crab virus 4] -MNRGYMNYGGRGGGYRGPPRGGFRGPPRGRRPQGGGRVFYNSNRIQNGPPRRNNNFNRKG -GAQTSPDLVGAMISSKNNVQIPVAQNVAIKSGNITILGKTIKDIVEHKPSHTPAMLVKDD -KGTEFHITPIGTIVEKPAVPSTAHEFLAMGATYEICKGAAKAVTDGKGTLEYPKPGDFKQ -KSLELTPKAKTQYVQKAIKSARKRS ->YP_009333328.1 hypothetical protein [Beihai hermit crab virus 4] -MNNTLINVHQFGQILEYNPPKPDKVHKTTIVYHNTAYSNKKVSEDYGGRAVYLDVPLVDA -AIIALYTQGTASTCLTDLGYNTIHAYKKIPIDRLADYNFTRYTPEQGIPVYFFQLNGPEK -KPRSDIFSSEIQDSLQGSGSDFINTVETTPLLPSLGEPAKSHLVIKKRMSDKHIKFFNMD -YAYLVNNALVTFPQHDDTTIKIIPMPEYQKRELFTAAFISGHPVSQLKCLIDLEILTGQD -YLNLEKSIIDAQDYLQDEEGTLLDIAPRNVTLEKTQLFKEKAFLDIITGTKDFSKEPLKN -SVTYVNQHYTTVGDDEQHEEYANLSDEEMHEIELEDMWDDTTDPSYDGGNISGATVSLA ->YP_009333326.1 hypothetical protein [Beihai hermit crab virus 4] -MNGCVDLTVRTTGEFCYFIARELCTLYLDNNKEEAILVDPKVIYSTFTNKKGYYHNGQFQ -TASCALHSGCNGLLAGTIGALIALGVTAILGAIITTIICVHKRRSNRSDNRTPTSNIPRE -QSTRRGIPDGQFCYSECKNPKCGGLHIPRDWRNNLWH ->YP_009333325.1 hypothetical protein [Beihai hermit crab virus 4] -MYKPILVSIVFITVIYFASQSTVDEDFLTCERFNCTYNKYGVCAVNPIIDLYFLCYPGYC -IQNKYIPIENYKCILPNHIYYPCHLPNIGFSRCSTPYYTSFGTGNILFILGLIHLTAVGF -VYWGLPFILYKIYSNVSGIVQIPIDILLPMLFWGGVLILYKYT ->YP_009333324.1 putative glycoprotein 1 [Beihai hermit crab virus 4] -MIKTLFFIVLLHTCLAKPNYKVVSRRMTKYPDHRTASDGANVPNYREVGIPPQELTFLVG -KDYNHDTIEHLVYTLQNHSYAIYDELPKMAEWLPVCFARYQSSNAYDTYFSGSYVCSEVD -EYSMSVRTLNGNFINRISGGIAHGIRPDMIQNVHPQLCRFRPRTHVATGLPEIGCYDIGV -DDTLKFIGSTADQQICDLEFVYRDPYTYETVYTTRCLGPGKIINSVGNTTDVHIPYVTQY -KPIDDEIPNFIMRLSDSAHHGMEGQFINDHDTSKPYGFVAGIYGDEHSERFCYKRGLEVI -KSTNRVRVCAWLIGKVLNENNKLIKFFDCKRVSGKPYLKDCKAPPFHFASVLSGIGSMSL -EGISVKHKGNPYIYAFPEEWMSDWLAENLRTATMMRRKSTDKLLETGPWKATLSFFVTIW -VFWLIILAILMGLALIEYIITISTHKGIDKVQALAGTVFVSALQSTGYFMYDYKPMFALK -SAEIDKQSKRMAERFKDRRMGLAFLSLLMPIYIITIFVLGLTSLVRTSRKQQKVRTK ->YP_009333302.1 hypothetical protein [Beihai hepe-like virus 9] -MAYTNFTGPVTEEKIRHLIFPICPPEMFSSVHDRFNQIKMQLGHAPCKIQSYCLGHTPKQ -MWVAETYYKGFDIQGQGSTKVEAEVNVKFQFLRAFIDQDAWIKTARADALGHGTLNVNRT -LNLFDNYKLSVEVYYATYIYLSAGNLYYMTAAGSSAEMALLFLRSLLSA ->YP_009333301.1 hypothetical protein [Beihai hepe-like virus 9] -MTHILAFRVSNFVFQVEFDYDDAPLEARKQLISLGNPKGRGIPEPLHVVEYSRFEGGKVI -EVEPNYILYHKQPLHPFFVSKFRNRLNFVRVISNCSQQSHIPESFYTNFISNWQMQ ->YP_009333299.1 hypothetical protein [Beihai hepe-like virus 9] -MYSLILLFLAGASAQTSVELIGWQFEELIKASSSSNTPKVVPFDCNNFPNNAPYWSCSDT -YRTVTLNLLIPKGAYLPSFVPGPTPSTDDMGYCVGTSTIGPFPMNNMLAVMKQLATIIIH -YKSHFIVELQTPDNYDFYNGSPLVVAHYTGKPLDVTVSLKEVDNMLYALSQLAFKQTQFH -VDEHVTAIDLTGLALATGSKAYSSGTSVPSIIKFFNYTYVSPFDKNITIISPDYYYTVFF -YDPSAQNSISAITYTNPGLQLVTELVEETEISKNIQFRPGITVAHRQLMKNVPIANYGKR -LTPIISFFHSENYLLSSWLRFQNYYTYKGLNITSDQLSQSIPDSMRNLVYVQCNERPDMC -SCKGNYSSQAMAIGALGYDSIYTKRMNTVIYPGEKFHVHSPIMSHVGSVPKQGRYQFMDT -TLPNLPDFKAVNIPSWLPESLGEEINGVLDAVQNIYEHIQTFWEILQHIEEIITAIQDIT -SLKPTGGAPPEAVPV ->YP_009333297.1 polyprotein [Beihai hypo-like virus 1] -MRPKALPAVTKRYSKRHVSKRLPIQPSQLQPGDWDIHRSMFKQPVFQMGDECIASLILQM -IREPDLRLSDILVKVDQDFLVRLGLKSLLNAPLPTECISRSLTASPLFGYCYSAAFRGLW -KILAVMEIGRSPRGRDILASKSLQGAKSLHQLTNLEFERKGRFAHVEADAFGRPPSVIFA -KYPDVPFAGATDDTWSSSDDDPVIEAATEYEEQTNSQQPTINLVCVQAEYDPVVTPDILR -SYVEHGWTINWSLRFKKQKGSATKYLLNGDVGTPLHEIVHKKDYQLFCSVNSGDCYKHLF -VRSDDQAAITADLGALPTVKEFKEHEIYLTALIDGSLDFSKRFTDGEYVHIDDYGDHPIT -RLLFSSDYDSKRVGIKIPTSVRRRPRPSIRYLERSMAIRNFALSTFILSAFSCGMLVVSH -RYFEDLRMMITGKCYTLLVTLDLGPKPRVIDLLRQLSKGHLLPGQFELTVRGSTIHVRRA -TQGLTSTEIFTAFRRGLIPTQGRVIPLRLNMRIGGREDDLASKVGVGLTSFLNGYVAGGV -LGAIRGTTQELRPDWFSRCYRLLVDVDMGPSPRVIDFIEATRDLPLRYPCDNLYITYSNE -CIHLSYDNGEHNLPSEQIVNQLRDGEVPGYGVPYGPETKIGEHWMSSILYSMIGLIALPL -IAVITNSTQYQVCKLVANGIPNGDDDLLFDDDGRCYIALADHPVGTTPLAIDWARSVPEE -AIKYEKCILIGHPGMIHVLRHPRGRSPRVIISEMREGYALINGVVERLGPDSRIGKFETP -CSMKVALTSAIISTLGWQLVRLTMGFVLAEPTLSTTRFIFGLLYSMVCAWSYFALLQGGN -RKAKGTIYAMGSHGDQLGPNMIAEKSREIGLDVTMVNLTEGRLGRDILHAAERAEYDLIL -AQMGTAICEFVNHDETTFTPAFGKIVPGVITYSVNTAPNCRKTMKITSMDWLNRIIDPLW -SKVDLQFESLPGHIPRTADGRNPILARSNRGTRSLLIALGSSSMKEPDGLDPSSTWSTRP -TTKYQYECRTNHQEIFADYKTIMHHGGAGTDRTARACGCHSIRISDAIDRDLIDGINFVY -HNDWQLWWVKAFESMDYAQKLAWYGVLSTKLEPRHHFRLQIEFWRAYTFTYVKMVVSSLT -WLWSFVNAYYYAKSFSGTITILIFGSAMSSVSNCLMSASIRTMIRHLWSSITTAQLKHII -TIVARMHCNSISTTTWVTPLFNLSPYGKLGKEILTYLFYKYQFILWEIVSYMAKFWLLVR -LGFFSFSDEIKPGGIYLGIVRMENQTFGDMFKMRHVQFVNGETNCVVSLTMDDSLKVTVE -SKPYKASKMECNLLLPFMDMDDWEWLQSSLLKLNGTVYSPINNCQTSAFSILVRAGLNKF -EIMEYDELACYLILLSAMFGLLVYSISLMATMGLIFLLFVTIGVFNERFSNLADDMMPHI -HFAGAIFGTLELRPIRMLLQSIPDWLDGPLVIDKPSKYPAVPSFNCGVKPISLRVMSIPV -KGKSRWFVQPCTGINRANYTLHRDYKATHNLHQVTDDGLATRMTKRQRDIVRDFRRRVAS -LELKGKIAIIATTKERPIQDEVMKAAMSCDGVILITNEDLSLTPDPKVHQIIVDATGREC -AALRLACPGKKNTTWVSLNASYGMNVIKCVDKLLTVANADELVCVTNYGLNPGPAGLCAY -KQSIVDSNTIDLDIYGSDEIAIANRRGTVYVERNLAMFTSLRKEMNFPCERRIVVFDENT -LEDEMCFSVTQWNYEHIIPQLNNPDNWKLNVSRNDEGITVGDTTLTMSPVTSDMYSEGTP -VEAIIDDIKVNMAGFAAKVGTTIERGLKNAKTSPITSAVASAYFRVAETFAFSHRDTPKR -MWAPIHAELNKSRAEEIKLNLHSNPVFVPASFDETLSYYIKHLNFAQHDKKRILNPTEYR -RRVNRNPYIDNYLAEKLPDAYNKGVDASVIATRQVMLESLARYNKGGFVDAMTDKDVTGI -TEALYKKNPDMFDNAVIADPYKLTKRFLSYKKYSAGLPFTYEGSGIKKRSDLRKFGWLKP -IAELGKLPYKTGEWYPAIAHAFPKSQVVKLNKILENPAKMRSIVATAGFNNVQQGILNFD -LNNRHDFTGTHEKVAMPLLGSYMNYVFQDLERFQNAYSFDVTAMDANLPDNVLKVIAELR -KKGFENHPASDVINKHIDCAMEQTKFSYVMNLVASDIDELCGDDALTQRVREWAVGKGEW -AISDKRVPGGVLCKRKGGSTGDSNVTFNNTKGLPIILMYVYCQATGTTYNSFFDRVALHN -FGDDDVIAMDEGKDIADKMVSIAKDKLGLTLRYESTGTTVQDQVFLGKKPIPSELKEQDF -ILAGIPMPKYAIVNDTETMRMRLAREKAEMNRHKGIRHEMYRLEKAMGYALLTAHQPDMY -NLIEEYFDEVWRRIPDSLVTQKWFKKKYKLPSYKEVIQKWYRPLRESDFQGVHGLQFQVS -LVAKTEQSFIRICRALGMIMDWFPSHLVSPDQTANAYRVTELHAGYFEAHAWHNFVKKHG -EAPTADELQSILNMGPYGQYTNAHYWLKTKGFDLPTSGPLFERNFAHATWMVLIYTGVYV -QTNAMIGLVNKLPFGNVATELLNLHVYKCRRWFGTLSYLNYLATSTNNPIIDGLMPRDPY -SYHKRAALLLQSLLPEAKMLGYIPIHKLMAAIGDSLSIFAWTANLSMSTAGDAGNTSNPD -AWHKSLEEAKTIMAAGGTPLIVAHTGTGKTRDLPPLVMMDPDLKPSQTIIVMPRIIICES -YAQKSGAVFKKRGISKKGRLMTCTYGYLNHSHANGAIWWDKDAVFVFDEAHEESTDWYQL -RRTFLNNHRCITMTATPAAATCVDFQVVKVDIEPRYSITVETSPDMDTAITDYFPKCRRG -LIIEPSLRKCSKIADNLRATGYAVKVVHAGDREIPDGVHIVATSVVESSITIPGCDLVID -SGERMVNDGGTLRRVPNDTAGMIQRKGRTGRTNSGLYVQLVAPKNVLYKPVPDVNSLLAN -SAAVSSLRMSIPFDRCSGSRSLLIDKYAIIDGVVPEYKDGISLLHFILNSGKTLDEAEPL -YTKIARGRSVPELDHILMTDIIDINKLPNYSTVVKEYIRGRISYKIDGKSVGNIARILNY -QVVTEMVDVEWEARADDRIEQGTRTPKNIVKTGRRRPCKSKRERG ->YP_009333279.1 hypothetical protein [Beihai narna-like virus 11] -MSNITKAKFFQRPSLKGLPKQEKERRWKQHLMSLGGETPRNSRRPSRRNKVAVGRMKTMP -EDERCGLEYATSLINPFDFSLNPCVPRMPSVPSRKLCTYASGLGSTSGTTSYGGVTAYMT -AANDTTSVAVTETAFTGLSLPAWNSTGASSKTQNSPYSGADFSANGAQVRLVSAGLKVRF -TGTKLNQGGVCFPFLEPDLGDVTGFTANDIAAYDQYFQGIEFDSDWVSITFTPRHPADFD -FAAADKPLTGQHPCMGILIMSAAPNQPFEYVWTAHWEVIGRNARGKTLSHTYPNTDRIIS -GASQFPPRALSAIQNAPRPESLAAQVGRNLMAHGAGVVESLGRGAMNMVGAAVADTMVSG -AAGLLSIGV ->YP_009333275.1 hypothetical protein 1 [Beihai blue swimmer crab virus 3] -MSHTYSVEHESKCGGKLYTGTVDGSVEFSVVGLGKGDFSMQLQTRPSEEDLKREAARREL -VKQKKEKKDNKSTRVEKLGHALKHLSVSKQDENSSSSSLPHMRAVMSELTPLEPSLVQVC -KVSQHRFMGFLDGLNAELIDSSGAFNSDLLGEQLRKLPGYNKRDYDDMQVWLAAVDKCQG -ESHARLLYNMACSITRAQLVQNSTLYNSERRTGSVLGYTHFDSHTISSQYEHGPGLVEVN -MYADVSRPATVTVVDRDSFRGEHVSHLHIPNKTAKQIAFVLGHSGKREVKDSAFNFEFGI -PDLGVKNVSYTDKVEPDQVLDYFVQDTLALDLSRYSIAEMTAALQVYIKSMRLEAEYLVV -CEVMNLSGFFPLPDTREGTWWSRFRVQVCLPEFFSLRSAHPMMVEGSPYTIEKIGAHTTS -ISQQNSYRSMIDYAVMNYRIMLGVYEKVRRVAEGIDSFGPDSAAHDVPYEYLSVFESTEL -ESGLVPGYGVNYNAEEIARAYADGDVKYYYYETDPDSYAAHMKDNDVLPAHKGELCAGNT -TSVPPPTSLVPLYGACPAELLAYQYMNGGRIEAFDQSKGAAEYFSYQEMMLVANFLRLNR -TDSTWQEVQRGCDTEVFTGAMSKVTHAPFYYDDPMVQYLNTSTRKRDPESRSCGPGYQSI -CTYGLQVAYRALWSGVKSYRRFTVSYRGVRANRRSRPLEFKVAGSATYHKFVGGAPLTSP -EQDHLGESNFHKVQKAAPAVGPSTIVHNTASTQDTGDQHAAEISQDLPEQTPPVEEGGSG -KQ ->YP_009333262.1 hypothetical protein [Beihai narna-like virus 23] -MDYLNMGFTFRDDRSIPDDPNEGFTRRRFIEELVLSIQTGSPPPAALEDGLHRFVEADSY -LLHVLSKSQDLPNILFLVSRDKRLALRMRDVARGVVTRERGYNASRDVVIYMVDPADFRF -GYMNEHIAHWTGGYPDSKVPYREFVDFGQVNFLAFTKDPIDPFYETERIHAVPCKIRGDL -VPGVYHSERVCADYQDTEYPFLSLTTQPLETILRDGVRYPHIPSFARDLSAPTTW ->YP_009333261.1 RNA-dependent RNA polymerase [Beihai narna-like virus 23] -MSGHFQRALSKLCRSYDYRTIQRWWYTVDGLVLPLLLTHPDPDSQMETIDRLIKFGFENC -ANNYSHFISRMKRLKKLLRKEFAEGTDLTSGQLFVDLSTYRKVFYRYLDLTLSERSATEC -VMAWTQARAAGLADRRMIEKSLEKAEKTLSTPRRVYLRGRWREIHAHYQGFIPVLEPEVP -RGPLLDVVRRVANKVRGETAHISTGPHACLEIKQAFGGQAAGIAYLCKRDVLTVSYDPVT -LERTFHPARPCASAQDLLSWAISRALDAPVHTRMVRIVAVSEPSKARTVTVGALAYQIIL -GVVSKIFQPALASGISRTGLEGTRNLYEALNNDFDPSNGLWGPLRDMDRTGKFPVFALTS -DLEEATDYGDLAVARQILQALLMRCRDIPGFPLGLAVLAKSLFLSSRIIIRPGYGHGLVH -WFRKRNGWLMGDRMTKVVLTLAHEIGILSAGIQFARICGDDVFALSKAPAQLQRYHKVMT -DLGFKISEDDHFISRRILFYCEEVSLVPQDAKDLPSVCNRRSEPSCYVDYPRIRLLLPIK -VETNALSYTDTGRFHLLGKEMRWVFQNSSQQADPFIRASLLQHIAIAMPRDILSPFLPQE -LAGDGAFPHSAEFLLSVIERKSINYDECLYRIHSLTHGKWGFRYLRADNINEVVHKYHQM -VPKLKVLESVLPPDAVVRASEVLISSLKVKGLETPEKTFFRLYRSYYWYKVLHGMKAPVL -NFDEDRTRVHGGREFKLDIPPDVLVERFYTTWRDSGFTF ->YP_009333259.1 hypothetical protein [Beihai hepe-like virus 8] -MKFIILFIPCVFAVNTVRLVDSQFDEIIKTLVNVSTSINKDVTDSTTSITKTIVDRIASL -TTTLESSFSEINHNIAVLGDYFELLRPSLEAMNNEITNGFKNVQNGIDDINSKVVEDYQA -SNCTYGNPGYPFLRYGLCYPYRIMEGNDLYLRMTTQGFAGPYMHHGILPFIFNNISSSIK -EDFYYKFIYANSILKWYGVNTDCTFLQHLYNIGLAQAHVFRQTGCASEVSHVYSGGYLTV -IVRVLDQPITQIKHSDISDMYQLMMAHFDKSIYVNMEDTQRSYRFAADSAPFLDYLIARR -SVFSICNSSPFHNCSAGSPNPRFSRITHLNPTQAKFEYPLTRPCYPFGRTATKFTVTMPD -SYLTVLAFQDDKLKYETLSLSLMCPEAIREVTDDQDPANVIHKYEYTVNSKPVFVDVGLS -NFIRLNVHRRFYPLAVVFHHPNGTTQPWLTTMYNSDPNYFDFTPRCVKSRNTVHEIFYEL -ERGRSVDGIWDCGFPDIISSAPSNPLKMNVTKLPFSSGNAYPYYLSALKNDFAIAVHEVE -PNYFNTQGFSIFNDSRNAVKLRSIGVAWFSVVGDSSANPGYIYKTNDQKPSTDPNLDDFK -TVVPAVRRVRSAVAVVNDAINNFKSAFDTIAEVKKTAEDVWAKVDAGLAILGDVWMVAKK -FIV ->YP_009333252.1 hypothetical protein 1 [Hubei tombus-like virus 16] -MSRFIKFVTPHATVYRNRDFFPQFENRARRYAHQPRINVVKRRPAARPTIGSGRAPFVKR -PRDVRVPEPSAPVQPSAPGPSRGSNKEILFHPEVPSAPPADIVPNYLGLTGLPLDEPLPE -RPDIDIDIVLERQSVCSEYSELDIQFEPIKNAWVLSEPPHDPKIHCGRAIELEAAVKRLG -RRLVKHNAYAAPSLFGDEPAIPLVTKRELPKPKQRQPWFNPWAGARYHLLERFPSVNYLD -IGTVDEDNVQPAQPEHTNTEPEEEISDWDLYAMELIKDLPEPPVEPPNVKYVVPERDLDE -LQKWNFYKGRSNKHQYYFKGEIQKQQINCLPELTQEKQPLFKLERVCPKPMKNTYPTTWD -IWGNRKWLLEHMETDKDLLAYLRLEAAFVPRTPALLLQLKVKAKRFMDQLDCSLYTREEI -NDMIIRAVGAATLIGKEEEKVRALMNVKTEKKMREKHHAFFREGNLQTGNISCPTFTPKK -LSALARFRRKLLTRVMPF ->YP_009333250.1 hypothetical protein [Beihai hepe-like virus 10] -MSFTNFAGVPSEEKLSELIFPTCPHGEADKYHALFNKFQQINGGVSPIKIDAFCLGSAPK -QMWIAEAYYNGLNFQGYGSSKSSAILEVKFQFMRSVGQVDSWANVGAAVSLDSGTLKTGT -RLVLTPFLNLRVEVFHATYIYLRSNKLYYLTAAGPSKELAILFLRSLLA ->YP_009333249.1 hypothetical protein [Beihai hepe-like virus 10] -MYLCGCWSPSSPKLWNSYKYLFLAITIMAYIVLFQITPRFIFEVEYDYDGLATEAQQQLI -LLGSPKGGDKPSPVVVTTLITTCNCADSHLKVQHHHVSAIDSELHPQLAAPYQLRLRFMR -VIYENSRRSDIPESFYADSLELWGEQ ->YP_009333247.1 hypothetical protein [Beihai hepe-like virus 10] -MYLILFYAFFTLSSAQQLVELADWQFNQIIARENEPNARNFTCSDFPNLVNWKCGDESPG -RAVARVLPVGTVIPTFVPGPDRNSDKLGYCTGSTTMGPYNLSTIGKFALELAQLEVHFNS -HFILSFDIPPDFDFYNDPPYLQAHYTGKPLKTQVSLKQVDNMLFALSQLSFEQTSFDDKE -QTAIDVSALGMATGSVAYDQTTNVSSIFKWFQYEFKAPNDKIKRIISPDIYYTMFFKDDK -ADGGVSYITLPNPGLQLFADAVERTEVALNYQLRSGITVSHIDIFSNIPQASYNSPLTPL -FSFFHSDKYILSSWLRFQNYYTYKGRNITAQELNYGITEDMRNLVYVQCHERPEMCKCEG -NYSSQALAIGAFGVDSTYTKVPNTAIYENQTFQVHSPILHHVGSVPLEGPYTLFSSALPD -LPDIKASNLPAWLPESVGEEIEGVLNAVDNFYKHVKDFWEILQHIEEIVEVLKDIASLNP -FGGSTPDAVPV ->YP_009333244.1 hypothetical protein [Beihai narna-like virus 24] -MALVTDWTTAVGRLHRSPPKCAGDSALGRTGDPKDEPLGGTTGGGPPGPCGPDLLPTPPE -GRHWGLRGDHGSLRNREASQVLLEHLTYSTGDLPGTLEKEAGGKRVPVQDEQELVREAHC -EAVLGIVTEVRPAPHERDPALPGGDGPHLKLLARVVGPHVPVRDVPHHRCPRPGWIDQIL -PGSGPQEGGDPPPEPLRESSTLWVPPHGRQPVPAQRGRRVDRKTAAPGPTMDARMEDSQD -PPHRPGVARLSTGCLHAVRHSDPYGKGLPVLREVERPNQATEGHKGKMVNPCAPPGVPRG -LLHHPGRQEVREGSLPWPATIYSSSVIVPHLHAEAECLLREHEVRPRLVVLASGELPPTG -LHDALVPLDHRSGPNGREIVPTYRRAGGCGGKTGQGDVTRNSRRPGPLGPVQVYQAEDSP -GRRQPHHNPPLVHELGPVGHLDREGADQVGPNLVQMRRGASSGTAKDAPDDGLAEVVREE -VGGRREVRGHRKVLEEPHAGPTDQTTHRPCMVTVQDGLCPLVPPQSLEEPNAEEMSKIHQ -GPRALGDYPDLSAAFRQRHRIDCYGPGELRKLHQSLVTHQALTADDVDIAVCKALHCVEP -LPQGLPFWLRRFADRVCGPSRDVYGAGSKPSLGPGHLFTGATRQPSGRNNRPREEAGRPP -ARPLFRGVQNGVRCGGWLGQLFTVLRECCVTLAGTCLGKGASNIRELERPAVPVDDRPQR -PSEVLGEATTPPARNGDRLHHPQAQDSRPALEGGECVIRSREGGCPNQPPRQPGDPPRRL -SSGMFREVKPAELVGREASEHCTECPLQPDAIGRAGQLQAREEVREAFPLGIRGRLPGTR -AGTRPLRSVRHRGFGEKTPEAIGPARPNRSCVLQG ->YP_009333243.1 putative capsid protein [Beihai charybdis crab virus 1] -MPYTNWSVEHIVLQAAPWYDVEMLLAALINIRAKNFSIQEARNQALAELNGLPTAAPFSR -DRRFPEEAVYVTEAFGDWARQFAQLKAACGYKNRAAEIGGHVPGEHERRAENDASQSFWN -ATTKMLEELTRSNTVYSREKFERWGHLTWQAPNP ->YP_009333240.1 hypothetical protein [Beihai mantis shrimp virus 2] -MSSTNAYVLNLRAKDAKLEIVKDFTMVPGRDPLEHRCKATICVKGKHFEVVQSAQSKKAA -YEKAAGELLQLWPYVEEVLNEQLNEEELTVLDINVVKTNKMFSVYRGSTQKNFKKKSDLL -TYLENIL ->YP_009333239.1 hypothetical protein [Beihai mantis shrimp virus 2] -MEATDDQNIPTGVTAVNTTQSTLDTIYTNVNSNALQNDVTCKISRNSPSNQRDDGVEIVP -VTVRFQDAFSATTTVEAGTILRTYKLTPTALATAYEKAKPNFNGHEHWRLRWVNFSLVNA -EKFNTAGGAIKITTSTDAANTIPSSPAEIIKYAAQNPRTKHLSARADGVDTGFTQVFSEE -FKYTNDTAPRDINLSSYPDINIILSTPGSSPQFTFEVHVTMYLEFKNPLHKSQRITAYKP -LNLKTTSADFFFAPDPKDSRVEVTFTGDTTPTIGTYNLNTAMQVLFNLEDNSPSVDPTEE -GILVNQVFSVGTYVPASKKIIFPLSDKEVVLTDGVDHLTAPFEATFEGFLSYETSGKVAT -IPPGVLNITQDINTALRKLKDLDLPVSEKKANQNYLVSLYNKSRRH ->YP_009333238.1 hypothetical protein [Beihai mantis shrimp virus 2] -MPNLRKNLLKKLSNMQHKFIDDFSLADLILKYNECMKGWKISPQTILAVGVEDIAFEQKF -CKAVHPGARFVATSAKRNLHVCKVDPPEAVKVFKADIVVSLNPLADSDQLTDVINNTRKY -LLLICIDFEHPFPEVFSEPINDYLHLKMIAKHINVN ->YP_009333237.1 hypothetical protein [Beihai mantis shrimp virus 2] -MDVIHTHSAKPVRAVTFNNSQATPVRVLNMPDITTLQSEIDYLKRQILALQGDFSQISSI -NEILAQGLATQKAFLQRLSNLAATARNNSFTNTNQINQASAIPPGDSTLGKPSAISLVYF -LLHSMGSSDIKLSSTEIKNFLSQDLNLCKFTNEIFSFGPEITPKAIEFTVPEPTLEEIYL -VSIEPGKDDQSVVLYKFNIISNTWETEVLGTCAIDFSNFSVTNTQGILQCAYGQFVATST -SNVDLYSQNALLNYTFVRALESYTLLLEELIESALSSVSQTKLNPIVEEALRIEWLLLQF -QESLLSMQANGIFYVESTSDYVSEINFSDVTKPLSTVSCQSSINYSVTPEGYVGSVSAEP -AFEPEQQEGYSDIKNLDDFLLTVTTHSELEFHEYIVHEDHDVLEDYRKMTVSQEKPNLHH -YVDIKISGSSFPGMEVDVQPCTVDRDGDISQVSFDTTYNADGSYQAVASVWVGARGLFYK -YEVDGKTSQSGRYKENKVLSENEQVEIVTTASLEGDKIDCAQKIHGYALEFNSFNSVVKI -SGFKVRYPKPPVFSFVPSFDKQQTELMAITFKNTYESIKLTAEYEQLSARLEALGKICSP -TLLGAFGGLFGTASNFAKSVKAACTLQKLASGFYGLEELVQGRYIGAILGFAGASTASLT -NKLVRKGSFENKDDLIGHVAKTIRFSKYLPELNKRKKNYSNSPFETMSTSDNFIAKMGAP -INQLPGSEFITNAFLNVTPEDKSYKRIMKIKEDGYFPEHQSIFTNTMFTVGPDVDTEELF -VMQCRYGISDGAVNRIHSFNTGGSSAKTVAGPGSSFLIKKDGETSVPYLINGKIEKMALL -AMDGYDPSLFTEEMSDTDLESIDNRTNMEIALSIDKKMKFYNSRVIESSPFQSTPEANRD -ALASLMSGSLFKDFNYSIPNRTCQPFADALYNNMLKSEQAPILGGFDFNSRHRLTLEPMS -YADLDDLMLQTYRGILSFDIFVINNI ->YP_009333234.1 hypothetical protein [Beihai mantis shrimp virus 1] -METPAQQIHRLVQQQVIAEHSYQFTRCAEGFMCWTKVLDEEFSSVAATKQQAKHAVCKAI -LSTLTAEQKDHKKKCDHVAKFLYDGGEMHLDLFPASDGKKIRLTTTLSKINPYTGTDMTY -EYKDEVNVHAIRYKADLHAGRVLNCSCLDG ->YP_009333233.1 hypothetical protein [Beihai mantis shrimp virus 1] -MTMEGLNSYQLAFAKNEVKPIRVSFGGVHDPHCDEIIPTMTSLQCKLTCEDSTWYRYGQS -PEEAHMNSVVALYKYYQRFYPRDVILAAYPIEHRCDLTSESMYHGLFLWKRSE ->YP_009333232.1 hypothetical protein [Beihai mantis shrimp virus 1] -MAFTELGWLTVKKLTQAPRGLVVLLTTSLVVQQLMFLAVLNFNSLVMNQTAIIVENHKSL -MEDLTSTQEEIASGITKLDGNVMEFQLEYTNHWPKLDPDEKDKEYVSREDFDEFKKNYAK -RWLAEPEGEYVTSIKFVEKLDEVIALLKVPLVEKLNAVVETMEFPACQTVSWDGVLCEND -PFGIGPINCDEVVYEGTTCEKPVFIGI ->YP_009333230.1 hypothetical protein [Beihai mantis shrimp virus 1] -MDNDAINTETSAADDSSPQPAVPGVINQSSVDNVKPPDPIGFAAGATSRYQGMQVGGVDQ -IHDVFEVDLYQQNSFDIREVLSNKSSFFRLYEAYRVLEMEVIVQLATNWLKAAGTMVVGY -IQDPLRGEIPVISDGGPFVNNRYQNLQVERVGDSFVINLPVEGSTYFTGPNSDRFTSPGR -FFITNLTPPHKPQTGQGVPWASYQFNRRAAITINAKLAGYCKTHRQVNNTVDADYNAGRL -DVAFTVDEAAPDNTLVLKNAVQDTELPDNVQGTLYLYDAQELQITATTNDDAPKNVKASF -YAGVYKWDGREARLSVDATQFLRTGIDAKNATYRLTNEVTLGHGILVYVE ->YP_009333228.1 hypothetical protein [Beihai barnacle virus 3] -MLSRCTYVRGPHPFGYRVEVLCFGNCDAGDLLLALRKGGMRGIEPGDVTLVSAHIGVIGR -PNLVVFGRELQLDQTVLAPTTQNVAVSYREHSTPLLSSFDPNEGIAAASSRNGNQSVAII -TVEVDRWLFVRGLHEIQPDLGLPFWWAQGSHVIVAIEDDRSIPARVVAGKCKEVYDAQQD -DEELDWESFLDGLRS ->YP_009333227.1 hypothetical protein [Beihai barnacle virus 3] -MPRFGRRRFAPRRGRARGGPARPQNVTVNIKLSQTDANADGSNPAVYVSLSSQQIIDHGP -VLNGIKPAFARIRGMTLEVTSGAAATTEGIGAYMAWRGVMPDTTTMDLGATILEAATRRS -APGISARHTWRNFPTRIRLRTQGAERTDIQGNVSHHDVFGVGVTYPKGAPPPLAILKATF -QYGGADMVSAEVVEGTGEIEYDHEYPAEKLTRDFLDQANEKLGIPLGYTSDSGAICFVRM -LVVYLCDSKELYAVNSGALTSFKLPYESFVFPARSSSNEGKLYSRINAWCQTPITPPGAA -PGEVYPAHADDVNLVGDFEHDFGIHDMYVRSVMITPMTRTAGEVLTMAASGAAAVATYPV -IPASSS ->YP_009333226.1 hypothetical protein [Beihai barnacle virus 3] -MSCRGHVSASEDVGDFASAAVSGCLALLAGKIHSGPCSKFDFKYGGHTFGVQVASRAPRW -SGLHLTIDGKPAPTTVRNTLLKILMKMEPSPDEYAARIDDQAENLNDPTVSAALDRSRAA -QGMSVGTLSVIKDGLYDSDAKLLVQIRDALGGGNTGGFQDVVDAVNAMGDKIVNAIKDMR -GRDSTGYPIGTLWSSSHQDTPANTFGLISKLDQILNAITGSASGAASFAVPLTCDAPKVD -GSTATSGMMTYRFYIKQADLDRINQAIAALEKAHHLADDERYTVSLSGMSSSYFNNQSPA -QSENCFLVLPAGAKTVGMDTDYQIIQRSYHTEVGPDQLMYHECVWGTATWRLVHFLAHSA -TAMLIVSAQKLDHLANHRA ->YP_009333225.1 hypothetical protein [Beihai barnacle virus 3] -MFRASLLQVSPPLYEWPVADAEVRDSTASIDSGINQPVLGVKAILGKIKDLTELIEGEIV -DLAVVVDSIEATTLEIAASVDVIAGEITEIAIATTDCAVALDSIAVTVDLHNVVPWPCVG -I ->YP_009333224.1 replicase [Beihai barnacle virus 3] -MGSVAKVQNAAYLAAFQANRRANEENVVKLRNALNDEQFQQVQHTALQLGITTQPGKGRS -PHPVVTAFTDMAVHSAMQTIGKTNYKNIGPSAAEIIRADNIHCCTIRGDARQEVRDNRLS -FLYAEAVAKHNKPTPAHRRHLKEVSRYMSKLPNDDICTVGGHNCKVKASALFLNHSIYDI -TPDELASAFENSGASIAFATFTCPELLESGSLNGRQGEVVWSCKFQRRTRMQIEWAKMKA -VLTTEEKFSDNVSHEEWVTMTEPIKDPKARIYFGMPGVLGYGHSWNNYATWMHAPGVTCS -NGITLLIERQRFGAVVLMRIDRTSRGGILVGMPTTTKEMVMIPDLRWMMQIAGELPAFSE -VKYHRFPKAIWEQTLRQFMSLSPANRDYVQFTKFAVANVTSLYLGDRRALGWDKDDYSAF -TTPAVLVSVYFQVNLHAHGDQDLIKMAKDCEQAFREKQEKTRKEGAFAMGEMWRHVKDVF -MALSSPSYEAYEARVRAHASANEIGYIDKRARKNWDFCARMRGIKNIVATKSSRLQDNFR -TLMYRGDSIFMSPQMRPANGELMVMEVAETMAAPHVTGVQLRVDGEIHTKNPEEPSTVGD -LLGRAFDEYREIVLPPELSNARKELNKAQAENESSRVQMFTAVIDACKIMQEATFDPNWE -PVVEMVQGGPGCAKSTYIREQVKDGDTIIVATAAAKVEMMSKLQRHRRDVRVAVNTPHSS -VVLADKATPGGTVYIDEYTLQPMGLNIAACQLRGATKIVLVGDYMQIGYVDRELDDQQIE -TRWRWKELVGRVPEHTLRENYRLPHDHVLALNKRYGYNMVPKSMKQGESSVAYVRDISEV -PPSPGYKTVTFRQRDKNSLQHMRHDVNTCHEFQGSTAAFVHLVLRSSDCNRLIEDSGYVV -VGTSRHTDALRTTFICDDGVEAGQFPGNMQQMVQLVDLAVQYNGAPVKPTAPRALATQRL -CTGRIMEQNKEIFQVDQMLDTWQEEDPLALRTMTAAHAGTQMPEPAVSVRVFPGRFKKGI -VTPSTMSYSALSAIRRVAHQGKENASFMLRTFVERNGAARKIMTRDNADVAARLLVDDIE -DKLFPEKRSAKGKPEPRITPATSEELQQAFWDTVRNIQKRGKVKAYADGPYLYPTIAEMS -CFVKEQTKCKISQWRPDASDSFEVLQNAALTYLKEKPDGSAGLTKEKAGQGVVAWSKIWN -IVSAPYAKVMQKRLCDDTHPWFMVASGYSEEDMARKMSQSVSNHGCFFGTKGDDAVMILL -KDGEYHVHSCDQTQFDSRQSTLHFSLQDEFLRRLGMPAFIIDSIWKMRERHSVRATDGTI -LMKNLQFGRDSGEPFTLDGNSLVTIAINIRALQPTVQECELVDINPTSESFEAAQDLFDM -VIKHEFTHNIGEFIGYIFVAEANIPKFVPNIVKRVTKELGRAYKPQDVKSQNKLVLQELR -EMGVHGHEEYFGRLAEVATATEDFLALLADESDVAITIEANLRYHKEYLSTSRHAAATAC -LRDMISFLNALADKKNIGWFSYELAKNFSEKPIHYVNIKEACQENEYYFLSPNEENELLN -DIINHHAHVDIYNEKGGAESPALATEVVHCNVTIDEAAMLECAAVEEDLDLFEEYKNICY -ARYLGRELPLCDFEGKWLQTRKGKQATRAGLNSHTSKRYRVTTEHVEGLPELVSFRAYEA -FDRIVTKLGVYFLGNRGQIENGGVIAARLVAAAEHWGQRGCVVYCKEREGWTRSYTAGGE -RGGVVFHDGKRWYIGKAKPRRVSAKPAAMTRQRPAPPEEEVTKIMGTPRHEPDPDFLLEM -FHLEEQLRTEEDRRSYHSDGSEERWGTVRDRQIDIDFRVGKVEGVTWMSAPASGTDQCFY -DAVNAVTMIPHEDLKCMLARVNPNESRVYKSATEKAPASAPEDLMHLGYRVVAQCSIPGN -EHVIEGGDGAHVYLNLVQLSPGCNHWLYGVYDVAPDVRAAPMRERMNEWEREQKYDENGT -YRGYKSKRGGAASKIEDCIAPIAGYIYKGGQNSVIHMIGEAPGYAAEALAMGNGVSTVHA -YSLPPESGGLHWRADLDAAVVTHDVDLMCMEKLPAARLATCDLQNASVRHFEKFSEITAE -CDYRVFKVMDVFDSTASRIECEGWKFVKPRRSNAMSAEVYAIDFDHPYAMNLSMAQGRLC -AAWDRDVVSVLVPHPDNVFNSVSAPEWCVREVVNLGIPVGSDEAISEEVSELWEIMMRSG -CVPRRPIAYDEGADPEENYILVPGKEIRGPLGVDWVREKSVRALDESMGEIELGEEACES -EFNASIGHHGELSDAMRKKLMTALDLPYQPGKEGT ->YP_009333219.1 hypothetical protein [Beihai barnacle virus 2] -MDHPFQLFVFLAVLLFVFYHPDVPQTSTVPRRPERPLQDIISAPSRCHFNPRFLSDARAF -VQKHTTAVTEERPVDCQLVNGTRLHTLGRMEGISYPLYWAGDRWVVVDLRSPRNFALRSR -SLTPLSRAQFPAVCLFDDGAHYLFGARGCGVSFVCLARPLARLGHCSSVDL ->YP_009333217.1 hypothetical protein [Beihai barnacle virus 2] -MCHAATLCCLFAFGFVFGALAWPFGGQHHAPGCDTRPSKLVSDPISLMGYRNLSDFSFLQ -RLRDSCGTHPFPSLFLAPITFAHDCFKVFSHYFFTGGDECPYDHRCYRPRRLELSASWFT -SDTVCDANVPDESSFLEFQYCGLPNATWSQGYYRFSGSFVSFFPAGCFLPECGVVDLKTG -VGIPDVELAFPVVVKADTPAFARVLYTERLVRPAHFLSKDGAHVCFAYKSTSGCLLQSYS -VSALREHFLLSPLSSPRTFLGPGWSFSEGKFFLHDALEARRDAFCVHVKSSSRSLFAQFT -QGIVSVLIYALRPVVDVIFDIAGSLLRTLVNSLVTDDALFHIAQFSLLHAALYYFTTNLY -FHAILALLFVVRSLTSQL ->YP_009333213.1 putative capsid [Beihai astro-like virus] -MEKTPKEQRTPRVKKQQPQKKPAGQQQPRKPAQQKRRSPMPQKTHDGEIYSSSSLVSIIH -GNQDDFTTPLAISLNPLVVAEEAKDPILNMKCMSYNCFKVLSAKLELKAVCGPNAAVGTT -ATVGSWQNVDDPTGPQTPNTALKMDRAVTVGIGMRACAPIKHSDEVKVVYTEATAAPRTW -NPGHAIVSLIGQTGTVYNQEQTPVWKRPLWHAFLHYTYHFTSPKDNRNRGSLAAGDLDDQ -KVSLHEIPDEPLVLEMPNSPAARKLICRGRKAQGRGRAGLWDFASGILQGLSGVLPPPWG -SLLNAGVALVRPKTATTREGDTIQLELFQTLSDVAQDQPVMGVEARTTQMDLINGHYTQM -TDPGANSVLEDSDGGGGVLPPTGGGIVWHDANPDDWSGDEQIIVNSYVNKYADNYFNEVY -PVYSSSDVNYNITVTKGPLAGNNYQFYHAMEFQKWKHQNTEVTAVWVRFQKMSNMDLQAK -GYQMYLPQFIEKFTNASSTSGEEKFVMLAKDGGRVQLQSKSSPTVFTRFLNMNSSTPWSA -LFLGESRSIKIYRDGTSLAAGNWNPCQDVLPGNNLSNLQATTAAAGDEEEAEADKEDLLD -RIRYLERELESAETELMVSRGVGWDGSCPV ->YP_009333211.1 putative protease [Beihai astro-like virus] -MSGMLLKVLCSVMLLSLSMKTDAILVVGTCGQVNLPPVATAATAPLMHLCTTTNCSVNCD -VYRDATRYPAEENELESRRVRRHCLMGFRMNNTYGEYGQFTPPGLSAMTTYGNRFGLIAP -LNQTKWENMIIALGGLHNCPRDPTTAMNAAPTPEETSAYDAFHKTLDAIWAHYNRFFSEE -ARAKAHQQRLYPERLEHLWYVQLMMVLSAWWQTLVDWHIVSAAVMSTFVLTKIYGQSTTT -PWYRIIALIPAMFTKGGLGLALLFTPFVNDFNGVLLPMLYLLSHYIYLTGGCILIAITLN -VGYLMGRWIVPEEKEQDGATTNVDFMVTTVHTARSLALVIVACVCGLLATLTPGFPFVLT -VMVAISVALILPDPPHETTAHVMVGNGPRARRVPVKVYTQPGSRNNRFWYQLQANKRVQR -LPETAFGATLTVSQGDAISTGFICRGMVFTIAHGTKPTNEGMEVKGPNKIVFKPGAYVGK -IKLSEDQAVYAFKLPTEASRLKSLPVATEIKEGWHTVIYRNEDCACAGEMFYGNWEDDEL -RGSYTNARGYSGSPIVNSDGKITAVHCGGIGEVGVSYRFTAQMIENMTKTDKPKKTEEQV -EDQALNPLKELALALERMTAQFLRLEAQVRDNEAKNTERLDEVENMVNQKKMFTDDEYEY -LTGRRGMSRDKMREIAKRRIAERDYDEQNDQAKKKPDVVVASPGALPAIQPTPYPAYPVV -TGKAPKGKRLHEWKVGMVYRPNTRDVHVGEKCCNEVVAGYENEPDTQTSHIVLTLCTVKL -VGAGDVAYCPACEPDQLRFENQRGHGPLKQTKCGQKTTSMPGHVMHCKATPKCTESDCIN -APICTDHCPHYNCEKVRREDGIATECPGAKCKNDYCKKKGFLKG ->YP_009333207.1 hypothetical protein [Beihai anemone virus 1] -MIPYVIGDPVKSFVLVPGTGRNKNFSSDILDLARVSTVAGAIPVIRPTKRMKPSQMPREP -ETIPHLRRKIESLENEKRELQKRLNMFHELFKDKRRIESFLKLLLDQSKT ->YP_009333206.1 hypothetical protein [Beihai anemone virus 1] -MMNTVRRINGRALSRSPPIIFDYPPPLPQRFKPPPAPKPKRSDVFTELMDGIKKITQQPD -VLALLLLALIIVYDISHLDRLASFLGRKEAVKPFADWISSNKTQIAGLIILFPALYKFPQ -RSRWIAFGVAFLTIFAMAPQNLLVYLFISAGILLYWQIPRPEFRLFLLIVAGVYFYILFV -ETVPDPVPVTPPNPVPVVERRKG ->YP_009333205.1 hypothetical protein [Beihai anemone virus 1] -MPFYMDFRLYSPLVYLVYLYVSLICSLSASSVAVAEPILTSSQALLLDYDYFNKLSSLLY -YRSELLIYPFKTIDFQCATTYEIGNLDFHECVLPFSCGNFVENQLIEGGYFSSDYLCYRY -AYEGDTRIRQFNNSLSTVKLGVFGKFLISTHHFPVIVESPRGELFLSDFRSLDLYARSDL -NSRFTASNFRPDCIRTNITQILFPVDPLGPVNIYQYLNHILNTRFTIEILPLYSELIYFP -LNKPDILTSVRFTGTFQIDCQTTIYTFSNVTIRYKKNVSNSDILDLEVVGQGGGKFPVRD -CHCVPVDSAHFLIEYGDPFAINHTLINVTEKCVVATYKRNDHSFWQIIVHDFISSLRGLI -YDFIDIVKFSLSDFVKQFRDFILQSFKTLIEYLFHLEREIKIIEFFIIFLVLINKFQHPL -SACIVAVIVWILTPFSTK ->YP_009333204.1 hypothetical protein [Beihai anemone virus 1] -MYLLYTLLSSFVVYFVNNSLTATDVYIYAFGESNTRTKFSYCFQDHPCQNLPRNCTHGHL -LDVHFGGESHCYNCLPQSSIQQFYHTSNLTSCKEESGLLHITARFNIEETCGYTFEYHCY -RVDAQTLYDAFLYGFSLVLTSCVLSILVCFSYMFFICFICCCR ->YP_009333203.1 hypothetical protein [Beihai anemone virus 1] -MSKFISDEEFFKKEFAARQDAVSILNLRFQHTNKKVDYDTNQNSMSGSFYCSAVTLNTEG -KKIKVEGVGNTKKQAKENAATLIIDSLRCAFTLDTVPRREHLDLDISTLSLSDLSFSLFQ -KQKFPVTIIPQGHFVVVRINNKDTLCTDQSELKKLLQKNVSTLYST ->YP_009333191.1 hypothetical protein 4 [Beihai barnacle virus 7] -MGQSVSKEIQERWNTQVQALMHMIRTTIRDTCDARRRETVETIKDEFRRSNVHIEQVYKI -LETAQTVPQPDTQLSARVENELFNQDVWNNAGLGTCEPPIKIYAVLSGVIVLLLALSIMF -SVLWRIEKAKKRFRRTSSFRPRSILKKPPSPDNVSLSSIRTLY ->YP_009333189.1 hypothetical protein 3 [Beihai barnacle virus 7] -MATTPHREFVLHLNFDVYGRNLPLITEREVVDLINSDLQTILNHKGVVKHHELEVFEVTP -ELASLYLHDRASYKLKAKIAVSKVTTAELPLINRKVAKSLEFQGAPIVVQGTLICGETTK -VARRFGLHCESPPEYTATPLPGPSNTRTEDDRL ->YP_009333190.1 putative glycoprotein [Beihai barnacle virus 7] -MLKLLLIVSVIGYVAGAGASSSESNYFDIHVCGGSSVPSPLPLPGIMCNIAPTATQQPLR -NGELDIITAMSSIFPVTVYRCHTVRKTSYCYKHFWGVPERMSWSSPVNDTLSSCQDRQVR -STSGSQFLSVVTPPYSCSWMREVSESTDSPLFEEDLAYYELLQDMIHIKGVGSFSTWANV -SWYPSSGGYYFLPPESQARIRAYRLHSTHSTLCEVYVDRVRCPYLAITVWLSDLKKTKII -NNERYWSVSEGVYISRNHKWDRLVETKKRTRRGAALQLQYVMDSLNMMTRAADRTAQSLR -CEIKRLRRLTALAVASLSPALAAEIELGRRVAGVELTPAGLIKYSCQKVFSWKLQSPASS -THTEVPIVYQLYSSSSPVIGWLNPSSLTVTVHVTTGPPTRYVRVNLTHTFDLFTKGWVSV -LPETVSLQAMHFASHGVISYLQDELELRAATSLSVINYMSRPTTQYRDEVPPSLTIYAQT -REVIPETIRSTGTWLGKLVPKWLAIPLEILGSAITLALVVFIIYKIVPILVAVTRRQSAP -RRNNAATEGTRERIRMLERR ->YP_009333188.1 hypothetical protein 2 [Beihai barnacle virus 7] -MTAPYSDTEVQSLLQRVDPNYQSPVNTLTIDNDGSPTLVSNLNPAATPFHPMMSSPVHPI -ISSGETTRSNSVFTDVSAGFGAPPLSAHEIAAAVLEALPSQVPNRQEHATSFANLYVNPS -VESYTRVIRDLVYDIRGTQSSERGRSRSRTVSRVPTVTVDQVETYLRTCAPNTRPEALKG -WAKKIHEASTPRMLASLITLEPSLRFVPALVGTKTVTDLRQRLIGYLRLFNKNFQEI ->YP_009333187.1 hypothetical protein 1 [Beihai barnacle virus 7] -MTTIKAFLSGQVEGLPASEQEPSNVECKDALTDRLKKVYNYRDDSHKALLAKAWRERNSD -KARLVSRKLLLAAPLFYSPPGSETWVRTTAVKPDNASDLIEDVLEDTLKTDATTTPLTTL -SQRVLKILDSSAGDSLVSQETILEYLPIYAIIPWRALVKSGGVTSSEWFSSATGAMTTAI -KAADDRLRQADETVKNDMKTWFAQVDPTELLTNHLAEIMACALAHSERVPPFIAASIQSV -WMYHGLGTYIFLREAARTLGLNSPELLRELCCPLLNNQIMALVKLMIDEQANNTPVFPYI -KIINTNFHSGVSTKRCIPLMYVLRQIICPISPKTKQGEGIWGKMCGVPSLDVRIPLAQAA -MRLRRKHILTVREGETSEVMQQILGEIRDTPLGYDDTSSEQEAVDPDDLGNPPA ->YP_009333184.1 putative glycoprotein [Beihai barnacle virus 8] -MCRFVVALSLAFSASEALVGYDCRTPGLSRPYLTTSPHECSELGHPLTRSTPEAGFVAQI -PVKGTTTGKMCMVQETSRRFYCSYERNLQHRLDATMFSGYTPSTVSITDCRIWWAKGSAK -GPWGERRIGNGETVIAIKDDLMTDDGWCKNWKETIEVKGWRITKAEVQVTSVYDESGLAQ -NYLSQEQDLILMAGPGQGTTAAGATIFWDTHDLVPCKLEIIREGEFNISTTASGTKWAVA -STAGSALRIGAPVTVCGQHLYSTSEPFTFYGNLRGENSESYSAAKVGRISTALNSRVNYA -LLALLSGDHSSQRSSVESLCRLEAEIRRIHLTDAGVTPAQLAYRTFGSPGKALHRAGEGF -RLASCESIDIKIAPQPICYDLIPVKRTNSSVLEFLDPTVMSLHSTAKKIECNDPSLPIIS -FGGASHELSPDLKLIPSPSHLPSSVRIANSTADVPLLRLYKPHVLMNTDLRVTMVEAAKQ -RSDFLANSWERVAQITQIGREASPGDSLLTTFRNGVLGHIGWTSAWLIILTISQVLMWWR -ARQTIRTPVYTHVSPSAPSPRSEFELLAEISRTRSPHE ->YP_009333183.1 hypothetical protein 2 [Beihai barnacle virus 8] -MDDLPEHLKELKVLADHKTYLKKPPSTGEGTSSRPESSPEEMFSASELSPSGQATPPASL -RTPLSGSQETLKSHIPVRAGTTPPSSGQSLPPRKLSLHSAECQTVEPAHSAASALLAWSG -NYKKPDLVAAYEAWALNSTAPWKKISGMYKKFFEAFPSYSIKEPLSLKPPKGSDFSQEVW -NTILSFIWEDCLDNLIFCPREMKLHWYACIAPPAECNKSKDAHCRLAARHLHVLKMSLYP -SDATESDYLDRSPMTQLGESVMLLSSAGGLCRAAKAVSESTHQQVAMCKDAAAHQKIMLD -AATDKMTETSLYCSQIMDHKAQHLSRYLDLTMKKDDVDTGKFMAGSASVVTAPSERLSST -SRLSRTSSAKSSVSKATSAKRAQTGRATFPQVQKLS ->YP_009333182.1 hypothetical protein 1 [Beihai barnacle virus 8] -MANADPGDERLRALETFSSILHHLGIEAEESNRGFVQLGTVQHVSYLFGKQTEPAIVHTT -SDLLRQTNEFAPDEGFFLSGMLTRGGKSYTSLYVIQDKVLEDQGLLLNIQRRKELLDMSE -MTLKRMKQLGKSAKVVFQSDVINSIPIHLVKPELLPEIAADNRLSRASKVASALFSVFPW -TLDNASAVFHAQQYDKEASALELPPGVNAQSEEGAAQLDTALRNQFHQMVIAVGTLCLCY -GYSQDNLIAKQISRRLAAAYADANLAAPDWDTVANNIKEWAGSPREIHGEVVALIGRVLA -LHRDGSINPSLAAEDEALSAEDPRLRNLPPIFNAVRDQMLLVYTNYQSSTIRWALQVLPL -VEEAGFGTHHRLGAEIRHLKSIKGEIEGRKYVGLVSKIATRHQQQQFPMMSFIGCMYHSM -HLETEDQKAAFRDFNLTGISQKVADVQSKPVCDAVLKMLPAPHIEAKASLMKGMSALDVE -RVLSASPEDEVKLLKERLRGVDPPCDWAIHDAKEQAAEAKKKFYQAAALELNTRWEKAHA -TMMDRITMEANAETRQRLAQGIQTLRAELLRLTTAEEMSGSDLMKQLQITYRADLEEHWK -AGMAVIQKLREL ->YP_009333177.1 hypothetical protein [Beihai barnacle virus 9] -MAGRPNVEVRDALLQLINEEGGGILQAAAAGVPVPAAPENRNPEVDAIIQSIQDHKLKSL -DEVLETTITCPAGAVFAAGIHFGPFPRMEYVGVSGDATKLKEETLIWTIRLATAYAHVRV -AIPGQTPEVAMGLALAYYVMRKGSSGMRGAYDTDEAEVKAQALNWLKANPDLPMGLGRVG -YEAPAGAVGGEEPAEVAGFVIDPQVAGNIFFLMALLSTHGQNITTDRIEKFLKAANSPLE -RVTAQITPDDIRKCWNGLDAGGAVNQTSFIRLAGIVYKYVKHMPTFSMGVPQSQLRGLTA -FQMVARLHVEYAGADLREFWTICGLAELEAMREVFEQMGEKTAFMAIFGSLTVAASDRLK -VSARMALEFLKVKDDLPRIDRYAGRWAKARMSPEMSAWVQKNRNVQKGGGQLQAYITVEQ -SAELAVAMSQSLQTVNFSGEQAR ->YP_009333176.1 putative glycoprotein [Beihai barnacle virus 9] -MWLLQGVLLALMASLYPAEAIVGCDCNRSLKTEMYSLFRSEPCQRNEAPVVEKRMAAFVE -KGDSLVIKGYRCSGLVTKTSYLCDTLSYNYLTRAASTSPLVLTVQDCIMAATRGMWTIQI -GNHATGVKVSVGSSVGFQASSTGTFPDERTGSCTHRTNYEIHSGTIEIEEIEVKALPDMS -QVISGGHIMPYSAEMGLSGTSDGTYVWTEQPLPCDRRMISLLHEGEVEILSFKSMTFVRS -SGETNSFQIHSTLGGPEPMCGGIARETQVSTVYLLVSFPDHRGLSRVTRPNMMSLMQGLS -SYIYNSLSASMEQDLAEVEYQFCLARRQDLQLRVLMLSLHPGLDVPLDPEIPCTFAAEAG -EAIMLATCARVEIRIAQNSTCFEDVPIALPDGSEAFMSLPSRKIIPESRKIDCGSRVPKI -LEENGVWYSPNSMVEPRPARALPVSREIPSWKTAALPKIDTSGIYRTADIKRLRAALEAP -GASEIRTLRRLDALAGVSIDRTTLKDHLDEVTDRVPDIISGWMLRKGYVVGGWFGFAVML -LGCFTVLVGLIRCALNLKLLGGKVPLSRAIFLATSEAYTAVRYVAPTRAPQHDSIPREVE -CQAADQENPDRLAQSSYLRLAESKI ->YP_009333155.1 hypothetical protein 1 [Beihai hermit crab virus 3] -MSLALLERIARLEAEVSRLKLQLDKMRKSERESTHHLRRSTRQVDRDLLTLAKQETLSEG -GSGGRGQILNVYEDLPTSHPKPQSSFGPGEGFSPPKGEIQPEPSCPDEEPKESPELVGVT -APPTVDIAPLPEPFDPVGDSFAALLAKFN ->YP_009333156.1 hypothetical protein 2 [Beihai hermit crab virus 3] -MSRYFRANHQAQKASNVAVAAGATGVVGTAFGWAQHQADAVSLKGSLSHLAQDYMDSVRP -ETDFRILQALAIHMAELWVKSKEFESPHLDAENLAYVHLAATLFCRWSAPGAVSLADYHR -LLSMAGGALTPAQKATIQARIATAMNASHDGNGAWVAATAVTKGKYRDVGGQGLHFAANT -MPHRIHRHLLEIAAGTAANPPAVVQANDIDQHLIADNTYSVNAQLFYHQCVHNIATAGAK -QRKMYACALMLFVSSFVRTGAPTNQWVRKRAAGLEEGMGLATGEIEIHSAAFETFRSLVG -IKDVTQAQTHSALSLFATHADTLGVPRIRWMVEQAAYTNMTIPVTLAQVLLQYDYIPYGL -LFRDVTQELAALVKATVAAYLNPYIGLHSVKFPSTKFATIATATVEICREIGMTTFDRYK -GVYSGCDQNKKDNIVAAFRSIRQDSSASNLVAEAMSAFMSGTVPTITVRRGVMNVDYSTA -AAAVALPANWDANFKNLCTNHRVPAGGIGTFEIRARDLYAFLKTAERANPNTNAAILNYY -TVLETCGVDLQTPPLDNAFSVASFRRADPAVGTPLHAYMAANGDRAGFE ->YP_009333154.1 putative glycoprotein [Beihai hermit crab virus 3] -MTMMIHSLLNLWLSLGMFQCGQRPFLPRDSHHTLALHLPLDPLFDTLTFYNRTLQRWGDW -VETSSGITEFPRLMASWRILSSQVDNHLHTLKFLSDLDLHASVSGDYSPGHSLNISHPRS -KRGLLDFVGDIQSKLWGTATTSQLDEVVGQIRGILKSSVQSGKHLQNVITLSNSRITSIE -GELMNLARSVEDLTSLAAASERMAEEQGIVFIAFFKKLVANSEIRDLESFCLTLHEISQM -SPGSPIGNLMIRLVADVLRNPHQTISYDALSTMTLSYLYIDHDDLIIGVHYASPSVSESD -FTLLHLQPLSVGVLGQALMIPDLPTHLIISSKTVIGHLDPWCTQKAHTSQQLETCPVLPL -PQNLSICINHLIQFEASNHCPLSLVSTPWPIQQTCTREWIIDSSRVSCPSIPHQKVSLIL -ASFNYTEVCTIDGRPIILPATQSGLHVRHVSPLVFLLHNTTYSESSSGFHPHVISHSLMN -LHSHLLEQTQNWTHLKGATDQEIQHYIELFSRDQSQSAEYLSNLTFRKYHVSLWDTLSPY -LLWALSIYSGGLSTLLCLFFMCRPARAFPTKTVSEIHHDNALIVADWLMIVLLGILIFLK -VRALIRKRQRVTLSSHSLVARGTVVEPGQMLVFLVIGVKSVGGQFCFIRVLIGGTFDANS -DLTPSDTFRTWNITFLPPNYLRGRWSPLITVHHTGIFSSYNRILPIQNPLTIPLPPSVLV -SERSVFSVAAIHTIHDLSD ->YP_009333149.1 hypothetical protein 1 [Beihai barnacle virus 15] -MAAAVGANADRDPVPDPSPPPSVAESVGLPTDEPLQGVGLAGVEVLAPPYPPPEFGEAPL -GPPGGELGVPPEAQGQGAEPMDPNAAHQHEVPAGERAADEGEVEGVGQADPREGDDPDAL -APEVATGPVPVGRWVTQRVEAGLLGIPGKPATAPVLQVPRYGLDGALRPFPLPAGVDTVA -QIEHKKLDAWATAPSLRPPKEMESPRFRIDRNGVSLRYDLICTSEVAGTSRTEVGRLHAV -SEAAVQFQVDRVSEGVVIGVDPGVAGLFSTTLTAEVARAMTALRAAYVNPPPNETFTPEA -RVSFRSMLRAESHPHRLVWRLAVMFAATRWAKLHGVPRLNLDAEDVPVGYLLSGPAAHMT -ALQACRHGGLAPVVYVCQSERREAAEDWALLRAAAAGNLVLRLPAAYEGDCQLPGVASYM -PSLGGVACYTTATQAVGDSARVVTEESVEGLARRWCGPINCWGLFCDYVSTVEMLWFGAT -RAASPIYGTAKVVLPLPVFSTWSHLALPFYSGSELDGSDPGANAFAPAAETFVRGATRQL -LLGLAVRQFAHLAAGPAAYLLAEGKKALSDMTAMFAQRGGTSPTMAHAVQVLSKLGVDGN -PGRVIMGSGSGLSAVRQLVWWLQLHRTAYQWEEAAIFLDRLPANATIHGILRPLKSLQLM -QPLEWYSVGAVEAGRAPEEVFSTLCVYGGVERVYVASSRYSLMQELFCPETYAGYRRGVP -SDWCHLRPGQYGTMVVQAMFRPLSDGSALSLYSGPKGAGTWKWYVAEPRELMEHLELQEE -ARQRRTMVAQRRMHGGGGVLRHDQDAGFPGPPPPGQTRLRPDAGDGGPHPAGGMSSSLAR -RTSTLWPRGQRQPLEVLQRRDGLPAPEPPPQPERTELRLPTHGGLESAYEVVATHARHLT -VDQDDGSLSWVRRVYTTYQAIGGAVLTPEAVGAMGSVREARDAVNMTRVVEGLEGVERSQ -RVAVARALHTMAAYMEGLAFNSSSREVWTRVQVQLANVLKALAHCSALTVPELVEDSRSF -TWAADAEGPLTTRQVKAGGTMTGKSNRVRAVLAGISVDQLVPRRVAEGPREYYHLPMDDL -YSSDEPGVRRGGRQTYSGPQTFLHRKDTQARIEESERALERDVRASVELAASAAVARGML -PADAAATAIAAPGVCGRTVTLPAAPSGGPSSQLSDSLDSGEAEETRVKVLAPLTPAAGFL -RASAHVALAGVPAQEVAEAIAAQAAREQELAEAGQAAMLNMAQQRTAPPGVVKAHHGQET -DGLVGTETQTVAELKEQTDDPVAVALQESDFGKRTSRTHTPRSTPPRRSRSCSVGRYPVG -SSPVRGVREVECHGGMGVEQRQGARCYPPPTGQVLMGDPRAASAPGSGARAKIPPRSATF -VPWGSVSPPSGGMQAPPSPPE ->YP_009333140.1 RNA-dependent RNA polymerase [Beihai narna-like virus 21] -MTTFTNWGGGDQGPSDAELALHELFAVPFRNSSQNNSASQAQVGEAKRPLYDALRQIPFL -EERGETVMLTYRIIGPGKGYKWFAKRARVVDRLNWLKSHAPWDKLSRDVRHKLSLCDHER -LDRLINCLLGIEDSWLLSSPEGWRSNLPFKEKIWKWAISLGVHGPSSQNLVKAWKSFTSH -VKAVASRSLEPYPKLVSSFPFQRKGTLCPLLLEQAGLCWLSEIVSDGLQTKDQGTRIMHL -VSTRGLPSPCISDIRAGLNDHGNVIAGPRPDFIEDPDRIRILFLMGKRLGRLAKSYKKEG -WTSSAHLSLSNSAAMESSRRKGGRAVSIGARYGPWANEVSTVDEYGHTIFGMPYNRTVGY -RRYQTMCRHVIDKGGEDLLETQELKEFCTDLSEFKHEDLMAGLDQYTGYQLLQFSFEEGI -RLGTIKAKPFIPLEDKGKFSFKLDRYPHVRASPIGEPGGKVRTITVAEDWLTTLLSPYGH -EMVANLRLVPAARAGLSRTYQAYEWIKRLGHYKEIDKVKDLYFLTSDLTQASEYLEHCYL -RPLLRGFVEGAEIDSPYMQLCIELLTYPRVLEGPKDYVFDLDRTCRGSLMGDPGTKSALM -LMMLASEEEAYLRYTGEISGKTFEQMLGRPDRPSPWRCFASAGDDHIAIGPIRYLRLIKS -VLGWNGAVVSQEKAFISKTTSFFTEELVLRTPEVKFYDERPLWERDYSSHLKVEALKVRL -FSPCSKVTEVRTETNPAFGKTRDLVQKLAWLDESWKDLQTVAVSRFYQRMNRFIDFRSPY -IYLPKWLGGLNFVPRPDEAESFSLIDLAETIPDAIRQAFGACLRNAGNFRLENILKSYSS -GTSFRGLNSKTLVEEQLRAIFEMVGDSKTESEMREILGISPEEWVRHSRKNRRMEAQRKG -FIEFGEALQLFERPTYFKEVLVSLPTYMREEPKKIEYDRLRRSMRTVLLSAGIPKHEWEE -LFPQEAAQLKAAQESWFARQAYMEANAFSLIDGSRSNALRALPKPSLEEDFKTRSFKQRE -ADLLCDILETFDMNPSLEEREANEKEFSNFVATWDGQIRFEPPRSDAIYIQRKRIAETLC -TLQTPLDEAGMWSKRIGRERH ->YP_009333138.1 putative accessory movemet protein [Bermuda grass latent virus] -MAPVVVVNNLPPPGTVAAREIKVLGARVVEVDLWNEQCQLPPRTLWVRRDPRAWGVDRDG -QVWLTRKSYFKSLLVPAVTPYSPSPSFQTCSIRQTVRLIPDVRNSSQGTPHCTPNTNGTC -WHSNGHQVVQRLHLETWF ->YP_009333135.1 putative movement protein 1 [Bermuda grass latent virus] -MSTPNYDPPTTDVQQVNTTKEPRAQRQSSRQQLAHSASRAVGQRTNSSQDTASANFVIVA -ERVEVTNNFNF ->YP_009333139.1 RNA-dependent RNA polymerase [Beihai narna-like virus 22] -MTNSCNNIPINMLLKEYNLQVGPCVSIFTKRVPIWWLKRSNIRFYHDKVPFIKNLQPDDR -VRYLLSSIWTPRDRPLSFAFRAKLKELSTKGSINTIESWWNTVQGCCLPIMVGTNLWTDQ -IPNWCNRITKWAFESCSRNYSLFQSHIKAERKLMRQYFMETGISTLEGYKSSRREVVTYV -SVSQEVLRNLSTVREREVMIGLLTQTRATGLADQRMINASLEKFLSVVSHPCAHITLNQK -VMDSMVPRISGYIMGYTKLSSGPSAVFSHPRTRGGQTAAIAELSQRYITYSYDLHTLEKT -TVERTRIDNARKVLDYCIHRAIHRRTEVLKVRVSTVVEPGKARVITVGTLEYVQILSVIA -HIFKESANSQRTRSGMKASRHLWNFLWKDLDPRNSIWETISGEGTIYGLSTDLETATDYG -NMSMSGQIWDLLIQAATRVPGVPIKLLHLGKELYQSDRPLFSAGKLIATKKRGWLMGDPM -TKILFTLIQEVISRSVGRTYRIACSIVGDDLVVLSRERSGLKEYLRLLETLDLKISWKDT -CISDQYIYYCEELARIPQSAGVSLRSLARKNKDYIGYIDVPKLRTLIPTRGESDAFSNTN -LGRFSLQGKSSEYTSRVNTGYYPVNERALLLQCMFIAEDTSCIHPHIPIEMGGGGSLVND -PFYVQRSISLCKYQREITYRIRSILSHNGWGFRFVHGDRPDRVTHKYHRFGKIIQDPRVF -PEGCIIKPRNKAQSELLASVRSSKIIPPVDAFMRLQRMYYFRGLFSGTGEVPDPDEYLKS -LEIPDGITKPSIGYTKLNPLTLDEVSTFLSLWVKRGLAFRDDIPFWINREKLDINHPLVI -ELFKKPDMPWTTEDLIEESEKLSLRLAENPWEIPDTLTPALSLVLDQDAILINILERKIK -KITNIGRLVVVTLDVRLLIRMNRILRKRGKSLDRIILIDPFLNLLGMAPDFNPDIYLEDQ -GGITYVEDMYFQDGMPVPEVEEHMFSDPVYFKLCKPDLPIYIAASVIHTKRFPSPKYRAC -GANLLMS ->YP_009330282.1 putative nucleoprotein [Hubei diptera virus 5] -MYRFVDGIKEYLWSIVLNTTWVSAGLIKDYQISWILDQLKDKELTTELLHIDCSSVQVFA -LFLYDVCLQVAKVYQLDTELIRYPDDESADYSLARLLTYFYTHLHPILTVGLEVEDSTST -TGLVVQEDKGTLTLFTGPSTSSRIPVRTSASKPQQMSREMLGLTDWLRPEVATQAVTSEV -MAAYETMEKSLCESGLTDEAIKGTADYFAYVGFNANIIRAALVSCEADERKRSIEICTLI -VTVLSRGTSIAATGKEKMNDTAKAMFATLCSKYRIKRTLTAKRHLGPNDVTLSRVVAAHP -EIAYKALLCRDLERPIRVQTMINTGFVDFKAGLRGSYVFSVVPTNQKGIKHSGAAAAALT -YMAMETVLLRDKNAPAITLEEALTNCATFARATLNSSRYDDDTKKRWFDKMDVQEETAKA -WIRRFSAKFPEGLDLLASLGFPTSVA ->YP_009330270.1 hypothetical protein 1 [Hubei tetragnatha maxillosa virus 6] -MSSNKTFVCPRDSRKFKTRAALQQHMAASHPQGRPSPAPKAPRRQGNNTRVPIVVPGSNG -AFVRFRNKEFLKELSFPTKGTTLHVGVHFNIAGDAPILKKMSSIYDSYRIHGVKYHFTTS -VSKTTSGLVAMAVDPGVTKYPGDLKATLSANPHVTGPIHSDRLSITVPTVWCNPMLLREV -GSSNATPFQLIASVKMSADQPSNTIVGYIEIEYDIELSGLTPXAEQAGGVVAEQHNFTEG -AAARIEYRSQAKEPNYYASNLLTNMPTAQYLKGELDYDFTKSTTPPSVLGPVEEINSTGI -PQWNVDSYPHTNLTEDINVQTRIVGSMQMTGILFVNWVSFIDFLGLDVESTKGFILTASS -TVCDVIFNAQPKIVWNAAKSTCYASVGMWLKTKTEAPVFPVLTLELDFIGHRHSGENAIF -FVYHHVSMAFSKLKSLPWSMDYDVPRKPKYWFVRKYWRKFKTSAKRN ->YP_009330267.1 Cypovirus VP9 [Hubei lepidoptera virus 3] -MALSSLIFELRKPKFNEDNTKIAKQYDTVFILNIPCVGNFIRKVNDRIDQFSDISVSREQ -RKFMWCTKGLLLVSVSKGDILYRENDVEEYKKQDYGRMIKEATVSEDDSPIIRNGLKILK -LIKWDDGANEILGELEDGLDSAMNIINDARSDLAIIEKVMRSEKMYDTSRFCNEIRFILA -AKLINQKGMKLPLLKYLYEDVRMKELLIGGNANSFLLQTYISVKNQDGQIIKTIPTMIRK -GNDDEQMTVQLLGRVRFYGTDVSLPYIKKGILNVPMPVEHESMWGVKANVENVKERIEVD -LDREY ->YP_009330262.1 Cypovirus VP8 [Hubei lepidoptera virus 3] -MDDRYTQRSIAGFRIGRNRITAEEFINTLDGEEIENTHLIYRGIDVGASVVDRKATRIYS -VNVGNEIMSNVITPPTITDTDFVLIRVLNNIVTSETKPYMTQTGWINTMIPRGSNIIEEA -DYMPFVNHYVQLINAKRPNDVVDAMRVMENLAVMMNGVETHGVVGYDVILSDEFTELRTL -ARDIAAWNWIAFLVDLTRNTGEARYEFIKKYESELQCLMLICLINDSKSIKHIRGEGKAR -VKLSEFNHLRDGLRTKTFTYSRSEYQLMAKFWQLTFKLIDEVVVREENVAGRIRFLHSTE -NKEYNNKKLLQVSKPIRKIHEVLAMSTDDYSHTERLEYVRKLREISVGMHTMNEIDYKKN -EELYEKLRERISAEEDKLER ->YP_009330261.1 Cypovirus VP6 [Hubei lepidoptera virus 3] -MNRLIAYVEYTESTLKSLEKIKKDVMGLLTKDQLKEESYLEKKKKVQKEIIGGKSSLELL -VKPGNVFPLLKDVDSEPLSVLNDFKFIFANQEIDSEIVESTMMEGIMFDDRLDALLEIII -LGEKKKEIIGCIHEILIGGENVVVIFEGQNRAIKIRGNVGNEFVVLYFDGTTIFEIDARK -ELTEALFVGECVNGRRIKKVASDVVYNKGMISIHAYDYVTEKVRQWLITSDERSQSIKIC -NDILNEEEAEEDKEKRINLSARKVFPDERNVTHGIMKVYKDGSFDNTKIERNMPEFLSLP -ERYTGYNIVECGIVSVINKDGEEEKESTYIGIYGLIPHGTMMVTEVILIPTYEERVDEQG -LVKHVYYNEGDKLRCVERIGIANVETDVMELLRLLDEEIDSKIWRSISSCVQKMDEKGCI -MRNKNGKWFLYRAYEIFKNEKGDEIKIIVDVRDIDSVNVMNIICEKFKVYMPFIGDTYDD -GDVKGVLTNAFKFGVNVNIRRSCVPRKIMSKEGYKYVSNRSHCMPIFKCGMILQYEDMIL -LPCGRVTTARNKLLHMHGCTTVRVFDRPSMETTV ->YP_009330260.1 Cypovirus VP5 [Hubei lepidoptera virus 3] -MLTFQVTFKDVKTNDLKKINIHTAKPQKDYDKFHDKLAKKNRELTQNAKMSIMPRVVTDK -VTADSIIQKNKIETEVLYISMRAPRIDPDAIVCANELGLPILSRVGVEETMFLKDKRYQY -VSKEEVDVDFFDELTMAINRKIGKKSGSDEGTMIKMVKNDLKSASWIMENNVKLFVRTGL -NKKLDDLCVKPSDIGNVREIRAVDERWHGEDEGVTLTYSIYSNDFNELIRTQEIDKAIRD -VKEHIEDEHHGAKGRKVYIIYDGEDSNKMKVKKFINELSYEIDGQVVEYRVNEKNDVKYS -LIQTCRIAESELEDETMKDNVIFEGKRTELKEIILNEIEPLYRKAPESGREDIDKMKALL -NSERYVNDTDVIKVERIPLPSKKPEIAENVNKMRKDILVKIGKCDDAKDGAIIMSLEREL -GNNIWRGEETWQSRMQKRFPETSVFYAYSDRVGTGDGIKIDKAIKNKMSKPKSVYNKEDL -EKYEIIGIEPNYVESLEEELDRRNVDLELRKLISAYSSAKNRMILTEMIACENYNGNTFI -KFIEQMIAASVADKGITMFVEDESFKTMADKVINKIRNENMEIREVYQLFITEKYINARD -FLGESGDIASEVAEDKKDSQIFEEEGNDEYEEERNLVKEDNGNEELSDVRDKKEEKEESN -GGKVRYESVIVNEKNDDKNFVVIDVYEEGKKMLTDAFNRSFEELREAKTKEQIEIIKLKS -ETYVELFKIMNRGMGELEEIRKENVNKVERVEEFDKGDNTDNRVVEMKEKVVNALMLTHI -DFMEKVEMDERPIIMVARSEKYSGYETKMKGTIRYGETNDRKYNVFESNTIKKVIQDEMR -SGGNERATTEAVLNMLKISLHKRGYVVETNEEGDIIIRKY ->YP_009330129.1 hypothetical protein [Hubei sobemo-like virus 41] -MYIPVNKVLYVDVIELKIRDTWAAIESYLMDTPYCRTVESGFWFWKETHCELRWVPLMVT -ILLALVSYFGLKRIVRGCLKPRRRVTYEVDPLLTQQESLVIGKPLMPGGKQPKCQVTLAT -RRNDVRLLMGGGFRLLDYLVLPTHVMHAGQGKVYVLANDKELEIPKDAETIDLAADLLAV -KISQAEWSKIGVSQAKLGPMSGTPTVQVTSPCDQKFSIGYLKLAKSFGRVEYDSSTQPGF -SGAAYMNGNVCLGMHLHGGVVAGGYEGLYIYARLKHHLYMNGVEDEASKTSPGGSDWAPD -YHDINEFEELEQKIVDGKSERRAIVRLKSGHYHITRGELLDKINRLRDSNAWADQMEAED -AQAQLDNKEYIPEAAIHPGKGVEFSGEGQRPAGRAAPGQEQSRSHSDSKSSNGEPRRPRT -ERDRLLLELSSVSNLQLREYLTSVKNGRRQARAMSLQIPPQERNGNRSALNSTESRV ->YP_009330117.1 hypothetical protein 2 [Hubei sobemo-like virus 38] -MTPLLPHLQMNHDTIRLESNLRVYGTVPNPSMQDGPLHNLYCGLKQKTEWNVMLALGGLE -TFWLLRLIVYLVKMVGCVCLRLLRLFKFVILRLSIIMMNWQLWKYLFLCLLLYKLNLLKF -VPQMMQWFASQVVELYDQLPPVSSLLRTFLECINILEPQKKDIPVLHIWLETIQWPLCIC -SVVMLVICVCQPSTFKWLSNNLLCQQSLGTSLGFMARFWLRQMTSLLAQASLNLSYVTLV -IVIPKLIFVIKDYLLNLVKRNANSRSLMTGMKKMFSLAKLFVLVVLGLTRTVTNFNLAVI -IILLTMMVFSGFGGLLISVVVRLSWALLWALPLMVNPYIIMMMFVLMKMRILF ->YP_009330116.1 hypothetical protein 1 [Hubei sobemo-like virus 38] -MYFESLFKKTSGVTTTAFPPLKPADVQVLLWIWIIITLPPAVWFYARLVMRVFREVWARY -RGNDSLTTPFTNESRYHSFGKQPESLRYGSEPFDAGWPPSQLILWVKTENGMERNACAWR -LGDFLVTASHCLPSEDGRLCLSTPTSPIQVCDFKIKYNHDELAIVEIPVSVFTTLQVKSA -KVCSTDDAMVRITGCGALRSTTAGILTPTDILGMYKYSGTTKEGYSGAPYMVGDNTVAAM -HMFGGDAGNLCMSAKYIQMVVQQLVMPAKLRNKLRVYGPVLATSDDKLIGASFPESLLRN -VGDRYSKINIRDKGLSPESRKKKRKQQVADDWYEEDVQPGQVIRARRSRFDPDSYEFQLG -GHYYTVDDDGFQRLRRIANKRGGKVELGSVVGATPNGESLYNYDDVCFDEDEDSFLERSS -ETGFDISEKPIQSVEMSVLMEQILTLRKDFLILTESISSKSTARQSSTHSGKTTQQNSEV -SQSIQK ->YP_009330112.1 hypothetical protein 2 [Hubei myriapoda virus 8] -MTSFNATGLPTIRPGRSASVPPSTEGAHDIQKPAMFSTMPTAGTLLKPTPPYMHPDRPLT -KGDFDIAMKRLEAQIADLTQNVHQSIRLHQQAQGFGTSYAPPTAPSLYPDMTEQLHQGLD -RYL ->YP_009330111.1 hypothetical protein 1 [Hubei myriapoda virus 8] -MAGAPPFVGLVWQTVLDCFKDLPDEQKLWFGHVYGGGTGATDLIARESCLLAMICLKYRV -EVLRQELAIREEGGLAIKTVEVLMTENIDDAMCAPADMALHWITSALEHYCRTPFNVSGV -WNNADWFEYDHIIVAEAGAVPAHFGGLRENHGFPGVEGNIVRARLIRDLHSKADVKAILV -FLLREAAVVDRAERGMHKLFAHFFCALSQRGNATENWIAKRQEDFRNQLNDQTFVLNSLA -MQIIWKNWLSKIVFPELKFVNSLAYLEANQLKTGQRMMLTVEHSAYKGLAAYGFISSAFN -QLPAESFAWAALDECIPQNEMDAYEIARDIILEGSHAMYGTREVQNRLKATRYPSLAYFG -VQYATRVVRNIMANGYRGVGSNEKDVANRALIDEIITRLQQELGRRDYIAGIEQNAEGRN -LRYRMLALDNPNDGNDV ->YP_009330110.1 putative glycoprotein [Hubei myriapoda virus 8] -MLFLRLFLLLCLFQHCNNFLNPFSDAHITWIHDIAQNNTITLFEITDDDFALDQDWNFEQ -KRDIKNNIRVKRSLESQLLALPNPTTPEFISRANIGLFLRKVNRINVATSYWTQVWTITL -PPFMATVLQKINCTSLSPYGAEPLKTYLGQLCKLLQTTNENLITAEQKTLNNLLLTAKIL -DDLTQNKDNLFYQGIESADIFKMSKRGKRGIFNFIGDIQKQLFGTATEDDVKQIGELKLK -FDALSTHMQENFNKQTENLVKFAKLSDDRFIRLNSGLITLNEALNKTIHDFGVKFNEIAK -DVTAMSYYMIEITSNINKELVMINQFQQFSDYVRDWIRSIDIIIESISDASSGFMNANLV -KPEQILRILDLIEPQLQKQKMELVYDKSSIQAYYTQPLTSILISNEISIILKIPVAQSDT -IFDLWKTYIFDVPLVSDQPIFTRLSGIPDYIASSVTPGEPKIMELSSDDIISCSGNTILE -CPSGLKLPSFHSANCIRKILELDSIISINKSCDFVVLNKTDTRGDSFIQHLGKTTWLVHS -NDKHWTLKCPNSDNSPVKPQHSAVIALPCFCSLQVGSQIIPAGVDDKCNSFYKAEIWPTF -NAWIGLKFTALDTKGLLTGIMSQSSIKFDYQKLQSMIPSSEDIQNIGKAESDSFLSFQKD -IKKDQEEMNEKLNQRIKDIEAMGGFIPDGVSNWLQIAIGILALIALLLSLYTLCSMRRNY -QPIGFATMLLLLTMSVVCLADSSSLPKSGIHVHHISVDTQNNKENEIRNLDEKISTPKSL -LLAKEIAKDIVEIDAMKEHESSGVDLTHVDQTKLNWIFAFCILAFLLLLLIGIIAGILLY -GYCKLKKPLEKGVNKSIIELKNKTGMHLYNGTGIYCELLLSINYKLSPSPSLKVVLIHIT -DIAISARDLTPASTIIDYIGKRKVYERGEHLIFAVNWPFDGLFALGHNMLEFPREMSISK -KSFNKEISNFLKIVNDIEIVQVCRIFGRRGDEIVNYFEGQCNRDFSNRLYVDNPSAV ->YP_009330104.1 putative glycoprotein [Hubei myriapoda virus 7] -MIIVWTLLVSVLELYGLPTNPLYYSKLKRSPGPKISVDDLFTFSSADYKPKISVCNTEPS -IWAPYPMYRDCSEFRQDKDKGDNTFPVVISLSSQDLMALSKPGFSCLGKGRYSECKTTFW -GTQYRYQRLYDVTVGRDKCSGLVKTCEKDLLSVKQCQKGDLAESDCGWMQSKSKESELNI -IKMTNVSFDRITQKMISITPTTKECATTDGACSTLGGGTILWHPFTDPMIGCHRIEYAHT -PCDITTGQNFSFPWTLNCPEITLSITVETDSWMKACTKLWGKPTATSVQGTVVTFVQSNN -TNFNDLLVDIAKKIDSTVGSSVLDENEKIESPADSSSITQYATNILIEFGKDIIREQMVD -RCLLLNTKIATAYAMRQVNPKMAGIILLERFDMALSLGETAVGIHQCYSTKSYKILRPEH -NSTDPQSKFIPIQLMSGELGFLDPVFTQFTTTSERKTDRSPRLLNLNGAAAYDIDEDRLI -ANPWKQQGMNIKIPKLTLRPDLKSGMVVSNLYDSLNTRFKEITDQLSFLSETGSIMGQKE -LDQSGKSSTEESNWDRWIRSAGGWASIFGIAIGFVIPMIGVIFCCIKLKICFSIIGVFKS -LATNKGRNNDMGLQRMRSTDGRGDYIQSPTREPPKRYTNAARWAAGERV ->YP_009330103.1 hypothetical protein 4 [Hubei myriapoda virus 7] -MTYFSLIIVLLVLKEVGTDTVCPEHGFQWDCFNCPTTEEYNRCRGITTTIRTVSTEMSTP -QDRTDQPTTQSTTTNKEQQTSPTISPITQHNTTPNGQTTLGEQYISQNPTSSQLSSDTSP -EQTTDQDITNQNYPKTWNREETKDESDNIRLLDLYKDFNPSSEELRKDEKELFDFWVHND -YPLSRPKTDEMIEYDEQIVDIMGRSVGKDYTEVGVGNGEMGGKSLESNIEKVSRRNWQQD -NIKHIHKKSMKNKIISIIDMKEIKSRPKRLVDVNVRHFNRFPSITRDGIHNSNQPVFNVF -AHWTDTYCMCMNISGRQTFHRGRGIPVAIEGKLHITLFESSCACNPFGPVRMKGFGFNQP -QPVGEWEVTQLGNQCSCKLTGLVGYDGNIKWNSITDTGGRLILKAQGSMCNCKFV ->YP_009330102.1 hypothetical protein 3 [Hubei myriapoda virus 7] -MATSSIAETVPLSVHHPFSTDLRDLHKIGYQHGVIAINIKSRGVLESDSQWDYMKEVEDL -IWPFVKGYDMSICTWMMVLERTFKTTYGPNYINDGRCVEQYVDFIGTCIVPLRVIGKTTI -KVKNETKHGTYHLGRCGYMFVFGTIGVGDLASEEIGLLISERNAIEVVNFLNPTYEQKCI -EERELIINNKEQTKKATKGIKDTLLAASAIVGDKLRGMVGRDSKSQQSRGLTEDEQTVTA -FIGERTE ->YP_009330101.1 hypothetical protein 2 [Hubei myriapoda virus 7] -MHQARLSAVTSKLRDLDLKVRSSEAEKASKDIETNPQPIPEGLIEKLNNPKGLTPITLLQ -SQNFPTKEAFDLHPPQVDHQSARKVIPTRQEEGIPIAMEAIDLDTKKSERERGTSQPSSG -IIDFIGTGGKVTVPMIGSNPTTSYPDQTEGRTLPRNRTAERRRQLMEEDKERTRAIMAAS -TIYDSSSAEYVPSPAIPHPALIEHTKSHEISIGTPEKISSASQGITAPEDLQESTKEMNT -QPSVDNDFENTVKEMAKLNIMQREKNRPVDQGSVQGQAIMKLITPMPTVQGDKQKGPKIP -TAKIPQVTQNPDRPIGYTVLDEKIITLSDGRKMLVNTHIFNTTNALVEMYNELIHHHPEL -TSWGPLIVKDLTFYDVKDYDYEARMKIYKSVLRQIYITLPFISRVEMRKIVTKYEIVYDV -TTGLLSIFDLEDRLKDHFDREQTNLPDDTSFTDKQLRETRHYTDTSNSDLQANSKSITEE -VDHQKQLQEDNGRLFEVTKKSEGVTDNSSIQKRGHGKVTGEFIPFYDEDTDDTFQHGLIE -DIDSQKQDKTNIEDLPPVTAGVKFKMVKTPKHTDNQNLINLDETIAYPINESYIDREPKW -DDDSETDEGREQIQRDAIQPIKFYNDGNEILPTPVPPPRSKNIGNASSGPPNYNKEEMTP -IPLGLLSDINHVKEYGSTLIKGISPMDSTYNYEVIAENLYRNMTKKLDIFLSHLGYYRTG -KDTTYTIINDLLGAVSSSLSVLGASNLFLRTEANLELMKILEKRLMVSVETITTKISEHS -EEMNKLPARLVNIYQTLEKESPTTLSNDTPTTDHSEFYRIDYLERMFKEDWIANLYSQEL -KIDMTTFFKVICRAVNQDEGYIEKFITSKNPSLYKAILNTRTGVKGVNQAYENVIEAMNA -SHIEESKKQ ->YP_009330100.1 hypothetical protein 1 [Hubei myriapoda virus 7] -MAESTGESLPKREPTRYEEALGKLDKTGPIEISSVSLNTVTTPWKGKPSFNALRFFHVPS -LAPIVTEDIFSAFIYKVYEGNFDTKMAKMICYLAHQAAPPQEGSLPLSRYSSSDELKMGE -LYTEQVINTGPGKKDETRPAISDSLKKLLEEVDASAEAEGPKTDWIEKAYKARQAFTGSI -LDYNIKNVPALIGLYMWIFVKIALKWKQDIELGKLIEIYTIKRSSITAGLKLGDMPEFPE -FSGTQLYKIGLLLNNNEAILKTIVYSVVNVYYGGVGLELQREQWKSLYGYATFTMLLNAQ -LEGFLLLNLLGQVGITLDLPIIELITNLKTPMFLNSIEAIIDLCENETVDTSSGGVQWAQ -ANTEDNKAQTELPKQNKLWVFSRFFDNTFFSKFSTSLNKDLIYVLKNVMIDDNTNSTMSD -LKIPDPTPGYKVHLDWIVATLKGHIMERIILINQKVPTGKFVQEASANQEAQKFSHSMTM -STPFPSMKVNQPRRQHPPTSDDELTDAFFGKKD ->YP_009330097.1 hypothetical protein 2 [Hubei sobemo-like virus 13] -MLVVLRYIYQKGMLKVASMLQKSSRNSKLVYGSIERKIRIGPSLGMVGAWERLSLQLPII -LLKMTHYVFAVLRAFWTWNLSGFVIWVVTYLQLISLTESGLSYRSRLPGFQSWLSLILRL -YMWAVQEWHLVACLSHTLLILTLFMRDPLNPVFQAHLCIQVILYMACMWVQALAIWPWIL -IGSLPLLFATRVPRSLFSRSFLLDTNVQERTLNSMVLHLVNLGSCIMANIAFLMTRIYQN -TYGMCWNMLLRQLNIMMRGSRTTHFMIDQEDPDTDQDLRLMIQPVSE ->YP_009330094.1 hypothetical protein 2 [Hubei sobemo-like virus 37] -MVRITGCEAFKSTTAGILVPTDVLGIYRYSGTTKQGYSGAPYLVGDNSVAAMHLFGGDSG -NLCVSARYIQMVIQQLVIPARLKDKLKVYGPVLATTNPKMFGDASYESILPRVRSYLSRF -PFVIPEGKGKNKKRRRMVQDDWYEEDLSQTKEIRARRSRIDPQNYEFQLGEHYYTVDDAS -FSRLKKLARNKSIPVYLGDVRVDGRGECVFNEDDDESSFLEGSQNGDLNTWEECNHYTVI -QEVLREIRTLRTDFLSCQNSKPLNSISHRHSVPREKMELRN ->YP_009330093.1 hypothetical protein 1 [Hubei sobemo-like virus 37] -MVLNVMLVLGALETILLQHHMLYPVLMVGYMFQQLIILLKYVIIGLYIIMMKFLSWKFLL -VFLLLYKFDLLKLVPPMIRWFVLPDVKLSNPPLPEYSYPQMYLGFIGIVEQLSRGILELP -IWSVIIQLLPCIFLVAILVICVYLLVTYKWLSSSSLSLLDLKTNLKSMVLYWLLRILRCL -VMLVMNLFFRGFVLIYQGSRLLFLKAKAKIKREDVWYRMIGMKKIYLKLKKYVLAVLVLI -LKITSSNLVNIIILLMMLHLVVSKSWLVINLFQFILVMLGLMVEVSAFLMKMMMNLLF ->YP_009330091.1 hypothetical protein 1 [Hubei sobemo-like virus 45] -MPFFEELVCFGGHQLWNQPTTVWGRLFDCSAILGGSPGPFRVAAYCGAAGALAAGAYVGF -PKLVNSVRRSFGWRPPMKMDVSPASVTNHGRLAESRFAGSAETAMSMAVGQVAVGLYMEG -SFRVSGSGFRVDVGDLETLIVPAHVWEEVVARSGDRVVVRGKKADVELLDSEVRKHEIHT -DVFLVVMPPNAFSKAGVGRSTIVPIVGKLSAKVAGPHGMGTFGTLSLGERAAFGTTWYHG -TTLPGYSGSPYTVSGNIAAMHLRGAEVQHGPNIGVSAQMLYVTAKHVLNQRLEETYEWLT -EMAQRKADIEYDQSWRSQDTVRVKVKGQFAIVDKDAMNSAYGDDWHNQVRYRDYTEDSKR -NRHSALTQESSGNAARPGVLPSPVGPQGLVAYAGPSCQNTGSVSCEELCMGLTDAELKRL -SSVANRYYSQRLNALKITSGQGQTPTI ->YP_009330072.1 hypothetical protein 1 [Hubei sobemo-like virus 24] -MTVEDFDEDRVDSWAYADQEMDYDRVLDFGDDSADEEYRFDDRDDDRDDRWSDDELDAYE -DKYERNREKRDRAEERWDYLNDDRDDYVREALGISRMTPAQKNRLKSLLDRPLVVKGQAP -GAPNVEHPPPTEELDLDEVVGNLVERVEHLEAELANLKGKLIPKKGAPPVKKPKKCVHCS -EMLESIAAAAKHRREQHPEAYWAARNATENPGYKGESANGADFKVRVKTQAKPAFFRGGS -RKTSKPSSVSSSTTSGSSSGSPSPQETRKSTNGQNQSDDRFDKFLQAIIGLASEMKQK ->YP_009330064.1 hypothetical protein [Hubei narna-like virus 18] -MQWNWLRMICGIISGIVEKHTLPTITGKIFRGIRVKHVDRFSLWKICNMLPIVLKKIARI -SNVELPHSQLEVMRLVRGCITNTRSMLEIRVQLHRTPFIRDSAPAQFHRACYWDAEATGY -PKYVSNRTTDRTVRHLALPRWVIRRPLGVPSAHLPESATLIVQMDETLKGDIIQIGYRRN -DLLICDYKVIESGSCQGVSFLGLPGNLHGLFREGTTVFGDNRFITVYLKTNLFHDSKVLP -WRAEHTEEVISSDDIRATFVSRLMDTELVQKRECEETQRGSHLNTATRGHGNSVRIRHPN -GCKHPFKVVFNPFREVKRIENSSPECLCVLVGYVVRRVGQIRRYDCTVSVQRTADHILEL -LRAYILIEVHIRVRLEYGPQLSADDITRKESCGWELAEYTGLKPGFRNGENVHVISGDLF -VSIDSSFDDSIVKVLLMTIKVLAQYDGTLRHNSVGIVHKVSQSTTRASRNISASRSFRWM -EGHPLTQAVFTLVNIITSVAINLVCQTNIQLWSAGHNAPVFPERLVYNKFVPNREHSCYA -CKLCTRLCMTAEAAKPVSKCSWYIPRVIRLLSSYRPDTVVEGQRFNLLADILLTTGTTTG -SNKPPRKHLSGDQIREWSPVYGFYNDSHTLPDVGDKLSLSFIRQGRHWQLVPVTCPDNTG -DDRPRQDILR ->YP_009330058.1 hypothetical protein 3 [Hubei picorna-like virus 82] -MKMSQSNNKSNKGLAAKQEKIRVYGKTRNFKANKRIQIRFNYAYTDKLGFEKKTRFEDGY -QWFVENLAKNVYSVEGFLIIPSECIMVRYGSKNYQDVDGRLVATIFNGLRFVTNLDLDQV -VEMAALMSTVRMMTMKKHLFITDAISLFIHNFRRYARDLSVVNSNIDINDQVAYERLVEK -AKDFRVVIPYKIGDEIGDFGDHIDRIISSEKYGIINDFDMMPKFPPTFEEMENIITKKIS -EAKKEFDEQTTVQGILRVYEKKLSGFETERFVSSFETVYIQKMQPILNYRCPPNWQNELI -QMMVLNVIDGEHITYGISEIEVPITELQAFKRTIQNLGTLRYMDFCFVPELAEEVSDNEV -AVVEIPEYTSDIRKYVSLFKKEIEYQRSLQLMAQRFALNLKLENPDNMFFNAYKILWRAM -ERRELDVSNGRGPTAEVESNNINRVEKLHLHQDCRKLQNTTLDFEEPSTSKKEASQDLTD -KNVFRRALDAFTQPVKSLKSSFSNIEDKIGDATHKIKEVANKISVKLDDKGFATLAKGFS -EFDGSSFSATLGSIKMLANTFFDQALQWIYKQFGFNISIKLDVSDLVITYILWINTTSSQ -VKLLILGYLAMQCGILDFVFQILKSIALKVKDYMTNEDTGFAEELEKEEKALQMKKQNVM -EDIEKKKDKITSIFEKAEEPDETLWEKVWSGLEHGMPIVLGLGVVGVAAAFAYDAVDKPG -KIGDKVVKVCRAMSFIGLGLGSISAIIKHGMGIIKVAFDYINHYIRGKEDTKIKKMETVV -NFLKKANYIPGVSNYVFATDTAAAVIFMRHYLEIPKIDKFIHEIEDATLRTTYGIRRREM -TQMYGAVKAALHVAIQGAEIMHIQFYSEPGAGKTDLSQNVIKDLAAKFTEVRDALDEKKG -LPKMPNLDDDTVYFGMECEKYKDLYYGQKYMIIDEMNFFQAEDQESIQFKLGLFSGKPTV -ANKAAIEDKGMLFNLNMVISNTNNPFPAPNDVISPEALQRRRILIEAKVKPEFLTDGHID -PQKIADAGANRNNSEHLSFTIIDPIKKPPQPVNSSYVDLDYHQLVRVLKVKVENHILTEE -SRLENRTGAYSHVRSHIESMINRVEQSTINGQDVRAIKKEILRLAAYLKNAKTVDFSDPA -VINKEFRPMNRLVAATIEQYNRFRRAYDFIAAPFTSETAHQHALGPCIDDCGFEDVNFEG -ASILINRCPKCHYEACRGFQLMLNASDLLNIYPHTSYPDAEPIIMTEDTILERGENAGCT -TLKQYQDKKGSPYVVLEKCDDFTPMGSINHIFDPTKFNIYKIKGKEQLVYEEEIPSDEDK -RDSLLAYMRYLETMDSMSEVKKAINRKRSRYNANLIRLTYMERLKQAASSTWNTIKNVVV -NFVSGCLGYLGQGLEIGITSMIAIVVIWGSLYGIGKLLSGSTDTAAYSKSIRTNTISRRL -EDTSLFVENQNNENVKYARRGQVIMIAMDPTRTHLIGWINGCCIQGNVFMVPNHFLKNLK -GTVHLLVLDPTKLTTSNNEGIYEMDVKVSDFKQIRGKDAALVALPKIRMMVSLKKKFITE -EDLGDSNKNFSTFRASYQGYRAPSDFDNITVADFRTRINKNLYASEVMDSNPDPQNSSKF -DMHTFKALGDVRFGDSGSLVVHTNTKMQSHFLVGHMIQRSNIDRQPVGVFITQEDLDMAL -QSFAVPQKLEVCHYQGKQLPETHEYYKVFDFPENVCLADKDRSINETRGFAKTPIFGVFT -VETEPAILNSADPRIPEGARHPLKVSLNKSNGQNPPYISEEERDFMVKSLIQHYEKQLSY -PIRTIRIYNTLDAIRGTKEMGSVPINIHSSAGLPYADMPGVSGKRPFIRYDEEQKTYVVQ -DRIINDVKLYEHLYKSGIIPQNMKLEFIKKELVGPNKIENPKTRTVGTGNIIHQIVYNKC -NKALQLLVKNAWREGKTTSFAMGLDLEIHGNQIVQGLKYIDYMYDFDVKAWEASINYELL -TMANEVKVKLMQKAYASRGQQCSYDYETISQALVTDYTDCDVHFKDVIYAKNSGLLSGHP -GTFIENTDVHIMLVYLIARRILTKHKKLGWANATDIYNNIKVVVAADDIVMAISPLMRPY -FTPEDIKWGYSTVGFEITSADKSPEINVKTVEEIQFLKHKFKKDKEGIYHAYPLTSIIYQ -LFNWYRTDTKLGREELLLQNYSDAFRFSFFIGEEFYENTRERFNKANLNNNIQWNFTYAE -MRAMILQDREELNMFEHSNEPKIRAEAENFYDRFFQQ ->YP_009330057.1 hypothetical protein 2 [Hubei picorna-like virus 82] -MVRRRNSRRRREGRTRKIYRKEMNDNAQNKQPINTPEDNVNIPVVSIQPITQQELSGLKY -TGITAKIKLPLELDSGDFLFAINTDGFIPPVNLKAKDMNAFNRIYPNLLPIQPSQSAHSI -IQLDHKFLPTYEQCQYLSNRFMQGSVGVVVRLVSNVGQTGHLAVTHLTGIQRDYYLPSEE -YQGLRFRNMPTDTFAGSLAGLTLVDISTNRNLSLISTNNPATNVLDFNKKLCEIASFTAS -QTTREKEIMESQFLEDWLLFGPTNSMPNTGGETFELSFYFDYSRVTFFIPLYPVIPTVLQ -ERAKQILRFSKTYVEGVEIGDIAESDIQWLPGYSPEPFDKQKKLAVDYIAKLVANN ->YP_009330056.1 hypothetical protein 1 [Hubei picorna-like virus 82] -MTTLNTDPELNPPVVENNPGQEKIVEQTTQVLTTNYGQNIKIVRPFARLPNPTPISWTAL -ANVSRMFSSKDWSTSQSGLFAEFELTVANIINWTVPLIPPTSYFDFDSITFSISKNTNAM -YQGKMLIVFDPAAKSSYYEMFGLDLRTLQHLTQMNYVEFDPRDSKSVEMTIDNLLPFDCL -RMQSKTRSGSHAMFEHATLQKKYVENYSLGRLIFFQLNSLTTTSSVLNVPIQISAKLNGY -RYSGRSPMIQANIYGFSLNNPAINRFQAGTYSYLQSISGNRFPNTNTGQFQSNIDEGQGN -DQELQSNPAVDTHSVDESSRSDSIRDTAFVSNKSDLYDSHLYGSPLDADIPNSEAPPGYI -EATFNEGQVEETVLEGSASAMSAAAMAVPMLNQNIIGEINSNEMEMARKGLGDFGTSVGH -EIVDQQNFANKNIAATVGSGLMFAGLATGDPLLGAAGIATGIAAETIMPMAMQDSNMVQS -TSGNLVSPTMNKVQTTFPNAPSGQINPEVPLGVSATLVETPVPLDNPPFDEKNINQQQWI -YYDNFEISDNDTVGKNLFNWNSYRPLGDYWLVDIDNKGMKVNVPQALKEVYFASFANIEW -YIKYEPIKVTDSRVEVVQFYDYDGIIDPASMTFGSAATSSYNKENIQFTFDDPTKVIIFK -PPLYQMATKVPNNDSIKIDSLGNVTQYIPPFVPTTSLSLKLKSKFIHNNLQPSKFTVNVY -VLPIIRAVSQLHSKCLNTNVPHQSLPLIVFSPQPWWLKRLQTPIETLFFEYFDKIKISKY -SLKQILKSVGSWYAEGIAEEGVKAELERYIEKK ->YP_009330049.1 hypothetical protein 3 [Hubei sobemo-like virus 3] -MTKSANRGAATPQKKAAQRGMKVSNKPNPIRKKQKRTRRRNRPAQVGLAYATGSSAKMTS -IVVEKTERLGTIVSPATASGVVLTQYVNAGNLALSTSSYLGRQAALFDKYQFSKFEIEYV -PIVSASTSGNVIIGMDLSPDDAAPGDASGMTNLSLGYAEGNAWRNFKYAAQCFACFPAGP -KFVRSTTEQLGTNASLFDMGSLYIFTEGAPVNTTLGYIDVHYKVHLHGVNRNANDSPAGL -LRPAALATLSPTSTSAIVPGGTYATTTFASFRGFSPSGIGQSDMVFSAVTPAEVYGTVGN -YITYGTNSITLNAGTYSVKLTSCVCSDTYSNGLVRLKRDGNVLLSNAHGWGSLSLPTTAY -VQTGSVDSIERSFTVTTTSTLTLDTFVFYSATPYTQNKTWNVVYVNGDSVPSTFITLTRL -AA ->YP_009330047.1 hypothetical protein 1 [Hubei sobemo-like virus 3] -MTIVFLALCGGTFAGASAVGDKIARLESVTTPSSCSAPPKTVQHSLPEVTTRFAAPIAKL -EPRPNHTRTVKQAKHAVKESTANASSGFKTRPSSRPTTVGKASWVVETAIAVAPYAESFL -TFVSNVFPMCYSIVAALWSASTSRSWWVYQVIAYGPEAVLIGSAIIAAWGSLTTVAVVFQ -LLGTYYKFLNTAWYNLWWYSASLPFAVWNFATLGKIRVKYPAYKQGVEAIVDGDTVKLMA -GGKIIQVIKLDTKDVKKEMAFASSTPSRFYNKNDPRFRSQVVLYRKEGDMYTFVGDGTYT -ELRGRVDGLSRKLIFTCAHVSDLATHFSAANSHETPQQRFCPLPDPLIRSNYKSKDDTDV -AIYEITASDVSKASALLLPSLLPAKACDLQGTWILEGDELFAGGFINPITKEPGYWRTHG -PAVSAPVENPLIGGHMCSTTEGWSGTGLFVSRGGQWFLGGIHTGSVGDRNTFVLVEEMVD -HLQEEWAEKAMRTDCVREKAYTSKNRKFGHEGRQGEEAKSHRASRAQFIAGTGSYGKYGD -GKEAAVAVTKEAVSESKVKAQVELLAKLKAEHCDKKAAQLKANALIPKVNENDPGFQRPP -ERPVGGRTEAATSTEKQITQKLSELTKAITKLQATAVAVTPKEVKQAPTQVSSPSSTNSS -QGSQENSSSPPSTKEACSSQSTTTTTAPSTEESEWKETTSKNAQRKLRKCTKLQESLGIS -HSDLMKLSPKILKMLTKATSATSSPQAGQATPTASSETKTGKSSKATAQK ->YP_009330042.1 hypothetical protein 3 [Hubei sobemo-like virus 2] -MLNHASRNLRNSPMSTDTFRTSKKRWPLFAPCLGGAGKRMPTKRKQTSKKAKSTAKPRVQ -QRQLAISQRRARPDVGHIVHKVCALTDPFCPAAVGAKWPNSTAIRTLAYPFHSRRTVAVT -ATGAGAVIFTPGYNNWTAGATIDAETGNALFTNYATAPKDIGAALWRIVSWGFKIRSVAS -PMLASGMVRVRIFAPAYSGANNIDPTSYLCEDFIDVPLQDCKELCVIPQRSGELAEIFNA -SDVGGATIQSTANVGWPYVLVSVDGAPASTVPLDIEVYYNYELMFSDGNALSMLMTPSPS -ASGLMTQAASYVTKSLGSFVKQGAKQVEGAVKTAASRYIGGLLGGPMGAAAGGMLAITVD ->YP_009330038.1 hypothetical protein 1 [Hubei sobemo-like virus 44] -MPCFLGLISELSKITAKQQTPPTWKDFLITPSSLGGSTSSKIACTVGATVITVGIGVAVV -KGWYRLKRAVGIRPSTKVQVTPAAVTNHGSRESRFAGSAEVAMPMATGQVLIGFYSGDEY -ITSGGGYRMDLTTGPYLVVPCHVLDEVEARGSGEVVLRGPKTDISMKLDRENIAEIITDV -YVMKVQENLFPNLGVKKPKIGRIERTASAKIAGPGGKGTLGDISLQIGQVGMTYYRGTTL -PGYSGCPYQVDGNIVGMHLRGSIVPDGPNIGIAARMIYITANYLLAKPEDSLEWLTDQVI -KRGNKLKIDKNWGSLDSYRFQLGGDFAIVESDTIYEALGEDWLDKYTEYSDFEDRYHSKK -KGKSRGESAGNEQSPGVSGLPESAQGSQSLVGQQSPPSEWKLSDGLDKLSRKQRQKLSTA -LKAFSAQSQTA ->YP_009330036.1 hypothetical protein 3 [Hubei myriapoda virus 2] -MAFQYSAFLKLMTILQNLSDDGKLYKPSNLRLLFYTSPPAFWTIEWIRTFGFPAWLFPVF -GSLFPDNIHALSSKSYWVPSFTSLFSQVAVFWSHGFTIDDLHKYSDHQLVAKILTNFPIV -TPKHDFVTTMYTCVGFFRLQITAHEDADIVRRHLLPLLHPGTRHCGCVQCFPAIKKYYDL -RRDSSNIFLKGIPSVYLKHHTAHPHFHPFDAFTCAVPGALSRRVVAPPTFRKILSLFYTK -CYGALQSLGREVHTSIDALSNPLCDGLEPQRLEDLSDTHEEVFCPAMRYFVVHHLMTTTE -RQFALNMTWDLFLNFFNIPIASVAVELSVSRWKVFSEKIVRSFWVPEEELYEFIIFANHL -CVCPGDFIGEPNFVKFMDAVTRVCIQLSVLINLRIALCSFVHADVLADTAALASRIEDNE -WTPNIFFQLFRTKQQPRSLMQLSHMATMGEFISTHSEMSENPDMDVVG ->YP_009330020.1 capsid protein [Hubei astro-like virus] -MSNVGGNGGKRKKQSTAKGGGGSTTVNVQVPSAPRQQQSKSMQRRKNRLANRQKALSSEA -IGLGETTRIGAMSNHGFAWAVRALHPAPDEGSIRYCPIGIPDGQSGNIATFELRQTYSIA -TPVPPPPESNSDDNWNVAIITTPVIEAPIIAIGSSDPINLAADQAEVLFKEIFDSDRTVE -GTWTTSTSQSGISFLWYRFPVLTASDKTDRSVESLARKWRYQYFGTTTRLDTAAMYNMGH -VYGAQFTAEKKAGTFCQQEPTTIAVLAREYRQTRMLIRGFLNSEGRAHHWPYFKLASDSV -LIDQNDSYTTQVYATKDAPQGGLAISGMPTYYRSNMPSGSQMQIQLGFSFELSTAETSVA -FVGLSPPVHSAAASTVVAEPGFYIPVGTDGQIVGPDLMQSGLVTRDVLSACTGLINHLGT -GKMEMLADITASDHIGLIYLGPDQSFTVAAGKTLNYLHVGLSVVKVSLEPNSSFTITNSF -VMDDNDKHGVITVLEDPGSLLVATTPGYAFTPIAAGTVTDTGSTTTLTPPPLSLERLVAG -DSKSAQRAAKEGDYMPIHNWNSVWEYVESTETRVLTFDNPSADTTPAPTVNKFTVEPNVG -FGVQWFTGISARSTLRVQLHAGFEVQTIDTSPWSPFLKQPPRKDDRALSLVSSALVNLPS -SFPQSANDASDMLANIAGAVTGIPELAPVASALMGLGKRLVARIQNRRAARGKRLLLPRI -TM ->YP_009330019.1 RNA-dependent RNA polymerase [Hubei astro-like virus] -MDPIAVKHIKEAGLTEAIFEYRVQQPTIEDDFRQFLKYDHPAGIVDRHLLHLAEQAVARV -TAAQIGPCRIKEYDELNCNMDSHPGYPFCLDYVSKAQVWKRSKERVTYLWENYANHTPMW -YMIPKVALMSVDDIKKGKSRVIMAPPTDYYMLLGRLHEDFNEKMTAANLNMGSAVGCSKF -HGGWHRIAKRYAKDLLLQYFSEDEAVITEGDAPRFDASVHPEIAKAAYRIRARHYNDPEY -YERAMWALSQKIYAIVVFSNGEVWIKYCGFNPGCLCTTHDNTLVNIIVHAMIYLNKGFNL -TQVGALIMRMLTVGGDDTLAPGKISWMEYRDIGLWAMTEDRVKHHQAIDSASFYSCGFLK -DQSTGFYVPVSNGRKMLSSAAWLDFSFLRRDDRFGKLTALRIEAYYTEFRPLFRAICDYA -FRHLKPNAPHPLDEEIHGLYVGFETDQSDPLPISVRRKEVLKNVQRWRKRWEKEEAINRK -RRRRKHNRKCAGAQCTQAAAKQVYAAKKEQARKSSKSPIIGGYWFRGDYPYWCHVKSWVR -VGRQGLTPCTRRGLDQVLSDWNTRWTIG ->YP_009330018.1 hypothetical protein [Hubei astro-like virus] -MRRLLCYTVALVLPLAFSLAILSSRVSTRMNRTKRVSISLFAEWIGLLSHLWLSSFPLLL -GVRKSLWFLCQKTWCLVCSILVPCVLRPGSWLIKRLVGQSVILLLTCTILVLLQEPILTQ -MALITSLRLPEAVEVLSSIVTGLPSVYIMLAVRSATSSYPLVTTVLVTCVLLHQLTDQWL -LPLFMVVGMPLSQLTKVLQYTYWIMLIPIWGLHLWGRMMPTPDASSLYPGPLIALTNKLG -TTSVIQEGQRLYLEPVQVEMLLSYPVLLLLWLITTLAVTLSMLTIRTSLMRVLHRALSPF -TKNILVQPWLLSWTLFCTACSQITLIVTWSTSSAWLILGSMMTKFGQGYQSAALTLVRLW -WDLTRKPRLWLQRWWKGPGPFILMNGQTSTLGLGYTKGTQVAIVPRTSHTALAIKTTNQP -AQTRPSRHGFRPVKFVSGGST ->YP_009330017.1 putative protease [Hubei astro-like virus] -MANVGGTEARREPGGNGWVNIYKKLKKALKDPSSNQAVNLLSDLVSGIEQSLTAITRETL -MSTALSVLRGKLLNMVLTWIFFTIWVAFPYVPRVEIDLLLNYDLHCFQLVENLAVVELVF -RAWFWYKLAFTFYSLVYFVTDCLRAYWRYQSLRELVWQVRWTLMSCSNDERLDDWEGMFD -LICSIFSPLCACAGTSAVNQWLTSYTFGKKSFFATRGASNFVQGYIGRNLGETVYNLCVP -RAFQKSDCHTWRCWVRPYPGARLLQHCNHRMVLAEQGVDAADFMSPGMFLLVPLMFVGWC -VRRVFKGTESRQGLPSLTRYDCLTPLRGPTPESIFPGSPRIGAVTKAADATAAVLHRGTR -VATCFFVGNTIVTCKHAYEPDEEGFHFSFRGVDWTLKSPVAKFFPASVGCEEIVVVSMPK -DLVSGLLNFGAMRFKTRKLVDKTAGGSIGYSASNMYHLSFATGTDIDPDGTHNISSSPGS -SGSPVFDCNGIAVGVHNAGGAVSNFFLPFSDDCIGYMRTTAPVDGSVVVTAIHGGWDATK -PTDKGTPVHVLDNVNTNLGSSSVGKNDAYTRCEQFVSWATNSTNQQAWDDERNPRRPKAV -PGTCASRNAPVVSSVVTTVANNHSGCNTVDADHSDIFDARVAQSIVSFYKEYTGPTLAAK -LDAILHSVLTDHFDSDMVDKLRVAYPGLNDDQIWARISKRCADPGAIVVGSHSQATAVVA -ALVEGARTIYPDEWSDEYLGSWLHQRYPSCYRPANIPHSVSDQDNQPACPN ->YP_009329975.1 hypothetical protein 4 [Hubei myriapoda virus 3] -MPFLYKIESLIQLLDFIEDQFQVDFELGNSIYYLLQFPKPWKPHTNEPWFLYYCDIYEHF -KRTIENDPQHLHPDLGVTIDFLPDPESFVAGATNSKLFVYKYQKNPRKKTNLLAHTLNCV -CEDCKYSEQLALALDRKDRLVSAIKRKTDHNGQLLQRPKFGQKKIQNYIRNSGSEDLFRQ -HTSGCSANQLYSSLAKYTKPTVEITSPTRDMLDFRFKEVQRKKETLSDELISKLAIALTS -TGLPDAIPKILRQLKVPEGKIDQLAYDNQTVPPDVTPKNKTQSLPL ->YP_009329974.1 hypothetical protein 3 [Hubei myriapoda virus 3] -MASGAIATGAAIGTGLLSQASADTTSRQNTQTSIIGGIVRDAIAAAHLARERNLNPALHY -SADQYNLDHQIATHYANGIQNYGPYAYGFGRMGDINMNGRNRQDEKIDLKALSKLPVNGS -DTSSWNQFKNFFNSIGGESWDSLNKEDRIAEIMKEVQKQLSMVPDLETSGPVEIGGKQIE -FQPESAPTISESLPNHPEIKTTQQAPGSWHRPADPEDKAYHRVPPPGVLGLDAPPFEEKN -NLPSTSKSNFLSPGNYDLSGFPSTSEFQTYPKHLQNMYLNHVKSPSVVDVSSPSHQLPVE -TKVFVNSKRSKQTFKKKNE ->YP_009329964.1 hypothetical protein 2 [Hubei earwig virus 3] -MSKNPIVQKHNKDDEALVKPNLANFVDPVQTSHDTPSVLQLGAINPYKNQLFHQLSNDDW -AKTHSPAGISLSVGTPTTAGALIGILTINDVTTTALRNIINMHDLCSFAIDVKLICSANP -SMSGIVMVGLCDTTVAAPTEIDLYNADTHYFDVSQTTEIQFTLKPSQMLPHVGYSRVDMF -NTDLETISKYFPKIVFLANSKIQSAFDNKTLETRILCYSRLSEHYNNTFIARKPNAVSRG -TGGPTDPGTRFETNPVNIPLVLLFGDNYADARLYTEGNYKLVDANGQNLYQIDKYDQNLT -FNIHPRMSSKQSAAVGVGDRGLDNTGITRNDHSSILKFAISATQNPLSLTDDLPSQAIAD -DSLTPLIRFTTQTKPATNIESSNPDQLKTSHITVDAIDALNTAGVLTNLKFNFSNITRDV -PIIESALGTSRFSQTRFYDSKNYDAREAIVDDFTFSETAFTNEGFPYYAARPFLATSLFD -DENQSKDQLVDSMFVIKTPETKTSIREGGVMRKSQFGQVAYFETGQQKVGHDLYLIPTRP -DYLVSLWNVLSKNAIVGSGTGTYSNHSLLTSSVSLVQAGTVSVPTNIPPTRSLGIVSGSY -RAQGLPASCFILGLTQQTVPAVAPGPAKALTTYTLFPEIKLSSTISKFYRFPTENSLMYM -NISTIQYPTQTLFQIVVDKKNDVAFIAAEDLEYHYSPLNLSELFVQRVSFSTGTIDYFNP -SKGAFIPRLSSEYLNAVTQTVEDLEGNPVKKVDLLRSYKQFTILTEGVAASSLAGGALSG -LGSGLGSMAQYQYLYDMFAKNKDLQKELLALQQQGQIDLTTLKESGLLDRLQKQIAADQL -RQRENFANQQLLQDKRLGLSAPVNYALGNNPFQLSNNVISPPTVQQQNANPQNKTIADFI -ESEPPPAYQHVDGVTTTASTDNDPNAFAEMFGTSDHFDDFIQRIIGQPTLPIETSVNNPL -TASTSNYPQDLLSEEVEVLPPMETKFAVASSDVNYPLNNIASRLVNETQPPLVVQHPGTP -SNVPPGRTPRHNHRPNVLQGDATNQKHTLVVNPARALKMGANPDDVLPEQTKHAMLESLH -PFPDNSFRRPTPNYNQVKFNVSKSVPTLANLARAKLPLTINTQSITAQQLVDSDHSVINK -IGPYYIEDINDSVAYEMLHNALQTIWTPTLTVHLHTLYDLHELQNVRPMEIDKLQKHAND -WEAFYKKWEKDHVTTSSHHPESTDYRMNVIDTVELIIDHLACALRYESAWNNVCLKALSF -VFDNGVKRLKFQNVSTLIYLKAFVQIMSIGYVSIPPIFIEQHAKRGCCDHPFTTTVRKFA -NNGGIFGCECLRNYYIETIMHCNFVNYFCMRYVAHTRTHAWMNFLHDEDKFYVPSFLVYT -QKWVVQRPS ->YP_009330276.1 hypothetical protein [Beihai sesarmid crab virus 3] -MSNQSSTGGARSSGTRMKIIKLNVNVDNARHAVYSKDLVKDLLSKVPQIGVPIFAANTLS -SNLKQIIEDWNLEMNTTGIVFCAISMNCLKFSGSRAPQNFADGTSLHYGDYEADMSALKS -TNVLHATKGEIKTDISDETIINFCKSVYESIDGAFRWLVDLIACYQTRPMNGTNIESYFM -AISGTRVRGKIIVPLIEFAAASFPKVTDNSDLRTGLSKAKFMRYHTAKCSTPGLVSRFLN -SVPTLRAKLADATVEALIADAVADYWDLDKAELIPKRLLVAAFIYFEVSKIEVGDWYQGK -RAMSETSGTTITQVRAFMKKYNEMVLEDADVDKISNLGEAASHIPQGIL ->YP_009330274.1 RNA-dependent RNA polymerase [Beihai sesarmid crab virus 3] -MTNTLLSHDSRFVQILLITRPDLIFNRVDRMHVKLADPFKELYYSGYLEDNWAWKLLREE -SKIAEKHVRKENLESSMLGKPFRKNVKNCNTGNFKVYELFNEVIKIAKKVSEKATTKYSQ -VMKIYRSRANNVIKSYLTRISYAATQPVKSEAQKLMDILIEISPLLMIDDEIWKLRSASH -VFYNEVKTFMKDMKGEPLTEEMKEYFPKSKRPYSEVGIRVERIGELYFVKIGSKAAALTT -THLKRLSNTIKSFVNLGIASYFLEARLTAMDFISEVNELVQEMVKVSAECTPAGIKGAKG -VMVARLDKNQTLDFNQADALLKEFDGKRRELAKMALTGFKPLFKDCYTMINFLNFYKALP -HPDQNLYEGIENIKGFKEPNEINPRIIRRFESTVRRTMFKSLTDMGSDVRLTPISPIGER -LGEMSVRSNKPTFQMMSESISCWSAVEIQPVRSIMNIATYEIKPSNKASQDVETFDVEEL -QMAQKYSAGILQDPPQVMRCRTVNDAVTALKGTCRMSAERAIARFERTIRMHEEFEKKSG -YKKIEDIPSKELEDFIMSNKDARYIVGTEPKFGEVHKPVTRMFYMAEQELKAMTQRVERF -VKQITRAQSGVSITKGYAGRKADVREFCKMACHSDRESKIIFISFDMSEFSKKFPMELVR -CYGRVLAEVTGQDWLRRIDLFFRASLVIHNTRGYFESVAGVKGGFEGFLNFVWSSIHAMI -MEIALECVGRQGRILTFSDDGLLALVFNQKETNKEISKVVETIKRVYEQHGLVFKLSKTL -VSGETWEYLGEICHKGKVLDSWIKEVCNVGVRNPARGLDPIYTVIKAFEGQADAVMAAGG -PVSLSYVLKRLYFSLIMKRVMPVFNGRLEEVMAIIPPSCGGMRLTSPYEMMNKTTESNDS -EVLADITLLTNADMELGIQTKQALFKMMRGKRFHLGAIVSGSVLGSKFYDTSGMSVISKA -VRMINSTLTRKIAPNPMETGEFKELEKILMHCKNVSLRAVSELILTSEAWTAYSESMALV -RTNAAMKFITYKAMRKLQSEDTENCKDALEYWAEAVTEEFIQKKTDEEIIQRGILSNLNG -ISVDKIVESPRTAFKLSRTLSKDYTIKVLINKPKRHGKTFGSADYIEPPLNVARSGTTLR -WFTERTSNKRMAAFRTFLDGVAKFLAYSPEMEPVVRAISSVFNIHLPIIALGLFRSAKRR -KPERGVAIDVFITLSKAFQSSSEAKRGTLMKDFIDKADRVDATTSVEAAKAMASIDWCAR -ELSTVYKNEEVEGYYLTAERSKFHNLFVNNEMELSKSYIPVPKENLEEMPEDLKQEFQST -VVEYSKIYTEEGEMYQEAAKGKGVAQHRIHLIHRIEIDQLSEYLRSYISSGGRAADKVGR -VPRIGYDLMLVLRLSIVETAVSIMNPILRRRIMDDLFSTDDPRIISVSSNDMPRLNVQTS -GANWLEYLEKVGEVIKVVENLGIPGALSGQFTGMLRLDQSHLSILKKHLVSQSLFKTKSR -IIFTTEKFPTGKMSPKLEVVIKASFTATISHLFALFRAKNWDRYEIKMEYGLTNNYDEIL -DVLYVGRTLIRRSKHRTIHKPYNPTSMTIMLFKMYALASRIRIAALYTYPDIHDPTKRGR -VFIKKKEEEGIDLNSAPTETEMLPIIRYIDIIAAKKIMNAQNPEEAAKMKSKHEDHKNMI -FEPLHRDIIGRVHQIVKMSDEHIQYLSFMFRPDVINELRSIQHAFYQVLYAPAMSNVDIT -SGSIRKLLTRGEFIKPKMSIPYYNVLQYHQGCTADFIRLTRVKESKRKAMVNCIKANALE -LIEATGLTGYSFEAGGDVDTLDLHGNRVSSKGPILATVGSRMHKKKKAEFLVMAMRTENA -EDAISTHTYLTTDKQSCVSMFLNADDDMYYIFGVLRSYDVEMSMQNPHVLIEYDDEKIEP -EDVIPAIRLFKDDPFARRFDLNVMDNEGISPGQEMIVSELRSKFVFGESIVNNDQPMLQA -AYELCQSEVIKNEGAKIAVYALVRMWVQGLITVTGRAFAKAIRFMADKLDELPKESLPEV -LTDIALCISWLKGLRMTRGINLDSESVRRMIEASERVRFVIRIPMVFVPMRSKNPIYVRT -TVKKRPMGKMFTDYSSFEFRLKETKEILKIESDSTELLTEKEKKVLSRVFF ->YP_009330109.1 hypothetical protein 2 [Beihai noda-like virus 5] -MKTCPVCGRKFKTAQALRQHRAAAHRGGSASKPVLQGGRPFPANPSTPTQQFSSENSIVL -SGTDRLYMAAVDAKDTPLVRLLIGPSLFPRLAHVAKTFQRIDYLMLKFEIVSRFATITPG -GYVACVFTDPDDAPPNDPSTLERITSTAGSVQANWWQTSTITAPPKYGLYTSRSPTSDLR -FSSPGVFALMTEIPPAQGGGFTIMCHWKARLYHPTLEIDVPSPFTSLIATNHIKAEKGQP -RYAAESSEDNRFSPEVFLDGLPSELADGDYDFVPETLGVFGYRAGTGDELAAPIARVRLH -WRGRANPNSNLAPWSPFSGNYDNPSTSDNSTISNIVSWPRGTRFTLLQSS ->YP_009330256.1 putative nucleoprotein [Hubei lepidoptera virus 1] -MESDSKMNLDLVSSALKNLSDEIGNESQYKTLINDFLTTCSGKLVDWVNCTIAFSGFDAV -IMRKKILSKSSPRKIMICILVGLVRGNNVERISKTMKSPELAKDFLAIVKALNIKKNVGG -DYSAITLSRMIACFPETVCMILNGQEIPMAIPMNELTRIHAEYPKVARHQVCASILPHTL -SESDLNKAFDVILVPFLKISEVINGKVKDWSKMTPTARAETSSMYLHNSYNSKVLTLTDR -EELSKKFNIMTTSKTLSAAWSSVSKNASEWLRENYKFSHDN ->YP_009330106.1 hypothetical protein 1 [Hubei sobemo-like virus 42] -MEDQTVLALIKQLVRTMGSNAWNLFKDFLTSSYVRNFAALAVSSMALYSRINSWLRENNT -SILEYLLKTSKLFLSVTKILSWFRKPKDPRPMVRTSWAAQRFPESIVQGSEETEMFHKRG -EALVVDVNGNAVGKGARFWNVLVVPEHVIGTCRYSSGSSVVYICHPDRPDCKFELAGEPV -FLYSDLLGYNVSSSTWSQLGISQVSIGWITKPTAASVVGVKRFGTSGILKVDDESFGSVR -YMATTCHGYSGALYTSGNLTLGIHLNGGKKNLGIAADFVRALVSSKMDKTMESSDDDTWD -FINQRWFDGGKLRDGVKVDYITADEIYASSQGRFHVVNKDVFRKHASEEQWNSLVYADRE -VESVFPLGAPRLSPGASNMSEKVTAPTRKVISGGTAELQQQLQRFAASPSGSRSKGAEKR -YGWIRALTETELMDYWRLLKEDQENASQ ->YP_009330043.1 hypothetical protein 1 [Hubei myriapoda virus 4] -MEALNAMLATNSVQQNIIGQPKYRYNGPKSVLTGATTSSSSTDISSGHRLSNMTQNDPGF -FQSAKRAAVREKMYGEHGLMRQPGVVPTQDPPTLDLPGPSQHVVTPPSRPAEDSNPETAT -GSTTHVAEPKVQSRSETFIGTASDSKQTATSVAQPDGQATQAPQLSASNQPTAPSSKKLQ -APIVNPQVPTIMPIGHSGGSGGGGTFGGGGAGVPGDHTVINQDFSTSVTQRGGGSSYHFG -RPGRNVANSIMNTGIAASQLGVGLTTVIGHRSLNTSLYVPANCERVQLPRDDDSIVMTGK -NPNYFETDLDLPEVAGEVQPAPYFYPDFANIQFDGTSHRRHLHLIDEMPIANFDSWTYSF -IPPSDSQYVITDVTRHVYIRSNVNPCDQIFCKFYQDNSIRTQQFDLVRFFYEQTFFLTNG -GIVFQLKDVTDLLQPTTSAITYTFSINKIWSDFLQMRSNVWIKIYYFEQPTSITMAPNVA -SDFADFEIPQPPPPDPALAQTSFHLVKRKENPLVSMLVRNKQTVSEEGGSVDHERVVTDP -HAFILSWPKMYTATKTISELNSLSVYIPIVPYLLFAHSDYVRHHFLYYDGLAYIQLQLLL -PASCYGSVQVFGYGKWQKVFNVKAEVGQPWLCSLAVPVRGQVTGRTKFLQKVTDQLNAYQ -INFRLSLGIDIQKADEDYSRNVHLRLFVGALHPKLWGVTSTNAIPYLLPTGTGKVGAPQQ -GLTVMPYAFPIGDPKEFEFAFDTAFVPDYRLFCDVPPAATEDIMNYECMLFHGQSSASLD -DEFLCGISPFHMAGIYQDDAGALYGSSTPIAYWGAIFCEWRGHFDVKVSYASSADSSGTV -VVGLVDEEVNWGFAVQTMAYVPTATMDLSTTRLAKITTRNFKRTPFYYGATEPAVYKVEK -RWDHDCIVLQNSPRDNTTCCCVSILGPDDHGDNRVFLTCTATPCSDLEFKNYRGVIAWAD -DEELMTTTLTTTKVGNCACFRTLATFISEFRQNRPRTIISPFMDLQITRGPPSERIHFME -TPVLSRHAPLVDDMCVATPSSSRRNSSSSYIIELDEKDGDN ->YP_009329907.1 hypothetical protein 8 [Hubei insect virus 2] -MSTIFENQFCECGTVNESHVTLTSNKLNQRTESKLKAQEKTFLNICHTIEELGVRGQTDF -NVSTHLKPKVFDCLIDALNGNLTIYKEFVQACNVTNGSEEAETHLHQHYQTIVDFHTNIS -AMFELLKPSMYNNDDEDKDVTPVITGINIYPQINRKNTDISCELGISIQISCKLKNVTGF -KYVDITKITPNGVITFANSNILHEIITFVLHQLAISYASQFFESKITPAVSGVLERVTDE -NNIFANAIRLLFCCVNTQTYLGIMVFSEKYDSFSFKMRADLFDGKDDSFVTSMREQYARY -ANENSLVRFMNDTLVCYSPFFSPLPINRLRLQKTFVRQTEPVDIGNKFSVFRKFTI ->YP_009329906.1 hypothetical protein 4 [Hubei insect virus 2] -MSFITNINFVDLNNFGKFSNNECKRFRNVYIDKHVIDSKKIEHNEKILLLHSGIFKLQMR -HISTLARAIAIVQDSNLVTKSDFSIESIVSINRRFRLMSDYITILPNEYWNMLKESTTIG -FVIISETKLSSTVYRKLFSLGVFTRLKLADGNISQQQLYNYIGDGNIVVIPTDIDIVCEK -YNNGVGGKYPDINIHVSWIPHSGNFMKYKYEVNYAMMKEWDFFRPRLELEIVELSDNQLE -PCACTVEDGVFDEVVVLELTVTQNHINGVYQLINRVTIDLSEYAPMPKIQSLRFRDVIPD -VNVNGAHDATKNLLFRSNMYALSQKINIIPHQEITVEYHILETFVSYRAGYLNLQKEVIE -KVLVQPTYFINVVGTKGSGKSRFKKALSDKFGDEVMVVDSDFYGVYVCELFKHYDMDIFG -VYSDASFSTESITNACQRAVSITMELDDLDVADNFIVLNFINLVNQFRRKMDDMSLEKMF -ISWLNALYDNKYFGLRRYQNALIEYGEDHGMVKIIHFGHTSLENYYLLVDYSLTIIPNFD -ELFVLVDRDRVSKKTLDVLKKPISIQEIEILLYTFYNNYINKQNSHGWLGIYEIFNISLV -SDITMYICNYPTKEQNATTSKDIYETSLIRLPTYNNLYVNTKFSIHSLIDMIILPVGCGK -TTLSRSDNRFIQYDEVFEDSELVSLYRDVNPATYEYFKHILLLIMKHDLNGDYAGKVVMV -HDINIAHAFNFSLKIWMIKPSYIPIISKLCNIDESKTGWMIDNAAMLLDKVRLADEGINI -ILNEFEDHAQLFDHLRHTYD ->YP_009329905.1 hypothetical protein 2 [Hubei insect virus 2] -MGDTANKLGLGFAPPKRQFSLKNIVQASANAANVISSSKPIKTQPLGVGSPLVVTAPPPL -ICPSKPTNTIAKDIASHVPTQLESIPLNVQSSNTDLLKSKMHQRLRIISFQCVSSNAIRQ -IDGQHGVRKVIFAHQVLQCGCNQIPSHNVKIRQLFDDSKSTPNKTHVTIKKIKEYDAETV -LNEIIQYCDQHSHHEKHFTPKSPTIMISGQLKYNDHNLLRRRFALVTLIGNRPQDVVRLN -EYIARYNLESYASSILETKPGECLIVDQSETYHIFAQCMQSADELPQLSIIQQCLTSASY -ELLHLPCKAIIIPTICQLYGLNHFTQVNDILMRMIDQTFDYHTIPVVCTLDGPNAHLSSH -QHDAYSCVPSLYAHKWFERHEHKLIASLDIICNHLITGTSPNPNVTKPPLPTKPKAIKQD -VKPKSDVHPDLDHITTYTSQQPIPPPTDTPVVNKDSPEKVMSESEQNYLFRRWNTLHETN -YNYIYPNNDYKEVLCIILPPGTGKSSILANQPLLIEADEAFTKQDFVDLNTKNDGLVYSR -IVQRCIKEGCYDNKIVMVHSRQHAQLVGMTIIGSFSICANDLTNSDRFQPYMLACAHEAT -LNMLHCEFETYATFAIWFIDVVRPITDVNLLSNVIWEKCHTVSENLDKFRCANASNQQKL -DWERLYHKFNAHVGYDRDEGSTAPVTTAEVHPPPPPNDEVDSVNERQPIPADSKSDDPIA -SETDAPILTSPATVHSDVSDLPITNENHSSIDLLSENQRLLDEIKELREMNANLSKTISE -QKDAIASRDKLVRELTAQIWELTGTNNKHVAENNILRNSLRDCCSNVDDLKRELCTLNEQ -CQSNIQTSHAELSPIAMQQAQQTPPPAMPPIAEEQSEDILARCLYSEIIQPHIADPTDHD -AVDRFKERLRQCLTKSAITKPKRAMTQTISTEATDGVMRRSFSVSCTHEHPKSADSGICS -NDLRDTALFRISGLCRRLDQFSTQELSYFSMHLNYVQNLLLTIEKLLPEKAYMLPVYSPF -FDSFLPTLGNYWSGSFKRVLYTDSPLSTLCDGLY ->YP_009329903.1 hypothetical protein 7 [Hubei insect virus 2] -MATDTILVSDTIITPSLVTSDIDPIVNCPRNEINFSKLLSELFTNTVIITNTDNSDSAIT -IRVNRMPLENFVNALSTLVDINVKNIDTLRNSMALALTRETPTQSEVSMTRRDVYTVANF -ATDLVQVESELQSIQDDASTILRDINLPDVSLVPSNELLDKIAGVYANDELIDDILEKSS -VLSADMGVESIANISTTTETTTADLDIVNFSDIINSNQDATHSEILKLIDAGIDSLFNAK -ALLSTINELSSIDITDVEKSSLSSDLNIMEQDWSATLSHVATNTVLTAVHANADYVAYIT -KIAVYNRNADTFLIRYTTTDHLINTDTIAYYNDATLNTLQVVGNGTSKPYPLSVYRGILS -TLKSYWNGLTSRICSSRTFANVLSAAATYVNDKLVNINKVYGTSFDAAGLSTVVSSGFGT -ITGSTTVPTSNLIKNVATNIIPLCFRGLSNKLEQQSLSKNTLLNRVRDVVKINTAQITPA -PTGYSNNRRYRLIRRKNVRSVRKAPQSITSLNMARQRIAGIRLV ->YP_009329902.1 hypothetical protein 6 [Hubei insect virus 2] -MEWRLDNTFGLNYHLMALIDSIKLTILEHDKYSIFCNQLEDIDICFLHDIESNGLPIEIV -CNLSSNKHSECQILDWYNWLSLTQLSRFGRQLCPNIICDSEQYCQYPRKKNYRAIVSRVR -VNTTFALNLTTEERDMLCVPSINYQRSKSILTMMLGDIKLYDGKHSEEYVKTCIHNLCGY -DVVLYSNRKECMLDQTVINGMDIQFSVDFYGLNKRGKIRVLMGQLYNMGICVLGSINFFK -TQIQSRNLILLRTTYKIYFRLVQMICDDEETIPLIRSDMQTDC ->YP_009329901.1 hypothetical protein 5 [Hubei insect virus 2] -MDAYTAQIPLLDESDNTVIGYDLYKFLTHYKITERENIEMHELSCDNRISPINQITWDGT -PGNLNDTISTIITGGGYLENDLNKILAVYRGIEGGVLQIDIHGNYERIINGVLLPGYFNR -NNSKIMNFLSALVSITARTMDNLQKKDIIASQRRYLMYNGFSGNRSVEVEYLNVLITSEN -RNLLVSPIDQLNYLKGLNIFNLISSLIGSSKQRDMALSKTVLLIYITMYGFIEKTAALSL -YDHMYIGSLPNFELKRCEQYVLLDCLLISYMQRAYFSSELLCHLSSNNKVSDRLNRSVDF -VCGTLRRHRTIRTLERPILRTRPETNASGDGASNVPEQ ->YP_009329900.1 hypothetical protein 3 [Hubei insect virus 2] -MSTDDGFTTVRRVKTSSRKRNSPSQINHTHPVRKNETTPKLVNAFSSSSDVDESEANSYP -RRKLFGNKIFNDLNVWDHLFTEYGAHPMVTVEPDDVNPSLSGCGLFFLQLYPVWWSFINT -RVNCQEKESERFLWLKERSKSFMTQFGLAFSLCGVETLQSIHAYLRSALPDLQEMEYTFD -PKYSKYLPVPKTSKKFNYFVSYCLSMTGLSDEYLTELFNPEQRSTYVLPEFDLCDFDEQV -DTIIDIISSEFVDLEFPALQVKSIQTQVDVSCKKPKGRTVSPPQPQAIDKIEFISGYGVL -CNSKNTKCGSLPAHIKMGIVDRNLPYGLTFADFLTSQLAHVSNPFKSIIVMPLINGSHMA -YGSVVSVWTDVNGVPNDTLFIYTPMLKVLRRLVESRKIILPEDNIGKIADIMCLKFSSHA -LHELAKIKLDVVTAKNIVSQCGLELMALLNSSTAREADRGRFVVFSDPPLGSDSISLPGL -PFISIVSRNMTVYSRDLKFTSIISVRSIPTLSSIMNETLNAVIHAETRNSIKRSLAITYS -PEGSKDLDMSGLQSAMYTRSGQWLEPIPYTLRSFEYLLTPLLKHCVKFDTFISCEVRYEI -DKCGSWKFCCAKFSYEDYDAIENTALELQAIYCYHDLVGMVYKYKENDIVRYRISGNTTS -LIDPYLIGDALITQRGKDRACGRSCYMLNGNSVRNFSMCFDLSADKVKYEKMAFLVHYLF -QNRVISYFQYLLKIALSYKLSLEDHEGCDVQCITSILVPFDQKNDQARLNGNLSDMSSKA -KEIWKHGVLKLYLDIPSFFPGKKTCTICSDFAISPCYPNEFILSCCKRTAPTRIDAAPKR -LANDVMVQLFKNMKDEAIANEHLKSKYKIK ->YP_009329898.1 hypothetical protein 1 [Hubei insect virus 2] -MELAIANNRELKRLRALIFSILPQDTSNYITWIKYMMEIFSTPELETLLISRGIDKILTY -LSEVISAYTYSNSVIGCAIVPMLNISTNMIPSLKTQNVNNIYLLSTFGGNINYIAESGSI -GFKLRVDESASQWEFDVYKINSKLVGGQIVKNVSKQLLKKKTFDENSISVSVQNLTMDVE -NYGSLTTYIEIFCMYLIVTDETLTFRILGYKVSKSNVTISIVDEVICDYNITLIGLLLQN -LRAYYIPLSIEDALLTNKFTRSLIIPVLYRYISDGESRLADLIYNYDAGTTGAAETLNAL -AEIYELSKNAATVQPVTMSYFGPSLTMSIANFTRQNGYLGDNVIPAINLSVNTDFRVFSV -VRAGNDNLGSEDIFTKDYSIIGGPDGYGYNSSDVYTINGDMPTVATGTLNVTYGGVTIQK -TVTLTLYTEILSGQHTQFGVSDGLNWEKLKPFVIALSSNGAYAAGSYDGMMHVKGLYLKA -NGTLSNTKFLRLVTSCAYEVCIKAEIEFNEILAESSTPVTLNLTISTGTGTSVVEVVMKR -KQVVAGGVTSFVLEGVTTLGSGNVKLNNDYTIDNSLSLTTTNCPIYSWNKNNSGAWAPYQ -IGALNGVEIYLLDYDDYTQNFTYDAHTNGGAAFIYNNSKMMFGNATTFSGAASDAPAGTS -QLHYDSRFIINDDTEYTYEGPSFNVTSTFQNQNFFGELASFACSIVKPETTKTTAVRYTH -DETSFKSFISDVTFATADAAIFYNFTLVYSTMAIPGQTYVISNMTLVGDYLLAPSGYTTM -YDTSDAIASLANSMTIIINSNATIKNEIANITLRLDNLTSTVNNIIIVVNSIQKALQQEN -TGSVFTKITDTLGELFSVAFPTMAPIILAVTVCINGIYAITQGDVESGISDLFAGIFGLT -SAGLEISPNATSTMAGDITSIQNVDQSVSDSLCAASGKVINIMGGEIVTTDTLVDILDSE -YLDVSHQPCYKAVYSCSGWGWDQDIASTTTTTLLPTCMLPAGYVRISYNTVVDPNGIVID -NFDTLITQISDGKGTGMYTDFDIATLLSNELTGNDFYGEMQVNVRPWFNHLCANKNTRNY -VTDMLINYNVSFLSEVASLVNASAEYPVIQKTSRRNLKFKKFAHRLRASTALNIEHTRNS -TTVNTQLVARNLCYTIDVIRYNKSTTLGLNTNKYGTLHYNNLRNAPSATFARFTT ->YP_009329896.1 putative nucleoprotein [Hubei diptera virus 3] -MANLFNLNTAINEAGAPVSNLDETSIAANLDKDKDDFVNLIIEYLGNEDVMKCILIEIAY -QGFDPAFIRAQMRARQPDQEKRKKQVGFLVLLMLSRGSSCIGRTGKVKPETADYISKLVE -IYSIKRNVDPSVSNRDCVTLPRILNSYPEVALAVLSKYPQVNRPVSKEQMIHLGYDDFLS -KLRGSFVFSCLPMSVSTADRDKHEATIKALLAYQVEESIILRKNKREKVDKPQILSANLV -YALAAHSSQIVSNNLRESYDYGLQMATAQKWIATFNNTYPSYTDVHKQFV ->YP_009329893.1 hypothetical protein [Hubei diptera virus 18] -MSRYNFNRGAPRGRGGQWRAPQNNNYDAGYYQNQNQNNRSNNNYPENNSYMYEAPQTSGR -GRGRGGYRRGGISNDPKVNVRNPLDAKDPVAQGKPASMPTGQHLSKTNSELKNDPLYLEA -FFPSPSEELVPLPEELNHFTGFDGLSSIINESYDNFCSHSLNFKRSVSLSSYAYYISVFC -WARVLHLKRLNKYRLTTNEIEFVDMIYNQGNYLLPKSVTLYLSGFGNFTIPSSVESKFNT -KPYSYDDAGYFVNFAEEFYTVTSYPCISIFAERIMRDMAFTENNRVGEAWSPNDIEQEWN -TRCLGYAPSVELPSLQLQILNMAGISSENFPSDCEGLLINIRLLNSIQKYLLEIPSFESG -PIPANLTGSLGQFVVEEVVENAVALDAIDNIGSASFITKSPLSCPGSLSYLGGSFLYRVA -KKALPLNKIKFFFPYTINDINQLDINRLDTLNHGWSPLLENIYHYSNVQFKPILRLKKFC -SIDVKPTSV ->YP_009329883.1 hypothetical protein [Wuhan insect virus 23] -MNNLREIEDQLPAQAARGPIGAKSKKRQGKGSSKRAIERFRERIGTQELDEFRQGILDSM -MFSRDEGQLSKAVNSVQLTQQERAIPLTVATRGVGFITAIVYRRTCTTWDLGAISVIATI -YQIYRVHLWLVHLKVYLAQQCQVEPVTTPGALERITVRDDIRELLYTVTRVPSTLAMILD -NIGKIKINDRIYHMGYAANCPENFASLVITPTTIRQILVSLTQEANPDIRADFIAHNSIP -GLNVEAGFAVNIDSVWPADAENLLAGDVHAYKSWVTRVESRLPRHSFSDITWSGQATSGG -LWSTERLSLCLTSQFTPGRARTVRRRDAAGELVEEREQREAVYNGFTLTDERTTFWSREN -TPHVAIVAGIAGLVGEVCHLSTRHEIHSARSVVHSPIALMYALTDAPR ->YP_009329881.1 putative nucleoprotein [Wenling crustacean virus 9] -MAHFHTSQAGAEFVIGAGQVAFNYNEMYNQFAALNIKTESLEPVFVQSKNIRDRMKGGPI -FLKLKKVDNTEVRILLEHGVSDQGHMSHKRFFACVARYMVVKGKVPANNPLASSVGLTND -KGEAFTLYTSGMEFNFSFRPNLIAAIAKLQKRDLGYQKENFVALTRQKAGGTPFVEYLRA -NQADIDAMMAGLGSLTAGSAIGARAQDVFDAL ->YP_009329880.1 putative glycoprotein [Wenling crustacean virus 9] -MYLQQLLAVLSLFAFNHTWAGTVCSTNDPDNPARTGCPKSLPGICRHVITTLDTQIYLYQ -NSTYVMTDKPDLFCDPYQAPWTRTTYKVADVDTIGNVMAYQTCQAAPERSFGLFQICPVF -VTLTGDCTYKSINKHQFELSTSSRIVAIEMHGAINHRTTLLHTQVFTIPSITIGNNIVYI -NCGKNSWAMKIIEDPIDTCVHKYTEIYQYDFIAQLRCRHPVWFFTVIVVIIMLAVNKALI -WTGLDSIVYPLYAALLAVLYQPILYISRRLFGCKYCLKTALIFHRCTLVCCGTNHYTKEN -LQQHKSNSQCCEYDTIYHAKYISRSFIPRTLNLILCVLILVILLPVSSAYTCNGTAVSGG -HKIPKCGVSISSVSCGIVEAFYPDFTCQDLQFFGLIQGTNQIPTRRHKRSVTIEHPAFQT -DLPRVKIDKRYAEPVSVQDAVITSTLLSGFLEVDIPGQLGESRMFKIQEEGMLSPTYVTI -SIAEAKCAHAVEKIYSTCDTQISVGDLKESCTGPTGDCSSNITAGMPSVRKIWPQVQNWG -CEEPGCLSIYTGCLGATCTSNAKSDCADVLRVSNPKCEIKVCVTIHNKHECQILERDVEK -GSIYATWTYQEPSRIIATNTYALYKNKLLTGPINDLGEFDFKFGSYQVVSPDITYFLGEP -SASYQCHAVAYKDVSFNKCVRDTFHLSRALQHVQGLYIGPDKKSLYEQDLLLGVVTIRHK -LRGLLINELETASTISLGAAKCIGHSGHLKGVNCTIGITSDSIGIAHIACDDLHISDGKV -TVHPGHSVLSWFGFTDNVGHNIDNCTFKFRTRTLPFKTTIDSTPLAALNLLTDPYFASQT -AHNQAPCTTFICTIWTSIADFFTGVFNTASIITGIIIVVLIVIALYLVIYGSIKAYHSFK -QSYTAASKDYLKTA ->YP_009329870.1 hypothetical protein [Beihai barnacle virus 13] -MDTSTSQPSDERESAPTHFRSSSNNKQNSTNQSGRGGARRRGRASSEKHNEAMRKFHGPS -QLQAYRAQLASNNFLDLAELSQQLASLASASTPLTVKLPATTRGLAEAVLGGYHMAFRLT -KEPPISLNAFYRVTLAQFELQAIRSQLRDHNISLRQYHYEEFFAKYASFEQIVAAHPATL -KLVVAVIEQYGTVSVGGKTYSPFIVDSNVDWSVEVRARRRGHRATGASTSALPHRVLEDG -GERILEVRKGMGPDPYTVTFRNLENVVRVMSDENSVLEGRKWFVENNPLPGARYDDRYVL -QNPDEIWPPNYTDASLSHEFQLLEAWISRLSGKFSYLTGRLSYSGKGSEAMSVGTLTDSH -IATTLPQHVTISESETNYALDIISDQSMLLGTLSRWREYPDYSRLPDNVTTVDPRLYEIN -SQSGAVRKIVADWHALTAAVLARPV ->YP_009329868.1 hypothetical protein [Xinzhou partiti-like virus 1] -MSKRPAEPAFGTPAKVMAGDSADAHTEVSGVIGQDNPVPAPRRQSANKQQLLDSELASRP -KPEELNILRTYASNVIGPTPCHSDIYVLYTHTALDAFAKLVRRQILNTLYPDGDFLPQGV -CSEEDWVIVVQYILKSRIDLVYANYSGRRPPDRVPLVRIVMPRSIASLINGIGVKQVFHG -NFSVCPQPGDPPVDRATWLINLATHARIQSFSNLVLAALQRGVIHPGTASNLVEGTGWWL -LYAANSTNRGQIATIDTQSVTVWAQFPEWTPSDGLLCAIAATGFIGTFIDDWTEYTYKLE -SVTDSVGMRASYAVLG ->YP_009329860.1 hypothetical protein [Beihai hepe-like virus 4] -MAERTAFETMCRRGGIDIPEVTISSPGIFSKQVTDKISTLQVHAEDFVASIVYNGDIYYG -VGSRKKLATNQLFCRLMGSPHVKRIKAKEGLLSMCKDLGIACHITYHCNKHESNAHVDLQ -YNDESIFTFVLDDVRFHNVLHEATEMFNSNKELVLSRFERMKTDKSPMEVLSMMTNLTV ->YP_009329859.1 hypothetical protein [Beihai hepe-like virus 4] -MEEASNAGGQVQATAHPSPATTVGSSKGPAGVYGPLTSVPVETSKIFFKHKIGTIKMDVA -TAPGTLLLSYVFNAVHDDITNARLSRFLQYKYVDLKLVAKRVDIAFARSGSILVVPSHDP -FSGIDQTMVGKALTAALRSPASKTIAVSDQISFDMKACFSDTNDPFDWLYITTGNETVPS -NMTVSCKVFILAQDAPQALTFSIPLYCEGTIEARGYALQIPKTLNFSQNIELNVEPELEF -YPVTGDYALRYHQVLDIEDTAGHVYVIDENLHKIVIEDDEGHKEFQNIVITQGAGAIVER -NLVVFLPTGLIDRTQMANPKYVRGMPNNLRIALVTEVAEIKNDISHNGVKLSSMPRGVVA -IDYDRQNNYVPTHVATTAYAYANGLGGERLVPTRPVADVLRGFAQ ->YP_009329858.1 hypothetical protein [Beihai hepe-like virus 4] -MDFEKYFPKPFIPGQSHRTILQYDQFKAIRSWHISSVSIASIINLIIGFTVLAALANLEI -FIDVENDGVIAKLDEMKQQMSDNSDRAHLDSTDLLKQLKLMVTSLASANNKLDSILVSVN -QNRDSNVASAAAAAVIESTILLVHQQLSNMLQMYGANTARDDIFHSKVLSGIDAITVNTG -DSAGQATIIANLEEIKASVAASLAELTTLNGFQAVIAEEVTDVNVELVGIGASVATLVVL -QQTTGIRIREHSVTIRDQPILVDVEKFFPVISTRPASGHEWPVKGTVNTIPDFQGGSVTI -EGTITTSPAVDSVWTTEPRLPEVLNTSSTPSSGSAWFTNPDLGSTIVETRARPVGRNFEV -EQGIAEDWTPVDWYTIPVFKSVVRVKPGDDPTWVVAPADNTLWLSNSTAKNEGPISISGP -VETVPLSGSIWHTAAEPVTDTVWDTKASPTVGAQWMTKATPVPETIWSTVPDMGTSIVET -RARPVGRNFAVEQGIADDWTPVDWYTVPVFKSTVKVKPGDDPVWVVSPASNTMWLSNNTA -SFDGPVVIDGPIETKPAVGTFWHTVADPKIDAVWSTTPNMGSTIVETRARPVGRNFEVEQ -GTADDWTTVDWYTVPVFKSTVRVKPGDDPVWVVSPDAGSVWLSNNTKLSDGPISISGPIE -TTPSNGAIWHTNVENTVVSRIETVVTVAPELGSKWEVTQGGELQVKPAPSTMWPVYPIDG -TVWLSNNSETTIAGVIETKPVQGSVWHTSIDNEVDARIQSIVTVTPELGSKWEVVQSEDF -YVIPAAAATWSVYPAAGTVWLSNNTLSQKTSVEGIVYTAPASDAVWVTDPSIPSIINTMP -TIPSPLDVQVAGSVVTKPDLTAVGPVVVQQSPNTLWYVNINDTDGPLYTKPDLSPNVAVE -VINQVSVVPVAESTWDTIIVDTVGAVATKPDLTPFVSVEVIGTVDTKPTLTETVEVEPSS -SAFWLTQAVPADGWDWSVKPAVQTVWQSSPVTVVNTKPQFSEAVEVEPSSSAFWLTQAIP -ADGWDWSVKPAVQTVWQSSPVTVVNTKPQFSDPVPVTDSDVVAKLDSIECTVTDITLADN -VSIPVRQDYINPWYVEQEPNDWWTMVQVPGHVWAVKETPAKAPTVRANKYDL ->YP_009329853.1 hypothetical protein [Shahe hepe-like virus 2] -MDSITALTNYCDSVKAERPKFTFAHNAQKCNSWLSSVSVLGCIFTGDGKSKRESMHSACA -EANKCLHIVPKANFTTKDDFEFYKEHQEEMKFEVTTDDGVDFRVRASSSVFAVIAIYKCK -KDNLIMSFIFATSYINRRITIEQFNGLFFIF ->YP_009329852.1 hypothetical protein [Shahe hepe-like virus 2] -MNTKVILVFLALYANVAKCGYQTSRMGNWQFYPLYNVIKEFSDATSVDFELLRQLLLQLF -TQLFALMKLYAEYAAYLFFMVANYFAEYFINTYNTFVDLWQYTFGTLVQIISNIASKFSD -QMAQESEAQLKLMRGINTTVSEMIHKNFETSQKAFDEFNKTLAEQIDELKELQTKMLEIM -EKFQPEVWLTQHLAVVSILGEFNYEGVGSCAFGVCTLGPGEIELEAKIIAEVGKKGPETI -NTN ->YP_009329850.1 hypothetical protein [Shahe hepe-like virus 2] -MNFDLIEDVVGDVMNIYPEQPIHARAMIKRHFTLEKLGDHAAGKYWLIPITFQEPDQHAE -YMMYRISNYKLEFNNTKHQMNVSTTASNNNDGYFRIAWIRDPMIGTPKDYMNFVTRLPGS -KLVSWYDNLSFQVETNGWRYCKKGKDKRLTDYGDIIIAKFSYTGLDGVWTESFDIEYSVP -TLPSKWTPITCYALDTLAAAGAADYKDLLSFTASYSGAQQLYCNFKLGLEKGAIPTQKKG -RITLGKPVVIALIFTATPVSGDPYDVTYEFPFTSAECESIGTGLWGGNLDASLLDIEFYI -NPYGELGADVLSLKSVYWDQDPNISADERMSLKAQLIFAEEVPDSINDLIETLNIGAGSA -YEDLVNKY ->YP_009329848.1 hypothetical protein [Shahe hepe-like virus 2] -MSKGFTLDSYYLFLPLSFLGAKFCLVLIFQSRFVSVISKFISLSLFYVNFSSCQSSTTGF -SLICYSEAILDLSWIISISTLRLPTECWMVQHAPHQQPKCTSTNFGMTSTQTGYPKLLTC -HGRWTMKL ->YP_009329884.1 hypothetical protein [Wuhan large pig roundworm virus 1] -MSKRPGSPLVGNPAKVMAGESADTHTAVLETSGRAEDVTMKEQKSANKDRFPDGRPQPTE -MHIIRLFTENVIGPTPCHKDVYITLKTDMLDAFSKILRDQILNVLYPDGDYAPGGVCPEE -DWVITIRSILKSRIDHVYAAHSGRRPIDRIPLIRILVPRSLAMLLNGIGLKMVLHQNFYA -CPAPPAAPADRGQWLITQATHARLQNFSNLVNAALQRGVIFASTISSVPEGTGWWLLRAA -DTRNIQRLATEDTQSVTVWAQFPDWTPADGVLAAMAATGFTGCFIDDWTDFAYKLDSLTD -VVGMRASYCTQG ->YP_009329847.1 hypothetical protein [Shahe hepe-like virus 1] -MSYAKLCQIANQRKLAIPTAKTTKVNENNEDFWEIYLFFAGFTTFGKSKRIKEAMEKASS -DILKFFPENAKVEPTQRKMIKDFIQKKDIEFRVFPSLGGYKVTTMELNKEFSATADSLNG -IYSAMLSVGSEIFSFYNLQEKCEEHREPDCPLCHKFCDICRYFGQTCDDCRRM ->YP_009329846.1 hypothetical protein [Shahe hepe-like virus 1] -MNRGTTYNISSTSLASLAKLFDKLGDSIYQDFTLLTGFLLPYLLSFSRNLIQIIQIFSSV -YLVLLSIQVILQTFSNQTNQNFKMINSTIQEANKNETFQIKNQTDYLIIYGNRNIVNQTD -ETLKFLNEAFEQVTELLDKIEVNTKNLKTEINAHTTEEVSSVKTTLTSITAELTVIEACA -CSPIV ->YP_009329844.1 hypothetical protein [Shahe hepe-like virus 1] -MQVRILTQYQREQRKDRVKYLLQTFKLSLSKVPKTDLFRQINTIISNQFKMRPLMPVPFS -VTPPKQASHSIHHSRVRNTGLAKLITNGKNDEFEFHLLDAENKYFFDENALEMFKNHEYY -RIKEITMTINNTENWLKVRGAFQASYVHDPEGRADPLSVRFQDTQLVRIGKAVVWQIPVL -KKWLYTTSGGSSGSKRLSAAGKVIIKIRSKQNNVKDAPPNGAESYKVAVTIDAIIEFCSK -TRILRLGGIIYRIPFTGDAGGQVVNDYVRLFTKTDDRDYYLDIRIAELFPFKPAQGFFFL -QSPVMVTFKFHSSEETNKIIIYDFMMTNGNFYMNEDSLSIWLRTKVDIGSAYSGTDFQPD -ELSIEFQYDSAYLEQGIIPNFITFMPDDFEKEKTFSYRDQRPRKFLQ ->YP_009329827.1 structural protein [Bivalve hepelivirus G] -MNAAAPLVDNSMNFDPMSDSTSMPEQTHGKTLTPSQAFVCKVTHPPTTVPEFAGLPTQDT -RTQVVYNMRNIDVIKTPITFDKTSGKYEQNSWTDHEDYSFIVPTGARIKWFGCCYQVNDS -QTTPTTADAYDQDLANVGVQDNFDFKSWSSTVNLYRPCYKSITLYPNVTAFNNQGIISAQ -QFNPNILFSGSTSALSYDKPKLFMQALDHLYSQINDKLFCNEETHVDFHQTVVESWFKTR -KLRVRGLNLDPNNFLQILNIGNVGYGSDTSSLVPTPSQIAQNSMRSYQDKFINGAFVVSR -INTLSPKWMAGSNTNIPVNGLYECWLYSIGPDGRPHLVSLKDPVAAGTAAADAKPMLDTL -WSSDMTWQFIRVQGISPNVTASTAPAVVSPIAIKHYYGIEAQPVWNGPWNGIARMSPKPS -LSEMQALMDTFYEMPDAMPAKYNAMGAFLPFLASALPHALRFVKDLITSKKSKNDGTVGV -ATTTKRSKASTKPISSNNDKQIIANLRKQIASMQLNNRPPSKRRKTRKPRSKSSPIIINE -RTK ->YP_009329825.1 replicative protein [Bivalve hepelivirus G] -MSINPYYASNRSGELASMDTGYVNNAIISANSIANTKVECPIALVSSEFSWLREALRPRE -LVLCNNNKKYLAHRSHPLAAFMTDYATKKFVSIASNYGRSIDVGGGFDYTPAVGTHICAR -IISNREKSRYTNSAIRQNNDELFQTARGNLQHTVCHSGAENCTYRAPYCYSVNANYDISL -NKLADIFNIHQAVVYDVAMFLPSVLNNKKINIPSPVYNVKLVPGNRVLFYFNDGSNDYSH -DYDTWRSYLVVNRIKCKDFDIVSEIVDNISDFFIIRFTRVEYPCVNECLSRVFDYNKIYN -KQYTAVPNIIKTLGGVVGNYNANYIICETDFVHNAVTYGMKLTKEVFAYPAFNTHCIAYS -KSLIYGPDNELVYQGISNKNESFNELVLNLFIYVAIRRCDVTQTIKNAFLKINKDQKRGI -INRCWVSFKTMILDSQSTFLENWFNSYKVVDDITSIEKIVSNMMNIRIVNLQHHMYENTP -FCKKPFDAIKAEWKIDNKSDFDAETTYEDTINEKQVVKVPAVEHETNDKFADGQCAYRAL -QCSMHAIDKTALRFDVTRSELDAFKKLSYYHCNVSDESASAEIKFSTTGVIRQLDAESTW -LSLEEVFLIAFINNENCLVINKKQNTVSTFRHKPGDIKMKICHDGVAHWYYSKYVGGYKT -IVSTTNQLLHTNLKTMDTIYLLREKTGNHMQAKMRELFDFINTIGKDLALKDKNRVIDLT -TAPGHLGTIFEKSKQGYRYYPYTINGKLKCMHKYTNEHKYYDQLNEIKFQQDDIIVIDLF -IHEFSFLSDLLNVLSPYNHLIIKCDPYKVDGLIFPFNNFQYKEVFKMDNSLIQCGEIYYY -LSGYSKDLIPSNRIKRIDVDEINNVNHVANRGEIINKGVDDIVAQESMIAKNFNTSVKFY -VDERSYNKFITDNTLCKYPKPKDFTLFCLNGIGGSCKTQRVINVYKPGTDMIVSPIRSQS -DNLMPSGMDSKHDIYTYIVLINHLHRNPTVKVRHLYIDECFAMLPSAIAYYYAMHLQGRI -EHIHLMGDSKQIGPYCKDKTILEFNTNNYVTETRRLPQDITRMLKTYIPNASTTSKVASS -YKKINDLASHKVDIALAFTQDAKAYLAKLGYKSMTVNESQGMTFSKVLLYLDDYCKIQTI -DKTTAIRQVYVGASRHKDELLVYGKSSPELQILLTVQGAPIDDIIEEANIPLVTEPQIIA -VDVKREWRGYNPNIVTTKDSVIDVLSDLNVKKNFTHSTDIRIEPLKLKKINGTEMKISEG -LLHPVDVSIKGGKLTDQRFVLPYYSKDSFGTLNTQIARYATTRAKESPDHYKNLRTGLSK -FVNMNKFKQLKFDNERLNKHFVDYIIELQKKILPAATDVGRIMKLPTVVTKEAGVGGVYY -DETVMKEYYVLDESNEEWELIQMSNLKSLSKKISNVVNKKTVADMFAVDLTDIKSRMITF -TMKKQKTRCERME ->YP_009329824.1 polyprotein [Bivalve RNA virus G4] -MNINSTTTTTTNDKPISTTVSQFAAPIPGGLSTDEELSTDGSESSNQESDDPVQTGFQPE -NETSCETLAKYLADDFDFSGFRDKTDQAVLESGLEITSDPRDSFTDLIDAMLLSSVEERL -SMSGRKQLVPLTRSDFEAAGWVCTPTTMELFLSDQFRFSISDSGVCVYAPNRQLNVTEVR -KLRAIVTEVFTISELVGDVETNTWYQVGATAAVVVGAGFAYKKWRQFLRKENRQPMEYLR -LHVSQYLITAFMEDNPGISASTAKSYVDAAVDVVIVLAIITNSDGPLSPVAFAAVLFQHT -RTRQFNRTTLLVSIFAWAASRVLHTMAKKQHLVCDAGQTTSNFTMKDIDALCEWLPSDMH -DRVRATVSASEKFLLSRKPTTGNRVRDVLSIIMLARIVRDFVTWVTDVRSREAGELLERA -TVWVNDHDANGERPLQCARTYALWHDMYLEASRARLLYTKEFTKHAGWQRFTSLIKGFDM -AAAIGRTTRMPFGVRLYGPPKTGKTYLRNQVVNLFRLIEGVGENEPHVFALKDPNGQDTY -MTGLNNHRVGLWDDVLHPGPSAHANRASIMNIVNDKPFVSEQAGIELKGQRLVDFTSAIL -VSNYDDIPVPHEQESAVMAVYRRFVHFHVKSREVDGGFVVDIDEVEHYWENGGIRRRVTR -NFTEVRTFLLRVDELYTAHQQNAGFAGEVHYNAATIHDLRRNLRGEAGDADDIQATSWSW -LRNEAGGATQTIATTMHERAQVFKYKLGTKLAPAYERLCQFRDEIDLKTALTIMAKVFTV -FLASAKIVDMLVSSDNSSDPCEVQPNPPVSGDSGRRGERHRAHAGRNNQKFRRAGLVGQA -SSHEIGAASVVIKYYAGATHTKDVYALATQYGFVTVAHFVQAFELSHTQEIDTVQICSFN -AAAQFDANTFFERAVIAESDDLMLIPYPRDMSKLPSLFKLKTTHFATDHEISPEVYVPIT -LVNMTNEFDVEGGVAEDHYARATYTTSVPSYNPDLRNTYQYPSPLKRGSCGTAVMVGGLV -AGIHTCVDTDTKIGFGRFVSFQLIRAMHQQVAINSPTASYSKAAQQVCAEHGVDYTYRPA -HVASSSKYVPSLVWRKQIQPLLSNDQLGKTTVPAPQGWYKNSEGLKTTPDAEALKILLGN -QHLARRHYPIFYKMAKQLGARDSRKYQTYSAVSLAEALGALHSDKVTAVDMTRSCGDNPY -RCKTRYDCVDPGAMEKISAGIASLETSLAKANLTDAQLDAIAHELGVYADAVKDECIRKG -KDPRIFFPAPLHVFVIMRKYLGHFFKQDALEPLKHRKGVGCSLKEHYTPMYQAWRDAYSV -DIDAKRWDKLLPHSLIGAVGVYYATLMKHHGAPPRDVQIVENLFRTFVNAPRAFGTVMLD -LGLMASGLPGTTSINGVMLRILMSLVMYVHENDLDVFDNVVVSGNTYSFNGIENPEAIEA -MLDLTEKAMFNGDDAKVITEHPDKWRKNTKPVVARIREIFGVQFTGEKKDAPPTASRATT -TSFSSRTPHFTYLLNPGTQRMEEKCLGLLKEQSLLSIGKHYRASNPEDIVAPSSSLSAML -ETSMWIKACADNEDQDKEKFWRDFYEQHAIIAHKPNLSPERLLNEFYYTKKYDSIAWDEP -MATGDFPCNQTGCVGDSGEATAAELEQSETRTPAVNMGAPKSRKKKNKGRNFNDSLSTLT -PRQYDSAPKGLFSAPVSSFANLARHFDLPRVGDAISSLSYGLSAVGLNKPIKDEGKDVIG -YVIDDHTKLDGAVSATSLTMGKNNVYLSMVNPDQTSVEALGDRMHWTTAFDLPLSSPTNT -TIVDRLKIVGCPCTIEQNGSPPNLTDLNFTLFLPPSGIASMLSRGYTCDGIELVVFVPVG -ALANGRLLITASSSRTPVDLSYTNDLATRTVKHEFDLSKTSLYKCDVPWLALTPTQRVWP -TDPNQPIFGPGNGNIDDAVMCYVSVTVLQRVTTPGASTGSVSCRLGYRFKNLRLFGRNDD -YVRRGIPGLKADAGGPDDTFDMEQPTATTYAPKIFDQRLVEGMPSVLHPVPTLHERRVLL -QATTVVGTPYQNTFNLSDMLNVDVVNYLLSYKRAFKYDKLTFMVEVLGGPTCMGHVRVVA -VPLAEAWACPTIRDISLGFYPHAFIPIAGSHMVSLPTPFIGPYPVTRCNATEPHEQVTWR -DSFGYQLYIDAEPATDYDAIPVPYDLNIYAAFSNVEAGIPDTLVADAGDFGGNGPGDFES -NMPATDDSYRETAAETTVNVGRPNVGPGLVGAVYGDEILSVNQLGSVLTPYGYDIPAVTG -LEPFSNVSLDISHDLSDRFGSARNFAQFVSSFYALGRGPLLLSVSAQLNGSNALPSLCSV -ANKPVSLLTAVPTTTALTFSQDTHLGNGAVVVNPRMSSVVNAELPPYYGTTLLRDSLEGC -APNFKSVAAYTSGSK ->YP_009328940.1 ORFx protein [NL63-related bat coronavirus] -MDFMLFLYALSLFIEEGLPVAIALGVWAAEVTGLVYFLYVDGPVVLFTWNFLICYAFLYF -ILVPLVQELFLPGALDLALDQLRGFHDFIVRAMFC ->YP_009316235.1 putative P7 protein [Cherry associated luteovirus] -MFLSTWKMGPIGWDMLRSYLSTKLLLMGLTLFDGPKLQPEWSSCSIISTGQVGRLCCYKL -LSRLTLPAEPEPMVPVAET ->YP_009316234.1 putative P6 protein [Cherry associated luteovirus] -MNPGKQVHLVNSGVYIGALIGGKLGGSSTPPYYFWLVRQTNSTKIQKQSVLLLSFMKLRS -PIHVGVKNMGRVELSQLCR ->YP_009316233.1 movement protein [Cherry associated luteovirus] -MEGIAPPQWPNQLWSTYNLAEEEEPVEAMQEETEYLIPDQGIASTGSLLQWTTSRPTIPG -QSSSDPLFHSTQIFQMAYSDPSTSIRSRILRSSSSRTRPAPLAGHSLLRLTLPASNPIFA -LESSPSQSRKALPEVSNPRSSEDLSGTRLRRINSSSSTKEMGRQKSRGSSIYRSP ->YP_009316230.1 P3a [Cherry associated luteovirus] -MFLSGFLVGFLCSVPVSIVSFIFTWFYFTRKVQGISGEVQSPRRF ->YP_009316179.1 polyprotein [Dioscorea mosaic associated virus] -MSFRQETTAARIRIELDGKRQEYALVQQIYRASVKEKEKMGYISDEHELSKRIEREYWNM -RFIEVGVKAMERDLKKQCDLEKLLNSSVSARCQNIYLPKIINYWNNSHVLETIVPLILIF -IKNVLFYAGAIILLFYGLLCRTTQALGDNSRRLNRKMSAWYSIFLHYGSTIEVNIGAKYM -NGGRDIQNVYSRGGELFNTSASDLARRLEAHADTARSAEETSPYYKQLSSFIRNGELHID -KSVGNRVRHIFDSSADFQFTRFRSDALASVSTKEDAIVKNYIPLTDIFDPMKEEAKLASR -DEKRKKMFQPKSVMIQACALNSVVNVPHSNNGVLFVHTLWMIDKRHLRKAILGGHIHMAH -VTETAGSVFFPMFRLNTRDEHLARCLAVTTIGSGFSLKPGSIVGTTVPLIAGEVSGNPMR -QHLKKGMMEHIAQEENSFHTDRCLPIYPHKPSTSAVKSIEFPWDVRVKNLTYSSSSSSSN -QAVWDFSQGDSKRVLFKIPSDVPGVSRVQDSVLNDSLEGDIQERLNGDFETLVDTVSTVS -GGSDRKEEKTVLREFDQDLTIYTERFTISKTAERGKILKVFKMFDSLLEVNHPTPGFVEV -VFNPVIIPFIEMRVTVSISKMFSLPMILFWENEIFTKPDATVNVKRLLSLPSTTFNLHSA -AEFKSLIVVPIGHTGCFSPLAIKEDSIGQFGISTLGHNLGSTGTIDVKAEFLLKKGTRVV -SCQQLFDITLVQQRLHTFRYTEKFHFDKLYSPFVLALLTFSSTSKSGASYEISVLPGHGY -SELGRKSMLYPAVAGIFRMWNFWNGSVEVELVANARIHVAGSCTLYCVPSNFPRKFYKDT -FLSRFEQITVDFSDKPRATMIFHSNSWLGKNLTKGEDDYAFTDDMSAECKLLLILRQAPI -VSVGDSNSEVHVMVRVLGFQNLTLSEKTSRSKQAASHLSSKVATNAFPTDLIIPNGAEKK -KGDSVQADTGNAVVYKSLKKITKSFVRLFFVTPSLGDDFTHNTLSFPVTFSLVKSMFKSD -QVVMSAGTDKLEQGKVYIDSLNIYSDMMQAWTHYSCDVEFLFVPYYEATWGQIVASHKLD -VLDDATYGLETSTLESHIGGAARSSRSCRGEAFSLFVPRREHMMRVGVRKKQVQRFLDVN -GTIYVQFPADVDFMGLAVFSRPLGNISLGGESWSNRDTTSSTGNLYRETCLFYDDI ->YP_009315895.1 P0 protein [White clover mottle virus] -MNFLINNQNSRIELTFSNSLSLDTRRSLTALFLISAEQYLQISHEQHGTQNSVAYCSLLV -CSFSTRGIQFPRWQTCHPLEFEKSPPLRALPRAFGEGEFCILSSSENGAAELYSPNPNSC -HRANLYRLTYSSLAEGLPRYPEIFGYGTSFIQTLIGSYIRKIERGVFFLRPVFPMGDSLG -VDLRTLGFLLLDGRGYNNQYHAYYSSRIANVLHRIYGKSFTLAFWRLLQLDCGPCFQVPS -LPMEAPIAEGTCGQDSDDEGEDDEGVWEL ->YP_009315881.1 phosphoprotein [Gata virus] -MKKTQQHSLRPIMDELNEKFKQFLDDGARQNILSDEFKRDVNAILGEMEDEEMPLAPNDH -SPVRQSTSLYSPDHDLDTYTDPGCQSPPGSVCDPLDDEACSILGELERIVTLSDPGNQWE -GASHIVWTSPGVYSTGREFQQAKTLLKSVLRSMNRDSGLNYVLNVGKPDTLFITRPDSLT -VARNVRPKIPENLFVDNDKWEFIKAQFASGIELKDVDEPGKTLVVSFATPGFKEGDIKLS -FLNGNTTVRSILEDIWRAMGRWAYISSSYHVDGIVV ->YP_009315880.1 matrix protein [Gata virus] -MKKTRTPNRTEMLSRFRSKNKDNDAGSSDYWANPPNKNPEMSMPNSPVYSFTGSWAISGR -FEIRTKIPIQSGAKLLDLIGAWLDGYSGSYSFHGIFTGLYLLMALHSLPSQENQTYVYSL -DLSKRIAIQVTRQDVINQEADLSWVNQEGTGLEWCVIKFKIHLKPTRRMCIPFADAYKQP -MHTGADPPPFEEVYFRFWNPQSGYCSIIH ->YP_009315876.1 phosphoprotein [Orgi virus] -MRRVKESTIEAAKYFDSKGFGENIIREGELLDEIQGGSSYEDIKDLPNVSTESKFELSWR -ETDDPMITPDDDESASETSDDDDLENLDEGEAIAESLSGKGKEKAIRLHQALSSTDEDSG -CHLLDLTFVKNPHIRRRICDEVKRSYKWATTGEAFTISICPNQGGLISLHKQKVRTINEN -SPQPGPSRTVPETPMEIEPEVYPNIMKRLRKGVYFVGKFDKTRKTRIFNTRIRGMSEAEV -ARSLNTLETPTVEGVIIEHLKSTNAYTLTRNLFDLTEAIDV ->YP_009310112.1 ORF2 [Ceratobasidium endornavirus C] -MNQANARLVSVLTKLGFEVIENWSGGWLSKGQIGLDAYYSAKLMSIIVSLEARVATSHML -TGAVEPTNIFGQPPTYQAPARYVTAKEEGLPDMPNSISNGSEATEWAELEYNNNMAARVF -GDTKSTEPKIRGAIPEPPAGRRYLICTARSVWGYNYHIEEVVEMGGVFYHNSLKGWTFRG -EPIPSKRILAAWQIGTGEKMDLAALQAAYTAVSRTSSLTEGLRCWALWKDTALVHPLFNK -SLRDCAEYGMLSFVLNSQFKSRWASLLLSQHAIQSWHSFIEDGLQKPIGLTEALQQLSPL -CKVRALFRLQLCTTNWWEPQPRNARMCIPAWKADLQNVNEDVMYRDVWKHTNSRICIFDR -KTTYETGLVSWGEYDRNTGDWVLDYSQPNPNLHWINRVKSNSNPPSIEQDVVELVRQKGG -SSWEMPAGYDTEMRRFYEQLKKTGHFSEVRYEIEWSGLYSALYYVDKKPKVWVVMENEKW -AVLAIPWQVIIFHKEIGKHDDTLPFDTISTRTWCRSIPEIDHRLSKAGNSTDKYVTVPAW -QPVPTEAKWMNKFVHINRGVSTSKLAQEQTTCGHAQISGHRVGQKSLCATCLLATDPNTL -PGLLNLPGIAPNTADFIRNNFTSEHSFNRDEQQGGTAYHDVIAKNDCSYLREVVDHTGGT -STRRLYLTLQRGAQAVAYGMIRFPIPVWVDESMPAQHLGHVNFYLSPPIEIQTKMYPAYM -PVEFEKLKCPRSWAQKLMGGSLFALHYGDGSERPAHTVISGTFFHDMSKFSSHFNKQYWI -MTFEHDDLYYGAGLNGGVQVYVGNPRVKDIITRELALSTIENGSHMRDRDHLRDLQDRIS -QWPSNDFLYNLNIDLASTTPKPALPAPSGGLITVTFNTPNVHNFTTDLIATGSGPSVHAK -HDATIMKQQAPFGREAGASGPHNMDLVKFWSLWQYSQEWADELGGIVDQLNVLDKMKKKF -LLSTHVVNAPGYEMVCINNEPQSFCHWIKTSMSGRQLAFVRLAVFLLEDRQSSWTICNGQ -SHEMHVPSGGVYHVCRPNYHGAMLKWFVCDGIDIASNMEDALDCCWEPSKIYGSDEFLLN -LCIIAQGYTLNSTWEGIGRLENICPFGITPANVAYSNTTRLQRIPAGQNQIARVGERQTS -YQLAWPELGLARYGMDKVSIYQHHFYSGEAANGFTPSSCMHGHWDRHESGSYFGGPPKPG -CMHRCENREIRSQTNAGIHGLDVRASYNRPISTKDLQAWDYLMLQLETYIDGLPTTKLYM -TASMSFRAHGYFINLVRGQSNATEFKPGAMVITLATETGISLAYMRMAVAFLKPRNLVVR -VMNGRVYQGYKEAIDAANAIPGKWVHMNGVIPSHPPCGGVVEVGCYHGFVSKARGACGLM -ARTYGGDELFLACLATQTVTYMIVNSTHRRLEWPTTTQVPPMTVKFLGGNLDSKGWCALQ -GTTLYERIRVEMKQDAPVLFKHAYTPEGNKPTATLQELYEAL ->YP_009310115.1 ORF2 [Ceratobasidium endornavirus B] -MYWPTGGRHAAILRLLPWLFKSGPTYMMRGGRTRINGLWAEDQMMYLCEQYNNGVVAPYD -ETNFWMDGCWLTDQIDNESTMLILAIIANSGHIYGTDEAIEPILNIKIVVNIFKTGRTIM -GPRADHVTKDFMTRREIKILDEPVVGHWKLPRDPTRLIELLQTEANKLKDYVPHNPLNID -RDIILVNDKLNCQRIYANFGTIKRNYKIIRQDIVISDGKLKCIKCNLEFEGKLTSKGVEI -NEITYKYTHGDKQHEIYEPTNKKQISKGRNTFGVIHRHLQTGMQHTNNLGNVPLNRSNNS -PYLWMVDRIPAIGADIPKELINVHKYFVNKFNELPTNETTVQITTWDCELKQGCEYQILF -KAKNETCTVQPWRYIIELPELFSDKIHYAKARLLACVYGNRNTRYHISNGRPGQQGETIE -PEGFFLPNRRLWSVKCWGGPGMENVLHEGKIMEAGTYWDYIKTDINTYGGDEALLLSIPL -ITSTNNANADSIFNVSCGPNFNHPVDMYFIDRNIVVNNLDSTLNQRLSSKMDIKTGIINN -QENIYNNFKNYNLKINSITQNPTKQKQKLPSLLKPTNSKTNKITNSILHTYSKRIARNNQ -TLKEILYGNENETEQKPLTLLRENYDYKSQRNNAEYINGELNNIRHAERHNGIRHKNGLW -LSGLNKNTNIFNEISVSRKASLEELLIKMQALPNKVISNGTGASYKRHGFTNINFKPSHR -NAITLSDENIIIIETDIWLNQCHAVLRLLPAIIGRETIVVNDKVIIDADISHGQSVCVVD -YIHNSYMGWAYLNGTEQMHKEMVIDMISSCGEVYCIDEVILSTILSKVIILRPEHHFLKS -ADYGTQPTDYYDCYGRYIGTYNRMNIETAISIIGEITKPNSNTRNKDQIAWYTQSDWPGT -NGTQVMGYPLFTKCLGQLAKLQQYGAKFKVPTPHVWCEHLNPRATGGRTRNQAMHIGEID -DYYLTVESELITIWPKDCSNKRTPRFPTVHSTAMKASTPDHNHYWIENDQAECKHYTDEI -CYKNRHLSASFNLRGNSICGCKGNTVLCKDKNIRCDKCTTIENIGTIMDIHPNLCKTEGI -NLANLYTAIGGDGLTDQIIDGMYYWNVLAISNCAIARVIPTKNNSIIGINIRHSIYRQTE -IILPLNTYITRSNNFHHHVITTIPLNATSEFNKANTKIDLTKCVIIKYNKVYRIDDYVIG -NKVRRGIHYTQKSASHYLHVHNLNLQILTASVNSNSTTLIPTGEPWNIGYNEEPLRFVPW -DINNLTNTQNHGNEGVANISGELITIDNILKVRTKPNTELKSQKDLIKNRSDWKRSQEYD -ELRNENGAYPLGLTDLGLKSIDETDCIEERHINNIIKIYNYFKKLKGNTYIITALTMDYI -HKFEPEVNTIILTNTKEITYKNTILLECTNRELVTYRPIVGLLTDKKIMFQNAGGPEAKP -NSRHTIDKYMKGGSAYANALQYGGCGAANLIGKVGTDITLALKILQAFGYHYGADELIYN -NYWPVFVNNIGNIKKVKLPYNTIYSWLSDNNVLNLTTMYAGEEVGKLHEVINNKAIATTT -NKPNYNPYIKTNVDNNSIRLKFKPWCNQGYITACTYENYRSDKCTYILRDLGTNQTGNNN -LPFGLHTDLLVTGFTLFNATTRPSLCLGKENSHRLRLAIKLNITNIHNYIQLLDGQVCVY -SNLSDNNNLNHERNNINLITYTTEEITGTESIYCPMQGISQTGASIRLIGCTNKGTIMRN -GMRTHELPTYEREQLNAPVNTIIESRWTNYSGGAGKVTLNKEVNVINCINTLSMYSHLYG -LDETITNQNLPEGQHVHWIYEPNNVYICGGNKPETIIKLKLTVRGITIKHDGPLYQLMCM -INIILGSNNINEIYHSMEVFRGQ ->YP_009310117.1 ORF2 [Ceratobasidium endornavirus G] -MQMVSPLRKTKAIHILLRQAQTMYLGPAGFKQLSLWMSNDRIILLRSLLNGELRCNTIWN -RRHKHEDLVAIVTGIPEIAENHLPTKPGLSNWPIVKYNNDIQGGHWLFQVSQHRWEATRQ -RDKSMIHRNPWGWAGVQQHLTDGNVETMIENCVHMLNDLLSDNLNSSYWIMTASVEWHTG -VDFVVNLVQGKEKEIKLAHVHNNTYNLYVPVGGRALANLRCIALLSDFYMKCIIKNGRSD -DYFCNNNILHQYRPACNGLSMYGPMLHDYAPDRRAPNLTIPLIAANLYEYGIDELVAASS -EAAINLIMYNNKCWQLNFTGVAALNFTTNVKNIIIAGHKGVNWPRNVIEVNMELTSLQIA -CKSAQPGTTLYRYVKENLITQSEFGAPVVAGSEVAVEGTKEWAFIWPVIGASGLQRTPLA -QESANALYKRLVNVAYASLDNQLLDGKPLPLVKTMSFGPNDNTWGTIDTSYREMLIDTEQ -AKRMNTISSNYYPYIQPSDQWFDYTTESTMIDLLPGSNLPITLEMDNDGEGDIQKLLRKY -GQINEMFIVACPGTGKTTHCANIIGEKFTDNSQPIYAWHPNDIPERYPISACLTLSLDAL -IARGVSKQRIQAHSESVMFCFKKNIPVYDVSGRHILDTQFDESQALSERQWLEMWGYTEN -QQPLPLNPIERDYVTDMLDGERYWMGVSNVRMKWVRHGITKWPVDQLIVDQRLPLLPQSG -NIVYVGPHRRHRQTALLMTAGWDNVTYYICHALKEIGDHGDIYNSHIDTIEDWPAGAKVV -ECGHESHVCCAEHWSYENTMEVAEHLTFHKINSYHFASAKLECYMRDDTEGIYELGYAEV -RELVGAHRAWWLEISDAPSEDIVPIADWFEFKESGVETFRKLIAEAIAHAGFGCDDDNYA -KSARSTVASLPAKVPLTLKAGRFNNISWDNTGHVWVNKCGMTTFNARGFVHVVGAILDCM -YGVKHPALSNIALKNCLKPISEWFIYNVSCCVGDHTRGSCVVVGELGDYYINLAPSAEYI -WTLGGIKKTHDRHNTLGFGLQGNPASMAWPEHHHVWFGRDTTEDEHHWSKFVATSDFEEW -RYQLARNTNFRHEHRDAGNFLGAREGFFVVTPLDCDVCGHKKTVTVMCAACVILGQSDIT -SDKVPSVTTSQGYMPLMPVTRYPLLHPQRRYEPHNVYYQPNTGVQPKFQLIDGCMSYDML -AYQRELVKGIRDETFCGLHGTNEAALFMDLQELDENTKQIAAMLKFAMTRLNIRVVTATS -SYGNTDYNWINLLHSNRNHITRAGNCYRVECNIRHGRELAMWRVVAAQFANKPTLIMNGR -NFLDWSHRVPRFWHTVNEGYNTTWSSDVVIQNVTLSAATLHPGWAGPNTKLLLQMCQRWG -YIYGCDEVAVKRLSVVPTACAIIGTIDKLDTVQHPDLWNNSLLITDSVGRRLLKVKTGYN -IHQMAKIRRLVA ->YP_009305432.1 Coat protein [Privet leaf blotch-associated virus] -MASKKTMPPSVQYQQSLYQQKLARARSVRRPGLVAYSESVNPITGEQFTSNDIASKMKRL -NAWLKSNDRDFWDQHFAVQASRPAKATVGSTSRPQPVQSRAQSSTLLVQDTSRKYWEALP -SKSRMSEIFAVPSEDDAPSMTAAITFGGFDQAKVISSIVLEVLVDCKSTDEIVGHLAVVE -NDPTDQTITDGLIFGLRYGSTELTSGRRFLKLSVEAGANLGSALAGKRIILKRSSASQQI -FLRKWVEYTVVYTAPEFVTGQVMNLAHLP ->YP_009305431.1 Movement protein [Privet leaf blotch-associated virus] -MYLSKNLRSNLSSKGSSGSSKKKPLALDPERALFHLEEDFGGSPGSLRLNRKGKHPLDIQ -SSAFKRIVPQDDNLKGLSVQLFEMDQVMLEDVYKGQLQKDEFVEFNNYMTLTNAGAQQEQ -KYSLVNSSAPAWKEKLTVLTNANRRASHLYNLMLTIVPAVASNTPGNITLSFHDDRMEEG -ASMLFGIRQKVTQPRVYLISTGYSVPLNEFDFKIKLKVEGVPIKKGKTAVWARLGWNLAV -HEHPVYIPLVPALASDIEAGELPMQKLAITNMLAESSGMRRTSFSVEDASQPSFGIDRFL -ADQANTLSIISSGNDSDHKSENSTPQQPELSFIGPLPKVSTPITQA ->YP_009305423.1 PIPO, partial [Euphorbia ringspot virus] -ISMFMGRAKCVGKVVINKGLIKGMAFISKIFRRKYAQRYRENMQIIVHRVFGQVQEQGLQ -QIQQDKREDHKSHAHTCRQICMLPD ->YP_009249462.1 putative transmembrane protein [Grapevine leafroll-associated virus 13] -MRILWPLTQCNKGRSHSREGCENEVTLLVVLLVIITVVGLTMAFHKHICGMIDSNLARKL -SRVKP ->YP_009249461.1 putative transmembrane protein [Grapevine leafroll-associated virus 13] -MNRRAFDSDLRAIAVFLIAVASVGLISGGIFLIYKLRNKRLVDKESSDRSKSGNVYRQFP -V ->YP_009249460.1 6 kDa protein [Grapevine leafroll-associated virus 13] -MLFILRILYPYIQVYCLFFHSLFFIPARMVFQIHLRSATIYSFTFVYVHSSLE ->YP_009305413.1 2K [Anopheles flavivirus variant 1] -SYIDHTLSWWVLILSCAIAGVVA ->YP_009305410.1 C [Anopheles flavivirus variant 1] -MQVKRVKNREGGGGKPGIKGKTGSLLGRALKMVSGDLSETLLQLIVAVVAMWNNLLRRVQ -ALERRVSRETRQRTGDDKHALVCLILGFMVVFGCA ->YP_009305409.1 NS2B [Anopheles flavivirus variant 1] -THISQLAVYLLMLALAYGFHLLNLEAMAGACVLIGFLWILLGDTLTAGDLELRRVSALEV -PQGLEKIVVNREFQGEHGRFTEAGVKLDNFNDETNVRFSVLVLGFVAALITVNPVCGVSI -GVIIWVLTTCPLARDLAMAASCFR ->YP_009305408.1 NS4A [Anopheles flavivirus variant 1] -ASVNWEGLIQGWWHVATKSDFSLIKQAFFNTMERLHDLSRWDDDAMRSSDMTESVGTWLV -VAVTAVTTFAFSIMIFWCYRCCRSSKATRSQEIMYASAVSERGVSGAWSSMTVPVLGWVA -GIPGPILFIAAICLGLVCAFMCNSSTR ->YP_009305407.1 PreM [Anopheles flavivirus variant 1] -KTMNGYMDKGEHVWKADWNVDFGTVKLPKDFCGKGVHVEKMCPQIDLLHDAEVDCAGKHD -HFIVNYTRCAAKTRVKRNEARPFEDRVLNVWTITFNEELNGIIERVNDILRNNRLLTTVA -ICVIGMGKRWPTWLVVILVLLPWTVVQA ->YP_009305405.1 NS4B [Anopheles flavivirus variant 1] -FELDLMPRTFAILSKIATVGVATSGEPEMGSSLGTGRVTVELWIVMMVMYMTALVIAPIF -KARIQGKSIAAVFASEPLASAHIGGLRLTTMHAMQAAICLFVVYNYANLPTCVVAGALSV -AFLVVFSLDVKYAFSPTMVRALEAKCNKRETDRPTLEWEEDTKGRQLYFTMSVIVVGLWM -TIVHDQLTFVTAIGIGLHAIMCLIAPEHPFHRNINQGLITMLFGFLVEPVKLTFILAFCA -WGVMHYMKPSSYR ->YP_009305198.1 fifo, partial [Anopheles flavivirus variant 1] -STGARGRHVCPPGPSGHSSPPNITEHTPWMDHPSRLCFTDVFYHWSAVPSALLVAGYWFF -SVKECIGAILACVILVGFAHYWWAPSVFRFNAPADPVVLQGPISDDAVGVPLPVLVSGGN -VADEDRRPNCPVGIDVFSMQSKSSSSRGNRLDWERIGSFLGKRPADSRSVVWDPHGDCLV -EKEGQRVRTGPPRQHIIMQLPTRGCEGHVDCIKELAQSTRSLAELWENTYLTASGLPTNA -GSCLRVPPFELGSDGRSVRLNRIPVDPPGGHADSRGSRTPESFSSRGSPGSGENSGQSRI -PRRTRPIH ->YP_009305199.1 gag protein [Simian retrovirus 8] -MGQELSQHERYVTQLKEALKTRGVKVKYIDLLKFFDFVKDTCPWFPQEGTIDIKRWLRVG -DCFRDYYDTFGPEKVPVTAFSYWNLIKELIDKKDKDPQVLAAVTHTEEILKSSSQTDLRE -SPPDKETDLISLDSDEEETKAPSIKNQKVPVTKKSQDKPKRFPVLLTQENKADNDPDPSE -VDWGELEDEAAQYHNPDWPPFLTRPPPYNKATPSAPTVMAVVNPKEELKEKIAQLEEQIK -LEELHQSLIVRLQKLKTGNERVTNPDSTGGPPVMPRWPGQHVPKGKCCASRDKEEQPIKD -IFPVTETVDGQGQAWRHHNGFDFTIIKELKTAASQYGATAPYTLAIVESVADNWLTPADW -NTLVRAVLSGGDHLLWKSEFFENCRDTAKRNQQANNGWNFDMLTGSGNYASTDAQMQYDP -GLFAQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFSEFVHRLMTTAGRIFGNAEAGV -DYVKQLAYENANPACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDF -LNNKNKDKGGCFKCGKRGHFAKNCRDSTIKTSEVKVPALCPRCKRGKHWANECKSKTDNQ -GNPLPPHQGNGMRGQPQAPKQAYGAVSFVPASNNNPFQNLLEPHQEAQDWTSVPPPTQY ->YP_009305200.1 protease, partial [Simian retrovirus 8] -SGKSTATPSGKRNEGPAPGPEASLWGGQFCSSQQQQSVSKLIRATPGSAGLDLCSTSHTV -LTPEMGPQTLSTGIYGPLPPNTFGLILGRSSTTMRGLQVFPGVIDNDYEGEIKIMAKAID -NIVTVPQGNRIAQLVLLPLIKTAHTIQHPYRGKGSFGSSDIYWVQPITNQKPSLTLWLDG -KLFTGLIDTGADVTIIKQEDWPLDWPTTDTLTNLRGIGQSNNPKQSSKYLTWSDKEGNSG -LIKPFVIPNLPVNLWGRDLLSQMKIMMCSPNEVVTAQMLAQGYAPGKGLGKKENGILQPI -PNRGQCDKKGFGNF ->YP_009305139.1 glycoprotein precursor [Wuhan horsefly Virus] -MGCLRFIIIVISILIVNKRVCSMEDREIIVHLTNKVVEDATIQDKTEFLIYLNDITSLDP -WYHQIGCKTNNCGLRYNNNSKQYDIALSQKNVNLGWFYGSKALKDKPTYPGVDCLTPFIV -INDKITYVPELLVKFIVSICSSDRGRKCKACDNNCVYQSDLINFGLMRTNCQLVIVENNT -TIPYDVIDSPYTYEDKCVNGEICMDSTIESLCYSGSCTEIKDNQPEKCVRIPWKYPKYGI -IEVKLQNNKTSQMTCKIDDFCTLIRSYTYFTPLLHPYCSSTKEKVIFYTLFIMLIWSVLI -LTIQFKLYKVLYPCYKLTSCLKFEIMKYLYERHSIEIEMDVLNSDGSARKGKKSKSWLKQ -LLLLIFCLQRVESSCDFAFPIHSSTSVCINGSCDEMEFMSYHVPSGNLHICGNNIDIYIM -KTYLRCVPDILYYTPITIIKASRTWNCLWAGDCTNTCNSSVINHEINEKDQYVHLRSCEK -VPGSGNVCRYDDICVWRNIFIAGKDNGLKFLRVSSCTEHLYFSSLLIKSENQSFNLMAEH -GTLYNLGDKLSFQIINTNSFRKDLKLLELGDKGYLGDANNLHESVRHKPGSIQCEDSDIY -SNCRWNKESEMFDGGFQEHYLVDNEDKPQRLDEAFKKARHIVTADGVEIENLPFTLMVSN -RNHLKDKISLDLGELTIANGFVEGLSYLDNKCKMSFTYSLTEQMVIQISSPIITSSILKL -DKAKESELSINCTCLYSEVDTTQITFSAYHLSKTYTFKTNLSHPIGHFTQYHDIFLGKSL -GGYDYFSGLSHFFSSHSDFIYSIVGVIIVLFLLKLFLC ->YP_009305137.1 nonstructural protein [Wuhan horsefly Virus] -MLKKYLKEKSKKDKKRENTLVVSTAGEASHSRGAIPKTQRIIRYSQRELKEQDKILQQKN -NGDIGNMDSVRERIFESHYRSMETTPSELKGMLELLSYYPRAPTETFTSLKISSPVQVTD -GLSIMEIINGERISTGWKHPVGFVGFPRIIFIFNACSPDDPGVIIVSLTHKGIKDPCGAT -LKRFTGPVNKTWSIAFSLKHMVAIQDIEKLQIHCLVEDSNREDSVIGKLDMHFTLDLSTT -PMIINYPETTYYIHPELDVKKYIEEIDKASIKKFREEDEARLLRTRNYAISKSTGVDDSI -HIQPSAPMNENEKIIINLDPKIDDGFNLKSNKGADSLHTTQEEEIRRKLRDLAIRGKKQ ->YP_009305116.1 ORF3 [Wuhan Tick Virus 1] -MLARLRNLMPGSSGSQGESSGDDSSSRDSHSPQLEVPRGLWMQVNFDINARLTIRMGYHG -MSVAALMRMGQFFHGEYQGEAHARSLTAFIVGLMTLRSYEDPPLRGRSVRRAIFQDIISL -EGPPLLLPLSTAEVFRDTFYWRYRGEMYVWSITVDRVPTIFTGLYIEQLIQPHERETFGH -WGVQFVNSTEHRHLIPVPFRLSP ->YP_009305115.1 ORF2 [Wuhan Tick Virus 1] -MSDGRRELPYFQREKFGFGPGFNRACRMQASNQKLEGMLGAGGGPSDASQTTNPGDIVHK -LPYQAETAADMVAVIKTEGEGDEDEVETVGVHEGQLPNPLEPEGEVPQGVHQSPGKTTLT -VGTAETQTMDPDTSQESEGIDGAHSIMIDLDPKHKDVEDKEMIKGYFDSMLQQMKDQGNL -ASGDAEIIDEHVKITYTLIPAIVPPLEPDTPVDRTVKIINDQLSEWGKNVAPINKEESKP -GPSTEKESTEAPKPTVTSIGTGPTPPIKGSMERTPAPTTSSPSQSLTDLVRKPVTYDRRG -GGSIAVSLLQLGISADTVAKGLASKGVPIKTFLARSESQKAWACLMLSERGKKFRLTVFP -PEK ->YP_009305113.1 RNA-dependent RNA polymerase [Wuhan Mosquito Virus 9] -MSNINHLFKPFKNPTTAHYKLLSVLVYCHQKGINWNSGQGVRYFGLADGDGGFCSLLHKV -WPNVEIVYNSLYDLRKLSHTGASSIRPSSIYSTDSLIRKVVGLQLVEEGMSDLTEERTLN -AIIRNFPSGELVVCDAEGEGINSPEKEIKLAQQVSLLSHTVEAKVIIYKTYTKSLGLCFS -IVNIWLACYDRVDIMRSSFSGYGNTEVYLIIRNRLTERRNFPVILTREGSSEITISNFRY -RGSWYDFCRPLSSQNLYQNIPALAGKEYTLSVSPYGTFXLWTSEVYHLIVRYSGTGVFKF -PESFFRTINQHLAPVTLARSTPATWVISYFTPAIKTEIFSHFCYCWALTILAQNDSPLVW -SEWVNYIAHLKLVCYQTVKGNWSIWPMSTGDDWPQLTACVVISEGDISEAARKNIIAFVG -RFKMCRLDAFFPLIATGFSHPVPAWSFSRIGRRKVPEVFADNNPSYLRIPWSLAPIPCAE -WVRSNPNCQWFYTAVSSRDRIKIKRNYYRLLDKVSKGNMNQ ->YP_009305111.1 glycoprotein [Wuhan Mosquito Virus 9] -MNCVVTAITVWMFFGSSTAIFHCVEHLSKTVVDKVLSTLDEHKSQIFTGYLTTSINADLT -PLTIRDPPPCGTYLGSHRAEDKFAKTAVQLYQYDPTLTPHLILSITSVRVSTECKTRWFG -RNEKTIESEAVSTFEKLPKDEWEVYATRIPGLLHSMSVGEEKMEVLNQEIYECPKVFNPG -KKSTYVLRVQVKKAYWSPTGFLVAPWFLKNCRFTSDLQPCNVSAHTKIYWDGSTRTGPPL -LPTVTMGGLLELSDHSLSNGLCQGARRFTNIKNSFQLSFRVPDIGGWEPEVYINGIPVFL -SDEGVYFSFLSHDGELILKSICPSWKYDKPTRRSRQVIQPPRSSSEVPLDLQTMTLEEEV -AWIRARTEGHLSGLYNRTVREIMLVHYNECQLRKSVLELAISLSPLDPRPYLSSILGHRF -FEVIQRGKKRYYKTALPVSEISFDLHSAKGQNIPVNFTVSGRRESGYFVCGLGYIKSSPL -EESVTTDHCYLYLHDIGGVDLCSGETTPSAYEGVMNTDWNPKIEVPFLRLSDIEYGNELE -ENSYSFIGPRDFISSMGHSLVHPDSNFPSLSDYTLLIDHFILVLPWLILFLIAWKIVACV -CQSEGTTSVKSRVF ->YP_009305110.1 ORF3 [Wuhan Mosquito Virus 9] -MEDIPMSMTLGEARARRAQVNVHEGEGALIGGEEFARMVGSISCTVTFAVELDNRSHFLK -LISCLIFTESFYATSLSYKVIQTLATGLYKVLMDPPYATPKGSGGTQKIHVNYKFYTRTP -CIRPVDLPKVGGKAIRNFSVVGNAQRCGFIEYNFSLTVHHLRANEVGRIEGEYYKNVEEH -LSDLKCKTLMKRIAEIRKDFTQFRLADYLGLLNYSHKGRQLGKIQSFPDGWLESSLAGEP -SQPKSPEPLTRGAKAMAAIKTRVWKRKGD ->YP_009305109.1 ORF2 [Wuhan Mosquito Virus 9] -MGNKEELFEKQVKKVLSTITXVTGKSGEEIVDHTCRKDLHDLIRSNIKTKPQLPRSTLSK -EALQSLXRISAIVEAESSGLLPTEIMSKPNTPKVPAGSSSSSGKKQADWTLSVTDETGPE -IWDENTLYXFVVNKKLDKALPRDKISLGLWQIARGLLSCRENCRSLSRQVELDMAKMHGA -LQHLDEKDREIRVHLNKIGQLEKKIEELRSTIGQLEHTNAEQAHKLAKVSMSVIEIXEEE -QRKLVYSQLSGMVPSLVQEKWVDVDSRVRIGYSAYPVFCQDGVFYNPYQGCVTETDVLDV -NASLNCAYPYMSIMTMCLMDIPEAVKPAYVRLLSSATDAISFFLSLNAIARMSPIVNLCI -RVLRESRDDENVRSLNASFAKSNDQLSLNHTTMVHFLSQTEDHRNVLVDVSKRIQTFMEA -FPDAAKKIREIQAKAPPRVRYLISVEGFFLVLIGETTRNVVLKKDNQCKNLEFQQLLVDA -ITPHAKALFSLMDNGFISSARQLLVGIATYLLDQPPKICSIKLFTS ->YP_009305108.1 ORF1 [Wuhan Mosquito Virus 9] -MSKISICPVFVVPSYNFMVTFSEIIKKGAAISKQILGRIIYSNVYVDKESEAVRSVYRTG -CLLSLSYTGLSPVAWLVKAAKAKSMSQLQLLKKLYIPKMESFITKYISLSKISDATWVYC -RLLSDSALLKLSASNEPLATAVFVALCYNPKAPDMAVWEIPSLQCISYEDVVRAHCISEA -MMESPELLVEQKPLTKEAEELTQKLQTTAKNCYEKQQMVFRTLFNQNRSLLPKNVDSEDQ -EQIEQGDGEPGDDDDQPGQEQDAGGSTADGSDPAAGRFE ->YP_009305104.1 putative phosphoprotein [Wuhan Louse Fly Virus 9] -MESPNQDSDLEEMQDKLNKLEDLDVFKEIEAQTQTNAADWSIDGKKLVPTDFDSDSSDYE -DDEALKLGTTILAKPKLIVPPPQDERQGPSGISFTPLQQTYTDYQQSVKQKRIRTMTTGA -NYNIVVNDPRFLVCPSDLCNELGFLFYAVATRAIRPEQVCLYFNSGGTQTMHQGAGLNYN -IFISGLQQQLPPPQFPPPPPPPIPRCSPILSNLPSLDKLIRAADPFPSHPFVKKLQEGIK -LKHLYLSKKTNNDLHKPAGF ->YP_009304976.1 putative phosphoprotein [Wuhan House Fly Virus 1] -MSTDHHDSMDDSDSDKQQQYTPRNTSNRRPTRSTATKKKPEPRRLLAASAKPQGQTSSTK -TTSLAAGFQATNLEEFRNKPFDVQEMSASLSTIDDADMPCTDKDEENTEGHYPVHVKDSN -PDTILNAGQACAELMDTLQGDVTGRKRRISATDNLLEIDLTARYPGKLVADMFQTLINAI -NEKSARLEIHTTDQVMGIRFEKVESDPPAKKVNSVSVGDDRPTTSRNVASQLSSTGNWMK -TIDKLANEGIIVEPFGIGRKKLKLRLATFGLSRDGAIDLCNKYCTPVSKPSFTIHVLCKS -KTQIKNILQHYNLTALDI ->YP_009304652.1 ORF2 [Wuhan Fly Virus 2] -MKKMETPRGKIRGRVNKTRVNNKDVCDMLNNRGGNLIKTVENTLMRDNDMMDESEAPSTS -GMNPNSVSDPNDIPLDVLETGKELLEVVDQEEDSEMECEEILSVSEVGGKVYFSVPPSKV -WTSEQVVMFAAQFMEIIKGMKSEKMDCVPTPSAKKDSPESKKPKITTPVPSTKCDILKRL -SEGVVLKPIKIGLKPIRVTLDNLGVSYQEAEEILKRLEDASIISLIRSIKISKGQNGRFL -SNYKE ->YP_009304478.1 ORF2 [Taishun Tick Virus] -MSQEGSGNRDDQHMDIDDLGTKLTLPPPSVKEGVPVVNRATRVYREEMGDEDAAGEDRDF -PDKLRAPSSPDGATDLGGKSDEEILSLAGHLGKQATPGSRSSVRSRKSLFPTGPSTSKSD -PDLSKVPTTPRLRDSSLTTRGKASTSKQAATKETKGATLAPASQITSPTEHPLLKQIDVE -SEAGFEVALGGSDLEKALPDALHLIRASASKAAAQGGPVPSFGEVPNTFPVTTAKSWVGS -TAIMLATMDKMAKLFNLPEFKVVYDKAQTALVVQMPPPASQAIPLRTGRQPGAVFTFAKT -TVDVATETEPGPTPSKVPRHAGSGKNQSVQTSFSESNPHPLDSVAGESTQLPEDHSMEEG -EESGPRRVASMLADEHTPAPSRRGSIHEAEGDQVSRVPPLSIKLPEPCVVTSPFGQRVEL -DVLRLVSQDVLRAKLDKWNFLPIYLLAEDPVIKQLCCFMAIGNESFYGAVDTETLNKFIK -LLQAAPSV ->YP_009304475.1 glycoprotein [Tacheng Tick Virus 7] -MSLTQVLHMVVIVIGVAVSFMPPMRLHYIQEDGTTFLTKNPSGYIPFPPIIQLKSQSRAS -MNPSNQMPPTPHHAPSPLHGPRAATTPPDLVMPHRPRDNDNDESVTLFSEVRVREVPLIK -CGGASRGQLVPIPARPKCQVFTSSPTHKLYPAGVFLFKQDTSEWLLPLVECKAVRVYRQC -TVYFFGAQEKSQWEEELDMPQIDCPAQLPHDFHLQFTTHHASSKVLISGSPPDYLCKWTG -TNTVHSDISYRIHSTGMVDHRTLEIRSAVSVIPTCQSPVGICRTTAKGWLVWNPKRFAYA -QQCPLQLAQRLACTCQLLRESNVIMVSCNQAHLLFHMALAQKTPPIAYNPIYNCSHRFIE -PGSVYVSMEGYLVSFNISGMSLHKWLKYIYLASYGQPFGTHIPSPLGCHSPHSDPHMCMS -SQHGHPLPPQTGSSSGSTDSLDVHPLEAASLSPAELGWTLNHLLSLDQERLYHINKGFCA -QLQSDWDLGLALSHSNQELAVQMFLRSRMWTGRFIRGFMEVWRCQSITKYRFLTNSHNSM -NWPVEYSDGVSLHIAYVESPSMKLSNTSGPRLTKGSHIPFLYPLNSTHVINLAESTGITL -ARPVMFTPLSSSEQEVIFANPPLYSVAELTGHQDLWDAIKQLQDYNHAIHTTLTSAGNNS -EVSLDIGGIISKIPDVTPMWMSPLNQLFGSFFGPIFQGLLELLAAVALVVLATKMLVSCL -KTHKSCKTQDRAPHPRRHSL ->YP_009304474.1 ORF4 [Tacheng Tick Virus 7] -MKSQWTSSLTSEDSNSVVNLLKKKQDKSMWIVRHSVLPPEWKLSNPLCCLTTKQEKEECC -MAVMGFSNRIPHQAYEVLLNPREVYTTKGDLAVLVFSLPTVQLTLPSPAVSSRPMMVQGN -QELMFQSEFTCKLLIFELHPGEWIDQKISRPYPYSHLSPGQAAVPTRVSEILFEAASVPS -NYTIYNLCCGTGACAEPFLQAGYNCVLVDTDRSLEAYLKTWIMCNKYKGRVEIQDFSETR -FVAPCYVCAFPPLVPVTRFDLTIVRSLINHIMASCIQGFSLLIPHNSSSTVLSHIITVGG -FDLKSRLLVSMILPSPQRGSLRAPLHQGMEVLTLYRPTWAT ->YP_009304473.1 ORF3 [Tacheng Tick Virus 7] -MSKHPKCNPTLYLSIEGKVEVHTLVRPVLDKIVGRLLITSGCPSSGDLKHHVRAAIAGII -HMLTFDPVRGRHLLMTDGGPRMGRQLIWNMSASLIYKMTRDPRVAQLPTFEWSPHGTFSS -EDGTVTKWQVHVKTSTAPPSLAALNIPPGRITDQEYMRARSLVARFHPPEGSREPTSPRG -DTSGSSQGEEEEHLYEEPMDFLTDLGGLKQRR ->YP_009304472.1 ORF2 [Tacheng Tick Virus 7] -MYGRSFASESPRNTRSGPKSWPQPIGQRNTRTQQSRKTQIWSRGLWGWLSLCMILMMLPF -EWDLQNNILTSHNATTKDSVHFFQYLLKKNQDNSMSIQDGPILLFRKEGAQLMSNEGLNV -HHLNVEGSLLADCRSKMEEEREEISAQSGLPSLVVSVDRPSHESSRDLINKGNLPLPTKW -EAKPVGTSGGASSAASSKSPEDPPYKSLPTDDNAILEELEMALKSHPFFTARAGEQIKGA -LALSKDPCEYARGALDMLSLIDMRNSRTMLEQLGPLVGEVTSSVKHHVTLLENAGKTLRS -FSACLIDEQKKYRATTSELAALTTKYDEVLIHLQGVVEMVGKKEEAEATDPNKLIPDDTL -SSDSGQSHSRPAGTRRDLSSIDWITTQFERIMGPNNARRFASCALTQHLDLTDWITGPAS -MIEPKVRATADRIVRDFGKRA ->YP_009304471.1 ORF1 [Tacheng Tick Virus 7] -MDPSQKATQILGAFRAGQADTTVTATGESKQWEDKELDAIPVTPLIALNEAQLSQLFDYA -VGELISPVPVNLPEAVLLLASHVTNPTSRVDDNLLFILKKHLLSKELAPELNAIAEKLIS -TFKAKTGDRTTAAPPDEEAVKRQEQMLAAYYISKSTAVTKDSLGPYMKWYSDASVADRVE -FGCFMSFCLMRSVASQEVTIIRNMGQEIQPTGGSGGTTKGGFYGRYRDLYRGRTFPSCGI -QFVTQAAIAQIKAAFSTGSQMLCSILVPVLRVHIQTDPTPSVDVQAVLRYGILMSLEYTG -IYLADSYLRALVRTGETGSSLIPKLYWNVHHPEYERLLDMVQKYMRDNKNARAWRWAKCL -HSDYLSIYSGVNNPWLCSLFTALFIDKEPQPEGTDVWEKFCLRKSPEHKEWAQKLAAAYW -AEKYKNSAITEDSDLVKRIVGVAKPVHDPYDVALRMGSAE ->YP_009304419.1 ORF3 [Tacheng Tick Virus 6] -MCLSTAYARYQLRRSCDSFIFHLVVVVARSGEPSNKIPKESLKNLESGVSDARVMAAPYP -LLIIKPDLRMLNPTSVAPFYPIGYSDLLMASPDNQVYIVDICAHTGMPQYVMHVSNVWFV -HLLGVGCADAPPMGLQLGTFHPTHRLGRHITICSGGYEYTNNTYCQVGYDKFIQELRIKE -QARVKRENLGGENLPEDGLGPLFETDEDQQPPPKSQ ->YP_009304418.1 ORF2 [Tacheng Tick Virus 6] -MSGPLSGLLSGYLSADHSHNPLIRSIAGDTSGRIDRIENPVRDIMSESSEVADSARGDLT -QIREEDANKEGEGKQSDSSEGENAGGETLNETAMQSLSAQVGLLSHHLEDLLKGQERIEA -RLDVHSEHIQAILGTLSNLGSATAKFTDKLAGVDQLLTDMTDIKQTVSNLRSEVQQIPRF -IKDSTTYLGRVMATSGQTSSGLAPSMETVPMVVESSGGPMMPTFGRGTHTVSYYARQLRP -KYGKTPALMMQHAQALAGIDESQAIIKLQDLLK ->YP_009304417.1 ORF1 [Tacheng Tick Virus 6] -MKPAHESQTIPKETVGTRNAPANRPPGPKKKVPGPKHLPLDRLKELSETFRSSAVAGFST -AFKDAACEAAKYTLPTPQQIKRKLVVFNCNSEDHLGYLSQAVYVYATMGPPLDGMLTGYA -SALRAACGSEAGFNERFKEPLRNYGFEIEHLPIPPDGALNKLFMSTDPSVRDLMEECYGD -DLTGSLQLTSAPSGITGAKLLLFTADDELLVLSGILFLLAVKNVTELNWAGWNKNRVRSL -AGAIGVPVDRLQLTSADMPDAVRESELYTRLSSMYQVRVLEYQLMVSLTEIPSRWQKIAQ -CVLTLMKGAEMAHFILINQYVVGKYPFLLHMPQLRQEAQQLIKTMEVLESYAGPPAAWPY -AKICLPEKQQPVLSRLHFQALTAVAVAIGEKESETLRQYAMSSDRQPEIMKNLIERVMNI -IEEYETNYDPAYDEGSAEIRRVANQLPTFEDVSEVEYY ->YP_009304330.1 ORF1 [Tacheng Tick Virus 3] -MFAQFRQIFSAPSEGMSSYDTSSGSSNPSSSSSTPPPRPAYRRSLNFDVDARVTVRAPRA -NLNPQHFSSFGPFFRPAYVGPAHVADLNAFLVGLMTLELQEVDREDQNVVYQTIFQETIT -LEGPSAYLPRAESSFYRDSFRWRRRGELYYWTIYIDQTLTPIPGVGIETLARPHGLSAFR -PWEVSFIEDGRSHHWIPIRTAAPRNLED ->YP_009302835.1 glycoprotein [Wenzhou Crab Virus 3] -MFQLLFVLCVVGSVSSNPLIQKIENELGVRLTVSQPLYHRYIGDITTYDVSFSRVISRSL -QALQSSVLNLHNTFRDSLGQDLSNLLRSEMLGSMMFMTNYFEPTYRAHISRSKRSLSGML -KSDISRKTQKKLLQDAKIIIDSHKDGNTSSKPRPSEEHFRVEELTSHEQAIAGKNRFSGS -LASVYHQLPLPSSFGVPNATHIEWGDSDEDVQDKIEGIERKARKVEDYLRTNNSEIIAVV -KDIVKIYFKSGQVLRKVLNFVADLGNFPLNPQHLKALGIDSSPVAILEGVNCYKNFTCML -AVRSLPQIKYKDVPCFRATMVSLPLHYVDFDVYLVFSKTIEEFALCVQDDEVHIPYTFDQ -SIAVYQPFIVTALRSLLSHDKVKCLNYVLNNEDLPDSCSYIPFQRDDTIVAISDMLVLRT -SQSVVCCSHTTDIATLEYFQFPLSIKHSTCNCIGHMIPTTNADFRDIKEFDHGITIHFPQ -ADELIQHQDDPSKDSIIISIIAGCLTSLFITVMSHCIIKQAMMTRITKIIHDQVTNMQLI -D ->YP_009302834.1 putative nucleoprotein [Wenzhou Crab Virus 3] -MLRQLSKTKRAIKGNLGYKTSSVLMETFITLYADEIMKTDKLAMIIRFSILNNFSAHGTV -YKPTQDEVKLFHAKLTSQKVQDHYNNLSKEGQLAFLEVSKDNIDMSWDKVKSCPPFLVKV -YLNYLVAHHKYLETLAIALYIYVSKGVNISAQRFDKICASLQVPLTHLSHSTVKELIQKL -PVLNDHNRVQVLRFLKNLANEDASLKPFTWLVSQAAFPNVSHHILISKFLSTPSLTGMTL -WIDLIPAAEFEAYNQQMDTLIQDPFVGFTNPEVPRIRVRHLFSLAVEMLDIIEGDRSSWK -AYAPINFSSVPQIAQYAREFKAAISTITSSNSSMGVLRSILGDDVSRNATGEIVTTIGGQ -SSTSRLVTMTMLKDKERVYLASLNDTNGLKIAVTKARTLLSMTPDLASGDEGHINSLAEF -SSYEVNEVDIWKQFGAKDIDGVTDVKDYLPETYLGDNRVKFNNQPQASGGATRKDK ->YP_009302833.1 glycoprotein [Wenzhou Crab Virus 3] -MEGHISIFILLFLMIPVHDGRFIRSPNGFFIQEVDLLRTTGTTHQQISLISPVSPMLGVI -NHHLAFINKIGFHCHSLIKSKSKAKEDDHLKQLLSYVSLAEGILTGSAKYVLQFSDFNDT -STHANFDFIATGHDDPFSPDPELGDDRSAEKIIFLGDTLPRTKDDTRVLAINVSQLSQAY -KTKSSIESMEYLDRGIPPMIEPKDDRMMSYQRANVFSYAGYYLFGYARGIDVAVLKQSFD -SLKKRQDAIIKRAQAQSKFNHNVEDQVILNGDHIKDLFAHQHYLAELLYHNSEILKQTYH -ELEITRVLDEFHTIIIDSLAYINNYLLINLPQLHKFNELKLVALSKDTGRLAAVLRSSTG -YNIVPDITVLSIHGISIVGSVITSEASKCGIPGKYCAIQVESVDVVLKEGAAYASIPLPN -NILLYKNTDDISEAHPVFLEGLVQTGENSWEYSSLTTLKINEQASDCILNSLFPHAKHPK -KCALRFRTFDSPVIKLYTGRNHSALITSHGVKCNNFSYPAGSYFVNTNTDIVCENGLIIP -SNEIADSFKILKLYPNLLRPDENYPFFVSDHYNEHADLLDALSKQAKNLMNEEGKDFLDI -AHDQQKKISMLSDSAHQVDHQLKEIMRTPIVAADITKPIDLGSFLGIDWEWFIGAGCLLI -LLGGCCFCRHVQSSVLVVSKLSLVMALDLKAIAVEEGLIGCLGFFQSFIILFVVVLIYKR -LPSLILSRGNEPVYNIPPRFRIGRPRLIVGKLLIHDSSGQCIGTIRTVLDSLPTFTGTWN -IQPISGQGLKMNIGFMGSLISGTLDIKACANDLIVSDFTFITVDKIKIENKYIISNFSFI -SLWRSSPLTLTCAEVTVTALGDHAQAII ->YP_009304557.1 glycoprotein [Wenzhou Crab Virus 1] -MAPIIVFLLGLSVLDPCNAQSGLLCMRSHPLHVYKLPRVSPCRRKIREEGRITTKTPNEE -AYVTDAWLVSLDTCWANSTTGFFGAHTCVQGCSEQTLDRHEALDIKNGFCPDLGLASHTK -FDSFTYSQPTCQYYWMTSHTIGLYRCERARGHIIYKHGGLPHSTLSSNIPCDYMKGECRL -GLGRWMFWEVDERSQDDWSYTYNNTAVCDGRRVALTSKAIGFGLKEEGCKWSGWKGTVEG -VFLKWESYRVRSNRRKRSTDRRFGTLHEFLASALDTMSGTFCETMIGVVPASSLIAHSNP -SVYARLLLENDNLVAEAYGDFLIIWQCQAIPIKPRNGSCYSTPRIEYWSMGEWKEGYLDA -TMEIRTDSPRVSCSHHSLYYAINDTAYIENGELTYPLSVTTLSFKTKDLLTAFTPNFTHV -YFSPPPLDIELRDVIGIQDRLVEHARHLGASIGGTGGGHGLTNVPGFKFGFLDNFVAFLQ -TVGSMGGLIYIICMLLKAMRPAPRAIRFVPQG ->YP_009304556.1 nucleocapsid [Wenzhou Crab Virus 1] -MAAAQPEMVGPFVKRQKVTASRPVLPPPQFTRAKVYHPLQVKNCLFDNTVSRDIMMSALY -HTFSLSGFVLYDLFEPIPGAENVDAENPGRYYSPPTAQEITAMKSASQITKDLARQVNLG -MTIAAYAVVANLHKEIDDLNWTYIQKRMTAVGASLNIVELEGLSETDCKYYVPVGVLQET -RMLIRNAQQERGLIEDVMTSITDQSTDFEKAIHSSLTMVLKGYGMTAVDQMAYFCTIVTK -ALTLDVVLDEAENFKGILHTFKQAHGDNWLYGRVLKLAGIDNLNSRNFPHLYYCAITRAQ -GPSKNQLKSYRASTFDLKESPSLLERYALSDLSGKKKLTQPLIDRIKNVTTIDLAASLGE -DIDK ->YP_009302385.1 ORF2 [Xincheng Mosquito Virus] -MDRMDNTRCDDREVGKARGTTVKGGIHSSKPTLIVITITPEDLEKYFPLRSGIFSTLNKA -ESCKLVALIYIVGNKCRLTPSQLRIFTGDGIYKKNDVLVEMYDAPPSLVKLTEEAILTES -LDELFSDYCKQKVLVQICPSLGIVNTQWEESAHSFLSKRGHPVITTFEPEFAPNEYLPDP -GDDDDVDPDTRAAFVKAHRLELGLEASLAEDNSPVNDQGQGEPAHHQQQPSDSSSKDKKK -QQSGDKIKSQQNFIDKPPSEVELLKLRIIDMAHDAKRGTALHYLNIGVMADELFRRGVLH -DSLDVFVEEQVNHLLIEGLHESGNFEATHSLGRGDLTGAEIVERVCTRLQTTDEISAKLE -SILSITKHTSNFLMSNQPYKFGDMAIATTYVPQXEGNDKEEGKKAVSFGDXAKKNDQEQP -SKQGKTVMWSAFKKKSN ->YP_009302384.1 ORF1 [Xincheng Mosquito Virus] -MVGESKLALALAAFKDEEGLLRIKDFENYIPKEAIISTREAAKGTTRTTHDFRVLINQRS -IRLMYNIDKDEEDKVVAEGFTKLSWNAVAREYNLNAVGITQDIVKIEDEKNIIIPEWDSL -AEAGQFVFDMKNGGLMYRDGDANRIDLGVLLMWLTALNGFSPIIEEYMCNPDTVVKVDSE -EWLENVVNRNKVDQKKELKPVTLRHQATAYMLLMMIYKSLTVTAKNEALVEIQCKEFVVR -RAKAMLLSTGKAIDFLEGDLMVPAEVLWRIPTLVSCLPKLKSVIVSGMIVSNSPICDHAL -TILTNSSASVYSFVVDMIESDIITKLQFQQAYLMGIIEFWKNHTKLLEDYGNSWRYYKCI -NPLGQRTSMTKYGHLGSMAVSWMSVHNTSSKDTLNNIEGHLIKPKYVDLAKQRMIGQGAV -AEYQAAGDLLEIIRAMGIPMKVNFDYIKDINLNAAEHYVDEI ->YP_009304984.1 glycoprotein [Wuhan House Fly Virus 2] -MNRHSFMAPSKRTTLVLPFVVGILSIFIQPASSRPMTTGSEDMANSRGKTDCFALPESAE -DWDIKVYDMSMSVDHPIPSRPQCKKPSEPLRTQIPAIISVFSPDFSEYSKVAYKFDKILI -VTSCKGALLGSDDRMIIQKRKLLLTADDENTISKACGPVGCQLYLKSINPGESKIWPEPE -EYSCKLFSTTKVESIRFIISSISMQINSDGSVVNPGVGSACRVTGRNHCIHQRKQFGLAF -HNITINECAAKLKLIAPGVLSLPPSNLSDIHDEDSYVTLPEQMLSFWFRGRVSETKVRCN -ISGVPVRESADGYLIGISSVNNATRFPKSGELDKGMLTALEQEPFRSLIHQTPKARKVRD -THLDKLQRSYSRAELQYGLDQIWSELKFLRKDINYEFCKVKAQLWDNAFIHLWDNPDIFA -NYLYPLEKVKGIFHNNMLKIIHGKRLSNACIPRPPVIEGVYMKLMIKGQPHWLRASTGEL -LSTNPKESKAMAVASFVIPTLPQEGYDIIQDLVVNDHAGTYLHPMSNQSLYFSRDEMHTV -QELSWTVTLQESEDKRIQDLSSRISSASVSGSFDEYVATPLKETWHSFSNSSKDLVHWTL -LGIGALVFLYLISHIVIPLYGIISRASLKRKSNALKEEKIKLNKVDLKAF ->YP_009304983.1 ORF3 [Wuhan House Fly Virus 2] -MSDNIPSHSAGRIDKVEHIISDFTEQISLILQGTIELSGPECDYSIFMWRVCCAIAYDVT -YKKKVTRNTQYLIASLIYQSLRCHSQLFSSLQPHYGPLLELVCPIKVRGYTEYPIETRSF -PQLNIIRERSFRYQVTDVKQLRIRSRIQLQACQFSDNFRAEARLKGYVPLLPPSTDEWIV -YFSELENAIDSTGRYDQIDVSAHIAKGIEALTPSKPNESVSFVKKLKQFMSKSSKNAVVE -ARALAPSHPSDCLEIISSPKVVNQQVILSRQPKNN ->YP_009304982.1 ORF2 [Wuhan House Fly Virus 2] -MSMCTFQPCVMGAAAHVYNADKCAPAESPFGSEDDQAIAEGPDTLELDSVTSYNSLMRDF -YAGEPTTLHSELDADTVCNMPTISEEIFGNASSPKENVSSSREIIAFLDSWTSFTEINQL -KESPHYFFEKAQIMIKAPDTLKEIMSGFRVLAQVNTALLTEFLLRIVGSSNPDDIKMFSA -LARGAMYISSGFQKLECTKSYEILSHNMELLTHHVSAVKDNVTSQSDMVESLRESVNKVV -SVTSKLEAEAKLLDIKAAKNSPYHASSLPSSSSVGTPIQITGYKCDPHIIVCNKEGKVDV -EATLKANKDLSSIHKKTLDVLALFKPAALQFLMAVDWSTLNSLMPVDPELSVKQAGEMLY -TKFRSLYGNRMPRQPETLTLRQGKN ->YP_009304981.1 ORF1 [Wuhan House Fly Virus 2] -MQSIQFDHTKSGVNVKLDIDYNVSLDSHAAIDSFFAECPSGSQEAAERTDWTDGLFLKSF -APKSKYKHPSMGSIKASQMNLCKFLSGMNDIYSDIYADMTIALLAMPNPSNLDTLLFEEF -LSEDGIPISGSPAILDQNVAGPISGQKEDYSLSLSDDDAPHSRSGLAENVMRSDDVPTSE -IMNEIPAKSITQFWESLTQKLLSVADQGTITKEHRLYHAVVGLLILHSLKLMCRSVPSTI -KSMRARLNPHFRSIYGVSGWTLDIIPPHKDALTQLQVPFNSMDKEVLKIVGLLCAKLIFV -SSSPTPDQNVKGLLFGSCLTHIGMFGLAPIKHLEQAAVALNMTTGAFGKLIYTMRTKESI -DKCIKFLTVVNKVTEKVKYLDQELNLKGQITWRFARLFNQAYFNRFRTALNTRLIIRCAA -FIKAAGKSQDIENMTVLSSAVNRNLFDQDLQVAEQYLSSNTYEQDKPLSKDATSVFGQTT -PRMPDEPF ->YP_009303698.1 ORF2 [Lishi Spider Virus 2] -MCSNFKKFGICSNGMRCKKFHDYEEDNLDIQVGLNLIMGAISGISEKIKNIENKINEIEV -VMSQKPKFQEFPNNSDSLNSRLNMISEQLDTIKGSIHTQGIPLSTTFSNFRGKWSTTQNT -NPKGNKTKSSLNERSIDLYEKIPAKGAKSMMNKKVSGKEAENRTIRNDSHESDSDNTSKN -SGGSFIKELVSVQIESQSGTSESPELSGERFQKPFMNMELAPPLSPTGSCEHMETENPPE -HQGMTDNDYSDDNRKIFTQKTPAIESFKKDDELDIH ->YP_009303697.1 ORF1 [Lishi Spider Virus 2] -MSKFRNILIIFISMLSPGLFIERYHPIFFEKYGVLIEPNGVVTSYDKVKYVTLIYHFPGL -QKAVTPSHCNRNASEQLYRNTLKHQIKIMYDLIPSSTHTIMSNYCSKHHALCLDLEKKDR -PKRQIIAAIAALSGIAGLAISAYDWISSSELKNHLDKVSEHITKIDNKLIIQENLDYKLT -KLSNDIIKKTSMSFENYHKFVKEYVCQSSQFNNFLSHHVATTHLEMELQALQRLINGEPD -SYIINAETLESILSSDTEVERSIYSSDPSLFYQVVRSNLIHSDIEKSLFAFILEVPIIQE -TMISPLYKVYNGGWESKGILHKIDLPDSFYLYSSLDDVDYHAISLGKSNCWKRNEVVVCD -NSKHMMTEDMICLNSILKREYYDSCDIKFSKFTRRKFVVKALSGVLVCGDLEVKIITSAG -SQFHYIKNNKQENNYTKYYSYNEFKQIIVGNTIVSTNINKMPIVRRNDTISVNFDYDLKW -ADKILHERPWTSLKEINLLKDSGFEQYHGTISHNLNQWSVFLWVTIILCLIILIYLLIAS -RRKITSLEDRLKLTNGIIKLSNKRSIL ->YP_009302015.1 putative phosphoprotein [Wuhan Louse Fly Virus 10] -MKRRMSSNELKDLRAGTEWDLPASKLRKLQEAGVLNRIYEQQQTSTIDPTIGLDPSIMEH -DYDETDHTLSLGDSLMRSPDYLIPPEDKEEEETLSETSREDENSAKYPEFEGSVMETFQI -DVTSPHHLSDPELLCRKLTHLIKLVGNGRIKPEQVKFVHKNVEKPNTKIIQDKGDNTIDS -LEAKENLTLNEDHKETRERSAQSKRASQKVDNDEKLYESHPFIKRIADGVEIPHILNPKR -TLKIDKEHPDFNLIRIKEVYPKYHQFPKDFAKFLITIAQMSKKWDFYRRTYNWVLFAESG -MEH ->YP_009301740.1 putative phosphoprotein [Wuhan Insect virus 7] -MKQQNYIYPSMELRNLTGPKKFFASGKGYLTKEYRNSPFAEQGQLAVATNPRRTSWAMSD -EEGNIKDLGDAFQKELEQKHSSDSDSQTSVSSNDTVKMDPPPPPPPEEDMIELVLPYMEI -DLARKVTEAIDLALKDLIIDRSLPRIKYMMVPKPKEATPPPPPLQPPQETSTPPMQAQPS -TSMPKRSGPLPPTESTSRERERSALVVEFMKGVKLVGIYEPEQTYTLKIGVGGVTEDLIN -EVNEQGLDQPTQEFIENLLCRLEYGQTLHSLYELA ->YP_009300873.1 matrix protein [Wuhan Insect virus 5] -MDCGFYAISCVGSPISYSLMARAKASSFTEEQRIQATEAVLSKCDKLPTSFCQAVIHLIK -INRFAPVEDETQDLFFGPKTQRVIYFMPPFTMMPSFIEIEEGTYHVHLPGGLMDVGGLKL -IAKSDVKIKISALSAADAQIIFKERASDCVEALIPEVKPVGAPQPSNEGGGGSGKQIKAS -SGRCAQT ->YP_009300872.1 4b protein [Wuhan Insect virus 5] -MESQMVVSSGEFTMGETDGVISLSKKIGLFQKLLTKFGYTNIAVHQVIFKYKSRCPAGAE -GLMTLKMVDRRLDDPDDMMIDGLSFDVKDWIVFSWSYPCWFHYKDFESKEKDLLEIEWGV -SGSNMIDSVSLGHYKVKIAYKMRNDITRLVSNPNIAQKVHSQVHVSKFVKKQKTGRLSKS -TGNLLNVSSPPDSGMKNTKNSSDYRKEELLTVSAERLFPIRGVKP ->YP_009300871.1 phosphoprotein [Wuhan Insect virus 5] -MVDKVPNEFAGAFNPDGKTDFSSLADNLDDSVDNIPDPSLSIPTQAREAVDQPRKQPVGD -VAATLLCLKNTAHNHGAIVTNDMESLFKYYCANEQLDIRDIEFFVRGYVYATGSQVGPKI -QEATEGLKVEMRAIQRANATLAETIKLLANQAVSVEKEIAALSVNVKNDVTAALKSAVAG -KAKLGDQPVSPLQTVKLPLAKASLEVVAAPNPVPATLEVGEPSKPSETGLSDPSQLKKMR -SVLSMIGVEDAVLNNLLDNDIPVIYPAAIMEEYGNLLGDPEVCDLIKEEVMGNIEKFLLC -GA ->YP_009300687.1 putative matrix protein [Wuhan Insect virus 4] -MNHYLRFHPFEWSADCISCKGNEPPVITESMIKEFIQGSISMLIHDKVPKIADVMIGMMN -ADYIHPTVLKYRSRLIGSCGLKCAFKIREESLVSGLEMLELGDTRISGSKNVKYDNCIYQ -IKIDGVIKCVLIKEEDADLLCSAKPYLPIGMMETQKTADDKNKTKSKEVKKF ->YP_009300685.1 phosphoprotein [Wuhan Insect virus 4] -MAKSQGFDDLAFGMSPHHNPFNDDADSDEYNDNVTQVPSPTVEDSDAADPSDYQSKKDID -QIILDLNESCAELGVQTDSEMINAVLAMSESYTMDSFSLRWFAFGMTYANNQRIIPQLSG -VLGDLRAEIKALQQTNSSISKTSSDITKKMVSVKNDVLDGFEKLRANVLDKVVETSAHIM -ARDENKEATTQNSPSIYPKKDKIELAGPTTIADSQSVVMSSSPIFNDPVEPKMVNPLLKE -KRNLLIESGADKKKLRELTDDEIELLVIDEELELSQDPTKQESADQLMAVLMSRLLDMDL -M ->YP_009300676.1 glycoprotein [Shuangao Bedbug Virus 2] -MCQFPNMLMFILILKSGVTGQHPKLSFISHLDKGEAVKINPIPQCKTDWSKTTVYLPGTL -MVLRPNPKLLKSIGLLATAFTVKTKCEKFLFSQNVRTTIYDSPIPWSSFDDSFIRFFEEK -YTKPVQLEKLQLKVSGEELMGQDLYSCGYYSGQFQNINYIKFKAVETEWDFQGNLLSPIF -DPSCLHNHTSCVLKEDSKLYFPMGSPNLGHCEFSTISTLAGFFLSQSSKISSFVSHNSAF -KTYFDWSLKGSHPRSLCHYITGNHYITLEGHVVRALDSEGRDIFIKSQPNPLFSQPGNHR -IKRSEHLPLTTINTTLSQLEFPKFPPPSLKFRTSQSVSRSKRSYNYYNYPARQREQMLYE -HSSWTLSELEFELSLLHNESIAEIQKRWILECKLKNLHGQLARSVLHIDPRPYIAYVLSS -QAFITLNVNSKTYYISGDPVWDIEIYKPYKWCNGSLYVHTEKGPGWLKNEWGIVISGDLL -TGGCNGSLYVHTEKGPGWLKNEWGIVISGDLLTGGCTSNQAPEIFLFPTMRNGSWDLISN -RSLSSDLSLPSPHHLLEHGFYKTSFEIDTSDALLRSLEAGLSSMSYGASSRKSAGVSLWK -IPDPPSSWYESMILHFLTPTTMLIFGLIIFLFIWRFLRG ->YP_009300675.1 ORF1 [Shuangao Bedbug Virus 2] -MAESSLSTTQNHKILLPKNASSLTITPVEQFPHLDIQRYPEQKSSHHIVIGVGNQEAVWR -TEKEVLSPVILHLESACTVANANIPVRRTFKLVLGLALLDIYHNSGVSNLTIQKIFSSCL -EIMKKEQWRIYEDKHTSSVAWKFLFKLISVTPCIPSNVVNVKNKKTFSGVISNGPYSGLY -ISHTFNYHSQEYDLAESIYHRSSGHYIIEQAPNDGKETFIWMWDQTRTLPQEFHARLSIM -EHYKKYNHTKTKAITNKKSQEYDAPWNKKKTK ->YP_009300673.1 glycoprotein [Shayang Fly Virus 3] -MRNFNKIFFVTSLQLSILVLLNINYGNSLSNHSLVIKSSSDCLPYPQPDLTWRIFKFDFH -SPLFRAIPAKPVCRKPSDIQREQKTAIISLYSPRFSEFTRLAYRIDKVRLTSRCKKGFFG -ANEKDSPSRKRLILEDNDIKDMSNFCKNSTCHASSSNLILGESILYPSAEEYDCTWMQTN -EKITDRFLITAISFQFNSTGSLINPQLGSECNVFNSSFCVHRNKAYMLSFKTVTIDPCSV -DLKLIAPGIVSWTDNRMEVDEKVYVTIPDHKLTFWFRQDYYSLPIRCTKYETNIRESSDG -YLIGISSESKSNMDYRVDTLNLSSPQSLSLTLLRENLPRRATRDSTPSSFYDRSQRSYSR -AELQFGLMQLHTEIQNMKRDLVYEQCKVRSQMWDIAFGSLLTNPNLMTQYLFPLEITKGV -LINNKLQVSFGQRVSDICIPRKFDFIGFYLIVHLHGQPFWLRSSSGELLNTAPQFNKVPK -INSFVIPTFPNGYYDIIDGSYISDDLPPLFEQGSNMSYLFDTSEMYSIQETLVWNTNFQQ -YETSIINSLDSITQDSLDPETTFEEYIINPISNGWHSLSSEVKSFFQWTGSVSILIILGF -ILYALGGILIPLIKMKKKESYHKAKINLKEKKLQTEQYQLKPF ->YP_009300672.1 ORF3 [Shayang Fly Virus 3] -MLGLFSTSSRPISPISFCNLNSRSLSSIKTIYFTPSSSSFSTMTEPSTSKSLVTSIPHYS -PLQTEQISLVIKGAIDVSGPKLDFVEFLWRVCCVLAYEATRLKSVSRNTQYLVASILYEE -LRSSSYLGTFSSPDIGPTCELLCPIEVKGFTEFPIESSSFPQIRKSLNRVFRYQVKPGSI -LRIRAGLTIQACKFNDKYREMARIKGYVPLLPPSNEHLISYFSLLENSIETAGAYNEKDI -SSFIASGIDALAEKEADPPLSFIKLIRDKIFKQNPPASIGPGKASSGTKPPDGLRILTQS -KLKGSKYDLALCESTSSIKKSESIN ->YP_009300671.1 ORF2 [Shayang Fly Virus 3] -MSFSISRQICQLENCIHGPEEHPISVDCMEDQNEEELLNLDPDDQAILDNAQFLKASSHF -YGQEDATGKIETLLRSDSLDDNFAAYVNSSNEDKDKENYNPDVNQNDSLLRKSEGGEDIN -QAINNFYQYWSKPENQEILYKTPLIVFDSIIKDPRFENYCNMFSSCRLKFHQLGVERGRD -LMIHFISERNIRYFQNFDIMLFGANTVSQTANQKDLELLKVEFESKVSFLVDSVKTVEEH -IKTIDSSHEALRDTINCVSEAAHGLSAAKRSFELLQERDPSYSPVISNTARDMPKKSVSI -PKLKTVYKKEHLSIPIGMNDELVISQISVYNKGLTLSDFKLFEGLSKMKAEVFLRALNLP -WALLTKWANSSPDNSSIGIARTLIPMIMNLVPLPAKVEF ->YP_009300670.1 ORF1 [Shayang Fly Virus 3] -MTEQQSDKTEILQNPNAVTAVEEYLATYNVHGSSGAELNVWNEKYFVNTHVPKTKYELPT -LMSRRNAGINLLHFFTGKKGMYEGWMKDIQICVLAMKTPGDETKLLFEDFMQSESRSDVA -GTSTALLDEKLSIADGYANVDIVNEEPGTSSGAVLAGDVDKTKETEDLEQPANLSMSAVK -GFWGYILDIYIKSSDAKPHAKLATAETIMGLICMNCFKLISKTENSVFKSMTRNLHKHYV -DLFRPSDLVHTLCPPHINTLVFMKAAFGSLSGVLRDCLSMMISRVIIENSTSVPAQATIG -VLYSACLTHVGMHGLAAVKHVEQTALAMGLSISKFSGMIMTTRVKDSLLNVLMLLKIGAT -PAKSLIILGKSYDVPFQFSWRFCRIYNPAHFSRFVTANNTHLIIRCAAYMGHLQDSSSIL -DMKILQGTRNQSIFAREKTIAQRYFAEEDSKGDAPIVDAAKSLYGDTHHSVFVPSTNYDQ -YLNQ ->YP_009300665.1 ORF2 [Shayang Fly Virus 2] -MKKMETPKSQTRRRTTKARMCNKDVCDMLNSRGGNLIKAVENTLIRDEEIMDEGEAPSTS -KGNPNSTSDPSEIPLDVLEVGKELLNIAEREGESDMECEEVLCVSEVNGKVSYNVPPEKN -WTSEQVKAFADQFVEIIDRMKESQIKDPQTSTKESPESKKPKMVVPTSPLHAMVLNKLSE -GVTLKPLKIGLKSIRVTLENLGVSYQEAEDMMKHLDDVNVISLIKHIKLSKGQNGRFLGN -YRE ->YP_009300662.1 putative nucleoprotein [Shayang Fly Virus 1] -MAQLDGFLHSARMRARAAVRCGQSPLTAMVVAASGNNVYAKSSWFLNAFSAVDANERGWV -SALGLIAMSRAIHMRDPSYGEMSEADRDAFYFGSLTALWQVPEDNIVPEKIIESRDNIIK -ALRLLPPSGEEVKVAINGWISIYQQKNANIYHRGAHMFPIALSAGLTDELIQQTRPLCYG -PHHDDNPEIVSLTNEQIIALRMMKPKDQITAIWNQLHDVHIIAYYTVLGSTDPTYGRDQM -RAMFTQIATIGKSSFTEAWARSRWQNLVQDEASLANSPEVSRDTLISYATKFQSLASDGI -KVAGLLHNYYLAKSVNMTSLTRIIEQSRAPHVSGLTALCEMMIRYVYATYTGLQAVFGDS -QLKPIVKLAAHIIYNPFCTLSGPPITQAFYADVAYVATELMYHTNRNPNKGQRYNLPSTS -HLTKAIPFLNSYIESLTQIESRGGEVTQVLQTVLGAYNKTLRPGANGEWNVTDQGMEAQA -ELIPINREQVLSSHQLSDLIISLRNDKDKAFFDLMVLLKDAIAQQPFNEVTVLNDEWVHP -RLTVSPLSDGVKALITTLGVEVDPKWNVETNIVSDARYANYIIANDQNIRHAHQPIVGPV -APGDDEARPPLPQMPPPPRDQHLQ ->YP_009300661.1 glycoprotein [Shayang Fly Virus 1] -MKCFFAIWTILMVVVSAGHRPKLHKTLGVVVNPAAPIYPTESDWVHMIKIQIPCLQDVLS -AADSESLPDMLSILKSYRHAGPKAGNVTHEKVRWSTVMMSYRYISAKARVTVKELKALQH -KTQHGWGIFPSTSCGNPYFQFDEPERVDDDGYPIVERSRRSTDTGSSHTHNYNMPGVQKV -QIEHHHHYHPDKFGSSQHIHQIPAKDINPTFQRDSSASAILPKPYYYKTETTTSTTTLRP -IPNPTQDEDEEDDYDRDVNVQLDQEATSTSWLSHCIIPYLDNTGRQKVSVCLRNDELNPL -TGGFESCRSYQVSNILGTHQRDQSHKRVGRSLCDWCGSAFSWLYGLTTKSEMIEMTKAIQ -NDYSIVVKKVNEGLSQQKVYVQSIKDTLDSLSDVLQLLSNQSTAMKAFSTLLKNDIDMLS -DQVKFNAYLDQFSDSLSLMDSYLDNLITCSHRLTEWYRSLKYSLQSQTIDLDLLPQFSLS -ALLDGVSGMMNERWMIPDTWKSLKFLQNNMVSVAVMDHSLLLAIQIPMILKSKDLSHWTL -SAVPHVLDQDAGRTTPPFLSSQILIDNPYVIIDGTNKRWTSASTEGVLRCQDRSDHMCSG -PFVWKSLSESDCIPSLILNVDAAKAGLNCQVTLVKSPTDMILAQVDANHWLISILSTKVT -VYQACHETKDQTDTTTETEISGLNLVQVPENCAIQVRDVKIQGYTSHHSETMIVLDLNSE -NSSSSVLSTAALVVFKEIKSALRVPLFDHHITVPSEVLNNSLFENGKVRLGELRRKLNHL -DEIQLLDSSKSDITMFLNKIQTPSDNWSILPWWAWGMIVVGGFIVVLFTVKVIIRRLASP -AAVMGPVLFSQPSRSFAVSISVNSTNATVLNMTIPQLINHHTSGWLPVYQCVLATLMACI -MLICIYKVHCQMFPLMQRWMMANQVFPKILNMSHHPGEVPITLTILCQINHLFSSQQTGE -IGLQIGTLPLPSTQWYVDDSEILQHGVIRHKCFRIYLFKVPLDWSKMCIKSTTHHIETCQ -AMPPLVKLPWSDVICQLESQIKWDWTSVEPICVTTIAVGQSHSRRVMKTYLDSTYHA ->YP_009288321.1 glycoprotein [Huangpi Tick Virus 3] -MRTELCLSCLLLLIVGHGLLQEWSTRPEPPDESTMSDPPRPVKARCPFRLIKDPAITLLG -KFRTVRSSLPIDPESITPGQWVSQRVRVTKYTCQWGYPTIVHVAVRHVVPSLKDLKNLQQ -VNMDGETQITSLPCPWYPWSGTHIMKQESVIRENKSVRFHIGSSSYFDFDFPLWTCKLPP -CVTTNPAGMWIPNGGYPSQCSSWHEIELELLGVTRADPETYHVVGMYSYPVPLRKSCTCT -TCSRNVIVLPTGDLLEIPLAPQISKILSSYPRCSISIHRKTGLHFDPRGLPSELLDLENY -YRCTLTHLTYILRPPPPQLLIPLLQSDSAFSLIKYQLNNGYLSPSLSSVGVKGGDSQPTH -SQILTLHIVGALLHSHADQNIFTRYDYNTNWSMIDDIIREMDQTVEDLTAQTDPEKTQHP -LNRQNEPIFKFEHIMYLVMLISGLLITFSTFFVISKKEQTSEVLTGNNSSTSSTGKRRKV -KRTPEVWSNPSRRIKWNCKTSCSCTHASPTKFMRVPSRSLASGKSSSS ->YP_009288320.1 putative matrix protein [Huangpi Tick Virus 3] -MSRRQQGLPIWRKRGHPANVHESSSSDELSDSDWVEGPSSLRIPRRHGGIRFQKWAIKAD -VGLQISSAGLGSWSDHMYEVVETVLQSCPSYCPSIYERSVLAVALAQVPWGSQAHXFYYE -EPAHYSFWIRATETPPDYTRSVVLTSTHFQTFSNTHWTMWTTVRTATHVAPDFTSVATSK -VEEILTALGVTYYVHEGPEGADHQVIELLEGVPLIRPGQSQAAPPARRPEEGAEAPEPPT -GTPEASTHRPEARPASSTPTSTDTSPSASHNK ->YP_009288319.1 putative phosphoprotein [Huangpi Tick Virus 3] -MQRQLPTLPDHVVEALRDLSEERRVRDPLGLEDHLERSAYRRMSASPARMETEADQDPAP -SGTPKKPRKQTGQDKPHAGEGARLLRSTSKQRPEVIGKRSKATDRPQRSNVSMKQGANQK -GGEVSVQTHTTPDPSDEPTSEMKEPNIGAEGVVVLTEPVPDDVIDDQMEIDKQDPSTNPQ -ETYFVATTSIFDPADLSGESLTAPQTLALQKCALCMENLLAHGFAKDISADVSNQGIVLR -YTRLLPAFDTPPGEQTCTQPPATDPASAHQKSQDKQGPPDKEAPPAPTSDKNLEPPLDDD -EQFARQVYRALQDMTIEVPSRSPGQMLSVKVSAVPLRWSVVWDYLKAHNRVNWFIDSASD -PAGKLAFCAWSCRVPSLMNTISPVFIRDAIKGAPV ->YP_009287862.1 matrix protein [Bole Tick Virus 2] -MQYSWTKDLMFLFSNPLIFIFRPILHEKNQQISITMWRFWRVKSPQPPPIPVEEEEEEDL -FGQIPSAPRPKGLRALLQGWRARTRSTRPSVHARPDLWAHPLDDLPNTWTGLAVPNLPSE -KPEHVTLDIAATLEVRAPRPLDQKTLAEMSLNFPLAYQGEARSKPLFSVLLAHLVSKASA -HQTRSGLIYSASLTECVIFTGRKGFRPDNRLQTYQDTYEWRYKGQVFFWKLRFEAHPTVM -KGIPLHQILSVEVMPLLRALGLHPHFRKEEQYLEIEGPQ ->YP_009287861.1 putative phosphoprotein [Bole Tick Virus 2] -MDPTLQSEALSSSPSITHDHVRATDFSRSFVSPEQINALVHITNSMRETIMEEVEGPGVQ -EFFNETLLEEHISGYHYPSGSTLEPAPLPPGTRVVELTVDKTEEVNNYVFEKIKELMTKL -AAENIITPAAPSMVGQIIQIPLNVVPHFKTSSSRSVNTDVSSSSKDPGPDKVSDPGIHQV -IKDLMEPAGIPSLSGQRMRVMLSKCGVGIEAVSRAVLRERSYYLDPQVSRERKIYNLLGL -TQAGAKIRRMLTAPP ->YP_009289351.1 glycoprotein [Sanxia Water Strider Virus 5] -MLAITTLLFLLHFTTSDPAVDLRLKFFADSTIPHVLKVPHIRSCPHYATMHPDNPDLSIP -TSATIYRVVESGEPSFGYLLTAYQLTIECGRGFWGFPTSHLLHDNPTDLDLIPGNLLSAF -ITRTQPLVSQRKTLQSLIETFDQDLTECPWLSTSKKTSFVLRLKQITPVWSIDGHLLQPL -NTHNCTLTTEDPCRLGKSSLLLRASSQQASCSMTTLMDLDGVLSIHAEASTYSLQFSALD -IDFSLSIKQDHLVVLCSSTTQLIFNSEEGHIFSIATHGGRSAMFNLLSRYDNKTYYDYKK -WLEVTTNKRYKRSTLSSSQFKLISFPSIVSPDHENDQYNHSRRLRRSTIFDYPEYQRLQV -LEERLAWGFSELGAVTNRFHSSGVKSALVSKTQNCIQDVWIKRLLELAPNPWLIAAYFTR -DSSIITAGRTSLGPIILQGSPVSKIFLPNRGEEVWCNSSIRVNFSLPDGSMEQQGWLESG -YGRLFPLTRPCGKSQPLLEFYIPSYEKGDYELMSRSYPDMAISEPEEKMFRFSFVDFTPD -SGLHRLHYLERIQSYAGEDTESNKDWTSYARMFADPTGASHSILSSYVVNLLTPFVILFL -SLYTILFCVSICRRSTESLFKARSY ->YP_009289350.1 ORF3 [Sanxia Water Strider Virus 5] -MDLSKIHNQVSYTKVKGRLLMSFELTGLQSKSWSVYKYVCGLVILELFYNMEVSEIFLDS -VISNLYQRTKEVPVTYRPSPSDSLLRGVVELKWFTASACFPTTHKKFNMNKSRTFPLISL -GSQQILISYDFRSSIEPYDPENLIALLETGHINIEKHSADLHCSKARKQIHTVRKTTHVL -NYTELLNLVQMDVDLVKAANTRKAEKNFLPTDPVHKKVEVGHQMLGRQAPNYEVKMRKGA -TLSKSNCIVS ->YP_009289349.1 ORF2 [Sanxia Water Strider Virus 5] -MNTLTLEESDSSSLNQFEDPSLAAALNSLSDRGIEEFIAPQQPDHTDPEMKKKVQPSNPE -QRKIKGSRSSYSAHSASHNLPVNQPKSDTPPKKSPTQIKSQPQPPKSPEDILEIWTQNYP -NSKLPHLYSKYSKTISESIWVECFYELNNKNLDPDSLEIKWTSGISCAQAQYEVDKIHNA -ELLTLRIGETITNFSKIAEDLMSQLQTAREINMAAQLSMQTTIDDLQETIKVLKAERGRP -SEKPKEVSYPPLILDQVMFQFGEFRFTIIDKPRKIQVTPAGITKRYPHMSIAATEMKTWP -SLMLMKVNGKNLTSVISSWLESGNQTPERFSSLISSLTI ->YP_009289348.1 nucleocapsid [Sanxia Water Strider Virus 5] -MTSSIEEIWKVEGLEKVSAVTVGVTRVAWSDQYFLSTYFKTIRARSSATAARTACRVFLR -WYVGGCLPENVKLIDLYLSIISKMSYGENHSLFPDSGFNGATSVPIGNLGTPGTQDLEYK -NNHVTTLELTSSSTGAPLLWNDTSVKEWHNRLLEYDISNSPDEFSESQRNFVNICGFLAL -TMLRGIAKDADMITRSMAKTVAANINNLWNLTLSECPPPNMQSIAYMMTVMKKGSPNANL -ILGQILNSYMDQSTNPTVVGIFRASCLMSLGMNGMNSLHWLMSAARVNNIQPIVIARCLA -TNSHSKTNTAIVDLMKNQMLAGQTTWEWSRLFNEGAFMDLSVREDPLYAGTCFFLSEGHD -AQPSNYLSLASYLKGPILDSAKIKALKIAAYLREQDLSTAQTTEASAVANVIIQTPTRLD -VHHTAIPSLNQGAGDMDEF ->YP_009288954.1 glycoprotein [Sanxia water strider virus 4] -MVSNHSWKCVIIILVNFTTMHGDLIFPDIGYMCEPTRFMMFSTGSETFHIGLKLPNIRHK -GADCIKESPEVSNLLEELYDLPQKVIPNMNFLKEISPRSNFTRDKRFLTAIIGVVSLLSL -GTSLYGLGKQYSFESDIDDKIRRQDLALHELMIKHNQLLTVLDEQSNELKNLGSSVDMLH -RIIENITCIQDEKIQQLETITYIQFFILKLESFVYAALKGDLHPDLVTLSMIRTLIKQRS -SLRDTLLDKDPSVLYNVGKVIPLSYNHAHRVITLMIIMPIIRLDQISHIYDCSNIGFKKN -NTLFKYTLPENIITVKVDGKQVMTSVNLQYCHTSGIIVMCNVNAIPTTNYQCLDDLNNNV -SSNCSPKLLDNLLPVAINTQQHIMLRGFSRYSVHTQSTIPRYGSYKINLNPDQNTVIAID -KGKKYVVGGDIFTNNVVKANYDIPTNLSIKTMVKNISLNNKELDNISKEIQKLRIENISD -WDVSDPSTYHFITIYTLLLVSIAALLAISWCYLRGHLIRKNKSKKFKKIVMQHSNNEDSD ->YP_009288953.1 ORF2 [Sanxia water strider virus 4] -MANIDDNLETTFRRSIKKNKRDRVLTSDCSVLINLIGSLHTLIENTGGKVNPALKKMTSN -APHDNIVEEEGSGMKETIEGFQSQEFELNDLLVEDPSKLTVEMAQVMRESISEHRDYDSE -VIQGEAQDLEVPAVDMRDEDLSLLDDVIEPYEHMWQMYTHVLTLIEREEIKNAWDTIYNF -YPEESPLTRFNTLMLVKLQDHVHREKRLVEQVDRKLDSLSTKVTVDTDNIIKEIKKIQIK -TTSTPIPAIAVSSPSTSKNINLPKAGTGTPTISGDDFLNKLKELRKNKTAPVKRDVVIIP -KETLKFNPIILGPEVQSKPVVRFTKDVSRVSAAVIMEEKSKTDKYWDKLISSGFLLDREK -VDALYNSLKLNKELFNGLLDPNNLDRSHHQGMIDYIWKELGDVGKQSDFIDLMNHMKKTT -YFVAIIRYWNLLE ->YP_009288952.1 ORF1 [Sanxia water strider virus 4] -MATITITDNQVRLYHKKVGDTKNLTRTGTSPLTITSLIDEGRTFARVIIPVFNFDDMDQA -VTQLCLGTLFHVITKTIGLSSGILFGSFLSIVRCLSGTMYEDIKDTLPTVGFISIVEPTF -DEFATMTELTPEDILDLVVNREELGIITGIYLNLIGKSFTTANHKPWFDKRIEAYCNALG -NSLSANKLKQLIPRMDTCETIKGTICRSFDFRRQLFLAMEKKAGDIEDAVVSQSFSITMN -LLRGVDMAMVRLCLDVLGNCNEFTATWNEVARHNAVLNMAFEQLNQFKDQREYDYCKILF -RSDQVSAFHRHHYDNLIAIALAVLIHYGDESLRNFQYARYQKSQTVVLFEKALALAKSWG -SCNTEATRRARCILSVDDDGNKEYLDLLRSNNENTIAQQHDGIIVPAY ->YP_009272948.1 capsid protein [Fusarium poae partitivirus 2] -MSRFGPRTTIPAPPPPEPIQAATDPNTPAPPNPLHDITQAINAPGNVTSNEGDLFILDTV -PDHRFPLLSWLYSIPRVLQPTAYSQAPNASPASLLGYTYLMYIGLLFHNDAFQRPSPSKH -ARSILSDYYLATFFNSLLDLPVPAFAALDFEALRFFNHDLASNLVAIGSEAGFSFAHDFG -RFFTAGTFFGLHATLATMPGNTQLAAAQLAFSKMTVARITTAPGQTVNLAPGHLFGTVMN -DTPYLNWLNTRLSAILNQSEIRSMASRPNAGRIPMFAIPEQEMSDVNPYLLMMSISDDNL -ELLTSWIRNLASFTRETLTTSRPLRNYTQPGNPEVLRHLVFEAPPPTWHSDSLGTHTSLN -PTPEPQNPFRVGNHPHTHTQFAAARNFRTRSGIPAPIATNNPLAANAAAPPSVDNSWFAS -LVSTNENAHPNDPVVRRRLTADGHFANTPRAVIFEPTSATESTAHHVAVITSGKIIESGD -FTGTVIPTVHPRRNLYLQNTHYLSGAVLISQTRPAIRNEYFDIHNVTDEDLLRSPLGILR -GYSSRLRLPLFRQGIVERALTFESANFSSLIASGATLLPNAHRAFDSTNVFLAPDNQGHL -VDDEIWPIWSSYRHYNQEARQWYVLPSLRHIFGTQARTFLTDHPSRRIPA ->YP_009272945.1 putative coat protein [Sophora japonica powdery mildew-associated partitivirus] -MSGKSTKKSSSSKSRDFRKEAGRPSLKKEPVLSSGESSDDSSDAEIEQALDIPVKDDSRR -SKSTKGKQRSVASREKKQKQKPSVILADDDTDDEANDDLAKKSESKVAPHMVLITGSTYH -FGFPPVTHPATSTFVPWCGNFFSVLFFMGESLCNSTLVQESIPDYFTPVLYWYGSMIFIL -QILRAREAAGAITRDERTVLQMIIKTYPLEQWTVLSPMIGFLQSLGSVKPTDPMYSWICP -AFPPFTGFTALHSYRGMSTVPGIQRMPPILAYQKFIFNFGAHTTQTRDAFVYPQPTITPQ -NQFVGLQGFGANDDDFQCLTNMYGWVSPPETGSLITVTDLNLKIRTIRRWAVPDVPNNLT -VTNLKTFMGLSDDLSLSWMFRVLRQMNSLSNFFPDSTNLANISPTTGLSSIADVDIGRAP -ARIATDSWYRGRKGITINILSPAGFDDSSQLRLSAATCFNASLADSTHSPLGRQISSLQS -GPYFVNDDEEHVSIPLIQYESGARTDPVLRFSEIIESKLFDRNGGRK ->YP_009272907.1 hypothetical protein [Fusarium poae fusarivirus 1] -MSGKGSDRSEDFQSVAESQMTQHRSLSISEGIKKVIQEFSDRATFKGNAVQVIDAESLDL -LAKRVMEVLSTDADTTVPKSTLAELSAQLAKIRLEASTFKDRAEKAEFAKSEADTTLAAL -QQELAKFKVEANKQVSQLKSDKAGYEMDKVQAQAALKELRAHMKAFPDGTTTVEKEEVAE -QHENLSKQVTSLNNAAQDANAQIKRLRQKETLLEKHILSLSNEINIARASIPPARAPGAK -SFSEVAKAAGSANVDLTKAAFSLLDKRSLEKLRKAYESTDGVKDKLFWLKAGLQNAKNSL -FKGYYNVAEALHKECLLMDYPSRSKTLSHVVDNMLAEMETELDGDEKSVRMKFYTKTSPD -QMRLKPALAKTVGATYLSQAYLKGWDLDDLVDLEKQLHTKTFTKTQDPPVKVKEADNSWA -EIFPEIDPSGVPLPPPTPDENPQSWWRRLLFGTSALFASLGFIGKSLWAPVGKFFSMINV -FK ->YP_009272814.1 capsid protein [Bat tymo-like virus] -MASLLPELALAAAPIAIDAVSSLFSSPSDSSPAHVPSRIPIPRPARSSNIVSGDSMSSRD -LDVSNVLSNPALPTRPRFFDVPSELGPSINLPFQFKLHDWIGDNKLVNLDISASEQLIKL -LAPYRYAQLLSLEVLVLPRQSSSKWSGTCEVRFMPSDATPLALDMLEHPGAMSISVGGPL -GFVSNSALSCDLSKFSPVVKSPFLPTDRVRVAVNHWLNTDATTANTQKHVIFTTLLRGTV -KVAYPSFS ->YP_009270633.1 TGB3 [Senna mosaic virus] -MYYLREAAISLGVCIFALAALTWWSSDTKECKIILTATSSTVSGRCEGIVSPDLIHALGA -SLAGLRI ->YP_009270622.1 movement protein 1 [Gompholobium virus A] -MESDKPVNKALKKEVGENERKNTGRRAVSRDVARTGTTKFARDTGSGNCNFIIIAESVSI -TNTFHF ->YP_009270623.1 movement protein 2 [Gompholobium virus A] -MERPNLHVTLVAAIVISLLLLNQYPLQILSIFRGNWSHEILEGIIVLACSFLLATACSTH -TPSYSYSNYCDNSKTQHIAISTGG ->YP_009268714.1 hypothetical protein [Fusarium graminearum deltaflexivirus 1] -MVSLGLPPLHSSLSEHFAALSASFAPTPTGSNPTLPTRVVQGQSSGLKIPAPQPPAQKQQ -PSPISSASGFMAEHENFHSFLMTMLPTFPKDIKHRPEFPVAQVDDPLFVRALYCINAKRL -RRLDGRVYHQVHAFTPEEVIPFPTLTDEFVYFKLV ->YP_009268713.1 hypothetical protein [Fusarium graminearum deltaflexivirus 1] -MSEFIGDTSHLKRDTVPFSAVFTFEGVSGSGAVKLTDNLSISRIIAGRAYVSLDPSSLTI -ELISPPSPDKAAHLTAAVIPSTVSSPPKLTEHILTVPGSVLIRSSTYDTTLPSSIQLAPG -VAHQLVPPPLIGDPPQLVFAYHIAGASSDTKGHVRLSGTITLGGVGFIQTW ->YP_009268712.1 hypothetical protein [Fusarium graminearum deltaflexivirus 1] -MLDSPLVLTLVINFFISCFLWASRPEWNFGLAARFFFAFPFWSVLLIFFTCWLIGSIRDC -WLFRLVRTSYTHSKVQQLPTYTAPAPARVRADPIPSAVSGDIASAAPVTPRSATRFQF ->YP_009268711.1 hypothetical protein [Fusarium graminearum deltaflexivirus 1] -MTLVETDYNQLLAALGDLKHKRAVFEHFLSLSPAVHAYFDRKHQAAWLKRYFKALVPAPE -NGVPPSDHRVSSAFELHFEISPQLRQKYLSTLPATPGVPLGFNA ->YP_009268611.1 nonstructural protein NS4B [Nakiwogo virus] -WELQLLPNVRNDVISIGKYFSKPKPPLVGEVFNIGNISLGVSLPATLLVSFACSGTLAPM -MSAWAEGNFLGKLFGGEVLSAQVLGGFQVTSIPWGSMIPVIACSFVATNTLSKIFGFGIT -TIFLVLYYFDKKHAFTNKAVKVLIARTSRKDIDEEVTNRDAESRARQMFYGLQLIVALLW -VLSHQCMTNGVAFLVVCGYAFMSLLRPNHPIHGHVDYTLIILLLQMTEPGNIAYVGFCLL -IWYGLNPSRLSVR ->YP_009268610.1 protein 2K [Nakiwogo virus] -GILDSDIIKLVLFLAVCTIGIAA ->YP_009268609.1 nonstructural protein NS4A [Nakiwogo virus] -SVLPFTDLLGIFTGLYTMTKTAHDNFFSNSLTKTVNALHEIVDAQANGYPAEEMERIFLV -WVAVGIGVASMLLLFVGYKLAGSACRAMFGSRTPSSAHGPVYVTETNCLQRVITPLFSVG -PLLAVFGGIPPVFVFIVTVSLLIILGLGVGGSQR ->YP_009268607.1 nonstructural protein NS2B [Nakiwogo virus] -KPRPHLITCVYFTSIYVTATWMESMGLQNTGLLVVMGGISLWILTQLLPPNTLELERLHG -QDLPDGCEEEISTPLPRELSGKYATDGVELIGHTDASTVPANLFVLVGCAGIMAMNLYVG -MFLTVFALATDAAIWIPRLIAGEFAQR ->YP_009268594.1 protein 2K [Lammi virus] -SVQDNTIAMVLICILSLAALIAA ->YP_009268578.1 protein 2K [Ilomantsi virus] -SIADNHIALTLIAVVSVASLIAA ->YP_009259512.1 P1 protein [Wild onion symptomless virus] -MQRRVEGEVEFNCYRVGEKHHLSWSEYIETRDKTLERILMKCEIGPWGPPLSSVSIGGGR -TPSAMERSEERKEHKILNKTRSRRVRKKLSTCKLNNTQMNTLVKDIMQIACDRNMEIILI -RKKSVRMSFRTDHNKVYARVHVKHLENKLCRRDIVNDAQVNKWLNVALRVTHGKEQHHVS -EVQHGWSGTLLAKDKLIGRKPKTPDNLFIVRGASKGRLIDARMRVSTQMMLNMNHY ->YP_009259291.1 prM [Cell fusing agent virus] -FLTVLCGCVVIDMQVSTAKGTEIFEGKTNRTDYLHLVKLPADGCWSGILVTKKCPKVTDL -AKDLESTDCGSTWTEFTLRYRRCAVKKREKR ->YP_009254746.1 polyprotein [Xinzhou spider virus 3] -MKRRTRVTATPPVNKHTTSTPQTDKTQSAEFSPAQVLARRTAGQSKADHYSCFTQSSLEN -ASSISPQHSRGMLSKSREGLDLKDCDIVISQKQSHGPKRFQPGTGRTQQCVPESCSFGKN -WASARKLATSHVNIPSHSLNSCSCDIAVAQSFKEKSFYDCSGKCKFCSKSVHINSYRKHL -FNCDIFYKNVVCECPVNCDTIYSNIYSKNMYKKVCKLIKKIATECAYTNSIQYDSGFCSD -EIETSSTKETKIQKTRCYDEDLMKEHITSFKAYNENKKFVPEKIKLHEEEITSIKYKLHD -VRKEINNFMSEHFEESVMKIIKNIKDFDELIVWFHDYMSRKYPKAMYKISELEDFKVTLC -HDSLIKRCNRSKTNGQSVIQLNTRKVLNNACYGRHIFTISSGFEFASKGSFMLPAHIFSG -KERNGVFFINDECTKRSWGPFVAKLDNENRSDVMFHGSIIDNSVFDSISFDAIYDGMGYV -KIDRGIELYSGYYDERYKCMHGKMIKHENVTYICSGLKASDFEEKCADAIAIPKDHVRVI -YTSKCSVCKQYCSDCSCTKDIIKKDIFEEYKCVRCDMDGVQCECKDKLVYKDNMHEQSNH -LRSNDPDICLYINNFLTSKKECFDDLKQNNKIELLDINRNENNVLFHTIRSRKTGSTCVI -NFQNYGFLFPTHVLSPVTELFYLCIHSDAGETIYSGPYGYSQDDLIDDHCCKGVFKITSF -AIMSQGGITKPLHHSCKSVRILAEDTTNMMSGSFITNGVMMNRQFRSTDKSVCIFTPYTI -VNRKEFTILPFNEGIEWTSGRGTRGRGTQRYYSGFNQNQGGNFQNFQQPGPSGMSRGRSR -GNSMRGRVSTTASRGRGQLNVRIPGLSVATPKVAVVTKHMEETTSKKKDTAGTEFEVKTE -QCYQVETIVSQIGKKAYESKPEVRVVKLPGAKVSNNVVDKLSKNAEKASKAIFGSTTLIA -LIIILFIEGTVAHPTTVRPSVQFCDRLSVNLNTIISANKGGLDAATVVRMRTLLTTYTPD -SVSQECRRNLININTYFGTLLNMNPAADTTNVLVDVDNCMTELNLCKLTDDQKPLNFRTF -EGFDIIIPPHHKLRWLANQDEQFVSGKISSMIASREAIYCLGKKAIVQHILFISSRHNID -IQCKDFHADCRQFCVMKLRSDGAFNLKKYTVGSSCVYRFANNDVDLCTNCGDYEKSLIKY -EIDYNVESMFEKLTDGLFICMIKDSDLVEKLRHIIAKQNVIECNYNTEGCFAANYVQLST -EFNHGNYKKFNCIELMHMISEFQLTIKNFTNSLFDCKMLEYEFLGKKPSHAILGDTEVYM -WEFVYPDKGPYAMCSNTKKEDKKLCCTKGLHMKIEGVQANQGCHDVNIRKTVEIDLQQSG -KVFVTHPTDNYKDSLCVRGNTLVDNDGSVILVVTDELSNIKNPDIRVFDNNVVLRCESRS -WCYSEKFTGKIYMPPKFIICENTLEGQSYAITAFATSTGNDAIQKLKDFWNDPLGFMFNI -EDGSKYKFYGLKIFKAFTQIGISDIIFLSVMTIMWMTPARIYIWMIFMAYVASKVGYALA -LDCPTIDTESTFKVLSGTVLLSTENIIIGSCYRITLTDNSNKPISSYTMRINDVKYDVAL -ESAFYVPVEYQIDREHTWSCPGTSATKCSVRSAFNRDGVGIVVRQGQYENGLIDGCFLIG -EAHICEVFMLQKLTKAQSMACYKLEDISDRIVEMSFIEENAVNGSSHTKIAHVSSDKWTD -IDGKLSIKLSLGSFNKHVVYNFICFDFSTKEMFYTDRTPMRTNVITSFVIDTIPNSGEAN -LPREYIEINKLTIREVDWKYNKNNKEKFLQQSYFQKVTKDNNRFTYKFENGDISELYYHP -RADVIKSEFKTSGGFVNTLKKNCVVDICNVTSVIDLQVVGGNYQHRLNIKCSGYSGESNN -TLISFDECRIDSNTLCTASDKNKILSCNPTIACPFNVELFTYKVCGKRNAEKINSTFQII -DAFKVRYNYGSDVIGNYYTSITGWASSFFSSYNMLQKIWIIGVTICACCIFIFVNRLLGI -LLILIVACAIQAYAFELIDDTPYYWNDFNFHNDSALHIVLNTSLNYLFAIHEVLVVIFVS -LNIVWQATYGSSIYRGYCSKLACLIVCKLSNNKFKNIFICIGLGIIAYTPIYKFMFWSNL -IPGYLELIIHFFSQFEIKIHLISIVTNFLIIISRNCGSIIVFFIVSNLPHFRSPRNFSGI -LVYFIVIVEYFKIVSADPKCLYVTGTNIGSNANMIAFKKNQNLMLCAALPENAEIDKKLM -SMIRDADYMKTESVAKVCAHEEIIEWYVENGFTKNDFYLNNYLCEHARLKYSESYVVSKA -TCSYDKTDIVLEVSNVIDFGFEEATIYNSKLGGYCGLFTKWNCQLIYFLSWVLLKFIGDR -SLHIGDFFIISQFIYHSAYADTCVYTHGVDGGKRDNQFLYDKGIQYSLHGRWNINITSNN -TCISYNEFISKFNGSKLQNYMYSTNENDYPGNCCKFHYHEYTKHFCSNNGLSYSTFVAML -EECLNLGIPGRDIYDCQYCATCNKNLALSTTNLEKLRPQGDCKPGVFWFIAKACVQIFCA -AGLSKLTGLPRIYIVLIVMCTLVTGAEASTVEIFNTGIWMSSKILRYKISAAFLGLSLWN -FTNLINLKIREENKGQKNKKITKYTKVILKGYSSVKHARRLGLNPFNGLGLLRQHCIEKN -LLKEEQKPIVKLSSDDLKEVCAHLDAERTESDGVIKEHTALRMVDPIYNLGESSKFNKLD -VKTRLNYVTSYYKNVKKDVDCDLIRKRVDIDYTMIDNVKIAFGASPRINAVMEHLPHIVR -ISHAGRRKYGFIDTSVDNQNRTLITTTHGGGDRDILTRSVIIGNETVSHGDELCKIKLHF -MDAEHDISYYGGPKTKFCTPVLGKKYIVVVPHVVNYRGGEGAFILLEYSKCDIDDKGSKI -KTCWRFWDPIVGYYNPVCRQVQGFSGLPIMDEEGRIYGICSTFMTVKNETMYATYQHHAP -AEVEPEVNCKQKAYTISKFEPGMMNAVCMPTGTGKTTALPYHMYKDYPRRAGESTANVLV -LIPNVCPIENTVPYMQEKYKMNEVYKKNSLGDTRLSEVRGIVYMSYGSWIREKDLAVRCK -YFDYIFFDEYHQMDCDVLTAFMMYNKITEDWEADPSLKAMNNGHLPIFVCMTATPPTETP -DSINRNKLITTKRLVNASPIQNEFAPECKNKKALDPNCESYNIYGIPVTPSLFTDGMNHL -FYLPSKADCDRMSNWMKSKKPHYYINVFYRGCDTSPQELEMKLLSNTTGSIIFATNYIET -GVTFDFDICFDTRLRFAPAVNWDENRRQFVRTMDKVEITPASAQQRAGRVGRFKTGTYYY -VSDCAETNMSINLEDIFESMVLFKHITKKDRVCRFMWEPFQEYCKQELITFFSDILDCAE -MGEKERDIVYDIIYNPLYSRTKNLIPNWVKYINIITKTAAREYAKAYEPVPTKYITNTGL -GALQAAHCDEFLRWDAKVSRDAFYRRQTDELMAKVRRKYGNEVYVALNELEMNTKNSLYK -QCTTWLERPDLPAEHKRNIVLLMMPHRDIDVEKEAQNQKVEAEINKEHSNDAWMLLFGCA -GVSVTALAVNTFLKRSLPSIISCYTIDLDISLDNSNHWDWRSGSDFMTYFSSEVHSVVPF -DNNSDETTITNYIEYKEELTENEIQKQLEAYKKMNPAIVDVNYENELNRVATETIAEARE -AGAMTEKEKTGLMTSIMTDIEEVKRHIDYCSSTVEHLSNRTDRLTDDENAALQLAEFELE -MYNTKFTELQESLAQVTRNKILPDTCDGVNITNDVLECNGLDRKSILVEGRNKTDCVGSN -STKTHSSQMNELDIIKEKIVKTVNNIPHYAGNAYEVTKKYADEAIKNFSEFLNQSKFDSE -VQGLVSCLGFTSVACFYDHFRQTIGFFPIAIIMIALSSWTSICLGFIRTAIIMLGSLFLY -SVTTKEGNESKGGEIFCLGQLPNLCIWVYQAYCAGNMPLVDSAIAYISNWISTQGPANIA -AATAGTVGISAFTSKISGWLTGNSSTAIMNTAISVHKIIMDLYSSDHNHETMWSALSVIS -SGLIGNFGIMFRGVLIGFIAGIINIVLISKGTLFNLIVKLFCKNVMMQDIQKELERPEVI -RKIITFIMYALEIALDPMQLLIVLFSFIGVKVRGEDEKSFADLISENAGMSLIIWIVREA -RKYSNWIRHSGNIATDFNVKHTSTPAFSDCIDSFTTTVNTLYNSIKSFLKEVPGIYISRS -LKWLRRLIDNGIKNMKQWLNFKIAIVTNKISNSVMAPLRNMIVGCNYRHFSKNHVSGDIV -MDLESEESKTLINTMLDIEWSYNCNCGERMYGVAKQIWLDEIQDYLFKIEHDKLNYCNNK -RFKFDCDDMLSLVQQLPDGTIMKMNFEDEGVFVCKKDESNCMSWLSVLLKSDRGTSKVLQ -HWPAELIDELQFNTEDLRGDEKLINTIRARRMSTIMNYFFFGETKREHVRFIFTCSMCVN -KGIFHTVDIDNREGYLRAIKIRNGGCGALETTLDNFLYISECDETCCTIAVYDDINAIPS -IVYGKCYSTKVLETIREPWDPIPRINSSVVTPSLLVSMDITMKDMLTHESNAIEEAGIMT -YEFGDLLNWDKLYELNSSMNLHLSNYHFRDVTRIWGPCSYYFSDFDHIIAFNTQMMITMN -KVNFPILVVLRDGNAMMVNKNYGTDDTVETLDLICSSKAKSYQLNITTLQTKLPTTARLS -TQCYVSMYNQIRGTDVGRELINKVFKGGVSNLDAHIRKFKQENYELSFNWHEFLCKPCSK -LYELSVNLGCFKLLSDEVKDQLVANLDEDSRSKSSQLFNWFKSKNRAVSNYIVNSTVQLA -VKIKNSDFEEFSADIKNSIKKTLIKIRGNSFDPEDECEYILMDYEEEEVPEIVTEIADDE -VSEASEEYVMSEEGAIEEPKGTPFSERLFEYLGIIADGASKIIWYSEEEKAKWERFKNNV -NKLSNATKNKVHDISEGLSTAKEIIAEGIKEVGEGVTYTEKLVWPELLPTDKGENYESTM -TKSDRYVLERFNNAFSTNFETIYQCAEFVLRYILMAYEGVTSSCLTQTLLYDTRAIHKET -VEKVLHLKNEEPFYDNMLANLWDLYVKYCMSYGMDIRMIIGYRNPYVDPESNIDFTCHCM -NPTFNYSINKVGLRHQGNCFYEALFIMKYKKHVSDTVINSFKLKIMKAYGDEMSENSRVH -YSTAKSWADQETIIWVSKFINTNICIHVYDSAEELTTHQTYEAPGATSTVHIACIDSISF -EPMVCLGAIESNYTFELIEKSKGSAICSHDSKQEESLKNRSQFGIMNTIRWANNKTLQWR -FDIPWAAFGRGIIDGGRLIKGSVMGKAKGKKLQTLNQIDEEGKKPCVYEESKVAYHHSAL -QYGIANEIYDYVVSSKRSRVAYSNPKPDKAIEQHSLTGSFSNVIYGVPNVNYHKINVSSL -NCKETPFNREQFEECRKIVDEVRHQVNSFTEKFWYVHARDNKMPREEEQLWVSKGAYKME -EMDYQMNIISWGTKHLFVAEGRGGFVQMLMTKIAAHKKAENHKIFIVTSLNKERERPWIN -AMDIPTNVNYNTIVSINEDGTIIGNNDIQNIGVRKFVEKKIKSSGCDFVDNIYFDGAESA -RNQKLAADWQEDIFISMAEMCCNTLRLGGSMIIKMCDFFYNYEQIAVLMSMFSNCVFWRS -PSSVNCTQEVYMVMRGFKRPKDVEYVKLSDFQNRAKLNLGLNLANKKLDSNPGDNYKQSI -IQKMIGSTIKYSHWLKKDRSVVTYKISGASYLRSLNQIMSLSIAYSKLMQYELLNMLNGR -PKLASNHCVKPVATEKVKTFRYNKEYRVTNLWHRVKDCDGNIRAVGECSLIPRSETRNMI -REFGCFSMKVNGCHYQDRAYEVWSNFMRLYNYRISEDEGYANVKNLKFMGKINLHNHFTK -NTFMKLSNCAEIAEMFLGSDISNLPVGFADKTLQGWEECIRKKMDIYHTPQSAHDINSLM -ACLTAISNYNHEKFDLMPWDQIEKIINKKGAGGWLNDTKLIDIVQSPKGRSEVDKMVNDL -MNGIIPPCFMSVNHKTEVRALESDVTDDGTWNPYPKPRAIQFYDGITRLAHFRLFGYFQT -MHNLDHKLYWGSNTGRSLVDVGDYLKSQWDKYKKPVCIIGDTKTWDGNMTAEQLVCECES -FKPHLKPKLHKALQTCYEIDLAPLCLDLNGKLFFRKAQRGSGVWNTSRGNGKVNVALWVQ -MISEAIGVSPSWVIENVTICCEGDDIVTIMEEQHSLIVLAYAAKFLKRVNKPPKLVDEDG -NIRWTSKFTEIEFCSHTYQPVPIGNKVRFLPHRSLAELLGKFSLTKKSIGTKFGTPESRE -LNRSKAISYLLLYPGQPILRRLALMVLTKVGWGTFDTKEFKYIFGSIVGATSLMSAVRSV -YGYHINNLSDIGYFPYNIIQKDYKNLMSYVGGNFDQGTNFRNLARDYVSSILETTYNNEG -IDTISIRRTYTGRELIDVSFLSLDRYLLKIIVRDWRMKKFLLGLNKKQNLYPDIASDLRS -DSKTEHLINIFKTAFIPSIDEKIDSSVGDHVARELNLERTRFSFSKGFSLDQFNIAMLTW -ANQKDVDQLGKNLIILKKIRNARTVLKLTNRVAVWLGLRVSSNLIVSPT ->YP_009254012.1 hypothetical protein WCSV-1gp9 [Water chestnut soymovirus 1] -MTKKKVVNVGKRKQKKLKKELWRNEQFRGLAQDKGIKCIIRAMVNANHSVKSIVRNVFR ->YP_009254011.1 putative movement protein [Water chestnut soymovirus 1] -MDNNDHNVIEYQEESGNYFTDILLDRDLVTKVKNHGDLDLVELEGIKSNLFGKLNRENVI -YYGIMQGEQGVNIEQADFQYQVPLVVNNQINKRLSKIKESDRSKIGLIHINTVQIILKST -YREGIKTPVTIKVKDNRIVNDSESLLGEIKGDLGFGIVKFNVSLQYPIPLRTKRLNNAIG -VQVHFEHKKLMKEGDIPLIVCFRISYALSNSCLSIKYKNQDRIYTSKLFNETSTILLPDK -INKNFLLGEGSNSGNLSQPHSIIRTIEESKKQKESIDEIKQLTLQIKDLQHTINEKI ->YP_009254010.1 hypothetical protein WCSV-1gp7 [Water chestnut soymovirus 1] -MEIMLCLDLNSNHQYQYFIIGNVNGTVTPILVDTGAVKSHVDETLGLNIRTAKHPVSYRN -FNGDIITNTKETTVIVKLTKKLMYKMDMTIDARTYNSGAKLLLGMDWIEATGAVITPKHI -KIVHDCEEYVIPRIRLNYDKIENKIREING ->YP_009254009.1 putative translational transactivator [Water chestnut soymovirus 1] -MEHLRKWRVELEAEILDIHSQIDQLKEKLHNKQQEIESVEKMIEQRSSSSTVQPPKTTTT -TRRIQTFTTLRGNAPFTPAPTKPKEDPLSFKDMLKELRPKKDLGPVQLKPNYGKQPTKMF -EKPKAALTWLTYHKTIQNIPIPKKGIYHAGSPRTGPKFVITHEADLYEAELFWYSGLVCQ -MYIRNVEQFKIFPVPLRRTLENFTRKRSRGRELFLFCISTNPVFEEQRDPEHIPEVLVPS -LQYVEIGINGKPYLQETETPDFDELGSYVNNLIWMIKKSSSFEELQICFADPTTLVVMRR -FGEESARDDQFVYHWVEKLLNLKMNYNPRIRKMLCEEITKEYGSKHKCDNCFPIVTAAQG -DKAQGDKEEASASSTTSTTSSGSIIESTKEGILRDETSTQKGKEKEDKE ->YP_009254006.1 hypothetical protein WCSV-1gp3 [Water chestnut soymovirus 1] -MSNHNMSLTMQVESIKVDIALLQADIEIIKNNLKEIVQMLNALKAGINITSSLSRNITLL -PPH ->YP_009254005.1 hypothetical protein WCSV-1gp2 [Water chestnut soymovirus 1] -MSNLETLNKDIESTEQMIEYLQDELERITQTLVVLRETLQSLNNERNDLEASREELENEE -YLAIEDELSSHDYYDNLTEEEYENLMNRLEI ->YP_009254004.1 hypothetical protein WCSV-1gp1 [Water chestnut soymovirus 1] -MEDLNNDEFFLEELLQEPIENGLIHLNKEVPYRNPSKEKTEIIRKVNDKIRTKQIGEWFE -NIMLDQWNEILVSKQPHQILVSEHPFLVSEPSNYSGNENIDLTCKENLGKVLNKRDIDIT -LKEYKKNLKIKKIRNE ->YP_009252408.1 P5 [Sclerotinia sclerotiorum mycoreovirus 4] -MPARTLIIVLTTYDEFGTISLTTPNKGTSVECFSDAIPVINITTGDGTERPRITDVERMR -DVLEVIRGTKNSYAWREVRKSLLDQYASSIDEFARSLHRLMPVVKENKLDEVLNACGQQQ -GMFSMINKRLDHVNQQLAQIHASSEDTRRVTYDTQAITQQINTALTRVAKVDDISTLMRD -IGGILHQQSISTNLASFPFSTLTYNHGVAAPKDGIQLSMQHDFDALPFGLAYELYTDTPK -TGHIFKIMGPRGPTPNATVGIVYSAYITQHYEVLFPDLQLEAFRFDHSPSGRHLFFIRWF -NPAHGTQYVVEVVEGQSDHGVYWYGIRGTANGVRHFLDIDAVTGVGMWVVGWADEGDHQV -YPSGFERVACSNQLRTVPPRGNWHGKKALSMHERMNWIMNVFVLTPVPPVYIPVSVMSAV -TSVGRINSGEIRWSFESFANTSPDSPSPIRNNMELLLQGLGVPDVLDGLMRLGQYCGRYA -REHMYMPTYNDLLGEFSDDQDVMQLVDLKAYACNGVSKSEHARMGYLANYYHYTRASTST -IMAGSFGRDFSGFFCPACGCVYRNKCMEQLCNAIDRIVSLNFEYDDGIYPTVLGSVTQGY -VGGVDDADRPSTQPIRRGNLIRCPEGVFVNQPRKLVMAMIGGMRIA ->YP_009252407.1 P3 [Sclerotinia sclerotiorum mycoreovirus 4] -MELFSLNAPSTLQSQFLPLSTFISHITQPLQSNHVRVRSLLKDVLVNIDPEHPPAEVSFT -HPLLDDLSYLGVLSVRTSVPIEEAMNSKRIREDPLASENRILAVALEYGNAVLDGYRQLF -SDLATTINVVEQRNHDWFVFLPCVKMCMQFRMFRYNVDGLRHLICARVPRQSIQDAFFPH -ALQFISSWHKYVPGSHHLLRPQRYLLVNVDLHSISWSLQGKYVPYNVHFLDARDIRSLDF -AIRRQSKPCGYHPRQNFGLLHYFSFSSISDLERRQVFLSLRTASNTQRGVAMIRETRVVE -CLRTNQSCSRFVNMLASASLVGFCGVDVHIKLENDIESVVTYVDSICQRELLRENYVELF -HRNQWTSFKYYEMISTGASTIPVGEILHIIPRRVALSDVAGGTNCRLLRGALRDPLPVSV -YRRYVTRLNAVDTGVESRFDNCGLACAIPNAADTTLMGHAHEDIATVISSTRYPSTSRAP -VMSVPLGESTHANAQLVGFKGPAAEYMSSVLMSRRVAFPQVTFDWIGGNGDDIRNARQIV -MHLMSSVDAWLLSEDRSSAFDVPFVNAAIFSILTTAHLTSQGRAQTASGSVRLTCVNTPF -VVQRAMAWISDVVLLNHVYVSPTDADGFNELGGSVICYVDGMEADENETIIWLDGILQCI -AGELSLVFLIRRPTYRVIETLFNRLSTNYEMGVFTEPLLDNGLGVSVACRVLHASIALTN -FDATPLGAAVSVLYSVQPHLVHDSVLDPFSSWLSHGEDVQFRSAGWKMECPESMFGDIIA -YVGAIAHVGRIWKWLDHNGHMRYSAHGRVGYCRWTESSRLGDELAIRDVDAPILKVSRNA -PDMPNEVRPRVCDAFAYLSAWTATWMVDLTRADWYVNVRCVVDVGGRDGRMSGPWVDKRY -VIVDPGNVVGEARQYQARSRTGEPLEFSFVNPGITVRHLIDVGLFTQAEFDAGHVMFLFS -HVIINACTTRESQLAAITAIEHELVGPKVVVHNCGEIQQGHTLADLTDWRRYREVSWGLN -KWISPKYPSPPPSIAVSQFGGAWNVMHPSLHDVRNANFGFSHVPSYEARLILPAVILSTV -WAVSF ->YP_009252404.1 P4 [Sclerotinia sclerotiorum mycoreovirus 4] -MATHAPRSRRPIRTDGLTIRALTSDVQTAFTTRSRHSGMLDHIQNSVVGLNPRHCMVDAE -QYVNYLITDLATISEDADGLFDVIGGVIKGIGGITGIIDIGKEVVGFVRKGVDIIHSHVG -NGKKFIGADFDKIVEAVRKVDSVVSDFSASFKPGSSSVAPMQTEFYDGSDPLTILANGIL -NKVQKFGDSAYLAPAVPDSVPVNVGVLLSMVEQVTLAVLQTLSVSLPAQADVYGSTIQTQ -NGHALPPTQHWIQAWNNASDSMRLRMVDHVNLEGHNVGLVVDEGQSRGSKKNMIPIPTLL -NDSVSTVSVRGVTHRRMRELAARIMKCRMTYPLNAVITPFDSWTTLAFPWLPQHVTTGGP -HQGHSALLAPATEYSTGFTMTGMNCTGLLRKVDCSVGTAGNVIMVDNVRLLKDAGIPTSS -QDQVEILYIPRYCVRIKTNVGFDRTEADFTVGVYGVRRAHPTNETVFYHLPVLGSIVTPS -AGTVEGEITMFVGDAKTEVGQFIRDGAVFSAGGVGCTAAVCVAAVDRAHIVSVTMACVSD -EIRWNILGIRGGVFPSELSIFDCWARDSSNVPFVHPQGVSGLWSMYVTGALQVLNPNVFH -DVRFHLSYPLITATLFEFLKKHACRQAPSMTSPLIDKHDHVAPFLLQCLSKTSQWMSLSD -ENPLLSIVDTTSIATLGRNDLERARYLGLRVFNIFVQLCDVSPVEIEFTPPYENIKRLMD -VHEVD ->YP_009252402.1 P12 [Sclerotinia sclerotiorum mycoreovirus 4] -MPLTSQNFTIATVIIERMNRNQNNRREIIAKPAFLTLLFSKKDDALKNPEFRGLDLDAFY -ATKDMTDTNDVRARLGSSNGLKFHYVKGVPTISQRAEAPVNGKMIVVWTPVLSGSALHVL -NRVLLSMYSEYVINGIPELLAYAKSLNSAQAVNSIIFDSLTAARFVAMNPDFDYANLFGH -PPADGEDDPVDTLTIEEAERNYLLAVAPSQGTIAVAIQCGGLGLAHQMSVSMRSNGFQCG -MTLVRRESKVRRTVVNGFADRAMVMLPRTFDESQDFVEEVDV ->YP_009252401.1 P11 [Sclerotinia sclerotiorum mycoreovirus 4] -MSVPNFLVLDSVEYILPGPQPAAVKLNGTTYPLGDSGTMAERFAVAADKFVPNIYRAVYF -VVQLPRPRTDVFTSGSYATIYQRVSQEIKPLKGQAHLLVFVPNVTPDTLRFIGDMTRLAT -QAAGRMPFWAKVFVHGHSWMSQLYLGDVFVPIVSLLPMFTGWLGDSSTVSPIDVVTCTDW -VDPLGISRFRGHGETVDAAVKTMVTEKHGYDVITTVFCGVNIVSSAPIRVLGDRRMKLAT -NATRDGHPLSRGSQVVVECLVECIKDWNY ->YP_009252400.1 P10 [Sclerotinia sclerotiorum mycoreovirus 4] -MSSTACVTASSPVTSPSLPVSVPSNSQGARRESDSDTTTGSTPPSTTSSSPSTLLDQAHQ -FLESTKDSEYDNIRTIDGKFILDVTSPDVNDYTYLVPKFDPFSCENFALERAHVESLSGE -DVKHCGIMTLKDSATDTVSEVTLAALRPLRARKSVKYVICQICKAETRSIKHHKCDRSRS -GAVTRLAWLGDAKHRSDVVAYLLALGYDSSATTSVLESYVSAASQAAYMHVIDQYDECTG -INYASSQFEAFYAVSEGFRNSYWSYVYRSHGVCDPSG ->YP_009252399.1 P9 [Sclerotinia sclerotiorum mycoreovirus 4] -MHLLTPGLISHLSTKHHATANYVPSRMAWKPYQTQLSSNSFVCASAQQLNTASTPQTRAQ -LEQRVKFARYEERDSIIGYALDASCPLCDYAESLSDTPRSPSVGKVDPSSSSGMGIDDKE -LSWKLDLDDFAKAGETVTAAEWNERFSHIPSNFVDEYMLTAASPGIVIVADSPAPYYASR -ASKFPRSRQGVIVIPNSINSATSLPNGRIIAISTNGHEARCRYVDLRYPGRKRLLLEVYD -EVEDIEEEDPREDDEEPHAPLNVMSPILEPVIASTSSTSLTGLTLDVRSASTPPNMPSTS -SFSFAEDPLTNQHVPTFDHRRAASPLSRPDTPRFMSRARCDILLDSDVSSSMKAIASLAT -SGVITKEEAREMLMDLGVL ->YP_009252398.1 P8 [Sclerotinia sclerotiorum mycoreovirus 4] -MIFCSLFYPLSLLVCVAATPQLMRILHVYSLHIQTLGRYPVTISINGRTYNCLNYGTAAE -RFRECILGSDRLINPPSSRSHANIIEFVILDDKPEHSPWHTKDQFVAAVQEWFGADVSSP -FLCDGYVATLVFSTGITASLRQFLELWEDITHFRSHPFPLSHVHYLGPVSSHGLLANTGL -PVHVSDFHRYIGFGSGVFYMYVNRTWHKDLGMLTPAINSSQDLTTFARSGRTPKYDEYFY -DEHMYRLFGVDGGGFVTASGNGEYRTNPGYEMLALQVLHVCLNHTSIVERFQHRVLSRES -ARIADARDLVAQAIAANPRLGTGFD ->YP_009252397.1 P7 [Sclerotinia sclerotiorum mycoreovirus 4] -MAQQVHAVRLSPQLRSDILTQIANRSPMTLDTGTILQQVELPLNRFLMGVCFVGDGDVPY -LLIRQMAGYTWHIDQAYGIDLYEVDVGEEMAVLEERVESLAYVMTVDERDYLYPVITVDE -GGRVLNINYTDDPYARQDVDTYARLSRDLQLIRDDISVSSHERRPVSPSVASRAPSRPRS -PTRSEAHDSFDDDDDLESEAGSNEDFVRISYASLRKRFRPPAVASTLVRSMLYNPTPIRP -PPLLETSWSTFYTMRADLRRKIRYSLNFHVSHKEWPLSIGKDRTVGLIPRPNGGVYHYIS -HMCNDPESRLLQLTEWEHMVYMIDMRYVDLEGVCANGLRSAPLKPADGKTLEVAKDILYL -FGRATVTFREWKRETVDENELLARIFPDRTITVTDYSYLNTFKHKVDQRENVRMVLGLID -GLIYGHNHMEVISALVLMYMVANKQLPGTPDVDVDNIHVKLESPQSGLAYLILLAFIVVV -ADR ->YP_009249822.1 hypothetical 34K protein [Alfalfa enamovirus 1] -MNAVEQPQLPLAYALRISSVAFLINSLDGLHAEARELNGPLALVTSSYYLLVSIALCWAH -PGSFWYRPGCWLQPLPGRNLILCGPAAVLRRFRLYAARLGLVLCEDSSRGEGATSISLQS -YWALPNNIWMDMAQLDLLTFAMPLANLFAFLAGGQARHPPFVEGVGSAYYIPTLLGVTDQ -DSRLYLALRRRNSYLGRNPDRARPGVLESMAVLCTLVRRPGIARQVLTLHGGPINRVLGL -AEEDRIIFGAADNHTSYNNQVSQFDRDRTNQSLFSVDLEHLNDLELNAMGYDSEGDEDFD -DYF ->YP_009249809.1 TF protein, partial [Free State vervet virus] -LGFCPISFPFGTPLERRLVVWTLLLLVFFYLLACYSLFCLLWSLLCPQSFSAIGLDLIGS -PMLDLLAWLLYLLVLFFWGHHPTLALLTMDTVIPLSLFLPSVLVLDVLCATRLGHYPLSL -SSMSTLFMWRRIFHYFPLYSVCAILCCALCGSFVDVIAGGALHPAFDAHLARSRFGLFQS -LVYRILRFWIFVTTSPARQSTSSAWQQGIRVVTEVPSHV ->YP_009246485.1 hypothetical protein [Tilapia lake virus] -MSVADYLSSDSDSGAESSGCLVLRSRKIKKGKKAASKKRSWKNERYGADESGEDNIEWGD -EVDLEMDDCDSAIPEWARVDFNPKNRRDREDDGQSDLSRFSEDFGKKSLDVQS ->YP_009246484.1 hypothetical protein [Tilapia lake virus] -MAQIPTLREGQGKLYDFTLNGMTVTRDTVNTVVALEFLVNAGPDLLSLTIGEGLSEETKF -KHLLVKHAGMTRKRIEERLGRISRRVSVTVDAIIITNRKGQRFEFNRKQYLDIAKQAMKL -KLPGINCVDIPTALAFLEEVLATALKDTEGSQDDRMALKADTSAAINHFREMLK ->YP_009246483.1 hypothetical protein [Tilapia lake virus] -MHFYLQDYPMSWLRVIRTLTLFSTLFSGSDQCVDNMWRFYGRSNYTSSVVIDGDKYSVEG -SYSSSDYLDPAVQKVVLGLDGSNEVIDSGGSPYYMYDLEGSKGELHHLNCNFVEKRCNPT -LNFMLGGFVLCPGISRKELEPVTDKILESRGIPGRGKIRTIKISSKLFETSLCLSKRRPI -FSTCMLMSRGLCTNCKRTIDRTYMTPNGFRTEYKWSCRDNSTKQCWILVESLEENHSPYK -CHFSAVEVLLPAEIKRHQLISEWSAMQDEVAYKKSNAYLLARTFLSYTKMRRLNPVIDLS -ISPPVTVRSCCKINKYM ->YP_009246482.1 hypothetical protein [Tilapia lake virus] -MDSRFAQLTGVFCDDFTYSEGSRRFLSSYSTVERRPGVPVEGDCYDCLKNKWIAFELEGQ -PRKFPKATVRCILNNDATYVCSEQEYQQICKVQFKDYLEIDGVVKVGHKASYDAELRERL -LELPHPKSGPKPRIEWVAPPRLADISKETAELKRQYGFFECSKFLACGEECGLDQEAREL -ILNEYARDREFEFRNGGWIQRYTVASHKPATQKILPLPASAPLARELLMLIARSTTQAGK -VLHSDNTSILAVPVMRDSGKHSKRRPTASTHHLVVGLSKPGCEHDFEFDGYRAAVHVMHL -DPKQSANIGEQDFVSTREIYKLDMLELPPISRKGDLDRASGLETRWDVILLLECLDSTRV -SQAVAQHFNRHRLALSVCKDEFRKGYQLASEIRGTIPLSSLYYSLCAVRLRMTVHPFAR ->YP_009246481.1 hypothetical protein [Tilapia lake virus] -MWAFQEGVCKGNLLSGPTSMKAPDSAARESLDRASEIMTGKSYNAVHTGDLSKLPNQGES -PLRIVDSDLYSERSCCWVIEKEGRVVCKSTTLTRGMTGLLNTTRCSSPSELICKVLTVES -LSEKIGDTSVEELLSHGRYFKCALRDQERGKPKSRAIFLSHPFFRLLSSVVETHARSVLS -KVSAVYTATASAEQRAMMAAQVVESRKHVLNGDCTKYNEAIDADTLLKVWDAIGMGSIGV -MLAYMVRRKCVLIKDTLVECPGGMLMGMFNATATLALQGTTDRFLSFSDDFITSFNSPAE -LREIEDLLFASCHNLSLKKSYISVASLEINSCTLTRDGDLATGLGCTAGVPFRGPLVTLK -QTAAMLSGAVDSGVMPFHSAERLFQIKQQECAYRYNNPTYTTRNEDFLPTCLGGKTVISF -QSLLTWDCHPFWYQVHPDGPDTIDQKVLSVLASKTRRRRTRLEALSDLDPLVPHRLLVSE -SDVSKIRAARQAHLKSLGLEQPTNFNYAIYKAVQPTAGC ->YP_009246480.1 hypothetical protein [Tilapia lake virus] -MVMSRWIENTDSVSVILTEMSRSYVTLCHYPPWSLCCGGKTSQPGQVWILDRKHCLECSE -ISELMTLSQPTWQESAMVIGSWVQVVTEHGILHTSTSERQAPRRVMWRISDMAGDRTL ->YP_009246479.1 hypothetical protein [Tilapia lake virus] -MSYKIGELERIITRKNTLPKDSGSQTGLFHRLLLEHYSGASNVWFFCATGFTPNTNGTTW -IVLTSHPTDGGEKVPLKWKYEVSPGLPVRRVLAQEGTAVRGPKGAYLVKGDMHLCSTTFY -TRREAKYWLCAPSPKFPHWTKRSALVTSTRPLTELSRVATYLEAISKGATDVNESWCSYH -RVGLVPIPKGITFEL ->YP_009246478.1 hypothetical protein [Tilapia lake virus] -MFLLSQTPIAMQALVLISCLVCALASDESLRIKRLQSYLNNTYQSREIESEIRRGFASKF -RMESCSCTMGVHYIVTPSSGGSFCTGLHAVPNSFPALGYKLPKAGGRGDWRATEVRIDED -SGVVLYNVSRCSHSSECRDLEVYSTVLPGQCDCTRPTVDDYKTMLASRQPKSFVVAGLII -LCLLASSVAIGMGVYNYAGVIGLADAAQADVSEIWEYLEALTREVTGMTLGEFCSIKSLV -CKSDNIGKFKEQFAAFGEAILAIVFGMLEKYKFVYYLVLSLMVLSLLSKLVSLLKQVPFY -GSIKVLVFRRLRVVCFKTFFYIKKRLKKKSPLEDDEVPLLPLS ->YP_009246477.1 hypothetical protein [Tilapia lake virus] -MKMVRTTKTSMAAASTVAPEVAMDESSPSTSQVQAELPRNLEVFNEACGHVFGSSFNRED -NSVISDAAAFLFKMHTHSLDGQEAKVLRASEKKRERENAKKSRKAPEAGMRVGRSLILTS -RWTEYCATCVPALGSKMKVIKASGDAAMIQMMKDHNSLLRVCVRIEVWKARYVSLVALDE -RIQTLEDAQWFPYLSGDSYRACPGLVGGYFAKKAAAGERGKNYKKLNQTAIIPPPRFLII -GHRLQIGDQVTLRELLASIAWGLCDGVLAECWSPSQGDGSIGVVVGLPLQATGSCFLVVA -SHGLSAIADSRIEGTGNTNLLEECIAIQKQDGVIKCKRSGKSLYHCLKETAGAVGR ->YP_009246476.1 hypothetical protein [Tilapia lake virus] -MSQFGKSFKGRTEVTITEYRSHTVKDVHRSLLTADKSLRKSFCFRNALNQFLDKDLPLLP -IRPKLESRVAVKKSKLRSQLSFRPGLTQEEAIDLYNKGYDGDSVSGALQDRVVNEPVAYS -SADNDKFHRGLAALGYTLADRAFDTCESGFVRAIPTTPCGFICCGPGSFKDSLGFVIKIG -EFWHMYDGFQHFVAVEDAKFLASKSPSFWLAKRLAKRLNLVPKEDPSIAAAECPCRKVWE -ASFARAPTALDPFGGRAFCDQGWVYHRDVGYATANHISQETLFQQALSVRNLGPQGSANV -SGSIHTALDRLRAAYSRGTPASRSILQGLANLITPVGENFECDLDKRKLNIKALRSPERY -ITIEGLVVNLDDVVRGFYLDKAKVTVLSRSKWMGYEDLPQKPPNGTFYCRKRKAMLLISC -SPGTYAKKRKVAVQEDRFKDMRVENFREVAENMDLNQ ->YP_009246475.1 p18 NS [Mahlapitsi orthoreovirus] -MASVRRNRSLSEEKAMEIDTYFTWPASLYDCLTIRANVLIGTELWQEDMQPWMPVWIALK -DDRFVKCLNKAQAVEVIASWVWKSLDSNVPVYSNRTIWLVTRLLSKLPPLDDDWWREQSA -VVRVVVQQSLLRIDNVAHLTRNDDYLELGIAE ->YP_009246474.1 p14 FAST [Mahlapitsi orthoreovirus] -MGSGPSNFVNHASGEAIISGLSDQTNRLGSLLSQNVYNIIYFFVIGGLILSAGYGLYKYC -KYKQRRAKNLTRQLSRELIDLNRKIEHISGGKIPATKPSAPRYTPPCYKEPIYNEVCEGG -FYGNC ->YP_009249471.1 3B [Enterovirus SEV-gx] -GPYNALPNVKPKVPTLRTAQVQ ->YP_009243644.1 envelope protein [Mus musculus mobilized endogenous polytropic provirus] -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANAT -SLLGTMTDAFPKLYFDLCDLIGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGG -PREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPRNQGPCYDSSAVSSDIKGATPGGR -CNPLVLEFTDAGKKASWDGPKVWGLRLYRSTGTDPVTRFSLTRQVLNIGPRVPIGPNPVI -TDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQQPGTGDRLLNLVDGAYQALNLTS -PDKTQECWLCLVAGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSEVTGQGLCVGAV -PKTHQALCNTTQKTSDGSYYLAAPAGTIWACNTGLTPCLSTTVLDLTTDYCVLVELWPKV -TYHSPGYVYGQFERKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATKQFEQLQ -AAIHTDLGALEKSVSALEKSLTSLSEVVLQNRRRLDLLFLKEGRLCAALKKECCFYADHT -GVVRDSMAKLRERLNQRQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIILLLILLFGP -CILNRLVQFVKDRISVVQALVLTQQYHQLKSIDPEEVESRE ->YP_009243643.1 truncated gag-pol polyprotein [Mus musculus mobilized endogenous polytropic provirus] -MGQTVTTPLSLTLQHWGDVQRIASNQSVDVRKRRWITFCSAEWPTFNVGWPQDGTFNLSI -ISQVKSRVFCPGPHGHPDQVPYIVTWEALAYDPPPWVKPFVSPKLPPLPTAPVLPPGPSA -QPPSRSALYPALTPSIKSKPPKPQVLPDSGGPLIDLLTEDPPPYRAQPSRSGLHHLPGIS -TPHGGRWPASVLAVFLFGFIQLEK ->YP_009243642.1 putative envelope protein [Bovine retrovirus CH15] -MVLFFCRMENNNGSRRVGFKYGGPPAKKQLLQPPRFDPTVTVPEIEIPYQAFCRMTLGER -RRKRPVTVPLPTWGQIKKLSQEAFRTVMGTGKVPTPENLFLAMCAILTVTSSQAASLPVP -TPEADVSYTYWAYIPNPPLLEATVWGVSDILIYTTPHVLSPPWKNLTYLNKDDGRLFNFS -QRLTQGTPICLTKEARDPCLVMDWQSWLLPGRNESSIRARLQRLTTWSINNSYNNISLQE -TASVPFCTPFTYISLKYKPFIWSECTSEWAKRTGDYINWGPFGMFFNDCSEWNNKTCLFF -NTTRLLMPMNQTLQGYKLMWWGDGGVSNPRWTHVQYPDNYQSHLWKVAAALQPVYKAQGQ -FLGTSRSFSTYSFFNITQYNLTACIPLPYLFLVGNFMYNEGFIQCNNCALYSCLNASIDA -PAIMILQQRTHLWLPVKMEQPWASSPLDKIIIQVLKKVLHRSKRFIGAVIATVLSLIAIV -TVATVSSVALSTAIQNKHFLETWHQDSFQFWTEQTQIDARFQQQIDILKQSVQWLGRKMI -QLEKQISLKCHWNSSTFCITPILYNKTESDWVVIQHALEGNMTAVQLIDDLQKEVNQEFG -KPYSNDYSGEIADDIFKHLEKLNPFTWGQSLIHSAGSLGVSILILIISLILIYRCCIVPL -QLSLTQMWTKMLISKKKKGGIEDIGKS ->YP_009243640.1 putative protease [Bovine retrovirus CH15] -MGRSSLTMKGVTVHPGVIDADYQGEIQIMMSAVANISFKKGDRIAQLLILPYFSLQTSNN -KRIGGFGSTNPINVFWAQKITQQQPLVTCYIDDDKKGFSALIDTGSDITIVAQQHWPKSK -SYSIIPCQVKGISQQPVSSIGRSDTFVTLKGEEGQFAVLKPYVLNNSFSLIGRDTLEQWG -AHLEF ->YP_009243639.1 putative group-specific antigen [Bovine retrovirus CH15] -MGQCASTAPYLQMLRHFLKAYGICIDMVDINKCIQILKEYNPWFPEEGTMDSELWLQAKD -NVEKAHRQGEKIPIRFWSVWSVIFSLLRAVHGQLPLTTVQRLNGPVLDELRLDVDTKQAV -HEDLKDNIYEPTPPAPVDGERKIMESSKGAVSEQPLAVCSFEEQIQKRFSDLSQQIEKLT -LRLARTEANKTLQAKPKAALSLAAAALDEGDFGDYEFSDDEEIPSLAVFPVIRPPPVQGQ -AVPARYEGIDIDVVGKLKKAVTLYGPQSHYVKEMLTGVAKHFGNFAPHDWKTLARSLLKE -PEYLQWNMWFSDLAAQQAAENAQSGNPNVRLITYPMLTGTGNFEDVNVQAQQTPIEIHDQ -LVDLAMEAWDRIRPTGEHYGSWTKVMQRNNEPYVEFIARLRVVLERTVVGEKARDQLLKM -LAFENANEDCRRALLPVKETGDVNAYLKACKDIGSETRKMQMFAETMVSTWKALNEKSAM -KCYGCGQEGHLKRNCQKVNKEKRIGRKDINKQAPGICPKCKRGRHWARECRTKVSFIGLD -QEEQAGNEQGGYLRGPKTKTEGIYFPYPMPPNTVPR ->YP_009241384.1 hypothetical protein [Porcine picobirnavirus] -MTANQIKWAELKESGRHNRETESQGRTMLTETQRHNVASESISRSQVGVQAAQVAEMRRH -NVSTEGIDWYNAQTLGTLRSAQSDKLLSEVGVEQGRLDETTRHNVELERQGQSSITETQR -HNRAGEFLNSQDLSRRIEEYRESARHNLATEEDTDVSNFIRGVQTLISGAGGIAAMVP ->YP_009241374.1 23 kDa protein [Grapevine leafroll-associated virus 13] -MEAFIVNFLSMFAAGLYSTDSSFNISEMTTLVGIMLPMMASETMRSYLVLYKFNKLMKRV -SASIPREIVESMARSIDYERACNAATHHFVLGCDAISRKILLSSTDMLSTAVESFTMTSQ -GLPDYDSLFQTVRQTFGLNLEPSANLMSLCMQLAEDVSNNRRSHIAQTRNEIRQCLNERN -INNHISYIGMDASVYAEWLVDL ->YP_009241373.1 putative transmembrane protein [Grapevine leafroll-associated virus 13] -MRFSSVGRECRKSTYTYSAAWCDVEVFLAVLTLALIIIIILLYSWKRSSSTSFRCSQRDS -TRQIAHLTFLR ->YP_009241372.1 22 kDa protein [Grapevine leafroll-associated virus 13] -MECLRVISNYSVDEEGRYKHAVTFLTGNENKSYLDILREATKSYANRVAERNQRVTDIRV -TNKHGACSCITLWNMVIDDSGCLAYDGEGFTSVQMRRNYSIGDLVDYMPFKLLGVGSFRL -KSEHKVKSIQGLIISQEADEEGEKEKIQFIQLCENNAHIHNLIENVEKVLPDASNIFELF -TKYIKIDNGVVSIIDA ->YP_009241369.1 53 kDa protein [Grapevine leafroll-associated virus 13] -MEFKKFLAGKDDYSIVDEMVNLQTNGGRNPVRYEAYLASMVDNTPKLLEKPRDSPSGSDE -EIAIAILCGACNNSILPQGSLIQAIRNWRNYVNDRRVAYNRTLDKVKSPFDELTMTQKSQ -IDGGNRIANTAERNYLYLISLSLGRPVKPKDLSGSGLIQGVRVDISEKGEAIRKEILPEH -VESFTKTFHGYVDALDDTATSEIIATMDEFSDVVSNGLRSTDGNAKFAGWIESMSGLTKY -ILYVKKPTSLSLADRSNWVLNVAEILTQVAKVYFNTALDKRNESILEKLPIRQTDDLDVF -SRLLVTTMLKGTTDTTSDPLVDWFAFTDLLRSISKDNEDSGLNLIEMSDVIIIYASIYGT -SVNRLDDYPNKFPIYLKNRRIDVDMHGYTEAIKASRDSGVNSVRRALRGTASRAYKLRFE -NNIKTQHSSALARLDPILTFDFGNFIDDEVLSIGKQHVRNRLGRWIRGGDKIDVL ->YP_009237216.1 p7b [Maize chlorotic mottle virus] -MECVCVDSSWPQWLRNLILGILISSILFILTKTQDTVAVYHEPSVYSIDQTQKFQKIDIH -NGGK ->YP_009238500.1 putative protein P0 [Ixeridium yellow mottle virus 1] -MNFEIQQPGRIIVTSVKHLNNSVLNDTAQLCKNILLYLVSYSQYGETFDQVVLARSLLFL -VPLLFQKRIRVQRDGTFKFPRSESKPVLEWALFTGYFPQFAMSDFLRINLRGEATRGTYL -RELQRFNSSALGEIICGRPELLFQGPGDLCERICNIHRVLRADLIRLSVDDAMVRNIFMA -AHIWGHFVDSVLLDDPTHSANPDPSVAHAFNTIHYKAHRMGIWRTTILCNACQLLSPEEF -LSGSLIQQELHS ->YP_009241362.1 3C [Tortoise rafivirus A] -NGEFPHEIFNAIDKATFPLMAESYECRTTQSFMGIMDDMYVMNKHTWDAACSFVMRGKSY -QKDEIPSWSAPNSDLLYFCLKDQTKVRNMTKFFLPPPPATKFKMNLLSKMYHASHDIFNL -DLIDAKIVPATNFRMMPAKRVQIASGEIRVIYNTFSYDTNSYPGMCGSPVVLMNPAGPKI -IGTHISGLAGRMGSAEALDATWRTAFVALHPEYGQ ->YP_009241361.1 3B [Tortoise rafivirus A] -SAYDPTAMKKKKKTLVKPVSTLTVPLERYQ ->YP_009241360.1 3A [Tortoise rafivirus A] -GDVKPSVEEEIEKMNPEEYKNAFSTLKQRLVRGWSIALTVMGGIIGLFGIWLLCKPKAKE -EAE ->YP_009241358.1 2B [Tortoise rafivirus A] -DLAGALKSVSDKVTTEQVAKFVDSVKTISGTTEQISEQVTKSLNTFTGFFPTNPIVKLIL -KVVGYILILTSHPTPQVLMGLAMMGVADLGGSSSVTGILDWFYKKVGISKPEVEQTQ ->YP_009241357.1 2A [Tortoise rafivirus A] -MKAVGKMGDFISQLEPNVLTEAFKNVGAVAKTFDDKMIADVVQTTKHGVGGIQ ->YP_009237268.1 P5 protein [Raspberry leaf blotch emaravirus] -MAPYRVIPLCHKYSDEDRKIRLTLNSKNLTVKQQRKLSWDRLKIMFDNDHAHGINESFDS -ELYSGCFITYYNPEIVSEFILNDMYPPKKLFFEPRSGKSACLYVDKIQNAILNAAICTAI -VDNYQNYNPDDDFIVEGHVRCNVNTLRFIFYYAVDMEDGVSYIKKNFESILKSTVYNSCI -SVMTSENMMNLKNFYYDQVVNTRRESMETFLFKTRFDILPSILPQMLDLELLKMSEDLYK -EFMTYIDIYHSDDRFQFIENLKDEDFSKTHVAKFMTIYKKKMEDSEIEKKARRDDEYLPN -YMDSTLNDFYCYKVPMPCSYENEIKDDINKMIESEDDITRVSYNTSFLVPVSLRKKVYNE -MIRKNNTVKMNNMHLYYLSAMVMEFQDNNNFFEETKCLRHSVHILQNMAKRTYKYIKDNL -YKKIAQAKKSNLFIQAVDFTNIMLVQEVAEKHYKDLLKPKLLNDDTVFDNFSSDSE ->YP_009237262.1 hypothetical protein [High Plains wheat mosaic emaravirus] -MNTIKTVIISELEKNVDEFLNSYLEYLKYDDYDQYCTMIGLYDELTDQESISQIPTKYSI -DPINFQKFTRVLTVAIYNYDVNYILAEKYKELFEFTNMDPDFSPKYRFYSPIATCSYLSQ -YDLISESFQQDVTKLFDRMHKQQPGCMLMNQIMVSNLIKNLLKNVQTIGQDDSSHN ->YP_009237261.1 hypothetical protein [High Plains wheat mosaic emaravirus] -MLSKSNIIFLSLLSLMFPSISNGMNYWNPFSYYYSYEQLNDLASFGNNVCEQAESDSDDD -IETEVRYVNPYTGDHVLTDSNNYCHLHSAFNNQLQVLEINKKHNTHGKSNEKYPDQSNVS -LPTGISGYIFNELVDGFQFHLSKSPTRTHIVSRLVPMERYFRSIFILPDVSLNDFAYLQV -WYVASDGLMTAEIGMKKWRGNNYWTPLVFNTDDEYSTGWFGDKHIEWPKSVKRTRDISTE -SHIYKNGDEIKLTTEFHNGLTEMWINGDYIGSVEMDYKVNRVEFGFEQDQYGKCREFLVK -SMKQW ->YP_009237260.1 hypothetical protein [High Plains wheat mosaic emaravirus] -MYYTNSWKYENDGVIKINLPGDDDEEETMDDTRFIDKTMIKRLIKRYSEEKGEDLKSDEN -VFISYYTTDELIEGSNRKRYFLEPKSGKLRRMSMIRMLYDILRDSFLTDIIDNFEKTGPF -YEAYDINFFNLRFIIIIGFYDMHSCIDFARDNFIELLETFRYSSVTDAIARSQNLSDFYY -RYMSKDSKQTVEHFVLIEFSDIYSTITTGFNPPIALDIHPEIYSLVRSSIYDAVKTNKTS -IKFEDVKLKLDGFYEEKTIEEMVSVAVNFINKNVILEPMTVYKEGGCVYTINRTLKHYED -MENDYFYYNLPINSEELRFILNKLQEKFDDVDEIKPINYCGEFISMNDRYKAIDIMKKYN -KNIKYNNAMVYLFAQHYFKYETIQKRLKMPIEVRAVNVIMTAVKKILGLVKYNINQCLMK -NPKANFVNTAYDISKYSIRIIDHGSGQLFYMKPSEEAFKTGSRREFPPRQSLHKLKNDMK -SDSENSLNFFDD ->YP_009237259.1 hypothetical protein [High Plains wheat mosaic emaravirus] -MASVFESVRDEKRKALIEMEVRNRTQDLKAIKSLTKKCEIKLSNYHGRLLCCIDGPDVFN -TCTHVTTWEPKSGRVRVMNVLGLYQEALENTILNEIVDNMGEDKLVYGVFEMMFLVDLVT -LYEINPKELISYAVERFDDLITQYRFQSVEQAISSCRNCYLFYKKFVKSDYKGTIEHFVL -VEKCHIYSQMINNMDAITYLQINEDLYNLVIEFIKNYKHGQSMLLLKNKLEGDDMERLKT -AFNQLIEPISDKVEHKLDYLGRSSTGYETYGLNHIPLLGIDKKTDFFYYKVPVPVTEFNS -IVESVSKNISNEDDIDETCYGSKYIPKEFKKHVLNQYRRLNKTIKLDNLTLYLISFNLLD -YCRIYRRPLEMDFEPKLLVNVRTALKGAISDQKSIVNELKNKDGYLINRLLCEEFPVVPV -KYYDHTRNVRSTTKKDKDSCLIDFTDCFEQKNANSKKTISSSSFIKKGSVSINTEHKL ->YP_009230207.1 capsid protein [Camponotus nipponicus virus] -MDNILKSPATVKNILGWTFSPLPKVGSQVGDLNNTPGASDLASQNGCKSQSFTSWDCVSR -LYVGGAVVSTVEPQGVATTRLSPVEDGDIPTQEELTAMSASERYFYKKGMRKYYRGKGVL -TEHQYQTWKRKRAPAQGVQTRAQASGSDAAPGSAVAEDVNEGFYYSDMIPVRSAAVSSMT -APRETDPMPWTPVFQALATRLNDPAQTGVLAAVVRSPNVRDCTTMSSLVKSVMLRPSTRY -ELAAMVRVLAMQLSVSEETYAVDPIPLRFIRNADQVRPDSVDIFSKPGVVPQEWKIVAMP -LDTFVALANNTYFASAAPGFSYTELDVSWTAVPFPSDIIGQTFAVPYIMSFLSSEAWSGT -VNYHVNTTRQGPVHKYGMAETYMPVVNNVDIPGVKKIALVLIDETSLNRQETLRIGYGPA -SVNVPIWYGTNEVAPPSWWNVWSQFWRTENIDGIRRDTTLAHNKICARLGVDDACGTAVS -LAAEMYGQWYQGVAPQHHERDPYTDYSQPAHGAWTLDGSPLDKTSMMKSSLFSLDEADKR -SARRRCVAYNFTGISPLHLAPTSLVRIRYINEGGILRISWSTQGPECSNPSYNIQTMSSV -MRVATAMGLLYTHTDSYVFGSPRGMTHWIHMLANALSFSMSSFFAINDTSPRDWIGLDNR -YDVGFRASIVSQMKSAFFSDLIVHHDVENLFGNIPEWDMDIAEDYWLLSPYGNVQWMTYS -PVPFHCTWQWIEKLDLSSMGTAPRNPTHFRYLGANYTALKIQSAALEHKLNSVSTIDLYR -RNVTILVRETDDTYKPLLHWVDNVSYYSSRLLRQNSTVRQPEMYESLTACLAETNLGIDY -SDGNTWYVLDSLYNMGDPKWERTRVSPIQWPDPPLLDTLWQGAKNYILKPAASALAGFLT -GGPAGAAVAGATHIAHQLVTDLSTEKTGTKAREAVTRAEHAAKSVLGLNPTPLVPKTQPT -VKQSVKKEGETGGEARTEGMKTHTPVVPPQEPMKALETADESPVND ->YP_009229916.1 structural protein [Piscine myocarditis-like virus] -MKGACRYTMEIYFNFKCSNKSLSLMLGFILIMYLMSLLQKVGAQPLALKVTETYCPTFLT -LKVPDKLISSMKTVTGGWIVKTAAGREICVHGDWWEAQFNLLGKGRLERITLHGHEVLVP -TKFEIKVNEDHSIVQTNVNCANGIGTWNLFGRVDCTVVENVVQGHDNQAAMAAVPPAQPP -PPPLQTLWSEIVGWGTDTLILIGIVLALILIIWKGVPCVVRLIVKRKKKNKQKRKIKKKK -KKKKKKKKKKKKKKKKKKKKTFLIIYSQKKKKKKTKKQNTILHLSYIKIKKKTKKKKKKK -TK ->YP_009229915.1 nonstructural protein [Piscine myocarditis-like virus] -MVTIRHTGDSIRYARIPVRRIFEVRDRNQSSCSDRTFRRKDSSTKRRRSRAKSRLPQPNI -RPANDEYSTGRFTGVNDRGFFRYLDTGVYDLYSSIRYKNLQLGSLDMLSNVDFTEKVHLT -IDSSENIVNLMRTEFTHLDDWETAIDYKTPIKLKALKPLEGWGLSGWGYGLRLALDVVSD -DTAGVMFEVITSIHNRKLDPREVFKKISSEAKLGGVSFSSKWEEYVNWELLGGYQGLSES -EMAAEVRKQVTIPAASSLMGKPVITRLEEILMPLKGTVKEETTFENFLLCRDLWAKGTSG -YIGSKMKIGSTKLDIAIAADNDKIKLIASQPFQNRPFIKSEPGKARPVVNSNMSCYLAME -YLWIHIEPKLRRVFSQNLSMFDGVDEKGKLWAQMAAESTKLNEIHVPLDYSRFDSTISRD -IVIRTCELLLDIANLDDNWKKEFMFRFKNQTVEIPGYGVVLWNNSVLSGWRFTALIDSLV -NWAILKSAGGIASGVGIKVQGDDVKISFTTISRAEEVVENINRLGFEINPGKVFCSRKRD -EYLRMVSQDGKTKGYVIRALPKIVFNAPQENSSVSWGEKTRGVVDKWVRVISRGGNRVRS -EYWMMRDLCGLTGESKKLLKDWLSTPATVGGAGYFPDGNNWITLKVEVESISDRVRGLDP -AEFWRKKVKQQLEEKSKFYSFGEVRLVGSRGSWVQVEGKGRRENVPSLRISREKRFDPFV -NAKLEMAINDKDWEEVRSLIDNKLLFDELMKEVPRWILVDILSGKMKWVHPPTLINNKEI -TATICKQASALVYDKFRRYHGVRSKDVLLGFQLGAEQWIRGVANGLTNILQ ->YP_009229914.1 structural protein [Piscine myocarditis-like virus] -MRIAGDRQTVLLGLPSGKGGISVLVGDFATNLESVARAIFTDTNMVVDATIEDRARARNH -TILREGLIDSRMYMSAGRTTQVALWAAGPPVAVGVNPTWYILRPGKVEGIMLTQSSSMAS -LAPLFRGVELGPFASAVRADVGFYSMNVVLGALRAAGFNAENGRVSFVEPLLRVQCKILD -GQDRGESPYSIVGGLTSQLVNPVTQRVWGNFFPGGPNQAAWVAADTVSRVVNIEDFMREA -QGENRFAAGWGPGFWNKMGATGVAVVPIKTSGAMLAQQNSAWTLAHMEYPRKVRQVDTNV -ISINAALAAVGAEREYTNTSCTHVPGPYGKVLFVIVDQTTDRAVQVAVEGAVGVLLTTPA -LHYVIGGIDMGIGLMVTREVGLAIDQAALENIMAMERWVEFYGNEEDWQSATTIVGCAYV -TFGVEMRRHTNRVEGGYYWQVGANPPFPLGGLANWTRLDNLTAAQQAAIYADIQNTVEAP -WGGFRVRNPVPTAAPNAMYTRFEPVLAVGTVAMLWRPEHPMLAPLPDRPSALADICYQRG -RMCAAQEDIVVGQLGFPEDMIRAPVGVGNVGLAQRLIRKMCNVNNQILEAKHASTVHVVL -NGYWSIGAGVTYRAIYAQSGPGTMRKRIPWAEMRSLGFDADLVKNDLYGIGSGDFVAVNA -TIGALGGIWDVVRYPLPVPSKEARAAMNTTNCMGGWTRAVTRVDNLGTIREGGLEPEPLG -IVMKTPTASRATYLRWSLFATPAIQSDTREYPFGGYSRSGTETNHHVLTALSGGRIVVLK -DAEAEQNPVFLNRTSGQPTTNTPQVDSQGSTTEAFLDI ->YP_009227305.1 putative S peptide [Human pegivirus 2] -MGCSTDQTICSPVVGADYNTSSGCRALNGSYHCGGGSCRSPSRVQVARRVLQLCAFLALI -GSGMCSIRSKTEGRIESGQ ->YP_009227304.1 putative E1 peptide [Human pegivirus 2] -ILQSQRACWTGEGFAFFSNCCNQSDIMWCLHRWCVTRPGCLVCTGNATHPICWDYLGSGV -SRRPARRMGEGAEALLRLIGIAGWLGLLAESLGMSEVYAAILCFGFIAWYGWGIPKTLVC -TVCPAVNISPYSFLSPDTIAFGTWILQLPGLLWQMFVSFPILYSTWILWLLLSGKTVAVI -AILLASPTVMA ->YP_009227302.1 putative X peptide [Human pegivirus 2] -SCYLSCDWAVCNDAFCFTSGTCATFNDVLCLPVATRISSCGHAVPPPDRGWEVPAAMSWV -ISRTTGLTFDVFSFIQYLPTVPGNNTNIIYCGEPTFLGDITGIYWPYFLPGAILLYLTPF -LGLRLMLAGFNIDGLFPIRHATAALRFSTSRVTLCVVVAFLIYILSHPVNAALNRMFLAS -ANLEMILSFDTYHETVLYILCLLLYLQVSPRAGLAAMVAIKLSRGLLFAVVLAHGVC ->YP_009227301.1 putative NS2 peptide [Human pegivirus 2] -RPGRVFGLEVCADISWLVEFTGNCTWYMSCVFSFWCAVFAFTSPLGRHYKIQIYRYWAQV -YARLVLAVGCGPLGREFHFRASVGVLWCGACMLWPRECSEISLVFILCALTVDTIDTWLV -ACLSAGPSARTLAILADDMARIGDHRALRAVLRCFGSRGTYIYNHMGQVSERVAQAVRDL -GGCLEPVVLEEPTFTEIVDDTMSLVCGQLLGGKPVVARCGTRVLVGHLNPEDLPPGFQLS ->YP_009227126.1 hypothetical protein [Rosellinia necatrix megabirnavirus 2-W8] -MASVTKKLAKVVCAIAAVRQLHRMVDLRNVAMAARCKATAEWRRVLKLVMCVMRWQRLVR -NSTSAASVTLSQLAEQTTNAAGMGEGDVIWLAAIEALVSAVAVGPSVGAQXKEKGVNQPG -RQLRPVEEIGSLEHVAGVLRSFLTWQRYRRIKQLCFYEARRIAAVRNLRIAVAARDEAAA -TPGYHQTARYVHSMLCKRAEVPCTCGGLWVDEDGAVLGEAQAAAAFSSVQNQGTPRFSPA -THTIVVALPVRTESQRSIAPNNQATAGDRQEHVGQLSMQELVAAYIAEHRSGVQAALRMR -WQLPEGVDANEFVTAVAQRLKESDQ ->YP_009222004.1 ORF5a protein [Kafue kinda chacma baboon virus] -MLQELGRILDAFAYYYICAFFLAGLYMCVSRCVERQRKLRSESRQFREHF ->YP_009222001.1 GP3 protein [Kafue kinda chacma baboon virus] -MCHPSLRAAHQATAILLFTLASVPLPTAQTSTSNTSLTPTVGTSRDSTKGCPLLNFTADS -FKLFVTDHNFTYTPELSNFTLSIIAALNATCRRDHPLNATCVCSLVASSSELGPITHPLS -HLLLLILCLLPTRPHWGKRVFSALSK ->YP_009220474.1 VP4 [Tupaia hepatovirus A] -MMTDKQGLLQTVGSSLDRILTLA ->YP_009220472.1 3A [Tupaia hepatovirus A] -GFSEIRDTLYEDFKEALKLNDLKLKTKARLTGLFKSLRENKLLILGGVIGFFVAAGAIYG -GYKYIKSKISKEKEEE ->YP_009220471.1 2B [Tupaia hepatovirus A] -ASELISECRKFLEEIKSSMKAFAYGFCKNQYVQWFKLVLKIVKICMYTYVCHKAQWKPEV -VWPIVAMLGLENVIEGMNLFEILSNLMNECFNAEVETRLLNLKSE ->YP_009209481.1 ORF1 [Thelephora terrestris virus 1] -MQDEDCLWQPRSYPLPPAPSVPAPARDVIVPGPRPVRPPPLKVWRPADFEFLPIINTVRR -LGLSTFVFNGVEYRVKADCVGLVGVETNPGPIFPSAGGLLPPPNQYELGFVVLAPILVLF -LFYLHFRVRTPDLPKAGSFIGRSLSWAGDTRKTVFQSWIVTRGEVLLTTRGPASSGADFV -NTTSGVGGSAEKDESPSDTLTREAKEEVGIDINGADVQVGHTEVRDDCTIVWCIVYLDSQ -QEPLVPAAEKDKVISPRWVAFADVDTSSMHPSTAVAYSQCAKFFMTGARYASCSSKASAT -WLRRGDSSDMPPGAWSLVLVNNYDGLCVPYAMQAVSGVEFDFVDLAARVPRAGYDFRQLA -SNPDEWAMWSADEKRWVYGVPEKAKYFLFHKMNGSFGHVDGLKPLAIGEPVLDSVFYPQG -RGDIAIEGCRDEYIHYALSAASMVDTPAQKADVYRDKVKECLAFTKEDVEIFLAGWYAAN -LNGGKGPSPQTPPRAPTPGPLFCCPDCGEEYRGDVNGNHPSCSQAGLDNVRMLKRQQEMA -LEEESRKKKKQEKGDPLARKHNQRTAGASGSTLNMKGPDLSAKSAATEPPLRLTASLDIV -GVVEDKRIHEIPIHEDDLFSDITAAATEISFGPSSEGYSNLGRLLLSDSVTEDIFIETEP -GHGTMSVEYRYKDRMSEFPATEIVSFVSRNGRHTQVAAPNVVPGDYADKFSTTVKEFGYI -YSQITGGGAQILSRMSNWVTTVDEVPAASYAEYYHRLWSGWLAVATNSRVCAVGNRRAIN -GHGGGTVDPHFTAVFPNNLSFEDMFGLSNGPVQGWPKVDTIDATSDTCLIPIRIPNGPRF -PPVTGGNNPRDFRVVLYHLGKPYMDLQSPAEMQLGGRPRTNANLQNAPSFWSHFDARIPH -PVFLCDTIDLARNNNGFVAYDANALNNPDSWRDAIVFMLQAVGGSSECMNGLQSTIELSY -HFFTGDVTHLSSPIQDRTVGGLLTFDALRKRLLYLRVLRPFSLALANNQAATRGDRQAVE -VFKILCAQHPAGSPLCLDILTANPAAPGWAVVQDMFGAAAGAAVLPGATLQDCGALNNNI -VADALWHQYFVNVSLDGAGSPDLHSFVSTMPQADWYNCRAWLDEDIFANYPAAIVFPAAQ -APELIAPLNYDNGQVRIPEDDEKYDRLTRGLDLPTHHVLPLFNMHEHRMVTLPNGSGAVR -NSKVSTNVYHDDKVAHVLARRLHNPQFTTAGLIERTVLAAIQLRAGTDSVAAKLNLNASA -IFLRTGMAVTGVPAIDAVLEDTNEYVKFGQMREFHHNHVELIAHAALNAGYPCRAMAEFG -SVRRYEFAPVRNFEYDIGLHPTPDAPLLIWRCLHPITIGFFRPDIVSPETVISKELELMG -IYTPGAQLPWEDWVLEGEWRRPTSALGALRVVLSVSGLRIKVTPMVDFLPTRGRRRPTEF -PVLEYPGSAIPRYGTIGCASPVLKLDPLVWYHCPLPYRLRVEEDANHQLQWLGSAPIFEY -SPSVRANGLPPLVTYLPQMVKSFAGTTAAPNPASLIANATGRQANGSIGRVDYIYYGGES -FTGPNYYYADNDSLPTILHRFGTQFFSQQHSANKVFMRSPQAIIASCAGYRTTRGAVAAA -PIQGADGVAAPNNYCGGAMAGCLIDSRSCHLSTICFGDLPAPGATAADVLSFFYMNMPAT -LHKWEKWYLKPQRMWLPIILSGRYEIATLTLPGMVEGTLNDTGTHSLADSALARTIGGFK -SARGSEFYPESIANPTPGRIQNFDPEMMSFPLVHGHQDHFLTGFGAGQIPMTTQDSFAQY -EGPLTSDGATRAKKRLRTIINSKKRAARAVLDTIPDDDMADFT ->YP_009204559.1 alpha 3 protein [Fox fecal rhabdovirus] -MSPQHGASALISGVDPDHFSLIIESYPILVGNYAATLILTVTLVLSFSLATIIFFFSSVN -NLTDIRGVLIYHLGNEVSEFASHALAAVCSAQSTSARLTRELEQFRLSGQVTEVTPSSGA -TGELSIPQRYRMLLVEKDMLENEMFIAEHSLV ->YP_009204558.1 alpha 2 protein [Fox fecal rhabdovirus] -MEEKCSDSDYFKELTDAAIEGVWASPLYPITLATVIIFLILLIFVVAWRAAVIAKIRHRI -DESTARRLRPDFGGRP ->YP_009204557.1 alpha 1 protein [Fox fecal rhabdovirus] -MSCLTQDLRKEIRERVSNSISQNPSVTTLIIIEVILWVGTLAYISIALGCHRYLQARIKN -SVERRQRFRGDGVLLPDTGPA ->YP_009204556.1 glycoprotein [Fox fecal rhabdovirus] -MYHLIVLLVMLGQRAVAEPADIARAAKIIQYAEERLRNKTGLRIELSSRDPAQSAASPPD -VPATKQPATKPAATTAAGTSAPIKVGLPESEYLRQVVEPWFTVCESKVPRTLTDVVHRIL -RKGDGKAHRMLRGTPNGDDHSVYTKFGSGISPAPSRLVVLSESLQEVQRPQLYRVSRLRS -SSTPHRVVLCEVMSAFSPLNVEEMECTGVLGKLSDTSSVTLNVVDPGYVLTMIRVCSCSA -MRVKRWTWTSFFNVKERLEDRWRIPPDPKECESHCEPIFAGEVTSAVRGSVPDYCYAWMS -TCEVQGDVYQVSLGTAKFHRFLNQIRAPFIVDDPCTPSAPCKGSGDSLVLAKIEEDNPRF -TTMNGELTPRYNWDTHKVYQVHLPGVTTSILDASCGFLHGGYVYYQLMSGRIVSVSVGTL -QFGDKVKPPICTEWKGPYMPFVLPDSQVASTSEQLRQDLLHCQTRKEVVLNALATKRLPS -ITLFEGLGYKGSESYGLVSRKGLLYAAPCPSVEYTDLEHVEGNIWMVVNNGREVGCLDGG -LNFAVKSGCVVNPNASVSILLGEWKVISDRDGKLLAEPIPKAGWGSIPALENISAAFGDY -LASLEQPPLWDDGNGPIIIPTSNSTGDPVIHSGASSLWSSMSLASKITAILMPLLSLAVV -VGIIMCCRR ->YP_009204555.1 matrix protein [Fox fecal rhabdovirus] -MSNFRYTLMKFASKMSLTSKSKYKVLGIGDELGQSNVNIIHEGEEDHTSIYSESPSSSKK -KTSRTMRPWVYPTYPEQKNIVRGTLRGARPKKVVLSINLTGVSEPLDFPEVATVISDILD -GMEMSAMRKLVLQMMILSTRPMGRFSDGCYRYIFSNCFSTSCFPSSCLGEFMHDSGDFCS -TTFDDKTYFGSYRLTFEDAIGSNHQYPLPLWFHLSYTNALKPDFTPGLAVCRAKGKFLIY -LDDKYFSFKTYSDNIVLLLMGRAPKAIKQK ->YP_009204554.1 phosphoprotein [Fox fecal rhabdovirus] -MANRVRKIHSVVSVNDPAEAWQVALQYWAKRILSTDKELLCRITKSMVAHMSVVYGNVKL -DCDAVWRVWALLALAWMKSPVDGIAMLALLEFGAKHVQRLRADLSKIGDPRIDDFERLTN -TSIARVPKKFFKENSITDDTKKKLEKAAAILSDLGKKCSYTGFCCYADDSNVGDMMSRVL -TASGALGSEDPIGVWKPLELDEKAPYLFDEVKRIGGISAEKPSPPEKKMCRLFGKKGKFA -APETSYKSAHPFSTQVSSKEPPKLKVRGVELPSLDEVIGLLPKGAEAAELLDDTKELEGA -SAEPELADHAEPQLTQSRSDYQGDQNFTSSVLKASPPHGHSIDCDSSQIGASNLSARSAS -LSPEWKEPDGATKGDDPEEVECANEIVGGNRRVSEEGCCLNEDTREEGSLQSDQHPVKNY -SSWAEFRASLESEVSPKHAEAAGSAIGHSHSPQAEAVMDSVGVASSTIKPLKSGVTRPMQ -TDEPHAILEAGSQGITSLYPPLPVVPGVLLPSSLKSSLPPKAKGKFTEEFGLMLRGIRRG -LEERGLNWEAKWFDTHLEGIAKFGDPDTIGFQLGSYFAQLITNPYLKREETKPSPKEDHA -PPPPSAPRKESVNKFSQARPHSQVPTKEDPAPHPPRAEEPDPRSWMTGKPSRVYLPGSDD -VMEFRTNEAELDNFITSCVLEDKFTEPYILKPKELSKEQLKNLLEVVSQHGQKASQLLCE -HLTLRNYKSISALTANWAMKIKAEMPRSMGIYFTRLGEAYGNAFWEALQVATAGREGIDK -WIRAALVVKANTARGKVPPPGWFVTTRAKDSDNPSVRARASALFKFLNE ->YP_009204553.1 nucleocapsid protein [Fox fecal rhabdovirus] -MDHDNEKPISYTSIAEVPDNVAIGSTIYIQGEPIIYFGKSAATGITRKGGAQKDWTKDMI -RGVRVFLPQTDANLLNLIAGETEAPELEKYTIQDPEKKGILKKFESKWEFANWANLLVDL -QSNTGNIPKGRFPYYSALFSITAIKGAPVLAPAMKDLGDPVYVKAPDDLHPPTGDIEWHG -DKISVDEAAYIGYGAWLIMPRFTIKAESKKDEIAASSKAFDTLRRLLPEITKPQVLVSVV -TQLRLAYHGTLVPGSAYLAAEVAMRRAMNIEYDLKADRTECKAGEHFPGCQLRVLQDIPQ -YDSGFWGFGQVGLEMAGYSALNMLHAGLDIYGKTIADLRMLINWRCYDNYIADEIKEGPL -LADDPWRAASYLLAPNIRTPLSMGKHSIVAYLGLSIQSAAANISTGAPSPPEGVKMNELI -RKTVYDHAVAIVSEWDNDRLQPSTVTTVMIGGQVIPFKGVDPKRVNDLSRMFTQRQTPLY -EVPPHNQRRERSPSVSSVHTSSRRDDEGSWEGGNEEELLRKLHERRGQYEEDTNLGGFYS -AT ->YP_009199795.1 hypothetical protein ORF7 [BtRf-AlphaCoV/HuB2013] -MDIFNMSVLLFIVLCYFEVVLFALQAVFLGVELTSSVLFSSFILGCVAALFVTELPMQFL -DIIDFYTSRLVHTPVIRTLAVS ->YP_009186839.1 putative 10 kDa protein [Actinidia virus X] -MSHPDSSAATLLRLSGTCALIPALRPLTGSKWVYRSLNALLALTSLRPCSHQLLLNLKGD -SYANPLCGKSKPTLSQRLAPWPVRRFRMAITSPT ->YP_009182165.1 RNA dependent RNA polymerase [Botrytis ourmia-like virus] -MPTSNDMEAGCKATRSIRESLLRATQLISREFNLPVPVGPFNGTSCMELRSQWDTYAKWS -CLQFVSKKEERRKTLVQLIKSGCRLFDGDCRTCDPKLKELEKRKWVEQMSTARPRVAYYK -GLTRSTWLGRLKDSARELITGWGRNLEGCRMEDYIPDQSGCFEAKSIEGGTLSVSQDFAS -FPNEVRLGTAKTKGKIRVVTMQGANTKKVLRPVHSALYDYLAGFGWLVRGDVTAADFEAI -IEDKQGDEKFISGDYEQATNHINIDSVQAIISVIAEEPLLSDEEREVLIRSFRDVTVYKN -NGSFLCKVRNGSMMGNLVSFPLLCILNKCCYDMSREIESEENGVPYCPRVGRFNGDDCAF -CGTDRFFEIWRETTSIFGLVVQEKKTGISSRWIELNSESFDSLKHRFVQKNFLSYLRVTR -DTPGDLLSEIVSGTKGFKGSTKMWLINHVLRYEIAIRGVCASTIPRKLFLLLIKRVWFRK -TLSNPLPPFPTTGVDRSIRQTVVSPPLPSFIPLIDDIETLVRKSHVKKWVGVSTIDGRRA -RCSNEMNPDHDHFRPMVHGSLFFPFISSSYSPTPLSSSLRGSLLRQRKVAFDLSSKPSAS -VRFSRKHSWGFTMSELCKDTLTTFFGETWLMPPKSLCGSTLPYYHPHLKIKCDFLTTVTP -TYYPPPVSLLTTKTLAEHAATIYNLERTSSSILRNSRVRIAKADSKLWSFVPDNYIGSRL -VV ->YP_009179409.1 hypothetical protein [Wuhan cricket virus] -MGNVKRSCIWIHTYHRRGFSVEPPDAPIYNSGGILHFELLNDLTNMHYVTLVDPFTSRSM -ETGGFAEYIVDTVGYSKYLAVILLISYILLLFLIVSALNRITCILPVGFIGYLVYLGYPS -KSFISAIILVMMIVYRVCRHSNRARDQEVHRRVGDMYLYPPSKTSKFFSGGISLPSLLLI -IFLISMCLAPEEYAIQLRCIAPIMLVLLGSSSVPGVGGHSTMGMLCLLILALMGLMVSPQ -VMAAITDTLIHSIRPKSPPIIDPAQVLQAAVAEGWSLPSWWPTTSSPGGFMRIMIGSSFQ -WWLCLDDFSGPSFTLFENTKTRVRDPGSVQRRSAGIYNSKWVTSSIFTIIMYYVLGWWSA -LISNMLSFCLVFVAWRYIGASVWEGWGQSLANTNSRQDMVMVIGGGPTGLRKLILIVSLM -VSMVICLSQGHLNWIFMGFILICAVSYDETSSLFVLGLLTLNTSLVWYAIRVPDPVNADL -RKSQIPAYSPSVGYNSSEGYNPPSLNVATPPSPPTIEPAVPTAFEPG ->YP_009179408.1 putative capsid protein [Wuhan cricket virus] -MPRININNVLKAFLLMNLLMFAYSKDETCYASDNSDGPTVCPASLSPGTRAVCAGHALTR -GKWTQSQFITTLHEIINSFPPENSYYSIGLEFADHITLKMAKINNMHADKELSLKLDPRS -YYKPSTIQLSKSYAKPVLMYAASQELIDAATSITKYSLMPMGSNMRKRLVKVEEMDKTEE -IPSEDGCLAWSSWIEVESLRHKKGAAILVPNKYWCPVMAAAHFDVVLGQYKLLNEIYYPE -WEMSRDHAYGSTPIIDVDFQ ->YP_009179407.1 putative glycoprotein [Wuhan cricket virus] -MGSYWCSNFALLWVQKRSSHSYDIRCCLFLCLSLWTEFSQAGDLEYLSRGLKDVRGFMTT -DNVYINTTTRVQDFSESRYEVLVHNITEKMLTPTEFMVYDTDAGDPFCTDYLTYDVGWFS -KNNPTKECVNSLARSNCFYLWHNHDDYEISWFENVHTLVCVGIRYSKSQITSRKNSHWSR -WYSLTRAEPTSILQYGMIFKNGEYHLMSPMALEFGLVYDLSRNDFYGYATNITHALVLEH -RNLIIGSSPTLPAEFKHITYTTLGKKTVFCNDRIVGNPIDDNESDEVIATDFNCDPHQVL -GVIQRPNGDLLPVYWCLQWNCVNTKSFGDLFTTELNRKFEDDEHENFAVRILLIIRSLIV -KATLYITQSINLRVFSLSVWGIFTTIMVIRLTGSWIAGTIMGLYVVVLLSD ->YP_009179406.1 hypothetical protein [Wuhan cricket virus] -MNITKVLLVALVGIHFGGTAYAATNTGASVAKLTDIWSIGSVSKSWNPSDWSDDFKLRVY -QSLTLVIAIAFLGGTMAHMIVGFVVGIGLVHNTWVLTGALILLYYGYKRGHPIAMILGAV -CSYVLAFGQSLAKLGI ->YP_009179398.1 putative capsid protein [Wuhan flea virus] -MKSNLCFIILTLLTTNILGKDRDCGKFSENWRISACASGLKQANLISDATYVEYLLKAII -ATNGPNDFYGAGMTTGLDTQLVIGKMSTPVLSSGLSFNYHPSSMYYERHLVDLGVKFDRP -VALFTIDTMGSFPEWTDTMFMKFVPTGSETRYAAFRAGDGSPVNIHGQEHCADFTKWVDS -KLPEKKQGLAIHMSQTLWCPVLKDGIMEIVVAKLNEEHRIAPNGESEKVLIVEWAIWGSG -AYGDVPEEYDSVFY ->YP_009179395.1 hypothetical protein [Shuangao insect virus 7] -MDSETSYVEGWKLWGCQSFRFGFYVRQTDDGEPSAATEPPIQERKLEEPWEVGVDREEME -KARRIREEAEAIEEKRKIKWSKDLNAVANFNKIWFPPLLEEPIVHVLRTKLPNLDRDYLA -WTVLTAEVLLYVLMSYMVGKVSHVLVFIMHLGLSLYFEENGVFMAFAAFWILNKACRIFT -RPKEYRIYEELHYATPRDGPFIKAYEPLIYLAVLLGTSYLLHGTSFGSTFGSVWILVVII -VMSKMVPGVGGNSTMGLMTFIVVLIIGIATVPEIWSSLILVTKRAIAPAEVASGPPKWYG -GVASWATSLPVIGYSFNTLWDVLRKMCCYVPLIWIAIDDIRGPGLGISKAWETHAKKDSL -LGHGTAALYKGAGWLPVVVECVLLWWRGSMVEIVILWVVAVLTWLAWDVFGRNEWIGRGA -GMTVTTVRPGWRTVFGHGPEGLRVMVFQISTLAAWLILFISTMSQVSMLGVAFLLTVLNK -ERALALMLGIGTLSPVWLWRAWKSDKPMTESLNQNSVDAHPPGAEYIE ->YP_009179394.1 putative capsid protein [Shuangao insect virus 7] -MLGIMINLIMLVAVMGIDDHEYTISQCADYPEDWRIAACASSLKARSLITKTTFNSYLEL -AKSKGGVLNEYYSVGLTIGVSNRLVVGKINDPVFERSYGVGYNPASLYGKPMWVDMGHEH -EKMTILYLVNDIPGIPEWDGSRMNRLQHKGTGEITALYKAPNQGPVTLKEKENCSKLTKW -MHENIQTGLKGITIAVGPDMWCPVLRDGLASMVVAEMHSLKYLSEDGSMSNRWTVKRAMW -KDGSFGDAKAFDSVFM ->YP_009179386.1 putative capsid protein [Wuhan aphid virus 2] -MANLIKYVIMLRVVAAFAGTGMDFMEKDGKTKDCAIYDEAWRVAKCASSLREGNMISNKL -FSFYLQVALRKVPSSSAYTSIGFTTGVDRELIVGKQSHYDFDQWLYTLTYDPRTMLRKVA -VISLHREYTRPVLFFSKTVPEELPVWDDLMVPSLRLAGSGREFFIYKDKNASPFSPSNAE -SCSAFYDWENRESFEDALGSVMIMDPRLWCPAMESNRFDIVVGDLEEIKTTSLDSKLQYQ -SIWEVTKKGTEGGRLDENYLSVF ->YP_009179382.1 putative capsid protein [Wuhan aphid virus 1] -MIAQGLLSLMLTATLATSLRDYMRDENTLVICSEYSEPWRISMCASSQLKDELISTHTYI -TYLQDAMALLPGHSKYTSIGVTTGHDKRIVLGQQLHAHFNWAYEAKYDPRDYYTDKTFLA -MRYKTERPILLFTVNYEPEVPEWNEKYISMLQPGGEKGAPRLYTGKGYRALTGKQTEDCT -SFYDWDQRESYETAKNTAILMDPKLWCPIIKASFEIVVAEIRKTTINTPHGKETIYETVW -ENAGGRLFGEVPKKWSTVFL ->YP_009179381.1 putative glycoprotein [Wuhan aphid virus 1] -MINRNITSLIPSSVSGLERPTNLHVYIGKTDPQCYHQYIYDVWPFSGDNYETYCKRELLK -MECTFVDVDTVTDKIVGLRDQITLTCLGIKGNSLQISHDLDNNWIRLTSLPYNNVFGPVH -YYMIDDHYHWITPMVYDFGLTFNGSRYFNAALKGSRRQWLSTSHFVYSITKTHHLMFAEF -PAVWPGDSVTLKRLTYASRLHXSDCSVIYXYVANTETNPPLMTQIRYDEVPKDTGIPLRM -GTPQAFGLCPVKTRENLIHNSKLIPTTHNQETSWYQDMFKWLFTTITGFFSTFLQSLLGY -DWQTIMVIVGLTHYISTALTGNPIVGLMISIYALYYSLNFH ->YP_009179229.1 putative structural protein [Papaya meleira virus] -MTHLCRTLEPFIVAGHSGVQDYHLPCSKGVKIPSFYGQKMVQKKVATVVNHPLLPKEVPV -PVGGLQKAFDKWDSIGVTFCGLQFYHSPLLTVGEKECNPYSWRGIKIINVPFKVKSIRKR -LETRVRLSPSRRIISSLRFKRRINLMKKIKGLQGRNLSPKNILNFTKVFRTPPMIKGARE -GHCFLEKIDDPLVTYRESCLTKVLNNILLKYNINGSFARKDLNSNFNSLVFIKDSQTYDN -CYEFIVKLLAQEKDLFIYYFINLNNEGENHCSLIPFNNSKKIRFSKFITRFNSTNFFGDD -EVIVYENVNNSIQDSQRRNKAIPEYNTILVPQLKELGNSQLIPSEYLLSNDYEGLEYSYD -RYFGTIPQSFIFSPSDLKLDTDNKVITINRKTELFTEFPKEVCRLPETSYTNQEYILLSS -INQAKANAYKVRRLASGLVTKDNIPVLPQYSSCLDIIKGRDISPNARKTIDEAFQVHRCY -GTNVSRTGSSYETLRRSRFNQIYDLYNNVSSGQSYVRLYYRLFTRWAQAQVASVLNDRNT -IYNPKGLKPGHINWQYSTNSISLRRDSVHYLASTGDGKYANILKGNHNFSSHHVSDGEYS -LFGYAGDMFRKLQNGSAFLLDAEGLSHDVIREICTCAISIEEYNQPWLGITMDYNDTETL -MTKFTIPGLYYQCEGVTDLIIHWGATEPEDVIPLRDLINVNFPDPGLGNPTGQSADNIPW -PEFKNFSVATAPINLSTIEEAIRVMVARTHSAEEARLAFELVMSRMLMIDVTKTPAYNGN -QSPPTSSEVIETHYPLTSKSNLEENVTYVELPRAHNSRFIQPTGANELKLPYVFSGLAYF -DFMFHEMTIPSPLWEATVMKGNQFLNHAFVITHALSVSINWPSHTFSVDGGILDLAHNSD -LTTPAMRDSPLAKRHINWLNKKSRLETPSIWSMCHKRATSVMYGFTLSDYFWLTGPRIPK -DYYLTNSPIYLSHPYRLGWMIKKIPIHMVLPSSSLQPLWPKQEWTPKVYSNEIQSKVRVG -RSFPVFQGFNWLQDGGMNFSLQYYLGIHNNNKYRYESSEWQDNTIQLASWDVPMQTEFPS -APKFINPVFSGPRNSQDILDNYMIPGFVRNYDSTTNRVKANGAKVYEEISDSQKFLIHLV -WQRIIDGKQLTVPSISVIPPSGMPIQDLYDNEYAIISSFNHAVNEISFTMFMRGETLLTD -AQRIGPDCIRDTTAVPASQFPAHLANHNEIQEPIIDRVGNQGPMDNRPGNTQDLNVVREG -RLINKSAPITHQVIPVMNVASPLPGKLPYVSVAKKVSPEKREKKNKKVNLLKSQVLNSEG -PRVINSHLANHNTIHEPLDDRINTTYRAPNVQMRNFSSLRNHRPLGIGRSTSPTKSITPH -VDVNLKHTPISTNNLPKVNNKALVIKDSVEGTAIPKLVDNTNRDKVKVASEIKNDLFDFG -GKGQSYNEKLYRLAKEMANKIKISDEERAQRTADIVEILTTNANHSGISKDTPASSSSSI -PNEGTISGSVGQISVQPLPADDPKDLNLKVVNTANPVQVEQRPVELPVYDKPKDNLPISE -LSDKEAHISQEQLRALIKFKNQSEAPVSTILGKPEN ->YP_009179228.1 hypothetical protein [Papaya meleira virus] -MVERLTGMTNGFCHRLNSPALNRGRPILLSNCYLPLSNFSSNRSKDIGRATRLAFELPDL -LSPSFLISLTLHFEAHFISFVYI ->YP_009179226.1 polyprotein [Beihai barnacle viurs 1] -MTKKTVQRRVVSDSLFEFVLPARVRDTGSVKAKVSCDLPYVTLDDERVLAEEHDEKTSRQ -RDEASKRRSGREFRWGAAVAKRRLEEEAYELYDLETEADKARSRRYQQLVYDRSFAARCG -ELDALLAIEVKRQALVDQAIADLEQVGAYRRAEAAKLREDAYFAERLGELDALISQLGAE -LSYAQGQYDAIYCSEEAVEIDCDTKRGEFLAASTEYDETMRLKKEVNRIRHAQRKRGYYG -VNDEGWLIACGSGRSKDDCEKCIETHNAFEVLECQPLPERDSDGEVPKNGEQKRLRKVVR -KPKRADNFDELMAEAAKWNAECEKQGATLMPSRAAYEAIARKRVRSFGVRGEEKQELLLI -ASNTVEVVERLTKANGSSGKETKEEKFRRAFGKQGEILYESGKWYLRRCNHTFGTSVQNG -DIQWFALTLRKGVAIKTEAKAPRFGSYYEHGAMMWYQNKVERCGLVEVSCLEASRLKGKS -GTNCFGGTLLGVIHDIDYDYYGAVIHTSDPVEEIQYHVDHHDDWVDIGRSFGEERGKMTY -TPCSKTALTFMCLLSSVFSCEVVSEIYGTYLVNEIQQLDWPSGETECMIPPCIGCSDLEC -GVGYDLATWQYICNQADLCIESEALVMLFNSNYSVDLDCMYNTPRQRTKRDTSSSDETFS -SLVNKFGFDASAIKEKATSFKDKVVEYSRNSIVIAVTILLFWLSLTLLGVSPVVSMIFIV -LSFYISSVQSVNIAKDRTNCRIEYRKPDLTVRSGCATNTRMFSGVIFCNQTGVSGCGWYI -TFGNFKSDMRTRALSCVSDDQMKFWIEQTPTQKFVRSYCAANPLDIVGLTRKVVEYYFGY -LQEPSEAHLKRISDEFSRTMTHLLGTKYYEILYKICVGVICLVALLILPFPLGAIVAIMF -VSVYIAEAASVCQTSMVMTQSGLQYHVGGLEKTVTRGKVKVSRGFCLRWHEKETVVVDNV -QSEVFTQHKIDVLDQTPNFHTTDIVRIVATPKIDHLCSYRCKKSWLDTFEREERLISGGA -YVYKCNRWTRERKLYWGSSWDASYEHYDGWTCNGCPGASYSFKKSCIYWKREDECVFQNK -IVESLTMKVRYTKNEVEEDRVFTVTRDSPFTLGGVTFHLLSHKHIKYDVINCQDRKYLVN -DRSAIPQDVIQYNEKSEITERYLFSRCSTHYVCKTYSNSCNDKNGAICTWKSLTHAMPPR -SLSETTMLIHPEAKQTWGHFELLVSGQVTETTICKTFRVHPTGRVDFTTSSSGFVEFTFD -AHKLPCIPELNAIDSDECEVTILRPTLTDNATEVSMGVTCRRVQVANFSVDGQQVVATVR -YVKFANYDLLENAAIEFWSSGGEIGSFGEAISDWFHQTFSFKWPNLREIGVKVLIVLVIY -MVSPPLAIFVVIFYFLINGAFAVEGNCYGYVANSNGRTIMNKWLYEKGISYNTHGFFFGG -IPDSACDSRRGQIVKEKCDQILYRLESLKWYSNSPVNDRLNDVTCRHEYCKHGIGADLSF -EEYVDLIEDCAKTSVTKIWYPRECTKNVINEVTTNKSANINCPVNGLVLNGKEVIVPFSV -AGGERETVSFYIKLVIVYVVLSVLFVNLEMPDINNLAALCHNPAFELLVDITCIVLNPLN -LMVLLLVKRLHLSFCCSCLVIIFASVVENTLIALVTAFVRIAAMVYSKSIHFPFVASNIE -YWTKYDDRGSLFTSPASKVVKSICDSGCQTEGNMVVQSCDIVLERYNGVWIRKELHSEDI -EPLAREDCPVDPYKYECGIKISVSKTSDDVDGDSNEHRNYIRSVVDFESNSRPDLNKATP -KVTEAAQLCINPHVKCMLDMFEPFINNNTVGHLALEYSNCEIIQSWFGRLESIVGKEWES -QVAIMQLTTFSKRGFCFAYGGGIYTAMHVTHGDSIFHPGYKPLPCTFNSEEEDICVYGDP -LELEQPKTGDLYYVVNPKLGVYVVLSLQKEQWGNKYYRRIVSGDYKVINYADQRGTLFRN -DFDDEPATQSLFSSDSCNLHGWSGLPICRISDGKPVGIYTGTEKIDGSIWIKNVSPTKIP -AQPFNRIINDILDTPTVRFTLDAPTGAGKTTRFVLQLAEQSILKNKPIHILVANPLLTIT -YAYEFVKHTLLEKSSLRDKISMNLQTSSVTKYETKKLASITYMTYGCVLNQMKNNASLPY -DIVLLDECHTDQPHVVAVLTAKNLPRHVLMTATPLFGGLAPSYRSNIDVRKITHKSTAAQ -GFVELYTNTFVKQEVFEDLCKPGSIIFCGSIAETDKVKNRIQKQNAEAQVVTVSSKTPLR -EVDSSRDDCVWVTTDALMSGVTIAKAVRGIAQGRQFRQTFSVVEQRAELMARKTTLSEDV -QMAGRIARTPNSFGVWYKLDEYYHEPSWSPHLLLSALTALNARKIQYDRKYYECVKDLVA -GIADDDELWVQADKNSIDGVSFKKFLESGTIPYHFDAKLPGKERGTHEDWFELSKKYREL -SRFDENCAECGEKKRRLRRDHEEHAVGTIGLAVVAAGTTAGCLLAYMCSHQQVLVNDVWW -PQREKNFNGLLSGVTHDFVLGYTEKELEKYEGFYDPHYKATFKAWKPDRSNDEGFIRHNL -MIKVPTSTNYQMYHVKYRNHHRIARNYKLDEHPDLDWYDIRNPVFSDTDGRRRLMPDELD -GFNPRRCVLEQRRRKKSTLIKGFIQQLIMLFGDNTVTRSIRDALVPYEYESDRMQRHAIF -TYVPFYHWFCIQTGTTPVLFMEWLKTYGFAFLPAVMAPLGNEIAGSVGSFILAIAATYMT -FYTVGIKISYDVLAGVICANGFVTWLLNKFGNASLKKNLLIDTQAGLRDRFTKPFLISAV -TMSAVVAYQNGHVGLPAVLTNLAEEASKRIGLAPVTTTQSFVASVVEGDLVSFIYRCMRF -IDEGDINSNWVAMCVDVGITALNLPYSQVAAAVVLAFLFYLSKKNDIIKTVLIKAQLLKD -RKTSTEDAKASDRYLLGEDIDKKLVLDAVCFLSYCVNPLNMLKAMSGFTVSYIYNKVTRR -DFEMKDLVLKSLRDAPMGVVYMAKQFYCQITEIANLILRYFGDRDDRHASPDNVLSGLYE -LAVQKCSGIPDWFKQSCSKVKQFICDMVPGDNVMLARIRIIFKKLFFKPLLWVARWFWSI -AQKIMGVCMLMSIPLIGASEVLRCILEKTFSFLGRVNKKFTNLLYGFTMSHWEYNISIFA -SNEQIRTCMERSKMYPHWKLERLLAGLAEPETERRRSSTPNSSVDEQLNYLERMAREDPE -VSMIGVNLECSGGGYIDDSIRQMSPERLEEQDRQYAEAMGPNLGDNCELEALSSERVQHC -EKFSLLRTLFDMMIEPPSEDMSPTANIYVNKPIEWLQNVVEVADVDQKTKRESNIPYYSA -YLSGMNPHPVDPLEEKTLKMTEDTIWPDLYGAEVIGNTQIAFEKLKTILTVNVKQKRSGR -EALPESASQVTSRGFYVMSNLDKNDSVFRDWDLKTMSSKIKDKSMTVLDATPGFGGSVNY -LLQSMKGGSLHIYQRQKDPWPLKEDLITPNINVKVIRSDQFCYKEEEFDFVVCDSVSDIK -SIVSRNDKEFVLQCHNVVRQGGSFWVSCGLLDILNWPQELNCYEHAKFHISPTPNADKFY -FWVQLGGRTTTRKIYVNRFYGILCDLVRIHLLKLKYAVKKDKKPVQLYHPVIQKNKTGAI -PMINYTQNIDRDRYSEMFEMTNTSYKIRHRLDRLDSMRKQPRLMKSFSRLQNFQFLTSVD -FKRGVRLLPGKLRNQLIVATWDQERVKVTSDRVLVSNVLSDMLHDIFAVNWANTPITQVS -KDLHKVDMAIVDRLDINNKVLLDSQVEKLLEASEFILQPPDRLFKLCTWEELGTFINRQG -AAGMLDDVSKMGELYDDSRTRKLCEQIIGCLANGQDCPLYYSTCHHKVESKVSKRAVDGK -IVDTLPALLKPVPRLIQYLSSYARLVDIWIFGSMMHYHMKVKKLYKYSNTGTPITHVGDD -MHAAWEKHGGDDECIGVTGDASRWDHNLGPSHTYVEKECVKKFFEPSMHKIIETRYEHTA -FPITFTDMGYAVSTAGQRQSGDYLTSWGNGLIHSLIQHVCWSKVLHRGVRESHEDLVEHR -VDGDDNWHMMNFRHICVGREKDKFDEKAKLWEKIKRPGNLFDKEPALSAEISFDNILTRV -ARHYEEFGVKLRSGTHTGFRLHYQFSTVDFLSHTYTEIPIRCIKDGNYVQVRKYLPVRPY -SEMFGKLLFTLKQGTSKYYGAAIYDHSRGREIAKDACEAIDIQTSKCMSYLFQYPHVMCI -REFCLGVLSYLGGPPSDVKKWGSQFRVTERLAIDKVLAKLDEVKRLDWTCVEPALRQILD -LDITSLSDIGTVSFEQDNFWKEQHAENVALCAREYKIEAPRFVHSGDYMRSTRIRERIVK -TVLHQYRMCMARKGVTMREQIAINPVIIPFWGYLSMSPRLLSVAKIKVKKQLSEYGVELE -PEILDRLVEGVEPKKQTESNERMKSFIEQTGLFSVTSVAALRQAGKYTVKTGDHTVTFSN -VYNDAFGSGFQILSLRKLFGIPINCAAETVEDLQFTKRFLEAQPAVRVADGFEFQSMYEE -TRPRPDKTTTFEIETVKNILFKRYGLSRCETVFILNQLEPAVLQHNRGKVYLRSDVGDEE -NFYRFKLCYIDCTKIKLKRAGVFMRSVVEREDLSKLVERVGTLPVGSSCVVKVDKTFLHA -VTIDLDSLSELFSVQEIFRSPFTGGSSELYIYFGGKGLQQEVKVSRIGNVEAASCVPLRE -QVAIFLKLLLQSNHLNMNKNLASAVYSGTSAFSDEHVGILARENAMIPFCTKKKFDLRVK -QYEPGMRFGVQGPLAIWQYFVHPSLVHWFYNAYENEMDGKRRDVKVMSSVPRLLVPPSFK -LGKLKIEFETDLGKEDFVRDSDTNWLRDYVIAKTEDSTLDPKHARFRNPVKKWIKLDVDD -NTEKPSVAQFSYQTCIRICQIISDIGSKNIVCEIDPDDDGRFTYVFNYLQCGKVHVDREK -PLLQALRKVGERMSLRETHEPANLCVTRNLSKSYDRKYVICVGNTSKLDEVRRRARHVYT -RFVETPILNEQILLVSFREVNITKKKNLPPMRSNRIEPLDVNYSVKRCVSYDHRQIDISK -KKLHTHICISCQTEYASFHDITRQNHGKLCYMCALQVYIQREKEAKFQLNLDRHDNPVTD -HFFDVIAGAVREYLNELFNRALIMPMYETWVWRFTYSRAFAAPLIKKILSPLLGFVFLML -MTGCGRIGFGLLLVTMWLMAQFVPIVYKISYAEVVMGVAGYRMPAESTYLLAFIFGLSFM -TYSAFDLVKLVLHPFYYLWPSNWVDILSIVIFNPGVRRTDRYIWNLCTLPIRITFDTLYY -LTKSLLTYLISFEKGRQWATEISLRHARFREFAQDCFGPAARRAYGIRNYYNNLTRDFEG -ANTASFYFFYYFLNHDQVIVKLYVAVFFVLYAHFTGRFNKYVQILRLYIIYSTHWLNLWQ -DPSQIVFNSLKSNMLLELFGMGSDSLGGISVKTIPSFSTSTDLKLAACLILILLFVTLVD -FYISFYQALAGTNALGPFCQYVEALPEFLNYHYYQTLEYLKFIFYFLVGCTIITLRIIFR -NQFTEALFNRAALHISAQYRNHISRLIYPYVAVLGNILHQFGIIDFTGLANLQIILFAFH -WAGVGYISDDADPTIHWSITLVMCLFKLIGFSPYVTLVLVLIIFGPVIHFFGFELIRYLS -LQTAIRGMFNLCRNTFIHNILFVCVISNLTGTIHPVVTVGVIILLKHLTERYSFLLPSRR -TVANLFVLDSFLFVLMYNTWAFFDLQPTFVLEYIWRFLFQFYIGQPPGS ->YP_009179221.1 polyprotein [Bole tick virus 4] -MFLPFIIFAFFFYYSSALLGNNSAVFWFPDAAGLDMPRWLAQQGYNYSFHGVWNIPPSRQ -PGCDPNRFDSWVKDRWRELVWFDSSPVSNHTCCHLQHHEYLKHACRAQVSFDDYLAAVPP -APPPATEPFCARQCRFHEAVNVVQEAVDAGSWHPADTVGCKKGTWYRFGDHLTSNPCLPD -PRPVPTSAYLASAASGSAPGVVKLALECAGGLAVFVAASALIFVFRTKRRMATALALLPL -ITDADAIWTTRTVGTNMINDTGARDPVNVTVDDVDWHWWPFYCCIQIDDQGGQTDLTGEN -WLTTHVAAVQRQPLPPEHDDSDAGIDPEAQVEQVNWAIDPNTLGPLTPDVTYRGEGQALA -AIVPDTIRHRVRRREPLPGSSGAQSCSVEGKKRDPPSGDDDSDSDSGSRTTTPPPRQQKT -CRDEAGGHHFDGSDGDDDEGGPPGGGPADTGTGGPAENTRSHTNTGKTPAPPRRGRAPGQ -HQNQRLFLGPQHDITARPASLLLALLTAKRPTTGTFLFVAAFLTTFFQPTAAHGCRQLAC -RNAAVWACDDTTSFEVYSSNVHWSRAWREVTGFSYLDCDSRLTASSTLAVAIDGEVSKCV -ARGLRAIGQFNARGYFVMCVPKHDRDPGVAHHRHRRAVADWTDGVVEKAGEVFNKLHAKA -TDAGAALKHHAGAHWDTIYHVGTFLLFTGGCLVVGVPPTPAILAGLVAVAFANEDENFPP -PRAGENCYIIREGAYLVKRGDPRCLLWLHTQNKDCPNAILSYHHDRKHVICAGQLATISE -LIADVSDVVSGEGASALTSAARSALNATKTALFRAREKFLKTKNFFENYVNVSANAGSTT -FRWVATVAVLLIGMRLQLPPLLVVAVALLAYATVGGTTCVHTIGHSSTASRYYDNNYHVN -LTSGEVSISPGTCISWYREVGNNTKVPCELKILNVTQTYTRVPVYRLVKNFELTDLCDYK -CGGSSFSGQDLVAEELILAKTHHAGRNIGKRDSYLGVGCGACAFGTWVRHRCFIHWDAGK -ECVLHKISPALLKIDLTYTEAGQVTKAQVTVGEQKTSVAAHVTLSIPSTRQSASAQHTRF -INCGEDWYEYGADWQLPSDIIVYAEGVTPDYEMIGKKIEGGNSCSYVCQNPCTTTWRGAL -DSAQNAQLRSVSAPVEQQAVFTANIELSVEGVLVQAGPCTLSPDSTANATMDRDGYAQAL -ITFAEQPEACVLTIRGTQSETCTAADIDVTVGVAQTGVRTSVFCKEAGPYQLLVNDQSVT -VNVRTEEAWFYQKIAGLKVNVIGPGYSSWSWPDFRSLGTKLTDSLFGGLADKALLVLFAA -FVVWVLALNNPILAVLVAAAAYFFISAKAEETDPVATAAVVLVRVVQETSDPYFVAILWA -WLEASPAILLLAIHLFVADRLWRQMAQLKAKGAFGTPTWRVTRQLHTTVGNSRFVVPALS -AYAFSGGYATEGFALLAVSMLQQGVGFLHFGKHVVLCLHTLFPERYANTPDVIAHLQDLS -DLSGGKKGTCFDKCTQTNPNDDEFRWCCAGRSGTLNERWTLVNETVCTTGGTYCGFTINP -KWMTKKNVIYDEGTERETARRIAMAGIYGEDELQPGGDEDTLAVRGALAAFTTRKSAPYL -GASVDIGFLRSIAAKIGFDGFFKDADINFAAPAIFQMQTNTGCTNTGFCFQHRGAVFTNY -HVTEGRPLILPTVSNEGKVDYSLIRCVFKNEKDDLAIYGKSVALETPDIGEVGFVINPAA -QQAIVLRVKGPTAGHEATHSRLDRFVFTQDYNGQVQAVEYNKYSLYGWSGLPIISASSGQ -PIGIYGKIGVEDGRKNVVLSPQTSGLVRTVDWRSQARQLLDGPLEIQNIVTPTASGKSTL -FPLELAMEMVDRKNYGTIVVLNPLRATTSGLAAYVRGLVTARGLASKITVESAIGGERSF -SSMDAIDREAKQKVVIVYMSYGTYWNMGPFHSTRVVLMDEFHTQTVDVMICHEREVFRQN -RRFFCATMTATGAVMTADRPIADHEVGAPTADQAHEWAELTGGLYFHLPTFREGRKHLVF -CATKNDCETVAKRLRDKLRTRLVYTFYRDHPAGASSIQAFNSSRKAIIVATNAIESGITL -NDLTDVWDTQEENVVEVEDNGNTCFRRRPISKKSAIQRRGRCGRTTDIANYWFPRGNDLP -TDQPIDYELKLLCAAHWLAVSSEPPCGPETREVIDRLRGMYDHFGVHQGFWRLLTLACVG -PSSFAAAAFRDAPPQPRYSESFLRAVFARAIKEQHTCWKTIRANFIVHPQPPSVLPEGVE -IAQISMILNAKDDDEAQEEKNSMTVMLGTLGLAAATATALGELVASVRSFATPVNMWILP -SDNWLDLAWGCQYDLILGYSRSDSEIAAGHLDPHILATNPLFKRVLNVDSPIRSSCHYAV -HGVNSSALRYLRQVTVGVMGVFVYSTIFDKADVAAAAAARHVEPSSLVSQHEQNAVTVRG -AGWFDLFCAWVKCHDTTPPLAGWEEAEYEAQWRINQDREVVQEHYRNAYQRVKTQLAAIG -VEKRSEEEGPEAEPHGECVATAGTVMAAATSATAAAASAAVATKTAMAGYATQLSAYLTT -QGVTSAAAATLVAWWKGGVVVAGGIPLVWDQLVGRLGDHAAAGAAVLVMAMCANPGYLLN -NVLASTLTYGLKHIAVGSTIVNGYRVGSTPYLLAGSVALGTALASMRVASSADAAAALTS -TMSPSGVVIGSSHGSTVIVVQRLYHIFRRVVESPADMANISDIAASAITILVEMAGLGPT -QMVTIIVATATLAAIRTACKYNERFRTLLGYYIAGKNGEQVAALEENAEIDYYIDLALSI -AALVVAPSTSISVGILLGWNAVLELLEGRNVTKHSLKGLVYESVTAGAGLPIYLALGSVI -AQVSARYISGRLQTPTIEGEQNCLTAISGLFSCLGAVVKGVQVDVTEAVNARRWRHCVIV -RFFQSCAGGIAAFGACIVEAFRWVKERFCALFGVESVPGYDELEENDGSADMFRIETVSE -QRDEDFIYSQVLSQSDDEEAIKRLASECANIRQNAMATQHLVGISAWLDLDESMFENTMV -FQYAVEDVSGIHFGLLADWLKDTYPDIVVRGASGYSQLGCEYTTLLTDAGPLTLVHTIMD -SGLDIYQCLHFNKKVVTVYTLNTLGYTKDRGFNAQVRPRLKQVLQKASEALEAVGCGKSE -LLPHFVSRNVLLDFPALKKIYDEGRKCLPVPKNNVIKPALSISGGIKIAVSSIFGARYPV -PTRYLQYLQDNRGLSAVQIEPIATEADCNRFRQKLLMKSYAIGSASPAFDLADSIAALRG -IQKQGVSGMALDLLIDYQCAERRPILEEDVRLGKPHKLEYIRALIHEHKRTGAVGEVHAE -NNCDNDRENTTSPVEQRPQTVAYRVRHKKTAKVIGGKETTARAIVNECRKRAAAAQCTSV -YIVHERLAAMRGNLGNLTYDDSPLPLPVTPGTWEKSEIDTYQQVASSNAIARYVADITNG -SGLIIEPCAGLGHLTALCAYQNRTPLWLSDISVHCIEQLRHLYQRPECPVKVVIEQRDVM -SLGKQLRGQIVVCNPPYNRPEDATLGSRVCKSIFAQSPARFICVWPARLHEQYIKLIPKD -YDTAAKPFVLTIPGVLPRHRQKEAYIPVYVYDSIRRGTGDRTGPRVQFNSALASVEEELR -QLDVITSTIAPQTVVDSINSCQHPLGAKFDPARKSIPFFNNRQDKPFMAAPVNTLLLHKN -IVEEMAENPASRRAGRCMPADPKIGADWALAADADKTFQLLVGYRIANDGSIECIGKKTH -ADILCLGLPKGQVDYIAQAANAATIQTDVAAHTIAAGKTNVVDRPENVNHPASLVYVNLD -VIQDTSGIQTTPLQTRVYFTEQPWNLSFWQEVARFPGLRIHWSPTAPNGSFRVFVETRGH -PRRKSINSRYLWSFAVRHMLDAICQQLEDIHAMSPKTWLSYPKFEDQLKEWSLVKSEEAE -HQDFSRQANRAKVRQPVELKGGWPGFEGLKGQFKNRLDRLGETCAHYKIKLQPASRARVF -QNQEVLGTYNKNVRLQEDKTMLQQTTYRAANTIWEWDPRCSTVKQVSRDRVEVLGAIQDR -IDAPHYFPAPDVLEELHTIAGHIRTKINTKLRPFTFEEARVIINKQSAAGMLDEWSNMEA -FLNDPRAKDICEEAIQKLYRGENVVEYYNTVHNKVESKVSDNTRPRLINYGSIVARVCDH -MLFGPFVKLHYGGTKLFKHASGGTPIAEMGDRIKKNWDHWSSKCVGDEEPVAAVGDASRW -DHSMYPALMALEAEFVSSFYDKEHWPAIQSALEHVCWPLCFTRLGFVFTNPGQRMSGHVF -TWMNSFLNAVLHEWGWKKTLNIPLEANLDDYLTFEVDGDDNYHISTTSVINAESMEQLRQ -NMASVNIKIRSKTFDGYRITDRVDDIDYLSHYYAPTLIRCEPHDPGARPPTTETGVTSTW -HKEKYLPVRPIDEILGKWCFTIKHSTTRDSAYTSLKDVCGQEVSLLNKTGLDIESSKALS -YLLQYPHIRTVRVMAITILSQTGFASNPAWFKAYRVRQKLGEGCDALDSAYEERAEDARA -REAAVITSDDLLEASFHLEAQAATGIVVGALRSIYGQSINSLDDIGCVDFRWERASCRDM -ITLMVNGAKAGAGNSVTPDLERCALDAKFSHKWCSTNNQIIRAMFHLRSRCEQFGIVAPP -FPHFATLWKPYLFHYSRFFKTRGVYAAPLPEAPKNIIDDFAQKAMGMVRQAPGYAVKNVG -DILGWLKRTAPDNFARHENGKPPIEDTGYDVGVTIAADAKTGPRTQGKGGGRSGAGGGNR -SRSEGRSGWATGGPIASPNDSQNLFDRGVVAVRETIGSWLRPSYHTEQDKSATWEVIKKT -AKATMATRRAGARRPRPQNNCNEDSGHPFNHARAISATVFFTIAAIVTFTLGRRAAGVTS -AVASRLGWWVAAIAAFYGTTTAAHHYASKGRGPGQSLLPRDWLKRARARRVRGGKPALEY -SDNVPLWSGGQPQPQPSGWRLRYRRMMRLHQAKDLVKRALSIAMGIATKILVAGLAFCIT -LFSIVYPFVVPSFLFILVCTIYCSRNARLALLWYLLVSPSLDAATTAVRSGVGALSTQIG -IVPAILRNCTSYQK ->YP_009179219.1 polyprotein [Shayang spider virus 4] -MDPVNAFMIAFVAFVKKVLEDFSPPFMPVISGNARWAPFVEFIRSTPRRLLMEALKKNFV -DGPALSPPMISLLSALGEFWCPGIGSFLMELDPGILLEFLNWPRLWSMAFAIFHEEKKKP -WVNGSSPVALSLTTNLPLYVQKWKQEEEDRKAARHARWLSRHGPEHFLRRKINRLRAEKD -AFVSSETTDRRAQKAFQRDNIKQLRLIGLEGRIRAATRKLSKITRAAVQVVSPVIPAAPP -VPAPVRLLLNPVLPSVNHRGKGRYGRPRSAESFVSSLPTANRFLGLARELVLNDETGWVW -KRAQKIKNFLKKERVEKPGFSKWEDYGLARKNYLYVLKQARKEEKTRATWWKPRFHSVPS -SFPAATPAPTTTITTSYSSGPLASAMPYFFKFLSIFFYCENFYITLFNALVISCVLPFGS -AQMFVHLFRNKGTTRQVRLGHLNGLRIKNNKKNKANNQKLKKINKSSKIQQSCDGAHTNL -VRKVNNPCESGKLPPAMGSLQDGKKCRIAPARASRISLNDTNSFEYNGIVSLLTKISGFR -YIRTMGSKTKVVKPLTKCTIPLNGGHCNYFKKQKKFDDETVKFCKSLNEQPKTTVYSLGG -DNSEIVDIKQKPKSIKAKKITGVANRVSPFSLPMSITRGWTIPNARNVWTKLSKLRSLNN -IVTSEQVCLLKFEHVNDEKCKLINKSTGMSVVGKYGKVNSSEKLVKNLAFPVHISKDESE -KFFVIERDEELYGPYFKSQSFGDVITIVETYDKVTKTAEVKYKVAPDQWQKCSRGIGRLE -NENLKTYDEYVKLTNSERNHFTSKRIVSGVSFAQVTGDSNSNNIVSNKNELSGPFECISP -NDDTLIVRYTETNLSFNSLKLYEVTCEDGSDAFNALVLPHSGTVLSESFMTGTYFKYGSR -CLALSQFKAKENAVQIEEHEFMDMLETSKDEKDKMSMSELESDLNRRFINRPRPSAGTAS -ASTGAIPKVNISVDAHQLPPQRSMSFTPTRGRGRGRGAIRGSGRGRSFVAPRSSVFTSIG -AVAKEVVEMNVEINKKIEKRQAMVTTTVRVLNAKKEVKKEELNDPKVQLARGSKVKLDDK -VMQKVCKNMKDLSFASVVLSIIVLLHILSPCNAQTNLINIKVDNNGMPIIEGQFPKLDMN -HVFSYERSHDCNDDGLCEVKFENFIKVNDKYFSYNQFVEMCQICVKNVKCNELPYCKSYF -DGDYIRNPVVRVKRQLPQNQAVTTPSPSKVSGAVTCQLRIEIVDKYQKYVINSSKLAYHD -ALRVCHDCFYSKDCAAQLKCECDQLNIELQAKKNRPIYSIDFDELESMNEKDRRVLANEI -RSHSMTIAVHGLNSTARVCKLKNIVRSGVFTVDHMPVVQQCLHSMDIKGCNIQTGVYNGV -DYQWTEGQCFSYDDLVVAHKVQLTPNIDKLTIVQPDDLLQITHFFPSKNEGLNCVQDPKC -VENKKLDDIFKSIGEQDLETIILDVNLWSELTEMLQGHYKPSCSEHTLFCKYYSWIIGRV -DASVGGVFMNVSCQVVNAAKDVFSIANATVQFKDKNFICKTESNKYLSKFGNVKVEKFYR -SWRVSIDTAAYKDTQTIACIEVGTGHVQCYETGNRLNKSETHSLNGYKLPSSGLTITAKS -GDSMTIIGGFKAKIVGHCGFGVSALTPTKMLLIFPRGSSDMYDPSFYIEGELNTYQCATR -PNICQLTEGSTIDRMIQFPFKFQVCASDTMMSVQRIGATLNEAEAKFRDYADKLLNDPFG -TLIEAPIKGTLSTFISRLQTTFTDVEMIDIIMLGLAFFLFLMPLTKPISFIVLFAWLSVK -LSGSLALDPNRVCGANEPTSIIKASQKVSAFVDINTFRAGRCYKFAFHTSVDDVRGATLH -FQIRTIRVSLETGNSFYVPKNYDFDRHHDWACPKGGTVKRTSMDYEPNNIAYVTRCGEKY -SAGIADYGCFYFGNIEVCEVFVARSVPLIDTVECVRVRPNAAYIIDYDAVAITGGTHHAS -KGSLRMGQSVDIAGFKITLGDLATEINLPFKWVCFDHQRNGWAIETEIEKSNTYWLTVVG -RKPTITEAHIPNKLVMCDSFSPNSVNCKIAKNNVNTFLFDNYAYSINQSSNVAIEYTTNG -IKTAEFYKKQVTAKLTVKVEGDPIYQFSEDCKVSGCEIVSHANLEPVGTKMHYVVTIYCT -IDAPGKNKNTFLQSNGCEIVAGDFFNMTAAQHKIQTIVTLACGPTITQTTINICDKDVVW -NITSPLFNLKRLSQISWNVITDKYEDAKIHLDDLSGWLSSLGIKQVFTFWFSRVWKFCLL -AVAVLLIWALFGPIWGLLAVIAGLGSYYLVGAEELTLEDIEDRTYAESLTVAFEEIKYGA -HATMVRLKTMTYEDRAVVIFSSVFTTALTLLFLVTWNQINRFSTGMVQLFVIICVLKFFG -KSISAFAIGFLTKSTIALRWDEIKFLIIYRKAYLAKNYLFGLLFIILISNITCANVDCKF -DISVDSHKGYSGYLHEIKKDWSINSFNFTDYKFETDSVLSYEQFNKKFRSSSLSHYYVSN -EKYDAKMSHCCRKQYNDYVEYALKGVSYNTWFDYGSECVNIKIEGDDKFVSKFDKCIMSD -CIVILQTTNSDKIKHSIEPDMFVRQCKDNSCSWSKSFSNFIFRHLNLSRVAPYIPLILFM -SMRWYAGFGFSVSDVIYMIIIVINHLLPNADAAVLSKHMFSESEHVNSAVFSEEQLYQLI -TITTLIGTRIGKKYITQKGVKICLTLKKLISTIESNLDTKIDRLPRKNLHKFIKCLIKGY -SIKKHSRRLLNRQLDCINLNLDDTTAINTPAIHKCNPLLYKDVNGAGQETGMCAETGGKI -FLGDGFEYTKIDRDLELLCIKRSATMKLPSRITKDCPSIRSELEIGYSALQRIQALFGLE -SRWNLNLKNMGSIVRINYLGKQKYGFINEDDETHDSTLVTTLHDGGNKPLMSKQVFWEND -TDVQVSEDLYTIRPEQIDAEKDIAQYGRPVRFEVPNQLETYWVYNPNGLNYGGGKGAWIA -MKIMNMDEVSEGKLSENCTIRYKQIWKFWDPVSQVFYNPTRRQCAGMSGLPILSNEMKPV -GILSTWVKRGRTTGYIPRDANNTVTSQSTLDEQAKMLQKADPSSFKRVHLPTGSGKSTEL -PYLLYTKYARNSNDTPCILVLNPLINSVEQLERYMKSKYKMNEVYKCSSVEKTTTGNKRG -ITYMTYGSFNKIRDIKKIVEQYDYILFDEYHQMDTEIIYSLMKFNMMYYDLDYMQEHGHR -LPVVALMSATSYFDSISERNPNPKIKYHQRNASEESFKLGVIPKTATGTIPFESKFIEAY -GLHIEPEEFIMNKKYLIFLPTKRDCDNIAEWLNRKFHDCPKLIRSYYSKCGQTPNEFQDM -VNSYNGLVIVCATNYIESGVTIDFDCVYDTRARMIPYIEWDSQFYRFVRTMELVKVSPGE -AVQRAGRVGRMKPGDYIYAVNCDVDRPKVETEHVFNALAAFRFFEEDIWTPLRTDTLLEF -LPEFVKENCNLQLCKLFDAHLEYADTDRKNVLKKFIDKIFCEQGQTEMAEWMKIANFLHN -CADADLQNYYAPIRPEYLRAFNNSGEISLLCEDSRMKMWRNNRGKVNVQQRLRMQKFMEK -FAPYDLLQESNSAIKLMSKFVPMKSKVADIFHKGSADKNQYFEVLCHAYEGGMADFTYDG -SGNKPIIPRSAIASKYMTASSGGNVINEILADEEGQSTAKTSLGPIIGVATAVGTLAVYT -ALKDRALPVIEEVYTLESGVNMSPSCLWDWATDCHFWEKFGNNGVSPLVHNSEEILINRP -KYSEEDLKVDETKEDVPDRAVAFTKKELQMISEMTDKSVETEMRLKKGKASSMAIERLLK -DKASMARELVQVDESLLDLGDDNSRIAEQNRQKFEDQKADLELRIKTAMEEIAEMQQGPD -YLLYQKFLLNDESFKACAKITAGYVDNETLECLQGYISKCSKYTENIVQNVGTYIQEFDL -NWQQILERVTMTFGMSWVTISYDKLRENLGVLPTMIILAAFTCWTSLTFGVIRTVFMLIG -SQILYAICNNKSGRERHGTENFFVSHLMNLGVCVYKLYEAGQSGFVTTVIDSMIGISPDR -VCEVAGTVVPVIAKQTALGAFTSMLVASPTNASCTNSIHIALNIHKLFVGLYTKNIGKKD -MAAGTSLVLQAILGNPMNTIKGLIIGMTVSVIDCLLRFNEERFRLIIKTVVKGNFADRDM -FKEFTDPENISKAIHMLMIALSMVLDPVQVIQLGVSALSAHLRGADEDLIQTLHKTSGLS -TIVWFLYEVKEVLNWIDNRPNGASKPGVSISLTSKDGLYENIKASIQNLSNIGEGWYMWI -PNLFKYIFSTLLPRKVFMPIWRLVKKIFRGIKEYWKTEGQITLMQGYDHVKSRIKSFNPF -GSISCNINKNDYLYINGDMTMKITMMDRIPTKITKVIIFGICDCSVKFKTVYKNEQSKTS -NTFTLQREHIYCCESYCYPPRDTGLTITEVLQSAPNCSTIAIVDNFGKSHICSKSRYSKT -VNMETILGKITTPSIPDKIWKEYGNYDGNINRCLLYSTWNNLSNSINNKNKSIKFIFRCV -ECGPGNWHEQTHYYRSGTLCQFIINSWGCHTSKHSIMEYELCDTIDMDACNWVSYSEFGD -IERACLCSWEVGVINSINKIPKYTDNYTDLDKELMFVHTNNSVQNVGLIGRPENLHCNGF -VSMTMLHWLGNRDEIIRRSKIWSPKIAIDSVCNTWIRPMYYDQVLEEEFTGFVEGMDVLC -PAQIIPIIRDNGKWIARPVGSDTVVDSDLTKMIELLEAKIDYGELAIEDFIIQNCETRDL -LALFVKYGDKKEYENIRYFIRQNVPDIDEIAKQWSIENGKMSDYDISLTVDDFWASPCSK -MYSMLLKFKLENPLYNLGYKINRMWEAVKNIEWKNLPGKIYSFVANIIYTIKDGFKAIWD -HINCCRVDKVKEQRDERGVSIDETMAYSKPGLAVATEMVDPTISVVDFLTWQEINGELPF -SKQGINDSILLRELAKAIIDQIDLSNGVHTLTLKLVQHVKQICCPFVEYPEYIKFNVFTF -TPMQIEEVTLADGTAIVDSGDEQAIADYFADKNWTDQAIDKDLFPSNFDSYKEFYGRYQH -CYHYVRLLITASCAVNGQATDWMTAPLIPPRNFISEEQWEHWREDYLSQYINSMNKYDVE -IAASTSNASTPKSQSNASIVVEASIDKNFTPKTETGKVSDPEINEDFASKLKQSRNNKII -KLNVDRLNLQYSDNCMDDDEKVLVPIKGDGNCLFRSISYGLFGHQEEAIRLKLILRATSF -SESDNETYRSALNTEIPTCVAEWGTTDHAAAICALLNRNLCIHGAAGYNHFITNSSMPTI -HVQFVDNDGLGHFNVYQSLNDPFATVLSNSTVDKLDDIDSMIKEVTAPEAIEALAYVDRL -TNKVDRLTSEAESLQHEVEEKVEQSLTLGERLQNAILPEPVYQALERISKNPYDIRSYFR -KIGGDPMPFEGDWEELKKRFIEAPPEGFVIVGKNVIPKVEKDFKKLSVESLDEYETSSDD -DIYTTCSDSCETLSTIEFMTWDQLFLSSVSDDMDIYASGAIQEIINNNKVLSPRVKFIDC -VGSCEDKLESIDQKIDEIEEHKKEIDINFRGSQTAVDHCTAEVLDNGVGLVPSSYPEIGG -ETKESIFTHQNLPKMIDSAVEVCKVNKVPVIQTIEVAERLIDCRRNLSIEKLVKISEEEL -QMEPIDPDTIVHDRTVCIVKNGKTLPDKPKRNNLFIKNNIGNLLEKTIEVSKKVGLNTTE -QFEVADRMLTARRMLSDERIDKFENVSTGDELSLTSIDTGIKDYIWKSNKTIVVKKGFQL -KKAENYAFKPDVKLVNGIKIKGIPGFTDVNFEKSLDCYDNSGHYNKEEIEEARIQCDDII -RNVHGVGNRTWYKTARAGKRSRPDAGAVVSRGYFKFHEIDETFRVDNWGNKSLFVAEGRG -GFLQCYLRHLHEWNKSTLAKVYISTGFNRIRERPHIVEEFERPSNVLLDQITAFTKEGVM -DENDIRSVNVRYFISNRCTDSGRQMLDFIVCDGGESGRSQADAAIDLDEFCIAILDLCYL -CLKIGGNLIWKTNDLFVHFKHYATLISHFDNVAAFVSPSQVNCSQETYLIMFGFKRGKRE -FILDKDLPNNPLELFKSLDESVSNKGVWNKLWGSMADITFYNSKFGGAMKYTIPGGKLLN -GAIKLNKICVDKARLMQWELQNMKSNRPELPALNYPKPLTSSVITLLNPSFNVKKADRIG -TWSVRSKMDSDGNITVPIPLDKYEHQSKSLLGFNKYRTFGCFWAKINGVEYKDCQEELYK -NYVHTYGAPLSGIQWPNVKYIKQYGLTGAVRSHFSVDRFQVMSTTAQLVKSVTGMPIERL -PVGMASKTREKWIESIEKKLDVEHRPISEHDVAALLECGKGIMNYNHTPWGLFPWEDIIN -IIRKKAASGWLEDKSLYELATNPEVQIEVDKKLDMMMKGIVPTVVWSANHKREVRAGVDE -PFPKPRIIQFMDGKSRLACLRLIGYIQTEHNLEKKMYWGSNTGRSITHVGDFMHEKWVKY -KNPVCIMNDIKGWDNNVTCEQLACMSECFKPHFKPELHKGIETYFKLIMSPLVVDLEGVV -FGRKGQVSSGEITTSRGNGWLNIALTVQMIREATGMSIEWIMEHVTILCEGDDLIMIMEK -EFADRVLAYAKKFLVRVNKPPKMVDKLGRLQHTRRFWDISFCSHTYKPVPIAGKVHFLPH -RPLPEILGKFSLTKKMEGTKVGSPLSREINRSKAISYLLLYPANPVIRKLCLLTLCKTGW -GTFDTREFEYLFGKIVGANCLMAAVQSVYPMVKDLDDIGYFPQSVCVRDWEAVQECKPDD -SMVEEQYRAINRLVRNFLTDVIDFKIEKDKSISISLRRNFMSESLAEFTYIPLDHKLEKL -VISDYRMKQMLKKFGDKKLVDMMPECFENWDNKKIMDIKYVQKESPTKGEMLNHLRQIFR -SLWCEQNVNFIKSDYYSIQRQQGIENRNSKFGKGIDLDEFEVGLLTFAKQYEKEPEFSEI -REILEYRRRRSLVKFVDKIMLFMGKRFVYTFNE ->YP_009179218.1 polyprotein [Sanxia water strider virus 6] -MQFVRSNIKLSEVYTSFASNIVKSDDVSTFTDINGLKVKAQEVDKIPVEIRFIHLDQIYG -WKPTGFEKTYLKNLVDNPELTTEAEFANTTLNLRTGRLSVFESPLQAVPAKHILSCTPNT -SRCIKKLSSKATLTVPSIMATYNQLMSGNTITVNRVPLDTMPSTVNNGEMQIIAQKPIRR -FVPDYYYDPKYVPSTSKDKVLLYANLMGVPYGQIRNIIDRFFNLSEAEILEKFNSPINKR -HFLNNRLQWTTALYEANFDNKQALVCLEAYKTMKYKPQNFVNQAKRLLRNINEYGTLAKS -GKMLYDITHPKKIVINVDNESSRLEPTNFPNITFNKERTTPELEKPQPKRQRVTLKGKFV -PMAKQPDMTNLFFREDKFYVQHRLMNPAPIVKLITKRRATERKEEEMTPRRYVAKSVGTY -VRPSKEEREKVKNIIKTELSLMTEPPKALVSKMVKNKLSEEKIKAVLSRGVDIALYSKEL -AQVKRSVIPMAIDMLKSKPVPVMLVEPETKRRRRVKAKMVTYNILKTWDNCRPTKHRSSS -EWKTPIQSLFQQPIYDHSSCCDLLHDAAVHNFGQSYIEWLKNNPQEKCHTMPIYNHRSVN -EFVKYTEKLTAAKKSLSEKYEMFKDVIENLKSFKSVKNFKENMGSKFKNTMEHIKMRLFD -QIHTSDIIPNDGQFGNVQSTVSYTSEGKTYVENTNSNIHTRPVHSCSQNELNELIQTHET -IGDVLVKGSFSLNFDNDIYLYDDDMNFLKFPLSKFKFYSGYNNSSFTNYRLSESFVFNHN -IVSGTPLFNKEKQIVSIITTSKSINGNTYYAVTTKNDQYVTIRHDSYKWQVEGTVFKGIK -GVNKIEFDMNDTCMYDKTHVSTPFSGERGPVSWQVKTSKDSPYIEVVTPDVIMPLHSLNE -SQAVEFARKYKTIYADVLTNSFVTGALAKEKLDLPQPNNQTLSMTVNPLTTAVIDGFVNS -QITINHVVTMNTPLDLPSGLPIFVTNTTQVASVITKSDSNGNYALVGKFTKLTFKADCDK -NIVRTYDPSFDTVSKMLLVWNETDVFNTLTGYASDSSVAFEIGFDKNTTLCDVPHSGRPR -GRGRGVRANVTAKQIKNIKDAVFVNTEIKNVELRTKEIDMLANANKKAITEISQAVNNLT -TKTITNPSAKTSKPSTSKPKPKTMLEKTADSFNKALKSTIVIIMILCFLPTILATNCNLI -LDPEQGGLMTSECASGIMEVATKFEGYVQCLCTGTDNWSHFYNNDICKQHDPRPKHHILG -REFGGPKWGLNCYYSDEVVEQWWTNREKELAAKLDGKSKHLVIADASTLCYTIDSDKQCF -DQTSLICEECSNGLYFFYGMLFTILFFTVSVVGGIYTFIVLKNRRVNRHWQKYERTERLK -ESIQVMHEAARPIYRETTNSNNGGYATPLNMLSIITIFFLLFSVVSTSPTSTQYTCPTKE -EVIHHYESLKNNELFKRYVTSETDALMLHQDNCQRLVQILNHVYSQLKAKPQQGQQRPKK -EIQDNNVEDVVVTQKTPTSDDAVKDACTADPDWQVKGFMSNEDGTKSCQVRDKSYGESLL -TDKCIKLNKPFYNLLHSICTLATDTFVNIEDGIFHVVAKAGQEACSMACFEENAQMVSLN -KQPMCSTCITPFINAFWEDDCIKQLQDVPTVLTQLVIYKDKTIFNTIDNTITCKNKISFS -TCCGGLGPAVSSDRVAVHDGKCACDYTGNNIIFKYISQFKHLVFKSGLEMTWVYAMFIAA -VSSYIFRSKLPLILVLLYIILGQNEVAGSCSVSNTVQTKAKSVYQYGSYSQDTYHVIMGT -GQCFSTALGSLELVELTKSSTYVKLQDVPKDLYVACSEFNWGCLLGGADQIADWKSDCYE -KCDKKLAFSFDKSEAPRAGDQCLISAMHPAKVQGCLNSELMTVYTVSAGTHSENAMFKYQ -MTDKDGGIKDGEMMIHSSTLKQEKIFGGNAVVTSVSMPSFPLPTYIIVFKGQLFCSYNAI -PLEKTHTINAEGNDLDAILTFKPEVPMYEVKFKKMSGQQLVNQYFTTCPKDYMWSENLNL -LQVRVEALAFTLDLKVSAYLDKITEIKPCKFESNFDFHIHQNDNAEGGLVSLGTTPKEKI -TEQCRLALSLNRCSCLGLCEIVFKVNDITTKTTNYLCFKGADGSGIIYKGKEVNSKWVTI -GEKRAFGVIKHTKSHSMGEIVHSLKLAATTTNYLGKAQNMFDYAYNGVSKIVSTAYTWIS -NHSLKFVLALIISYLALVNFLKGKLGNVVFLVIVLYLVWFTNYVVASETKTNINSTELIS -QLVDRVLDTAYLCIITYTLTYIFLLVIGSVVYCETNAQVVSLLLIEIILQITTEIKDNLI -WYLNNLGTIINISLPIITYENIKLFVVTKFVQNYNNLSDLMVLTHEHCSRKINYIQYIFT -LNLINTINDVYNYFNGFVVETNNMEFEEIFSLAGLNRLHQLLVRSNNAYLNKVIDGLTIE -VNHEDFKNKAKEHPHLAECINGCKDLIPANVNFVTGRDNQKFKIIGITAVENDKCFTYGR -NICYAYVLQDLLPCGTVLVSKEGPGLFRSSLKCQQVNCSINGHTLLWTTQPGETEPLVHT -FEQLEFNTITETKNYFIDRAIRLKAAIVDWPNPIIVVNEIDYAAFGRFVLEYNKFGDDDE -IAQYAYLAVLRAIKVNELKCSIEAMKEAQAYLGYSGLCNLRNLLHRLIQDVKTSFNIEST -DILTYKNVLEEEELNRNCECLYDKEKPTEITDYNSLTNMFEILIKNTTYKQVNPTPFPGT -LDYDRMLPILRSLFKGYDVQFKLQDDYVRISYNKPEVIKDTTYYLSSPYSIVRQTTSMLD -EPSVISTSNMDKVIEFVKTRSSKLIIFTSKLNADYLHAYYLDQLAEMHASILMYDNIDIL -RHGPSNCFITIFDNTELNKLEVKTITALLEVSSIIFDDLEFNINRNKINEAELRNDYVYI -NKYGEIGFSATSQQDEAISRISGTAFQIIAEKFYNKLELGEYASEENILVSKCLNKHNNT -DKEIQHYIDFDNTDTPLKIQKIQTFPCGDKGVVSITTGRIKCPHTCTFEDIRKQRFSVLT -NDSIDVNFKVITNKFLNWSVHKYASYSVNIDIAALEKLTSESILHYTQLQTSDFDFTEEI -CSCDCKQKIKSDGTAPNKDVNWTIDIGTADYSALCDKMAIRMTNKVGTNNFHKFYYIHSL -AGSVTKSAEHVLQINSVPVETGEEKMTALTRILNSVGVENEQKFGLCFDEKINSDWTLNI -YGTTNYRLVEEQQFVIRIIPKTQISEALNFGTTCTLYYNDCMQVDYYCWFIARIADFLKM -KSYCLVLPHANVPTNMFTVKYPWENEVKRVIIYNIDEDFANSVTNCEYELHREIVPTGYT -YALHNNTMNSIKDWHTRSEIGYDKTIKFVTNHPVQKEDNETIYQMSSLEPQNVPNNILFN -SNYTHTVSIINGQCIGSATIVQGNLYSCEHVTRQQPCVLNHSGKNTYKWTQCVSAKENTK -FVDSVVYPSCLEVNNFNNTAVPGTVFCIYNPCHNSSVFVRSLPKADIAVEKCSYFLQPIK -INWNELTYQPCERVKAAGLSGSPIVNSAGKTVGIHTAAITTKSITNLDEKFSVYADVSQN -LAPLPKQTEYVTSMSDPITMGVKQILETNLGLNEKAILINGPTGIGKSTKLPLVLGKLCY -ARNNCQPVTIIMLTPAVINVHSTFDRLKHNVENTKDYAHMFNLSFNVGKRNQRSHDSHGT -GPVKISLYTYGKAANDIKRVVRNADYVICDESHMRTKEEVITVVDSLYMMKEDKKISGTT -IIEMTATPTTMDKYSLVNLHDVHNYKKENIMVTVKNTIEECNKLNCIPILIKYSGNQYMA -LDQAKLSAGRTLIFCDSKPHCEEVNKQLKSKGFNSRTFDASMNAAIQLDEADMTKDEFIC -STDALGQGITINNLGNVIDTCTEFKPNVTVSFKDGKLYYERTLTRRVINRLTAEQRRGRC -GRTCDGKYFAPSGIVVPEFNEKYQCHWIDVWWLFKSRIPMEMESTMPRLISNTYGEEAVK -GVTNLSDLNIVRYWNTKTIGLDDHQITRYVNMKAKWVTEHILGADAIAWFLSDKAPMDDY -SPGPGKLSLSGQQNEDVNYYKNMVYQTSYENIIFWMPCNLEQYLKTQEDNSFVLSFNDIR -TDRRIEESLKDYGGSDLNLCRTLASLDGQPHAISTLALTNLGLVSGAAILIGSYLSFNKL -CSNTRNYVYVHKSIENRNIAKSAVRINKRNSSALAPSLWNVLAQDIKANIKWLWNKIKQL -FKSCWHSIKNMFGLSEKDKELIEDENHGAQLSFIQWLNTTAVPWMTTNITGTCITQGVLA -LGLPGTIGMGVVHNYLSEVIGNSLPLVIVTGLTATLMFFTGAQVTLLLGSCTLVSGIITD -MCRSAFHQPSKLALGAITKYNAKSPLLAVGLGLGGVLGHGFLRMSTELAATPANQTLTRN -TLQSFLSKFVAAENLLTPVSDDTASLAKFCYLALISSAEKSYIDATNLTSALANMVTSNM -ASNVMGILTALVAYGVRQTVVKSRLEFCADKKNGVNDRIWEQYMVQALKNFDHTVLCILS -AISTFTNPLTIVTVLLAWAYSHQMGENLTLLDAWYKYSGVPVVYFFIGKIIETLGRIANQ -FAANHLNMGSNEHHGAALVLSVIASLMTIVYTAFAWIGKDESEEEEHNLHSQQTQPVQNT -MTQKILEFFKRVYECIKSGLYRLAQYVKYCYHSIANGIKTFISQEFWSAINPFSKKQTPE -FVWDYKKGIVNKNFVNKKEGYMFILDEVNCHTCNILVRSLVNNSGKNNTSISLKQGLFET -FRKEFTYSEIFEMECPAKQIKYLKLEPRSIADVVGFFSCCELSNETVTIKNMNATVSLTC -NFTENAVTYAVRIQNKVAVELTLDFIMVFYKVKESQDKTKVAIVVDSYLNKNLLTEVLKI -VCTWSHDYLSDASSLKSCNFEKQMEQIDDPKLVEFLSGIKNNRSILEKITTAIKSTAVAA -CTAVFTSSQMQSIKKSMQTNMSDKYIMDNKFYKSLPGLPTNIRYNAPIAAATALTSALKT -HGRLASHGLPKTLYNYPIVGDDDIDKKFQTKILDPNCEHITNAEFKLTDYETFMLDLGFD -PNYVKTHRGGVCCTVPFYDFLNVMVCEDAYSLDSLTLFMSVLAYRMQVNVKMIYLDQTYY -TILGGYCTCSIVLDISHNRNRCIVDYLNKKQTDDTKLDKSTAPASEEEDAEDEFFDAKTE -LGVGDQKYIRKNCFNNVKLSQRFCPEHKNLKPVILTPLKMDDRIKYQSHLIKVVQDTKQH -DYNDLFYIYCGTIADVEKKNFNNPEVNLTNLILKTEFRSNKLEHIELIIAFKALIYTKIE -NNQFEKLWKEFLEMAKVMFVSTFLDGLSKNKEAYLKHKEFKNKFKGYNYTLLSGLQNVFF -DTESDAKFIPQHQEQHELHNKSVNVPYDLLGECLGNALTYLREVTCNSSPQETEDREISQ -LFNLAQISSQTFDKEDVEHSEEIEQFEELEPGQTSFLMGYEEVSVHNTNKQFVHYTDSAY -HKEMRLLQAAGYSDPSMEEMQYVFKRGNATAKGLEKLAKSQNQPLEKYKHHGRVYMPARM -EIQDLVSKGCIKMQFVYDADPNFFRNVNIVLDPFCGNGGMIQALSKILKNRHSMVVCSTL -SQPGHAQFDSSMILENKTKLKICYLKPQMVANKINDVKDARTRLDLTAALYEVSVSLEKK -TESGTHTATNLLLVDCGEYNFDNLIKNYEWWNKKTFNEYTGQSINMIDTIQRLQLNVGLG -GNMLLKFNGVFHNDFTVMHQLTKFFKRIKIIKLPSTPLYHSEFYILCEYYEGPNYYENEA -NFTTLCTILEEASNQDKDTELVNTYKMLENNLLSKSNNNYKTNDKIYAIVVKNFIEVCNI -KNSSEFYNILEVKALFSNLELENYNKYIQVGDHSSLIKLLTNVYLNKCSIRNKTKYLIVP -SVDLVQELNANCLGIIKVSKNVKFNKSIDADNPVVNEYNIIGKISSANASSYDYITIGKS -TEFNSYFENVIKRKLYFDKQAVVVGDHKEQNLSRSLNVTRLIRMHQYSHCKEWIQFTQTS -RSKPVSYKFVPWTFDPSLSKSIVNQYELLNNKKYPTSTTIDMTPYGGPKWTWNCNWEKRL -KLIEQDAANELRVKTKDGKIKPARRIARGVVSGDYENVHSVGKFLRMEDPGLDKEKTTYN -GLICEYTKELGINKNTNTYGHTQTSQDFWKKTLKRRLDTSDLESSAKACAQLYTTLKLMI -SEHGKSLKGKLKFFNKEEVEKMVVYNASVSPLDPYSNMKDYMDSNEDWYEKAWELRILKW -AKDEDTGAHVMARIKRQAAQKKNVIDGMLQEDMGPLEDHNSLVSRFITFFDVITRIAHII -ILGAYIQADNKSKLFKNQLGGLPPYRVGNVLASIWHILDQGVVTPEIKQNLDSIQKTLFF -EDLATGNVAYDSELNVKVIVKDRKKFNEWINYYKDNGGVSAVCTDISNCDGTTSAQAMMV -NAMMDSYFYEPEQRIKIRGKLYVVKTDQVFCAANKEISIKVMIDSKGEVTQHHGKLPSGN -IKTTTMNSGNVECESTNVTATIIGKSVEEITKTIAVLIVDNKTFEITARPAITLGDDRGA -FLPLKEALAFTQRQEEEFIKFNRTVRCGQMAGAVVARKFTDFTWCSYRYKPVVICKNADE -KFTKHVDRDIYHLKWLIKRHNDNLFFDCAEDEDTLAELNYRNNNIRSQYIIPTSMYKPVV -LSDTSITFDKTLTVDHHNRDTLDYNIGTSSDTVDSNFFVSGGNDNKTKNIPIYDNIKGEF -KNKSNKFLNLRHFRKVPYGSLIIYAPPGFGKTTWLDSTNFKNCIFDTDAAIDSLSATDKQ -WLESNTQGKVPVSSRSIILTNRPDIVLNNLHCEIVCLLPNYENYCLRVASKCANFDPCWY -QGIQDMHNVLQDNIKATILKSKEFVFLSDYLKVHHKINHKDNSKAKLHFWTYRETSNILG -KLSLTLKAITQKVTFNNDAALELTRSRLISYLIMYAPDRTVLSMCLSLLYLIGDGSVNLS -ELQRRGYVPKDNISGFNNLLSVTGVSSFADMTRWDYKTDIANLKIMRHNARLDMGKVPLT -PTNISGVMDKCYHVTAKLYKEKCIEKGVRNTYTFEYILNSYPKLYNYFRKKNADHFDLPP -LTHSEPNEVLAVINVVPLRETRNKNQKLLNFIKNRNNNSLNLELDNSVTYKKKIVQFLTQ -FKDKYELPDFTVRFASEFLNQQMYDHFVLKYRKNVNFKDNFSEDKMFAYKNILYHASHAM -QGKVIIISDVHYYSGALCNTFQDTNALTLSRSSSNNFYTFEELEAYLIPKLT ->YP_009177246.1 beta protein [Adelaide River virus] -MEVIRVKINLCFYAESKLDIMPNHVLGVIIIDNIRSIYGEEGLIAYCMERLVPLCMYKGE -RTVYNHGRWKLVTHLSYLIDRPTNPMLLRIKEREIEEVMSVHIMDENVGQLYFKLELQQS -DFNFLKHKLDPAIKAEGEPPKKVTLI ->YP_009177245.1 alpha 2 protein [Adelaide River virus] -MPFWVEVRINNLDEGDISALENNFTQNFNNLIDQNKEIIKYIIQKVKTQSYLEGKLHPFY -YINLIDTNDLHKPCFLTKFGLKSRFTREEKGYIVIEGHYH ->YP_009177244.1 alpha 1 protein [Adelaide River virus] -MADHARLISLPSSVQDFFNQISSIFKSIENFYLLYKTRLKIFLICTIALIVIVLVAKIIK -YTLAFHACIKIYCKPLIKTTNCLLKLGKRKRRKKKRKGKSIRLKELSS ->YP_009177243.1 non-structural transmembrane glycoprotein [Adelaide River virus] -MDFLRQCTLIQVMILAITIRLTHGGWTNFPESCVQLQPENAYDEMCDDSSLTNSNSIEYH -NKLKSTKKFCILNQIKSVKTNLYRCYNISITSVCNSELSSQNLHQDYEVNPISRRDCLKH -IIKNWNDENLERSLIQKSEDIYRTRCNFLKNTETKIEDYIIYQEKTESSVINADGLDSMI -ETKLIELESNKKLDNTVKTCISWEQGGDSRFNTLNLIALDLNLCLAKNSYKLEKCLLCYF -FIGQDKWYRGEDGFMISIELSELDTKSIPKCEILWYRLYPGNLLTINQDYLAKKVQERNR -GCNAVKSILRSGKAPPLENMIKYTIPLQAGYGIGFREKIEKTVYSAPLRGNLVERRNYDF -FRCHYFPSKIKIVENKTHTPPINLCVYHFGKGSCHYPDNKYFISMNPVSFEENQHYPKSG -QSFDYQSGLNGIRKKIKNQEYYIPDSFLMTLIYSSHTKSILEKTNITEVFRNDSNYENHT -LQDYLGLFNKEDEGMRPERDLINLPNITSETEDDDTSDLNLELNKNLINKTSSGFSNDNS -NVINIPSKEYNKTDIKTVGKINKTSIIINHEEKDYWHEEYNMWGLSGLSFLLLLALFYNK -IKRKIKRKS ->YP_009177240.1 polymerase-associated protein [Adelaide River virus] -MEKFNPSKVLGEYDTDKLIASINETNWNLDDDPEFSAPQESIEPSNSIDNYRDEIVKIGV -CLSSNNQDYSFLDNQKEKEIFLEEENDWEVEFTKKLEDHNVPNESELEVQINIEDLIALL -HFLNVEIDQYNILPLSLQNKVILQRKKEGKSDDKKRQNGKGDKKSCPDSKNQSNNCQTMN -AETNGSTNLGADRGPNQTEDNSSMLENDSEAILDSDGGELFQFIIKTMDQGIRVKKKFSG -KKVKITRENIGLDYHVINGHIHGKSAKDSIDLKKLMRELIKKGRKYKDYSNQLDLSEIEF ->YP_009177230.1 9 protein [Barley yellow striate mosaic cytorhabdovirus] -MVSLEFELWEISVGLHIFVIVSLLLWRFKWYILKKITQDEYREKVFYHTHK ->YP_009177227.1 6 protein [Barley yellow striate mosaic cytorhabdovirus] -MASRLLNSLEGKARDITITSEDGVEIQWKNADLHVEWNMRAHNAKVEHLGGKEIKLVLEP -SKGKGPKINMKLGESTMVLMEGGLTLTLLMTVTGNMQGII ->YP_009177226.1 5 protein [Barley yellow striate mosaic cytorhabdovirus] -MWNSLWLYLVDGLSQERTSSFWATLPFSVQLILAIMILTSLCRLKVYQLKYFAIISAFQL -LAALTSLFRELMYATGHST ->YP_009177225.1 4 protein [Barley yellow striate mosaic cytorhabdovirus] -MTTSNVELTMAISSGWIKSGENIILLGNSSFLGTIDPRYYDIDISMSSKGISVEVFRNNK -CIPATSRTHFSFSGIDVCDRSLNMIAYCDVDRGVEISGLSRLSADAGISIHHDAVPHVIS -NIRP ->YP_009177221.1 ORF4 [Suffolk virus] -MSDPPVIRPVRGVTRHRYENDDDDESDQPATYGYPTVRPAPAPPMMPQVVVRDDVTPRRL -SAIEARLTVIEDHMRGISTTQQSILRLLETILVSSAPGSPIVITKKPTPDKKE ->YP_009177214.1 gamma protein [Yata virus] -MALLLSGVLTKSDFQTDNQLFDFVEKIVEETTRLAIKNSIWWSGLQFTQIEREVMVGMNW -LVYIDNEESDRVDYSFWFGVPDSVGWRMFRNPNTRCIASNILENRQSYCFIQYFSQ ->YP_009177213.1 beta protein [Yata virus] -MSGKLYSIHMEVNIYPNDEPFNSYNQIYELCIQALERSRAPIGLIKVFKQFGFTALLCSD -IKTELNDLGVTKYKGVVDGYGEFYLTTYEEGVIEDVSTLLYLQEGGKLRAHAWILLRAHH -VKAGSFKRKLLDSCPIPPKVIVLEGP ->YP_009177212.1 alpha2x protein [Yata virus] -MEMSQLIMVGSYSSFNKNYIPMIKVIPYIVYSDQYSITITPYTEMGLKKAIEI ->YP_009177211.1 alpha2 protein [Yata virus] -MDIIGTGSILLVYNGNVPIDYGWFLFKLQQELYTYDQGYTLYCILRSILNNHYTVYGDGI -EKGYRDLTSTIPYTEELMRSGRGGTHTWEDIIGIPLNDGKVYLYAKLTWTIPKKKPWYKK -IFQ ->YP_009177210.1 alpha1 protein [Yata virus] -MLNLQKFSDIVQTKWSEMIDTMKRIVDTCNNSFNDLGLKVKTFLIGVVIIACLIILLKVL -FKCTDMALRCRNLSSCCHQKYSHQEDVAEIKINEVPPYSEKDN ->YP_009177202.1 delta protein [Koolpinyah virus] -MALIMVEGEVGSSEASTGLVVTLVKEVNSLIMDILQCLDIPFEPGQMISRKDTTMEVDFE -DRSVCFIKIKKEWEVESIKVGTLSFIEELRGKGYFSYNGSMKLDILPKP ->YP_009177201.1 gamma protein [Koolpinyah virus] -MSSKGEIMLVNHDYNPISKLEVIYELEKIFELSERHRPIIETLQKVIKADWINPGVHRYM -YKLSLKGVYHIKGSWEIKSNINIPISGKIITLVLDIINQI ->YP_009177200.1 beta protein [Koolpinyah virus] -MRSSIYGVDIRVMIYGIGKELVNFAILHKIIRQNIAWTYGTDFGSDGDKKDRMRSLDFFL -KCWDQLGPSIYINNQMLLQSKITGQEKLTTRGIIDIEFSRPVEDYYFYNRIFPVENSAGL -LCHIGIEIEGVLIQFDCPKRPRGKDDCPIPFKIRIIE ->YP_009177199.1 alpha2 protein [Koolpinyah virus] -MSSKFELDIINKTKYNISCESLIQILIENYKKIGFNTYDKMLLHCLKLIGNDNKGLLMYK -GFSSFHGHMKNVILIPIEGSVKLEIDNDTLTILYRYNS ->YP_009177198.1 alpha1 protein [Koolpinyah virus] -MSRINWPSLDGIKTVLKEFPEKINTEIKEFSHNLVSKIRYIWVWLVLIVLLLLLIKLLPK -TINCIIGCKRCYNNFSSGNKRLKEEKEETA ->YP_009177197.1 non-structural glycoprotein [Koolpinyah virus] -MENTIKLSIILCASLKVAAWWTNFPYNCTQLVDENPNVKCGYDNYHYSLKDLSSYKNLIN -MGKICSYKPELGNTINGFICKKIVRYTSCYQDAEGNNLTTYKTDLEETTQEDCEVMLKKR -MQFGYDQQMFYPPAQCSYLRNTTTETIFYIIDQVEIYDDPFQEPSHRLYEISGKSISDIR -LVGVKPWSSESCQVDKWECINSDTDIFLDMIKPGNWINLHFIFLQFQILYEHTFGTVKFR -SICKIKFCGMYMLYTRNYRLFHIENENIINRFDDCEQHESIRLSNVKGIIGSEFGVNAIK -FKLEDKERYCEEMKSKLENSGTVNYNNMHYMSPIYPGVNLGYALKEYLSTFGSVLTGKTI -KQNKLSFFSCNYWPTEFRTYNDSGKKILFHRRMGESTFTSEELDIVWSHEKILPNERFNN -SDGKIKFGINGIISNNGTLLIPNSRILSMLFKNLETPLNKTLNIKEIKGKKIKLEDLMEF -SEKVEVVDTHREVESNEIDDNNQTDSVNIIGQNKDEEFNLTKSLDNFKNLKRNITITKNK -NIIEGFDLDVTTWGLLTLSSIITLLLLYKKLKDYKSKKKGNN ->YP_009177011.1 hypothetical protein 4 [Kumasi rhabdovirus] -MRAGPSGALLVSPVQHLQRNRCQSPRSKNQRTRRSWSFWLVWTPRSEFPERRVVLSS ->YP_009177010.1 hypothetical protein 3 [Kumasi rhabdovirus] -MTCSLGQYTRLSKNWGTRLSQS ->YP_009177009.1 hypothetical protein 2 [Kumasi rhabdovirus] -MDKMKSSLLAKMMKKMMTEEVEMRILGLIPYLKTPNSLEGDWKMTRPERRLGRYHWRKRV -NQLRYRVFLLRL ->YP_009176981.1 C'' protein [Walkabout Creek virus] -MGRTSSLTWKTSVPKRFERGKATF ->YP_009176980.1 C' protein [Walkabout Creek virus] -MNPTQVRTVLETLNQCHNWNCQEESHGNHLLNWWNSCKNLTALMLERVMSLIHGLQTRFI -MILLSTQRGKNAAIELTKMIVERTLTPAAEPESSTSKDMKME ->YP_009176982.1 matrix protein [Walkabout Creek virus] -MNSIVNLFGKSDDSVFSQSPCELGQHSHFSIRYLKINFKIKFELLQEGNTELRKIDLEKA -AAKSYRGPSEFEGIFLTALALSCGSWVKSKCGKKNGFVGEFRVKVKLYNPKLTQYFEHEK -FHCFSIKDWVIRCEYEIISEEAKSGGAMNKRVQQKMKQWGMSPYHCIEDYDGDILIIH ->YP_009176979.1 phosphoprotein [Walkabout Creek virus] -MLSTKTLENLTKYQEAIKDESDPSEDCVGDPESMPQLELSGGVSRESSSQLVEFMQKSDG -ANAGACDVPYPWAANSIHNDSFVDPEGEECSDRTDENDCGENPYSSRRARKLYEQGYEDG -VREIGRQMRQLQADGFPFEFEYVDDRLRIKKIVCDQRYLIKKLIEGGFFENLSPESEIKQ -KQMESSNKAPPLHQILKDSKKPNQKCMQSPKEASQPNSSMNSKLGEMDYRLSFCGDDMLT -MEEFIEKANRGLHICKDGKNKFVDLEDFCPQKIRERKGDLLTIEEWLACLY ->YP_009176976.1 glycoprotein [Datura yellow vein nucleorhabdovirus] -MAYLAILLISIAFISGTVADNPFEEFQKSALQNNPVHEAGKRVGHGMDSLQPYYICESES -SGNAMTLSAWHYSCKQSCLDDNARTAVNITRVRWHYIGDDIPVYKVVTNEVCYTAHENMW -GYCTQTQTIKPVATTKADKDALSNLLFHDTAQITGTRTITNSQYGDCEYFSDNTKCGRDY -TVTYRPGKTSKKSDSDPLMLNVYGDGIRVDPNTGELYQNDVAWFWDKSKIQPSSDCGWWI -YDDDTCRITTTSDTMYCPSIGYQYNIHNLKASSTCKGEVYDINGPAPFMYKAKTQDPKRK -DIMAKAAEGKGDPDINMITGINAAFERLEETYCSSTCDLFARGLGSDDNQVLDTPLGNWR -LVNSTSDHPALLPCSPTSTWKIKNPTSMCHGKDHILVEDSKTKHTCSWDTKKDYITVDDT -CINTSAEAAEMDETMRRTMLRGEDVRIRFWTGDTYILSPPYTTPRWEKTNLTRTQNPSWF -SKVELNQNMLHNPEDISHLLTTMAHDTKQEIMYNQTASRSVKKYILDEVLLGAGTVGAAI -VSFVGSLVGTLPKLIFGVVVLVLAIWVGKTIIGWRINRRGPFDSPQRTVKFDPNISESLL -GSPPQAPSAPKRRPQSRSAKLGDLFDEYGI ->YP_009176975.1 matrix protein [Datura yellow vein nucleorhabdovirus] -MEPASFSIKGNVGLTFKKKRDSMHALTLWDIVTKMWNMYPERVVVSFSTTRNSADKSPVE -DPLVIAAIQKMVEGCVKSYNIKCSVGRSVNLLLGDCYKYAIALGTSSKDTGHETIILTLP -FSMKGCYRIHAEVSNHMKKDGVKEHYMLGIGLEAYIGDMDTEGYNAAIKHGICIYPFMIE -HPELFSKSITSDSEMSSDGGHYVAGPSAVMRNKKRRKQKMLLKSAKPYLSGRATSAKKVL -NALRLAGNKIYEEYTTTDGDEAQQQGNNTPSSQEEKAPATVPTK ->YP_009176974.1 putative cell-to-cell movement protein [Datura yellow vein nucleorhabdovirus] -MNKGKGKSPADLVTPRPSKFTAYNSVLVTGSPANVKIEKKNFVFSTQMMLTLQSYLWMKA -QELRISQIVARWCPRVEPGNLSNINISVEYYLGEECDDINADDTVISIRGRISEQLSVIV -FPTVTIIKAAEHALFIPWSITAETDDVSQDEHAVVLGELQVWCKVEMTGLSLKPKSRHPL -YRPNVVLWSNVHYPYYVPFYIERKVRGIGCVLWRDTENYKRFMSDIGKHFDGRTITDKDI -LPLMQTMSLADSSEFHRMTEHCHANRGGLCTCGESVMGFLSSVLMNNNGRCLNHGVEFDS -AAHSILTGKVKQVATMNSLKF ->YP_009176973.1 phosphoprotein [Datura yellow vein nucleorhabdovirus] -MSDKVHPRYQSLPKTATSSEVMASKYAAEISKTGNTEDDEEMMKYTEEWEEHFRREGLTI -SNRLIATLSEVTLLCKKNNKEELAGKLATTLVDSFQSVIRQHTSLSNVVSHLEALSENLF -TGIDEIKKLGTDLQKALPRKKISVSKKSSRPIKQAEPIYDLSDSPEMMKHQPTTFSIPQE -QAPGTSSAPPPQTMEHETTKEPENNLESEAMKQLRSSYRGYYSSDEFVAFDIIKQHQIVN -YYVENVLGFKKDPEDKDPHVLSMIFDLVDKERVLAVCKKIKTGELDEALITDSVEEIVEA -INKCGPAYGERTAEEVIVEGRSRLVIN ->YP_009176972.1 nucleocapsid protein [Datura yellow vein nucleorhabdovirus] -MSDISIRELQAVREEFGALRAGARPEISSGQCGHREYTFQEAAKSPIYKISTMNDEEIIK -IFNKISGKDFKEMTEEDLLSFVQVALNIKHPITGALMFGSPWEKSALCADFETARPSQSN -KVSMVSESVASKQAVIIPSSEEEHMDTHEDEPISNQVLAITFLFSWLTRFSVKSPSSALA -LQYTKLKENLMKFYQKSSKIFETFNPDSTWVICLRNAFDAFLRVRNTLVLHVAEAETRCK -QDPKAFNVLRYLYFQNLEFMGMHAYVSIVTIMNKVALPPALVLTWLRMNGAELAIDEAYQ -IMSTLDNGMVEGGATKERLWKYARLLDAGYFNRLQTSYSAELMATLAYIEIKLGLSQEVG -YASPLNIFVIANNTHIKDIGRAKAEAFMECKNSVISMSAGASVVDKIYAKRHSATTVTAP -PAHKRKEPEIPAEPAKRTRTPPVNIPPPPF ->YP_009176970.1 pAG1 [Inhangapi virus] -MNITSRINWQSVDPSKWFEGIKDGANSFFSSLKVVFQDTKYWINLFFWLIVAILIMVIVL -KFSNYLIGLINQCGACVKMIGNCQCKCKKKAKKRGKIIKINKIYNPRNM ->YP_009176967.1 P [Inhangapi virus] -MEKFNSSNFCFPDMSSTFKDIREISDLIEDDLPPPPPDPPTFDSAEFPNQQLDWGDKVTE -EAARGDWELPPPAGDNVFTFRTSSLKDLERAAIESELINLIAWIYKHTHLLLDYRVSDDG -IDVLTIEKGESLEWENPKPEQPSPCSVNGKTLDDALADYRELMKIEPPAKPVPETSRESK -TVNKNVSYQKEKMGETEGGKKPGSLYIMFKNGIRFEKRTGHGTLKISLDTPGITEKAIAE -GENMNLKDGLKKALKKSGIYKALALKADINNPIWPKMDF ->YP_009167366.1 3B [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -GAYSGLPQVARAKRQVKKPVPAPRTAGPVQRQ ->YP_009167358.1 L [Kobuvirus cattle/Kagoshima-1-22-KoV/2014/JPN] -MPFIFTPPTTLDQCFCQEHGLDYPLPRPSYFWECEMCCHKPLPTCYSLCPTHAPTEPKSP -PTPPEDILYDSNWPQDVLHPNWEPPKYWPDWVYNALDQIALKTLPGPHQSPLTASEKLFL -QMLELGIHPNFIHSPRHTPSPGDGVVRQ ->YP_009167355.1 3B [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -AAYAGLPNLYRKGKQQRPTPKPRSTKGPVVRQ ->YP_009167347.1 L [Kobuvirus cattle/Kagoshima-2-24-KoV/2015/JPN] -MPSYKTCLTSSEALWLQERPFHFSLPKPPSLHDCPECVAIFGGALDSPSFPSRCPTHRPR -VPRTPPPPEDILYDSNWPEDVLHPNWEKPTYYPDWIQREFDRICAKTLPGPLFSPLTKAE -RIFLQCLDLGVHPNFIVPPRPTDSVVRQ ->YP_009167343.1 Protease [Opsiphanes invirae iflavirus 1] -HAFYYFAKLQEMGQPIVFQQGDVKEIIKDRITLYCVEDNVLGEYVIFQFKRLIGKDIRHH -FYSTIHEEITYPSYAYGIDLKDQVARPVQVVDVKQYDLDKNPLEHAPTVSTTWTTNVEGK -EEDFKYESKLTTYLIISNFYGDGKCGSPIVNSEGKIIGIHFAGQYIAG ->YP_009167340.1 VP3 [Opsiphanes invirae iflavirus 1] -ATVRMRCLSPLRIADSGNPICPFQVFARLKNTVLSGMRYPLPLSTPGTTIGRNETAITFQ -MENPSKTENILKNTVSVIKEIPVIGNLFGIAGRIMGRGSLALAHMFNVNQEKIKLAEDRL -KYIGMINKDRPIDISHPQPLLPMPVHCYSHGRGPYSSKKLRLQPEATTPHLEPHKTVNDT -TNINELAQIPGLVGRFMVNTTNKQGDLLYELPACPFDPRYINLYPAVGSVNFQPPPVTYF -SFMYNFYSGSLVYEFVPVKTSAHNFSIQVGFVPF ->YP_009167339.1 VP4 [Opsiphanes invirae iflavirus 1] -PRYDMINFTNTLYDNVLRMVQRDHAVVDISKSDDISYTIHYENTIPWLPIQTDEVGTVTG -GSF ->YP_009167332.1 coat protein 1 [Bellflower vein chlorosis virus] -SGDTTFISDTTQEQVVPGSVVVTGGRSEEHNVILSKIYLGATTWSVSDGEGRVIKDVVLP -DAIWDANDRLRGIVSCFQYYTCDGLRFHVTTTSVGMQGGTLMLSWDAMSCATKQKIDSVL -QLSNLPSAYIGASDSVNQVFEVSSPSIQHIMCTSGSEGSIGGLGTLKISVANVLNASTDT -SQKVQVNMWVSFINPKLSFVTVPHIPLVSQ ->YP_009164963.1 3A [Phopivirus] -SGCDDSIYEEFETEMRFGSTKPGNLLSTLFSKICDHKYLILASAFGFLCAAGAIYGGYKI -YKKITKKEEKDEEKDESKPLETD ->YP_009164958.1 VP4 [Phopivirus] -MMERSGLLQRIGENMDKILTLA ->YP_009163953.1 structural protein P2 [Grapevine Cabernet Sauvignon reovirus] -MASHTTKPKSKQTKDQKQELEDTDIRAPEPEQGRSLDKGNPSGTQSGQSSNITKDLALDV -GTIAQDSEIMELIRKKGLLKKAQSEDPAIMSQTTISKGIEKSSETTTNTIQTLEQASSSV -LDLRKKAESLGVATFTKTTFNPVFITDPPKAFDVPSTFSSTNWFTKKVKISGSDPTVSSI -VPAFIQAPVTLEDETLSLKLQEECVDHILIEDVTQIKSNSDQVFNSSTAYVICVPNPEFI -ASTGITLSCGVPIAKSVFKSFSSVFVQPVNGIDRLVVASGALAWALNFARRTDVVLKYMK -SGDGKGNVITLHSNFVPTNPLSNVLSQKLMMPMYPSIVLASISRRLPFLPAVIDEILEDV -PYHVGEQRSIRRCNRIQKDLIVTQALNIDTPTAMALNRTARVYIQEDFNQDIAEPALSAY -SMSDVRTTVMNSWEQLKFGRTLTTDYELSGLLVAAAGTKSLFGAILTLNEEAFLRMGTVS -PRLTTPTLDDIPANRLDTTLLDLVKTRLSESSFQDAIQTILMQVTPQLIFPTVLPEVHRV -KHVKLIISLFEILMLFNIVPGVAKLAGAGLARTLRSIIGLLWGVELRIFLEACGWSDNQP -GSTLDPTDVEYWSNAHTPTIYLPDINIPANCRAITAIHNLLRPLVVPHPHDKALEARFPR -LYREPVTYLPYPSVPVMGAETSPFKLRINEIVEKCKEWVETTNVTAEKSFKAKAAYLFDA -ISGALDSHGLGMSREVGAILRWMVNRKTFFVDFYDGELGTAFPPQMVLVARDQLSYPQKV -EVERRLHRELVIRPQMIWSVIFHFDFKGAHYDPTLHDDNNCSFNPPIPGDHLAADNALVE -ASVRLLEPFALTNMIISDDLNVAGVEVLRDLVGRDRLTSGDYGSIRRFVTQSLEGVTFET -DYVRFEGLETIHATWKIPNPCLRYLNPLGQVLQPRNYDPAVMIHGLSMIREDFLNRFNIG -IEAILSPRSAYSRLRRGIIIHRNTLSLDNPYLQEPEAFLPIENEYDRRAHTVQAHAQHGK -YIVYANDGIQYEEPSEYPQRTFILRDMSRLDSRDIKILWDGILAGRFRVELPNAYYIFEI -KHCSRKAATNQSDDVMKLLTMSEKEIPQITFYDTELPSYIFSPAMIQSGKIARYLYPMQS -ITDHVISRGLFCDDTVPDPRYWKDPPPHLCDTGTVSDFGILAYANRTPKTLDSICFSNQV -IVMGQETDFSGEVYYDEKVVKYLDD ->YP_009163947.1 p10a [Grapevine Cabernet Sauvignon reovirus] -MANTLTIDSLLPSLSATYGATSQSPKNPTNILVALSKIFNSPSQGAPQRIVQQGLGLTTC -TSISLLVTLEQVKELHELLLLYTSRWQDDRLTGIVSLLQDIINRARKTRRCYLSPTFIAR -LRSVVRVQLKKELRAMISRRTIDLFVMCSAPQEFTFNPFQNRIALTNESITKIYEDVTRR -SVADTAFQWFGMDFGVTPYRYGNHVYTNDSLAMIEPSSHLLAMGQAIQNQAYAEIFAQSV -PFSSPPQCVPXGEFTLAACPMANRVLKDSYTWYSKAFNVQQRARMIPNFWNEDLTDVNWN -SGGNTFGFPTWITDSTIKWQYTLYFIKDYINTFTALYVMRG ->YP_009163946.1 nonstructural protein NSP5 [Grapevine Cabernet Sauvignon reovirus] -MANFTIAANADVFTANWNELNPIPAGTSWYEAVEEDRRAAEFGNMVAWLVYHPSLVSEKF -LRDCGAHAMIVSGLPTSGSAEPYFRDVLSCLGIRAKEYRDLILEKALGYGFDFELASLTA -SEAYVCAFVCMFYKVNIVLISQRGGEYYLFRSNPNNQRTYVIGSFTTSVGGVNKYARVNC -TELGLVSMFKRVMYPFSELPTRPSSPENDFCQIYAYAPEITLSSDGIKPSGVKTRGRPIG -NITIEEILWQDYLIKGLDNVSTDAAAMNDGSYKRLMAEKKEIISKIEAEKTSAQICEDLR -NGIQPVNISVPANFRPTKAAFVTSEKARGTLLKTKMPTNVEKNRNEWLVEGASLAEKITI -SVKPEQIKMTGLRHWYSMQVMNYSKKGLSSIGYKTATFLPGGIINGTGLGNVVCTEIVWC -KNVIIPTYECFSHINCRVKSIIMICETANCNIPGRPFIVYDHNEDVWTTSDIFATYFNED -MMGPKMVALRTIVKQQMTKSFRNTGIFERAKAIWDKKPKKEKKRHPLCHVPIRHIVLDRV -LETRGNLDMSTISSMWPSVTGTDYQKDVPRIPSDQESEDVVMECPGLRVEDLLKHSFSLS -CTWKQPFAVTNRGLIMPCGAVEPAITHNLHQHTCMLPYLGDTFNSWDSSVERADSIPCCS -ACPRRFPHKALAELCAEMGCPGEGVSSSNIRVDKLDG ->YP_009163939.1 nonstructural protein NSP9 [Grapevine Cabernet Sauvignon reovirus] -MNTIQVTEQTLGGRKIKVGSSPVWEAVLDWLKNGKPSPLLKAVVSELGLAPLEQLNHTLK -NEDNMCVLPTRLGLVFYIPADDDRMAVKISMVNGVEKKGPNFDATDIGIAKKGADGSTLS -FKLPWTNSSAKTIVYLIPQIVVGVEAVFQSYNASTVSGMVEAKKLIKQYFDVQLNFLSRM -SQFAAACVYLSGYYDAFQHSMIRYVNGQFSALELIRNRRSDAISVRDKLSLIKNGSNDAI -ETMLYQTKSTLGGATVISALGDDFTMMTENKQGCAVKLMGERFSRVSCGEVDLEVFMKDE -AGTSKVEITTPESKKRDEPSASPPPPMFAPTKLRS ->YP_009162628.1 p19 [Tobacco virus 1] -MLSDYEVGSNNSSHYLAIAVVCSENSDELLMFESEDSNENAIFFEDSCVISKSPSGGALN -CAENYYLTSNAGLLDFLKSTNFKSPKPLPVANRCSNGPNHVDFHMRPLHLGLIFRVNLSL -KSLDDLDTFQDSLVKIKGFWNNGAQHQSMFHYLSNFINYEKYSIVSFEYFKL ->YP_009162620.1 hypothetical protein [Dromedary astrovirus] -MAPPQIRRALPRQSRQEGGEVEGNHRLTSGCCPIKVRLSASFLAARASVIVWFSRKSIRP -LEQLDQMAVNK ->YP_009162332.1 P0 [Luffa aphid-borne yellows virus] -MHVVVCNRSSNLLLLSAGLTPQLTEIEFLYTLASLHTLVLEAYLNNNDFRPADFXRSVLC -LLPLVLRGILDPSRYYLPRRLXPAFLAICKRTGYMPPTSDWSDKRLYLDLSMSGAPQSQR -DDLCRLAAWVICQDAQRCEFVLANRGELFPRMVALAARDAGKCIKGHWPGAPTLVGIHDM -ARILMVVVSAEEPLLRQLYRRASVRVNEAYGPRAAQAFWELARLPMEHYWHPHLEDHEEL -FQDSQIQELLR ->YP_009162337.1 P4 [Luffa aphid-borne yellows virus] -MGHMLRVGHSGTGDVDNDEPREYGMSSHQAAVQSWSSLTYNPDVDEIDVIGEEEEVEFPE -VQGLLKSSSLYYQTSRETXPGQSSSDHLCHRSQNLRQEYSSPTLAIRYHRSHSVFAPRRL -LPMVAPSSISSIPLALQRNSTRSSIGSRYPTEPLKMLRGEGHRSEERSGTPRAQSNSGSY -TKEMAKQKSLARSPSQWW ->YP_009160326.1 P15 [Bee Macula-like virus] -MATPSSSAGLRLTTSLLALXLLLSPAPSSSQRPSTPXSPSPKFSQLLSTPLTQWSRIPLP -IPTLQDCTSPPSNWTTREXPCSFSEASLKSLLRLLLPILHDHGYIPKSCRTCFNYWGLPP -IPNLNTLTFPDATGNV ->YP_009158926.1 hypothetical protein [Lutzomyia reovirus 1] -MYLAQVQTVFASSGSPIRVLFIQTNDFKKKHLTYIKTNFPNLTFQRIAEKLTLSDGTHRD -IKSGKAFRDAYPYGEGVASCSSIPPNVDIARIFFTRFRIIVTPSPDLLTTMTKSFHLSLH -NAVEVNPDHINDAITEVLSPLLPTQTAIADTDDDVDMVQVGKSSLPSVFNIPVEDTLPEP -STPEPIQPSPDQLNQHANALEKQAATPYKNIPQNIIQTKLEIKTPHVNPFLSLDQLMNET -FMLDATPDCLFIQADITLPTFSRLFHDCLHLGYVIISTNPTQTGLHISMRFSPLTALSQS -LVDTQMLSIGYNTSHGLIAKTTILTQLSITELHRLGAFVDGHTIKNVRLDGLNLLITRCN -DRDATSFTFTELHSSVFSKALTQLVKSTSCTHILYTSPYVLSHSLISSD ->YP_009158924.1 hypothetical protein [Lutzomyia reovirus 1] -MEQTIYTPGTTFTRQFKSLIDTIHVVNTSEDCRFAIPSTQEATSPQIPANHAVNRYQDDY -TESSTTKQTMQYDEHASRSEARFITTHLEYERFSIILTEQKPLRLFGPHPPTTTMCDRTS -PDIVKDETTNIPLLQYLGVTPHPATSNHPVNYDETDILSLLHVSQLNIVVIDLRSMVVTY -HRAFSHNHAIGIVYSNVPYIGWQRNIGHEYYIRRVFLSDALIGFILPGSGIQMSYLTYPI -QATPVPYYIMIKDYSNHIIRMVMTAKLLSTYSGIIPTNTKPLPPPLSYPTPETPYDSLLR -IQQQHNNNIRCFNHLRKQDYTFLRLPFHGRDQIARDPRAKSRINMSEHWHVHELDQGITV -ENNYHEIVNTYEYAQLYYGRIHRIFSPHITYGRLFEVVRLHKKHHNITIAGLCFILHVSD -DCKSIYGLHQSFIYQYDIPQPILPYCYYTYSDEKRFIPKFYPHTNSIYYQAQVEMYISPN -NYLTDIMQQFEKLHESHIMPIIYITDFELPVTSQLLRLHALWPRIHTARSRYDCTHKRYP -LRRNKDLLISYTIQCQDKGFSYCTDTHDQTQYPCGMYSSDCSPYAHHHRCTIQHFGIKFL -SPYFGYTCHNCDMTYPLLCMKVHCCQV ->YP_009158923.1 hypothetical protein [Lutzomyia reovirus 1] -MMNFDSTIHTIPIFFNLLTVLTTATIDRDGLYKSYASPVSPSTIPAEFIVPLAPPMLQLL -NYKTSPQRPLMVLQSFTAALWNSSNYHRLKIPRSIVDITRLASDMTQWPWLQLLLDCTNN -WNYTPEFKALLVSVCHAIEIVVIGSVASNIANTPSTYEFESPSYTLPSTYDPDKLTITLP -RMSMICKLLQNILIPALERVYNIIDVVDDVTPQFPTPPTSPILQESLSDEDEATNTDVRY -QAYYAQRALSRICDQITDAIPCGSHLLLSDAAPDVVIFYFTNGNDVFIGKVERSKQTTLE -FEYIRIAHIDQEQAALKAIQHNVNLFRNQLTQPELRTLFSDESVSMILSHTHFIYIPIHE -RPLREIINGVIASVALIPSQALVTSKGS ->YP_009158921.1 polyhedrin [Lutzomyia reovirus 1] -MDARPFNQPDERIVRQQLYNRQRNEAKFVGDAIIRVAIILQYLNGKYILLDFNAPEFIGY -FISWRGMLKSYLMKKFKATDIEFENQVRHPNHGDSSDPINLNSPIAIMISANGNICWEGA -RIQPMHVNATTDNDWRISRAWNKNAVKGTQMMNCDDRDGTARFFYLPTNHPISLKFKFPA -RMPGGDNRFSDHSISQLIMNNIICDNDPLEFDNEADLINYAQNENICYIDSAGRTECNSR -APMGDW ->YP_009158920.1 VP5 [Lutzomyia reovirus 1] -MELLDTEDSTTQHIRKQKGLFKKSKISETITIQQRYSNIHTQHKTVNLPTNHVITLYPHR -ITTHIHQVLRKIYGRLDAYIDPRQIARTLQSGERYRQAVHKLKSKRIRNTSSAKQTYIFS -VDDKLSNDATQEELDQFQKDVNHLADIRTQITTGLIFIHLGYHEYHCAIQPYMRALMPYL -PIYTCSLVNGFPNYADPYIANFYLAPYYASHLTDAYTPKPTTRFIYIDTNLTARQSIQVK -RHIKYQPSGTLITLSRDNSLPILFVSTKHLHDAKEYIRGKRLIELLYDYSYTAPHQDTTQ -RIDIISSAPLLTTITAYSPTKLQPYPPPQCRDSALTVITKFSHHIQNNHQLTAILGVIGT -KGSGKTAGLQRAITFMQTTIPWTICHIDSDAYGRWLAYAITSTGTITEITQSFIDDHIYN -EYKQQDNNSPIFHELVMQKILNDAKITTYDQFVNLSITQRSNKIYEPFTKFYITTLSDEV -YGLANYMTTLLAKMDHRVYIIECHTSVELAKAVRSDTSCILDTPFDERVAITHRTRGDKT -NKLSQLVLHEYYRARIAATIPYVRLYELYMCWTELSTSLLHKGVPQEVMTPAPSN ->YP_009158916.1 hypothetical protein P4 [Fusarium oxysporum f. sp. dianthi mycovirus 1] -MTTTYWKSFNECDALEAVKEQEQVKSAEYEHADVLHAFGLPVSSSSQIGACDQWTFTKAS -EGSGPSQLATDLVNAFGMLCRHEFCDLPKKMTEQVSYRDYTEIIVANVSSHRTTYDNLQD -HCDCFQVNIVSRHPQGQKHMPFVFLFWVYRNYLDNEIRVVQVETPRDYRPALRGAIMSPI -SVCVRTVSTIHTLSLSGKVNGTTFTMAPWSANKLLNCSVRDLRSHFEPTSNGASAKMKQG -VLHHADVHPLFIYAHNSRDYEESASAAVDGGRSVQYVHYWHEYSDITAGLPTNSAVCTAT -TAGEMHPANALYFGTNAGKQITGVCVAVRVRENGRYLYVVQRREKAVFVEANRVGVVPSA -RRELLERCFAVDQWGSIAIVTAAKKPIVMHTAITNAIRNELLGTSETVTYVKHMASALSA -ITAVAQRVRSFKYEWEELGIATRMKWRHETLQASGTLYVRQAVTPGTGLLGVLSPWQNAN -GPGSGDNKWDQTNEYWYPADGRSGKNKYTPMMYLDTGLSLLGRYMMQDYIDDAYYLDATK -VLLPKVLREDGVIGNATRYNVGPDMSEKVDVVIDIEYTPLPGGDDKLPTALGMVSTEDGE -LLNVAAEVQPLEVVSNAGLLESVNGRLQPKGTIENSIKTQAGAYMQLRKRAPEGQIWSHL -AMLNVLSTCSEQITLWAKGADNDAIFIKNARGPDKSRSPWPTSKEAGRAMIAASELKDLG -AEMPKFAVMAGRHNVSTQHHPGVEAALFALEGGLWRQKTDMRRAAFNTETKCDKFLLEVC -TRVYELDELQGVDMAGWIDSVLDEMDNVGLTSGYACYHWAVNKANELQGT ->YP_009158915.1 putative coat protein [Fusarium oxysporum f. sp. dianthi mycovirus 1] -MASSRLGHSAANAAGEVSGGSVGGAQYSRRPKDDFLGIASRLEMNKNLGVADSMLVGTNE -GLKRNAQQERGLTGWQHNQGLNLRTDAASWVVPKALRDLADLLPGGDDVGKTPVRAVQFA -YQVEPVGQVSNRAHKRALTKPYSAPARDKGTMIQFGGMSTRECNNDSASAVAKIARHYAS -SVNAYSSTGIASNMSIAQEIHRGSDMLGIFSIMWMIQLDIAGGNPVDINIDAGDVRRLVP -VGLNPLEEAEAMKSQAGLRIHRAALEPLALVMLYTATHRAALTQASPWLDRYTWPDTDMT -VYNGPLPAGTVGRQLHGRSDAAQVAAVIVGIANTYDLRDACAAGYRVAQWLYGDRCVNSS -VRLDCGPQTLFHEIENSVNRNTMPQMCWALSSSQLALASQFIGYANAEYMRDLPRSTVVH -ALRVRDAHAMRRALSNIDVHALETIVDRYIAGQTLLSDFPVDFAHHVRLGLRRSWVEKGV -IHNLALGRAVGASISGSCVERLEMPDQAMLAETDVLAYGSAHARAEATAWLVNELIRNGG -EHLCASLDEAVPKSGATTQMHVDCSYYMGMTLQFMVVGFGNDMVLKYHRPVNFVFTPTAD -QVRSDRDDQVRVPEVQPMIDIDNELARESERTRVETADDIMREVMAIRGSKQSTLFQRGP -SAPMIAKPQFDEGTVIVTSPSDNVRAAVQELAEQGMGPAPTSGEGALCGANALHMALQVV -QDTHELTVEAVNEMLRDAVRQTVTTEDLGEQATSWYSETQMAAVSRELGYNLTVVEGKGK -GTAVAYSTTDIPDAKHLVVFQLTPGHWEGPGTTNAHTRVKVTGRPSGTPRVEHARTVGRN -AFRRVLRQGENN ->YP_009158914.1 hypothetical protein P2 [Fusarium oxysporum f. sp. dianthi mycovirus 1] -MSLTMSNLASTGQKMGMETSVPTADKYRAGAVARLGSYHVPQPIINRSVQYRPGPANFDT -GSGSVEKLGAFYHHRLPLDVAQVSLRAGTLRHDVVTFDTSKRPGGLPLTNQIVAQQYVQV -YNFLDTGVRAGIIQTQRQFFKVPAAIDGARLDKLAEALSIETAAKQLDIKLPRLVVGGQG -GNNATYSDFEALRGKVVDKSVNDDYGLRLANMARLVLHAYTASEAGLQHTLLGANTSVRV -QKKLVAETPLLTAAEFDQGHFVYVGNDVSEEYWAFLSMSLLGVQANTGTTQTVYSRMVFD -PELRPNEMLTYVLQTGEQVPTPATPAVRVSVLNNPTKCLSFYYAYATSMGLAQSASSILR -QVALMPFMFKKNAVLPYKAVPEPKADAYMYLLRQTREDIRLNYNTLEVIVYQSPIIADAV -KAGLAASAFSYRVRSSHRTNEILAAVKSALADYGMALSTIAGVLADYGGITADLEWINPF -SESVDTGLDACIHSYREYGWLLTTYNSLDSIDAFRPAFETGVDMRNAQYGYNINERPYLE -TLITLSVQGRPWSLESEPLLDAGNPRLQHDARTITHWMAVIQWVRYEAGVGVSIAKITPT -PISPRAPTAEESDNGELTSSWRPSRARTLSGNKPLPLNLQPSKMAAPTAITSTEHEPSEP -SRPATPRPEEPEAALSGGGIKLRSGRELSRSPSPSESASTVVRHKENIWAPKTTHEEDNA -AYDEPPPPKARTPERGRTASPIGTVVSEARPRSRTLADRIKSPLGKQKAHSRESSVSSKR -DSAGANTGMMLSRGAQERSELAAVMSASKQAVPKLGESLTTSDGRLALIEKAAPSHDVST -IAQHVQPGGLGALDVTEWVGSSSDGTKRNVTTLAGKEL ->YP_009158910.1 NS4 protein [Chobar Gorge virus] -MSSERVKLNSPSGSSMSGLLLGKKWWKEIRKLLRISARKEEMLTGEYDRKTYAETLSALR -TQYGTQILKRETRMQMMLNSMPVAQLARLPTPTRRLQRTVGTVIVELQRTINQTGLERWR -VAVRYALTRIPRAWRRSERIRAARLFAYLRAETEEQRQVLATLTRLQVEERLLSTSQISE -FVTDWQEKLRQIFGFFPHPQKLIRQLYSSFWVRRSLSRSESRRIRSLNRKMRRLGLEG ->YP_009158905.1 tubule protein [Chobar Gorge virus] -MEAFLRLSGFTGMDASLLETAWSLREAYVCSHRGGRCRVRGLCVFQDMENAIALAETRQD -RTLARDIAKRLIEKAANPRETYCAAINFAQRGGFQNNEMEMRQAATDLEILSMDLGLKTV -LSDLTPERRDGGSCYLDDSQSYLHLLFTPLLQTGYPNALAGMKVGRYLFLSYQPDELCDV -TWQHDPDVLTHTNHFLTWAATDEPYVSPYVREMITRWLVWIPEPAWEQIQDEAYLTRMFR -VLESDTKMLRLLATGMGPRVFYQRFGVEGSGLFDMEDVLRTPCGGRDFLLRQVERNITHP -LHLQLPVLILRGLRHSLYRVEDVEGWFTSQETCQLCAISTFEHARAVIQDTRAPEYGIGA -AQRSVRPLAHHNGVLPIMLLQRGEMPILQGEHWCAVSALDGRDSAYIVAGSAHRLLRALG -IRGTDAKRLILQYISYSALHFSHTPISVFALYRLVMYAYFYLLVDGEPLDANWVDLGVFL -KFVMAEPTSSALYHRRVHSALIRISLAQICCDVAMDRIQPPAWR ->YP_009158904.1 outer capsid protein [Chobar Gorge virus] -MEFSLVFRRKDDSHSLYEKTRTGDIIITTEGCGEFDDQNRWVNIRDSCPRWHGTTTYNPE -ELTTNSKSTDAKHVKIYPCAIDAMISRIDDQMKSPIENVAQTIAADPLRVDVPLDTNVGG -AETWIRYATFKMGYKHFRCYAPVLYANCTGGVHAPATVYNAISLRYMGLFNYDTTQPTVI -ARQSVNGALIYGEGKGKLLDSFNFSNQIESAKTVKQNLTQFCTDAQWQSFNSTNVCPVVA -SFHRIMRSYTAAVAATMLSSLSLTRLRQLRPKAQLGRSPMDYLKYVKKLDVKPIGIANKS -FTWQIVACVRSVFACPPMGAEEEEILERLYNGGTLSVEDQVTLFPPAAVLREIHDTRRRY -TVDDVRTYFQLQTLLSSIPGLNFYPAYVVGTTNMSDTVSLETWAVSKKSRSLPGQWSGTI -CNANNLDTFAKNLDTRESPFKVIAPLTIATDYRQTPSERNMMTTVIGSMCSGICEAATFV -IPVKLPRKSVILICVAPKFLAFHSCELALRERFPRLFSYAYARVIIRMDITKDVQPLSLD -YEITGPIKGRVVHDDVGCGTYLILQSGECVLECGEFFLKLSERSARH ->YP_009158898.1 NS4 protein [Chenuda virus] -MVGIKTLLRLLRRNPRGARNLVSRLEDPAAHVMYQIYQGIDHRTKEGREARWEVSQMVLR -VMFNLPQIIMETGVMFPMLRLPEDEDVLMTLAIIRRRRLMETEAAVRLTMAQKTSRCRIT -WLPNDLLRFCVNAERKMWKFLNLGPLFRLTVHSFGLAPRRLSPSERNRLKALRRKTRRQI -YAA ->YP_009158891.1 NS4 protein [Wad Medani virus] -MMGSTMSQVTRGALRKIERRLDGIENFFENIHPEGEGMAGEIAENVPVEDPLALEVLTSL -EWAVDQMKEMCPRIILNTGIRVLEKRPETPVRMIHVLAEIRWRRVMWTMLSIWLRRWIRT -PAGAYLPSQNQLWVRCARADRFMFSCLGIRNHVMEMYAIMSVRRCLKLSDCRRRRRLRRK -MRRLIGESN ->YP_009158885.1 outer capsid protein [Wad Medani virus] -MEEFRIYCGGREAFDPIPDFVPRAFDIQYLRHTPDTSNRLRWTRNYSRAAYSIEETVGDR -SVLFDAVSPNAHAAAIAYREKCGDRGEAVRAISRDREMCWLNWQHTADGWMSIDLYNGTY -TMTEPVARTLAVQQLSCCLEGRIHTEEEEDRMSDAIALGMFRPGTEEQTRQGRVPCGFCH -SASGASGISDLESGLSLAREWAPWGSNDGLRDATLWIRPAVDNTYNLKFDVTAKKNPPSR -CYPCIMTENSMREWLAAVYVEMALHVPPGHPVAKKHGYNRERAISLLDKKMLRGGGPLWA -RVYDILAPLSNLPPLPSKQHVRTRALEDIMTVLRESALFAALAQDGADLDVRMTAYMSML -FILQSIPGTKMRDFAFFTTDLSPGPPVGHCVHSGHDQGANRQFHQIRKKLTRRVPNIKGA -ADDLLKELQLLVRDWDQGRLVQPIEDLLPLEIGTAISRHILGVWCFGLAEVTSVFVPLRE -PRKCYFCVIFYPEGLPFDGVRHAIKRRIRGAEAWCRGILYVQLADVLDDNFTIKTEGDVR -ARIYPYGLGPCRGVSISAKVSGYRREMGDFTGKLLYADQY ->YP_009158881.1 outer capsid protein [Chenuda virus] -MEEVRILLHDAGRYKPKPTRPTPDFDMVIRTVTEDDLGPLKARMKNEKDVLPTNVCYVDP -TDPGDDTLTEKPDMMYPCVVPAAISAIVDKTPMQDVVAAIADDPRAARTAWRKDTEGRAA -CQTSYAHVSCQERVARTVCAQLISMCVEGRPHTEDEELLARDLLLYGAFSFKGDEVIFCY -ENVQNGAAGGETLLRISGKCPKKEGLGTLKCTYPQVQRVEERWRTALDDVNGKKCVVTAY -GNLYARVAVYDLCRFVYNFLPENIRDKNKLTSDGGVRITYVAMSGNRSVWWRTLCAVSDL -LGCVLPPEPAPDHRNIYEVMRYLARTDLFHRVLTRFAEQRENACAMYVAAVTVLAAIPGY -RLTSLPVPRVTKSAVASCKTEELGGWGKAACAARASILGRVNDPLQIHDDCYRISKDGAS -RLTAFWPTRIFQPSTDHAPFLLNANLTCQAMGRLCRGNTEFLTCVVPYRNPEKAFICIAV -YPPELPQTAVAAILSTRFKKVSKFLRGTLYIKTGADVTKYGHAVDGSIRVYEVPYDWGFT -AGTTLIVKLPGYTREMGDFMSKLTRQRF ->YP_009158851.1 hypothetical protein [Pea streak virus] -MSTSPSTLIRRTLWLELTEIADTRVMMRLLPVVCSVVEPRKIGVIMRAKCVRLLVKPK ->YP_009143312.1 capsid protein [Camponotus yamaokai virus] -MMDFLTSQATVKNCIGWIFSPLMTVGSPTGSLETTFCGQDTASRNGSKHQSYTTWDCLSR -QYLCGSVVVDKLERAKREVTPRMTERFQDVPTQEELDALTEMQRDLYHDGLRVFVRGKGL -MTSQQHQKYLSSRLERSTRSPRKKRTQDQELASGSKTDEEHAAEDETPDRVKRDENENKF -FYSDTIPVKCMGVSTMQCARETDPMPWSPTFQLMASRLNDPAATGVLAAVVKGPNVRDAT -AWTSLVKSIMLRQSTRYELAAMIRVLCMALSLADESYKLDPTPIRFIRNEHKVTYDGQVI -REKPGFDAGEYQVIAMPIDVFIALANNSCFENAPHGFEYKDLDIGWTAVPVSSDIANFDT -LIPYLAAFLTSDLWSGTVNQHYSIEYQSDNIRRRFDETWMPCVNSVNIPGQKRAALILID -ETSMTTQNFVKLTTGQQFINVPIWKGNNNVVPVNWGNLWRAYWNTNNISKIRHTALLAHE -KICTRMGVGDACGTAVSLVAELYGHWYHGIAPRYKAKTMAFDYDKVPYGAWTIDGDYLDK -RKMFRSHKFTLDDPKCMEARRRCVAYNFSGVSPMHLSPTGLVKIAYVNDSERLRVTWAEQ -HPEFAATTYNIQTMSSIYRVAAACGLILTHTDSYAFGEVQGATHWIHMLSCAISFTTSSF -LSINDLAPRDWIGIDNRDDTQHRESVMGGLKTALYGGLINHVNIEQYFGHIPEWDLDIMS -EYYGVSAYNNINWMTFSPVPFHATWQWVCKLQLETGAPMPKGITTFKYNSKNYDCIKLTK -ECNEHKMNSVCAIDVYRRCPTVVAREPDANYVPILQWIDNVSRYSSVLLPEMSALVSREM -YESMTFCAPIDNIGLAYSDKTTWYVYGSNYNSGDPIITGTKVSQILWPDPPTIDAMWNTA -KNYILKPAASALVGFITGGPAGAAVSAGSTVVNQAINDLLSPKVREAQKNRPETTVVQDL -EQKTLTKPQSKVEQGKTVELIPTTSENKMKPLTLNTTVKSTPTPAAETMVYKEPIKTLSV -LDESPVNE ->YP_009143304.1 hypothetical protein [Sclerotinia sclerotiorum fusarivirus 1] -MCGKRLRSQLSVGVSASHPKTGFTSITIAMEMRPSNVNVNSVGQSRQLNPSPLVGSPFDN -ANQKVGIPLVKTRH ->YP_009143303.1 hypothetical protein [Sclerotinia sclerotiorum fusarivirus 1] -MGKLYLFLISFCKFYIFFKYLPTGLQLT ->YP_009143302.1 hypothetical protein [Sclerotinia sclerotiorum fusarivirus 1] -MSRYIIQDIKEYAAQGMTPKELRRASVFLVLDSKHPRYKVGNFSAYGWVIECKSQEGNVV -VVPVTQIFAGILSDFKLYKDVCKPGDVWEWKDDYVSKDSFIPTKRKLLEILEAKFTNDGV -WGYYDIITPITPALRESGRFFNWDLSWTKPGPPKIPVIRSQDPKVVDDVYNHMISALSKI -SKEPYINDTILMLADLRTFISGATPRGVLTLSTLVNSFLASWQKSGRTTQFSSILTSVYW -PSYKPGLVEDRLKIWRESPQVDHLMVQRGIKKGAPSKIKNWLTPRRRLTRSQRRTISRNK -DRLNKISKVLDVEEGDWEDTGSVESQSIPIFNPDDIIAGPAPKVDDLTAENLRGVPSDRK -PKLYHLPPRPTVASEPESDSSTIKPNPLIKVNGKKFHCDTPFCVVCNGELMPETYSEWIS -SRKEELTKELKSKAQRFYESVPTRKAVGLRSKGWLDWLVKAVAPSDATHKKSERIFTKWL -RKYEYHVKTDGLPEIDEENRPSTVMAGLGNFIGFAVATPFMAVYKSTVKQKTRFNNTLKW -SAKDKFLKKSYRRMKVSLLLPVFWTLGIANTLLSGVGLSFLSLWYGCKNTYTRFKKGKGK -AVG ->YP_009142793.1 hypothetical protein [Bovine nidovirus TCH5] -MANRRSFSLFCMCIAFIMVLSEKDALCISIACTPKFVNGVLYECCEEYDMCKNPVTAGIS -VSSPNPLEHDSVTSVTPSLGMVSPGAKK ->YP_009142792.1 glycoprotein G2 [Bovine nidovirus TCH5] -MLGMRLIGGLCLFWPKRLRMLSYKEQGHCQLVKILLIIMCSFSLEVLSYQGPLVPVASQR -LEGCDDRPYVIYCAGQFYMKCDEFVNGIDAKNKTLVCLENIPFLVGQGKLLNLIDNVESE -LREKQVTLEHYVEIDSNGASYDGYSFHYALVNMPWRGDDSDYPAYWCELVNDTYKVGVFD -AYIQHGCVMNVTDRGGVDAYRFAVFIPHHYVFAIMDTYRGQIKWVKQKPSLRVGDVCINS -NCTSADHQTASGSYLHWTFDQLKSRYVTIFNKTILPEGSYLEVPEGIFSPMGFSERFVQH -NGTYYFKYNGRFIVKGNCMLPDWFKYYTEYNEIVVGKLKSNYSIAQVYFNKTDCKFYNVN -FKDVVEYDGRLFFNRNGSLYQRVGNLEFPFGRFNDSVASSGEYLVIPRKSEDYVEVILFG -KPKEKESKWLIGVVLACFVCVLLLSWFCQRRTHYA ->YP_009142791.1 N [Bovine nidovirus TCH5] -MIFMCTNKRVGKMSNGRGNFRRSQSVPKQFKPNMQRQRSRSRERRVRFDRNTRFNDNGRQ -YRKEQRFGRNSRYQRRQQQQYQQSRRNGPSTGVGRKLVLRTMVQQNRYHYYTELAAGDVR -NEADRRALFVLAQEIKNAVIQGAGTLSASEDTVDYHVQFQPRSVILSGTFSTGGQSEA ->YP_009142783.1 putative Px [Rottboellia yellow mottle virus] -MDTTLTEALRSAAHRGPLFERRTSRRALFEFSALKEFFCCVSHHEVVRDNPDAYLPRGVP -VDNRDHQRAWVKPGVRDEERRSGLLDAAGVERAVRLARLASGKGGI ->YP_009142782.1 putative RNA silencing suppressor [Rottboellia yellow mottle virus] -MTRLEIKVHPSQTTASAFRAYYGSGPLYKWAVHSCEIDDVEIEGDYDYDSCSYLYVEISC -ESCGKTLEDFSVEVDRFRSLSPSRIEATCEQYNCKCGEEESSEDEEAEFYSEFLSKFDRL -SAGEVSGAFTAQVDSDSEEEIVYQGRGGHDSD ->YP_009141012.1 hypothetical protein [Sclerotinia sclerotiorum botybirnavirus 1] -MSYRSNSISLNSVRSKHMRGVTFVLPVEKTRGGISLKKKMPTRVEDAGIRASEQLGGKET -SATASEASPLGGLFDAVTSLATEVADPQFGTPSTILEGESYSELCLTQSILSRMPDINFK -NPFLVQAPTAKYQTVYMAWGYKLKSLPSSQKGSAYADKYGVKLWAKTKEVRVNYPVIATS -TGYRNRITTEYEQRATGNLVTTQRVDSREFQARFDEMKGFPIEFRLGGTAKSVDGDNHVA -WLTIAILRLLALKQAQETNSRGHIEMTSGIANQFGINLEDMVQARSSSSHSVAPALANAW -FGSAKSGGKPGAVEAVPDRVFEMVLPSNAADASEVVYLAYLSGMLDDSMRWRNGDEELGI -QPMYSAIRSSATERMKIPFVNASRAISMNDLKKFNADIELGRAEAVFNSYVRRHELQSQV -NVARRIALLAMLDTHSNKGSRMLLGLPKPCHVLEYDLWVDPKHYSTSPLNLIATSESAGL -LMALSQMQATMRNDILVMKLIDHLESRGTPVLSSLAYESLRDWIYDAMPSGFSSWSRGWL -NTVTGGSPPEIRRLANDSVHGFWTGLNATILSGTVHVSAMLYYGEKPRTGALKYIWEETK -RVSLARQKFVTGPQSKVLKFLYSGKANIFDTNPTDWMHYLADFSKRRDARKIDSSLGVRS -TNTVTGEPRLIYLGLRPAIVQYEERMSEAQDASGLASHYEPAFDFNNAISFSSAVMNLGT -KPKDGRHSSDPSTHDGNAPVPMPRKRSNSVSAQLGVDQISPAGRFFSEVFKVKQPKKKAD -SQEQPDKGDSKKLFDQGGVGSVRGTLIQPAKDARFNGLAETLRQLKAESSSRLDDIPEQL -KNPEYAKGSEILEIGVEEAFDNIEKHFKAQDIEYTYAPLGAKQYRELTLHAKLQAVKGDG -RCGARALQTASVVNNIKPYLELNALFKTEARIMGLQTSEVAPATHMADDYSLASLASEYN -LAVCIVHYSGDIKRNQKGLRFYRPRGGQRSQVLFVHLQDSHYEAYKFESSWKALLEAENA -SEVSAWLTDRFETAALNRAVLEAAESDSDSGMSKKKYAKSLAANLSRQGRSESDSESEDD -KSVTYDESFPALPTAAEKIKGGASKRKRSNRRMTRRLSEQQRLQEEEIFSSAEEYNRQIP -PTVPESPRSVKSTQSFSSMVGINTGKSIHESLPQRMSANKLLPSQQDEGERQATLSAMKE -SFEQLALDGSLSDPDIEVERRITRECKRFVRDEQWSGTVSKYRMTEFVTQLPYSLRDASS -ISRPRLIQYIKSFPSVGDKIFEAVATLAMAAGVTYEYMTQPKSVGDDELMYYLIKAQLPA -VAIEYNAVYAGIPFTKKIVGYTTYDTGKHSGPDAHHTLVFVSQQGHLCAPYLTRESADSL -ILEMKDISNYSKVVHSGQGFDGVSSLSPTRTRELWIQAVNVEIAKMGATSRKLSGIACDP -VYWAKALESITCVGSDPDDIAENNIELLSCFADYLGCPDDVSFFRTEAVDWLIRVLGWVP -DDQAWFGMETVMLLALHRDFRVWVIEETGDTQVHNMTEHKFARTQNTLPIIMSEQAGRLR -MHQLRLPARAGSSLENLALACKQHRRDGKYPEPSEPTPTLPTPQRPSDLKLKHSMQGHIL -GLVIAGTTLVVTAGVAVVSYRKRKSISGLVKNVRDRWKGVSAYTASIRTLQEIADTEPLL -GNHDTAFTSDAQPGPLSPNFEDFQQALGLKDHLSDVGSVRSLRTVPLGGNTAFATGRWPG -IVLFKRWWGTAGETVQN ->YP_009140486.1 ORF5a protein [Pebjah virus] -MLAEIGQFLDSYLLTICYVYICVALTYLALELHRHTMRVERRRRKELRAVFVDEQGQGND -T ->YP_009140484.1 GP3 protein [Pebjah virus] -MAPCGPSGILAVASLCLLRVYASPSTTNESSLCFSLPAPEMHLVLYETVQGCAVNRSNDH -FESSGLGCHKYGSARTVEIHVSGSSSINYTMPFDPETFAVLLSGAKMYPSLFNSSSVVLN -ITNTTACFHYNASSPCTFSAGFASWVEFQELHPLPLLLLLLVLQVSLLLPRVKKPHAA ->YP_009140483.1 GP2b protein [Pebjah virus] -MLFMSSSSLSLTCSFTLLLLSLLSSLVNSSPWLSSLSSDVAADLHLSPSVLSGMLLKQCQ -QSIIPYPNHMLGFSGGDVISALRHRVMQRALPHSTFMIDQHMGKWHYDAMCKSYGSIVNN -TMLAPMARAESARLQALMHCPTIAAAGFHIASNKTALIHPWLLAAQAESLRSRHFAYFVS -MLLLALLMNHLCVFLYQRLKCT ->YP_009140480.1 ORF3' [Pebjah virus] -MEHQVPLCLSVCLILCNCFHLLWASDNSSNSSSPSVCFRFPRLKSVNFSIILRAHVCNLN -DSGILYYETQTHSVGQSNSEGGAKCADFSSDGRREAARHGFGNVWVVPRTVNTTFNFSDQ -VGHQGHTHIAALLVYLLSYYPRVFNFTGNVTRGYYLVEDDTYTSICVNGTVTPQERMGLL -ALGVEQEIEWATYFPELLRPFIFSVLLLAAAEL ->YP_009140479.1 ORF2b' protein [Pebjah virus] -MMATNFISHWKRCPNSAIMASSRGPTTQCRWSICSYFKRPTCLGSTVSTTRT ->YP_009140471.1 P1 [Cymbidium chlorotic mosaic virus] -MTIDIIVKNNTTPIGSKKIAVDEIFSLEVFLQGKFSWGSVATVELTFECRACGYATVRDV -EFSGFLLRDRSGEYQEYIERLVRFDSSECQECACRHKLCDYRTCEDPECPDHSDKFFCEV -GWCWCTNPPQT ->YP_009140441.1 p19 protein [Areca palm velarivirus 1] -MEKILISIFECALMSDAVTIYRTNRSFLYNNYSQLSPVLLSKANLIRYFYGQDAVAAIQA -GLLAVRRHSRHLPSDLRISLFSIILPDFNQKILEFLKVMDQFLDTYHKLILIQLEIVIAE -TKAQRNYDSGSNRIYGRIFGYSLNKGGSILKIWISFIAKSALAEQKSLI ->YP_009140440.1 p18 protein [Areca palm velarivirus 1] -MRELTFKIINNNIYLPTNVDNPNKSLFIPMNNPQDHPLWKSLTSNELWIYEESKKIFQNL -LQLQSGVSKPEIIRTPTNDQDKSLHITKKCISQILEVLNRITIRLTRLEEAIFHHAVENL -RVPMTLKYAYHPAKDNDGIDKIVDLEINY ->YP_009140439.1 p26 protein [Areca palm velarivirus 1] -MEDLDTKVEIQLFVRLNEYLKPYITKNNLLNLTTEQLKVFSKKLYAICDLISQLDREEFC -FENIAVVELIVNDLKYTEEEFEECTAITKKKVYKRDFVRRLESLQKLVDILILWRANELI -DMELMTDFWNNSEHPVRYELFKIKFIYSLLEKHPILKTLDLKIELDNKHFKKFYRACCGY -NFLDRTTLKSHYSNLNLSTKLSGIVDLDILGKIKL ->YP_009140438.1 minor coat protein [Areca palm velarivirus 1] -MSQSYASEYNLGSYHNRTIEISIPALPTHKFVLYSSIYFQLGNDQAFIRLELRDFGTQSA -VKLSQRYYGSIHSSTQSQFLRKYHVKNVQLTYPLSITITYMSNYSIKINDFVDILTENTC -GNYNCVLRIIHHLSDYVIENTQSVQDSDSITIQKVKPNVMIDGRSIMIVERTPAPPHKPI -NNLKLRDSISSNFVANFKTKHPLRNYIEQPLSSIIIDKSHTFRLYQTVQLFQDFGAILID -KNFNLTNIPCVIQFWLSCQDDKTHEFVVRNSQNKLSLEQWVRDGSEIHKSTPEVLQIQDV -NTLILPIFLSYYTIEDTIIFSLNLCRASVISGTVKKYTAGVEVFFDKQYVGGLSTNSLYY -TPIDWLYYSESGKDSMIDRNPRQDYYYRITRRKDVDKPTLPMLIENKQEVTKLGKPQTNP -PKSINIGADPDVSLMDHLSPVKTPSNDTDIQQPISKSSSETSVVMTIPIKSHRNVINILP -PECVRYFANAEVCLKYLKQFIIDRGTPRSLLNDYSHAPTLNNIECNCSIRQLVYPFFDSS -NRNYLRSFGRTNFYNIAQEIQARELEPNLKNVAKYQIPLQFSYLAVDFVSITKGDVWTEE -ELIVLGKILIFAKQQDHLSWKILTRK ->YP_009140437.1 coat protein [Areca palm velarivirus 1] -MDRLNLLRNDLAAIRTALAKAENVRTTTERVALETFQDNLDTYLEAAGTNIDRSFLDSIK -DLRFPRPAINTRIASANRPAAADVDSQNPALEDDIVNLNALSGSVDFVCQAPDELSPEQK -QLVTQHFKIFFAKKVFGLQSENELSTKMWANAFASLCISISEQWTSKTNASNPDTHNSFK -VDNRSYDWTQKALWDYINSVNALKAIPNVLRRYGRTCHETIKRTLNEINYVPSGRLAAKN -GSVSNYRKNITDFTPSYKEFSTAEEQSSALATKDYAITKSGVGKTIVHTAQIVGNTRR ->YP_009140435.1 p21 protein [Areca palm velarivirus 1] -MLTTMEELVDWMKSFEISYEVFDMGEGSDFIIVLSAFDDGREKIVYMSNNKYFSFKKIIY -VRLPYLYSIFHRYLQVAPFYHREWVNNPESITVRWPEKQAVLELLDELQIHYERSGPSNG -KNILVLVRRTVDGFETINYLSNNSNFDFDLFIRVDISEQHSLIDIFLPSLPFHKCEWL ->YP_009140433.1 p4 protein [Areca palm velarivirus 1] -MAFIYLVVVICLYICLRLFISLITPPRDFLPSFKRY ->YP_009140129.1 protease [Desmodus rotundus endogenous retrovirus] -MWRATAGSAGLDLCAATDTVLTSDNSPLIVPTGVFGPLPPNTFGLIFGRVSSTLQGIQVL -PGILDNDFEGQIQIILSTTLDLITIPKETRLAQIIILPLQHLNSNFQKPFRGSSPPGSSD -TYWVQQVTEGRPLLELRINGKAFSGIIDTGADATVISESQWPRNWPLTIAATHLRGIGQS -TNPQQSSKTLKWEDNEGNQGFVTPYVLPNLPVNLWGRDILAQMKVVLYSPSNVAITQMLN -QGFLPGQGLGKNHQGITQPISINPKFNKTGLGYTQNLP ->YP_009140128.1 gag [Desmodus rotundus endogenous retrovirus] -MGQACSQHDLFVAQLKESLKVRKIRVRKKDLNSFFTFVFKICPWFPQEGSIDSRLWHRVG -DCLNDYYKVFGPETVPITAFNYYNLIADILKNHNNSPDIQRLCKEGQEILRSHSPAPSKA -PSVHGLPDAHADSLSRPPSRAPSNCPSVSIQIDTNPPAPSLHPPLQEFYSSAPPPVSQDS -GDQLDPSEQAELEDEAARYNNPGWPPLVAAVLPPFKPPPYSTSHAPAAAILPSAHGASAI -SPAACKAPITLPLAATAAFTPLPKPFLQETLTFIRDIKTIAKEFSAFTISPPPHETLAFP -VTRSQTRPDRTENVNSSAAAAATPLPDSDNPEDSDSSPSDIDEAEPSTRDTAAPQTYFHT -YKKLSLKTLEKVKSAVTHYGPTAPFSLALIENLSERWLTPNDWFFLAKAALSGGDFILWK -SEYEDTAKQFVQRNMRKSSSKNWTILKFLGSAPYQSNEKQAQFPPGLLTQIQSAGLKAWR -RLPQKGTATTSLAKIRQGPDEPYSDFISRLQELAERLFGAGESENAFVKHLAYENANPAC -QNAIRPYRQGELCDYVRLCSGIGSAHAFGLAIGAALQNFMPPQPARPPNRLCYNCNQPGH -FSRACPQKSQNQTQIRIQNPTGPSTNSPGAPATKCPRCKKGFHWSSECRSKTDIYGQPIP -PKQGNSNRAQPQGPIPGVNSGATQFTPQSLHPRIPALPVINHAATSQTCGGPQQAAQDWT -SVPPPTQY ->YP_009130679.1 VP7 [Rotavirus I] -MWYLLLLPVSLCSVHFTTNKRVDICFFIQSQPGSVNQGIDNAFNKTLNALSSLSYTTYQV -CGTNYQNQAKDIVEDIAACSCLSDPEVDQVIVYAELASEVLSTLIGSQNECMKLPFRKIF -YHKINASEEIFIISTQCKLCYLGFSGLGAGCDSANTANWLTIGEGNSQSDYQVYNVPELG -MGVAIYPADDATTQKDVYFCKRINDSPVNPVIFFTSSAQPPQSDQVMRSVNWDRVWKNVK -NAAQVVYQVLDLFFKPREGEPRPEEVARSI ->YP_009130672.1 NSP4 [Rotavirus I] -MIDGHPAIEQPKQIEDNYQEWFHNNLNNVLNGSYQTIVDYTNTHNIEEILVKLILLFTTL -GATRRAARSIKSFTIQHIPKLIYLIRLVSDKLRNTLRRETDIKIAENIDPLKDRIECLEK -ELTTRILKTLEKNGYTTFTPYIQSTDRMISDLRQEQRNLENKLNNFLDFTKFRMDAFIGG -RLHDINIQQINQQAENVHKTTSVIAANVRSENIRNKFRN ->YP_009130668.1 NSP1-1 [Rotavirus I] -MGNTYNVHNNQQVSSNTVHGSGQIHSEDQKTSSQITTIVQFSNLSLLFLIALFLFISLLF -KCDKNRKKQKWNTREIIEL ->YP_009130667.1 hypothetical protein [Taro bacilliform CH virus] -MQQKTTTGETTYKIFRNRWRHSTNSQLALWNAPYTQLTREAMVGPLLKTMFGVGTKPYRA -ILAHHSGPLLSVERIRFLKSYHFCCFLYTQTRDAITQRTMGPHAHPL ->YP_009130666.1 hypothetical protein [Taro bacilliform CH virus] -MENAADNYCKILKEMAQLPKPMTLLHEMSREALLERRKVQSQLTLALQEMEQCLLAQYSY -FSQHATKDNYWGDHLQDLQEQMEAFHKLTISIMECTLHTAHP ->YP_009130665.1 hypothetical protein [Taro bacilliform CH virus] -MVRFLFALEITPQQELLPLGGLRITSGMKKFKVMKSKSSQSSNWTVMKPNLLSGILLENP -LGNLATMSGMTHMMIISISLLNTLLLQDGTMTTTTAQRHPRMKQVTYSTSQTLGVHLYL ->YP_009130645.1 putative nucleocapsid protein [Chinook salmon bafinivirus] -MAFYGPPMMPMSMPASFPPRRRAPKKRPQQKKQPKPQPKPLNDQQKTAVAKEAIAKATTV -PMPPMAPVVKTSLRTQVIKGKRGELQDARGRLTQSEINQLYFQIATALNSGLGEIGIHNA -ATQEGVRLIVRLNLAPPNSIAEKLYKSHAAAHLNSEALESKFKERESDPLFHFSTTPE ->YP_009130643.1 putative spike protein [Chinook salmon bafinivirus] -MANLLWLTLLITLGLVSAQINTTLPDCPIPTCTYTPPTTTPFDHTSLHSFFTHDTNYAFL -LSLKYNGTFIPATMAMPANNLSQTYTLNYKNVFVNVPDSQLPPPQRSNTQLAVVATTASC -LTATYTAIPGTIPALAATDMYCVKFTQSSNFVYLYDTTVNTPVGVLLKNPTAPVVTTILS -TIMVNRPTTVSTSQLLSNIYSLMRTRSNVIQQQFTCTSCLFAIDASTGRAATSPTTHLLN -NWSPSFFETKTAMLDHVSMNTIYQTARGVTRETFTSYILNAAKTAAYARGSPAYYIFSFA -AIARYHQAMVTPYNYNIGKLCEATAACCLSNGIEHFDIYTWLFHYYGFMKSKVYSETFTT -NPVLTSVINLATSIKGKHSSYCDLTPYSSFTAYNLIMLQDVVEQYQGTRTTSTYANNFLN -LTDINGYLPYSTHTPLPQNYQNYMPFATYLLATFYSSFTLQQKFDFHRFLQSVCYYDSGF -ISRNQAYHSICHIITLKTSSSVPYPAELQWPFTALPSSGYDSYPVSTGLGTVAVGNTNPL -DYPGICYQSVCLTHPTINFRLLLSELPIKWEVRPEGIYVTSRNDPTCLNFKTSQLGTVFI -TLYDSNAXCTLQQTTYSRSAVLRQVNTFTPNATDLVVPYGKTPIYNGAYNINSTASGAVS -XSTNTHYIPRLLTSVLTPQSDYKITPTTTNLEPFVEINGTTFYYDANQAYVNTSDVYQAN -VDFGLPQTFSLDTSFLNCTAFLCASDYKCIADFSPICSATQPLIDALLQTYTLYNQQLTL -YNSSLLTIQAAANYLYDTRTRTRRSLLSTVNLGYGDVFQQPAWVYEIGATAAIPMIGPAV -SVGLLASRVASIESTLYQITQGVKESIMAMNTKLDKIANILHSDIERLGLTVNTLASSFN -TFTKQVTDKFASVDVAFNDLSTSLNTLTTTTTVGASYAAQINFATTTLTNLEVQISQTTD -HALSCIAALNEHVLSPHCISVSQLSAYTPNALSNIGEKLVLTHYINGSLITFYHLIASKG -VYRPLPKMYNSTHHLVATTGFYNGHCIFCGEHYCDISVSVPCDYAYEPLKSTVLAAYPLT -TGVALLTLDSTATNFIINYNNAPLISSSVPQPPIINNTDGTVITLQQQIIQLQTQFDHFN -ISSNFHVSEIQPIIDYYNAQINSSLNQIVDFGNGSTSNVGTIILIVLVVALALAAAIAII -CGLKH ->YP_009130640.1 nucleocapsid protein [Wobbly possum disease virus] -MAARRRSRQNGRSSSRPMARNAPPTGRTGRQRRNYITPEQRSAVTLARQYGSASSASPVA -GITGLLKPHYSPDATLVTGDAREIGPAACRLIARAALALAQGHGEIIANDEAFVFALTLP -RKGRNA ->YP_009130639.1 membrane protein [Wobbly possum disease virus] -MSDSYNICHIYANGTSADFWLGYAFDTIIVAQPMLLVLAGLITWKMFRLIVTPVLTLLTL -YLLGFEVYITIKSGLQRSQGVIALCLAIFTLTGVLLLYVRNFCLTFRHVGLRAACTGITY -LLHKDGSTSKVASEWPILVETHGTQRTANGTHWPPTSKLYHAGTEIRGDTGQAIRISKLR ->YP_009130638.1 glycoprotein 5a [Wobbly possum disease virus] -MQTSPWSLDTKLMLGRKMVLMLKLLRSSSHRLHPCHTSHWDLTPSRGCRCCIIITRLTIA -SACAYTSPRIFATRRSLR ->YP_009130637.1 glycoprotein 5 [Wobbly possum disease virus] -MKALIDLTYANLTMELGHQINVREENGTYAQAAALLFASIASMPHFTLGSHPVKRVSVLY -HHNKTDHCFGVRLHIATHFCNQTKLEVNCINDYLHTCQIPLCAHGNLLCVPTHVRCNPWF -ARTSFFDMYLRSLALSDKQRYHKYLDYHAHLSFAAPITCLILTTYVIFTLMARVRISG ->YP_009130636.1 glycoprotein 4 [Wobbly possum disease virus] -MRLLYAYGVFVCLGFIWHFSCVHGTPITFKWCSNVVPELNCSVYGPFDRHLLHTETYYAP -VYWVTGYPVSSLTHFSLVERPQS ->YP_009130635.1 glycoprotein 3 [Wobbly possum disease virus] -MERIAVRWGCALAFLYGLYVNGVLADNNTCLSATTISVDTLCNVTLNYPAFVMTAPHEDL -VYQYMAHQYVNVSKLNYTSGNPCLVDAYHTARTLALQNDTVHVSFSGHSFIIFKHNCTFL -SNTSVCIRGVEHQVGYSGNITLYKSTGIVCKHNHTRYTDFFISIANSTYVPGIKGYLVIC -GFYMPMVSLFALGLSGTLAVYTELRLLLNGVLTSSRS ->YP_009130634.1 envelope protein [Wobbly possum disease virus] -MRYMTPTHLPPVTMSSLTVTSCMKCWGMRRPSWSHSGIIAHTLDT ->YP_009130633.1 glycoprotein 2 [Wobbly possum disease virus] -MLNWRRFATALCTLYAFLLVRTAADTPDPSYQYVHAHISSCAKIFQQLDIDFNQSLPVML -ADLIEQPSHWLSTHPGVVRAFDEIHDTYTLATSYYELPHSYILHEMLGNAEAVLEPLRYY -RTHFRYLDRMALESLAHLSYIKVQQDNDTLANATFTIHPNIQWNELQFAGVVPSPFYMVY -MSMACWLITIHAFLRLRFQWILCATSR ->YP_009129264.1 gp6 [Sclerotinia sclerotiorum negative-stranded RNA virus 3] -MPSYKEKYTHICNRLTTMERRVLLDETILLELRQTVLDLQVKLNAPPKEIDEFMLNQLHL -ALTELIRYASPQFQLPAHFKGKEITEILTTTTEMIFNVVSLLRDATVRAQTILSDISPQQ -SNEDSISKRNTLERRYSLSRTASLTDVERQGNRRSFAMIPKEL ->YP_009129260.1 gp4 [Sclerotinia sclerotiorum negative-stranded RNA virus 3] -MTRMDILDSQPQFDLYAFVCALVLLENLTKRVWEANLIESSSEFSLVTEDEEFDEIEIIS -G ->YP_009129261.1 gp3 [Sclerotinia sclerotiorum negative-stranded RNA virus 3] -MSTLLDNCQDISILCKFSSSIELQVTVSGELDRLQEQINATTSLHRTLDKKIGFFQNALI -LNMQDKTELSWEILAKLLVETKLRVCMCPAKGHYLLSIKSSPFSVTLALDKSKEDIPGLY -VFLNNKYGLHMSDSGSLGSGSTNLTEPDVIIFRIRKSPPSEIIPNRDFFSRAISQGMIDA -ISIDRPSFQWEKFRVEDRLNTNHRYLSESIICDQAEFIRRDICTAPTDGREYRINHTRYD -ITCTAIASANTAYPNTLNYYINNLYVCHG ->YP_009129262.1 gp2 [Sclerotinia sclerotiorum negative-stranded RNA virus 3] -MSDSRRSIPDVFKDKDTYGVNIEANRDRLPVIDLTRNCEYSDIFVSVTDLPVRMCLAGLQ -HAEDSDDIAKLIIGALISASGVNHSTARLANTFKDTATDIKNWVISRFSHIPAEDASEAE -LPPLPDACVPKWYDELEVPDEAILFAYGVDSMEIAAFAGILAIAVAKQPTPENLDAFNLK -RRNAISQFMPSGELKVFTDNSPYLSHAVLSKVNKTFNSIIRDRALVMSSIVDKDDPMVSG -TQRMFYVMFRLTFGASLSPLLLITKYARKYPQFFKEFRDLETEYFAAAHALQRFLDTSTK -RRMYLKVIFGSAYIPIDRNDINELLGVAVFALSQQESTLGQYAGGTLSVQHREKLIALLN -IREVAEEAVPEAPAQ ->YP_009129263.1 gp1 [Sclerotinia sclerotiorum negative-stranded RNA virus 3] -MLAGSIIITFVIVLTLWYLPPEISYTFHHRLIENEIKMSNSVELINEESNFEETEIVRGL -GNIIENDQELRTSIAALTGTLSGTPQEPVPNVETRALISPTGEILDEPDIDFHGPGTFIP -KTSSTAHRHEVSLPQPGLKNIFPSSTALLTESANAINACRERINALEMLVNSQGKQIVEL -REKNSALQVEIQACHSSVSLHKQTTADAIGAASADLRARIVDALSIYKETPGLVERLTAA -TNNILSMYPEELKSGLKKVELTKNDQVLMKKITDNSTQPKMKLPKHLRK ->YP_009121782.1 ORF5a protein [DeBrazza's monkey arterivirus] -MLREIGAFLDRYAYYFCTVYILVALCLLCLHLQDRQRDRRRRLQQQLNLMVLIQEPHNL ->YP_009121779.1 GP3 protein [DeBrazza's monkey arterivirus] -MGDQLLRCVTPSCGIFLLLLCVLSCASNQTYICLPSFNSSLLLTINASTHSCLFTGNVLV -GSQYGLPICPQANERYFDANASGQLELPTGLDLVFLRYVWQHLDQFPSLFNGSKPEQQAS -CWVINSTQPTSTPSLPQEYLEFWEFQPLPLLLLILVLQLVMLLPPL ->YP_009121777.1 GP2b protein [DeBrazza's monkey arterivirus] -MGYHHLRHLGHLESVLWVVCGLTSRPPSNMRSMSSLSRSSTCSSTWLLLFWLYFLARCSP -SQSSPFSNVLQFQGLSPQALSKMLSRRCHQSIIPYPKHPLGITGHLVADAVGHLALSKAL -NQAHLTYHMKDIAAGYGYNISCNHDTYVLHTTGLAEYLEHRSRTLERLRHCEDLAIITAY -LATNKTHPSLTNPWVTSYYAASRPAVVFSYYCSVFCLVLVIKHIFASLLSIRRCC ->YP_009072447.2 putative coat protein [Leptopilina boulardi Toti-like virus] -MRKSRYDCYNDPRIPQGLTWSDDPKERALEYSMALGAAMGGPNWFESDDDDPISWEELAA -EECVPPRLFKKWMKSRQKAGLECGGSGTTRRRFREGRTSRGEPVATSCSVDGSDDYAADN -PVASTSRHPTAAADRRTDGPDSGAVSTPTEEENFPRFSELEMPDEPACNLLAALPCRGGG -RPDDLAAPVPMESEEEYQPTSVLNTSKGDDGTEPYLTGDVGACVNVMWGLGVNQDGPDQR -SAAERGFSWATMCAVSADGSGFVNTREVGVFERPVEAMFRSQDTDPSQRTRYVVKQGTSR -AANPEVKKAGGKRVRKVAIGTRARAIAEKIHSNGPRVLGALEGGGKNPQWAAAVARAIVK -IGMGSGTMRKWEDTFAGTLLTGKDEGRHMAERLKELVLLWAADRQGLLEEDDGSEGEGGA -GPPSARGREGDAYKSDELASIAFGRCLSYGRMAAAILSRRVCPFCLFCSVASVDVDELEV -AEHRPSSRDKSDKVMYDRLARGPTPHDTGGWLEAAARAHNKEQHALNGNIDAVTGNSPVR -DGKVASCKVGYWDSATEMVRETDPWGDRLDRSMAAFPRLYYMDGESRIGWQGFCNYGTLH -QSLYDEAEEQMAVEQEEARVCARRERSERRAARRAQRAARRDRAEGLSTLGVTPSTDTGG -PLTPGDSSTPMSLGVTPGVGGGSGRFHCGGAVGRSTKGRGSILTARTMSLRSATRLREAL -GHGKSTPERCKTVMPGVNLDPVVSVPRVELTEAGGAIRKGTAYPDPHVDAAPPPDTLLDS -MVCGGGVGEFSTPQGIPPPPVTVVDDQPSDAGSGEGESDSSDDDDSDAGGSPGRGPVGDG -FDDTLEACSPGRPRMSKHDVNIHGFAGRTRWLGVGVSSAYHHGRLESTGIAEKLSDAGAG -GGDVADVSRELNPGRAYWIWGQLCCTPQLAAGVLSAIKSERVDWRVLGYKITLYDMLREE -VLTMPTGVDWAWAQKAAPTTTYTLLSDMGQWHYDAIVVECSVIELALRGQGEILVGEGVT -QQAWSLRDERTAVVGWADNEAADDLGRYAWLVSHLTYPLAWVMDRVNVYTLGRVPRSETF -IRTAGLVDVHSRVDRIIIVVPSKTKTHIRVGNATLAVAQTDRHGERIVPRVIHPVDLTQA -VGEVVAYILNSKRGLRAAFTAYANPYFKGGFNWIEVESIINVLTVRFHQRVEAEYDIAAK -KKLAYGAPADVLKMMGLDAAPSVTTDSFADYGGANAVSPVDHRCVRRIMARTYPAVSIGS -WSNMAEVALCTGWACYNTFNAEKVELIAMRMFSSNTDRITRTRYLRVGYEEWKLAAALTD -QVGFPLMDEPTRRQWPEMVDGGGKRSGGTNVEWFALGFITDKVKWAWSANVQVPKAGLTL -TGLRTPSSAHRDILNTEWADFKMVGAGRTAACQYDKDDITNSSTVGRWRQFGHGEDDPQL -WYALMRLNLEKVRWDLGYMTHPGNAAQANAKYAWLVDSLRMNSVALDAGWLCPGVAPQES -IQWGWGVRVLEKVLVSDTLRSLTINCLSATLLTGSDFTVGTCLFRGSYDEPVVGVFRQYA -GAARGLNPDLFDGGGKGKGGPAAPAEGHLKRILDLERQIEELRMASTAMEKALRNAQDPA -EPPAAASDVAGGGKRLGVTNEGILAAAGNAGPVSRMISSNVVHAPPVLEEQQGGEKIRNT -DESRKG ->YP_009121763.1 3B [African bat icavirus PREDICT-06105] -SPYEGIARIQKAPMKILQLQ ->YP_009121762.1 3A [African bat icavirus PREDICT-06105] -TNETAQLRDELAQLNESVQSFQEEKRQALKMFTIVAAFFATAYSVYKVAKYFKKSQREEE -MHTELVHSLKLVNHPTASVESHFLDTPPTQ ->YP_009121760.1 2B [African bat icavirus PREDICT-06105] -PFMFQGPKKPKEGISLAEAVIKDFLANTPDLGKEEIGKLSDVMKKAARSEKKLKPTDNED -SMSAFQAFLESDDPIDTALKGWDALRELQTLWKALKAALGSGSFWYDIACKFIKVFVSAA -IYAHSPDLTTFSLLSILSLVDVCSVSSIKDAIIRYLIPITETQ ->YP_009121759.1 2A [African bat icavirus PREDICT-06105] -NPNGHKLDLADTLSLLRPHDVTQDGDVESNPG ->YP_009121754.1 L [African bat icavirus PREDICT-06105] -MIIQITTHYSIGLYNTITMASQDIFYDAVTEQPKPKAPTLELAAETVTDVTCDACCFSMH -RVARRYPNPGERPEVPSLLKRVKRMVWQ ->YP_009116632.1 hypothetical protein [Rubus yellow net virus] -MCCALGVFCCYQHDPIVHPCTYRTEVDVACSAGDSSTVRCFVTTDAANPVADRHFLGVTP -GVCAVLLDL ->YP_009116633.1 hypothetical protein [Rubus yellow net virus] -MASNRAQGPRGRTNQERRRDAREEGSAGGNLLFSRSCPPPSQEIRDYSPIRATPCTMAEM -AESRRLALLRREEIFNSLAQHISDTVFITGVDLAAAKARATRDNWYADITPTLERRATAA -WKLMAAYEEFATCKDVNV ->YP_009112893.1 9 kDa protein [Persimmon virus B] -MNSDDEVRTSVCQSLIWINTVYFVVWVVLTIYLHNDGIKVYAAKLSIASIPFLFFNMISL -CLVSWLYKRDEGRVNNVV ->YP_009112892.1 16 kDa protein [Persimmon virus B] -MDVLIIHTNNSISILESCIPYCTGMFLYLELENGRYHKVLPDGCISATSCRNLASVLKNA -GAESFLAITYKYSLDVYDYNNIAEILDGKKLKIKTYFIDDVVISTIEELKVGEVDESREI -IFNANTINLNGVRFVIPGVYK ->YP_009112891.1 19 kDa protein [Persimmon virus B] -MDSKVIVTLNLNGSLSAIHNSDTNVNEQSIIESLFIKNWFRITDDLAVEETVRLLIESES -GKYKYLSNKGCVSELALDLNVLLNDFGISTGQKTVRTLVFGSDQSNLLKSMLISSHYYLS -VYFLDYAVFSVVKPWECVTNDTYHFVVNNKIDLEDDCAIIPGMRWMC ->YP_009112890.1 18 kDa protein [Persimmon virus B] -MNRLEADIDSWVRFAYGEQNYLYCTTNEVGEGYIKKLTVLIQFVEDFAQMLSRSCYLNDH -IKSRRYYHDLFELRTILVQLKILVAFDCDWDKATRIIHNVCMDNRLSNDEFTKQAIVTVC -MRTDISQKELEHSPMVIGCITHSTLVEYDNLLSVDGQ ->YP_009112889.1 8 kDa protein [Persimmon virus B] -MFITLFLLTLVLILSVYAAVIASYTCKRFLELNKQFNALRISGAAESAAEVVRNSPLYRG -SNSAIEEPL ->YP_009112888.1 putative coat protein [Persimmon virus B] -MSNDGKTPPPANQPPAPARGRKDKDKKQRDHSVVRATKAEDGTEVITYDIAGTKFNADSL -LEVTRDAFSVASEDADTVKSMDDRQREIVDAHLRKAVAEKYPQLNLSDDSNWASAFIQIL -ARFAKRQSVIPKVFFPHDTFTIRVGAGENRKEQRVEDEVLFKAILDATNVYKYNNGVRRY -LRCYSKLWIELVTKTPRDDGPGVNESLAAKFGIPAEYDLLTPDWVEPDGTFTDDQREAWR -IKVNTANLSKNKGAERSKIVSTLQISGTY ->YP_009112886.1 59 kDa protein [Persimmon virus B] -MRRAGSMTMPVQTRYWQPGHLFRIFFGRQDIEKYVGEAISTYYNRDYTTQVQYVFGDSFR -IITLSPYVTSTNSISTAYNEYNLLYFYVIRGGYSSKVVGYQPYILLRGILERDISTVIMT -PLINLSKDRLWANMKFKSSDPRFLTLKAEDRQFYVNLSLVTGEFTTSVAKTREAKDVFPD -IPVSESVSSGLPLKYILPKLFFHGIRVLNGNVATKLYVQYNHVLAVLSNILSSYNHLFML -NSSFTHYAITFFGWMAMFFDNYSQLNYESGEKWEEVMRFCTHPLTSFFIKFDDSDLQRIR -WITPDRFEKVLQYVNYVSYGGLALSDYQELIWTPKVYDKESFCQLSAIFVSLVSTNKRVE -PILILGAIIAYYSIHGTCRYRSEKRPRYFKFYSHGEEIVCDFKFLEIKFDMMQNFVKAYS -VRRVYLGSAGDLCVDFLRSIGLELPLRWKRVNWLPYKDVRYVDYHKHVTNKNMLKKGIRA -SIGAYGNVVSTNAFEARKWRDLW ->YP_009112884.1 putative transmembrane protein [Persimmon virus B] -MEFSVVDIVLIVVLYVVFVYSYDYYKSQRGKLTKIYNVLKEVKQENYNEYLDAPDSDIPT -RRERRRRSSVYIR ->YP_009111404.1 3B [torchivirus A1] -GPYDGKIAKPRQFKEILAQ ->YP_009111403.1 3A [torchivirus A1] -GVLDDMKLDDAAQEEILKILMQNNNIISREASKQIFTRADHIIEIENKNTQPIRETIAWI -LAASGTFFTLILLYRTFFCSVKQGQ ->YP_009111401.1 2B [torchivirus A1] -PASYNLFFSNTRFLVTKRMRKSRKEIRQQGFIDWLTDGAVTSVKDAAQNLTNVIQSTNKI -MNRAFSCRTIIKIITDFLTSGLILYTCDFNPTIAAVLAIKHGLDMLVEGSVFVLITEQLK -KLFKTDPPTVDGGEIEQQ ->YP_009111400.1 2A [torchivirus A1] -GPIDYPTGQQCSCLNFFLLQENLEEAMEEISVLCSCNPSTKNIINKESLEAMYQVLSHMS -CYVHYTWEDVGFDQLPSTSYSGCYCDRDDFNNVLETIGHNIWDLKSKGVDTQILEVYRMY -ISDVECENHWIRDLAADGDVEQNPG ->YP_009111396.1 VP4 [torchivirus A1] -GQGQSNARPNQQSNYGTITNNYYENTYIASADMSTQANGNEGDIPDVPGIWSTLNGVVDT -ASAMAPLLLDQ ->YP_009111395.1 leader peptide [torchivirus A1] -METTMEISFDASCPSSVCIFCLCQPCKCHLIHNEIYTICYFTDEEKKEYQIQ ->YP_009111334.1 p62 [Dendrolimus punctatus cypovirus 22] -MEYPQSGFFAAETPNDNRTITLLQVRGQQPRNIPITDILDHIEGPNTDELFEIQDVTEYE -PDHTTHATNTQVFNINVLLRSVFLSEMTNVSQIPHRFGIFNVMSAEDATCVVQKDGTYYA -SGKTRDADSIPHVYIRNFALCMLKVAPYLRGDRINVFAQLTHFMQTVRRNRSKFWLRFNL -SDLNPLHLSNQLSKFNLFLLLLDLANYEHFQVNQSAMLLGSINACLVCLFAAGISDAVER -TTIDEVKYLQFKIPSPSENYVRKDLLQPFFHSQRAIFVGFLINAFIQPYMIVAFPLEVRN -QLFPSNRVPVVREDEPVSDHDSGEASNFYDLYVSLNYGAFPSDGGIYKYLSNSDDVIYLD -VFDTMPFQQRYQKRNIRGLFDDTLNTNLNVIRVVHHEDYDSVLLLDILQPFNESLSRCLL -TRYIIDRRMIAVIASSTPCGLSDGQLIFNAHQLEVFELITERYMNDVIRHIPDGYGLAED -RARVLHEILALQASYINEIKMTYKDFSEAYKHKTRSNVSLEKRWNEAGIREFVTCGLML ->YP_009111333.1 p64 [Dendrolimus punctatus cypovirus 22] -MFLCKYSDAAASRNTHVIIISKPTFDEHILPILIQPDLPESITARYNAALLPKTCCKENS -WSVFRENDLKAWKDKLTENCIDFKNYRFVANFVKIDSMVRNNNIAKMIFERVGFIVANRT -DLNVTNIGVHFPHLMPTGQSQFDKKRIKEFCDTLSNMIAVELAQYKAGRSKTPSRAASVQ -SSVSKRSEQSNNSTRILDGSIDTIYKRLRDEKAIVPFQYRPISHPPCPGIVQACFYQAIC -ASLPNLFATPNVVYNKIFDFLSSGGMDPTTCIEVLKGDGGGFPFTYFEQAMIVLDTKCDM -IITRTGYSDIYVSIGDGSNQIYMKYDMGQDIGVHYQAVEIIKPPPPTPSVSSRSSIKSST -RDLVTKQVFIDEESEHEDEDAPVETFDVPTKHIKTLKAAVKTTSPVRDLTSPDIVVPHEK -VDNRSTVVVNQPRELEKQTLIDDDPNVRYHDGLYPVFQPDFSTKYVFSPILAYQQLLLTE -IPTLSGAMKFALSYQYRTTIDNLRNMIEILDECENALMTIDSGVCSITTDNDVITIRDYC -FTRLNFVENLKRWYELTDRPSLLMIPM ->YP_009111332.1 p72 [Dendrolimus punctatus cypovirus 22] -MEAVHFSPHNKLKLRQPLGLYLRTNISRNPLQVLRLRTYRNPKSEHHLITFPKDVNVRST -ELIGLSCDVQNSLHKVLHRIYLRNNNGYQNPELIARSLLNGYNTVKLATTYDRKKKALAK -KQKTVTTPIGHSDTTVQTTTSIRIGSEFVSVHGDATRIDFVEENFELTDSLLHDLQEIND -ARSVVNYPIALIIFNTKEYLDAIPSYIAPLRQYLVQYYITFDDFIPSSLNPYLHYMYKEI -VILNEHVHSKLVVENIIPKDSVIFPRWNITPSVKAKLIKNKNVLMAANGETIEMRAYIED -DFDRREVADTVVMRCWKGISEYAKKNKMSFTNVRDRAKLLMIFGFSEDFPMIDEDSRVGI -KLPLGDYEVVSRRPTFLIETLRSGQLLFDQFIKRAIQQESINTKSDIQWRPGDWNILGIT -AGKGGGKTTFAKMVVAYLEAAGVTCSMIDSDDYGMWLRHQIGGNHETLVGVELPPVTADN -CEQKDEDTIFSDIMHAYLKNRKIKSVRALIQLKETGDDSIFAEEFRSLIEPCFGRGPGSL -FAYTKMRLSIMATRNVVVLSHHNWESVRMAQTELNVILLSPWRGFTATIARTFRSSHRAL -SEVALGMCYSKLMVYDVTIMPTSVLRHYIV ->YP_009111330.1 p73 [Dendrolimus punctatus cypovirus 22] -MDPANSIRDTILGFFRLMFSTFDVSSNPEIDENRGLNFYESSAPRTKTDNTNYNVSNSQT -LHSFDSEQFFDVFLGQQIRELLDSSDAFAEVLTHTQSGRCGQCLRIPYRGWCSVSLAQAI -GYDPDSVSIRECFIPYNSVTKFCQIVSAFSQCNVAICYVQFDGSMRLEMLKQFSHTQLIM -LHLDITSLTTTRFMLDRDILGKLFPGMGLVCVPNAIPVKSIKTRSICWTHTKCDDVIYTS -QEIFPIDYFSNIEYLKITMPLGSAVGARRAHPLTIGCINEDEKDIKARYAKSLKVRSTTY -RNIPHSLLRKYPDRAIEKTYDLGDVFKDGNIEVKSKAWNYDYSNPGAPVHTSLGGTMTEL -EASVFTTLPTRLLLRGICCSCDSFRHVTTYVQKISERDGDYFYQSAFMLSRSRQALQILV -IVQHTTAILPGYILPTAFIAITHSDETHALINEMSDGQHSTQFQILLTTKAIDRYVAEAR -KWNVYLKHRGDYLPASVVENSFKIENGLTMYQRQMWLPRLEYKPTFQQINLDYDEGVYSS -LESYPLLSFSIECVPEDVWSYGAIDHDLLRLPCGMFSGNNNKHANDHRCILYLLRYANRQ -CSQLHPSHNLYDAFADRCVITTHPSVFVPSYYHHPKVHIG ->YP_009111329.1 p126 [Dendrolimus punctatus cypovirus 22] -MGSKLLIDFRGKEDPHPNDYVSYLQNNVNFSITWNYLGEPSARLTNFGKYIHLTEHDRQH -PFIYYIQETEKNKYDKDYAYKLFSQLRQSIVYPVLINHEPTKRLSMLLADGHRCGILPAN -LSTLITRDPSILLYDQHLGQHLLSIIDMIGTSYKGTKFRYIDIPTLNPVIIGKQLNPYIV -RYFNARTSSDISILLNLVYNDGFQTCHVETFAFNVFHVFIYPTQDAMLQHCWDRLLESWR -DMYKWLGVSVTNLKRRTELLIPLCDNRPAYYTMILASLYLIDRRLEFSRITPTPTEIELL -FRTVIHEDGYLSNEQLDNLDKLPIAKMSPYVAIFGKFMMPYLPVTLQQFEAAHHKGLAMI -SLPLIYNRREIATDSNRIQNARPGVIIHELSPYGEAFVPREVTTSRYEALVQIQPLVYGV -DIFHDLHRTMTVHPDIQNYTPEQIAEGIVQYSNIIASDYADAMYKVKNLSTPTEAQARAI -DRLILRWQEGDIIKPTEGEEFLLQLKLLNRVQVITMGSGATARKVLTSVAEGTDIQKREI -NNSTMKMYSLFRYALGAYLYETRNADVRIRPNISILGVEDEPVIDIIKQYYAAEVGKVRG -YGDRARVGKRTTIGLNPTLYVGAHIVISDIEIREEDYEENVRQYKALFAECARANIIILK -VLHGHENIVNILLIEGYNLGFLGRVLKPGGRKVFSTEIYIFFAQVGHAAVGALTSRYFSR -NACFSSYTEGLFETEDTEDLDFIMTSVERASGIDEILNVPLTTVTIERVKESDYLELRDQ -KAQSSTQITTWKVHPSDRSIIVVSTPDIRRMALVARRSFESLTTSTFLRHQERLTVTSQS -HGLSTRQVPVPILKQVESFAFVKLVVYEAIRANLKSWLTNDLTDDILSFNDVGSGKMDGI -TMIRDFGDIPLIAYDIIPNDALNHEFYGIEYRVGEFLMDQELPHDTIFFMVFVLESPVQP -GTDTTFVKLNKLIANVVQRRNVTLYVTYLTERLVQIQGGQVRAPFALENFSIKQHEGNDP -NINYECSWAGYPFTPLIDDVAFRAQILARDLPHCHWLTGNSRFIPATIYYSGAYPRNSHN -AIFPELLEGISILRISTAPTLTGG ->YP_009111327.1 major capsid protein [Dendrolimus punctatus cypovirus 22] -MNKNNRPIKNEEGEPITTEPVSNAEHTPQSDAVTGTETLDTGRKIEATTHVNKVGTPNAV -ETHQAADIANPHDATLKPSAADKVFQADQRRAEMYQMATVTVPIFQSSKLELPPNWDSYL -KVYKRYDRSDTPLQIIVYHATEPELLDNTDLSKYGDYTELLSSSITTFRKNTPLIFHTYN -PKLLDIMKITAINGVLFADKQTLLPITTELKPGMSVNNRFSNIEAEIGMLCYFVLNNVVK -RHEKPTLLPDVTFSEVSQFLTDRSVVLPLGPSLPLAARVLNMQAINEYIALLNEEDYGRY -VIGRSGIVERDPAYGYKTGHMEGMNIDHITASLLNLNYFALHGERLTKERIELLIRACSW -PGSYIDLPVDQQLVPFFVEQTSILLNCFALCASREPDLHDIILHDFRSYIHSCSLFSVHF -DTPFIMPKISSTISAAPIRAELIRMYKRGDASQIEQLLAQEMAPMILIPRFVPIYMQPDD -NTAIITIFLYMLMQLLLPNHFWTHCRLIQNEIVRFLTSWYPGEMTNVIDNLGLRITRDED -GNLVRNPRNVTPFQANSAFSDHYPSLFTPMLEEVQQIPNILLIMRHFAILPDGQLGDNIA -TEAGLPRLLAAPTHYIGLVPEDQITNLLVRINGMAQTCKRLIGAKRTNTSKSDISPITSQ -IDYIAAMARKVTEAMCATLRLPYLTLMNHPLAVFPNLILQGGYHQNLNWAIFSEGENYGL -NNAIRSYVDSRVWDASEFITLLTNTSWNYHDARDDPTGREGAMMVPTFKMPNPVEILTHS -MAVYDIMKTLAIPDGVIIYLFTRDDADNRVLDIRERWGIQMRTKVYSQVKGIVQNLLMSK -ENSLHGLTALTKNFKRDGRLHQPKLRRNTNANVPIDFDPAIDTASMDEPVMEFLNNEEYR -RLFIGLDCIQTMRKPSQGLVLFKRHVKTPTHLPLPLFDDYIDIEYIPGVTFRPQWAEGRN -FTALRLERDGEVYYESNPYEWGKIRLNCNENIVSNDEIETIIAGINDVGLMVRLQHIMYV -AEISTKDLGVSNDFETILRCPTYNYPWIKFFYNPIVIEQSHFSRAHGPRTQCIHPMNDLD -IHIATSGLAMSSRDDPALYFTAPRPTEYDTGYLDADGMPMYHTHISKLDLQIPSMSNMVM -GLHEPFITDSIELPITVREPQLTIL ->YP_009111326.1 minor capsid protein [Dendrolimus punctatus cypovirus 22] -MTSHDDTRTLALLALLNTDHTLTPDDITEIPNLQELLEWYEQRKELNAISERVSELRLIQ -QQIAEIYNEFSDQDFQVSQFVNVQTYDEWIEFEKVVNRERRTDPTVGIPYIFLSSHTSFL -VMELLSFIPPTLEPEYEHTLSLTWTYLHSVPGTIHVATHTFEVNWILKVSQSNPELDDDG -RLITEIKSVMLTFDLRQVREPALANLPSLDQRTRVCEDLPLALTDSVGCVFSHHILYTTN -SFLAQRNIEIDDFTFPEGLVRTFIDHDLLLSGYVVQSSREFLPTDDYHPKNISNELPPIH -FQSPQSTVVNNPESINLLTQTRLSSSIPDIGESTTVLMKTQDSIEYTPFTMTVFEERAFN -LHHIQLIVESFIFDVKCTHLRAQHAIIHDMISDLLLQGQELAGEFQDFLSQATFSNTSII -DDLLATTIRYEAKEVNLWSERALCFFPGWRVQCQGYAPFNIISPYRTLGFNETDVTVNSF -FANNPTLYPNSVSATVTNTPLLSYQDEFIIYQDEDYRVFARCSMSQTVIEDPDHATPLDR -ISSQRFNTNGTQGSYFDGDLTAASVGLMTNTRHGGMIPVPALNHRIVLIVDTLIFVHLHG -INVPIFDEGTIRLTIATPNVTSNNRRTTTTTLRNGQSGEVIGQNGRLPAIFFDVRETFTW -DMFNHSGEFVYVTVEPQDRWDVDTTSTILTDNQNITRTRFNRRSTMPTSYRSSTLGNVQI -DAQNTFEQYHNLAQTRTNTMNALSTLFTFHSTGLADTAQLFTGHQLLNAMQTVFPNDSIQ -WSQLHPVMSTTDSLTNGRVNPFQILTSFALNIEELSLKFRTAMPPDSIGLRRIYDSSVHD -LMAVVESIHLDIYHIYETLEDLTIRMEIIENQVEQILNALNPGFWQQMLSSVVGMLADIA -VIAGVGMVAKLGQALIQGAMGGVRIMVHTFGRSPRLLDTLKRQLASSRATPIEAVNKHAS -THALARRAKLGDRTRWSDFPAGINADDNPRLNNNFSLSMLLPEFAMRSRAIATAKRGENR -LLMNVPNDHSGPLSTLEVHYRPLTALPSQRLADNLYKRIDFKNLRSQYQFRANKVRANKP -AHAYASLSTTYQTPSGTLVEKKQVFGVGEYGGLGANELGGRVNGIMWEHDVMRTSNGKMV -TSLRSHQHSGYTDDDVRRMFREFYKLDQTPDNIRVDYMWHKLVNGVDATVKSADLAHRQT -FASDFMHHAVQDIIRNPPTSRYNLASRNCQHFTNDLVRYIQGYSISDTWGLNIQGRLDRG -GFRRVFDLIGETEARVNVVSYDGAHYILNHRGLVIKGAGGVSRISVKLSIVN ->YP_009111325.1 hypothetical protein [Dendrolimus punctatus cypovirus 22] -MKKKSPASPLETLQSTMLDCAKLFDRTSKFSKAQRLLAASLKLIAEIMPDIPDRHFPAIR -KYTKATKNLATTAIKNHSLTQAKAKLIREERYNDRVCIPNARQVAATGDYQRTSVVVRST -LRATLNDVNDFTANIEYHRKRQTVPELQRELRRMNETLAELPALVQQGYNRYCDELRHPT -IWKQKKRQKETLTKLEKSERDRKAKFQVKGGAGMWQRLRQQK ->YP_009111324.1 hypothetical protein [Dendrolimus punctatus cypovirus 22] -MANHQGNCPTTPRRSLQASMEDCTKLFRDSKKYSKAQRLLVSSLQIMKKILPKLNDEERN -MIEKYTKSVRDVGRAAVKNHALVRSKAQVIKDSKVDAHQQTDIGKAMRVSKTGDLMNTLA -FVRHACNRTSDAVSALLDKAHEAKHRYPSVEIRQTALKMQETMLEVPHLIQTGYKRYCEE -LNHNLIWKKKTRQTKHEQRNPDAPSLGGAVVIANSCKKRRGAGRSFFGSRR ->YP_009111323.1 hypothetical protein [Dendrolimus punctatus cypovirus 22] -MDATLNLHLLVETIHHLTYLANQSTNQETTRVLEQYKQSVIDDLASKIVNDELIIPEKPT -SAEKPMSVDDLAAPPGEDVPDIVLATDENSYVKRTHHHYAGFYSKNILPPICRDRAVDVN -GKTYKLYDSMKTLNVQSSGNDTILTIEPIIFTPDELPKGAVVTNYGTNETVSLITSSYDT -QHVNGHKGYPVNDGFKNINIHLAAPVEHVQKTKPIAYADKQFDTKAELIEYLKTVKPLPK -IKAHLYTDKHNRGSQLIIHYDGVNIANIHLRARVVGNVIADCEYAPDV ->YP_009111322.1 polyhedrin [Dendrolimus punctatus cypovirus 22] -MSSNTYSRRTAYRDVRREHQEVVTHKLNTSCLIPDAPMRIIIILEYLSGRYRIMDYIVPK -YLRLYLSWRELLEDNYSGHVDPDRSPHSLMNQSYRQLIHPNHGSGNQPYDNRYPVGIMIS -ANGNVDWENLVADTPDMHGLTSTDWRDALNWSPNALRGDQYRYVDDISRFIYIPLDEHRT -LTLSATIKSDGALNLWNGPQAAMTITDNIVRENTIYANTETDVVNYMQRAHAAYINQADV -IVSKPKASMRGARRI ->YP_009111321.1 RNA binding protein [Dendrolimus punctatus cypovirus 22] -MGSTYKVWKNDRSSFTLNCLTELNEYIRQNGKYITEELQQALPHFILDTKPHLQAKNCYA -LSWFNHGLGLCKIDGSDSAHPSQSAEIRKTLNYARQNNQGNISISRTGYGYNGSLIKIID -HTATVELLKYIQEGYTAGIKTVLEIYTGFDRTQVMKFDDPRLTTSRDFFFANQFLCAGKL -VLSGLDDPDNVDPKSKPPATRAFIATAFNMSFSTLLLCHGIRPDIKKLYDSMKVSELMTY -TMVGDGCFPNSPVTGLKLVNFLNQPGCQSGVFLKHGCGIIVDTTREIMTVNDISSRFEYS -EAALEEEFAIPVNERAPSASVIVNPNPGLNLNQASTEPPNVDHRARLGEFASA ->YP_009111320.1 hypothetical protein [Dendrolimus punctatus cypovirus 22] -MNFFHAFTNTGIRNLTLMTHRLLNASDEFSNQLKLHPFLMTVIKNEHLSEEVKTEKLKRG -LGLMILAQTVATYDWLRDENNTTFEDTMHLRRDYGLGIDMSAFDGLLGQGQQILRPRIPT -RSQYAMLSESQFCPIPTAISFERHRSDHTYYTGDIHTIQHNLFSNASDKRYVAQSHIENN -VPCDRRTALSALSIVVAQARLDCSSTAKLSAALSHDFSEHVIHSSCDLQNIDISVKFETL -LIRPRRYEFCIYLSDNTSLVLSTRSNCICHSLPHEMLVELFKIPLFYTTWREYYERLHYG -SHCRRSYTPWSETVSKPRHQIREDVVDKLLEDVGYITRVPRDRQNIMRRARLIVYGDDAV -DIIRHDVD ->YP_009111319.1 hypothetical protein [Dendrolimus punctatus cypovirus 22] -MSHQNHTSQHKRSTTTTTNNQTPRYGVTPMPQPSYQSRVLVDYTRSKPKTRSTKPINDTH -IPSVSPSPTILRKPTIPPRLLMRTRNVQHAVNKSHDDTVQKIHHQTMALDASPLRVKTYL -HSEQQNTAGQFQKRIAVYLAEKNSISYLESLSRPDGPQKARFIKRYIKNEIQDPQRVIGS -YWYKASSAYDWALFNGVIDVDIKPKMGDMYVDGSSTIVDFANRKVGGGVLSHGSVQEEIM -FCRIPELLMLKVNGIILSDCDVIIIRGVRLMYNSTGYGASLQYSNYIRGGKIYEVLVMDA -TNFNAHNAPKETSKSAINREITKAFVAFSNANGKTITTGNWGCGAFGGNIKVKFLEQVIA -AQAANKNLIYVSVTQSESIIISNLYRHIMKEKLTISDIYHSIVTEKCLKW ->YP_009111313.1 P0 [Sauropus yellowing virus] -MPLVVCKETSFVIYTSSTTEHLTPIFKLVLLFSFSRSLPELFHAQRYYYGRLLFDNFSRS -FVFILPFIFIPHIRWESRGKFYLDISNAAALVKWGWWLGHFPRLRFNGDIICVDQRRTTS -RSTYELYLWRFVGCRKLEVCVRHNSAMQRGARDLGNAIQIFLRQYSEWIEVGDREVNSLA -SVHMVMASIPSHSLYSELRRQLRTSFNNTGLVRSLHALSIPRSYLDVWYSSNLPLVIPED -HIEGSAIQKALRL ->YP_009109699.1 p10 protein [Baboon endogenous virus strain M7] -AAVVTEKRAGKSGETRRRPKVDKDQCAYCKERGHWIKDCPKRPRDQKKPAPVL ->YP_009109697.1 p15 protein [Baboon endogenous virus strain M7] -ALEVPKNRTLDPPKPVLPDESQQDLLFQDPLPHPPHNPLLEPPPYNSPSPPVLSPVSPTT -PSAPTPSSLVSSSTPPSSPAPPELTPRTPPQTPRLRLRRAEGQDGPSTWQSSLF ->YP_009109696.1 p12 protein [Baboon endogenous virus strain M7] -MGQTLTTPLSLTLTHFSDVRARAHNLSVGVRKGRWQTFCSSEWPTLHVGWPRDGTFDLSV -ILQVKTKVMDPGPHGHPDQVAYIITWEDLVRNPPPWVKPFLHTPSTSKSTLL ->YP_009109562.1 coat protein [Macrophomina phaseolina tobamo-like virus] -MSQQIKCPVCQKDFDRKQAELHIAEAHSSPDKKEWPDLPDGNTLTEAEFIRMALEKPQEI -GVAIDQPVTVVPPSTIFEDIGIKVDDFQHVDITTMAGATLTSSEAKMLFNVLEEHLAFKI -NRAAFVANFIDWGIRTSFTEELEEAGGFSVASNPVSGKLQERYMTVLEMHKIITEYFAAK -GTGRSFTVRRFGRFLAPKIPDICDQVEKLKMYYTTGSPMSRRLGVRNNLFLTVTSIYEYI -KPFNKWTRDEKAAWEALNRTVTKQPRAQDTSFVPQDLRPRPYEAETLVETRSTDFARRHD -TDPLKAVFGQPAAVQGGYGKGAEIFEKMRTGSSGGKNA ->YP_009109561.1 movement protein [Macrophomina phaseolina tobamo-like virus] -MAFKYRNNPKSFSLPREFVAHFRESQNVDTDRTLFGFQVLFTKTKKKEWLEGGHAPVTFL -RFFREFGQWVKGFSAKERGAAAIAATKHKGVGLYPRRGVYRDNGDYLGTYQEVVSEGKID -PTLLAMGMIDVLDSSVIESSTSQTSQATSSTTTSKKASKKKSFTANSAKTSSARVTHAQA -KDTTQKAQVLDTSIQKRTSYSQFNLGDRQRPLSFTEVHVPVARGNYRGVTQKIVAGPPGG -YVITGPTGCGKSTVALLPLFSGKSSVLIVEPTQANAANIFHEFSNVLPTLHEAGVIPWNV -PPVEFTAPTTREGSYGPLSVTTTDKLLEYFEWKGSLPKVDYLIIDEFHLPIPSMVQTVEL -LRTFALVPKYIFVSATAVGYSVNPELPKAVTQTWGQLPIGQIPSKMEGSDLDPRRWWKRG -DGNVAVVAPSVIVAKRLFNVYRDWQIRAFLITRETFVSEYMKAATNYRSMTTFVLEPGVE -AGVTLCIDVLI ->YP_009037601.1 ORF5a protein [Simian hemorrhagic fever virus] -MFREIGDXVDRFVPHLIYIYLMVLCAFLCLYYIQQHRRIREQHRYDLDQHIKVSVIXAES -DHKP ->YP_009037599.1 nonglycosylated minor structural protein [Simian hemorrhagic fever virus] -MITPTFILFSEILFPIAKQKLRRGLNILLESLGTINLYLPTTIGFGAFTPSTPFILRQRR -VSNITTVTSPSAEVKLAIPPQYTQVLEKSTCLDC ->YP_009104377.1 putative coat protein [Cassava Ivorian bacilliform virus] -MNQNPNRRRNRANQNRTRRQRQAQDAAAFRALSSSRALGPSTSGVVDVGGIPVTPGFVLT -SVTLSESFSWTGPGTGTWTLFRERSLVIPPTLPPETRLNNVILRVTPTPGMTSYDIWAAV -AAAKGSTPTAVDFDSLNVPFLTMRETSRNRHVVIPFAGRTVADLAQQRVWLGARTGTVTA -DNLVVGLVRLFIEHRPIPQVQIIPV ->YP_009104396.1 3B protein [Crohivirus A] -RPYNPSTMSSNIVSKFKLGETIQVPTNQ ->YP_009104395.1 3A protein [Crohivirus A] -TGEMSFDEWCDVANTFLLKAELKIFDFADNVNDFCFKPVKASDKIRVWLKEKFQRMKNFV -EKNQKWFALVTAVSSALGLICAIIYVARQRGTDEKSE ->YP_009104391.1 2A1 protein [Crohivirus A] -YLHSAINFFDLRLGHRSETLLQDGDIESNPG ->YP_009104001.1 ORF3 [Carrot Ch virus 1] -MSCRSRMLKTRRSFMMTILLEELISLNTGTEIGKSIDSLDLIGNSLLNNYRDHFLINMAE -LGTSPDTLYEMEPFELPKVTLVSNGEKKDYKLKMSIGSAVNSIMNQIEGDHRLLTCNTTL -RNTLGAFGTETKRQLKNRKIDGILARKYPKLCKQAMHMGFDFNQDIPTNELSKSEMKVKQ -YLYQQLKRKEARRGQYEEDISVVDFE ->YP_009103998.1 ORF3 [Carrot Ch virus 2] -MSYLSLQRERRSDIVKLALKEELRSLNTEIDEKGTYFKQVLEYYIMYFVLNMAEMGTSPD -TVYPSENFELKPVTMKSGDEPKTFILKMNLITCIDRIQDLSENRVDLGDLTLRSFMRPFA -DIAKKQLQKRGVDGILARKYPRVCRGAKHMGFDFNQDLQSSELSNSEMRVKQNLGRVMFN -RGLKVANLEESSSIDFE ->YP_009104386.1 major capsid protein [Fako virus] -MRPIRMYKNNQERTNLKHQEINEEQQNEQTTSNQGFTRSDNSGKINIERISSSRNQITDG -KTVSSYSKIETNRSSQDSVQHGGSSITYTSDTTGNPRITNARTNNDETHATGPIEDLNST -SHGREPEIESFADRAELAMMIQGMTVGALTVQPMRSIRSTFANLANVLIFHDVFTTEDKP -SAFIEYHSDEMIVNMPKQTYNPIDNLAKILYLPSLEKFKYGTGIVQLNYSPHISKLYQNT -NNIINTITDGITYANRTEFFIRVMVLMMMDRKILTMEFYDVDTSAISNTAILPTIPTTTG -VSPLLRIDTRTEPIWYNDAIKTLITNLTIQYGKIKTVLDANAVKRYSVVGYPIDQYRAYL -YNHNLLEYLGKKVKREDIMSLIKALSYEFDLITISDLEYQNIPKWFSDNDLSRFIFSICM -FPDIVRQFHALNIDYFSQANVFTVKSENAIVKMLNSNQNMEPTIINWFLFRICAIDKTVI -DDYFSLEMTPIIMRPKLYDFDMKRGEPVSLLYILELILFSIMFPNVTQHMLGQIQARILY -ISMYAFRQEYLKFITKFGFYYKIVNGRKEYIQVTNQNERMTENNDVLTGNLYPSLFTDDP -TLSAIAPTLAKIARLMKPTTSLTPDDRAIAAKFPRFKDSAHLNPYSSLNIGGRTQHSVTY -TRMYDAIEEMFNLILRAFASSFAQRPRAGVTQLKSLLTQLADPLCLALDGHVYHLYNVMA -NMMQNFIPNTDGQFHSFRACSYAVKDGGNIYRVVQNGDELNESLLIDTAIVWGLLGNTDS -SYGNAIGATGTANVPTKVQPVIPTPDNFITPTIHLKTSIDAICSVEGILLLILSRQTTIP -GYEDELNKLRTGISQPKVTERQYRRARESIKNMLGSGDYNVAPLHFLLHTEHRSTKLSKP -LIRRVLDNVVQPYVANLDPAEFENTPQLIENSNMTRLQIALKMLTGDMDDIVKGLILHKR -ACAKFDVYETLTIPTDVKTIVLTMQHISTQTQNNMVYYVFLIDGVKILAEDIKNVNFQID -ITGIWPEYVITLLLRAINNGFNTYVSMPNILYKPTITADVRQFMNTTKAETLLISNKSIV -HEIMFFDNALQPKMSSDTLALSEAVYRTIWNSSIITQRISARGLMNLEDARPPEAKISHQ -SELDMGKIDETSGEPIYTSGLQKMQSSKVSMANVVLSAGSDVIRQAAIKYNVVRTQEIIL -FE ->YP_009104385.1 structural protein [Fako virus] -MFAIPFTASQVYSHLGLYQQPIEKLDPLTKVQYESAEKLRDIITQLSRRSGGISLRKNLI -RDIAFGNKPKLNIPSLTHQVRHNREFKHGRGQFILVDSNGSDYYDGIFDDMVLILRSNFV -LPNVPGIISEQLRLIVIDNDFYLKHIPSEIAILRDVLSEHMVKVSIDGVSLDDPYISKFF -RKPFILYDHTKFRKVDLTKVVLINKTGKSKAQLIDKYKLTDDAVFISYEVFDIMLQPVKS -RDGDIEQFVRMRGDIETWKMKIGTTFESNLMELFIHGVPVMNSTSQLQLDFQISDIRSAN -VFDEQIVCAAKLNGLREQCLKESSLIRVNIIGQKGSGKSMLMRLINERGIPGISRKIICI -DSDAYGKWKTQTQYLDDKFSALKLINVDNLHEISQDDNIISYYEQFIIDQLLAHNITISE -HTNSIRFIKQFKVDTLKDIGRKFKELYLADFKEQIHFYEYLCGNIPEPSSTLLITFLHAT -VETSAAPGTNMNFSLNTILYPLQSILNRKRGALVNFILNRIYDEMGTEAFTRLRPCDVWK ->YP_009104384.1 clamp protein [Fako virus] -MTLTYWDKEKRMTLKQMIQQVAINEQENELTHYVFTTPLSMPTFGKPMLGYVPLNEVATS -KFFSNVNDFDRDNQLAMAHFPDTTITQAYNLTNSIKPGDTSLPDAEVAALKWFWKFFTSI -NLVRQPPMDNVMYWACQFLSSGTSFLPLERDVEIVFSGFKGSHICMFSNLRQMNLSPILC -PYYDLITNFKTTTEIRAYVDAHEELKSLLTYLCLCTIVGLCDTFTETRNMDTGEYVWKVR -DVVSRNHTPAQNVEKFCYTIQNAKYMIQLVHVLLFPLTDNKYADLPNYVAVITQGAINQS -RSHNVINTTDESNSNTTSDTAASTSGIVSGDTGTVASLYPDEFKYVQS ->YP_009104383.1 nonstructural protein [Fako virus] -MNSQKVQATTTLSNLSPTGKVVTSRNTPFSLPGDRDIMLKLSSLFKFNHDDKASNNRFDL -IPTDSGICMVRTELNQDKTKKTTFNDGKLIELVTYEQMKDILPMIKRVVHEFYSWRRGLM -DTSTLEARNEWKRVSQLDFRRCNSNLIFYISTLILSEYITEIPIEYLTVFYGGYNSNNIR -TGEWFNTDDFNNYQKLIKSGDLCDLFLINDIGGYKYINSIIHVTKTNMVFSFNMQVSRPP -QLNAITRIRQTLSDNKKQKNVSIDNETDSDSAELFGDN ->YP_009104382.1 nonstructural protein [Fako virus] -MAKQLRVTLDQTLTQITKRVDENNDENNDPIQNQELENIQREINCIQVESFDDSTVLCEF -QNKFEQLSVYPPQIIHIKNSASFTQIEAVIREISNSRFHILILCVDTHLFSKYTAEILSA -KQVIIFAFKPVWIGKAFDFLLDSGVLIEPITHEQIDFDRLIEEIQSQKQNDTGNVHHLKS -GVVPLLQSRPVISTISNNQELYHTTSILRHNTLENALPNMPNDVNPVNVVDDNDLRVLLP -SVATNNAEPCRSQKLSKHTKSFQRDARAIKFLSQIHDISYRTGRRIKEHRLVETIPDDVN -NATDYDIRYTVLGSVLLMIPYDDMFHMFDEYSLDLASVSFSLSIN ->YP_009104381.1 nonstructural protein [Fako virus] -MQLEFKEELCDMFLQIWDHIPDRQQFIEKIIMEEENVTAEMDIPTIVTHNTNVKLLEELE -VDITHGLNPIKTLTMDKDEYDNGQYNDDSVDDDDLPHVIEPITDYIKHNNVQTLKGFRRK -RDANKEVFDNNISIINVDENVLNNESDNVEKLINSECNDVDNDIPLSGVVIDSILHKYGK -DKVINLRQNNQYGTFCNVSNINVICEELIFNATQMNFPSAAVRRITFEFCKWLNESELSK -LQCNQDANIKSELHINEWTNTPVSLYFDIPIMAKLLNIPYSLIIIEKGVLSKMLSLSNKT -FKLEPTNKIDFHVNQILAIIIDDYVTVPLLPFKKLWNISDLAKNTILPLDLCEDSSSYFK -EEDKAIATYITPYIDPRIIYIAHRDPTDFMFMLPNITYLSELNSEINIDKLADVCEFEYN -RYRQALMCVNSLVEVHRWHSLVCFIHLLANGELTQSEQIFIAGISRTNEYYVELSPRDCL -YNTIRASFKVYQTWFKSYQNRVCHTPETTTTEVHTESLNIIQKDVDVNQGTNGNGGQINV -NNLFFSTMIKHLNNQQNRLDFSDFNSQITYGHFEALHAVVEFVSEVQVTRELCDIMRNNL -SLDLDIISLYSKSMSDYLKMDLVVYFPEYHELRLIDATKQFKIRLIEFNCSDATIKMYKY -TTTFHASMQKSYNYQLHMSNGMSNKEMFITCFKREASLVNVKQISLRNNYTYDGIVDKPI -AIGKGRPNYPNTTNQSLEYQAKPSQVKHASVEQGHIIDNYDSMKFVLCHQNYKRIFNNKL -FTEAPISDENLFRLKLEVNTGQHILAFTHVSFYNDGKVKSTYVTFITVDIDCSHVHQALL -PRCVFHMQKGKPILYAANKTFVTGGSERRIEVVFNHLKEYIKRTGISSRDIHTVTSQSDQ -LITTCLTPFILSSMSMRKSVLDNEHLSFTSQCVRKCQISFKDDRIKLPCGISVHESTVDL -HKHACLLEYYRLGLYKEKNNMNRYGFSCVKCYSRYPNQLCANVCRLICQGM ->YP_009104380.1 nonstructural protein [Fako virus] -MEENLYNDNVTVVSNQEMGVDVNNEQIVNDEILASIQTRIKQLQVNNNSDLEDYINARKA -LIEMLNMRKEYIPDVISNLSVNRLNQIDGLVGKLNDCEQSIHEHASKLNQLQDEVMKLKT -ENEYIVRLNDINSKRSKLLDEFNAKYEDDVGPMYVYVESDDIRDAAVYLSYMMRMLVTFE -DQPFESNFIVSDSLYIEDTILNVTVSKNQQLETSTIYVTMDDVSESFEIIEREYIEVYFT -RHVHKISNNVISYTTTLVVIKRTVENVAIPSQITNAIVFQQQRSNSFIATDVSKSLTCNF -KVNAGTELYVLLPTIIPDSYNMDMLGNQEFLLYLSDLYAFNLLQEILDVLYTDQLEKGLE -SDENRAIEQLTLQNTQSIRYILNTLQYVPLYKPYSILIENGLFNSIEYTAPLMYIKNEYT -SKNITRQSDKYTTDCVIGAHVASGSNMDAIPGTTFKQKLITNTQGTIIQENPIYEDTDII -IYAVCVITRTYDSSICGSGYTYDQSNMFTNRSEFIRMNGCIADAIPIKTSTVVKCKYSLI -QANRIGLLYNKTRTNVAIKQILICFKNSFAVPTSIDVSMDIKIQCLKKTETVSIVQAKPS -QILLESGISHFGIICDVSFSSEYIFDGSEFINFTLQPNVSISETKELVMFGRQDGGRQIS -DVFRHKQDSFEYSINVGLNCTSTQIGMGRWFEIFSTLIQGEFIGSIDTLRESIVRGIKNT -YDFTDAREFLHEYKISIVKLSIQCLRAMSAGVQDVIVAYSHALSNIDALILDITIEMNDF -GNRITTLENKVKDIEKWIQNQIDSQNTTIWGSLLDTFVNLIISTVLGYATAGIGVLITKV -SVAVLSFTSRALTSVARGLQAAGHKISTLFKLHLTQPFLNGAHSLKLITRKFNTVKGSLT -KYERQYITALELSEASNYAAIAKHLKNLNPEIKLAEAINHKLVYSKRYVNPVFKLGSEVM -STVEINYHGLTALGKLPQLRTPTKKLLSSDFGMKLMKKNKAPAHAYMVITDVDARREYDL -VTKYILGVSEGFTSTTKQVTAGSFRLQYEFRRHPLTNKTTVKFSTYQDTGYTSEEVKLLF -NRYFKNRTNITDTNQQWDLLSSKFMSLQTSTLNSQKFVLPNSHRTTALYETFRNTRRFDY -NLLTNNCQNFCQDSLNWLENGVINGSLVQHSDQLAIKYVNALRGDLSLI ->YP_009104378.1 turret protein [Fako virus] -MIDLRLEEDILTATLPEFLSTRPKYRYAYTNTKQQDIRFQGPMRHVRLTHLYKQTKLWNL -QYIERELAISEIDDALDEFIQTFSLPYVIEQGTYKYNMLLGMHAHNVNYQDDVSELIANN -PQLLNYLDDNPFSAIFELVNVDLQIYQYGQNIFNNEAEHTILFLKDNTNYGVIQALQKHP -FSATHINWHLHKHIFVFHSREQLLNKLLSAGLEDSQLYQRQKTYSTKRGDRPTERMVTYI -EDDHIRRIQAVFPLLLDNIFDVKLHKDSSMTWLKSYADMIYDSVKNSNSTITPEIRKLYL -RMYNQYMRIFLPIEQYMLYDNTCWPFSEKITLKINVRLISSRENQPVLWKTPIDTENLIS -IVQPDEPINKLNFTAIPSTMIRLNDNITMYRAVKDMFSAIEYLPDAIENIPTLTMKEQAL -SRYISPDSEAQNFFNNQPPYLNSIMNVNRQVFEAVKRGNIQVSTGSMEHLCLCMHVKSGL -IVGRTVLIDDKVVLRRNFNASTAKMITCYVKAFAQLYGEGSLINPGLRMVFFGVETEPAI -DILKLFYGDKSLYIQGFGDRGIGRDKFRTKIEDALTLRIGCDILISDIDQADYEDPNEEK -FDDITDFVCYVTELVISNATVGLVKISMPTYYIMNKISSTLNNKFSNVAINIVKLSTQKP -YTYEAYIMLSHGSTLTNKGYLRNPVCDVYLEKISLQPMDLKIISTISNEINYDKPTLYRF -VVDKNDVTDVSIAMHILSIHCSTITTRSVMVRSDNTGAFVTMSGIKDMKRVAIMNRMTDG -TSANSYMHEQNGKLYLQKVPYLEDLISAFPNGFGSTYQNDYDSSMSVINVNALIRQVVYR -VISKSIPVALLESLSRIRIIGGRDLGEMNAVYKLYKTPIEVYDAVGITREYPHVQISYRA -QRYSFTESIPNHTLLLANYVIMNDVDGAPISSLEQINTIKKIISKISLGSIAYIQVYTDI -VARNINVMTKNDSFLISANADKTVFKVQVSGYKAVEMCNYEQLLQLVSDNTGVNIIKLTY -QDVLESCVLSSGILGDTGSWLLDLVLASTYIIEIRG ->YP_009059075.1 hypothetical protein [Cimodo virus] -MGSGASTTTNIMNTYNFTGNNNGIRSGDQSVGTASASATTDVSPKTDLNYSTGINPSWWG -SLINAGKYASKQIAGTIGNNSDLIQTFKHVGATALRVAMGDGDMRTKATKLVEGLLSTST -PQGSLTSKEQVPMTMVRDIAELHTKVVDKLEDAHDAFQTVALGSTGMRNIEVSTQPTPES -IVALRERASPTERQNEKIIIETVGPKLGVIPVGTTSPPSDLAISTFDNARSKFGRLATVK -RQSEAITEAQGNILFSILHNPAATLAQAGILKPFTLESSQFIGSLKQISVPFTAASYPNG -HQFAPSNLITSDNPILDSENPSTSFNSLALSSYAYALPFLDITREVRLIVSGQIVITNQP -YDPAQPIQLGFVYQRPDRKLYFNKAVFINTDVVIKPPAPEGVSRSARSTRSVREDGNVEL -NGPTDVATDFARLAINDPSHRVTTSKLIAASKETEDVSELMSKLAADTGADEESFADGGG -RRVRRAVAAEPGSTFSYSSQWQVAVNLSNCVPITPQDAFGAALKDWTSEKPFGAILVARY -PSTITLSAAVQTPVLSVTVIRAPSEMRYIGALYFGTVLELLGHVPDWYSTQDLHDPWRIY -YEVIKPIINYAGRIALLHTESDGAAYNLTVAHATKCRVDSGLPALNKSDMKEHIMTLMVG -IATWLSDAGPVREYTSSSRRELFMHICEKVMFQSLTHIRVFSQPVGLLTGAYLADCNRQN -AIEVEMDKALLPK ->YP_009059074.1 hypothetical protein [Cimodo virus] -MEFPTPGNVQNRLYPFTINVSSSRTNERFPAVSAHQALATPDYSVSYDSIIDSYVITFRR -VWKRINLPSNLFHNMRVDDPKLTNEEKLSRVEAEVTSRLQPIVDIVNHYRNDVRPKEGCD -FSALAFWEAVRLTHKPLYMRLQDVVSAAFSLPPQESEVLPIVQYAELMGEIINFGEHELV -LLPTQTATYYENPAMLLRDRDFRVISQLENKQVGWFLNREVTLDVMQQEFYRANIYPKFY -AYYHSNQNLGWPHVFIYASEEGVMIGQAYNVYRNWRMLHEIQTQHRYEHVHLTYAYGGFH -FGRGLLYQLLSSCPYPKDFSPITYRNYKRISESIDECLDEMPVPDRGRLLSMQRRFFKPT -IGTYVITPETEINQDEYTEVTWDGIELADVGMHEGDNRGYRLTVATLPNPAYPAAPFDIK -DGSNFLTLDSRWDWATYSPSFFTSVALPLGNEQEPQPVPEFGELRDYRMTWNDFRDMTIR -PRVKTREINERSLKQVFGDTFLETLEAHPILHDIMEIVLAQDVDKRTRWNNSTAKLLGLV -DALYAAGILDHARATPYSVQFFGTITEPVQDLLKLLPGKFNVAPGIGAQARDFNLRTNIA -NLLYHGTPHIIISDIDQSEEQTEEEIAVIVSEHIRQMSAYARVVLAYKLQYVTPRVLNIL -MNRLADQVYFRVFFIKPLASGVFSLEAYLIFQIGEGQHLNPSLITDWLEDRHMFLAPKPD -FVQAFRVKSMVNSGQVLASLGEPQHFYYMFRARNQEEYDHALDAASALSERANVVPVGDP -TNFELFIYGNRWRKRAALTLRVGSISRVQIAQGGDKYPTSGPLSSSKVPITLPHGVAITE -SGVYNLAIKRRIVQALRDHFQDLYPYPKALMDIGGRACEGINFTLTAWDYTVMDRHYLPN -YMNLYNVIHLDEYTDWNHIEPFERYDIIVCIFVLMIDASADEQYARIAFLKRLSDAGKVV -IFNYYSDTRAGDIDAAHHRSITYSADHLRGTFGDYVDNMPFLLNDANAAFDDTEILRVTL -ADCLSAQLTDGLSVSPELSNWLRDFNDFCPLRQMV ->YP_009059073.1 hypothetical protein [Cimodo virus] -MIGYCTTMTTRDGDQITKYTKDKTPKDITAAYDGAGNSQDAQATKTPDPESLEMKKVNDD -KSVERKLTQDAPSELPKLPRDGTSGSGRRQELADTAEVGTRLMSNFDMEAGAVRVAVQEA -LKATELEARAQKVNLIDAPLNEWNASSPLSSVPVRKIVNEIPHIIALRRSATQVTDKEVI -DIFAGQYGAMCDVVTDSIAMFTSGADRITYLIDAKGTSAPLSYDPQAPPDPTLKLESFHV -TGADGTDIENAASRTSAFSSLMELILKVMKQGDVYIQSPEMVAIGDFEQIDGPLNLLRGK -NIPKAMLPHIVLGTYAHPLPWFKRDVSEIIPQDEVVVGEFDGVEKCGASDAKKKSNYAVF -TDNLYATLHQIFMQEHNAKRANALEYARSVRFLSYPGSTIRVPYDSEVDLWETYQPDHSD -SHRYVLLWLLSPAMREYQYTYLVNLLKNMRGIRAIAAEDRIRSEQSPVDPYQLKSKVDEA -LLRRTRGYTIDHFYQMMVMEYAHRFIEPIATVETLFTTIQDAIFALLAVAVDALCFPNLF -WTNIHIYSYVIYRAWQFVSPRDMIRILAQGYTPTIARVTQLTKQQVRSGEIPRIFTMGAQ -NLPNNLRAYLAAIDPIGYMEQLQYGNADWSPNIDPNAEFYIPFTYLRRPKIGSVTHTPFS -DQFLQLATVLSALVQQWGQQNGQSRNNTSPILTLLNTLTQWSQQFGSIAHYELNVLYRAF -TNGPEIISTSYRGGSNVNVAPMLGIGSTVQHPNLGVSAIDRNHNLYFGIGLWAMTSLMGD -QLSVSGTVERSIPTSFQFFDPNQRFTRYSELLQQSKNFAEAFGIMTYLLDGYEDPVNPMQ -FLVNVFGRSLKAGMANQILTWLLQDMDLKTVLNGKLASRDTPFYYDQRFRAPRAAELGNG -GEILPARPGQSVYFTQPLDLLNQYTLRKLEVAVETIASENSPIHRYCRELVVRRGLFDYY -SPENRHVEPDFFFDYNPRDETSGFELHGNENKAYFYIAGRRWYSPYEDGFPINVAVRISN -PAEVIEYHWKLLEMAVEFADWQIHFVGMNYLTKVVTVTHDQAGALYERGAMDWKMLKHAT -IGTVVPITFFDTRSDLINNRTTVFPAGSIQYICATDPVERNVAVRGILGLQPPHSYIPPD -HDMWNIGMRRSDDIPMTENGVPKYMLPVVNFNNFVQIYTANAQLLGTPPLVYLPPRGEDI ->YP_009059072.1 hypothetical protein [Cimodo virus] -MTTPVCPAVRPEQQTECVKYLDAIVAQNAEVRSVAISYHNPTSPQYWTANLNGSPDIQNP -FNPVLANQPNVLAYFKKAMGMTVILEDVRFVYIFIDGSPTPIWQCDFRPTIFVQREDEQA -APQQQYVARGQLSIAGLKKF ->YP_009059071.1 hypothetical protein [Cimodo virus] -MATIEIPFSQATQYEHIANKRNHQLVNIIMEANQFLLRHQFVLSVNYFGPKEYEPNAILH -PCYFRFALYNNRASIPCRTRYLYLSLPDSSGPINSDSQKSAESIRKSAFSRIYSQAVRRA -VDAIRRGELSTSVENVEYTSKCTLKRTFESIAEYVPPTPFILRYCELFNAIFTLNLDPKE -VNEEGAKREQGKRCSLDYYIGLKYRWGRPARDDLWAYQWERYALNPDPNDPQKILREHRE -GVEDVSRTNKITFAN ->YP_009059070.1 hypothetical protein [Cimodo virus] -MSTSFQTGKLTEFTTSNNISTGNAMTLFTFRTDLFHILTNQALPPARHGLYTAPELAGFN -LALVHDETHRVRVVLDKEARLCISQGTTIVRGQTKIQTEAFVTISPQQMTLLMGIISRFV -AEWIEYIKENITIDALRSRAGHAQNTDFAYAANLCHLYHTYLARSQPAARDTPQEKESAF -YSACLFHLKSASPSVVFQHLKDSKGIFAWKSNLAPGSDPVEELDNVYTYDHPTNSHGYMF -YLRIKPSYSPASCRFAIAPLITREQNVVNYEA ->YP_009059069.1 hypothetical protein [Cimodo virus] -MSLLVPKLAQFAILLLSDENSNDLSANYGTTFFGLFNGMRPYDEIKPGGYVYASSTLANR -SDLDIVLLNAGVIVFNKYSTIASFANNPKIRASYDCVVLNSTSADRLSELHKTANFTLRL -VEDRGIPSHLLPLISSYVSRTSIDFTNIPTINPPTKPISDFLALEPDVMQDSNIYQFDQD -AIQAHLLATHREKVQTFKLLVSMYDVINSRSMLCGYCAELAFRIRLVTRDEDPSEDEGIL -LLWDKTVQGHRDAHDWETIGTHKLSPRSIDGDDPVEILPMPDPSVQDSSIHPELCTALEA -IKHALPANVKVALSRGTPNKSTWAGVSAILSGDRIGAISPSVAIYFPGHAITRNDQKWNA -FVEVFRPISTGLYNVEPLRSKPYPEEELGDLFHKLKSAFGKHALQSELPPLNAASPAPHI -EKTVPFWLIIQSRRGSKWLVQTSTGAQVTPAWDLNVSPSQLVHDTDAFPSYKDYLKQVFF -GAPASYVLDACL ->YP_009059068.1 hypothetical protein [Cimodo virus] -MAAQPNNYDPQFFRQATLLVDTIRRYATDKFNFDNARNPVYPANYNLRLGYMPARTSFPV -FFDTYQPPIQSPREYLDTFGPVQYTVNDALTDDEKRQVFQNIGDLLQQIANAYHLQLNVE -QIDVTETVPQLVYTAFLLPDGTVDQDYARYMQSTNPQNQDVAQFELIRANGYGGFEFRDP -AEDVLHREDGNVIEPAPRRRIIDPASDDSDVDEDDVPEQERRFAAEQNRQQSVTILKGRV -IPIDALRVRCWYEGPAQIPDAIDFPAISDEIRIQDNSSSFDFFACVSNAMMNGAFQDYNY -KSFCTMLVNYCWDYAISDRIGYFVMRMTKYPVGQVQAPREVVATREQLEAKPNGEFYWQM -YRYWTITKRLNDEALASFVQPSINILANEIGLFEGGPGNVLNATQNIKFQMEDRQINYPD -LRLACPSLDYEQLVLFPADSFAVMRVLVSAIMVTTANKRDVLIDPVNQARYNEWTLYDMK -EVTTKSSLRMKWRTSALICCMLLYLLPDD ->YP_009059067.1 hypothetical protein [Cimodo virus] -MSYLITSAVSVEASPIWTQSFSQFLDFFSVGDTSIKYDSPDYSTVAYPPLLCRSNEESHP -PGNYFEMIFDTKSLSVSLNYSFYDEDANTLTPYKLSRDTFVRMFDRRAKPTVLMDFMTFT -GFIDRDNMYGLSPFIVEIVTKEKLAEMITNWSNSSIEQKFLEIGMTQPRLDRLKANHDQV -SVLYISAPQQTIDVPSETRAWYIHLSQNGAYCGYRSPDDSMLNCFYGWSLNSSGVENTSR -YLSGALGTGVDPKLRKALGTLPFDKTIVQLINDSAGAKYDPSKHDNQELDDYLINQKTIT -TDQQAQITTINDSIPASELVGRTILQIVQETGQQILASAKLYTDEQLLPVVTKLNSDIEA -MNAKITDVQTTLQAQITTNKDGLAAEISARVSEAEQFRSDISRLTSSVNSLSRKVDQIAD -VDLEELSRKIREIEEILNRDFPSLENLLAGFNLMIEKMFATGKITRRQGFYEVPSIGLFE -KPSIVISNEGVVYAVGLSEKFVLQRNLPRLLVENYTFNISFTPAQDGWCVFISDAPPPTV -QGADTFVTAIGYITYERFLVGVPFQKENVIYVTYGKRVYL ->YP_009052457.1 ORF2 [Penicillium roqueforti ssRNA mycovirus 1] -MTSVNTFNTAETTGALLGEQIKAIRRYRMENQGFKGFWVQAEKLDALESGLEKLISGEEK -TSLGMSALSSLNEELQELRRENASRKTILEASKFNEAKLKGDLEQMKRSADAMRELSQKE -KADIGQTINELKEELSSARKSLAASRQANRESVKEATADKGALQADLKLKADEVTRLNNL -LADLNGQVKSKTKAVNDAYAQVESLKARIEAESSLQKRASGMSYSDALKQPAPELKPLDP -IPEPERYVLKKALKSDVKAKLEEYAALKREAIRERAHKLMEIANSTDPKNFVGYKAYASV -LFSKIKDTPVGRRMSFEPAIDDLWESMAFTSGKPLRAVKAQYNELFNTPVEEQEKEKASS -AGSETWWQSTKFDFWWYNATSRSWYKEQKERLVGKKAQASSWFGRLKLRAESLRLYIVSF -WHWGSSVVKTD ->YP_009059077.1 NTP-binding domain protein [Cimodo virus] -MATNTPPLPFYIHYLHEPTKQRINNLLAQYGYKLPRTYAPETYEIIANRLCRTYSRTNQY -TESFIRSWSVNTGPGFIIYAADGESLDIYHGKKRKMCTKDVVEKILRKGSVLIYYGDVYF -QEYESYITKQRQRQLSSRDEFQNIPLLEEQKIKRGTVSPFYDSVRVVKIGNISQTSRSPG -YVQALDSLIPGDCIFNVEGEIALPKDLGINDQRVVSAIPFRDLPVISSLSEIRPDDVLCE -TPEWLVSSYNKRHVEDVAKHFQRTGGKTLKDTHFIKISLKGDRFSYRLTTFISIPKLITF -TETGGIPKSIVAILLLTSFADTISLSSDQIERITAIVPIEPVARVPSMALTSAALQLRKT -FFWNNEMGLIVVAPKGSMKTTLSEVMSIALPGVIIIDSDCYGKWITLVEQKRPVPKLSQL -GRDDYEEVSFFEKIAVNLLQIHGEDYRSALEHFRRTYAHILSHPVHGIAKFQDVIANEFG -HTQVLLFLHTTVEANLMSGKWQQLVIRPIHDTAEAVKNRDRALKEANVFLHHAYNAVTPI -SNRSCLNWAEFMILLNPSMLQLMEDKFYEELKKYGKYE ->YP_009059076.1 hypothetical protein [Cimodo virus] -MEQTKILKMSEPSANPDTPTHPILQHVNKSQAEARPQYNPSFYHLQYSSGRFPIDETLYK -ATREHFGSAKDVDYFILPAKKVNGEYQFMPCAVSFSSKFAIPPGSYADSSERMLHTLFTG -AEPTEIDILSFVDDFSVVHPGLAKLTAKMDDLAAHVSVVNQQHAFALHSAISHVSYQTQA -QCASLASQLEDLKTIIAQGRHREEVAAVTYSESETTTLIPSLVAEHKNTIIERSKSISSA -TSSGVSSASESIVSVTSPTHSSTSIEQKLEAPTITLARQSLAVRTNSDPTPSLSWADEVD -FPSLPAPSTDRSQVDLRDLRPRRGVRKIKDLVDVAATGKIELIDQACFKPQSIQKYCDAE -DGVKSPIDLHVARFSPSAKQMENSEGVLYATYHIKGCSDSPYTMHLIVKDDRGLADYQGK -NGVAIDFVSTRNVLQYLGFQDVYANIASIPMVREIDGFKSGLFAGRYGSFQRRRYSEHID -FYRLGVDVTKKIMSHSAFEDVVEYAHHKMIDLLSQYSFDDVPEFIELPSITKIFPTGESN -KEIASVAKNVAHLGRKLPNECVSRIPQCVQKCWQMKGQRHGLMHGAHVLYSREKNSFFTP -CGETVTDAVSYWKHPCTVRARTVALQAVHPEETKDLARTTSLREGTPCSVCGRRYLDRAD -SILCSVFCILVQHGQLDKEKKTLKLPRRIPPVGAFGHSVKRVSVPSRLSID ->YP_009094473.1 phosphoprotein [Dolphin rhabdovirus] -MMMTRRPLKIEYDMDKLEASLKEADEIEGANEGISERMSDLKSLNESLDDLLAEDDWAEQ -GGIETDAEADDEHESAVKSSDVDMNPSDDAWDSDCFVPPDIPGYETRGYKLSNSITPATR -LAILKEVSKIIEDLRGDMVIAPKGDTYDYYLMFPDGYFNNKDVGRKHYPGDRIPPTDDPP -GADKTPEGLKTRKNGANDDKNRRSVKEANATAQKSNKLASEIWETGVVVIAKDGKSKLRL -KPDKLYWERVEWFKQWDEKNMETLPRSKIIQHLIRKTPMRLTYRRKYILE ->YP_009094469.1 M [Niakha virus] -MNFLFKKKKSSESLWGNSSKALVSAPIMKNYKVECKIELNVHNKVNGPDDIVDIYRDYCS -NYCGVIQSRPLHCFIMALGICHIQRKQGMSQGGDYLCEYKGKIQLQLGEGADPGVCRSYQ -IHRKRISHPAMLAYILGNTVFEEISDEGVGSVETMTKEGRIEFKKLVAASPFILRSGKSR -TQICARP ->YP_009094468.1 P [Niakha virus] -MSFDAFEFPSDIAKVAIGTAIKADIMEEENDVEYTTDAAEAKTKQDIQIPESEGGWTWEN -PVESGSKGKEKKTLTYSSDEESGNETDDKEDSKDQTEITESGDTFGPLEYPKMVELTYPS -KSFRNKDPGKLFEWAITSLFDQLGVRKLHFKTDRKKGTCQIMFDGINSLKFGRALEPEQL -AFTKKPETPPPHSSPKKPSAPRLVEVIEAQKETRTVFKEGPSPQKMQFLLELKKGIRVRD -IEGDMVCVRLRDLAISEEEVLGTEFSGDMDPVTWLSELKDQFPHLKRILAMVDFEEP ->YP_009094467.1 N [Niakha virus] -MASKSMYLIGSNESIVTQENRFGKNPIYPSEFFKKKEKPTVTIKTSVLSTEGLKAVVIEG -LRNATLHSDVFLTWLYRSNFGAKLKLEEKWTSFGIKIGDEGEEISFRDLVTVKEEGVLDT -SSLVGDADAAKACSDLGVAIVGLSTFRLSGKQENQANHRKKLAERIEALSENELKGKLSV -IPNRGLSTLWMMDKNFLKTCAAVDMFFYRFPLSEDAKCRVSLMGCRYKDCSAFVAMGYMC -RITGLSEADLLSWVWLERVGKQIVAILKSGEECEAEYSYFPYQSSFCLTPCSAYSVTQNP -DLYLWIQLTGCLLLNKRSLNAIKSGDVNTYDVGKMATMLGFACSRSFSFKKIFSEKAGDT -SKVSGDTEKSIGGSPTGKNGETWLRFFVNGGKVMPDEVKDWFKECQDKMPATRPDTVGDL -LRSLVL ->YP_009094438.1 matrix protein [Sunguru virus] -MSFWNWFRFKKPDLPESLKVTPVNYGKPYDCYDISLSVELFVLEEGNKDLKYNQIIKACI -ESYTGPAEQEAFNVISWLLAGSTLNVKSNSRKRLQTTGRVKFKYQTCNNSLRSRFQYNRT -VPIFLRGWKFLLTVEFNGHPCEDGNDISATLKDKLDQLEDFRTSSIGFNARGKLMLY ->YP_009094437.1 putative protein C [Sunguru virus] -MSQQQIECVAFRRPSPVNNAMMDQTISWLDQIQRTSESWEDRSTNPPIWTLWQLVKQVFH -GIGSKVQCFFHGIQIRLLETILSTREGRYQAIEVVKRIANQHPELFNQIEIPPLEREMET -IYNSNEGGTLQ ->YP_009094436.1 phosphoprotein [Sunguru virus] -MIDESTTDRVCGIQKAIAGEQCHDGSDHILVGPNPEDIGVVGGSINQSPNMDPLATSQAG -FSRNWIKSSMFLPWDSDTTARNDFVDPRGEVSSDRSREENSKSTPRAVQSDRNSPSGERD -GNNIQFKRGWDAAMTAMEAEFKRLRLNLSIISSLNKLTLVPIPELTKRDQADQESEKEDD -SEEEEEEQFSKSKFVKLVNRGCLKYKGRKVTSDRVDPTVLQQLKGPMSLKDWLKNVLV ->YP_009094431.1 alpha2 protein [Malakal virus] -MAAEELLTDLSLSRSWDKGLIDEMKVALSRKINQTPNWIHAGDNIKVDIFYVEHMKERAN -IDYFELKKSIRPKLHNLGSLDMLEICLFWTKI ->YP_009094423.1 putative glycoprotein [Oak-Vale virus] -MAAKLLIVISLCGLVLGDYIYYPVTIQTPFKATPLSNLQCPRHPSERSLKNSGYGRGWIL -KLNIVDVPGLFIVKQKWKTHCFMNFLGIKTIRHEIISETLTHDDVKTFTTTPTFPNEECH -WMSDTVTEKTYFIASRGTMAYDISTGRSADPVYGTHLCSMHVCHLRSDVIFKSDEEFKIK -EYGFKEVVYEAELDENKQVTESSIVQSRDFHPLSIRGACIDEQNLEGKKFSIIFPNGFYL -VLDIPIVSGGLNIKYEQGQDLQKRASMRLKGTGSGPLRGVKDVGVIFNTKVTDSKCGMWC -SAINGLPVCETFARHHIRQTGMLSMEFQQERRMLAKVESFICREKLHDVRNRKRINPISL -GMFVQRHGGPGPVYRIRNKTLESATGIYKRVFWEPTDNRIGKYYNGTTEKEIVCKEWIQD -ENGHSCVNGIVRYGKKIIHPSSLANTAPEEERLFAESELVDAYHVPTKAVNPWADWNPLH -PPPSHRKFLGLHFPDFLGSVKYYLEWILIGSLGFLLMLLIISCRNRNRGYY ->YP_009094422.1 putative SH protein [Oak-Vale virus] -MFWKVFFALVLFSYWNNPDVASRTATTIFDMMLLATRYIASYILPASACPPCPEVHAPLP ->YP_009094421.1 putative matrix protein [Oak-Vale virus] -MNLLKFFSSGDPLAVSSAARRNARISYSLDITLDLSRINVSLDHKNVIEAIKRVISPPLE -IRSLICYLLTSIRTFRVIKGETRTVGIKWELSKLTVPTDFPERFDKRWIVHREGILPGFD -RPIMYKVHICIEPGPGGTAPDFKILSLMSEEERRSNFTQRDRGWVFN ->YP_009094420.1 putative P' protein [Oak-Vale virus] -MTKTVLQRRSLRAALSAKRCSHQTHLTPRVKSWITSQVERVMDRLTRVLNGIILFLLEQV -IRTTPGQEAVCQLLDQVELEDQVDSSEEEVD ->YP_009094419.1 putative phosphoprotein [Oak-Vale virus] -MNLSPEQLTELSSFVTQHQLEDDEDSLAEKVAKSCSISEKVFPPNPSDTEGEIMDYQSSG -ESDGQANPSLEWDHSVLARAGDSDHTRPGGSLPTPGPSGTGGPSGLIGGGSGLIAIPQTP -PAIIHQPPVDASPPLIPAIPSQVTLTLADAMSLFNSVLAKSDEGSFYLEAGQIKYLSKKK -QQNLTRSVLSGPDSPLPGMESSPKPQAVSRGCQTTSSCIATSKPPTPPPRRTLAANFSTP -LAPPEKSKSSGEREAVIPPTGIAWNPFCIFPEPPGNKDGKEASPESTHIEEHPPGDTGGN -PFVQNPEGAQIVDRPTAPPLGRDGAISNYAGSPPNVPRYSLTELRVKSEKGFKIKGLNKI -LTIYDFRWDEMTSHQGKFPLKFWLRFANI ->YP_009094411.1 hypothetical protein [Coastal Plains virus] -MHETSSPNLRFGEQISNELKRFSESFMEWWHKLYIIVILVAGCYVLHKVTKLFSKIIKFI -SFVRGKIKTRKRGKQGKVCSHKFKVKLGKRNVKRSNLILTEVT ->YP_009094408.1 hypothetical protein [Coastal Plains virus] -MASTWAVSVTAAVSSVFLRELSCHETMLNIVKSLPVSQSDIVHHYLNTVGIGPAIADCFR -NIKLWESPHKSIGNRTVVFKLRSQIGQPSEKDWSDSITLHWLDKRTGTYTMVDLDFVVYC -INDEIHGYITQYDLDNIDPYLVLFEEQGNRITKRKLDIGIFFGELHINEGTEV ->YP_009094406.1 protein P' [Coastal Plains virus] -MEHRRWIYKLKICIYLRCLTIIRTMNILLLNYL ->YP_009094401.1 alpha2 protein [Kimberley virus] -MAAEELLTNLSLSRSWDKGLIDEMKVALSRKINQIPNWICSEDNIKVDIFYVGHMKGKAK -IDYFELKKSIRPKLHNLGSLDMLEICLFWTQI ->YP_009094393.1 SH protein [Puerto Almendras virus] -MNDKSDSNNNNTLLILEIIEFILIILIICMMIYLWYQSRRQSNKLCTKVNMVYNVIENLE -KYIMTKCNSSLDSRTVSKWV ->YP_009094391.1 M protein [Puerto Almendras virus] -MFKNSYIMTGDYSVRVFKIRYESSFETLPSDSDIKPMIEHFDGKLKFLEVFTFILNYMVT -LQIRNKVKTAPTSIFVIKFPVDSRWMFKKEEMYSMHKDIYVENKEGSHRLRVKLVVDLHV -DNRPRDRLVRPVMKKILSKLYDTSAERKMYEIMKENNEC ->YP_009094390.1 P protein [Puerto Almendras virus] -MSRNHFQKLGNKPDIQADIYDSANLMSQINLNAYTISKRISEDYQATRENEEIDDINYEK -EKESKELEKMFTENDGDTNITPEILQEIKRNHHLRSGTIDIIGQSTTSSNRPYLDMGKKK -DEINLTSNDCIDNLNQKLEEPNTSSSRTTKFDVDYTAVNRNDYYRGYLKALSDVNKLLID -NSINCKFDGSHSDNLKIILFAKTDPEITSPISIERQSQSSNKTKILQQSTKDSEYTIRKL -SDQIKVITFDTPFGEPVVIDTAKLIESASTYLDSQAAVCQLIELIESNSNESNHPTILKI -ISGIPKYSGLKYQVDRKYRV ->YP_009094392.1 G protein [Puerto Almendras virus] -MITHKYILPIIVLSNFMPVKRDDLTCPIYNHQNVEFVNTTITYIRLNSVLLQSKSLELKQ -MPYVRGHICQKIRYITTCKANLFTSNEIFYKKEYLTVTKNDCEMKAVHETGEYPAPICTW -SLFGSNLHNEEIIVTEIQSHDYHYDLFNGKIKDSEYLFEHCTLMYCKLREHKGYWIKSEP -TKNDICPIVQDDEIVAELKFYNENHFLKINHHLYSTEEVCKIKYCNNSLLFFKDIGFFNI -KSTLQKMDKIFKKCTKIEDLRYIIHDNVEKIDNLNDCLNFKLNVLTNKDRTIAYHDIRKL -HPKGTGINRIYRLNGNNTLESAIAYYGSVESNETKIYLDYWNDCSKTHTCTYNGYMGKKG -LNIRARLNIDLFQEVYEEDSSLLIYNGTTDLSTGTIGELTKGDANVTFTTKEWIPHISNY -IIIIVFCLLSGAVFIIMINIYNRIMVMKRNRKAFYNRENDNRVIYVNDWK ->YP_009094382.1 SH protein [Arboretum almendravirus] -MDSLTILSIIEIFFLIVIIILLIYRIYIDKNYFKHWKSYIASMYSKLNNTINNQRYKKDD -CHESDRLTVSKWV ->YP_009094381.1 G protein [Arboretum almendravirus] -MIAHKLILPLVILTSFQRIKREDITCPVYNHKNVNVSSQSLLQFDMRQVSFNSGEEIINH -NPLVTGYLCRKLSYETSCYANLFTSNTVEYKLKILPITKKECATGSNSQVKSFPTPICNW -SMFGSNTVKETKQYIEYEQRSYKLDMVSGKLKHVEEIFDKCYEEYCVLKDNSGYWIRDDQ -DEKKYCPKLEDQKIPAKLKVIDQFEYLEVAQHIYDMQELCALEVCGNMLIHIPDIGNFIG -DDRFMKKLKKCKSLPSLRNAIENNSEDITGNEKCLDFRLKMLGNPDKSIKYHDIRNLHPR -SPGINRVYRLGENNTLESAIAYYGSTGLDKISKKLNYWVNCTEDKVCSYNGYMGKDKLHL -RSKLDSETYQDIFEVDDELIVYQPTRNISESFYKDVIHYELLDKMTQNFSIFNSNYYSKI -IYALLIILAVFFIYKIMKLLTLRCFKNQSKFGKFYQISTNKSQELDMMRKDISQWK ->YP_009094380.1 M protein [Arboretum almendravirus] -MLANQFIMNNKYVFKTFRIRYEASFKELPPHSDFKPILDIYDGKIKFYEVFTFIINYLLH -LQIRNKVMRSHDSTFVMCFPVDETWTYRRDDIYSFHKDIQMVVGCEVRELRLRVIIDMNT -NNLPRSRLVRDVLRKTLSRLYDPAISSKMYEVIKRQNEC ->YP_009094379.1 P protein [Arboretum almendravirus] -MSNPKVCDDLFNSRRMLAQIDVSAFDISKQISEDYGTTRGTDELNDIEYEENEEKKGLEN -DKTKTLTGDEIMTPNIFSRMINTPLEKANLELSETKCRNNKPCVEPRQVTFNTGRSTESN -DGNKSYEEGYLRAIWDINNLLSKENFKMEITRDPKGSLTIKKTNDSGNVEKCIELAKSDE -SSCSYKTEIDQDISFTDSESCGVYPPLHYDLMFGGKVEINVKVLVEELKSSNMDKDDLKI -VIQLIDNNLENKNAKDILKYIKHSKQYGKIVYQVARKYKI ->YP_009094367.1 protein C' [Almpiwar virus] -MTSLVQSLTWFWLRIRLNIMILRSRIKHTPTSSQHLKEQKDMTQVRRLLTQRHQLTLKRM -KKQMKMIRRVILLDPLKKLILKVRLMKTRLKNKRVNPSRAILRNCRRKNSETRSRLKDCG -EVLMRE ->YP_009094368.1 matrix protein M [Almpiwar virus] -MSGLMNKLLSKGAKRSKESTLFTNDSPPPYNPSIPGPSAPLMESCIYKVSCEIQLVLDQA -LEDKYVLKDILKYFLRDYSGISGHKLLHWFLWGLGGCMLTKGVKLEGGGWSYHSMLSGTL -EFDFVGPIRPSDNYGRCWYAGPINLGWTTGRIQGETSFRLTPDRDAENVKELLKKTQRNC -VPVCFLLEGSPITCSHGNKRVQIKKIQ ->YP_009094369.1 putative protein U1 [Almpiwar virus] -MITFSFEFFYPCILFCLKWIRRKILYVLLISYGINGEVNENEHPRSKIVSAISSYLRFLA -ANDKEFNRKSIHYLKQDIV ->YP_009094366.1 phosphoprotein [Almpiwar virus] -MSFNDFSLTFSPIALKAAKDHDEAEINMGTKFDLENRSNQSPTQAFSSSTSEEVSVEKTQ -SSQSVHGVEFKIPRVGIPATQQELDDIIGSVIDLVLAQNKIEHHDIEITDKAYTYFIPTS -KRAKGYDTSEETFDSKTPANSEEDEEADEDDQESDSPGSSEEIDSEGPSDEDEIEEQEGE -PIKGNLEKLQKEKFGNKKQAERLWRSLNEGIEVPLITGDIALVNQDNLGITKQMLKPLDF -EDDWTPVYCLKYVAFRYSEVCAAGCLIDWSDITKS ->YP_009094354.1 phosphoprotein [Eggplant mottled dwarf nucleorhabdovirus] -MNRKSPRSEERLGSKPYTRADKRQKTSSPSPSPVSSKSSPISAQSISDRINNSDSYDDIN -PGSLQDFITTLPDISEQKDNMPPIAPDQKLIHDILEQLKNNGASPSYEAVSHALEKSNLQ -NTENVGSYESRAILWYSAGYNDAARSSEVQDAIFAKKQLPDISSGLLSSTNTLVEIVQKF -DEIYRKMNKKTSVQDLNKDELVTFCLSSYEGKSQKEKASSIMMYLNAYIGYSSIYNDVAN -PRLVEGTFNFMRTVDPIAVAVMTTLGEERGMVIVGDRVEKDKKAYSVHIGKRKT ->YP_009094342.1 phosphoprotein [Scophthalmus maximus rhabdovirus] -MSHGLSKSRTFEEITDVEQVLDNIRQTESKLGTSAGAGASDEPYPAGGDLPPASGGFRYL -SSQEEGSYKEEEDNWLINQSRAAKLVAPLADYRLAAAADKDPSPEPEDQRREIEERIAEA -HDQMLKFEMFPYTYFMPDYLKSEDQQELVHFLTEILGPRNSNRDFWIRGTHIPTMCWRNG -DMHIQDDVESKSSGVSGLTKALKSVQFVDPGEQDSATVESEMDRDLDCYSIGESSLVSEF -MLDTSDLMESLNREWLVATKKGRWKTLGPLFLRPLAQDRALMKTILSEMREKDVTEQMSC -LLTSNELTSRFVRTLDLGTLKMKGSF ->YP_009094341.1 Ps protein [Scophthalmus maximus rhabdovirus] -MEQVAKCLMDYQNRAHLRRSRMLNRYWTTYDKQSPSWGRLLERERQMNPIPPEVIYHQLP -VDLGTCPLKRRGAIRRKRTTGSSTNPEPQSWWLRLPIIGWLLQRIRTLVLNRRTNGGR ->YP_009094338.1 attachment glycoprotein [Salem virus] -MKAMHYYKNDFADPGTNDNSSDLTTNPFISNQIKSNLSPPVLAEGHLSPSPIPKFRKILL -TISFVSTIVVLTVILLVLTIRILTIIEASAGDEKDIHTILSSLLNTFMNEYIPVFKNLVS -IISLQIPQMLIDLKTSSTQMMQSLKTFPRDLETLSTVTQSVAVLLEKAKSTIPDINKFYK -NVGKVTFNDPNIKVLTLEVPAWLPIVRQCLKQDFRQVISNSTGFALIGALPSQLFNEFEG -YPSLAIVSEVYAITYLKGVMFENQENFLYQYFEIGTISPDGYNKPYFLRHTSVMLSTFKL -SGKCTAAVDYRGGIFLCTPSPKIPKILQNPPDLPTLTVVSIPFDGRYTIRNISLMLTDEA -DIIYDLDTLQGRGVLQAMRFYALVRVISSSSPRHFPFCKNSWCPTADDKICDQSRRLGAD -GNYPVMYGLISIPAHSSYQGNVSLKLIDPKYYAYTRDASLFYNSMTDTYHYSFGTRGWVS -RPIIGELLLGDDIVLTRYTVRSVSRATAGDCTTVSMCPQACSGGMNSIFYPLNFDKPQVT -GVAIRQYERQQEGIIVVTMNDHYYYSVPIIKNGTLLISSVTDCFWLMGDLWCMSLMEKNN -LPLGVRSLAHLTWNIHWSCS ->YP_009094334.1 C protein [Salem virus] -MKIEHLSRMLSRFLQILKSKRINPLENQESHYRTLESITEKTTMPNSGKTSKKSIYLCKR -DPSAMSNSASRVEIKTIAHELRQEIRKEISKWIQKQQVKSVVPQDLHVETQILLTMLSRL -AEGELLTQDWQRSVLKEIQDSAITCEVMDQLVAMILDLFPRKYHDQVQGIQPIPVMLGV ->YP_009094321.1 matrix protein [Culex tritaeniorhynchus rhabdovirus] -MSTSQVGVKSFKILFDLQLSSDTRFNNCLDLACGISEVRDAMRGTPTEVSFLLGVLGLGL -YGSKAEKLAAGGSWSKRVEDIVKTKTAVALLKSPIARSFRYENSLTLRGARTYIRMSVQI -SETTLDGRRYREVFGLMDKGKPTPKGPIKEVLAVFGVTLESVGGLDELSMISL ->YP_009094320.1 phosphoprotein [Culex tritaeniorhynchus rhabdovirus] -MTTPRKTRNKTPAPPAPPAPGPSGNGADMRAPGSLTGCFTKFNQSVIEEQIRGAAAPVDE -GAEMEGVEEGMFDGLGLEDHLGRSGKTKKRGRGPSPGSSPGGAPGIPAQRRRLDVGTYPI -PPEMRPLAEHKLLGNFIGAYLLSLPTGLPVGAIKRVYESADGSTLFTSWDQSGSGAPQPL -PVDHREGPKRAKAAECPTAGTKTIEVQSRLGQGPKSVVIQASWLERFEKCRADPARLLKG -VLTALGQYNEFKKSWNLKTAKFID ->YP_009094318.1 hypothetical protein [Sclerotinia sclerotiorum negative-stranded RNA virus 1] -MPSYKEKYFSICNRLTTMERRVLLDESILLDLRQTILNLQTQLNNPQKELDEFLINQLHL -ALTELIKYANPQFQLPAHFKGKEINEILTITTEMIFNAVSLLRDATVRAQTIISDIPSKP -IKDNPPSRNHLIKNRTLNNESRIILKSSTTELSPEPSRNRPRSFTMSP ->YP_009094316.1 hypothetical protein [Sclerotinia sclerotiorum negative-stranded RNA virus 1] -MDVLENQPQFDFYSFVCALVLLEDLTKRVWESNLIETSSEFSLVTEDGEFEEVEVTSGSN -LG ->YP_009094315.1 hypothetical protein [Sclerotinia sclerotiorum negative-stranded RNA virus 1] -MPTLSNNCQDLVILCKLSSPTNVAITQSSNLQDLQSKVNATKSHHRTLENKIGFFQNALI -LTMQDKTELSWDILAQLLIETKLKICMCPDKGYYLLLIKSSPFSVTLALDQAKTNMSGLY -VFLNDEYGLHMSDSGSMGSGNTNITEPDVIIFRIRKSPPSDIIPNRDYFSRSTSQGMIDA -ISIERPAFQWEKFNVERRLDTNPRYLSESIICDQAEFIRRDICSAPTDNREYRIRHTRYD -ITCTAIAAANTAFPNTLNYYINDQYVCHG ->YP_009094314.1 nucleoprotein [Sclerotinia sclerotiorum negative-stranded RNA virus 1] -MSDSRRTIPDVFKDKDTYGVNIEANRDRLPTIDLTRNCEYSEIFVSATDLSGLGCLAGIQ -HAEDSDDIAKLVIGAMISASGVNYSTARLANVFKDTSAEIKNWVISRFSHVPSEDASEAE -LPALPNSCVPKWYEELEVPDESVLFAYGVDSMEIAAFAGILAIAVAKQPSPENLDAFNLK -RRNAISQFMPSGELKVFTDNSPYLSHAVLSKINKTFNSIIRDRALVMSSIVDKDDPMVSG -TQRMFYVMFRLTFGASLSPLLLITKYARKYPQFFREFSDLETEYFAAAHALQRFLDTSTK -RRMYLKVIFGSAYIPIDRNDINELLGVAVFALSQQESTLEQYAGGTLSVQHREKLIALLN -IREAAEETVPEAPAQ ->YP_009094313.1 hypothetical protein [Sclerotinia sclerotiorum negative-stranded RNA virus 1] -MSTTVDIKKEEDTFEELELIKGLGSVIENDQELRTSIAALTGTLPGNMREIPVEQDTTAL -ISPSGEILDEPIMQSTSLSHRHETTLPQPGIKNIFPSSAALLTESTKAINACRERINALE -ALVHSQGQQISDLQDQNSALRVEIQACHSSVSLHKQTTADAISAASADLRARIVDAVSIY -KETPGLVERLTAATNNILSMYPEELKTGLKKVELTKTDQVLMQKITTNSTLPKAKLPKHL -RK ->YP_009094311.1 hypothetical protein [Spodoptera frugiperda rhabdovirus] -MDLTLDTMRHIETLINSHLELEDLKSLITDTCLIHSRDLYNPFLYIICFVKPTITASAEN -FMIGKLKKIIIPFWDVVDVTRCKRIICTEFAPDDVILMKLTPVISYHSA ->YP_009094310.1 G [Spodoptera frugiperda rhabdovirus] -MVFLSLSTIIFILSLRAVTCSNPLSYPNGILTNNSTHNHPLSDFYIFYENSSLTYTQFPV -APDCSSILDTRDEQYPTTVTLWKVDQESQAEWGLLLWQERIDTTCSWNFWGNYKGSIVSK -SSVPLKDIPSGSARNGYWALSNDEVQEIDHVPYNLRYYCYWCRNEYPGSFYMRYVKKVRI -IRNPDGSIKTPRGSWVHELDNLWGDQMRYLVIRRFGGESSCPLKIYDVRAGVLSKSRSNF -ILVSLPSLNLQFSVSLESTETKCSFGDKTYDIVQSMGGYLLSIDIGNANWRGPWDPTPQH -PGRERRSIMEFPDQTSFRYNQFINYHSSPRHKRHDQEFEFPLSLKSSYDYAQFRYEQNFI -IRQINKNFGLLQKSICDIQFSKWQNLSPPNLAMKIAHYVTGSIHSIGGVHHGSYSIQRTE -KSITKVNLVFPIVIVHGMYKCQREPSKEVVWAEPVTGILFKSPIPTHFSLSSSWLPGVNG -SSIVPLTGQILLPEITMDHLEVVQQVEAKMVKSMYTNVELFGSTEEFQRYQTQGITSDEQ -SNTVNPWIGLLIHGGVSIATGILVALLIPSILKLFRHIIEKGEASLEERLHLRETSRKEF -VKVRGKPWGV ->YP_009094309.1 M [Spodoptera frugiperda rhabdovirus] -MSALERIARSLSLKKLNPRRTPKTQPIPEKATVYHPFMLSYDLNLAIEGKIHISAITIIV -NALSLAWAIELFHSDSSWSGCLEYFWKSIKDNILASINPRVDPNGTCHMMTSIITFLGFS -DGSCINSEAEPRQLTGSRSWEIMSPNQNLIVITLGFKITLKTFAQHQRYSLRDHGFHKLE -MLNEKEKKMLNYMGVKQLKPQYTHEKTFEKLILKNKGPKGSRVRAILHSQSRDMWSPTAP -SPPPTYEDGSSDEWDQQQLHSLNHLHTPSVPLRAPRTSPPQQLSPKPTSTTQPLPQLTQP -NKPQELSK ->YP_009094308.1 P [Spodoptera frugiperda rhabdovirus] -MKIMQRILRHIVSFFSKSWCQSSDPALVCDCEYPPLKRNYQLIYSIMASHSLDTIDLSEI -GLTREVLTGVGDYMTGQRPVPAFNPPEVGHSPSDEVAKRLGELKNYWTQLEDPLDERILN -TLKAISILSGDTRGDLSGKYKHLVRISGDDMPQLLDELIDICLLGPKTLIATLRMAITAY -TAALARNAKSTISDITTASADLMVITQMIQSQQESFQSSLEHLSHAWNNVASGMTAYTAE -LDKRTLKLTQLTPPVKPDHHRAPSTASSHTPDASVGLHINITPGCAYKSQFGVLTCCPNG -NIGFLANNSDGTVIAKLVEVIRKPRPLTTALNKNLSELINYVKANPKILATYSTSSPQDK -LNILNEIHFCIPDLTNQWVKA ->YP_009094307.1 N [Spodoptera frugiperda rhabdovirus] -MTQGTMKPVWEELGTGETEFQGTVDIPGRSLKPEKTDWSVDTCREISLNLKLPGEIWQLA -HQETIFNRFLTFYATGYVPNTHTATEIVLSMASLIFKDKAKAPIDLIWDDSFQASPSEEC -GFSVVGETPLVIGQHPDDDDYTLREDEESAAMNEEEKIQAALKTLGIQDTPVDLKDASGI -VFETKEDREQRIKNEKALHVEDDINALTQITKQFLFEYSTGSLQKFVAKATTIFIDNNAT -NGFTRLHLHAIRVMNFIALTMLRKVTKSNAQMINAFLKEQYKRNIASLIPGALSSDFAPP -SKSCIDKLTAISKNDPAVSSFFAKVVMLNMEEERRNPSLVACLGASLLTHTTWNGMGILH -VIFEVCLFHQISWKRLVTESLTSLTKMSWGEVSQFLIKYQAKGNPDPTVAWARIIDDSYF -MRLTIVNHPTLAALLVESLIRSQKDDGILNANWAIQHRDTINYYRDAAKLLTDKLTGQTA -TVQALTNEAADLVRTMNAGPSRYHPRPSTLIPMVDLNPEDL ->YP_009094141.1 ORF3 protein [Moussa virus] -MRRFFKSIVSRNSTEESYIIEDKEGEKNTEEGNAIVPISQPDVERGAVGGRPDSGRYSLS -WLPSIPSTAFIGAPRASAPTESEVASVQRITFELDISATLLVEKRANSSKMILASLMNWP -NAYVGDYHKRKLWMTLAIVAAIQMEHQRDTGNKSFYAIKVKEGLSILVKSVHNLEVGHKE -SWGQTMDLTVNGSSAFWSFAATVTPTLIPYPMRRSSPELRGILSSLWIETDETDGKLIII -V ->YP_009094140.1 ORF2 protein [Moussa virus] -MSGDKFGQLFVNKISRDALKEMIATVETAQESGTGEDPRNDPLNTQSVSQQFRSAWRYNP -NLEVDDDISTSSSFTLKKQADRESDDELSEEESEREVDPEDSESKDFNLSDISSLNSEVT -GGKKCIYVPKGGSSKTSNKALADFVKFLQIKGIISQGTVSDSGEVVLTCKSPSAPTSVTD -DSGTPSPLLEKKDFTKKETSKPQPNSSKIKDADQLYGLKEKPGPSPKLYNGTLIYKSKNG -GTLKVNPQKICEKYGCQEPVTPSNWLHHHRSCHPNGTTIPAIRLLEYRE ->YP_009094092.1 C protein [Mojiang virus] -MASRLLTWSRKLGRNRSKSQHTGEVQLAFQQPKIEQQPGSCSINLHLMKPDLKNCLLKKE -MTQLTLEMEWAFQSLFIMLTVEEAEHTKRQTGMRETNQYSKISWLQTFNRMIQEGRLPTG -NLITRMLTAQIIEPKEVSGAMDVLRLIRLMCPAYSRAMYLRTQMLKDLVRNPSHHSE ->YP_009094275.1 matrix protein [Yug Bogdanovac vesiculovirus] -MKGFREIFKSTKRDGPSSGSNPKKEKGKRKDKKKGAQMDDERPPAYFHVSPEWGAPSAPL -FGYDESYEEPSSVIATKVQYKFSLDVAVNYPFANFIEALHGLKHWCDDYRGLFDKRGIYN -ILMLYAARRLKAGPKSIYNGRSIEYHSTGSGKFTLHHTLKNLFNMDFTSEQFVRSWTDPL -RSGTLNFFVWVGETDTQDELPPMIGPAEFSDATEFNTGCEVLGIKIEVQSDNTWVVVEA ->YP_009094274.1 phosphoprotein [Yug Bogdanovac vesiculovirus] -MENSRAVSEALKAYPKLDAAVAEADINESMLMPPDEPSNNESQEGERFYLTDQLDGLDSL -SSESSEDSDPEEEIVNRCYPNQLDIHGEEYDDNRDSDPIEDLSRDDDDQGVEDESEYEVS -FNSTNPIIDLSDVRYPVRQMILAQMSEALDRIQRSPRVKYIVVMSGDVIEFIPIEEPVSA -PPPFTPADHTSTSLDMVPEASSPESEEIPSVPDPGELLRKVFYLPHKKGGDPLKTSCVEL -FGSLSMAAEAFDNGAMSFRELVELGLKQRGVFNRIRIEYDIMPVFTA ->YP_009094166.1 pAG2 protein [Xiburema virus] -MESQRDSKGCSPEPNVPKSETENTQLDRRSRQCELFQYYKAMIEIITQMQSLMARIVEKF -EDHLR ->YP_009094165.1 pAG1 protein [Xiburema virus] -MERGIGFNPSQAIDGIKGALNNLGNSISSFFNDIGIKLNYWGKIFLIIIGVILGLIIIPR -LISNVCTIISGLSKCLRWLWRMVSACRLRCPRLCCKFRRGDN ->YP_009094162.1 P protein [Xiburema virus] -MDPANLVRFGSKGRFPNMKLAIEDMLMTESALEAESNPINNQPAPLLTSFLAEVDGQNLD -AAAEEDWGEKVAQEVFQGDWDMEDGPKFTFLLNYLPPDLRDQVESSVLNLLDWINTSSRV -GLYMRRTESSVEIKYEKPDEKDPPLAPVQIPEPVPSSSAQKLNLNKETPVKKPIKLAPTF -NEERCISLGEGKSGEALYLNLKHGVKFPKRTGSGHLKVSLETPGIKDADIEKYKNYPLKE -GLHKLLKRAGIWKALVGVADVEKPIWPDLRY ->YP_009094147.1 V protein [Salmon aquaparamyxovirus] -MAEQKGYPNIKLNDEFLNEGLNILGCFLRGNAQTAPTQEAQGHKGGENDTGASQKAPTPT -RRRNSLSGPSDREMRSQREADVDKWDHSGVYSHGLRGQLDKSTCEEFGRGQDGNTGGLNL -CGPDVVLNQGPPHKDRALGGIADPGKKTHLEPADLEDGADEESLPRETGGRAPAGRSMEG -GFTLDRRGSPCKPARKADFREGTDNPDTWSDPGTTFMRKARAMNPQSSASPYLEEQLKAE -KAQLLYQAEDNNEDKSEGSAPEAEEDQEEEEEEDEIVEDPDSDGGETSGLLDAHNARAVD -AGNRIRDQIYDVKTREEEEARQVGKKGHRREICIYTYKGVIYSESWCNPQCAPIRRTPYR -EVCRCGGCPEECPDCSLE ->YP_009094145.1 C protein [Salmon aquaparamyxovirus] -MSSILDKIKRWQNKRATQTSSSMMSSLTKVSTSSGVSSEEMPKLPQPKKHRDTRVVKMIP -VPLKRPQLPPGEGTVLVVHPIGKCDPREKRMSTSGIIVAYIATDSGANSIRALVKSLEEV -KMETPEDSIFVDQMLCSTRVLLIRIEHWVELLTRGRRPTWNLLISRMALMKRVSQGKPVE -ELLLDEAWKVVLPWIEGEVRASLPAKQISEKGQTTPTPGQTQERLL ->YP_009094146.1 phosphoprotein [Salmon aquaparamyxovirus] -MAEQKGYPNIKLNDEFLNEGLNILGCFLRGNAQTAPTQEAQGHKGGENDTGASQKAPTPT -RRRNSLSGPSDREMRSQREADVDKWDHSGVYSHGLRGQLDKSTCEEFGRGQDGNTGGLNL -CGPDVVLNQGPPHKDRALGGIADPGKKTHLEPADLEDGADEESLPRETGGRAPAGRSMEG -GFTLDRRGSPCKPARKADFREGTDNPDTWSDPGTTFMRKARAMNPQSSASPYLEEQLKAE -KAQLLYQAEDNNEDKSEGSAPEAEEDQEEEEEEDEIVEDPDSDGGETSGLLDAHNARAVD -AGNRIRDQIYDVKTREEEEARQVGKKGIDERSASTPTRELSTPSLGAIHSVRLSDAHLTG -RFAVAEGAPKNAQTVLWNEYLLPYLQDRFNYIDTKLQTLENSIIAESGKNEKLQAKFTNT -TNRVSAQVSEIKAMFSELLVVTKHGGKSATKGDEKSEKGPKIRSVLSVEQPKEMISYDAL -SASVYNYSSEGFGAKKSKDWEIVDPTIGKTPPATTTAEKVRPNNSIGYKETIKELVRRAD -YTEEEKVFLVEYIDDESDPESLQDIRANAIERART ->YP_009094135.1 alpha 2 protein [Berrimah virus] -MFGYIEIKIILDEKIKKDVVHKLELWRLTEEGLFDLMAKGNLNSVLKEEANFGFCRWLNT -KGNWLNKSEMRKPIIIEFQNLFDCPSSKAKVYKTKIANREYKLGSIKEMTIKLFFF ->YP_009094127.1 hypothetical protein 2 [Goutanap virus] -MNYYVLFFFASVVTAVSAAVYNDVYANFDLPMLKKTAENVLSGKYAPNRTPFLTFKKFST -IYRSELFTDFKIYHANAWNKFLGVSCAGNHVPYALTHHIFSEIYVCLPFPPVLEPIYTAY -ELVPRERNFFIPCGMTGEKSTFANFEPMNYKDYLVLQDPKGKFHLSSKYCVGHMYQIGLN -NKEILTVNYTVTDYSVTIDYPSSYCNVFPLPIKFLDFTLLPIVVRVKSTLDVIDCLRVER -SSNVVAGRPMYELAIDDVTLLRYNTTTNGTHNFAYTYKSRHSYPTKTFHISAAANVNPFT -WVTTGLLTLLTPILDFLLNSFLYCFESLLNIFESTPFLNLFDRLLHFIYNIISLISKFIA -THIFPKILTFIKNIPIRYKFLLVFLFVMYLKTTKFIFSCCVTALIHLCIK ->YP_009094117.1 small hydrophobic protein [Tailam virus] -MERDVSIALFTIYFVCIFWGISSIIWFMYLTIRLYMIRSEIYKKISILNNEIVYLQELSR -ENTDNIMGGPPPYTQV ->YP_009094050.1 putative attachment protein [Sunshine Coast virus] -MVRNNIKIVEDDNYVFYGDESTSSLIMDSFLLQKRRRKIKIILKVMSWSFLLLTFLSLLV -FGIKTKIMEKETLKREMLLSKSQIEQETSLRNCTEHLRVMSDLAPEIRRLNSVIDSTYIS -IETCRLRAEDINLQLKECQLELQKYYLLSDNSDYPLTPPSVPYQGSSERHFIMPTNYQQE -KISGLCPYSSLVYFKMLSFNLKYNPIINKFSNIIVIPHENSVLFWEKTPVTITGNQLLNH -VSERSVGFESRTILKGLHRLETTVKDNEGLSITAKEMIGDVSRTLPNSLEYNSILQTDCS -VAGDQKRIFQLCIKGADPTRPSCIENQGKMIYILESNIEKDKITLDRIRPIMAKDIKKIP -KGESLDNNLCFKMTYQNGLCLNRRDSEKGGNKYTCVYPIFYLRQSELSEADEKRDYPCSY -YPDSIVMHEKGSKTEIKKYKHLSWMLGVFIVRGSDDSIKITFSPISYQGAIIIGMKINKL -FFIIDLILDSLLINPLRAYVDLKEVSPSRANVVKMDMVTISAIFNEYKTHCFPVEILPTL -IDIPCSDNFQMLIKRKEIRPIYTCFAKQTDRSMLSVCEKKENGPLWVSDFIEVSIIKEET -GRIINLSFCDLSGCENTGKNQRNKVYIPQNSVSSQKMICGQATKQLNIKVICTLILSSID -ENLGIYTNHIHNLYLG ->YP_009094049.1 fusion [Sunshine Coast virus] -MENQHIIIKKKKKGKKGKKNKKERKKKGKREKEREKKSTPKPQLKPKILTNLRGDSPMET -PQLFSGRTGHQWSRRYIRYNYFLTLTLLTVIFADHQELTQNSDIIVSTVALETRVVSVQT -GSSWFLLRVNTTFKNDGLTSRCTKLGENFVSHVNEFQENIKITASSLIPKQAILEVNTAK -NLVSALTRTTRSNRRKRLAGAAIAVAAVAISGAALVTAGIALAETRTLAASVDSVISGAE -KTNAALNQLTQTMKSFTKETQDNISTLAKEISEANKRIDCLEYYTEVKNQFLDFTSYNYE -ILQASMSKNGGIPLRLMKRIMVPNPQMTAQSNTIEELVLRRGRIRLLAYTNSTLTYRIDV -PRFASMGKISPLLISVDEPISLIGSGIYTSILSVDLGIVLLDCEEDNISYLCSSSSPSDA -IVKNCLKDSTADCISALQESGAKKGKYFVYQSGFLVSRCSQQVCTCLEPEIRRIYVSHPL -SKETSQCTQVSIKTQDQTFRLLLTSVKAAGIINIQAPTEIKRKTWKDIGLTFGKYNTKFE -EIEELIENSNGDLEKARTYLVLSQNLKVVVIVTSIVIAFLILFVIFILKRIYDLKNRVTK -IEVYLER ->YP_009094048.1 matrix [Sunshine Coast virus] -MSFSKLGYMIGGDLSALVSSALKLPPDEAMLVGKTIPHYLQGPGLSASRYPSCELTLFAA -RIGVDQNRPIAISLGFCSLPVTQESIDQNFVGLKLVALRFPGKQQSVIIKSDGPLSGNSN -WGKRISKGIIIEEAHFGSFLLEVPYGIPLLLEVKGGVVTRIPDSEITSIPMYPYGCSKIT -STPRTLGLMLKIMLGPTQDKNIKTRDLVVPLRVIGKPVNGSPEDEINAVALALKDSIKNI -SLGRLAGLCLIVELREGIHPILAPFFKKLRIIISPLVNSQCDILAWSRGGWIEVDQIRPI -LFFGSGCGLFSTEYSTSMTSEIKGFNPEGRPTIGDPILGERPKKKESNPITWLQKKSSKK -E ->YP_009094047.1 putative phosphoprotein [Sunshine Coast virus] -MELAGSVLDVKTQTLMEGILAATKEDTVISDWSSDIDTAMKNNSDPQIPAWASGVNYNID -EAEDEKQALDCKDISVEEYLRMPSAPEAHDIPEDTNRFSTIYEVDEECGERAILSLNGED -TNEPTGHIEGESGTATHQGEYTIDLGQINRDIKNSIQTFIETGKRHPDILAINPKLFMQN -AAIIWGNSAVRGRANISPISFIHLRSGISHPIKSWFFLGMMMASFNKKGKPTGRAFFVPH -EQIMAISQKDMQNFNKVKHILDKYKEDTRQSNIFIVDITREIVLNEKMKQFGVIRSYALC -VPKYHHLFPSSSLNTIFGQVQSGNIRMHIGEREVIEDLNAKCIEYNLSDLPSDVLNGERE -EDKSNRYIQDDMDEDKKRKTNPTPITLNELRRELDSISQNQTQFQEIILLILKKQEERID -KISEILGDVLSLQQKTTSQGEIWLGASIKAAEKISQFEGNQETYQTYLLTKIMIHLRESE -QRIIKELCHFKTNPVRPEIPTPNLLLRRALETMTEEMSLHPKENLIKWNPKITEIVESKD -PERINKMVRFMIQERNKY ->YP_009094024.1 P protein [Kolente virus] -MERDRSRIQKLSQSLKWDLVQNNLKSAMDDEEHEGVFETGIPVDQIEVPHPWEKKEEESG -NDEPDSYDSDSEEEQETESQSGCQTGSSCPPPATAPGAELAGTPECSAVEKEAARKAQIR -KLCKSMVINRVPFKTIKSQAELDEATMTLTDEILSSLGLSVKPELSTVSKCSITMYYTDL -HPKPPVPPNPSPEEKESAESAEPGPSTAPDKSQGSRIPNVSEKRKLQFLERLEEGILFKR -NKTGSMLIKRSNPSFSGVDIDLIVACSENNKEALEEILRQGGLLRKIQMLCKWPEL ->YP_009094019.1 phosphoprotein [Fikirini rhabdovirus] -MDSNRQRIQQLSKALKWDCVQTNLDTAADEEEAEGAFETAESDRAQERSGDTWEKLPRQQ -GDHDSYTSDSEEEADAELGMSDMSHSNQHERSPERNLAGDSESTEFEKEKERQAAARAQC -KSVMINRVRYKLIRSQTELDDLMINLSEEIISSLGLSVKPELSTIGKCSITMYYTDRYPK -PPSNPGPSHVKDEDCPKSVPLPEALKAPKCPKIKPNTSDPRRTRFKEVFESGIIFKKNKS -GSLLVKRSNPAFDNVDVDQIIATTENNKEALKEILRQGHLLRRILMTCKWPEL ->YP_009091827.1 putative C protein [Maraba virus] -MTLSLRERKRLILICFRKKDWRLRRSLPIIGQMKKRLIQMKTAWMMHKT ->YP_009091822.1 G [Farmington virus] -MLRIQIPPIAIILVSLLTLDLSGARRTTTQRIPLLNDSWDLFSSYGDIPEELVVYQNYSH -NSSELPPPGFERWYINRRVADTSIPCRGPCLVPYILHGLNDTTVSRRGGGWRRSGMKYPT -HAVRLGPSTDDERVEEDIGYVNVSALSCTGSPVEMAIPTIPDCTSAIHPRSEVTVPVKLD -VMRRNPNYPPIRAWSCIGQKITNRCDWALFGENLIYTQVEASSLAFKHTRASLLNESNGI -DAEGRAVPYILGDIEPGYCRTLFNTWVSSEIVSCTPIELVLVDLNPLSPGHGGYAVLLPN -GDKVDVHDKHAWDGDNKMWRWVYEKKDPCAFELVSREVCLFSLSRGSRLRGATPPQGELL -TCPHSGKAFDLKGARRITPISCKIDMEYDLLSLPTGVILGLHLSELGTSFGNLSMSLEMY -EPATTLTPEQINFSLKELGSWTEAQLKSLSHSICLSTFSIWELSVGMIDLNPTRAARALL -HDDNILATFENGHFSIVRCRPEIVQVPSHPRACHMDLRPYDKQSRASTLVVPLDNSTALL -VPDNIVVEGVEASLCNHSVAITLSKNRTHSYSLYPQGRPVLRQKGAVELPTIGPLQLHPA -TRVDLYTLKEFQEDRIAHSRVTDIKAAVDDLRAKWRKGKFEADTTGGGLWSAIVGVFSSL -GGFFMRPLIALAAIVTSIIILYILLRVLCAASCSTHRRVRQDSW ->YP_009091821.1 M [Farmington virus] -MRRFFLGESSAPARDWESERPPPYAVEVPQSHGIRVTGYFQCNERPKSKKTLHSFAVKLC -DAIKPVRADAPSLKIAIWTALDLAFVKPPNGTVTIDAAVKATPLIGNTQYTVGDEIFQML -GRRGGLIVIRNLPHDYPRTLIEFASPEP ->YP_009091820.1 P [Farmington virus] -MEDYLSSLEAARELVRTELEPKRNLIASLESDDPDPVIAPAVKPKHPKPCLSTKEEDHLP -SLRLLFGAKRDTSVGVEQTLHKRLCACLDGYLTMTKKEANAFKAAAEAAALAVMDIKMEH -QRQDLEDLTAAIPRIEFKLNAILENNKEIAKAVTAAKEMEREMSWGESAASSLKSVTLDE -SFRGPEELSESFGIRYKVRTWNEFKKALETSIVDLRPSPVSFRELRTMWLSLDTSFRLIG -FAFIPTCERLETKAKCKETRTLLPLAESIMRRWDLRDPTILEKACVVMMIRGNEIASLNQ -VKDVLPTTIRGWKIAY ->YP_009091819.1 N [Farmington virus] -MARPLAAAQHLITERHSLQATLSRASKTRAEEFVKDFYLQEQYSVPTIPTDDIAQSGPML -LQAILSEEYTKATDIAQSILWNTPTPNGLLREHLDADGGGSFTALPASAIRPSDEANAWA -ARISDSGLGPVFYAALAAYIIGWSGRGETSRVQQNIGQKWLMNLNAIFGTTITHPTTVRL -PINVVNNSLAVRNGLAATLWLYYRSSPQSQDAFFYGLIRPCCSGYLGLLHRVQEIDEMEP -DFLSDPRIIQVNEVYSALRALVQLGNDFKTADDEPMQVWACRGINNGYLTYLSETPAKKG -AVVLMFAQCMLKGDSEAWNSYRTATWVMPYCDNVALGAMAGYIQARQNTRAYEVSAQTGL -DVNMAAVKDFEASSKPKAAPISLIPRPADVASRTSERPSIPEVDSDEELGGM ->YP_009067071.1 GP3 protein [Southwest baboon virus 1] -MPSPSLRPGRAHTAYVLCPPTHMLLYLTYTCSVNLLLGLRGAQGFNINSSCILTNASHFI -SVTVSQSNKLVNLTSDRTDVISALCEICNCTHSPCSCSQVNSDLAWVTTHPLQHLLLLLT -ILLPNQFHLRRPRTARATAAATM ->YP_009067066.1 ORF2b' protein [Southwest baboon virus 1] -MVVVKLFTRLTSSSFSIVLTPWCPVLNTLLGLLLGQHLSRLTPIGLTRCTTE ->YP_009067057.1 GP3 protein [Mikumi yellow baboon virus 1] -MHKAPLSGRGACNPRVLHPPTHLLLHTFAACSISILLGARGAQGSNTNTSCFLLNSTNFV -QVTASSINKTVQLEHDRGDIETQLCRLCNCSSPPCSCSQVSSDLSWVTTHPLQHLLLLLT -ILLPNQFHLRRPWKAHVTSAATAS ->YP_009059064.1 leader protease 2 [Rose leaf rosette-associated virus] -YPSVEDFEHRLVSVFGNDALSVAYRGSSSGGVFHCDLTQDYAFVGAGELIGGLPPVRVHR -IKCDSDYPSDCPPTWESFYFRGKGVSRVVNCVNRFIDTYQFPQSRLYSHFVNARGDSEGV -LVPLGQGWIKVYVRIGTGWGVYFRKSHALSRVLSWYVTGECAEPFFDEGSVEGLCYLSHL -NRVSLETGVPYRQDRAVAILKDYPTAT ->YP_009058940.1 hypothetical protein p12 [Rose leaf rosette-associated virus] -MRGSRYVFIWGLFTVWIYSDTTFPAYGICGEKIPLFRVEDKYGLTCPITMFGHILTGTKD -PTDYMDLEETIEHKCAVGGQSTGPEVDCNVVNDVVSQDGATSTVTAEHEMTA ->YP_009058939.1 hypothetical protein p17 [Rose leaf rosette-associated virus] -MRSYYSLDNFRAFSTLMTVLLRVVSDDHFDLTLFGHYCKVLSERLAVVMAMKADILSTSQ -DGDAEGAALKTTEMQVINKQAVDVTIALRKILLHRILRVFSARDTFEFVLQTYCRLNGVD -RAAGLRAKARDAWQQVRVYLGTLYGLDIQ ->YP_009058938.1 hypothetical protein p13 [Rose leaf rosette-associated virus] -MVLVKSIAFSSSEIEAMGCYGIYMRLTPRNGVYYIFRKNGDGLSIRYVTVTKPSTEWVKR -EGDDTPLTGERFLMSLLVDPEEEEVKLVVDSTTETYTAKLPLLPDSSICFNCEIPAFIAD ->YP_009058937.1 hypothetical protein p19 [Rose leaf rosette-associated virus] -MDVSIVEGEGELAYFSAYVVRQWNVYYVHARLNDPSPLAEWSNAAIISGRRMLLNDGCSY -VTLDHADCEDAIHDVLRAAGDAVGVCLTDIHGIVRSRAPAPDRPLSRVVFVMEGSVRVAL -SNTATPVTVTYFFKASASKFTCGFFEGDFISCLEYAARGSDYISIECNVSSSA ->YP_009058936.1 hypothetical protein p7b [Rose leaf rosette-associated virus] -MYFSPLPLMTLCVLYIMIMAPDAYTSRAIFSYLLCRSILSVFSSFAELFFNLFYDGCEHC -GRGR ->YP_009058931.1 putative protein p7a [Rose leaf rosette-associated virus] -MDCAERSFLDFTIGVVCLFVTGLVIAFFLYLYKTRKIVGQFAARRDLREPQPARALNGGG -FAAVV ->YP_009058930.1 putative protein p25 [Rose leaf rosette-associated virus] -MMASSAVSRICDVSTIADSVVTSSDKLVFELSASERCLPGEVFFFRFEQLGGNAAILLFS -LSPDGKTIVEERYQSPQDGCVKIGRRVKFLGRTSVVNLCVTGECAQVSVSDNAAKEFFTF -RLPVSCKVLVGTEIVCAASGSTVGDLRSGWSCKELSNSSAVEQPRRFTTTTTATTPLREL -PPSTPFVELSTPFRNLGETEHSSTCVDDTCVASVLSLLLVMVLTAIIVVVVSRGRL ->YP_009055059.1 X protein [Loveridges garter snake virus 1] -MSADALLSIVELARRLDGDSKRQSSVSARRGPTVDSTSNQIEVTNKEEEESGQETAGVLS -GRVGGQDVSKSGPNLRQRAAGNRKGSAVE ->YP_009055029.1 2A [Chicken picornavirus 4] -APKPPVVAASAPKPRPPTPLPSPVVSEDEDESEDEPDDIYVYYVDNGLYRHWGIRSKQQA -ISLKAHGFQCRVSYTPEDLGNHVDYCAVGYNEWCKAVCRLGQIYDYSITHNCTDFVEEIT -SYQYSFQNTGYWLAAGVVAAAVATTAIFHSVPPSWDERPFLPEKTTPRVVLVTPRRRVHK -WRRATLERIVELKRKMLFQ ->YP_009055027.1 VP1 [Chicken picornavirus 4] -GPVVDDLPQGEHYLTTMDSMSFQTFFNQSRFYGNFSAAPTSGTTTASSVTIPLSLISFAP -SSGQLKTAEVPVRMFAGLFTFLRADLRITVGIPFQNNLIVSYRPPTATPSTYAASGAGSP -DSAASLLNGPSIILSTRASNMGVEFVVPFSAFASVFQTSWSQANRVDTRYNANTNQINPG -DMGTIFVASRLSSVNLTNVSVFIAFQNAEFFVPRPFPPLTSTSWDGTAPNNLERDFWEEE -DPTPVEFQ ->YP_009055026.1 VP3 [Chicken picornavirus 4] -GVPYLMQLARYFSQWRGSLTYTFEYTGPQVSSGRLLIAFLPGRIRAAKPGQGADYVETGA -LLQNFTENLHVIWDLSNSTSVSITCPYAVATPWAPVVINSWQAPPLSGYSSGSLVAVVMS -PLVTPTVVAPTSTILVHLSAGPDFEMRFPAPIPVNTVPMYNGVVDQ ->YP_009055022.1 3B [Chicken picornavirus 3] -QLYHGEPSAKPKDRVKRDFKPE ->YP_009055017.1 VP1 [Chicken picornavirus 3] -GPTVEKNPGEPEEGETYSGQPNVFLNFDCVEVPIQGASHTLVRNLFGRLWLQEHTVSPSS -GPHVVKLEVPNESHAAILQCFAYFSGEVIISIRNGGDTTVIAAHTYIPEEQHNPVDEFSI -MSLGAVIIPPLEIKIIRVPFYSPSPLRMIRRHRDFEPTFGYLYLCSPSTSNVTVYMGLAN -PNLFFKLPCPQ ->YP_009055011.1 3B [Chicken picornavirus 2] -SVYEGSPQQLKPKVYREFRSE ->YP_009055007.1 VP1 [Chicken picornavirus 2] -GDDTELIDEVQSFLNFTIKEVPIQTASHTLIPNFFGRAFYYGKYTSPAETSASVIPLKVP -QYGHGSLMTMFAYFTGEVVLTVHNRGTGLLVLAHTYIIEEQHNPSDESTIFSLGAVLVPP -GEVKTFACPYYAHTPLRPLRLEDTPAFGYLYASGEGAIPFTVYISLRDPKFFLDMPQPAF -TSNTRAAGARVGRQ ->YP_009055001.1 3B [Chicken picornavirus 1] -AAYTGKPPVKPRRQVAERQAPLPPPAPVRQ ->YP_009054994.1 L [Chicken picornavirus 1] -MCDRIPPCGGRLEICENPVRH ->YP_009052481.1 TM-glycoprotein [Ball python nidovirus 1] -MAKKRQSCSCSQLSSLTSDTASPKRTCKNSPPTSRRGCKQVQAVSPRTLAVKSLSTYSSY -HQELRVGLLFHLNTMVLKLKRKFNKTIVNMVECSVRLLLLLLLIVSILCVSNVNCGFNGT -YIEPRNQNPINHPFMLWNSKSFVCMTCFNESGKVYYYHPKIDINHPWTLKEYVLAEWVSD -GWKPNPASLTTPQLLFNHYNRTFFFYNSSVLSLVGEKFIVNQSLYFDNLSPYYLKMFNVS -SGNISQAYMMWNNRYLVDTYANWLKSPINTTVVLGDLFQPNIFRFINYRNGTLSPNVALR -FNINASLGYPRYFPSIMAKQQSCPTKAPQVMVRTCKPEIRTVTVIKTVTKAAIIPKKQEQ -ATTAIPSDSPQLLECKQQLNIFVVLFVVVSCVVLLILMVIMCRYTNQAQKPAKSRVY ->YP_009052480.1 putative nucleocapsid protein [Ball python nidovirus 1] -MATYYPVQMPIVQPQQTFLPPRQRRRRRVQRRNGNGNQSFTKQIKDLTDKVSKLMPKNKE -TNYYSLQAAYGQEAPELLVQPTLESDVRYRIAKADLQKLTTDVKKRLQAGAGSITKNPSG -QVTVHLQFLPPGAASRTFIPLKHDGAETEEEV ->YP_009052478.1 TM-glycoprotein [Ball python nidovirus 1] -MKYLSIYCLLLAVAFVSQATDFTTVVTSSVINTQVPTSASQATISPVLTTFSQVQASFST -QSDKPSTKVHTTSRKSQVATATSKETEFYVTNCQCPNYCQLNGTGVGVADNVKFNSTQPV -TFWSTLITLFNPSVICVQLNETCGNKNYATTSACLLNFGVYQPTPSCSKQVRLRTSEVPV -CQAVNVTVIKIGRKSSTIRETTIDMSQSVSGAVLAGGIFISCFITAFIILIIKAAFTSQP -NITIKIPKTRFY ->YP_009052466.1 glycoprotein [Soybean cyst nematode socyvirus] -MALACFSIMTILTCIIGLSRSDPRAQLIALDPPALVCSKVPLGVYTMPRPSCPPLFRSQI -SVTGNMVELAGDNLPSIGFKVCKVKRTCNIPDISWPLGSEVGGLPTIDNVRQSMSEYEAK -RHLLEFKKAPQKWIASTSHTLWESSDPCDELKTSPTKVGTATQEVITISNWAKDPTVKEE -AGDLGQLCDISEGECCLPDGCWAIWDPSPSQKNWKHELITVAGTLYLLDNDEGVLHLTNG -SGSYHVVKQIREGTHVWKDKDTTRGFLLVTETPRRRRDAGISHEVVQMALDIGRMAKTQI -DWICSDAMAADSWTATAWKVDPTVVAQHMFGRRTVYAESCGLGCLVVYDCAPVDQIHVML -TMDPASDESQHQCYLYPKIQYRLKGNGRLSDGFLDTSTNLIVEDIPEINCAVGPTRYIRS -GPSSIAIIYPGGNLELVIPRSLVQDKILDPQLYRLPRSPEGGLWSPDHLGPLATGISELR -SALSVWTGAPKMTKEEIKAALQKRATDNAFHIHPEAGWIGTLCTAAEYLAEIGGAVFGVR -LLSALTGAFTGIVRAWVGNRG ->YP_009052465.1 hypothetical protein [Soybean cyst nematode socyvirus] -MSPMKSSLDPWRIRTSDRQALDPGYWTAERRQLRDLLTSITVSRSPSPTSRPTATVGTQT -DPAHCMCCGKVHLVTYKIVH ->YP_009052464.1 hypothetical protein [Soybean cyst nematode socyvirus] -MSASQRGSTSQPSGSNGQPTPSPDRHWSSTPSLLNVLQSAVDRAATFQTTARPPPNPNSL -APPPVVVEGGSDMEPDEEEDTEHQNKGPNDDEVADSQESGGNSDDHPDDAGDTNSAGLLT -QLAAALAVPGTEHFMGTLAQDLHGLPSREKDRLFAALLINIAYRQANPPPPPPAPSAIDP -DLAARLNAMNIRIHQLQVKVGFDTTDPLANPPIPPVASYGGGLPFGSGPSNPDMTTAFAG -YGRGSTTMSTAPKVPQTPEQTPEEKAKKVADKLAKMKNQNL ->YP_009052463.1 N-protein [Soybean cyst nematode socyvirus] -MAGTNAAVELESWDEMEIDESAATFAPRAVPVTEDRTIMKNVIAWAIDGKLKSLRGGAND -EKEGDLFNELAKSGDFMAWTVLAYFDTLKKIAVADTVNFTVKTTLTQYLAALDGELPTAQ -ANTVSETPTSRLLALFISFICLAKGNEARNNPTIQSPVSLENASIFRRYSAMVAGKNLPT -ANAVKAFIENLPRGCLEAGHAVLWANDKIAKKMIAWVLKSNPATEADKALVSQMKMVYGG -AEMAFAKTAAEFLAGCDTHLKLLPQVVEDGERYLEVKEALEARHHEHYLHLRLLKHADAD -SIAPRAFPYLAGAAAYWTNRRHAAAAGAAVYIAPLMVRTEGYTVTEMDDASRTKISADMK -LATATKLPARFAKTAGLKDDIVPQGYTAGTSGLAQLLTMLQTMQTGKPPGVP ->YP_009051685.1 movement protein [Prunus virus T] -MSLVDVGQFRRKVNATGSIASAVDSSAIYKLSPFHALQSDTYVRKSEFKVKMQQTGERGV -ACMSVPLFDDHDKQTIRESKMPYVHIAVVLIQISCLFDWAISEGMEGTFALMDTLFDNVQ -DNVIRACHFRFEEGRAACCFKLNFPICAEDALKGRPIVPYIKVVGANVREGLRGFSVSVG -TIFSLNKTEFPSVPMKIDHDFVNIVGTEFLPKEKLSELTCEEISDSFQRLQTLPKPVTAS -LPRGRKEPTRIRDYSVMSSSGCLIEKDGSNKEGKVGNATGGRRSVDNKVQTSSKTGPAVL -RRCSSFSQGDDLWEHSTEGSKRTNRVRRSGSVKRLVRSGVRGAPRADGPGKGISRGGEQS -SSGRSLQIQGEFLQSGSESDSAA ->YP_009047257.1 cystein-rich protein [Gentian ovary ringspot virus] -MDILEKLERKEAMRNEYRRRESIIRRHDLNANVCLVTSKFNVPCGMLPAVSEDEKGNVVY -YHGGCCSEVHYNLFVDLCVGNDDVIKRKDAERAVMKVVNQFSDKITNLIEQYGKEEVFDL -LNIIIK ->YP_009047256.1 putative triple gene block 3 [Gentian ovary ringspot virus] -MEAPAIEHPQDCSCSFCAPPVAYGYTSRRRNYPNAQTVALGDASLEGVVFKTCLLLAIFI -FTFGFYSFFFAGDSTELNNKGVSIYYQDLNMVEIRQYPGNEISPELIRQIHHFQKRPFGL -PSDSLFDAWCPDVMEFAIFILGLVLIFLIMRTC ->YP_009047253.1 coat protein [Gentian ovary ringspot virus] -MSNATMSVTGGGCYDSEAFQTNIKKPKVNQDWWIFKDNWCKLIDDLMRVNFQVTSARQDV -SRLISAVHKDFPASVGRRFPGPVGTLGSANYQEREFFRLDSVAQNKLLDLVRIADQGKER -DLEVNRTAGNGSDNQARPAPKMLAIRDQQVIRDGSNSYGYVLSDLVTTRLDSYDRDSFES -EFNLVWHVDVPNNAG ->YP_009047260.1 VP3 [Umatilla virus] -MAELNLLLVKRIEQTEQHLYNFVCQDVDIVIELNDCVGIDNYRIKYVDGIKALGQQTTQY -KAYRSSDLVSRKNGFLSLKDTTSRLSDQIKFKHEGANSNRQYYKILSSAIAKLEEGKGGV -DPITRCNRNQNLIVENGILQNKMAFHHLEGKIYANHRSMTASFYHLNSRCRYGGYHDDLV -NLFFILQNQNMLVYTKPKIHFDNFWNEADSQSVTTRLSDGTNHSETKQYKYNFLELDALG -RRQVKTSAEKALNTMNRDHYNTLLGKEMRFGVDARLLPTSYNHSKHDLFNHLSLIEVVQE -SIQSSRLIWKWKRYERMKRIYGKQGISSENCGFRETLGIIYIRYAELYCSLFNTWHLADN -RMVELQRSQSEGTTNFFDRNEVDLSLREPIESVLKYAFPSCQVQEFLHDAYYSEEKGFKL -YEVFLKHFSLYIGCIKNFEKDLRERTSMELIDMMREFNLFVLLTTFLPGVGFSDERGTAK -LNLLIFREKADASFTGSATGSIAIENYGMEAYVREYSLQLNKTFGSTIEDFLNDLAHHPF -KFQDFNVCEDQFEWEEDTRWTAKWAEWEQQRNIELNGKREVMGEKFTDFKAKWNAETAKI -KERRDIRRQILKLAGEVAKTYRKLLIEKDLVIYKEYDKICRDAYKYDMAMSQCGGLSSVV -SFIYPISYPEKQFISLLIVDSLTKTSEAEALYNQYVKRLKSEGGRVIIQILKDRKALSDT -YLTSDVLNVRTTGIVKTKIRYYSHNAVSGILVTISSDVAKFGTHLYFAKLGAVR ->YP_009047148.1 hypothetical protein [Rosellinia necatrix fusarivirus 1] -MSGKGSPQKATSGSPKSVSSAASASVKLNLEVGKLLREYSDEVTYMNEDALVLTESKTKI -LFEKVTELLADKEEHTISPGGLHALRSELNSLRLKVSKFEDEKQKQKFVSEKLDVDLKQA -NAAMEASRKQYKEVMAVAEVEKEHLAKLYKEAQLECSHLKAQAIKLKNQGGDEYNAAKES -HSNAAEEVTRLNNELTRLNNGMKEQTNKMLSYESSILKLTTELNVAQNKLNMSKGSTFAK -VVAKAGVVPKDMFSSIRKSLSKKSLEFLGKAEDAGDDPKDKAFWLHAALDATKNPILKPY -QLLFGGLAQDLKLCSYHSRKVFLPFLNKMLNTLTGTDESVSLEELTDIISRVDIQKVFLT -KQMAKQGFRTLDDWVQSGKTLEDLNLSDVEAGPRQDPTVRAVLQTHRPKVEQIERPASPD -XVYPNSWYSRAKRWTSSKWESFWTKESARKPSGLSAKFVLVRRWLNKKIYGLGDIVMLPF -ALVSFSLRAIAWVFK ->YP_009047124.1 58 kDa Movement Protein [Blueberry mosaic associated virus] -MSIRSGRSGIGKGKEIQVSKRLDAMSLGNDSKRIGTISAKNVLNDLVKNGDLNVISLGGD -GSALPDGIEDIAAEFADVQKGIITSAISPLTMTLKRDQERKKVPIATMGRVVNLFKKATG -NEMPFVKFEKVQVMYIPLFQKTNEEDDPDKKIPSMTVALVDKGQEEAGGDGIIQSITFRA -DEMALMELSMNFFVTRKDIEKIVVDACVDEIPVEGRAYGAMTIAFFVHEDYVPLRTELKP -STLMYITAMKNPKDMNTKTIFRGMGEKVIKELNSEKEKYKAKSLQRKKELRQRGKKVVIE -KEEDEQKSTSSESRADLESVIEAGRKSVSMVNEWLKMNKEKGKEDFETSSVAIGPSVRVN -KIRHRNSDHPGLVTMLDTGSDKHFFFARRINPNNSVKNFGGIPFLPVETAEMDFNVNGVN -FHLDEVYMFTGRGLGSNILSFSKLKEQGLVDDMVTAGNNLYLQKGEEIIMTFDATESGRM -WLKDDAWRNARERFGNNPGTSAEEEVRTGKVDLMN ->YP_009046484.1 ORF-5a [Cherry twisted leaf associated virus] -MRMDHSSLIVQEIRSQRRRRRGRLLTTEERAASLRKRAIWRCSDPEEEGSLLIQRIPPQA -LTRILSARLRRLTPLLSTLPQMMPSRQLLPTGLSTSKFLRLKRLTAFLMLSGTVTTTAQV -TKQSLSGGQTAMLNWKIWRALLXVTVLYVAFVQNMRR ->YP_009044205.1 X protein [Sierra Nevada virus] -MDYHVHRHHDQCKQGENQDHSEESEIELLISESEEEEEGAETGEEGAEAEPSKREEEEAG -ERDESETETSDSSSNEDPQVEEPIRATRAPLPLYGNSPEQDRKAERLISAYKALRHKESE -SDSETDSSEDATATKRPRPCYGDRRFEKLVMECYNAYDPNTDAAANLMMRRKFLHWQENI -LRLMSSRDRALHDYGRQASNITATRRLEVGADRFYRWRNLIALEEELAIKVPLVLSLHLE -ERSAAQHLAEDEELGKALDKLSLDESERRRAST ->YP_009044204.1 P protein [Sierra Nevada virus] -MEDLMAAFKGPTPEELAQADALKNEAIEKLEMTIDTAYEEGVKAGRIRPSSDSEDDEARK -RKKHKKKKRQKLAATEPDWPPKPSEPEWDMQDLTELYSEEDHSGGRTKKKKEKKKRPSPA -HNDQAEDNAEGTGPDKEEEAARKRAEREAEAKEKEKAQLAEQERERERSRRRKERALRRE -AEEARKAKTHSAGDAREERRGQPQAERREERAPQRDPPRAAPRETEKGSGRTATGQAATE -GTRKAAPTWDSDEEPKTPRWSPRTPREGSPVYATILKKSSAEEELRTAETALQQRTISLI -LQKIDGLQGSVDSLRRSVSELVELIQGERLEKIQLLNDVNLLKRDLMVAKSPVAPGARVQ -QNQLVRLAGPAVTPSILSKPQVGGGSKRSFAPLGDIKI ->YP_009044203.1 M protein [Sierra Nevada virus] -MNKEKGKATIWYQMIAKTPQKRYVVTIQLLSVPSKNEFEDRPHPALFEGSKACIFIHAGE -AHARIELDPEKYAELGTGMEPCQVYCLKQYGWKPRHFAGHPLKVTFDKIIFKEPAALMLN -TKSSAFFFKRKEKRREKGEMRDER ->YP_009045175.1 P7 [Simian pegivirus] -ALHHGFFAAPIAAWCLRSEWIVMVLGTLNLAIYMRWLGPTRLMALACWKLAKGAFPLVLL -LVAGATRG ->YP_009045173.1 E1 [Simian pegivirus] -LLSPASHACAFKGQFYLTNCCAQSDVYMCLEGGCIVALGCTICTDTCWPLYRAGVAVRPG -YPPGAIVGSLGWAYPPMATAAYVAGVTGFGEVYSLALMIGAFATRQHQPVPDLRCDVDCN -ATWHSDLWYLSQEVAAEAWYVQYLWKLPFDFWRGMLGLTPLLGFLAVLLGLEQRFVMLFL -LVTMGGMASG ->YP_009045172.1 core protein [Simian pegivirus] -MGLLWLFSVFQVAGG ->YP_009041482.1 hypothetical protein [Pagoda yellow mosaic associated virus] -MRRTCGHSKEKTRENRGNVPVSSAENQTTLPKNALEMAKETSIGSTITTISTSQKIGISC -PSTQKNQCPTLSAVCRKAKQGSLQPPIILKTTSLMGSPLTVLILVLF ->YP_009041483.1 hypothetical protein [Pagoda yellow mosaic associated virus] -MADAGGSGGAGNLPNRNRRHKLFIHIEDGRQRIPHTTFRDCDGQLVCPEVTAQLYCPRLT -RGSTEREGVSFQEHEEHRCRMASIKDAEYQKFLVQAATVDTIFRKELEATRRCAGGRDNA -YRDQLPVMEERQRLMTRISELTARLNELVDYSF ->YP_009041480.1 hypothetical protein [Pagoda yellow mosaic associated virus] -MSSWITTTGTSVYQETLAAVASLAGDHEGGQGFVKPDTLGSKGITHCVKQNNFQTHLLVQ -IYQKLEDIQLLVERQGERITALEREVKTLKTAEFKVPEDVLDDLTQKFAAIETSALKKAK -QKAPKEKAPFLIHTDPYELNKSLKKK ->YP_009041479.1 hypothetical protein [Pagoda yellow mosaic associated virus] -MNPETDYKAFLEEWNPDPRDKETNFLDSILPESHLLNRQSFSLPCFHIPETAPNHSLGKK -STDPVTTSQTDLLLTLVHNQAIQYDRSIRFHQAIFHQLGRIETRLTRLEGQIPSQPNLNT -SQTVHARPPKKEYLELFQRLEDRLKAIEDSIPS ->YP_009037611.1 putative 3.7 kDa protein [Moroccan pepper virus] -MMTSQVGLYGGFGRPWDGDKERASYAVRLTSVELAIWTYAWISHNVTAQCKLDANLQL ->YP_009028572.1 Asp [Human immunodeficiency virus 1] -MPQTVSCNRCCCASIALSKLFCCCTIPDNNCLACTVSVIEAAPIVLPAAPKNPRNKAPIP -TALFSLCTTLLFALVGATPNGSIFTTLYLYNSLLQLSLISPPPGLKISDSLLLLPPSLVN -SSPVIFDEHLICPLMGGAYIAFPTFCHMFIICFILHGRVIVSLPSVLFDPSVLQVLLNQV -LLNSCVELQ ->YP_009030002.1 hypothetical protein [Leishmania aethiopica RNA virus] -MVCRSVRPAILILTPVDAGKNRVWVGTPLPYVFQSLVYC ->YP_009030000.1 NS3-like protein [Jingmen tick virus] -MAAPVVAALSCVLAAVDKGLAPNVGAKGLLAMAVASALAPELVLAAAWLSILKGNSPCRE -TNYTQRHFMELLPTIGWLSLEYAAPLDIVLNEEDTPHQEDRRLYEKALNLSYIGRTRNYL -LTAIVVLTLASVFYSPSAGVLGAVVILTVSFLPRGDLGGRTLDDSAPVGEAEGIYRVFEH -IGPWAFMKGVATVTGGSIVSSLHVTGDRAVWIEDRRYEPSVVQPSGDFIAWGRPPVIKPL -KEDDEVVALALHPITDTVLPLRSRTARVQGNAIYRISRTSPGVSGSPLFVVDLDENGDRT -FALAGTIGRSIRAGPYHQYEIQSHLPLPTTPYDTILRAGIVLQLFSHPGAGKTRAIPEYV -RQLMTWSNKVYVAGPTRVVAREMLEALEGTRWVCAMVKGLPKPHALARVVVTTHQTLLRY -ALTSGLLASRDVSYVLDETHVDSAQTKVLRALVHQAVGKDKSKAACIEMTATGRDSTSGE -IRVATDSNYHIEEHVYTTPVAQAVKQYADTYGPRRIAVFVPGLTGKNGALQVAKQVRQLT -DYATVVLSRKTYEKNIKLVFRDYPRGLCIVTTSISECGANYDLDAVFDTCQQYHYLVTAS -GTKGMITPSTQAQTCQRRGRVGRRKEGQYYRPANYDLLQAPPLDHPDSVTLLEANMCLRA -LGLPEEPCGRVVEEAMLKMQPSKDQVYRWLTEGDTETLTETMAMYNVEGGRRSREQERTV -RNRMKTYFHDVRWERMEDQEETPQPRPGEYIWDEEGPEMIQGALYVRAPPPHRVRVNEAT -YLRGTVIETLREEADRELRETVAEQREE ->YP_009029999.1 NS5-like protein [Jingmen tick virus] -MDADTVIAGLAMVMLILLAMILQMASHYKEHATFIYTPGGKLEEYKGDGLKVWKLEPTLG -DPTELGRQAKKEMNAMTYEEFDRMKFRGVVAEAYAADKPSKGYDKLRTLLDIMDRPKLGT -TVDLCAGRGGWSQLVRELEGPGDITAVSLWERGREEWMADPAIRRINANVKNVKPWRVDT -LLFDGGETFKRDQNIMKEESYNDALLDAVDAWMMQATPPTNFVVKIQVPYTQKAMRLIEK -WQVKTKKGRLVRLAGDRLSNTVMYFISDRLETQIRGRIASFLRELRERRVDRSLTSDPAL -QYERIVPEWTAEAVIEGCAPLTPLNMTRSIAEMHMEHPPLGITRFFKELGYKIAKKKGSE -GTRKNRFVGQLIEPLRRVLERHHLFGAWQLTSTTPRAVFNIFRSKVDRAPVELHSHYPGL -KKMYDILANLWLERHGKMKRLTEDEMASAINRRGAMGYQMDNRNYGDLGSYWDSGDWRQD -VNTFKRALLSGTPTHAVYNTTAKKEKTKNLTRQVNKGSRIIQYLPADARLYELKVLGGLH -KYLEKSGWSVAGQGLYKYGDRVKKSMDATGAAISEDVAGWDTKISKGLLTLESHMFTKLA -EDEEMAREIHHLYRLYADPHMVVQREIEGEVHDVLLRGRGQVSSGRQPTYAANTITNFIT -TTYGMAVTLGIPEADWPRLVRDLTDERGNRRLLVSGDDKVLFLRGDEARVYASSAYRIGN -DMGLVRKDMALEQESEIIVDVKEISFCSHRYWPVKYGNETHYMPVRDVGEIFAKATMALG -VYKDDMTQEAWARVQGLNMLVNYHHIPECRMLALAILSVTRIGLNLRGITKGWMMSTEWL -RDDLAPDTIHALITEGRTSGWDQLGYVDFKDRKGILLRPDTSYKNWRRDLPEKVRQLRED -GQYKDWLQKMAVFG ->YP_009029998.1 putative glycoprotein [Jingmen tick virus] -MTPLPQPSTMLQRRSKQWSRSFWVVHPLTLFTCLCLLLPGFSQETFTSLSSAPSPLWDRL -LGRTRRSSHSSPQSSFADKTAFFRAQLSHSRQLATSGSCRHWQLSSSVGSASEPGPLWDQ -ALEWRFWVVPEYGVPYGKVVTGIKDIGPWPDYSEPTGVRFCEDAKYALHMNTTFHCSDER -AYGWDCDEKTQVFLSTYEYSLNVDRSRAIWKYSIYTRPVGRQLGNCTVTDRVYVGTMWGS -HKELYPLVEFDEDPLPDGSNRYSVRVLPNGRCQLLPNQHASLALRASEVQEPKVKYRAPF -HVHDQLTPHGPSIGWFDQVWPDPYACIREGQCQSYPMSREPCNGENDVQIRQTLGVTRGG -VKHRLTIRVTGRRGPCDPIITADSDYHEVTRHHEGNTLVVVCDLPLHQTVVTVRAGDTWK -EHRIAKDVHQTIHEQEDDFGHIPPQWVAWLKNWFGGLTSGLARAFGLISRLVDLVVNNLP -TVLVAVVLATPLGSALSPALRVFVIVLTYTVTRAKADEGTPNEDVLSMPLELFLHVAIAM -FQGRDVANPVSLALTALSNLVPMPMPLVFFTLTHLLMINRDWTLALAGALAHRGGLPGVA -LYHMVNSGRLRRWGQDLAKKAEAEAVEQLPESHPWFDPPIRGLWQDLVGLQYHSQGYVAS -TLQAFLSASRAKREKKYRRVAFVRKGDIRSFKNFFLYPGEYHRLMPHLLAMSPSQRKVFR -NNIPTILTRREGEYGPLLHGLKIAGYVGDLAAAS ->YP_009029987.1 p9 movement protein [Turnip crinkle virus] -MKVLLVTGVLGLLLLIKWKSQSTSTSNQTCQCPTSPWVIYAFYNSLSLVLLLCHLIPEIK -PIHTSYNTHDSSKQQHISINTGNGK ->YP_009028575.1 small basic protein [Goose calicivirus] -MATAIAAIGAGSQAASSATGLAGTIGDLVYRGQELALSREALKEQVKFNQQSLQLAASMP -FIQADASAYATQAVTNAKLNVLRDLGASQSTLAAVAAGQNGVYINGNFQPIHYDQSYRVF -NNSNSRYVSQPSFGGMSFPSTTVNKTVTNNNNTTVNKTFNRQQGSAGNSQNSARGNAALS -ATSNNRAGSTYGTSRSYDSAVSGTSGHYSVNSSKSSNWSGWSGNSGRYDLTAANQAGTAS -TGSPLNTWGSSSSTSSALNNWYQFGFLAPGGTRL ->YP_009026988.1 putative minor structural protein [Atlantic salmon calicivirus] -MAGGAGAIGAASGLNLISSLIDSATSLGVAGINQKTAFEVQARDFGFRRGIIREHSQALG -QHGLPAFIAYGGTSHGLLDPYKVSFATGSHVGRASIVNNSFGHVPNQYGNYRRTNVTPST -FVKKK ->YP_009026390.1 L [Tortoise rafivirus A] -MNSQNLGTRSYTGSYLYSTLPRRRSFEECCCLTTQQHQECPQKYQYEAKVNKFSFVDCTK -CFCPDVQFHVQTNCATRMGSLSKKQIRKYKEKYPKKMSFLQIVKRFIFTCEDAQ ->YP_009025165.1 proline-alanine-rich protein [Persimmon latent virus] -MAQKTMATKAELDPPVGSGEGAQTLAMQAGAMLGPSSEGPSPAAQSQGQQSGAHFEASTC -SPTDRTNSLSPGHPLLPRGVPVPAGGIQPHLAFMPHHAERPQEVVRIRRRHGRSLSPPSS -GRFGGEPAPGPSPRAPQGTAPRRGPKSRAHYAARPQGSPSREGGEGGVDASVVGVGQIRA -DTVNGARANLLPPEDVPRNEASPVLTPLHESPPRTPSPPVLSESQQYAIHEGFVWVRDLS -PSSGSDSDVLLVSESDLPKYEGELRSRLEQACRVATIYRRNVLGMTEESERQKIDIPYLP -CPHGRVALPLHSEGQEELPPCAPSSPPAPSSSDSENSSEEERRSARSARRLNPSTPRSGL -IDQRYTVKFGDHSIHTSDVSPDPIYSIRTIDGVSDFFSRGLQFVETMYDSFDVDAHSIRV -TSQLVCADQPLCVSQAFPVRGEAFHSHNRNFTIADTTMAVVNFGPTRVKGRIDDALLTPY -MIGGVPNSRSVSSAITPELRPANRNLILESASVMQSNLTYYDNSMLYAKLIWNALLIDVY -AHVGLVPAVIPFEAERSVSWINLDDANLAVPALTVEFAKGSIMLLQDRDFTTAELQEVQW -LSVFGRRFLAPANGPTPVSSYVNWPRVPICLLAHGAQPAALPACAMLTSARLLSFCAKLA -ASRGEWEDCLKGAYLAMDVLGTDYNITDNHAYPMNVFLGLENLRVPAPSDYNFFCRLLGL -CPPADVARRDEFFSLMAASSANRVRGVSLYVASMRTFSTTTLHSLSIGTGNLVDWCTGAI -PQGGVNMVLQSGIVNPQGDYNTESAMFEKPKAAFERFLGFRIEKGFYAGSNWMPACGSLA -AALSYYSPLISEADAPPRKHSCLAIDDFLLMRPQEWGVLGGTTQIDFHQDLVAFSTPENR -GVFSDRGDATYRQRLTSPTPYLFVPYGIQLLNAVCQHFEVAEHVPYYRGAVYNGGAESSF -STTPARFPSSAWIEDLSMFEPCALTSFSWIDHTVRAPCMVGAVRMTASMLRALQMWRGAT -IPKTGCPLARIPGFALQGLAPPPFAGMGGLGAIGGVVGGGAADGADTPNQPSGNG ->YP_009011064.1 hypothetical protein FgHV1gp1 [Fusarium graminearum hypovirus 1] -MDDPAWLGDALLEWDLRHACIAVNGKRNAVWTSERRSGKALKKFLVFDGYDVPKAFSLHH -CGTIFEYLYHIDEAFRGRYLDYVAAPPDAKRISETPTTECEGHCWRELFLSYDTFTFDFT -GNKSLSRRQLLQLVAKSTLKSETFGVVRHGTQLHVTEGKIAPMEIIRSMVNKERLG ->YP_009021775.1 3B [Sicinivirus A] -APLPPPAPVRHCLSLGAMTISKNVVQ ->YP_009020582.1 sigma C [Reptilian orthoreovirus] -MSNLFTDAQRSAIIRICLAMSDGGAGTGNIDEVEKQVKELSVEVASIQTEITDLATNLGR -LDQKVNSLGGDVLGLSNDITILKGHVEEIITQVRRQVEQITALETSVSQNIRDIDTVRNT -VADLGTLVVSEKTRLDNVTRDVSTQALSITDLQQRVSKLERESEPTSYEWPLKKDATTGL -LKLIWDPWFLETTPIMGLSWAQTGVDIGPTTGQGAWHKQDGTYLFTVQLNFVFSRYRSMG -AFSLATGNALLSGSKVELRIPYTTSGTGMSGTEFENMTPTSTSKFPLTFVTRITVGASEL -TMPITLTIKRIDTVDHIVLTPADLPSLENYPCYLKGESTFYYMRYRQLT ->YP_009020581.1 p14 [Reptilian orthoreovirus] -MGNGPSNFVNHSPAETIISGLDKGADKVAGTVSNTIWEVVGGVLLLLFLIAVGFGLYRYA -KGRREKKRELTEFQKRFLRNSYRLSQRRSLTQSPDYEEPTEYGITKPLPPPPYATYINI ->YP_009019188.1 hypothetical protein [Mink coronavirus strain WD1127] -MRFNLITYIPGRVWFKLPRSFKDWVVAKVKRRVHTCRSTKTDYRRRAIYNLRIKNASTSS -CNSFSSSSCFRNK ->YP_009011231.1 coat protein [Rhizoctonia solani dsRNA virus 2] -MSAKTDQVSVSAPDAAPGNPQTPTAAAVPTAPSANPEPASKSSTKSKGVRKTLAFSSSSP -GIAPLLNSIANVNVSIPQRNQTNFFFPDATCFMRCVSTCDQAMSTTKKFLDGTESWTAFV -SQYYASLLWHLQVFRTYAKSGIPDQEGQEFLDFFEKRIDLLNIPVPGPWIPFLRSPSVVE -AHHESYGNIAPVLPAIATTPLNSANFFSWPPVVSRSIPNPLLPLDQLHALAGHAMGQNNA -NAFVAYTNIMGMQLIGANDFNNVASLLLNPTSHSWSSASRNRTATVAEFWHDHANMLPTR -LVHNQAANQEINTYFRYFGFESVDGTHPHGMLETVLNDMALYCQFFKGSAPFSTVDIVGL -GASIPRHLPISTTAVRAFVYPTINRLRANRHAQGHRHFPSDLLYNMSHGDLTLDTVGEQY -AMSTLVNSTFGSILTQNGHDQIPLDDQVEGPFWAITTMRHAKGFSPIPSFSTVIPAYYHV -TTPVTPKTS ->YP_009002595.1 hypothetical protein [Inachis io cypovirus 2] -MQRMQLVNKQNLINYIAHSIIEEGLVDDVEAGASKNHLPKMPNPSDIEKAKLNPYHEVKD -ETRKDDIKENLVLATNETAFLAKTRHHYTGFYSKHILKPVPSDGIVMVNDTPYKTGSVKK -VANAQKNGESTIITFEPCLFTTDNLPAGSIVKDAEGGEYGMITSHYEAFNKRKAYPIQNA -FEYPSIMVSVPCEHRVKEKLMAYADKQFDKKGDLVAYIESLGPKPDIGAIIYTDKYNKNP -QLVVHANGHQIINMHLRKRIVGSFIAKAPYQPDN ->YP_009002594.1 hypothetical protein [Inachis io cypovirus 2] -MAYPAQGYVLDERFNFEYAIKLLREADINGETKDKVSVAELRDFIIKEAQDEQFAVRERP -LPPAQVRGISARVTVCPINSILCQLFCGDGFYAQSFIKLMAIGQMYANQITAEAFTVEEG -QFYVNEGGIGPDSTKYPTAHVAPLMEAFRRCANAMDSTIDPHGTIQQLYALMEETTHMET -WFMDPSVITPRQITSSIQNWNLFAAFVDMSEPNPVFPVATWQKLLDILRAVVLTFITSVS -STAVFDEGPPLQISFLLPSYQLTNPRLVNFTRSYQNLRQQYISWILRTVFIPFLERLRRF -IVGNNARIARTTFAGEKKVAIPYFGDDREMKTNNTIQVVDKRGRANYDAYKYTLENHLLF -GEDGRRPRMFTKTLVQNDDLSTFHVQIFNSFTDTIYGTNKNVTAAYDTMSALVAKYTPIN -YNTLIVESLDDYNRINLKTGDISQVAVWFLTEYSRPYVYFGVASLYLLDDTIIAYTVHTN -VGRYHEGEKTFAFEECTAMHMFNILYSLQVCLDPEAFLTNGEMDKHEYTLDYRVWREALS -QELKTCWRPLCDHYARRNVQDTPTVAMAEMKELMGAYPYTAIYKFEDVHTA ->YP_009002593.1 VP3 [Inachis io cypovirus 2] -MSTHNRKDDKDNEDELKVDKNASNLDGVPNATTTVVEGAIKAANDSVIIEDPTNPTNIPV -PSTITNVEQERLDTIQYDKPAAKVLHTKGLTVTSKWDKDIQIVSPFRVVEATNRLISYKF -HYSTIKRPRQQFNTNTEAIGIFGKSEELFCYGLSYAEHDYDEDLLKKIGVSSASGILHTA -TIIPRIESGQNELTYSKIIDHKDNISGELKMLIHCTNRTHVKKWEGVGQIGDTAVYHGFI -RDRNDVPPDNSPVLPFAYNKLILPTVRGYIANLAEGIPVRYTYGRMATQREANANWTVSE -SHAINVCQYTAQMFNHAFNYALESRTNFHALKRMLEACCWAGSSVSFTIDEAFIPVHIPD -AHTAISGLMLCLCRDSTMNDRILEVFMNYLSEADLMSFNITDTFTSNEIVSNAANDSVQS -ELSMLSKDANVDRVVRALCEEIHFITQIPSFMPFTSDMRSMTSLIAVMLYALLQLFFPNQ -FWMHCRLIQNELMTFFRTHYNHEYTQIINQYGTFYTVNAQGGIVDNPGRHDDFTDDPFTD -TYPTLFRRHVGQAGFVGAPTITNYMRLFNPLFQNGEADDLAMRAEFPRLMSNPSHYIPYP -PYAQNNDITERLYAMKSFFIAQAPNVNDAGRKASTEPIIQQIKHIFNHSLEAANALCRTV -GHIMRTMANFPLAYAPNFLPNANGHMNINYGVNDPGVRGVGDVLRQTSTTHTFTPAVVIA -LLFNTNFKINDILGKGLEGARVPFNLIMPDAVTVFNHSLALVNESILLSSADYVLAYLFH -GENIDQALVDLREHWGFDHFDSFTYSFMRQAVAEYFRNNPTYLQGFTYHTAKLKRDGRLT -RPRFVRLHRQGNGIPFNPVIDSPVLNQRYAKSCSNTQVNNMVVGAKMINRMRRVRNGVRL -TMRQELHPNIQPIAVPEEIDQILVREINYVDGLFTSRPHGTSNMWVFESKNGGHSPYAAD -WIPIHLTIDNVAGISNPIDITNIINGIKYAKWFVTITNFKYTSQLTTNEDLVTSTSVSIV -DIFKAPRTRLFHIFFYYDPLRVSQTEFDFPSEDLLFQYMYPLEDITDVIATGGLALSTET -GDALTFQPPTIGEYHTGDVDLQGRNIFEEDVPKVDSELISMSNVIFGTNKSINFNAKNRI -HIRPAPVYQM ->YP_009002591.1 hypothetical protein [Inachis io cypovirus 2] -MSEPIVIVPGGSKGKPRPDVQYLPLQHALCRLNFNAKDTYDTKSIEYFILSNINEKDKYL -LSWLSTGCVLMTDPGFTKGVVPGTGSKFICYLPMDLAVSLVTSLYNEIKQYSTYIINESV -TVDESHLAKRREQDTATIARAAGFVYAGFVVIRSLMETQKKEKITPDTSMLNLHSMMLNL -RDYDIFTTFGLPRKHLIDHYQQCSVRNLIRFGEISNYANGIPPEPTAYRPVLVQVFGTRK -TARLEGCGQLKISCASGSYGGSGVAMVNTDVLFPGDVAPPDFTSFNATRDEIIDIAFSPE -KVIRMTQKRLPAQKATTSSDGAAQTKKSQVPKPNTQTDSVADRKKNKKAANKQKSSEGVK -SSNDGSESKVQSKKDKKTEPVKESHDSSSEDMGDDKSDEDCGDEH ->YP_009002590.1 hypothetical protein [Inachis io cypovirus 2] -MFRIGSSNRAGSAVSYVMNRQTFDEVIGPSKESASIKLMTAFKTLEDGKLQELKEISSKD -DIAEFLKYAREHTNTKGGFSLSDQAYSKCFLLLKTLEKNSDTRTSLISNGIIFVLMGSDE -LTEVQVSQRLKGIVRTDRLSPIEVSAFKSACGVLDEVDVPQGRKPSSSSASTGDSAKPLS -RANSHIPTPSLDIAVDPFAAIANEDEFEPVISSPILVPDNNSEGYTTDVGGNAESDQPDE -DKINQEEKEVEVAVLEAVHIPRTIEKKRLTSSVETKETKKPQLHTQQSSISVESSRSETS -TGVCPVIVGVSQKKHGIKTATDMVRYSSAPIPLTVMDELANDSIYNNMSPVSRALLDFLM -ERDDIVNAPFNFTPTVTKSESTPVLSMNNILDELNAAGFVHYNTMFDFSGNGNHMITIKF -DPIHACLARISDIRVAPDASISCLREAQNLVTLQNMRILFLKMTNSTIIANNKIITAIKY -YVDENICSLAFMDAYGATIFSTACDLVSNPLFRVMLTKLLNRERIIIPTGGGDGSFKLMI -A ->YP_009001773.1 hypothetical protein [Wallerfield virus] -MRSIYCFLLFALTMATGKESRDYFLASMGRNLKYFTELDNIITHKLLSFDFEYNIYGKYV -EDDIKVYHANAWSKFIGSHCPPNHHKVSLQHHIFSELYVCIHVPTVLKNPHSVYKINKNY -NEVLVSCYLPGGDEFAIETIRVDIPDLDKFRIIEFNGKNFLTSQYCISDRYGLTSDHKEV -LQVNYTVTDNTISFQFPKGECRKDSQLIWDIDSLHPGYYLPFVINITASTNMHKCLTYYY -TPYDTELDTNVTVLPLTPTEHLIWAVDVSPIIVDARNLTRVWSSINYYPPPSDTVIHIGS -AITNSPFNFISSAILAILRPIIDILLDSLIYILSTLMEIFESSEFLEIVNRLFDFVYLLI -KNIFEFIVKVIYPRLLDIVLSFSTKCKFLFVVFIITYIKTGKFFVTCIITFFVNMCMRER -EV ->YP_009001378.1 3B [Caprine kobuvirus] -GAYSGLPRLKRQEKVKPNHPPPPPPAQ ->YP_009001370.1 L [Caprine kobuvirus] -MESKLLVSESTFVPALTHKISRRIRRHPVAWNARENPRLSFITAMEELDAAYISEQHRLF -PLPRPSHWYNCLFCQNPSRDGDDLMSLACEYGDDCPHHQSHLDPKPVRQVKSPEDILEDS -EWPDNILTSDPPAFTTDAEQNWLDQLALATLPGPQQVRPLSKFFSEHPPPPSLASKVPIP -GCCYGLECPTCDPHLPTSAQVVRQ ->YP_008997793.1 putative nucleic acid binding protein [Apricot vein clearing associated virus] -MHLDKHVLVLGVCLKRLGLPTGPMSFVLYKAMLVRKLEIQEEQAKPLCGVSTFAIKRRAK -RLGVCYRCMRVNPKFYFTTRCDNLTCHFRSFGLKERYVRFGLGKNGVELRDSGHFELTKT -GKVSETSRFIEIEVSRCYR ->YP_008914863.1 hypothetical protein [Magnaporthe oryzae chrysovirus 1] -MDAKQSGAGARVSEWWPDRQVISREALRQRTSRTLGAMGGAVGVSDTPRSFFGGGEVPTE -RLRRGLPSEVAGMFTAEQLSEMLDMSAEQRVKALADAWERLATAEASTGPTSSGLQAPAR -RAPRSVSDYNTTQWVAAAASDGIRVTPTLTAAARAVAPALNRAVVPGGCQPHASYGRATV -ATGTGDVAPPSARQTAVVQAIGESVPTEPVDAEVKEGTPSPAAPPRLLCGTATLLALPQV -CCGSALDHGCAAAPCAVGQVSGAAAAVALASRPVVEPDADASIMGERWKVMSTLAARLGP -IAQCHFGSCRGVCACTHRHPLARALMGIGVGTEELRGARVHLTTPQASRSVASVLLEKVG -GGSRVERLAVEFVKAVDGPVLTSLVVASRDGKADSLLTLVAEAMAQGSLAAPRTMVTFGR -GDGGGPDLDPNKLYDACVYSGERGHKLGVLSRAVWLESDADVHWMPHSNSLKVTLQCWNR -RGDCKGVSAVIGPTTWRPHVGNSSWETLGSDVYRDWFGLVVARRGGGDTTVRHQLSYNPG -MEADQLGGLLAHSGCGVYVSGADDAATVAAVHPSLQVTYTHITLGSPTASKLVQAATALD -EFVRRGGRIAHEAAGTADEAVITARDAGGRRQGRCTKAKATARSLGPIDDGASQDQAPTR -PRGGAL ->YP_008992016.1 Vps51/Vps67 [Citrus endogenous pararetrovirus] -AQLQQIHQNLQSRIAQLDSELRTMLAQRYHGPEFDQKEREIRHLKAELAQIES ->YP_008992015.1 RNase_H [Citrus endogenous pararetrovirus] -ILQTDASDQYWSAVLLEEHNGKRHFCAHASGQFKHSEKNYHVIYKEILTVKYGIKKFEFH -LISHTFLINMDNSSFPRIFDFKNKLLLDKQLLNLKTWFAKYDFTVQHIKGNQNLIPDFLT -RPAI ->YP_008992014.1 hypothetical protein [Citrus endogenous pararetrovirus] -MELNNVPSPATDIHHTSIGPSHTLEIIPKTQGCTPGSSSSPQGILVTEQRPDYTNILFQD -AQDPWEDFQSLLHTENPRYTVTTPDSPAASTSQHMTEADENKYQQAEVSLDQRQIRRHKR -QYGKDTGDVSPSRYPSTP ->YP_008873693.2 Px [Papaya lethal yellowing virus] -MGSCLTVTRPSGTTRLQPKYCCLGVQVSSEIEDDVYRFPASVSSMECGGFTGNSKYQLAS -YVDCTPCCDNPAGFDLHLYAVAERAVRLAMVDFEVNKSR ->YP_008873122.2 Px [Artemisia virus A] -MKDRAISSRRVSLFEKYRNHWFCCTYHNEVIQFDDFDDVGRTGFSHDRHVPESSVEPSLL -AKPKPMDSSTYAAIRLAKLAESVSRTRTGEN ->YP_008873692.2 Px [Velvet tobacco mottle virus] -MASLDRPVLPRLTRTRFRLFSYKSFNCFVIEKDVERVSPVVSFSNHDSSAGSVNDAGPEL -RVADHHVCDVSLLRADNQAVQALSRLHENCGPR ->YP_008873119.2 Px [Imperata yellow mottle virus] -MGRTRTERSGFRVTRHGLFCCWSATEGDADDAPSRSHLPPSRHLASGVMFGSTPRDVMVV -GDPVDYHSKLDRIPSSRGFQSAVRRVEARATS ->YP_008873125.2 Px [Sowbane mosaic virus] -MGRQDPACVCGICTKANSRTARSTTTSLLKCVARSVTRCFRLPPHRRARSPVVGQYSLTE -STEVLSRLRAERKLASYKVNPTVTSSVSSLPAQNASFTVWLPPAAHQPPSWSSDLRLHKR -GLPSRTQQFDDGSDDSTHYRNVVGSLAKPILDRAAALSLSRREDLTAEAIAAHWRSLFGS -L ->YP_008873124.2 Px [Subterranean clover mottle virus] -MAQKATGRNLQTTKTTHELHIVPATTKVTSYGCFNYKAFSLFKKETIKNEYRHYSSNLPI -LDEPSFEHGGGGPTGNKGGLIKPPVVSYDDSHLAARTERAVRLARLAKMEGCDSEGGEPA -RGDKLSGGAFP ->YP_008873121.2 Px [Ryegrass mottle virus] -MATGNIGGVDQTYTSSRKALFEYSLGRLFCCVSHSEDVRVNSKHGGSGGARFPTVQGPRV -QDCGERAASITNGDGSDPGVATAVRLARLASNLSGKGTGSSGRLLD ->YP_008873120.2 Px [Cocksfoot mottle virus] -MAVTAVAGQSSTEVSWLRVTKNGLFCCWKLQVRDVDEQDELVKASSPRIRRNGGSHDRLP -THSDMYGDPSERGAQLDRLRSMARSFRANSGRFLGSDSS ->YP_008873123.2 Px [Lucerne transient streak virus] -METRTKRRITRSRSFINYKLFNCFTSITVQDDFETLGSSQGSCGSVEQLDSCESTTYIPG -GSRRFRPDVAIPIGSVRHIDAGADRAIRLAEHAAKLSRG ->YP_008873118.2 Px [Rice yellow mottle virus] -MPTSKERSWFRLTRDGLFCCWSLSHSHQGNDEGGLPPPHRLGWVDSGRDSLRAPARDGVI -VGNPLSYHSKLDRAVSSRELQPVR ->YP_008877630.2 Px [Turnip rosette virus] -MAIGTKTDPKPATALSFSPSGKGSNSFLTKIHKTFTFLVIGKFKLWNHVVIKEYSEADRS -CVERNVCRDDRSVCSSVSPREASELELCGATADPSASIDSIRAAYRAKKMDGVHRKGRRP -SSTS ->YP_008877668.1 VPg [Lamium mild mosaic virus] -SVAPHRQASEYVFRNRKVHRRNWEGQ ->YP_008869285.1 P1 [Turnip rosette virus] -MSRVATIEIYNENGIIVARKKTSGPHALLELFNGKQKYDQVSELFVIWVCEECGKTVYST -CEFKGIVFVREDGKETTEFETEAVVDSDDCGCAYEYHSETESEACLCPGYAIEGICDCDW -YEDRPETSDSSELFTQWERLELFSD ->YP_008858538.1 p18 [Carnation yellow fleck virus] -MKFFLTTSDRNAAVSRAESVLREVNALSESSEASVVAARINEFNELVKFSQLLNTVEQRE -RSETQNSLSTNSHGNSLSGLLKEIRSSLKVHVKTPMHAESASATFEAFLREFCKITGLTR -ENAMLENMRKVKSTVLFHHSDLLGFEITENMFRYSELLKLNLKLRVVSSRILGIEPL ->YP_008858537.1 p17 [Carnation yellow fleck virus] -MNAVEFAQPKEFFKILLLKDFVFYIVSIDCHAESTAELAVVYLQDFDLTFNRKGQVSSEP -FDFENIVSKLNPKTLTYTRVRSGDTVGSQPVHALDSDSRLLNREVNFIFHQNVKLTFGLY -GEEQYCVSSDYLQFGSKFIGAHCGTLSYCLLRELDSDNKNLVVGTFCA ->YP_008828161.1 polyprotein [Sclerotinia sclerotiorum hypovirus 2] -MIPCCYLSDWPRHTVMPGKLVRETQIGILQALLHDMLVIFVDYPGARSQSESMKKDFRET -RKNGRESASLGSHDPSPEVATRTTMDPFNHRLLTNDCIQSLLTLSPVRRTINSAQRSRER -QFPPQFYHRTAAGMILSGFRNGFCYLSLIKPVNQQRVATELRAYPTVRALLPYIDLDDST -SGFRITIGNKGTALRVVHLLSTGGSMSVSLVKEVLRRFDTSFVWLIGGEDEPIVREAPAC -RCRTMPFRLDITSRASYVLHPDRIRPDSRGFEAFPGFCYLAMVVPERKAWAAAHTGPFPR -VHEMRAVGEAQRRDGARFQAFDMGPYRNGRLVHIAQMTVGSTASDVLDGLLDLDEIVPLY -VGSDEATGPPEVDNKRRNRSVSFVGGRNQLPSLHQRSASANSLIRGGVLRRESLTVPEQA -VPQIIEPAVPAQNTVTDTETLTFTINVAKASEKPTLSLETKSVPGQKELRPFSFQEAMTP -AVSVRKSIRFAHKSPFVRSSCVDCKGEGVAKAKSSRGKFNGQGHMKLYPTASGFRCRRCR -DDFNELQCAGQELTERLKNCSCDMMFRMEHEGTRYHQSSCPHLHIIGNLLWVEPDTSDES -LCEEEYCRPCEQGDFCEEHNDPSQCDCSQCDAGERCIRTGEFSTPPAVCPTCSESYRKTH -SCALISRQLQPFVLDPKLAVPELEFGEDSSSSDNDSEEELLALQRSAFEMAPPSEVERAI -FQARIAKLNRNIASSPAESYQIVVLEEETAKEDDSRVILEFIERERKLASERATAMANLQ -SCFQKARRAHAFKPVKTVLSEAINRRSAKANGKIAVLGWEDREEIETRLAGHNQLKCDQI -WNLIKPRVEQARPVRTNMSASAGIQNWELPSGSKTYLQRMAVQARIDEARDPFNYLSKAT -VAAEYTWSISGKLRNKKAHTLNGNGPKWSYRLATPDLRVFEGSSPAQRPTFWVEITRESN -GPMLAGQLLTELGTRSAPAPLIDAILEEAVPSQLFNLSKIGADDWLITPEDSPRRPVDDM -PPPDKVNVIQFHGLKAQRNLEKAWAQIAILEAKDRQNTHRIFQLSAAKCDAPQDHAVLAK -TERSKQDLEMRLQASMQSRCPAPSDHGQLAELLADRSQEEPWRICGHTHEDFASPELCQE -GCEHTPLSFPCDMLHCYDPQIHVDPNRAFSQWGLNADYYHPSPDHCAPCEKPHGPICPDV -ALHETAKSTISYLRKINAELTGRLRHIVPPETGQAKASMRKRITAAKSSIHAWHQESFKG -PRDMHSRKATTVLSSLIADLDPDVPPLPPPNRVLVPNVVDRWWNKANLKLDVNGQYFNFH -LAQRKLRYQTEAGFIDWDPSQERLGSPKSLVAHWSLLEYAFDHIRDPFKQKQLLEQLDMD -LETLRKCARDAALLPYDSMTRRNYLRKWDRATTKPSDPGPIDQTLAKAIELLDCLVPVPN -KQVWKTWDRASDEGKTWEHGGYRYGRHALSPRQHHLDDELFSESLEVYDKVILDIKRWLP -SLSAFCLKFQSITVCAGGTGLFRSKLAESQALVIFSDVPFAAPGGNTLVVCPDPEVFKGR -ARACLRVLLPILVPGPRYYIVNGRLYPMGTFSEAVMPKIAANYPYGSIAMQGFWSAYLGA -TFINGDPFPIDRKLKAMAHLLRGMDVYGPDETALMMLGDPWPCHVAYPFQGNDVNYGHAP -WTILPAGKTYVHLKTGTEVVEWRGGYNASILVDHLRVYNQVRDIREFGVPHFTALEIENA -WEMSPSGRAYEWFSEYLRLVWQSSSQACYTGHIDIQTERLSWGKPWLNALPMPPSGTTEI -NCSISKDGAAVCKMLKIPPITDCELIACSRDSFKVSKCRLPKRIVNRCGLPFITFGLAMH -NDETTLFRGVDREGIHHTNFCEFRQEPPPWLTDLVRELTKLCAGKRVIVTANPNCKPPRK -NRVCLNFGEEVAGWHTVNVPRTNGYGGRSLAFWRLLALYVKASDVWIVNGRDTARQIQPK -LISGRFHSANQHNAMPIILASTMTDINFESACPSADLSSCTCSIDKNPCLRLFFGAMVLY -GQTYGIDEWFLHRQPLTSTHYHQLADNDYRMYPLSLEFPDTELIERGTTIWKGPLSRFLL -LQPRAHGPEGTTLGCTGRALRNRFDSWLADIPLVYLDSPYAKPPTPRSDTILMVVHGTRG -DRVPVEYLARVLIKQGQKVVLWQTSSMDSLTLARLKNSDFSGQFVDFLAAAGCHKLGYKL -VFTPHVSLSLSSIKYTLTNWSNTKTPSWLGNPLAHLSVLLMRSVGQGLIVGSTPGCNTPY -SGDGLRSLLWSAPLSVTPAAKNRLPAAWVESSDGQEAMPEAIRVKYPGITIPYDMSEFSK -YKKVYTNGTQGTVNAIIAHGAEAIVVDAWFDQARTYHMEPRIFTECTFQALGDALWSRGV -KTELPISWFWMRWRTWVPTQKRWYQYMAMGLAAFQYVYLLAPLAGTFPSLVRWVPNNVAV -TQPVLRTLLNFPIIHYFLGVRSIAYLFIFWYLLEQLPIMALGIKEGVVLRVSVEKHWMPL -KHAKIVHKRRDLMIEYGWFGERNLMTPFQGRLWKHVPECHNTEIEIPISIDFDALAKQCV -HDVSAYGPFFNCQTQILTNIGNNAPAVTLLCLGIFYAWTFVLAPWLLVIFIHHAGIKIAG -RSPLQLITLGPQEDNIADIALGAALDEDDLPDEDGSNQSAIFQDDGTLASLSELPDEDLM -RLALLAAVEEDPNAPEELHIEEAGRMLTAMQQELLDQVQVYEPIEGDTFSKWLDEFTSWC -LKELRNKANTVPYLRDIVRLLLAVQDNFYRVLEPLFQALRGAFGSMVTVLADGSEAFVRF -CHRLVDLLFTKQVTRKLKAAWFGAELLKPHKLSIQKRIRENLSMAQVQMADNFDEQYALD -TRTLRGEYSDEDVNWQKLNAMSENDISKKKFNHRGSKGFGGPTFTPVKLPRSLVLSHQEL -EVLANEAFKEGVDFNARADQYFTKRVDRLMSNGTPLATDGALLAAIRPSQAESSLIRYTH -HGVEANDLLKTNVDPFPPELKARLDAVGDALYRSAPEMLSDPKLTPPEAMLEWWRHRGME -KFNTTAPLNMASRAHAIADGQMAAILRDVYHKEKQGAYPSQYYAAKVKGQAVPVAPLVTP -NADGLYKPVRTFVAQDSRSTAIDWTVGLELKNRLPGDHVGITSKMAAGQGYSNLFRRIRG -KENIFMGDMGQYDSRLEREHFYMLDRALENGVSDKIVLSWLKAKHEAMQNSYIAVLSLPR -GKELSPFLQHARASNRDGPRALPGYENWILKIRSGATGESSTAWTDSWTFRATFCLIVWD -YCDYFSIPFSPDDFFNDSLMRLDNSGDDNMGHLDFLKKYGHVLNPQVMVACAKNRNMTLD -FAVLDSFEKCEFLGCMAREPTAMDKKTLERVKALFHEAKVRPDLVRYAAINPDLNDPNTL -EAPEIVIYRNLTNSLVRQTATQMYGNVYKDDQYLEKWIAKYVGHLQLCAFKPTHHQDIMH -EYLQTSIRWLYAATESPVRDQQGIVKLPVGQIYDDILACMNIQYEDVGKRVIYAKPLSKA -PGNLANSSAFNTEFRRRLLRLSQTPPAAYSKVIGIHMAYGKKPASFYEKKMHKIFSGLYP -IDDAAKELLDTLRSVIGEASRKLIKGFTAQARDQMYLEDIYDSGHYYVESAIWLTYEEKI -MSQEGYDPGDGTPEMSLSVWQGLCSKAAFGSITDPLRYFSLMQDNDFSEKIRAEPAATYR -NMMWVLVLSYVLLWYVETRLYGIPVLGFLYALFMFSVIDMSKIYGLISMLYWLVNMDVHP -TISSWMPRDPYVLAKRLSSWNLKFVPLWFARYLPFAHVGILLTDSLIMFSKFYNRLQSTK -PLAGHGSPIDNPWTRDAHRMIEMLLNDKVAPDKAIVLSSETGTGKSSLGVDAITRMIKHE -IAPTSRTWVFVPTRILLKDPLPGFLQRGEDSDRNESLRTYQVLRKGIQIRSNAEILFMTY -GHGRNRLMSGEFQDGVDTAFVDEMHILSAEQRLVVNQLKGQRLIFSSATHVPPPGFTAPV -FRSTQTKKWKAIQRIFPATTNVASMFQRAKNDTEPMAGMKEAPAQLSDRTLILCSTFREL -DEVAESLTTLRKSMFGGGIGVSLPPVVEISSRVKPGTEAWTQRQTAFVKGRYIALGTKQA -ATGMDIKPFPPRLLIDGGEDIYSHQGSILKLPTTQRDHEQRIGRVTRNSATGDGLVYCRE -QAGTRGWETVEYPSLSYLSEQVIASAYDLPMLFPIDTVLGVCPANNYWPYFSIVAGYENH -IIEALTFIVFANASGVGARNMSSFYQRHWQNGFPLSDDYEWMETCMKRQGLRPNHTPPAW -VSIEAVLNQRPIIWNTQRITGSIPLEVEGVTSMVRSNILYPVAGSYLPFEQAMQQRNKVL -DHTTQESRSEDISADLIVKLQKTVANLSNQLQSKEQKGQLNAPKTQSNGSSVQREKIRQD -IARQIEYVLEGVSVPNGAKRKRQDIIAKDLIERYKFRERVPQAPFDSSRQSVVTQIMDED -DGWGYCTADGTPLVIGGSGPNEGETPQMSCGHNAHSQGDHLISASFHVVKRGATWTSTYE -VQPTKQVLNRIIVMITDQDIDYVLQDIQSHGDNSEIRIDNQSGISRGSSSATKRRRLPDL -LKMSKVDRSKLICNG ->YP_008828156.1 movement protein [Donkey orchid symptomless virus] -MAKEINAADLSSFLQAVNANDILSSNRVRAWNASDTIVSAPLVPKGTWDRFTKDTLRQAF -AGNDVSTHYSAIVLMLCPCIPRAVQGKVRISLMDYGLEPIHQEVQFVNYEPCRGPAMVAF -SPEHSIPTCDTAAGRVFHLKVENQIIGVKRGTSYLTCTAFWHTRLSTKAQCYRPVAGSLT -YVSIGFRPEGHHSQIKQLKAYVQTVLETDAATSNSTRTPSLVAIEDDTVVIEG ->YP_008828155.1 27 kDa protein [Donkey orchid symptomless virus] -MLPINREEIKESYRYYCHNKDETTLPKGLEDAIIKSGQEEQLRKYHRINYEPVFDVIEGR -VPPLPPPHDPTLPDAEHQAFEARCRSFIVSNPKLFPGEISKLSGASVFITQPFKNIEGWH -HELYLHYNLYLCFKAYGHANHFIIPPVPKRSAAQFLYRYFDRNIERLILARTTRREAHYH -DVQCPSGIPNLQTENAGPSVALYCSYHDTLHVNTNNIHCRFSADATRQFQEDPAPPEG ->YP_008828153.1 44 kDa protein [Donkey orchid symptomless virus] -MSLALCRQSCHSCYVFQFFEFQMAIINPVTSVRWAQMLNNHTRASPKVAHFQNGSDCWAP -LNFDERIYVDLQTGSSFQSSIPVFKTTSTDNVALLIFAAAYDRRADGPFHLLGTDNNGYL -YTLPSGGTRAIKVLDSFRITVRPLEQPYVDAKLYHYSVSDNFPLSEGQARIRELTIQVET -LTSTNEDLTRLNTTYSSRIDSLQKDNDRVSAANSTLSDEKSACFSKLAQATDVIRQLRET -NALALGQIGELSSLNEVLGSKNDDLTEAQRLLETSLAVIETKNRELTVEVAELKAKLEAC -KPTSISPLPLTHPALGVNHLYCWFRHAGTQLKRRARTDLPTMSNYIVASRIERFAELTLI -EVALLVDNTAIKIASVTTTGSIISTPQVDSLICLHLGICFC ->YP_008828151.1 69 kDa protein [Donkey orchid symptomless virus] -MRENQPNSSITAANETRSPLELEKHRVDLQSSDHRLRMSCYLKSTQPSRMSTSRQSSRLG -TGPNTTMRSTKPGRPTHTTSTMRPPNFSLGSGFPPFPAMRPPSSTAMEKTRPSKITSLPT -SNTNSQAPAASASSSPLSCSSLATTPEPRTSSSTLTLLRRTSTDSPRTTLSPTSSNSTTP -PPSCRMLCTTTPQASSSTSSKETLVFGSFTPQLLSQLKSCTSTLPFTLAYTPSSITTTTS -SHTSQSPPPPEPTPKALPALTGSNTPTSPGAKPKCPALSSKPLGPTMSSISCAGSFCHKS -GDCSNILHSSSCPRYTAWGASIRTNPSQKRSCKCFSCMRTPSKKLETSTSGPSSASKFRR -TPSTTMTSEISHSSRTTSSSPPNSVGTQMRSPSPTRASSGAYQRTPRTPYGAHSPHSSVQ -TASKNTSVNSSSSRSITPSRPNATSQTTYQLLCTLGSKTATQLISPATNCSSLRRATAKW -TRRPSADANQMYRIVEQTEWETRCLHIMEWSFPLQSYTMACSLSCPVNATGAIRNWRVCS -NSRLSKNQAVRIMREHQIFKTAHPPSTPVSSQSMTHIHASRQPQPSTSLSASMGHRHSPP -TALVVPPTSPLISTEAGLALSTDGKITPASSSGNTCVMGSETKPTATLTT ->YP_008802670.1 3C [Feline sakobuvirus A] -GAYSANGQRPKPRRPPLPKTHLKGPVVRQ ->YP_008802669.1 3A [Feline sakobuvirus A] -GMTPVPEPQDSIQSPLVEAVSDNTPLSVLKAKFSSFFQRPLFTTALFLSICSSVGVLIAA -VLSIRKSLSETKEQPSEQ ->YP_008802666.1 2A [Feline sakobuvirus A] -GSCFIKPGVVHQISLVQHGVKARVSLEEPVGEIYREVPYYCFEMIARTLGSVVPYNYRDN -CGEYITRFTGVSLPNTGLSLAAGLTVAAGALCLAQTALEVKRQ ->YP_008802662.1 L [Feline sakobuvirus A] -MPFSFSTPSPFPPEHTHLDHLLSGVSSNAQLKALRRIRHSPQCHCQEWRTPPTLPYNTFV -QDIFDEIAMSTLGAPGFHFSQRCLNYLSTLLPSPELEWDSSDVVYH ->YP_008686604.1 putative coat protein [Eel virus European X] -MERKNIFSIFQRRKSQKREGLIRNNVLSRLMSPQNKTQKSLPHLRKSQNICPHQLPAKKS -PKKQP ->YP_008686603.1 phosphoprotein [Eel virus European X] -MLSSNKNKNKFDLEGIQLLAKGVKNAGESIDRATDEKPEHLSDAFSKYTEYLSNESKEEE -EDFQFEQVDYGFQESPESNNPLQESWIAKDDLEPDMGKSWVEYQAKMSFDYNEQVKPTVM -REINGLLGMLGGFAKFQDGKKEYLFYLPEKKESEERRSDKKQCPFKVDVTPKQDPKITPT -PEKEPKHLPTSAAGKKITEEAAVMQGFWMDGMRLTEKTSGKYCLFFPQKMGWSQAEWISK -SEDINPRTLAHDIFKWMVSKSPKRATYLRKYMVEE ->YP_008686600.1 glycoprotein [Drosophila obscura sigmavirus 10A] -MKKTTEFTWPLKMYSSKFLLVTLSILVPRVISLFLPDYNPELFKPAVIHKLTCPNLSATS -QINKYASMDTIYVNLGRPKPNFKVTVEGYLCTKIVLQTVCEAFLFSANEVNHIRKEAPID -KSECDSAIYRFIKGDVIDETFLPDYFSGYKRTVRQRIYIKVITHEVSYDPYLEKYVDSWF -PGGATPYNYSTTIHDSTLWKMKGQKPPCTDFETINGEYTVLPDPKDLEHPLRFIWALGIK -EKSYKNSCVVKFCGRPGILFEDGEWFSISTPESPTNYDVFITNLPACNSSASIKTRTVEQ -EMDAEFSNEYQLNLRFWCMEVLQGLTSGSILPQYKLAFLTQNSPGLGNVYKILNGTLMVT -VGHYKKVKLNQLDSLHEIGADDAGNPVYVTDTQLTPGPIPDSYEWINGLVFSDKRWSIPL -VDLVRNNLDNLLTMPLKLQAIEHSAASFMSASSSHLIEGDVITQYGGPTSKHDNWFVNYT -TKSWEWLHWIVYIGVIVGGIFVLIILEKLGCYKIVYYLLKKLCQSKSSKKTKQPKVEYKK -ASSNQAQQIESRHEVIPQEDSVIVSLDRFDW ->YP_008686599.1 matrix protein [Drosophila obscura sigmavirus 10A] -MSKKEAIPSLALMSTCIEGKEEIASSPHSSFFVPTAPVNDSIPYYVHNLTVEAEISVNSN -RVIDTWEPLREILTSWVDNYKGPLNVKSILGVVYTLLIFKMKKMRVGVNYLMYGIKMFDR -ISFLMTYNFENSIPVQGELNYKSGRGQFLYTVNFKYRLTPIKRHGSLIQTLFSPGVVDMS -KQVGPTLAVALDSIGIKTKEDPAGLVLLYTT ->YP_008686598.1 unknown precursor [Drosophila obscura sigmavirus 10A] -MSTFLKQLILIASILQVRGFPLDREENLMECHWNGSYTEDSLSNGRPLIIWTNLTQLPDI -PSKITQTLTISSGVNKTHHRLVAKQCVWEGDELLLPELLPVNSALNPEPSLLRGVKEALQ -EANRASSVRLNPVVMRKQIISHTTIKPDLNLQDQSTLMQLKTRVDYGGLNVSTGSKIDSL -LRQNRAELTNRYKEELSDLSTFTRSIPDTDPKIRIRRSVQFDDPEWTSVVNRRERLLKYH -QAIRDKSEELTLLSFLGSKKVFPECNPNGSLNLVITCLDKIKYFIDDTESLFWIYQVGSN -IIDKILKHKIELQFYQNILEN ->YP_008686597.1 polymerase-associated protein [Drosophila obscura sigmavirus 10A] -MSTNPSKPMNRNSSEKDIRENSSSDEFEEEVEESTSDTEKNIPDDISSPDNEFVPAPNQN -IKFSDAFNSEFGGKYNPEELSKYGATDDYCVAGRLVQEKLNIKETDEDNVERAILESSGV -VPEAEAEMEELCEKSSDENLECGATITLDDGFHKMKIIASLEERFFDPTQIHLINRFFRE -LALYVNTDETEGPILDKSKNRGAIVFGYVESKYQKKQKMTKQNDDNIPSTSRNCNKNVCQ -PKSPPPRSEGVAPAVNPNLQVILKDLNKGLKWKHFITQKELSFKMGQTGMTVEEISAVLE -AGNEIKSGKEYVEYLFKIRGHFNQLEASYIYQP ->YP_008603282.1 putative env [Human endogenous retrovirus K113] -MNPSEMQRKAPPRRRRHRNRAPLTHKMNKMVTSEEQMKLPSTKKAEPPTWAQLKKLTQLA -TKYLENTKVTQTPESMLLAALMIVSMVVSLPMPAGAAAANYTYWAYVPFPPLIRAVTWMD -NPIEIYVNDSVWVPGPTDDCCPAKPEEEGMMINISIGYRYPPICLGRAPGCLMPAVQNWL -VEVPTVSPISRFTYHMVSGMSLRPRVNYLQDFSYQRSLKFRPKGKPCPKEIPKESKNTEV -LVWEECVANSAVILQNNEFGTLIDWAPRGQFYHNCSGQTQSCPSAQVSPAVDSDLTESLD -KHKHKKLQSFYPWEWGEKGISTARPKIISPVSGPEHPELWRLTVASHHIRIWSGNQTLET -RDRKPFYTIDLNSSLTVPLQSCVKPPYMLVVGNIVIKPDSQTITCENCRLLTCIDSTFNW -QHRILLVRAREGVWIPVSMDRPWEASPSVHILTEVLKGVLNRSKRFIFTLIAVIMGLIAV -TATAAVAGVALHSSVQSVNFVNDWQNNSTRLWNSQSSIDQKLANQINDLRQTVIWMGDRL -MSLEHRFQLQCDWNTSDFCITPQIYNESEHHWDMVRCHLQGREDNLTLDISKLKEQIFEA -SKAHLNLVPGTEAIAGVADGLANLNTVTWVKTIGSTTIINLILILVCLFCLLLVYRCTQQ -LRRDSDHRERAMMTMVVLSKRKGGNVGKSKRDQIVTVSV ->YP_008567620.1 ORF4 [Piper yellow mottle virus] -MASSGTGNYHYGSSSRSGATEPNARFDQSPEAGLTPSQPMAKLDQQYQDALERFTRTDCT -STREWWNHLCQLKELEGKATKEAEEAMEVLVNLHQLKHAECARLSRKNQQLKDSVPDYYQ -DQLEAIMMDDVALRQIAINLVDVIKSVRAKEL ->YP_008549608.1 3B [Eel picornavirus 1] -RAYDQTQKIRATAKKVRMPQ ->YP_008549607.1 3A [Eel picornavirus 1] -GAEENENKLYARFDKLGQTVELSVSFDSEDELDVVGSMRFIDGATEETPFASVNENHFMP -DVKVDEKALSWYEKKMNAFREWWKKSKDKILGISIIAGCVTAIAGAFTAYFFLESATKIG -SLKALVSDSLARLPRVNEPVDIMEPE ->YP_008549605.1 2B [Eel picornavirus 1] -GMGKAIKANVTTFFKEQLLDSIKCDVVKGLFKLVVRVICYGILFCSCPGVLTGACVATLI -AMDLSTVDGLSKMTKDLLSAMLEGDLFGAAGAMSDIIYLNNPDREYMVKETTRELKSFLG -EFREPQ ->YP_008549603.1 2A1 [Eel picornavirus 1] -TDKMWIRDLTQEGVEPNPG ->YP_008439215.1 nsp4 [Bat coronavirus CDPHE15/USA/2006] -GSTVSAFFKNLRKILWCSCTIILCLFFVTSLWNFAESYHSGSEFGYKYIENGALKDFSGP -LDCVHNIFDDFMAWHHAKYGVQPINSRRCPIVVGVDENVRTIPGVSAGVMLVGKTLVFAV -KSVYSSAGLCYDEFGAAAPEQCLFNSACTTLKGLGGTSTYCYRSGLVSDSGLYRDLLHDS -HYFLPDGNYVKFPEVISRGFGFRTVRTAATTYCRVGQCVDSKQGVCFGLDRFLVYSAESG -SDFVCGTGLMSLLYNVFGIFTRSIPVVVLSGQIMFNCVVAFLAVSCCFLFTKFKRLFGDM -SFGVFTVCCCVLVNNLSYIITQNYMGLVFYTVLYFFSTKTVRYAWIWHIGYFVAYFLVAP -WWLLGMFLASALTEFLPSMFKLKVSTQLFDGEKFVGSFETAAMGTFVLDMRTYEKLVNST -PGDKIRQYAATYNKYKYYSGGANEADYRLACFAHLAKAMMDFGSNHQDMLYSPPTVSYNS -TLQ ->YP_008439211.1 nsp11 [Bat coronavirus CDPHE15/USA/2006] -SFDSSYLNEQGALVQLD ->YP_008411019.1 p20 [Blackberry vein banding-associated virus] -MSAIKRSLINVAKKVQDGDMGAIVRARSLLKMTLHNTLSGDVADGEDKLTAVVELLVEQS -SDDSERELLAALCGEAKGTEWNRLAREVLYGIVKYLDDVEVVILRCDEVLAQSDSNQTGG -SRVLKRAAGIARERFCAVLPAGLARLSRGESLKISDVVPDARDCVTEPIATFAIYTLLVR -GAYE ->YP_008411018.1 p19 [Blackberry vein banding-associated virus] -MGPLASLVVALMTDRSHEVVRLTGLVCNDYCVYYKNNAKAARTLRDDVREIVSRFAVWNE -NAEVISVLCEKELADDSNGVLSEVVLLVSEVAKAKVCAYYRINSLIWSGVNTGELKSRIP -TILKEEGVRVGASGILKLNAGDPISLGDIKSYSKMWYRSATAALIKSVIT ->YP_008411017.1 putative silencing suppressor [Blackberry vein banding-associated virus] -MEFKPVTIKVSKVGSGEIVPYNVESIDMVSYALFDNVKDFRVVSKEDSSTLSEGYSTNSA -FILNPYKIFGAVFDKSGKAIATDVASVFRVYDLHNYVVEKIGDIALYKRVTYLCEYDSLG -GSVETITVKVVKDDGTIVDVVIDNNHKCWAGLKKDLVWEQNQARTLKGKDVLDAVLLLEN -YPGFK ->YP_008411012.1 p5 [Blackberry vein banding-associated virus] -MDTDLFILLTVFLILLGVILFLLVIAFVVPRLQATAQGFYGTRA ->YP_008411011.1 p6 [Blackberry vein banding-associated virus] -MVRKVFLPCNVEGWRLRRWLYISVYIVSTACTASLVLLGCFPPRSFYLTW ->YP_008400135.1 putative NSs [Brazoran virus] -MFESYIQLYPIVQTCPYRSLRVLTLLTINQGTLNLFLILDRHTKCLSVLTDKGYRTSVRY -VSSCLRLDNVSLRCVKRGYHKLILALEHWYFRWLIAIIPTSGRIVSLGQHSPFDVCPGSL -PCTSCSSTKFPLPTELSSKELSLIQLQEQKILTGTSGISYIYRSCQEQNSTS ->YP_008378653.1 P8 [Calibrachoa mottle virus] -MEREHPTINKAISTKEKSKQLNSSKDKNKLSGKLTAAKAVANEQARGSVYGGSFTNVARE -IKMEIHFHF ->YP_008327313.1 hypothetical protein N398_s2gp1 [Ustilaginoidea virens partitivirus 2] -MKNEIAFQPGKFSPVIEVSQRQSGVPAPADTSFDGLEEVALTTLQVATSSARGSGAVIQD -SVPLSKLSMVIGYLLAARNIAEQLLFIGVSTRMSTPLRAPNGNFLEPIVRAYNFYGHFEH -ESKTFVTRGLETLFIRYLFGLRQFATTGVSDGSAHTVRVLSNQNHNFSDIDLDFYYLSEA -GEIAFGKRYPLKEYLIHLVKYASDFGDVDERIPLLQRILDVTTEDGLNQFLRYARRLPDW -QTPPREFTPDPSDKHKSAMKELFGAAYSTAGQSIPVSKFTESINLAYALLRRVSLERQYG -MKTVQIPRYEGGSPSQMASYQDDVLFSTIPLSLADSTAAVGFTTSYGSLARYTSAPGFEK -DELVRELVSQSLVLR ->YP_008320582.1 first protein/protease [Habenaria mosaic virus] -MAIQFGTITSDMLAACKVKQHLTHDEILDRAMIEKYGVAKVSVLPYIKSLNEQIPKPRIR -KVWRVESTGFGETQIVESIVDTDNNNVIKMDDTPSVKGRVVATSFKTRSAKIARPIVQSL -GEKNSLLHKVCAVAFKRGIPVTFIGKRTERVRAARHVTSNFSCMAIATHHHAGKTRNVDV -PHMGSLRDTIVSVAHATWKGGKIHERNIKIGDSGCIIPREMIEGTVHCEKDDVFIVRGRY -GNLLLDAQSYLPMSHCNKVIPY ->YP_008240478.1 PIPO, partial [Habenaria mosaic virus] -KNLHRGFGGLMARTAICGKVLYNVAKVEMAKAYYSRTQPRKCYLFQEGFRALQHRFAPCG -EANSQDS ->YP_008219069.1 cysteine-rich protein [Burdock mottle virus] -MGGVSIFVEGDCVFSISCELPVALAYWPRINYVKVCNAVCLHGQIPNSFHLGLICNECEN -SWLVKVRTGLHTILVDGGFCRVANSKTVQGMCYSCLGAESKELVTTGLFETSVKRVKLS ->YP_008219068.1 third triple-gene-block protein [Burdock mottle virus] -MAVVVRFDVTLCVLYIVCGIVVVCVVHSPVFQHPPPVSRVGDAVFLGDGYYSDQHATVVF -GNFDASRVNTEHISSIAKSEHLVDIVGSMRSFAGDIAPTLVVICLVLLLGQRIKNIVGSW -FG ->YP_008219064.1 coat protein read-through product [Burdock mottle virus] -MYLNWNDITHHTHMSGRWVRLSEAVAFVKFAQAQDLSKARSLEFVKSSFIDMFSKWTTDN -PFVHPKKRFPAEVSKWDTITLWVNFDEPPLSLVAASIITGSDGGSAANASAGTRRDVVSD -GKFPAEKKVGTDDSAYNLHRSVAALQVMLSSRELYYDAFRFEQKYGLKWGDPPLPATGEN -KXQLAAGDLQQRISAAKVAGLFPGCGPEWDNHTSLIPPGHFRDYDLPSRADVMAALEASL -KDVPPEHKEDMVEQKTAEAEQTETDSTWLVILFTLLSIAAGGSGLFFARAKVKEFLLKMK -GNLFKRDSEPDNTGGFTGDWPSRSSGEISLQELSRPSPEFQAPRPLSPRVRDILDDRHLD -VLYALRNGLAYCAGLYGFTDKFLAISYALQTDKTLISLLEDDSPLDAIKPSEDAILANHE -SEIALLQRELELEKLKNELALERARAEIETLKMRKDVQAQEELNELAIKEQRLKAETAEF -SRNKEEALTKLAQMDADSYQARHTGITSVASITGGYLMGRAMRGPKMSAYTAGVQGVSNL -SSIDSSRARANSGSVLSGSRASVAASVPIQPVTASTASSSRAGSNVFTIKDLGSGRLHTP -PPFSPAG ->YP_008219062.1 putative accessory movement protein [Thin paspalum asymptomatic virus] -MELPTPEEVVSVHHRVGPADVLEASLWNGEPPQYSMLQLWARHNRAWAQDKDGREFPTRK -SYFKSLHPLPPTAYKSYQSSRGCLCPQLRSPSIRVAHHICVKWGTPSPSTDGGHSALSGS -PAVLQRHQEIWF ->YP_008219059.1 putative movement protein 1 [Thin paspalum asymptomatic virus] -MSTVETTPSDSLPITEPKQNAAKDRQAARSARLSVAAGAGRTALTQRDATEDRFGLNIIQ -AADRIENTINFNF ->YP_008145322.1 NSP4 [Rotavirus F chicken/03V0568/DEU/2003] -MDASSIMMNIMNITGNDTAANGSVETLTNMINNYITNNPGTFLYTIITTLSTMFAISKIG -VIKVISKPLVSISKKIKELVMVCVDRMLNKVGVDVAITDQMRLNMDLDYIKNELNELKML -VVKNTLCRTVFVDRAQQTDKQQDTSKVKSKLDSTANATHVDTVMTETVN ->YP_008145320.1 NSP1 [Rotavirus F chicken/03V0568/DEU/2003] -MASLLVKLYYILRLLGVTYSHQVVGNKFLKRHLKTTLGIVPTDEWRETPCTQQSLVTGCC -LSCGRVRSLFSCILCHVPHICHNCLSLNLLICPFVPMKNRFEITAIYKKKENETTFTITD -LKLMIGKWFDLLSIGEKRLEKIQRLRKQRLHYGLGNTIDLNSFLIPYTVMKIETRNYVIY -DLAYYDTVKSQNTSYSLINLDKLVGARQLISQNNFELIQQRKFMGMSVVPATFTLNLVVE -RETWPVENDYPVYSQSYHYSKRVIVPLLASGKCAFVKRQIDAGMRGLFEPVLPYRNQYIL -RLFVEQMVYEHRLKLNLVRYLPRYALEFKRQFGLLNEYLSTLQIAEPISVAEALIHYCTH -RHFSIQDCISCIASNEVVSQFRDWKIRRCNQLNHIQWVQNRKCSCEEACNCMFQQLVSNE -YTEYVSHDHSVCEDQDILRQCSRLSYAFTDHESITNQSPLIDLTAAERISNSLETNDFVA -YRLPIPSEFQSPEEYMEYVVLKFPQLPVSVLNLIACECERIHNCVISLTEGAIKVIDDDG -VSVIPMF ->YP_008145317.1 NSP5 [Rotavirus F chicken/03V0568/DEU/2003] -MSMDLDIDLANCVIDSSSIIGGSNTGSRLPFTQAASYTTTSLAGLEEAENERRKAIEYSK -YMLEKQDLGPNDSASNDGMNEWSVSSRSFSTNESNMESVNNFEINLPSDHSCVSVKSSNS -MNSQNSQNFKSAVQSITQHQSRIRENPKPQKQYQQKKRKHKEKAVIDAISDDEWGNRVES -FDESSDSDTCNNSCKCCKRYKKLKKSVKHTVAKLISDL ->YP_008136238.1 NSP4 [Rotavirus G chicken/03V0567/DEU/2003] -MEEETIQNMTKMLMATLTDHIDFNKILQTTVTAIVAFFFNKKMATPIIKKVLSKVKEKIN -EKIDSVIDQSMLRLGTTQNSKEMVTNCIAQEMRKFDTIMKNLEDTTLDFDVMARFDEKTV -DIDKKIRGIEESMERRMKDFEIKMNALMKSVTQCTKKKETPIVHIAQQDKVQLYTGPKKE -YDPRDKL ->YP_008130304.1 39 kDa protein [Citrus vein enation virus] -MPCYHVIPYDYFQPIHSSNWLGASLLALINYVGMGCPTQEGTPCEEPTCVALPYVLLCAL -ALLWGHPRSCGRRGGVSLEALTLPRGFNIVGPPDFLWYFRICAARIGLIIPQSYWRDDGR -RAIPLTHCALFTSLPCWLMAASGKAEYHCQGYVAPELQLPGDGPEHTVWVEEKWACWHEL -IVGLLGTHTNVASILRVLPDGGVTPHSQVLGKRNLIVDAFTSISTMAVGTDQPPPNRYMD -DCSLTRLFCLREPYLSGYDTDNFSPGLHITLPWPEIPEGGEYLAHSFAELDQEFADLLAH -LHVHDDEEDLGWFSDDGEGDIENPPAPHNTDEEDFGDSDDDDSIGGNAGSGPEA ->YP_008126854.1 nonstructural protein [Human rotavirus B] -MAESSNMQDLFVQATYEEILKLAGSVNHEQIRETISSSSPQKILTGTMLTLGALLTTLIV -KKKGTKFLTTKMQSNIVYLAEMLVWKANQTVKTICDEVLHQRKVIEKLQCLDKMCLEIEK -LRYDIERFQGMDVTKELIQMCERKMIDIDSKVKEVEKSCDRRIRDYDWKIATLTAHPTQQ -FPTHIDIINQHIEDDAETQIIQQHMNKQARVKLNSRNRL ->YP_008126850.1 nonstructural protein 1-3 [Human rotavirus B] -MTEKLKAIHSSNVVAVIYIQHGYLERETSFLSHVVPMTPLQLSWERLMETNVKTVQNLTD -GTSQV ->YP_008119842.1 2B protein [Sebokele virus 1] -RAYNPQTQPKKGVRQVLRRRDEPVVFKNE ->YP_008119841.1 3A protein [Sebokele virus 1] -SGLSPLDSMDETIASLERRFGQISNYIRKEIGRCTDDLIEEMEDYLCEYDTPFQCFERKQ -RLRYATAPENIKQWVKKHMVRLKDFLAENKGWFLFFSILSTFLSVLTLVYLHYRSKPKEP -EKQE ->YP_008083729.1 P6 [Barley yellow dwarf virus kerII] -MGGLEVIAVCMIAIVFLQAVGTIVNMCICVGAIAAA ->YP_008083723.1 ORF2 [Grapevine satellite virus] -MKDLVFFMRKVNFVDDDVYDFVMAHYVSWGWYGGNIGITFDFGNKCKEFLNRVTDPSAVS -NKFSQLIAFSRLCDTNSIKNKIIVRNFDLM ->YP_008083722.1 ORF1 [Grapevine satellite virus] -MVNRRPRNTTRRRGVPALREVNYATVNAGTQTAFARKDLQILAGCGDRSFKLVGLALQVS -SLSEPVIVQIHIFNEALKEIALCNRLVAQGNTWLRLRIPLSYKQWWSGETTQSQTLVRVD -IIPTYKNQNTKVSFLLSLLCRLGTSEITAHP ->YP_007985677.1 hypothetical protein [Cryphonectria parasitica bipartite mycovirus 1] -MEEMDAATFNLQPIRSITDTIQRQWLRQSPSAEPMVIDPQTATTAADSLVPDDSISMVGS -VAASTVTTRVTRGHAKGSAHTVTTPAEWHALTREDKLATWLAVCPNETAEVLRADRRRKG -LKVVVERLDRWFERGGAGYMATHKA ->YP_007969881.1 genome linked protein VPg (protein 3B) [Duck hepatitis A virus 1] -SIYSQQPVAKTLPKDFKVAVKKHIEKLETELENQ ->YP_007969880.1 protein 3A [Duck hepatitis A virus 1] -SKVRRFSDPETLFSDLEDLKLEFDFDQLEQQAKLFAKPKEGKISKFRAWVRDCTGKIKSF -LERNRAWILGIGTLGTIMSLVTMCVPLARRFAQ ->YP_007969876.1 2A1 protein [Duck hepatitis A virus 1] -SDQIRNKKDLTTEGVEPNPG ->YP_007947666.1 3B [Canine picodicistrovirus] -SPYDSLYMNKMKKNARKPLNKVALHE ->YP_007947665.1 3A [Canine picodicistrovirus] -DRKSKFSRFVKKKDVTLESPSFDSLKEFSEAVKTRTGELYEKAKSLYLSNKWMERFSKAF -AVIGLLSFSFGLVYKLYNWIKPKDELE ->YP_007947663.1 2B [Canine picodicistrovirus] -SIAEKIARGFIKVISAFAIIAANPSPITLLGLAGMFAADYVDVTKVVSFTESLKMFILEK -LGLSEFSDILELPDKVSEFITDKISSIKDYTSDTISEAYDKVKSIVMEAGDDKEHLKHYR -KKVDKE ->YP_007947658.1 VP4 [Canine picodicistrovirus] -MSLDLTGAVASLLKPFPIVGPLASSLRGLASSWLSKTTNGLLNE ->YP_007905978.1 putative NS2 protein [Rodent pegivirus] -GPERGALGYQLCLPEWDASIDLDDVWWYAAAFFTFCCISISLLTRRGVVFKLRVYARWCR -LYCWLQLVVGHTPAGDYFRWRGASSLLWLAAGVLWPAEVAVVSMIIVCFAAVLDVFDALL -ERLLTASPSLRPLVNLANTLHSCLSDPELAAFLRARWHRGELLYDHAGQVAASLRERVVA -LDGCLEPLTLTGEALQEVYDDTFALTCGRWCGGNPVVARCGRSVLVGSAASVASLPPGYT -L ->YP_007905977.1 putative X protein [Rodent pegivirus] -YPPCEWAYCSQYVCATSGGCFVGVGEGLCAGAGHLAPCGSGSSLPGSAVDALPVAYLAHY -IGALFGVPSGSWLWFLVQAFSVQDWYYPADFICGNFTLVGAELEYDTNWLGFIAAFVVRP -TALALVAIVIAGCAGLPLRTHFPYRAFAVAFHLASVSLIESGVVFGLAWWAADWFARAGA -DAALSRAVLASPVVGWAIRLPSWPEVILGVVCVALYMRVVGQARLAALVAYKLSRGLAGA -ALVVLLVCR ->YP_007905975.1 putative E1 protein [Rodent pegivirus] -LPTSSRACWVPEHRALAFSNCCNETDIFWCTPWLCWTLPGCAVCTAEHGCWTMVGSGVSI -VPSSKVGQVRRSSMDFFGVLGWVGVMAEGTGLGEYYSAAIVAGAFLMGHTAPSDIICNVS -CSQAGTTWWYQAAPTVSAIIELLYSLPHAIFSLIESMPWVFGSLLLFHLGCGRWVQVVLL -VFAVPGVLAD ->YP_007905974.1 putative Y protein [Rodent pegivirus] -ASTTPTTVTPSPTPCSGFWDCIHRGFHGFGVALRDNLQYGGRLGLGIANPTLSPDLDWGK -RPFDASGERLHGQFSGFAERVAELGRNISTTLRAGWKRTMLRAGAGFMKAAGVDFGSEVA -RQAAASMARRRRSLIASAPSVAPQPSTSFPLFTVCVLGFVALLLGVATGMGCRLRLLALL -LLCSCAVPAES ->YP_007905973.1 putative signal peptide [Rodent pegivirus] -MAVYNSLVVRATVAAPVALWCLWSGIGFVCG ->YP_007761646.1 hypothetical protein [Rose yellow vein virus] -MFANCLNFLREIKQVLSLLLQLSKKALFMSQLVFLTRLIVDLIYVSNNHFKPPLRANPRV -PTEIHQRNNSNEYPDSDIDWSTISLN ->YP_007761639.1 hypothetical protein [Rose yellow vein virus] -MENSAVKINSGCFEYIGISILSIVRDLVISHCTKTFPAPVFNQLLLNKMENISPNLIVFL -LKETRRKMVSEPIVGSIFILICLSKS ->YP_007761641.1 hypothetical protein [Rose yellow vein virus] -MSIRRQEVTKAIQLATSNLTDKVLYLDQIGITEPSFNEQVNFLGNLSKTNQYVSGVILLK -LEQLEEQIRAQTIDIQNLDKKLSKEKGIESSDLDPLLEQIKELNISKDVQQLSRDLESLK -GETSIQPQFGWMTEGKADLFSYRKVKPGDSSSLPLSLKDKEESSSQ ->YP_007761640.1 hypothetical protein [Rose yellow vein virus] -MAIDKKAILDELEHYNVQENFEYGLIQLGYEWMSQNPHYLYNHDRHNSGICEIHRNMHLS -RLGTTLKYINHDDDEIRLKAAYGMHLALAGLIESKLVFYVYNKSLKSMKEEMKAINLASS -SSSSQLPKSYYAVAIGRNPGIYPTWEECKQEVHGFSNARFQKFSTLEQALEFLEDYR ->YP_007761645.1 hypothetical protein [Rose yellow vein virus] -MDMLILEEQLLQLQIKKAKLLAKGKNTSSSSEESYKDQILKPQTKLVKLGSVNRAQGNPK -FPESPMVKSLATTELVQDIGQSSRQQTARRKDKSNPLKDSSYPKGEIGLKPKTAPKGLKP -KPAVVKEQSSTVQTVSKPKRWMPNNKRPVNPDQHQLLNNLMSLGIDVPSIRTIEKALYQA -NKIEAKPKPFNSSIKPILVNPEVEIPQDQMTSTIPLSEVQSFVFPSFYYQKNRWNQLFES -LNEAKDSSVFLYKAFGEKFVKWEKEIEIIETYGYKMINVLSNSLPAEIFSELFMDGFING -IKLFWNFEEMFNGSPPEVISFLSFIQRGHGLTEDQIKQKTSFIKVLTAPPLLEEQWRSEY -GKIIVEELIFLAPRKYPSVHLMIEDHDLNPISFDARKIDDEEYLSPVAKRRAVTLQQIEA -FIYSTKVQLDFQLHCDLERIICYRLKPSRFARVNLEQMFEDEAHFIFKQAHFSKTEEEAQ -SPTDSSCNPFNEDPAQETVGRITLVSNESASTNPDRIKQAATNRQAIFGDSPFLTLEEHV -EAVATSKVSNKM ->YP_007761642.1 movement protein [Rose yellow vein virus] -MNKLFKSLSVKETHKPNWQIYDKITFEEVDNASNSKLPILPEKVLYETKMVDKRKDERIF -LSKSRDYSANNQIVIHGVTKADLESEVRSKTGRGPQTGYYHIAAVQILVKWLGNAGLDIP -VKIAIRDKRIINIEKSIMGLWASNLYTGAVYTVLALDLLVSANDKNLEDLIVFDIKADID -LVEGSQALAISTHVYASWTKAPNPFRKRDGEFSLEQLQKGKAIFLKPKEMDIITLPKPIP -LDNLNYDLEFNFQKEQKLQPMVSNDVDYKLSTKDEFIVRLKNPRHKLASRSYRFSAGETS -NPDGNESD ->YP_007761587.1 hypothetical protein [Cherry rusty mottle associated virus] -MMMEPSSWTLQGKRSQRKRRPGRTLSFLEQEASNPKDRTLRSSGQEEEELPLTPKIPPLV -LAETSSAVFKIRTQLRLTLPLTTPSRQLRLIGSSILRFQRQKYLIASLILSGTVIITAPV -TKQSLLVEQSVELNLKVLLVLLGATALYAVSARNMLQ ->YP_007697621.1 polyprotein [Arracacha virus B] -MSEARNHLANVGQDTREIAHSFETPQQMLEFFRKKNGVSKLAQIEDQRDIVPSDIYVDSS -VSKIIHKFKAPKYTVSTGRKIGAATFVQPESAEAPATIVPMKLISSERKEQLVNEYHHTS -AGAKLLAMNVESALPKGTATSATVALVDTAAKNDENFFVVGGIVSLGAPYSTLLVAPEWS -LPLNENFLKRPAYLVHTADDNYLAGTDLATVSWDAVVESSSNACPFLRKSQKWDKVVSRL -AGPAVLHHDVLQTLEREPQKFKPLTCKIQAPPPPSKLLHGPAGFRSSSMRVNSLNFINLQ -IDQPPSNTRVSTSFDPRGKIAGVGEGPEIIRFASKAFDNIFSSGSDEAEDAACDTSIETT -VSGLYGDTAFIQNPRSFQAIDEAKVVGTPLVTVDFTFNLTDITPGKPLWSFNIVDAFLTA -DNFTQMIMRQLCTARFSLRVDLSISCGRATAFGILLAYDEALDTTPLDTSFSKMRGLPGT -LALAQEDRVSLVVQPGFYGNTYPLQQDYRRFGRFVLYATTAPNESTNLPSEYHGNATIYI -DSIDRVTYGLGEQPCTMVHRQIPAHQLYKAEEITLAKRAVLKTFPLDLFGANEYASNYSA -ILKQCVAYKATLVVDWIMPASFVVTGNYFVFATYGDEHLPDAPKFNRLRMLGFPMYDIAL -VRKGRLVIPMVSWSGAYTRASYPRLHVLLPSGFGGQNAEKVNFQIQIKDLLNFQGLGGFC -TMPKTPVAGFSLGEANQSLVSSRLTPFYYPWISTNGTGGGDAFYIPITPYNLFGRGWDAN -GRLKTVVTGSVDNFLHDFACSKLYWRGSLDVEITANYINIDGKVKPWTIGLTPAAIIPIG -RQSIGLNVLNMSQMKTGEYVTRFAIPHMSPYNWLETMPPEGTGYASRLHFATNGTLVLKF -EPGINWFSVSIRPGEDFQFMRHRRTKIN ->YP_007641375.1 hypothetical protein [Tibrogargan virus] -MSKVGPNPFLQFYLNAKNDFINWVSIIWGKIRMIALVITLIVAFIFLIKLIKSCIYLVSL -CKGCLTKTLTFKNKIIKWNIWKKIKRRTPRESRLKDCPIYLNNPNFQLN ->YP_007641374.1 hypothetical protein [Tibrogargan virus] -MITRKHIIKEIHLTTIMMICMRQLRMEELFTRHSMFSSIFNYSKTLDGLFSIHSP ->YP_007507327.1 P17 [Melaka orthoreovirus] -MSGRSRRTLPVSDCSFYGPWIISGTYPVVSLICFREITYYINVDIPLDHPQRVSLPHIAT -DPVVWHVQLVGRRAADSSKPYFCELDCVLIRVTPLTNHNRSHVIIHYSPFAQSPAAKRSY -RFDHDDDPEHKRFAIRSICSSR ->YP_007501036.1 putative 8 kDa protein [Rosa rugosa leaf distortion virus] -MATIMLSGWISTGVNTLLSLKSRDIVFTSRLGSARISKLLWKMHIRSWSLYSNSPHLETK -STFLNGQSTTQS ->YP_007438856.1 putative movement protein [Southern bean mosaic virus] -MSYRFLVVKAVGFLGFHSDATRILSETEIVDVPSSIDFVGETELRLENAWPQGGERYTIL -PRFNVQIDFTYHPVRVEIICRVCATSLTVVFSKWNFHCERKGHFVPVDQNGNLFRVGTLR -ETGEKYFYFCEKSICRQCIIQAAHHHS ->YP_007438852.1 putative movement protein [Ryegrass mottle virus] -MPSVVIEVCSYDEETGDCELESTSKIFTSNFDGTYVLYTHSSGPKYAGSTVTLVCPHCGV -SEQATFPTRGLSSNWGHHGPHDLRLDCKARHWHGTCEVIPSSEQESRVASDGVFEPGLTH -ITTTRGQSWTRHH ->YP_007438848.1 putative movment protein [Lucerne transient streak virus] -MPSVIVECYTTDSHRDIPLFSQKIWFDNVDELTSDYVRVYRAVRDYSKVDYIEVELRCNF -CHYYNSRGQIVQRYLGCKDVEIRNISLPDNDPYTYTVYSVDCAICRKIPTESEDESDSSF ->YP_007438860.1 P1 [Rice yellow mottle virus] -MTRLEVLIRPTQQTVAKAIAVGYTHALTWVWHSQTWDVDAVSDPVLSADFNPEKVGWVSV -SFACTRCTAHYYTSEQVKYFVNIPPVHYDVVCADCERSVQQDDEIDREHDERNAEISACN -ARALSEGRPASLVYLSRDACDIPEHSGTCRFDKYLNF ->YP_007354881.1 PIPO, partial [Brugmansia mosaic virus] -LSRSLAGTVARFNLARKIICRLACKKAKELASKAATGSQNCRFERNICFLTKTVCGRSHT -TYSKHYQKGKRESMAVHR ->YP_007353984.1 hypothetical protein [Aspergillus foetidus dsRNA mycovirus] -MLGVNVRAGVDIRRPPRPPEPGGHDLTMVIESEFSRAARGFAYTVRFSRGFSDDAWLPKA -ELREYKQTLAGRDVKVDVCEGGFYLAAGKIMNLIHAFISDWEGGCIGEAQVDVSLPGPSD -TPPITFRTQVSTRTVAPLKYSYVFAERLIPEVIAEDDTPAPAALCAACDHEEAIATDLYV -AGPVTDTLRVEWFVDVLENAGLGSSFAAIGNFSHEASEVLASYGCRRLPEWLWGEQFDPP -PDIPRFILVGDRPREGWLSTNRFLSILASRGYHAAAWAQHLKGLEGEFFFSCPPTGVYGG -WLIESAVDERGPLCYGKIECVAGLDPTFSLFCDRSFMGERFPFRIAKEFGLSAVALTQGC -TVTVPLDQTVSWLCVLAYAVGAWCVQDVRPMLDLERYSSAVGIAQSLRRIVHPPGRFDIW -DDSGAGMAFDNFFQLPPLLTDVLDRRLALLISYTREGAPILWGERWDPPGKRLYHMYDDL -REKVSPEELDWEPGIVLERRHLSVLCSARIFRLLAYLVRGYHALRRPLSMRTVQLICWVC -SRLDDPLTIVRFLWYPFSGLARHDLDFVLPLVEYAPCWVM ->YP_007237174.1 lysis protein [Enterobacteria phage Hgal1] -MANPRRETLSVHRFIVTKSQAQFSIRIIRCIRNLLAIAGMLFLLSPIYLDKFIQIYLNAY -VVPMT ->YP_007237128.1 lysis protein [Enterobacteria phage C-1 INW-2012] -MPSLTLRVSTNLPDITVRDAIHKGYLWLRKQVAVATVWLLILTFIGLSSGKLRLWFDLSV -TNLSG ->YP_007111576.1 lysis protein [Enterobacteria phage M] -MKYIINLAFCVLLLVAGDSIAYRVSQYLAPLVDTFTK ->YP_006405427.2 PIPO, partial [Cassava brown streak virus] -KRVRSTRSYFSRAHQTSIRRFVFAARATFNQHWFRFCKTILTLGGKRKSETEKLEHYLMS -HFKTGHRNTCKLDLRSV ->YP_007032442.1 6K2 [Cassava brown streak virus] -CASDYIEEKVMNVKRNYDKPIIIGLVGLAVATGTFAYWYLRREAASEVVEKQ ->YP_007002853.1 putative lysis protein [Caulobacter phage phiCb5] -MKIPQEVLTLCLIVFSGLLTLFLASLGLLILEKALRSTDLVPFQTSVGIKIVSISSRPGL -KSSRVSFQLMSGVITPTRHGSTTCSGFRGSICLLGMSLQVSSLLYRRRRSLRDSSPPSLP -PISTVNKISDHGSKTE ->YP_007002851.1 coat protein [Caulobacter phage phiCb5] -MALGDTLTITLGGSGGTAKVLRKINQDGYTSEYYLPETSSSFRAKVRHTKESVKPNQVQY -ERHNVEFTETVYASGSTPEFVRQAYVVIRHKVGDVSATVSDLGEALSFYLNEALYGKLIG -WES ->YP_006990078.1 PIPO, partial [Yam mild mosaic virus] -KNLCRGIEGFMARTYIVGKIIVNLSVLASVRTIWKKVQRRKTRNLTQCLEICHAILVRRP -YKDEDWC ->YP_007001274.1 P1 [Ornithogalum mosaic virus] -MAVTFSCNLNSDISIFRNISFGTFPAEPTPIRMGQLLVKQAESQIEAAFAGIKTFPVVLL -ERGKPAIKSTRRNLAKEDFTIEPQIVDRMIAPAAKQDAAPLAKGVKRATSVKQRKIRMKR -PVIKNENQIQLIIDQVIHIMKKKCGAIEIAGSSGSVRFKRTEYGTLPLVKVRHMERKIRA -VDLGTNAGAKKILQRISDIAQPRYNIETCFLKRGDSGLLIKKSRLVGSFLSDNAHDFIVV -RGRSKHGIVDAVSKVSHEVLTSTVHYS ->YP_006908985.1 NIa-VPg [Rose yellow mosaic virus] -FEAKGKKASALRYKRDKRGTMSFYHNHDSEFADDFGSAYDTRSKKNKSRGTVNPLGQKSH -AFTTFYDFDINAFDIVKFVDPNSGVMIERNTYGLDMEELVRDFEEANLELEPEVRWADAV -PEELHAYFIKDGVKDGYFTKLTPHNSKRVSKKNTCLPVGFPEGLAEFRQDGPITRVSAEA -IKAAGVPIEKESVDFE ->YP_006908984.1 6K2 [Rose yellow mosaic virus] -GAISEQIDEQILLKKKFVLGKVIVPCLLGLIAMCGIYAYYRLRKHYEVVE ->YP_006908993.1 coat protein [Diuris virus B] -FQTLGHVNDPQNFTVIESNKYLTIMNDFAAHAFGILAEEGFSPATIYSEVLTNYTIDYGA -PVGNITVEFSPANISREFKYYANSSNNNIFDNITWRQIGEAFAEDIVRYFKELQPDAQSW -LVRSNPVLAGNAPWVALDVTDGLDIRRLNPEEKKVIARAKNHLLKSMQLKGRESLSAEAL -LES ->YP_006908990.1 papain-like protease [Diuris virus B] -KNKCCFESIMQSQNWSYDNLLDAMKGTVFIQKMIDDKGLLVDELVELIKKININVNVVDS -AGKLIELNKGAEKVLLLSSNHCK ->YP_006908998.1 coat protein [Diuris virus A] -VKNLGHANTPAEFTAAESEIYGRVMSDFAAYAFGIMAEEGFSPATIYNEVPASYTIEYPQ -PVGALNVSFSPAEVSRQFKYYANSSGNSCFANITWRQIGESFAEDIVRYFKELQVDAQSW -LVRSNPVLAGNAPWVALDVTDGLDVRRLNPEEKKVIARAKNHLLKSMQLKGRESLSAEAL -LES ->YP_006908995.1 papain-like protease [Diuris virus A] -ANKCCFDCIMEIKKIDHVALVNYITETKFMDLLLKDNGLLQKELIELCNFLNIKVNIINQ -SGTRLIYENDNDNTLILTERHCK ->YP_006907828.1 hypothetical protein [Horseradish latent virus] -MKDNEKTGSSDGHCSGQIYLNLLILSLRRLTLISGSKGLLINPYQFKILLIKKLNKWFKC -HKSTFRSTNLVVQKLVSEP ->YP_006907829.1 hypothetical protein [Horseradish latent virus] -MSIEKYIRSSDQYNPITRLYEVYTHIPRIGSVEIRFAKSSTYGVEDTSARMSMYTAIRSV ->YP_006843894.1 coat protein [Culex originated Tymoviridae-like virus] -MEAIATLASAAVPALIDATIPAITSAISSNENSQSSSPPPSRIPVAIPPARPSSHAPPTG -PMDVHDVLYNPSLPKRPSFLDTPSNLGPSINLPFQFTLYDWTGAQKLINLDCAASPKLKA -LVEPYRFAKFLHLEVVICPRQSASKYAGTAEIRFCPSDVTPVATDMMESVGTVSVSCGGP -IGFISNSAIACPLDRFSPVIKSPFLPTDRIRVVVNHWLNTDATKANNTGIIFSSILRGTV -QVAYPSYG ->YP_006846325.1 3B [Bovine hungarovirus 1] -GAYSGNAVVRDKKKPNGLKVIDIASLQ ->YP_006846324.1 3A [Bovine hungarovirus 1] -GPKEHDPRQYNDKFPVPVPRRKDVEKWCDLAIAQDPHDDEVLSFLRRHCDHALFGAYLRR -FYGAGPDPLKPPNKYSLRKALDMISIVTQVLALILMLMSLGIVIWQLFNME ->YP_006846316.1 L protein [Bovine hungarovirus 1] -MVTIVVVWYSFIVAKKVFHCFQRAFHNGSFVYQTNTTAGNMEIPAMDFDLPSDIWNEEAD -FEFDVESTMLLDGQTPEDFFFEFQ ->YP_006732329.1 hypothetical protein [Dahlia mosaic virus] -MDVMNPLKQLVGTPYLQAEIIRLFLVSEPCALLINLKR ->YP_006732336.1 hypothetical protein [Dahlia mosaic virus] -MGIKYTMSMHQQQLKADLKQINSEIIYVNQQIKIIKQNPKGNMFSSRVKSLRINSLKADL -TNLFKQRKLLEAQIH ->YP_006666645.1 Nia-Pro [Caladenia virus A] -GDPTKIVQSVEVHMAILKNSGLKLMCLCSGDLVLAPNHFATKLDGKYGDVEMITRWGTFI -LKQPILVKHFEGTDLVAFRLPSDFPTIRKLKAFRVPKQGESVVLVFLERTKRGIETKCSV -ETTIRRGSDQLWRYNLETKPGQCGGLVLALTDGHIVGTHTGVSHGFFGTCAVFSPINNEI -IAFVKEQNIQEIVKPWCFNPEILPWQHVSRIEPTGTLPYLDSIMSFLYQ ->YP_006666643.1 6K2 [Caladenia virus A] -GSPEQYFDERFMGQNGQSQLGKHLAIAACLACIVGVASYYFISRSYAIEYE ->YP_006666641.1 6K1 [Caladenia virus A] -GKQEADFYIKCMAWLALIASVFNLNFGDQIYKNTTKFRTLLAIVTAPSNNHITYQ ->YP_006666640.1 P3 [Caladenia virus A] -GTFDQIKRMLKSREDLLAVLQERPAWLVNVFLSPTQIWALAVSAERYRVVDTLLKEQPDL -AMAIKSIIVVGRGWSMYKRLKPVIDSYYKEIPKLTHAMKMVLGDHYEDFQLAFSQFLIAR -QPVSIEHLFDQFYEKKSTIEELEEHWRALMQVLLVEQDSHSRSFVGKYKRTLDVLQARME -EKQSYFSASLGNFFNKVKSSWTRQMPKNSIDLTTTMATYPQRVFGLVSGKMAYYTGRSII -GLTVHAVKYNVSRILRDAAVYAISVSIVLSIISCMRMLLRRVEKLIKTTFTGESVVVYQ ->YP_006628176.1 p11 protein [Blueberry virus A] -MKKKEITEDTPLEIRQKLLRRRECNRLSSQRSRDNIRLKKEIALREIENLKVLNSSLTVE -LNELMTNFISINEENKMLLMQVSYLRSLLLI ->YP_006628175.1 p7 protein [Blueberry virus A] -MEVSVGDIIVAVIVYVSLNYIYDLWKTTEVKNLNIVKREKEKQIKRNWFLEYLFGKNR ->YP_006628174.1 p28 protein [Blueberry virus A] -MDFMRRLDEVHCLATPCLHLVDNISRIAYSITLPSHVYQHCASQLRHCLGELDFYLSPTI -FTENPQEVAEVIVYNSEVRSWINRLKVEYERLTILSRAGSRKKEFDHRVGECLKLLTAED -SAAKLKEVIIKDLEGSKKIDPYYHYKYSTLEPLFEEMSYVSVHYSEVLASRLRQTASSAS -KSNVEEVAGDADGRTQGVEQRCGDDVAIGVDEGHSLITGVMSDSILPVADNYAAAKENPM -YNTGKEWK ->YP_006628173.1 p23 protein [Blueberry virus A] -MLSITRYPLDPNSSAVRWFNVFFVNKVGCLESYHVRYRSNTDMSRGFVVEEVSEGYFICV -SSDCSTLTFHENDVLSVLKSLITMELDSIPYVLTPVIINGVSKHQFQSLSSRRSSSKEEM -VGKDIRVLFNDRLFAFNVASKGASNLAFKGVVRYDANTRQFNSYDLTDLENPKSMLFTVI -DVANGMFDHDVIKIISFSALEGVSV ->YP_006628172.1 coat protein [Blueberry virus A] -MVMKMVDWNLGAVQAKNSKPGRLSEEDVAKCTIAFSDALIAETPAKDNAEYKKLIEDNLS -YIWCQIVLRLEVLGTSAKAVYDDNIDVTVISLTPTDPTKTLTVTNNWLRASLTSKVKNGI -PNKLRVFGRSQSKLAVSLCKQTGLEPGGAANHGVPTEFMHVGGDITVADGLNDDERCAQL -KAKMNAIKMSNLSKGDSQRKVHNVLDLSSGSSMFD ->YP_006628171.1 coat protein homolog [Blueberry virus A] -MALNMRDEIARTVRAYSKGFFLECLLGDKEWETHYATAKRWLNAERQSICGSFRYSSSVN -FQLYSYRDILSIMSVLHNGEEALEEYLMVYTYVKKKSFHTPTNYDTEMILRGFLERGAFD -DRLDSTDVMCRKHTLKKYNLQNVEMDEMAQHLAALSRALNITVTRGSVANVCTGETSEVK -FDYVEASNLVGDFIYLITSHFLSRYQDEKSVSKNVNAGWAITSLARSLLRRADSVFSSAR -KLFNPETLYPTSLTLMGGLWLVFDGCEMADYNYDSRYAFFESKLPFLSKLLALYDADFET -VAMDDLCLNITVSDLIFEHTRPTLTLFKDVSDGGEKLRANVLDSESVVKLLLNLERICHL -EWDQSLINKSPMLVFMIVVWYYAIYGTSVTRVESRPPIFEVSIGDESYSIPFESIDHLFD -TLQLRDSYFNRKYNVRRSFFGLFHEQFMVFKNTFGIQLPEKWWHSRMKCDDDNLHVDWIK -SVKARNPRQSKLKSIILNGNTYFHDYTFGSLGE ->YP_006628169.1 P6 protein [Blueberry virus A] -MNASVNAFEDFLLFLAAAVILALFTLVVSIFIMHLKNFVLKSQSQSSNVRPGYDRAP ->YP_006628186.1 3C proteinase [limnipivirus A1] -GGNNSTEYKHILDSMVHLEFESGLRSTGFCVGQRDIFVYAHGVGEGSMMFEHRSVAYEIP -EDMYEVEQFVTGQGKMDMARIVIDSSIGLEFKGMWKHIAKPIFSRDALLITKMDNLFRER -EAGTVKDAGMIVMKDFDGNIQGMRMNEISYYALTVRGDCGSLLLQKQYGTWKIIAMHNGS -RQGLAYGVRLDVCIAKYE ->YP_006628185.1 3B (VPg) [limnipivirus A1] -RAYNPTTKPGLTRVLKKTARAGPIQVE ->YP_006628184.1 3A [limnipivirus A1] -GSEDEEKQMTDDEFDQLLSEMRDLTKEMTQMSSDLEIFHEARETAVVPPDIRSKVVKAMQ -DCWANIVSTDIKTLLERVSLAVTVIRVSTTIYDMIKGFRATEEQ ->YP_006628181.1 2A2 [limnipivirus A1] -PDIWRNRITGCMAVQEGAALYYSPNKQIVDNIYVLPVQNHIEDWERYSHFTMACLEPIWT -AQMPRLQHTNTIAVNNPAPNTVAAAITLMAMRVNGPRWLVKFIFPFLHAANMWDLSTGWF -HFFRLLRSGDVEQNPG ->YP_006628180.1 2A1 [limnipivirus A1] -SDNQELLKCGDVESNPG ->YP_006628178.1 VP3 capsid protein [limnipivirus A1] -GKDSCVRVEEAMGSMYLASRHHTALRPRMGLTSEHADPDQRVAGDSAYTSLKEVVAIESF -WQALDWTYIDEVGKRLTISNVVVDSSELTGGISRKTNLGFLSNFYLAYQGDLVVTIQAVA -SRLNQGKLLVVFYPGEDNKDKDVTMDKVNNAFTQILDLGSKTTVRFTLPFVNQQPYRPMS -NVHGRFAVFVLNPLTYTPACPSAVRILFYIGAGSSFNFVYPKQSAVKFQ ->YP_006590066.1 hypothetical protein [Grapevine virus F] -MSLFRVPYSSSIDCKYITLDKLHSFVLSLDWLGVEDLYGALALLSAEGSSKFFARAAAVV -NDLDYRTLITLGVTNFLETTDRSEEEIVDCLVKSLTNDRPRLPIDLHKLGRPIVVSKEGG -RLSVTFRVNNKVRVAWESGSYNLSRVIERGFRVDGLIFR ->YP_006590100.1 NIa-Pro protein [Chinese yam necrotic mosaic virus] -MATRLPENKLNLQVADMIGKVSMSEGTIHCILYKDFILMPAHAMIKQLPMEISFKHFTIT -IDTLPEAYCFPGFDIVLIKRPAKLAPVRCHATLAQATDGMIVQMVHKKSVSDKTVLTITA -PIHQRDDWRWAHQIPTVSGMCGAPVIDVASGKIVGIHVLADSLKMHNVFETFPSQLLEII -NTNDKKVHQRYHQARVNDWTFLPEAHGYFPSELVGLQ ->YP_006590099.1 VPg protein [Chinese yam necrotic mosaic virus] -MKGKSFNRDRRNPMMQDHFDAADFYMRDVEDFASLRSRRKVSRVDDAVSPVLRYAAKSRP -FITLYDINVDSEVATAVFQDHNGQAFYETANPLKNMDLVREHLNKHKAKDGTQIFWSDES -DFDIFCKITKTDGTIMKVKLTPHEPLRMARRGTQGFVEKEDCYRQTGQAEILQHPGVNLE ->YP_006590098.1 9K protein [Chinese yam necrotic mosaic virus] -AEGTFSKDNMNVILSLETLPQTTFRDAIIIGRKKAIWGIMILCCAAFGGLAWWLLWDDDE -GLNNDENKDRRNEVCSKVLE ->YP_006590096.1 7K protein [Chinese yam necrotic mosaic virus] -AYGKSSEKQMMAAMAMVTLFVHAFDMDLALMMSNSLNHVARLANMLTDTTTGWLTSGGGT -QELQ ->YP_006590094.1 HC-Pro protein [Chinese yam necrotic mosaic virus] -MATVKSDINFKVENVDILSTHSMQMLTGKPLTIPDRTRALLVEIVGKRYQNNDGNTSADF -VMLDGKGAMIANMCMAITKGMKFKDYKDGMVNMPQQTSFAECDKVVKCVKVQQQHLLHAR -LPTNDYVFGGMSKSNAIPMIKNMVPKSGSCFLSAIVAMSYFVTPEFDEIFMQTINDVLGE -LGSWPTLQNVSKAMQFILAKVPTLSMVPLPVIAVTHEKKLIHICDQRGVPNGWHILKIGT -VAELANAGFIKHTKLNGYFVG ->YP_006589924.1 putative movement protein [Papaya lethal yellowing virus] -MSVVIEVYDEQSVSKVLIESFKLWPKKLGDCVIQLKGKYDSSAVCRGEITLCCEKCRRQE -IISFEKKRILIHCDWENKLCEDPCIDRFVKPDEGFCNWCVEDHQCTSEGCSDPDCVFHSD -SLEFGWSESLNKWIDAHKHGFVFDRYEAEWYHPITAEILLSRSPGLFRD ->YP_006576521.1 coat protein [Amazon lily mild mottle virus] -MQNPNRRRNRANQNRMRRQRQAQDAAAFRALSSSSRLGPSTSALVDAGGIPVTPGFVVTS -VTLSEAFQWSGPGTGAWTLFRERSLVIPSALPPETRLNNVILRVTPTPGLASFDIWVAVA -AAKGSTPTAVDFDALNVPFLTMRETSRTRHVVVPFVNRTVADLAQQRVWLGARTGTVTAE -SLVVGLVRVFIEHRPIPQVQIVPV ->YP_006576509.1 hypothetical protein [Orthohepevirus D] -MGADGTLVPLKDLPPAGAARVAVGQVAPALREPIRPLPHRSQPAHLRLALSLSLTSTSVA -LSSGVNTILLRLHFPYLSLVHLMLCCTLPLSPLCCLCRTGLMRTSCQRRLVIMLNTGLLL -QPCVFDLSCLRLSVGFL ->YP_006576504.1 matrix protein [Persimmon virus A] -MRDTPGYLHIFIHGFTMESQSETKSSKLDSVSLSDMHYYMIEFDEPKFMLSQVPQPEKKG -THLTESQLGHAFEAVVSKISNDEDVKALLIHMMKNNVIKTVLDKKRDMMLGPNVLRATFV -FPNKAMLPSQKPMKVGMHSLSMVGKRFAVDKIEMIIMLKLNINLRELSEMDAIKVFEGFP -HMCVAGML ->YP_006576503.1 3 protein [Persimmon virus A] -MTSFVSTFKGELNADYTQQVVKLSKKLPILQSLWISAGFDNVSITKLAFSYKSRCPKAAT -GVVEITIRDLRLEDADKQEVAFVTFNVKDWVELSWSYPVWFHCTDFNGKHESVLDMCLDV -VDTNMSEQFSLGSYKMKIYYRVQNQITKFRPSINRAYLIDQTASPGAVITQRRKEDHNKR -KSNRTSLSEEEISIQEEVIVHKENILDRSRSYLSSQPRQCAK ->YP_006576502.1 phosphoprotein [Persimmon virus A] -MGDSDKVNYSGIGNPVGDYDYVGHNSGDDSYTGEHVVESVSVPEVHNENAVADKRARVTP -AKDVISWLSLHSKRGGLVIPVEMKNYLLSLSAEDLMEERDVEMFVKGYVFCSSSRIIPNM -QSVVNDIQAEVRNLQRESNKNVDILRSMEKQGKSTEMEIAAAVATIRSDMVTAIKSALKE -QVTATSSSSGKVELKVRTEKQAGLDVKQVPLLINPTTSKLPRKPDLEAVRPSSSKSSKEV -TLNELKRIFMCAVGVEADIVSVLTDEEVDAMLTANDYYEFCNDLDDDGVRKEFSEIFNKA -IDQLNKEAEEED ->YP_006546267.1 3B [Pasivirus A1] -RPYNQTAHKMPVTKLTRGRRVLVSQ ->YP_006546266.1 3A [Pasivirus A1] -APETFEAWCDDMVSLLGPAVPVASVFDYCASTGVVPMLKQASESVLKWFDSCVDSMVSFV -TRNKKWIAFGALTMSVLGIAISMLKELDSQ ->YP_006546264.1 2B [Pasivirus A1] -GVDDMMNSLTGLLNSSAMELLGNDVSKSILCCLVRFVAVLVMFFRNPDLINGVALASYLA -SEFSSVRLVSSQTAAFVDALFNGDLYKLSEQFLSMMDIGSDSDYKQLIKETLGSYPPEMN -PFESQ ->YP_006546263.1 2A2 [Pasivirus A1] -PVLMYDGNKKFCVPVCNGYLTFHGSPEQFLVSGNGTLIIYKEVYGMLPYEEAYPEDLSSN -WHIEWQCVNGKVDSLVKRMANWMLLRYIDLVIFGGTWRRLLAPNTDMKFDSQ ->YP_006546262.1 2A1 [Pasivirus A1] -ELQNMILQCGDVEQNPG ->YP_006522426.1 hypothetical 4.9-kDa protein [Cucurbit chlorotic yellows virus] -MPKAFKFDRYLISLQPMSGSASSKNQHVSNNIYFSFCSIYLLS ->YP_006522425.1 hypothetical p22 protein [Cucurbit chlorotic yellows virus] -MNNRKFFDKLDNIVKSLLDGSDRYDDIFEDVKDCRYFLFSLDDDIIYDHRNYLKLLIIIK -EKSLTLDPRLFREFFVTEYDVCALITELNTLEMLIHINILLTCFTRQMNNSHSQTLNGEN -GMTIGDLIRLFLSIDVELEYTHTSVLDTCTIFPEIVIGPNFRIFNQEVKVAVDSFECDIF -KPLNKFVI ->YP_006522424.1 hypothetical p6 protein [Cucurbit chlorotic yellows virus] -MYFTTIFYLLLGFICSIIIVCLVYLCLDLIFRLREVSSPCVTVPNHRNIEIA ->YP_006200964.1 beta protein [Obodhiang virus] -MEVIKVKVDLCFYAESNLDIMPNHVLGLILIDNIRTMYGEEGLITYCMERLVPLCMYKGE -KKIYNHGRWKMVTHFSYLIDKPANPMLLRIKEREIEEVLSIYILEENVGQLYFKLEIQQS -DLNFLKHKLESSSKSADGEPPRKVTII ->YP_006200963.1 alpha 2 protein [Obodhiang virus] -MSSWVDVRLKGVKKSDIDWFIFRMRESKSKSEIKNREIIIYCLDQIKKEYSQTEDLPAFY -YIKLKTPNSLCKSCSLIKISKLSKSDNLTRYVLFEIHHHI ->YP_006200962.1 alpha 1 protein [Obodhiang virus] -METRLGLSDISNTFKELSNHISSVTRSIGEFFITNKPKIKIILICSIILILIVLTRGIIS -SVLNLIGYISKCTVCITRTIRSLFGFTKKKIKKRRKKAKKNKLTSNST ->YP_006200961.1 non-structural transmembrane glycoprotein [Obodhiang virus] -MNLRCIIFSCYLTFVHGGWVNFPESCEQIMPDNAYEEMCDDNFLIDLNSIEYHSNLKMTK -EFCVLAKIKFTSRTKYTCFNISILSLCNNDLISQSLNQTYAISKLSNKECMEFIIDNWSS -ENLERSFIDKSEDLYRTRCNFMKNKKTDIKDYIILRENVESPMIYADEALSVLETKLMEL -KSNTKLENTIKFCAPWNDMSTNNLDTLNLIGLGFNLCLAKNSFKIEKCLTCYLFIGHEKW -FRDDDGFMLFFDSKMPGLEKIPGCSNIWYRLFPGDVLKINQVYLSSKVKDRNRGCNAIKA -VLKSGKIPPLNNMLKYVIPLKPGYGIGFREKIEKTTYSAPLRGNLIERRNYDFFRCHYFP -SKVEIVENSTLTPPVNLCVYHFGKPPCHYPRDKDFISMKPVTFDHNEHYPKQDAPYDYKS -GINGIRRKIKNNEYYIPDSFIMTMIYSSHIKSILEKTEVIETPIIPNNESYSLLQDYKET -LGSSNRTIGLKLDPVLIPNITYNTNIYTDEPEESNGKVKNEKNITYNNTNNVYSVNVSIS -DVSKNETKKDDIHKYAPPDKSNKTILVINYDDKDYWHEEYNMWGLGFLSSVLLLILFYRK -IKKR ->YP_006200958.1 polymerase-associated protein [Obodhiang virus] -MEKFNPEKILGNYNTQKLIESINETNWNLDEDLDSSICQVGPAETVNEIDNYKDEVIKIG -VCLSSYKETEMSLDNQKEKEIYLDDDDDWEAEFSNKIEDRNLINNSELEIQINVEDLIAL -MDFLKIEIDQYNILPLTLQNKIIIQKKEGMNSTIKTGDTMVNQSKSLTSSDRDTDSKTNR -LLSQNESPCHDTHPGEGNTDVSPESKKLFQYLIKIFDDGVRVKKKFSSKKIKITKENIGL -DYHVIAKYVEGKNDKDSMDIEKIVKELIKKGKKYRQYSNQLDLSMIDL ->YP_006202627.1 delta gene product [Kotonkan virus] -MALIKIEGEVGTNEVRTELVITVIKEVNSIIMDILQCIGIPFQPDKMLTRRDIKMELDPE -DHAVCFIRIEKSWETECDRVGKLSFLENLGGRGNFSYSGNMKLEISPKL ->YP_006202626.1 gamma gene product [Kotonkan virus] -MISKGEIMIVNHDYTPLSKLELIFELEKILDLSERHRPIIEVVQKLIKNDWINLGVHRFT -YKIPLKGMYQIKGCWEIKMNLNIPINGRIITLDTDIINQM ->YP_006202625.1 beta gene product [Kotonkan virus] -MRSLVYGVDIRLMIYGIGREMLNFAILHKIIRQNIAWTYGIDMRGDNEKEEKEKALNFLL -KCWDQLGPSIYINNQKLLQDKIIGQEKLTTRGIIDVEYTRPIEEYYFYNRIFPIENSGGL -LCHVGVEIEGILLQFDCPKRSRERDDCPIPFKVRIIE ->YP_006202624.1 alpha gene product [Kotonkan virus] -MSSKFELDIINKTKYNITCESLIQLLFENYKRLGFNTYDKLLLQCLKTIGEENKGLIMYR -GFSSFHGKMKSVILIPIEGSVKLDINNDILTILYRYNS ->YP_006202623.1 alpha gene product [Kotonkan virus] -MNKVNWPSLDGIKLTLKEFPDKINTEIREFSHNIVDKIRYIWVWLVVIVLLLLIIKITPL -LVNLVISCKRCYQNFITGSKKLKEEETEIA ->YP_006202622.1 GNS gene product [Kotonkan virus] -MIRRISLVLIMMHNTLGWWTNFPYNCTPTVDENPNIKCGYDNYHYSLKDVNSYKNLINMG -KVCVYKPGLSNKLDGFVCKKIVKYTSCYQNAEGNNHITYKTDLEEVTKRECELMLKKRIE -FGYDQRMFYPPAKCSFLTNTTSEAIFFIIDEVKIYDDPLKVQQHRLYEVSGKIMDDVRLV -GVKPWSSEQCQVEKWDCIDSKTDIFLDMIKPGNWINLHFIFLQFQLLYEHTFGIMKFRSV -CKIKFCGKYMLYKKNFKLFHIENEDMLEKFEKCEEGDSIRLIHNNGGIENELGVNAIKFK -LEDKERYCEEMKSKLENSGTVNYNNMHYLSPIYPGLGPGYALKEYLSASGSLLTGKLIKQ -NKLNFYSCTYWPTEFRTYNDSGKKILFHRRMGESTFTSDEPDLLWSYEKPITNEIMSENG -KKIKFGINGILSNNGTLLIPNSRILSIMLKNSDAPLNQTLSVKDNGKKKIRVEELLEFSE -IIEQVKEEGKNTTDKKITQDGDDYDNYTSGVSMIGKDDGEGFNLSKINDNIKYPKRNITI -MKNKNIVDGFDLDVTTWGVFTLSSTVILYISYRKLREYKKRKGINNK ->YP_006491256.1 transframe fusion protein [Ndumu virus] -EQYEPTPWWVWAPAALVLLCGLRKCLCLTFLSDTRPGQPTDPGLRAHGCDVESGGSTLQG -PDQQARICAHDSANRSAPV ->YP_006491215.1 NS4 protein [Peruvian horse sickness virus] -MKMDNHFQYQEGMLEQLMANPWDQYQQWMAFAQAVIRGYLIREWAEISVEELDQVEIPEM -EPMMSRKPTHQCPNCWRKQKKKHLERKLERVEMERKMKKSEVNKARRKLFM ->YP_006491217.1 NS4 protein [Yunnan orbivirus] -MERTTPRCLNSERMEAHLRVIRNETQNRRLRDLELVKMAAMRGYLAPEVVPFPYNQLNQF -HILEITPLTERKPLHLCPHCWESQKQKHKYEKRWKERERTPERVESVKKRLNL ->YP_006491216.1 NS4 protein [African horse sickness virus] -MGRRRTRVKRKRTKYMMIEEWRARNLREADQPIVNVAQEAEEQIVQHQQEEEMEVQEQGP -GLEGEEWEEWIRDLEDVEDRVQPRMEREWVNLRPEQIVSLMMMQHAMLVPVRYHLVESLQ -EVFKAEEDSLQRVVNVAGNHWIG ->YP_006491214.1 NS4 protein [Palyam virus] -MEKMRMDKINELAVEAERINKREEMKVQIAQQRLRAEILLLQLRWGNTQTVMEALENQIK -VLQEQEQRLEEECSFSRQVFQKR ->YP_006488613.1 ORF5a protein [Porcine reproductive and respiratory syndrome virus] -MFKYVGEMLDRGLLLAIAFFVVYRAVLFCCARQRQQQQQLPSTADLQLDVM ->YP_006488612.1 ORF5a protein [Lactate dehydrogenase-elevating virus] -MFEEIGQWLDTITVIAILFYFVFSLYRKCLRRRQQLNQEFDLQLNLV ->YP_006468899.1 non-structural silencing protein [Bean necrotic mosaic virus] -MQTLVNLGKEFGSQVNQKHVIDTYWVKTKFSGSNNFGSNYVQMYSDEDIQTGFRFNEPGM -EIISDVPDVLQKDSSVEVFSDLGVSFVFDAEKVTIKISHGSVNKLGVKHKGHLKVLEFED -PNVIEGTVDRNLVASVPGINNDDIEIKNETINALPSMNMIHLKEDSYKITKSPNCFFGKR -NMLRRGWIGTGYVTNIHPIGYVLPKATNRCLGTLSLKSMESTVEKGVLSSDIAHAELSLG -GKCNFHLFSSCKGDLGDVSFMRNVKFFEDKHERVFTIYVKTSSDIDNNKSSILVIFKTVC -YSESKEGTVSVSSDDKLQGSINLSELPESGFFDHKAKSMLIVQSLIKIHNEFASKISEKL -NKSVIVYTLRSVDSFKKEITEIDSRAMNYLISPEGEVFFLSRTFQESLPTNMSSLTYFFD -LQTFGWSANYLSGDLVVRK ->YP_006438198.1 PIPO, partial [Cyrtanthus elatus virus A] -RRFVCANLRRSIVRFRVAKQIAVIYLSIKAIKAFTFTNRKSKKKRFARRMETVYTRTFGG -HKVYC ->YP_006438187.1 PIPO, partial [Sweet potato virus 2] -NLGSTFAGSMERVKLAGKVLFNDALVKVCKTFTRRFSPSKYRRFERQSRRITYYITHKEC -CDR ->YP_006438186.1 PIPO, partial [Hippeastrum mosaic virus] -KLHRRVGHLLERAKFVWKIARNETHLHAKAQISRLSSRGQAKNKRVERSLRFLLGTMFQN -HQCECGCSYIPH ->YP_006424008.1 PIPO, partial [Blackberry virus Y] -RTALVRQLRTPLQRTKLIFRVAKNAMWHGISTSINKAKWKMRITRTRQTTIMQRFDLFYK -RQICVDALYTGRCTQTKDRSWESERVHDSMCRCGLQSHKSDVTHTIQST ->YP_006423984.1 PIPO, partial [Chilli ringspot virus] -NLSRGLGGAMARIAIVSKIIFYSLAIKASASIRSDAWRKRHKRALQTLALIEKKCITLS ->YP_006423971.1 PIPO, partial [Ugandan cassava brown streak virus] -NTVRSTRNYVSRAHQTSVRRVIFLARTLVFEARYRLIKSLYVLGGTRGETIREIECNLLH -HFQTGNRDVDQLDLRSV ->YP_006423970.1 PIPO, partial [Sweet potato virus C] -NLGHSFKAGVRRTCLVGKMLINHAIIQVYALYTRYVSATKYRRFQRQSRRILYCITHKEC -SIEQRL ->YP_006423968.1 PIPO, partial [Canna yellow streak virus] -IRKRHSSCLARARLCWKIISAATVVCYQESKSKMFKTNGKSRFRRNLQYISNAIGFRVSR -ETSHTDQIYFSETPEWIYFNKACTARRNYSNSIPMSTRYFHNG ->YP_006423939.1 6K2 protein [Sugarcane streak mosaic virus] -GGQEAFLEQYLFPTFKHPIKAYLVAIACLTVGVGCLGYYYLKRRETLIMHAGK ->YP_006423937.1 6K1 protein [Sugarcane streak mosaic virus] -QGKTDNLYVKILAWISLLVGCFNVGLANDIYFAVTKYRTLLDIATTSSPESLVFHA ->YP_006423933.1 PIPO, partial [Sugarcane streak mosaic virus] -KHYRRIRRAIQADYSRVFNRIWAVKQIIVREVELMGYLHSRQSKSTYKRYAHIIGTWLNT -GAYTFERGSIEHQEYTSELDLDLSPAVRNLADRFYENTRVRNRNSNSSIMHQIERSFVIH -RCWPLRRGIIFGLLRSANN ->YP_006405426.1 PIPO, partial [Pepper veinal mottle virus] -NLQGRFGCTMERFKLVCKISYNLAIIKIKKVMYRRLARHRLQHFQESFRVFHSICTGQGS -EDTSAFYVHVI ->YP_006405419.1 PIPO, partial [Moroccan watermelon mosaic virus] -NLHAQLTTSMGRAKFAGKIACKIILIRMLKVHYTIFNPSRVVRFNSSLKSVSTALYKRR ->YP_006405420.1 PIPO, partial [Squash vein yellowing virus] -KDSFVRRRSYYERAHSTYLQRLIFITRAFIAEVWFETCTFARFPTKKRRSMFRYVFSVFV -SHVASGIRDPTRLGLQSC ->YP_006405416.1 PIPO, partial [Banana bract mosaic virus] -KISRPIKRRMESIKIVGKIFTNHAYIILQNFINTLILRKESRSARFERTKHHIAQALFWC -NEESLEWCPNRWVEVRHRNSI ->YP_006401490.1 PIPO, partial [Narcissus degeneration virus] -RRIASKNLGRVFQRIMLVGSVASALCIVRVLCARSSWKKSTCSEVFKERISRIFEGSFGF -SKKFDWQNNTSWWRTHN ->YP_006401478.1 PIPO, partial [Daphne mosaic virus] -KLSKRIEGLLQRIKLVGKIASGLAVCKIFKLIRKIHNDGRCERFERNSRALMYIWFEKGA -DSRRSSGYTMS ->YP_006401477.1 PIPO, partial [Konjac mosaic virus] -KLSRRVRGVMGRITSTLAFSHCLQIFTRIFKPSSNRRYGRQVLFIISVMCQTNPEEIVEY -SKAKRHQRATAHAKHINEYT ->YP_006395350.1 PIPO [Shallot yellow stripe virus] -MFRHNISRLFARLKFVSEIGMRVAQFKIQLSYAQLRSKRRTIDVHNDCKRLLSAVFKCNS -ELCETACSKLIFGSNKDC ->YP_006395349.1 PIPO, partial [Thunberg fritillary mosaic virus] -KLHGRFRGIMARTFIVGKIAINISIEKTAQKIFRVCGTRKQDRYNRQVLHLPKCMFNYDE -AQLHAMCEENKIKNKNKNV ->YP_006395336.1 PIPO, partial [Cucumber vein yellowing virus] -NKIASRRNYYARAHSSTVRRVIFMAGARINEFLFKADAFVRFMGKTRKGQFKFVFDHFVT -NIKTGPRDHDKLGLHSV ->YP_006395335.1 PIPO, partial [Hordeum mosaic virus] -RTVLQRSNRLLFQRMSISATIMAKVALVETVQLYQRLFQKRKAERFERNLLYVHQCAFRM -EYDRIKSKQKLRRWRFQQGYELNDTLGAQSSYTKHIPHDTRTWEDHWSTVSS ->YP_006395334.1 PIPO, partial [Agropyron mosaic virus] -RTVLFGSCAHVFQRMRISSAIIFKVAYTEAMQLYKKMFQKSKAKLYERNMQFLHHYAFGM -GYDRTLVREKLRHWHYIKGSENSGQPGSQSSNQRDYICHT ->YP_006395331.1 PIPO, partial [Lily mottle virus] -KLSGGVGCVLARSKFVGKVLTNALVISITTAVFKRIAPQRVNRFERSVQHICACAFHKIY -E ->YP_006395330.1 PIPO, partial [Oat necrotic mottle virus] -NARRARHFTRRVCVQRVLQLHWLLKLSWHRVTTYLFWSRKKGWRNVRKFKEQLVDTLSPR -TESARRLQREFLEDLEEGYSPLRRSLQMGLLHNGSQRLASYTHRPFHRFWSLLTKENSQN -AALGKGTREQGAS ->YP_006395329.1 PIPO [Onion yellow dwarf virus] -MLRRNLYGVMARIKFAGKMCVRMGKAKMRTTILKNFQSERFNCAKRQCEELFETVFKVHC -VGSESASFRFLFSS ->YP_006395328.1 PIPO, partial [Papaya leaf distortion mosaic virus] -KLSHGIRGFVERLKVVGKITRNVAIIKVLNIWDKSTFNERRYRFRRSIFSICKAVYNISD -ETCQEILCKSKRYL ->YP_006395324.1 PIPO, partial [Potato virus A] -NLLEPVGGFMARVKLFWKISSNKTFAKVLHCGYNCCQARKARRLRRNLRYILSVCTGQTD -GILQESSLSGCEWIASPIQQHH ->YP_006395320.1 PIPO, partial [Leek yellow stripe virus] -KLSGSFTGAMARATFVAKIIFNHCYCKVLLAKCWTNKARRSRFRRQSTCIHKAITWCDCK -NGQCSKRECATML ->YP_006395318.1 PIPO, partial [Sweet potato mild mottle virus] -KHWINRKRNFIAKAHHNVSSRLVLLARMATFEINATLLECAETFRRGKRARIRETIMEFT -RDLGCVQGSVICSHTRSLCKSQRNNCALCRRRSIWLLW ->YP_006395316.1 PIPO, partial [Johnsongrass mosaic virus] -LRKRYTSIMARAKLVGKITLGLTVVLYKEATASVYKTERRHRFRRNLQYISNAAGFRISR -ETSRESQLYFSETPIHVQFSKAQISRRHP ->YP_006395312.1 PIPO, partial [Brome streak mosaic virus] -IYTRKTRRDVRALRVQRIHQLIWLCKLAWNRLQTHLYWRWRADWKRVRAFKDRLVWVMDP -RDTPAREFKKQYLVRYQEVHSVQRGNGVAIHEAQDCRKCHTDIGCSCDYCNWQLAIEEAA -EVYKARERASE ->YP_006393475.1 PIPO, partial [Barley mild mosaic virus] -PHRRLRAICKSPYNVSICSRIWLKYYILRKIIQFRWAYSKFCVVQRLRRNFPKTKKIITY -EAHLDIHELLLG ->YP_006393468.1 PIPO, partial [Peanut mottle virus] -NYWRRAQQGMVRAKLVGKIFFNHFLEASAKAYYGTFAKHKATRYRRQVCNLMYLVTWKDQ -GPIQWSKKCNIRSV ->YP_006393466.1 PIPO, partial [Wheat streak mosaic virus] -NARRARSFTRRVCVQRVLQLHWLLKLSWNRFTTHIFWSRKKGWRSAREFKRQLVDTLSPR -TEAAERLQREFLEDLEEGYSPLRKRLQVGILQHGRERLASYTHRPFYRFRSIFIKEDLKN -AAVGEGARKHRIG ->YP_006393464.1 PIPO, partial [Ryegrass mosaic virus] -RAILRRSHKGILQRVVTTGKNIRIACVHWISARHYATICEREKDQFRDCIEHLYTSIFSH -YRPWDFYSVERWAERKNLANCSWRQLLQNNDFARNQVVHP ->YP_006393462.1 PIPO, partial [Tobacco vein mottling virus] -NLSRTVRDILVRLKLVWKIQVNLVYAKALWKIQSRIVPKRADRLARTLQQLVAVSLPEYA -QALEKQGESVSRKIFGKHFKCKTQDNMCSF ->YP_006393461.1 PIPO, partial [Pea seed-borne mosaic virus] -KLSRRPEKFLHRLAICTTIATNYVIFKSEAWFWRIVRKQRLELFQGSMDGAFELIFGRRQ -TDHPLGAHKVAADVSKWW ->YP_006393460.1 PIPO, partial [Potato virus Y] -KLSKSLERCLERFNLARKLSATWYSYRAKRSIHSVHKTHRKGRFERVIQHITTSVLGPKR -PGGQRHCLRIERAI ->YP_006393459.1 PIPO, partial [Tobacco etch virus] -KLCKGFEGCMGRINLVGKILRNQAFKKALEIWAKAFNHEKHRRLRRTYRLVCEIAFQVPL -GTPEGNHLKSRKWWRKKGKSSEECHDKRGFSQNLQHAS ->YP_006390637.1 hypothetical protein [Botrytis porri botybirnavirus 1] -MKFKMPYNTQTASSAQEDNRVENVAKSSPRKTAQPKSKKVKAGKKAKRALAASKSHFDGY -CHMAFFEEKDRAAAASNLLAWPKAGAIKKYVEENKISLSTERVSALLLLAPEDVDAVVAY -HIVPGTLFPAEVLYSMADNVVVGGGVSGTNLAAYIVAYALMLCTYVCIAIARGATSDKES -NERRLATLGPKGFKPNKMPTKAERAGAAAAGIYKDAGGMTPVVQTLDNSATLWEAITNWK -VNDRLAQSEAYGRVIIQPSATRLISMTGALMAKMGKFKFTDTFSQLKPTLDPVDVALCWG -YNLDKLPTLRVGTAYTDKHSVNLWSYRPTSAQSRIKAVNTGIGYTSPRVSANQHIAGVTD -NADKLVDAKDYIVLGDMRKKVPSEWYKAGNVKAQFGDNHIGMLVQWLIMLWSVRQAEEQG -STCHVRASSSAAEKYTLNVVPAKNTNETTEVKIMEAVAHAWEWAIKAKPFDLVLPGDSAN -PIDIIHMMFLCGMLQDTTKFRYNKTDLSIVPTYYTYQTDIREYLRIPLCNSLKALSLVDL -SENEYLMTSGGLECIIIDYVRRMGLQDQLHNAQLIACSLIVSDISNSDSNLMGGIPKPNH -VQEYELWLSTRAQGRGTTLTLMQGESFALLFALLQIQRGVLIDVVAAKMIERVQSKGIPM -ISIAGEREAGIFLSEVLGSGASQLMSAWGSHMFGYVSAEQEKLLHTDAVMLTRYLEETFT -TGRLRPTSCMLAGTTIETGAMSLIWDEATRVKEATSVFVTKPVARMLSMLYSEKKNIFDT -EGLFMFNFTDFGTKNRDQRMTVSSTGLRRVGTIKGAPKMLYVGTVPAHNTMAXRLAHTGT -GPQLAAHYEPFFDQDAAANTVQTDLPIKAGPSGNTKMHKDEPVSVSQIKRPTGQRTRKNS -WVEFAKDALATAGRMVEGRSKDLQPVTPPRKDIYVEQMVYAQAPMYTRTMHPGSAYDAYH -NGVFDTLEELASEASARAQAAIDTMSEPEENKASEIITANPARINKHVNQMYAVADFEEY -EVVIAEEDQRGLYDHIKVVPTIGDGRCGVRALHAQMVAKGDMISLTEVYEVEAQVCGLEE -RRVAKQIWATDEVLALVARQYGYSLVMYQESNDEDPTIDKVRVIATGHNRYLEILSQPTH -WTAMKWDAEIDYADEETLRETIHAYLAEFGERAVPGAYSSEKGASGGEKDKVLFPAKTPK -AQKPFRSTPLSAADDEIIAAIEKSTPKSKQHSAADHYKWENKARVAVVGHPHRTGMTEFQ -NGAIGVSRYGARTWDQYVEEVAAVHGGISNKISEYSTAELDGTAISPSTLHLGVELSLTT -ERMIKHLAQGADDHYYEDTLNRFCDHYMIKPEDRDHLKNSHPRVPVLKALAGFAELPFAV -IEVDRSSEVMRLVRLHKYVPQHTARSRYQPTFLLYGNNLFYLRMGEFSDPNAITRKEGIA -AYLADVRTRYADSSYAAAQSTKSREEWFAAVDNKLAEMGIEPSAYKSVCSVAEMDMIFKK -GTGEGEDQKDKLTSNLERLAGALLFGGYRREARWMLYNAKDWMKEQLGYFPDDPSWINLR -VMAMLANAKGIKLWSINSSQRQITEWAANTAQNVIPATVYLARDGLTIYPCSMGTNAAKL -TSILRFNAHCKRLKDEHGSIPEPPSELPTIPDARVKTALAVGSVAAIALGGIAVGAIIAV -SVWTVYRKRVKAGSIGAAVNELVRGQNTATDAEFEQPLLAVEEKSVWDDGWSLSSANHDE -GEVMPDRLSQYTSMAVPLGGDPLGVTPAGPDNAAPVRSWTRAMLSKMN ->YP_006390081.1 VF1 [Norovirus GV] -MAQRQKPMALRPAARILFLPPLNRPSPFNPWLARLLPPPPPGKLTKLTPGSSKILSSAPL -VSFPSRLETPQVKYCLIWPSGQGLTPTLPTSQPCTPAGLGTWRFSWSSPAMPLLLARWLL -PLYHPIFPRGHSLLPRSHASHMSCVMCAPWSPFNSLFLMCVESFGMLPRIKRNLCAWFAC -CTRHSAQTARVMSLLWSLAAFFLSRRLISILST ->YP_006390080.1 ORFx protein [Israeli acute paralysis virus] -AIHNKKAILPTYTIRNSLRPLVKTRLRPKKSQPFMMWKLQIGSIPPWLRILHRLGTWMIR -TVLFSFYSAPFSLTTLRSLLEQRPMQTNPLADMC ->YP_006390077.1 ORF5a protein [Equine arteritis virus] -MFFYDWYVGLNDVIYDCIAILALGCAITCLLLILHRSALHRLHLVYADGSRRYCQFAAI ->YP_006390072.1 6K2 [Cyrtanthus elatus virus A] -SKEDIVKQLQLKEKFDMRTAVHDGLICSAVFIGGLCMIANAFYEGINETVEFQ ->YP_006355442.1 Replication-associated protein [Potato leafroll virus] -MTPMRITVWRERLQQMRPQRKLLKQTQQRRLLHQLQQRKLL ->YP_006347581.1 VP2 [Bat sapovirus TLC58/HK] -MGSWTQGMIGLAGFTVDAITGLGALGVQAQNAETNRQLATLQAEALKQQLAANKLSMEQW -EKINNPASMLSSATAAGFDPVAAMQIASRGTVSRWHGGVQLAPLSQVQVDGLRGTALARA -GLQAGGTFTTGVRGPYRLQAGRPVYRRDSISSWAESTSTMSTRVRSPSVTSASSSGSSTS -SLGPRPVVVIPGSTSATWVPGSEA ->YP_006331060.1 putative movement protein [Artemisia virus A] -MSSVIFAFGVSKTYPTIVEKYCGSSKKVFFRGLAPGIETSLTVDSPIKVKVAKWNRDSVD -ELEITCKCETCGAKAFEILEFKYADNGDEIWETEVVADWCSYCETKKLFDSGDTEHYTPC -PSPPAPISN ->YP_005352869.1 NS7b gene product [Night heron coronavirus HKU19] -MLPYQWLIKSYVNLFNLTHHEKEFTLWLILLLTTPAMWFLVALLAKPSIITCRHHTTAFD -TDEQAYGTEILVDRVCLLVQRITLWLTNTARRLVASD ->YP_005352868.1 NS7a gene product [Night heron coronavirus HKU19] -MCDHTLTPCSLNPSYYAETFDEAASKVLSELINTGTTNSSLARKVVGYDHIWKIHWQRSP -GSSEGCCITVIQCLPGEAVWPMYLRSGLTYNIPNYTKS ->YP_005352866.1 NS6 gene product [Night heron coronavirus HKU19] -MCNCKKHLKHLKDACLYSNWKSYTYFIDDPFTEIKCFALTLTTLVRSDPYVSHSLPNTYC -INNEYFCLQNGRSFNAEWVITPNIEVGIINNT ->YP_005352864.1 E gene product [Night heron coronavirus HKU19] -MEDYVITIPAIYILVAVILLGCIVFLLLIKTCLACCDFFTRTYTCILHTVKPIYVYLKPA -PVVSKEDYIKFHKFPRNDWHHV ->YP_005352644.1 L [Canine picornavirus] -MSELFLSKETTLFCRIRKIVLFWNGRLKVLRRIRIPVTSNDQTKRRINC ->YP_005255250.1 P9 gene product [Spissistilus festinus reovirus] -MSNWKTSTTVDGRTVSRLHLPALGYISDSLRLGRATSELNGWISQHSHLHWPQIGPFTVN -HVILPTANGAHVYVPGPEDPNYREPFVNGKPAPRVGVRPDHLKLLVGAKETSMMSSESLL -SLAEIITSAFQEFDNEMVKELTVPGELAHLKNYANHDLTGTVRYARFALGVVLLYNNEPV -FPDKSQVLLKYANQGMDSFFAVRNCDQDRMLGLRVGSLAHTLRQQPRAMLDTHVLGDGST -IVKLIVTGASGALVIRDGLGLRIGGREVGSGVDEVITNQLEQIRLSNLSAQGVSHYNHVA -PAASAAPVVGGDGGYLSLSVPQRETFVNALGLKESDDPAAKYNEYLKDKAAPQFQSYAMF -VE ->YP_005255249.1 P7 gene product [Spissistilus festinus reovirus] -MEFVHLARVSSPESYHIVVTHITDWKLVVTSAEYKTSGLCNLASPSFCCIDPVALHLATA -ERLSASGCSLFCREIPTADSVVNRLGKFKIIILPDDQNVSHFINSLTSCINVKSYSSRTN -TTACDIVSWIEQDRHSMEIPTGKVSLPVSPLASPSPTSSQPCVPLLRSPTLAPNVIVSRQ -TGTTVRINAQPPSVIIDYSTALPGRLQFQASVSASSLPAGQHAVLKPQPCDVAIFAPPKS -GKSTYVKFLGISGGFVDSDHANVTPSKYMNVLTSDIEWLSYAQIKIAVLPSLEAFHLRYA -DRAGTPMTTSSSEIWNTLHAVPGIQIVYYTGWIDSTFMRVTGSVIIAAHAMAEGFDVDGE -IVRPLTRDRQESQAGVEVPSSAEVDQVLRRMNLGPDATPLDPHAIVVQNHPHLGAEGWES -LILDHVSEIHSDSDEYEEWQDKLSMGEERQGSAITFEPRASGRGKVSVSIQRGMLVERSI -NASERVKRRVGCKDREMRVLNGLVHNLAVIVETTLKVVSKDVGDRMAGLSTYPSVIYCLV -YQRGSVTCGRDDFQIATHSPAEVVLEHPFYQAFISSVDDYTMNVFLLSLCRKVSDIADRK -RLSCMFFKHSSTGSLKVEVSSSG ->YP_005255248.1 P5 gene product [Spissistilus festinus reovirus] -MDAYSGAWPATVETYVKAKSLSTIVLKPVLQSLLDVLRLSNRVEREQVAEYLSRFPSTFP -IIPKDAHRDPGLIPYVACALRINVVVSYESGMIDQVMGSQYDEFLCLVCRRASVGGDSFV -YALDDRSSDQIGKSARTSIVKVTRIRQGPPLTSVRVSRLYDNVRFSLDVVGHSTHIHLVL -SDCVATVSDTQLAEKRLQIKQRTINPVMSLKKDVNTAANLYLTNVTLDKRAFTTQRKQVA -LNPNTPVVRPIPVLRGVAVSGTPSTKSMEEAPYADANITKNIVRTIISNPPNSFECAVVL -SSGTKKLFSVQVNAVITGTDIVAIKIYYLVQRNLPSDMRSWTMLGFPSMMVVKHSLAGSQ -WYREVGMQYLVSAQVETLVSTFYQTFTDCLEEFISHRKLTKEEIRWVATQACAGRTLAGS -TINVNYFDLKLPVPPISVLSDTIETEDCYNKLAACGDTLVARSVWPTMLRSAIDSKSMEE -FFKGGDPCPYLWLTTCAPKAFTKSSLLPANGVIRVPCGMVVSERAEHLHQHRCTKILTQV -PDEGVNGRAIKCRICSAEYIHPLLAYYCCNTEHGEYNAEYAPVDPRGVFSGSATRSSLGT -ESDGSADCSKDNDEGLNWASEVIGDDDDYVGTERAKHLERLGERV ->YP_005255247.1 P3 gene product [Spissistilus festinus reovirus] -MAARKQSKIRHVSTGKLGDVIQGLTTETHSATTSTTLLEATAVGRQDTSVLPNSKVAEVP -IKTTNVPKVPAAAQSGSTPELPKLDDGSKSSSHTEPVKLSDLTISNIGAPPPDVVPAAHS -SAQLGVVPHFMNSLPLNLKAPLPPLIIPNRRIVGYQNLESTLQIPSTWGVRIISSPLPLV -SSKALSLFNFNDEHQFSVSLDPTVRQTLIDPNLQVFKRGTNLVFYQLNDALFKEYGLETC -GGVASGEQFVAPFILSATNQTIQMNSPQLVSGAIEAACIMMLAYNGLLVLEKGAKRSTSG -LDTVPTLNWLQTHWTELAIPNPAMVYGFTGLHYFDQSMQRLQQFRYGKTGNLTLTNNIPL -DTKWATTYDNITVELLGMAQRYIKTHEISIIQLIALLRAHSPFGTVFPESNIDRRTFLPI -VMSPPDTMIGQLFLTIARMPSIKPVIAQLYINYVMSSGIIWEAPLRDVLTGLTGNALTAD -MQQAFYKCVGSGSFDSLIEALVFEIRPTFDYPSADTQRPYDRAGQYLCLFETLLFFVLFP -KAAKFVADELANRIRHILKSIAPAEWARYVAITGFTNLQPGSDSMITRKAYIYNEQRPSL -LQGAAANRGWQVMTAMAGLIEPIGEFVPRARAADAQLPTIDNRIFVPWDDVGDFEPDGTM -VTTRINRVAAFMDANIIPLFRAVARQSSAQVSGFGAVNGSISSRAIRVGNGLGRGLHRLF -CGMVDFSTNVIHSYDGSLATVIPAPVYLFDASMHRTTPLPVQSIDSQYYISTQVIWSLLY -HIEFVFDSSLLLNSGMADEPVYAELATPAEVMNEHLSIYSFSEHACVGVGIANEILNPQN -FGRPPYRFMYDILRDAKASGQLLSSSAYRRLETIISKHVSHMVVGKTQVEGMPNMNVTGD -ERYAQPIFRRCSLTKTPLPPLQNDPPILRGNPQILTEICRDMFEFGATVLLDPGSSLSRL -MVGFALHRVSEPDVNFLDRYNPPNLPEEDITQLNFVTEDQDGRVIKGLRFNGNMYVDVND -VPATIFTIKEPGLLPSSSLRFMLALLDRGSVLVFPTLKFIYSVSIVTREAYDNLTARLDL -QQVITEASTSIAHITLYSTYAPKSPYEVVLARAIRYFFPLSRPRITIAVKDLIGLMPEPT -FQGPSEAIYDTGSLNDDWRNTYSTGLPKTYASLSISNEVIYRERGEGYFQLPTVECDIPN -QLTMYQGVQDP ->YP_005255245.1 P10 gene product [Spissistilus festinus reovirus] -MEAARLVDPYNPDSRSATCIVKRTSRTISTPYEQFLRVLNVTEGLLAQGNNTGASTTTDG -YPTVSISSVSAIIPVLKRWASRSTSPMLTNLIQSLSNMVDSNVPYTMVKVDPSTLISLGT -VIKSIQSDCEIRVQQIMLLKLFQYVVAPTSFPYALDEQTAVPIGTGLTVITSNVQANAIW -KGVMASSSADIGYISGGSATSGDLFLMEFCDLQASLLAMVNATQARAHAEFQSMLPLFSP -GSKYPSGWTIPANASIRVVLSDWIKWYQTGYTIDIRKVLLPGLWEEDLDATNWDQGEYSC -GFRTSTTTKDKWAITRFLILHYPTFGLALRRRQA ->YP_005255244.1 P8 gene product [Spissistilus festinus reovirus] -MDLGENLYSLHAIIRNVYEYTQSNTLENAQAGQITEEFQAGPITHHFDFITVSARLGLRL -DFAYQQYTIESNVAKWNADHRAHFHSADAVLRALSSLAVHTELYAPSYRFATSDDATLAH -IYEWASDSSVSRFAVLDISGIRFKSLVSDLNSEHGRSSSLPEEPGPSFTVNNPPALLPFG -ESEQLNEVDLHGSVADTRAYRFHAPRLPIPISVVQEESTALFSYKYRPNEGWWRDSYPNS -YVFQYYGETTPYTADQIVARFTPLQLPEVVSPPIPIIALPYASLVTDISSSPSFDLNLLL -QNGLWLASINYEALKLLNTLACSYLAVYGTLAMQERVPPIFFTVGLTPEVTDDFLYVTRP -RKGGIYLEHSAMSSLFDKVASVIGGYILKVPIHTYAQCSSRMWVFANGLPNGQLQRINYQ -WPLVDISSTLGLKNGTRFGLAITFRDMLESVKTYNLVPLLLELSYQQDNDLTPVIPTAEY -LIWYWMFFFPFATEYNVNFREPASVTVGTFPITGPPGARFPATFDYRSTQVADYQRYFIE -VFFVSARRINLC ->YP_005255242.1 P4 gene product [Spissistilus festinus reovirus] -MSWKPNLIIFDARANEDPTADLFMIQMKEKIRYVYHFDSSNLTHDLKLQGLWARYHPSPA -TLSDVRFSQLYSQDPLDQKSLDKLVTWLQSSVPVPKFPPGCSPNARVSMLTFWMGQANDW -GGTWKRYLTDPWRLLYEYGTSPVEWLFGNHRVQYLLSTPKHANIAYSPSVRRTIWFKSDV -FMRSDWEQLINESIPLGSVLCMHHTYTWNHPHGTLIGEYADLVIYLSEKIVDQILIQKNM -FSGVGFQITRAMEGVALLGDVIRDDRAIHLLRALPMLWIPESAYGLWRKGMTVQDIIQMA -YVTFDMLPAQTKALAQAVLIDSSLIGIPKILSPYCHSIAWPNAVIFDGVDYKQEHIIQDV -TLQKREPIEWSALPTGDDCEIASTEPVMASTTAIALDIPFDRYYNLSDNLGRCFPLAGDV -SKPNEAQLLVWEAQALYGFQQPISDNAYRFRDTARFLPNVTMKLDSQRNEIANMSGEVKP -SASSVEVVVRALLFDRPPSIANGHLLPPIVLKDETHPPKQVLNNSTVKEINLVMFLVSLH -TGQPWDARFPIQESYRLSPWRITLFGTITNITGKVIKKIFPNATVKGGGLETVMSGGVRT -NIFEAFTRNWNADIVISDIDQTGFLVSSTPSPEQFKAMITFCEDLLAVVVRTGAVGAMKL -NFPSPYLLYKLIEIIEGYKIQLGWCVYVGHGQNSYASEVYFCYYLTDDVQQWYGPDHALC -QWSVNYLTQFETKAEFQSRCLGSIPDKSAEGVVKDLLSRPGAIVTCAVPNDRAMDSLISA -LSLSDRVRTWRSNTEATHTYFAFQVERARLGITARVGGVKELDLADTALGQLSYGISQRQ -QKISPYTIQSWSMVVNQACRYHMMVVSAIFNRTSPVTIIGGRDLGEVCNALGRPVTVYDN -LAEAVKRVEVYDLTIVTSHWDYAVDGYEDGHVYWFNFVIMAPNLDGTIPSSLTQVKRISD -MIDRLKASHAHPLICLSLYVNSTLDLVVHDRYLPAWSDFQVHRSPTTPGRYLIKVGAYDP -VEMLNIEDLAPFINDEDLTLTIRSPNWSEIISGSVLHGSIPPAGSLDKITAFSSNIKLME -IRVKA ->YP_005097974.1 structural protein [Rosellinia necatrix quadrivirus 1] -MATQMQNRDNGEETLANIKQTGRNELKGMNVAGLAGINIQAGGYDLTGLSLTDELITQGL -SNVGLLPFEHSRVVLELAEAITVTANSTDLGGSGQYCEQGAWRTWLHIGMNMAKHHVRIR -PSAALDFGTHRMMASDPASLDANTISDMSRHVTTATMNAVSREMKAMKALAATRSTMSQS -DVADNNDCRGFAFGVLSRMIMHNSARRHDLVDGVLNELGENAASTANTYLTWELACAHGK -GEIGITPVPANWLDVEGVLDGRERVFSEALAKLVDVNTGSVHVKIDGVTGAGAGNANVTY -VTRPDPMSWAQDNSALSADAAAGRTSGEHYTLWKGRHSKVHLTIQMKQLYHRMSTTAVTA -EPRANSVVYYLKGFEGLGACAEFLLANSRFGHLSHLPGVFGVVSDVHEAAYEHNKALFLA -GIGEGMPDATFTKAQLATAAYALMRRYDISERTCHFAITTIGHMVAQTAVRDLNNGSLSP -LPFRVNLSPFFVQGVQFWDMNDAEGSVSVHDMGVGKELLTATYALGAMSSLAHLCEQGGT -GEDMSALEVSRFTDVHNVAQDLFRKVIIAELGDLKLRGSEVTHSSQEALFAQKMRAVWSS -MSEGSTRLYNLNQAYGPFVDVQLARIRASFVRAIGTSAQALDASALIKHSQNVSYEWQQG -EGGCPIQFIQLPVQSTITHYATPAVGTERWFATSRADNQGTKRIGDMRWTNGLSSDERNN -VNVFAFGRSVIVSNPLGCSEALAALVVAGEHKVIRRHTAIARADSARTANIVGGAVLGAR -NGDRMTIIRPSTSVASSAIHLKGYIPMAAINMLPRTDGDCDLVVADTRTRPGRMSTSPEA -HRRAVLAGDYHIDIATEGNIRHVAREWYTVTDVPTVSERISGLALRPYERSCVRDASTLH -SMLCGAVPLLYGGGIPMQLGDNTKLQDREALRPPVYDRDPSLRAPLRFAMGSTASGFTKG -LGNVLAAMEEREGDAPVDETATEEETVVPSGSITERIVVGEMTEALITADRPMFDQRVVQ -EIMYNSPGIRGIERAAIQTELMAARDWDAILRATAKVNDGDIANAKTAHDLIKICRVDWS -KVKGETQLKLIMNKIHPEYATLAKSLSDQVAAKIVPNVPKSAMGTLFFWACATDMGLHSA -VMANLEGLKRPNGYSGGVIYDLEGAANWPATDVRARIIEGWNAHARTMSDNGVIHGLTTM -RIQHDMSADDIMSLPAHIGDSWVLSIGELTGNIATDDQSKAYAVDAKQAYYAIDRLRRLV -AGREEGVDEVLSKAEIMARVLAENKGLTDDLALYHREWNRRTMAYVYMTTYMGSLDVEST -HGVVSDEAYQQRRAEWKEERKRLQVPVAGGQGNRRR ->YP_005097973.1 structural protein [Rosellinia necatrix quadrivirus 1] -MSMPSDQSQETRAPTSVGNVIAADVQTAVNDKPTADIKGTDGTGIDEKTGLPIDKRGEIP -MVEIERTAESVAKMMDLLRSEKFTSAAADAKLMLQQEFQNMVACAKNAPQMTVNAGRLYL -GCNSTTAIIAGDSADGYDIEYSGKRIEGQCVVALEPLTLSLSGSTSSVQDDSDAAKLFAL -AVSQVWGGASTVGIVAPMLQTVTQEQTFRRRVERDSGFQHHAALNVVVTSIIGWLIHFGD -SAQKKTIAGWLDEPTDFALKGMMTAHIASGMDWAGTQIYTASAMQTTTDRIRCDYAGRLL -VRSTARKQMLRSAGATDTTETENSGRYLLALPRCDASVAAAALALTWGKPKLGGAGHSSL -MAAMSEAGVGYITNVNGTRATPAVTTTFGREDMVYLLGFALRHMADAKDQVVRNVLAQVS -GLFRPAACSAHEWMNVHGALMAKVSRPMNEPAFREVWNVANTTSDLQMIDRDRLNGEHFL -RQFAQQVTVNATGTAMAIYQAVLAGPTGITDGDTIRLQDDLYHHLFQYASTAYADGVQVI -QANTRMANKMAPPVNALAAWGLGSSMDAFTGPHCAYYFSLANAADGCFYVKADGRALAVY -TVDVNHTSSDTYLAMAQLEPGTVATAKGAGSTITTNAEAAGVVDGSFVTEHHSQEFTTIM -AGFTGLQAEVYNWLLWHAAKMEDSTHSHIMGRESVRDVVAWLSGNGAEAHKFRSSIGLGA -TAAAGAGTRRAWRLHMYDGAIFDHRITDTERHPYMRRLYTPAEMRAAGNDLFVVDRVWKI -VMAMRAQLMLISVQENGGRNPHSRHYFGEAAAIGVVGHGFTNLFAYCASTVHSGKEARLI -TQCTDTPMYKKEAKDLVPPMMKVAQLSTLLAHGGAWCNAVNMGGNSTSIGLSILGDGTMP -LQTVPWTINEITYLSEEGTKHGIEAIIDTNGSVSVKVKMTMLEPRQRFCLYDDNKTSSYI -TANESKTATYVTLKMSGSKNVNTIAGLVAHDYKLATTILASTYDKGRKTGLTLEDLQKVG -GVTGGGTTGGSGRGSGSGAGRGGRGRGGSSTGGAATIGDSD ->YP_005097972.1 hypothetical protein [Rosellinia necatrix quadrivirus 1] -MLSQRQLHNQRAYQANKQRIDLADANLLDKWPRAYQCMEVKRRCVDGRGETLGADETDRA -WVPIMTPPDIEEPEDGEHPYKLKLDGRGYNGMPWLELAGQQYRSVTRNIDAKLVNTNLAH -LIRPRILGSGADGAMTISIASRVTVSVTGIDLHQPVVGEYIRHNTVYITSCIPTVVKEKL -ADDLVSELFPTDTGGMMWHLVSGLVQAMATGQLVQRSGRYYSQVTGVSVANSHITDVSTL -NVSRITRGSGILASERMSRRTVRSTFQPSTSKYMVVKRYDEVAKAVMTIKRLYPEISAQW -ARYDVDFDCGSVAISANEDIFVRALARLLGSVDEREMVLSCLMQNVLAYYKVEPLSTEGL -LEDVNRHLYYPATEEYGEHFLQECDDSVTVASVMEIVAAQILTAEVTLTRATNAVNELVP -GEQYCYKKYLDNLRQSMDDTCTRGGRADMPGVPLDLYSICTTAVNFEDTMTDYYSDFSYW -AIPLHITGNADAKIRAGYRSTKEVVTSTNPAYVSLRTMIEANRVDVAAHASEISSENSEK -EFEVADGEIISLKDAMMPRPTLGALARSIHWADKLSWFDPGVEGHCRIINGRLEAAAYDA -VAIASHLKMAKLELAVDSGHKLGVVSNRHEYSFYTRSTKQYSLPIYESADRVFLHNGRAN -INNLMTWACQQTKSIPGHVVNLRFAVRLVGLNVASGIVRDLRTQADIRDERDTRLIAGCT -LSPQVIGLCDAGMKDIVSHARVAIGRLINGVNVSTRGLRTRRFTMQRILEYKNGKVYWPR -MLCELGQNVYTTVAQARSWGMVIPAKIFAMLNSTATDHHWEESVELQQAGVTLNVLLTAQ -HLLTKSPKEAGDAGEIMAWFSALGLLSTLAKLVAVNSSNVNYGSSLPTAIGFLGSLTCTM -DASTDGLAMWIATLAAQEAMGITGRPRLAATVVANSLGWYNAMTTAPTGTDFGPLVNDMI -KDCFTVTEMRICEPSGGCLHALRCDVAGGEDGSHTCLLCKRLVACTWCQVRTGREQAYPA -ERAGDYEYENAEPYVVKQDTTTQFMSDEDFAKWASDEGVTMDWAESDTEATTFTSAEGEH -YDDDDGGGVHRPSEGEDAYDEEDTAQRIIHSDSEDEPPRRDSLDKGKWHAGQCDGDYVSD -AEYDGETDEALNTEEDKRVDRQAWATAITVEPEQAGVSSSAMVTALAVAEPRTPVPAPHA -QENLVVSIAAAASKISIKSGVLPKPKNFMPVMPDMPPGMPQAQWFSLKEKEKFRQIKEAV -EVGDINKLLALGGERELYHCELCKYVARNMDKHEKKFVADNGWLDTAHRENFAEWILNNQ -ELDQNFDEDWGISMKVKEWALMRDAHRANFAIIPTAMVQIQLLGWGDLQVPTRINIGRLP -YTKLWCGTERPASKPLELGVEHDINVRDYVVDGWYDPAHVTYCEFDRDESTYFANNPGTI -NPSKSSANVSGISWAGPKQFAIWATFTDTRKESEKNRAPLALDERIAMGILCNWDLSTKG -TWMYVSGHHIGDLVHARLRVTDEPRGDGELNEVAQVEIIHVCVSNPPPTSIYTYARMAEI -GYPREKWCNWQENIKKSGQLERMEASLPKYVPDDVDTEDDMY ->YP_004940650.1 unnamed protein product [Grapevine leafroll-associated virus 1] -MALITPKYVGNFSEFCVHIIEISNADSVHLLYEALKLNSRWLELSFSTFVEETQKELEQT -PNGWQLRFARENTVAEIDTKFMRKMYGMYLREADTLKDIRKALHLILVDTKLTDASVNGL -ARGRGKKNFSRSLLKGMMRAIRELQSSLDKIGVKSGAEVKLTAIAISLLAEDSHSSPKDV -ILRVNRLSSYYLLKDNVTFHFFGAIASNLV ->YP_004940649.1 unnamed protein product [Grapevine leafroll-associated virus 1] -MEFAPVCYICTKNEEGSDKKLTLLSREDLIDFNSDENQDELSLEKKPFVKSYENYLRDWA -ERPFYFSNAMSVMDLAMHTGNASIDSDSGLSKSGEKRRTAVEVQSPWKTGIPFDSVDNGV -LVAVGYEGLNADKKKARSVALFMQNEGSYIMLIGGEVYASSSYIKECMRCQRLASTMSGD -VFVDALRLV ->YP_004940643.1 unnamed protein product [Grapevine leafroll-associated virus 1] -MDLRQFSHELLYTVSLFILVALCFVVYFIVRAIRHCCAKIEDKPVREPGNSSYRYVAQP ->YP_004956723.1 unnamed protein product [Espirito Santo virus] -MLSTIRRKAGNLQNTEQGDRHVTPACIVCSRRATKTWHYGEGSICRFHRLRCRTVSGRSF -WHQPEIPIDGLPWDSSVWELTRIANPKPTPVGEASRHLRGRSKKNPPRDSKPLDCKLGQR -AFLKHKSILEWRSGPRKECTVPTNKYQPVSGRWARCWRSSTTANGENHLRRHPQCFSRGW -PIHPKLSGEDSHGSRIPTTEPGASRGNVRAHQPRPRKSSGEHGYQGRCEHQNAGQRSNRG -KTGSARGEDPTSCKARDCT ->YP_004936171.1 unnamed protein product [Chocolate lily virus A] -MSYCDHKICKFVSRHRTCKDLAAAKLVLQTGVWRIHSEETFESAGHCKLITYDRFQGAKL -YVSRNYLTDIFYLFLIGCFFLYFFSNLWLFLPFLIFTTLFCSVFLQNISSCHHELLYFSL -QDRVVLRLLTFLTNLIIMSSQRVQEALNLGARQFDTGASDLGRALAVARDRGLDQIANVQ -QTTPKLFNEISQAMRSNKIHVKPNAIVKLVKKEFISFNTQDVAITRVLEDTRTEQNIPLP -DIVDVYKAENSLRTHQSKQAHTDEPKTLTVDVIALTSSLHVPVQNDGVMLTIAVDGRAIE -PEKAIIGGHIHSAVETETATSVMFPQFSLSTRDPHLDHAVKVVTVGSGFGLESGSVVGVV -SPSVAGSVTKRPHTTFVNPGIRNFLKDKTKENAPILWEKCVPIFPRITDIPAVSEIVLPW -KSCSRGLEYCASTSNSLATWKPGGNSNSSARFKLPERFRVDAVTRRPEQLLDDGYTTDGD -AAAQGDEDRQGKITVAAFAEQTLPGTDTLETHFAGTIGTVPISFSSTARDGDVLATVSLF -DGIKFLKIPTPAMQMVMNNPSCRPILRATLRMETTKMHSAPVVLVWDSSDRFTPERARNI -GRSLNMASTVVNLHDNRGGSYLEIATVGHTGIIPVLSGNADHVGSFYVVALGHSIPGVPS -LVGSLEISLRVGTVGFSTTPPSRSLPVDDIAHHFTLGNSIHIPELKTNSFLGMIQLDSKV -VSGDAYAIDYIPGGGYPDATGKQCYFSPQSSIMNFWNLWRGAVEFEIAISSCLVIGGSAT -LFALPHGAPLLFYEYSYLHRFKHVELSLSGSHRTTFRVDQSSWLLYSSVMGDDNFKGLDE -SSNSLRLLLVVTQPPFSAEGFTNVPVKVIIRSIGHYNLELCERASYGNQASCHFSPTFNR -AKITSDIWQERAQASCPSNMDDFARLVVFKPKSAETGKGFLITIPASLGFSRSVFGKQAD -KINSATELKEGNFYVEVRNPYRKLVLGWSYYRCAMEFMIVPTFAEGQGEMITACLLQSPL -EDYSFGVVPNESGAPRSLAGPVTYTADGRNLVQSLMVPRPPFFKRGLIRKDQFARYLDTC -GILAITVPAASTCTLLEVYVRPLGAISVAGEALPPIGIENGKKLFRAPVFKCGNAITGEF -NMGVSTTSATSSKIQAQGGGCTSGNLTAFEIPSGSIPEEAYVNIFFGDFLFMRLSHDARV -AFLFPHEPVEIEQCASFMDRYTHFKNEYLSLVKNPTPVKPSVDRTIAERELLMIINNENM -GDDESDILCLQCLQPFFHFGSLSFHNKRCKGSSKWVFDHKQPRAYLSYADIYDIEVGDSE -VFKKGVHDSLVIDEGVAYLMDTPRTRRMNYAEWKYKERRRRRNSRRGNWYGTQLQREFYD -Y ->YP_004940019.1 unnamed protein product [Chocolate lily virus A] -GTSSGGDERVSRAMRRSVRAFSAQ ->YP_004935927.1 unnamed protein product [Grapevine leafroll-associated virus 7] -MDKFGCFNYCWNVLTHICTMTYFSVSEIIDNIQTIDDFIIPMTNLITNTDLENYRVNEFA -TRLISDGWESISDCAMYVRSRPVDELKDASEYVLNKLTECIDLNNYLKIVPLKMESFLVE -RINSKSKFIDSTSAVKREVLGFDRSHDEVSTSSIRYLNNLYVEEIIRRYRILAGKRFANL -NECKQSKEFKSLFSLLSDMTTLDFAFRNVKVKSWYVPTTGSMRVETFINK ->YP_004935926.1 unnamed protein product [Grapevine leafroll-associated virus 7] -MDLETRNDNTMFRERDKCKIFSCIIDKISSALNVLSSTSSSTVSKQQALSDISLSKDILK -KLGLFAAPVLTQGHTGFLTESCGVPLEFLDEVGRDLLVSVNVEDVIEFCDSILVLKPLVS -KRTNSELIGESVINELMNMFGFGDRVSKIRAAFMNLVIRESEIYKHGKVRLVMDEPILKK -LIAEIRGSILCNSNKRRELLELLNKKTLIIYSYYNSVFGDVYVVI ->YP_004935922.1 p12 gene product [Grapevine leafroll-associated virus 7] -MPQLRNSAESVKFLDDLGITCRLFEEVKREELQITLCLSLSLNGELKLLYLSNNRTFSGR -LFICFWDTGIQGLEHLIEEFPYVREQWMC ->YP_004935920.1 unnamed protein product [Grapevine leafroll-associated virus 7] -MGILYEICVYLLVCAYIILKRPSEDELTFFKRKDK ->YP_004934028.1 unnamed protein product [Feline picornavirus] -GPYSGESPAPLKAPVPRRVVVTQ ->YP_004934024.1 unnamed protein product [Feline picornavirus] -GPFGADARPCNAGPRRSGLIPDRLERTYEKVWLAHHPKGFTFNVVPLHSDIVLIPFHLFS -TNLMFSHGAVYHFSEYKPVWMSMTYDVAVLKLEKPTFHCSPPICLHGCRSGWLKCVTREI -CMSMRVQGFDLDTLEVGETEWVGAHTQRGLISSQGPVPYGSCGSPVICEHGVCAITTATN -RKQSFFTKMSEIPYFQAMEQ ->YP_004934019.1 unnamed protein product [Feline picornavirus] -MAFKFTSRNPTTEVYRAHGIFHVFHTQGRTCTYTRVTPSALKQRGRAYNV ->YP_004935359.1 ORF2 gene product [Actinidia virus B] -MERSLSSELETKGVSKAQQKQKIELEVLSFLRGDEAVRVPRTPENEESILHLVRLARSRG -FINLKHFDKIRASTEPSRLKYAAKHIELVALRLGHNISDLIAEYSRPTPLSYIIGPPPGP -IKEILTVPEFFKYLTEHLSEPPEEEYEIERKVYDRVDISFPGNLLCVYTRDINNQTTEQL -LERKQGIEKVLNEFNLSRLLVYDLFINSAACDRKEHQNIRRTKGGGWEIRR ->YP_004928150.1 nonstructural protein [Puumala orthohantavirus] -MNSNLLLPDKNLRMQREQWKWTQMTLIKTHCKPGNKQCQHWRTNSQTTREGWQMLCPGKK -WILNLLTRLGLNLMTTSRRDQALGMEMSLM ->YP_004928141.1 hypothetical protein LLOV_gp7 [Lloviu cuevavirus] -MLYLPDGRAMVGQPFVWDFVWVCPVGLLMQALNCGGPLSRSSLRWCLVLGCPTSGAVFGC -ADLSGSMFCLGFGGVGGLGSWEEPLSFVCRQLVGTSAQPGSSCGVWTGSEGWMLGVPGLC -SCSFVCSGGALEWFRGLLVLEGVVETVWLVPYGRGRSFPVCWLLLDGWLIALGIYTPPKG -GLLFCSLVW ->YP_004928125.1 p6 [Hibiscus green spot virus 2] -MVQVSSVFFLLQTPLNTVYIYGFRIYVLYKFIGTFTIFWCFLSINSN ->YP_004928124.1 p9 [Hibiscus green spot virus 2] -MPIFQGQAKSNAPVLFVCASICVIAYFFFNPGNPYNVPLSPGHVFPFGGEYAVHARFNGP -SFPLSMGGSSVGLLMLCVILLVVVTHM ->YP_004928122.1 p50 [Hibiscus green spot virus 2] -MIRLLAGLEGGFGVRESGARSRFYTRLLSNGIGMSWLDRLWRRIELIDPLLFELPNFITS -FQHEAVPVVRDPVIILVSNMLGANRGRVYTISLGYELGTIDRLSVKSFLCRLFMYVFMQG -AEHSVLGCSVVRGVVRRARGTYYVKLFFGLNEMYLVERYVYGGRRFEFSPPFAVCHVDRY -CILSAGHLFDDQSMRFATDPSNLGDMYSMLSSLPRDLFFFSVDSLLLDGTTGFTTDIHID -PVLPVNYSRIVYLDRGTGIMYRSCNRAIPSTAKYHLECAKRGKAVFIVHRLRLVDPIMYE -DYEIVGCRPSLFMRATWDITESGLILLWLLMIGFLLCLLSVCLWRIRFVWFWIRCLWKVV -IYDVRTWRFCTNVPMVVNLLWSTYQGFVQCSASRRILFILVSGRSVCPVSFCGMVLASLG -TMRSGPSPVVSLIGR ->YP_004928120.1 p29 [Hibiscus green spot virus 2] -MASLDMGETYFLTSRLCSDLIYGTDFGYWKKGISFSCSALKSCKMYTYNYENLFRLCRKL -ENFSVPRRLGPMNLLAANLFVNLSFNEYHWGHDSNTVLESGLKLLIEVFAGADSATIRYV -SLRRTSDEYCMLYPGAEGRISAVTQVTKLTDPSSGWFVDGIEFIVTVGLEVLVGTIFRIV -KFMVLMVWKYFVAWLSNCWFVYATLVVEILFVNFCVFVVRLRSSFSVFLFFSFLPVVIYL -HDNPYCFGLKLLGALVVA ->YP_004928119.1 p33 [Hibiscus green spot virus 2] -MILFREDKMLERFCVILVLYFCLGSVVGGVFNICEPVEFDGGVSIKYECDNIFEWVPNKR -ARPTSIVNVFREAGPCGVNTLFMLVAYGMVAQFRTLARLHPMEFNPDASSVKLSYRTMFP -VDSCAQMDLSSFVGVESRGLVVPIDTGAVSGCLMVRGPPDESKMVTIYDPGNFDYAFTKD -VDTEVECSIVKYAFTYESEKKIVLMRSAFGSDVVRVAFSLCMDTDSYNGIAGCFSLVRDK -GRDAKHLDIYMTPKYAWSKSPFGFESARLSRELAGQMYQSHRGSSASLSVDLPWDNE ->YP_004927967.1 phosphoprotein [Potato yellow dwarf nucleorhabdovirus] -MSGHDISPSRKLRDRHPSKPLARSAPYDPVKQAKYKKQVLEGNKYEDFNPQSLTDIKNDS -NPPIMAPVNPEGTKFSELCQMLKEQGNTVSDSVIRPLWDATPKGQTTEENDDAVKAAVGW -FNLGQTNLETQINLQNMRYAQTSLPNFVSGLANTASALTSVVQKLENVLPSLEKSYHINS -MSEADKINLALSIYKNKNQGEKYRMISDFLVNELGYSEFYNDMMSPHYKNFALQQLRTVT -PEIVAAVSCFGLHNFPSLKDRMLQAQKTLRDAISGRMTEN ->YP_004901705.1 hypothetical protein [Blueberry necrotic ring blotch virus] -MHAYISTRTFKYYQVVRCRPLWRGSVLWVQKQRHICFGTPHWYRFGHFLTHSVLCERHHQ -KLNDFGIYKMTVQADLVKYIALQLVVTSGVLWQQSADSGVELGPRPKFIPPVSMPANYAE -LISNLESVFHVAEGHPELVPQYVPQPLSAPVPPVVPPAAPPTVAPVHSSHPAPAVANPTH -APTHTAPALSRGKRDVSRIVAGVADSVGDVLRPATNLLPGANLVVGAADAIECIASLEDC -EGHSELVPCEFCDTEDPLV ->YP_004901704.1 p24 [Blueberry necrotic ring blotch virus] -MSTRSKYVRSPAPIPRARPVRRMPLSPNSHVSVGTDIYDTFLRSFSNPSFLLSCVISGLV -VLTHVENIHDGPFGPYLQEHSQNAYVYWVVQNVPKVLGLLTFIPVAMTAPRKLTTFVVIL -AVVSVIVLPPFPVLVYLGASACMHIYLHARLSTTRLFVVALSGVVVYYGYKNKDTFVLEL -PTGTGSDTFSRIVYSAKGTTKN ->YP_004901703.1 hypothetical protein [Blueberry necrotic ring blotch virus] -MDLNTVINCILLTSSFFSPVEVRKCPEFTLESKRVSHHFFRNNLYLYKPKLPRLLTYKFT -PYCEICARKISDYEYDDDILILPLGNLCRNCKSIPPSDDTFGPVYNFSFVVPTPSQHCPA -SSEVYNVTSDGSYILLHRNTAFSKEFRYTISKCHDPYILLHITELGFVDEILDRITSSIG -TIFRTIVTELTHIFGGPIHAVLVTIPHAFLSLVHYISSVIEYLLFDVGLANALLITIAFW -FKFPWMQATLCALLVIYVTKLNVLFH ->YP_004901702.1 hypothetical protein [Blueberry necrotic ring blotch virus] -MAYVDRVVVTTVTPSASVHRTVYSVHVNSVVSSVDTRIGDIFPSPERYTRYTISKGARW ->YP_004869649.1 putative movement protein [Soybean yellow common mosaic virus] -MTDTVVVNFSLIRTRCVERFGVNSLPVGRHFIGSEEIPTGIDFVERSFQVTAFGNYSVPE -RSRVRLIDCPVSCEGTFKFDPVPLWLSINCRVCQTEANLIINQELVPGYSENSKFVFCDT -EGRPLRIRTRRGIQPSRFYHQEEKTICQSCVIQGCLRTRSWS ->YP_004849317.1 tgb3 [Tamus red mosaic virus] -MPFTSLNLAVLVSALVVTLLVLQVVQRNTDNCIIVITGHHAETNCPPSLELAEVLKYLKP -HHHG ->YP_004782561.1 2A [Bat picornavirus 2] -KDRVMNMGPKHKNGRRLAVTSCPPHLIKYFEDCYQCLNEDDGFSFHCFPLNPRECLIPGH -LYVADLKFQRTNGAYPHEIPYRKKWADWTMDLVCLQLDRDFFETRVHLCKRQCCKNTWTV -CDTTEHNVAIRCGDATFQKDVWVDGPVTSSGHWQRNLLRVEIPIRPGHCGSPLICKHGIC -GMASVSDEQSVSWFTCVWMADEYEDEDLYPFAEEQGPDADEQ ->YP_004782556.1 L [Bat picornavirus 2] -MCFFFHTKTNDISPMTRKTSVERLMSPGPKCLDMGEELLIVYDYYMLFIRQNPKVVPRIE -CPVNAEHKRTINC ->YP_004782547.1 2A [Bat picornavirus 1] -GDGTDRVLRAANCGPRLKVGKRMNPECCPPHLYRFFEDCYQCWDVDNEVSFHCFPLSPRE -CLIPAHLYVADLVFSKHIAGPRAEIPYRKKWADYTMDLVCLELAEDYFQTIVTLCERHVC -KNTWTVCDTTEHAVAIRCGETFFQKDLWVDGKTDDEPGHWQRNLLRVNAPIQPGHCGAPL -ICKHGICGMATVSDEQYTSWFTCVWMADEFGTTVDPIAFEQGPDAEEQ ->YP_004782542.1 L [Bat picornavirus 1] -MVFFFASTKTNETAESKDKVPKPRLMSQGPLCLDMGEELLIVTHYYILFLKENPLIIPRI -HHPGNTEPKRVRNC ->YP_004782539.1 3B [Bat picornavirus 3] -GPYTGTAQKTLKKPELKRKAVAQ ->YP_004782535.1 2A [Bat picornavirus 3] -GYMGTDLKVSNRGPHPTRRKITHIPRFAREALQTTWLAWDTELRFSFHIFPVSRTDFIAP -FHLFNNRLVFAPYGDDDFLQIPYTAVRCDLEHDLVLGRMGKPIFTRTIRLAKPEQAMWFA -CDNSDHSYGEKHSSWNFVSEIHVDEPFPHTQHDLISFPHPIPFGLCGSPLLTRDGIVAMA -TAGSDSVSYFTNLHLVEWLNVEPLAEEQ ->YP_004782530.1 L [Bat picornavirus 3] -MPVVVNSVYLFEVFLSKEGRRRLNVFIYTHNKAAWIVRSKVLVSSSNNIQKISNR ->YP_004769556.1 p16 [Baboon orthoreovirus] -MMEETQMEVSTFEWPKSLDESLQVLCNELKGKTEWQDDMEDWMPYWIYMKHDGIAISQSR -YSLLQQLAVWVWKCFDFDMCVYNIWTTWLVKHACSRCPEFDDEAFWSGVPTIIKLVIRKT -MHRYAYLDDSTLADLTEQVGL ->YP_004769555.1 membrane fusion protein p15 [Baboon orthoreovirus] -MGQRHSIVQPPAPPPNAFVEIVSSSTGIIIAVGIFAFIFSFLYKLLQWYNRKSKNKKRKE -QIREQIELGLLSYGAGVASLPLLNVIAHNPGSVISATPIYKGPCTGVPNSRLLQITSGTA -EENTRILNHDGRNPDGSINV ->YP_004464923.1 movement protein [Asclepias asymptomatic virus] -MSNGFSARSRRSLPNLPQGLVPSPCAGLRNGAHAQLSHHLSLDGPQRPPALSSPSRNSNF -RIGHYPSPSSRAQSARNQSSLQPLALPCQNSILGAFHEAREIQQAPKEKSKLLRAPELPS -DPKRLGPLPNHLPSPPKNRRRLHARRSHVLHSRTSSGCLPPHSKSAETFLQFGSAPRVRV -HEPLTLTKSLHLPTSQPDPSLHPGKPLRRVLQPAPIGHSMAKNLFHPPPPDSTFCHNPGI -LGPPPLNPHTKRPAQPTFGRSQPLFIPSPPPPLPCSRPNLIQNSRVHRAASIHLPLPTPS -SPAHPKESLRRPLHLHKSGSNPPNLRPRRLRSNPVEQTRILLGDFKRLGQPSNLRAPELR -HPTKCGLQLSPQPLPKTQNLSKPTLAPHRSGLRSHPILPNPPSSLPKLVFISPKGKVHFS -LPPPLRPAPPSTSSPPNFPQASQPSTPPSSPDSFKSLPNLTSALTPPPTPTRNSPEFPHL -SFRLSHQVPNLALPPRLLGAGTSSSRLKAHRRSVRATAARPLPPPSPPSPIPPPVGKTSL -LDDFEAKLSALRARRHSISSSSSPRSTPPQIPEHQPPALHFSQLELNPTFPPPQPPSHPT -LFPPPRLHQHPSLPLHHLSTTHHQLPHQLLPKN ->YP_004464922.1 unknown [Switchgrass mosaic virus] -MPLSIPTPGLSTFLVGFDLAFESLSFSPGPLRLPLQPQPRRISALTPHALSPRFGPRPSR -PNLPTFSRPNLQRFSPKWRPSRLLPPSVPSQQRPCPSQPNRSLFSSPQPATARRPSLSPI -QSLPLSRRPALPPLFGKQPCSGPTSASATFPPAPHGLKILSSPLLASLPKAPFSPKSQLL -ESPRALSPALRWTPRPAAPSWNLETFSLASTWAPLAPSSLAPGILFLPPSSTQREPLAFW -SPSAMLPACQRPLSGPRWPPICRTPFSMTVLSSASGSPPTTSPSSPASSLFVAAL ->YP_004464921.1 movement protein [Switchgrass mosaic virus] -MPPTLTRSTRPSKPISSWTSGPTMPEVPPMSCSSSQRSSPSSRRGRPTSPTSSTTDSSRR -TRPGIPPHPPTSPTVRPFSCTTLSCTTRPDKSPISSTSAPSSRSCTPPSSSRPSLPSPTF -LSIPNFIAFVSRAMTSCMSPKETQPPTTPSLVGHLSGSKPRASRLAMSSLLSLCSTPSAP -CTRSSSREAAPPSSRLRTPSPSGFLTPSLSRPPLPSTKTCDIVSSLGGFTTPCSTTSAPS -EPSASPTQLTSSGPKSASQSTRGSRPPPGTTSSTSPCRPQQSVRTPLTRFSSRPSHVSRT -GSGLTRGPSGVWPRPPPLSPPGSQPLDSAASPSSTPTACGCSAAISSAVDSGRSCPSRVL -RPASSGRPTPRASPTLSLRDLPLSAESLELWRTGALPRQLFPASSQKPSPHAGSFSALSP -SRLFH ->YP_004680224.1 putative envelope polyprotein [PreXMRV-1] -MESPAFSKPLKDKINPWGPLIIMGILVRAGASVQRDSPHQVFNVTWKITNLMTGQTANAT -SLLGTMTDTFPKLYFDLCDLVGDNWDDPEPDIGDGCRSPGGRKRTRLYDFYVCPGHTVLT -GCGGPREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPKGQGPCFDSSVGSGSIQGAT -PGGRCNPLVLEFTDAGKRASWDAPKTWGLRLYRSTGADPVTLFSLTRQVLNVGPRVPIGP -NPVITEQLPPSQPVQIMLPRPPRPPPSGAASMVPGAPPPSQQPGTGDRLLNLVEGAYQAL -NLTSPDKTQECWLCLVSGPPYYEGVAVLGTYSNHTSAPANCSVTSQHKLTLSEVTGQGLC -IGAVPKTHQALCNTTQKTSDGSYYLASPAGTIWACSTGLTPCLSTTVLNLTTDYCVLVEL -WPKVTYHSPNYVYGQFEKKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVKTQQF -EQLQAAIHTDLGALEKSVSALEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFY -ADHTGVVRDSMAKLRERLNLRQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLIL -LLGPCILNRLVQFVKDRISVVQALVLTQQYHQLKSIEPEEVESRE ->YP_004581514.1 hypothetical protein [Sweet potato pakakuy virus] -MENAREINSPPSSPQNNSNQRVMQQAARAFALERAIYETTARRQRGPRTMEHPAVNPANR -TPAQRFEEWSRQEQTYHRDWINDQFENSLNDLGPLARGYNFNLWLERRLLREGLPGDVGA -QLQASRQALLHEAAQEARRGVMTLQRMIRNRAQHNRRYITRDNAAGDLQGSYSEAQAQVE -AAAIILMALIEDL ->YP_004581511.1 hypothetical protein [Sweet potato pakakuy virus] -MNYREVQDLPGFKRALTGTASLGAEGFNQPSGKTQSSLDTLIRQNNTLLFLAGVSDDRIQ -GIEEELVEIRKAISKRETPDLSGVVGQLQQLTIKGKAPEPRGVLRVYQDPYLQTRRQINE -ETRGRSSHRSRSSRGGSEPPRRTPDPPRGSDS ->YP_004581251.1 ORF3 [Piscine myocarditis virus AL V-708] -MSNKMKSFLLVLLCLCVGEGIVPMFRREWCLCTAGNARVPLVGEGRAEKIELFNQSATCG -KKELIITWKGKRWCYDIESKRGKILVKTLSGGGHLEREGKGYKLVRNGFHLASFGGKKEE -IQDSSHIEKVNGKDAIVKKGQHVEHLPGGNDLIVTEGGNLCGNVGFFDNTQCTYNSVINI -GGGSVDNSQEAKNDKSNTIDNLIDMLPLVVGIAGGCLIVIVVLYLTIKYCKCKKKRTNPE -PAEPEEHEMRDLRRRLEPRPPYQRQMGVEFEINEALEFMGVEGSESPDSGCQSDEEGFRV -GV ->YP_004581250.1 ORF2 [Piscine myocarditis virus AL V-708] -MITKALAQGREEDWIKITTNTTKIKLKSLRPLDGWEHSGWGLGLRLALELVSEPTRETMS -GVIRQIRIGKLDPTKVFKSISNQAKVSGCGLCREWKEYVNWELLGGYQMITAQQMGEEVA -HQVTEKAKSEEAGSDRINRALESILGPLRGTCTVPCSQREFLLCRDKWAKNTSGYIGLGQ -NLGRQKIDVALNSDIKALERMMEGEFENRPFIKSEPGKSRPVVNSNISCYLNLEYGWVTI -KKTLKKYLGKRTTIFDDASQKVLLWQEMIIGADNHREIKVPLDYSRFDSTIGKTQIMKCV -RLLLDMVRGNDNWKRSVTERFERQTVYIDGYGKLRWENSVLSGWRWTSIITSVINLAILK -ATGADASGVGIKVQGDDVKISFQTIREAERCVEEINALGYEINPGKVFASRKRDEYLRMV -AEEGKMAGYVIRCLPKIVFTSPTEEVTTWEERIRGTVSKWMRVLSRGGDREVAKYWMKRD -LCGLSGENSELIDSWLRTPASVGGGGCAWLLGEGNLWTGLVLREQEEAEVVERSNHKMMS -DYGGSVPARRWIKSVTKGAKPAFGFKRIERVKPLGNWLKDLRPVAESIKSISDLVETSQV -PKFRFKEIYDWTPFMVHKRNALIEEKNWDKLCSLYHNEGEVRLYKRILPRWMWLSMIKNE -LTWVSMPPNIANSEVASLAKERVEYKVLSKVLRYHRTVSRETLTRFSIGGEYVLSAKLND -RQRILQ ->YP_004581249.1 ORF1 [Piscine myocarditis virus AL V-708] -MEPNTSVIATEQQQAAMREVEAEAAARDEVVEKIAFAEGAMMVQTRRLPSGKSSVGGFLG -ELAQNIRAMNRSLHTDTNMLTEGAMVDRARAKVHKIIREGNLDSRVFSNTGSNTMLSLWV -PAVPGPPAVPEHWDVAPSWFVCRPGKKGGIKITQSASMAALNPLFRGADVGPIGTAVRAD -VNAFSMNAVLGALRAGGFNTEHSLVSFVEPLIRILLMGVQTQDRGTSPWDWVGGMSSRIV -NPLVFTTSGNFFPGGPNLRVWGANDTVARIVNVEDYMREAAGEGRFDAGWGPEFWGGTGD -DAVAVVPIRAVEAGLGEVNAGWTLAHMEYPVKVRLLDVDDRTIGPGGSLPLNANREYTAA -GATHVPGPYARVLYVVVDQNADRCVGVRVQGQGAVIDVDPALNYVIGGADLGMLPLIQWS -VGLGAEDMAQGSIAQTQRWVRMYGNEDDWESAWHLVSSAYTVYSPAFRRSGVAVEGGFWA -QPAAGAAPFPLGGLAGWVRYDNQARAAQVALCRERADMAECPWGGYRERGVRPGSVANWQ -YVRFDPTVAVGVAAHFWSVVKVMVAPVPDRAAALADMAWGKGKVQAMGEDVINGQMGQPE -SMMRGVALNENQGLAAATVRRVVGLENESMQTTHWSTTEVAMNGYYGRAGATAHHAAFPL -SEGGTMRKRIPAIEMRENGVEGDLMNDDLYSIGTAAGYLAVEGMAGAQGGIWDVVQYQLP -GPDDEARGVMNTVGAMGGWTRAVTPVDNVATMRDNGVEGEPCGIVMSLPTSGTAVVDRLA -NFGLPPARAELREVPFGGYQRSVTNTNHRVKVSVSGGRAVVQKGNKAEMNPVFVNRTPGQ -TTLGQPTTDTTGMTTADFLDI ->YP_004464927.1 protease [Cutthroat trout virus] -SGMILDPACMVLQGQANLNVLSLTTTQSGEFEIIGWLASSFEKILDYPNHHHDYLKDLMP -GFTPDRAEHYENLLHTVLHTKERQGETDGELTDRLTEYINVPYEEVHALVTNRLDLRTRH -HIQPKSCLTTPAPTPIPTPQSSRSSSPSRTRSSSVSSSSSVSTSVISFQM ->YP_004464919.1 phosphoprotein [Cutthroat trout virus] -MGKLPLTPLPQLLSMLHTKVAHQIHPGLLSAKYSSQWLTPCQLRSLSLSVYLQKLVSSLS -ARLLEPMELPSWSTNPMEMQFATAHYPHAQAINWAMHLSARPHSALTMTQFSHPSPSASS -PSTSRLAMSLSSRVPSRPQPVDPIIGMFRNSPFRESLTSLMGPPLQTFLCLKSGLWMVTS -HRSRTPTVSSGSTTSRLPLVLLAPAPSTTSLVIAKSLQAPQLTAC ->YP_004464918.1 capsid protein [Cutthroat trout virus] -MADSNAVVPSAQSKPRRTRRPKMDPSQIPDTVKTFRLTTDPIMAPPPGEIDSLLYTSGLS -PAVVLSFSSFTSPAVVFAANYEKYKILSFKATVLSLAPEAISSYNLSVVWFPDASEGPTS -LQATNLSMVRRYHSILPGQSGSLSLTASELLHGIAEKYVDPTHSDALSAFCGLFMVVCHG -APFNHYRDTQYLGPLFKIQFEFKLSVFGYSPGNIPVKVQGASATEIVSFGTVDGKATFDT -PSAIALHASYQSGPPNSPGPSIGQVLLTVADTVSAALPFPFSILAKVGLFFIRKITGANG -TSFMVYKSYGDAIRNRPLPSRSSHQLGNALVRQTTFGTNDDSVLPPQPISQFTFHFKTGD -VLVIQGSFKAPTSRPNNWNVQEFSISRILNITNGTSTTNILVFEKWTLDGNLPQIKNTYG -LIGVHYFPASISPVGTSTFDNIIGDCKVFAGTATDSMLTPGPGSITVTFLSQTRGFLSQT -ELTQGLIAASSTMDTTDSSLTRQASQPMEVDGFLSGLIPSTPLCNMVNNTFSCGNSDSQA -TSRSAVHSLGPNCDKCGLDLNLRVTPCIATRLQHTPKACPHCSFLLGLTAYTVDCMRPSD -TTDSTVDALLNPLNSLDLESESEPSDDDLIQFDD ->YP_004564617.1 3B [Pigeon picornavirus B] -GAYDGIIQKNLRVPEKRKIEVQ ->YP_004564614.1 2B [Pigeon picornavirus B] -GPIDIVANLAANIARPTIDVATDSMSEALDKAFNKAVRSVDQTIETHRRSWWKQVLGIVT -KLVAVVTAITRSGGDPVILASMGAMLSVDLMNACPFEWAKQQVAQLMEVENIQEQ ->YP_004564613.1 2A [Pigeon picornavirus B] -GGDWNDELPLQYPEPNLSNEQIQMTVCYREFGRDFITPQMVCRFFFPRLGYGTNFSRPGG -PYYRGYALRSPDWFERNAYVFQSLYTGVLELAHADVLVRWVDLEAVRADIDRYINSPHPL -AGLMQNLVARLELNEMIYQQRIAAILERAVDDSDDEGSESDASDSGYYSLDSMGLSDLTS -DSEFGSDVEYQ ->YP_004564608.1 leader [Pigeon picornavirus B] -MVFAKRVMPDSGVISVANVTQPFMTSCRKGKHIPPVIYCKEHEKPECVIPEDMTTACSTY -LRPCRKMIRCVNNGVHYMCRWHDIXHRAETRCGYTGPHRCCACHKQRFLEPQ ->YP_004464932.1 unnamed protein product [Bombyx mori Macula-like virus] -MMNHKLRALLVVIPRILRSPPPTHPLIEDVVMATNQAIIDYKVKIADNNLVTHKELALKV -SSIIGTRVYVFDPSCYFSTPPFDTVLYDNIRTVLKDNKTALLSASIQASLPSSEIYRQLV -DSRHVSSDSFGVYVKS ->YP_004465360.1 unnamed protein product [Passion fruit mosaic virus] -MSLRAQNAQDVVPPLDYLNPSNFVKTQLLGLERLGLVKSPKKMCALMETDVVKISPRERT -VFPLRLTEKIKDLGNYKYVMFLACVVSGRWHVPTTVKGEVFLSLMDRRLTNERESVILTA -NAKPSVSEFQIRIHPRYSMVSSDAMQEPLELFVHVKGLQMAEGFSPLSLEIAFCVVCCDV -VIAKSLKMKILQNTDKFGNAEGEVGSEGLDDLLSGSNMPSIRTSAFNSRRSVNANPKRVF -KGKKGNVWSEAKDFAGVRRKKGVVESDMLTSNAESTVSNYSTDQSDALSTGFSTDTSMAV -GQLGRLQDTS ->YP_004429259.1 putative capsid protein [Fig cryptic virus] -MAAPNFDILEDLIADGRLQITPYVTSTEPKIFDVDFDALWNRINAIIVPIYTNWDQLRFH -YPGTTTTVTNNITLDVGHLVTFALWQLYNRLRSVAECFHHPTRTSRMNTRAPISNRMEFP -SFLSSMLESISWLRINDGPVDYLALFTAPTGTSNNYGRSTVQVPDNGCYDRLTGKLRALG -VQLTPIDMTPSAGSFWPTTQVITEEELYNIVGTFHSSHYVNEDAIRAIFLAGTAHSQPFP -DFGMTVGFVTDRTVMDPLAALAAPTGTPRSEEATSAGRPVHGMYNVNHRGIQPAAGEQNP -SGCYIIGRGYERRYTCYLARRIAVFEANRIIRYRFMR ->YP_004429252.1 virion protein genome-linked (VPg) [Grapevine Bulgarian latent virus] -AGSVSSVYSSSDGGLSKFSRNTPINYRSAASSDFRANSGGDDEFLMSLLLWLETPGGGLI -SCIRGKGRYIYLTAHQ ->YP_004347417.1 hypothetical protein SPCV_gp4 [Sweet potato collusive virus] -MAELNYGIANHQDIYQLLIKRVYPIIWSCRKIIVFYDYIAFGSYTIEYP ->YP_004300300.1 papain-like protease [Fig fleck-associated virus] -TTKPEITSPHPPPGQTNTSDASTSSVLPPVPPPLSPHLSNPRSSSSPPAENSRLILDPTA -IGPVTTFSSTLSRSWLPGCADFPFRRRNPGSPHLPYPPPLASSSPHPCCLLVAFSNATGV -FKETLWTLLCETFPDSLLDTTLGLSTEHLTALCGYYFAAATVHSEHASCVYGVTDPVIKL -TIRHTTGFPGHFELILLG ->YP_004300277.1 p50 [Fig fleck-associated virus] -MDHGFLLNLRTTVVHISQRCVHLTLDGKHLSTSPKIPRLVQICPIQGAHQHASSLRHKRF -RFRLHSSSSPRSQNDRNSPSLRSLVFPRHFSIHCNVYEGKQVQQALKAPTELPNPLELPP -PPQRFRPIRPRPSPPSLSRNPPRLHARRPDVLLSSPDPRPLLPLPEGVSPLRFPNHSSRG -RLHRSVTQPVPVPVSILGPGPSVLPGVQPLSPVHSTPIFPRVVEDHRDPQLHSSRPVIIR -HGAGILGPHPLSSNLPTHKRISNPGLRRQTAVQDSGLRSTPSTPLIERALSGPPGSGSGL -PQPVFVCPSCSNASCHRSLWVHPHAKLEARICMGHLQCLGSSGTIHAPHLSDPAKFALCL -SRNPSVATSPPAKDPLPLFTELSDPGRALFVPLPWAFGSSALFVHHHPDFPLPKSPACLD -NPTPEQCQAARLDPAGRLVSKPVALFCSTKTLTAIPSSSNL ->YP_004300276.1 putative inclusion body protein [Sweet potato vein clearing virus] -MLNQKPIQDPNLAKEYMGYITQPNKNYNKLIALPKTSASLVRSCYLYGMLATVYTSDGSE -LVDIPEICKAVKSYLAVTKAKLLYVRFYSTPAEITMEDIKPVITVVKIGMTKEMIIPEGI -KIQPQIAVEEIADFFANKRVIQLKNVVDELANNYTSNNPIWIYHNRENTLIYSLAKEVKP -KDMEDIRLWIMTLINPEKNPLTRAIKKEFISDKIKGHYCKIISEYPDHLCTRCGGRTNEV -PDIDIHISSPEPSEAATPSDDEDDELLPEGHDA ->YP_004300275.1 hypothetical protein SPVCVp8 [Sweet potato vein clearing virus] -MKLISLLQMSNQDKQMELMRAMMAQIKITSEQNAEILIKLSKLEERVTTLESNKESASQG -TDNAPVYNKAKINSKSLVVLVLLRFISKGMDNVGTLRLEKENSRKTNTR ->YP_004300272.1 coat protein [Sweet potato vein clearing virus] -MNILEEIVKQTRIPTKHNKLEKFKVETNWNRTYILEINPFGENQMSLVKDNGSLENYAEQ -LIRLEKENNLVSNNKIKELSLKIKDNSDRNRSSKNYSNFSSENVSSSNKSINSKNTDRLK -YKTKLKELELRKLEAELKIKELTLELEKIKIKKNKRNSDRSSKKSDNISKETKGKRIKSL -SLESVGSTNDLGKMSNIPLNNTNIDKSLNMLSVSEHYTDLMKKELETKIENIEQDNNKSD -STMIESSSEEQSSENETVNSLSSENTDSKMTDSVESVSVNANEQRPYKRPIEPVRYSFSQ -KNREDKLWQKRLNEDFIPKDRKCNEFLDLDCVKDSDEIIQAWIGYIAKQLTDNKISISEA -PGYIERSLIGNVRTWFLQLSDDVKLSLRKSEPGAMDILVKYELLIRSEFSSATSEANKKY -GEQLKNRIFLNQLAICNLCYINEYCCAFSKYYYNSGYSEEDRSEIRKLLFNKIPEPFGTQ -ITQLWLENQKTDTLGNRIAFLKDWYIDMCLEYKNRSKIKKEIKFSRKCCKEVLPPEYGCS -NPKTSDRKIRKRVKRKNPRYVKIKYKDRNPKFKHFIKYKNRKNYARYKKKPKDCKCYNCG -KLGHYANECRKPKKKDLNEIIIKDNLIEVRFLDIELDSEDEIYELRYGFD ->YP_004300271.1 hypothetical protein SPVCVp4 [Sweet potato vein clearing virus] -MTTSIKDQIDELNRNLSKICFEILDNTQLIIDHFNIRKPVVVEGQTSRTTEDVIKEMNEL -IEKMYKKK ->YP_004300270.1 hypothetical protein SPVCVp3 [Sweet potato vein clearing virus] -MTEFKDKSVELRKYNIDAVNLPSESFKRWPYESMTYAEFIPFLVTGVYGQQSEKMLNWTN -LAIFELLKRTDKLKINELNQALLEKDESKIIDAIGKLPTEVAKIIKENRDIVGQLQLPIE -VQVARLTTKLEKIEKSLEKISMILGIIEQDKVESSYTKG ->YP_004300269.1 hypothetical protein SPVCVp2 [Sweet potato vein clearing virus] -MCLDKNIVCLNNQQKLDLFNLIMNDFDYVVDNLNNLNTCELEYFWKIVKKFQLECLFQRR -NVLDD ->YP_004300268.1 hypothetical protein SPVCVp1 [Sweet potato vein clearing virus] -MAIILKIDPKKMELVVSTLVNCYLMLFKRIFVSFMPLNTDLRAEIRYYKDSMINSRNRIE -MFELLRDSYQQVGFQNPFLVTYYQNKIDAEYSYIRELTILMHILCV ->YP_004300266.1 putative movement protein 2 [Trailing lespedeza virus 1] -MSHSRGLIHTLLVLLLVVSLLWLKQYRWSITLTFDSSLNRVIALALLGAVLTSVGNSSTV -YYINNSTNENKTNHIKIET ->YP_004300265.1 putative movement protein 1 [Trailing lespedeza virus 1] -MSTVDKPIIEVGLPQTNIVEDTATKRGRTKNGRAVALKGIDSHSTGSAIGGITIVAETVS -VVNHFNF ->YP_004226527.1 sigma-C protein [Avian orthoreovirus] -MEGLTQSQRREVVGLILSLTSNVTINPGDLTELRERVSALESANASLNEIIKGVLDQLVD -LAQKLGNAAGAVVDLRGELNSLTASVQTIQSSLGSLTDSISDLSSQVTTNASSPTNLRSM -VAGLIADVTNLKRDVSNQGLQMTSLEQRVTSLESGTGSIPTFAAPLKLDGGIVSLDLDPY -FCSVDHNLTSYSASALLMNFQWLVRGEGGSSDSFDMNVTAHSHGQRTDFMMSTTQSLTVT -GNSVTLVFDLNALISPPSDYSRLIPCHGFQQATFPVDLSFKRDDVTHSYQVYGSYTTPRI -FKITFSPGNPVPAVIRFITVRTGIDT ->YP_004123944.1 PIPO, partial [Lupinus mosaic virus] -RKVLSKGVKGAMGRIGICWKITTRLLLVKAYSKVTARIAPTRRNRFERHIQRITTIVFGN -DQSSYI ->YP_004070196.1 putative 3b protein [Feline infectious peritonitis virus] -MLSLVSPLLKKSIVIQLFSITVYKFKAKFWYKLPFETRLCIIKHTRPKALSVTKQVKRDY -RKIAILNSMRK ->YP_004063979.1 6K2 [Ugandan cassava brown streak virus] -CASDYIEEKVMSVKRNYDKSLILGVVGLAVATGTFAYWYMRRSAAVELVEKQ ->YP_003896067.1 VP6(dBP) [Great Island virus] -MSYRQEHVLRRLRQDRHGNLETARDLERPGWRWIQEQREALNVPARRARAEALTLTFGIR -RVEATLPREILHLGMDFMLRGMDVPSEVVEILAILRNRAKVRREVIAWSLAARKRGLEFL -LLPLHEYVTHCAKEDIRIYESSGLKSLFRIEIASCYLARPRLRSSELVRMRMLRRKTRRR -SCVGTRCVQQ ->YP_003896068.1 NS3 [Great Island virus] -MKNEKAAYGAASEVLKDDETTRMLKMQVNECSLTEMRQTYQSLKRRCRLLYYGELLCLAA -ALGLTLVLMVPSASRVLEGALTQANITGHVITGILTSLAIFLQHHRARLLKRKRSIKRDI -VKRMTYISLARRMGSQFPESAGAGSDFRARLMALAEEAERARDDSDWRRWP ->YP_003896062.1 VP4 [Great Island virus] -MEEFEVLIKNADGKYKVGSYTEFDIVLTPHTKEDEAAYVLEKRLCSDIEYEKQERVGMPM -SIAIPNAAAVGIDYLEGRLTREDAEAALDDKDDVSYAVWSHTDQGNYRARMACGDVEMKP -RTARTCCFHRLSWCKEGRCHTRLEAERARECVLLCLLLVEEDGKISVGPRTREGARIQCF -APTKSAYILPKPQYRTMWRDELESFFKVQAKKRPICNLSVYTEMAVRSLLLDIFCILYCF -LPAGHKMREGEFRTTRQARAALLPRKVLKSPGGVAQRIHNLLAHVLHFGEVQTSPRAFRD -DPFSWVLRTPLVAHAERARDDDEALTYYVALNFLLAGIPGACLESLDIVRIEPRREARVQ -YELKMQKTTKYCDVPLHAWLSQEYGAPQVGTDASKGMRGMLGRALEQVRAGGLLRIRKRA -EHPAVRTYASVHALMGFVCNGLKEVASVIVPYRAPRKSFLLVVFGDERLDDSAVADIEAS -RLGKTRSSFLGTCVVRLTKAGVPLVRVPEGVRRIVEAYLWGSTQGTYVAIKPRSATREMA -DFMTKVLRYRD ->YP_003934918.1 structural protein P2 [Raspberry latent virus] -MAANRKSTVQQHALLMKEEEEKRKRFTQANPPTLTPLLQEFQKPKTGEATSSEKPKVEEP -KPEAIPKSVTSETLKIIPQAKTSVPDLDTLLKDPNLVKELLAKITALKPTEVHVPTLKAE -SNEGVVKVETTAEVDKIVTAEKVSAEAMNIRKASAAIGVGTNSRTPFQTTFVTIPPRSQD -VGSTTKVANWRSQKIKLTTSEPTVREIIPAFLQVPVTLEDEILTLKKREEYGDHSTIEDV -SQLRSGSDEVFNKNVAYVICEVNPAFVAGSGVTMECGVPMAKSVFKSLTSVLATPVTSAD -KFSIVSGALAWALNFARKTEVVLQYGKISGEKSNVTTFHTNFLPTNLLSTVLARKIMLPL -YPSIVLSTISRRLPYMPHVAREILDETPYRIGEVRAIRRSVRLAKDVVVTQALNIDTPTA -MALNRTARVFLDEDFTQDMAQPALSAYSMSDVQTTVLGSWDELKFGRLLSEDFELSGLLI -AAAGSKSLFESILTVNEQAFLQLGTVSPELSTPTLDSIPPNRLDTVLVDLVKTRLAQSSF -EDAVQTLMLQVTPQLVFPSVIPEIFNIKHVKLIVSLFEILMMFVFTPGVAKLAGAGLART -LKSIISLLWNTEFQNFIQACGWVNNEAGTINDPTDIEYWANQHIPTIYLPHIVIPHNCPA -IRSIHALLRPLVHPSPHTKAHLARFPRMRGEMTTFLPYPLMQVATAETSQFKLRINAIVE -QCKTWVETTNVNADKGFKSKATYLFDAINGAMISHGLGMSREVGVPLRWMVNRKTFFVDF -YDGQLGTPFPPQMVLVAHDRVTYPQKIPVEIRAYRELVIRPQMVWSIIFHMRFKGAHYEP -LLEDSHQCSFNAPNPGDHLSDDNAIVEASTRLLEPFYLTNMIISDNINVAGVEIMRDLIG -RDRLAASDYNSVRSFVGEILKGTTFGTEYVRFNDINAIQASWKVPDTQVRYTNALGQVVE -PQEGDPNIMLQGLTMIREDFLDRFNIGMECILSPRSAYSRLNKGLIIHRTLVSLDSPYLE -DPPGFANIEEGYDRVAHTVHIREPHGISSYYQDNGQHYQEPRDYPHRTFILRDLTTLFPE -DIVILVEGIFAGRFRVELPDLYYIYEIKHCSRSSPMYQPDDVQKLLTLSDGEIPRIIFYD -TELPIYIYHSQLVQSRRSPRFLFPMQSITRHVIARGLFCEDNVPDERYWREPPPHLCDTG -EVTNAGILTYHNGTPKRLNSICFSNQVIVMSKEADFTGEVYFNEKLVRYLE ->YP_003934920.1 protein P3b [Raspberry latent virus] -MRSTSRGARVEGPNFEMYSNATRKASAPTLLGIEEGSSLVKNQDVVNSSITTTRSGESWN -PIVGRQSYRDGSTIVFSDIPTNGSSAISGYQAVNAEKDKVMVKRGTSESRSSQGAEMVSR -RYASRDANVYDERIPFYPGSNGQSSEDEGRDSTPTYQPIYPRVFVTGEGRAVNAEFMDRD -QDNVQRRDVFRFSASADARQSSQTEVMFNDYTGVKTSDHAWRFHNIRGRPHPELSIRASN -LLYRSLCRNDSAAFTGNELGREFHPISDVVELGEGFSTGDSDIIFPSCPESNKISGICCW -NRIGDLLFPRRSGTSCETAV ->YP_003934922.1 non-structural protein NSP5 [Raspberry latent virus] -MNNQLSSNGTNGGILFGTFTGSTSSAVPVQTAPFSEPSFSFSPPTKEVKGSLSDLVTWLS -MNDSLYCLKMITNHPVEATVLRGLPAYSNIKGEPWFDELFMCVGLTSAAYRAEVKKEASV -PRKPELIRGMGPIDIFSCCIISMLHHRNFLVISGNTCEYYFFQSDPNQMETCVICVQEVG -LKTGKYRFARVNATEVGLSSVCRRVLVPCTVLLDQPVDMTLSTCEIMSIHPFEDDETGKI -TFIKTPIYALDLAKNILEQLFAKGMTNVPTLDKGAAVEECEAGEAKRRRFLSDEVAKVRA -DMVTEALQKGMKLNIDGASSRFTIPKPTQSLVTSKVNRDLLKKTKMPVNTEANRQEWLLE -GARLSDQIRIGVKPKEVKMTGLRHWYSCIVMNYKKKAMVKEGYNVATYLPGGLLIGTGLG -NSVCCEIVWTPCAIIARYEIFNIGNERTRSIISINETSSCNVPGRPFLGYCHIKGKWFTS -DLFTRYFEVDLQKKELAVLVQILTSMTATVLSPSLTYNEGMRIKQAIEMTNRDRPFRMRN -KQANAHPLRLVPIIHTKVKAMVQTRGDVELSALSSVWPSVSGEDYSKDNDVFIEGERVPG -PGVQPDDLRRHSFLSNCAWREHFQVLPDRYLMPCGTTEPKINHRLYDHQCMYSYLGEMSE -NCDERGFTHEQIPSCDRCNRYYPHPAMAGLCAIFGCPGGEGDILNYGVQINHLLPQRL ->YP_003934923.1 putative outer capsid protein P6 [Raspberry latent virus] -MASYTNYMITFLNTVQRIHDQNYSDFPPANVQVRRITFQDVEEYIRDLPEEIKDYTTKTW -NEVQGESPMVIQIPFCTTNTRQIRNYAAIVNLAYSCSMAWPTPLPNGRFNLEDVIAEGFS -SDEFLPTYRVPPAFVRDDQPPSTSDHSPRAQTPPEGNDFDPDPDFVEPAPVLAIVPHRHL -PNTDQPVLPRFGYREEVRDDDADMFDGDDASEVGEVGEEEEYLDPLPEQDRENLALVRSR -VVKSLFDRKKVGEVDSVMMRPRFNPGVVPRYGTVKHYEYKEEPDSGWLSEAGDSLVVMNG -LVQGDPIPITDIDGIIIWNAREDADVNQRDFTVLEGDVFSMNPRILSGEFYQERVNVERN -LRLILLGNCLDKRLVEETDRACSNYLIGTQDDIVNEANTDQDRNERVCVMTSTGLICSSY -YSCPAKHRNKIIPIEIGRRVATRLRRIIAMMVEGDQRSVEVLLMYWMRIIYPDTYSPVRN -HITVGDFTWARSPVTRDFEIITPYLEGTNDDDGAVQNPERWYPSIFNPHNLFQALNSFPL -FLLILDQCSAEPSLEFEVLSERLNELQLAYFKVIFHCTTLNYVLSNNPCSNMNLAIGPYP -MVYQKMRDYAYMVCKSSVQAEKAFIYLILGLLGFA ->YP_003934924.1 protein P7 [Raspberry latent virus] -MALFNSREIINQYSPKSNTITYLMNMTEFHQYTGLVPDEQINFYVIRTPADWLTVEDQPR -TGITVMCQGNGTTSMLRALIRKAIFVVTTDALHEEYLVSLESIQVAGTSIRTLQVQDHDT -LDFVIQSTINGYSLSQMLEVLNFKNYLISIGIRVEKLVDTAIPLVSNGNGASQPTTEVAA -NGTPPPQQQVTTQSPIPLSSTPSESERQVNSSQQPLENFSSLGQTSSDQRWAEMVLNDEE -NLDLVNQVMSQEEDTPTNILVDQPDNLNRLLEMRVTSGERETPVLEAVCGFNTNPSPDVN -MQSVSCNDDSNPPTNMDAQAETQEQENDSDSSSSSSTGSDDKDSSSSSSGASSSNFSIDP -VSDIVKVPKDEFDVARWRIQSIFGEMTPFELSFEVESDDEDILCEGAASSIKRDIFKNAA -LGSVTKVQRTEVSTSGKRKHYGVVRRGQCSKAAKIVMSNVKLERDYGMDNRARSAFKNFS -SSMVEMMDVYVQTGAHRTITKIDKILINSVKWYSRVILVIPCGMDALAKIWDKVLPGNVS -MVCEETKTKMEACMWSLDPSGSRFKSQDSAAERMAFTSEMRIIADHYSTPSAAVTGPFYL -TQKDMWTRGILLTFCARG ->YP_003934926.1 non-structural protein NSP9 [Raspberry latent virus] -MNPITVTEQTLGGRKVKVGTSPVWEGVLEWLKTGKVPLVVQQYTQAHSLPSFEPMNHSLS -EDENICVLPTKQGILLYLPADLDKMAVKVTVVDGKEKKLPNYDGTDLGLAKKSGGGRTMC -HQMSWNSQSLMTLVAIIPKILLEIEDLFQGWDGATNEGMRTAKSLMTRYIDVNLNFLPRM -CQFGVACIYLSGTFNVFEHSMLRYINGQFTAFELIRNRRADGMDIRDRLKMLKNGANPDI -EVVLYHLKLTPSGHTVISQLGDDFTMATEVKQGCALKLMGNRAGKISVGDVDLDAFTASV -SLGKKPNPVPHSTIGPVGASRHVQGEQPRFTVPK ->YP_003934928.1 protein P10b [Raspberry latent virus] -MAKCPACRINLIASRNKPFGTERWTMFHNSNIYGEIAQFGSESVGQRAISNTVSESVRSI -RHAFSSPGIYIQSSSESDRSNRHIDEANLSRASETENSGHCFQLVWNGLWSRALQMWQPN -IRRRRSEHSTSEGSSVKAGLRSSKRSSRRAHGSVLPVSDDPSIHPGRTVYSCCLSYDQSH -PEGFSPVVSEDLGSGYETKG ->YP_003934927.1 putative spike protein p10a [Raspberry latent virus] -MMANTLTIDSLTPTLETAYGATSQTPRNPTNILAILFDIFKHPARPQPRRAGHAGNPGNK -STSLALLVTLEQSTSLHQALLQYASRWPNALLAELTSLLRETNRLAQKDGRCFITPIFMG -KLRNLVQNQLANELSAIQYRRALDLFVMRSAPPEFTYNPAQNRIALTDTSMKLIYQEPAR -RRIADTAFNWFGMDYGVVPYRCGNQTYAEDGANILHPKAHLLKQAYAVQNAALAELMVQS -SPFQTIPQSIPEGQFTLAACPMTNRILKDSHLWYRKTWDQDTRQRVNPGIWNVDLDDQSW -NTAPHSFGFPDSMTSDKLKWQYAQYFVMDYINTYTALYVVNV ->YP_003915147.1 PO protein [Cotton leafroll dwarf virus] -MLNLIICRISSAALIRHASASVSNNQVDFLFFLTQLPEYLTFCNSPELNPFHDGFNESFL -LRSLLFLLPFIIRGISSSRFALPRGIRADFMALSRATKYMPTVTDFKGNYLVALHLPSRR -ATGRATRERFAIRSLVENNRLEFEYLCTRGYEYFKRFAQTLAGEVRECPQERWTVRPSCM -GLRALAIRLMDLVPGDEPLSNRSGHRLSVLVHNLYGDGASLSFWRIARFPDSSYYHFDDE -GGVGLLYQSQIQQLLCEGESS ->YP_003896050.1 NSP1 [Rotavirus D chicken/05V0049/DEU/2005] -MATRQLRFEYSVLKFTLANQYSIIKSSDYVSQMRWLEDKKPNVTLWRTLNPTQMNKSEPC -GNCLTCGCFHNVYSCDFCSVNHICKSCKQNYSDLCPFSLKQSRFMMDMIDCGPIWELTED -ILYEKLQPLYLKYFHVLSNPNYEKIAMEDRHRRCIGARIHYGSTIHADINDCFLPSSVIA -FRAMKNSQLHTFLIFGHYEPALNRENMLSYTHLNLRRYRKVSDFIDIYNIRLAMTRGNST -RPMITLDISANAESIPVISQQTCINSYTHTYDSDLKSISLALIVNNNGNLTLRRPILDCL -NSYNVMKEMFIARLVKYLNLELPHMLQKHYKTMLELSYSIRTPDKGVFSVSYPIFDDELL -ITMLQNFELVKYLVEYAVHVSEHFNQQDEIDESCPICADFMSFEQQFEMAYNITKCRRDC -EVIFVPSDDLSGLFTVRHVCREGLLIHEIPFKLRMLILTITQSVRVNRGIHQLNSYPSWY -ADVDQDQGDDLDKLQRLWPLSLNTLWNVIRQKQLKPLNYTVISEEDEDGIAFTEEDEEGI -MLIDKPNEYKWLRYVTCVIGPDTDEEIEFYDGPY ->YP_003896056.1 hypothetical protein RV-Ds10_gp2 [Rotavirus D chicken/05V0049/DEU/2005] -MKQSSKMYQVEIDQIAKHNDAVILTSGNMSFNVLPDETYTLSRIKLLVVNSAVLFVKLIR -QSSSGLYNDVVVKDISYNNSNSLTMYVCSPAER ->YP_003896055.1 NSP4 [Rotavirus D chicken/05V0049/DEU/2005] -MLSLESLGNINVTEVIAGLNINEIGTQQIWTITVTALLSIISLIKAKAYKILPLLCTFFV -TTVKQTIILINDKILRIFGIDVSITDTQVIESHFAYIRDDLNKIRLEIQSLKTIKKLNET -IEQNVSG ->YP_003896057.1 NSP5 [Rotavirus D chicken/05V0049/DEU/2005] -MMDDLDFNFESNLPEISLISSRAGTTYTKIDYDEDMLLDDITPSDSASSQDTNQRTFREK -SFKSSSMVSQCDEDDIASQEMNKLETIVNSACADEQQNIDDWNEYLEENSGIKIMEGKVS -TNEVDLNGVFESKILNRNSIINKDNIDSAVKKKANINKMNMHDTSSDEECNRNCKCCKKL -RKLRKRMSILIAESY ->YP_003896037.1 putative movement protein [Velvet tobacco mottle virus] -MPSIDVEVEKILHLSNRKRLRSVCVARKKTFVDKISEGFVCTLYGEYDHDYVTSVHLHIV -CSCGRAFFDFVEFKDIKLRDFQYQSQCICPTRNWSTVAYTDFTTVNCQVEGCDYCEGIES -DSDSDSEAIIEEFLQKFSEVGISGSSSSPQTN ->YP_003864101.1 protease, partial [Simian retrovirus 4] -SGKPASTTPGKRTEGPAPGPETSLWGGQFCPGQQQQSISKLARATPGSAGLDLCSASHTV -LTPEMGPQALNTNIYGPLPPNTFGLILGRSSTTMKGLQIFPGVIDNDYHGEIKIMAKALN -TIVTVPQGSRIAQLVLLPLLKTDNLTQQSYRGQGAFGSSDIYWVQPITNQKPSLTLWLDG -KPFTGLIDTGADVTIIKQEDWPAHWPTTETMTNLRGIGQSNNPKQSSKYLTWKDKENNSG -LIKPFIIPNLPVNLWGRDLLSQMKLMMCSPNDIVTAQMLAQGYCPGKGLGKKENGILQPL -QNQGQCDKKGFGNF ->YP_003864100.1 gag protein [Simian retrovirus 4] -MGQELSQHEKYIGQLKEALKTRGVKVKYVDLLKFFDFVKDTCPWFPQEGTIDIKRWRRVG -DCFQDYYNTFGPEKIPVTAFSYWNLIKELIDKKEDSPQVMAAVAQTEQILKSTSQTDLTN -IPQNEETDLISLDSDEEGAKASTIEKKKVPNDKKPKRFTTFLTAHTDKTDHNPDPSEVDW -GELEDEAARYHNPDWPPFLARPPPYNKATPSAPTVMAVVNPKEELKEKIAQLEEQIKLEE -LHQSLIVRLQKLKTGNERVSNSETTKDSSPVPHTPRWPGQHVPRGKCCASREKEELPPKD -IFPVTETVDGQGQAWRHHNGFDFTVIKELKTAASQYGATAPYTLAIVESVADNWLTPTDW -NTLVRAVLSGGDHLLWKSEFFENCRETAKRNQQANNGWDFDMLTGSGNYSNTDAQMQYDP -GLFAQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFSEFVHRLMTTAGRIFGNAEAGV -DYVKQLAYENANPACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDF -LNNKHKDKGGCFKCGKRGHFAKDCREHINKPVEAKTPGLCPRCKRGKHWANECKSKTDSQ -GNPLPPLQGNGLRGQPQAPKQAYGAVSFVPASNNNPFQNLLEPHQEAQDWTSVPPPTQY ->YP_003853296.1 3B [Passerivirus A1] -GAYAGMPLVREGTVYHGAYSGLPLSSAPASTRPKASPRPLRQ ->YP_003853295.1 3A [Passerivirus A1] -AGDEVPISKAPTCFTNPSYIPGSTEDLSWDPTDIQSSVPTPEVVAVKSNDIGLLKKIWKY -KKPLIATATFLSILASVTVLFTSLYTTFRSRSQPQ ->YP_003853288.1 L [Passerivirus A1] -MAHRSRPFPADPKTETQILNHLHFAITTLHRDPNYTPPCTTRLPVRIHKAAWAFHAFGYR -CTLVGFEPSTPWTLKEVKQVLPETLFQEECHAFPLPSPTIQGFTYLTPDPIFADVLRRVR -SGDFTVFQSDNAKVSGVDDDYTLIYSFGPHTARYTIPRSRPWLTSMEFVPSKQ ->YP_003853307.1 3B [Oscivirus A1] -GPYNTIPHMYRRPEKPTSRLKMEKAKYQ ->YP_003853306.1 3A [Oscivirus A1] -AASPEAQPSPPGFFRSVKNTFSVLVGRNPEACTLEEVQKLKKRANWLKAVFTGLGIIGAL -FAMWKAIKPSDSPAEPEADPEDSLEKIPESQ ->YP_003853304.1 2B [Oscivirus A1] -GIIENTRIAAESVSYASMNLERALTQERLDKISEISKSFMLASENVHMAVDGVNAALSHL -VPVISSCDEVKPLHGLAQSLVTWIVRIIGILVLLVSNFNLSTLTGVFLILSADFITKVTF -EAFKDNPFQFIGKWISEKLDLGVDVDIEPILYVKEEEDPEEGPSGQ ->YP_003853303.1 2A [Oscivirus A1] -VVSKVVEFSDTPELLGQVRPGAAPTHLWREIWLYEGPLSFALSDGSEHIVVTNKIFPGDL -DGLVRTQKVPLVKFLQLRSLIGVTLVTSRAEFLDMLLNIDGCSPQTQLGVLDFPPTGQCV -GQ ->YP_003853301.1 VP3 [Oscivirus A1] -PFMVRNLVAPEGLATAQPGQEFNAVNWSPIVPEPKWLAGEYTHMSQIAQVPSCIGILRWS -GGSAAGTGTIIYRAPITTSNLVEMDTWLSFAMQFYTHWRGSILLNLTFCGSRQQSGKLLV -SFLPLSTAGNLSLEELMSGTYTVWDVGLNSTLSFVVPFCSQTAWKAIGSASGLEFSQALG -VLYIAVYNPLVTPYGATTAPILVSWSAGSDFQLRQPAVPRLLAQ ->YP_003853299.1 L [Oscivirus A1] -MARVCANTTPVRGWYSAPYFPIPSYAKMKNWFGFMDVVPRVDLASSIKLACGQ ->YP_003853318.1 3B [Oscivirus A2] -GPYSSIPHHFRKPTKPQERLKMDKAKYQ ->YP_003853317.1 3A [Oscivirus A2] -GTDDSPTKPGFFRSVANTFAVAVGKNPPVESMEEVVKLHKRAAWLRAVFAGLGVVAGLLT -MWGIMRSGNSSDQEPESEGPAVSQ ->YP_003853315.1 2B [Oscivirus A2] -GIIENTRIAAESVSYASMNLERVLTQEKLDRISEISKSFMLASENVHQAVEGINTALNAI -TPILSTSPLIEVTEGLAGKIISWVIKFIGFIVIIASNFSLPTLLGVFMLISSDYITKISF -DLFKNSPFQCVCDWFCEKLGIEKKISVDPEIFHDPLEGPSGQ ->YP_003853314.1 2A [Oscivirus A2] -VSTSTVGYSDATGQGKLGDTPVHVWRKCWLWEGITSFAISDGNTHIVVDTTVHLGDLDGL -TRIQQVPLVKFLQLHSLVGVTLVTTRAEFLDMLLDSEGYSAQTQIGVLDYPPSAQCTGQ ->YP_003853312.1 VP3 [Oscivirus A2] -PFVVREVVGSSGFANTQPMQEFNAINWSPVIPEPDWLAGEYRQMSQVAQIPTIDRLIRWY -GGSSNPAGTILYQVPITTATLVENDSWLSFAMQFYTQWRGTVMAHLTFCGSRQQTGKLLV -AFLPLGTESKLTLEELMSGTYTIWDIGLNSTLSFVIPFCSSTSWKSIGASSGLEFSQALG -MLYIAVYNPLVTPYGAPNAPVILSWSGGVDFQLRQPTAPRLFGQ ->YP_003853310.1 L [Oscivirus A2] -MDSPGHTPEYHIFTRRNTAKRTKIDCSLPSNTKPFSGWYTKPAVPIASYRKTFNPLDPYL -AVGQ ->YP_003800000.1 proline-alanine-rich protein [Spissistilus festinus virus 1] -MSGGDLSGPGEPLIQPPPVPIPLQEDGAGSEAVPVAPPTRASMEASPGEGSPPSSPQGQV -QLTGGALGGGDPPQAPLWGAQSPKGENPTSRGRSPPPRASPPPESPASQPLAPPPSEPNP -SIQPPPPSPPPALQPPHDPQPGPSRLPPQHPEPRPPRSAGPPPSARQQQWYTGQTLLYNS -VPTGRESWWGAVARVGEDLGPAVVAIGLGFLQPELLPILPALTAEAEAEIAAATDAAAAW -AALRSHLARQEAEASVPPPENLVDALAWYRERVGRPSQHPRLAIPPHRLAVEAATRVPTP -TNALGLAITRWASHLFHSHPEKFTKVVVGRNMFGSSVEPHHTDPQDTRFGRRDKQSQPTT -VTLGDGSESLWMKLGEHSIHSANLTANLLVDETDISQGVPDFCSKYGTALRNNDTNFQHV -ANVGLQVGSGVALSTSGGGYDAPVNVWGTVLCTHNGPIPLGDPVARHGLHVHGGPAREMG -RFTQTAIASLLQSGVPSERFVGSAINPNLAITSLPALKNLTASIMEGWEYYDNSLLYAKL -WHYVMLNDFFSTFGIAPEAQAFPQGQGPTWINVTDANLDIYSIPNAISRRDLVFIEGQDI -FEVASDLQLIYWIVTSAFRYDGPVGAQTPHQCYTEWPQIGVTVLARRAAPAAPAAAVVPS -PTIVSFLTRMATRRNEWDSALKGLYLAMELFGVRLNMNEDEYWPIRTCLSAHNPWVPKVA -DYNFMFRLLKIFPDIQGDHKPEVGAFISLSASTRTRVVALYNAIMGAAATTVLYDLNITR -SLLVSWCTGDVDTPPTFVQIMTEGLNQPQSGNDPQECTFFCQARAAFPKWIGANAASNLF -PEDTWNGDFNNNAGAEHAYEVYNAAITPANFTPLIILEWLKVLPFEWGICSARPTLNLRE -EIRLRGLAAAVGWYANRGSDLYRERAVGDFPMKVVAYGVQVVNALAQGIRLAAVPGVGRQ -ATIWEPYGSESGANPPWGPSAAVPAGDISYAADIHTLRPCSLMSFDYATDSVWAPTLLGA -ALGPGEVQRLTCWTGQTAEMSGVILPMVGIQAPPLRLPGRLNLLRIGGVRARVPGVDNVP -QGAVEVVAPDALAANPAGNPQ ->YP_003800002.1 proline-alanine-rich protein [Circulifer tenellus virus 1] -MTPPPSVPQPPAHSRPEEGAKAPQTAPLGATPPLQPQGPLAGGAPSPLMGEHEPGTPSIE -PNPTSRGLQLGALDDAPVPNLLEHNDLDQPTQSGESAEPPHQGALGVEPPLQAPPPQEEG -EVRVVRHPSPSRSQSPDQPPPQPLPPLTQSDPITPPQSQPPSSPQPSRPPTPPPPSQPTQ -QELPHPPLPQQPHPLASRSAPHEKLLFRLIPTGSENWIHAVAGVAEKVAPALVAIGIGYA -APELLPFLPALSSEAEAAVLESAEAASAWAALRPSLIEQVNAQPPQTLGEALRQFQDQVG -RPNLVRAPDQPLRLPTQAAEMRRKDVLASAPKYIQLMRQRHPYLFSNQVRHNNLHSAGAD -HHLRRREMPGARRVKPTHASPHRSLWAGEAQIGDHSIHGAGVSEELLVDMVFEGSATPNF -FSRFGTTLRNQDGNFQNIAAAAGVANSGFQIGSGISLAANGGGLEPAHNIWGTVFPSHFG -PRAAGAAPQGRHDIVVHAGGPREIGRFTASAISSFIQGGVPSERAVMSALSPYLRIPAAN -VNVARALVPLILSGWEYYDNSYLYAKLWFYVFLRDVYVAVGGNPPAVVPFPPNVHAPAWI -NLNGVNNVHTIPNAIDGKSICLVNDKDVFTGNPTDLQIIYWLTAAGSRLDGNAGQPTPDA -TYIQWEAINVTILAHADEAGAPQAALVSQSSIIDFIHRLATNRAEWSSALKGMYLALDIL -GIRYSDHDNEQWAVRSNLSPHNPWVPGPADYNFLLRWLLIFPDDPAESRYECNVAAQMAP -QTRARVAALYNAAVSTFTTTLLFDLNLTKQHLLQWTTGDAELPPMAQTLFMEALNQYDQS -TSPVEAFAMRQVHNAMGTFLGVHACSNLYPEDTWLGNFGQNPGAAVIYQDYGAQTVTLAL -LNPLVLTEWLTMFPFEWGVIGTQPTLNLAADVKNIGPPALQGWYAYRGSKFYNERVVGDF -PVKIVVYGAQVINIISQHNRFGAAAVPAVQRQAADYYGQDASGTTPSWGPAQAIPDLAGF -YDANIHIFRPCTVMSYDYVNGQVWSPALLNDNIGPGEAARLKYWTGQRVDNGGIFLPKVG -GETAPVRLPAQLNLMRIGGMKSRKANEDAKATPNQDKAGEKPAAEASGAAVNP ->YP_003717781.1 small non-structural protein p16 [Broome virus] -MEFPSFEWLSFNELKKITVGEVAPFLCDHECKAWMPFWIIMRQESHFEGMSTLRMVHLFH -EWVWMCLHENECLRNRYTLWFVECLQTRFGDLNNADYWIGRRFAKFVYDRCLLLQDDEMM -EIDV ->YP_003717780.1 small non-structural protein p13 [Broome virus] -MGSGPSNFVNKVDGASAPIKEHAIPSLTSDLKDYLYTIVTAVILLVILWFLYRYYKDKKA -RKKKEDILLRLYGRGLNLSRLDPSVICSLGGSAPNLQHRGLERTEDKLVNPFI ->YP_003620400.1 overlapping protein/movement protein [Chiltepin yellow mosaic virus] -MSNGFPTSPRRPILHHSQRCLSESSSEFRRPTSPRLSPALPLDHPKGTPSLPHLLRHPSL -RIRNHPPPPRCPQNHRNLPSLQPLEPPRLHSLLRHVHETLKVPKTSSHQPKLPRAHQLPS -HPRRHRSLPHHLHHSSNQRLRLHARRFDVFSTIPDRRLVSQQSKSGNSVLQSHLPSRVPL -HRSVSLPRDLHLQDIGSNTPLCAGISSRRLIQSAPSSPVLAKSELHISSRDPTLSHQAGI -LGPCPLPSNPKRSPPSGLNPKKSSPTPRTRSSNSTGSSAAKHCSFIQPITNNRESGILPN -SRLLGTSSSHLSPTTLETPSGAQCGLQRPLHLHKGCSHPKDIRPSRFCSHPKQQARILLG -DAKRLGQSTNLRAPQLPPPPSGMLPLFLQPNRPTQTSFRSTLASISFGSHPIPFHISTTP -PLIQFQIPSPNPKATFCISQEVCIPTFAHLLPPKRNTTPISTDAQNPIVPKASDLSLAAE -VSSNSASCEPSTPSSNPASIRVSNGNNHGTHSPPPNSSPSAKSDTGLSPTDNSHPAGPDS -SNPARQLPSSPSSIKVSTPMESTKLSTEFSPSRIPAHWRPLQSFEDSIKVLTSMESSKFP -SDFHSTLSPLSTSSSSPSSFLSASSPPRFPCYPTGYNPEIECCLSGDSCPLSTIEFEHNS -TRQRALERLKTFHLDCPYGWTECDSPYSSP ->YP_003620397.1 p104 [Providence virus] -MCEPLSLRLCISCKATTSSSESRGEELLSGSKSPPPPKPSLTQTLLRYLSSMLTHLGAAI -MSPLSVVTGRIIPSGNTILRASLALTSATCFYTHLRGYRYILRFCRTDLVLFTQSVLNLL -LQRIGLKSLRLSLDTTISLPFVIPQFVNIVDYAQLSQALQHTRTHTRSATFLNVSHIPDQ -LLRFAEKSWIRQQLRHVDLMGPAEVVEFQHWLSNRLVDLYPTWRPLLAALGLIALAWCLT -PVARVLLEEGEEKDPVVRNLTAVLRSHAIFCHRTAGTRRMLADRASAWLRKEHPNMEEHM -KPALVARAVALAMIPCREEVESLIDQQKNSASLWWVTNLLRSGWNSPWEWLLGRTIPTKX -QLKWVIRPGVCDPADPPVREKARIIEPELMTEQCDYPKRQMLSLQFPEPYWSLWAHEDCS -HNQLRAVKGRVLGKVPPVTPVATSMLLPVMALVASSLQPSTAPLSLEECISEFPQNRRRR -YATALSEYLSRGLTPKDATVKAFVKMEKLQILLKHGDPRLIQSRGTVFHLLFSTMTKPLE -HALMHVSDPETNLPMVAKGRNLDQRAALLQRMWRLYERPVSISFDLSRWDMHVQVPLLSR -VLEIYSQHVTCPLLLDMCQNLLKNVCYTNKGIRYHVDGGIMSGDMTTGLGNCIAVLVIVM -SFRDVIRKLATTNENSTLDVLGALPSSTATQDQELVRKLVEISPRLRAAQLRLGWLSILD -DGDDHVIICEKEHTWICEQVLPLWWTAMGHSLRVDGTAEDFENIEFCQHKPWNGATRLTM -VPNPAKVLPKSCTVTGKYISRPQSYLRTVWTARAILHMDIPLLGPFFQNNAKQLAGAPLM -EVVSSEFQHINSSLHYLMRYHASGMYLPAQQKVDEMDRVLFWRMWDISPTEQCKWETTLA -PKNTNVSDIDWPSGY ->YP_003620396.1 p130 [Providence virus] -MAVNTSGKTRLPQPASEDYTQYARNTLKNLNNVYEKFAVRGPVLALVRPAQFSKLVITSD -GGLQHSELKGIKVLCDPVLQRSYASGNVKAAALLRACLSPTLEMKESNSGYVVGDRGSLL -TCGDVESNPGPIGSWLRGVVPVIYRKAADASLVQSVVTKTGSELFAEASRRNAQVAEGIW -AVASRMKCRDMHKYGGRYSKLSQKQAKLSGRLATASRQAETRAHAFQKAWNVAHNAKLAA -RKGGLFALFGAGVVLSNETKPQVSCEPADNTASSVHPLVHETTRARRSAATGSAINTISG -EPIYPAMEILYAASELETTNPEYWGRLYVRAFKFTLVHFMQSHNLEFRKQGRGVAIGFQI -PASSKTIPNADLVAVFVEYVDALRCCHNVASECGDWSDNTVWQYNIKGITCAYVGDVLLH -SLERLQIHPSLLSNRLSSVYTECAEFATTEDWSQELTALTGHHHLSALCDPSICQYSGLC -ATLSSTAAYKDAHEVSYLLKCISHSRSVAAVRGKIMDPTTTTACGSNGTGGSGRVPTLAV -QQASRPVSYVAAVAGCPRSDSPGLVFDPSGESATGGGGGEGPSCTQSHGGSQESCNLLPP -DSRDAQDVGGQSVSMAKERAPEYGGAHEARTGSQGGGLSDDSLSGRGRVSDRPAKELSIP -LVGDQSPEVRMEQSVGVVAGKNNPDKVATKVGHKTGRLRPGGPTRSGKSQDNRTGIDDGA -VRLPEAADVIPTIPGTLLEPLGPRGLQPQPAASCEGKSAGKSTTGNPGGNVNVAPSDGTR -SVEFTAVNCTFELGRVYIRVPAEQEEALRDGTLRVFVARFDPKGCHGQGVCENGEVANTP -KTRGPSTHTVQGDSVPPVVLNDDQTPRARSHARKRSRNKPTNGGKGPQSGSASSPTSADV -EAVRTAGEHIVRPQQVGHARASPVTQQGAGDLQPTRDLPATARYVPEPTQERVLHKQGHT -IPRRWRNHEWGYDDGSRELHCSTCHSYVLPGRYKKACYHERKQHARRVGGTALKYGNPRS -GVSSEVSRDKSPSSGRSVETGVAKHIRRRRRPRDNLREGTHVDMRTSSPSVVDSHGSLPE -SGRHGGGFREHRVLPTQTMEWRNSAYNGPQSSKSFAQVVYGNREVHQQTPIVPPYGLDRE -GHPSYGYPAPWAVFPEQCQATGWSPPHGSGQLGIPTYQLVPTLPYEIPRQWHVPAGPAEG -RRDGQSAVLANVGHFANGAV ->YP_003620395.1 PIPO, partial [Triticum mosaic virus] -EKNIRRFRRLLQKDYSKYINRVWATWTIGFRLAQTASKGSRRQRERFKEYSQVIGKLLNE -GEVDFLVGTREWNDYMCEVPLCLSPALRELAIQFDKDYRIQRSLDGRHQVCEEQRAVYTF -RFSALWRNYSCLIVRN ->YP_003587924.1 protein OP-ORF, partial [Rice dwarf virus] -MLTIIRTLMAIDWLKIFCLRKSPKDEDQAHPMLDILPQTLDSVKKSSSRFPRKMLAATIS -ILEEEVTELVTELNNTTNLTAKKECPRITNAL ->YP_003587920.1 protein L* [Theilovirus] -TDTQTCALFAQPLTLLPTLNICSWQTENGSLRTFFVWTWTMTSSGLRTRALNLKQWNGLM -YRSYAILSWNPREMPRHLIRVTPSPQEMRGLSLITSIPINTRTQLICLPVVATLAMLPRT -MDNCPAFWVELQMLLLLWHLSSWTRTQRRWKTSLTE ->YP_003541122.1 hypothetical protein [Phlebiopsis gigantea mycovirus dsRNA 1] -MHSRPHARGGSMDFQWNFSAVTACTWMQATAILLSVSQAREGLKLSCTPSLLFPFLALFF -FSDFVILRFLLSVVAIVAATPVFLVGAFTGAGLAFSRRRCRRPSRAQRLAAYRLGHRRPH -SPRPRQPRRTRLRARCPPSHKKGRGVTGPTIKRSSRPGPRFARRANGGLLGPGVIPYSDQ -GWDNVLCPAPPVKKSGGDLGPTIKKSAPSAPCPAQPRTPPVRRETPRAYQLRRAQLAERA -VRRQEKWYEYLGRVRAREVFRADVQAFVSEFGLASVLLSSSPTKRALADNIGLVGIETNP -GPSAATSTDVASQVLSCTTSWLGRRSYRFTAFVGPIWDGDAYARQEIAYLRMRAVCHFGV -LLAGLLVLLLHRVVTSRPLVLSQLAPGDFLPRGRSLNWASERGKTVCQAWLVSRKSVLIT -TRGPESSNPEFVGTDSGVGGSLELGEDPSAGIAREVREEVGIDIDGCDVQVGAVEVDSGF -TVVWVIVYLADEPEPCVPASEKEKVISPRWVEFSSVDTSRMHPRTAHSFGQCLPKFYTGA -EFASCSSEAQATWLRRGSAGDMPPGLWSLRLINNRDGLCVPYAMQAVSGLEFDFADLAAR -VPPAGYDFRALAPNPADWACWSADRKAWVVGNPETAKYFLFHKMNGKFGHVDGLVRASLG -EPVSDTVFYPTGRGGFDNARDEFVAYAMDSIKDLDGPAVRCDTYRQKMGECLTLDETELS -NWLVSWHSANLNGGKGPSPNPQPPSPPTSRTPPPPPDPTSDGLGLPADPSSGAGDLKTGA -NITGLSAAEGPLKLTGSLDTVSVVADRRVQEVPVDVDSLYTQPELGTEVTFGAPASGYDN -LGRLLLVDSVADDVDVVSDLLAXTVTVYYGFKDRLSXFFGKEILSFIPGLNRHTEVIGRN -MVPGDYADKYSTTVKEFTAIFAEIIGASMVLGSRLTNWVTTVDEVPGASYAPLYERLWAG -WLAAAHGATLRAQGARNVNGHGGGNVDPHFNVVFPNQIGFLDVFDLSAAPVAGWPKAMGA -NAVSGTTMVPLRISGGPRYPTPAAGRDWRVVLYHLTSRYMDLESPASLQAPNLPRTRANL -ANAPSFFPMFDAQLPSLMFVCDTIHFGRNNAPFPAYAGNAAASMQNPDAWRDALVFMLQA -VGGSEDAMQAFQNVAERWAYFYPGDQTHLANPLANRIRRAELVYDALRRRLLHMRLVRPN -VDAGNVADPTTAASFKLYSTAAPAGVDVSRAALTAAAAAPGWATVRDVLGAAAAIADLPA -QDLTGLVLAQANGTTDAMWHQYFQNVTLDGPGSPALSEFLSSMPEADWESCRLWLSWDWS -PNFPVQARPVAAQIARHTVALRNRAGADLHHNDRAAARALSRGLDLSNEWTLPLANLVEQ -RTVVVPNGVRAMRSAKVSARIYHDDTLALVLARRLADQSFTSQGLTTRFALLAVQMRAGI -DAVTASVDLNASTLRLRTGEAQTGVVLIDRVLEDTNEYVRFGKMGDYHSAYVSLAGNSIL -NAGYPSKMLNEFGVLRRLEFADTSNFVYDTTDAAAATEKSLLLWRTLHPVTVRFFRPDVA -VQGGPVVKDLDLFGIYTQGAQLPWEDWVVNFTWRKPVAALGALRIVLSVSGAALKIRAIV -DFLPTRGRRVPREHEVLSNVSQLVPRYGIMGTHSPVLKEDLFSWYHCPLVFRLRVDEVNG -DLDWIRPAPIWEYGSVPRTCGTPAVLNYNARRVHVFASTTAAPNPNSAFPGQLGSWAAGG -LGRSDYIYLPGMSTSGSRHHYSGQDGLPTRRHASSESFFSVQQSGNYVYMRSPAAVAHAT -PRLIAGYGHNVPLAYTGPNGNAMVVGFFNGALAGMSQDARFGTWTEITYGDPRGQAALGR -DTLQRFYALVPVYMHRWERWYSKMGRDYLPVILSPRLDIVVSTPSTLEGTLTDLGSRALA -DSAAAKLIGGFRSQDPDFMPAERTTANFDPRIIDVPIRQGHIDHFLTGFSSTTAVGEQLS -TEGKTAARKKRLRHKADLVAKMSEELAEEGFV ->YP_003518293.1 hypothetical protein NGAV_gp10 [Ngaingan hapavirus] -MERGRIICSLFISDPEGLWDRVTGDFFISKLIPLINTSEIYDEYSKVILSILIMKSRYRT -MIGHSHNHLALDKFVYLNIRKDDNRKDMIIEVPGQFSFNSSVISFKFKIQFGLQYNPFCR -YKHVRKLYEHYAKKYKGICWEVFSNLYKISPVI ->YP_003518292.1 hypothetical protein NGAV_gp09 [Ngaingan hapavirus] -MVAWWTIIILLSFKIMDFPGVIATPTLFRSMINETDLDITSRIMNYNIGPFLEKFKKFQE -DLKSIWSKIKDKIEIIKSYIIILIIIAVIVVITLVTLKCFSRMITCYKSLTS ->YP_003518291.1 hypothetical protein NGAV_gp09 [Ngaingan hapavirus] -MLVPVGIPYKGEIYGGHLFFDSEPCARSAVVLMSRGLNPQDKIFLIKEILNDIDPLPERI -KNKIIKSIKSEQISTGLSNWDQALTLNIQTSLRFGSGSVESLDNPSKALKITTY ->YP_003518288.1 hypothetical protein NGAV_gp06 [Ngaingan hapavirus] -MPEFNFLIRPYREHIPMGLCEVAGDAFLKTIDALRFSSWIPYLCSNEAKAFAVVFSPNLE -QKSYYYDYTYKDQMYFIQLIY ->YP_003518286.1 hypothetical protein NGAV_gp04 [Ngaingan hapavirus] -METLKTFAVMAINTNESDDVDGLIRMMLRKNRYKVKGWNRISKLVGEWCLQSLEDVGDGN -MVGTFSASIDHPISIQFLEHKRLEETFYSIYDTGTCRIELFYKIIRWLDESPESHQRIEN -FWVDNHEYHNVSTLQTAEMLNDLIIG ->YP_003518285.1 hypothetical protein NGAV_gp03 [Ngaingan hapavirus] -MSTKICSPGILSLNHFPHGNFCKVTICSLGDRQVPLKRVALEVYKSDLSPSDKIKYIYHL -YAFCKPHVNEIKPKIHYTVKVTRYPDASPTHYEQIQVGDPNLLIELSIHTDLPNLYADGL -HLTLNDVFLMFHT ->YP_003518284.1 hypothetical protein NGAV_gp03 [Ngaingan hapavirus] -MELATVTVRVTKIPGLDKHPDLPILCEQIGEAAKNSSWIYPIIKTAVITSLISTSKNKKN -SDILIAENCYYFGSVHYTPLLTSSWNNWNYILHFEGYILIAEVELTKGLISTSKHTLCLR -DVYQDLQSRYSFLEPLSAW ->YP_003518283.1 protein P'2 [Ngaingan hapavirus] -MSCKMHMEHIWFAKTTIKDMIQKNLTRQIQSHQLPHPKPRVQHLGNGLRCWKMALRSS ->YP_003518282.1 protein P'1 [Ngaingan hapavirus] -MRRHLILNSISPSQTLMRKTKMNGEQTRPLLITRMTIASTPFIGPSQEDSLPQKSVKLHE -GWLCSLTS ->YP_003518281.1 phosphoprotein [Ngaingan hapavirus] -MAFSYSTKSKIPNLDWEQFAEGVKETVMAESEEMFDAPTLNSKLHITESDSDEKDEDEWG -TNKASIDYKDDHSKYPFYWTLPGGLTPSEVSEIARGMAMFSDFLNCGKKISLDVVQDAYG -THLVCQDHNKGHDTEKPDKTNPIAPIATPKAQGPASRKWIKMLEDGIKIKLITGRVTNLK -LSNFRNRKAVVELLQHGGDQLDFKNMVKEVLKLEGVHKKLWPLIDHNQLGE ->YP_003475892.1 hypothetical protein [Olive latent virus 3] -MSSRPCLFQPLRLSPNSGPFTGTLNCVRSRLSLSPQPHPSQSPSPSLALGQSPQSLPPPA -MRLTTSVVDFSPSAARSSSLPPPTFHVTSVPSTLPSAPAWSSATPLASLSPLARSPAPPP -TPPWRTSSSEEFFDSLVHPAPSLLDLQNQDR ->YP_003475890.1 putative movement protein [Olive latent virus 3] -MTPSCISPPPRSSTFSNVLLPWRSVSLRSCVPRNQISPTSHSTQNSIASGPSATPSLTNS -KGIQPPLIPNLNPLLSGLRSPPSKAQISHSPSPSWSRGARAIHFSSKEGSFHSTRNTPPK -PSRPPRLSSSQPPLTSNRISDTAWSQPEFTNLSSFTSEQFGRCELLTLQAMSAPNHPNPS -IRGSPRLLGIILPTLHFSPAPIDLEPDTTCTGAPLTASWAGFELTSSPFSRPRPPLPPLV -SPPALFSLAGLFGCVLTLSNSLTDGSSNPHFRATFHSSCPRLSNPRLLSSKPNFFPAMPH -PASSPNSSTPSSRKPPSCDLSSQMLQFPPGLGPSVLPALPYQSSPCPSDDSWDPTHLKPS -LTSTSLTSTPSLGNSSWSRGPSSPILSLSPPIVNPFHRPAQTPPLLPP ->YP_003359174.1 3B (VPg) [Enterovirus J] -GAYTGLPFTKPKVPTIRQAKVQ ->YP_003193669.1 hypothetical protein [Phytophthora infestans RNA virus 1] -MVSEVVLVDYSRDRHAVTRAAQWVFSLPARLDGVCPWVREEECSLLCQVICFFRWLAEWK -RWVACLLPSNEVRGMRTEIGVQEAQLGVNSPINRSWMELRSLAVFVSVIVCGVILSVIGF -LRHRRALRSTRLLTVMGLAQLLIVTIAGMTVTLTDFLCVISLMELVTLEMAVLLESFLEF -FEMRRNRLVPVSIS ->YP_003193668.1 hypothetical protein [Phytophthora infestans RNA virus 1] -MRQLVCCVLLCLALVQLSSSVNYYGVGSGVSGLFAGSSCRYACSAVGFLIASTAGRGVSV -GARGGVFPALSGYLFLQMAGGVEALGGVPSAIERGSWNANGDWSPGSTAGRKFSDQPKLD -GTKEFGGFCERHSLRCYTVSHRFFASQACAEIYSTTDRYGFSPVTHRDYCRNDCYFNRFL -VCDQFNGAGYFRNGSLAGKLFGVFRNATKSAGARLDKLIETGEFGEIEVLPDEKEIERVW -ELVFPLVPLGLVGIIAMLKFANVAPVKILLITTLFVLITLGCDLEAVLTICAVVMMLFTM -LAPARNEFLNSLSVTIATVILFVSIAFFVDNQIVVIGFGFLLCGGLVFELFKYARSTRAA -DSTFVLFFVCLAIYLIDYVKIINETKGVSNALLDCLHGVGAIMVPYGRTKSVLLNDLILG -VDLYRWILRFHEFPKIIAVGFGFVAFGFFLAIRAVVAWNSVPNLRTKYDLGNVMTGLYVY -CIDVFQWTWLFYYIIGSESWNYKRGFYSLFNAILIVAEFNYALDFLVVRFMLWVGEKVFF -QTGYLKMTRLLNAGCEIELDRKIFPVKGAFPWLDVSNLLNIVDNVKGITSFANGVTRAGQ -CLVRRDERGMTNILTVDHVVGLSKDVSVGGVGVGLFETRSYKGVDPVIALNANVDGGTDV -PILSKNETSSVKHLIVIHSDKRLCFIDRFKFGRVGEIEAVVNLRAGDSGGPIIGVLSNGE -FRYVGAVSRGSFDDGSANFISSVLHEGGSYSPGSEGRFMDRFGNKMAEWEISSKVTELLG -EHAADFELYERKEMSRDSFKRAKGKSRAALDALISVGGFSDKETSEIRGAFEEGQIVRFN -MARKAAE ->YP_003193667.1 hypothetical protein [Phytophthora infestans RNA virus 1] -MQINVGGRELCAIFVGLICWMFICEFIVNEYEQFYGTEYTVETSPMCALFEGCSLNVESF -LKNEDVRSIMITALFVGKMLEMLDITVKFEFSSAEEGESVFADFNRDLRDVRRSVELKAS -SMKKIIADFRTKIRSIVYLGVSNIDIPNVHAMRYPIDFFMSRLYRMKFLKEYEESREKYR -RVNPTLAMKLAQLLRYDNEFLLESNVAEALKESVEEVLEEVFSNPSFEEVKIELNGRLNS -GMAMFDDINVNGSSAAGYPYPAGVKRRDVLEEAKASAYDLYNDSDLFEGYMSDHRWYTTG -RAKLVKMGKPDKARLVLYSGFSYSLLGFVYSQVWTGFMNRQCRGWSAVGMSWMNGGAAKV -ASFFEDCFGIAVSGFEYMTLDVAEWDSSVCRELLHACKRFHMRVLERTLSPENARYKEYF -GRIYDEMIEAKVVLPGGHSFRLHHGMKSGWIMTANDNTLMHEFVVRTLQKIGQIPDMKRQ -LYGDDNLSRKPIGMSKQLLVDGYGMFGFRLSHIHVSRRLSEVDFLSKFIIFKDGFYFPWR -EQTETHARLLMPEEFDPNYRIVPDSRVAAEHLLGHLLDNPFNANVRHVCFTLLEYIKSNY -GVRTINVEEFINTRWSFMLRDIKRLCGEIPTVPSVEFIQELYGVFSEPVSFNWAGVGEYA -RKLPKFSFISKAPSAEPFFRSQKAAFELSVSEKFGHRKTSALNRACGYKKMPLHIFGQAG -GKLIEIINTCGLKCESVLDLGTHPGAAMATLQSMHKVKSATCVSLFPEIDKSKGFCPRVL -RGKDFEFFEMSAEKFKPTKHYDMCFDDIYDFEEADRFKRAEQMFGHVDRHFAGALTRAEK -FKPFCGSYVMKVRGFTPLVLKGLYDLYRMWGYLDIRKTFYSNPWNPEFYVVLVKRHDQYV -RRSTFNGAVNAYLNGIAPRLSFFVNARAFNYDRMSLGRETLNNPLRDDETVQRAFDAYAE -TLRAQSFNDDYPTEVMF ->YP_003288792.1 hypothetical protein [Fusarium graminearum dsRNA mycovirus 4] -MREILYHMWEGDMHGLLRLVTRTLESEAESDNGDEEQWIGSTMVPGYPALPARRADYGRP -FLDVLRWATNAAVAQGYATAQRIVEQDPDQDRELECLEVVQDAMVTGDGRFRDQWVFL ->YP_003288791.1 hypothetical protein [Fusarium graminearum dsRNA mycovirus 4] -MADMRQMFAGATRDVSFNPIPGLENSYLPIPAKTDSLTQLSKKMGDLRKVVESGHSLAVA -TGMRGAAFDTVNPEEKAIAEMGVAEKEQYLAWKGGARLSDFDPATCHEPTSKWNGSIATL -DKWLRGLRAMYADEELQREHAVWFAVRAPFMVPTITAHVKVLTAGKSMVDQHAKLRPERM -AEYQSAKRILADAAYRVQVIKREINKLTQEIGRAEDVVLTRVRHYQQKVGTKEHKEAPEN -RNRKRRGMPALGAPTDLGGMTGTGREARRRRIDLGPQLGTSPYSLRPWLGSDHPSTTHLV -PPLSPPSMRRIWAISSRTPQCPPAGGSRSRPDCRHWEL ->YP_003288765.1 hypothetical protein [Rosellinia necatrix megabirnavirus 1/W779] -MLARALCSDRALACLKSLRAGNVARIRREIAVVVKVVKCCRLLVERVARRKVSEGVPSGS -TDVVPLEVSGLTEATVFDHLLLQLVQETLLPSPVSGGAVGVTHRTAEEAAPASALQVGTS -AGTDVIPDVVLTSVVSYHREAVEHIFVAAEARAGTREVQLLLASVDESLVRAVYPAGLTD -PVLTLLAHDYADSDIGQPFTLHYSELDLGCLSSLTPEEETGDADDGY ->YP_003240109.1 VP2 protein [Epizootic hemorrhagic disease virus (serotype 1 / strain New Jersey)] -MEDINLTIFSDDALPHAVVVDDYVIAIKRNPNGTFLEPHQMYDRYTQEFLQGKARDVLRQ -NGRDGYKLIIPEALSTGIIRYENKTKGAQSEVELENQLRSSIRHQRVKPRMDEAHRKLQI -ELRGGQILLHPRIAESIEFSIISKENATCSHTPVNCAYEVLLSGGINVGTGTCYDLSSRL -KLRVIGDVDRHRRSMQNVLGRVIHTGDPKIINRVNQIGSQQFIDRAIGPDKFELKREIFD -RLKALDVDVRKVIREEEASAELDEMGRRWMRDQNVNIVNDIIQSLVKKGSRSEKLAHRNE -QGMQARFRRTIATNLRDQRQGKEVLNIRGTRDQPEEKKFAAVLLMTGCDIVERAIWSNEE -TAILRGLYAYAHDKLGCVYRAMKKDFVWSIRPTYTDRCAGVCDRKRTIMVREDYFDLQRE -ENDSVYKWIITEWDKNDVIISAKNGYLYSKYSGEDEDDILVHEIDDRLYTAMIDRILING -WIEKEGLSQIIKEEVRLESFDFTKDAYVDEAGFLVLPEYYDRVIASNIYDCKFKISRVSI -TSSSNDDPWDKKTADSIIDEQCLWKIPLPNIIDVRPCFRGDLLTSNSQEYSKRFSGIIDE -LKKDKEIYDDFIPVQEGVRPCVQGHVCRYAFYRQKLTIFTILKRYYPIERILELTDEEDY -EYNLYLDKECYKKESLILNLRSIFSLICFLIDFGYEGREITRGEDEYLKIFNEINYGGHA -RKEAINKYFPQFYQRLMRVRTSENIEDLLPLAFYQALLLSDPCTDNSEKSSHPLILFCQD -KVRVVPIRTATQERGLPLLCCIHIFKFHPGLQMRKKELEDDIKKTLPAIFDYWIELEMKR -LDTGDRLRTRAQMVELYYSTNCGGSYETLNFVFPIVHPNKGFIACVISSKGGMGALNEDD -VRRRFRRIQSSIQGIFSISIDEEMEIQLHHSGNIQARILEKVFFEHKWHIVQVKLNGKIF -ENHELITKLMN ->YP_003208056.1 6K2 [Canna yellow streak virus] -TREGVAKEIGLQDCWSKELMCKDGLIAFGVMIGGILIGWKCFNYYFQMDVEHQ ->YP_003208051.1 P1 [Canna yellow streak virus] -MAGEWTFVVDRRERRLTDEQKILRAQRKFYAKHRVYDAKRAREHNARLLKMSLPELEIAT -NAKTKIDVTRDRNDEVMLHTVVKPTKAKAQALSVKRVYSKFTEVDVSKFAKILIDQCAAH -KIVVEFIGKHKKKRTTLRPFYFGTKVLLKHNTRHEAGQMVRNDSPLRGADYEFLHQIVNQ -YDIVTASELGNFAHGSSGVLFEHPDSAELFSIRGRCSGQLVNALIPTHGHLSLIDHY ->YP_003204962.1 p27 [Tomato infectious chlorosis virus] -MDSPPRFVSVDKNEENDVILNFNSNFWRVYSALSNDAIMTWDELYMFKQLCLSLLTECES -LPNNLILYHTNTMPHEILGSRRINDTDIDLHSSRYFQNVTKQVVRFLLEDYLIIINMKLH -MKKGLLDVLDVDDILRMIKSTNLEVLKSIVLSYICSSFGECKLYCRHLSIIFEEDHRLKS -LLKLFKKNKSLTSKEIGVIRATINNGIIGKFTIKRSFGFGIPFENINL ->YP_003204960.1 coat protein [Tomato infectious chlorosis virus] -MENLSGNANFDETNTSRVNSDGIGSHMEHDDDDRSVNGPPSDEINNHTTRSVHGRDHTSG -NIGDYSKADLSRIMVKVSRPDAMSESDSNLYKEVIVEYLKNNCTGGAEPDKVLVVAFFVA -LCQYALNSGTSVKAISDRTVDLSFGYDNQKYTVKAGHFLSYAQSRTSGHPNALRRFMRSS -LETVKQLQDVGLIYSNGVVAAKHGVVKEFRNSYADFDTGHLDRMSNDDLAALMLAKCHAL -KKSEGNSRTIYNTVQLADMKHPC ->YP_003204959.1 p10 [Tomato infectious chlorosis virus] -MDFEILIKEKSPEIFSVYVNYIINSYYNGLGPTSDLLDLINKNFLTFSSRECEIDSCIED -FDIKYYFRCLEYCKNGKLIW ->YP_003204955.1 p4 [Tomato infectious chlorosis virus] -MAVVFYLLCLFIIIILFTCRISIKNIHSVQHRF ->YP_003204954.1 ORF2 [Tomato infectious chlorosis virus] -MTTVPYSFTIENLDVMKHHLNNLECVGSINLLQIGSNMYNLMLMKHYYSCEMKDPTVVAN -DFITFMKDYEFIGKMVISLKLENLCPHSPWMSGRIASIKEELFDNNNLLNGTLDIPKTLA -QGFLKLVGTYAIMLDFDVNMVSTRISEDTGFAYVDHGKLPKKPSMVFNELKLTNNLNVVV -DTSRWSDTGEVVVSGHGINVVGVNKSRKQLAVNKAKQKYVDEYERVKKSNNSTKE ->YP_003199424.1 sigma-1 protein [Mammalian orthoreovirus 3] -MDPRLREEVVRLIIALTGDNGTSLSKGLESRIAALEKTSQIHSDTLLRITQGLDDANKRI -IALEQSRDDLVASVSDAQLAISRLENSVGALQTAINGLDSSVTQLGGRVGQLETGLAGLR -ADHDNLATRVDTAERNIGSLTTELSTLTLRVTSIQSDFESRIATLERTAVTSAAAPLSIS -NNRMTMGLNDGLTLSGNNLAIRLPGNTGLNIQNGGLQFRFNTDQFQIVNNNLTLKTTIFD -PINSRISTIEQSYVVSAVTPLRLNSSTKVLDMLIDSSTLEINSSGQLAVKSTSPNLRYPI -ADVSGSIGVSPNYRFRQSMWIGIVSYSGSGLSWRIQVNSDIFIADDYIHICLPAFDGFTI -ADGGDLSLNFVTGLLPPLLTGDTEPAFHNDVVTYGARTIAIGLSSGGTPQYISKNLWVEQ -WQDGVLRLRVEGGGLITHSNSKWPAMTISYPRSFT ->YP_003126907.1 unnamed protein product [Japanese holly fern mottle virus] -MAPKALPKNVPKEYAFIGPKLWPKLQEAISYGFDIRKARDDGMTLSNLPFVEKEKVLNAF -LKSKRKSGSSSSSTTGKVPVRDVPESSVARKEKPRKQKPTGVFMWEPASLIGVDGDPGKF -AALPHGKSAVLLSFKPPASPCMISKMLIMLKVDATADLVVPYAGITGIDHAGDLAADRYS -VMVVNSRYPTMKLLEADDKISYRFTFDEPVSSDLFSRIRFIVTTANVAKTKSWLYCQCWY -KYRINKTPVEKGGRVMAVRFDAGAV ->YP_003126906.1 unnamed protein product [Japanese holly fern mottle virus] -MKGASGLRRLGFRAVVPAVVVGGAVAGTVVHRALNNRGGDGENLRDLRELRPLEVEEFTI -SSGDSVAESIDIDSAAVNSAADLVPFDAVLEPEEPVTLPVSNPRRIWSRLFAAMVTLSVP -LAGVFAVRHVTGQGSVSAGDDLRPEPFGPRDVPRPDEIPPHAAVEEGDPVACLPEDSRRF -VKRCLDHLAYFELVGVCPFCERDGLKSISFEAVVFEEVPPLFVGKFELIPSAVFDPHFWE -PLCYYTLFQDMLVCKCGKHDRYHVVKIYPVMDVHPLNTVYMLFIVKEGFDVDRGFPVMSS -YGYHVGWAFEPLTIPLEPFDGTEPLPLHNETGR ->YP_003126904.1 unnamed protein product [Japanese holly fern mottle virus] -MACWMCSDMLSLKASPVVDGWFEVPSPKPCRGFRSCAEHRLFISSTHLPCKLSSLSQLQV -EELSKALSISPLWLSVSPSFAKHLHLHYFGISELPHLEKYLCVKDR ->YP_003104772.1 coat protein [Cassava virus C] -MVARSNRRRRQNRQPQGQITRRRNGGGNNNNPPRSIPRLAGTVIKESIFESSFDLDLDKG -ANKLKVQALAFGPGATIGWTKPPRTIAWKLKTMQLDWVSFLRPTKHAGELKICLVPGRGL -NGLSKDQTLALAPAEIARSNSVRTIRVGGTLSRNPWSGYSQWVEPPKGVSNSGEGENVAY -RVVFDPSNVLGTDEGEKLLRVYFKLVYQVQEVAI ->YP_003104771.1 putative movement protein [Cassava virus C] -MEEFPTIDNRIISADLALPDGNGNLAISIVEPSSTLCLKKKGHVTYRTLMDASTSCQPQP -LIPVSIWDRVRLSAHTAAHRNTYVSYEEVVAKWVPSCLKNEAFGQFAVVDTRLQADDLED -MLRRAIWITDEVDLGRQYTCSGALPFCLPVSSTDRGTINDNPIKVVLYVTRSGYQEAAKL -GSLTTTTKLALSTSPIDLVNTRPAAFVEKKAALKIEGTRGGNLPSRRSSVETRRDRYRSG -SARWPARDRDESPTPGRRSFDLPNRLPVGGGTVPAAQDPDCFSSAGEVG ->YP_003104766.1 hypothetical protein EMARAV-4_gp1 [European mountain ash ringspot-associated virus] -MESNKMKSITKMTVNTLQMDSCKAAAKRFKNATWELISNDFNLDIMNMFCILYYACTSHE -LKLDELVFQTAHKVIMNEVSVSIGESRMFIRIYVSFHMLMGDLNELLHHGISKFEGSPIK -DMTSYLIYGEEYVRNLFQSINSTRATPVYQLFITKVPRQFPAITHGDSEYTYMLMVHNYL -STQSIAGVSHTPSNSDNYVIRTAEEYVHHHYAQLDRQASDNKMRPESSDQME ->YP_003097233.1 protease [Tomato chocolate spot virus] -ILYSTGSGAQQCNQLFTLYRNMKDCTSQAFSWVLEIIVDMFGFKNPILSAISATLATDLF -EWMKEVD ->YP_003097232.1 helicase [Tomato chocolate spot virus] -EPFLKAYSFLATMYKSIKDMVLKLFEGLSSKIK ->YP_223923.2 ORF 4 [Fusarium graminearum dsRNA mycovirus-1] -MSATSNSPGRAPQSVHSEHTDAFFLKKEIDSLAKEQCNFKKQSGYFIRKTEFDELQARVD -QAMINGSTTYDPSTLIEMNQQLTDMTARAERAERMLRDAEDSAKENATSLVDARKALHEA -TEAAKHEKTRLELEKSALKGKLGPLEDLQKQARKQASDPEEVAARTRLITELQSQLAAVN -AAFAAETAKVRTSHAKVTELEASLNCERLKAERAQKAVFNPKPIIADEPLKPLEPFEADL -AKDGAVLRRVLSTESLALLHKCAEVRRENTREYAYSLLEVAKRRDPRNHVGYRDYAKMVV -QKIKSTSARKRAKYQPLLDSLYESMAFENTLKLKEIKATYRTLLEPQSKESEANSEKVQA -GAGSWWDDLLYDAKLLFRRAKVEFLVRTQNPETTGWFSSLRAKTWAFCGKLKSILPFSWF -SPPIPATNA ->YP_223921.2 ORF 2 [Fusarium graminearum dsRNA mycovirus-1] -MDTKDTLSRAQEVHTNSGISLFIADFNLKDLQALLGNTPRGADGESSAACLPETSSNVSL -DRQQHAIASSAVGKYTGVSEPDLTSRLARLPEWFLPRIAPAVSSGSKALLEASRASFRED -RERAKAADDCGPLLGDIQRVLSFLSYLWKRALHP ->YP_003075973.1 p25 [Carrot yellow leaf virus] -MKLYISIYEYIALVSEISRLLDLVSECVSGVTYDKFKEFRYRFVHLTMLIPSLKSDLNDS -LRDEGFSMQSEKKEQLVLFEKTCSDVQSKLRRMIVQSYSISSPLDLVVFFCKKHYEMRCC -DYSTIMHDKVKPSCDAVLRDISNTFGVDVSPRSFENGGFLTLKDTSFRHLFKDCFGVGEE -EIRRLLNERETGSSLFSVEPYVPKYVSEGAESHI ->YP_003075972.1 p22 [Carrot yellow leaf virus] -MEKLKILTSSHVTTPKRFDHRIIIALENETNFDNYFEATLFLKHKEIDAGAEDLPFTFSF -KDVVFVSPYSLIRAEGGLISGIEIGTDLHSTVIANISTYMEANVRPLRIVNEIPCCESEV -VFWFSPHRNITFEVGNGNTNPLTKRSFILTPGGWSNLSFTGNIGQCLRFCLTDEGVGKEI -IKIFMYTSTLCTK ->YP_003075967.1 p29 [Carrot yellow leaf virus] -MVETTVYSFDAASGIAQTRIGDFLTILLKNTNVPSSPNRTRRWCVWLSLHDKLYEVVFER -GVSSHSVYTVDRDISRGGDIYGETRLEVTSFNTARLDLVVLNSVVRVFVSVDGKSPVNFE -TSFIPDHIGFGSESVFSGVEAPDDLQCYATTSYSSSFNFKPSAVEKILLTHSPPNLSIFP -DSAGSVSRSNERSEAHHSPIAISIDEEDFDVSHFHPNVHTKRHAEGEEKQKRKTELFACR -NLWIMTIITVFLFILVLVLVSRA ->YP_003075966.1 p7 [Carrot yellow leaf virus] -MDSTLKFLLLFLFCWVCLIFSIVTFICIYLGISTFFYRLPDPELAVSANSSFRAPASARV ->YP_003038642.1 3B [Salivirus NG-J1] -GAYSGTPVPKPRKKDLPKQPVYSGPVRRQ ->YP_003038638.1 2A [Salivirus NG-J1] -SILLVNPDEEYAISMFSHGDESILQYSSRSGTRLTPTAPAFFLCAAASVDTVLPYSISQS -HLWLTDLTGIPLRAVPPLTLFLSAGAALCAGAQTLIAVAQGGSTPETPPTPNRALLRRQ ->YP_003038634.1 L [Salivirus NG-J1] -MEGSNGFSSSLAGLSSSRSSLRLLTHFLSLPTLPVNIYLNARRHSGWYRSPPTLPVNIYL -NEQFDNLCLAALRYPGHKLYPSVHTLFPDVSPLKIPHSVPAFAHLVQRQGLRRQ ->YP_003002365.1 unnamed protein product [Lettuce chlorosis virus] -MEFSHQKYFIVYKIKAVSVIVSSLGSITIVLYQCDQLNPEIN ->YP_003002357.1 unnamed protein product [Lettuce chlorosis virus] -MRCLRICMPKALKPYPSHWLSSLDIVILMRDLFITLDNSELDSVVCEQIHLTL ->YP_003002356.1 unnamed protein product [Lettuce chlorosis virus] -MRSNWRMVAAFVSHHHPWPRVVYGAYLTMAGDESPSSQLPLSVRPVYPPP ->YP_003002355.1 unnamed protein product [Lettuce chlorosis virus] -MSSHRIPCECETLGGDGRFQLCKNVMILTRKIINAANNLKTGQPFKNLCCIETCSRLLTT -SEVEYIIDTDLLIIGLEATKGYTESKYLVENCFKMVHKTDEIQEDLLLLHEFFSTYNEMV -KYDTFLPTRGMNTIPFMFPESNMIFIFHNDILLLYDRDYDDDLRREGVNYLKSGTIKIKS -DPFRSDLFTSLDWLTFS ->YP_003002354.1 unnamed protein product [Lettuce chlorosis virus] -MIYVYPAYCDKETQTYNKSLCGFYTTFEWIGFILILLILLFFICYVVSSYTLRVRNSRWR -REIPIV ->YP_002956123.1 2A [Cosavirus D] -TIIARPYIRESSNVSRLKLLLSGDIETNPG ->YP_002956112.1 2A [Human cosavirus B] -GGPPRPLSTSIRSRAAYLRQKLMHDIETNPG ->YP_002956101.1 2A [Cosavirus A] -SVLPRPLTRAERDVARDLLLIAGDIESNPG ->YP_002956093.1 6K2 protein [Triticum mosaic virus] -GGPEEYFDQTLFQTSTGLINKYTVGIACLTVGLGCTIWYYLKKREKYVMHG ->YP_002956091.1 6K1 protein [Triticum mosaic virus] -KAASDSLYIQCLAWLALVVGCFNSGLANDIYFSTTKYRTLLDMVKTAHSDSFVFHA ->YP_002956090.1 P3 protein [Triticum mosaic virus] -GVVPQLKHMLQSEEHMLEVLEAKPQWLVHLLLSPTQIWALSQSVVKYQVIHKVMTSNPDL -AVALAQLVAISSNFSIFKNTEHVIQKYFEVSKQLQNVSGVILGEHNEYFETAFAQYSALR -FSTDVVLLMDQFSTRKKTLDDLEDYYRKTIPSILIECGLLGPSDFGWRKRLVRGVVDRGS -GLKSTVKSLGSFSTKEKWISWSGLGSGTITCVKFPFVCLQRSGSWLYSSTKTTAFNAVWM -AGIKCVKSNVRSILLDSALYGAITLALLCAIKLIRKAFRFVEGLIKEDTSDDEDYVLHA ->YP_002956081.1 2A [Cosavirus E] -PNPSSRVRMAASDGLAPRKYLSYRKIQLSGDVETNPG ->YP_002916058.1 hypothetical protein [Sweet potato badnavirus B] -MENARQEINSPPSSPVNGPNHRVMQQAARAFALERATVEAGARRNRGRPMGHRAVNPATR -TPAQRFEDWSLQEQTYHRDWINEQFEDSLANLGPLARGYNFNIQIERRLFRDGLSGDVGT -QLAANRLSLLHEAAQEARRSVMTLQRMIRDRAEHNERFVTRDNAYMDLRQPYAEAQAQIE -AAAIILMACIEDL ->YP_002916055.1 hypothetical protein [Sweet potato badnavirus B] -MNYKEIQDLPGFNRALTGTASLGAEGFTQPSGKTQSSLDTLIRQNNTLLFLTGTIEDRVQ -GIEEELVEIRKAIFXKGKDPDLSGVVSQLQQLTIKGKAPEPRGVLRVQQDPYLQIRRQIN -EENRGRTSYRSRSSRRSSEPPRRTPDPLRGSNP ->YP_002905339.1 ORF IV [Nyamanini nyavirus] -MALQVKAKEASIWYQARVKTPTRELVLSVPILKVPRKGEFSQRPDPSVFRDSKACIFIHD -KKPFLRVELDPLRHKKTKVSVPQSQVINLADLGWTARDFAAHPMSVRYERVIFKEPCSPL -LDEKRPFLFAD ->YP_002905340.1 ORF III [Nyamanini nyavirus] -MEDLTAAFSGGLRSEEGLAKALRREAIQRLEMEIDERHQEIFGKRRRGSSPEEDSQNEEE -MAGAKEKKKKKKHKDRRQEKSLQGNEKRGVTEAKGGREREEEEERKEKEKEKERERKREK -EKEREKEKEKEKEKEKEKEKSRRNKARSSDDKRRDESRGREREPSRRQERERSRDQEKRG -NRGPNREEEEEDDEREPPRREKPNWDRDRDCRTRAKEKRGKGLTPAPRQRGTYPRTPDFE -VEEEDLAWDNAGDYAPYTPDRPVSPSYALEQVTPATGKLLDQHEVKLLHDKLDLIKSSVD -QLRKSIGSLLELYQSERLSKTQLINDINAIKHGMILAQDPIHPGARVPQAQIVKIQAPSS -CVTPLQPTACSSGLQPLGKIKL ->YP_002905341.1 putative phosphoprotein [Nyamanini nyavirus] -MEEGKDNPPAGGSGDAELEKARTEYLVTRHRDQCPQDRQPSHEEDTAEETWEVESYLQEG -DLETGERPEDPDLEATSSEEETWEAQESSEEGEEEEGEEEEEGEERGAAGPAEDANLDKR -MQARFVQWQSNTIRLLRSDKQEDKEEGSRAIALTYRRRREQGVVEFYKWRSLLILESELA -RAAPRVLTLASQPTLEASKWDEVLDELQGLQIED ->YP_002905333.1 ORF IV [Midway nyavirus] -MQVRAKEASVWYQVKVKTPMRDVSLAVPVCRVPRKGEFEQRPDPKVFKDSKACIFIHDRK -PYLRIELDPERASGQKDKTKIPQSQVVCLAPYGWKVRDFAAHPLQVRYEQVVFKEPCAPL -LDESNPFLFSQE ->YP_002905334.1 ORF III [Midway nyavirus] -MEDLTEAFAGGIRSEEGLAKAMRREAIQLLEMEIDDKHQELFGKRRRESSDGDDYQESLE -ALVKEARDKARRERKKKNRDKARARENEDPEERRGEKKSKENREKKRGKKDKERDEDETK -EKEKEKEMEAEQEREREREAKEMEREKDKDRARAKEKEKEKDRGKEREKERGREREETKD -KDKRKRREAAHSAEREADRSRHRAETQSRGSAEAERSQSRDAAKKGQNRDKEKNQRTADY -HPAGHSDQSRKKRKSPAEESSSDEDPAPRKETRGEARPAGERDEELAWDDMNYRPYTPLG -PLSPSYALEEEVGQGTIEDLMGQHELKLLHDKLDSIKNSVDQLRRSIGGLLELYQTERLS -KTQLINDINAIKHGMILAQDPVHPGARVPQAQIVKIQAPTALGPGTSTASLPSANSLQPL -GKIKL ->YP_002905335.1 putative phosphoprotein [Midway nyavirus] -MNPEANQEGIDSTEPTAVSATGYSVARHRDQCPPEENSDSQEEPKERGSEEEASTWAVET -YQQDQAHEKQGAEGPPCASRSDPEAAGPEEEVSEEDEEEDEDEESEIDSDEIFISTSSES -DSDSSTETADLDKCMQARFVQWQSNILQLLRSDARKDREEGSRAIALTYRRRREQGGSRF -YSWQNLVILESELARAAPNVLTLRSPATPEASRWDAVLDELRGLKLEDEA ->YP_002905326.1 VP2 [Calicivirus pig/AB90/CAN] -MAISPGAMVAGAGLQAAGDLFSTIYLVENQKAMAAKQRELTRELNHQWMDTTKAMQIEAL -NSSYNTLRGAGSTAADAALLANKGGAGAALTWTLGGFKNYAPGAQLNGRYTAPTTSTCAQ -LAQGTYGLTKKAISKLQQIPSSRRGYYRLV ->YP_002790896.1 non-structural protein [Homalodisca vitripennis reovirus] -MSSVQLPRSKRNTRNTSLPKTSVAQPTEKLAPDATRNTNASGGASITSITTGPVTTVTNK -LFKPEFLTNNICNGLFESDMPEMVENDGQGSGGVTPEPISNASSDGKSFSLCESHSEQSS -IRDSVDTGRGNVQVHERDGSNYNANGVVGNDQYGSSILSDREFSSVTLSAMMGLSGVDCF -IDCMKMVVRVVNDRNELLNATNHITTMLAMSHSGMCATKITLHSFILAGLKHFFCALTKV -LKCDKHDEGVLPTENYSYDKSNDVMTFNLRIFSFSTNEYSTIRILVKDDIFCSLKNEDVL -LLLNCIGIDTHETR ->YP_002790895.1 hypothetical protein HVRV_s11_gp2 [Homalodisca vitripennis reovirus] -MSKQAIAIIYAPPGAGKTKWLDNVCKFKDLVLDTDDQPFTGKKALLAKGKKIVLTNLPLL -LVNNEFNITIAIIYSRKEWNKRVSFKCNDFSEMWYDDSVYFSNRAQFKLHPGDSDMSACN -DRLETILHDIVSTNM ->YP_002790894.1 non-structural protein [Homalodisca vitripennis reovirus] -MNSSVSHVGTITIAPDKDSEQIYKRKKLQLPATLKINYIKDAKYRICACPSDSHSIDDCP -LGGLPQSFVAHKTLWLSSLLHSSSTSANSRKAVLPRIKQSKFRLTDTSRKVKSKSEDATK -LERASEKQLEKKSKSSEVQESSEESEGETSHSRLKRHQKSEKKGRKHDSKSTLSTEEVTK -LVAAITTEALSKLTRLKD ->YP_002756537.1 putative movement protein [Grapevine Syrah virus 1] -MSRSPSPPPPKPSGLCTTRCSTSHSPKWLLSSRTALTLRSFSLPSSSLRSQTSPTSPFSR -KFTGTASLALASTISWRATPATRTASLVKPSNGSKPPPFAAATSTSPSPSSSLGAPCTPC -SSSAASHPCIWSMTKFPSSALTLLLSQKPPLSAKTSATASSLAQCTTPSSFTFVRCALSA -PPTLSVSSALKATKRSIAGSPPLPGTTSSTSSLKRQPIGFQIGISSSTPLSLSAATGALS -TSSGCSRSPLPLPAASPSSPGLSWPPP ->YP_002647030.1 tgb3 [Allium virus X] -MRAELLITLLSAAVTLLVLQVWTQPTPNSCYIEVNGHTAYTNCPPSPHLAQVVAHLKPHS -HAVKFPTGLKQNDRQDCSHQCPL ->YP_002539442.1 P1 [Pepper veinal mottle virus] -MAIRVSFPCFYNTHNSTLSECKSVTMSICFGSLKPKQIDWNEASNNLLKEYDDKMDAFEK -DCEKFAREMSGQRAVLKGGVYKYAPKTRAQLRKERKHNRILEERAEFLNGKDSIITGMVF -PVEARKAQTEEAPFKYPPIVQRKPRKTPHMNYVTLNDTQFDQFTRELINACEATSQPMEI -IGKRKVRVQARVTRLFSNTRCLQVVTKHHKGKLCEIDVPNMRGIENSLGHLAQAAWKGGF -VNEERLGYGTSGCCIPHGLLKGRTFDGGFDFFIVRGRYGPLLMDSTRYTPKQVLADIQHY ->YP_002519389.1 hypothetical protein RuFDV_gp7 [Rudbeckia flower distortion virus] -MDNDFLELLYRNKQPLCENNAIRYANKVDDLLYYQEFLVLKAIDELIFMRNCQQTYNQVY -SRGSDLNRFYGLQLESEIKIEKLEDIRKQFLMHSDNNYCLHNNLPRGVQPPITSVYNGTT -TFKGNYSENYLCSLDSRIVEKRSKELLELRQALSESLPLLSSSEDENSD ->YP_002519388.1 putative translational transactivator [Rudbeckia flower distortion virus] -MASLAEINAAEQALLAKLEGLIKEVKTEFSKLRKNTASSSSEKDQPPKKIQKAEDKTLSL -RPNYKRILEQEFDEDERKFTVLGKIPQNHAKLAELPALPLIKLNPEELTSKNFLEAFNFL -RTASKEDFEEKHIFPTRRTTKEDPRAIIFPMAMPSDVYELFCLGLIKTIYLTGKNETISH -FPNKFRQAVQGWMKRTSTENAVLTILSTIPDWKNGKIVLPYHMIKIEQTSTFPALTVSKP -LAEKETIWIKHQISSIDRITRAAEKLDNNKNVKINYQTSRMLMYSDYKKCSSSDEQLVRK -WHTNSYNIIQEMSDETRAAYFELEEDMKKIMMTDDVPVALSNSSTIDDVELDKLPNTGPL -NNEDA ->YP_002519385.1 hypothetical protein RuFDV_gp3 [Rudbeckia flower distortion virus] -MDASFGKRNEDESLTHYLERVLPTVINQVTDMTQILKELFDKTGIQTYETEFERYFKSKQ -FSKFRDNECLDSKMEHTMVIPLNVPTIPDYSGQTIECSCSNKGNWPHLLHSGNPVLNTQS -DILVYLRKTVSDIQKQQVFDHSNLVQLIQHELKNLKCECNCEKASASINWEISPNFTQDT -GKLFITDK ->YP_002519383.1 hypothetical protein RuFDV_gp1 [Rudbeckia flower distortion virus] -MTDRTESSSNQNLWYIGDGTSYQCYLQGQYPHSFHCTNYELLPAVVNQLQDGLNFLILNS -IKKDSQEKPVNNYLEIDNEIKNLFLIQDKKIEDLNSKLDKVLQLQTDILKRI ->YP_002455785.1 protease [Human T-lymphotropic virus 4] -MSGPIPLEARLPPAKNPAGGRRTPPSGFACPSPGGKKLPRGGELVSPRPGNVPSLLPLVS -LWQAQQSLLNIKVSFFDRPPLASQALLDTGAGLTVMPQVLARGLTDLQDTTILGAGGKTH -SQFKLLRCPVHVYLPFRRAPVSLPSCLIDTKNEWTIIGRDVLQQCQGALYLPEDLPAPTQ -LSPVTTPAVIGLEHLPEPPEVSQFPLNLNASRP ->YP_002333272.1 hypothetical protein WoV_gp02 [Wongabel hapavirus] -MMLFKLNLISNIPFVIYEIQELTGFIIYIEFPVECENMLFYGLQIAGYT ->YP_002333478.1 P10 protein [Soybean yellow mottle mosaic virus] -MPSTRHEVNHLAVITGVILLLWHIRSQSILILIFDAIAPRVTNITSAILLAIFYCYSNST -ASIPYISQPVTHSSTSKIVYIAVGTNPVAVSKHE ->YP_002333480.1 hypothetical protein SoYMMV_gp5 [Soybean yellow mottle mosaic virus] -MEKCSPLPNSPRRRKQRDEELSPRTSESDSTPLTLDPSRKSAAPLECQHEESPLPSRPMC -PMEYRTTLFDKTNRSFSILSYGELLCLIALSPLLISLGHSTQVILQHSIGCNRSPQGMIC -TDSLIVRSSTLPGVRLPLRDRLSWRMIQTLVMLTLIMSLTCLTWLALSLARSSLPSGSSQ -TLNSSTVMFVTILLATPSWLMLARYWLQHMDSKLQQPPSPSAK ->YP_002333477.1 P7 protein [Soybean yellow mottle mosaic virus] -MDKSPQRGRSRSRSRQTQGPKGPKPENKQIQVAHHAVDKARGKPPGGDHGGDFVIVAHTV -TVNINFNI ->YP_002333479.1 coat protein [Soybean yellow mottle mosaic virus] -MNGKMLTIAQLAKKEKTEGRRALSKNQRKRLDSADTRPLKEVSSTARVSTRRVPAAISSN -VSNGVPNYAVRQNKPVIQHTELWGTLMSNSTESPAYIARTLNPSDPATFNWVQPLSTGYD -MYRLINCEIIYTPRCATTTTGSIVLAHDPDASDANPDNVTDLLNMAGAVSGSVFSPLRIK -PNIKQLDRYVRDNSTSDPKLVDAGKILVAAYGQQASTTPFALGEVRFSYTLQLLNPQPHS -TMVQRLGAAPPPGVGPTYATLRTVNGATTSSNVLTFSTAGAYLITAVDATAGLGTPVTTD -FGRFRVQGTSAADSPLLMFGTALKSGATITFNGPVSNVLDVYVVRDSGVSDNSS ->YP_002321514.1 ORF 4 [Bougainvillea chlorotic vein banding virus] -MHCRQPGMSCTRRGRPYEGNGNITGRNQLPEGYLAPNRAPRIFPEEDLLSHTSSRVYRHT -IHRRDYGDALPTNASTGRWIQHTRDVMFRRNVFEGDVTASIINFHLRQNRIREAREAIEL -LCYYYEEEIALAWARSHHRNGQIHEENLRLMRERREFAEEILISLFNLTERLPAQYEQHP -FQIIMTDAMTSLNRSNQG ->YP_002321512.1 ORF 2 [Bougainvillea chlorotic vein banding virus] -MSSSTTWLTIKNSDEFRESREGLVDLYTNDRAKTLPFLIDWPKAGELTPGDVSAIHQNNL -ILFQLFKITERLEKLSDKVQLLEDHLRGPADLGSLEAKFAQLGKQIDTIKATSSGGKFIK -APPPGRIRVSKDPRVAIAEVQKQPHQP ->YP_002321511.1 ORF 1 [Bougainvillea chlorotic vein banding virus] -MASSSSRTAVQRIEDVITQWQTHSPNSPLEYLDLALPIPRFKNPEHPSEKELLLLIKYNL -DATYDKQSMFYRLCLRHFYKLEETLHTQALAIKNLQNQQQLDPVKLAEQIHQTQQLKKAE -QDLDTLSKLSNR ->YP_002308568.1 putative nucleic acid-binding protein [Peach mosaic virus] -MTTFDPKRNKDDRRIFSFCLSMMRKGIPSGLLCKLNLLAREVLAVDSNKTRLKLCGRSSF -AKRRRADSLAVCSFCYRINCVGDYKCMRARFGAGERIERGKWIRHGMSSSLVENETPVCA -PLLKMHILSEFERVKSS ->YP_002317206.1 protein 5 [Lettuce big-vein associated varicosavirus] -MAERCFEAAMTYMIPNKQSVEVFEGLLGILDPVLTSKHVRRVYQEITVSFLMISLISDQV -DSKGIVRMSDSQGDDDDSLSSLTWNSKNKLWGFLVNPVPITSSDLEKRLRISCMISSNAY -RIGTNVAQVRFLLRIGTFPLVLSRELGFLCPSSSRFPSVIFVSPDKQTEMLRIISSYYSV -GDTEEKNCWGILGERYLTTISEDISNLVVMAFPFLQGAFHCSSVYYLNFGCKMAAEGFHA -IAREELRIALSNPVLWSDCPLKRVYDSLLQRIPTGVHISHSEEKGDPTFLPLAEYCSSHR -ECWICGDLKLGVDRGIGADFRHLSERRTSSPDGLDAVMSAVSRMIYHHWSIPSWVSGAFK -IAKFIGAH ->YP_002317205.1 protein 4 [Lettuce big-vein associated varicosavirus] -MDEENVDITEDELSVISDLELDSITRVVGTNEIKTYEDYKLEEANYLKVLDIIKKFSTSD -TCECYRCELFERGDIGKFLTRSEFSKLAVLYWERCGRPRNSEALEAFIGRHICIRCAASI -LLSHHPVIAMRADMRLREIYIENGDKPASSSGTLFSAKGKGPRI ->YP_002317204.1 protein 3 [Lettuce big-vein associated varicosavirus] -MSLKSAPYLSEISVSNGDSGIGFDGGCADPYAPSRVPSRRWDYSTKWDMKITGAAREFNL -SKQPVLTGLINSMRMKTSLTHPEIHVVWRGLVPPAVCRDDVVVTLRFTPDRSEKMGLIAQ -HTHGMHLYMHHVFYPSHSIRVGPGEPLPWAVGFSVPDFSLDPNYTIAEVHVRLTGYFSEL -PEYDIQRDSELISIVPMEEHVTGYATSAPRIPNTAWVARGYKIGVNGNSLAKKIKFLQEI -GVDIEALRMVGQLDNTLKKVSPRAIDGSPSAEAKSEAARLVNAHVKTLTA ->YP_002317203.1 protein 2 [Lettuce big-vein associated varicosavirus] -MTGRFVRDQSAMTKAIASSGKAGQETTKQTTSAEKLESENALLSTVKEMNQGWMSRLGAG -NDYDETEADPADTYGDTEADLPPTIKPEHIKKETRKQDKALGIKNVAGTSESERKALKQW -DEDENKSDTEKLAFLAGFRYRQDHNSVVLRAQTEQLKSLVDILTSSATSVSRAASDIVNA -TTMSTSKLAAAITKHIEVPPHETLTKIEMPKLPLISSEAGSISGVKSVDGKSVDEEIIDS -NKKESTRIKEEAKTPKPQIVTPPVIDSGPIITVGQMASVLGGEVKDILEFYEIGMEKFES -VAKDLGLSYEGLLRKYGGLSGLKGTLKKKINLL ->YP_002317202.1 coat protein [Lettuce big-vein associated varicosavirus] -MAHPKLKMLDAFSDVVEITGKTAGKESWDDESTIAMPSYKLSVLSDADAVREVKIFLTGL -FVRSSPRAIAAALIMTWNMRSVDPVAVRIFPAKDKGKDTADVDVKNLEVPGVDYIDAMVE -TNVKDASDIEIIRAGAFIAASTLKMFAKSFTGWTQAWEHKHIQKRYADFCKTEYPFKEFT -TNNKCAETMYEAYQGQKLYQGTLGRILYALGDVADPRQTEMLFDQHLANTGMHIIPQFTN -AQLSIGATTAGLLSALNYGQNFGTLMQLKKLINESLSKPPGPDNRATWRFARIFDPSVFQ -TLQTKYCADTVAILANINSMGKLSTETSNPLNIAVLKQMAPERKRYTRQVAKNIYHHFMV -VARALNNDMFDTDKYKFVESDDEEEHVANEGETPVKE ->YP_002308467.1 8 kDa protein [Hosta virus X] -MQSFCSHLRSGSFPVVLGALLLAFTCATLVLRLGNNNSNNCLIYVDGARAFLEGNCAGIS -AEVVAALRPHSHAG ->YP_002308460.1 hypothetical protein PnCV_gp1 [Poinsettia latent virus] -MQNVNIPVGICNNRIVFRSLGFALSENNLTIEVLSRPIESLGRVLLFLYLYPSFAADYAQ -RYYGATWNQAYDISVRSLAFLLPFLFSPAVEWAVGPVFKSFVPLTELLKWSLAVGYYPTF -PGSRQQLPSVPYDVNYGVQSTKAATVKLLRRAMLDDCIQSKTRLEAMLERGPDTFRNVLK -AHISRIDRFTSSSGWHVADDYHMDLVLDISSGISRPSVHDNISFIQRSMRRISDILYDLC -VPAHFLDLWRFTGLQCFWIDPNLGKF ->YP_002308473.1 pro protein, partial [Simian T-cell lymphotropic virus 6] -RPSGQRPKKLHRGGGLASPQTVLPFIPLSQQKQPVLHVRVSFPGTPPVSIQALLDTGADV -TVLPARLCPPDLKLQDTTVLGASGPSTDKFKVLPCFTYVHLPFRGRPVTLPSCLIDINNQ -WAILGRDVLQQCQSSLYLADQPSRVLPIQTPSVIGLEHLPPPPEVPQFPLNQSASRP ->YP_002308434.1 putative movement protein [Imperata yellow mottle virus] -MTRTEITLRATSSTRKAARRHSRDGTRKAARRHSRDGTLVWAVHSHEIDDPVITAPYVPG -IFSQLTLVVLCHTPGCHSIIESTSVQIEHFRLLSDIYRARACESYSICESCRQNSPRVRS -PRAPYSSLSEGNTRQDSGSVEKWVRDTEYLESRVCGGGNPYHYCSHCYPPNTSVYSRVVN -NSDSRPSDIDETTGEEFYPRFSRLSVQDRSDSD ->YP_002302260.1 long-distance movement protein [Carrot mottle virus] -MTFRIIYDGIEQDSIYNRRTHQPPARRNNSGRTARARAWDYGPSPWHQYAYPHSALPPPA -PAYFEPLFQRPLAYQEDRGHAVYREARHSVRSARARHVAGTSSDLGARHRPPRSGTDRDG -PEGVYTLVLGTPAGRFLSELFHSFERLSHECPSVLQSSNPVRGGTTGARGERLLSLLHVA -ASHRGEGAVLPADTTGGCGDTTSQHPIPTPGLEPAHAVPTRRDDERYGNHDQGQSIHALP -APHLCGKVHAVGGPCPTRESEVKYR ->YP_002158812.1 movement protein P1 [Sowbane mosaic virus] -MKFTLNLRSIGPNQIEAKKTYVSSCEVFPNCDYEVNSLVALVRKVKSFGVRHWTAGPSVR -LWNLYESEFPDCAKHHYIAFEVCCSFCDQVFQTTPTPSSQISGCWPVFVDGVNRGIVTTA -RRKEASVVQGKPDCYIVRFKSACTECIVHRLATSCCSSASQLEQ ->YP_002158830.1 unnamed protein product [Mikania micrantha mosaic virus] -NRGFLIVNHFAEAIPSGSMVHLESSLSSTYFVWEKKKLTLFEGNELALYVAPVIPKMVDS -FQTRVVYDAESLPDSFKATFFSYKYDAVLQQMVPEIGEIMCKKKSQVMTVCSGEYRRKVP -LHLQYENNTIKGDCGSLIMVELEGKMKLVAVHVAGTG ->YP_002158829.1 unnamed protein product [Mikania micrantha mosaic virus] -NEIYARNGQDPFWSGYRRQAVVTYDDFGAVPGLISNEAEIINVVSRNPHAVNMAD ->YP_002158828.1 unnamed protein product [Mikania micrantha mosaic virus] -SIRAGLLKTVHRGSPQVMALVTKALEKLTELHNSVVMAGSNGSRRAPFMVFFTGSSGVGK -TSVVQRMGANWLQQE ->YP_002158827.1 unnamed protein product [Mikania micrantha mosaic virus] -FKILHSPFTRLLQNCAWFKGTLEWKVVILANSEMMSYRRTSQAIITAHESSLSSYEFFSG -VLSESSGTVSFSRNVVGTVDGFKSMGWDVQGEKKFY ->YP_002128393.1 PJ [Pseudomonas phage phi8] -MLILASQFSKRPLLIWENGVMAAIERGSIVRISNMELASVHRFAAMRKLVEINVNEVDPA -IIEDYLKQTGQTQSAKRA ->YP_002128392.1 PI [Pseudomonas phage phi8] -MFKDLLRLLVKGAVKGAAEEAFSGKVRVEAATTGAKALQVAKQVGKASLLGVVKYGLPGS -LVILGAESLLSVECVQQIGSLIGSLINN ->YP_002128391.1 PHa [Pseudomonas phage phi8] -MSQNHPWVHMIEHFANIRGKKERATAPRTARTSLESLDAAIAQSSVTEVAEGLGVSTRSL -NATMRSARTHLAEMNQ ->NP_524560.2 PHb [Pseudomonas phage phi8] -MSSHAAKARKGLFSALAKDRVTKSISNPTVKAHAHGVAALLMFVAGRLESRYGAPFAINF -HAGLFHAGTSSSARLGSLITTMVGVGAVRNAASHWLGGMDYHELAQAALPLYEEARHTTI -LARVPDIEDVDDELALLMHEIGIRFTDGAITIIEPY ->YP_002117776.1 hypothetical protein [Grapevine virus E] -MRRLSGRELVSSKYSLEQLLFHLNNYILDGVVLPSELFNPLYMVEVEESELLLVNQIKWQ -KSEGQLLQSFALQQVGREVAKLSSFESTPLCNIFLYSDFSGANLCELYKFLGCRYLDSCY -VIEAGSGSKLVSSTNNSVQIGISPEHTAGILGKLKGVYVIVEELKQTKGVEGPYMFKGRP -SPYKNAPPLPF ->YP_002117839.1 p15 [Cocksfoot mild mosaic virus] -MEAIPLTEGDVARHYKDGLISLLEVGPSSVLAHWPMAKLWARHPPGQWLIDEAGRSIPSR -KSYFASLPRRPITTRLCPSYLRSCSTPAHNLDMGDELSIWPVYLSSTLNMSGGGCSSPGY -PAVPRLHREMWF ->YP_002117837.1 p6.8 [Cocksfoot mild mosaic virus] -MATGTCHCPDNPFVWPLVTVVLILLIIIVASVTSTGPVIIPPSHNTTYHHEKYQNIEVQK ->YP_002117836.1 p8 [Cocksfoot mild mosaic virus] -MSTPPTNPQTADDTLAQAPKQDRQATRAARLNVGAGIARRSADAAATRDDTASASFVIIA -ERVDVTNNFNFG ->YP_002019756.1 coat protein [Epirus cherry virus] -MVNRRRGIRVRRRNRRQGTNQQAPIPRISGVRSYDVEVFRDFTFLNRRNGCAVGQLGPGT -GVTQISGLIIPDTAISWNIKTMSLNPINLVPSTVGGQFLISLVPGPALSGRVLADLQAIQ -TGSLMSSPSTLRIFPARPIPGQPWRGSLIQREITRSNDSRVVNPGDRWGGFAIVSDSRLL -SGLADDAPVWFARLS ->YP_002019755.1 putative movement protein [Epirus cherry virus] -MEPTGNNPTVNQPMPVVVAPSHSGQMRVDLSSLQIRPPPLEGKGRVVFVTEHSAYDGVRP -LPLIPKDLAGQLRLKWHEKRYPHTYLAFEQIESEYFPHIPERSAYGELALVDTRYITDEV -QDVDEEEMIDHLFSRALWKTPELDLGKGYKFVSAVPYCIPIHARGGQGPDLERDIPIRLV -PRITRTDLHLASRSGTIKSALKVALSSEPIQYQRIESVSAQTLEARNSQITARGRRNLDR -RPRGGPWPAMERSHSMRSDTQIPIEHAAPPGGGEPSRTIIMPAGAV ->YP_002019759.1 coat protein [Ourmia melon virus] -MARLPKRKNRRNEKKKNANASRVQNVPRTFGLWKSTERIKYTTELKYLNSKCRAIRLHPD -LVANNSFPTYCSAWKIDQVEFEFVSYMSPLAGHVGCVFFVVIPAKGLNSRISADEAESLQ -SAILWDEKGRLKITPISGPISRHPWTNLSQVVTPPQIPKGSTDGERQDLQSGYYLIFDSR -KLFGKDLVDKQSVLGELSLTITATYWTSLS ->YP_002019758.1 putative movement protein [Ourmia melon virus] -MGDNALDLATASSTPIPMPNTGQLVISPQDIGYSDPPKLRGRLKLEFVHDISLDANVEDP -IALIPHGIWSIFKSKLAQMRCPKGYITYDKVILSWKPHVATGLARGQIAVVDTRVNHTSI -EDLMHKALWKTAPVDLGCTYTIQGTVPYCLPFHPKEGGDVKSDLESQNPIRGIVYITDSR -YQEAARHGALTMTLKLSIGTMPTDALTGPRATLSQPHLRDNLRSRSQRISRPPIGITQRP -RRSLAEPPLEKEEEQESTLSSEASGSEQGLIIPVQGPSTSSRSRRVRG ->YP_002019750.1 coat protein [Potato virus T] -MDPTTFVQIRDEVLNLTVAAYSSQWDGQATQALKDGAKEQMLRFLFGRIAISSASRNTIW -PDTEIASEDLQIGMSAASAGPPPVAAAPISLIFRVNFNSYVKMLIALSNTSTNSFVKNKT -LRQMCMPFAKYAYGYLSEMGYATWAYEKMPKLCRKAKWVAFDFASGLLIDTTMQLNDDEK -TVIQGLGARLFKTQQSIQIADSTMDGEAINREI ->YP_001976152.1 hypothetical protein AltMyV_sSgp1 [Alternaria alternata virus 1] -MFDSFCSFPAFVPFVLDDFSGRVSAPALLTVQATGGMKDGRQMATASIAFSGAVPSVDAL -DDDTLSCGVGRYIHHVHVDKVSFCGGAVCDKETCCSGCGVSSVRPDPEGGFFSSASLGAL -VSAGLVEAGLEDERCTVMVSFGGLRIPLDATGGVVPHSRALDEAALGVFLPPPQTSDPGD -VAVPNEPCDEEIKTLADGLLSHAVELGMPEQAAEASVVVPLPPPPPDPEDLPGSAMNLGT -LGGVLAAIADGSLVREIKTYDPPAGGFEGPRSEVLERRLQWLNALGSLSDSCYSVVADAG -WYRLLLPFAHWQKQLGLLSGREMDLLLGGLSRVKDPRLRADRYLHFLRTVVGASAGGVGD -PPWAYGSVANFQVGMYRLLWPARGAKVGVGTSA ->YP_001976146.1 hypothetical protein CThTV_RNA2gp2 [Curvularia thermal tolerance virus] -MLAVSPLSSEQEPVIPTDREGFISSSLEEHYLWTEWGLWSPYTGMRASWIARTHESVPAL -SARFRFTQDEESYTGGEGLRRVGDLEHYLVWVLCNAAAQNPSDASEIERTVKLLGLVAAA -GYAGDRMTYGRKMYWTLFADIDSNEGREFKERVNWEEVPMGPGSSASG ->YP_001974421.1 hypothetical protein Xen1_gp2 [Xenopus laevis endogenous retrovirus Xen1] -MSCPECVCGLVFIISEGGYRLSSVTSKGYKQEELGRHPIVPETGLDSFSSQATYVYRLNV -HFPVDLDEGWGHSLFEIRKSAFEHGLSPMVCSQHSDTDYLESELLDFIRVRSDKAKQLLH -SADEFWENLLRK ->YP_001974420.1 hypothetical protein Xen1_gp1 [Xenopus laevis endogenous retrovirus Xen1] -MSCSNCVCGLSFGITPLGWRLAKITPGGYPASCLGLGPVLPGFTDFLVYRLNVHFSANPT -DLGDSLIDIRARVASTGQYPEVCDIHPATGLDECEAEEIVRVGNLLSYL ->YP_001950239.1 putative lysis protein [Escherichia virus BZ13] -MGLKAKHKENLCSDSERSKRLYVWIALAIVLSDFTSIFSHWIWGLLILYLQTLMDLPTFV -MNV ->YP_001950230.1 protein 3B [Human TMEV-like cardiovirus] -AAYSGGKKGEKQTLQILDVQ ->YP_001949743.1 P8 protein [Rose spring dwarf-associated virus] -MSNQPLPLSSHLATPDTNVKGGLVNVSIHPRALPSQKGVF ->YP_001949742.1 P7 protein [Rose spring dwarf-associated virus] -MLVVSSGGLVNLRRITCVPPLDNNPFLHQTKN ->YP_001949741.1 P6 protein [Rose spring dwarf-associated virus] -MSYMYYHSWTIVDTIVILTLCLVLVFLLFVLHFLRRRWSQF ->YP_001941184.1 NSP11 [Turkey coronavirus] -SVAGASDFGKNYLNKRVRGSSEA ->YP_001936014.1 P11 [Mycoreovirus 1] -MERRTGVVLIIFVTFCEAMMARAIEDFDTHYTKKIREFLLFIIHTSCTMVAFIIGNLAMT -RPRRTHHNTITAPDETIHDDILLPPAYKSLASAPALGIKMV ->YP_001936013.1 P10 [Mycoreovirus 1] -MSSKLLIDLGSELRYEYNKNGIPSKLHMGSEVIGETTKTTRPDAAKDCWDQYLREKLNED -YVNMRVGVILLGASPARSRCCSIYRNLITSHVEASSPKFKGIKFFLLDDLCDAYTIRGLI -NCFTNPPFMYISTNSWRGLPLLFNSYVPASAIVNLVSPGKLITIYLITCNNFGDGFIPIA -PTTTKLADLFGKTGDLEDFTFQSLRSPLCDVHFCGTRSQLLPFPKDESEYTRALELLYTA -MIHSLTY ->YP_001936008.1 VP5 [Mycoreovirus 1] -MSYITIIVISSDRPTPIHLSGIHSSCEVQSYTSVRSTVSVHHQEVEARPDCQIKSQYSDV -VPIIANSLAEDNCNLMHDRIAYQLSELCASKRLDECITKLADLVPRHIDLLSAMPTLANL -NPSFQRVHELLMDYSGQIMHVQQTISNLANPSKHVDFNTAVEILKSRMVERENAIERIQA -IESVPLSHRVMEGTARHDLMKYKHADFRVTLPFSAPTSDWSSTEELRSDVHLVSDVNTCR -NTDYGVIYKANPTHVEHVIWMSRQPLQIVDKSMTDTYFDEYLGAFRIICGDNVFHLHRHV -AYNQNSQVIGVVLEGSPYLLRAIFRDLPRTLMTSFVFLTAVTPLPDQLLSFPYGGYIHTI -VDSTAPLSHPLHPLTPSAETVCFYFSQLCHMGREHILSGEEIECVLPSGEVSTALYRLLT -LINLDDSKRYVMTKRPYNVPNPVRHTIDVFLHDHGFHDVADALSEIGYMYATQKISCLLP -TAITDIEGISPLAQVLLSLRVRCKNGVTKFGHTRLGQMSGLCKHGTPRNITQMMFISDDQ -TTEFFCPACGTVYETAFERQLCNVIDYMCVYNVPFDDGIFVMSPYYALNPKKSDPSTLKI -VSSNIGYGAYPGIAMLGECYDAAIPPDFDTKTLSFARFRRATGGMML ->YP_001936012.1 P9 [Mycoreovirus 1] -MSFTVVGSNIYDTTLLMTRKGQNGAPDEVIPRPGFLTLLLNDIDSLRTRVELHNLIDNLN -LATNEDYVKFAEYRTLFSQTTDMIRLAYTNGQPAVQTRATDSRTGSVFYANTLTGDKAGN -LFRLLAPIAYRYLDVGLPRLFSYIHAQIGTTPAFRYNFDIQPIIKLAITNEPLDYGEWIG -QEGIHELERNVMIILSCSNITILAVLSIVGLGVGSHIMTSAADQEAWVGSPFMLSTDNFG -NARPFTAPNPSYAQTLRLPIPRIFSAPNRPVWVQSKTSETQSVSGSTHSDEKLTAPM ->YP_001936007.1 VP1 [Mycoreovirus 1] -MGNSYSTSQQEYYITGSSNQLTPTTTTRAHSSGTLKAVAELPIGMNPSKVEIDSISYTDF -LIDDIFDEEHDGLVDWIGRMVQKNSRIKGVVHITDKVISYVSKAAQFAKSFIGANKLAPD -LEVSDCIDSLEAFAKAFSMFVQTHAPRDTSDAKYHGHDYGDPIDSYFDDINETYTKWASD -SRGKLITGATIDVSAGALIPLLIAASQIIALSSKIGLKGQADIFGSTIECNSYHVLPGQT -QLARIGKILKANESLQPRVIDTIKAHGHNLGLMTNVKGHDHHSYFSAPTLVSDIVENGPL -KGIHPMKIIRHRANIVMQNRHNYSCDLLTLPLDNWNINTAPWMLRHFETEAMTIFDVRPV -PSKLIQYTTASIFPTATKATIPGVAGAGATLITVYPTIYSLPPFFSKFNGNIIHRYSYII -SYVREETYVFNINDVYAGVVVSRSDIDSLFITGKCVVFSAPRTSQNLTIHTIRVSGVVSF -HDFKPIVPSTTNVSEILFEKQHGFVPAFIVKDSSLTPTSDASAAISIVGSHTYFHLSSGS -LPINDYTVNPSDGSSTKVKNFLDAIALLPFSEMALPFSIDTLWREYSSGVVAYLDMTIFN -DEFFHNSHPIICLSVIDYLKTNTSGFYYYNDLLQPAWYIPSNVRRVLRYMYAGIRLWLIN -DKRQNPLLGLTFKGDNARTLKYFVGLLVSCAHGLSMEYPTMDSEYDGRKQWTQIASLAVG ->YP_001936011.1 P8 [Mycoreovirus 1] -MADLTSTSVNQTVLATQPLTTRELQQIRSMVQTSSNLMQFGNHTALPDSYLETFLFNNGV -VYEDGDVFYAWSETVHHPTFLDAQDQRYSLELHPIEHDQTVSPNVSHSVWWQCADFNKFR -TLSVFGRRVVPRVRYHVDEFTITFSVSVPLRCRSFQDYYDIHVQMKMRTPSPSVHDPTHI -SLASEIESISNDNDVSVPAVVSQPNEITINVGALTPYVGHSSKLAGAALSMIMNIPRKPV -PASPAPRVHPAVLLTHSFFDFVREQISRMDATIMPIHLVEQTFPEAFLAIPVPRDIETGL -VTALRSVAMVPTSQYNLHVMAERVQSQSMDWTGGNFSRIPMHDDDIIMTHDTITALSTGV -STYHHHLAITEDDMAVIKSRVPGVIKYRGSIDDLKALSNLLESPRTHQVLLHSIATIHIY -DIGDESEIDDQEMYSKKISFLFLLAYLMECVTLPTTLSQGFEPRLPLLPSSRVPFYLAFG -V ->YP_001936010.1 P7 [Mycoreovirus 1] -MALTIYQHSSSLTSHLQTVHQTQVQFRKFVGITKVWDAQVRDKKLLALAHTEIIVRLSDP -SAIPKLESVRIASGHINHPSVTFVTDPEKCPLCTNPSVSSSKSLSDLPTSGVVLKLRDLN -LKSPLQMNDVILETGVTGLDIEAHILGRHYPHLAIILLGGVEHLVNIQTTTLTQHYQDLT -LNLFGTTYKAGYLNSLRTISSEQEQPVYNGPGIRTLVPNGHVIIPNTPPPAQINQAASQG -VITYQQSRDDNEDPFTTHHFRPDDGSDFPQSEHTNVPALVLSPSPSAMPPVESSNTRDQQ -TNAASAFTPIEPESRVADWSEDFDSAQMDQNLTPPQPPAPASTPEDTDSTARQPQVTPTR -VPNTVTATSASTPASTSQIQFGDRSPVTMTQHTLRAPPPPPQPTNRNITPDFLRPRPTDV -PSSNFNHLAILRNPTDQALTDRLNALAGLVNAGVLDPQECREILQTLKN ->YP_001936006.1 VP3 [Mycoreovirus 1] -MFDRQYPTVHDLYIPFPVFQSRLEQPFDTTVTSIRELRTISSQSTVYGYDLTVNDPLYYD -LEPLLGNSISLTLDPKLTDSERLDAVYLDINNRLANCHGDLLRKFSATSYSIDTSVIPYV -FLPMYRYLLHIMTGSAFNSLFRQMIVNVDANCANADESLLTSAQHLFALLNKINPSRQLP -APLRHILINATIADVPYDMQGKFVPYNVVFLPTSNESLRDATIARIREPAGYHPRPSIVV -PHYFVFRSTTDALCRFMYLAKRTFLHVNDKTATHTSVRRCELLRLNFPLDQSFAQLSLLV -QLQLPLSTLSIQRLPHLSTTVNQLITLASSSYSEQAIINLLRVNWNVIGYIELSTLGEPS -LPAIRVYDFTSSMNTRSVTQGPNVQIRTRSNAIDVHVREFIRFGRYLPLEIPKCRVPRLV -SLQVINYSLNHLLSVTPWPDQYDVTRHRPERIIENSVKRTIQYQEYDPSVGTWATSSDMT -NYTHIPSDSYYHQFIVTCLRSFCGLRDLPRENSARYPYVVLLYGLALGHEIAPSRMGLTY -AMTSHMISYVLSTITTGIDVAPSEIISRFKLFLIDVPFADTIIHDLRKVTPNVNVHSTSI -FTSNERGDARILTGWVVIRIAVSRFEQQKRSFEYMSYFNDILRFCDGGIIHFDIPDATFL -MHVVTSLQCTPNRRVKVLSYFASQSPFSLTLHFYRDTTDPLLPVANIGHWVTRHQMKRYA -YTDRDSTIPLRHEVIPALSTVMSRMTAEYSFVCQKSDLPVCLSALSTISNYARVATWTDY -RGIAHWSGSAVIDPLRLLDSSRTGVLATNVPIEPLIAPSHGVPRLERSTYRVVDAFHLCS -LIGPIFIQREFNIWTASRTSERTRHVIDVGGRDGAFRGLFPHAMYTVIDPAPAPQHMISN -YISEPWDFNDFQGSLDRIMDTLGIIDPQDVFLVFSHVFISALNRPAAHVNALEQLGALQC -SSVVSTQTSGSSASTLYSSYVNHNPFLEIRMENAAYLTRTYPSPYPLPTRAEMNEAILNN -ARSRLHQTSAAEILDLAMRFGYAPSYEAIVTLPALCDQHVVYAIQ ->YP_001911142.1 hypothetical protein CBPV_s2gp4 [Chronic bee paralysis virus] -MCCLQQMLGHMALGPPPWRYFSNSEPSRIAFSSASLLSAFMSGLSRLNRQLLQHSKQPGE -FKRDVHTTRRVRFSRPTYQGHAYSTPRLIHHNIHSASRLRRAQTNTNRQLQRIPHQESST -TISSLQHQRFETVPLLPTVTVETPVC ->YP_001911141.1 hypothetical protein CBPV_s2gp3 [Chronic bee paralysis virus] -MARSRSISRRRSRSLSASRRPSRPRRSILDRIGRAFQRVLANPTAVVAFVVCAILAVSHT -SDPAKSIVVTLGQKLQTVNALKFIGDFITEHPEQTIGAVAVSAAVLSSARRSEKFAYMIG -AILVVYVLPATDAWTYGAWAAALALFLQLRTIEDRILLSVFALGVYVWTLQTQQTAPPAQ ->YP_001911140.1 hypothetical protein CBPV_s2gp2 [Chronic bee paralysis virus] -MCDGPFTLNLPQTLSIIERQSSSEPTTPVHSRPHRPCLSTSPCQSNCCGSLRRMRDLSCF -PYIRSSQINRGYPRAEAPNCQCPQIHRGLHHRTSGTDDRRRSCFCCGTQLSSTLREVCLY -DRGNPGRICVACNRCLDIWRLGRRPGVISPTPNHRGSHSPQRLCSRRLCLDSPDSTDSSS -STVSSPENSSGMYTPPAGSDFLARRIKAMLILPLALSIITSILPPASAAPKQIPIANFNE -FLIKNRLPPYLPFSINDSKLFHYYPPLQLRRRCVEILHTSKLAPADCSLPSYCGQVHWST -FQSTWYGSEVGACVGTGVHPPVRYEPSPFYHILNQGKWFRSHTIISTNQPYPYPIVKIHK -IDTQATLSELRLLEGPDEWLLVTARQLDFSLYVTDNLDEPKYPKYDWLGSGGSYCLVQPD -RFFPLQPLARADNPDSLLEVLPVLVSADIKISNIHRDVNTTGLCYPLSTYCVFRSGYVDS -HSNISQAVTCDPFKMTTQYNIPGYSWLKKAILFFIESVVDTLISIILSLFDEFLSLLRTL -NEKYRVFEYLALIGFCLWRFQNPWPTVSIVGFTLAMIGLAR ->YP_001911139.1 hypothetical protein CBPV_s2gp1 [Chronic bee paralysis virus] -MDRVLPLSFIYYSLAEQLRIAAIISSTFHTPVVVFSLDPRWTDADALSHQGLRYLRSGNE -LAVLSRAAQRTFICPSQYTEYTTIPLDCDELNVRWPVHAQSPADALDH ->YP_001911138.1 hypothetical protein CBPV_s1gp2 [Chronic bee paralysis virus] -MSMMFFHPCHPHSALARAVCVKSNTFSTPHHYPHAYIIQCMTGSIHRYIRSQPQSKPLIL -TLASKPKPLLRQSLMRLYQRQLSLVLKFVPPPLESLSSSAAPATGFTAPGTLRSSKLPTM -GLCAKLMSCVSILKLFVARQALIWISQIPYQVTISVLRRNAASSNAPAVRSFNKSACGFA -TSAAVESAVRTTPISNISATHALTHPTYSGTPKTPNHHSHPAVVAARSVPPVMISPERCS -VTPITTSMTSSLMSFAGTLLSSITHFLKRISTSIMRRSSRRRGME ->YP_001883317.1 structural protein [Cypovirus 5] -MDDYYTNRSIDGFKIGKSRMSATEFINTIDGIEIPNSHIIYKGIDTPKSEITRKAPRIYG -INLGNEIMTNIVTPLALPDNEFSPLRIMKNIKDAETKPYISQSGWVTTVLSADNVLITSE -HYMPMINHYIQLINAQRETDVADAMQVMENLAVCMNGVETHGSLGYDIILKDEFTDLKAL -ARDIASWNWISFLVDLARNEGDARRLFIEKYEDELRCLMLICLINDSREIKTLRNKSEIR -IRMSDFNHLRDGVRAKTFTYSRSGYALMAKFWQLTFELIDEVIVKEMGIANRIRFKHSTV -NPKYSNKKTLTTTKPIKEIYEILGTNTEHFNLNERLAYISKLREVSKRMHTDVNVDYKKN -TELYEQLRERITFERDQIEN ->YP_001883318.1 p6 [Cypovirus 5] -MNRLIAYATYTESALKNVSTIKRHVMGLLPKDHTRNQTEAEKKQKLEKEILGGTSCLIQL -ARSKADAFPLLKNPECQPLDMLNNLSIGICHGDIRSEQIEHELSTKGVFNDDLDTLLNLC -MIGYGSDKVREVMNEVLLGGENVIVIFENDRRSIMVRGNIGNRFTVLYFDGTTLFEIDAD -KQVTESLFVGECINGTMREDDGVAYDITQKKIISVHTYDYLLEKVRQWLIVTDNDEVSVP -QAGVVLRREKMREITVKQKVYKHRKICEDETGITHGIVKLHKDDAFGDKDLERNMPEFLS -LPVDYSDYDVVECGIIDIKDKTLVDHETKSVTYVGVYSLVYKGTSIAKDVILVPVSRNKV -SEQGLTKHVYMNGGNGLECIETIGMSNVYINLLQQLTILKPDIGDLVWAMVSETIQKMDD -NNVKIIHKNEATFIAHAYKLFGSEPGKMIEVCVDVNSVEFAELCNLMFEMTCYSGLFNGN -RFVDEGREHRVANLFRYGISDEIRNNSIPKRMLVGGKSIKISNENKCKLIFKHGHMMRCE -DMLILPCGLVTTARNELIHWHECVTHRVRDIRKQNEIL ->YP_001883322.1 p2 [Cypovirus 5] -MDLTRAQFNERVKNETIKYVTSLQRTNRTTNIHKEIDNEIREWFESNRSLNEIARKIAWT -EKMQETLIEVEQLNFEDYEIQFRNDFRKEEYEGHVDTNEEKIDFEIGNVIIRYQVFELLP -DLSKQNIGEYLHEYLFQWKTDDNLEITIKILVNYNICEIDEDENGLVEISEVTIITCENG -STADVSKTIKVTSEAQHLEISIKKIIFNEILTGFIGDDEIDGMKIEKVKVLENGHLYVKV -DAYIYDDVKHDILEMDESKIFAVEEDKTYLDKSNNIELELIEFKRSAVTLRLRMGLTSIT -SNLPELNNSIQIQLVTKETVTETEIRPQSRNMALDLCMTSTINEMTKDRDRIRIEDINDY -LQSIREMWIQEALERSDELEHYLARMTFSNTARIDDLFATVPRYVEQVLEITSLITGQFY -DRPMVLPAEGKISMVSPFRRAGYNEIDRTVNTEGANNPRNFEMDLTYTMTNPTSTGIEGR -FEIYSQDNFKILVDGSYEMSSKQNTIDPIERIDWEANSLRSYYNFDLQARKVGLLEGTTH -RGYIPIPVSEATYTLRINRIYILDNRGIDAPLIDEVAGEVTLYAANMQGNGGTQNRRVAL -ANGDSTIMVVDGIRYSGMVFNINETFQHDLFNDNQEYMYYHYATQSQWLADRSTRTITSN -NDITRTETTATTRLNADWEVRQGLSWRQRSRWITEQYHNILQPRYIRMQELSATMHVNGR -QIGDAIGLYIRPAKFNTLQQGVLHNNIRYRWNQFIPMQPEGSVTGVNGFRLLGAFMIALD -RVKINYRIPMAPIGVGITRIYDSAVRDMQLAMESMELEIQRINEMLDEIWDRLEYIESLV -KSMIGDDDIWSNVIGAVLSIIMDVGLTVALGGLGYAASALVKGGVGAMKVAATTLSKSKK -VFDSLKKQITKAGSTPIEAINKHSFAHLSVREAKYNGPSWSRIPSKLNVDEKLKLDEDFR -YSMLLPEFSMGTRNAAYTRRRDEVRLLMNVPEDYSGPISKFGIHYQPLKVGGLSEVTQKL -FDKINFDKLDAKYMFRANKMKARKPAHAWSSIESFEQDKDGKMIRSLTVFGVGEHGGMNA -NSMSGKIDGITFRENVSRRDEKGRIISKLLSPSESGYSDNEVREIFEKRFKMPSSILTID -ECWALLHKKVSTSVLNSKSAHEFTFASPYMHKVIEDIIKNPPTNQYNLWSRNCQHLVNDI -NRYIKGYPINDAWGLDMNRRMDSVVFRGMSRTLDLIDSTAKLTRKLHTKSYRIKRKRIMY -ISSPTRGSVLNG ->YP_001883319.1 p5 [Cypovirus 5] -MSMLQVNFRDTKKQETKHVKIYTAVEKQAYDKLSKQIVEKGAELEKHSSLKLAPKSLVVN -INENSVVKLINATNNVTYFSMRGEKIDPDAVVKAHDLGVPILCRSGVSQKMFLEDKRYKY -ESQHEIEGNFIDELTIAINNKVGGEIKEETQKVRVMKDDLKTAAWILDNNVKVFIRSSRN -DKLSDLNVKPSDIGMMREFKAVGDNFVDSDEGIVFTYAIYSNDFDDLVRPNDVSKAAANL -ISNLTEPDRIKRRRVYLVVDGKSTNNVCVKKFINIVVSELDAEVIEYTIDTSNRVTYKMV -NICKMSESELEDEMNAEGMIFRGTRAQLKTELKDDLKMAMRGGDLNNNENAIKLLNYANS -KVDTDETEVVTISAIKQGKDRVNIQESRLSVVPEVKIIKGSNLTAKKGAIVMFMRKSINN -DIFLKTESQSSNTQLLFPETYLLYTKCETLQDTDNIIISKAKKDNVNMGGGVRRKDSGET -EFDVIGIEPIYVEDRKEEMRERNLLNEIRKFTAAFKSTKERVINTGNIGCEFHGGNAYVK -LIQQIIAATLAGKNLDYYVETEEMREDFTKVVDKINAKRLDVRELYTLLVANKPNSTRDF -LEESDSIVVENTVVIEEEEVSADEIVEEVAEQEEHEGTLLREETLIDVDNTVTEKESDEH -DEMVRMDNRTEFNKLENSKIKVEEGVKDTTIIEKTVETKTLMDIYESNTRMLLTTCEKHM -ESLSEVKTDEELLTWRNKGSAYVEFVQNVHKCMGILIDMKKTIDNAEINKKEIEDEQDLS -GVIDSKFTRQVFSSLIEGQMNFMHKKEWDAKPILMVTGKKYADFEELSIEGLRYGITKDK -KFNVFESTTLTATLNKVTNEEERIKLKKGIELEIDAVAKDFGYVTTKDDDEYIAIKRF ->YP_001883316.1 non-structural protein [Cypovirus 5] -MLSACMVEIRRPKLTENNERIPGQFDTIKGLQVPAVAKLVAKVNERQGEFSKVGISREQR -KLIWCDSGLMLCAINRGDILYRENDENERKKQEYGRMMSEAVTGEEDAPASRLGMACLLR -IKWEEGANEILDELDDSLEEVCESIKLAKNDISELEKYIKFEKRYETTRFCNDVRFILSG -KMLRGNGVKLPLLRYLYEDCSLKQILMEGNVNQILSESYVSIKKQSGKITKLVPTKIACK -KTDKDLIVKLISKVRFYGTDISYPFIKKGFATVPVAYERETDWDVKPVLGSQTVLNYDEA -Y ->YP_001876447.1 ORF 10 [Beluga whale coronavirus SW1] -MKPYIIGISGISGSGKSTFAANLKTKCGDFYAGDVVIINLDGFYRSINEDDMCLVKAGEY -NFDHPYAIDLDHARRCISAIADGHLVAVPIYDFEKKKCVGHYEVNNPRVVIVEGIHALHP -KLFPLYDITAFLEVPMSVALSRRAVRDNKERGRTPEDTAEMFRKFVLPMYKLHVEPNVKK -AAILVNGLNTGVHINMLYEHIKPLLIYPRF ->YP_001876446.1 ORF 9 [Beluga whale coronavirus SW1] -MRWINRFYGKLFAVVGFVLALQCLFYFKGGNPDHDGHERLHPKPATLAYTLSVCPEEKFT -AITKDGENIETYYTHHAPTSWWTRVCFRNCHQKFVVRSKLLSSDSGLINPDLVANEVCDY -SFGADRHTLNANGYAGFVQHYPNRKAYGSDEV ->YP_001876445.1 ORF 8 [Beluga whale coronavirus SW1] -MYVVLLALFLYLLVNEETPAIINGLSIIVFILLLEECDKMHPLYCYCRMIEIPGDGFLN ->YP_001876444.1 ORF 7 [Beluga whale coronavirus SW1] -MKVFIFIAILTLASSYEVDEEFTGHYSKNGLVFNSPFDQMLTGPMICKGSVGKLTCHESS -ANSWVEWEESRDGCEDLSIDSPITEDGEKVNDCKAFTKNLYDLGYVKGVVSGHNKCLFRR -TNFVRHFVRKNILILVSRRKEEDTIKLSCWMALTRESYDES ->YP_001876443.1 ORF 6 [Beluga whale coronavirus SW1] -MSFSLLKEFLVRLCGGDVSDGQWFLGRKGVEEGLPYGTMQLTKEATSELIKALRKAGNND -ECGRFPMPVCDHEVKFAQEFVNAFAQALLFKEAGCDGIGSDVGYENGSKSPNEKDKPFQS -YFKFPEDSDSDNEDDDEPQEDLPDGYESDSGNTDERNMVFAALVTAGMDEDRAKYVTAQA -LPHRYFLCYDAYYHDFLVDGNGPAQANYEAKKWARRVCSGKSGLGEGN ->YP_001876442.1 ORF 5c [Beluga whale coronavirus SW1] -MLGSKFYIQMTASFSCRKWKLSLSPILRESKVTNTYKFICTTERLANHLWGKSVKVIVHR -EIPNREDCDGHKFYESILTRCFNDDLTDEAVHYIMHYELMDLFTSGWNKPKHVVKENYSS -VILDVNERPITDMVVTAVAKGKVQNLPSFGMRLIMESEGFVINENCIGNDAYLGV ->YP_001876441.1 ORF 5b [Beluga whale coronavirus SW1] -MGNFLSNIFTVQVSTNHNAHVVSAGHDASLAASASNMQMHFSVTLVITSMLMFVIIIVMF -LMLCSIRRHLMPNRGRSLIGRATRAIRRTFKSRKGGGKDMEELQPLNNQIKHSSVEFKKN -LLTMINEVDKIEASRKEEPFYEEIKLKPSTKKYRAPQPPKISSTPIYINHVG ->YP_001876440.1 ORF 5a [Beluga whale coronavirus SW1] -MSIRYYLMVDVCWQYVVHLRPPYCKVLSKNTTEIVECRVNPGRGINHVSLMGNDFTSHRF -TGLISSFSTGKSSTEHLHYLCHHRVAEVLNQLQKGNSKILYVKTKLLGVNMISVTQPITT -FIDTGNPEPLTTFGFSHG ->YP_001856241.1 retropepsin [Human immunodeficiency virus 1] -PQVTLWQRPLVTIKIGGQLKEALLDTGADDTVLEEMSLPGRWKPKMIGGIGGFIKVRQYD -QILIEICGHKAIGTVLVGPTPVNIIGRNLLTQIGCTLNF ->YP_001837105.1 NS26 [American grass carp reovirus] -MATADTTHTMLSALFDALLQTNDTFTTVRNRVRVMKRALDQHVEDPFAHECSLSQMPRPV -IPAPTPAPTNRIQPEGIITMWATAHFEIHGGGQRLATSERAPRIIMHVSPTTVRLEIPLT -TYIPEKRMRTGSKLRIAILKGTTHNAETGKFDEVAWQRLVLVLTKKTPHPSTLVTNYWTC -EEQGLIRPVKSTGSNMIAATPLNSLTLELPGPDADSQYEQLTCMPMSCVFE ->YP_001837104.1 VP7 [American grass carp reovirus] -MPAHMIPQVARAVVQSTYSGSLSAIDDNLEPTDDIDQAAYITTGRYVVCALCLATVSDSP -TQLSRWVFHHCSDDRRPLIRSMLLASSRHAHALRESREVDMRRISRLVHQADEEDELDAP -RQARRIGYVDLHSCDLQNPTPELATRQLCNDPTRTHSTHPHLARSHPYMLPTAALDIDPP -EPVTMFATMSRTDGVPMLFNMTHRNVEVLASPAARASLMYALLKLANAKLTPKQRSIMYG -PSANDMVAACTKACAATTFRHVGRYAARVVIEE ->YP_001837101.1 NS31 [American grass carp reovirus] -MSAITPSSEVAAGITTPDFPRDIRPRSIREMHVPPSDPVPDVPIPTNTTGKPVYYIYDGS -ITRASGQLVRDHSCDGPIHYTDPLWECTLFEHLPPSHPGWPNDCVLPYDHNMSPYHRVPI -RTICGFNYWETDRLDSTNASFWPALYKCSGFRRNYPWRYSTDQLLAALDMTPEQLVSAKS -CVSVVALLNTMNWTSHHLSGLRPQHVHYCMSDWSVQFTKEDLEVLTPGAWFDGLLRVPVD -PRVPAIGLTKEQLWTHPFVILGWLRLALQ ->YP_001837100.1 NS16 [American grass carp reovirus] -MPCQDTVSLSIQHTHVIIQNSCCTTVSTSASTSATAYGLGCLALGCAGIAAAGICICCLI -HGCPACPRRLGVRKQSSLSKQGHVSFHHLNPSDRVSRPYHPSCPTDVDLYLGGVQHDPDY -VSHAQPIETQPQPLPPPPAYS ->YP_001816890.1 protein 3B [Saffold virus] -AAYSGGKKGEKQTLQVLDVQ ->YP_001816846.1 P1 protein [Wild tomato mosaic virus] -MATTVTFPWSANPEIKQGICRSRGFTLQFGSFEPVAIDWVQEGANILQKYHEAMCDFDEA -CIDMIRNRSNDRVVKRHGVLKYAPKTPTMLRKERRRARLERERKDFLNAPDQYVTEICFP -PEAPKRMETPSIRFPPVVVRKKRVAPQRQSVAISHAGFDNLLRELTVVCREMNKPLEFVG -SARGLVRANIVKPSPFESRLVCVTKHHEGIIQSIDVHVPNTVRAIFTRIAQLAWKGPIIH -EWDCKIGDSGVCIPKGKLKSPSRTINENLFIVRGAYRNELQDAQQALPLYKYMRMVHF ->YP_001816774.1 p5.6 [Bean yellow disorder virus] -MTCVQKTIKNKQNEKKFYCYFIHSALIFVDENRYELANLHRFSGGADG ->YP_001816773.1 p6 [Bean yellow disorder virus] -MAKEMGFSDIFKICVWRNPLHILFDFLSIVNTLNLTASIYIDLVITWEVGTR ->YP_001816772.1 p6 [Bean yellow disorder virus] -MGINYGFLSHACNRNGILYNNSMCVVDIIGFWLTVCVLIIILIYLLFICFVKFVIKI ->YP_001816771.1 p26 [Bean yellow disorder virus] -MSFTAMMNELNVSNKCRFCRQCSLYGLNEIQFSRAALRMLARVKSRFESTIVGVKYINVC -CIITCMKNFRSTYPNYIVDFDLLLTGHEILKDKDNSQPILELLFLKRYKLSDIMEDLRNL -LIFVEGYNTSLFFGQIYVFSPKVDFVCFDADNDTVMFKLKDNWFIKHFSGFEDYYNLMIG -RDCFLSEGLLRLEEGSVKSKYFDFIANIFESNCRNCISE ->YP_001788997.1 6K2 [Squash vein yellowing virus] -SKVDYLEEKVLQINQRKVDWRVIGGLFLVTTTVAGALYWYLRRKRVEEHIELQ ->YP_001718504.1 putative nucleic acid-binding protein [Lolium latent virus] -MAPPTREYRCTPNYHSARHQMSSLLGLCKGGVGPQPRPWCEKTMV ->YP_001718502.1 triple gene block protein 3 [Lolium latent virus] -MSLSFSLIVFAVGVAVSIGVLTLTTQQSSSYCLILVDGAKAVVEGCHLRQDIPAILSELK -PASSPFNPLFCS ->YP_001718584.1 3B (VPg) [Enterovirus J] -GAYTGLPFNKPKVPTIRQAKVQ ->YP_001718527.1 6K2 protein [Banana bract mosaic virus] -NSDDMARALHLKGRWHGSLICKDFLVAVIVLFGGITMLVLHYKATIDNYVAFE ->YP_001661456.1 hypothetical protein [Gill-associated virus] -MIQIPNIMIKQQKIVISQGSTQALPFLNHDRDSIPLMIETMGGGLVLHSFSMRFAKRGPF -LVPGVKNSDWKTTSPRNNSLAPN ->YP_001655013.1 triple gene block protein 3 [Phaius virus X] -MEAATGMARSPYTTAQQREGATPTLTSSGLSWLFQGSSLPFIGLGLAALALALALGVSNP -RQCLITIDGAGAILQGCGEIQDLPAVINALHYAKGLSYPNIRNCEYGYHEHCEQVRSCEH -ASLDGP ->YP_001642338.1 small hydrophobic protein [Pineapple mealybug wilt-associated virus 1] -MLRVDNFLWAIYLITFIALCAIIIVLILLFQRVLWPNSLPPNNPVPHGGGY ->YP_001552329.1 minor capsid protein [Plum bark necrosis stem pitting-associated virus] -MKILKMSSVVLRVVRNNTFDPRDVTVRRAADLSLFVKHRDGFVYYINPANTPLFVFTRSC -GGFVGSTENQERMECEVAAQMCYGLGEVWLTNSPYVISNIDVVYPAEKFLGIHQTQFQVG -SIGWNVVNDLSRLGIDDITVVLGGYVLDGMPTLDDNDTNGRVLSSIAVVKYKNNEQWTYK -LCVKEEVNIKFPPVRDCFRMLVASKTNKSPIHRFRQSFNFGSA ->YP_001552325.1 hydrophobic protein [Plum bark necrosis stem pitting-associated virus] -MSQTLLATDISQVLLVFLIAFCFLIFITASLGVGFCVYRLIRSESRATPENRAAPPY ->YP_001497182.1 3B [Seal picornavirus type 1] -SAYEGCSTRKTARQLARSVVGEGAYDGNVKRTTARELARKAIPSEQ ->YP_001497181.1 3A [Seal picornavirus type 1] -MCRQNNELDDLEIDDDIRAKISLPFHTPFDDIVQMQCPYTVERKKFYDRYLSSIKSDKLS -HTATLVIGMLCGASLFTLATHAFSFVSNCFSHGEDPPGPGPDCVRLPE ->YP_001497179.1 2B [Seal picornavirus type 1] -GFMDVVCRMFSATYHDKVLRDHKNYIIRSCLNMLALSMSNDKCVSAIVAAAITYDTVTVV -PPAELKYLITLLVAQTYDVFVNALSLVFGRLPRNVYDVARRVFSSRPTQQ ->YP_001497178.1 2A2+2A3 [Seal picornavirus type 1] -PLYVCSQPGKCDPFPPVLGKYYAFASNYVQDHVCSCFLPKVIVEARVLIKPTPICFMCYF -SHKIRDFTEARIICHGSCERHSIDYEPPCKRRLVLDVEEQ ->YP_001497177.1 2A1 [Seal picornavirus type 1] -SGCFCPLPNVYVPPTHNVLLDGDVESNPG ->YP_001497176.1 VP1 [Seal picornavirus type 1] -GNFESFLRPPPPEYPVNAIPQKTELFGESHTLVKHLFGRFTYLGYYTPDSGGWKKVALNI -PKRGVVNLMRAFCYWSGEAVLCITNNDDAPVEITHTFFDQPDELVDMSSHGSVLVPGKSS -VVTAVPFYSLTPVRSLSKPDPFGFLYIAALGTSRKVVVHLAFRNLRLYLFSGFPILDYSK -LQ ->YP_001429580.1 hypothetical protein SOG_gp3 [Marine RNA virus SOG] -MTRKEQIQITSLKKEKTQLEKKLKQTKTKGPKSKRKTVRVTDGGYLGFLHGSVPKLPLSY -SSDDSFACATYHTRAQFGMSTAAGNNITLVLSPEYSLFNAMALGFWEHTTGRESTVINTN -LWFDYPAASSNAPLMGTGAVAAPHNIAMQNQVPNTSNSGLEYTNGSYKMSFDGLHVTIKQ -VAVSLKTGFMATITRXHDGGQFLSYDEINNGLQVSSPDAMAATGLPHSTVHVCKSGTLDL -RIVPMACNKAVFNNKRFQGDVGGPVPPSSSFLYHVPGAHATGVVIEGEDSNALEPSLMNY -SITIAPFDATIAPVFRVTANGLYHAKVFQPTTGSVSLLNDTPHRALATVSPSNPQIASTQ -SKVKARNSVKAGEGKPPGVTVEDVVEGGAAGVGGATILGKGKDLATTAEEGVASTVEEGL -GWIARAGFPALEDAAMLALPMI ->YP_001429578.1 hypothetical protein SOG_gp1 [Marine RNA virus SOG] -MAIAWRRTCGSSAMDTSSRISRRDYTPSWWLASSFATAVLVGLTMITSPPCGKTHDSSWI -VSWRKVPTHSGIGPGSSGDFYSRSSWVWMQCSPVPSLHQFQPKPAIAKLSLSLLLPQTQS -RPPRPAKRHQQLLYRQQSLETPSPFQRELGDYFASTDLQGVKTGTLLTKSDLSGLRQGGR -ANIADDTGKTVAWLVNVIRAHAPGFDSMVRDQSKRNENAVTLAYWAQQNVGSSKTRHKFC -TDHDIDPVGVPMTSQAIAALRLVAATVALVPSAFDQAAVQTPTGDMAAAIAAVPAVEK ->YP_001331031.1 C protein [Porcine orthorubulavirus] -MERLLNYWKQDWALLRVSRGWWRQRVGLTGELTQSPNLDRGDFRLPPPGQHQHPPLQEVP -LLLLSLVRKEEQSKRHLGRTLHSPTPLGKKNALETPYPHLPQSEVHHPLMIPSLEVVLDQ -KFMRAI ->YP_001294928.1 glycoprotein [Orchid fleck dichorhavirus] -MSTFCSLTVLMFLLISGFEALSLVPKTVCEKEVGLHVDEWLHSCLGACKSSDNDLDMTPH -MLMEPTLEYFKAFGYFIHVSTLTKSSHTFLLGGCHITSQETPSDSQELPTNMAENILRHG -GPEGEIFMTKEPQCSLWSDNYVKGMLVKYHRVILTVSHTKSGITVLYEQEGVIGHGKVGK -TTSSSGTLVWDVRAQYPKCNYRPTGVLSCKREESYIRCRGMSEEKISSITEDCGVIILTT -DTRNIYGYHKHDGALTTTQTDDNQIGMVKKIIEIENLMCHHLCESSSEEGGVTHHEYLVS -TPIGPWLAVSTESHRTMFMCTDESAVSLVVPVVLCGNGPLVKVRVNEREQWWNISSPYVG -MGTHCVPGLSTGLSTVDGVINTWLGQVTIVNGSFTFKRDFINKNFHGAFRPSQFSWSHKT -GNDLEHIIDALNTQEKVLSHSHVIESHSVGAGENAVHSFIGMFTTVFEWIQSLIPNVKGW -IIKIFLWALLAALVILLLWILWKVLWLFIKSVFLRRTIQAVPTSENSDTSLNRAIHNWAK -MD ->YP_001249274.1 C protein [Mapuera orthorubulavirus] -MTYSVQGWTPSSSLQIKSQSRMMLMALQRTAPRKLRMDPTPAQAIQHRCKVQNQRATEFT -HLFLLHLLCPLQGTLARELTIQFSMTTLDVGK ->YP_001165472.1 hypothetical protein WMSV_gp4, partial [Woolly monkey sarcoma virus] -LERQKNQNWYEGWFNSSPWFTTLLSTIAGPLLLLLLLLILGPCIINRLVQFINNRVSAVK -ILVLRQKYQTLDNEDNL ->YP_001111377.1 Nonstructural protein [Rice gall dwarf virus] -MTSNEENPVGVQTLKPNNDAKRVFEMRKIPSSNVMRVEYTKQSKYRTCVCPSALHFAEEC -PSNDVLFSVGAHRNMLCVAKAFDQTKPRGMQRGNRRNNVAKVMTLNELMPKDDSNDRKKA -KTSKDRKVEKSSRGRASSSRSRGRGGFSRNGRDRRETDSQDEYSEDNSYKSEEESTEDET -APLTKKDMLKMMSMFQSGAKSKRRPR ->YP_001111376.1 Nonstructural protein [Rice gall dwarf virus] -MVKLRDISTIAVSESTHNFEVFSGLEGVSDTVANLKSENVEINVLGHNPGSLNILIDASP -HFRDEKALSDCFGPQMGGVVYDSYDRMVMKNGRIENYPDTEIPTLDVTPLNVDRSLTPKQ -KENRNKCKKLFDHLLLEIQRGKITAGCVDDYFAFMHAAYCVLSKVYLPRFKYKHFEISSR -LVTIHECNNNTKPSLFWSNRDDESHDYMTIIQMMVQVFSNAMTKYATSHLINEYHNDLDS -PTSDILTKVVDELKDIDIEPATYATIVYLWLTGEDEIEDLDVLAVIFDECRSDGFVDNLL -VRMLPPCEGASLTSEAIDTGLLYTRNDGYMVYTASMVEEDVNKMEYDRRYGLPLMEFRAT -NSLVTLRVDYTTKTNKQMKVQLMINKFPKFELMNTDIFSSQYLMLGKNIVIDMIKMTGHM -LRGNVQSANLDTQRKLSNLVSSSHPWITRLVANRARDDVHIRFASHMITDLDNLTKREHP -KTIFVESLKMLDHFGKSERYELLVYLSAADF ->YP_001111371.1 polypeptide [Rice gall dwarf virus] -MFTSSAAKTGRRRASTAKKSEDELVVDRVPNLIDGSISSGPISTGPDSDQNNKKKLPNPF -APKINNLGKDGQTIEQGSMLENKSRENEGDGGSPECVTPNHTDIGTSLGQVTTKGNECHD -KYIGRGSPPNERGGSRPSQERGDSFVAIKYPSMLTTLAVMLNYDIHNLYEFMMAVLNVTK -ELNDPNVLVERAVFDAMQYSRSRGIGDRESYSMFCYMIHGYASLMRLAEEPWSDGVSSNE -SEIHIKASDMKKSVGVTLTVKPNSLWVCNKNDFARLICIFTLPDDIIAFLRTDGEDCYGG -SNIYVGLDISKPPYIPLRDVEEP ->YP_001086455.1 Triple gene block 3 [Chrysanthemum virus B] -MSLSYLDLLLAFGCVLAVGVIINCFSVSHNNCVIEITGEAVRISGCTFDRTFVELVKGLK -PARH ->YP_001039885.1 MP1 [Nootka lupine vein clearing virus] -MEIEHKEIKLGGKKKPRQAVGSKGRGTGKRLVAHAAVDKDAPKGNSGGSYVFVADTMEVR -NKIVFNF ->YP_001019193.1 6K2 protein [Narcissus degeneration virus] -NKEEIIKALDLKGTYKISKALHDGLICTAVFIGGLVMLGRTFYFCMTDTVQFQ ->YP_950423.1 34 kDa movement protein [Maracuja mosaic virus] -MSLRAQNAQDVVPPLDYLNPSNFVKPKLVGLERLGLVKTPKKMCALMETDVVKISPKERT -VFPLNLVEKIKDIANYKYMMLLACVISGRWHVPNTVKGEVFLSLMDKRLTDEREATILTA -NANPSVSEFQIRIHPNYSMVASDAIQEPLELFVHVKGLRMAQGFSPLSLEIAFCVVCCDV -VIVKSLKMKILQNQDKFGNAEGEVGSEGLDDLLRGSNMPSIRASAVGSRKRLNANPKRVF -SGSKGNSWSEKGNYVGVRKKKGVVESDMLTSDAESTVSNYSTDVSNALPTNNDQAVTMGV -RQLGGLPNTG ->YP_874193.1 p23 [Raspberry leaf mottle virus] -MRACFSIDDMINTISYVTICVAQIRRTDVTHSGDTLNPLIRALNDSIGTLTAMKLDANTT -KLCGYYSEASNKLKHCATVEELIREWLFGCRRILITDVLGIRSIHDTFHFMINKFSELTN -VPLSEVYEMKMKHVSTLVIREMIREHRLDISETCFPGHGILNLKMKTGAVKHLLDVETRP -PTTLIGSTSSDMDIERADQLVNISWD ->YP_874192.1 p20 [Raspberry leaf mottle virus] -MGALSVSEVVVPRDPYMFRILYFIRESCYGIFFLSDRSKSANLGVLENMAITDDEKYLLT -DGSTFVSHLNVPLGEKYSVLRSIASGRRTHVADTSQLAKMCRPESVNRLGSSVKFFVRGQ -LKYVFTSPVSSDTPSRQLHFFYDDNVGAFSDGLHVGSVLSSLEYANFSSYDSVIIL ->YP_874187.1 p6 [Raspberry leaf mottle virus] -MDCALHTQLDLLAGASLMFVVYCLVLVGFYLYASRKKAVPETSYRHSTNAI ->YP_874186.1 p7 [Raspberry leaf mottle virus] -MPITESETPFSLAASPSSPPLRSTRVPKSSILAFSLLLMLTLCLISAFFISCFRFHRFCR -L ->YP_851204.1 6K2 [Blackberry virus Y] -NPNITMIIDFQSDRTKADGFVKHGINGIYNYTKIASDTFSLLLIACVVIYYVVQYFFREM -KSHITFE ->YP_851202.1 6K1 [Blackberry virus Y] -SADEGKNMCKIFASAILVMMVFDAHRADLMYKSFSQVRALFNTLYDSGNPFNIIFQ ->YP_851200.1 HC-Pro [Blackberry virus Y] -SEELQPLHDDLSELKPLNVINNELIRQNMHITTLYSNMSKLQNDALATKAEMKLPLFGVA -QLVVNQLKYNTTTHEWGERGDYVRKFVGKFFADFPTTQVPKQYMTRTTNGHIRITAYKAL -SLTSDPEIMMSRRMTQPMLTTAKQADCVFQSTTGATCTSASCTTNSSGVVLSNKCADPAP -NTLRVRTMWDDIIIELPLQGGRVHVPLEGLCFSTIFLHMYLLVPDESVKLFHRTVTERAM -PSLGQWPTLRHLATWVLNLVAMFPVLSTTPMPEILVHHESQSVHIPDCLGTATSGYHRLN -IVTPYDFIIFATEIGRNGCQEYRVG ->YP_803217.1 putative nucleocapsid protein [White bream virus] -MSGMYPQPLMMMPQQQRRPRKPRTKSAPKPPTTSKMSEKTKKEVTKSTMSTEKQIPMPTI -PAASKGSLKDLDVRNNLNQQELNGIYYDTVNNLNACHGRMIFQPTGGSLTEGTLTVTIKL -RVKSDQCLKMCSAFHKRNTNLETPLSAVDISKESEKSLGKD ->YP_803215.1 putative spike protein [White bream virus] -MWLITILATISCVTAQLSQTNPDCPIPTCTILPPPKTTLDNTKFRVVYTKDQEALIYATN -FTSPFNNAQYPLPTLGNHLNRSYTLNYDKVFLNIADSPDMLNLKTSWTVVNNSNLCPLSP -SVYRSTSPLQTLTTAEQYCFMTTATLTSAFLYDYTAMKPVGYIRARAEETISFFSIMKTN -MVNRPTSPSLSQAHTHTLAAMRTTATGIQAQTFKPQTGFFIVLDASRARAVTNPLDTAIQ -PKFSPSFIKEVTFDHIFQTTINETARSVTRETTTGYIMNAAKTGALYKASPAYYLFLISS -DCRLQQALSVPYDFSLGRFCPASMSCCLNKGYEQYYVNTWLFHYYNLKRLNVLNLESSPV -SDHFIQLHRTFNTEHSMHCDGSPYASDTTYNIALLRDTVQFLNGTKTTTPLANEIPQLTD -SLGYLKYQPDMVDASDHQNYMAYVTYLMAIFYPTFTEAQKFEFHNLLQSVCYFDKGSISR -NQAYHSICHIISFQTTASVPYPIELQIPFTTEPTSGYNAYLVKTPIGSVAIGNQNPFDGE -GVCFLTTCIYHPTKKINQKLTIPPVRWQVVPEGIAVVTGIPPNCLGYETYTQGSSFFTIY -NDSKPCQPQTMQIAQARSVITTVYSAAQKSDIFVQPYGKSSWVNGSYQFDQFVGGALNLR -TLTFTMRKPTQLYVLDPIEGTYIIPDYQTKFPLLTSGTQTYYYDPDQTVTNTTEVSKVTI -DFSIRTMITLDPLVFNCEEFICDKDPTCRTQFSSYCQTTQPILAALKDAFEKYNRSIADY -TSTIRALTNTTQDLFASATPVRYRRGVEVPLGYGHDLEQPSWVYDLGGTAIVPWIGTAVA -VGKLANRVASIEATLYQITQGVKQSIQSTNDKFDKITNILHTHLRPVTEGLVRTNEQLNA -FSKQVRDQFTMINTVTTELSAYVDQLQQVFTVGAFYQNQILQVISQLNSLQVYVDTLTSS -FTDCISDLNQKILSPACITTHQLLQINKPSDNLGLKNVLTHYINGSAATFYHLTSTKGVY -KPLPKLFNNTHFLAPTTAYNPVTGTCFFCGSNACDKSVTVDCDYQPQPLQSTILAVYPTP -TGLDLLTITSNKTFEIKHGSTVVTSVAIPPPPILSSTININVTFQQQLLQLQEQVDQLNL -QTNYTTTEIQSIIDKYNVEIQNALNQIVDFGPGTPSLALWKVILILIAVVVVIVIIATTI -FCSVRKNQSELPLQVLSRLR ->YP_802940.1 Ms [Siniperca chuatsi rhabdovirus] -MQHSHFLIYLARLLLLNVQAYNLVICQCSELAFMHIDDYMCILNY ->YP_802939.1 M protein [Siniperca chuatsi rhabdovirus] -MPLFKKSNKKSTIKPYQAPPPYSATALTPSAPMALPDSDYGIKTMMVELDFKITSSIELK -TIGKIYQIAQYMLDEYTGPIRSKPLYMGLFLASCHNAINPSMVHGKWHYEIQFRGPVGFN -LANNTPLDWVCNPVAISYECNTPERSLVSYTCNMRPTRMTGSSFEKMFHGVLVHPSAESV -FGIFQIAEAEVRGEDIVFILKDPGHSWH ->YP_802938.1 P protein [Siniperca chuatsi rhabdovirus] -MAKPTFQISYDLEKLATNFEELNLAELKRNGEDPDDPLVWKPSPDLLPYAASPEAEEYEQ -GEQEMECTDEYTPADSSWTAEVSRPPILPGYTTQPRWFGPGVTAETKPIILEELASVLQQ -IGIKLISAAPSADANYYLLYPNIHMSLMAPGVLESGRPLPPCPAPAEAPKPPAAPAPDPP -KKSPPAPRQPAPALVEQIPTGPIFDDDDVRAVLWASGIRVVEKKTNKTAILKPCALGWMI -DDWLQVDIGAETDVAEIFKKMLAKTPSRKLMMYKYIPLEVIR ->YP_762677.1 leader protease 2 [Strawberry chlorotic fleck-associated virus] -GIYKALPLESRFVKQAPVDEVDFKKAPFTGVLPVTKLDAVVEFVTTYLHNFALGDVRFFN -HFISRNRRVEVCLVGTTDKLVRVFIRMGSRWTSFDYEALEYAPYFLFFKTGEVIPEYVDR -EFAEGYCYMNFLYYTSLTVNRPFGVFTAMKTLGKFPTATKLLWFIRSRFGGPGRKILVRG -HFTSNKKIFHVDSTSSRIYNLAKMGYTVRVG ->YP_762623.1 p28 [Strawberry chlorotic fleck-associated virus] -MSTLLSLQKVNRFVCVVVPDSWTGDVFGFNVNKSQANKFSYGDYAYISMQEDLSKSHVIL -FAFEEDNMYHIKYIQTISSSISVSSTDEVVKDFTVEDLSAVKFTLRVLRDESILSCEVHE -MRVKVKVGYSSFIKFGTISYVPPGEGHINTVKPSFQFVFDTLRSDLSSETVIVESGCPTA -TACSLTPAKSPFSQGALFEELEASESYRDHEEKIYRCLRASSMVSFIICFGLVIAVVVVL -IMNSQNHG ->YP_762630.1 putative silencing supressor [Strawberry chlorotic fleck-associated virus] -MRGYYDVQAFKDLNNHYVSCIHVVGAVERRTDGLKQHCLEFNNSLAIKAALKCDANSDVM -SRKNSAKEKLEILTEIDANCAILLKLLRKKVVRYELGVRSMQGTFDYIYQKYSSLHPEVP -HSEILRHKITPAAQEVIEALSEEYKLSLSDRSFPGFLVLGVSTGTNRLSFATAADQADLV -TENDDFVNVRMES ->YP_762629.1 hypothetical protein [Strawberry chlorotic fleck-associated virus] -MELIQHPTDVRMSRPERLNFTTYIWNDGVLNLLVCKDIKHASDLVPYSNCCIISKTGYLC -NDGFSYVVGAPETNLLYDLLDKLKKQPKMEFFPFSLLCTATFNQNRVLFSNESRLVAFLK -NGKRMEFTNSYEPTTTIVIYQGDTDMFTSSSFEGDLLSCMLKAFPVGAYKNVHFFSD ->YP_762624.1 p7 [Strawberry chlorotic fleck-associated virus] -MNCYERSDLDLLLGCATCAIIVFLVFICMYAYSTRPTFNKPSVSRSRAGNSDFQPPVSSY -ATIS ->YP_724458.1 NS4 [Norovirus GV] -NKVYDFDAGKITAFKAMAADAGIPWYKMAAIGCKAMGCTCVEEAMHLLKDYEVAPCQVIY -NGATYNVSCIKGAPMVEKVKEPELPKTLVNCVRRIKEARLRCYCRMAADVITSILQAAGT -AFSIYHQIEKRSRPSFYWDRGYTYRDGPGSFDIFEDDDDGWYHSE ->YP_717670.1 lysis protein [Pseudomonas phage PRR1] -MCKVSTKVDSKLTESVGQLTIRSYLWLRNILALAGLLFVILLATNHLSIAIYSP ->YP_667837.1 P0 protein [Chickpea chlorotic stunt virus] -MNVLINKFTSNFEINFSPSLSLATRRSLICLFLANSSEFIKISNERDGTDKSTCYRSLLL -LLPFLFGSFEFFGDQLVVPWNGGNNRHHGLFQRLLTNTRFCTLRLQPGREARYNPSIQIT -PTRVELYRYIQSPLVEGLSGHQDLLSLGLHHFTKLVGAYVRQLERNNFCLRSEIILGDSV -DLDVSHLGILLMDGSDHNNTHHAYSGSRVINCLHRCYGQALTSDIWELFGVDYCPCLQVP -SFPLEAEIPEDSFQFDEDSEGEDDEGFWEL ->YP_654540.1 p15 [Citrus leprosis virus C] -MLNWSTIEWDSFWQQHDCGCFTFECDFITSIDPLVHDYAIYHSLSQKTVLEMLQTHLVAG -PDASETIRRQVAFLIYDFHRLSCNCDKCYGDCNATTTGRFKVVDRVLNDHIEFGIMRRQD -LIPILHNLET ->YP_654566.1 cysteine protease; C-prot [Citrus leprosis virus C] -DLTLSNLCDHDFDAYLPSHIEVNDAKCTKDLTVIQVPGDGNCLYYCFVKACLYRGISVCD -LKSRLRDSPYFLEVAKLARDAGEDEFLDSLERDGVYGNKFTLILISKTF ->YP_654556.1 polyprotein [Black raspberry necrosis virus] -MLWFLIYFILSLVILLLSFYSLVLIPYNSPAYVFVELRKKHLRFRFTCSVRYSFRSLLVE -QVIGALFWIALIYLVRVVQLSFRLLFRVSARSVARCISLSKSFYVMSSSNIQEAYDKAAA -AFNDSSSSIAQAIALSKEKAWLARPDECEAAITTMLSKGKIYVTNNIRNRLLWRSVPSAV -MGHFNTGFVPAVSTKESKEPTISNVVLSDAVKKYLADVGYTTTQYKTSATGKIRGVTSQQ -PAAIVRVDVMSLRTESLVSQSNAGVTLSLALDGRAKKPEAAILGGHLHFTKDDAISTSLF -YPRFSLSSQEKNLDECVQVWSTSQGYNLKPGSIASATCSAMVGEVTTQMGATSMIAPVMD -QLEKAKKQTMSLGALPIHPYRPTENNVDEVVMNWEGATTSLDFIGSSAGTSEWAPRNSEG -NRSIRFSGLKAIDGPGTSNEKHFITQEELLEEENPREPRERPNVRPRPARNYDDDFVEEG -DGMPMQPIVIAKEHIHFPDSDYLKEDVHIYTGSVSVPQVSTDTAVLAFLNLFEGMGLDDS -KDPFISKLKLASLVVPKFKVRVRFSLPSMYSCPIIISWDEGRCIGDTYALEDLVQLPFCV -VGPTVGQAYTDFSFETYGRTGAFSLARKISCSAGTLYFSCMPHDFATLEGKISLHYEIWL -GQGTIISQGLFPQLPSQKATLVTGLTERTYCANLVGNTHLGRMVLDHNTDTEKFFLLAVR -PGVGAISSDGKTIYGSNMSALCANWAFWNGTACISVNITARQNVAGQLSIYTLPPGYNTR -KLREKDCYHWPREVITFNGSQVYKFKALANSWLGACSTVGGAFVDQNDQNGNTLSFAVFI -NAGPSSCAGFSTQVDVLFTLTNIEDLTLSERITPQTHRAPRPTANYAPRKPWESKVLATQ -TEEIVEQGFGIQPPNFHRLYTLRNLKQTSEETWILPFTLAEPKRSNWKNCKVYNYESPEL -AADKEPLVIIDSTNPYRLLVQGSCFYSCHLEASVSLSSTKKKASAVTVGILKNPFYHKEI -GRAQKDGDVFGGGLRDATSILPGNIAILTQPERENIRSCCRPDLEKSGRAFLDTPSFLCI -IIPANSDVTEVETGYSVKGPLSLYGIGLPSPVDIPPTSKDRYMPHLTVGNPVIATTSENS -ALMPIGWWDSLKEEKLWSKYRKHCEIREPTKEHVQYLERKFRDNCFRVYNVYEPDAELKS -RMHLKFTKPKFTPGQDYALNMQFWLGSSRDNMYELIWRFDDSYPEGYIISYSTNMGNGNW -DKHTQWMSNLDRFAPRDEVDFGIQRHSDHSMTIFVDGIKAGEVRGCFPLGNILAGWEYQV -DLRFALVRKGSIPFSFRPTGTLRDVYINDLPSPMSLVRVETTSIQSNSSMYPLEPVQVGV -APAQLDYYLSNNIGFAKRGATKNTTQSNRKRVEKHGKLEEVVGEEEGGTRGEEVPTQEIL -SASPHLGSTIPVAYNTNIKGKGKGIEPMERVRIGSSWVRERNGRRRPEPMYPYGRLEKLD -NSLRNEPQTEKHHHFKWRGIEVSEGTDYHVCYRIGRTPFYGCCERDETPVNTIPLAIREE -AEESVRRRVLEALNPDELFEQYSHMYSQDILMEACSLTIQDYPPGNIKYVDVLDGVLKEF -GVNIRDYRYKENCGCCGRKEMVLQCNKGWRLNALRRGGFSIREGRRTGLDYLGSPSWTGG -VIGITSDLTPVVTRHVFGELVDQVARSMLAENQNNVPGYLKELEKFHPGALGL ->YP_654559.1 VpG [Black raspberry necrosis virus] -GGSYAGSGVNIEATKYRDKKIKPYSQ ->YP_654554.1 VP11 [Micromonas pusilla reovirus] -MALPAIHNWEPYEDSLIRIKHSCFAMHELYRERYLLARTRMMYYDVPIIVLSSVSSVFIA -GGDAYMSKSMVQILTCIMSLCVGIIGSLKKFFRVDENREQCLETYKDLFRMFCELAIVMD -MPSTSRPGDPQQYSTETSSKYAEIMQRSLVLEGQRTKYNPIYDDHNPLPPEKKKSILSRT -RSTKLSSGEITPV ->YP_654553.1 VP10 [Micromonas pusilla reovirus] -MNGLSLSATKVEGNLYATLDSFLGKAPRDMPTHVLRKFEDTVEVGSTTAFFVHQTTNGIT -SSDNAHTAIIMLADGTTSTLGEVSGNFSTDAGDVVIASAIAVASNSPQNAPPGVASATII -PEGSVNFNSGLTSSNVTQARINSVQQVTDSLGKNHGVFCRDIHVQRDDRHLAATKVIAVS -GLTTDSRTQVVLSSYVLQRISATGTSVAFESGVHKDFVYNVLLTAYESHGCLATKA ->YP_654552.1 VP9 [Micromonas pusilla reovirus] -MSGIIVFHGDTPIAMSQTTDISSIVGNDIIHINDYALRIVPRKSTLLRIHVHSLKELLDI -NADYTPKYHTLIKEGKIEKGSPFDAIRNINDMSWCELKLYDAGTDTFTVMHHAPKYTIEV -TLNKQQMNITDMNELKKEVPVRQTESTTKDIKSKSKTVNWNDIVEEDETKTIMFNLPDDS -NPDDDYWPHRSLKVRMSDEYIARHADEENNSFFNPVSPFTAIMNKMHSTPTQGQSPQTPT -VVPNAVIEFEGLVMESIKTRMLNESPSSVMVAGQGMYIKMFSMDEKGNISIITRNFSKEK -LKLVIIETPKSVDPAEGNRTVIVTSKPVSNGIKLRKLERGMFLRAGDIDLKMAPCANLAE -ATSECTKFVRAFCKAYNSVVNSNLAIKFPSELD ->YP_654551.1 VP8 [Micromonas pusilla reovirus] -MSDTNEENRDEPTVVIVGPNDAQTETTDSTVNVETSSDADKNRVALEANINAQVVAMDED -QYYDQLELRANEVAADAEIYEAPDQPANYRNSGIIDLYDEAKDFLDSSATPQWNDKFWGL -IDMGGHCNAGANAIFRTVIKALLYKKYYGAFPIIGDEYDSNKQDMVGSSKDSFYTILGLR -NNDKPGKLTHIEAAILDMCTNLVCSQRNPKVWFFVSDAQVGRFMLDFQKHMEVRYGQNAH -GSISIFTGPIPLRERNYGQNEGSLDLYEVAQVLQCVQNAETDHQVYQLATSPNQLYFKTR -VAVKDGQDVIKHVPVEGGLYVKTYAPEFKHPEKLDGQYVEPRYEGNEIGKDVEYTLMDPT -TDYHRVGASRVKRYGDTLMEYTETIPRPECGLIVLLNQCYYFAKARLNPFALLEMRLRSR -GGAANRIVSRWLEKGEPLMAHDVVQTLENVVLDGAMQN ->YP_654550.1 VP7 [Micromonas pusilla reovirus] -MGGRSGDLLDRRTSRRGFTCVLEFSDSDTNVDPVSVLMGNESAFIGKINNDDFKKMCSRM -NWNEDRGTAYIESVVGAPFYDLSDPVVVSHTRANKLITSPVSYNELVVWTQGKIRDSETD -KLLGPTATNEALKTLLGSEVSANIIWKANSLEYSVPKLTALYAKGDRSIPHIFWTKQTLE -FNSPQCHEWSAMDEISKNDPLDPLVMWPYLEEDEERTLKDLHTEIRIWYSLRTGRNPVKQ -TVSAAPSKSTLEYVPWVTRNMSYRSLPVQISYQSSHGLLWRGNRPQLYSSTLFGDNTVEL -TPYDMSQPYVNNDPFVEMIPFNREIHNDYDFCWKQLNDEYFMRKLPAQGEDSSNMFVVGD -STFFLGMGSVAIAWATTLGAGAGIHQRKIRQVVARRYKTDDVSGHALSALLMHSSHFYWY -LYEIYLNHKSSKSVYAFPFREQKSGRYHSISEYKGALDAALQLNLPDFAIKRLGQFTKFL -KKLDL ->YP_654549.1 VP6 [Micromonas pusilla reovirus] -MLSFRNQPGNPSGNLTFGGVSNRAREPRALEIRLDQDFAEGERGVHFVATPDPNVDRRGT -LCSADVQYILKNVLSHYNSSNDTISVSKRAKSLRIIQELKLRYGLTDEMLQKLSSLLLSS -PLMSDTMDGRRKVTDIISTCASLNSKSTLSDIRGKFFNDYVRYGGNLTHVNVNTRSSASV -QDDIPIVLTVMNLPGKTSQAYVVGDDTVNLKLICRKSTSVYNMNPDDEEMRTFENKTETR -VISTQLSEGSAEYKYFLTFIQEARLAAHNENLTMYELTKSFNINAPLDANGLKEVKKETR -DQVMYLEFGSSIEANLIFQTRAVKPNARSHHKRIAAAFQPMCAFIAWMIESDEVSRGSPD -QQKLYYGAFGGDAPLGFQRELRNLMRSLYWTEGIKYDAENDLYPKCWTMADHGPPDSNNY -NFEIEHRKIILAMYVLEHLREHGRMPHRFAQKFSTGVSRTPPVIGAVARALRKVCVDDTL -WCEHVLRTTRKNDPQRTKVTILDAAAQIFLQNVSRNAPKPR ->YP_654548.1 VP5 [Micromonas pusilla reovirus] -MSSNATTRDFATTVAKALIAPGVCDTHGMSYPSIGAPKQLITVHGNASMTTDAGVTSVVA -YLDPLSFRSGQLTATLVGRNGNLEPIQSTQVDIGTASSDFSAGSVLSLAITGENTSGDDS -VSGTVAAGVTHDLIDDAQAMSHAKLERQTEYKDFVYKSAREGAVNAVAITPYLQGGSAYV -GRGASTNKSSVKSVYTAGASGGLAALGFPAGLDGTATSGSTLTEKIMWHAKNVTADKARF -LNGAAKVIEFDVSLASTAASTSTGAPPINYVIEYVDSTSETGVMVPAGAASAHAAFAAGR -ASFSITKPIKDIILKTSQANSQAVSSLVVSVTEQYECADIPEAPRAFVVFEGLKPGTSIV -SVRCAATLAVTPSPENVSLMHRAPISTDVDYSLFFEFMTAFTRDAPMAFTPESRTQFLTM -FDSPTSMRALQLAFDFDRGFRTVGRGLRNFARAAKETRHQASQIAKKVDPIFVAIKGEDI -PFLSDAANLGHLAVRGAQRTTMLEAASYM ->YP_654547.1 VP4 [Micromonas pusilla reovirus] -MNRSQVEYQSEIVPDPLEQQIPEQLFEGLQVAENAVALTADTFSPDVFGALVSRFLTVFT -DESNTPQGYYPIWDGYETNNLGNPGVFSGFINSILVAGTGNPNFHSDITDLLGDTGPGIS -SEHGYLLPGYGTRITRRQPIPLDMLEHARGTLVEARTSYGQDGRGPTYQSGNQSYVSALR -SMFTGDVASSLIADERGGGHISGALANGLFNVAPVVYKTSEIGDTDTFKRRVSNAPSIGD -IKLVINGQSGPDLKSEKDRIYQIPDDDRYVTKITSHCDLDDRKLIVEKVKLNVPRRIKIS -AHAKADYNTIVADSLRTALVAAFNGKVYDCLQLRSSEDHGPGVVIENMPLHEYPHKSMTL -SGETTITAKARDEFVNVKFDTKSTSGTISIPITKMKFVFAGIMKYDPKSATYTYSATNVV -TNIFDGDVGVARLRRSPIRVNKRSYDYNDDRNFRPESLKAEISMPYDRRNSGAVIHGLHN -VLERYDLERKLETHDPWTGLPFSNSIMSPPGEDDNLLRYSGIEYDDIHAIYTSPSTFNGT -ILGLTSPSGKEIVERVADMCLHMLLSQHEVDLYGRDIIASTKLSDPKLSQAIKLIKARLQ -REIYDKSAITSMHDKLWFTNVNESVAPDDRIVVDIMLARYLLSLTHHIVYPISEDTYRST -LLLPPRINKAKYIPVSLSEEGYRVVTPTLSVVYSYFMKEPGSRQLLKQYISKDGNFKQDP -QNKLDWLSAINRYEPRNFKELVNNSTQGRIELHAIVRAPLVEVTYESVLDGTYTHCIICD -NCKIITLDYLIQSTVYQLCTKSESMDGVQSVLSKVHNNGGKLFVIYDKWFILLFVIPEKT -DVPPIVFVQTSTRAARIVPHTKNTNSSLRRADAKYDYEVLMQSAVSCDLLYMSKTIEWSS -GRRVGQLLRDRSVKYA ->YP_654546.1 VP3 [Micromonas pusilla reovirus] -MSNTMPEGYIPRPGKDQTLEAYISEELSKHNLTFNDVLNNEEMMAELSKDDAFMKLKAEF -ENKDKPEVVEASSNKKEEKVSEPKVEEKKDPEPEKDAPALVVEEVVMDDDDADEVEKPTM -EAPKSTVAKLTETQFRIDSSNLMSKIDFTIAYDKLMTVDSFGVEDLVTKSPFYATRLDKP -RHMRMDEFCNEFIEPAIPSALGHPNSEGYTDMVGFDWEKNQYLNLYEELGRRSVEAIELD -DDANAGDVIIGGVTSLSMIKQVNAIVHDAILPKGRLAYIMAQMRAARCLQFTRVANGQEA -TPEDKLQAYMDAYMNPTYTRALRIRTPEPRVVTYNKAIDYVMYRLTLNADNDFISPMVQI -SDSIDVGRGVRSRSYQAATTVMSVIASSHRNLERPMTSARAPAKVITAFTDLVAPPAAEA -MQKLGSYCLGGRRAELTVDMTDPILQSTDSVLAPIAAAANLILLDTNRLGNDIKRTLVYR -MLSPFYGTGTRAEVDRINFNNIPRIVLTPIPDRRGLHGRLANFIRRQLTKPAYGRMDRND -SRIWRADIQTTPMLPFVPAGGMTPLSMHIGDERLENQAYAPQANELKVSLMDFVKMFDLM -HARQGKETYLSSQFSAILTNESSYLYNSISMVMRTMIRGWESLDLLPPLDGAVPGALEMD -RTEAFVLPFTGAVSFICYGIEDDGLEYVPWEQRKEIPMPSSFPMLLDMEIRVANELLLEA -ADPAGRFTRSDMLINQDEFINMAEFIMKKYLTSIDGGEFGTRYRLHWYLFEGIRIHNRTL -QTPGIEDLKVARRILDGVKYPEDIATAVYIKIYESVNPIDLVNPDPQRSDYQVYMEEIPA -DDEILEIKDIVTYRREDGGEDFNFTPYLHSGETFNLDLIRKLAKSIPGDHRYVKVPTKVT -VKPVELLDNVDVIAEDFTVALKFKKGVNEMRFEASDIPLYYRWDKFNGRSQLTLDVRVFP -DTNVLLDHVMESDPRMGYAPQVLNDGTVGWVSTLSGNVYLEERKVCRREEEVLKSGIDLL -IDPYGV ->YP_654545.1 VP2 [Micromonas pusilla reovirus] -MSRKLGSNAPTPMHLRLGNRYETIEQLFNKTRDINRPNKQQDVEIANTQETQWMHACKLA -LDLATEEQFERAVSIVKEWSIEDRKHDNPTESSYFPVIIRSSKEAEFPFKERSAVLTQYG -MDALLEARLNDPSGKRGVDAEESKLGFINIETMNVKINGDYVTVNGVDLPKWSADNDASA -KVGVTCSEAWFGARDARWTEACRHLPFSLASTYVTWLMLLTEQAAGNDKFNIDGHGFTNL -LTGLIYLASEWATRTSKSRLKKNVDIKGRVLLESWIKGNPPAIPSWMDDWGKPMKYKYVT -ESDVGIKPHNDKGLAEWSKEAQLRNTPEGADTESLLRSRIPFTEADSGPPYITDSALTTS -GPLRAFLCYSTAVECCGSIHLRGFTEYYKCVLHYLGTQPGKIGKQISKVSSPVARALGAT -SKEVMVPRRVQPSEHVWCDETDCVTVKFEDNYVLQLMCASYDIPTNWESLENKMFENELM -GHCLRHIYDEYKHEHVNIHNSDLFDVITHLTNAGSAEGYVRSCLATLPVDGYMSHMKRIA -LEGIDSSEDKEVSQYERVHWDAIMRTILPPTPEGAVQELKALSNSRSGGADRIRFKSDRN -TALANSDRETDAPFVMSSNRKNSTIAMAAHLILNPVTLKHRSSYEVPLPTGIRSVPARIL -RLIYNMPLPMQSLLQPLYKAMKAYMKRPTHNERYQLALNKGVVVHDSRTLINNSINCVHN -PRLVSIADDASKLDQHEGPRARNVLIDALEAIPIDNGFADEFGDSYTDLMRNMLAGWNTN -YFAFNVDAEQKQVMSVDTDPSGALITAEKNTVTSAGIQDMIIARTNIPQRDYLWGDDAYA -MLTIPDDRNIVELIREREVIATDAGQVMDTLNGSWSGRGVHFLQVYTLWGQRMKRRTAID -HENPARYSMGDSGSLLTKYVMMGTRGGGIQMTNMLILSTIIQASRFKVFGRQFFLPFPTI -AAPGGHVNMILTGFPAPNSKLWLSTNYHHIMPQHVKDRGVIKRPAVDQPEHIGARLLSEK -DTLEVKVTIGGAVAQDAEGNLPTAGDLMKRAHRKLREQTRHDLYKKNKHKFLNWALTADV -DLGDYSKLAYSRSTQGAAEKAIGTQTAEKHLKKWFDEKAMVRAGLISVARGAADAPIPEE -TESLLLNDTMHVGKVRIAWRFDNDIYLKFKHDEKHNILLFNKAMNPVKEYKYRWHPFWSQ -PKCMKMLLAYTGVHARPNVLTIKDLTAKFSPHRFRADLHAEDIMGLLKKVPTHMQAQALS -FVGFDSHEIEEMQKHIPKIHLYEDLSELDDYSGMDDSVKCAELDRITEFLQMVMSDATYG -ILDDPESPELRSAVHVQFVSLLADEFNVSYACACSNEIRIRLPIPELSYDV ->YP_654544.1 VP1 [Micromonas pusilla reovirus] -MDNVISNIQTSLHEVHGRFETSGLNLTSLTSRVSALESGIDEDFSGQLSLKADKNEVAKF -TSNSNVVTSYSVSQLGAKINNLEISDDNLQSSKVNKTRVSIALDDNGNDISDYTLSQEGL -GNKLKVMDNEIGLRALTSRIASFTRDGVLTNLSSVELGARLIATESNADTRALKSEVSSH -TDAENVTTTYGVTSLGAELHRIAVAVNSLDTYVLKTEVSRLESNGVVVPEYTLTQTELGA -KLKNIVDSISNFVVNTQVSKNYDEGTLNYELNAYTLSDYELGAMLERFDTTHLLKSQVSK -RYVLNADGSVSNDFNSDYTLSDFELGTKLFDIDTLLNTKISGEEVSINTSDPAYTLNQSE -LGTLLKTLTGRIDLVSPADADSSIFALKTSVSTHASNTAYTLSTTALATKLESMDSLLSS -HGTLIDSKASISNMNERALQSRVSLKFINNDGVTIDEKFTCSVLELGSLLFNMDAATEAI -ARNYVTDEELAAAVATAVATTGALTSTSLLTTTVSTITKGGSSVVRTAADLARAVFGGGD -SDDDDDPPPPVFIYRSEGIFRPANWGSDGNVDGYSRPMIEGRENIYASDGTAQDLHSYII -NLVAGNAKVFYRDVSYTSKIRLLGSSGSADDKAAQYGPPASTSYFFPAPASLATVEAGLS -WGSSTTRTQSDGTTLSGYTGGIHVLSCFGTSRFEGRSESRKVVWLTGAGTAASSTLDAKL -AFDGTGGDAPIHVFGESTTKYGLDLHDAGLVMRNSQSNAKIDIKYHSSNLVFASLTPNVI -PASPDILESILTIDSSANSVGIAGGASSQYKLYVHGGANFTSGITSSSASITGNNNSIPS -LSISNTTVGAKKEFKMSTDQDGLIIEYGTATSGVVVMDTRISMNDTFTTVDSVGTNSGLE -VVGKLGNKASMVLRHMPNSDNTKGTITLGRYLSIKTDLDASRLYTGYTSLDATELIYMDN -SNVIVKGDLSVSGNISLIDTSVTPNTTTNIVSGISTALGRVSTLINGGDLSMLRPDGTSI -VVRAANIESIGHPAYTVTDEQGVVTSVERTGLFLRPDSFAVDDQIDARLTSVGLTEGDGN -ENNPLTLNVYTIPQIDGIIAEGHVAGSVSRIIFSSSETLGSRTFPSVAITPINNPFITNA -TITNTIVGIKRVTVSGNSNEQGSGYVAGDTITISDSFGTGTNAVFTVVNASSGGVDGGST -SLTITNPGAYTSISGTPIVIVEENPTYPAVTGITQQSSSGSGTGVTFSVELSVISVDIFN -AGKGYVTSPSVVVSGTYVDTVSNTTTTSGIQSAVAVLHTTSNVTVLDSRFSKAATLSISN -TATQLTSALNGYVSTSDLGDELANYYNIDEIVEGFAPIAAPGETYAYLSTTSLENYQTRA -ASNILYQAKETADNAYIRASDLTASGTGFYDKTTSDERYQAQPASGESFALASSLVNLVD -TSTTALTNYSTTNEASTLYQAKEDLNDPYVLRSTVSLDNYDTTTTINEKIAGVSSHFTKS -GNNITYNYAGNVGIGGTPNTKLEVYGSIQALPITDTSCVFGNARVGGGAYAGYAHFTHAA -INPTHFGNYALLQYSSGHTFLNCSAGSGVGLSFRKNNADWMEYQGNGLLYVTSGNTRYIS -YIGFQYNPSGLAAGIMAGTGNIVVSMKVLYAIECQRILVVSDERVKTNIRDVNDHEALDI -IRLIKPKKFEYIDKESAGPGTIYGFIAQDIIQHVPECVKKGPGVIANIMDTARVCNGRTL -IFERFDTSNLKGVNGTIQVLDVDGKNHDVIVETILDSRTVIINKDLSAYTGSVDENGVPQ -KVKHTTTLTQSEYDAYEDKSDIVKDGDTYTRTEYSNVGDNVFVRGEHVDDFHTMDKDHII -AITTAALQEIDRQLQLEKAKVISLESRLSALELKFGV ->YP_628286.1 protein-tyrosine kinase [Y73 sarcoma virus] -MGSSKSKPKDPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKL -FGGFNTSDTVTSPQRAGALAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWL -AHSLTTGQTGYIPSNYVAPSDSIQAEEWYFGKTTRRESERLLLNPENPRGTFLVRESETT -KGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFSSLQQLVAYYSKHADGLCHR -LTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLKPG -TMSSEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKGEMGKYLRL -PQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYR -MPCPPECPESLHDLMCQCWRKDPEERPTFEYLQAFLEDYFTSTEPPVPAWREPIGLELLL -APEASLWGTGAWLRAEGPRFGEQPQSRMWHGEVSGAPSLIKTVLGHP ->YP_611153.1 coat protein [Prune dwarf virus] -MSGKAIKSGKPTTRSQSFALARKNNNTTPPAGFVKKQFPSGSSKSISEWMLHGPNVPVKS -FSGMISRTENLTVNSTASGVYYTMKVRELFKDFAVDTKVYGIVFRYCLDVSNGVYGLIKG -FDVNAPVAPNPLQRRKFTAKQASGVQILAPTGMTVGDIPDDLWFVIKYDNAFQPNVPVWF -CTQYLQHSMPKRVEVPDSVLYAERDTALMDAMDKIVSG ->YP_610969.1 hypothetical protein DMV_gp7 [Dracaena mottle virus] -MVFRKTSGSILCAPMVFRKTSGSILCAPMVFSKTSGSLSFVADISRPLVSFRRVSRPSLT -TAHLTRGRKAETNTFSALARRESVRIPYETTPFAFVDAFL ->YP_610968.1 hypothetical protein DMV_gp6 [Dracaena mottle virus] -MSGFEGNPGDVNHPSRRLEPLPDADMQNIRLYGVFTELAYPRRRPLVPGAVQEGTSINTL -QRYGRALNLIETNQRRALRTQLRVAVELSELKMEACDRVRTRDNAWGDTYPHLRHQHEQL -LRLTRQLEELIHDMDSVLL ->YP_610967.1 hypothetical protein DMV_gp5 [Dracaena mottle virus] -MSFPGGKPSPSMSWQIRSSLTTAPNSCMWRTYLEKMRRKLGSNGGWHSSKSMRASLQWRM -IHKQSSPKCEESSPLKIQARGQQKNKTEPTWTSEGSAAQT ->YP_610966.1 hypothetical protein DMV_gp4 [Dracaena mottle virus] -MMRTFGSRCLPPVEHHPIQMQKRNFFRTSSQQVLRLPLRNRIWSILSASRFPCLRSTTPI -LRMSSTRTMAFYFLIMKSGSLLPIPRKLVGSPVLPLFASMIPT ->YP_512251.1 small hydrophobic protein [Beilong virus] -MERDVTIALFTIYLVCIFWGISSIIWFMYLTIRLYMIRSEIYKKISILNNEVVFLQELSR -EHPEVLVNGPPPYTQV ->YP_460031.1 VP6 [Liao ning virus] -MSKPQTIPRTRSQVVGLTSKIKDFTKSVEKTTLLTQAELKKQHRHLLSQQPELFSGLVKL -YVDNVAKFERIVRLYGGQGSRHSISSVGFSHLIEYCNNYELPDDDSTLKSICFAIFQIHS -NDLRNGGANALFTIAAEYFNKPEVKTIVGEHIKIKSCNKVPVAIVNLKALTQVIPYRGVK -ALRTKLLETQDDVALEHIGSFYNTCKINGEFDAVSFCGLKLRQSCVITVAGHAIDVGPDY -YRQMLAEVTALLKLKRKVGSVVMHGNNQFGFVKPLDASQTQSVTQIRKILNDASRASFIN -IKNAANRDEMVYAYENCLLYYITIPNTGNKSHCIQALNLSGLLEHRLLHYGSLGTKCRDP -SCGVIGIDRPELYSELNRIVENTTIKDRADAMLEINADEVSSVKSSNSNKSSNKSKYVRN -SIDKGHNLTDLSDANGDRYSHYSQMGKPSGSEIGKFITAEAVKGISDSTLNTTELNLKLA -MLQASPATSSRSKVETDNQPNPIQPKDIKPVQKSSDELEEI ->YP_460030.1 VP5 [Liao ning virus] -MYQQIDFNAVDYSVLYNPGGQLVQPTAIVGSPVHYEAVYPTPMLPYTAVPTVTSMMDHLA -VEVAGSSSGFSSDGCLNVDLGLQQENHSLKKEISDLRSEVERLTAENNMLRSHVCERMNT -NEVVGIADKATKCVDKFQAHWDMMLRSGNTVQHKGLKLDSVLLARIDTLYRLKIKQPSSH -PLIRSYFPDAVDNEVLYDYVRINNHFTFLKDCYNRVLNDINPNDLLNRAIELCALLHFSG -FNAENVTANNLRHLAGRKYEHDIISNSYPYKVDMLENDTKVKDHIYGKHDIDINDLTILH -LMSHLSVNVTGTNLARYHVGNSCRITESSVLELPVGDETRYFKPLKCSGPYAIFERLPCE -KDYRVNGLLHHFGVVPITLVRDIVEIEPIMEDYFISGPVGPSITCLMKTYALNHVEIVFG -EKGLYVRPTGSKDLSKTDDCCRQAFALNNERTRCHKQLKPGKRNKKSTSRNTNKTK ->YP_460037.1 VP12 [Liao ning virus] -MKGGRINAVATFTGSGCSNRHPFCLHRIVAITVVIITVSIGLVDQLSEKLASTDQKHSIS -LICDGLNSMIIMIMAIIGMTSPGKKTYAHEHHEATAPMLGDTEYVYVKNNNSDLPNTGDI -VKPNQLNGEAKFGVNQTYPQVVSGEYIITQIPDDPNRIKIININTGSEKIVTKD ->YP_460036.1 VP11 [Liao ning virus] -MAEKKRINNAVGLMQEYAIKLSESAPSYEDVGFEGPPHNRSFTISATFRDKKYEGIGPSK -KVARSVAANKILDDVAEEIANIDLDDPSTRKSVFALACELASHKIARGLLSGVISQVGGS -VDLIRLCRNTTYDDSADLLLELWDMRKFDKNGVDTMVKHMERWFTAAGLYLIVENDTLIV -EEMDYDDKDHPRRDLGDI ->YP_460035.1 VP10 [Liao ning virus] -MSNVTEIRALKRFARQINRPADKQTVNDLPGNSTVSKDEVLTLAYSDGSVKRLLGRGADG -SILGNVNVFHMNGDFFRSMYLAAFISHPGLHNRPFMCRANLGYVVNHLNSAVIASGAPRS -ATVNLGAIPNSGASFVVLVDQLLNGVADVGFVNMYFHSNNRPLGDTTASYSVQHIRAQTT -LFNPVNVMFDSLSLAVTPESGYTIEVDPVHTGPFTYNDNLKVHCYVAVKDRYDVGVLSTV -WSAMSSNYLT ->YP_460034.1 VP9 [Liao ning virus] -MSTIGVLLRLSSLNEFITFCKETELDGMLQDALTHRVNGGRMLISRPALGSGLFKVQDVF -TQGTPRVVRKDLIGLIHSIATYLMSGVGSYCGSVDAWTRSLTIWDLIASKDMRFNLSGMN -MIKLVESFQIGYLTLEQVRRLGIIIKLLCYKIDRPENFSIVCNTYPTLKLTDDTWNPYDV -NSYVSNRDDLSLIATNGIKGNPSEAIHLNTIWLEACQTEFGMTEPIDYNAIKLANSYSLK -NQRNSTYNHIVQFNGLHPPNIT ->YP_460033.1 VP8 [Liao ning virus] -MANYSLPWLTNPVALNGGMDTSAARRFARTTRTAAQGLRRGVEEALRSGNESEFNNAYLI -YSGQLSSNVNPNDGGERSDVLRRLITATSAVVNPATVAQNELIRLGQRLNSSDSAARSDY -VVYTPIMRVDAPAGLTVFLSGHKTVCVDVNNAGPANLNLTISYWRGSSLFISCQTPFVHS -RAGGANQNAVNAVMLTSENAGAYNFNIPAGTRLVFEVSGTSSVSIDSGSDFTKAERGYQR -AKADGLIANTILYPSLDMWMATMRYVFPNTDSTIALMIMLLESYEDANVALSLRMNR ->YP_460032.1 VP7 [Liao ning virus] -MQMDILSPNCGSVQKNCFQVYKSQDVYRVRFCVEGKVHDIPLPGVSTRRDIIGTVKYFCE -LMGLNIVDNSLRLNWLNLSEMENHGNNVTLAKVKSEYGDLFVKKLPALPINVHSTNYVFG -KYSVFARVFGVVKLLNDDNYEVGIILEKCNEIRVMTVNIIVMGLKSLMDSHRESGGTLHG -DCTVQNLMCDKYGMLKLVDPGNLMSQTVVWKNDTQYFGNTVDDEVCSFVMACLQTTANLR -NIEKSKLTIPFITDLYLLDTVLSDPNVIHGTRESGWLEVGSDFVSIVQMFVALANMVRPY -NINDILATLKTEVTGENETFSNVINKYEDEEHPDSDFD ->YP_459947.1 triple gene block 3 protein 7k [Alternanthera mosaic virus] -MPYLVEAAITVLACIGVLAALRPGSHPCTILLTGHSATISGDCGPVAPETIRALGDYLTG -LRF ->YP_446995.1 Triple gene block protein 3 [Nerine virus X] -MEAATGTEPSLSPIARHNAIYHRLTAPVTASSPQHSPSYSQRQFILALSVSIAALILTLA -VIASQTPPPCVVHLDGNSAHIENCSNQPELPELVNAASNHVRGLIKPELEIEPHCSYGKH ->YP_443923.1 envelope protein [Atlantic salmon swim bladder sarcoma virus] -MWRRLHSSDVREGHPWSRRCRTPWGRLGCSAPVFVLLVITLGCVAGIMLWAIEHMIGNQA -TDSANSTSNYTDSGHNLMQQFAYLPAANSSANHRIHKRSTEHTDELCVPTQVRSTTLCLA -LNATTTVTLPWGLLGRSRNSWGSAMEFTDKVWYMTLGGYSEWSWKNLVSESGPDWSDWSK -GNLVGGWRKVLTLSTTPTGLKFVIRPKESLGCSQFVLAPYVSNSLDWSLRICFTNISSPQ -AITVKDNISSPVEAPRKGGAWGPVVMDTTPPTLDDVIQMATGVSGFSNNWLLLTEEAGKS -ARQSCMVCMGPRPLPRVVPAAITVACVFPVMKNANLASNSSCTQWNKIYPIVKSRHTPLF -SSDVAMGNFTCVNMSRGPPYLGNITEGWCNSTHHPNAPLKVVARADVWWWCGGNTLLSRF -PPNSTGLCALVSLLLPISVYPMSVGELMAQVQETGGSRSKREVHLTPDNPTYIDAIGVPR -GVPDEYKLVNQVTAGFESSICWWCTINKNVDRINYVHFNVQRLGNLTQQGFDAVHGQLSA -TSLMAFQNRIAVDMLLAEKGGVCSMFGDNCCTFIPNNTASDGSLTHALDGLRTLNGKMKS -HSGIDSSSWDNWMDVFGKYKTLISSILVSISVFSAVLVLCGCCCIPCLRSLLNRLITKAI -DPHSSAQMYPLLGTEDVCDDDFETEFI ->YP_443921.1 leader peptide [Atlantic salmon swim bladder sarcoma virus] -MQCNVTNCMIIWDTIHRCRQRPNEE ->YP_443943.1 VP9 [Aedes pseudoscutellaris reovirus] -MNSQKVQALTTVSKLSPIDKVVKGRNIPFSMPGDRVIMLKISSLFKFGREDKESDSKFDL -VPTDCGICMVKTQLNQDEQKRTTFNDGKVIEIITYEQMKDILPMIKQAIHEFYSWRRGLM -DTSTLEARNEWKPVSQLDFRRCNSNLIFYISILILSEYIIEIPIEYLTVSYGGYNFNNIR -TGEWFNTDDFNNYQKVIKSGDVCDLFLINDTGEYKYINAITHVTKTNMIFSFNMQVSRPP -QLNTTMKVRQTLSENKKQKSSSTSPETDSDMSEFFGDN ->YP_443942.1 VP8 [Aedes pseudoscutellaris reovirus] -MAKQLRVTLDQTLTQITKRVDEDNDETNEPIQPKEQENIQREINCIQVESFNDTTVKCEF -QSQFRQLITYPVHIFYIHNNTSFTQVEAIIQEINDSHVHILIICIDTNLFSKHLAGILNT -QSLLIFAFKPVWIGKAFDFLLDSGVLIEPVTHEQINFDEIIEGIEARKQNDSIDVHNVQD -SIVPMLQSGLVVSTISNNQEFHHTTSILRHNTLKNAISDMSDDVNIVNAVTNRDLRVLLP -SVASNTTESLRSQELPRHSKSFQCDARTVKFVSQIHDISYRTGRRIQEHRLVDTIPDNAN -NASYHNIRYTVLGNVLLMVPYDDMFHMFEEYSLDLASVSFALSIK ->YP_443941.1 VP7 [Aedes pseudoscutellaris reovirus] -MAFTYWDKEKRMTLKQMIQQVTINEQENELTHYVFTTPMSMPTFGKPMLGYVPLNEVATS -KFFSNVNDFDRDNQLAMAHFQDTTITRAYNLTNSIKPGDTSLPDAEVAALKWFWKFFTSI -NLVRQPPMDNVLYWACQFLSSGTSFLPLEKDVEIVFSGFQGSHTCMFASLRQMNLSPILC -PYYEHMTNFKTTAEIREYVDANEELKSLITYLCLCTIVGLCDTFVETRNMETGEYVWKVS -NVVSDNHTPAQNIGKFCYTTQNAKYMIQLVHVLLFPLTDNKYADLPNYVAVITQGAINQS -LPRNVVNNNEGSNSDTTTDTAPSTSGIVSGSADTVASLYPDEFKYVQS ->YP_443940.1 VP6 [Aedes pseudoscutellaris reovirus] -MFAIPFTASQVYSHLGLYLQPIEKLDPLTKVQYESAEKLRDIIAQLSRRSGGISLRKNLI -RDIACGHKPKLNIPSLTHQVRHNREFKHGRSQFILVDSNGSDYYDGIFDDMVLILQSNFV -LPNVPGIISEQLRLIVIDNDFYLKHIPSEIAILRDVLSEHMVKFSIDGVSLEDPFISKFF -RKPFVLYDHTKFKKIDLTKVVLINKTGKSKAQVIDKYKLNDDAVFINYEVFDVMLQPTKR -RDGDIEQFIRMRGDIETWKAKIGTTFEDNLLELFVHGVPVMNSTSQLQLDFQISDIRVAS -VFDDQIVCASKLNSLREQCLTESSLIRVNIIGQKGSGKSMLMKLINERGIPGISRRIICI -DSDAYGKWRTQTQYIDNKFEALKLINVDNLYEIARDDSVVSFYEQFIIDQLLSHNIEISE -HTNSLRFIKQLKVDILKDIGRKFKEMYLADFKEQGQFYEYLCGNMPEPSNTLLITFLHAT -VETSAAPGTNMNFSLNTILYPLQSILNRKRGTLVNFILNRIYDEMGTEAFTRLRPCDVWK ->YP_443939.1 VP5 [Aedes pseudoscutellaris reovirus] -MIDLRLEEDILTATLPEFLTTRPKYRYAYTNTKQQDLRLLGPMRHVRLTHLYKHTKLWNL -QYIERELTNIEIDDALDEFMQTFSLPYTIEQGTYKYNMLLGMHAHNIGYQDDVSELIANN -PQLLNYLNDNPLASIFELIDIDLQIYQYGQNIFNNEVEHMILFLKDNTYHGVIQALQKHP -FSATHVTWHLHKHIFVFHSREKLLNKLLATGLEDSQLYQRQKTYSTKRGDRPTERMITYI -EDDHIRRIQAVLPLLLDNIFDVKLHRDSSMTWLKSYADTIYDSAKNSDSTVTPEIRKLYL -RMYNQYMRVFLPIEQYMLYDTTCWPFSEKITLKINVRLISSRENQPVSWKTPIDTENLIS -IVQPDNPINKLNFTAVPSTMIRLNDNIMMYRSVKDMFAAIEYIPDSDENIPTIEMKEQAL -SRYISPDSEAQNFFNNQPPYLNSIINVNKQVFEAVRRGNIQVSTGSAEHLCLCMYVKSGL -IVGRTVLIDDKVILRRNFNASTAKMITCYVKAVTQLYGEGSLIYPGLRIVFFGVETEPAM -DVLKLFYGDKALYIQGFGDRGIGRDRFRTKIEDALTLRIGCDILISDIDQADYQDPSEEK -FDDITEFVCYLTELVISNATIGLVKISMPTYYLLNKISQNINNKFSKVNINIVKLSTQKP -YTYEAYLLLSHGSTLTTKGYAKNPVCDVYLEQISLQPQEIKIISTISNEINYDKPTLYRL -VVDKNDITDVSIAMHILSIHCSTIITRSVMVKNDNTGAFVTMSGMKDMKRVAIMNRMTDG -TNENAYMYEDNGKLYLQKVPYLEDLVNAFPNGFGSTHQNDYDSSTSVINVNALVRQVVYR -VISKSIPVALLESLSRIRIVGGRDLGEMNAVYKLYKTPVEVYDTVGITREYPHVQISYRA -QRYQFTESIPNHTLLLANYVIMNDIDRAPISSAEQINTIKKIISKIGVGSIAYVQVYTDV -VARHINVMTKNDSFLISANADKTVFKVQVSGYKAVEMCNYEQLLQLVSDNTGVHIIKLTY -QDVLESCVLSSGILGDTGSWLLDLVLASTYIIEIRG ->YP_443938.1 VP4 [Aedes pseudoscutellaris reovirus] -MQMEFKEELCDMFSQIWDHIPDSQNFSEEIIVDEKIETVPMDVPAIVTHSTNVKLLEEMD -VEIVHGLNPIKTLMFDGINDDDEQGNVGETRDEGYYTVEPIDEFVKTKTVQTLKSLRRKR -GVTSQDGADDTNKHDNMHMMLQNGHTSIQSIEIEHDNAVPLSGVVIDNILNKYGQDKIFN -LRKHNQYGTIYHISDVNMLCEELICNATQLNLPAAAVRRITFEFCRWLNETELLKLQCNQ -LEKFVSVDSTEWFKLPVSLYFDIPIMAKLLNIPYNLIIIREGVLFQLLSLSDTTFELQQV -EHLDFHTNQILAIIIDDHVTVPLLPLKKLWNISDLAKNTDLPLDLCEDVSSYFKEEDKAI -NTYITPYIDPRIIFIAHRNPTDFMFMLPNIPYLTEVNSEIQIDKLSDVCEFEYNRYRKAL -FCVENLIEIHRWHSLVCFIHLLANGELTQSEQIFIAGISRTNEYYVELSPRDCLYNTIRA -SFSAYQAWFKDWRANRKIQKIQNNGNDSLHTVIEVKSQQECPNIEQNTSINVNNLFFNTI -IKYLDHEQHRFNFSDFNQQIAHGHFEALHASVEFVSEIQITREFCDVIRSNLPLNLDIIS -LYSKSLSDYLKMDLVVYFPEYNELRLIDATKQFKIRFIEFNCTKKTITMFKYTTTFHASM -QKSYNYQVSISNGMSNKEMFITCFKKESNLAVVKQFSLRNNHRYDGIIDQPNIIGKGRPN -YPNTKEQSVDHHPKISQVKHASVEQGHLINNYDLMKFALCHQNYKRIFNNQLFSEAPISD -ESLFRLKLEVNTGQHILAFTHVSFYEDGKVKTTYVTFITVDIDCSHVHQALLPRCVFHIQ -KGKPILYAANKTFVTGGSERRIEVVFNHLKEYIKETGIRSRDIHTLNSKSDQLITTCLTP -FILSSMSMRKALQDNGDLSFTSQCVRKCQMSFKDDRINLPCGTSVHESTVDLHKHACLLE -YYRLGLYKEKNNMNRCGFSCVECHSRYPNQLCANVCRLICQGM ->YP_443937.1 VP3 [Aedes pseudoscutellaris reovirus] -MRPIRMYKNNQERTTTKHQETIEDNQNEQTTSDQRFTRSSNSGKINVERISSSRHQIADG -KTMSSYTTNEANYTSKDSVQHGGSSITYTSNTTGNPRVTNARANIDETYATGTVEDLSST -SHGQEPEIESFADRAELAMMIQGMTVGALTVQPMRSIRSTFANLANILIFHDVFATEDKP -TSSIEYHSDEMVVNMPKQTYNPIDTVAKILYLPSLEKFKYGTGIVQMNYSSNVSQLFPNT -TNIINTITDGLTYANRTEFFIRVMVLFMMDRKILTMDFYDVDASAISNNAILPTIPTITG -VSPLLRIDTRTEPIWYNDAIKTLINNLTIQYGKIKTVLDANAVKRYSVVGYPIDQFRAYL -YNHNLLEYLGKKVKREDIMSLIKALSYEFDLITISDLEYQNIPKWFSDNDLSRFVFAVCM -FPDIIRQFHALNIDYFSQANAFTVKSENSIIKMLNSNQNMEPSIINWFLFRICAIDKTVI -DDYFSLEMTPIIMRPKLYDFDMKRGEPVSLLYILELILFSIMFPNVTQHMLGQIQARILF -ICMYAFRQEYLKFIAKFGFFYKIVNGRKEYIQVANQNERMSENVDVLTGNLYPSLFTDDP -TLSSVAPTLAKIARLMKPTTSLTPDERAISAKFPRFKDASHLNPYSSLNVGGRTQHSVTY -TRMYDAIDEMFNLILKSFANNFAQRPRAGVTQLKSLLTQLTEPLCLELDGHVYHLYNVMA -NMMQNFIPNTDGQFHSFRACAYAVVDSGNIYRVVQNGDELNESLVIDTAIVWGLLGNTDN -AYGNAIGATGTANVPTKVQPVIPTPDNFITPTIHLKTSIDAVCSVEGILLLILSRQINIP -GYEVELDKLRIGISQPKVSERQYQRARESIKNMLGSGDYNIAPLHFLLHTEHRSTRLSKP -LIRRVFDNVVQPYVANLDPAEFENTPQLIESSNMTRLQIALKMLTGDMDDIVKGLILHKR -ACAKFDVYETLTIPNDVQTIVLTMQHISTQTENNIVYYVFLINGVKIFAEDVKNINFQVD -TTGIWPEHVVTLLLRAINNGFNTYISMPNILYKPTITADVRQFLNTTKAEVLLVSNRSVI -HEIMFFDNALQPKKSSDTLALSEAVYRTIWNSSVITQRILARGLMNLEDNKPPEAKISQQ -SELDMGKIDETSGEPIYTSGLKKMDSSKISMFNVVLSAGSDVIRQAAIKYSAVRTQEVIL -FE ->YP_443935.1 VP1 [Aedes pseudoscutellaris reovirus] -MDEILYNDNVMIVNDEETSGDVNNQQLISNETIANIQTRLKQLQVSSDSDLEDYINARKM -LIEMLNARKEYIPDVLSSLSVERLKSIDLIVQKLNSCEHSIIEHANILDQLQNEITSLKT -ENEYIVRLNDINAKKAKLFDDFNAKYEDDVGPLYVYAASEDVRQAAIYLSYMMRMIITFE -NETIESNFIVSDSLYIGDTILNVTISTDLQLGNRTVNVTMDDISESFVILEREYIELYLT -RHVHKILNNTISYSTALVIFKRTVEDISIPSQIVNTVLFQQQISNSVTVKDVSKSLVCDF -KIDAETELYILLPTIIPDSYSMDILGNQEFMLYLSDLYAFNLLQEILDVLYIDQLEKGLE -NEDNRAIEQLTLQNAQSIRYILNTLQYVPLYKPYDILIENGILNSIEYAAPLMYIKNEYI -SKNITRTSDKYTTDRVIGAHVLSGSNMDSLPNTAFKQKLFMNTQSVLTQENPIYEDTDII -IYAVCVISREYDDTICGSGYTYTTSNMYANRSEFIRMNGCIADSVPVKTSTIVKCTYSLI -DANRIGLLYNKTRTNIKINQILICFKNSFAVPTSLNVAMDVKIQCLKKTETLSVTQAKPS -QILLENGISYFGIICDISFSSDYVFDGNESINFTLQPNTNISGKKELVMYGRQGGGMQIS -DMFQHKQDSFEYSIKVGLVCNSTQIGMGQWFEIFSTLIQGEFIGNIDTLRESTIRGISNI -YDFTDVREFLHEYKISIVKLSIQCLRAMSAGVQDVIVAYSHALSNIDALILDITVEMNDF -SNRLTTLEDKVKDIEKWIQNQIDSQNTTIWGSLLDTFVNLIIATALGYATAGIGVLVTKI -SVAVLSFTSRALTSIARGLQAAGHKVSTLFKLHITQPFLNGAHSLKLLTQKFNAVQGNLT -KYERKYITALELSEANNYAAIAKYLKNLNPEIKLAEAINHKLVYSSRYVNPVFKLGNEVM -STVEINYHGLTAIGKLPQLRTPTKKLLSSDFGTTLMKKNKAPAHAYMVITDVDARSEYDL -VTKYILGVSEGFTSTTKHVTAGSFKLQYEFRKHPTTNKTTVSFSTYQDTGYTAEEVKLLF -NRYFKNRTNITNANQQWELLSSKFMSLQTSTLNSQRFVLPTSHRTTALYEAFKNTRRFDY -NLLTNNCQNFCQDSLNWLENGVINGSLIQHSDQLAIKYVNALRGDLSLI ->YP_438201.1 ORFA+B [Vicia faba alphaendornavirus] -MKKLTATTNKKESPPAPVGDPFKKTKDSVSFTGVKTEFYGAKTPCSTGIYHTTCCVPCED -IDIHEVVKAASRDDARHYQEHPNSPSNPELVNSFNKGLTLESYTARQKGERNLHRFNRNI -NALVKNLRTQKKQTERSSIYCSPPRKHLGRRPHIRGGSLHPIPILGQSDQALGNTTGVWS -PNRAPSEVTPSQRPGITNTTQAKSSDGGQPPNKSLPFHFNTHTHTNKPMGMHHRKRQHAS -VRAHNATKSARVSPPTPPEQCKAKQTQVGNSPNEAEVFKAMMNNYRRSLVPKEKPTIIKP -TIPYKVASSSIDPIDMPRNRPLQSVGALDCYVTGGIQTKDVTGSGTSNLDRFNAMLRTLN -KSGMHTSRSTSMTSEFGGRTIGLKKPLDSIIHNVNLRFCHTQVRTSEVLASASKKYESNK -NKQGNTAVTTPAGKVCEHSRFDVKGEVEFTCGWCKPCKQLIKDALEVTHKQGTWRRRPLA -IAATKKNLKECGSTNMFSKNNLNNFLKYSKPLHKLMKKKTLTAMCFASDPRNKGAIFSTT -DAGNNRESSMIGRDLGMTLRLNTLSNNAIQYILHAYTNKTLTHYVSEADYQSFLKSTRKK -TSDTPAIEIAPCKRYTHLYPEGSEKNMGGTLLYKLVLQVIAKRAQTPQANILRRDTSLKG -IRVGNRVVVPLPSVEHRIQDGYDRGDFGLCMTGHMATRETLLPSEAPYMHEMFGHKCHRC -KGITVLTKGHTGDKYDASKATSRGRILNAIKYGVGTDKDLADVYINTQLINSYSECGLTT -EAHQWNTQCGFCGHQVLDDPPAMVEEICELVTANTDNPTPMGLLHHLEKMGYLDGSTLDA -TGEARVVNYDYQTLKHQLSRARQDVVVIPSRITRDRREALQQCAVELEIGTDVVPQLNDS -TLYATTKVLLAIPFVTNDYQSTFAYGSTDLGITGVTPINMCHLTPDLYMATRPSITQDAP -VMKESNTLLIDMNILRMDPMWLITECLRRKITTAYYVTPMLELDQNPDQTILDGEVQFRK -VENQIYVITAWSDRPIRVTTENYMNWTKVDAFVIGENTYPIDTVREANGISLRRIDLAAS -STFEMSEVVETVGDSIEISVPTVYYYGKPGKRKLSMSGEKKVKLHRELYRRMMIRNVSGT -NSFKELVDYGIGISNMKYNINDMVVSFSDISDDTIRHTAAFVYSKMITRANSMQLTERVL -ESVNTARGFLASLLISQAETMLKITGLDETMLTTVNRWMSKGSEMVRLKGMLMNINSLDI -DNLMCYSREPVIRHLRAQETLELEPTGTCPHKTPDFVIKECSMCECCGVNPAIGAIGFCS -SCLPTDKHQCDHPCKHAHESEAGTRCSCCLLPIAGEACPCCGVNRQIESEILFENSEESA -DEAEEQNRVRKNSKPRRPDREKGNRSNNRNNDSRRTDANHHSNVNYHHGHNKPQRQGATQ -QPPKRSAALHPENDDNDTPDSTPTVILTADPTTNPPGERQAPGGDNIPHENDIPGPSSTT -TQSSPPDDTNYSGPEHNRNIQNHRIRLIAQTNEFFGDLYAYGNDIAVKKNSHKLMPPNEQ -RLRSCFQFMNMGDSIYATENLEVIKTYNTSGEGGYCGYNALKILYPNLDLTLEEMQEIVG -SETQFSDWEIMRVAQAKQLNLIVVTERCALVNKSVCSNEFGVICHCRHRGVMLEHWEAAL -AIQKGFADYHPTFTNALTREDLLDFAKSSGLNKNKVRSFVLGDPRLLKLQTEMHESLSKV -VEDVNPAGFVKITKGSTHYVTNDRNNLGYSPQYGTFTAQITEDNQELVELLLLAYSAPAR -VIHTDWFYDRPESVPADATQGIHDYGKQLVRDIAEVNQACAGDLIKSELIQNRVDCKYEY -INNHLFKVQKTGKLKPGDLISGKLVGIQSTSYVDSHVIGYVIRTNQLWCTCVTEKCAKAT -KIKVDLYRKNTGSGLRALFGIFRWDFRRDIKTLLEKATAVDAIAGWGKSTEIVKLVNQDC -TVVAQTSAAVSNILEKLEQGGKKNMCKVMSIEKCMTQQVNTPTLVLDEASMITWETLSLI -TGPQVENVYLYGNTLQICVLDMYRTGGSRATKSILEQAGIIRRHYTTHRIGNPLARELSL -VTKELTTNAKHETNFCTKSWDAVRWAELTSIAGSLEEPVILCFYNNAVRAVMNYLKVGCR -VDTIHKFQGLEADNVIVLQWCPTGQTPGRITLDKHQCLSAATRAKKNLVWISVNEYSNNV -PLHKRMGATIGGSKHTQPDTENNLADKTLQVVSHLLSKVMIYSKDKTIERLECSRLQSQE -EGNLVMLKNTLTHLDVDYVSGNDQHSLRRQGSGKLNEKFKSMLSLFSKEPAPDHVSSSTL -GKLAEIVDQANANKDRINSQIMNIYTVQKNLAISNGGSIAAAVTPVLTAMLAQKPGITKA -ECSFDEPTETLTANILMLGVNVLKIQLDFRNDKVILGSGGLRSFVADSSEIKQLYNQKFN -CCGHYVLKYRNFDTEISVKKKMNFERVLWIVNYFGVCLESGSLHISVTIENELYKMQSYG -GCSLCGGMVIFHHNKPIIVGDPSYNTGNSRTVRFNSKQSDKCGPILAYLRLWNVDSGTGV -KLPYDPPVGRGDFDMFEGDNVMAALVIERVLKGPWMMAAKLKNMLTNTNQDLCNFYDNNS -PELFDSLKARLESNGFTNFNTNLVNEFVGGTRLEFNGFTNFNTELVNVNSMDLRCRPILC -TLDKRYLAALMDQDNLIWVTQISGKPIHTPAGVTRVSNKAFKTMAVRQMLNRLEFKLTNT -QLSRDKPMGAPNPRGPLLKFMAQHEADNDKVAVAARKYKGQTQAAMMKLKSRRMYLTAWM -VNGNSEYASNIMQQHNVITTSTNYPENNMLGLVDLYVAQAIHNTGYTSALYITNNACTAV -LCGHWDWFSCPPDDGWSTSRFLSSTHDTITNLADIKSILDSEIAKLDKQEELSEEETAVL -KEKKERSERIHNQILRTEDSWYSESYQNVKRGTVIVSVNSCGISTESIEKIMETTGAMEF -LMCVPTLTPSDNTTAHRVGVTGSNNVKITYPGARNTLTPNPEIVYCMKSGKRATVQSQTK -KDFDMISLHTTTVAMIASVQILKVTITRFNEHAMPKALPIPFSPFSHQSDIEKVWVQYPR -FTCNFGDGSENSLIITKRRKLVSKALLAKMNERALRHDSNLKDLQAYGRSYLMTQVYTER -YVNVINKNGLDELEAVCIAALTMKHRINEQLEHTMGLMETFRYVDTDSITDIVVKSCKKN -LLEKVMSIANTMQEKLGIPASFTGLLDEIANTQRVVFIDERTNQSRVWSWDSNIKHMSDE -EGSIKKWWASTADNLDWMNIGMIQEYRKVLCRKEDIPKKTKACPGFKQVVDCAKAVAQQK -EARKTDPGARVLVLEEPIPLIGNVDITRAATQGTMVTKNENVIRSEITFRARQSIRRAQT -SRLRSYLDNWNEMVKAYSALEAGDLKVMVRELMSRIAIIKSTSTPTWHLKEDLEAMLDVP -NLTRAEHLEITKLIGDAVVSRWEQSKAEKKQNEYDFIKSDLGLSTLNDHDLNIVLSLLAV -DRPSKVKKDLLTNTLGSQMSVNEAVMLRRKIRQQWCESASNCGQLVDHDHHANSVSTTEQ -NQGKLNILSLLHEEAGICDINLSDASPLKIRASSYLPEAITTCVQTPGMYELTSPKVEII -YEAMDNDECVWRCIEKYVTQNIEPHFRITNGLRAIMQQSKMLTESQAIIVCQLLGLNCCL -IQNGETGTVYNFAPNKPFVQLMRLSQDASYDHCVLINLLGADGVKRLSPENIAKENMEQL -EHVCPVDNKPIVAVGENPYACVSHVHIDNEDLARLTSCSQPYEKIPVLDGRFEMLPVNNV -MARMTRKTSSATLLKKVTPITTGAGSLALTRNYYKATPMVTTKPGDVLVVLRDHCEKKVM -CTHTTIVDTPGGVEQILEITDDIGDGAVCLDLGLHCLTPADRTPRRDRPVNTSNEVWSLN -IETQRYLEATKGLRSHVGQGEGKLHIYHFDNREHHMYDEHDYIDAMLDENIVIELDPTVQ -VPTGVNIVNLICIRRMFRLAMLYGIPTWVAYCDDNPSAAQLAWFLKYYKMGHKVFNNNVT -TEDLTNTRAMEIINALFSTYQHTTTNHTTRVHLRNKAETILSKEKVSEELFNTTIDISVF -TAVLMGLENEVLKSNQGTCVFDLIPETPEPPQLQDDMLESMGILVDIKQCAVYHVPTGTK -VALRVVESLSHEIACSTKVKGVDPKDDEMRAGASNLTQSVPASDKADPGSSQLSSCEENM -SQTGTQPVACVHIEPCDHAANASNDDVLKEITQPEQNNHDIISKILATAQKAKSNPEDKS -WKSGATSADLQAIQSLCDSPDGMGSQVADQLAKKLEVMNFSDEVTLSGIHRQRNYVNSQG -KYLQRVDISERWAITYKGIGEHGSDVPTNNPGWWWLAFPPMRKLGVYKEKHEIKVTMNYA -GNCAYRLNRIKFREPKSVFTHNVKPEVMLDILTYTSANNKQYPTNLQRLADKYLHGRVSW -HVVYGEGYNRDFLQTCVELTKRMERVIVVTDAPDVYNQLDADIQVREKIITIKVTSQPEP -YRAIWWRFMILFANIDPECELYILNGREMAPNDMELVDRVMEESFEDFDIVSALHCQAFN -VCCGYAKYNHAKFTDYNTFNDIYEAYGNSYGGDEIYMSRYKVKMMWLYYILPGGAWYGIE -GKWLNYALDTTICLELKEGERTRIDHVKGLFCSANFFHVAPDTKGIYDYSTVDWSVDGIP -WQYAMQIINGHSVTENPVRHSTGESTGNPRNISNDGEKPWQLNPNNWLLDPGLKKSEKMK -IQKFLDSDSSVKCIKESQSLPIQLGPLKPIVVFANQACEAIGVSMNNTGTLYVEVNIAQQ -KLAARNEPLQHQTLILKSHLTLMSTTGRHASWKPEYTHLMKADLQNCQVYVEGSATSLLQ -WKREETQHAQVYTTEERDVFVRIPQALDHSVGTLKTLEVKVTGNELGLSSSSGVLARVLK -LNDRLAWNHWLETQHLQKLLEFDRRIISSHEESSGMTFKPRIGQTLYLADVLTAAGIECV -NHITTTSREWKEALKNPLTKVGIMPLTNSVLVSGKLGCVQPTIPRCYAMLCQGVKLKIFK -PETRGGGTPSNVSANVGPSNPTTAGPGDTPPLITSWNKWVESGASNQSPFGVSAKAYQDK -LREKKIETPQDRLRVLETMLFDDAYALMSHGLTDLRKLNDGGNWHCATDATMKSDFEIIE -PVGGDLLPSLNTSALRHNETNARVIDLWDDTDLRDWLTLYAPKNPMKLTSRVSPGQGKIN -LKTLLTNRPCQTRPVPTQVMGMGENAVTGRLGSVLPLRREPMNVTHELHKFRTAYYRDGW -ERVLKDFKANTITISDADVKTWLSRRSDWKALATSTIKMLETGLPSNPMNAVNVHVKTES -LLKANPIMYWRQTQGRIIVWQPKELCALMSPAFIAIKRRLKEVLRDEIVYTDGLTPDMLS -ARARTIQYDYVFEDDLVIQDRQTDQELIDLEFQVMLDLGLDINLANLWRLVHNKWRFKGQ -HSWGQLDAMRLTGQATTALGNAITNLCVHSSFVIEHRQAIKLMFVLGDDNITFMSAEPNL -TKYKRLMSERYNMRSKPQVSRNVGTFCSLLCYRNSFGHCEVGPDFVRLRHRFEVTGGGNH -YELPVVAVKMPHNVRIGKTFFNGRYLHVMENEEAEMEPVEWLRNHANRSYILVLKTNSAE -WSRLDGVQVHDLSKIDTSGNLAEALEGIGASMGMPNPMMAAGMSYLHMLGKSEEADKINT -EKEFGLELRKWYDVASCKNATCIKYNMSPMELEDNIGELLKMIRKPMAYHKRFLTTAAYS -AQKIR ->YP_392487.1 nonstructural protein 1 [Rotavirus C] -MANSFREMLYWYRKIIDRKLPCVNVNIWRREIAYKANGICLNCLNECKVCPCDYCGIRHK -CENCLNSDCFMNTNNEFNSHRWITFDEEPSQMVLFEYWIMYKDYFLSKFNYNYKAQLKIL -NMNKNRRFHINESKKKALSVPITSQYLKFKFNNKIYIMFGTFLTSKIQPWIQLKSLKVGY -IQSLNVDRCAKLIATKGMFATNSFKSSCITEINARRPISECDYLIEACLCNENNEWKFSA -VMGRDKIPVTKSLAMKYFCKNINTELFYYGHSKCHVVSECPRWNQQLRVLNASTLNIIFR -RQFMNEVVEWFENFTQLTGMHYDFIKTCVYNKVIISHFRKEIEDYINSGKKISLSSVIPD -GHALYTNIDILRISLMLAIDVALNRIESQQMDVL ->YP_392479.1 hypothecial protein [Mycoreovirus 3] -MELFSLNAPSTLQSQFLPLSTFISHINQPLQPHHIRIRSLVKDVLINVDQDHPPAEVSFS -HPPLDDLLFLGVLSVRTFVPLEEVLTPKRARDDPAAPENRIISAAFEAGNSVLDGYRQLF -SDLATTIDVAEQRISDWFVFLPCVKMCMQFRMLKYNIDSLRDLICVKMSRDEMQDIFLRH -ALQFISSWHKYVPRSHHLLRPQRYLLVNIDLHSLSWSLQGKYVAYNVHFLESRDIRSLDL -AIRRQSRPCGYHPRQNFGLLHYFSFQDVSDMDKRQTFLSLRTASNTQRGIAMTRETRVVE -CLRTNVSCSRFVNVLASASLVGYCGVDVHIKVEKDLESVVTYVDSICQRELLREHYVELF -HRNLWGPFKYYEMISTGASTLPVGEMLNIVPRRVALSDVAGGTNCRLLRGPLRDPLPVSM -YRRYVTRLNVVDTGVESRYDNCGLVCALPPAANGTFMGDADEVISTAISSTRYPSTSRPP -VLSVPLGDSPHANAQNVGFKGPAAEYMSSVVTSRRNVLPQISFDWIGGDSDDVRNARQIV -MRLMTSVDGWLLDEDRNSATDVPFINAAIFSILVTAQLTSQGLAQTASGSVRLTCVNTPF -SVQRSMAWIGDVVLLNHAYVSPTDADGFNELGGSVICYVDGMESDEDETLLWLEGILRCI -SGELSLVFLVRRPTYRVLEMFFDSLSTTYTMGVFTEPLLDNELGVSIACQILEAPIALTM -FSATALGRASSVLYSVQAHLVHDSTLDPFSSWLAHGDAVQFRSAGWKMERPESLFDDVIA -YVGAIAHVGRIWKWLDHNGHMRYSAHGRVGYCRWTESSRLGDELAIRTVDAPVLKVSRTA -PDMPNEVRPRVCDALAYLSAWTATWMVGLTRADWYVNVRCVVDIGGRDGRMSGPWVDKRY -VIVDPGNVVGEARQYQARGRTGDPLDFDFVNPDITIRHLIDAGIFSQAEFDAGTVMFLFS -HVIINACRTPTAQLAAITAIRQRLVGPKVVVHNCGEIERGQTLSHLTDWRRYREISWGQN -KWISPKYPSPPQSIAVSQFIVDGWTVMHPSLHDVRNANFGFAHVPSYEARLILPAVILST -VWAVSF ->YP_392477.1 hypothetical protein [Mycoreovirus 3] -MPARTLIIVLTTYDEFGTISLTSPHKSTSIECYSDAIPIVNVTTEDGTERPKINDVERMR -DILEVVRGSKNSYAWREVRKSLLDQYASSIDEFARSLHRLMPVVKEHKLDEVLNACGQQQ -GMFSMINKRLDYVNQQLAQLQASSEDTRRVTYDTQAITHQINAALTRVAKVDDISVLMRD -IGGILHQQSISTNLASFQFSALTYNHGVVAPKDTIQHSMQHDFSALPFGLSYELYTDAPK -LGHIYKTMGPRGPTPNTTVGIVYAAHPAPYYEVLFADLQLETFRFDHSPTGRHLFFIRWF -NPAHGTQYVVEVVEGQSDENVFWYGIRGTSNGVRHFIDIDAVSGVGMWVIGWTRDGDYQL -SPSGFERVACANNLRVVPNKGKWFGKKAVSQNDRMAWIVANFVLAPVCPNYVPTSVMPPT -TSVGKIDAGTVRWSFESFSNTSPDSPSPIRNNMESLLQGLGIPDVLEGLTRLGEYCGRHA -REYMYMPTYTHLVDTFVNDQAVMQLIDIKAYAFNGVSKSEHARMGYLANYYHYTRASTST -IMAGSFGRDFTGFFCPACGCVYKNKCMEQLCNAVDRIVSLNFEYDDGIYPTVLGSVQQGY -VGGIDDVDRPSTQPVRRGNLIRCPEGVFVNQPRKLVMAMIGGMRIA ->YP_386495.1 polyprotein coding for replicases including RNA-dependent RNA polymerase region [Heterocapsa circularisquama RNA virus 01] -MVFLTAAISTSLWATGTTGAVGATTYFGVKFRRRVRAWLHYRQLNLRVGHFTSKGKLFYR -EDADGPLYQAVLKRSKGINPRGELIDPEYDYTELSTSLMSFNESSLVGSTPVRRIPRSLA -PSHLVVLFSDDQVSGVGFRMGENLITARHVLDLTHNLWVQGPRGRIRVEEPPVTPPVSDY -EYTGADICYIPLTPGQWADLGVKSMKKNAVSLSAAGLIKLYGADEDGLYESIGPLKVDPS -LTKGKGLISYVASTTPGFSGSPVLLRNAGGGESVVGMHVCGDFKGNGANHGVGASSINLI -LKGTGAVPDALTVSDFLGPSKLESAMYYDKQETYWDWVFDQECEREEAAREAEEAEIEHL -RDLYYGETTLALAPVAPPVPSFNGPGARALRSYNESALNVPARLGELSPRVGPVESSTHL -PRFLMGASTERFWSRSINDTPPAPLQRESPTLKATPELVVSMFTKIAEGDHKAFLLAIDM -SYDDLLNCTKDEVMDPFSDFRQYLEHTRKLMKKNDGGRELSDQSGRTFFRETHRTTQSGG -GKKRTAPVWLNEESKAFLRGLGVEGEYCRPPNDEASIIRSMENQAARQLGSRAWPSTGAM -EPFLVQYLGDAAVSPPTFSPGRQGFDQLYRSFDDSSAGWTRRYRNLTKKSYVSGPHCGEL -TRIAVARILLRCTMWHRIPSMTPEEMVWLGLRDPTDVFVKDEPHTADKARREMWRLIWNV -SLVDSICQAYFNRELNLQQNRDYQGGHPVPHTCGMGHHDEGIKRLGEAIEAAFPDGIVCS -SDASGWDMSVSRDGLIFDGLVRAIRTQDPSATAYHNIGTSVCILLDKFVQSAHMICTGTS -LWSVDVYGITASGLPDTTTQNSFVRGMGAKLAGCFKALTAGDDLLCDNRLRLPVLTEHGT -ITKGDVTTANWRKGQPVGFTSHSLVRGPDGQWSAHFENESKALHRLLLSGKTPLPEQIGG -VAFAERKDEAQLGRLRAVCDSRGWPVPPQSEWAEDFDLC ->YP_392510.1 hypothetical protein [Operophtera brumata reovirus] -MSLIFKPGSISHTSSKTLHTTPHTKTLQDYVRPLLIVTTRSSLQMDVVEYGLGYLLEAIR -YGAIVLQEQEFQEFLSRTECERLPELFTVYLHVKSIDDIVRLVQSYGEFRTLTHEGHLTF -KDISAHKVGPEAVRPPHAKTTSGRDLYRTFLQIFESLRPHTSKTAHINKVIPERHDGGDH -EFHTVEKREWIGLQPLNTATYTQPTATAPSLPPDPPTYRSESVTPLPEFDLDHDRTPDNN -SYQSYHGPNCDENVHPIIQPTFIECMRLCFCGIGYRGMNPHEPGLTQSARVSTSAARLYG -QTRLTLDEIIRRGYIPRNYIINKVSYAISNVNMRPKDDERARFLLTLLDKDHYIGMNLLT -IGETIGEQLIVECLITVLRMQLFESSQYRKLPRSTVIRIFVRGPKCSQCKFDELTKGEGS -SWHFHALVLTNVSKSHVQSARRVMLNALSGMKRSYYIRNDKIYHLKE ->YP_392509.1 hypothetical protein [Operophtera brumata reovirus] -MSLQQSITRRSLVAVDTLLQGEVMHRQFGSTTMLNQLAHTNNFVTLLGYNFREGIEYRFD -ISRDVNLITYTVTRTNAGDTSSLQLIENNVLSLRSGQARPIIQQLHQTLEHFMNDMKLIY -QQLPNNTNVLQAMTTNLGLTDGAPIQHLQFLSGVYLLTGGSKFIKEVTALYVLGRFELRT -LFQSFDVMDVLGTDRPRIRPIIQNVEDRQEQRLGMKNSYQLFSWSTMPVKITTYGTYRVR -AEREVDETQDFIPVNLLAQPEQPNQSPSLQSLPKPKRQAEDQRSRPTRAGLPTEPIDRLL -ECEKMLRIAARSVTYTPILDDITDSMGVADLAYDMYEGNIEKVWSQAEKDGMVVPVSYTT -EHDKVTQLLIDSKAFGTHSTVEHIRPVLIAMSLMMVQANIEDVNIITKLETALSPVVGFK -EVHEKWVASLNWSGCLK ->YP_392508.1 hypothetical protein [Operophtera brumata reovirus] -MENDSYLSDLTPFVGPVSYVGRNLTRILYDLQALSSKCRGKVLLSSKTGQPPRVQVTRIL -VEQMFTVYTQLRGYNFSVTLTSLLNELSTALAMSVGRSELTLNSNVLYMITNVNSDIAIS -IGKVWNGVPPLRLLNGSGSNADVTIIGDDNILTRMLRKLPGEARVELTKLAQVSGQLAGV -RVVRQKDVTTLLADQLFSRSSGSIDLARIFVQMNKQATVLSQFKTDFLMTPLSRFHDLGR -PLFIEIDLVLTKADSNSILSAPSISGEVLFTIRSTADVELSFSILRGETSTIIKLQPYSH -VQRFGEKVTFPTGKGGILVTATGDLRNSVRSGQRSLIEMGIEGTLGQTTNTELSRWFYQI -VTPILPYLPGIVSMLTTSQPFNSVTRVISPEVSLYFQNLKPSFYEDLLTTGTTPADKQRR -VLVAYTLILLIPEYLLNPVITLNNVNETPPFMSMYGALVGHFRQIYE ->YP_392507.1 hypothetical protein [Operophtera brumata reovirus] -MERLINSYRTISHSLRQLVPAYTTFEDLEAQLPPDYVFPESFQYPPRIRYREIHSDAGFT -REVEAAMAGRLANDEDNPIMFDYREPVRPGRIANWLTGYAEAANTLFDQVLSEVDALASV -FYDVDELLRRRFQEGDVIPNDHDHPSNDVLEPSEHEAARVHSDQVVEERVQRPLVRLESP -GEDEIIFRGPSDIRYTPITLRTHFHFKTFERPVEKDQPYPSFKVNQLHPNTGLDFSGRPI -SSSFISAFNMSINPELASVTSALVYLLNEGRYGVRDDSLVYSHNTTHNQISPASTKAALK -MEERVRDSVTYFSSKRLTRGQCEHLIRHMISYHINTPDTHGLIVECYEQVGDLRADFTLE -SLRIPLLNVDWTRICYNISNALENELERAIFDVLMFVAIMKFSVVVTEPQRMVDIAPGPF -AVSAFLGCVPGSVVTHDAFKTEWKRTISRPNSSTEWPLTKTSYHFPQAIGVPCTQVMPIT -RRPDFQMFGCLLSMVINNM ->YP_392506.1 hypothetical protein [Operophtera brumata reovirus] -MIETVQTTNYYLLWEHMQRSTELLTKLTGISQPTESKYITVRRSFGTRLARLFDIHGSKY -PDQFLLQVRRHRRLGICIYMAYPKVSTVKEALRKAGSTKPTVNVLKSGGTDNQFWASISS -YDATVISDLTGSNGLELFVLTLDEKTKALKQLAMSMNHAQQYKQLVVMLPKIDVQSMINF -NPWYQGVDAPMVVGIGIVKKEIPLWYQVLDAYATVTLFTKEGALNLRVVNDHVSTISCAI -PSCVLEYNRDAFYVHDHVDPNFPHETNQNRSLFLTKQRFTSGSCFEYCIADSRHQRRFVF -GNAFIIDEHLSRLSKTHVEVIEDDIWQWFEFNSFNVHYEPRRPEKQLVNALQLRAVSDRQ -YQFSLRLDEVLHKVTQRMCRLTIVAPKASGKSYFSNYMATHFPEVNVIDSDDFGIWIAGK -AVGMQFSVIESIVSDVSIFDQLIVGHFLQGLDAKTLDKRSSFDEILTIVDKLDWDFTNFV -RDWMAIMAKGIGSLGFSDYVRHRHLEQPATLGIALCHTHSEARLLIADYTVRIRHTLLGH -YAFWKRVIHQKLTLRQALTQRVLTWLYELDENSTEPNVTWCNVIHSLSNRSPCT ->YP_392505.1 hypothetical protein [Operophtera brumata reovirus] -MSSTNVILQPTWPIFQQFQSGDTIQISLVRNNRVVNSFVDQQPIHPSCIGESLTTTIIVN -QVKYLDWLIAQSEAPLIPLLSAKHELQAANSNGFFSRYLAHAITSRSNPYEVTSEQILGM -VNDSLIEVKAVTTQVARNTYDVTIGLQSLSKQLTDAIATIQQPATKPDVSGKVCACVATI -PSQPLIVNDPVTHLGGIRTAVCNRSGYVTMFELTRDWALVKLGCGYEMSEYYFWIYFHRR -ADDTRVPKLGHSNYTAVSMFLSPMLASHFDNSVSGVATISTTLSPNALATSLKHAFLRLP -TISLSRSHPVNAVLLKLGGIPMGGGRFQIEVINQLLTSVRSFLNSCKVTPWLVLSTYQLQ -EILDVGHMVGKFPTTLEEQRFYHGKEIEYFSEEVRTMIIRPCLESQRTRRLEMYQGITHV -EDDRYRTPCGYESELSLITLHPCVAPLTTLGIDETFTLQNGIATISSGPIGKRSFCRYCF -SELPNVTLAKLCALNCKARMSISNKSVKSTANLCRRFANGDEWTCVVDGRHLNLPDTPTP -KRRINKITTREIVYTDEDRAMGIENAGPRPTREIGLRQHQQVVDDDVNQHPQLDFSRLSW -ADVVDDDDLGTFDDGSVYTS ->YP_392504.1 polyhedrin [Operophtera brumata reovirus] -MSSLVNIPIPNPLTPHDDELHLALASVSRQLRLLRRRQIQAGQSNNQSTSPAISVSIPDQ -PSTIGDQSSTPPKLVKQQFGLSQPLVEPGNFSDTEIDIDLLLRAAIDSIGRLRITARHEL -RIEQLTPKLYRHPMREFQDLNRLPGNLFYFPAVILPRVKRMQSFDTKFVFEDHLFQLNHL -QLYALHVGETKYVKLGYLEDVKFTYPEDSDSVSVLPNNNPVLTDAPRIYYQMNEVGYNEI -LLVYPQLPTNVKLSLNERCLVSYFVGLRCCLWMSLFQLDRNDLIDTSRTPLNDLEDDLFH -LTSKISKLSQASFRPSVLQFDSTVGQQLFNLVVDVQTQGRLLLTTNQFLTSTSADDRNLF -NQLDVQSLSLADRLSNVLLNPKDSFTFTRITGGQSVQCKLPTTAVMEVVPADTNSIRYEK -THPMKFLVVERQLSGDRRVYVHSLTLEDAARLRATPRSAEGKSFDDEFGVEYTVFRIVAV -VSDIESPTVNISFYVQDHEANVFGVNSEKCRANTKISLKTTRREGLSDLSDGSAVVELEA -NSLQTSLGYYRVGGYEGVFHKLTTEPLFTKMSREDPSVAILGTLMYAPQISKHGMRNIFP -FRSKHSVPYVQTRWIPSDLFAEAIGVEEAHIEVDCSEFSVHLLLNPYGYTSDRLVNADVF -TDDRFRQISSLLRNQEMFDSIGLILGRLHTIEETLSHLISTVNDVVSHVRVLSKAFQDAT -NVPWWKKALNGLSSLTGLIGMIVMPFCPIAGIGLLALSGGISMGLAFSSGDYVAGAIDAV -GIVLSVGLGGMQTRIINRASYSALDSTKLISTPIELNESIRQTLLTDEGLMIETRPLQML -GPKVGTVGALLKRKVPFFHKWLLKYDTAPVHARVVNKITRRIGSKYVRINTYSGVADGLP -EMAHLTARPGHFELRNVIDENSGKLTPNWDSFEVDNYSTFESLPLKVQGTILEGSENGYE -FQRMSEFWKVASDSEKRLALVDAQKYIERFNLPEYTPELHTAIRSRFDETLFSNVTDVFA -KHFPLYELTGITNPIGANNCQTYTREIRNFFAQGKLTKVKLNNTGFLRDLMMAFRNSDEF -SLRYTPAVFTS ->YP_392503.1 hypothetical protein [Operophtera brumata reovirus] -MADLTQLDVHEWLDSTRYPLTFTLPLTSIAPTILLDEYVGLLRTSELFSLTWRNNHLEIS -FLNAFAIVGFEPTTFLLQTEYDRIEIVRMLVRGITRRLKIMKLGLENLSTNDLIQVIKDE -TVGTSLWQLIENIHLLQARLLQTFSPTNLKYLSPILVSHWIEKALTHPTQITSTIFWKMV -SFDGTVSWTSLLNYCLAIALGQTERDDIVIRTWPMTSMYPITHVLVTPGVQKPALNYVIA -WYPTFKTHKDLKHFMRRQGFMTAHLIPNFGIFHVHEFQTISALVHYMIENVRTSQLEKRR -LYERTTDSPFIRFTRSVLFTDSVPPAVLYLNMLIGLSSVLSLPNELKTYFDWDVFIRRLI -SSDLLRFTQLSFRYSDSPIPTFLHTYVTEIVDAYGQHHDENQDFFVTLIRDVLNEMTPID -IDCVVDEDNGEIATPIFEDSVVFSELPLSSHSLDLTAVNLRPSVHVLPTIQAHMRNYQSS -QFRGIIMTCPRGTPIADPIMVDITRIRRLAELRSIMSFEYRRFSQPDYLAKIFIDNDGVT -DMIVDFLTGFMAVTLYEDDIHFTYEEGDLIQLALTYRRALLQKKPNAVNGSTPKLAEILA -MTIEIIDTTDVFSLSEIARRDHLNASMRKVLILGATGLGDPIARGLKKIFKSTVRQIGAF -GTGSVTRGLIESVELSQGYTSVLSDMDFSGITNEAQFDAHVRSSLVEIINLIQPNILIWK -LQYATMALLNRIFTLLATLNLGYRAYIMRSSFSHIANFEWYLVLLKPLFDQNANMSFVPP -VGITAMSVLTKRPLMVTTTIVKEDEILTPSVLELNNVMTSVGMFDFGKIRENLSILMRYM -SSVQIARVGYGTDMSYLGRISRQRQMLTYRTNVAYEILPNLPTRLAPSTIGLLRGKRVLA -TMALTLGNYVRAITREVMTRTIQTKHTTLSTKNRTNEDLLFIGVGDENVRNVGSVFLNSR -YIVVDPRANPQLDVFNIEIARFELDWNYETMRESVLTFKGNTNKVILFFLFMLMNDEPTK -DELTHRLTNIRNLVLHTPEISEIYFNVYLSDPFVNDHARYTDGATRNRVGDDLYFTVNQE -GNVRVTFSERYDPVVTMSYDELQKIFALEAHPDARLTRLGLLDQDAFCLYKRDGSVLTQT -ALPFLEFGYSACPLFSYQRVA ->YP_392502.1 hypothetical protein [Operophtera brumata reovirus] -METQQKTKKTKKVQRLVEFDVTDDEDSDTKENPVPQTDSNTSRKNIEDVGKQSSPSTSTN -DDSQTLNVKNTISDVKNSEQSGGAEKLPSNIAMSVTEAIDEQRLRTEIQIKQTLEAKLAA -KVATVSVTNRIQAKGTSFSTTVDIPTTSEVPTIFTVESIGKSDTLGLKFDGMPAYMSATS -QAMGFGIGAARFVLVSEGFPMRIEFRLDAQPAPMIGSIVADTVNPSEASKRNEALTLKMI -DTMFKIYNACQIFVKDIKLTRPFGIEAYAGDLVTELHMLGSKFINQSRPVLPAVGYCDRT -DYVRERVRGLFTEEENRMHLGVINDAGAERLLIFRDVACVTDQWFATLNRQFYQFINDRR -VDLPSFLAQLRIFAFPNTTITLEDEAQYDFHYWPNWRREDCYGAVLMYALSVSRQKQYYD -HLVELLQRINVVKVRKPSDLTREIPSLASIDDRKIMEIINQIGSEFQDYDIFRALSADIS -TTWMDVEIKGLEADATAFQAIFRVFTVMLWFNLFPAIAVDCAPTLMYILFRAMSVLIPTE -TRAYCEQFGFTTLPRYHTVSNIPPLVPYQRGDYTFPILQAPPNAGNLLHFGRLHRLLILN -NVVQTQTRDTPDIQIPRMADVRAYIQPWEFQPFTVPGETRFGARLSDIYAFCKDLIHAYS -TTTVRGVAPKGTFGSDGTRAAAMTDFFNYLSADMLSTKFASRVHCELFRLRELGQNSLYN -MGDTYQGTLAMRNERPLVFGRDRNQRWTSFPFKRSKETFGFFLPFYALLAVDGLTGMEAY -VTPPNVAAEFEKMRMIQREGMLFGDILTFTQLVMFGDGNQFRRDLRRTTGDYMSKTRFSI -VLRYLAQTLKFMIGDLFTNAVRDIERDLDSRAGAFQISLSPFYAPANQPQPQVQGTLYGE -NLELSRPFTEQAFDTISMLFTPETAPIALFSRGLFITRRHVTTFDPDTAIDIDEFLRVNP -DTVIHVWSPDLVRTQMIDNTFRRSAYTLAITINGEQQMFTAPTQQMPNVLLLVTARSGPI -NTRYLKFLKTFFQQQKVHFLFPDLLVARDRIVTTSAAYDVDLESQFDEICGGSPSVQRVI -RMYDTTVYHAMGDLWSPSEKWFYLAEPARNNDLIIKGATHLTTHDGERFVTGPPTMWAYG -QNGGQTIRHENADDEAQQGQINKLLIGNVNYNNVVRTIVDEMVLRPPKFTVDESSLLDST -FAINHDL ->YP_392475.1 P12 [Mycoreovirus 3] -MTTPHFHHISSVSYVRDEQIIRGIVINNVTYPLSESGPPIERYEAAFMKFIPREYARYNI -IAQLPRPDTDAFTSEQYAPIYHQLSSHYDSKDPKHDTMTLFITDSGPDLLQFLGDIVTLL -GTSSNQRVCSAIKFVIHGHSWMSQLYIGGLFVPIVSLNIALHGWLPHPNFTCTPINIVTC -TDWASPRGLSGFVGNCTTLDDMCKTMITNMGPPGPPAYTITTGLSYALVHTLIHGEKGKK -LVTTSTQDGHPASRGTQICAGVLWG ->YP_392474.1 P11 [Mycoreovirus 3] -MPLTSNNFATATIVVERTNRNQNNRREIIAKPAFLTLLFSHKADALKNPEFRGLNLDAFY -STKDMMDTNEVRTRLGSGNGLKFHYSKGVPTISQRGEAPVNGKMIVVWTPVLSGSSLHVL -NRVILSMYSEYVINGIPEFLAYAKTTYNAQTLNSVVFDSLTAARFIAMNVDFDYTNLFGV -APADGEPDPTDNLTIEEAERNYLLAVAPSQGTIAVAIQCGGLGLAHLMSISMRANNFRCG -MTLVRMDSKVRRVVASGFGERAMIMLPRVFEDSGDFVEEVDV ->YP_392473.1 P10 [Mycoreovirus 3] -MSPSLQSVASYLYSLCSPNTLFCILVPVLVSAIMNSIPYATASLQVTSPSLPVSVPLNSQ -DVRPESGSDSTIGSTPPSTTSSSPSTLLDQAHQFLENTKDSEYDNLRISDGKLVLDITSS -DVNDYTHLVPRFDPFSCENFALNRADVESLSGEDVKHCGIVTLKDDATDTISEVTLAALR -PLRARKSAKYVICQICKSETRSIKYHRCDRSKSGAVTRLAWLGDSKHRSDVVAYLLALGY -DSAATTSVLESYVAAASQAAYMKVIGQYDDCTGINYASSNFEAFYAVSEGFRSAYWSYVY -ASHGVCDRSV ->YP_392472.1 P9 [Mycoreovirus 3] -MHLLTPGLVSHLSAKHHSTANYVSSRMAWKPFSVQIANNSFVCASAQQLNVAQTDSSRAQ -VEQKVKFAKYEERDLIVGYTLTASCPLCGEYTEQIDTTAPRVRTPTVPVPAQSTSVSDDR -ELTWKSDLDEFAKSGASITSTEWNERFSHIPSDFVDQYMLTSSSPGIVVVADSPVPYYAS -KASKFPRCHEGMITIPNSINGATPLQYGKLIPAVTVGHEARCRYVDLRFPAKKRLLLHVY -DEVEDVEEEEDDDDEIQQSSPDHAEPQHPTVTPQRSASSPPAPSAFHAPSSPIPQRVAST -SSFSLMEEGSPVAPVSMFDHRRAASPLSRPDTPRYATRTRSDRLCDPDISSAMKAIAQLT -ACGVLTTSEAREMLVDLGVI ->YP_392471.1 P8 [Mycoreovirus 3] -MLLALSLYAITLPSCVMLAPQLMRILHVYALEVEVGGRYPITLTVNGRVHTCLNYGTSAE -RFRECVLGPDRLINPPNSRSHANIIEFVILDDKPDGSSWHTKDQLVSTIQDWFRADVDTP -FPCDGHVSTIVFSTGITRSLRRFLELWEDISHFRSHPFPLSHVHYIGPVSSHGLLSHTGL -PVHVSDFHRYIGFSSGIFYMYVNRTWHKDLGMLTPAVNNSDGLTALARANKVPKYDEYFY -DEHMYRLFGVDGGAFVTATGNGLYHTNPGYEMLALQMFHVCMNHTGIVERFQHRILSRES -ARIADARNLVATAIAANPQLGTGFD ->YP_392470.1 P7 [Mycoreovirus 3] -MAQQVHAVQLSPRLRNDVLLQLTKRSLMTIDTGTILQQVELPLNLFLLGVCFVGDGDVPY -LLIRQIAGYTWHIDPGYGIEMYEVDVGEEMPVLQARIDSLAYVMTIDERDYLYPVITVDE -DGRTLNINYTDDPSLRHDVDTYARLSRELQLVRDDISVRSHDRRPDSPSIYSDQRSRPNT -PPRPITPPYLRDYDVESEDDTEDGFVRISYASLRAAYRPPNTTTTLVRSMLYNPIPVPPP -QPLETRWSTFYAMDADLRHKIRHSLNFPLSHGEWRLSDNALRGVGMMPRPSGGVYQHISH -MCNDPESRLLQSTEWEHMIYMIDKRYIDLGAVCSNGLGATVLSIDAATSLEMAKDVLYLF -GRAVVTFREWKRETADENELLMRVFPDKIITVVDYSYLNTFKYRIDQRENVRMVLGLING -ALSDSNREEWASVLALIYMVTNRQLPGSQDAGVDDIHVLLRPPESGLSYLVLLALIIVVS -DR ->YP_392468.1 PX [Mycoreovirus 3] -MATLAPRSRRPIRTDGLTIRALTSDVQTAFTTRSRHSGMLDHIQNSVVGLNPRHCMVDAD -EYVNYLISDLATVSEDADGLFDVIGGVIKGIGGITGIIDIGKEVVGFVRKGVDIIHSHVG -NGKKFIGADFDKIVEAVRKVDSIVSEFSSSFKPGSVPTAPMQTEFYDGTDPLTVLANGIL -SKVQKFGDSAYLAPAVPESVPVNVGVLLAMVEQVTLAVLQTLSVSLPAQADVYGSTIQTQ -NGHALPPTQHWIQAWNNASDSMRLRMVDHVNLEGHNVGLVVDEGQSRGSKKNMIPIPTLL -NDSVSTVSVRGVTHRRMRELAARIMKCRMTYPLNAVITPFSAWTTLAFPWLPQHVTTGGP -HQGHSALLAPATEYGNGFTMLGMSCTGLLNKAGISVGTAGNVIMVDSVRLLKDSGIPTSS -QDQVEILYIPRYCVRIKTDAEHDRAEEDFTAGVYGVRRINGTNETVFYRLPVLGSIVTPS -AVGTEGEITMFVGDAKTEVGQFLRDGAVFSTGGQGCTAAVCVVAASRANVVSVTMACVSD -EIRWNILGIRGGVFPSDLSIFDCWAKDPSTVPFVHPQGVSGLWSTYVTGALQVLNPSVFH -DVRFHLSYPLITATLFEFLKKHSCRQAPPMTSPLIEKHDHVAPFLLQCLSKTSQWMSLSD -ENPLLSIVDTTMIASLGRNDLERARYLGLRVFNIFVQLCDVSPVEIEFTPPYENIKRLMD -VHEVD ->YP_392515.1 NSP4 equivalent protein [Rotavirus C] -MDFINQTLFSKYTESNVDTIPYLLGLILALTNGSRILRFINSFIIICKHIVTTSKSAIDK -MRKINNSEHNTKNAHEEYEEVMKQIREMRIHMTALFNSLHDDNVKWRMSESIRREKKHEM -KMSDNRNEFKHSHNDTNICEKSGLETEVCL ->YP_338082.1 small hydrophobic protein [J-virus] -MDIDAAITVSVIALIVFLWILTSTAWLVSIEMRIKSMLMRSARNHRDVSVGLIPRHPGPP -DYSSVVTCI ->YP_337919.1 24 kDa protein [Grapevine leafroll-associated virus 2] -MRVIVSPYEAEDILKKSTDILRDIDSGVLNTKECIKVFSTITRDLHCAKASYQWGVDTGL -YQRDCAEKRLIDTVESNIRLAQPLVRQKVAAHFCKDEPKELVAFITRKYVELTGVGIRDV -VKMEMRSLTRAVLNKLSLEMAFYVSPRAWKNAEWLELKFSPVKIFRDLLLDVETLNELCA -EDDVHVKKINEIEDENHDLELQEEC ->YP_337918.1 19 kDa protein [Grapevine leafroll-associated virus 2] -MENYEEKSESLVLLRTNLNTMLLVVKSDASVELPKLLICGYLRVSGRGEVTCCNREDLTR -DFEGNHHTVIRSRTIQYDSESAFEEFNNSDCVVKFFLETGSVFWFFLRSETKGRAVRHLR -TFFEANNFFFGSHCGTMEYCLKQVLTETESIIDSFCEERNR ->YP_337913.1 6.3 kDa small hydrophobic protein [Grapevine leafroll-associated virus 2] -MNQVLQFECLFLLNLAVFAVTFIFILLVIRVIKSFRQKSHEAPNPVVRSGGFSTVV ->YP_308882.1 6K2 protein [Cucumber vein yellowing virus] -GKSEYLEKKVLKTNIKEADWRVIAGVITITACMAGFTYWYLRRRTAVDQVEIQ ->YP_308661.1 VP4 [Kashmir bee virus] -IATPNKSKSTKPTSENPKIGPISEVASGVKTAANGIERIPVLGEIAKPVTAAVKWFADIV -GGVAAIFGW ->YP_293697.1 P5 [Tomato chlorosis virus] -MGDRFACDSLDSIARDIHSLYILFFYTFLVGVLLTFIMSCVRGVIEIYRVN ->YP_293696.1 P22 [Tomato chlorosis virus] -MDLTGCLRKLRQCDRLLERLGNDVSEVHLRAILIDLDECSECLMLCEQEYIRDTDCLMSF -LLALKHYEIKFHMDMLNMIYDFKLKTSQLIQDVFRIKVIIRVYLELCEIDPLLAMTEACQ -DILESGILNIGFISSALGHEPNILITILSMVDFIVVIDDRPLVFIPSKIRFVGDKLGSGH -FRWFDKFFFGSDI ->YP_293706.1 P7 [Tomato chlorosis virus] -MISTYFTLIGLIFLVVFCFVLLCYFVFTVIKFFAKDKISDDDCPYVNNVAPFGSNRFNSQ -PPIVR ->YP_293705.1 P27 [Tomato chlorosis virus] -MGVVYNSDDVNSGVGSGEDVNTTVAKNFYSITHVMSNYRNYTPDEIKDAVNIGYGLLNLC -ERLDRDVILVSPNSPVYNNYRDAGIPHNLLMENTARYFPVVNPSELGKVLLGHISVLKFL -EYFTRYGVDDMLITRLFSNFVLWSTGDVNAALYSIYQQDFHFPVEVRANFNFLFLNSSEI -DRRLSNIRRKGYPNSENFNWFKNMISNYLYFDFVFRYSGTKINIERISNYYI ->YP_293702.1 P9 [Tomato chlorosis virus] -MDLEEMIKELGLAKVERFLTVYNQGRFVAFGNIETLLCLINQHFVEFNPQRAKLDIELSE -VRDFLRCFESFRSFGLRK ->YP_293700.1 P8 [Tomato chlorosis virus] -MLVCLVLRTNCLVKVLYFSDTPIVESGPLVIRSSDPTIIEDLLEYLPFVTESWNPLILPK -ESKDFSQ ->YP_293698.1 P4 [Tomato chlorosis virus] -MPTAGMLQPLLHFVIVYVMFSPIPPYSFFVKLK ->YP_271923.1 putative capsid protein [Penicillium stoloniferum virus F] -MSFETSEGMSRPGDNPNKLNAKPRQSARPKTRNSTAQSNQTMRLGWIDPLPQVDTIFPLG -LEPNVESIPAGEVELDFNLPETIAKPFADTVTSVGDRIQLVDDDKENIATSIYGLSFFKA -ARQLYSTMLDHEKAVNQPLKAVYYDETPIPAHMSGALGIIGHMKTKVGDVLVKDAGVLFK -RGTAAGVTKFSEIDNDKTWNLDCSKLVWADHSSLSMIKRLASEKISQLVKQRYRVTDAQG -HVYSVSMPQLTDQALPDYYDSIPDVAPNSDQLRVLTAALQMSLAQFRNDELPHDEDRSDL -LTTLDLLYADGAYEISALRDQFELLMARYTTDFKWRVESIFKVGPPPAGTTGYGAQTVSS -TGNTARWQFPLSDADINIGYLFSPSKSFSLFPKMVGYSKRAREDASASFANSDAKKFYAD ->YP_263306.1 triple gene block protein 3 [Lily virus X] -MYSSPYILAAYALIAFTAVCYVTQPAAETCYIEVTGHNAVIRGCVNVPHLPEVVPALAPA -SGLSCQKFRNHHYDDLCS ->YP_241113.1 gap-pro fusion, partial [Ovine enzootic nasal tumor virus] -KRKALGTRLPVQNRCSRYPFTPGVGKLGEGPAPGPETMLWGNTAGSKRTIADLCRATRGS -AGLDLCATSYTVLTPEMGVQTLATGVFGPLPPGTAGLLLGRSSASLKGILIHPGVIDSDY -TGEIKILASAPNKIIVINAGQRIAQLLLVPLVIQGKTINRDRQDKGFGSSDAYWVQNVTE -ARPELELRINGKLFRGVLDTGADISVISDKYWPTTWPKQMAISTLQGIGQTTNPEQSSSL -LTWKDKDGHTGQFKPYILPHLPVNLWGRDILSKMGVYLYSPSPTVTDLMLDQGLLPNQGL -GKQHQGIILPLDLKSNQDRKGLGCFP ->YP_238302.1 gag [Ovine enzootic nasal tumor virus] -MGQTHSRQLFVHMLSVMLKHRGITVSKPKLINFLSFIEEVCPWFPREGTVNLETWKKVGE -QIRTHYTLHGPEKVPVETLSFWTLIRDCLDFDNDELKRLGNLLKQEEDPLHDPDSENSYD -APPPPHPSLHSSRPSDNDDLLSSTDEAELDEEAAKYHQEDWGFLAQEKGALTSKDELVEC -FKNLTIALQNAGIKLPANNDKFPSAPPLPPAYAPSVVAGLDPPPGPLPPSKNMSPLQKAL -RQAQRLGEVVSDFSLAFPVFENNNQRYYESLPFKQLKELKIACSQYGPTAPFTIAMIENL -GTQALPPNDWKQTARACLSGGDYLLWKSEFFEQCARIADVNRQQGIQTSYEMLIGEGPYQ -ATDTQLNFLPGAYAQISNAARQAWKKLPSSSTKTEDLSKVRQGPDEPYQDFVARLLDTIG -KIMSDEKAGMVLAKQLAFENANSACQAALRPYRKKGDLSDFIRICADIGPSYMQGIAMAA -ALQGKSIKEVLFQQQARNKRGRQRSGNSGCFVCGQPGHRAAVCPQKQQSPVNTPNLCPRC -KKGKHWARDCRSKTDVQGTPLPPVSGNWVRGQPLAPKQCYGATLQVPKEPLQTSVEPQEA -ARDWTSVPPPTQY ->YP_238532.1 small hydrophobic protein [Tupaia virus] -MITTLIIIGAAFLVGPRTFKFVLAYLLGYYNAFGPPLQIVQFMVWLIIIYFPKKFFSLGW -YFCHDAFSSYFGDPNGGQLPVSTKFHSLTDMID ->YP_238531.1 matrix protein [Tupaia virus] -MLRWFSFGSNEGSEVAGNGWSVKPIGNMSIKKDDPVGFPQGYQCLLKVIIQLEKKDPTKS -DVSELIAGWVKRYSGPHLLERLIKALIILTVPKLSRENIDNHVKLGGLFEGQVTFHFSSR -DLIPTKYLSYATSIRTTVKGIYSYLSIEAELNPSSHQGTSVAKLLRASDVAKYYDNTLQS -IFSQFEIKNVTITDDQIIFN ->YP_238530.1 protein C [Tupaia virus] -MTSQRFQELLKNVKTNLGSVWVEFRSSVEERLKHPHIWKIIDREQLATILMFFLGIPKMF -RLSQNMKIRQMRNMRKPGVMEMTPDTNQTEQPCPSQMTNTETSKEPESVSLNSSTKDGDL -DPNKQMKNLRRDSSSSQESADLEEDHRMLGGRMRMIFKRRQGMRKKSQSLSHLRSQAVYQ -DTTEAAISPSTWKSFWPPQLRLRDDNSWSSVNLSQTDPTKN ->YP_238529.1 phosphoprotein [Tupaia virus] -MTDPKKISRVALKGYDLTKVSRALEECEDQPGVRVGGVQIVSGGEAEAPAHLEDNRQGAI -GDNFDVFSRDSKNVQIVTEHEDSSDEEYEEARSYGDDSGHQPNRAAVPFADDEYGDFKRA -RERLPQLFHEGWGFGSQQANEESSEGLQQQSGECGSGGGSSNAGGKDEDDLQEKTGHEKE -KSVIVPPKESGSVSGYHGSCDIPFNMEEFLASPATTQRRQLLELCQLIADRSNEELIFFP -WGFNLVKRKVHRVEPQQPVAVSHRFTWEDFQLKLKAGFTLIHKKTKAPVVLNSSSYNLGS -VPEGGISLSPDDTELSVLIKCLRYLGLYKFLATQIEF ->YP_238477.1 p13 [Pelargonium line pattern virus] -MKMTNSSTNTSIQPRCLNDGWIMPRRAGLTSAGLNCSRGLGMLEHGGEWLGGFSDCLSSR -LSSSSNRDASWTLAVWTRKCTAGNTAGLRSSVGRQTPSRVGCIRLVGYPLKLDGGCTTIV -LSTSEEG ->YP_239359.1 hypothetical protein HPV_s1gp1 [Human picobirnavirus] -MTANQIAYQKHLETARVNAVGEMQRGLELDESRRHNISQEQLKTRELTELERSNRAVEKE -TSRHNVVTETETRRSNLAREWETYRSNSAREMETQRSNISYEAIKRGQLALDRAELNESI -RATNENLALQYSKLQTESLLTQRGQDLQHKNAIIGASANAFGSLLGYSTASADRASREEI -ASANRKSQEHIASMQVLGSMANTMFSSVSNLVGKTAGAFAGGLS ->YP_227598.1 put. protein B2 [Black beetle virus] -MPSKLALIQELPDRIQTAVEAAMGMSYQDAPNNVRRDLDNLHACLNKAKLTVSRMVTSLL -EKPSVVAYLEGKAPEEAKPTLEERLRKLELSHSLPTTGSDPPPAKL ->YP_227359.1 p4 [Blackberry yellow vein-associated virus] -MFWFFYLLLLLVVFIFFPCQSKSGYVVRSGRFSTD ->YP_227358.1 p5 [Blackberry yellow vein-associated virus] -MRLSLVCLLVSSRPFWSVSPLVFTFFCFVFFPFSHFSLFLLFESL ->YP_227368.1 109 kDa polyprotein [Strawberry latent ringspot virus] -MASFFSRRSTPEMPSVPSYSPREMEVLKSAITECGINYADVVRAAQTESGKLAILTAANT -NSLKSLVATTTTQSAFRRLSESPKAIVHVAEGVGKQVLCDDKLTHQTTVVHTPKKVYDHM -RSKRLKKTKDTDEEEPKYRSADICTIQLGVTSHLSGHSNQIAGIVLLDGNRATQEQAVLG -IGVLPLYEAHSHALFAPRLNIHYDDPNFVDRLQLLTTFSDDVLGGGSPAMSYSTISVVRH -NIADAHFLPEPLPYSKLKEKYPSGLKGLSNFQAAEIAPLQPARLTRSASYMPIRRSGAKT -VLTFEDNQASLREQQPLQARASFSFSGISYGQQDRAALPFAPSPKCSFHNRVGCPCKQHT -GEAEASGLHEELVPAASGGTEAIFFSPRSIPLPGSAKFVGSHPFSFPINSNVGTTVYTLP -LIRTSLKDTEWGRYYKSYTFMRFKPTVRLVSSAPIQAKGLLWLCYDPCETLAKYPSRERA -LMLQGTWFMPGRHDSVTLTIDELATPSGYSIMTSDHNGAFKVVIIKDLENFEVADLGMEL -SLFLDVQDIGMGMGPEMPLTDSFLPLRQVVVDFDLSTTTPKGKALVVPLNPLLPGFDGAQ -WYPSCSSSILENHRYWKGTLVLEVIFNLPAMGGGTVEMGFANDSCSGRESDAYRYFGSTV -VDLRAHRLLRARVPLHGYGGYLMGGSGSLFAVPPLTDYGQSLRFVLLFTAPLHISDTTKK -GSVMVRYLGLEDCEYIQPTTSLGRLNPATTLVASGAPVVQVGTSDWVEPPLFRLPLGLLQ -RRFRLLTISKWPKSGFLFFPTTPSSHIPKLVGTFEGEVEQHSPLMHRSQENAQWSGSLTY -YLSIRYSGATPQGVLPLRPVCLGATILDNIMGKPCFIEKDTFVQVMPMADPRETIYLPTT -DSVASYVTPPRRWVNTHFGATEMYGVRTCPAWVLLQFPNEEASHLGVRDISLWVEPKVTF -RHAVGGFPILKPTPAPAIDYYYENTFPVG ->YP_227371.1 VPg [Strawberry latent ringspot virus] -GSLSSSQDQETRRTASGRERRRYLLEAS ->YP_224220.1 hypothetical protein CSDaVgp3 [Citrus sudden death-associated virus] -MISLALPLSPKSWPTTDMLSSHLLSWRSALLLQPSPSPSLCRPSGPLPPSLQLPPLKPPT -MAVDSSLLAVLSSCPAPPISLLISPASILCSRAPSSTQTAPDSPTPSTPMAEPRAPISAP -SSSGELSASAAPPVIFSLRRASSGEGKHLLVSAR ->YP_224137.1 TGB 3 [Mint virus X] -MSTAPSAFTSGGHHAAAPAIFGCLPSLLPYCRASFGWAGLALLTFAAALFALRPAPPDCT -IVVTGHSLTVSGCVGHRGAELLLQGLSSRFIRNHD ->YP_224097.1 unknown [Mint virus 1] -MSEVSFSIRPIADGDTNTIVIIRDKFGHSVLNFKSPAGDDSLALVEESCIISRSDFESVA -SCDDSFFLAGLNSLEDALNNFVLHSPRSLTATSESLSSLEYIDFVLDPIMLGIIFRFNLK -RRINNDSVSLFRDSLISLNGGWYNGTLYDDMFHYLSNFFKQKKGFTIHSFELIKQNEVVF -PSRVLRVSGDRGHQPID ->YP_223922.1 ORF 3 [Fusarium graminearum dsRNA mycovirus-1] -MKILLIQTRPEDLACESPRASLTHDERNQQQPRTGPTICSFRTHRRIFPKEGN ->YP_224089.1 virally coded protein [Hydrangea ringspot virus] -MPGPPSESRRQKRPSTPSLWSTSVLTRALPQPPASLEPPPPPPSPCPPWPEPFWSWYPSE -SSVGTSPSTSGMLASPPTPPRLHGKLGISQRTRSLPASISSMGSSTRPPSSHPRGSSGSP -RKQNGSPTQPPSPSTSLRQPPSAPTSRPPPPSLPVGD ->YP_224087.1 triple gene block protein [Hydrangea ringspot virus] -MPPSSSSHSWPSSTSLAVFTAALVLTFLALALLTPPPPKCFVRVSGAEATLSGDCSTLSP -EALRHLKPHSHRC ->YP_164338.1 ORF2 protein [Sapovirus C12] -MSWFTGAALAAGSLVDMAGTVSSIVAQHRQIDLMAQANQIQRDWVNKQEALMRRGQDISR -DLAVNGTAQRVESLVGAGFTPVDARRLAGSTETVQYGLLDRPILQREVLAGISETHHLQS -MQASLSAFKKGSSYGAPPAPVGFANPNFQPAPPRVNLGFRPPSTNV ->YP_164263.1 nucleic acid binding protein [Sweet potato chlorotic fleck virus] -MGSASERLPNFVATFLYGRGLLCDWDCCLLISSIVSNYNKGMRTYKFFACGQSKSAIKRR -ARRMQICPRCAKYECGKKCEPNTYSQLQVKELVTVGVNRYTTENITKKGTNVRRHCKNEL -EFIKYESLKVKPK ->YP_164261.1 triple gene block protein 3 [Sweet potato chlorotic fleck virus] -MPPLWVTALIGFLLCFMTVVYIDSVRVVPSNCVIVITKSSITIRSCEKVPDLSMLKTSNL -SGVDCTL ->YP_164806.1 ORF 3 [Fragaria chiloensis latent virus] -MCEQAHDGYPEVNPAVLRPRFFSVPRTVRRSRRLNPRLVDEANLPYFTGECVRCGYTPKS -LIDQREWECSSCYMLYAA ->YP_164805.1 coat protein [Fragaria chiloensis latent virus] -MAFCNVCGKQMPCGVVHRRGRPTQRSQNFARRGGMAPRPNTANNLAMPTAVRTEWEINGP -NTQVRRFPGLVTVSKGVAIRSTGAGTYWGFAISTAFHNLMGQRVLCDALVMRFISDHSGG -VVGVVRGYNPDHPTAPNALTRRRFHKGVATGLQFLAPTNQVVDEIADDIYIVFQFDTAFT -ANAVLLTRDRYLQHNAVPRVEIPADVLRTEALPVEDIRG ->YP_164803.1 hypothetical protein [Fragaria chiloensis latent virus] -MRYCRCSLIVCRISSMSVVLIYLFLSKRIPSVCLPIFIEVFLRCLTRHAVRQAWKVGVPV -REVPRVRPVMFVTKFIMPDLLIRCLSVPVAGQIIPARKMSVNLFHLAVTPYREVRSQMRS -LALVLRSIDAWRVLICLPIFPILDDLSKNRSNLMDSTVWLLSMTGGLG ->YP_145797.1 P7 [Infectious salmon anemia virus] -MREANPKPRELIRHALKKKKRPEVVYAMGVLLTLGGESGLTVEFPVPEGKTVKVKTLNQL -VNGMISRATMTLYCVMKDPPSGGMATLMRDHIRNWLKEESGCQDADGGEEKWAMVYGMIS -PDMAEEKTMLKELKTMLHSRMQMYALGASSKALENLEKAIVAAVHRLPASCSTEKMVLLG -YLK ->YP_145796.1 P6 [Infectious salmon anemia virus] -MHERSKPKTTGADQTCLEEEKETRGGLRNGSSSDTGGRERTDRGVSCSRRKNCEGQNLEP -IGERDDQSSDDDPLLCDERSTIGRHGNADERPHQELAEGGIRMPGRGWWRGKMGNGVWYD -FTRHGRGEDDAEGAENNATQQDADVCSGCKFESPREFRKGHRRCSSSTSGILLDREDGAS -GVPEVSFKERMEAEKKKLKELDDKIYKLRRRLRKMEYKKMGINREIDKLEDSVQ ->YP_138536.1 putative coat protein [Amasya cherry disease-associated mycovirus] -MSAPATTGSTTSTAAVPATEQTAPATVAAPPTAPATDVKYVAPAKKQKSFAPREPTASSA -GPKNPGLSMMLSGVSDLPFFGIKHNDISYVVPDTTQLFYVLSIMDTQMVRTKRFTDANPD -WHPFVSQLYIAVLFYYQVLKNQSHGGMITNDQRLFVEFLDSQFKAEHLKIPGPIAIFFQS -LAANAGPNENFGNLVFGIPNAHDINCTSFLWQDKVHTILPNVIFILDQFMRLISLISPVN -SGPVQANASHTDTVYTTIFGAPASKDEATRFAMLTPSARSDFQTTVGLLNGLATSSNVWR -NTLPFANDGDSQYVISDDDDTLDLDQVFGFRGIGNHADRPYGWFAQVIRVMQPYSDFFKD -TVSLGSVTTTGTGISYVRTKYVATRQNKNILVHAVTTRKVRYQTGSTLRYDIPEFTGITT -IHLHSEEFLDLVTEQAGILTQLNSDWTDINKDVTDTSNPDFKSTHVGPIFSIPDSRRTSQ -YNVSNTIAPLISGYYHTPSALRFE ->YP_089663.1 54 kDa protein [Citrus psorosis virus] -MSMASFKSASKRISGTENVTRISANTAINSIVKKGELNVISLKDGNTADLEGINDVLLDY -RRILKNEIKTAVSPITMKLKKDEHKKKLKLGTLKSITDKLRKLGGESSQPFIQFYKVQCM -YIPLFSRVDGDNGEITVSLIDDGKEAAGQDPIIQSITFDASQMAMVELSMNFFVEKKDMD -FIGIHVSAENVPVQDRAYGSINLAFFTNEQSVPMMQEEKKSSYLMIDAVNRPNDITKSSV -FKSIGDRVSEEINQKRDDYKKKLIENEKLRRREGKGVKIETETRSSSSSDGETLLEEARK -SVSLNISKFLADQRRAPPPPQLEKRTFQWPCGVKMLTMMDTGSSSHYFFSKNITPTSVEM -NFGGVAQLEVERAKLSFETFGNKFLLKDVFMFSDQSLGDNILSYTLLKEEGHIDGMRTAG -DDVLLEKDGEVVMILDSRDEGRMWIKDDVWAEVTEHGSKSAREYCMKVEKNEIKVE ->YP_089662.1 24 kDa protein [Citrus psorosis virus] -MAEYIEVRVENLHKWGLEINMERIEKLSKRLKSIVDEDCIMKTSRIIGIWMFMPEIVQES -LKDSPLMTQKAWIIPHEKTYKTIYGKDGIQMAVTQNEEDLFKDSEFFMISRCDSVMLTKN -NKTIILNKELLNCNMSEDMLFNMLSCQEQDITEELMKKMKTIISSNPKERLEDKTEEVFW -NSTRILNWIQHNDNSRSNSSDNSFRE ->YP_089656.1 nucleoprotein [Influenza C virus (C/Ann Arbor/1/50)] -MSDRRQNRKTPDEQRKANALIINENIEAYIAICKEVGLNGDEMLILENGIAIEKAIRICC -DGKYQEKREKKAREAQRADSNFNADSIGIRLVKRAGSGTNITYHAVVELTSRSRIVQILK -SHWGNELNRAKIAGKRLGFSALFASNLEAIIYQRGRNAARRNGSAELFTLTQGAGIETRY -KWIMEKHIGIGVLIADAKGLINGKREGKRGVDANVKLRAGTTGSPLERAMQGIEKKAFPG -PLRALARRVVKANYNDAREALNVIAEASLLLKPQITNKMTMPWCMWLAARLTLKDEFANF -CAYAGRRAFEVFNIAMEKIGICSFQGTIMNDDEIESIEDKAQVLMMACFGLAYEDFSLVS -AMVSHPLKLRNRMKIGNFRVGEKVSTVLSPLLRFTRWAEFAQRFALQANTSREGAQISNS -AVFAVERKITTDVQRVEELLNKVQAHEDEPLQTLYKKVREQISIIGRNKSEIKEFLGSSM -YDLNDQEKQNPINFRSGAHPFFFEFDPDYNPIRVKRPKKPIAKRNSNISRLEEEGMDENS -EIGQAKKMKPLDQLTSTSSNIPGKN ->YP_089654.1 polymerase 3 [Influenza C virus (C/Ann Arbor/1/50)] -MSKTFAEIAEAFLEPEAVRIAKEAVEEYGDHERKIIQIGIHFQVCCMFCDEYLSTNGSDR -FVLIEGRKRGTAVSLQNELCKSYDLEPLPFLCDIFDREEKQFVEIGITRKADDSYFQSKF -GKLGNSCKIFVFSYDGRLDKNCEGPMEEQKLRIFSFLATAADFLRKENMFNEIFLPDNEE -TIIEMKKGKTFLKLRDESVPLPFQTYEQMKDYCEKFKGNPRELASKVSQMQSNIKLPIKH -YEQNKFRQIRLPKGPMAPYTHKFLMEEAWMFTKISDPERSRAGEILIDFFKKGNLSAIRP -KDKPLQGKYPIHYKNLWNQIKAAIADRTMVINENDHSEFLGGIGRASKKIPEVSLTQDVI -TTEGLKQSENKLPEPRSFPKWFNAEWMWAIKDSDLTGWVPMAEYPPADNELEDYAEHLNK -TMEGVLQGTNCAREMGKCILTVGALMTECRLFPGKIKVVPIYARSKERKSMQEGLPVPSE -MDCLFGICVKSKSHLNKDDGMYTIITFEFSIREPNLEKHQKYTVFEAGHTTVRMKKGESV -IGREVPLYLYCRTTALSKIKNDWLSKARRCFITTMDTVETICLRESAKAEENLVEKTLNE -KQMWIGKKNGELIAQPLREALRVQLVQQFYFCIYNDSQLEGFCNEQKKILMALEGDKKNK -SSFGFNPEGLLEKIEECLINNPMCLFMAQRLNELVIEASKRGAKFFKID ->NP_740442.2 3B [Aichi virus 1] -AAYSAISHQKPKPKSQKPVPTRHIQRQ ->YP_081452.1 genome-linked viral protein [Cherry rasp leaf virus] -GAEDSPSFDTNRKRGGVKFEYSTKWDASSTNRFAESYSENGTIPAGTSWADFFGE ->YP_077190.1 movement protein [Carrot red leaf virus] -MDSPREQQELNPWLLSTHLQGAHVDVHGLSQSLWYKPHELDEDDEDAEDAALVTHEQWED -PEAESVARSLCFRRTTSRAAPVESSPSARIYQTTLLSAMDYSRHSTNIKSQTCEYVTSRK -PHQPPVARSLMSWTPVVNSINFRAQSTNSGSSRMVKPLGALKRLMGRSGTPLRRTNSGSS -GREMEVIRR ->YP_077185.1 p0 protein [Carrot red leaf virus] -MLLITLKGTVSYYVPTDSSARLFAYKYFIHHVLALSFATLQLYNVEPCFYGNLPRALLYI -LPCILNNDYKMGGSAIWCKPDYIRELVLWGLVCGFYPRIQNRGRRGYRVNLQRLGNRRAY -LSFLHRLDGRNLSENLLRRPEAFFTTVEDFSRTIGVFYQIRDRALAHSYRELPLDRCHDL -VGSLFHDSETGVVTYNQLHSTCPCAGYSLLINDSDLPCSDLALFTAPYVPFNVSLGHDQI -GHQVEIQEE ->YP_077279.1 hypothetical protein SHV01_gp3 [Sapovirus Hu/Dresden/pJG-Sap01/DE] -MAPTQSQSRATTRWSLTRLAQQVRPHPTLLLLIRSNPMGPHSAWSWLLPLVQSNPMSLRQ -YATALQSFVLLLGTTGCPRELFLDLYRFIPTLTRTLLTSLGCGPGGAVVLRSGYRSLVLA -CSLGVSLLLSYHQGLIPRPSGTQACCLTLSLMLASLSQFLS ->NP_734015.2 VPg [Cycas necrotic stunt virus] -AQYSSGAQEGRYRSRNIPIRQRYRYAR ->YP_054420.1 hypothetical protein PYVVs2_gp4 [Potato yellow vein virus] -MNSISELITEFGEDRVDEIFALHRSFGQSNPPLCDVLLNLISSKLFSHDDVFEESIIEFG -DLKSFLLVTKFIRGVYNSRYNLRY ->YP_054418.1 hypothetical protein PYVVs2_gp2 [Potato yellow vein virus] -MNSLCRIIFEVNKNFCVYFLSNNRDFLGDELTYLDPSIEDLRDLLRDHFYIRKVW ->YP_054416.1 putative hydrophobic membrane protein [Potato yellow vein virus] -MNGTVPIVMKFCIRKVGECGEDEVFRDRFSYIMIVYCYVLMVVLAVALCLIPCSKAIKQF -L ->YP_054412.1 hypothetical protein PYVVs3_gp1 [Potato yellow vein virus] -MCSEKREHDFISQTVSSLHQAACSRLKVMRSEKII ->YP_054425.1 coat protein [Humulus japonicus latent virus] -MSNAFCASCGLRSHVGNCPPRRKASPGQKNRTRIYALERKRANVRVPPGTTLNPKFFAQF -SGYNAEECDLLDDVINAIPSVSVSAASQKRVTEAQLVVGDPLKGIQGPCTHMGDATITAR -TGGVRGFYMALDALLTGIPDATRLKSLVMHITFLSDGGVLGLNTERSDQYVEPNPLKRKR -FEKESPFWAQILFPDNVKLSDVKNYAIMIKFDSDYSANTPLYTRECWVNHYQLPSAVIPE -EAFARK ->YP_053240.1 37 kDa protein [Lettuce ring necrosis virus] -MSFFGQWIQYIDPIITRALSILPHLREIINIALKPFNGINLLDDHDRTHLANRIRFIESK -LSLIGEEKANIEILDKNTRKMIIVFYSEKLKQLERKMIREFMLPVFTHLTNPGMSLFDIK -QNNFYNFYSEELEESMMLPEKEFSIPPLKRSILRTLENGLDYLMRNHPRRSATTLNRIDY -LKSVGKRGEKQEIHGVEHISNYQAVDSVGFCTYLWNEIGAVKNIFLNQFLHLGVDSSYVI -LFPHGCFSILEKHSMNSMILEEIFDKVIIELCLSIKILQSTDTYNNTLIEFFYPSRPISS -KIQKIRDLEKQTKNKYHSVNF ->YP_053238.1 50 kDa protein [Lettuce ring necrosis virus] -MSGINVFSNNSVQLARMKGKAIDNGSSLPVVSFRKASEHMINEGIGTLVSLKGGNNFSEE -IECMASEFKEVIKGEIKSIVSPITIKLKDSESTTKVKITTMDKVTSLIKFEKFPFYRVDR -LKILYIPLFSGENSEGKNITFSIQDRSMVVAGKPKKISSATAPINKMSMIELSATYFVQS -KDLSKIEFGYKAKGIPVSGRSFAAVYLAFYIHGDHFPATMRPKDPIVLLIDDVDAPTDIN -KTSSVKDLCDKVHKRIESKSKQMNRDRSRFEILEERRKSDMLLIKENIEESNTSNPTFSV -KENNSELFHGITKANIAEYLPRNLPISQWENAILDTGAPDHWCYHPNIVDLKVMGSEDAL -EEGVNFHRVRDVEVKLGVHWIRLREVLFGRKDDRPLISYNKLMKSGIIDSLNKVTKSKSI -LCCEGKVMFDLDTSGGYQVFIPSESTSRTIIIE ->YP_053237.1 22 kDa protein [Lettuce ring necrosis virus] -MDLLENATQGTESNCEQGKVLNLLKASGHILTEYTLHEKKVVERNSHEYQKLMKYKTSYT -EEGRFLFGSWLLTSDKVPRIFDKKAVIIIKTLNSFVDVVLYDDIFISLTQKICDIFTSLF -FQLYKVDAMISFGDKKIYRLENGYTIPHYSMILSKEEERSKRNLENALRTLSNDNEKKCD -STCSLSVSTMKHF ->YP_052930.1 6 kDa hypothetical protein [Pelargonium chlorotic ring pattern virus] -MGGTPEDSENCSSRSPSCFPNPLSPNKALRFSGVRRKPAPLLWNFPRVASPALTSS ->YP_052951.1 NS3 [St Croix River virus] -MQKQSHLTMMPRPTAVVMTKDGIGAERVTLMPSAPSVSPLQVISETLSVPSAKTPPERME -KAALKGAQEAISDSSDPIGMLIKVEANASTISALQHGERKLARRKSLLFWLHLTTVILML -VFTAISSIANLSIHLEHYLRMANLSSNMICLGFATSTMFITRTRLSVALELHKVRKQLRK -RLAYQATAKNISAARAPPPSQPFLSDVSSGTSGWIVAPGCKALQ ->YP_052950.1 VP6 [St Croix River virus] -MQEISMAQHVMMAPGDLILEVEDQIRRRGMAVHVLQQDGEGTKSRRSSADLTSSIPSHSP -AVSTPEVSEYLNRAFNLNVGSYDEGPLPEGPPIFLSLKGSILNEANLLPSAIRKMASVRS -TYEKALKKSNLKVFEYPQTVRTQDELLNEIKHSERGASSTRRRQKRVDLVTNDPSYVQEA -AAYYSAPTTDPTWKATLRRIHAQGTIVGGAYDQEISPKPPFEAFLDFLDSRG ->YP_052948.1 NS2 [St Croix River virus] -MSGAPSVRPEMWEPTSSSISVYLTLDASGDLFHPLLEKDSLTKIVLKEGLVRFERPSQLT -PRSTIAIVATFGNYVTTVGRNVHLYLCVDRTRLQVSLFPRGDTTTPTSLCVPSTSRLVIP -TSLILLELGASMLPRFATDRRHSIIRKRKSFSLFRSWLLSPRLRFPQGEETLGVTCPQPE -STLQLETLMEPEVREKDTPVRLSSKKGTVSLDNPLPPLRSLRPPSPHLNDGDVERMSENI -DKREKRKEKKEKKKKEKGHVSDHAPSSISLRPSSTSAAATDDFLSDPFTPVEFPSSESVT -PEPPRDFFDGEDLPARPSVTPTSQTSLHSEAHVTSISDSPPFGFAHSSLPVPSKFTEMLK -LRLSPSAPHHFGGDDLEFIPDLPQHLRAFAEKRGSSSPYLITAQPTETIKDYNLYTFQAG -PNASWVPVWEKNNEGAYVFKGRGPASLVHLAKRGGGVTLFTT ->YP_052947.1 NS1 [St Croix River virus] -MERFLSLFSLRGYRRRALAALSVHQGLFSCSHIHRDCQFSGRCFLTHPLEAFPNGKVPSE -GEIKRMHSLLDRISQPHHLFTVFSRCTDVLPSDELASLKLSPLQSIQEFKSTLYPAARVE -EIARPNDGRPPVYLDDAVTAFNLFFPPLPSGDEEFRCAPEITPGGVPIVFVDGDYASSSP -LHPFSPEGRGVAQDIIDAIRHRDAEWAPPPVGKRAPLPLCAWVPAAWRDLITAGDSNHFY -RQIELAYRHLVKMRSDLKYSRRIILQVFGVRGTLDALHSALDLRENGVTLYAALVCATSI -SHPDHLLFPSILARTLAYRQAFHLLWTWIHSDRSCQLCFLLAQGIPTAVIADTRAGVFSR -DVQISEHMTGVSFPATYAGRVLSRQGSHWIEIQPVSPDDAFHIYLNLTHRYLRAAGVSSR -VELEMLRAGMCHVLLDHPLTFHSLIASFRLVLYLEYGLNAEIDLGDHGWTDFGAFKAAIM -APGPKDLVRLEDDLWNAMFQWALAHLSRQGSDGWPFL ->YP_052944.1 VP3 [St Croix River virus] -MRIGSMATISILVVDEDRLRRKTGPPHAVAFGDVDIIILPSERKPLFLPPESDPEHVVQE -LFRRPRTEDSLIGSFVFYGEGEEMQTVMRTGPALPKIPSISSQPSPLLGDGYEVIGLRYP -LLFDQAFSPRKLPPSRVERDPLRHGIISGSHGCSVSSQNGTYCVPFTIYHTATTQLFQFD -GVGRMLTPSRLLRYQEVVLLGGYSFGHKIAIREYLNSRLLTAAGGKEAEHVTKNRKEWAV -QRMNAILQGITEMPDGVPSKDDPRVSDEIDLCAPLTSLFDAQCNRFSIHVIKRILMHIHP -QQEAALLKAFGVSASEDFVTLFLKEYSESPLLFQLFVLLHLLLLGERPPADELALFRDVV -HRYYFQGTSFYLGRDSPLVFGRSFVETVLRAQRTKDIYSLRQLTSVNLLLMCTPEGQVEG -PPPYALFRGGDAGYFIPFSDEKFKPLFSDVHLAEENLRQAEAWRSCHPCVLMKYEEVHDK -VLTDHRAIITPPTLGPVEWRLMESISIRPSQRITRLMEYPSTFQLHYGLANDVLTYQVES -FLFPFRSPAKMAIPILISDTQVSLDTMRSALYARFPNTWSGFPGVAFVRIKDTSSDHQSA -TEDIEHLCDVVGGIRARVLPVDDDVMKGKLILITTNGLVREGPGFHLKVASRVR ->YP_052847.1 M [Maize fine streak nucleorhabdovirus] -MAIHPAQLQPYMITYYYKFGITTTESIPINEQKFVSYAIKTAILALNDDDPRLVELKKVG -DFDVSSRLLFIQHHGRSLDHPLVSMDKPIVKLEGALSLEYLTAGGTYQPYNTHRALVRMR -SGKEGLLQMKWQWADENDEDSTYVSGVIYSDLVNITEEEYETCMQNNIPTFDLLGALREF -NLKNKKNRESQYDDKKEDKAEKATTEKRKRQESKPSLYQSFKSVFRPHHDDEDDKPGPSK -QLTLRM ->YP_052846.1 4 [Maize fine streak nucleorhabdovirus] -MDSRSFVDRTPNQKADRKALTKASKALFKGKIKSEELGIIRKAYAHTISITDIIRGQTSE -GGEKSLLQIEGLVFMYQPEVSSFVNEGVEISIVSEEIPGEIEPLCSFLFRASDYVKSVLS -LQWTLRTREFSNPDKNPLYITYRTLNNVHNVSLGRVIGGVIGHWKENKDGARTHKFTTPS -GYTKVYPGEYALDISHMKYYHAGGSYIVQSSSDLRNFVKFKQAMSQYIGDHDNDVYFDIL -SRSPLVIREAIQRIMDEKPKPDDYYHIMTYVKPMRSNVHVPSIGYRDLISFTKEEVSSLT -YFNTGDEIEEPQHLETKVSSKAAVPAF ->YP_052845.1 3 [Maize fine streak nucleorhabdovirus] -MDSGGEITQTPTRDRHVRIRHEINSPIGSDFSISTRKDREVVFQLTDQGNTWFRGESHYW -VGNEHLPIIVTYDSKGGDTISDLIVEVEMKLRF ->YP_052844.1 P [Maize fine streak nucleorhabdovirus] -MSQRTLRSTRSSQSKKGFANLESYREKSVTEADPAYSSVQIPHSVTESTRYKSLEQVDKL -PLSSDIPAQIESQVEMAPILTPERKETMKEEITKFLMARGCVPDNMAMEQLLKAHEPIID -NPAADIIPDTTYLSAFCQGWTFCMRVYSDMALNNLKKTIEPIMSTLAATTNTMQGLTGEL -NHTSKLLKLRVSAVPFKRQSPKDVAARINKMKQKGRRAEDIMDPMKQPMVEEEEDIDEES -EDVFPEYSSSLLRTTWDEMSDDLKRSLLYGIIKAAMDIEDVGELDSDTMNTIERSLKGSQ -LTTAYLMKDEGVDRDKIRRYIKKIWEQAGLPNKMEIGD ->YP_052870.1 X protein [Blotched snakehead virus] -SSDEIDYQSVLALTIPGTHPKLVPPTEREPNSTPDGHKITGAKTKDNTGGDVTVVKPLDW -LFKLPCLRPQA ->YP_052869.1 VP2d protein [Blotched snakehead virus] -ASGTFSKRIPLA ->YP_052866.1 VP2a protein [Blotched snakehead virus] -FGWGDLLSGIRKWVFPVVDTLLPAARPLTDLASGWIKNKYPEA ->YP_052863.1 VP5 protein [Blotched snakehead virus] -MPDLSAALCRCGAQRSPRVSTHSTGPSMGLPTLEVSARSRTWTTIHFSLQRPTSMTRSAM -YLLETVSQCSHFLLEATCLMLDLAMKFQALLESRDALPPTDLGTTMPTTNRSRSARPTPR -PMGSTSTQQHPQRSRSTCR ->NP_758812.3 5K protein [Beet black scorch virus] -MNRLPWRMGFLVSALISWTRTPRRRSASSSSLGHENLTTFHGTTA ->YP_025095.1 p17 [Dendrolimus punctatus virus] -MTDPLPAHLFHLPPGYSVAIIPPEQEGWELLEWTVTQPPAKDDLAMCGLQPTPSTSHLNS -ARLAVVEPARELTTSTTSPPLPRKSRSHSTPTLSPSPPMSPPCPSSVTGRVVNLTSTRIR -SDGTSSTSTPLAPPSPRALSANTQRSLTVSLNSLSTRR ->YP_025084.1 p8 [Strawberry pallidosis-associated virus] -MDKESALHVLLVLWHIVWHAMCYIYVVSFTARDHRRFVRPPHTPQFKRKRKLPRILLNVL -AF ->YP_025083.1 ORF 2 precursor [Strawberry pallidosis-associated virus] -MLSTLLSIIFSILYISCVVHLELCFTKYLIYRSHNPCSELQCINMFYIIGKTNSILMLLD -FTFIIISNLLASIYSKINP ->YP_022763.1 hypothetical protein SMV10gp2 [Sapovirus Mc10] -MSWFTGASLAAGSLVDMAGTISSIVAQQRQIDLMAEANRIQADWVRRQEALQIRGQDISR -DLAVNGTAQRVESLVNAGFTPVDARRLAGGTETVSYGLLDRPILQRGILSGITETRHLQA -MQGALSAFKNGASYGAPPAPSGFVNPNYQPSPPRLKLGPRPPSTNV ->NP_995578.1 hypothetical protein SMVAs1p2 [Sclerophthora macrospora virus A] -MNYSSGMSTTSGTSPTGRHLIKEVRTDQAVQQPASSKPSELRLTHILDSGTRGTKRAECS -PLRRPLQVLASISVTTVSMVTYPSDLTSGRQNRQGSYWKRPWCTEAIEESISWHATIRPK -SGKGVLIVCVTSRDRSRSSIQRFAYLLTSRLNKSWSRNPCPMWQPMEIHPLSVSFARECF -CSHPCAPELFLDSVIGGLSSTIPYSSPTIMLEDGWMWKLIISSQNSTEISSTSGWIQFKK -RRTCFQLHYVLNPSHQHLDALQSWLTRRLSLHDRMLSQHEALRRLGEHR ->NP_982340.1 6 kDa protein 2 [Chilli veinal mottle virus] -SVSNLIRSRHARIFGANPSVLHAARAQLVEFNNLHSDEIHEVCHQYMPLRDHIIDCGAME -T ->NP_982335.1 N-terminal protein P1 [Chilli veinal mottle virus] -MAAQVIFSYLKSGSGVTTDACRAQAMQFTFGSFTPGKVNWNTLSSAMIEEYETRQESFEL -ACEKFRKERTDMRIVRRKETFQYSPKTKYQLKGARRKERKEEQERKFLASSDTIVARIVF -PPEAMKVEAPVEPIRFPPVVVRKVRKQPRPNITRVSTGSFSLFIKELVNTCDDRQIPLEM -IANKRERVHVRIRRFTPSHRCAQVKTLHHRGIYQNVDFECPIALKSLFGLIAQHAWKGQD -VDELKISIGDSGLCIPHGKLIGRVLSGDSDFFTVRGRYGSLLIDSQAYLPKKIISRINHY -S ->NP_777383.1 p14 PR [Bovine leukemia virus] -LSIPLARSRPSVAVYLSGPWLQPSQNQALMLVDTGAENTVLPQNWLVRDYPRIPAAVLGA -GGVSRNRYNWLQGPLTLALKPEGPFITIPKILVDTFDKWQILGRDVLSRLQASISIPEEV -RPPMVGV ->NP_777380.1 p4 [Bovine leukemia virus] -LSNDEGAPGASAPEEQPPPYDPPAIL ->NP_955613.1 p15 PR [Rous sarcoma virus] -AVSLAMTMEHKDRPLVRVILTNTGSHPVKQRSVYITALLDSGADITIISEEDWPTDWPVM -EAANPQIHGIGGGIPMRKSRDMIELGVINRDGSLERPLLLFPAVAMVRGSILGRDCLQGL -GLRLTNLIGRATVL ->NP_955610.1 p12 NC [Rous sarcoma virus] -AVVNRERDGQTGSGGRARGLCYTCGSPGHYQAQCPKKRKSGNSRERCQLCNGMGHNAKQC -RKRDGNQGQRPGKGLSSGPWPGPEPPAVS ->NP_955608.1 pp10 [Rous sarcoma virus] -SGLYPSLAGVGEQQGQGGDTPPGAEQSRAEPGHAGQAPGPALTDWARVREELASTGPPVV -AM ->NP_955605.1 CA [Fujinami sarcoma virus] -PVVIKTEGPAWTPLEPKLIAGLAGAVGAGGLRSPIAVAGVEALMSSPLLPHDVTNPMRVI -LGPAPHALWMDAWAA ->NP_955604.1 p10 [Fujinami sarcoma virus] -SGLYPSLAGVGEQQGQGGDTPRGAEQPRAEPGRTGLAPGPALTDWARIREELASTGPPMV -AMP ->NP_955600.1 p10 [Avian myelocytomatosis virus] -SGLYPSLAGVGEQQGQGGDTPRGAEQPRAEPGHAGQAPGPALTDWARVGEELASTGPPVV -AMP ->NP_955594.1 CA [Abelson murine leukemia virus] -PALTPSLGAKPKPQVLSDSGGPLIDLLTEDPPPYRDPRPPPSDRDGNGGEATPAGEAPDP -SPMASRLRGRREPPVADSTTSQAFP ->NP_955586.1 p10 NC [Moloney murine leukemia virus] -ATVVSGQKQDRQGGERRRSQLDRDQCAYCKEKGHWAKDCPKKPRGPRGPRPQTSLL ->NP_955575.1 virion core structural peptide p10 [Feline leukemia virus] -ATVVAQNRDKDREESKLGDQRKIPLGKDQCAYCKEKGHWVRDCPKRPRKKPANSTLL ->NP_955568.1 p8 [Mouse mammary tumor virus] -PVKRKVVKKKPQALRRKPLPPVGFA ->NP_955567.1 p3 [Mouse mammary tumor virus] -LATDWNDDDLSPEDWDDLEEQAAHYHDDDELIL ->NP_955566.1 pp21 XX [Mouse mammary tumor virus] -LSAEAKSVTEEELEEGLTGLLSTSSQEKTYGTRGTAYAEIDTEVDKLSEHIYDEPYEEKE -KADKNEEKDHVRKIKKVVQRKENSEGKRKEKDSKAF ->NP_955565.1 p10 MA [Mouse mammary tumor virus] -GVSGSKGQKLFVSVLQRLLSERGLHVKESSAIEFYQFLIKVSPWFPEEGGLNLQDWKRVG -REMKRYAAEHGTDSIPKQAYPIWLQLREILTEQSDLVL ->NP_954568.1 p15-gag protein [2] [Human T-lymphotropic virus 2] -VVQPRRPPPTQPCFRCGKVGHWSRDCTQPRPPPGPCPLCQDPSHWKRDCPQLKPPQEEGE -PLLLDLPSTSGTTEEKNSLRGEI ->NP_954566.1 p19-gag protein [2] [Human T-lymphotropic virus 2] -MGQIHGLSPTPIPKAPRGLSTHHWLNFLQAAYRLQPRPSDFDFQQLRRFLKLALKTPIWL -NPIDYSLLASLIPKGYPGRVVEIINILVKNQVSPSAPAAPVPTPICPTTTPPPPPPPSPE -AHVPPPYVEPTTTQCF ->NP_954561.1 p12 PR [Mason-Pfizer monkey virus] -WVQPITCQKPSLTLWLDDKMFTGLIDTGADVTIIKLEDWPPNWPITDTLTNLRGIGQSNN -PKQSSKYLTWRDKENNSGLIKPFVIPNLPVNLWGRDLLSQMKIMMCS ->NP_951028.1 genome-linked protein [Broad bean wilt virus 1] -SAPPNKDGSEYTYRNKKIKIRNWEGQ ->NP_944488.1 polyprotein 2 [Raspberry ringspot virus] -MSQFWGEFPEAVINTFQRLQIALIGDIKKCPLSSPLFPELSKLDAHSQHHLLASFELPRF -GGVTPGVMEQLHDAESELAEARARLLRERLHAVANKENIPYLGDCMYYDAPGINQEELLQ -AAFLEAPTPEWESGRIRPLWPKDDWFRDAKQGPYPEDYGDIPLGDFDNLYRAFDALVEEH -WMSVYSTTLNPFALLRCGSEFVEECVVSAGSLIPACMMTDHHLQPTGDRQADKEERQDYA -DSQDSIQSMGDFWKEFYSKDSGKKIPDSHKSRLANDPNKVGFTKSALFHKQPLAHSLAQT -WANFRGTQDKADLVKVTMDMNIEKYTVRLPDAVRTTAGPLYIEWINLPRMSENSARKLAE -AGWNNADICGVDLAVKSHIAVGTPVRVIISLVDGACSDMPTATMCAFEVNLAAQNNRSLN -LPLLSLPFSRLLADLHDFQHRVKIACQFRDPEGFNVGTPMLSFSSLEFSELKQTAFERNS -LLRDSWSEIEKRACHGGGRCVASQGIVQTWEKEVNPPLKEYAPLVLPPVPQPKRNFIDQQ -SGEVVRPLIQKSRSMRFKSPSDLWSRPSVDGGSTFTLSPSRGSLRCDNVPGCAYEVDPLH -LLYYELVNVPKDTLGGTLLTRIDVRAKAATFDSAVWRQWVRDGCLKPKIKMRITAATSCF -SGIVLGACLDAYRRIPATTKTDFTASLVTGLPNIVWATRDTSEIEWDIDLAAVCGHTFFA -LEDTFGYMDFLVYVLRGNEVTAVADWSIYVSFHVDWTQESMSATLIPTFVWPPEPADISY -FKEVWGPYHFTLDGTEAKESFSLMPGMAIPRGAQTVRTFPRVLAAHFRSWTGKVRMSIQE -VSSIFLTGTYMVGVSWNATADLTDITTRKHWIVKSGEVFELDLYCPYGENPTFTGLVNGI -PYIIVHRLGGIIGPKDSVGTFGFMIHLHGLTGVYKNPTLHSGDRSVGSAWFRVTNILDDN -LVFNIPGRIEDMVAVAGKYEVTNYANPTSMLFSVTGLHGGFIRLHITWCPNTSLGESKGT -LKYMQYLYHTTTENFFGDQATRGIIDQNGFTVDLACGDFFGATRVGLKGEVERLGIYSSN -AKSIAEIRVSFEILSMKFYGSTIRVK ->NP_941375.1 putative movement protein [Cocksfoot mottle virus] -MCEPPPGFITVQCYTSDDLLTGDSTIVKSIPVRSCFFRQGVEVVLFRCESNKHRWSKIRG -PVSLTVHCDICEFRETVVIPSLPKGFKVSSDFSYSVTWNCCYSRGRTE ->NP_940791.1 p10 [Beet pseudoyellows virus] -MDFESLIDKYTLERVEDMFSLYGKFGNELPTLSDFLLDVIDKFFLPFSAKQKELPIKIED -LETFLVCIKFYRDNYILRRYGR ->NP_940789.1 p6 [Beet pseudoyellows virus] -MLCIFKLRQGGSFNVLYLSDSRDFSGETFLISSDDVTLLEDLMSHLHFIKTQW ->NP_940787.1 p9 [Beet pseudoyellows virus] -MVINSGLVSGPTRGGVIPTILGLFDVPIRPHKNIYEISGFFNMLILCVLIFLFLNRFLLR -FRSNGYVIRDNRFDSV ->NP_940786.1 ORF 2 [Beet pseudoyellows virus] -MEGVGFHYLFCLNARTICNRWDVFRDVSVIIYILLLYILLFLFIVAKCIDIKFS ->NP_859026.1 3B [Aichivirus B] -AAYSGMPQLGKKDAKAKGPKPAPTRGVVRQ ->NP_859018.1 L [Aichivirus B] -MDPSNFKLFSISHRTAKRWSWRRFRVETREKTLYSYVDPAVDAYLKRERRFPFSLPKPSH -FYDCLFCNHPSEDDEDSLLTLDGEYGDPCPLHSGVLDPKPRYQKPPEDILEDSNWCDVLN -EDVTYSTDSLQNAFDQLALALLPGSAQVKDSSHISKLYFKLFSLSQFPGVPTTPLSLPKP -TGNIISQ ->NP_938071.1 non-structural protein NS3 [Aquareovirus C] -MATAGITAAIDDLVISRIDEAITNNTLVQRLRKKVSSLWKDYDAHVSDPTAHELLTMPAA -LADLPPPPPPTDDEINRSFYATALPSVVPTSDTLWGTASYEIIGRAVRIASSDKAPRLIL -HVTDETIRLEVPTMSFTLASPLSTGSLLRVTLPRGAIHSSLTGKFDERCWVRLLSTLTKW -STPSTMVTSDWTLDTTTGLTKPPVTGFNARATVKDSAIVMWFPAPDIATSARRAIVSPMS -CVFE ->NP_938070.1 outer capsid VP7 [Aquareovirus C] -MPLHMIPQVAHAMVRAAATGRLTLYTKTRTETTNLDHAEYVTCGRYTICAFCLTTLAPHA -NVKTIQDSHACSRQPNEAIRSLVEVSDKAQIALVGSRTVDYHELDVKAGFVAPTADETVA -PSKDIVELPFRTCDLDDSSATACVRNHCQAGHDGVTHLPILSGDFKLPNEHPTKPLDDTH -PHDKVLTRCPKTGLLLVHDTHAHATAVVATAATRAILMHDLLTSANVDDGHQARSACYGP -TFSNLTFACHSTCASDMAHFDCGQIVGIDLHVEPSD ->NP_938067.1 non-structural protein NS4 [Aquareovirus C] -MNADRTLSDEQYDWIVAYERHITPHAVLSVRTPAYGRMPPLQIPTFTGPTPTYFVYDGNV -EEVSGQLIKDPSDDTLPHHYAATWTCSLFTSRPPSDPAWPRDSVMQYDSNWSPYHRVPMR -YFPSFMTLDSDLLGPNDGFWPLLHRCAGFRLRSPWRFPLDVLAAGIGTTVSTIRSLEKAG -ALNTVEGLLRALGWKYHHLSGLRPQHVEYCMSVWSLSFTRADLDVIDPASWFDGLLRSPI -YPDEAPIGLNCEQITTHPFVLLNWIRVQLMDDGC ->NP_938066.1 non-structural protein NS5 [Aquareovirus C] -MPCQDTVSLSIQHTSVYVQHSCCVSTSTSASTSATALGLGCLACGIVGVLVVAGGLCCLI -NGRCPSCRRLALRSRSWKSPPASLCTNQPLAFNLRDLTRSDIRCTSDPRSVELLSDVHSV -VSHRERPPAYDSLDFEPTEYTPEAFQ ->NP_937981.1 small capsid protein [Euprosterna elaeasa virus] -WGLMFSKIINIVRKVPKVLRTASNVADVVANCVEDAQAGATRVYESVRGRRGAMRDMTLQ -Q ->NP_937977.1 3B [Simian sapelovirus 1] -GAYTGNIKPVMQKPVLRKAVV ->NP_937974.1 2B [Simian sapelovirus 1] -GLYDWIHDMGISFGDGAGESFQRNVDEAIEKITPIVSQFKGGVEGFLKDKLFNSLFSILV -KAIGSLVIYINAKDECKLSTLLALGSMLGVDFMSKDPFTYLYEKFTGCVQMQ ->NP_937968.1 leader [Simian sapelovirus 1] -MAIYEDDSSESITCCNWIPRNRRNKVRKQKPRSKASRVEMSPGPLVTLADDGYLYVHKHK -GETKFVRRDEILTKYGRCIVEMEEVFQC ->NP_932311.1 14kDa protein [Botrytis virus X] -MSDFNDELVIRCGDTVYSPIVCSVLHPTISAKDEIVMHVDVIHSPPKYRGFANSTRHIMP -WRMEHNHKTMSSGLHCTDVLAVEKEALPGAIATFFSSLKTPINITFPDKVHVTREPLKPN -DNAHIDEA ->NP_932310.1 14kDa protein [Botrytis virus X] -MPTYSSMLHAKMIKSPAALNTPQPSPKQAVSNAHRLDGGSSYVVSLSEISSCPTSTTNSL -FAAATPSTLLLSALYCTPPSQLRMKSSCTLTLFIPPLNTAASPTLPDTSCHGEWNTTTRP -CLAASTALMYSL ->NP_932308.1 30kDa protein [Botrytis virus X] -MSVTPDPTVGQALHPFSVPPTPAELDHIAAFYNVHPTPPLIGFSLIGSVNIRPGGTSQRL -TGIFDATPNSLPDAVASLSAHNFHHLLDWHHSIDPAHIAAAPHPHTPAIKAALQRLTLIP -NVIIYAPPTPSPPPPPAPTQPTRPTPGPAFFPQPFKVELHHPTPKTSSLPAPSLPTSLPP -IITNQYLRLQISTPTGARQTYLRALIPKSPDLTDFILRIDLTEYHAHLIFTAELTRDHSI -TLARGPYVWPTFLPPSINNLIKLTHAAAEPSLQLTEIS ->NP_899656.1 phosphoprotein P [Fer-de-lance virus] -MANFNGFEASSLIDQGLDDIEAIGQMTCIRPSEESPYVEIPDTGIVPGIVGKAIGEIESK -TNGDGHTSAPTPHNTIKGNADKVKKSGETIPDKAEEPQPVQQQDRSKVKESNITMNPDSS -GFKQLFNRDTELKTNSWKNTIAGSMMNHKDENKLGIRGGLLAKGEDPAEVIEGKSVPLPL -TGYLRSGNFAIPCVPVLPPISSPKSASAESVRDTAQDARNRAVSECLSEQVGEISMAVSD -LGVIVRKVIMGNSERDENLTALMMKMQKQLAIQEGKLETLQSTVGKIYAKVDLIKDHVSK -YMILTREGGKDSQEHEPRRLIQSYTGPGKPEAVINEHGQIRLKGTTRSGTSWNTTPHDLV -DPTRLTMSRDESNATRFIPKLDDASRATLLSLLTMSGLDNDTQSTLEGIIMSATRVDQLI -EVHEILLEP ->NP_899657.1 cysteine-rich protein V [Fer-de-lance virus] -MANFNGFEASSLIDQGLDDIEAIGQMTCIRPSEESPYVEIPDTGIVPGIVGKAIGEIESK -TNGDGHTSAPTPHNTIKGNADKVKKSGETIPDKAEEPQPVQQQDRSKVKESNITMNPDSS -GFKQLFNRDTELKTNSWKNTIAGSMMNHKDENKLGIRGASSEGRRPSRGHRREISTSTID -GIFEVWEFCNPMCSRITPDFKPKICVCGECPRYCPRCKEQGGIRVPE ->NP_899655.1 predicted protein U [Fer-de-lance virus] -MIRTRIYKPTYTTTTPPTCHTPIKMEEDPREKMHPQSMWRLVRLRAQRLLSYSESTDLST -REFLEDVSKSVVVLFNRDGMSSISQWRTEDCAARRLGNLSKFAWDAVTKGRMDPCRLAFK -MVTELGNDVAIRAEILTVVWLITGWSTIPRTLHKDLWSSAIYRRLSL ->NP_891561.1 p18 [Little cherry virus 2] -MRRLFKTKLTQDEKKGTKPLRPEKDWRNKRGDLTHTEYWLGPRTTYPEVDEEEEECSGDE -DYRITAATEKDWEEGCRYVNKEERNEIYRMTDGPQRKLEKEIVKILANYGEKHLRENQVM -TMSKKIEFRSGGKRTEIQKFRLETNNKEKV ->NP_891571.1 p26 [Little cherry virus 2] -MSHMFFCCILSQNRLNSSAIKMDTLGSLLNDLSYFMTLSSMSIWALEIPKLTRKIRRLLP -LSAQDADGIINILHHGTTNEDENLKNIFLCDIAAGDLSDLLDDDLDLLDDIASVCRSISG -CYPFFYDCDVARNKVAEGHTISKIMKGMLYSLNVLSDAIRDGQKMETTDGRISLQFPIWN -STEAYVMGNMSRTDFITGVLDPSSYLWYKPSHCFNVLIGFNKYINK ->NP_891570.1 p39 [Little cherry virus 2] -MADPSTENLKKIFEEYEKVKEIYAKNASTWNSDERLKITLFTSSITEPIHNVKSISKEDQ -EKFNALIWSGTESTHIRNNVLRIQGLKPTGTYDRESASGGTGQPDPTNSDSNSERGDGRK -PDPSISSRDTRRTPYGTNAVLDINTLLSSDPNTNVFVLPPNSQFKVSEATPPGVMSRQQL -KQLSPSITKLCNEVWGSTESKYISATMVGVGQVLVMAMTSMNTKADDVEPAVINMDGKEG -VFTYGRVRESITNPFSGTPMPNALRRFMRAISPSIVYWLTSGMIKPNLKLMSKWGVPPAF -YPYVVDGVIPDAGKDGLSAVLAKMMASTIALKQAQNLSSKTIHNALEQSSGGLGVLEKL ->NP_891569.1 p22 [Little cherry virus 2] -MLTLGACLELNFDKGLTFHSRYKRSNFLCGSKQRGDAPDFWYEKIRAHEFDEVYITDYAK -CMSVFEPVVVSQPELSKLSEMSDLSLKDTLLPDTDLKLTYGNLELPIKKLVADQLDTFDN -EEERYVDFSFVGKQGEKHYFGVICSNQRLMTEIDEKLFKDYKTLVNKLVELTEEKIGDKN -LLLFTLT ->NP_891568.1 p53 [Little cherry virus 2] -MAISDPDINILRCVFNKRDVTKEINDFHREYSDRRLPDASFRWSEYFLYDYLMRLNLMKS -YQGSEENFRRSSKKIVEVNYSELEKRGFSDLSKEKGSLLGPSVTEIENHALSLAKHDPTV -KRVLTACSESAGRLVSLLEISEAIDLSSTVVLKKRGDSNVQTFSKRCKRAADLYTIERIK -SSDISHSTKRFVYRPLYESALKLLFSRRTTIPSFSGVVAVLVELCELLRPKYDNYDNRVH -WLESLIESSRTTLKLLYGDLSPTTYDDILSMTPFVKGDDIPVDTKILTNKMLLSNLMIRD -RGFKLYVSEDCFSQILDVIMKDFRNRCKPIPSPVRALTIVVLYSILQRTNKERIVKPREK -FEVNFGSTKYLCDVSPVLEVCARFDDVYKNTFRRFMGSFALLARKISKNRVLTSGNMIWK -DLYDLPLSVNFDYSKFLPADRLSEVERHYLAVLNLRFSKHK ->NP_891566.1 p6 [Little cherry virus 2] -MSSSIWQCSAFSFILALLFAVLLSSSATVLVIYIIVPQIKALSRKSNQAGERHTYI ->NP_891565.1 p55 [Little cherry virus 2] -MTSAPMTDYDKLDKTYRLYDVWRTTENFYDSFELTIPYPFHKMTKGHILLQVWYGGKQLN -LECLVRKNSNKENLELMVGSWFAGNFSYLTPTANIEWKPLRPGGSSEVTIGLYNHHASQF -FLTVNGTEVVRTIKAIPYQPVVFGFEVQIELPNDLSNPLVKCPYLRGLPNPIKVEKRRGE -PFAFTKYTSALARNTSSNKSTGDIFEVGLDELGDAFEKLIRPALPKPVIADKSDSTSRDE -REKTNGRGPESQKTSANEYEKPLPSAVSSKMNETFGLQNTSFYDLLEGVDDLPTVSTIGV -PGLLTTPDLLKVANNLVNFFKEKHGESDKLIINKCMVCFLQMAILHTTGDAATVREVTSL -TYSDGFVPKFNYAEVCTVIKKSLDNTLYPNPVRTFLRHCSDLCIDLMIRGYIKPNVKLIA -KYGIPEKFYPYCFDFSMVNTVTHGVDAVFANLLAKLVALKSSSHKRQTTHNALELIDKAN -ALNLV ->NP_891564.1 p14, partial [Little cherry virus 2] -LVPHACSTKTFPFSLSCSFFHQLIQFIKLFITIFYLGLRLASFLSRGGLQGPYEKRIRTP -NSEVSSEFSLDLTRDKRFRELSRLPGVVPTMYCHGCKRAPLVARTRQRYEARVRVLLVAW -S ->NP_862832.2 gag-pro fusion, partial [Enzootic nasal tumour virus of goats] -SFAPGFGKLGEGPAPGPETMLWGNXAGSKRTIADLCRATRGSAGLDLCATSYTVLTPEMG -VQTLATGVFGPLPPGTAGLLLGRSSASLKGILIHPGVIDSDYTGEIKILASAPNKIIVIN -AGQRIAQLLLVPLVIQGKTINRDRQDKGFGSSDAYWVQNVTEARPELELRIDGKLFRGVL -DTGADISVISEKYWPTTWPKQIAISTLQGIGQTTNPDQSSSLLTWTDKDGHTGQFKPYIL -PHLPVNLWGRDILSKMGVYLYNPSPTVTDLMLDQGLLSNQGLGKQHQGIVLPLDLKPNQE -RRGLGCFP ->NP_862831.2 gag protein [Enzootic nasal tumour virus of goats] -MGQMHSRQLFVHMLSVMLTHRGITVSKPKLINFLSFVEEVCPWFPREGTVNLETWKKVGE -QIRTHYTLHGPEKVPVETFSFWTLIRDCLDFDNDELKRLGNLLKQEEDPXHIPDPEPGYD -APPPPPPSLKLRPSDNDDSLSSTDEEELAEEAAKYHQEDWGFLAQEKGALTSKNELVECL -KNLTVVLQNSGAKFSNNHVKPPSAPPFPPAYAPSVVAGLDPPPGPSLPTEIMSPLQKALK -QAQRLGEVVSDFSFAFPVFENNNQRYYEXLPFKQLKELKIACSQYGPTAPFTIAMIENLG -TQALPPNDWKQIARAGLSGGDYLLWKSEFFEQCARIADVNRQQNIQTSYEMLIGEGPYQA -TDTQLNFLPGAYPQISNAARQAWKKLPSSSTKTEDLSKIRQGPDEPYQDFVARLLDAIGK -IMSDEQAGMLLAKQLAFENANSACQAALRPYRKKGDLSDFIRICADIGPSYMQGIAMAAA -LQGKSIKEVLFQQQARNKKGPQRSGNSGCFVCGQPGHRAAVCPQKQQNPVNTPNLCPRCK -KGKHWARDCRSKTDVQGNPLPPVSGNWVRGQPLAPKQCYGATLQVPKEPLQTSVEPQEAA -QDWTSVPPPIQY ->NP_861408.1 putative virion associated protein [Cestrum yellow leaf curling virus] -MGLEDSVKQLQGAIEELKGLISSVEEMKEIVKRESEDSIQEYLRSFLEPLAKDNPLFTQK -HIFGKYKPERNEKKCSHIDGNYWPHLKHTFHPQLNYIVDLLEEIRNCTCKEKQHDKQLVP -LPTPAESSKKPEECTNNCNCDKCRQKEKCLTIGEMSELLQNLIKIPKQSKYLEKPALF ->NP_861407.1 putative aphid transmission factor [Cestrum yellow leaf curling virus] -MEKINKILEKVPIGVAQELKQELAEWIPPIANKEDLPEQTLSQYEFSHGTQPAIPWKGKC -FTIPKNLKGESSYLMGTGRAMPTQNMSANLFSISCVLNNITEILTYISQKRIGSLSASLN -KELKDLENRTISNLEINRTDIKSDLEKQISLNKENLKGLEIATERLERLFLESRKEQISK -DEIANLTQKVKEMQTFLNDKL ->NP_861412.1 hypothetical protein [Cestrum yellow leaf curling virus] -MSQERVDKLRKLRIEFEGIIQRMEVAKNLLRELEETTDRVRVDRLQMEVGFLRDYADINC -MIEKDRIKEQSSSSSATRTTQEPSLHLPD ->NP_851575.1 p9 [Cucurbit yellow stunting disorder virus] -MDLTTVIDKFGVEKVEVYLKLYNQIRMERGGVSNFLLRLIDSKFKKFLDHDTKMDYNDEE -TIELLQCLSSFVNMIPSYY ->NP_851573.1 p6 [Cucurbit yellow stunting disorder virus] -MSLIIVIYSFCDGINIYYCSDRRDFEGDHQVRQLDNLQDLCEILLTHPFYKTSW ->NP_851571.1 p4.9 [Cucurbit yellow stunting disorder virus] -MYKNFSTIIFQFVCSRVKLWRRLVWILVLLSLLSAVMLMVL ->NP_851570.1 p22 protein [Cucurbit yellow stunting disorder virus] -MQSVGVGIPTTRVERHPDQDLMGVYRCYHVADFSLGLPCNLRLKVLTNGRIDDLKRIFTI -QFWFTVDEKTKCEFGLQQIENSEKLTPFVFTTHDGYRSACENGNIVEWEEKDLKNFKDCR -LVTSESIDIISEFEIEYFWIDDVKSVFINRECVGFIFTKDVMDVKMIRFGVESMQFLETS -PIKIYRLMGTIP ->NP_851569.1 p25 protein [Cucurbit yellow stunting disorder virus] -MGEDLQEQGNRLMTLVEINVHTMKSLMISHLDKAQRIFEDCSTFLLDGDIKTLKYRGNYK -LFKSIFKLKLPKDVIQPMEDYLVTEYKQSQVVLDLLRARALIFVLKFLKTHFTDLYHFQD -LEVGDSVTINVFETFIEEVFATDLLDNVRHALLNTLVEVKIERRIPGKDKFEVSLDFLQF -FFTTETFSTLNEKFFLRVKPYQSYILECRVLE ->NP_851568.1 p5.2 protein [Cucurbit yellow stunting disorder virus] -MLADEFGLAIIIALLIITLGVVCAYIGSCVYNHFFSDRDINIEEGEVS ->NP_840019.1 hypothetical protein BYDVPAVgp7 [Barley yellow dwarf virus PAV] -MYTRSSGLKTLKLDDLVQVVKLTRVDTPHPAQHVGIPTIRNVGLLEPLPVMQGRV ->NP_835340.1 papain-like protease II [Grapevine rootstock stem lesion associated virus] -GDEVIHSTLASTVVLKPGERARDRPKSISFGSFCCELRYVESVNPDAPRLRSEKVLRKVE -PRNGVRTESADVGSNVTRKRDARIDRKFSHLLAGSVNKVKKRIAAGVLRYRVGGDMDFHN -SFLTQAGYHLLVRRKTSSSVCVELSTPDGRLLRRDVIPCSRDYAAMLSFAAGGRFPLVLM -TRDKYKNGYCYLAHCRYASAFLLKGFHPAVFDIGANPTAAKLRSRMVSVLGDRSLSLNLY -GSFTSRGIFHCDYDAAYVKDLRFMSAIVAG ->NP_835267.1 P17 protein (TGBp3) [Indian peanut clump virus] -MEAPAITHSSGCACSDCQWSGSPFVDTRVCPDNGKVVNMTREKETTFLSVLNDNLWLFVV -AVGILCVVFLSVWCETHCGACLCLSFTKNLNGVSIKVAPGAPIDPNVIAAIHHWQKYPFG -ENPNAKIVVSVIDSIKRGLCMLLLCVTLLLYVCYK ->NP_835264.1 P38 protein [Indian peanut clump virus] -MSVTSLNVPEKFLAAAQVNGRSAGHILVYTVSSMSKSCYVMSDFTLLDSDSVFVAGASNS -VPPLSNKVSLQVPGCPLIQGAELRRLYNKLVEKREIVNKDGQILIHLKAPLLNPDNSVAA -DYVKCADVFLWSSYGGCDTLQLWPRFQFCTDKLVDEPQKKLDGLVDVITAKELQDLRDKV -VSLTAERDNLRLKVNNVSDQSEITALRAEVARLKAQVTNPVTPIVGSVNDEAKKAIKDAG -FTNPDYFDIADKSTIVTYMVRNYAYQKGENERRQKEIDEQFRWLQDYRYRWRRLINDVRP -MMLVIRTVLAATRGYYEDMRVSAVDHFLNITAGGLDPHV ->NP_835262.1 15 kDa protein [Indian peanut clump virus] -MAKSDFFREERKRRVAILGEQAVCKVNGVPGYSCGMPPAVEQVFVPVDNEEEAYMLVFPY -DGCCGEKHYKLYNSLADISDDDLKLQCLERQRETLLTNFQKKLKDYDSAIALLSEKFKKL -RSKM ->NP_835252.1 p24 protein [Grapevine rootstock stem lesion associated virus] -MRVIVSPYEAEDILTRSTEMLRNIDSGALDTRECIKAFSTLTRDLHCAKASYQWCVDTGL -CQRDCAEKRLIDTVESNLRLAHPLIREKVATHFCKDEPKELVAFITRKYVKLTGVAAREA -VKIEMRTLSKTVLNKLSLEMAFYMSPRAWKNAEWLDLRYTPLKIYRDLLLDVDTLNALCE -EDEIHVVVTNKDEDENHDLELQEDC ->NP_835251.1 p19 protein [Grapevine rootstock stem lesion associated virus] -MEICAQNPEALVLLRTNQNTTLLVVKSDDEVNLPKLLICGYLRVSHRGDVTGCNREDVIK -DFEGAHHTVIRSRTVRYDYESAVKEYNNADCVVKFFLETGDVFWFFLQSDIKGRAARHLR -TFFEANNFFFGSHCGTMEYCLKQVLIETESVIESFCEERNR ->NP_835246.1 p6 protein [Grapevine rootstock stem lesion associated virus] -MDQVLQFECLYLLNQAVFAITFVFILLIIRVIKSFRRNVHETPISAVRGQGFSTVV ->NP_821131.1 coat protein [Sclerophthora macrospora virus B] -MTKKNVKTSGAIVVYKPQTRLPPQNKKKNRKKKGRVGPGKSDMLACYRASLSAPFSITAQ -GARVPDMYSCPTVTRHITKSFTVVTNSAGEADLVVLPSAFHHAVSPRNNIPSGVTWSTLS -GATAANALVFTSPGQLANSLVNYRIVGYGVRIFGVASMTNTAGRAVIATVPIASWINDKT -ASVGGQVSNAVNAAANVAGTLVAYGVPVTGAYVDIASLPSLPNTVGVSMMNLSERQMIIT -PKITGPEAFVFNETTDNAIGFNIVDQTSVSFVASGDASYLRVAGFEGVVIGLSGCPPNVG -VLEVELVYHLEGQPTITNNNIVGDSPESFCAPVSFLNTLQAIAKTPTFKQGLKVVGNSIY -PGLGTLASRY ->NP_813807.1 7 kDa protein [Grapevine leafroll-associated virus 3] -MRHLEKPIRVAVHYCVVRSDVCDGWDVFIGVTLIGMFISYYLYALISICRKGEGLTTSNG ->NP_813806.1 4 kDa protein [Grapevine leafroll-associated virus 3] -MLCCSASVKFSNGLQLSLLICACLLAVLIVSFCRRR ->NP_813805.1 19.7 kDa protein [Grapevine leafroll-associated virus 3] -MDLSFIIVQILSASYNNDVTALYTLINAYNSVDDTTRWAAINDPQAEVNVVKAYVATTAT -TELHRTILIDSIDSAFAYDQVGCLVGIARGLLRHSEDVLEVIKSMELFEVCRGKRGSKRY -LGYLSDQCTNKYMMLTQAGLAAVEGADILRTNHLVSGNKFSPNFGIARMLLLTLCCGAL ->NP_813804.1 19.6 kDa protein [Grapevine leafroll-associated virus 3] -MKLLSLRYLILRLSKSLRTNDHLVLILIKEALINYYNASFTDEGAVLRDSRESIENFLVA -RCGSQNSCRVMKALITNTVCKMSIETARSFIGDLILVADSSVSALEEAKSIKDNFRLRKR -RGKYYYSGDCGSDVAKVKYILSGENRGLGCVDSLKLVCVGRQGGGNVLQHLLISSLG ->NP_813803.1 21 kDa protein [Grapevine leafroll-associated virus 3] -MEFRPVLITVRRDPGVNTGSLKVIAYDLHYDNIFDNCAVKSFRDTDTGFTVMKEYSTNSA -FILSPYKLFSAVFNKEGEMISNDVGSSFRVYNIFSQMCKDINEISEIQRAGYLETYLGDG -QADTDIFFDVLTNNKAKVRWLVNKDHSAWCGILNDLKWEESNKEKFKGRDILDTYVLSSD -YPGFK ->NP_813798.1 5 kDa protein [Grapevine leafroll-associated virus 3] -MDDFKQAILLLVVDFVFVIILLLVLTFVVPRLQQSSTINTGLRTV ->NP_813797.1 6 kDa protein [Grapevine leafroll-associated virus 3] -MYSRGSFFKSRVTLPTLVGAYMWEFELPYLTDKRHISYSAPSVATFSLVSR ->NP_579893.2 unnamed protein product [Human immunodeficiency virus 1] -MRVKEKYQHLWRWGWRWGTMLLGMLMIC ->NP_787043.1 Gag-Pol Transframe peptide [Human immunodeficiency virus 1] -FLREDLAFLQGKAREFSSEQTRANSPTRRELQVWGRDNNSPSEAGADRQGTVSFNF ->NP_787042.1 p1 [Human immunodeficiency virus 1] -FLGKIWPSYKGRPGNF ->NP_786904.1 putative N-terminal leader protein [Canine vesivirus] -MASAIALSSSTAQNKITLKSVASRLQQTDDPDIRVWSQSVGFHLQFSNWKCANAFCRFVT -DAYNLTPYKECARSITRQLTSLSNYLSAQTGVSVSGTQFLLSPSDVEVPVAKTGESVSDI -MVPSYSVNGTSMEFDSMAQLAQALTTGFTFSVNDAQIGNAPAQTGESVSGTGFIAE ->NP_786901.1 putative 3C-like proteinase [European brown hare syndrome virus] -GLPGYLRFNGSGWMIHIGNGMYLSNTHTARSSCSEIVTCSPTTDLCLVKAEPIRSVAQIA -EGTPVRDWKRASITTYGLKKTFSDSTKIDVLAYDGPTQTTHGDCGLPLFDEAGKVVAIHT -GKLLGFSKMCTLIDCTITKGVYE ->NP_786898.1 Putative RHDV p23/p26 homolog [European brown hare syndrome virus] -GEVEDLFVELGAPTRMNFTEAELASQGASIMDRFVDLVEPCLSTEDSNFLDNICSDASIR -KRLEDEYDVDMIAAARARKDFAKTLKLALQDRERKPDKWYSKLGCITTKGRQWAKKVVHG -AKKLSDPLKTLAAILLVALHNCVAVDTTTMLSHFKPVNLLAILLDWTNDLPGFLTTLIRF -MELYGVVQSTVNLVVDAIKSFWDRVMCATERCCDLLKRLFDKFE ->NP_786897.1 putative N-terminal protein [European brown hare syndrome virus] -MAVASRPCGVATSVLPAKKPLSFFTDLVGKTPPRCIRAPHTLAWPVFADLDNEEESPEIC -RKCGKYANGFGVFDLTDLGDVCLCSIRPQRHVGGPCCLCNKQYIRACGRYCARVLKHYKA -FNKVIPCLHSRQVKPVFE ->NP_786890.1 putative N-terminal leader protein [Vesicular exanthema of swine virus] -MAQTLSKISNKENASSGLRPKRFKPHQPIPTWMVRCEPLDHDSRRGRDPVRASPQAKRVR -TPTPYPRHLKPAASAVVRSGNNPSHLKPASTDVVRSGPQPLCCEAKDGGVVRSCKTYNLK -PAHESKAVAFSLPKTDGPTGNEPEFIAE ->NP_783303.1 p5.6 [Feline calicivirus] -MSQTLSFVLKTHSVRKDFVHSVKRTLQRRRDLQYLYNKLSRPIRAE ->NP_783209.1 23 kDa unknown protein [Oyster mushroom spherical virus] -MFSFPPGPCLFSNALSAFPSAHLPEPLSLRPLATSIPLRFLPSKPCAPSFPGCRLCPSHL -TATLLCHHLIWKSSLAQRTRVLSISPAAFAPMEPLNLPICTKPLSAPELRRYSVVLSAQV -LQSALHPRRLWLPSYGHPKCPPSLRDLCLMASSPASISAGMVLMDALSTSTSRARSCSKD -LDTASYEHVHLPPNAILLQLLTNVFPFLSF ->NP_783207.1 14.5 kDa unknown protein [Oyster mushroom spherical virus] -MIWDNGRGNTYPLSAPKASQMITLRMTSRVVERRWPSRSVSCGCLTYRSGSLSSMLNSRC -ASLPLLASLALCARPASGAPISSTLGTTTLTSPSSTCFRLTLRGAFPAMTCSFFVFLLFP -QAGFRFLGISR ->NP_783206.1 21 kDa unknown protein [Oyster mushroom spherical virus] -MTNGFLPTSGEHPTSRMTSTTPASCERTLSSFPPTVKWAECSVLIANLRMLPESTVSTIF -SLSTNRLTRRSGAPLFLNVCASAQNKAIARNLLTVRFWDRCFATPFGSPTTCPSIFLGTR -NCLTAVWRIVLKNVFPSPPRPSTTSHATKTPSFGTIRKSSTFLKASLSISLMPSRRARVP -SPFLPSSRRK ->NP_783205.1 12.5 kDa unknown protein [Oyster mushroom spherical virus] -MRLLCSDPVVPALRSAFSMPLVFSPTSGLTLCFILRSPTTPLLRLVDSTALSTRYTGRFR -ELRGIGLEQFRTLPPRQVPLALPLATALLSPVMRSPIKGFSVLSVRWAGSLFNLPHP ->NP_783204.1 12 kDa unknown protein [Oyster mushroom spherical virus] -MRFVLGTLHVIPISTPLSTFRTGAMVFCPTDTSPLPMKPLLMRRGCWTVAISPLMTVRTM -LNFYCLTARTILSLLFQPMAMWFALTGFSMPLRWFSFQTSQVCPISPPPGSLL ->NP_783208.1 coat protein [Oyster mushroom spherical virus] -MSTPTPPGSQASIVVSGGSDSSRVGTLGGVESRGGGDGVTVAPTPGGGQKADVLLPARSL -FVFQRFVRIPVGSFTGTTFTSTSRDLNSATFPAFQAMCAQFSRVSAVSQSFDGNALVPSL -NLEIVPGTANTGFVNLAGCVRPDGASEPADMHQTIIRPGAAQVFGGVVRTGTAVCPAPSQ -TVAPILWPPQVSAFIKGPMPNGIIPRFYFRGNGSDGRAFNVYISGTFLFEGLGYGVI ->NP_758445.1 VPg genome-linked peptide [Sugarcane yellow leaf virus] -SPSLQGDVFSDADVSDIADYAEEVYEKTLAEPKVWK ->NP_740762.2 capsid-readthrough protein [Broad bean necrosis virus] -MTAAMEPHYAVFSNKMAKYAAAHPFIKYNELSETVKSWMQTRTSVMEHVNFVLGSAANLG -TRGFFSRNVRFGMTNVNGDNLYADLGYLPFQNLLNALTIVLGAVGGRGKLRRNPKGESSK -AAATEQINGGSDGQLNIAHCIMDINQVMSDPTILQNAVYSQSTFEEAHGLAWVYKPTAXQ -LTYPIKDPNEMLREFALRLKLANNLGILPGQPKRKSAVGKENLDINHTELDKPLIPRFNH -ADKDESVLTDKIILSPSKDNDGNDLIQAEQEVFDVDKIEGRKRRNWFLWLFMLFSMFSIV -CFIWSFDFVSRLFPNGDSGGMLKRNLWKFHMFLHNNRISLRNRAARKFLALKRRKHVSVL -KKEMKFAIKQWAEKGIVPLPRDIEWDMGRDCVDISHKFNLMVSVVERIENMRGYDITEDV -IHDLAYHMSYFSTDVEVEKPLLNSPVITVLNKRTIPKSKNLAELFSQEASLRTVDTAQYV -LRLKVPKSTVSEVTAKQYAILNLMTSTVDHVLKCALPAESSMTGEQLREVYVLRVLKTLF -MSIGSNEFMGKYERYKGPEYNCVKEALDLHVIRTRASISERKDSNVLKIEHSRSDDGTAK -TSEIIGIAAGAALTTAVTAQGVSKLMALMRARSEGRDIERLQRFAS ->NP_740767.1 6KDa glycine-rich protein [Broad bean necrosis virus] -MICQSAYSERIQSLGRGSTVVLGTLGYHLNRFQTSLCSGYPRARPLPSTVRPDGDGGFRG ->NP_740745.1 VPg 3B [Enterovirus D] -GPYTGLPNQKPKVPTLRTAKVQ ->NP_740735.1 VPg protein 3B [Parechovirus A] -RAYNPTLPVAKPKGTFPVSQREFKNE ->NP_740734.1 3A protein [Parechovirus A] -TLDDLDDAVSYIKHNYPDAIPYIDEYLNIEMSTLIEQMEAFIEPKPSVFKCFASRVGDKI -KEASREVVKWFSDKLKSMLNFVERNKAWLTVVSAVTSAIGILLLVTKIFKKEESKDE ->NP_740433.1 protein 3B [Theilovirus] -AAYAGRARAQKQALQVLDIQ ->NP_740545.1 3B(VPg) [Enterovirus B] -GAYTGMPNQKPKVPTLRQAKVQ ->NP_740487.1 3B protein [Porcine sapelovirus 1] -GAYSGAPRPETRKPVLRKAVVQ ->NP_740486.1 3A protein [Porcine sapelovirus 1] -GPVQIPECVREDEVKKKKVNSERDVPHDVMELVRCTKSPIIIDELEKAGFIIPVEAEVIR -QTNNVNNVTQIVSATLASLAAIISVGTVVYLMVRLFSTKQ ->NP_740484.1 2B protein [Porcine sapelovirus 1] -GLGQVFGEGVSGEVKKQVEDYLGQIKPIIDAGTNKVKDVIKDEMVSASMSLLVKVVASLV -LYMNSKDECKMSTLASLGALLGVDIFLTDPIMYLYSKITGEPHKQ ->NP_740482.1 2A protein [Porcine sapelovirus 1] -GPYEVCQTKNATELTETKWANYSCSVRFDRGSFTAWFVGEDLLLVPYHAANNWSQTTHVY -LWRAWEKDWRDHPELEMKVPIEDMWTDSTRDLTFLKISYATPHWLEMPTKGSAIGEYTVV -INSAHFPWMQYTGPKPFRYPYLHIGQHTQYRLWMAEGDADNGFCGAGLISKGKLYGIVTA -KTESKSGKTYVAYTELDEDSFLQTQQRCFDFGMDTHFNLGMHDWVQ ->NP_740719.1 mature capsid protein gamma [Pariacoto virus] -SKFWEGVLRVLNQISGTLSVIPGPVGTISAGVHQLTGMYM ->NP_740357.1 3B protein [Teschovirus A] -GSYEATAIKPTKPNRQSLLKLVEMQ ->NP_740356.1 3A protein [Teschovirus A] -GPNDDSWFTTFYKKWNLRAKENSEEKLILELIRYCHGSEMLKEYCQLAAANKEKKTGYWN -MVRIIEEILAALTLILSLISIMIVMYQLFFQ ->NP_740354.1 2B protein [Teschovirus A] -PSLSKLYQILKDPAVDALCEAYDELKKFKEQATNLLDSFSGDSENPWLNKFIKYLGYAIL -AWKSLHDPMTAAAVCFIIGSDVTAFVVSKLAKHLKKFAKTDSPPVPKPRSCKKEGCCCGN -KHNYPDELNPFSENGFWSRFKKGHLQ ->NP_740348.1 L protein [Teschovirus A] -MEFLYGNAGLDLCCLTGSRLAVCTLYSQLKLTMACLKIFSLKRKDKSHSYSPREIELKYN -SDFAFKPRPLAPLLRLEPSDTTTRRIECAEVEYDLWYPNPLDPASLVCDVKLEMLRFQ ->NP_740642.1 6K protein [Salmon pancreas disease virus] -DQPYLDIIAYLWTNSKVAFGLQFAAPVACVLIITYALRHCRLCCKSFLGVRGWSALLVIL -AYVQSCKS ->NP_740640.1 E3 protein [Salmon pancreas disease virus] -TRAPALLLLPMVIVCTYNSNTFDCSKPSCQDCCITAEPEKAMTMLKDNLNDPNYWDLLIA -VTTCGSARRKR ->NP_740660.1 6K protein [Sleeping disease virus] -DQPYLDIIAYLWTNSKVAFGLQCAAPVACMLIVTYALRHCRLCCNSFLGVRGWSALLVIL -AYVQSCKA ->NP_740658.1 E3 protein [Sleeping disease virus] -TRAPALLLLPMVIACTYNSNTFDCSKPSCQDCCITAEPKKAMTMLKDNLNDPNYWDLLIA -VTTCSSARKKR ->NP_740371.1 3C (proteinase) [Equine rhinitis B virus 1] -ENLCPSHDVTPDVEAHIPQGPVCEVDPGVPLVAQGASTGLTVNSLSLLNNVVPVTVSTVI -ETENGPLSQIVSECCGTYLYNKVMIMPRHILIKDWTHITAGRNSATRDQLEAVDVIDQFD -MPSDAVAVKFPDKRGSSYKNIMHHLASTLPIRGKPVFILVNNNVAGRAVVHGTYIGCTQK -ITTLDGFTFPNVSSYKATTHLGMCGAPVIANENGNGKIIGFHCAGTGLVGYASNLTKMSA -NNICKVWGDPVAQ ->NP_740370.1 3B (VPg) [Equine rhinitis B virus 1] -RAYNIPNVRQRLRKQLAVRAE ->NP_740369.1 3A [Equine rhinitis B virus 1] -SRDRHYQRDREYYDEYGTAEHRRRFVEQNPTFHFKETEADFYERVAFLSGIHGRKQSILK -KIKEWFADGVRVRNLLGDAALALSLLTSILSFSLVIYSGVKTYQEFSRKHKPVRQPVYNA -WEDFDEDCIFEQSR ->NP_740365.1 2A [Equine rhinitis B virus 1] -NFSLLKLAGDVELNPG ->NP_740360.1 leader (proteinase) [Equine rhinitis B virus 1] -MVTMAGNMICNVFAGLATEICSPKQGPLLDNELPLPLELAEFPNKDNNCWVAALSHYYTL -CDVTNHVTKVTPTTSGIRYYLTAWQSILQTDLFNGYYPAAFAVETGLCHGPFPMQQHGYV -RNATSHPYNFCLCSEPVPGEDYWHAVVKVDLSRTEARVDKWLCIDDDRMYLSGPPTRVKL -ASSYKIPTWIESLAQFCLQLHPVQHRRTLANSLRNEQCR ->NP_740605.1 nsp12 [Porcine reproductive and respiratory syndrome virus] -GRYFTWYQLASYASYIRVPVNSTVYLDPCMGPALCNRRVVGSTHWGADLAVTPYDYGAKI -ILSSAYHGEMPPGYKILACAEFSLDDPVRYKHTWGFESDTAYLYEFTGNGEDWEDYNDAF -RARQEGKIYKATATSLKFHFPPGPVIEPTLGLN ->NP_740600.1 nsp6 [Porcine reproductive and respiratory syndrome virus] -GKLREGVSQSCGMNHE ->NP_740599.1 nsp5 (hydrophobic protein 2) [Porcine reproductive and respiratory syndrome virus] -GGLSTVQLLCVFFLLWRMMGHAWTPLVAVSFFILNEVLPAVLVRSVFSFGMFVLSWLTPW -SAQILMIRLLTAALNRNRWSLAFFSLGAVTGFVADLAATQGHPLQAVMNLSTYAFLPRMM -VVTSPVPVITCGVVHLLAIILYLFKYRGLHQILVGDGVFSAAFFLRYFAE ->NP_740598.1 nsp4 (3CLSP) [Porcine reproductive and respiratory syndrome virus] -GAFRTRKPSLNTVNVIGSSMGSGGVFTIDGKVKCVTAAHVLTGNSARVSGVGFNQMLDFD -VKGDFAIADCPNWQGAAPKAQFCADGWTGRAYWLTSSGVEPGVIGKGFAFCFTACGDSGS -PVITEAGELVGVHTGSNKQGGGIVTRPSGQFCNVAPIKLSELSEFFAGPKVPLGDVKVGS -HIIKDISEVPSDLCALLAAKPELE ->NP_740594.1 nsp8 [Porcine reproductive and respiratory syndrome virus] -AAKLSMEQALGMMNVDGELTAKELEKLKRIIDKLQGLTKEQCLNC ->NP_740399.1 VPg polypeptide [Rhinovirus A] -GPYSGEPKPKSRAPERRVVTQ ->NP_740407.1 protein 2B [Encephalomyocarditis virus] -PFMFRPRKQVFQTQGAAVSSMAQTLLPNDLASKAMGSAFTALLDANEDAQKAMKIIKTLS -SLSDAWENVKETLNNPEFWKQLLSRCVQLIAGMTIAVMHPDPLTLLCLGTLTAAEITSQT -SLCEEIAAKFKTIFITPPPRFPTISLFQQQ ->NP_740556.1 3A mature peptide [Hepatovirus A] -GISDDDNDSAVAEFFQSFPSGEPSNSKLSGFFQSVTNHKWVAVGAAVGILGVLVGGWFVY -KHFSRKEEEPIPAE ->NP_740554.1 2B mature peptide [Hepatovirus A] -GVGLIAECRTFLDSIAGTLKSMMFGFHHSVTVEIINTVLCFVKSGILLYVIQQLNQDEHS -HIIGLLRVMNYADIGCSVISCGKVFSKMLETVFNWQMDSRMMELRTQ ->NP_740548.1 1A VP4a mature peptide (alt.) [Hepatovirus A] -MNMSRQGIFQTVGSGLDHILSLA ->NP_740453.1 VPg(3B) [Enterovirus E] -GPYSGIGTNYATKKPVVRQVQTQ ->NP_740331.1 3C-like proteinase [Rabbit hemorrhagic disease virus] -GLPGFMRHNGSGWMIHIGNGLYISNTHTARSSCSEIVTCSPTTDLCLVKGESIRSVAQIA -EGTPVCDWKKSPISTYGIKKTLSDSTKIDVLAYDGCTQTTHGDCGLPLYDSSGKIVAIHT -GKLLGFSKMCTLIDLTITKGVYE ->NP_740327.1 p23/p26 [Rabbit hemorrhagic disease virus] -GEVDDLFVELGAPTSMGFMDKKLLKKGKKLMDKFVDVDEPCLTSRDTSLLDSIASDNTIR -AKLEEEYGVEMVQAARDRKDFMKNLRLALDNRPANPVTWYTKLGNITEKGKQWAKKVVYG -ARKVTDPLKTLASILLVGLHNVIAVDTTVMLSTFKPVNLLAILMDWNNDLTGFITTLVRL -LELYGVVQATVNLIIEGVKSFWDKVVCATDRCFDLLKRLFDTFE ->NP_740326.1 p16 [Rabbit hemorrhagic disease virus] -MAAMSRLTGMTTAILPEKKPLDFFLDLRDKTPPCCIRATGRLAWPVFPGQNGKEGPLETC -NKCGKWLNGFGNFGLEDLGDVCLCSIAQQKHKFGPVCLCNRVYIHDCGRWRRRSRFLKHY -KALNKVIPCAYQFDESFPTPIFE ->NP_740621.1 coronavirus nsp8 [Infectious bronchitis virus] -SVAGASDFDKNYLNGYGVAVRLG ->NP_733952.1 VPg [Strawberry mottle virus] -GGYAESGSNTQAPIYRHKRVRAYEQ ->NP_734123.1 P1 protein [Scallion mosaic virus] -MAVATLHAPIIQTNKNYKKLAVIQFGENKPMLITRINTEQIKISKASDVDQVIAGHYRHH -RAKCKVDQNKIGVDQLVGLVCDIMKVKDNGEIHLIDKKVQKFDFKRKHGTIYARAQVKHL -QGRRQRRDFESNPALDIWVDILMRRTVGRRTHKTNSIEAGWSGFLLNASKLIGRQSTHRG -NTFVVRGKCADTLFDARVRMTYDAMLNIRQF ->NP_734020.1 VPg [Apple latent spherical virus] -GPEDSPGFENARKTAGVKVHFVKTSFQPSDWKGINVKPSGFNWGDVADDDDAIPLWGQ ->NP_734043.1 VPg [Blackcurrant reversion virus] -SFIHRLAKEKNFAHIVCDDVLYYHDSYIDSTRVSEGTINIGMEDACIQ ->NP_734451.1 coat protein 2 [Maize chlorotic dwarf virus] -SGTNIVNNEIEQAFQDEEKRTVDPNISDMYNAIKSEYLVKSFSWKVSDGQDKVLSNINIP -EDLWNTNSRLNDIMSYFQYYKATGLTFRISTTCIPMHGGTLFAAWDACGCATRQGIATAV -QLTGLPGIMIEAHSSSLTTFSVEDPLTQSTVCLSGSEHSFGRIGILKICCLNVLNAPQAA -TQSVSVNVWVKFDGVKFHFYSLKKQPVVSQ ->NP_734289.1 6K2 protein [Sweet potato mild mottle virus] -SKTDFLEKHVLELRQPAITKNVVGTAMFAVALTGCLFWWWMKRNEKYEFIEQ ->NP_734286.1 6K1 protein [Sweet potato mild mottle virus] -HGKKEEAFLFKICAIFALIAGIVDYEWGAAACATMNKVRSICTVLGSVGIE ->NP_734319.1 P1 protein [Ryegrass mosaic virus] -MMNFGSLNVGLKQVDGTWVPRVFEEKEMARLLAEKQHARVMRATQEMMKAPNPFAEFDEM -HQRGNPFAGRVRKCETREPKSAQKPIVTVDTVPVAIYTDVIWPENGKVHALSRRRAPRKH -ARRSKILACDLLTQVLNISRRAGKSVEVIGKRRCCLKPRRRDGKSCFGVITKHHKGVLSS -RDMVKDLFVDSIIEHIAYTGHTPLIDAADIKPGDSGLIYREKKDGYVTRVVRGRHDGDII -DARDYVRAGIHTIKHY ->NP_734458.1 coat protein 1 [Rice tungro spherical virus] -AGETVIVGGDEEQEAHQDSSVAAAGPADEHNAMLQKIYLGSFKWKVSDGGGSILKTFSLP -SDIWAANDRMKNFLSYFQYYTCEGMTFTLTITSIGLHGGTLLVAWDALSSATRRGIVSMI -QLSNLPSMTLHASGSSIGTLTVTSPAIQHQICTSGSEGSLANLGSLVISVANVLCADSAS -AQELNVNAWVQFNKPKLSYWTAQHSIAQ ->NP_734408.1 P1 protein [Johnsongrass mosaic virus] -MAGEWNTVVGKRNQRKTEEQRIFDAQKRFYETHQVYDAQRALLHNSRILKRNFELTNAIE -KPKNDPKPIKKVFKQVWVAKVKEILNEPKPIDIKPVQARDEVVKVDIGKFARVLLDQCKA -YKVPVEIVGRKRKVVSRIQPYLKHGKTFLKLETNHEQGRITRRDLSMPDPVKKLVLKIAD -KYEEPTDEDLETFSKGSSGITFKSETGMLFVIRGRVNGVLVNALDQYEEDVKQICHY ->NP_734403.1 6K2 protein [Johnsongrass mosaic virus] -NKENVCKELDLKGIWNEKLMCRDGIIAAGVAIGGALIGWECFKYYFMTEVEHE ->NP_734446.1 31 kDa coat protein [Parsnip yellow fleck virus] -ASPVLSQVDFSLMASISIDATEESVVVAVPCAPWYSKEEVDYTLLQNPLHWASRMFTLWR -GDIEYRFVVKEEALGDGWQSPISVWHNPNTQLSKCKITKISNKKISKETYHGKKFCLMQL -KSIDIVAVDDRRFSWRLCKILDTTKDTAGDSTSPSVTQITYTGHPPMSSQTGVVCIKFPK -NSIKGKLKVYSKPGENFEFRHLGGVPSLQVSQMVKYKKPFQNSVPDVFITPSKESSKKEL -GFKPKVVESAAVPKLAVGQ ->NP_734444.1 22.5 kDa coat protein [Parsnip yellow fleck virus] -PSISQTLPLINDQFTRPIYSRTFEWKATDTVGASIFQLELPGDVVGPQASSLFSDTMQRA -FCFSSDFELSILLTGNESYMGALKIVTDQLRRFHEAKQDDARVFHSMPGRTVFAKDSDGI -KIPIEFMSIHKAVSAHDSNSHNALSRVEARVVTPLSHISLSSPVLSITIQVFAKNVKADY -MMWRSLETTFPTAN ->NP_734297.1 NIa-VPg protein [Barley mild mosaic virus] -GKGRAYNRDKRMGYDSYEEDEVRNKINKKFKERSTRFSNDSKPETNSKYRNLKQEFVNFY -DLKTDANVLQAVFTAMDGAVLLQTESPMADIGRVNRLLQDHFGDSESRAVHEGLNTMVKC -HLTMKDGRQFELDMEQHDPEYVAKLGGEVGFRMNRDDLRQVGATRYINPRAQTSAATLE ->NP_734296.1 6k2 protein [Barley mild mosaic virus] -SKNSLTAEELARILHLTKPTSTFFNLFAERGRQMLVTLLVMVAASLMYLVFWVSPRKQDD -ITIE ->NP_734005.1 VPg [Tomato ringspot virus] -STIPSGSYADVYNARNMTRVFRPQSVQ ->NP_715626.1 putative movement protein [Subterranean clover mottle virus] -MPSVSIEVYSRERPTLLLLTHRFWPSSETIPRDYEYDQTVTLYGRFNNEEETLILCISRC -NTCDFWCVLGDSNLPGIEIWDSALSCFANAEDCTLSGQCSACRNPPVEEDADSDSSEEYQ -SLGNRTVIRDSGPEGYWSESSDDEDHTRAAYSPCDYEGNLVRLFQLQSIQPLQEGDDQE ->NP_714937.1 putative 3B [Enterovirus H] -GPYTGLFKAKHKSTTLRTATVQ ->NP_705882.1 3B [Ljungan virus] -RAYNPQTAISKKGGKPKLSLVKTTNFVNE ->NP_705881.1 3A [Ljungan virus] -MSPGSSTGRIFEPLEETLCALERRFGQLADSLKDNYHKTADELIEAIEDMMAPSQSPFAC -FAESYRPTIKYTASDKVKSWVKNHMNRWKEFVMRNKGWFTLFSVLSSFLSILTLVYLHYK -KEKKEEERQE ->NP_705877.1 2A1 [Ljungan virus] -MDFAGGKFLNQCGDVETNPG ->NP_705594.1 nsp8 [Equine arteritis virus] -GLDQDKVLDLTNMLKVDPTELSSKDKAKARQLAHLLLDLANPVEAVNQLN ->NP_705593.1 nsp12 [Equine arteritis virus] -GVDAVTSALAAVSKLIKVPANEPVSFHVASGYRTNALVAPQAKISIGAYAAEWALSTEPP -PAGYAIVRRYIVKRLLSSTEVFLCRRGVVSSTSVQTICALEGCKPLFNFLQIGSVIGP ->NP_705589.1 nsp7 [Equine arteritis virus] -SLTATLAALTDDDFQFLSDVLDCRAVRSAMNLRAALTSFQVAQYRNILNASLQVDRDAAR -SRRLMAKLADFAVEQEVTAGDRVVVIDGLDRMAHFKDDLVLVPLTTKVVGGSRCTICDVV -KEEANDTPVKPMPSRRRRKGLPKGAQLEWDRHQEEKRNAGDDDFAVSNDYVKRVPKYWDP -SDTRGTTVKIAGTTYQKVVDYSGNVHYVEHQEDLLDYVLGKGSYE ->NP_705588.1 nsp6 [Equine arteritis virus] -GGVKESVTASVTRAYGKPITQE ->NP_705587.1 nsp5 (HD) [Equine arteritis virus] -SSLSGPQLLLIACFMWSYLNQPAYLPYVLGFFAANFFLPKSVGRPVVTGLLWLCCLFTPL -SMRLCLFHLVCATVTGNVISLWFYITAAGTSYLSEMWFGGYPTMLFVPRFLVYQFPGWAI -GTVLAVCSITMLAAALGHTLLLDVFSASGRFDRTFMMKYFLE ->NP_705586.1 nsp4 (3CLSP) [Equine arteritis virus] -GLFRSPKARGNVGFVAGSSYGTGSVWTRNNEVVVLTASHVVGRANMATLKIGDAMLTLTF -KKNGDFAEAVTTQSELPGNWPQLHFAQPTTGPASWCTATGDEEGLLSGEVCLAWTTSGDS -GSAVVQGDAVVGVHTGSNTSGVAYVTTPSGKLLGADTVTLSSLSKHFTGPLTSIPKDIPD -NIIADVDAVPRSLAMLIDGLSNRE ->NP_705585.1 nsp3 (HD) [Equine arteritis virus] -GWIYGICYFVLVVVSTFTCLPIKCGIGTRDPFCRRVFSVPVTKTQEHCHAGMCASAEGIS -LDSLGLTQLQSYWIAAVTSGLVILLVCHRLAISALDLLTLASPLVLLVFPWASVGLLLAC -SLAGAAVKIQLLATLFVNLFFPQATLVTMGYWACVAALAVYSLMGLRVKVNVPMCVTPAH -FLLLARSAGQSREQMLRVSAAAPTNSLLGVARDCYVTGTTRLYIPKEGGMVFE ->NP_705583.1 nsp1 (PCP1b) [Equine arteritis virus] -MATFSATGFGGSFVRDWSLDLPDACEHGAGLCCEVDGSTLCAECFRGCEGMEQCPGLFMG -LLKLASPVPVGHKFLIGWYRAAKVTGRYNFLELLQHPAFAQLRVVDARLAIEEASVFIST -DHASAKRFPGARFALTPVYANAWVVSPAANSLIVTTDQEQDGFCWLKLLPPDRREAGLRL -YYNHYREQRTGWLSKTGLRLWLGDLGLGINASSGGLKFHIMRGSPQRAWHITTRSCKLKS -YYVCDISEADWSCLPAGNYG ->NP_612616.2 75k protein [Beet necrotic yellow vein virus] -MSSEGRYMTWKDMSHNKFMTDRWARVSDVVSVIKQSHAMDLSKAANLSIIKTALAGLGSG -WTDNNPFVSPMTRFPQTLTMYGALVLYVNLSDPEFALIMTKVSTLTDSGLADNASANVRR -DVVSGNKAESSGKTAGTNENSAYTLTVSLAGLAQALRLEELMWTRDKFEDRLKLPWTPVQ -GRTSPPGQXQLAAARVTAHIRAAKRALLYPGDSPEWVGWKHFYPPPPYDVYDVPPLDIIN -AKLAADDIGGLVTPTPASSHGLPFEVSEEVEQANRNSLWLTVGLLLAALAVGIGVAAYHR -KKLQSRLRELKLLWGSTGGSGGGGGFDTELYMRATDTVSLGTTLSEHAASAPSGLRHRPA -ATDSGPHEALPFEVWVFDNLAVVYDSIGMSDLFYTVREFVGVFNGEFEGLIELLESPDDD -DGVYTNAPRDTAIDAYESQENYDRIDIETVLIERRINLKKLLLEEAELERRERDMTMIAD -EEQRTLLHRLESSRVEATHAVAKAEADARAAVAMAALASKEANDYDSKMAFDRSCKEQEL -RLRELEVNSMPSKTERYVHTGIQGGAQLAGAMAVGAMLRRGAGSSSQTVSSGANIGSRSQ -SLTRGRSASQPLSSVGGSTRGVNNNISNTNLVRAGNSAEVSAGRSTNSGNSNFWSKLRVG -EGWSKYSVERAATRAQRAIVLPAPPSAPAG ->NP_705603.1 putative 3B [tremovirus A1] -SAYSAAIKPLRVVRLEQSDAQ ->NP_705602.1 putative 3A [tremovirus A1] -SNPREVFDTMAEGKNSGKYLWLFEKIKTSKWYILGCVGAVLSVSVLGVFAYHMIKNHFRD -QQHDQ ->NP_705600.1 putative 2B [tremovirus A1] -TSAGVREFKEKVSSILRKTWPGKTSIKIMKWTCRIVKMCVGVGLCYAHGWDSKTVTAVVT -MFSMDFLDLVIDGIEIGRMIIDELTTPKAQ ->NP_705599.1 putative 2A [tremovirus A1] -AQCSKCKMDLGDIVSCSGEKAKHFGVYVGDGVVHVDPEGNATNWFMKRKATVKKSKNLDK -WCFALSPRIDRTLICETANLMVGREVEYDIFVKNCETYARGIASGDYGTKEGEKWKTLLS -AVGVAAMTTTMMAMRHELLDTSLTKLPQKVGEVTNEVRKILED ->NP_705595.1 putative VP4 [tremovirus A1] -MSKLFSTVGKTVDEVLSVLN ->NP_702991.1 hypothetical protein TVXgp4 [Tulip virus X] -MEPNASTTSDHTPRTPIRPIPSSSSQSSSYPDSFYFCLAAVLLLLAVLAANALTNHHPCT -VHINGHSTTLTGNCVITPELLTSLHPKLLSFQTP ->NP_694846.1 hypothetical protein LCMVsLgp2 [Lymphocytic choriomeningitis mammarenavirus] -MSSATDPPSQSSQDLPLSLNLPPTISYIKVLLDLLKQSLQSLKANQSGKSDSGISRIDLS -IHSSWRITLISEPNKCSPVLNRCRKRFPRTSLIFS ->NP_694474.1 VP6 [Kadipiro virus] -MNTIERTTSLVNETSAQLKTLVSNAEKSHFLKPDEVRAKLAFLINPKQNLFANLNSFFNK -QKCLDDLTRILKVCGGTETRYQITAIGLSHLIEYCLKYELPSDARMLKSFIFIIFSVFDK -VDSRSQGNVMKFDEVYDYINSELADNVDLTAGEIDGSKVIKISFLTTTYVCEQVNTKVIA -DQVLAKLGQYLISDQFGYFKSKIGVNGNEKSDAIAIMNGKQDESCHVDLFRNKYSVIPAY -YKQLVAEVRALRKIKSAGNPNGVKFRGITKFGFIKPLTDMQRFKKTALNVALIDSNSKYF -TTNSGKLYYAYDQCVLSYMDDIEISANRVCRELMDINALVAYKLLHNYGLGNKCKNAKCS -VVGHISPAIQSALAKRYTGALKDIILDETLDDVDDGVDTSAIANEASKDVPLSSDSRMVK -GDNNYMYMSAKDKSRNAASSDRLAKYKSVRNTEDDTPSSTVVASNSADVIHVDDIDIDNI -SIASSSNSVNTHKSKPFAARNGKSLL ->NP_694473.1 VP5 [Kadipiro virus] -MNYTPVSYGLVSHQPVNLCYVVPALVVQQVSSPVVPGGHDQCSFDSGNGSVSGFDHSSVN -EQPILSWADEVEAEFNEKELKVSGAANSTAELNQPEPVGLTRFDVNLQAENFDLRDENDA -LRAENNKLKIELAALKEERLKNVSLDVEIVEDAKIKAILEAINVTERVMNRLPAKDPSIL -ARVEALLRMKDELVFIGHFTKECKRLIGGYKNVDGHHDDTLMTYLQTADHNQFLSALFEM -YYDNHSKAEVVSLLSYLHKFNFNVNLDKQAVIDVTRVKYNNNIDANDVSIKVDFDDLVSG -SDYVYGTHGLEGFPLAMMHALSHVDSTINGKGLAKYHVGAACRMNECSIVEVFSNGVSKR -YKPFKCWDSYVILPTLEGEVNGRVEAVLHHVGVTYITLVRCYDKLDRLRAQRLHHNDYLI -NGSISRRCQRWLISISLRLLTCSLLKMVYVYIQWVVVIIYLTGLTVVIIHIKEMENSNVH -INNQAITLDSIVIMCLHQNTTAILRSSSAITISGTGVSNLINSVTLVKSKGQSGILIQLI -VGGVTIRFCNWFKCILICTVAGTYSRSSPCMTY ->NP_694467.1 Vp7 [Kadipiro virus] -MSNIHCYGSDPSEGHFCIYRFDEGQPFTVKFRQKGKIQHIELENSIGHNGAINYGSIFDT -IKYFTSIVGLTLINNNMTIDLNSVAVDNRFRGNATMVALKCNVLGNLFLKKIVSSDLQLT -LKFYYNKQIRYDVFAGMYGLINLRNSKFNDNAYNYGIIIEQCYPIKDLPMNKILAGLKAL -MLFHEHTNCIHGDCNPSNIMCDKMGNVKIVDPASLVTRVVTYINEYYKDLTPKSEVGAYL -LSCFEIVSDLRKLPLEKIFIKRGYLGLPEYADDGGINAIDFLTSLQDGLTTHSDLMSAIA -GVPFDGLLANNEYIDDDLSDNECNVNLDAGIDNLEFNNLDVDDSDSE ->NP_694466.1 Vp8 [Kadipiro virus] -MNNVKGMLQELCVKRGLELPVYEKLSKVGPDHAPTITVKLTANGIEVIEAASSRAQAEKL -AAATLYEKMKHLEVHDERKSVSIMSILMVSFANALINKVIDLFSTCKQDIIFCSEVDEVT -DDVHFDPTLSNLMSSGLTDRSAWNRLYKLLSDLALENGFNCITRKNGKGLLFRSLLNDDL -VANESFKNSSLNVAFNTTEDKEVSMLCYNRCCQGSGSPPSTDLTLIGKLTADLANASGLG -KHSVFTNSLKQVLNDESLNHNDKVTILLSQSSLLKNIQVEDLLTLVRSTISGADSQVRID -YD ->NP_694465.1 Vp9 [Kadipiro virus] -MNVIIPAHLLEGGLVDTLGNDSSLRKFARTTKYLANDWHDGAVSVLRNRNNESKLENSYQ -SFAGERLTHAPGGNRGGLRTDLLVRCIQSTVTQNVGINVPQAEKIALGNSLASSDTPASA -DHIMTTVPVILQKPNNVSHSVTGRKEMVATFSNENGEAININMSFRSPTSIEIMSDTPFI -YGRLGDAAQQAVQRLFLHSSNAGTYYFNIPANATRTFVITASTPRSMDKDTSLNYHIVEA -GFKRAKATGLLDGVVARDFDEFVTNQCFNLPAAESTCATLAILFEAYELVNLAADVKIVN -MLY ->NP_694464.1 Vp10 [Kadipiro virus] -MSSTTEVLAISSLGELIEYMKRTEVDRIITNSVGSRRLMELSRPYIEGGKIQYVDRNNVI -GVCIDSNPRGDVLIQAVINHLITGVATFPGRVDVSTRNLTLYNVIAEKGYNIETDNINYL -LTLACIGVENLNSEQRLRLVILLKMIAIKDNNLLWYKSMIRANSWMRTFDVDFDLTQNSI -ERVNLNIAIIQKGVNHTLSKPYLDASLEHAYLSSRYNDLMMYDHLDKPSLNRISDRRSYT -TNYINALRLYGINPPFINAV ->NP_694463.1 Vp11 [Kadipiro virus] -MSSLKEHRTNKANSRNLIRSPDEAPPTDNSLLNKGEILALTFSDEYIKSKLLLGPKLQGL -PPPSLPPNSYGYHCNGSFATYLLRESLINVRHIFAHCAPNLNNSVATNVAKSAVAATIAS -GTVEQLRYCQLGSLGGDLPDRSSTLMVLYECDVAGSTTSDDFGKINIIYQTCTSSVSSTL -SWRISKVSMPITSYISKCLYVTNTDLVPMPGINLSITTSDVTSKFIIKPPFNTKVLFFCC -VRDREHTTLINDLFALTNIPNSG ->NP_694457.1 vp12 [Kadipiro virus] -MSNARYNMISAVSASGCKNDKPFCVHKVVAVIVIIVSSGITVVNQIGQRKLSTDDYFVLS -LVCDAISNIIVVGLSVVGMTASSKTKQVLTDGGENAIELNHMPKRIVKKLLKEEAKNGVD -FVKSIGKDLKSNDNKPDPSAPPKYEKIDESFELKSEFAGKSLITDNRTGQSFIVTSDLVK -PLILESRSQS ->NP_694479.1 VP6 [Banna virus strain JKT-6423] -MMIALIQMKNMKCTLKVEETTENQELEGVSFDELVALREENAKLKQENEALKAKLHRLES -DWTTSDIVEKVELMDAQFDRIGKIMDKMREPMLFKRDEIELHGDLLARVEGLLRIKNERS -EIEFEKDIQCIVGRCFSDENKQRNLEKMIKSFEYDDIADTIALRLTHFIQDPGLRSIVYA -MCKAAVLNQNYLNIEVQEIVDVTRQKYTHNARDDIDFYPMFTFDANVPEGVFDHIYKKHY -LSPQSAALVHTLSHLDVNVDGNGIAMYHIGSATRFAECSVVYVDGRAYKPIRVMAEYAIF -PTLPHEYKGRVEGLLLLHGGLAPITLVRVYHDVNVGGLVTGSIAASVSTLLRNCMLYSFD -IYFTPNGVCINAVGNNNFVNIIDINCCGRAFGKAPLDQGSWNRNKFMGHKHGRGSKCKQY -KKINS ->NP_694478.1 VP5 [Banna virus strain JKT-6423] -MEILRTKSQSAAVVIGISKFFDEKENRDVIVTASDFKRNNAFIFKDSGNYFLGLENIFRN -SLDELKDILILAGGSISKSKITQAGLSHMITFLEKLCLSDDAGVNKALIFIVFQIHDSSQ -RRGGVCTLFDVLYNYLVQRKLNQESEFLSYGTYKEKKYIYINGKTVTYVCKNADALTIKE -LANSKSHMTYADTIGSFRKNASGMDAVTFLTVKMDTMHTASIFGKTYNIGQLYYRQLNVE -VNTLKKVLANIDDHSRVKMHGNSRDGFVKRLDDAGKSRATGLIRALDHSNSIRFKSIGMG -RDEKLSYVVDSCFIRKMQNGRGLCVTIDNINKLIEHKLVHDCGIGRTCSCGAKACDDESL -LDQLAERGHDVVANAKEAMSAMCDDEEHAEKIAVTKSDAKLDDRIVQGKGSRYMSASVYR -SRLNTESTTTNNAQSPVSDPVNASANVKTSPAGTHTDESVMKKEDHGVIVNESTTFKINS -DVVFDAAEATFADTSSGLSSLLKTKMKF ->NP_694462.1 Vp7 [Banna virus] -MNNGQATITRNGGRFEIRCRHLDRDYTMPLPNATSNDNFLDCIKFITECVGFDYVSSGFK -LIANVNDFQHLNGNSTLLIGKTKIGPLILKKVRSLPCCNDALFRNEFRILAKMHGILRLK -NDVNGHKYGIILERCYKPKINFSNFVTAINDLDVFHSSNQHLLHGDANPDNIMSDSEGYL -KLVDPVCLLENQVNMVNIEYESLTQEAEKKVFINSLLQLVEKQMSATIDEIYVNLKEVNP -SFNLEHGLKLSDLLDNIDVYNSDHWKLMLNHRPMMPELSVLNDLTYYDTGEVRDLVTEDL -DDEDDV ->NP_694461.1 Vp8 [Banna virus] -MANRATSAFLDNPHPVGVNYVDEGSRQFVAVAELLASKLIASSRESDESNSDVPFVQAYS -KFADDNPRHLRVKTGGKMANALTNVIRSYYSINAPAIVPQVEIDRLASKATVSGDMYNSY -AVFNSVPIVEVLSPAQTTVSIVGSDRADVTMLNTGAGAANITFNFGQIAETVILKGSVPF -QLARANQPMPAARFTYKLRPLDGPFIVVLPVGNPLVISATAATRIQVPLAFNKALVESGF -QTAMNDGLFDAQNVNYYSSFDEFIIAQYHALDGINRVSTCVVLGLALQAYDQMRRALPVR -RV ->NP_694460.1 Vp9 [Banna virus] -MLSETELRALKKLSTTTTRVVGDSTLALPSNVKLSKGEVEKIAVTKKEMFDELAQCNLPT -IELITREHTFNGDAIRFAAWLFLMNGQKLMTANNVVVRMGMQYSTNLAGNNVEINYVTSN -SVVKLGHIPAGVLAAPYSGKGSGLFITYEQNLITNTVETGKVCVLFITSLSTTASAVNSF -AYSYCNVPVESWDFNMIKLTAETSCASLTAMTNLVNTLVPDDRVRPVGLYVDIPGVTVTT -SASLGSLPITTIPATTPLIFSAYTKQVEEVGVVNTLYALSYLP ->NP_694459.1 Vp10 [Banna virus] -MDVLSKSSLKELLAHLERTPLEEAISYKIGTIPYQNVLISRNEYYNQPYPDVTSLIDGVA -REGQRNVNGLIMSIISYVVSGSGHYIPNIGYTLLRRSILDILTKHDTGLNTNNINYDMIA -RNLTVSKMNCEQRKRMLICFKLLAYKDGNLNDYETYLNQNISLKQIAPNFIPGDMRTVMS -NSDKLSIVGIPAYRLTQSTELSIRDDNAKSYKIGYVDWYNSSSFLREGNDFNLISLKDRD -NKYVRLNGW ->NP_694458.1 Vp11 [Banna virus] -MTIQVQNLNCCPGRFVCVHKMTLLIILIISAAVTVIDQLYQKLPYDEQTKYIVSTITDGI -NATIISVMAILGLNNLNRVRYSKLDENGVYSQEMVTMNVQSDAANNKKQLKKKENEDVDE -EKGLYPNLKLTEPTAPMIHNYMYDHKTQQAYLLTEHQIEQIKQNSVDPNNTPKIEVRSQF ->NP_694456.1 vp12 [Banna virus] -MDPVSVVHSFARSQGLPLNFETVGCEGPSHDPRFVIECKFLDFQHQCTDSSKKRAIQKIC -VLISNDLKENGLLEEAKTFKPEYKSIAQVYGKFFKRYIAEKESSVITPFKLVNNQILLHD -IDELVEYGSSEYMFRHMLLCYIIHKQGIDIKEMCNMKFSPDYIECLSHHLTSTVDIDVHQ -QDCGNLSVVIFAKDNNIKKQLQIQVSA ->NP_690901.1 VP11 [Colorado tick fever virus] -MPALAIIGDTLLNASLIDKYTPPSSNAQNQGFTTYVHSVKPLIPQIIGIPEDALKQWTLF -TDWAKLNDIDLNADETFKEILTIGKRLVSGDITQFVYRQFRGKLEVGYFGKTDNVREKPS -FFPLLGAGQIESLKDLLSRHFEVWVYFAPKPVIDWMIRHEIDLATVEFDVRNMLKMLSNN -PIKWETLLEKIANVAESRITADRDEVGEWRPWVIGLLMIFGQSLKIGGLMAVLKWRQLGP -VCYRNLSRV ->NP_690898.1 VP8 [Colorado tick fever virus] -MRADRQSTAKLRPSLHAVIQTGNLNAFNFTVEGVDCPTPADLSRYCVANGWFFKIGNQVI -IPVLRNFPAFNYNTDIGRFTSFPFETLEDVTKLHDLANGDLVPHFAFVEDGSPVVAAICD -RHDNVVTLRFRDSGGRIITVEELLAGEQRDLNLYQGLDQLADEAGIRPEDIDQAALAAQA -VADAGGGVAQQQAAAAAAGVQAQEDLPVGREDELRPEDVADARGQAAAPPQAQAPAPPDA -ALQRQREQAILRQVPNLHVLPQPRQQLIDRLAQVREAEQKFINEMIQEVGVIEQQRDVAA -AGMRLELCRSVHRVDGILRAYQERVNPFRLGLNYRPPILLEEEIRVEENARRLGGEIGLH -DFEIAERPERALLHAEYLGNLMHVEQEKLLTTGRTFVAHIHQAGYCNPEGWCCLQDVEVQ -VQGIEPESLLPALAVRTNCRGLVLRAPLPIIRVLTQIIHHPSGLDRLEATLNVLLTDMRE -RVSTLTTADSTRRIRVNDAHDLAAMTAPLGHVYAMLSRWRDNVARLRASAQHQLIAQELA -RKYAEWRPGQHYTIPGRVLNLFANRQLRYQSQLEWVYPHLWIADRNLAGAWILNGVVPTY -RNLGEWVPDIAFIGLVQFLEFWEEFVTWFPHYGVGPINRGVPVFPTVFSPRMSSLAVRLL ->NP_690897.1 VP7 [Colorado tick fever virus] -MAARLPIDAFGMSVIQQNGLKVYTILPTSNLSNLSDQISQNKLYAVSERHVRELDQRDKG -KVKKIKFIVTSKSVDYENWFGPDTDTEIIQYLEEGGLYHALYNACKEQKSTPSFVASPSN -PAPVSLKQKEILSNWKLGTIVEFCQAVGLNRTPEEPWRQLARSYGLIFISEVGALASTHV -ASLDADLAKFEQREQRWMKMVDYKESFAHLGTDFNRYAFCPLIPPPCPEDSEEAILIHGS -WVRSEEHDGGLFVLFKRVTIMDRHQVMGARAPSEDEEESSDPTEGSMDEVTHQTETLSLD -SIPPPDFSRAPVIVTGMTSAKASTSYSRDEPPEDEGNRASARPKSSPCDTCTDDSSLLQS -LLSTDWVTSVSTPLHGPTLPIDAAKDLSVASESEDEVSMSQSDAPPETAMDVSANHKPNT -DSAHCAPSPLPQRARKIRPTEARRRAEREKRKLKPYYRSMEECFVPACDIESYRTSVIVQ -PLPQNVLPFPGTQLTRETVLRKALVRECERVAPSQAPDPEELLDSDTVKICEGRAKVMYE -SIPSHLRGVEIESVAPESNEVSVIYPRPQISMPDNFSLGNEEERIRTYVRKRTIMERESG -GYAMLRPGYGAEALEHARYVSAAGVPIPELRGQVLRSRASREMMSQTDLRLLELMMPVVN -VPPEGVDKDLLAVYKATVMDILTG ->NP_690896.1 VP6 [Colorado tick fever virus] -MAASGWNAVIPKTSSYDYITVSIQPAQQDNKKNLVIEFPPVSYFYGVYWTTDDMIIVRLP -ASTTSSQDLSKMVTITKLRWTFQNQKFTCSVGSDLAFRIIMTCNVGTMYFTATFLLNTLT -STGTKVYGMFNYVSLSSLVVVVTGNDAAHVEALIAVEGAAVRNQVAKLILWSVTETTNYL -SFEKLISKAGKDVESGYYKGDTKTKRAIQALSISQGESWYYTELCTSSPLDVGGSGLAFF -MRIRGVGLRSEAGVTPWKVSEIFKPLTSRLESDFSYSIHPEAQEDDSVVVHKAHLLAQNI -IRDLGYKTVAELDTAEDSHLPVGSADCLAALLDLLYRRSEEMTLALNREYKPRRRRAAIA -PQIQDPAVPAIQTYQNLVAGMLGELNKSQQEVLAFKGKFEQLERKVNNHLAVNPLTKLSR -IKQRMDQFETSLAGVSSVVNEVQGLRNSHGQLSTEINRIKEAEGHFLNDLESVRRHLQGE -TGHLRSQLGNSLVTIFKPIIKMISRDIGMCLKVGSADQSQNDVVALSSRIDRLTQEVVAL -QNSEKPRLFYLHDKSEIKAAAGALEAVAAHVYLGWMSQRFICPPVLGTVYHHDRYIQGVY -YKLVACTIEMVHLDKGTMILLIRDEILPVGVLHEVESNIVLDQCDHVPGIVRLSRDRVSP -VDYIEVYEVVDPGVFTLSFSHVIAIYIPRCSGLRLLP ->NP_690895.1 VP5 [Colorado tick fever virus] -MFTNKAILAVYQLPEECTLELVVRDGTGNLVNSCYVETFQHRGNIKFGDRQAQVISHFNQ -VIDLLRTSVSDSIDSMIRYVGEQGNDDTRALVVRAMNDFFPAKVGEAVFQAVQAALQGPG -MLTTLMTRQLEFLGNTTLYNTRMLEAILHIVDTKRAPAQDVAPVDYINGPAKTPLWEPYV -VQCPDLAPTGRVDVPDMHVTHNGQPLTTAWYPPPASLRPLLPDGTVGANPEPSAAGANFE -PPSASSRNPDDGGSTPVDTVELRSATDVPENDHALRLLEEIARSHLPSHQQTHNMIVPQK -DGAQGVVVTPDYAKSGCKVDMQPFHSYGGPNESHFAPMGIMLNYDKYDCGFVIPLEDPRH -TDCNLWTEMPTMRDDFARQGRFWRYDLNVDHFRECIARHTHVYADGARLMGTFVFELVKG -ERWTLEICSYRDRQFRSALLARATPRLMHALGYGTNFGRFIIAMDPRMNLSDFPVDVMRP -AEGRRPCVCQESRGAGPLMDELDFYFEKNWNELERRAQEGGEPKTLTRPTELIEALTALG -KGTAFSLTPQKGSCVPTTMARMLRDRGADEWAVILEKMATSLALAAAKEGNPAEAFYRDM -SSWTNDERSRAMQLITWKRLAINFGVKVSMRALGVRNGYRVSRAAIPKGVMALNNCELFG -GGHVCPECHSVFQSAGERKMCTTLDLMFHQLFLLSTGDVWLGALVIHDPRSHVSKTMKGV -NRGANGGVKYEEIRKVRQFMVKFPFGRTCPH ->NP_690833.1 host attachment protein P3b [Pseudomonas phage phi12] -MEEFSVPEGHTFPRVGEGAGFTLAGFAACLTGNKSTQFTEIRSVCGFQDDDDLMEVVLNP -NVVTRIWFFQAASAYIQKGEEHWTVK ->NP_690831.1 membrane protein P6 [Pseudomonas phage phi12] -MGFFKSIKKAIKSVVKAVVKVVKAVVKAIVSVIKAVVKLIKKIVSVILDFISKIFSNIGV -ILLLILLVIFAWFLFPAFAAFLQSAWAWIVNIFSTGWAWVVKTAGAAWQAITSFASTAWG -YITAAWEWIAGAVGFIWKGLSGAADAVWEAAKELGSAAGGVLSGVWDFIKENPELIAGGA -ALAWLGKDGLILVGLGVAAYFLLKSSGSKGGGRGEQKVVINTTGEAYAPSPYRTGSGAPR -IA ->NP_690829.1 muramidase [Pseudomonas phage phi12] -MDEGKLRIAALQGVLQAAGAYKMRVDGVVGRGTRAAIKANPNVARKGAEVIGGDILQQLI -DESTVDERKIIDTITQAAREFDMDPTSFVVKAKTESGFDPRISTPSGTYKGLFQMGKVAW -DAADRAIVALGHESIGAFESNWSDPVQNSRAAMGYAIALAAEVKRLGYNAPLSEAERYLV -HQQGAYGLIRLKRAAAGRPLDPEDSAGMLRNMRTNPPQDGLGVTTDPAEFLSRWDDVISK -RYADAVA ->NP_690828.1 membrane protein P9 [Pseudomonas phage phi12] -MDNYKVKVSKAADGTVSATAEKRTIGQAIGDSLTTLISDDEASVGYVKTAVQAGLVYGGM -LFAKYRQTSAFSWNPL ->NP_690827.1 morphogenetic protein P12 [Pseudomonas phage phi12] -MAKSMVSMMAPNITSNPTFVAVAGMVQKLAENDGLSVSTFLQSGRAIQVLQSLAAGQGQP -DKPDIEIMKCPKCNHVHYGVIHG ->NP_690826.1 nucleocapsid protein P8 [Pseudomonas phage phi12] -MAGPLVLAGEALGSTAARTAGRAGMQKLKDMLISLGIWEGIQAAADYAPDVLEKMYNSMT -DSGVTPDQASSAKDGGRTVALIEAARQGVMLDEKAGLSKAEAKKYLAMLKQFGTALSASV -DSKQVDRPASEDAAMANASYMLAMHRTCNRLGLTGPNRFRQLYDISLVINTIREGDVERA -ELHESLYGAIRT ->NP_690825.1 major core structural protein P1 [Pseudomonas phage phi12] -MAKKKIDDKAQMANRVRFERPNTSNMNSSLATAMANSVRIENTIVDRRAGSTVVEEVCGE -VTNLSVVAHYIKEPGVRENVAKWFLEHRVVKVIEANIASNVVSIGEAQATLDGLLRSLNS -AVEDAAALNVICEFVIPLAYKAGLLNDRIKTTRSIEFPAKTVSIADLSLDLAAQNAVRVA -ETVNVRMHESGKMSKLAYARAFGEALVAIGYELHRSVNVQHIFDDIVKAIHVKLLAAASA -DMVGEVDSRWLHHPIVDEMSRNYTFVSAALDMPIGGDPGLKNDIHTLEKEAPIAIASLKG -SRRYNVVGRDEYLRTYGKKTIVNVEGEPVFFIGYRNASLASVAQCVSVFNDAIMPKQAKN -VVPGPDGITKFVASSMPKGNPSGVGFHVNELINAITHLAETGDPRSFYNGEGGSAYAKYI -GEAFFLGDDGENFSKELVALMADACYLNYTGPEKDVEWIYSTHTEYNRFVDLQWATCYLP -GGEFITSDVGLFLLVTKDIAPTAEVEPRAQLLSDKALFTRVLDLEEDKRTVEKERVSFNV -KVGAETISGAVVTTEVGMQELPDEARFVVPVHNKLVMDTIAAIHSSFNELINAAKKVASE -PFGGEDGTDLDVSNIAVTPQLVSFMNLSRSRAILTFAQSVPPQYRQIVASVMRMRAVANK -SASDILRLRGTMQQQSFNAYADVLGLVLILATNGLSSKFIRDLLEDASMVEAIMLTGSDR -VKN ->NP_690824.1 NTPase P4 [Pseudomonas phage phi12] -MIHLYDAKSFAKLRAAQYAAFHTDAPGSWFDHTSGVLESVEDGTPVLAIGVESGDAIVFD -KNAQRIVAYKEKSVKAEDGSVSVVQVENGFMKQGHRGWLVDLTGELVGCSPVVAEFGGHR -YASGMVIVTGKGNSGKTPLVHALGEALGGKDKYATVRFGEPLSGYNTDFNVFVDDIARAM -LQHRVIVIDSLKNVIGAAGGNTTSGGISRGAFDLLSDIGAMAASRGCVVIASLNPTSNDD -KIVELVKEASRSNSTSLVISTDVDGEWQVLTRTGEGLQRLTHTLQTSYGEHSVLTIHTSK -QSGGKQASGKAIQTVIKNDELESVLRRLTSN ->NP_690823.1 RNA-dependent RNA polymerase P2 [Pseudomonas phage phi12] -MMPTNESPKHAETRIVTDAPRNSESVGDHLFNGGVNHHDEDPDAYTKMYGPLVGYDPRNP -TTLFANARQTGTQLVAPRKAREILTGIYSFEPTVLAFQREFVKRANAVAQPDLNSDGFSL -NGLHTTFDSIRSVSGYPQWPVSALPKSNVGLLRDLKLQERMTARQVVIAREIWKRVWGHM -KPTAIKIPKMSTSGPPRNVNDAEMKLQYALALFSGNRYNGYLDAFKSGDLSRFYRDYEAA -VIMGTNVRWQVDNPGKKRDYWAQADIERELAPSKRPITTKVEINGTVYDDFAAMRTRLVN -AGPWTINVALQPFATGCMNAMFELYRATWHPDEDKIAGFLEGKHAFFGDVSSYDHSFSEE -KIDLSLEVGKEFISPEIMELASSLFYAAYFTRPLGPDDGPQLVGNPNRYLEKQVKAGNRS -GHAFTSLFAKVWKVIDTVSKFDQMGYDVVANMDAILKGDMPFGCINNGDDEIVWFKSERD -YRLFLRLLETQPQEQRMFKVGPEEGAVFSGSVYQLIGPLKYQAVERITTPFQRIICPERS -IGGNFRKFWPLGILERYNKRNSHPVLEEVWRVFDDTYATLMEPHYGSFLGIVQRAHKEIP -FSVDDLSWKEIMVLDDPNKMYHRFTDEEIRDQVQESAFRKLQPIFFERMFKEHYKGNYV ->NP_690822.1 core protein P7 [Pseudomonas phage phi12] -MDFITDMSKNQRLELQNRLAQYETSLMVMSHNGDVPVITGFNVMRVTTMLDALKVELPAV -AVLGDDAQDLAYVFGARPLAVGVNIIRVVDVPGQQPSALVDAELGALHEVSMVRVLNDIA -DEQLVKANMTSAELELSETKRQEAQRARKDGTVRPLVDFDHGAIDDADE ->NP_690821.1 P15 protein [Pseudomonas phage phi12] -MFGFSDENGAVHTQTINPSVKWVKGSPSEVRSKTTVLFVTRDGAIRGRFDIPGTAKGNHA -ILLCMDGSVFRQMMIDHAPRGTKIEIIRPDNTSHTYEYLG ->NP_690820.1 P14 protein [Pseudomonas phage phi12] -MRKGDRKHMNQKPDLTYTSDVVNRRTKNYRRVAMSKQYWEDCGKPALDNELFKKCVFIGY -GASAIRLLMVGLDSKTEDVHLTAEFMGYNEGSFEIVIKE ->NP_690819.1 P1 procapsid protein [Pseudomonas phage phi13] -MLTFPLRKLHTAMPRLTQASLVGCLPGTSILTASATRPSSFNVVHMSSHEGEFFYSLGKG -VIDPRLVSRVLMHYSMSSHRVSDFGALVTEFLQFHHAVSTNAIIWRNLVAFVVGQSNDRA -TSPERMGRMPAEAVRERLFDEIRNQGIASVHLEMFGALEADYAISMLSQIGLIVPGTPLT -YRVSRMLPFPDYNAYVDAVRGATLTRVLESLATADLGLVKSQMQKKAAISPSFIANELAA -AATRASDRARGSYNPIDVADSVFYLLGTVWDPSTPAELVAPSSIQASDGFSSLLSNLAMF -AAYQRRVATNGSFIGSKYDELIMKKEIIPLFIEAVERISPYEARTLPTAVGHIGVRSVSE -YDATKSHLAVYESWNFSRSATAFVPIKNSRTGFGRFLMEELSVSDALSSALGPIAETFSV -DGFIERHLTALTAAVPGAYDVKADGTQVLLALPSVSAIPTPLGVTTSALMRAMRSAEEFV -PDADSLAAVRHAISDSEGMGSDTERAAVRRGEALLHSYYVMLLATAVQSGGMLSVGSITV -EANPDGGNNVAIFWEMSTSSKIPLGPTGLLGGRLETSEPLERIAYGPDITPTLTVTPPAL -PLRDHERSLHVWNWADTSTKVKFEDTFTTTIANKKLAVRLDEVAILSLGYRRESLRFMIP -ASARAIAEMWVSWYTATDAELTALKKKTKDTAALAALDGRLLSSGVMLVQRLRAIGRSPV -GQTMARLINSGLANELRNKGAIDAMKGLYVVPHQIRMEVWSGLVLLQLLGVISTQEAEDI -TSGIKGSNALATVMTMGLDEK ->NP_690818.1 packaging NTPase P4 [Pseudomonas phage phi13] -MTDEKKVPSKPARPARKPRADKPADAKPAAVPKVAAPAVVEGSSTNAAAVKKSLRDGGMT -ALPSEILFAVGSIPLVVDKDALSTLAAALVASDDPSTWFVANRELIRAVVFVPQQNNVLR -ATPLLSVRPVASLSSVHNWQVRNHLSGLHVVVGGTGAGKSKWLNAQTPDVTIRWGEPGET -FDMEESSIAVADLTEMLAVALLLATADYRVVIDSFRNLVFGITGAAGPGGVSVALYAALT -SLNNICAELGVLLVAAINPMSSDDKVSLVYNNIAASVAGMTVVNNAAVVSQTIRSGTGRI -FSGEPAPRNDSPVEYHEPRHTQLDEPSGPSARIRLESNNIDPDDENDNRPRRGARISL ->NP_690814.1 P3b protein [Pseudomonas phage phi13] -MDDNNLLDIPVDHVFTRTEVAEGTAAGFAASGLLAVLPELKKTQLTEILSVSEFDGTSDL -MDVVVSPNVVTRVWLFQAISEYIARGDADGHV ->NP_690812.1 P6 membrane protein [Pseudomonas phage phi13] -MGLFKSLKKLVKKVVDVVVKVVKKVVDLVVKAIKAIVKIIKKIVSVIMSFISKIFSKIGI -ILIIILIILAFFFPAIWAFLQYLWASFVSFLQVAWTWVTQTLGAAWQAISSFASAAWGYV -QAAWQWIAGAVAALWKGAGAVAGAAWEAAKELGSAAGGVIGGIWDFIKDNPELVAGGLAA -WWLGKDGLILAGLAVGAFFLLRSSSGGGGSGKGTQTVTVNTMGGQGASTA ->NP_690811.1 P10 membrane protein [Pseudomonas phage phi13] -MNKVTNALLLKDEAPRSHVAIAYGLIGLVVGAFVLSNK ->NP_689440.1 B2 [Boolarra virus] -MQSKLALIQELPDRIQKAVEVVLAMSYQEAPNNVRRDLDNLQACLNKAKQTVNRMVTSLL -DKPSMAAYLEGKPLPEERPTLEERLRKLELSREPPPTRSDPAPAKL ->NP_689400.1 p6 protein [Sweet potato chlorotic stunt virus] -MTQSIRESMGLCNPRTRISVTYWMQSDCPSYLLLFCVYKSKTQKYIFSP ->NP_689399.1 hypothetical protein SPCSVs1gp5 [Sweet potato chlorotic stunt virus] -MSSGAIYSELNRFLKYLRELDFSNFCFSDFLFRFSSLKSLIDEYSSHWLVNTNELVWYRT -ICEQRLHPHLFDANRLLTRINVSDLKHDLIMIRDHFSSIDDFLNKYPAIPILVSFTKNIS -AFLEDNEWESVIHHTDSLNRLVFRESWSDGYGYVNHHRLSASSHDFQHMKLCDIFRLSKD -KLNSGVFTFLL ->NP_689398.1 hypothetical protein SPCSVs1gp4 [Sweet potato chlorotic stunt virus] -MEYSAIFNECCKECVMFNPKKCRFDVALLWSIIGFFTFNIILLFVYCLLSIRIRRSY ->NP_689397.1 putative ribonuclease III [Sweet potato chlorotic stunt virus] -MVPIYSDVSEESKLTVFGSRHRLDSIVHSICTSGDRDRYEILGDWAVASYVTRMLTDLFK -HNADAESLSLLRAHNISNVMFAKVMVESKLFEDFYLWLTPDQMQLCRKTFAGGRSLYEVN -VKFLANYFERIVGWLVVHDCSESVEKFLDLFLKPHMSFHIKKPARSILEEWAMKNNKRLD -IHTGEYNVNKVVYVLVDGQEVSRASDLISKKRAISKAVSFAVEALNLS ->NP_663727.1 TGB3 protein [Pepino mosaic virus] -MSSYLSSFSRWVLSSPINLVLALVVLLTSILAITHIQQPTIHNHCQAIIDSAAIVITNCE -NTPEVLKAINFSPWNGLSFPKFEN ->NP_659392.1 hypothetical protein [Mirabilis mosaic virus] -MNLSSTELIAILLAPDKAILLAKNLLENPVKNERRNEPFIYSLPRHAEHPMLPEIPLWQI -FCPTWYQSHVLIIFS ->NP_659024.1 18-kDa cys-rich protein [Sorghum chlorotic spot virus] -MTVSTIHSCERCLEGRTSLRCENKYRLSVYQSRQVEKSAYACKISQFGVPCGMPAQFELD -GETLKVVCDGYCGLKHKNMAESGSWRGTLLVILQKELEALQLKEEQLKTRIAEVTQQHDL -VMAETAAVLRPDSPPKAMVTTNSRVKYVRRKPAPRM ->NP_652745.1 core protein [Pseudomonas phage phi8] -MTDPITAVAINRLVDTIDRAAWASMEDEEKLGYIRRYVSDADDLGISPRMVDDVLDGISS -KPVVQDDVFGEAETASSLASRLATTLTRATALRTVMVDGYRVVVGRVGELTLSARVVQED -HRQVVVGYIRVAHDVGGDEYTRMCANIARNEVDYDRITIGGDESSLEDDEQADEA ->NP_395467.2 hypothetical protein [Blueberry red ringspot virus] -MTEGNGNGKLTEKIENMILKYEELEKVVKQVSPEILEIKEQVEVENDIKDFNESKYYNNT -IRGNAQPTKEMIIDNQPEPCYQTDSPFPYLYHTFDPQLNNITDMLMYIIKNCCCKDKHEQ -REKQPIIHPKILEEKDRQIKDLEEHIRELEEHRCITILDLKKYFGNRFNEREDKKPIEYI -EDQPRPSYRGRKPLWPQII ->NP_395466.2 hypothetical protein [Blueberry red ringspot virus] -MEIPDLSQLSNIVLTDNPGANPGIPYKGKIISIPKNLLPEKTEYSLAYDGSHEQRILPML -RFITAILNNQTEILSFLSINQIKSGTVFTEKYFEEQKNNISIQLEQNRKNIFQKLEELKG -LYDKKQDTIIQHSNRIINLITEIEHKKDLDEIKNTLKEIKKDLIDNNNQKEVKELIESLK -DISDRI ->NP_624316.1 movement protein 3 [Sugarcane striate mosaic-associated virus] -MQALEIYILVVFLLLIASLLFKQESQQCIIHIDGTKAFITGCTDQKWLDTAIKHLRPDNQ -RSGWFRR ->NP_624335.1 coat protein [Citrus leaf blotch virus] -MKITNDNAATINYWLAIVEPFLTSDEDRNSDDIIRKFRAVVAEHGDTEEVDPEVFFAIFS -ILATKYGRVYSKKVEELNESLKAAILAGAEAEDLRNKLKDISQRYASQLEITADREQQLE -SLKKKGHEQPLTGSGSSEPVHAESAHAPQLHVVNDLQQFYIPFNEYPSLTQSIGTSDIAN -DEHLKRVQLTLKITDTKVFSRTGFEFAISCGSRSTSDKDPYDGIIKISGKSHMRKDIAYA -IRTSGITVRQFCAAFANLYWNFNLARNTPPENWRKKGFTEGTKFAAFDFFYAVGSNAAIP -TEADGSVRLIRPPTNEENEANSAMRYADIYEQNSKTAGHVTSSPLYNRGSSYESKNKAKL -LEM ->NP_624320.1 unknown protein [Carrot red leaf luteovirus associated RNA] -MLGVYGIVDGGNYRGGYAPSYYPSVKLPHDTTSKPEWGR ->NP_620828.1 hypothetical protein PMSVgp2 [Panicum mosaic satellite virus] -MLRKWGNQEATKPSQQRRPCVQPTRPEIYPERGGPAAGSPFQWQCHFPGGRCVSPS ->NP_620827.1 capsid protein [Panicum mosaic satellite virus] -MAPKRSRRSNRRAGSRAAATSLVYDTCYVTLTERATTSFQRQSFPTLKGMGDRAFQVVAF -TIQGVSAAPLMYNARLYNPGDTDSVHATGVQLMGTVPRTVRLTPRVGQNNWFFGNTEEAE -TILAIDGLVSTKGANAPSNTVIVTGCFRLAPSELQSS ->NP_620839.1 13K triple gene block protein [Plantago asiatica mosaic virus] -MHYPTEADTSTGPNPSATSAPVRPRHVTPSLSPSSSSSPSPDSFYYFLAAAVILTAALAA -ALLTPNPGCTIVITGHTTIIQGSCPIPPQLVLAAHPRGLSLEQYLKFTNTLPDGSQHRSH -R ->NP_620718.1 triple gene block protein 3 [White clover mosaic virus] -MDFTTLIIIGVYLLVFIVYFAKINTSVCTISISGASIEISGCDNPTLFEILPKLRPFNHG -LSLPSN ->NP_620717.1 triple gene block protein 2 [White clover mosaic virus] -MPLTPPPNPQKTYQIAILALGLVLLAFVLISDHSPKVGDHLHNLPFGGEYKDGTKSIKYF -QRPNQHSLSKTLAKSHNTTIFLLILGLIVTLHGLHYFNNNRRVSSSLHCVLCQNKH ->NP_620683.1 28.7K [Tobacco rattle virus] -MHELLRKWLDDTNVLLLDNGLVVKVRSRVPHIRTYEVIGKLSVFDNSLGDDTLFEGKVEN -VFVFMFRRFLCVNKDGHCYSRKHDELYYYGRVDLDSVSKVTSGYEKLFIHRELYILTDLI -ERVSKFFNLAQDVVEASFEYAKVEERLGHVRNVLQLAGGKSTNADLTIKISDDVEQLLGK -RGGFLKVVNGILSKNGSDVVTNDNELIHAINQNLVPDKVMSVSNVMKETGFLQFPKFLSK -LEGQVPKGTKFLDKHVPDFTWIQALEERVNIRRGESGLQTLLADIVPRNAIAAQKLTMLG -YIEYHDYVVIVCQSGVFSDDWATCRMLWAALSSAQLYTYVDASRIGPIVYGWLL ->NP_620647.1 11K hypothetical protein [Strawberry mild yellow edge virus] -MTLALHPLPNRSVLHPSAARACKSPPSFEITALSASSVCSTPQASGTKQSGTTVPLAIGA -ISSLHRRPSSLPLISSMVCSIQPAKKSRFGVSPPPRRFMPQPRIRM ->NP_620645.1 8K triple gene block protein [Strawberry mild yellow edge virus] -MRVLDLILALITAAVVGYTIALVSNSGCYVHFDGRSATTTCPPGPWVESIANGLYTAGLA -RPHPEPECERRQSSW ->NP_620501.1 hypothetical protein RYSVgp6 [Rice yellow stunt nucleorhabdovirus] -MSSQQETNDKSNTQGHPETDPEGKTGTDTGNTEDSPPDTDNVPITDDAIMDDVMDEDVKE -EDIDYSWIEDMRDEDVDAEWLFELIDECNGWPD ->NP_620499.1 matrix protein [Rice yellow stunt nucleorhabdovirus] -MANKKIRVTGAEAEQPSILKRISGALTLNPLDYHLDYSKLISLNFMVKISFHDASDYDLF -VREGITPVELFEALASNWSTDSGEVHYVDGNTHDKDEIDTSVKLCELITIIKDLPFHKSE -ESTHFSILSTSLTLGFGDQILQKHDNSVIPIITERSLPQYMHAIIQYEYPRVSGGIAATI -CAGICIRSPPIGNCPPIMKPLHLELLCYHYGLKMSGDAPSPAEGKIGRIKRPTERKEDTP -SMTKRLKGGVGATISRMLSWKE ->NP_620498.1 hypothetical protein RYSVgp3 [Rice yellow stunt nucleorhabdovirus] -MGEGKNHQSFSFKNADDEIDLSLSKFSLFKLKMAKSKIIKVFGQNDPVDPNNCYINMRSI -KITTSSVLPESDPKYLIWEMSYKTDEEDHTLGQLAWKASYNGTFIVTTTYAMMVTGGELY -TPYTAVIRSSDGNEIKGVKVKVTLSWDPANDRPSKARMGGFIQDMYCKTITNGKTQISPM -VGWYIGQDERRYCKVLNKSALEFSSEGIYPLMELVSGADSVINPLINKLISGMLNDEEKR -RVSLYTSTVGAGTSLTQSEKLLLKKLVESKTGSGLVQFLMRACKELGTDVYLEA ->NP_620497.1 putative NS protein [Rice yellow stunt nucleorhabdovirus] -MSGSGSEQTPRLTRSSSRSTLTGVASGRVEKIRSSPKSLDRIAKKYKDFDPETAKIIRAD -LEETQADKTMEVGGSTQESAQQITGAKRPNEEDQGGAQEAAKRVNRSNKVNSLLTSNGVT -DPAKSKISNYIVGRLNANNIEADSVMVAECTNIAIHAWKEGKKYLDDKIISQATTTIPTL -ITNLVSNANTLSNVIASLNNVPDKLVSDIRTQVENVSNQTGQKAAKRDVLLKSSESIYNN -AVKESKVDFINNYLTSSGVNVDELRKDSHHYRTVVSRIEKKYTVLVMMPEHEEHHTLKEK -VATNRTFVKESAQTLSQKYVTQ ->NP_620542.1 P4b [Rice ragged stunt virus] -MPSVRASDPKQQLYPIAELEQLSQEDVRNIAKTHRSPTLLRTQTKFCVGRRGELSYDTTL -APLQYSEPGDSILRGSDKQDGNDSSLSILSRLWCYGVSRRKAQASEIDSELVARGISKVL -EDSHAKLDGFGSHQLYDSQTYNDYYSRSAGNQISERGEGGERSNLFIAVHKPRHPQSYQP -VSDPSVLAGYESVRERRDVFRFGGGTNFEQGGSTENRGNYSEIPELTLLNYEQGTHSSLI -TERDGYKFWSGMSICETIYTATRDEIEEYELSRGIHSVPNIIELRQRLRRRSHRNYDANH -STSGEEENSGSRSRIAELSQSTIHRR ->NP_620539.1 Pns10 [Rice ragged stunt virus] -MPFVQFPNLFEISKFARQGKTVSELKCEVWTDLLSYLRTGLPTGLLSDFAEHHELNQLQA -FTAVQFDEPCFVLPARAAIIVYCPEQDDMLSGVFEVDATGKRTFVRTSNTDIIGSAKSDV -SGGKQIQSVGVAQGLETVMQMMDYILIQFHVQFGSFTDIGHFGMMRDAIQLYGTCACPFL -LSLARFSTALSYLNAKLPSIVGLHYSGEPTTLGGIITRGVNLSAREAYFSKKYDPAQSLV -ASAFFTVKTSASGATVIEKMSSDIGLVYHMNRAAAVKVVSSRIGRLGEVANFGDDAE ->NP_620529.1 minor structural protein [Rice ragged stunt virus] -MDKFAEIRKRLKQKHNEWLQQTAAERIEQDAGIKMTARTIQGLRITRNSKNDESSLHVDS -ATDLEMDVTGASKFESKKETFDYRPNTSSSRIVLTPKSNDCKTEKKLVSGDDVTEISRPT -SSSAVEISELPEVCVKVVFSQPCDVSRYPSDATKVRITKCDHANWRGWRSFLHALGICNR -EIQDSFLEQAASGCSAHVKDVVTAFDRGENLELLCAMAGVNVCLVNVSDEEIELIKISPE -PLTCVVRIDFVGSEIKCLPCHATDAALASLFKTALRVYDYGRRIPWMHLSETVTFLSLDK -TRTRVNVSNLCFDDGWRNIFEALNNDYPELYLVPENGDPEARSRCFIDPERQIEEEERYR -AAKEAYLKRMNTPLEWWQEEVLTLTDGEREPKLDEFFFRTLLPRIIRQNAHCGDNPTEKL -PMIGFGFDAIMKSRAERASQMSIGAKGNAGKEVGLPLRYKQHVINFRKREMHQKNSNIMT -GYLPGGLLHDTLGGIVICLRLDIFEDTVISVYGIYNGMKLIRLICMICVYSGINIPGRPY -FVYEVGEGILLTPGMFEEYFTGARTVSRLTSTHGVIHLGKLERCVRQFNNYLSAFKIKPG -EIIDRSRAPRCKAKEKKFVPRSVGKGVFYASDLANYPFEINRHDKRSGLFHVGEIDFQAA -ISSIWPQMMCHYMASEDREDKQDDEKSKSDNFLVPEAVDPSLVIPSAAIYDCGMSKVNPE -NAKVKTRGNKLLLPCGMLEHCRATSLTKHRCLLPYLGVLACDLPYGSERMPACLGCGRLY -PQQILAKLCAQVRCPAWPSTSDCLIRSE ->NP_620528.1 autocatalytic protease precurser [Rice ragged stunt virus] -MNTKGFAQKIQRTFHLIHQSHYTPPPNKISTHVEIFSELLTYVDYEWQRAAIGASRWVEL -AAEENEIHIPFNTKDERQVNFLIAIIHVAISLYSNSGVEPFADCDLEKILNGDYESSKFD -WNVVFDIVLPNDVRLKEKTLKAAVVEEERVEPRPKRREITLGGQRPLQTRDVRSDSDLIV -LSKLEAYDDVVERPRQNNTDIIIHRLGLAKMNPASNSILPAKISRIITEQVFGAGVNVDY -IARPTEGFISLSTATYTVASYFERGIANYDINAVLDNIIDKTDQLNTTDTVVELPSIPPE -DSSIEVATPSHETFFDINTMIYIIMCCGSITNPMIQRLNGIVTRYNTTNYVVSYPDTDDG -RKKALAERAVITVDGKYYKCYNDIKADTDKRRILNPAVIKEVMISLRHYCGSVIHYRERM -EATHISQVFCLLMGICYGGLDTKKIRCRWFEWPAVSNVPVTSSYAEFKGSNSGLPPYQCR -KFTPRTVMTSFAHWNLWAWMLDLAIDKRMSSDRHLSIMKIYVLNAFSHLVLNSSLENSAN -ILGPFPSFSGYTAFTSTSIVRDVSSCQRLAPFLLRMFSLVDYVAAAASSEASGSGV ->NP_620527.1 spike protein [Rice ragged stunt virus] -MKTAFARDPFTAPATGTYGTIYASRSLPRLSISKFLEDANPEIYELSRYEALGTNRPSSG -KRAMQPAVSKPALLETVFTLDIWYRRTNNQNIGNLRDSVSRFLSDDRVREAVMVRLDLDI -VVQLKEYWLIVKDKEAQTFADRLAFDSHLFVNRGENANYDLVTQTFIPSDAFLKDNFKTE -ALKKLLLSVQNHTGLDAGLQGDSSKATYNIGLGQYLEDEALLYRQGVALQQMAFAELELA -RGAEKEAFPSTFDLSNRPACNLILKRTCKWYQQTFKAEERKEFAKSLWVDDFAEANWNTG -NLSFGFSTTLNVIERWRLTRFYVHMYSSVHIYSQKASG ->NP_620517.1 P6 [Rice ragged stunt virus] -MQLFIVKLDSRSSASNEILVLDINELRKYVSKHQLSFRGAQVHETNSEHSVRNWEDDNIT -MFFHTSNVFPSEKSVRHALFSRNSVHVCGPLNIGAFRDSLRVLQSPQFPIHTYGDDITVF -DKLREFVVVPNSLAVVDMDTSAWERYTYSLFTDEPGTITLADETPPTISPTGRDSVSPVA -RFSPSISISSIAIGEVGSASKRRQRLVKQAKSSDKTPIPEIRLNKKDTVFAETGTEQASP -KTEIVAATVHKIPVNHAEADKRVVVLKGRTKDKAQEVREVTSLARDTTGVEHELMQSVGD -DSVQVSGELSGFGDESFEVSKTDVVGVENKQLALDRVPALEPCGEVSEDEELVSEGMYAV -PLDLDIYAEKCPSPTPPNSPKKREKADHEPIDISSAMMKLRLIAAAFQKEMMAYDKIDCL -SSDDPAYLLAQFCLRNLNGVFVPEYVEEHSSDEENADDPNQGWNGTPVHGSREIFEKLSE -SRLASMEMISNVKPIRWNSKKTTYAVTIERGNPNLIVTQSLTRGQSSQFRKVIRAFGCST -DYCLERLASRDYDAILMLMSSLSGACHATKSYCYLQIVAYGSFAAPECKELD ->NP_620516.1 major core capsid protein [Rice ragged stunt virus] -MSNLPKPASHFEPEKNVDDKGNVTGSAPPVSKDTPTQQASVSLPNQEEPTQQTLVTTELP -TKPDYNDLLLKASDERLLLVKEAKPQTLRFYASPTGLTASGFSIVTSPTSSYEQHAIDIF -PYNCPVDKIVPAFTLEQIKITNDVLSSAQQSYLSRIPHDTSLVKLNEIEVVTGGSRDLFS -LGTGYVMVVPNAEFFEGANLKFVYGVPMADIIFSKLTSQSAADYAFLDRSRIASGFIMFA -LHFALRTNVNLVVTKDNSARFASELSYSRPMSFVQGKKIVLPVQPHVCFAEGNSLMRYLD -TALEGKYTQGVTYKYGRERIITETKEGGPITEITYLNIDNVTAQALGITAHVIGIDRYRE -DNVKRALLAYSLSGSTVDLMQSDESERMFGRMLSPQQELSYLLVGAALSQDVYKTILRAN -LDAFMMFGTVMPSLSDSLAKIPADAGNQQIISLIRERQSVSGFENAMEYLAMQVTPPLIW -PCVISREVNVTGLSLLIMLLEYILFFIFYPSLAKKCGAGLCNNFYKLVFALSNSEWSQFV -TRVGYDGTLGNSIPITDEDYWSNARRPALFTTDLSQFRLLGLIQRLIAPIGEHREHVKAQ -AAEFPRLKARTEYWNPYPNPGAQHVEQTIFKARLLQAFDETLTLVKDLNQTGQLVSKTLM -AGVAKVFNTCKIKLRYHGVGFGRDIGMPLAYLRDRKINFYHDYDGRLDTPFPNQMMLVSA -SQSPHDHKIPIELRRKGQIVLETGVVWTLVLGLQFPSHQFDEDMTNDPICKFRAPSPGEE -LGKDDTIIAMCANACVPFSIAAGIISESYADGGMKEIKELLSGSLSSTEFRNLISCIQTA -MQGSGFNVGRNRDVFRQNETVDLRFIEPKVRYVENELTIIAPTPQDPPIIRGDLQLIPEG -LMPRFRLGLTAIADSSSEYSRLRKGLYLARCEVDVEAPNNALPLDLRDYVEVEYSHDLFQ -VRRIQTKQALGIFYQGEFYYRPALVPKMLIVVNTSEEMEPSYQEFFMQCLEERRIVIKLP -KMYFLSRIVCTHSIQRPDERDAVLGLLAVRNDQIPLVTFYDTEQVDPTIQFDGLASGSKS -KFIWPISSIDQNVVVRALGASGSTAPIGFAAPTDSMCDSGSIDDVGNLTTGAGVLKDPRV -ISLTNGVINYTERANLTGRVLYRYRPAYALDSY ->NP_620537.1 nonstructural protein [Rice dwarf virus] -MSGTLPLAMTASESFVGMQVLAQDKEVKATFIALDRKLPANLKVPYMKNAKYRTCICPSS -NHLVDDCVCEDVIIAYTAHRNNAVAALLYSDGNVIHRSGTLKPKSQNRFDLRGFLTSVNP -GESSKAEAGTSKSTQKAYDRKDKSPSKGKNSKKGGKKSSSERKRKEYSSNSETDLSSDSD -ANTRKSKRK ->NP_620467.1 coat protein [Raspberry bushy dwarf virus] -MSKKAVPPIVKAQYELYNRKLNRAIKVSGSQKKLDASFVGFSESSNPETGKPHADMSMSA -KVKRVNTWLKNFDREYWDNQFASKPIPRPAKQVLKGSSSKSQQRDEGEVVFTRKDSQKSV -RTVSYWVCTPEKSMKPLKYKEDENVVEVTFNDLAAQKAGDKLVSILLEINVVGGAVDDKG -RVAVLEKDAAVTVDYLLGSPYEAINLVSGLNKINFRSMTDVVDSIPSLLNERKVCVFQND -DSSSFYIRKWANFLQEVSAVLPVGTGKSSTIVLT ->NP_620466.1 movement protein [Raspberry bushy dwarf virus] -MFSRSSSTRSSLVGSRSGSIFGGGSVKKSSTVRGFSAGLERSRGLPSASAGENQISLPGL -RIPVKASSQPGNYYLKERGIDLPIVQQQKFLAADGKEMGECYLLDTSRTDLLDAAKAALN -ESNLLEFNKFKEFKKYKGKNNEFSLVEASVFDKLIRKDDSPIHLNRLLIAVLPAVGKGTP -GTARIKIRDARLDDGYGELFSSENRVDSGYIYCINVGYSVPKSEIDYKINIDFAGVPIKD -GKSPIWVKAAFSLAGGPPVFLDGTMSLGAEILPDSHKELLGTSALLLNEANSNRKSFSGD -DGELRRDYPYKRFEEISPLDSISQVDTASQDSVNEVNTENVQNGTGEVYLAPPSHSVY ->NP_620453.1 hypothetical protein (P1r) [Rice black streaked dwarf virus] -MVLVIVNHLRNSVFLLANKRAEITSSFTRSRDNKIFWLEDILDLTSFPNFTQLLIESTTN -FFMYSGNLLTLNSNVSFSFSSIQNSESIYSILCSNGFISDEYNTAKTYPILS ->NP_620441.1 cysteine rich protein [Potato mop-top virus] -MERRFIVYYNCSDCACGRYVSSLLTMSGAYVNVCVCIVFFILVYLSSCYNMRVLGFLRVC -IYLYKLCR ->NP_620352.1 P13 protein [Pseudomonas phage phi6] -MVPLKISTLESQLQPLVKLVATETPGALVAYARGLSSADRSRLYRLLRSLEQAIPKLSSA -VVSATTLAARGL ->NP_620351.1 P3 protein [Pseudomonas phage phi6] -MRYQGINEWLGGAKKLTTANGEIGAIYLSAAPPTDAARADAKAVDFTAGWPSAIVDCADA -TRAKQNYLWVGDNVVHIGAKHVPLLDLWGGTGDAWQQFVGYACPMLDLCRAWGLGYASAS -VTTGSLQGYQPSAFLDVEQQQFAKDNLNLYGDNCLDLATSSSAQRAFLEQCMGCALPEDC -IFGWYVKMDWEGSAVADAYAAIRVQGFATVMAPWQSVGGAGYVYARVPQKGAWMGVNLLA -YVHGTSGQPAYGIPMTLSGFTGNMGQVASKWLMLPLLMIVDPHVVQILAALGVKRGTKSD -PRTTDVYADPKVPASRISGPMINGTVAPPATIPATIPVPLAPLGGAGGPGAQGFQVYPVF -TWGLPEFMTDVTIEGTVTADSNGLHVVDDVRNYVWNGTALAAIEQVNAADGRVTLTDSER -AQLASLTVRTASLRQQLSVGADPLSKTSIWRRAQKADYDLLSQQIIEADTVKNLPAVTFA -QANKAAGGQSETLWHQMYRVNDIAGDQVTAIQITGTMATGIRWSATAGGLVVDADEQDAV -IAISSGKPVKNSSDLPTADAVNYLFGITADDMPGIVSSQKEMNSEFEEGFLQKARLWNPR -KLVENVQNAYFLMVYARDRKQFHSLVASSLAMAKLGVSTRACKESYGC ->NP_620350.1 P6 protein [Pseudomonas phage phi6] -MSIFSSLFKVIKKVISKVVATLKKIFKKIWPLLLIVAIIYFAPYLAGFFTSAGFTGIGGI -FSSIATTITPTLTSFLSTAWSGVGSLASTAWSGFQSLGMGTQLAVVSGAAALIAPEETAQ -LVTEIGTTVGDIAGTIIGGVAKALPGWIWIAAGGLAVWALWPSSDSKE ->NP_620348.1 hypothetical protein phi-6L_4 [Pseudomonas phage phi6] -MFNLKVKDLNGSARGLTQAFAIGELKNQLSVGALQLPLQFTRTFSASMTSELLWEVGKGN -IDPVMYARLFFQYAQAGGALSVDELVNQFTEYHQSTACNPEIWRKLTAYITGSSNRAIKA -DAVGKVPPTAILEQLRTLAPSEHELFHHITTDFVCHVLSPLGFILPDAAYVYRVGRTATY -PNFYALVDCVRASDLRRMLTALSSVDSKMLQATFKAKGALAPALISQHLANAATTAFERS -RGNFDANAVVSSVLTILGRLWSPSTPKELDPSARLRNTNGIDQLRSNLALFIAYQDMVKQ -RGRAEVIFSDEELSSTIIPWFIEAMSEVSPFKLRPINETTSYIGQTSAIDHMGQPSHVVV -YEDWQFAKEITAFTPVKLANNSNQRFLDVEPGISDRMSATLAPIGNTFAVSAFVKNRTAV -YEAVSQRGTVNSNGAEMTLGFPSVVERDYALDRDPMVAIAALRTGIVDESLEARASNDLK -RSMFNYYAAVMHYAVAHNPEVVVSEHQGVAAEQGSLYLVWNVRTELRIPVGYNAIEGGSI -RTPEPLEAIAYNKPIQPSEVLQAKVLDLANHTTSIHIWPWHEASTEFAYEDAYSVTIRNK -RYTAEVKEFELLGLGQRRERVRILKPTVAHAIIQMWYSWFVEDDRTLAAARRTSRDDAEK -LAIDGRRMQNAVTLLRKIEMIGTTGIGASAVHLAQSRIVDQMAGRGLIDDSSDLHVGINR -HRIRIWAGLAVLQMMGLLSRSEAEALTKVLGDSNALGMVVATTDIDPSL ->NP_620347.1 hypothetical protein phi-6L_3 [Pseudomonas phage phi6] -MPIVVTQAHIDRVGIAADLLDASPVSLQVLGRPTAINTVVIKTYIAAVMELASKQGGSLA -GVDIRPSVLLKDTAIFTKPKAKSADVESDVDVLDTGIYSVPGLARKPVTHRWPSEGIYSG -VTALMGATGSGKSITLNEKLRPDVLIRWGEVAEAYDELDTAVHISTLDEMLIVCIGLGAL -GFNVAVDSVRPLLFRLKGAASAGGIVAVFYSLLTDISNLFTQYDCSVVMVVNPMVDAEKI -EYVFGQVMASTVGAILCADGNVSRTMFRTNKGRIFNGAAPLAADTHMPSMDRPTSMKALD -HTSIASVAPLERGSVDTDDRNSAPRRGANFSL ->NP_620343.1 hypothetical protein phi-6S_4 [Pseudomonas phage phi6] -MSKDSAFAVQYSLRALGQKVRADGVVGSETRAALDALPENQKKAIVELQALLPKAQSVGN -NRVRFTTAEVDSAVARISQKIGVPASYYQFLIPIENFVVAGGFETTVSGSFRGLGQFNRQ -TWDRLRRLGRNLPAFEEGSAQLNASLYAIGFLYLENKRAYEASFKGRVFTHEIAYLYHNQ -GAPAAEQYLTSGRLVYPKQSEAAVAAVAAARNQHVKESWA ->NP_620342.1 hypothetical protein phi-6S_3 [Pseudomonas phage phi6] -MPFPLVKQDPTSKAFTEASERSTGTQILDVVKAPIGLFGDDAKHEFVTRQEQAVSVVSWA -VAAGLIGELIGYRGARSGRKAILANIPFLA ->NP_620341.1 hypothetical protein phi-6S_2 [Pseudomonas phage phi6] -MVIGLLKYLTPAVKVQMAARALGLSPAEVAAIDGTLGRVSAMPAVAVVLGGKPLSLATIA -SVVSDANPSATVGALMPAVQGMVSSDEGASALAKTVVGFMESDPNSDVLVQLLHKVSNLP -IVGFGDTQYADPADFLAKGVFPLIRKPEVEVQAAPFTCRQCDHVDHITDVPQTSTFVHKC -TSCGFVQMVHRKDVP ->NP_620340.1 hypothetical protein phi-6S_1 [Pseudomonas phage phi6] -MLLPVVARAAVPAIESAIAATPGLVSRIAAAIGSKVSPSAILAAVKSNPVVAGLTLAQIG -STGYDAYQQLLENHPEVAEMLKDLSFKADEIQPDFIGNLGQYREELELVEDAARFVGGMS -NLIRLRQALELDIKYYGLKMQLNDMGYRS ->NP_620291.1 VP11 [Eyach virus] -MPALAIIGDSLLTASLIDKYVPPSSNAQVFGFVAHTHSVKPLIPQIIGIPEDSLMQWPLF -TEWARKNEIDLTTGETFKEILDIGKRLVSGDVMTFVFRQFRGRTEVGYHGKTENPRDRPS -FFPLLSACAVDSVKDLLYKHFEKWVYFAPKPVVEWVIEQEIDLSTVEFDVRNMLKMLSNN -PIKWERLLDSVRDVAESRLNAGGQEVGEWRAWIIGLLMVFGQSLKVGGLMAVFLEVEALG -ARLLLELLARVKTDPGAVPHLQSVNRELKVGWRVAPMKREGRNYAIRLNARPPQMGGNFT -IGSGAFKA ->NP_620287.1 VP8 [Eyach virus] -MRAERQSTVKLRPSLQAVIRTNNINAFNLHIEGIDCPTPADLSRYCVPNGWFFKVKNQNV -IPVPRNFPAFNYDVDIGQYTVLPFETLEDVAQLYESADGDLIPHFAIFENGMPVVAAISD -RQNNVLTLRFRAGGEHFLTIDDLLAAEPRDLDIYQGVDQMADEAGIRLDDVDQAALAAQA -VADAGGGVQQQQDAMAGVVAQAVRDLPVAHEDGIRLGDVAVVQVQVAPAAPIQVPPAPNV -IAQRQREQALARQVPNLHVLPRPRQELIERLEQIREAERLFINEIVQEVGAIDQQRDVAA -AGMRLDLCRAVHRVDGILRAYQERVDPFRLGLNYRPPILAQEEIRVEENVRRLGGEVGLE -DFEILARPERALLHEEYLGDLIIRETEKLIETGQSFIAHIQRVGYCNHEGWCCLQDVGVQ -VQGVDPESHLPALIVRTNCRGFVLRAPIPIIRVLNQIIHNPSGLDRLEASLNVLMTDVRE -RVSTLQTADNNRRVRVNDPHDLTSMNGMITHVYAMLSRWRDNIARLRASAQHQLIAQELA -RRYAEWRPGQHYDIPGRVLNLLVNRQLRYQTQLEWIYPHMWVADRELEGVWILGGVTPTY -RNLHEWQENIAYIGLVQFIEFWEEFVTWFPHYGVGPINHGVPVFPTVFSPRMLSVAVRLL ->NP_620286.1 VP7 [Eyach virus] -MATPGWETVVPRTSSFDYNIVKLQNTNESNKKSLIIQFQPASYFFGVAWTLNDLIIVRIP -RNTQPWTSFDKLTTIHQLPWKLIDDDIRVDITGPFVDRILMTCNIGTMYYTATFLLSAIP -ENGLTVYGMFNYVSLSNITVTVNGLDTGYVEALIAIEGSAIRHRAGKLVLWSLYNVGTHL -KFGRLIDKVGRDPETGYFKGVVKKKDEIKALNIPQGEAWYYAELWANTPLDTSGTGLSFF -IRVRGVGLRTEGPFQGWKARDVFRPPEVRLESDFSYAVHPEAQEDDSLAIHKAHLLAQSI -IRDLGYIDVPDIDSADETHLPIGSVDSIAALLDLLYRRSEEVTRALNGDYRPRRRRAAVA -PQIIDPAVPSIQTYQNIVRGMLQELSASRKSAADVQEKLISLENKIMTYVSPSVSARFRT -IEDRLGELEKQRGTVSLLEAEFAALKKTHQVLDQTVRDQVQQGSNFTADLANVRSQLQGA -ASEVKSRLGADIVTIFKPIIKLMYQDIGRCMKLGDIDQVRNDMNQTTAKLGDFGRRVTSL -ENRDLPRLFYLADKSEIKAAAGSLDVIASHVYLGWIGQKFLCPAVLGPPFHHDRFIQGVY -YKLVACTIQTVHLDRGTMILLVRDAVPPDNLHHDVESDIILDQCDHVPGMVVLSRDRVSP -VDYIEVYEVASSGVFTISFSHLIAIYIPRCPGLRLLP ->NP_620285.1 VP6 [Eyach virus] -MAARLPIDAFGISVIQQNGLKVYTILPTSMLITLTEQTASSKLYAIPEKHLDSLNERDRG -KIKKVKFMITSKSVDYTNWFTSETDVEIQEYLEDTGLYLALQKAYEKNREKPSVVASPAN -PAPMTARQKDVLGTWSMGSIPNFCESVGLDRQPEGPWRQLVRSAGFLLLSSPGALASTHI -GSVDADLSYFEVRDQRWMKLLDYKEILSRGGDHPKRYQLFPLTGPPSDDTSEEMVALDGS -WVRSEEHDKGLFALPSRVTIINVRQVMESRPDSDMEDEEVTEPWKCQRIVEEVTQQAESL -SLESSGKFEFSQPTLTMPKFVAKSGEATHLGVEESPSREGQRASHKPEERSLEQPVDDAL -LVDDVFNASWVTASTFSPHKASQHKSAIGMSATMTDIDEEDCESIMSEFSNTPYEVRTPP -PSPPPPSVPEPTLYPPSDIPASTPLPQRKPRVKLTEAQIQAQRRSRKLKPYYRALGDCFV -PACDVESYRASVIVQPTPRNVLPFPGTQLTRETVLRTALVRECELVAPHKPPEPEKLLDE -ETFEMCRKRARIMYESVPSHLRDVEVVKNGDQAVRPSVICPRPQISMPDNYSLGNEEERI -KTYVRKRTIMERESGDYAVLRPGYGAEALEHARYVSAAGVPVPGLDGQVLRSRASREMMS -KIDLRLLELMMPVVTKPDEQMDPELVSLYKATVIDILTG ->NP_620284.1 VP5 [Eyach virus] -MFTNKAILAVYQLPEECTLELVVRDASGNIVDSCYAELFQLRGNVKHGDRQAQMINHFNQ -VLDLLRTSVGESIDSMIRYVGEQGTDDTRALVIRAMNDFFPAKVGEAVFQAVQAAMQGPG -MLTALLTKQLEFLGNATLYNTRMLEALVSILDPKKSTTQDVAPIDYINGPVKTPLWDPFI -MQHPDLAPTEKVDIPQMSAPIPGRPQVSGWYPPLAKVGTIMPDGSVLYNSGCDDVASSVV -SEPPHECNPDDGGSVKDSKLELVSASEVPENDDALRLLEELARSQLPSRQQVHSMIVPQR -GAAGKVVVTPDYMKEGSKIDMQPYHSYGGPGEDHYVPMGTMLNYIKHDCGFVIPLEDPRH -SECALWTEMDSLRDEAPRTGPYYRYDLNLDHFRECLARHSHIYADGTRLIGAYVFEFVDG -ERWTLEICSYRDRAFRSALLARANPRLMHALGYTTNFGRFVVAMDPRMNLSDFPVDVMRP -AEGRPLCVEMESRGAGPLMDELDFYFEREWRNIQGRAFQGKVPKSLTRPDDLLEALTALG -KGTAFSLTPQRGSSVPTTMARMLRDRGAHDWAQILEKMAGVLARAAAEDGNPAEAFYREV -STWQPDEKAKVMQLVTWKRLATNFGVKVAMRALGVRNGYRVSRAAIPKGVMALNNCDLFG -GGHVCPECHSVFQTAGERKMCTTLDLMFHQLFLLSTGEVWLGALMIHDPKTRQFKKLKDV -ARGQNGGVSYEEVKKVRQFMVRFPFGRMCPH ->NP_620110.1 protein B2 [Pariacoto virus] -MSNRAELLKSYQKWQDTASDAINRLILDQVEKRKAYRRKLENLGCQLDPLQTERLRQAVA -TVVDLLTADDPSVIEHPEEEEGLTLAQPHL ->NP_620039.1 capsid protein [Olive latent virus 2] -MSSAAQPMSRRARRRAARRALGSQPGTSGGMVIPVSFVVSGATSADFVTYHSLYSRLAAY -NGDLWIRRVAVRVTGSVAKRMGKYAFFEGLAVDKSQILSAAHARPYVYGLPSTLSLPGGR -RQVKDFQSINLFFLLDGTAHAGEFAAGTFYFEFEGSPNVDFPRDSEGSNQAVEKFYLEHI -NA ->NP_620038.1 cell-to-cell movement protein [Olive latent virus 2] -MAGLWRSNSTLDVERGRNRTQTETVQTVPRQAQGILELLSSPAAREALQGSDFARWRGVP -VSPAGGYFELAPLRSVNTFARGLRSVFGGSTSRREAYIPQHGFYRLNYVLVAVVPHVEHS -DPGEVTVQLVENTNPTRAVDGQELTARLADGSFVFAAAPTYDIVLEQAPILVEGESAGVV -QRMFGIRTSVSGSLTTGSVVSVYPIWSAEFPIQGATFNHVAPSIVHIDRFNRSVAFEIDV -LRRRFSLMRPLHLARSRESFQVGRMSLDVARPSVVRPPPVDPQAEGGIHVNSPTSEQSQG -EDRVPRAGLASGTSVGEGANHEFLSGAVKSTAPVRQT ->NP_620036.1 12K protein [Pepper ringspot virus] -MTKCALPECEENTQKNQMTCSMKHANKYNRYLASKFDVKRKCECKNCGWFPAISVQPDYV -EVYFCCGMKHLQKCKTDNPLKEKRLNTPKRLFRDDVDFGLNLLFSEVC ->NP_620041.1 hypothetical protein PeaCVs1gp4 [Peanut clump virus] -MPKSEFFREERKRRVALLGEDAVCKLNGVCGYSCGMPPAVEKVSVPADTEEDVYMLIFPY -EQFCGEKHFKLYESLKDVSDDELKLRRLERQRETLLASFQQKLKRYDEKIALLSEKFKNL -RSKL ->NP_620032.1 third triple gene block protein [Peanut clump virus] -MEAPAITHSSGCVCSDCQWSGSPTVDTKVYLGSGTHANTTKTRETSFLSVLNDNAWLFVI -AALILCLYFIISKPHVDAVYTEFHQDLNGFSMKLAPGVPIDPKVIAAVKNWQKYPFGTDP -RENMVTSIVSGLRHSFCILLLVVVLLVYVCHKP ->NP_620029.1 hypothetical protein PeaCVs2gp2 [Peanut clump virus] -MSDILKVDAPELFLAVTQESKFPGHITVHRLKTFSKSCVLRADFEPNPFGMVFVQGAVVG -SVPLSNHRKLSVEGCPNVIESSDLLKLFEKLRMHREIVNMHGQVMIGLKQALVDASGVVK -AEYIRCSDVFLWSDFGGCLPLRLWSRYVFCTERFVDDPQLILDGREGEEINILRKEALEL -QKQVTEQKAVVAELRLQISKQQGASAGTTSSVETSLRQTVEYWKGETQKFKNAWEMIEKE -RLKLSAEKNRAEYEVNSLTSARNSLQFHLDQLKQQHADTVAQAKRDRESAEKTIDDLNDK -LYHYSDRLPVFRNRVRDIRNKLTDQLYLYNRVDLQARNRGQALNREVRALIDAIERDYPY -IVWGVMP ->NP_619735.1 hypothetical 34K protein [Pea enation mosaic virus 1] -MHGIEQPQLPLDYVHRCASTSFLLASLDGLLSEARELSGPLALITSSYYLLVSIALCWAI -PGSFWYRPGCWLQPVSGRNLIFCGPTEALQRFRLYAARLGLVLSENCPRHGQSAAITLQS -YWALPNNIWMDMAQLDLLTFSMPIANTFAYLADCEARFPPIVEGVGSAYYVPTLLGLTHQ -DPRLYLALRRRNLDLSGEPHRVRPGVLESMALLCSSVRSTSRSRQIPPLYGSVLHHVLGL -AERDCILFDTDSNYSSYTHRVLEQDRNRADQSLFSIDLEYVHDLELIALGYSDEDDEDLD -NFF ->NP_619754.1 hypothetical protein [Oat chlorotic stunt virus] -MRWLSRTVKCWVPLILAPLHRMSPLSVALATELILGCQLSSLGCLQLPLITRSTNFVGSA -SLLSRWFLPIIAEE ->NP_619773.1 coat protein [Pelargonium zonate spot virus] -MPPKRQNTETRKARQNRARRSRQQALAKLAREFSGLSMSVERSPSTSWADITESESRLKL -IPGFTATEVTFDPSLTFGTHTGFATAERSLTVPDALLESPNLRLNRVAVVVLLDPTVPEA -HKFWCALGDRWVAPSVGSFPSNAVRITGREGKGHVIYHYPGKTVEHLAKLRVYLFATDYA -IVGNNSPVATVKIFVEHEKIGQAEYIPL ->NP_619784.1 23.6KD putative nonstructural protein [Nilaparvata lugens reovirus] -MTTRIKLKPSDYVFDINEMVELVSTNFINNKIFQTDETKDTDTKPTDVTKELVEIDKQID -FNEKKVESIIPRNNFAGIAILFIFRCIFACFKYAFNLGYYLGSFVCRRKVIRMSLGFIFG -IFTNILLFMILYGFYLLYVFGYTMYFKGGDEAKVVTKESLDATLETLNSILRYAKMTHDV -GLVDATERAIAEAIYPGINATYLPGL ->NP_619783.1 33KD putative nonstructural protein [Nilaparvata lugens reovirus] -MESIGRFEITPRKKNITSAGTDNDQTRVEVYYDVKENIFSFLHSVLLGDPVNQAFVHADN -KQVNYSIWAERVPITLTHSDPDITFSDIVTRSQKLKKILDLTKGLTPFTTFNVMEGYELI -YNLEGETQLQVVFSMFDQTVINETMALFKAILRESNHFILNNSTEYDSKVELRDLRLIYR -SVVAYYAVNKSPPPSIILMLSQDKNSPTLIRYIAANSAKLTTGTDEFLSTYKSHYEQGCG -MIMLAAYGKYKYINFDGTFLFGKLKTRVNPNRQFTKPSVALAGLSAVDMF ->NP_619782.1 73.5KD protein [Nilaparvata lugens reovirus] -MEHLETITDLIFPIFINRFRQNKYNNRSNTKLLNKPSPVDIKRYYSSYNSQHAVLLRVTY -MPHHERYLQSLNIQDAQKRGYTAKNLDLMWYRLFTGTQRGIYYPPHVFNNITKKYGFGLI -MNDIQTKVDLETKNTHYVVVDFDEAEESDNGIQNPGTIIQFSGNGIPMKYKSSTNKQFLN -NIIKLERKILDESKRVDPLALLNESIQTECAKGNVVKLQKREDISFAFECYEYTGNYVKG -NSFIKMLLNVDFTPIYYQYYLTDTTNEIESYPVYVQSVLNRMKLVRVPKIENEIMNGVMP -TEIAISTCGIVNVRFNGWVFTNLAPRSKFRLYGTEKIKHFIMNYVSSFSEYQMVPYFESG -AEYTMRIGRPIATSFSTFSRERMAENFKDAVRERMPIWVVANKGSGKTVLRKELEEIGFN -VIDSDAYGWFVSKVAYIHKEVNQNTLSTIELSQDHINRLVSEVLEEDRGISYFNVIMYSL -TKQKRDINKVLTFPYVTHRWNDTLTTFGKVFTEIADSPAIGYPRFIEGCMEYFRVRETLH -KPIIFFAHTESELSRISIRHFSCVIYNSIDSRSILSKRADPVVELMLHAYYYMNQVAIDK -IPFSLFRQWLGMSNKVVDESVVAQLIVRE ->NP_619781.1 95.1KD putative nonstructural protein [Nilaparvata lugens reovirus] -MSASSSTASQSDDSSPYDIQAEIDLIDSYQRQRDEAMLNILSKFSESLTDNNLIRMKGFH -DLISPPSASVGTRKDARKRYLKELIMKNETTMVHPLFIELFYQVLDEDDPKFPEVDFVTL -SEDKYDEPIAHMHLVTLYNAIVFFRTVLGFELKLYEFEAIFDYTPTISSWGVILRSVVDI -LLNASEIIFTQMVDASDDNMTQSVTSDVSNENDWYEVSNKPTFSEVTRNGTKSNYTKLSK -SKRNASRSQSHHKRIENPSPSSSSLIDGIDHIFDINLGNPIQFCENTPSIDLAHVVSKDI -PNCDLPALMQRFVFSIKSIQTPSTIRWNCFGSGSSYCLVYDQSLRIYDVYHPFLRMLYDY -DQKFKTIECNITAEGKMNFDKKYNITYPGEIMKRMLFLLADQLIMSAMPDAIGTATIIQY -NFDTRDKHAPFAPLRNNHRMTIYDIFIKTLFMDIWVELQPSPEVVELTNDTDVLLQSPLF -ESTNPFEHINSFQLKEAVGTHKCASCNAVVDNLVSRTTKGSEIYKTGQRIKFYSNTTFSG -SHTFLAHFETPHYVTVKTAKSEIRYCLVELVFGCIGGIHIGFERITVRERALNLPDDKFR -RIYVFTQFLKGGTMLLDNAYIGDAVTCVKPVRLGTPLCDFSTYLKAYNYQAFTTICTNIE -TPLKSKLSSIVQSTDDTSSHFNFILESRNIEMIYPLFSNYNKLKSYFDHVKDRYISSKNA -ISGSGSISGLRPFLSNSVWQKEFDSCKRNQHEGLCDCKLEEFRYSLRKRSKDDVLKDFTQ -IVKHIYVHGVGINHFRSGHFGFSNKNWCKTCHARTITATFKIMCCAAYHN ->NP_619780.1 106.4KD protein [Nilaparvata lugens reovirus] -MAFKFDASNLNKTRQALATMSNPSFQPKGFPSNSTQFNGSNGRKGKFPDAKKTTLSSPPL -FNESQKPKTVSSMITSPIIGSDRIFTSSEFIHPQKFVMTEYVQKSIAKFETHGSIDPFAK -KPDFDDLCLILYTPAASKHELSLANAQLVCSDIDSLNLHSMHVIDGTKLYSMAANVQYLN -AGVIYLPKSHWDAFTSKTHAHVKMEMNFACSPVHLNKLRLAHWMSPFLLTKMSVSFQLQV -EIYDHFEQFVKNTHANVDSPMRVFGSKNGKECGSLEPEEDLDSPLDFSKNNVCLIDVNDD -FTSAERKMHVNLNVFRNAITFVKKEFGRANGGNSLVQKMRASKVFLLYFDLNNTSETRNR -KQRDPSVVNTDTLKAFDGFNTVFVIVDSEGMIASSVSMSSVEAMLMSLKQVSLVDYHLNY -CSDVLMNEGHVYSLMLSCICKTLSFGGRILISSWIHALFEGEKKTATETFEELKKLKDVE -DKKPDAMTARKPTTDENEDENEAAKTAFDKHLDKVEKILSEDSENQDGTQTDTEERLTQT -TAAPNPIETTFPAAQTQPDQTQKTESTDQNDSNVQDSQENQSKDNQTRSHPLPDQDSVDD -STKESDASSSATFDSATEFKEAANASVLVDLQDIFSNASSQTAQSSTTSSTKSTSDQATF -KNTVSKQTDSRSAFPDIQEKQLPAPPNSLDDGISASMGLPPPKIMTVDEVEAALFGTKEN -GSVASPVKNVPIHEKASPKNANGRTLPPVPEEVPIGNVGGPRQLKVSFDDLMDFSQNASA -VQNSCPSNNIPPSPSESSETTKQQSSSCGSSNNPSSSTGYVGDNTKTEPLPTTPSPSDAA -STKSVDNDALSTPPNGNVDDHQAKSNPSSVFDFTASCQSSKSDSGLCTPTASTTSDPNQA -LFYQQFSFIKEYNCTPENLRLLLETVRARLIPMHLVHPEVVPNLDSVCEPRNLSLAFGLS -TLCYDPNPNTGC ->NP_619779.1 130KD protein [Nilaparvata lugens reovirus] -MTEYKPILYPFIGKIDDSRSDLHLTVGDFIDRLKNGNVLRIITKPNEDYIVKYDGIYTYL -FCTIKDLTTYYLNVHNVDILNDPIYQAKEITAPRLDPTGKKMNLYQFKNGVECFVPLKMC -NSDISIRMCFLSTFVRNAILSYLPRSSDKLNEYINLFCFFYNVSLIFSGKLNMTCFNLEK -WTSFRPCHNEPDGLLDPMFNTIRLTSKQFIITKVNSDDRTMIKSSNMEAYHNDIRYGISY -DIWWFHGNVNTQQIKQMWNKSLCNKFAVMHVFHTFNVSHIHVFNNAISLLKYYCYCLYRT -YQAQHRFQNSSGIVNSDRRGFYGLLLNKRAMDYICNTFKFNPQCLFGIPMIFSNIVNVPT -EIFTFMDMMKMIYQRIAIPRFLDLVNDSRPFVYSSMYKLLEKVAGKYNFITTLYSEVFEV -DDPDVTLNNVQDIDVPTVEPITITEYSYTDKITKLFPPAFYNCQMNLDNVRTNYMYDKFH -YDCVIDFDFADSRVVVDTDEKVFSNFRTNYGITKACRDTFEENVKPENIAQKYLNQTFNT -VMSPIDRLFYSGYVYDFVPNYRFDNGVLDVTGSDLLSEKFNQVNNSTFKLYALFWKYAVM -PRQTILTDRVSVGFMGAITEPNVHIFQNVSRNAWRVFGIGADARGRCYRSDINSPLGMDS -VDYVISDMDLAITTGIDVDACTQHAVTLFTNIYHMTSVMGIFKIEYCLSKVIKALCDIPN -CKYRLVKSVGSRPGSIEVFIVFWKKLPKDMVMTMDKARVIMSINSLIGDSWKPLHQVDCL -QHTKIMGGHPDALSCALMAYYADEENIQDLISYVSTFCGFTKIGRFGRDLSNTKSGLVYG -ITSLDRLSLFARDPIFYQYSNLLHSTLKLNGTIKADFIKIEGISPITSFTNAQRYQLSNV -HSDIMDKTDNQFGACIRDIGCRNFHGVIFARRERFEYIGYDTDPRLKNPQRNVQVQVMNV -SYGDVVNMLASPCSVIVYNSLFMTYNSNKKLYDDIKTVIGSVKKDSCLVFSVYVCDEVSP -DAVVAHSIGSKHVTNVDGYNQINLSLGSYAPVATLTPEQYDDLVTRKYDNAPSISQIMVS -KSDLYYGALAYGRFPNGEADYLLPLLNTVQRSLIVSSSNTNVGSVMHSVIIE ->NP_619778.1 major core capsid protein [Nilaparvata lugens reovirus] -MHKQSSSQNIEKTANLNDHDTTKNDDDKIEERNNELVKEGVSSKIDLMTPDKDAKDSNEN -TKAVESRNDVDTIKNTIPNDIIKAQDSLYFIADTVKAAQIENEELAKAAANQALLALVKN -PSTSRSIVTYNGFPSVNTTNSLSSYYVFIDGSADNFSFPSLFTVSSTFNSEYEIAQNVRI -SPVLAATMEDAPIIKNSMEAFKQGIVKSVPIWNNTYSASILDPYEFSDNMLAANLVYVGM -SNNTYLQSVWLYRMFQCLISGLASENLIFKNIKYGILTPIETNNAMSQSLTEHKIVRNGI -PHPTFAGAYSLYTPMVLQMENDLYNNGIMGSAPSFKVSVETVIARLKMDSIAHIVTDQFS -SALTMNSFDRLKNRCLTSATHRNLVASCYPDTYTFDFMPDSIYNVTLSYMPTPKETYDSI -LYILMNNDVKNRFIKVFKDTLRQMNVLTVGNKSLHIMSGITAISSRPDMSATFLSDVTNA -LTSSNSEIFLDFLAAEFYGSAFVDVRFTSAMANNLFLNSLTITEILVLFIPFPKIAWSSQ -VTLGSALYRLLQQYAGQELNKWIGMYGLFTHLDEAGNFVRRDLNEADYNNHLLVDERIYY -SFLVPCANATGRNQCKIIGDIYDLITPRGARIELINKANANLPYFNRSYDFYVSWRPMKQ -YQCNVDSPIAQSIQAIINFQKRVMNHAIKIKEITSQKTTLSWISELLAILSVQGAVIGQD -YHVCLGRMFSILANTGLSLIDTFDENRPYLQTRSIGFGSYRPYEPGATTNQCISTPMSEM -ISDTKISYYLLCKQSTVITDDVYVVGAGPEYNQAIYPTIPIFDGKTIYEFIYSVICESRK -FVEMLYVFSQVNLAGQDPHFQQIRDAIAGTNTAGTSRKLLAMISDIYKYPLVEMCNILLN -GSRVVVADPRLFMVTPYFIDYQRNKVRDQFADKFRTGELMIHVDEWFNKKLDIFSQLFLS -TESPVYSMSTGIAFGYFSVTDYNPTRTLDDVCDLERYTLGQEVFLVNTQPLKEVGHAFKY -RMTILPGVDTDFSLPSQINIKHILVIPDIKLLTMENAVNFIKEAVELDKIVVEFPNLNYR -YQIKNQFSRVNPPYSPSMFENTIADQSSGKHFFEFFDTTSSREFHVMKQTTDYLLLRYIN -CEILHEQILIRDIYGPNSATTRLDYNFDLDSFGFGLDEGTVYPVTNIPKITGRTVNFNNA -IKFVSDNYSTDTPDHNVKPDVIF ->NP_619777.1 136.6KD protein [Nilaparvata lugens reovirus] -MSLETKVNYLLSLVNRLNQHEKWMQQFGFTQQNIQEQTENFSKFIKYEKLKQIIDRKSRI -NNARKTVTTTYNLNIFDELLDDNVGFVNNGISNLISCYGIINNVTNLDGITLNFELNEVR -YCIFKICYANILYKVNKAETGKETLAFRYDRENSEMVFTYSVNEDSSLTEKVKVTHFIED -DGETMEYPLNQFGIVMHTNDSSRLCFKIYYVNIRKDKTVMERVQYISKRGIYFSNLICFE -PNTFLFPSGEIKRLIKSLQVVFLNLAFTSFRRFHHEKTTSMVKQLSLFDVDNANFVSAYS -RLGLSAMLNASAIADSTITKQTRVYQINSKHEYTSAYPHTEKLDGLSIIPKIKSFKQDMP -VLISYVLKEINIGPNEYYQGWTSSDATVSVNVNGYGDTPITRFNILGSEGRRTFPIRITS -GAVNKMTYGELRLVGIPKKVVWTEKLVCGPGNSDGLFCMGRSYAEGFKEPMTHTYLSGIC -NVLKTDSNNKIKEEKNDMSDFGIYGKLTSDIMGELRIYCSDIALAQKPGGTVTFSIQVNG -TSFTYTKPLALVEDTIEDITKVYYSTEQFPLIADRQYDIYYKLGEQKKLSVKYHAKGLNQ -DWIAFKTKNNSNYPDSDSSIPRFLIDNKGGMVKKTNNLLKEYWDMKKNITSVGESGGCLT -FRDTTNFGINYDLTEVDNNNIFAESGPQMVSETWSKTVRQELLWDKPYPNPCSKWFDHDV -NMSKLHIKRLYPTLPITVSNTFNILSSVTDKFVLNTLVTSPIVELVLPEGFSNNINTVSL -MNTSGNLSHFMIESIMYMNEWLSQVTTRVSVVEKALNGLILTLQKQHEKEHNPLPLLSRL -CVTLGEWLSTGSPLLGFTVLLTGIGLDMLNNILYDDYEGAANDFATIVMMVMFQKRKLSK -ELINKISGSNLTLARPVEYIRKAIKRVGFRRHNNQFEYIELDNMSTHSSAGSVAGLRERM -WALSRAHNPEAFDNAFNAGLHIELSSRPISAEVESVSRVKRCAGIDYKCMLNDATKALNE -THTIVLTFFYYLELYVGDKVFVYEFQYQYTIDASIMGKEKRQFSFLKVMSVSDDSNGQWA -EVSMDAFVDMYSKLLDINAHGVAESVHFAYMLISLNNYYASLVPRENGIIRMISSGVSHK -NFSYNKYTNGARKISPLLAADAVRRFPKLKTEGTAVEVASRVLHLSKSYVPDDWMDPYG ->NP_619775.1 major outer capsid protein [Nilaparvata lugens reovirus] -MTMDQKLKISVNPALLTSGPIVRPGDNVISTQKNLLTFKRCFEEMFEYDNIIIIPQNSGD -MNSVTIKIKTEIVEQLLNLFSGRDLTGYEHLSNFVACLKEYMTRISGTSVRNINPALGNS -NSFVALTRKIVGMVDEAYQDVINMEDDLITTKVEADMLLKPACAEGMDSLLEDEYVDLVH -KKCNINTNTIISDLKTKLPTEFGFSPPHDNNRFMSTTGKVLVGGSISQRSILELGKLGEM -YSDTMINSLGSIGLLIAFVPVSAMRDIFISADELEQLYPDKSLIEQGWTAGTTLSKSTIT -NATVQASLKALFSDKEKVKKLVMHNLNEHSAHLIFGASTDDTNKNLAHVLNDDQTAKYTA -LTAAKDDTTLRLGISNASADILNEDWTALAQWKTEFSSVLLTTKQVKGIMDTLKKVWTRV -SDFVVDNKEIVKLGVSAAYSKFGGKLKSKYGVDADHINSFIFEGPTGLVGRNDWPLLAQQ -LSVSVLPGLGEYISKGPLTQVFSSNILHDKYERFLSKCKNQLRTGTQADNTLTRKYTLDR -VVTYDPQIENITSRKTRNIHMI ->NP_619774.1 polypeptide [Nilaparvata lugens reovirus] -MTLRRATNKYNDFSKKFYDTSYDEQQYSILYQPISTHKAPVRLENFSNYYLIRTEQTKII -EEIDPPVFIDEQYGCDHFTQSVDLNQILWEVFMGDGDVAALSLNMLRIYDTYKSKFAIVN -RFGIYEYLPEGHDDDFDDEMLGVMNIFGIIGKALFKDQYITVDRLRITHERFINCWKGRS -FRSVHVNWASNDVLERSNVVTRMTNNVVKQYIAEHLHDLFCWLLEPERSYGGQVMHIQNL -LGYYYISRFGSPSGAYTNKIGGGTTYTLPNTKISCTNVSGYKNNTIGRSWACAELYIALL -RNYDAGHNIASFFLNRELIEKESEQYLPFSSGGSGIKAGTLSRSRSTVGATPDLRKILRP -RDQGRIVKIKTKDGKTIELDETLDMDKLRKLCADPLSDGEEDATNEFSAGYPPKDGVTKQ -NAEPIYEIPKS ->NP_619749.1 8 kDa protein [Potato aucuba mosaic virus] -MYRYLDCLLVIMCVVLAIVLLWPNNYHPCVVNNSGAEIHIHNCAEPNKIISSIQSHLGTG -LSFHLKVLINIVN ->NP_619746.1 8 kDa protein [Potato aucuba mosaic virus] -MTGKSSTRTSGIVTPSREQASQNYFSTNRQKMSLCYGQPLKLDWPSQVLKTTGKSSFSRK -TSVIFYSSITMP ->NP_619722.1 coat protein [Maize chlorotic mottle virus] -MAASSRSTRGRKQRGRSVEAKSRAIRANPPVPRPNPQRNRPPPAGTTCSMSEILLAVSAT -TADQILEIPVCAGIDFPAGTSPRYIGAAKWLAAQSQMWNTIVFNSVRITWETFTADTTSG -YISMAFLSDYMLSIPTGVEDVARIVPSATIALKNRGPSIVMPQNRTVFRCIQAGQFAALG -SAADKQMYSPGRFIVAIPKASATQAVGQIKISYSVSYRGAAILQPALVPGPGLANH ->NP_619720.1 p31 [Maize chlorotic mottle virus] -MSSSQTQSPPTDVARRQQTGTAVRSEDNHRNQLENIAVGKLTKSEGAPAQQNVIIAKEVV -INNHFNFNXAGVCVCRFVLASVVKEFNLGDFDLLDSVHLDEDTGHRCRLSRAIGVLDRSD -TKIPKDRHTQWRQVAGLPEVESSADVAWKQNPELFEPTRLSLDPTRSETVPPLREQPAPC -PKFCLQCQQQLLTRFSRFQCVQGLTSRLERRPDTLGRPNGWQHNHRCGIQLCSTLCASLG -RHSQQTPLADTFPWHSSPITCYQYPLGWRMLPGSCPQLQ ->NP_619717.1 p32 [Maize chlorotic mottle virus] -MPSPCTYGDPTFNSRILEAVVADVLGGTEDDGGPSLEEWFDAQTLSDYTNCATDPPMATV -HTRENDIKSWTELSENFPDLVRYPESLVETLLDTEHECGHFYDAPDSFQISVTAMFTDRC -KCQFCDPNFQARSLSRALLGPLPESGDDAEWMEQAYTPDAELFVNEPTDDPIPTTDCKRP -IQPTWSVDVYSKQVDSDWGLSDSTNVTVCSASSSLLPAGRGGIYFMCPRPEGIERVCLQV -GAKIRSGAPNSGTITNLPGGTGYGTEWSDDGYETQWSDGPYSIPSGLSD ->NP_619677.1 hypothetical protein HCRSVgp6 [Hibiscus chlorotic ringspot virus] -MTQPFNVRLMPIYLGQSNLRTMGGLLFLRVKREQPIDTRVEPVLLLLKLSCQHLRLQLRW -PPRCGLETLEQTLGRLENRSLSSIASLWAISPGRLVVGYWWSRGQSTPATYSASPGFLYL -LPDMRSTACHHYLFDILQLAPQQRRARSSWHLIKMLQMLPLPPRATCITMMEQLESRPGI -VPCCKYLAIMWIGLLMIPVVPTLSLLTLVRWLLPIMANLKGMWM ->NP_619675.1 putative movement protein P9 [Hibiscus chlorotic ringspot virus] -MKLFLGVLSFLLLIKLRLPLTLTFDVDLRTLRENCFIITTLSLFGFFITVLIKAESEHFH -FHTHDSSKTQNIVVNTGK ->NP_619673.1 hypothetical protein HCRSVgp2 [Hibiscus chlorotic ringspot virus] -MLSQLLSKLLLSVRHYVSHLSPQLLKLSRTPVSLHEILASLKSSVCAMSLRLLIPLMRNL -KLVWKIFPVLQAIRKCLKSKGDTGVSLLSMRQSQQSYILGALQPQRARMSLLCGGGLQVI -ARKGGWLIHTLYKRSLWPLLWYLRQGPLKSLSERSSIALFSVCGGRLSLRPLNRLVSHTK -WYLSLIGRGLGSEPGGKWWVSLIFRGTRP ->NP_619655.1 hypothetical protein GVBgp2 [Grapevine virus B] -MSLSSQRNEAGGLSQFLRQVEGLNLDVCLLDRDYGKLSVVEAQQYRILSLLCRINKVSVS -FVVSCLVRRECVGQTYQEGIKCLNLEEVIERLVNRSLPPVFSIRQNFEKGVVSFNYPWYY -SIAREPGSRVQYQFRNYVPGLKERISALPWVALGFKASTANNPANPGTHTYTIECVPQT ->NP_619663.1 hypothetical protein [Grapevine virus A] -MTSRDCTGLSEFLGHGSDSCDSGSGSLGSLSYVQCVNLLSDLKSLGYQSIDSILYILGGG -EAERFEIYRIFRRHGIGIGEALQLGVKKSLCNSPRSLLAILDDLLSRLGRGSAFLPSDLG -AVKGELVATFHSSRLSVDLYVNNKKVVTRSVQAEGDYSYVARRFSGYKGLILRATRY ->NP_619699.1 hypothetical protein LIYVs2gp5 [Lettuce infectious yellows virus] -MNNFPEIFDDESTCDYDKEIDHQELSDTFWCLMDFISSKHGKSVADINSGMNTLINIRKS -LNGSGKVVSITDSYNKTYFHSQRGLTNVDSRINIDILKIDFISIIDDLQIIFRGLIYKDK -GFLDSADLLDLDKKTTTRKFQEYFNILKIKIIEKIGMTKTFHFNIDFRNTISPLDKQRKC -SISSSHKKTNRLNDLNNYITYLNDNIVLTFRWKGVGFGGLSLNDIKI ->NP_619697.1 coat protein [Lettuce infectious yellows virus] -MDTDGDNDVFGSGNDTRNNDDKKKEEMKQNISDNSQIISTRDHEADIIGSISKEDLSKIV -VRVDRHDALSANDVQSFREAMINFMRDKDPNRNQPSDKLIIAMEVGVYQMVINLGTSAKL -GNANNLEFTIAYDQETRTYKVADFVNYMQSRMRNSPNVVRQYARAMEKTINNIRSAGIIN -SNGVLAAKHGVLASYRNSYSDFAVGFGNDTTDAQLTSLMLARKQALCKGEGGSVEHYNTM -QLANLKHPC ->NP_619694.1 hypothetical protein LIYVs1gp2 [Lettuce infectious yellows virus] -MIMMSPLYALTKQCVIDTAYRLAVPTQHCAIYTVACRILFLSVGFMTIVKLCGFKMDTSS -FIASIEKDNLMDCLISLVEMRDRLRLCNDFPILNYGVNILELLIGKRLNKINNLKNCYVI -RELITINISKEWVGKQALKVGLHCFLNLSQAESRHVKYLLSDKESLNKMNFSRYYVPKVV -TDLYLDLIGVLYVNTGYNIDLVEKFIFDKLEFLVYDGEEGFKSPQVEYNDICTVYNLKPI -IKYNRWHTDGSIVIECGDVIGKGINKTKKKICNK ->NP_619550.1 unnamed protein product, partial [Figwort mosaic virus] -MPGLTLQQEYILLAHLILQVLEEVKQVQLHSGDFQSLRSLYARLNGLRSHQAHLQARISA -VSQHGN ->NP_613272.1 hypothetical protein [Cowpea mottle virus] -METHSPSPSSLRKPPHRGVPHYRRIKRSGWTMQTQTPSSPSHEPHGSPQGRSLQQPAPPS -QTGCLTMRFVRTSLLSSMLSYGVLLCQILLSPLLISPGHSTQVTQQLSTGSNPSLQGMIC -TDSFVVRSYTHLGVPLRRRDQWCWLMIRTLVMSILIMSPIFSIWQVLNLVLPIALYRLSP -ISSRLIATFVTTALATPSLWMLGRYLLLAMASKRALHHLHSGKSGSPTLSNSLSRNLIPL -GCSGWGVPPQSVGPAFAR ->NP_613271.1 capsid [Cowpea mottle virus] -MNGNALTITQLAKKAATQGRASLSKNQKKRLDNANTNPIKSVTRTARISTRKVPAATSTT -ITNGVPNYAVRQNKPVIQHVELWGTLMSNTTESPAYITRTLNPSDPATFNWVQPLSTGYD -MYRLVRCEIIYTPRCAVTTTGSVVLAYDPDASDVNPDNVTDLLNMAGAESGSAYSPLSLV -PNIKQIDRYIRDNSTSDPKLVDAGKILVASYGQQTSTAPFALGEIRFAYTLQLIVPQPHS -TGVQRLGSAPPVGRACIRTLTSVVNTTSRLADYTLTLKTAGSYMISAVDASSHTKHKANQ -LWKVSSGRSYWSRATNVDIRSALKSGATITFEAATDSNAEVYVVRDSGINDTIVVPSLHV -PVNPKSL ->NP_613270.1 movement protein [Cowpea mottle virus] -MINHFAAITGVILLLWLIRSHSILTSIYEQNTPRVITYANLLLLLIAYCYFCSTQSVSYV -VSHSEPVVNSKVVYISVGTSPPSLQVSE ->NP_613269.1 movement protein [Cowpea mottle virus] -MDQTTEVRGRSRSRQRSGSSDSRKPKNNKQVQVASEAVQRRNDKPLRGHHGGDFVIVAHT -VTLNINFNI ->NP_613284.1 hypothetical protein, partial [Cowpea mosaic virus] -HERSSTLSDRASAPVQCFLSLKRNQRSLCGHVVRRH ->NP_613256.1 p11K protein [Carnation ringspot virus] -MLSSYLRRREPTRHKSVIRILRNRQSATLVGGGRRVGFSLGVVPKDNLPTWWRHQACCGV -IESRTLVLAVVKFVSVLFFCLYVGSVCVTRTDGSRKPAVK ->NP_612609.1 9K protein [Beet virus Q] -MKAAKAYVDMLRDFQLMFSVAGTVHFVAIYASYSGAQYPCVQEAYAKDKELRKEQLDTKQ -TEKSSTTADVASYALAASSSI ->NP_612620.1 14k protein [Beet necrotic yellow vein virus] -MSMGMVDSLCVFVGRVITEGSESVEGVERFSIKFSDWKLFTTAVYVEYRQLGEKECSLKD -VGRLHFNMSCVKCCQKLKCKKQNKNHSKHVQNGYLRKVRNFSILGVCGDCCESFTLADEK -HHVIVDPEV ->NP_612619.1 15k transport protein [Beet necrotic yellow vein virus] -MVLVVKVDLSNIVLYIVAGCVVVSMLYSPFFSNDVKASSYAGAVFKGSGCIMDRNSFAQF -GSCDIPKHVAESITKVATKEHDADIMVKRGEVTVRVVTLTETLFIILSRLFGLAVFLFMI -CLMSIVWFWCHR ->NP_612614.1 26kDa protein [Beet necrotic yellow vein virus] -MDIDHCMPVFDMAYSDDNHLPYYIQRSTHHVVRDVDYTGFICYPLQVDLNDNVEVGADIY -HMKIKTMRFNVDIYNNDVATKFPGWVRFIVFCTPPVSSWVNDGCSSLFSPFVGVNSFIDP -KLLKRDGHGITVLHDGIYCLCHQEHFTRSFEFNFRGPGNYTLTSDVCWSPATNVDSIYVA -CVASWCGDSAFMLQSDSVSWVHKRFWQRPVLEFGQCLDDLPDHDNDCG ->NP_608313.1 7 kDa protein [Tobacco necrosis virus D] -MKTHQFHLKIESRWKILKMSVVVGKTESIVGIGSRRAAIRKLARLPCVKRVTLSKIWVHQ -CL ->NP_604482.1 gamma B protein [Barley stripe mosaic virus] -MMATFSCVCCGTSTTSTYCGKRCERKHVYSETRNKRLELYKKYLLEPQKCALNGIVGHSC -GMPCSIAEEACDQLPIVSRFCGQKHADLYDSLLKRSEQELLLEFLQKKMQELKLSHIVKM -AKLESEVNAIRKSVASSFEDSVGCDDSSSVSKL ->NP_602315.1 putative coat protein [American plum line pattern virus] -MLKMNAPQNKGKKKQNARTTQFAQRRAAAARGEIETSSRNITARSGGPPVPRSIRTEWEL -VGPSVGARLIQGPHNEVVKGEAVASSTTAGQYFSIQISTYLEKFLEMGVQLNSMTVLCAS -LQGSGIVCLTHKFTTCEAAISTLSGLRFREGRRLGFQVLPPSDTVAGDVASNVRLVFKFD -KAFEANAPLIERKVWVSTSRLPEIMIPANLLVPDED ->NP_602314.1 putative movement protein 3a [American plum line pattern virus] -MAKESSSGFSTRVTSRLDAIAEEREMDEFLDFVTKSPIKQKMCCDIPLKNSEMLSFVNLV -TKESKSFLSLFKKIPVYTNHPLISVIYIPVVLNDAPGVLDVALVNPITRDRREILCNWPL -SKAVLVMTNWTRSIAKGAELNLAYEVSNTQVKLDQQIGRFRILWADVPSSKQIYEKERPP -MIVDLEETGCTMHQYSDQTLLQFVNATMVSGVRKPREDRKLLRLKSLRTGFEIEPAQDDE -ADEGKKGPIIVPSAPEELHRPFVIEGEGVIGGPIMTVIEFEDIAWHLGDQ ->NP_599087.1 polyprotein [Strawberry mottle virus] -MFLFVLYACLILYTLFVGFHFFHPRACAWVKRSVTGREENLDFILKARYINREEFFVCVS -TIIIWIVLRHCWTFLSHVVLVVLPKIFSFVLSSTKMASRDIQEAYHKAAKEFNSGASSIG -MAIEHAKSKNWEVAPDKCEKAIKELLKKDSLYTTHGVKTLLHIKKIPKTVVGHFDTGFVP -AVNTTNSKQPSISPIPLTPYVSKHVSRIEAAKSKFTQGVGGHISGYIPRPPSAIITISVV -SLKSKSLVSQSNAGITLVLGLDGRAQEPQDAILGGHLHFAKDSNLATSLFFPNLSLTSEE -EKLDSCVQVWTSAQGYNLTSGFASSTNISMIGEVSADAVATSMLVPMRQHIEARKFETLC -HGGLPIHPYRATENKIDTVELDWTSTSTSMEFDSSVDGVSLWKPSRTNGTTSLRFTGLKM -NSVKEDEELHFSDIDEGFNPPNPRERTRAYEEGLPEDIAETQDLLDGQERLIGEACVLSR -TFTLPDVVDNCQLIGVINLFEDTDVANSGEPLLANLRTATIFIPRIQVRVRYSLPSAYNC -PVIVTWDEARCIVEVTDTIDLTQLPHIIVTPNKSMQESSMDLSLNGITGTYSLARVISRR -AGNLLVSCTKHDFAEMVGEASVTIEAWLMPGTVIGKGIKRRPAPERPILNLDLVENYHTP -LLEGPTDLGSVILDSNTERYKCFSLFVKPGVGAVTDDRMTTKGSTISSVCSHWAMWSGTA -VVGVSISARSSVAGQMTVYTVPTGTFVHDINRSVCNQWERRIIKFNGSDHHEITSSANSW -LGGCSTMGEAFMGSKDQNGSCMMFVIFIDDPPTSCAGYDSSVQVFFSLKRLENLNLFERI -TPQIYRASQPSKALVQRKPWVERVVKTAPTGAVEQNFGVQPTIFYRMYTIRNIKRDKPVT -WILPFSIAEPLRSNWKNCKVTNYEHLEKGKDEEPLLIIDTTNPYRLLTQGFCYFSGEMQA -SVSVTSTKKKAGSLVVGRFKSPYFTKEIGCGQKDADIFGGGLMETASLLPGNVVYLTQPA -RPFVRSSCRPDVEKSHQATLDVPSYICIIVPANSDITEIEVGFNMNGPMELFGHALPQPV -DLPKSQTHGYLPALTVLDVKPAFPFGWWDMVNERAIKLLSRQMTPSLDVAKLKTSRGPMK -DDIFRMYHVFEMAGIAEKSHFVSFLRPNFTPHQGYAINLQIWVGDSRDKMFEVIWRFDSS -MPQGYITTYVTDGNYEGWNLNTCWKADFDRFKSRERFNLSYTRDKGRIYLFDHNNVIGYI -KDFKPTLHCVFGWEYQLDTNVIRVPNQYQPYSFNPSGGYVRPEMDAMEQKPHLLHIQHTN -FQSNTHLYDRCVNYDHSKGPVRFSDCGGFDPSLINPAVEQSDRGSLQKGEEIDDTVDHGP -TELLLSDVNSGVPQVPLHAKISTGDSSQGESEGPIDYESRREPVERNRVGISNRKKHRRG -RPKPNHPGALGQ ->NP_598374.1 ubiquitin-like protein [Murine osteosarcoma virus] -MQLFVRAQELHTLEVTGQGTVAQIKDHVTSLEGIAPDDQVVLLAGSPQEDEATLGQCGVE -ALTTLEVAGRMLGGKVHGFLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVV -PTLGKKKGPNANF ->NP_598373.1 envelope protein fragment [Moloney murine sarcoma virus] -MGPLIVLLMILLFGPCILNRLVQFVKDRISVVQALALTQQYHQLKPIEYEP ->NP_598370.1 env p15E protein fragment [Abelson murine leukemia virus] -MGPLIVLLMILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPIEYEP ->NP_598369.1 hypothetical protein [Abelson murine leukemia virus] -MEPGGVGSSSCVVHQLPCTFPAQPRRQPPLLTACGWVSCSEDYIWPAWPPGFSLPGASDP -APRSAWSALPCPCRTTSSDGPSCHQGMGAPVLTVPAPLMLTNCPREPVLTVFSLEGSCRF -KGHEVLKPGAQMGGHWKQELGSVVCHLLSVSSAVCPADLGQQA ->NP_597788.1 hypothetical protein CaMVgp1, partial [Cauliflower mosaic virus] -GIRAMNRFKTKTQEGKTSPKYERVLNSKNKRSFKIKHSSLTPVTDRITTVRFQNNIESVY -ANFDSQLKSSYDGRSKKIKTLSLKNLRCYEAFLRKYLLEQ ->NP_597749.1 Charged protein [Tobacco mosaic virus] -MMIRRLLSPNRIRFKYVLQYHYSISVRVLVISVGRPNRVN ->NP_579882.1 p2 [Human immunodeficiency virus 1] -AEAMSQVTNSATIM ->NP_579907.1 gag polyprotein fragment [Spleen focus-forming virus] -MRNPGRKREKERDRRRHREMSKLLAAVVSGQRQDRQGGERRRPQLDHDQCAYCKEKGHWA -KDCPKKPRGPRGPRPQASLLTLDD ->NP_579906.1 gag polyprotein fragment [Spleen focus-forming virus] -MSFIWQSAPDIGRKLERLEDLKSKTLGDLVREAEEIFNK ->NP_569156.1 unknown [Citrus yellow mosaic virus] -MSRQVPGRFREIGSSSSGEERGSASNARRICLPVDQISGPHYPLALLYEGLVSQQNLLMQ -ARQRTNEGLNLRTEVMPIQRRLREYEDCALQECIQSARQLVALHQHKLAYIRSKATRDNA -YADRLPTCNRDHEQLCEVVELLEGISERISDTAV ->NP_569155.1 unknown [Citrus yellow mosaic virus] -MKVIQIVMQSSVFPKEKKLELLKNNVLCSRKNAMEHIGLVKEVDTRISCKSLRRSTIASM -NGRRINPLMIQHMFGVTLVKGKPLRELAYIASYAT ->NP_569154.1 unknown [Citrus yellow mosaic virus] -MDLFLLDSTVTNQLQIQPLLLIISMMRKYPLMKMKSRSVIIPSLYGGKMTRYGIHLVNLR -ANLIFMSVILDLHMLYKILLILLLLDGMTLTMIHPPQVLLIISLLTSPLLPLLMRMMTCP -ISNTLLNNHLFLLLHRISPILFRKVVGNLPTLTPHFNHHSTFNQTTHMVLWQLGVNMML ->NP_569142.1 putative transactivator factor [Tobacco vein clearing virus] -MLLQIIYQDKATQTDPDNTMENLLLAMTTLCKKVESMDEEIQIMRKTASSQQHDSKNAEL -RQSEVSKIPELEGDVEKHLKTHNGLLNTVAGSSTTSSSSARKKEDIKPRYTNINMNNLFS -KPFVQRNTQDTQKEIFLPPQVNTYKESLNQTKKTYNHITRTYIDNIHKIQNFLNKNPRSQ -STQNPNEDYITHHLTGYNKLIALPNTSAKLVATCYNYGLLDTVYTQTGQEIANIPELYKA -FMQYKRITKGTLFYVRFYSATAEILYEEIKPIIQVIKIGLTREMIIPEKIEEQEEIEKVN -IPEFYANKRIIGISTILNELANNYLNQNAIWSYYSREQTMIYSNCRDIREADMEELRQWV -LSLLKPEQPTTTRAIRRNFISPDLLTRYCKLISHKYPDHLCSKCKGEDNIVPDVQLE ->NP_569139.1 putative coat protein [Tobacco vein clearing virus] -MNKEEFAIDEKTYENQDGLKIKIIFSNLGRRYKKIGDQIYLMIEKETARLEDSLTAMTRI -IKENEEIDKKREIEIIRNQANKEIQQLEETKNTKIIALEKELNMLKLLYENKQREKDKEI -ELTDEINKFKQKLQPEENLRIEEIDNNIDDQKSELSEISETYTEILENIEKTKNLEINTG -DVNMDEKPSTSGIKNPKELNPSYYTVSYEQSDRNNTLWNSRLNKKWTPKPIHEQYNFLDL -DCVEDINKTIQLWIGYISKQLIDNKIGITETPGYIERTLIGTVKLWLHNLTKESIDTLRS -NKKFNGDMATTSMEILYKYEIAIRNEFSSMTTETEEQHKEKQINRNLMTKLAICNMCYID -EYTCAFREYYYKGTYNTEEGREIRKLYFTKLPEPFSSKIIKDWNEAGLTDTLGARIKFLQ -QWFVQLCEKYKEEIKMEKILIKNLKCCKNKTAPQFGCTDKYNKKWKTKKYKKHRSKYKYK -KPRKRYYVKNYQAKKPFRPKKKLTECTCYNCGKLGHIAKDCKAPKNPKKKQITEIIIDDE -EYMQMEYIDYELDSEDSIYEISDSDDEIDSEITEEEDEI ->NP_542615.1 hypothetical protein GFkVgp4 [Grapevine fleck virus] -MNRGPPLRSRPPSSPPPASAFPGPSPFPSPSPANSLPSASPPPPTCTPSSPVSRPFASAR -LRTSHPPRCPHRSAPPSAPSPPFTPPHPLPTPTPSSSPRSPWLSLAPLPTSSASLASFPP -PPSSFSSPSSPSTSPLSPSSSSFPSSSSFSFLVPSNS ->NP_542614.1 hypothetical protein GFkVgp3 [Grapevine fleck virus] -MTSRAPSPPTPPCPSPPALKSSPSPVPTATPASPPLKPLSNPLPPPPPTPRPSTSAGPST -PLPPPALRSSPSSALNASRGAPSTSPPPSSSPPSSPASTPPSRTPSPTPTAPASPVASTA -MTPASPSVPPPPSAAPSSSAALSSAPPPSTAPLPRHEPRPPPPLPPPLQPPPGVRVPRSV -AFPLPLARELPPLRLPPAPYLHPLLARLAPLRLRPPPDLPSPPLSPPLSPPLSPISPLHA -PAPPPHPDPVLLPALSLAISRAAPDLLRLLSLLSPPSLFLLFTLLSIHFSPFPIFILLSL -LLLLQFPRT ->NP_524573.1 hypothetical protein phi-8Sp4 [Pseudomonas phage phi8] -MTARSQQMAERIVVREGGYWDDPGAGPTNFGIVQSTLNRLRSRPRWVGLPANVKDLKREQ -AVEIIRVEYVEAQKAHLMLPMIGEVIADTVVMSWDDGVRILQKLLGFEGKAIDGIVGKNT -IGRSDQIPAFLDKTIAGLIVREFLDDRKGKQFVGGWSNRLHKVLEGEYV ->NP_524572.1 membrane protein [Pseudomonas phage phi8] -MNGSIITDLFQAPLVAVGASDATPSKNHVIGGLLWGFLIGWILGVRQGKNNPAGNILGF ->NP_524571.1 hypothetical protein phi-8Sp2 [Pseudomonas phage phi8] -MLKMLLATQGLTTASIMEILTGFVVRRVRLEAQPLVASMMPSVREEVARALNDKAYRQVL -AGAGQVTLRVFNGVGQLETPLVEIIKDIARLSSPTFKSMLEKAERGENLGSMTDELAESI -VAELVALISADATDVTTALSVPGADVERYRLIVDWLRGHIKSIEQKDLFPDIIDFLE ->NP_524570.1 membrane protein [Pseudomonas phage phi8] -MGRIFQLLMRLGVKQGAASVGKAGIDAGSKRLLQQIMSKDGAIQLSKALGFTAVEQMSSE -VLEAYLYEIVEHLLLVDEATLADALMACITDAGDIAIERLLPSVEDVDKGEALAATLTVV -LALFSMNKEQAEELKRSMASKGLSPDRVTLGGQTLLTVKSTGTGLTEYDAQGKNGVPRGM -SANKRTALFFVLYTVISTSWSVYDHYGEVKAGLARGELPPSADRVELRAPGSSVSAIERE -TQRALQEEQPRALPSGSRTAERVAGPTQGDVPVLTPPPGRFTFTGEGDHRPDFAQLARQN -DTDGVVRIIELDRIPDARKILVDGDHDYLLDAAQQRVAADIGVSPESVGRFAALVASIIN -AKEKRS ->NP_524568.1 hypothetical protein phi-8Mp5 [Pseudomonas phage phi8] -MRQLIVDEQQWDRSSSTLMVVKGIRLYLSYDGTAINVDGAIGNGNLTKGELFAGLYPMLA -RFPGARYVTWQRGTRSNRFRLRNLDHQAVKRLRTSAAVERRTDCRYPATKDVT ->NP_524569.1 membrane protein [Pseudomonas phage phi8] -MMLQKMLQLAVARFGTRPDAFDMDALQRAWDEMYPPSSRAMQYATWKPEAIAKRKKAIET -LLAKELATLAFYRNQLADIRRAEGMQVKPISGPRYVF ->NP_524567.1 membrane protein [Pseudomonas phage phi8] -MANDVVVGLLGGMAGFMLGNKKQDDTDLSSLLAQLEMVGTMLDSTTGELTSLRSLNAQLT -SQLKVLESLPSNVAAIEVAAVRDALASSYERIEFLTDYIRTTLSVDLTGTPSYEVPIVNG -LHVDVNRVYVPGKADPWLYAGANGDIRPRGAHIVAGNAKLRYQDSAGNLQEVPLCSALAR -FDMITQNIIAGKRFNLDVWNPEMLNVYANGFTRGDSTYAGGGMQMEDVTVPPTGKVVVNG -WTLIEFDESIDVVALLKTLRIVMNWKYYGTAAANMGQPQPFVVGEAITNADSYVFNNIMI -PVRRNVLLVQRQMNHSGAYNGSGIAMCNAFTAVGDAMLKIRSANLIWLPYGVSSSGYAHS -AGPNSFHQLQLQTPQSGKTFVA ->NP_524566.1 membrane protein [Pseudomonas phage phi8] -MLIKNLAMSMPIIGVVVDTAANSEFKKFMKGTLSFAGFFESVGFKKLITDVVNTAHSTNF -SKRVLKYDAFTLTIDQPYSQNTMFGIAHQATTTPTDLTVTTRKGKKSLVTNVPTLTSGAI -IECPATMVLACLCAREQLVQTKERNALSAAQQSEWASSKQNVENELTRLSQEQAEIILFG -EQAIAVSNGDVYFHQPVSVNWLHFVTQVDFDLAPAAIRAAVTDYRARSVDIYKGITGTTG -TLTEIEAILDSYGVGAHMTSTPWLKLPNRPSFEFELTEDVRSFLVKNGKYALALFTNYMQ -SAYTGMLFDAKNVSGVMYDLAMKLTRLGFPNEFETDSQDASVSYVVARAFADKLATMGSK -KHEILDHFEALMDVRLRRLNFNVTLPQTMTRSDLIFYKKALTALRRTRAKWPTELSSKCL -MKTDANDVRLELTMPSGVVATVRMAESVQYDFNRNIAIQALSAPADPMVDDLMDFMEVVE -SLFLFLMSKDVKRGDILTENTATMINVGGVYRMLSDSLHFAISSPNPTILIPVAV ->NP_524565.1 membrane protein [Pseudomonas phage phi8] -MGSALKSIKRFLAKVLVIIALVLVAIAVIYWNSDSIGFLGKIADSTGIAGIGLLGPGQLL -MIAGLIGVLAFFIDSEAAGEVLSAVSDIAKGIATGVTEVVSGAAKSLLSSPLGLLLGGVA -AFAVYRFVSKDENTITVKGASNAD ->NP_524564.1 membrane protein [Pseudomonas phage phi8] -MGGIAKSLKRVLTIVLVVVAIVFVVMVALAFLAPALFGTLTGATLTATTIGGAISAASTA -VGAMIMSTEALMLMGGLLFSTYMSDKQAAEMQANIAEETEAAKGEVGDHFSQMENDRYNG -YEGPSLGVIYDRDTEKEIEKEVGGSTSESRSSAGLFGLFILGGAVLAIGAS ->NP_524563.1 major structural protein of viral core [Pseudomonas phage phi8] -MSKLDLGRVDLLSMLGNSSSAGVDTAKGVIPFSTSGATWAVPRLSEDGITSHFLRRRGYV -TMTQGGSRDQNAAVRKILSLIIAYDIQTQACFFISNEESMRITMAETMGVKDRPNARTNS -WAEVSDSDINRGIAKALKEGNLTLDENQKDGFMKLVHAFVADILAQSGHYKPVTSVTYFS -APIDMESDYLDPFSIAIIRDVLDDSPFSELRYDARAMSELEDRDVPITRFSRVMAQMGNA -MVRNIMVLNEAAQRKLRGLAVVGEIVHGRVRAPVRYLNDSFIQTLRSNINFHLLTRTTPE -RWAQSWIQAFGSLKGWVDAINGIADATTEEEKKKLAMQTSMDLELLSDLTPLIRDAATSV -EKFVTFAPLSFYQGLGSVTQIRALDSSTNLAAVIVRYAAKEINLIPAYQSFQVPTVDVGV -KKTAIMDQRLSLQLPEFSEDQFFGMLEQRMQNMSDSEVAELVDRIAKGETPFGDVVKQLP -GTSTLLVTNGYYMGGLLTNEDKIIPGDASVPALLYMQAASFASSVRFPPGEYPVFHHESS -NGDVRLTDQVSADAQLSHSAVETANPLNFLVACNVSVHTPSIAIDIIEPMPDLTRRGTTE -YVHKGEIKVAAIPSLPPKSADRKAQVSRETAKFERVLYKARKGGAQVAAPIDLESLFGIA -VNLAVPTVKHVYSPDSKTKLALDIIKGLESEGDKAAATRLLMTLARAYTGTYSSLGLRRR -DEITGIAAQPSDVAMQEFALQSGVQTLKAVAKHTGIMEVATIEMVEEKVRSLDDNRFYEI -AAEVVLRALKGM ->NP_524562.1 NTPase [Pseudomonas phage phi8] -MARKTKVTPDDNSIIDLGPRVQSLMEQLATTKLEEGVKNLDMGSVYEITTVMVLGNSILG -FHKGDLVKMVRPSVSARDLIGVGYATASAAVVRQRLIEHKIEAGAELIISGTAGGKTVLT -NHYAAQMCAKGLKVAVVSMAEAERPLYGSVLHVFAALHLAAVSDVDVLYVDSLRSVYNEL -GGNLKKGGVSRQVDGMLTALDQYARAVNMRVVFTLNPSDDENVDAAVRSVFKTASASMHT -ARRIKSFAVNGTAFTAETEIHLRADRSNSANRVSGDLVSRGVSNANAIGAVFNQFLLSGQ -DGSAFLGPNIPESNYIEGVDK ->NP_524561.1 RNA polymerase [Pseudomonas phage phi8] -MASFVPLVGDPKLEGSYFSWVNNQPSAMDDYSLVKTVAAIRTAFGPSINRAPSFKDVTQL -VVVTRTGVKHYKANDPQYLRFQKELADALQQFEPSKQLTLGVTGLGLTRDFGTMYTHGAV -FMNPTLSPRVDRLGETGGELPSDYMLALSIMSEIYFEEFKPAKVRTNGKSKTGLPNNTKD -AREKKKFFMSLMDHGTGWSSALAKGDYSYSAKYYGSAPITLPTYRDQLEKPGKKRDGYDF -LGNAVVAADKSIPSKYSQGVDGLHALRRRTAYAVSYAAATPMQCFVAGCRHIALERYGET -WHEHDAEDIIRRIYKYGFYELYDASAFDTGFSWKEITTMIDAIPGITDLARDYMRSVHRL -PLLITSDVRGVKGAYLLNMDKYSPGLQSGVPSVSDFGKIRGAAQWSYGLMVLGAIRYQSR -AQLKTEFKTLLKHGRPDFAMQNRGDDTMPLAARQKDLQKWCEIVEGFKFCKWEKDTGKKF -IGRVLYQRTPESKVVAYSDIVTMLEKTFINERSMFSAHRPFAATGNVMRYEMNMAHPAFG -AVMDVVDTIMLKHFGVTYKECFVGAQALEKELNNGVELPDYAGLNQATRDFILDPDVIHY -KWRESDIDPRVLDMVMPTSLEPDLCEEAVHKFGFVK ->NP_524559.1 hypothetical protein phi-8Lp1 [Pseudomonas phage phi8] -MSSPSVAYSTTLDMIRHDLGEKVWSDAFDALHFSITGHYPGDPQTAPIAASHLLRTSISE -VIANGHQTHHIPSFTARDQQGASEL ->NP_395473.1 hypothetical protein [Blueberry red ringspot virus] -MSYQYRRGPYLKGSTKRCLLEDIEPMKEILSTTKKEILEQFPRSEERSKIIGNLQGLIDY -LVKRQKREAENPELTIQEKILQRLNSIEEKLEKSNSFSSIFDDLEETSHQTNQVNIPPAS -EN ->NP_395471.1 hypothetical protein [Blueberry red ringspot virus] -MSNQITLLNNYYLQYYIIGIVNERIRLILVDTGAAMSFIKQSFVHQESLTPIQPYTVKGY -LDHHTIGDKYLVEHSTNINVILSHTFNKTLLLKTTPTKADVLGAEVLFGMDFLDSFESYS -ITKETLILRRRNYYSLPSQKSP ->NP_203548.1 minor glycoprotein [Simian hemorrhagic fever virus] -MDVRGPQVTQACGRLLLLCLLFLTCSANQTHICFRNIQTQVKLHFNTSVLACLYRGNVVI -DQNIEPACSGPTGIQTRQSDSEDTVLPTAIDLDFLALLLHSLKYFPVLFNATSVQFDNET -LCYIANLTYSSNSTSLLGTTVAYWETQPLPVLLLILCLQICLLLPAIPPVPKNSGIKLA ->NP_203547.1 minor glycoprotein [Simian hemorrhagic fever virus] -MLSTSSSSRSLTCSSIWLLSFLLCWLVKCSHSQLNLFSAVPQQLRLRPEALTKMLLRRCH -QSIIPYPNHPLGITTHAMVNALAAFSLQKAEDQAHATLHVKGITSAEYTYNVSCEPSSFT -LDVTGLSKYLTSKNRALERLRHCEDIAPIVGYLLSNRTHSYLTNPWMSVVLKSHRPAVVF -CYYVCCFLLVLQIKHIFAFVTYKLRSSCTSTPQS ->NP_149155.1 polyhedrin [Cypovirus 1] -MADVAGTSNRDFRGREQRLFNSEQYNYNNSLNGEVSVWVYAYYSDGSVLVINKNSQYKVG -ISETFKALKEYREGQRNDSYDEYEVNQSIYYPNGGDAHKFHSNAKPRAIQIIFSPSVNVR -TIKMAKDNSVSVPDDYLQRSHPWEATGIKYRKIKRDGEIVGYSHYFELPHEYNSISLAVS -GVHKNPSSYNVGSAHNVMDVFQSCDLALRFCNRYWAELELVNHYISPNAYPYLDINNHSY -GVALSNHQ ->NP_149154.1 hypothetical protein LdCPV1s9gp1 [Cypovirus 1] -MEAFLLENRKPQITTLASGKTLKPATHRLNLPAYTKLIHELRTKTHAKVAISLSTDSQIH -MVWVKSGLVFFTPSASHPAYVNFASSNRLTDVPALTKTTFPQSDVKLIETTPLPNDETSH -VASFQLVTWMEGALNILNDLSKCAISFINQCEDTFKSGTNLNKELYNRCITAESRDFCNQ -MKFVLIGRLCYGQTTSPPPIQLYQYGVTPFISSDIICEGAAYRPIDVENYAMNSNHTVSY -APFFVPNETKPGSRIDLIMVNHLKKFNLMFDSWYKTGGSVMVSSRPERTQNEASVSQIMP -TSVKHIANEDLTADDGEGSE ->NP_149153.1 hypothetical protein LdCPV1s8gp1 [Cypovirus 1] -MTTKLFKQTIIPTNKDVDEKYIYFIERADHKTIKPLHPSFESWQFAGNQHAHQLEQGYSE -GHRFITNYNATSRLNSAMTLQIMNKPMITIVKKFTTNTPHQVTTIPEGYSFGVVKEKLCT -NEQLLSLLSLTAEPTAESDEEVNDVSRDDEAEKKDVEARMDWSEDIIELPKQQQESVLVV -SKPNMIAEEELMPADIEVVAPRVLEPPTLSPAPIVVAVSSESPQVKEIERPLTNAPKEQR -TSRKSVTRTTKPSFSSLSSPDIVSASVITPVSKAAARPVEEFLSSVFVSLFEAWFVRMLP -LIKLGKPVYIMPGTIKWDKEVQITDTKIRYYNSVSGRLILAEMESITRALQPGELEDRKE -LVRRTLETVCAGNNIYVLTGNDTFGVLEAE ->NP_149152.1 hypothetical protein LdCPV1s7gp1 [Cypovirus 1] -MLQQPAGGYTTLEQFTFTIRNDGTNATPTQFLQLLSYEATENELVKKAIPTPETHLPSAR -NVPGNVYIEDAITQALFGISAQNVNAHGYFSRLSALALPNTSARLGLDGVIYNNETVGIP -FYDPVAVAKFATTYAKLGNASTPRYRADMIDIYAHVGLELAGTDAERAAGVMPVKRAKFD -SWEGSLISLSRDVVYWKNLAFLIDLCSLEGDALNTFKTRNRDAFRMMLFIMSTAVAANVV -NRKVTKRVDRVIEYIGSNSMRTAGRTATITYDLSRHEFAAKFLQLTFTKWNATQATTRSM -PDMRTPRTSVTSAGESALVRHNRYMTESFKGLSPIALAQKKHEMMLHTHEIHSMDIDGSI -KNMVERETVNKMNEIDAMNTMSWKEEIHAVEQTTVHGTHQMSADPEQTQLISQETAVITH -RASSDADENEYGNSVSEMTIGTHSDDIL ->NP_149151.1 hypothetical protein LdCPV1s6gp1 [Cypovirus 1] -MFAIDPLKHSKLYEEYGLYLRPHQINQEIKPTTIKKKELAPTIRSIRYASLLHSMLAKHA -ARHNGTLINPRMYADMITLGNTKVTVTKGTPKAQIDTLKMNGLTVVSKSRRNNKKKPVSD -TTATTDENTNDIVTYKALTEMSTLVESFHLPSGLTLIVFDDEKYQSLIPDYINQLITYTQ -PHIIPTWQGIADFSDPYLRSYFRRPFELTASNLASPQKHNLSPLTRSIFNNTGREDAIIK -KLYGYGEYIFIKYEGCLITWTGVYGAVAMMVNLPKRDLGLDAGDNFLKEYKQLLFYGVIT -DATPSGISAKSTVIKISPHKMMNPSGGALAVLSKFLEAVVSANVINATLVVYAEKGAGKT -SFLSTYAERLSVASGQAVGHLSSDAYGRWLAKNKDVKEPSFEYDYVLSRDTDDTESYYEQ -RASELLTLHGISELAQYELLSVRKKVKMMNEMNEILIAQLENADTHSERNFYYMVSTGKN -TPRTLIVEGHFNAQDATIARTDTTVLLRTINDTTQAMRDRQRSGVVQLFLRDTYYRLLPS -LHTTVYPFEMLESIKRWKWVH ->NP_149150.1 hypothetical protein LdCPV1s5gp1 [Cypovirus 1] -MKQLFAFPLTYQSRKHEKHVAQYAHDLIMRENRLIPMHDLNSAIQLSTDYAKALDQFDLS -MYFRLAYAAPFLSRRALWIASSNEHIKDLDHHLASIRTCAVYIAEQDFKQATRSITKNVY -FTDIAVHNMQFPFEFDNSYTTAYKNALQTLTTIMYSPAETVFLPLKCFPFCNILMRGYSR -ETSLCMSPNALFELNQTVYATDFLSMTAFDFQQAVFRSNYDLLKLCGDVESNPGPTTWSS -LSVEAITLCAPIKIPSDDEVKSLLPTLFSSDKVYNIFKEYDIIDPSDSVQTMTLFNTLRS -KGEAFSKLSEQFSLTDVLNTANRFKVSEKLVMSIAFGMNIVVIDHENNQAYLTRTCNTDQ -TLFLLISKEIGSDSVSVPFVGEDGDHLRYVSRVTLTSEISHALFPGEALKPVDGSTFFES -PTLDVFYEMSYDYGIDELVQLLIPNKYDISMIRSKLDVPRTPWSRFDVLNRKNPTYPPRP -QPYGMGPMNGEQAKEYAKASIRIFNTACPEHKIAIPVIYTDDEIVSSNKSSRYYYPTSHA -GTSIINHKQCVTDNKQLAPLFGSTPKKLFSKYVSQTNGVFLLMRVYVRELDNDVFDSGVI -YVTLHVGNDGIGTHIILTTSYLERIGQSMLVNHVYKIRRADGKYDFQFLEVEGLVSDDEK -HRDMLQEICSENDDVIKALYQQINKTEREISRQIGNVLKEHDSVNGRAIMKRADFRLVMH -ETDEVRSKQISVSVHRFKVLQIPVFTHIVTVKSEIEYGIPLFVRDALIPRIKRRLTSTKQ -EQHLSSDEAYEINASYRTGCKAVMSLLVEGDLVRLPCGMITTVDNPILHKHSCTLGLHAL -TGSATGPKKLRIHSAFKCSKCHKVYNNNILAQRCVRAHLGI ->NP_149144.1 polyhedrin [Cypovirus 14] -MENSARQVAQDTRELHLLQHIKSDQSYIYVFVFLYYRDHSVRVWKLTNPVSISETLDYDD -LINNVNRCKSAREPAIYYREGITGNDAGDSIIDKAYCEPVSFMIVACGDVDIQTIEVNIQ -GYDEIEGVGILDSNVTPPYAITATKFERKTSGGYIFYTYCGLFGHGDRGHPTMAVGVEKK -NRNAFGRMHPVYVAANYKRRNFWAKKDWWFPTEGQMVEQFIKQQSIPYVTADNVMIAPCV -REIRHHAHY ->NP_149145.1 hypothetical protein LdcV14s10gp2 [Cypovirus 14] -MRVVADFAYAWRDHHVVSGNIWDGLLFNELLDHLTFSREPPILFSPEVATFVIRSDVHRM -HASECVAVLLLNSNCHRGMSAVTMSKQSAISVENISTASLSLEFGSSNSVRGSHVAVQDA -NAFNFVISLDVHFNRLDVNITTSYDHEADRFAVRLINDRVASVVASDSFAVVDCGFACRF -ATIHVVDEVVVVKGFRYGHRIGQFPNAHAVVAVVQEDEHVDVGLITLNVLEQV ->NP_149143.1 hypothetical protein LdcV14s9gp1 [Cypovirus 14] -MESYIQAIRNNKKDDGKINDDKLFNLTLPEFDLMKSQLQANQSDCKIGLDFNKQIHMIFT -TKGLLFYTPKEDDASYVIMDEYTDAMDVNQKRQLRLNNAEKRNALRQNDFGSFEATYLLP -FDKGAKNILKELLSGFKRFMTTLNTSFKGYNRIDKDIVKKLRKCGSTNLTRQLSFVASGA -LCYSSYLQTTLNKDGVLPPIELYQHLLDKLPTSVICEGAGYRGTDESEYKTKRVDDMCTF -TQIKLTDGSFESFLTHVKRFDFMSESYAKTGQSVMVTSGTSRKSTFINTCNRATNDELKF -DCFGEELDHMSDSDIVS ->NP_149142.1 hypothetical protein LdcV14s8gp1 [Cypovirus 14] -MSISRSEITDIGGRLLYTVYYTTLDNYKLIKNEFTSNGLVHKAVNSKALTNVRMLIENFT -KKNINIIYIEQGSILASNITTLALTYKMLIVIQSPKEKTIAGAIKWRNAVGSRINGPVHM -ALSDYIALIKAEREKAVQSSTVEPVSVVPVAKLMQEPVHVDVTQAEVHIQNEEVKVDHSV -NEESAPSDSVTEVKQQNVCSTCDKPIAEYACMRDDNDCSCDAMDDALNKSFDTIDSDGAT -LDLPALSMRRVYDSVCIGCADAPLEQLVLHIELSELMTRARLLLSKFMKVYLVPSDLDWN -ELRTITIDGITLQYRTTLKDSNSILCESELISKVFEMYRGSERLFQYKDQLCSMIGMHIS -GDPTIFICSNACGLMLAKM ->NP_149141.1 hypothetical protein LdcV14s7gp1 [Cypovirus 14] -METLQRPDGGFTSRHIEKIRVTNGREKQTLSQYIQKLRFTRAVYNTLPLVPYPTVTEPAN -RGSAQYPQQNILYAFSQALFSVGEYHVTAHQQFAGLQELQKEGTSFRLSTDGWLYPNERP -GQVYYSQADAARFAKFAAPLMGWLTILNIVKITMALVTLFANQFVDQSGDAEVFDLRILE -ILNQKSGLFSLNQDVSLFPWIDIMLDLSYAVDGLGDLTQIVTECLWVASIAITGRTCREI -LTSQVGVEVTYTLRYYGENSMLTSRRHDSPAYDLIQHEFLAKFLAITLQAVKAIKDKTGA -TTLMDYITQPVNRDMRTPTPTLNATSSKYETQDVIMPFAEMYATYANLSHDIMGYEGREI -SRAHASQYLNYYKRLQELHDDMEAKYRTDMTSSNVEFLRKNVDVKMRDLNLYDQAHVKDT -SVQE ->NP_149140.1 hypothetical protein LdcV14s6gp1 [Cypovirus 14] -MLAIDYLQNERLYHTYMLKTKTNENNNAPTPEVKQFLLQSSIAHKLLRAYSNKTKGVIKD -PALLGAIISTGSYDVQVSNKKDRKIVGKAIDGANVKVTVKKGRKKRDVMADMPAQTSLAA -DQEGVLTLEDMNELSEMAKKLRTVYNTSESKSLWYIKDERYSMLIPSYITAIMPFMPRRE -IELSEKGRMVVPLDLKHIFRNIVVVREYNENQLRNNKPCNSLLINASVLSDSVYMQKGYQ -VFTNSVIVYTASWRNREVDFIMFDTRNVVDNIDGSDVEIVWKELIKYARPVANLYETLEP -EMVIKKKGPTIYQPIRGATYAVLLKFREQCLSKTQLKVLMTFIGNKGFGETSFLRDVIAA -LNSKLGDNAAGAIDSDWYGIWEYKRTVEGIELPLEYNVCVEQLESGPSIFEYYAEQILSA -ANIKTSEQYFKTKIQQRETMINECKSKVEQHWLNGKQSPEYQFNVRVTTSKTAPRILILN -QHTVTQDVTAGRSDINLILKPIIDPVSMLLLRDRAMPAELLLYETYGMLTSYVHTSMYAC -ELLRFIEYDW ->NP_149139.1 hypothetical protein LdcV14s5gp1 [Cypovirus 14] -MAYTTQATGIMRQLMTLRLLKKVPDVDAAIIMQRDLPEMPSADQSLKYNRFLQDMSVLGQ -VVTVEAQNSVMPLLRAMGSDLSSSLLSGDITKEQYVLTILSALNLRMQNTKAFHDFQEEL -RGMGMNSMQLQNCGIMTSFFTQPKFRTNYPILPLVRRSDLESAKKFLKVNFKAVPNAGTN -NGVRVVLYPKGETLSDALHTLDDVIACLEGDVENIELRPSWSLVLNMFGQEVVPSKFQYG -GLLDSRSYNALTSVFNVVDDRILSLVSSAINNLLQRDQSTNAIPMPLKVDFDAKVVAPIN -LVGRCREHVNIPSDQYKRGALMLHDLHRTLTAMANDQNEEIPASYESVELYNAGDLMAKN -DRLMRISLGIPDLANALSAYVFDGRKRSVTSSQYVSELVFPLCLRVLIAYTLRVNVMVIY -VGAHTLDGVVVTKTCDTDITLCLVVGPYETASSSKTVHGGTRIENVNAICIPEWLSNGIC -PGMKLKTDKIDSRTYLPLDMVFTDDRFDYRLNGLTSQPKLTHNAFRAMPINDLLFDEVPE -LRDSIKSVEELKRHALLPRPQPRLTNELQKGELEQYACYHRKWLNGTNENVERPIPMKSL -SMNGRANASAPDRVFYCPSDLKAPITGWKNLDGDDMFQHMAASMPQRIACSSGLYGCIDR -YQLNSRAYYVDEETGLPHACDVTIFAMNRNKIVAVVCYRVFSEKIWLSAVPRGVFVVEHR -DEMDIYCRRVEGDLTPWCCIVEREGTEEAVYCFAHHNPRGRGVRIYRMPHSLTDRLALSN -GIPLCVRDSMAPRSGRLVQNKTTHRDILASSLPAVDCCTLDEEYRVVRFNDDGGYKYSRL -GSRIKDDVEVKTENDDVFVTRAGELGLRYAEGFDDIHDMMRSAIKYRPELPMHRLFVVGA -KVFLPCGYVTSVHNPMLHRHKCVRALLGLKSNDAPSCTNCGLAYESRVSAISCCKKDVYR -EVNEHLTAEILGLF ->NP_148780.1 8 kDa protein [Cactus virus X] -MPHTATGRTWRTCSESTQRLRDAPTSPWTPPFFPAGICSSHPCSRNKHTGSWDTRFQPTP -GVKESRRMRSKY ->NP_148779.1 15 kDa protein [Cactus virus X] -MILMLSSSKPGTSCNSATPALTLSPNSMTIPLKTSLTRRNALRNKGTSLRALRLVRPPAP -PHTMTRRPPMKRNQRRSPTSPHPRFSPHPTGWWYALSPLIATARKPGNISSWAISGCATK -LVRGRSLSMPEMER ->NP_127508.1 envelope (E) protein [Equine arteritis virus] -MGLVWSLISNSIQTIIADFAISVIDAALFFLMLLALAVVTVFLFWLIVAIGRSLVARCSR -GARYRPV ->NP_127505.1 hypothetical protein SbCMVgp1 [Soybean chlorotic mottle virus] -MPGDNWTNSIIFRIKTLKDQIIEWSKNPTEENEYFSEKYLEKINTLTKTLDWCEKSNKSE -VELRWLNQALSLPHQVPPPRYSYIRSESSRNNLRNSARNQPQNLVSEQDSDSNREN ->NP_114360.1 putative protein P0 [Beet chlorosis virus] -MNFEICFKTNSELLVTSERHLPLKERSFIIGRFLTQIPQLLHHFKYGHQVEQFLRSILFQ -LPNLIWSSWDHGTILYGDRPFTRAEDLLRFSLTTGYYPTPTNTGLRVGLPSSEKAVRLQL -QRTCNTRLAKRLQRHPEILATTSINGFRKALGYHLRAINRIEYPGKIDVGPHTVMGLCNL -GCQLIHDELLDEQLEPGYNSGLIDGLKRAYGTGSSIILQNITTMPTCVGGKDGDERVHHD -EESLHRET ->NP_112032.1 triple gene block protein 4 [Banana mild mosaic virus] -MSYQSVIPCLLVFITVVLVAMYILDAIDNRSYQNVCYIEVNGNRAFVRGCEINEQLASVI -RELKPVKFTC ->NP_085472.1 coat protein [Acinetobacter phage AP205] -MANKPMQPITSTANKIVWSDPTRLSTTFSASLLRQRVKVGIAELNNVSGQYVSVYKRPAP -KPEGCADACVIMPNENQSIRTVISGSAENLATLKAEWETHKRNVDTLFASGNAGLGFLDP -TAAIVSSDTTA ->NP_085469.1 lysis protein [Acinetobacter phage AP205] -MKKRTKALLPYAVFIILSFQLTLLTALFMYYHYTF ->NP_077082.1 '8 KDa' triple gene block protein [Clover yellow mosaic virus] -MHLAIVGALTLVLTLFVLHYTTKDDRCYILINGHSAFTNCPASPDLAKVISQLKPHNHG ->NP_068731.1 hypothetical protein SbCMVgp8 [Soybean chlorotic mottle virus] -MNSDSVICLASLSNYCQYHILGIINNRIVPILIDTGASWSHISASFLKTHQIKNCEEKSV -RRFDGTTKKLNKKTLIEIDLSGIQNVKLELYVDEEPNKILLGTDFLENFYFKIESDCLFL -NQNQHPRFKLHEDKIFEIIQDLTIPNGI ->NP_068727.1 hypothetical protein SbCMVgp3 [Soybean chlorotic mottle virus] -MTSSAFDRITKQIESLEIRLKETKNFLETLPEEFKSNPYFDKENELGRYPPHNQPNTKVL -DDIECIEQGGPWPHAYHTFNPQLNNISDMLSYIIKNCCCKKHKEHQETSPEYQSIQNRLT -KLLEELSKKEQFDKKSSKICLDLDELLGKLSNQKACLDFKQLSTLLQEGEDKGKTVIPRN -TSPIRFKPPRRW ->NP_068726.1 hypothetical protein SbCMVgp2 [Soybean chlorotic mottle virus] -MSRLEKIYTNKENPLKDFLDEVEQNDEEMRTVDFNPNKPALPYKKKAIVFPKNFPSETHY -NNGVPIGKQLNLGGSVQQVIGGINTILEVLSFLCLRQLPIVASSSHEQLENSKEFKNELQ -NHLTKITEQLEINRKSIIKDQTVKEDLNKKQYETLYALQEKLLNMLAEKQSSNELKNLER -RLDEKIKIIEQQLSEIKLMLS ->NP_068550.1 coat protein [Botrytis virus F] -MTTSRFATFDIESETGLTPGAYPAPLPTLEQQLHDRNAILAAIPSLARAKLDAATLKRRF -ANFLLTLGMVGTTSKGSYEELIIPPVKGMGSSTGFRARELVQIITSSPAPPGFDGNQTLR -QFARPYAPQVQNMIAQGKFKTNLYDKYGKSVGAPPHVCIDFNDAMDLQMFHSTAEFESAH -KVRELAIAEASARENAPRPAANPRAAKPIIGQTAPAFHSGDAAKQSGGQPVNIKPSLAQS -AGFDSHRPPPETPPRAGTPSSQKSGQSGQTTIQPPASHGILSGMLGSHKSTPHSSPQQTP -KK ->NP_068347.1 15 kDa protein [Panicum mosaic virus] -MELPLPEDVASVLYLTPLAAVREVNLWNGAPPPYSMLQLWARVDHAWAKDRVGRSYPTRK -SYFKSTRLLPRTLYRQYQSYRDCQCLQETSPFTREVHHTCVQLDPLSPSTDGEHSPSSGS -PAVLRQHREIWF ->NP_068345.1 p8 [Panicum mosaic virus] -MSTVETPAQDTLATKEPNKTGAKDRQQARSARLSVAAGAGRTALSQRDAQEDRFSLGIVQ -TADKIENTFNFNF ->NP_066391.1 movement protein [Sesbania mosaic virus] -MQAQHTFTIKFLRHACFIGFEDPRVVLDHEEIDIPCEVEFDCNAESVCLVRAHNQPYSEG -EVKNYSVYFNSGLNDYYGPLLPVWLEIVCRVCATSYSFVLAPEDLEDEAGRVSRKYAPCE -NGKVFQRAVRRATGEEYFYSCRKKVCRECIIRAARAMSSS ->NP_066381.1 polyhedrin [Trichoplusia ni cypovirus 15] -MEDYTLREREQEIYNRQVIKRPFDPDSYLTADLHLYLKNGKTLTIHIERNLFFSHEFTWE -EICRGAYREHYLSNSGPGKCDTQEYIDGLVADNGGRSTHNSSYLEPVAFQLTLLGNFDLG -SIHLRIGDYLGFRDGQRFPCKETIHGRRDTIGPFMQGMSGKWAKEDYIHTYSGRFDCKTS -RHPSIFLAFMRANQDGHSSFAPENMRNALLYSGDKSPRYILMDNEHTLINNFIIPRCLPY -RDQYGKDY ->NP_065661.1 hypothetical protein EAVgp8 [Equine arteritis virus] -MASRRSRPQAASFRNGRRRQPTSYNDLLRMFGQMRVRKPPAQPTQAIIAEPGDLRHDLNQ -QERATLSSNVQRFFMIGHGSLTADAGGLTYTVSWVPTKQIQRKVAPPAGP ->NP_065660.1 hypothetical protein EAVgp7 [Equine arteritis virus] -MGAIDSFCGDGILGEYLDYFILSVPLLLLLTRYVASGLVYVLTALFYSFVLAAYIWFVIV -GRAFSTAYAFVLLAAFLLLVMRMIVGMMPRLRSIFNHRQLVVADFVDTPSGPVPIPRSTT -QVVVRGNGYTAVGNKLVDGVKTITSAGRLFSKRTAATAYKLQ ->NP_065659.1 glycoprotein 5 (GP5) [Equine arteritis virus] -MLSMIVLLFLLWGAPSHAYFSYYTAQRFTDFTLCMLTDRGVIANLLRYDEHTALYNCSAS -KTCWYCTFLDEQIITFGTDCDDTYAVPVAEVLEQAHGPYSALFDDMPPFIYYGREFGIVV -LDVFMFYPVLVLFFLSVLPYATLILEMCVSILFIIYGIYSGAYLAMGIFAATLAIHSIVV -LRQLLWLCLAWRYRCTLHASFISAEGKVYPVDPGLPVAAVGNRLLVPGRPTIDYAVAYGS -KVNLVRLGAAEVWEP ->NP_065658.1 glycoprotein 4 (GP4) [Equine arteritis virus] -MKIYGCISGLLLFVGLPCCWCTFYPCHAAEARNFTYISHGLGHVHGHEGCRNFINVTHSA -FLYLNPTTPTAPAITHCLLLVLAAKMEHPNATIWLQLQPFGYHVAGDVIVNLEEDKRHPY -FKLLRAPALPLGFVAIVYVLLRLVRWAQRCYL ->NP_065657.1 glycoprotein 3 (GP3) [Equine arteritis virus] -MGRAYSGPVALLCFFLYFCFICGSVGSNNTTICMHTTSDTSVHLFYAANVTFPSHFQRHF -AAAQDFVVHTGYEYAGVTMLVHLFANLVLTFPSLVNCSRPVNVFANASCVQVVCSHTNST -TGLGQLSFSFVDEDLRLHIRPTLICWFALLLVHFLPMPRCRGS ->NP_065656.1 glycoprotein 2b (GP2b) [Equine arteritis virus] -MQRFSFSCYLHWLLLLCFFSGSLLPSAAAWWRGVHEVRVTDLFKDLQCDNLRAKDAFPSL -GYALSIGQSRLSYMLQDWLLAAHRKEVMPSNIMPMPGLTPDCFDHLESSSYAPFINAYRQ -AILSQYPQELQLEAINCKLLAVVAPALYHNYHLANLTGPATWVVPTVGQLHYYASSSIFA -SSVEVLAAIILLFACIPLVTRVYISFTRLMSPSRRTSSGTLPRRKIL ->NP_065406.1 alpha 3 protein [Bovine ephemeral fever virus] -MEIDGRRFAHLDEGRKTGHNVKGGGKFRLLPMAQHQRELVVSGGHEKTNIN ->NP_065405.1 alpha 2 protein [Bovine ephemeral fever virus] -MFGYMEISVRVEIGKQNSRIHKLELWKLMEEGLHTLMKEEKLDIMLKEEANFGFCRWLNT -RGNWLYLEDMRKPILIEFQNFFNCLNYPSRVYKLTVQNNDYKLGSIRDLRIKLFFF ->NP_065400.1 protein P' [Bovine ephemeral fever virus] -MSLQNYHGMMNLKIVHIKDMLYHLRISAMTLAIKKRMKFRLNRAAWKM ->NP_062431.1 putative nucleic acid-binding protein [Cherry mottle leaf virus] -MSFNPKNNKDDRRIFSLCLSMMRNGIPNGVLAMINMKARCVINSEKVKVNKLCGKSSLSK -GRRAARLAICSFCYRTNCTSGYRCLKSRNGINARFEKAEWVKYGKSSSLFESETPICAPS -LRNHIEDEMNRVRHS ->NP_059943.1 unknown [Cherry necrotic rusty mottle virus] -MMMEPLNLMLQTTRSRRRRRLGQPLHFPGMKRVLQERAILKFSDQEEGELTSIQRIPPQV -LAESLSATFRKRTLQLSTLHLMTQLKQLQPIGLSTSKCLSLKRSIAFSMLSGTVTTTAQV -TKQNSWAEPNVMSNLRNWQALLGATALYVAFVLNTRQ ->NP_059481.1 putative coat protein N-terminal extension, partial [Chinese wheat mosaic virus] -MDKFSRLKDEVSTALSKSTGKVTVEDTGEGRTPVTVVLP ->NP_058537.1 20.6K nonstructural protein [Rice grassy stunt tenuivirus] -MSKSHSDVVGTVSGLNYRLFYDMIPDRISQKLRLREITDPKTCNASKIPLVLKAAEEVSR -MDIDHDKDGYTKVQVKMPEYMKAYLEEMLSASNSTTTGISYSVFLVYMQDKCGDWITEHY -LKNVHSMSKQQLHELITGIIETFSSDDIEDEHYDDLICKIPAYVYNIVLRYIDMSGLTT ->NP_058536.1 nucleocapsid protein [Rice grassy stunt tenuivirus] -MGKVQFGDGHWANNKEWSELLSEIFSKIRASIDGFANATADLAAGLEYQAFNPEKILRKL -IASSTSLDDFVKDMRDLLVARYTRGTSFLFNAKNSIEKAKDKKKAEAIQVLINRYGVKKN -AGDNAVDQATLGRISQVLAYMALRVALQITDYHKPIIPLRPISTVDIKNAIIDVVPQFLY -LKADQLDSKTNSEAALYVIHLCYQVCVSERIMTKAQKDKHSVHTKSAMITHCMGFVNLAM -DNSSVVSDDKIAGRRMISGPWGLQETALDATGCACIIDVVDFCCRGHKVTDAVAPVRLFR -LAIECIKDTADLKDAGVKLKTLVDK ->NP_058535.1 21.6K protein [Rice grassy stunt tenuivirus] -MSGMNSEEYMVLNTMLQTVGCDAHELLKKTPGCEKAVYLFCCKSTMIRELVTVIRSIDEN -TINGQLLYSVCRELLKLMYLEDGSENIAIIKPLLLLLTEKNKIVNKVSTKNCWICSLYKR -ELAEGSPLVVTKSKTEVKHFADSVNVGFVTVQHGSELPDLPMTIYKPVKEQKHQYGFLSD -SELITADYVRV ->NP_058534.1 hypothetical protein RGSVs4gp2 [Rice grassy stunt tenuivirus] -MWNSQYVKTNNNNERESIQCKLNKAKKDKSVFHGIDLDYSLDDGMGYQVVDVSRCLSPWF -GIRVIQELCSMHKLHITRELETLDVNGGVKYMYEYYKNLELVPASKNCGIIGLFKMSEPF -KLFGKNCSVVASRKNKQDNFRKYILWESMNDLIVLSAYRSDLKEFRREALEAALDVLDER -DYEYTPFTVPNYHLSDSGKVDPETKLETKSKMFQMKYEVSRSKYGDGIRGEDMWILRGQA -FAVLVDGDDQFRDPYIIRKNDEENNHDDEVSNDGGQTKLIIYDRLQFTNRNLKLKVVVGN -QFFLLVTISFVDLMNFEMKTMWSVCLHMAVRLIHVPVPRLLDTMNDSMPLNTLPVANNMN -FPIILDLHTVAKSFISMGVDAIYDLNDATVSLILSVVDRYTNEVFYSSSASSTTSDDFNV -GFMDPGEWPQLNEDGSMSDFSEGIDLLGAEVQLYLLIRENLENVEEELRNIDATGQTNVP -YKIRLVTRTFSGYIILKGDEVHRWILMMNNITSRPALHCKGFLTL ->NP_058533.1 hypothetical protein RGSVs4gp1 [Rice grassy stunt tenuivirus] -MANLFFSTPQELRILNYIITRNTVQFNWTIPKNSDNPIIYTTLLQNTKDFYFTELRSKDL -NTEMLGLCIKLIIKVVIKINQGINIPLEDVFKKIYDDRGRNIYLYTNFSDKDLERKVNQY -VHCASLKLPIDFENHPLAPTHVLLWFTLIEYAQRTTRGAFQGVLE ->NP_058532.1 hypothetical protein RGSVs3gp2 [Rice grassy stunt tenuivirus] -MFSLSDFLSEIGNVIREVEDKDNKEYEDQIREIQNLHRDMNHVELKVSENIKKACPELTE -TEANLSSDNTIIDLKDDALTEYKEIVWKIDNDESVEMSKNTIKEMVFKNKDDELIISSNL -DLDQNVCNGNSDCDVSNAKKSENGENFLETHTDMIPPINTSDCNHINEILDNGTYERIAI -FSNPITINLDDGRKLIKVTIADENIKKKVESSVKEITCIPIYKLGNRGDYDSIISNCMSM -DGVIKKLTGTLIIYCSLDDVFSWLILKSVPND ->NP_058531.1 hypothetical protein RGSVs3gp1 [Rice grassy stunt tenuivirus] -MSLSSSSLMDIYGNVRPLNWAADDERYMLSLSGLRRFLEYIPHSDRLTVLNWTRHMAAND -IQIGPLNAFRKKVCDIMYETKDKRINNELMKLYNELWSKTSSIYNITPCTTCEIYKKELS -QRVPESNIRYETQFIDSRVPIYQFLTPNNVSVVLVQHGNDLPDLNFPRYVPLGQPRHKIA -YYSDSRMIGQFLRID ->NP_058530.1 hypothetical protein RGSVs2gp2 [Rice grassy stunt tenuivirus] -MGLLVITFALALLELSETKSLYTQVIYHDEGDRITSSFSCNYYDCNEGISICSRARYTSG -YYLEKTGLNTAGLCRTDTTKCCGKMDKFSDNVILRSTSIRRVDDIFINECNRWILRRHVK -MTIKTTPIDTKDMDSRSLRKGTYDQFILSAESLEVCVHKNGEYDSKIIVKCIDGILTSSE -KNCKLWIDHTEFEFTDCTSRQLPLYTDVVNVAFNDVYRSVKCEATNICSMYDRMDFWLRL -KHWDCNKGFVWFLYSGIALIIITVIFTIIGLIKYYLIIVPTNFVFRFIKKLIPNPFRLLK -RRRSDYNAHELVRVKQDELSNLADENVKDVDSGKGKTLPTRYRMRDGSYLTVGIILVFLP -LVLGSCRDGVDSITSLSTCNSYACRSVTTINLKFRSIGDETCLSFKDANLKIRVLGISMR -CNSRVIYYTRKYKYVITRDDYKCFTGPDCSLNPPKSIWDDEEQYLHHDYCKYENVGVFSC -GVPFIRSSHWQRMKVESGDKLICSAEECQEFQPYIVLVITMGTNQTIAEISSSVYKGNGY -TMTLDSTTPVLLPTKFVRCGSELFKVNFNDLGDLSDDHYGSLQCPDHDSAYELSKKCEIK -YQHIYEHTSNIMVDSGDSKLTGTNTTNNDLEHLEPLFGGYQISTDQMWPITIQIKTLKEL -VQEHESDFVGSISKCSIKGVSRLIGGAIINLYIESERIGWGLLTCEDLSSFRFNVEGLGF -QKFSKHISVYTHTSSDVRVNCNMTTGRGVRRVVCETSLREHTIEVSSDKNNIENGMVQFR -SDQPLVKFLIEFVEDNPKTSIVSASVVIMYVSRILIGKVNNK ->NP_058529.1 hypothetical protein RGSVs2gp1 [Rice grassy stunt tenuivirus] -MSISIPASEYQSSKTYSQLLMTFRDMVSEEQYRVIKERMVENNKHYYALDKFSQGVYDIK -DMQILVADLSWLCAFDKSSDQRTRETLVMISKCVLDIMLSLKPEVSQTKCFVCDKKSTYE -TDNLSVARLDDVSNRFSGGSVHYMVKLKEHDKVGLMSYIHELKKIGGYRGWYRDGGKLRH -EYIVYTDAKPIMREKFAPVC ->NP_058527.1 18.9K protein [Rice grassy stunt tenuivirus] -MGYYHSKTDNPKLITTKIRKYKVFSIPVKTQVIIITGSTLSLDFFTLQTWIHLQEGFILE -MGVRSTNGVLKIVNTICQENGKIERDRWDWYGCADSGLRKVHYDEGIARSERTSIRVDIR -GTLFVLTVDGHILGVYDVNSCINAINIGLEVLPNSDNTLDFDLIYH ->NP_054719.1 Bel2 [Equine foamy virus] -MRNPGLGCLTWTGQLNGMGRFQIQLFRNLTLISVCLGSDHHKGITDYCCGPASCYTIVWE -ISAEVLATGTPYLFRAQPHHRPLQTPAPWSKRLIRTRYIRNSVEDQFVHFATEANYTPLS -AQALSIKAIRWHKGQLACEGTPRIGQAGIPETIYAGIKAYGWFIPGKKERVSWNEDPETK -NIAIPSSTEVQEWFPMEDVDDRAREPLDGSETHQEIAEFACAMLPPGWCIVRPEGRTYIS -TGPDTSDEEEDKALAPKILKTHPSICPKHPRHHKIGGRFVSDLLDSPSSSDDEEEARQNL -ARVLEAALPHPGEDSSDDDPLYLGEPERD ->NP_054718.1 ORF1/Tas/Bel1 [Equine foamy virus] -MASSSWTPSPSPRGQRRITRKELWEVDLSRGDEFFPSSSDCKDTQLRLMLLSVYSLRQTK -NLLTHFEIESRTLDNEYGYLIYTCNYCAKRQIDCNRWHYNHDFKLFEAERNDKGDQRSVS -QCWRHLRYRCPNLPLDVRSSLQLTKWDDLLCKRIASYQMQGSGGKRRATERTISPGHQPI -PNQQPEPQSSLSTDSTLLQDPGEGQSSRDHLDEEPGTGLPNMDWTVEWDGEISDSALQEF -DFDLSLFGQ ->NP_054696.1 hemagglutinin [Tupaia paramyxovirus] -MDYHSHTTQTGSNETLYQDPLQSQSGSRDTLDGPPSTLQHYSNPPPYSEEDQGIDGPQRS -QPLSTPHQYDRYYGVNIQHTRVYNHLGTIYKGLKLAFQILGWVSVIITMIITVTTLKKMS -DGNSQDSAMLKSLDENFDAIQEVANLLDNEVRPKLGVTMTQTTFQLPKELSEIKRYLLRL -ERNCPVCGTEATPQGSKGNASGDTAFCPPCLTRQCSEDSTHDQGPGVEGTSRNHKGKINF -PHILQSDDCGRSDNLIVYSINLVPGLSFIQLPSGTKHCIIDVSYTFSDTLAGYLIVGGVD -GCQLHNKAIIYLSLGYYKTKMIYPPDYIAIATYTYDLVPNLRDCSIAVNQTSLAAICTSK -KTKENQDFSTSGVHPFYIFTLNTDGIFTVTVIEQSQLKLDYQYAALYPATGPGIFIGDHL -VFLMWGGLMTKAEGDAYCQASGCNDAHRTSCNIAQMPSAYGHRQLVNGLLMLPIKELGSH -LIQPSLETISPKINWAGGHGRLYYNWEINTTYIYIEGKTWRSRPNLGIISWSKPLSIRWI -DHSVARRPGARPCDSANDCPEDCLVGGYYDMFPMSSDYKTAITIIPTHHQWPSSPALKLF -NTNREVRVVMILRPPNNVKKTTISCIRIMQTNWCLGFIIFKEGNNAWGQIYSYIYQVEST -CPNTK ->NP_054693.1 nonstructural protein C [Tupaia paramyxovirus] -MPLKFWKRLMPPKKKSSETLTLLSNQEPLSMQDPPLVRSSTRSSIYPPVIKKGEHRAKTK -RNQELAEQLLKELPHETTSIANLVQRNNRDLDYNLEQLVRTLLQMEKEGTHVTESLINTL -METDTLTPKEQALIWPAYNLVRQMMHHAALHHI ->NP_054692.1 nonstructural protein V [Tupaia paramyxovirus] -MNNTEIIENASKVLEAIDAAKEEELRNLNSLVQPRAPLNAGSTPGEIINEIKHLSTRDQE -GGTSSKDEEESGAGRTVAEGAATRDHKYSKSRPKKQPRSGLQSGAAGKNPTPDGEGGDTC -NRELDQHSDGDGHSNTEGASSDLASIQPCQTDDAPCSSTSYLDEEDEPAVRPKTQCKQSG -LIESKEDEDGMLSELHEQHKGRSKRLSALGRVNSSPIPSPRPDELLKKGHRREYSMVWSN -DGVFIESWCNPMCARIRPLPIREICVCGRCPLKCSKCLLDPE ->NP_054691.1 phosphoprotein P [Tupaia paramyxovirus] -MNNTEIIENASKVLEAIDAAKEEELRNLNSLVQPRAPLNAGSTPGEIINEIKHLSTRDQE -GGTSSKDEEESGAGRTVAEGAATRDHKYSKSRPKKQPRSGLQSGAAGKNPTPDGEGGDTC -NRELDQHSDGDGHSNTEGASSDLASIQPCQTDDAPCSSTSYLDEEDEPAVRPKTQCKQSG -LIESKEDEDGMLSELHEQHKGRSKRLSALGRVNSSPIPSPRPDELLKKGIGESIVWSGRM -TESLLSHGVIQCVPGSDRYQSGKSVSVADAHLNARSACWTQNKEPQCHITNSPSDTSTDN -ASRSELRTIEEEDYLQDDDFEQSIEDRDFDPGDWDPSDKHNDNGLLLQILKNQEEILNRL -KTIGSIQESLDSIKRIQSKQGLALSTLEGLLSSVMIAIPGSGNPGSSVEINPDLKPMLGR -NKNRALKEVSDELTPPNQFLQKQGMTIQQAVKPKETMFPPAIKTGESSAKGFHPKENLVS -RTVINSIITARVNNPELAAKLKLAVAKAQTKEELERIHKSIIKNLKN ->NP_038456.1 movement protein (p8) [Japanese iris necrotic ring virus] -MDSASETTTYELDPPGEELPTVKREIQNARGSQATKRAVARDAALSTVRSVASREVVGGV -WVQVGETFTTTNHFHF ->NP_037580.1 ORF1 [Diaporthe ambigua RNA virus 1] -MRFFNELMMTTDIATYYSFASWYGRTFGYGVPFWFIWRDFTLYVGFDVPEELVAYALSLF -SIAWLTTLIYFHLWATAFVAVAWFLGVVMLVRAILRFVEWFTFGLAPFVGGFVSSSTWVV -SCLCRALMYLFFYLPPPLVAGQLLKLTLSLLWSLVWESIWLPVDLWHLGVGSWRLGSVGW -DGSNRVVQLGGFCFRIRFSDFVYPYRVLVLPGFVLGVLHVLPGGFWLCFPSFKFEQLGVL -GSLFIYPLVETKLIFVLGWVDVAFVLPWLAAVLVGVSFSYREVPFSRADLQGYLGLSEPS -QDASVLSELDALLKAKSFADAEGVALVAAVGARGGVPRLRARGKLACRLQALLGGRKGCV -GAFFSGRWVPDLPASDRSPALNAILAVQREQVKVLGVGTFPTKSGVGGDYVLVESRSGDR -RVILPSLLSRLCLYSSLRERDEKLLVGLRSRAVEWCRLEQVPDWVPLLCLSSSVADAAEI -SGPEVEARRRLRSSLGIDTLASF ->NP_050584.1 non-virion protein [Snakehead virus] -MPGNNHNDRPVALIMSRLSRDPRDCIHHTVDTRGMTPGKIIHQVIPPHPTQMRHTNRPSP -PVILNLQILSEGGHVCARDVDTADPVPRAGPERTIQWGTDRDATEELASPAPEEIYEDND -SW ->NP_050581.1 polymerase-associated protein [Snakehead virus] -MAESIEMGEELVSSPSTLLALKGKLENPSPDDATILGILGKKTPTVKMEKGKGKGDPIEA -FLLEFVDERRQVEANKRLRQYIRQLKMSHQEELTAHLERASAENRANLKSMMESQAESNK -TTKTILATLITLRDHVIEEGSKKPRGLDKDQIKLERALGFERGYSSAIAIVNQLKVTEPS -QVCKPSVRAAALSAMEKGEFESSGEVFKAVVKRAKAELTK ->NP_050009.1 putative movement protein p17 [Sugarcane yellow leaf virus] -MSEDALTVVDRLGQWSWSGLPQDLDEYDDVEHVLEETLCEDREEEATGMFSLSRLTISKP -TQPGSSNSDRTYLSTQRSTMAYSKPTMSIKSQVSLFSITHAPPTQLQVQSHLKWIHPAPK -QQQAPRLLASPSRGTPRKSSRPPSSGGKIS ->NP_050005.1 ORF 0 [Sugarcane yellow leaf virus] -MLFNEFSVEGIKFRAHESTSPVFRGEVSRCLTYYRVFACKWVELTYQDPLTRHLVQNYYG -PNTPVYNWLEHIRLIVVTMVPILLLPDTTIGRHIHIKRQYLQSVLLWLARNKLYYRVKRC -RSKRFVLEQDHIGPELFRTQLLQYAGLDVFQDVARLQDFLERGYDTFRSVLGVHLLEHER -FVSRILEQPVMEPDQAVRLCFHYHNALDHDDDDSGLETDFDNLSLGRPYINGLPPNEKII -FIHSNLVGRSTYSHDI ->NP_049549.1 non-virion protein [Viral hemorrhagic septicemia virus Fil3] -MATQPALSTTSFSPLVLREMITHRLKFDPSNYLNCDLDRSDISPVDFFETTLPRILDDLR -ASTRLPHLHVLDMRISLLERTHYMFRNVPSSPATTGRLTDPELVIISHAEVGLLTRGSGL -PS ->NP_049546.1 phosphorylated protein [Viral hemorrhagic septicemia virus Fil3] -MADIEMSESLVLSHGSLADLDKRLDNAPKDNRSALFSSTSGSTRQKSSPKKKPNPTTLEE -IIGHFVPEDLQLDATKAFGQLLRRIKMSHQEELTQHLEKVNGENRAKMGALLESQKENGK -KTDNILSILIAMRGEGAENASKKPKVLDGDQVRNERALGFNRGLTTAAIAMKKFKLEDPL -ALCKGSVKRAALSAMEKEEYDGERETYSTVSKAIKAELDKLE ->NP_049330.1 URF [Black beetle virus] -MHLCGRGLNPLLNPPLLWPATFPGLSVSRPVVLVVYPHSLKVSGFRSRMPTRSGKNPNDR -TFGHNKPNLVDD ->NP_049328.1 hypothetical protein Pebvs1gp4 [Pea early-browning virus] -MKCAVSTCEVEAQSNKFTCSMKCANKYNRHLAEKYSIKRKCECVNCGWYPAIEVRADFIE -VYFCCGMKHLSKVISSNPKRKERLNSPKRLFRDDIDFGLTGLFNESC ->NP_049236.1 hypothetical protein HaSVsRNA-2gp1 [Helicoverpa armigera stunt virus] -MSEHTIAHSITLPPGYTLALIPPEPEAGWEMLEWRHSDLTTVAEPVTFGSAPTPSPSMVE -ETNGVGPEGKFLPLTISPLLHKTSRKALTPTPSLSPLTSLACPNSGIGPRERSTSTPIPS -AGTSSTLTQRVLQSLRAPSASTRRSLTASSSSPSTQR ->NP_047284.1 8.4 kDa protein [Grapevine rupestris stem pitting-associated virus] -MYCLFGILVLVGIVIAIQILAHVDSSSGNHQGCFIRATGESILIENCGPSEALASTVKEV -LGGLKALGVSRAVEEIDYHC ->NP_047256.1 Env polyprotein [Feline leukemia virus] -MESPTHPKPSKDKTLSWNLAFLVGILFTIDIGMANPSPHQIYNVTWVITNMQTNTQANAT -SMLGTLTDAYPTLHVDLCDLVGDTWEPIVLDPTNVKHGARYSSSKYGCKTTDRKKQQQTY -PFYVCPGHAPSLGPKGTHCGGAQDGFCAAWGCETTGEAWWKPSSSWDYITVKRGSSQDNS -CEGKCNPLILQFTQKGRQASWDGPKIWGLRLYRTGYDPIALFTVSRQVSAITPPQAMGPN -LVLPDQKPPSRQSQTGSKVATQRLQTTESAPRSVAPTTVGPKRIGTGDRLINLVQGTYLA -LNATDPNKTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPPSCLSTPQHKLTISEVSGQGL -CIGTVPKTHQALCNETQQGHTGAHYLAAPNGAYWACNTGLTPCISMAVLNWTSDFCVLIE -LWPRVTYHQPEYVYTHFAKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLETAQ -FRQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCF -YADHTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLI -LLFGPCILNRLVQFVKDRISVVQALILTQQYQQIKQYDPDRP ->NP_056763.1 hypothetical protein [Rice tungro bacilliform virus] -MNIEYPYSIHIIDKNKVPIYDQGNLFHTEKSSRLSHVSRGLLDHLFTFSSDNTERVRKLH -ILADYLYLLESERESYKNEWISLKDQVSLLQKQNSELRARIATNKEIIEGLREPVKKPIY -TTQDKERLRVFFCEERSMEYIYYHIKRLAQQSYYSHLNNLQKDCEPFRGVYMSFLTNVKF -LVLCEAGYWTVPDIETNTTESILSLSQKKGEDLLQKGVVIFNELEGGYQLSPRFIGDLYA -HGFIKQINFTTKVPEGLPPIIAEKLQDYKFPGSNTVLIEREIPRWNFNEMKRETQMRTNL -YIFKNYRCFYGYSPLRPYEPITPEEFGFDYYSWENMVDEDEGEVVYISKYTKIIKVTKEH -AWAWPEHDGDTMSCTTSIEDEWIHRMDNA ->NP_056761.1 hypothetical protein [Rice tungro bacilliform virus] -MSADYPTFKEALEKFKNLESDTAGKDKFNWVFTLENIKSAADVNLASKGLVQLYALQEID -KKINNLTTQVSKLPTTSGSSSAGAIVPAGSNTQGQYKAPPKKGIKRKYPA ->NP_056760.1 hypothetical protein [Rice tungro bacilliform virus] -VPKRDLISQNIESRYEKLEFLDLAVWGKEKKQKYLLSTDNISFYCYFDTSKTSESERKHT -FHSDNKQLNSIVDLIIKHSEKTKNIKEELEKYSQFLDKILDLKPTKKQVEKLLENQNLIS -KNFDYIKEQNTQLEKSLRKTVKLEDSINTLLVEIQQARPKEVELRTLKIAEQNSKAIEKF -EQEIKDLREILEFLKHQAT ->NP_045941.1 hypothetical protein WDSVgp7 [Walleye dermal sarcoma virus] -MFSDSDSSDEELSRIITDIDESPQDIQQSLHLRAGVSPEARVPPGGLTQEEWTIDYFSTY -HTPLLNPGIPHELTQRCTDYTASILRRASAKMIQWDYVFYLLPRVWIMFPFIAREGLSHL -THLLTLTTSVLSATSLVFGWDLTVIELCNEMNIQGVYLPEVIEWLAQFSFLFTHVTLIVV -SDGMMDLLLMFPMDIEEQPLAINIALHALQTSYTIMTPILFASPLLRIISCVLYACGHCP -SARMLYAYTIMNRYTGESIAEMHTGFRCFRDQMIAYDMEFTNFLRDLTEEETPVLEITEP -EPSPTE ->NP_045940.1 hypothetical protein WDSVgp6 [Walleye dermal sarcoma virus] -MDIPVEFLTAQEPLSYGHIPPVYWKELLNWIDRILTHNQATPNTWEATHMVLLKLHGTLS -FSNPAQLPLVAAACLQIAAKHTEAHSRLADPDYITMLGDGVYTKPSLLLTETMALFIVGG -HVGAYTLAACDWLLGSLPFSQAENDLLHPYMYHYIKLSYRHRTPDYHSSPALRAAVVIAA -AVKGADLLEMNMLFIMMYHLTHISTASLSLGLTHFTAALQRQINLDFAEAEQREAAERRA -LLEREREQQLQEARERLDDVMAVLEAEVAITITTATEGTDAEDTSEVDVINVVDPIG ->NP_045939.1 gPr env [Walleye dermal sarcoma virus] -MDTPGSLQVIAIISLLLVGGASQPATFLEKALPTDGPSLETIEHKTEMVNTTRSEEQSPV -RPSKTRQQLIDETPEICANAWVIRLITEFPTELGNMSQKQKTIAIQVHNTTMTMEETVFS -LVSHVNKKNYEIHNVSGICTKYQLVPGNFTCSTRKCISQTKEKRIISTTVKDTYEVYLPF -AWSQKPTGGDKYPEPQIGYNTGTGRLNQWNKDEFVIKQCRKKRGKRQITVPNSTLSPTGT -TDFTKFTPNPISPNSTALNELEQKTTPIGTEQPFNNEKWQNLIFGNIVTKMDPQCEAELF -QQFNISDKTVQVEFKVTSLPGQNISCQAIYNTEHGINIENKNCVISLIKENRKIKAHAYI -TRTGSYEWYAQQVTSKGIIQEVRNLVTIVECECPIVKPLPQGGIIPLTMPMRVLTNPSPI -LIHSALKFDLSKFGLSPCSFSPMEWQTYITKPLKRAMHGFEVHQRKKRDLGIGLHSTLNS -WWNGANSLGLTVESADRQKYDQKILKVLQNLAVQQRTDVKNQQTLGKALETPIYTITLQL -ADSLTAAILKHEQQQNVGITCKDIAILTVTQIATYLRDIQHEHLPVWFIEQITNQILLPV -GQVIMPEITAPPILNPLIGWNQSVLVIGLTHQLTITTVQQPLYKAANMGNFQDWTPFPPF -ILANKTHGFSIDCPIMRNSFLCHTLPTPVKLSEWERSTSTIYQTSPQVWITPEGKACLNH -RNITVQDRTCLINKPGCFIPKHPWSAGKQTIVPTQYIQQNFVPDTIDTEDNQTRVLQKEM -IEAISKAKRDYGVLKQGQIALIRHHEAITTILGQEATYSIKETQALISSIEQEAWYNNLF -SWYDGSVWSQLQLIIVVITCTIPLLWVLNTCLFFKLRRAIRRERDNNIVVEYQAQTRGRR -THMTEPITKKQRAKLLRHAKTNRRLPRSLRATPAVSAFEMVTFDPQEETVEINRIDPSHE -NNDHGGPMNMAPIISADSYALPTPYITIMLDRELLNQGMRKVITLLNDPAREVFNKAYNL -VTTNHFTLAYGCDESAGWVNQHAEYMGKPVIVTLAGLVITPVGLAWIPLPQQEPLEKLFM -VPNSMPHVTVAMADYHETKEMGKIVKDINNEELLLVKPQLFKWDRRVFCSLSPCYKRSCH -WTQFAAYRMPCYGSTGRRDLTQSASTLCIISLFILFKVILFQFMLIPMIYQHLLLLLVLY -FFYFLSLYFIFYFFLIFLTYVYTNV ->NP_045936.1 hypothetical protein WDSVgp3 [Walleye dermal sarcoma virus] -MAWYHQHRWHLDYSIPRQNLQAFLTTITFIDPQFKIQENGLTEGEYKTQIVKQIIPQLCR -IPNQNSPPPIWVQGPRIKGDPTWLKINAKFITELIPKQKGTKNISTKTYLSRLFVIWLQN ->NP_045009.1 hypothetical protein [Little cherry virus 1] -MFKFCALKNFLSKRKKLKMANSTFFSNSMDTVVNDILAFLTVLVNSINRNTFDKMFCIYS -DIENLKFSLNYLSTLEDKPNIFYSNSSTLSWDDGNMNSNYEHDADGHLGIPLNYEAFICL -FKDILNLFRLKHLIQTRNLIATEFVNNQLLKGKTNMLDNINKMLRKEGGIEFNYVHASAF -VKLVANPLISKKLKESTGWEDGMDLLSDVMEILHQNVVIEFTASEKDDMHIMSTILHIS ->NP_045008.1 hypothetical protein [Little cherry virus 1] -MLPQVLECKRFFEARRDETLETIGSRSKMFSSEILEIDEDTVNEFKELFLMRIPLKSLVQ -YCDDFILVFNILKNFFDKTLINEKDIGEMFDKFGTNVKLKMLSEELTEIILRNKQPSNNL -KFSLKVKSECLKGFTTTLSSMFMYNRGRRNAYAKAITLNLQLSIEHCGISNGELAYNLVV -G ->NP_045003.1 hypothetical protein [Little cherry virus 1] -MVVLVWVCLISVVCFFVSPSNIGLEKFSRFGFSNVC ->NP_044931.1 Borf-1 [Bovine foamy virus] -MASGGTPEKARVACRRVDLSSFLAQPDDYPTAADSKEDLILKLACTTLFSEKHAHEIYEN -YKLHLKRDELRGGKEWVIIYSCKHCYTVFMDNSRLTLGPSGLFKVIRNKKGPYMLCQMLT -RHLTDRCDPRTKPFQSSSSLHPNLVTENPRGTGGGTPGQHTLGGDQDMRVDTSGIKPLSS -LCQCARDDPGRSDNPLEMAEPVQPWWTDSSLEPEITTWVLGDPDATARFWTGDDKGPQEW -DFDDDLLGP ->NP_044735.1 movement protein [Galinsoga mosaic virus] -MKYCRCSDTAPTDHITLLFVIFILSGLILSLCTNITSNNYENHTTENKTQWITIGGQQ ->NP_056911.1 hypothetical protein STLV2gp08 [Simian T-lymphotropic virus 2] -MAPSGDHPVHPLRPTLILRVAPVLSFSGLLQNTIHQSPPPQDPPMAAF ->NP_054028.1 hypothetical protein CymMVgp4 [Cymbidium mosaic virus] -MLGTRNILTTSGLPLPPPSSSLSAYIFPTILAIIFAVFALVAIHITTPEPFCTIHIDGAS -ITITNCPDPAAILNKVAIGPWRGLSYHNNLK ->NP_044402.1 preprotoxin [Saccharomyces cerevisiae killer virus M1] -MTKPTQVLVRSVSILFFITLLHLVVALNDVAGPAETAPVSLLPREAPWYDKIWEVKDWLL -QRATDGNWGKSITWGSFVASDAGVVIFGINVCKNCVGERKDDISTDCGKQTLALLVSIFV -AVTSGHHLIWGGNRPVSQSDPNGATVARRDISTVADGDIPLDFSALNDILNEHGISILPA -NASQYVKRSDTAEHTTSFVVTNNYTSLHTDLIHHGNGTYTTFTTPHIPAVAKRYVYPMCE -HGIKASYCMALNDAMVSANGNLYGLAEKLFSEDEGQWETNYYKLYWSTGQWIMSMKFIEE -SIDNANNDFEGCDTGH ->NP_044389.1 unknown2 [Saguaro cactus virus] -MGRIRAWLLLLLLVQLGQCVFLIGVGLRSPRNRSAPLDLCWGCRISLMLSCLYDLVLLLV -SPGPLGRVPGLRGNP ->NP_044385.1 unknown1 [Saguaro cactus virus] -MFLCPRYNLSTLSIRXYSKATVSSNNYYHGSCAIMVLDLPMTGLSGIRLTAVE ->NP_056829.1 hypothetical protein TNVAgp5 [Tobacco necrosis virus A] -MPKVESHHWRRRRILGNRLDGRGVVPPTTHHSGYQWYTTMAGSAKVLCTKNPWKRGGGG ->NP_044333.1 triple gene block protein 3 [Papaya mosaic virus] -MFSGKEITLFALSTLIALIVLNYMSATPNPVCLIELTGHSAVLRGNNCESLTSGVIEALS -AHLHGLRN ->NP_044327.1 Overlapping protein/movement protein [Kennedya yellow mosaic virus] -MSNGFPTSSRCSSVDFTQGPLLAPSPRVGSRLLNGLPPNLPLDGPTRPPTLPSQIGNPDQ -LLRKFPPPPPSAQDAGNPPTLHPLDASLHPAFICPLHEASKIHEAPKEEQVLPTSPQLPP -DSHRFSAFSFNLSPPPKHPFRVHARCSDVLPTRTDPSSVSPSSAVDQPLLLSCDPTRIPL -HPPLPHARPLHLHPERSNPPLHPRGTLRRFIQPAHNSPLLAENQLHPLPKSQPLHHNSGI -LGTSPFHTDTKRSPPPRSEAASSQSSSILPLPRPGNRPGVLPGPKVRGAPSSNLPLPTPQ -APPRARERLQRSLHLHASRQNAPRLRPRRLRSDPIQQTRTQVGHPQCVGQPTNLRAAQLP -SPTKRGVPRSPQPTPENEAVLLTALAPPRRHSRSWPLLPFPPSSLPEVVFASSKGKVHLR -LSTQPPSSPQTSSSPPPPSPRTDASGIQTPLASPPSKRKEKSLPHPSHQPPSHSKRNLRR -HSALPLLLPIHPTKTTQPHPAVPQPTAGPTPHPPPTKKIPLHPPKSQERHPSPPPDVFHD -CQPSSPTSHVVGYRRLLGSGISLPFKLAFWRRRSPNPARHLPPPPPPRKLHSELDSNSLS -RSSSFSLSPLCSLPPSPSPSEQLPTVSAPPTPSPIPATPLPGSRHPSPIRPTNPRRTTPC -SSNHRTQTREFQPKQPQPKLLGRFKPPTEEFLQRQSPRSQQTYAYLLKYYTPQPQPPSPI -RIDSLTLSERWAAELFRSPSPSRSYQHHPFSSP ->NP_044295.1 hypothetical protein SbCMVgp5 [Soybean chlorotic mottle virus] -MDVGNQILEPKNLKRNSGKLRNILKRISGNGIIKERKRRLGKNAPLENSKLVQQVKRNVN -VGYATKKDTMRMSAQKRTTKRLKP ->NP_054008.1 unknown [Carrot mottle mimic virus] -MNLQIQPIGDGNNSSRNSRRPNQPSPRRRDPRQASGSWLWCPNTSPRQQYPYMYATIPPR -APTNGPGFLYPEMAHEAQWGSPVYREASSGIPATRARRNRGRGSVVAPRYGPVGPGTGRP -QSVTEPQRGTAAGRFLSELLYSFERFGRECPAMFLPSDPASRHPPEDWLQRLLPALNVEP -SHRREGPTLPSNRAGVCAHSKALDKELVADTGTTAAVSERRADEPTRKEPEGQPITEAPS -TGLCSKPHTRGEQCSDPTLHF ->NP_043931.1 hypothetical protein [Strawberry vein banding virus] -MGSVTQKTERIYDEMLKMEERFSRLEQEQQDHFSEKIDQASAILLAEIRKIQSKLETCDC -NKEILDALKAQDRTKNKGSGPSNLDALDLAHKDNSPKRNPIRGTENWHPQDLKLTKW ->NP_043930.1 hypothetical protein [Strawberry vein banding virus] -MSFRREPHIYYKKQYLTLNTQNVERGEPEYLYVGGRGIEGCLKHLNNINVVCGNIHMMNY -YICKTLGIKTSIYRNTPEDNSNPGLLSLLGKPQESSFQNPLEKKIDNLSDKIRDLGSNTT -GIETEKKLADLSNKISELERKISSLNTDDIMNLLKKLDDKC ->NP_043928.1 hypothetical protein SnRVgp6 [Snakehead retrovirus] -MATSFKEPPGCGSDEDKDNDTPSRVPKPEEVISLYNPELFAWGGTLGDYVWCKTTTIRWG -PIVMKYRVCGHLESDYTTSQYYRESVNQTWIVGHSGREVTHTCDHYCRCLNPPRFCWCRK -HKRWHPFISPLGLVHWSWMEKFVQPVIRLEGWKELKEELLTHPDKIKIWVGRVHGRISPD -LSEHELMVNMCAQGTLKPTLLPALW ->NP_043927.1 hypothetical protein SnRVgp1 [Snakehead retrovirus] -MWDLWDLVPMDTEQALGGSWKSRKPTRRGLYRETGERHDGQIFQPIRNRSNQVHRPQPPR -PTAPNPDNQRPIREPRPGEPEHGDFLQGASWMWQ ->NP_043926.1 hypothetical protein SnRVgp1 [Snakehead retrovirus] -MGVGRSAEGPCQKLQVDHVGPLGPGTHGYRTSVGRILEIPETNQTRTVQRDW ->NP_043922.1 hypothetical protein SnRVgp1 [Snakehead retrovirus] -MKLVLLFSLSVLLGETGERHDGQIFQPIRNRSNQVHRPQPPRPTAPNPDNQRPIREPRPG -EPEHGDFLQGASWMWQ ->NP_043923.1 envelope protein [Snakehead retrovirus] -MKLVLLFSLSVLLGTSVGRILEIPETNQTRTVQVRKGQLVQLTCPQLPPPQGTGVLIWGR -NKRTGGGALDFNGVLTVPVGDNENTYQCMWCQNTTSKNAPRQKRSLRNQPTEWHLHMCGP -PGDYICMWTNKKPVCTTYHEGQDTYSLGTHRKVLPKVTEACAVGQPPQIPGTYVASSKGW -TMFNKFEVHSYPANVTQIKTNRTLHDVTLWWCHDNSIWRCTQMGFIHPHQGRRIQLGDGT -RFRDGLYVIVSNHGDHHTVQHYMLGSGYTVPVSTATRVQMQKIGPGEWKIATSMVGLCLD -EWEIECTGFCSGPPPCSLSITQQQDTVGGSYDSWNGCFVKSIHTPVMALNLWWRRSCKGL -PEATGMVKIYYPDQFEIAPWMRPQPRQPKLILPFTVAPKYRRQRRGLNPSTTPDYYTNED -YSGSGGWEINDEWEYIPPTVKPTTPSVEFIQKVTTPRQDKLTTVLSRNKRGVNIASSGNS -WKAEIDKIRKQKWQKCYFSGKLRIKGTDYEEIDTCPKPLIGPLSGFIPTGVTKTLKTGVT -WTTAVVKIDLQQWVDILNSTCKDTLIGKHWIKVIQRLLREYQKTGVTFNLPQVQSLPNWE -TKNKDNPGHHIPKSRRKRIRRGLGEALGLGNFADNRWKDLQIAGLGVEQQKLMGLTREAT -FEAWNALKGISNELIKWEEDMVATLRQLLLQIKGTNTTLCSAMGPLMATNIQQIMFALQH -GNLPEMSYSNPVLKEIAKQYNGQMLGVPVETTGNNLGIMLSLPTGGENIGRAVAVYDMGV -RHNRTLYLDPNARWIHNHTEKSNPKGWVTIVDLSKCVETTGTIYCNEHGFRDRKFTKGPS -ELVRHLAGNTWCLNSGTWSSLKNETLYVSGRNCSFSLTSRRRPVCFHLNSTAQWRGHVLP -FVGNSQEAPNTEIWEGLIEEAIREHNKVQDILTKLEQQHQNWKQNTDNALQNMKDAIDSM -DNNMLTFRYEYTQYGLFIVCLLAFLFAVIFGWLCGVTVRLREVFTILSVKIHALKSQAHQ -LAMLRGLRDPETGEQDRQAPAYREPPTCQEWARRRGGRPPIVTFLIDRETGERHDGQIFQ -PIRNRSNQVHRPQPPRPTAPNPDNQRPIREPRPGEPEHGDFLQGASWMWQ ->NP_043519.1 hypothetical protein [Murine type C retrovirus] -MEGPAFSKPLKDKINPWGPLIVIGILVRAGASVQRDSPHQVFNVTWRVTNLMTGQNSNAT -SLLGTMTDTFPKLYFDLCDLVGDHWDDPEPDIGDGCRSPGGRKRTRLYDFYVCPGHTVPI -GCGGPGEGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPKDQGPCYDSSVSSGVQGATP -GGRCNPLVLEFTDAGKKASWDAPKVWGLRLYRSTGADPVTRFSLTRQVLNVGPRVPIGPN -PVITEQLPPSQPVQIMLPRPPHPPPSGAASMVPGAPPPSQQPGTGDRLLNLVKGAYQALN -LTSPDRTQGCWLCLVSGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSEVTGQGLCV -GAVPKTHQALCNTTQKASDGSYYLAAPAGTIWACNTGLTPCLSTTVLNLTTDYCVLVELW -PKVTYHSPGYVYDQFERKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATKQFE -QLQAAIHTDLGALEKSVSALEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYA -DHTGVVRDSMAKLRERLNQRQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLILL -LGPCILNRLVQFVKDRISVVQALVLTQQYHQLKSIDPEEVESRE ->NP_043463.1 hypothetical protein LRv2gp1 [Leishmania RNA virus 2 - 1] -MVCRSVRPAILILTPVDAGKNRVMGWHPAPYVFQSLVYY ->NP_042871.1 23-kDa protein [Citrus tristeza virus] -MDNTSGQTFVSVNLSDESNTATTDVEPVSSEADRLDFLQKMNPIIIDALIRKNSYQGARF -RARIIGVCVDCGRKHDKGLKTERKCKVNNTQSQNEVAHMLMHDPVKYLNKRKARAFSNAE -IFAIDLVMYTKERQLAIDLAAEREKTRLARRHPMRSPEETPEYYKFGRTAKAMLPDINAV -DVGDNEETSSEYPVSLSVSGGVLREHHFI ->NP_042870.1 20-kDa protein [Citrus tristeza virus] -MRAYFSVNDYISLLAKVSAVVERLCDPSVTLAEVMDEINDFNSFLALVHSMKSDMNGDHQ -DGHHEMGEHKSRLLCNIEAKLRVLLDIIRRRFTRDKLLCTSATDVMGFFVMRYMSSSHTS -FESVMRTELRLVVKAVLSDLSRAHKLDFSERAFAAYGILLQKGTVSTVCGQFDINLVSPS -CV ->NP_042869.1 13-kDa protein [Citrus tristeza virus] -MSIRRSWLKVMAVVTVLWYGKEPSISEGYNALMNDDFKFIDTHFTNVSYAKKCYDLANFD -LDFLRIVIIPLSGGTVNESRADRTNVSEIVESHVSDRDRMSILLRNKRIQIPSLLPCDN ->NP_042868.1 18-kDa protein [Citrus tristeza virus] -MSGSLGNSTHVDLLRSDSRFLSGWWSFIVNVGDIIVRFALHVPNEDMLNSFSAISNCTII -ADGSALLKDNTVVDRLEGMNPLAYLLKLAKTTTTICFTMSNKVLFGTTKSEPLSCLAITS -DRVLFKVVMGTNVDDSRCGCSIWFYNNGTFQNGLIRCNNLVTLFSVT ->NP_042863.1 6-kDa protein [Citrus tristeza virus] -MDCVIQGFLTFLVGIAVFCAFAGLIIIVITIYRCTIKPVRSASPYGTHATV ->NP_042862.1 33-kDa protein [Citrus tristeza virus] -MFAFASESQDLLEEKVFRRRTYHRKYFGDVVKDFTIDIGYDTTDRDPTVLADYFSLYFFL -LNNDSVGPIAASIVVSPPVSGTHKIRAHIDNQPNCEGNVTYVKTFDKSRFVIRVKASPSM -RGYYSFRVFLSSDVASERSEFVCSFVGSRFLCCCTQTISENLSKVCSSSFFFRAVSETAT -NEFSVATDDVEDVKYIRKQAEGFSRCADPYPPRCYRSTNLGDSSGVQSRTIEEEGYMTGT -AGNVAVTVPNTPLVSAVSPYIAGYNENARSRVSLIRRVCCYAVCVLVVSVLIMSGLLAII -FI ->NP_042698.1 triple gene block protein 3 [Cassava common mosaic virus] -MEGPGPQLFPLQPESSGRSSASLPSQAFFSSQIGIGLTLVVAAVLTWALISLQSSKGGCL -IIIDGAKALLQGDCSYISPEILANLHPYGLSLPKSRN ->NP_056850.1 hypothetical protein CsVMVgp5 [Cassava vein mosaic virus] -MKGIKCLSITCFLSDNSIIRVVLIRRMLKILLFSLLVLIILCFIDPILFYFICL ->NP_056847.1 hypothetical protein CsVMVgp2 [Cassava vein mosaic virus] -MSLMNSTNPEFIEYYHTSWKPHKIELVDNIYHSYGYYVYTRSVIKRFNKHLIKTTYKRIF -SHPENIVLHFR ->NP_042587.1 coat protein [Bamboo mosaic virus] -MSGTGTGTGRGTGTGVGGTGGTGGTGGGGTGRGQQAAPQPWETKFTKDDLAAIEPKPASA -NVPNTKQWISIQAGLIKAGATDANFMKVLLGLSLEAFDRGSSEATTWDGITEGVEHRAAA -NAIKEANCPIHKVTYYLAKPTFAIRQSKNLPPANFAKKNVPSQYKWCAFDAFDGLYDPTC -LASELPYDAPSEIDRMASATFKTIQIKIANDQKGFNLNYNPNVTQARLPNAPLPALPEPT -SD ->NP_042583.1 hypothetical 14k protein [Bamboo mosaic virus] -MPLTCSSSRSSASITQTQQLPKPQTLNNKKVDQNPTPTSRSPFSKPNAPRTRKCPHHQNA -KRLKLRKRPTKQGPVQTPLSTLTKRQPRQNPQTARSGSAPHCVNCHGRRGSNYFGALDSK -ATLNSWT ->NP_042579.1 nucleocapsid protein [Lactate dehydrogenase-elevating virus] -MSQNKKKSGQNKGANQQLNQLINALLRNAGQNKGKGQKKKKQPKLHFPMAGPSDLRHVMT -PNEVQMCRSSLVTLFNQGGGQCTLVDSGGINFTVSFMLPTHATVRLINASANSSA ->NP_042515.1 hypothetical protein [Peanut chlorotic streak virus] -MSREESSMSEIQEIVSHEEEGSYLVDILLDNKLVTKIKNNGHLDLESNEGINQKILEKIN -RKNIIYNGIMCGEQSVPIEQATAKFQAPVVKISQVQERLKKIKETDRKKIGFIHVNVVQI -VIRSTFREGITTPVIIRVEDNRIQDKRYSLLGQIEGDLGYGVIKFNVTLQYPIPLITRSF -NNCIGVICEFRKQDLMKQGDIPLVVCYRIAYALTNSAISLQYKHLDRLYTNKIFSETSTI -IRTDQVQKNFLREHSQRFPSLQIGESSSQNRLIPTESGSQLAPPTRKDNLYKEDREDQIE -KIRKQVNELSTVVTSLDKRI ->NP_042511.1 coat protein [Mushroom bacilliform virus] -MANRRQSRRRGNKNRNSATVRRAPPNRATQSSSGKVKFVKWIAASPTKLIPHIGENETSY -GVLFDITGTTFPELSSLMSRHSRYRVLSLGARIVPYDPNCLGAHSVKVFAESVYDSSATP -TVPSVHYLQSNGCRVVPANKQLSSPPSSDVNKYYEICSDDAVIGRIMYAWNGPGLSTARV -GFCSFEVYADLEFDGIRE ->NP_042508.1 hypothetical protein MBVgp1 [Mushroom bacilliform virus] -MNNVEISRDECKAMSHGLYCRVASDAQLQRAGWLVQFAVVPRKGQKQLAANWPFYLVLHR -ALRNGPEFVGFLLFAAREIEQIRTRLGSGFRKTLVVGNDHFNKYIKYNGKTIVWCDVLCG -TVVPKDSSHCPDVGLETLVPGTVLSGSQFATLSQNIGMALRGNCRCHLRDVCMVHQEVS ->NP_042306.1 lysis protein [Pseudomonas phage PP7] -MSSTLCRWAVKALRCTRVYKEFIWKPLVALSYVTLYLLSSVFLSQLSYPIGSWAV ->NP_042304.1 maturation protein [Pseudomonas phage PP7] -MLEEHYYSRKTCGSGGGLSVQRTGYPQTDPPVFKAVNSFERAPLIVGDHVHSLPYWSRKI -TLECTPYPFTLRWEGGVHKDGIPDKVPHPSCDPGSELVSYTHSREVDCERFIGPVPSSFT -DPKVTSAQWKFLEDMADMKALADLNKSLVNLPMLYKERRETLKMVGNRLGGLVRVAHAAQ -DRDLKRYFKARRKDRRKVAEEVANGHLELIFGWLPLIGELEGAIEYAELPDLDFIRCHGL -HTLVLQSTPWDNSVDVRSYPNWERAAGTRITGSVRTRGVVESRASVRTALRFNLETSLAG -DARRLGFEPISTTYDMIPLSFVVGWFSNFDKYVRTLAPLIGVTFETGSQNRRTTCELVGC -TRFYPRTVSPPSGWFARWKDFPDGSLSEVSGLRRTDIRSVLSTLPDPDVRFHADVGLFEI -SAGISLLAQRYLKPLQRLLKRKSFFYGRT ->NP_042300.1 putative movement protein [Southern cowpea mosaic virus] -MIYETLVLSKTELEQLNVDLPRYSYRFRYSRSIGDTVVEFPGTLSDPCIPVVDVLLGACW -AWPQPSRHGGLGLDDIDPFDASFSCCVTSPERYCLSRSVLSGVDAFVVRGSCKLCGLGFL -DNFNPFEIRALLGQTTPGLWWQPVKPVYDDRNIHLPYDSELNARIQRFQYTCRECIVRVA -FHMSS ->NP_042289.1 P68 protein [UR2 sarcoma virus] -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQ -RLMVLGKSGELKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATER -RIDKGEEVGETTVQRDAKMAPEETATPKTVDTVTSPDITAIVAVIGAVVLGLTSLTIIIL -FGFVWHQRWKSRKPASTGQIVLVKEDKELAQLRGMAETVGLANACYAVSTLPSQAEIESL -PAFPRDKLNLHKLLGSGAFGEVYEGTALDILADGSGESRVAVKTLKRGATDQEKSEFLKE -AHLMSKFDHPHILKLLGVCLLNEPQYLILELMEGGDLLSYLRGARKQKFQSPLLTLTDLL -DICLDICKGCVYLEKMRFIHRDLAARNCLVSEKQYGSCSRVVKIGDFGLARDIYKNDYYR -KRGEGLLPVRWMAPESLIDGVFTNHSDVWAFGVLVWETLTLGQQPYPGLSNIEVLHHVRS -GGRLESPNNCPDDIRDLMTRCWAQDPHNRPTFFYIQHKLQEIRHSPLCFSYFLGDKESVA -PPADSDSILSTTLMEARDQEGLNYLVVVKESNQDQGSSCASLKRNRETSLLVR ->NP_042285.1 glycoprotein [Sonchus yellow net nucleorhabdovirus] -MSHIMNLLVISFVLAGSSWSLLGYQDDFSSKRSGALASNPTYNLPQDKGYGRDMYQPYYI -CEPDNDGSALTLPSWHYSCKESCMGNHLKRVVNITGARWNYVGISIPVFKIVTNEVCYTS -HENVWGYCSQYQISRPVATQKSDVSCITSSMWDNDKSPIGSLYNIVNSNEAECDYFSDIT -DCNRDYQIFKREGKLIKRSDDSPLELSIVTDGIRTDPASEYLSLDDVSWFWKLPNNDMSP -PCGWEKTQKLSCSYTDTTDVIKCNSIGYTYNIQGISKKSTCAGNIYDTDGPFPFFYDAEE -ALMSTDDACGKAKQGKPDADIAFIEGVNRAFEDLELTYCSATCDLFARQGTPNEDHVLDT -PIGTWRYVMRDNLDPALVPCLPTSNWTISDPTTICHGKDHILVVDTATGHSGSWDTKKDY -IITGEVCNTNNDEMGDDYDGMRDKILRGETIEIKFWTGDIIRMAPPYDNPEWIKGSVLFR -QNPGWFSSVELNKDMIHTRDNITDLLTVMVQNATAEVMYKRLDPKTMKHILFAEIVDGVG -NVSGKISGFLTGLFGGFTKAVIIVASLAICYIVLSVLWKVRLVASIFNSAKKKRVRISDI -LDEEPHRIQQSRPTLSRKKKTRESIQMLLNDI ->NP_042284.1 putative [Sonchus yellow net nucleorhabdovirus] -MAGIYAVSIKGHASAIFNRQEKEISTGRVWEVMKKIMSLKPTRVIMSYSLLRSALDKSRQ -LTQEEYNIMQLILDGCVKTLEPVAASGICIDVNLGKCTKHTIPFGITNNDVGHVSVVMTL -PFLEEGCYNIGACFDGRLSKSRSDASHYAVDVSLEIYLKSLSRDEAEEQISKGTSVYPFK -INHPTYFEDETDTSDGESLSGRASSDDGPEDGGHGHGDKNNEKNSGKVVRKRKSRKEIDV -GRFKMVKDNIINTRSGLLKSMRGTGHRKHRTQEITEGYNYGDKDAE ->NP_042283.1 putative [Sonchus yellow net nucleorhabdovirus] -MEGLSSKAQTMGREDDNRSSKMKVFHSELVYGDNHNISIKKADLTGQHKMMLLLSSALRI -GSVHMDVSRILVKWCPYITPNMNTTIGITIKNNHHDDMSNINDMSTYISVKGKMSEALQI -TWHPASTLVYKKGMSCIFPWVVDVDTGSTEQESGSPALGEIKIWCYFKMQYHKPSTRHIA -RAEIAPSIEWGNTNFPYYVPFAMIRRARGIRPLDVFSTNQYSMFLEDVIKHVGTDSIKES -DIVPIMSTMSQEDMMMINEKNKTCLLKRGGSYCSCKDVIENVVKEINMNRDRKYDNHGLL -LSGYIAGSTSGRFQTVPMLSDISY ->NP_042282.1 putative [Sonchus yellow net nucleorhabdovirus] -MEIDPNYVNPKYSSLKSTVMNSEVLTSKYKSAIHHAGDGELEDDILAVMEELHSMLQEKG -LACHTENLEVFSSTILHLKTTGQENRAGDLIAAILSFGCSISAQAIVPSTLLKTMSEMLD -SFATRNHELKLITKDLQEVVPRQVLKAKKKSKAKSAEGPSASTEDIKDSDTKGNQDIGDN -GDLNSSINQRNREICYKHYTTDEFEALSLEKRQEIMKYYIQYILGAWGYNATDPTKTAML -YDLIDKHTVITVMRQSKEGTLTSDDILMAIDEVIDSVNSMSSCYGGYKATIGNDNGTPYL -VLIPKEGVILLSYPPPIPVTHHYYNKALSFIFPCAITIFSSPIYI ->NP_041886.1 p9 protein [Cardamine chlorotic fleck virus] -MQLARSVNAHLAILLGVIGFWLLIRLKFQYPSISDQLPVPSPWVKYLILSSFNSLSLVLL -LCHLIPDIKPAVTYYNTTDNTKSQHISISTANGN ->NP_041877.1 RNA silencing suppressor [Beet yellows virus] -MKFFLKDGETSRALSRSESLLRRVKELGTNSQQSEISECVDEFNELASFNHLLVTVEHRE -WMEQHPNQSSKLRVPSRIGEMLKEIRAFLKVRVVTPMHKETASDTLNAFLEEYCRITGLA -REDALREKMRKVKSVVLFHHSELLKFEVTENMFSYTELLKLNLSLRVISSQILGMAI ->NP_041876.1 long-distance transport factor [Beet yellows virus] -MTSSVELAQTKPLFRVLLLKGFVFYVVAFETEEESSEAELPLVYLHDFELNINKRGKIEA -SYVDFMSCMTRLKPSSVSYTRVSSEKSSEDFSLPGSGKTFGSKVLNRKVTFTFENGVQLV -FGMYGLEQRCVSSDYLWFENVFVGAHCGTLTYCLNCELDKSGGELEILTFSKNEVLLKRW ->NP_041735.1 hypothetical protein CSSVgp4 [Cacao swollen shoot virus] -MEMEDSSGITLLHQHLKGKSLLQDGEVMMIMKKLLQNGMKAQMKKDPQNPYGIKKKKKMN -MIPMSIGHTYKRRKMSGKKSQLVSGKKWSTQKDDHKQRWRSLKQSTILHLVTL ->NP_056893.1 Pr78 [Mason-Pfizer monkey virus] -MGQELSQHERYVEQLKQALKTRGVKVKYADLLKFFDFVKDTCPWFPQEGTIDIKRWRRVG -DCFQDYYNTFGPEKVPVTAFSYWNLIKELIDKKEVNPQVMAAVAQTEEILKSNSQTDLTK -TSQNPDLDLISLDSDDEGAKSSSLQDKGLSSTKKPKRFPVLLTAQTSKDPEDPNPSEVDW -DGLEDEAAKYHNPDWPPFLTRPPPYNKATPSAPTVMAVVNPKEELKEKIAQLEEQIKLEE -LHQALISKLQKLKTGNETVTHPDTAGGLSRTPHWPGQHIPKGKCCASREKEEQIPKDIFP -VTETVDGQGQAWRHHNGFDFAVIKELKTAASQYGATAPYTLAIVESVADNWLTPTDWNTL -VRAVLSGGDHLLWKSEFFENCRDTAKRNQQAGNGWDFDMLTGSGNYSSTDAQMQYDPGLF -AQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGSAEAGVDYV -KQLAYENANPACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLNN -KNKEKGGCCFKCGKKGHFAKNCHEHAHNNAEPKVPGLCPRCKRGKHWANECKSKTDNQGN -PIPPHQGNGWRGQPQAPKQAYGAVSFVPANKNNPFQSLPEPPQEVQDWTSVPPPTQY ->NP_041259.1 gag protein [Squirrel monkey retrovirus] -MGQASSHSENDLFISHLKESLKVRRIRVRKKDLVSFFSFIFKTCPWFPQEGSIDSRVWGR -VGDCLNDYYRVFGPETIPITTFNYYNLIRDVLTNQSDSPDIQRLCKEGHKILISHSRPPS -RQAPVTITTSEKASSRPPSRAPSTCPSVAIDIGSHDTGQSSLYPNLATLTDPPIQSPHSR -AHTPPQHLPLLANSKTLHNSGSQDDQLNPADQADLEEAAAQYNNPDWPQLTNTPALPPFR -PPSYVSTAVPPVAVAAPVLHAPTSGVPGSPTAPNLPGVALAKPSGPIDETVSLLDGVKTL -VTKLSDLALLPPAGVMAFPVTRSQGQVSSNTTGRASPHPDTHTIPEEEEADSGESDSEDD -EEESSEPTEPTYTHSYKRLNLKTIEKIKTAVANYGPTAPFTVALVESLSERWLTPSDWFF -LSRAALSGGDNILWKSEYEDISKQFAERTRVRPPPKDGPLKIPGASPYQNNDKQAQFPPG -LLTQIQSAGLKAWKRLPQKGAATTSLAKIRQGPDESYSDFVSRLQETADRLFGSGESESS -FVKHLAYENANPACQSAIRPFRQKELSTMSPLLWYCSAHAVGLAIGAALQNLAPAQLLEP -RPAFAIIVTNPAIFQETAPKKIQPPTQLPTQPNAPQASLIKNLGPTTKCPRCKKGFHWAS -ECRSRLDINGQPIIKQGNLNRGQPQGPTTGMNSGASQFTPQYRQPTPALPVINHAATSQT -SGEQQRAVQDWTSVPPPTQY ->NP_041251.1 W protein [Ovine lentivirus] -MHTGRNSEAHRAKELATLSIIAYSKKPCISDNAALESKESNSAKVPMVRDRRVIRYLGLY -RIAGTWNQYIINGGSTKKKARRQQRS ->NP_041249.1 gag protein [Ovine lentivirus] -MATQGSKEKKGYPELKEVIKTTCKIKVGPGKETLTEGNCLWALKTLDFIFEDIKTEPWTL -TKMYTVWEKLKQVTPEETSKREFASLQATLACIMCSQMGMRPETVQAARGIISMKEGLHE -KQEDKEKKVEQLYPNLEKHREVYPIVNLQAGGRSWKAVESVTFQQLQTVAMQHGLVSEDF -ERQLAYYATTWTSKDILEVLAMMPGNRAQKELIQGKLNEEAERWVRQNPPGPNVLTVDQI -MGVGQTNQQASQANMDQARQLCLQWVITALRSVRHMSHRPGNPMLIKQKNSESYEDFIAR -LLEAIDTEPVTDPIKTYLKVTLSFTNASTDCQKQMDRVLGTRVQQASVEEKMQACRDVGS -EGFKMQLLAQALRPPRKEGKQGVQKCYYCGKPGHLARQCRQGIICHHCGKRGHMQKDCRQ -KKGNPTSQQGNSRRGPRVVPSAPPML ->NP_057935.1 gPr80 [Moloney murine leukemia virus] -MARSTLSKPLKNKVNPRGPLIPLILLMLRGVSTASPGSSPHQVYNITWEVTNGDRETVWA -TSGNHPLWTWWPDLTPDLCMLAHHGPSYWGLEYQSPFSSPPGPPCCSGGSSPGCSRDCEE -PLTSLTPRCNTAWNRLKLDQTTHKSNEGFYVCPGPHRPRESKSCGGPDSFYCAYWGCETT -GRAYWKPSSSWDFITVNNNLTSDQAVQVCKDNKWCNPLVIRFTDAGRRVTSWTTGHYWGL -RLYVSGQDPGLTFGIRLRYQNLGPRVPIGPNPVLADQQPLSKPKPVKSPSVTKPPSGTPL -SPTQLPPAGTENRLLNLVDGAYQALNLTSPDKTQECWLCLVAGPPYYEGVAVLGTYSNHT -SAPANCSVASQHKLTLSEVTGQGLCIGAVPKTHQALCNTTQTSSRGSYYLVAPTGTMWAC -STGLTPCISTTILNLTTDYCVLVELWPRVTYHSPSYVYGLFERSNRHKREPVSLTLALLL -GGLTMGGIAAGIGTGTTALMATQQFQQLQAAVQDDLREVEKSISNLEKSLTSLSEVVLQN -RRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLNQRQKLFESTQGWFEGL -FNRSPWFTTLISTIMGPLIVLLMILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKP -IEYEP ->NP_041218.1 precursor protein PR-env [Spleen focus-forming virus] -MKGPAFSKPLKDKINPWGPLIVLGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANAT -SLLGTMTDAFPMLHFDLCDLIGDDWDETGLECRTPGGRKRARTFDFYVCPGHTVPTGCGG -PREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPKDRGPCYDSSVSSGVQGATPGGRC -NPLVLKFTDAGKKASWDSPKVWGLRLYRPTGIDPVTRFSLTRQVLNIGPRIPIGPNPVII -GQLPPSRPVQVRLPRPPQPPPTGAASMVPGTAPPSQQPGTGDRLLNLVQGAYQALNLTNP -DKTQECWLCLVSGPPYYEGVAVLGTNSNHTSALKEKCCFYADHTGLVRDSMAKLRKRLTQ -RQKLFESSQGWFEGSFNRSPWFTTLISTIMGLLIILLLLLILLLWTLHS ->NP_041217.1 precursor protein PR-gag [Spleen focus-forming virus] -MGQTVTTPLSLTLEHWEDVQRTASNQSVDVKKRRWVTFCSAEWPTFGVGWPQDGTFNLDI -ILQVKSKVFSPGPHGHPDQVPYIVTWEAIAYEPPPWVKPFVSPKLSPSPTAPILPSGPST -QPPPRSALYPALTPSIKPGPSPIMADLSLTFSQKTLRRTEDRDRPPLTEMATEKRPPPLL -RFLPPLP ->NP_057866.1 p120 Gag-Abl polyprotein [Abelson murine leukemia virus] -MGQTVTTPLSLTLGHWKDVERIAHNQSVDVKKRRWVTFCSAEWPTFNVGWPRDGTFNRDL -ITQVKIKVFSPGPHGHPDQVPYIVTWEALAFDPPPWVKPFVHPKPPPPLPPSAPSLPLEP -PLSTPPRSSLYPALTPSLGAKPKPQVLSDSGGPLIDLLTEDPPPYRDPRPPPSDRDGNGG -EATPAGEAPDPSPMASRLRGRREPPVADSTTSQAFPLRTGGNGQLQYWPFSSSDLYITPV -NSLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRVYHYRINTA -SDGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRNKPTIYGVSPNYDKWEMER -TDITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLV -QLLGVCTREPPFYIITEFMTYGNLLDYLRECNRQEVSAVVLLYMATQISSAMEYLEKKNF -IHRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNKFSIK -SDVWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYELMRACWQWN -PSDRPSFAEIHQAFETMFQESSISDEVEKELGKRGTRGGAGSMLQAPELPTKTRTCRRAA -EQKASPPSLTPKLLRRQVTASPSSGLSHKKEATKGSASGMGTPATAEPAPPSNKVGLSKA -SSEEMRVRRHKHSSESPGRDKGRLAKLKPAPPPPPACTGKAGKPAQSPSQEAGEAGGPTK -TKCTSLAMDAVNTDPTKAGPPGEGLRKPVPPSVPKPQSTAKPPGTPTSPVSTPSTAPAPS -PLAGDQQPSSAAFIPLISTRVSLRKTRQPPERIASGTITKGVVLDSTEALCLAISRNSEQ -MASHSAVLEAGKNLYTFCVSYVDSIQQMRNKFAFREAINKLESNLRELQICPATASSGPA -ATQDFSKLLSSVKEISDIVRR ->NP_041189.1 ORF1 [Leishmania RNA virus 1 - 1] -MPMSLDSLAVGMVALLVDYYSKALRASLNSTGIDRRWGDQWPSTVELTGRGVIEWESPTF -CICSSSRSTAIV ->NP_041187.1 hypothetical protein [Jaagsiekte sheep retrovirus] -MQPENPMIYITKIVILYACNLKFPVKLHGKLLNLALLVLNSLFSLNMVSTLEVYALITSG -KQMLLTFLNLGVLNMFMFLLTLFPIFSWLPFTLENQHVTVFNICCFAFLLQESHKPLKQI -MDLVILAVLFNVFVFLSKFIIKQEFLIIHRDKVLWNEPINELNINY ->NP_041184.1 gag protein [Jaagsiekte sheep retrovirus] -MGHTHSRQLFVHMLSVMLKHRGITVSKTKLINFLSFIEEVCPWFPREGTVNLETWKKVGE -QIRTHYTLHGPEKVPVETLSFWTLIRDCLDFDNDELKRLGNLLKQEEDPLHTPDSVPSYD -PPPPPPPSLKMHPSDNDDSLSSTDEAELDEEAAKYHQEDWGFLAQEKGALTSKDELVECF -KNLTIALQNAGIQLPSNNNTFPSAPPFPPAYTPTVMAGLDPPPGFPPPSKHMSPLQKALR -QAQRLGEVVSDFSLAFPVFENNNQRYYESLPFKQLKELKIACSQYGPTAPFTIAMIESLG -TQALPPNDWKQTARACLSGGDYLLWKSEFFEQCARIADVNRQQGIQTSYEMLIGEGPYQA -TDTQLNFLPGAYAQISNAARQAWKKLPSSSTKTEDLSKVRQGPDEPYQDFVARLLDTIGK -IMSDEKAGMVLAKQLAFENANSACQAALRPYRKKGDLSDFIRICADIGPSYMQGIAMAAA -LQGKSIKEVLFQQQARNKKGLQKSGNSGCFVCGQPGHRAAVCPQKHQTSVNTPNLCPRCK -KGKHWARDCRSKTDVQGNPLPPVSGNWVRGQPLAPKQCYGATLQVPKEPLQTSVEPQEAA -RDWTSVPPPIQY ->NP_040992.1 coat protein [Foxtail mosaic virus] -MATQNADVTDATDYKKPPAETEQKALTIQPRSNKAPSDEELVRIINAAQKRGLTPAAFVQ -AAIVFTMDKGATDSTIFTGKYNTFPMKSLALRCKDAGVPVHKLCYFYTKPAYANRRVANQ -PPARWTNENVPKANKWAAFDTFDALLDPYVVPSSVPYDEPTPEDRQVNEIFKKDNLSQAA -SRNQLLGTQASITRGRLNGAPALPNNGQYFIEAPQ ->NP_040977.1 ORF 3 [Feline immunodeficiency virus] -MLPRNGDFVPDFIYRNNNIFTDNQRSGSMETSTISSPSRRIRNNFLGLLGTRRTRLSGLS -WGNDTSKS ->NP_040975.1 ORF 2 [Feline immunodeficiency virus] -MEDIIVLFNRVTEKLEKELAIRIFVLAHQLERDKAIRLLQGLFWRYRFKKPRVDYCLCWW -CCKFYYWQLQSTLSITTA ->NP_040938.1 gag protein [Caprine arthritis encephalitis virus] -MVSLDRDMARQVSGGKRDYPELEKCIKHACKIKVRLRGEHLTEGNCLWCLKTLDYMFEDH -KEEPWTKVKFRTIWQKVKNLTPEESNKKDFMSLQATLAGLMCCQMGMRPETLQDAMATVI -MKDGLLEQEEKKEDKREKEESVFPIVVQAAGGRSWKAVDSVMFQQLQTVAMQHGLVSEDF -ERQLAYYATTWTSKDILEVLAMMPGNRAQKELIQGKLNEEAERWRRNNPPPPAGGGLTVD -QIMGVGQTNQAAAQANMDQARQICLQWVINALRAVRHMAHRPGNPMLVKQKTNEPYEDFA -ARLLEAIDAEPVTQPIKDYLKLTLSYTNASADCQKQMDRTLGQRVQQASVEEKMQACRDV -GSEGFKMQLLAQALRPGKGKGNGQPQRCYNCGKPGHQARQCRQGIICHNCGKRGHMQKEC -RGKRDIRGKQQGNGRRGIRVVPSAPPME ->NP_040839.1 gag protein [Visna-maedi virus] -MAKQGSKEKKGYPELKEVIKATCKIRVGPGKETLTEGNCLWALKTIDFIFEDLKTEPWTI -TKMYTVWDRLKGLTPEETSKREFASLQATLACIMCSQMGMKPETVQAAKGIISMKEGLHE -NKEAKGEKVEQLYPNLEKHREVYPIVNLQAGGRSWKAVESVVFQQLQTVAMQHGLVSEDF -ERQLAYYATTWTSKDILEVLAMMPGNRAQKELIQGKLNEEAERWVRQNPPGPNVLTVDQI -MGVGQTNQQASQANMDQARQICLQWVITALRSVRHMSHRPGNPMLVKQKNTESYEDFIAR -LLEAIDAEPVTDPIKTYLKVTLSYTNASTDCQKQMDRTLGTRVQQATVEEKMQACRDVGS -EGFKMQLLAQALRPQGKAGQKGVNQKCYNCGKPGHLARQCRQGIICHHCGKRGHMQKDCR -QKKQQGNNRRGPRVVPSAPPML ->NP_040783.1 10K hypothetical protein [Narcissus mosaic virus] -MNQPQLPSPPQLRFSCSVIFSRNLVLTLTQSHPLCGTSLEPTPMCKRVVQQCYLEPHHQT -LRSPGKLSLDSFTSLTSLHASSACTSPRWSGTCY ->NP_056900.1 p34 [Bovine leukemia virus] -MASVVGWGPHSLHACPALVLSNDVTIDAWCPLCGPHERLQFERIDTTLTCETHRITWTAD -GRPFGLNGTLFPRLHVSETRPQGPRRLWINCPLPAVRAQPGPVSLSPFEQSPFQPYQCQL -PSASSDGCPIIGHGLLPWNNLVTHPVLGKVLILNQMANFSLLPPFDTLLVDPLRLSVFAP -DTRGAIRYLSTLLTLCPVTCILPLGEPFSPNVPICRFPRDTSEPPLSEFELPLIQTPGLS -WSVPAIDLFLTGPPSPYDRLHVWSSPQALQRFLHDPTLTWSELVASGKLRLDSPLKLQLL -ENEWLSRLF ->NP_056898.1 p18 [Bovine leukemia virus] -MPKERRSRRRPQPIIRWQVLLVGGPTLYMPARPWFCPMMSPSMPGAPSAGPMNDSNSKGS -TPRSPARPTVSPGPPMDDLLASMEHCSLDCMSPRPAPKGPDDSGSTAPFRPFALSPARFH -FPPSSSPPSSPTNANCPRPLATVAPSSGTAFFPGTT ->NP_040566.1 envelope polyprotein [Bovine immunodeficiency virus] -MDQDLDGAERGERGGGSEELLQEEINEGRLTAREALQTWINNGEIHPWVLAGMLSMGVGM -LLGVYCQLPDTLIWILMFQLCLYWGLGETSRELDKDSWQWVRSVFIIAILGTLTMAGTAL -ADDDQSTLIPNITKIPTKDTEPGCTYPWILILLILAFILGILGIILVLRRSNSEDILAAR -DTIDWWLSANQEIPPKFAFPIILISSPLAGIIGYYVMERHLEIFKKGCQICGSLSSMWGM -LLEEIGRWLARREWNVSRVMVILLISFSWGMYVNRVNASGSHVAMVTSPPGYRIVNDTSQ -APWYCFSSAPIPTCSSSQWGDKYFEEKINETLVKQVYEQAAKHSRATWIEPDLLEEAVYE -LALLSANDSRQVVVENGTDVCSSQNSSTNKGHPMTLLKLRGQVSETWIGNSSLQFCVQWP -YVLVGLNNSDSNISFNSGDWIATNCMHPITLNKSAQDLGKNFPRLTFLDGQLSQLKNTLC -GHNTNCLKFGNKSFSTNSLILCQDNPIGNDTFYSLSHSFSKQASARWILVKVPSYGFVVV -NDTDTPPSLRIRKPRAVGLAIFLLVLAIMAITSSLVAATTLVNQHTTAKVVERVVQNVSY -IAQTQDQFTHLFRNINNRLNVLHHRVSYLEYVEEIRQKQVFFGCKPHGRYCHFDFGPEEV -GWNNSWNSKTWNDLQDEYDKIEEKILKIRVDWLNSSLSDTQDTFGLETSIFDHLVQLFDW -TSWKDWIKIIIVIIVLWLLIKILLGMLRSCAKVSQNYQHLPAEEEDGDTEPESSPARGDP -ASGSLYENWLNKIGESKNDAYRVWTEEYNSLRILFATCRWDLLTPQLLQLPFFLLTLLLK -LLWDIFRHAPILNLKGWTVGQGGTSGQQQPPDFPYVNWTGSREQNNPEGGLDSGAWYEGL -RGSQ ->NP_040564.1 protein Q; putative [Bovine immunodeficiency virus] -MERTLQSVVGRRRGSSNRGRGKNSLISTPSYALHPPPRFRYPRWEFVRQTEYSMTACVRK -GKLVLTYQYAIWKRVWTIETGFTDPSLFMTPAGTHTTEEIGHLDLFWLRYCSCPHEMPPW -LDFLRGTLNLRISCRRALQASVLTSTPRHSLQRLAALQLCTNACLCWYPLGRINDTTPLW -LNFSSGKEPTIQQLSGHP ->NP_040562.1 gag polyprotein [Bovine immunodeficiency virus] -MKRRELEKKLRKVRVTPQQDKYYTIGNLQWAIRMINLMGIKCVCDEECSAAEVALIITQF -SALDLENSPIRGKEEVAIKNTLKVFWSLLAGYKPESTETALGYWEAFTYREREARADKEG -EIKSIYPSLTQNTQNKKQTSNQTNTQSLPAITTQDGTPRFDPDLMKQLKIWSDATERNGV -DLHAVNILGVITANLVQEEIKLLLNSTPKWRLDVQLIESKVREKENAHRTWKQHHPEAPK -TDEIIGKGLSSAEQATLISVECRETFRQWVLQAAMEVAQAKHATPGPINIHQGPKEPYTD -FINRLVAALEGMAAPETTKEYLLQHLSIDHANEDCQSILRPLGPNTPMEKKLEACRVVGS -QKSKMQFLVAAMKEMGIQSPIPAVLPHTPEAYASQTSGPEDGRRCYGCGKTGHLKRNCKQ -QKCYHCGKPGHQARNCRSKNGKCSSAPYGQRSQPQNNFHQSNMSSVTPSAPPLILD ->NP_040549.1 trans-acting factor [Avian leukosis virus - RSA] -MEAVIREGGSLPQVRSASRNQQRSGESTKGRKWEKQLRSEMRRWRRRKWPHLKPLAHPAI -SAEQLLAVIAPQPRPLLLLMWGVVCILPWRGWESSRARGVTHLGGRNSQGRSQGTRVWPL -GRP ->NP_056888.1 p60 src [Rous sarcoma virus] -MGSSKSKPKDPSQRRHSLEPPDSTHHGGFPASQTPDETAAPDAHRNPSRSFGTVATEPKL -FWGFNTSDTVTSPQRAGALAGGVTTFVALYDYESWTETDLSFKKGERLQIVNNTEGDWWL -AHSLTTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRKSETA -KGAYCLSVSDFDNAKGPNVKHYKIYKLYSGGFYITSRTQFGSLQQLVAYYSKHADGLCHR -LANVCPTSKPQTQGLAKDAWEIPRESLRLEAKLGQGCFGEVWMGTWNDTTRVAIKTLKPG -TMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVIEYMSKGSLLDFLKGEMGKYLRL -PQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYR -MPCPPECPESLHDLMCQCWRKDPEERPTFKYLQAQLLPACVLEVAE ->NP_040504.1 v-myc (59/61 kDa) protein [Avian carcinoma virus] -MEAVIKAAAAAMPLSVSLPSKNYDYDYDSVQPYFYFEEEEENFYLAAQQRSSELQPPAPS -EDIWKKFELLPAPPLSPSCRSNLAAASCFPSTADQLEMVTELLGGDMVNQSSICDPDDES -FVKSIIIRDCMWSGFSAAAKLEKVVSEKLATYKASRREGGPAAASRPGPPPSGPPPPPAG -PAASAGLYLHDLGAAAAGCIGSSVVFPCPLGRRGPPGAGPAALLGVDAPPTAGGGSEEEQ -EEDEEIDVVTLAEANESESSTESSTEASEEHCKPHHSPLVLERCHVNIHQHNYAAPPSTK -VEYPAAKRLKLDSGRVLKQVSNNRKCSSPRTSDSEVNDKRRTHNVLERQRRNELKLSFFA -LRDQIPEVANNEKAPKVVILKRATEYVLSIQSDEHRLIAEKEQLRRRREQLKHKLEQLRN -SRA ->NP_040353.1 putative vector transmission protein [Pea early-browning virus] -MSFNFTKDFLYSGKVYGLFYKGISVGRLEFNGGSVKITSGYIAIFPNYAPTEAKSGNILL -TNVAKGSIRYSYNGADCAGWFIHSVEVAEISKLARPLLDAICYRHVYVGKPGIVANYFVD -GDTSKCVVRSIEPFTLVCDPRDVTAGQVLEKNLSTMTVVSVANKVKFNPKGNLTLYIFNT -TLRGNDLFDSAHHSVWDCKGGEFYRQSQ ->NP_040352.1 putative vector transmission protein [Pea early-browning virus] -MTNWATLWPNDRLFLSDTYQLIWFDIEADRIEHKHFKAQNSEDISMIPKGFVSFVDNRLP -MCINHKGEVYIRVGSFDTAYYQKFGDLDVSDFDDQVLPPDRDFTFNKVVFGDVPQEQLDN -QIRDLQSEVSILTSRNVEMNVRENDLLKKVSELEKQIRQSSHNYEKVVEDGVVLSYRKAG -GLLNRMVVLNRRLVGQRFVTNQRRWENIIMGSGVHDGSSYMAFNFKESGGSLKVTFDFDK -LQNLSPDDLLAMQIA ->NP_040334.1 envelope protein [Friend murine leukemia virus] -MACSTLSKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVW -AISGNHPLWTWWPVLTPDLCMLALSGPPHWGLEYQAPYSSPPGPPCCSGSSGNVAGCARD -CNEPLTSLTPRCNTAWNRLKLDQVTHKSSEGFYVCPGSHRPREAKSCGGPDSFYCASWGC -ETTGRVYWKPSSSWDYITVDNNLTSNQAVQVCKDNKWCNPLAIRFTNAGKQVTSWTTGHY -WGLRLYVSGQDPGLTFGIRLSYQNLGPRIPIGPNPVLADQLSFPLPNPLPKPAKSPPASS -STPTLISPSPTPTQPPPAGTGDRLLNLVQGAYQALNLTNPDKTQECWLCLVSGPPYYEGV -AVLGTYSNHTSAPANCSVASQHKLTLSEVTGRGLCIGTVPKTHQALCNTTLKAGKGSYYL -VAPTGTMWACNTGLTPCLSATVLNRTTDYCVLVELWPRVTYHPPSYVYSQFEKSHRHKRE -PVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLHAAVQDDLKEVEKSITNLEKSL -TSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLSQRQKLF -ESSQGWFEGWFNRSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFVKDRISVVQALV -LTQQYHQLKPLEYEPQ ->NP_056665.1 nonstructural protein NS-2 [Influenza B virus (B/Lee/1940)] -MADNMTTTQIEWRMKKMAIGSSTHSSSVLMKDIQSQFEQLKLRWESYPNLVKSTDYHQKR -ETIRLATEELYLLSKRIDDSILFHKTVIANSSIIADMIVSLSLLETLYEMKDVVEVYSRQ -CL ->sp|P34702.1|LYS_BPJP3 RecName: Full=Lysis protein -MGLKAKHKENLCSDSQRSKRLYVWIALAIVLSDFTSIFSHWIWGLLILILRTLMDLPTFV -MNV ->sp|P19903.1|LYS_BPFR RecName: Full=Lysis protein -MQQPSQPTRESTKKPVPFQHEEYPCQNQQRSSTLYVLICLAIFLSKFTNQLLASLLDLLI -RIVTTLQQLLT ->sp|P09674.1|RDRP_BPBZ1 RecName: Full=RNA-directed RNA polymerase beta chain; AltName: Full=RNA replicase beta chain -ETLSSARFRCKPNSEWRTQIPLFPQEVEACVLS ->sp|P0DTF1.1|ORF3B_SARS2 RecName: Full=Putative ORF3b protein; Short=ORF3b -MMPTIFFAGILIVTTIVYLTIV ->sp|P0DJZ5.1|ORF5A_PRRSR RecName: Full=Structural protein ORF5a -MFKYVGEMLDRGLLLAIAFFVVYRAVLFCCARQRQQRQQLPSTADLQLDAM ->sp|P0CK16.1|P12I_HTL1C RecName: Full=Accessory protein p12I -MLFRLLSPLSPLALTALLLFLLSPGEVSGLLLRPLPAPCLLLFLPFQILSNLLFLLFLPL -FFSLPLLLSPSLPITMRFPARWRFPPWRAPSQPAAAFLF ->sp|P0C785.1|RAP1_PLRVW RecName: Full=Replication-associated protein; Short=Rap1 -MTPMRITVWRKRLQQMRPQRKLLKQTQQRRLLHQLQQRKLLQQTSL ->sp|P0C784.1|P6_PLRVW RecName: Full=Uncharacterized protein P6; AltName: Full=ORF6 protein -MLQSMVQTGPEFLHQGTHLNLEFPAIQELLLTFLRKPIYWRIGMPNTSTLVIPKKMSLLL -LL ->sp|P19032.2|REV_FIVSD RecName: Full=Probable protein Rev; AltName: Full=3'ORF; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=ORF4; AltName: Full=ORFH; AltName: Full=Regulator of expression of viral proteins -MAEGFAANRQWIGPEEAEELLDFDKATQMNEEGPLNPGVNPFRVPAVTEADKQEYCKILQ -PRLQEIRNEIQEVKLEEGNAGKMKKKRQRRRRKKKAFKKMMTDLEDRFRKLFGSPSKDEY -TEIEIEEDPPKKEKRVDWDEYWDPEEIERMLMD ->sp|P20885.2|REV_FIVPE RecName: Full=Probable protein Rev; AltName: Full=3'ORF; AltName: Full=ART/TRS; AltName: Full=Anti-repression transactivator; AltName: Full=ORF4; AltName: Full=ORFH; AltName: Full=Regulator of expression of viral proteins -MAEGFAANRQWIGLEEAEELLDFDIATQMSEEGPLNPGVNPFRVPGITEKEKQNYCNILQ -PKLQDLRNEIQEVKLEEGNAGKRKRQRRRRKKKAFKRMMTELEDRFRKLFGTTSTTGDST -VDSEDEPPKKEKRVDWDEYWNPEEIERMLMD ->sp|Q0ZME2.1|IORF_CVHN5 RecName: Full=Protein I; AltName: Full=Accessory protein N2; AltName: Full=N internal ORF protein; Short=IORF; AltName: Full=Orf8 protein; AltName: Full=Protein in nucleocapsid ORF -MLEVEAPLEIVQESSRKLLGLTNLSEAIKPIIEAENPNQNSLCLLNHKETLSHIIPGSLG -LPNFKKVETLNFQMVKEYPLLTGYPLLKQKDIGINTTGVLLKQLMVNKSSCYQDGISTIS -VPVHMPVHPMVMPTKVSSGSLVTKLILLFPPMFRQGILLFKKLSLLGFRLVRFCLKAIML -KAQEGLLLIAGQVHVLNHVDPIIVH ->sp|P24097.2|REV_BIV29 RecName: Full=Protein Rev -MDQDLDRAERGERGGGSEELLQEEINEGRLTAREALQTWINNDSPRYVKKLRQGQPELPT -SPGGGGGRGHRARKLPGERRPGFWKSLRELVEQNRRKQERRLSGLDRRIQQLEDLVRHMS -LGSPDPSTPSASVLSVNPPAQTPLGHLPPRSYFKLKRVDCGAGWDLRTTAAPGLPICELD -WIQGTK ->sp|P0C215.1|P12I_HTL1A RecName: Full=Accessory protein p12I -MLFRLLSPLSPLALTALLLFLLPPSDVSGLLLRPPPAPCLLLFLPFQILSGLLFLLFLPL -FFSLPLLLSPSLPITMRFPARWRFLPWKAPSQPAAAFLF ->sp|P22379.2|REV_SIVGB RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MSTGNVYQELIRRYLVVVKKLYEEPIPQTARQRRRRKQQLRTRRAQLRELEGRILKQILD -RGPDQLCQGVTNLALAEKSESSN ->sp|P36339.2|REV_SIVAM RecName: Full=Protein Rev; AltName: Full=Regulator of expression of viral proteins -MSTGNVDQELIRRYLVVVKKLYEEPIPQTARQRRRRKQQLRTRRAQLRELEGRILKQILD -RGPDQLCQGVANLALAEKSESSN ->sp|Q1A244.1|VPU_SIVEK RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MLLLIKLGFIGLAIETLIVIVVWAIVYRIYREVKVEEKISQLRQRIRDRAEDSGNESDGD -AEELANLLPPDRIDQDNWV ->sp|P14355.2|BEL3_FOAMV RecName: Full=Protein Bel-3 -MEIGVMQLCNMLIRLKGAVKQGAWHHLYLTTKLCSFIEPLWQTSPILGLEKDILLMVTKQ -LWKLMDLREEVTRRGCGGMSLETRENKEESITGKEVKNLITQILLLLIDVPGMRDTRFLN -CPHSLLPLTSNAELLKHCLMAGKWSPKAEMIILAAERSEH ->sp|P10395.2|MYC_AVIM2 RecName: Full=Viral myc transforming protein; Short=v-Myc -MPLSASLPSKNYDYDYDSVQPYFYFEEEEENFYLAAQQRGSELQPPAPSEDIWKKFELLP -TPPLSPSRRSSLAAASCFPSTADQLEMVTELLGGDMVNQSFICDPDDESFVKSIIIQDCM -WSGFSAAAKLEKVVSEKLATYQASRREGGPAAASRPGPPPSGPPPPPAGPAASAGLYLHD -LGAAAADCIDPSVVFPYPLSERAPRAAPPGANPAALLGVDTPPTTSSDSEEEQEEDEEID -VVTLAEANESESSTESSTEASEEHCKPHHSPLVLKRCHVNIHQHNYAAPPSTKVEYPAAK -RLKLDSGRVLKQISNNRKCSSPRTSDSEENDKRRTHNVLERQRRNELKLSFFALRDQIPE -VANNEKAPKVVILKKATEYVLSIQSDEHRLIAEKEQLRRRREQLKHKLEQLRNSRE ->sp|Q9IDV3.1|VPU_HV1YB RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MLWLGFIALGVAIIIAAIIWVLLYKEYKKIKLQEKIEQIRQKIRDRTEDRGKESDGDAEW -LAILLSPDKLDNWV ->sp|Q8AIH6.1|VPU_SIVTN RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MIKIVVGSVSTNVIGILCILLILIGGGLLIGIGIRRELERERQHQRVLERLARRLSIDSG -VEEDEEFNWNNFDPHNYNPRDWI ->sp|Q79669.1|VPU_HV1MV RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MHQENLLALIALSALCLINVLIWLFNLRIYLVQRKQDRREQEILERLRRIKEIRDDSDYE -SNEEEQQEVMELIHSHGFANPMFEL ->sp|Q77376.1|VPU_HV1AN RecName: Full=Protein Vpu; AltName: Full=U ORF protein; AltName: Full=Viral protein U -MHHRDLLAIIIISALLFINVILWGFILRKYLEQKEQDRKEREILERLRRIREIRDDSDYE -SNGEEEQEVMDLVLSHGFDNPMFEP ->sp|Q65983.1|NS3X_CVCAI RecName: Full=Non-structural protein 3x; Short=ns3x; AltName: Full=Accessory protein 3x; AltName: Full=ns3b -MLNLVSLLLKKSIVIQLFDITVYKFKAKFWYKLPFETRLRIIKHTKPKALSATKQVKRDY -RKTAILNSMRK ->sp|Q9YS17.1|NSP4_ROTPC RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MEFINQTFFSDYSEGKIDTIPYALGIVLALTNGSRILKFINLLISLLRKFIITSKTVIGK -FKIENNTSHQNDDIHKEYEEVMKQMREMRVHVTALFDSIHKDNMEWRMSESIRREKKREM -KASTAENEVKIHTNDVNICDTSGLETEVCL ->sp|Q8BCV4.1|VG11_MILVL RecName: Full=Uncharacterized 10.6 kDa protein -MKPEKKRILTTGEIDNSCFNKKSGGARTTVNGSPTDEKAFKVVSTLAGCQRLLRISHILL -RHVEVDTRTYFLFSTLVAAGGRTLPSGGRGQGSKLTGEAI ->sp|Q69015.1|VP3_SVM93 RecName: Full=Uncharacterized protein VP3 -MAPTQSQSKATTQWSLTRLAQQVRPHPTLLLLIRSNPMGPHSAWSWLLPLVQSNPMSLRQ -YATALQSFVLLLGTTGCPRELFLDLYRFIPTLTRTLLTSLGCGPGGAVVLRSGYRSLVLA -CSLGASLLLSYHQGLIPRPSGTQACCLTLSLMLASLSQFLS ->sp|Q6WB94.1|VGLG_HMPVC RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG -MEVKVENIRAIDMLKARVKNRVARSKCFKNASLILIGITTLSIALNIYLIINYTIQKTSS -ESEHHTSSPPTESNKEASTISTDNPDINPNSQHPTQQSTENPTLNPAASVSPSETEPAST -PDTTNRLSSVDRSTAQPSESRTKTKPTVHTRNNPSTASSTQSPPRATTKAIRRATTFRMS -STGKRPTTTSVQSDSSTTTQNHEETGSANPQASVSTMQN ->sp|O15925.1|RDRP_CPVKS RecName: Full=RNA-directed RNA polymerase -MKFVNIYEIQRFDGQPTRHGIAPKKIFRSKYIPTGLVPRLKYWRDVPSRAEMSKRIGKYF -EDEFKFYPNEEKLNEAVNIVQEKWISHYGTSLNVTSVSESFRTLPKSTSAGLPFKSGCTK -YEARNKMMRFARSQWDRVSKELQLQVLPCRLGARCQLRKRGENKPRLIWAYPGYLSIIEN -QYLTAIKKVPPPNFIGWSTNWLDGGKSLNRLLFGDKWTWQSIAQIDFSSFDATVRTELIF -HAFKILRSLFDLTRTENIMLDQLRHYFINTPILFYDKIIVKNRGIPSGSAFTQIIGTIVN -MIACQYASLRSRDYNLRIPFSCWLGDDSFLNFETALCRQEFEYDYLEKFKELGLNVSIDK -THYTTRFIDDFEVRFKGVRPYVKFLGKQIDILLDLTFHNDLDKLDAQMALPEKEDLSAYE -TGVRLIGLVWAYGAHYDIYLRILKVYLSLKLKPEFHVQQLLSYSEKPERTKRYQENFFSS -MKYQLNLDLDIYDLLAFPKFWDVSNRYFGSKYERLDFRSHKIYG ->sp|P69469.1|VPRL_FIVSD RecName: Full=Probable Vpr-like protein; AltName: Full=ORF2; AltName: Full=OrfA; AltName: Full=Protein Tat -MEVIRIFNKVAERLDKEAAIRIFVLAHQLERDKLIRLLQGLLWRLRFRKPKSKDCLCWFC -CRLYYWQLQSTLSIDTA ->sp|P00524.5|SRC_RSVSA RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKDPSQRRCSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKL -FGGFNTSDTVTSPQRAGALAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWL -AHSLTTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRESETT -KGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFSSLQQLVAYYSKHADGLCHR -LTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLKPG -TMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKGEMGKYLRL -PQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMGNGEVLDRVERGYR -MPCPPECPESLHDLMCQCWRRDPEERPTFEYLQAQLLPACVLEVAE ->sp|P63185.3|SRC_RSVSE RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKGPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKL -FGDFNTSDTVTSPQRAGALAGGVTTFVALYDYESWIETDLSFKKGERLQIVNNTEGNWWL -AHSVTTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRESETT -KGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFSSLQQLVAYYSKHADGLCHR -LTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLKPG -TMSPEAFLQEAQVMKKLRHKKLVQLYAVVSEEPIYIVIEYMSKGSLLDFLKGEMGKYLRL -PQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMGNGEVLDRVERGYR -MPCPPECPESLHDLMSQCWRRDPEERPTFEYLQAQLLPACVLEVAE ->sp|P11365.2|GAG_IPMA RecName: Full=Intracisternal A-particle Gag-related polyprotein; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=Capsid protein; Contains: RecName: Full=Nucleocapsid protein; Contains: RecName: Full=Protease; Flags: Precursor -MFGLEFFLVLEALLFLFTCYQVVKAGRILDEIQDKLSEVKRGERVGTKRKYGTQNKYTGL -SKGLEPEEKLRLGRNTWREIRRKRGKREKKKDQLAEVSRKRSLCSSLDGLGEPALSSSEA -DEEFSSEETDWEEEAAHYEKKGYQPGKVLANQLRKPKAAGEGQFADWPQGSRLQGPPYAE -SPPCVVRQPCAERQCAKRQCADSFIPREEQRKIQQAFPVFEGAEGGRVHAPVEYLQIKEL -AESVRKYGTNANFTLVQLDRLAGMALTPADWQTVVKAALPMMGKYMEWRALWHETAQAQA -RANAAALTPEQRDWTFDLLTGQGAYSADQTNYHWGAYAQISSTAIRRWKGLSRAGETTGQ -LTKVVQGPQESFSDFVARMTEAAERIFGESEQAAPLIEQLIYEQATKECRAAIAPRKNKG -LQDWLRVCRELGGPLTNAGLAAAILQSQNRSMSRNDQRTCFNCGKPGHFKKDCRAPDKQG -GTLTLCSKCGKGYHRADQCRSVRDIKGRVLPPPDSQSAYVPKNGSSGPRSQGLKDMGTGL -SGPRKQSERRPRKTHKVDLRAASDFLLMPQMSIQPVPVEPIPSLPLGTMGLILGRGSAST -LQGLVVHPELWIVNIPQKYQVLCSSPKGVFSISKGDRIPQLLLLLPDNTREKSAGPEIKK -MGSSGNDSAYLVVSLNDRPKLRLKINGKEFEGILDTGADKSIISTHWWPKAWPTTESSHS -LQGLGYQSCPTISSVALTWESSEGQQGKFIPYVLPLPVNLWGRDIMQHLGLILSNENAPS -GGYSAKAKNIMAKMGYKEGKGLGHQEQGRIEPISPNGNQDRQGLGFP ->sp|P51516.3|GAG_SRV2 RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=p4 -MGQELSQHELYVEQLKKALKTRGVKVKGNDLLKFFDFVKDTCPWFPQEGTIDIKRWRRVG -DCFQDYYNTFGPEKIPVTAFSYWNLIKDLIDKKEADPQVMAAVTQTEKILKVSSQTDLRD -NSHNKDMDLISLESDDEEAKAPSEKMTMSNKSPKKYPAMLASQNNNTDKDPDLSEVDWDG -LEDEAAKYHNPDWPPFLSRPPPYNRTAATAPAVMAVVNPKEELKEKISQLEEQIKLEELH -QSLIIRLQKLKTGNERVTSSGNIESHSRTPKWPGQCLPKGKYLINKNTEEYPPKDIFPVT -ETMDGQGQAWRHHNGFDFTVIKELKTAVSQYGATAPYTLAIVESIADNWLTPTDWNTLVR -AVLSGGDHLIWKSEFFENCRDTAKRNQQAGNGWDFDMLTGSGNYANTDAQMQYDPGLFAQ -IQAAATNAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGNAEAGVDYVKQ -LAYENANPACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDLLNNKN -KDRGGCFKCGKKGHFAKDCRDHSNKNPESKVPGLCPRCKRGKHWANECKSKTDSQGNPLP -PHQGNGMRGQPQAPKQAYGAVSFVPANSNNPFQNLIEPPQEVQDWTSVPPPTQY ->sp|P00527.2|YES_AVISY RecName: Full=Tyrosine-protein kinase transforming protein Yes -DKGPAMKYRTDNTPEPISSHVSHYGSDSSQATQSPAIKGSAVNFNSHSMTPFGGPSGMTP -FGGASSSFSAVPSPYPSTLTGGGTVFVALYDYEARTTDDLSFKGGERFQIINNTEGDWWE -ARSIATGKTGYIPSNYVAPADSIEAEEWYFGKMGRKDAERLLLNPGNQRGIFLVRESETT -KGAYSLSIRDWDEVRGDNVKHYKIRKLDNGGYYITTRAQFESLQKLVKHSREHADGLCHK -LTTVCPTVKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTKVAIKTLKLG -TMMPEAFLQEAQIMKKLRHDKLVPLYAVVSEEPIYIVTEFMTKGSLLDFLKEGEGKFLKL -PQLVDMAAQIADGMAYIERMNYIHRDLRAANILVGDNLVCKIADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMVNREVLEQVERGYR -MPCPQGCPESLHELMKLCWKKDPDERPTFEYIQSFLEDYFTAAEPSGY ->sp|P23049.2|SEA_AVIET RecName: Full=Tyrosine-protein kinase transforming protein SEA -ADSPGLARPHAHFASAGADAAGGGSPVLLLRTTSCCLEDLRPELLEEVKDILIPEERLIT -HRSRVIGRGHFGSVYHGTYMDPLLGNLHCAVKSLHRITYLEEVEEFLREGILMKGFHHPQ -VLSLLGVCLPRHGLPLVVLPYMRHGDLRHFVRAQERSPTVKELIGFGLQVALGMEYLAQK -KFVHRDLAARNCMLDETLTVKVADFGLARDVFGKEYYSIRQHRHAKLPVRWMALESLQTQ -KFTTKSDVWSFGVLMWELLTRGASPYPEVDPYDMARYLLRGRRLPQPQPCPDTLYGVMLS -CWAPTPEERPSFSGLVCELERVLASLEGEHYINMAVTYVNLESGPPFPPAPRGQLPDSED -EEDEEEEVAE ->sp|P33496.1|SH_TRTV RecName: Full=Small hydrophobic protein -MTSTVNLGSDTASKRTVIKSRCNSCCRILVSCVAVICAILALIFLVATIGLSVKLAFTVQ -EVHNCKQKLSGASTTTAAIYTTPSTMIEALQTNQLKLTTNERRSTPPDCLVEKKLCEGEV -RYLKTKGCLGAREGEDLNCIDLVVECVGKPCGHNEDYKECICTNNGTATKCCYN ->sp|P33495.1|VGLG_TRTV RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G -MGSKLYMVQGTSAYQTAVGFWLDIGRRYILAIVLSAFGLTCTVTIALTVSVIVEQSVLEE -CRNYNGGDRDWWSTTQEQPTTAPSATPAGNYGGLQTARTRKSESCLHVQISYGDMYSRSD -TVLGGFDCMGLLVLCKSGPICQRDNQVDPTALCHCRVDLSSVDCCKVNKISTNSSTTSEP -QKTNPAWPSQDNTDSDPNPQGITTSTATLLSTSLGLMLTSKTGTHKSGPPQALPGSNTNG -KTTTDREPGPTNQPNSTTNGQHNKHTQRMTPPPSHDNTRTILQHTTPWEKTFSTYKPTHS -PTNESDQSLPTTQNSINCEHFDPQGKEKICYRVGSYNSNITKQCRIDVPLCSTYSTVCMK -TYYTEPFNCWRRIWRCLCDDGVGLVEWCCTS ->sp|P33497.1|RYK_AVIR3 RecName: Full=Tyrosine-protein kinase transforming protein RYK -TTTVVNYTAKKSYCRRAVELTLGSLGVSSELQQKLQDVVIDRNALSLGKVLGEGEFGSVM -EGRLSQPEGTPQKVAVKTMKLDNFSHREIEEFLSEAACIKDFDHPNVIKLLGVCIELSSQ -QIPKPMVVLPFMKYGDLHSFLLRSRLEMAPQFVPLQMLLKFMVDIALGMEYLSSRQFLHR -DLAARNCMLRDDMTVCVADFGLSKKIYSGDYYRQGRIAKMPVKWIAIESLADRVYTTKSD -VWAFGVTMWEIATRGMTPYPGVQNHEIYEYLFHGQRLKKPENCLDELYDIMSSCWRAEPA -DRPTFSQLKVHLEKLLESLPAPRGSKDVIYVNTSLPEESPDSTQDLGLDSVIPQADSDLD -PGDIAEPCCSHTKAALVAVDIHDGGSRYVLESEGSPTEDAYVPQLPHEGSAWTEASTLPV -GSSLAAQLPCADGCLEDSEALL ->sp|P32868.1|SIG1S_REOVJ RecName: Full=Protein sigma-1-small; Short=Sigma1s; AltName: Full=Sigma-s; AltName: Full=Sigma1NS; AltName: Full=Sigma1bNS; AltName: Full=p14 -MENQPTRNTRSRKLRNKLKTSLLMSTGSVTSLIQSKDNWVDYLYACQPLNRELVRTAIEL -IDSSEMSPAYRLALAESIRVYPSWVTESMLQNSELASWIQSRIISLSEHQDWKLKYQPLL -MTLDH ->sp|P31693.3|SRC_RSVPA RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKDPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATELKL -FGDFNTSDTVTSPQRAGALAGSVTTFGTRESRIETDLSFKKRERLQIVNNTEGTWWLAHS -LTTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESGRLLLNPENPRGTFLVRESETTKGA -YCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFSSLQQLVAYYSKHADGLCHRLTN -VCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGYFGEVWMGTWNGTTRVAIKTLKPGTMS -PEAFLQEAQVMKKLRHEKLVQLYAMVSEEPIYIVIEYMSKGSLLDFLKGEMGKYLRLPQL -VEMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARPGAR -FPVKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNGEVLDRVERGYRMPC -PPECPESLHDLMCQCWRKDPEERPTFEYLQAQLLPACVLKIAE ->sp|P31748.1|AKT_MLVAT RecName: Full=AKT kinase-transforming protein -AREETLIIIPGLPLSLGATDTMNDVAIVKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYK -ERPQDVDQRESPLNNFSVAQCQLMKTERPRPNTFIIRCLQWTTVIERTFHVETPEEREEW -ATAIQTVADGLKRQEEETMDFRSGSPSDNSGAEEMEVSLAKPKHRVTMNEFEYLKLLGKG -TFGKVILVKEKATGRYYAMKILKKEVIVAKDEVAHTLTENRVLQNSRHPFLTALKYSFQT -HDRLCFVMEYANGGELFFHLSRERVFSEDRARFYGAEIVSALDYLHSEKNVVYRDLKLEN -LMLDKDGHIKITDFGLCKEGIKDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVM -YEMMCGRLPFYNQDHEKLFELILMEEIRFPRTLGPEAKSLLSGLLKKDPTQRLGGGSEDA -KEIMQHRFFANIVWQDVYEKKLSPPFKPQVTSETDTRYFDEEFTAQMITITPPDQDDSME -CVDSERRPHFPQFSYSASGTA ->sp|P07938.1|SIG1S_REOVL RecName: Full=Protein sigma-1-small; Short=Sigma1s; AltName: Full=Sigma-s; AltName: Full=Sigma1NS; AltName: Full=Sigma1bNS; AltName: Full=p14 -MAPSQKKSRKSRNKSRSTLMISGLPILNSTDLEDRLLTSAIASQPLSQDWVRWIIDLWVS -RVRSRNYLTQVARTLRAYPHWVTESMLSNHELTVWIRSRLISLDEHPLWRQMLEAYGQN ->sp|P03413.1|YPX2_BLVJ RecName: Full=Putative uncharacterized protein PXBL-II -RQNYFLSFKQVLLVGGPTLYMPARPWFCPMMSPSMPGAPSAGPMSDSNSKGSTPRSPARP -TVSTGPPMDDLAASMERCSLDCMSPRPAPKGPDDSGSTAPFRPFALSPARFHFPPSSGPP -SSPTNANCPRPLATVAPLSGTAFFPGTT ->sp|P03412.1|YPX1_BLVJ RecName: Full=Putative uncharacterized protein PXBL-I -ASVVGWGPHSLHACPALVLSNDVTIDAWCPLCGPHERLQFERIDTTLTCETHRINWTADG -RPCGLNGTLFPRLHVSETRPQGPRRLWINCPLPAVRAQPGPVSLSPFERSPFQPYQCQLP -SASSDGCPIIGHGLLPWNNLVTHPVLGKVLILNQMANFSLLPSFDTLLVDPLRLSVFAPD -TRGAIRYLSTLLTLCPATCILPLGEPFSPNVPICRFPRDSNEPPLSEFELPPIQTPGLSW -SVPAIDLFLTGPPSPCDRLHVWSSPQALQRFLHDPTLTWSELVASRKIRLDSPLKLQLLE -NEWLSRLF ->sp|P19031.1|YOR3_FIVSD RecName: Full=Uncharacterized protein ORF3; AltName: Full=ORFD -MLDRNSKSVLVAFYRSGNIFRYNQCSDSMETSTISSPSRRIRNNFLGLLGTRGARLSRLS -WGNDTSKS ->sp|P06831.1|Y7K_MEASH RecName: Full=Putative uncharacterized 7 kDa protein -MGPKTLVPSLLCACGLRIWWTYHSLWDGGHGSQLHSHPGRWNQSQIGLLVNQSHDVTQTS -GIPTSVK ->sp|Q00033.1|NSP1_ROTPC RecName: Full=Non-structural protein 1; Short=NSP1; AltName: Full=NCVP2; AltName: Full=Non-structural RNA-binding protein 53; Short=NS53 -MANSYREMLYWFGKTIDRNLPYVNTNGWRKQKGRKDGICLNCLDECKLYSCDHCGIKHKC -GNCVLSECFLDVKNEFNKYRWLVFDEEPDQAVLLQHWIMYKDYFLQKFNYRLATQAKILN -MNKNQKFQLNEGRKRALSVPITSQFLKFRLFGKIYIQFGTIMTNKIQPWLELSTLKIGYL -QLLNVERCSELMATRGQFTTNVAKTACITEIKCRRPIYDNDCIIEAYLDKNDRGWKFAAI -LGRRKIPVTQKLAMEYFMKSLRAELFYYAHSRCHTLSNCPRWNEGLRLLNSSTLNIVFRR -QFMNEIVEWFEIFSQYTGSHYEFITECVHDKSAITAFKQEIEDYIKEGKQITLKSVVPEE -HAAYRHILRLRESLMLAIDAALSRIRSQSMGVL ->sp|P08014.1|NEP_INBYA RecName: Full=Nuclear export protein; Short=NEP; AltName: Full=Non-structural protein 2; Short=NS2 -MADNMTTTQIEWRMKKMAIGSSTHSSSVLMKDIQSQFEQLKLRWESYPNLVKSTDYHQRR -ETIRLVTEELYLLSKRIDDNILFHKTVIANSSIIADMIVSLSLLETLYEMKDVVEVYSRQ -CL ->sp|P01117.1|RASK_MSVKI RecName: Full=GTPase KRas; AltName: Full=Ki-Ras; AltName: Full=Transforming protein p21/K-Ras; Flags: Precursor -MTEYKLVVVGASGVGKSALTIQLIQNHFVDEYDPTIQDSYRKQVVIDGETCLLDILDTTG -QEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHHYREQLKRVKDSEDVPMVLVGNKCDL -PSRTVDTKQAQELARSYGIPFIETSAKTRQRVEDAFYTLVREIRQYRLKKISKEEKTPGC -VKIKKCVIM ->sp|P16103.1|POL_MCFF3 RecName: Full=Gag-Pol polyprotein; Short=Pr180gag-pol; Contains: RecName: Full=Integrase p46; Short=IN -RNTPGPHGLTPYEILYGAPPPLVNFHDPDMSELTNSPSLQAHLQALQTVQREIWRPLAEA -YRDRLDQPVIPHPFRTGDSVWVRRHQTKNSEPRWKGPYTVLLTTPTALKVDGIAAWIHAA -HVKAATTPPAGTASGPTWKVQRSQNPLKIRLTRGAP ->sp|P01105.1|MYBE_AVILE RecName: Full=p135Gag-Myb-Ets-transforming protein; Contains: RecName: Full=Transforming protein v-Myb; Contains: RecName: Full=Transforming protein v-Ets -NSTMRRKVEQEGYLQESSKAGLPSATTGFQKSSHLMAFAHNPPAGPLPGAGQAPLGSDYP -YYHIAEPQNVPGQIPYPVALHVNIVNVPQPAAAAIQRHYNDEDPEKEKRIKELELLLMST -ENELKGQQALPTQNHTANYPGWHSTTVADNTMTSGDNAPVSCLGEHHHCTPSPPVDHGTS -EMMSYYMDTTIGSTGPYPLARPGVMQGASSCCEDPWMPCRLQSACCPPRSCCPPWDEAAI -QEVPTGLEHYSTDMECADVPLLTPSSKEMMSQALKATFSGFAKEQQRLGIPKDPQQWTET -HVRDWVMWAVNEFSLKGVDFQKFCMNGAALCALGKECFLELAPDFVGDILWEHLEILQKE -EAKPYPANGVNAAYPESRYTSDYFISYGIEHAQCVPPSEFSEPSFITESYQTLHPISSEE -LLSLKYENDYPSVILRDPVQTDSLQTDYFTIKQEVVTPDNMCMGRVSRGKLGGQDSFESI -ESYDSCDRLTQSWSSQSSFQSLQRVPSYDSFDSEDYPAALPNHKPKGTFKDYVRDRADMN -KDKPVIPAAALAGYTGSGPIQLWQFLLELLTDKSCQSFISWTGDGWEFKLSDPDEVARRW -GKRKNKPKMDYEKLSRGLRYYYDKNVIHKTAGKRYVYRFVCDLQSLLGYTPEEHSSASGL -TSSMACSSF ->sp|P14085.3|SRC_AVIST RecName: Full=Tyrosine-protein kinase transforming protein Src; AltName: Full=pp60v-src; Short=p60-Src; Short=v-Src -MGSSKSKPKDPSQRRRSLEPPDSTHHGGFPASQTPNKTAAPDTHRTPSRSFGTVATEPKL -FGGFNTSDTVTSPQRAGALAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDWWL -AHSLTTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNPENPRGTFLVRESETT -KGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFSSLQQLVAYYSKHADGLCHR -LTNVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLKPG -TMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKGEMGKYLRL -PQLVDMAAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTARQ -GAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERGYR -MPCPPECPESLHDLMCQCWRKDPEERPTFEYLQAFLEDYLGILAWTPWEDKQEGPRGETA -SNKQERPGEDTLAADES ->sp|P00529.1|ROS_AVISU RecName: Full=Tyrosine-protein kinase transforming protein ros -DTVTSPDITAIVAVIGAVVLGLTSLTIIILFGFVWHQRWKSRKPASTGQIVLVKEDKELA -QLRGMAETVGLANACYAVSTLPSQAEIESLPAFPRDKLNLHKLLGSGAFGEVYEGTALDI -LADGSGESRVAVKTLKRGATDQEKSEFLKEAHLMSKFDHPHILKLLGVCLLNEPQYLILE -LMEGGDLLSYLRGARKQKFQSPLLTLTDLLDICLDICKGCVYLEKMRFIHRDLAARNCLV -SEKQYGSCSRVVKIGDFGLARDIYKNDYYRKRGEGLLPVRWMAPESLIDGVFTNHSDVWA -FGVLVWETLTLGQQPYPGLSNIEVLHHVRSGGRLESPNNCPDDIRDLMTRCWAQDPHNRP -TFFYIQHKLQEIRHSPLCFSYFLGDKESVAPLRIQTAFFQPL ->sp|P00532.1|RAF_MSV36 RecName: Full=Serine/threonine-protein kinase-transforming protein raf -EKNKIRPRGQRDSSYYWKMEASEVMLSTRIGSGSFGTVYKGKWHGDVAVKILKVVDPTPE -QLQAFRNEVAVLRKTRHVNILLFMGYMTKDNLAIVTQWCEGSSLYKHLHVQETKFQMFQL -IDIARQTAQGMDYLHAKNIIHRDMKSNNIFLHEGLTVKIGDFGLATVKSRWSGSQQVEQP -TGSVLWMAPEVIRMQDDNPFSFQSDVYSYGIVLYELMAGELPYAHINNRDQIIFMVGRGY -ASPDLSRLYKNCPKAIKRLVADCVKKVKEERPLFPQILSSIELLQHSLPKINRSAPEPSL -HRAAHTEDINACTLTTSPRLPVF ->sp|P00531.1|MIL_AVIMH RecName: Full=Serine/threonine-protein kinase-transforming protein mil -PTMPVDSRIIEDAIRNHSESASPSASSGSPNNMSPTGWSQPKTPVPAQRERAPGTNTQEK -NKIRPRGQRDSSYYWEIEASEVLLSTRIGSGSFGTVYKGKWHGDVAVKILKVVDPTPEQF -QAFRNEVAVLRKTRHVNILLFMGYMTKDNLAIVTQWCEGSSLYKHLHVQETKFQMFQLID -IARQTAQGMDYLHAKNIIHRDMKSNNIFLHGGLTVKIGDFGLATVKSRWSGSQQVEQPTG -SILWMAPEVIRMQDSNPFSFQSDVYSYGIVLYELMTGELPYSHINNRDQIIFMVGRGYAS -PDLSKLYKNCPKAMKRLVADCLKKVREERPLFPQILSSIALLQHSLPKINRSASEPSLHR -ASHTEDINSCTLTSTRLPVF ->sp|P27966.1|RMIL_AVEVR RecName: Full=Serine/threonine-protein kinase-transforming protein Rmil -MEAVIKDLIRDQGVRGEGGSTAGLSATPPASLPGSLTNVKALQKSPGPQRERKSSSSSED -RNRMKTLGRRDSSDDWEIPDGQITVGQRIGSGSFGTVYKGKWHGDVAVKMLNVTAPTPQQ -LQAFKNEVGVLRKTRHVNILLFMGYSTKPQLAIVTQWCEGSSLYHHLHIIETKFEMIKLI -DIARQTAQGMDYLHAKSIIHRDLKSNNIFLHEDLTVKIGDFGLATVKSRWSGSHQFEQLS -GSILWMAPEVIRMQDKNPYSFQSDVYAFGIVLYELMTGQLPYSNINNRDQIIFMVGRGYL -SPDLSKVRSNCPKAMKRLMAECLKKKRDERPLFPQILASIELLARSLPKIHRSASEPSLN -RAGFQTEDFSLYACASPKTPIQAGGIGEWAVHLLKGLLLGLVVILLLVVCLPCLLQCVSS -SIRKMIDNSLGYREEYKKLQEAYKQPERRA ->sp|P00541.1|FPS_AVISP RecName: Full=Tyrosine-protein kinase transforming protein Fps -ASGQLHRPQPQEHTSTSAAAGTWRHTQASESRHRLPHCSAAPSHQDHSAMGFGPELWCPK -GHSELLRLQDSELRLLELMKKWMSERAKSDREYAGMLHHMFSQLGSEEPPPALPLQEDRQ -SVCSTDQERSGVTALETIKNHISGIFSPRFSLPPPVPLIPEVQKPLCQQAWYHGAIPRSE -VQELLKCSGDFLVRESQGKQEYVLSVLWDGQPRHFIIQAADNLYRLEGDGFPTIPLLIDH -LLQSQQPITRKSGIVLTRAVLKDKWVLNHEDVLLGERIGRGNFGEVFSGRLRADNTPVAV -KSCRETLPPELKAKFLQEARILKQYNHPNIVRLIGVCTQKQPIYIVMELVQGGDFLSFLR -SKGPHLKMKELIKMMENAAAGMEYLESKHCIHRDLAARNCLVTEKNTLKISDFGMSRQEE -DGVYASTGGMKQIPVKWTAPEALNYGRYSSESDVWSFGILLWEAFSLGAVPYANLSNQQT -REAIEQGVRLEPPEQCPEDVYRLMQRCWEYDPRRRPSFGAVHQDLIAIRKRHR ->sp|P00545.2|KFMS_FSVMD RecName: Full=Tyrosine-protein kinase transforming protein fms -RMPSGPGHYGASAETPGPRPPLCPASSCCLPTEAMGPRALLVLLMATAWHAQGVPVIQPS -GPELVVEPGTTVTLRCVGNGSVEWDGPISPHWNLDLDPPSSILTTNNATFQNTGTYHCTE -PGNPRGGNATIHLYVKDPARPWKVLAQEVTVLEGQDALLPCLLTDPALEAGVSLVRVRGR -PVLRQTNYSFSPWHGFTIHKAKFIENHVYQCSARVDGRTVTSMGIWLKVQKDISGPATLT -LEPAELVRIQGEAAQIVCSASNIDVNFDVSLRHGDTKLTISQQSDFHDNRYQKVLTLNLD -HVSFQDAGNYSCTATNAWGNHSASMVFRVVESAYSNLTSEQSLLQEVTVGEKVDLQVKVE -AYPGLESFNWTYLGPFSDYQDKLDFVTIKDTYRYTSTLSLPRLKRSESGRYSFLARNAGG -QNALTFELTLRYPPEVRVTMTLINGSDTLLCEASGYPQPSVTWVQCRSHTDRCDESAGLV -LEDSHSEVLSQVPFYEVIVHSLLAIGTLEHNRTYECRAFNSVGNSSQTFWPISIGAHTPL -PDELLFTPVLLTCMSIMALLLLLLLLLLYKYKQKPKYQVRWKIIESYEGNSYTFIDPTQL -PYNEKWEFPRNNLQFGKTLGTGAFGKVVEATAFGLGKEDAVLKVAVKMLKSTAHADEKEA -LMSELKIMSHLGQHENIVNLLGACTHGGPVLVITEYCCYGDLLNFLRRQAEAMLGPSLSV -GQDPEAGAGYKNIHLEKKYVRRDSGFSSQGVDTYVEMRPVSTSSSNDSFSEEDLGKEDGR -PLELRDLLHFSSQVAQGMAFLASKNCIHRDVAARNVLLTSGRVAKIGDFGLARDIMNDSN -YIVKGNARLPVKWMAPESIFDCVYTVQSDVWSYGILLWEIFSLGLNPYPGILVNSKFYKL -VKDGYQMAQPAFAPKNIYSIMQACWALEPTRRPTFQQICSLLQKQAQEDRRVPNYTNLPS -SSSSRLLRPWQRTPPVAR ->sp|P00544.1|FGR_FSVGR RecName: Full=Tyrosine-protein kinase transforming protein Fgr -ARALCRPAVCRPRPLPPLPPTAMEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRP -RHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAP -EEHPVLLTEAPLNPKANREKMTQIMFETFNIPSNYVAPVDSIQAEEWYFGKIGRKDAERQ -LLSPGNARGAFLVRESETTKGAYSLSIRDWDEARGDHVKHYKIRKLDTGGYYITTRAQFN -SVQELVQHYVEVNDGLCHLLTAACTTMKPQTMGLAKDAWEISRSSITLQRRLGTGCFGDV -WLGMWNGSTKVAVKTLKPGTMSPKASLEEAQIMKLLRHDKLVQLYAVVPEEPIYIVTEFM -CHGSLLEFLKDQEGQDLTLPQLVDMAAQVAEGMAYMERMDYIHRDLRAANILVGERLVCK -IADFGLARLIEDNEYNPRQGAKFPIKWTAPEAALFGRFTIKSDVWSFGILLTELISKGRV -PYPGMNNREVLEQVEHGYHMPCPPGCPASLYEAMEQTWRLDPEERPTFEYLQSFLEDYFN -GPQQN ->sp|P00543.1|FES_FSVST RecName: Full=Tyrosine-protein kinase transforming protein Fes -HPREQVQLLAKKQVLQEALQALQVALCSQAKLQAQRELLQAKLEQLGPGEPPPVLLLQDD -RHSTSSSEQEREGGRTPTLEILKSHISGIFRPKFSLPPPLQLVPEVQKPLHEQLWYHGAL -PRAEVAELLTHSGDFLVRESQGKQEYVLSVLWDGQPRHFIIQSADNLYRPEGDGFASIPL -LVDHLLRSQQPLTKKSGIVLNRAVPKDKWVLNHEDLVLGEQIGRGNFGEVFSGRLRADNT -LVAVKSCRETLPPDIKAKFLQEAKILKQYSHPNIVRLIGVCTQKQPIYIVMELVQGGDFL -TFLRTEGARLRMKTLLQMVGDAAAGMEYLESKCCIHRDLAARNCLVTEKNVLKISDFGMS -REEADGVYAASGGLRLVPVKWTAPEALNYGRYSSESDVWSFGILLWETFSLGASPYPNLS -NQQTREFVEKGGRLPCPELCPDAVFRLMEQCWAYEPGQRPSFSAFYQELQSIRKRHR ->sp|P00542.1|FES_FSVGA RecName: Full=Tyrosine-protein kinase transforming protein Fes -AARADGTMGFSSELCSPQGHGAEQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSL -QDGGGRGTGPYSPISQSWAEITSQTEGLSRLLRQHAEDLNSGPLSKLGLLIRERQQLRKT -YSEQWQQLQQELTKTHNQDIEKLKSQYRALARDSAQARRKYQEASKDKDRDKAKLEQLGP -GEPPPVLLLQDDRHSTSSSEQEREGGRTPTLEILKSHISGIFRPKFSLPPPLQLVPEVQK -PLHEQLWYHGALPRAEVAELLTHSGDFLVRESQGKQEYVLSVLWDGQPRHFIIQSADNLY -RPEGDGFASIPLLVDHLLRSQQPLTKKSGIVLNRAVPKDKWVLNHEDLVLGEQIGRGNFG -EVFSGRLRADNTLVAVKSCRETLPPDIKAKFLQEAKILKQYSHPNIVRLIGVCTQKQPIY -IVMELVQGGDFLTFLRTEGARLRMKTLLQMVGDAAAGMEYLESKCCIHRDLAARNCLVTE -KNVLKISDFGMSREAADGIYAASGGLRQVPVKWTAPEALNYGRYSSESDVWSFGILLWET -FSLGASPYPNLSNQQTREFVEKGGRLPCPELCPDAVFRLMEQCWAYEPGQRPSFSAIYQE -LQSIRKRHR ->sp|P10447.1|ABL_FSVHY RecName: Full=Tyrosine-protein kinase transforming protein Abl; AltName: Full=V-abl -ENLLAGPSENDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWV -PSNYITPVNSLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRV -YHYRINTASDGKLYVSPESRFNTLAELVHHHSTVADGLITTLHYPAPKRNKPTVYGVSPN -YDKWEMERTDITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMK -EIKHPNLVQLLGVCTREPPFYIITEFMTYGNLLDYLRECNRQEVNAVVLLYMATQISSAM -EYLEKKNFIHRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGTKFPIKWTAPESL -AYNKFSIKSDVWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYEL -MRACWQWNPSDRPAFAEIH ->sp|P04022.3|GAG_SRV1 RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp24; Contains: RecName: Full=Phosphorylated protein pp18; Contains: RecName: Full=p12; Contains: RecName: Full=Capsid protein p27; Contains: RecName: Full=Nucleocapsid protein p14; Contains: RecName: Full=p4 -MGQELSQHERYVEQLKQALKTRGVKVKYADLLKFFDFVKDTCPWFPQEGTIDIKRWRRVG -DCFQDYYNTFGPEKVPVTAFSYWNLIKELIDKKEVNPQVMAAVAQTEEILKTSSHTELTT -KPSQNPDLDLISLDSDDEGAKGSSLKDKNLSCTKKPKRFPVLLTAQTSADPEDPNPSEVD -WDGLEDEAAKYHNPDWPPFLTRPPPYNKATPSAPTVMAVVNPKEELKEKIAQLEEQIKLE -ELHQALISKLQKLKTGNETVTSPETAGGFSRTPHWPGQHIPKGKCCASREKEEQTPKDIF -PVTETVDGQGQAWRHHNGFDFTVIKELKTAASQYGATAPYTLAIVESVADNWLTPTDWNT -LVRAVLSGGDHLLWKSEFFENCRETAKRNQQAGNGWDFDMLTGSGNYSSTDAQMQYDPGL -FAQIQAAATKAWRKLPVKGDPGASLTGVKQGPDEPFADFVHRLITTAGRIFGSAEAGVDY -VKQLAYENANPACQAAIRPYRKKTDLTGYIRLCSDIGPSYQQGLAMAAAFSGQTVKDFLN -NKNKEKGGCCFKCGRKGHFAKNCHEHIHNNSETKAPGLCPRCKRGKHWANECKSKTDSQG -NPLPPHQGNGLRGQPQAPKQAYGAVSFVPANKNNPFQSLPEPPQEVQDWTSVPPPTQY ->sp|P03343.3|GAG_MMTVG RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p10; Contains: RecName: Full=Phosphorylated protein pp21; Contains: RecName: Full=Protein p3; Contains: RecName: Full=Protein p8; Contains: RecName: Full=Protein n; Contains: RecName: Full=Capsid protein p27 -MGVSGSKGQKLFVSVLQRLLSERGLHVKESSTIEFYQFLIKVSLGFPKKEDLNLQDWKRV -GREMKKYAADDGTDSIPKQAYPIWLQLREILTEQSDLVLLSAEAKSVTEEELEEGLTGLL -SASSQEKTYGTRGTAYAEIDTEADKLSEHIYDEPYEEKEKADKNEEKDHVRKVKKIVQRK -ENSEHKRKEKDQKAFLATDWNDDDLSPEDWDNLEEQAAHYHDDDELILPVKRKVVKKKPL -ALRRKPLPPVGFAGAMAEAREKGDLTFTFPVVFMGESDDDDTPVWEPLPLKTLKELQSAV -RTMGPSAPYTLEVVDMVASQWLTPSDWHQTARATLSPGDYVLWRTEYEEKSKE ->sp|P26803.1|ENV_MLVFP RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MACSTLSKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVW -AISGNHPLWTWWPDLTPDLCMLALSGPPHWGLEYRAPYSSPPGPPCCSGSSGNRAGCARD -CDEPLTSLTPRCNTAWNRLKLDQVTHKSSGGFYVCPGSHRPRKAKSCGGPDSFYCASWGC -ETTGRAYWKPSSSWDYITVDNNLTTNQAAQVCKDNKWCNPLAIQFTNAGKQVTSWTIGHY -WGLRLYVSGQDPGLTFGIRLKYQNLGPRVPIGPNPVLADQLSFPLPNPLPKPAKSPSASN -STPTLISPSPAPTQPPPAGTGDRLLNLVQGAYQALNLTNPDKTQECWLCLVSAPPYYEGV -AVLGTYSNHTSAPANCSAGSQHKLTLSEVTGQGLCIGTVPKTHQALCNTTLKTGKGSYYL -VAPAGTMWACNTGLTPCLSATVLNRTTDYCVLVELWPRVTYHPPSYVYSQFEKSYRHKRE -PVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLHAAVQDDLKEVEKSITNLEKSL -TSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLTQRQKLF -ESSQGWFEGLFNRSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFVKDRISVVQALV -LTQQYHQLKPLEYEPQ ->sp|P03390.3|ENV_MLVF5 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MACSTLPKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVW -AISGNHPLWTWWPVLTPDLCMLALSGPPHWGLEYQAPYSSPPGPPCCSGSSGSSAGCSRD -CDEPLTSLTPRCNTAWNRLKLDQVTHKSSEGFYVCPGSHRPREAKSCGGPDSFYCASWGC -ETTGRVYWKPSSSWDYITVDNNLTTSQAVQVCKDNKWCNPLAIQFTNAGKQVTSWTTGHY -WGLRLYVSGRDPGLTFGIRLRYQNLGPRVPIGPNPVLADQLSLPRPNPLPKPAKSPPASN -STPTLISPSPTPTQPPPAGTGDRLLNLVQGAYQALNLTNPDKTQECWLCLVSGPPYYEGV -AVLGTYSNHTSAPANCSVASQHKLTLSEVTGRGLCIGTVPKTHQALCNTTLKIDKGSYYL -VAPTGTTWACNTGLTPCLSATVLNRTTDYCVLVELWPRVTYHPPSYVYSQFEKSYRHKRE -PVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLHAAVQDDLKEVEKSITNLEKSL -TSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLTQRQKLF -ESSQGWFEGLFNRSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFVKDRISVVQALV -LTQQYHQLKPLEYEP ->sp|P0DJZ7.1|NS4_BTVBZ RecName: Full=Protein NS4 -MVRGRNRRAARRKRAAKRLKMQMWIDAYILQWDLDQAQKDLENARTRMLTEEMERLEEEV -EMLMRELELLERMEEDG ->sp|P0DJX8.1|ALT2B_ENMGO RecName: Full=Protein 2B* -PFTFKPRQRPVFSDSRSGSVINGSNPTAERLGQQSYGISLYGFARCQRGRPKSNEDYKDV -KFSIGCMGKCKRNIEQPGVLETTLKQMCATDCRDDDSSDASGPLDAALLGSLDSSRDHKP -DKPVRRNSS ->sp|Q83046.2|P34_LIYV9 RecName: Full=RNA-binding P34 protein; Short=P34 -MIMMSPLYALTKQCVIDTAYRLAVPTQHCAIYTVACRILFLSVGFMTIVKLCGFKMDTSS -FIASIEKDNLMDCLISLVEMRDRLRLCNDFPILNYGVNILELLIGKRLNKINNLKNCYVI -RELITINISKEWVGKQALKVGLHCFLNLSQADSRHVKYLLSDKESLNKMNFSRYYVPKVV -TDLYLDLIGVLYVNTGYNIDLVEKFIFDKLEFLVYDGEEGFKSPQVEYNDICTVNNLKPI -IKYNRWHTDGSIVIECGDVIGKGINKTKKKFAINDAKAEFVKNFKAKNKNNE ->sp|P0C790.1|P9_LIYV9 RecName: Full=Protein P9 -MDTKTLIDKYNIENFTNYINFIIRNHQAGKGNLRFLVNLLKTTGGSNLKELDINPVEIEN -FNIDIYLDFLEFCLDSKFIF ->sp|B3EUR5.2|PB1F2_I61A1 RecName: Full=Protein PB1-F2 -MGQEQGIPWIL ->sp|P06295.2|MYC_AVIMD RecName: Full=Viral myc transforming protein; Short=v-Myc -MPLSASLPSKNYDYDYDSVQPYFYFEEEEENFYLAAQQRGSELQPPAPSEDIWKKFELLP -MPPLSPSRRSSLAAASCFPSTADQLEMVTELLGGDMVNQSFICDPDDESFVKSIIIQDCM -WSGFSAAAKLEKVVSEKLATYQASRREGGPAAASRPGPPPSGPPPPPAGPAASAGLYLHD -LGAAAADCIDPSVVFPYPLSERAPRAAPPGANPAALLGVDTPPTTSSDSEEEQEEDEEID -VVTLAEANESESSTESSTEASEEHCKPHHSPLVLKRCQVNIHQHNYAAPPSTKVEYPAAK -RLKLDSGRVLKQISNNRKCSSPRTLDSEENDKRRTHNVLERQRRNELKLRFFALRDQIPE -VANNEKAPKVGILKKATEYVLSIQSDEHRLIAEKEQLRRRREQLKHNLEQLKNSRA ->sp|P69515.1|RDRP_CGMVW RecName: Full=Replicase large subunit; AltName: Full=183 kDa protein -ASMLPLDKCFKASFCGDDSLIYLPKGLEYPDIQATANLVWNFEAKLFRKKYGYFCGKYII -HHANGCIVYPDPLKLISKLGNKSLVGYEHVEEFRISLLDVAHSLFNGAYFHLLDDAIHEL -FPNAGGCSFVINCLCKYLSDKRLFRSLYIDVSK ->sp|P36324.1|POL2_RRVS RecName: Full=RNA2 polyprotein; AltName: Full=P2; Contains: RecName: Full=Protein 2A; Short=P2A; Contains: RecName: Full=Movement protein; AltName: Full=2B-MP; Contains: RecName: Full=Coat protein; AltName: Full=2C-CP -MSQFWGEFPEKVIQTFQHLQVALIGDIKKCALSSPLFPELSKLDAHSQHHLLASFELPRF -GGVTPGVMEQLRDAESELAEAKQRLLRERLHAVANRQNIPYLGDCMYYDAPGISQEELLQ -AAFLEAPTPAWEHERIRPLWPKDEWFRDARQGPYLEDYGNIPLGDLDTLCLAFDALVEEH -WMPIYLLISTFSMFQQYGTQPLLLECAQSAGSLIPACMMTDHHLEPTGDRQADKEARQDY -ADSQDSIQSMGDFWKEFYTKDSGKKIPDSHKSRLANDPNKVGFTKSALFHKQPLSHSLAQ -TWANFRGTQDKADLVKVTMDMNIEKYTVRLPDAVRTTAGPLYIEWINLPRMSENSARKLA -EVGWNNADICGVDLAVKSHIAVGTPVRVIISLVDGACSDMPTATMCAFEVNLASQNNRSL -NLPLLSLPFSRLLADLHDFQNRVKIACQFRDPEGFNVGTPMLSFSSLEFSELKQTAFERN -SLLRDSWSEIEKRACHGGGRCVASQGIVQTWEKEVNPPLKEYAPLVLPPVPQPKRNFIDQ -QTGEVVQSFMQKSRSMRFKSPSDLWSRPSVDGGSTSTQPPSKGSLRCENVPGCAYEVDPL -HLLYYESVDVPKDTLAGTLLARIDVRAKAAIFDSAVWRQWVRDGCLKPKIKMRITAATSC -FSGIVLGACFDAYRRIPAATKTGITASLVTGLPNTVWATRDTSEVEWDIDLAAVCGHTFF -ALEDTFGYMDFLIYVLRGNEITAVADWSIYVSFHVDWTQESMLATLIPTFVWPPKPTDIS -LLKEVWGPYRFTLDGTEAKESFAIMPGTAILHGQQIVRTFPRVVAAHFRSWTGKVRMSIQ -EVSSIFLTGTYMVGVSWNATADLADIVTRKHWIVKSNEIFEVDLYCPYGENPTFTGQANG -KPFIIVHKLGGIVGPKDSVGTFGFMIHIHGLTGVYKNPTLHSGDRSVGSAWFRINNIADD -NLVFNIPGRIEDIIAAAGKYDVTNYVNPTSLLFSVTGLHGGIIRLHITWCPNTTLGESKG -TLKYMQYLYHTATENFFGDQATRGIIDQDGFTIDIACGDFFGATRVGLPGEVERLGIYSS -NAKSIAEIRVSFEVLSMNFYGSTIKVT ->sp|P33776.1|V13K_TRVPL RecName: Full=16 kDa protein -MKALFLVTRRVVSVMLTSCESKLPTWLVSHVGVRKIIVDGLSVLLLTILLLMCIIVVAVV -TLKSVVNVLKRGIEKSGNRLNEFELKDRLLRLKSPEIRNPLRRNSKREKSLGHQKDF ->sp|P32555.1|VNS3_BRD RecName: Full=Non-structural protein NS3; Contains: RecName: Full=Non-structural protein NS3A -MLAALEMKSSPTAPPAYAAIPSTNAALSVLQNAVASGTGANEVMRNEKAAYGAATEVLKD -DETTRMLKMQVNEYSLAEMRAAYQKLKRQSRLLHYGELLCLALVLGLTFTLMASSTAAVL -QSALQQVGITGHVVTGILTSLAIFLQHHRTRVLKRKRSVKRDIVKRMTYISLARRMGTRS -PETGCRKVTSGLPHGASGGSGTRQG ->sp|P31790.1|GAG_IPMAE RecName: Full=Intracisternal A-particle Gag-related polyprotein -MGISHSIVVALRSVLKQCGLKIATKTLEGFVREIDRVAPWYACSGSLTVASWDKLKGDLV -REQQKGKLKAGIIPLWKLVKSCLTDEDCQQMVEAGQKVLDEIQESLSEVERGEKVKVERK -QSALKNLGLSTGLEPEEKRYKGKNALGEIRKRDEKGEKKGDRAGEAHKERSLYPPLVEFK -QLTLSNSEPDEGVSTSEETDSEEEAVRYKGERYQQDKMATQPRKRQKAADESQLAAWPPD -CRLQGPSAPPLYVQR ->sp|P25245.1|YR21_TORVR RecName: Full=Uncharacterized protein in RNA2 -MAASKAAKSSEDRAGGGGGGGGKEVFKAGRTLLKVLKALSLRRDSAFEANSASAANLAAE -GGGGGGRAATSRSRRILLMAAFWAARRGASCAALTAARAAAFLALAMAAYLAALRARMCS -RMICWPLTASLFFRAANCCLCVRGGGGGASPLQGRRSQGAVIAASSAERTTSIAVEVCLA -EGTAKGPFEGWSNLCCPTRAG ->sp|P20955.1|Y14K_PMV RecName: Full=Uncharacterized 14.1 kDa protein in ORF1 coding strand -MQWWNMKTSSRKCLKSMKGKFSQKNTGTATVFKPKTPSFRCFLTNRQKMTPYFGLPLKPD -WSSPTQRPIGKSTLKRGQWEKCCLNHTSGPCTCQRCPFPLRRIFGTRACMRCKRPTCPSL -KT ->sp|P15201.1|C_RABVA RecName: Full=Putative uncharacterized protein C -MSRSTFLTLQEGLRRINQPRLLAESSRRRQRLLSLREKANLRKLGWWLKLPLVLQPLNGQ -PPMKKMIYQ ->sp|P23091.1|MAF_AVIS4 RecName: Full=Transforming protein Maf -MASELAMSGSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPM -STPCSSVPPSPSFSAPSPGSGTDQKTHLEDYYWMTGYPQQLNPEALGFSPEDAVEALINS -SHHPLPGAFDGYARGQQLAAAAGGSVPAEEMGSAAAVVSAVIAAAAAQGGAPHYHHHHHH -PHHGGGGGGGGHPHGAAPGSAPPSSASSSAAGSGGGGGGGGGGAGGLHHPHHGGGGGGGG -LHFDDRFSDEQLVTMSMRELNRQLRGVSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRH -VLESEKNQLLQQVEHLKQEISRLVRERDAYKEKYEKLVSNGFRENGSSSDNPSSPEFFMY -PRESSTTVM ->sp|P04023.1|GAG_IPHA RecName: Full=Intracisternal A-particle Gag-related polyprotein; Contains: RecName: Full=Matrix protein; Contains: RecName: Full=Phosphorylated protein; Contains: RecName: Full=Capsid protein; Contains: RecName: Full=Nucleocapsid protein; Contains: RecName: Full=Protease -MGSSQSVVTALQTVLKQRDLKIAPRTLQNFMKEVDRVAPWYACSGSLTVASWNKLGKELD -RKHAEGDLCLGTKAIWKLVKNCLEDEACHPAIIESQGTLEEVQDSMSETERSERMGARKR -KDMSKKKGPPQEVKKGGEKEGSYHSPLNKSKKKKKPESSQYPAVELEALELDNSDSDTLD -SSEEGGLEEEVARYEEKRYHPDRHRPLKTKMNVRPPPINPAGSRPSAPPPYELRLNTGTD -SFLPLEERRKIQMAFPVFENAEGGRVHTPVDYNQIKELAESVRNYGVNANFTTLQVERLA -NFAMTPTDWQTTVKAVLPNMGQYMEWKALWYDAAQAQARVNATAGRSSGHLTKIVQGPQE -PFSDFVARMTEAASRIFGDSEQAMPLIEQLVYEQATQECRAAIAPRKSKGLQDWLRVCRE -LGGPLTNAGLAAAILQTHRYRDLSNRKACFNCGRMGHLKKDCQAPERTRESKLCYRCGKG -YHRASECGIMDSGADKSIISLHWWPKSWPTVVSSHSLQGLGYQSSPAISASALTWRDAEG -KQGCFTPYVLPLPVNLWGRDVLQAMGMTLTNE ->sp|P0DTG0.1|ORF3D_SARS2 RecName: Full=Putative ORF3d protein -MAYCWRCTSCCFSERFQNHNPQKEMATSTLQGCSLCLQLAVVVCNSLLTPFARCCWP ->sp|P0DTG1.1|ORF3C_SARS2 RecName: Full=ORF3c protein; Short=ORF3c; AltName: Full=ORF3h protein; Short=ORF3h -MLLLQILFALLQRYRYKPHSLSDGLLLALHFLLFFRALPKS ->sp|P20894.1|L_HRSV RecName: Full=RNA-directed RNA polymerase L; Short=Protein L; AltName: Full=Large structural protein; AltName: Full=Replicase; AltName: Full=Transcriptase; Includes: RecName: Full=RNA-directed RNA polymerase; Includes: RecName: Full=GTP phosphohydrolase; Includes: RecName: Full=GDP polyribonucleotidyltransferase; AltName: Full=PRNTase; Includes: RecName: Full=mRNA cap methyltransferase; AltName: Full=mRNA (guanine-N(7)-)-methyltransferase; Short=G-N7-MTase; AltName: Full=mRNA (nucleoside-2'-O-)-methyltransferase; Short=N1-2'-O-MTase -MDPIINGNSANVYLTDSYLKGVISFSECNALGSYIFNGPYLKNDYTNLISRQNPLIEHMN -LKKLNITQSLISKYHKGEIKL ->sp|P0DJZ4.1|ORF5A_PRRSL RecName: Full=Structural protein ORF5a -MFSQIGAFLDSALLLLVAFFAVYRLVLVLCRWQRRQLDIPIHI ->sp|P0C769.1|P6_PLRV1 RecName: Full=Uncharacterized protein P6; AltName: Full=ORF6 protein -MLQSMVQTGPEFLHQGTHLNLEFPAIQELSLTFLRKPIYWRIGMPNTSTLVIPKKMSLLL -LL ->sp|P04507.3|SIGM1_REOVJ RecName: Full=Outer capsid protein sigma-1; Short=Sigma1; AltName: Full=Cell attachment protein; AltName: Full=Hemagglutinin -MSDLVQLIRREILLLTGNGESANSKHEIEEIKKQIKDISADVNRISNIVDSIQGQLGGLS -VRVSAIESGVSENGNRIDRLERDVSGISASVSGIDSRLSELGDRVNVAEQRIGQLDTVTD -NLLERASRLETEVSAITNDLGSLNTRVTTELNDVRQTIAAIDTRLTTLETDAVTSVGQGL -QKTGNSIKVIVGTGMWFDRNNVLQLFLSNQQKGLGFIDNGMVVKIDTQYFSFDSNGNITL -NNNISGLPARTGSLEASRIDVVAPPLVIQSTGSTRLLRLMYEAVDFVVTNNVLTLRNRSV -TPTFKFPLELNSADNSVSIHRNYRIRLGQWSGQLEYHTPSLRWNAPVTVNLMRVDDWLIL -SFTRFSTSGILASGKFVLNFVTGLSPGWATGSTEPSTTTNPLSTTFAAIQFINGSSRVDA -FRILGVAEWNAGELEITNHGGTYTAHTNVDWAPMTIMYPCLG ->sp|P03373.2|GAG_AVIER RecName: Full=Gag polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10; Contains: RecName: Full=V-erbA oncogene -REEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATERRIDKGEEMGETTVQRDAKMAPEKM -ATPKTVGTSCYQCGTATGCNCVTASAPPPPYVGSGLYPSLAGAGEQGQGGDTPRGAEQPR -AEPGHAGQAPGPALTDWARIREELASTGPPVVAMPVVIKTEGPAWTPLEPEDTRWLDGKH -KRKSSQCLVKSSMSGYIPSCLDKDEQCVVCGDKATGYHYRCITCEGCKSFFRRTIQKNLH -PTYSCTYDGCCVIDKITRNQCQLCRFKKCISVGMAMDLVLDDSKRVAKRKLIEENRERRR -KEEMIKSLQHRPSPSAEEWELIHVVTEAHRSTNAQGSHWKQRRKFLLEDIGQSPMASMLD -GDKVDLEAFSEFTKIITPAITRVVDFAKNLPMFSELPCEDQIILLKGCCMEIMSLRAAVR -YDPESETLTLSGEMAVKREQLKNGGLGVVSDAIFDLGKSLSAFNLDDTEVALLQAVLLMS -SDRTGLICVDKIEKCQESYLLAFEHYINYRKHNIPHFWSKLLMKVADLRMIGAYHASRFL -HMKVECPTELSPQEV ->sp|P0C2Q0.1|NS49_CVPIA RecName: Full=Truncated non-structural protein of 4.9 kDa; Short=Truncated ns4.9; AltName: Full=Truncated 4.9 kDa accessory protein -MTINFVFGFHIVTLSICQSF ->sp|Q5QGZ2.1|NSP4_ROTGA RecName: Full=Non-structural glycoprotein 4; Short=NSP4; AltName: Full=NCVP5; AltName: Full=NS28 -MAESSDMQELFVQATYEEILKLASSVNHEQIRETISSSSPQKILTGTMLTLGALLTTLIV -KKKGTKFLTTKVQSNVAYLAEMVVWKANQTVKTICDEVLHQRKVIEKLQCLDKMCSEIEK -LRYDMEHFQGMDVTKELIQMCEQKMIDIDSKVKEVERSCDRRIRDYDWKIATLTAHPTQQ -LPAHIDIINQHIEDDAETQIIQQHMNKQARVKLNSRNRL ->sp|P03327.2|GAG_AVISY RecName: Full=Gag-yes polyprotein; Contains: RecName: Full=Matrix protein p19; Contains: RecName: Full=p2A; Contains: RecName: Full=p2B; Contains: RecName: Full=p10, truncated; Contains: RecName: Full=V-yes oncogene -MEAVIKVISSACKTYCGKTSPSKKEIGAMLSLLQKEGLLMSPSDLYSPGSWDPITAALSQ -RAMVLGKSGELKTWGLVLGALKAAREEQVTSEQAKFWLGLGGGRVSPPGPECIEKPATER -RIDKGEEVGETTVQRDAKMAPEETATPKTVGTSCYHCGTASGCNCATATASAPPPPYVGS -GLCPSLAGVGEQRKRGDDTPRGAEQPRAEPRHTGLTLGPARSARLPPPAPLPSSLPLLPP -FPPRVAAVPGGAGGAPLPSLSPSFFHPRRRGRAEATVGCIKSKE ->sp|P21444.2|ENV_FLVC1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Flags: Precursor -MEGPTHPKPSKDKTFSWDLIILVGVLLRLDVGMANPSPHQVYNITWTITNLVTGTKANAT -SMLGTLTDAFPTLYFDLCDIIGNTWNPSGQEPFPGYGCDQPMRRWQQRNTAFYVCPGHAN -RKQCGGPQDGFCAVWGCETTGETYWKPTSSWDYITVKKGVTQGIYQCSGGGWCGPCYDKA -VHSSTTGASEGGRCNPLILQFTQKGRQTSWDGPKSWGLRLYRSGYDPIALFSVSRQVMTI -TPPQAMGPDPVLPDQKPPSRTVSPQLNVIPHPS ->sp|Q02077.1|ENV_FLVCS RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Flags: Precursor -MANPSPHQIYNVTWVITNVQTNTQANATSMLGTLTDAYPTLHVDLCDLVGDTWEPIVLNP -TSVRPGAVLLSSSPKYGCKTTDRKKQQQTYPFYVCPGHAPSLGPKGTHCGGAQDGFCAAW -GCETTGEAWWKPTSSWDYITVKRGSSPDNSCEGKCNPLVLQFTQKGRQASWDGPKMWGLR -LYRTGYDPIALFTVSRQVSTITPPQAMGPNLVLPDQKPPSRQSQTGSKVATQRPQTNESA -PRSVGPTTMGPKRIGTGDRLINLVQGTYLALNATDPNKTKDCWLCLVSRPPYYEGIAILG -NYSNQTNPPPSCLSTPQHKLTISEVSGQGLCIGTVPKTHQALCNKTQQGHTGAHYLAAPN -GTYWACNTGLTPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFAKAVRFRREPISL -TVALMLGGLTVGGIAA ->sp|Q02076.1|ENV_FLVCA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E -PHQIYNVTWVITNVQTNTQANATSMLGTLTDAYPTLHVDLCDLVGNTWEPIVPDLRGWAS -YSSSKYGCKTADRKKQQQTYPFYVCPGHAPSLGPKGTHCGGAQDGFCAAWGCETTGEAWW -KPTSSWDYITVKRGSSQDNSCEGKCNPLVLQFTQKGRQASWDGPKMWGLRLYRTGYDPIA -LFTVSRQVSTITPPQAMGPNLVLPDRKPPSRQSQTGSKVATQRPQTNESAPRSIAPTTMG -PKRIGTGDRLINLVQGTYLALNATDPNKTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPP -SCLSIPQHKLTISEVSGQGLCIGTVPKTHQALCNETQQGHTGAHYLAAPNGTYWACNTGL -TPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFAKAVRFRREPISL ->sp|P15404.1|TGB2_WCMVO RecName: Full=Movement protein TGB2; AltName: Full=13 kDa protein; AltName: Full=Triple gene block 2 protein; Short=TGBp2 -MPLIPPPNPQKTYQIAVLALGLVLLLAFVLISDHSPKVGDHLHNLPFGGEYKDGTKTIKY -FQRPNQHSLSKTLAKSHNTTIFLIILGLIGTLHGLHYFSNNRRISSSLHCVLCQNKH ->sp|P05953.1|VPR_HV1C4 RecName: Full=Protein Vpr; AltName: Full=R ORF protein; AltName: Full=Viral protein R -NSATTAVYSFQDWVSA ->sp|P15405.1|TGB3_WCMVO RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MDFTTLVIIGVYLLVFIVYFAKINTSMCTISISGASVEISGCDNPALFEILPNLKPFDHG -LSVPSI ->sp|P12512.1|TAT_HV1ZH RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -PLPTTRGNPTGPKESKKEVESKTETDPFAW ->sp|P12510.1|TAT_HV1Z3 RecName: Full=Protein Tat; AltName: Full=Transactivating regulatory protein -PSSQPRGDPTGQEEPKKKVEKKTTTDPFD ->sp|P17863.1|SKI_AVIES RecName: Full=Transforming protein Ski -FHLSSMSSLGGPAAFSARWAQEMYKKDNGKDPAEPVLHLPPIQPPPVMPGPFFMPSDRST -ERCETILEGETISCFVVGGEKRLCLPQILNSVLRDFSLQQINSVCDELHIYCSRCTADQL -EILKVMGILPFSAPSCGLITKTDAERLCNALLYGGTYPPHCKKEFSSTIELELTEKSFKV -YHECFGKCKGLLVPELYSNPSAACIQCLDCRLMYPPHKFVVHSHKSLENRTCHWGFDSAN -WRSYILLSQDYTGKEEKARLGQLLDEMKEKFDYNNKYKRKAPRNRESPRVQLRRNKMFKT -MLWDPAGGSAVLQRQPDGNEVPSDPPASKKTKIDDSASQSPASTEKEKQSSRLRSLSSSS -NKSIGCVHPRQRLSAFRPWSPAVSANEKELSTHLPALIRDSSFYSYKSFENAVAPNVALA -PPAQQKVVSNPPCATVV ->sp|P01114.1|RASH_RRASV RecName: Full=Transforming protein p29; Contains: RecName: Full=Transforming protein p21; Flags: Precursor -MGQSLTTPLSLTLDHWKDVRDRARDQSVEIKKGPLRRSGTVAPASGGAGAPGLAAPVEAM -TEYKLVVVGARGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQ -EEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDLA -AHTVESRQAQDLARSYGIPYIETSAKTRPGVEDAFYTLVREIRQHKLRKLNPPDESGPGC -MSCKCVLS ->sp|P12501.1|POL_SIVV3 RecName: Full=Pol polyprotein -KEALVIWGELPTLELPVEREVWEQWWADYWQVSWIPEWDFVSTPPWLKLWYTLTKEPLAK -EDVYYVDGACNRNSREGKAGYITQYGKQRVEKLENTTNQQ ->sp|P12500.1|POL_SIVV2 RecName: Full=Pol polyprotein -AGLLAGSWIPDWTFVSVPPLVTLWYTLTKEPIPGEDVYYVDGACNRNSREGKAGYITQQG -KQRVEKLENTTNQQAELTAIKMALEDSGPRVNIVTDSQYA ->sp|P01104.2|MYB_AVIMB RecName: Full=Transforming protein Myb -NRTDVQCQHRWQKVLNPELNKGPWTKEEDQRVIEHVQKYGPKRWSDIAKHLKGRIGKQCR -ERWHNHLNPEVKKTSWTEEEDRIIYQAHKRLGNRWAEIAKLLPGRTDNAVKNHWNSTMRR -KVEQEGYPQESSKAGPPSATTGFQKSSHLMAFAHNPPAGPLPGAGQAPLGSDYPYYHIAE -PQNVPGQIPYPVALHINIINVPQPAAAAIQRHYTDEDPEKEKRIKELELLLMSTENELKG -QQALPTQNHTANYPGWHSTTVADNTRTSGDNAPVSCLGEHHHCTPSPPVDHGCLPEESAS -PARCMIVHQSNILDNVKNLLEFAETLQLIDSFLNTSSNHENLNLDNPALTSTPVCGHKMS -VTTPFHKDQTFTEYRKMHGGAV ->sp|P03395.2|ENV_MLVFR RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70 -AAPGSSPHQVYNITWEVTNGDRETVWAISGNHPLWTWWPVLTPDLCMLALHGPPHWGLEY -QAPYSSPPGPPCCSGSGGSSPGCSRDCNEPLTSLTPRCNTAWNRLKLDQVTHKSSEGFYV -CPGSHRPREAKSCGGPDSFYCASWGCETTGRAYWKPSSSWDYITVDNNLTTNQAVQVCKD -NKWCNPLAIQFTNAGRQVTSWITGHYWGLRLYVSGQDPGLTFGIRLKYQNLGPRVPIGPN -PVLADQLSFPLPNPLPKPAKSPPVSNSTPTMISPSPTPTQPPPAGTGDRLLNLVQGAYQA -LNLTNPDKTQECWLCLVSGPPYYEGVAVLGTYSNHTSAPTNCSVASQHKLTLSEVTGRGL -CIGTVPKTHQALCNTTLKTNKGSYYLVAPAGTTWACNTGLTPCLSATVLNRTTDYCVLVE -LWPRVTYHPPSYVYSQFEKSYRHKR ->sp|P20920.3|TAT_EIAVY RecName: Full=Protein Tat; AltName: Full=E-Tat; AltName: Full=Transactivating regulatory protein; AltName: Full=eTat -MADRRIPGTAEENLQKSSGGVPGQNTGGQEARPNYHCQLCFLRSLGIDYLDASLRKKNKQ -RLKAIQQGRQPQYLL ->sp|Q90121.1|KP4T_UMV4 RecName: Full=KP4 killer toxin; AltName: Full=Fungal toxin KP4; AltName: Full=Killer protein 4; Flags: Precursor -MQIINVVYSFLFAAAMLPVVHSLGINCRGSSQCGLSGGNLMVRIRDQACGNQGQTWCPGE -RRAKVCGTGNSISAYVQSTNNCISGTEACRHLTNLVNHGCRVCGSDPLYAGNDVSRGQLT -VNYVNSC ->sp|P40932.1|ENV_MPLV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Flags: Precursor -MACSTLPKSPKDKIDPRDLLIPLILFLSLKGARSAAPGSSPHQVYNITWEVTNGDRETVW -AISGRLYVSGRDPGLTFGIRLRYQNLGPRVPIGPNPVLAD ->sp|P16948.1|KP6T_UMV6 RecName: Full=KP6 killer toxin; AltName: Full=Killer protein 6; Contains: RecName: Full=KP6 killer toxin subunit alpha; AltName: Full=VP10; Contains: RecName: Full=KP6 killer toxin subunit beta; AltName: Full=VP12.5; Flags: Precursor -MLIFSVLMYLGLLLAGASALPNGLSPRNNAFCAGFGLSCKWECWCTAHGTGNELRYATAA -GCGDHLSKSYYDARAGHCLFSDDLRNQFYSHCSSLNNNMSCRSLSKRTIQDSATDTVDLG -AELHRDDPPPTASDIGKRGKRPRPVMCQCVDTTNGGVRLDAVTRAACSIDSFIDGYYTEK -DGFCRAKYSWDLFTSGQFYQACLRYSHAGTNCQPDPQYE ->sp|P05078.1|2B_TRVTC RecName: Full=Protein 2b; AltName: Full=29.1 kDa protein -MGNGDWSSKWPNDHLFIDDFGKLVWFDVLTDIVKISHFVSQVPTDLSPIPSSYISFIDGR -IPMCINHLGWVYIRVKFESEEVFYQKFGEVDVSRFGESELPPDFEVTFSKVTTLVNKSLV -RKSELLEKMNNELKQELTNKLDSLEKVNVQLKKELSQAQQSNFTELRDGLILNFSKVGGR -IHRMVVRSIQNQLKLVSEINNDGDRWATMGATVILKEGAQYLGFVVVKNDGKIGVKFDLT -RLNSLDNQTLLASVV ->sp|Q2Y2L9.1|VGLG_AMPV1 RecName: Full=Major surface glycoprotein G; AltName: Full=Attachment glycoprotein G; AltName: Full=Membrane-bound glycoprotein; Short=mG -MEVKVENVGKSQELKVKVKNFIKRSDCKKKLFALILGLVSFELTMNIMLSVMYVESNEAL -SLCRIQGTPAPRDNKTNTENTKKETTFHTTTTTRDPEVRETKTTKPKTNEGATSPSRNLT -TKGDIHQTTRATTEAELEKQSKQTIEPDTSTKKHTPTRPSSESPTTTQATAQLTTPTAPK -ASIAPKNRQATTKKTETGTTTTSRAKKTNNPTETATTTLKATTETGKGKEGPTQHTIKEQ -PETTAGETTTPQSRRTTSRPAPTTKTEEEAETTKTRTTKSTQTSTGPPGPTRSTPSKTAT -ENNKRTTTIKRPNTANTDSRQQTRTTAEQDRQIQTKAKPTTNGAHAQTTTTPEHNTDTTN -STKESSKEDKTTRDPSSKTPTDQEDASKGTTAANPRKNTEANTRTPPTTTPTRHTTESAT -STTGDKTKAKTTRWKSTADRQPIRNSTTAETKTAQSKQPTPKQLSNNTTPENTTPPNNKS -SSQTDAAPTEEIEIRSSLWRRRYVYGPCRENVLEHPMNPCFKDNTTWIYSDNGRNLPAGY -YDSKTDKIICYGIYRGNSYCYGRIECTCKNGTGLLSYCCNSYNWS ->sp|Q6I7B9.2|MAT_INCAA RecName: Full=Polyprotein p42; Contains: RecName: Full=Protein M1'; AltName: Full=CM1'; AltName: Full=p31; Contains: RecName: Full=Protein CM2 -MAHEILIAETEAFLKNVAPETRTAIISAITGGKSACKSAAKLIKNEHLPLMSGEATTMHI -VMRCLYPEIKPWKKASDMLNKATSSLKKSEGRDIRKQMKAAGDFLGVESMMKMRAFRDDQ -IMEMVEEVYDHPDDYTPDIRIGTITAWLRCKNKKSERYRSNVSESGRTALKIHEVRKAST -AMNEIAGITGLGEEALSLQRQTESLAILCNHTFGSNIMRPHLEKAIKGVEGRVGEMGRMA -MKWLVVIICFSITSQPASACNLKTCLKLFNNTDAVTVHCFNENQGYMLTLASLGLGIITM -LYLLVKIIIELVNGFVLGRWERWCGDIKTTIMPEIDSMEKDIALSRERLDLGEDAPDETD -NSPIPFSNDGIFEI ->sp|P32543.2|REV_EIAVC RecName: Full=Protein Rev; AltName: Full=3'-ORF protein -MAESKEARDQEMNLKEESKEEKRRNDWWKKDPQGPLESDQWCRVLRQSLPEEKIPSQTCI -ARRHLGPGPTQHTPSRRDRWIRGQILQTEVLQERLEWRIRGVQQAAKELGEVNRGIWREL -YFREDQRGDFSAWGGYQRAQERLWGEQSSPRVLRPGDSKRRRKHL ->sp|P11305.2|REV_EIAV9 RecName: Full=Protein Rev; AltName: Full=3'-ORF protein -MAESKEARDQEMNLKEESKEEKRRNDWWKIDPQGPLESDQWCRVLRQSLPEEKIPSQTCI -ARRHLGPGPTQHTPSRRDRWIRGQILQAEVLQERLEWRIRGVQQAAKELGEVNRGIWREL -YFREDQRGDFSAWGGYQRAQERLWGEQSSPRVLRPGDSKRRRKHL ->sp|P31612.1|NSP11_WTVNJ RecName: Full=RNA-binding protein; AltName: Full=Non-structural protein 12; Short=Pns12 -MSNKESNVALQTLRVTKDMKDFLSHRIVGEPPANIKIEYQKIHRYRTCVCPSTGHISELC -PSGDLILSLGAHRNVIAAATVYDVVKNKTKSTTSKAGTSSTLSSLGLSGFQKPKIGSKNK -KTMFSKQNNSTNESDESEGEEGSSLNDLPKSDLINAIMELASQGRNNSKGKGRRGGKR ->sp|P31789.1|ENV_IPMAE RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; Contains: RecName: Full=Transmembrane protein; Short=TM; Flags: Precursor -MVQKMLTSRGLFLILTMLNLSQVPSIMGEQRWAILSTFPKPMPVRHDAIVFPKFFTTNKT -VDLPYLLYDPTAPLGENRSLLEQGSLCFQINGPGNCINLTARALGKFNEHRGWVSTTQDT -SNVEITFTNRTFWQEVNWVNGTFLPPNFSDKEHLHQPKIAPHCSLEDEGLILPWSDCQSS -IIRWVDQSKTFSFSPNMIDDPEKEFVMKKGLFIQDFRMHPFHKWVLCGVNGSCTELNPLI -FIQGGAVGKASFTGISRFAQYWGIHDASQDSYGYTNTSVEITGFNKTLVNQINYPSTPVC -VYPPFLFILSNDSFEVCSNDSCWISQCWDVTKNTRAMVARIPRWIPVPVETPSTLSMFRQ -KRDFGITAAMIIAISASAAAATAAGYAMVSAVSGTKLNQLSADLADAITVQTSASTKLKG -GLMILNQCLDLAEEQIGVLHQMAQLGCERKLEALCITSVQYENFTYAANLSRQLSLYLAG -NWSERFDETLEALIAAVLKINSTRMDLSLTEGLSSWISSAFSYFKEWVGVGLFGVATCCG -LVVMLWLVCKLRTQQTRDKVVITQALAAIEQGASPEIWLSMLKN ->sp|Q01480.1|YM2_DHVI1 RecName: Full=Putative uncharacterized protein M2 -FRGRAPRPLVGRSCQAAQHPHTRPKRQVRDCGREGDLRAGKAADRRLPRARETCSRFGEG -VRQKDVHKGPVEGTVLNPAGPPGDQAQRADVPDPGRSRRATVPIAQERRLEHRPILVYPE -SDNMYNLIREAWLSLERGTHR ->sp|P16485.1|Y5K_CYMV RecName: Full=Uncharacterized 5.8 kDa protein -MLHPHQWTLSLHQLPRLSRPRQSHLPAQTPQPRLSYPKTRRQI ->sp|P03163.1|Y10K_HBVD3 RecName: Full=Putative uncharacterized 10.4 kDa protein -MRRAEVKRSAHGPADEKAQTGSPRKERCAPWSVGTADGEGDERVPSDPEKGRPQDSAPTG -RKQRTSRAGSSWQHSLAAMETMYICGIGQQSYQSR ->sp|P17768.1|VP3_GFLV RecName: Full=Protein P3 -MDSYVTVDPSFHSPRISLEILVPTKYAKLFTLKQLSRMLALSCKHRARQAANPVSKRTSR -DRNGSKTMGQGPSAVAPQVSKGHNQQVDGGVCLAPVKSKRAVRREKRRTAAKKATNKAKT -ETKLVKKGGSSIHAPKAPKRTSYLSSLLSSPSGAKAKMGALSKPPQTKNAPDANEGGFTL -TAITPAECRAEARRRFHPITGSSRGPYGFCTRSREGCGVCADCVEKKAHLDFNRSFDTIG -TSRVIRVDSMMEEVAEDLASPSVLEPSGFWAPAEKQAPSGEGHSRRRCDVVTLARVTPVL -RMLRKVDPTLVDNRLLWEAAFRTVFPQRKCVYPHGCFCDRG ->sp|P24820.1|VP3_ARMV RecName: Full=Protein P3 -MDSHINANTSFSSPRVSVEILVPTKYAKLFTLKQLTRMLVLSCKHRARQAANPVSKRTSR -DRDGSKIMGQGPPAVGPRVSKGHKQQSDGGASLAPVKSKRAVRREKRRCRKKGATKAGTT -PVQKGGHCVHASKGQKQATYLSSLLSNPSGAKSRMGAVSKPPQTKNAPDASKGGFTLTAI -SPAECRKETARRFHPITGTFKGAPGFCTRSREGCGVCAACEAKLAQLGFDRSFDSIGTSR -VIRVDSMKEETSDDMASPSATEPVGFWAPAEKQAPRWEGQPIKRCDVVTLARVTPVLRML -RKVDPTFVDNRLLWEAAHSDSFSSAQVRIPLVALATRGREDCCGTSFVYSLTQVGILVAL ->sp|P28890.1|NSP11_RDVA RecName: Full=RNA-binding protein; AltName: Full=Non-structural protein 11; Short=Pns11 -MTASESFVGMQVLAQDKEVKATFIALDRKLPANLKVPYMKNAKYRTCICPSSNHLVDDCV -CEDVIIAYTAHRNNAVAALLYSDGNVIHRSGTLKPKSQNRFDLRGFLTSVNPGESSRNEA -GASKSTQKTYDRKDKSPSKSRNSKKGAKKSSSARKKKEYSSNSETDLSSDSDANTRKSKR -K ->sp|P23050.1|FOS_AVINK RecName: Full=p55-v-Fos-transforming protein -SQDFCTDLAVSSANFVPTVTAISTSPDLQWLVQPTLISSVAPSQNRGHPYGVPAPAPPAA -YSRPAVLKAPGGRGQSIGRRGKVEQLSPEEEEKRRIRRERNKMAAAKCRNRRRELTDTLQ -AETDQLEEEKSALQAEIANLLKEKEKLEFILAAHRPACKMPEELRFSEELAAATALDLGA -PSPAAAEEAFALPLMTEAPPAVPPKEPSGSGLELKAEPFDELLFSAGPREASRSVPDMDL -PGASSFYASDWEPLGAGSGGELEPLCTPVVTCTPCPSTYTSTFVFTYPEADAFPSCAAAH -RKGSSSNEPSSDSLSSPTLLAL ->sp|P0DTB4.1|ORF2P_HE71B RecName: Full=ORF2p protein -MVTIKELLPYSYWIGHPVSNRAIVYLFVGFTPLTLETLHTLNYIILLNTKRWAPRSPHSD -PARMRIPTQPRKAPL ->sp|Q88938.2|ENV_WDSV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; Contains: RecName: Full=Transmembrane protein; Short=TM; Flags: Precursor -MDTPGSLQVIAIISLLLVGGASQPATFLEKALPTDGPSLETIEHKTEMVNTTRSEEQSPV -RPSKTRQQLIDETPEICANAWVIRLITEFPTELGNMSQKQKTIAIQVHNTTMTMEETVFS -LVSHVNKKNYEIHNVSGICTKYQLVPGNFTCSTRKCISQTKEKRIISTTVKDTYEVYLPF -AWSQKPTGGDKYPEPQIGYNTGTGRLNQWNKDEFVIKQCRKKRGKRQITVPNSTLSPTGT -TDFTKFTPNPISPNSTALNELEQKTTPIGTEQPFNNEKWQNLIFGNIVTKMDPQCEAELF -QQFNISDKTVQVEFKVTSLPGQNISCQAIYNTEHGINIENKNCVISLIKENRKIKAHAYI -TRTGSYEWYAQQVTSKGIIQEVRNLVTIVECECPIVKPLPQGGIIPLTMPMRVLTNPSPI -LIHSALKFDLSKFGLSPCSFSPMEWQTYITKPLKRAMHGFEVHQRKKRDLGIGLHSTLNS -WWNGANSLGLTVESADRQKYDQKILKVLQNLAVQQRTDVKNQQTLGKALETPIYTITLQL -ADSLTAAILKHEQQQNVGITCKDIAILTVTQIATYLRDIQHEHLPVWFIEQITNQILLPV -GQVIMPEITAPPILNPLIGWNQSVLVIGLTHQLTITTVQQPLYKAANMGNFQDWTPFPPF -ILANKTHGFSIDCPIMRNSFLCHTLPTPVKLSEWERSTSTIYQTSPQVWITPEGKACLNH -RNITVQDRTCLINKPGCFIPKHPWSAGKQTIVPTQYIQQNFVPDTIDTEDNQTRVLQKEM -IEAISKAKRDYGVLKQGQIALIRHHEAITTILGQEATYSIKETQALISSIEQEAWYNNLF -SWYDGSVWSQLQLIIVVITCTIPLLWVLNTCLFFKLRRAIRRERDNNIVVEYQAQTRGRR -THMTEPITKKQRAKLLRHAKTNRRLPRSLRATPAVSAFEMVTFDPQEETVEINRIDPSHE -NNDHGGPMNMAPIISADSYALPTPYITIMLDRELLNQGMRKVITLLNDPAREVFNKAYNL -VTTNHFTLAYGCDESAGWVNQHAEYMGKPVIVTLAGLVITPVGLAWIPLPQQEPLEKLFM -VPNSMPHVTVAMADYHETKEMGKIVKDINNEELLLVKPQLFKWGPEGFFVACPLVIRGVV -TGHSLLHIACPATAVQAEGT ->sp|P0C780.1|NCAP_LDVC RecName: Full=Nucleoprotein; AltName: Full=Nucleocapsid protein; Short=Protein N -MSQNKKKGGQNKGANQQLNQLISALLRNAGQNKGKGQKKKKQPKLHFPMAGPSDLRHVMT -PNEVQMCRSSLVTLFNQGGGQCTLVDSGGINFTVSFMLPTHATVRLINASANSSA ->sp|P0C748.1|VP5_DXV96 RecName: Full=Protein VP5 -MLSIIRRKTRIVDITKQGNGNVPPACHLCSRRLTKEWSFGEGTICSFHRIRCRVQCGRSF -WHQPETPIDGLSWDSTTWELTRISSSKDPDISGQKDKRYGRRKEKNPKTDPPALDSRVRE -HEPIHEHEPIPGRVGPADTKQRCKANLRGDSGFVSIGRSNHPKLSREDCHNTRVPPGTQG -VRGGNVQLDKPRERPVSYQHGSKKRSEHRNPVSRSHRRKKAKTRTKTSKLGKSRDIC ->sp|P03528.3|SIGM1_REOVD RecName: Full=Outer capsid protein sigma-1; Short=Sigma1; AltName: Full=Cell attachment protein; AltName: Full=Hemagglutinin -MDPRLREEVVRLIIALTSDNGASLSKGLESRVSALEKTSQIHSDTILRITQGLDDANKRI -IALEQSRDDLVASVSDAQLAISRLESSIGALQTVVNGLDSSVTQLGARVGQLETGLAELR -VDHDNLVARVDTAERNIGSLTTELSTLTLRVTSIQADFESRISTLERTAVTSAGAPLSIR -NNRMTMGLNDGLTLSGNNLAIRLPGNTGLNIQNGGLQFRFNTDQFQIVNNNLTLKTTVFD -SINSRIGATEQSYVASAVTPLRLNSSTKVLDMLIDSSTLEINSSGQLTVRSTSPNLRYPI -ADVSGGIGMSPNYRFRQSMWIGIVSYSGSGLNWRVQVNSDIFIVDDYIHICLPAFDGFSI -ADGGDLSLNFVTGLLPPLLTGDTEPAFHNDVVTYGAQTVAIGLSSGGAPQYMSKNLWVEQ -WQDGVLRLRVEGGGSITHSNSKWPAMTVSYPRSFT ->sp|Q27ID8.1|ENV_XMRV6 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; Contains: RecName: Full=Transmembrane protein; Short=TM; Contains: RecName: Full=R-peptide; Flags: Precursor -MESPAFSKPLKDKINPWGPLIIMGILVRAGASVQRDSPHQVFNVTWKITNLMTGQTANAT -SLLGTMTDTFPKLYFDLCDLVGDNWDDPEPDIGDGCRSPGGRKRTRLYDFYVCPGHTVLT -GCGGPREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPKGQGPCFDSSVGSGSIQGAT -PGGRCNPLVLEFTDAGKRASWDAPKTWGLRLYRSTGADPVTLFSLTRQVLNVGPRVPIGP -NPVITEQLPPSQPVQIMLPRTPRPPPSGAASMVPGAPPPSQQPGTGDRLLNLVEGAYLAL -NLTSPDKTQECWLCLVSGPPYYEGVAVLGTYSNHTSAPANCSVTSQHKLTLSEVTGQGLC -IGAVPKTHQALCNTTQKTSDGSYYLASPAGTIWACSTGLTPCLSTTVLNLTTDYCVLVEL -WPKVTYHSPNYVYGQFEKKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATKQF -EQLQAAIHTDLGALEKSVSALEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFY -ADHTGVVRDSMAKLRERLNQRQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLIL -LFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKSIDPEEVESRE ->sp|P21415.2|ENV_GALV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MVLLPGSMLLTSNLHHLRHQMSPGSWKRLIILLSCVFGGGGTSLQNKNPHQPMTLTWQVL -SQTGDVVWDTKAVQPPWTWWPTLKPDVCALAASLESWDIPGTDVSSSKRVRPPDSDYTAA -YKQITWGAIGCSYPRARTRMASSTFYVCPRDGRTLSEARRCGGLESLYCKEWDCETTGTG -YWLSKSSKDLITVKWDQNSEWTQKFQQCHQTGWCNPLKIDFTDKGKLSKDWITGKTWGLR -FYVSGHPGVQFTIRLKITNMPAVAVGPDLVLVEQGPPRTSLALPPPLPPREAPPPSLPDS -NSTALATSAQTPTVRKTIVTLNTPPPTTGDRLFDLVQGAFLTLNATNPGATESCWLCLAM -GPPYYEAIASSGEVAYSTDLDRCRWGTQGKLTLTEVSGHGLCIGKVPFTHQHLCNQTLSI -NSSGDHQYLLPSNHSWWACSTGLTPCLSTSVFNQTRDFCIQVQLIPRIYYYPEEVLLQAY -DNSHPRTKREAVSLTLAVLLGLGITAGIGTGSTALIKGPIDLQQGLTSLQIAIDADLRAL -QDSVSKLEDSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYIDHSGAVRDSMKKL -KEKLDKRQLERQKSQNWYEGWFNNSPWFTTLLSTIAGPLLLLLLLLILGPCIINKLVQFI -NDRISAVKILVLRQKYQALENEGNL ->sp|P05411.2|JUN_AVIS1 RecName: Full=Viral jun-transforming protein; Short=v-Jun -MSAKMEPTFYEDALNASFAPPESGGYGYNNADILTSPDVGLLKLASPELERLIIQSSNGL -ITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAELHNQNTLPSVTSAAQPVSGGMAPVSSM -AGGGSFNTSLHSEPPVYANLSNFNPNALNSAPNYNANRMGYAPQHHINPQMPVQHPRLQA -LKEEPQTVPEMPGETPPLFPIDMESQERIKAERKRMRNRIAASKSRKRKLERIARLEEKV -KTLKAQNSELASTANMLREQVAQLKQKVMNHVNSGCQLMLTQQLQTF ->sp|O93036.1|BET_FFV RecName: Full=Protein Bet -MASKYPEEGPITEGVEEDFNSHSTSGLDLTSVGKNPEHPRRILLVLQHLIAYAEATIKKQ -DVPGPLLPILSPYVMAWDNPQNVVTRLVNLGESWKKYLLSPGWKDCGERDLTMLTRELLV -PGIGLVQIAATLTKTYVLMCNGRCITGSRTDPDCDPLFCKLLCWKQNIQDPRECNLEEWC -LYSLDPEHDPLWDPKMIVRRHRNLLPYCMRPFLIWMNYISHNPLTQQCIMMKTLNMLWRA -QADDPSDVASLYPRVKVFKASHFDIFGSASGNSEERVSWAKENSHRGEYSLLPSSDDEEE -EMSEREELLCHINQCQQKLFYPGGTTDVLGMESNVWLTKFVNIKFPKGTKVILPDGRKFI -ACDPELKPLLQELKFLDRATSESSDSE ->sp|Q91TW8.1|MOVP_MRFVC RecName: Full=Putative movement protein -MPLTPTPSIRPSRPTSFSMSGPTTLGVRQTSCSSSLRSSPSSSPDSPTSPTSSTTGSCPK -TPPGTPPLPRTSRTARPSSCMMLSCITPQGRSLTSSSSVPSSRRSMPPLSFRLSRASRIS -RSIRKFTASVFRVLTLSTSRRATQPPTTRSRVRPSTGSRPPVSPLVTSSSPSPFSTLSAR -SIPSSSSVAALPSFRPKTLLPSAFRTPSPSPLPPPSTRTFVTGWSPARCTTRSSIMSEPS -AFGLPTPPASSGLRSASLSTAGSPLPPGTTFSTSRSRPPPFVPTPRIPFSSRPSLACPTG -FAPTLGRSGAWLPPRPLSLPGPLPVPSAGSSPFTPTVSGCSASTSSAGGSGLVSHSMAPS -QGFFGRPIQPAARQCSLPTPPLSAKSLLVWQTGVLPPPSGRVCSPRPLRRPGSPTQPWP ->sp|Q8BCV8.1|VG25_MILVL RecName: Full=Uncharacterized 25 kDa protein -MSQFKDKSILKSNEVPEEMFTCISGSTDTNSEMKTVISKEKNEEKSLVKTKNKVNRIRLF -LNYHVKELPIMDHSIAERNSEMMKTVMRYKTSYREVTRFLIGSWLLFAEKLIPPFNMKAI -IIIETDQELVDVADFEGIEILLGNNIQSCLDSFYMRFYKEDLILYNTANELLLLIDNFKI -PKYFAKLDMNQVRLKKIIEKKYMSLIKAGKL ->sp|P68272.1|MYC_FLV RecName: Full=Viral myc transforming protein; Short=v-Myc -MPLNVSFANRNYDLDYDSVQPYFYCDEEENFYQQQQQSELQPPAPSEDIWKKFELLPTPP -LSPSRRSGLCSPSYVAFASFSPRGDDDGGGGSFSTADQLEMVTELLGGDMVNQSFICDPD -DETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSGSPSPARGPGGCPTSSLYLQD -LTAAASECIDPSVVFPYPLNDSSSPKPCASPDSAAFSPSSDSLLSSAESSPRASPEPLAL -HEETPPTTSSDSEEEQEEEEEIDVVSVEKRQPPAKRSESGSPSAGGHSKPPHSPLVLKRC -HVPTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCISPRSSDTEENDKRRTHN -VLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSVQAGEQKLISEKDLL -RKRREQLKHKLEQLRNSCA ->sp|Q992I2.1|SIGC_ARVS1 RecName: Full=Sigma-C capsid protein; AltName: Full=Sigma-3 protein -MAGLNPSQRREVVSLILSLTSNVNISHGDLTPIYERLTNLEASTELLHRSISDISTTVSN -ISANLQDMTHTLDDVTANLDGLRTTVTALQDSVSILSTNVTDLTNRSSAHAAILSSLQTT -VDGNSTAISNLKSDISSNGLAITDLQDRVKSLESTASHGLSFSPPLSVADGVVSLDMDPY -FCSQRVSLTSYSAEAQLMQFRWMARGTNGSSDTIDMTVNAHCHGRRTDYMMSSTGNLTVT -SNVVLLTFDLSDITHIPSDLARLVPSAGFQAASFPVDVSFTRDSATHAYQAYGVYSSSRV -FTITFPTGGDGTANIRSLTVRTGIDT ->sp|P56260.1|QIN_AVIS3 RecName: Full=Transforming protein Qin; AltName: Full=Oncogene Qin -MLDMGDRKEVKMLPKSSFSINNLVPEAVQSDNHSGHSHHNSHHPHHHHHHHHHHPPPPQQ -PQRAAAAEEEDEEKAPLLLPPPAAGALEAAKAEALAGKGEAGAAAAELEEKEKAAEEKKG -AAEGGKDGESGKEGEKKNGKYEKPPFSYNALIMMAIRQSPEKRLTLNGIYEFIMKNFPYY -RENKQGWQNSIRHNLSLNKCFVKVPRHYDDPGKGNYWMLDPSSDDVFIGGTTDKLRRRST -TSRAKLAFKRGARLTSTGLTFMDRAGSLYWPMSPFLSLHHPRASSTLSYNGTASAYPSHP -MPYSSVLTQNSLGNNHSFSTSNGLSVDRLVNGEIPYATHHLTAAALAASVPCGLSVPCSG -TYSLNPCSVNLLAGQTSYFSPTSLTPQ ->sp|P21445.2|ENV_FSVSM RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPTHPKPFKDKTFSWDLIILVGVVRVLLRLDVGMANPSPHQVYNVTWVITNVQTNSQA -NATSMLGTLTDAYPTLHVDLCDLVGDTWEPIVLDPSNVKHGARYSSSKYGCKTTDRKKQQ -QTYPFYVCPGHAPSMGPKGTHCGGAHDGFCAAWGCETTGEAWWKPTSSWDYITVKRGSSQ -DTSCDKNCNPLVLQFTQKGRQASWDGPKLWGLRLYRTGYDPIALFSVSRQVSTIMPPQAM -GPNLVLPEQKPPSRQSQTKSKVATQKPQTNGTTPRSVAPATMSPKRIGTRDRLINLVQGT -YLALNATDPNKTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPPSCLSTPQHKLTISEVSG -QGLCIGTVPRTHQALCNKTQQGHTGAHYLAAPNGTYWACNTGLTPCISMAVLNWTSDFCV -LIELWPRVTYHQPEYIYTHFDKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLE -TAQFRQLQIAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQGGGLCAALKEE -CCFYADHTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLIL -LLILLFGPCILNRLVQFVKDRISVVQALILTQQYQQIQQYDPDRP ->sp|P31794.1|ENV_MLVRK RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 76; Short=gp76; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESTTLSKPFKNQVNPWGPLIVLLILGRVNPVALGNSPHQVFNLSWEVTNEDRETVWAIT -GNHPLWTWWPDLTPDLCMLALHGPSYWGLEYQAPFSPPPGPPCCSRSSGSTPGCSRDCEE -PLTSYTPRCNTAWNRLKLSKVTHAHNEGFYVCPGPHRPRWARSCGGPESFYCASWGCETT -GRASWKPSSSWDYITVSNNLTSGQATPVCKNNTWCNSLTIRFTSLGKQATSWVTGHWWGL -RLYVSGHDPGLIFGIRLKITDSGPRVPIGPNPVLSDQRPPSQPRSPPHSNSTPTETPLTL -PEPPPAGVENRLLNLVKGAYQALNLTSPDRTQECWLCLVSGPPYYEGVAVLGTYSNHTSA -PANCSVASQHKLTLSEVTGRGLCVGAVPKTHQALCNTTQNTSGGSYYLAAPAGTIWACNT -GLTPCLSTTVLNLTTDYCVLVELWPRVTYHSPSYVYHQFEGRAKYKREPVSLTLALLLGG -LTMGGIAAGVGTGTTALVATQQLQAAVHDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLD -LLFLKEGGLCAALKEECCFYADHTGVVRDSMAKLRERLNQRQKLFESGQGWFERLFNGSP -WFTTLISTIMGPLIVLLLILLLGPCILNRLVQFVKDRISVVQALVLTQQYHQLKSIDPEE -MESRE ->sp|P31793.1|ENV_FRSFB RecName: Full=Glycoprotein 42; Short=gp42; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIVLGILIRAGVSVQRDSPHQVFNVTWRVTNLMTGQTANAT -SLLGTMTDAFPKLYFDLCDLIGNDWDETRLGCRTPGEGKRARTFDLYVCPGHTVPTGCGG -PREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPKDRGPCYDSSVSSGVQGATPGGRC -NPLVLKFTDAGKKASWDAPKVWGLRLYRSTGTDPVTRFSLTRQVLNIGPRVPIGPNPVIS -DQLPPSRPAQIMLPRPPQPPPPGTASIVPETAPPSQQPGTRDRLLNLVNKAYQALNLTSP -DKTQECWLCLVSRPPYYEGVAVLGTNSNHTTLISTIMGLLIILLLLLILLLWTLHS ->sp|P31791.1|ENV_FENV1 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MKPPAGMVFLWVLTSLGAGIGAKIVKEGNPHQVYTLTWQIYSQSGEVVWEVQGNHALNTW -WPALTPDFCQLAAGLDTWDIPDRSPKNLETSMEGTSQQLTPQGCSKPWKRCALTERDFYV -CPRDNRDRATAHRCGGYEEYFCSAWGCETTGDAYWQPTSTWDLITITRNYTKPDSCDDRV -ERERKTSRHWRDPLSLPLKITFTDSGKRALGWQTGYTWGLRWYLPGKDRGIILKIKLKID -TITQTVGPNLVLADQKTPVQLAIPVQPPRAPTQTPRVNPVNSTLSPSLGYPAPAPGPRPP -YPTSPSRPGTGDRLLNLVQGVYLTLNLTAPNQTQDCWLCLTAKPPYYQGVAIIGNFTNHT -NAPLRCSTTPRHGLTLTEVTGYGLCIGKIPPSHQNLCSQTVPSVGQGPYYLTAPNGTYWV -CNTGLTPCISLQILNDTADYCILIELWPKIFYHDSEYIYGHYEPGGRFRRDPVSLTVALL -LGGLTMGSLAAGIGTGTAALIETNQFKQLQIAMHSDIQALEESISALERSLISLSEVVLQ -NRRGLDLLFLQEGGLCAALKEECCFYADHTGIVRDSMAKLRERFKQRQKLFESQQGWFEG -WYNKSPWFTTLVSSLMVPLILLLLILMFGPCILNHLLQFIRERLSVIQALVLTQQYHQLR -QFDAERPDAIE ->sp|P14352.1|S1_FOAMV RecName: Full=Putative uncharacterized protein S1 -LDQRRRTFSFTGNSYFFIPSIHPSSLLSFLVSCCWPIGQERVARPASLRPRWHKPSTVLK -VLNPRTVVILDHLGNNRTVSIDNLKPTSHQNGTTNDTATMDHLEKNE ->sp|P04506.2|SIGM1_REOVL RecName: Full=Outer capsid protein sigma-1; Short=Sigma1; AltName: Full=Cell attachment protein; AltName: Full=Hemagglutinin -MDASLITEIRKIVLQLSVSSNGSQSKEIEEIKKQVQVNVDDIRAANIKLDGLGRQIADIS -NSISTIESRLGEMDNRLVGISSQVTQLSNSVSQNTQSISSLGDRINAVEPRVDSLDTVTS -NLTGRTSTLEADVGSLRTELAALTTRVTTEVTRLDGLINSGQNSIGELSTRLSNVETSMV -TTAGRGLQKNGNTLNVIVGNGMWFNSSNQLQLDLSGQSKGVGFVGTGMVVKIDTNYFAYN -SNGEITLVSQINELPSRVSTLESAKIDSVLPPLTVREASGVRTLSFGYDTSDFTIINSVL -SLRSRLTLPTYRYPLELDTANNRVQVADRFGMRTGTWTGQLQYQHPQLSWRANVTLNLMK -VDDWLVLSFSQMTTNSIMADGKFVINFVSGLSSGWQTGDTEPSSTIDPLSTTFAAVQFLN -NGQRIDAFRIMGVSEWTDGELEIKNYGGTYTGHTQVYWAPWTIMYPCNVR ->sp|P01126.1|REL_AVIRE RecName: Full=Transforming protein rel polyprotein; AltName: Full=p58 V-rel; Contains: RecName: Full=Env polyprotein N-terminal; Contains: RecName: Full=Transforming protein rel; Contains: RecName: Full=Env polyprotein C-terminal -MDFLTNLRFTEGISEPYIEIFEQPRQRGTRFRYKCEGRSAGSIPGEHSTDNNKTFPSIQI -LNYFGKVKIRTTLVTKNEPYKPHPHDLVGKGCRDGYYEAEFGPERQVLSFQNLGIQCVKK -KDLKESISLRISKKINPFNVPEEQLHNIDEYDLNVVRLCFQAFLPDEHGNYTLALPPLIS -NPIYDNRAPNTAELRICRVNKNCGSVKGGDEIFLLCDKVQKDDIEVRFVLGNWEAKGSFS -QADVHRQVAIVFRTPPFLGDITEPITVKMQLRRPSDQAVSEPVDFRYLPDEEDPSGNKAK -RQRSTLAWQKPIQDCGSAVTERPKAAPIPTVNPEGKLKKEPNMFSPTLMLPGLGTLSSSQ -MYPACSQMPTQPAQLGPGKQDTLHSCWQQLYSPSPSASSLLSLHSHSSFTAEVPQPGAQG -SSSLPAYNPLNWPDEKNSSFYRNFGNTHGMGAALVSAAGMQSVSSSSIVQGTHQASATTA -SIMTMPRTPGEVPFLRQQVGYRS ->sp|P11041.1|PYHD_CPVBM RecName: Full=Polyhedrin; AltName: Full=C-polyhedrin; Flags: Precursor -MADVAGTSNRDFRGREQRLFNSEQYNYNNSLNGEVSVWVYAYYSDGSVLVINKNSQYKVG -ISETFKALKEYREGQHNDSYDEYEVNQSIYYPNGGDARKFHSNAKPRAIQIIFSPSVNVR -TIKMAKGNAVSVPDEYLQRSHPWEATGIKYRKIKRDGEIVGYSHYFELPHEYNSISLAVS -GVHKNPSSYNVGSAHNVMDVFQSCDLALRFCNRYWAELELVNHYISPNAYPYLDINNHSY -GVALSNRQ ->sp|P03342.1|GAG_AVISN RecName: Full=Gag polyprotein; AltName: Full=Core polyprotein; Contains: RecName: Full=Matrix protein p15; Short=MA; Contains: RecName: Full=RNA-binding phosphoprotein p12; AltName: Full=pp12; Contains: RecName: Full=Capsid protein p30; Short=CA -MGQAGSKGLLTPLECILKNFSDFKKRAGDYGEDVDSFALRKLCELEWPTFGVGWPKEGTL -DFKVVAAVRNIVFGNPGHPDQVIYITVWTDITIERPKYLKSCGCKPHRTSKVLLASQKVN -PRRPVLPSAPESPPRIRRAQFLDERPLSPAPAPPPPYPEVSAIVEDTREGQQPDSTVMTS -PPHTRSGLEFGAQGPSGMYPLRETGERDMTGRPMRTYVPFTTSDLYNWKNQNPSSFSQAP -DQVISLLESVFYTHQPTWDDCQQLLRTLFTTEERERVRTESRREVRNDQGVQVTDEREIE -AQFPATRPDWVGS ->sp|P03389.1|ENV_RSFFV RecName: Full=Glycoprotein 55; Short=gp55; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANAT -SLLGTMTDAFPKLYFDLCDLIGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGG -PREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPRNQGPCYDSSAVSSDIKGATPGGR -CNPLVLEFTDAGKKASWDGPKVWGLRLYRSTGTDPVTRFSLTRQVLNIGPRVPIGPNPVI -TDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQQPGTGDRLLNLVDGAYQALNLTN -PDKTQDCWLCLVSGPPYYEGVAVLGTYYNHTSALKEECCFYADHTGLVRDSMAKLRERLT -QRQKLFESSQGWFEELFNRSTWFTTLIFTIIGPLIILLLILLFWTLHS ->sp|P06445.1|ENV_RMCFV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MACSTFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHKVFNVTWRVTNLMTGQTANAT -SLLGTMTDAFPKLYFDLCDLVGDYWDDPEPDIGDGCRTPGGRRRTRLYDFYVCPGHTVPI -GCGGPGEGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPKDQGPCYDSSVSSDIKGATP -GGRCNPLVLEFTDAGKKASWDGPKVWGLRLYRSTGTDPVTRFSLTRRVLNIGPRVPIGPN -PVIIDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQQPGTGDRLLNLVDGAYQALN -LTSPDKTQECWLCLVAEPPYYEGVAVLGTYSNHTSAPTNCSVASQHKLTLSEVTGQGLCI -GTVPKTHQALCNTTLKTNKGSYYLVAPAGTTWACNTGLTPCLSATVLNRTTDYCVLVELW -PRVTYHPPSYVYSQFEKSYRHKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQ -QLHAAVQDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYA -DHTGLVRDSMAKLRERLTQRQKLFESSQGWFEGLFNRSPWFTTLISTIMGPLIILLLILL -FGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPLEYEPQ ->sp|P21436.1|ENV_MLVHO RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 76; Short=gp76; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MDRPALPKSIKDKTNPWGPIILGILIMLGGALGKGSPHKVFNLTWEVYNQEYETVWATSG -SHPLWTWWPTLTPDLCMLAQLAKPSWGLSDYPPYSKPPGPPCCTTDNNPPGCSRDCNGPL -TYLTPRCSTAWNRLKLVLTTHHLNQGFYVCPGPHRPRHARNCGGPDDFYCAHWGCETTGQ -AYWKPSSSWDYIRVSNNASSSDATTACKNNNWCSPLAISFTDPGKRATSWTSGFTWGLRL -YISGHPGLIFGVRLKISDLGPRVPIGPNPVLSEQRPPSQPEPARLPPSSNLTQGGTPSAP -TGPPQEGTGDRLLDLVQGAYQALNATSPDKTQECWLCLVSSPPYYEGVAVVGPYSNHTTA -PANCSADSQHKLTLSEVTGKPLPRKGSQDPPGPVQYHSGARQKYSLSGGSRGTMWACNTG -LTPCLSTAVLNLTTDYCVLVELWPRVTYHSLDFVYRQVEGRTRYQREPVSLTLALLLGGL -TMGGIAAGVGTGTSALVKTQQFEQLHAAIQADLKEVESSITNLEKSLTSLSEVVLQNRRG -LDLLFLEKGGLCAALKEECCFYADHTGLVRDSMAKLRERLNQRQKLFEAGQGWFEGLFNR -SPWLTTLISTIMGPLIILLLILMFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPLEH -GRAIVK ->sp|P08360.1|ENV_MLVCB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPAFSKSPKDKTIERAFLGVLGILFVTGGLASRDNPHQVYNITWEVTNGEQDTVWAVT -GNHPLWTWWPDLTPDLCMLALHGPTHWGLDNHPPYSSPPGPPCCSGDAGAVSGCARDCDE -PLTSYSPRCNTAWNRLKLARVTHAPKEGFYICPGSHRPRWARSCGGLDAYYCASWGCETT -GRAAWNPTSSWDYITVSNNLTSSQATKACKNNGWCNPLVIRFTGPGKRATSWTTGHFWGL -RLYISGHDPGLTFGIRLKVTDLGPRVPIGPNPVLSDQRPPSRPVPARPPPPSASPSTPTI -PPQQGTGDRLLNLVQGAYLTLNMTDPTRTQECWLCLVSEPPYYEGVAVLREYTSHETAPA -NCSSGSQHKLTLSEVTGQGRCLGTVPKTHQALCNRTEPTVSGSNYLVAPEGTLWACSTGL -TPCLSTTVLNLTTDYCVLVELWPKVTYHSPDYVYTQFEPGARFRREPVSLTLALLPEGLT -MGGIAAGVGTGTTALVATQQFQQLQAAMHNDLKEVEKSITNLEKSLTSLSEVVLQNRRGL -DLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLNQRQKLFESGQGWFEGLFNRS -PWFTTLISTIMGPLIVLLLILLFGPCILNRLVQFVKDRISVVQALVLTQQYHQLKPIEYE -P ->sp|P03386.1|ENV_MLVAV RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESTTLSKPFKNQVNPWGPLIVLLILGGVNPVTLGNSPHQVFNLTWEVTNGDRETVWAIT -GNHPLWTWWPDLTPDLCMLALHGPSYWGLEYRAPFSPPPGPPCCSGSSDSTPGCSRDCEE -PLTSYTPRCNTAWNRLKLSKVTHAHNGGFYVCPGPHRPRWARSCGGPESFYCASWGCETT -GRASWKPSSSWDYITVSNNLTSDQATPVCKGNEWCNSLTIRFTSFGKQATSWVTGHWWGL -RLYVSGHDPGLIFGIRLKITDSGPRVPIGPNPVLSDRRPPSRPRPTRSPPPSNSTPTETP -LTLPEPPPAGVENRLLNLVKGAYQALNLTSPDKTQECWLCLVSGPPYYEGVAVLGTYSNH -TSAPANCSVASQHKLTLSEVTGQGLCIGAVPKTHQVLCNTTQKTSDGSYYLAAPTGTTWA -CSTGLTPCISTTILDLTTDYCVLVELWPRVTYHSPSYVYHQFERRAKYKREPVSLTLALL -LGGLTMGGIAAGVGTGTTALVATQQFQQLQAAMHDDLKEVEKSITNLEKSLTSLSEVVLQ -NRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRERLSQRQKLFESQQGWFEG -LFNKSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFIKDRISVVQALVLTQQYHQLK -TIEDCKSRE ->sp|P03388.1|ENV_MCFF3 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANAT -SLLGTMTDAFPKLYFDLCDLVGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGG -PREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPRNQGPCYDSSAVSSDIKGATPGGR -CNPLVLEFTDAGKKASWDGPKVWGLRLYRSTGTDPVTRFSLTRQVLNIGPRVPIGPNPVI -TDQLPPSRPVQIMLPRPPQPPPPGAASIVPETAPPSQQLGTGDRLLNLVNGAYQALNLTS -PDKTQECWLCLVAGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSGVAGRGLCIAAF -PKTHQALCNTTQKTSDGSYHLAAPAGTIWACNTGLTPCLSTTVLDLTTDYCVLVELWPKV -TYHSPSYVYGQFEKKKTKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQL -QAAMHDDLKEVEKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADH -TGLVRDSMAKLRERLSQRQKLFESQQGWFEGLFNKSPWFTTLISTIMGPLIILLLILLFG -PWILNRLVQFIKDRISVVQALVLTQQYHQLKTIGDCKSRE ->sp|P15073.1|ENV_MCFF RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPAFSKPLKDKINPWGPLIILGILIRAGVSVQHDSPHQVFNVTWRVTNLMTGQTANAT -SLLGTMTDAFPKLYFDLCDLIGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGG -PREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPRNQGPCYDSSVVSSGIQGATPGGR -CNPLVLEFTDAGKKASWDGPKVWGLRLYRSTGIDPVTRFSLTRQVLNIGPRLPIGPNPVI -TGQLPPSRPVQIRLPRPPQPPPPGAASIVPETAPPSQQPGTGDRLLNLVDGAYQALNLTS -PDKTQECWLCLVAGPPYYEGVAVLGTYSNHTSAPANCSVASQHKLTLSEVTGQGLCVGAV -PKTHQALCNTTQKTSDGSYYLAAPAGTIWACNTGLTPCLSTTVLNLTTDYCVLVELWPKV -TYHSPDYVYTQFEPGARFRREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLQ -AAVHNDLKEVEKSITNLEKSLTSLSEVALQNRRGLDLLFLKEGGLCAALKEECCFYADHT -GLVRDSMAKLRERLNQRQKLFESGQGWFEGLFNRSPWFTTLISTIMGPLIVLLLILLFGP -CILNRLVQFVKDRISVVQALVLTQQYHQLKPIEYEP ->sp|P03391.1|ENV_FSVGA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESPTHPKPSKDKTLSWNLVFLVGILFTIDIGMANPSPHQVYNVTWTITNLVTGTKANAT -SMLGTLTDAFPTMYFDLCDIIGNTWNPSDQEPFPGYGCDQPMRRWQQRNTPFYVCPGHAN -RKQCGGPQDGFCAVWGCETTGETYWRPTSSWDYITVKKGVTQGIYQCSGGGWCGPCYDKA -VHSSTTGASEGGRCNPLILQFTQKGRQTSWDGPKSWGLRLYRSGYDPIALFSVSRQVMTI -TPPQAMGPNLVLPDQKPPSRQSQIESRVTPHHSQGNGGTPGITLVNASIAPLSTPVTPAS -PKRIGTGDRLINLVQGTYLALNATDPNRTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPP -SCLSIPQHKLTISEVSGQGLCIGTVPKTHQALCNETQQGHTGAHYLAAPNGTYWACNTGL -TPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFAKAARFRREPISLTVALMLGGLT -VGGIAAGVGTGTKALIETAQFRQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGL -DILFLQEGGLCAALKEECCFYADHTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKS -PWFTTLISSIMGPLLILLLILLFGPCILNRLVQFVKDRISVVQALILTQQYQQIKQYDPD -RP ->sp|P06752.1|ENV_FLVSA RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESPTHPKPSKDKTFPWNLVFLVGILFQIDMGMANPSPHQVYNVTWVITNVQTNSRANAT -SMLGTLTDAYPTLYVDLCDLVGDTWEPIAPDPRSWARYSSSTHGCKTTDRKKQQQTYPFY -VCPGHAPSMGPKGTYCGGAQDGFCAAWGCETTGEAWWKPTSSWDYITVKRGSNQDNSCKG -KCNPLVLQFTQKGRQASWDRPKMWGLRLYRSGYDPIALFSVSRQVMTITPPQAMGPNLVL -PDQKPPSRQSQTKSKVTTQRPQITSSTPRSVASATMGPKRIGTGDRLINLVQGTYLALNA -TDPNKTKDCWLCLVSRPPYYEGIAVLGNYSNQTNPPPSCLSTPQHKLTISEVSGQGLCIG -TVPKTHQALCKKTQKGHKGTHYLAAPNGTYWACNTGLTPCISMAVLNWTSDFCVLIELWP -RVTYHQPEYIYTHFDKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLETAQFRQ -LQIAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCFYAD -HTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLILLL -GPCILNRLVQFVKDRISVVQALILTQQYQQIQQYDSDRP ->sp|P11261.1|ENV_FLVLB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPTHPKPSKDKTFSWDLMILVGVLLRLDVGMANPSPHQIYNVTWTITNLVTGTKANAT -SMLGTLTDAFPTMYFDLCDIIGNTWNPSDQEPFPGYGCDQPMRRWQQRNTPFYVCPGHAN -RKQCGGPQDGFCAVWGCETTGETYWRPTSSWDYITVKKGVTQGIYQCSGGGWCGPCYDKA -VHSSITGASEGGRCNPLILQFTQKGRQTSWDGPKSWGLRLYRSGYDPIALFSVSRQVMTI -TLPQAMGPNLVLPDQKPPSRQSQIESRVTPHHSQGNGGTPGITLVNASIAPLSTPVTPAS -PKRIGTGNRLINLVQGTYLALNVTNPNKTKDCWLCLVSRPPYYEGIAVLGNYSNQTNPPP -SCLSDPQHKLTISEVSGQGSCIGTVPKTHQALCKKTQKGHKGTHYLAAPSGTYWACNTGL -TPCISMAVLNWTSDFCVLIELWPRVTYHQPEYVYTHFDKTVRLRREPISLTVALMLGGLT -VGGIAAGVGTGTKALLETAQFGQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGL -DILFLQEGGLCAALKEECCFYADHTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKS -PWFTTLISSIMGPLLILLLILLFGPCILNRLVQFVKDRISVVQALILTQQYQQIKQYDPD -QP ->sp|P08359.1|ENV_FLVGL RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MESPTHPKPSKDKTLSWNLAFLVGILFTIDIGMANPSPHQIYNVTWVITNVQTNTQANAT -SMLGTLTDAYPTLHVDLCDLVGDTWEPIVLNPTNVKHGARYSSSKYGCKTTDRKKQQQTY -PFYVCPGHAPSLGPKGTHCGGAQDGFCAAWGCETTGEAWWKPTSSWDYITVKRGSSQDNS -CEGKCNPLVLQFTQKGRQASWDGPKMWGLRLYRTGYDPIALFTVSRQVSTITPPQAMGPN -LVLPDQKPPSRQSQTGSKVATQRPQTNESAPRSVAPTTMGPKRIGTGDRLINLVQGTYLA -LNATDPNKTKDCWLCLVSRPPYYEGIAILGNYSNQTNPPPSCLSTPQHKLTISEVSGQGM -CIGTVPKTHQALCNKTQQGHTGAHYLAAPNGTYWACNTGLTPCISMAVLNWTSDFCVLIE -LWPRVTYHQPEYVYTHFAKAVRFRREPISLTVALMLGGLTVGGIAAGVGTGTKALLETAQ -FRQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLDILFLQEGGLCAALKEECCF -YADHTGLVRDNMAKLRERLKQRQQLFDSQQGWFEGWFNKSPWFTTLISSIMGPLLILLLI -LLFGPCILNRLVQFVKDRISVVQALILTQQYQQIKQYDPDRP ->sp|P21443.1|ENV_FLVC6 RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -MEGPTHPKPSKDKTFSWDLIILVGVLLRLDAGMANPSPHQVYNITWTITNLVTGIKANAT -SMLGTLTDTFPTIYFDLCDIIGNTWNPSDQEPFPGYGCDQPMRRWQQRNTAFYVCPGHAN -RKQCGGPQDGFCAVWGCETTGETYWKPTSSWDYITVKKGVTQGIYQCNGGGWCGPCYDKA -VHSSTTGASEGGRCNPLILQFTQKGRQTSWDGPKSWGLRLYRSGYDPIALFSVSRQVMTI -TPPQAMGPNPVLPDQKPPSRQSQIESRVIPHHPQGNGGTPGITLVNASIAPLSTPVTPAS -PKRIGTGNRLINLVQGTYLTLNVTNPNKTKDCWLCLVSRPPYYEGIAVLGNYSNQTNPPP -SCLSVPQHKLTISEVSGQGLCIATVPKTHQALCNKTQKGHRGTHYLVAPNGTYWACNTGL -TPCISMAVLNWTSDFCVLTELWPRITYHEPEYIYSHFENKPRFKRDPISLTVALMLGGIT -VGGMARNRNRDCGLLETAQFRQLQMAMHTDIQALEESISALEKSLTSLSEVVLQNRRGLD -ILFLQEGGLCTALKEECCFYADHTGLVRDNMAKLRERLKQRQQLFDSQQDGLEGWFNKSP -WFTTLISSIMGPLMILLLILLFGPCILNRLVQFVKDRISVVQTLVLTQQYQRLGQWRLRP -TVSPQLNV ->sp|P03394.1|ENV_FRSFL RecName: Full=Glycoprotein 55; Short=gp55; Flags: Precursor -MEGPASSKPLKDKTNPWGPLIILGILIRAGVSVQLDSPHQVSNVTWRVTNLMTGQTANAT -SLLGTMTEAFPKLYFDLCDLMGDDWDETGLGCRTPGGRKRARTFDFYVCPGHTVPTGCGG -PREGYCGKWGCETTGQAYWKPSSSWDLISLKRGNTPKDQGPCYDSSVSSGVLGATPGGRC -NPLVLEFTDAGRKASWDAPKVWGLRLYRSTGTDPVTRFSLTRQVLDIGPRVPIGSNPVTT -DQLPLSRPVQTMPPRPLQPPPPGAASIVPETAPPPQQPGAGDRLLNLVDGAYQALNLTNP -DKIQECWLCLVSGPPYYEGVVVLGTYFNHTIALKEKCCFYADHTGLVRDSMAKLRKRLTQ -RQKLFESSRGWFEGSSNRSPWFTTLISAIMGSLIILLLLLILLIWTLYS ->sp|P17574.1|COAT_STMV RecName: Full=Coat protein -MGRGKVKPNRKSTGDNSNVVTMIRAGSYPKVNPTPTWVRAIPFEVSVQSGIAFKVPVGSL -FSANFRTDSFTSVTVMSVRAWTQLTPPVNEYSFVRLKPLFKTGDSTEEFEGRASNINTRA -SVGYRIPTNLRQNTVAADNVCEVRSNCRQVALVISCCFN ->sp|P23092.1|CBL_MLVCN RecName: Full=Transforming protein cbl -ASAGGGCRRGPSFSPGSIPSLAAERAPDPPLAMAGNVKKSSGAGGGGSGGSGAGGLIGLM -KDAFQPHHHHHHLSPHPPCTVDKKMVEKCWKLMDKVVRLCQNPNVALKNSPPYILDLLPD -TYQHLRTVLSRYEGKMETLGENEYFRVFMENLMKKTKQTISLFKEGKERMYEENSQPRRN -LTKLSLIFSHMLAELKGIFPSGLFQGDTFRITKADAAEFWRKAFGEKTIVPWKSFRQALH -EVHPISSGLEAMALKSTIDLTCNDYISVFEFDIFTRLFQPWSSLLRNWNSLAVTHPGYMA -FLTYDEVKARLQKFIHKPGSYIFRLSCTRLGQWAIGYVTADGNILQTIPHNKPLFQALID -GFREGFYLFPDGRNQNPDLTGLCEPTPHFS ->sp|Q88305.1|COAT_SSADV RecName: Full=Coat protein; AltName: Full=Capsid protein -MAPKRSRRSNRRAGSRAAATSLVYDTCYATLTERATTSFQRQSFPTLKGMGDRAFQVVSF -TIQGVSAAPLMYNARLYNPGDTDSVHATGVQLMGTVPRTVRLTPRVGQNNWFFGNTEEAE -TILAIDGLVSAKGANAPSNTVVVTGCFRLAPSELQSQ ->sp|P31834.1|VPU_CAEV RecName: Full=Protein Vpu; AltName: Full=ORF-X protein; AltName: Full=Protein UPX -MDGLETTSKIKKKGWTVRHGEKGTENRLGPILVNHLCCYKKSKFTMTKQNVTASACCYRK -ASHYDKAKCNRKC ->sp|P16597.1|Y15K_PMV RecName: Full=Uncharacterized 14.7 kDa protein in coat protein coding strand -MVGVDPEIWPLVMKAELLVKLLSCAAAWKRCTCLLVALAIRSSWVGDLISPEGGCMAAGF -STPSKKSNAANLALGLYPEASQLAGAILSVLRFQIIGAKYRQNFLREVPEALTILANCAS -DISGIDDGPVTVYALDPLS ->sp|P24035.1|VPY_BIV29 RecName: Full=Protein Vpy -MSLLVPVRTHQRHHPVVVELFVWEGTNDPTTEWPPLTRRNIHRLWQYARTLGGDDNVATA -QRKLWRKANWLAFLEHVQRT ->sp|P03358.1|POL_RSFFV RecName: Full=Pol polyprotein; Contains: RecName: Full=Integrase; Short=IN -AHLHALYLVHHEVWRPLAAAYQHQLDRPIVPHPFRLGDTVWVRRHQTNNLQPRWKAPYTV -LLTTPTALKVDGIAAWIHAAHVKAATTPPAGTASGPTWKVQRSQNPLKIRLTRGAP ->sp|P0DTB5.1|ORF2P_CXB3N RecName: Full=ORF2p protein -MVTIERLLPYSYWIGHPVTNRAIIYPFVGFIPLSLKEVKTLQFIVKLNTAKWELKYQRKR -LGHMRPG ->sp|Q8BCV3.1|VG37_MILVL RecName: Full=Uncharacterized 37 kDa protein -MNSFAGWMKLIDPILSRAIAIIPHLKEIINIAFKPFNGIDKLDDHDHQHLKNRILNIDEK -IYYNERKPIRSFLLDNENRSNIILGYNEKIKQLEVAIVKEVISPILIHLSSQRGALIKME -EIPFLAHFEREMKHSLLLPEKDFFIQPVKKVILANLEQGLSYLLDMHPRKNDSGQTRIEY -YHKIYSRGFNQESYGSPMLKNNKALELIGYSTYIWNLIGLREDLFLIQFYETGFKSTYMA -CFPRACSSFLYKHKNAESLDMIFSTLIIESSFIIRVAQSLSFFDDSLSDYFYPRMPLDNN -IIRIKTREKENIDHWGN ->sp|Q38563.1|P14_BPPH6 RecName: Full=Protein P14 -MATLQDVHLRVNDRVTPVYFTARSFLLVSPKRAGQATFLAREEGTDNPVVTCHVSDFYKD -GV ->sp|P03387.1|ENV_MSVFB RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -QFKRRAKYKREPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLQAAMHDDLKKV -EKSITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKL -RERLSQRQKLFESQQGWFEGLFNKSPWFTTLISTIMGPLIILLLILLFGPCILNRLVQFI -KDRISVVQALVLTQQYHQLKSIDPEKVESRE ->sp|P0DTB3.1|ORF2P_POL1M RecName: Full=ORF2p protein -MVTITDCYHKANWIGHPVKVRLIIYLFAGSAPLSVFTLSTISTVISIRQLYHNGCSGFIT -ESGRT ->sp|P0DOK5.1|ALT2B_TMEVG RecName: Full=Protein 2B* -PVQSVFSATRCGAN ->sp|P0DJX4.1|SMALL_TMEVD RecName: Full=Protein L* -MDTQMCALFAQPLTLLPDLNICSWQTVNGSQRTFFVWTWTMTSSGLRTRAINLKQWNGLT -YRSYAILSWNPRETPLHLTRVTPSPQVTKGSLSTTSIPINTKIQLICLPAVAMLATPPKT -TDNCRTSWAALQMLLLLWHLSSWIKTQRRWRISLTE ->sp|P0DJY2.1|POG_ABPVR RecName: Full=Putative protein pog; AltName: Full=ORFx protein -PIKKQILPTYIIRNSRRPLKKTQLKRNKSPPFMMWKLQIGSIPPWLKTLHRLGAWMIRTV -LFSFYNAPYSLTTLRSLLDQQQMITNPSIDMC ->sp|P0DJX5.1|NSS_PUUMG RecName: Full=Non-structural protein NS-S; Short=NSs -MSNNLLLPDKNSRMQREQWKWTRMTLTRAHYKQDNKQCQHWRINSQTTREEWQMLCPGRK -WILNLLTRLGLNLMIISRRDQALDMEMSLM ->sp|Q8BCV7.1|VG10_MILVL RecName: Full=Uncharacterized 10 kDa protein -MEKLIPLVHLNDRTSFLSSRLLDVLLKHNTLLHYYQSHLPFKLYFKEIYYITLNIILRSF -LEFNHTYSVIISLLVSPDFLNTM ->sp|Q8BCV6.1|VG55_MILVL RecName: Full=Uncharacterized 55 kDa protein -MQNSFHPNNFTIKEINLVKVNTKIVNKKMASQSNLVSRGTSGVIKGKNEQKSNFKHLSSV -SFSKASDDMMQEGITILASMRSEGPLSPEIECMASEFKDAIQGDINSVVSPMKLRLHKSE -DTSKVKIGTMASLVAALPGQSYPFYRIDRLKIIYMPLFSSDLAEGKKITFSINDSSVRIG -HGSKTISKTDAPLNRMSMIELHSPFFVPKDNIKMIEFGYKTTGVPVSGRAFAFVCLAFYI -QRDFIPVSIKKKDPIILLIDDIDRPSDINTTSSMKKLVGEVNKRIEKKKGKFNESMIKYE -KDLEERNSRMAFVDNDQDSGFHVSEKAEPSKMKDTLEGVHLMEENIPDYLPRRLPVYNWE -GAILDTGAPDHWFYNPNLIGLQEDIDSVGLIEGRTFYRVRGVEVKLGAHWIRMKEILYAR -KRDMPLISYMRLCRAKIVDTLKTLEDGSAVLCKNGKVIFELTCTGSYMVFKKSGDTSREM -MTE ->sp|O73571.1|MVP_SBMVA RecName: Full=Movement protein P1; AltName: Full=Cell-to-cell transport protein -MSYRFLTVRAFGFTGFHCDATRLLSETEVIDVPSSLDFVGETELRLETAWPQCEENCYTI -LPRFNVQVDFEYYPVRVEIVCRVCAASLSVIFSKWDFYCSRRGHFVPVDQNGDLFRIGTL -QETGEKYFYFCDKSICRQCIIQAAHHHS ->sp|P40931.1|MPL_MPLV RecName: Full=Myeloproliferative leukemia protein -LELRPRARYSLQLRARLNGPTYQGPWSAWSPPARVSTGSETAWITLVTALLLVLSLSALL -GLLLLKWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAALSPSKATVTDSCEEVEPSLL -EILPKSSESTPLPLCPSQPQMDYRGLQPCLRTMPLSVCPPMAETGSCCTTHIANHSYLPL -SYWQ ->sp|P37990.1|TGB3_CVB RecName: Full=Movement protein TGBp3; AltName: Full=7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MSLSYLDLLLAFGCVLAVSVIVNCFLVSHNNCVIEITGEAVRISGCTFDRTFVELVKGLK -PARH ->sp|P25246.1|YR22_TORVR RecName: Full=Uncharacterized protein in RNA2 -MPCLSAYLLMLSSWESSCLRLSQRLRASERYGAAFAPASEDPITLRAPVKGGARNVVGAS -WCIVCTFKPGVPREDWQLERVTKGILLAKVAPLSTTLEKREDCTGADEISGYSCKERSIS -SRFKTRQFRRYLPSLKPMRKYCTRSQSSRVNVRFVLSKRPGQRHIASTLSRHSHSPILPS -RQVQSICPSNFLGR ->sp|P03414.1|YPX3_BLVJ RecName: Full=Putative uncharacterized protein PXBL-III -HHSLCAFWVRIFPTQLPLFTPCCTPFLEIPENLSSHPEEGCGSEVKIARAATSLSFYSTL -ARPRVLSPLTEVQNFLYKGMLGSKCAQYLFQKVLMNVFPCNKPQQRHSSHIQQHLGRLF ->sp|Q00478.1|TGB3_CMVSI RecName: Full=Movement protein TGBp3; AltName: Full=9.7 kDa protein; AltName: Full=Triple gene block 3 protein; Short=TGBp3 -MLGTRNIPTTSGLPLPPPSSSLSAYIFPTILAIIFAVFALVAIHITTPEPFCTIHIDGAS -ITITNCPDPAAILNKVAIGPWRGLSYHNNLK ->sp|P03164.1|Y15K_HBVD3 RecName: Full=Putative uncharacterized 15.3 kDa protein -MDRQRSRKGSTHALMAHDQAPASGGCVSKHLAQTWPLPGNGVKVQVLFTQKGLVSWRESE -SLLRLNTCIQRHQRRITTLCKRGSKTQKTHNSLTYFPINRPVNRKFSKTFFDFLYDVFLW -QGPITSNDITHKI ->sp|P24034.1|VPW_BIV29 RecName: Full=Protein Vpw -MGVREADRIQHDRVRKKREISPYLPVRDLEKSLDDRNRIYRSKSVYDPSWNTHH ->sp|P11364.1|TCB_FLV RecName: Full=Viral T-cell receptor beta chain-like T17T-22; Flags: Precursor -MISWLPSVAMGSRLLCCVALCLLGAGPADSGLTQTPRHLVKARGQQVTLSCFPISGHLSL -YWYQQAVGQGPQLLIQYYNREERGKGNFPERFSAQQFPDSHSELNMTSLELTDSALYLCA -SSPNEDSEYGETLYFGEGSRLTVVEDLKKVSPPKVTVFEPSEAEISRTLKATLVCLATGF -YPDHVELSWWVNGKEVRDGVSTDPEPYKEQSGANVSSYCLSSRLRVSATFWHNPRNHFRC -QVQFHGLGKDDQWDYPEAKPVTQNVSADTWGRADCGFTSASYQQGVLSATILYEILLGKA -TLYAILVSVLALMAKVKRKDS ->sp|P01102.1|FOS_MSVFB RecName: Full=p55-v-Fos-transforming protein -MMFSGFNADYEASSFRCSSASPAGDSLSYYHSPADSFSSMGSPVNTQDFCADLSVSSANF -IPTVTATSTSPDLQWLVQPTLVSSVAPSQTRAPHPYGLPTQSAGAYARAEMVKTVSGGRA -QSIGRRGKVEQLSPEEEEKRRIRRERNKMAAAKCRNRRRELTDTLQAETDQLEDKKSALQ -TEIANLLKEKEKLEFILAAHRPACKIPDDLGFPEEMSVASLDLTGGLPEASTPESEEAFT -LPLLNDPEPKPSLEPVKSISNVELKAEPFDDFLFPASSRPSGSETSRSVPNVDLSGSFYA -ADWEPLHSNSLGMGPMVTELEPLCTPVVTCTPLLRLPELTHAAGPVSSQRRQGSRHPDVP -LPELVHYREEKHVFPQRFPST ->sp|P04502.1|ENV_MLVKI RecName: Full=Envelope glycoprotein; AltName: Full=Env polyprotein; Contains: RecName: Full=Surface protein; Short=SU; AltName: Full=Glycoprotein 70; Short=gp70; Contains: RecName: Full=Transmembrane protein; Short=TM; AltName: Full=Envelope protein p15E; Contains: RecName: Full=R-peptide; AltName: Full=p2E; Flags: Precursor -RRARYKKEPVSLTLALLLGGLTMGGIAAGVGTGTTALVATQQFQQLQAAIHDDLKEVEKS -ITNLEKSLTSLSEVVLQNRRGLDLLFLKEGGLCAALKEECCFYADHTGLVRDSMAKLRER -LSQRQKLFESQQGWFEGLFNKSPWFTTLISTVMGPLIILLLILLFGPCILNRLVQFIKDR -ISVVQALVLTQQYHQLKTIGD ->sp|Q88899.3|P32_TRVPP RecName: Full=32 kDa protein -MVIVTKGAYVHEFPRTAEWNAFAQILSREHGYIVSDTALEGAAKPYYVVNSSGFYGPPGL -DGLISTLDRELQYYSKLLYEIKGLGVMSDENVFGTQYDGNLTARVSRLERRLNPMSNIGS -SSRPWSEHKSAVKKADLERYVYANFADWSNHLGPAGKSTREVVKYLMYRMGYYSDTSGIG -HDLNYKHFRDHLDIYNLTCSPPFLVSSAVVDGHYARDKFVSFQGVCGFNPMFPDVNGLKS -SWSLGRQLDDIRSQKKEVSGTNQEPNYYYDGDTLKPIGSGASVVGERRPGWR ->sp|P03529.1|SIG1S_REOVD RecName: Full=Protein sigma-1-small; Short=Sigma1s; AltName: Full=Sigma-s; AltName: Full=Sigma1NS; AltName: Full=Sigma1bNS; AltName: Full=p14 -MEYHCQKGLNQGSRRSRRRLKYTLILSSGSPRDSMMQTNESSLLSKVGMTWLHQSVMLNL -QSPDWKALSEPSKQLSMDLIRVLPSWVLEWDNLRQDLQSYALITTISLREWILQNVTLDH ->sp|P17575.1|V07K_STMV RecName: Full=6.8 kDa protein -MLLGDIGGKHIAFFYKRPSVAIITWRPILGFSCCFQLWGEVRLNQTVNRRVTIRMLLL ->AQM32759.1 replicase [Agaricus bisporus virus 16] -MMTLGRQLHSVENANRALRNAAEAALRDVVTSEPFREYSSQDIGRRATVVPIRSFEFNVP -ATHVDNYYSPDFNDDPENILGPVLEGMIPVVTSQDPASLLAAFNKRCNFMQAPKNDEIAD -KEFKMAIDLIRSLPRQEHWSENDDDRERWLNKFDDTKRKRMVEAWSTMSDASRRDISSKQ -LMVKIETLLKREDDEWAPRAIYVGTDAHNALTGPAMMVAMERWCALLDTDNDGHRLGPVD -FRYGYKRDDVYLCEHLTRDSKCRVTLEGDYSRNDREQRSRVAYIIDAALEVLGFDSEVRK -WMLESSEEYEVFAPLCGLKAKLKHQLPTGTTCTTFRNSVFNSVMFATSMIQQGVTNARAL -VLGDDLLANSQEDIRLQEWINCVDRFKMVLKAFKPGLSGKATFLSRRLIMNGTTPCLVPK -IGKALARFNVRASKNPSITDDEYMAGKALAHAYEFRHVPCCARMFIDRFKIHNANQGLED -KPLEHDSWFLKISGLTTPRQVLNAVLSCPITVSEEDFTDWIADTYEVGWDDMKDLMEQVI -LSTEYTVLETGIFDEIAIDF ->APG78989.1 hypothetical protein 2 [Hubei picorna-like virus 81] -MGPVIAQILFMMSQFRKTTHCPSFISWHTCIASIKSRVEPKVMTLERLEHWARNLSLEFT -PNTKSCTLLRLACALEYFKVREEEQRKPKLMDFMNYVSAKETSLTFSSADTRDDYILEAF -DHTDLFKRPIHLPRNHGLPLFNDFPPNQDLFYRLGQVKERLLKTSEIYEEFKNIEQTSAL -GYPLPKQKHPGTWHNHDYRNFAFVQMAADKTPDGPTLGEYLGDMPGFFLVDFDKVHTCNT -TDGSVEEMIDAFKLYVTLPKPFGKTYKATAAGNIPKLWDEAPDKRAYLMEFTRVILLLPR -ILRKVDFYKLTFRKILQIFAMNCFNAVYTPEKEQAVYIMSPHLINMDIDELVWFIKQLAT -RGNWVMEMYARCLRCMHWATYEKDDGLPSVETNEYLLCKDELFRQLTDLFMCKYLKVHSG -REGRASWFSFNMDRYKLRSIAIYAVKGGSLVDVANTCLDYRLKFDLWFTNKHYRKTLGKM -LEGRLVCKAGGDESATSSQSSEFQPAQNVSETQYTLLSDVMNLEKKNRDLMDQIYKLQQE -REDMAAAQRPGIMDSMAQRLTTPLAIPIVEAIEKVGEKIVEQKPANLDATLLETQELISK -ISDKFLNKSDPAMEGIFSSINVIFESLKKFLPSFITINELNEVSASDVFYFVCLYIAYVN -VESKSLRMLIVYLAMQKLGLIDIVLKKLNALWEFVWSDGEEPDVKETPIEPKALTDYLYQ -FMDNTKEFLMSHKKLIGFGIAALVFIVTGKYVMSKKDVCTAGDKVINTCRNFGAVGLAAV -GVSRIMEVFQVVLETATEYLPNPKYDPAYSAENIGNMATMATVLQDPKVMKACLHTPDFP -TTIRNMFRTAIAAKQYYTTHEIKPQLSAVLNNMVTHLLNAVKVATHYDEASKPRPAPFHV -QLAGAPGIGKSSVLPQIVNTLGEAMGIAPTKPIYTRNTANELWDNFSSDVEKMIYDDLFA -ICNAEEVAEMMLVFSNAVYLPHIAEMSEKGTPFRVRVVGSATNVPYPEVPELVAPQALYR -RRHVLAHTECDPRVLDPNTKAFSQELFEKFYPHKNSKDFPHLKFQIWSPTKKMTAFTGEP -IMETKLSYEEFVVHCLNALQEASRCNAQLGLYSAQSSSNAELQALLQNDVFSKTKVVSHS -VVPPLPKVNVAPDEREKVMSVLQPILDGKNAVYERLSAGEQVEQPEVSHLQIPSRYTSVL -KLLQENIKDKQMTVIHLNDDCCLPNYEDSCDEVEAKPMKYVISLSKDKTLVEEGNKVHVT -LDKRFMSALRRCENGWLLDTSVWNHEFKKIYDSMSVRNAYQKEFERWIFTSGWFVRSWMA -WTELRQEVRLALMKKVNGDSHCLTGVWKTFVNLWNRTYDIMSRACAWIGRGIKWFWQMLV -RYKKVLLTIGVTVSLVAFFKVVGSWMNGIKGKSKDFFGSNYVTGKIVPRAASANTDRIEV -IRKNIIAIRIPLENAVEEKFFHGLGLFGQLFVLNQHSLRMAGVLVSKIKTFKMFYRRGLD -YWRSVTITPAMCYLVPGKDLVFINCRDMPFYRDIRPHMQLASDYGRFNYDTVVLPSTTDD -FNKKSPEWLLFSMKMTGFVPRMMEDIPNLDARNDKFYQLQGGVPGGTSGSPCIGVGGTQG -RDLMGILSCGTNATTFVSFLSTEEVARADAHFRAAGNHVIVHQGTEIPIMNEQPDTILCK -SIQLPIVGKAPINYVPFQTRKTVYKKTILAQEFPSEREPAVLNLMDPRLKIKPTHPLAHH -ISNFEEHEYEPLNDYGDWAARDITAEIAPNVQIRLKNLTFEETLLGDGNVLPLNLKTSPG -IPWIFQGRTGGGKRPWIIKTPENVLVSVDKGLADQFKHMDDLIQQGVIPAHTMYFHAKDE -LRPKEKVEQGKTRAIVCVNMAYNMCLRKYFGPFFSVMHKMSDGFHPCMVGINPESITSHI -MATRLKNWNNCFALDLSKFDSHVTREQFLLCARIVNKIMNDGCDLARVTLLLGVAHAYVL -GDDALFETQKGMKSGAAIVAEINTLVHWIVFLAMYYQICHESGRAEMATYQAFKRNVTLF -LYGDDMICSINPEMTWMTKEALIAMYKRKGWDASDASQKASTNAVKDAIAHQNQFDYQTF -LKRTFRTCYELGGIMVMAIDKTVINDLLHWQRDTDNDEDQFEINVGLALQFAWFHGREYY -EDVRARILRVCRVEAAECTGFDQLTMMMQERFFPTTVVSHYVGPKRDEV ->APG79353.1 RNA-dependent RNA polymerase [Wenzhou channeled applesnail virus 4] -MAEIFTQQFFSSKSKADKKRISVSKAVRKAQLKQKLIDERKARSKHDFMKSIPKFRIQSS -VSEAEKLAASMSENLSISQPNLDPYLSMTLLKFHFEGEAAIIGKGAHTVDELCSFECFTM -SEDFWDEPPACCSDITLNQWRDLSLDERLLSFYDRTIPPAHARELTIDQINASNSELAQI -WNDRPSDELLVDEAAIIDFCNRLNECCTKFAYKPVRVHVVLDAEFAQETDPCGLMSNLDI -RTTLMCKALQRIKPLQNQLRMRDWVRAALLILEGLSPSPLVPSAKGDSEDIIWQWRPKQI -ISSADKTEKLIKIGYLSKSVVVQADIEKDAQYLRNRTALTLILNTVSPGTCRSICSTFPG -MCNNFNLMILMDDYGELLEIADAKQGREGIQLIDHFKHESMRTKAKFVNLPEHLKQCFVW -CPELSDSCQVVMKICSLANALGISNTTAPAALLMQAQKDLPDWFTFSCDLQPAQSEDDSE -AGMSDHCYEVVKECNTIKQVVDQLTSANVLDPGDVSKCIDTIKEYSKDVVDSAVLISDTG -MVTNLSFHQIATFKVRQALNDLTESLTKHPIPLADYIRCQSECSQMIDLTSQHWITVVAM -NKLVVWANALRRSGLHLQQAVTAAHAFDVPSPIPVTLINKFNDLFHVINESIKYGARAVL -KAMLIKATSKAGEDDDALGLVGMGLPLVASTACKSMIEDVMSATPREIKEFANRMKGALG -QAVSNQLIESQAGVIGYWDEDQFDNALRSVSGLIADPERAEACRNIMKAYQRHTVDGILL -AETEGNSLAVLAMEASHYTMTSANVLSAKNSFKLQHILHMDPSKADELCQKRYSIFHKMA -KEQKPRVGKMGMENWSREIWTVIKEDGEPLGLRTGVVFVDIPASDYWWSNQLSSMPRAVA -FSIFSHSLETIAERLGDATQAQGMSVFAARSKAKALKVLDASEFELTEDEMDKLTSQLAK -RSCGLTKSMMRSYIDEHANNMQQLILADINSTSIGDQVSKIAQIIDEVHAKHHTDQKQSP -KLSTELKVVSDRISECLSSSTSSVSQSEVIDPLPLIMSSVIDMHGPTNPSPIRPIPSFFE -LRELLHGKGKQGKLTKPHTRLLESYANHATGCRHVRYKSTASINPSWIAINKGRDHSEVT -KQCNAAAFRELSRMCRPDPTTSGKASVAKLMIATSCHISLITRSLPRTADKITNISTVMA -TEDSNDLVPDDWEDLYSSDEDDVEVDDLDASSGESDEDDSKTIISSEHPSTQSSMLLLED -MPAGLQKLFTDRSDIQKVVKPGRDLSDTKGRLSVIEHQTSQTNISSASMHRLFKSGFRSM -TSDLSQESRVIKHVKDAQKKVKSGIKAILGKLNDRLKIRLPTPSEHAQWLDTAKSIKPSG -STVKIEPSRKAKPTGGPTVSLKQFKEDFLTVKLADMHKVIVQYVKSIIHDKSQQEVIFDN -LSDDQQVNGMIASMMLGCTSSLILQEFDTSSAASDAEVVDTILEITEKTLSAKWDHPCLI -LLRKVILLSDKKLMQIVHDEFLNKTLSAESCLQAIKTIAAETNEELVQLSNLAINWTKAC -EDVPPEDRTKRQTIIMMKQQKCTEELNSWLDRYKSIATCADEQVKPLTCQVMRQCRLSSL -LKKKKYRSDVLGNTMPTLSSTSVNKWLIWGQMIKSVNDENDSLGQKTVEHIINNFSPLDL -MASLTCNQPESSETAARTISVMRKVLLSSAALRQAYWRSEIFKTVRQILPSGEPGTCKVK -RIANTCLSVVIPCCRIKQGGSFPFMIMSTKSGTMKRNPLNADHYESSHRSDACSGIIHSN -PTHAAFHSETFRHCMVACVNMWDAVMADFDQNMDDCIKQVVNMTEYIYKVRTDNSAHTSS -LTSSLVFLDQSMMASSLNGQELADKVVDRPKNPASAAILTYYRDMCDFASAHPLEDKTAE -RNMEEKCQYGGSRRLFVHPFALRRVSYTTQCYFQNSDSYYQKRFNDTKEAMMTTMTAEAS -YAKKYWTISISEADKDRDMKIEEGLSSPKSVDEKNRIIAHEIEKCIRYRGKMSYFSTLAC -CVAGRLGESRTSDSCRYNVGYLKDLQMKVDWLSSTRALLTNFGKEASDVIAVQQQIINTV -SSLTEDASILMSIPGVKDWLIAGKLDDTPRARDPTDTNSSVPAELAPAVLVLKKIIDTVI -NILDTILGQSESSSKILENLTGVQPNKSKLEDKELFKVNPEDVMLFIAKTTAFSKLSGSD -RMKLIKDAMGGRKKEGQTAADQNLGDAVREAIGSDQTDQPGLDKDWISKASKSEPLFNLL -PHLVKAGDFIRAIRTKLVDPINLLDWCMRSEMIVPGNSINHYSVSDVRICTMIGRLSCCL -VILGLTDFDGCASVGGQDPIRISTLSMAMIMSLLKQVGLVSKNGDPISEDDIPVFSRWCA -QAENILNNLKGESMNEISVSLNAANDISWALVMSGAIAELICHRTCTGTSPESHLSISGG -TRTQMWLAISTVAFLWSLPNDEKGINAALLMDPDRLFGRNCRPSALTDQLLDDIESKGEA -GALLDWANRLRSSVSAMAISSGLRGLRSAQVFAGFTSLLCRDPSASKSEMKFAGMLDRIR -SSASESRSILEEAMACIRSSSALFNRKACKQQRGGPRALDVNSYNSVMANKIVECVSEQI -AGGLEGDLLVKPDAKYQVISEAERMRQKSFDTRDMVREQMEQSGKCSAGSHFVNELRDHT -KWGTFLPANAQSCILTSMDSILPKQIRVLIRLIGSMQQFRTSLMPNFVKQCVADPGRIRT -DQDEFNAVTDTMDLMLLMESIGRNEPTLHKVTSRYNEEMVKNNPEFEGCTTKEGGYIQAT -GTGQGIWHRCSTVLAVTSSYLSDEVIRDSIIKSFQNQSMMEQSAYLWKWMEYAKLPSGYK -DLLAELRRDCREIAKRGGTGFVVFDGLELPDSCDTVIIPPMMMQTTRSSDDSQSNTSCHV -ISKSLTEGQCVMLSELINYYSMNLNVSVLSLTGQMESAKSVRSTEATQIYSTWSFRGSMP -IAVDKEISGACNPLNSPTHERLVSEMCSKSVGMVKTGVSMPAVNLWYTSHAKEIIDCMPH -QLRSRRTELKDIPVGCGGIPSINATLLLEGCAGLIDREKWLNWMRKTSDKDFVALSNWMN -CLTILDTGFEDDDVIKFLSLPRRIPKMISRNPDLIDQRKDIQELSGLHEFMLTQQCGSEG -RAFVVVKSTGTNKHKTIINMSKNFGLIQSAGMNSDVIRLKHAPLFAWRSPQIIAPAQIKK -ALEASVKKQPSAWMTYSKLAALPEIIIKNPSAQAKSNPRPVNVTDEMDSEIPDSEIKAES -GLLISHSTFVECMEVIADSSIYISGFAGAAEKCKHHLSLDGRNPQIERSLTDLTSAVMEM -QNIERNVLHSTHLRANSDGLASHLVPACKSEGLWRHVVAHRNVTVVNNMDNKCADVMLMM -MNLESVVDRISHMNPQTISTDIRSTEGIIGPSSSWNDEDKGEISILLDALKSVSGEGRPA -KASVQLFVPDSDTDKMSTIQAIAHIHQPGCDSLMKSVRARGGRGDISPNSLMDDLLSFCW -SSWAHWKNITWRSITMNCLPQHIIMPAAHAMHISFDVFSKMSLPEALTVLGANLNRAAEA -MMINSFGGINSGEVVMMEDSYESFKKVTIGSRTIWATNSCIVYSTKGRGASVVVVKDSEA -ECEKGMSELIRTGALSRPQSLLGKLDLRTRIGCSDSWVGTYGNEVVPFHFNHKLSGWLEV -NESLGTCKLKQSPRVSKLSLAVRSLGRTDYERLMEWVRREVSLESKESKYSANLHRWVIV -KELISTAPLEDRMTAEDIVTQLELTDASKNSQLGRMIASSMSNRSQGVEILPVSRFCRSE -VWMALTTSVLNLWHEDQPWKFDLNKMKEALEPDQPLPPNSSDNSSSSDETQNWEDASETA -SAITSPRESEADTESTTSHTDDMVVDEFMRQATAHNLTELLPGWLNNWRKHQENLSSKSV -LGDMLKPKNASRKIRILELILHGTIECLNSWSMTTQGIDTKLAGLIPFQQRTNGQLNQWA -FVINDPGITKNCFKLAEPENQDYTDYWPSSDDFFMEGATQEVESSITAINDDIINYASSK -IDEIMSRSVEMPAGMHQAPLDDISADDDDEDASTVVGELDDLLMGADESDGDNQQRMFVN -GSLKSLLPNHGRMFPITQTDEDIIVDDFISHLTCPNVIRSVADITGPSDEADTLLSLTLL -SSSPIAIMQNANTGSIFWDAIKSEIQLTCHGYINQLRKEASTANLESADEAALNQRLTEL -LAEERQLKKKLANSNAIITKAKKLQTGKATKSAAAQLRSVISSHKENTEMLGQVSSKITT -TKSQIKDMESARRSASMQAMAKLEEVESYLNTPEIIPPLSLCEKTPLSDMDSNDEMCHYP -AIGVKLDYDTDSNIAVIMDFGKFCRLMHENFPAWIKEGKFWSMRTAALLLSGRPLEWMSE ->AJG39257.1 RNA-dependent RNA polymerase [Whenzhou Shrimp Virus 2] -MEDIIEVLHYLDERLYNEPLSSGGGWRMDLAEEVLLEVRDLEVGRRVTEEATHMKATEAE -EVCERWEELRHDLLAARLLTGIFSTLQVDFSFSRILDDLYEKNIIEDREYDFDPRKVEEL -NKEVPVNQFMVSGFLTGNSIDRERLRRIVGLLFRKTPDNFIIERGSLILMDYASSFNPRL -AINNKLAKYGNECRRFKMLLDAVGIEIDCSTSAIVADLINNQLLRGTEHGVEVIDLDWPE -YFEVFGMNIRQLFRVSLSREEAEAFEPEEEEELETFYELGMREATERIIAGTGFNEWLED -LKQRSREPHLFERLYEMNSADSNISDRTSLPYILEPMVKELMSDLMAEAESMDNPFEVPS -PEEIKRAFKNFHGRIRERNSKFLRTDDPKPNFHFAWPISSEVMESELGDTKRQVMINLLR -GEQSDLLGDYPKVTLSMALIVLANLSQEEFRGYQEKGLVCDVKDEKDMEFVKEVRGHKFG -VPKKSGKKKRNTVYLKKPGEDLEEIYSEAKIDMPDEDKAAEKAENAKQVKTGQPYIESLP -FWDVKQRRLHEQNWKEEKSHLTIPLMKDNTDEDEDINWLCKTAAYRCLSDVSVLFKNIMF -TAQTHRRNRFSIATGPSPYQAALVLPGEDLQSSNGVSFCHIYKRRRGQEVISGLREKTLC -TLEDGHLYRTQTVRLDKVRLKSLSTSFPKFVILFKVLVNLIEKRRVKEKKFGRDKEEIYI -MACEMAFYSVTNVNINTSSLMDNVRYLVLGAAADYSGASAFIEDKMEVAIKTTFQMHLYD -RTLKLLSKMHGSKLEEGIEGIEGAYFGNFWGLTGDDIYSDLVDVIQESYAIFFSVEKGMH -NKFHNMVSIHKTAFDYQQKVEGVKDFINLDISRGASFTFNPKVLMLSSFMTNSFSSKVIN -RVRRRFNVSERPFKHPLTINTMSSLKKCAAENRGRKELDLKDMDAMFRRDVDMEEEDENV -RLNNAIPYELRGTFEYVDKELQYKKQKKLRGDALKRALKSMNWVDYIDAGSRPVLDEAVR -LMRSPDHSANGDAKLNYHIANKLMMDKTMEMSLDKSLQQLALVPNTRIARVVPKMQRTQK -DREIYLVSIQYKTSLYFIEHFMKQVNKEVEEEWITIPGDQKFVELFKDREGGEGHSKITG -DCSKFSAEDNQDKFIFNIVANSCLTRSEKTLFFVILYLYTKKRLLLSDDMCSYLRNMSAS -EAGCIHENPFFGLTDGLKRNYAILNKNWLQGQLNYLSSNVHACCARLIKKTSETHQQSRV -SYAVHSDDFKVNIKHGPNFDISTFLCLLDLVMNLHTLKLNYKKSSVHKDYLEMVSQIIYH -GEARPSWVKSLISVVSALPYTGFEQDRDATVSKVATALSNGCPPSVASVALRYIMEETSR -VYSMHKTGANSVLRIFNKSNQEVPTFLGGWGNEDTFALSALGSKAHDVTLLVDFLKGVYS -GMELNMVFKRSFPLFEDFIGDIKRVLEMYPELAEILRGSWLLKVFSKRLSLIPNEAVEDW -DDMATVGGLFRFKRFVNAKMKYLSWLYQMYPDDEGWTELKKDWMLKNPSYSIVKPQTDKD -LLIYYRILLDNSAFLRSYTNQSQEQLLINRVRSSKDKIMSLPVKDLTIHESAASKSGIMS -GDMVTIKEGLEWFRDLDENITNDDIVRVVTVWLISNTRVPTWLNVITQTTTTSALYYKQS -VPRRMPKPEGKRVYSAPVTTMLQYLYDIENFRKDGKELIVNDAVKADLSFISKTVKEIFG -DIAQVDLWKNLRLLVKFLTADDSHKIYMMPTTNIGALSNMMSQIYRSSKNDFFMVGGETM -SMIVSGDSIGKKDNQTIACNLCKRLSEIYPHSENDRFFPFLKDLLNRVYIGDEKAISILT -ESPRHSSKNFKIWHYILTGEDLGISEEDYDRSHIAVKKEQIYDESLKGYRGPFVVVGTIR -RGQLVTQAQMEGVDQYVTDLSYTGSRWHAALILTDINHFVQKKWKLSLNFNNLIVEAEPE -GFIIIKKVITLNNKKNFSDYLGLQVRQKEGKEILRQIRKSVAGRSSVKISYSNMTHQIIE -THFVAGKNLRGHCTVPEGNLEFPVTVVWKGVVTEANCNARICIEEGLLDYKNLSESLKSR -RWAKAIFSGSVIISEETYKESNLKPTLSLVETSEHIDLDSILNELGLKNITLPNGVLEQF -THRVSKLGLDLIQISTVVTSGDAKVDWVLEDDMDSEEVIGDFKKLLRKKKITEDDMHLMK -RLANHLNLDYEDSEVSSSIKS ->AFR11847.1 ORF1, partial [Hepelivirus] -QTSKKLNCVFSVWSRALLERIIYITKKKKRNIIIATHGSDEEFNDQYTEAINGIPSDEMH -RKTWFCADYSEWDSRFRHMFVEVMGELLVAAGCNESLVAEYTQFRKKWVMTAINYRSAGT -TKLYGEEKKFSGDPFTICENTLMNMMLTFSAFEFEDVSLSLFKGDDSAILCRSAYERDNL -PFTSRNVQKNILKITGHKIKVSTHKAGEFAGFILTPYGLFPDVLRAVVKHLGKDYRDKQH -MNEARESLKARMSVVKTETQRQVGAHYCSQHYADIGMAINNEEVLLLLNFMHSASKHEYV -FSKDIRKDKQHQDGALVKMLTRIYPL ->APG78547.1 hypothetical protein 1 [Wenzhou picorna-like virus 30] -MVKTAYQKAPSYLMGAVVIAGVAEVAYGTGIFESRSTAYFASAALVGSMSIIEPKIFKEL -QSRVATLPFFDTELAAEATLTDYLLIFLVPITMRNMFTRPTPSSMLRDVSSIGEWFTALR -KLMLEMFGDVFHKLGWDAATLAGVSPLVAKGESLKNDIATATKHTVAPLRREVNAWMADV -RRTMPVLSSRNRIELGRLYNDVFTACQTYKIALNKTSIDFVKPVGVLLAGVTEQGKSTVT -KMMASAVVKCVEVLPDGNAIPDVADVYSDYIYSFAPTNKHHDSARDHPVWTCDDFAQLRK -EHVTAEANPALQFFNLINEERRPLETAALENKDCRYPELDLLIASMNTKTVKDGTLESID -VLFRNMVQTPSAALSRFRHVLVIRPSPDYAKVVDGELGFDMEKVRALNVREGRPPSDFRD -DIFTIDVYKPTQENITSDLPLGPRIKQVDFDTATLDVIRDVQAAREAIETRKDNIRSFSN -RIFAKMQAEGQVTWEHVVKSYAMSPDLWTFFKRTIYDQFGQEGLDFVSSLIKVTIVGLGA -YAVYSFVNRKKKRNRKKPAVLNAEADQNEEVALSSVGDRRDLFGLSAHMYTAHYSSDPRC -VERSMKRNFTTRCFVVPVRYDGTDYFLMLDHMFKLALGARKELVLISLLPNNPIPVVWFN -QEQIAKSIHLNSDVMLVPHPCLSMLKCVEVSPDPITSRDKMVLWYVRMTGPMKHTFASME -VVPESYRGSYVAHTEDKKHFSYAYDGLITYGRSLGETSNSNCGSPVFVKRGPTYQLVGVH -VAGLGKIGAVSALRPLKLADSLPAAEGPVRVIEANERQQPSLRVVEFDGQKPCAPAILPT -SYERMYDITQTEEYEQLLEIDPRWGEQMVPRRNTTATAKAAFERYGAHPSNLPIGQDELE -SIVDAVLQDNVGSVDWAAHYTYEEAMTRLDPTTSMGHGYDRKTWGVGKKKVWSDGVPGEL -YEEFKAEFDGWLAKIDAGIYPPSIFEGALKDELVKLSKATSSRYVAGSDIKLTVLGIMTF -GPMLDYLATRCGTIEMLYAMNPYSVVWDLVEKDLRAFSQNGFSGDLEKCDTSIMREFLQA -MCNVIVDKVDDDIQVGRIRYFFFVISESIHCFLGQFVAWNKSQPSGNTLTFFITMTWVLT -LFRVAYVFLVPEADVCNFKKDVRMVVSGDDSRVHVSPRVAEYYNFKKLREFFVECYIGFT -DHNGEKSDREFWDSSELDLVKRKSVDFDGYYRASLHPRTLRKMMEFSKSAVQHKDALMVV -HREAALHGKQTYLLVQSLLRKFHPDWPIVSHASMLDTVDKFKLVYGNPWLADFGVGTLKM -NHVVEVQLQAEGWRDVAKAAIVPLQAFSTFIDTLCAIDMSLGEYSYGADTRYLLVLNPSS -VGFNVLCRRLLRRYPRGTFVGDFIYGFYRGINNWNRDLIFYFSPITGFILLPTFTLASMY -NLTEPDPATHFVRSRDGLLEAIDALDDCLAMYGGLPRVVIAYFLGMPVPLALLRNALETI -RFPNNPAANAAIYTVRRRLGIRYWLHPFVAVFTDHTRTVDRRRIVQVIREEDSDSDSSDE -SVSDVPLHRDMPVPARRDATTNVTSLQVYLGDRAIDRALTSYVDVGAGVGPAGSVEAETV -NESTKIHDDIDNGNDRA ->APG78165.1 RdRp [Beihai partiti-like virus 3] -MSSIEECESKLKNNRRLPPCTIAFRSHISKVHKVRPIYVYPAQLVVNELRFAVPLISAVK -SRPSPLKTGFNWYRGDHRSMLSDIGDRRTLSLDISSFDMSFHGWQIRDAFDILATRLVLN -EDDYKVFRSLVSYFVSTPVQSDDGVHLLPGIPSGSGFTHLIGCILNAVLLNYLDPSLAWY -CVYGDDSVVVSDKPLSHYFTEAGKVGMELSRDKSRVGVDWLGFNLDEGVPKIINPTKRMA -SLLYPEQRDRSPSFFYGRVLGHLVASLGDERISRHLLSWLQDNYQYHSTRRVPRDMLFVY -DTFDIGSDSPRQLLQRILVQVQ ->APG79311.1 RNA-dependent RNA polymerase [Shahe bunya-like virus 2] -MSEVERKKIIFEGEEDALTISTYIRMDEEIPICVIRIVSETNFSALGKVSISETKRNDLC -NWEKDVNVLDMNGALDVSSVYTCLKIARGAQLRKCIDEMLDLHIDSLRKMFLREAHTKGM -ELIKTKKEIKDAAKVFSENLLVESLDNIDVGIDGDIDQISEHIRCPNVSDEGFIVQIKDR -EENELKTYVEALCINYTNAKFGLKGNVPYCYTESELKRFDIYKKATSASFIYETDVEMKD -GKMVICTKSVPDVNDILNRDLISMSTSFVLNRCKQVCKEIVNNSMKEDVEDIDKMSERQI -RKLIQTLSYENCQKLKEGENDGLSIMSYILDEKLKKNNQSIRQLHLQPVMLAFEKSENYS -MKKTKRMYSEIKAMLTTTESVSDRTSVEILGRLKGLCCELLMSVLFNVDYYYKDDDKKIN -NLGRFNDIGAYLKSRTKLDRLLITDIIKDTGDCENSRKRVEKIIENLKEFGSNVEVRLSE -GFNLMNSLCFIEVGCKNMCKEKAEQDFATLSNSLKKEACFGSICFSRFSELLKFLNRKDI -MKLMDSSIQLMYIGDDGLIENECENFVAMIKAIGELAEKKLSEVMREVWDKDVFDTAKDI -LGFTKVSRTTFLNCPYVSQNVRDNVIKGQNEMITSDLEMDCVKQKRLIEEEKRSRKTGSG -RTPDDIENIVIRTSKAVVEEILREQNDQGESDWKCSKKCERSINELLRQCTLGSEYYVEF -LRSEGLGGKNSYFEKKEGRHNEDSILVIAGLSDKYKEEGLNERDVRVKNFKRLGDIDVEG -LCVMKRDEPDVEEKETDKNVLLALYGDRGRSTYTRTNCSFTIANMVVYDLCSIGKEEEKK -EILCSSFLRRKRLNMRDVYRNIVNVCETIVVNSYRHNEKMIKLTRREIYNTAMFCKGTYD -CRQLTEVVKMDVVRKERCEYYVAAFWICCSMIKQIYQEEGGEKRSMITSESMENKKLLED -SMFLSEEFQTDIKRKEEKNQGQPRNNGDKESIVEERKERELVSKLFNNSGWIVGEEDSAS -ARMMSESVLKNNRRSFIQVMRVRQEKVESVLGRIKSCLTGSLDFELNLFRKSNEGKCSLG -ILKRIFYRLCNIVFFEVDNVDGEVKVDELEPCWMDEMSNWFFICGLNFENIDNWHFDYAN -IEESAIQILCKTVCLPKSIYEISDCLKDTGNKILSEKVLECEMPNSRNRYIITETEKVSE -DHCRRLLISKIDYDEKIQVLMHGKESVLKEKKEKNSKVIDLFEIKLDDFDGDIDLFGDLE -EEESVANALGLDSSQIGRLVVKNYQSLRSMLNKQAGYKRSCFERAIARALVRCRSMSSRV -KGCLSRYLRGYNCTIVTKNLGRKTKTYEFCLYDDRGGVVGPVIINEQKLNFLLSAPSVLT -ACYSGNIDNCVLSQEEYIKQINSETETERQSVKKGVKKILELVQKMNLLLCFVDGFTVCC -FINTILFSKKIIQYSKLRSIEDFCHNKIRNNLQDLKNKESAYFKKLEFLFNWLTSDVETV -EDDSCRLNEGVRTLREMRGEEKDSIDMNLFLIAVKIMCAVYRGTEDLKKMGFGEQKIFNT -LFLDVVFKCMKENNNDTNEQMQKTRFLIMHKLGHAGAYRDFGKKLFTEGRKSSVTMTRLL -QLWSSMYGAISNKESYLCTQSDLAHTNNMKHLITEMYYNQYFCKMLLSSTESIKEVTLKV -FERVVDWKLEIRKMKCEGGENCYCDKKDEVSMRKKMIMNCLMCLDIYGDVSMKDEMKFCL -LKQNKYASCSPKMSKLLFEHYAETKEEQIRSDLKKSRSIEENDLISMSKSTGMVEKIEKS -VDLNEFYKSAYWSRLCSLRSVVADKKMFEQEKSEGDNLRDIKQNIERLLKYSNSKAEKEI -LENEMKLAESKKKRVLEELENMLEGDEIFERCMIGSLSRDLFKLRRDLRKGEKRENSRNN -NVLSSVLSRCSGLRARFFKNSTCTRDNLKREEKEEGKSIIEKLKGINDIINFYVKNIKES -GLKDNVREDFIYNTEKDDKVKRVFPDDCCDMTSDDVLKIIGLTTKRDDNVRIETKSRAYH -RKLGKDVNLGFEDEFKRSILRLFFSIEAFEIGMMRAILKRDSLIRCKKFVSQEERCKWDP -KSDENEFDENDLKFVMLGYNSMTKFISLLEEFRCDDQICFTDVCEEFDVCLCSKEYSQND -GWPIFPDVVNKKIIISTKRRDLDFLDEKEQENLANLVCSFINQSSNEVRIFESRVGSDEN -RARDMEVIIREIKKKCPGSKLSVSFKVENKDKIRSIAEILKKTITMEEKLDLDYMKENLE -NIRQKLEEGLRVCFDEVFYNVKLTDNLKVMFDRVTTVENKMETLSKDQREKVTKNILKSK -LLEDDVSDMLVNELVKEQRLIVKDLIELIRRNKRNSDLVLFFGSLFCVRGILKIQKLESS -IVPFCLDIDYGAKTFFSSNEMVKGFNILCIMSRSTESDMHSYLFPDSIKVTVGKDKFIDF -TKTLLKKNFLYNFYELQTTDDNRIKEGVKRRLNYKTSNAKKNKGAFLIAERVYNWRQNEL -VYNLAVELLSNESNVVRHQTTIAPKNQLGAARDLKVQTLDSCIIQSTIEMVSSNVYKSMK -RNTITDKFCKSKFLTMVEKTQRDVGEEREHYFMSKDGESWGPKMKGGHIIKGLATILRKI -GYDELAELCDMISEVWDNRETEISGAVIEGYMRSMINKRVTLESGIDTIVNELFDEGDNL -NRELLRHLYKGKKTMTLPIHMGQGLLQSTSTGIQALISNFLERCHSKINDECSSAEMIAG -SDDSALTVTFKKGSSIKKWVDIVQVVSSSLNVNDSCKSSISKKVLEFHSVFVSDGEQTPA -KEKFNSSILFVGKDSSIKGFFESSYNLTRQLISNSGDLSDVYSVMVGRVSSILGTLNSKQ -KKLMMECLSGPLSWGGLPPFEVINYAVMRKEDFTLDTRVMLCLNKIDRGRGLSRVEKSVL -VNMFLEKKREFETGSVFVNDIGASVDKQLDKVSQMEPAVNVGDDVRNFIELAMSQNKVSD -KKIDVLRMKQVLWSMKNSGSLRNEDNLNVLHIFHRNCSENCVLIENLPSTISSIIQKSKQ -PDLLEKKVIRNNVCSIEDMLRAYNSFFFENQHEIIDYARRQKLSFRPHCRRLSKVLNATL -TGENNTFGKVMHKIVLFDRRGVNIINSALNTILWKVDKDTLRELGVKRIDEMEIADDYLR -LSMMSEEHLNDAVDAVRELKNVEREKIRENIDRVMSLIERVESKPTSFTLYTGIDSKGYH -KSGQAFYQTSSVKGKHLELVDDSLPEFIGNSARGIAKECLGFLCSIIQNATDCKRMIRAV -YGQRLTEEEERELECKESIIGCLRFSKIQKDIEFLELHKQVIETELDESYSQGSDIISRD -IYFYSNDEKTILDFILNGGAKLRFYILENGCFMTGCRVSSEDLKSVVVKSFEVISRVASP -DEIRQRIRRLRMLECSQDVPKVNMISSGACYYSREGIPFFEIAEETEAYNSDVSSRRLIK -NSIEKKKYGFILTKESIIMKSQLEEKDEMMNNVERINIEKTQRSKRGVELLTTPIRANST -RFYYTGSKVFSEAVVRLCVKVISQDETIIIRLRKNYGESFLSHIDFISNGTQGKVSGEVD -TVKLKLRDAIVGDKYVQSRYGIDVKAEWEKDDLEIVYSSRRKEEEIRETLKIERFEKSVE -ILLVKMIRDIKIVEVLKETYNNLDMDRVLSTIDTRFVSRLEKLRDEGLSKLIAYLFNYYS -IEKKVCETVEHTREYLRRCEGLLTENRLLLSYEIQSMVLRSVKGEKKSLDVSVKLKKKID -KQTKRRCSEQKEIGKIWCNLATTIKTEIANSIAEMECVIYQSTFSLDSICKSGDTKRDKA -NRVLDYSKAEFRKMAGKLDQIIQMFYEVFICTKLSKFEQDERNEIMADCYNRYLEEEKMN -CSGIGWVQLGIYPKIEVNKQTDIITFKMCIRTGLKYETNCILRALLNSETLIETMSCVVM -FSRTIREAKRVIKATNKARGFAGTSKIEERRDLKGEASRQEGTAEDWFNDLLGGDEENDV -DEKPLTGTDSSRDETGSTIESDASFDDDTDDFDEFSDDDWEEREQDIANLIGNYEGED ->APG77864.1 polymerase PB1 [Beihai orthomyxo-like virus 2] -MPKQHNRDEPFREDIDILDENQAIPGMWFSIFKDYTGKPPTFFGKATGRVIEAIFGMSKY -SQIPIEKFGPAGGVNSALLKSCAGKCFMDMKQQIPDLEADWLKKINCRSTILQQGRSTFC -PFEGKNVPAGTAYLRMKTRLSINGIVPTDGSLFALGEAVIRLCDPEKFPKVKCQMILPEK -ISRTGTVEKTKVYTQMIPSEEFKIMLAGQTAAGKDKERGKTERRAIWVTTMENKLFTRIA -EELFIILIEAIGHQCITIGDKDADAAIIHHCTPPTLPDATQNMYQGTLDMTKWNQIMTVK -TIQLMISLICRIWNFSDSSTILANTIMFLQANKWVWLDTIKLHADNLDVKEVGLFSCRWE -ELSDWMQKVFACRENWESGKAVSDAMRETFGKYIPGGTEKCREFAAIPLGMGMGLHGTGS -SLVHAVCMYTMSTMAQRIHNASLFNGIQSSDDSAIYGTEELTDMSAAMSKVFHMCLSAGK -SIYIKKRKWDGKIRNEMTSRIFHECKINPVGQPTNGVKMVDGSPTASFNSAMAQAMFMTE -HSGPLSGIASMLYITEEKNDIFHLGDNPAEDETSRYEMLQTYGLAECHPCTARKMKGNVF -ALKQNAMWMHAACNDAEENKALEKTLHQKTEIPVQPGHAVHIDFDEVGGIYSIVPHGSTK -MVEGNNNAINNQRMMKAIADILRRHDPGIDLDDSQTSSSVKILVDAAQSAFDDERLGTKL -KQAYLDCEKSASTIVEEASAPEYKPVATRAELKRKAFATFQRRQSKRARKSL ->APG76626.1 hypothetical protein, partial [Wenzhou noda-like virus 5] -MKPLDTSLVVYTGDLIQSWVCQRIFWAIPCFSAHKYGEMVGSESPVCPVGYSMASDKHCY -DFSGVWTVILVCLYTVFLVRRLIPKLWRVLCNGLGDYTHSVSVIGIFGDTLCWAYLYGCL -AFMVAFVSLFTDVVTPFEQVWTATGYLQVASRLGKALLYIVVIMVGNVWAAICCVACDQL -VLVEAGPHLRVGKAWGPVHVFAIMVTATLMLVALTNCILVLWLLFWTYGVSFREYIGFPG -KGLIPSAYRYRMHILKTWLRVGFRSLTRQSYFCAHNVHEERFRHFMRKEWQDQSEAAPCH -DKVIHGHPVLAAERRGVHLQCIRLLNRKGYQPYDISTSRRTLRMQTSDRKPIPGFHGLFS -ARDFQFQPRNDEINEESALLCIDVDYYVDMHALASLFRPMILYTFAPGVASGHTPESTWC -TTYGVDGDAIVRYNVNGGNLYTHKLWDYGDTDYVKFEVGGLWFVYAIERHRPGSSTHMVV -WLQPCVIAPATSSVAQSIFGSSHTVKRVSKPHYVRQDGDVVTIVDDNLEFRLRASMYQTM -MASAATHKTLSAHITLAFIKQHEEHLLSGDPQYLAEWFVSRFKSGKLPDTLVYASVIKKP -DGSFFKNELISTHEVMEPTPTNAPAATATKDVSSDAGAVLTRISDIHNSTVGPPRFDDYV -KEFCSGLESMTGIAKHSLSPLTPDELLERTERKKTREKILGAYECAMSSADMKAVFIEGF -VKKEAYVKPSDERQISPTNDEHLSQMASYALPFKELLCRLDNYMPGRTPLEISKHVQLLF -ASGERLWETDFSRYDGQQSRWLRNIEVILFSYFFKDQRAAELVYNEIFRVSARCKAGTYE -TGGNLCSGSSLTTIMNTAKHMLIQYITYRESGLDQKQSMHKLYAAYGDDGVLTGPPSVAK -MMAAVCDAVGLKKLKCVEANTTERPYLTFVGRVFFPGEDGIHAPSFQDPCRVWSKINLIQ -KGPDAFKRYLAKLGCFVVTDGNSPLLGAYCRKVLELSPGGRDILSSLRRSDAGDFKLAEL -VDQWILVESGARVSQAWPNDCFPGDVRGIYAKMLGISVAALDEADNRVENATKVSELNQL -LCLPQVELNPRFVYKDIAMSYGQTLLYNNNKVTSKMTTEEKVAIKRTTEHVLGEGTCLLD -SKGVKIGQDAKARRNTKKAPTGSGA ->APG79342.1 RNA-dependent RNA polymerase [Wuhan snail virus 2] -MDTNMTGAIEAFNSLFGSEVRLLKRANSILICLKREQEIYETHPKFIIHNSDSELRSVME -EEEMELWHVRTELEQERAMGRYQSVTIPEYLSDRNEITEEINVSQGVMDEVAQKAMKKVK -SLLVDKGSRYTDFRLVINHDVTRIFVREISVCWLQLCKMICNMSWDEFWLSLPEHLQQMS -ENCDINFNDHDLLTAFRQRCLATCENRDVVSLLSIPDNIYPDLVRQALPEWWLECDEFFH -LTDHFFHHCLFEGSMCTPIPAVIKYLLEMITNMKVDFDGAKKPLLEKWVVTVMRKKLESY -RTTSISSDEAQFISQIQLVDYAHDMTVNQKLAFRAIKRLTAQNKLNYSKPVLSDDYEGDS -ELQTAFDELITHPIIEYILNNPHASDSEVKDAEKLLLSRLNRIVSLMTKCQAVDLPYSTC -LSIIEKLNNLRFLKFGPDSRKTDLNVSILKLQSVTEMMMSRMRVKSTSLVDDIKNRLKDI -ASATTVFDEHFGKFCKYVTDVVSVIEISETGVTDLMRYIFRIKGLLAESFMYWCLNEEFV -DESEKLKLHDILYSFNPQVDWETAFTNCKRSLEQVPDHYSHSKTMLSVYEVGWASRPEQK -KALDEEKWRNAKTIIEDKGFNFHIVTFQLTNDITKWNQLANQIKSESCPSFVGSWVKSLR -SIQKELLDVIPNLEKFGEFLFSEGRAIVKAKFSFYPHLPRRSFQGKKEKLFSRLSSKLPV -ESHPLLRQWVFEPESLTCMKTPSQNSPPIQIKLSDEYTFVNSQEETLLIDYLVEQSGKDL -SIMSVNEESARKLKEAWDAEDEASPTYTPSVDPITQIPLVGTVEKEFIDYIPELKRISPF -NKIISDIPFPKGDFMGFKEKDLNLFKKFAKYQSKKQCQQLKLNWSCGRNGKLIMVSHGKD -GQIRNVGSHKNESVFEAPDEKFKTDFLSYVKKFNPNLDSNIFKDLKQPSEKLIDMDKMLT -KVVDVMKPIWYLNNEERMKELNRRCKDTHSDQKIKVGTMLKMQNRAFSLIEDTRSILRKC -LDEPLNLTEIFEPELIRSFKESSALNLECMKAISSVFECMGKRQYGRFLLLLHEIGASYM -NAKAESLTSGKLFVKRLKSFGIDLVISMSGSNAGSSSNHKCCLIGPTTFTSSTVEHGLKT -TENMSHCFFLDERLARLYESLIFQYGSTSLLFLQYERCMAGKSTLDDEAQEMVCDVVLNS -LFLVMKNNKACNEMVQNYRYVVMGLINDYIDCDEMGKKLSVTCRSSVQHEFKKRMKNSII -EWGQLKQSPKYTQADKSKIIEGEPNHVSLLRPEKGWKSIQSVITEIYLCHLYDREGVQRD -TSTTGVFKKSMETQLKFEKEIPDNWQAMLGFDYGLTGESFLENCKKILNLSTSIVGFSGK -LVHDSGILCRNHLVNKKAKITDSKLSIGLSDLSNSTSIISKPQLSIDWETAKIANKKSNL -IRFIKTTQCNKTQDGVKMEEKLRWIREHFHSDESDYWDKDTESFTKNYLTRKSQALSSFS -EAVALLEQMPSALSNDGYVSYLNLFRWLNKHCSRKLKELVNDGSASEALKLIADRTGKPP -LETSYQAIDSYLQDLPSLILELFPKEDDQLHKKFAEIWCKAIQIINEHKEEQKFTTLQTL -ERNYSMLKSELENKPELFKPDEMLEVTTSLDFGSQSLCEAYTLLNLLSGCVLMPRCDMKG -KAEIEWDLYIKCFMKSVLGPKLYSLRILPLRFKSEFISGYPLHEVLMSDGLMRTIDSGKS -GLESSHKSVISMFMRLEPDWLHGLVVEHLIKFKNIRPETMMAERKIRRKFWQIPSSQRNK -VTLELITHMIENCSSHDLFPSSIQKLAEVLILSSEDEFYGLAPKEQFGGVRELGIQDFKT -KITNYVSEHCSRSLNRNFNEYELIEGLSHPKAKFYKVDDAIKELRDRNVEPLEGETFKEV -IAMNKDHSKWGPTHCPGFNFRCMFLGMKGILQEFWNFFDLKMLASSTKNVEIPRPVVSKI -LNIPETSKLSEIEEFTKDKLVKGNLCVMKIQDMIQGIHHHTSSLYAMGCQKLMHHLMRKA -ADKLGYECSMTTVQGSDDEETILILTRKVSLKNDNVEAVRRLIWINKQLEKLINFKTSPK -STYGRVIVEYNSNFSYGEANCAPSIKFCSSQFLMQSVTDFNEVVNSVYSMSQQAMYNSVP -LSNILLIALKHYTIYRKQAMMQVNGRNYTGLPITKIPFCLGGIWVPKHSDMLCNNLTRIE -LEMVSNLLVTNPESEWVLRFFKRSALGLVESNEVKHPSFFELDHKKVTLNQIESAKRNLR -TLGLKMRDTIKPNTVKESAIILLESLRTPYTSYTDFCRFSSIIRAEKLSISMIEKSPVTY -LRLLTALYRGHYIKLSDGSNSDLCGLIKIYKESADPINKIEFLEVLDTYTKAKDTNEAIV -IAQIRSLESSPITMVVKDSSERSAWSDIQITNNQTIIRNEIPTVLLTMLESTDIAVTVPP -KFDESHLEVDSRIIKTLCSPQLDKLSKILDSTKDEDKVEGNSLIKEIIHIAQGVSSRAYV -IFGPGMRSSSERGFLENIVSRNSVRGLDFNMTMSGFGQFANSSRFNSEDFIELVGLMATL -FKEDTLQIMLRYIGENPSIVGISETNLKQVLRSEINCLPEFSVTEKLKLLTLASLIGDTT -ADERLNDLASLKRQNYQIDSNPGHSCFTTYSGMKIVRTLYNDNSSITLASEMDRELIKGH -LSLFKLKAERIGNSALHPKRLFQLGDPDVLQLDSLDTQLIGACQDLNQFVHLISDDLMTC -YISRRDLSPETMDVPLYSTKIYIDKSMKQLLRNFDRKPVTTSCDLNIDHNQIRLSYKGKP -PIDYLDNQIVNMENALASMKSIQTDRTADLNVAASALEKKLKSLELRRNEASSTEIELCV -IRFPRVYSHRIVSQFIKLIQTDTFKAIGDRSHLTVKGNEELPIESSENVEEPEDEATDVS -SILSEDVPSLSNLDHATDLSKRVTEKRKALKKDVQIIKDRDNKRNEDLIQKFLDSATSEQ -IINASDYLRRFREDEIQYSRMWKTATASTITSRYAGVTFEEMTDIYTSGLASETLPTDWS -QFFIRNGLKGKTLKFFTIGMIVFHIKWPNMSSVIYKLLSLGSTRSNKLSVTKMILTAETI -FPDVNDQLSSSHGRQAEAISTEDDSSMTNRGFENDRYEDFEDSDSDDSSDEESDGGGDVH -TLPEIDPSKVSFSKAWLIRDFFKGRQFCTTNDCLHLSLCVGPLLSCISHEAKSHAVVLKG -CFITSILINQRGVPEDWESGTVDINSVEVEMSFNLANLQNKEFNRVLCISLVEKGLIKVK -RNHKARQMGLSVKDEELYNLRYLKRIEMDKSLKWPTFNTLKLFTGVMQRFKSSLNLF ->APG75996.1 hypothetical protein, partial [Beihai paphia shell virus 5] -GQPVTEGKRRIEVDVTNVEVIALYYLCDGHFVDSIPKYSRNFDVNKKYVFEYDIALYDKY -KKLFRAGDTLTVNEQINLCRKESDSFNQLFWNKQLTQKRFLEFTSNQGKRCDKGCDVEGV -TGEYDEDWKTYAKSRFNNKFYDFSRLYRDLRQLKREVNDGKNFNNSSSSSCFNKTGSVLC -SQTILSCIQFFLSLLVKSEQIEFVRLLMAGHLLCGLSIKEWFTTAKDLGTVLKKGRERIS -ASWLRFVNFELFAGYNLDTVVVDKDYEEAKKWLGGEKYVCEEVLPFYDYNFRKYMKEKVF -IHNIKSDEVSFQEFIRNTDNWMTSGAGFHKLKVKDGKNSRTAFAVLKTPAKIEEECLSLD -LEYKVSIKIEPGKRHRLFAVGNDHLLVMQKYTLALAERTVKKEVTSSPIWCPRSEEREKW -RRYRLSSMKKNKFKYPYDAPSFDHEVGTNEVESVMLEENAWFKRNKDTIHADRITISNAI -LEKWWNTDIKIEGYPTFKYKHGVGSGIPATYYLDELVNEGRTETIFASIKKAFNLKSEVV -SDKSGDDLMAECRNVAPLIAHFEIMQKCGVGAHPDKNYLALDNFEFLRKNFDERRKLVCG -PLARNITKFVFRNPLQRGGATGRSLMMERIKKWNVLIQRGANAPNLDRRIVDSALAIPLG ->APG77564.1 hypothetical protein, partial [Beihai hepe-like virus 11] -MQRDYDLCIARGKAAGFAANEAHNAKSVVQLRNKLGPDQFRVVQDLAFELGYRVVPGGGN -SPHPVVCSVTDIAKYKIDQHIKDINYKLIGPSASQVMALDPHRPRHCCTIRGDAKQDVRE -NHLDAALAKARRKGPKALEDCYRNACARNYLAGCTNPMMCDQGAQNCHVRASTLYFEHSI -YDFSPRTFSEAMLNAGARRAYAIILCPNPIQLGSLSGREGEVHWRVCQPTKNPLANFPNR -ETMEPVTYATKVDEKKAIIDFAFDGAASYSHRLANYRVWATATTIKAGQKAAFIIERERV -GQVLILTINRVTSALRAPARTPATREFVVIPDLQYMLHLVGKSTVHDVASHQPYWTLPAR -LFDQVRRQYSSANPQNKTFVQMKRNMVALVTSFHIGGQQRIGYDESDYADITSHHCMVSV -FYQCKLLEESSAHDLREAAEYAEKHRSPTLGERMANFCDEIGDHFMGMAKQDLRKRIAER -LAPDVKNADRKHRGAWWERWAENKEQRHQMYRGNLALLQPSIMRLDGYGQVAILTACTEA -RTDITPTVYAEPTSDDLDAPRPAFSVMAAIHGAFDEWKSTRAELERQKVEKDMADGNMPH -TQAEHVLSACKLAEYIQWDDEWTPAFTEIVGGPGCAKTSHIVKQCREGDLIITATLEGSV -ELTRRLEEAKKLKGVQVLTMHSALLGADACPGGRVWVDEYCLQPMGLNVAAALRKRASEV -FLVGDVRQIKYVDFLGQQPVWRWEMVSGHVPAQNLNDNYRLPHNHVVAINRRYGYNMNAL -SHVIGTSRVDQTSYAALRCASGWKVITFLQEMKARLMRDEKAPNVNTVHEMQGQTFSHVH -FVVEQATIPRLLEDVGYLVVATTRHTTGLHVTIITPDGVPAQMTRDSLETFRQLVDLSVD -YHGLPVRPPAPKRTDFEPLSKGELPAIENLDWDYTEGALRTWKDADPYDVQRMIHTVTSL -DAPLPAAAVKISPTKMADGGRFPESARYARIGERPFGAEQSRSSPAFFLGSFCKRNLAGR -RLHDLKWAMRHSEMLYNVTKSKLFAHDIRPATADELQQHWWTFWTHVQQREKSKAYFEVP -TDYPSITECKAFVKEQLKVKFEPDTADAFETFSRAVATSLQVLEPQKPGQGVVYWTKFMN -LLEYPHVMHLMAELERCLKPWVLIATGMSDEELVLKMENLHTAHNVVFIIKGDDFLASTR -DIQTGRWAFHTLDGKQFDKHIENVAFDVERRFLVDAGMPQHKLDLAMKLQRCYNIRTTDG -SLTAKAVQCGRVSGQYRTFSGNSIVCMVGAAAIFSITEAHGAPVGLECTNESIAQAEKLL -CMEYKYHRYNHVAGGAAADFIGFIAHDLAGTVRFFPDLPKRAAKELTRKYVPFEDNPQPE -DLKIILSRAPQADRRYALRCLQVADALADFIRPIRTESDLKYACAANIAYHKPGMSIHSP -QYAGALLVMEHIFGFLRGMSRDKNVVALSRHLAGACVEHKIVYTREDLPDEEYDWITEDE -ERMILGRNSDIEEACRCHELQHPWDRIPEVVEKHAGPDWDAVAHVGTPAARLHRLAVRYV -LDAETRNRTPGLVRAAATTPDDIYETLRLPNGELAYHRGKYYCQRFRADEQRVPCGRVSW -RGVYTRRLRKRLATFYGTTLDIAQRILDRPTTAPIYIYHALGQDVHICQREIPADKAAKA -KYALRELVGLDYSTPCCVGEHLGGERRVRCLKYNYRQREWYVGWHTVDPAAIRMGETNLA -FDAGGPREPTVGLPAPPRPARFDPSLSLSDTPSDSSDAEGEASRTAPAHSPRAKRRPKRP -KTPPTAYEEDFEQLLEELGFTATEEEASGPAALADDATLAAIGATRGTFDGAHWYDAPVS -GVNTCFYDAVAIQTSKTPSEIRAALHAHAPEAGYMRLFSYAPAAAPEHLAAIGYEVLWED -AEQGICFEAGVGPRVLLQLRGEHWRAGRLAGNPSLVRARIAARQREWAARGRYSPNGTYR -GYVPARRGARDKIMEVLAGVAPLLFRPGENIIADIGSAPGSVAAELAELPGVRAVHAYSK -DCDIKFLPNLPSNVVTRVQALEDMTPLSDDVQLVVCDIQELGPAQYLQLLRVTARAKYRA -VKIQDPWDEAATIAAARGARFVVPRLKNVRSTEAYAVDFAHPRAVGLREWKDALARAWAD -DADPP ->ALD89133.1 RNA-dependent RNA polymerase [Rhizoctonia solani negative-stranded virus 4] -MAQVKFASINSIKRHTGLLNYNEDADRTRYYLENLSEFYDYMTSISHIDFRTYQDIIKFM -PGDLSTRLRLVPDSHLVPRLCHLYSMIDHLINRIPIGQVYDYDLVSIEGSNRNFPFDMDL -ILYDNQYKRLYLVDFTSSGDPAIMLKKQGIIESYIRANKLPEAYAWVQQFVPRMITLKID -TPFTSSEPGTQALKFLDMVSSWPEQQREIYFSKCVEVYHTVNANIAMRDFKDPEGLYKSS -DYDLDDINKSIDLVSKQSDVDSFEFLCNLSDKYSDFKPFKDGLVELAKNANKLKFSSLIE -GAKVNYNKNLSDRLDSMEQNWMCKCLRFAMMTDKVVAITDSNTIQLLENFPVHRKETYLP -AYSKYKMVCKHENGHVFTIKFHPDHMDENLRRSLIHDTVRKDDKFKSKDDLDDDVTVAKL -LRDSLNMRKAEYNNLMSLSTARVKDSIWSKVSSISALASNDLENMGRSTSSMLDSLVKYL -EATYLGTVMSHQYEIYKSIAASLKTSPGDSTYYVGINGSYDSISLIKMSSTLDSFTRCSF -CVIYKPERSLSKRCSRFKYKMEDEICTTSFYTCDSNQLSYNLRLPMILISLATWEIENNI -DNGAIEQSKIQQIVFDSFCHIMINRDPFAQASEQVRYFYMSSIGYGGQPQSIVDKTTFLN -VKYPWEFLYLLRSYKLAACLIVINQSSNLGFIMDKDSKELKVCFPHSSYYSRSFSQTVSS -MYLCNIYNKFRAFHEVSEAICYNEIVEERSIYNQRKSESIYEVSGMSPTLYKLCQEGHLP -TATYIYSNEFISEESAFCIKLSDYSGRRYCGSITYIIGATIMNSVTSNEVITSIYRALGK -SPIEACSMRGSMEPGPSTSKKQGLRAASNILEELIRDYEMDPKDVNKSVLGSLFLFDKIS -ESYYAFSIFNKALIIYLYETDKFRYRIVQKDQKGHREISVLNATFRLGALFVETIAKELS -SVVGDVDVVNNPDKDMIVEQEVKRSFKLDATKPGVYCYDNSDQKRWGPNHNMNFFAATLF -VLLKNDMGLYKMASRVFDKVFDKRAKFPESLIDLVEVKGISTSNSIPIHTFIRNHLQDMN -NKIYEGIMPMGMCQGIFHAMSSIHHAIMCKSIESLVRIKYPKVMIRSFSTSDDGLRIIFI -PSGLDRFEVIKFIHNVINSVGSLFNIIRSNPKSTFSFHIAELNSNFYKNSKLATPSLKQR -IAKIDVGFGVNPIEDYLEVLSSAANYLANGGSYTGSYILSVLNIVLHTEQWLRWDLVSSE -HYYKPVELGGFPVIEPVSTIISGGISNIYLRSCKYLSSEMYSLIYVNSALAPPEQVHLSD -FSRSSKQVTKKSAVMEDLTIYKSAGPLGLFQLVRTDKKLSQFERRHGISKWPIPESFATL -DKSSPFASNFIFTIFRQTGMSIMETNVGVNSFFIRFAEPWVSHFRTCYKVSDSSPYRELF -GLDKTFISHKDVIDLAKSMSITESIMSIRRLADKCPQREDINVMLSQLRVRLDDSLEVFN -FLRSQEAEVFCKSKGDPTIRKVELKGHTAMQTGTYFCSILKALSGEKAKNLINESERNLR -AYDELSIKPTELNIPILDAIIQADNTTALFNKFIRRNTKMILPTPASDVRELVLAVFKNK -FTENMGLVLSGSLNIVGDREGSYSHANWAQELIDRSKDFESNIAQAVLAGKSVNVVRTGI -RSDRPIISDHESFKVDVTSRGAKSVIIDAMNKDAFVTKLKMWISAQVGIMLTNRTIEGFM -QGKLTYGHDYYIGDKRFFRYTKGQYFKVLCNGIRGTHAIQTVTKRVQSRLITSYRHYFLF -PINVNGMKVDVEINDKYKSEKWVQQVANDLRSISAIKTSEWRQLEPISGFENFHIQGRRT -YYEQDYLMIASITPGMEFDITTNEDSLCLFLTNPQLRINLPVTYLTPKNIDSINLGYTLT -HPDIKVAIDNFFSLKEITKDFEQSKIRRNKELLEFLDFLLVGSSVATPDVKVTKMAQKIG -LTVKTAIQLDILRCALLTNSGIGVHYTSSRFNQFLLNLGNRRHYNHTYLSRRITGARVEY -ESEVDEEEDDFEPIIDQGEIQSRNVTQEPLIESSEVQAESESDSMFRGLRDMPVTNWADE -VIDFYSQNTENIEVAESPQTASLDDELFESDQESEASTVKPEFILSSGNRQNIIEMGESI -QESMQASLIGEFDVSSISTAGSNITAAADNDLLGGAFADLEDIMGEEFDFDFDESSSDIL -KDHREAAEASINNLDELNELFAGNITKEYKNVIDQKDQTIASVVTGFTINPALENSRSIT -NYLVEWLKGPGSVRIQSMKSMNFTNIAQITRAYIAIKELIGTTDTNVLQTAYGDSEVRLP -IELTALVLINEIYL ->APG79231.1 RNA-dependent RNA polymerase [Beihai sipunculid worm virus 7] -MDYLELIYPGKRIYLEGGHGHRTYGRRAVLVVRLGDFGQEEALTNLKQYDGPVSSGKEDC -HFLDLQSLMTLMSIDLRHLQVSQLFCLTAREHIHDRVVWIIYKYLKCIEILHWDPHSNDP -QGIEELDRKMYPEEVLELMNSGENMGITILNSIKARHPNVLQPIIKSLEDLSTAGITQRR -EVFLWMLKSNLTRLRSSIQYVTRKIRSHLQMSSENLVRMYHTADVLGCKLVVPDEFHDIY -VNAFVQFKAEAYKVLLFNSSALIRKSQTIIPVGSYTQIEAEGDFPRTELRSEDLLAIFCQ -SRGLNPEVVKQSLTLAADQLSTVSDNVSWKEQRKKADIHLVEALFPEGRAAFKLIDRASG -VMKRVKRDNIGLYIGELFRLCGHADVAKLSSRISQIYKAFERKTTGRSIIDTVDYTSAAM -RMRLDNLTGIHVTDKEQLDSLIDNFSISTQSVNVAHNAMNLIKGRTAEMAFAIGLELRCL -NEESKMTLNEILEVCVEDMWATRSSIQVLSGMYGDYKPDFFFELIRQKDADPDLPTIISF -VVRQHIKRFQEDTFEPFHEVLETECSSSLIRLLTEKLKSVPRTKTRILVFLEVGWRYAAK -PKRESDEAKWEQVLPYLTDALRDDTAVISHVEILEGNSALWDEMLEDKVAGNDVLIMKEI -IHDCYKRLDEVPEFHALSTIPHEIDRSNFKVFETQRVKKPVVTTEMWEKLEEKESEWFDD -VCNWFPEAAPFKAACLLKLRNDRERDEVNISTETARIIPQKLWDRSLIGPVNHFHTVRTN -AYSVFKLVLNSIKFNMQQPRYECNTDCILQIPPMIHTKNEDTFLWRVRHRIPFLSHVLQK -NLAAKKSHQEGRALKCIQELIMIEQLFLGSGFAILPKGNLYLTGNMHSQMKEQRNKSPKY -GSKSDGSEKLRLLLSKHCVNAGSLFKHHRELMKGWEHFEDLCDKDIRALNVDELKMVMKP -DTLRELVLAGKQGCLESFIHPYHWGKELCLEMSTSLKKMECLIMDGLSVLDLYSYKSEAE -RLHNVRLKSEEVYGEDTKRGLFYIPSANNMARVDRFISHFSQIEEEEFDDSNVIDESIIN -HIWRDHEDQFTGIGINLFKEVVSKLRHTKGWKYAILLKDISDCFSTFTSDANFANETKIM -MRSLTNFNLMLLCNVNRKNNSEYNSTAVLISPVNTSWLLNRCRLEGEDIPVEEDMVEGNI -SNKFYLNARNLIQYQTLPYYMIMLTVDLLVSDSTLKYGVDTPEFANRLNEFIRIVAGPWM -AVMASNNKPMSGMIQDLRYIGTTLLHGAFHALEMYEKWEVTSRSSFDYWVFYHFSLFLIR -CASKHNMNSYNGTMDKKVRKHAATGIEIPIIFSTFTSSGYSMFLQQIHEYHFILREVPPG -PHSIYKIFEKFLKDLLRQKKLGKVDPRLQLQRVGLLDFDNHDDFVRTVFGNKSKSVIFST -DFLRACSHLNRLNSQETIYKNSTIHGNKLVEETLAQLSKTSSTISEWSHKLNFQKVKDQI -RMDTNFSRLSKTLKHHPSGKTAEEVFESMADVLDVREEGEKKILLDYISEKHITSMITLQ -KQVQVMRSHYLGEDEYRSLAHHFSTYVRSQGDKVMKKVRSELIIVEYGSEKAFTAVCQSP -EGVSALQSPYVVNVSSKHILDLQSLINNTLRLGDSEGEDLVLPLTAAHTRKLVKYVEIHM -KLLKKTLKGNPLIPESEAKRYKSLMDWFRSSGVYCAVLFALIVCYQASTLWVYYMNKPSV -EMDSTLRKDMKRQRVCTSQFQLNFLRVILGHNLGRNPNLEEECEDPVEQLTGLEDREQLL -TVLIPMLADSIFSGGDLERKIAIPTDVPKQATFTVNLVIEQSMEYVLNVLAYTANLTRNK -MGSIKFYNSASTLERRGNQRDLYTNVLASKALSMGMRADTMLINFVMSQLYLHFTRPFYG -LSFKVQPGKSSRELYIGTLDSKTRDALAERMAVKMLKHLKHDVAASPEKEKTFMAEIVRK -QQEAAEIIHAYDEKKIVMCEGGETLYYVVPDIIGSFFDLDDLCRVGNPQSTLYSKRKQAL -MDELLEDLRQLMLKETDEERDILFEALESQSVELHAPVKLEDIRDHPGFDTEAAEKAIYE -SIFDEAETLEQMPYVYQRVQYTRELMRETFNLEDNEKIRLMYEIVKPFGTESDDLENLDE -GIDPDWKDRLKEFLNDEGHLYYRVDFHSRTLDEKFAVCVKQSLDCSEFGPGTSEREFCPA -LSAYKDVYGDGWACMKLLMALKSTKRVEIHRTVIEGFAKLSPESKKNLPEWAKVTLEELE -KNNASFSKLSDFGQGLSGFCASAESTVVEEVAYDWIEREILNILDVEIIRDHARTSDDSA -CYFIFIGKKSVYLNYEIFLTVVMKVTHLVTRFYIAMMMAANKKLSVKSTIDTVKIEFKSR -HNVDKTELGVIIKDTSRQLTLQKAITIADERYNSLSDVQALIMHDGCSFTAISLFICAAS -RANFLFHIGVGGRNNVFNILRREALNSELELENVITRLEASHMVTKSTVMRIINEALKED -FLSRIKTPKALLEGEDEEEHLGIENLWQEDVTLAHPDPPFEHRALGEISSDLQNLITKLR -QNIDEAEYDRFTNEIVLADLEKDIDRMEKVRAEMEKRGPETTWVDFQNQYLLENPATEIE -VQLGEEFTLNTNNQDDIAYDVVSLWSELYKKDTLLDLMSEEEVEVLRKYLLFKDGEIPPD -EDAYFLPFCLGGLPKPEAGHSLFTESSLMEIENVVARWGVWPRAVKQLVVMCYNVLDSEP -EEGKQQGVQKDYRQYHGFFAGPQHRMPSSRMERLKMQQRKHFVDMTTPSVDSVDKYKLLQ -SFLPGHFYDVDLIKLARTLSSRGMMKAVLINSKIKAIRKSQQLYSGNFFIWVDPEKTNSI -RLFLTYRQWVAKSMGMEMNVSKIREFQEEVLTLLSNVSPLQRLALDLSRSPITNVTGGVI -NPSSTVTLWTRDEKDKVKNDPCVLFVYMLNDPAALRMLDFRRVNDPWITSDLRVVTTRYP -NLCKRLVSAWNLESNEDFASLMNRFKQSVNHLRGKMVIMRAATPGQGNATDILKDMLRFA -MVSGLKFERDFPKTTISKYREQNLSLEVNQDFQKISLCVSMVNRVSGEDGKRRLFMKLIG -LLKSATKGTLEEGLNYLAQVENELQMKCIALKAWLGDAGSREKVVRQFRQNFTILHSKDE -RLQTISINHFTHQVKIIYRVGTSMQEFLMGKIDEPPLKMLSTTRDKLVITKTLNSVGHQI -SGSLRSTLNQLSTELANILKDPQVKQRTRVKRNRKFQFLREWGRICEGSIATFRYNDHFE -LKEKSLPMFPVFHTEFPDKSFLRGDPEVESSHVFTLVNGKVQMKTIILMNTLSQRWMKDH -LRHRHTQIAKILRTTMDREVASDARASLEEIKVQMETVDKKTFRHVFQRWDLRTRIQTQI -INNLIAHLRDSPLLSEISFPLMPENLRDLQARSGSYLLETPSEISSDSGSGEECSEAREW -KGRVSMLDRLNYFHHLGYTDEEAEQWLLREQSEETDPTSQRESVGLHFSLDNLTLNQRLE -HQSWYNANLSALCELSGLREFMSMPEILMIQTFDDLTESALLSLWNDTEGRKYKENRMSD -ENKLHILRCLLFLLVNTSFVDEVQTSRVVSGGLYIVGMKKTGEKLRCVEVMFAKPITEPG -FAAGFKELSCSLSALMISKDKKSIYINQNDLLILIEWILRNKMPETRRESAVSMKPAWLT -LKKPAVCKELAPCSTETEEEFERLSLQDKLKEYFKLKRRIADLEEEIKHGENEEQLLELG -KTLTRLKRKYNRLGDELGEKFKDD ->APG79245.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 3] -MRAKLWHHNPVDIYMIHSMSLIEELATLLQTGEVELMRLLVGIQINTNAEVRRRRMARRR -SGRTMRAPRNGLTRLVLPAYNHRSSLFPGLNTISVQLCPNASAALYCMFHDQGLVIRYQE -YAHANFWKLTYLNLRSTDMRYQEVISVLKNFQDLRGSTRGSLLFHTKENTLLGRVAKTLR -SNSGTLRQAKLSLMSGNQRQGLLFGKNSKSGTKAKRRSGTLVGNLLTRQINPKSIGFLWI -YSSGCIRDESSELLRSGDIEQNPGPSIIKDVIDARYAINVSPNGAVRVLQCADFDTRKKI -WKDFMPHGLLTYDAKLHWQKEVNFINWGYVFVHLFRHGKMVQWSSFLNEDFKKKFLQFII -SESLKHKTLRRIHNDVAVQKLETSEWTKQLTKQYKLSWLNDALRQKFPGRYFSMLNRPGD -DRTLPTELEMREYQQDPFKYVEAKVRRKFKLMIDSLTHLNNLLKSKFDLFADLVRYTEGA -YTTCHPEMYKLILFINGSVFFKKVRLPVSQEGNFLFSSVISVTQTWEESVSDLKQIHTEL -LGLDNRQLALRDKVNIIINKLADITGSEPLKAVTAGGGISTGSWKAAMVLLTDLFQVSVK -MVDNLGDREVVQVFCDEIMKKFYEKLPIAEGNEGTRRSGCFEIQRNLIWISSNYMSREND -EGARFVRSVAKLGAARLNEPSNVSDISLWSEILVRIKGAIGADACYRSNGLSAISVYSEK -NFKEICDEYKIDTKQLRVFPEEIEKIPDYYNVDPAEMTVTLYEFGWQTSNVSKAQSDREK -WDFIRDKFDGTGWSIEVVVQVLGPDIRHWPDNIKNKKDIIDSAKRLKKCFALAAQFTSPE -SKSIVSSGIGTQAIRKKHTYTKSTFPEPNYEKLDEVREWIVSQNKTVGDQFEDLVNISGE -FEDELRTDWNQSDEESMESVVDLVDEGMNNWDDLEFVTEESGRNLVKAWDKEDDSYGWEY -EPDIDRFTAIPSLTTLEEDEMSTAFSTVKSNLVFKPIGFPQTIRSRTLARGLTKMAHLLS -GLTFYRYETGRVKIWVNLTMETALRKDVMKVLKDSINDVQAGLKEEKDRSKRPPQNEILR -LMKEKAKLSKDFRIFTTIRSFFDEQGISELALQCEGLSISEISEMILRRILDSSMRKAIQ -AVLKDVTPFAILTPEDKVEKDISELAKIKKTRASKQNFKPSDKDMIRFQGYIDWLGKPSG -SSQAAITKARGALYTKELYESLQELNRNTVYEVGLQWHESIMEICEGSNAFNMGILMNDI -ANVAKTYISSKQRYGLAMFKLHHFPAVLILNSRKTRTQDSYSSDVSARILLLGGGWGFKN -SNRGLQASIYCPQLSNPFILNNRILTSFTIALRSSLISSSLWAQNKGLSLSNPEGRSELL -HWFKWTMFYCLDGTRISQKISGNFRYIMITGQDGAMVDAKGMGKKMSTPMRRTSHYVMVK -RMKETALEMFSSNNERVQGTDKFWKGEPSTRFLPIRDYLTGLSITSFPCMHLVMYRYHHT -SKIIPDMVHSKKAVGLKSIEGYYRCVDEYAEGGDRADAIRGFPTGDSDWSSLHSSILNKT -NKLGGSRKVCAAASRWTIKLRGKQAYDNWKERVNVNKGISDLMNNTAITESVTPQLDTEK -AQKFLRRKEGIKTVKRCVKHTGRMEEAAAEKQIEYLYNLGILKNEEDIPIYKKMWSKISH -RESVDSVLSKFTKGAMAGTSRRESILNLSVTIKHLARDFKQSLSTQERALIRQELKKPIA -DMSRKAKKNMHRSSAFYHKAKQILSEQDFEDMILKYIKEKDLFKISQKINHSDSLMEEVR -EVLEIYGSSTNNPDDPTNNARYADLIAKIQDVRGQMRCTEDERRLALAIMTFFLGSKASM -SKTKEKLRGLMTTHRVDRDIHTDNAELCLTPTLKFFKSASRCFSKVGYYDGNAVELMTTF -RLVFTELLTRSSLSELIQSSLTKPSTDSLSQLGKHLDVVYLYLPPEQHCFYMLNACLTCH -EKSTFDLGRITGRSDNEVTLSFAKKTKVTFMLATLVSQCLKSRDKASSNIIRLADNTCYQ -KEPPNVSVVAKAQPQDNRDLGVLRTDAKIANYVVERIVGPLAAANGNDVVTEPKMKYKFV -ADSVRLDDAPTYDSKGLIKSFAIWASEDKSKWGPNHIPHLFTSTCRPYYSFIGNAWYLAD -IQLLKMSLKRCEIVPPILAICLRDDYEYGRDDTMDRIRDYIMETGNMYVTKESDMIQGIL -HTTSSLVHSTALYYSHEIIRRAFARRGVVVKGTGNSGSDDSAIKVRIEFEHGKTYDECKR -WAKRVMECYIRVFRLFNMVESPKSVYCWKTIELNSLTNNCSRFYMPDIRNVTQQFAPMPL -RSHSDIQTKFVSMAQSGIMSSGLPISVIQQFWLFFQGYWLQQIGLCPGPKYPFQREMLFK -LPLEFGLVKPHLLEIVGKSPMAIDMERILKIQNSTEEKWKPIKNLLTHCKINLRYMPGLK -RPLEPTLLTVGFKRVIGSRDISKADALKQMRESLETGNLGFVQEQILSLCVPNSVEADAL -RLFRVLDSPDAMKDLNESEKMRCVAELHHQYNGHFTWVPETMKLKGKVSQMERGPGRILI -RYRDFLDQAMRESASTSIEGLMEVAQVISSNVSPMVSTIERIETAPVVSYTSGEINSYGM -FDVNMTEGKRIIFNDPFHLMMLMTDEDCFVRNVAGTIDFSSLKTDIEIMKGILGPYIDKM -IELAKLKNKESEMDNSDIKFVKNTLEMLMMIRNECTEKKMKILGPLYPKITNFDFFRFYI -TDNNLRGVKLSLGLDQERTTGNPYMFSLYEEIVGAVSVLACTCSNTSEVQKMCQKMRNLT -KIIEGTTVSVDKVLNHMSSQMLPQRKRKELLIVKSLFGDQQSLLRLASMSKLRVRQIKIS -DYQYSMQVDKLVGEIDLSTKTIYCNSTSPQLQMQICVLLLDWLHKDKILQTTKNFMYDII -PDRLITKNVFQVKLHYDGPSLQLGRTTKPGILVEFKQDIIPDRNAIIRHIEPISFVECSP -GSINVSVCLELDTTSDEVDTSQTAAIAMSGSKRTHAIKSLPDIQAKKSVAGFALPQRVPY -RSLSWLLDVGRSLMIQKDRFTMEQLKTVKKFQSAVSLEWDPKMTTMVKGALARVAELDMG -AKREAIIKEYTGLTHILDMSDEGDDISAQLQDIVNRQDQNQISPVLRLEDDMHAYSLSGP -SCKMSVSTLSLLLSMPFHEDMISSYSRRVEQGPGTFWLDLLAPVFSHKTRSVSYPVKPED -SYVLNKMSDKRDVNSSVALMFFISRLSEAPEWKGTVHSDSFTYKVTFDADHGKLLAMFKW -NEKDLSPNSYILIQSLRLEDFIKEVPNLDKSERRTSLLQVRNLQMLRILLRKTSSF ->ANR02701.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani RNA virus 2] -SRLLGPAHTELEDAFPVAKQMFDIFISTFLDRAACTSGRSTTDCVMDWVRSREPRKFNAL -LRDLEGSGTVHELVAKFFVKSQLKPKFGSYAKGPEMEAGQGINGATQSLNLSSCPLTVEA -FRVLQELSRNDVIWFTGFSNAQLDARVRACGGDLHASYTCTDISQQDAHHSAPHRLFGAM -LLAHLTGSTDIAEVYLATREFRSVSSFTANLKFTVIERLFSGEAFTIFLNTTTAAAENAL -NFCFPRDTFYMGIGDDSVFSGKWLRRPSASVIRVKIKVDHHSTIDFCNRMWTSTRRSLPD -PCRMVAKH ->APG77905.1 polymerase PB1 [Hubei orthomyxo-like virus 5] -MHYPDINACKIPPALQVLMEERGTLSMWKESIAPPPVATKGAEQTSIDDESKPPRFMREK -DINNYHASCIGGDVGYMKTIYEYYKDNHINPLLAWEETQLKLNHPFNAFAHGNKTFDWYG -GQTVMASWALNNTVLGLERNNCKVMGSTKLSLAKAFLRLFDKLEKGEKLKVLDDKGKEIE -ICSDEGMRRLNTWVPSPKTGERDRGETRNIWIVGIPQKVFFNIVEIFGGELGKQGTFELM -STGGTDRAAKLLEFDRDQEIMLADMKVLGDLDDTGFNQNLNSNSEGTFMWTLIRSLGRYA -HAILMPGVMMGQFRWIRPAKITLENGDRKYANEIPYECLNASMRESISEIEWEERNKDIT -NFGLVKGTDKMGMGMKGTFNTMPKEACVLFVNSRGVGLYKGQGASDDAKGAATSLQAFSD -YVSLTRACGYKISTAKSNIYIGSKGTPPFSEMTGTFVDGGALTETTSQEVNGIGYTADTP -MRIAMNLNSSVGKMAAAGGIIAGSVAAEVASNALAKYTEFFSEKRQAIIDTYDMKGMSPL -HAGKDAFNVLDCKKDPFLAYMVRHNDERFLEYSLPIDLSNGPLPSRKGEPSPLAFSSPWS -ICHRGTMGFEKAEMKRYARTQQAKKRCKPAEETLIKYRPSLAVLSGSANVGFLQLIAEGF -VAEAESRGMNNLVKRLRFNASMKETEFYAIA ->AFR11854.1 polyprotein, partial [Niflavirus] -LRKYGEGIEEPLPVIYEIFAEGLSETWRKVKPLAGGVVLNLEQAVNGLDVEHIDAINMDA -SPGYPWVKFRPKGEKGKKYLFEQDGCYDNTAPRYVAKEELKQAVRHRMHEACYGRRVQTV -VSDQLKDEKRSLQKIKEGNTRMFSSLPVDHLLCERMLSMDFIASMMDHRVDQGKNEAYVA -IGMDPTGPQFNDLYKRLSVYQNWFDGDFKNLDGKEPATILDIQAKLKYEWYSRSAHFLLS -FEQMLKDLDIELEIPLNEAARNVYEVFACDIYNTLHLALNVVYERKRGMPSGHLLTSVFN -SGTTLFYLFVAWVVVCARQAEAGVPGMKDLVSYDAFREHVKVVVFGDDFVASVSDFAAKF -YNFRVVSDIMNQMKIGMTPGNKTAEAREFVPFDEITFLKRSFRIHPGRNVCMGRLDFEAI -THSLMWQHENDDHVAMLQQNLEGAMREMVAFGQANFNEFRDVVVEKLNQVGGEYAACTVH -QYRTLERQLLAKFD ->BAE47071.1 polyprotein coding for replicases including RNA-dependent RNA polymerase region [Heterocapsa circularisquama RNA virus 01] -MVFLTAAISTSLWATGTTGAVGATTYFGVKFRRRVRAWLHYRQLNLRVGHFTSKGKLFYR -EDADGPLYQAVLKRYKGINPRGELIDPEYDYTELSTSLMSFNESSLVGSTPVRRIPRSLA -PSHLVVLFSDDQVSGVGFRMGENLITARHVLDLTHNLWVQGPRGRIRVEEPPVTPPVSDY -EYTGADICYIPLTPGQWADLGVKSMKKNAVSLSAAGLIKLYGADDDGLYESIGPLKVDPS -LTKGKGLISYVASTTPGFSGSPILLRNAGGGESVVGMHVCGDFKGNGVNHGVGASSINLI -LKGTGAVPDALTVSDFLGPSKLESAMYYDKQETYWDWVFDQECEREEAAREAEEAEIEHL -RDLYYGETKLALAPVAPQPAALPAPSFNGPGARALRSYNETALNVPARLGELSPGVGPVE -SSTHLPRFLMGASTERFWSRSINDTPPAPLQRESPTLKATPELVISMFTKIAEGDHKAFL -LAIDMSYDDLLNCTKDEVMDPFSDFRQYLEHTRKLMKKNDGGRELSDQSGRTFFRETHRT -TQSGGGKKRTAPVWLNEESKAFLRGLGVEGDYCRPPNDEASIIRSMENQAARQLGSRAWP -STGAMEPFLVQYLGDAAVSPPTFSPGRQGFDQLYRSFDDSSAGWTRRYRNLTKKSYVSGP -HCGELTRIAVARILLRCTMWHRIPSMTPEEMVWLGLRDPTDVFVKDEPHTADKARREMWR -LIWNVSLVDSICQAYFNRELNLQQNRDYQGGHPVPHTCGMGHHDEGIKRLGEAIEAAFPD -GIVCSSDASGWDMSVSRDGLIFDGLVRAIRTQDPSATAYHNIGTSVCILLDKFVQSAHMI -CTGTSLWSVDVYGITASGLPDTTTQNSFVRGMGAKLAGCFKALTAGDDLLCDNRLRLPVL -TEHGTITKGDVTTANWRKGQPVGFTSHSLVRGPDGQWSAHFENESKALHRLLLSGKTPLP -EQIGGVAFAERKDEAQLGRLRAVCDSRGWPVPPQSEWAEDFDLC ->APG79351.1 RNA-dependent RNA polymerase [Wenling crustacean virus 8] -MKTVDTTLELNIPVECHLTRVESIGERDDNQGETTTRHKGYLVVVSSNRLRYTRTPSVGD -IIVYPNRRLVQADCLYIDYTLPDIYTDGGGWPFMEYDKYILDRDFVLNDFLKMMNFDRGK -RQTNRYRVNKSSIPNLLPKFLDFHNITEEVLSNLFRDYVGLTHYKEERSIYDDEYWISRL -INSRDKQKFFDENKDLFMSIGLNGITNEHLLSYLWTIEDVSKRLRVVTLLPENFNLDYLS -RRQKAAVVDDYIHELMKRKVHNYYRMKMAQLKKDGWWMDDSSISRIKGLLAEILVYRQNM -VHEPIPGDSDDFIDFCIEKDDELNMPMKYGEYVRPDLIYYRKDNKKLVIVEVSSTVRWSS -YISAKKDKDEKKWDTLQCDFLFSTPFIAQSSDRPIALILSNMMSGQKSEEDPSSDDFIPR -VPERQDLLGEGSFSNKKTINELTNFKSQIEKLIDQEPMDINLAEEIRAYSVEYLHTQMGS -NKDCNSFEVSNDKTAFSDGWSDECISNGIAIQPEKQKTGPRIRNKESIWIHDLPVDSEYK -GKRGEIDKIYLAQPEVPKRSNFKLKIDLLMNLQEYNNKVHGMSVYLAPLVYRMSKSGEYT -LRCKTWSKRQASQMDPDTAAKEYIMTQWKKFRVDTEYNLEGIGRLDKDEYEESLRNLLHD -GFDVSTSNRLVGLKAFESIYNIMADIDDSEQRYKDIHDGKGNKSLPICTDEEFISVVDSA -MITEGDNPVVEKYFLNETMKKLYEESEYYSEMYNHTENLIKKLGTLSWFNKLCKYAWIHS -AILKCINSSSNAKYKHMKVPNSSFNILCKPTRNSVYRNKIVMYNETEVVGFTTNIKSAYM -ISAMPSMMINLIQIYLEEGYSLSDINENGIIDYLEHVKQLSGINSFDKDLLMKYKSIVKG -FIKQTKNIPVINRLIGSLMLLTKPLMRTNANFNAFQQNVRFSLGLTTGIGGNYYDMGKKM -FKVIHDGYENRLACISWAFTHYNLTEFNTPYKVEKSFNVVTKNIVNPNLFGLPIHSRKMM -VESMFLLLHVYRKQIRLDKSLMTCCKNFFKDTIDVENEITIKCESELLEDIVATECLSGV -PMREITVDNFEDIVKEQIDYCLANFGKRPCAPAIITAYSRLHTSRMSSDRYALANTGRLR -KHISEVALGTSMLKSNHQKWVDVQQLVVGDISRITSGIASDYSVNQAKKTLLHLDGASGK -LIDMLRELDHGTQNRDIARKVDKIFEETRIENLFDMNDRIKSEAVYRTYMAPMKERQLDR -RLKGDKKHSKDRQKILDAFRSAETDQEGLNKVKGNIDAPPNYEKEEDELKTLFKLLHARC -IMSLTDEDSSSEFLEDCKQLSSRISKVMSSVNLKEVSVTLMKNIQRTIPLQNVYDKDYNE -VSIMDALGSDIDRRSEQVFQNVGLGKWVEFLKKHSNISWYLMSVMEQNEDPVRTIISYFR -TKVYGVNWFNLAETQAMLNTSLILPSDSLFRSNCCSKFLNIARMLAGSDVSAMRTLMLEN -RSKLSKHSNTSKGMILCGEMITNYMSADINQLIIEIAPNIQRVTARIAAKNQLAGERELC -ILQLPHRLLFLCMEKYAEAYLSLYTNSPMVDKTGKDKLAEKAAEESKRNRDVYFYGNDQQ -DCITAIISGDQTKWGPSHRISNFVNMFSQVPSEKYRQFNKLWAQTTLFKDIQCPKGAMEG -MVRFCKAMGMFKNVRFAKEGNIHERSKDLVKKRIENADYLEKEEKFLLNWWLVEGRCVIP -SYLHMMQGIPHRISTMFADIKDKFFEDVVRYSYTKENIRIMDFTTASSSDDSITMLKVNK -TLIQDNDYSVFNNLFRSCAAASRMFSITMSPKTIMDVGQGEIYSNWGSMGAVIHPSVKDV -TQQIFNVGITSPRDVYESHRQTCISALLNGKCVQGVMTLLSSLHAHFWSFSRPDRVSQSA -SWVSLIGGISTMYKLDIADIIYPENVSTEGYVLTESVNKILDKEHDQDDLFNLGIALINS -PFSRYYKSINPDVAERKRQSFEVYRSSNSRLTITCSISITDKELKQQQSRLNLEMGRSPL -GEFMASTEPTMKMIALTNSRTNNYKSEALKICQNLAKPEVIKAWEQNEDASRQIFVSNCM -EQRYILNPLKTFSKKSGSTRLRMNSTVEELKRDVLSWLLEQELSVEQLCNIAIEDLSLTK -YGNSQFNKLREFISTYESKHIMEAENTKFIKYSESQEVPRFSKPLVCYLLAMHDPGVLSD -CTTSDLDVKVIMEEADKLRILEPMVEDLLVDDINRELTNDELSNLSAFNKYMIDKYGFKR -IKFMMNIPDSAKWSDALPMLLKYNSVRGQECVFKGAYKLPQTMVKHLTSGIIGGIGAICH -REKLTDKSSSRFINFCLRDSMLKDSMVELSISGTPQQRRLAKLALSCYTEKPMFIDMFDV -EGSRNSVDFSDLGDVRVIRLKNNRETATLVKECNSKLIYASISDVSIMDFIRSRSEQIGN -CLQVEDTSFVSLSRQFQGSTGLSLGEYGWYKDPNSNCKVDIHPIIKKNIKVAKLYSVCSE -LTSVGVKFNTGYWYINKKNEFKFRIESSSQVVGYELPEEFMEDFFRKFTLTGDVEKKTED -DVEVEEVYMTKKEFSKAISSDPGKYKNSEVQDLGDYFRVIKRKDNRIYRISNTASAVDKL -KMIEYANENGLNPDDLDSLKKACVRDAEFSFSKKLSVKGFELVLKKNSRKLSIQQNTGFK -SLSDYNAKKDSDRNITLIQSRSYKTILNKVPSVKVMQKDYEQFDIAEEMHVQFFLQMLDE -MCKVSSNTATSISIVSGSDSSSGTDMEVESLSSIDFSYDEDSDDETEVNDLTKLAGLYEE -GKIIRVHDFITMSNGKGEVYVQMIGARALAMLRSEIARSEGLEVDELDLFLFNKNKHLDD -YWTTLTRDEETEYERLTEGKYNVDDWKYVYESSDSEEDLEDDKTLEEDYVPRNREERKEY -YKHGR ->APG79246.1 RNA-dependent RNA polymerase, partial [Changping bunya-like virus 1] -MEATLGIETRWQATKDRSVELERNFEELEHGGPRVRQLRYGRARAEAQHGAWNLIKNAKA -FLGVENWPSLVKEDGELIPNDKEANLDPDDPFKIISDISMPKAYFSFVRVYHFRMSIIGE -KCPEWNEQMELRSVLSGEPMFRSLTNLWDILPDNILVKIFKIVKECALRFFWAKGQLHLK -LVDNNHLITAKQNAWSDDAFYEHFWIKMQNEPSMAFGALLHNFLKHWFPMMFSPVVLDPS -YSGQTICSSFLRCVWLKNDYFCTKTLKWFQESCPYYLPRDYTIEGMNISLEKFNRMLPDV -EMDEFQQFLPGEETSLRVLQTMEKFDIRSMHLIHASIMPTSEASDKVSNLVTKLKNTAHY -LASLRVIRETDLDKCYEILTGIRDAEIPTGLLGIYKAGAISRVTSAGVIKNTIEMMISHA -IQYNQIDIDHVQTANLYKTKIYECLDGSVEKNSASMLMQAISTLLSRLEHASALCREQSE -DRTKPMRYRTNMKLFNKYLASMMMGFMSLNGLSVREAHSLATRVKGMTGEVFLCSSFGLA -WEPEGVKKTMEDIMAENNISMDPFRYVRRKLTLSPDAYTVNVDEEANIRTTITVYEFAWV -TDVEEKQIRDMAKWRDVELASQATTDIRVIVQSQILTNSLPSWLGVNPDVPEAFLIIRRA -LPDFFRQTTEILGSQADQAIAGIKAAKFRIRGENDNEFPDIKNPDLIDAKIAMITGALYE -KERPYMKKAMDKEGFFSFLKTDEITKTEEQMMEAMHMAQEIVALQDLPNSRDELDIGKKM -LNAISREEEEMISYEPKTDVMCQIPYLINSGQTRKIELRTSLKSHVIAEQFIISTSKVKD -KTNVKNMRTALNNLLTIESIFKGQTYFITHLGKVNVRNFFGRKGVDKRYPDQPSILQSEC -ANEQSSIIDFLFTFISQNKTNILIRKIVFITLMICEKNNHQMKKMGKLRKHHSDYLNLKR -SFNKVVATLRRKRDKSEPFLVFQPEDNISFDGSDNESLEDVMDEVKELEDFMIETSGKVK -SDQGKGDGRKKRVKVISGKRKRLMKLNSARREKDEKPTGKFDESLYSFPDQFKDSEVNTT -VIMKKAISKNLIKRQTTNQILARKIREIKNKREVKKLQKSIKARESSGSSSSGESSGFDF -VDDFKTESLSEFEMPEMIEFDEGIHKPEIVEELEKEAGRYVEEHINPSDEITATMSEERV -VNFKIKIGKEKRSLLKDQEILENILVEAVRVYEEDHGIESTESDYETIAKKCYENVSKEE -GFISPSRAFALVANEYLRMIKTSFDIHLFDISGEEEEEMIMFSRSDFPRNRSEESVVNGR -LFGCAIKSIDPMWSKDKDEQLRETLERERKPKRGTEPRGNKVKLTDQNKRKLKNLLDILT -LETVDRSDDVLPTSFLANELITKVFDSHEPVMTSAAEYIQHHLSMCKRIDGFWYAMLAHE -IGMATIDAISKMNLDGQLFLYRLRHFPGHLMISIPKGGDGRSGSFPCCLIRTDGDEFNLS -LSIKGKSSGAIPQCSHKFYMTETLALQYEASCAMTFQYGLLISQFEHLTDDQATDMWKRC -WSLISMMSLSNSKELNVITQNYRYVVMGMISDFVYVDELGKKLASPTRTSFNEYVLHLQK -KTILKWPHVVEKMNKNISSRLKNQSNWVKQNDELPMAYFKLTATTFTTVMHEMYVCHNFE -KNLNVNSSSLFAVLVKSTKKKLKVDKIYLNENTWWKCCGFIVEPSRLENHDWNNECPIPR -NELIDNSIELIKMTSKGMGGSLVHMATGMKILRKGLGEKELSEWDQRMNLEESLATRTAS -TSIIKTVVREFEYKTSISRMQKERALKILSRIFQKEIGKDIESEVRKIEELNLEALKFDG -SHDLITDFISRKMINIKGDQDLFKKGNVRDGWEKEADNLRGCIHLAKKIRYACTKLFGES -SNKLTTIYEDIKSTLTESSLKLEEEQKDILSALLSIGLNNGSHQLKRWVKEKSWKKKGKF -GSSVTSVNAQRTRIKEIDKVLDLIGTNTEIEDETSQKIKDLLLEKEELVSSVRSYDQNVS -FWEGLEVNSKMMVPTRVLIKSISASYNVKSQFGISVPLVMLLALSHQVEKNLGTISSNEM -SFLGYFEQSCKKFAKGKDLQELFNEALDIIEEIQQKPYINKDREQELLAEAFQHASDEMD -WHRITVMFFTFNALISSGRDLQEVMRLRHSNTLEASKQEHEEFLSDMIPMRNIFFRGLLG -ELSDGDVPIFESTWRIQKLKGMSIKVYILSRGAPLVARNLNMLLETLSYASLAAPTRAIV -YFITSIYSDYCLKADSKILAHARKVHGTSGAPRSVRDKVSLFLSEFVTERLGSNKDDVTM -LFNQFEEAVLKQEFNYMGMAPKNQLGGPRELSIQNHMTRTMNMISEMVIKALLTKVLNRK -NPSIPIAETMSNNSQKYSVLEDCMKILISKLNSSDWFLSMCFNTDCTQWGPTWNMMFFFV -IAACLASLLKSVGWMMELTYICGCCKEVEIPGEAVKTFLKGWSDGDQPELNYLNQEVSKG -QLVVKTRNGMQQGLNGQMSSLAKSIAEYDFIRHIQLLVKRRGFILHSCPTAVGSDDSFNQ -TTIEVDPDGPYKDYGRKALDILGKALMRELIALWRTTMASHDILMSPKSSFMKGKGEYYS -QFCFGNHMMVFYKFISSQHQIAKISSLSELASHNLAICQQARTVGTPLTNILFLWANVNS -KYLSQAHILNSNSGMMGRNRIISDQLDAPCCLGGTLLPNDTNLLCKNFKEAEMIQVSEFI -KKGKEKSHSDELLKENFVKLNPMAEEILNKDLDRIGVLELLDEENINFDFSHYRGDVVTS -SLFHVKTYPVVNPASIKVLERAAMLKSMMPKADNSIEYILQLLRARERPHGAGDDASILY -KILSSDRAIDSFSGSDAFYFIRKFKSKYLSAYIKPLSGTEEDEDHKVIGLKNWTFAKLIV -FLFTNNPKASKTGHFSDLLEKISSEPTVIENSIKNIYQSQILNTPHCAVDIIYRHKITVN -ESPLMIESHAEHLIAACLQTQIPFSSVLYPTLRDFPTVFKEADTVMHLIEPSINKLRELT -TKELDDLVKKEIIHEILCIKMTLEKKRKRRLAIFTSALPGSKTSEVVKSLISKSVIMGIE -FVFSSNPDVPDEVSLTEFASVESIFSMAYDLLGDKGTVFLNLIKDESISFQRGKVTTLRS -CLEDLMKVKTLTTSSMQAKTRMMLWILGDPVAMSSMATWMRSQHSQVIRSQQGGMEVILY -RTFECSLKIEITQQGVMFTSTTRKRHLNNNGIHTILWKLSSETTAKSILPIWFWRRHPKE -NVQWERMAIIVDDGKGWFDIFKNIPEGTVNYQIIRRNPNMKIETPISAANITAVSKIDDS -SIKLDVTESGM ->APG79235.1 RNA-dependent RNA polymerase [Beihai barnacle virus 5] -MEELESQPRNAHGEFLRDTAPFALVHEVFAICGERRERILFYVGDASDISMLRLDCTVLV -SLTTTHYKSEEALELMSEENLYIARERLEKATLYNLHGIKTDRKCPRILDWPILEGTTLN -MVIKIGMARMACSVAYLDFEPGYSDLVAKVKQMCAVDLSFPVTGLLDFKSIYVTDPEAAE -EKMEATREAINSIYISATQSVSSNIRAPLITESLEDFETTMSTWSLVPLTQSKIDKYLRW -RHDLVVRCVADNLNISDKSLGISANEYLGSTIPMTGRLTPDIIYERGAYINILDIAVTSQ -DVGEVANQKVNKYVNLARDLEDRTGKWGTPEAVVVSMDQLGAIYIPHFLAGVREELEGLQ -ERLFEVHRRLMMTEGYEQEVRNLMEEGKDQDAEDLKRSYEIFCNTYTKIFNICNKKASSM -ADSFDKESSDMNINFNTTEQDEMIRKIKDDVQNFDEDAYYMRLKAELSDRCSSEDITKKC -PSSIRKLLNTPDLFNIRSKLLDEAMEVRMTTERKEPRFKIPKVFKFPNLVVMKGERAEEV -IPMTALGTLKEVTRDGTYRFRPFGKLYGVDRDEEVPEENRAGIGLDVGQDLEYMDRLLED -LTEPSSEEFQELMERDYGPLDDKAKAVFSLKAMEVCYHTEEMAMNLAYLEGRRFNKSSTY -TVSKGFGWYSLVVLAGSRLTEEKQIRYKVLCKGEEYRSDLYKSFTGTMWSTEGCVETSWQ -TLSSSDLSTMLVLFEKACLLIHHLLDALEEGMERKIQNYYEEVRSSKIMIPLIILMEMKR -GTSTTCQLNRYIYNSMMALISDKEKMMRDIMAEPIRSRVEAFVRVKQMEWALHLFGIYKN -KVVESITASSSTATDYDKMMVMPLFGSQEEEVEFSFVMNDMYLGNLFQKEAGFTGHRVKP -MIEKTVSEERHYLKIRNKETMNADLSIEEIFREKDENHKFNKDFVMLCAKEIKKKMMKEH -RYEEMLAKKLTDSIQEAMTMKSSLCEFRTMTNTLEYSKDPKNKPTFLSLEEIVREAGTML -LPQLVSRESLIEALISMFPKSQIGGPREIMIQSCKLRVHIRLLEQFFEVMCQLHEKEMIT -KSTKKENIQSNTATAHKQLIMDTHVETKSKNRSPLMMTIVSDCSRWSQSFTMPMFSYFLV -ALDLPKDVEDHFLCTFKSMSKKHVMFPQALKKKWNRKPYEEKEGSEDLQWAREVSYPNDY -VINVFSSMGQGVFHRFSSVIHCAKDDIMDRLTTEILTKENFSLTSRSMISSDDMMKQVLM -IGPSQMVNFDNISKLAVLFEVTNRLCNIHINWKKTSFTTVLDEFNSYFSRGKRATMAVIK -DIFNSAMVVDLTEPEKAVKACLNNISRAMRNGAYLQTVEMMFMMNRQWLLKCYAITEDMI -KELCETLKCEKDHLPACLGFLSTRFPIEQCLLGNEFLMFEDVPGSLLSKFYRNLYTGIKD -EATALGTDGYLTTLSGKISLSLPFWSDKRIRQMRSFYMDTHSLTSSRVMDRLERSSLKVD -MSKMSAEKQLQFVEGYFMGVHRDYSMSSSKDVSSLVRALQMHNEKLVIKPDFRTDEDKGS -RVSMTDFVKMILLRDNMPSCISMYEPYRDVMKSIEQANFQKGQCVKTDNRRHTKKRVMNM -RHMNYKIPATIDEIMEMVVSPQKDISNRVVELVRGLCASMDIDLEKFMESPIREIMKVSD -VTKWPVLTFKKVTEEFLKVNAGGRVEIMTTFQDKGTMVDNIISIYCDRKDPSTLYAPKSD -VSYLAMRSKMQSWACTGTDFSLMPLASMMGAEAAKEEMLNISPSDGPKDRAVKLLYAVSS -NNKKSMMSKYVFFKKIRARFEHQIIYCYFNLETFIVVLIDKRAKSAIIERLTDSGDRLMK -CDMAHYLIRDFRAHEVMSGVPGSGANIKAFNRAGMLGPMDRIKAGRIDWTLETTFLKNKW -DISVKIDTKGSHILTPDTVLFKVSSDVYSYTIDDLLSFDETVAGDFAQKMVKMCKREMNL -EEVMNFAEENGMAIPITLPRGPETQMNEQAKEEMNSSFAAPGGYGMEDFNEWFGIPSDFQ -EHFGALDEVQDEQEAAEAEEQGFAGLMVPNFTEDEMKTLQDIMDRADDGIEIDAPFSDRQ -YMRVSIFKALRFCVFDKISVKMGEITKALRGGGKAKRNVTWSLVLEELMNKTEGLREWAA -VELVGAIYCSLSSESTRRKPSKIYRVPNFAEISRRYPDSFFYRIARTQDILDMMAMVNDT -DEESDD ->BAU79515.1 RNA dependent RNA polymerase [Diatom colony associated dsRNA virus 16] -MNKAWGDGDDAVRDDVRSSLLQLLGHAPDPVQQSAEVEGTIETVPTPKTLAGGAWLSCEK -HGEPVCLPCRDGVLLLRDGERRGLLKRLLIGRISAKEVRTLRDQMVFQSRVLEERTRLTP -KIALRWLRGRRDLIPLLSLLCGAMRLGAGTFCTTVAVVCHWGICKMTTLLAERGVFGCCH -KCANAAIKKFREVARFGTTDDPDADPDLFDWQYVNLMLGRYDHETFADDDDLTARIDYEA -TLPVNNAMSMFREEFDEEYERLLAIEGERFGHICQAARKTSGQPFLHLTENVLKAVPTGS -VANDGMSATALAEVDRSLLNKKLAIAYLTTGEEAAYKLARQHSMSIAIWKLELAKLRNLV -PGSLSLYMASARVSMYGESAFLAGLEGCPLMWGESHKEVDALRFSEWQDVGYVANRDYKN -YNICHKHSRMQMFYRSAARHARRMREEEMADEFEFLAKSLDNVGVMMPDGTYHKWEFGLM -TGWAHTMLFHCVHNSCAARAVEKVIRKHFGWRRYIGRHQGDDSAEVWNAPLAGPVAQAIL -DAAGQVGQPTKQHFARDKGSWSEFLRVWYKAGVARGPTLRSITSFVSGDSQHAPHEGGVA -QVKAIVSAANTVWRRAGGTLGWRQQDLAALIAYWAASNEQYREKETVDWRSHLAEGSGLM -CAAYPDMVWRVSGRKVDRRRRYPLEAGPTLLKRARRNLQRTARAVGAEKYAAEYAEDLLE -NSVEQSTVIVAGTVREPAPLVEPCVETKFLARKVVEACLSGRPGWRNEDQFAVQFVVGYA -FSGSERVARAYLKDGLRPYFSITESLLEVAERGISILAGKTCEDAKQSASYYVCAEKYWN -HVEQIMRNEPAYMRLDSRSVGMAIAREAIALGDRI ->sp|P0AG67.1|RS1_ECOLI RecName: Full=30S ribosomal protein S1; AltName: Full=Bacteriophage Q beta RNA-directed RNA polymerase subunit I; AltName: Full=Small ribosomal subunit protein bS1 -MTESFAQLFEESLKEIETRPGSIVRGVVVAIDKDVVLVDAGLKSESAIPAEQFKNAQGEL -EIQVGDEVDVALDAVEDGFGETLLSREKAKRHEAWITLEKAYEDAETVTGVINGKVKGGF -TVELNGIRAFLPGSLVDVRPVRDTLHLEGKELEFKVIKLDQKRNNVVVSRRAVIESENSA -ERDQLLENLQEGMEVKGIVKNLTDYGAFVDLGGVDGLLHITDMAWKRVKHPSEIVNVGDE -ITVKVLKFDRERTRVSLGLKQLGEDPWVAIAKRYPEGTKLTGRVTNLTDYGCFVEIEEGV -EGLVHVSEMDWTNKNIHPSKVVNVGDVVEVMVLDIDEERRRISLGLKQCKANPWQQFAET -HNKGDRVEGKIKSITDFGIFIGLDGGIDGLVHLSDISWNVAGEEAVREYKKGDEIAAVVL -QVDAERERISLGVKQLAEDPFNNWVALNKKGAIVTGKVTAVDAKGATVELADGVEGYLRA -SEASRDRVEDATLVLSVGDEVEAKFTGVDRKNRAISLSVRAKDEADEKDAIATVNKQEDA -NFSNNAMAEAFKAAKGE ->pdb|4R71|A Chain A, Elongation factor Ts, Elongation factor Tu -MAEITASLVKELRERTGAGMMDCKKALTEANGDIELAIENMRKSGAIKAAKKAGNVAADG -VIKTKIDGNYGIILEVNCQTDFVAKDAGFQAFADKVLDAAVAGKITDVEVLKAQFEEERV -ALVAKIGENINIRRVAALEGDVLGSYQHGARIGVLVAAKGADEELVKHIAMHVAASKPEF -IKPEDVSAEVVEKEYQVQLDIAMQSGKPKEIAEKMVEGRMKKFTGEVSLTGQPFVMEPSK -TVGQLLKEHNAEVTGFIRFEVGEGIEKVETDFAAEVAAMSKQSHMSKEKFERTKPHVNVG -TIGHVDHGKTTLTAAITTVLAKTYGGAARAFDQIDNAPEEKARGITINTSHVEYDTPTRH -YAHVDCPGHADYVKNMITGAAQMDGAILVVAATDGPMPQTREHILLGRQVGVPYIIVFLN -KCDMVDDEELLELVEMEVRELLSQYDFPGDDTPIVRGSALKALEGDAEWEAKILELAGFL -DSYIPEPERAIDKPFLLPIEDVFSISGRGTVVTGRVERGIIKVGEEVEIVGIKETQKSTC -TGVEMFRKLLDEGRAGENVGVLLRGIKREEIERGQVLAKPGTIKPHTKFESEVYILSKDE -GGRHTPFFKGYRPQFYFRTTDVTGTIELPEGVEMVMPGDNIKMVVTLIHPIAMDDGLRFA -IREGGRTVGAGVVAKVLSGASGAAGGGGENLYFQ ->APG78338.1 RdRp, partial [Wenling picobirna-like virus 1] -MKTKNMTMKEMVAMAKTMQNKQKDFKNLQDVYPKASEENFDSFDGMMNSMSLDKVHLPLT -PYASHLVNEDGKMPLNSEIQPWETEMFSSVWDIMEKHYGKPELNYDSNRLDDTLYLLGVD -NWSNFFKMGRERQTNEHVRSLEMLDNYKKTNLSDWVFEPNEKDFDSAISLVKELFAGKIN -FDKQVTPEKLKAPFTEHESNVSWSWWFNEKTINKSTGKSYGQLALEDSKEIIQHKEWYPN -IPATMYGSNQSGKLNISDESKTNKQAVLQAMAKGNPFKNTKASVVFGGSSSKVALGMSLI -KPVINLVKEINLLFTGYKDSPEVVAMQSKMEEMVLKQEANYVIENFDFDAFDTTVSPQLM -MAAADMLKTVIPDHLSGYVDDLTEDLMLESLMYLDENGQRQVMTKFGTIGSGNVLTNLLG -GMVNALVMVCAQVALNKDYSNWFLTNQAKGIAPLMVMGDDVMISHKTDNEKITEYMKETF -NMDVSASKGEFGSFFLQNSPFKGKWVSPSTSYMSKLFWVENTKGLTPTMWTVSTWMKMEN -FWFNPEFEDVVLWFAKYDSFKLGLCDKDGKKITLQQFQARLAQDSKEYGTMASKLWDGTP -HEGYMFDESGNVKSDWLEDKWRSIE ->APG79084.1 RdRp [Beihai reo-like virus 2] -MVKLYHLDTSTSTTKTYRSPHLLPNDPKYTTHIREFIHIDDYSTSLSDDAITTHTSPTES -VKIALREAVLLPPQYHEPSQWAKSIPSNLFPTQSVSYPNTLWFALSAINTGSITETPELY -QHMSTLLHPNGKVRISTLPLIEQFLSIIPTNEKLLRDINISEYFSEFTTPNAVADDEITF -NNGSLIYKKELQQARDDGVMIVMDPVENEASYLRRDYPSALHARDSDSYAVAVQRSIYSE -SSFQANGPCHRVILQALVASGAAQESGLVVPAKFGDVEVDTCLYVTCSPAAHAIKYIVNQ -YGGFPFYDHFGIPLVPTDLRLPYMTTLPWLLTALANAHTHFMSGTLDFSDSILHTRYILQ -IGCSSSPDAAVLKYKDVISQATDFMADNLKSGVVVTVNERLHPIRAEIQGFYKSHDIDFK -DLDPQVSKRILSLCRSDNVHDVPDRMTMVQALLATNMFQRGDPSCAIEKSGNVKANTLSA -NLGHVKSWIDDVPYEIEPSADIRKMFGWLDDHIRTGVAKMIEILRKTPASEVYLQKLTTR -SAGESVGIPGSMVRQRFMSFGYDHDWLLDQDALENRLSNANSSTTRSQVLRRQRNIQQIS -NPQQWVYLPMYVALTNAEFPYMALDTTTGTLADFADLMYDSADSTTQNIYSDVKGMDASY -HGGTMIMLFSLLIRRIIDAQQDPKDFVPYPPFTYQEVEVRSDSGVRKVFVSPLAQAMIAA -CRSLINLHTNVKGHFGLTLTFTITLASGMLPTSIVNTIMSLLMVMMAYGDFDFPDGYKAE -FSALRHTRLMGDDQYASVSKPPQSDVSEMVGDALSKAALTIGFAVDARSSPHYAEFLMRL -SVAGRIAHKPQQPTMLTQERAWQDTTTDNLLAAVSSGAVELADRSRFMGYCMNFSQLTPW -FIGPAFSRKGYETAYMVKDVKQPRLWRIYPSYLVKYLMPIFRQAVSHVKNHRYLERSGPY -LPSSPITSFNVLHTYSTTGSGLWEDIDIPLLLSHGYAEALFFSTRDAEHRIARARKFKDE -AREFYESNKSLLYTQRAARSDRALSEVVGMSHNPSYRHVPWNAVVPPGLGVRENDQQRTL -YSFAKAMEKVETNSDRDNLAFVKPPEQLHQPEWWNSGAIVCTRGSETCFSETDAASFVGA -EPAYRSFLCRKQESFSFSMLSRLGLLRETDVMRGETLLNSWSKSQDSPKIMEALRTAAPY -QSHIPNLFSLLYDIFGVSPSLRNQLTKLVTSGEGLMPSRTLCPLSKPLNYMNRHHGPSMR -GVKTNAGFFPKLSRSRAVPESMAYWSIYYGHTNSFLSVEWLLPAIFDAHGLPPRYGQDSP -HITKDDTVIISKLVSKSPSGPRRFGAIDARRLDHHSELSWRLTTLPEVAEFQKA ->APG78315.1 RdRp [Hubei picobirna-like virus 1] -MLKFQNKIRKELLNMMNKKVIMDYLHKLNKLLGVSGTSSELESDETSFKTLQSYAFHDPL -FLPLKPIAASMSEVDGYEDGNKVPLDSKTLLWEKSLLEFLYAVGLKYYGLPKFDYSLANE -DEYERMDYWVNFRKQGRLVTPNATKSRLEALAEYKKPPVSKLTWKQPNMVDVMNMLSRIF -GRNIFLNPTPKGSIPFQKKNTNVGYPYFKNSKTKASKDGPTYAQICLNDAKSLVLPNYTE -GSGMNWPSDTLKSDQQSGYDWSEGLKEFKFDSNANKYVIVVMPKMKDSKSRLIIAAASTA -TMPEVMMVLPMMGQLAQSPVFMGYKSTVELVAYLKKLYKAVSSNEKWMAFNLDWSGFDMS -VTKDEQFMAALVINEILGNDKAKNWFAGGAANMINAPMWNYDPGSDTKQVMYNAAGRIMS -GNGKTNVYGGMMNAMRMEGALFNVSSFRSSVWSLFNNHQITPLSVMGDDALVVLTGGVKE -LMSMNSAMTKMTGMDRQSPKDAMGIFFLQQSVTGKGWSSPSVSYFSKLFWSETKPNLGPF -LTCMAQLSLIENFNTELNPEKYLLIWTLYKLDKFGLHINVSVDTFRNQLAREALAIGNQT -VMSLMWDGDPMKEDRFDNDENVSSKWLKNMRRLIDKALEMGKAGKFDEFKPYLEKYYYKS -E ->APG79332.1 RNA-dependent RNA polymerase [Hubei myriapoda virus 6] -MNELLKTLANLLKKWKETAPESLTADEAYNNCLEYEFNRHECLNLLVQKKLTGTFQPEMS -IKNWIQENSLSGFMMNNPSVENKSPDLIYIQQVLNPTTLQEEDQLVLLDVAMTADPAIVY -RSKTEKYQPCVDYLNTILPIIFPLVRTCKLQLVVVESDLSNLENQLRAIGITDFESEDYQ -RDKTMLIHFRGIVDAYETRVRYDEKFKYRSQIRAHKSKQENYTEKELQLDKIVAAMNGMF -QKELIPPHSAPLNELQETIRNTFPCDSETQFKLVEPSDIPEAFTASKEKMKVSYNQTTAK -PSSWFIYSPEHIGITEHERDLDKKNIVYLQELLKTNGVKPVTAGGDLWECYYEMLTNITL -EETEDANSGKKSTKVKLPTKLKEPVKAAFHMGKKYKQAPKPNEHLKCVPIWDEDFKRQTQ -MWAETESAKLTTSQPVTIDWERYNLKDIHNNFDRSVHAREIDEVRPLVTSIRELSAYKYC -KAVAAVAHSMLVQPSKDAGKITLMNKGDKGLYILTLPSRQAGTVLDKGTIPFVSLALCKN -IDKLINSDEVQFIMKITNTNLYLCVSKPMRLDGIRLESLTHAHLCFEASMLSWLMQIEAK -TQPNTAAQWPMAVLLFTYCLMNQTTRTAGCLENLRYVFMNSIAVRANLKIFMLESGSFAL -KTKLQALLYYRTEEFSVQSFLAAKDVLMNDLEIEAQHGDLNLDRLGVQNVKLPSFMDQGF -HKSFLGICNEIMGLYFNVAKGLHGPYHRDIQLMNTPLKCEADLREQKDLLINRSPPIETN -QQEPEPPPVILGCGLVDNLTEFSRPPSEIPAQTASKDFCSMLGKFVNEKYLMHNKDRLLE -GLVKIGFDKEILRHHQFTSTKSMLTFEMNKLGEYKRSKVLPYMVKLVETEFKGVTPTLME -FTEWTLLSATKPVFAISPKQQRTLNDREIYIGNAITFGVRSVEMIMRCVAECLPGECIKI -PGDKKYIEMSKVFKEVIKWSKSQNTVVEDDYQNGTDQIQSDKNLHFPGVEVTNPTEEELV -KLNEIESDYQLELQRIQAMKDWAYQTDAYEKAYQAKLDKQEEVLKKPIYSGNSADLLSEE -LLEDIMSQLAEESVESPHLNPFRLVTVESFTGKVHLLICNNDRKKWSAKDVAEKFELFIA -ELTVIPLQLRTLMIAVLRNHRRKRLQLGAKYVAELEKNKSMPENARLLRMVEDGMFVTIT -QNWLQGMLNYTSSVVHTAVMLSSWEMFLQLFPEAKACNGMLEHSDDSVELMCYIPMQMTL -DPEIHTMIFQEKCERPVEELWLAFDEWCGSLASLKYSPKKSNMQTVVCEFISNYMIYGDQ -AYPWYKHVVTSFSQLPGTSLADDMYAAFSQLMVCGARGGPGSLVNWIMKLAADRIDRLYS -TGPGMHNDVAKYLKLDRRLIPMELGGTPNMSAPALILAGPGYHDANLLAAATNRLFEGWE -KTLFLFLMVSPKGVFDVDSISYEESDISKITGFSGPLFKNLLEPREGDSKRKKSKLDDDG -DVVDDPRENGYVNEMTHANCYNTDQLKAWTLTHPAASIQAPKNNRDLLMYWHDVMQRPSY -RAACARSTGRGLLIKRCQWTTGPFCKLKSTDSTWIPLRQIFIQLNDDFEKRMKIPGVRVI -TNEEISYLQAYTDAISSTVVSLVTYLGGARVDSEVPKTQHPQMAQILAAPNKISRIVNDP -VQVALKTWDKFEGPYVFLRRPERFEEDSELLCKVVRPIKSRLNAATLVKYDIFINVVSAI -GSFKDAELVLKEAYKWDEATFDEIVKAAETSKKELQWVSKMFRSGRKSRIVITKAGNHTS -QETLNAFLTTNSLAYKNLKITFSEPWIAEDSTHLELFSRLRIHDDTSVAVENVGYAYYKC -LEKGLPLGRLKDFLLRSRFKGKTVLDILRIAKKRQQERLLNPRTNEIVAVLTAYLQNDFQ -VADEIYKSQETVLRWYDRRQHYDQLAGAYTGGLAYWCVYKGNCIQVTGALNQAGQMVYKY -TTNCKEWSTVIHMLKVLYVDIHNKSYDASLPQMRTKQWKGDAEDPKLGFAMASRTWHWQI -LNKGNYYLRDWQFEPNLKSPDLLPKLTKSKSQRVVGIHIGSSDKRGQPGFCVIAAIRRNV -PVKFLPEDWVWDSNTTLGYYGGLNLDKLLELRQFKTYIFDEISCVPVSDLLKVIKLRPAN -ELTWWDEFCRVLLSDYKKANTEKLREVWNMWAANSTFDCPPGYKAFDLTEPDAEFEDEES -SLDSGSVLEIQSVASSEMEKLSDKDDTEDITIDHEVDKEDSVYEDSLSRTQAIVIFESRL -QFSKHDTLRQRMIVQSMGLTKTHVKDPYFWAMYLGHWINTLSSEIASMKPTKLATLNVID -SCIQFILENQSMESVTTIARQLQENKALALATNTKVQKPDWMVEHFRRYQKAILTGSVSD -RLDPLQWHTLLAENLYWPTVEYNTDFPDDLDILFD ->ANR02702.1 RNA-dependent RNA polymerase, partial [Rhizoctonia solani RNA virus 1] -DRYLGAAHTELNDALPAAQHMFDTFVATFLDRDASVAARTVMDSVSDWVRSREPNKFAAV -LRDISNSGTASEFVSKFFVKAQLKPKFGTYAREMKMETGQGIMGSTQSINLSSCPLTVEA -FRVLQHLSRRDVIWFTGFSPAVLDAHIRRVGGDMHGRYTCTDITQQDAHHSAPHRLFGAM -LLRLFAPGSEIASAYLAMREMRSVSSFAASMKFTVIERLFSGEAFTIFLNTTTAAAENAI -NFNLRPDVFYMGIGDDSAFSGFHTRMPSASPVRVKIKVDYFDRLDFCNRVWTSRRRSLPD -PIRMVAKH ->APG77221.1 RNA-dependent RNA polymerase, partial [Hubei narna-like virus 14] -DLSTRRTPRLGSLRATNVETRESCSAAVTGHLSEDRFTVFNRKFIQILSALRRAYELRRP -LTLNGRFRRLSSAEQVKEWKDFSNFLVDLAAHGSQAWSRRPRQGPFTKSVTSFLVTRIHF -SAKSQKKTSKWIRLAFDLQQSKRALPPLPDVLSRQAIDKHSQLLSTAAPVFCNFDITEHI -LGRYFPQDWAKNLKFRLRNKMPNTACLDAAGGCRASLHAGITFPNVRTLDPTDPGLRRGA -GTILAKRRMEDRYDRLYMERAGEHVLPPLKAVAVPDAGKFRVITIDSVAAKCLQPLQRAL -QAHLLRFAEFRFTGQTWNRELPGKPKPGEKLLSVDYTSATDGVDGSFFEDLVSSIIERSG -SFELQCLRDIAMKEVRLGRRIENDQVGFYQRRGTLMGSLLSFPLLCLWNAAILQRSGIDR -FWVNGDDALCWATDEQRRAWEVHSSQLSLEQSPGKTSFSSHFGTFNSRCAFFEHLNVSNL -LGVASGDALNRTAPAFRHIYRRYAYFPKGEFRPLVGPRPLGGLGGSGEPTGRDLVSLRRC -QAELRRVGRRWVLGRLLEQGVVEPPMYHTWWTTPPDSRNRLTPKIRRGTKDFRNHHWSWY -SGWTRKPVSP ->APG76624.1 hypothetical protein 1 [Wenzhou noda-like virus 4] -MVSAAVAFYNGPWIASRTCQALAGFVPCFSAVRYAAQVGSKRPVCPNGTALGPDLHCYDL -NFVWTLALALCYVLWVGYYLCPKLYRILNAIQRDFVSPVEYFGDVVWCVFGMVDLLPSIG -ALFAFLSLFTDMVTPFEQVWLAPTHVEAATRLASAIIYILLAIAGNWWMLVTAVGVNQIV -KVDALGPVTLPSEGPVHLFARMVGLTVLMVWTGLWLAYCWIALTTYGRPVREVIGWPSRS -GMVSAGRYHMHLFGLWLEVFWRRMTFQPLLCVSDMHEEHFRHFMRKEWVDKSESAPCHDK -TLHGHPTLAAERRGVHLQAVRLLSRKRYEPYDISTSRRTLRSKDHRGFPISGYHGHFSAR -DFGYPERNDEVSDKTAFLLIDVDYYIDMHQLATHFKPMVLYTFNPGTEVGHTAESSWSTT -YDPVTKEATVRYNVDGGNVYTHKTWDYGDTDYVVFIAGGLAFVYAIERHRQGSSTHQVVF -LQPNVVCALDSFEFRTVFASCHIVGRRKAPEYVRKDGDLVTIVDDNLQWQLRAGLYQTVM -DSVRSQKAVSAALLHNFLKEHSKDFVSGDPQYLAEWISARHKTNALPTTVVYASVIKHPK -GGYFRSEMVETHEVMTPVPTNVPAFTPTKDVCSDAGAVQTRITDIHNSTVPPPKYDTYLK -EFLAGLEEMTSTPAKSLVPLTPDELLERTERKKTAAKILGAYDTALSSTDLKAVFVEGFI -KREVYAKPTDERQISPTNDEHLSKLAAYAYVFKDLLCKLPNYMPGKTPKEIAEHMQKLIT -CGLRLWETDFSRYDGQQSRWMRSCEIIIFCHFFKDPAAAELVYNEVFRVAAKCPAGSYST -GGNLCSGSSLTTIMNTVKHMFIQYCTYRESGLTHAEAMAKLYAAYGDDGVLSGDEKVAKK -MAEVCDDMGMKNLKCVEAMTQDRRFLTFVGRVFFPAVEGIHAPSFQDPARVWTKINLIQK -GPDAFKRYVAKLGCYVVTDGNSPLLGEYCRKVLSFSREGREVLKQLGKEDSENLVLSELF -DQWLLVESKARVSQAWPNSGFHGDVESAYSQLLGVTLDELQEAKARVVAAEAIEDLNGLL -KLPDVTLNPRFVYKDFALSYGKTLVFDNNKKTGAMTAKEKAGIRRTFEHVLGKGTCLLDS -KGTTLGKDESTSETKGEKPVGRKAKGEKSGGQRGKETTGKQGKKVTFSQMPTPATSVTKS -RPKKPLKAPTGSGAPTLTTESQCSEQARREVLPSKQKPKHANRRCKGTEKTSEGKKEAEK -RPSPSEKQKSTSGA ->AJG39263.1 RNA-dependent RNA polymerase [Wuhan Insect virus 3] -MSMLEQDDEFPYKPHPFLAGELGVDSDEMTHIKKMLTENHGKFLDTVRRKHVHSMSKHSS -YIASQLLHFNELRSTANTFYVMNAGRSNMLHVVQGGTKCRGNDVGQSYFTLFITTDLRWA -SQVFGEVEVYDVTLHGKDVYLVKTPWVRLSSERLSFMKDQYFSVLSTAYDTWSRVRHTGE -MMSYLRHMYTFRACVSMSPSQQVAELLMDTRYIFMSALSDFSNVEALIKDKFAPPYKNLL -EQFIVHQLSKKCEEIVNYLSSNPPRPNKAGFALGKRLQSTLGGTLKIPSLWHNFLLPDIQ -SIFDDIFVYVHTSKEPSSEYHEQIKAINTILKFQTDFDSLPDHVKHGASSKEQLKEWLLS -KRQIGCSIKIIGPATRLFATKYTGLYKGPQFIQSCIKEPISEIVSTKACIPEYERIVTIE -ELSKKKMDNVIKNLGHRFANMGEDGILKTFFKVDINIDGDAEITASRTNRVKVHDALLDW -MNRNPNKRDYVLDIVNWNIKENDCKVLADTCIKAQYGAKREFYVINLGAKAMARMTENSY -KELAKRCPNEMISIPGDKKFEHIQQSINNTLLASERKQDKLFFVNGDCTKWSACETMASF -IAMNKNLEPVFGSDVCAYNSVVFASWANKQIQIPNSILQNLRYVSEATAYVTQDTTIRST -QNFLQGMFNYSSSLKAVISIEFAVHMFKKLNPGEFIHVSHLEHSDDYNLSVRVKDIERFS -LFRVYHKLSQKLFGINDSIKKTNIQRHISEFISLFSYNGQLSYPNIKKTKEVGTNLSCND -FRSDVMAVSSRVGEAVRLGISQESAYFMQRVHCCTIADAYSLTPGQRNGHPNVHTAFSLP -LEMFGFPDTLPVISAVIKGNAENYRLYNFGSPEVRSQLNCLFLLNQRYFASDDLPIEEFK -EDITEFYSPTFSYPLRQNKLKAIKEKVNMDHDEALEYFKENITDTLLKPVDSTRFLKWMR -AMYFNRSFSKAYMRTTRAAMLLRHSLFTSKPCIMSFDSTFRPGSDVKFLTIKEYMAELQE -VMCEMSSQLSATNTILMKNTISCFTNTLDVIYETIKGGKLLVMPFVEQCTTVVKMPSGYI -WNNLENNITAVLQRIVNPSNFRDDRRKTLSATSLSRDMDKLDRAFNLDLLKKMPVYCRQV -FKEVMIKKAPQSYGLVCDGSSGDLHGYVKQCLSFQLIANKTYKFVPSQHFYIEQYSLQMP -KFIRDWKTNQDTYTNTLGDIALWYRAIVLRSGPEYQRNIGRLMSMKLKIMDSTVREFLED -VTMDSLNKFNIGENPKKILSFMKFKLLDDIKDLKDFVKSKFYYEFKYLNKANLPSDDIEE -GLAINFLGVRFYAYKFTGVGSIGRVILVLPNVSKTVWFYGMTIAERLFGLINVAKFNLRM -TEGSDLVIPKLCEKGRKVILQLAPRDRNYTMYSKVGEVVVTRSVIDKDTLPILNYRFSIP -TGFHTKHQLEQLGHVTADDLTVYTGTNLIFKLPFGNLSYSSMIVTESFNLDPIILDGMPA -VYLLEDNNLMKYLKTDMSGVTDARTVEENIEHVWKALKGEADAPQIKTMSQLFSPSLDIN -YTLPRRSREPAPVEKAEPSIGGFVGELDINSFIGEMMIDVVEPHEAPAVTLTDAEISEVL -NPGRSECMTDMEEELAMRLNEVAESSAVSHELSKEALMSMFDFPGISLDNTSAVDLGVTG -LDLDMDSEKIQLDPFTRGADTPMLDIDFGGMEIGTNSQSEEVEIDIGSFGIEMGFQTETI -PESEEEQDKVPTIEFGEEYMMSMLGDLGIGSSIGLETEIVEHKSESPNILSMDEFNFDMG -GFSLEMSVDEPKSSEKGSDIVEQAATEQVNPMISLDFDMGDFGVSVSDVEEVKESDRAEV -TLVGIQISKADIMAQLGGLLDDTTFETIDDGTQDINLASFSLGGPSGLTDYQSDMLKMAH -EIQQLPDMSNRGIEDLRNAITLPDILNQFEEGMLDAYVEFEDIEDDRSSDSSIGSDTLNP -LDYYTVYTQSQLASMSYGFEKRAREQMVPLWQFLLNKWSYDSVHKIATSPQDLLNLLTLF -GQVINHKSEYNLNKLQKNIIKTTALTLKNVLKETSNLWKLTEDLALKSDGSQISFQVYIR -KESREMALAEVADNPELKYCSGFSGFHETAYALYQPAYEEDVQEVLHKDLTDTEFQGYDA -WSGLLNQIMAKSVYKHTVRARKYRWKK ->APG75995.1 hypothetical protein, partial [Beihai toti-like virus 3] -DGFFKGDQKMLKHSVQSKILFFYNKQKFDVFYQLFQSCESLKPTDQLNKVRKLFPDFLDL -CWDEEKVASEKIKLETKNNKVCLEHEDNESVEGENLNDWKLHSSLILGRNRVNIDKWFTL -VHENCKDLVNVNSNIHGKRCFLKTGRVELSFTIIYCFAFFCSLLKTDDAIDCIRLFAINH -LFCHMPILVWYDCAKRLTLFLKKGREKAFRLWLQCVNVELFVGYQSDTQLVHDDYINMKD -WLEGEKIVCSDVSSFYDYYFDYYMKNFVYKQESLVDMLSFDDFVNNFDNWVTKGAGAVKL -EIPNSKKSKTAYALSFSKKEILDRILSIKNWTYRAAIKKEVGKRRRLFAIASDELIIVQS -YVSRYTSKVLKRTVESSPIWMSSESQRLMWEEIEDCANSQSHFLYPYDAPEYDHNVGTNE -VEITIDSENQLIKKNVGNVHSDLVMFSDLLNERKFDITVECGDFPSFKRGHGIGSGVKDT -YEKDEVINEVRTETVIKSIKQCFGLSREHKAWKSGDDLLLKTMNSMLCLAHFWIMEKCGV -GAHVQKNFVATDNFEFLRKNRSTESGKIIGPLARVICKSIFRHPLQRGGATGRSMMMERL -GNWNVIVQRECTDRNVIPKILSSVRSINLSYVELGDFKWNEIYLNILFTPISLGGCGWKD -LDILKHGAKSLDFYGMEEVETDEFVSNPDKEISFSGIVKEKSESIFQEFKVNVETSKVKE -EYYDRVVRSGKKPIVWVIKKREVRGNDKVIVNVPLVWPGKIMMCPTFSVFMSNEFGSIIL -KEVLKRNEFEMTLDLFKLLDEKGLRVLNILLKHASRQALLEWIELKVKVRRPFILGRSSF -SSIGFRNVLMTSLFNYVLSKQEITSSHWLQLALISEKLLYKYLSDTTVKNYVSD ->APG79355.1 RNA-dependent RNA polymerase [Wenzhou bunya-like virus 1] -MGELAEQLVEESSTAIRMDMANCLSEMGKEFQRRCDGLIKPGGIEHVHQKFPEWSPSPQR -RGLFHIPEFYEAKELIQTLGLKGTVKKTVQNKVGVLICRLSGHEVTIFPGAGMSRSEFGE -AAYSDVHRKQIPMQICNMVANLCTARYSQTMDKEKAYKFLEISTRMLVKLGIKDEAADFE -SAAGEADKATDSLENLKAKFKKWVKVQGKATTIPEVLGELEKVMRRECDLETSLLRDPFA -RLWAYYTVLSLKKQDEWEPRNKLESGILEESKSAELSAINESKVVKIWSLHCKFIGQKLT -TKIRCYQEKHGKEMDRNFLLETCGEMTELKEKMLSKRDDLKEKFKNTAYRTKLTPEQAER -EDSLMKSLEKLESQFVESNEASARNFIALIGRGQPPCEAIRAMRFFSEGSKSPSEPIKFT -MADLDMWMGAQEVLGGPNAVSVDAEVHWIMESLVDGNLAEELQNYYGDSGKESLQESLKQ -LKLDLVNCHFFRYLMKVGLISQAVLSKMPNGSGGQVKVVQVPGTDLCVMMPNRKYKPGGS -WPAILVSTQDVMRSCPMNSKGLESFRTGRRSRKFHVNPAQLSFYAHAPMAVFLQALESQK -YWDDDFQDPQGMRIKFLDYMSKMVMDNSQSTSSICGALVFLDQSVAACQIDPEQMVEKVF -DKPLTTAGMCILSKLVPRLLQNASRNYGTWGTEPGLGGSPRIFTNPFTGEPSSYLSQVPW -QNSDKYYQKNFNDRDQNAFKTTLGSYSDNGSILDQYNKARKDPRLVVDGVWVGNGLPASP -TTVAESSDRMWRYIHENRKKGVICDMFTTVLAHKVLQQKVDSSVLKATSTVRSLTRDVDE -LSNTNNILAKGGRAVPQTARIQSALRKNLSLAEELIDAEVSEVRNAEGGLRSYDTAAKSG -EKTTSKIPDKLVEEVFNDMILAELREEFGETDADKAIMAMIKEGTGRVGRKVPKEEVDEC -IKEVIQNKSKLKAGNKSSENTAEIIRDCLVGDISPAHFITRMQVLAVKKNLEKKLPTTTQ -EAKYKIKDTSSRLFQVASATNWLLTSSGFDGKSVGVIHKDATYNIKKDALIAAAMAGEKG -EVRKVRSGEETRWYPERTDKAMALASLHANNPLGSLPWDRVKAQLEIGEGMLSLVTACLF -VLLYNMYREPTSIQDLIDTRMPGCMIHDEFWVKAVAVIYILALQSDPRGWSGLYGSSTEV -QLRLILACSLWVEDSFLTGINAIKGIIPVLYQSGGVKRHGLATSMDMFPSGDWRSSSVAK -FVKLASIRRDIPEEQLTLIGECVRLLLSSEEYEIRVAPKRQRGGVRELTVSNTTAVVCNK -AVEAVSRVLLKFVSTDLLSNPQRKGEMIKEINDEEELFKESVMERQEDANSSVVTVIRNE -DHSKWGSKNSPVGLVLGLSSMNDCIEPDLGKVICMAGIKQHCRKRMMPNCVAEKASDITK -IDPGMDVLTQSELDTASKVMSELIDIEKGVQPERYQEAHTWMKEDDPDQEPLVEPDGSIR -IPAGMGQGILHANSSVNACAAAALEDRIAREAIRCTMRKHNLGSASAFSKIWMKTMGVNF -QPLQLKEIQRNEVPGNEKGVVYIELPETDITKRSSDDSLGINKWAGFSVSAPRIEIEKIL -RVLNIIYTEVSITCRAVQGQIHSPKSIHTEEFAQIYSTYSFKGSNPYYSDKILFGSCGPL -KSEKLEHTQREIMSSCNQMLRSGVGLPTIKMFHLLRSSQQTNSTKTRKDTGYYIGKNSLG -LPKMSLPLCLGGILPCTYTTITGKSVSLEDRARIKSLSRTPAGKLLLKLGWVPEKVYSKE -DAVKTMGWRRVEKKLMRSSQKDFNAYKDLKDDVKVNKETLRAKAGSDGNLEMVLDSSVEC -KEKTMISMAGNYRVITPKGQSSLAWEFKDNCHYAMTSPTVCLDKKVVETILKDLPTGRLH -SLLNGLKEVTLKRPGPSPLMAEPVDQTSIQEVAEGETGQHYLASLSTVFNLMKHVVSEAI -EIGEVGNEPRNRQELWLEGMPILDFARSVQLEGEKEERVRNGKVPIPSGQWKVKTIQAQR -RTGKLLSNSVPDILYHMSKLDLGRQRNIKPNAIEEDIDTVFGVVGAVNFPLSLDDYSRIS -QLLKVLTPEYVSMRNDISLYSPVGQKVTTSRSGMMFCKYPGYAAVPIGEATDDIPDEDAL -PRWTSLPVMQDFLAIAWYERLHKQPATWTKIRAVDFLEEEEQHAVLQETGLSSAVFERMT -MAEVVRRYYLSSKETDKASVMDLLIQNKTTKAVPFSKFNQRRSLRVQGHEFFDFTGGVRL -LMDRDCKCSVILLGGATISEEHKEAIAAVLTSTPKSTPVAPLGISVNTNNVSTVLKKTER -GESVSSILGVPTDAVIEGYLKIDPKRGTVTGVSQEADDKKQIWVTIFRCRRFVDSKFRAP -VYTTEETGTIEMDLFLSAGVVRMRQTEDLGSVAKELREFARGLRKGGGLTRDEDMLLSRC -QSYLRATTKFKVHPLISMPVYLRYIKQLKELIPETGSMFSVETVAEAVSDKSTSSQQEPE -RESWDEQISLDANRIYEWIKESVEREMPEWIYEAQEPTVKPPKEDASTAPQLLLACLTHG -ERGGGFSLLASTRSMADVKALLRLPANQRPLGTKKESDEIMVFSEDDQPMDRLERAVNTP -EVMLGLSGDIEITLQPCLLAGEDDVDEQDELLPTDDVSSLAARAPSIKTTISSFREAFDF -GWGASDFDDGTVDELDLGASAGDFAPVSPELIIVRPREIYPEIDEDKVFVYPFRAVSEIN -VLELGDPRLEDEELIKVETNKLESFSYFVAGKVKSGFLDTHSVRNFPVNWWEYLAPFCKT -GKDVKVIVSGQKRPVELDEALDNLATEQLSSSIEDLQRRAQALRMQIAEVKVAETLLKQT -KSGSTKKREGRGMKFARKGKQNDDSPKSRSGRDSGQARKLADELEAEESSIMDQIEIETA -ELQRFKRSLLAAPTAPVRIAICYSLLHRRMNETGVQVASKLKSTVDLLRYDDTLYLAQVC -TLDELVRLLDAPLELVCRFETALNQVILKSCGEDGSWAVNSAGALAALTGHAFDITGPMK -VV ->APG79225.1 RNA-dependent RNA polymerase [Beihai hermit crab virus 2] -MLRPEHFNDLENKVDKQFSTSLGYNCSPIAVNYGFGRSPQEPLIWDPKYTKWHKHGIQKL -NDILRPDSNYVRFKLDTNDTQVNIAQIYNNGKACEMSTVIDQGHLKIRQAITVETKDHFY -YREFVVLPQSVEDSIEDICELSRSCVTYLPNVDVRTTTFDHVLKANTLHQLRNAVSENNT -LYIDVTNSLFHFWGNSDEADVVCKYVVRGDSISYSLETTQYVEGTFDSSQYQGSYYMPCT -YNMYGANAVVEPKQERMLTLTDALELTKNNWNTTPSPATGLSIAKSIFNNTGKNVLVKIL -NMHLMISKHPVNVYDYSALTQSCIVDKNVGEWLQMTGLTDPKKYRERHEWHYQRLDDSIV -GTGNVTHILIGNSMDVDVYIDLTYNAMHYTTNFKVNNLNLLGMISPVHMSALKGASNSHK -VAIEVSISDSVDIMHKHLGDNYTYTPSRCLEHVNYSSHILHISKKVYLSIIEEDADSVWC -EQTLGYYATTIGEQPEYDAYEGNVNARASVVAWANKVITLSRTLYYSASGDNHTKESISD -LVKSLESKRHDLLHRFINYCLGKAPGERERPLLTTLSQLGHVNLVNHIVEEGTKAGYRAS -SLPAAKLTPDIVVIVGNLVRIIDVAVSVDPENVRHKKKEKYNCLADCINSAKEKGATNLS -AQVFPIIYNERQDLSNIIAGLDLEAYDTKLGQIVQAALVDTYASISAAVGLYHDIRQDIT -MDEKEKIDMQHNSLLVYNHEVIQAFEKRYPNIKEVYEQNKDLTEDVCSMAIKQLLNDSKD -DEPKFSSVRDAVIDLHTQWSSEYIYTDNELDLQNDLGRPMAQPYLMSSTEMNGLNTELRR -LLISITSAKDLCKSYDSTLSSWVGLFKMVVLDSTLDTYLTSLISGMDENNIKMQLNFNLD -IYKYLRKQFGEEKAKEYFNKANSEERPKIKYAKARNATYYNYLRDKAAEEGPEEMIKMQD -LYKHLEGTVIPRKDIELMNKKTLEVWGAKDEDELKVMVDESLERCSNIVKDCKTTPQNLN -EDNCKSYLKLTESLKQTHYFKIVNEYESSAMQFKTPKIMEFKAGNNYKADNYLFDVGDWV -SKAPDSSFMIPDIPPLTGVADKLKKQQESKGKKFADDEYNTVAFQMLMVTRQLAMFLGKV -NKTGHVYLSNLGVDNICVWACKRNSDKPKEVLYQVMTWHPDEEKLLAYGCDKFYNESMGC -HVSVSKILVSRTPDRDHMLNSACKYMVLMNTLYEKTNFATGAVQSETTRAICFLLANRCP -PNTATTLFNLKTLTGQSTSLLKGKFMADLVCKVFSPPKSLLQYYLTKELHRFYEKDVIET -SRVMFITEDRNIQTSRTPVMHVILPVQCHSLEASQHVSAALRSICEKNARDSRASMHDVY -NAYVTANDSHAQEYLRDPCNMMYMNMESIDGRNVQSSGSIYMNHLVGLRLSKVIANTYVT -HFRELSKYNIDNSPIVKASTKGGLKVTPASDTHNPGVKHEKKVTLYMEEFTGDKINHTVR -DVMETDIKRYALDNKLTCIALSAKGEISGKTKADREIATVETDRAVVCHVSGYIERSIGS -TLPGEIITTARDPTRLNDLLIATSDLVVNHFQSLKSQGKTVSSDNIIYGSFDCTKFSTQS -TGYAILYSLYAAYRKSFPEGQTILSIIMHNVMNMLSQKYYVDNITLTELLNANSMMWLTP -GRLQMQKNITNGWFHTFLGWPQGMLNSIDSVLLNIGMNIVIDCMKVDTLSSSTYFYTNAD -DNCVASYVRDSDQEGAAAIYSRMINGGFILTGHKSNSKKAVVSQLWMELVSHYITSHTLT -TDSCQLMSSMHKAMLGEGYGADLSATMEAYKSAVQRGAPMLGSALQLHLCCVMIDMMYLG -GMEQIQRYARDKLPPALGGTIYNTDPLLTAIFGVAIQYKTSYESIKRNTKEGELIRKYLR -YRIKEQVSVDIMGAVGTHTLTPLQAVDYVNMSVVKEKAKEYLRHLKETDGCSPVLKDNDI -ESFLDEFLDYYPHLCFIASSHPNLRLEQVIFAVATNANMAKSLTSGSVAFAHRLVKSMIC -VYGKCFMMNISLDDPAVIMAYVSLPDTRAELEKRKNKLDLTIQSMEKNMKKMGKGKYYNV -KRASRRDPKGTLNATLMKKKATERRIELLDLIPFGTGVLTDDEKERIKELSRGSRNEIQV -MVSYDEAIKIIDSIMPLPIDRCISILESHAKPVIEFCRHMDPSILVLIDSSEKPNTMLRR -LAEVAYSSKFTLPISRVLRKKYEPDSVVRESIHDIMVTDEQTLNAELDDLYNQYGMSERD -ALSMVYNENSKHVVPTPPILCTTKKPLTYFEAASDLCVRDYGPEIGVVNQALHVHTQVLM -SIGPKSDGVLTQLSNLIICYRDVTGKLPTQDVLKYYVDDPALKELKRLSIPSVSNKMNGE -QYSNFTCCWLLTGGDVDVVLSLAANSRSSWIYNTAQNRKRGVWEGPFTVTRNDVVICEFS -GHASGNIIRNLQCKFTVPNVITSTNPIYECARLLISFMIEMQFVFTEKDVIPLLESNGLL -ADDITSKMGETVISVRGDDISVCKVNKYTNPEARYVKCVKFSQQLGYELKWNITPNEEVA -VRGVVKRMFFDSRIFEQTNIMRNSLAVENKKDNYMLIINNGNDLASMSKGLLHPIKLLDT -DNVLKQISGEVDDDASSESSSSNSIEEELFTSMKDNQNIIAMTSAHSKSTRMPRPITKYI -ISGKYGKLAANINNNDKVMILAQLNVFAKMKNKSMKDAVKMILTGHPKGRWVNVEACKYL -LSNLIIASSDVQPGSPKLKLESTSDAVHEAYGMLCSMCTNLETGDMTLRDMFNQCGR ->AQM37684.1 putative polymerase basic 1 [Steelhead trout orthomyxovirus-1] -MELWVASVITGREDKEEDIVNFSNNVSCTYMYDSVMKKHNTGVLIPAAASVHRSNKYSEG -KTTKVEIKEYSRTYEVLLGNKITENEDPSDTSGVCDLQLFQHLSKEKIEQMGKENVRRVM -EREMWHALNSPVEVLAQGRSAYCLITDTNLQSRMCLKNWLSATKSSALTIGDLINQHYGI -LRNHRQVGGKVWTFVYSEKHKAKVRKQVDVLLSWQEYSERSKVLLAFLKEAERTKSEPRA -IFTASIPWRALIYCLEKVFEGVNKIDPVSVMAEGSDVKINSVNESFKTLAVESQSEEVVA -LTGDNSKFNECMSAEAAMAFIFECGLDNETENLLCLALAQFTEKRISVMRGIRRETEEHS -CNIRAEDIELVMDTLGEEDQEIMRGVQIDDKGRIVAPRGMLMGMANFCFTTIATVASSFS -FSGSGVLTKQSSDDFVTCGLGETRAKAVQRIEMALKVSKAAGLNVSPKKSYICSGFTMEF -NSMFIVEGVVLGNSGNMEYSTLAQALGPATDLYTGGKIGMNTMRRGGTNFHGFRRMCENT -IESVEKLYYQNKKYKAMSEDLKRRVGEEWFFIPESIGGGRKPKYFEISQGECTFALKAAI -RKKKYLAADWILKNSTMEADKKEDDEWEDDKVQLVSLRVDEGEIKRRKVKPKRKEDREFN -ERMQKYKGMYEEAVDANPILLIKGTAENLTLRDLREKGYIK ->APG79310.1 RNA-dependent RNA polymerase [Shahe bunya-like virus 1] -MSFDTRVRYENAGVEYLDIRGTWEDVEEYVKILPPFDKESIVRKMKYLNDLTLNEKIIVD -LQTEFGPMLEKVNWINENQGPRSLGHFKRVLELAINRIDSGEDVILIEGTNSSMVRVLED -IDLMTRRGEKLVAIDFTRKARQKDFRVAGLDEFKSKRSRLLSMFKENVECEVWVKNVEEF -QWVFDLSREPDNFKEEWEKVDEFMDNLMRINCDLLLSKLSPPASNDSHIARLERHKQFFE -KRTEELIRAIEENNESSAKIHKDLMLNMTDPNGHYGKDLYTKINNSETKRFPMLGRLNRG -LTEDFDPFKMCAYLSNKLNEHFLYWVSKSTSEWLEMTSGELKGALIRRVTRKDGEMTKMV -EIKFEGCSYENGLDWMYGRGRISSRREVQTSSLSHTLLERRWKRFEDSLSMKGNGSRYLD -DIHSMIPMVMENDKTSEFTKLIMKRSLTEFSNSIIGELIAQHQEICMSVSLSGKKRGLVK -NVGGLSDKILTLSVEPIGDRRGVVLNNLSFTAGGLNNVSYRVFGEFIDDGLSTFMRYDSH -SSSRWYNMSPSDMDWGVTCLHRVLSFHTMLSESSLTVDKQRKPLSPFPLLCAMMNNSKFS -QVSEQTRYLAMNSTGISAGCSELYKKIEWYKPINEIDKLYVLRMIKMANLVQLFKANGKK -KLISSMFKTKTSSTYKAEVDVYQTSWTICFPHESQYLQTDQNFFNSMYICKLLSMQRYNK -LMSESLVLLKQIKVRKDFLEQRSAVNDFSLRYCDVSEEGILAGVENMWNVGTSHFNENIY -TVASACAVTLKELADKKRISSDTTLGSLRESVYKDDTCLMRMNLIDSLNTRGSVLDKGKY -GIIQSSKDKKQNGKCYSNVLTNLCEMVNKKSMSVRGTRAELSKEYSDEEYTDTTERDSSS -LEKVSSLPRNLFYLVIWNQMMDKPYVAKMVHKDQIGVREISVLNSPARLGCLLVENFSRN -VRRIEHSLGDRTNLIEVKDKDEIVTRHYLRIQSSRNKKNRRIVYDNADCTTWGPGMLAYI -LYMCLCSKLCSENERQIVKSVLMSFSDRVFKVPDEIYSMRNCDSEGSNSVSEAIRAICEL -SGDLGNMSRQILFNPEGMFQGILGNTSSILAADVQRLSNFLIRKADPRLNVTSFVTSDDY -HRSIVYDVSEDEESSVIKTCNLCVNVVVEVGSRCTVKRNLFKSTFSQFVAELNSIFRTSS -GIMNPDIKSRISFIDFSNSFDLYQSAVRACSQGIEYLCKEGSVVGSIWVQLLNSHLHIVQ -HQLRSLLKGLGKNIFNLPLEIGGLIKIDPVKNLHRDYFSLLKDNYFGDKSLEFSVSFLLH -SELDRKDQQISLDQSGAKSLVPSISRSGIINLCRREPREKRAMREVLSEMDQKFFSGLMY -PGSVNSLQYMLVSCLKREPNEKVYDESSLRYSLCQTPMDKEIFKMNSGFWEGIFDKDKIS -RKDLIRVAKAFSVHNVRDYGDSFHFDVGVDTLEGYQSKKMCVKKSEFPLDYNNMTKRMTD -YSYVHSQLSPSSVSNIPKKSTSHKFKSVLLPASYTISVLSLLKGKFLPEALGGKSTLKVP -EYFEAVNSISQKLRKMSVVQHSIHVTKMSDEVNEPLCVLIYKSNFLEGARLMFDSTLKLD -MTIIGKNRMTNFFKKFNPQNHRSPSSSPLIDPLNSDYMENSRIDLTSLISEIWSGELKLP -PDLLTELAQRLFLSFKNRSSPFWVRAWNLKLPRQNRLFQGSAGTKISYNVKISQDMRSSE -IIMSHKDESFVHHVTVFQGDEKEKEDTKRDSYHYMRMSETMAMRIELKIVESWLFMSIDD -HLIFPICSAPPEMVFDFFLIRTGAKLISKEDFSRVRNCTLDSDPDISAFYSELRGEYPEA -EEDERAGKVEIENDVDFFEVDGFEEFGTFNESFNLSEAPAESEMSLDEDTKSSTFTTRLG -MVSISSALVNSLITSDSIRILDYNDLETMKLPQEVIDELEEEVNEVYKVSLPLTVEPDVT -IFRGEPKKTPFSELMDYLSSNEYEWSMTAGFLNQVIRKSNLTKHVVN ->APG78356.1 RdRp [Beihai partiti-like virus 4] -MDDRPARVFTKKKDVELSSVEECAKRLKYRRELPPCTIAFRSHISRTTHKVRPIFVYPTQ -IVVNELRFTTPLTSAVKSRPSPLMTGFNWFRGDHRAMISDIGGRRAISLDVSAFDMSFHD -WQIRDAFDILATRLVLNEEDYMVFSSLVSYFIHTPVQSEDGVQRLPGIPSGSGFTHLIGC -VLNAILLNYLDPDLAWYCVYGDDSVIVSTKPLSYYFTEAEKVGMQLSKDKSRVGIDWLGF -NLDSGKPKIINPTKRMASLLLPEVRDTSPAHFYGRVLGHLVASLGDERVSYNLLTWLQDN -YQYHSTRRIPRDMLFVYETLDVAQDSPRQLFQRILTQVL ->APG79327.1 RNA-dependent RNA polymerase [Hubei bunya-like virus 7] -MEEQLVLLDVALTADPAVVYRSKTSKYQPCVDYLSTILPIVLPLVKSCILQLVIVESDLS -NLENQLRAIGIQDFEGEDYQRDKTMLIHFRGIVEAYETRVKHTEKFKYRSQLRAHRNKQE -VYTEKELQLDRILGTMNKAFQDGNIDTHPEPLAELQQKIRNTFKCDSSTQFKLVEPSDIP -EAFTASKAQMKEQYLHTKSKPSSWFIYSPEHTGVTEHERDLDKKNIAFLQDLLKNNGVKP -ITPGGELWECYYEMITNISFETQTDANSGRVTTSVKLPTKLKEPVKAAFHMGKKYKQDPK -PNEQLKCVPIWDEDYHRQVTHWAEDESAKLTTSHPITIDWEKYNLKDIHNNFDRSVHARE -IEEVRPLVTSIRELSAYKYCKAVASMAHSMLVQPSKEAGKTTLINKGDKGLYVLTLPSRQ -AGTVIDRGTIPFVSMALSKNIDKVINSDEVQFIMKINKTGWYLCVSKPMRLDGIRLESLT -HAHLCFEASMLSWLMQIEAKTQPNTAAQWPMAVLLFTYCLMNQTTRTAGCLENLRYVFMN -SIAVRANLKIFMLESGSFALKTKLQALLYFRTEEFAAKSFLAAKDVLMNDLEIEAQHGDL -NLDRLGVQNVKLPSFMDQGFHKSFLGICNEIMGLYFNVAKGLHGPYHRDIQLMNTPLKCE -SDLRIQMEINQQARLQEEPGLLPSEKARHFGGGLVSDLSEFSVSPSEINPQTASTDFCSM -LGKFVNEKYLMHNKDRLIESLVKIGLDREILRHHQFTSTKSMLTFEQNKHGEFKRSKVLP -YMVKLVETEFKGVAPTLLEFTEWTLTSAIRPVFAISPKQQRTLNDREIYIGNAITFGVRT -VEMIMRCVAECLPGECIKIPGDKKYIEMSKAFKEVIKWSKSQNTVVMDDYQNANDQIQSD -TNLHFPGVEVTNPTEEELVKLNDIEAEYQAELLRIQAMTDWAQQTDEYERAFQTKIDRQE -EVMKKPIYSGNSADLLSDELLEDIMQQLADDDLHTPHLNPFKLVTVESFTGKVHLLICNN -DRKKWSAKDVAEKFEVFIAELTVIPHQLRTLMIRILKNHRRKHLQLGSRYVAELEKNKSM -PENARLLRMVEGGMFVSITQNWLQGMLNYTSSVVHTAVMLSSWEMFLQLFPEAKACNGML -EHSDDSVELMCYIPMMLTLDPKERLMHSAVTLQLPVEEVWLAFDEWCGSLASLKYSPKKS -NMQTVVCEFISNYMIYGDQAYPWYKHVVTSFSQLPGTSLADDTYAAFSQLMVCGARGGPG -SLVNWIMKLAADRIDRLYSTGPGMHNDVAKYLDMDRRLIPLELGGTPNMSAPALILAGPG -YHDANLLAAATNRLFEGWEKTLFLFLMVSPKGVFDVDSISYEESDISKITGFSGPLFKNL -LEPREGDLKRKKHKLDDDGDAVEDPSLNGYVSEMTHANCYNHDQLKAWTITHPAASIQAP -KNNKDLLMYWHDVMQRPSYKAACARSTGRGQLIKRCQWTTGAFCKMKSTDSTWIPLRQIF -IQLNADYQARLEKSGHRVVTNEEVSYLQAYTDAISSTVVSLVTYLGGARVDGEVPKTQHP -QMAQILAAPNKISRIVNDPVQVALKIWDKFEGPYVFLRRPERYEEDCELLCRVVRPIKSR -LNASTLMKYDIFINVMGALGSYHDAETVLKEAYKWDDNTFDEIVKAAETSKKELQWVSKM -FRSGRKSRIVITKAGNHTAQETLNAFLTTNSLSQKNLKITFSEPWIAEDSTHLELFSRMR -VHDDTSVAIENVSYAYYKCLEKGLPLGRLRDFLLKSRFKGKTILDILRMAKKRQQERLLN -PRTNEMVAVLCAYLQNDFQVAEEIYQSQETVLRWYDRRQRYDPQMGQYVGELAYWCVYRG -KFIQVTGVLSDNGQMMYTYKTNCREWSTIIHMLKVLYVDIHNKSYDASLPQMRTKQWKRD -AGDPTEGFAMASRTWHWQVLNVGNYYLKDWQLTTDLKVPDILPRLVKAKSQRVVGIYIGS -SDKRGQPGFCVIAAIRRNVPVKFMPEDWVWDNSVTLGYYEGLNLDALLSLRQFKTYIFDE -VSAVPVEDLLKVIKIRPTSELPWWFEFCRVLQQDYKKANTEKMKEVWSNWVRNCSLDPPP -GFKDLGISNPEPDWEEEESTDSGSILEIQSVGSSEIEKLSDKDDNEELAIMNEVDKEDSV -YEDSLSRTQAIVIFESRLQFSKVDTLRQKMIVQSMGLTKTHVKDPYFWSMYLGHWINMLT -ENISQLKTTKLATLNVIDSCLQFILDNQSLENVTTIARQLQENKAFALATGTKVQKPDWM -VEHYKRYQRAILSGATSDRLDPLQWHALLADNLYWPVADYNVDFPDESDVLFD ->APG77011.1 RNA-dependent RNA polymerase, partial [Beihai narna-like virus 5] -MAFPKEKDMELDTFVTNESFYGLKLDVEDDSIVFRTFNGSRLKKNTYSPMVMGDGVEAGH -LPLNQYALLPRRLRGVGELLSLLRRKITTPVLEHTVWILQTSLVFVGNLVRLVSAFNLKI -KIRLRDIDFAFGLTKIESLNEFKSTIKSFLVLPIHLLTREFARAKLKPVIPLRGGPRKYF -IKYFRTKPSMRKFGFAQSLLIGVKKCAPTVPSDVVDDEKSKCLDRYTMEPRQNRDVIELV -LKQAKRIMHNFKPQQRHDVVDFSLRSTLESTRAKYGSYGEAVRTFGQADHINMVVGGYSE -ETGLRIPDLDEFLGASGFVTDPLEFVEGEDIYAAGSGILPDTTYRRGTCPLPLKREVVGL -CEPLKVRSITLSHWWESPLWAPYQKQALQYLARRSEVCSGKELTEDYFDEFVGTKDELEE -LLGTKFVFVSDDGDAATDSICIELSNRSIRDSIPDDLKEIYDLCSGITGDCEAYMEAENG -DILSVTQTNSQLMGDRLSFVKLTVIHLSFKLVFMARMARFYEVPLWKWRKLIKINGDDGL -VAIPERFVDDYLMWMDNLWNINRIKTQVSDSCFTLNSRMFVARSGCAYEVPFYRLNLFER -VDRGGDFLINPQVWNEIQASLVGSPRLDSKILSEKTAWAHFHRNWCGTLNYLTEKKGNNY -FLPEIVGGLGLKLPRGLHFWTTTAQNNAIRIVGDSLKRNAAPPVFRTRVVPITKSLKGVN -QNISNSLPQGVVDYPTTSVTYAGTARVKSITTKTKLYTGKLPKPEGPCSTRPSLRVLDSW -RYGVIHSVEKPSWCEQVLAKLVPSEDSPQDRE ->SCW25778.1 RNA dependent RNA polymerase, partial [Beauveria bassiana narnavirus] -MAKSVLDVLGPPQTVEDPFSTEGMSMSDDYALAWAETQGISSEELLELSENEVFFSVDLE -EATYRIPFDILDENIRFCDKIMADFKPWNVFHDLFSTFEREVDMRELINKGYWSGPETVT -STRGSFMGDGMSFIHLTLMLSALTSATYAKEGRPLGQSVGDDLFYLKTELKYCLSFCRLA -EGIGCKFSKLNSISEDSLTFCESYCCLPTDFNDVKDLKSFTDSCFGDLLFLDIIKGSALA -GQAKVKVDGADPFIGHATLLAKQVKWHPLQTVALRSRVILWARNFRAAMRLQSNMASLPL -ALGGAELAVGPTILFSDKRFQEEMLPWYEGILRLEEREFLEYYLLLRGIYQTNPKGFSWQ -NDIEVIHEITKDCELKHIKDLNDVIPEYLFKESAREKLAYIEKDLGLISFHNLAGQLARR -EAFLSMWNLEKQETFMTFLSKDARRRANKVWAVIKSNITPVPEEERMTTSMGRLTSAFQA -RTWGLYVSKNDPSIRRAFGGMPDLFYQTG ->AMQ67162.1 replicase [Providence virus] -MFTPWCLKPPVHANQPQPGVPLTPSAEIPSTPRWKSYTPRAHWTPPTPSTGEGCTCEPLS -LHLCTSCKATASSSESRAEGLPTDSQSQLPLRRSLMQILSQSLSSMLTHLGAVITSPLSL -VTGRIIPAGNTILRASLALTSATCLYTHLRGYKYILHFCRTDLVLFTQSVLNLLLQRIGL -KSLRLSLDTTISLPFVIPRFVNIAAYAQLSQALEYTKTHMRSATFLSVSHIPDQLLRFVE -KSWIRQQLRHVDLMGPAEVAELHHWLANRLVNLYPTWRPLLAALGLIALAWFLTPVARVQ -LEEEFEADPIVRNLTAVLRSHAIFCHRTAGTRRMLADRASAWLRKEHPDMEEHRKPALVA -RAVALAMIPCREEVESLIDQQKNSASLWWVTNLLRSGWNSPWEWVLGRTIPTKXQLKWVI -RPGICDPADPPVREHARIIEPELMTEQCDFPRRQMLTLQFPEPYWSLWAHEDCSHNQLRA -VKGRVLGNVPPVTPVATTLLQSMMRLVGSSLQPSTAPLSLEECISEFPQNRRRRYAMALS -EYLSRGLTPKDATIKAFVKMEKLQILLKHGDPRLIQSRGTVFHLLFSTMTKPLEHALMHV -SDPETNLPMVAKGRNLDQRAKLLQRMWGLYERPVSISFDLSRWDMHVQVPLLKRVLEIYS -QHVTCPLLLDMCSNLLKNVCYTNKGIRYHVDGGIMSGDMTTGLGNCIAVLVIVMSFRDVI -RRLATTSESNTLDVLGELPSSTATQDQELVRTLLEISPRLRAAQLTLGWLSILDDGDDHV -IICEKQHTWICELVLPLWWTAMGHSLRVDGTAEDFESIEFCQHKPWNGATRLTMVPNPAK -VLPKSCTVTGKYISKPQSYLRTVWTARAILHVDIPLLGPFFQNNARQLSGAPLMEVISSE -FQHINSSLHYLMRYHASGMYLPAQQKVDEMDRVLFWRMWDISPTEQCKWETTLAPKNTNV -SDIDWPSGY ->APG79315.1 RNA-dependent RNA polymerase [Shahe isopoda virus 4] -MEKSDVRKIMVDDDEKVLMVSFYIVIAEGNVSGIVRIYYEDKYGALHAEMLSEEKRRALG -NWQEIVDVLDFNGDLNVASLVTSKIIDRGANLKELFEELLKTHFEAMKNMFKREAASKDF -KLFLDKKRLKEATTVFSENVILEALGSLDIRLMGDVESISDYLKKSRGNLEGFKVEIRDR -VERELTNYVETMLTMACNKKFDTKYENAPLYNENEKKRFEIFRKATGQTYIYEKDINSVM -NGEKLEMITNSFPNADDIVNRDFSSVSMNHLLNECKRMCKEVINSLPVKGIDGVDKMSER -EILGLINSLPVKGIDGVDKMSEREILGLMNSLSFRLSSELVDKNNGLAFAIQLLEEKTKK -GGNTIKQMHLGPVKIALEKCNNVYSKRAKRMYKEIVDLLKGNEGSDDRTAVEVLGRIKGL -CTEALMSLIFNVNHYNDDNDKKIENMSKLSVMSSFVKSSAKNERLLITDIVKDTGDSEAS -RKRVEKVISNLREFGSEGVSFKLSDGFEMMSTSCFIEVGCRNRSKEKVEQDFETLSNCLK -PEACFGAICFQRFRDLLRFCNRRDIVTLINQTCELFEMEEESLIKEEYNSLVAMYKSIGD -LSESKLRAILREVWERDVFERTKEILGYTKISKTTYMNCAYISKANRDELIRKHNEMIMD -DLGLTCEKQRGLILREKLRCEKTEMSREEIENKVIRDSKDVVRKLGVGYDGHEETIKIGN -FDVNCEITKILSLCTGGKKRDVMRLRTMGLTGKNTYFMKNEGIFYEDSILILGGLSEKYK -EEGLNRRDMRLKEFKKIGDLSFDSMKIRCREVKKGRKESAKNVLLELYSGKNRSNYTRTN -CGKTIANMLVLNLCSEMSENDTKHLLCTYFLKRKKMNMRDVFRNIVDVAESVIVRSHRER -ESVLKFTRKEVYSIQSFCQGVKDLNELTESYTLDCMRKERRDIFYSAFWINHFILTESGL -KKEEALQMIRDRGLIKRILMNCREIKKHLLVNQSSDEGKVGKEASEKKKALRDKVSDLFD -MGEWRVSEVDATEARLMIESIRETNKNSMLLSMWPTEDNIGQILNKCQKMIENVINEVFF -GSTGDLGMVEKRINFRKAFYKMNQSLFLEIVSYDNSSGVEQLNPVWQDHLIELMTDMHFK -ESSGSPIRVFDYSEIENKAVEMLKKAVIIPTCIGEVRDLLEESGSSEMSHKIIECELPIA -KGRYIISEVEKEAEDICRIRLIGKKDNEKKIRALLRESMTEEEKRNETGNEPVVDLFDFL -YEDYDGDLDVFGDEEEEEMCKLALSLDSSEIGRGVCKDFKEFKKLMGKLPMYRKSVFLRA -LARRLVRHKSMNHTVKGCISGDIKGFNCTLVTKRLRKENHNYEFCVYNDEGVCIGPIIIN -EQKLNFLISGPAVLISNFLGNIDNCSLSQEDYVRKIRDQSDSLRSDIKKLIVRILNVVQR -VNILLSYTDGYGVGCFLSTVLENKGKFQNFMLRALEDTLHNNLNGLRKMKGLGEKFANVN -ERVLSWFDGEDTTTSDDVGELNNLKDKRERLEKCEKDDLDVYMFISCVRNICKIFRLTED -LSKRGFSGPDIFSVLFPDVTFRLLMENNASTNEQMQKTRFLIMHKMGCAGAYKDFGKKLF -TEGRKSSVTLARLLQLWSVCYGCLNNNYSYLCTQSDAVSTSNMKHLISETYYNQFYCKML -LSNVESIKEVTFKVLERVVDWKVKMRDVKCPGGESCYCDDSEKEDIERQTIVNCLMCLDV -YKRVSMAEEMIYCLSQQNKFASGSPKLSNLLYTHYALSNEESIKSTLKKSDMIDLNDLIS -MSKSTGMVEEIERSVNLSEFYKAAFWKKISSLRGVIVDKAGFSSNKSERRNLEIIKRNID -RFLETKRCKEEQEVMKIERELVDSLKKRVDRELEGLSEDDDVFKGSVFGRLSKVVFSIKK -DLSSVQKRAGFRLNNVLTSVLSRVSGVRATFFKNSVSTRNLMIDRRQETDEEDDDEDHKF -DQIVDFYIKNVKGIKNNITGKDVLFNNEESDTFKKQFPEGFAQFTNEETMAIIERAKKEI -NVTIERKPLAYSRKLKKDINLGFEDEFKRGLGKLFYSCRAMDLGMLRMLRGDHSLLKAED -IVTREDRKRWFSGNSYSLDTDDVKNVALGELVFSTFRKSLDDARAKRQVYFDETTDFDLC -LCTREMSGSRTWPVFPDVKKKTVHVSKGEKEVLNLSNSEEQEHLIEVCLSFIDDNKTLIK -LVDKRSSNDVCRARDIELLRRRIIEKNRACVVEVYFILKDEKQMTKVLEELGNSCSAEER -EDTEIIHKNLMWLKSKLERGDEWFVDEIFLNVQCVKVLSKLFDKVMSIDQRVSKLSKEQR -MKISRNLSVSRLEEGDISDSIVNEIVKEQREIIRQLIEVMNKNKGNTELFFFLGSYYCMR -GLLRLQKLDSSTVPFALDIDFRAKEFFSSKEIVKCFSLICLYNKSAKEELHDLLFSSSIT -VSLGKDQKIDFSKTLIKKNFLYNFYEVQNKDEQNVKEGIKRKINFKTKNAKKSKGAYLIA -KKVFGAKENMLTYNEMIKLISDKENLLKHQTTIAPKNQLGAARDLKVQTLDSCILQSSIE -MISSDVFKSMKRNTITNKYCKSQFLSLVEKVQKDISKERNHYFMSKDGESWGPKMKGGHI -IRGNSRILRELGFEELADVCDVISEVWDNRETEISGVVVDGYMRTMINKRLDLEMGLDAL -LDKMFSKEDDLNRYLFTELYKGRKVVTLPIHMGQGLLQSTSTGIQAVISNFLDKALKKID -RSCSKIEMIAGSDDSALTVTMDSDGNLKRLVDIIQVVTSSLNVNDSCKSSISKKVLEFHS -VFVCDGEQIPAKEKFNSSILFVGKDSSLKGFFESTYNLLRQLISNSGDLTDVFSTMVGRV -SSILGTFNTKQKRLLLECLSGPISWGGLPPFEIINYAVMRKEDFTADTRLMCCLKKLEKN -ESLRRVEKAVLVNSFLESKREYETGTIFLNKISKREDRKLEEVCEMEPIVEVDDDVRNFI -DFAMKQNKVSNKRIDVLRMKQVLWSMKTSGSLRNEDNLNVLHQFHLNGSHDCVIIKYLPE -SIGSLIQKAKQGDPMTGRVMKYELCGIEDMLKAYETVYNERKEEIKESIRMMGLSKKSHC -RRLLKLFETRISKENTMFSKMLYKVALFDRRSTNITNTALNTILWHVDKDTMRDLGIKRL -DEMEIYDDFLRIKLMSEDLLNDAVAAVKDLRMCDRKEVRERMMNVELLISRIENKPTSFF -IYVGMPIKGYHRAGDCFYQTCSFKGKCVETVSDTLPEFVGNSFRGVAKEVLGFLSSLVPD -TLECKRLIREAYGKRLSPEEERDLECRESIIGCLKYSKVEQDVSFLNVHKKVIENELIER -FEESDSIVSHQIYYYDNKEKTVLDFVLNGGAALRLYNLDSGMFFYGCKVSNDDLKRVMMK -SFEVICKCSTPLEIARRLRRMKMFDCSADTPRVKMIENGSCFLARDGIPYFEDANQTDVY -QSGKRSRNLVRSSVEKRKYGFVLVQEIYLMKSQEEEANEAMSNIEAINMESRGVKRELEL -LSQPFKIDTIRIPHIGSKTFSDAVVKLCVKLISEDSSVVVRLRKGYGDSFNSHIEFIERG -ETKSSFEDRQKISLKLRDALIGEEYVKSRYGVDVRVVAKEQDMEVTYTRKEKEEALIETN -RWERCEKSLDVVLDKIIRDIYILDVLKEAYTGKEMSYVLGGINTGFVKELQRMKNQGLSR -MVSYFLNFYDLEKATLRGVYSARRYVEALDEFLEKLDTMLLYERQAKISSRVRGPEKEIE -MSKNDEKLLNRQLKNKTKLKSDCCAIWKNLAGPTQNEISGVYTEMEVVLSKCYIDLEKLN -EKGEKRKEELKKAIQKEFESVTAKIDKFLDLFFEVLLLDSLSKFDANAKARYLGKSFCKE -LEDEKAKINGILWPNCNIYPKVERNSVTGQIICRICLKTGLKYETNCIIRYLLSEESSFD -ILSSMVEYSRAIREAKRVIRRMNKDRGWREDSKVIEEKNVADEKREKDIVDWYDQLDEET -RDYEVASVLDSSGPSTFERTGSNVSTHSECSSFNQDGDESDFEDDDNEEVLGFILDHGID -NHGQEEEL ->APG79215.1 RdRp [Wenling reo-like virus 2] -MSVLESFNNFKLLVSDLYGFKACLNLDIASLPNDISKDIAYHIHQDGVYLGTLMNRDGVS -IRNKFWERLRNVEVNDESCSIKLRNFAADVESGALKCVVQDSSDTPTIVDGVMLTFDPPR -LMSWDIDTIPEVFEVAGYKVKMRDTIMRRVSSECPIVCVHHPDRIAYVLANWDSPHWIRY -AEYCRKHNGRFSIDYVRRGALVLEETNKDVLSGVRFSMTLICEVFNRVLPLTAVDKSILD -EAHAFMMKEPIINTAIYKAIITPFINVTSCETMEGRGCDWHNIDYSDRHFKGTHFSPFNV -NRIDLKHRYTNIDRDGQNESLLLANEHELVKLARFLKHSTTMSFTPRNCITTAVIRCANS -LTGYVGSDFAASIITQIDAYKDFPRPTEDALTSIRETFSRVVSTCLAHEDIGQPTSRENR -LSRATQFLTSRSAGTPDLKPIIFQKKMHSLVYSLADGRFIHRDYLPRVSAKTKRSVFLTE -CNHIMTRKAILEDKLNPAYLGSRLVPGGRPIRIIFPIALPRYLVMQFAAEPVSNALLQKT -YGPSEDILSKSGIGLEFGAPHMTLAHPMGASSRGNVAILCTDYSSFDASQIGVVGQAECC -GFKDGIRQANLKTFSSYISSESWMNDTYYELADIAYPDEGNTLYFKFKNPIGNKTHDVII -KTDMLTSGVPHTAAFNTMRNLALHDDAKRIMSITFESNIKTLMTNVIGDDSLSLHTSSNL -PAYQICEGLLAASLKAAENGHAKASSKRSLVSTVSGEHIKIGFRYGCVQPNLQMPFIFSE -KSSGLNLTPFQRIKQVRDNLNTGSCRLVDPDLILNFLYALSPVLNEVTSPELIFVLGPGT -LFCTRGACIHPDCPILPRGFRLLLDDKFNRTMNGLYNRMDKLMDSPNESRELALSASDAV -KITPEALGVNVPTDAKPGPYPAIVEECSASNAVKSMMVRSMKDAAGVVLTQFGRNRLIKT -IMTERLSLFDSDEFYPYRITNIPIIPKDLATPYITVTAKLKRTLTTIGHAYNNIFLSDPT -SRLDGYIRRHRSQCPAHLGGETIITILRETPTRLWEDALYYCGFSRGAAYDIAKLAPALL -HSIEMYDDCLLGYYGGPIQYIDLSLSRLNELVSVQHAAPKLKGDRLLFGAMVSILQAYNN -TGSGVFQVEMLDKM ->AIA61616.1 polyprotein [Sclerotinia sclerotiorum hypovirus 2] -MKKDFRETRKNGRESASLGSHVPSPEVATRITMDPFNHRLLTNDCIQSLLTLLPVRRTIN -SAQRSRERQFPPQFYHRTAAGMILSGFRNGFCYLSLIKPVNQQRVATELRAYPTVRALLP -YIDLDDSTSGFRITIGNKGTALRVVHLLSTGGSMSVSLVKEVLRRFDTSFVWLIGGEDEP -IVREAPACRCRTMPFRLDITSRASYVLHPDRIRPDSRGFEAFPGFCYLAMVVPERKAWAA -AHTGPFPRVHEMRAVGEAQRRDGARFQAFDMGPYRNGRLVHIAQMTVGSTASDILDGLLD -LDEIVPWYVGSSEATGPPEVDNKRRNRSVSFVGGRNQLPSLHQRSASANSLTRGGVLRRE -SLTVPAQAVPQIIEPAVPAQNTVTDTETLTFTINVAKASEKPTLSLETKSVPGQKELRPF -SFQEAMTPAVSEYSNFLNDQPKTNSPYDDPLKDDPMSAGEQSLNDILDKSATTFGGIQLK -MEQRKGWTQKEIIQAMQKTGMAPKHATPFKIPEVEARRDKINSATSCLYDALEPMLADTY -HAVLDKKITRIGDMPWSMYRYASWLANDKRQDERYFLIKVSVTNGLITPGGTPSLEDHIQ -YIGTYKMIKNAGSTYLPLGIRPFGDMKERMTSDGTSLTMLMKGSEIFQMNPRECTIRKSI -RFAHKSPFIRSHCVDCKGEGVAKAKSFRGKFNGQGHMKLYPTDSGLRCRRCRDDFVELQH -EGQELNERLKNCSCDMMFRMEHEDTRYHQSSCPRLHIVGNLLWVEPDTPDQSVCGEEYCR -PCEQGDFCEEHGDPSQCDCSQCDAGDRCIRTGEFSTPPAVCPTCSETYRKTHSCPPVLRE -LQPFVLDPALAVPELEFGDGSSSSDDDSAEELFDLRRSAFEMTPPSDVDRAIFRARIAKL -NQNIASAPVGSHQIVLLEEEPIKENDSRVILEFIERERRLASERAIAMANLMSCFQRARR -AYAFKPVKTVLGEAIKRRSVKTDGKVVVLGWEDRKEIETRLAGHNQLKCDQIWDLIKPRT -EQAVPVRTIVSASAGIQNWELPSGSKTYLQRLAIQKKLDEARDPFNYLAKATVVAQYTWS -ISGKLRNKRAHALNGNGPKWSYRLLTPDLRVFEGSSPAQRPTFWVEIPREPSGPILAGQL -LTELGTRSAPASLIDVILEEAVPSQLFNLSKIGADDWLITPEDSPRRSVDDMPPPDKVNV -IQFHGLKAQRNLEKAWAQIAILEAKDRQNTHRILQLSAAQCEAPEDHAVLKRTERSRQDL -ELRLQASMQAKCPQPSDHGQLAELLMDRSREEPWKICGHAHEDFACPELCQEGCEHTPLS -FPCDMVHCYDPQVHVDPDRAFSQWGMNADYYHPSPDLCAPCEKPHGPICPDVALHETAQS -TISYLRKVNAELTGRLRHITPPETGQAKASMKKRIAAAKSSVHAWHQESFKGPRDMHSRK -AATVLSSLIADLDSDVPPLPPPNRVLVPNVVDRWWKKANLKLDVNGQYFNFHLAQRKLRY -QTEAGFVDWDPSQERLGSPKSLVAHWSLLEYAFDHIRDPFKQKQLLEQLDMDLETLRKCA -RDTALLSYDSMTRRNYLRKWDRATTKPSDPGPIDNVLDNAMKLLHLLVPSPDKQVWKTWD -PSSDNGKTWEHGGYRYGRHAMSPRQHHLDDELFSESLEVWDKIIDDIKRWLPSLVAFCSK -FHSITVCAGGTGLFRSKLPESEALIIFSDIPFAAPGSNTLVVCPDPNVFAGRARACLRVL -VPILVPGPRYYIVNGRLYPMGSFSEAVMPRIARDYPYGSIAMQGFWSAYLGATFINGDPF -PFDRKLKALAHLLRGMDVYGPDETALMILGEPWPCHVAYPFQGRDVNYGHAPWTILPAGK -TYVHLQTGTEVVEWRGGYNASILVDHLRVYNQAKALRDFGVPHFTELELDNAWTMSPSGR -AYDWFAEYLRLLWQSSSQACYTGHIDIQTERLSWGKPWLNLLPFTPSGTTELNCQISRDG -AAVCKMLKIPPITDRELIACSRDSFRVSKCNLPKRIVNRCGLPFVTFGLAMHNDETTLFR -GIDREGIHHTNFCEFRQEPPPWLANLVQELTRLCAGKRVIVTANPNCKPPRKNRVCLNFG -EEVAGWHTVSVPRMNGYGGRSLALWRLLALYVKAADVWIVNGRDTARQIQPKLITGRFHS -ANQHNAMPIILASTITDINFQPVCPSDDISSCTCSLDKNPCLRLFFGAMALYGQTYGIDE -WFLHRQPLTSTHYHQLADNDYRMYPLSLEFPDTELIERGTTIWKGPLSRFLLLQPRAHGP -EGITLGFAGRTLRRRFDSWLADIPLVYLESPYAKPPTPRSETILMVVHGTRGDRVPVEYL -ARVLIKQGQKVVLWQTSSMDSHTLARLKNSDFSGQFVDFLAAAGYHKLGYKLVFTPHVSL -SLSSIKYTLTNWSNTRTPSWLGNPLAHLSVLLMRSVGQGLIVGSTPGCNTPYSGDGLRSL -LWSAPLSVTPAAQNRLPAAWVESSDGREAMPEAIRLKHPGITIPYDMSEFSKYKKVYTNG -TQGTVNAIIAHGAEAIIVDAWFDQARTYHMEPRIFTECTFQALGDALWSRGVKTELPISW -FWMRWRMWVPTQKRWYQYMAMGLAAFQYVYLLAPLAGTFPSLVRWVPNNVAVTQPVLRTL -LNFPIIHYFLGVRSIAYLFIFWYILEQLPIMALGIKEGVVLRVSVERHWMPLKHAKIVHK -KRDLMIEYGWFGERNLMTPFQGRLWKHVPECHNTEIEIPISIDFDALAKQCVHDVAAYGP -FFNCQTQILTNIGNNAPAITLLCLGIFYAWTFVLAPWFLVIFIHHAGVKIAGRSPLQLIT -LGPQEDNIADIALGAAPDEDDLPDEDAGNQPAVFQDDGTLASLSELPDEDLMRLALLAAV -EEDPDAPEELHIEEAGRMLTAMQQELLDQVQVYEPIEGDTFSKWLDEFTSWCLMELRNKA -NTVPYLRDVVRLLLAVQDNFHRVLEPFFQALRGAFDSMVTVLADGSEAFIRFCHRLVDLL -FTKQITRKLKAAWFGAELLKPHKLSIQKRIRENLSMAQVQMADNFDEQYALDTHTLRDEY -SDEDVNWQKLNAMSDNNTSKKKFNHRGPKGFGGPTFTPVKLPRSLVLSHQELEVLANEAF -EEGVDFNARADQYFTKRVDRLMSNGTPLATDGALLAAIRPSQAESSLIRYTHHGVQANDL -LRTNVDPFPPELKSRLDADGDALYRSAPEMLSNPKLTPPEAMLEWWRHRGMEKFNTTAPL -NMASRAHAIADGQMAAILRDVYHKEKQGAYPSQYYAAKVKGQAVPVAPLVTPNADGLYKP -VRTFVAQDSRSTAIDWTVGLELKNRLPGDHVGITSKMAAGQGYSNLFRRIRGKENIFMGD -MGQYDSRLEREHFYMLDRALENGVSDKIVLSWLKAKHEAMQNSYIAVLSLPRGKELSPFL -QHARASNRDGPKALPGYENWILKIRSGATGESSTAWTDSWTFRATFCLIVWDYCDHFSIP -FSPDDFFNDSLMRLDNSGDDNMGHLDFLKKYGHVLNPQVMVACAKNRNMTLDFAVLDSFE -KCEFLGCMAREPTAMDKKTLERVRALFHEVKVRPDLVRYATINPDLNDPNTLEAPEIVVY -RNLTNSLVRQTATQMYGNVYKDDQYLEKWIAKYVGHLQLCAFKPTHHHDIMHEYLQTSIR -WLYAATESPVRDQQGIVKLPVGQIYDDILACMNIQYEDVGKRVIYAKPLSKAPGNLMNSS -AFNTEFRRRLLRLSQTPPAAYSKVIGIHMAYGKKPASFYEKKMHKIFSGLYPIDDAAKEL -LDTLRSVIGEASRKLIKGFTAQARDQMYLEDIYDSGHYYVESAIWLTYEEKIMSQEGYDV -EDGVPEMSLSVWQGLCSKAAFGSITDPLRYFSLMQDKDFSDKVRTEPAATYRNMMWVLVL -SYVLLWYVETRMYGIPVLGFLYALFMFSVIDMSKIYGLISMLYWLVNMDVHPTISSWMPR -DPYVLAKRLSSWNLKFVPLCFARYLPFAHMGILLTDGLVMFSKFYNRLQSTKPLAGHGSP -IDNPWTRDAHRMIEMLLNDKVTPDNAIVLSSETGTGKSSLGVDALTRMIKHEIAPTSRTW -VFVPTRILLKDPLPGFLQRGQDSDHNESLRTYQVLRKGTQIRSNAEILFMTYGHGRNRLM -SGEFQEGIDTAFVDEMHILSAEQRLVVNQLKGQRLIFSSATHVPPPGFTAPVFRSTQTKR -WKAIQRIFPATTNVASMFQRARNDTEPMAGMKEAPAQLSDRTLILCSTFRELDEVAESLT -TLRKSMFGGGIGVSLPPVVEISSRVKPGTEAWSQRQDAFVKGRYIALGTKQAATGMDIKP -FPPRLLIDGGEDIYSHQGSILKLPTTQRDHEQRIGRVTRNSATGDGLVYCREQAGTRGWE -TMEYPSLSYLSEQVIASAYDLPMLFPIDTVLGVCPANNYWPYFSIVAGYENHIIEALTFI -VFANASGVGARNMSSFYQRHWQNGFPLSDDYEWMETCMKRQGLRPNNTPPAWVSIEAVLN -QRPIIWNTQRITGSIPLEVDGVTSMVRSNILYPVAGSYLPFEQAMQQRNKVLDQTTKESR -SEDMSADLIVKLQKTVASLSNQLQSKEQTGRLNQPRTQSNGSSAQREKIRQDIAKQIEYV -LKGVSAPNGAKRRRQDIIAKDLIERYKVRERVPQAPFDSSRQSVVTQAMKKMMAGGIAPL -TEHLWWLAEVVQMKEKRHR ->APG79313.1 RNA-dependent RNA polymerase [Shahe bunya-like virus 3] -MLLESYVLLSSWMIFRMAFLNAPSQDRKQMLFEKKQRRKMNKAMINKTKASVENTCNKPV -ISALMKRYLLEGCTYAINSRTGELIICEIEDIIPEHSIVTGSVRGNSLTVLEREILLQLD -DNHKRGIITTSTYSLELQRIAKSKGISVIELNNRISEGFWDSDPERITVYHDYRYNTITE -EDCTLTEGYVGVSPFEAYLYMTATRVFPRCPSRLLNSNFILSAIKNNCPKSFVYDIDTMW -DVSKMECLSFNYFWMVTKQVFPNAHIQEYVRAQKYFGYICNLGDGASPQSIFLEILDESG -LFDYFETIVIRHLTWQQIDKIYTIVKETELSFEQTWNSFLSEEKIRELCVQITNSMTKRD -IDNNIQALAKLIGLSDNKSTIDTTRTLNKILKTSTDLDVQIAGQLILSRDNYLTQSNAAF -ASKLVDDFIASFDWTIPGTAGYKGRLEHLSSWDTLTETLFRLRGILFENIACTDLDLPFK -VEDEKPTLKAIMMEFAKSINTEEQMKTLIEDKMTNMSDILTRKPDAYHFEIVRGDIVCDE -LEVEKDPDTYGVYAKAFTSKNDILWKIVIHEFGWVQGTYKKYLTEVGRWNSLSDFTRLVA -PIELKITLADDNTIDRYQSGPAEYLFRNVEILRNFKQKTAAVVPPTHSVKFTERDGGYVQ -STIQNINVDIPEFSKADVDEACDQLFNEAKIKGYEYIPEVIKPVFRDHCHAPFATHRLEP -MKIFEVLKKVRTTLESSGDTTIWPTELKRDTKEVIDTMWTDHRRKFKTLNYKKTAEGKRS -IRLKDIETCYEGEYSDMCNCLPSTKAFFEALSKQSRFKSVPAVIQKKMTAQDRTESYKKY -MLCNKIFSMVTNEHYKYRLDMASRIVKIKIFDFMVYQRAIIELGAEISLRKYNSFPKLKE -GLRLSMTTLKMSKNIIEEEWKRDLIDLIITWIETKETYCPHNVIVLTDDERIQIWESMHK -AKTSSEIFKRMMDSFEDRRSLKKERPDYYTDLSELCNETINDPKLAEALINPLMEDFKSQ -HEDELNAMTELCRDKFLVVCQKYLEVPSTLPFLILNKILSEVDKCVFHTKTSVYRRPLTG -NLDVLISTSASKSNFQVSIRNIMSKSVIWSMTIDQTKIFSYKAQIFYNISILMNRLEASG -TLLDFCEEKADFITLCTQAWIGMKPEIESMHTGYVEGDLEAFMSSGSNLICDIGTKYTGM -RAARMIEFLNRFSAPALMENYQPHNTSLQQLRFPYMFSLSDRFSGREWGSKMLELNRRQA -TQLHSEWVYFCGFKALEARDNILAAGKNQYLHGNYIDPLTGIRGKNGDDFITYMYSVHYY -DREALNFDFQSINTMMDFLKPTQEGFKVSEPYKDQLNQCPARGDINHLSMTLEGKFSYNQ -RCQNCKVALNHLSCMYQIPLFEVTTELQLERIIYDQCILSAKFKSTAVSSPYLQLQFGQY -LSEHFSDEDHDAVRANSSFREPISNMSDTTGCMKTISGIVNPIKMLTKVYTKLERKTITS -IRAASRTIGEASLCGVSVRQMMRSVPRSVVNNLTTFFMSSSSGNELQAKEILTTHDFDRI -GAQSSGDLTYCGVKRAVNSFAGDTAAKNKTAEKFKENENNLPEDVLSEDIMKLLLAPRIR -TSEKDKTQGYGEIVNKLKKKFNIKTKDQGAPVNVPMLFEMLYEELEKTGRCSVDNLRDLI -KKDLKCMRLEKGQVTEVPISVQENECYTPAYIKACGEIESIFNSARKIRNESIQNPKLSF -SIERNEVMRKLRSFMDRHEPMIKNSMMYMLALGTSHGLSPLMSSLDVFGIVFNYQTKAVL -RHLMPYEIDAIQALGMNTILFGNDFLEVWMRGKTANSKSHDLEGVSRQLRDLMITMDLEE -IKEKWLLNCLSAATGRKIDLLTAVKSKTGERIFLGSCVHSKVIFQIIEHLDTRIGNSFHL -ARSFIHSRYRYQATNAPKSQFAARRELMVQTYETKVVNHCIELISKAFLKRSRNDLMEHA -ERKDQVLDDCKKLLSARQEDPSQFLYIASADEKRWGPNACTMGFLNLVAETLKPHSSLLN -LTTVGYIAALHKEVAFPEKMLERVMNIIMYKKLSIKEVNPGTFKIMTEGGNFVERDDELL -DDVNDDIKQVLFDFILEGKSSCKAYFHMGQGIFHAGSSIAVLGELQWSHDVFRVLAERMG -KQNTIGIKELRSSDDSILVIQDYSGLNQIEEKAIEEFLIIRCIARKLGCNVARSEKSCGG -HMIAEVYSKFCTEEDLIPAIKQTCAMITSPCGTSVLATAQMLFNICSSSMDEGASLMSIY -CNYRMRMTMDKCSLTRRGCFDSSSRDLPICMTGRFPITYSTLLKPLNNWECEKHLRLHQS -AQIKLKSGEQPNLAECISISCPIENDDDVLGNYRSQHRAGKSKLILGDLISRPEMRAVKT -IKEKLRNVKADLIDPLKSTTGFIFELLNTRGRAKSFESVLIKISQVVDASLLPDALARSS -PQDRLQMVRSQILGKFVRASDKFFKGPAAIRNGNSFVSIGEFDEWTADPRGIGMQNINDR -IHLMQAGITNDLEVGQRMIAKEQSAIELVQRVTVEPTRLSSKVFRGDVLKKFQLPSNVLF -CGILSLPTLINYEPDIDLSKFNADEALLQTSYPNVLDYLLKVKDNLGYGVKEEDKSKIKR -LCEILNVINPKPRKIYMLTDSMALFKDVPHQLCKYNVIRGLCLEYDEEPYRDTSNSLLAI -QDYDELREALTIYSRSCGSSESLAYNVGNLLQQDPTLIDRILYSLKSTSIASAKSVMTSL -KLYMNGMNGRDDISNAANIFVRSDCNTEATEVTDTLTLKSRRSQHFSTLTISYSIGLTTK -VHLTSSTKNAEVLNTLITAYLANGIIRHAHKPYKAETLEAMLTDHPSATDLLTLHNGVWV -IARDVGVGIKVSPSALNAQTLINSLTMTKDAKMRVNGPHLEFGYEYIPLSIPQNELLSLK -ASLSEMNSTSSERSELYRIIVNKSQEPFFSPAVRIPLLKSVSALKLEEAKTGKNFEDSPE -ACLVSVKESKFLVTRKVAELIRVFITPDKLTTMMDTNTITVKLKEKGCDLVELLEMVYNT -KGKAQIPSNMIYSYMRFTTPDLTEIYNLLGLSSLVDLYRFKTLCNQVRRLKEGSLKEFKN -DRVKLTLGDGTKAAVGLIIIDSLTGVDLKIITVLRDIDPSLSYFVKALSNGTKRIVVGTE -NWTLATSMAILLTEGKYGEGDLCRGLFWIFGLWHFEEHGNSTENMSEVDFDYTLVPVDWS -TGEEVAASEEVEGFQHMMDFFSTLGE ->AOW41972.1 RNA-dependent RNA polymerase [Picobirnavirus sp.] -MFNHRLFGGLTMDRRCIFLTPYDQDILDPSGKARAFLRGLKKSSPTPVPTIFNHIKRDDW -LNQWSELRAKAIAALPPSINTWLEATKAKYGPQGARFDLVELKQAYARSYGHASTRKSYH -VALIGRDYLLRYVRWTAQRFGFPQFDYAYRGIVGTSAALPTMLDKGDYYAETLTLPFWSH -PIPMLPGERNQRGKHRCINQDAVFNVRRIEGVLNSVRKWLVKYTPWFTSWENPTYRLAPL -ITEAILKSSVSIETDFKACDEHFSFESVSNVVLPVYEYLVPDQFVGFASFVEDLFDQPIY -FGDRVWTGRHNLLSGQCITNDFETIYDVCVCIGAALVNGIEDYLLLCNGDDIAFVMPYHY -RNKSKVVHDTLVDEFQRNGMEMSLDKSSIRVGEVSFCRKYYAPGVGPMLYNKDGLPYLLG -VYPDYLTLNSIINPERRSIGAESTVATLQRCDNLYGSPLFTPFVQFLWSRAKGELFVDDL -DEVASSLPLDWWTRLYGEIWTSQKSPTLSVMRQSNLRLVK ->APG79224.1 RNA-dependent RNA polymerase [Beihai bunya-like virus 4] -MEMIRVVVSNNHWKIGTEGSDFQHKLIEWIRHHTAECRTAQEALHTFKNSITLELTDIDL -SSYLPGFHVETTARLELVIEQAITLMMTGYTAANVMTVAFNRLVEAGPRPSPQQVMDMMK -LITYGDLVLGVHNQPEREDHITISELQPSQGFFQEICDGLGISGENTRSRDGLIDQLPDI -FNLMETVSLVLPAEMITQLALFGSKAALTHDQLAIYKPYSCNTGSVLPSFHLSPKRIEED -LLNERFGSILTQLGAIGPARDETSARRFIDRICEDLQFNPNTVIKGQYQNCSLINLVMAA -FVHDTPQTSAATLSPFADFSAAIRERMHQEWGDRAYLPIKMWNRGNPDVEVAASRGSWRS -YLKSTLTPFLTDSSVCFIIQQALNSIDSDSAVQVHQMLARVKGKCGELAAKAMVAAEGKF -KIWTEGRDYWDIQGASKIVKESLPHLPDLISQDVDGGLTVYEVSVVTDTQVARSKRERDE -KKWKGMTVSLRHIYLSPNQKRWPVRIQGRKVEYGNAKKIMELLHDCFAAVASERVPTDTA -FTETLLQSRTKYMKARVPLDAVLAVTEDDLSKKADKWNERSIIETGGMLKDLASGSGWHK -MVSEAMTVDLATEILQDVANRISDHMSENPGSKSQNLTENWEKLADAFESHCPYGTKSRD -WKRKLPKVVRASKTHDLFPPIYTEGSHTMLTRSQTVECEVLADHLSGRIWTVTRGKNLYV -PVQMLQTFPCFSGTHILICKMLVNRALSRLEKSQSDGKMAKDWSWRNCLADVGCMCNRVG -EWKILHCSCATRVLHTLKEEPCSNCEYEEWNSTTGKLIEDCIRAHFKVFPDRIKSEFRIS -RSLLMNPQSLKFDHGENQEKIHKESLKKLNIRLSGSALIWQGQSLDEAKTALGCSEEDWV -TACRLNLDEEAWDEPTCKAQINGLWDEFQLDGSVFDHFFDPDVVDAASAFQGGNTDVAEL -KQIFNKLSQHSWFQSAVMDSLISEAYLRTLGMEVKAGKFRVAGIHCSDSVLVRVSYTNFK -SGRSHPCRIYDRNGIPSGNFFLNPELAEYKRMTVLTMIALHECLPKPYFDTGRDMAMLEL -CYNIYQTNSKNLSEFLGAFRFVVETTQSSFFSHKDLAKKVLLKPTEPLTQELIHTVIPAN -LKRQAICSADVSRCTREQFVKPPKEVEPPKCVCPFTGIELCGEDIHFAANLDVLLNTKLT -DLHQAQAVMWRKHVAKQVKFLSDFADNDDLLYHGRRDSDVTIQQARRTCNQITDELLADR -KPCQVNALMVRAACHIGSTHVSKSTVEKILTKPLWSESPAQLANTRAMNDHLADEEIRTT -FSEQKSKIARKVASDLRTSEVRKSRMFNESTNTENATKGDFNAARSYLQSLTTDAKGDFK -QVVDEAISLLHRNDKKDESKEAWVVLKASSQAGFPQPKVDEWSRIISLAYGLGGKAPKFK -QGKAHVIFKSRKTALHMAHCARLITLALSPGNARETEEGDVVTIGYSDKGNFKSPQYKRS -IVDHVLCRWKDFGGIMMPTAEPMWPIDHYELSEPPTKDNRWDAMTEEQMIDTIIACQCLQ -ADDLSPNQLCEEVASQSNVFAYMVMRPDFEEEVVEVSDCSITQIMVAVLHPPLKDSEIIH -TIKDSVFGFVGSVRSVMNVRRKLSKLKNHTTNKSIIKFYGIMKKLSSLPGTLAECMHQMT -TSTETSKFSMAPKQQRGGHRPLGIGSLEAVVRMNAHEAFWRDMSKAVKNDILGNPKIKWN -LLQRCYEGEPGHLTLSDNVDHKSWGDYNMPVHFCHMVNSLRHIIGDGMATSTNCALIDQG -LRQEAPPNGVIEAIGAADYVPVGKERGPENEHTECIILGRTCSACQKLQEKKGRSIRGPG -STSFPNTGMIQGYPHALSGQYGSMQSRAEIKLVKMVYENMWKETWPDLSESASESIRSSD -DMLLTWSVKVTETTTESGLNECHMALSEASRLFRMMTNQDESEKSTHSNCYSQIYSLPCH -LGSNPPVSIKEAQGGTTHPSADSPKALVEEALSRCNSSTKWGMGPVYRMALYTHNITLGF -RVCKLLRGGWNWPGRHSGIPMCLGGIPPLSLQNVLENNPAFTEMQCMKENTSISRVANNI -CNWTKVEAGQRYKDRLTGVDVNRVRKAHTSPIIKKIKADAKSIREKGEASIKELIDDPRA -VHLVTNSSCTLMEVSGIDLASRISDEKIQEALGADKTSTQLLAESTGRKLCICPPHLKKT -LLENLSLLRAKSKDYTARKLLGETTLEEDKNRHHPDTAPVLLATSAKARSANFELWTEED -EILTNFHTLVMLGKSTVPPDDILRRNAEFTEKVLKHIPTGKVALIEAQVRMAAKGPPEPK -IHHVTSTIRDDSQQLGNYVEEVLFIQHFSDRYRQLSFSHLNSDTLTSDIRTIMNICPDLP -ATKEELEENILAIKLILQSTKKCKAEAHLPLEVGDHTPGNFICGMLFGCPVNEDVDLFED -EHKELVRCAGNTIIHLLDLGYAHAATLPCQSFFHLDVIAELSRVLDLPAESTAKRDLGVV -MASCARKTGNIDLQMALSCLWNGGQVEFEAPRSYSMTSKIGEAKISHIADIGTIIQNEGK -VILAFKRPPDRKQHIIKLCERALAYCSGRPDQKSGGKLDSWSPREESGWMEMSGDLISLQ -GFPSCLVLLGSYCEGWIKNAPLESRPQLLIAEWVGEHLLKVIKAQCIGKPVETVQRLSRG -VFKSGSPESEENLTAEDWEVLSRTDHSEWRRVKAERFNQRIFRFQDTILCGATGSFQGYD -DGSYPLEVKMVMISLLKRGLFPNGSNIRKAHSPTDRVHLNTVKAPACDGLDAAVTQVLIE -VKEVEESLMEEWGGPDGSEMEDEEGEFFEVNTQRGAAETMVLDSLGGECLDDCMSEPWLG -ADSGLGLWISGLKCKCGMDVLEKGRCTLYYGVRQKNPHVQWSSKITHNPLGSWYQWQTKE -GTHIDPIVMATLLLRGSVNGSACIT ->AMK47917.1 RNA dependent RNA polymerase, partial [Mucorales RNA virus 1] -ENVFAEICSQLPNEMISVPGDKKMLHMQEYMNTSISAKSSDESLFFVNGDCTKWSAAETM -ECFLSLIKGLRGILPDFVVDYLAIICGLWGHKEITIPVSILKSTFFIDTDITEYLSNNSA -VIKSNQNFLQGMFNYMSSFKAVCCSNYTNLIWHKLYPNSTLHMDHLEHSDDYSLIIRCKD -LTELRKFRVLHRIMMKCHGFNDSNKKTNTQQFLMEFISLVSLNGHMTYPHIKKLKECGMN -LGCTGYRDDMDSALSRVGEAVRVGSTMCSAYFMQICHLANLTRSYSILPGMRNNLNRSME -ELFNTPVELFGIPDIHPILSFLCKGMANNYRLIKYAKSFQRITILDQTYTILEVYNKLFE -LELENSKLNNNFGDQDYMQGLRFFHPTYTFDVENNLIKKIRKKLPEPFETYLDFWNKHRS -YNFLKPKSRVVLT diff --git a/virbot/data/seq.zip b/virbot/data/seq.zip new file mode 100644 index 0000000000000000000000000000000000000000..63c4e4551f974b13ab2ff31d57635f8f2cdb8af9 GIT binary patch literal 9947655 zcmZ^pRa6{Nv$h9!5AL4e39bVHf@^SsTW|<&g9mqag1ZKn!QI{6-C=-%lkcpv&c!c% z(cL%uS>0>Z-u2d7r7Q;rj|%wDW$zcF`QI=9bHfFY0i4Vn*-dO*oSe;mIT={mncK6g ztDykkrq3MBVlN!cT-?zBFz^pB008`lf2)-Lzbu^pll33)|Dyhb`+uPRgGB!C&zd8v zTOr!T01z4w14#Y{-k zy`|@p;gX5_Nu_uEv$z1_puZsMtbdA|mNtoaccObZjafmWx(c{6XMgU>2Q>^wo40ao zO7zCdz|9cL8pf*{>Z`wRkOO*n9aYMPQYz(mzwctPgWT^g*>gVJ?!CC#`?^C;#uI># zgwiHFd((c6hrL}?OX0%9`dRM})oPQhf$By(%Sb~# zd(htcd7ECDi#b*i&u*5CZs@0=P9#CMwie++;w(y#Gw3>eK)sVT%E~6jk?r)1?QHp3 zjVB18Y)bRfWA#Eu?q~^1+wT z>inXbx5f8Xh9<$&Uu%=S$tRkG9^T-@@?hnvSg5{7j8CKuTyi%`%pw>7s0fnpE z>*x;)j+ccoqWu1)5gcn|OW|I}CoWYbshWIx!YUWe&(E<><{6$PX@`8#u>)%pw)R4{ zO5NEZr7i{viXHv+cF8WOy}`phWcg2piyu4=HYUuY8&^S3whwj_wx|6S6RCF59rQrG zOmDNQ(q&Hhm=Q#`P%^&RbB5$*T-$?b3R*i{&_F3TZC|s z+&@G1_+*OK1IB$_ZjH-y6N);o%x*&Z0u&XIH^G{)N58v>W1L;_jxY0e^Oh zCfm+RDGbX}yCR2vPTS)V8>ZiSkJ&ZZdu9@gN0nY=WiP_}7c0_j%;WMb#whhQXj+47 zdgOy57K&}GEtBpPFpIqhwKA?>YImeYxYaZ)YreS->uoN@G&FmV&F*%zkyVqm^@g$4 z=ddU%)D2n6_Guy6^BzQ6cHb`zHEgdh4+}1JaFAaZ1?Jc`5=29hLxzb@2i>K(I$kcM z93#?F9&&Y050QN^#wS|SG-{7~&nzEI(J6!>4|7m%J)&O0oZ1G26imyTZkQ$~2vL2_ z>7To9Ok=as^a08>-JuHY>H`NGadgMMVU2M#H{0VLgYT}jEq@UYmy)%#BCu`b3X^8f z3tjES_Z&0RT7qr)J<6Q>=N23AVk(@v7eFii79-|v>wY1xMj zbqNo+Si!X>%Biwl!;pMerJ_PTM^Ujra3iD~%lml>Y2)?j{xroN47!HCX!=4^If*iQ zKC|ij-;bQ}3(ka4Q`Pj>8jLR!aO`y8X2}z2wE>G)Z5u}0ixk2NuY*)lqD`^#4$ive z#ciA`l{Q3nh4|Wr>&O^_3U@#8@Fvp)FW(xmH5xr?ZQk``Q+Hf4Tu9gqrso75 zSnW*Hp!BjV)HYS(=82cs>gbyoUwTY*gNoCb&=OJZSyHbnA2jv`HRb-W!ITqMJuW%v zea?3`Z1v)8yx2(On04g(T0dE2U~)EqklnEbzgM*X(*wi(+0Lv1C1kkciZL{7I>C&i zFYKktmah&=tjL6j&%=SAwX@NEskR!9F{&|<=bMfXOF>#EM8rsROzuO^J$}!{0h&hL z_E%SKYbtYG4)|>z`H;F{Cwb3~#1zd|*NJ@CUa`$b+b;T8M8KpyvLtV*-Rk|dd?r|& zXDojA1pwEjhS1=cy5?25zo!$+KXb#RBdp)kk}Z?ab}4*=W)#geCyMH3MI$BtLE3yl5@1{SNZ-EiD7?) z$kmLR;Em-yJPmy(Gb%8ZteWTU%+7S{Nq1#>8zg!y>#$C~Z&ddF`lcUVqU9uw)vc$0 zNLBT%cEEasz6u^ED}~Bk9!?x2004M?48*%FBc_e_d$!++9dCg8ls5e!^oo6<7>_>N z7J#nzTx0@RD54?9XkGWktKF$f^omHM$W=iE-}k1qh%pl zZsK2DwrTd>9!O5=#ZaWPuV-tTY0d-_8+-KYlI??`ILGf;ys}Q|0OIr)4`*#*LNzq=RWKB zYhh6~!ywBnNBfS)F-q-0g6}5RVO7yCQfKMOBX2(^N}JcR%V8qyM-3fCVZ08^+0}#tB;&YBANnSLK+RELUP-NVp`*p z-5v>KyCMgwhf|9v-QH4wuIDw+j6B}Fbd%kn>cz)j?}TxZGV^4RQg-2AHf;KB9w<1w zE%_W+o@#=iOrtHuc$?gP9KU2X5s(jHoUd40}Fc}s?b`8$>oF4?paC=qO#fQ%;&`@VbkK2J(#NnnA@rd zjviNkmApYzS2)Zr>@9F5KjLvrT6{eck5*Pw@szD z*Nat6`;Cp$yFG(!(8DG{GrF$I2x6o>V(uDh^c75u@6E7gj*#!$utko~QxMSi@g~Eq zTIBWY`3%hZ4fs#(^{Jm#-y{V8dm6V29H ztSkC)hMHH&(ap8gNTOTKc@UhsyvB7QbUi3T$EZhgRes8r-5>jVxW8A8gT;>*wVFX6 z`=|yFSD2VyqK@1#Nx5YZ?c+t1MULl=lx5XClQvfry-W18rRBL>xHj-QwV!i#jPBeKYf)uXQPgiQkoNj|iZ$TJ!0D=MJi1reJj=gaqkH9oAgvz@_=x{; zQQ!0sfWc4g=VaSPQ`(``%~~y#p1Py;tS(lURO69VbDJ9ih^QO7RxCrn#$>qi@=uML zNz8E{SU5;duIE0N41a>#J6WOxpBJN&)eAw%BQm?hrRWR=sXFH+cT5rbYzxnKUMqgl zZ(Xn@>jm8gDAWad>=kB^NPWiG8%D&gVkW}{iHNbh`inrh_9|hkKL!5KVWjjwK7(?| z4bTWv55~3xgn%Z0CB^b`dLz_T7ue63WD|bsTuDc%^}qrEjyB_MxRR~{UYz*^we2_S zTc$rUOW43r4`^?P20;nNI;`KE8^4bEQLMk4`*b!h9?pUdD5*|6yKCHsJF@$7kShaW zqm@njl{1>_x9Kz1elSYik9Gk@lquHtk+>71t}JCV_G01Ett$?doZafcHFSo)Tkpds z2+GAynH;pI;^y>*1`-E8*nEF~SdVMt>5l(b3S_6Edy-#eD4#6@TzkX8sKh*y(p)Vr~aWap55b@V4SOjL{V2|Ciuym7s+zxVaJ^5#PV1%m#QPp0y>SJI79m3DmPU~2>h`-tm4F@a^E%d=gV=KhMm zue)?XY6Mg9qRDIpt*C8}>CUML?tCmwc3InYJ#sM|4byA5I>)i6(IUx|EyR{Ue`#@~ zsJ#CyGj~rtnB9*fq@r>0|BV$R*BQrW0Qtp@o>X^6*RP;4#XV!fQ?Yc>I$D8=gjWH; z9x=bLD}Tf8@u}BovP7UBQcb0S9eyH!x6Zwd-jWNODyB|QeuX|Z#+`2OI^h0XfE&Rq zTFiJQ>_8ACC@z%IEs<2U(khxJevSg{V_KCg2(W8&uIVo@U&4UF?UJI=BsrbfgNKk2 z2k;YIO_S^H53u}lI8?kdRe9>#GDpxQ-M0oTZT%UJ{6x%Gg|M3NYIdi!G|>aY^q3zI zYAfKTUl(PV@n$5Z-#|=&Is^dt9iZg}=S*meKyM)5`7d5B_1i(vy;U&uetq>4?DLYM zY4Cp1u;1TCSiSMOdP-@~2{8ad1`-b42lWA{ufVhw^j{d|M7yXNQQ6>bs6>hLYsVw$ zZ{hc|<@&>$-j{^yuJ_4=OM~{8ZG4rZ$^G^6%TRo!fgA@ViY+9HK#Qj05YW*UrUf+eQ_`uQAuQV4?Wl=>ydXIsP+r8PO zgX=+WyU_^U6VbKpdj}3VS zY2C2E^yNEojm8OAed~LkdJ(sKq!i)r0LM>QFia4sT`a#`>YoLD${q(kc1cR>Cyr10 zGmVsJIW1zZh%&5X@bN0r$2ut^U{Yi(8(GG4QvJK_evy|G$Za)+%>i(!x41p)LChQ7 zbx54<$9b>FoXYtDUirlCWvwOU@0ryOtgCNFiXsY;;4`>R4}TBzntV|{IrwixB4-;Y z0Fu!Cjj;5~EUjCr%)h@HW$6O;lK~~fhRn$vNpKXVMiwUcvP(d^hTOzV>Vlv#|F&%{G=0UOwT?s5nAwxZnv{G5 zk@>UFg1&#{vDeWL(r1=OsLa)*_^joEP+DOm?XWg)q5=E9Z&s=AH#_$)gi1qU?3=Im z>+IfN4hY!W(|8F~qyc(c`<;|XFtOmW(OUg@X6rc5< z?n%$`cvLP8zDunqrL9Zmaq;e%usvd7TYL#O3f!;}pJ% zob_Q3!WEc_po>H@g;R`D=vRfer>^#3Ggg}GOgL-l=x~{?(Hg%UAtiNmY`21I#G^2^ zu82ub>VvnQt5VA5$j`k%vr(MQp)JgK*OBR(!Q9#xwIE8_y;p7k&21~(u?XwRgNCYqT5FgdkcZ@t{NUD?E9c(aY|lyZ0*VprkC66;dNJ~ti$ug6#6i~X#Z|Uem*yH-i4xPD;h(qI|>v|ZsT_K zGCa6ipW~p>2#tKZ@&fvhCA>X(MZ%b56EVTi1mwD%)g$S?h;zih`38YZ=C>2ut_gonL)wRnEgh0(YLTX!1Zipi3MpKi_^krnIC$4_LX z<%%6xCe~ zUXcYCT}T;W)uNMc8rmWGgFOQAdrg0RYEKAEKVtfbH73E0XV}Y(M;bqVO0Vd^+WzmL z+zNlCLATWK&P8lq=GPX%CFTEmI-$~ZcG_S?e5waEKy4~8?A zM)49Tr2>n6Q_$Y7NO_H_O65Mqlf}aM)k}4s%G)fRRplj`Y%X~* zR*7p959%dsc72vHgIk6nHxA=zs$FPzd$86N_-Gf*jB`@2SB3FyF0@xmhj9=G%Ynf7 zOPXu_w4mhj*nqb*5}`x7`{V|>o!%J(DP~p{?B8Q_Vo-MOQvx7yF$m;;{ljl(MOI#YD!!k>ind>AoEy+qH??&lZW*+!ft>2m?2xmA=4T|IN0cd2;< zBI0WSD%1Ar)30JgfrMIJx8|*v1-iY69$~bRx6_@zH+*%k+eLv3SPO9S+L_F*_SUMO zvHj=$y+wg9o!fpoIum_}=qPy>81r z$YWC@D~%cme0xNCx>t^8Jnptk;C!SH*RSbf^6-GM37DQ?wcmnah$gpb2E6y5z&+&l zV-BeNR@@_(_zqTaHPTzq6O3>TDz~dlZ4B zmQ$LF0{z?g%$l7~3CYT*auE-{U?3THBln~Pf5hz#z2BIOC7>eTZV*%U^+}xUeEwSc zw4dSY3wb_&WcOWPx!upG2Kzt`G8mz4jQ>Q`B1GZM%B6+r0pQFcNphu3w=tEswnXs|%ixq6dC_DDw zn2wWVe(o;ct6Xf2jlHSy%q@xy1U?GW9jDaQpM&a;TO*zdJ8bO?A3fzsy8@T6&=&%B z$5|*Cf>f58Jp&v9RVT(Z;&aPMBY0DMLad(vcl|lqjy-KyrXd|BD;;Tu!gf7@rcOHA zl(}SQgD>c9YN~$TW%mMIT!IF9vKR>qctqWJVi$s9$R8w1uB_?jFMG=)Em>^BzxFTP zO~MWE%cQVuU`OnP9kgy6cnKk)SO!O(tbJcB@b4-NWLdp$Im^HGY*8gORhEoN?*Fd7 zM4x&#OTx0Z`z81Z{U|%4_V$jml;-%42h+%V%EA64WvwE$;V&k07gLIg?NBPz>V#7f z!2*kH{uO+49l(auJZ?=eB;1vH))cTU`Bv3XpE!Z^20&DeTxFj;aK#n?V6NonWqJg; zVE)Tn7n*9&(Z~Zy%@R4>{)*9Zy3+J!ylcKOM;dp;v`DzITEz7rMK?w2iKnT_29fAV*caA}&wpnV%+hS(kR8VCD%} z$u8N+bV~2-6a(nrn;f54R{S?NavXyfl!k-xya|Tkz_aQc{77WCnl0khAu;Z%{Fd8k zLLbO>gb4I0L%Y0fvw6SnVm<4$T|*i8*D2X2K_wzV1D{tE(z9nk`L);fWJ4Mu!QGA&iuLzmfPx#d0*;KS zPwL<*eo@v!a|&pFwbx78w$o7+iK7yFG) z$m|Q{2K4D33nv8X_4Fa8oJCx_N@WhZKv<`#rnVc%x&@pVN%tcY3fOVzTt(1t%9}uDYM2vi!&SG zbe3^Jh!TuS9Tr)E=2o966uBCXiK}>YuC4oXHT=-_lxtcuS9LN8139DjWrYN0Qz4+6T&#h+x^iE+1Kef4OZ-R;=gh*h>!X;ty?#r^0nLi2 zURe|rI4K?g0JbG zC0C$v`yRbnElOoRUxkr)rv`wyepz2h)!zYuyDN+p9g`JU-qWK1u39|S`@B(l{%xpS zb!bzZ4bhfD97kUr0G&^Hp?w%FkBL1CTw65xohixTDg*V)uvp*1RsM6cXk$}C8H0Wq zf#rP~fh382SZ=T134M`@!%8Kwcmc?M;~pe3$5P(ou70R!wV4uKgG~ zjd~HtG=F{627bL-H+cWPnYDeYQL#-&?J2jxf*-hl9*Ruy<=e{KJ`g*Xk0THZzlLko z>hPC+3KL-{AwWOt^F(&T4=?vS319nGN)MU{v@->%;XND&;ZUg|%5WeOM5CJuGj8zM z_S!JC)Kg;ls&lFHb`}9n^AC@mnPbuYbp2}wx$mB~ofKAM338(HY}rZnE01hDOAYv( zRE%e=u1*vbyklVaS4R!{*E!E-5o(O24Rc26aZ5M}%IOE~sXU_~Q5gd|5g3=K9HM7} z7IE?ORE^N!8v;v!wH+6Oo|Azba1z2$bS z2)E^ELXQcRz^|_{F`u70@6Y!tJ74{5qc!dIJD_hNDVHFi%khiYC(FOn3D`=jke!5c zD&zeTc7ND;!X?!e_+O$Jq!q@ixe?a%Y?u36e+E4$Y&Tf5Hf=~|h{0gbJaEm*- ztUhiXw_rI;*#gY38=q{xz5NpTPF2>1D!s6)wKy-~`VbUk;6NuKar7E4H8nem=W>seRvoStQ)-@P_X7 zpZ*h3*QBuZy|aFkCtf41Q`+~11!{&!j!ae!eo&KjOe{I_Yt*vNtVM#9@`uwUn(6S& z=)qUDKsZ(Jhk2#`e!~|^P#HIuX|Z7yj-T6-s8ukFn*_^1Y}^T zr-rnd|J3OYH0HsLK#fIJS;w8GLUo0qYb3X+TBt90_Hf1WCF>G|b53BKy zZ}{6P*R6EAr8zu2afI0>ndL+l_2{_5L^L0{H`y6G@l~-P(R4Xf+4}&3ye<~<3x@ZX zlTFL@8xt*wZGEjqeMbIV<5gDyPYr5qQOQB(RPQ@R79EoP3d$g|9{2k;o6kI@<8uN$ z$uHWVB0pD1x%fC1=qLp)Yx3W|Ij*04|EU@Cc9x-(^Sa#e{=AxUsqgde6rP!HV(;`Q z-E7V<&S1wj#232uOT$Ko!9QTLwr(gRHvtz-qz$LCa1T|6Tc5w|j77SyP-)%D0Rzjb z|2doGlNX1O_ukUmqVI=qTXT?!xg4K|N57L*O?pY7wT%nfg87uFxAkiaR0lZa zn8BP~R$#-wr9FW&0vun6Lsn99Zny*DHhmwK6M*(W(BqEdgYUx`vs7#ygUyJM;h@hx z{-Ur(p~+>;FUamrX zBqIGbS^oU&G7iS2IF#;e=tV;F(|_vvBkNO#IJwxplz$_(8+t&qtG7YgfZ8ycc^wqD zdvbtF%yifyIz5S&FYJ6O_0&?HMWtFGO=xpdw&P?fO8yB>XZdE7o`;A{m*9={KH|>Z z%*=5lT70_cOPStr+w@UKyu% ze#?PPrF5H~OUCgzck1`s{tZS05M=mJ;NW$NT_hqkt5%{{c;}m${w~UjZ zgVA8uZQ}(ewb+e2SAe*Z$nxQ$Y)Z7pTa#4GQ9`i3K;f^pqk8uTE&~+PJxZP*k~xat z9UF(Ln@g=}y|Su6UflUFt>LfRU)W5D;FCclB5U29xe9Wvi-` zXv4QNf2_l-c&-0+*rq;tQhzlZ6cg6Szx&Ny67nrnCziD~+2<1u?U0a}s$-+h+ZL18 z?@rusJe$=|y=iEo7skUF=G+x4G7B|qmf`M|dX@1CKibPXMMC4m&b>cQY@XxaQd#v5 zx!hD{x2>b+94D&!GW?PLpixS$xUeHK-h&Qu8=pMq`EC}wC%_&`#-d8-_= z;g2x+1q%7u`+g<*h1|gVZ64ft>6ZL1OxpZAG{35xJQ99M#Raqc7yf*^JU6w>W9}A8 zL12>eI{Qo_<98Mv9;IYD-rwp_gbdnB>|d`d?5+;10{0;zq^kSM-{05$x$g1g>VxJ- zZ^d1jxAvWBE3WG6wvl|=F83>=Ap?k?zQ;_fnG_g7Q`q|QJA1d;k0dsf=EKE5X>W*x zF-pi);J!J=$9XB6$TeY}{yH?4@!ZokQM8#6(isFw1OO{{9cjBab){&et7ZSqGOXj2 z{6XxN*)dWRx6*bwRc~sILZfnnoWA+yZSAM%y`Rgt>)TFR(b2e`0G(+8>(|OW^^h+V z!l#VBn&~%QlP;l;2u_a!XZ?S(e^}Ot&U+l8#^HZoHUDUFY{i3V$`l;L8YBtu0()h* zUBOgKVoQtBFF9!*!*H-?1$9$Bl4~(yPJAWBhIep3>KiNT-neaPwc3x7;?YFK{1(L@ zn_9&zJw!>ALaw%nH)TUzTSCBSrhNX_0hWX8y+Ou99J>bXOWFA+o3er)$V5BNNE?C( zv2p;*RDzhVcef0ZH;$MWrAwjk(mF3oF)FpY0Gu+B{KiU_+y-GoiIUx2DNJd^t)z`aeO(i$#oyI+u_T38V zh6~oP(9C@RSSD7IHuQK2xjLa4^eJNL(Pwo9^Jpz^9JpT4+I!V3f-0x|0=m9uq_p=a zhmtu2_VD6~o(6FT=O&-Wt2vC!lu7b0s6Xa0VNoi)>nsX2u_= zq}v3F(+~AcT@sC%SDHShaKxWRyw;bEgkMZ!pOGJMv+g)sPpFruc}9MZXvDCcUPL_B zq^iyTVfO&w53TnrW~^AODY_>0iGG$)Hng|2m@6c2TX@x~xoTTZVgJlif%GaR3@f!h z96bBs>=0Q(S3NnnwXV#{+-3R6h2 z^E)Hv@t4QyjZTjn`%94beQ$#OMu#`#VA#v}iXDSWibcRr?RPQGD8 zbK722c1k3Zz3ORz;GcBZZb<6sAC=(`d8cJMMcN*oKQLDv3q+JjQwX1} z!(H7$e7B6ew0Jd~Tw8SNB`V6B-HDTJFqMN=COG8FIj4PKuQg?GDzD7jD%w1eY1+tl zrn;@>^msC1rcgTelqx++tgQMi0xSm8?X}4jY+1%}>cdy0C<42yMdD{WpY{wkf#BM1 z_xru|a^B4&^|wCePU!Redg0Se8zFeXpBza|1&aCdJMD?gSU-jh2kz{ljv%wS>}bw> zo=mC%G2AsU?ci(bgzYZj(s*b}&D3)ebK$7qJl+A!%(zw0m6VbrT-E|@fc#D8()!77 z0SWwyXK8urn9|9W^VNpl5hoGptidE6?2{E;Z^K_Xz_!yikO33pKSK_v|B4a)`n+$j zA;LG}I1XvYYh|q-m5e<8YLZUbi;=h0S@b>QlEM*73CKQmb1>N5+Y93?4H| z$YL}%Hxiv~QT)v2cBn94=>nUB36fxv zn27xpFOeQ;`g6^AjzLjGHNW`#5w~oZ1dzuVX;y#B0gYw;pX*;R^nE8eo@fS^rWJE_ zZW!5cDdyYW8fz>e-$+yKX&B{(#Y$C;rX&__M_hn*#wZuu6_r>9%8~HX&6m{$q-_-* z(Dj2=Ijqs0Fl?u5|Hna3Km6pEUoDF3411>RR0zr{wmW?kSxH?KVSa?bDW=9nHDo+R zOImFXR6qj8O68{}#l+?6Z#6zOP$l5YYp>+lYIuyTUahrm7yRVMGmB}VKg)UxE-0L=sizO=LJlnW_MCaXBsPg zx2)URR;^x?I@&Zh{(yd8S?NWgs4LWHa^_Lz66dakW1Glw?i9V^J+fzvPy&r~N}6;9 zs#nirM!Wjf0uB}Jm8}T%83Z*3QY>ke`Y6h@aaO97iXZBtBuqK{h4Y7B+k?F+Ds~wS zydfc{sb25OB@`PS?zf96U=~JQ-~MrmerAa{wXU!r3s(Zrq?b}JC2~kQZcTX^4dX&R z8|(T&;Hqp%jM((9^Gsr}vGjuJsPQSX z!9^726yfQJscAzq*Ffg>M4Q*8c~LBNnRD6+UQ2|HU{QgH4(Bm4TJ|Y4cZP+Vx}5zR zwBZ|TVPhX0W3S*~9ytNRKpJJmdND9b5PCe*@Qwu}hkrF-G6(bl;Fi--+xu`@Puhn^ zKRvjfoq()}RdrTfu7Qp})d^#0MZ1g~Db^GQgPxb7GsalO@P!(EiCdbfaa~exKh|hA?f32Za;T3$*EHXy~ z?03uyI^p={b`TV$uUm@2fJc8;cOeBVVj2nK?RwF1J@n@8b`9&QXtTj9sH1c^3Eo1L z*gJ&L5mHybxXK9JZPJ@D5Xk#*z7g}}KzxB1_X(1Iy|W&(+3{=u6ro0Vdo*xzsWL)h z@2W)wq$J`y%9JM@lp2Pt5^oN#C@u#0$7Wr7kg{9PotEs{Qx_bEZY;XSHU=UDl@>WG zt&SCC2XjouNGHh2vMX3ri@EjkQp3S~^V$*qRu*vf2p?&r>Nk#R`TawObGWMcw4@Hl z_Q)uHz{E0^<)t3X5bpWp?fk(?yK17u=2K-f?z%TJb z^PEdv64{_kVjWHqO>p;oYUB7q{oGi)M0L?^M!Nej^4%DIQ70=Yh+;6o`y-~Lm1Y2NgDL63&ym1umiPJG$lbp8lCXW_v5sa?vixd6< z394fe+#*JYQn}O87n8N`kw5;{-Ae6il9&Bmx8T5v)Q5b-$!?pRKmi9f^BA|~tbt=R z2OR7~(RmeQxHB_k%5u=<*Qywr@3+0`&4L z2c@lBo;W9U@bHtpSTbK84uqYD-DvF&*^fDRR#VE*kQ^d2lG>%cQ&Q8nzzVzVYV@U> z*?b5u7$XbIqW0>AmL}J+cNby!MdW!JbaK9Z*6PB{uiZB7$_j&xv&*)opO1sjcQ7CMd(_bK!cpjWm|LnES0(p9nrdfSV=VB5eJ%NB z17xb1DIn{JDmZDBY>tcmRN*8vNuXXDx!LXERvA-<1Ai`9RsQ=nP(h|?gyNfN(w3Ma zKsZc_XU&F9wNOj90~xc14fmvx(xVrI?`fl4OZGY$U+h=ISd+{iE0LPco1E=@UgGIQ zFXK`q>&PR^L2aS*(GX_{qzE(~Sf5N37+|AmjFpVn`QawQee<_bp(Nanui(eQ{vpu} z>Ih72;;1zFz^$1KDzRmKYS&-Qs-;DOZU(vtby(h4!Iq)2eS@9KtOERb+F{saJm6Xi zESI@>re}>@Y`8&3JX>N<^MEHL{tzAM0EZVvVOu9P@?=7m4Tq z2j_s=d(!-6w9*zmHl#DfyHAt|8Ky*xt&0Nln6Q~scFj4vuOp-IQos@8Me7@FUO>GJ zJ?!;odGfL1PzWQo0E;=hr5{L?^I8P5|2_Y^y03vWp`SGcF@Z*56@03?xZ={JPX%J& zqz`y0aSU}3M3i+EC{T;&^0L&Xu1nawLvBvm(lRoWl zG-irN+ubnfHH$eLr&XSMYtjC|<$9Fv>r+EPX7Zsu5cnQAx36~08`P#VxTWzubB`m` zTWpy-t5grfKou7+QWgK=W3zH2AmnfSd2sh08Y?aGp1+SFvHgc)B-E!r{Bb@GQZ-I_ zN^$9_8URc5Fh=NwDju25IGh1ap5N8#LZAQzoA?*HRH5ep4z(FOnC7t&t&4UhT}yBD zt;7>5b#w8*Hgsg&YmEQ$B#-BbJ)Ro9CFDBkO>V2zr>$Q*HO{kBX3t!YxChbJ4v)6KUArU#WShC&x46@@h*0lh0LQAsu zJh$uxWQJ(ao3qx-FK&`SGC2qrgzTDKS$U?-5v!WGr8(Gnu6A&H3u*{53-B40Atv|f z2J(FLPjgjS*ipLuZqTxqN!n}N(U{SG6B7ZBo1mK7Abu3fC#t5d{P5c2nYOG}_!hOKLD zVd=6HI(4fp#>t>p1EZ0Sks$9TTacjWtUij%a;Y<8b-{Bk)BHuXULN*PKo<5UEs7O@ zRmUEbfW-bfoI=U}&u%!LeVK?%Z2`Z&g*0t;_}uP56aMu~ysp#fF$umaOWFRQ6F;sah$I-;@FztSsQf7V!%+&L8Yqd@=VjY^ z)WC?7>tDIhEVlogBr|TF7H{w3@Zb%TDqUl>6@jaY`fvs(VY+5jr?aNpOBTrWQV@*1 ztcSiWXO*3#fNdkRVm4PV+=D8dNZ`KSaeg@8UEj3ko8~6-A=kp+V=1zixlG%OK9!UC z;iqrs>-qK}u#Iqrel0?=-~ndl*P@T%kqlmqM!cRhX7Y%6YLon3+W9O9G*hXa<^y13N2+5Jodh&xKx*ErX}<4O0T zwz^0q%%V7D-zss>x7XRp3)ROqGK z6Ais1z3YNA(?tr9w*M|w;boN7)L8T`kW#hUkCgo0f2ah64h=tlPa4_4m8pJzcstc( zf4k7ej8D94LuuEfAEXnEgwrOlRKrMSCmF9eqISc}52_kaQ(&zTS2*rMWwQA$G~>AG%vL(lzsZ;YxqxsKc7w8#28FIz1>DsgF%ot z=&GBqm$L8cL&(1;^!dCT-02;&-Tw5vs#%@g?s30}ltf=^k1Msc~qs5b^3OS4o zcID)6=LTvNko%_U%`(-S)6KJDx>=N{RkrRfP>~j0v-lfq`mvS4!q*VHr4cEMK z?xMh_6p5nqK;)__Rpx^#h>kyT_NtI7jLD{$v-%Dc z@}NQtfL`(fapOUSsk7hO=P)JTFa-f|qvNVel}wCygf3eL9O-u((h_CcdqzgD1-Ym< zhyv%PxGn)b3DIIYcfzGEdN*}Kf0BBX91VMgJV#SWmz(&{#cX$ZesM?Wqk(UKUiu1D z`8=e&pLc}#07BCl{chAX{%G)Sy#Tld?Gm99>FO&aQEJnP#h&oj$Ij|M=mF^3MWZ(1 zGwQOVpEC-|ug>=S!X`DT0KO?65Vdb8$Cgql|6h0hXUai+?^z&jM%VXZ~EPezZ}Q zLqi*$3;0(}7s1ShXXC8M;|&s;$rrQT@g{Kq+UMslmZ*$}7(ThXAW6xfNh^yY)EV=L zBt+4#4{Hub3c@SrWe6{#6C-6@n(iUI@j0i#7&QfgUOVO2b zA%CXQ*2@ZiA!S^_DzwZ^DEe}TytdfV-M~&lh9E3JudVhn=Mfr>cRrBdN$UOS`VOV1 zXo6;}_9t7cqUHw&cVATc>C5 z`e&ndFZ^;WtU402!sYvv3f1GpahYGe7vs+d?r*EBYWD`pf47n8o z2TPMx;zB(#%U5GG^i3jvmVQ&6sku>xHb_=7w-27&_^DuRpzvgeZjKhBQ>ZJlE9B%p zD^q#?P2Y!k;Il;?Q4K=VvX?zb@2gk*p?OOf^QVo{kjHn(NvZ_IofEqRP8S?V-~2?V?Slm8d1tY?@UQ|rSZh(kKiO;gXf*g;z6LPfxdsx2A-h{1qebQE^IySp= z;4xiUh8 zwj9Esx>nQ3C&I9I6g@~_38-BDTd{~EeztZu_1Y8NC*uHtr22m*xa0ET)cFSD%Qh(u+L7h!)ReukQR(H!9M4>@M zm)k8|NnMVSYI`}m41fYxwC5v^hxFjIPxPM2ki`WE%WYt(L#`4p9&nPnG5)BDA*oVr zTjy{qk>BAgITC!$Fx9wbKpVah_FVWrOtj?1qjy`~fES_O)hb;!G2ps5Ck}{-b>oO* zqew4h3r)i*F_%3fESlyH@}IjL5P8jOqkW^{CqiL9Y)zq2S^N3=C%$oJ)PMLx>0EWk zTyGhI7Kz3@i!~1&48|;H%8AZm!HvTZE-`ay?Z5(Fidvl^VUcVX7FFKJ8giyrb^F(O zqnOP)wtL<0M8(Dn z9B+2MY_B#^PN;)Ejq7pqn@8}hI1=QCv069M8N+B)Z@?4(7XX4leZOXCIqQH^h2icP zxE=nw01~#~_toEQR@Z%_K;ogpeoED6*Ey-Q8%2A^qeuSyA)m_q{r}FRUp4O%5cPeN zKKG?!M^%rhut%DaZq?YR{W4`E>yf=Q{c5TruV|8#iY$8A2)j{ocP^@49$*4&%8kV9 zH|aR9v)N4pNRn&hL^b9vzCXg!jpUyB(1<=xAFfA#g- z@4kNlZ~X4b4=+Kf-~H|Dw-23WBelePUd*_!yLFkDGm*GzBH4Nmb0|e+ml$k-Zxo55 z*|{%b#TeCqw)6OWLbI*aOBJc6;uxm}4CpMIAOkxz(>h(J&D`3)0PRCBx?-PBN4ia& zS6!tWz%jmq<=bmDfRU@4YE)85crtbkLv`v6&H|bxaPK;F&=9&|qPpK?Ss2b{rJoL@ z3fmOc2M?T9F-N*X#)edMUJT_W#A+~Om$FqaiLX~3dI*ndH*Q&m?_U1!?cZJt=)eEB zpM?4UV~bsy3ORW18q`ULYv&mxH`2uorKiwtsU|&{QwdX(@Ro?&THA zvejXe&Zl+B)HlHcO~-7~Xi`^>a*HYtn?UX)+onzaKw;JqpjxPN{R-o2%EY-(LvlfdKx722)^J_C@b47LT z8ee+pir?zipLHbmr=}jIZWq2*8D|_vk>0W7$AH?F2MeUN*aepC$xn7X%Z zYcmi@IFEASj4QF!xRuCnFO}?@sKY{xbL&$Lyd#uwsKOi?VYhusM|f9qoO)0jc8v;= zW)6H>4el=Gv6C(Ek3$_Ttp4d#I7;X(SZ6Jhw0~;u2xpJJDKu3=v|6=1O|d_`w1#sb!g{QHmi~ww>E+ttKC&B)<~mdoY3srG!%@5oqfea z&AMp-D7F|>71^wy*a=7kib>04&Q)^()s3q{@39gWb*V-=TY)HGGf-;WDnWCHv5@H1 z2McUd@p?ar(?lE=#@(||)a_8c*SD7mSJ6ct4oR9-72KoXdiN`SY{kB~PZIx`6|0+3 zfr@Mz&kL(ah32U2(uQI09#uzu_L1|;pTGB?-KmhU8C}q=urq<(UAH~+aQ*`Jvj_xv z&Aua8>_)S%oKNl^A-Mn{VFW5nkTv1w{G6^JX1|XyEC#Nck2M*UWg7gU+p1xEp~OW# zK6SjHX{BPP9PCTe00 zMaBi`?6=T^j0|!I%rOvanCL`?L!(vPD&p`lNkGb z(|QYVs~shWE@hxy>@#TQ4(J=WSyad&r8rAa*A3(pKjIHntx{hkQlhz?C#X$x4#{@} zw7Cw&ml~%o+O0IyZe>7~IDHtiI1iAgWD403t6RumH85go@R^F$Lh_pxvDT3fUm8}y zQFjj90a90vrM9zPUcj*ti?g6CZ!k?+)m6!p?`5v)FI2gVx5s)F%`XdJY=8{N*s5xA zDK!c`iNZEj@~D3sPI))o1XTwf!4fr~bjhe$x=%GHVQ$rV@`qFimGfFz ze9*~?h96Dc2N_;k9d%ea=9*gJA@>iH#dJbr?`4$iBc!h`O~ddcWZJ_oZXR zDibiLS5BT_0I4PMON0R5Y@X_50+af(MPUrh<({X_(2u2L-N0U})m)8L)>nY9n)<97 z0!_t!_`)B%S=9?a|L0%0OHpc8V56SK!lL=w;-sd^=BrFg%Kox+{unM85F(}KqXDhjj#f+oNi{is+^mOFLhHZg2f-{4*a!1$(%lL*YpMDuwD0!vD6l< z$#Xv&p^c~_mEAJI{J2-V8y{Kfk*n%>q#v$RDqnSH3Fy>4y_ssCEk^`vifwv3T%@~&oECSmkRN)Rcui&5OkTO&Jrwkv0-!Dwly880f)() zQ-?4oyWAet+JACOYTgyM>Bl#JWsiQ*1nd%M!wh^E>(t2PeM}Hl4w}DbuPQmWb&%&{ z{ZMO5q=BCSucRy&lT1-KMg6LEU!7*?>UJWlI0nzm0GZL0Sz3)OsX^9JJ;1xBxXi*glyofX4!huI+C45oqm4*m znwP8bB~Vz16aS2wK$cwCmt9u}D)*2!%_b@Trf2fe>P$j)Hn*Covr#=&YG^f@SVtC} zbIHyF-)0AqPTfT&!t>OOOBGLn*jOw=Scy8I3|7OR+^k!P878yzEFT6ZRzq+~M^Ohz z7_4dB^p%=yE0mj|hwA_ol{(2+Hf~4Ii&UOr_f7|zF1Xx0 zwzyveL`92jUtDVAF30uzU5=|(oOP1xwxgU9Z`0(byBfEv8h15^4_c$NSHI}MJUJC< zd6l^Fyk2MfyTJG5d6ttETP=B^G*yqd>FzFUh+ZBob20gd)FS7R?+QcTuF1oh;>91#^7ESv{MtXB9YV(3?BOM6s`drPW*sAl|)^Wgv*IZ3_-c$i*QDCO^& zbvqyxCo4yt*VIf2$tQxJOO#e-%YDf#0^De1?AKmhih0L6RQ?xfKeE9c z#w{_g34&Qy*@Tf4vYQz|gEw1^HYmbb4o})UUvn)muGKmM_r^n>Q9{5v5YU(&Y1BxS zH^fsiyqDx4{k3nrnc9$?&QDdPS~So49?IdtIh7RHl5!kQ56I29f7V#i39U$--#)Ca zHWxw{KT%wi)VaMYB1dS!17K>=A)va*V0KN+K_8e}zlP+P6CBnm3rc}XXE;RnA?w#9 zYY+;7avuF2r>NO@tR4%-erlfO)$=m01U7E1=x!97wZh>bL>PyJ_f=aU4ajX&D^xtx z!Qe0j)vf9hP-iRUm=e&j8fxjLhGs{sWoN_p`pDQm{iPi8H^x?`Mup$9Hbb*YrIt&E zj5?W5Vwmx+gH*??(V1M0DM+&lYDethMMb8?SZsMp-+m~js(z}C#WvMZ`)35G6b#O1tQDc&bwmGM6PNQ4=ZcUuI+a<{qCd{G6SgsSV3rt zKy|p%I5KfZQq+L5ny$(Y={K#8@_@DK?JllLG$(oj8hg{Ws*{p^A@6k^4-ua_TN$sU z9;BpBY0_TTFoWSVpK#o5Q!$v0Wy(p606gQA2mdERr+M2NV?~qM~%xekR3>6t|eOE?lO;f>i|G&%4LR!;z_kO zZ;92<_nc572to1KRra6}@?9fj^Li08oCcd8gkfm_)eM=o@E`9l{=WH`BAljP;F^;S zV+HV>v2ChHx;D~ZKQ8h_#NWq*j^pQFef8zfmAt<(UX~S~U*&QpKw}A|`-dbey#uy(m%f_p58`OE)GWXPv(^l9*+ud;5|@#>v^x$> z`q1?3s(DCJRI2Y+53Ne?i|hqTAhfF&$XcVG-&WMS4~&~C4N3SX0E9H@bfUc9eUYT0 zyAH_(fl}5^Bb3@*MsK5L@7*0vjeQ_P-`a1wQC2Y%c-ea0VS!UGP0gxaCH&wJ@AuEX)W!O1*x_H_e)sad*yT@`eddn4#MVP6CPdA5Q{Q z>^!JNbyT~}c^)1|oVvUyn`v@-g5a%(^>BTj-|O?m$JU1|5+H;c6U#?732zZ7dRo1^ zfOIPW7ho+8MI_V3GFTfw^DAi=+afP%_Ru{-&X|Oh^J-YN||$(0d$Cf2EXjdY3?}$IbMFQ z?LMWuhDP04ckAi817H{dsz;D$%l`06jFr*x;r9aDf4nldX0)p&xAS&t(Wz=WbDC4^ z^@-l!1A6xBp6G{NYi_rcznrG!ZJC^@YXUD5Pi~%%9^k{E(a%1*Gt%df_BY&Q5^N<0 z0D@Lwz+E%(@Z&!Dm`=oJ61C^6-1o1(|MqXMf7OQkOkrKDPK^QcZki@l^9JC%ixfqK z@Q|e4U!*Qc8WBSuB#SS;__=22e?FXh`|aP}zj*!X{gZb;ej_>m$CZ}S^)OQBB`}JR zs5hfa_}9*rR2nul46$zZDA20SuIgz3`srqO(aa8K?`{inVGCJhjf&CyQ&2_?o~od8 zn*OXV*N4yg{O36u|L73`5`b0@7gmje4snRU)kEQmA=m`~&Z=tx{+EacxTied-J-=y z+E$$p_W>{Rw`Q6#P?+^97Gw&dz#d?cnq#|K-VS5gk{Nv97GS}>URn2UZtIp6U#*0( zHEm&U4-;)a)rmg5@#IlHd3aS0^8Hnw;fHrG{>NWlzI*q# zdx-kGZ(sc7uMe*siVj*-&C&tc?gU(%tzFjNY0<4}D-Bg6GBw69>_lV@MT5v)l9*ak zf=Ca_O;gov-Wb+}5>#|#C8bF2&;%dJFKb{Z%wX4H?|$y8 z0W?s~A;Gxw(ZW3Y;^C7V|MY`M{4nbVN{Sf3nyNbrjbQEel#>|3i2+Brc+2Q^76>xa zr9rf4UO<#IUI1f+aq5m3u>7(a?U0~MGr?(ObgF7um>NW`Vjf_hW<;0m(gCB6pdgGD>}Q?9MWsY7y`F%- zj#Pp1b9DloekUH1saLwR5) z0353h=zY^THf^i%%P5ZaL5dG z>nh=vE%bZ*Z!=Olg))1cJgCOLJB>ilU?Sas2s;FAVriwl)eL)U!?o!_f~Q2jrKrzKc->|l{WNzwCKO~I@lJ<}Z@xWzh^LQS_VwF0 z-~8#XuipRg;?37Df2VG*ydc26dG+GScduUmmEZs2hu6RMUkwwZ4wiD(5SrRBUTjx2 z6YkdfRauo7pbpq2a)1T1d9A8%J`n+`F)EkONo{bDyG^(1nzE%)BMs=-wq}c*r^_Ds z26eGw1-lxTPF?yJot$WZlJ4rkR#k&dYFn|_2vy#?J6mcI7O0{Q9W;pH43%^7ppwSC zl{kx<2#xMaHRMM9V_ViSF}Q103CzY%Uk_IC(_6q)v7hDm=H;80PyX`y?brYPmvz%@ zp*OGo`s$bD|6etsixHG-~I6Wvp>!2_dmRS^UKD80SnM7_w2Hcx}{<(@Z%+% zzDEcR6ovI8dp_*)_%H=gDgE_}AO80B+rMfu#_!mLF>@Td2SyiZcph>n$w966kQ22L z6J(~@fOuw;B;9^V`!E567ofh=&=y6@{U|P|UTEB5SJNPsPK~mRni*s>%d4^JV;;)H8neJM1AQGI-q>x=p5sxW zfiYU#_PW7Lk_Ka24e-hEs;bd@>`SbEAOulqk+cMnUADgpydnD!p;-P~&Y$Yn-Y1I#_tLwZKpYr0d16Mk9i(T^Y zd5u`t4V~5G_k3vj+W~XB!wXsA#1m2>{6%vu{%DdN8^I^|2%v7aqw)c&?AZ(9yM!&l z`dih(hpE`4l`!_MVh1PTjK}Z(>CY`q|J-}qsgn~o)G41d_i#5Lmx(b(T_p0n=Ot>Y z5sASiiK1tT=7wpP-+c4a`YOg_5YD=H24w^}PCeu$nsA>f_Kf z4bap>(YG(3J_40L2aWQnou+DZee&YF?_a)t`zv9}MeRxuLLEt7UGvta=0jAq zzm8n)dtV8{RY0$~cw+~{3MzkF=8+{!cj3ZniK$YRlv1Ha)k#WcUi;Q0RD0B^*}w_2 zwTDK3>}i2IsZ5*HkC)x!hkBQO;%>5+h?iG^;eH7E*LWEyakc zojY&|bq}j8IBJAV!y?=WhV0qYL7tR&@t2t~lB^c>Yp8f%dN6Hx-3?T}^5JTJ^2P72 z=CjW}wwmfvmCljcUtyiBgC;*T9mWhz!F8Z8A6FZavWE%>Re*U&{&LZo@iho%hf5l~ z5mi|Upxj`t{fzcX8Z$D$B4*^PTbhCL)@a5K;gx|q}Wcba1a^uQE9%{^A zHFfA7iYT=e&EM1r!2xwn6RXuw)1e_MI>pA+cUFGf0=~2DAZb+?>VzowzRrn-ntla% zsjd(dYxouu%{<^RF^-*32SSZ(i8`U3_>Qzl zgs}5ykCyi7?=S7AA6wevF1gf%Rv$JgYz1?kUe)TLkP|r7td#5zmgXKaFmJ_W{%@TU z4D#vds!k64#?902^G;p^z_;7!cC)faGf1;6m0ESk=cR41tj131;O8b8-7~A;?TD(^ z?vjpKiSMlRCFNV!uWP0?XrDSZ+ZWCL_HAT$tz>z31z^KilV&SjS4zi7tHc_wbYTc8 z65F@2j=0&ZS+FyL-?t#mV1Q)qnotQP5bN^~hd#gK*3Uoc z*4b;oysS$@zi*+FDOqcyX*=p@$i+bu-MQ-tb23xPj(uNunc#3I6w2Q7e`J1DLu947 z8{x-5La?rS0@XR=y3WW|YU>DWi`_Nw%-SoE*Ah%8;?S1d(8ju2NjD~yHn1zM`Xh`@ zay#tTeROxav>nn|tWi=3;1w3?N^6q7htY&4%~^l6IA8wm;ynH0V~azK+YVWGw-+h? z0t{)&M#%V18Ti1Zvz|+G$C#9k^|yT4OTCwiRIk*)tCK#vnL!e$M;hR&b~)9~9a$sc zFI{*gU|VvV)9eCpq`d|Cn+CwCkXbbuj}%bv8DmfW{4cLxeEr{Fzx?K#mw)}BuJTa$ z^iw&|xUcDT`zGyH1K&)>v0N;5_E?tY%a3bTyKXj~V9#)Cps~!$Ja;*9+*N!r2mmU7 za(KeLB}}9mRd_&i*lH`pPttU7l+<8M3jkSq9jZ?#Zj=&KFOyFIv4+Ci!-bUN1`SgC z%CP)=RhwTuf?z>Pt|6;21{wFH#yZy1J4|mM)BQ zu{=@LWgo8zG5<-QKX9(VY2GG_`A;D>!tn;n5x7jThd&{@YKF_5k+xufKiyZ~ylCCHU`W#o?b( zA1s0`o1XlO32l>XPc-nNH>xwaOCr*dG~`>CT9R-fZ3gLr)rBOFE3jc2#89s~)Q;Zz zxl2v)BHc>5N@POirWcvD7Z|?5sbDMiBoc3F)@mYQy`)`MTcpN5foPK2w&B!>>U5?~ ze6|Iikb z@h+)JE6mNS0XGAir8W)v69ScNu~kuo$vFCIFRb<|Dh|*!rmCrVdlA!6(g??F3zh&S z+dyJGf;x9eRT@SmXpYlPc1*YWw_@;twHt{*Yd#B(wMa@&rF==oZwT*Qqt)-?6lXMZ zv7{SR*m-yUI~^w`oD5cx>W;za`&h3{#cg+EKb}Z<8Y=A*;|Kcy8snkykD6H{ z45f3q9kUrbQKH<^N^FzHBVL^kN+B=3NXiCx#KTTC!&qI8cJh@D;KtO>HMKQBxi6d$ z<5dB9RjKo$8E!4?hh_l4d=AxuWC-Cn-PEwWd|v9>2&0d(UO}Dj;9PZryM3uDl|15h z@SpJK+~#3~E+wq06G-Ve4G+m8#_7^Z2Tv8eQYLIZm8_EXjDP9QntdL3#VHnNG`Co? zx3k>k;c9wF8taU9gLG>q9vt?Hm*6B|Eu81MksNY*FNdC<0NtCv3 z(eN-$=dqh^{-zK)N#eRT;2jjJWXY_NDMHvhZ!G~$jpnKB6u8x?`@2aRQ^N?4b~h-6 zN>Ww9cb#f1(`soxm)=DrK;=AU!0FN>xBkOnYLYto@?YP7_F2jP*2P?8kCp=OwD{pYV=O=~E8NsYjP)`) za@6q%6xo=S+hc=U3A@QN>bSFDm7$D>ebnzMe}fW zoW!OK%5Z6DE)6gxs}vExN$T#1(h-%^Csbuf0HLV9ENj4oRf{N|f2p6b46{N+nm^<- z@rdx^jhF{`QRNIwBEoGZkWO#cGWD zYVhVD6+)0T4wVn-OcN5DMxxX3@0(=FvR9*}636Lyt1D^<;`vvBXv7Ah;gujI51a$392{s;k=-kuQT3V1kxvX*q8 z-S@dc2Q;drW8kZX%J=Ek2zF^aMcFhGLra{B#3h_1qghyxjtYvVa0N${Ac(3~95_{q zTCH4C&UCvP*pxz}bQ8>&u)Vv{l1Oyd%Iez$J~OCXpt0w3!PJ1fP37EvtY;+c z=j&jRAtos}HKOM*d;(e(!hyG2JnS)mtjUu*g|&}L3_VAwny{8OiQh}4gJ3B|`Iu z_E@aMs#O0?x>cw6aSsR9bdMZOtM-a`m62*Mwv6dI^=tNmb?K1wE{KehJuie-r!$1E zBkZ7hdY6xR^z2XUfD8-!JXXmD--QeOFbG}=S?gvRq^fBqyzJRIm8n)q(OEXu;Yx$_ zB4Nl&k?hsH8N>u$_dEb&<-Tq|o^B z4e&Fihc=7;Xt8?*>Z>ZOvMgIj$wR=sU1wMCPnt_BJcq=f+}$h>p1}$UR)Zv4HIw0k zsIAV(^G#2k58F_AW89Z(M2+&h`=Si~=%WOF6Wn`0!Hkd^yAn{H)B=ep(3uN5clC>a zwalxn*WLZgs>F-9L6m@Yf=&QX{T4^Ft7=Vkt9r{>?7E<|K-fjiwY6B)N}cN}ndFi5 z%-cbzwpHRdAwx6dr%*Nsa8m=M)QkxfA^8!HV#8*ll$~mndb)wAxHHq#_)9}u%sWy1!8IGdUq6p3e(T?CX`F&&;RlD8CW;Oh%OF`vwL3d!6 zPB1r3Qsg0ctAg2weh|i*%Ak_uur~D!tLL*`4ay)b^C4!NHi&>qw^x<>ba<;&8#X)U z(g`!t-#Eee$31pkH(N7Y`g@Y*i(SvgHmwqJnO@31l8AS)+DGx>4+knMK78>rUihVB z>6>>izI*lNrQ}ba{Pn%`oqlawlExE@;b9-Zr(t_mWvSB;+>KwkR2mwA0H;#dP~SGl z43j*a2CLe|sZv?Dw;Gme#UBVF&;&{8b`tv36~9VGF!`fJX*>;F44Bf_C2HQq8t={~ z%>mk4(fA90&Wi{{UZ@c_R^6h(U%Q0D*+iBxsF_w$UtkVme7$K#0MDrHs+`uaLA9?s z_tsUDIvjciSQ=e*LpT;m97BN#E2MF$Jlf4=18$PA$9;fXqKddK@B{A*{0DNYA${U< zCffo&kdV7BCk<3FV0S~sGLm{F{)BenpaZ}yb;)a3X_xS{Q;GxRfT*btngW&2&?DJ2 z!;ba#a~t;%E1-=rce%$HlLrCAgO*s{xZ+Q0#1tTNk&vFUUx|~aR4FwYNn0Q_#HowD zb-(*p`&pNK0!i<({mPFKXs`2<>NT7oSui~z_u8$PpHwS&ewYFKrA%uzzfAK=lhHvL z1Y)Svjt2{6lM>I&{@5*EHtb#`ki#--Vi);&)9X<*#H#%?_h?=MhQH^{6rCgYwTzS8op=_bavXBAHW2{!yas0^$#cN{^Y99|6{ItmuNyJ@J};OQZdA8N;G1t1V#NI9eyB;1-MCDtfIo<)CLh4UtcE0ccSJRs@2;uS(x{zcYaLV9T0?+^)VJ8S`c&FH(hd1O&tSW8QmkPL;jw@D1`zYiNXJjISk)E z)OJj!(`0DLubO4ZKWev|s`H`-+>&p$vTMiJ5?AkvW{fn+QEd8|t>DQk-?6Pr*PI*4 zL3rc015Co>9$~e81RY@LdcN-4sWcuoslrLE{H`-0JQ-uR(cDHZ(~Ldxn1c&hd_s!< zxoQ%`XnYfiGio?U2L0M?-JIDL@F{TG&9EBZu(wj29&mFf;GL)?P0>k+TX~PLW)0Ts z8%)UT+qLAe?KMe$ghySiIoGWdRrt28w_{U=bW(b*M8A4Btxaw0n%1%{d?5r%GYZeD za%t#-UUL)a(py+bO@x7ALDzWN*zCEvBsJ5%BsJ6fP(KJcsd|vNu?>6FWHDZC=q%sz zc$%xhMvOcbq=rly) z0iiF_#Uf^18z4ego2;J3<`I60vKrh3;?WqcyY(v9yYEXXxmWgav9dGWEH~hP^%ATq zMRHf^9^sJru(_$pqui%eMN+r*mck2Wsk@rtbhOZnS~5(`x|ukLP8;wiYCM!5MiTn` z3T~?A=`k%|b}U|M>sLMQ3A991;|bALicpSdZ#2l5ycHtZMvc9i{o7UVpaFpkc>ub3 z0aB9&_>Q8f1cZ=P9s4+rplK8@N5IwQ=cdP1L+8F4Vz_qeEgvjT0-p;~&W zLKIRF!IeFq+o{>)6*!@06({bi5*&;MqLAuhnpBHj$ru>caMq;if?bj#no!5TNe9cQ zslb4%NiotNYJ<4YF;DhC>r`tNxWKCT@RGtV$@|6?>0}}j!D&@kPg3Q&f6Bc6ZeRNDH02%QsXWi_f zlV9=*KXCGXn4E6@H0#^)(^~STv(p8a$FZgZZ(trO&l7I}+}!ebQxk$P6>p?As_B90 z!&YfDN?c$xwE|RdwkYAqTkT9jbVLw~KN3>sajJF9O5gzA#V$nBFh&dh!6NRUTc)PH3gk8a?aeYrtxn>b()uC4|wHr!Kr-?(hxA(R>du1%m5BF zJ62%$CDFFNU(myK;Zw5bi`F0!alb*BBw3M6ouwY@DJ2OKa5+T5L=!WRT9W(t>!SPG zAl)3>H2(lTK?1g_B}iiun(oOjRX6Dxy>hkfnlRqTA3RxY6@_o=-oj)xZ%S&L#EQDN zqjVht@mmxR83&86IQWEJ^2V2uhz^w^p}NC+oFv%fhL z`*|>y#lU{Ul~sqUF@GURt$_{HnbQoWY$^oLK7>ph)5I_SNaMS7G*LDArfAfoX=Z8S znSFZ4&gfG4q0IXipZ#_m^!M*xe*NRS_ix{6%Hf;;`Rc`!^TiJ@-#zI+ynFRm{yBej z)*WO+7!>~QvJEAI%bpl(fJ2j&f;L%_vnAz2UDmFzPW}5}Z_pj)rygNYH7=b!smvWg z{p3TZ2lId%c@nQlR|1X|HOBj^<;8ffwziru$yImvf#B&6Y}?@hSI~fTD@j4yQV~;t z)SjeB!Ga}hC!V9LBG4gWT!br0BbN6N5sWOlK>21D=ajG2HCXBp8s_kC1LzjDf$gPJ3R7E>W|-RSTn9 z1Y=0Wn2)0S&V83~0_^(Qrs{~`UhW3xS-hnQw~7O|%I|<< zr*GY#s#%-rc&jSi)!xl0MG|{ub^7gAbK}4OctXrMomV6FXqco`yM-=F#)nejt!gL= z_`%0RDkqVoHkLhy0>;a55viLR=nZrrK-O5$OHdSJ;d`(`t0aR*tAX!g&)|=xsSZPm ztQU4w)vC1i&93VK_RaasYH0&=XVsDx6-zm7;|VEVlKRB2ySllqJdsAj@u+GaOd1X6 zF-|qHX%u^m7f-F(MBvGk`f_QKu%y3DN}-Wqj6IC*W;IGXdBOlVp-X!f6Hv1>0C3#n zlP|s*6nJ)Wl6@3WKmEh0!T%thf(I2Ms~Q=>6EhYIw*=J)#;H__wNQZpI3-GC{{TIf z8AG?n;w0Y%?>4%|HWGkfgTTuw`ejGlOyG1DyH*#Ah9b1oO;zmTKgL;=<25md-H zsrp3hQEi7bl)*K)k^20dAxk>^=su3tdF!4J3793I!rFB!*@Gk#v1mSyoR%dLtT7FP z&rTJCO1fkao+lkgo20RKV3;f5P2HPH#V~_IzNs2S2`1_Q14JR=imj`exeT`mZEGZ& z0Zmlf6b&WJz`JThq6$8VO*N{#&^T7{;V#`hd4DwA_wC>Q^76%-C;zVhdh_z@cW>Xn z`lZ77sH*-UL92hTrd$&qXvcMuP&8v27>TX{dRKI+-ycpQPY|mneQ(C;dUOdo zrQamAfsc|*tpwhAisU8WwmD5Ak5|MsFaVp?gB$l98-+YBJ~gijE(xzy0Ufxj8uy(# znZoL=l22C?XjP3u+_EO~NsqSh)J%Pl$_~Gq?8@8dFq)X@BQR=>3 z4AF-*@`{9N6<&wzs@i{9ucLzKH8HR4fXzimj6jd0lUPXtw zu*a|--F;tdw#IfXaSJ|b*`&jqR^3G(Mi)Ml;NJ<1#Z5j$@`3SI#w8?63CgavKzWn2 zywa(HY=FxSmxQJeE4*sD?G^VcKU#*LCy4yPG9>IvlXmp>Bv;7H-58_uP@c%I1Yb-; zWtmRH_B0vRKl=QSl|MZDnmutO&NiJ2D zliAgr+93@P#hrE5_;wA)>`gDNK`>Br@`8a5%_N}`%{i312H&a46Al`sNu%mSC%okV zqMVsnm2;`mkUFjA>=K)U0PjMk(XWS-|84gf!m5@4oW+vg^xyW-;1!8vg}$HU(amjQ z_G??N3#fanKEZ}pl?CM@Z4C{lj=YCX9_y_@q@l>G<|#^)un-v~5R`PeCM=@!SgBtW z&CuM(!2`sT1gG+Zt`UAiU7taNCERS#*L@j!R8=QvSb`23)swq5NiL8V$P>rI{r>Dx zaquH<@gLsiU1--47StQ!VVeTT_QSAle6HWM)&$QZXgi}?{sFMk7Ou-UtOq<1)(rWw zv@=BVdXeO(s?oxxic_O0Zeg1ePEzRXcndyVe0oxJ{73#l8GUx0^O!78(VW9 zEKAUNmm4a5?sLF2mLxradm4<}vp{EMSEa0WNXjK^;I3NDREdl8NWF!xssg~EM^fuJ z;N*L4Q_^Fab9>H_PFJLWwjZ+=UC&qbIQgNX2?B}VG#hkL?vZRV@-Txw$b5GoATJXXo;?z(9@#;&PSweBis##=R85Euq^i=_g-htNi3 z3qHu9>YiB|2$HJ-BH+w-q63awsXbf-P3isGlq%3NnwftR&*hPbO&S5@kEYh52rD~{ zD8WT~Gyd)+EUdN$MvxS13ep2AhwJm!uzY0FAJdS$L)fSPaofJ9U%LaIk69{&0PA=+ zHCtFo4SY}mR1+5_e@WC1wR`- zdsTRQ)%4oc2PXzr>~bsUhg|~zvNUVMURDUmwep~v|7_c-r|wdw&zDsC)`PTvv7T)q zZmr;Bb6vVeskJ7%>ehB9X_Lx5gEL1}=c3C4fQvng3m9`q!&Y@5YVhgc@1fj}J*vzz zX=qc3=`MV5w$=gB(k;|klZIi%a~WB@yca$@k1Yf>nd38>nNl^*7l5L!7hU>55?LV; zReR5~CGu*BwhNFn4cF>Gv(g?V*U!HAg>C$A2U%lE&fz|T*;UlAm8N4?)wCMTDGchk zDN$W~S){$VyJB?5pB7CAwFPfg4G9?&Uma^_nX`^pC9)p(a?DVIrl)I6V-7@bFFA{) z72Qn&W&tIwU<_>@a0PSfR8}YFs@*>8gt66qCB6oM&>%q|!u#oUvlIFEUF`z^Rh>49 zO7EJsfFTS`2RNdRl8&3+kQjq>>K3OMV4(0_BLEJgyOUc4nM`B0LPDNWWzg-f(OkcX zKWbd--ZXI7sTf=Xig}l;hT)=f2cwPv1Jd%KWm9=k(4&SUU3?WZ1Ox_AHq+jM!Ww$B z*HBqddlx1Q><_c(73(B0sx;}*Mt|(4`3^x(|KmHa^}UYm0`yx=2qC;0Rs6;gL3D*g zO5)*R=cXp)q00iYFIxZ*vIK{zQS26n#2!eKItbj8)X(pF`QhLuI-K1BH=33shX9U( z>sJ*x?!aAl0GjyF!*6W0x4|~&GU0l+%}a!gts7GPSBnALS)g%iAxUrB?y|%M)M*Uo zk?IZ!!*5C|5&+zZbPw0>W4Dh_zheD9`RdmN{I_>+zxlUU@4tP#TKD(^j8tt|yTpr} z>J$twOF#vTV2`T&)PW=W*i=BNwtV3F0#F68JJqc=t6X>$mC!k&95#LHC2@+9##c1|JWEmcJ1?FF~9r=i|M4F zqv@qsSRgJ+NqS_6iJZ_c&lR=XroqlYp8Fk6!cXA0pXj|LFB@ zS123EK9LCgD zHmr;HX-=J%nbl(gY62e1r~;W9dve_pGNm2Hez?fdnT>9myf=h7r?RjijZ#1;Nv|FR zhQEij;r@@DnARYNm9Wy=@%zm-(XW}pDdNtSRhcb`G{qQol$qq!gbqTC)Oj ztgh+(o!Hu#&u=*n^@be%CjZ;LUDq z)Ol5hVOutj2%v#zWLUk0hLtC0Tw7`m(hI#Mn>H0_grSN#>5cBHjh`B(ZIX0?gOpkf zz9u=C4$fQbt}2BfSvR&43V3_ZeMI1N-p_X_N&!&TUX$MsLGxTT)30?=@AIArD@olC z8jl8mIRS9OfV;HwhUNrH!Bf{z^R9se_(iO8fyC&0EX*ZhZbD1pvzjrk*;g(dFdn0ctugDCP%OSyLatlX z4p~P&?hfs)2?s8yQ-qAA=DT1HVyjWEVxi(#@8d@U9MzctAqfQYaN9pBr2ioXe)bQx zf9Sd!OV=gT5mv93vwfU*eOg@Gs&mgj|ZKbuSNS;T-zBGN=FBidDV>hIH z-0Oh#YtGrKJFdds8q)NmHT_tz@CP&eN4d%#mPgPX>K z*KaPuK(Rt1;LsJro(A-5D#&1NQ`5HZ>FW{x0p$WnTXPTpBAm6lYtGU-b-JahugDin zqavESrq`-$Vz&qGKQ&V~EH3*%$hn_$*CG0rMb}$cJbLq zgUP2qoss_d?Ta^0-hcbz-S>Za`TF(Wp8VzQyEnhgd`l3ubQS5E-^rtfYt#AIHl1&_ zC!GU$)wvBN{%xunl=W3oUR*J`It!e?E_b>z#-)ZVa*6u!swcYO^@6QZI@@sc)%rY* zy;{`mk=}McHUacUZ}sJGiwpk2J7830|L~XQffk=M!AGb?L#ATV8D-LT?lqgSYC29z zEdue5rA`+&po)&@F3o$IzS`9qT_%lILAon;XdTeTmUWo(Jb~4?bv)B@1)?F-ogQ4UwN>8fVh%hX3_P4!B*V3N1yo3gP}JawXxEjK%$03 zsgzhl`i@TH=_>&e)Ra^_QtL%ZgliH<)gT4-K8KV~D|mMoZH@LCyuJpUr>8$UEfCSPDcVdOo{qBV8`Vro zhPhmZ$@4^24W9(~>%Q~VeM8~bG+f7+%}H)&m&A#=XN{2q=2b6Sj%$x%l#;2`D7AT9 ze!bSi$JM86A>#TtPl!&`AsktvJTjY)hO|$AI&J%?A%XZ>N~Vr9r0AqmimstsvtIWw zMo6akxF)BnMWvT}P>WTM*P@0T{bgX}ME-0vMkLoEYT_j30%kggie6A8h#Z-WptPWSAEV=}^5}f$*~e)Ay%x{@ z(OQ7Xhfb2g)xe0>VsvolZC=qi7288%@g0d!N$8}iQv+0@kZ88m}HOaO-B9y&fFhe)-8)TEI{L z<;kCac>BW-Z{NN8NpgcPh9MD&%LYVtNv|d4UJFmpkA7Z~lAoI2{rTM$Nx7Al*?gCj z&Cbdi+bGyDHAek_s@@$J;4xnxeXuz6v)_BLqM>~WH{{Ltdy=$z=~h?$OoDbK!gSiK z5%=(@gM<}*I(2q>tc&r<1bj>10CPZ$zh@M=f@+deB!GY()1E9rmGmSKm+nVR>5`&p zN_Gj>+EYE7<(|-SS%*Crza^LQsd*SWe^wplLyfLazLdZ2zw;soEMC_`I?#cbD>5fy z(IsVt8LI}v=wq)e=nm0x=Yu1g&%Su7i3Tm3KmGOP_b=c4Ra%Uy7`^8*-9y(NsYASe ziN)RWjPHN?_qVDtef#6P?_Rxl*gW~{zrkQ?xl5DnDt%E$&gC ztkB@W&L$M4jl)z#OZDo;{t;xyfr>-N<;~od*1!GiI5Z%5%JJ`U~--W zKQuPeEK+Uh9jtaqq(K8L)qF=z#2i9y=D5r_+oiL8AJn9wE??F_+(Bd$AbYWBlJ1d= zw#ebGvx!X_$0`9-UzT1-(-9J^cuMM^Z4Pv?H#yKvb^J+2*;yUkZnt9|8Y?$I3S*X_ z8HqDQEO{Pd*jBEks9y$a-dwss^XgMq8~@NAIdf_jC;wHdWDuLCukWpH4OZdnRw{8IqZxINZNlO)I&fOsp}DFI8xA%cy^?@>GxtK=&6^~iF17sY&QM3y zm~h>fxv94*X|QyztE9$`DVc$&5xxTkTXhbz@1T-!O1{N_4*Bi`4__Q`O09>LhrD>D z5>;5;ho&2?`X(c^0o>?I~XiyC9w2E#g&0hdk2!J$lSuCptlRY?JmG zB&?pPIAvc> z0G7|mX@K`t;b=N8SRa|;BgN$R-@SPK`pN(IjsG=$|I6FgFTVZpC%M!o|NcUgr+>K1 z)l~1RTjs>Jq~JwB?DU+vK3hPA?a>2$`p2~jfBXax)13&Oodoz* z(gg1(s(Q=<2e42B$wClz`xUmUOFc?eaL!-HqKZ1`>!o2wYD3i(t_E@7NKoJ;rVQb? z8mR+e0bJYC3N-(}VP;O?dPZly9k^RY?AP;=MTgx5oNU=I1z=y+)jXHBciYBzFA zm(T@nacCmUO}v4`lD^PAE171lx?qS+Bb=vZCODY%=$FJ8m(m5Bl{IT_HA?HrSB-He z0&Qw&bzMc-Q8FclKdULS8fSL(;ucRZP)%1}VWpcX{WK(UvVglqK(pjSwhp*}$6W*p zFdo4Dno|5*q^fw36;toJQ&p+8B!@^+2`FwhP55(-7_35!qVrQ{Q(=*e3rmI3i@<}@?fE)nh+saep`zzV(#P?;gaUG!WLDmc z|8UEk=?c1=(7aoSQ{1oMRBmI`oG~x*$pELtlF9u>zZgIcY*~(WQH2TcJxf%3Cu<&? zFF_qFk`hzni{|9qb6TgvMEP|{a)7Msz13v|gO4jj0IaLwgx8b+iO%t@nuo2*ii$&#;tfqpZ&(epzy_~hBMKhDPX`IjGP*8cL} z1#Vyc^#!r(`~Tw}kOy*!f?4CvXFdZCFR4)xrZ02L5J~(McURSAk4{(Kkn+|S&GsZs zlc4e}S$8u$?{un8*{f7M)EjOz-qS^6%c?QVVCYTv0E^B%ZkEAT+#`<(p0F7;P}SM#QVq;j2$E)cNR$#%|`W7p+4dGaU{NOO6tY+A6or%z;C zDAd)XHkLrqk$=c++EMLmuY zEL=Tn5Fn}W!|J3&ogU8V`SjCA>Hnh|?uHEg_C56K#ZS7+{LI%6%0xxyc&)S4OGh63 zpZZZ15_dl}c(A&nTb-^``Vg;}@~X2$R(+}crlzxCB|drk@DZOr{q@q|&&Q9i-aYBx z-vWTYPE83KkLMFSE2(PT9^{BorBYyYuVzXSdtskpcR{rYmCd=&cor6Ty@U3y3VK*U z+zo&UJhuzP5@x`f2J z^=|M3@MHoK3l~CcVjI{8>9rQ1ghpJbDD?=ltrzschV7R0eY6($RpYmB-}Ap;y!Q9k zMDzCcsFDMn5wH>f2t(?qQMa+~viXpZECgMbfcYRp2+8DbmoQW$(6WZ4nr;tIFjJ4R zqyRPzP~*3Y)yPSes@~(?aGqFzoMX9?)o7fi+Zat7RxkMGqr-{43w9GZoocd8;fRGz z>nfw|Rr!0#?mkFyLP8QSlMWsb#BGvW_DK7dr6#9Imk7jmIdn<)VlbUFxZ;hTrotuM)Pbe)F)U=6HX{mu~RyaBWG zCYWw^4GUF?wFIe5+yVu}t5yv5J|)>+RWRmcFG#Q#ARp=tgUttWGSDQC(!I?c4>Y z^M?j%BZE%qYu5>4wo#3I;g;@cs&psDRw-Q_D&CJd#|oSrc-k(hN6~RmDmDVm@HKB% zZEetvu}k1hrx=SA2c$k?@Qe^0FS?g|ET$HNFl|AoQSH7)GWFr|MbuL`J^|R(@d$7% zX214RijZL(P{b-DQ=_VO-_|3Hr~!joUKpc>(D+VF@|s=JK%M3QR#t1&TMBC= zwL~p^M%B;2FST&|9BR$uP`f*=>EikwYU77cTcb{+vP6n^jCfaCRHz+fV?u5IIn<61 zp;m`ZJn%?)+Z1Z=kzM=Gp|<`KY9(dSe+{+f4z<$8YCnfsHW=89)e~wV>mak=4Yl{U zhu?-}Vs)c0&B0mnb?GCj~BjoO!vPKPkR;V4ilvEF2irY@W zv$cN+wR>eViFzd`AqM_YovFkq*NGv=9V#I?MOhApn{ryJ24HsS!K$s$vR%Y0o{~J1 zi3~{-O$WYN)ew=CAY3BumyEC_uF7Qh?-_2y#5?)*$hPluVAZE$De|2!Z-=GFo^w^U<=qGA?ch_8WMOn zFfkJY{O5%*2Nkwjg8g-cm><|FxZZN zCfLUGQ?ONYY5EXsXTF1N{UzAChru=wZ2QAtyZsbw^X~-PJHisXe+stY4z}(?upM`> z%?^BQr1f{Ge4-I$?cL#Wm$|zPBMt;x5ba$8kc*S<+ssn#yQ8f{SBxYDHmTBJ@npe= z(bg^)V6zXSt@}CJc17FrINE-s8|m|}o_>h7;l;bZy!ge8qKblck=Ln7(xqJ*-?97a z*u!?^sUqN=e*%sCdF_6+@x#PyIHnJp)se32p~Tx4&%XM|>F!X#s>eK-wKXJ38wASXP2B7w1X_;9I>8|uaWM_pdeTZI!`==&|~uZzVb9n=Q)+jVNw zmn>SM{s-1pEv$DddOsoV>JH~4J%QU3j{qBrpM+I#$L0iCmrKo9r;;1NlOU2>NFiu^ z=}4kE>K%maK+QZbphO?%_UN6y_?_7Pn|I4wGf6l!UKnA@4yTZ8W7k;ra9jZ|Es{$P zT{=rp&VvN7+1?f*)=t2bQeC%dQXH%e0jCkkSIr!8!0h10NMuY+r46c&vKoyF+}m=bGrEUg|K&Xe>Q8U~ z{pGvYFTVd?g-rkalXxhymH~pO&ORS|!BN`@=~JoXB?Pq}XI)kA0*YZHqtweJTm*?e zCG81nPCS`(vmOiq`{L;rda(cd>61UNKfZeLz33o__Ir7X6!tg74MZ zeNh$=8n{ozT2Sey@J2dtC+?Nzg$Gowj>*E)(%}!hner_h!0Li}&x}e*KDH`|AViZ`UxfpH2n2 zb4Wpamj)t9UsHE9`)rqp5gFW8O&4H!pqRKGkWgc!JikFkk%aFdjq?&WU24Ixj~V?o zG=yQ-*zl@I>&9g?-F#7OJtr8)W2(u^teVEEC3O&5jVHgAZ0u2t_G=E&;;eCvq<_t0 z-tU6gQ`5?H3?f;NF8%8WICa_k^k|J9RX+dyz{G!cxirv5U!@`%0OJNQ-OaYaZosmF zlf`R%oyQTcbqF5Vc(Iiiup>**bMqGq6}9YuSm|OBw}++)Lko0As$OwlfTRt-T+6AU z!(%Mls>)a~e~nf(x@h!b`eUj!CB+_-*=)%{LWVXE%~&2-eI~swK^nef6!cxLml;Q>6A4FQt+V5W61}sDT5i z9$!G=l*Wd~ZkDQXE7E}`rmZT%Zg#GR>RR1MNn$$Sx$T15Y~tni)P2p>4?VnN&w;W6-SVM%=YvYn%^JWkE zh}x6ladkBllp58}x)(6MiYD%pl!+sBqq*X{=G}vQTGu3cuSqH-L}JhtQaqxxQo-TZ zp~+)Jq!qjHYP(q_-=pEck@V%93)MZiQCf7EJ&nYHDcU$ll@y~SQWZTXV}Q0VPN;z znk2c9YpYWQ#$$OnfecH%@4bF9($D^RGgXyVg8T5AQvO?aX#r@4=NVSM922_Sy0NBd z*Dxc@nzxeHN>Z(G@#`Zh_w>Us^55jGe>!Za-(JVMDa%VkTZ5_!RR!e zL#XLKW7b#$ETJc>^70SI9k4@(Q2;rLljz(^I>S2CvIjx8Zq6%jBz3_#9oTsZ^*IG^ zBc0o(>8C)@TO<)6n^jQO7A!*b=;|C&bti~Ps)l<$rtJp=8bdzyXGt#_EgOX_tGpxa zn(L^G@FXZL-0DJ1B0N&_Ukb!$2dz&x!GM?eJ3m+++_ zuh(@MqhkjsY|#83v!&}+!y z*F)l7is>_I-OXKByoRL?td)e7^;^DqGFWKP|3Pdg)ks~-0FJPqD2Z}4?SRVRXDdd~ zSIs2U2@3XToQGqoGbA^CCeXcIwTw0G2LZ3eRc*!lY?>W+>r0(y*K8~{a*XR*d1FOR zcS;BXI?yyfC#!ZwQOl|_tZNo2>>r9C5t5!AsL_qxU~yVua89~~i}9llTgR-;nI+`u zece9vBteDEAd!*Nf-apK@T-)jM!$$MoXKTd?~)5CG-Q7+#E}6N zw#iOhn8zn3=%ylTuC-SjdbBh*C42r)tj4N&iqO8Eq5ap<;oE*)b)-=qeW0*Q`Rt$g zz$ogz_e1SRLp8fI98$-6{CtmRS^Xx&e`M=iI#{{BS8^LWf)A)fRb}VRNj69WJ&8J! zpw`qTVyq@zKoJ(v14Evi{CaZt2+n)PdASQ-b@@>+i+NuWs!vU`VM|D*>C!mCF}iSY z$1d(h$&&8*pwb_Ay3R{s-aVC8u}{JVW@vIdz;ZbTTb?R^0%LCV3`*N8rv|F4Tt2Y|0$WY}_!$^>0PwJm!`Q8DM#^#IR_u>= zE<9eApk1;$YgK~;4{Ma3oHR`|QjQp}3yxjg?7K$L8xZVRh>LP(0?n!df06?aOjg%S z4a~Q-ur&=;NF?y%Qpc)Oq`(JwY(9WI=HO@SfRhN1F6Brp4`(5Mu+mR{H7YMgdaG-F z|5jDHzuq5rHIt3Vx2lTpp3lYKSa2`m9d!m9t1j#IRlH=6TL9lkSXi8S_Z7$#ufVeI zWIdyf%B{1V8jlS{6)v+XoQ2-(1k5l*g>tNmgiJL}o*)r{D7(hK^5(`)&_zfpD3siR z(fCP_6=B-#8f~V5Ax|#&Jk+0Vf4GWIpZ^XQJ->bV;)f@Hd-?kH+yC+8J-;Ws^vlWjrm8al zzftYNluBA7cIj3=7h|tYI$Xp&iE3R@fOfNzVc?yYs5;s)Fln`<5cI?b3!JB6BjFgE zU_+Gr8~NJl?(mkz*|*m1;@a4*d%Cg+FD!+$IcnLYL=wrexG4W@*Re@Y_i`6VL&IrV zow=;6-m24%LNHnR`ys_rl`R{;UA&12(kKC7jVwtqjf?^Q0RusohbA>8HTgxnpz9u3 zU0=N&P~!AxId7x<^q*YHt0zA$m0(;NE&+7EG)1e`lG(6^qcq}6KZrzz@Low2zGYQd z&qaq5U0qjVu^O#<7jsLN)@pc<$?Fv}ag-fUd5}aBI@rq}^K`ny$Hf4dTT)S~Ig=r| z2F35B8Lfu~|4HCvlxyg!SDMcK9^ynAQz2+R)zmUu(d5Ac*Em#uzGk?Mre)Mv$}s_= zM@Slx2X=}PM_KL>qC}MlkvVSholzXlDA|kfI6_Q#ImXs&zbciGZa7sgw@X zbf)<&d!Sx7y8djKrZ9kdZ4b58PX2wEqOqms?hdUG1yG|@6@hJ|hMF|ezAPG84`bEw z*{n@H@5JrJ0{+-kB)0IqOio=_tpMb=cUlu~FMb@0Gf# z#B2FP=o{8K_j@EPPQF#mJFc?^$c&9m+KfT6Lf4Fs_UR9Asmtg8V4sxDfmZb%9D3Y? z!>o?Y34dF0(witQ5KkZ~RwZOZDaDwo(oy58vz7?r&UJMH#F8krr}OL8AX?t8m$!MI z^TJR8_c@&H;XwBXKJdo6$8oX|ERi6jQ=}r^I^}(9+g{62ML9q(b4!62aJD@CL5*An<4~=JN z^VXjb6>iWxa#`HlHkE5`-HBATMdz`g+-WM`Ggn@WpHcHqnmVv))f*?d7YNyYPpyv1 z^!Sl9Dhi;a06W+}Uc?Du^t<&nO3XFgwI@vze>D<5ZVDXb?)Qg7E+*>oF4b!^(y`I7 z4YC#4_Tj#L{-{>G{HI^T_4fAlcQ4;R`M-XA^UdpDtt?8%b|VYrdt!rRl{@T1V{Ou9 zG&!6v?pW~4u+ zhAm=?#Sx_&r$g0hBH;;lWWg_Iy)?Y7L~A2f2%&`#q_|U|G@~J3M*Jv@~NbpAGzOs9~udMl2q1)6^ zap{o4CtVB*HU;f$ODZZ!8p;9yy)PCojjshWYO>H8`pFu>e}Sk{JAlKhb2xe(Gw!u` zyScRZZS^WbKWA(!9{_^?;KIQinb)Z`9jTt}=;hj0+`HN!73H`+dQqK#tpDjh{e!oZ z{YW}5N{~IO?>&O-gN7uMJMLDRuJc)1@Lavds^iIBoqu)R`k6JM6SvKqro^7Pub{ng>QK0;?vg|b zb#Fc{$03`mcT!%i}rPG`Bh+wXQNsCAcm zrt?RYki{ZFL4pY^t(>G7Rut#(lcj^FtRQjnL$_;ixu0E=t6PniQ;iCcL<&rSm} zPC^%iBYRCv$w*U&^Q*0zQQq)UjWhI)IC@JIq`HWl7hBn|vTs#)+zRd_w0=~b$t7QF z*;VTUHZX^~8n}DC$0?2FaL$94OlBdsxT-!eH!nSB>(^3=j4-ZyV%4tGJ^1`XiuL@< zN0%@^ukQc-=^rd`RB;_KN2U9|fwLcd*ykUqga7>5PdWtYf4unNhhOg%4QOWH{XOe$ z*Fd!*{y-ejrB{xJlj^xEtlX6L5O8YM|JQY&3wia?*MF=yH5=nh)TP zBo_W_&0FnT)lg2=UgKGH23>X;NaNgBQQQ>wV;N$`*TRjN@4)O znM2c%0h^k;Hq)vb?5+k(P=>B|x;iNU{@oG!M++;JA+P{uGa1X*CDz$PPlW{ zVU=1U!NAsyGo5&u?@PZn7z12(s9p;Ss<9Ob^n7R}l1A&P52NTn84Jz$NrS=8hwt^f zC-}d4zwZ1FxP!l|ro!up`#z*6_rZpnW1(TC0vA6V7)Mt2{L=?MN)jv*) zFUNRoX6?Elz+AG!WRfI(;ZT~52B%W$Czxqi9`AS6#Y#m}LV7W2@^@itw`vb>bz6~C zRdx*6Uw;W$h<`!LZPATo)8A zsRa4LtcR}nEq^W;-`C+19y+bmL_FkYs|3fhD>5SaLJ3u${Q@2s$L}Ys}E;b|tkCZMwzKFj6RhYNwLhyykvi+a%v? zaP*USoEP#?(J1S-NmYtX&C$dEA;3;?V)&>gQVFI)1L$r zj~B20*ULX5ezQusUbncKC$>qcS$CoHWUbHbb}Agq1$-}FR~=h=^Z*}GZ2ai~KK};~ z0DjtaT46$+ngazKx9Z26`P4WS&la8R_3~ zN$=G7CtXyt3lER_=(#^4j`-7aKmP~MeQLJIHep`@n;UA?0MMzgwF9r?KE?0(zZGUS zN$Bma^j5{+z9_oFS+R_BJbJ8;ruL^l>6Lx-v0y&1ZygTcXXMpZ!TVF)ON}HoH9oL3 zf8}VS#v9xd)qtTb=d_eV6U|n8Oce*4^<_kHX(RxE8FbX zVvdL1dY}FOX#2BmO>S#T6TG*l;APdt$q+kHxBS#Jwl9Tnx8pE`j+Gp6;*W_k#gVr|E&SmnsZ^TIY0mH-ysv{>u+Db{q`%}-BVKj{?*ri zeEZFt?>~C)eBq;yKluWT*=Qm)l!-YT)*cZd7bH~vuILOEC7z~8EKV)ZV2%s*3Z;=8 z=jOYeNJb7D#Z<(b%0(#g>yDzn$W<{osgKT1*}*E;VZJ9`=!Nu{LMl`deNiOz-D|n| z#^_oP+0ZA@o!BHqoc^HmvEkEZ*TU>r*%_unjW!FJV#edbtyRBt#9EYn=-06?p3~ps zxWD{4bsD4d4Ca{+p3KYBTB{2bcM)3Z#PvlFs=%)AUCDghM-|w0y47rNeBs5g^!zJ3@t1rVT(Ht^g5#e zk}yM9TtlKAM^RMqgy@Vxl}k;b@e~=SzCxi|@A&?K_c-7tjm#&?B+9Odf?8r6+Sl^GE}OPVhC`nTDlz zVu}fFCyu3dfaNxu}T< zF?($;y)3UatA&S06$7ZOLRuXP%8m#R_D#$PT@mUQ3gddsMjwj4RMIMJRqI>3h+U$^ zonJhwzgK(vrO!%HIFcT0S3nWSHIPDOTihwdgqrB-ayVb=?s1Vs8g6K_)iZ2bds)B2 zsoBYRLfe@Hw9LxD|2f^JB~*Xz+=U3cp4X*kp0)osGz3me$iyIb!QC)1q@CC`h3XGP zP?8YiW6QY%Fot^f%zyO-$>-O9k879L-+lSj zN8i4FdDzNC9Nn$AQlogwMD03>5DEDldaj)#xumTb9LLY&c?R{yAS`VNtDyZX_Ha_m z3ZBMeEXE5qGWYE09_#7S)ne}v56(g-$_H+1u4XaO<}UHWlT)*>^tMZ~mko=yTnwhL z`Mnx2ryc9i!V5iF;d1Q7Dl@HG4;&EjuL_I$57CFIe`rHvJggrq2`fJaK7_xNa0KVD z6mvP=b|JCg#Uv`N$6m-PUE#J$o_7;VPeM;+*RNtJ;7)}H(R=}Q0V$!SRr8q@!GC=6 zzy0D@zx~axK}@-SX5Oo@y#7|a{0FV>{l`(+|C<>3*Cf^Bi!c7~|NGYI`J92#Wj%be z_7nGE+;9S3u;Ky5M6HL0bTkrzdZ2E9@vYDM{^DQwPIJ19=}0yV)+4@rKCsOD-J6@! zn|32dFsbB)>;6%a&xjbeP59$4-~Q>ZKL7ZU^!)p7SMOBGzIyxJ_pkrs>p#Eqxnf(R zIV&nyGx{L-nEN%4N*L}{@k5M6sW+x0Dc&5I&L_P~Y8Gj0*>wTsgenESF)1w}Yecav z?af5;?tRl!OE{t`-cL^4gorLDK9||~1PUh0MH@nOI76JB0{dj%UIE7`CkTGkrwK?f zTLD{S&@*2p)?Zfz`qv}+oRknVY92!AOao>wu#&DA;*S1^)@Pya@wDwR7Nci+ zX4?mXIQrHTO$Fu7vXDzsR?}LWs4KK3dgiui^|rL0{UKgj4C3M(TwimETKaimy`=Sf z(q)rzqU(&?4AF>?w}sIz+6UUoNk0{n?hILe+BUM7+TroE9k?dj`xIyqOirkR_q5@( zw2;0My;7t(^5L?3yw`38{r;EEFBZbDe*K$&V0-q}pI&|QhgWYuir;+y`pX}FbUb^` z{5y|jDwaO;n30-ity=~`ibZQkDgO_#>m8>^q>xm z3Y1U-1&}DjU{F8N_#&JcgKD}(d<~lSK(Y` znZub#6}W67O8G)n&{8Eo51~oLsa^k-Jc%(y95K_9P7X%iIn5n=RFt&nc-7CjfXMmu zx+aBN#b7Np5y1DK=oi2J2T%0XpY=#T>fgR+A@>NbWd}*2FAU#ifY+&fZ;COvRP)c; zax;w{-)x=+JHF?VRg3q>(hdv3}k zSwPXWTN`to%++fBJG1Wd!k$>EX5Xj7fTu6RLrJ3YU^0W0D-@QEp%?%pW;!}gU$4?H zMkkEnu+k4k`S6Urs=c%eVzdd(axGZhnC|OwEC{CRwRz?FMJJ0A!+D{s))+8!1@m7! zE5!x`rL8ujU=o!jhWDJ8Q6WFl)1G z@$gUmnGlphk?}>lDdU@$VrHP)X#a0cuT0?AVvm6doY{++rh4T`fm=TXber-1K^-W~ z=Vehn7Z9v-(;t$=?aGI?Ute^;P1d>*x^s{1DUE#sdKvj%J?;~)5uuXkwxpnuPn?GJ zp4@RhT2lDl2)b1wZviG#nV&&8pmXG?jiq<)w5;0B^x=4BF6qYQ=v&`2nsA1>==z=r ztcjG+BFM2kTh-EuxM}TRPsW)Bxc5GXUtWA(IS~^%>sLZ$GA=Xrme3fZVF^9%acvCE zgjuyuLqa!txOfPI-t$tVZ|Abwc{A>1*K^9#&{H)ElPYbUn+Htki3Cp*2mLfpZ?k#2 zgroA0<8-7VvenS`H(F4~I~jSqO=O;bS}|Yz>er9&+dqN^Kc<79-M{syIb$JMVS295 zcS}*}UE^G5_`)I^l zh;0=ONlLfcx#?Wmf;%PXqk65#k(M^NJ0Ejyalm{YN--{HgP!6#%N{;MAPdkeB*1s5}1Qa zd{lCVp@v;KYP3WaMGs7Y|MCt${_Sr*c?3t^@82t5z54$3pWk)x{qfD$zyGmR^z*o# zl3w`lzMB6Yd;agC?{nAo(4uSyE@f+)$CY8C431E@&_sU9umYe0S?BH_=oE4kYr<2f z&46l{HqN4-1|^0did!(dETTadItN|6AL}NC*Hcexo!UGHX-6NTXieD)EPWQ|N5UU$ z?TzuZ;1%tCF%l}{fR(x=F0t0E5Zg37eLFan@Jg zD#Pl^#2k!SZ1fg$;3#LzO}hpi)Jm~x`21*1A5C8_5Y(qn&fOS%8mj0_jWaH%jH=bV z=GHD$anJn{{x$;kPAO5OZemTLiw>n8h6!^;uyq@YCa`b|8c%a=L$MC!?rCym6rPhb z!S$6RV)FX5WpJ>=n(q$tW=vXuP5&tuwG*MUU-%#5)7e>J(U;?&J)Dh7jR@I$)>SFd`cUwsl-C8+}B|%Hc*9RU6TTuA>19Zcwq`FK9wzT!EcONmASOqk{oG<+HeoT!Uk z3oehtm&5fz%j|x5wg8(Jt3sKD^};Z;?sH+RPViaDPI;#sRd=nM6)Dq&YtQM6I~)*g&Q7cL06rZQki9MmBiP?}zq zX4?o2NO|j-6~1LUaVou9O#BR&MW66ct{07>0wd(+v{tZ)Nm~&37kEPp-ym(r+Gr*> zNL9d%!JO`KqK%>ojaV;*rQNPqdl$+oW*E$Sv?3n^1mB-cmH3%`0QO{!~UnQ zU;kep{qgNLZ@+o{hria0zHaS$4>6wk-lKA^R?v0C{W`9lrVOnzT#(lzNUKn=5M=d3 zsetmULm5z+!j7y^qX*;#Jbsc#eRq)`f6xWuFBkdgKf6fbi`cyQ%E?$;$W4i^2pnF_ zxQT7>N_y1wcWwQ=cC+98am-qZR3k)j<{r%6wMB02(7vyt03{fSTmWMvO6kFtnii64 zN3ge6g|f|tca!j=B8owbW{KL0TcKoo9Oual2NbFvBJYm`;(i`C;OCgn*z(@!Flw}Q z7v@ZL0rWCpZduMLfTb*r%D!GYCt~_vKOPxtv&H)8*f5-53nMv7V&V^iuL!E)$M8r1 zi?nxlJ0$$v)v070VQiN;!9K?IRC=n`)3QmCs>Z1((b8^a6-ZIUHOLNMu4=DgeD20- z+clF&>obI)t&X^Mq=oB>98l{UNSLuAR->9Rl^lRCl# znZZbGAupz~6>r({>uwZ@3?27=>8_NgD+LK}pfg9iIB_rdt}Tofi~chxpmFe@ZZ~#B zc7&pMB@2TFG5_XiA@#e!<<+$6yhr%UJ*QoW^WtM4`Vs`PXAcgnrHme`xL?(|d2}O?sxpZ#@why}zxsxM_SfI(A0K`9hGUJNM4(>3`qO{vFJ680yI0?T|K`;+B1G2RjXkFTB^bC zT5wIPipjztH0`4RR0!n}ZmtP}i~DrrU`SX$h@9xU7YdR{Qd@uCp}JT$YmI0?qej-A zLO1XSSq*X1cViAgKZ1Z6%2AHy72y-hr3sqMpw#i=g*?5yuYdUJ&p)bn|HtFT@C%Q6^qja);sHlo;_Rp?KbVlrAMV)(eP~22d^>}JWK)3i^W}H zR<>G!k*FWQU{~l7g=HaH;wXoN<}Ar8uEBLMhoEa56@k9=un)RXjR!Mv423bXE-q{+ zn;B}BexC*d454HFpw%knzw4dhldaIG8^cIlc+%Pf>*6zPc*wV7rdguJSa{N@%=mOn z1$_wpER@KxLbb{CcpDsq`r6!}7aeso<`P7_>83orySwg8fW~rQEz}uMP}KuuQyI=F zkY*APS31vPp+DuNUw!_`XJ34EiRtTCzkmDvw{O3D^QS+4^yN?g zbj)#7Rw7hz z=#>T+VYC}0pHo^HKX6!T5+2CZAIe-Ur3 zJ7@!9T!nt+>9&QS->e6?j3^+S!zo#%dr~yK>k<>wv3gV4G0)j9tx|Vib-Z|zA8aIg z_as04SDqvlXWtv#wbi~1W!!w}f(q)S&2Vx+I}GNR*tl~HE$~sQXn9Fmu@2y^?Lt+! zXXo3IivosjUSL~Hd{jK=y1Zvk?0OiNUYvLxO1~$bq5;=HjxZMP;@5yt>$OgX zuav5AD_4-$73FQxFR74;ti3{(4Q5$=%07>->%e?L%s0@!CssFs+NOjMacywhq2)6y zy6_6aT&XUt9Tcq*2FjKO_UqJcKTFuLesnkJ2Sbr-tL^qHnp^KjzsOJ~o?e391;=br zsXdfQGo`kRFkYc_6^5v+7R<&-?<8F-#^Iwz4xf+X{6pTg#+VW`UPNjamOvVAAH;(b ziYh`$oA*F&Qnb0@qNta`kPrtRBL9o=>=5I`Hu8-0ZUt@A8JT<0y(&PIM-Lw%)X;7P zK%eN`P%yR>Xza~=8X>?9T?N&nkT;SAEuN>|OQ!Xo;_Y;bXS$7pFvK2HQ0R&o10c>( zD7K_N223Nq|W7bMLj_rXA~5VbgdX^H_9jd zVi0dcP)$fmt@B3ANMUxTrTpCY=zPDsfR=v5+tsUSFD@4vOF!?IU%ixC`h{1kP^9e; ztILZlfA$O62^w4Ugy?8+ZB#n5ydsK%cdplt{AECxipr>&qJR@&9ePpnAz;dk11?Hg zG|&JihEcFmc&WI`C5*(&Cb*##r?@p-F-Qa!oQRZMwSvMrt==CF;Xa*cp~pQv28xP; zBku}aRQxkmb-R9Uj!R!F2+z1nU{tUyCgKOJgFUBat{s!4-PYi@-TTKpXxN%KB|-kK zRyqPsPIuRxj-@A#IR*JO?|M&GaS|o54Hc%8Yp>#nyTO2kNk*@*vTOA{D$a;ZzzG4OOJTy73h&^ zVVybBCnq9rmE#!X=xq!UY1eLn8+!bF)f=dJi=(ZW@9fb%$yPK->Ff$x9VXQ^oxRL` z=?*EI_UP`ki&IvY2x?neTC4&yRUF*jf;%IK{wXsbxbbF(s?dwO{rrP>`|&Tn+py=! zjCELwsK(IJf5ee}#!!UGnTDk5-p5^SMM;DqkA~0hxhXnwPM>@{GBwx=4ZOAAEK<;A z0IggpI5+NCr7+3;F8F=?#iyTsO60pf9Qklkdw2P7|Kp=SzWMU?NB`@mzy9^Fe{slb zKZm^V&qH4R+mQEM_ZXb?}WAdH(@Q0zW*52%Fj`4dq1q%AH&-CyJ79UsOH{9we1&$ zHAh%$e-qWV_rn_b{Qa=D5=V7)ybEhcF^NFE7uB|39M+y!`io-Phu`eK63pT+4ra#_ z%<6HZ$|SGPNjKi=sRjM>YJB``%P-g=z2rFdaxM|4LpLupN6j;yXD%ldZ(O=LI~>ei zl+@4b9B0GRdc!%2Y$^ua4`b>Ti=seM@@sc5CsI?|SB${ZhAyD2MWwq|Si91O#3n+e zSlkIf9_P>3{^4l#OK#|=pdA1I6qFAHvbKBcLegFE0A9RJkd-cOd zU%vhB?T=orA06p``|ba}S$%Q0bp>w~DWX2C%qua@CEj8EppwlA6yA~aDTtaB{U=_$ zaZ9>nw(|t1piwqaBdpY?m!dafUU(WDif%aG7m7ckmh(FMxvemAUdSvL&f?SF*KXGe z_b8{54wl5bqJVL}Dz0`4Cmhh|x=Pq~eFlTI=VZyhf6#_{U zvyx6bmzEgEw<66{CKltjN-ow|u?~T&-3(9jlHKhPA;dTd726ul9V?f3ZD&BHp$zN% zQJ%pkvkrti_IuGg(kUN{^-#HZoH4z)q{k4=lr1XY>Vcc3@W+6c?`F|xG{h9qTr!@s zToc6yuQO?@I`osV_cLh=T190U7wDe#Ngs=vT%hUx89bv9axgYS_gTC+-WD@Yw+VaN zFj88Ixs2y2!jh}ptmkeLl@k4AAy!?_p&8Xai>}0pF8Jv-HVR6F4Apfzm46ZyDDgaf z$#b7pab{84g;8m-K>PH@Uo6T`-!00I|M{Z4IPOL};zXgAq?PX7778Q8*c;14I=S7_ zrmxB{#TBhOFRr_`Q-O|ggJ2(BRz-n(8ST7q*MbbZxoi?`6fxHY4n}y-a#gWUueTd) zv}hz_sum1RlDIFPx25ky+1Acbl!@st+jnJb?Csva&(A*l!;bpL z5&g8D4g=poEv4-WovrsUF)iQaYG-hmg$E6ufKOg0FXd$zYRgT`1n34 zis(D?WJMjg&GGQZy{C$8*X{&(qSBOTy|#cB^yXuP@au}K_j(8r__0i+K;jg>SHgGT z$J_q+SD*g&w_kkl@GAE&e)kJ@fh~#$nQ~;-63KC|^{T_hqaH!oq=(%7F1&`Am?EeW zC}kGwM)6x*@!St)Xim=8*~au7WZ)Ri15FX4E<0#I(4L&1)Eo{G->TE~Snrks@YPIqf6Kl_~ytW}hr5VzJ#$EEMu1?n;2SQsWW`qB1g4~M_h zz4_r9)$iqws)gZ{)=f%Y>?H-a3lHfrkvb*{iG`Uk4)=o>>4{tGoDUd$>Gzy3L@LfJ z?fKZP_|RR2@DaTg3_12No)|0#S)S`0sF)-h?c_Jj@EG+;SK!_Da1wEy!Lx1cLPi7Kr!?U12 z3dlIV*u^M1$LxI%d7-=%Fa%RR%`xCdqGVVEHGC0W+<+JY`QA{7)Ydx?%{rgMM6BJE zSsaoM2z_32jZV5{bX@dcZCa_}oVAW#Z~FL7u^c_pvz6%G4M?S}YC1hNYi`{|@~7@> zGDk%FW~_SJ7C*8btp?UjiaeO1ts3PZ%^xPJ@IhFmZ>C% zR+UCW&Sg2?!#@4}dwf@ud6&t@pTBSKs{gZ@7#k=&y#L$3`Q-CoJvt`Jl)w4idtLG$c;@?wzH(dQ4Izu@4`Id~ z^ez;m*nS`5QaalQI4Wj#r9s|O32)!-bsjD#N*Lg)E<(UGp%eGswLHVpT+6F}el6+I za2Y$J7_7pdIrwoxU|XEfpDuf5dAq! zTKjs`j2ib4UPZAi(#L#gP&Nzv3t9NMfslPT`~bmgtWA3x#}V2>r5Y{pBd-Cm&u9mlC$)NGeW@F&dl< z?d~pkgJwG7sU<6Ni&m9FpxQ}B2cNnVLbAY|H4HWU*1wKFK86cM{q%pDJV9~=C$xlyv|Lu1cGv+ zUpMudv2bN9=y-oW{Q9$>_d|R2yWhR}o9*=Rd;5tUK@&$V|Hl`fX*c}(=U=}5^fYdK z_0y2zj{x%hU#;(c_2Z8}|LpV6|Cdkxk;TM2y@Kyv{rT1J-+uHTZ@zi^vpJqJ#3;BP z^;Smr{ldAH*weIFcGgVem`?xy?&uapHZ~mPd!G`>e z{%7K4tq;X-7v$?jnD{Z?rfz9)3@6sC7{F(CQAbz$_H>k%N3RldJm9r90tQ9g&+tE* zf|r7AcWIHKeO--qMH!nw4$Vpm(2&-`;r=Q5bUtc1T2aLhgyq8iq-utYI&-53=HnZO z$inLdAp)b^reD(PtD){exg|BvwnxNl@%mIqMw6JPNKBp zknGIW#*TdKc~_c+Masf3N=^_Ky>whRPlf397!SYr;?IDD=ihwq<%OQ~f9r98aboK` zh6P?&%Cv0V$M#O$+$PM+Hy3z54&6v0`bHG)BaS`m!!lWz>M#5%e>T2%-{WGZ6|ZN$tXypYo5V5H2hG|)n{t;Mtos| zf+PCFI5ObVw~kwg#n}7ESyVzx)L5G8rZ|W4!x%>`m|*P!=j$FOUyL0nFKNYjX0|u? z4E>_l5%4w3lXh0=4$HEkwg2#bjmojG}y3$O0K zeDlY@{>`s{``NF5d`Z81`=3v9-B)_sgy{eJbHj$-7R~n0OP^RhD&Z*8{L~=!?t?!6 zaTorB54wW#G!;B;H<4cSZ?#}+ShOeLLfebGs&M$3);ZIGH>}of_A67}vcksuNOwT| z!!2D$8s~i>)rXskKcA_G81lvR<(V@TCx}wo65~@2!;hR^UiK$HuCsq|+1ThQu{U(c z;!Kq}&qs-}nqZZ^bD?>}zxY_d;4Gj1L2E*Uf?=9NNC8nT zPum-lkmEvRtEa6X{!CL~cVL`>FFT>x(P^?6Jy6hCrmhxx6erxH_N^8W4krvVN)6Dh5bhw1Vb zJ>+qUX`ORF5~UTz3k8f*Pxn6aMN8m#N-JkYimZ)m=hSEaOKD}=t#ihSMDEbye@ZL! zflKEb7#Y&v4d#OASrU1N3!MJi zCrz{hG``XiGmf?N-m|u7x~qPC2pqUTDHi`5x`e5lJqQb`wuz+ejL1iU>J|?SR}ZmD zQSacFr$*LJk8|WGRwgP_Ql3w32lz;cam^4SyqU|jEYChKmGZ~yIgFN@3n<^S>3>;Lo3t8f1BiFO4GVJUFMNy${iK|%Jp zEwSi^7#`M)N+aVDdJsl;TEpzb+>U+UsFDykjwr&7C9#l<;Dhi$N!jn_K7=Fm*SY8? z_W9y^KYMq*U;L}rE5_2}dOB~YtVYF=K>r+La3_h(pRR`UMXunrfuWs*<{G@WQGyttbmm%^v7{)M}#)KgIq;$$V_TdyxUykOa} zo4i~w!sMI~WaeQR{zMlc(JQ%4#RRK3x|T)xv*|x^qCC1H2!qo?aGhOAE6q?jqV?@Gm{|D{w+ZS*gtV)vI)@uF1hC>5VSmWt0GOU0Q|asIJXjBVjhrQ*~6b*VVJ zosO6vOU3rsgBz5JgZ1+%75jIk;*rqX{;^bCpHgvLl!}i`<0qwJ6f_R%X#%D3$5L@L z?@Gm;Qt^?~{&T4~$FS#vrgjujFfB)K^YJ8i>8Db0qEsCJs#J_0P70KYjYnPUDHZ#7 zrD6<&o>H-^Nbm@ZFXL%2Ujm3{&Y0o+pbi{#Yv3x+Q8^b6uWNG1XMJmqUM+jBQz}ui||SE*p4`laN`X=^jc%Pm|mQ;=ftW1lJt@oY8albpq#J- zrwJ#<7$_BMS5PX3;very#d=^9T@zDjqI9_@?9wvx%%6^M0xLSV%Wd4ywL@5;$2ySytWyZ6e;QOjA+>u<}+hH|q1xtzS5@Tq%OPVNk%3SwO^ z%gJAS^7*GvJpHk7^)KH($49mQ)$x%&^^?zk#0MY$>i?UE8&=HI8!rfiBaMJlyK--N zF-!F|Kt9cl-ae}JT~Pjk7nI=m0L=M_X-Dza&TjyTMp6^^rDBO@){ z_C^uqymi^uYIQ~7sfx0jRW|P69?ZW2>Y?IEN`~9hQ!-F^3dS+4u5Y*ltxtGx%^=j7 zyJ4wltH<7}U6-IpW<+btGoUqhYGKs+n494A60``!kv;1`9}^BOI0gC2e9!4KCSD2` z+Z}TmqMqWSx^(=46nXo&s?F2B|1>}Q)xWR^{_43Zdg}cs7a1kO9g;ZctNx%+@xS-CU%&q5?YH=-zxn1r z-+cKO0r8JMqjyDH>yfVLpn=Tfl6JLT_E@FR@bxYrn0(x>WUFWIXo=4`yUhcL@5eud z4p|z1ABhe{1tl$1?P=nvLve-l1(gsg=(YNaZSOV6;+|PwafePXZ(#J4_oZKq?=*86 z>dbV5hAU|sX>qNw(al?NXfpMD0sw;cgJMaY7;`4Z?-(&r&WJdY2E`p;F??DZC^j=% zca3+OKTds77Hu#*gvylieDQ2Q`voulA3kN*BLiLvhuXWBmLQ6(9F{5N>tW3&7zp^p zklS@V9wO&d1i134Jt+oL&!C`vSw%IG% zE>iwL#op}5#EECcf)wiLoUE2pTh!8Wd2h-76lZ8A`{GAd`kr2LK7ak`zkT=m>&MUe zZ%aX?c%q#_0n7V{)@Q**Pwe9MVq;TpP+>8k$B`*tDIlRMuRqz&{Spj359JcQH1X&2 zX-L*J9*6?o=jDIWPyO_>U;pZpUwyD?_}|SpU!h$2v$$1W3(>Zs1(XaLJ#(&gnIq># zWqq1`9YNO|r#*y$Ta!oQ zV&!=;rxe{YzVY}83?#V3?jNNbw`{r2g!CAMDN_j)L-_eb=-0n}XP#xkCy)5w56pXi zr~mu;LFuzaro}$;t>7&jmc@mZzh}J9r`J7r9AkP?BF9irfma6;~w)_S{_})!f{w+m27orpgdl8A~Qe*Uw36kU&Rxf z2%o516n3wORdxLCH~_Ij3A6FbC#JRvgvY4*=H^D42X5sxE5_dlK;TX^8G;V2Jq0bX zL>yZyJl{Ka3BD)^aP*pvwV$ikh$@|rQR(@7@$f!-_aZ<3&~tnIN&GRbzD8T>aa@W> zV;x>`!pCft1q;1Z;W-XjcSQQ()N@eMO#ad;e2$2?CX`WsB0`^k{+s7i@B?n)0nOOo zzy0P9Vne_Gx8J?`=J$#e-+%M!e<&Ef{qAQkVqEXN;x}W_y`ZYG3nNClD|dz0y`t>Y zZ*3|ZtPe3to2I~ij2m7YMd?4{K&sVQ@K#F{FRee1g{$dA_EcG`E`&TqP*Knob5WY{ zak$<_)W=4JB#zcvIkByeDBWr;uR^s)zX7Qrz=P3v< z)4Ai2;M39%AT2w0dpunW`el(^EyR6A-$TBr#HU*~7;=0rPJz&_HLXu#h>8`%@4$y> z)2Yi=)YM-PhnB?*fQfpi=bR$#hMnCT_B7FZq%dswqpGYD`et>LcJy;to-Z z4k3L;)2Bf=SZHJJqGF{)Pc?k%gY~MWnN=AlC%j2%om3o2FLuBO8;*bJ1`t^0j5iCp zwjsJ31^tx9IVx@0)RG$i-)a^4QlyEvn00(5=iKnKRA4m>Y~^zuNM}3Y>4~=Dq0A)C zV70fKkWWVS?&{ddJ}u>&pp-+_vcQPV5)JjS(73F3Uf_U=r1{0Yei%yr!h1bY@~(&AEh_6yFp=lzw9gO2KK-(prYs@+dUP;p0&s*Jwe4tnYsu;L+NiX-DCF zof~Ia3en|JREfX0<+f$m4t8$_)qckgk<1%uBNqIgSY^N&+JDp)R{c9u}rb}e8p+boz zJPEuZo4M26+5=V)!gd0YRWOCqWRWT+J3~V})|vNj?~4x?PX5{L>1*`_=gu)TN0_>@ za+u4z!!6EBoHdG#Susy{C+f!R-SiBA-COH>MzOmkfr3N<6{YOF=nT|9j9b@gSvdOE z#$UaO3n5&j5AuF<$^tl$D^}QL_3uGoHIV`I=2uhht1IbYda>a~MoNi&QXt-&RV#Z(e`@`lH`{_x9EA|LrR(?!VUp`R4Z@iHTr3 z`|3OTmw)Pk@Fz#ac;*_#HHGWm_nY-g7x11RcM=>3m9;Sqn$!?uji0M>#&VT) zi6Wz`lxh-u(tqFeySWuXC52vXqjBra4hl8Z??nM|Y2DSmt!t#E%p>4DBggU+y%WOe zjN8G&LaaXJvkqP4=~?Z>r9)5u5VKAw(IO|m6X3NJU2S4wXW#HFRm}5c?D`WXOHNK* z=Me?vwC+q&x-?e2B08g`@-(kipM>X0aF}TGa>Kj9CV#|6FjV#tD%+Qd%^k}hL#)D? zeVTS0!-;RlsrBvhDZVv(78A_$VhKX%F^B!2GfXHEkEh47ARbu}Zs`H(X8W}sYXdF+ z>`vhy;ZGrWe^B_o)-i73$hEI$wnjW8?sPYKg#@v2eZ*ELy+Bj~7luE>G7z`)V6NR7F2VFHUUH*I>q&>V}@H*JrFJpy&Yvzb6Knm0gwUhHg&Lq z&waFhY?Bs4ljE$x=q1tN{eUo}+jC^S(X2AH90hcHi~4@O&v-wxr2`A3Zf;T*bK8*v zt|Er7x7!Q@6vAT(-A9}57Z~b`595k|aeE2ghxPQ%3Q?}7eYWGdwvV7x9*S~-;Zazn z7Nr?VJ=%=Q_tENw>x$zuetx8WUoZm!r9pg{ijx9FQ{ZzZ#=82zS)ri9q|i7woM^(M zzOj@}^{5c9F?+-4Ser;UzpswvqSBZ4NO>&97EYjnp?2V__Cjd=c9TxeN;iE!;d~Pb zx@bp8dIv8P)(2<&{?+G3cS5HTx;HfL0Ggp?7)=$aWt6?NO6Mg0o<-}2V4AQ$A6t6l z{~2a1_`S7p<&M~);6q2)kU?c-Dg9C!E(e8b#=MS~ckbsg$x2YI_yaR@5i8rE)$0Pua!4uCVg1+;i zREp}B9!NXbw8(SCUO6FTp_pR_ z)?CgCSZqr%cV1O?L}!9=W9I`4mZj+d^Ll?jIJy} z9tL49ix$m`2lHVt{7)au0KDB;LFFzWsn=ROLds=6tFfVgoNrXEw22!3sWqp}V@la- zt-a{UpaOa*(gqRFB3>Y5CT6SsJ-lfAfwM-alESVayYt9V;u?gFRnV%mC>WnM9kOFZ@R zNGd-afVcOvX(0##g`Md+y}WyRdJ=5PUHjJrw6lf7z^iG5c4M5W^;A4{BDa;{VihzM zpJ|7E)V-QQQ0UD?q!98=L&hR8)$USt`d9bjk^O_3&_8`z_wZG1hj2wyRH2tiL;svMG z)i%XlC3mAukPiK7cGYaYo1c#apQlef;^SAp`&ZT}&e6!2QY__Qpxx)8*n*XN(Vsw~ z7sH@RpbfR*D`iWoOT9OtL2PGBxzXcnOgfJ+>m7xqI9E&0IQ$-JOg?aqL!*Z>@4%DM z<3J<;)EPXu`!QOjhtyZH>-A`*P>J!_-(mzjpEzWuz(?F@?-$a;Y&apy=n%MfC9Pbr z{?&5c7yMbMPjD}dJ8Hc=vJ1kbejSU`tb(E80S0!f*1;K zS5%0@U9gPg`gIDB>f=x&1tF2>#G(Yban`zpLZ-p8AalYoA2InZ2ERq)_2)MZkM%Bx zSHzyQ@3kH2&sB`V{$O%`BH^2y-*dv?c?V({Ey%8zmz*}YFgHcp;uQBz<4_vLra0*) zg&l6K{&-Y|rSy%$cN@N==F%lCvpA}!bUFrr+8svOKTs8602`l_)e=7$@%_b#fbo_EBk4vyE=u)N^U7(BkDp6U@-VMEY5uPhNT`j=F zc;4dS7{Dkx1>JrZoMbqOlEe_&M<1Ef-%bf%6Mp0O&1eFkK{~W&OPfpE=r)<%!tP8ReA41Ly6|7^j0e&(2~7Z?ek+O z?^|q0gie%#Zw0WJ9?g;=@^W7rqeIMPYa^{)X+`uA@y@3u9z`2%L>fnf6(RECC;FrL zZWa{ZqrwEJ!Jt&ATZ-|g`5bvDbR7i)1%dS^>|~5Db)(pG2-ycG46oe*uStOtA7{U6%2kDbK_lV!kt+J)OdAYZQnfXawJ|g_Z`dyMnRNB^ z3l>KR)GcYh=pPEP+FhbxxS*z6AjLWCNC({yyHV&}TH^wSUC>l<`p{cCA2YneiR&0D z8I#U9d~Rm1kE*q&FZj&cBkD!7q25qC6bz1KLUwn#UHISl$`EL zuBl5Kg05?$ui8$Ge+K$RA!D0@LE%8xwUk580kTqr!-f(0oz^mdoF^6>VJH}6Jp{c; zlN49R9*Y*%Sf*;K?>PsV!VybnD9}0IYp`x%pHVk@{8S#bQQJ6*(>DIdpDMp-6 zPtFJhTc5yYF)BfZ5(B7Ww#z@#P&O6aj6*twAu3cf+T)GJ1P6+k?J0>;-#2NuSzj(> zqCI57aUPE^BEojqWnaoa0|{uoF7~AF4Xk{B`CD;=T3NnPVK^rJ6#5h0Bp3!S9~+V5jjWPk3Hy#>Y{T`!WY8yAx<^ zx%LbSV2VO>q6!?YYxuI$aG}8Eys4NOj59vRXd<^=w#&;{2LH(FI%|Nz!eDHA8!sN=qU^^ zv)-H5X69VNL|sZ}csDLnL~+)YW^O5L&rDk>WEiY%ClXExmz(^Bk^~-0i608A8Bo8|lHxz=SIvm#eaw3!}}eVn_y` z6l~v%NSRWURZJ6-dPe9B3eHL$40#wKiNwO&4yD0hX`)Q^3R-I*-7J7O=~5R26tgp| zaIrM)_&gAv)KXqBZN<<|53V+czC9Oht!TvvX1j2mwDmdPWQWaN`1tXL3bQyZH@hL> zj^PH==`JnNOHdQKWQr$(328Dtg-KF3IUvz*F%Ho>DsD5+#la%aCCDJG5xan}(*j$8 z*_Ro+H3y`J20mTnJgdSqgNxe=jPgu+`}H1Sjr42mLNwKP5FS^8K@z1#MI&DiRJ}{n zdfhsn9Oom*&WZGai?Loq5VICEY*^}AEh3?zj>7_WX`SVWbkx?vql`cw<`QuXPa~!B zQf>5(dOWvK_TMd9_bu1T0h8YaSnY`fzCn;ZH7CaDPQ0Czq)p>wwcxx=)5{=Yw!+w( z0*79h_I{Y#q1Adb)0vVMLXP(k{9*W8Hx=V8QY$3l=L_=oL20M=4p{&2-RsAvQ~!MZ z&5viSe?{o9rZAi;3mUij{AX^xg7Hy5XjRFgJw-fTb)f0Ip~*h`X7DOQ{_n^b+6#3@ z)`*z@Agf~QZ+Q}{9 zfEaQZS|_HRJ)6Vb3Q?&6M+HEcowaqZiUneG-P2XEQ&w1t5lUF?0ii!Da6EVV;d1T2 za;E{W(vGPfOWS)Wi$Z?b6(xsW97X)=n8ORC-@`FP&?Y64J#A0lh}V1es3g$w!blxG zE3;nu%wCZbJTEQxr*z1)o7qt+)mB7SnC)X0@jh>)3TT{o@peA_ zC9O>V{Pp-q$D9Dd@f5PCTAp{oj=Z5Ze((LMNzlfKJ5d$E6kg^Ru1(>|-Tp*kPEY3K zEJZPDHz2Usg_l>fOx!8|Vm)>gW%yQT2HdrCedTBaFRzBXVQ&}-dSQ>At{q9B6p~08 zJrDXh!86apC<+T^6Mn*McRxumUf&Y*`Q%59}4zpI|-s-Ya8d%uXg=b1mEZE zx~NP9y`Opq%eC()yd%}3$9CYld#qF%zD}9Rnw?`kv&4Juo93_k zjC%B5uYZG$X)nWRndk)OXf;MXik+yBT4SnSN%6qW+=v(+Mt|uCMWFMD#fmpj{Sbl> z{}DM5NXKte3sjkpgTK^vbH6wwY!L~b7oCK^{_vs6kIffvzkc(Fx7vgs z{m0wy{`mI$SKs{U`@h1llgqju%(@Pg{Ea`IUyQ+@W1?iaL%f*F}3*Z-O=c(d5o( z6!}Zx0GqH%CMIUs*2*U6BJff$L@5KL!=$MZsCrJR(a$wYT!*7LB%j6Y_k_gi@nj$l)BhuJsj5D%x+cYdFuN&{rNxbjrr#{ZFXiIl}o##k%=K$?c-Wc*m~o&j>T4cps&C2!nBQJFYV-ETWMR zW7E6rd&_&FsU^{iB4M4ApNj2!|pa7jio&52#051MERW1Vk9@Df*+ zHO_m*UTWlsE+4}Xt+J=BZmk^Cu7AIx>uBP4%fVmWsGn(1m{FawP2ia!7LSprrwxJj ze1*E>o!-t2hoUUi3$fp^IeI07#|x|bW9>9kp)sQC?r=9|8AW>%z0){u->W8kEz#xQ zPHWF7u;PPq8bNJ21i2Kd6n*lucaIp?P!bSAHf;&X>KTY0*1;(3i<9Hezxe;bOE6kD zeW1Hy;6gnL#8aby+X#UOSvZE|l})Iov>>k9kQmXnMY?4*PIWS7m0Ht+HTm#J+F_h9 z7+a-KJ%B^Wo0WG)(goGrvC&IEgggn|mzHTvLRL!H0nukaGFnEq$9(P{A1OFs6=WN` zfW)?Fk4-!Fb)7*%TNwuuA{;{R3Mh))aU3;9mqoKJ0&<7`1XOZv6v-k%Cw>!EreAo(IbFiava} z|ISAK|9tiBtLKdWt5;wD$M0Uh`s)L#Q_tgmB0Jb=LZJH&0}0A9`%QcC`(O8ALh;MK z&NA38MoY6`uLM-l*HX%Tdk9o(Ifr*0gxEYN0$+&}En??(j)Xqwz!FelrfPemuT=$0 z5d;jh=!TG%aFdn?Y}qnCjW4!SW>5A-s`>oGjNzBv$`IWb=ThLMm2A;xx$o;*;aG~g zw_rnNs9YrC#OPRrLgydRr?Mm-jDf~@;t1XTJRjYAb9JrdpLBVw-i?pfjr$EP^w zF1)d&W0c$M$~-5<7iUNEx&%&ZrzyzZH)^%lW%0Wf>$gpqWkJt`Gn5o^VxdMV(Ze2i z=A{^tJNE95`Jy}TbGlML{@uU&X!5e?wbCbnccgPlr-{%ssHSRZJB5FSd*~In;!ABm zD_u`#-s&ocp%q?HLmMb5m!l?2v)LgAY~VLoBVrwQyW_&$?6gXHCZ3y1rt7sZJdfm4 z3s23UD3Cr4ePka`LA-G?i61o@ZB97SmQ#Cy!Q5Gp&$UW~0+u6kHunt5DZ3qq9=~9q zw;Y^%p<5itjbe@<*d8W=yCELcGFjA(!RQ1Rgv^mn)c+~u>5T`AL+_mWjl3hAw3$o9 za3rjr4K|iy_Eiv>$xG4ZB!nrD91yza?1B0=KN+NpHY!)CR9chGe7)Z-6jBY)}BV&7dyy+W%Fl*{B6{*q$6 zsIcf_?X@XRT^@rsQL&-bgmMrL9Qp34K{?S`6vc0e9y*HDkNg8oer>z)b`cV2iU6D! zX@#%=L<^YYO;xW>_%S+6Jtd{awm=e_E06~s-fIB97ops^O_u2)H$s!hZ|%mDX&Dkdh*y|=0i zYzE`oBOr-qMc5BNut#MQe&M&R)hj@?x)y>sTSK?KQA*#E5q}C8>z*xIJl8wr4xXTC zLMEY5uGUl6UJi=_&m^5`rh9NBfE`iRbENHXnCoZwD29CUO&{vxBB}t*?U2ebEAFEong>Ce6V7%2Ckm0M4zE!gyJ z7_QOBt{Z4ff^a5O+=YXI%WwIW%^Xb$dVp=QO zr$txyb}-xILUY=yxHHZJ1I02?0_~B@SVFdXSjwA>GHOGOlU35RVyE@!#5*xge0VrD z(;Ko&+*EPn(niz+6}6kRgV(t`aXm(T5rkD>IFuCZZtuluhSjT9*w^oGdOs-%;qv(> zT3v>DZhX}V?vq*KdYo};lhDOUXX_LT&`yhO1I}tkcBV=NT-vQSst7+FMp9$mUePWj zHl>0l8e9kR!}{KGx9z|R7)qzzUyY~31sh-v9+y27?(|i=!QqP{!lfWsW~r=^es0X3 zE7C3HyKy$3=o~^>?($-IT_9#+#pQ908Aug#9#Dvjf*0G#xwJi+vR=5F@-sTElg_bT z?yv;sv}Ae`vF`i5pGX~IbggAs+*XS5g~K2%8BT~Wc~5%HT5as$lUcywm7=rOlGYQQ zkos_Yx7{Er8aR2}#Tjjjm_aDt3Gx>t2lsLscwJ&{R&PJt-s&NhT`*`|TT5AgrkI?u zOX$>J1rsmE&G}07jcJMUJAOvA(>PB5#9BrU+n^I!pPD%_>W_UB4mgTENrXZ<0E=LY z^p~zzTl++1;m`{gxY;-&Pz-d>5sk2n$d4<92GfC>Q(AgH(`n5z9S2*B1qKaWb=&n= zK#LCKa{8(lR>P^EIug1+c`6NX;?%SXnEpD$nv`btLaiD{)Bb>Lg!^~AZcAPs=wT+S zrlwG9m^C0irtc{j8MVg744W?Rf>S9zYjeg+p)d)S=z_HOwxVVy(8XUjJI-?(~ZnlpOmdrcK*?Z8@A`RB7|Ns-0o0B#M1| z?Vg_Y2;@@`+s~o3xH=GKWW6_ft@cp$X2V=-tB((z9%)CGSkQ>@bd9dG=;)^nc)F%X zo>WPJ@svxyxKA*aQY-|HCKSBQ-gQ4_M&)8nuzRJ|(OeXuuPj#{j5rCis>5!Itw>F- z!y!LIbCc_$vbmwiqHUbcDmc*7Q}3)^=u-$>Apq6N%^@#vgyph7=hOQ22*1-*h0wIZ zvWT!>B8v4Ti=njlvH7LIJc%&xNcRdBher`YXkynJ)uUNnSXxWgQSjB;49^IeBAoVs z{$)eR^$1GQV-)nagNG!e_@Ypkg!lws3!U556BZTBe6Cc>Jl4!53Db={@%B516M?s0 z3(+G=JP3OV$%jI|UTj#po~KhyAB^F(2usR`)~1rwq%)zFy?d?N(;b`{2N9iJ^p9gy zWf(>JJsi#N@xHGu>X(&*>j-f-7skUw>9Q(;Kf^vNo#qzK8Hk43$CQ}n5qFEe3S}M$Kdf6V28N6x&2egq(U+fi{4bGhGtT(t0N^ju9!9%$wZCiMl zY5P}b^r3Wg2h`#| znf+yVB$6f#6B#L{Te0%7vR9}W58Xrk_GEaAiCkr6j4xW*(j(e0pzQPzh1V0TUMrAe zLNw1$Q!U(A+%9z2oX<>N%(@#~tA85Rkx zk4hl>&17^k!tXZ&mdqy6O?pL<>GLY>5%W`S_B%2VdqzlFm#s9Qba&mfBo;a&h3YTA zP){Xc>W1Kg?63(%Z@n& z60-UrYcnDCj$)X~-5XVdJ95hKltGfxDkcGjpsKjihN;>l*VEn^?t#pW;4>ZJr(zi1 zRy_&v?DuMlJfiR%RQb$8L=265gEpBT#)+K>4H-@Wl+rfCfPik29x;k zX&N%Jtv&a733E20C=U-u5hi055nH@B5FI8Pi2~(Nl3R?jDI8|gH2q=~*e!jGJl0xbLISL|gnR(?VmaoKw zA)-BIMQY4XfG38V{>2c+XPlP(@y$1Hzx(KKB%eM(3is=;-+Y5sTzm7Me^HF1&RrOp zL?8}CJI0+Wt<~s4psVJI_T#oDE6xd^2oO5q>)3_4HYycG;O;)F!tppb@derWVFwvs z_ZsM+xJO#{%$;hZ-pac2h#L~AS3fb`8R789LX3sOBE1k=Gov17iL{yKyHAQ7=*Rg? zrxzX2%jZKc0qutRl#9h5w8uo~9z6%8J35!OlNFwT3wA%WBZX@8%i}pzAY* z&?hY%nEeYeh0k6bxV`ZB`QS4}vAA62Vi4h~khxjk2@e!#DJQ8pGfR=M{~U#rom`s4kY zt*CO0nOP24$Uq{42_jVPIVjty1nqmKXeQV_Q3BYMW*X3grdqA7{bvEH&p-e4(@#Ep zxc%S0fBpMc{}-I)?Kf|}{L}XzwQSy3&O}C4_i5{;Ku6-M3`a1&(6YT|UP%Glmn7zW z?m+-liRU`$k=$&bJ2gyYlIs>#$iXcqEaC*Qm0spG+;Iq6RuD%B%826<08&&Oio#Y2 zxm^aqRo8aKSQC>K_h2BD5q-UQi%CE_X0Vsug6PWW2P!37xLdUN;Y|IpXo3idz=PuL zIu+cm#ZaOUwIcGN;A$eTJDIFCMrArr*tbGQpmS76E|+^^`*F7{+9TvkarE43^*mX37=;34@WY zMP;{&O+^Bb<4kEz{X)*lziAxzpNmcw_CL9!dyZj{Y<+1hVp1Su-3DK(2clAb^j+_=19rjfo5Wp^6c zZQ{fsTvediV&-KyMYl$e6zQM$v2O3EyD^=53eo*dysQJ9(GsKBkPLX(L4gE`ptB%ttg-? zFYelN$G$()PECArUTx1!?{i_+dr|4YRQqJ?hHk2M>MwX;765xdgulPh>l!#@X_p+@ zo%Rt=r)`Xh2e*s-Vw2}VOo^Pc9(xMKRr2 zMVA}VWiiZtgChxU5d`EOQXSm=_mcFibK9n@Qh`b3s$17P%gYkMTecrfTEF>#7XF zDiS`Ox%$|M;XO_$$C_KWqB_bic_}msLN}YK9BPyKhViwwkN)?H)P3Jqhr|yD3Xh3R>9Fk092;@QtaMpI1R|Ens0_E1+CNOz;ap zAPD(k5mDutz1bZcO3%5dGi~|`y^lUEtw?$W=DM{vqtIH6&CgUD+RVKoZjFjIFy3u&iDz^L1fe!#X!ETvM!Ke7_3)AoF?_92RG% zUH90LdkU(_2~|$} z%$Zj9Zo{r)oY;6vDsM!QQaNY|0JCcmbh)^FIrrh9G4f@ZRvSj^joXtd_|6 zb`5Y#rV1%ZPiqu#If5(7`1g*>kGNn#NlZXp8TUd*BRvx(R#cl@(w@1&FX3qlsJf3gX4`iXb;Sl6s*QL*N9pO+EAqCir^Ye-2 zO3W0W$yqD5mF;HnhkdY$7nQ~UFo|UJVTEN5Lyw7)=%t9T;=rlGh{!6l7Z(4<#86om zwFRSvKdwS!kM@vhM><3WwBMZ~o}wr9C|!k?zEGZa-MM8w^FwLUDRo@?%0X#Tk3NCy zrm(_Lp12BF4OKd0st{Kc2ppH6TRF8wF&~Lx9ql~zaBk*=435%4&q#zNx@>*7G+4}p z)5FwqWcaeJBjVP%<{{iSFJEp&GR$B+xJwW!GHFU*fE85ob9hCGD|ggr6)GD7lViDE zfJfxP6fOT#X+I%ZmJp9F)o4FZcfvYw*P|1($zpMgnOhV4wP!`~m@+X;n^yTK;&LbE zf$>-4pd>Ht4#9Ul(=c)qWx*OoTXol35_ILj7zI$H_oFODkwF=T&K-eSfgFYBi*Fk% zUfcC}iCm5na6a`=ycVe#Y{YV;l?KD;Yu1jg}0Np@5%uj-<~Ombtz1jUxCI z3GyNuNT|1C>Oyo2r?BiLKqgY6yp@pVrGU?%r^qEF%%2>L)?`)Ijci$~7eL4EZ+7$Ts*h?(ZFbU$e|^cyJ9&s-W~ zmR1>EKONh+%}U}Pde2$_0;!8{Fzm>qs$0imZGrPhK9steB; zmZ9|=?6)cYFey1{58u({hKL*y_;=QZ48)F#naxT<*`g_ZfnKSO(4a)mKSp>;2}FwX ziV8T-zagwH5~XF)wZjgj*i4B|w?`SpDaA64BMj>Wu7nv*j!PT+CSI5W>#`}layG6s zpA~JVDN5`V7ZvBY2vGv z_uT2NK(xfMue4H&p09fZB0Rp2VC-i~(Yhn7_;@3p*P*>vH*K|vF%Ht=&7h9!GPO!P z7eXU7==0Kv;fX*CLr>Tw9tj_z&3T6&c@?UI8YVjU66%j4|4H}>o}s6UWtX1dlgXam z$wW0W(+!*Bft`lEIFr)?QWQ4W`5Jt7rfyX`ToFnj4JiUdw{!tV+JqGqd)Rf&9)cd1 za!NX_K8;Fe(0kCf*HtQJ>&L=@aOAa6fj-Zz9GPZH1yLOTj(nwY?Ym`gQNijtrD}>6 znE%d98TV*dr(m=WlcR1?DG6ye-xW&-%0FGeOIsHM`2t-zCSyNqftlc;N=s%V3JQi_ z2fW9ZLw6C+OIs~pj=3xJ{0j#*%9xLX^H@82kj5Tuv*?uWjcMmI)T$WL7Z$b(_u5^B zMuSs?DKbMx-@c-y@;sWm+Rq5d>+Xb_Lbg2#16$Xl!Dm1RXMG1w8ljxK7D-5mly7! z^<&(Ea0(_MMm=R+Nz_ep0-|+dsy2ZBHY)U%%G8akhf4y@SIimdbF&mCPAy8ethSRs z_WMFv6+dMp+E3%hR&9Oaku~>W9n4)Y45wG6;!77DmFzABD#O{4@|j=jnfG?XuI;!5 zFv{?XZ|Ocfy{LUM#H)Qr66b_AiW7J6PdhM|q8Q4m5Ea#nVjU(xUac?Ora?ubHoU;5mng^XZWrO*`V~ zLW6puQF)4aAQX3PT^trJ+;rwnsZ_!LpaUU|4PhyzY{5>%wA#Q>_!;(AcHL>5^%F#1 zAaoN2AbNR>Lj84Jn+R^fJAw`tj~kfT;J|#hOQ`Eae=hguwzkeVrcxrpw-K=kC%E@`O!a)= zv)-^#X69elEoO6F`qLH#{ZK>S?LPVu%T*M(ko(a;fO_$mNO@aqXgn&pm>~;KCKNdZ z`A>|HPtJ1b!^hTL$b{0N5Cmh;+7RP1e%H^mf=#lP2VJ#;!Fk_M^bY}H`vX^x^q@+y z@*lU3Q@mx%qM z|KM&It}}EbG^7o!w0*?j2OZnVICJiidNV625gp&>*3oWj)Czf zT4j_SPyNZBl&CUHrY>=1v@?))oXy>{(cq4p4vqdP36CpdD9#A1iqK>%ECpc%?u!!J z0W2=HFw?EH!GNvNa}w&kT%ETir$js>(VkzAK?Q4CZ0$H``WeC{irS)mHiGk0uNDnw z!$hMHJsU&0R?o|ti~6o$K-*h3gaI)m{NyekfTu1P#OToLy5=yPnYiv-nsGOR@8auN z#-ZzZ6)aVi3zU5Ka4F?JHR`G8sd&Ux$fdQQ7akXd@nfvo&RtKbHN<)d<3|BMrD~gk zm|nTVhWgeL5NiaBsl5b;J)G8#_{`yCQ#ed;el_zh@Av0Ei zX$YM}4mjbfMhGk}y#{2OXs%=e+A$32JpJTb@+XXuaSq6z6X&a#*4t|-1)%CA_ET52umKhoj5@_)(tX$8q6yQqMUfOI%Xu$J>c-E_r#Xv4PR z@gwBDl!X0AMAgkHgW&FfFl|U$qT1IA@d?;dtUa{ko!(G8ysp{{jNmlGu{1*tlWLQI zj&m;_>aX5C)L(z}@Ap@)U;XgW_dk61=G)gFF%$Xb%b!k__uvH@BkkehXnMh7-}I`# zsP}!$k?9Ek_0xa+S@W3lX{mQqRTXT*J)cpzs-4G?+jF|9dwFaZ!l_g#Axkd&7+xW*<$nY_%@l>py%Lv@z zK_%!%Up4z4aT#Q+4AP(b!Rf^n6kYtJUt4!aLxAbRaUy;G8S7$RG1@e*(f5INlxx*q zwEG5OUmCkaCLIkahHmBO6ojEDMSf%r92pRj=LCljfZD924M(aqo_84UF53SF~(GPts$~mi*rN~h>{RNFV z-a0FM5a__MOt^<5GSGE0=~2+t%XeRQ=M;+WOb!{2t+fJPB`Z*G6k_5S6pb4#pME&{ zu9U=RwF7P$y$XaL>v{UN(ZDMFXxcR`-Bt?bm%go`k9$(CjgQ>TiQ|gi{&JUyb*9@; zhz6ziK;J*Ugvho#aJKfQ$Q920%f$oO5!k{ zBF5Q}drm@N5DuKI6h|>8)4pK$R!A3m@cM$TUyb5d69>@8$nvDFgrR8T#cSOOl$ECt z)C83`KLXRr=krp0VEQM#*dN4$FW+iw{A`!@{kN~b`@@^BzgA5Bk3aqK%^zN2Y5MJ} z?|yjm!<(O-YfDS4oMgq#sAUn%#0rf7sKwtQ(~(_MO;p66Gr1BxrE(=SkturGsiIxT zDPrssZ1Vo_vx^%rKdxs`gdAM+;y@0F(oyPMTSm)oCZ_wsOcfB?7|Ll@PsocC-~B<= zfmxxV*Jw ztX_b@Jyj#U%G8T69^7?`X$yKOvT;P+pCVDRIf$+cC2xs?>gvY2$8*Rk8Y>WX)e4yx zq`4@ad4>g3bd)VRO)kPdLZh2t%6+xlqF}{XYW9q1i~{@-!oNfgd*W>RMig3j{asp` zB81n*D08F_^PZ26Uln+LD0J)NUPIifp>l{!ESm-wZN1fjJmsSJ{r-A+JknoG*zZ~~ zKYaDWtKWU}yFY#N=l3%*-E7Lio&n*`5QZX(!k!}H6@oC)xqD=`M0*{zz~rib*1WH~ zImC}KAwcw=NpxX*BwY}2s=6*@gcri>b`9J@M;3I{FNK{GOXcI5hu23AhI6%UbU!!* zp}_Z?bYtkXl74xA8a2zfpHU{7E+YM)ocj!*aDPtOcE)QMgO3%V1^q0u6N3&*0e$^s z#00?W&+$upx>6t2hRGo4&=qMNuj4>9$ym3*Ui4uqyYCn>Ux(kV7+zt5Anuuy`yIMu zUFms$@%(@D*Uvxyqvzi;rCedYbv+hq*lv@8nA{&(_k~Vwsdjgcs%Jnx)oAD0RyUiL ziSUWkvV7>4JXP#w4qEfdPfguFrNHD?K(grF$j`?i;T&5k@6`0<~xwSp6}-RwTCm zF>j}B(HSeM5gAtu^s-Dm9wSZY^yUY_U9InlsGYuoDgq@Py~xD`?f_aRg~qWf9`d&5 zBdQ_bfUaNGFVkkOsBxR!DrWE6)j|#X5CYrRHJ)*zJ542eWh6nsM-YNCUJAl%30WaQ zK93K|(qq-mV=e2m8_4SthfboE9J5>**zT>iU9evH1v%fIg^%rNS3KN)_)!%e;_!^9 z)O`4rDBT@puVeSRz7#H|6}P7MOCPZ4Go?R-l7(&`y|hHRxbFhDE$@1tm)Z3P-i=fh z_sj-r(Q@x+=4JWVvjb2FQ`FMSU_v6%IyF`1t2#YTf@X`%hw?_YoW z`kUXs{^p10%=+86U;p`!ufKcs{p)|U?erYASQS>8wZI0!2_@!}5})xg#$zZjYRX52n}#QDj9cukU|%-F6Uf( zuo24z3qjU4WJxH^;^J1zbX)5x;XLi!DyIqG#dMmdjqxS&zZx z5ykKFwJ_@3Q1@thospUl!UlR@iEha>!zI8V!kH-h=Rs#v&s6^L1NV2XTXMQvYEt)e zyrhqadZ12xlcoVJm6KNUzVePRT32XG3g(ELb#QQQG_H+Z6mwmkqIF?LuVQSrcfZ6# zQWe-6<)i~n$n(5z@p>0FAH{L7V-ka%k6gb(^=*>h4~pc8-VZE! zuT3A_NsUyFMfER*ytbGFG@{H)nMF}0T9+=EodUhDmo}xP=JcfWsT?CV?zAGs_RN}r zUNJ>CYcKtoFoHINLzuwpuF^74ndwrS&`7^#$BcXZ?SBz8>)(GWVyeyl9o5)p~I zu3g(e;8Q`e2^j}3BFQwKq8P@5i7q5T?0xn^qj|!0p)eBxX#NxW?hNI6Dt^BHv7XxtFzxLKSN)6Su-#&)Bxb+1pWv?s317 ztS@jm(*)X+7xomAZcbZi)Akfxm>mfgM-)~dY>XGV`)TR22BR}A4NlCQSPcV6cJE2V zX$v-2f$FF%AxgL##u*We^Lir@le~l&EeD2rUQ0{(>JEu;-L>0t@whizQ9kWRUH>@p zE!_z#NZk32FP3ZxqVwqK0y77!$WIP5%-L2`FkX<6ZUx-pUBzewio!CNG zGO5NhC&Cml-XT^odf+U_M4@kwBNr25X<4D&m68|jz*@&8rPlkZOkpdKT}n6{#tSMw zwyx)9GZ)&>+^)Sk>|ZiP+b-fCF9t7o2B$?VPRvRR&*|+>p;YbXv)^7=tv}<}mtzdi zh|k{fDXh&lV7C)Rr%Pbrk(uQLcO4|!b`p5vPm#PSODh|1xXZNnw{F! zO&Py&oFrzizfbojNPyX4!jUmJ<=@Dd$)HOTd)w>Y>xHmj5?p((RnN-hi2DFmc3LCe zRx_U6vM=Dmag@TT&=h!2OOG?sdvMR7&~0cX&sKXrZ*)FuA>B$-^Pu%0oI;ZEZh6!g zCmpK;qs5vUo`mn@(_=lvM+V36eg#`IYWOiP1kV@qFIu3Tcq4$7?tuEp(tCdPHI{l} z-F6F2Ye$(%D+2*kg0=vvJ0G7bnxN6Ee#B-FSHAQ;77GFWu%DYlJw=IF=x$G_MS6@p zPam+>K}-aX0McYYo?QQy9#KmSxZ5^qL!${wk3nP;BOn?WDbRGS7edzgR9sVfys8k_ ze@6X92e())BX~k#5tVSG04>bSS)H}c9&RCPAyj#B+?EVlDG6_6yehZr*ke5OuZ2PR z^a3YQVEb_Z=cf`vdGqDl-@W1YrQd4Qq;FxWaDtt#xQXw;eAUudqJCDuUD*&oMnH><6AcE#(eD^~fZYazs4V zrk@|d>^?~&01_g-Jzv07qc9U zKI=BurX(EkO38Q<^-gajJ;zfKT3fJ*IF6~{sqG?A7%6Vy!HBg1NX(acCh%Ok>tya=-}=c9e~9r>H;vYkl`~@!h|!4gCu`9QE(dzY5(5 zVu-J1r24~(olcKa_y@gNIN*6+IH#Eww#O++F~GmP_YbS-{oQ*Pmkg;0vuXJZ32e`tHx)zy0}y<`Vct3WgT)i(zlU2BVeTs5~ncP9*m9xDozQI7H!33q9WBI5$C) z{lrt2if^}d?|2|#*=g@~48u`YyF0$wp$T+>(6WE8-udybfBj+m;{L&x_7wI_a5uD{El=0wTfU_y@r6ODpBn!_`i zu4_R&-*HIPnd%V$@%TF=-SE8!X0^5O_aJJm|0E(<*MbYna~SC#gRrN|RD2kugz;3x zOG2?zIK@(hH%#M?=`GWM&Unw!u?WT79yyBgG-?$gxe~;E#q?2CWeFqguqMN^M!>bB z^MTxhepGpSLrJKFqIkMbMti*#-U|%94u)vGQH&DGQLq+*B{o%e%7YK$$$IlxaZq9-~9gT*BtwxG4k@*CsV=M5n5)jUZ3xq0t2;!vHPHijASt# z0V{f|bxGP4OBy0>ePfKf)EzHVVKZ$at|v1f?dX zt*A6*Dw4PlDKwQT3JA?ryq-7~*0U0&w07z&Pl?NImNs5=rg1Y<=*Be&(I4GTw1Z-( z0QSU|X=p__G>m8uQ9c}fqvu9Zh$-svz=q0fbeT^(?MRn$zP?njQERXcMFJ-DInPHt zQ1Ff<*tFE>bydQg8HpGzXc72FxX)h88MtRRM?%pc1FJ+|w_+bag(5#aoZM~t&aZ%F>#)Q-{<)>xJj13d; zwNipnblrx#8xzcielDDeZLG>D!Z-cJN zbsS2rj*AUcQH*%dbrk0fZoNfIxGpC1o;e|x@mDU)tM<#$^5#6#!q}SW3GG_Z;?o^W z1Y}b>IgX6P_YoI@77Kgxv-6$M?veoP*; zyqLdt1CruuV@bAp)jjPdB!Wg=8K}Y04>uh)q)`eLg_ZgbqwUX<#=~nPDG4V#%YYI3< zx;d8IO1+Sko>Vo|ADEj6hvd1F{yW*Dkf$%j@Ku9CM|G*zzit_p2 zJn(V#L(5~tidxF3$5ZFQWH4n85+c^Q3V4x;O_ZSFr6YG6DUgYW2~!TgYPRjxJs90w zxwH{4)J3e~wK7JaH?xuBs}ptZF5~mX(Lpz&Z({y2mSMDi=j8|({HbH7KplU+(($Y~4KkI30@ao0Oby?u$IAz0(F{%Vbj$Jn;T@IaMU%weua~ov}6wLd+-Sp!eL{d1>uy zeXQNid<3iC^mJ8aPBs&OL71g^E4XI$9pOTXfI+=D5}kOuoVIWt+h?%{J(wsFwIej! ztZ0c6ykYibABC=oW%bQ@JJ7A*@IydElmqm7)LoGKyzkaZPF4{{n@0FAJ0JJ*&J8vK zRyNGG_Ie<=r7$Eq-XEE)ybDHGEqLTR$v}ep*%ptaqryrL47F``y?~OB@`;e_pT7Lz zPv8BOJNgS~c{{~!$5+vlV+Du>_>!ezt)QdnXuTeswqbS{t~)L59A>RHkcCU)rWmlC zKm!L{$kXFlhw;0wh2x=TCXtgSF|)x)TOWGCPNe!ou)F9V`wb+}-?0A`Q&&?M;}Db) z#;dG}pzR7>ugV+cF{i+s5mLOK$t8}_I|pdzunIHtI{ITXmdl}de<)?16Qu}vq4uZ%ofR#kh1oE19P2_#@>IsnLVSAS zb<4|Qi09MJX+WWZr$@tSxbs@ai+;+w+z?0>5yV(vZ}=T*cjxWYYNG+H@jZ0x>seI% zhK%r0s>oB*Ij+!mk^{8>+7WYpEaA8FF<*@$bW|Xd4QyviYi&BgZ1E08!MR{gh}*8G zW;A0+;$xfMdJnmRyXn6YS`th{z*xB;SBq4UtAQqN1xDR?q(Z6tRTkX!%SoHJ96UZD zwTR*bL-ov3>y_}eA?^IG?QsJ z2&*-GJ18wUtG}E3K9C8+@43)fhQgwAl_^koP6k>u&N8lk8#=$w#dy1SOY7aDT^l0J zp{oc62wE#>MI|Cv_k}==43C$%@dEwLgXzv?5@nRLmS4>cP!o_0Dlm*7L z7%ekjMj?b+@2ZbR0$Wgl>B&(@QAFItb4T?P0s18ly_tT9khaS1a7?J^rFcQS)$WV_ zoz4P3b#n>>fsRYDnF%bnp>9G~!o}1`EVITrFpz-Y9JG2FPIA|NZ1JdCH;N<*dvp7rSv)Awp9*Bm=rb`spy8#BxC>nSd`wr$o$0()Xgba_TJ#JzwXA7N2Md%0)>uv}`1Wy6cKE z2>H~i!#0oD8QaJ=t^4jl(`2R@n2uj|Dc%USZ;2B9LbyK!#V17P2EA!0iMBco?~3hd z_06Vfb*6TM8bzt+rbu+r%$^up6Q(Q=lRh8aQgM*GSFZ(fDeYM$dHWnN3(2MM2!UF3 zDkA;Kv0RTP^ChsaKi0|@AGZ*j^`OV4l*q{O5vZQ(`ZvAHT9Hj6;RQ=qW6(BUX6bfL z%IaFq)M*lRZdyHid={1#*`}wie4-_Ia5$|`RQ${Xv%VL*ic)X+&EM>*mR36kn!|$2 z>76R7Ubk+npQhBOcz%fQ>|B8!{f;!A_^nWnvV7c6{!P$lX<22&snCB~6CCVD#Ud3A z7A!G4mB@7r7U57xq0fI(S)yh8D%8NKC^>pgMdj&@)$%-d!O-z;?9(AJbm*U(m_hQC z2DPg(m5g*9DrWa*7)d3EL`DyG#U*qI@+wB=l>>p~!5+)9G~aG>KKbb(PHqny1s!^AVjEURVu< zKGA|mwzWmD^$d@#P3lL$mA%s6$|I`73x`<+o<{)I;Di+!_YlIleL7~x4$Agi@xb)Z2GP{ zBNMC3HV4%_JyGKijOVoWy2ysWq>?8BppNjZb!g(;^;9;@N(Id=9tSV#3!i@Ym}r0d z_1CX|c>BY5Z@&EMPk;RAKS0)RzSN8Pn?sAn@IU>=mBH2hj`+qaELM6Id@+rtNk-0# zfsk@=b{`b_=h#*~XihiyWE`a(G#iBnWGNWGxY7?F>iqI6?Oal(${<9fRBfI{Sg$K^C^%h#w zeioJ6IFdmP%P8uLI2=R?eTU&>(3?Ud>*}Faz3W7S8rER_Sr&Q47Pn7>g3H(_F&7v6Czt=nC%O2Nkl0cg%Kk+4M$&HIIC z6N+bsGZa0SO?2(WJNU4#-!Fd$mbQ(QsEP|e(t~~LVdx!X8s~6jnU5&7M<3H1rx(hg zr$UdP0~1VJcUsB-hFx5w$;AckEZISnx=u>jCyM?Oa%Dq5hA#qXsAUPnON4ol#t>GqwKAp<1O zpP~G!pA>)Ph)9eY^YQkmom5@u3{T(jZpPLe2#ww3II+@W90;N)(g>e3*x3jq}$t4ORr{K<0 zffbVM{Z^95&niTFb7fYCZzAK~S`$Lu zrx=EhgFZxf^!1Kh!oCFW{3#5qRmO4 z832>vBrg)HZ&`HI55d8G2{ra|UWX8@@TkHK6Mb9m+Dl3xS1X4}UrlJ<=_Obh5dGr)g^41^CT{ zs@^S%r?7e%jBB)#48of92f3@co3^a)<6(@a;65_4fSBAK3yfxbPWQ-m>e4dG=Y^KHVFe)+a^t0ai#UXS zQ6SSU!65x$9rQ__>0k^_=y_=`V`zM$GWzIBh_N8)OSi9HR?ZaqZt8eU(aoo~N#8(U z&bqOO6eP|W>B{6T4BB=_Gn2pvt{qGdDxf2MJ8&CAH%57-o{u_@BGLgh7D&^=9rnU0 zbTbr%Nd_$-m!y0+D?|3kcvgc-6BAg$3xEll`fF*y7z7>_#90h5i_-C+hdgdIEzaQ&t=gh*de`X?+avR>B;;^m zD_NXgnVw#A{$D$_t(xPO>0Z_kN6v%vI#0zQeaFQA=xHv`^(jd&B6{~imLkx3`9dG* zVsgtQW`C|@3DBdZ#9`V=c31jD4k6P?c`}XGL`#`*W?a;4)fYirl#$(wfc)!c;_bWF zf77-04+62>-+B9;xns^YqiB_~fi6ZM+o2#K90dGvN*5>cBXeolojK-B0g_(t2L}SiC(+k&BY$jI_Ejrh9u~xuRPzN)7b>i(v>4yUpHx;R}fK+q{z((Y?jYk;>uh zK+yfV^yKs$QNfBOG-9cigre3)GU731R0XDw34sgez|7|?jRGj%1c+$%j8r_XO0>d= zLT?x+<6*j=4lUw-G+XDQ5bDLt6J@SsFOTdWLLX`t{zg zzd`3*`1BVgyNz{4K&cZ3f#g}4ie~HW( zx8GCrzFs+O$58BVb-7UQa|hL2@v-|{kFFwqeP$l#RDjvF9B5t-dTt7oj_Kj^FyHUeW9WQf}jD4`MjReWdC^;k-e`hEe8ef8sL7&CEjytkcxB8JF>%+I78 zzvqmuOR-qSS?!4Ib_<4Ul^3V5h-r%$qIdDob(W@xvnjyWaa1JwN1^@{p*8-q5aqUCt&LN|qX(>(#9d~%aypoX|NXKdP1qy`zf&U2n z(pd8TJ%0K(L*o6_>+gT}=htt){#oWh`x0qxE$ObcuP}-l-FQw^#!Rhr&WO?7sYP)X zsIJ%V$CzQW;_1`wHd&e-0^`8SJdq*Ng~Z%i$yVX$9g*0En|V|qGl)QHg`bY$vX+Ur z#Uc7UsOg{Aqr(->eC<>3O}kzj6@mF4Q_kAcEo_4MUO-HXk~cwLIBBrq)Tv8PCk$Y} zFovy+3=AcLUCb9z$FXTsBZyGZZPIF0LeI}!Q8Z|tn^RyJ%Tg^qKc0R?y=hYf>5N%h zQ;r#r@tR;3wKxVmyRYW)cz^HlfBxGKn^|A4zkd78M_+#R^;`YPn=e25UO)8H8zEJf zycdID&`H8n2G?^}On1sAVNLYugvL*rt+C6+6Xki0Sfwmx5=>)iMvP3M9VI5c$+S3s zag1Dv;`^8%!F)z5U3_ubAFjOp!^_4g?-Jh&*(KpX<#y$jx=^uGk`&He&E;`Loa_}o zu_k1GM3FmhdNs$Tm4%!k=hdB`R?rs}roTMjFD{T}{nA0?A6}Rq5AFSS;-a1_7F8VR zYdN_(D5N|MU#O{FxAthDLH4o9MzHdXV3L{w9j1xqJbdP~&hyhtq}a-`#+MG`go31F z#Lq|2a1#^TS3Ty*NzuuzmUqezX~)l&dB4be!*|5tZOnAJvcFqr-AtVWIX&K3qI|4f z4yo1~%>tPSdSZzQZxNGfmvE@yx42PWS>&=H`bN~y5+@5N;Kw5kWDFIqW?fYbi;C@e zDY*zfIKl3uZQMoW^JQK<@Q?rJR)j#4B8mrjc0rAn3kn5l|KUA_yhl2pd3lUcpZLQ~6V{`Ea0}EZz@tLKK)-){zWdrQ zW#_yh!DDHzjZQ(4;i%Pcy;ICk?B9*E7be7vdOKy`Nu_SOhz;_&SploncV84}4}khP z5V#dWV{}Q>f{byZeT+?!;`eI$SMfb(B5GhF8gvs}NWAn%t6}x!t3@OL{YwfetktoL zwg|#y;Scm&7=X7latC@bhVm^GqrrjoiN#)=@;5vq7)fI;Q7fq>0l&VxwN>=K`$B_J z9BWwbhk!_p>kt$|3zGh2Vbnc0ZAlc8Dg7!H_-kxp6J4=R?CucgKa=-f*LC7Hj8G>h zCXqGr{)_$1hmC6fNmxA(@n?l4+ND~@GPn16xj?h{9*W|-=&i6$rS_e zfxsci&cJq1_*mBM7iGoM2A1X_G_k??(&MbEMPWqSbMo|=Tct=OaKk*W2;#E9@H6ji z3bb775C`6l#7y2kI5J5B?M>`3AJ$uarKPVsil{bv7Sg-gb%=WgtE6~rif3rUvy(VJ z&Y(6bKuKZya5%H?x)MDv1TIYt0U7MdK;ktwWy#A`A8r6)fF+3$M*+=5HCy+uJ5ZKX zl5N6LT9jzxX!}^*$A*Lp^@@II*WJE|Iby}E>s{#3;v!$Yva~DvGaaGiL7g?>FtRDf zn1EQ4c9?(h_C5@p|KZycofx??@x~AuRu|ec=9<2wyfC@ByZ$(1O!dfcDQpPLz_jv) z@GiDgi&1**_J#>$=8&mB6QYb!D=_KFKH6G!M-B5us`$6?=nl`;Me&RqCaEPAg!wLpQx+9kh#OD;2ln z7V|u`Fb>Ql7r8EZ1y1A&X{1oVJ2+t%)yBO;fmE=lP;BNjJ}E~fx3Dd+*X11-TCIgOV4cA=0B`LsA35E&Q|fov>O}grAvSDAU+IK?5l6T`sU5|AAR%syEkt> z`cgmhm#1)1Q4^QXNr`_%tri@AY250=>gEimk6u}P1T+phRc%2>^iVfX*YQZXE3Rq@ z94JM0!F6GVlR;0t*Ldrv^^j6eac8g|Utp?5n@IGDm3F-zf0?3h2b5aJQTm;<6{#sH zIyK8wy6_AKI8J=^YI+LUFC$paWPv!o5{lALo?@iWQ5BQbr&lwRco|v ztInp>(hP+^y#s|edLU3M-J?K<(dJYd828g#<4M*7Yc_O-HV)47Ekfd+?l$8pwmY3B z3;s&Hzh|bAV|LY`EyU~XlmmL*1Z}nZ3Hd0PV+F%N35qmy6o~KO?zkhhO`Dcz`eP}f zV57vjS;dga=@Ct6XSEgA5-rc93sM5Qk;c>yw}xRCj=9=(NQej*R7yzV9-Xc{g@y!G z?g+cN;ziDLW=6MXeXn;n^6l4r5)J6jZ|BiRF2mR+7&4X?g1Eg!!-*y(0nvGlBk_v6 z@ruFYk%UVZ2TGem07VH=5YRHerVXYL?RsIPrq*`QE%><7y}y>f_%I^*@LG-vM>x&q zp$k=fH~zx;Nx?YM?n#5G)-84^BbfHgrZ76r*!z|%b3Q3gt36h!ZPA|Ap3DbOH&iXC4D_ZJh>ER^wu*?nKP=L9-o)*pn>tu#$5NgM~Et~Az z*3OZca>+@{Jl^VuR>qD%Vp|wJx{S6yn3%T zu$*b-z$l9DGl$9eK#kT27HUs1GJb4s1iYohkF^pTJ*6YXyPbQmXDA$)GpDL|S*XTd zMe(>Det5CJu^l2yIR&?mQ{ggbuQvQKv=Ziyy1YWUpdWS$BP|S{Qq2N$TA5SdtVbA1 z7PffWq6L=|GLoH+l2e=f6r>y{2b02SgKiZ?WrkH&Z*&kdub6Q)JfC`(e}x`JPttDPElK9Py8x%(^?SL`}R`#y9*DqjpRh*#qDrEh1Rl-(wssYCp z>{fJ5H;oRuvKOoMi~ot$%Cw=v7SRbSQ1Y_Fb4%eC>u4cRVcCHPn4%QJ{k0q;Jyx(A z9d+PYAZ&@Tm5^z&>?S;(HCAuQWxY%so%Fn!^hAq_aL(yOB94`$4JLZ9uOR#{|T17!<4xGd7nYX$Qkqy((m8}7z z57fUASUOS9#4^?~$!#9VAy!pJo3dkXN;yjzQy+NHyv~4j5M$1q#Ayc|FJ9({;q-@J zrt$p1&vzbgB_8VuGy~rbwS^Jf7Ab_t?}qF(H>31H^f(d4UEh zW3-z99C{TSGKRe?UIi6Wc%KOWBTSp!cJAnOhC6N7KHyEeKw%Qpo=m(Tv^#qEOn=xKl1h%JrFWlZsTH?IB$ka}T3m_%SI-CL@^3 zVWVzx(HF#NycdqboKDF_ADM-|MY19#K2BM58!w*sr+-KHWdG{Tm;dASHy?fbLWJSz z)(t6F(W1<;k3vP(vJXKEuhpt6R1j9;M5Q!g4%6?Y^eE~*likcNlqH1K01}h-aqOHC z`i+-a_GT22R>N$@Gg*LP%*kPSJIp3_h-)8h-ddka50PF?l;jQ0XZUiT*PZ)ZSx>T6 zeXcVX&(p5W&o1OE9GSfc)n$%~N0suqphviuX-5;@whMWaF6345iS!EWwXJP&KIe`p z)=^Aj>Zx6N;C>9!T2r{7|0oyKlU%>>=A=tO8zoQ}ITa)dB6oc;8GYh+6XCEe@7i5w zxkuP7(qU-H8LJ!`DOJ|Eo%l~P#N_P(+|-2%jhBHC9gg1Z7OlVC(QyJl?Kv?nw7Rc; z9UFop$HHHx7K|_4Ggo0e;W`V%)^KXQ3qL^Ddf^res;Re@$(EVcHZ(6^yUpv73rEtu zUdM>$dZGxOFtZ`Z{9-wMxCHsZMKzeRHMfvt1g`XK(644DWC(+W2pmoGRETUYYplNXBiN@I5HS_qh4IyXD)3SGpxl@m2928}kXHm!wW(t(( z9fwQN$+FjsmatfC;S5rXcrqnZD$Rh81p8Kb-$4mbq=Sxm?*o@hH!RgDM3%9f(q86& z9#Ps9dHWvqf)~&1!{y`;KC|f&NKhou|0{~0yIv%+MDx%;+e*s&%peE^y!fWSRY@MN zUw!oL*RQ_$;iIqL;MD!<2NX|!=KCX$%8`|VHxQ%xP;;ZL9N~=Mu&64Gj4(p3q~1aa zc)lp2ZDrM4tB9st49o;@`ry;RSE~^tYoRhJaN=;Ev|D#=M$rvShvzmg(RXDMT@Svn zMwGiDkKZ}cq5w=qqk*NlZbiFzzW-unS^~ z;^Z*2G*4mW^R_sy`At-g{Ba3-2(yTZXnRa06VHUQ(zdu;I~4%~CRE6zDI$nZW?JMo z3N{!zQsW(3$HHlt-0jM?#2rL65o`-s`f@b;eq+SvpMUx5jXIH5%P0BA%zEas?)hPJRX z-=Q3ehlbm`+gjllFRa9c8TO*>v`^Zxcj1}C(zTj758~LgRF7kdA`87jVQx3=X=l53 zN2EO!o`~GY-cvP9^Z_ciwg7_2yWf|pY-wR|gaXS`9Xt)ESweh`mg}kbsZSU+TEF+|tDZpBZD}_u zSH$foXe9UMgXp(n7v|ewIsFkKcwb(8bnSy~#M4u7R(_{oJ3C0$3L@faC$7qHtWqYk z{wC8gj)q~*WpMEp;oUL8Yrg5~R-}W1^9a3t2C4O!_q-a0-ogwyZ*9`*_UJqa z#;t3o*=LBld;3W3F)19GK2>g^rV5wVQoL3{p5S~;4MJf?H&%V2Lt@R%z}$o=WJ$^y zj9VU;sX`L_-UsJ+LN6PFZs_8_*dZTInE!022yI049`h-nbBK9};6|}ey+~BJ+!0e> z%J!Tn(YbrkfN@jHNn4^=BjE1DTmUQ1;x5lAdSBTKxx2d9^oq_{iJ)yD+HwfbcrCeE zM4N*TN6nFrHyel5+B28n(6|B?NsnM|JVk)6q&1t+hDu7EoA!c2qDeb#L=nLvuf_mQ-i1sk|B(C}5$$q#XS6+Yf z=F2xTJWT-5Pnd_NScE|XI=0c^l zr3=v-untT3InBmiDrh1b`o}xY%R7#);ljp+|2Z9`0A=COTAT;dYxWSv5jp7PUB!t< zSUS3mL?ngTz`%HSkmsyrs+_kByu%%#lu(4~w8R-V;DCJwT)cNIRm@}Udg_}FhJ+cG zS4=7hztTe})LyidEpk0V*~%PDvnkY8#t7eku%ANFaxWi$^zZ*wP(ly&Cs-oNVlHvyYxUKd_+3Otn^Kll zoXE&`(${b)2NUPPii&|m)V8gs6V6h{P!JAEDmK2TK7Il;`}UJh-bZ8Ky#DgtA;Nb= z#NU1W_J=RO`nhFm=_2TsKj(3JQMbPAXu zXkE$GPD8zDC-ENPTXCg|RDftk(Z(*Ev+&1Tsgq8wayU2snlNi=1{O_Vui{lP>k44r-e)-%%WUObTBJP+ivU;IF*<7k=gUaOf= zV)S*ENm@QBqn`;1N!Bwy?|k$)FpDCNqJJmDY2A1_yN^qt!)B^l2igBfHYfe|(F`N+#EK50E7V2mZ|h8Z~S z%|!{-_7}%;k6qZepZxY0KLO^;6bQ!7y)YUf*j=iS-Vyh*Tx>LzjUz8c*rtMr(BDL) zzNHQE(^2N$=*&xKU&Ovf8RCxp+-J_Bk)>ImpLRb^JoZo{Wn)TR3v<>L^4@Q}vIWID z=QoIp_TB1jGsB`NC5S(R_5!DM2ND$YsWQ$ulvxI4eH`I1XvINsAwp4bXLNG{Sp|m6 zn)+(mM}$6@436W{BQ7tBqmTR8w;%uVwIjTYk36&QDTRjcJA*I?)4M}dhLcu?4iTpf z3=*UTKevJj8EnO%74*f(>&yzr0z zhO4%(-u%Dce)+@OZ{EE6==-<2l{X*#{(HvI-eIE8s3j;i_9tT*{F6#A#h_PWu(>H% znHd~pI;Lg3bknoftL;Y)8(!*XRSL@5zDJCiUl9TDB3UsnUvU{2j}g<*P5SduG`SB> zO}Dy~0K`a!z_%jl64s>-HgJYg@?Z1Oyf}r#%Pe8s21n+MD~mJRabPl)qdv;xJ`w1t zH^CCgv}&=iI3E{Z!?C6oub^cxc_<0DjhYtiS@mS`G-+N;MeeHK(MlxhXM8KE!pT4?Do zB%|k}=X44liQtSZINmvaR(Kt8EPJ&Bk+oCX&3StX4+QrWdw+#hj zuz_N{Mfy|$nm~ia`C3OWd1GwoMGfWS51&~5&5-)<-v03C_oQVXm`AONLBgZYqa)T6 zM0zfaW|Fv~u0fk2T?I82YeHmFCFwmSH=Z*|C?=z&?*iw>iY3zOprr2tRsinY zwE#Np%~uf;5)RMBpIGa4ldP8By38F`dLXwrk)FB1KyJ~(i)LqblU~Jw`E=hDKb~H_ zfg@8hLx^t9z=%b!h?5f_8@^_#kj*aR{3WiBr0G6d67h&c*0XkIed>I7AkTv=6&bRvjF~1p4`~7a(eBV=ipEF;~N|Pw(sh z!``1QYjR^ry5PM%g+D-73x^oxTp^Tloz~~${oyjkH3B9Nf4r>1X}iv7K50?v|B(OHaw%0{!k|!d^ONoaKfO0) zPOXqjSw<`^bq$ync3O8+!u7RYdh_OR)Wh!?b~`f(#c`1x^c|Sf7KOcJ5M1t!J_2sc z6UEM*MZ{YdN3O}vy5wSR`T3ps@qXN(ytBtc4dTPB#kXwvH0Z=$B zJfj#f`bQy65dGr7=@7VUJq!@yIx51C2!GLYof)S6 ztVg48b|M%d4zZ+sO4VKxPTGXE%T;MyGtZ!$t)B=)aY-k2CD;0PJ2CyO*igI z2v>=3x={ryfC(JG6_5B)74b)eRlwQTx{Q-7ZAmyOBIhf%5`#Uqdw4X7pMt2UPwjaW z4}x1g2QRYea%cPVci+DL_U(WF>;BU6U*CSo$;rF#-o1O_S&QFMvx85&bEuqx3BqKB z65|d1SXkqt1|_z|Sq4=(zCBT|vXx~5Sj3R&8Fn{asT@ZMa+6YqvMU!J=qa98>lUHS zJ5FULtKoErBH~1@AT(*ZROU^_;4PVq$gE8mX=j`lNzF0PL98Vtw!MvXAo9RiC{YAj zz&UZB=ycL5PSIErFSrx>Us_`*N+qWyq15kbTGp0OEE`yl2wrxLx%iACpq&MmysL3D z7m*U}4K2L8DgYmfaN<^UdMm;Rbt;a9x!QeNH$;Z$I(FSodn?vHIN(DWLK!o7g4DU( zIke-OSXg1MtsXJ>%PYbOzB&HthnctL0ii&He$<`qxwRvT-~VO8fAgDPeY%RV-@SkL zGqQ~N{+0P~(DUV+KfnIs?e{$4#-g!v)n(v%C;ouk%HeMiSNTjryjQ?L3vq_W<96lt zkG^zKlkdOg&%gbdFELoo$1b#|mwmLmo`+MJeM}*3!6b+6Oj1aW=T2v=!M=$~=VBkB zqwvwUQQ7(C-G6`c)%!oc`QdBbr$6^@9<;O95d@ntP^1o=Ga=3)ZQP&3gs_jYJ0ZaR zacCrK)y0;bK$*xM7f%OLOy{K_XLfX2M4EqGqRsB|DJlEumZL3fgx{K*4YNPyxwRL1PNIC+g3d{!CsJGJH4z(RZPfrO)UZ(T1~cn!zYOEB;Mv8n4xldR*cm z)fgq!COZs82ws7Tz?>6 zsfka>-I!%?lWv+f1@&&owJ?88LLS{y22!|>Z<7;H%GbI^2}dPN1+{LshI1p?d>OrW zO6ak7e-c-udUG=ivbHB1ImD>R*E25n^ftD}+7NF`4XB+&5)$U=8n2+l`;w<>D}MUD z8T|kA*Gi;{LI3lmze!c>M-ciceSeJaXSyC2SLq8n^kOM{_o5@*2k@{o$!g%LSmnkM z|Bcw;j&^rX!W_a^SqP~s(iF$#z5Z4|8p=y+@!$OV*B>6~vseEXzJC4ZH~*cB@7Lda z^ZtvsuhIGW_P_r0{$pE6s>+nZ#2@lS-J3SSKwJ^UfezgrRfy@x=rLV+DD6%}&a`|_ z5Qx&PmW1I1O}u)J@PuP`hoHQ}RtCZSvTHAEbt)lZLqe(c?)+x2I}T9`>iNZ~!@9Cn zZisq@#053wR|0rLfj~re+K43Y%Xj_k!@GX2i1+&4Yr_7UA1VS{-8FI=&B5jDNso)5 zT@@7dg6^V@C&l^7cM3)}TctEkbvQBDj1{&)sOR#_3rTNS<5A3G1hx98s9uQJ39#=4 z_2skexGx(81w2s{)*iuXi_u=W1+6L3g>HQG?4SNHPk;67Mi1YLcrD6p;+J~*w5NN& zSA|{3zL+E5X~$Ad|3=gfqiL?Gu8mU+eC(8Y+D%E4BI|V_#?>G3djXJ3aMdfaW&u0X zAEDrf_PsJPb^Ho3opMcmd5&XONW76fM(sz;xGXzWQ@+<>5z>FMU{Dk{-GcL7!HnAG z;nKtLl9D=ZJ~u7Az4kCKOf8y=0yC(laIj`Hq-LWKUR23vy`8d(h8P=~an!oZnnE52 zHpzj~fXfPrTj7NQ&(JUDC5?^8HSi+b%&GnoAbX0;9oZO4J-r513D@LWJA$oQOl-TC zM$huoK=&G27g6{U231N{vIrLqszTTTNGDIbOmrx+u$$=5F0`&)*zc!~Gh`t_@~@BZV>H{ZT~_sy#x4@POy zU!s#DUOwAQ^+`-zLPiunNJaQOi^gli)cBky5mqFu_j5n)jnZFXc7uJ*;jG=q&JmXH z7~99aiWOZ(M4~Aol~l{2*Ut@QON+}32@pEm1Uj*gKo_$j{^RJIyxbCHRi3#qQ(3OY zp`QCV?qkC$7pJ6RQ4mnf-c#XH4fVWmEjM5>8NzuW*zTqxO0)F5Q3TOjd2PP{m|JCQ z)Ue?$OuyxBkTSMZG2(QGSpfBv%60uZH^IE2NfBUoxYxy-jvD04s;<9R|0&%+Xr0)~4e`m)t$7cp>`ECX2@B zoOga)qo$o@5p#`J*U-Eh zmo}H2A|gI*&wX4G?}ji#5RlGUpCmkG3GXNlOhr$90-DT1GRXZFIyoPj!J(_&9}%e_ z0|+CgPg+sZ9NK@Btd}^N7T*0_2Lc`W^80?L1{%*3?F@Z@awN#&)WX~;5@M$n>?T8W z5dn1p3T4BsT$dY}BUw@CsbNO!HY+giH_fEzz2UBSUHrC*SG{Z+mtuJ9MO@r>WUT4u z(Ati;!=T$;VQSL&{E1b8?mIn#kmJDQXppBs2un z%p#UC1T!D>VJ{@v6kL28l+hse$d+`LAYk7kXhoL|eX;6$Zy496kn!dWp6l5Nu{ zaFEN9iguu)!HLg^VjVp@5i<`xVxlww6V;Qdr{Jo?gQ0LF_)AC<6+avl-JM$|vZSM@!%~p)?2s-cJN?uXla^kwKgZH9Y|)#fIx_ZJea{;;ycosJI`yQrL-;tI+^z<71<{KpV;H zHrnu1X^T|QRv->RUFmfyT9r&_KT~RZr@QF_a}p!J0% zrnryg@?8_RO5@Q=LoqPiTg3K3xuW8bDm>mXGodnG(cwyuD0<`;&U3yPG|}QoX5LQH(B0r%9dY7;EZjYqg0VE}kp$_;fe4?8J7UM`71)K9M!vY9 z)_;U_MijiUUP(-7p(eUCQR1VDN!YRBF{ssY;Bt7Pc|ZJ%zKkP-utK&Mq#XoT1bq&Zr3=n-%tU4rT4qyT$7Yr+N`y z&2vG}j9ve54Hwa7Z0I=7i;mUeP|_8}ndlq&Yeyg&G)|6Ip&2i5+>Bt8Icw$PJ0ehOWSk7028aP-Ef3YyuPo zRgBX9#W5A-ty(UlVH8IF71@TaTXzXEaXC!qM?RGXuKj|#-d-~}^x-jV2DjfkRa$WI ziLR^qz83l*=p@lwwtzU;YR*(HS*zwCgIh6G90UxK{x@7h>FuG9R*a0-)(SVmKQpJn=T*_DQWWRPgj1F{md5XOa#+7zR8r!U z8CoJNQ0l60z}+VafEO;lh=d~fl#BG^;vmB)M~GmQb)VR2O8@~)AegacD#PeSE(N0F zgRrWC9B4rke26Dy5gNgYi{?MGUzDVZU?6!(Syt8(zs}0;ZtlT^u^&RPjgk_**j2nD z$#V%Txbx{fKRY&<+KvJ*Mfoj>0;Q=9S*LzDC4o8Qm)$e9I7RXMMuRHN8;4*9{%{(~ z>Y|YE%#}qF<^(rum;}2O+2*o0VMQFf@O2^F`#S=^p6iF!DyBgMwF%BoXi2zDy6@+_5Qv8M%N8 z+V_oy1kQ)Jgggvl3d;=D#tR1^mIE&Gb)QrVnXMzYqIg!t6hvN-9#T3M*2}^?H;r(v zQ3}b{Ndk+ER$~1~8+A?&TiHBWJ!-`SCVi~q5W8dRaEJCp4 zdhSiIP7BiyE`!ZpBe=?2I6Qc_qa1gq^fVL=3P_GC~S*Q;gBvP7w#>Udosu zpO@Q(bJ#|h3wFFS?BnR4(2^An62U@s*=>4aZLx+GQ>7Jvtb{2c%t~}YxdoBhbmP`Gc6;nbbQ2ufAx1yvj63) zH(z}Dr}yt(fAi|A@7}$A_3rgYl^*m(7TbjN6^R7?9;M`bHiO-`S;`DJ-kpy@b)J6W zrUh|(?b~}h#c-%|*HA!(0%n{>j2GV=KLp$;xE9K~Dy^dmQ0Aa)U7wCAiP2|X@hAtE zamDGcH%AzpRN`C|Dh2nrZja)o6UEl@E&v2BnqpA8((YId1e{?S(HZK%7VJu5dY}Ri z>@KtD9Rhl%vy{;`wB(iKmg+snDo3R*Q7{8Tuj)@N)M@I&R4*l3uwV4bC*R@4ciGMHO?PH=Gm*6u34 z;388*WlydOwdD&wycQ`hJ!(mma~Z@#HJ*0wLdiF^?!g_6cy7mqSGq>oPqAYx?X@bz z(M0%*R!G1nsyriwo!ajk{b{zJ0t0D?!k_Ix@`+;WK+$lgv|(_DzG$T7meAY^&G%h| z{E3m^paxp+rf<)R(3DD3prH` zhfujhaY6)MlZea$9c2~gHRH!7K!a4{mnP8UMrct{bF4K zf5@C>wHsl77soBEU3?Q#5_iXI(zH&AtCD8BRzWZhPM0_n(zb1#An&_!$J`Z!m1y-R zdT+cdlD61I8)?K(Q<1PMQaSUSI01r;DAL_J-aZ&o(e^n6@sltd@`4QtVe!5_t?vW{ zEYSSe2|a?{!c&_P<`5k7$3FeAG(l0Hc~W1YKy3HQp!E5# ze$T4;_UpHQ{_4%EKfZbQhDz)&{`1wRAHwNBG9^NWSwR~CR;y4*i7wU_Qy6*Xo#S>C zqy-zT{ylv+9<61>v_ER~7l;g#>YGu8jdhOj`djIEl~JgxxS#x@TQY%$TuQt=cVP z6=1o;)8@tT)*=Ncq!2JDslDk34nID6+u!}{+kWLB1x1(Rsgb+= z%kTW%Z~s<7)&1q$ckloG?W=F!eEanetyDN5i(v=hvp@q$X}5s2M?bKL8VC>+Q3-(s z8ai4N?cR;j+jBo-qm)b#b=9(o`*VeIg9>(A8d83@=z6DBr98VZB|Spgs>0xIPg~vh za--Uf7I@K)@Eoz-A{xSuMSqXKu&8Id`}&U}LFeBHRva4!s%ZC^($7`uQBz4vcN+Jr zvFl;O4!z-A_zbCV)7Gf0KacGy2j@BWd}4V*!eT zM8(XR4XWRzoYQqh;^z06M3<_9ve<3VgBTjuEH~C>=VU`aMRA9aUSEX>G!#6SCq`OY z1?gc%4dSf**l)8@9idHeSICnX ztaaY2*l}|y*QE%pgp(|CLbYWPU**r+i6GJ?#5KKFu;FgmT+~(5(?%%W*c!z*A8k5L?wVZi}!dOzfVM z^Tt{SrNPQLV;-lzsUzxuWY<#SqvmS(`Y47}G3vyD&S}zvCZxO3Uo%~MT?00og^UWW zSR5HCdZ3#v(Q$V_$jVXlx}*pipPZ02@V%__+ZzY+Qz#oxhm^Pq=a?T)6l290MT+&! z2gd?RILP@c$rMPU*s@kB#%KCZOI3*8EB%_RWn^C74}bm}!fW}>%lP%h8*G-}{`t+T zKfV9v_1iCgl%Dh`2!$$o-jS`%m?_(swBln_P!+-1u^>1+PlJLajs(gsciq6`FBN3; z&3|ov@Hf8uqDsTB!nj8XpUXb~p*}PAzFR$u2!ytOQ&3k{-4z2-D6^v7o1*^AjUwzc zgeC1R@TBKM$B2>y!Bd|Cg_9VJmORk}qliJAHE(w)`@Kr1k%69vs0OykoFgg1SffZK zaN(;(KRT{nLXNSN&$Kkc>T^K=xnGY>!?8K`@(8;q<=?2`S|MGnlO5d2poAK2W8r*Up8pADeKM7wO75oA|M=A zfk^1J?0K~Yi30l~zOmnwpKA`?-ow_f{ydp;ef@ST8q(v+RXZmkB7_JcHnWW@9mhR3 zBH1p6+ek}g`Bu5@I*;X z+Nt&L6aE@(+%^j44`X&@!kxlt6bE#ALibU@%jdtLKJ-towT%AfKeVjPC=adU_SSdl z9hI}X2$Q=qb=+r~<(}DKyy2-yaK-J0E-6DpOMj4|6`+kGh>nXbrQr%HL z|A$^P#kk|%;r016_t3by1dp#&72!Lqv-vu;qmb6YI8m4g8QH!y&Gcinhwxkw2Nn^l z=#QXtQOgwbvu!WDD2)Tuh0v^q#Kf64MJi1i!tMcW{i=`_kR*2{_6d^|9V*h`LfIOQ>v*1IMQfd8M_!8 zDh2)=^F=4XK&^Ufc;5{HCdYk;h^{if8Pq#&-ME8JP@(OTBC178d~_HEsw8~um)+r| z6|sA*db5{|gZhn##&#@yv0Tia6^4&{v~WGt9*cBQO_&uMY95$BbBkO>(H}pZ!DdGj zaD?9IbTZ{SE-`UlfNP-6U>DB5(*a|SOT*vLCZT1jl^-^5QR_YiB5PITvJ4*Ciu5`& zS3ldm2kWZVcbazBemNNl$`g)FDTQf`SXud$uR2`G*dY(3#|-`uGuvosqKiCvMyPh& z>)2fsXIXb#v_OZwXT*1#V16G8?QyrqMOBfx0)`4sczzc~JXiV4X7Rf$!8Y!#O`h86 zoSNRl+JQ5N5|*2YzVxf`4gslVhulI7n@}Sne!_ENJHCk_>|URX-J(+Zsc;?#rsrb6 zA?vH{Dl1vnq;11i4csF9k;6_GF~%!6V++3sW}!-?*h7(lxhEP*z0KvxbqR1dD=aQ< zb)~6E7)Pd0U4d9hvn-|5)j%wmi8VUr)}~SXDu|u9m8Wb*@O`3=V-@uUHw9brvfDsq zM1fuC3)v8Bs51L-{v5mA5(?>w+XQYG(ZWc}0T;bnQ8jI|RLJ)VCwiQlX4%#rty~T2 zR)GrQzmCdNBg%pEWuPS3XknqsE9fCwKZ{B_L-(GCq{@uH`-@JYOVQ6oSahtQpw~dL3gc21;L9d6Kx0Uvh#gzC*6INEF2L>CaOkIy(A4T8#N?v z#H5nP`O?=MeC~orHy^NN&GO7bhNPw?SO<*~8xh?+54NHH_ne0SnC4WOS--3Go#-3c z@PK{yTJ7p3kE{;=^pq-c?exPX)ssf zHxZKqTF;bs62%sYk~yGV%mU6kdUyf^MNB-f$RS_#?garYeqz6OJ5%){iynt@tr+hX zI8+LQwNT~Uldpl1ihf|DCk!>utidMzDtkNZ7CpKH;`US6EX6I>iPzRF!YJZqZ8?qh zQ#+t5NpnyuKzFn%fK_i%l}rH~y1{~JTc)w`#=lkBB`^C%lx=xUDq!b4a;>N|dxqd)YNb2zTz8E5)GDeOdDruBH{jYgCVM#^dW zgrkt7rVUv;fn)2}C>MLsJHcB|e+iONT8_ea_oCMrhicdZ*zwT+CTi||)x>2k41;J7 z6=WZ6h2Q)rn&iu|*FZX1^ z>t@?E%tfGM*Y6=cj>`0YDT!ao+5@LNVp#B@KUE*{pmIo4jpFIE33lnhOFMTe8G6_a zenLlYAHB)nux(#+)H(C}_yP@ewn|lW@3VIPHQ=g<| z4p_bE4ELrL?DK*cG?lnHR$+i)Ppn;RJ!U&=6A92j=uZ`u&W|4XZ%BCl(j(sp#=#7= zaFhd#Ru$Dlm|vDzoCeQV!Cpk0sI2?fe-*~~SM#sER;Nh_sd6tvixJE&zIqN*&W3$!toRk|ih)7*}^#db&ZOOADc^$>Q zPm6Ic#WZ77^bCqp&n?tM3zm>V-X1Xvx6W}_f6WmtuF>vwVo0;U_d%ejt~*gt9~<)S z;-TQEf!q|fL*|siP=g1D?!L6=%#(slCCDTIW8RSA(|W!R5YUjj*qtl(JvfE@~Dg80)yZn8{7iw4;i|itP!4 zKTfROioldhG+m*?-aBU%tsmUE?9)#Z_r_g~7Q&Wkr1Yr!M8$(^=lo(9#k~+6r(wdb zk59&TTr8ECmFP>=_8(&tUUOIpW9KZkJoZA@DfI^^GIC?0ml5LL&l|x7q4q|CbRdH* z=tT}8J=)-vB2UCA`^3nAUN4GC0U8}#j6|m%BcDsK^VrW#cXSib6wR z+fz0avZZg1Qo*#+Ei6!*%LsIBJ9@e^1no~cYnq_6o((y2y}tk?9_AVAXZ?e|-yoYG zn22)5ZMV0Q!5O%M7nlq|cm_-t?+atE*6b|sP>qHHLFa0PV#&KxiHrYC=U_mzefiU; zn;u;;8I(WIfU#7-Luqz&RwiL~q=M3j+2-v;`!8ej)M%mKA+CnEiAKQVj2wg+o!2bv zbDmSUW=#CKLYjCoQLU$U6{OBoo6V=Xc~Re}Kbhh?XP~@U0lz%Y?EYHyl={>dHmra3 zz9=s3v~!Bd#N)2@0}G`Eo$6Yu)ecIi6WTHvV})YHHhSpvk}8(?aB70I&-N^?m9#EH zI23eudvaVEtHKVY*?PMDK8&zZ7-qF&3qfI^d$a7&6;b-^8lxLBlZ%jQ9jE5UM4kIWFIHo-V7M!09zT;IqsxRwq<&zk*(F|II%+q9ODIm~@W-bGkZ zNY1!H!ziL__we<;6qI+Zm%R6#6ZvFg(wG^f%I*&Q*VYv};1p@F6;G7z74@gEPseUi ztJSes8bTDKYh*0OIkoTTKI`cy?9|*@glDG@hmht~6fW!RZR|=3eV^vMswr_~al1yr zi|LEP?i7Gws(@{& zlCIvN={dx41@R;DB~g=aE&4ZQyLZ}Oa{C(ReduqaP#oCoP0`)O5R!}nKZd6MU6F5WijQW0&^&6+>PI=&RH9D8MAV)a&bYhQ%}oLCDEbmQ!xfoB zqhbPWr1gnbYZKL{FI{L$sp@Xf z^)b1HA;FhRS@^mr93?6$`)$?kf-p+jU6k(H!zCEL>e#vIzsodMk3JIWX4(_s>WZLR zaIO+YA23a*PV zD82y{_TtfQmA6@Pjb>@1`8Yypaf$~&9(~sjK zj*y@P`g^K`ke;VF-Jd8_3`G+3N0rq*O2Z3rHZBOUu2u^2Q6YsxDrNL}Q{KEZf~s(= zKo>QYMz|Gyi(;q~;0TZs0J!~Tlt4JL^d{JTDV#(Emo|Nf9$!#H*yAXVnA0uJbfF7rS)P1G3PkbT z65&%5qq&L-8QRYYKj#a{2sh`=$rOUbo<@)J5N-2b>q^0`DAgU75~Aj@K5`~)Oa2w`ir;!@#gCfaswX|B4d`pc;X&co1(Qc z)?XT8>dJ5e1j^)AtI&wS)2Uq01Q1>=o54=+HV4-r2H;@msxjwuj{+xWPNZn~g`mBr zy*Lb2utcC|ckS7FBXdHVFjKGbhJ+Sjfd}IUnl3$Su{Jd2Tm5i1rbTI%Opcag5)=>Y zEGpcPLvQy-BlFqMIOF_dBh$r9MJhU_=2dIo)KhCrDJUOWZ2M>w3jPA=|L5>7>p^KYm!Ulsv*RJ&n3!t;czkjgJ|JJ13iJ zS3*P(Q2^sc3#OZ^nO)nqRQ-C@XCkK1%OmQd)JFX@0!g9(W_Jpz6oPRMS5J2w&s2Kj zGEpd`*v8p%sA8TI9ap2=jpf1Qx_hZ1D4L5j-HS14C$Pk2TnVIM|waAd9+Q${_uieU6JqUhM6Sg)|WG`b*e z^fWvo2>!&nQsdjNiloRrsWg0|-&O$!t<-owub;&L=Eds$bop|AT-*C|Yxmpt-@g4( zB;wAwW`)|!EdU2ZdJRz=RQ3w>29;u+NgHbtz`>C{)n{r3XWyu_JG6~F2`TKz19MfQ7ou}`mMV+1+$0-HhmgZaepYPxM&xJz7N=zGPlBMjroX3 zk3Tu+hu5v^wyGb}(XNj26uo%KJ^1k{Gb?;Nf!kom?U!iypg6$_%L$!7cifPLQg-P! zL}5MabFHp=!(mjSZ-g-9lv$#lN$^{=$Wjv@SZJA&wflL5 zJMtE}@95o5MT3s}>;d&ca@{mwm=z(hqSO%)2!S6F*V`eTrR}{5IST*@@hEjnMl$y2 zz`t(Rs@Jac&2s&qVA1F}n*J)>JP1xY&2viQK_i@#Bx{teN%G3)d!zbRfi{oL}36fl^7LC8dgh z<%$9(HR}S}0fFa0%g;82QIN47wbC3f3S+3lT57MOW*cjHG@F5XY)whkEF^9MMbuXl8z`qEs<^@=adB% zcRLmFqF5&B<*tE|dp8feL=b^u5aIW{*?v8j)wgivEre-{R=R+jz=PlfMTuOzw$+9u ztUb+Az!d+w6-zjE%eGbkT|lD0x@oDJ+s~pH+zmYwf+JBlg9e&<718K{$OEn&c(#td zvD`X5?nOxYWXah7BqY85>c78z{pt^jLGRvEH1eX(VhK(8bY50@>M8!A$XZAtB5vlg z6)MG5Mv#Zo*fS`da)ZewQZp5nYIyrX1wmaSvJ}Oe9$iPt?*X)f3xoPsL{W)`7Rv*RXr)lPdsc7;v zsQr4BT4=gOW@9T^3tXGNDScx9?r7W@=^1)5trbP)D;%1d`x2W?9NcN)V}^jkcK-+% ze)8$3A6hM6{c@}2&)cZ1hRYXtXw4+jOs#VkdQ|M{JttCH_Lp8UaL%6+$GHzg|j@_shI?P56YjsZ!vbfS&mI576R%X8l~*DJFNF>I6{?^EC4bs1K5}e~!$#GV97Pa?N}*-2@d%>Mrl_*o3NNz> z_0|1DzoDT(Kb}|D4sLVeN+4qum+ z1;I*_M7fk{VzCX|v(q9R1Vrkz5gF4y`l^qvfnHWg|Lj+pPIW4sdPf=o=OPe2g{^{9%^|MBIKl@y4x+PsKH2T~Xjx0)va4SA>XLq!} za(P~Hun}^3I#voozFO`adNm`YXDB}nZgQlEPcA9Baq^122ZC^-edp5l( z>+hz}9fxwvX#*mjEz(ol6*%~GJ;t>_HYy$Z^pB_bqo%g<$=`WXz~iNbGCHroe~{U# zN53wd@J<*E4yQ}35;N!*%i)z>Wx#c0t`ol5&% zO4_R8fnq*53IgYLO14}>mA~-Y`{>OJ`rb>XQqTgoPcf|9r6+M(*FRb;zxwqrw+8-2 z_IbG}y!nZ|9EVeWTI%@SfzV%9Fwpc$U5>RBBTwONL5s&Cb1Kyn(_B^n;VYC4ccKJGw0Rqh!hb#f?%mdSV;p z1B&oCdQugxU)HM8bBsryBIbXL$s;UP4C9xe-}CpFPwg?3XyLAR}Eanu=$? zG1(Cw8?!1e`Ea2Ja3fr+6mS&^U*|?svcR#hr$R>)<^kbiC5;L0vKsA=QN$9npQw`D zN~`Utijtr2XWN3&&Vk9eHhaJ<}as(W@S zMg_(yj8|*1(5dxIgT4)dp!<^hS31Y#fE&lKiR_X@mY-@DAnp;p1UmCV!$Cvgy??lBMV-=L}jEcGgow>Phr*amicO@rC}wWe3<)6tQrNw>8== zN~7gMIopoH-DDT1KJjzXUde~rl?ygRyU6KdU>3sDlxQ+9~7bx zk+n*M7xEDW*F(c%+$owx0YU$AHwUK+TFMV*8TwVlE?{>vJAzM*!#UCF-VTAa3_n(; zM%T%p=#6+3HRHrW!B(xfBoZym9bHSDQdsQ^syIc-g%0TKn8R+aV&iiylMg}rVjk^1 zMFF*q^T6~tOxTz;I3)~%sccVr{sO~@?h3{B(_vskgNcah$BOxRhF_KgoLB`Bj9|Vus zF+w}#!nOzbHtwjn;i>l#QYD}Cl6Wi4Ye4~^x_C62u$4JJ=oc3b={Q-f0+dL!ee`i3A&Sq<|MBWiZ~pY}U%!6$ z=4HLn53%znUvpjJBEZcKq)EuFOtD#fHL3MRZ$j0EZ~8-n3goYY1wH4SFTWqqIE$m`=pm^sn2Tr3$=G;C~ej#Xdp^L-Cd#} z#i5hHEyW$;Vkrv5m9x>>=UE)B)ZL2Dqaw@CTj2-pGf(jx97F81zAD$a{Sb!d3P*6? zr5odW1fw~OK@Y>AyD&p&9^wZ=fFLPgj@QTy%w?8$HUdnuXmRcvHt3F8omyNC3F9FnPCj$h z3hq1Jtx;f6jHSMu%uhalxgvhr3-n~sb`ybx_uemz3fF3-3M1{f+PU5?dRm1qqNe<^GihSmOw?W?t)e+o zeA28}ps3>EOr!dZ#y`63G0+uLy{u;Ze)NVleK6?mw|m5h_A1IoqkuM)!l2bmoLim9 zy(s*8I$(08kV-pdel!}N{jJ`qpC1jqzo%Saij1{Ou^Mp>tC4s3!cf|c?47 zm0Ds7{fds--&6x7EWa@kKnrA7ybYs_V@oIHfIR`pa;u5PHHE3iWXDBFQFxtaW~5qnix|9q_xgXn`s3So-@L)C_^UVn^F@33n(oVdtq z@5Q`}UO9SGIKWa++0aE-0&c;2F$1lV{iAFFSNZ&3KC%b=)9W8p8U()t%}v*OD_W_8 z9zOjo$Kz*m$$y-ANR^+NU;3;I2OXQCv0@>vT|sEv22UAHKoUgI+E&GIO?5?sUC?-# zkG_CF_W3V zNy0CpJQ`iv7}7dEr)hu&)Pkdy=*5Kr8%GFNSC-^-qh+L)cn%lpvH+Zq;Sru z;pl0p#-g`VR%>XQ<)U^qT|Swk(7`J*O%+&Lx3D7BN&`7!9C-&;R9XIjen*swn zbu?mT7R{uE;Nr0>id_LiIcndgo2OHyK~$ z{hRlHEq-Ukr$zo#!^Ib~BMO0IE7SuaA|&uqLyYvb56W!?Lpf>|VVRpj1JR;()-TPh zeIcSZ9$~t_i0Gkl`=f~75EBw=aoRui<`DIEc8Tbr^z*%lp81Q2p16S4T5R?&B6{K^ zS`RcWeXpX|4iVdah~87mg@_(iI)>9A5xD%lh+h66qIbArH$6$DY7F0SvGEgg8Hkk4 ze^Jqc@s4|`=!J*ovmaFSwrsT|?|aGU{X|7ioKAb=BNe^-T(Uq*MUT?;bbl|SXUxy5 z=#3v$^eQTPwSG|1J8?59hgh6J9xB@KGb(!VBNaWXY@u{V4`AC~%IJ-Rik@wgtgeR% zTJNazFBQGK0bWqf#Q+i&z4%f^ubk$kie5g!$=e4Rz1ES@ z`(8y4asQuH(c|#;I#DbVlfKdGHy>p5r~p60OBKD6e@R8pm7OY!upy&I!F{m!i=v{p z8~y10gNj~3MXxH&YCJ;yK}An{fy#&PW%TNSSPCk7HT%NXkx3D2`JkfLlBWo@eh|?! zi0ExgMUU3Ff@;+FB6_ELxrJ9h(}xz7e|h`$7hk^m>dou_c=h%BufF=Z?i4;MWYG*9 zFJ?PJ#N4yE)@r|~;Gq_i^N1p-Q2|}0)D}7I->Bkl+C0`7)cLC-EV7+XL6K2Ah^n=Y zYD5szS+?_+(Yg`AIpenU=Q;E_+l6qclEf57pf1nmIkP=P{JqmMw@Nq$Q-G;8a~)4U zHXA>B1@?ZgEA7(vYCzJ`W~D+3%na zLll~Z-%MztW9y`yA9;7hyo&b9)GRjIF~!%0jf2|w%^|!(i={H4@cu2JuVs=1>}y%I zwvFPp$~{Qzip#{2ib@|vqbHqJ+EVNynLr+!u`*bl&q_cbEz+nd@1afyR$=;CP7mi z>Z7pC|BZ}-my+pNe}5p3vPA@&DzyxP)O*vPrR%nRV%Oouj*T=SqR(fidpkRY-eV}a zi?#qYqF}L7v6&}|96qDl9sDtKUzP=79^$i{Tip%;7fUxL4Ni7zT)r#X4J5E>AyK+< zoTnSkt@vWgH!FuWZr{Xrlug??k8>ZJCav3cSZ*(F#H{qzLDP1$)RjVz(VLWfE8cO8 zXN}z*6-}7dZfBiS2`5dx(0%N6bovx-qeZ`-jeaxZLzpt z)GMsZTLu0{fJ86i4jKkg^+zkSYpHnXv`|4UEIluMdvPGO(hM(u2lh8#3L1)6r%J#O|hMFNbP9tb5y!X#Quv`Fr>oDYf_S z{&!US-%;&WS{d~lM;Q;rc!BNSH z+IZj^(OTi=)fCYYTxla6As{kMMOvpAY)(;4Qx#K?)WmgIy*Kr;=Wl}+|HCJ)c)>e9Ou|KzvFHt3YWYc92eQ$nB*XZo@_`9j+ z6MuWS_0=aN%?fWnwJ*i}x1A+|-Cp(F%f#ufn}Ai9*3gMyVn@>>((`y~32Q9&d7i#C z!CgffMUYi)-=CBI1g_r9qc2s65j3{Pq3L{1`j)9E3TQm_^bFqF?I<_VE39xDAbZ{& z>wf*Ax_>Z!+DFXFBVthRa2?+HVD!$gaRtbHPH|uDMdYofL!G*GJhJZysSVDb+!Ngp zdX0JE2y09C9;foiBTk0R>+PySE2k9{W;Idrqm7p7=cVvU8)9mqp???b=C8)_jNTdJ z;2;JmGE^LosgI+}=Y+Ub!ZA`KuFgaT0762~0_;U9BhTy({jGOh1Xsji*nwyXIb ziL+-S=0g7wyW^<risW7cJ{;IjTR*%oDR+iU%8~EN2f^^z7;rVpyuu z%5>b03M6z*h!XwCOIym_`S4lWb&DY#m$}ivxHus?YsC-eV#$iXAEDQLOz64T>%r$YdXBJs|IOKZ7?JD|U6*Ehd*U(LI-=xIm<}zW?!`VXvY_;8#it^)> z{gbV1dfJ5RF`|}C(xRWs2vs!LK3zBfe3OT8+UCQNbK9}y%U|?(-H6EQ`ww#W(9WmHN^hwVZ@1!+@)e3e zC3wB|ky5W)f)#7*+>+92&AURIBl@wP^WZ|2&ALw=%fI-p`di$s2JPO;4xCz?2+vF* zDty6gL%(`_^g_QZ6?4A%_S^5i(E9%J{nvkZQN?zk8me_@&+FD_a`bwh>An;em6)q= zF=&Q5f|!>sf1-+&OG<6+#9yz5Hj(fr)-;aTV3duuW0R)6@mu<1ZrnX)nD+F}TK8-( z&jo({t51IM!GAOq{_xO&LujY8fU*_&=-BgwbON_`V;T$TK$o_5zFW~bKc}JBtBWX zNq@Z2PcTf3`zf?p=DjCcg6Y2OMz7|TDfEecsmGv@&!3!HpHWC_iwIvwl)2)FBmvLe z@O4&!(A!{xlt-dcTtLNtD4Eid$9k;ytt$o2#|JVbxy`*A=A{gQfIgLDQx%Kbq;}cr)TcO;e;L*f|<*q-|CnKq* zb%(~9fZc_{;dvZvO5qXFcL89ohPFIuuWu2R?9d;N4?L#Zt&lr}W+LTJV!3`SYad10 zNlk`g<+%xneTW&Cu$jK^M(Y&6!qWrEYCKNbELiPJk0dM1KpHjh*S3#qDm=raG!XhK zu3EKEGa*mBk>^ByNog7h2}=1RPH`o+ye$cDWiLS&uK{0Lct*qo`%cUgyy0D zWHbD7Z2X1I@VPdFXz4-0rv_s5xLLv}86EnDA~b^L3Z*-3(A$%#gcX+4l*JHtG&|aEy8ucl zz9^_@QQ92MM2r8_@VUb6Q5S~TC}|g^5UtY+Zg^(v86w?(qQbd|K2N3mjHRg1vfG6S zJJuCW8)Q2~7=$5c=jy2W3INrVG|tZMG-NvKtWps$=tOJYYq}Mxw7`pS{Rb7s8zEnX z1_TYc3=@p=a9?b_+#L_fmd5UT>q^A86(nwV-)N`OGKwhLSDK%uSpBJQQ&NrEAt&yd zKvOL-qY#M7_t4;IrA$Rm%}e^=2h{Z;s|7((On)b$@M0x=_S@h7;!0>=zWx8a|Ki*C z@7}(C_09XQUcY<01a?2Vy3IH_#;Cwn_iQPy(W4%BYg32=!JY0h6&{*>jjYeN;EY zVoZ(Yg`)D6a_gIN9S0v)u@RS5TJ;{Um&5z29#MH48Fp>YHV;e~-FdiEpc4kDD0LuY zUs49Y7)rQ~BATP9b-BQN2lNQA%3My1Lp(^>I0z6ZN_DDM(Uh*eqxIYd{YJ{P*l%Wx zQZ%5EVpdTGabIEHNw346o9ONGOwb#8u>eYvG|Ix_qYcb-14{aa>e41cA-o%~4DbEP z93}|1-Dw6Rey2|i$4&JVmNFih9TaR71oU}sR{*X!d^AL*`$$fFASC?6ZN`iyhbM5R zgsfzTG!M8n_rIEy$NGMp?a1b)C0P_gkbWIj#;ZS~hk8q=VPW`D9=x<-9-2TXD!(-M zxze(!oXib+-16RzP-~e8#5&FIrNxQG2&!q1z~sbm zI@7C}067|FeT2zJpB!?{gHk+j2Y43bT>_T&ZPVX~zhaJj(C{}D`;*}`#$T5LxmIWu z!Ld*oElo@|+TorZVTQ2{lo)m+ppI>6L}}}^w0Op4T6{zenB$_;q{_9Z&3*R+C#!mH zT1TP>mOgV_d&QovBL^X-P{h+p6MPF!F#nlUZ&QMXLuC{Cz1UTW_N=jL@~O^iieBz| z^!7XT3C{YDg2&&Wxxd(#u_`|9x|CILB+*JBMlRhNeX~%W@B{a_*k_#gvfUPS?!*k?7&jF8HTmKR9IO@dC~+8C)Db@uF5W227e1jx z&Y~*)7%g7Rf4#RH#$6BM*~e;0#1vTwCFpS?x(?eh?*|T6k(~>nR2pCk`Z^Y+w$YB$ z?2cv;y+<$s`LH9GM;Y(j#CXb6SDlFgHaYs+ObS}EW$D@}dovy!Y!bM%a-MoCrtgJz zL4&NMh?`NEHq;A`yr1YZ>w8i;HQ9bi+FN84^_Li^*_|T9TYK~+;kgx}3eJi*E}`L0 zT|mcj#{am$ztlLK_hazLJuJT1qg|Ud?HXZJ+89v#(dw`Cjs#Snv{lRS&rnwPiUUS# z;ZYva4B|3xwlHSznx{Z*mPX{>2hAep<=X#r9r4`9j|={K^X`vdzJB|YLRSH=i2kl1 zvo><2G4EZDkpooYJm<<#RVBwR!mS3jj9>Y$h zG=~B-YIdPn)DFhxQ>S_K5S+Y`z7@W3iN1Ytrd<)}MlE|O3}jyYL>YM=6C2lwuth40 z`WLmkoSY6jv)F`wnTsaWOgp!X!q)pNR6S%lK}#CjR= zU3;Lrr2X5IJqCSiqB*CHDeas7I+gR3;nA6{xB{KY?h14w372`Ur1&|BD9JB_3B~n- zO-9anQyjnAhci6~-%?sm^K{Q>d&sG$y>m?x73R-DJNu&V$$HMOH>)oiik0H_C`c$9 z+JlpN)Rb-wju#O2;>HRykx)j}TJ%bWF=@b((!Jt4o^WStIal$A`}t_fA*a{hoAM_Y z%^dy3tRH&HJx*4{NFlpKPaA@pdfg!K8k0L_)#^&qWs%V=tUWd2*HngFmv9ML0D+1s zI#u+G$8&2IGky!h3loc-Pa%}N>FoplxJj?l4`#jNsni5qmf;wkEzax9H4@Q%^kP&> zmcRF6mw4OMYM7k<^ejXX(}jqdXp>D;vm1nlJbJCR&Sr2F7HHGAZ*Fq^hlC>vk==EH zBYKjD60O*?xAY!qVv1nBm|D7$7CA8aw)*A7{maeWUWnz=PoJp#(9og}Q+o$R&q;KUK?xTyqNB1P@*GJdt-?s)Qg($$yHEFR{7NlQ3|1fTLqjpMAG#k z&Eed2-q-o^?CR4cfArJ7g6qxKZ~yQ@FkXx9!WyB3awims1#CpoE7jB2l7!KQE^~FL zD%lCEI6>waLC9@AFM2KSG0HSRw(6ex{Z~?@3O2uNoKv&zU3lNa4X~K0dD&DkOsuk34<>I zqabdg_Yo6NfM3!^2l7f{d@gLF0CCkX5(UM^*%SA02=voAtr!e=nYX|85=qDILgm$$ zfJu_WwC4=hz|+Aux?<=PI2Aq}9Ys;!eO&#cNo1eD`|gXc-u&Vm0(#M>yGcfxB1CVF z9=M*-cWaKsq#l$r#F9lWFm9bZ^?8R452}R0#jzWFqY!mBFe%p};%ii|Qlsw|%t#Ks zm8iw9+&(u=609<?nWXFht4oH%wwV7Ds5DS6;Z8R9M|H5-KRN*auLVIe2f`Scnw z(Xu#QDBummY_#E@)6$DVXL_=8bvB8zUH;kjv|}-TQ@S{I0c-U0C{6WOcqj+W;`sC& zi-`3nKGxn;qI+b)HX#JgY!&ChTF9ax0zyYUibX@Ccpldi4}m0+PpylFj})-SiTQMl z6$QG7ztDUR!gN-Iu}0-XjeIz0m_oTSIPq+KmzJLLSvhHQQQ~j&IU1z}hkgrDSYz#7eZzKRybOC`=WE9n@NA(dF-`twDYfcK=mrj zp0!vtLwfBJhhUCfM(?Y*|a9prVQ4gHZlaxvUmOJn7|c4YYGU7(QyVQoYTQ zgYrG|xCw*{fadHGnn9hBsp%yP4U5YH+)&;1&$&+l&@bHm8r)?-ixX z!VOs~RYPeXD)*bSv!l8>RwSx~(AE~G5b%Vq!F2PTc$O6gxY=v9hRS`xp|BJ}y*D2!ej z)*3fk@{(Y6Sdbfs=d?&AN_Bx7-nilqj+#+1U-Phq)ej}EyFW>EeO*mpd^}T$S{_N0p}2PwI3Z>In`E^gOB$>Q`%k| zt;4G&&J+^M@Owko7NHX*9xHJE;Dtb8s=_3PsK#P5#_8%tz5PfiaS5$qUQjDFI+-){2hbqub>>4Zeo_L;+>SI70qD6=2^2ooiY$yudB zi$Aj111v}BhkB051v||`#J$tJw4VL!li&UIjl@g&_g}Pq`sSOD$+Wn-7^^#k^vr!} zdMoaITTkqWSa-pfR4ghfk4v zda91-E)h?sOK{vGi?dYbpfK zdW?0(N;lYj;Ikz1p=?L-{&TOJT&?vxUMLJ&SlOL7MV*<90(cZ|IdCgLs$U?$9_A3w zNpqYTJ0nH6+@`xL-XR<}M5Ic*c0YysNPZ8+j<&KUzUOr>;>QM!N0h7|S_(vuL^tnR zX#__O@<6#lU@P9&Wdxxg1>4aqm*EEyMJu7~LBn?6+H1-;sT_K_xSd!RHjZeudhbh& zIX9)SUCGTlPj&x1IX?|JvgqT)jCnZ$_;YMX3?VdeKZ;C(JIA9hN4)X$?J^f_=n9eo z7ARR*eXB=OqLjg7D)5~Iq;8b_deeGFz*K|#6TprtLQxOE`lP5IzS z@fbd)Li~Es-NmNl=}9fCPdhD1O`kahI(NKNkiQjZ8npI$fhUhsB{B!D30+bg){y7G z0ZK9Rpv6WE&C*A8B6yUu5`mJnUx%!i&!LOgQ3D|^JRB=U`oqrc1ohPJ#6c0lB#jHD zA>D>GWNugRNXcuq=r`+GVFtyE6N&Uq(Oi@UK{eZ9h}W3`Db8#7aGes~A6j|}=m^#& zU+kqnent*=-AFit{y^^Jn?ktOi9WY=1X>=gb3I5O-25wA)0brY`d6BRBtm0W=bO1T z*W<7OlOTn&ScNIw(C#c+dINdqC2w-kNnCsN4tSvXH?NEvZ*g>ZE(?(=?-Jrt=x=-cE68QFofjdEkexYg4p@7)w9p}XnU zo-~G=Ak##PaucFc5XQE(SOEQrOWPJrL#Px6%}0y}sS#zY0>wcNeToxY_e7b#(Cu&* zr`UM!LZq9;E;+~50D;2ybEA9^Fs>Yvf=K#;^w85^g3$pDx`E~hvKt#kc*^^O9%t0^ z4Q_3*)6uA{Asj0FZ@3oE!R#8vv1>*Y3k5OEhIopi@i-s{{TY7C(o$8pa!*Y!0ia zBp8xC!i*-Yl7%R+EHZ|~;Mfpn7dImY3G5nNO8{?z8*CokN3xL1%6_2qg8HjaY&D_vL2v? z>Ja2<2u)~(*w|=5QwSbhItjLpeHRWr&DaKR@FPEp$BK_I&I`TC5HFORlppW)lei9|5QsUsz{2@ViwYu9Mx?w(xfb#os0VL6 zfR?NfZa~b#W4{)$Atwxm>l9d^%!|&~VfR6v-Q3c9wRm7JDLj04Z(-O<-KTf$resU2 z(gN8Fkyse8IFB6NmRki0+&D0yFW)9wQ{(brVr8fEMCQ{9C5cTtR1Rpc7i|uG-==Vb z+4-&GL^^^>N-QMfKt)1J2fB1(WRA#?5UJyO<36~IUWS}yt`K7tu*MRCE4M$O_5Rkk zHa9^iS37oL5;2~nce)W^-41ZjeqyIUNoKLlQLd!e2Uf=}++Zz06xU>yd);|nc&Def zwP_Ixa_E)@kKDBNG|efBCc_!2M*{BAau(Pyz(xhkc?o-&drNIs@KmaD>^lVUlvk40 z_-Rc(1T3|*(r}`kR}v8_7e$Psb{Y@lzF2Dpv)1O@ zQAF!Zq=Wd;q;9a&2PG_XE{?Us^+ceYxLN+w%v@u1}#O! z!_*s6nWWRENGaU1lyQxgvA}H@_Chxq!4d9FN!w{}hWab*TS&rzfjEa998M(r9ET!z z(gqrPvd7iUCl>|hit)E9C4W)!$Y(&ND9QMg46`AWz? zJfc-XjR?OB5xDMYfxkVbL&+XqV6lijjBFpS?Wr_G)qGGQYby#H`ooFQp}^#$eGm{H zSP54c@-S6#R!MTS^k|-2Od9?A^j!dl648TtqT{}?HE^w5X5FZ3Re|XgzJyo=XHJN3 zm_oI6DwQ=<-A%)zLwrEbg4>uPvc~%|P<6#B3R{$+Wkgxm*+^@g!*ogAC?GGJebK{!YA5QRWwV9Qt&Ec zGJs)5>KqUDlOoSknNxd(WybBe5P-haN97OfU0TOgM!8xTT=z!q72;5cps_NFqbV%1TwXz-Cf2B0051dW$eqA!6SEe$B4%B^+9zGTQClX&UHS6N(Nha< zP>ALfSQ&CtGHrAt2^yIXO1p?e4ngkr(Ifr#@9H3|LYhB+`TCD~s<&T%{pOF$0`Qwx ze|r1vOZ82hrt<1Pu*d^CddmqrH_A%7&BM zdW_pHG1 zt-t}{6eV?o1mA%iPjR;pLDKVK>`wW6O~ES^d!ftBY4N7Cw)grdiWNLk#Ug2p7t_$I z3G{O=C+Kwv9Y3S)*E!26R&nAcqQn(Tw)!LSKMdk&xd|dhQR7oAFXkm>f?CmX~$UP3g9l^2H?^*V0fYN)89kipz6vrtr-2(2=6N zhEfqA^JDxJ!GK>P2cD8|Mb2 zQLi56aid(*>mIkE7tg3mC_AF{L*GsD3vk>gt_xVJn&^BdY==mj4+I-*C73Q|RxW%8ytTq29kzccw*v95 zByvU_GIZLMc}#QN$So=P?Cm+I^+1gb#br8pv`kV?qU?$;nrxeeJj9jV#c9vs=3yB? zO*}gMmx!}FJUtIy8+AF!Tc0*sIO2U_1B&R57|qT-XrBu5jRM~t*Oef)mdn&q#A`T< zF^HQ4`Uqn&NUJoLPmsQrNcY8RyHlAhFsj5RUM4&}5_OA4h;}NJX0w4Xd(0lmxBB4s z-Q#mB;M-Y?9&i`l3y0o{_L77)xlexLF%<4iu*7EpVvq09abo3-p5SFM<+iKl>e5%; zLLk+$-yt5_V%*AXXSyzdYODY_6Qjk;KV2L9#t}>SIANvO?Zi(3(k84IA*j)4z-l?P z5-H2@g0k7BaM5Lgv04-__DWs?@q*dg9Smi6^H=Y+48@_Ph!E3#DjDXR3-( zi$*#$_g#2ucuy~?hI60wM%fd->hWIcDen4ZLE}E@-n+}}aE^Iu>$*Y3#uoMd%&0kkVJ|^3olwh^q46? zeDDSI_vVx>gXU5J_8^KFtuFo2aJgQndMKJX4jfVayF;88nrXcsltXWa(4Mx=uuF+F z<+2ws{lTdOVlG{eAyBPx&bJn>FutbAVIZw+yZMs5Af@8I!wa}6+i@5=QmI;FHHSK^ zV7XwQrPU`1Zy(z4h=!GIWJk-ctM_x=8MkTcA8fqz#cqfah-P;!zp4pUloB~tI5OAe za%@|#F;r_x64?^})xYK_cPW0PCw`FY7S^1O$^b;36tKpL7gU+3Uej8AB1}zdtv=sk z%XTue+NQ#QkD(I^2*x?Y6ap3g)ttBO65DDYDv;)>P%$hrmB$6#6!tw8z-@I@93Nb2 z-!#f4DuTseHql`HeeL+9ObQ>-%;eawb>xo_p6rh}ZXLIxdBOowQT)KqY;1J7bA5nU zy0%@S{G9td+fd=s295WJCRKOjUN7>w@Kn2eOnIZ-Ho5&WRBU4jdX20UF?OvjkzH!G zu|Mo+A(p`fZ^!JVAX`>uuVwU8AtY}F1k zxU7tcnX`FDzlA~qy$)jWSmTH>cd@_A^T@;01MgZ2%8#7kx0@E2*A~Q@O2MN~A9hS2 zKPdIUp^~Ey(Nx58n%M)K5KXedNG0+ls_r+BgNm0B@Hd94M(Q@yl- zh-wa$LGF$6k&?AoJxj^Y&M5>Zt2FOVdKeXwZPzG7f#yr-;KmQLCl~~Z(@6m+XbUNi zi8)Uy!1DgoSRJ*lNZZYtwCxQi*HipVyI)(xZ71I0z>ZrSU(Be7e68O8nN!p(cs5YV z_d`pX$d*S0R~yJ3UB9d>re%^7)mIivzWZTY2tVVIl*JI6hAZAy+g97zQ4U}^#|?Vy z$hpyFmtc@0a-7iJ)!BO#&eN3Eomq!uc!*J7MjMwH%xSh>6u*(~>mA!SYa#za5#|w^ z8d(b}qRNmPMVlz$+N7j|D0_~Z*114NIx@nS8t{hvb>WgO9*WAxqo=mm*eLH;e<;G+ zEb4z5(a9|+`)boEPPS9XM%b@wW4Zd2c~5s}$5JXu8n|trWT8x!^+x$m(?$^JB z=RW_tcrN&QpkkkeORh;#0jCNyTItCQ4M`Yg^;F%uDFgC`$;E!gC+2L*$Jrp+CtQXr zp!NMki0HYiXeDfNRO5^Gl(@&CSZA+w*S}qdx#q29FWiNSYdjk;p1QPMLzu0m5+25V@s#Pj{>QKE@fT2T)nu9)+2^1&MBjw;Cv#>=8o#@hu^#5~& zEosm*LaI%OV0e*3ug&FQ16uQ9TOf8tE(Ib*mWeg^=>cAM+qdjJVueeP-0r9vaQK8| zAnuGf4^vg4%xd$+eU-%#h+YN~w-NImiV#IPO`AS+1vO=Oe+jfU!Z^wR=*=N)zv`E@ zcRdYF30?Cr*ZT5(&VUF6H-*G^&uN&#MkyZ+?=NoP^0S4XZ6L%4=t_Ec~P&k#g6Tuo{`dvs3`WKo(_45(3HmhGou#)Uc=y!1M~<6}>e z*U#%h2>X|~&spgAtzM{6GpPM2p5IBg_cEAQNV@3fN(|&Fy8lcOX}jrpuB;Ia31jAU z>}Ql1jKbIQ0vEOR+k>c@85m%vE||&#lW$d z!61XaV1bMht5DjdjnS0yusZaD3i^ocZWb?t;@OxQegq^GE<#7vLEq?31;-4T>Gb9>}Q)1=V#^cAPYHCT&uG zc7dvH<8?GlEHjSND3^4N{iA%}xQ0Mk=fR-r4rSV#MtY9@YEY|GB>=kO>wo&t*) z+~Waw_FXCMR2mhavBGQ)HyKo75t1N*HGBk5{0)4>B?BV`MeJ+K8uWUh>nw{gMg>LSeMjYccFkHi`z~W~U`n;TTKvFqA2q7ZUsNML+%hZ+`cK0^?6r2>wsvg%%3dW6kHWI|wm{QYcL= z<2VC4qg4X;46USq))@UC=&>y2(OX1Z@Iq8=D5_uvja3F0e&^YC)KRD6H0QO!o?HoO zLhsNNFK{n^I74<~m~>VJ%k|dnAq&3Z6gymeYG@z5&!>O)`1|JsPkuc5rpq37gCk42 zQ{lzT>SRXrhbyN>Z=J%4mRJ%xr%ZdCAH2@Z-1Gv1Oc@^(4o*rnv_zcZx)g2OdsT;c zg?#I1Fw$2T0p`weL*GvA64IseM=~5K(YP<>FdzDbi`QIorm&|OZF@ASiRv`ZRWJ=o zd#AAFF?hh-tty@wF`SEwYsHEK{#YijqqIrK6lU6-C*`yaZFi5x4e*Wvd(Lss(%sV@ z1ap%R) z)-19^S!P>D^Z+NyE))q4Om)4RNgEu~_8Ui% zJL`jeUE;;wiloyhfXmiqguX?l4YDPd=h`EP<~^RugpOI6C#(wYD0tVY05cp{KUCUL z<-;NnP}wPe#Dn9}YUFbJ9+%TrE%ZDziaaP$;}DJNlI1jB-&{yvPp*Q{v@DB>u6~@@&Xqr!{paIKWZj7dvvU+_j!|a+eq}ilu&(XGR-O zP!yX=5xHGiK;zk`qx?Z{Ho?QxDhtT!v+%KpR|*L1AIHZ}{%^(;V5)YMhD0m8aCnLB z7?x)-pOr2mOs*NDGzlx5y|)8rY(b;wHiVzTx}7Afq*a`x$-Mp&Ryr|yeH=~ogq71N z?HL4+H+sBpoY2s9OA%P=+Pdx+`D?tt6c7)Pbf|pbKnq+o`F{TF3x%4XNyi56*sw*6;JMSSzXb^WPXe% zu{PqZNXtD6VlmIChgZ(xV4Av$d25Mnr-F*lN2Scz`xnoPvEeUZP7Enea9C(0`^V7%(pPA?tnwdn5BPYW=JJY*R_r{0(FXy$KToa}_=aUZ(UALoP*hx!}D-TLPW~ook>0SmFbJ~k@ zM{!NXT<>TL>G*St;Q)6)h`;2yf|KG(r1EN*)HxHm6l*h=BG>?-|E?9IxN@%Y=n&kt zufU@5v$N6*%MBY})r}HmQ0UWwxI|_G%K3M)>v!WJOvA-xK_d-#;<@VfCOE2I&!B*R z#T@B^qx5iJbSWklw!55hzKKuR&=Wqm$K065N4 zG5)X{LX8|a8YD}wT0zfW>)y}|a1c<=fyXJH6@oZaSl34xIv>_-jxHo*-z~=yT>a2? zcQ~ZY7BglOG_>_yAfnv&%ZRd^Cd;S4e)Vtv^5)H}>-87kef9dkoj>y0T>wRsPs_BbsX>W%NE*)pk;VeCj$h1O;5JVfVFEy-Ea5IMM}TUsAwYNn^c(4T;0 zdm`X-+cN0{kN~em#^Qw zes%u9z7=(q#2Rh|l-J;HW03;w=U$`f$`cJyF41v!C8zI9_AZuPdz{e6$LP z`U>G!4oda;z@?d|>3cYS$u@S_bV{Tw)mDNy%=>r4ej zktj=$Ysb=agwYTXNCr;mMPt|Z_9V17mGh2{SjcD*VR~jxbTDHZxGO5PkHGt~zWxOh z)?a))v+AzO(Uu!4-HX;br_G5^4wLUjLBOp2XvR7P1;r=tjq-|u2c+iO(}0QM<#~T^ z#=7c7uhs9+4-V92vyQ21fsi&9(`Z+B^^JUGb1}(GRGO3sC|F5w&Je1x!>ua zoH{K1ev5*lwiVvRsE&;MfY4nD6y}}S57y_}H3&j46voHBVE$}5R#P}?+*NDLcHxY0 zrIxrx(LZX)YFbKN8pwLw7Hc$`c}_v(v3kx)9=B1xgaW(uZ@odTthNv%Lx?V3c~s!zXTnlU2&n zIv~;BSb;h5MS+~`oAtSQnjP(?1qR|3dqEzOM8aJ!7cv?I%6LU3V$&dbE=bN*Un+3b z^&vpK{W?V}3!>omTJoLEQIX_?n!K<|QnX5l%F*Oia&}AQ(^@??S~uq2y;9akL&aW~ z%S>%E1@mbtR)_k5(_@cES8GMB;kq~ds$fUO*)a2ail|iKtZy>HsU9toh)jsyo4aD= zw_Fi_Z*J2B%-%x+rs?}~xai_C2ytu9&>ij?BkiD^r_VPsLfjXf=hHE4*WaOjsO&0e z`4Zdw?)See&UwH7`t|>K{hvSN!e9?f{z9anLm8!r1tfvW!F^y8?Y}*}JkDs5DK1PA zGxM+ccrREmp%|o121MZOw6x4+$Bs^|U|e~2%ANX{JI(pY?Md-(r-inZ zy%%wxohm8CFB*{WnkHQ8f3$b+<)VuATIb&gQOu3I8?%fNvAZ3}U5KM-l^(|}0Jvu^ z$DNI$;zDXde^XyB$gh2UY*#tx%Dv}9*MAaN)3a(x(3RMZ5fF>RaxRG55O_mcOKBg_ znqv=~2z8F##n+L|r(dk@nkc69?fw*Ch;YN|>7SD}%z&@!UJoagm-H8oD^}!opTOSpTr0hb}H3uBRvl zQJAFd+&MlAsNkJZS@0z;`(Sn#%4aW*-`_9J{`%{0-+cY{2jLQNiak>Nd=;LGJXS{iEb%BrHG73-}^?1krL&cOX!=<8BOqsM)f40%s4~8I@*5%jCPvi#WViq z_n-Wt%E-%$zvTYgXPCFYuaD=2Xm6NO3M1YZy47dG3f#m+F0!jQ)fdrLv6iCd9 zMYxNmr9eVIHD@=1y{;oHLbHgqss2QPg!Yw?KT;sMmjVf0R|5r-dnu4ye^DSAKPZr# ze^DTzgzI|+l0#(vuN6p2O({e~6KWFPz}lXQZ5rMNr3n#sEl6oBy+t*CP$2Qnbw&2m zEd>(Vo7xso+7OfZsRBv9sZ>RQDXbBy*7)OoDodh=NMTDjhW|vCvpO+=U@b5=ilJL*UlHC8RWl8*B%aX*G zvLxqUWJ&BlBujGtye!H2u`J1_zg`E-A4?VfqSC@2UMekcu$)D&xJAU2UO-pV6woX* z7z1)s)*Pz*Bm27A8Qj1CMG?B*+7W?<63*?19VgO7BD}*az1w5@>8^GUs%yh4uUC*% zAW$OK2iYxByGElFJ@#~3&P+Lc?)t`S(z&998P=W3#UHxr@4~qi^7IzvNlnYqsU*6M zRj%6=i3JITBs^95{PUoRQh_CehZ<8YF4S{Ga&OAdHM7=w?aP8)o#J3f`O*@}yB4p) ze8-{(V`d2ZP&m}fY%{lYEv@~Xrxk*2=W(x7NNah&^Qf20bEjz~$C&B+(#Y%@&Xc~B zP9?5bA0>+I@Cdzo7Tv^O1;^Y&KTMljD9I4pm=v$i-vlZ(T9z6t?)%e${2xLYGwEL{ znOwp6dF`f{CyPwl1jfa9Ra32Q|aR*L=@p|trKxcW9oiiR{JWOG#eDoiV`>_$xGivJQt(tMMxW$i4Y0YK?7wg zW}-pksd*6?5NcHrFoK(vevPP+!R`gmd0bmQA_u?z_3!?#t@Pimg)Uks(50{L%e+?0 zoQ2+xU_%xBbp0^OGQJLXu7r5Gb?I-`LN^SB1>keyGnx@p<3dmwvysXbE&YduPE$MX z?Q5a;`}>7X_NO};e??bXK*Q6G`29l1#&^$6tKh>z?;jTWYDQWMJ$9UtKV+%z7rMEG zh_ul29xnR&kN(3#zf4*Sor`e#?5rMTzF6p75Br{-7Wzd?yt2ILkFp^a)(9A!W-as! z?L6%TQi!7PhlM`zr2Ju_8+uZ;&^31EXBN6({=F8u>2ob~;|Ep~=c%vKnR4 zMHaf|eR*VxbGHliG*x9S^syGYXpE(5UTB80 zZza{W&~H4YyAww&4VeI~yv{ne+ehpCSHA{oi&*CIv4Bqb>h-(VU%!3*?$tMMzWDmh zx9`9HuUB8Z`Rc1z@813>J-AyT=vkXJQEMqHT_{?Osx&l5j1zZ>Q4!WZvo^Nknxm5` zIuVgg0ZEh&&E9gLn&Y~Ul;MhO2;^uPoE{Oj!#c}dbxw3^Xtj!R-og$7k_VW21SO69=PM(Aar{NK)S92#iRs6JNe zDIQ5mtI*i%5ODM6Inkk`4|YGVo-h&1c+n+wa?q-`ko_&BS)k3 zU-(0b!Nwy&{B4e7$x$L%ft_g))uyFpIj3%lj5CJLMBf!@$@|<<|H>L1bjod?$TVx; z?#N^7)20ZNCgIM)OBaIb8Nsrc`lD=8t;LE*6Qo74#O5<%2R)`E>uH23ADj}hkXp7$ z>qSvB3gdGDPxJGAm`YodNhhk!Iv}o`x zqhdFeZTPVSd#I&SAkb1#gxBy>z_rt$tl+1}7%%H%9}m_ObckXtkQBsy!uU;r)Hbw* zv(};_vgmZ-q8KmQxpdSIr*EO}x1HycM?dm*qPUNxv}mk$QPX2i4AidV_Pz{T4$~u@ zNcxG1nju9T#$F}OCBr4$%-NXeUDqvQ!N0%DEyoN($U%1*+e)zc+;|0qY|Qm|%@<=$REvyY=8`khHULBBVE9 zYv>V|ElsDJvnp|E2+GPUuF|~X=6rBVw%In#lL@B?l7=VZvBm{R)+%h#@EBXZAA$7jPE-J*o`5Dgqd6&fNFq#U4+yUInne*o%;i)f zj+rY>gvB>an6~h=%3gi(gE?+}mzI?w7b^Tk-xR17xF~VsYNIH8iSH`W(WzJImd%Xw zN&kkv$~QbgaW)kYQ$WUStg~emxDR_#tXja@7(tu1rDEgO7 zD2q6pKQ%_DvL36p0-qG$R}=pmA=R zK^G3?#3?8c&X$zqiYSrzh4O^;z$m3(t9TRL{-cDv2zh4xudl(-ApEFnJRwZDG=|Co`H(zR$ang{_=S?!Pj09f6rJ}MnxP=wZL1i zR~L0jTuGcMZi+O_OLSS$p}NS5Is70lb5M+wVzj1dB?-%&jw5klL^^ut4O332%4(CM zQq8Fnt)TaAxLyRY*XdSUtvEg9c9bYZ?ysjy*B1EN+xha`iZW?qG?gdXKm{3t7@-xb3?d#WMkzcbw4$Bbk3K0l6jIq0N@^r| zZ&A}dF%Js@8Qr34tVt^o-H2L27*uqLZBg}UhY}VvJ$uVMpS>JbD@O0Ew``YbsL=}b zAj3Zt7&XOaW`M8;RCKJnDiwIF_bmH z)CxDk-^$3smx5mcv8ewmi5^L?;+&nXn(Lfo7o+4GX|`RV39}rkrLcExYiUN>8@)y? zD~xX1T9pnArDeKlZn`=v)pmT(Nqg%NQGQEsD%$C8t>J79S0S51gi&f~*%AA-Ttxm5 z^%o!!;kTTb&eecVy@ex>Dbp((YYg&+`4g26P2Z$3rYX5=pewo?ip2vHJ+bg(&$*JW z^`MJlAvm@PrwRb|!lNpCC#nFn@Q>L=*|n~f=-s6+Jqg{Sk%T%2_zqK9GB0t+I4iy& zPA{vEnwo-4+@t&2DWQr}>5Y^yF^%&{%%3mXP-0H!qs%^FsQ6R`Yl|KOl};bB@`OG_ z&^9!!9mPIpO4JvhPZx+wjD6F8je->m2)zm=7!#kW^fiZ7MpiBn>C{Xm93@2d6o}&1 zz>oc+Y7$kbb>h8i-th(P!cN7LfSq!~AZty<(4eon1$~Z<15J2n^-j54?CZqjl4bG6?>ko>IYx{oySXJsEg$LajL( zqSG+o?aBWOvGz-Gb#Q+Yb`jT(k=hl7!_gw{R9R+Q-!W zF=#MOBM2RMjkrXE;y#gw(VBUb(J2INV-x$wG)tM`P+nmz!gp~5I=Y31yezDoV1qVUMb({+qTlJ;5Mv{XonD1{&-3&BsvpmH5OAMGeT zCE^|-cT*>dfu}ZVFJZ5JtK8=a3*RMEld9CAq^5P%6wb7U=Q7=mwHYg#)`Tge{{0@X zfGC>h!TpN9`PoMdW8j`G`lB#e(Nd1oj|u@OcA%75^_iCILNRVZW>GgJQxuY&wMI+R zYo{y7pgl@S`4qk#iB3PIZvF3p^K%kEAJ4`FrlcsE|2h$+=OdG<*p+=*-UvO2#Sh2EIYr26uTcb|pq4U>;YB+YhBRCR9WGqPk}*E7 z4H<(vS5J@vnI`yxxLob>dlJr^heM8;*6oSUI)^FxCas!7zpO7ZG{wv%2d#9d;3K+O zu`%TWOcaY_Kz(kf{Iy0`IVXX82v+EWw3{O#lNL+i7G>%>CTim%#fZl!1SAA4Z>&(1 z1S}7t<+mvrYCgxB4piJbARZG$R;UJ#!!uxBj63Ki^qD~gwD#3ab6;tXy6%b5ntoSH zDRmEiB=JKydJk1OQWNe?zQ`|S2`F#Wr{J-y+|xM+a#nd{(tMt|4YZsJj6GtGT$?KAn$AJOP}1{35rP5WVRMOXLP2deMQ6lTNV`;y`__fY7t&#EV?n5M||j zL!Tld%7v;Q5zTkM->vs;Mw3X9x=juL?o0of3pj^lYZgXBko5^g;NDpS-JMGpz?CdQY^%5cJV1&X63c z;n&_hv<=yo!Yg{PUAyzF&MVr+4VP@(>%>Bl2SU;wM_Od}wDnuXiPfW(tVqy;2yIfv zNmVK5j5KGMiYJu7@VF|gd#+aFo~Z%QU|OLnPNk$mpITH5!uwZad8;;*M^)z{H@d4W(;AKe~^UOD=uG zRS_}L^aG&9@n~wB0FB|^Pr(f@Pw$orb;gxRq&1x-8G6HQu37Xdqp{?wnUiCD zAp_72T^Hi0v@Ua#($)zxUR?0~lX(%06JXQM;s~S)CFkDiu{}bgqL_+OVKMl}XaXro zRC4qR! zLz(dvyposM<}6~$dI`?LwL-BMPI+2w=_)+TRfI6T7>6QM7SY0<5c^$mnzB`trKZ)! z)!tLU3XZBnG{det){COgG!!SWqMZK7TpyU^P+ZtIQu5N9RF>7Q5dzjAA%mkle;Oyk zl6zDi_r@73B@Y~^&HOK z?3B*DavGM~l^chzE@URqCpcklh`tg_DCy8VqgFy=F>RGVYHzbh zMQvO{MXnRjT}@~KV1*cQN22u?qwhmm@@mFh`lVxZ@j%V@48lqFIXG24=4e4_U5gvH z@RX73rPo@_*KD{6C?mwGXhQ07*!?O5g{D7m2i-g-R)^HW$jibW=hxNvdT(5D`B$b3Bxjg7+a(&(O1%IUF&fcBK!k!n!Rft%))oJCia6k<54nr>_!~(@Kfh zYzXMm9(vLhbU6JuqA8nZ)F?|4r6{kaTCEJLpe|spPak6RK_rY?=r%c`vZ&RqAwM?w z&tdWEy}?g4aX9Rev1spy5XUNiA>a2PvZZfD*LGm*ooHi*)Syz5)`m?G~I-bP=dCGarg= z>Cq%q`r;JB#D#VNN}sh(ZYyZhE7t=0CT%5}+#r{Vz-g>I!pIruZjQJK$(0@3(|y$1 zmX)3YbR6ZPV+})ccPJDo;#H09SkBnoPb=J}t?FYHqC?kXwkj5N?S1S@ZRdUs{rh;h zV}Pj@c$Ly|CT-%3`ja3`5@;6P=~GdvWAVgYFDTTb7$(ZBOj`E?c}y$3MtL8G3Ap}d zag3}bj0d5QJG=;eQ*1poSt%Y>DfYtI>zamp!818gABFSuXo+rmS9iJ#k2zP5U7?_9 z^=U@QcuoYrT&dt5w?K*>$#ECLsddO($L*U^%W@~A=ccE${MM?a8%`gO?ZoYcdQrNw zjfU>R`B*sTrr>Zj;l!mbW$h{z(&7{B>379I{nVS%M#ptgC$YNy zX>M(-SQ&;}QOzhI2a5xXD92b$r7jtzLMT*_a2GP7j3!VhasG09c7ER_4T|4 zY6g09)RA_5oWj)^YP`fHNnIIQh6($-GvhDC>4KQ>?ZsUclp2j#E0G zdUZwkA`Vo<5qqcnoHKsfT~6id$VF9d+d#2hldCKVIf=s2RK=%t0VaMJQ3JHc4v**@ zS!G(gf%OW#@hWl2I!B}4J|eV_ER}bEAnvO85Br-GI-`i zG)YIl_B$vRDB_9R2K3&>(of>BqC0(LxV4&;ceNIF!7~V}HOsGte%jt9Et1hFMvqNP zfzlni3eMd-p~8-GlfYG8Jxm4dZC|yGZs+vgW{k#l`cW`G(B{@GY6|k%6#;z`UDf); z9Hm+by`M#x$-w%Q5N50N-jz)tq$^tCDp51q5uEEP4%$OV)zdt6aBIr-`E(*uyIViZ5BqIkpck&+Hw<=_(B|bEnJ8Z`5PfeSL<#3Je9TC;rZQ}13 zPjMF^a4L@C=dSP4K0-QGS-5hgWR`fImf%C;F=uJv5%$z(=z5>j4xyT!#r#k!$BdEG zv2ze{qG}^7x2_ljDzp`_gt*6c8|^}^opI;g$0`__kY+p&LmdLBjmnG@Sz?VgmeinT zt!};b)-o;IXQ1RMW80E0tTepfOdnS=gc2VVn6*(4BsOC(yF$8Frrk87968w!M|lT3 zdB?k)(G_wROHu^tiKDSvssG1!O!OovEN|jEiZa~PDj%VZS~bsg!mfp$DT|!Qx7&mSGQ$+04D9u3{d#T|m~h~jor zn;4u};RomW3r2g;cQaA0zPLx?5F~hfW_C(ZsTk_mN}*IcIh;lSDODxwT9Xn~Rnpck zM_7nfx3w3Srdr-BH(Og+cLhaVpJ?)T^p9D{l~xMaId6!Ph&|2_&7;_-?5TC>;HH&I z=7YNWnNM!ZsVQigTfnK+gz(Rqm(bu$9BB#^FXdf<2z-z%B9j8Rp*9G@EG!FeF;f6jmvFYhH7UXmtKNR^WjeZy4*@fzp6mlya6+kvur=E^W)5wBoW zu1n)2!Y(@1%cUqL_&*U&K}&(uQ`_bGQox9u4vPgM^Pw-%LW_7losCv~F*)UAu^Qp^ zaA+xOvuaCf9&fJM2Cj}mxJj#K`XDH%lmh41x+I+*5MZw)#i0WxT*7%Bk@>b$;c%2C z5H1Q|aH<%|As4GK={Y9Ar$@^I^A067JZ5r0ydNj$ZKC1d6}C^dNa!&zr~`^gpQ1c zlKh7O1e_wS1E9f*q=TCyCumMvsB$2!5d_gv23M*`7q%d2Syb$R-r0lQ#4uLnRPfe2 z>Rhs=c$y>pNuyy8${$^`k+dxp4PB%d3H96khS6!I9fcNYZD<9L1Df9{(#b=a$IUuL z^f@EcYbIpDPKSR16-!kg1WlzLCs2>+MP^7eeU&9D7tG01V(6e)_?$kVOWse{H-gWo zT0}^MPc0)6jilW<0@`%+j40g{6gaUfCce6YgykFo=kX<_V7_ z>MHZt#8wp#=ubcs4rZ)Sb}N5tx^bfGq7KSE%YFxlu8l?dA%r%qDtnt z*L9sDx}y|SG+*haR!(&FY9P0c$sKC31qTT2Nhb!Krod!dl5z>+OmUlL%alzK530tL z;7X9t);Vz!LKbk%4@Ea-$=PZ>H^qKC=}NxJxg8Nq;b|I*w2TUpj6kLEl~Eg4I1tXL z4s~y%01^(SLb1kbWVJlG#L=GJaTZPun?YruiY^jxVON$D;I^Rk`^rb>1SMV=E|~4$ zv`_;w8v-WXPym)IX69`_eR*^4j+CO=A*f!6BF>|zd^R=o9yk#+^QJyAlXm-Rw4 z0)n+7*<8*mpGy_d=-+b8uZOBMDu_e~PZX}!Tq~4BcGR)&GO(x;Mo}tKdg|-=mr}Qi zcRj^^yQP(BpY~R6(R!;+ykcl6h&1gpYi%NZuB15?S@w{xXauBVAk+!*Y{o9PmT3;sfc0)^v4^IRi6uN|u( z?BDuB1(tA)QGyF@-7$|Xp#7QrJ~?<1V6ID%k=~`!wEq=EcD>iht$=bM2UZD9?49D= zEePZWTa3taXpi0Zb(oKAd%DJ>*E8rdn|AH3?*1uS>t)Vq=(XIuO+_nJ30zOe8QbK* z>v#ymmO6)_O<l8N;nXhFp zI6?{aSqd%8R=f%H&&Bx|aW1+L=Uoa1Ufw4QzH9foFCl(ZCo)*=9SB#7B$W4_0t0nd zo<_X<)*~dVIFDq%w!#e0K2am2^zN~9Cwg);KeZ((U?FxI^Pvn^^RGX~ylipiq2wuC zL*ewfi(qkU>j!1vdD}7eK;6OUQ%_=oR7WYc2>EI0!>*Wupw0qs(q>15yy)gjbuxdj6)#r`@TFBcP(lUPQajx zhMv&I^5)XuWPMS#wD4{g87(B)ZKD!Y=z33iKl&6X7PZvy=&?@>zp~gBsA>7 zrADhFXK}y{?hAzx5hXv%n%X@42%DLgySRiX?)C-WxaPv0ZslwYENsXP-|YP1NjO91 zmG!s!-%V&yudTnW!dhAs*_1jOMvnkM%aum4%C+Rd%vs3lpg}3A#O>+=2AJYH9A)(> z%2t<{mCp|yyBJ?7-6|y=%LG7iMFb|ZTzlGb)H0!ZjJn2~<8XcIGwG>Ta5Myq&;cnJ%yvb%M3THT>|IB%1XhOdZmnu|r8g>p80cYHu z1&xJCl3-Oz6bhmHA$UHNmV~FqrGbf@4&U4iV`^+YoZjHJhgzT>8zY2-4Fm?u9q+v> zKeYY}C0qmFMx|5F)mYH1RvIMQ9F#_F9A{FAlEmHZg|721W+0$&-44XApjh$waES}F zg3e*o{$8!qn1@rV>Dm$6@z$&O8^Ygar&{!O$7qh(a4L+HmCyJ8=*8n>7f<_2hLYq9v_vGp&_ zVZZs!Z$J4J?Y{Z`=GDKI*WbQ>`^^uk3)bJGRma)D&b=;bot^v)cFo{dMF+ix=+qT5 z3+b#V6kVlLSBb0pEnt)m;hJMNxIQ=m^P8|#?K6`073XUw$`>MiB1>YJ3a+B!7$-FI z@-aXEu;ZXI?Iexph@c>2s0&uY%2%pbQw57-X~ZQCQ`zFqO{jEm@m5N+ z0{B9y7~W3p-hwOODcT^|hnW|egb&sR^EPgspKq%J9f*pp#OicFxZ}pG4EgdHF0WiI z-h9G-gtHPARf=dzpIf^zc%k4HiuiKOKKtGb@YA0kD@EI$Ij^Di_{8(hot0un1+){9 zNKiWGpC?YrjWB@vOR-km11sD!w$RRipaKiQ2^r8GCJ?HDox(X8Z#?Je^*<>o8c)$6 zY)e=Aas9YNPd)myNc$;K>MvCIbNG$J)+pR5=DO#(ABySJ9&Qf;&7ABjRPRY(SL-pN zQ*Q5To`^Mtz~`TcZ-@$`_TRM4IRQ`tO!;uoRP9SkPi8=br`QYszo`M|8jI?|+aA<- zDv@bXmN{-jew16NrqxUH`KWo{Q@>8Zp5Sv{Qr`HVbK@m^6)e6h4u`L;)`nzd^FmJ9 z8O4@_+;=oB_8|0%F2&76Q0?Wlx%igTW<6;Xqt9t!Fzsmar39#nfYpj~#_~ug z8j>?>5UCQqZ9%oyX^m+Q-5cWe+Kl?>Rkg&ej8cppv-xKT8T_gQ*#M;aX zSx8_hWI4Y=R$gIG5$nQd;ePpKzxw>cll`}!d9)R9-Meqzy#B+F&qgIWby8W_Y(MUG zOCSuu3O%uS4(Fqg+uEW}R2XqnijE(7L?J|LUpU3puK%C=i4Al>#Q3CS5aBMTEUy(+ z&Sk$BSqF>^FprKYsOJU;Lo@^5?JLeDU4a-@O0&|MBY2ufP8GtzP2auJ_-5 z`SlOay}Ki0_aF?3$ow{v1=yyU~GCyU1gnDSBrnTD(gVSry zy#O}v_l{!@eg?ww{cu(6_L=k122*~>+OwxV)eUTl^J$Lg4(js*U9?`|&pI%M5X{*w zK~dg96MTr1QF&JN>UJtHY~tBe)cbr2C zt2SErajWcfgN%V+llax`uP8!%>-VZA3hfK6Ju2*H*t`DUV(_T=r_sX{x+_UmI!EY5!?kb(l1sInJ)nVYgeKt5QLadpoPu3{&}E$33Gz1LoBe|r1XA71_G{kPwK z^+tdB=Jnez{xVIWO^;$FCMQ{d3BCTC3T?zuXc^W51?Yw#&i>KYF5S`}wGCc;=l$*b zFaGntG?w4J`vLmUdZP?1@IWp&>v0xHxfO7B-C?D7xgyw&-MCU|5NPlx_VWXY)|ipK zUCyi|`sSxHr^4yLyUe*cNOm$l;3KaME>boT zQ0nQS&SKtA+&5<7eDDn!DLF5avGqYyp`U@I5x%3y*13PDG$SMjRz3PylW6hkvI za=@PcPQzsJV9Ff2m{C^P?EoEz>lcMjH~{!fYyfBx>PFTND+!AkoN@7{m?=7ocH&cb}+G@Ml_ zA%Jw#IWqTbVUfpn3JML(7&$=8UGo=k*G3xM(am>X7k8l!tA$ksfaR#Dx#ylhxCMiU zlB*LNzOQIjdzHx>*PKHAinL8oyBJJ9Q!s%daZRGaz9wDNx77>4KP!r|n7E*{K+w8T z8;iA^io`Uh2o6Yp?uYUKxV&MCgPOxf7&fIDt~N!JZ>3R7Bh{I>Xr~bLYy)%Ss>$zo4CIt(e6zSj6s1~C3iprc9u(7` zf|H~;@eaR?&{r*;}1_kc)^_hSmADpppE*mq2)5R3e1&VKuUf6mYr z*e5U{S=YFe*4oDfz6tO~E+)ChrCu>`RjVW>KS|3LIJpiv7y6PZ-xht?X4cTDsyC^@&Fn$`q&8GzBaY=e<+}lzTevGg=s&$tlzr zU|iP2Y{(;G+m)MWp;ul~@<%}i>*cz78U?=bVNwRCf$g4gotbkuupvZ=8=RscXJ}W? z#*K_D5$>w(x-N7SmUGxOj@ASVH7?<*xGzPAKa41SCC1Z5i;Z)rfkto;6?zC9%_!F- zBm>SI;(_C#zByS@!hdXx#TyypABTAR%NXDP@E9}1V-4~4&yTU7&-X*T{qtk|;}CCu zYmDR1j`5E}y#4cI{NoUB|NI#LxQw@dW{iKjjJJP$jQ@HWZ~y!l|2V|kKe~>8ZW(X? z@ECLG)rA8d`*A$_&Hu0Ck@;KmsCa}NX-Yp#Wc*7!Dt}`h@Bbz7DEGI_eXxTm2H$Nq}V$G!!JB{#I9#Q#ziY{5$Hu2tz5eI*KM6RZ8isC}cH?ltUW*Gtr{f`SLVM%_RT!ZBL64@&mhP!*LQ zy(+4c*)*Em25*@O4Z>oY#DUjhH(N!O`a!X^MM?>S86#ZY7s{IN=rE)iSUH6oXnm~4 z9L!)&F>^FwVxYjda#~ci&_LIs4HO=rQkY!gPDw1JA2FaCpgGp$-+jy6UC(KJIF6wB z+u3bexzC-D_}ABAE;eB&x3-q;LjliSF%R3c*!ACui-V{dBStrWUhSoN_6fffr(23s zj`9Je5Pf*PFHRq8qgHLQj#;DjquBZD{{^u#MJ`smsfX4y4s4=n6+wN<7qaK%PK>0v zkefRcS@f=ro%@V%j?sXyX0vsJxIMb1lsXsOdU)Op=R#;-dF z88z&iiQy_ct+bV}by9Un6C-{-mF~Khma0j(3QkN`fsY=RkPjD>)MgmLy8s8jC`3d8 zJRzRQL0iRIYEjO^Tq+=ArB}Odnn__z!tRH1x_)r$Bs@Ura=0?hb$BtgeG&d(7l(!b zQlRmfP^El*jG!P%S*ugVqGJ_vR~o!Icx~dB2pa1Fiw7yJqQ#UC-4&Xe?rDy@h?Oc7 zR25z3pr+oE5vhBBEJqtSb|Wh)_V0x(lpP}O&RUp?HQo9~Ie6QJRE$O@hJp=fP`q1k zG|DH6M6*)s9*s%+ad6LA@CX-NxQABHkDdb_0}~zEW8+lnqGkTjcJNgL=%_X59y_2! zK`rviN)BB-kgFCg!H|oB-Zty0sq)BNXc+`tnDB(C;;~nX>veKFj9ZJXcXt%aMtA_p zc5aFy7T}k`xr|j8=7wUbk6YRG2pb~%C>_STy(^W?d9sqzQVW;4+S024g)Q1dg?}pP z(46Y)jx1D%#j}7I)+}^^@3Uf|_4o|rqyG)ZkVRR+DADZ+?F55aJuND`ma9;hKu4dN z4?QYFK;OP%OGyQ{ajM7fmVht+CLqAV4;{qOVv4M6UG>GLyE4m&!v=xA!d ztlbhWSF`EvUD6E6@C{In52MA z37ff15764&$?EwM?Dl9VC*&1+qsMg5bmCkFRbwTxweD9a$~(277ZbSOh8rj>(iTHC z7pFuap+RXL+(CW%;Rnp|w_iX1>Z>O|cZwKazW(;b^C!>0efjrSKi#f{Gti}mylBK( zxzGxuXU>xza}(C-tkP1L2x8N}8CnbGXjZU!sSm1=K7M+;!hHSWyD$Io)pu{6zxwuH ze}08z{`&oQFTZ;I>d8N}xL*IqPvuJb_EIQw$l7TLgwzlx!hv=gOHHyfji!Z8+lK~; zWQbZLVWiqu-OeWp6kRvcZ+es!~L!d}X&)*-jkE(deLUt3tLFwY98 zlttOhUe<;@);p*t9L6rejB7o2RPhefBaVVe=aQ%`csBqaeE@PmjlX=GalXBPe<0QU z(4;dkdajLus2vva7{w@zj;)r2T>@Bi>ui(%_yEoZ{BSTg? zzslN$+%9?+XQJ7RiyP`TU8L$u0cEQ~yvWE>|92l!YHs%fG2~UWYMNe#z5O2U#z9=c zm8()s2Tp5Y$%<5Zps<&AI8Drb_mzqO$mAr{225N0-A(A|vJ_5D$Gm*Kj1io=IQBG?H8LnBA^x*PrN{5f2}<(^F%G->l+ZxI9O{q6k70 z7cHkQbrBLb?{Zy_*jo2Sa3#{fwmR($t`)r~>M5c|nbR2PQHB)H-Wkv>kt=TvG@{$B zDmy4KK%L(lr=~JdYTZw`>0FK;?q1njPLo~|T6sD`%CraBZP(7Fu}L5yilb_*w0Wp8 zn|GnZjlZY0jTA!*9NsCS;BiAVTKqp>@t_U>DR$@DifkczVqrn%KGIKgCHe$2OfIEy zpn*XX1%J=|9~H;P%(oK=Y$NrtEq)hW)>LYB93`erJ5j^X$C@v#OZ^=QEnM5x5u~@A z`G})a@>$|yVqWRR*~F4p?((zKRC0Z4Y4)KujzV?{wk|=4yF5(8=*JHeworG1et5i7PL=aHBm}dub7BVfq{GyDgze68*j& z#Zy=UuRC^;Y8= zt1oN3n{KgvzVtUMJ+T}CdHwuuM7L;7$aK$V1&kKwqi22ki-Ok2Pd2cf+sQ=u3Jr=O zZ9j|losw(6eex8yjlGYD4zy-mp7=7OoXd%n)&dTt`UQhu#!9vFY4k%a<7i`y(v{+t z(5`qQ$AW@1`jrK8qI7->Cv2;J={j;kN5RvHV$(RyF@#uJT#sSzJ`&284v~M+A3YUk zB(tw;OPFb1y7*h!hXx~tyu8gTYKx3=$AKn40$&0oy1BkB?y=uFHx{|qq<{@NA zo5ta^kDC4;M|n;yx-eM9G}|mfy~4wfhWNvOdO1W-Q&eo&*-}I)0M0t|0*GusE%A$+ z`A*%0a#hWGesnQ<5S`E);;l#RB`VvVHfj_+c7a5DaptM*r*yOHGu^xD=FdKQc6%=U z`UB?vk$Li$@coN7FaF=>PriKd&GRpQv<>VxJrKDHCU8S{MtbqZQ_;L}bJf>QRQn8P zWfN;>?RlED1Xv$_`^Vgo{RA~W{f%$aIvUMS#alW(DAh0LNvqZFkKJtT6sS9}g%Ne6 zW6R$-+#_Lbf>SOE@- zUF&X$EEui31<|Kd6vNmR`^T=-VoUG`_I)R{JbOOum1KQFJ8U;3FH>>R4iH}m(&7k z5s6##;6t8%@cGX^GD(sw@#{gwn@frYvk#ZO2cI@gMT zB^Yyy@afGLeX61~ej<-cJzLl{H3lEUE*`yI+6Cv{aj&5$2fbLfx7hE*DD>Fhws`G#YM5t4 z?}A6(5@2^ENzJw0k`EAN)Lm{p{5Z_D=MBX>hgKPixOhv%5z{^LP>R2rZHoyZDS=WdR4z&rTcEf@G;5qntKtn7rJ*&|ZCkYv*C8xcw4P7b zmNjSzqwFF$OZlB4pq=972ADj&iDF~Q@F&`b7zc41Y83|7;AeR%a4> z+XNzpVtjQCwIsZ(y}78_R8A1V(Wsub(A}p*oAl6Hg^S&g4czcU6Q111INcbE@4|Cl$ebIB0kGB7DZIrR z>d6>t=@^7x+~c?Y==Pd>^X`q;U%c?~))tU^sduIRJ@g_3uSMOu*dhm=76PuYY^QLUCUu6QnLSs7Y%C6eO4J|({1~;5 zXE-|Quj{UDj*P^^mwEQjgu1A_bB;i=QLsa&br+>YO+-Wy%^wkR&0{O{T4aYd^)1Qx zvtal1p9psPN-u)GvLfdQ=DvNSXbhlOZs(Uhh-kPQ^artKpV9_G$8fb!M7t6}~XH;lN-af+>N_wI34L7byi)Qi|s z4ple5U3N3AZe|sf(a7KSOlvy`eFiLCW+`v8-NTW1U$E1wYhE?N9I&ri)~9G|QEWSc zZwM}7YrU@ponoS#UWVh?2W$1S&p&_P7x@2yoQp%x7GwBw7XAKgJJvM%(yO^bk@9^P ztR;CHS#S8fUJt+Gv-f?)pSAZ3H*z~2T-T1jIF6u6DAp9^%IJMuwOa)^cBKkxP?h`- z4Vk`pEMD1$|EbF4_B^>HniS{@l>}^ZOQXV1qkC!_+OPAiPN zhRFGIpvk#cWv0BNSYa{mTpxYsC+~T+M3NqeBw!c9XICeBaZyomftPdKuvf#=K*PJz z|8gkKa-UY{&6?rScYX9nk_<1VowAL!VpB~kTsCVi*2T+P@Ax3<%) z()W~Yzr~}K7t6*`|8XeOLKF5&G(z}=#}j4~M%s42^?o_*Vpgk#E0DDqej$c^pI3z{)L*9x?hjFg@rWwi1M$)04f5V`_yuop z=iTe^m^U0h@&cjz~F zFu%zi=I?Qb^b79L{s?zyKXQlpbMA1DBZsE$!#_5TxLTz7kUs=_+pVQ{{2?N6R^P`T z!bAQLe~mwEvEI^8=Km}HaKHJ7Kj#ncefNKwO5|35MkV|t#=e(IC{ZN32Sg?2FR8?Q zL?xoAgzw^OP>Edr=ch_lpFjJTryNrK^eXnntG|E$Lz~`{r`if{54;B2`Gofg>O9Qb z5BTVVXHVHze85kgk`F)Nqn|z?UP_lpio_Tmo|xS#2{+<;`pJ{Om{;F@`Td)h-+%o> zkJq0b_<#R&DnH64IBjCqrnqkwYZsHy#@Z83e$#_un@``1{z{Xot}hs0`+dlAgaos@MJk+UbYZ9g!5O6&g3d z%8Wb-e^lBv_oO7He_OQj^kB-73f0}?d)O`!FN}0c=@hL_I&A2~jeg~(hD*j6=_p;w zqtUwY#k0@;*l3j_t_ZZ;PhL8nHa*^{*I>0SYkvbgO`JgDASZsPv{PxKgU%vUrw-3sOD1Q_=&<;sq(r;8Z z=bYk_vh#f>;PzTL*q^6M1~L8Mwv2(mj!2nHUVtDR86gUa!KrGix6Gjli3^2IGkM*u zfCswG^z)mmO)5N0hHp@nmA7(Sruu_=mcZ44N9TmCw+GrOcjdXGZD5k_g+w)^S{tj$lrSnw&$iLa;lvQyu+HEA(7iB@wpkDn8MuWfvxLbJ zP`CK$=~IMwDIeNeRg%QuL>ON{OPe-uDJfPRDbBQ+Cd9?whv|h=IcJ8ix5!Kz)#(p% zq3m`KVP<$n%}H};ibhXBz0n&%@udD&*n|HHt&5ZjJ8cS&duiAv*U~Vo&5BKWtgKfc zW@-_NNZO8Eo?tU4F$z3N5|&%6F-24g!V47Nw9<}t%z)~45WL{cm*6OQUtL2sL}1qi zN;EHA-lm?et%2gxS*fFJ;fT!%b!P1)XQF6AJE|??tlR`^STDu2BM9_Qt{ZDl}@#NKL4N00e%xARujN(tgI!|bQC66Jjandg#XxjE|aOT(OveXYKd?5%KG}2aq zS1Cu6s`ZU;pmkb}snaRb=H2^FOFN!jpxm%>7+}SUK%Q*Gd2qadG8%m%+MD&z#u9Y6 zl=|c@)B&|>CK@|0Dz=lNW7$<7Qgq$Zvu8N3CAxaq6>Xs_-5$-BQ z2q>B#4WmOLda#C>cu}Ewf~;=c0l}jtF7@@#i$UPM`K=z9#P2>~!b@!p4q|v~)P^Fn z0FeT8D?-Z`veARHfMu^rfA+Y^=P{fM$I$9Px!t?qPkM~*gS~Y7U#vnwVd79ak6J}j z#4W4>l~z3trh4m8;Yq?}!QpyEkwP=tHVKhijDnpeFMEBnStm!b_^H!3(VRuI)4S-p zIJe_J^|SD{GQ?(wX5*MdDgC_Isc-;FNx~x)qfRxG3{+| z9xKeXrOQxNDwfq20b+r&#$lGxKv2t3+iD!HBi5d+_;_HbhlZgNpx#A9mf9$-lSSZ= z@(+{H;Z07V8?Qtr9`z0drhcv3P3F`t)iAbAz_KYAQ@XJXOy|srZ0TW5xHYLxZDXIh zHt^Q=0}yj=&a7KHalLA7&0EY{KlIHB4FcN3_0fu~S}+~0la8_I4DQM{&FhvG9F*x& znY-1ywnFh3K|gaLN$aVvK59Aa<(L#fZOcl26uv%s7{1RVYJd`lX)Ev)Mv1$~3{juGVCq?j(Acwe<<4C}`V^Q+Die~Bxw&jTDh`;fW zu(ubA4GwR`RVniL0S`fkZaj3@<;Fwlps~WET5yX#Dzu&hry9?h(v631c~+|2T*4rs zCLx985-@s)hiEwz9#TG=KjI-}3-FK;Znui#Ry?QS0weUkXrtOJj%D4M=#>y+Dw6_m zE!a)z@;F56v`+%k%5U+|rjT(_$hUxpG<$FHkhp_));3G4816qvIK9O~N)C!NN{X~899p~<8@IhEBSu>HJ3VC$ zy(&6<1iy3Bn-)X>Z#)#poEktyMN_$p-WRw8z-b6nYD|J4bPM!3Y@wA5+!;NH4mNr+ z1$j7$2>2;p%`AMr1+FVThB5USrnOBd$=$g{Pn-)%dsTZAyxaqWtFMJQYBq$!kE~z@ zrVcC#N<)}`xh<%6&jn}G9Gmt z3bA%?xD$!~s6FjjYjXy(ZSlCGmW~2$-w+hWq|Yj2(q3_mOL;{h7cn34m$OmuO1qZg z6h6+)H1y>}JTxP@ovpJVABByl55vY|yz{%E<3NbZVp*`Q^Y%%lCeYV(qaBcgg5yQ~ z8l9u}MHM#_NjqzqSH$p2Fm*E!pfYdw>L1WfGWq}$ojw+{GaX0aT04R_T3yR6>m29U z0Gr|?HYC&TGCAr2gV0U_?TD1OdB4^=v|}my*J2F#ba5!bZ?#qu6{gJM%|#_be5R@4&W7enC}?`~K(k2NcN9}UMMjhOI@a%)=L zfXv)YwZgre`sq(BGS+Csivoysr9Koy{bWRkc)!NJmR?2mn}PviWkMPanbZy`vX&U5 zShM4JfoX#xm4cf|vy{AtSIOED7!{1~WV1 zN}OMkUqyoKc@F*R+Ttzk27nak&~&5|G$CTDw79LEN`?s(68|uQ)LJ#?rhpZc01zJ* zeo5MDF!P3~C#EQRsby6b=(v=ngZAp4(4Db(aUUEb3ls=i-0+5CiX!|LgZp_SfHh`Td*M zU;pEW?x#DVcV;ZwVktH0R}|`0I*mZ?p+GLIoH~s> zEk!~2jwu_yhJ9f3(&Ji}itz9*;G-SdRw2bUT1I>Qlx=O#R)o+Z&9q>5HezkzbGZTe4B(OsWRP6Vf>%;eY##Q>=^Y+D?m*0H% z^7X6dUp@K$)ywameE8%q^Z7S#UcUZmrDIQ1_GNux~*Ic`9<;RnS&=OXI(6dZk?*+7pu6C@p&WH>;eF5 zQY_sy!!!#yZSJ8}n>KvStT}_Y9bES=?Vh;Cxpz@3pCv%tE#Zq@o#=~KTLY8OwPnv-LhLSr6Tt5gEzIyS+ zo7dmI6Lxp!rcHT@60TRo#sv4Z(lvPapCP2{#G`y~7#W$>AIa3g5DJ_uF%6g9Na#gVuS=EDIQkbEW7P!D6hjdZoiEYI!GGI#IFN@i&Kn-r6`E2y~36 zItDw|YU78c-$oXK7r80H&H3n^xL5r8`FC$#{y+cR+u-%yka!Iku?W%@0i;k)g~U>o z)%90*6=cCmNDEniy5_a9dzKbc*l3GK(pl83X+zY@2!M-CR)uXLk))+^okIaze7L2( z_PG@W=p`c^ZaPgsxRud~+35);r0rs=R~XfWs9>Z4(N7>aFj_B^>Mf0;ql9=Sw8)A>%D9s*D$O4@XyIr*`;!Rb7_L|AjH;Dw@R5&h9@vhm3`bP0?GZ-~*9Gc$p zfZBn2OW>t~R`yy(o7Vb1*O*QLOsyULKglPrX=rLV3w2|~^(iAipaESJcBXRqeh$n) z+o($Z-1?NNUsX=oi_;?qj3F^4>iW*p=XsSR8lgSaViCkhIBh%_#QO;Q*Ef-Q_4gNF zad!RJ@4x->A5Xshj(qo1zmjsSb4sj~Z&@IcX15%6+!vL^kXs28Hk1i+D8C@%*eR%L zTwmazMfck7v0fGrh^-0_p`)?8%>Qjwz%&cYYiSRm)QV*B?rquHx*2Xr75^Xf;eGP# z<97|@e|`R~V$i$(@$cXK+lzlZ(F?wOSF2!9j}&4GhB8I*GA+~nZri<4sL~vInT@M4 zg(6IxG7O&^js-;oss(Wbsr5Lrp`p*zEWVn1zts^9Ct-p$6RFl(BEl^KEm$Wgp9#%! zwo9Srfk_^AEgCE@ND}m+!XIKipeQx ze*N;*>o-q+rPy+or?)Kf^LOIJheiPvMRDP(ClB$4pkgagV}l=IRUXR9dxn1uSKe;} zOYYysLQk;%Uho;E>1$x6L*<@E;phpaa6vq6eE6q0YQh&gC`k27zuZIOWIe<>jtwy> zlZaCaVOKhDnwc+)BV6c6Vkxo>3h=lW?+ZWo_TS?De;Ck-G>$X$>#$c6na`;_9GMS4 z`%SI+Cx1D=|5Etic=^>=FTZ*5w--<5k0l|rym#)~_vzzlrl%kb(F)C)Lh0bl*KI!pHgju=kSykO}X<9Zw-%^g`9JfmhV>lC9C5ujvb zT=;rK7nWnrjK~9$eR#ofsOoU~(m#bQiYeF&7sq~_MO*8n?tq&1es;fz3UCM2Zw;5h zeUQdex!4X744iG~#GE)#;-SICs-b#l^pWg>0N1H^vWM7rVpRxZY3xRw8NrwxYw6}R z2>9?AG2DUo=}cOYODH6+K8tCnEB+*<7>v?qwT4$84ZMno;lisl1$;4txYZKBudKuN7mg>glj-ih21#)X_Iuv}jlE2)UC&slBzJw8`FxS3_lWhpeYXu|)!>sUo=+yDmj}N65-uqiT9qyzP8F@>&kWqpLzJNGsyEMp4nKpAM%2 z*%ddtTo)QRos*PuT!{WreLb{NQQyh-z;M6|%n8)Hpg1yi!FQY@_q0%fA?BzArJcw1 z>UMd`6g}2nHbgspxlz~E*1`dag4j0Z&^l;~z?B$4hhgk3Yo4{Eik6M&Eaizv&MAOt zIOs?o0&C6I6-f)lwk7EC%UKDkY1Md40<>SEW5fk4o^iJEjqt*4+q0A{LXSmoYCAy3kW&lr6}C0SdAQ$C08M)zUxKl^iY1= zhD8bHTJ`c;-`G_g6J5A6ZtsaD>fHrQHMBxKL(9-=q&xVSn=+O_ z3g|UZ2ZzC?!kSGhEp0~|_bIM#bJNmZ(|%N~uxr)6zzq}^m{nLF0}937Gp9{UdTXc1 zReoEuC^U9n*X@3*(6BDTW@1>{g$P`(BXnEZN`Ed+DAz{MyKiArCMa-V0a%p0QZp|9|XbvsUrfFZ&nzbJ&7qF|owIc04 z3f(~io48ARN>N#Vk`&igL4MRMidM-sAveA`MVXUM0v$^sATEToT9K@=h_Pqw zcglBG^ih-$^hrlqwf7Mr4f%FdLO6~>byqCGd*a@wMS=A)XM9WW2bD;ppBVTmXTqYo zqLsf77~`%n!H!!m(+>Tb!>0Fmc+&aoZoPi->ffJy`TFbEU%jRd^)KsJ&;KT7^!l!o z{MYZl`gi@;_|u2)uwLP28v}@oBCEWo(OT7p*zHE~a-oofJBFfhX}dOB!NhXYT3j|E z)t!2Ov9+>R?U_ya!Wox2!xuqDd_UB7?K7PTzwPewI9*XBU3OaAZv8o5)7eD0TB>-^ zQYKvNT{cVw=7u8pqqlri$M^T=fB$D*cNfGKcGD8Zk20LKaHk(^o5@@4q+SnuT(=6! zF+@F*IENVuh7C#lx}9h-pmxp$U_h3WiZyCkwml*A*{66b+V(;%N?oxE<<%r;JGhlH z%1in$abJ6Kld{)&T&)F7fkxZ9o%<+JL>s7EpNeqGGIp_PzeI>cQrl$-y&;)!VXb3x z%S}MhYM&?Wu8Y!HsTkN+Pjn1innOtLS4sW)s`j`aWvY z8cbrl!Z>}=V<Duwoe;9Vc5z+1$-XT!$<-p`AA|^tD_qM|+~O2 z7sQDkDiKPbHCcqBvE2~ zt^HO>c7ko$Mz61=#=2F{9F_F~IoDw%o?1w^f?HR1}0JdD4N ztWZ;H6d@HR_ms2azpxihtV+GYxo{DdgbJu&z9pE&Kl~X58Df4k8}~uTa}D_4|NhnY z-@SbG^3|VSy%1*>g?{RPKC508%ScpwO3jn}KtH6!q>=KvXn= zZV~NHu_r~jfN_)d!4?1yT1eLUx>YVU4f;AFxb^mMcTl5zQLnzLZ}Oit@gA&4hrV_@L66)g~K<;65~3 z7y#olOp%v>YGFz@V^Rzdb6g9O?g@yAgsAqG;+}xGUDGYsn~?O@|LUDNGEPW-MfCIW zaTjD9PRP3O6H2i7&s$-Krum^sOCcT2dc5(DTUR-8cMfj4%i^v_bNJzZW)73Tk1=TC z)`~=9SzAa8&2doa5K$Ngf{bi3e) zN2NCG*ThA5T(O@17BXHAG4O2&bW>_k#7YDzi7SSybFGn4OQbQH&bOlNeksI+k+4r~ zi<^7$YsT{^Q&Sod{>!52k-F!rIqJ)H4X+ZvsD#&q>W`*a;%=1R6dJ_g2OFg6leAM8B{F4YZhw*g_~dE^3%+Yk8ban6S`XNWbL)X^&k%5Mxx)Xg$A% z{jR6Oo=R{t;()kwH!Iwfy=$T-HDRr$IC5##Yipq8z2ld)=k4K(fA;xrz4(W}_u``o zyf!^)GQ09i*Ip@E_^c1@%exNrHs7(uU*cEjB!@dbnPb6pq9MF&m1ZicwnaOoh(sza zwKS>069sV=U&*X}vL7^-W$oo-ISzs9iW9fycu$7AD1{5bvckPn<$wXVV;n3dO=#HE zbHMC2;SSDrFd%B!dp;V8&mIZ9-9;u3%wOL#5|;>|rc^;(sReiLmMaw?o83KP(5N&B z#nhwdMMd`0$}uQilX#rtf_}PiVgtY5c9=AfX`N#ktmWeD0V~_JV}p?H-Ra_~vB-=5 zSwCm$t-RS%JGpii{?!r*GabU&fl?Qd7$N@M3oVa*(5H6ATbXa_fecQ(4qK;y@Z)U& zg=G5?uT7}_;M{jVHpp-*B3iVsm&MH0L3w<~h%3A`6~LqL5_}MsukzDusoX|=ZbXEiw6E_116)ld#X*)O6!6y~~qh#Ez5kU#NYf7;E7oB4i$mm_Q*ls|C z>*YgdEluPnzjBB8-`>1@_458bV**TD+sE+nF<)`aJKf+msShJ)HWIuzRx^R#lTID2u z8li)OLt50d6`~b*6cgH+?z&D=x;++APgIioevU0d4wM`(jk?v+FkGd0eZM%YFp}4& zABt=O%sWlDRw3uYHEga&wLa2SPHphMZ(93eWr7j(St&>wqXnHkXMPkIjdYcTN{6n0 zu=|DD1MShsgbIiWVgxPYNG{fr)pko8NI6l5HL-VG9GwoQ7RC%Kn&H-IsqP01kkYWb zO$z~U0D8aw6PG$y=-}ceY!g*|G)KREL;Lfo3fn%EteU|FL7&~YpH))ik~$y3r4w(0 z%n{F7L<=vHk6uh)xvW%|3u8vQ>=VYf0XO5eWg6Qk5L6D`-Ni*5@*jIet5&2!@pvhh z=9u0QyHRmp@#&_WP2jVHxoV*b<@qb`T*Xk=Wy5A3z2rwfzmogqI@Fh9L%MU)GIox{ z6#9<17R3N9^m&b=Ak8e|#5JVymw;BkvO;&I=dlHj&1WkdMT_Qo8eXrl+32pjQhZuZ zNdx8)+D?L6T5eqvI_{e7)m`Hh%78^QJJ;*rWJHr6Z8)gV3LE~rs}*Wr)6Di1U(+|| z9dA*sTioYM* zu zbt5qEB4PT$D8!}UrTCek%Nqr85m6seee3(!1b453F3h&J%W3RaO~Y6KvABAt_$Yzh zdP;ihr@!~sB@awF;=we#L7JgLB@T3MvXAM637$t3&k7g{m&-BJ6l|Dr&Ka|EaH70i zpkUrN6Lc@_U3*cX618WHWoT)-1OxoAcliq5U6e;Pw3xLwkntT(UzCpM#?kv`1vZ2L zX6CEC!Xfvb(P}+}C8sN0bk=ND+^Fe~>Uk-)6?d5Ea>r?U6C)_=HP_j4%-ZQmi(M#c zf&Zrb_LW7gjm4kCMJ0ynY`r@=1ZR{J5=VmoZ~BI45p#;f+KD69Bea1Za0akPU@Dn* z@B0em7R`>h03%R|7f0+)lN^@@Cd~qGC*rQCHfY}jJwF~3ZH`SGmcsP952tz1uf()! zVEBVYQ!I*rA?oPct)Hy{Fo`~i$zh41Y!69#9EEAy*MoC{gtNsWf~45DiV!tHKQml> zv|2uUyIMZ{z10#d?T?~nl@6nV&VzTDa?c}+v1zIuh6=t{{7X&4`$5Q3z%VWpfFhx& zXI-a1BR*RSJ&~=Rw|dd>kDf192LQo&Vt9tl#5Kj?@vrn~AqP=}y&PzHD5NPIQwvx{ zXl5*D$1)}EVHMaoLLZ7fc~Ka3`>nXA6$DP)@m19V*AM!(pVn%)-MQCzW<{g1y3=Jv zNDL$vb9zOp%{EYX5D`2@NMiQpmS@?NusH5_dJx4QEhtei<5vxU5{B}2G>)cmO0(mM z+W}2Gl}@jc%g|pWNIDSr7ZI<_IGu$Lmk&K57V!x4R_Biq#*phLUw^1sy6uteZz^^0e@q zHZmRam>wZ*#9}wa6YqxLt@EqXtJ{tC8H=2^GI&6mY=|yWbT%%e!-z$T-fN{r6dJeF zf=NTaK&Fe$Yp05IWY@7Z*6vj@i?z~ehV@c6%g-kLlY1DR{x1xJp1z|7j)qvr)K~M8 z=|`9-M!LbRwAdZ3B%vfRe=Q8ug6Zgs!brjzPN~@xdk{>iEpU58M`7B#M5UTgz1B*f zby^OP5eZOGa7e0g04zXEmfsKB0zm0wKf|QI9%g%n=nh}vTNNwLoQ!YFrXk)%oij=# z#oCKnM6FY04ir0+eyMez&82-ty|)?dRIsPUzc0dxnBMvQ7>Yi_h6}~?a(KFTQTD_d zPeEF|QdAj73#)}_{Go?ikRA|sPqSUxnSxlJvvc~0IFg&IR%_)X&IBcIjHB>v7jrav zm_-OY1;H@kNbb9;=+#~yP3WihgnszHGNC=yj0ad3yJr$@&K&Yk&KR`3kAvc8LFCg| zI?Eky-HQ1Nw+j_kK^z|y6TLf~(-iqyQ();dTvyjQ=1C5jbIqUrbUL3);J!qt#E7aG zY(@hr=~at*Cz2~Y4C}bD8sh+9fl08THq~Z*9&U@ZX;QisHKT1%Nj_7%H0ihOy-~_` ztD)eCBzCBv-OvD0meY3BztZ0A1PUzY^M;1W5=H#QP7P=14GVWA%ZH==$)k?R=U;sB z;@fXuzxl^IBG4+DxkcmG1nJ^xs?v;J;F;##``}>)J!W zxKVDha%j*dU`yYiyiFSlkncLy6^p~vxhs5lmiyuDiu?4lfBEdmzuD&xD(+vu`P)Cf zdim;sA{hOk+Nyr$v|7Et$4Q)k**t}q0Y{@w{qD9$J(no75=u)~I8t4ZeNA(8B2}Ca;OZvd3?Sesn*4%L0tMsQN-X4b3FkW(5J9ie|_S_>(}%f@0cv z?RMUcXnS;8!C1ZbSHt)3q;e>$t^LXmqU7vSVO_*g@Qxi-tA zp&}JN{rF!#|NWtINLx+KoW)qE(-Ujkq+L-#>Nqg)tug6K*ZV#&K@w5UKRC_m1 zp*j&z>r^kOmsPA6j=nqD8^&RRIdfuQn~(<;Ow?Lcf)D$ug%m}ksEowI&8|+fRC6qi zvkoz!UEn8GES3`9Q?wYy9PJVteddF6Be83tx=WuD+ug0`9XFeMJUY|nAB_taET8|& zvp+B_;(Quk!L5)eZT9dG?l_q8NiBmHE86w7+^PB;ELS`lt!Wp73X>LsqdT9D&hz1c z^oP%&fgk(qb2a{lizHq z>@WWP#hVvT{+0iF@*gk1`|`R@y0 z>Mvt)P$OAk`E=W8SF8?w?zM)~Q*g&s{prOUV;}JdMZ=5iqmrTzW!1K7`=s80PD<3f zc8s;^#f_^1!o#Ao51u{pxcTzM^Y5N~`{irpuODCH^A8?8|9|_6pZe;>^MC!pKTrAY z*L3@6=vJaoDs!xU)UrZUD7-x@!G!j5t+r9RpZ!uEx4zX&6#CqkT?|=yT0y^+-Cyon zFOjaxB?ZMqxK=jWg+Fi!-t%ZQwF@7(U9fsVKqq+)%RL?O`_*jMK6916o|HsLX>VFr zAU8$P?lu%vnkgbB1uxyiG0h01gj3K__^VF}x}b#DCKBQ_2U%#NA@4J=uG^=b3x?3= zTh)@VbI4$?%T9}>wjhRfx_@+L|G`tX`lpYh%^w}!6Rmqc_LT||y@A)Zr&Wn!_suIH z-$?g1gVvhydO-m*Q7tX^<)L`xCvUZ5?naw0|Dj#|&6ltL`tpk>AOFiIA3uJwqUHMh zn{U2)@#O0l&%gS|U%&smqQh6;{o>*7%dyy|QtK`RO;IAYMcrt(&)d*Sux(#4O+aqA z&a#CN9^~?mKfmu~g;D?Xw-*XHe|z!jyC+tN6+6SPf8pl%?=PQgH)*h5|Mjcq-+uS7 zPw{G^LrT&Dla|^6$Ow{`CY)^}8_~PG(ekQnDqOZInQjjsP~e`*R8aG4sEG!D{#UWc27g)DQ^OA7&Iz$All(eG~2t zFp6L1NDD0m<~kof{(TW>zrFtZi&w9;Cck?A>hIsbdGg&qzIpM)K6&%v zyEi`|^YY*R^x>cO@BhaVYMJY)z{9OyM0O?=^qcSkzVG(#@(rZQx>l zv8E1hcgl}8&N;HOnB7gb>-lJqZ}vUQhhl5)&CAzceEH(#nIET|wQJi0bz*%sY0a$D_LHp~>P(%(9E!CLM_ z5MtT;#_3qq&ydsG=$b0`totL^b)Vr1=mdzzt}HDf(s2~8a1=VNZZTSzD&EJ!zwaI zsRAbFB`Srcaja#Fz*#x=u_*MTXdr;21s3-s@l99b!u=R+ zvsxUFR@Fyu_tn$iTUN$s!h}!7lCnoXuhWWH;TpzlxD^bAXE7-S+3u}o+MpB?V>p&0 zAo)5<5stZ4-~=tY5!wdd6p==*?1Zr>>%`EEXqch{#F!@wMcQI4#Hf_RuWNWs%hVBiH+tZ|i?tx;!k)yCX;%*{| z%N1)rumNdweo+ypUq^k>G-aZKtqQHzT956mhlVR6C^NQm%>vmY2&P)fAF}6Sm1g1Y zj#JMjhM?`0l_gAZ+HE`f^oowk+FIn-Qr$U~%EjRAmZ=hHCTL8et%CG}@(v%1ITfEd z|9&(hPwyG|?007b+gvdSZANkT?N)HFcq1V3kMP-047X7chO=sSh(_5VPLpd}_N~_Y zd_#D_)k%3(#5OdWoqoaBtVAU@aX zwv4UfQ_x|Gn{_q~)Pk(QKHBx0{jw6(! zunVEM8GC@D5mQPi8a9R+VL!c6-SwvyF;XpgP9hL8kK?e4gh?1kyK&+kq=;bzLZ?$e z5n4JleORK>La$Y+(7f!c20jSYqn}fS>ISu$qk7HAsW=}XOvBK4*14M<5!rIvi|FAp z73iCuM_<=Hi8bsxK{HyhB+)9cT z3W0zVja&T8BNykWp*r-=VsVFg>p+0i!`6#}W^O2-CY*(KWi3P;Q+p_Mr^)@`p4{I} z51NS7+n$lND+=6~@SGLZtaVgn)zm`VNg4x9QQ@q4C|8O!n5zgtIz%(N@|xi$IAK9M zTGz6$%H}TmqX{a{$_b;muEA5IIU6nb{~9~Ojks#lR9&~&^fCoS2C8C2WJT$!6~K#4 z_<=r1)5NDmV6^r0-J02K^)-bH8Kt#WH5a!UPFK#cLU&d9NW@?hy^Ylt?{GjmXLNaYv)GkLiq#Er^fvnAqwoYM_p>%1@g_JAm5*n2{ zE>Q^4`Ft&+_nZs%qwTyqx;(vLjH}1(HXUyb?KMlg;z>?cxsV^J$|ZBrSYLt4LSLW1XZD4oz%rZE!wT`r8x4N}+}{XkCen6vAd(7Z+0HebGI z<7-umx3t7-jLjtC{DOdLZ@~&x@ANn)aX8IUKy3i|Kn>JY4(-Qu%{rC#G)P%Nohz~> zajpipJ2#;?0G$~Z;!=u_dH|Z{GqypltydEzh+1CACSN=KuV9E7Q6f7+z+X{oKp(Pi zT7mY|t{Y0Ew*`ARMn$1RqmOxl9jI8@Q#)1rl6J{;6I86GZad=I+%#*@Icv~Yjw(h} zv@2K`T{(H-lm?|7{nBOWC=o;55p>j;n7O9@aHoFq`Mpy=`n{d15bp#(6bYipS=&UE zH1xpRR_iuW7LwHxo)!}afWn|#&Go*vB`QE|TK!3RUV#D(B{rkvq1P*M+-0oE##A+d zTf6FAS-b(gl3S>gQ5aKd$<&Zc8ux7*bfp_b#o^K^T;ueGXm#mleXKny`-nm=Ics~x zzTtJ zLti7z&>CeJ+iD|*EP7-OyVA+NXpY~i(BoE2L{Mg4wVo+j5&r>p<-zqMdVSbn%5RV=;o)QOkkCAJb`U z+Z5m)&G~2doIm?r@XodAjsYi!8JdAafhMZH;^A><=5YU_{#Nmj5~5JDt6U43MVuUU zTu$9PJwiz{sEuy$rzqLHEw-_^4r$#_QOTWFd2M7RrA4FIz|Ttj_^(7>>7egGDNSn) zn0;{xNg@o0_l(KQwSyq;!L4okynmzPi~Tm$e?mVMc+dR z@T?0~Lw)DAqtaazr}jCTlJSVt))u{maEG!GF-Xw@w?vWfLh;0c`ku0*5|q86bOD&x zN?|PoI)h#m{FVD-4o4Q^&B|d29UpZubPhCA?kcKsV6~#L5u~WADE_IKq>9B^c_%kIP)n(V>>C7tz|2 zNSdA`D7{d_rX^^RGmmtt6n#v%qV4zxZCNYIio50J{kCs-Ugv6-o(BiEZa;HXN^^%+ zqh`_st~}`BMp`}l{cf!Q#VdZ`kANx7QfX24S&!C~PI6W}yyXur;VOGv0@%nYdJ5tY z?hbkhWv_A3z$GT7WidvHXs2JZJ=&O`-W&6i-`$wL4Gb|`D8QG1HiVX|)i`pV4wO`I zvmH;lopLWr**lAGEbVS26Gl`TQkHPr&KcNQ7G@f2-g{rEA%=84z(V8t8(ETxu| zvqDr1+V1$$i&3Gsu0Ndmp-8V_P3hH zmw+_FP)w$5iP)wVt;j^Vs9M-tPW1R`3_Ipm+IROorqvQYu8mWJJuLP@Pw~h?DgxGY zlpz#@P`Yy55$an(d5%?gTDOJqgdee})J9sguDz|Bb_pj13i~zaSHQUODn&0K)^_H7 zzx5QVXxC;gd{VegS`;ujg}vBq+s4w22oX-|Z-&{$HIR|q#0D?3ZAYSD`A|F^%}(=H`zwtS3)nG7o*ty zP)Pif4{wdm2WRi`mXCb-)!%;9ko;jfTB=52hr*f%W~-k)d-}F|YVsP(*y5!YtX7-vUSh`LO8vyXfrH3*>301kv`z5okSz{+!{6of_qwR zioj4!+7Y0r$&1%|GV6kaYRiq1{d!g)(@OEg4YL2ksHBr&;Ne96P?X_JWh^Q7`8R9QX;+`r#8m|xEmT>$|waZ<# zNKnh(9`4o=Q?}Gg=atJ!cN>jm+wbB_T03IDFNnEM?24~qr^-v$%!E1(CIT+3SLgk% z+^vV2%4@>emLp*WH$6G}fJvZpL3|uTuk5_=Xmkp_Ev+0iBctlY>M_LOCdwuhX9TfR z11OBBY$}{XY9i@f9Jh>>GRcG*m)D#q)uL6V$op_uZX>?W|HvxhMl1``Zs>w4%KJoF zbiwg>rt2bq#w1>UASSAZ4O$3vjfLGV-o9OVdbGllac8fOp5l{-7wRAV%SZoAEyq1& zyEcA++@GQz2Tj!DN?u@lQuCOuhk^(Cz8^NvNAG{_XSYDEM}Xkoe`u13>T-Lh300OA z04D7u&Mw3{5GHm$AmVqMI#ncMhVrZEk}`ffE}`pqDZ_j5M157< z;x20GmPhuCfGf`^EXo?Pz3o#c*ylk|ybP4|V%N zRh+9^x;#o|`4%BGN#G|b@^)=@Z5ut+^=O!W*fW1%n7E5<=-KABrwm6BMz)t;hyw12 z*q=ebsiltOnGZaC}EcCNLM zofY-m{e?e9^~EQ)%Xst<+z5X1LnQlyn}kQoC@QVpW4S1vu8ne4e|c;a_!|Xtk9Q-j z&$mn#LO|`&zIiJ<`}FShe(~K8^%g%;_)nUrvyxog-2r`S8TtI7!f1LV=Fy(rK6?HA=ntH zHa}Vr4x(8!@BKms#7(NKeOj|ogw?N$(ukON%AbS;anI|1fAp?*km8_nkGa zB{?!DW0fePwRihPJ&>&bHrbV6I=czMO!Ud-y`P=FT^tyzhPN ziu$^Ov=3hI5^*8Nl3V-Oc-HOMI(Gqi@ie^? zX17{GL!?6sPxNKFi46-ijG|Npc#iFC1jl^_<6MpA1E>gu1JdMhcyp!FdD1WPD+?)EJ`c6D^ z_SChW4=g;ll^z@etI~|%r^gmoHaI<@#wueSZ96Xf>=zDFJm8)~h*GyfPd`pFA+zqW z>gl)k49#gq7#=$hF1(dW7jfbcD8lFzx9`fGTSk1zjX<~YPMbM>9dkQJ*g@(*n}*VXnlh@A(oQ9-YB zD%6bryo7}%klEZ81Z&(H9-f3d*sJTNNBS;>@z7R z5jfC5tV;Td-4qgrO;8lKPi2Un77pQdq&89A4$3sQS#0C@lm4Gh0i|0zP1|DcN*sx+ zk}PuKqBc5u25jA({uE1HIjX$54R`fZ;eh$3qi$SA*~U^Xc%>7HwCvgVlEq#XlN@c# zLCdgJk<+j~Of8>&{ONBCkAFcs%HLl8*pO&68XH})jpBDb-JtM;wo@a1HnavfblWlJ z+QJ;lk-|_M$WBK(Up7+}%Wv&D^o{jSIV+H*i-J-iuDIfrDrD}=Iw^F@;%7(^MpKtl zbj0gd5*9*bq~PI%$PBHe zpzkPHP$`~21U8@E9SPsPdC9{2`wL;0zkWqk?Xw?;=K)(TUw-xa|M_8zj{mW&X2&qP z8Y3{XbJ>Sm)Whub=}iEC?Zf}FKi9uMf1@$~75aS$BG%ioa$-IfQ8wT6xfS8XQQ}&u z%cmV*!x`+_a|}kK--v|E;H;)SNl?=Dtp zmjsGp$gwGspP;IlPjA9;j(h}EzQgVDsK_Qn8|NXMpy6I3-Q4R+3kK#csnjw8-95P3 z`l{)C^hAvMe}DSBPgHR~q7@BeVvE|H)(e8PDPru@=_!mLF@J4|!)%{Rqt8Z9g!7k@ z&p`_!W(lGyUBK`}*O6;SNP~FGj_z<9-4A5oHV}cx47u!z?y8A%dv{`sCOSNC&+@Pp zs@NMQgdrmVeksL0dOu2?j^BMfG^`WiJmPE-FV1-4NKKcHM+HLUit7{Y2fcwdISXIG z4uZS5yQsWk0o%oW`i3sYI); z2!PT2_O`JzM6}&o?5n+$T*Y_7hOgCrg$0RuVIu0M_Fe~fW|oHgDR|c6y4Qjs)}!H| zQmOpzaJbvH3MU1ww=L#hO>p&?+<;kh%xlN5vX)3A@m}$$M*BAPWh8svr^yag7*~)L z${2<8?JRYQ(7ATG!*UfkCTFzB2H=Ah6~DJ22ybXaXrhO$*f{$}gOoCz(@-YRo%rw0 z4bs>*&PFq`tkx}@KsbBYUYz2c@@Jf;r?XP0R;F;`BaHv)&7}VOhrhq{1@1-cldZYf zO&Gm%HeQ+l`eFQ5j@vs$!4MzlVtR?3@FH>_7hxO4rhZNuj59JeNhs4qBDe7?2Wbez z^n5eLIj$`p({Zh0?V=^EWu^UmUyRZhpkIDlJ>x54KijQ^cnm8da0vkSq76>8+RQ?s zTuAY(bG?EZLQ~DefQ$ctG5S0 zZ(jWSyJz^XZ@KOBCx3hS?d{R})7%JkChKob(o`dEsgoklNYaY6TNKshGhxmQh&V8-o zUO_Vvg?eg0+O(@4M@jAsN|AyD_$MnP+EZk42?)a|cPb4k3pSQSc{dby9smEX*H?tF z?Znt_3y+3kc{mpT>`*KZ$KszIisj*0yniU}PJ(}b`LBOqDA2u9q&Wf#LrO)|9Z_bi z6jj9Wu){85*!qssDOF~Lj?R7BUekh%MI8D|GfxfKsbq%GfTiB*7{!FKDJMCOFzoKn ziIv4F;?hex7ofWG{Gp%etGFzcqC(&bNo8X*+}-K>Tyqt^JTES6rl?0R`*|#z*9U7O z4kRzhWA9%5vmZpQA9!>8Z(0W~Zs^1Beie>bxQmG)oYb-D73X^3`~Yq*@jB_7H%7EV zsnwq8j4de*&M1N@tV4JSYu6H~+cbyb(_QDwY1&i@I=#R^R>YynZ#&1Nl(8lXCS9JI zGenOOk{n*lTY1k@{-YpDB_eV_B197vFGX4ry#%(R!>ER1;T*k>TS8e=@3C(xdJ8`U zkf**_-^U3CouhQBx}c|=Vtk6JamhldIDls*-?i-SLMY`@h!t*Jrd^*QVKlQ zF#KAvZ(Qwi%uWYY43{=at*PE#9^3Gzz?U+8thru748AT{DbZ%IZ`<9$3^8_dbF*ULx?;E6 zu66VHdqb9xWZzG01kSqD^PsS_-r(!xNH(9{-KJxrPQy~LYo~7)g?I|r9&E{#>^{$B zH@8US#pT!B>l%ePgup08tGhT)1_3$@RNSm_iCxYjYr`@wO(QcBie!akHNI)D8kQIK2rDTAR_5Ig{&p08^;p ziV^=C9=@7^B0+U)(`&YRiZ)Z`JdGgMb~|&SMvPU9D_6ODz;~1>TsRc?MRD|O7roll zRBb{xcE#CDlNk`!RBYC88vYFHgPM-Y!ZqHAt}kqBk@?!*sk66+Ol(c7PjCN3p)9XT zCRt&fLRie~#Rs;fx&&Ujq-8<%ZLAC$>yB5)auk&Oin;|%qUEZ?70bq)Blu>vW*K5Bc~|5K6-%ru=U3y zl}~@~3$>W70yjrq;zq`D&3)>t{k6_`VHMbBDT-_!AuNGhF^>a*+OoC9oaWJ2fAltc zy!h(%H}7r)+=svS3|RK&5VnaO;F`H()id;Nwd@sV!Ws+lajqvC!#E3}2;XO%ifsa= zTjbo+pa+LS`JFx1KyV|Lc@8^0hE)5|$Gg>IO^rXGUz|t5P>c6CI22X-#eqKTB~#8G z71zUd1}YBr6_2TbW_Hp)@A#-*I63y8M*rEy(A zmdXF+=?5P^`vZ%h{d^JZ4;I1w7|(udCjQ9x|7=3{C;Y#$HiqrIJv{%$_Z z&*x)2o{zwMgx{MFBzcJE|C#yt({TIi+z0g z{O=mAFJE34qOpaMH<-ef+h>MK;+@<=6|krkl}0Fq_=7?zhmly&Bwco25E!J=Ni>x1 zA?`xC7wB5WisrToXei;iLtNGBSEw87`{t;#Z;J+C=a5@4?YIQxM1NCg@zHDjraa~S z^#AkQ{^|Q(Enp_6i4tV;oi6@_mSanrQ`~R_eOCIDx)ZCUR?OhT(D&y1w&0Pk+6)qX6yBL|6oRtotFizPR;VM#c5xYqJ6#y( zqc^=W<{b9cBysYnoJ3 zYQWK1qu4?8x%m0E#o^RUWb`$;;MVGtbF*hL)4-{c!Yk3za=8krAy`8oQVrZoW z{oj6|;nz-zY4ts{D3yb>LEN(#{XT!D{RlF;T;R(U|$K&*yg6}_W$Y<{xGQCH~X+(Q7of9nJ1XPxYIk=|4Wz)Av3V1zSNg(UGKxTFW7h zLyWWZa#EwHx#(00_6HuZ`r6-?EdTLqKYZ`z?K9DtBL>L*PW8g@&CuUXRWyZACLKtu z?lGlWY3@zhds;|Blm(AdfS;hEyH#v_;KHs1`17Nu{Oot0^7#0@gG0+(<_H3d3uNU) z2B~>R_N{ImvtDg|Z96twaJ2z%FYhdx;$rNZ(xc5NX=Wqtd`luyVIB3!c=%{B$E_)< zj(}Cg=IW@MUQr2US{%nTPKq{F3_&|(Q*18lqulq|Bk!i)DA#yzU`aTZP>Vn3-6+S! zp0?ja0464!PW=5RbgOocl`6LIVdu{K5Z4#uG}?2NUY+7{^)P2Oab~}x%12#}Xi7+m zM;CSxIKv82j+lZu!--T`r)Gn>9&P3O_~)I*%Ij~xd-D9d@1B40<<}@k{DFb9 zS5WZL^00-%6UrwLUT>mcSWuL9(q2rm^YpCe7kWVN*;9#12Ny5#%YsFRxlJF3qDaKKS`%EN@q$^KzIzib^!{?5^6+I^Mde7t z?Xg|vPUiwa9-$hGPRdm;%?F;j`-yNd0)qFY44r+nkshHPWM63K9#E7?HK6>zA#b4v znZ{nU9`0D(rM6Zg|u@v`!KEUIjWpUMWcH59x#J2b!~dkB*^WKefc zcyX9~Uo=qi)KhdZ92{}Fxgh(|Hv}<6wzEFB-#2B2YIjZ_MA>(Asr10s99RmA>te#K zfUpSjy2k0*ZHQGct;3Zu40iwz%iE)V&MxR9F;w0h%1EJjhw8tur5-9ww`p5iz19&# z@U|O>A%ecg+^>tKB&@U)TeJuo8>%c5+v~fvL;&YH9UYSBt1EyGRFyF08(q7`A?cyz z?Hne+BErQioS_)ecC&BV+2@8Wt)lX!Rp1?JdScd!8x+w+N`RG!8_S`IO)g5sdKwME zan5mG0}TbYqaR|Dkj=PVoujfqMfQ$^no2+T49bbi#9+#x5Y!u&E_+KIh3kGKx?07P zltFhlua$z7T_8K4_+T*hUT&GrPPLPUdme)W7TX`%b+hSi5cK?ue|!G-m#_Z*B#-ht z2x!=xTB&=|YiO0FVidqr5e7y!f`!P`u@C542=tyCvpGr#^*VUmY9a^yd}+PKWDLdR zp&^z_yE@@^fi_-@MtvaFBQSEjVZvBXZLP;UvC2D%5znuc8V31giRo9_yp&_pWxjWhq&;d(sJAcb-M7rQ|i~KD~va69$d1QTWK0g3Y@}v zH7|{p)q~!wYpkYRoKz{&_JXYyz8-n&=mm_9WUTmD9mm{ytfD##;*(VQ6DQZZJ#u?A z2_OGLxvD)!mtPQE zO&ZV)Q`EkjSOnu1F*cgo_Kcldr#WmO;);X&UOZm4BQ4tF6i{n8#DVHbTYf?uMKPnS zhXSL#(YKW3PerBN#GWl{w$8stZ_^@uM0`Wfyo<_{exugwpsup53#z2jp-!;HR7X)|}! z+zjo96US7<<-NZvXd1WINCGM{)QsRi`fLq}eT{Y2TUcdPBp1oJg6`?EfiZw^BNIIFDtUK($c6Z=)*7p)I#=&T&-E~xgYX|1U%5KX} z)Aiw~e9`;GG%|ca=&?q{eg)oWtBb+~S$U)?hV69-0alb{7pjs&we7Hq%MTy*!_R-z z_W0SOV*UU$8qIkll+@}b?%{cT0I8B5S6;!L`s>!Mkf>IZbNiP25;Za#?kjr=g`)Z# zOO48u+KvhNW6Nb~Lu5@`rTJ#{H%1@Pj=Bu(U^}--a8;V;w6HnK5nNd7cIe*}{PrUw zb1tN`DY(ZCS)Kt|qZU3=3wjDd7(|dz(^U{RDBcFEony&&3NkgFmPSQdYV{CyakL3- zZ7ow^A&BAV zuW{7b1l6byraD@1DBLy8>r4j@xC$lnaLri(a7$UkR64raeGfPF_i?cTDxSYj7cU1s zZl`jT;KJpJO(AZmuxK(AA?kitl?6?h^?4BsZRn3S{Vy#P21x^hV%m%&2my~hmd(Ig z5vf7qK}n{v&+{VkrBU4uBI&LPLzBynb1jVDtdss6D(UtsE5D(yhuzMqRd}2WMl&cA z>9fVq=7?GkG(iv4ZhE%9u9>M4ck+SYY&!p!=D@o~e zY->=;3s&GsiCWB4F@}qa9mm?f!PP|#4^983Q49*f$^Zjhi`cZv+Y;71j5 zib`9d&4$S5(6mvI8Cwt=-j1eGPQDIB{P`q>+}QL8X16jIw!aS*vMr8-c5-?R^EOq>g#HDzz@YimRVE|AJ%o#p;w z(_dUei-S&R*xKzsvIQthNn@jgprwol-F^QxeGg+P6~pO0oH(6u`H^>x&gOTDe9eiX zxn&xZ@)gAPaULc)!9H9_7o_^BFuC;&B8WmUR*T0+)9y~hV^T}ugIR?nM5BTbNWS&t zkEJORE9{_HkP;U1THC9ym6J&#qChrA8x-2#_XOI)N^bOAi&3KlDp-LRTGh|n8cuj< zFqYFNtGA=5N`QhoEvv7-kZ^KW6z^P`S3oob9j$B{`zuu$!aF4ImL2yJlz}QPYG4$A zhOn#Kz-ih%Z+s9WL1 zECM<=RXmSi>Z->xLX4Ajb=*M+iPCEoPFh{(xQITUt=|nG8KwS?v9{Jy$m5psk9$t%)D;13 zn#V#w#y`A6JOWD zX3~b7$lmnZ4P#F**{qA@{XwhgBwP9{~T?NxxXculMh7|M^#PpGY zN3>|6zW+MUE^;8yAs&8e+K_xR8+JeE9OYOk5Tm_CPf2#ve{hHymYKx;Pi@YsxUwS? z7tlT4_eaC>;eUQuFa~{l=GO#Hcni4GuE=vL^fV=byPeXJ?MSnTn<+8oeE9hhN zr!X`OXVCV&p|13cuVY`XpAEpXUolJlL$ALYx3V?YzWP=F(bg4p;&qgXJAN_i0_wJTEuTU!&6-zh zHq@nq_JSbvf$Ps)Q(Bz@ps8~apvr;*9M~k0k8)_)8|7nqj|FT5fumlElVyikPvVMs zziV!Pn7n7tKK}f}Pk*~h&A$BRtJiQ*&l%((_@nM5~(x&WPiMJe>QB(M?9UnTx4+4D@dZBHE{ePz$r6o#y}j3wQ&%g$&w zYCbjTF=hUe^@cq*n5x-~rV--miM9fI9)zvp5)y{uDI_?CIP_f4g1v|^slsuG4)(U8 ze)<68X>{i=*y}-%VJeY-z*D zVrz7jYCLg8rlncKOnY7^TRDp_Eu9TH>~j=Yw?Tv9$L##{lMg=l^pj`*^7Kjhtx|-i zpMQ+d1_dt215%3Hf~U&{U?+&Q<+4R8vCu~u=!V51&XY1`&z^XkM{YS$9MULD<$^I@ zBKFvt36fL3Bv^v+cq%Sh!~m2E!m*8fEcd;T@|DN`j-DZb#C+d;lMRhXwRSvJ@7&j& z+Mj3Wmxh@QM?DKADk5OV$hNpkvrP@jOd+TSwL=DW=DD=93h0-B zl}1;htaeq5QJA)M6M7p-1Wy1%^J={jE?U8;3ecxe%A$Ny4?R;D$7K%hICdT9me5Pq zWcjtDi!uUcD;mQ)UnP_#!)7(qhsqtW=-e-fGR`n<-Kq;EdM3H0DANI|gAOZqt3VELom+`H{ zU4E-*SY0UE?{d8$ zS%`3mzao<=kiN4K98vEPmi*cOTM z_l=CFQ#eg6PLim?pBeZw;f>`u%3y7Y5n3iGz!C{L- zynTYVs#m`gV}cRm5ZVc4<3m7Yd3Z?h* zCxwa{62t!yjfC*-dpJ$oc9p)Mt*Bo+e1*88DutBuZ4fX>+q;srp@Bjl<!M%)U`C*RPN5)R5u&31KX7FSMJy~6-p@VdaWbHGR35E2-BdJO*C@1 zuoia;;itN+x7mP0;__SNazAdPfg-ySkFj|z+)^e-avQgWmdV)OJ3KXfYIq8ZieON> zhqN#3yfJL3sR?a?XK3$Ls1SX$*P-}Jl-KUiQmV=~HKUn#YxcH{ChegIL!>y zI-<@VuTxN4-@(P(ZZPh?OGftVxJtI1lHkZRE=q2T0x=JxH&e_%^s-vF%0+G&)D~Zr z_Fs*^#q5L=Q|*#m?XK9#U3FeFfIMq*751mnsM5#k7EjHE1_ken3y-hK$wbdwaNy{f z6yY6~arUn6zVvt~h;a^jyH4)o>IfU zqc=?BQaZG}b525Q`YDVVpf&btLS5n#wqIHuf=?;TTPe_R(TE`=w%P7NlN3AnM=O_; zMkF}jw{o>O_BF*bc~9jlN3RycIXW1C_oM~k(})}9En*h>Nv|LEy4sRgiuy(Dk(4~q z{-+#AF@^dGp%7&boGK<_k3JkUe?5G_Pwy!BJ5MN#-(tUu8VAKoyV$|T1rb&xyPsMO z?Kt!1w$YE;ZVwZxLA6|$m_3Rrc*%2pC!+l5`#-tA|AY5`e@>hh?Lbh$(nclKJ}(W7 z#zJ`;O4BVTMUOuEp-jcOb+ zRYq*D?YBF(@W6=SK0-c)Vah>j(odt)L7$}%fYSDe6@?hOXm1CSIJJZHl^r2qg9xv6 zS5u?`@gjVWt?qgW42fKter(`tF+Xm{%s3W=HjWXeP&jtO$>UYvi*mbuS^;6(k*FK! zQFC6HY^ffb0~gV+@X8K~GUyyshh3WDK*AI}*#jmp&x|JFNL%y%s@m9G;>2JNk22dW zBdgUX+TigbbdhfLdTXy5jxM#tj^c(Qu;8?V;Tyi09_wpp`!#c`t7}AyNPI$uclvzQ zc^hB5D10W;$NY#y(*z>ET^9;o(P*47&T6<<)t)~1tZtP1s{&Ktd@@nSNAa1^v3?GT z59Oum1(gkak(;6;4RH{sL5mdnrGC#fR>UC;+r(!c=S?~#P-A~Di&9+1t%mh^)GZq^ z$-Bx>DEttg*H;S~COjc~=lJ=pl3GY5E~ttt>S{Da`xWrf>)h@ZNv++Et;XBVpdf-3 z^zMN!nTa-R$Xdi`O9(A;bb(`z4V1k$O-MmsFeAIhi31v+CLWp1q)F;R5Q{#KlQV6t zjw*QzN^x2W_?V&O-NG7?bDCM=&Dav1B5p!z*fQKV~!`k2KwE1DgwTBZYF(RPUCQzv}sfg6j z9DVE1ub~OO&A|vvKi6EwuhQavn8tmGa_&S*QljF3sm!@RJUH0N7JV76&s6IgPps*AyMR zt!7wzOC+BP1ZBt-*&w~gRhAXk>qpOfBj^u*XBX^g*7d>{IBHK{S-CWqf)4FitzwNu z@|2*!70ikdV=n*mlaD`tYXkZHtABg-`afPh`Ac~7;_I(pyn6ZKtFN9vdH&azFTQ*E z>fvG3(LcNy->4%iMo%#@;Xmc)6ggl+n!b5qqPrBg>#qedl9Fjpw7b^5qvMI7T&h|y zTHgA*x9;;=E4z>*D%gA1^NK)&{%6bkr5r6{+WI1N;mkofB3|!d)tq#6;UYdqMN~`l z(o-+QF=eAxs|nn$Yj11E1S>1Qcz5=ImW#*oIBW`SPOz4H1Tml}o@BjJ+DQwD702oC zu3kTW_USViv6#1g@|X1d>u830pQi=(XUS-y_Mu$tq zpx3YP=Y}pV!k_~CY}bOR6IfI*L(51Xajcud$H;Bdsu7AVqP>TYhrWOF019G76Yn=; zNob-t#NYj)wN^?HC@3BsiT>yX?n{{$-+cGB>4~noUwaX%a;~O;?S=x3D1OA^{-82M zYxy_@C+cl^vm==h^-U*L=j3;6yA^$_-m9KAMBzA&cc&JLNT`)jxnKXrow|jR>=dy(_N$)h}1V6U9epggmd; z5TO#c+OYR))bGM9F)J)0IOf>qj{caoY3PU0X;A3Bs||vZ2e(UAlyM(FwevlD_Uyx3 z>gdNq>!<0-U)JYey!__*7q8!m+9)Vkgx(J%5+~Iif}ihH>iCp9+C2P}^!ndk|MkV2 zpKLv;@yV6D^n+_Y7GHSq8Bag??324X>^B>d|NP`H+w)id_ESm3Np;Cyv?&2pJ@!rM zvf%^8RgdfT48EVrGNz)-h6dZXD=4(_kl6G)PD??QRh#}4WVyVhO*w4wP>yTDd9k0g z?jU(f3)5xv?7dYhxv&Lc`3%oTyM(+=soOe6VHHMsq@k$T29fg=1eA5YG`; z&TSfoRmXkF?9zrI&;SDWTCRPryQsd=?l5STKo>L!A{3k-cx83W4nuwX7SG=E7Egb^ z4z$-1Rl-RIp?oM`#M=Y0^kD9;U>uB1h~fgm9CSu^3^KXNsh;p83X}A|G8$R^SEAXs%uNcy4xw0NdWubfhTvYfkgFjG z9V)`MbXQ&|hdB}2Flc(9$VM-l$^u0592VBrkF(WC$I^a4X$049MY#QPZBn?(WlC$4 zCJuepPmlTW=bwD?i{SX>^Vk1)t|ATv z^kL}tE5370M?D4NmYh+?g~o7`*HgSofTYp5ot>$|fjpdIkdn4J?=GMz+AHuSis|RL z%gTunLMv47Y{Kkm(@)UOouF9aGD*qQS{nu8@DSY$hc^N@99N2bp&&B^@B5C(n?5Ri{KzIel8u%bixZfCz;@#kRMS8(aO+ApU$Ze>rGIAFH^J$)Ubm z+ie~p&c{>nzRgUG{pF`3ZijL`)ofJB;aC*&;k3E3-b!ES@=g<+g1#+6MAnZZR1pkw zE(&V+gmJT8;}Q(r9Oi z$s_w$dS0Eo?`{QccXS8D!vcx``5Jc?;=oDMJ4A279Qz?8k6h+ZaPsTv2N>(5RWxeD zYo$GUrH?;;s4?^A*IwZeO;J$Q(<-dgcsZf_EF^J@N+t0J5vwzUB754_!qwhwz3R-c z%c`t@cIOpHuZ+bYHc9E>BRu`=_i)Ye;>{P&|Mubmv=RLtf;PxYQYB`%)I|d$_Ph1k zoXR-*+D)Sr^Q3+mF)Jko4a+{zU!oCe8+ikKF3o5iW9Td=L*PVx8 zfAkbt3jXlIbdYK?Mzi84b;ew&C@;yJVXP-d=ht>1ZEcRIIZ%Mb1tKP_{{$w-Ov*p=+H80?8tYRarUl1jN5dn5oTxz8`IL$X_k&Ns-lcCR9kT=q|ouv z*R#T(zxv`!5x2kn3bgw9(;U51d!15J#?bByAyKQMwQNe=a#kQkC7gofLt$8}$I*#> zS2{0|V#V5Kr_#60yN)5H(R#ctg((GVWNpm)Xb;{O+wY5m<@uX8&%Z{&;7NP=?=RlG zi-*Tss@JKkv?IQIE%K- zThbQ`RKPEaDUiOa?7}aPNgprtz9jkdJ&R);cv0!8qK~&{{R94oau3>(f*%L`&s%P- zf8>8+JO}(w`^|V)Y^SUI2mWW_e-*oPJ-^7R7Q>}4;7n^xGgD-^#0gqf9vlTXcCFFt z(5qgeqyF)`eeyf}@3-C!#k7WHXvw{aNgZ7Hy(NN&V7pfJNT`st!AiLxg5vC++tjf@ zCXk6U=W)Cxf>}xJCW7-V5foxT(E%d3-$YPbniKwdEU@XD2ySnQ;3-;pYPHPuJ~KEJ zvvv%Q^-;It#&sw5Gb#P9%bcq@Y2WU#DMoX0fcVTWi6CS1!LJg*-x`sFqWgeyVhf06 z8@x|@4Gm}2ZqF1WZAIdyJ=xk zbhUA~ceJoC?X6Vi2Ooa$!6%=5@Y`7!fU*l>KL4rnvSX2w5C^64Yo!qHxR1W{d7pmz z$p?4h(69YeIoe*ne)8ux&;R}1kpLPIiv1kFU@ACp(wh%H;Rky7r(a)T&wu43#Sjpv z6sJ46I-5cT{yMZ=N)o+x?PNzA788db<8C=@T-i|bZ8!||=H&RK9Q&!)IqJ~T+d17I z+J!r{IcM??BVAzOUMHvT<5=A3IyHAYV)Wt+7l#J;%O6!iNuQxV>vZJU&WXcDX{*A| zK_&ZwQkvHf3W`t$yWi)CcN2vXw$+%31YAS7!CuGK?ilmK7`>(84|-;QeXu_I>yy6> zt-M#ypZxXR6j~~Dz+{O&<`i#%-h8=PYAsQTsKs{o2Uzs9ul-U2+_W@f6-LmWq6bh3 z(@&c*Do@;y9CRcovv5P^@$Sq1Qug!+)0?}iMBs6`wJle(i3?F6q)!-m6O>kd&UK6B z>J+wh7ca~{6a}4VAcj6^D1Ghpbqa-V$LQr$)-I77MLjy_?}BV7kBCDTE`OojAoguf zLm8;~*7a0W`RsT3&xV<^J0A?`BPFKmWZR?9kug12Ugi) zaIf>%Bec|NYnd)oJSK$jRoYg%k%J z&vcSRo3o{Jx+3d9-GA=(VwFWeNaf;n{eEg%Bo!`YVM+U*4XZ8J=1I| zzoVYsw9&MmguaHS4RFzJqbo(RBm=nuJ@w$htQdr zE$}UJCY;4#&-8AtpZ=^_>&5ZDJuuO=Uc*&I09=`?_@y@S$U;k()64; zrI=I1Pe(zN;<>}%!oYc_R=S5Na>uM(i<;Kp4|fxhkOy?dBcZ`_iLW$HBWmL1E{E_~ z)9%*B99Y@hPyO*HAAj_-lzP1W+v_hLrc*6%A)k455+A2b(fst=_kqL1-!|X8e);Z~ z=bel7%i1y*eqQEXF4iwU{k>fL@Y6iXRLX|s41%Z#%kN}iUodJ3$#?xrI5>VG0cr)m6mUHvVrQna>Hpsmj*9T5T$Lm+$v3|b($7?#pzIyTfzrB9(>WdfOeEIyVyLaqoGdFH= z_&L}k)4v=1k3N0>;QuGSQn6qAZnvhbT7l#k4HrfE`$!SrhBFlChd5+Bj&t8)v=puF z+eKZc*6i@}tf-M4_W{CDO;ohe9C`h%r4UrGy`O;LPf9d%1j}tN-$cAX4c+ z1+5FPk-ifZmF~xxUxIMAB<+!>j@R-G}7Ej2!QI}ry%~Mew4od_1*XUYMU$~;t#(? zIdWI1VeuPNi@of5PPFq3tNt{hFtU!B9(6b zu&WO)KMt{*abs&e?tx)I_j|2|EOEABP6ZLmA*|-uLyo%QZrDWk2ro3k&w)y;maD)h zMjmh7NEH%L8R%!#f>8w4Qw0&)J!>KA8}w`%pwhPZ6M?%W&3;px^w;Z}a=r_VEdG{= zf^aSwsu-8ClrN*GB2H=nY)0sXa;#keUc7|+CpuOq(mr^dk{DX-0>5EuvaT!n{SX|_ zm^%iHp*T11p7M$6bE{)HZMd!PS{63C9JMJ`+@bHH@)`(ql=PjKQm0QMm40LgxxKbr z!*X+26sZ&^wHrk=d%mwYSYJ(Wd?pvpM_}^GtUG0X>)Ucvv91qT|t;^`bOZqhZ z%g@BDKmAR0^7jma79-cpdcR^&8WaK;MajD>kPMpJ(|zw9@xn{FmwQgo-i%y%(P4H~ zth(}6+zjrZfl=$tSgqY+eGGz`6$u8EUL1Eg7dJSR$we%qIEu9safw|}YR~r2T=z$> z_0fOgwX}`}nxSZH&W1e4ZrZ>x7Cr3CP|R3*ZAdDnyY14_R?>W463xThG$7siNW1uM zHT$O@rRNB!L;FqGdp89cNZJ#TH|6>sgJ5ea+Vl#K+~6B`mg?eiw<@{T6hG03=|*Wp z`8LpHQ@o?$#|1o8sreOZE)xZKBYYX+qKUli*-m5eyAdv}D6k8l3bPtCV>u-?8U!Pf zQttz{Lc^`Q!qVHRXcRE<&?9qa;GAMcP|O$I-7h5h1HHZqb>i>$wW#8kFP9d0;7GY0 z$9`ElQ%gU!35K}@@ovRWjvVO)nG;gtF*>RFS~IPA%ie+(JEnlz>{^Q&s4HZxH`{kN zR_|!BHCkMQqr{1JdTaVmy>nTV1-W&&P}9+G6{o$33}y<$YwK3gkI+U$?%NJA2!Tow z7=Mraf){Z+#4`%WfLKg-+1>!6LK_upV)|gLW)T;Ho&tJ~O>y;DqDBH~QCeD+{Odig zf{c|ar>%P5vp5(?e>Q^kuY7QMNw)4O6VA zkHXnBvcTEmd`9=GTI51)IjZtYs7E)~y6zhERy3G6FU31OA2idClnyPzebMWb$D#D; ze7baPT} zeoIdy8|W#d6&BQx(uZvBvXnx5MP2SCJheIa+0fsXn?M8~!DE6dG+-DfK$j z)akjQhyB)VTAH?tHMdPU9}_=js~XeD&bUihWaj?by#-Uf*ra~Jx8v-a!K z`a!`uMFJaja$81qadrfUCZZBr{1?43w_0brJA*Pu^IDPO|3x8-e#0xL5^GV2)*y3e ztQXkyvT=)0TWk7IywYom8_@}s(;{*#G?JXZdposiv5!PSvnYaXCdPW4SEujM+P9L} zm?kwVOqmvVNYLzxQcc_`#qp@o*85RRI5n>o?Pe?J6SxGmmSDflfS8z=L{ngpCJ>kan%IBFvc4 z#b+xp6_jYC&TXFHzOk_t?tz5HIqBubM68VCwA-KE>a8_(J<$9m6tm@%a=v(>h%-pS zBxHSF6iMLNxLC1c)S6KqzO)?dz{NwLkltSI1&PJ`61>uucFqK3csyfJkq_ZM3Ku2V zH9Xn|UU1K@{Z?z%QkzUrT->FQUZ*euFf#6|Viy5)9KMY#yt{is3wA`vC6;_x+*L8_ zu}h-Iqw&4kOG+NuDlt(>Ev&2!@_0i2GXPmosp@MLU9#mY1Y;`GI(Bxw(4nVfM^y#X z!;TW?P|z{fUx_1`cg5i!e*D320;e|Z|NP+DCrFGu|L*nIPri8l)$3RPc=Et_{BPer z&>8s@E9ci=zj*T9KfZZ!n`Qeny+AY0F(cvWpkS$pG;&D<6ebQwU2AiWG1rPY%ic{@ zJXjQbozTm)2UkJSdv{4mKdnPtL%ZIt76N%|5PR&6sc9G# zR>bRaVgMlX?(#yOxJZK(4P1Bww?>~9l1lz8XxCCjc+rquQ0&v3;W$LA$f8Y=wSdu= z=lsNX^lltg4hY;k*5$Dy#~!uvg(OfDr40df*ahXodOH+b(MUo3{kF=kr0`}GrIdDn z)Cw@js|y5#sx@n47dWvNtC!=&je-+j?cvCfZjq?)7(c-FC>?pY)jj>nd zue23`L6LanY3z*fmjs+B+6qw{q{EeHmElLFgTIfhk|1d9@9jwOo>^MS^wG>AW{o|a zyMX(_2@^fqLGyZh&vdr_)=?Zk1t^qSl!iqEmMV(j51LlDxbpT|GF|Bh5hMXf4S;KY zYX^fV?oSszUGX`c=U6iG*Mdk6mB<~bP7McRtYNy8D(N7C>NOZecihS7@$d<#TAsl= zJ1dHwBcyui3&ibut@v<=!7KSGkFbvDj&Hj?I(Jh?;zWd=ImcO0xbzlUT_?IJ!Z>sy zDI=5ItEN{2d_dah?b9f^1Z&g+JS|Nt^Oi)Q2R>-Y7PnoZEs7PiQXfUL-&DDJ8_hoZ&qcF8{}j#Q&!bs?9L;XC1Vyt= z(QN&_Xr|5m%V;LN{D-1he@`^qe-X|4578`K_Qz<}|A}bUe~M=7uSc`_E}D%;(QLep zX2*}w?0OK*_J1mx&G$z$=l)PM3-6-Y{w|uihtX{QN;IPr{trd7^f;Q?N71ZvJ@vC_ zmVPyw3Ei6GH=~(wUlB(pno;xEh-Sx6(M;Gj=>7Ib(X8Fk%>FW(&Hv?SX8uq#>l)0K z-$k?j&qOmV@ZX7M?SE4=b3cn_TEIus8vR)`Gj}w@H~GhCW`B%kq?rCLn$5S-OvGLX;Y@!y0gN}k9 zSBFI^IH$Z{aoy*A4i;>rkJwYKi^i5m(pZGn3A-zBa7pFPS*ghp)IOGZOW@yaXb#%o zqG&Y-!LS{DL(4P)p@rTqeVlOQ&i%Ze@#u?Ua#WCw3OactQW!T}3oKM!ZDpip|jqMen)A*{N|#+8$!82tuJftBFmQ7OZGp*_&OQe%W97 zR##Mp+HX=Y;LKTHo=o3(Yn|q*L>ES`BR8D1D;>Qj6_M zT*7Ziwb6ocHSgoZ9>Zzq&?L4Nm3cA4O?38l7qr?;B9@naGBxUzMxurb7el>iFZs?J z`*|%CMjf%P_1X^wE5$`@8KUA&y1c;*S!*}tp;vdoC#xY8=U1l6$crK~rf9AowRqKO zP^`z0phe$GE$b%Qq|`_mwf>hT@T`^ZsKj4+7E!@XE46Ywr~Ra$Cn&9TnQZov|97;SpuwPgCH5(B+yd7&8uCSq zTqvMwxO3gbgZFxfW>+!hSrejUTHKn)Sq^g*f5Cot>~a<4_hsg;cc(iqv>v{RK zt;(uCDAieQht-){bMh{_j@fC{tE@@8k}W<6iY;b$#Ubpng&3Ns(sg+;V*wGA6Pmd7 zx#bZrapj7o+2XQQ>e+*DwOa+uRz3VJDHCMMU#JkHwfM?<*GmaJrh%M{R_|C4*}ou=o_`GtzY_W?WvItnrrhy?V39<8rjkQ){4xEsp-l}uVVZ8PU(BZ_JkfOOE>!^ zY~1&8u`%$Y5+;x6RW!@p?^cTn3oCY6BOxLOFsHS`cgV^v5q*fF6-dCtC-hC1cB?&dhfEASrH z@+dwxB-0G{S&BkcSfkw*Ry6qTyReQ&oU>z0gEi%-MbUIeEmOpS`P80BTiCsyWw|Qb zRs(qvsUvoM9*>LcUGDIi(yO;DgJW|scRi38^r4i{t=@SJNqBJ_@m8HRz@xw|Ev9N& z_!dD!ALeE04sqvE_4iHy#rWkIT2(FwE%R$TyAYUycM_~sQ05v3F+}R@(GkI*A~wa2 zV;sD~!d<&XFGbTL7%T|t4=R!rGO*x74{c8sUz&&+L9s)#ZAVtXF-yPv11chTme)JSZ~w;rW-wWHq6Qnx~p%-AN~7K#vzW*rU( zt+-wId9}L&7TLLEtQMWM%79>Ck@b|(`wE#Bo1PqhVTYjfib;hT4y(qf4;Pb6q#==!p_QSLvT!;<)2L0Bdo+io3_DkD zAK2Q;VXGpApsu}a$ZokbkBVul*5oz?wv?QYe2CW~$*-6$+%KG{jhgkE;-z6~o+}+- z#Vvv(yD%2cWupl#^q}vUn$=7xakIvZjIBF9p_g6*tp_10?S(ZiXz5dpnK4qpAEnL2 z0jWqVm6>_!bCpP&ep=t_uCs6d7Yeg%#|KA*q&?!}vz@8q`yI!(ZO^=?Cj z=6eokkalT#UBz*0Rmc)@v=4;tKYRKyS2cfs{`J?-|Mcf~IeGub7hk@5`R$W8FJJxr zTXDm8<}DpaoaQ=?b&b9ioP8KQJgGnBwpi`P%cLqaMzRv3I*0vdu!8khghitW)~^lxhouYofxa=W3peI zVj~$uq}E=FrqmZHqvL^~kM~;TWV#ltMrub17$3PaQN*+@xVBNx#le}VCDAKZbYUNj z&S&o#ou9=2e|1<)5%X52(k2lG8%kXh(W<7rQ3X|IA?T&Y-}avJ)o13nz9(n^oz?>m znF0Xc${0@*_V!0^4+uF#ypktvEEZ$9PIW-kM^Dx zqo?NSlmFwX`O{Y~|Lw(-Tta#B?Ti24_Y~7U`TF&DFaPzoMyM##?s%jHs%4Plp9dM; zVl+#+eymU+Pf_u8%RcY+mTZl<_-^8zRcZWi+>Bo-)4fIYd_Qmvxh1*0rd|IRyysqA zKW~iEj3NaUkBG5WJ?^8|eD=QA{O~{Zn$UnQuGFkm-nCXaxg!3N zQvdlQJ^f{e+=rH>_u+3Yz0e7sPOD7u9z#0Nc{2%RNX2Nb!@=LFTRdpEYE>BA6gJ91 zvjf;!{CJOw{ppqo68xnYM`I=gxS>R&bE0EqyjvQ`po~~So*xVG)T||6^!^I6Bd7nON3wq3gwimc<{Pnqp=H=^GZ)>~1 zd-?8c4INHfa7q#u8|=BNsld=zFuQ7d7-+%J5_wx%pp`Tgb`&J8WfMylzO+~%0thjm zp(3=4Ut?QbJ#NzdwyfFxy3^e!gldnirv(6xua*}>7WoDrrTx&9U{p)XivC?6HHDxt zm&l^SlahZ77MJ}JE4>8W3o0sG5Q!3c6cWa|Tb~L`yt|z;?K;R!ws;ImcVbvp!As5n zD%CZbKy+}}85(+v{SOkRB2$4L0xZeJYy=$hDVjcnH+}DU0&yKOdaKsN+MUOoPO!PR zO-W|IdY!$PqVYKtaxO*Yac;|fCa)**g>Jc_s4E&EIxmFeJ>(rZcthXXzHzkQwD$~p z*Mrt2y}yt#Dv+D88g)Z!-Of2$%kD^c0d^eGoevZ1(b!YRzPgh~^c=Yq?$)EKu`NUu-6x_JUpioR%Na$X1)7X_Iw}--^m&VZ8!UmhQR^b4xgiW{CR#w4%(<9T5zmZuGm+tsQzw6=>?9TR+Q-59bTxK``r~q-E-$OT`Q{JD{9a^S44yP zeQTCRv6L3nk%Bhcy`U5X@W95%<=uk1f)w)J^!t5m3rcGG+JUm)j|*zNZ$W+d z?CD+TN>~0DUw+N4$s>uCzii)s{ruIFuU~xk{F_%VzW@65PxhJOJ}$>LxGqhmQD3O% z?P(Rb=M(|$G?qE1Dp5%w<|}d4GWz0BLnJJf1G9Q%@iA$N6xqoL$ZuuT+G$f8O2L$o z=}+CD;!6aTxo(BrHI?Uc9+B$&Y-Q*K1(Q{T)&Y(>DS&sxwO z8DmQsduN0@sI-JG$J#J?F&Xl#L_hk&@%rHNw*>U*lfV4=#f$HreEa(4SAY7euo{r@ z+vhKT>KHoCs5tJ+j*_Cr<1VL1&WKJvy0ZO@8|ve?lIf}M%IhA-S@vv~eVy~%T{$?E zipsPc2+RX^Vrlc^cXPY zwIz;hSK;+O(;D)*YGdb34?M0GQnq)0Zn8r^viWT;X`Ou)9ToOV3K7k2yF=7fnWoL$ zvJlP;!XIgE0n)shS}EpG_L`5Lo8$2hKmMnlo8G8IQMjThBZCUcO@l5)DBrWYO_H@2 z1i`cc!x|5Z#gwUj(Z30x(X3^$+L?w^Yz1LcO9WrFHH!jS=G@m=;YaFaf?nc4mT3R6$xu9Gxwb}WP;dLB++feJ8qc~Imz z6oaDD+U8|aDe)5L{QvH_?7bGS_gda8Vz&*2mo4o##1kaik`jU8;L735H0ba$$!RDj zey4&sO`P8)wn zf02IkqG!O4L#tCjd|r5}nKHGR+aY8y#*s%T!gp~+`dd3(KW}?b^vr%SbK`hY6H3pw z$Wa!%(M)3QgQmmD#GK_CIfs=74F!XNh;oT`Y53JOcQQ~~*S2v|s0`0_kai*$wJ``5 zOzwJxoo69sOfBX)7PF3SFO&|(4>UpR+lyoFS{miXMipybxJ$SjA@w&y|Ir665zkyZ z4r`TW`141e(O-P@@$Fju*|R?!4=?}Mi)Zh?d;9X+7tg+X@%sChKOR6{z=6A;Cmh;& zD-^J3tB4tkH&KFsUvLw+X_)y`0Wa6rRa3eov2y`=i%x;YE@4rSIu$@BZrmQEG0)MI zPf`{C6F#9n9v_P=HmZz+#KzXlM(Y(uq-p08Z_+lla<{mJsf8J_w^%3o6sI#OpZlWK z9Ggoh$%q*$(6kDw+*5O6)&0u$?vcBVQqnc|wT*3x*&!>xOk16A^Gab`PfQHN|3+Jb zy?8l$?sw5z;W~Y+86ni2#tA6_TnP=h|NHxwTHAkZIDhl*r-t)`Ll-61(i%-L zV-?R4;TNA2Ef{Ay?mKlKZ8R zl{BYEyq|_Y`*ean`>O%_uT7A}gGvDgGrqh2jspkRCwAvOMr!|anmhez+;~>KP4uD} z%C}3&mNuJ^v$+aK+ZqjQ@0D62O)lDCNyJqPmfKQ{GdV3Y%J8Wzw83e$Q!m09)o=$j zm-t1cV|998%?y>QHXaS_<3^DcU30?r%Z{Cs3wN!U!dMd88mqlTRaRyAsYNT4slRH6 z&S-^_^=tMnG!naVce@32W&~^~U1Pg9+_XnYYX==D3=|gxG~HbbL@@~t+-?cNqsn2o ze-xG}+rX1n0B_K**foY5EmU;#?RkiNMT$LGXI+ajc;WhPwS*^^(d@3;!YxxejbiH2 zR(p2*3Pfhag|au?h+S72ODxU$q@Nwrwazg=5*NE`o40_U%Tw8)^c1&-&&yquLC1NI zX;IX%%30f*#HutEUid_dSE!XD53A&@P{2{tiT8#LyON(#i~_5OuhUdw=weJv%a2&pryPm3TK|h;> zMzQY!a@r;cwrJK-Wh`7FhrAU9S(8xjFiKX~b%{gZkGW~A@R=L-){w<~EQ?WY*7|Y7 zB>HJLEkxx6W%qFOoB!Ho+KdIwkmnAExZxa+94@&1HF z3s>P`&T(afRI8RJ&It<@)cB#6=moU0er*tr^_f<n|dnx3>)rgBwBwdL!)< z{YuxXA&Y2{tW^TXe^N+eYVQbdyF-99&wo9pXcKF_ z<4S5=jkn+Bpi4{GMj%DNb!sBD>+8Dib@ST%1NRgyyt=dv?@#@MQpD{usN6d)jcip? zB}k8WYMq@8u1yo6GRtt}F54ELHJdXf&br2}v_UtPR^%GXap4@Qm?m)Dg%8@Tb)#Kj z#XUrZ7MdknQ~ZniuGpF~aCNcd6{$V9Vb`+V!i?uu8*P_O$*E(_*5ZOVi2~OyY!FVo znDp@qEp(iTafwqa8lb_YXx=rQTor%2jg5rxZpE9FUNEAz1cUFZ_M8`|!z=W}d z#fI(D3O?_9>WDt7CS$vDsiD~x;~{_-R}G)olquTMq4|}%%gJbKkw(zvrgw6B$YHh< z|2WSJE0hWIDS7p~Pf0sq3b*O2E%kRxRb)Hn{Bd=C^7+T_C2yY|!>n&!z4`Lt-OGn( ze|+=DH(GFCy?poL;oXaWdQ^q{lbquEuZsGs7oNCo_vYxeo4cooU_V!ploB}47C75$ zUxlL2yCu`aMUkXb1xnz;F3OLOXgqJlihgO#T6CJz+oB6uglg7Z0o?Vp+O#CMw%bSz zo;A-)KS8qCo!3r7)JU8rI*qTxBrEHqXd8{9OaVik5;iUNOUts5tJZQ^Q_igmE=?;u z(+;zivkFY|M9{sS*Cdwb7flkk1|As=ZJJGyS*Xu&r49_K7}^cI)0KPeQSI7h(>gvB zB<6wvu7cMT+sR3LO{fB8+LdN%cisaQ5Ii2M~jk0!B1Wx~CA#v0F&4bv(|9tkJTS{8QVnPRv#Zhy9n1Fw` zD57;n5X0`2WGOm`mMR`crR;rN^R7t~bJqUQt8t(}U7?3j_6-wif$<@pFh#Dc7ikGm z+4t0PaB~-p&G@mL>nW&{Gj?@aMp`P$l-h^_OXu7b_QlS2Oa*WsNd3us|LzA5*MH<) zGpb2R(X1=~?n2}WA`06lx{!KzZov+>MpV8D;7Zuk#?GT4&<;KeZPek!8hl9Oh8tc$W3Kd zQLr@iC5KxCn}rB2`(&g(EUlAXq3!#V0Yh6Gm?5rBBN9rB7ok_U*TVO;eM->8aV!kc zo!8E-)RXV}u*l5MziUUz6_XPr?*v}Fzrx&Uj}LWbWZTNFg|4yMFK+a+DYK%G9Ixf< z0+S+a&eJX>7$48O?wnQ=M68}X(#Jo=)4zELe~O=A0Eu4}DI#+CBG8@ zQYx!RFjiT$H5&EKb#mHhoUi4!IVxHhv;pR|C4uItO@Sbq_E=ZU7RHT=FkQiReUe2! z|8OYz`4}i#X2HO6HPrW;f@VXYRm&Ic_+B`3#I0{46c42YB72Iqixw!=I%1)#_J#f+;AVbOorXt7@avP|_~;j+#m@&qzbJ%ti*!v6 z>$MTzp8|_hE3h33PRcU0LT3c<_sn_0JWIf)n+4+qeREL)RMrc^`A$D*?=wSM5Cajy z=?5V=o02H*&Ekb+NmSf=pJNVqEEUAqA~GEs5hGj`>aI`6A|1tH8eqBFG0MHuFIt~s zeFGcQSV}0uJZHd*L}Y?nWBoAQNGXL|Tsvl5UNQpa)T~CY?UsF_*Iu0RR=H1D%g#|d zMhuTf@skhl?)diQ+aJX=4-By^S4g*S0wva38a_FfQR+}i!r|QsVB^y^1j{);a|Q36 zMF9AGl94|7u>8Z*Z&hsHUCKT{K@tk4;d0jFUy07~g_4i(a-^;t>4%|EF*t1Pei6Um zwm%Gd{y1J`Gwd3*Cr|QWHLst&f6}TqNMM*1wR-H6gnybQzACc!8O7mOBz6z9Db~Cn zRx?T$?NU-m$j5Ud*C>>1Hl*6@zUohHX>=hTY2}#W6zq9ARv&*@2{c$_h#5n2y1?EucD{wUGvO0SlcudU54f*3|c>dwgbH07~ z?e{-DfTqD@$iLPJhXwk|;;zU6dv?Mw+VFl(udpwCAGdj#nIirS&e6N5UHIgCKb+@& z_PuD19gb(`x{Wd}^zjc(xG>1+MZQHzMJxl&QB@=|jmnu(J=(H1r)j)6@}R0T(<%Do zDL%YMe)be;KeWC!aoVG5%_TI=<_Q%&+Cl5Yeau6ljebl&Eey@d6y_aEJ&^ALZ`aHL ztq4t4u1cp?(N2?^DBh(U(av<;>j<64u_})pUGVd`y8+V%c-CUAM$2^H#fh{$S24hFyshqLZ@e6Vr1|Wyi5oq)IR> zDj*&r*DX#_>y`RNJkRSX=(eqtgdbrSEXhd$eiy1sV~rnILwxtmigYe9T&E!$0~IGgH?duHJ?b%_PJ0Hn4=h^W%(TfsEK;! z8igEdMVlG3=+itI%G=uP`!8R;`0z+-eV~3FG+QTTwk|ilVi$}kry^<97;25)dP$vj zb}pZ&8@NyAt?AtB4ZqWKt+%1tDc)DMSJyw_cPsD(cl9ktk7cd0`j+=aAyDtQqcfOR z++c8(3}RHC^J?W{P1nA)iq@YJH2COrT?l5Hi0IQ@#`kndWG+KWrk=A;TEVOCc;kIf;O zOZihoL>mIzN6wY?Z=9G@62YZa;zTd=R#_TPAi{^mlKv3hKK$j`{^qMc|LA9X94Gda zC0B)F4yxEUk(0Jl^h)!2oYgAwDnn`At+iCW<}8~)l3VnWO&Ii;dns4kMpxqSWmldM zY8>1+Y3_S{@^ByKw!iRjB6-5s`RZ=RJEd!Oo3tDqPU&cZ8bLD5C8(?N1=aM;}gNzwn6k++f?GY=v8O|flJ8ze5Dn2GHBX72d#2W0iv;Q?A8|H_nryrHBKw+gL zR$%r)Gzg1Bv4bAss0CjO!UT;jM{GHE&hekT(}!WxFTB$}GfppPjp6UN-)hvDDfk1! zMeQo3<-`p<)xy{^>}dQs1KFlW)T*r~PPoPrUccQ%DWoE!9#`hZ|A{gh%^ zF^E4ePRaC)7rHAnO=;VRn_U)h4}8JKlQjR)FJ**ZcpGgepD#TX!aUl2w>Y1I>j}Nv zHbo_UvLchw3Ogv3R!$HJO(NWj(&LGqGGY%D##IF}1tFU(EJ=*0Az$M4N>jjp2n^QN zV$7#fJ5KJ_d%G%ZM1{$+3WP>9H;cO)y2R%dkdzU{>)7-4;AB3YC?GCW^f;P(k~X?B z?(7Qfv0xaniYSQZV)blhzF6XCX>+3j{Xp?$(Jp*6QqRBmu$*3cL0`wqKR*1#$Ax+U zoblW?EhB*tG!i*B6f-##w{}tPpnPQ8uy}3<8wBN!C|DC?Xpg`6_aEjB|NEPlum8ux zzv(|e?RKTFfJ@%twajhv!ordC;Pn6KTg*Xq^htR|-2KF)L9{}H3JYfEi$r0(7Za6c zs}Oq1n(u9ZzWT|~qS(_ERkdw*u9u&%tLMM}aCG{G=QXP+6&^UdHiQz8oi0<(dC}`@ zkn-{vOq@u-M$Bo>b}5&R==x&Mt;Bs^g%*l&;e^96*1mcTco*hXg7pf+>o(D%NCM+p z!dK^877a#J0xMXDv=X1Z!G}e*f9Va}TxZlz&=4(*iYg(En^o7^&G zk$@-f@ZkjZ3-5rDPE_;|R!4cdj(KiwR#YyP)VvU_of`p~JQ+1-inDh^RBPHNp?m7< zJYu~UwPbzUT$%Cq{KWYyb&-+Y`zC0v(6u2YvkL^#rxCQKTCbjS`(gz&gT0y^YZZ4; z-uD9X*Ld<~zZ9~5X%2JGa6zM$1E#nlk_)dUxMWbaOhT`m|?Z{$VQePPfV^-5@EOrl3

{>9Iy_M`;uAGvJ(J;DwUy_#kNgCyzN@-e`lmbubv+@= zb{y}1tYo^?BAOwpIuvQQmr{Fc_U+litu0e(C!f376kDz2x08fGz$2x5Qq7KE+SmVo zoqqi1|Lqq=GXCt>PQE<)iX{0P2-3{Bh4jHIb|rbsK6C|PCC^%dw^{d2Rs@^8dN*?{ z)0xgg3))N5)*YB*g|DannwIE%>zOi0YEvu~s>wd^eKn2uBp}{wAP;9DPLdp*ru%i2 zuX*yR%U$az`qkJtXXkpiSlVLl>4MK`-N85%ra`6KTy3JVM#Q$Tq|zT7e!B+gt9!dP zf6jK}n7)i&RfUAZXTurm5y+aY+dheiUC%ST?Kw-^STW717_;gE~Jk$kHKK9uv_LbBUox<4LCv@jZ zUI{iys3v{1cA;mtrRNomxkzyIz3 z_YZ&nC;#x*zx$iN`MFZDB?V;lLw5=FJnRjAY)fXNWvgUBUvE9sFtYG4_M&Bg=fIOU z^`ugg_;K-;PX?~5?)Btl$wWh@nZ+KqU7f!DIn*jIFR=5up9zk9KlzXm?aw>E@36Y& zS_s}Z^hk9z9pr%SJdn4odDvzIpjp@HuJf7f&D*bhoZ_6Imy*(sj8D_#r>|a}dJlZt zypo6P0tmC|_n=r9G}FUUIs2o5JX=>eR`Kg{Gbn9SC`^gDdR#DHG5RU5Y+y8>81u9Dc5sJJYkJTiD_ z@(y9W!TvD`9Ikk<2A3Gspc2{dPmh{w^XTK~`bDyrj{w#Nh2O*0VkI4{nmf4~8ULqgX%F$+Fvp%<`lGbujFk%?V&Ql?xT!pN$UnJBAz_iT$H*XYi*?N<=lwAsuu5MkrjKUq2oieR44;= zTZwhCH@;1pIeL?{w?zp)+uTlOc|A$|k5^$jf&n(hwlfkZP*jPFV8=|J-o+B4Ex&w# zEwNj!@7~kWHH{w@J*@Xa$ZE4@rppy#Sr|O{Ye-X1@2MC~Q?cF?LHo}~d2M9qsxw+g zNVw=Jm-FD^tUqQ;l_$3; zy_-$f4@=?~RrP-JA6OC}hWBXBqZLKrVDvT?s%I-6C`5qps1Ng$P-=V`L)TCpb?Q+e zRYLZ#*GO@^=6x1WB?JgSi&j6e3V4^W-&O6R>SZ#UG*4I4Rpuyg^76rmoa7R#l`|(i zqgjEns(vxLRMD-zvUdRgZK`8HP=dlW)|IVC^>F;>qw?p!rWpJ0Nq~JtOt;RHuL|Tg zv)7!rvn^pizp{Z0o6GF}C+{z`P9a$(p>3ZkmjyjGK+n>t+}~_3`6KhIdMGr`fz#kTN1aIWAhSl*T`y?+2$-aZs1^GwW} z)eW}ldtOO$(e&lpR}YC@8S-Eq8hPMUU%q`e)H*ijYnh}Bv-BFaSX7r);bLCC3>Qf& zU~NFqFkhYwKNI3Lt_95S49)TU`?CB#2jSLxu$AJ>*5XT!7`N+O`OT(5YyWEe8W1eS zIDOsXoA*EcvE@vya?&YZ!xynlht#o=f*Y)PfHscVmA^e%q(+3?RHa zE4TLpB)@y1GU`mUCRugcnNn=qedV-NVLCJxE<@(l?vl_EV^?kIf(HY@3Us2Ktl3Ze ztn*-(r8nSyK*6n59yGR`saXY+i7(KQPwQZh_0yQuQ~P3{E$k}NzFx>gbNejUR#a#O zQUSbL+dAOwBTtXebG7QkOvmtK$@mJ(zb-t@lAJx zYl2Siv3a`AvjP=>0K4SNU*{6OIeiuzZo)LK5!c`e8q}twJ8ui?;ABkl2150un(aP& z?Rj3QlU)v$c2pVa0!%#X`IUgw>wV_W_IOtwGCXByE_fD&V)oq{%iUz1R9xD(r9Tko z@@P#rAh|m+PC2n|(yYPz03h48d!=9-ChN3l5`Mrl&Qdix{QT>fUL_KF=b4PpA6`tC za(B_B!SnL)5X_=O1Mw@hbMD2fRbNRnH+z=y^BKbjnD2M{Y+t!eDe>`qXSVct%gO|( zhG?0&^7xh&B)f38a#q{wLkUSV&i)Sfr@6;-6T;i7YM#webDjeKNrFp;kjqOe0;a_# z?{?U0q-M(+P4XbRGx3a{BJ?qfdc0@WAhW`5k4MgIilTmOQRh$V!q0-~%DV+CQ8@z}r^(MYP!RU5pCC$jx%=7NcrjBo zKd}uQVagZrpAsr+(2&xUekPUkrz;@wWY& zk9N^(hqX??oQ1{UalHL_Wbm(JP+!!`r;>Jt$oc7BMQbBFobRU)&%!RNX)RD{CQ)7lvdp_lqhZc65 z7?bF9YF>NtV+UlbPe-)x<*^z_2L)E{C`ttd_HNstkQy2Ge3Zz$4a=IX(6(EUUA5{g z=w&Ubtyy`RS>}CzK`2G>BD)5+uS-kS)mCBOJ{F-~qr^RGRUM&-8{-I@U46|Qwqirg zaS%U=z2wuCL4r@RKp~oBQj5t;-4{h1LGt8qkGl!Osa3F)VtZ_KjdWEsiOLjfWxmb? z*B-`1YzFU~?>;wJgaRFM7|kdN1`o_c^b8QRh3-lE1}a%o)U=wX+Efn*GA=L{FDcme zl{7TM3mzxQNYKFevo_T+k-*R8vEC2DKUu`H5flkqti6NH^w;yY+(ds#GfU$bZ6jn#EuHq0?#t>~dp zF27V+=Jo3_^j9^vueG-S^k?9k-aO)t>E7!t0wz%F^EkciF zwg5MugX>CNsi5937>X6n4tB7cZNIOgdDpbsF`Cts0p#`a+()vdP@CG8)XQA7rxy?w z{#30ErJvtj0krA1`H`TrUTf`ne1ZZ=ouO5ydnO1BG*}tCI!^Pp-%Sr;0229nQcVxU zP{AS4MkX-qtr6(ejMZya-9`m;Y?C3Nz>jW#+SVIEYM*eO zVCFgLaS|Q|%)-y@|MtV3;h(9k)Aa~>mOX7`EDumeST?mq^Y=%Vhj{GTY@yFO zY-pgeKTxb`PfvfUm6%t_YRTVYEb!*O6P_XFgP(VJ1Tm}O!Ctd#gSTf9@;=dCaJ#1C zYu`i&AQH>x`4OPcyQjKKJ(xy+7-&!9deyzdHa#W1Au4O5Y1BH_?aJXhU3$aZ$cAI# zv-lXXzRj5$(c5YR)6qlwUZH;wr+do_aR%1pKDP^)m=L{gwwDX~165?-`%5udzNRb@ zy!P%o&K||*1@!41j*L^eQC%37dQim1y+Yvan^hY7#MWJ^H;_;61V}xe4}h{FFPG|4 z>HS*Yp4~&Uc*63$4|U?>nEHCZg9kk~OSqx22Xq%s)a?Z3YoP0(jBO>}j}6eGGmY$y zSi)3+dSJ*~C5Jp4L}0f|wCDlz99KUgBe?TjW0mPb{rCLtWJ>kV#|Pqlw09qvz>d%>%c%b-jN0vR*DS+w z#zV6umMo|Oa66MY_==-k8V@`LL@=jt5(AWlxs+!iHg=WEiC>X;QCR4H&rn!YT|AfTm9`#Im|W^+`J! zqxW|tZS5FlYsLvVJ6T{>TM+2opvp%F^vu&0+wScb>+t^E1I00ycOI~?C;9Pf&fCA5 z+rRh^+<*SNa|?{pfyV(ajgbc4JoQ<^?KSd#_G(+er}<74ndcCoRy_K2+asS!X7o1} zyc((ZTHbUgpncCkes+)bMAs4L&jC5b-W>^3qb(}z8SCih4ZP$fXJ_N^LlvW8fdo&x z&QBR2MJTt8+l5SjP462tMrXtPuwZ{t2;tX)(VzZg)1!2_o>p**6ODZP9H&Boy7|ybCy)NoC4lg@W1y;*MM1)q(zc`1b|*HKJdscQfN96Bh|em(qjz>E$p_I!9% zb+PK}t+<%}yWV;5Jg4>T_j6rSVId~PCt2hVu;d7zRMb1)p}PfH2dBGpA)L+3F8tIA zj;e$CG}L2VYuXNL=U}Dp(l=E;KiXGY_4sP zq;An^<;&tDYt}bIMRisPC{R82U^oL1P7LSe(f#gGp|{5CX_M=1oYUy!GesEZus0DX z|F0IvuURAi>H?Y7;Ty0UncsSC9t}yRu2o+lcB?IVI;z>YW#^lIjn7~p^K>eII&Vpj z6}`iZ2oTpaW(`1e4ZC$J{0N1D7wi6s3iAzpOh<1ENcTL$XSltqLZMsGlRT4$z(YCM zI;sF|b|3^^`$`_RLaq3XT9XyJp`={PPz7^ri&yR^kSV*hRKD4dN3Xbj@jM4CX3v1F z6cXF{*fl64CT58Kl|3;fvWK^vF*fg!p9p+^pM|hSR3&M86YUr7hc2qGg@fC3h8GYA z;3Amq&$z%IfE@+?zLV^)nT?DNsk>kp;9x$dMu?%^%L}=@E{8@*HbZ6Gn*Awi4vQ^3yE)Ngksd_GW+>p}x~@2hnKpD4iE> z^Qr24fv!M<=lIMpFbOP=6k1{7&K_kF5_k?GS_Po?%t{6Y23z2~-ZB+iZ7YMfpt>5& zH1jTkYwZtN5qYZQ${%iYe)GSkpQ)eb+OBiC-?{eFrnHfsBU$FHuKw zO~IwspaXi^O)q50UI@LYKW#m0+s4{4U+z7}#qzJ)S#cvNPw5J$Cb-!fS8k-U^;JH>=E^7}ki!E5S9S4B;5_)HKP**?{7~e3;M!cGB zUz=*rYa)_@Ahje&RyWPo<@3naZjCt*7@F;v9$&(vGT%yUoQmE5w~E*ho=4-3Polnh z%64nQU3QYS_+Nqk`zh*%kWItP9s%vdaRN$hO%v^Yop@g-85v5I_RfSKRzfs;`{vnB zK$d?#+ob1GoUeM6sz;VK#ytYr9{WAK=s%v-yy2VJX|{gi0aoT|(`zfqcja9O0SW_~ zCW#0&Y)lbpGTH)q>$aU%!oE$NP{YjLnFQHEc?1GHq3k(pW2*0D7b3s|`WqNG81L5R z*Lt|6=|}_>gvO<>;{HfmeaO?vD9dNA_pp**w^jQr_t|UXPaHB7-8zY2FVm=nwLNbH%;h-=q#G~vC~rVCC2I}FsBG3KJBMph zn}lJ$8;K}^JioG;lSr8RR2AGm*3i?nnLqa9;@gwvp~Md{)NX(1XzB$=@Y^6TFkm2v zb%LIadu{BS4maD>14!9h>qpZh-ft+9YbkT``o7NV&;jr1OFkzucl++yW`#yZoAo|` zf^TPF(5|;Sd1u~Ueu$W-6_^!I!8DXf&qjj*NGB;JK#MZjw?1C?G6xq!aY$ z`Qbd?v|TLu6WmFB8?dEvvPKAbscdaZ>|GTCet3=lz%|{!{~F%{2>K~}8rv67bGftT zPay%W&01$809k5Gv)4C#u^rQ4ndaRJaTc;0_N!BRJf;tyYF?c^xdl?ng7iGMPC|I3 zXynd5VtDj$9iAeW6ul#1xT+12Ug(aRc8dOxF{Eb9lw&9M=twE86;EjJ|C!_mGb?>(cb_}<@n+qC_&3jlN&S)n(H;v#UC zK3U{dtoS>l1sl;ye`pd*4O~@pI0YZ0~pMde*N!Xq4lJUYEeqJ@qRk6o%Wt7RZFUABfh8pDe!NGZunXN zEx_Px^}YHPuC`QzsRf#&(3zs@GOLCh+MW@)nZ0iI|!7G z^TT5O#bc#kUaJ53le6oYB-i_QW_iu+E9;L;`7$gXBBr&vYGQ7QxFj~S{JOp@6Tw1v zDt6ayF+Bmi+j!PaFn&Er**8o1S=hn$*t7HGJS(g5ewRtvS)~#+eE^Ru*BZk*mYDWI zh+lqqxxe^d*@?cM<$8K|f!9eM&v#*}hPWc%Y68EC7F^mbs7d2Ja;ozq*NhVgbSz#p zudC^6eZ4}4ri2^nID3eBtD#q#3}kI~V=&{nDH$+D{@DP>ZX?)%&Dsqb)52;Ir?LxS zb60=WfEJE3%TbF0nI^#AQ{EM_Y8OxEYwUO=bnstYu_6naR-qTLxcAWY!u|fZPyAwC zfBJiEe2jleyj{=l67Gbphfg|X0}Z+Vhu*&ShHJ>yRpJ1Zyj2F1BuLxpWfx2{^Iszv9~E->w<}wp2Z zUOpYNt|$u(k4?x-63aaDh=g+c-zC;(IG_Ih>8*Ge!7nCVqJ9 zKl@*}i}AHBAtpB7d0D>>q;=ybFDEHx-nP-M zKY@U(=IvhCVoN!J-wY(cQH8HHEvJS}U2C;uS@5xXQL?nb53%@u2UYc@_sve-Cq0{$ zR+j34_1PYi=!@2eGCyCU=J65qJml{4b% zWn6i^2m-gTBa0uv&t!M4>IM_v?zDva*okbOaO`>8=55v(zV8!xNWr4~`BrV}54?S8 zc1SQ~>sprW(i$5;sMXNxWg{F_JBUYzRq}sWHt6QQjm`T`(SCHr+2^esJ&;X5T5He3 zS<_v$-ec=dyPd3?b^u57#!#c}AliT$-rvOOLFuVag1~rYH>cDb@_m_B&pb1eMb2Li z&kOVTN>i1s{9z&er~j4I@EMJ3gPp$Jx()W_p-UB$`FZW_0J1ISz&7!ucm2E(!)v?t zOqd+~Ctsbpcq=k^^|n=Si}Wzj)OS{6=j$~oL2Uys4c(r(nmQUOdCixsQ z!A@hnGQT@PLkC?5EErB}up211ThaT*cO?Par;*hXhKvstw_kg{NiNLTWTUC}qIEn+ zQ9FD;o=V7LfxV;*%f>D5zu~4cPzJ0=T&!}}XPx-Rk8$re|JyI#mHZKne@`HO5==OR zx{uZUmPK6fS(P@~DjGoWA{AIxEvx_&)=%MCBCw5{U(Kqu-ZL@Kwin*JRquuf^EU5) zse4r>_0lg8blL9Ij_UQa2X;ieW4A@ao}dT3tiU3VaeFr>T(Zc?20?OuJN63XCDF{O zkLGvOq;R1p1bfp_sxa##uPv3+0-seuk$Hd&hw3Nlru}^2{#R{cej2#dwtCjScWpoQ zjDPpT3QOJh*1ozAsEJuV5JRDppmi2=n#9&T>bZiYU;8#S%HpkAxS}1q#$Hl_Rue35 zjh&L#rjXXpi1>unk*2I}wm17uaFJ#WueJQSCC^+lF}Ee4CQ!RwpFS+yb-LH188(1u zN3ys>Ho)*{X|4Ut(F_zlyk-R}0I){7WrX8^E zJMXZuz%IBk*s%3v>aVn^FXOfQvTxqL2Jh)fDmH3ayEl!yFT}}9=>@H`^~gwttsm2p z_3W}NUct$vo^yZhuLor#=GQVc6*6xRvg7?ci5$!_np0d4Wpvqzj+`Z=5K&5$t0Ke zYeY2R=5kq1d&h$6Z zAC&mR-~6BdM*8sIZ4dg3&$yM1dn(bOl9DA8b5toQ&I@3;-7U;sU07jVp8;jPJ%zZt z(!wXf5>%NQtA5Vp-|?P7&3dpy@1!S-yvYcchqgKhKSvGWRenp53(>JjoWQPG6m$OB zQ`|OiTdJ0PX*RzZ}RPe8)j-+fgl7N5_Z9nQu%4zV8l;n}_3e^ldm0lVZ2JI0B6WCccSC3-Ax zKP(QnSpTwr(845Od+TXc%0jbsCN{sKf*|%8mk@lP;XCh$H35~p$dWEW0a;$W2Rk=j z5X4;5r-`~R0H?Z6Le@*E-~PNuT5|&8O`IrV5Wol(OOT-+0)y% z>s=23VJHm5YbV&A)F`q-5AcvXU^$)ZGM|cl5omUscw#N9wYXX5cwqPnMDa6cmZwcq;>%0Px-~r@IOQZrSmC&mbuSAudF1{y z9we*M@~}nbx89Q#9rLH>{#Z%k$$heVg0b_*LKoA%45G|^jEA9YF1BYMI+F3&!Nr@w zM?KkY*>+BXeTP41QsVV-XFFi0YgoU>lmipVcJTD%m96XHjMT00p zc;m6p6zm82$=$b##Y6h^OY_SUZv5HeJ>TeMV=>J=WnEJB1yJ}15MJ1|uqaOmuid7h zQ6k?1-wx16rn|pH))CMAv_XO*?mSo9b^ueCFsbS8rp0g~bwnypH6{KtD{%PB? zmebulH|nB7S=ArM40=rWcb2m1!wTkfHi;ULaL8BqJd&*vABsf*O>ds*r_Ovk4(v07 zp}|%Ny1R`G5jxWp&T@xu5xze^wbwHNi!1`_hu1d``QZr@OD}s*^c-0YF}}%;r^f^Z zz|BfbM!WXO?tzsVAl2+hXn3xh{B2V&&1<40qbAWu&lT_fhOZY;+LeoCAwVwG@!xR* z(ziKHfK#Sgo|4r^^7Q)>TPYY)pJoC2mID7Rb0O}Zb9p{jHMaD003TB1f$L~R?ZGe~ zQ48n8gP&yZi~8)YaJbEaojD(6%fUk%GDh3xk)QgA-y=RSIb-gLU5q`c#r)oAddQ;iD4%m$mG?X3Kh;W*v~DqFw3Je zL4l*JBu5yka`v#m01}7l5<<>ZA@r!`v$m2T%wg4+=GvmSJ}!klf;y*ZCS{jyK|bTW zHs#{j(p!_W#a)@hooq1)H*6%8D_UbKmk#jqRJ!H3-LtJZKw|&C*^z5!1ZSn%%QEdisdEf1uFtu>sj5skb|RaO zF98f-E}F0OzC*jR2l8B&dDAOlVtuTvO;5(FQB0`rWWwH@EyKc&OdlBISp#F>pWzw0*!qf(8Xd=?ZhdafnD zpl_m}wP#k6=uBfB-uxc$Q9y^)CKiK|;Kx(mJ5s0v+RzF;T zc~D=ud9VT)+rDTEsAsC~e6sVGCkN&V6jwuo%dqq(&kpCidCLKwSha37P;*T4{yKIord`%WPmBdtWUIXH;1ppP(MQ={)y-^VN~fR9+H= zy*t+Rs*?||uPtdZRxF3IJyz+u^__NJ=y@6}$m)4^uco)hxdH~t6%RWu*q`MEgfYPF z$ou&GcVMeYIV1ZNh}l_oIEWOs#T6rPJW|#JoA3>U_FDWLpIz*ewsw%QpG&sjIng{b z2ZR(W0XBe9I+eX<-OX0v+2YVPwehVV_I_UZ5|~nyRI6&{y^->+`)oxgD+kyEExu;G z*>z0O68x)m?RtYxyRD*kd(Q3E%k_?$*}RWIEo&w^OG|4O)6Y7tsYO<{;`_ZDwW}}*AdE(AWCJST=lI(Snp=KqA z_ldk_KU@7ud!uJPR$O^nxM~S6mgi*%$eJtWi@{~&+ULS&On@6mbeO_ z89;;(lC}Hik6KH==xg&wI%WUtlZ4Ri@sT>gtu0x33!67K$X_Nd!ehRR>uWr_S5oQ> zKM+LV^i-Gk+1xG$MPF#Jm+*_G6pa+J*&!7Ek z$H|8;3*_Z zyVQ6rs&~~-Dd5_oi2PJpp5>`FuU{XN5|mfc65_FC*4g=5W{LK;DOh!uTCjX5Zs+qt z$zCP&PC!t#v>#B!K45}*Ex~@hm*=cj?E-+UE6cT~)ZS`$=02_35PCtX`Q|h1C0lJJ zFWP6>Fq^eM%ta06#+22j@6oMdZkSXtg@rBr0N|@5&ifztsRMck8EZFsSfczv@`H)K zoSy4cW1E%!bl_BN~+z(Jo zp=Kr2h~f6K>ttw@((H4;7gfP}Pe-QA?@22Bu6% zXiK;jc!|6JTs}X~J~QyWy{ZPxR8*H4shhX0TR?^JTgGY){waImwlQ20eXs(NQ*ePcW@(-fpjw*tsl5OH;{sZ?ZGX zF8XV;bfIW$A0SZIVfG|aoX?bMv`-73=h-F`mPBZ4K3-x;(eB2|LYU)-P*l6VEsU54 zOQERhU`s18&H7DV(Uw+tI+Q>wb3g~2ZmG)OjQyWGTF(TrO(Raw;bPUuvy$rfYw7Pw z`n|kl1C7=6`JBS!-8u$$EB9V9i>O;wSI~$p;RGGMtk;E+Or)}V8<3O$#QQfLt^1?h z>1HrUUR>%s&*G{_^JB`!t3E$9weETrhIj}L350g8U8!gRKHcLdKU25PeK$?IuCJ-} zR_$Wl^-7=rxu^AJVZ9zk6BD1dj_GUkh$07K{4$`>-j_%?zjY?+M25&zQ!C+pGZs~#jFnJml@e|a~_0j z*5|{ncs4j6L@>=G>JAkJID7m-CGNlc(UPKncL?fxUtk42Y}qJ%dB7B(uhH{UyNUjl zEKJ2r(hVzA^GV0xx}6gY>@p2B6+Q`42OP^H%zEeC?K~m~DSqD}Y6OtoqJFaWU@*!w z)QH@PN%c`tiRtJ2E%X}4yscrAJ3%bZ&C~mJOFwn5>~#ToPWN`F<(eyBIgg~x?!(vc zoc168Fe<-z*zv2QLLl7};VUopCVXzU%QoLOdy`_Ck8N?B<79tmv?eCniZ#P_SocGP za2UxJ6~pPDs>-V&6mjg@5sj^2xtG`9O@+_h;K$vSW{>-JW@P+C6`=)@nd0F64>_;gt-x5W(a; zg--?T$?+JVepY|8X3&->{U#KPBE4nZ3->a>{sGit9XsqjPgc5UWKE0>N@+%$eCjgi ztt?SPFZpLU_IgR=h%S1Dob1gJ2H?Q1RnQg5GZh^)cj4v@jj5(emXOioN#qk11e zQ+Q>(07ReS!M%(X!Um24ENqW1gjT#N5gZz8W0@}d5#EO+qH5qfh^tjq>U;A*BiVl? zM`9oOd3qCsxSoUL#k$z{JRc;)2R@JlDAzsK^;ii__LSB#Y!UxqN&L~;;D2{XHZ#f%L2?Lr93#dJ>hL6-qc@B~5dv#X66{rg=aaw&SaMyYV%Q z1j)N}9e~&?i!CLqIXDq`U~`j#R7PH+Y4_etgT0@>&wu(4bRYbiH&P(XnO3-#&w=R! zw0rNwj<>W~)jhUipRey;p?X}I_kP;-mC80xfKmW$_iA#<-8w0O*9t#~wdj0#&fmf8 zwJHu})xr#_)Rm+!)369yPP^n2Xze;Jgk$7j&&#}88+cZo5Q2_z*H=bDkmo;G z&1b!>*$T#*C0nbVtR46^=zwhzCx{;~#X=bRVYL1OEhqo(XhGJq{yd;;#ZE1=0w&p8 zrcjVQ_McrY;4nT%`|OLGqkE{`M6ma&{qll?uw8T0c=rR0NvrlIQfz$xS30(XjjvlF zi!k5Q{2Wzt%ssc-bXC5pYLIh#o|`cv=QJhz;hq-G^F_L#&wRzzo~c=+zu!Ou^$xu9 zA738pWH8l?Pr|WDtF3^*v!B-2GuEHv&!l`bL&|n;tD>YlqXvjdNqW8Ul?csIjiN`w zxqilpx}qnmw!f~{PkZ2;t6Es={T^KzV1JWvPw1;`lVkp>mp^rRorKp2*|@{{(>I^z zO6)0(pmE$mk!ltA>~Zr}Vcrk**32b`=ZE}ky%BW?w!-kp^Vc^*YVm+8TCxCwYL6D> z>8&^M7leEglZHJ`yt8hD@d4o79+0ks&FuO2k@OM!w0&TQmoCCLa9s@oJGi1gK07e* zYk90gce=j6ClA09jkVpd!K9wGiWotiR^8TE>wK#w_ZOscJUXFvt#l(JtJ&8Do1gVO z>d$PwVSjTWI%`67YYwh2aw1z!npu#I&bz*Asd}s>%gDZtkMcq@U+;PO`+TpekN9>= zV0)}GjlcWf4VU$$WI6+*Ny7hFU{Oaeq6=z6)hb!XPLu&5+}gU)Dc=8nTw8 z!IpEYJhijbi(p;aByc*Q20$Bc)IFAXU>;YzZCuwsn@`n?IC{DW>e%hqjV%AWPy0t{ z?nl>^`B=Qvw_=oNqPvL(G9cA)M%yox-dg6Q0KZL!WUMuN5ttkJ_z|}8HObyZ-cr%c zSqtA#$0z>>2inR0Cqu3()TGs13z9SKR%W1fX92E7B}@HrUt4{Wj$M$=pwW5AWPXns z&S|%KNM$7CcrSYV=uy<(n-vevY(%^UK2J|J$GZ@9#hT z^>6?7Pxyo1{rw;Qj(`02XR-CW68GwH_AHGFC>p<9+54#L26o&83Po(suVHTSmQ3xR z1lB$+LbAX{M;vyJ2RYdSTM`v%B7d?hk2(i-sl zhTpB4$UAypug3w{RQmuRLA1O)un+N_Kx6utAK`k~d4K@BKj81|DHfm#vC!3I_BI$1 z5tRN}`{Kp!q-RRd270ZFMN-AWYMrw`Z$+5;tuNeo_n%sZ`R&`ziujxh9G(0*Ys1Ot zEO(vt93^RP!5nby9*)Y+W(n1)o<~fq2%_A^K<|0LqxY|hepT90 zzuIcmSWz{UeNsJ18oty+-oKQ_vImBdJW{I;|ET$8h^lhZXHTs9ro9M1;W>U-Aiu~) z|NPe%$XqRQ(m^p3!n2yl-oMvc3J4jftHW5$#ddYL$iAOHg@C(t4TnEt!di7YEI}0< z^8`Zn>kyBD55+`2JO?AhyDO*{`q+;encuA@ z;xD*>2x*`GP}HlI)^pTU68ZVPd z9E~{+{pj=Ot7~83ZiynfKW|Z|vE?}ekOPpmTt7hG-~7d|$=dwduJg80FPW#t>s*Xa z%SGrTfI>fycz*2XE??Cv&to4kXkK6EbMk@)4$l(v%yk}WB<}Tq$gHt$8J4g#55UU8 z@53Ezz>-@KP0AFWn2uC~**d4K3Vs7IQ7KwBHEi+%WZN&77|3k1GrI>!4Z51XIBA0( zivl3R9s}DAoqhDAPl_hRMts`FAn?c@gY$*uj9&$% z6;6Wb%i1C~(APy?kEv?<`mPhTRu&29GxXh+iR%4#p`@>TGM#^$o;Y~y{ma-Q3&w9%O+6_5&01~}9Z(xezM}cfUOBKXM27$H+e#L+EizFQ?~@K)7xeWtgQ6h1Cr z3Y>vBaYJ>zjkwOy2KcaA;zz`TFT}dAoz;WVm2_t*Pm9^)vCdLyP}Q9nG6g5{SYR_z zHOXyT9zbm(y`B27X;qe%UZ$n|6iAhWo0s{`3pilFj>2r6ks?N$dSjLFq6$>ElVSfc z8c2YT-~Jze`1?Qkt3Ukx@BXjDk6()fRibL<2wr@ix>f+$qE3QmRP{jxfugSI>?wEk z9I*411iMM`-dW4bPXyJQkn#x^DVr*@|2jfdC?M>9%@zf4lPx1Ic>+7>zG{b8bX%&l zUhNUn!u{a1aq71#&ovOz8A z^!6QK3nOb&*6$>g$Wx?G2lg4D8kXlXo+H_pVZbI%C{soT4urFz)Ll2Ul76U(WRA7!&duo*J)^2 znJnMZqw715fa9cUlO1b+-p|3hZz>kFSu*fwiEdGG*(SCaPxI(=xkOW43rHf##+gnsjI%+kz?u79fC=3#x> zoh<@^(z1VQ(v0jg^WJr{x-Qo6T2(Gm$cd%GK*=E?>oE|xZel8_Mh;P7nb&r!N>Z&Q zOHV7LTLOA$%Hqck$A+BNZEVWnmwJM-ws0Nu5z;61y*Kt)f5K$rHjx96_#8S-kd=7Z zxY_&jF&5j&R^{gFK7vX}q^TC}`yPz8wK30oY6J_T9?zWhDB6Rb&8JRHH6^dosutqp zeT9J^O^EM2J@vF;oXj)p?d}~e=o(bL|44v;@hJAc{Ca$4SryeEEao8zS}wHb`wMlo zd4hL6V53T|%tIxB6R=sOH#hvI75i@a9cgH_1R182X7*E!i{+wq_Wmv;&;yJZ`iK46GHZu8?)B{IZqU^z=%u!K4m7$@ok`BJb)ajrCJCzX6wfHCG7Tq5 z0JO_~^RT?$^8&O|T}JITcFq{gz2z=!HF9f+Sv@ujv;|A-mpC*V3p!$21GVnjF?m*~ zX%c|lW6McQOf0E~4`LY$5hgPvzFxBBSlQa_Rg02=1oQ>q;Omh}r`0b zMvkTUFnX}r&s9u+^XI>KoBS7lWKOkXB4vVa2?|6^9cG%G@mA;oxTEC$?u9oq9TeHJ zh>Ac43?)cHZ};Q9nwZGGBPu#FB1lCG&U*^eB6A9t^PMxpjR$VGW|$ zJaoKj>*1Y{?Ux#8os*SOBzVHidaGvH+Gjc^ZDvyn_SM~c2xZI!Z1#p>kq*y$X=Q2< z6;|9;S?*!ol*cFNG7-c?g^6PDe`~eanXiHU*m<~l*2-v~u#Hdp>%7iG$H2|4b7!6m zOw0ssAV(hI&hqunm=et%k5}3>U*~E0$_$oL2ad63aMj3XRlT-=jU&NTe`<=r30o$DScP1{`(c5j z47fP-=(+9vt4Sa1LwGQF9kQScaRzAcEMQ-qLp!rS>1k}=?6n0ZC#awaJ%RcuLYkTO z&E^|OhJKkti&o2ze;DmwbI<+tV^!u5(z$=FoSvqywq5R5YhTNyca%HP8NxNRt5ll~ zI{F;*S7{3nvj5cHbRs5eD>sblo;vLZm^zV59@vz@Q7-ytcQt)y)#A&vO*~q9ipr*otRxU2Ga35`lEDlu0OmY@4LaFo32%MZ%qz`q>NX$(SevTQ0V{x+uF9Njl0wbE(-yPaP0s#zz$bZ|Bx;^Iv_>E5!fk{h0D`%?E)+-3(|RvkiD7F{_>JP5)w} z`)QHy1?kmW5m-iQBf0ZBR%Npv^2uweDVM|NAfng zlS|Ub;7N;tHyyg!wd)JPyHm=NVP^bZIImouWcDmj$jScUp}RDo5NH~I>cz8*N!=_1 zagzktqRu*CU}W05Mw0v4&sF0l`#Dz+Csa!ub-7#9O?dvvvGeJy+8=|~FDk$M=^qJP znsD>z+923KOwzISQyDo0>8zm0ZIJu+rk4^M{8sbC1A>VWjpBErlZJL>e5N8+c0eeI zIt@HGt^nx?BN&$>t3O3y&pc^&!p5sg{8{V+|F3LCqC;-GM@HrOmgODFvR9xN;1<*Y zU`Xf0<}ct(2%)OJCQ!bND3q@ku&!op)o_h~FhDn}?%=#7$knny6>Hj+bys1dsVG6V zIwBK)uAjr({<&%sdH14UfF1hqz67I!TiH%lYIt`vX42 z>-JiO&gdRV8^6$wL)XEodxV`IaX!*f!IRp!cu-b9p_3`CFFX5yM(`)^4CUrYn1)M# z+v~7ie|<;bJ3(sar=MM~cZIbdH)$d%K9cpPRfvw&a}tV{D8pxoGL>Fbh0k2E+U#gp z&Jv(73Ck?36{`S$fhIxS0>dPboF7WuH+buCVu>_CS4F|c6S0Zp3uR?%mP-J)1x6H#EZlqR3k$OS}X3Mb;}l6CT5?+vG4^{Fd4jqUN;hrFz- z8R#lnDai&Q-VP6Vs!Wh!1&$9lg&L0Vp6A?HN^JRCv5N$*+D;G>FkxK8G@@SkBrEKg z0?nMy1{HTJlh$}XrR_ug6fd)~GwxibP((Jg1yX3)8VklK6!-t(74t!8&G#o1xi(&nPBi;vFdVdfF`^_)c=>9+d`gi}w?|=KZ|NT$?#~=RT z|MgG5|HnW4EWT;h{HQkw!h~3YmGmOlx^`7!XC>lwenZV@s?npA4hF|pQ5WPO~gb%-Ic1Xugn>Pi}MKY4+Bb_<3UMUc*GIiG$m z9nvy&wf|-XKA-Oy!DA3TrO2&@e(bwjL2!iQYx7vf3f`pyY34ppKPl`lQkAYp(E9Xv z_xTi2KCyMNlMcXa_4BFvH)edt)S+4YyRY9-#KU>6HfM7ic?t? z%hN0R@}BGbaOxky4@;Uf1?6vwyjOjuRd=baMVv?*+MMq0@&GWGxJgSggd zQCeXb_Sc%0u5ILUp1}fVeu}r>XTR<3e{`*Nw(4r};b)U}+1@;{er;I&D*qU*q#v+; zb(dY!@k9Gn@w3GETVP&h&-(e+GRJBCx}(3HqggHqt}kjQS!t%w%yly$EZQwF6Abv{ z>R1)nxz}meHB2p_Rmq{HJKh?-Z&&K&-o_6?=D+#VU+$cFfA_cl-@pFDKmEzy{`OzB zQ2*2wV6|}NUn+^MUgNR#SR>hb(78Z!X0IlAwQBp@dBknjZFk(09`4~x-Vd+y@>pKE zc-N$DSDKafJy>^_jAXTX&??MgvF-DY098P$zlnWjx4EY1^S#wiCs3ow$E!77`m2p) z=$BRBUT{-spLb&izpMLt*3G62Ep6tyxEK0jjThq^Uw&_St&rEb;Tla5`FXZ2Y8z8K z_vHa6vVm4tPl|7R)3Oa^&{&(D9MiAunLka~^7%J44ZMMMlSTZssG4bSd8h|O)>;YZ zkJgB`Z9R%H+SU(00PlcDHH6@Yu#A5;Wqd|<1DW{A^(Cx{>L+LhiF`K%W)&&G zM>EWzjojXi2$f2@mQ_v1!VC@O#iNdsBw0`1o;@>b0M3k`>+yKs@b=pP_>-1yRcCTm zPEdbNR*8U$tdih$)&+i5Fl(2Vtv5VmEcfV(w-ijbm*LGDw)0VnD4p-~^2y}a2~-=z zoeH}2Hw5(>Mr4b4Kb6`8TzH5Jz&54P`GCBfBz{2DrSWB2r#Qc}#CA5OMzzaY=;_b3+4R~uWJCNTTuFFWwP+?Xp0cF-G z(7Y;V3B*&qkSD;s=J(MXoQTcbY~EXjw0AN;ke%dHye0@5+?7FeY_M>Geb`Pi%S3wY zHqIC;U>~4Th0LB7zZ%SVK_!m+CTrQsMYbZ#zR>Px9_KsR^8Ny@Sl>6527Y^ZK5JJ1*|KZPBJ6Hq4dcT)nU%ay zLM5CroLO{q!J^Bnk(DH}7&SsWb!MAO1>PxM& zMK|^tY+bEeYt$XQcY^56E?DyQUAlVaUTs6TJq%GfuIsh6HM^I*INSM&o~3^JqCM)U zWUpK;UiRvsQS@RZ6tm3FDC$zaMG4^ZsJoT3YXcd5lIb>r*b`Rn&kc*8&c1){uRpNa zw^L`fe$&?Xhbj7HfWQ6IfBoI>os<9mqhQHjGCx)|&zF}>$#C`4eTC{^z_v`_v`RK9 z0ZRnmy3&hb0FBrN$Fz3Mvlj<2KDGge@wWUZZnM~tx^EUA&F&0s+nF~n39R+^AW>bk z>uXD4|6AtwvzPhHUj`4q_A)izD$}Ip)Q?+6}T4%WLDjsV@|VV{jt|IJw*{<(lE zX!zI_9q#LBJYKhMf7d{;A3IrLQagyPJzCB2CSijDY+&j+nFrc2?pMFboy^?VlT|&Q zbHJ5a$jdZqDQ?l-JwyA#r?3w_gJ8a2J&48UC#Yeu@TV# zMpc3DV50zFA+2w^UaQiQV8$DVkP}fZMQgOCJ0&lX;?QR0Nng1GtI={HQe5%~Sph8Qxy(rbzuVdU>?OyxF%C&lb1(H(oAnH^W}DfSn0*e&(wal}5?C3|1iOxamGOW> zZ8W#T=ndntK%0#r5glFvoC>t=u!D0SRpGXWv@+E2n{uft#4K#2pZ@R~DR1rPy|I7x zXTJzOgpPmx+rR$ZpZxwG{_uBy_;3Gmc={PH1wfmnH8xeKz$+~$4uYkvD?1IBiaX_%`=;Q-HH8R;%(OpQwz1pPFuS$ z_IB*Yb_Fdt9^Ii&aKaC-^=ti*zw}ymH0<`}D2zFOcBJ_N?gov4MooZwi_6wMmai-I zc$T1e7ie%H;O(S`G|hZmnk(tD%OuMJVkZU!WXR z<|VGL^KDz2^qVxlYRiW=?SG_2_EmorTL%vMw*}U21!`20S4C}7C{GQ6=eo8I3 z>Wz9E0F9u?wwk7yB|Ujscl9-X6uqu5*&v^6Nm!UBL%oS`hSPwUAu-*$Um>XEz; zu=49)Q(ANN8X4HZ?+_|Z_}94xC~{yWLEGWZ{CFkWqGE=jc!LXh1ld6QuUzzZ?hm6t zwCPH0!=ahfha^y@K9A)nDZN)(x0!ABmU#(};=9`xV60nht)y3##zG_^F2QqS1P`U@ zefQUSRCr~TM=Y0`?594lqG6x{Pn>0ttTwaDv#1_K>^p1@(t}c2#;opcQHMFsUW5U) zs*22q9T`69eZCiz_A@=!Lu@kb#o05nM?AYL2|+C19oSEbs5}e7T9c_cmrkhm7&fn} zGfn}x7Fz*0oeW0oU`xHPif-DrufGtQRX3FLm{oIhU3sZaC|Y5rm!f?@QoAm9mTk>^ zCa*j13=m_}>~7WrATV?1uvJ8u0YjcZ&E8A$gP=2~+OeQ9Fm$;4xtA80F+L+4DzpXp z+nt+DqCojFMTV$&%0BY}9zxA(N49MV8*rc4!geGVM5QWVX74vNpiqsTCMB;4XdqRh zPF9Va9c|?;JFrGOnP(ltdN}yQ(=D}|npWB3#Jb*Kr-_vej$Q1_0@$Y|kCIfD*Rlsf zs?2oXJvz`VnTH>DjZ^P>J$=`&YWRfX38kNjmyx(fyq-HNyz5XAbzb2-1BsdxYK9~H- zXy2;blcw*-K{A;W-1$ zd8nYpa~`EzgY2>PVHNeX0k=1(47^O$LZa;HZGTV>uK54h64jn8H7 zvM=cQT;$mvRsyBwMV+OjZjmr}3pGajYCRia$YMzy6&BBpwbfK(rmpF{1<6(Y*yIOw zyHj)edCBIV{<7lBpZ-ds`uyLLqPWNCmbS75RKk2-{Q+6>`PMN-1w<*-Ixpnr_}O-i z&z4&CY!Xn8fiY(lbREk83mwcGL<1w*x~LND*5eD0eqNZIC3%y*L9FKO^EkI%)q^(3 z{!D6^2d_Av@i3-4R?3mw(ITG&wR|SN?2?1dPtve(W~P}wb!b(vUN@z4=h{9;(mSin zN@QAcfL_&!&2)xCeFihXLL_$~MzAY4MNIWPU62`Pjt;o*!)kQ z4m)*kn{3CuogR~kx7IanG72evu<6e#Rl-|R#UIAJ&R$?L&m>EI*88KrtiC%oA>2u%NXw(1QHh7rt&EeonsA zIFzM3pKnHi8uNK<07?RYzd@Q%F#YHI>X*yge{El>uu2-cDhwi>WJFK zK~w8mE|LIWu!1f4V@Q<}b#Y>__$?N`2D(8z20a{}pshDsrK?n5Ugh%EWF43#8DS>P zk}D?r>CT-I4D~p!jURfREJR!GiM-<;On%?GlyJ|-bu5*|Yb*HG;xsZ-SAqCvIlY)U zi`aNQKYzcfu9EEznn@%TPM+}{?^)p)GGy76UhC^odLw!S@R^ElB`>QAXNo{<;F9R^ zeOe&-kWrT0tC#h17@4WfEG%#eJHHMX_X! zRs5g*-8>}t!`dVwTFLV<@fKZUAT?2fw}ndJBwO(Aq1VQv0?f}Zoc@c2#Q*m{`)R%W zYZ;#Z@!P-s$KU_MpZvpL|NZa($KU#u+rOy1^gJ)c%EGP* z^}OWGQ^q;xzE0WdooKtU?%eBkc`@y|k~eJLPnEcMp?wSa=Agg{yD&IKK-3=|{g28B z{nGOX4Ol+a3MkC%O3)_x)M25}n=~n7B`td%zyl6IX)7Ta_5F`ZU^&kHJAAa`_fBlEw{mEbb{cr#4zZ{w|Y)@Hg=kKO#wOy%gy8)>i zZx7nDcxLvR5%g89l7}r+sNF*Vzo%;As-8_)3^-P`Ycx{2Aly#-c8c7I=LU4uB|YLK zG_%IWS4VvjqGZYCe8aP3=`4g{%r?jOvwwQ|1f2 zeA^9w!m5BM?X#|!`kPd-nYFR?;y#Bp)wdq7QB@)&1bR29`ns(u9TMeMtzVXOO^Pp_ z_5#fxQ0Lp*a}ff^Id7wSt#1gRnt3P!G_Cmy^Z7G?x3B1awxAczT4wU` z4=dr1>J$Frdbn!2HCKZ6by(zVxd~XTsUZ}CvS^=7H&8J?I(4XUSObAEURbJ`UXN=32L|36nL}rro_ewFne1t8o(-;gxod|z+o8An$;}%Qo}_A~982c2V}W?WLMuEA z#&c(KUH+PIq3_ad>jL|{O}n1XGt5q--us;29qdUC@rgI3n_oU&cL@O50Z%5_$FqMW zcI*K)LT}Ihipl|_zr6j`0lF`-gprvo9$S~LpnZnN^=;(7?QG;ULDJjul!KjCpfw%J z#hkDJ7s7r0n$l0c)FK{FT`z%)V&Xf4PaYi>S({ol&fx=;YPhVk{2WbKlPN9kov(M- ztP6u>BNJezAKJh^PVqmTHUBww{mUBtuNFo8)!+QjBmO`8tlhiEq5+f>Jkx|p$5ym$ z16E4>3j2*{KKmcqHl9T*E&hE@{DJz-wj=E`?0$b+oOvloQd!5ttl!KQ(fk4+?(Qvx zz3vErd8V*#)^@OXt~qT)cO|C;IC_*7UhWRcuM^x8(*xlho@7LL%RDA{s)~!JVB)dZ zyX>N$-KrNIe1XV}5FdNnlna#Aw~p9*^kbP?V1n)@hz=HuzQHpouogdlTetgMA#5bM zeSN{tU#WfkbZxG-OuC=k;G%ohwdkp)NCKp%s@mOM&*;nNskwbOk(bB!cUquh;hG3Y zM9JRTxHT3cecfOGvUa|jrq)H52i^GK!s{)_Uj|H~jebuokL$f-J?d=XpWuwAuDZ;z zy~nKTJL~0qXYZ(U<(EHnL;Z@zI!3Ja8tgla;j?{OY+Sks z;~zt|`?sd1_vBXXR4aRq>v2thyfPs2nvGq+A6bhB;aA+%GqaxmDH%X`nR^u|Ae${^ zT~~I*mB~MPvX&<(I7A3v?d{oLo1?rXTw1P+{pMdQ{&Fli@_G9wnoX8GfYd$dW6vxE zUcffpy{W6swpZI(bHzfWjNt%F-6ZGydGFq(Y<5;u#oQH`U5;$sc=gKk3WHb#&yV9c z>NzWQJo6Toa^__Ugteq>uGMYOhS{q0Z3h0@B@rX>{r1~5MRm>x=D_Q#QztTGY1wDOkDt}_41C(t+0#WO*pf@F zs+*D^uDB@wwdEfws(wXm@)y@eVG308I6GNFjgFj75^c6+lx(V%=-6%Ohi4Gq0Bd0! zu;abxsm?x-KI^`*@|{C@oTt9PPgRcWILIutvJhtv zfbSd6vw7eq@w$9wuXTIu_j|H}oCw4_G%LOde*2|kaR^S~9z_C3l zeUfE0)VUXHvRC!6>M}s2Tj#M!(rI{SOe{FQ`ecPLiOI199#;L1V8hn75bUzr2@;pITth*NJ9~)+mme|Bv3~9dx25*GrsL1nq9rP@_epVK6?_y%&;@BJ zU0L)T;N-jg4p8U3suGp$7-mCfWkqb#uAcQDyT`F(i7abB?E%p%U2S9;ZXF#%4zHoF z_w_bwyW7I|hG}E>1?8C-|APs))aQ6?FlAD;YUh`8S~UBpRSWGb?KE8$CAqu+k4iYg zc1MY=mcpC7R+%nu8Qp$2cEo<9o>#9@4v&KE5t&qEF5cBV*1CU}IaWyD;X3k&yS{bp z*fxGrjnB#IwzO2I8WQ!SDWwgZ#X>Of@3YM71}`Bp-4`p%cAlcxk5(aa!=T)rC6O!= zcC*|5d5+@m54F2GJmC3k}x&w3$cE_z8S$t!6%+s<-f}01}zu_HeX+21s6AA25SG&nmE< z0M}H5z9^Fo<|O`IMp?;lvIN3W%q$T0{rm>lANUS>%a-#BCkD+U7=$>zwT_`iFC$PC{2wb@aDA1%I1JCMrke-cCt2XK{-k19T88*Uom>S8U#H** z9vjSG5BwEdj+zPz<9?K8{>@)_F3{`zfBSF0`@7%$?SK2--~OY&`s|GV$M63BxBu|F zUkRi0_U3Sg7D6a*vQWTG6UY&IQY+XVW7t0RxEOTqwjm%HJI-m=V&vMc^5ybnwUcRM zf1E5k^8Sc6bRSW@2Dp-rFu|kpY#Y(!lmI#21yEMU`StvPFEaZxLwZhY26JCi4)yp< zyov_7oor(az7h#wiQrXj!~o@oH6lBFgqc!u<_fEqc^k_UTnj`ZIG-S)TBh?mpwFuT#yB@EOTKGMXCi7D0TjstT4oTElt_&s$ZyY|X_X2R@ky zur#7y4)JaT^5j_9Hh(P#xF8;$M%jzD-mK<)>G2%ax81|eZ-QpD-+qBAL-qU8d$xL@ zzP7`&z(%(`k!3%Qi9nbL&@6@#tw`i&o>ierC+1Sm|L$MoZ($J5t66DHJ|N*|kCIiC zn>EVXKXT1{T10nyzRIr-y>slPCt$U&%z`I)NDMsfL<%pv0ibJZb$^C-iZ?-4&Jv(; zaF}z~^@SL_NekH-cWp#vBBAv_pNQi5Xq&QF8``dTG;eUDQmppoS--h<68fui=>Xov z@JuznJF%XaRpUrUPJoNU#H3DM(*CPx_rb&@;_mSL$O~-6p`Qt|$^KC1y==Y8PEuvK zjtcjlpF%3@Hi*cm-`$!Ne$tlU2(`9}_%aF$`zWDFNWj_l^Z+d&Di26UAi~xMYO&Pp zcq513uH0}>-Xbi_kKz8gN%h;UWNodIsD}W)be!c*)d;U7|~ z`dD>NP7DWWK;6y5`rvW0VHrbyk8FBu$2dK*tJABz4l!=)irhV3c1JeWxmI9>V$WJp z6z$#Kc3eER@wvyvMy7YJmDg3eTdLnvr9=dIO%1r zVC`<^yk%voYRQQpoIQT4e05E#zC2YG2vV}0aWTB3KkN)8w)oz~Lcn4JBMCXL;nU0j zos?s#ymM;@#BsoI+Eun?eTdp|Up|}V>(iaMt#jbV-7&cXKcQ*cY^Qf2NMGz%4Ui7j z*m)>ntj;#{X^r2>0Fk5*cDXvG?lngF82y^mKT~XR&F%1hepZ+U+DEtq`94HT&97W8 zuGQ;OHAdavi{YPCr%Vde_qut}yQi2}u!y`Y>Gzntlwj?qV?8v_&hT-*E+5AXRf?%R6Py@K+8Dc$1T5y{5s=fm#+L;V^ z;YV{Wtrff)O3OaBT}!;1w_xy}Fgy_(2E%dkhG_9!STSpgcXXtEE)6PJbcWGUFBrMEvgDlJ8H?P~)$&)($RiUN?OYddeSIY{Ufy+;F@zGNlUHi2j{N}Ifupo! zOe^SWD-Gr`CxH519x};v*zgkeuM@9-WyP!ryWO+tRgvanc(y~J=~cN#8{MOpsAZex z>$Mym7zg>WqMK0QNeBVNkW_j;NP0H4u)H3f!h_}GWWoEIHnk-c#v~G}Gn);s>!qK; z=$~o&CBzZW%7M#JQnW1T+QzED8*j{VBg&nu(q-i1!vc)N4b=|-1PPeuMvNI~SMeov z1Rz+R^6v@U)YPpBc2$$@)1rM}?EoevZiDQsl2q3Lwy`N5PQ+t3_UmXux7#`#J@uaT zE`f+P6npJ#-y_07JipU6>q?;d`OxH5O{AJDG)2whgQWE70JevCqJ1^r)RtUQ(x)&35O1uSV*tL(0x+9oh5tf*YVndQ`BNT)3NLNWOM?0 zlRC&>+KK4lL85)xMM5c-2E1bLZ_*Hi-(-Y=I=v{v3q0JDVkd3s>vwGTSWRMu_?7kv zil%sGwy?{dUQlyyg7r(|^t9{F`K6m#`yE#2tj%X^2N-8keXz=0HiZIM{Z`}_C5`>) zsD^P@I-B*eDvR8|KyA+JeQK&CA88}x1GlU48*I_AO>Zv=1Fl^H`)WU z^?<>B{ouq97Vri7TeWf4fPM{W5*&kmy<6z^Y#Ao>f^6eQMX*15kEi?YfBpTxY96&0 zVh{_H>J~&})>2_brmm9vClN~su-CyU@72=)i^dt>dd}&YtZnP(yFT}-<@R|VJUnB^ zqRY0BK{|bLCTN!Qvh~RYx3^G*RTv2KQT?g{-Ko~&-NXR<4;iubQZH+D*y`ZFiG{I$ zrlf5BV>*XDVcBlTL(LwR4M>1}9X5%=e&1EIS|;;-oEs*>n$@9+?DQ$^w8la^q+Sf-bvvMh{cI7xSyJUJ;x9d6U&Z%r}S0w!5 zCxbN(5CkiUopzT6oZCmZSs$uD&h0tVJrRVjblJ=kp=XVCr}ztNo(wyld6}={NIjAJ zyT-cUUeZ}w#`(T=;>}7KndKi}b@^*hHT#U^tsuqZW#SyPE)q1umHhJdbNj5{{O3RF z5cls!F54Qiz+9RaYYOY0k_m++cHc32a=Y%Y zt&l-kv#;62_KUV8RXJlOTIZ+P?ch=D-Y%u&$svt=%p`anw=Y|5!Jg=ckha_j)?OII z5ufR<#I!5_uv~w*V)}=F{QH0UtAG5bzjxLC;cx!Wf4y?+@BiB$e&{`9(Y6)XFxx{` zZ#l^Y&KRkIJmuZH7N)(YeI{x#6&w68ttk<6I-pNic|yHQ3{=%OqgaO>wpbX7be|Ta zfF2Ip?ZX<()`UBvdYrml^tO51aRcfkRsrUTglo~FSvxfc9u(^7yTYLB25XW8?9(={ zb%rrtd;d%eJTWKZYe)7xAlT48{Op_;na`P)Q@*3@#iYc}y?lCapfYgTqj9YzU_<_O zU9b1*mZRH>(sEwykO9Mir9D48>w6vZY7Z*$2fo?gthHOwR^(omOVQ^2hO93-^4#0( z5q{bCX+P_{D0_8hZ)bu{g6DQxoc9u%9|BbHm_1m+%AVggo?P?WOl+&#Sn%V+!gI_^ zV=go|R#3&xhI?!TInv?RJ`{;X8}Lz$(V37;u1e3Q)brp^$=m~^ymV_J6*Fpap7loB zSHAeWF@&QMg|_r4&zM*VBMhgilgt%EWi|&{+tiyu-P>9d#D04=wFH$=Zs}Y}LBc9x z)v~VJtFZQ^hy*{)=2EEPv+Qm8RBpE0+1Y2{u(n0wi~waWDFKX|?gjiQd4m@nb#rSb z4``D{KpQ*>;&~ULEz9KIpvMydYyZk@Dlvv{3ip!}aYBE<)%pg-(uoz za?RTY8{*e@7EqtSr|z}3=3XqpWLL`i=wBJKQqyPWcX`@0gXGndnD|bR*Lpo83lyKW zL0gmRyk{P|e~e|m`(o|DND9OaZ{K6P7d2W2poaU6ND8FA0B{VgZLsn|?oa|hSz%ZehX>jbp`lXjL9k#r&&v4QtZ^xm51F&9 z6`~94**yGaKp7d*;sbS6V=Uy!q7FOE?r<-lJTU_4U#D;AnkaJ7Q}gj;!q!kVw8nd} z2XuI0-F-Gt`po))795OuVQioLu3AYfmOwMydf6Mx_w(}{A~tKkX4=c^*AmCdBz@!t z&aoKezT0-2#Wge@>WJK%dW%|@D;dL=Y^Q0jl4{RF41B)E-*wqWxN>Ua1)>q!R)xgi z%Zsu%OMv~K)5kaauF{WHVE1TSWqmHsyOJH2v@CJ0>_&|WHtiMaJA0?i(i@WGEP*Y0GqCI(-HmoKzu!gDJvd zr`wPgl{kLjndMptLP0@8-MlPJed`FSCMp1gae0;>fw@a~s2uzbgMlCD2Ty=H%6 z{K1CpH-FS1{oi{H-9h<3k+Z8BqameDMfSCxuwMSKS<=obRLbdA2V|c6P3pDv&gPvm zv+N#{SSu@lU2z7;)lHJwHKHe%oj*M0A4TT>?qjaD_ub#FC`xFZY&vGU*d{?!%dN$gc5ZY0&QM2zmnT~ZTZ0e8@3iZOYw_Rw(N=?h zciCN6FRB~CVO1%79&6*e)-pP^n<_ID%{^R98(r7ShPZzA#RUY9&JxWOHOcJDIR zbMb<4d7mvyaUc720ny&wZ6exZfZ`d51_OS*y(1d*%WyD=mN&@WStOb4)w_{4-!@q) z8*}>2nu4~b5bjsi{euF+Z+`R3{p`Oou!Lq<$)dFZaWiZUGMYa1(#3KTU}b+!YZ0ET z$KBWet@#Yq!=9C`K);+iGhb!#(!A!mq}Sp&>RJrOC)n-=rAzz1fqBbONg}1 z)r#L#)@|-Y)nS*8J^^u4zl(9VvU?EzdLnw(f3>fEQ8MgT-}r@#8v z8|bfjc{0O#n%dmkEn;~K!EAicz5sOssNvn0v)+rsk25SuTiu6+G8Z@a#_ArRF@aoF zcju@_KQ3$e!)zIgibp z{W&>XGxz8xbK9Pun$6|lF1T4a!A@{n=s~jgWLrCsQbAT?!YUmtV?R`+2wt)g)|yv? z_p8j(J6pxWa_sE@1NvTJSBN$5O2qa5A7_8ItV?bk>7w`X6nq3`iWEzius{%#zfQnQP7Y{}E`$a0kY4*R(Y{-;W|RBNR0h zAPOgULSfZ`sUt$$7bo1PL<-`0l&LR@6t57XaOHCtCq8Co(ryYjWj8``br=z2Z*u)HK38#y(J*}#H9rNCQGM6sg?PDc zPgg`cLQ8jhH2y}v{>o`#<48NFyQgAIQJ~^-%y(|$XyCy07`qx86qRJ~6%3|Dqs3CM zW}5XIbMQp<*6J;w29CNKh5Rhyig;BPLqsKPM=jW=r&pU8v3|DGZYX(D^SMp>;s7kD zh_|H1hgsFuh(fR;UD}TnQrzfZ&eNyF(asi{c`@J;&cBB@`=%64q1Ze=9dzj$Jcj5h zx)BC>sM`@;L8(T(W%)&M4>d?pcpg2hl@=KKtDW`jk79{``~Hu={q>)}?%tu(x^NFB zY#aV^uv$4`^3Jk{L=Y_0C@S~r?;CpkK zJ(2(Sk&07Dq%y>@_9J@kx$@WGmBra=V;KuA)qML$kP0uczwsac_GkYWS^gitDy7>< zaB#GA-X>M#8<#+2RywNmyrKU~PAe1>X!L7y9QmzqB<-w=n62$0VA9HktK!BdE@h*L zT*X{bff(WfTL9K`5wlLR|Kaw?W8ry(t774FQzYsNu~(ZTWlvT3qa%1WFIqqD>S8#z zMPE#7TX;&mi3ZdbPi-u}s2vnzbW;yAg(kz>JW`Z;xt$bruOcIDYu95C6KnBr?Xu+< zEMx%;J;vM9m+DrUF+sV>qUztZ+ENeqTb?&&L3#N!5Ax;II~QuF6%;Hr^&{xF@1%b= zwff5=Rx+p>$^-9nYTmCD3W?9)`1mNMh%vZwYosZCLePe)L=?-P#6gGm!gkNGyuL9~ znUvp}M7OnBM9w(aRIqHc9?!+~*{#(o)}Oa1R?Xhr36UX;h=*dB(fcH;2@W`OQo+Vi z9rOc`iI+|nGZiOG`iBp6?5Cd!YyRgN`@{ENBguaOKkxSttuFDC^maEY$DX3W0#w3< zXa$I`K%*UD9KHzHs&xfe+pKvaY7tar2;ON) zErpFkA=X~i| zhbM(K^>+B}QAVnnM;|3tMRFlJZG!H(QP#?G%T?6&_mxBvuBvIryq8iiuLT!JInkEA z=x_9lss7|?`J?pd{{<-d`={PiDC16wa2h7PPD}e~LYw!O5|mLUDpM6#@q%*|{q5r% zb{=9jN>{i}qnNstGP$*@Vr|pftbn-CMvtNTsyv@>v$94)kT%Ba5T_H0)z`IIEjC-b zaKE*dQEt;lQkExci2+;vD%QdJRl$+j{BxP4te{_+?TKe0Iv@RG(+lZcdE1+D9?uUxaV?%#W#4cWaWX%&S zH7kbV(yJxb@)0|hd_-MLY?t<~r-drq2~!9(Y^S5Dbtoa?TBilHF_cyGedxB(@}Y1O zKLpH?33OYq~DuXppzNB^3i=sR_3plEe6D8#}uA^_H*m}+akmim>=uuff>Lo3B=o9xBXW=g8^xK?U@5z`K}@b zFvZ)s$I}CYAcmmjt@Rtq(G%k90tGpYD=2=1T5^2P^R(azgn5;FI<7ES`Ik{1XOU`O z9Y(uMyZjUg5+D$)oX_F{NCZkND~UkE z(l~6>yJ<^3h5-Af<>dky8iYNSjKRSs$hXQZcj@&m5Q~S>47y$E6E=&W(T}BCl`T~)w7uJ zts8eXuU#6qJ{$!uuY}_V=1ex{dd1@+_Gx@XF105k{Z?;^dTtw(b1|hK3X+@(h~RL> zjlR*MFMl042ldCVRyngRofbOoTJuudqC3uaAbaP|Qdfa1sv{QpUo< zXjG_OP=dy3qiVoTBdD)8#D@-39~-G3#Dflp2S$0hw*!C+wVqrSvJtuK5pO|Pgdd%C z`J|$uJ%zLyD{f^%Dvzd#a!ZRvfGGH!nq%Z(h836+ldp%;50#O$T4WQvF^Omso#JTX z+(|P`i7usX!ixgAUQ?|B<$^}A*VK5S#gI4=*FqD*x<;v(-WUx26ioJxN-qlh?XoHL z_*MYyejt?EAs9kb$ejo;n2i@B!ik!J-d0B2M#M~is^DZz7UQs7CLhyLZ7E)@g=`Dr z@#0kJ)|`qeY+7#(1XV1HDjt39P<}~1Ji-OKlnFzK-T|zI=@RgG;N+71T)b0S{ z%ZN%kP2_VGtmm$9I8(8dBzy=6g!-laRhXUTGpO3lk-j3T1D9N8K6&9b5wzuwhDL2f z(^3!VL(xjWct~t$r?V@@1lIP=+C|UyJzfdosE~W%unQ*wblB)3aWp>$PFt>|04O}I zQ5ecXulUw@?dYrs-_+Kzhu(l=O#PBF6rxND`dWyW<|m{Vh$sx^Yz0sg{eTKW`dSf{ z(~X1NGy+#oN%U=K!6Ef{PNe5CLKVy~@p&x$WS#(GF2@DwBRr@rIfaeN)z3IH@>+Oy zD0q1`#|L*Ap4<*HkfGqD9A)1JM~Y2T1{1 z@FylmfC0;T)vSmS;x3POL~1gMPz>QqXhWxe4M`d zqNx?95SaI^*S8H^tPB0-{v@h_M6#64E6rs3``(Kp6fRxDQ}s}q=x4ay)&wA=LL<9^ z@wsZx6)xJ-t%ZUk#bzC)ENPW0<`)xdtj4b>4FXI zs%KUh#)H++nT2S-w$Sw`f(Q%Z=|sWJ+_m6{6vq*eZ>v?2Lugl#S#*SyV$j(u+KQLp zAB*v(JU5q&U??J%3Xb8xo({E%4;1tlKjdoqA97Q_`S)-ws!{+}y$i)1Q z$D@SI4UUA{wgVR zPNLS}rekUf`{y4$!u`AW_$|B3ZZf@}sBd*xgRRBs-#a(aj{dL_+oU%T zEp?}jaG|nhG#7`GgZ?_bkH(FM0$3N|LJvCQpVqOqC6H@E^*3w9t`0u)2muTsoB4bguy1};nd1isZUl;cP^G>xr?#68z5YC#;G|)z^h;lrRogO- z%ALCe(5$Er4OmL7Lx~1$CK_4M`3ZRZ4UB!o+{Z+~&+oo(jNeK)t`HcwNWiwQY--OctohU&_XALmvRAY9ThQkO+a`%Ww!& ztZrR9bt#Rb!6`Tk@(62Lb_dYE(KeeePwqkR{fZH70RIVd9%WEMVg= z^dMaBkBE;FPivxJp7vf8xnQqgVbn+cU7I^ZAuQGb*Dy#Fqb<;B&e_(Tw<7DM<$i_q z1W&Jt@FRK*>q`4-`M>};VJ5S1Ju%ev4Y%kgzHtV?x=zc*`>szC;e57!_zGg~_-%-_ zIgNj(j-_L?Om&zRf@rnh+D7yeDq?)Sn|}UZzMr5`GWp0wsSuSn3q^AbvYNjAwPap6 z#nX)>@@0mfbwVwO|5 zFvsAcHx#Xrio8tVj+bQRLZPx)t7K~17%?DDydpQZqKq27p;>j-)3g(}L2Ds#tR?hx ziU~9-;zWT=GuQH6ar0!@hbYickkd{=Hbv>URZ8H}H4vQ1ViH-%%F~LyS3%XfX;!*_ zyXC_fe>{e=j*@EcAV^3{Xu>~BqMBVO`3vDIU3s)7YhPcPOlY)V^ z1Ns>5;8P2;A^KPBc&modZ^mZu1%Se2hM9~z&0<^Pf~uAqy}JV$T(e$JS;Y-hSxhX& zC!r;aZ-8j;G|sNah^-M%BRE^E)}(a!_yNcM{D<5JKhui;26=3HT$J{wV(eUW*;>J? zMw_lCbwm4mq(w^^MA8v69h80Yp8^OyjKW!_f-(ALXyx0;MLtIp zv;kO$nDfy!*8DF$=xuO6zmZK;#B&Ny#XL&iuGY)e6t7d?Nrj1_Ec*`Fnyf_4KVtT` z5Bf|KD|NT{fF|*%uF3bMJ_g}=MK^^91w%cBJz{vvDzx_$O{teFQwfOrI{Rpcnam9& zhz2}EzeU=RPE48=`C594-(s?Q&8zM06H;ZVq2q}`*c63plqAzzf9hFsD&jB-P5S01 z&=QPtkJ7GaJ3#OT;nH@9(l3V$L_xY6vu(Gl` zBXW1?x(wn-Zz(keIA?`?X}#R9u&eK#qszW>{TLyCw9@`>Bjh(fef>LTQ6O3{o21#| zl6{`_3W8t?_2%{^`SztZd{i{oj6X$3Mf;DIAFXk-x6*16UEG02k%0utd&{0Xx^@b= z56y<03DD;;Z_#k>r<5iuVr@vM`s>RrJ2P@`ZnqR1(Ns3^FSqO;jM#qmUrq<_%L;LX z^BMKk?GRPo2$$$9IM&%(#U8a0Zdw!=5WD4E+F=Zf#GPImNeNE7sbrtj>CdwWAc{5# zUSF38l!z}+8py9Q9e3i>@ zw1n)_D374Rs<_V^@?1pJYu@mjjBxu+))lk(L3VghN*Wis6>T*3YRPPDocs7 z;TtCWqK|Hx-b*C);OJ)fH+g%m;BBp2!09hn@OW&ZIsab4`}Ycdcrgt9hE49ktl3g? z5;8aNi!+Bg3##ZI-J~sGU}`~WqQ5uraqpSEPx|cxjlf0Yi-^H{1COwV;DgweupZ-1 ztEduVq)M+uOkR{iD*XHK?+v_Gcl?_h_+BDaxvxmfboQ?|@R#e=x0-`$>E#3GV^=>MsUKs)ihfnVIfJ9_X$>xoF0nD$pU z@Y)zRXTzKd9iHQ5u-3;L_@DjkzfR14_Ex7WB|r3yhAz&9vb(shWkOKrtce&Okdq6c zYE_7lD%4dGM0bRKJwY76UIA;N?#yV5hqP>k}tHkLTTteH%e%;ZlJVO*Hk?E{OZ5yo!j3 z-d$lmKt`{s@6cnrfVK*x38nJ>rRh3mHH0Aqc_hHe>KEGk$G zM(^*1ny|W3&ap7JcG&cG@VXO5(4er@G!|!GTrdcpR$M^Zcn#i>6BL!Y+7URX6YQ-J zTqD5QuO98Wackmm>O#YCUfK`Sh~8+Wt}~hYI+b&^*c&%K+F$~jR(TX)Vb>0?nc$$x z?z%Y(ne;gaI*V$@Nu<|$2&UX#{J!B^g-gZ_Yeinyo%D>DFi%eA&`c9tpl3%5#@b$+ zFbz~h2@K0!1wOGd=8g(;&b+iIgF9F3NGXStW6RnpKcQk8YvPbSM_!!qXm4n3yX&N1 z=5E@3Q7A@_f_FD7!N3hUjAqIA10@J8N*n?^)%0nCe!~~5g>kOCeK>Uf*@q^JPwYK@ z`ETF-@&EkKU;X+|e{1KU$Wk6oE+gLRras#)mv1cZV?org2-7yF5g=eGtf5GupA()x z#Oo;{G>UJ^Z~|Ws&aT{Y8*_%81RaeCY|u6gTG3c*(gxgyf6BpSY`*b=Q@ZBTS*XRk z{-7!TGzIMsF2_IK0{D+?U%nUuh~Ag4N1FDd?2JtNqaTr zzk$)!v0cI`6Dao7y);?(tD)q0Y%!!45YLjbM<*XK0S#A5iKEwJq70{K^VM7Ycn9ET zZ^6NWZOTEDqkDv`nnD()N6L0(6q?CUeMU1>DQc8P7wIBG9M}PgP#HgPwpnxuB00jv zDq>k-^|mZn`f-W-~gf{(8eY7@JixT8(n)3mZhfQ7r8*xlr`nyV68 zX+*ml3aIYzNsniz;mCl7PaK*Ma#jiw$`iYo8t)6?Z4MtrwXQUpc%s>@O#(a?$5Vuj%e7>!UPC_I7aY@HHa-&Ek#c?5DmK1-B}FF!=N2X1Jk&zNQ`7U2DvGo!Z>(% zaWZ^+`fM6I)9O9z_a~_W2E+ zFKD7_oE2oeo0-WdUK=C_QxB~@#BrRDN;aaSOomP4l};4*a5ijhE+L)BTFqKv0>TQF zdK!PM+|DkxK&QhhoS7=*2I|Ap#G{vKxMM^vWEH3#HqGQ|=oHmRpR-;{YIpF|jbDn0-?*orY5~er3n? z6Qd(ncDtIXNl;9*cfL`L3SUT^C#=K|;o?V`#XkxcAQLx*!&}rBE|F(SZLU|0q@PCd zI-id?(`_Bn=}VD7t3=W2#g5|HiU3+7E!j)y__WNWziCEtS+Mu^)anG~gpSF@EudN| zSGG3KeNa_EjXy4dTcML86k^ml&f9)~UjOu?@_V1X+{`ZAMelPPEz<&0j2)qlur6D# zlhb9K?(DhaK#}%d8Sm4ms8*asrr*@T~dlxnCs4ZOfj_Q49;N`u{!FL=ir>uB`moj)`9fm>0d>E7ZRzO z+p{T}J(h|p+Dt39d&+7W)wQ-09X%b##xZ$aZRb8c`UK4NM|vGax-} z%fgeRMhfu)!V9|vftG+R>Jb%!QMI`@_tM%!h^CH$em@rumHM8o5-OKV|SCn{hIvIa@x&mHGm8rK5-cqqBJy9v)t z!9e>K`6M&ELPuCTX@bOk@WQrA>t2u)5hZ<&;>l}@ffyq|PPy;vdHV52&%ZGS<0cfd z#EDaA70y8kMx%WCpf!Oy#?5tb3L&FaU18DGx!{0`^dszec|ELnfJm=6`D@zACE^xU zA2e%fy539ai0!K|cqp&=Upxf3l{lYUxgRI$*eHE~(muj7<63w`xG&e*99o!=&V-YJ zVjn)L?zWE`Z*j+mcGqve{ZSnD4@RMOPw^5;iK3gz*e|O@w|o`AQNv-Cv|cK#4%tyu z9PAZDBXE!sq2(g7hpa4sSQoWqQ?DJ&&gK!>7Lrp)&GZ@wsM6oi_28x|znOl`rx#x* zw~t+L$+$jgttx9=?1La&J2$;NwNe|ewLS%eu;8#6V1q^t-UM!2L6}N`>BNiI3MElE z6KOG5YSx3rQ$wB)P2IjvJUDLr&GZ-a3Q#AiVO{(ldYo3WwX}OSXn=qXGgqWq#|qT84zK=U6*JC-Af_>ZE|G}J%vj+}^ zhqFAuYsNOhsTHfuDF`(}Jx5Y@P8z0bY78+@>YCz5a7s9SQr}itv#X;NmExUKc6BJ& ziof+pe~1&6!t-07eq&g#msgO2K5?mwqT3d8P(V;Nj#yHK5I`v+mP`9rR51hV7P2cg z_e0#8HbC0FseT`qVnY>m^cdGBe0CJD(W88aYr8#26vIm`&T3cD!_*FP=K#dN- zkJNW0b6r%HyS{1A(huqjw)jL7D?P*^%IPU?P_Pw(3a=`jRx#I=mr%Q)mlD;!91>9a zqUV)xy^W~Jfreq!AP6vuADVJ~FwXt<$6I>;!36p*x91D}gYBNW1g>!X26#rTRJ~VS zltY0?6E{v1ZlG1Z4oV3$zJq2J?Kr)@qNUQF{&K#>%cRo%!xcwWxY(-LRFiw8eH@&QWe)KPa)nSv~>AX&Raj+-_eH>`o1 zu%n_YLnWcjn1_p-bL2nEPDLqra2^!jGJxwmv1hU7Jq#6Iy~iPhW<*#8lmROzg>1{u;McoUODb4DlZJxJJVxR)wVQ{R$|T(3^JYdWJY( z>wWgzM70FqlTmC#SP~g=B9U{?687Ty(TWlFxP8bR2~e#ua2leh_`-s5o&&)^=D@68ip8%xj&e#3 zbYMh1g1BMLv`va9Hrz?4f*6s0YnqUfQP#I~dAnowr$6aE&&63&ljVw#O1(rc6pcj? zci1Jbnnq3yKZ#|OCB&HBI;z5L*a}CJ6L6afvVXZN>6Lk20>Q*QoOO$Ol-@y zrIgUU*0G(Ea7}%fEmx=IH1G}=JVebT9*b7mJob1?Z7ImQPzo)I*jc~pX<||QMvPQ> zSkM9SF*@z62FxPH=)^uuQUY?dzcvg*m_}U9KbCwHTk3($Oc|xP?XHwOh+ZmtCweG2 z(riz!R*X&L9G4a4fVpqHE`?MK%Hm21;MZjyQMsoagzzffAZneuvcH{IeSB7444dlP ztS8Q+(WrHW)B7+Jy&2k~*lN)RNfYd8>GidvbJlwKfl!9WAzpjhc)_9(A8yuo-iXtfmPrC8}a8_^?N zQ(_h2sg$1grFUibY(aLr(r6r8-1IpfF4JLw7zZ9m_#-Q~AsPWtK!$OF>Gg3pWn)ye zvZ%ms<~*ooi?mSEo0tgJk5_28-}8SBbi6hQ(P@+5Jb>_4B_+^2aiEHSDfsA>won*; zX@d&7NvYSV;1%Hs`F5wE3$@RBTRo<}<=h9>z(DJ&Y4U<$4<*tGQ_J}_o*@mYoz>B| zyM+EYixE-oM6JUM6;PXl=;j>?P9Ltk!e3g2T8hS9PoQL0AW*QnyYNh*01mX>q4-RR zkib!YHd^&a@Gj0L^{`XBw9>5X1aq~z1EuSZN=dJTk`I5~j^b*i4MpXFwr9Z9`N6ls z_C`sI7XwSUwNA+VYV9G;Q^LY%${c$TtOt@OPY04|I@eSP(^HgV~(v)U&adO=&eq4UOh+|9yuDZL5*=8hG|q(r@LK#%Ci*C^|oAHCuE8^HA*jEj;| zPWzsn@ZbBQTO2jT_t9Oi3OzAWkJiu2Q%=XjD2X1crHlJ_t;1fIJyGz(bwue2qeUdX zggPHubsmu9O*1k5+QRq;EsyBgE0LIW(x9wGwQtW^?x|V#`a>>JHLKw|Im-BOo%PLs zm4foJ=SA7lsc%C_SCmg{?5WiAULdN|(jcLrHY^>WS!*9@A+Olf4lG5+875a1RMBeb zPH!%IYF%L{sR@t{>_`L-PK;*cS%tltnGUGt55pewvpl78Y6daT^@H0sr1=!=gY+^(nc9 zu0nrBgRL2@**9(!v)ubYX_@%%*Fw}_w2$-mk8Y0tW9q*9)1UwNwfF)jvT4?Yb$IP7 zA)DEfVr6Lhr=B>hMK-Bs{jq|AcG2s$jgnEkj!>Gl{!7REdl9wpEi@F*-z6&qj$N2W z?5FA2HC**8)6=(l82ovjRs<{R4ER29Etj(89!XOZayO?I1GTD-)-=ruNKhmF9Hj=0 zf_P#Kjjo(s8Z8RzNm|*@Wi!nm{ar<&~E0>|{oP?k#LsbktP@NWtq)R0Vawg>K zf_O_)XfYhQrYBvkC_yW9JX{Y84i$ZUGwBGs<$c%YqvLkW4AwI2=ye#kD8w}_Y^_pZ z8ILr5$pvxOM+92CGSGX1!C$v%4r(mRQ|j61htWfDbEo7EP}k$58j5DWy)iofqA7u; zrdR}gLL_R5@Pu)GELi&Ho3EGd8+N{Cwf|u8j*vMkzR#i8psF}00cs@zt+1134$M1Q zG{Vj#-4pj~q%=-@AnqhM!g&*rNALHlY@gU{bOhwK5ToEYr$+R}PwKWiM;(THY@yi4 zm5f##xwD+KlWG96*0*@B_?_cyUiU=wO>$l$oBg8HP(fk!x2#voNIAG_x&l%u!3 z^oPO{m3rbOlCqGH4ug^%PoHWtVoDa4>EIO8S&SSEhkS|y*c#&2Vz~Gf;J6UF!PMwf%)qZpbM-iD-7Q2oXeAa# z`eI8^xFiyK!PqNcWJJAS7cXsDI5SVol4?rIy=@(QD}jxAQ~j^7mwwK_MZJv^4h6eH zvQUJ=IIlE(sT8~2cKf(#Dh;?WLMWn9Boz&!WlzNIb=ncxK}cIr5BZyjft}XlR!TUg zuxk}$R@Qet>Dsm(sN;6H|J_+Lnf5&l5?(fYn~0JZu_jlJDiMl?}{Mv zrI?Z6U!hTkkrhQ(z9^Nc>6{J=t#T;lp3`1u)rvje20Dk?4uwv^h`JRk*iCypZrWdM z-=F>{a`^6d-~aaazx!JF2LZJhtX7VaH26(JR-h-S`QS1GN=0O%uGlM*M)XnV+Fd=| z#BQ*Qrn-T38r9)2!;<}lsi|eWIcCQ|k}kXtpzfzXdTjgmPm7+CKC=Y6uPBU+%G;Y$ z?b^hxU)v(2>=mTBQ_B`TgE*B+6>iw2TuCUjZyN`NdN+n($~Y8sa6S7(oNOjXN$9c( zvQV2)g^`B#EM=uiY%_Y3O>Ib+3f4s$iEg367U`JvUBQ|jbl8(1vDX?F;M53L(f(Ta zZ}I#7H&gecN1%T{7}f7=xy?deYx95HEyQzx&FDThuHyMeQ7i)WR%wh<4Lz$Ei?F=l zPsPmB`gSS|Z5Knit>*}rzKk;zuT9lBH4Xb!EV>2%L@qZOS81hDZ9=^ST+s`3-DuZc z9`{d&o|B^~OV2oND*q9dILctFkK%jPV(*iJAVzxGx3;pxO~d6S{GuE<@v0Qkrucn( zqJBcW3ArRxCAJCniwA>_`dz=k6z~g{oHR%NZ$J~!7{EV5!ae_y%L19mCpVOWt_f= z2Vs&eesaz$T=#(|u9$%v*STq`pwy*-VdlVN=tNpvsppDFKbNRPdIk-!+xq#VFKd(^ zNvtBql(X?Gw2Iz>=woNS{Hgyt&;H>ZfAmiO@85AG4L_v_KG7nH2cTKVQ&1Ovp+UN| zsAmzsrrN^qa~O2%rV9uDYMc?^3!4<^U3uF&u!HQU;Q;9+fsV2Ti?S2bdW$*;LmsKI zVkX)!c*qFl$5N6dwkwLcw`;Sp&NL3=>zMY^{7Zm#DrUpX#KjPvg1zixRutMUZ#QVZDdQ`b zMg`Ou+J{@bQskAwqoonO`Qn(*646^BG2Q*(kRL%{M6Mz$Pe|hwpz7EqBRJ=uK!;Su zkGdi%qe&6+??ONaG-3!54TSBmMH-K=&Kd}npti@!pxK*pTbtvBe>B!6lg6K8Ji8A1 z6Ssd4p&GZ)W()Etj%#_JqCLwBmW%w;jI*9Ee$9fz@hHXh{b=QCpKU5+uk>gvwM?~| zQ1wM+;BI?mi@SQB-5Auvn)cvvDpcaSg;*Z7Zufh(Y2HI34#Y*?FzmxzMDNr%iFne@ zRpc1QCO9%OLlmIV@YCk#Yo46n#_G=W6@3d0-T--;W&ypa*57RWZAY6&dJ&@+A0uDFbYz z+7uNPlX`DMoCVcylnDc!@rZQ^27K67pO#>J`$J1l)M^jZ3((JL3eZ)Qlyx$>q{igF zQg~5rEFhJBP;g+(t{RVs6DYnG;>3c@$dGu-1QbXv?nM3J7R= zs1%@a6wsEP+IxDcO^@=3#@AV${@;i_|Fws{huo3!VM zmAjRjAYX7KH!4dk)_R%(qc^b@J>6T~0x z6|De}j!>U~=3GE6a79WtEJ{f>JmPLo!sJ_@uf#i((XPeGL5y_JJbsjYYfW(7d}pdu z8|FjQw?(e@F732>(rpnLOMEgY{?%rA6hsfSu{aft+*eLz9lFw$AhnO8i~N7VyR(7j*8wnSvbn z((3F8SzYTE1;mkk=A~7fU}jv#mGNl7Yw9LO9}YtQI)6{!IgkmL62u(lRok8vTi^M4 z`XNj?v7=f0wMOGAK=8+*C4lgXvKVXR!&>|l$bK55S&Wv3XUZ-(EHm~>T(yF+X28>Z zAho2;h<>)#szySw$vSRhE)X3oD*zo*k0eg zG=H_M2u8iyDeixSJsJxE5h}$*O*s_?hNh8fDLqiq&`Nrcr$B>-(jcS!t*oa2gOB6D zY!skp9mNmMRt+Dg#L*WcmfkX_DiZ`Vv^5`us*nJti$l<4UW&efn5505Ma!*X=yxs?qI^H$gswE^ z4h-ECPaJn3w|20eH_!xh+Q-Dpid#1BbdxsI);}?rLOBohbmo{jTgCb8v{)Rs-}Ku= zN|KPNqE7y8>C~3HnNY>A%^k3F*OLwt@W#_>MbGT3_4J8y5*&lip!dX-q3YN!$ zmK1j@hAV?bf3B$xl~E^(9|an;0v(ze-+OkO^V^`!uAg;^ zQSs5dz5Q?I68=7fjYCsz)gFH}-M0t@1 zhTyb!^cmVz(P|Ilw5VM}w}v7}XeCZ$>|F9xa??-F%uOCQ6- zQ3$P_bmgk;n>7k;P_86Wa(JzLLA`6atp`xbab7QW(!PtvOoy1ZOdqLH3__zA(ON-2 zfk*Bpd!`2mu?b>7wQKqut!LrKtktB|^(YW&y!D@&2u|v8i*yZN2PhGhG-AW_3^UFt=i&yZ=2r=n3fsftqMpAORikDAfhdeOkI3U870t4SOmHd`5r?pn=odUVcwE6bzf-|EIUg7R&3mcIuX0aUOGFO3fU_!l~iS?Q`B1%|X(h3qSN6Jbg(-t<+ z$`k;>SB>tqP+iSjI+*T|KoHTsDSN>a1ym@eRz*~`{kd^G#*VwZr>Pl4W{{p}p3D2L zf29iV&ZOQNUh5*Opj*%Bi3SL&Dv=p+o~}m{kU;_P^kM}SO>@dx3`L13Nk)_>I^A0V z&h#GYIKh@j+@cHto!YBWj;Zu-U=ctA{jHx9ZVqvy?){Q!VvlI1z?9?2rh`+p$QK@a zVjsy~T$5;+Gd>M^4Dwk=l>U?kbm!M^VV#GP{o|z_B0Au^>9j6 zN#R7PA0)wT#6S%hO>(5Z5~yjoaNv4OA=6#fcCVQ2RTD>_xbrCiBeH6zQKaIKG*+ z8d{}RcnxveSF2u_!tbk{3&E~Q4f)Y}${V(tZ@)OnRw%$0y@^VvSL048ik$JbCSZ(b zykJ(xbN0Z8b84_?eZ8sbn3u6wCZfUiXwPUV3P$89ysUMA28Phij&%nua>0OwkAZ>i zzTU3;MqWGM>X!tFsSut#s3LMak?R)+_ln`7cPM(DwiUER;{sERsDL26IZ&l`lw4_R z<4&xUxjO9|Wmyq1bG&^F0vhEJYVxVvr)azOqv0lWdhJ^z3%60?n3w^SD&lPn0=oN{ zWMT1Z4oPbT#|hJ!;d{s6{)=M;4I>6!1!c+)#O3EdPNM(u$`ph_a89A%(Ljgnn|NhM zsjk~`U7UAa=uXw!YXZbGi(*gfPp}Uvc!RDqC!|2q5$C1(W!Z93OO?1Cx@iR*KnR7q zpl=eXy~M%}?3r%swb=wl(*1T7P;6u0dmS8IZvcK9AzgPXD{7|~1kpxx2X)g0yMy&M z$bnQ9BeXd%j9We$ZWT}hC05eisklBVN*OI5dX7=K49k&%N4o@3>m{lM8Vc%RQVYx&Aao<{k)aDvu`v5!VX`w?|w4_H!a6F?In-!Sl+~xa@SC5%p1R10i5+%seQiZSRbPUr zkd^^OPYrVw&baA%t6X3^PkHElAn2pDt2kDj!5zy8vRG=iu68*Bh2t3gMLPNrge0kd zvQ-OHd5Zevz!kGbV4;cc&}9yS;HgrBvqYyVs)sgE`SvrhF;);`v7LlBIpyecIMkQtVuQ0c!-=%>X-$4Qu0S3PDa3qVgmncVi(p@+hDIQ;A_%P9th{&jOA8Oc ziuXDq%MOOAHOaS8Dn58&_eFra(IuBU=?oDYGjTlOTi7zH23)z zXHxUPlnO_t@t_E)*%nieP{Er8i1lh1NCtIk$n)$pMBa|k8110b1^9i@fwO5bM+bah z8~;qyvDD=G)G#1)aVzbNKKwBsLt|Rt{9m{NRynT#{o&Pr_%u8#LZXdpUbAxMPL+Ber`BWN+S&&{!Ss_bIRi(xK|zmKMRJTo7!PzasciLJ3Lre?-l`XiTd+nmG!zoA=MH3Ficw;SBMT{-r#rs`5 z;k>!h>k&HzVYM5Fppm=It-Zr-MeqtC7p9G% z(27MD-!Y9taPuiNOCmahPcb!y z9t{)nA7^mMZ%gCDLlxwB)iJq_T^;R3I-XzgKkeP}nvLP0vR(r(dN`g0^PoY$``vmj z-P4W(0F3+Q9z38nx>y#9Dpb=%j!lHPtPw?`{Pd*PH5A`p880o9F%Bg;%mB+k`T6bt zQToypC>y8gUW2x%b0rceIFiklmcWy^%e;}>oq1?N3AQJX+54c za`bO=dH-4|q&O{4yh6=g!~NsX`tcl>qzyAUj3D? zefP^>e*dRG{r->t=O@4Y{r~#@x8MKy>m%Pk{`TL0_1#bYRpIMTKl#mfebnB(SoMD@ycr47LJ*? zGMQ0}^C@$+aGv5Z+8a}Re4=zx%X1d)k{=xR&e03UxR}Z&<9fPtZ`)_boyS!0+4LJz zZ#B1IJCOo*OA%+M3=114g|mqv(QT}Dc`T?)rMJRJ@cc9vmF!KtE!&YfZy8|=jqXWt zDedTV4QW9Mw6rNCsw6pDe~lnTAFkI39RUyhM6|RhV^VU)OS}H+a0=oPDFFhQv{Lb7 z!?}L7NO6uiuBctE8P&&XH=>gf-OGU zzOg|u5S6JhLE8g@7Hu#KUV$Rr(NN9w#X+FvVq~EYr%33W2MM(8xN#8>q>WXC`ejuB+*Fhm-ZpUr{Iwlp)d&hNDH3T<9SZ;S z$_hCu(@gH{mGFd^3J6en@628!ZRgNPVtx@_24SN&h*pmVuVX2ACPi+?HB+R{Z(Jo{ zBj5!aEcs>4>+PM#X1i%5mp_Cv&KQ$MHE}^Gnj)+`2FKQQ>G5(G7SDSV!|`my(i6#{ zt?+)_ua%WnXH~-AuOi!xpOoeo*N$g#%EPss6-Ihu=|~X8a^YThP(+@p&GnhnQB$L2 z03_m6xzvY`rauDafwDf0CsA2Nt3IY9#aR?qZv>&KN6~DPvP6aGjUGr}=*R7=bB)rm zpiDu^m^;@a=#jTDeBQoUK@vfFWu_~8@wugdsBz>>M=>4k2s-f*K(A?ca~>jm_np7G zV*cs3?-lc31K#ic{g=P_{y&f3z9`85>eqkxvm(u3f(qvdThl@XyGHWS0=vI>CB8Lr zNNZNa#oe)}}V%O46*p`1ghPGWN{xpd?IW&X>6clf?ag_S$J`^DFdtUcNnfSsB z9YL(2&58b012k!P5rVCQ8U;!ZrXp*qt!1tAjOM&>Q-6;gM4ver;P_*s7^NXTDQe+< zFY1%@t)6ZYUra%+!YE6h&IpbuE=CtmEqPQmwSxo*G_GPvE@hN16Kq&nb7*{pz&K7w z+VG*G&dI%v65CY|7tN+x>*PQWJIp2csNjhoq8IbJ=|thYXK~7$0-bFr%h36L>@0W+ zK0ro5Y@Kp6!HQW<5etDp#XMNCwU&VJc$>14kEoNL?s#I??lj43uiVJa3y6DMea+X4 z;1{1tVg09#!1sUt<9Gl1yYK$|Z{Pjox8MDLzy8f{e*dTcSQ4+F0PP8W(d#4_1Q?;n zvR#PeT(t%8p{WTaq88Aiq)bxrP09E$esz(Q#I2-4G6!=(koQ^v&G3k=UZwJvD%5QU zJ|h7k4UfUoda-jr9EqQ;BsPh9WnT*^u{Q(d->pvR{2uqm95 zr7uuoq54)qbH`V9Nbs1YQvV+V7eRBtwX1kaxea1EZi|VVgq!;2m|gRd>oiRsTNpp0?q`T$(MOw^sL_KLL4JaMcM7~e&afG5krWsrf*%Phn9jIQt z>y9XF++|e-ZJgusg9cDpuB_y=kd}o@yZvFnzx|s5{~td*-~w$MpBC*I4|E598RxuW z&23-d_`+#F0*-bCs*`NjM(L5Z<)XQ8F%K>*DmO%STEA|NwFMxFp-PrJ3xfsaL*xwb z{Lnx;bJ(5fGQ8+kL`bd^0Wo{+D|5}aaxP)Hd2w&J5icCp(FvuPd60CE`E;G*2_sjL{e zn?c;XEE9w|yRMlFnzbpFLs)4$ z{zgDkgKTmB_%M0j{LSS3^AArR5-`d`0#VxR_v@d94Cu-L-$X(c%n%Al`X6y$7b8Vk zm_lGGSVPf{*Lt-IE0oM>)|6a)^rkfEKGpzZW<&zAr(TzO8+}L=g5xv!JV7*vA9+Ci zNfE|{RytbHJ-n;KqlxP+=E7c|DR3w#gpIFX=%bg=l?JKm(&S_Ko8yR=*%rlcVdwVT zacbffGU*sTkD@(Y-lkv;pA*|GG>WA4)?XAsK0T{YLK5HgZ}8Bc=IQ`w%BmbgY^_Xp zzD@JEG6mdG95O)xcpd8%^vawaL~!dC6JFY%;lM{`?Ojl(z56N9uis!dYPaUM0t&HF z4O@y2_;j2a@@!qw7#*2T#E>3A8H7m$`q4qLZ&#UjeQFf%rWmCEI_`P~v{8(nK)5Pj;Y8z=AsMn6-!op%*fJ3u7Kh?6^v0rjKCs=0wFOEMC`k5lDI>6*m`dr%6hcT z#E=pNXrfAr^O?eO?Fu7wsgRdjJGa%E=Kfs4Sp-?|m{5$P z3mUXJ*$~OZZnbgT74<{c6-)|RdOHeZ=+}g2wDgiTkbY%ok`?Gh{I>Xw(~}5)h(p9S zPYzBHS+;tkWXrp25@-JGD6Q_O&A1h1ky7bO^cD%Sn|?zrV0va04HN6H-gSso2rO#% z6^ci+I~K!mT3p?Nl2#sX!@SZ=(Yn-XpHCYd*&`u5!8*Uq7Ss_f4FL4_Ej1%rS2Yc` zq}a;g6jQa?BcO$9YV_@G?}%5w77Yq#ns#OVb&k83zdf*a>PPdio!l>?{6gqjrOY+5O62q0qRIvtE|FqD9359f_ikD?6{-<87`B+6IBs3&tmE%bRdidZHcL@$$t+IwQ>Mv-ySLylCJ zww1DKfe?xtC(F^RKo|#=W*ta=8HbivSb%8(WvWz=&JyaVr)|kY(r=>A@Ctwe=3}5I z;17rrma0XZQu+D5UXUI%H{qt~wBPBv?)}0K5k9enp=TfV5wAY>CE)E?Fs=znV+@UN zh2CCkCS3c99Che*6bWB7nqnSNgsg;R57Vee213Nj#pcDu2@b$QCa6gTRGC6^+Mq-H z0|ku|911^uDtTEP8Qm)gU#Qs=nVuj@tXsH|9(RkiHWb&isNVv41f#xQCZ8+veEa!A z`6o)m(Xg%8-Ez4sRk2#LcVC;*prS+~R9o+>+$iRxCORRqlJ8f~!X48;^~yT+o;z`vk+=wJIk(5-{-X`KEoZzKe(utt`xIOdUd>v7`a-cZ<*DZ$MIU>%CR#h8w%K&tV;WN0bomT@t*G_9aXHk= zyU{FHGNWo}iQNdy_i>AGW#k!#*4K^Nz~TUbd&%MfuklbMzX1}OTomUOB7u{5jlLBo z>>$dt^xg`G=&f?=^kJ$#Ex`NcN2Y2kpm5wIlB>i&jjvT3lM?Zz?~ae6$Gj(gYXCn$z`wc@vKE{<&C>-7 z#XXhQ1w@pY#G$&Ta%fwMYBe!X1yjYl3mr~jFijVodQ(VRN%~0Z1VbC_S7$gW>YyVi z&}TlC*J$$C*pmZzw1-737V&o(laDKFkS)~_rzp9SN6W9=_{!CwNt)iZs`X)nCWT3& zsEp^W^mm6orf($P75u~=$6LahQcrYqSo{};*P#? zH4{-OA@K;iVC-mS)gHzSL{M&>n34)AXyNujjtL(5M-hoOlr99pvr>>qxKg>l$)zAk zadpFmz{DtfPISQCI)KD2eONM|c7ObJcmMYP{PEY{{o(h&`tGalk2!qdj9d(^*U;%f zp`FJCqhQw(;xM8CRdazjFy>r4UtHkblVWP*rf>jurbWXAVp$j&^!sXxcXT?8P1z}x zIJ??BUDIC$1msI(B4Mu%if{`Em7TaQ410kX&tPw}oDspEX2U9Y$b)pzhq>;lkt){~+dh_?r!nt!4VQ;4(84G&N9{ZSXAFfk?n8Y57;hWD& zds?%i8VXJwK@w4crgs;^b#zQ8gg4Aau}PRj%vozfOg;)w)@9nTLW|xiz0jAN(;vcb zS_R1?>G+;4&JgKm!DPbjMk9a5?n*qZ6+}WEGPL*bV!#KdG0nM*^n7VuI3hYD#Ot|9 z5{i3-*bENd+BsZ(Vc~k8V!^%aqrHX@Gb8mgl^W1mw3LvEn1y()>!RLD>qv9RQDo6> z7BHTbJ|_zLnQ%*@RUk_oAPx$y1+nexC-g*b{HgC|`*2bj;}`j#14{>bI&UX?$DM)$)9RgGiHoj1tw@RHA9!+lv(8wjtJOw zhD-d0l4|9?IMN}ojtue8HbyI6!xe>E#F()q;Jjp&%^A~){qRt55^pKo(|#REe)QM4BS7_X(E0EauZLj7|Dv>7%EZWggZVUV{iDPcl_JH594*%GGl zh-!r=BdwP>K`4TmDPw1f&h6A@)OHYB5=24;N&NqOdxh|GdoDpbTXPKO#U+Hf<{_dK zr*cK{0z-QFa^i9I-g>xc$CHyeC*|r0MvH1RN|}{}nn3gc(zPf#N1C85%H6a_Thy~6 z`o64HJ(Ti=5IUtTT8wCM=cUXK#DCBBX|Bt-ht#A@4r+G7R}7YzKfa;yYUdu4GAwF8 z-E|bau^XB?f=$>HDc8`HEil=HC#M*hA^|$4fOh1k&9)IY_&Xk^)JMQB zc#4PW-#z!o8VKxPi_L*+X2Dw_f0Mpd${HFebGOn)#H{7BqBR~`D<4=w7sDgL2E zeIb+|CK{(2LxI&tKuHshuKh0k?5On9LB8~Ml%*Wab`3#&odlK1l533;-Z-#*r}kdJ zRw+)?)VBCIV%3ylD%OvBIQ@+hZA8;(*EF9Zgf$Z~(@MBEB3d{~5jZ(PQsi>CxBgjI z5y_@SOTT1Y=0;6PN2@GjzpgbzTL5ZEfvNz`yp_dVT0=4O!4jo#)_)~5)=MHkM62i* z6Q3xuV^=|UxNylWq++$c%D`Yjpw1sgiNel(=2PoqP^s##u1O+`MLa;R7fOtAno~GK zjH2fxi0kzU3fL>S$1NJfOFIX~OySjP5C}|9L0w@6ks@qMS{V5(r-0jsY5o+!|I-gm zvo`0T8jIdGdG3+uvCNzNq{-hJ6dFntyYOh&Ln;EF^FcY%iCHH! zDr?zMNR89(rbsJPGS8?l>740kwTQH(qG`Rt+{(E93_By)JGJBqSeo4Ua$hK7M1~o7 zHn;H58L1+5TAbuBB_zR2?y>E>-`ZcQP{i7_Es;u3LyVnnR-$v@#h{$f9OWVPk+jF~Jn53wW}z)OLW)*pRt1r1QbtDXHSg*UE*1xBV;Dy~!3JJDzT ziLj&KeCsY1G@`XM73!P5NJM$o%(0p#Lpew}uO2{Hy{Ui)9FS6&^&$^4ht^8U_bT%e zh*i)qw^;4w2>sHsboVjG6Y7n_D*aW0wTSsSqg@nt8m|z`Yq$;m)~^cZaXs(QIb4Tw z6`(_7O)O9LQrgpUC}MjGI!bSeQ?c2vt&KKb2`*E({loE-si7~Ovtm&ORNE8%9aE5S zyerv2c4M!#*7av43BRZ9?1%_V~X*QF0>Li#|k88KNaZtSS1 ztLYKiw@M;bQ>sYTx<#Bb;<#tIKE>@?e7p96sy=GEfzBUo3YQgyF)Y$cQ%Iz#+Cd3D zCMrlXw%}#saV7?^J948G9C=zQQLCQeNZ2q=?yzw_T!2Ta3Mf0kP7AGncW1D)LSq*6 z(bEe(Xuk?JgIkeoSI|Vt@b%hO{HHa|0y69+tg}0QoUUhOOfd%pm4>+AAw)ohxz|oO zJALYU{Y_81SVyA5bVGwtEel+36-tuJ0v#7~41CE)O6|k${_&ENe;67a!X$uZO@@f9 zd+i`^&19%TmmH?*F_yB~g9!ykA$Rxw(yltt4_}m8coAo6w}kh^v;5F-f`z>h+YnP0@4e&35KhF?>Te1TBKCkQT5X1)fxd2y zi5T~tXqR_yeOqa1RdiBTL+PXFKRM>VMxOZ)U{ONPqUw!;A;n@2`rLcN;~D?2meNoE z<-c^*eDVEc>c0&sJ=Gr=o1Y_rhBE2?eq+qY(WOS3c%!7{0-55eqGGX;=FA<=- z8~+FsiTnyNK2)$GWHC7J3-{Q4VD;_Wpih+p@uVoL{l}+rpg(lmz^?sr2CTH2{&EJa znYW5uQB3JQ18#pg1ICG`(Do>5$`LR+LHCJh#d`)EIRnNxkBehX*k8_oCv3w9Z9&{( zm1mXlxAw0;DhOUcF9n-*1Nw+(8sG;xl@mJjt+ z`j7w4CBZwwHy1P{1MW+NWv(mVTce|8D-fq~gNvoTA_0hd3hJgG{l|UKe2bc}vI?q! zf-e2>OIeuGnu3I}+k!Eu#0N|?7f!r1IV&m%Zw`HCyYG4;Ez4nOJYV&Sxw)TtlN1CY z5ph_Vo7*Gpf>Ym|u?@Ywrz=HKL{LR!0-+RSG!^3QdUh9-%HpJ4|E0t|;zGif0Hat{ zNN2oT&aC+*eDK_uVEX3uEL4K#<+Or4w=!AZ_TgUUr=JU>{=ks`?B}n_G53b+)H=P| z{S>&>dH^H#9C*8-L{@#LhyM(SCeTm$7MdRPI%%D2?fLL@4LLQNNJy?(uGh{-s~7z! z$N65SVPNS$Zkqg#q0gklQvZcTAf?=qlj^1Ax44@QwsSj0%O)lkxA4m9>B5)muyif2 zEKEZEpHPo@*hCLfWDGL~9Eh)w!}M4I-fvT{{&7D03PNm(W3N~`PJ96uEp5~u5R1D+ zFSx%oO$$$WDqV|1GUY}Qgy)`zfNASklZyi?6n45F7VUj0G%tc+lNDSTW(RH2tF+a3 zhaQS9^rLj-6lUYp3r>wan}G5PwNd)H_0(*!Ns}E#>}RIFmnJ_&TQsLj--0q7$0OEF zXh{Fe`1Mlw#Sf`%eYO<7{TaM`z3#Odb~_$~r<$XrvI|KoEZJeKSb3-D%D(^KpEsLv zN`Kgdc%Py{=jy<9ak;y^KecK6q`j!;R|2EF%Jw%mHH$%e6;+Tr0}%CslTOdpQFR(=**cVw9?)aY^xX}@}aOJ{+QE;E3TjZ^XCfFUk3c| zdRo5WnxH-9xfK`AfLe?Kk{~i(?QJHA4dhQg{L zV?VZRk;S9917o?aKu=r8X$6NO4uxmKafga1O&G?_9j9WkZA2;FQ>{MM!6p&U|sPLVc;(s=Y2+0Qq07s(Z53YnrAO5CU1<$YrMeOHigZXR#69_Nul{8-%g;B; zQ?{GLA=nudCqDZZNNihwn<#d>YBbx9R)Wtgezt;8@>24X6 zPX&mqw>j736~B~BvtyExfIN_`bDq;7PAek!{dy??wwtcMsyq%$$Ch%#QfT*6DeY;T zO&l%1cqm$-xhTLZ0vwTL)>aWCOMOPMd)6N|1*fMg-e4kTi(3b_;t?%wdWzZZ)LzgE z(E2M=pd{wM$WI|BRk9bw%feKmXbbSq7XhxykZ zQ?yv@M~HjcLsNuej?#jk4c`k?WIX4gmJu}b5+-s=Wn5KI@|d_#($ofzahVPLwEjT6 zCFL9QU5`ged&G4chni7;!P8j*q^Uhk6*s56)KH6m1To;;6jRZ*@r@=}I4Xxac?#}M zeI1oj6y)h+wH%s2=OIE(`LHFeMlC)4OeOX`F0DuW#|cglf5T1fH!gi1`y1u^8V+7e zaVf2niYK81y=JpLLHZ49wD~d>6 z`z4(F;}ViiGfi&gYZo385}TH0B3+U4TK;+&ws(1&VQ(t?)i)Cv=&#snPFsmN2r zYiOiXXs(8`dHRVBDiWQrD@c~8c%D|=*&ekijalKy(n}di^22j0S0kdWS~UvmdujJ> zVbX=Bv&PopTEU^&pt%__t357pq)Uk?zpGQxH!5YL)(~0kG_skE$$JtRqPNT(RCYSw zD|PA!Z$;PeEz*j`g2I^U=@38-MxaG(z}hn)D_1h55K9MibbCa~{g0O<_s{FW@Q4?kI@$vt~L_ujp-^Nvm7CP*6wYgo04y z=!D49(UIFVJ7YC9X$%z@)~cbvSj=y86|Q_Rg{%dy8gA}l@{`k=cZI#)+kqkgtz?m( zsSy)c70nNvwM1bnG;rUAw>g~b0u!$U=|Ruq{Th{&LX(GLWvA*$ACUFPolXooDZ+Wa zp6EU+eO+493NA;O>*AV+cS8}nU^c%6D-kOcAVX#fVcL7Wf_sY3D6mnkJe|H-Ty?x| zITJf-5v$#e2E4b3O)okFTIsQ?aiMqV^-pO`NouHyZN}4r# zeab|X3msaKWW<9Y?ndzCMnsC_CrDZA#_!Q}e5n*5QGs&$jv;8Kk&iv@@|tS(z}dLi zK&6BoW#79Bmt*U!UDyG5TcEt9sRh*zk`guD_tuWuT*y;Lll2;Opdhw~@00?5qAD;< zQJ;9V2Z}Q7BA5rnQrHR2bDxp(qYoK;p>8^oY>ul_;puuqVZysI@xjRBKv`SMtPm7T;y|TMinQPT2++3+1Xl2t9EYR(_%yE9}$+~j2hNp0D8HL*Y+{PVQu|D3-@{3BK0&Ims zk8l(BteJ5DA0pZm#}K%GPJ!d ze`z0+s}=>7yS~*altW_7qe$%OFfN=^*jy+&R|FG=toi`N$gU9&0aUBOyWjh2G~kjpLb!j1@7W>=nf9BV>iXoB)en7WHTC?}rQ3$WgN1-HVY- zPn8NfhM5r;Xo?C_m2S$h6>B|v7|lRUPs{X5=x^WaO95jXEHvZZp7+ry;-KN5Qag_s z#oainawr~fL#zc&sUOu0^kpe3wTSa&K}=?kfDn0Xddk2Bx5_||q6WmRYiNPQe z7Be*8h`r)1L7Y;HRsUKw74sU41Ia%0YF+tAxl3WwQUsx(rrfE(Xk!&>Ko)7o1DAEB zl}0lT@-JduNJJVsX{(a623k8g3fl{sD$t5-w*sYOJd;nLt(rGtukt(JnAfWD=) zCNO*KZG|bK;JVOPP!dxtD$qGS-G104me#YhG|md3g3Q|++(Dvyjvdn$$VdvSTuCbU zE8vKYf}srJycz}W-?_0<$nw);LMqN4eLAXkO3Oz7T8pS4{WY53vSt$ots)YW(m~EC zDQCF~IvV-!@3c%6eY8aPp$~ll zImW08p&C@@Xde|E?_9)vI92&v9`K)j;24?RmxgdG!f*P!#JVT249dLy;zvCrwH zS9GL=sx-Yc3gtPDh$u7tl3_-UGI4(pR@2ZdbagOxVpz2u1hQ_u*=}4R!K{{&w`Lr} zpuz!lwm2?Wx+knYMdX8%NM4%^E6Tg|Xs0(P&b{?W2r5tNkOTImSgV80B2C%`w;53) z)GMy{Ch7{SQ1@>KCO*flcfq?{-#GOEf_61%*Wrx9qUi|m3J!!eEY<%=&0t$Cc&6Ql zbcnulP@ehjRi$xE$IfB(FCx?Qk#wuKQo=6g3V=owfTq!UXq;ABE}0a!DeWJK8Ytc` zaS%V95E@LcjPc+Ob&PW; zRwS$f^w>^+Ci07ecoMv=U2qjVgpb)JD&@vB?~OO@|8=}ZMcSZdJhVEQXqFKO!*}uE z^ooAfeC~l%Er&T6)Q{&`TNv5wfc~8TGE~qQ25zG8Bw~t0;S`|soOC_A+|Q%&((?&= z3Sz*3IUh;*Wu}JNE}cCVGr(-SCZ}&y7pYKc~7KX z^_BB*D0u3_ZhUsMz)o{Pr$x=RG)x(nLRM?tpA{oi5ev~$Y$#~*JB;wV0L8FaKj4F* zT(AE($>5+K=0mZzMYD~PQtddzgTj796vCkhuc=T*skG-_`DDe12UQb9ijlN={2fBP zSXIIHLV39&JN0=NDu)jxFj<%l3w1$FZti>X;Sw-v_@Ae7aRO(W*qlCg?2vY_hbR@& zeT%vngk%$v_*^R>1Z3QG+BZ}nkdODQ!miU8(mzq@(G^1o)FvpXPC<3*8tx)koi9CZbcxagxA3YAq6)RiibFHn2!h3Kl|~@t$%PAz?E{jIK{jLIxAh| z2*3_>IjZKhib`^{&@+amsqr^RH<(nrSV-#)yKFIk(>Y_j-lNeIIh1hLd<~ZN zw~dZgYw;aqYIvNH?>s3qJ`AW~!n?Otn5J&vVX61T0ZTDOv|21Z(sLDp7(b$^A-|Sy zABq6}>C@xYAG*im@}{1g!bi+)F#k;r4eR;59Xo_TF7hU-Lgt(_0KKYDF%XN66vToQ z;wfzHEK)ianJBLDM*r(A-ZJ2yS0ge8Bv`H62iH(R#9ZkPTevNnUC(R1j=`o2PC3dG z-ineqG75o0B!-R*1(Us$nYBA^K_}rQu61JQ$|Wd@B_t3P=z>C_e)n#X>W{3T*%4Mb z-b=i*D$r8Ph^zq$G)jH;y~I=mC4~OZKKT(+3%k|r5m4CS+Y}&Z<}l3#HGZIytTC*FqUMIvG({w>XItFy%vxRwrC6%%NzF^v?_JtanF2pL>0BP1$7j1% z_MRsvdZ{V;27KY>JnEVF`RtQ5A3 z3$9R=!rN==(k*63r@#&h^kE8>h>~(?$7^01`zUHrZ39XhPVaBUu8VKrb^(D|0SWC% zOji&Hw6qZgV!2j*4d_VX0xOoG1o~P=EXuoq8~4P=8{q~0OgP~G!W+f_4tK8Nd7_`| zacC(_6pL?>M%q}cK2VTm6)2z{Kr7QzTIZ2GG~z7xd*6&9g2(`-DfJmuTf1@jXl;M> z<3zBi>nHI&x(CQiZRr)BOWKLTh5a0 zP^=S{Id62#0hdqeBRP}@?#BtZh-TC*?w)~{Tu3f!kyBDGSuqpk?A{r{rCU+d7biw) zICT^eRBAps*1ke`u1*W)HZ#frs>i@omyw@ z1fzk<#Jv;FVjbL!=$0VN<7s@U`w@IeNSPlCPN9u+VzayVQ9n%`8rn$r5tg3&7E?N#sP%b^s#A@4 zE~Mkfb0ed?aJ`PS>74=w__Mrr^$k5UVK%hfwQ4kKLy(C^Yo%cH{+OxgHCjcR`@v~v8k`HMQkfi-zV4F( z-Z@Xv!4|fHjN!Do-_P+N$0d5AFVPBlQlO?S-kD}0y3ua5GlP~mCnx&m3)|$F=A?zj zG|mCKa-nEo;w~+GZA-4{|Haq6eecx&aO{C#1jU@*(a0|0p_n~U7-;Tfh1QD#O`*bG zfs%jNdPfKC*2fiM1(xt@>u)(y-?>pMGj@RV@uPGU<=Lx?V%UH7qM+;Ij~Q_DCX& zX^DxQ2X#GO^CKqGSgD%Kt;e1fVljm`qQ_DO&?5SUBf!L3`4UgiCN}f*9;n%hHBBlzNi))GmfoF=nkba#i4B0yLOjaHw-2lS1}l*I5f!wc+CC|Wdpsj zaD}K;S!GfOjk#M==G?QNu4yGchC=nynt3c*W5}{3vqX)Aphe)(ryjU>zSfbK=u#2m zH1--+z{_Cis@+d(F{Mv+QX_D1^N3NCw)_P;%ZsL`C8CZty>0PPW*(`Z_;Zp*!nnqJ z1R^*@bB!ie^htyK3}kAFNLQm>|J=PQ<5zw7u+3_7nX~@qrOUVf@-M&m)V=J}mcoB} zt5|6*a9pM0X`~GPnxzP*g)-va2-nwCpM+4Qt4N;h(~9C<{-BbEvz~@hs}&2Ks8v>F zOaXb0AE=}EI2pF20ZtUO-R7b*bseX(r^u0OSTBm(CLG#_LI>TRm%D_=jAp^z{q;n@uYI6Msz4wPsZY2Bg#v>S>P7-W2}At8C>VDU5xTa}J;jctuA*&3 zGsjb!Ptdi2tlxO(h)n_;oFvdRNEa1q+0+pa6iQJQY|$Maoft!hDE&4CVDze$U~VBK zRkenlO=jZ~hRp^trAT);m&yTNox%sjD+L82>Ik@(4d=yp>g$V@g^<7t6&cF+*iXq) z51@p#5WoNgw&_z!#eF>spBIh(^)~qX@Ba9|fBmmtyVz*~M!oA*gsZXK7A(3^Ubjl7 z%35|J&?<@|>{EBr!t#0;g>m~FCW&8yW@)!VmWrb_8tj#8(fOUPC2iC^Tow*11h*0) z-;{bF=^a-V5jicfL<35e*+mQB+FtM7M6S7L5>gXSx~oVF7qd3|qrB?iTmX55USB(D zZH8gQFT`-fHKLFZuEI`86?rznGT}YWgSE^Rmhf-ro~B2xxB)X1m|~6F>1Yac{41)WY33ribJR>N{#KSIznXCh90jD(CcXsPE1d7& z^zGfA9fS|GCe*{xrz=8gJ0G~E$F{46>-HkPN*hQF8p^5^@!Y<)z(e8OTo3_QDojeF z-JTVef_|{#Fs+oK$;aM?-wK6t?}efBpaO7G1V#ZKAroW85ssT%TR}h5cH8&XqBH2d z-wm}}1e}|QIOmghqL&G?7X=I~oZEf$)*TnXjaY3lAi24t(DG99D5xrrQYdGm<+Qb; z{lJZumWYps8`vY6-7cl@cN2{%Qchoj;p%-3a;Sc?;YlNMd2=l~mOfaNip!$1YeP}N zIly?W*pS52CP@?5`qq?#*s>s(Wucc|q z0mEOb52l@2gzi=typGDbAI^pqtB2B%Vxj3|0Riy!Gn9)cFerP(Y1+FKwO^>7JH&kV zj)*e};Y>A`EGeo&qN z#ivKM|6ykFr|tFqy9ce*1ORKjJZBH7FwWoyg7KRO%SzFuxUa!)IGJA^{ zD7==S*ya{)(KY^0xoMo97%rX)V!3FXy!J7!v0Bj*S)Toiu3U zpfxxae)jA1ZpyURA9qy+$ml^l(Za_)somT z$re2^KBu&cLl!`2R)HoC*aj-?u~KRMVVZvSf9pN+*>uft zphq_DoPdjj{;VDwZ*MCALWy|6Sr^w9Z$qNA+@!4U2tL)@Cwp#O1UQVxU7#KU;iPdV z-dpr}9#wiLJfi^G1p+AJ?=xeXGbxcku#@5`45v{0L$Iz>>@j`IS~Vk%^AT6Yd{}=G zGiTOY%fIS}C|X|_3@zvGqbY%C*lF!-7U6{4Q5r3r@@w~qQwd988!Ld~9fg|ta*jR) z<)Dodl8tqs4yy6d9#58zPrTY-0B&8WE^T(dv%%&qnfzKvb_F)Bl$Cr3ZqnMFc$w4o z)}6LyHYdXW7(FYv4X?fI{7A`Hbgnx0Aszm_1O~8+=t^~-g4uh;tB8}My||DD2*J}k zp_um!B&~Bi6r9cN&iAB?s!Mojcr{ctZ=xNq@=>DlL7YYl73~3W@f$O#W8we(K=E8W zuN1JF84uw7byr}fnjMfvqz^VHS5Dcghq6etp<*k*WcQMDp zb%!#Qt_@cUDK|K_uc*hZoS?lqZfcwy{mj~C&op?tYSpfzqlS~IP~H?Gpta?!Fwm*l z7RGsuGZ%(HrWg*k4h~#Ynb9xLEj6ZFkGZiTq2rew*{DsAihIO z5AkBuQV>N?mqLa1{zi=`3w5wc_m`VN5m+M%v#X646?&a_rdm58C#{WKAg^w^{MOxV zH1IgO)(99;7OY59Ry4nyy;9MB_6qYCl8K55)OPFHt-B(XyB;r5yHEmG927?KyXiSi z<)&|GH*sJUwW4q2g7pv;X>?&du;sp5I477_yRlOxl@y`!DrbC4X52xShXBA7@AFO@ zTxl7hhASvbih%{q*jrzzpo#XsaY9y^QXW^#;b_O2*VNiVF=d=`-nRa5*b*RliI-eMGuf3&9(u2}>urrQ2Xodz&MhnKio8&qbn&3XDu&3aSQa$3)wmv`fm=i?7($MYfuRW+n(h= zXz~8^)B4D7|Iq(pfTtb(Q`kp}t z558jMb!bZ>T}KyWa>yYTv2o2Ck&_a2<&c26%@V)9_38ANpgE7zYgLlh%U#E#J&94g zBDi^PHU}p`j19t#iUox#q{>+{-sB3aUxUxLiu{M2ss7%@01Gd&VF-HqrT z3wQ}@C9Wk7Ek)d!v`-_oscx<_Mog(z9;T%C`+#T&;ibOtLI+m00pu%^UJIUL4E=Hb91744%3{o0MT ziY*_u!GA}R`e&5RIEyr|h)ANzYzj*ju}y*quA_n`T_j3jTH~+GzZI>^c%PyuQbrOB z2SMq^x)0;J@pv49l!~<1m8c&|j}dgHbw>PNLEIyme{gb#=36LcDH9_0U6AXp?pmms zA=!g&;o3q~=vmoQTj@4}@M!dIy3K;3GEM8xXcP)I3|}97;3zetLs1w={7=XuEfhXH z4$Qc4Y0X@(P6^v96b*e)7N%Mxy(nKHo_Y^LcD7n4j!tbmAA9&%8qQq$QEX}i`z_T~ zT9w;iP$|&0mO$^)FkHy2wWn~$Bv|1NVIGWKg5ij#a!n)@E8?i^hBBFQzCZ;hH8-89 zhH_q@?z0Tbf3h4c>2WvpSN16pd<=-0eUIs)(di+7e>8 zxR#{QN9Zw7pSfzVmvDD!7KtP5>L~*n`|{yHQ(Mro0HxmM29}XR#MCe74UwN3f-uSf zr=z^1qWPeOjJNioV93!UvUFI}30t*l>h?l0^d~f|b;d;r*oFAAp3W36Vlaga4nVzC z3|kZc&VFf;i>~QSPTQi`jzEdRD()uw9PLZ*MLMR}mK1*Gr~^yBr7ypcDj`&DI$%nQ z&(OwgnNJf3iCfR89}%C*=u1%H>9x2O#TrFQwrXoD-H0h@uOv#6g*o(v$QKJOxIkU| zdR^R`GRlyJTrBh>eyyyuyb_+G`ycc1TZ4 zC_5@Ut-yu$ITU2D*yf14yifxdi)_aKwLBd4e}s1sbg$?no?#yuNn1Hfh97nKRElOCWda*^L{TOh~#l=f`y|*zO`8fhE&*3wrjT; zm-W{yaUAq^A(^iPT(EhwY^SR2DCin@ZIsOvLfr|i9`tyjysXbuxJw(NpqZzB#Z^`Ah0|)WkjToH` zLh{3FRp;*7#stC??imyhu&lh-DbDLDMyRi+iFS-0pf|0dg?o~40%^#9rH#WpeTo%+ z_W3+{j83^@yG!xdoQv_EiM~edeVcGkADsPMXbfw&D-cIfdj+E7!V}nVUL*)$QF#)z zR5V7#T&uZ=sPtO|O=(p4skn`#84W1Z7l}1QYWulsSzENsV?U2NImeAbQ%SbmWou_s z|4i?rRghSxHjt)P;XEXWWr>#nD!1;T_?&jyj@yl#lY6WeC+s(dHdMgc(!}KINs+iy ziubSK+)eYL65b^ohZhpf_Bh{&v74GJcX{-A!on;Ddm?Ma zkKWho>#c|(z`gInP0fn#5EN7{nOjgp@dI;as^dI$F~cS=WWMs^D#C9)iQZIb?byTH9iVxvZRul?F7z~qFMUkq^hLNrw+;%Ibn-X@oD3!B!Ty&_HPp=8tN>Ykv zS9s8jqw64QK`Slk8HM!9MzmGm3izcq0UFckye&nkxJ>MWE^O0t)M{DbR4PXKvX576 zr)`an7r1a-0B%hOoweI-4H4@y=5%Qdi|`nw2aV%_ZBR7IY(+~;`7?7UNnYiy763E z+Eg0o5x9jL7#t=f{V{pqJ`A>4?<1a7e}!xT0`o@UQ~(TVD9+H7(YS@CqcLAtqUaTU zJ2@{>I8u%k%(|$mTZ&L3GZXElxSj?3k@A~B~EV-5Yqt>Iqo1ny~f_sWvDCp@wabjy2&gm8HqF}~ek&9tP zqZ*O$vPve<0P)MTIDprh-UIQ9hCjf6MMuu)7 zU&f(^Tev2=&S)6cjHS}}Kx`NP-$_%c9{Clp(f2B=QHJo|03$Sa^wQjvv<;uo!D)(JW_LQGUh&hwh+i za;>!Ba)Paq@299GOSxXrSWV<*4}$<%(tCFnT>@@%NX^ZKo@{DNEfon}gTBT&wScrP z^x7ewcwF3caBJ?h5$>~d*sAc1GQsvEi*@eRUIP+Dti>gg(OlI_=Qs zFmWl4YE=n6zP%p%L$vvn`TgS4XoJp9#Pma}Mkr5Pb5O!3R(00Sl@r#&Z?%G=ijwgx z?=>f5aKye!&sE95W3V?~RRgZ{qQHr!B2x}V!KQ-Ha3U77Dkk`xZ3OKr3b!c8LJ! zQ8|$=!de@{BQ9S1SU6cy+90BvO>Z5Sk$$faOX}0+$$$QINr?+lUL*if_JzAfq`&?~ z2k5eC578wM7BKCk$Us1_;3g{cb)4GndKpdI+2U=Vjg`_pQ7QF78R)DSu`0bQ)wE3^ zqBswCT%AhwLZ`QY6xIpU4ieX6LGCLls?MD0p-aLyfp2gdE03tObcTIcx`c;dKm3nF zG2uKxD(%$;(7G`XqZj$k0l=BHxw%!-2Ju25P4KU4UhY?zO1xW`cD1ZW6%kY3p?}zB z@s{($=zgkL`twgmm%>*~Y9&YxT-M&JAzG;Cr7xb2)s1h&y5B@cCFRA@m7F z94JxJY6{%a7fvb__ueH+WtGUFMeAmx?JTH!qZHyx7jYTfxcHkU77AjzSS+9w_Ygy? z>%PUN0!PDiDlJNw7G?IX92y%$BDmrz_^E5Fc?}ny;(^Mv{u+xU?YEn5#f&MIqhnOF ze{6dR8zPllJTjmso(FpW69a>t1#vg0^Y?v{)Rhhv7V$iUXyQ7NhwEHFbxzv3Gn>6S z^aaEj3?)RaeVCO`_00e22Z$^_b5z-&uBn}!=o%j4k=MMcc3M$@dZl8GheTWSJ)DT+ zu1AN~h^<9zTgnpaSFjc4HdoDyZX*kd)!r7^y}iF18ZXZ?umV36g3pHjyX*db#G6>_ zUYSYTkA8|1t8OCfWw=>13a)o^*$ItXG%!cnH&>SPJ#^l%k@Hrh4)mXy;R{#)n;(Rr)U!byVqXzV(k2T<0f|wb-FrtTEIZ&kK);=dvrISfD zS4{bBFjDB}0+ACcg~-NL$|%+2bF`Fav>fRaJUB&KV?E%Nuqss8$2}{;-665MMxrMb8=T1NQ@h zP014+X0e~b>WZ2QrRl**qC-JqaWyct2GD`0#d(jT3MnPTwS)r%W%EX6raiY4NZMMX z!*iOBooBkvmAvJw=5!e4#=|mJPx9w!^dj3?;cf(@40`bel^owTbXn!9Mt zh)B`fR{&!`oWJ*t@SwJ1Lv5^^GxTu^X_Qx7R7o?Rnp||Fmbh`s__tqztVdHe(Khpq zqyDH3iL;c#_a*dcS3A)PhDo_L@y-u1DjbvTk(!mauOC+vUeB!1%0@$w(S4-mmhB#|NAsW5qZG? zI|uT#aE_2&?!mXMQS$!aN43=ISxczmDIA3 z%2D@MjE$=|jn&Fnv-hsO#aIjp&hJ zk5G~C+C}SF-GpLk-@vqCCcEEK`Dzsob|D^aWD6~pCbW?#4o>V4CPtc*LqTesBP9zL zv2D>)UPM#wgfKjPyCs+{$TXDQGv#Ok$G28sLHwF?gS!K6PqW?Py51=oVe-M9sL0v` zHbiQ15k*2xC~F0#_8JiD_dKdf3;h|CZE%~RV8wF!%@M26a@^x7Nm()&Ovl8h14oj} zu?VzXEg~>}YFMvXLU>F3$f-GdOeLqs{&ekd7U%7jT zV2r7eFM+np*@DHrT2F{bN>sL*2hx5N@f8F{vJqBOXwg2hjaC`uGL28bmOSPVgcc{? zBFaIn!LgA;y_&*FqIC2e;Rz2$SLTb(2zLTPb{gHis2>z^TDIzGR=fgF2!20)yZ) z=oy20TyreW3kSYa+KO29)vVvw=XRSo)zFSc8x#RF+${yT#Qqb?wBixtHOZvf$Xr99 zY~eNC9ny?S#rc(tdFbLVW$rpQGK{JD^myWx6@C|5&99{U)f#%p>1jrZAZ?|o z)EvsP1NA}L2P@Kym&edJfKXlsBwPGBE)hR3d_oQ4*rWz+-t%}29j9bPt-g*>AF*=T zta#M|IwIT%DB?8WyHggup$^zS`pu)YAU-h2gT z9_@Egz~&xBkB&gAmN~laNHlBi3b$_5-sqL==`byOed^w*qh~=K)+>@695pE}DLn>F z-NHb@35X%W9KnIKV#k>{J2_DaK)_6kNr`U>r%-hZ6G~oVM=r9WO+qXMg$0dYcqlFw zwW5KetmYF$LRne^Hs}%(FaNM!{>SI*<@xu2`|fvt14!7D@1mq_Pf@DT@n4lf5|Trj zZD^91u3&zrR+$4N%S9d<&m$k%502s*RgL&jG#Xc^(yeGFPTYX?_JS!|Q9@rxe*~c~ zrOp|T-J(W;=4$;%TKhJwo!UyA>QN|2ebVY^)jQ7dk^7)*3F%L4{Q}Z<#deKN*7OfrOKUj}OD@b3JsvNC_N)KWzbfD|Y0423w zgOi>445|S}2*>@5`P%q!6x>itQZ#~sbpf@dkb(grtX8{I?6!;}2u=18&hjI5hjF`H1i@M4${i9~!hy zA!=JKMbtB#IOx_8&wefXqG$vbQ$capQVE0YOk<~D15|OGGFu&UL61-V?$Dc**+ZV^3ltp$anE1DC%sTYhcv7f63 zI?>1D6~#oa!lhuT-_e8ii5n%JpcwsX!*l$J$Q(MUnkt1^Z3V@9A5VJI1|56C0!z8t z3{C-G2rn9`ShuxSuu7v7Sp4B=LP7?sO$2KP;yr~GF|}YNUm0mdeIW^+UEWcazfXO28pBZ@z3bKK$_htN>b$XR3R!CduO%_{ zA{?<+UsRIa1L&z}pv{6D|`-T&|3e*f=3`NMaA{PVB>{Ob>{KW_^+6@V2ts%p}L z>J0-gc2vs5&=l{L!SD+dbWh|3ZuHIQl^zcKwePOI%T*=X7qkXpTqy24U(*egtUIuy zDCJd)+;?qZ9j7p{eF(#Cqrpp;5SL5nHuqYsaE*SE!^S>fz_8V@L~OJOMH0t4YpcQ5 zx~wMqLG8@U3ymh+J;Z$sZV!3|+EX#}TuyBAK{EzigyMIg`(J57oOZ59OR>6VR*o}7 zDN$d>m`&T&+UKSH>2}9J;&_FO{y!`G!z}#hR{Xc`|M2~Xy5HA)linLQ^Z61C1#HJc z8A`5x=zS;Mgj{1?#)$|Tl2j2P-#Z+%FCZB{X{|r|ox>28N?mayfzL-ilckFG#Iof& z3ByR{E+v*@7mHE}g;Ew*f{R{E@i&)!83lcQu6mp>&qTpKqs^0%4W1v?-p@a+`~RW0 zc2095WTR|iP}<`f`gKQ7p>oR@5XE10i3g|XeZr+#%raXsglROTH%dK5nZ8PqoY4Hzgfn zT2^`WT6;wWAAG16QeQ4yNx?;!hNBrn+nDQz*6-r}Szy5SDWY6(&FV_a<%`VU(vCIC zw_;1&0s4cg?FBF|ei z(@>WblO^3KWr5p}MlGC=lL-vBxER(r?y*Ir8a?y*e!XdwY@tv7qRyYSfavLKFg0EY z+lW7}bF@~`)K79lK0o+oa1qwt#2p>;3c>Dy{pun=H2R)<#3u`+VZn|ItRoJ)w0{+4 zg)rPxMG5%6D1g@}=@kxR=}ZbSDAjo8bQLkIMa?q;%38kW@v|TXgR7vMDA}&ii+AgA z4cT%X?H3)KAg+e>^ z_7z>$_GC1ED;+8EL3h^XFyFqn>G8kXib&S&^Fi+c$RYP(bI)=7%rqAHK}e!t>?JUe(jTym52~ z3fx7zN?)dVTN^cu^xD&FYjBBH5k=0Lwk<*tgaF^qR1%*QL%fepH^q8h z<{RQ(XN6&f-LB|2Zi5j+g zKz8bFmsl!yZWDeb2EiaM^j(?YP0fOxdfR)yKQJgXUk;a{rX8Z8!s_|qzHlM)FAV+V zgTyaEPl~bn^Mq`IMnq$+)hE2|pVu%>%P2g+ET*gJ;hVCQUX)tE+WdI++xV6L_>EYP zANdtc7i(@-yVxLFByDb56(}Ay^Mjx9Z{Pjf_kS0?-dlYx)J4?ysoj{AooiB#6nZx;opjs~y_ES+rOqH)AOz(` zzJ@u?;q(lr=)$UrYnaK=twYV~YP7mzsLt*5;w}bcJuo_sChl~mBUyz*>U+JJV^fVA zv4g@s&g&09yx6C;AV2V81;d5=m8Mtl*La^nEL_Ael&5)!FHQYUlR~ctVpS+P-M65{ zF&ho0Iq5gu#>C3|yad*~{*}w`jWD{spSE9%)72teGJyTC}!ZuJ+6O=G^zakzu+R$@F!S-2R zA2pX06YFdkv1#F<3bA0Kkp|-`G9b!i*WAw2&}iH#?1=8x6GFM6L;xDkF-+YGodG<7 z*WTJ({Zt$%MK<%Gjo{|^*(sL{h(5j?g#)-@j_t$(w2!}@wV!smiU4pcC z;;PJIS4^oN{A_*UXIto6n@Zg7fS(D>jKA=+3z^dE^vGB7yVUpg8 z$0}5*Q%SB;!O;-YY%f2%TY33e`44{P-*cFOVBE{k?rzLsXD_k!n@*sw_!$U883nwU zUw$^j`GucZZ#D@8%g6N>es(I0e^^MLw#9t1klw-HN=&DiV!;i8En*UVJjIowr2cTH z?7gAl$iK+|1>fuhpvGa5^TM7@v*xt7dQ!}=uM`8Dr7vF*!ruwma)*K(R+9!Xxxnq5 zh81rbN+0|#cXR1=MtW&aUCbtL?Ln&5h&021MaoliVhk79(DpMzo&bBkxEf{EY3nu#;ds1)JKb0-lyBf=qqE6R#MxTSQp`)0YI z?or%z2}@Bda-<}Vidl4kDIk(VDq1mOLy^S#R(jjpgP*WaQs28r8LGdSbWi`hJdDDnNKTa(wK zF9GmY1$NW5chJ6VqG~172RYh~q)8oAbMb!JPQRU&g2~EwyO^v;yHO;3Pjn4_$^tTx zQprIthPcc+u$MyKQr||mlOUEwnM{1Xqpmk{yG!X+z^M21>My^F1eDb{&GUycwr^<{ z`s4S1_>F?cf66HT&>|aXTyhZl#l>al9fE*GG0bM6E=!xho!n$DDmCBUeZ5KT?oi-7 z1qhP@j=B1{xIOS$K~nS#g=al{*`ag0jn0JK^CiqzL=A^v)SWW)o@LNJRH)GRZJI7< z*2Jk#C2d8XbK+OJg>965%f`dxC^YZU`gMumEg?LUJ=)thPZJBIQ>%Xoi&lhFgq6I8 zdni7P>#*C-N2>>YKdt;TQK=(nFLJ32V#QjJtL)3|dMaU_uV{$fiW9pWNnAmkvM5jq zZQ^2F@QvLuo=!0Wd14CB1f^zj;z?r6zRc^43L*zCrCTcz$*;{SBex9CziQ3 z5O;M&v@;2#i(`4z+F!UT0-2CJi)C$%o)9r6dt62dyOkT0jciA{Y}|9l^}1wSy;M%N zxgfg2sw#L=6=tJ0+KgeG(3w?Dgyd3X*nDd)BNj;N)6SV|AIXqG143@r+U zf*V)+fxZf2fmpwrN&`=H&b<1y(^cZ625hBH|NJKNEY2@PlOS?b!zT^xyilF!(bcyC zLMvdpjHXIBFxR2lJSGG=rZTr*!ih}Z;7p**Mr$G0f+o39?X`vNzGyTaewD0=;%L`v zQ&aDBdGtKQ>XE9x5d~22>rwHba#)8?hSv7dBC&2RbObjpPebYOaauwdVM>4-VvKYE zCJq;~Hw$0*m=Khi{+KwfCj`uUb{{eT5E4l6jKdKk{r1InD z0Lp2IUKQkySWLYYNQ9b{i=TA@Im9N|at-HTMdBesC-PQ{qDkSV-~|XK@{j)2j^K1d z;c7k`Ukxr96_BY0LL3$I5hN*ktC=#C0wBgR}H91tf+^2iA$!u22;oxlI zYv}|FF|w*$&%9k$G^6HQ7)<}-*W?6s^`RuIe0;lZIK34tyHI9!g6@jSTwUJJWiz#U zqvHTTNry+9HauFwG(9I}+!SDh6nubH5!T6vOH|^J2xMa66v#q2 z)&(uIZ0;$E+LT;#dOiJaU(%L1Y{_=bxm9I}q6Ysz6Nlbg1A1=_p>aKRyNVA2$4Wm1 zqr0I2$v|dtgEMk0<+3XW+E9tAR)NU1(Z!MT(PlVr*64VGCqdLTTYup6_n5wFroQL;%;Q1IW zquCeB(oVWiaz|HB&pQrpK=2V6t~CYjg~hV?3(WxZU>T=4Wwhj=ShFad6b)7$t1iyt zg(vz>CC{Z;bP9rE6}eA~hj&CuUUTZ}8Jki=#m*!5@|?Y4?ubwom1BZ)7!hm-;h&UE z^XCm_rT17^tDg9ua}8g^t*EJR%d-m}3qT_B5c0<9XlutR(XXT_Ky_VFqosuzmYLjS znG=DQZqYf?v?yroLAzH3RCy2!i;086CG3OfsMtR}{|2Y=VGTGWngiJnl+J~}-5y&C z8wyhquZp6u;3r~V>kNliG)IC`gLLS}Fbq1AR_7?!s^)HVd(VySo!V{bw?#}KAd9Z~ zMg;OWl&CaenpTt&k(d;4EsbJ@DYCJh;lu{uRO%LF%R#>>K6`2OE-_~s>L`lKm}i8H z+D}HrwKya8vFQU4vlHIU!RjG!EINu+w4)uWO#GgjL+TG{MwcEIJqQxW(RNSIXgR#L z|ET~d7;!n5c0H2bvUUzaf|!o>cg7KZqLZX-r1-sQRIgk3aW_hJB8DQnUgLqV(19=O zfsDQuB&D^^F9jsjNTRu|E3^p*>&u>%LiWina)fJl(l$LB#2||;l|*Gg5;$rCM9^^Y z+^w{SaF-NBE2%Wcz*+0&@J}IGK=qJvTqMUQjg}>9nuP~v3|wDXt4(-XTtm=?A6H1i zVhgJSgy}*kAucPx@iQ4tMv4_NwHG>0S_Mj|DSAt& zc29jA2&f<`pwN2??Nic#x4{xd_fIF5vagaOh1=p9TF9DMVI_Z|wT`pEeuZP=F}NwI zYs21VFI*>6sODEe^+^Ph-n)t8aq+-QRDAc0UeUqX+1)k}*Qx+iYJ0}hp{7S$^i?lW z%l?%5#zNBuFJ|eB3U~=RiX8YOI8Ztk*5lI`P;?KdXKU_PKvYyDQZWWSva*86WWhFV z(|+gEk!g*_Ql6ly5(0tKI8t!H}Bv7 z`PJ+1zI*rO+vh>Q`qTGs-u?9%1@Ok5E=tpHtt@zW*X}$qtQ%++m2?VY-uO*N`l*EM zl&p6pZ}F<8pP};0mFu|{9xtBh^B2$b%YXTq6bZ$Dh2gRw=ZcS`huA=PB)T+X{ytGf z5?~fxNwwp&)%}G;_*UY9PN1S!L;I(mdwcOrT;_iL?!VrAjbi%0`aqbvmr`%V0BY2i zqMg%UMeF~nXdvQKVJTlA2ApTb^s#Brm9U{mY|H7zd200aQo=$bw2L5eY}%h#)W~}# z;AZh*NEPn|YB#jii-=^SoxxEK+fe*Gk*r4(`w{RG)YRM7^fc+9tX^KcG0V1m_WEC0 z3AFp@e>*i(kA+gys`SgFX2|&nqIkUsG=B62pZw}Ke@k)f+jrk-C%k#Bk^b)W+b=)< z${*}JeXEKJhWv>BSV;PJG-@lo3qov(#PdMm4Vp3c4sOV2+T}R7qs=MX> zlx|;zgSJ=tG!<22){p0YaKZIx`=3!8D`gI#D2a+V$x^2$Kwe2XnKJF%($&q4t^q~^ zeQ<+7;|6XZAt;R2OtUbx9h?#vT*me1oDirTrgg0iq`epJJsQF$o^T$~Zi*`p$2hPD z?Z$dR0{qM^s>zdSw5XP5IywhdR^`I+4?|A{|7kLP2ACESQ!tIDb6E9d*@EHz3#502H1$WBT zz=SD<>vBglTI{*|V_5ys5|v64OWDvS?tHl|^)pJxtAF@QfYQucbhL7c!NFgOVqg<< z;f8qFJo6KHHKx#YQU@J?oJGyPX~SDFMu&_*Q+BQvIk@2(Y%`(P9dHomz(*r0b~f{& zbS7Bu<~DsUGe#vhcPT+r8LuBxzPsY-MQ$8zs|DMJjQNIQv|fb-dvvoIhZKLD6AenP z2Zhp2)C38SRUf#@4F}z?kJrn5be|cep{%?0y&|m?sbElAKZb7$(d~mfFiMTlXC*5= zHcY||OUz*^%pYNGV$q`GLNE)Dnh<@vloxJgILcy_XtQ?ALHW);&V?XG_FQhPWQWKS zwU!kZA1{C|kUK7l)F?O!OiT*ST6|Q|2s3Uc&||e_O5CW0w)#;Kx{2MmumWAmr#Lc_ z#R(&D^cWIKC3JyW8jZOTdd#COV#gLo^EsCWFD06@JQqNsSzJ+K5Oi=U;Dt3b6IwMm z9@tI1S5Fg$Mf4;j6zDn_Lr~`u$REe*0%RIu0lBq=RBsxqIoc9@r|wk5DnK)a;^h(P z#Z{OTFM*5di}c4@bH}B1fE<=`i#WMZecm*COUS&?Q{t8J%=C)eCpvWJW64EFBRZ*i zuM;S22May&)e=g_M@EmGzbCH?*1?u7o6X5 z-0WiS;Q93^UG;qvLFNsfy{YUaEYenim^|7vvC>rDm#A1oQs4Wtm8ocu|YYx zvQ?nwU@zBon6+rCLGb2ik;6ejaO2Uj70cU0**(V0n|2&d$@g^~ZPL>3=^AW_bPm>mNohrBSPrWGnH)q1JKOrlA@;AH6s=6sZ##7g61DHP`0am7}pA+n>@PI^^)|)DPr(yDi|sGVg(0_tIie zG^*94f%qCC?iV$q=;J7$&5+8YV1b{CrgfticsAvu0{@)Y8{a6=3(T7S9Mo_gUAROP z$Ml8>hJi7TRNB%R%?AW2kl49}{6f18jskt*&!iyrVh~C9U%&qT{o9Xl>fa8c$WLy_ z?|1SKu2Pv&$8OhcvItcY+r0Xvg@bODh-<&G$!VK+u?cjQNI!vshlOk3S`-VXEoFv4 zJ=-Z}a&bn0?U>7Srmuc(_DSL7s{Npwi>x0eJk2{&p4p-|zqM_9K&8l>RBSR!&#qNI@GXM~uka zBUM%(MPZG068EIiie6Yj5OE@hE5j9sINWo`qM)N+34YLR9Zp@Pa1jQIRqj zR+%GgJD2y`^~#au;Ak!G)+zaj#oc0gPe)(uaTm-CL80J0QcbgQAC$FzE606}i{*j+ zl;g0eWGqHbjz*T(%HvjT3TSFpx^P~k#L=0f|WW4WU=A_jVHnpf&tvSLv!Wzgzp+Ct0ap-h%#7(3Fh zBBwWJ6pBCjQjDK|3sy-6D;mA4*r_YU1cZy?4icNUV%0k0(>r_x#kF-P;-+vbCx;E8 z7MA2`%w4HN6X3K@%Ln`qt3EEdhn}MCw>j^G?%Pz@^*L>iFr9IWRybw!+^ce#c4mi+ za0FBPeRNzq!&#GX1S3pKq@cvMC*;Tl#VY31`M#ZIw`mAj^X5+47wC=9rjO?3osL1u z*aZsPmbfK^J@z}eWhoM|x6V?SCQp z<*HKk2#4<Y4h#lDGeb_@|j zH*@4F55=jXOpmn32Nw_Ras{Y^Dq-Bs6sQ#<=3&x?R&Av5!4x03y-=ztN4{`i^LMAn zRw%yrTd$B7&Y9{EDB#_qcoJ~ldm%&i&T5Y99;C@g91+~VE% zCS`?0f$mW#I9@#?v|XDvN~oFZz!_Y_8fWRDZtCSlZ8XI;P3p~#!!OP^4L#$f_x(D; zaocvF-m`q*21Yzxzuk71o8#tIkuhM&ZYi){xp5(f^`f~S50I)zDv(NbkHVc6$uJ#8 zYlPq*CEgY)8Y@C09EDFR(M7?qDb`y5iLE9gxzSGce(>at%%V`PA7e zB;TTsv_ObM2l3 zoGzYvDJ7`klxI&4b6s9m9JK03l%XRBFgZspL)VTRXyInrQ5SL|`h#{M6r5u=%45Wd z69r@f!bmCwx_}oZX8sXPPuh`f%a5Oo1vqM`H7K{*8Vf`I%<^+UasP8BEYC0xG;0lS@R*hp@mY7_;W;xJ)IeKS@ozlwjaWwFUy zf-Z_tSJq<)rt6y>g0@Qkf_6z;$J}ewZttR8sBf$D3ae0;xu2m@4^r!*usu=Fcg%Yi zI?Nm%JX=)Q?mGBPyHUeA9*NgD;$4ywZN}*U!`ylFVJ^z%M6dFp?B|e=b9*{Ow6rny zm9iE-vMt;aYby7!r+_9ar7fDD-6o+S91^wNv_cMnnLP=AX;;zNCrl=CudquzQ24#p z!ed77oN2Xfh{Yq=r4c|==HM_?`+Gw=Q1Evt-_mS;9FN)r8rlZe>zt^nqedz1RJ<+} zTU)dW2{CN?7jAF#5){VwW1WW*F6!=4l)Wp^Qn-Onad73jBael`@@-ZXbx`O|FaM45$lWz2>>k4@Cg2Y{Hty zz;PO-l1`VWvW2$%9#E5t7;$`Puj1ag8XuOx5Kw}WysV&)NjRmVF(tbAm%ez>^kj3M zkDlwbOmOtrD7=UXY6>U~(DF=J_ZGqozOi_wAhlKQU7QGGo?X~CFAbBH%A)DuMAc#h zQG!iCG$=gS^TgI+pbjjkz_rjRK9)ObT^!>n$ZI1X87mWU*GgFn4zxU;X7+H38+4U& zUGE%v;Z93wFBfOvm1v-+ib3O;Sg0*;;cDX`I4=}xw64lC@=k zcixZ{Iq1BhA}BxujLXNPua2Pct;Low=YU=x0s?i4Cbe6vu2rSn)UQZc+^wJ}m@Gg( ztWu*Jmjc2rEOcg?j%?oRET z-o`81A}@r}XhRlcql5=*hlGo^P%--U`B~1&fkhyH2#_ib+dOX0s0TN(f={A-bu&rX zf_|Ay31&pXquvw*4=@(G?L|upCHK=^Hrw!=(Bu7^)+Rci-R0vn`y+5a#<3gxrCdVI zL?l#*={`^aE3Pd;X93f}F{fapqI5tHv&Cu-90f1GD|}HMzU&mQ(5kbeda*K2PK4`k zJ%VWba6$@|SIgpOO|kiDJreR?_oa`_vt5GBO47=G8Y~eSSWVL(I5{ZVkoTFQKu8By zg~?M3DBS2|EF8%UKq6qnqZrl?jT2_nTJ|Q*xjC^@%xrdQZSGDH??tD)7O9A^Fv~hT zbxI1Z!uJR~VupeCnVTEIHkhNC`$i5?FdNa#S)#GG$D*~P>FXOV1)Lxq+G}CA*5+ll zgm~3JgOIK*;Ei~7^IEW74?pN%6*Anz#odJlSInB4JB^}(!wNGUVvKZAo(U&y&4#-X zsuW10@Z%WN9#N*Jr&W4K0HYvvh?o(~Fr{&bD`k#lZAGEP9JtS}hu@f4lLa zR6e!dxqEAHF#*l&@n2}xgiP~X17+eFrpL2WgGxQ+nAdIuO%=y#e;hjnnM7m9=!*H* z(;XwkTBr?0mD&lY&$X&DrvgPultzgrTzzRpY=+Jot$)Eh#Z@nobSOh;qn?j55-Mv- zhuVKoQg6f#ln(I2(z9<}NK&{e-x`PW=z_`z7RDj(OB`tjR0KHtbyHr!0WLI20YI^2 zL&oM-l9|FoG@&cuEAb6l8Rq2D@G<`zlK4{7+tX`Aaiws_Rbq=;1VkFq|$_~P@=IKkWBz5eK?yS#t3Nmzf|dSH`<(2E!d(j452*Mc*gp;J<+8iiiP6(xeRY@Lc)dezKim#|l~ zPX)C-9SfBLtBz@>!x@aqUK5_XL&KGTvJ?sS3>Zw4eUa2SE&~R&`g0ZX(?Z2mAHngW155B9MfbSWQ=+_1uE@-2s zX>*-ag3uyNZJG7RZzm3xOQf}Un0bqChFgO-H|#SolshNpblTugDXqX1jgTW>k7BO? zRHGbjI%|-W(zjX7nYd0hU?p_PL$=)>3ES3Dlnb05F$xKlG_h_Q^~Ku%luC~h%m4j< zeNZiW{ne}Ax<7sU$9M04_xAOx_iw-X!*}1l`~I&J6Z_>a;RG=Ne1zx+pk((_=0!TD zX@Q#BltS|*>M^y+J*qRVDkg3<)@oD3t+*fN7<;P`Y1$vGgFgNIH=qCV(~qlRzJLGv zoA17U^Y!oa&yU)I!u!|XzWJ}W`YqPc`*&Y`^>>TtgY;I5=dEN=cWU$c(G^&CQQ*mj zn);uZ0A6)NFCbJV9I331*Q=7u))BdQ@o1m?|MSsUQ{Lilt6cVUnrKl??lY85^sg(4 z*8p2=!iJOfDd9XZHD9**;<32O`sJ(tVPF4mJjLlFWt&)2rW_Z{B_T$2VWS`R>(!ekG**;Vb^@_4~K4wfjH$f0Qz! zvgq0G8*#o45q;!xKK?9TWdG+MbyWp_p+-%n{t|4CY$ghdK(Ohe-dUIkKVE?wg*@e< z{FpFJVY-uoK`jKq={AD3NtkBtdZys7spY!Ac&5i_IrmTh{hvS9I3n8plUqFnDzgGz zsQGl}QglI+F!=MPfY=VSB<<;VBn4T4pHfi;;2eZIQ>;XrA9P(Pt5H+xgp-^0{Ykw6 z&>0~etehvx>RQJd)-iTPNKrldwfpYdix>Iz^CF*{fBqt{_1is4i1&?z(c@c(Rj%K1VXV(_vHe zR<{-d)t@D5O)8a9-8*;VuN`-Sm+t~oEAQW2|NLE)p0mJ_O&Ze4h0!g(Oi8oocCvy! zA|1zc>Do&L&>vP%)b55Wo9z&K&ucWnu7vM71^TUcXB+p|w=$^DsImo7*!H+?i4hBL zjDZf6kXpEuKJUW7pa#OpuHwzh0Q`8RX=46`73PJ?gz~V@;kYY;6u^?f6?Z8`isJ`r zc$}8mysmjEw`p-lQzFNnA`>B@bUg*a9V)4YDiBKP#&wvhKBMmGz+FoJW$f@P!Q#2$ ziZHbXthlKrwv3C5IRq`yn;y2|z@x*%8?K83bba*zCuP;FHJu;6LB~=ev&**FOzHbPbC< z&Ao6*92Cw<$<(5ca$oi+y`8(*85f{;2V02%X$;ow{YI_b9^niR2%o{5W8F8h&0b6c z@dXN0EAmGaJ_kgowP6*{v$loRvS}snBHB@3(635EZ0{|gwR|IPjBo}Lfwm*Wd)p$K zwQEm_t%usC!c{4)9x+~3v(uEy#A_^uXs1vjmIg*sFWkO3a^u=1Yftt46xP!h-ln~&Yq4TNbsf2g+!lXo5K37YbuJVo+=|vnDfy-HV+k+=NZyvHRc()ji$4 z=U&>557luS{8zg^JJ&6s8(I#LZNcJz*$%1@i-rk5%cJ^JsLeYa)}yA{o35f#MqMAR z=T9Hsqh~F@{_f33!Q>}bHJ+qs^yr@rZ8uXm3+^cMRKIs@S#fPhbRmf#VC`Tv0HYt6 zMGoS0se(Zx&{D0(e+6HL(F#qUtLM4Mp< zMn`ep)eu7U)F#Dsi|unznlLFjDLdNI=^r&iii0LAs&Zi4MC(634z*dY7H%&poSt^< zGM1)6;g`OTxgq}$$C`x>-{f)d-9hD{r9GM5>NDr6Lh~_uT;NCEHA%EmJ^eVyIf+>m z{7kIKQ`XGx7mM8WbEhh7ADU~eYK6OfDm>pbP%j$jwFl0seKIuWV^7fvwhWBb^kIHH z$31I*%G+@;U6j;aXS{_KqgGt3KAkI}H$*eS=r}?Ng=vbH%=WAl!K@hp$s%#I|maMUe`AWRrEJ^|qxfo8@_gj^|$ zTS)9Pxh}hmJGL+&>cJ+oyb$!u-M55H>B-?;ddzwh4$ng&^y%d9vF^^Yb+)e9b7y?T zE>ELpb;m-?#Nnu+JnhgAFzwK0L>ozir(NO4Dbj)4+riQy?NA&!BDECa`*<$ctc7xk zMqwT7>NWA!Mh|1)e2T*;GO`_|mb{P0jdR*DsxW3Z>+!l+!)x)L{h->~D~cU9f*AU_ zg|Ms!L*I0G3Tp(|F&vpNgLzVPt-tLrb{`n}n|I&*l(fswY`zo8jD#wIUQ)0xKZTge z1`a8nr?89;{}|iq94;nT@r|n5TpJ>~A#24?Y#hAn$7r+2MP%|u@j)IK+*KYGhBWi?!< zp(ArQr6PB&sj(K65%3%zN?oH98LvffP}3U@aDDZZ-#E%VXqfZu?B&Ia|5!ltlP?aM z)iNu~YqceDhfp-M&FoE7rYrxQ2=E6J1if$vuR};o>u@4Xk5>XMclJW%oZE931koKT zt)hfjiWK1sF{|K6yHmK1+G9F9MRoOO>$rp&6_vGX1?hu1AiS1IM)yq-!(CC)#@l{r z6I_aL3X&W4i$;stijb00xD&@ljqk!f+0tZ*{Z&1?y917=B`PAho`lqj28^m)C#+L& zn4uoCj3NXXw4Z26EfnI@4aH^nY)PnciFyS3L=ax)SOg6LE0(HcZ79gWR@-YKb%#Ra zZFbCz=o?80$qSKe%1bZihI5~m&AQ3Qb)CwHT)-m}kWZC1{nL*rJXzZ$_aBKOH<$5I$heX%hd-ZD_3w4oJ;$ zfF2hiSJ5?y6ZTDLBi^EZ8p~-T#~vP2M_fseS8HN%!!Ag`i5HikXmSCIS$HS?4=({_$!8&_S$ zjQjB?)UQ~+(JS=tivrw%V0OkWdET4W(8Po9K&E?N;O>yMIq!0Hj=ydNh#^8d(G{`! zn-K`eQGrr4tod~94bdE5p1MhW2@3T$a&%Fsf@i z3RO`hta#J}XQ>Zc?J<qwA`6NNGF%L9G&Yk%z1J;`!Ti{`Wf zxjV%72toq2bgmmzb_y|&I{7^asDyr?>zKqn^rZE~!vtO4a&T6u6g?Z|?KJM+Uc;hz z4svq_F(CIGCAnJ@XGWuc`8+y~1kNRN+;gS6Z7+#dD?#z}c#1ZqHX(_1_kzfuHu>$j zdqf4p3OOTBBygVu=LO8h!~M?$W=qDD!d&NG2qN|hTMExYus3 zW1%I0F6xMmj?#?dj?*5y5G%IHLFrYLDm>u9piO(_VQ(=PLFIzqA(toAx}9!wnSKk= z&n86F`$g|4&h@l|=}GOv;nE(S)46hMNH~bUF@V@7?&%J-19U&;3-0pCFa9Pc|HSfi zHfoPu7y@!7h?qwvvA7S7y3Tpd*cFpIGRz2j3naEq@tP=?aJts4qltT<5}<%oDUS^g zm{;a0`VU1xKZH?JJhaJ^_L&mEwksHFh_v8Bpt}MChbg*V+m5~P(!VRF9|GHA)5!ej znKUm7tU}Mo4+*Iv34sWqVyP9>^tfX#^cM2!$8*LP67CPhhMVqNg)-b?XuB*9iJTzT zDy|Jyu{S{&M^Ul)E#BOHpX)#TqhzE= zMcdee>jk5zq{i)GZyc{^YP4xIb7JXuCT*vJv=y77Kw5kzC(-KDC9O>-BsHFYJ4QCH znnO89L6DPD7ym=MY(w>Q3Os9zU5_wHb?KgVT0|~mSX%Dd$$Pc5Xk=f?Pvy~6J7z}%;tj-AcAXq+#0Q;iqLxVNfQhXo9R(Q z(Z2|zUQ_E*2+G-`WiFK`#ie!l3){V7jnOOWoh$m_MNiA!=X{D~x%kjt)gPN;5Tdwy zq8J!=lWENs6=n5@PA$qy{El5}kiwop2?q;N1*9ah9XZ43FBZ|SKP;k8{t?zlPp8oI z^U;&>NN6hdaQ|um>y@JyrTU)ddT!b@UJc{=5w@Lf*GKRfO{H7BUvH^VximqKQ>{>K zQHX#1^%j9IQMRJIy!MUpwj3WPN;xyf?Mf}!jP05^4U$&Oym9e6>mGsFb2fAAWZ>=6 zhdw6_A4+wTRO%8>AtKkb(L`*0c z+sM!@V))Iw7bE#Xg5Ynv!afY{`R-3bb^fn>@I#2}CDW>?6meDRzy-M<9T)*BXX>Cq zK%1)z9Vb)`aTnF7DD1k8F2WOOBj5^Rn_%Elt!tH|c`egX1e<9;q_$$Fss-1SkA(NO zyq;QVr5UX+r7$G~g?r^ug-dMzID>R`ibnhVM96U+_31643*S!@(?j?%FP@)QUT!#1ucdmDv^>(%Wc{Q%0UL#jDekoZyD7@1&^y^ zpES^6u~*rL6;4rTQxaDk5&cja+(bH}_IsdJ-XR8h;!!(7OO5b3EC;Am{hGE!fX+wP zjXqdKkWD~HyH)>PA%gmf5Vf+>bQp*$BH+kKyEOMhqc_|_X!ajMpqCz-njNK}AkyyW zTnYH=B#KWt6jd||CNi^W?Wm%sqBWsRckZcgX`pQ!6>e9iC-|dg<6nIK%P&6toBZGnq?R%0gIE~=*u z5eHTvn%^C1Yb59dbtbiG3Gwd|@$|x5diGU`5YJCh7y>&-Nj%X^QFEX|1YP`(_Wb9c z|LWI24AFS~_Pam+>0^G)g4BBNAuA=+Ly5%NM}=&#XSfLyXXLV4driSo+aL0b`y};D zT2mp8(+i*A;(S7=H5p1F=d73BUSEs7s4_%TY*kDj(1vX&C(^y7u**#9 z4cZ}$Ap)=9RVmtWqC?au^@*tbQ20?c?N8;9Fs>kKn^X`P%XOqiPn^BSLo*w+wK{ir zCs|N20OHfNV>p>TH_U|2*(?9V*dw8kQ;-}Lrx6=X_1t%VnHZ35<$lBtYygrcp3%iw zKJsv7X?*c_By7C?@{e!6`NPLYFu!7vC@anLxX>VsVRG}LA-dXmtXQ2@aQ8}I8ISF# zP+DTF6!CY>2KqHUGok_jhe6=&pdHC*;Oe^doh}m!}{Z_ zehfVnDh?FnaHF^sc5b19k?G+OQ(i3|3T2m|sPZuVa0a7;GU%0`xOK9!1dk`&qL!;R%H zsK=r+vJUFIxP%wp=@#o;+At4@{t4Gai%TTE`99I5(__E|36}v^pFNvi8B!9>Sme zsP??k2i6nXl@CKAI!8BwHZk`|V$u}MDv5I;Zv+0DV~dOD*!=gWxD02;L@6OweZVQyK9yBUh2 zEvi75v?iU~_f6pN3LYKaa#WGriSV5k|I*I{i(@L~7-e91d}8Rv`AWI2S&=7H9`}^U z50p!ychu6lJ6aJ%{gfC23MImY2JNo~89xy;?T_u+8d`HFma73spoys_XcdC`hix>a zV~lyni_Dx5kc!oM1o1ZE+p;gpb_#`zb2M7!Ba|ZMBVMNOv7(}L>b+298X|TFRd^w6 z`cV;Wgi5c-JJm*QCrXDkaF9X+KpD3gFQ(zMADH;h#i(>BJmm5?cZ=%^o*)4u8F>c3o4zCzW(FmpRR>`BGB6Y?)Kj<{1!@gc*-L+%N@FP^9Rf^lVI(h}XPQ5>6qFKOQDkpnvk0qknyGxQT}~Yq_YI5?#@L4Y6R!5Ik>K~ z_|4sP*$Zk7?Pv2rr}*%`E>^SPzxH_70-$i~^i9Y>196G*;Bmbj2cCS?<{2xtEZl`T zwL^2C0+r4U(iA-GhvR_oB;qLV(hhTPG+E7n+kzr7jU*f{aPom2@~{^D5=C#Ph?zj? zUKmxWRlpD;u297tkXtkXE5z%iqP<$~A`z#nXDE;V^}yvqb3U*+H>iuWzImE4q80s8 zDAPOR!fDu_%W5G;l&6tO!2HN5K|k&H32`$-`8yiGl`Apjq0#hKT@2_Jo~s@s_)v1@ zz)qXNJWfk!BJs*w2!J#fTAQc5TZ3fd=N(Iei!2I@C1xG zW6P8g#Y%fLJWjzcczQWCs7sqt_zf55t@=5rJTaPk>PwX4^reW@P+QpM5v!(1uuLEg zvFEnJqh0F6?l*F$<@j0|K&Z}j=1?3ugWCMiuQ!xC0j z526s|)_0yBi6U_u#421ERx}?sq{iw!Xj7u3TLW@$8aEVXO&nZ5pO}eK(Wg~^JPuIi zRIush1#bW3H~%Q${ZjM4b0Mcyzv|gq-!1xdhc+52tJDhij0?8wC+9F7Gj-GEr5RgA za8R5C)m;%GO}WCkUxkw=i&l;Be6DlFY2$7VVV_dhybjumZm-Rb_jA|{;;k1KFp7hR z5Lr8ESQ>HH6fO-pJ!iseVPS-!WQ8TmRt*)FE+Z(#+OvTj>K&pmwF-ADoxT`{U;m?^ z$2fGLE|^-4CO3GL|v*5b$vm*AxUR*WKdJxt|- zDLyS?G)CghonDOKul`XaVFXh#ipzDdT$G|mqskFi4d;W1KSR*#;sJO=18aXy? zz|Ht1LbyNbK?C_zy=j;33@Bwhy0RF-8&X=*W4~lYgy>>YJmnlv)Fh@Y-Fe0^D{$%BAe1l*FAv z%-SDH5=$UTEU9RYC`7BAE`VrUUnXGOb(gi76nCxrq{WUI@OgL~Z#A6L&@_mdHGbXZ zqV7nMC0^RU6dqrhDj`Lwk(Vt-DT}@eAGiINFdvLerhVc9ok{ok)Izb z3*4zFZ=3da7R%N$^OY9g?Q{sXFSObmGPVfvw;QiuFGGVH!s{L zq;c@461NK430s0@0?d*YFt!viM%#~M18%iRgWm-Xy|O3WTZgNlRxM;)j5fqF*HOVz z%)%_fZbx)?pd?mV5X&!(T}!435i5zcf!At`X3j0yI8$7xkci*w2Q(6Bt&;_J;R4lpqfxEemP*iN32E1j z5`|T%xx=>QxsSJLD$_oqaanP;*uHm;6Dl2~QQwc&vz~I`-#+IqzC09KjTYGQ)jy{u zV(NR|V>m7m^pTC#T;RWHX@rf3FLiqw^Q#!8!ii#V#EVelxzmU2#Zaz(&<yuDmnFOJi{`O}wQz4@n$4Es+Fiojk30plaXx>3;g_*LoQ;^Pf|nhT>J zA)B1FGetG#wi5PP)Cu~-P~%sVM)g=Z1Jcm0@TWFKvRMGQ-;8J9DQlhyBe|>zF~^bC z$xad?Tyb$XKk<>QVsHvfVmg(!+5`SSCRNx%FN9P*In;>P2xdqNh2#5|@Bod{kV~UZTJ9oZ}J`NCIlK>T!E! z2L*-^jB47z(tQ*Zr2uRQny7|40o|o4eVt! zeanj#^4Y%{eE8xXJ>2Tl+7&Q-PFs4(!2_PArSxnF8Xroq{Q>X2D6-7BWdRfXi*QFc zb`<}q?WE4oD*LATxVk?wmywjIL_JS@g1rV}>*FxEr&0ECXis>7KSZOfMY>n3-#?8^ zrD41~8u}udXPAUpn-;P-kLx&?SVY~+!)s`iy!xumWQ73bJ{&^>1T6~ePCZihc0&}k zRebZb8>)z+hVl@Lw3r>{U=IWby*cW!Xm~ggtK;yyj&*Df6PJcLjX%Z{FHf^#Mg=|! zR@U=)^ntj?fFBOAf6P)SzYZI>N<~2&cM}B$3Ml*3dUB?xo#v#pc7z!nJVK8vu8X8V zRE*7=81jjBh<&PP4oWV>fpMR4x!-O*C)p_XC{A~~>s2`S)`mSw+R~0mmH3S9oY)F$ zgqDv^pI1ME;vc)6bIp##(a^WVxjU`a7|SNI9R*!ID!*9UX+?;9WuXo)a8H58q1cVE z07vNBq*rzr4R~%%jG`E>Kez!1{vLJOgLaL0H6_?-j723U;)m`D`8SR6fw)M0vC#Uy z`@J3WNpq9ZcPt^0Vn?im5-c=8POVl=ZjAI7O-@bP2K|p%5M+n%nHGsgAcj`j8Ie2@ z&sKs7b*H_%X;Lh--)pVkc@rm$REeEQ@F#fDBo1na-UzP;oNo2%p5QqZzev|?{AUQNYa$fN6g{|KM&fqAO@5ql65Fdj&QTAk5gc(;ZqXV`1SrXwFUu(oxN-vG(IozEdEBYDDo)tTvQ!@RT@=5)G0y zA&d}$(B#Myg;{#iEa(SgOj`3Pzlu2LUE)*bLX)2RW>1yqi^aoD@Q398+jk&-FA8UIKDO`4?#lZ?T|*vR;T!ZU++4JqVvJ1x2JfaUYzR zpO(

iDQs#YA(V%Ty!dA+|`n!*-2G0GlETCqk80==>f_sl8qJ-IEjJbi}capi)|o zP>iyK8(X_XrZa8xEj*^(7e=wRY8iM`UFj7T@DnXwflsrD`ukmoP0Lmb>m-C)rw8>Z zpjYMSoFYBVZUuqktqgaZEH*qAE?Y^N}hK=xnk^c;fa zP<&Y4Kp0YQ6C5TuAE)Bae*I&W@qfJi<^vIWSxCZ>TDvsvZC3(Ajmco#J5va}TRRfBSiSE^gh!N5jlIUnbRyi8p`V2&nv5n-{K_{1_Gbhohsz> zsN!hzJhta<$t(D4ogF7mU36QBJvfn&jw=ZyT_kl1d+q2{4kRibX&6xeYA(}(DH$ip zwJhyIeI?pgT7dOGT*&mzX_~ol4E8?^gIHZf8KZ_IuzsTgky6hu=KAv=IPcHT_2ih8 z25x*oDVR_kZ&*n?ECbfH5=H$ARl}fm^*~Ng6)@&5(p=L_Gpar+s z^HRKaQ;AZ9>A4Xr2^DXp)qr!O7Ej!jGS6+GoQ6CI8rXAMA-#@hYZ8{M0)yv8f3&|- z#?MGBd_v#%5YSGG%7A`pM(?Z7+G9#53dwrI z!1@&SCZDxJK~i^Gor+Uhd3N`BkZ@>gZ>8(GM7i`n_CQ6sm5QY)-PdhY3jbrDAZyvw;9N@$cF(rmk6S?BPq>HV#8wX&;FVHWvnzEHS%T6%r7j9qobb1Qh%QJy1+ zW;d}*5nSz~)ti8QUE#D`O1Y=$iz!{s?_#f}p0~}SHV6L{V)vAUae?d-l1Bc>SkylFA$0oFx0w6vuQ`3W7#?IM-?1tN;i7G=ekqx-oz#AN$;Hokv3LkmAMPFP3*+n zD$$MB{U)~c=*8>TZPkY&llO4+sb@7iTr+!3wVa!*8y;2^NCXq+nyvbS1N&M$`XZ_CAg zau~0D_*(XPiS-#l`fRLdo1!G8IH)jj{^kO%297G9(P>k;D2PJFu_%BXj|H)!_MWeT z7pQw0sd+p_Z+f;kfD_=AC(y!HiltL*Eb5@yhIigf|$e zqg=+>0!5x0s7tVRB%%WA$f-oT9?!In z+_Kn{H%_1`^s1EZL@RD|u~3lp8_s@7{HX}^cluZBh14n*P*=7%uf%06DEd6jRVZk} zmR&g9dF<5%@5)m?gwR~g(}ah#CQR(9Ek-~^#H?|_qxlzE6kaPs={$;}D?MOVU&YV* zQ~i5#SIT}J$sN?^82X&FhXtt=)b>UJ$B0|%log!092&&95L&rm#$wnFZ~3yP?9 zK9(<9++)#LS~$KjqoXiIr=}JPDg{5TkI#Pjn;)+T&$r+G@$IYr?c3kKdH>Pk*ab0@ zz-81g!qt?U{b84|TSiI@SH?4HyGQDg3Bs9k1SCXpPP%$c$m%&?vhr$&sc2aAlU1vL zhP-%;swF@xsizSvh(C`}^APSy;sTtKo!Q|ve=dE#COlAJeq}{nu^7lv8)8|O`UtCN zsr{I@9+Xs2g_vE8z-ixx(VvQOrP!uzUi`)GHdG82!IRw`mm6Y)!Uh!64Acd*)^bZ? zArzY#eT+te8#4Q`+=mA7$6Lam8N{x=nuS@YtG=i!!p5(OvPNQ-mY?u{L9bi^k+Wye zLtKZ~4{lWmUZMQhHP42DTgo+OIx4~k>+vG*;~0u{dF?$N0-XB^%SyIwMPxam6{LWI zp=F@`NO|kV^+li|A5WK=65e>s$2IjQ|MsgYA!`OrlJ^*WN2G>0In8 zZwr+;4JJ1^TB=!r+@fX`vvC+HVIyRRs1aKY54(PPB|8p9gl=d>qP|sFg+5#!U>jN@ zg*Kj*>Cx&B`$br}C>jaHXiY%dw7uy#5d_$8#3m*^i(A$pT-OlbjQfescM`2ww(k}f z`{YM!dJjP)jfe>2j?5JOq}0T1dapy+IOn8s$V-@eDMvXG?+7;?7}w{R(^cYZT6M}$ z51bb6xHkhZJzOg{-PsQ5$7GdNv?~wAq>kEiy|o|Do6-%fsA# zWSXjYIg!{!#sG*ohMjX|nY-JRNh2u@t_Dr|+tF^K6J+%}?#5dmi zhjIVpSC47uKN2)jb4AmSJ3g*#6H6=jPLxFP+Xg+(rV^t z&Q4KGDd@|nP20Hmsj!kV6!sB+(3GEUDFu;)mtyXZ!}^O~KgEfE>oWBdqxl6#OndO? z2kZiHLJ0y0mw}geaG-mXfCmLxT}UgP0ttSvW=0m<7L`m*nOdPknUca*gcxRARAc*b zU4FRZ+EO-*%hdcvR`80OPMMRx;GRZGZ=PUL9jA>57;dT%>Y z!)|-Z2ND(#O|epF_qy;I5!Diu6?^dg?yKy#!W{=UJ-PIp61Y1E;e?*ic@zi?`tN#m z(eA4u6hj?-F8WGsKXIHXa7x$%088}T@Hz5K7fY?CInGv#_`BxFZWHZX1z$ax zIr0&Z8P8UuE#%D+Y6hL)a_qc^uTgv>@H@3;qNuFF$4Mkn2r_a{N2i4om=VAgY!l$1 zS)P0gn9hoRhT=<#elXV1ey-d*tQpGcA@I^Jw z&MkqnhI@X|4ccq8gvAyVrRnEIIZ;b-#{s}O(J-MgMY(g|!pFPrm%ski$HDAh*nXdL z&~vPlB3uf1jol-sFWGTspdfKkDiABZx)--nnjI|~JN3ZAYB){AqX@93C2osD;I1*; zjeo9sIudY#5RdxIXz&4LgS2ek#ilpyJ63)|ATm*NriHrG-nGuc$2;(uu{J$$Q9&N( zp^xA8i+^oh@sirBB|Z%3=XDhjr^#h67?ni20d*hwe8m?26n=w3~v0fR`9I zZDL{8L8FsEkT@OHbK(F(FXE23=flS`Iim$rXlC7}UR`eOwTisMk>(ED4Wv%;7g7 zC(fUHFq~?O+MYuo7fYKNEycs9_y#sA99dOJ>3Q|1+|!=h_3=PIoqaFQ4f`Me^!l4W zyngrU-{1WHcYpfB$HYQeIA7;Q5!ea!9HAZsNg@FyPQfURjk!b)1jRWu8e?k4!_c?R zvuV2|ToV-wX&m+1P9yCsci%^4pKeky1TWuX%rSHTb5EqlodmAmsD12c^~EyU=A z326ICn}_izK6$;!NjpbTI^G2}6)pMuiBKVmkyb+z2~63J`a)EP{OTGQVv0XjALhI zgYXZ3fd4+a0w*4#bh%wzYu-o`=8ZBhZmZ~Z5Q042Aq1dZ;T=8%;_2tpY!N6r?28)s zrbtU0&8?KL3?OcFFQU_Vh;wT%T#ueNr5QeoYo9H=SioQWz~p{@;ZkvTJ|tC?B2^R#DgEc)Gp<4wds3B6S=mP{44CGj+2Me0WiJ5b*N}?OH5-ZKPrY zGXmtv#6Ua!Hq+xVc zz0z-?5L}ExQ8=>Jl?LeST)i~J7zI|$dQNoPDHOXSvFF2P&{9*FY)P3&ge&9EDf;Yp z0hO_Hb_X*(1Jre4VxV{3$9*X*Z%!-?LGg$~k{1hpjM^2a>KVG*^VIJMc+R8c#H|wd z>k~e;_qo}>To;H%-oxg0QC1+9s~;$$H|OB8pjt&CWwy49eLA7LhPrjw{&HC$s3veG zI5oNY(aJB>S^veYN(i5ZQ%en*n6fbchr5D}%K~Kg>ZxrQYZe`}=M>$;KvFPM`&mgz zkzru`cyXBR3xz_0`x{Qfik4g?3Nvf0xh!BJqPF9Stl&Xk-Tj!*V|^-MRWvk!03q)W zmj#OU3a=KsrH&W7*eAv76{y+uZ8RcYtBd{*`TgjchL$EGp|(Qy;#7X{%~XP z(+gL7RAHW*tq2eC`*Q=&W5lGS^LPWIeKfA&KD6wf$WWDI^{qT#8lS~Y@AjnA=(Gs! zpU<_zL?~2K$W3DZaH}v4>(IIF8Q*n2y;I27l(EC83j&Bi97v$r@y(@1T849*&#l6v z-?wqCph!7zd`|)a2^$yF;*Zw~S?LA6Bw#a@XU_VZW_<1nufhJP-J8VLa5 z44-PI>sL=i8*&fIu)^fXrjOb;Jf(Uf>YO`t5>+@Y-sYd~X14_|pt zxbO(#yoZK=+Wn$Fnl9B70lDXvLEzX_fATbk-^4!AybOs-XpJHZ$!2eq;4)_-mJ&zo zqU_A*Lg7!NJRF>jIL~YO`&E}9NO5QtoytL4Qqxldn~+%0AKvptn8s!5P%N0PDUWaG z6%PR#*R+k7fQ7da5obe*N*y8$36XQ?1RP8|?3|)6bk@6LV>wy9fEZ@8%KH06eCjFV zHcImz*Fo0=NfsHUYu7a^>dwlE8<)`nOj`5@G$?W&@3ic?R^MFM65u+g+~KKR(e^;0 zjt(CMk?rQTBvEKZLyKlCM9Mv5x#E>iWSb3-{9*!s@lo#lsR`7&uN~3Rcxt)iM+IFQ zS>SEuc_7WFAeFWC-D6TXkN_qEipg&v&W4pHZYthuCR4bU3-_hfsWkz_)|>><`^4pf z^;gvr(@dk8PG=#0%mSAj5eaxt8z=5+fpUESdaz=Ybk0+tQj1Ywy}0$+g1^IxWMs0D zRl=cw*dT8gFCmHj%KMXm^iu049u=fp9Y)~`Xl zAq6G=I1#kr{>hHx(0g;Ql9#PiOV^Lr#V^132OIlGsR<#9(FB61E2eGsFH#fzFH#fc zk($7X$Klu>{vtIY23i<2gsJ}`H8CHli7a%B4R^PX)C9c=N)kC}i)po$;!^&L)I>5~ zv_=7#BeF{=qOzqq1m#xogV4l}ny}ZE4vwfZ z2R1PsoaO#iXd>iIq4&iQefkfo;s1Wno`Sdv7epPbag(LR(e_X&*wiCpDHgDO(+u_7 z(@&zcY=wJTxF@YcTDan*LQr@GD}Drrzqf7H_{GxHBD+O&g^i;Ao*n}_i%Z~U9#={f z0#Tk;XbgA69JeNLtT1IT$E8HXK^bO)l)-Ce%ek+x3B)Vz3hC1plpR+SXVX{}%q0b= z?ks#_=b_(UYX}U}xippnX}z{)ERI!10Nv5fZ=A#uq-U#AclzAf|{xO*ODdpd8Fr)}V} zBZ@OBD@|L{jT>H$Pg|pc;lc-385CdBqhjS)=4Q}F!puRj{t@*kngoT27wh)#r3im| zwWi&B&F+YL+&Q%{j;8c4`-IBT|e700BIUiK5Yj=mWqO5Cw;ha*tYco<y%ow&gf}9 zhE_FZhcpWg?1JtB-I)%_L*G{Wk=hI#9N2pg zUAvk>P@1keXmS?|t!`Xg#)%>v9p+s-Qv^eSoKi8%mHI@RixQp3W|bmLQF0p@;LUOw zlMQ#$9+-sW*rUy?^@GaBIo!SU1h_y|`X23x$zP?!b|cAhm401{H1{P=Gw#IU1l{qR zVVQX$$#|ZI8NQfKiXWabAIv0Ov9Mr~xBMh1^sM%(;4FSnhP}}JBoH3=i9Whw{2bd{g2acAgNU!y^a%tY ze??eP@XJp>L*x7(J8_Dssn(tx^(hbJr^thTV(v$f^-8p63L<$c_CIhRb($i2Gt#5Vu`$a!Hg3VU~KHtwQEQaixK$V z>t-&5FOuF)EAc_|WZe6)9&klajswg2K^>sY3zc-y*>(JIfc@#OfBo5~e;;`c&TGDX z`?oEcO>tQeYk#ELCspgh%nBK;IQs8J(oo`RMIo~7C@hoxab!Nj#;KavF=Sl4Qw+3( zdJDI=sUVY<-bUE4VBVsY-3|owxNA%K6m?aOvB4ovu0Ow*d+CKyp1C+k)i}AVJkyl6))MBKFYkCv3-4sTJuMq-?rfxHAwl-vXhA5UDuenpAoMBavg zqu~)_&Y8w!?pOK@RC!z4D~^r*I?hAmY>;?83|YmGw(Jt2zxx%frQGe(PMZ$JG(-m+ zQMzp6t7375BOa$=67RieSV4M3G0J;EDUQF;|2?tkrA3W;Tr_q!%%k1BX}oRXw0GW< z0=~xM&{h!;xU?hT=-j=sV_z($U;Lxnke^vs`WoCiO1#@sTy9V<<&!PCvm`QFbUTW_ ztsMc`w<LC1ibw&g+@V)<{D)u5ZB(MK z?h%_+q(XLS;DvK4tsK5mMKcsnuX||e4kPwpiuLFejGnfM#2BqSn3W>6T3j%e%R}VZ z@Z*VCxzm&=Lf^DW^xuWGwO59C6hv^nky=Yk`?YM^TVlT4@r^+3lR$zV9o6|q z7ERBLbbZ5Q%eGQm&$DYQ1}OLj8bgBYP~PE62ZN<_6q<4xUZ*W)@u(0MMciIr zOG#|qvx46m;iUySeJ=Q&}SIl^Q$utZ~iXMWG;7iwX+&W0bT6 zi0DGiw~yM$h{&0c9s{XcK!eEj82OgzMY8CyHRVSSya0GJ$uoAuQ2$i_iGVwuQHjE zb81C&vmF)DpEE8Li-y}Ly(cXNJ-W>_U#x1!a(BZUb9#TLvw3!Xeo#+j#Zf7P{6 zf2_g#;xU1M0U>K~_`!*R81czzo#=lTRuc9UVmV?{3_g(C5bVz=Eyl8q$KjDtdemXO zvY(zviBNlP4~;V-uf2KTjwjd;CXVH~GcNT~@iip5KDpga+ z!&GjO9yM5fmj2MDV4g|H!`ZSoj&p#|%>c!W65OSCx-77phFn8@2AO+$3%7_Vp@4t2 zh})c4;0!@RFWgGgwC^I*N==HXm*-)Xr!!Z4cBQo4+Cu}#z0xBYP01hUT%uB9j*8Jv zoN;AP8X`JF(Qg&Esy55S=1U+|qat7t%oHp3NAH3PR1tOM`@BcdyE~>vX*{(LH@4_Y zU||y;)_Q{lpn?=RilSvZMStRzq$PUeixU;iPArSWq%$`VA&=wPAadPHhU4kfYk*gJhGH6DT*xVO&}ZM^3ge%8xQ`v^kk z(UMW{_b8H;sV`KN42P1Gh_7Z|;3r)TK{ABNyH>{R9}n5*KPsXBlOfC0z&rP}=$*NG zXCFB^LjgTbROo3$gX6C~z@1zPO)9p^BP>omvfg;nGYFu;%nP}8a&v+|*5^_lwQW?^ zH0<%#N?wJM)06(oE?S&uTIf;uZ}(bn*tc;h(e=|w%P7RY)3UF(w#+YQJXG$Z0ItyO zF|AZ8d=#{YX)fl=pgwk0S~T$;A2BIZ8SWPZdmN>FS|fr4RM5}MS09`f^@y}2>a9e7 ziN8ZTxf~bnmP2noZvEl&I%x+BdPE^aLDFXrWl>PqD5r|g#rBKI`1Rkl?EaHU;l4+x z^TyVh<|CmJ{9}}Jggg{M5WHAQB$y|lziyQ8X}j`5N1~P?Ao)XE0u|toe{zw3XHX!CDOH z@re>HR*)Jy#U_gAcB6hXFGPg95Fdhr0(*&?qC|96rls==+9l*nO`3QjCk{fPq9w9^206Ko@;&NB4HA375S~u_QTxRiSt#44cqW#p8ZH z_B1CqJ{*jNBrxjfwX_x(QMrOV9L;SCtkfc&*43vb8vU_8?R6d-r@}c75|mI-ttT6E z#p;pu)Bf;tQPHOtIZ)vpRON9umS}J7+|*&+g5uV>GA7`(H=w+Fv>BDHW4x5q8jXAX zh|BIBd4_}q*q{QD#z6oVZL4|%n#Pr9>e@NTiE&>4 z=1?BBibrc53nDI|=TX5qc-b$mz%wl@L(4AO4O2UGxPle#)u$7XnIk-LOnW4Mcuww zV4wYMp#QmrrG1FdBBcNg6>oiX!*W=#ZpYOxQ(<@>niQ7@`r^45iYQ0Vn<)pk8X_El z>Qqgx3to(u%BXB{6hPfbYr|cl-a^t{@%(6Z(L+2V1cCsA#l5|nb(AM@)kC6m$930h zkqP;3WIR(gw?!}3Z-PgT8=B@(P3H_uz-%7P;bHFTv@Jacv>ZwzB+Gq^K7iBkN5LL7 zF9but|8%*a){?aS74!zhRF?BYbmkap0p_VenG^XFy`_L|21|+YPvM6$r&2^h_(u`h zOxVKX8k*LPvOmpmdaZ`lpfx9Ldh}NcXyl;ZFA9Se^{|Q`{8DtMbaOsBfFhXUl855q z7#q|WyStk`{9>{{{qef^pG@{ZIRIHj8oHFRgB>KSrWa>8Ibe&{A$4iEMHPk3-YR@m zv*D||BQWR>?Y%@#Lx05WkZKW*RmAOKT+7+#cwCVhdkw_)hu;+c3^g2>l3GeTAMbI! zs5WQ7H}m8sN>R<^BbD3J*y_yMMZ>}d z=@mhs#$jA}dw`lS>ZMoSxz!AL&rYCi+}Taj4vItG6ZJzA$H6jKB>R;&-FOaNdO9sNWJ*pW3@1Eb(67-`YmBo9jzS=&>Y7}^Nv)mx>FeY6D2vrc5Jqo$ z?$BZp&xqpl?&?;NYoScgshAS904(-9HhrX4UZd-C=+B}2I4Bg=?mnB?p69%%&`gb> z-caf|^H#KwOU=c%8S+sM{dU#+8m$PfKI-guNN1=dt zHqk!ZQVuVGU&P`g0)4`7PVj=RFjS$!b8{T*h9nV1U=x{eQIk`dM>OlHy@=fW7hIU! z@>Y--o1tg96>T-4z_7Z2Pdlmkg%^({r=7W{I}QNefRFmw+d>%f3fTz+11qX*yg~bH_IuJ z=AFxfo;24PzYAGu8#z;!gHz#8)K0`qrFPyw#k&QKP6Ua zD%)KeVgW3qzkKjTeDEk-Li$GnD-Z_mZH@IOC{|lOC6gK%q_TZp{}+G(l%Nt z(Ek+Za_CJ}p_zo1kgGTCsO1ur;fx~)W<&R`rPaEZ4Y_kI0)&4LO+>i*m?gy8t|E|X z6pSz_eH5LBmV-S3N@@K&#<&eX#p2C96*lY@>6YJ{c$YBPH5ru|_1jgoRg2Qf#C z0O%0tDAZ7iCHRf86sJfBOSW;guQAv{p^iy2;*N;|S`_KiT5Yi4vpptD=%{$xa?rz?(I1%?kfe9fP z(H+|q^-@q8sWWPo;m5ma(X@%^D#qssVvFZ;c#4Ye$vGUdIQnDy!%7(r`zy!KA^g2n z0B}H$zZ?&Q?xxnCKr2lv-72>&cUh5aQC{;v6LWCqTrj>Ebjm25iF_XpA;wB6a8}@{ zR^bORTuoDY4ldJyH3~9rSv(0rxuSoRVA6(f$TT!YcM7{Gx8R~m7@Xp8`WubLo#v?| zWaICXTj82%)IT>3!V*e13iwBIyF#s99HJ+|`eQ2iU7Q{}fdH%I$&KBGiChx$uv@*_ znJ}poHw;*Dq3kDSa9dFMqzRXLTdlrrX!aiNyQwidEnL`RjN8_SM_}@#fWELk~%@ zxJS8%Sg*MkoaAQr5jH}9R&O7%dMeOyibR6#7s~V7$-TwIiopmewW2I&x!xA0>|jyP z0pVfq80aLPG>G@7V>u=kS6OWtDh~vnX;f^L_{XK_?gU0J9B79!4?^_r(A1LjL`jPH zoJUT_oPFn1%y;FV)XQ_A#9geJTEQUDN>8*u(TpitBtMSWFMj!`OOEjG z9K*f&=9|~AzJB-J>$hM2FiZ=oY@Y7oxkqmvZTtJCk6EikSxvc*+eZu;cNd-mQ2{Ig zKeOTBBX0EZ*M0h2p1k|7H(z4|``0NF^bPO6RucK@-S61YA9k^M8vks4B#aZlan$YRp|#kYUyzj^cey?*`sH(!1K`ai#V^S?fdb95$BK#qi(=ozT3ELlgciAHmhR`WR>Pbw18%{3@ElOquI%vyTyC5hQQ?yfthHmgY zkjzs6!?$Np>ZY7U566pl{p|nzUAt2Vuv{;Q&?zcY%;yDwD767WiL6?9x;AbUjxZ>e z+VbJ(?(r(n3o8_xLyK0htgvkLn~10>s*;D{%;=$o^-@E&JZ9@b=)uB{nP*RcRwGKUa{#Jm8xUky&|Ciue&pH8%8n6E1me8 z>%1sfzTa^F*zCUD!i)G7bP;kvA>8c75+v9EDQXyt+>{}{2|^ldgG=k^FjfEJEk5}_ ze+xan8+LK#WYEz((~-27y~8pRK%uoH?Ay|zG^qsDw2q8M-W0dxfHRt?jwa1uRO31M zLMJ^f+bLpz|9Ja9-~Hj;UxVK5s0%B3wrsWQ zm35YwOiAT~3y9A#6@zLPT^uRR~|8N0Md&25~}xlezz|FtlDeVQL`U}Tw* za6zX#XZ;aJ@;J5;@xWXfft1)(3Vah=DaE;tsFe^DS4iL#A<%mHg>^!l<~;8Wdz`vo zL*s;O`rN}Z{^G8qh~vaVs}v7gh3r#s74xx7V_Wb__FELZJ*gStIQ7NoJvAYJ4VCHd zM=Nl&iaLg#Ty$gZgBtl4Gw@ir{_G5xzyD0!j=XTJXwTyaKQ7@0F-|bLz>5B5W)3hZ zzOE*RRg4ojX$;?XPjvIbV~~a#dsxUrqF`n&A9|xJ#T=o~ZRAGYPukzda}gf4g4n;N zO|WYEiL^K;sT>#KR}p%BF*px8{ka$W^e2`ULhJ_>gbI*a3=6B3TUibZ4COVgrFrSg zg>vW^p}shCaPQiAgsmd`5q5_sj-IzPL|oD`AUcJ&a47G?CQL?y9(RopFLQ}y>cuXk zcF5oQArtS2a;^!&t}ou`i{E_yH`9H-{`%{;Kh8@fP6`zxn>VA3sF2A}e@uGRR@iL@Vs05BcIZUpxV`eDYBzwwUBAk;`}Ay!!6XUw`}k zyRW}`^WAqppp@F$blPgp=Y5IO3nd@oH{C7d#jG%_*2a0I-Nm2{NY4%sA&6~|sEw8m z1vbgi$cV=y6?ZsHcFNGQkQ7&Hg3a-&+PLB=7&4x5Yw1D4-HXc{IiXaGgVS+30l&R9 zx+^TITS>4Cj}~#xkX%JdYjcyDTmpxHGiQzBJ|zkMYX0JEN-kV>3Yk(X(De-0nQ1=% zXrw;<%~L+{{(AM>{moZj!Ghj?^M_Zj{{X=G%jhui=N|BFx0eVBPIH*RyO0!16phd0 zDMK_`TQ_HdQASD~{KKsM z$J-AYw%>jG(MjfKA0$`=N(RCk8k*xd(yc@DRJ-Vu(trY{(a#v6mSpU)l?lQtI+khZ%8q6yb@?d_!GRf2%xsCA#kbp=}#!IUyhqiaQ+=7ZARnW>Ib z<`c^4K8e|QZX!b3li&666EXLtgd~cT^>ZHg>$$sKPrh@WEo!iO5(^il)MDY7+6=dw zHL~Et;$Ch?#Y8I+2Ua&ytXg6F;%w(iC=Tg+=`YJE`KQT1zI_aIN1c4`Usv=cTLY@`q4Hw6y%#j#Imd%Z4i~ z5svuWHJ_`~@aWn~`=#a2t&_`hEL?u*OtZA3;{_y)EZiwHHRHBMPkYyp*0pn+p>KaQ zm^1~>>62#L?WM?9H5crjxspig!8O)FgJ8DB#{ERl-6Kscx`LcRsyQPFAWoK>-a$ML z^>@5QIUCy1!uJ*X7<#aer$>2ER&ee}G#PHE^*&{Vg$lN|r#<#PX^c=U@|%fQuYp@; zVe+Hz#D|E4?il&Hka&z_=RSHPM=jlMV)r9M|NcZjHZH6q*Ab z&iZ&B(N`_;g0Z9idv9By+zElrfhKgo@lp^b7Ien(e^*-6&OR>Z2E&moC%4YKnTFfy zzN(;^_*;!=RZWl5W}~VYfT@WNG63~V^X75z2YR= zA6jcMas?2yQH>c>0TaiBAq+~XiN4b99EQdm^H`{Y6X%NINacfpxXnA)4+!d^$$--} zC$)Za!Q1$V)g9? z7e30ns99dKDyMA9Q%A^)ij!Sy@j6g~^Hfn`j6#XL-IK`wa=^%>IE6bD(qZcoJmpBx zCapn(DxIRW6J9)b?T~OD7iKL}sHlehu!?exCdz0}RGPOWR5LV3R^P6;pj{NmY&N6y zs?g7o^Qn-QkVd{V3 zxMK0*yglcQt}!K+y+y0#rIl}}wxwzwZ%hFog(o3SzEvzEH6nttBqC>Vvqm%2mLe!^ z4f_0r)_b^7r}CPc%t#7=3ZajV~R% zg_MpLjW_Y&3~lNY`i21gUCq!p3tG2;3gC%3BY9cxmAq1>Mn48_E|s85)UaKm%#^B> zu!OW@JoJ=R)H0!$)lo#kY#{cg?cZ^3@JL#pk6>nLSxHilEjTaqp%tbqFuNFaQs$W> z3~|MtO;#kLu%YJjI(LKWv&Jm4Yp;!}32Sg#(DGJlox4%`kBa_lYEJz~diizYOS0oV zK+BP%=1wt~7KbI=BH0tV{YLl0;$sm&8*GUpZbCzB%_}W?N*G|N@bDk@eu^1{Z>mqiNLIDJy&CPiCZcu z`ePk#Ddz|QWo@dyxNI?(wxrt?&9O-lL>AssjyGecLd>8jlAq)1QiH;vIK98Uf~nbO zjaUpLbCM|kD+CKsk$LTkVTi=Te*|qsr4&REoe^9`tW1Phf9SmPrtnHx#3Q#vdm<7c zxDbz|@Rzt@6MPr;JfXwf@gwP84~6N(bu?wnA9^6Un9{#8TS{xL(X@v+li~}#oYUgR zjC2O$lc*{Z>cg69^aX}g0-hFus+QVBX?9#fQ7DXgA%DG^Ldu2Cjn;cZwZw!bfEPT9 zFACT-Q)@E@g{zuOE5g{ct#R%aXFY=A`2Ijizg>F10~_iUkb4ub8n-ld%G53GkZWaRM(V_vm!6NyKK>*NRn+0HF_XUiqHDBb)V&#T zGQo(9CxJE`YsD4X;>_HyqWvKbqTwp*5Ng%3Y3=blN7f0ojgrxdR*IQrT@?q+D?3{G zbAJS~PK>@4w-<$Q+yJ?*1j8s~|z$8{-!;-Gn>+|cpl(7MDV(Cc{~ zK0+(WCLoJCKEq2%3YX}yBC=M5HML+2g&)d^EA#3cm|^89)Jrd$_G*E(2>x6>-xtL` znuK4OOiE#82`A$KH{?vA190*}W znnd#~)ZQpB(Ini;q>)OjL{Xw!27Fj%(Y6to)kfTc!5jYAtpH2AEqL*1q1ICaC@%fR zvv`?31c7<|M-J~?N!W9YTMWIR!zl{h6vw&hFNYq-ayMoKdbv;|8M;Hz-rN^gLkb3A zb}V@`O2eOAbQLNi_w_Vn#(n|{j@#Z%(A#Q-E{{mc(Y5aVW)b5R9FEWEFLe2YDX8hH zSXZY642=SydEw!g8{N%HfKH=;{o{p<5$$96nc&e$FSwweU6hzl1SeqF?GX~oXjx+m zrkCHe5Va2nwuYU~rqRf+MbXz{rZ&(x6LJ({ZV5#o?XrwxfKX}PgdN7wQJ6-8S0P79 zha<6!w2BEC6L8HqPH+Z9DHuR;MeK?BOeMSHir9^oWqTtO}>GP%)T_%m*2y3fWTP7a!hE7e=f zMKK;+ktn*Im!W9oQgjtjXonZUI-axmN$I=mqWejKJ`YUo+^v|i3yHXPE$n}5yib~E zEq$g@Q9hk0u;jEg6ry(fm{~tZ{x%3>Yejp#t8%J9QL=)2`$ZErpn%G7&C5LNcHG*D z6qB7*$<*1iap}ubP@Sx}rjN?YdoMbi1gU2eh!YmM_L;ZGRbi0Ra>IrRpTfx1{;n{g z-G)b!czJgU7elNC8%HZTPRZ@2_!AOlPI?Xp?k%Jq(xEc*6KFAgr%5>J)yAPWFxqw; zb&gGZiAK*%icMSru%q}h;T&Cd~v z7x3iQ2vwg9x%QT~Mbo{DNN}M~ciBXBV&DR26%6pJb8gLxP8bHVXfG8-jCOjr9-M4B zh}3Qn>U3iM>BeK1LR<7dvPhI(6Ldk3{V)kv0tzg+uBE$DA@ZCzipOG|De(S%T-#u| zEzNQB8Ced&Y))3HQV|_MJg>r7z;k^+M;)hN=s>3QXyx3RPzDCjAuYExv9ibIPSg|riU#i0)@RO63%h%d$GWNdTgnu?eRsOL3c zH~kit*eK zabO?}@1Clsb>IxRRlyXa#I`KF0ck{~GKIC0xDbu59yo2{H!?8+5bi?c9GAv^ZbEMY zMIQAHt+HLgbZUueWhv37j6IqXs3d z7j1P>ut9*zp&`h1OQ*QDAsrs`X0E67dUApyUKO(=h$#!~ha<&nD; z@DmZ}ire`yPq%jk3PtgDckR34w6HyQa4}u_BVJ6^)%a+6UV8ssY(sIoU`Df#%8ARo zTJWhA0e0<_gjkfow@EOVlY*&4xpFRnLzUX&rqpwZ_l>B`aoi`^i`WGd3G^dif?VT8 zEs`cd9I!5Wg|0IPlv830aX?TWrUFzI8XqAzM=b z+tO@a6FnqJOH) zpv=fJ47cWAaV%X3GmcWb(ay(}V?&@x8C)}UXhqobQrxZ)#T*S!FhRLK+d=b6oV6>; z;A3tPUqw6>mG@G1e`(YY@n9+q#HXf$FBXnvX`!Mrtj!*fUN(y7*n#>ww(C%wJQVP6 zVWWX6hyYul(YIG60&Qt=mPp}18n?zxIA2SYBYf94FKB+IM}tM^Z0w7ZGG%0(12Q+b zz9myz=m9A{3@9n07lgfM-8i+w0=yTo>d29H+;YHOPU z22xl`+bd@mHcqH|L?M1WISvbJAq>TG6t{$!1hub}9)C;Ce9d}3>IwuQx_Fi5_TZpb zoJC|)Se@1qi+X}Ruszd$5|ySCQ4vIMZiPRhQKG-MMT-ik-qlgL0c)fxP~&0~IigcEfEFMM7*Nca{j5uX?QBqIrFI0| z>O8!NUE;n=$QH>!v{5=9j9K~px#-G@R*_pDTD!1b5Mr>%X3{aKuhH(-8=t+FLlm!J z3f`&ubu6MN#-;#8mvuexBunwdsQ==hP5-a{+0Z+&peHIQIBVdRCl4vi4uMjkMms1# z>W4P>VCh4g(otp?1lc@CvruIvQeg`Ul^6^qNYo0f!EZsS0n;`?mpeMcr-|l^x^GIi zhur~bz+jG$?Bh`z>4^*29neB?Ek3y08UazlxMO)vBO{zWb$xgcc`QRH02oXl$dkSO;Z?ik7B$8W;x6nHH%` zP&?(X2y>qS{pnPMvQyR1T!KjY!KcLV90DMNEU(3+45EBvLN5*L2(O_zoWTf3AyV)| zrD-t5LgZPhnS9*2+CQ4Go7Mn$hJbeWj|EGJjYd!doky~{AVlsvwt?( zTG08zfEBlDZEs75v*JT^TrwjO8v$$B%OO08Y5Lh)MjB`<<#_QlU;MMD`ShPZ4c_uO zSzg~&tuW1og~~^00QAf~>H$heP2j%raLDf^4g*ISSr z832W}$}ikeJpZ6~Rk6;Aw47td_a$&!pEwVTW7CXq2C97f6DBg8G~C#jD@; zFTZ-DH+uWc+t;tY`^&H2QJ44ipTB(f{>{4|`^w6rXeywh9Iw~Gphv$%ybmQR!AWZ< zScCHh!7*WTO@**R})#Sd*_a~6sug|gSMimDs!`-&1*C@mDds^M5_u5OmT?+|3Bqx$3!&^K=n z0ld(}UA!=x-w+NBL*ZhgRupQt`bzpk7zdNZN)GJOt(hataNSdY%m{TOFSMaJ;+@`Q z@3|^T&Qho&KDeOP;~pB-e2e@|v0$a!Qs&a&i{}ZvZJLk3Nr-Z8yJ_g9=cpGnyo(mX zW78*;eg?WeP8&2(XQ*orZHW)L<62kI2vJx^?}M#&UiEyuBA40q&51w~roX}<)fcf1!HVwPg@%VJer9@WY@YFHbtI9NwCRMPaI z4JFgIm**HN<41xGyeLz+S~M?KGX-|#v;WR&#spa_OkagApOEy~2TlqR?GcJYCNAV? zMJn!R^vsTeeXXeMb`&~TPo$+Mg^*7-z2fqfMVaH%W^TI66m*CJU^HSF=0y+VCWvdW zB+#0uEg$ywQ{OHgckP!j;suMFh(QYg@1{-a{$sVqd&N*}4v`%=(xMC*jeo?M1ga;k z96~((7P1QbXHSHj!&}nMe$MowmolDvctrzPJG14v}o^C(~N)qQ2eG zSuI+str-#gnz(FF(Z7**G^heC*>Y(wY+Pe?us}0DgQXHaF|2< zT^m%xGuoo#mxd&52x@(mBMw?*c;pm{F>YPR5F$%9jW3n^lv^t+L(AX`m4nseOba!ZD@T50awlz zX52McD3@BLCC-VoNa9)dxS4?Mgl0oirmff}Lfl^23DID8X+sRhBIM$c(#XBdQz4OR zNx{gcFC-YebsxPFt*B9_(p0F*`$Tf&$2$^gu@r z$JNmI;1X%=Vxx}sLM{%qpv1I{a4i=(*=)sLB`da}qwCFqP;?Ff2Jhu};uV)TG)mf+}Rn((Mh~smb^Jm4V zg*wxt2O)t&K)2)2DY$s-8dQ40G^FcPrd3$s*v3#~L`em=%z^YbI0=kGh5D0TiC0@T z3aM+3H=$TA`9<=CJEjxy$NPKEt^lrFi4s1p8Re?Oaj4QQ4de>?TBlo1vtpyJba-+B zsRT0%%$K5@iw^xkAGOd}e`?@`73bo-tB$H~J`8?o^|)izSZ8tnt~|t*xUxL{F8U9@_;R16qHYpG!LE|S zP9Ax<)oHT4M!51$VKwi+V?v8wZq&1AxjuryLEntGixv8f=Ry}^pG{m>Pw8z#SkI%= zvXs{wffNpTQaqutG&YPApF2O;gBD%gHWeGOa!(a%*{+ zeLj_;R8^dy2W@}a$Pm!mZ-cCECMq4J(&BR7{i3Kuxk4DK2qGN9U(Fv%SOp&rMH1&v zS_gInh5CgoK(q;kG!ZdD15xdyuy%9ysBgyjNUvbg?9-nP51wvDX@`RppQ9H)+pWUe z3<7)5@f~Dp7t-fDlB*F1n!VgLLdo&4VJjIUjkZ_N?XAq19US z+)o%}!o}~ko@eG#-XdRU8YL?kF1V2bPj0HG2PWKV%-D9 zBj%{eRN4S~IVGlWJFgex`HN>ffAQZM&pxzc2Nv8odG-gzh^lGI4Kr#xqR9aR$%n$DP68Fu64*Rd z^vPI1)Lc)}oyo(XytQK!3~|uV;DAb9H^(tfl)MQK;2`Cdq85sarsGr;rxDRIWoby-W_t2uc17lh z6jK*1=sLH*}RaGD$OP7#1f$)GTS^2*}iSVI&z>D*7Q z)iu_eHn}z@_e|I&V^XG7WwJ5>Qi7T!k+WXz14VLA?yTIQ73%5k13Vr_PlcA5P7d-|dI?dNZajGjwJW2|1 zw{t4bL}P^b&LFH}U(Cb9dw=!cf|`{^TpCg7iKMIxkBF?buec$8C3L5saN@(cdGV^b zgH;rf%cAVIRWbaf*VpDZD?BdafO+TeIn?eV7@0LMMPzNYbuRoa_3KS|e?ER?Lm6=i z;fp$qMO8%O2t_E!Mtph?@lXsuAH5DGN@bV66-6s _SUFX~-Pz=%kAmiqxsY0@fD z#?_x9bN1_8xeUeqqCAWeqloeFlza>S;~gzdb7rsUJ(9aM{Q}5>ae|!m@@HYJb*w02 zaqXGH0By>On7`(9t}EK>*|1LIBQUIi)Xq%gdz#25vc<|{n-?)uywLK!QzJ=H9(HPK zebIM4A{?tPg({y8#huCxi~ulpBX&bwS0MPf+{8y$WOZE=u?RdJl@924h{r@?t<=uB zm1v2;F2$Ogz`_p;<@4YC`qRI=*ZS=9^y6)k`RYGk>;GT9`R4V(*EZn!*N^+DD~WB*(K{>__L{rlJ7zj^gvZ{Gjc zn;)9+&fs?}(h66&oXDIYQUnF2_oU-ptCmjOyJKXA0G7dboF4A2T#OWl70krx{T&Vw z5eyQ?MX?IO*PjUOO+>PGY}3N=#N-V}ND+fe#5f8ZdkQu(jv zzIy$U5QmnYqR9tCZwfbh5M(>)$BK!<7G<_X-&s;(UW)xF4{Lw8JCH{;S(mjt^@hcX zGEM7qNg@!I%*xrU!jMM=Mjxf+J!^mQus^TB@RJW~D(kU{xrTHu5kqYgMZ|#|K^B@9 zZu8U&hhow97%@;4<=jYqO8{nZGyQ>vK$_DWY3sEH7Zl8m+z>V#bHw8Gi@K2TPSpt7 zZggScdqzEsQ7kyIauQM!A{;Kzi6*#oCS!+iT+B*-N<-GZ%^@aXFLe>TY88)CLs_SQZ2wf2BTEy6% zf;$iGxjj?I(`YZ*6ud9m<+Y9rM1V3*!3Ud!jTBAj83>QO5iMT0wh1V~YdK`u^o0Ax z>0fvnwh~ZVnBy9X{9a^!B~;#R7SgQyO<`@|a_z`N7WUWT8fUX&>i8FHPT&mzcO@?0bqit?R|$|GWakPY%99omYGu!X>-#=@3s1 zS}8ceEDDQ>a&$BWyDy7@ce*3(GFq4$j+7-SJt16|vo&MQy}RmGg&<61@=#*8Va_ze zkxXCKIK;Hi2bO&O!3jsI5!WVP=^ZFP+Imfs06_}A8 zee_TUFuhomaFL;EeDyW(ty8)_a4 z!=Rh(I0#3T89n%x4#7Yz$dOid2r8lfn&z#@CdwrkHqo9J)DcK~9Lz0hAllEG#DnRli^$=5(Yqs`h{WNaihO_*bU_?m+@I6ZaB|$H4dR)q?lnhs|gUGiZUAD^DJXm3`*QO_^o0Gd)r+DaxbCm zVin&NSSqcE3d23&*w+TSU^tVBo6VxN#8vJF15>!tta4Iu5BUeripSUty}xJbWFpKo38c;=wi+{+(~KdP=GY_6_{aXcKzP_I=05SHRUKG zn+mos2H@BKy#esrS5+)VsRafQyFib&py`h=DMzdo{*cG2opnzbYJv8AzqQLfjy~dW zVlo^+lTB2$l8O*U-L-uc zaHq8^4K4#4y6=8s8W`iIJgeX9^V!jJX$1!@7o`XD+yUqzZ^y`KC%QQlg1Bei>54e# zrpP4R7LrfcwHO~X!l#MTYyZ>Q<)?_o#AuDb5$rf8OjX->ZGplRVP!lP6y$swg3SVm zO;I3qA!@4(jeGC;0^j}W=j8)`a$1t2(?HZtQH@?gQ!QTxV4ZEFwIp06C?< zW8#NLX|&xkeJUCWgAw_4i~}~M%&6xkmLr%U8i*ccQ=Avgy*_x@X}|W3+qb6&ax!T z(Fm{5{vWhQktp9I9pLrphZF`w=JQ;NIO(xc)?2@rqo1u{`kB~KJ!-=#2+mD$ZO2jM zn8{9RX3=6zoEm6D2!j}8fl(npSsYu$Zqc#Br{;l%|EfGAFm;aVNLnX`z)NXFk(7%T ztJRhTKh5e}7jv{p@hLpwRf`)(gjUBcPJ%cZQsiY1J}TTolj*S6e-Ka&oAdV}&>Osf zhQ3|11%T>WyQ0$wPCoy`QkX(HRKqp+Wp7HLZbQ(m8IZpffeiZ6-PUl=bu>$dJi^Pd zV;Jsh1;J>EhEg9L$c<7mdQz>nj?sGv&Ip5{`db6U8>f}vvM`-RDl*XwZV;Un-`XOo z;FO4>?5&t8Qecxom;0nK?gl|mHVsTUa$Yi?4um??)~?F{Nc3g5pPta&xm=_k%9}=Sbp3n z9Rr8CWzF=6DVkILf7NndMTt)gX%kcOGwo+i-19gNTkpp+(Q?_QNSMVL?vq3F2XH+O zQ}^AR0OmGP#F!O8(CF5sN}y#6o<2h~?#0VIQc9nE{z_4M{KMA?LVp}L=flwYA2E9q z#}XT)?@oy#Pl~h`)^9<97)RL^&Xv}_DR9=-%%cNdIbp;eLhLa<4o3mOzmXm*sWnR3 zS90_vyScj%Hqp2I5%T!W=a2dLXaD}{x9*$o-@O0JiBWg+-~RBw{vTvqGsibm5OG3- zhmhY#^Y_^=Kj)R-eDhy#zIylVn;*CFr4RY~Pv3p{r?2?MyWhS2>h1sg=J)!+-@p6A zn{VFfH<1nc{{6de-+sxT`s=v3K#v{QO(K68;igB4E1np*<5PCnUXH3*JjeZXM+o#{ zCeA_kd4_QfYs7`lZ$l2^Urvr{YzvBvMdNQpi@C zR>Cf#+2R*Q>|6YiGcQw2-T}<@NRM-ea?v5}+bJlHmFyVn4Up zIW<>sqdOnRPeJr05I?oS^m5x+V$fmD6{>d)w{m5>g1Iq=R6^3{K-WxZXd=_EXe5l4 zDzfc$Ge+^ro-_yI*#7)Oufy}rvt|Ugf&C{0=TT2U%V)=L_@H5WiYi_vJ^VG-Ryh*r zdaoNlch3R%O)+w$XYs=dLS^Fgp==Q3c8U=zdkEQTX9LDYt?NLxm*OxtpN5N%7dZzh z-@pIUH(x%I)_-{Yhkw)ZeW`r)zq{fQNmmZx=;*A+9qg=40V>x%?bK=&%pU?&#@#fr z&2SebS^esy>qz)S*kXDu<#GhE6hSBO;VnRBmen%4wRN#bd%{}583ETbMTf1j=rr_8 z@I!!7h>cd2q6Lbx48z7*t>Z(4EgqlMz{w3KG}|bcXAypJY83oI0#md2V$@z(d;fim z_;-!LH*a3OfAjrc!_Izuf^81xox31!&Y2?+>b#IKD9S4pYcRnWE5thJYjm+_Gw|ae z&$fwaHV$QY**l2qB?VJzI>)@w9+(Kh=f{756VbtGchy!y0Dr^e(PBEaZnJPP=C;8M z?c~YrN)%Ttk3LkniN1@PWsB)n_I;~O%SeefDjOwdn$^~sm%VGh&*#kC;oD^dz4U|Q z?lEVMq&X#bo4EDFTf6wNh&M~K7H?s7F|k`PL7RWYj1reURHEHRg?;AG8KOuV>cYD1r_AxxKuF1jyDp()0w#gypV6mFnez)Y$> zPQ?GBB8URQgj&!<8f#96Ki#;GYP+vq=(vtbr-T5G{u#v!6#ws2A^eIPi>)$J`*G7x z?)OMj25#bymG+GFP*{0-zh*rj`>5n*uFi)o6-7sj_6{5{bm4Ra_(~%sGm(`is^7!ddlM^sm2r^AA>iz-@P2DNIh9 z0@~yj&&H^np|5V(2{mu7p6%GH3|S3(j)Nupy@(C}y)Ghv|E5XRO2M+DKEnFqQB+Ifnv+;ri8 zr2NdyWfCgf4u_;*j>{=R6vas!uw%SXa|skeXfVy(=>@4`I?Co2gYk$K*rpFM)Qvrz zyXHef%VWO=Sqs*qBF5R4b9hWCU@GzBFpNm^CIU}2Lx@OU%s`u&(a0~s`A%t*yEqj# z+8)}9SoqxddOiisZY%Uk-1)kB9&z%DWu>V-P%YMk^jG1Ji>G<__^|6ov{76 z7nU}+)>IP^atE3!Bh9O}EDp3Lrg0Ddrbmx*PO(tol`~!2X}rEEJG(dRO11d~v-B0n zz?(f;d_#uzYQ4O1;)zn+Hsra zgx=CbyWzB(cw03gyBd%hc=TFb@X95@`&Bxxj6$k^%5o1w6@L(&UyrouEfzg1_jMUgz_+&(aLYalE#cfG*~d) z8cydUr_2MX3dLvFsoU&z3&I}4*W$EewmLk`)=prBKGk!-&{E;;1rYn8D9FieY*p#V zwY({ziU6$`E~{+1gqT?0FGl6Fzw4I!`pwtB(?8$*Z;TQ)fv7%+04sSaeHwG`R{Ro8 zv{yH^&ccNu(bl>(~1ztB1fe4et>F?tQ1Tua4>HqGu?OfZ@NHiajrj-r_5|82v zj_X`5BJDr)OGEdp2Ca%iq58KCrQcxot~7cooZ$7$wX8s7aF1?G)zU^oA>i(?u7Yj_ z7xuoL8!aS>=GV%ZA$W`LM<^#&aN#Z}XO5^9Ov^M%4nl|L+Y3lzr=TnQ=W>ra)+K(Zux6Yi+3rVrFWZV^F@ zRG?BERrP#NRETjtuQXFFPh*u$pwS5EQJ$^(-on(Nz}N0?iC zxhp|BtqbhG9)~6^@1^~|g4j2Lej4bKlP_^0YSEO>?4|b@h!UC31>ejZ~UU%2|;^-lw3a90F26&eXE8LZQhE zPuZx*9>R|l=d)jb@r%C)d0L_67q5OB|JR%MZ-0+K{-57`_0_xo`Qj-)ROEQN7z(w6 zs51(KYN1Ue69n(}lnETC$Vjg%Jg&)ee#rX%+&K5vGbw8e%|HjA%0vWQm8frX`azq& zV4EgMAtq*xH`ZoBoMmfH6vSr+Tj(}}`TgtveEWkdM^-*82O@ta+GH^6y^QM%P%vuu`m)Q~Yt0K~>D!t|X#Z+l zq;54?K-b+($%07d^1EEc#4UF$IY5G%;%hS;x3xV<5ca9stP zpo;C5^3IGa58sy^B61~MJ66r}L_kcKMC?2a4&+WMqeI7L$C{y0F@92c<5s9I2H~#< zaX&o>9X>w{zP*V3pcO*%sMZY=+ zHTVOIEMH(S7~U$W2yU+U+?5=HtO1=Xpg4?6RE$V`LF`V(m19BVm z>CcYEC8QnFC4{c5C8Uv+w*`Ju=6uX32#Yvfcwz}_4JF8_F{vL%onQW?_x6Y6)Lwu0 z?eE^afB)wvIlcPbSMR?6@{h0HeEs_U56}`fAPTtlBQ2#GO97+cLhhU?%p^9Q&Mruf z7Lm8v9_9#9@!AVFJj&X;7pxZr63D_IMIqx_*fgKsyYgJU7_>+gBWuQF&zQK2VQ-T& z0Q%E05)(kf!~{dtrQ~hA*NZ(>aV6C~*21D(!k22dm;5QPY_8{hf6wn$Z;c z*IDwm#PKvd)~|h~DTKdwwE1E}K@2?teTgV-({pD*taEY-*|a8BcH4#dsu+P*dcKZx z(Z+U7L4#^@&KfW`l3>$;>ib#q#aYV&k>EJEPmZ(e`@S1YC$%SADjqJ&4_T?^Ab z++dv=o3xZ~ZC(4gv{4rT#A0CADOuCnikmWNR-W(!gV<>luolXOsFSCKqp8yiUAAZ* zN?OgYjtQd1SJZY}k2GHQwOVaayxOiKk+CSZLd^)3v#3R@1u>BmtT@9R`NiA+e1*)v z_V$l0`Xd-kb=~E)zB(S#>&`{7cP*`jN(HCs!V7usqJ~djhP7LC+<`BABnM(M8Xj?n zwI519%2l~ivyH%HIx;2=UF38XTYE-YSbSJPYDGFcC%1TXU15dZ2F+XwmE}_C^}l~Jsdd-rbaxxh$SDUD zPEN&0e+cdkcik~_2pAN)FRP6p1n*G(ty%#g?Ts?Tv~>grU(CSISC;&1GqA8Vpx`1u zm%F%~1ItLWfOSfC+W7hGLxHtVLSTV@d?>)zXT^0j4bwF0*8)baNkhy9ass7ilcF)=(M$U z39a^2(c~2Gj6mn8QAg6i=TZ=bjLO3LJ!;GaVl7=qIgP%>#9>J~)2Vr1dLuf%E}C=2 ziczPTG;Lf8jmZVN;zxuCC?Gg1fSK*mBWRpr)^PCfm=jk-vIL0frixmH0U_l}JVJm; zp;3!ur&QtB)7q#x)2rJ=;xugRQ}Myu?XIs8g6SpUO!*_e4Wp~wtmFLl}cu_ zj(cK=g9FK9Rj(IMkJQ!9T1T@nh=S~z;Gq5FQJfyMdfv~9!S3$LRF*C=%o96a5&uY8 zs#Y9!5JBH`T^`y$2O5h}LxK&MQk!ddL2$g?u!mHb5a!=o-Zjw3@{7Z1R|U;9%LTo` zUHh=tD=KklaS1tB)aXu4?9^(ClvW&}K9)mC^NR|Y0>YWbrr=Wbq9uZRM2$~N?RwXi z*JR?lbSV9cc32@f^yo-y6VnNy@{N;|iN!TN5g0&?9SNBg3+i4^$(`PzVOkS0@5`=wbf&0+Ie=ri>dqb1FX)yE79Y4l93a|mm9aq6xpqbPr! zC}Om=(c~;rrJuVhJ=Kb);IBtU%cEK#eAVc}77?KYRufd+Eh=KJvYnjG>-#7c^N)dB zP%gx%bM{-Ip(sr%l!iLI;X?32u&q0T^U#LQK?ySKWEuy?XH)v}-~XZlh28$O*}d^N|7Bsm@bMHO5_H zJ@;asN<9wOta7u}HbY>=+=HTV?v6&kuJpKbp4J(gn)pv^ZRg0vlbFkSoQ89bDH6Q2 zG0}!;NM;~D?Dn1uTHTeApW8)&pAmmJ*Eoa%7DZfzirM3i2U?=S&ah!sVD=@jldW*6nIx)_>29tn+s*qy1$2k*5aBDA|j&Az5f*BWGlS8C3+=y$_(d^THN zXDetb+~Pcsgrnv|Pa9B>d~P0Z`r7x&MUDWX_)$)R0<_7bw56Z;Yf#{)?ev#_Lyb`@ z2)Tgx{HgJmpVMQ%822xJ*46Hx553lCqgY*VW0^*XY|$&n+m@?RY`0AqttHyNllE|L zS~E=~vE;b5V)6$t+_bGZRSlkPfjZ23RKfM3q8OF3C~gZf9L%M8Xx>nfRM46Eyc$Mj z$7$`Yg%v4fElQ4<$SM_TWY4a@Eedi3`_RPL@IsoGo)gopL&)@`HFqk*MnWY<8WTAk zZ5k&EaU;wb6u3@NqRnXz(Y>mAceafdT1a$9YIV4HUbM?Anc=(S9a@Ie+}^mb^{|2A z)0L2mP4xRj^Hdq?d7~0sL20&loLoxKP9rY&e0AXzbD?*pfECH?txf;n-OCG@3tb6P z-=^|pe6WzwF%?P|8aoOoxEM#8dUh0}^tIIYyY(Nh?}_R^#OdpKl!ESQ$_uS|aEb()4>*YPFl#Y7>C2G*zasCZZ@s z+019<0f*3czk`BH3s_Fw*n#!NA-Zy?{_uTW8o*sVD4+m|ipPDT$395@oOLSLettP%q7vULJUb!$_$Bg zzxRF3(44b3aL(Rd@2qv8xmHHd7wYw>-NoSfo~obLqKbDJZw+} zX)&ERv7DjZ10jt|!>z}3bXA&`<2JY=qGz?YOB6~maBy>=l|^|OKAWP`N-O#?=GSo- zh)-V^&d2EbM5`ZLY;Xxqv&x-IG>48bLp3U1tuA9)<|n#GZPr~(#%`f%^D8BARYUby zSI)@-#kI{l;8m}jMEJZ>t{8%T6H!y^FBJQ0aJg|=c?V&kJEu#Di%K}575tEla(q>` z1Y($Yxm8+H;+z_SxzVO>@VQoYaLtMDjt=oCc)q21dgP2MEh>1%i%L+?Jh3wqB0A%u zMDwthV*aUMs2~zfLr*mv1v^gHZc%ltFdXGTNQPn6x#l*!28%mm)NBP|*1Cmwjp(T_ zl+c-cE2Mz&-(T$?%OHcToodQ<2f>obRaVho7m>y#Htq@)S@^PD=iQJxyO@@O6q})~ zYhSg6tzEl5(%EB9vBTht6S{2}tF4iIhrMcR#f8=2(q~ zs$IiAE}9(6%`G@p(AJ2CiCmsbBh$6`pwC7tObbB+c2ixv5C%guQOnO-|oD_05}azJL4sH=@2jeD(Tk z{p%n9e3twPr7L?MS|-QNH9#6V z>rhM9<5JD|;yFJ1@HyPa|LubusNglT--D}L;A-A?rDA(r_kPB9?;6`e&+R@!7QQRT ze#1}|)i;EzuzR3|TO?Zv6K`K+Th0@4jc|20m*HxZnm;2da0gM-p-MyDYfAinIIZ3kb_BM0R z*7zMAo3WeW@mASS)Ya*H-vdoEMOz}=3gKFK$^wc43Uz^t$B9WuU1z}`Jfro0a9jge z6sHvXSa(?-lf>ta4uv?>lLR)2ZU>@WMUY60LlMuTUPoWnoKMHSB4>{U**Pu3i-v$r zsn}imV=s^&ox-+$W=H1xtOD!Jgoi5{j!2Z#wBor5M2h9bIK5Dr&i#}BcBC}_f)CnO zbEnhuJ{6#}7(`SQ^mhi}-p%CZS4=CkxI|En)s_Ip+)#RWg3KQHOXnl>h>&=5U#_?# zB()3EB73J-;WmlpxuLH?HC|aov8X8$9|}nJ5@-xIaw&|Sz>Z^c80%O$UO^*(bY@j9 zv8QOsPG>Oc6q=2};g1!=)7n!IqkB((XPW3{Bi%*O5?U1s$+mwmSRa4#(+w!?-FI)k z{PI!X`1;M~ufPBH?SH=d>dhZt|5zRL>XV<{zj}YLg{HGfljeG5k5;w2kjE2m1Le0p zX$`oWt&ZO1KCgB^cWj$@8|pigHazVsB^ND-z^=3NxDmb6=A-XYql}V`slQ1=ct~d| zc2_0eDWEA#?4mdt&GRBM`s^j_ofKBEx6nWGP+5=$wWlT`Z4`b5@nRh`6UBa=dlGIF z#~5f=-H%Q^<>TTzRIgFtg8L{EGhN9}B<`T)T^OHgsMZhV9VO-Q01iD%cm!D8sqb6j z!%?A_(x?;R*jqus38|Xw5Kx(<7{tdvh;*O47wP>!&Hwy^f{*n24?nzl_3v-L{{8D$ zpMUZC^RHgN`rYf_y?gidUlGr#uTPAwdI_1j1~dV?1q@yTCqk^k-|1W$jW`0B!SSc^4r z2_wqI=>RH5o|Y`+e<`q_XV4?(qma467M>e6{GgDxcJ9UZe*Bj!<-hyAhQ4Nc7!!gB zxPV-VNe{R{)ivwwv8Bfz>(~W|*4$$<_2zPTn|I*=jecAd^}{8GF1prHi*`G}bh`00 zS)>mx@jm+X`$liA%8&I}U%dJ9%{O1X`}Xy#@85j;?HeKVx8HqG)={;Ec4fNKkm#e5 zRO`Yhr)pz^D7AB`{G?4i$ z`s#Hz$@^;rg&xx%VxeiLn5P^} zfU+h8E*qs?ZaISFXn*%80-_Iy8+aezb}gSqONrvpvYhzp^K8GHr6XA>#IXJq zTC{5Pu_DE1Ut9E^s^$^T&bjF$D;3Z%vgUl`-NZtaev9wtb0Du|r;Vj#D4Mw85H9#r zW5LJ(FA0TNgU@vd0;#j|`gH0dq=b3YSuKufddg#)MqhEqy8OgqLwQ-Bfi5&^7gwn$ z@Mf_K+~737CNF)i-bDXhH>13ApfI;=7KYL|&@P=8#)OZaW*N{UEm;iIK`jFvJJb>Y zCrMwC=ZaPvz(nfK+8J}Hehk{esRak42#1(}1c7<1k+L@QrajU|xE>|+f+mE(npieA zN0=oJYIY}Zn(H663Dnkv-Pb3Ji$+4bvq98`8$J|gjYaHSf8ZH(EFcWV<+TOI8Xi`0BQ4CrZ znZ9aKTjARk5cbgeDp{a~L%^t4ZQMCMbNY;sgRY{ulnL8S}a862&_a0j)MteXKPe>QSyS zXzej|Z7v`Kt0gU>Pe;E#^x$$4%?$L_$3czKg%0nJY523BKX=iuzx?Kl*RMW6YyRDT zzWT!(K>W8~y#C$q|JqrnA&Y_2w?hfU7Cj3Vk4|a%psPt?oYVH9mCvZ4m3SN;!|Iv0 zOfACWI!UO>jzTNb<8w%~Q_Qbr#a7bN6QI>{R6EDh!5#Z&z9uTSyuKQXY_^NHmoAwZF{o+&xX1*cF--rW?l7xF!^13k3+_Si&a z6^v8X4o90FsaMnnus`Km@xw=fn*H(1KYjl0A3les2HV&vKvx?#wZc;e2TAD=eK*K$ zVWG;N#~`F}HA{*Y^WP}xO!euM);i#_hi2IWgFvllj;oQlp^tR#DEAiBuduNP7wW56 zG^1ZiL5uzr5%o3CaUDvfLK23HOu?Li06(UrdP8U1t?*uKN!Xjk-6Unie-4LhILf9&mPBWEq?8Du{HZ%}Cjiwcm$L^F#w4%_| z?E3Sf1VvAu=2}RbwH<{s~<}5r^rS)j%yd4d$GDXQ2grsslq?rXW6$k&MP4TgI!M-XIe>% zeu2uwz%TSQ6DIZ!6I5ZiYm{^@hrm?IGy<3m! z3CYwxNAZ`_%Dgb?IPpq75z4s&J#S$bbUZjx)B)MysS`;aQRR(QTrx*lDwCHU)6y~|g6xK^LM|vD%Y5F{1Y`7h`l&&3xS|k*` z0RfJ_#2+FqITZ^~hZP~#$7sS*Sb>tyiDr^B7!UGn2+E09X-_yM{HXt}-0!qbFRDej z9j}c<5JlztK!{Pa0O2Ol6=aJyiv4eW8R=bt@_k4Bju$CTgNPqGkuI9HIJ0*FnNTZ? zJX02w5?ys1C!z~W-#Xf)23)3Zi=ZH9=4Cl;#5wCxCRciAeb#MQbd|ZR2)%}5bfrZu z7-o5LjW#PaC8a$6seU+3-bn~YXbDm#WSno2-rFm{;iqTtMBo_vxvuLAg}y^vT#%_z zIgFr`ww~c4|54mXPU}mgN!hMM1-AlmR6dB1M5i$+t=~wzaSI@z7`jz1y7b0^$eIJH z8nvy!%sgOu>M}Gbn!I{aYOC1T1#84ld!=@3i$ZEGYoZaB6}b=_PDc_a(l=c+MIsF9 z48}DcS%69B&l?`ji|1#8Y9@Q5`hSS7&xXBD z74FHL(HtmvJD84$%@8+Z4+LByz2n;6GZ^|wngA<4p&1>o=F3{VPbXe$?Q>sNG-%q2 zit3^%M%eS@A~)c^9dTVXrXCY6T#-rJ(9>0q81R;*e|BljrM< z94Q=Zqm+LI$9MI+on2?;;|non4Hq7dihaX)mI4KYaMZ(A#?~e zQp8Yp>Q6;~=(h{pgyJcbP86a;U9`1k6hK$s%wHk@$G`sdFMs`$+Oq$r`Cq>J?Z18B z{_ryca^2s}{~dq$w@*HLdjII(x#&?6usQeT!4%#=^8HEtd%~EfkWu(l^ob)`Ma8Rn zMf~HiUMo}>j-n-mP$^&g%TxX87r%PhIN8_EK81 z!R~GBmJGy(ZF*-{FDOZ&k#eOih)?x_z{6vDfUeOHC_{TKAO|AKOmTkLy+U*s^9hd| zO|^nYn}5uT9#pHOlOCnwOWZgQ1SndW_{1!`xS|U;ZB7>Pf8}X&Y=`4CT&dNID%$0$ z895$VEPBGayGWFyR-s$Hm=h^%{V|uHON@py#&f5gEcELN`Q(OEp_!s@<#c=fS8NoIg`NptT?0MC#{UP*+IwN zN%5FgRKgCck0c_0LIy0ZQL;fvQ_zxw>!x1ay} zkAmJ8c(C>TxQ{qiM4VCNqi{NPVCIT+rpMLW3i(|TF;0a?kGjgWQ3~meTUhT*h4G}7 zh$bx!>nA;(2Qo-m08|SH`)#F~QwSj}F`%Rg5du0yb+1FALWnu`+>l~$Vx$eoh&+G6 z>PJwMXX!vZeLe?rN56K(4CmH!X15=D;_IY5h%UOq!GG-I3fSGA2d2_gvIuMmn&7`h zS)!7Lc!QRQf}?n}G8E;ZF5G<4eHZLcir(>R2TthS*X>4@%HkiW6$OdYW*nLvv081c zhGiK8r=_LeJ(N(7Yl-fL`xevHLo3mBzhgOLZd@D0-foKdYRA$+^IYvUQ7;Xl!18Gu z#A)W*ra=6$D^zj^d>{ULsz7%|@kLRy)+$A-G~H1_d}~+)(k-|07Iseqm06F4h@Ow* zbet+^q{iq)$l!2zWDVn82``q^uRhERe~XI#{;CpP$VbkAYHd(@P%n>1{8RXEguz6* z%2Qd0hG5>6b86O_8bb@+s<2wsKdLRmggI*9eI012v(dZtKizKa{J>ufYZ0`Xa&G}BAV zK>QE4q>MRd$VE{+yAIVe!}_cQ9JP11Fpr8ghDEqVLx+Y8W`~M2`ZGU-g>s_{%DRX- zks_)h1Ll**+=L5GTvItf;PzQr_znj}pxSj@{%S>_A*U#^CWeXKzL?#gF24BtldG3b zobwr^N1|8WHyqw(yeFqg9Mw{eM%PTgMOo~PjC9hoErgsk{1n*=_u+jN6+yW-rCLvO zJnnhouSO-0MmV()k?0jFG;TwOf@2DNNdO9uGt?t} zR<`V2A=I@9=@FLqwv}z72XI)$cSQ$xE1F;a!uo4G3OyQ1@on^875@%VOBZ(z-W8T@ z$Av&>1liCdUY%O?oof*rj>}OBLZPvXjXIDZkfV7zrn}8?haNUvyl-e*N8>&;Ps%Uj6cs$md97Dg`L9izf+$c~OS>C|Cq^VxGIwqdKJm z0k#{l9oslt-DoHlloiJ|hjUL2{jC^_yP(NFr{ngI+M77&1UwCd*H4S>joI!&B_X%w z3!l+-VJ#(8M0wK{AyTIp1^~}r2BG5{@Mrd>O|dC!y44PGoHJ?%m!@#;#T}OrmD{)PB6CuedoINVKS#Ua40l zYpqq1-@x&DbIkxjIOQC4a^0s$?47zzN}8RJZABJ~0SRPM#P`s}~kl|Q|-^ktW# z8K}t2$1N*4CD6R}M@D~z?K1z5xi3C+KFRw9$fx{$oU~mB_=&`xv@-Aen z{kdI=%?AqV$dezGirMSgpIT#&vJHw<5jjCTZ#8XtEUjfNmFwQLhY9wEg9~lX>%(FE z)i3^WaC`mD7jOR}xAVz!#wv2LriM`5OM5^WAY9g8EtkOd_tYMoW{&QWzzO3 zI1T~a} z*BTjzI%UmaOWjwjODl5TS_?rGk$$j*9C|_{Xke0L$74dHJuRjsAdN%BkratfMX_j4 zOV3H;#HK7Ecuzy6VD&}#Sn)onGti=?s2C;F<*E8jv|m@+S9&Y>)MurCdd2yE4@^ssJUmH)79$uF-1?qg0`lQxQNs%V|GGv8xk} z@|Y{OX^V=JbOZ1X~+U#8){6+x7Ep0Hjh_NW=9Q|14@j}TyelRVceDdNP z?C&dlvL-hTTd7#i{1+c+Kfjv_NuiCPSH71;z^G-rZ3Rhh4*#J$wa z__P-qZst~~z^R0-blYcn`(1zF5hNyuAUw9`vbr+Wkwl7S@sB#0ILw9{%;T7cwBA7! z@~I(^bR|IoeGKPKz0il8g@LATqI?(U#LaCX?*4R1)BDtUXsM(1iYlgd%+rV;kZlK6 zh>PT>{8`DgDqQ7lU(O?KgIk4uLZN7ReQ(Y#xEyy@s?QWb98EDyln#xik-cIxwpZf) zj$RB5Z&y?;K}gT_8>7opZFIHzEOv*KvCsc0xZ8dxol?NGixHCMDEDel;Z5>iK9 zG1qcyUysYKY_+}44P7V=nCQ!@yTgi3fGgesc{zokRikDuz+Hw<0d4 zXW^QqXnyMZ7$SRMlmagEV@$grgoUbdzdFpAU0D zGp7~EtIzi?=J;?IZ53L2gnApAnR=tF2wbpd$RZwt)+#OFfcl*hc-QXXu2~dmyDI`2 z%3$bdbEWWz@6?v%xa>gqGMbsGt%NwW;2>~zGx}u#uAM4qdhSiHzTl;&rKp$V zGRx}qz-^b!g2I`inLMX(&xZMFqTpmiZc@;-po*u2XF_4MiSjn(BYJ+*L}aI<9p4>V z_4CO6{Wpyxa(^$jp9jrYC8N~HUN~Eh4P)idI(GyLfXca>(po-K90kAHjLL$BSj?J0 zMY)%vN;;85%un?zBBuvUTtsO=+sLL-h(@(qe{6tEc+}Mk_Os?x%@g|4PZRAm51z z9|rf(nAVoypvGA{kZzT*ImJjNsDt7Hgz+tYwfYU35?8}q{ZZYwiZ*y@h3DsyP7Ano z^@XDNvI6=$QZrf#cDG`t=-Fbf<2Zf2Fz|6RH(I2sa&lPWs^UN5_2*%Bkh(_L?k?>_ z!K^`^r`uUCI6m74Bj(@`#SsCRZPPkwioQ=%?(>YdpuK*-Qc`;<)f>?6q3lFIu@6&E zq`!5~`MBxyQ_g4li4#go!lg++;Pu8{(Lp5aR0s-`O18FV1g^3z@WL%d z>_DJe_?X(4omHjzD4QMf$0)z;mVFXr&$Q89?>3lDUKqN9+BRp!Kbb` zZH(56FS3t?k1_SR#@Ys036SQm{c&u=Mtow(v`~2@U}xK4pYSg4@n=5T>f;BmL`a8Sc*d-+ePv!qq z-c<-brkLn}Lfnt30XphM4|W}bKUySyVLn^?%!k3IH}CCmt0nCtycEOE$zHoWzSUk2 zM~PC{!wtDX5;S#twV@EucWn<_ujKE;)ltyt)Ca5`hh<9Txlnu_OZaDPr$REu!vfX> zEKhxuVCWe}(p+hV)3MLMwSjmj^6IqU8o|@!@|!|3{YVA$3_YM2nNd1CEM{e^?aH?|+Agk9yNH>K z+16ZXhqgCPwbmW*Br(EMqQl`Hdk#C|I16p~z8?#BV(WG#)SMnIAvEP@TO(yh@p99n z;9e>UJZQ`rKH>j32(uC>(=>E4N2DDmg#AQfpKi+y!q> z6hAdtJGM`!7@QJs#@Vc2dh)$JowWIZGPO>aLfa@bH_H6y=}ChgX1T|ItlQhi zI;b(bOU7YPgo9H{rNFga&jqNVeD?4QgXCa59p6d-IFD;@geIPpMWU8NFn=K(=Y`V4 zc3|bW+fGS?kiO!VSR$GvEy z9H@XcIxewSJ7E@QQv6{ct@(%Ww$&kj>+HkY!uxWZHJe)>skX|abgy{P9Nb1}~} zSne718Rg6y?rZqeHgrP#zGF;x9Nu68na7D15Spd!Q5okBy7DNju3{<770|j|O+JcyM-6 zDDG%_7VDixuqjLkuZ*%G;bM@=75s}c?bj)^524KHJqE!e=uh6=A*6YGgj-M+NbYuh zt8DAat+R5ab}SuaLc?pAD^5+Qc%_dept)0aPWqHSt>VK9w&0lRYDxHWu0>sGQjB{> z9FK{5hm67$<*xyuz9TPVE47!tX;*pWY^+fcH&S}v^BKdfSW=Fiz(fx#4-y7MGYEPM zeogS(QG_|g5rtVZFqi(qrcG=OWFVv=#z;@OaTVpxoP@qb4he>*=>E2p1pO5BL+ToQ zNL|x~A~xm5=*-d0DwMdCU&4;g*p+g|8)a=w;Hixmhpig!=iC_=5Q1-F42&p zIHrYxb&arX>IfHXZ0r+R1$}*0EOZt@S-qPAe4r3)05$buHC1J9+(mH)5i2?yj?dI? z+kMh*B)oA^@5cqd(l|$Dv~;qeC(zLV0XSje#8aX7GC>PhBtDb(Z!BWzQ*tN*7n&)b zs<{*9sxU|B(=wdGq|NlAG`r^rI3o(PLO zH)K~_-i1Q~Mt}|88imu)X23v^GgA6P0tSogqTq*;F~x>k9I^`dXP-F+)XPT8ef83c zcxv5HBeMme<>8aLl{q!!7Kav00yZ(PDH1vIs+aPU9w$d`Xs8m@+ehsndviJZ&?Y-5 z>1cvUdQ-chjfl>cR=ECDDMbMw;r&uM9QQ%QU_MnrnuuAJ6E3pts)BNd-^5XiU-9-k zr_zI~DSx^9RH8tLKp=KrrqEAu7-#_1S9DIZ;x@m=eD=T6(22_=GD?1q`#gagtiwbF zDmPxSmm4Gf+@1f+kYfsqf>3$|McQK+}3L*Mo|XH)DW z2;peHM--mqcq%Q6czWC&*HfqyZ@2QHxJcWjt0)OwuA!G*;++)TPdctC92QJys2vt` zeJt{WiZ`Ln$}oMXQjDISr*p7v_T^DMBB#ZkQ`5IqM~M_c-h#0#M_=36xV( zq)=+F>u`lC1pTV03MrL}0@}~Z6mqM0kbm|v|X?Vja zBnsyWd!DslN&j-jV_{fk%U#ao5Tq>1=|UjNakhHg`rOm(I(G~y?U6Qw=bAtkG_Wd# zi}6(4UvIIAfRxjj?;IyE(_X^gd<%+7PeMy4Mv6kJG19(9<~qdF6f$&4!W!=9OBT0mdstrk6i=E!u;Ul^m)t2j|&ikNO(= zdlV*&5C@RiQc!`e^VEBx1Je7Wcwv=_JvDxDML}w9Dq$Atig{>79c#BM8go0LI{k~= zIhqc_>g~1>cg`L;#G_b*egLJQOO)W?3f{$GHm{HI!(KrXqP!WjP5=-ZO(BXv1(u#B z&i(F>rIrTQbzF<4FwzEEviXkJm3@manMOOdr(YnQdZr_B1f_kGgg%t@IL|_N6FYR% z;-VOSqLH9XHi8!zT-EnMpCr#c=r&e(NH*jp)D1_VlYMP03)*Nr0`lU;2@cC$U(`Mk zycWqbJ4UO@30*L)i$YYzjCt+H)|}Wv^Dn0 zqdq9dvv~M)GQXPy`@kmNsqCSXaAt;DRRV zYFZwKqr~GV{tlXU1r{7Zr_ta|yyv=G)DGjigrl}bUjJGWH(&~hjXeMp4MKKUI-q-j z(Y+EIU@?zoRvblD!gOjTuh5G^a{wR==G6YHSw;UGWM8@^ICpyOiS( z_t?$rA86Ss=tAtm^7FYHjAom3P+NE%uUFmS8yxcKnLZbV`+@rt;X7EcBI$%K?^77g zJ$TzI*boSFR5r{?1Hxw>n_BbU}`Q@*XwjkdVi z#dWtJVo^dzekngicfifbUR6we*0}Pf_TpS))0%Y{+L39Y&G_e12L%KwMKdO}%)#&E ztR@Mt>CGTlc6@@tNP*}rz$K-K}s6gl@w&|1yWqPAw~co*fz zv|0N{-;bfDq2)pAZ`>0}z||XNE`kk+P;=`hpytnuo0G5$a4YdaDaUmSjaNU57%9k3 zDRyGu5VY|MI)!v5c&eVezcwtCJepwwL{UI;op%A0A+L9ckLRM8*M?HjacdX{<~0hL zh>$47;v#`3qvD!~d8_xMhj6xMTAeqF`92h5G{nk)0>dlgpeqQbjFE>1X(7eTZHcXu zn>z9R>6LVsi5`JU(YmSGXj<(kiN{;xcGu08UQsfnyGSrk?9hiRaB}^BmT^R(!huK;2~`u= zpY!F34)DRnwn#r}^XDOu+qV-(@fI$xd1&HwMIuHiYu@JuOAHyVkoaN}=ZES_feD(yxSFf8pLAH@wbw?D0JCG56P^wDoj zVsEjzdF$VLnRx2%dpwaY)|^DMH<48(Qp&{86x+;WCgU`Xrq#Xq=n!mIxD>h&=ec7+ zyfEw(jogaHN()Nxx$I}L8{#ks7I3*~Py#UsKPOHyc7$IHrO;1DyXRtB0q-({VyUy7 z+aYdj6&wZh&>hMbI>rGXQayn3xB}W8?_2w7$3@Xvfyk;gIfBdEeGYs$#3&l)?8QZ) zCOt5IxQ06!(3$=vkCWE@V!8efF0gPnfi!yL1PKXXj%zIX;9(?4YbHHH>39avx~{hZ zjABM#h0izCSM+XCTv~hPaTWjxP}6M?9^ijn9vHq0YH?Aj-)!`RhPuU?s}La0=R`Qu zD6pc5hQomD&0Q0ZJOOmGQAtcC zNR0a5cx&u9N7kca+=MWnHclz%8bYbGj}>jRvo3oygYgFx);|zN)KXFOr{{DRyHnJ! zISJch`_fm`|Y4i$9+uF){Fc|61r_~YzD3k?_GYzDUpUin8H3mGTOQ7}52-DHD2 z;^qbT%(ufvm70zrpvQulGJrW0EDl2U}D8qN*c8!LhzQ%>3853GQl=m(05ji@uKYD{x zqrWRA-S}~Dlr0Kq_XpGq!vJOt$Izni#3+aPwbn>PjqO05y^80V8V6?sc^9L&)MYNy6Ro%(XP;eDI z)XyW8*dG(~i=Omlw5_zCy>V6BPmiz76-MoD?DQ;AF+l$-S0hc2?sui}P*z3l-&SGI z?NR-A&Ilig=xY%rY$HMmTI6>Z-}Ojz4r-_S`-3~1G(7akiHOX3qd=Xr0ElLrGZ3TX zs05QV`nZ`RCNlLmjnKwzm3DyE+-(#|#Lif`+#^OdpMdf$g0FF)@0JNFk9RwAc|$Kk zLBpJol1+<<<`pd^lq*l#?k+(}&8;A)SO)5&yMF8RMF|DE2vku#7IfY4)ua1)>>@e4 z7E>-+(L}3u*A9T#;1hWd?#9N{ENQi_J@_Hezona7SB=q9{23gkKl*tWEh<_7?kRq= z&JqTgTZI%Db*CA7qYhn|(BqMZ`b1DH^Ip6M`w|S*4_~iJ{Mz|1zxx_daPcFrP0P-O zR=9BzjmZj_(=JgxVLG3(NSr+pO-mRh3li#k90lwLGD4eH)G-0cL7^=u*$lBRfjQ5G zlU->4phUDXtsLvm=ggW%YHzl3@N#-w%o18cqZd6ZN_><;?A>T%)}pcs`R=F!ypQy1 z;80L(RY1pF?h@Ed8Ofaa+$S`Hl$ge6A;3IoD}FDIAeyasDJ{Pt2ROFyEZL_fZ?okoK3tDejNlrTlE@*5o;f)sbdu8L`95+;0kf>fnB}>$)lsy!O zYOu!R6r>W47t@I~_`A`fr2QYZ(z&9iQr{~7)xv3v&{IRBvvCWnXtm#hn$2W?h#cYW zJ|DeBw;iS){lW+=kzS3SK4lK6F1%@(D{be28z6Fn+>o0g&~V*al3TZ;N?L*x+~Wv! zJ_5VAbO}a9@usL4p{)iB#cR@bp>k2jxG+`5?@`&MJ$fnxEunvk9><|Qs#Ij2|A_K9 zy7OsmcZ!($$tF-9gt|^mwP4sDEtmoMHEQ^mUgB;?u3ADwpeWpHR@FWj6=AA?e@o-i zMq_JSi9tz#zW4F;Bq`~kmj=k1Pu`=mnhsZ>mlB-<4tbGo-D&Qd>TYGZK$)dxzY2x7 zQ^4&n%3b@-7V@#m|vX52t=$vB< zDrrY3-$nhrwg%b~D+=ywPdG(Zd(p6MX*xFt)qnJb3jyh|k>Y2?QZ+1iKFpq$LY|-^ zC7w%p;HjJ7U_uMnh`s6$HMb`R!sq}PWk2em0wO7QM!QRVCg4Mns3WHx$tl0+y$K}3 zsgz4|nydsTTGll%+{7y(Y94n1Ckzlh5+O<#Nd#V-c>swOUGEmf7pADLujpD~W9*f} z578f>M$(gusUne0lOq0R4ihR3*X0|B9|APB;Ub6Sfr3|kEVd0^RHh?2aVTDBaz~A{ z4t2EBM6>%vE8;abUoi#}AbQ%0G&A--lN}3jF`|6eTCZo=9gS7!ErES$3O_Ep^``~D zDjj($wCDz=pOAZ+gpGj`|7@k5iC)QMsIG92W-i*2LhSL8+~ZW!EB6URka=1{rnsc6 zOq5886agH{;Fz*|q!THC9q1`h=$#c@#cC>ELx!@RUA(1LMVO18KCL2hv38NMWG01| zjXO3fDlVh3IJE-mZXDrDgKO!aP9-Kd7^FYCm>N-5IVT!?T6dHH9CDH6snk+2+frQOOwU}3h~i^Z@l$SyLdOs)yq_8a4S%Hyv}X!- zL}G>Ob6~U&z2(kQkKEYpT=t-7aB~9KXWS1e!{VthP#h)D+C_RoC67%|C+Y9Fm7jYq zWo7+{)h-EW6ejL8&=G9@*ypYPJr#wxNIy!l+p>xk3ArLlLMJz#8ewPFfm+1k_Cnw+ z*hVqr6dyn(?eW(<+g4AD!NfQWBc@91FNunQ8w4VJk%kBLDY_`xa9hl&v!)_$8aF|ufV@jZZ4N6Ku=#?lme`UqF2aGn zO-`&@h$1Mwl~CQH{aSD-UZ=ACjsb%`qEU!TL$kID4Th91-;X&GfnAKw`i@LqGYIrk z38?UUmw*J6UPX}Txp)Y|!UkFDBxHshyuN=E8+22Vbz#w_NaS#)6MlRuBZU@^+ucy5 zj?JcapKX($H|RQUhcati+Ka-JC(T+Kp%4{C(;=3E#N+VP?#=^)`u_fsL64paPk19TvLUX^%xj#BEz(VDz+O8g= z25j6{7K|X!3p0fqXW6VQ=N@;Eg?iKbiv=YhF+hcSWsQD4a$Q?S0Xr)=p;|A*t2H<@ zqIi~32E3NR3#08yzaqur=HlaSkXpyR#pM2cgK$_!{pP)+s3Pb`Z4&)Ibm;Cu6WX}| zrsq*GtS4xKk|;L_nj0+Po-Ei!hP>(8E4NQiMA96H+>j3)s{!Qz@dsqg~@Y~ zB!KvcU5`MIj{(B3Kx=E)2pnObqDr@Zx$n(hXpvo$T4FL_?r^}Hx(<+zLVflMmtMgXE`zY6-7Lmv zr=qder$|MME(ZkFL`ee8$dp7VB4C}^M#b|3%A>3ra@&O19RGS7&QlI0g>3PT@fO1s zPI^Vz0C_-$zx$0j*^1ifR!COySUCtcyCkq}1|djUyC~)>4Lm;Ju}~YWSg)U+-tz?F z2-KA|oIW(un*dg)930mU^1DzzYI{-eu%|%hA^j(~ZE+dVutjL-xKq3X_M8Pp*-#7r z4?~(0jq~eLjIM^O^F$?}h#LKniEqE`ydXv*UR0Tx6uitAK39RiaT(U6G^l9o79*IfFF2~zj3tb)^C zTd4DPg$_O&k#xZnhzCcjikylH_q?~n7ak~_Bw;@xcx>&`?d;-5MKWo>P2!{!=jywM zpm}Mci<;rBBYey0;Gnlj(=%N9nUZ&ewu%p0r5IC0>a$Y7 z84ny3&-|#x=1d7b(!L3lmJ_%9m72DoESk@4Hfre0PK7Xn-xrEz7F{>mqEXLk2i~wl zp;k;h9FKZQ(hBfRps3N9od$JtLYGO4v>+}W_q==(J;pVZi$1?&ll>H)32Jh=u-T0E zi$xl*DCH)j=fm|TWAf%T&{x+Sg zaxOQ=PKT>C&&cX2%gI8huQ*r*EYZ97~?7{VmcA7HbK&R@v04qhzTbaf%ND)g&VlN8&}vb5T0 zDZ+A?OVNq&sNPr*OZ0pc1x~>kF@u3#*}*bQij<4a6fH5cq9VyDe50@RsF`3lbf(?y zLVO&6Vymsu6>~c*h5LdP9CqQAfmGn82ksY5%`u!AnJ5jw`G{C*jrcKb#Imx&zxt&u z5ca1PdQxM$iPhXgW3cP(l;@S=B4bLejn$GnW<}%etjCDn>rJx2W!`7i(C^!HnjezC zR`nqsOUJ}Uj$2PkdeyhBA--v)BRc z$DZP6r-e;LnMYMfvCc20>02B3fNw0((5kl=shJ`dan^k)N@i?d6`aMzb6APaD*DaE zy!xgcqw#vgnGHRwaSx)-9PyWtE+m1AmQ=Ubvb0x1(M4CGf1_<07|o-G42h?99a=q# znHB9QJFW}4o`YrzL<)s;+M^AR)RDsHrv2#9&ZS*{c&;CXxV>nGyAFFC_$}ba;Son2 zsQ;nK=0(Q)Oq;eg(&^l|3GQj?5ksL}SWCVu7g?ci|FEBxxVNOX2E$OM-CGesLG#YZ{lt4#?!yntHd+y%G+Oi-Q%KO^xU4wm} zB7tFRsc6z@XDazIhOu3^KyWheqWX~k%)OOhx6$^%MG=`qP9emE4+1Mrwu+L7pRjs@ z)kdnmJG-+hcND=Qwn9a#C!s|(!9L?5IYH?xKaIcn`AHM380bZ%Zd7K|?%>cd@Q9U8 z?PIrE!phI|Q==G9YjUqgzq8`KwmsF42j+`72A)drK^aMV)Ze0V^H%0MPXZwKMmGmK z<+wR*p~?VN&2?hDrkw>p6hIjZr>P;(M8o|GW{X0g6KmybEWGNd&VNEH4KS!@&2bBL zXWW(qoGX@Xie}1eTAq(Irr;6ArU@#3wi}Ve$0}23Xq_0Ko*V!n&vJCV=Wg=USSkP# z>jmt?>S3X=l#;z+2R>_sYe_ov?@wIlIR^5LUPs7}64!>{zEMhv``%Jr*&^ve2l%9I z;PzU49qFKh8zcpKwDY*TIIeED9fb{yfjZuhQPjRzp_EqlWVvj*J0*7tCcX)(2+S$p zEJ`^~e{KD0_d@EKzux$1dr;3t8-(Kt## z=;!Il-Zjz*m((F1CVD6YBOYFa%g&gTO?C=}wW~Bju>)qa@`c@LaYk8o7<&HA5tU!c zc`Crcqr~qtVY@*dEgc&MTVnd_`E1MK<9;Lo$8izsQ0KLK!6r@l;&{X`6_+YYO0Ys~ znD0`Wgj+XpY>s`jemuV2!cdVyA4JNd6)O_jx;pFhcG+$Ndy|g6x8g~0RU1Xw=r9%N zI-7WKp{`0>SQ(}W8D<&=QZV;nwksW1zAP&7=yyBO25d{~p|yXD1$2FjeoGWe(N^G! zv(pm=y;SBFkRQIe#l?S2GuSM~&O&FEx+JGWd2xVfxdTWI&FvAMOBi=yR4VsHYyyHOlD{re87$Pb>1z9V2Yzdn*jjHMT_^e zeBAUPAb=;bwNaEa(Ob zX;G*Mot}F%%Z2dRCoId^DV5O&3{2ENY0|m>3r}AW4s1?HsUw`(@tHdCP&bc$KZR%t zR~EBY!F|UGH=~`orP$9~DR$UBY6k&hGL3#{YIQttfzDf6`e%Czm%7J6rx3ew(@6q= zqP7kns?NASU7mOO1%|8_kTvFi6VMkw?u^odous^IdR9wypTp=rZh`dYkT zYABW?qD7xK>{9E{>;`@Iu!NAEUSb!7TOu<%5-E5H*F5o@tIpeV%Xh`{vQ6b1A8-d8 zv?b8`nyFSTL*ewf0^VTLTO^tqQl73AgGmZaI z&j(9(EqGxsOXYf1AjMnt>>GAa9u_7NgWw|l?uzb@aXp$1uq%2NGQjS@v{;Yq!o9AW zzVK7@ObLB(5QN)_@BtMd+DRyQxOL--i2%!`S+j8_tpO#!T>Pd`--Y~zXzV)Ik%PUI zEDm8-+YXwhM64-b6NuRbH+_0UTV18cY|ETg)#jqGk?8x{S1B}E1F7{QwVRT65QErF zMwmJtS}+|UKT0ev_jH~g(4nJf1F7Y|ilxa0&C_3qSpPLiD3i*z% z4q=6-Hes(G0mnP+!nox7%PsfbxahKG&$mEBcOu!F_J`R|AprEv4n907$gUy0I259_ zw>ZrhcsR4HwJ15^KjWSQova$5Gu~PtqVLDiTGxYj1#Vg`=Pq2L=tQuu9D`#EDRvkx z95lCLs^W-#$>xxh`5I-y_PN-w0$10;b)j~efQ_S#-0GET-rc~w@kC@<>DX%bvlQC$ z+|MZ}a0IN?E?mW-rohj#EE)lQkGYA)e7lx5f$&^9ozmON*gl&WjvAGh7&k%hqKiaK+}?`b%I{jJf_`AO+r_&%j@+x(zgRSV zyj=QYtf7c83WqcLhht8s|Av6-&p3+bLZUd(5e^e)s$JP99mkfq$QRce-KMcF{Y z?*72}B=4ti?tvAD53xS-CMV*n=!0m$Tqnq`r>u;=fw50z!Z5~jWq#}iY?uY@Vo=0d zIr>9UtzR1cO-xsuFI%qpG={78hh!QKb29A+4>KoXD%5Bs+~brfR;S0^Mo#?i=neu= zBMr~W>W>CwaCE0AFcy&_3T|Cfq|1dyI3bv}B~fQvE}@iw*SFG91FRs5jsbL_I0%f8 zEd5lS&T63~)MTv{O%OT5nn!E>k$mwN%`Rdls83n#U;&NJO%p1uPd7_1ZGso}O?yb` zKhdA6gvMrYE^3qDyQc`)l?JAb`=dapjfW+mu%OnNo_Hg%BAy#7Vjd#g!t$cBLJ)~0 zB08sxLN~eH8)fImbDYP@5qzwdE5~DSFeBDx;v7jkaGVA04J`&SBGJ(!;5?A{sGhLM z$z!;!db+F{DrHUo-LT{+p-~QkM7i+)^@5^wy_hsrSxY za;Jc7i;#HsqRZkAOW8iem6KygjG+Fm$v?K1XT+zvje8|7n}bL~D^yiZI`Eele01z^ zkBKx5xk&yR7le961M9xHDUR;uv=awc1g`?EwMy6}a`Ec*5B<5GSK@gL0K>dCE3QB{ za-Kx5t|%}17h1heg}S+09K8Z{Q+P8`Yv6aqRUsFB(`h+B;I92pG zA#n6wL>82E#ES%rFA+E)_0-(v^(l%HC1(n+aMu*D;dGSBW%MwGmFzJ*g32BrFgy`T zpfe|L0nC^YI6(MAY4jHU%1DwbGtljnSK;(oi&a@x1ft_EgfW2t&A6!vN*B@_qQ(jW z<%IP|B~i4)sEcpHK)ZsqVlv*9qG^?*;k4zYASnu8POg*hqK{Q{6stmYRUaE^-fzCn ztBWF5?t$mv2vbWIrLRkYX*8!~<5;@%Ww=POm5W?sYgz7MVWJTVBct3{FW-XgN8XgB z^ah3_32m+}^zqawIgWiN9h&yKF8%5(%3pUkIck_E4Kdbj#cMp8l9#8kq_bp1;RSkt&D^fZXVs6fGI+mdbYg|GCXuNi=-p>VLCwvPLut)XA9LSWO zt02^K&{0Qy+MY(Zw?H38)2`tlh2uw~EOBu?)aNP}9o1Z?E?@Kq`)roPUEQwzZ#VQr z#XRwe#2s0DNkKr2j#F~W@y#RqmHmaGmJuZ3oWoM4l1}6w?Gkm;9!%J^(0i+?EgEZ= zHT;5+oDXvY=4hi2?mBa%h0G&Uacgc+@)Dr5$Y$3j0wEmIo_(ZAdUmmp7N(B^Da$1> zSKMzm4U5=cquEvKR%t8IB7JGQdI}1&dvS00tN(Iu`1MKgyI{Q++x?tMS39mjXla?IkipeFr$2W zbFL?fBs`Q8Iz*`EDAFsYrBbt>XwnH7>7%j`HxayV0*@g1w_0NJ-RMo|enL@A#;3!WT2j)trQ!sFYWi z#4Ikn3L+V7<17PBfs^{CL}Q8v&BZ#x&)T@cM$DV4mrIi#Fivq2| zA(V7;GpE38xjn7-Ju+R3CqiUoW~#bHrl`2x1$cx}Qr-P#E7GsKbGt_Lq_HntsGw_i za}+WktrxDL5{6{C#k*?pH+qhhMU@4nvbizbPh!l%RrJ%A@CZ4u-ACB z*f9b?sWZlf;!mb`GAT~z?H}EeGgj^1suWibrJzZ1srGhJ!l@NgzRevKyx{EALaEx@ zlqreeivMf0i)DERr9m+^C1)-eoART`ws6=sxH$mk6^*B2KtFcWgYD2%i;9QCquY`= zb2q!t;*Mmwsn^uv-W2_=y^sAEDCl4nX6;VdN3{T?^U<3}JXvq9B!Cp-*cH}P@U<+DZmy_|IIDgsccXyilxxxE z<3v})Qm?YETVJl!y`7qMIz$cHKPR`OOL&}Jo63&&nL?_MhX|qN^YP0(5o1w^I=12J zPWhAOyrJ|&Jpd1f{y2_03bxwC2~%P1SIsyoQ|~=mjxD%^BHlbw)Ii6638PNx!cR)5 z#&h9f#4EgA$eAiq9Vi*BaUXrl{Gg|==m1A5$Pw+uPn0~S=Qtl3^qX)$QJvJoD7|yb zp4ZAwjh=Ab1uaFKvc_WI=)jk7a2}Ac1tk4jM6<~|QuX7S9 z0yY(lX3ca0ceKJ!rKh-e%Athx7xMiW&1tb`J6B#FMVhJa%9rDE64zkGCyLSZD1vKQ z&xf$CC8{4(tVxS=WN?;1v| z;Lfw`l(T3+9a{a|GM;I#4^z|!BIzW|R0~pn9KnyxbNjp~=Vnz5ym_Z}Mk~O`eOdk{~ zidy97@DLY~yu1CRBvqJHl*Wpn;*aFHBOq0+q}M14J4O5Xw2Kpka!{nCWwVluc6CP7B_u$a6)c3SCmCL^tuE(58%yc6r(5r(O;j9U1v1^BBXF>yEs`3TJ}EbT^3R#8*MC#0!B1cyDfL2RyWYqyttHa z%5a!;y6m*NwOUXj(NEc%3geA3P+%RrPeccmBB6?U&?O<*s*p!hf42KY@%q|trHZD| zt%z}L%6R)zD^Vj$sN&{KAvJqFg_sx6bVurXkH{rK`cCl%&D_N7d-+qyK5a)9;M2y^ z=cAxQ3wswgKe)RW;>H+fVAb`={AO%owLZY8g5KLneK9H}V3DiaatyJt#2pv5i^8@- zeP|tOhz@R+$;FQs^}aUKvOr6rwFomL1GS2xpjOc&W{|#;lZi+HaB3v>n=933J(8ab zXN~7lK+`jGBGRS^zCn6px30$v@To2R+##InQixGfX77k59PUw;8$%m7b=le zBW6VZlDPh{ZymfAu#iPLk(*M{h2fN&7tc2&c7C`AkSMU&B%9$hHaF1 z{nG-d!8mVvcQTo00uL*>?X*~8@a8-|r5(sBL4kb*NV=z_UaMYV4XYH2z!a8Zt zLOyEHr){*jps0s*TEu_jQSs_5;A3)?hXk-tf1s-L#PUv|g^n+!qV3vSH$K)v$s&y) zBMF}+6iv(&qD3GTBOHIW3ncPX7(?*%vfDUo_i)u|jF6f31}FW)U>471RS}|3v?8J@b$W;R02kCt(b{X{?B$`d zTE+^{bX|)v;b*b$j>4LuXr>;RiLitkbViRwp0=U-D>wW_orJer(RLKcXj;PiJ`iS9_SB-AJNn(2*k}Yi9=T>UrP(~wb%2co ztu`*U!`g>npHTPFqjq%L1sxfhR3962kq*6NF^@K?(RTI7VGg&U#bCv!1ycm5GA`;} zcx5jxYFJa5TJ}OY7pnD&i#;lC&nZ@yYTPhkIQ=@75HHh;0KPs$urCvQI0JLSzu>8O zC`=s2C+##!#^_J1X!qS(D%d5bd3jDt6~VMmGfjKSSqX!N1h`Z-M_?1hK<_s@T&Ioa zC^c4BqhLc$T=hJqH$f;?#14fa)IoLywNeGQZR50Lqb!m(>n_}8DRps?G8m`laay85 z5!jzsSjs>h+c~8nKLyC>O`;j4&kCsPK1mTkBBwYP8WX{XNabvZgXkvX6*qj zc?GXj>NQdK7x78f&?Ilq#}AAb=v;t^OFm+8#5N>_cfngu^MLis-HPssL+~}8cB)G$ z^r(o2P?8X~ke~Z}Jkw$p9-Wssl<1gV8q*f){qU03C~7f?q$=A6EorpA4EuSU`=Do4 zfmB;pbEmK&#C7Q<^f=gNWC4XCI&~~|HD%XsD#UDwo(9ixs_-I4;2A;Rj7GvywQ?hl zYDwEaPgc9OKx16iDG0eCj6M-tUSfv5O zGmINj;UEDBt(0me)>2vlRK?JlAryHEamT8Vz9)Ad)eo(nt#LBk7j<8^)|k>Mr=FY; z;5kbf9p_L~i;S|Kle2N>J>TN&=Oz;5sx?@K&?FCjp}!c33p&6a*JEHE2ahLS%L+y1y0hm!9-vvv>Bikgc-12ep&{Rx3vRl`Hn=$uB1)JbULqN>n`fmAEK|xacZxrxdou~ijbP%u1pn!nF!fRCu;HS;^Fo=zMx1tV zMH!EDnYf3E$FTvCG^3gn;k^^P2*tFs6gu&rCjH|UjIx3eQ`t#KM6kJwdMPUU%~8y) zA2Z8#%{Bs^h(RgvVsZzrvf5O__R0>5k6DOMEL80Hy5^Sk-^wB$1Fgz(ZooyY!C|Y= zzNSHxPw8NCU7v!&NsUOe2`^^qy9e|H0li%akz-rx`l*w{S)QJVlY*p#1mL52^c0_4 zjQ9MBtmtz#R2%JHo>CP0B=>+8G9tMx`JoMWBRS6MBkQnEQF^k1*O)D(jm& z;R|#u=!?7f2w`jDcF;Xkqyd&55fMe?OEY1#$u8bfv>(7AfG1ug(Dn2Itn~P0Btc2- zPc4lF17E@?oLgz(YKo(`TL0V0IVOJT=R@Wmg+>9|M2FkSO=xC@6^isO77{Jcmc(=Y zIRqaQcmHQ0WH*lx>zQkPR7-@G7ImQ# ziJ!bm^y`Y+o`*;EchP@;{^)K0%U^!-873;fd-MJ4AKhHc@85j+`t9%EylQX%<1hE8 z|988@0u<;DELZ6!5Lh{`f-O3dbcbdABJAT7+ss19_|!1$TFb$0ny@A6(QaY85z!_+ zEbehd8B4p_;pgtAkW&U^=fA}uN-_t>*;PpY##*pN+!vRKmwn{h=k~$Q;P(Z zh+>In_|cpe%R1)aLR|61!+rMGhx_;+KAcvSVYSnXgnu#yUuac4G|*Fqo0QNJF62AC z7Y7HuNU9!b6Z{hUG6F7wdkHy7u{#{J8!n;M|74S?@0%@>4zJ*YCdm*$1w3 z-+%l1>+imL^VRS4zkllz_!EyWOx&s%AvZpVkq`X*VhA^v#;)(Pp0$WOEbFe_3MO&q zm-TKMGGS28N<{V$T|Kxi6%<-+e{`}3#gv`LR$_@&33ldk^w#XI^|9&w^PRPPPKTjr zO*kSf;jEqYgUz1+twm|FI=aQ4-h1I#O5Z5@QbH&0QOAV^WFK_d2|N}&()PJf z_X?;66=erXt1dyQ&J9)7pNVE}BHMOhx*~$vFzdskZsEBhV66#Uy>lz^2$*BtJ%xak za}@RC*iPOeJeS7WLRZ5{=%iJPo+ePdeeeqr59%kFGw>tn%2j=%Q2yYGUl36NnbWrP z{DdM&rsvwOJ7Oev-JHsu2wfsV$f@skJYK^sy{ka(At2f`i{_f4sUVr!9yU*Xg z{{GGH|8ZbbKBxDR!(?SbtpfoWFWw3>iZQ^|oAn^_NT4p~a)Q=EaLQw2E}ye_!tP!fa2Q|8UelWz>KD;|TxT>uZ^C(y?*=otIz-R{kw0!c>TNIzx%5}m{^Z@=j{+kI^#iADECcw z^V&@Z&Ecb3x2Oa0D~^~x{PIumwExBb_{&jIP(CNH8v(Szvb90;QExK)8K=ARg*~8H zuZt%-JXpbqdv1c5Nqj&Y3`vYWu6bVE3sSty<)(1%rSX1|pdP!jpZ|{^_tvA{+~>i( z6*;t<{B6dGTTMm2k!pd_&s~vyu6lA>iY^_cq30uobt;aW!cQZ_{sIGhLhAhNfBa-Z z>C`-o%P38Sn-eTfMiGeZ*dB;L6=W+>Qby1p3EwA{XfN+aF{8H;Jz|uHox6pxT|fw0 zh?o!de>;)%(r+FyS~H85tfI)#%8U0v$oBs<|JkeG_8H$_*IKLEw6zX-ADhAoCosWdX)+vZq4pUyY@oE9xmWm;a)(`y(f|sT| zHwUOmmr*Fk|M0m!Cj0;K^;chg0J7HW?|(GPGw0(t~Qa&!EBJ9{RZMd2&3L%F8 zCc=3K0^5jAPc9Zj&b40KPUU;w_gE7L<(fpJ=!mUWDT@n-{NY1=#0)9>ez?NYyLVrH z^Y-gMz5e2ZeV{3FsBw0sus*^$QOthoB~EHi&x$#2@f`mNW;L#@wJ5E3c&kmVZ6O2v zsHWpEfcANRARC6aHo6Rv=A zo`~19IN~1Q#l*>-qCXnF^aCA66gv#-A35lhY}4ypMLoM-LJ)Ky@ zDVUY5(lhRHEB6;q^{chA3u^j1QFb=Y2l*cl?KA z&yqHw{vE571eL+_lz!n8)g1+R^WtfK`CnPsOWyT&9?j90NEO|=W9l@GxgWZ<@=lqV zI1z0Edsyg>EiNRnV0mEqc4__X`j>*=wVpE|rjZ^!n7*%y#WQt_gQJs^bfnF`EB(;U zLrt|lwARb7QcTt7oGlMj$qtXkLskA>!q6V8$S4j(I;Z}e`_XfTsUW7kPTidd6tz6q zX9+@EMQ8f?#3-WIzgMh1aCPy>Pf%yBr#wUy!?i9&*GBDwk6IvL547g>kTp7(uHcD4 z#}VlDn_0PZ<7~ku*VgVFEx*c*sM}Dsbj5XHyIgQo3TO+sg$PHWI3%QTY^hRv%+*+4 zcj4}2EMaa`tMvq}T_8d)G|3X4caK^nqD4+DGH?AkC-y<(EOeGLUZqE&1@{zo_nHz% zN#vs%2j1jUd062~`oI#ts&>RigrnHVQXOllOc4jo$vWrrW87dl+dEUszKD&teha0X^A@`5>>*@WUmit#^dzyr`>mh;#J7&UpP_0Ua4mHQ{erR@e}jYeOA{rOQ@HLg4|}k8x(4*OouRFGV~M*7!L`+< zXsZC^U!UR^zy1}&x&N>K^<$v9Uw`@UuYdRMhwoqQ-@bYCBVr98e5HDn)D>4fF12R( z7a#V~^I`w^vvL^~0cx$SGh=vlXS#`c49HjHTijyTVi{D_I%N?B+Za%(^v8B#);y}d z{qg>MdQ#_8rcn&mWc_JLxYFfbJQ@lAtJk6q|M^cm9+xgOxrp-Ox~s*qD;U*CFB9$< zin5+v=Z*hH97c=Yezmhwu8!N5Afici-v%{^7f7 zEVZ|(DoCp$tP2Oha}6Czji$)Qi8xo7y=iQPTBq|@rJQWTHNa4YzRGP3UF!-ve(Ki( zW!bh3n(212FF`N_PPq|tLY?BZ+r*c()vMLB;(sI%Sv|pO6B&=4krqfKqhc8QefkLyj*Ey7(oIqGINb5#L zZHruvr#s8~z0^d9U<#I_G3Rdj!q$u7g*U&0n~=^cy=&U<``GCD7<)UEf{~e8 zXuE{P0h90vS`cIBc5Kn?B(m#;m`oa|bhWhE<1$H`niD4+ZZAFOpoG@*O$Xwxjub1UnYGY$8WW8aKz0rv|X*(r?ZT`^HhA?UC7B_g8i}JYDVoO()NP% zHiWn);K0nQWgJ{n1;cadSa6c0*`0IobIW3wrx8XLxk99CDDFB_YsKG0s~x?4Q_PrU zq!+`?Ny|4seE<6UxBv0x)#n=6pMC%CXZ-K0uipK~o3Gw{{r$f@{F$@9giQR&flv`Q z8AcRB%S3#iqH_-CDZkR#*Gm!al$|Qq?s!?5cJHN4#}&ZC`#L(tCvjUbBpYpl zsW{bwe%w{6$L8h>MOOzqQj_hEf}a%5zLcO^d~Z3jKI^=9?SM;cS-`%e-eNg7g%I2) zZVY&q!Wz{|hvF;_zc>-!P17ba)%=C|TEZ-dkfca;Y^P#Q9fASIQrHojuut405j>px zP=@LA3Qs5gd1Zmvwx2l$Wh&d1kz+rA$|yn`?%mJy0=E3})4!81|H;YdHqBTXA_k8L zk{)l;(7X)xi)jE3P^`ab)>bxgF8bCSy#rg%?K})N#*{6J<78?lw9L|}AT5^EebvCX z8u38X==JzGr798nO_30VGi`6NpqdQ2D({`Og%L&iQxzBz)j74=3tt~=!3+B+)orK_ z4^9acE@=4^iK69Iv`V?gTu8xoPz=Ue)}d{SCvH`yoq1dI{%NMQ@s;T{KP7VeeckN4-VzxnR%@Bigp zf?~W9Ijye^<4bK|#EpyNOt|hrjAae6K=7KzNkgZVp^4(s@<1+2{K@*{K-q!>P-o*Ql6y z1HY9X1WUz?IfIy#A*2O^_u_j8Zbrw&@$Hs;&lGN)huDZ9Ep?JXlw?m@$Y}01&cwJ8 zq>R7i>%*t|1qUSM?>*Af_uRAJeX&Y7N|pAYme5lAOr3`QV@nx|Qbxox*LvDJpsedQ zS`$$z;in8%&wluafhFX~VLsh)y?K~ht2Yje&INO+PY&Bb<0_RRLIsLB;uysVlnDmS zNBhGH{TYji`IWF(HgqJr0m8>N6>WV)u^sNZgHv#<+|PAfqVPg}-eDOL1yQK{7vc)X zpufPlO<4aDu(QvZwf?UMJslK?eh1v9?vgJ1crhx z2vT7s6g=)e{EDA*qIUkP-*6Rw_<^SVY3uHHWbrh{G*nQtmZ)?F+TgN9Ciih$1&N)&CugwCbYBiceM(V^e)N9?6V z)OZ6nbAR(*KmW%=_sP#b`Rp$*_Vf2IX3WCeg+c})2^v!eS{P!2q5SPveD=$KICOvK z8!in{8ar|$N&vT5aw|TfSDi}fC&GW&_0UO)ct1^)y)k}tGtWW*_;C2#UxqK0v6hAp zV^eS2v77h9r%;OUtQKJ7Y;LDOdOpK9i)M=i^tbOt(k~>H*WbN)_1piWB=e2-@0;&l zz5QD6`TEVPw}1HZ_4_ye`2TLvU>qtmUELLGITTE4u=AqRWXFm^LeL_ow z{T}1yaYKrf_AQMI-lSGrgq4f%+SJG3yJQo(FRY*`%zTfLu~yt1?S+f_rh#6J(uaq8 zDUH7G4RYaWER5cSivQ1xmn2}$^65W*KS9@a2{maLX~GiQEXQ7a#e9ld6&&fW#@atS zPA)?MJ}c=dja80&6>$;Sdwx{WUgJ_|(!lrF2t!_>JsQAI6Vc2x(F)`^6rIF@!llTH z#TQ(u)FQ%mi3)2^Mf?K@P6?aQ zJ8;>nK)DJ=O*8wdr6o!$o+h@L5h1`7MJT7x;%H*_G=~TM`-?F3>93!${Oli(rM}PV zjr>r8UaX0BDIi(!G2Xq3&_`cd5Q|gta-Rjm7DS+h-$mhGek(xe^LMX*qzOuX|0eA7 z2caKoM);%8zxeXqx9`4t``uqMPZvR@LBn!5t`%13pw*`b46`El5W*Eg`x?$0@v0yY zM$e@Hdf9LowI)ASWykEhuWQlnbT%ImGZ$C8YEbaMPO)Zlbqv=KwG#N=DyIr!RGL&2 z3pCZ!(^@IoU*mD@L!<3NKWHX@(#I5EInglFY$6Cl%S@I} zUi~(F`}&(V|MB+gH?Q{BzkBoT*KfXm)n6;n{_*Q~U%&eH%?HIHQ5apBCDozOkwoMi z7Zc4CDv$&BXCmaKVggXB8l7IC9`O@z(SQ-a8u_NUh+e?ZEitSgct!X_WMiWH zjBMIT09EAY@P&)^K}}um)J$jWL?5s0l8f`lBgU`5+V_BlQR)Z_Jd)6}7SzOWXP+pp z(HwPRn9>F-IISzO0|EyW`hcj2NfT*-LkX~^^TO%6(D!0G<$7oFCtZ)&)aaqMf>*1n z4d16dx1-X{t!D-QTMQB}{Zm7*9yw9#bF~N16?3_b?#tPPZACP1QRn38PaJgaP&xKk zZKCX;(1<3$nVkd9UpU+=bm%7w0FCZ(O73a)I%#u8A4P;vyR(i%bXeTKqWC`W0GzSS zEk#spgve;TzD}Q&{4{9_w0OB0Jq4B1dW0K`dlIEHd$_yJwJ7f3kdC7J5=S=?i??-h z>c*4oO_APkW_LD}tV%2qJ5h&CRNDk395MAQ8dkJz#4JWHUQrtXTH0KbyC*Umg8UBQ z84mUq^19p69}FOD6|RnDEVeASB6&;0`)U1Be8No67dlonfX!CCr4%hTN97^SzbDl+ z^*R*K4j~PTz(HJkBY_Q@^NyBiQJSz3TP++U+=dh4schnDdb}uFwr8FuU>Vgc3FVO* z42XDZDJU3KE?DNETa9*g9IKonx#4KBVDT*KrG*wSgez1;YFS;}U37%K=+0`I76Eh$ z;<$nmh*g~BUi*j;I_}8|r6+||QMf)_*tEnktBIyYAp$ByumU@(LS5-@aSrG;Xd)R} zG997kb*s=*3(|r5M1# zC(Bhk2GkTfwQzbom1iNh-bs-_S$W6HS;@rny?Vfg1SS0zyNB{_hn7WENJWWfu7%v> zR5-Tw!&v#i&2K*b==Hx48WG5$S>P;B!@vr(==Z`=FYSg;PZR=Fy#}M9I;NnTsOuQ} z_Vgc~geMUQcSiTzK8gt4m5Ye+-pQqM^Uv+YP&`TC(4G&QMg2fusOpDiUW@R z=3zDwku`VY=YG)-O5@zoYYTyMPudO9b!uZFH{u_ZgOb!zkk48t?&*oXXo^+p@Z#QO zhz0iIvK2EtgzA-vNmre63>-c&{t~7Qm?|9TW#3dNBiC%v&%_Y)!q9JtDqISRa0#tc zr+=i)=-4%7ZBwEn-3cfu4109J(2yJ&57W4-Pa|Cgsz6JJ(|BR}Eodz?b7+x4{Ex(0 zY>QeJN*hd-@UE@C*cRG0|?xdZ(WLM{7H#J=~9dX+p-=iq+t zxQdp{baYVB=!}W(r8IR4AuA@_h-&G96s5|(_f7jqabEPo&&DPt=NG?}dcoiE$cEaP+JveX2SOhq8Yh9?NBV={bcbP-a z`h(4l>s%GX4s@HiZ!k2u`9Wy|ByQL9pI2}VlM&%S#~tnTm*DwEz(^AT%_6LxnpL-ZeUVr}iyH|guYv|f8O8k(bi(JtfT4$YLsnWsa zS!zAIY!2`BPy2+!74GCuB>!Dj{6K~|;PXnmNET2(ko8PSzG7g8OuB6;DRO5 z;rzKC9g4`2Hhp0^E3P&zWB(gv*>lHESAQj-B$V2lhMZF9--usmzIKiy*HzF`6P#5% zT{H(=oqVqtziE__#4?O9?X{UEG|g3} z5!w>|twOtujV4h~8j7-2MNLfz`z)7>>qZC4*@*lyQ(Hw6^m{J`?Kw04?4KF55U-Ph zfQ|z#y4kKYw*-}YtdIEWO(^VolXznwpP|NnjW)t}zJ{%cHs zLW`nFopoqP`=jL}geF2PgvYIUMBpqv(xv*ois?n73eJWR8-?ohUttHo$|47uzB3gi zqb(}>=7+%jA!4d=uuq8rNj567#4RHct~H^=ZOvnKK=vr34ut84vct63tTRwiru-^a zLP_mFJAKn|xf_F7M@4=ytUREEN>xL27vpxl1yW=I$FLAl z|4^*5;mpYAi>Gf^Lp6lmJU_@m0ami(5u6@6~uC=Ex+MGuar7TDU)~hSSt#WKt6FaF%x{jv@D;|e} z=S6d}_TWNtM_6=_`$~bLYawaPz|Gor)LP@ySFYU_&z1y-1wJ`_iDKGSYx*%*<`z$k zG~RKFkI^PJ#Pf4rQMfb-S0I_fHv3sWqmb!lRZZP$-Q zG3eyaO@HRY8hgyUy)^#kxnF6oIZ$anQU64OKszX$3txF* zPleaPl`MBCCw)k#-gHB8gTkYNmZHWJ!H}C4_)ta^J}L@B(%JkwX?UJ%X@h z&Up$EXo4MG;=5+>R@TR+Bw^ zEsEtR=??4>Z1s>XO7B!RoXm)w=40eD8=58iL6L&jj=|qEw$Xx3gXVt9 znNRXwLwnND?lx%(IoGw{h`fV6V+ylWr#uJz%pb19kAD&o0`C0}-@X3!?GInQdiS4y z`tF-|-|CC(BKYjkeqE(7tH?CI>PkY1y9oKg#a4vxa zF2Ri#O64>{tb*F>dUGdZ`sOqTiY%x>pLmjMm+vh)zuU86Lh~ScDdp0kzx3#hn zdpEY~BgtdNrhj$lI18RCQw~w^L@BeL$KdPga^U1zkKLL}=%G8#NCS59ibxG7D`63R zQN2UQ0_>5=FUPzhH``+TimNAusL0K&sZlYHL)wOpuhNN@7kBe`31Lp(u5@e66(kJ1 zP)i9X<-)-lo^rk1HJiKzP?gQ;76iy{-Agn#AhwW`cK0RHV4vDa+BdFCC`nu8(X=%q zX}~m}3Qe?zA83cQm1m&7$fZdVv$)6>&h&^x1?B8ZlcS$^BGFjTxQWJ?2<>xb;iw7X za$QmUaA>BEYJyo;Rs7?6G1TK$?AZ)u-`Wv-cNn$ZhBGAC1#&W`=@|sw^p2X~@BUUiyp3@n?;(aL8m%ucaQsdAFK|y*T{!k2c0`G52$G-v&yAr*&ry zVl5`z&t0>1mwhUbdst>)@#bU#`Kubni#_`D7d5ya-lhM`JJet|Aui4VwiX{+M;sKJ zx_WCRS?gH{XgpqsOo+2toc**m-4y?RXOm5W68Elr3-w1jnZuKPkBRhT*Cf*tc=O%QBCF=xXIs-VI~VW^;sD7k(a6(kM?CcSQE zJb$2dqv=0wTjMU`nAg~7J=R)$s@q#Vh4TShRf`b6EfC*QSg?kx_@(Gv?{fv)c1-Cv z=-nPl6|@rccbF$D*IuP6HftBsi(&*bE6_&s;gm-e>{=gl#>bgl9~=!UiV|l98N+4W z)br_0E4qNz>vg?=_vGM|POyo*(#A!n_Kn6qa6=sWK503)#D}#DD0xOwF?4-=)3^-o zDe`mHiCtHGnjQr{C#oibOI5H*5Pz*JTnY~ULdjA%^Ww5Pwt`AH4)extm5kM_MF1Wn zqk_M-rN*VX?v%L{fsGX(q2c~#C?CdTk zc({bNM6Hy%<4LvUsn8d1Ijz>} z?9>#Gvqi;S%#nl!3;J{C$duSur7lsml|2C+-zknwYOCI-Sr9l22!2rrWpHgH`>+ia zwJB`~p`xLv5UIFT*R&3fC%1}GlA(VST}Pqp z8lsMEU6js7OpnhlxXt)aMxccah@R|_fes;gO z`x^Zc6srn6=um9bN((_;9#OI>rmgf_9HQ4sEQ>=_MJgfUGp{`8S;q!Y1FyfITcTQl z8XW-^Z3lqL<6?^{(Nnh?v}Ez@L>(9D6a04Dd*nQ;7U|uog)dL}b1pb*A(1KccwdTL^%kkrfKO~DfvRN) zy!WDfNm;u#U2qgX-dgPq+VB|kYGnv+m7cVR%6Rawi`?LA>o$hA701QDq2DeX++hUm zG_tDxAE-zhu0^D=Z&Q+JigPxb4e2UwK9atvI9#R`hz~qwIaN|bMC2JI)?OaJY!jbe zK4HMrHi#CJe_hxXDK%idt+BrP`vUgzD9UgOYtgDb>6{Y`j;Lf{BM=p&sOF;7Xt+s<=H2=TY)G)W)KTvnszj*h%*WbPh@4o%xn-4nf(LjfXYU^>5Pis(0_njQJ zWOT6@jGDAOQAuhEM|s-gwD^W5bkM6(q?n_vod&TcrT9jt|iLbL*>!^v1Q0b%>i&tY$*3a}mv-WP#s z46a4eQOB9sh;h^>uy7zN)6zwkuJF%?L-Y}V<@+Cg_rsTO{=q0cl|x!AUejllbClnV z7H)g4x;w(aZPykG=T7na#T^zGo1+QyvnHBy{=)}RZZD0NI)$a|4fo<+G|ye`LXwkC zdm6$YC64h(L7pr%@qHynia0twM)5p7(MF@W3MSj(N)r&SII}piz_e%^H!eVws7KLm ztXTO1C+fxNY;)H!8vWRZB8IsIHnCe+8t%($ezYy^;O5~(zEkpDN@;#|yMij#<*F&82Tfz%%3V>==9i7V8T|;WHLas%l)m|(l z66m`hFrWL|E}Fl;oP0m0lCf|sN94<;(MGTb{#!2WK^er>+-?!YhWt&tV}P^f;x^pp za!=GXP=|J`qLH*uQH|-$}1P?pFl2}>9D>JVYecPAOJPFqA zBLpEgdh77j(c%`0I(=RoTNLf!jRO!-7!;zzgGSKi@tLBd!*Q!U(S04&?|Qa+SN$E5 zt2^%YTlcXEOmP%~nD_~n#?)il16*Pod%Y{&=2q5N^B8VW@)Hkn{ta%pwWfJ#N zsC#pidMGFe`6BnC^@S?E*W_TfBH*nx)f#QJmO8=ZG3+=g$0$PDUSv>=6~uHQsj4ib z?eTPV;TjR@E%bD3yLe>g=GY|uN720C4`R@Z*Iru6uSys#Ul;D!OZ+9CB= zH5@i#DWTM8+AR)7QJ?|?_7tTa$3=3#d?7>>G;Js1fgFtLKd1gy!yvprE+fL(8_mhv zeU@yoP8ahqPGR|eq-tp_Kxiv=PsN3Py+6Z>%Z?tAda!zI%a|9h`SIVr=KcEjudX-0 zfBp53twC4lf}>g)jn1@mP{n95rKHdOh;+~kkZ31fV>!-2?*(nem zmqJ}b%cf&0%)se&H?q|sH1Huz|JAR4{*zJW@4UEkzM=fi?0H*67yMMF_4cSZ_6`w8 z`Xbwnf{L({SjFL-lC`B~j)GBT39$)mrVT=TyZ$DKv@{%ftg^>`pII43m@wQI;(^+U zL;DT8#t9Y^8LDUBccoo!Y}m23UDT*>Rw8^DNb*XOTI0$heve{SB68Y7j{r7jGooXH zVA#V_7;l9J@Ko2lh|%UVd5dCi%0=*R+Z78BN?N*D+YPo_(+R?gY=|J~nNo^Eq1t)G zHxYv8UOi~>-DYd_##8M>UA+*bFqzn@(vmiXK9rEsIYTknZUlpzO1p+ocE3&>g)4DI z`BJIScrSu>Vj)syh`W|`h~fk$i;~1jY53!HQjly`zOz(Sx%2V8vbTN^Tf2f_J_j}%|BYho5IV!w3`kEG$%^rtq4#wM*{gMN}7A8mO}*TIu$m?}SYe%n-KeeW#VY*xzsYqkql`mo_i@k+sADH8`LynY z9Hw^2q+yqxFEo9n>=gPqbDaB{wrs8~cy7!F~`&gyU+Q6f?zEvYit6$RNX)$d07a45(7L_pKfa0XZk;d^Y1=?$R4lzB0 z1FX{7tq(Z?H1^z1`m+mQtSIv4W4U<=)9Lvw`a+W)R;+OZFL!e-4tKOJH3%iFjmjcH zE}=A>q^My?2+DH2u{`UBNR4rKJXO@n(QBkm~1 zP>{wOqEK}7y?AU)K2RufBQr^}FwX`0mx8UVrua z-M_#7AlC>53*H*cl=dUsT$&>a8hRPHDvsgzP_eaXIrJ2Tzm*|qas#fiaL_-{|gu~A5!YU0xzVmVEZIlnurrt)ioJWb;B>{hd zZM5gL1x5LqMKLRRn&#{Ps_d6yz__YXmDBdSM9s?(YI+1yGss|Y5Mbt{#F0ZkP2WAJ zsO!S$LWiT_3@$9HwYqb5pqS$jOt#whm@2pIVwz*wP$#C(sRSh&5lj865x(a}7-yf{ zahx_K29E>!X*HU77Ga8cygw>>q?n9h#O--MB3?C;!&dERlDFpds+crQ4m7n#YU1!z zP|R*_bOAQ(kLmUcwfY0$#v2hVRNN;<_vhUVzEL?-F07Pk6f&aQ(y@yoVa#H7&f_{~ zKMEu9UQvLxv`1RyQmS3{(cd@tzy*u7P zMFCVKeU+rAu&Jv^Yk2G-S3Xc_=m$r++T1~JC5~qm^n7qrnP$ZMh{7YVEQ+kV7nM8& zz4UnY7Ou9rDZJL$9z_SprYKLKF*{;$T9*$|yzpl1ufDmpaA;T>i+o>03wst%J4U_d zo|L+T1&x+W3ZgJREin`^2Ru|q+T6~xUz!G}%2a;YF^bnRD`H=3!t3sXV(qq2mQcjJ zI(E;B2g1RcD!-e8(!7$NRx2h3Yc62|eNV8sP~xxN>v7&spErC+6K6K$KF?G*!+PdRAGMLTdsie(NC8?_0PY2qkn$;^;^ZVKmYUlqt@s2^~clIoqOfh zQ3_!~dMt{s9=ix_Aa}LW^USRHK;7w?DP|@Bd7C$rYnn)kQZgT3JlRi|w*1pihE(k7 zm1X_T&9T=iI9bT`1K$C@>XZNfze?-mI50nRq69Tu7_y#Et*A#%Q=yejID@v7S>c|C z+fzqCY|f3{J?i0&P*JxYw+E{wEVMCicPHF2F|GER=|_GRl4-PEg+J$ZQ+%!ER`ma& za6RC@u1(T!Iv`8IJ{~_0ZSAL8X5~n62c0on*XmeWZgiD#`$R7h*B0VmlXAp?l9Cu= zziZM5D?WJ+d4=`Xz0v1Q?=7vo>9`@Hb=r8+@fwipHjfs3zE8A^8^#%xE*YhowCQ&c z+DIB3;TF^m?BJli16mJ6FZ5D|8;hie#(ys>dC$_VxCpiv@qD_w@sceLgKQM8GA;uT z`0;V)-hZd+IJooD9$MYuRNFZ_Jn_3pT^zr8ZD(b(mhgmYJ%yX9`>B7`^DCi5@oy&- zGSYo2RxY<9Rxbj!?oa~D6r^&ud1`Kt;Pq?z-b-*x+i)grShN-gem5wZ3xgz7;)E|B zdGRv+J3)nnh3d8r!bIsB8|U)0sM`+GAEiH6 z%O!}wym+in{#GC@eDc#zq|bmM7ynnF5NV>W zTMUk(qq3$br3uxqEA0r@&>m_Ms+ChTPgzzQFjR;GUKv?S%x&5yiK7`87ozu1Re}(} z>OSJno&_|Tuzt53$=o{{wsx?6xgEiFC<+%}c@B#Kn-v+=yla0(eV!MC)(^K(k94yD zG*(TSc_Jvf<$ZKxBPw9{D@M$ou&l&L9PJtHM3E*uK4w8gP}w(ltv^3&+#s`yRHi*H zfEqIWEcVu)tl<{jmJ=f;B_S?)=i>7$p-JX{Pe^h{@Mqsh9O-V zd;xi{wOLV@tk`f0QP$S={}AL9YHFEXWc8DETiU;z`L?V<(|1?>XH}&Zy^^+~wopSE zzbX0En%jyDj$qkCFR3--QkWKi>L^~AM@4~+&IgB&k#=_#<7k|a3Bq}OqVkq|@p`}d z^uw}(@}IAM+unWu{qNqr`SQ!Rf0ZZt>K$4cKWcIhn4={5~91Fbin^pg*tv}N<^ zySHDy`TFy>U;pvdcRzgd&9`s9`|jPh|IG7`M$Pw(g{EI}h-hKb4-@X0z>(?J7^VM+I=$ITUBOtUt zhn7s49E)p+yMj2qcU&PDdJhpw!<1p@ai9BGuG_+MWFH}4-%HBZ~q&tPZ zfp&jy7tPi_ZIsi`$^~Is+{a!<>75;o|4vOo*nwN?AdYYg&?iSH%2Iweqi-XK1S7ZT zmNp0-4ChqFZW^@ad^NC-D+ON;=bN5x&{!`LAb4%Io73aRMnEgEYHti}FX3$`B1d+r z$OLN!u`9*NiDnT~AV%iiydDgtQY}tH5dmz@JLMNaKVz)MV@@$6I>j#Ti>`KSv)ZS0 zYOCd1-3Xy*_2=q_HdMB(3h6`nyp25)?Vk9=i_omtL$k<>{(-^ zB!X>hDtpBDTvTid`-q4Ql&X+j<31J5aQI-o#E!3)?Qzl(eF(rfz4<8U0PC5ZqPOVD z5`Hgu#`If%D_BwUiP&iv6g))@Z=uVK%^2)23JqVBtBX`Ngu~K0Zs$Zl+T<9q z;z=DIFnU{QzWS+R=l29%Xr#)^g{c%63_H#=b`X# z>?Xiki%kK=)svEffUF8@5`ARGbrN6$dJL&T>D8*6@mugW55ABaD> z6n(-r*sZaQc8y6Db*gbjBzLGVwqa{~Fp89q^j!vvN8~v8D>?`5matb7?ef|wg*prx{e(5rGu{G$+(#>R z;G9WVW1_GcYV|-yN)P~{00>=W61gPF1I_ZNWm&3b{Hd`lk1c(0ts*ICuH?4v9VJpW zPz|r>@`xp1v$H2*31yJyHc7l3!3(nZo&;3yF@E&Dv^c$aezs1i{#>Zxf>C(0r{kW|SwBH;BEC zQxsqOt!kT`ZNuHP>E)X^d`D4PfpJeYa!H<6dFnjS_RxRPz^151&+?`BKh7;6i^`cJ z=e1$d{@>W5A}b58wMQmFldgrQIn8z(FTRUoh)_QL$!{`cN6Gy- zIYw6A6r!e4r4O_f^asd`nc}W^!e8_%G!SR)F&j5FwAk4m6J>iE26rejQ>$|1H7-=5 z+z@_;e`!%I;dK;g6ll)89Rq9fUAzFZ*Rl%`8j(Dz1%1^kQrPqSXP)&vp~Kj!#1HNT zdB0De_xt2`KRuNGBCB%$EWYz7;dgF^A>dS2@>iNlgBcXq=@I2Rm8$o;2}2xZ74iC0 z2`UKa!tYyb^P=sg#6J9W*cz{p?noxvML`2f=Xo2N#d1;0sIgJJJ+HVIF6#A~k)lzK z;f||({0@$BMh$GK+> z@+nx;u?}PW3nnVhjWl&{Pg`6wQG8z0Vp$7IGhIh~4weu@)9j(gNexnKh$u!%EhqK0 zn)Qr&k?*!{GeqsYp|_(MNlUk{MJY-4bbSuAPdU{Pi5p$(Iit>1s`9H4_ojU{oi?Dm z(K{$~_o5ZZ8KDNqd{FVEw}0f=_4c3q?tlH{%wJ|?fAn~#wwEj<^i&#$BIvfayW@wU zO{{iY#{W5wa!-pn2r@^E%;;wXWN8rxuq)uG^f!p#KQ_v&`Dx0ue|t|myY z9i>1UyG`OWZf@b;ZxKEuXFq)Q&p!ThXa0}2c;CMHT=C}hmtVd5k2hbwIAO!N;^@jl zyVht2SYxQDJ+5_^l`pEc1$$T+N>P3J6@-Pj|EWeHR)IsPQ7iW({8B&jEU1El)8l!6 zrg>Rn*;slHeN`1ondn*$PKmM@O;F0FE9me+sZvlAi=}kj0$7MtQC>yY^YP*pAKlWA zfAvqjBCyA&SHD;d40qg*+cY87v8{rl*dOh~(gCK0{Y?X1*cD4`3GDo5y- zZMFK*h_u;`@H1y9@B=(B5m7gXk5PDCzubfYhv2DM5gdoWkWxO93ks1RzRsh0@y#c{ z_~&K}fdhmZst{H?v%`3N;Q*33j1f^0N~Bdcoy#1%MU>^VNcQd5Z9hs{zn8EETNmMkC+uKOK+UOe#sbD3&tMr%{B0$i>Vx& zW-aMC5CzD78u8Vkkvz3E4o8I$hM8J%afn^QUN!60K1yeYkZ{HeCLd*=NA=2Qd$l%= zDCjv`?vIVl>8P!>Foeh*hSnVd<2rn*)bhg{mwJE)Y#nctM1fMnVW~~}D>U6yq%G)C z&|F($v(`)66mgZuMN~8P?IJDuXF-{1HSu)w3aHNvouk-Z@3L1z#b_6COq&K}RBh42 zdldP-s9txO2EBCM8e9(|)cz3~zei6)KrI(LV(vaYM`wN6d!UnkBXUJY3~jsxb}*or^f1~*EoC~s2lpMyf)shzN3we)OJ`%^*d76$h@Hk1!fx%w zFh5O5pM3mJjWSXW&MBVVm$;Y0!qS?yS8(DpT0DY%V$Ir}_%X7_vD4LiDrqd5X2hkm z>wRoXaMTpPVsp1(UV#)%fqt|c#9z;+r3xL=M(wdi6xL+d`!E$Uq-{{Wc0It>QxolgtIWHKNsre`VxKkD23A9g4N=OspsQQ zp49VK)n5DNyRY8;ULU1D`QdB+;my~-fA_~XU%%te-h3c@qpUC}$PEhkJLSf-yn`}} zc1amQD+4$~Vo3=-dd;Zi+rt%PetoC zfrz~+s1hhf3}YyIFPe9K57IFQ3XGabB8C3$K$eQOP~*!sxd9!m2wpfY#Jk}?{JzpJ zb}?LI3Z5d%@5F7Jn7vURT0Ra$YSB;~f-m&K%n_G3g0^^n8Z$Cgx7@U5dwWl8emY4M zGXxknVGU(Xr2;<%Wv@ogO8FiK=Z@MMWr;x%8miaY6_?j)XTv0_$`w^=3d11AV>G=r z6e{+KN1byk!Cr()OmGUz#?KTvHqAznecvjbv}MuZ1vyZNq8#~YpQCP2sTKDle~B6t zqlwJ=0b!I}OAvF{wBpJ{#i|~u9m*DiOP=bTzzO>_7Jq=#Br4@wmvm)il%+;Q!=lZr1zI&PNc3J<^xU@1ThJqI znMTgJ@LW2*wo7xIM;GU&5pH}jXrDY4(X_@_{ICu_ww=2KK|P=2viJDy|l7~K=n{m>q<1SJ4=0fM>FD>f_O;A zQOIKSUu4PCZ}doK1=^Z!0Sq8uAe|4GWuY|^ z{l25{rnrX~Rc+%6B^qpjK787rR@eIPp4M@@l-Dk3i{@A*5R1p2(V-^H5x(I4)Fwoh z8~J`$_w%GRshIhvT13wr2Eq}7olWuV zqNIVeg^=Wo=Xx>3pS@^>|NH(r`xoM|@BaPOcYFI!Q3zf75^?4NBYvZatv;H%a&p>1(cLGOxn z&7q_!?4S_lur{E@aR@soaU7f>phlGYBbT=_ks5oHUqGwa?^7eJHrFz8xHF zmKmmYV+Ie*iD;VebWTKdvSWB7Rz{^58y{Ydo!5eNd!6dO?It_%Yx3 za)Kc@n=#)3R zQdDoR%MR8&K65GdzIHkeX`{sK>^!cMN_$sV#kz3x zu?&$qZ>V)Cq;r!sBDkuOT-R05rln`lKOb^xfr`~cZOYo`zBt=IMh7awmsE*Or4fj(hBI7=_b z-n9Wmm8_w;6SZi}ij;*{#*tR(SDP?DYZ3b<`do!p9>d~b@k%M?o=cmV6@b%yC}s*^ zaVFc_H2rV_H%qy$V(6#HYqZ$XX_dRhNu%(vqfwTHuHWcBZ|L4A*4ZTLKkYQw#3R>( zcq9Kox`8rG+F1v6HyVdo4=Pve7V%`|=s!Em%Szm_POAv`GuUjD;HV&CRH>3e8a*oa z_7t|c8gT#8yN?)8c53jN6Mcx^gNs_KeA+C+x&p!fKlc8mTaVky)`a`4VQ2oxeQa%6*&m=?f5hY26!ZvB#0O9x$lcPL%t{cqq(87KuIQcbQ#Wly z7f~q?b;`V-W&phbO{1Q)Yi9=x6XU=hflEl*QDPL#+|%|b8h-LYleO#BH{bm5*C#){ zdh_PzAD?{p;?2#se+`DzhM%qGKov;i=18v~RNnNz+BghU;H|7+nv5$~ZYS!z!z|*V zXp)vqWRdmmSmq_5m<3wUP&1%rjYzTa_xgS5xSAX|3|LkFs=&HVH(#dm(iELWN`1DcSXow|3w+aeI zC_`jQ>~t$5-LeyN*)}Q~x7C9OHbDi!%Y4g`j=YMyOd+`eYY0(pxf%v8~>dJ@aO;RjT=2{Gbq`w zT0rp>SFgDNvERE{e25pW@k`;s#{4%%HZDOv~ddVYkO4Ls`M|mw$Qw!>gY@IzqI0 zD0fm`K4@rB-VsbX(t3rXwQ^qP#sQw$6vz9cFZ=Ab)9~p(`>H)(t$6^iQa^ZcABqOX z_F{_&@Elr~iuN$j)+MG+%QfgZG?EpCMto|9rl&aOlQPZakiv?Y*m^4&xZsD||@gGw$^f2qiA?S8% zP&8k!s2wM8^Xqtg`t_$@GWdV`>C1mmdinDCpKdt*hc|B(!@qm}-Cd#jjvs&ai<6v7 zvu|wiF$mmL9IKIWqU`Ji7JumDNNeD(4<3x@CFI) zh#p_n{chpa;9;$UbEw#imZ{^OD{+vZ@0=VmcDy94wqFf*A-y>`1l}LL@+bEzfBxTi z`*jmu_Y9~_0}afMT@&yw1y+z zok(md;(uZ1D~}c2WFCCHp=(nvK%1M%I1d$cn|sUat$pZ~{X_!j?_U8YRUnqr zVkBJl7}39=OMT=`$dY1I>_$5RuPI-c_Tb_n9z!Lram(FIZjF*-x~6rs8dyw;JW0x) zhZ8!!n~|_XFk<#Xba}Ue*?U?9@fd4QHLTA0Ia<OR9pMH0ML(k!TBIp6H=x|_I5Y)H>jlW|fs+myPK5;JAcv2c%T z<1NxC9_A_KtuTpDr+Fv8K`UY6(2P2+=7w?9COcD1eKeJK;Qs09e`6{&DcpW{kELwi zuSw4|!p|PbFr1tsjSeW2>WQ6@ymMHsv_J)4)DO@UD|`Yk={$U(;;4+0wQU;nD~RoI zj4xz?4PiAO%K}O5W^0=p6ohK`q#S+W7X?|J*0IqJU7HdVD$&P9qhbuM`a>y~sdcII=9L z5aqZ!A^H7&$e+GzOZZJ~p?&@@ub=(z|NZQLym|8DtCxR%{?{kZUcY_*`qyI^1XO~d zI747+`n3y)(_WHXQPr8D_W5bG;Zr%5pEtg6ffqtEIQahA7rqI%^ z&2)rN^5va=N52vbAdLYfPjgBC>>6G4-lILdH_w(@Gbu&hX~ zmib6E01^=#b0g_ZL*lY{DB38y{ofSq;;6a1$v^HmD=jfM9{*oTZ{DP#(GjEoTm#T@YDGnEb#reZc*82BG0wESK3=_)8WYORKFQT3u{6hn1Yc?F@A@EhzJQuV$>5nbPdH;GCf#ucwre&tBc$+^rY8hc~2L-+c z5iF5C#aDty+7FN_f`gSPP@%YE|1ak;kI6!8f@U-W<|7%NPR?)VMC7=>1%Zdy`8)K{ zwQf&;>uf4-4J~B5DHFC953Lkjm2m`GE~N8oHW+8-W);htS5sNeQX0KK~XNwBJbziP&_Jb=WJ!-o&Ln8hn(%fz9ZPPtn zR&$9;gh31R+E+|fm59$p%s5+vh2_fmU9-T>r5$!EhI&oI>P3Uw{ zI%r5M+uh&qO50}9fNS$^duoDt%5*gMn@uLs>^cQdDkNL2UiFjqN?D|I*?5JXG&ZQ>& zkPhGD&>H4=WS(OtDjxB1sM@1$IW}=i?UOwT(vkqt4%nay)NMR^CP?|GANhjl*49w) zCv>!Va%d8h^>8XpS4hrrops`#ny5f<+Br!q65~UmB;%_fDoI}aL>N4U%c9DF&L2%> zl;uEX{l&%;r;dqEC;lv?!wNZ8@e7rT4>c3*&JKmEuN&n1T~ z?Ffw{*S|NVuF;j#tp-nl^3ex#e6xSt=N>Uqs({vw=zH$5YuQ%u)=37W#6uglD4g$z zl8DNVzKVaY{yeq?HLNDCT@=y;3xwsg1x9LzVDYaH^z_RwKl^ZRZ~OTh#E;(fEn~Cz zH)OW1v}^cvoyd;Hv6Z#Y)*Y8d>uK(^INIt7bf6L?jiyCJmRy2TEQd||qlfsg?7{~h z!rVnj!ZeifR=T0M^>9=qaz^cit5;>lvpSLHiBp*AdQOGZLzn}PYJ(^uWj-0%&kb{T zT)35@*!4-Mqc@us&n(w|oLF(!wkXI7R^W+l`id3Fd+(s9pZ@Vykofw^pY5amuGeo~ z{KysfyLtW>+$pt~-DsTK3WvliW-%{6Xsg-riQecINJEHJ`yvWY6_3DxV$i+_e4io; zC_5qZh1ki%CtyaOe)-QIK}jjbO^oy8B+8wu7=?1p;di<~Z?A_5c^gp z%fwoTf=TQ%&2zV7S`>o}Tsp9KW9kz_k}=|mSL>cgq{K-V7mc_!KBhPd^&2P~QqbwTL3AI^G%%UeS_nC?8m zFm3-y6)7hm0#a3zB9`dwYA>6#v^Dp6tcTUtoY^`qe=+PBtEgAE@vMUUPQmjSQ42r> zT!Z1TH`!rJ_2Dc1k#PADZ=~I|doKMD3_e-hSUAm2_biRascL21N_=zzUiUqWHZBKwL5@mlcx{_bsp%P6<=0&2E@ao1Tn=rwy%b3>^C1DX(28 zvO=|$hrKwfSU@}SBt3F$7Kvd&u3(7s6ChDY>Zm{5rgGy`~Jm#aZMF3uHs4^g|z6)q0gYCk2e0>Z`ve^>J*}Vv1s(7WKYv- zK{8-3Nda}cgrA=c)hN{jo2Z0+)@p1ij^Th=Y53H^=4a#J5D6 zQE>FDknYZPXiOgNwbvHgaz%vrJcyR-y*Wza?649QZoI93|%@F62r{YI^e>L`$ zK8as2_uE&mzy1D~mI(9o-UN!Jxx{$VEQ+q&Q!zWuYURqivI0a7lp;F z^?4%E!>(`lc^F##;vJset8N1_C?oN7bb$@ZZIyh;912sb!hTGVCL0`mcFa1pO!D1^ ztTi6fCPI4PZ;r_99`DA%B_aNRwjP90uT>vE@F%|jYN3hq(Lc)6c&C@~$%f{C6I_(&ubi$yNWH*s0|_r^|hE zV})gU#k0=^oLIOxzZ5|Mso$^t*5S^i#e~dFc!ye%O~}OM9dUbsbGpR$7JxaYedC7fRMw3OLXu zi0sW$>?R(9^<%}?7MO%U`+mAZ%kHePY)xs%XFM*H8_|xf$)c;JIHHWJyon+F#+J?A z?5m>8K%$}r+w^%tFVtZiRhX+~+p%S+vT z5ycoqpulm?aN5l(){A$DfGKF53jRSqj?`~_2PKvChp@X{hPOx{V2W6i2Dc$vWYLin zQXLq-ZJR^RiP|O&yPk(^eztYrmyYP6ZS9CRJUTI6z{9xi0OzgJR_@rYZ5~-)*fuT9 zfN`JLax)G8y-2x;Vo1F2$b`}@Zp|}o>8?~g(Kf%bLuCn>5`Dq3KU!ROUGJBlf8^rw z`zjt_IYDW;ZzC!~iobj7Hd;&(va$Q!Ek|Dv#YiRfbxkgF&NX~==6>ni z>;pyALfdPqN>JRLWWfN$hG!XGv*i`4h1&3bHM3$k+uiL0E^IF7WzY>&R?`m4d(#l# zx2TH3W=9X<6wTLUV{1BSjkj}Mlq!`MXpGm=n-1+CP9=_5jz=r+)>ixKqr;lf)SqR` zi8kt5cD;cJPp0m;>{yQKzmTy`8mWz{({gim4FdhV+E}X{HFHI)ebcmiS!e>Fxp2^W zcNAltJ<^UIuWe{H?VRmG5O2kY?WA73b`5uIF`SOVf-P7$memWp?0H*!FKLH}*@_Mz zxHL;NqToLFbR!(asEOl5t5bY!PCcyBpMF)LMzM06#}oiqM!bt`H&KG2;S|YC3MA1N z?dZr_Nz^Y0?ki3oM!8GL(;dyssQ6&LA`7}{S_4O0FJZkC0V)0XA&h&=;!sy|V7DWl z!Uh^&J8?Q}P1{>cngd!zCUmQWdKFM97l?Wzt?hcX609DIJIcjy9;;k{ z#m2#z`rXj1*hBL;mv7-v(BEndA=BG8f_5!e8{EmQPWglub+6AoHvM7RbhIA^*Z8za zc9bQfH`pPZda$y3ZaspSo5(YI{X0d_y=l7wJGOj@4z$D6?uf}~179t8T$_#H+HI3% zc9g0xF}NAnA)G#XJnk#Kw#_&mt=wCb;;YX-a^+f)P%Ri#VwINLerU^S2cKuYB~Wv_ z%}X3jmQAeBDt8DJE6LQp&<|l1xI{yghLyo1-kaR{7>&T~1Egf%)=*c`=_3AoaptKY zXyOv^fTpFhxmBxc=2PKB+(W!ju(7T*yb6&ijEd%A(np8=sZZSFf#Hvh9rr2$(_^>o zR&P+Q3`bD@9VHti#xcDV*;p?vT?jBhOIR!qKVaExa)j^K5rmAdu42RGkuJMzL7vLkJ}fAWH9X| zow7#p%2jw2Mk>A52-8BmLQ3?mQ4VyTAgjx2JUiMU3w6!M9vs)h zA}AjF>`jSe6ItJu;-g|*b=~39YH9nQT1k3p44B8nR!WfodFK5@JXy&D25-;161WY%_H`qT z8Ub0qDZh%)R4aU0xAn3OXV>|dB-&hhM zn^Pe!LGQL9>o5bA{RKyXe&VL5EF$Ekw+myj(QDCcP5WVN^nl;a@iiI;tiiiG(x77W zzB7%4K#Lw)0Yh62UFo>Dt3rZ=NxBOPvx3o-y9*;%EiCE>jNo0mJB{?ID0w7~djVHR zI_9~1jNov~nND%o*wLbR7jqECjj>TuN|kaGH-a{PSTHX&DB6NGxZT4AIG8PtNDGT% zn8V*#c?*0eJmxUq$p{Ew?Yo6d8FFMaj~xb^Li<4oIh7C^Dmj`LB+N}6VKW3G%5xN; z+9E>X&vWWsMTNS<{Crn7K5)WrSl3u#o;(z^gaabxdQRhai^|j`nTm{z_S4qed6fVU?q`{!q2ivKM?o!(4mP?Ak|u1_j+l;lO@S7{?)`Uj2alqhVjmqDfPJ zrt+5xzkC}#Q>;EmpW3(WUQi*3HJU=_C3`&8&4p~sB4Rk*dR`{XLjOL;{|MSDCSt%+ zwsq4}hkUlXZ%>`KW$zJs3FwrigT>(Bffmq5$j1XaCQuzjvhhs9+^T zq&+HRi|Ds6P+bM+xn1Bc~B)UmA--eKiJ83JsePz*bB%Rx79) zt3fhOpnMFb`(&=l0~siWZLn*%Ia3cR;!$ndn|adRcI+Q zetzpSBg>98gOa6*)Z;6X1KRid1z5<(IrLt4PDMHJ*w^WC6CJmOy+eg(FTyS8j-qQM z;Ef8OGB5RSyMZz01A_!N@3Ky|RA^H`6BBLZiiA~Wn5l>DaN)?xKas+1?p>zV0d*5- zzc*r>7N{1%BIKput1xE89>k*rgloT}?aBdwh@ulG+_XUTH=!+MhI2(fOn81lWL_{! zS^4boq=Q5wtWQ>GQXVyDjf$OUO&=KaD*TEn77-{B7rW$69b5GKJoW?TQu5{nL}-Sp z$a!;Qd|tDJ`}hI|bGHqP@>zU+21-U+_2Pa)Xo5s`yVVPOIdgP_?1ZLWA02BUyRQP^D@FF;in;i72FK?K$39IF=D ztvu5a0@O4OFTRN}VwhXiz+c)jRA6N>{{=x>jUl4M7c7<3E;ZVVnIua>E?{u7Lad0O= z8T_-ePYosXt!mLKa`bF)TM_3dhKu`?f|PMM3elZn(SkZn*zJnTNz<098ZpE(@dCKJ zMf88-K=bxs=blh|gTgh3%Q3y9nBdsp#++QWPj`ic2kx4Q`dn*?q2PEB36a9@*v`gd zQg)^ogONHvg)q;KSIHD7yJGTw=AsPa>bX$`zXd_?y2h=boots#lN5r$2Zd{Txqa$k zFivm?vclzTILa}RLp&)B(3&}qGautv!Y)ih=?!K71^pmbs*vxa^OsM*e6N4@UkP>n z+$^1=TynFeyWKkXLzYerts~my-G!ocLhu*#%Oxl~WT}7x;S7hYqxF@bA;w(M+`XdX zB4gmK*1FadHX{=aF%g8*?~7&^yc!EP=EY3~SJXEz<&W(g^jvSlh!l7?@}=yi4~OvV z)}lwusTQQ}*hPit)z#RbhK(?irQC5)riyc)hHr#b34Gf)*zS4ZKDvq|Aein&^Od&; z&KoyY-p??V%}zACh2XWM1b&4)GEq8ii$bz!UPMRaCC-& z*OEhk=%m7R^`calpw(K#RI=sHK|HPtz+~LgI~F2hw)sXx>h{VvwoeQ5dHc3FLT zN5)-Dx8}R7&C?M?)ga38lwWEQYQRj*T0V7RDX&S=qaHLEcf~Bf(L!!46;NK;s^V?N zsj_0HX09&R&56c=u{!0=)K3&xn-;I$lS&0KPN8&j6p`eJhkcwP)?>3Mn-7ZppulsB z0W0)kS!kI;&cv)HD5K;A5qAG7_ zfK#jVV^ggXagGWukZ!y)9jMD2#s#F>Rg$AT=yxrrHvsV%4J$8cCcR1r}hhI!Yp^SCyu%`p7nO5NDq zY^`>!ZN24zmSz=5P);r&b4pNJUh9TYk2bgnWSA$lJD1oT0UHU!_a?k(P$D47qg zF{c%8ppsKuoZ|IhH2$JzC*qId2#@0LhZRXaD*mRF&VpTm)`;TDp&Vxrch}G)e;ecg z5~#By)?!ppsFBh(X-k?t86{J~f)QHXPAXCFLp`w_4S`h{R1i0ck65+YS*ar$_nD>w zkLa!)N^0G3%CfaYM_<8~8}Fneqno9$2Rp2|WX{#3;|b9%Ay{g8ZPPb< za=ymvxGFxrH9Z=u54&A`)L0qB)UvWKhDImX7KgK|zTY<_@#inYMXzp+G{JCnpK


aNBwN$1Y8@s9H}O@4g zPw&Io+M_}HaLL=pogOmH*nf{Lu(TpZ z!Q* z#YbaEu>u`>-@JJF9acC0xfL5uyq>P4@O8_@&Mcb$~ zwR625h{$yulCe-jZHDVaR7|6;&FPqdH0nvB5k4c z%fv+vQ%+R2{S-G&-6bKIuxO?@2UX%Rq74_%XCJdGrL}J*nJ7#=w3{EhW zed*m)nn%BJ<1Ty~2tBW2W;r4*lf(h{MHyB(Xga@&0-rYHdCFPbnb){#-xiww$1Qs; zVv4D2bE2MNyfZB4wl;k4ix4~>Acf1Urr?Uz>rQct);L`$R*N-KMu!l}4C2`w&&DR~ zWFJo8)35(~6Da7F#mefIxIsaDQ8X!tCEF-pfA5%A@)FHceBiT_={{kyX-GpyXWyVArli z%6tk63vp(CSx`qY6-R|ww%9Jbn3A76o-lL_jdX?U+QUY-9Ckxmj_crHQz23Nu}`OD zb~P`~-OzuRP3wuS8yWLyiyl~zEw2$wwm*01hw{o+6O ztzgHLk#Z;{6$9p&tKi`!*5@G^X$i0kNbu-wlS?`tTj>WkWeJv#IlUyeX%L& zku8U|`F0LOv;w)7RH};$>aDik?UV&iIpwQJ8-}%B(A8@9A0`NSYxjvK912f#f(gMY zAuO5ZKq{7^y_7Pw!a+2SZqB=CJZnw`DJpea;E!XYI`fufNK5F@1j^qqjob|evldy6 zK}J24^?Eo3+8eEDEmH``ZIOc4jEe>{r>oyJOXhOeX?043N;4G&x>2;;w5ZE;*oYy1 zATa3#@HV@2bX<)HeJ$D`94`k7#r5t<@jg(}lpaWgF+l*qA7B0D`H#I7}ag=Zu)0mY3V2qUU@8v363LXaAG(o2RTCzdjpH<-IauP31(BQNbqdi z3kS}l0(=(?h!x|D05Dx~-5?P)ICT}$*E4pycuNc%Ql|q=e1)pkt_vh*sf{1F_6mHO z)L8Qx+Dp*Jc&^}G*N3`!Xz7XZHT>4OP%L4%h5DX-WvqW?5}$nb)t7hK^KXPI&KEzv zc=qzi_s@TP_4@Vq&;In{+b93={4dX6|GH#6N)`5BF}l3D_!LAthG4p&`c5GM#$du* z`p47@)J^+V&-|~(or~R4dGG*V-09=xtCzR1)SJKl@a*mL?|wOMR}}pD+qXZze&llb z&z_AdekE9s3!mpUzjFV=vZm%a+SzTbPFe|C#de4yiHefH+fv%frh694k*^^3c?@V#vA-<~vA5r{^Stw>Hr zShBW?zoFr(mIH77olJ64;VgV5_R&kwj3VFN0peqtne_s^+C_snQLvn?hD2%J4^jsf(%op$4 z0Y30CJvh-m>n`C+B!PCCPxia~(}*Ny>sPNVpWr2tw-EO8wH+z@u?Pg$MDMj;iavYM zq)3~X_>1Ntbjy+3qldX01kBS9Jq@=i!l|Cy62Uhb-fsnC8y~lxe)9Pzf7^7Qu~1&? zq{PvQnapvOUdH2deG4>)^J8GK)h^Wl6^(+cR>vdINe?6?E9|kvvgil=#@nHt2wqK z;JR5U=E0*xqjcb)srRQiHMQiF%mRL$kU6ce2c!7e*I)nfgRg$`Byl}XNA>15vIBR# z%p0#xC0vWOc@4WOesVn!~#E*UmSXw70rOllVk%6w@*FJK4MkC zxJz(GEk`?}P>N{YZj=tGUZR4}Sw(WX>fEFN?}*rnr`ox&Rg^G^3b;ajyAVb||BxoF zv)uQvQ>1jEeTQahi-0IaQR;cHp>mX*!_0QCE)!EEM+E)OYlfsa+cxm=NIHRJL+WFi6M48v= zA!AXH(5P$KG+glFDrUUwFh1)HERQQz2D_&$x~>tdLAwr zCw6p4$6r&dXP=6}N4oWIw3}z#wbk)%J3RMz70<(pF_K1eiRUqu91u!MUVK>4Y)+1p zgSMUW?oa^D4#QJT^Ps|Ke^)PIZCN;rjMVaIW{enkDhS(g6U`l!NoeULj`JwZqtH19 zC4stO@w#-aO-t9O);k4gm=bBd2x>Ku1rG0A)b7UOc!)3SHs-d^EW)|uyAdwZ%n~$g zr>8DuYF;eNeYP zjnPtjML{6SFd``~qp=d5<`~cL8o8K2Na(=iDbd}vrM8$=BWedXldM=fFi_HZrn_A@ zujYJ=Ifvr54MQv4IK=Em_BEo?7vfbMIF%Y%rIj3f?sJ?61uxX6#!k^*^+%@2m0Y6@ zqRcRs&BePZ((I^{ohWx`T{|PJ*yEM4G3!;~1Bs3U%WG^&#G&H3)kX2m9>8;f0V=Z) zKUGvbsH4)iQ;4QzBwRY8z>0R9#%^epxES~4H-V4r{d*YhQP|^9RdD*OW1br=19*;! zXlWfW)zfiBvNy{^qg-^}?&OW*okhQ@Y?Tw2P!Wx+t#TM5N|F@QwA3O3Cs|KBr(%q^ z7R@vTeE`6&?Nvg=^NC^$ijSqDGLz-f(+A`tLEgOBpoD)``s6R*|U7#Zj$qlguT`c8Dc}RJ8x+C<;7i zk1dHVPjI6ZVXas}Z;JH_Bw~bdNw5yEKge1_M@$5U5XYcsmtR|;>71Bm!-Gz;Le5Ox~~c!VP9!h zr(*Z8LcddkgY*_nAbIP>h_fr(uCr5)oTgrW7YW`)gsA06Q-Ho?g|dMby+);SqPSt_ zCjzU*rxXiZFsQC6U!RC{cMOSV5tU7IJP>APGCq69-Tvt&&u7(MzNPB1dJ*;3c7bhl z?42~Ejopzj4)V$8O$*ad!J<@5rxRwl>oKVB&WNriT365y*zZ1ktyRSJ_Hx8TKOcTr z7o6`{5Z2rUNVB8M8uv}#+N$>yiqTf4BUps_uEgSkvkyN*nEL%38~ox@)V=#&XQh$n zq-S8ei5NCf9ek?GScLf$cY1F5>W#HY`0r^AdUpV&7=0QSy~ol(`4 zqmmu+*p#8q1%+i1A`Q+hL~;Uj_qoxkwJT_Anv}7MAS5Ldg)$Kf>_QJ&FjM<8XXA>f zQ6)Po@SfswmYVoP8CTpyHzp$e^c#xQa2t;odR{P?5ch3UV-V=`JYt#31%5jeOc6~% zOoijGGd`Y?ryn^Zb=U527=RsKh~TpHfwrm;>J)enlnO;N-2rKeqw8(+NH?o<3ul=d z<@BJ}e%NG@_7H)}nzey)++MbE53rpvZJGMNJyBMZluAraB$v#6D`DK>E+rsk2?biG zr&~3k8p)`rr#TRH1fVDjEH+_7a$na9pZk@TCVfO)VVho~rugJ3u0{||$;q$j0;9qD zmc6dpizcHow{Hrt7Zq*hEU8z<)trT`~t_Qv-oXfs?xDm zwUnS~jTE2Keq|uINo0zGv7xgfJYs)~h-IRv3L}OYk)(_>B9Nv);SYak5s6MSSHu1){4D&s2Ci6!4oJvDj?RZp=c5zRqEN3$!ep(`|> zN`JHV%coNWK^#$$*WHTSL+}yY9I+d-xT5tOatIgz;4Z85(iNiwu(N1gQ!qLeTX4ae zVe`%?Q;tE;q8k+#8m%XBgiQ1GjyZ4S)<(IV=7w}&nu0<1-0o^oYdV=Zvi*EsmFas- zB9y}Jik6!>kE#b&AVYLI2mOC?$iS>Vp`f4yEJ_QsQn2g5C0t|UBIun$Q`QiA?})RD z6&dP-2jy_X)v#&m@_pGZKE7@>Q^KZ@nFI)x$cyID>D}9YyBPE!1iUM~qn=N%4{m=# zw&LksTfwg9Ha1PszLd5OZBYRlJ;y$|)9Ze8(OReV=*Cc@*r7e?2KQ&pEIm^|m5BR`G)Nt#~SPC`>BlYr(O%k|<|!c>U#%NQ5?fjvwH~-l*@&1T zony-HKnACX8Okw-mOc903NYLmpYu4{pm!{cG1pk40wD5o;lICwXS{(~p0SfJF#ViWjaWSV8L+~~GC5?=E z$Ueyl;?@PPlfxqZUulA(Pyk8!jN(Pyh2LivgjMuWoMjc#tBAEv#S~fz0ZB!aU<#CK zDlp!?fXI70CB3pA_l@}M3&mHxX=N!HcDoMo(M`B0hy&W&zp8rDPN6ipa^p!Wp#MYYwnA$9PlGDI4pOnpA&8T;yBd^6pIW`t$xKLjgoe$ z)|^-3_C|bIm_o!1CqS4$>J&WC9j`sQ)cep|OMCMHWq6Vtc!z#ceQU-lssKw)*O8Sy zZs8!&er*lGO5e6r?MV%cB3QsZiB76;gm!6Oskn(X=!5QN{T)g@L^u?)muY=R1y1PS zO-*>bD?90dHHY})sFphn{GrkJu|{}9c~EOw=z9scxC00j8;~)&05uUWWnu9voK)xf zAqx1^*L3g()l=2>05y}#%+)i9aI!Z`^%P~EBzx|)YvlTYQftBlN$<-3jgKF4krMIEq zbv}3{W)z4rz4qNINY~5qmB}CHUO~X%Z;!9;xwWo`o38tYjkC9Np~61rwPwFoZUZg^ z=f>>}HR`5dia^aA@9Nz4^ZotNeF?&Ou43Hl=Wjm{wPDmc4qry-J)_-rBeu5k$g8JV34F_)GvG^jXkJlAKJ#E z`FKjjmasHz#}pnr8XnYo$9e36gMGd95)KvLgqslZcg^Km#(v?NX1h{}_N+EOO4t!^ zPmi-6fP*-%m2u3*k=wywi0c%N^D-^D{SbGjzg_|HR7`c^kzS)CHaqwGqCknI4gr** z7ZtO>9qv4oOGQhMZJ>^?Z|25`PD4&YTBCr6La##zV-9fzqw&J!TbQ(jT_fdv5Jq=} zrq-yPDC%Vs38e;6BV3}JrT#xD!(fr6Fep5AbBMNlPd%p>Vq z7zmUGu7;;bM1>)lrMAiSxc+?JIA1hby9xao8$fG3P^}IN25`*18ZSHp3ljo@|ziZm@=cgQ>LlxhU$RZaFv^QLs}GJ1;bZhMB_fhqlw6u?UVR7tt85J;{y1zU5+&(5`r!q@wKZ&a;x9ccA^?pw*nhu3_1# z$55PdaK%Rqc^p@==dHks+@6_YxtOkz>mA2S&wK$jQcq=RoO=$Gy!u7Oa?t{T$u-aYQuxJ_@ zWr%Gq^n!=H-i*pt(lZ|thDOFy>L zmJ;Vhs_D`0_;9z!Z+3_ClmO%b>7*lE8<=Mhy=@+ma|7ctz1VruoP%`3$>oEmDB{93 zduOruO@w3M{GdSTP@}&k);Y;jl#Iv-=501bl7_tWYDuHwdY3W>nLP<-AMG|b)hv+4 zks5abCg>h$+Z@;;W*?j=?N|W$6^q!ZwTZS^v(5UZ*jK@Zb%aYe4vhst{iBKkO!Nk3 zNq#P7&W&5RJzV7$kibVgj3Wllauq}KB|@_~?Q+UgQ1QXDZ-(Hv1-%+UZd_&06?2c< zC=~G`xGe>x)~!M#N6|vT-**?g7Mk+(bi{zW9%lkr{#wnqp70W(cAP^9s<>ct3lot6 z5Ru+(B988b;TQC${B5DxE_>dTe6M1D#Ed z{n_y-eRfaZlQUbPxjPj z^K%})DO*)1fW1)`Z74)nxW$if1g=D>7SP%V_<$$eIXn9ObS@}aDzFNXOi?U~ytn|< z8raK5X<`?l41*px(bopw+Nr|OI8D}z*$)ngn^|4BX;wFvogGhvP0%YZ^MLvf8W^=e z?3K4jy+%VO0{OwQ$pxQj=X?rt4V~s3He$=v|c<1g?Abj;u@UMtg?i7|&&_Gv!r(Oodt7AM0G9T`a`c06D0Y&6P;75s) zW9iwGkbyQwxJGKhy*EgY0{Z9#cbd}w5AmxPjsqDrN0i>j6Vu3|m0@o2Q`+KvuM zZ%PZ<+ryclkfOL>omqCJWXCDL5r)c~gmI&D34fl(iDXSOq;g78Ja^(fmlK>UR)lU*!sQ6%LTJkz z%1Bi(SnLRk?V}+%t4yq27H>tqGHjV#@TXxJZ4F>o`07#Xeb(a`hrV=co+E17d+7e{ zQ8THL-SP9lUW?8f5!Fl4VvCdHqF8-ZKR8+yMWI7QpNS%BqnrR;!jHJWIP*4rVJS*Z`OG-B!3vQZM?LRlbQIY`K7V3h68#a1sos^_B{Wr!k&T4{`K zId|^h`t3R2>vh)ZLA={Uj7&J+XtV`da3G}pIRx$q6$Fo6VNjgEZhAFA9h9ahD-n!{ zVZY4LwHAV1xNSLwEF4~VLRd(Jm0X+ejT-#D)-z>qoM4Oa!W15+YN3o=j=FeAD?Q0t zx<)u>6I7DkoqbZj;N-)A46FFXOorW+mEz^6ZM1P2einuAP-GUs6`?_gY4KT$tO6uGT zZb`e)BkHqYpBiY0q6r|1_p&Ca;p`lZMWhZAH&}w3JLarMwWlaX^|o3uGrawjRPf;( z92d|Ve@u(7VLOj~6(pjd5<}O0+_FZ3HNHvP;>h?E;ydy4{< z1FK}=@AHhCmd?u2v{|A8CE|M{i5Q#>Wvq1e%iL*bKap}4?PYdNoW5vjXpXY2NvKGW z*$t1BZpX}KV3~ZTg3+a;D%R^E*r$Q-sGu=H7U3H)`YkR_&s_YqM*$n{+%sLurd=Op z1gVNpu9Bv{m%;7n={PCkBl~JtBKr!!I#fWNHp&V^- z;W?l0GZMvA5vnY3-tfFCw=a4b3;9G4r~t_tGOt3)qBfIWUu0}7&P>`3N*@SQivVOZ zH=zcN(IV(JxLYZDGwouJkeBk5mVpr0Jm~i?Rdi2bA4zC|u>hCE?X_sTS|n}nBM`wP zrD$;m!4-oy1@?Q^iqm18gDALIx-fyZ{hmS-+AsGGA8oy+3&m8L-6OIi+^j}wnzPu6 zSDrR2Dr(1(gAo;(c?wwjwd0C9R}m2YgjUA%;}CO9G`Uw%7jz0mMLFPhCY3DEIj?#w zvngLuUxLPii2SMCQ_d*PW`w2@;|qafg`HY~9FwmH<_|GXC1MS}SrH53C~)k0T&&jg z#kh%?c#PgjGc=?Cb}Dkz?z&y-(t>VcI`Jk;7r1(l>w0j(w<9a|aOHgU(IIAX*ky+D zjMj3zdObZFY`7~Ain?MFQsxLmw0vsK?`u2pvfav}mz*9$ZL6mO&T)X~Cu+}t#xgky z;ZCX@i27;_(I~S1=)Ny_1T@jHiF2rr6oPfSP>nvY=j)^*)79HELb<;dWK)Fpvd|H? zS^JD9nO<>zD0qnUT}XGHLa$~jz%)IxRjkRYpW)JzDd{Se;zNlLf)U6GG|Xd)qJQ6u zx0Iq*YM4&JFTHiae2{V+OV?7aG}nq@)M}7T_yXTr2gN7E{MQmMT6+je*$O6#H`+J_MAEYnu=G-&(}=acSvalJyO+AUL3A#r|3=442k0uW!&GPYpp5Og zj1&+RXV&hhj8CCcQrOj=do01OxJo#2N+A#A`V<#x<0Mzi0a4Mx)zRsY->?)LF1s@M0aF`OG#*Ey^wf>xMCg2_7CO>}t5sV7 zpu#On5ObK$Y3{v-ldA=VJWMqeYPA(N-!q`U(I(LHidH&|*V~Iu-?UlvTyXL_Wk$^^G3HGf(Vu3ta&FObgIp!vwfb9vvpWd5cWZF`4-2ed0RVaE6N1oOeP(-?$I`o;IDNv z2$<68qhFa7&)2#d1t-q?IqrxY$u{9AYOfWHPj^yyw^RE+jN2#{jQDDuT8c(-^1Ll{ zIj=_vLOgn-#h7fXI7ttM=uy=d4DB;99$d)Ji+E=j5{`n`i9~BvMrwE4Hrf@$A*?IS zoq&Y(ELIe$RQizTYK>cH=@7=S`=-_DX(vsBM)_1y;?!<#F@;sMxJ)!y;-Cr*vAkJ1 z7ra~F{Wwwh&|K_+^)*ety$7*XjtDj>CD5fg19h;CqoghBu_;;8!}XNnacVFgIRW1|3S zSAarH#4DLDYq*^+wHW4=@S#MffO}6-eK8k@#HT^TLIhlVWj)8LP%aeSl=9EG-(Kdp z<87hBh7&D3JP)oS#6@a&|T~Z6)AT{Y_ycdXuNB|9*P-?P2wbW&i(K> z$51wjd|KKjRq^!liU<}FCr;8`peZy7_+@Jol8j_)B{Ne++c^kyQ9M$}M;|S`r8Eu4 zO-1iHFV`>|PW2Mc$%l4!!GSJ{{G;4d4h7)Z8dXT{;n?0J{&@0l`Ngw8fB)=#Nx#R^ zJ!u3qN>>o-R906qDDg;nrr}ohMjl%xQXqFal&r8HITw);N^MTf!?yZ}e|%iGSX-(> z4&1s-<&T-Py=Z?4ni;F0Ej&K!5|Pov2F4saZqOm$@}F>_FqHXR7qf9ec!Vttg`=u)mJ+Lt;$(_NAzb}( z*wzcMoqGIx5i3reTww=F|Fy8~nQT=^R8|i+1>M~&>A-G$mJ`O-v8IJmADd z;Mq3}!CFe8uQiHlC6 zy(AvUP;b0H&c0uVVuhA`>Z>x3J-p(qFFWp&T>Ep-zqXm|dZIgWgn6usAPVfm5Ol9` z?U*H{dczq+Z`_JI`8j==RqUN&W&P=iVo@%OMdU}TzlU|F>Wmg>b2p#}15SmABt&^A zHRz?9(ys;(4eDe9@0{+|9j82q<-F1q&NKLUdF{oJ#!+zNvWf<7tu`G5C_w@Z6cQCE zZ9SaQ&;EFKFu#5M;(t95V)*&xpMHM*^Mn0zVehKvc;_#*CqbH#g;>fI(6S&mUUl6}l zIK2{fro;Ihlzcd8u~_Bq_*hW`Y&|X$F<>{L$vyV@=oKGnIsEM<*WY=~D&DK4XoLc_ ziALtQMcbK&7A{5p)18+SXz00c%CKJof1G}83)e+y)b;kdtPvLr5w;T@k%p6%6Z&q# zk(Gl!Pj|lJzm|_`cNO|hi88lSbl%1D0sc2|Bu_u zW$EIz=o*;&7y_*}4Z>#7mQMrU@8Py1QA&VVJdy+2YjksOc@HOEjxMgdzXYxxKFlpO z`s*^gB~N~RrvK~NpZ_qP{qR7Thsq4jGWHcMb|pn|Iz{2Ar|}8p=riwiEp1Z-<$^Gu zVFrzLRLbA8^6e1N_ClAHj!$J;k~WHqv2EOD(we+kI+MLnbm^XY%5__=1OM&rZsTaf z>GFYn#fdJG67iU!Z-Q&bHr7oWQQSvpR2j|-882=Mo4VT{!|nDi(@~7kVwCIyE4=z=?v;iLzdx5{1^yihnkvu18| zu!~a`(Toc}#RX?{!V4R*rX_0QR}|yfhin%6uI8Xss3$Ao!gTS zFDQRucx*U~6-Lh@frF|AB+v1xLjlazcfA_6a z6?pN(Kum#-nWlU$1V`hY7#PY5)T8ZI{0*2w6K6b$d`rYXY@M+Gh!Gf4(Km+CD!yn# zQ^cq1tGMQEYF8X0hu9JYZx<16{ByRrMyFX-=rkH$kzi$OVoL)@^eQeMuHo~eSN!DR zE0+K94_>cx3tv%7rhG_5udU}+J92$yL zQsqadzr&xM8;=;yEkOA`LH#D+|IVPF;K9xTpGQT442XFg>W^Z0=St6qmP09!7hHsp z%SA$Xp{!Auhy&LPCvLGe1wI5nn+8#=SkH|7c;N3^qWU%cL*!p^X(=e}S1~q3D2tf+ z-PJP`X?9K_Pnv7=iNp&LSB&REjW~7+04^2t+y?v;VK}wy!r?%%MHEtDXhjF*Trqq| z+zJ4+^eU=EY{Nv*8hV%Ja}7-YaQaaK_LT=anpc!G`D3r-~0AQ;;R$ z^D0!L=(9Dp@;(N~bPJwwN(K99W!%d4h!mkaPdXmd(2zIMFCaYLlO1Nc14jXbL{C#zU$9BcOmEv3ycWQ&naNBBY-4=c^e5X zS!7^Mv53M~7h zDCk+c_qB*lZK!345ToxgX_7)3pweury;YZ^OvO*3hsqkHoj7UAe5VJbdkg(X8aZ7 zq0I-{uBCZjL>C5@R_H#TQ^;VQzpThU+?|{-vC_(1MO$#E zRqmznBd%F1@?b@BFxC@ol^9N)*uNaN6mHsvzC|E<)x6Dh&=GmEBDG(t;z-g`EA%sI zHx$m^w4bZjB6h>nP4VogZeI~tUPC_ixZP$=*~hS0+q@#G^3@6!Kw*H+!+i4IA)~-; zQ3s%nyIA~c@8zi7Q`&MCmgC@7!#!G#JqQsfY-*0?HS&raodC`+%hA&3yU^IKokqKC z^a9ivZ;V+>ZU{N4N3U2YYPhMbPj}ZgtP8g{uhg#uu+tqt<8`GAOM6m? ztaE#YX4cWP1<(4Mb@|ETwD;k4K@q5rN6uJ%i;%b5h3Z6IiKATc7Mip)&O{ID9mspi z5W5)UFM021iZN&R<55KF9At*p7qw?;~s##X9stuLLWQ* zUGY50dvxd5-{ifozJ8qdKKxc^E}9fe)H`niP8AhK1Cdrc@|{A%Ew+gifx|u2X{m+X zwTqpjnbX9}-WTo4 zql$ZnyUl9ve(#u4&-SD-Ev@wDz)Kc!4DAdqEwye=-?fC!HK3TY6T!8Sgr${PM;iOM zHJXqCP=FwJ4gANfzD^(`8)S(Rsx5k7Bnh?p2GWv`j)gwE&&+>4bQBC#Cj05d|9tjd z)fV>yn#3+>1-VKAk~2_!au?V+so=zyTdR091U+lp5IRWhSW>D-I?%FHq(fmk-TetD z0CsJ=oG{+Pr%D@hZA}0SQEL5;T}1}Rel+*!VSnc|`1qYiK2evWTRKsrtFgar!8F>p zxOzDwEE0_c4X*arI9G>Um1wY86lW5JBKPQd8l4a^2nwBI0)D^39>RK%N(A7X80dA* z?L2l&u@>h=4?+<(7HpY;vN=N;@*XZukx?w@^wsxbn>9|;h$BhTkmYq5WvsCCK);vx zQeb#0y|DGsAbkCM=f(f`)882fVIaX*?`K&Bpl}<|RG^JHknEcZZ@~>^cd=3KYINK{2&ll6{T__gmt%!H)ZWRf3+lB{lw@GMrdKw*;)Qmul z<@1CCg%_|{7b%y5)x*CmS* zjG$k=x9>Gi6VO35?LuRQYe8wHvFb-SuO9_$lO=5*2^z zrCbpj55ZD;e}2Eh0|g?gJ`8E(I^D;6~*p zCAexajdZ6w6qI(m&J(Lk%qE-{I?yJvL`vFSFFmbLUTBx{V<@}gW1*+B86rA@c8b`E zYUO&I>%!qF(ued7;Cw+1XgZYs{G-_b!In2q{xdV7kv9TwoEzq5@Df6{I#V>K>um_UgrpBmGyZ}egy3h}oxA?-LW zY&+Xl9Mlu3_eK1idYm{!naH98t4WO3qA;2fL`k;~5*3+y?*2et31OJ5`CE|fsu$bD_MVxpsnF9h(|-YXCQ5aDeA5n3tA}`8r~Ug=3%{%gACb8m1d^KZ+be zK8W`9;0fNu80amr3L1)9Sf6(cUr!nt z7O{er6Fp1ITlD+dH6+RcaQ$4k z$%mF_M4L?eNBdOEg?3Bsrgo<5+_0NaM>U`I}Xt{F`S?yIhdQTWY34?Sog~JMv86iyp_|l+d zb<_~6c7~Qoc!WjnTcO`x2CYB;@ce&0(XYLI_Wb1wWzE07{Pz35kLX;HP%+2ljPM9W zsus`LyduqrG@DopHOxU^m%>cV?%465Tf_ttMbb*{;k-0v!=b_~>R=E@p$bpgP{AK_ zY|%H23}$S{iGMBCgS({)t%*Ovy6A7KvBHCRUmdtFz#>yWiC(yw4+8uy&kI~ zrKTNMZd_GDpjE#Z>H~K>LZ2lr3c?2o!-1x6hHk_nxz^$GG~Cy3s4m-Z1NQUM#zbAE z)Uq!Hi#tIzpq(*}%_|Jq1;?~|@s2C3vRKVYy^9yC5)>*%Ng#z~+`3p)XnU>QD=Pw; z$V1sgq8>o8(G3q@$db$q_^ZeJR331@O zDncp6u80AbTko!O#=PI|3kU!TyKh^&)!{hutf(R_2&>z~kc#W?wD#&%?&}%_d+q8u zk%b3@d#qCoEECCI;jp<3Pk)p0&2|WuhZ8ZO%rQF+e=%|vlWrNFNIe+({f&@?bCFr@ zbMRt(y&T#Yj-4h<(GVa#(cG)Iu~oBi#X!soz)@bXDKVk*t`r-!t#e273H!`RS^XUA z_ISL>9q*{ee}AC$lEPA`Mtb3MaO*nRM^a%E( z^TT)~NDOo$RZw`|ErO0|p=uzW6r7(*SZR2TBej5W}*`$ z$R^Zv3N0WrU8kWw3-1joOb`jmOKgs-K5UH{Dym#}SqKtl5-Ctp z5}nqS!|g93b3!9n$RY|#bqAzy?y@r*^~cx z{qvt*{Pg_wch7#4mNA8~{b-xw2kOb&?(W`$TY9FiBIKtq`xI&5?tS&+ofU(Yd|vz! zIY~#vtN07E(b1dmnSQQ2Z)l5&qG)@kRc3DQEn@HI{^Y(w_^5|ez`_S!p^0LaW=3Dn zj6zAtfqrw1&z32*Qk)mSbzIB#*(*)3dW=EUdVS^Wq%i~b~-J$bFTARnym+w~)tyZ)g5x+e+FRW1PVPGtBGyOCBC5lOLcc4VzSxs7ioc{{~F5LCxav>cXH$9cMJ>LW<*7V(ai(2F;bJGFA(Uk~14q(aoWh6>R%JmrH zfAUKwz{f06I;9%Wn;}hmrc|e-4s{U4?PG4mA86|ANGM?lxJ6ipNY|oxA{KS&mv_G@ zSqaxG{U|VBTn^cM3nS|jhe&bg>vkL+PZPffbY8-_qIzz)6VTvMT;Za?6_k;o5CfeoQeQch^4YV8D;J{4*PtoAGP6~z= z4z@c&D3tpPHCF*hE!gcg*BtaGmWG_4ew~^oL|z4{OGS@%$+%vktNw7jf9Xv4nDI`E z*bO0ldKRgey;i{{LGOL6xUd(FS`hz^TAGK!0M?S~Ppmd~H2ySJBiMOpz0nJ&q|0$l z*iO!6Z@WR#Zk|`CmJEk;eP3QQk_zd!=0LyoVMCgV%E-Wofggyla_uJgqkLH~XfmQ< zho;)hMbUm&9J!A?IF{{qWW)gN#K{$Un&6kf?EP5d{Saf(2xh#8R!u@6Q^HX~h% zjKq!<8|S%#ncQ@1p~TIv0#WFDT>7a;Gxtjq#K+7Wg3$+#3aBsiAOzwo!UD0}sz2H; z-CpNV^1+=!+eK+lS^BEGS+?rB_=k{6_fz|O4uMEa){%KTHO+ej;)$!#j216zHJ+R! zJGU-ap$>f?chRA41*-w1fjFR7jy|$;E-8PTopZ)mX}(n^)VPKy%#32RZH^mkZ5qR| z0tedWoh3!}JF?N8)9vK7eC{e!F2+n>GFE1aH=DujOF`Cf7(r2YIJCp0Y*0P%GY~G0;Q5>pJt@54i3Cy^c2HhZG8jSZEnq$uZqIt3akco0Mas zke{}dP&~TnTp079p?0%$I%#_r7{qzEAK8edH-*7gkkKxbwNZ!v@OJI#)UAUcR%u_fMq7(Vxz|HIy&c!M(=+(#Z+8Bl5)SnmD>g7 zDp)#ZR!j`i|h`#KI!Vl!X+G#F91ij==)c~dM2y7_W zq-wP0Pbvwh(LJ34r`4>9>m}3>Q8Yk;fttz?Qd*<7WWtFr^)qXi80#@AuR`VV*7`w2 zUki`Gl+N2@n&ZVqzsPwj2g3SbiaIEIt%X@o4m1`uWehDjHNr)Xv&pC+m(cy{c&;OM zw7N9IT7ek_nZFIGz;z>Uc4~7uou7~HcR#s{tJa6Z`Tx9l_Q3nxoZK&80t$O*nP%I% zX$*(rk*`&u(&_Jk0^_VLe$Wn-^2!aXBiM0-eYne@dFtaq%g(LBo$hLVA&^`tzYqwj z;&V-Dzy)ZjLN+=>`U9qFjTc%eQ4Je-U$k8`-^{ZaF}2pYr+MdZeQw+N@a;am6@`C$ zOgVr4)02OB^{4Niy?phTXWu^g%kwuczWw=!_qjD-c&lwjhl+-q^N>Qn8h2VYr4$si zv?N;5Gx!Zn#Y-EC%k9O7DT*tyTW~lwx20JU%(H0L&f@W?#`r^Xxm~N}uzjbLJ;W*Q zNShM%@`%6D3a?pi=k2#hD@4+Y+_sK3z0ZeM$$6WKofkgR3uS?O_I_LhdE2X{l;oiH z7OJZpLA}IEi(gFl0iMnM8e=%~Xuy8&ZuFlFmPYg`t%k8!gB2D8u)MBmDH;Exrj4 zLfF5)_~z%=&wqR$#57b5g|3w&!>o{U3MVLD>80A)D$;0m&SZ}0Fv{b4>40Cm#AUdU z{i84Z;@uZM{YPJj{<r}9d`-4m6=K!*?J+ZLP%$I3Y< zH?`~DuKj9uor_OgetdeJ8GcMUgJT8$nIY{0Wn>lLY# zZA182EVj|b#O=yd%;myQh}x7v%%V|c0NjkK+f^# zcIn4gFP}X7e}8`V)3evle*EkI`gMC6S5uf=D01%Usiy$ZhLt`_;V54fJMvVI_>Sj za_E?GHN^>~@q@@p&{rHjUp{(xJ7fh#dW(0%ARBW7-L4+cmg4Y@xLx zjLqGsnB9KdR(nC5#x2~$T4ydyA&P*UfP#P~0wUD^CBeYkoxEzn306EBn&0hg{r|7( z{~xUCC@e#ne!+w6L=`2NxSiuxpx#7imgdRm0y&A19s89X+H7;Lw)s4x?Me7;cO1wo zE(a*$E>`7x?n}>FAEqL6tc>N+z(qT36JQqINa40#=bRISaC%(_&I0b~5uk z@yQ7$3GmuWZz-;(1>J?fy7;@od@CaNF-*ndh_z8eW@I8st^}^ktY;K#xK*ezpfS`W zBUtiq34Zp)-&%Q|JV?&}^8EGd7e7Ax{(a9%m`c0!N9f68LWhadBqhBa4#t)C0inD* z43dU9``}k)MU91i`NA$hs}6U8h%}S_z*^ALNMq7lfiBm?WJoV^=xuiIAJ*ubqzE2#CX9Ey;Q`>&r%dddAD{j3gQoYp*RK=_zj^WP`<2+Az4+nTj}I{0ZA7E_iPIw@ ze_@LkI#?4=?Yt{iy0vOQR@$mDV?DKY zI5QNepOpgCrg=L`&$CuVRdDNiTcD5WF|FkO5m)VkwE&YXT~{5FG10qC67@6=S7u|M~xVv(uhEK?JW)eSe@8* z4rRZ_X*~uyQK8WFB{a>6=4a*HdDR+LqN(&k%vh=>=v0P3;u^3Vs7MNoxZa+>=tnt< zhG)-PM6~@;eZwc8bJg)5KfihL>cgvBvx40PB1kFR|I2#JcK?@PmDB&DiIEDo2*iMl z>eE?J^U$yPGYI}~^*URd3JiJT`$3o)(TjyvyhxGLi~~hdts=zsM%7y*RHy((>zYql z#JQhsRmK&p@#EY^Ru*yWJdVQmSdvKG?Y(IdHq|0az2Q-nsk_`wF!z1CL8u((9E|4_ zMhu>7i=ByUg>)lXl=1_Dc?7E5TNy@jrYR7ieEn4N~ zJUE~VQHuna7)7!LYmRQ}nY)Pij&)d|!(qoA4o9x34;)Jo;y5v;=S(c>ksPuOQS=Fm zdd(;WrKmvLmC|0VQ2|vd4Nqz`1}9KS!NFK@F|%{-XPBpnfzcTR2sDCct)i_fQcAeD zO$}uc;Na-3u8N6VT~=FB4uW5fgk4I^v2t$8+U*x@cvKiDTqv{){_;){F>Ay)6UAqG z#Zx&xA<{KEVx>k5S_y&M+=K!8o&=D3TCnfhU3;`HA2eTlXkDJ3I%vguik~q%IB$=4 zPF>7_)@4`tQrr(k0mQ)(3z!aO?LcbD(0_A*AZKk>pkEfHoy690^#|n5#Ht5Smv<*!`S88u~(v*E< z%_7A?+HfI=il8>D7hME~w2W5aw6k+%aULCH%ISv-DDDTV4-2jpM5;Jr=$CRy5=2SM zqodQ$AFBxcy(-V|h7q)m#tsM9!rM`97O*L>>O*q#__6A3ML_iu$b;`WI4cVxwU27d z&EgD>1)6fH=5;F!oua$Y=Yc7e5&)`iI51$kL|VW^x!rD~!ea_iLWak27^Tm2*H!8V zy&q*;TvgtR+??p6JT2QB+;;NP^p|TVjN5oj8(PHSNZi~Bx(Hsx+=lRT9&KW${sk z(7QF1Lw{NTEWm&ge|?xZLlt-v$#wDKnkiBZnJ7SXc^GHc5EOa~`e)_weZFlTSZ?d~%jv z{UCJq{2?x+RSe&B%FZwCs4AQwvc;*j+g#u_Pn(n-q5Zm*i-e10-6ATD%PyAnXfe6& z^cg5|1PV2vTc!jg?n5tb)pAhcGw@jLttIU%zDzW57QJ@7g+@6J(A!cce&6okLX>bJ zqOEp4@u{5M-o40T54S!^akp~;|Kqv?9T$C6K$tQMaB&5tMB}g-y^ATD=mHLv0E!b$ zQ*9UvL>Xp1T^5(nh~tM;#o%{AP>wayrH6PptnVaOezS@Fi$A}3{o>_|-hn_Zi1&y zoHJ3TQ0`;PyhJoqiFf?#_8GXXWzU(_wCd;IgrZjdn|xu#jH`>q13+~iQmyby19;Hd)Q>8 z?|7#a@NfRv>mOgd{L@>(xnDbO8>)>Yy1TY$zm)Yvu~476c0p#^0^R7NjI`Tj99(bbsRwV$WUB^1aU!?XULt;h*a3ax z=3+NKZJpCLluUDoi`JH6@cndpBkiTRD(8Bxee^=YnJb>50x0)g^nRAGg)nJLDOt-j zX_7aaHgnlv6^^cUjmiCFSq<*GXS?s#5j4@>q}E;>t8!_w^tEhfNLnf4L>l9$FFMy0 z?Sc96yXy1%24SP@BB1H06@1_jHW$aCj`$k3q#m`_J)9T5mz3pco5Yotl{2CUHK@wf z!|T1ZLG;a=y$goAN2JSRP`wg+M;%)CrI~d*Q1-q1l{8lUMjIAiJpJKO^oPfw)?UN7 z<_$j$tw)NvHw3Jv-mevb)~eSM^Z+dsG0v@s`!@~a6nO=Wi>;aM6s)tPieagLOjqNu zLwmk=Es^~?k)|AYh%F&_PnkYA+}t=(%T)6wAhfT-yN14LY}Bbz*7S0n}ch!M}-dYSBgK(P1i&p8cJk%I`h9vy)swYT+yo zySI|`aa4zJ9bargO;=A)5{ZKqK@IG-mjx;JowLHGEvoT#f?1nl#%flqws!PlZy8;m zxF#~xBn=GJ54S08S9_PpYZl6_DT@y0eh0Z|l0o!Hj2@9j3P`AJrG^koO1GR|;Q*0+ zheoMFw4VIByC2B-6e#bvh~#})*!pqpRAted9K+%bU9>A%ttX+GTf7yQ8jO@QnHSep z2&RZutYaKUY#}4yEPl42kf+7iBf^-`%!k8#=e7L1!)#~_F$PKC?%F1pV-N%|r*OD& zPP1)2Cq|WqC`G7FCAjcF(Jb$fOeLL(L+<^{albOB`Ery zEa<`Eof^vU7y=kG>HZT%=G?lCiYZG?*M6XvBg}_r%SQ2P+ZTMt20ADTtQnJuzF+&C z)R0sR2fe44td(^=HQjoct=$Eynzpi7zmwr&tfI|hQnidf+#a$OWI^e<9Cchqkv-+<>3Prd(q!3pA$vBYaC^+N{dG5@GwD$)3lm3iriBh!KZz(BOmM; z>xtG{xW?R^wzOj2Df6*Il~jb?kyqS9IDVbSFTZ+c?-j~}+Mx5*&u_o~pU*`uzIpb| ztG~_bFFh~_Zxn_9t(&PBQcB-$N0mPNdLnYabID<e+iWW24yBoDvtHtcvbZpVv1|NP^NCx3nZ z!w;|i=ih(dmau;e$W~Hys^G4%+i?IEWkyI(@2I54*qylxF|GF@aTj4;>fj89<^-)N zx7kMG?R8%jfAXpo`pekSi+cW}vAdGl1{MeUyhjE&fd3z!Kl|?a>vu2o?9Fq|{o5=3 zQ@{QnPhRWa-oAbQhi7lzy!!UVv$xN```dmO^B+#jZ)-~4Ln+q^gA6m3zRy!!-EXU< zGjJ--2ctwD6~_h2+t}I?(`q?LJuPeLLQX~DF5&sC-M9`B&xqfWFSLdUHTi+`E}i~k z5k#U}?22j3(PHS~3i!V!n%ngO8VgFQ-73WIJz9Y{Zm)EE>7q|KnFl(4lk;!1vjpU>uUGK%n(JUlTf0k<1i_}AS%@lA8>pN z9n#kAJg~Cq(QRp^_^zHku$@-|7B0xu3MA3~Yed722X!HO{?9(>p7ztzuRiwq&xy=t z_M+axHb);Ih@p(Rd0HLxxN}VdS6oHUahL;*bKLmqB9I4fq5QKu#Xv3MYUpXeLzTAZ zje=vr70l(l!(^umP>N{}y9j;-AdY^|Tv&OIN#nW3$v#lh;4MGc@b}Zx&pz@k2Y1!+ z7O!y8nIo*4ADpIpQ9>AVMvrPfhPTSXI8P?QZ+D5lEG}5vsj|oH=k}qyE05eeWjNaO z6d-9e*>68X5s<97C(eUY(Zl!n;3eEoPrv@i_u%>lhZXHKGc~Sr2;f~=FEBQgwU8xH z`oGT1@e9_t2P`FUf)C=@j9s0e+D28JCctXV36Xb-rv!XY?wyNOj%SPdaj>n#Ty~lT z4EGqLPcIa7;vv*mK~Rm37f{Dm)^G_hX?I(1_wdJS^@H7tKYjJhN4~w@SnN}4bYC&O zI^v;+H6q4M3ICk89~TF`nr1JO?0w$-)XTeV6~ufX48Zd|(x`p^EYCi6CKPzuc^_UQ zj4f89XtZ-w>yRta$u1)YSJNjG;)R z0l#Cd(vU(!2GM%o{nAkGBs`43Q53ub!`omv<`el$ct`VWt`@P2&R?Qx1p!bF7kcsI zE+FuN>QuI4zp{$_=x>IrePy|E&=yRu{XpZjJ@D50LitT2_pk30_5SKF&tJdz?oZF( zJo(eJ*Kd{b-n@MF=jRXm-$YSp0@C+VH>ntQrdDY{(|?B2+0v*zh*4*bxZD}4>vyM- z77-y@W1NI&vp5}lC}XFjH+=Y5pYm9rnkWCZe*fazKR?%8zWDal>zD6jubzDV?~A$5 zuJE4V-x^}IJ3xP6{z$bVr)nrsPK>(4KHGMQAe^aII!brt`XC~vJU1!5*|}V|lN5qS z-YVL$(rq25ou_cHK$!LDxAov-0%A?=AQawT1L=lTK?Z4)1ICbg?(VaPfCTiF073 zAfyoKxr*A*;%S-+TEZQM-9pu*T(3B$)fN$rEuK@$s4-zc6|c@dS&_)|E*9pcU>F3L zZ%uu3<^$a#(RqC*)ed@&^Ez=k8@9KZjj&pcxggFG@YSWV8812kU*M27I}W}aSvM*f zcIrYei;Oh#UWmMC{VepD>3bfJ)R*^2efAGVO5kNbsurojUcFklJM_H62mv&RZVDGe zh08E)$P)0Tn?tY%3+|dx1Kqa~MFUa)&;mz9a5C5BGU#pQ^TMxO$Q3&$IwGigT@Vq} zJ{U}c*y9jXHlYXw9?c_PSw`-o98-yN*vD_hVcKW^@`0E7?BAEV&n@6DB{E|hTAMzV zM?2@~KVCwgv4r|?NweaVh7~iWRz<-lD|@?gR1q~0=hi9j-mz=D#78$A%uem#vcV1X zQ`regDhAfolS&mFl`x~wK;TR+8quK1nO@y8k_uuGn9v5JA47SZq67}DCps{DLp^QN z+qlwwz}$G%uPcryW6nd2GcUNCd!dB2JQ}jQ8})-j_UXTiTTQga>U~E%W^=xsk4Eg1 ze>`IP2mlNnR+MlvOw>CuO#L3FShB|;#!t+{`dN$!wRVFxUK(YoGi+KLhmfnrslV$) z7+Y?EqL;DX;}qYG(@FV;QZd6M0ACjUG;MTRc2hrB&>?EO6Pz;lC~0pBKjOwdgc~@m zNJ^jdgcUs1Pw21_aT=jL8njRT;h-tX8=-=b?w+sK>M)##7tUi172oea>hrI^h8^u6 z{-k5QO_tW6g(NoXxS81=x;x&=p8fd4V`ZU#p(N+0zrOumJn`j=?;iL%nnb#}IUNU9 zV#}Ha3Vji~QP|=%cuknDYQ=KBPL<_}MbM<1OL$ttF2(*Y$ z+HB)C6|P7)Hkxi5ttw~XY@{t5Ht2i9EDD5$)GK}xCOp?*Ndg~BM`?7gTFrO>6v`lL zL2icLg~sV3<`*CO+vXavTp|@vA@n=N2(~ z{BdGDq;%p3w(tVN{YIV2E4_|F4ACz~ff{@pPge9viC`5jRcuUS>ogPVH%v#cpT0&> zue{c^k9L}z@Y=M7DG!J4 zKAZZDtk|o+e)Ig<%O~Id_08KSFQ5PT?Cq=Xo<9+4|Ld>EsJmH}Q4XcozQ!0(c(?GG=#wkAsJn;^U|Nw8*>z+x66un z0$FZ4la{t=A8@=}YPzCPic$u+9F4%MMNQ$<#u{AqS0zNvUcOAC%~4Qz(H9C+?kg&U zVgoA%*XxCPDu;(P&q|NTt}tjor99RnS<@QO#^_x0)^vVb( zx2RMThNBECX!$|S%{9a{!lRY<4rA!Ro@KoV; z5`?3j3ZmA^s9k{8Z0oVEN*SBxZi?wXN{RQ0kkfg?l3xEB^)7h)=*d0pm2NpX>E8PAG!ejw2NlXUF(fL+D4%Q#$>Vq>V zOV2CCfwm7J%Ylt&t7m0$qmv#(#J{QWj%7E$6(+UVtWzd}li*h#PjE4q$G43!}5@>UI z#mm+9O_)jaQ;S58*H9XP_iLB2COq zW1!^R9a>FFvMHVhfBK!ICb)0f{rzE2s11tuP6W};a7$42W3T*maEwh%{Rpybv*oi*qw5xqm8*t|dI{QRp= z@4F-Rpr-2&uU~xo{rkBKn#mX1p|Ae(?Db!tyngZWPj3_=-=8RQQM)_6nK=;0Q948) zOiPYpwLFyAI?nBb-iRGl14{csR=Qins>8Y}=L?n#+gc(2kWmw&|5yRtj3eQo>`yAg zk#R$8Tp-Zn=a?x{e)JMwK1954U%&Y2hv!fJ^!(-XC*S<=>f1j*`Rt#*3{qCnZKYb6 zj#oNX{F{NZT4CNN^|eDNTWOUjX}Bq2^kP9f$LL;*L8WU8sj3(xdkzhJO3%+1rnvq*Dv)0D)<| zC-=F^KshF;d9L=lP}9iQiV&&YkEW1Vxsz+vjz!T=!C`F4`hxUGqe`}D$qTKSJgXD8 z_ieZ5KrkEO!6A%qt0t-`!MGvDWdvGIE2h#4ph5%IY^~afEPdq{F}4$X)6VBi+q8My zxj3Kqac%hhza2#V-%1%Te)!>mBdep!l@cy3mo9?8&n&*ES5YLxy4Pxz z315ndq&esTM!ZoOxy~gSZr3d(KPd+~HUN7ZpJDsrg%fEa%#EI8iu?=;D~cl&01IEl z-qzgS3Gz53t27{rEmnvY%JnZo)_5zAjn zHWr}I*dXeXxAS(oNO~&)JB6&Ua9EI#r_-y5VCQDFp|~?n9Dapi5vnYdt8b^M6g-&I zNdT);zNja2e5EV3=^Ewh6JM=(o(>i+wy_66$=C!|_qm>TW!1Ke;VcbAUj^_LYkSY$ zTg8B3@5;6k(ZEYnx#(QQqZE8LYeWs*?J~A6xIHLqDW=XC)|j$aETkl^p9cp&$I(~< zUUauZKt-z*36uk<_h*uob@j^Hi~^hIyDXxFI~Dbf5{H9?60Xsaiq4{jxSMS&e!uiSVyzfo91bMnr8Df)dBnZt}w9@tnn_Dn!AF2hJ^;J!fd5&UF&Z>3Ixz zS@0#c-Tp;=nVh=}K}kfVZ#7uW$viiNI?=!q@zL*_E|DoA?3>E zhaYZbzL(FxeOHSAA3wi&_WH$xTL`Um9F`5*nUqzYx9FYn&wgv5Bno0Cx=MW>nS46X z)Z;;yU9&5|p=fCp!Z#?k21R+E);pYUX%|oaF8oet>zv9fmWG>Z79l`Qs&=IozGj6c zO)7G=2-B`#5h`)(DUf8Ui2{HbuWdK)8=rI8xK~ux&<@HdhVzebBt?fz7Zg5ROeM;t z`CSmp$Eg<;a!AWS<42*sBzt)MVB=gX(L(ICzrYO2$ptYYf9Y+69}OoN`oJ<5&YVKH z5qa8IwDFKx*y*c7iwhf&j#4BI$y{eDWh+Wmex@&}a%iOe*LQ0#YQeGYL|9CdEIe5h zo(s~fTu^UKvE0>Lvs1id`PZRrbzVq^B&}^B2`U+`PQ7tU7#yBjCxiY``ZN`t0=1JO zp4uLOQI7y0Ak{z2u}|-s>@WWKtUm;Cg$Ru*fz?Jzit`9V5GI$Ls*r z7HVEN$}&+*Z77W|3J_ZpZQPKd?C9+)4=dyfq%>r>bJpI?p3WsLOQeIu2oxD}&>!~O zuAMv&Mc0PbCi0c^;ArRQ(=5GjO6>HQX%?u;KDtMLMbmpM7u3OASfV=RAn_$E3^>7S zA`aTt$9t6wQSU!~{;pQ)4_`dvRQp~-Z~y0uH>{ld9{Kl*Kn}an2S(8wz3u$P{gO7a zu%jSaOPJ&cI^U%a6m~}{pbJhcg5lZ%)LZYp?0BJl1^K4x3mxM%FFor zZWqmX3mtC)5a59ATp(w8X41PgAA&528IK_{bvzTSe_LeL#f3v{$OFbUUQ9a{J}LD*KdVgxJ@%U?yAHo>vQY(~(3*Pc%R zR!zp?iU26CIpU93!ll84jf+ABtVHLBE6UslvX2at!Xq8KNkgx|c4%uo*v(%&y|uQ= zS3LWVkglJe|LMgq53W711qD~5^dm9{wz(puAZS);?axE$mQ&jOK1{zjBntw_2$nDS z-D=;2N8iP8xu?JPT^b1G`pv8?_KMn6URP5E4(74q9<@fe>e}Oq#P6jTfb^^oVZlN} zGZ+Ux+?UaR9MrEWcmT2@>7oN9NUJi#jAHV0PH>Z95{Nc*@?HeCq->=}5qaegr-6mizT6)mg$>U-7 z6ZcS>SHKi84}!{wrE)GIP}sM1q?)tGvs^E+#EDI;C4dY)u7aDg6dpd}QFnQcS7LIa zR~V`4#f`x=K&b;~Vgz*v-$F@o>$XgXxXF$e?SnV`>VuW7AN_{8C=)9+i`%;ksm`WE zB4SPd=3bmQ&YoKZotM>bQ9o^pSiMqb63nz^(7o9$W!C%HvglBqwh43ltx_sgcO^YX zjk#ibsF;|Sj9`oY6vddO+zznlu2J%ULSIB9sk|@F{_LwSpFS!>{Oteu>gEFvq!nMk z`u5v<@BZ}D^Y@Nz$8CrrD54P43f)%ZzjM+!rYQv+Tz#4=iqf)1S0wfjy7=|GKmFqu zccd_HetPn6+s{AhJ6`v-MfHrw)8By7?Xl-baS8e^>qfln(_c){P+!0R9Y3p6#MYr6ZIPax? zp`g&3ms2S+dMkb!*P^W-kf8FV`*k}!^6IqFiQeDZ---v8zgGpyEObeYJ=%Nk3qC&k zziKG2DOjX?R{97tAT zJO1$N*sJ;c><>S@`16C1{C~c9`6n^HCwhWEKXClMO9FR2G#e7fZ>>p z$^iInbD^4=0HbTWO9ilW^kP45k$z4tMm3z)<=}y%T%b{QO47X&=M4L({u^MYp>6-h@Kq%I*|fV3M^=6 z7(`^a4H68z#%hkCffs7s(4ZcJ-ivzc0%7`sR+c#t)R~HOu5SW;ruH=M_8`TGfyu1k zl<*XV`=WkSmI_wIHc(N^Q)$I*W9m_dz_o(xGSbumyYU#u{w zrs`~|YaY=u8y<}o@k*f-#VjR`HJFUKmOeyqrPjI4{k}N~J%uAu7g_bFYlY=;4xJRh z=G408kL`C&AlIWbscAg2K#+*Lu!m?sSvd-D2t}kr6Pm2>prBZH!HP8LpZze$%v{2v zJPAhc8tvQ;J+a^HXqRipEkGtLDuNW;jF-@MDDI$iPt31zk-IgBx6#uvSeep4S&2nKGjds`}G!@xjaj)o}&*V1KeVo07z@hX^GzO$0 zQ04?n0tKBy!{TR(@JBX>X2N-^7xayCT4yE}ff}1IMZ)OGv+)qb#(Z$;+|GzFVMz+) z7ZM0X;2x!|T|*P}QrCee5)va^{3QLaT-2m0qWN2>&2u`Fv7C1&evZhtDH>hJQE92A zv1o{I43wIZIT|Ob%f;C?wt(-5hEft10LSz=PVQKKA*^Dw-|rD_`o?w_sEHXYc%DV2 zb?O6*<|3>Hg`_{fYbtAlh;IzhP-q*7tSnp_67Hkc;xody7A-I4-O|;5bJ*4V~4&96{v93a?G#)Hy}p zg1uU`%gBrs1>ZP>Z77-b&b()8L|GTr*INDV<|Z2}HgUb)sF}Y`ap((8OFEkRx^vf9f*0xP z9v2%WH6@oz+hC#P0d?{1ZVnaowt}?YQ=6q<)3e@3_G{ZN>NigjKXW2Fp+8-f7u?nO zCZ!k(xI-G)cOd#xt|A zr$SOI)WYr|ugKhK+66t1E1b)wEjPt}6^QU&z(*yw$=RidOlWJpI|VmDzJfXCudXC5 z1no7yY+8uJkW>Jp);YdvQ<6wAw+5yl)9Gu2%TS5a&kX+!p&-f$Wy zRx75~4V`}*HwBv*1)j2FteWm!8B{}pb&Ks+TEvnRC9LwL7L8V`we-i8Qm-L^qea50 z|6MN8jK}Y>Aw57d?=ECH?vnMLo^lSf#o}o<8}xfplRM#&jxnis%Rm z-C`n@BGFp8snky%W$}>%O9e$Ev@?1(iPAB}9AsV3ca&Fgo%X+&gXpcEe_AbdEg=o_ znQ82#3QbG!IDNr5i!R-s_1C+9_hOJ$D^DOCJ1bOV^*oCrM9x~_+QK5(4RIXq6}5~v z?Z|w4J?D$W5?Dj?77q}^3}7?_K13rgI&8VBKvw&j)pGzOK++%dKYjY;*MEEz8h?E8 z^404nZ{EKC`P;WYzkWz<%v+qQHQaCB>Er%pm^txan9WI4M-(PvH+*7X7Gkc1K!ps` zv^^2#j*1If&m@dWg&fU}BBLE0++Beqi8XMIyeV3VFw%RkeLWO`lzApTy&Q51!H$k67Xg$1s_8-4on;J+x!e|a!$w_iTs4S^Fcw>98_(i zXLjBW=OWMbc1b&f+35T4>v9%_`p$+c9JWHo1_nFgq0pNlK zx)sTtzMxT@S!fSF#WRdzc)(9UyX;(U9|X%iJ0{i0Y^6!(s`8nDiCLjR;&)BEG9Yes zy2c55EP`UoTHy7DjG=K6Sri}vB(E`E6I2Sbaw9L2*b`xU~^B)X*MPd8W^4^NDps+T)ceE44$c zQrks2NbtI7=8*|Kub9QTHU37wjunQGni%%6qoYj8z1EXvyw^t!O)^;w8e8R;00|?ObmGU$5-V zhPcROL4hG!zmbY*b1yEp1sxsK7EGU$6LXxI6)2rR z;R0nb?RGo7ZmS7BuGS}}0-7_sfpE%t49Z3*R5~~nsKZyXNHQAD{f`^)qFL z=g+W*Vo!=8hN#Y2=u3tnhkq1+QK? zKcdvjltcWbaXp`0dmzF3>C>-nC_xw4sZ{pzeU^CN>9wspy=X_r2uj@R{h$8ii~FAA z&5J+%`0UC0 zvWu4BL^+>QCZ|mTGpGbx?j30ra)<{~lIE_WdD3!5ItDBB%L;{}EnK1);dvn{Ghib6 zi7Mv3rD{W;=vLG{du@j{HPT^Wf|b4VO`A{5HMLM@rzuxRh!R~V+D~`rnY<_!WgIl% zidN8VfmULV$h`Jpw_AM0ps}dvG0Td{ygR#}7(d=eS`R1XJ`R8S`9GSFKnt%PH*a^V z;%y>g(Lzr2oeX4RFUS4?oNCo+K?hF<^)!oOHHcfQ5fe3~`MsgXvs3eM?!78Y;Kb8~ ztVL|^btD$l1rXm~y*~Z==^sB_{B;OVbN%+}Z#{(AuCEw~dR2 zP$0j(sE!iuXk+VZJ25k*0N6wfGh@nh>YU3e7zHd`eaq=VIQaBdGk9Fc+P;7Bzh8a( z_SJ0=`wFn~JLbkX&JsVg)*Q_{ek^C5lVyumDXO6lWejemg**f!^#I&8#9L25=v&`?Ui5z``NopLN!owZ z#nCZv2o5{znOuxLl2NF_u zA~w^v>5=gsda+Gt<^&%XWs#hWKDUOoBt*KEOhsZC?1KulR~p2*eF_8fQR!tP+NBWo?5G9O`fJ-JEbr%u!fV1P#|G~Cv+~|V zqf-n)p)d*EETJ!TsexiQ7!Ybx=nb^ zC1I4Qcu~Dg2`Xu3bSLJMMd6WVuWr-KDVL?a~6Z_ZP({qa+fdz})C# zEfJ~sweEfm7PM6Lq`WOEm{SWka(e4=R1{EVX3|K;o1L=!xq12__dL7j_qBD$?Ej< zGfNUX*VB%HLt5iLgVJGqMmFVwC2)`5O5=>SBXVmk%8;Uj(JQugD?$>wW**f(p%q+e z6_}?|XkMrUM{ZZUZssOnuH|VX{i_P(JIc_l%%LYCoY4NLPZKTf3h8+f5!Ox!_{196 zYB$Gr_#Ag?tH(-_jW$Hrlpi*2PLHevFfPtE&ZW|sDG((_Vnx}YOqgvdKpjT!?Dj*b zT^X95A1|7=J;nD|35$m&fZjZG8shrcpE}J-fq)@I_k2IRo zT8%yk3<@!>Q@CXcfZJ&WvgtI#!w7%TopOT@9m@f2C5%ETN{x%eb-fgywBkh?fynx_O<8V1VGq=D?CZXAD|lqv(BJn* zp11G9ew-fe#pyIA9MoMi@bz4%oZU}_JWhs%mQmTmX;yHOxPKJ=5qr=caRPlHnP6;bXGVm?!}@=B9OfJYm~#wm^z0Do)B3Uq z9|#yP3K#01EZui31!+$-iDg|MuUZ|f82rOC3>QGK(Nv+p6 z+NKDfaLe>j+$?5%Q>cbGPQ6Q*yS9iHmQn>>3*tUF_K3ffO>4X#jF_OBi>VGwW$>=- zT<=m_WGH!5j5bY)%CK7o|AR)ovS_Q^Kq`6Krp=t!<=FU#Lej7l#)z(Pl(8zBjapIl z&|=+vaGFY`ajhi*^JWIZ!d(cO`_+kRF&AbelHxHV#5Jg8Q;z6av$Xo6xqzH&;pp{6 zCdEN5qIktkNl&)vv35Z!x>8UN3x;|I1R4r5-?h=1X7|g*M0+#U#F1rBxF=b9A(P@$ z!tYdDSupG1B0$S!0_-Iq|V}DId1&L{B8KqwiE4S~n@f zYplf-MPOs!8~5i0s~LUOVdemWqr*;%J#j*bKU%Oz9Vvt3j=Z4fFZ7_@tbmH83b|Hj zw(IGNwl>972kpd~E)?3F9hJUODa0xC&S;cxmVOXghMSpbDa@Cs=C-y(4WGllqtKD5MJPhO#pw?E zdHDSKpO=N6H?Lm3c>A9(U%a|2h#3cK!DBhD$fhNwZ7HsDY>Hx9JRSvo#nOiJ=AoB% zBh%+M`h>rE>bJgU1dDJib18XQ@b9d*zGv&`b6iBQ`znI59DU%Cl*g2vHuFJzq2Hj`#fqQ`WVO6jGzm7)GhGflzWiI%4pW~A+rVu^ktR69l~Vrk-+i(YUN z^Av#6`iLBOQysA5ud76=FU66Ho*jn^+M&I78TPIkv@U=gr$k!tVsJeU0fwtq4epxe zgaev@KSw3P*@<4E8S>|Q-sVlSKHZV9`R3u{OTy;Tgu40lEhZ{|fAjrsA0}1k_#mVz zGgp_oqS^96zMX=cG3W`f?x~BbJ1rvDk~N zi(YmrD+(^lIkI@`Tm^~*5aYhbgt)0uVP`GC4ai<3r6B{8yE4+6iF@Kfe6a(uu zPF#zV4I>_{#ZnMYRgBRP9qxKBeJtWJu}onGLc6)NSv-!;Rq@5o3RvHM_ucd77dyBw zZ$w4y4=;aw@cqlzKfZkZ)17NX4a^U;L-Q;B#Ty!=zgB&#S4oT3^NPHvq7+gzp$OIX z6%%6WQ?wQo)GF~&!IK_A@qitT8h&W(GwstQd2P71&m#K`v4O*5YQ7I_epjmFIGwad zSiGb8a|#KmiDUQC5u0~?(5IJu_~hGXe;y3Bo1b3a{K{$LiwAGs{{Da6ym;`#&u@Q! zclWs5B<|(FkB2)oF`BA)-9PVD83TJFLyaoI)^Zo+y~2{yW_J_p6)h2k@F4oBSrpRW zRt*rpUS~KOad=>GYV=UE3a4-xOWunLWWU^-Pp^MgL=CSVeOTcp0;(Nr(G~q z15e~EB6Wk_LPY?#2gPiZJSj{?M$3pRpPQm^KImat>wIR7cCa&w1Eybx<>K97lR6}gE6A+XAg8>UfxFkaSD`>#P;3VgqfwnRQmC{BUp z>I~2*PkK{65-SMNAI*cM*sh_GOgW{X#f;Ry{_@UwRkW6328+MgJ{7cD*3Vn}(Kin- zmC0Y5R%P3H1a8Aa3yl=}vFbu-tMi zF@;N1Kp^MW`t+G`AGQwH#t}t%kXktE5BtkOp(@`C>VU z7MHRbbX!hmsUH`FBbAWM#Shq&bjK_k;x;ZP@d0gEp~$*dvQOGkXcU*hF|u4&@>s4_>`^|Fhogwts@?{pnss(2Sy~g7)gZ){@3NYq!+P z`bE%2>;4k1IF8NDg3e8QLeHZp09w=g&5F`RaL}&Hiq0syBRd7Q!bn|2Z@=?g4<9}H z@LcBY`xn2weE0H0&)QYzfl0FP#Ey=;cWLZ-IsH6~UkfG0>aEp(I`)WOEMpg(y1dts zhnmn96-7HP@z=Q1*ly?y7olyU^Y_N)<1_RZum0=B`v>pee1G%94{sj)^5*^fJ4I1O z!wuD<%?G0+<@94Dk*HZ{N~BRys$bf@{>X41?Akchz|IKYpJnL?Gp|V1=4v`Oc>B7b z2~qBi$;0nHJWu@P*B5VZ-rs3+x%WVnC^k%@1cTeY1e!6ld8olA(r6h_1h0RHh>8Jj z=r)wy_N{kCM#cQGDC!_=sWA>7IV}yf!+YVlH%CuDJkRmwe|~=>MD(HE=JRuC-72=u zArhDJRkzPgzuq;?3Xc?u&KfVOxp)0igA~M;MWv=gbc$i1t5Y+i0adndmw@1b{ddi% zQ7B9c_L!xjON^k%jx)=2iE@eRXcb(>qixX==``VK_Qgwfq`a;R=F6GIQAM_#ikTXT zX}$M~Pd>ilZ{NSCf%5ewqV)mj8MCEMBOab1r4ba_gn z;j@TktJUe<639#1ISIp?O9T2CdVz(M**`*CE2bX{1_&ALt)cE1~^ioJzVDd(zxV`sS-+Xwi`OOc1fA^nngcrYjE_|g;5L4A6IL;L_ z%Y3_v#n-7xwyug?D(x#^g0i!oPaB=0&*iY2Ftd;ph8mjdbw4((Ef2>I6pPTgeeZ!j zhQRf=A6~qDjiS-b>vu0H&wBU%?Teewg~K@LB+RFFDu%^%(#kHsgz&0G6hNPjvD}Q` z1i5h*Be-sJC&3kkhGMyni0I}oQ8B;}$uwYYF$zS-r=HZ?{r>I834Hm(i$B@x1!>Iv zI6c9~e%R`iA}=+duZZ7FFo84$%?M`vM--$WTpFRFK zTOTSyKgi5~o~szRjaEzrqA0|bOS=S%>z3k@sOVVn-W2sd^U~2_7@T46$22Q4=05LM zzvweNy9g``PdI^0eVisy>&w!@&uhsR?4XX?C9DA>lXWc_;b2Y9J<+G-}wjw%(0BKJ$+Uj%5eUdFtt zFFm!gE%+@S7^fLpM*N&&!%k;%Sq#tRO~ap#)>psKeCzyk>?V7{L|iJ*FdTvcCI)0J5EVMr!0V0b{KeOB0V^{{?Ew#OY0r zl+lQ3VkO-6et9n{m1v`A!tu4-uVbd3J#C-4C;WTYF$J;LF=Nc5kM`H;a4^e^b}`bp zmsP0EDT^qCCN%r$?H+ym@Yz-9^5)g=pPRHrr}gibY|y(8|NiEF#TLh(Q7CJy2Q48> z>stwlD}h!cB3W9Wixs(!UMU`70pz5PEonsbcP6B`cIIp)nBtnLb-p9QUwmHB`^a{V z^`ccu)%)IJKB#=+w59q|svnC|ya;Su0UtSd9)?8LGxbP<<%aOdVtvf1xsrrd#=oD38j{2~O=m(h5VHUR0 z*sO2%LT3V*i}6~GipE@|(jInbxH6@LT{%(#*14-~+k{1Pm}Xn!bYiG0(Bsh6>wC+n z(3(I|gIkfIP2BJ88_<2{DtBkc46IzK(=%?g^N7le>(1SXSRb<9C7slknTFs)M_WVh zB-+=!h8y$ZBTpFPX_{8_P^fFie`ghL&}%AbXxNo*^fi$a1nmsGK9ocCkk)RK62BE{ zh7i#NqR-BF{hgxZe1H*)(#l)JiR*d@erWbewWz0>G{GMd)`i=C*{+N2&sSyd5|CCw zT}Bj@J>9AxR`UP?oei(L}NC@zl6Fw`uWjt z$iqE8I4JM<4u}cZ{XDbgeMR|9yJYyxX@Q1Fb2O?la`4e2Tus%&CBe3KQ3S<4HxwY2 z$P}ZWrr!|G+w8Q`f0|~_Vy@U`kFB9)soy+>t{Rq60?8#>%KGW{$7_D2Qa?|r_A@p9 zo;I1V!U+=?`v^OBW@WF-qDV=uR{(K+XkJBQV%pEkpHf?8UXw>dtD_mAJWH!2#FoqH zD2ULxQbMGfl2MJbLYBsBpG4|rJVDp%hDp$VC^ZuLM%k2>v`Lgjv^z}3YW;|2tHFy* zjy0I62?Gmid%ctfE@`h}*Bdt5M<^Ecn{8Y57tS&-U2)%oNqZh)F=aYiq(Do1N8vuT zAgtfrsW>^%`CYqR^jsr@_oLuL=w-qAQQI?{>2x$bAqD16J)}l??Wcm4-gh3Dl3QwR z_w_hKDyNp)TDEc>UAQ=9Joge8n0-$8#TRP|cP18Byj)Qa9Uz zp>?CqLA2@eiC49c)$#bIm9Ot?I zBhZmy{CO!L4~UKJ=QR;loViBf7Y?(;Ul!$)x(Gs{cw&yWH5;>6+Mb1$t=9akfE#Vf zMe~X^z49JtL>wkmBQG*&IiT&CSi%ORiR5g>-V#FqSA*c5eH*x3d>6&(BfEX7GD4z7DRq^jJo_ z3^9xSM18fJKH+3=wS}XW9G4-=+G(O5s}SZnu8312?YQDnL4&yq4Y;R)S^iwGY%z1;8~!r#@KJ`?hJ+1O@3XeOun$Z(e+7`}0dX(&jbsnSpLa`lX^SZts-s4%Aa#gcwiQW7VRnmGj^ z?4(V_c|f0P1?@SI$5D3=mlCJq8r6*2n1{<&@g*ftGX*A|GaW7DewA~h$%a$QBvfm% zo`OjfBvT}|N<=NuSWj^jsv)K+OffPDs8yOv1SN-{)PkjSrs%C@>IIY;_2dXVc9!7) zE4U47TJaJ6A(ZPpcRkSNCOuhehVFd2Oc$fM3VJavXBE1aduvNJ6dEo zKTS&AG`j|v*5Oy6fCqcEv zkB##OQ9|y;5_i0(-X$%1XpzhTG&?kMQ=pNec&c=;=Mr95Skku*m(Qp$E@~z;t}$v_ zq&Pu%uE!6I)>^X&Bq zXeFl^HPV^JeL?DtS{A(mw{w1>pHQ4bJDG#!tbfZ$`DvaFe&KO&NuJs175|KFgnV_= zS7ZcEA=}aQ6m>;rth8mRHY(jHa4J+rCHjE`9lXodiYV<``sLt;_`$s8Sclf&m2$>a zRFce81l|n>dzx>hD3k$cW&oH|)6&HXmrWZ!rGi+pOKDq@(1PZ-OmwXYR%_#FjUW2E zmoBVAcu*Rq*Hf=RiPzwIbqobm6P5pla|ti3>VjaOBCtT|c3^a&@H``ULXkSg2RN6l zn>MLpzkX&nh{Lt9PkGx;E{CCV>xpNX;0VQ*$ed~4`OWKj6sRvX)kvq@x%MpBv6KmI zUPVITGph6wj%>p3$&0a_-t5#k&`1}Bsi{EFMjw@6AG3bXBRNtZ&>lATBBiQS^P`z9eo%VXuc z!nRSb)0CeVkw&(?m{Ya-Tsk4F+ZNO_UEOJF7as}wH%-uCn!nXZM+*IkQPNxf_aC0<2xVSS5#y5^!`8$RKC0WAf->L&sv-{>WAb;~77Pgq`P zM^zTCr=f8W*&BMn+HW|W6MrzALw!Umg2Ts4>bErglwI`vF>n`=Y0*~r=mSwATAFu} zuGXo+*K{i()Q&^JP{P<&aAmt{1d7RdoP`Z6;H3S&Od`*e62|UL<`zTTUA#=IxT3v~ zgVz?YR`76hZQjzZ)wh?tu=dZXANuL5&{7%p%zDU(s&x^zRxoZ#5<4oaLqU&5;^Ia9 zHUz6v*MKd0D@Xm5#m!-5FIF*1(Fr9uEu`eCCQ7M*n?1$x<3QI#E4*4Q5}`SqvrvLl z#>ZA(4`(Af2SBfpG%JEk)fC|?k!8#}YuuD!CL7K$=4RMb9IE0Jk}X z-Gy1TM~pxgCAbvt;b)^s6^x|!NW3Hk$dPg*v8Wn98HfZB_FzYD>s;oDNK6e1!ck$x zQrJ@BLiei|<#1)*L%}%2Pok-dCcDj+!zyua@sI9ujav8`v8EYs8f7nluJF;soM&n& z4IYcr=vXT4!orINTYy%nYV@jg>X2=1m?_fsEWXICXhU20{&;b`{OAiMw|_iZf_$07 ziNs;b7(#IAVj_i-WksQwa3U2n+|v-J=Z(9!eEB|opudYP`uo5yV~~Z1s#hKUb#PHl;u2m@~;jVRogRe zddo4RzUIAR>!zW~MSEO`+th8%iU~Uwd*b)hs2_Gd#ZIw{bbB0>Cv%PEshcbqQD`?& zH;pPjwl8+Sd_c5@Xo=3^a_c0XqL&VciKPoT(EqqAx>O}4iZ5$2b^bXO9<;*+@b{z5YP;SV*hMpHq)#-O({HArz9j*9nX#%Gs zQfH$H$4Xi0L}=FFeVwV#R0v1HSrIjgjaBq+k@VWEhco^OUW2h9?Ba^ zW`^GYM{YFN76A>7TWR=Q=YyIn#P|<=?J*xT!wTbw$D_!xq5!wEMi2((pj^M5=?BNq z7>T60-BvSQ@*T;wwF?mW%Qx}RAd9~vTj52JM#EhUQ{-bhmUdJ`5Gn00i9Y>R1Dxrz zErFs2B}vV>kY8YeD5X`v@?z4*6zohoTj&j?cEp^t8}2P*%eEhL(n^{)qE<>Q5pJ`N z%fx%%CzLqa)|4F(J=&@L)^p?ov#;&e{Y(2tfkTuXX+d#_c5F0R>g5on z>>amFv;#N|y!sbxpeUc23%SjG3pj+}dnWMoX;pvo=-E{$`gnfuAN~beAP?T%d@2>C ze1&pTlZE84cHRli3EwHak3W}Q@;T2Ctb1(!^mD}N#pR1A7!Fyh2q7_rgV)ZU#^+oB zu+R)?=&z65v4*}zW2U}-uvnltTm#_lfAg~s2GxJ^%~&96`}D41#qMrF<$J&E6_9@v z*Kvp<%-(Hu;%SaGoFk9j=4nRJuPK>dC^I7gkJ0o>{hPS%tcb=jpEB|NM|yNyef#w% z+PECS0PbSKi^kJ(heSJAHl*kk{;9dG^D6K3-k4!3b0@{-|1J z9N{9YmAaAtK@Gu9Rr3)w zGq_tOjIXq4^HuG#=>fAqqb8=%O-jrET$ZZcp0tm#EiL!H|0>S?`OQ21_aA*R$SU#w z-U@u8VPTS{O*z>ekrQg=6b+qni&0(~P0aHUV?kbhqV`M}xC>P&Lu)*aoVEMh0dG}y zaYc+i9jAbNHOS=Hk&#>~t#1=8NkQ3hTSM>$OPFwJ-}-^R8^ZYLNQg@dDtLiRx{<3b z?6o%OZ@7|fYm<$BE;iKO`*jMv*|4GY$L)I>GfiwYZ3rhCcM8o+AoP5>a=g)ynFNO&o5uyJb3f{yB~ggdvhxa@uBGVo2wcvagE>5 zSJ;%U)8$x+`f4vbh8kX&YhT6isBqLE z1ah2yDgmio?MX%VxL7UpGi{qF0Z6Hcj@kQ?I5bqLjX z+SrxZ*6Wlc;`X|3y-htVoXjS1H#!Iwcinx74wOE)L`A1Alvpf^7pyyET+)6*?k2S1 zwAEIdG1t?4iFQPB0i|=lT^U`}$uW|x4i4>bampwBp`loRx^rF5*oTNh?3lqSm|nhY zVaDci44P2axgv_7Wue_FVxi}q^x60aQw+OnE+R*y$E8awT4T>UtMKV1Q~JxTFVMfV z4)u)=J7Wapk2dQ?@D$~$bRiR5q79us9!2K9WYC{cQde%ylNPFNC*qrw&}t*wJUIW) zJ!wi5=mlv7c(p>(wkwAyG;WmHZi4+2P1;)<*}Duzt^%L>=>zpjQ3H%0)4=^BrX8>F zSew=|*~4xJS6>=vjDnHgrvE5G0q|tg&$fFbLut!zumAq~&HsF@p?RTUc=zV*KRGzs z8RBYD$xkmtSG?c@x4n7Y+`OCu{t9_oQR3@LnK7PPx>`-e#_7U;71a`DeuUi?&Wx`k z^HZzEp%#g*vPROZh+LMv-C^86E97v*MY)F|TMNw}_6l zK!6r|j2IA#i`W14QOx~LrTXV8^#|$U!^f94{^7Q|C;B~5i`z5S zHf_QFNHJI|s%~k;q&pcW9Cd=Q61&AHN-D=>N?!4(JvQzx)-B?o1l-%oWtM6bP{(OT z2d)YJnBJ7lr(7tjBS!U;%V$<>%%54p&XpA+O9+Vq|mJhlXk1ih^i^JD_{G3PIcj&xr>);EuD(J z2SU;(B78?*B0Z@h7M%GVx1xpg{3G`ixo5nWxy8fmfU z$-*g?-uWKS4QXf&SKPAuy4KmAVtCfi!fTbH$ipqmNek(`(Aw?j&04t;LxwYYXQm^W z0AWC$zlHd79V|zlzy=hYse?LuY8ZdC7*WL&ilQqHqsg()tyaav-9quTmA9>JsGX-h z1>poCP=v2z$8O-`HhB8%`Ljpg+?rlJdGH_QB}NHfucd{>wvfj%!o^kt&P3TLL5p5N z0vj$4n@Ov!bySP1g@SRjbDK0`?mY8?ybAUmnCd72poC82W?lv2(_-9gcGTH()i1To z1=dELEW0;uo3))@v1lR^c$#;m4X$85y~~sDo_+JNkr9~x>&qYBy!|L8_3(e2b~CJ2 zDVHh8SoeJ^_1+IZ|G+4}_QOF>n;i{Nys|KjrW@rlp?SPyS4#!`re$Oq7}tt7S~4y# zek+shLhd*R9Ore9l#ORV#99)GL>oe}G|U~vR!qyy@E*xSA>1$>>*dR=jA!sm*N2vF z#qo=~_wd)j>7TrL5dT1cB=^=37_T&##x(1p6;plERuaaU2S|0+F4m@K!Dz>Gc4ap5 zDyj(wG27y;zO9#~b%2y^hmCQp;ygkOq74-8?ru#a)ye5azZm7m7khsA^(SpMZD&NT zyK2*-h4bmG^U34y9$o!WuiyQuwfb+OlXtbkXwq~KpZ>T1ewhFE=$mhzLBtyk=00UA zrPfn#rhT(Qg^(XOv!_aK!HQUkDUImx3rG}OlxelIU|^(MW;5rq7-i005eeG&xkO|> zl@t5kBK8W}rHV&bBX+Ogp`}TOcv$D5R834TuIhUgK=Ja>n4|aF#ypVRWNy(|vs9oS z6EzLRYNv@l6i1I_KsZ)}MG>j#hXgSNuI!O&=(7)iWbOY$FQdg`eV-|DQV3c{R>qCD zh^P|^LXDfxA|~iZ^<$nrH~T*Ano7G(hY#J0Pt7x%@^ZOQs?7sUbi_?oElcFpxWql< zxhUPB2&QkRV(Em%((7&3%ZU2ew6GGQdkF8l)v z5a&x{&{2WwEJc8`H>g4=qFFEawN$&ULnuMhJMyIoeQ%9Cyj>#?AO16og#CMH^*Y=W zdi~su@#NvdZyv%netq-}o{~2|{QcHc^6KCH{^siL{oB{2vZK3j?; zs#cG(WzgL3%4Y~>#LMk)Hb}go{!QzIaxwleT|w3CTH7eb;9no%E`8_R&E0$T+e-QG z{-swJCo)%)De52lu^_3dNTMv2ft*9MIBOm`^xE|rJL){UHq4pblnraW12^3&)+(w& z8EU^(z`g2r`)tP8BNf9ZT%(~>bnN)#2q5C7w|2To8eM4y0nx}61{Y6zA6AQJXt^jaP(U=&-rLZS4P^(q zXu1;+QnJzK+tBbX5OvLYNvJ8Ia0!&FSYQ){5=Gmzjly0=37Z%5^V$4~#IR~PSE zJ=Fu<;|mfx5*4CS#%tkGE89#BesKA7@2jqg{%8NvSM^&H+Znf%^U{)Eht?0h3r$hh zTmtK1=I;H#mhRIr!V}~Qp5C4De7w_FzrFdt-nvSG=~&jhmB(J}7P}T!hdlAUNrSUa;%s7Fv8}KW6<_zSHdU__^388Ma(KF0WpG z|MupGpWobkiRLIRLP1f>OJ5|0C;E@Lx~2b@Vr;61g?}?;-C9@3;dsg>MDJqS5Y1Zr zr!Rf<{PDv-6$i+Vzx(!ofA{E;)&1}9-iz=4^z!wamp{I^npR)DzS@D`zkL7lbE8HQ zi!;8KAPQSLS_E~k+VNtxhDHZr5sE}9%cue=2lDX(q&-$U2{vM}B}%3AL?ERi9>n9R z+-O{P723RztzWNB2{W>m}v3JN}53f)_RR)A1)wAjunp(IJ!lL@CjE4qC^HQ z*G97?NOH7;!+e(}dIgnoG{$V1{qv-L(E0t(mv7&FI=TOE*FSIGy%1Nv`ToW0o3}4- zzU*u@v5Fr?q|`M0bLy!sBFNTV&81s(Kq-SXBV8_un^--3qE~TB{oEBQFZ;(;l_E1f<*%u+d%zYkV zb)h~}ACeU(r?Q}Fzh7k)-7Kb^qD?6-PV0wodxROqJg4UmtuIPbmNVRrcJo9Zh(c+l z9A-O~Ij0`W_&Ai0o_zDZ~`{G2xr{mPZ$6$F~8l~zXc=YP? z!72^gJQN#|0@wQP2rgq6tq|F@Y41HXBliC1mv4W3@c!+~UthiW+fOg__}{S>=RT*r|6RUj^FkD^f3l9#n&(&2EE+)Yb)iFt5q<*60s&b4wJ-H%X*@ zy`*Tx@D6Xty@ghRIA1Ovp&~QPAD`1F_nq5!c=DyT@Y@$RKmP6g&u`!S_R}>BKmPW^ z`#*gP;nEY`zh!C5rd)9fPSJEgp_w-oNB1LvD^dA44v|kP_D@iU#4 zqr0eoXK+G+gTj3-Z8TuXe7=6fVZFBC%cx zX>ckhu%%>WE|GxrKd=!Am&$geccu$__!8!TfpK1t2P;JZ7s)o7(T(k!KHDj0PlW|3uZWWZ2!-e}h)suS`U>>u+2H)F|NZsm-LE&Ve*5LY&4*f)oA-B8 zfuaWf*IHA3S+w0SJGkcg%t6brj$>Qvdg^8KPVbdXHYf`gH2IF<6Vh92L9S0Ao*I=v z-*3J2tdT`qmMC;}UJ5*vAcO@gqi{?`u(s|?b@B9f-|p#m_dH*|e5Z&1)LR{`qYTfH zOo<{=sb+IEjG4<#V?D0Rdc3h$Dm;zSJ&H>?#yS~e_vms%xbf4IJ$&-?@uNqV0bfDC z{muIieAPer;pdk>{Qbr2|Mtz}{>{^8-~Ko2{yWU|@ujGwx!<-N^`90p^?LcD0Bg%z z%z4Ci8Qycvq@6CB(KZo2I^w3z#V7SJoo=5LiN%}ZqusYv$t!eP@tdvNzUECBXCTSI z(oNesiC&1pqAuz&oU3e(S_RDLSxzfhBU6KGTi>*Gd%pLcx2B%7kpGkKiNLs$!x-Yc zaEe9=Er-9_oe3o!`ffzBnsw*yTHzoRg~>3_(|X{!h@$8}zM(TZ6z-Udq9aaNQp z8~%DOUJAe|xvaU>Hfa_kfKA}x^qhG`p^IB5a*>Us|5*n2ueV3jVghC8Z4>R0N{?|& zR&iu#1SnGw=;A;CTX{-xDd)iy7<==&C%=V_5)jdGSOq%mdX2MmC)Qm05HO=8?&_B+ z7-xnwlL7XMNP=R*iVosRS8ml9P5Y|EUAI2mvR|Fzox<)Nja@}YVY5{ec~x&zA!Ctb zWS|6B$J~}_UzF~vot5&VIWk+fDo~#(?)#iY5|&ucUbDc5K!G!Jo;P1B%7Sj9E}|cc zU5-9GN@#_hXG)ERQ7~N`dKeVr#LKlwkQnVK*Ru5;8|M}Ebwy`|Xg4-}R9}^c@OD;Q z%QT<~U+huSN991fM3u#FMRg}Ebjx;cLtU(13+?GYz0eL;x}MGO1@zoTs)&_I^a{l{ zT(rg|&|=^pMk{6C=~WzbL~U9d%EzH(B}_y;x9m>zSaxb}vODtTj?S!WAZnzjJkfh1lB1+^SgrMtfy$E-I+i}R%{f!8Hj9BI zrJ$rw7hAz5=dhnn$say`_UKYU_%hUlFGlA1-I1Y-IM%#1ZU2puF1tkQb3%WracteO ztIsLv4@-;!4lBVxyCqMK+gd$!#mHsFPDV)3ZM|i!ujSBB7?MpWV51n)zJZiqJb1x69S&5IMIfN{+pi<*0qdqGm;z5^?jTXg;FkoO5C9DXqm9w~5F4F*H+9+|g)p=u4ZJSp75Uq3C38(n6 z4WbarcX-MyTnRhquzufC&k(YqO5c4t40`zJ*|$%bqStR;f6a>R_I~#5r$zg3qz5hk zylLxs0W3OfIR3k2q!#f?MbdaH9d>(ivaOV{tsEW1KH;(25>g)tbE(+%N^V>GnM|&Y z%eL!~b-_STymhUV1Z*>XwrLW3x77GndU{(Tns16vX^V)4vi!SC$80IC6Vg=(W#^cs za8W0WLmzI;b)gd8z;=}lmMte?KT3Eo(pc{e%r}2JFc1Is`0Iny0Hfwwx8lsoOR+8j zlTO2;q;)JxJ2-yU^*}FWQ!J+azh>?5DPWZT=>Z;o_wAEMw|7jRbvIso|K{&6J`$D> zcWfA;RPDcj80WfUZB<08gdgsG*2CM&w!io+0eq0QqO#_YQiEM6sv_e|kyk{|&(am* zHfL`SL22Why{4cd897YT9ua$2!b)k`M4l2Yv@R#DO_7HKfmMH<-O;4Gj9rK*xuTF& zKRqmGYL7sTh4aaqx36CO{_%$N$Nzcr_CG&7@84g%jqxJRtLstJc#9M~(7M4vY~E%e z)qs|kx%bJBZ!cdTJ@}9C_U6|Y|8-lw`Ceb}@{Xzw2e;lM3X=u7kdsr&+{~hEdxmoa zq^ctBPy$6WbV#Y8p%B!MDPQU|XjSj)Y+F7A>W62`$=J5veYj7XWDw~85w@>wi;F!6*t9<<}5+1zVHss1vb6mgm}B|}wc>Qsv0 zr0f`)wNt!J>EfuGp1s?N6Vs7$rGf(M3q|3XjB)_pmXEa^&%fhZ`}v>C5x)KX*Pq|K ze(}S5PF|2AeD{*S^5FZIw+E5p1;77rN4eBdlP7SNS7iXov@vSfs~)vt_wLH3>{jSo z6sQp==!M{RY+XDA9)=nr{apcznd<}*12lIoN(MVOKt`{P7zoBLsJVzD2v`K+&)!k- zwEL+=jc?XaeqECJ^ro0tvXQ7(`Q^ofS1(`x{Z5Gyf=~aCkH2{QZ~xB+|6zao;qMQA ze(~!K=dJG^m^+&-3TKKgnnc%(^&;(T8iJl{;=c_*usgb zfQT}KK2l4Sd;MAkPdo+s7?x2|Z!kcdn|_rJKWWcH47nl?iraz-0Q+t3NTKfD+(4Vep(*1ouCe*WE)=eIT9w~EL(RbKbhKfe6o<-5!9o> zrK~kyU`_~h-20%XABqb8{DbT+)}-Be8G3SL<+QB4K3f}^)1GvRFRlYqAk0yYoK9gO ze>WsU2sTpRlQvI@CkFQ@1E9OSBCMicXrCmG&ypQfsbMpSG)FpO-<(0$-if;@=qd|$ z{o?N9KE2f|d-7M$tEFHmQ@9MMXtD%Si5(rQ7?J*Q3WBzlFShgPv%h)z-Scnn-~Bwg z%JgSjan&l=vo+K%MFI#HobMGoAgy8-YSgR8ee0mR(TvoC6iX-R$~>k{>qQ zoEXv88bGea?6@O6K%xhQ`-o*y->;4oyoO?@ibmwD ztb1F$2(cEimwE1#MgSF6=q%gyx$wMd$)`3;a?3Jwfp+^`RqdRyTKza&$BXtjsBclIoJ6_ zseD#~PA~ zrb+p_X(5Z)QcI!z&SIjw!bE?K*H%s%uPg*n2O2uj6C<)q5Md zzTl3uNR{~r{)p(RAcA=<^X_0Eu{y>LPwv_+Osy?)kc*=uEB zm2%LlZNiz*U1oxV-x8aR+7WHGiDNp0>LupKR6HBnE^qssJpDkLaxE@8_ONhnP`rJ; zv}e{yh~t=%CHth?eEZ_}bl~5;{OL7_0~^L4UwrNDH~dhkl-Mt2Ypzz#c@^G?f%=_~ zAN~BFU~Klys<9r>U9wtJpQ84uC_ihu?_+u2BFo=f$VO zooGh8v@{pRcQEmGqgbvuPvoZ7eD|pyfA_CHm7{(%#e66%xDly(Ta^rUVcU2tBJ$1S zU_-gCVs_Hsk4J&1dRnZV;%nOaWlj^0sI(Im4AXIMtp42X=1-sMi2JVi*iZ*p=}_h( zzbnmJbO9U6vvlidGy`g4EmuUzI|>F9VlxhsX=+1Mi4#7>hD41khcc@z2-0x6nh{m% zRnwsNC2>x|wnwa``fzUewTeJ{q~MSg;P7eXmL@4NI+UC4z2=`=zx?TI8V*v-Wjo@Q zk$ZLcK0u$s?Y^6ZGOf)iy{G-rI>pPrsKev)|+Dp&H)&;T9 zOo?8IwwKFTo9a*nVzIU8E){N13onAq`VrS%EY;Sn-QMTBUJu{BmgX2W<^9x_@#qg` zgzJt&I|eo7tLQGBE}>==T^nKGltuXhD$(w;RPmb)D3wi7Nc_~IaGTHJC|BvB$Caas zxrDVjOGAHZ*dmHCo5I?5_1q6PrU0XKZjE?o?`cqBjl_~&?3#-{$O<4w4u%Hum{>d^ zn?%nKMNi{s9Nbx7*JalJ*p;J(mRX@ZY!~`*b@R?Tv-s#!)jzZoopT}p7`z|HoHbyy z##GmO*o9a*kj6%cvQ#~{!8fleuW4@;#XqF-`@~%;*A{}*+r9Pn=&P~~f4bJP#*Thb zieHyh@`?6L!fq4sOQZ2lXvh^uWN}S#%qA@(y{9;ig4k~9dd*b`W(mY1Vub6v-ZJb# zD>RI;2<~)T%o5^W+IKdVN%8b*WYK_Sts#sg))R&40Wzj$(+DMNFif`B2`k~$MtwoF z)7o7?1CJV@MWFRK_03{Je#eDPaGv;96E$d_6)qkeIRH%9qtGXohn~}C?Oj)s*s;QM zw%vk{n%<7;2#k961;NQ(!Ob6rus3B2T-_&aUdH_Cw|_y|f4)k>G}iBb|9D6Km6EV+ zQ?%AgtTm+lsCtXNguT(;2AE+*#InLim*m&hAj=1riE8Ao{GJcObsA@zx#8{I4{@lDz?`D~j5RTR zzNdvz?yJ6;o7-ivbarw~S(F#VtlOMoHJ3q;o@@zNC1@TGd~O6y6u>mVkwfewx4i@9 zY}rvRz4%AL2;CbPv!%iKxWs9lp#N-@gCSxB3sojJ((u_$Ptim zc`HFrbmB6}8M;E@WJONu7B?*xp>!?t6}1KGX0VY_Bot|tfEGubEbYm?aTn=onZ*s3y~bjc zW0V4%i|A>>Za`P-RkN>I)?QG+nUwwN44*Mnv)D6kNL}?#pNH~`(Z^bm^kTJ$JZ{UI zfN|LdwWm`@YkcL}O>>IE0ZIorQ7s5&9@H<@Y#c|HHCDKVpgW;_A`&pv99XeQjzy<)Pr}*Ts4>Ygr7D>qGpR)4+`F zxD9}*vqhRy3u_DKEgE%^K6Q*ZuF+L5zDe2`kHffdN|Y^gN8|OqhX-Gmb=Kw z3A^Oe{CR`^m${&;J~+w3pw%ee=`J+n?@~nnL1;yEGH7_&JL3 z=2Kr1#dhMpMRZEJu+ZZ4YeZ-4n4>n=u}*Um)yI*y`RiOaojIP|=Mpki`y1wmi$hepl|zfY}#7UYi;Ry(Az)aa(p_MefrJw z$B&+0^6}3f{Kxj<)y>~;{@_r5g#FNybLVSk;TsIhqeAV#?Lm;=D-5=w*~Q&$wM(h& zo1)~{Cab@5F2WH)06Ryqf?mVVj$V%SGJINFUh;k{9qCBn08=rEQL-4*?k(t++9OuO zehEVCpP&8VH;xKV=oiGRF$ar2)Keth}vy`T5@qCm8o$HB(@7nPc1WNrLJWvF)cav(Dlk-c!#jw7&Qz2Yaz|QKF zf8NGY`mS9U=lweNS*fBsv=05kby!$@FWuHmb=IzEy6%(HwoAh^Il?USUgxOrZkA=a z|8kH1`sM!2y92ZP==yvpPnjEoOR5^x>sOW+cKd?vul=4yxH%*$&+utc$51t z@(;~5@C)y5#@_N+c9yGk~sSqB*V8J?^6#U(Hc=pW)>xaK^+-O=S>^1HM<^nrWjD<~X>^)3#D-k7$``zUbIf0Q~eekDordy*K%yAot(2fq!8JzO2aA z=TY^cH`1zKp)wk&)y%L;hhw0Cm7sLiH&HJ<euU-ic{^uM0>5uxCAK&SvKi^QHU7}#pcTTt>ia9$fNFiv=3Ji#w z3&&IW96WaFf+qU6!&-9+*O^I6#EpdLcG#~j#XdVF=)v)Hid$aeqMUQsQ@=Z-a#``U z#5qymy&(@o*%4-nng%MTDZ}*DDgBz@9$o|yQACl`u&Ty zIDP3K+@Ah?^V5q5%TG72xG3cSad+ZdTH@ky!+;uRrjHNt@ZrO!*OlTw^AH=C^!Qk>#?RD*Ye89LsWJZ^NLhCb=|47D zJ$v%a(hRJ^JhKpOxyARAgepFGh8~`R&z*LH#hKzyADk{{AWB ziXaR%8i*CMaP_bBnXZ9pKcmn{ zP1L*?g=GAvMzYSH^XCD3_~?ozpof2d`}(CY|4&za^_Q(fT_JeRYd&lgkpQE3B;XCY zej-A+ee{IXHwTBtBd@MMzOJMc&QmdBMmS5Xc%JwcT@mWBFeq?-72z+p%3j#@>63Qo zRobW0DR9mrs%wn4;?KOLl;&WfQ_SMPK#`L^q7gV5qQPZBt}N~b<)qLuhb9z6UY6aa zmVM;t+RQ-+-9uWdNob$jR^=(1jc9A^ecA;Quok~iks|!UQrEf@KlwCs-+cSs<-3*R z&EGB;ue%44_lMBaG%Q$hXjhEH$zW*Pfkww-532OI1~bDdj$lTwWT_=y)=<;2On4;w zVU?@0a#ZZeV@rYyVic_5kikOr6jQx2d8XGvX?{PAo_)G>>CNzB`Wjy?Y=t}V?j=*X z%$KzpRc(0 z*b6&+jb@ue9CQzB+bx6GboU!B8|V9**}nYk?aOzc591VD*06NJ<(hp1S7kv;5jt^o zgKH5EpA)Vf>GM0%Fzm4tugBc{Z&OzcLQt1#DNn)p;o=B;-wwunFy`BxG0(0S zDzu{;r84FQxhVb+Gwb?s%tJnAZ$6Frac|7~UyphJzcc1f|G6>O3!7`q?Ho79c-n;% zSNb?yOMbJLKN|%t$RBBVMea~<5=T-dnM8C1yNAo-bQulvrp$47%%6XIVXCiljpfbT zcQ4-F{P^C-$d z2_bdBSnoB_$zSe0(4Su;|HT6xw2)$_XY0tskX1VxSLm{19_cvhO?cRb?rak}-i~cF z&U8Mao@d{R(0=!a=Dh!ye|z=f|9tS~?aQBDzP_#&+V8)>vtIsq^Wt{$K3!%*WDvWc z7y#F)7L|PTWkMcGB&Y+SV}&{ark2I*#%1FMuSG7Kv(7PGkV1lUE21MeHY)qM)s1E68X!0gNu{N+JKc-CoA_ zcw!p1xx3GH8G)~NI!?d-h+A*8n4SaKaFjp%GUG7dm#mE6M{U@O1bw&`%7&XFmo;Zr zBDKoe-qQBGDZ~b}!K}aZxIaF}^T&@K|HWRhH$T7nV;{B^PA};Caf2~dp(gP#A!jz> z4ByOxCU?K#@t>EPeEk~&u0z^fOGUBCSbbSUDIb}#S|g{?HJ7B9_Lol?VC%*;O55{ukU(X2T}Dz&v$oy*#tV1KnmSjv&e7| z6%gUd9NdfRgfxVZ9cS04pk$o%kld=L#XGK~y1`>v8Kty%8Ql22msFJ)getoi%WgE1 zD+137AFkBxmEHXyr;Hj^ORI_kYadHk89{c%8!;_5(@-3udgPF#h57Iuc2S2OFO zx>BRS8P~A1EV+B1eJPEnhhP8fRJBC?h-u!;$#y}EB;(X&l?#OHl$>y6GT3<)>WO0e z;@Pj0!^dC$_~t1r*f-9?9Ze*Ct!^&{*Ak8Yfwtgj&?_=-7oQf9#!j{3ykIr}e?*RH z52vDhqG*8TtuZbMyR|KXESu1v73`zF0FOPdci5*|aJpDleg9?eatJv%>H^N)tXu8Z zjjlZwI=IAs5RF~AmsCD+vvRI0qtCJF)o(98 z$ftbvgHH+XSS1)yv|agxo(xCH{c+$=D)^~EyXx?F{NuR3z7^YZ6qKx6%UU*3fhs3G zlm-UtL2An4y@$DA&hx*176CEwRcwKU%|}00ftz916OmxhUe>4}0!VhlgcB125>$69$JRQ2C(Uvimk+>zt zZp*pt7_>x>+XK!W@j!zN@RSa5ef;mNv0-mDU@1HYwC^H{V_`YgsOTaHj2dt^HdBY3 z^t{_Nl(3UK)4jpE4qYBS{_DZgqHE}u!1ge-KfSh|?{or-*dJpNJ{BStpy2qp^N45` z`8fCK;G&M}$3@VyU-Ifa*N|ieRJy^b8i41{Q>2DZ3H?| z!k&U)7?o-dV}P(8&go0i+-RS%ggz%SB0y{qE*1tCDLSSR&$(*nUUwg%VVX+4b&i5z zSEs7bRk^Ncd&~J;cSVh>SvrR}36kJ&8t@y&^7UBfR2%**AsCYvvEt_Zw)7A<&O{6{ z3+e2$sq2&st&lNoc*>_>DSGKk?km1ALhKrxdt>p3L#vx^Heoif&|!tmriO!S^;tlN!|$VK@#S?K19=6KSzm>MkT{_JYZF!<^g0}V zX_ppuQ+gK0B-sX|N7h=fM-zUT#nv0nV|lIG7@Kt)r~0=Q+bf*9p-yCSpBsfm3L=xY zqf_j|<$xDg5h!1jM)pzh1<@+ZG%B5d_4U$Z!=pqQ4HcmY&w4slM&TZFrJYN8$lEaM zo9)Q>vWa+lN*)E9xvn)r>IO~lsSDTHb-teKuxs>gX?wx-!V9Y+w4rdm8>Z(VoG0pB zDR!Heb#kYzG>Hq2Z~De9f+dv{h8C3GQs{6mMIVX7j@6dLtqn~v@)r_#oLdh3xs_D3 zzl1%oU8{bh^{#m|?Tg^@sdTob*=l*N3nd?EIZ42`W`zw^{#U4))YwS!&02v(A-RJYjvY=*+o42c854&DGJdC zqH<=d6AnPwzBemivSRRuX^!F?cP>rYe8U89M+EgASh?<7ADKsAc^-ZKxo2F)W^AGz zzL;Yiii{lIX0Zunx|(T}J-J<5JiaD~cb#oAf?WGr7tyO|dd{d{Q&X?~y>5p3uuygs ziG1|hv5R8rcA{t+PCqT4dox_?wGKYFfZaauHy?#c|M0+v&6sYcnV&np__^n|y-SZD z{BZN@yO(zazyCN7$sRMM6V7Z}oC7GZESS8PeM9lzEyfm$H+(>ar_mDDvCcaDbXJkr zvyCW-!!==xwD?l{ha)sDWl0oEG4;q?=Z*?55=X738?FPhmb?&d_k%*FoGh(p8=lzO zx!9Z9%Y23_V;pxNbY<*iJEq*1fNG=1403Hl84=;oEcWSl z4AGx*%+EciAG8{-9xvz^IVIXT4b;cex(JW2hu(hWfM*rG=lWFiAYd>-TaO}6pE9~7 zxcN0iLr{Bbs8Tvdam&0yM_cCpcr60#nCc=VhA3kQ6DlrE-<^elH2$Ze%AqWTi@K7O z{y=#+`u)zUJ-i$;IHHHP-l<*H8*yxX^UH(RFMiN(i$H&Q?a{d3&S-U1Wq3qK`mqUN zwYbc29f~gzEpKrdJk`pk7~@4?TWnJl9V*U@*_XhXz4(I2laNF~W12H6Jg^8;Rq+v3 zh{NLWuAem%9&o$0=YE={Mw)=aHU|TP>WB@9H^zl7A3FVnE0pCt#_Ea>ampIKB)C!c zvCy-gwH!UqvIrR#EyEtxdvkx8H*kOPFAYu#0t$JzyK>aFRl9i6B|&vXtbX~buT+dB zV58?N~`HJFD36!hfdu;;3cj;exYx=kRA)vYC z&Pl5vNvYz2+-AoZc6vF^5Cxm&8Pm|Kscl_P`ZGi?GZngtA)^W^^)DWXJ^1`ze4v4+ zCrM*7V%=L8s1b+tR^x}0IV}Zw>9ok@O+X!ovlG*j5Za_*d4D00nwI(h&PLI$#nKx~ z!Vb7>%du5`Atkvj7Q43{t2M`cr68XMLSTkMqp5Ek1_f;)Js|>sO&LB3nQ424t>>-O z+PDpvDMn6nPrX)wO{I*THoYudse#@OaWoT)c4FST$OwKtWH>>IQ)|QR$GJ* z2|g*1o`Qiwum>qrd)cH;KbwADnN4Y{Ee<-d#97fk;Cy*5nDz!hrPGiax^kDM2!ZDE zr+oAD+wZ=6bg${|k419j=GU9wDN20*v!dB$xO6{wV)6~bm`%N#A;%td_F&-t9SPnS9?pN08Q zLYq6Kh7+=N;S>_)vLITsq(vLb+kH6W&asrpdG<=}m7tE6{-?Ko{_N?aKi`GO5EB9$v;rus4Ea!P)vH<=85|N33iFFWzvX+YVK;LvJa zl(b2&6i{g^Rb_h=X7&~k)^QU>;Q{>vAB9~@EVT<2m40_HzWMW`;eV&T_U9KQR&uK4 z)E0}>wbQ_)2gh=JCCwvG_81X%VkvI&2XVT(B6O zxfMT({sm#Mux@)h=DJfLzODF}t?N{aW?s+>Y21U7(|hmmdJqzH;t;OkDI^LYFnZW+8DooV!;<{A9<`T>nO2zEf-6PkR?$29u9Hv` z_T&k8zieB&ghrJfmDzT?ZRk0n$bb`?7a%p;iY;JKG6>spu!RHl!ri7Q603K`P$g>^ z!PKY(DrW{?re&kXY`g!;oXtG`>$g=z60Q~*abnqGWVON@u_7$WHphC#L$Lv&;fQ>o zKZX+DTqsDK0w%=`HT@kIR7<;^QH1j7PoLNqoX05r^b4j|Iiy9bbhX=qrY8UmFw@galLv{j#};=MRfN_+?jqj27HNhY1$Kt}oeTZ9S zK6&=&+4B##cje~YU4mIg*0e5ZQv?*|*~2cL*^hefXPUv$+55 zK@f1;1Vsoq9A$2zX_p%^PF;#kVl#?$Yu`ltaG~d1(okc%iWF(Uu%f~Mvmq^@Sglz_#@xF|A>O8+Yl&8$@8Bg5im+JjaiEtcp#pc-S)4ZE8{3nRXg;|r5D(N!7CvbwPWF&sD|GYa zus!<7Y41Oj@L3j?tn-&d`01lZPage&vOW2KfA_ch3TnUo@Y~z(U%r3v!_TkY+#fovL$x?Oe!0uf`O?90gycOEfBC3{>$+^~H%Vw8%;ZFL)vEu(io zd+vU6J}7Y)NOKtn(x}CAUAc}MZpU1s zD3bOTd7*-3E~i)antn9&Kqu~YM| zOF|ak+=eH=?W*B;!x%D}=ogB4#p{PX1SlZ#0lm)JrQ~SN= zdw458_qRLhb62;@FE4)iUjKaYg*WIQpIo45rkrFZ-t{E}#HfoqW>>XDY&ME?o6?4+ z@zPX{ZY)|qcn(dDqH3c@q*JP;q5YOG`G|EE!$VG0B%(I%P!sw{PAkhtEw}SHCami) zbI0ya!CaVo&Rz*WCC)JI&?xPWR#$PlVQA7k@T*V40Td8t{TqV4ir1!`*<#A4W!#m%P>GUW!;0G#O)MhE33`R5Zu&jxJADRd&O+NYy+UdC|#0#txruypk# zMZpF=HRV@WF1VIYO}f?(*8?-QoCalM7x4nML_JiMXFU<4;1H+EH6gu#V~_stbeFHI z=FOtMsZ`;JTrqQmNk8po6~7d7DQb%}pDQP8AIGZb5`<~A0$Z?c>lp`C?NFsL+bohK z`-DBZ=0US-+fsrHO8<0=Kooo(gdl&RLhjxCaY;T4$P1B&b+SmD8m%xFQq~wBf;k$2 zp02XakkZ)eIPjDe1d5-uWHrbF6hO!yU%XOQK%W1zq|&Z-)SDjc_+HydT7}J ze(7n|xVf&q9`%)iA5o;+S55kcoR-CDM2pBxb}@bSaYy3d)bP%B7w@_n`|}#YPQMU^ zW*ganLb-d72!8qL=9gdo;Ug+~1Q)m1GnZeNj|h?$NV;<#ez+Y59^F;TsNG>tQN2L7 zOPfYwe}-)+9fbM3L~2C^i}uovYJ#SUGR^JYlRf>IQUAe%anLZX2Cr4SP!V7k_Q>AE zbNkz#~yRq=TWoA zUI8g)6Apn$Zy*{w=7qM2^;`p~*>Ui`+SSv!sT z#lxBCNvwja0?X7?_F9*#0GDKiNuv;*cvvbY9kPm)N`w|qPO`11?ov;b**IvqXiaIx zhg-Oz7GI%6cP7uzA&pJUD`g=(FWQrxMPl14#|k~#Lt7y(IfuX>(E_QY>5*iz0*Yfs zf{5*#k?Bw*&)YJtt}|S-l|{|v(R0vHvvPW@?OZ2rVEj1dHcpBzcI78~;H$1D*!L|s z{Dlu?F}tqkFCmr~H_I{Oy7O7I8oUjFd+*Dv0EW;5KyFUoCI*%6@{+7A`!My=;&a7XEJ7q`}~ zJufG3IwvbaN^YB6*|uX(r7d+Xc|P}~ESnbL#KeuZqqAod?pJO~2tKWTyZ5lqzkJy7 z+w0eQ)Hh;apDngpEBh=Y>-NoYl&S|3kf>P%9T70mckWVnNK+iAz?{3_3pFi*SOk>j zkQH54decvLR6V9(kxQaTVncf?JggR!!ew6XJ>j!2pV0j0TXDue9nG-s0>)iy1bn@1 zN(Sd{c1|*ltwkxZo5~3iUF1teKSx}zx*E!K_1L;o|KY6BaV@v^T6SE<1oGFt@KeXS zZChQ;CdSwIv8;M>EWo=*r^M>t_s+M3yqq!IdzmMHSQ|I5xF-6H*|vQnNW0bG+rBHO z>_mWG5rx-qUl$1!$(%w)wV^t`VOqxyWd~`_!eQ_Pr`fxSwX4W?i`4lXi(9i zYk&3gY`Bo%|8S2sR!?jDcJDbJ{qZ^0n;+f_(h7q8{JXwO*#xNj;?;})x_N*1md87% zlz*<+014~?nSJ+%5~a4U=DxDZf(C{exE%OKi2`FFY#yhb|^Rt zDbWCCK$*WweN+M1B*1AEWxG&Stjx3m{E`1OK(Thec9gM@_|R_C3aO753m7nqw$OQ!6wU`Y)rFo0`2`#|^ zCO#ApJU(udXxF|6Eyfl`7lB!d$aAM}UXXiU72+?sJBJ?(M$!k3e~ZDaFH9kcWiG3L z%AA6pS8&{Kd+y2WxzeJI>suTe0Dj6UEYoMvqenMj8VB}Rw~ZTzXpaIYjUl28g7iUb zlDq0-TQA=;y}l?M>PGPprc)L}EMg#Z#evdo`L7c2Znn(nTLFZ7O5&QY+Jl9Iei4eH z&z;uVxRf&);eRSd_Rsm^@wX4Z`$_}p{QKMYH?QCS{M)++FJ9fe|Lsmg6Aj9Td|vdV~m&2}!ht{)garc=?9v!A`9 z;Zt{QdOy;%*En9! zt5+`{yj7TZrwRHvLirNU4Z%=uXW}JPCeVc`mJuG~*2E|w?@PkguF-JdQkq4fj=SIZ z{44q$|NSRLV1=O{{-TRLx2ua@!RA93eb;;;TexH1?94uPLm*O|J+!(e?UR&X6}Dor&Z)I%GVTP{IJ zfop1>4wQS2rP22x)GGLK>R+^`5Ixh79%Axk)94Pmp6)*4r58*0%4cDs-+sDz`vV7u zAD-@uhFB1n_-r*}b~;wy2lyinYGduddlwBR-%@su9_n}^O8r&#?04|I9*1487=x;G}KB-bh=Oz*Dg2Z{oVk4 zZ9o4Xzumn1`Bwb%52ZAMC%&U0F@}QwqS;auRt#3KH9i{GPw(2SytHdcbJ~7vUOU|% z-S_EOsJIh=F4qZvJ?47@@s-K=w;NFof}9}Wmy1eyrXT?)aDpC2K>A7nhO=(YC9eM9 zJ-GwFI!}w+f_;)%{W(wBX=S@p#ArW-wlrnh)}J5d;gj#aGB8)juuipH6%>V{tWux5G@R%!*hbWhB{br% zmX$!W6$b26G!yK<@+_$+l z`pjc@L+@`Cuq#56!VrsC&iIsjAAk4s@zamfq2>AWjn?G*J8}QzuXotTzR&=*Y5}f- zCWjcoCVW8CcEzI&UqxgCqwN}sS)_8k6jA4_4Z6;*T{4RisGjV6_Yt0aWuLa6e|s%_ z^3wwm&-X$CHi0@jZCUURiQZqFHUS_4>RP8=8W3vc*&zsM~x=Bwf zE;(~3h@lO27<;ar!zXBoOy#4XTAK>HO@U7HpSXXV7?NDYGM#Dl? zbQw9Pp@;%M6=%NI>8gY!*l7GNrZkW`K2lBCp$j_HFx;9gj#u?KhIYAtr4{r$on217 z;Bnl0%&&kr^V8cmzyALA4>6Vp)k2uf!j2Z8Jn#>|HfA(uHq=LjBWhytt_p{FtA1S; zL>sn=ZVxn2S3^kM?TGVoZViyeSw(t za;~c$=v?;Rlnney$6z?c0{7%E#a)Z5!9F#2U<6+GOZ$9vE{ucRe5`d-#G+|L^NzU1 zvKPPX`lOY14NLk?^@wT zG(iM`R-Gyq;Pb37B!c2FY}PpTWu6qq5!n`3hv?q>?ytnY!?%kjQGRHkNqL#ajG&51^VzPm$qS{6jm>cpqi*M{z&+(q6{>ePo3`B> zhKFC7mU|rKD}}RZq4zcI!VRLZ%aSjpULIoK^kgn(LnF{^T+^cj3hgf2z0LVH?RM2> z6vXRs7IWCInh5MAW+i{HQlxDC-Xne`a{qtc{QUaeo7W%3KJQ60>d6Fr$sy)8tt;Fi zL8=JklroP#QbQ{5E}KC;I^MFGoqEt)HWQa#aaDq)@1Oj{ZXfE=*VH08+WRV~kD_qt za?=)!*cA=pQdhm{oJ1`Y+-qBqD?WE)Ph{PU7u#O@**9Az3S12uL8&6>H!w+1pxOhq zYH@L<+Ide3k2Z$+nC+PJS%tHmGiGvnUH$NGjyu8Z@ssCY$v_{>?7pJ?RTfsb(Z)g% zxg&)uPO_%Uf>-OX`u(II)X%-wYcKecglJ=I3ZiBaMOqwXMt2|KYb>?AxOx5C`!6G@ zMg~{mu=S5q>b3Gc#?e}UV<0p~w}yD8mVOsC-!xF|Otwz+!JR^kbG|G0a((|-*46p* zi<|dfpTgUCetb2aD|fWE#82`3xTb5r8_%(~{X;w#qN<%hA>89W$8#bXeUS-oG~zkU zii$JK9`BFfS7O*53EX?>*+kOElK3_eoz_Cqh=>REg_7Z&-rXpy>ojP7w=+TrY$M^) zY3${+ejJLxr(PprJ~bcbj+I76?`qGr_q!WJ&-RrK(onx>7_=eIw}$@NvkFW@Jo-v zFO8bQP*AZ8p`v9Vo}&eD_p6_N4WNIRo)_E&RI(MgRSC&xe0|^ZxDc zxc2?}=Iz^;559l%{`c=+zI}c3S#U=XYAe*}T-piMS^SWN6!fKKhd~t1m3uPi;c=K~ z#6ge6+c2hi+34cd>Ze(dZgB6kAm*3#4h=9*ABOT$95fY& ztrdmVw%V-OUr(pZp3gLOWD4#r~>P*}wigxf?)nr(P~rb6*5R_^P3 zhG|>|zIGA@m_X6(*WZg{OzO0Z$XvY6AI zoC2rf(eS|r$>gbEle=u2UuS)IwU^w?$W$#HxW13kh5(o#$GEIR=SyLT#uU3wq7^6( z(1#|35@SRso95bT70$E47?ldmepOT+RtsUH^G6f2OuahsC$xp}1==w|YU@@n%{cKh z{kLL{(^nB@ZTN&rVy}l{ZrLXB4HFb!HKiWMo;4f+tXE>J42hMmMRXD3sx#^TV z4W)`E)YO8umnLQ2P@QhkfdloJrxm0THg%|1igu>b1;W;2(=v1^Atu-+7gjHltX1Gc zOL?b^1FF{oiZY>zZJCnvBPfFvv*fm!|Dk2Iw9u441trWfT=Cvs%~2T_BfE0`8p;uJ zOWTt0^i}Xhrlkn~?t(k{n9lB$nXPtOGhyGlL)+4>l}0LUNxVreV|r6f*|_G}mU5|4 znz0D8ic90mqr6C_*O(gxQEgQj`K69kpp(Py%*{;tEsy}z+j8f_nH)t{aUr;X#6*V4j8#l`|Z8dX&MS*IQ;E(C}XdE<3yYWA$^#o5m>n( zESY%{^sl8Y)7i|HPHUW&mO*ZQ1^X`88al59fKQ&V(!n{>fdT~XVhSCpl{A^EwZ0#e znkivehvOzSZjI1Y7k+aYiN3n4N2`ZL(5xvspbeG-nlQ&c=oX{$;Zlw83Cjko1%_Zxv9CwVj3RcyA_3qqs+&Kva3+&)Gh;{ zY!=SNwEmZH#NrBl&V|NPC?h_U*U6?L{;F9(8eCJ_r=y?xT;V^m(SZ+7&*-Rzs_)h2 zciz>4^p!)RSfwafSi?ubw6?T)nNI|=ri zOLd{+=$zkt6srrJYD}z*%7)ee=JTsZjXdw=C_a}{Be1Tx?UbN#rtO*5`p6?G&-cR@ zF+iM%dK$CBlHx+eWTjzei49Fb%e|euKTc(1vlUY4F8Dl^mCjCIcBG8fB8+Mj4Uf5R zlvnfhhaP5|yP_=pFFQ(5>n!AD=4r-c#9+eKH(XQ?oKU&RCI`|DeDB@Axhg9u*AAWoP;_`mJm7)IFBFCBMygAMQFj{-Tz{{U5(kQX+iz;_YQv{ORD< z4|^(Hui5rEEQms3D$Lqc^Hu-6h=TAa3;5XvjmMZPLi+c<^0UhKpWoOY2{qJI#deZF zu=0FUL_zFe<}5fWk78|}>7WnPMfW>0g^!;;z10%mU;O$?aE{}hua;eZK)svimw0&up%s_A`SrsxZ|nT@+yAyC zikq}ML?m%}C(tm&W9mkesfHTuEgkdq}T0~2n7g$~?^}=F(!$;U~SXK@}hZ%8|hQ5^Y zd=-LjdU_?Yu06yN4L{m4H`$a5*?SN9?d?N;Sv>rY9#ZL)1`Hw0Tu=oPxU-1k3j!!_ zL}BgLxPcVSQ*sBmi1{vO)moS|BjRB*Gw=qu$TMj?3H4Ew~JGv8< z{VaeGqW-MidpwRW+`ss6PJlgL+PWih0HC=IGnTN(3^%kbXY0M75n)MwNy<3cp3BnjJkql#PcCiOyDsA&Ddy$BN9$e^M_K*6b3@p%$i#lEf50JGcZ{t!I~g5vZ${^kxAg?0RNzlCkRLfx1gvHxZYXMs2p}^5evW#c%V?D{xTwj z!)$)~q?dKg*zFzW^M7^5obQ6sd%p@o#u9sPOKes!0pSRA0!2PWO0gF|FpDRBXj+v^ z@OY;Jea3ZWcTtmPX)xD3i^Yqqt^%*9hAbZ0T+zWOrhSM^>@80UWiw6SXLK6n`t zAsmEvTDiUsZ*fEy3gsOFXNGb}w9qF4PuH3?I3{*zF%=L<9%^)OOUI>NAg(T02Nrz@ z3Y6ZILVp;AC_VXAJR=0JG+`H$vGPu1%h(s#=+5g#IVQp1wH&L%hFwc%vbz{<*{Glq zp*wN4ha$xqJNl?+v`6#Xe!2TWhZcjGxwqO8PHRPgtnGFeEmJ1#4v#V;Hd5J;8v@$a zV6cdVc}Fv>i1X;@@xf4DunV`|3oYED_U^2fG#{MXt9U4Wty-OjsD6UoLhiSfBE2|e zLnT~-K+nnUPEV+9xb{U8i!~Pp$%Gq=CDA7It07`!1&{kQDk_CanY*=Kz@aUdD1Beu zQv9emEGfC#(KZxs>1ssJ$VOxLgc8*(1emyy)-Fn&F5OQyHMdLmI$D4FuP$ASIJY_B zJdO!9Sl9{=Afb!41ZuZzIqDQ$6$`^xOVGdhGR0VwEtZ{He^frrY!G)AS~-S0gtas! z!d-P(=U0uvylY_DrS$m^vs!!Uw@0ldvDZUEpf^R-8bm{=$s_g+n=!1?V~F@3+E#+M zid1&)m)}Vf=5idV$5i(gbAb~iT3`gz@;a2vJ9=R|wifj=|CyABGe>I(sp#bbN6K1` z5YWvzQ-ox&2B4inA1SC(N~R}U+*{wQzY3-~gz_?q7olkbnus(82m)(P0cL^C%O_L8 zwRcUMK<81Xa}duqu5=W^G~g?`9-|5z+Ie+OYhc+STh7J()Eia}DekrU>8atYFuYad zF1-jsb-FEt(h#45Fq;{JnJxw{kbv>MRmzuP8AdGXt1F1F9Eb49(mN!M&0_DCk z2R*A+xN*uUb=l`8w%2N((C|_E*!7l5finRuE>P7m<~a-51vgMbRSd>(6@MO%B=zB; zO$xsHm7Ni?(&w!C#1|+A`XM=eqC}Rai#2F(-CNMlZv~_O#RV-Uo2q!~zF>HZevz<~ z&{A{SaTM(}E-KLh3wyO3n`?FxGQV2TNl>hp)XQ}uarx}5C264sG&qi>Dbp!h*h^_o z6kg=ma*SyfL3L9}h-LAJPZT_WMF+H$>5s(&ER34*Ak<>jVmkwUbIKSv$!J+!$CW;N z4boC^7sZRL@3pPU7HGnGY+N!X>vg4!*(bc)1;VF*us617UA!}LSCDIqRgY0BY7z#Y zoH=frW(?~H#hetlNl;drl!nov$|o0+XH%-z;|e$TA(|A{MJuizTZN1HeT39P1_x$= z&vmjbof^|&FKZKwluX@)wc3K_3r0GE-W1Xlk7bCmV~%#;k%)_vwyK7&iIP=etQO6_ z73|J?)JTq)hyKDL2zfdhoE3-kIQn+MP-Ca9BH)&0;K3n=vFaVE-Nkm$TZLVt*svEQ zMMFj2z-zay-gE9Y2>~PxmPJ)d0SB3_19u>Inq6T`o7!unsYJ2IWq4`^)*|}6W1ZH@ zDSh6Tz5d1&L;A;hTd z8>tiF!opFg#ExIHg0tcHb|5TkY|JJv1eqjxd?D;g*eJ4SlH#TS2$31@T3t{92;lcY&Oi+O;Wawu)i&tqZ)aA{g^- zH}CZNO&HR0*0f>i9$lK`pyLRe$ z!uS>w)|@L!I{Nbsp-4+I)_T#(Pgu{Ry54qYaJUisTkSek4PA4Fig~l3A*gJwuz3`$ zgJAY?AKr`b??t>(5GPs00Jh>vo4k@3jgrHXuQT$B;z}I97ZGlA;0v7<29*%@fQmLt zrlb2&@>JKh4FTM0%e{bj-QPd_=3hpq3W?&lMdM~E_7q7Hh(Sa_>qBo-{R)uLFlvwl ztnvKNYqw^@G|+94B;Tt5qu&gI_KlEjpmf z6o;Z5zOF)7B513PR2=(+`8JXp%H}Auv%hfogjH(4Y0D^tE15g(IAQITUMq#zUHjiJ z=F(3ElJx2vECLO(v6n2=eIiP&96OD!xgS9=SxdzT_u-^Um&!`#qjG^hLHVMvJ-Sd8 z?l}tW7!7GRW>mN}m3eSJx1zmcW;B~RZfs_&f)9ve>w!6icKQVCSA4z8v23s9Zif`?5yL`K*isiiE1MWS;B;uBoWbW%*r#IT=&Ld)j)Bw(S!F08C`IfIy+e@> zhn}e*U{7U&wu>Kjt~|BWmALhgnQk;~kIuDKTSVc-meEb`$x-96Av?A%Qwvpyg#{T! zuZNhQrcB9C@GUlhJPh?xieB%m9}V27H^DR^(4G2cFN)vxOhk$u4@65BCu(ZqSBRS> zBRu8jTaOn#qB2bnW}{Mur{ zqn?Mjk;sZrUb->?y_s4rHX#Y!$;~9{fIp3hT*+Di8>sO>&r;*R>se0hEkq5pwmju5 zj^3ycxoOf1B0#q)4DIX#ihf_^ak4-P!c?kSuF*fZPSf4VcM**=QKNSK+kgm_1 zNbUNqE~GJuy9zdlT6c^s^k~O&+F`m4!`ZO(aU!A}H=})v{BWT~v+5_Pa}~YbMKO-W zh>OK}rCklJ9~w3nG}eka53AQ$IQMBKAiI_{+C#9Sqa6}84KM~Sx54yLe=(n2v14_) zUbmY?)KeQ>gWwe!B7#U$Fsp=igm!EO%OiKby0^dH3SgtCyJlyngY+t+40+ z@!QRhZ(n{c;ZgqHYThm85DMh_4y~CTrNgk%3a%{?bL0+%K?+=53%CpV1+j5jvy_<> z*-7z^0mTr)WZYo!S*>HHCi>Luu2iPvO>}b+_1Y(K1nohs>}B7yCoQ(&IE`p@+EtwE3Eymn(298i!r7J;I! zHxe4?i=g9hOV+FV)l5NwR=8e3!fTgtTV}2jr+pVKINaXm#cTLm4B`RY&~`2QEHRSqypSW%-%3n2#Sbk|4x}hM1_vMT(Z0LR^VkmV%dy|nQL(& zbUU-(L1GARFwu&$W?FUx1(Zz2g4Ld&eda{O?Cu=eAGU+*X|cL6tw_L*3xNipDG4Zh z&w&~PB_0Z)HpO9!1X{JCNc!s{j&>K&R{|9?_c#|cF+#11Fs&i$iw*jzE?AC)T*C-L zd7=xF9|E>JUR`cesMR($%21of;GoyZ#ckxHQ2S5$qKQ=)mLnajq!iKMHA%!SSZ<$F zGumn~i8^+B9#c|6a$1v6d6m)psiohv@K(V>>O%6W z{g4D&!yutyN`(zY=5UlEZe)&vQrn`L+LVj+R~8PIwrP)bVS!p3H;&8|XzX#KQW!8| z$oSpcdL)eEMV_??haq}%%Q$K4I(J=htoj>{B6bbnrE{d8!dhn0tYtx>%?P7yt&NSC zj91{aTpQKF2Q=gEOd9s9!nkOK1#!9kQ$OQvq<;Lr5UG!?Xe7121g8o%+qp+-T-oW_ zCh(*vI70a$TI@nGRY>TC7x8$f85JhhQcfE_rn|73*T&<(L}_KMRe4)nQnQk>DOE@; zYi!oBPGQa$WQvyuhO(oLVrt>)<*T!nKbBf3F>quj^i!=pEEPN6tdjzG?Pq|}_8`t7 z#8(MSqHH7SHxd^1W!uD0 zg)#9{T(lrgp~jxK5Hg2RN-vRX)3z0qI(j*qs8MW?AE!LDD0FJ8SS2D-tD@r8#8k^( zcR#OqlA$*;^vxoHM=wzq@krSXqnYn?n zcFtfmdOIq7`X4T-6ga89{9HnDMW081TI&7J#Ge(!y)L=#ig#LKBIqD;gDG`73%@&W zjtjb(yYqlHvr+mH)}Kz%{H1lJY^}Pe+=CP`56X+h;eFVzZEazbBC5wvHCR0C?v*Cv^qVgM&as)Oy~Rb&Nv# z9#?FrZdPd3iz{wzPQlc0c&jZZ_Mq^TZ%Z1B@S1S9R`gg!Z1<|okKQrs!`MyuvWTS& z8vn^0-r2S_s{ye77sl(6-5xZ;N+{dA4I&jszkYK z^euJ!Cd^G7v?zLpb;eCBaizad?Hl!Q$dhXsX!P`xyYTO(b-%ii&`=#(_SzV;FtDa@ zG|f!ao1S6gVn9UQi02*DmoCfc6at;{EY8{;7Me(pqTnO6hVrF3@lXsL$y`)K zGlV}|9vsOl$43!*;SbH{HUSu|AmnylPS~h}ZORb=L#0bjL~B_beq?Q$X7IKZ-zwTy zc8gQ8vsWTkK#j8#Gz{}9GQU%05Cr(cu~6AasjVxKxHD?^)r zrU3E(4ecmz5@(!3RgDzwlutzK(d`r8aLY8fe56-n5xAz7ShMf63XMkgstj-z;b;K^ z1(uMMN(3{M__XxwWSo+Nf{M^<*2WOPQ68w~RF>irtT@J(gKKpEwTSe5`^ELjVkTvW z%K-0cI7x~l=?JJvm6ZKfsPWxOQ8cRBzaFbE3NZH?H9dWD_@?H|ZZObRpaiUmpVJVo z&l`eL>AKd+pcO%s-DNLK7{$Q^tyf#K*WjE1)nCP?6Y9qOy+K8k1Iw*f#5cn!LL~^v zRTV3+Ohe%RoS{4-$*HV}ExH9$rCo!fV0#YHXHjOcZ7(b#Xga*fS=l>Ixo~+Z@~ZVO z)*4C@z@XBe9u)*AT*?p$ZUgqn0$S&M*|Xu+ac&y*umGvou1$ftie_h526P-nbC0e` z>oW|i2$l+s4Z2cYoWdwO_Tc%{xW|;Zw7P`&uv{O#ScS*ttlh?kEcN8OC*M4~%C^w* z`{ho#>ZfDY&kkiDD_8GUx86C{5Vzq=kflYsdJ%h|?Ns4&;S7{RY;kVV&fyi$yBJax z0~Rcu@cyZU4$Yu;v{N!qe%sSF0>yt$bZ^BoHBm%F{UYVrU`cULMSW^lw4M&Ck3p+k zRXL7nImiiJ!=Q+*pD;KpXf-(ujuirIpYX1^T!DwsWwN<9zjz;ri=QRou2H&5rT&*k z$$2rvc_0Uxj;wjvo>+AmVO1TZ4vh*UY<1s+SLjH#S2O*wkR112y6>E zgR4))ZCdDpL&?H)C*odC;TO(ZmG%@+C*oQd)FT*N=_#5pt5+V>LyRaSrybS@7f>T2 zCBDyB^Sy|lSV6yhA@(l@#SGf*q!% zb}j=CO)+l2XeZ9pCsOn|oq|B3c~QxroLnxQBEH(pS)onAPcT?;c-`p*O4_E1tcoIb zR&uTTWG>NuB*jl5p`ws5Qz@9tor`c!y2^0`l1$~YHd7ZVu!-;)ep--Mg90Zn% zNLC5dWh*!tJ(hBj!jHm!7!_%krHWQw`Wj)KvYWb7Ts>yHq&TCmvM+m^1?w?rUc2ym=;y8+LywmLgfK|L1;OsV23kyz zB;zF8V$$HX3}^4x;kC@ylLig_mcMFI4JQ?;Ce3fwh+-Ok31?v~Dh z7^cQrS(d9^yWSEmy{|xpt(vA@C(+k}^{t|mkm*kM(9#oKJBk$@m+N@=+$u$Yc#b;G zoN%%)N)SRUmacP0zeHQ=k*ZmU)0gA1?a?4szAhaTPf^8!=h}=Ki4`kGLGIosu8Rznb!jh4qvn(2BYYriLLI}DxSlS} z{c1Q7t`;t$08m-?oUmrl5V(t!VP9w3nF>S;;}|5YibJx{ig(iytmG)*Ft{2Q5D?x8 zN~n89aDb8yqu{+N=h4E}7B4;-X|KbTnv(#F)W5m5^@OrCTJMD#^}6*<$?}-@avgH5 zXdu#KheB>&J3Gp9SIUhyzp`sSdPW5spUg(ZxbxgmhF?aqrj4hcIeZB@~ahv zNp;rpD-~_A>`$%KJ!l@iBfp4UaMOAifn0CavIM32)eE}mkrxf|aql%}#3kfPK zbhHl)V$c%-Q&Qk8xV^5*NEE*+9kb>go^uiH>p(@KAQU=Hfi}C~{FJwV8!u%OLYe}U znyoRO7Ye!{VDS=bg>bVJvNt|+Er~1zuA3gNheCEF&)Z;^`yOL zckN`r=(BD0SHYd7FP9&2OW#EGnVH-Ko6S_UP^iC-t zH>qUNM= z3~CwdMvJX}&I?b!y9^Khms0(6QhoA>3y%4uUV0G*+Ju@9f)ge=wM8va?d6S7-tuL9 zKLpQ&nWn4Z+fvf3e`o<}T^u`S?U3HXjlN>w;$~b_Ff)o+{cTQrxw}cQ9*IX!nKkab zJDtgb?V5YnIY5nCe;FA>r$<3$CnBFJJet=jyP5h*N5zQ3Ggf=bN7_psVOs&TQ^2|@ zuWq|SxsRW;#&1XN+5cZgPT_UWHn$A}Mw^gtJ7$dOJ6!oF~zl+kukrwfD1(;1)P+y!TQGFNDX{0?pqghGK$chIIW?u>=nV6$I+CM2E z-VWO3iTCi)7X=^x>}VBH2}Lk!RrH3I8|`^tz2l_Ji5KAJdHFjETv1sw(5~N`7xGfb zj^zUj4D3CzK&5c!-QsovXmRbK+m`ed?3{8=oqQq_|mTK}&t+K|Q?P#WmY- zsh_K|DpC))E^47I$K}ynE@|jVyL%JjSH>L5S8fQ{pWC5OU~#ESB0fqi%S?ULye?tZ zr=P3vOxwk9=Z$?fi3)bn9t-|sA<$CpCM zzwiFbm2Q4`|MK*9^eeWE-IK(U?eX*#> z2=7gbN@5%y509%P=h*35LRZm2w|1c=q{P^;Lt&NHe67VmD>OyoF47g{v?2x6DVudg ziPQ~lxDr>R)Qfp>vlYuF;a5CYG#-^xRSmjQHacbw9|s{Cia%)XF=n>nKH?{exsBqQ zQ}JT|G(eBOeRv&ByngfgYd3i1x8Hubd3E#P&E@tgX7_1MGSVh%u_+5!R`zZ>TiqJn zbK)O*P$9UwoCG&L=kCH;w{O*swR+?mpX-hktgszpnq@ z|M#DMeg5D-)>m)->*a3`e)#Rhe|>s7#hU^V*m@9F5Z2Xy`&=mO*z`?#4uK+DYIn~W z&^3#!X}2hOPR0TpH$N+XA^FEm)9EAKmcm{<}1R8rEbZL5NPSm#ODUw=!< z-iUb8^h#koeyI&t7i}8osxN&O8eJ_{f_m>bgqBm7^tZ*q1kLXb!R6)i>oc^$zkm7e z2d&hf-oAM8`oU-EYlO+SUc11pc%A5AS+5&|ff(69ugph!g`+=ll-8=q_rBordH(Jn zeSxS>MPB^KQmMcKu{#3Q}vQAW@Ur{18QsnA9F97k(DYk41(G;dtuTr;2*9KqbZ zE%#>oHYYv(<{v#u#E24e2u+aEh9(ZRI&BIeha6(qg!L6E&wbb4ixz6&)`D%$G5NYU zoI|@9pfJ7?aMt8edcSL1QDZ#ZDvpINf`Er#No#^aeyd?JZb1@BJQ>Z^j*wSqW1#6L z{+JzdVUD855D96rQ=Z->9Fpq6|phjH?NJEkX$zOvLrC#nEUP z5Vu^S#oe=DOuI+rF)?f<&u#3-oJDqVbx31DDNk@e(M{huNufSlf6GmFU|T-ZX&^W* zI%=cv3C4-y|AN@UE6UbL4rw6i{tSK|`QQk!>b~j_b^SoI>^R z;F;Ke%xN2K8Tg;VjRmELoEJDl&4HMmRw3yxJ@qPZ?WnTOTx9D48iF-n)uYddD)r&W8f4=$t z$Itc9T2yG_V2xz=rEnx^FeTDkb}@euO{!$Y`ZeTMV^=9X#PaQ!ve4M3;F=3p?t*RW zT=6KbA=8#9b|9X9(ubM?so!O5#k7$1J16CR{bm+)sgbkRjRu)UJH6*(7#*5}^Rmlg z&gI+Q*+gS;zN*;_HaB>(i)7@KYjA1on*fX09Yu%Z5E;&P+m5p6Z+L@n-rjnf%5`Vk z4;Jh~#jWV#zOB_%aS%;NRAAVe`c!FH03&%L*TQQ3|su@=il( zxL%FbK_5`-p)i1?5keWto<&h@D~6*2F)rkRv}KpBkgyc)rYkx{Xf4r1sij`T1xxGt z<#HU0h=J)QBkE-IKTW^Hsv_-{i}-Fr=K_awyuAoQ(?Mdm(F;^DMe%K|RA=W&-*)hA zGX%0ZTkhNyFs4@?fAr*^9zqS@ks$eqXV7L=kT4^M=VK9Dr3-b~Cg7_OmCHc~u>uKY z1`~%1-Q~DgcuE;-b(A<#Om@;AO~WJiy&~(Sn@#Ub4{B4$i&Rf_sux#fN98pow4k*) zZ;N6dmvM*SB9$;2B_-KDMqo+mK`6$ZxbRgR5S1hs9wsSIru&QQ@&D@LvH+-o=8#$Z`r3 zez`Zae_m|!PY$hCh`so6yExk+q|)$dT{Q1Fy@l{Tf#PqUeRq3N z_!sgt$D7~3$2aOjy#C?MFTeaj5PEC2=|MCFYs9-($W3sPHrpQdMUeS$m-0chNJbxC zd0!bw7)&&665v$wjuyN#D_mniuFa3ApVrOZ=h~d%wj@`!Ea5XF8_v$vMpm%e`O-Zg zwJ7YmROeEQb7hBBLVBNzv1Z~ud@I#F2#pmXhO+lck@aK%d`$fyfyhMav01g3r7WeN~f`B z)8-Qnty))oGWBkdLtmDDO2FR0Q$DoT+AXOG0H}zWa?=pZZtF{^9>NQKzTj zUw7fzSs7|gUCCq?M;|C7K7G?*Bn+#B5hbtH>Hq+Ar9E zP*`gc6-3+VjkZrd>~aj@J7JSEUv?cLs7|?fX_ex4vGoNL-mqSK2VE3uoHr_3>0a;0 zpzIW*#ZxK53E_S*U6;kd*QV<+F^Zsj&|WUWg$xoFk@_4TN24vooKcu05yFlm=SVkH z{zM(0Kl|=>KmPjg8DH@In>Vj-kEiZ*C2rKcY}`AD(Gj^#dRokU>;M5Rb>&SVl+q%;FHt*RuvD-c zV{Yo)?Alyan!Wevtcp+8_FuT7{`&CgpS}_T%pyeDx~az9)#$GJyAi;fXi2P8+Aq1Fha=8ggonlSnqDY#f>?zr0^L0rJ;gOI z+$|Q1U*IR$`9z8MDhv6FK-@c#qhDX%ynAr-?)P7QegEc{oA-AT)sdALIJV)AIIpD& zR4U`oCagO`QK&Bz6y1-guUSEdlQ8{j3T`#>) ziZ2P5Jqkh#VgVJi-%Gb?;a)+nUIbC~d7MINEqlDqL+Xn^CgeIHCWYEyJ*XI7H3yZ# z{Pltn{=JQxI9F!*lyvwWOFGKr#jK2R;wUEVmb-&4_vMEeHP*IxfgP zAc~>L<_tRJdXb&wfAD_=UE89F=N5Luy3j%FG_cLgn2dPt-K3(FkVg76A1k?G{O>7W&&JgQdttbdfea|g;y86*P>jc#$OyaWRB4C6`> zfmTaEURzt&6BL%PUP7v9=rD`Og(~#vWhbYn;88S=XDc*u6h;13i~FGb?4(YTsYjsk z5^PmisXK99#6bJZ(6Bt~0aq%iPA-l1rjHkWM!Zr>QPbYokOg@!-;G)C4e!IRhKRo! z=R)zf2r36C=vg*ib}NofhGRY`K{~Doum_H+5F~5O^6QD7HLaqhT)*FUJ?Pxp%Kz;2 z?(%sWH-1>Z$IBj!GOA{NVt(->@z+f~LfMotQykK?4Oa{}>1jaCOKFvcLR7WrL{?&+ z(I+~Tzu7GM^8iIay1$(U<%8Q`W^reW15++_wPOvO?rIj>^)M5%#Cn7Z;|x@fC>v3v zzjH%`s+rzq-b)p9#fGzK3Gv(uxL^usH}1%2_mjd8z#g7QWwnPvu}x3d3>Kh<@|mj& zeWzqaQEQ_9_Fx!!t3u1uZdq*xySDYDpIZF3A}+0QPkN_CIDmrgqfFR-eWHlZzWwIW z?RCOOYWCvwf4zA1=GPYwetq-m_s^$kZ(sb6ZSQ}(sx`G}JjY~-6R2_qpc$989qD@f zNvyuGcAs(Lh}>*qj3e&arsHxrMU`Gr3mGdK7lX~GwZ#>SPWQZPYX;n>(f#tH&jO@c zw;D4pfp! zWMQp9gUUJ)X|;@7b@Pb;nifkLgQ6CpzBUeeolL=4Lwu+%l)lo#ijML;MOrXZTl7J5 zZcX_0I%ycF`cYYS3f<6>Q)a@Y9(vWT8ndvNTPGo@-o|p2%ne8NE~SU1Zl6TBtGEK>dY(=xumDB~K;du-f&)=coZIh$JUUN;h$Q z&}al44SFyWL#&_EM9^ei1%Yq|Y)Us<+_S*af*W8Ip2w-kV*Wf1#2{*SR365-QerMH zd~X>3^bYo~hXIMuUKL4#$0P&3bX=N=J_|jd=tS|j=4;1-k$I=b!D@c$6rvMl6T}s- ziWp9{Vz1LcBvce4qtJ=44Z?8ZnWI`xAVs2T%x-dxOV&fsX2%ja99Q3kK0*J0zubAb zM^7K#RtG#*Py#4XBQ1%0}KIngY{>`@+ZcQ7vg4k5e>x`jJ>}B)& z?oR!>(NV8mzVZiR)f5ltb5kTVItMxT3!E_q1sd;drU#JXpGa+IpffAe#h$WK zU`9!7jR=u&&KwPWGO^p^iZ?wPpSc~z`jdr{hNuik;p(R>1TT5bF=~7UqR&6=Lc?r8J{y2K# z1V0^in&=OT_{5M9y-Qs%RXnoNu&T(v&O43GqvsQexiBgPmbG$J(9NWL(;T{$&Ov#R zSJTHsEeaysl#XC#ID3mzx{egasx{JD6w4G2Kvn9jZi@=vHZKY;iEg)X?8-_lvX$bN<~~u% zYPns@%7KZd!^_OEQVn3k&`|8B0ttn@r=TF>)hv+JP{d})SO#$$eS8hpb`fvRe*|b8 z$D@OWtbnoK`jYlc1awIlA_>^7W69Xs z@3{DiBkkvQuE!RW;>_Aku7ygDMvo4uHc8*;#{ZABf9uxU##%LhcGMR~+uHkmNgURi6Ig3b zRJc)c?(5K6wsoL2x<9)9Waj#5Dm2_3pKgxFKNl)J_>-H%=EUsHLK5Vb9ZzQ(nP*Lk z1}Fw<$NjwTjmWqWa)B^(+D%rwDg_~2*oJa)P5V~);bxE<6!VKg^R96>I7godhorg- z8eSSSbagZTYu8JwTx5dE7)pDT`n5|H@06&u`Vk`3q7w26p5v+6Of3oRp@0Gm5W-V7 zsM^HZxsH>h0EJa7IqE@`gHpP=^vnX<+^Pe(l;TgHoKx6?{9obN$V~Tiki%f(@EA_Yn(j7IY~c&e%Wq272gG6T0`xz*M|?eO{HYpMNlVUzLmG` z2r2Z|nmN*J3YvzL$_W!TZFnP!g{7C*OK+G=Y?#JPjdpNrc15x?%zCwQwX%25`S@ke zc{J~ybLS$2K6-I-Ju2lbmy&v>i(G6Ddk&>Y{c1M}c{-(Sybdk>ud`hQo&Avh6Xl^f zH(kfnn}&g#NImB~ukI4`iEZa*G7pC;{3GVeL-vnZ!OtihctycOf&bihglxBDlfdIl z?)ntsJeTc67nw$!AX2057Q`<>WmsB&}v8u{P^t&GfI}{3ZC{-<2DoSaM@8E z006pq`yA`vckjM?^ZMr9y?1Y3|M>1b_(7;xX=h$`0b3NyM*Z+cH|a?p7-|q=Q^L^t zxt`Oyt_B_PZP(ko%H-IUQWm7=_1}n+w5{|fR=s7lJ)n-L___+o)`YsGD9$>q0eiA# z_!NlIaawV}bjR`8;HPbzqEM6Fi0}KSZ+BZ;@{8Js&%EQVbRr%qQj2Qm&O^3&J;I7E zrlMRIS-hYU*gp>ebz!u~O5e_jZgHD;j^r*nuy?y3j^)!uE{{2+Yu-h*=aN^BQuay% z8*EyGHgOU)*!QM4YkIGIpc1S>6%Z5dcEt`}u_O9?RCJ=F2=cXy5lz6tic$@_9(+z7 zmZKUi{&rJ96}UKNy_5*^ow1=Z_}R@5Z(n?3IP$r%na!DqHrSs<&}S(*?naz0WJRl3 zU4dGSf)6ou8>so}D7v4-SL#i*Iu!r(*KVFuw_;jB|1_dy%2ADl$RqRC+T|$0u^b0Y zdUR1_aY!NkRe(i{`lL}pZ=>|Otpa=cD2-NKPlM2tTd?(NZCU-KD3jJ+Og%bC2mfwo zUEC-kS7`Dn5GSz%R@Mm$*&aiIh|XX|`YK?Eb@l^JisVk#K1aU4A|}+3#!?tlCRCzS z7;z5k1EG%Or+VrcdOW)qwiQ?5s00juY>4wBSzPsH388?EL%whxjyBRrWEVXZD`#vp z0wt$(rFK|-&&k1csL7+fnF3nAQ4!yGPMnp)YxmO@d|Nwb8{y2GAqCM_Bl5WpI*xR^*<&A+t5)S$qiwO-Qzpe zNEsRgX9cp40}T_6tWDvtcc1Uk&)03Adp>WjWGpANUBppaB-tY%2(&0^I$w}O&Brbv zgR*83(A9e5xYqkA_b$>sV|HLJ+|g%rM+FY69|Cc!Fpd`KrH8cx<^&_2tsSr`6AEkW zM?yoLQ);1jbd~U9<0PP=Dr5$8GAO7jk!mjW?n4k<==QXu>dp2$Z+o9u^z!-5H_zXE z_KkNf-&kn>7o4EEE79cUIMgi4_bXLRK}=87E@r#5kS%RDoB;JnXjwnC3(RZLvO)Hx zT&oHHzA7=*viOefPFa?DS>sWhg8A`juzd9J_sabL`uh8?fBHY~egFE+i+lUcvmamH z{N?3~e|#|N-FIPogfuriinNCd7bF!)LIISvqeYGyjexdLu1Ol)x{6%STSWC-o41yx z8B(~ViLmCZ_|%a757^5`)M{pO)EQ9x%@{^>D!Vn@NW5zvoYx0@aKX_lJ$dxS?{=f) zo44aG@9%GZeDUs=$JvOQ2`?V#x?MaT4G4?e&^;<(PBks$r}y1<*=)APegctT#iVDCcb+$l(% zxJ!3agbk#2od^&qjw&C~gV(gqcO3RGsA^~GXEn!2M3t^lGmPjaudt6CRQ)&s55Ic& z_orL>8reJH54mpfC!HrHr>dW-$-^H;CGf36Mv>L1!D|D)w^|L3R8T7UiV z<+nF)UfjI8N2BDc50Hs|Yr#^X#?yGD78HAv#v%2>6~<{CDwCc*P`6>y#{p=iVR#GE zxr8DTqoCWh?AH&W=+Wb+j~{&23;4_nJtU8Ragh1!^~;w(zGaPlSVs!n9qsz{FbdyT zL@B@sa9+extsK`)57Z|kpxr;nCOo=-U;km%-u!U$;?-@C`$!&GvVVX1{MkQ#)wuQ_ z%I^xwp^`#`4-c^y5(GkY!awcyhDQK7GhOlpCz`BnA1H>ZG>FsWatQ=3Vlo+N zI_~@Ri@Ea=NKxnu7L3YfR4jhX)>zzB1gzJeuZfRjpaUoTLt(V6f`sdWF1i==potU2NNWheFy~3g zQom2#SQ)p`8%<~Vwmcv!#dE!C^k*B_^44RARGi2g$IMf~Es+$9aCIAJg#y6X4L53o zsRgb>FF--iU~qHrpoK1!GOd30{DyKIYS~J52=Z#Owo;HnJj}SKuLDXVW64!)9vW%e z1pRSsQMS_*o{Ju1yV@!u6$M91Sa!v(gVKMo;>lGEtDr+bjoI{+3 zL_dI@gKPQ+7YqC3Z^Tlw*3pJz!Mto{qGQ`03s)P^T}9Y-;vGyMQ$?nM?i60=&MNrg z(>VU()w7qkWiVgA{^qC8+${{J_h$k;Z$5E)-?wx`tpKb{=4ktls^O7SOjy^T`X0F- z$6QX4tot3Egg$P(?1cWhHrOIm8i(S0jWd0!tvKZ*ZKqIoYld?OqHCg-;^2GG@Axo@ z7l}XMs;ac&+?*ng>03;#4gZhSiSRjGp|1(Ooh|fnI*68br1B_~?Fw|uC86!abuI?s z$YB^IdcO*n1&nraRa?MYz9_F_n-gdV!W0ZIh`9pzt^TvIAXhWr8R*Ye*=sc}?T0V_ z)F?-;LWL2|beMREvhQWy_hG?RPm9fEP&`z?-mDdQp+GuC%l)-zouOMy(=o@w#+5sdPjYz%xBh1qg=n6prA4Y?a*b=E+ttNpmD*FOU4N`W zcitwwl3q z4Y8%Iu2~{az3QvWK9rK59BquEX}s9z2?@R59~c;$Lys{|I%pfz7a+=}{5R=2q}! zIi{HC5)!P5g@Rp&|5k}hqH}#Siw5Y#Kl+$2JTt_>HccujV>pIp{Ue%Y1Hw4Z5^H4V>oH-|A^@NWfHI2L$DsIQt}fuT3?;jAGUzq{B;q2TL*}&IFLc^)@vY1~ zoRf<-U=i96vFp|KXD+Uezexpu!qQ`m8k8k2J#mee3U zZbR)Y=&eil&fHnlpS?}J-IKorBfq`KYd!UiN6@}cg<`I-V42FT-zH+TIx(F{p`{gc zIF&~^*j4;WcAJ8Y2!qBuPj&zIpX$+{dn)Uq7Hne&bkr^z&Smy=v0S-Z`p;*Dxa>AW zDZ4+8Q_r%ct0G$;1Q@nhL^QN>^q`50Y#V74(<{wf^B$#e{Jgyk$%+4%oFI z6I+d<0{!2)E1w27iT3^}U>5H{kzo(}J8%5(Prb2nAeL?mYIsM~hH(j@XweE3>=J?( zsV>^F-CdD8TX$@B+1ydel-g$QERA6X`#X>H;7>ggxA-v_$fQLUux>3W{p}kUVy>NT zr#;wg)O?q&6|aF(^i9;G?@R&KD#wAvMH(~J`=NbjXj|`SzSXepYuJ`{=h^S%^k3C_ zX*BDbn-?#6(3j8O{_y;p=l|FH#`~cHz0xEY*3qv3?ZsC&jY=1t0ol$*)*;Jp_J> z<*GJ4OPuLAE++N}Coe20gQ22c1$KT9cO* zyAnzr^)>_)WtqBap(>1MZ&zyhod5}im*2w}M_=7~D~0@T|8IQS z-@VW_``h;855w5z?y*f7_qe`AZlFr=VWIx44!x*2_cQ7(ly)fIPh7LF0kdb#zVm59 zajJi~IlBM!{)4aXY>`J_e{ytjt9tR`)!&~#d-vkC9^?m%+F!rAW7bXw7uB6Y4*|64 zIF9a0RzmVuk2qH7*%qyWwU&(apD-=P9;WM6uy#-9UOt3r{M?*EW0=oz8j?rHw%)DmMISHFiWt5)u&0CUxck1h=H8D! z^Qtk~IM1DmKYFl);kCB*E$&AXxX^yEyQp73s6#9Z9!FifSd?v^C$x06PmuYd1D}Rs zjl+S(s9)*v3fzBjG<2*hjx%(q6k!IX%}H~oOdN0rFIcH!p@>lVIAST3fXx(H=&w1P zzKW9fo!5Fert$G-Udy7EEJBcG(e)l1+tWJ4X)2}f7N7EtTjc2F54LNSD3JL*@6#DD zik#_a4yNm+l+=YzscKNJ)DX3^1{a9 zjbTR!7-0!5bbM5N5Rw+ERg4Wyt1)W@&!ruc5T@2k6!PhdK?Mk{fkPm7&IkRwGc*gc zVaU8aD5>=ut%tG9(6`Fi^?UnZYW6ELR*XWx#ny>C%mM& zPIjBG3ql6s&j?1+p7T$E&MZu5Ma8*A z%~TDYwhA?cthpAl$V2%^doqg&;vj?8pYlBTlN0wX&UQM}FoD9N8K-E$JNQvl$qdq+?S_Ie@jK15WS^ZU0FPf$zZmDFg~(CiueVwbR&qt z1;})Aqpt00n;21Q+D>7y6p%VpD-o!+h>z{F-pq{>TO=ask3@M;c?18}ZN6Atihy;L z-*$F|7kEw_9j;Xi4?M7GXg9i?Uc4NWwo`Jp0)&K~8`{lxM^U**pW!8wQ7g-DbDsegrH!hxY;k(4B3&9?Dk1bSjjn#}{#j|*O$s6Ubo@YC#lF_zpq*_HO^WNViRXib zYj@XN&DyVVBO>a>>qLDpCm>gJ0GPx4Pt~nj6AW`UBUX`EonrP@o zM3Qw`v@@t*Pz0Q@b$3|_1iu<=YkS@l^k*%fs%RXyaUId@bS$x6tgqBi6!x0SIM?CJ z8j?Us5QI{qJ28OP)+^WEv=^##&A6!>Zc()GGPjz;eL>)@XRS6A7%^G2bgl8H0@=Z> zdvSs~YuCmQ@e-mvmeZvzgvaD1@$fPq}VQcIsng=p{-wjVQCL13;R z0iuzmOJBU*a=w-f?|01S6}8i3s}AGY4e8r# zk(&*a*#|d!^jYnuFd%Z#trp2bHA3F|fxfq(HC6RE0tj~oL?Ssw4*J>k#Cw6Ouw0P4 z+z+9&qLe%fXW&sM;G$64FFh5#x{IlPvO?M%|JJ@H%@_wUrwF}pccZ*1+F82=>1Zd& zpa{#WeiAlsm2}?6N&(By2TNR5i*lY`Gbd;F&YAxRRoXurRo4jex0tx96ulChf)Bf@sSu36S$?r@>N(V>%N)Mi{D+IcR(GcQPN2Pnq=F`zxmNBY` z6!Dnhjd|*q%_-!e+#f`FGq@MidEtS+&}W2Q!B4aVXshfpX}?AKOlM3FBL}r&F*E*{iKMCs2XC;8MTb_PS3Bq&E+on- zli3^QUWa}>l2W_~tCD;`4E(^{ReUU=vq@LVo*S-Hzj|Ie~sVD zdi8VB7E7Fm&04sM8x$CJit3eha1i#B!q}phX;;k)eo>{5%%PP;L7m1fBn9qs(MxFQ z2@v&Vr9t6x6mV>=mhP~(Jvh5XngM^4bFZV4D=R&BA&I?&cu*->^%D9NrH3>{e2Z7^ z|65k96JX#eDL*m@9McrrE-v^zlEBdpSpjFEcYua~)CJ30+O$=cbDuAi7;{p@Pq@>BQ3)}fTrw*pVgD;s zB}9IV{#QXT!S(Ce%LV+@P>4x6a3!*WlcUMYphRa8G=Y4c zG>BQD!?}yT3E9y9nW()_DeH}PIv%`W*R;2er=#g}-`hfc{GlW^JE6EhyS&iEo%Q_q z(@gvH_g3ykL5xNJrPGF+DrQ=H;*5MfI?W8i0opZ=j)|IQa|zX2t(!98h}QN+441yo zouZJ@2jx)BG4r;vavH~QL8wt_Ngp6&dzjS-t!h06JSA})6c^l)rAsa{jc4#dsUIs) zE@rvp!nN!?hOp{9PoNLMMU2`MQ?-z`VX|vApa*c^IP!+3-ig-OseoE8^81#IRmi|4 zR*+>E0kt503NH0R9D{=xcg_y6m=P19Om3m{KM50Sbxu2qEDQCWaJICYSrdVuo=sS9 zjDI2fWa7_%L-^+^E?_*1_AR0x+Od>k7;*M({;*MU6Dh`XsU8E>(R_laI%YvYr!wh9QPc7|zM_&1;!p=q09FdIP zg0eHvIsr*s=?+oLCu!*{ppu z8P5VoK<}v@(d?Hp0UA|q5xl&!P`~(HT=LcL;gU?1E2aaq&1zVOul9wXta2&oAC}+n zia+L+>N#igo>r>51?G~Ff+8n^w5UF7VJn>M_$*XBeWHy~;kF}TqYXCWpTphuzD(<} z3uc~(*9+5Q9;9L1EbWNy@Ry=M9eJ`+m9{IX1}z-UM?|Hj<#1wc3vS&WMP%H2wR1Y% z3=VDjjjG}@8_h$2pu`>H^*Ln|Cirh(w@9Bh&B5WsoKyj62jb{e7L0XvubIC+Ix@=U zSPufHv=?H`oH;|!eQx-KtmGc;Wrf@v?@Y{>pGJ@e_kRzp&rvaT!Koc*xD>hgR1mDY zP_AHJ7yXzKr{L!J5bs9JlD`oqnaYZ{a`xgR2RFmqJEyV+gDTZ2V0>#Dxh_t8 z8X@fGsp3+y=3@2ID<55tZL~FTjG&n*edIKBJu^Z1-#+Pac>V6(>sKFC)_+#N{8)q| z8m?7(Qr;i5?htiTqCFb!4{oc6AWkPnp$f94>{g@5k8Qw2Vb<|>j{i`B0L3cM_uNXq}6f*Gwl<$nE<;@HfwlwI<{ z=4nN6cAq?1Rlm0(c9p$B-Z_cmad<3*E`-rN6hp~F*@W{N!wJHQ?9YTMw1V4l9^p8s zlM~K7KRqHJeXe@l2Y68ateJh!+J$A}mNMYGP!Q`&gb{x*`v!1+0(OgtG1t=R; zR~$?#z9WoA5B?UAvE%e^7j~?4K{kBPd{_@bRYBJaAp;>ILvyXN;wH9qQFN`Srd=D# z5f3~eQYQsjMCp7`mf^3cI@9MGtpI`lOPQ^~%Dq6e{xD!vB0zg*4Sw;tYJ$@KV%|Qu z|DWGd&va_LJMK^Y~9c8dd8`!kj`@?)ChZ@U9hSIl@%|Gt~NXgd5@< zXWFQ;HZ8}b6Seb-ufR1xThSU8syH#|JzB8m>Smaw*~DuUTmqMIH3-6p@lV0x>F)15 z{nOj0fAXiFerG8-Ek>$7aZn1#S_$}ffMnMi*zt!76#CKprbsU?S6#8nX@ZI%(DPWR z0TfC&>`uK~4NSm-j^UAlF&7RymV_T-*sUNO77?6DB<&3fY$zkr7^P_R^Z2*KsKk__ z7>ig1-t%@z$d@jQ-hM*fIF|hk*cqI6*4?A0zgutdxAy$ye?5P9@7?RKZ=OAStsi*z z?&ZfyYe5RpdAgT3s+;j0M^#*)KE~>}Wf3HCZK;aSwu|vl&EM8-4Q*P^MH#_47`f9z zLxR~Igy|(Ls8U^LZF5&9(X^*UYuPWRD|&09Cs>9#F*&xP{s;2QO&Q6LQsSeg53l&e z;|KR2e9{B@Ng2WU;(O{i-aLQz=C+9A-eX!pW1jKDqNCsXJZGP+pvL8u&zJ1Qwv^q^ zxXpNR`*WrZ3|(~r(MdqZ?mk0yD~J!BtW+JRH@QV;rDBfk4X!T(ro?0Uj2VvDJqgON~g91xBr z5=~w5<+yJUq!b~%N@;#lb~{Y(405(ym7u@dFM%A?JM>i6V5_^&=sk)i35T6vP_t=` z2&g5_O{kbPLjyxI!KIzHQ`37>M<`B!lO9fXnv6A}A*Y>qP2O(fa=di@;gz$a-XLeH zXNSI2)we3L=4kN^i%hE315kH63C}8Yv_gk%pa z7#h%=<_XdGwfi<{??=vfEKNr;!b}khqUKRE@Qjh4oEx9a+w5%5upYhaZpN9|^8*$@=M%&=X$P6B^5mXGc z+XYvI+Y{Gh>tW}^TwE$=VYZK<^2wuzqatW@W!}7f`~1Bt`TSt?W6pl(HeIW;X5bYp=a*FblfOs2zXQ))2m7VM; zUz};Njjg)L&dSeh5f^;C^hK1;YF3&F_?l^7TY=uJ_?fj~@4U+UHs8Ci(%KoDvi@nb zgg35|OGY$96yz#4Tp~Fb{y1#gyr6z)tqRgPDk&%9wa@A?&b1;%1*4#yIz-LqOdY%+ z7&lG}NZ{BgvUJ+a%2Ko{-g&$Sqc-Z%FP{%>8IBNUvG`3}=};)P4r}}C%%NqQh3Ump z#e8t< z?x+v?#V&k!>%S)cxUQ~f3r2XpcA@#zF1&4mpMUl1)o2Il_ip!~9?+o_l_B)VD z2}xuo&0(sV2Rh;CIjF2DPruNmjIAx@LJOE{JCsqh8=5{OaFW2`^y#>hjL2kY{VwKm zi@p#0Fpf42RWYl{+po9YtpdQWhL1z@+I&B8Z9TN;y!N07wX*qgZI_<8YLQ|rnJW9v z2z;;lA%V88A*+${^HRd{>HX@$hK>3R8Nb5 zI!)}6_p$8)+l~q#;jFRk%Asv1Kt}QpPIp^CVP_vYbm$0-vERqwamaiMFa z#P(s^)!S`1v+aBpwW0&){k97idG+UQ$E75yo4`5+IA?^8%((r7yF7HIF1rxA|jUyhxbVfU#RF3-DE3k*>Ixh^xbIcP1*+^ zzv4dsx}`o8EdS-^<;^Sp|ILeM-+h9~e|Yis?TfeXUcA%;{QbH9g3{_wD(VFk>0b26 zJsrsXa?x~f6spin`2*%DMf<@jKVl7jE2OBPJ{?LXN`4-Z2vC=}g%VGW-X@Z-A3_1B znC6VGC<3TMNz-P!o5!~evE^AzBWsx-v}hI-MbUeXRC%1WOzGF&zQYK{J9zLeG`4P*C;E+r*XlM_>z=A^{aVK@Bd zwzMY^wSMJL>LOSpx_04rGmDWVw>zq>1ucZB#fYLHwRkpOu>g0?sm8MFnRm|u8L|{; z)D*;Qm01iymQ$-`lovZ8289j5F@XU$3HsG53FeA=%zn13 zXptg_C{fLGax@Kxaevq76HwAx&{nD((Avq0DwUz|)f5Qu!qf1vE@!Ug^RAUPQ{y4> zIpi2Q#YF>-da!9$RI|8&;=`wudHHcosldrm-#i<-dP-KX*-u=)Xh*zm%gsg|d!`z? zY<0PYtgqcsE7|hiym( z^X$i$FaG}g&AoTeUp=Ss|C3O~ZTN|`Q=V6`gIhkIsm{{F*F4m|QDjw2Qdrq`?Jt|X z$T|k}w-JdNRf^Y9T!Rp<$xtITbigQC(1IkOX#;0erxc0wEt73pCuIm;iC>+W@{^(6 zo@ogZw?P5@JZ=Lc0$90@(8dQ(2M6JZO&VKtj65W-wOSLY{Xj3Kdi z__7*7lyx4;(7_k3c+uH%+RL{wY;OAyzU~{!c5|8(4YeOPffy=kehy=Ogt5zYF`q(p zfOe8rCkcasT){aF`W2?c0c_IW))B{y7ZzBymW+msT17GQ?GWr2FQxvuAYC;hP^UFN zRSoB5XQE*k8bo%c?q8E60E!Hb_!haOCZVb89C2(%gv5kR=(7m2ttQ#yqM;$7Couw< z0yuF@8}8aR;+PS=JWIEW-gG|pSv#+?59blcW?VLEMZg#Nsv3_K1B$9RHgmqTa|P#i zdUg(Oh$qSkL;z8T3bj!L`s2(}~;-h3RP@@pMD9keEa>)%a@-k>$vqpdGq@9 z_a9Hwt)n{Q8itpg=2U9RX6^39tagd>wP$w)5txIk4(oRz)3P@}#$>N{?aQ{>WEc9T zdewSv`s)LTfd#xq>!Q%h&C%gdOnc2?jNNx-6w~fJ{{26G{71ife2;f%axKNiU97lg zRALJpQgb?Je9~%t^S~FnC@=F7THkuzaYJ@4@=vz()?8_ z{qGuY_r6{4(H9TzKORW;^?yBo^YZ40ALtJK`APrf*H;?LcQ0PPd#)dP?>F{K`KRO^ zW(4E*a$V6g+0N0h@5*p5D8rmVkQ+yD%mT9Z5aEBDN+@YKiIgQDX)SbAb4+fBE(sM2 z0$nt(pzDrc-Y}z^F}gS>A<9Ao6*%O35hCAi)l3{^fE7b=Bs-2nOq1SALA7zau4sl( zV!Jh^T{W!%0oc4PGuQO`rEm-j1?nE-X*VP(iorZUMD^C}WZ^^(H7M}ACLqU1i)kJz zl!a$ytZ}2_pM^J>4sy^rZ1VL`Vw;J*UX9KZn^bQf7gqFT zaa*WG;_}j!^Tj4@u$1X|b1wW~uuf=1@mL8NiRw+XZ{5ryEO%AA&{Clf_+(2w`f6P5 zJ-GiE2Zz`H_2Qf7ubzF}tqV028y%>pLJN)+^Z*R&Ei5yJ$IZB4f>M<}1hVQXbTkKT zk&KX~C|1zm`=o?c&{L*(y)K+@37~3o>mR$NR2;4x7wq7|Nvk4^M$Lj>P{qVKL$41- zj?e@-j}2aZtb*VAFNP^wYUiree@uvx2n3y1C>WZq=nX3F(=jRzgmW)G%1TU?!ADGs z-e~c*WvdgkrwPq=+7sATu0B5LyQBEs>adB?ZKaGtK@Vxx8dP6nG;!?BpI z#d>f%PJ?Ie7eH#YV*S&a4i2)S*TYlJmr>T8DK*`4+j**CsC0sJIW*LaDwAN)P|73( zFZ^RWGTvL&CY)=Fvum7t3#*9xtm1RtrA^iEY~@=EwMX}Vb1MsdPzlRL%%Lb|O|M6v75X6T2?4F>W=x#hC|lQ) zxDyLBrR7Ng`@p9*A|o%Lp`SSl$D2OkRZK73yb%00yGMJX@d7#%RMS?jqnj7qtE_~h z{X(^zFrbhU+Vr$mDM~~r%;rE!qUgwATOY1TJLxQYT#6P=#Dcx+q0R%>Wkn!>@Nmbl z0bFeWBTg=_hi^%!e4p z!ENFq>P~(4JPR@@GBmBoEo!fe>Yg|{<4v(Er&mNlY1gX4O`b!kZEn+n*NAp%=SOG1 zR?a6slMKu2ANJy>Uio(;$cGJ$2tZy7@EOJbuQwO(T0i)J|hg zW=`LE8m%(qm_nYg_z@=-N8T~=9B1({rEElHtI+nU^`R|{Ks?QBlvAgn10fJO0m3az zfumk=b?#`fdG^D(YbJf`H}rwR1C)+x)g~nFwZY4DDk&(wr=>c&$XZu^SFXU5Kr|06 zD^1^wJLR{n}FPcPE#BPP0BztX`I6-TD-wfzB-dIXwaw1mxi$DIr149oTlA2 zAuFq7!p#*PPKX)$&;`5d zvTE`;UFibMOJA+lCD>52LbG%Chzm}h0Ms;J5m@1A;;1ypuA@r02p@8WBzz-6j(MIS zb~Bo8C1E@1=QZ)(6}uM7!jHOJJ;^!u#2a$Iq3tV5Ch*tRLt`OGB>=LSVkfUv-Muze z7DIKnI&;;FaAkcu&8>l^!HxBpJFS=pa;P)e+fPOFaZrTDA?E7D{6BW?jR5%M*D@gW z&5L(0p8fd!FYvn+sT5+yZ0CsdS@n=gyXJaT?O>{fD}DASem)jE2_>n9T+x>F5|%nx zpOczROc#;k?HoMIzHS04=P>dA0FY7^5fbyZXF=B?=vKp^`V$V`O6d^tN^6A}P zlz+>A`M2Ued6n`);rthcx;4-!vd>Bf98fMubkQ?mj(N>Hrve=4XlVk}Pg<0tkjtzH zH=(JlHQ1b-=$(QP zA;u3RRrwk9;*y52_kJ1^7#2`a`0n7pVQ$tk(8=&G~|Y( z3h@+50L`8=JsI@mi5MGY>z4bhRnx{jp>YBW^DIo974SO3EgOycv$^KwQpONqx&*8A zI@+h*ZElTw^3i!9GH0|WE--X)2%^IewMLyuc*iJ%>6@UK+|lOYDqMRx;_mH&3adpQ zbV`igH7=8G6BeBL7}skk&gJ2Lno--_XiPRF4>DEcozbb;=yCGsM=9SU+Q2F|N7q)J_dl!vh(7!w9qs1^mNq$RZ zr8HPvW5Qfdl+eVE!CfSu2O&vnYR(<`2?Vq+?CGhP(m(6~Xh4_0!r|g1oI+0*cOzD@I?4JhO^4+SUOb=EZv0~?fZhi{+|`z0WtcM*v@CUGlKhs2E$ zEjsA3l+agWI7S}#e%h=D78~eHRQgVYY7a#dAFv9dw+j_APDGW4xPiceQ1l$NB_j@r zl!e!(FkPFVw^Pvv^I^@iFw2Rqp`zVTveFLM?oS+&*4r!Lib&x$IyqK>IDL{sU|3Tn z;F~Fvr@6=nZ2-@FUxMKZYqWCQ9Dvohz^CORjJ~LWMXQM6&`$)}6hBvHuX)4HR%)IE1rVnF* zq?NokeC!fJHTulM%v|tX)rQ(RU+~;>_%a#n4PEB~MxVNti#B16zIK(KrVYUmRFm4! zPNt)Hqw|n%w|Sz?QuHr?Q1unrxSf6ky<`%GFCiHGqJ*K5)Z9?0X8;<)rRP*d6!0#N z)TpmB{CvI(d;N1 zY6jiu(m9NP;&6Kr4eBYorA$4p9Efzgb|JkUk-xK8fo&acf)?()-)FJX&+m8ttIxb& zn=irW02Ff2%~8re)8D5l*-=)|!W674Vb?kgUg!up-{=lIjRv6$nO747@`q>VlZGL> zW;{?yBt|Qkw2L(Np@ekjsXwd5`bSUAY7_m?sA%XhZgkGji$!O!g2YZpQa03MISR*v zh+Y`I{v}M29{Gq7ojn2_^e3JJ$k?TD2!(2$YXfl2rViS)tJP&;7+` zo?GZ9>4_+l7M0AqaD~{Z@RXykh1jnpe(A-wMe%fwv%`N#nSHa84J|7;J1`e4#Mw`cpSc5m7gzhV< zFxsLyoLa)0U9}^vt*)Qi7uDCLV8hw5w7UdfP6563&_7F)*nkl)?g~8$4x{`4msO#G zJ1_QG9qsoo_UJP&cGjr9i>oBFID z&1*|G#2mxgD^1h_4F;FywPRn?&`R32z`+RCy80Vo8^2A+<)a27oUqnA@A6qw+dq02 zi+s9LRnt2OQ<=DCL&?*k2jS*3CYBDa2$3tfN-I-6wc2BvPBS`%($c$DchkG%4WsJ| zucjV0E+6~&5wWw1vJ=0$RxxFTcnn@O)X-oD0(F zj#X?%F%Z8aL_v;o7{lk7g+AA9l=UxH+$r0-6EVJgc;~wweCE3f%oGtPO(?o!g(m-` zv{AW32(|1rD3@kOcfvu{cGm8q%G8Q{VLN#@P>tK)U)r+$<~P%IOh zm`jnORc-=5Lb(_#K!c?>n2KN))f6}1aGjYPB{op%(z$`A`;?SR}e0utr5vPaey*3W+#O}Ctw$6 z1evx&klGxZaWNsuBgmq(-9%sMmee*+!W|!&`s1fhzWjXl`P;v}qzmoSKJt5ySPUYE z$S_l;qUd&dx}7vE3mPnV)n!U&sJua-2W>L_lasr|S+k2+Uu%YIIpu#*S^l89l~y!B z1|)f=;G$A}!?hg3CKUHf;zd^(S7VlxfhgPz>(}~Dhbed7>i+LH(tYODDwX4-3{qf4 z>6*T;Yh2})QzWv8pm3PF7YLL^o)b(yytZszjH6km94-vVn;|K?_8TH%-vde%v2j4%>@1rMQJ$U-~wwnEChaCIr-ShWN zZ2vksfCLS+EYYgWRVY*(=0kPcgRdTa@p%RFzvb_KdiVN=?~s$e8M4A}-`%`=dyiA8 zkHu|m*seDOmW>d)Qj2KT)hHUr&BM1PUmSU$MY}CW3yVmK7_hzKRIWBJ8~6LR6*M_) z@QqHV&9|i2PvyLnFpB1+xrUtRLRFvs!ncR%W1>Ghtqo|e+* zMHo*Up9ns4qINd)#k?2$$Cjm2Xt0YFHA|&33cW!nUb#*jSiF|LEB#(n9Hm&pOuj}j zMei0{xJz7==+9M*a6XTDnYr^6Ys5%^^Zr%51~I#(yHwmZwZ$B;B;OT5J$oQhrK-P~ zQM5()%qY}aF<2+CYDcHG5UDNgnk-V7l&*~vxG?WqbTii-4e1ryvK)tFn_q^p-6q_k z5G_>Z>OSF_S+I$~Y=X(Fnqf_Lm=T~X4zH^{T%j|J6h|U8UoE|OQAaT;%IcWPS7YYA7Wg%K08z$sLQ_6NyVjp zv*VlA5PTEyoEDKfcU<)nBE;b^Dy_${Fj3_PC;qGHFD}vZ6RC~)bf13KkL%Cv(<3B(U$H}l4q^P|+Fe+z zL!eBk*mZP9D-PcoKRrQ9MX)47rO=}xGzjG2_O8Y1fg@af2wS`1PC_lm*__@OF~OoA z=EiFiGMdgkvet~FdqSTfLd{|gCX9m4UC=y;murZ$JohL{rN^-}+IGar6ZfWj9Kj9? zXUya6$$6Y8p1#v+Z`#4IDcZBz4|YqGKA+QoUB(2?8>yP|J$FvvBBGf3CAy4fE%T08 z7BAfQ)2!v{wfaeOwY9i=AQ@U2TR%N05p}c1Rn=9!+fzA~;x9tmuGpQt7ou;|d^%^% zLJhuRptF6aaAKw`9^FSAW#=Up#A6$!bjo@*VVPpPn|$G1V%M^ajf+OVp15)l0X$dW3X0Fw^>ecmMIuO}mD0nz7g1b0*>XX;AXsGpj+$3GJ zU=Bnhc>TQn=-AArY=z1X1 z?w5j|3A6=uEzXNOk`8o;Wi>}{leS1D2emYJE!jY8lGcs>w#*8ortBx0tHRepl?64> zcIZ#I#j(>kOV5kd2XAx;VfX3bn?VW{#LHJrqS>3 zDSkSTHs`Pq-A3G8?BGBa&h+QsVm1OOJ2O_X~gZdHweJ%a<=+ zy|}se>iM&qcW++&pZC6h{_V}zFFqLVKKPgG>C>+`8sti1ISmKQ%cx2m_a|Jih(RNP zqyH@UIW%D~y-8G5044f!!uU07Jqc}AG<_Y43LA>jmaB5%KjrnA9!W|IwWGNcn`zOi z=QM*86g}H8$7yF@HBikewh;4XL}iKo zX^B9~hOM<$j-{wy0OG^3-^0iEAKd?HG+#daI9&FJ7ysAm*BG&W_x$_UZ{B=&^R52+ zn-_0~?d9!w{g1a|JMVt_;rYD>_x=UZ{~L~=p8xl~e|`Su?em}hCkIYSc!Hcl76KW0 zW$Y9rgp0ID4P$AB~Cr2!fD8Mn9Jf`ibGJOVUSZMOA+LG zhO!=Xo0Q$yS&?}=f?%=GJf)0lF6i3?yu|Tq6Q*9ywdR%<`m12b9yG!kV}~}D4Xrrg zUektn7MF32d+@Mo=zyw8K!ARf;{drKLu!OPHa3MbQxVYExYN|C2yib=uckn|wUldD zXi1c`CKFI1YLTK7n&l|MU8{KFMto5(nea0;+US` z5{Xk7#vMfgUom+VVGxb(h?%4G|86-wo2m^eyN>d5-mShaLRF!orsD+W}3xxguTaRm|YdM2zfPN9|4^K(Lj zDAwo$alO+X^Zal-Jc?d@cHDWh{%X+lbb&joC$<}cTc%I8r%h=w+C@2>x?OtdPOVk} zQjtUBd>5#;p%&i0Q*o0N__!?qCD6AM1y%%CkFp6p6@ll3*d=$E`iJ5`xNt5MiQ9Od zxLIm{Gk4x{;Srpn6?HLHczl;{K%#68O}3DkgVOq9*Eu(}4Bddo6xMhmfw!kS~( zHt1rPX@LfipPl$%XlqVF6=8;h3t#wMFhRK4P}{-!LM$X4Uhf)CjicRdIOs3%DU2%o z!Ic!ouQe#(M?nI;ycWr7MJD66f2d=6c>l{=owDIUwA{RUb@RT3;;!`&PAzTLTFyb;+dm_`^1du z*>^8rzj^)k6Duk+8Vf{Qwb!j>yKCvBGr5a*)XOF#;$Cs`=(`hiR|e4rw1Tvgwq@Et zWHr@2D6N)OD7^&40O`Kkm2mb=f2NSVD3%*h(Ke?E zRUN0l?IVKhoSR0Wn{CPKnXmm63!hI?>*h>KoKAUUH*=&#;81$yQnhw%*iF;g(%#OB z`iN%Ok%Cu@H)9l*ZljHGtGHSUj7^lZUGy@E0STF|U?G9iL}#NWzG3@&pt)wdpsp4M zK8^8{!=SGok1Ez%Kg5q0Yk%8szW@H_<*z&u$NdJqB^2TbC$FM<|7>2$Qy|lqd={o}qFSG9x{JK_chWDV4qMeoF@9HpPTA)Mv9# z6}`%X63oLDhrYf$hTtj2Pql&yGX|Z3j;%usy-`ET#TAzp+VTcnP^{i9JtCfgN0N@S zPhl1$M@20HX630Xdmra6wj0C`hI#mjSUO=TDB%lU3r8%%49->i`(&Ik|0N zz^l~{tmt{xF6Jn>8Snw|UL30nC#UVA1nW8s^-mjaGkU#HS0S)?<$15+>{-F~!_D-A zhhKa(G`4zOELW`Q zoS8o!9&F;TU)8nP9jXkTSy0G?FABREi34x->^ZV z48o!_!lVpF)^j%TH)}CE(~G7IRo7+|LD}_>&Xy-_yv9Mp+K?8~j-64?EyDvkGd;?P z7R8j|8#81`w0Nx%pm5k6E}IDS+)?`FI*S_?S1Oit>e_4y6=O%o);-cI7u4I61jDzrbr$18u>|Q_Vui4ow{y?v;wv#pu z7L!Twq}-XE$N#I#e!2GLG<5o*3W&=7<@91_j(I6mwk@+20^-QOu3Hv1I&p^Bjy5SN zP+>%&0%ezq5vPFZN{_AZr;-4j$Ts#3AMlTlegE;(-!Nxie)bN%jn&I2?m~55|2k4Z zD&q*)Wg^0mkVsSr2x#8;p)@J(q}}GId^FKdir5?8iy}ild*L*HRc$Sz&4tG0er)DK zs-rKMkM;ry$agj+)`1waAHPQ!)R_1pi23|T>Q8Y-WYdIBB@%yZSIrU z6+KK4cToV3aE4&5Q=04t#mRHHGY}7c|C;{SqoR$0%v36nWW|9k8;`uTqLdIF4T-`S zA_C%}wbQX-X2tV`{-jB(RJ4~Gz=HBjouU4|Y*JKzZ+P_QyA`e|Ubw2Kn*TJI<4C-hOuvbL_YJ-M?raUO#(r^X~a4 zUZrP>yTU<@d)3>BIvaYI^}Ypjka{U92;e%ThW4}%zt27|^;|hT*bMil0u5_y`eQ3T zq&zDCusiHywKiAbHKY=_Cc%R)B3Poqoy=ZO(VFfpWyILo2$=kJY|1g~pU1tBz|66Kz+CsgdiwCu zhk_}N(FJ1VlvvI z8{Mix8Z))NSn|-n69h63`dE}ag*B8PY3ks>Xr0F;t;PO(p@X0AKF|qG8PjswQBaiE zND5eN(;V?qLK78PfnkWTMr8*Yd9;~@nz$CkaZNinm!vG#F-H=sXcIQ2+WGV;qO6$F z=G(eGcjY-cgEx&E_LODxR32j3r=2;wQV^fecDpWxp^YOHl!!25wr(hI(xpc;hgo6oyeQy^%Q0s@fp!-jseD!o}&xcP)9dEQt-yc@tzwr^0Z35b%+a6H+v5*+- zmrhYe3}Cy74z4a7+19P@fSZj9(_}>N6@|S!_d|1|MUIw&7UO~g>lw8;_MpG$!UO^Z zz*gs$i0Rl~mSr-E2Vq}LY>`qaR+GVb#*KauK)qFdcaRr@&c?dA$o(;nX9$f^Ie`Zh zK9dgJx-PihxdIVJT*IA#xIL44_`3t4>>TkSIF&51&U9ui6o8A<*_y<7h5i(fw5?b6 zHQH!~vMP7F35QzO#`RIqo`s`mQD7awL6ktroW#2NZn-3;Ylm9gyewMSZE8*nHY@vz zeJf!pf!8Fs#~G5ICwqUPsf37Apxdh`$ATsrZAnvz!R@`0>1+J-okwxR4-dck-FLaIS8pjzS~2&O=b+hy-2nfu&5MltHvH)aJBjwPYK; zd-I*48%)S090lZ%ZOJ z3diBx-6;To>G7e_#f7vWysn2*s#@sxYWN*z_Umy@t6=<)KNsx~G@=l5Lkfm2p=Cx# zYYXEhTggk&4c)j@&}8_<(>=ab68+V~?G_;bN6qU@7RKatql}NkL@GD6P&AY@46Ue& zQa}3sA5O_0+K|J+p0f$RYFTEbUi^0n9@@!SYg4Z_uN#6~{?2pVfB0zJ(SDXJZO`Am z`|;WH-#UlYC>~L1yme)C-QJ^1BBTpZ_w^&JvcL(}ral##IRMZRy_hJGo7D3RS?B1r>O}*`R+tFZmyTiG6 z4=!*tr9C91A?#v?1FGV#kaN`Tq4NBkOcpIRL&YBBMR(pAir;1ApC1ZA{+{Q)PzZPE zDdV{<-l39jFGQKK*VKQUq7A2bXXn_kq_bQ_5FzC7KE^!!>d6-m9==yPUtYg`ZzS^{ zQ^kKa_fYHrCW3ri6Do$&KJ7pK{h^7>@2@|8FHZFA!>9aJQOalB15T_Xsp9J!SDni0 zzy6q^XnyxGX2mGnP4lQ7DmX4OI|0Sdq22Py@82JtZ(Q$vyf^u6l?6SMBA$b^i&G6} z(ZofC5}Yz;^P694xOzto-wHA8>)r2pFuv!(AAV27nq0s&C@IeurM}HCPH1qOwQRc9 zSB(S1iflxhwaw|8Tjx7p#%)l3^vCbiT0Vq4cHwt4pSY;9bIixJH7YyAg^zHFP$O$2 zOx=R0q^O~yKhn#Ht)k3%YjmmYQ|;OUaZFs33mWW)6ji)klZH;Lz|9BuZ)I}y&~wjk z4*eyb7~41l=%_mj3~DjjWpw?Y#`z1Morc&BIy@6cWECG;e>x8Ak?6lPK%V_mmlj>7 zaQ9F);wX}1=!(JJ+^&6^eTWMd5|mlO5#~)XC~y=*#bz8{rcSt2EG|SVkccy9Z`<7= z{_@xB&i)6Ys!BPn>`bC&Oi8wHC{~AqUC{0>-v?jZfAaaF@bkZ)KYw>me*y#j`sSNg zANR*j4dcOi`cPQqjxMdG?Z-jGu|q9wt_`_OYSDekyI(OZ7fC5o&GS(l+B@4}6$jR0 zu0ZOe&u2~$y}9_FTnb0vp4o9I$L9NPQIsPk z1VH%_eRpZcgqOTUPHQ;V{<|2i$;f^;I+EeaIAqU=ZgWS_jsv;zL;T=S61J966`sUi zWE>_TcZio8x8LUnY0QUT-GB1v(O0)uZTCXE_qX)Z&G+d1zPR`5r;klh+tK2T`?&%L z1U?Eu6^lAV%K_=c5<1AG((T$#H>aS<1ta^uE0`VWGU&s{kQ%BadjGh z8SnlZm90pllQA3&pz6o(bIf<}i)S$hpFL^T1SiJ_Yg3moX*T9`8IJxIicTUw80jg~ z<~@q99Vrnba?$uLg=DR46dH2ZKSp2*gNV%@O}{b3_06%`rvHc4m<@|W4rCCs;YMz= z7&BUJp@;^ILV8lrS-tQGCyW@DA|cI&X%U6#^PCp$(~#6((40B)=4ns6Gh=rSd4JW3 z_nR|lJsu~c;&4deqR&@xZmvZk;aKuvw0qALv*g*@dLjR(Jz(j@r(dIF%bpP`qFsz1 zP(a`>hk5w;AO4rpPBf2(B6=*-C<1H8bznMO&<`}2cSZfMay?h3og;aBc#2MXyfEPm z)WdG`gc>>?6x(ox<`5%ZMp=uKFPz5gorOG365nsV{lz!`ee=_&LvXY*c3so1!+opf zo!Zq6jsKj!4nAx-Rk_^|8t+g-Qa?m82->$cln$I+@crfX6*1>ddd zT?;k#?4=mf`0KgYG^}j2OSLB{BGi^(4^VqnXuYgs*YWS&A|_VGzi0kdKWemt=do}2 zxAODt2EE;a`dx)6&3fc11y*K$(`ZL39|?uh@qrluBI3o&bBzArxopHla5jBHe^eMp ze;m00)jC3$R*%L06p+30`Mn+b>#4?IDQT|-b5^S_ zX$aPJE_-h`XT~nkU}c^e>~qv&)@v5Tn7XpnF|RfrB*UC>Q{%vveaKJ@@6O@hslnth zSq#R}(R}`FG^nqv!x(${WV4FlkIgz4&GN4|E6(6kKd&R9bv$+}n>Ddn=`IzW$IO@$ zIYR*}MIgq`pN`RR1*cN?Z;N>SdykMGUVQs6^IyNZ|1Zm&NS5K$6o^J5F(tg?xqz-= zFtQ`!q81P3PV#43k83x=%k!?tFyqR4b^(FHX>Ucb5mA*yc{%3~^@1W|ML%a$O_DFY zYJxSl%X~~Y3)HU65Gh!S0to@IC#ci~PT#-B)35G-`PJ{ZoBwTn{r%0$*WbK&`;0rc zcW++&@ch*)v9$L^B!jay@!)bI=Bxi`UB#-ISHPo|Hk+A$nr3RPPZY&!^%+H=n&Py% zn60A*CM=1Dt<&sTeAFh~^Dt=9MC5Sl*G~@4oJgQO*V76sO@eS7*ZAU7JKD-^^ohC( z6;?i(qbrez?bP(67*)4(-gls3GmD#oS#}QMMe36&+$p8Grd3b3Z}YCN@cSYHEu_72 z1gY7Jt8&_p2K!l-(&Dd$_nM%4i?%=e(rJ6bw^0 zl>apb`<>T&{J*x)kXAX@25SmhSIThq2wibjs~fS#Er!%XG&6M^%S?B%=22N@$&(4D^1N zEebwHE&zPdy3o&5u7qskmm9VrmAd(;eZIvHIsfUCFP{97zpH<9^TYH1dhzP{z4NCx zH{X3~{8P^SUIp53`1~y=4}~mY z@{hQPNdAoaU^fr-xY4Q3@!p)5nwRP1Hs@UP60UQvVy}21RQUH1=@Xgh$3o(D!&-_) za93R`+B)Yvaek>;qMKXD)!_H^~2~aM)x0Wg5 zqOeJ7u09^VJ^bSF)BBGg1n<86`q90=%`abamvuX8|IVqNpd&rFe5gW#wgMe{SU{w6 z=>hGgwa&dJH2(6sp{F_1oxYyaI>XhgBEg}MahT;?mD)b!%_on(dOVJ@DU8m~fB50~ zn|uGINz^L;WsM0!<}NQz;e8$cRhVJ6x}RZjLftXPBVaR(_vJ?8qma^WW+z5r7Sw_Z z#xCeuZb}EOM{V;+4LXw8T7ekaTRU98l5q1GLiKyYvcYn1D#&2x>|&><{wN%-*Srde zG-OWd;mqO4NCFcJc=^aXw=9Bb6w5>Tw2rm=wd6E>TIB1>ohyZ+EvLPRtqNFpMsFVd zP;P4CQf2d9(8DiF$h3}Wvs7nL%5yF>K`zxsH0p-?9H#07j51X^qo zaw>hs9f5n*P792NcNRC?g4;`44vry@)~9n=`lRAIhBSbZ7%Tum^!#w!E(DlTUpDMm zVC09!St+q2+BQ`ev29h>K$*mx^uK8%L<^cL)V2!%=b`B+#39sl=k>q*G+exUbMxx$ z%NyQH12O*Y=9&KFMlbv4Mu8Y$IaRjD$OGH$F;e4tp4-bfw3_uK5zibY31JqIAFbF8 zdzwx!nlQEloE1eC6wtBlrDqMl5^NX*E$Ne^N<3-EXEc*0VogNi+r~9GRLul@1e1l* z^tb!GD|ojqZm^&bA3;n?R!OPlTql>4;`A}M!(V$WicvO=e)?^gFkF--2X1lJotfeM z6ET6>6xIZcg!gN>1d|Vi7#e5jp~odKrI;E?U019{jl>sisu8R}#X%dhkY(ryolf@jkkuxm%Z-I_^w#`BR?nH^jVX z5D#LL;wmu<2x%;XK{P6D`qRT`nnlY{{GmZWzgGsR02eEt089Ra3Y1VJAt0uV5v=-geQ94K5GtW!Eu+}6(!hY>xjpM2@# zr(cd-eE*Zv+1EdPO-0)WUzw4We_=0^Pa1?8I)6=R6E~dgS_7P)JE!2xOiQ-ti()#W z!*g(b>3FbATH(0|<;zxA;EbXW-jlv+!-x~d)qeVb!^Y<~N9BCo_nm=x@+TkKMovhD zVY2A07$v6*`s+H{TPoMqCL_*E0li(cGc7-^S=yIL$-UDhMR-U6MupL?#kMV2bDT(Q zk<8C}XecT;7Var2`iy-)_fE6{9h*Efe>6gAoH~`iP3=^chGqGUi{PdrkR}|L|REx*Ik9znV^`C5& z|HC1WCwRvZlNX4^d|m(5iqk)@ZK^E=ZW!)7#aF*7+5J<`kxRX_ ziiHavgRGfyFlGXU?h#b+$F~CH*Z^U+{PXoqKyD&rIe$fWGkTVo6ohQ`Kv+o!`OyH7l(?^ z+y%|&QQ+@4>ON{UlS2hSnV$9!`t`Qm4MF{rDrSTdsCK7?t&7Z~G%fJ;L1ymZqlXWk zJa~Uj`S{-7{`&eygx>z^#mkq^Z+^aYrnMTNe(&p>SKr`G^?s_>MP$V9=b}0AC3j0* z5;|+j7uoubNVH<62tW4)f^2K$KCKsA0@hMY_w-tG_@Q6Am@P%qU4b@Xwr6NG3|d(0 zMoD*|6W`9kih(b^cWdpi#=*{DEy*82+cs^qx7t#yn=PGOsO)SU0p|JW_E_^I?i6;! zf$J%Zss!lo493Hs2jk&CIT)jp^K5WP>G(nBL z9{J9TJ^1;>9{iIpHUKUSMu=D%#r)$ssI*cr-E7}RNqNYWFlW1Fl*lQb2(jzMv{XCp zuselL%T`v`BVw7`TB)@MH5}ED zAD_K^u4lh__TrnreEs6~**|LhJimGN-7iB-t$1dL*cemBY1Fcv`(C2Q4N7sHl)53o zt`uhk>^PO!7KO&sO%$t^nY-1t=ojgTS(+CPUc{a8_w%+Oz!k4hR?n*7DAQ`QE@Jm| z@vZ?8YFgAj*@h3EJ{*lR?;rfh$I_3lUwr$QF)^Q(l;6C0@u9|oIkIJOcGjBidl33R z&Su#{NZc{Dkc+h`Qi`^PN*}`>P|P$(o0LbqQq<1*v!_k;90CkiLQPY_(@`IWBQ@PY z7Wv_D(rs>A%ib+tlwMmt+T_~0)>xS2-WTzjR$`m4SJ$23OEpjKqw6GgDTiSRRDH9a(0o zVhqJCek^a)sH9L)tz{ccF zM5gdm+H@yppFV%Y@8e}ZH3NC{&%G>#!E^2#Rm7xgWu)LakE$Ki+3l!)aQnJQzeFKzv#QVJC$&N zt5IhWgIC&46!gb{&AbhhmKgUHWv9Ut391+&Dj2YW-7J=dSb4UDvQ6FUd}pvf6`Xze z&keS+s#DTGP6dWI>dC#b&tYrTR;&m&aXNzl9u+vW75EE5F-5T{nU43ujNuz1==`M^ zm~xN8yw;z{eZ)po5hf;@^Ms`>O$YI~CeAw&#)DjOI2=t&FX*+Nts!wx?SWX028Lp4 zjp7jZ7f03@Otqrwj!)JcYFv>H&zMeDQOg~}NzH*qOxR3lCf-&IH*KO2%sD0GF>0X$ zSvgnu^#~2~5d9e%byah);|U_V*rLAPI*}$Xg5c~qwK*!;3f>br3$9+Ml19&zMOvfp zOw6x)(*CKCz3Np2bY~_%HAM z!`ys&1@rdZ%_nE&$B7vzj)|wkUdtn*GP^43u+fQ3+u@m#Xky1vwrC>=ArDW}3CqXf zZ@r%D?C*T@XIXE4wfua*lV87h^;T=`#myZ>UY-fb9@OHOB*ffY5jAz3nbP5n9;Y~M zun43zDyTXo(GDkKMaa^-Cg)1WtYCLG>ttJKRTg%YdLgc%fZJEUWRwo#$<)XcDEba=(l+!Bx$*?~CIgGv^%}?B` z&KKXm7za?##7pn}#wpYn|1s(N{ZX=3=~`$npGB4_gm*#o@i<0e;u2CT!4q!I@cxX+ zK#zyW?UFNygF_}33tGsP&Kdpb;%aXzXH3f0bSRHArjXJxU0UrQ4w(eZu>HY=UB4BM z3bwjEV9FdY<#E8Ic>n1Eliq%mqWsP^TKN8l=WlM_-TZ=@f5;<9?joJXB@_~y)Z1f0 z_vv46O)EspnYtCl+d9*1<0s+kW2^LdEUQ@0qP(jGsEB_AyE^odP3Y8|ES5H)1yh!T zgBmSBywdT7-4OZEmNvtxAYRI8)HaD?wT1TH+*bj+b?I4|SXlAo)_S6%Li1*Vq2bFa z(u<`axZMteeW3X`LJuE~ZTIr^t8f3pVd)M2l)u$oJ9<5SIXX^|wi_R;9x(JI3@Pq~ zuAlb5FEr_uS%3!9A`EF}&R6GKdGE~mcozLDn2{V-V04|ukVSP@wjjT|j_fK}=qVSW zkJ(qF5f>`)trKf-PEo4g@Tpu+N5RW#mpmMnLC0FM8Uf)G%Xn{Esq-`o2YX9{9tpk* zfGNk<^T43E=3C$TxpDJAab5RmHH!CVSoP%MoT~^?Y%5Old7-}XRC?+kc-n&}Uw%2L z^sfey+rRVme~V@Q>gWgK${}mzF*Z{Ej`SLzi^b_^G2J!+tA+hBBhhwh<*z*a~p<8?p&P{Ug(tM9LL^-i@go| zqR%*wwpYKjmeHi z_`=;3ZG%R9Suuk1Yl|yZYK>-jt*W$tEMgrG@a6x?1DpUIC9JC16y`pL?Xa>Fhb_uv z1D5VHy+&ub)tPjz4s9zRC*%!}vimt*8d!VhseZR-=Z~Js#jvescIZ1F!UdNDY1%8c z2EaM(zMmaJddvaU%iERF=}Pfa8=meSF0UbjDQZP))$aZ>TE|H5eYhBY@bJq=qcbA^ ztFXh{=Rbb)`uo?fZrB~p@sn-MX`;Tmy?2Wm`i#l*ECG(~uFC<_-P zGj7d`1vac;r^t9fI7_6$A9YA@&Ue0h-1>*#`EIIiXzW7Rn*X9Uskh`hUDSx!6)CHp zOgfI-OV$05Ue<{r~b)s6e)-M@Tvt0P9I^y_E;c>DL)Ab}SzzxmZ&lVZ7|3S6kH zeaHrmHg~KVxJcMVo}eqq93IPm54`tw2VMyU#_hJg;dpyXTB3 zD1qTXwUE(k}i5gekmJpFyW=?OY4W4|fzzEb{^-STsQiydwx0FNLuw=n# z+A-_OZNsFYP^z;jjElx*;Wx0X9eF*+g)CR__%(>6J3yp=|c}@It(W^b`NGnacbVK zSo4tojUIY_YAyFAB+iMm#Q^e%-ff-#eJ=iKLc^XZpNb$=38y?~rIl-{!Pn4~0hxpewn*a9WoA1f+ z&tHC8G*q@6aS|&D1ea#+`eE+TzvPe2Xf1U!YzQx`%VxCm zia)}w5Rrg&J;Owkz#7K_)5bwxy7n3lRDk-T5WnVVy@EA*6;=R1OUzT2P#KgVM^sjX z>vu#)L`~|RtD!wj+_Y*~#e{|_xmKdq9j-*mG*x^Kp?X09wolYjKP-ier+9`x?J=T< za9XCQQ@^V~bT^RwS^+fQcFo;p*E+NbYjsL&g_fDLRW6#va4zE&L=Wu(#A;K^qTW9FCd_Mh5+zCFi*nEvkhn^!k)wCrwf|MJ609ksw(;7&|1&#o!6 zZ3fs-8opUr0^#%Sca4@_i}e|Ro-@V^n&-)ooz6vgO0jEQMUD)mL<^F1qxo9YP~2HK zqtRNVwd9bMq8@mg(2(0`-K0CBEVb?WRl4j15e#43T3!i%+QPG-q~lcJpnSlaNlYv! zn-`9@k;gbPSDe?eY>i?@VU+%kAnHUs09(N zYFY<~hPguFop7lB+*{-z^gbKE*{&wYsm*avIih_dS~N~JJ>?zqq~)(QR&(b9$b-;N2f)`tH$1dks-{H$NYzdwKVb4P>z>h%v=%r9>QvESZ%{_308 z-|8RzNd5o2_dZ_y_^=+#qCpELNFfMBi*Ikxm6ObR2=IEPgwQflquLjW7z*;O1G%Qv z5h9KC7j6!Ye%e#neAT4qy)EZ~&Z+66A!suW{B#<0SGR4QNJLJ&k*kwCFZkIL?Vn%p z!9V+gXBsc4trX}CyNW1NKn17g`J^INIF&wPti5jEY!$B(&Y2YdsmL;+i1|=^AAN1ng_9}kd_ z^_vE0jcDX*lUW*pw%#skR}hgF#6-t^y~0JP-1>%xqqeeQ`?RNa=K=12dhz<#52cg4 zZGU~$j3a?B?;20sd;0?#cK5#d z@0&M&|1A}}Q&16Ek8`CW&2XE9;Z|y=#!!(gpNHs_yYod4e^HS3r@m-IwPJjC zt?hRZ)OK2mJCcI|#iF|Q8e=H9(hssE>);y6Ydc;JHN>N6j>Pn&mQwn5vCP z_fNegF^Ewcs?kvJKZ~+t=tAJ3o~sg~7*G`34$p3vM2--+N>p@W-ac!#JwJ<~jy-}RcB8K@^G!i@WSP9-RxP$hdtnW}5SiA_h={oLnnjba zh@EY1i|br2En1NnOl$lVx|RE=pS4ZDumL`Vg~ZE^(XjTm*I05F72{S!AB<`7YF6)7 zuCBQ#6&KkBW{((7JSVX#sU}!XkLY&d{zSVPdG}Va*Q#X`U#7(@uD)gz+Kzq4Ki!D! zSL@u8XRW%{QA`o-5|C)Y^nGbO2M&4K?P7Nw3H=@dKy3X>~Tx?GfSR2MBwc^ZDXwvqC3?ZhHhnU_r9K=t2I~vF1 ziUVm&lLCH1JSDoBl4u&8#YKuTs449yOzh)@iMGTabFvL<<3E^HJh-2E=;wMr+td z39J~~rN34D*u--=A4=MMPOB%;J+4exM!jNSrKgew?YNab>0hU$x2~8JYaQnOP9S(X z0>N)ZZJ%&KK99q8g#>J14@A@SuQIQrj4IBJZXYo%wr8VBJ19gLr=>~rt1#zkC>GVb zYQG*4Uau_LR{B2_VtPBHz~`vY2p+NGnZp7tau36~^s^$r@luAONo$xQ&ujuD+r+VvRhqHJnTO;lr7wqotn;YBFM7=tSal5Hm=Y*% zRwUr)A(wVrmZ~ol{m`-k7HDIw`d%UmF(fpb=<`w7U-OPX`da1`+J2<6rj8Y^)*eaz zN(<3A{k%7X|D?H8RI@hQd$bK}Ci;oBGv#h*;NwTALhl=E|madf+ zCXFL12E93bfoTHmSP7JKEOti)&Vm6w$NlF)!NiJ&^N^8o(`sAW$=hIqIpBAXL)hVGXf=-Hx#v4M#r5&hbNuj2h4|5bQ3>55xwuV0V%o_Cd zY}a)xh^R(aCR$~kFZdHFBx`XRMBuAC5zX1kQj{l6?*jMj*yCO*y%KH3Pg!eW8#Inf z7LzbVrP1j;#JB@~j2!vo-b8~qa6(Yv+{2y}I3lYMRHH8yYiL7v(mCU_wvM2DD`FpZ z@IbRib=gt?7qXra!85;2tzt*qX58$A2hz4;mtGWxj&nDcqIp7uKkt~fP^-QgKpkxg zZ8|x3Ux|;_n0;=76ovAx5IYxjf>J1~C(J%GbqI}yw4f(iL!V4Qn2!RD!|wgWQ(Y6G zu-vy@c~hIOa-`**&9tg)L;fl|PIUcr7dYO(h4jC%8nkWtLGQR$#VRt>DCus8D^ZIM zsp-p5FKnXlr8v-9(R9WTFmr&JDM@UYi1;<6Rr4htR86*V5rPviq&xbI99ZaS*(Y1X z2OwdpARMv}e;|!B782B5u9VNLonGYt9`%AP;uJxtOUdb2`(c0xNrAkRu$=^$EaWJ2x7aQZ-e#Z|#@C%mVPZd^W)dQKcMv~9GC z3&-V#pdKb^f(YEv%}xN)W(rznVJ`sxIUl{l*G#rifK*-p!_<J9(BqYK{DEc*_6Te;zhw?}1^Moy- zp^18<2QqNESB2fnUWJIoUzUSwgxnU&Tak`PopYvoi8xI~D1N0E`N3Y2EDp;1n|8G1} zp=}lYE2i0(nWF-Z1u8c6uC;~gY1|wpS|Bm9(=<@XtxTO8P^)UU6gMhhd{j&=bb_L?BVc- zL3sFw^K5(`rGxn(hz5~tG#LbDvLZ&w3TDf&5m}BiE!4Y}f;RHGxCwPz$^ujIJ!|EP z6E3Lbi8J_ZU2&_cw22k{uznTOW3KM4wz%+A@rmBMrOivi|0i5UXrq9~a2`pNo2~TK zY6}-S;LIriteke96Q@J?mkaD`$8t3zxFB97<~LJ}mFWi#C_-_FM{9EAo_bc!*7Dp! z#Lp!6!5b3Iz;5k5XNF&yhQptAbW!BlEVLB&#UD-ar%uYp_3E7B`FX)lRya1JYNs9S zx{_d0=DU>6M1MGgJ2DRND5R}%Qo233__PEt>$96gF$$MrBBGg*Hukk})+Fq6R_@|=&3fnAKdVK1`|JnK>p z{bp$G(v6%~F!d}}eJp@2I5r{xSaIMTI{{u|)?aVhjoV(feiQ(Y@VY=1x8hVYvaILo zIiB&zF=@eyicXsTId1#8XmapiX*0?%;nMsI=?VOX6JrsevoMZGn6iGNWY@cSBH0LF z>mOE%AQB!i>rAUy9dh}Rjk%t1H#|?o{BqkV4lByD0vduN=Z@CWE{x{ULOKJA^AT6P zGwfxk#1{X-=jOqeUp{*DfCHl6Qtnzb2e;t(3Clr*6d8d)wPx^~&+74n&r%JPkc(ZM zYY06efR^J)K~SW}tounAP^&`NHqp~Gteld)?LgmSLfOmKI0P0>p(45rw5?L(%-v3F z-}5d;)dNC^T2i)-BhUS47>8Qo-YwDbQOA(I^xS&9OSo1Dkr@_Bp!i*eZr-96-{9CX__8 zw~Lr!Oi5AXOejiovY!JE%DA{z^^XQPtki(k%>0&AH2ToH#?MofY~Aqr{jm=Z>_){>5DXB(r^rj0y~uXJo2j1(rS zv!m5FWxFWYnH_u0Gxz{=LF<#&2OvBbWjLDR9htUe+Bxo}`&;jV#S?VZ3h}q#Y%(PE zy%j7zQb9|oWAsLScu?$^qPB(hlt{K+Lh^MlRur_+%u^3h{ebsDH=;*YUqIm@q1s?1 zm8XNFFeHEDP7PYqo3$R-62pRKsK*#ev$L(y=;geL)MKR`aY8tV#``p#Hns&+84ys_ zI$5;oha5l66Hm+Hm-Rq(luyHJ?WYi27 z^s5lM=zr-xpq)wAhB#8$H{oi0pr}qyafw^dniQDa#p5P3QZ%>hwXz!o3a^zj6n$D5 zLRZ?U+oy}|;jO{UuL1(P_J?x1xCr`9u@8Du6eOxP`tXs_M-_WHdn#~f#fyN(AkZ~w zwhoISRMRR?&Kd+@ToKGK(b{hPs%)67jR9L*uay*vqNhXFXA=I=Lk6#uxaz;|xT26w z+BpA0wjwFJB3VW&Cq*pc5Dib1MSm;sg41WzPwHtcblT!htI5E3d`QrEqro(ONBaw! zrC(bG4>aXQ8@3zM;z|SNE>0eU!&6bK29xtMB@D#%UP9sck7DZyZ&Vzf@X}Q3OB4z0AY8!t>$Dx5KI~UG=!Y(v?or+`i@>4RCPJy* z=w^ZT(lw^|ja3S&{oz1+c?a-XuO*>XI23_=*Zbmkta#+-lycELFsZ#NPG@RngBIDO z@$cth5=H;bV1UjI>p|2XR`2G*zDgOea|?p;SLBG+YJ;z0j$O!4JH`@}6z&xusiCHU z#msrcPK@kC&gMDohqjTBD)g4vO_Oz1dQt@;Bo z4Tt63A-20}dP^@?I$X&6k*!yZplzBPBGK4AXbz_`l*Tv)opbP<9O|77S>5c1z?waG z%+STUG|vK@*c5KI)7;pmc4d$~#In*h1+MwC$#T?%G_+%Db=Nla2?a zrbn-~i{x7_Y%|_`KCIZ9X_hsbnmVPD$r-(~L&;d*vAZ&37^sy%E7m0xVozre#a^PD zB0+7#>1y?UPxF*c5!nccsIFM-I?AX84J1d79xWb}iX~KoIg9Dwo>73+5=0PT*t5mP zNj^2H=e#QYyIG`u$8yl3I*=&Y=EzzvFP_9fstHrl)JM@LZL#_C%6D3MJAN}xAn6qP zHh2ct8GTQs5ak5TD=N}q?-RyfRWwOo>hI*{QEc<%m*G;wd$i<=shS~6-iV=d*BxnF zjl?tr1O1RdUlGg{1ESTuCE+K|vsxU7d`ca)Ynl+s4hZ}-IxURYY7W~{5Xw=Gahrlt z>9J4tJXWi%oE(aWXuqb!~Iy|vE_K)~Qm&}y}-jJxnoG932!iab1AAODh0G$QdcyZqS6N<01d#c ze=b@mI+GMVM;oP7&SK*Jsr63C7i^(bF!QDYDQ`(DQ5*UB`?gCO5JcO)!n9O|>YW3 zqNTb|5F(@{5hvT~C3NIZy^nR&j<0bMGS(OF!oorX$Wr1RsaZXg$tRD(fd-~1y=&EL zp&om3+PTqQiY8jqdqd8chJM7)0`-lZ`xp}^#pXs|4cZfR)3OFMP@}Fe=t;%OGH4?> z5pkh#1y-y8wH)1@9s7Gi*>`ublEkuO*HJj)w_0|EHVDTZveyRBe4VBxHvP$gTZXn; z5U)5WR1eppRU*2vP%-Rj1XsWlOhE}>$;_8sOJ2Ca3sP`%QZ~QOi{@+!@v`J;4kIl^ zA)4;C*prfjs9456iHc^#$F8(j+@hUW9K|J5+&7_b%_VnZBI)8i$W|X3Tg3$$muSlC z4Ip$7i>uxtS7Eh9V_X5vU}&xRhBj(77?V5wupf{^P#v#Aa|s#cw)vC$)YOqx|4@_k z<a2yvi5q)1*YNW2KmbM6OFYBRKXl10?la(2;R6<#zEdrgV^MX{XHkP26#$5Y( z?Kz3oL-uUD^FB|0exEP@@O{SB$~;6IHsphA=9b8Vbd+~CVMn`|xUZUqr7vaYY=Jtt zE#3LT_u_9)|9CCwV@0yU@aqtOrGv*+P5&7o2rdZ`n`5UnVprBtO4nG|g}zmR(DQ0m zdk?7qQA$0fIWw2oO1}aTOPm#&6N(OsF_gZXeiiA$x^=2blV#O^V?tsQWE1f*(WX6@ ziyP;nZ6_LW=f&Q;g?#acFNO&C7Kc6Tw$KZ58h>1F^M%Gh1=3$V0C-x3z~|(SvelS5JQ_3rAev7xZx+Uh9na{TV;G%NJz$i4=Oy18JUzMj6ec=`~CQYFt_)=&+6tmR#oSTM)yX9437vZfF>jq8mS?#)sIWH@xC-fg3+Yvo@ z2aaE9jS!{_Tt{=DIteukr)5iVkn`A#et3l^UpyT1G%Ednc>crNA+|Fr+h2VD{g1C+ zfAjqBFP^=4{_5FJAA5SJfY>f%GaAPg-V4s!_mV4klnU>io8IHnni3h*9`s7JBCp+u zm2Y3b45>Mt$>`(89mcclc}!ORs_qT2{im1E4^*vlvWtxJoZd=TR=RR|BB#4j6N45Ulcr~gIIwHx1fMgNFm({ZXzN=2>_2d(Q_SL%eyDcrMf zSuA7I^W;2P>S+YhV6#RHao=3p>snGnB=XD{^=YqkO}2n!g6ZaXa9-Q}gpZKHDRrrF zUN~rQWu=`=zcm=foq>EX*4d*6e>jpFG!#wnZ5NEEvWE(@lFK;aT3bfrwq?^0bm2-( zpt5uD=FbU9_mlR^Vi*jc!`i8Nxa`7tGIBy11AmEzQcm5?ny66LUe94FRa?ht*;vYj zMC_9i!1|42X}lC_cNNz#N+CoF2xn9<`sjq8`i$;6rQ)k zA$8BqV9kEM9DQ&4;%26d)NkOpSf6)kvK-nE*H(aXcSiC47{v#Fyj$}kCaO4hqCQJ? z*OAUe$&7Ol3aYgEI&Pp;upr$vGulg7mMu)Hr?fj4(F=Bg1XVO@ z`CKTtGD2$NxCe5=eQM`AD>Pcg$K$qzZ|)xf%!4n!{OXs@KIhHf|Ni>rhyImcwD+`6 z+k5!^0gdw~7Nr+W`0rkSPZ#O0I{!stUA}0Nvhe({l(TRvdN_YNEr0FMs~u3Mpg`YO zJMW8eaFZoa0*;cd-nYfF`nKH~Ze+zk3^xS(DQFQp6G}-lHAbS$VR3oNcIK#E;8m;E zW$r_<25ZWAT zpAKQIC;!_3nIEOCzj^WY^_y=nIC-xTwX}oN^2EIW+AK?Vl#pzJONb<{No$9)EH+Ta z-}#D1KVMS)?iXO-rnG8;i(zS3NqJj?(vPD;K2aP&#dH^$6Lz_5^B=60ZolN=pZOAD zf-VA~IayLkUa3B%b6;9BN}cY~1T8c#DZ6&MlolsI+?1W~eA6&DeE3ISb-Ft5d*YJ& z6b}#&FNYGP_G03WgIn>fAcn4lRXDo{O~hQ_s4ZHNL0Kv$3i3p>dT3gyB1DxMv<;8D zbZYC-_QS0%B8w(q$EWN+F(cM@jJlljN9bsLM}300o|2$4xd5LQi%FO8gy5j(-leCF zs+eLHRJaIO8>VWQBy~+f&>H3XW)WKq=ZAp*^vf^5`s(S=mc@TN|9?N;y#4N0g!y(@ zK9OM-(x&gyVyY0(LT~0O4U;>^6;!1Fe(P7?#Eptb%Np#*koZ&}>;4@HxZhVz-d+z| zpkHVU&KaGrUZ|YwTDw%m;S)vc3&-e+VQ-^xK=(?v0DR|uHDox`j6(!>$WsbrT)-Py;8^I@zV75qswgQ4Sfm_Z` zpJnv#DVY9`mcRX<_x@&Iy?g$?yYyfGW73uv$kp!&Gh*-|%n;k1QGED*wmyv2UvFON z-}kB{+7okYFe|VxNPi`9qe|IkPcQ-G7eEW$^CIU{LLbXiS#&HxS5v2x%U%5xxC`mj~ zQdFAVMhO}J_6_4*?Rnb#49y+NJAU!E7Qq_7q+#u7V4T|G%0G+kUTJAv?9s}(*mV*j z6SDd6^p77t8Z|L*zrT6;Qlt8f$i{cCzt(hplvsH2>iNwNyxaHBZ(jcN^^f1)`|lSo z-~DQwN13xQi4kSI=Fx?yEzWVI=-_Z&r|3@Ti8hpkdN$zw!y(?2Cl4PDMYZo=yn6jc zkVKT{n@^tNrS`(tH*fxN@742Xx2ErJ-#!2S-VZO{z0)@Rty!lN2ctpEjm0t&0_Vjv zB|gPTLn%~?Ycb-=LVzjHN!Z>srNncY#EY88BIvM6#0z-x*cU*LZ3^j0^VX=MF%83c zi_X$eX{Wn?(x$u;7J*bydYYNg4AQ#_j(8dt4G}Y;fODP*bHpA~vd2|>oVG<&-->rd zGC#4COZWMVH7OSdWkX>ABz`_>28^M*wFUaE`TOTb?i6+s2{76zZKL-$%uX>pxG?Oe zn|BKx0Hnfy6nYlCp+$+0ssx<1XwN7yJ;~5Pj~3g4s3+6Ay`;Fz#p${qx4* z8dYS@>tV#dIx<^@JCBl;8SXsYm&4Tk;s5L5{OI={QP2))gX|-Pg_)!G*!%3}QxW+v ztNu_cB6Fl=g*UbkY4=^6Hi?_gH3np&^e2J(9z~vlsbaS|FR?(kjneVm2YWgm?7{CY z7{9m$%bM23CjQPOB!LeaKM;xSSwkoa&h4M`_FOgnaYZ4< zRS}eq6Sh$36;V`5VaoV;H{qM2ozbt;+qrE^s3{F8DjVuroij%k(H8eUwPemlD#71i z9NT5r>5W5C_>5U&EDYHLf+xdBl2fSJ6|6h@2A&c$GyPP2_&910zk2dJc0m8;Z@s|w zn>Ps1l{Ehxr}Za8zE*ruiLr96Z*c+^1RuI@6^olQV$fBZn7!}#7VrW?XZ-9FHsq%h zZP(%*g*tx6Y}Tg~>gedP9gC5f5_5Oim2(TBQLZJ^w28d-{Bx1ww);vs^_0E=8O3&nC;sgZV5 zvrPq&dZBcoBbQPvO0u*GWKIQ6Erl*#D1oQ9>Asd*K+;dCZzRnjzA4}fY!bZcB94YMF>-zg3AScmH&W<0hKDBq9* z=W!6J`0Of}!+e!e7upzhgX|J@^QL2~ zba95{#PvA~l*r{#n8yJ* z3OcA^PDu9V84J_{KSlh=;Jex3Z0rr)CwPwudQ~o#D=j1(iX7gTNyLHkOg&1QFJv|p z+}Ama6QBE#_Q%#PQ6n|?OXw;omm{*hMu+><%K25}#A?WhLYh#lp$bNiJUb5C+95dR zg;bFXnz?(mAM{%uJ$i8e5gBOtREX-$GY0ten|I&6e)HqkKfe5EXqLrj7hm^W2A67%zHN`gQ;V)cA z=_M3elP$u0SEAUb3pOegt_#Y)XXU?$F)LtRo2Rt9DHgg)1C9$xEPkc6DI-mLDce?M zGens}Ff%5LFyeDPSA{Y9gE8Pq=#Yy~?3}qfdj;*dz|FG1rVubuV0Ch-Hq7h7cIh)j zLnmhz-rl@<_WJvq_rQ3w<%sD>mvHOllIHDl2u5H` zfer2LzZA&x5<*f?IUMbo2sg$l;D2z$E^N)kX8KSEOJBl3Kk4MQRFP@24!q0|k z&&T7lGg+GmWHh3RQW?clP3>MHw(Y6yr74MGP~8>c+oIUEp{s3|DdRjYT%o107U9jM zA(dd1{j>JPQ9MG8iCUArZbH#}j!OB-2rJK1tY_3;DIzLg_(DG>2ct!#=`5l(rckkQ z9!4-gFRC1_ZKC{7(KIckHAb_Go9*ZBj?>S8jgrfq@%ZAOACE@Qb6_r-c!|)Vvx2|^ z64<*{%9-^XX(%*reidTWDyDd7KJ&CX>H-zq#J7Atc0}m95v(YC+oRq|yS@*7K=Sym!s2yNurPFsP%1Es!E}8A|ChZ*L|X5s2!(f#MGnUWrig2 zx#mlljvA3N<5;wYA{ej=c<2q1Ub9$=Xex)1=dg)codC7vpcqS8luq28RWy!OU%wRM z|KZ(>Z=QdC?a(Pa&%zp<%Ttw!jk~3&un3TDxDw&HkpptS<}{*~=+NhsomO84kL5F`W;wXML0c z%-nWro)mDE>fF8yktJ;6t?;Ho_$Cf3NK4yFA3HI4L-B{U*V6Z}`aX|lBklaGM2ak9 zveRk98sdCXJf}FI*{qF%zwK&*$jP9l8|Jl$g`KvZ{B=r z=ci3VPeI(cJ5mT#s`F>m#0X=uAhms)iDGu#=F_?-$~_w-=E{^}5S{q2?r1a{y7G4p zM3WN9i??s}qTf7cFy7pJYCN1GMop0_{pf3t6o77s--Jcz+i{?+6@c@3cMEz_N-VcD z@T({HA3x;V9z7nuJiiv674W}#_T&Hempc0zY(b+mA0i=W$aU`KlG6%^pnZJTHL?nC zabIR_`l_GPbLD7Do!W5hRs0W%IdkF?h#P0k(8-bRxLt}~(N|z`HyS}|b}SU9&QqF) zUWIi>C}GV>%d}x68V;K`v2QUp&1l)@!_&qkG|TBzYs-R0SZI;09|InVCOS{`u7D`_ z3wW>>?&-NVn@{EtdsM!V#5kdSuKBx8i<^4melk*3Wwi%3L~7uuXX28!LH#mxp5Dsc zx2YVI$Rp4@2L8*Zk8h{>hlluW-@N_d>meeomG$>udZCngLB>Y$FIBqxE4{G?RWJt6 zUvKbU-2!e*1~FZY(oVU15*)>2v3C@5li2wx%8fiboiCdlc%Zw7t!wMzvOsgtWa=j%;!*iaJGqvOY8;LML)buhrOf6) zBILZx#{szQ-nsur10WpDjHAn143hFVV>vy?sbCVEmWgs{Bp-NMVs_NUl#V+(Ws1Do z=1O}>Z{zh3X>vS~LP6S(U3lV(yDuf%vn9m-MYEl2#UIlawNph~74byO(X?2d>w@#b zJ?q9ruyS{Y_nBt7O z=-JCkZ9w?=4MQ0I=^uRqWr3P7^cZFV7C}$N+_Ne>McNf+s`a*gs5J&rSQpO46}NIl zIQ{%OPafQw6pnkfzrMVA_K&YW>Ym^03PU*s(fnY9$`oAV3{;3(AIXQFIyJi*#_>%- zo4tkJTRXaQT2xa!SNaJ*e$~VARS$mqt0*29D%cmAoRH{l!a2%dtCrce3oyF#5O>?? zkLgtXWtT$uIp~d#=iqBy{*vFk{>(SrmX)mv3S52x*aGLlp&V(~qoUc6cI={sn>Qr0 zv`vI>oNx1VY{TC@c5T7B+RKxZdmCy!T3dn{+8u|+{^rQ!V7D{Y%xEG4;%e)KK3tU`6s0AI;3jypl?=GV!QuD57+M1}Uh?cNbHsb8ap@?+tSy+yr;Rod+|=G{>}57??0xR|37vA zwyei-W$A+V@f5i1wr*7s;Mw}hGyD*~J;KWm(H`X{Yb%3Dq=cOyzyiR`t$O-BzE}Vj zbReayyRK47B(T>1NBCjRG2G`IBOH@@j^j8=tre`V9DCwOaaE7Y+)Oka_~dijwTPR>2-ENY2Cgce8Gx9g#ygWoAq!Kr+<9(k>;awko)-+7nx9 z`OKV{2q_Mri*}T@UZxn>V}!+Uw2V7;W|$thPabjt3cT-T{JnFW=_dBs@z zm<{;`RERh+taJ4TEomtEcx{?V77;s~$odNU=@Grskm7v4tscZ33PpBiJ2yd0b8z8u z2GRU_`c&!}6h(&8Q0MoDUTeqMp)SJr`b{61E)kxHj})zQ+~1sHep=u&9{GmT)IU)Q zHYf5my)SlcJEbGHC7#D~4=Kog^mW~H3RCYf2d5qgH|V|5Dc1Wt1-!9z0sg2ME+9mw zb3e8fyUbD=!@kp* zD)QntI&Aw`r?&~7qoLfP!HrKSDk=wni(k~Ra1JuZi1ZYc6S^rym&(u*p#;`5XB+-7bs|6sF4>56fBHFjr*+eAsvp=%tECpJe`7X-lb80#MCa$3!4QNJm@J zu9yvLilTOzSvA%h5;y`?rCVei6V~(FR8rIw2$63H{o$OmD9H#tYeyrDU=-NQ%zC;Y znB`aDQlr7s78!Qc@DmXAHt5c1=@klzk$R-TG%1fp3c+S1Xgvlk+UnRn40;7vZuF&y)ZusJ*G?Bf?RU08 zTw`w^0^+mp?>g$^9fpvvU;g~!?GIR^|ML9(yO&Si{^|K2e*9E3ShudXj`R(42qu27 zw-?}C>#7gt2t){Y9;?9S{PgL!mdNoKVgJ#WC_Rg;ih3hHP-aEPZBmYStKXL6mQu9B zl<7QhZ?pKv(<3T|c%scY*+Y}o*eN0}(3~(@qFzYpcoQxYH#x=@(zQLbjR!?gkIHMN zJix+@sr&2Gt@;Dk`aTq~*L*0RHZ(uFcERDP_Zu6EynQTQ7+2rxjOd~W^xcV~T@r;hTF>F&hp@S{&+zl&rJ8frn?L`fs)>Wakw;v0noAQJK;uPL)o|wTr-YxDEv* zdue^z7|box;i4A9vEyhgd@Xb#9vTIcL~`y?{q~z@-`wY_!qZgI-9!8Q-3tt(`}?=g z|KkBM{_v+)Z}c~!#`hh_->HWPh&!Va*PHNg#WBTcTM5!D_6M|jPUza8D^Yo9-?G1L zf1B6JQO4@HDGk(-MmSF^g579>Jw#8hvwJ(~yPu{^zk9EwiHMq0NNNir4PmbH$jayw zYi|;_AS#7`>BP_VmV4dzi>}({gC?!fP2YmPnOGu#y&)9M)t)w_n35)Ve1vt3(X$5{ z``v$XR0Pwwo2p5Veeflqkx<*OI3f8b#0-7i1CeW4+H`=1@uCbn-CJ2C&ud7GoJSh@{W<}`(6BVAZA5Twq_y-xJ?DagpcfoNA9wr z-rF`TBZMqynnw8B&HLI`^xUI@J#J^eaEfN%H^atO)YzBPWo!M&qa7a_&hCZvt4dM- z$w3ios~gqgO_WMOchACHGhW#Sz~MgDWR)-l>comBa%S{U3x@8i@KewlWCTUO^|OkX zyQPP>mUKApVbuGoP2`2w8h0Z3E}0gFPD>8dVSCZEiET_D>$wi+ z%&}<=;WTRmL!+p00)T#R7l z+-h{Gaop|aWwq!}?LLYKybvv2e>PkK+z-bI)bo6MTM_@IyU5>KN0!#^(k~Pn(m?<1 zR00l&<%n4A*WQF0Zzl#s8hHVaLfMYRW#Ai^0tC4MDvB6Z_ylFp#=laRrF1hyF8eQ(@)@i;D}8y z7|oyDwk=RIxdWobk`R2UitZX%!Ag`~&ZXR1^s_01iU|(k;2yThn%oiU^YmJ4RgP9s zCdwW)Qm1SltY8U@x^H`kSSAD!B7iG$j>=0Tii&KSzkmR)XvRfd)Ub0cE3N2@;=ztR zEuET*wpRZ`#f)_n{5%{uee?BKU*GWY!5{MFd)D5o7e7DLAU-U`m739fA*FulgRWXP zyN1;Z0k0@7c0@tPX3>GzV5^BPwnLajT3=S&-=~omH6j(O#F*ah~fY9Wc?lg{2Om!_mgX^)o$#n zxtFeQ8eGY);`}=XUxQ9#4eOlr02uv43(9$`MWtDrMO=T<7j6XSnl__DsgGuSB*JE3 zfdSqX*!-vGy^Epm8?H~K*PMktdHd-w@l(;~CkvU2fuec;;i|t=h^7TxW`?K_?o^a6 z#H_G>f*Z{4S*yb>7*!}^;?pCfFBCDVeqT$}bIFZ%*4+wFe2 z4bp`oszWvdGu?3^DjhhUP{fLG+qIixAU1T?L&32h6p0;np{h=wU9rN{$d$MiDp6cU zAZLstUle}pd$ic8!3t-0m+*1cZd%~dG`}djM1mt1{z-W9#IQ<;+~v}o{?m#QFXdD% zPfH$l`eiDO4{>v0m*lp%`I{N-$#Jhb?{c+KXzH~Tcxm%wJhcyQQTifw;!()aO1ukK zlBZyWaS%P4eJzNTTnL4&QR#3}nYL>!6u*vz#!OBpD&(i_mhru;dEn5gM0AR%(Aa{6MsvxobGtO8N?2Y5zmBO_ zHw5sD0;k)?)OfM(tpDgifI&*iOU7}%uSQto6h#@744;{+cT_=}K$RjE9jbz$c~aa` zE*izL1`v9p#kd9qCgt!<2t&{+Q;jJIc3xs-lpwpJl$o!TmEUHbPK0h>lwGwIw3Sg4 z$^~jOI82&;yC3mVjdM-COq zYr|?Zb(C{=E>iry#wEsb1JBb9C#=;+3S8HTeFsgPNK!22t`wP?X+^*w=FEu$B}9wa zj}pUmp(-WNyT_N57tC>kcM%d>=PF^M^< zM_08sScL%N)kG@$EaFdEPeM%j2%52Lv1vQ&b#!X(Jgy*cIgNbVlma{E5@>=^s3{V) zD$NBjL%7_m?d&|Xm_p|0#~0fRhk_lOl=*C=8-f+kAV;!4zuA_sIh?M=eR zHP8d1hN0+BXPNk7i<(lc(X!JT)K>@=q)VAcgx?8@%xwsYM6^fz4C|_FgD7)GP}OKM z++rN&1DI$y%y_JjfUC1_2ik4Oyxfc`Y8nblCr#Er$UHf}1Z}uxg;0{qO6;rHsngD_ zYff0yWwW=tZb;w6g<$Lw~FFM^3 zpWhVM%XGNvHB=2&FAxn-b13nfcDCby=M`FGI=ojw#OOH$9S&vBV^nRxHEK1j+OZh7 zCq=n-w?(=t0(efL3f~UDIxO>)Y7T5un=nSHnw`^~_=^jn9hE~WMcLZ)Y8jCl#Z3-q zkg9=Iw{vNV5SX$!MXK@m(qBHj^cVl=rBPTx<1Pt;=6xz;3(V~SjaGZ$iiI8#PWzz7!xf>i)*|IzUD0DIe%DN8iRZ4gD-sUo6{aYKYr+aL~Q z1NEil~xVsK!on6ZlJ{N|!Kl5Ao&r{w!e5T^3FWTzX&Z}%%KMCr63tY7g{Q&%! zz2bStL@FLR9a}#Yse*V2mD7trLpDQTo3r@?Eh%KZM6EG=Kghn}m@yr`9|-bg)Tz&= zDHkdf9wwhTq<)7*TQWC}aFk5+jhXYura0Nc;dgOg8*jC?0yr=VS4uF#+;oP>jqd36Eh= zrdg`Cxj>+vGt)$-1Qw^G+grJF3g)2B#KS}c`eF;CXDOGbICvx5J%H%Z^xp|&T43=?2&1}r_vGN|XA%xK zv24*_C)x*YL3^sMo4aW3aDwyr$v~%%tI##AZH(=e-EkXg@tTmMpv5tgFzL8Y_z6gE zj)LEZ&@^Wj0yDx>m6j&>nf9iD`osXh2Xz00Nhu^ym@9K=D2n*VA+Usv^`=l~{dy&g zuiZozQR%YMY9p}j2Uf}b6r2|k;o{3&D3H?VN_(Z~Lt|q#p^4L4)UXVCGP{CL-~7Dh zqU9-Knzw`<(wN#KUilK_%Jpq`GYKPDV|K31is(m7SQQFO`h7`>E}>6H7dLz;-~6M+ z0Rhfc$fqb}?Wd5mVpKCQGlLn(ZmZ=OU-4>ee&7#VC?0MYQi}~)A>DZ2D)vpms%MLk zdr#t|nvf_0jhWL76ww`fB~8QH`=Z6I^>>K9Vg+MyC0Iq*@;zzYiv0>QWXe`%;K??H zD$H<%B*#*%;JNma@`VOMe5s4DG5%Cl=nLaYGSU#{gFJvxGjs|{HENxp%ig~z|Yi?)HRrT3m+);DE;V{h2IV>KOeZ4Q^=-%pczU}(U{p1RshD= za;(}-<~kxOk~GcqqHwDBzhfUzNznXm7i#q3iFjP6Q*KZubi(3veO%{Z>=ufsZ_a4< zQRk^uBs_m8-MdY(jp7ldIM2;LW@5qvrQGtkG^uN3so_5NMQIiobDoWT1eO{Kv=;m8 z==X}WZ04@3JuaX}o7CSjd9tmC>=tL-IoEu`aN(KTan<2F- zyUiQ?q;BXrBd#xK0;!RE(x489fJz#r3b@?p3E#O*sCcwuqJ=m*R2ha|O?o zB~A0oo)xIk&-L2HI|bLs%A9)967xi`^}e#gvFsQHr@2lIuGho11;w>^%iiCD{H)xJ z1xDwnq7THE-DMpbomtPy|-=5HXJweOlTUz4M0Gy##^trPEyuTXbpO;7sCqQDt3`3C1r+q*Ku>{yA;YC5ti}Mg(Fnu16i{`;n^Q(V|xLdDEnzcLOMy&x?YeZ0pcNIaR-N)>RZh37R5hsH} zphq3~Tr481rG`06ywKGf4~*KDVDMlh*p zF&@I3UP|upfms3xPr* zXJywdFA7qP8-DPvc4@h8DGu=lyz}*EtVo8mJC<&oIp7jaPC6mLV;^`<^JSKpd@!s6|V{_!Y3SCmMTPK zFZ9ol075%nREW|yA)^-EzHyjqxb7IISQ)5J!@aFBu6D_*!u!c7LcE>y>MkzOomfT~ zwci~$Th0N&)4>Z~sDgSf+>d5fs%BD{Of%)$8-+-N&Ovo>2Fd*N_pLC)F|xd$d;v!XyZj?Pg--vlUJ zqdQn3y^yEK2Z+~F$)ayQghForCJ}>X(BQJisbEh*m(?P}(fO7sk7zGalP-dtaLb$x zz{pA4iQKq$p>~PSSf~QV6iNYx`^I}`&%Ks#R57SGD^y@m94{?Ij5^DqNI-H72NkWy z1{rMPIT{gDloN#;$!^NxqS#KQ!!GS~22Em5n?U!G%6+_49_WD+ICqrn_U`py$_GNei2c$IXBKLkky2=MTEtu} z-acBzUI8;rJS=Pof`n(&RBhKJjnN&FajB|j;j8pEh!t|On5L#a(wROL+=&6XAmSyY zDrDB}6em5Lp2sZsZ3u-6Drh~l=J+1#vj@s-#C4xh;%`V@ceH@Fh8;%%-YrN@a|$E4 zu7!b6`oIj1rjaZ_?6uhhGXzN6WpPzjPR5~=@}9Cl4fVSlrysVo1BbuL6{J8{xah`E zW*iVPK&Bo|J9DR@Sz|BeUuJkbh`SY#M|t)SLr7y`X7n--fm^LR1iK@+K+s*$USy3E zA@S{K=o987d^4-we!+SI4m~ZB~1Wb>a(NDPmSmGglOq@gdpII>T}x z=?Mc6HdemTwz3=>>uFHpcjtj8O{Ruk^g@5Bt$pFcgfaAz=ssJ!Gna6RU{@26H*4GW zyW63k`lV|}6W&olz~M;Ndf7z7ktRcdb>dqrt|;WeRcaE{)P_S~XLtS5Kjm^qrc06U z3aKbfqZqt6B#2`w;-yL0Rd1|!6BeMfF9z%M)ZA?xf| zEnuM+HRgIMH)mQ=u-e0kf=UdjqHj(OGo&JzbI}(8ZIv@>5u_T|YDM^04G#yUnZw9k z3`i&ep-Q%-Li`~H=CqN+w&Ke=MWNHCU(VVo-R{@}oSQbaftZDfZNULmx8S}KjrH8iw`&_j>$Muq0^1?R9666&igLR(%)+oec&z>9Vts3 z;(m&E6uj~!vMS1-_;XVmek8^2)DUwK(h;nt3LNzl4rUc9 z6q)j>l)d21N@=lHOhPEu1%dlU<%Q+YO(@Lf;FZ*Tu-M3p5~8CME;L8z!Nu;@Rizh2 zd4bCU>{MV^YQle4*sa<_Xs8LVDToL_Tp~#dr3%<5AhTtGC_1mW9mL=<=%Z-e3jONg zCihelpZdMp^h$!5(Jq_IdimK8L=~~w)w(;dK@s#tK00HW)M@lJn7kt4Q@z*9r}@E% z2!;*GV9bMxQBoBU(~svV#C0GLDiDm%bt`7rTAY#q^|u1Yia)z3w@7M$%T~)VD)OyC zLz9}>=&yI8-Z&C#!=x?wJzqCz;GQd>HlyULB_Q0Tw0Fw_R|ag;2D?1{(uaT;0?1m{ zfx}JZFXxtf+aQHk{0>FAK-3lZ}MOwTs9<#-y$-!4{E(~+`3bkkKJpBr4q-D zeOpeBR1j30H%h}tX2V_Bj4Y_2_>8B?z|Xp8HgDlHS8Ih&T=c!#ITlZOad9P`(Gvy^ zfj$=}SjID2N)wGpWWx`edb7^YElS1!H>wo`-txl>S+5^H>9&uzNt~!(=cZJlg3c>G zkZSg2M>z(QJkbWBgWC{NkuQ1!bbN)3DA8<| z(sZGC9HcrH%a%eMrR#FrqEGK{3w6YboVIaa^bHz`cGiA-=yd^jMX3$h6pt*GP}wc{ zmIfkt!35521Y|^v_QhblcOT2e`=*vFrb$zSpgea#;^OI4j+4O1Tp$YLXNZ!E*`^a>Swh5A!O5_0vptHLz)NzPxCw z$6Y*6{71Oc&=p5zf#ET|D6W3ifhVXqj5NndmX0HJrN`48Qp#3*4Rb zBcqlr)Mj~+m|fD+C31Rk<>i(|n|W$q4ixuU9*Q($3NWHVDnxmr9j|TMh9ZD4hq!`% zcQa;*8rMyKg^GHe8dk)UGDTEc)`6qnlyRUt+{EE-$7>jqj5cFmW(>YkEXuiT5RK+g z4_~Y!jeUxOueD)W&6|&OQmHYr-5d(uWSQd3vnTg zowbg&!}R=XMxknn{*L6@iqA5G&~hYsp11kOMnd0 z9Y!94s^VGPDOxzb@U@mlP%5R#TkzLfznzCoc2fYPj*$Bu3<&G~P=BZ8x8Lqu%C3sv zABoko@18w$Uq94N{_g#ssS0`h;>o|gd`+Lo@8`E){M=d zPYS19WR;*iQnKa}xzWN&jXiw6t>Dr+;-IIynO!u@2QVeMR7urmRKlo1<9)mtr*{*cx8_chN{IsS>IP0}7)!rh9G5s-S|0Ql#l~V0tUMyiEYnNW ziGO3x^T1wr_sNoO&ZO8Bhi_UkC}B{2tcMMY2xd0outm8?iyzCmRQZG&>30l=ah5y|K?YlN9=)U*SAl8 zZ{I%u;rWZ#&;MF0K~J8zdW!o>cfw!&TfhHpM`1?@8Lt!t(c6@u;};?pD{|J7aVMVM z3~i(SEf%cLil_QhbN~39zpB0X@SNZL`g5YE$F++_byK9!UJ^GJL_Mk^vDT|{uhQEr z)bpVo3NHsaWDdoe)-z4MQO~KlFuS(zu6;+kRgj}9&!QyIGzk}hvuxUW;>~qmw6$>f zKuBb>m{RVaz0bG5{XSp+#`}2DRT>Wa(XYx$5uND*RO@lIbME*kKO9hnaBZy41BZ}$ zwPT4JUJ)XHj#lqIDXz6pYvRVaoY#3@Hk*1rKIgB>fImFvSHJ$8TxAOwt-Cg^+qNPe zUQ^57H$ls)OeKc5x7^}IbsW6B&^Uo{x`s~iOgZQHg>1OpJgpF26~@6(|>rmp>+*Ec?Xi)J4(us3*+g zhl2pS_qI5?x$udjGge&deu%HW`Qkya@-AoCUp{~H;MRncGS(iQp_Avkp6l<(tU(JB{o#D2wWa5U2ToNI>YaZe}Uq>!|F zLqqJ=myEpt!2W(&W89>v3BSjPhilYh^ff1J03&4y!eDi1C$=!s!jez>?#^m0g;!e) z-OqfkoHRObIi_9%#S>NVD&hvP84mq%8kwz9LORadohD%{EJ%860&CS1LMdD5NR^IL zOF3znZzY5-_P4~8sWZaZQaID)Rj^ALG@=faQ{Pr_T}H2TM`~x^CjRkfTAM9PM`}_O zziAMswkq{XPN7>P&J~k5aLnAz+!yOme*PQFgwaGe1jPcEL6i_-V%%omX0HePE^u#} z5b1VCtOOJOzpPN%349~aFRA4?eicG1i# z+Tq0zERsAznb=K@TIl3wBW%+>w6-66!Hl+mdXB?xdXj-PGbF)NtiK|rw+A5)m$zGv zm==7kaOGklbWt6VroN4p?0+sScX2~;S&ith$yc*o_TXm(d`I071l#YZhIh5FdQ&_} zwQ!Vi+apbnwJol{pf1JsoCUXqJnTC2-6ka1t+jcpTsq|qO`+ENqPOK7#N>P{tSC!N zw^4YL`z$jJZoxC@6DT?ZR^C`_W7WPb`_ZvV&IkfzZceu$;g;PMBmm#h*$RKZ*vSopLJb+J6!T5B@AosLzPbJ1}a^ggB2%kfbC`>45 z-S+`rqih?QbliFrD3GEM_v_2xGHPEn1Lst{G&F#^UCX46x(RcJkMsO1b||{Lfeqap2dykTbVL+FtdO6@k~Q3Ar|b@=U2I8qMnN>avXJ#K{(hF1KsHp*V+7%1F`nu;7s1{f*#Dgse87HK4&Oi ztlKK|jcuKGO=_EzVW6$MP!y@Ss(ku5L|=WZ=Keb)bYiq3U?5DxMfd5~!Fp8h+#C+iam zJ{bkM{1A{9Hv-aHU7Rlp$c6ouf^p`K+9Ih4A!#iy^FH_1iX;MuKo_(CS>vikpP?mG z5bCbClGl#+U&dZmAKvi~8&e?dXoF%0eC<6Av8YuKfoz(zv=Eg&W1m;UVFjHuQFcEB zMjFSBx#qjr4M9Lh;q}ZuM|~K=q#ovW(ytM@%>f}9=wfXP)kT_HqhZ~rn1ns9!<d@qn+FU!oT8kIT~CZjkJYBBeWHbI6-{;; zAjY_of>xcLlx-DUR&9U$s1a8}x+q7N`mK}XDL|W4Qqb$kaq$)~w+&jqgIVCVx*nXN zn0zSD&4tbgRJrbZ2`V0)s2l=tiqh5zBU=ta9)|ww@4vr`?*7)m=gUtJ{o8+g@_X~@ z{foD+Z`snff1{>ZCWUXLJB4;a1)}mLCd~+)P5FGZe^`rTlMt5vv~Dzw_))@ zB-ZjTWyNp8D%6`T3eWZo#4nUx8Pf$M8V3Lc({oq0wS#RwJoA^|-})ghUjO@xS8sm) zOfAj4f2F~qSN{2{pWeKF^6o!g{-oD={v%o}e|@TUX@`puVm=?Xa)}JD?JQT&7GFDl z3;57*BEJp}GBFr(+kwWGS-6l_q*5@~KLL)9T0Je;hQ51~1w^*gFlRjlZ&w=X25b%! z&1Jtk#I+IhW#lwrpMHsIqWWOdxaM)<+|%9FwPJUarNtjEJQ>px=td2=Anf-Yk`UI8 zxmzpAb)LLps9gxciU=3w+^aut!S~8RrBc%UFM~=|;V2RHa$i+wN^l7pVy3uJfRnSf zE@xKGgrvj?r#Z%Ygo4(NvP-#FpIH@eP^_jGhW^9#te1euEC{Ez4T~c>u7<(d_gs}> zd!wv@F2~b_Yt5Wx7Rt60rS{6h*LAIdURUu;zbFf!Prp)7N||5m0{Mr?p4$&oM|G(1 ziC;9Q6?GPBM`pA}d!n=@AX+#T2y(@{*$er`RUdz%X@A#3&9V7BDy1vpdNn}fHZp?d z8G#{RGdGWx8)ApU+S(8L4%YSbf)V-TK8%V)+PXy$m3@*(`hrOX-RGCh*FiySn(0K5 z4(n{agQt`QdH!`><4yNL|H?Ya#Kjh^O;hRR6pa%ATxqjb{`c{57d-t;Y3QTe^nYp( z)KvjFufoEYJ>#YwP+Q3#oKX3SufF^UP|S-TU%Y$r^R0jJ?uTFAzj*cP`P-N8{-Qv* zSK$vTRRrD$4O-12g)P&!dK59op?!q?fq;q+jd~CzQlZR!(H2plidta!!ceYNv19T| z$P{f0UQbsKu||wYQWczVe)fyXwPUd~@Hx}6yJ**{yY<@5bYMk4Ij_KtZepZRqMP_Q zOl`2c2(B%P)t6Vk6tT=xFTgP;!;N~N@!3<63Uq7IcZ$XN9&N}KwdJ;qghHSfMB=w;OVER@PbZ$t{m zC3a8Q`OcaB*dqqKn-g63R&yfyp;1pY-<6Gd(Kd7)>S_hz@UZMP3Ul;H(@@iEDCvluh<7RY zELm86(~M4zxG97?5PYZ`U_CflLX%6^S&5*?hGWc{gP1&a9`>9#P1l=X@Zu zboooa#l@|neygQW%(2f)5Zco^s~JTjj9#{Q7t&Xy|8qUK$j0lsT2T&g>Ja zow3pCV6@ADPg)^LN-Kw7`)W})r|ZhG?1h&`Uov-fdBYPUbJVk<)s{_~dii~98fITa z00eUkG#S=|bfSs5ifm&ICKOB~A0{3r(hoEZ2M*pvHI+WPz^ipW%~AW~tbFnD=q4PGe{>OE+gQEp>Lv3KYJikmC2@d#+U=ygQ)C@a1w?)Dbx+D`s46D#FLDoHU~k0{YEdB2V~c6KilkfP zpUx|pBmjO=$+tgfnk(~-1C+v@q(!*TQXnhB@44O|D`jm!bh^7a5!z`J5R9)$Tr%}z zKN8LlEkxQd8pdi$Ox+q`v8$a`SXytKaOmL&v3Qtz?MA_q_Sxk5BILSjTRQw!x0CPl zk+Yv+ktB?v>Gd51-s*pAsQSH$XU$OrFo6JKzWZj*g{D_-+7*LEKlcaPqnS|5YU%C+ z$)10vNbv~N4vRpwvI}Wnr)hS=98+{onwh| zG~rdPoqZ-v=YFA&IitfOhBwy~?poqUMajC%+p*zPTFHVG;n<9ZM~sg4LIDQ0M+pBm$Cuqf>( zhag<)&V6ae%yo(jD=g_9=dn|suwF|4E(uB}Es_QTYEEc>2;Pc1TP_A;Uqq;YZrT87 zt(l=PaYU+nb3BeogM&i35T_D3TuQ00Xdn-*83eE?KF}XKMJcb*so+aR)QBoz6^k}Q zP(j%eEjfjQji7f-0aSXPM^Jj99SyP4)&vZ0Lk^9|svl8?(s%`Wkp*f4ZS$VK1Q8%3 z>@l?Xz1VCr`-~{6Sllq0ZN8l;I!4~y& z$w6bziO!{fbk~GuWDS#cCm!3v{WX>cxY<@w&C#xn%Y4&5O%K;@N| z#c%_)?GUj#F5BGz+zfY+)hkv-yiKs}pmp!ii`cuNPBU9^Jis-pD;^HCl9X76c-mOR zEjB&Naq8_l+R!E&o6f zyM%(Y!nIXIIqHIT%IGv)3VWxWcCG2@4i@O$NyGv~F(S}1c25G?0S^j;!dr_rFV#2b zDP#1jfHgEcf{%M5^m37-ZEy;WXnIyrC;A99z!qMmSV>Q5w1tYbh!vHXhd=zGWUlYk zJ{(!eyGC4D#RHsoGq>50+2`1c>u)ioYwP=1ecV`s50-jbdip>zrS`|1+=`cG*PRYP zE#0oTJgd?B+N&9*tXTD*Ox0}Pw^JlX(Wq9p>N#A^T)HDRuV{A0C8oJs0q?DOtqW0= zTJ`K z^-M{4^bi;mRP*}aV-ca5;zcml9FCrqtG(i)Ad5rcLIkgRq<-?&07!~&1q>nDSMoBc zJ9AYtErL%Z8|i-98t{}-I1zU`E)X&=@N#|h;%a0Q%D zSauE(@KZR#u8N$&0wK&Iuq8`v#$ z=@b%D21WT=FrG=TigA=jX{)TGrL`ViGswBYURHElHY{8$xz?=OmCKwM*-VjyETGcX z;1xC8sw6zQJX%d2D>g?p9FOth+mHQv|3tiKMW_$^=IX?d&$LzgZNw?WLntY%ch?h! zrsP}K5V~!f){7!{qBd&Y6@{5XUCZSLHNi^$C)6wCBF>pj<`U=OhT9Gr!q^wF6CiwIqBp(0SISU zMlUGbSjzZHyk@p{r5Kg$XH*1BcTp5=fyjR#m@)NS;?GL1%giYA3z3GTHP>hXpo&Ty zayoDKyKq&1trT5E*KRLLB%8e%>#_Kv{nx5Cl46>jp0;rYQCBLtq15sdGgfS)BtOmJm)K(g8|CQ{sQ&j7UFc{Za+n55*%FAZS z$DzDOQG*o`*t+ImHB{~4#}eu0ReG`xQ6HftydlPYhN_>>i)e}FJ+NZ6$7rV$#?%@R zs#KWJTG*(;SI8TyLJ;M6oC|UH7|RmGuN*49f@+FWgSe(6?{O!g|9bh&|oO z%|o`z(DY>knmX_zFgy?YJ}4VOEV_v~{ZckdW!z3fzYI1W*&+nJz{fY#%e(05$*4h( zuV{u=yu-32(4Ms@x}!GkJSq?r*}?O8!e$QbBkuL%FtejSlxaZJbP4>3Gijc+p)qY# zqSf?Am#&E22qfavPQHtrg@VA;7*~iUp2Dg4MTntt=sIqIbN6W787!3% zyK-8u`E1SGxn%UQ^Qug>YO=ZDri%b~7DVF#l7u@rZwq%F#6z(A^1EkWaM=9cnm7Ni ze|hrz@$!e4&!7D3^FKU)^8D4$e|rAn^^b4fzW(G@oKV@~6$G>~uo%G>q3#pR)-i$5 z%JnngMIquIM8kw$*HOfHmMBzrnN+h77DHy82QSGD>$y-6-XUc)Rf! zl1m6E%_=e#kFGKnP|7DqIJQk>v~C0*Wk>hyaS(` z$0k6in6hqd6*;47;Y?u@8bUp*Cv#%wtW3hz2?N1;i@dh7x4>egKnN*5y|Xi0z4V77 zR%_U3^0C?;*apW{Yg^dwd0zM zS;hfj<~Z9P4CG#KEyF3CPeehkZsC7;Oyzmx z3(o-~$biJwyy=u4Ki~Hobf78#pl;_5tS}wD5}fOFDr4sq^`QV$dFBv=gWo6yAQ{`l z>sqGpXi=yj&tY&xo;uRJB|tgf?{xbrJ-6*NdIYW3gS)KU572!Z`+`b_;!D8lNG~q> z<4!Js(cI;qPP}EVyA4OIP*5x!0-BM^1?6$dc&0FbKz!Sn@)9T)gSh}O4YQ^U_pW23CXrnAJYb9WdV)C|epEtKHYzkymH~?jB1-aC^F?gI%yQDp4(J38V zB3N<~B1%GuB?K$xvFWE`LCRZe`=lYEa2_{%5UeL2SE@;9lCEOyTqkQ4PFk&zpwFH7 zfR%Gy(4$;^6{Mo`AHP4Bu_j6)+Fer0zm-d(eySmFL!NZCeWi{jG~Or$w;OS59geq+PP) z{BJ8(x~UwC@BI>VDx(a=IkGW(0f$@H7=#BIFbL+wt6L7o`W5^99-fl@!E@t|OKqOa_#@SRBMCeW`g0hSGiC;ExILEguO`aQjqc`T%| zhEqY*b0Ub2x4kBrBlw*vYWh6%`cZ+zqHxuA?QQYqUfqI_gch6zn_D(y^XMVALR*S+ z^bw1_aeUMdy(Eghn15|Jyr27mwVwvxa{7{ya1*+ViZk=1vT^6YC~#3=DCiv|+TGLy zcu8i_Gk8>Zq32}4Ly$8Mx`n7R$@i5}K!&j0?Ok1wL`!dGK=PvavLRJ4TlRWejfPVB zkv-Az5_1#F7%TD%f>ZiSy>wRmO-JoBEMe{YDrzCZw-I4t1OoO+#|Mq9f-gM@ex)_w zhe-G0l{qO<9$EyZr}83lQyd#%8^j3<^pP)5p#H6VJvqVllhPCAV+$&z;jf8T@51D!Zmc&AwHZbPekQFrQIrEIG7N9Ulg)K3 zBWqy9L$#-lT7}sn%HCP1hIS6R0YuYr0@?E2R?mf3`cC-jx>e8&cl zheMv>aDGvmQ$EH{&<&8T}&V^k5pRzQCr&TxtIjAk)(ALbFR^cJZ>Hq|hAE zbUGu^U^%ru+-8n~ksK9R`p>52qAwrUsI#<1;4~|t1db!c4bMcc+`tJQ)3#fHqHUIr zYK!Puz|cs6X-s8>MFfZH)=Vx}JQyoAp68JiZ?MI5+bIO5xAcvUdnF`Mdr^|UDl#lY zM}SMC1v>FlVXb{W^3weS}0gLEu%{D9nHXHk7!(gUC18ip%|GJU+;!DJwAnx ziluY{;+dl{uqZ4aqF0mlZgPuWhHlmai4(CAltl|S@HFf@#S=S2{?2Vq8Rh530`A zakW*8N7=b%YI40oekSv=+d4;^4mrm-*1GE*vVhj2bQ>I32s3+aX-Zz|ezd&{6ZdJi zm5LYrv_WD`f2^&Fc}za&8FkxO%iz>8YUc~#mTA^;*R9#?&@a(bu82NsKNUn7n~?5a z2I=N7?Ko+}?dH5Sdj!qdaRK|Cv*kIJo{Q%CB=Ku4;P|(#z~Ez9MNUs;42?y=F_Jm6 zR&y4#&Ua>L5L<_EH<5)Z@WnaG+`JWC+qPp`Cy)~9 zy`z$0AWbNYSgC^ycU{~bS1r23w_QIY^sVJI%v^;i3tg$ZxnPLO6&%#~OlAFYV*Zk~ z$_`B&4UQaq5E=4DgSfs!aCIWeTU@w^H{Ly+oI@IBXTE9AN-@4R%Euj$H+S6l_IIgWEW?E=mj~T-UW5Ukak( zVQ{CX*V9rGBr;3LtC@|0qvXX>=(0MMbZ92ktP1XvVscIjwaOp0fpjK1i=$u5HU=dn zGdJr6hu496b;DK#&@I9!>MP7?5nfbeL1C0H)?#;Mnc8#L)jah-+|w1e-eeOixBmV;DK7pnj~700G#@DM|t6MLRu zF2olFrLG-e5b74Wy-A3SpJJLD7aC$-CVRgj*s8@J#j?2@y*lfe_Aj zRDJg&XFPJ|5cn5wszO7C>fo~1t)BO-lqkBQHAZcl=~qHNYTJ**GRiCN%rt+aoK&^K zn$mpT42kb_v`TxL^Q(qoL)8btr(5?d2)!wI(`7n#5=>eY$2IX+-Y&X^H^kHhb}Yqo zU3_o-xFuP%WyE1?&wg4Y0AaRfD^) zm{u#M`y>!|E4~RB6N{d#!dnUc1udtX7Y$B}dswsd{{D zc*NHItxZQyDZ=phVbCp=uWdz|PMIH-tf)mI)QNRLp+*l4<}EvJlt;AtC2p%Mx#GL=KqSi?cVQ63vdsa=>vzgOZhuU2o}-#9L+tZ6(r zdO;dK3LzmYk2!3ls3>sKBhIlH!31uTxhrti3TA8M>S-&bdz$e|Owi&W@tV9}Z6Ct) z+QY)K%hs>JURPoinKB$WbCs#6p@`VI5ps%Jbjlq!iMCm|Yr~-B<2DwMADNUO|D=Y(1c~qdqg7t}3(|j&2 zIgfTpOV>o6drjG#+Sq!DUKGE?_7#gYCr4}|1ZIjoQh#X#x)x=C501-Ue*f&N@2H-5 z{pR(%_iums;r%afKNDtn`sDZR&HLw%&W{2`3f9V~*F=>c;qJ0RVdAAl6^&3q7CsVs z5up?tUzLugV0+urveI^+yIr}p$|6I#Z|%}lSiwjEvax$NFD;;Cr>KJn;LQ5G%7M$0 z4`Joj{3dkVu}0fFJ=MM53WZAGDb9F!6m-x+sO5_HT{))13yFmmcy&^*G%ql7;&ZDs5yIHh<#Hk{d(ZW6lEqdG^i@Nza6^hfkA&aw?c5#zR zGdglpIY!@>#(5HFhnIKRb8jDx?FOpKSu>0M#x5kNG=1N+axI1%V;`~D1+$EKDnW@7 z=}$$gBeDs~m^m2scU5w=TPc{0`@U>Q3@bMIou=H;PCp9vXWGUhRn1y_!(Api8{-`= zx8TazDosqt)t%_jd0!|cxj!5b4UFcCUaN@OwvZtdssnNEu7-X5dVq%zEX;q{Rg$Lh#wWHfZgu80p z+#2&8@)V*uIDH3`Evx<*=UnPi^25!=J~#^;_%aIQi&WqfgECr=EA|$cR8YX?7lf&= zP`sd~6VE(xU_ds2yYzUA$r{S!j1o;=n|=|AT3aaTJA_kE$rnTt0~4&(3r#D6vJXX6 zbgi>i`w?zga8&CeGAHxFQ>-k^4?WnuDDW8ZXi>R<7`D&}PphD=w<$GtZ?C9~Lq|!= zG?NScDEUu1+HN1PHsKEmct@>eO$qoVaP8M{WHu`cONt{@Kh_ zeo~IJ!IR5D6DLPoKoRi#1kwvFjZ;BG5uv4h3#u!@! zc!gb{A$Pky^!t7mMmBaD&&`GvEq51U?_FSYgEwlibrY^6xQJSmr)|%OkOvcu=thf| zV4B^8vyLW;3gpy$qjB67vTp^u*iJf@2ZG&NHH)%X(LQgbjnx`Xle)Q1b6yAHEX~*p zzTZ|v_^H+n?dYLggR`DRn3XnLOau)@Gp6CciS>`iqPvJ&bA%tUd!`5qr4(_(!|!ZK z6xj^DmrMyQg?c!lW#y}cDz6rdf=dG)f!eI%^i-rKW#%PaQ9M;^Mg*nfu%=DMtm8>m z(9vQ=XuEig3qlIWb?wCr1aOyep#Ww;nZMWa7=nIPhUjl&P%%gaQ>SxI^nV35V9XoL zU(js|df;_~f~m9N)I2HaS+vC3g6QNJE%YS3jfmH1)I?dKW9}}UH`?@@7O*mNa$DNb zLC^?=DkK}QFN;|jUr2*+tudM#_Gm z=baNDFJN52);o22mtS(xDm?2{Jko!-G%dGo? zp9DvyX1!%l@OeujB3`+M85hE4vkuKOt*=ViqIX5R|0tMy387O4qxIG6_J<+O6Tu_9xGZ`htaWiDb%g~m0E1eNgxp`*4n$39SF zniuxi*5VXEH1D_e(_s$H=z+ray@tR0=BvAz`*U;VAKv^(SO34hdHdw;i=SUx{A78%F^50&(fARLs56^#o z_x#BZFW>&~%UziDKYw}t)ARdR9`?l-Pj4YK=*#V6d{K#qbU${(oOBDRYmGK@DR(vQ zmlT#Ze`3HaDAE>3ge~Y?Dl7@fhR7K(09y3SP5~A^_UIl90i>@^21e9Jux2R=4vN;| z`L^DRNC_FGKD>9g!DZK`Og1XZSNLE!H1zOYLc~GrFNkTcGm2V?iCkLAdfra;iNQ+* z*%QIBtVg->O`K%u0*lwqQH9u?7*7kb_~jAu=23 zKidh#;o{Q44!K^b?N5A1Uym-XwL_hl3aU!OUCVhXg3|}-+%+#G-)VNG&SVz==*W7QlUk91flfl{ z)o#h)dK~Ss^a+ZhU|hlJAURpzu+QDjb{8x_4Y_f$wJR5RVQ$1JPyJh1v~L?)0W<{& z3+qFh_MgInww*G6=DrKn{{v$QB@`rO%~b#&$Kr}otG-Ej(A-5LUevMCwO#kwIu5+# z#*-|OH8lxAl2A5f5pktkLb^rG&3Yc!rp40_y{0?#Ft`yb%WHq>rONhT93`$Gt`@I6 zmcBpWBQr6U)AFq~HwCB=wt{QRDw?`&7DF(G+u(udi#GP)LfQ&sT=qaJ7u620|I$`a z8m1RWS)bNb%E?y@Jdz((dHaYf)}YJI2?_# zLrzY)$!%EjXnER!#KqM_Hig}^<>+2e7`IyL`W`g?IrT?4Y!`$-h2LC_*x?#)T+?_c z&4_(BBXrPPxb(tGxbDKC!tU$G9QyUsFMc(L{P}`EbNLR(PoyT34-GZ2eKL=En z8c$38=HO<{`NlP(3xX4VNlK4q6U{0#i4~zugn22anOeAejh=R7J&GR6h-4(3d)lV; zZMVhw?G%7+PGAvxfqde_gd!Pzt^&EX00O&1qL~gtD_q8@vCVnG(r}`axsnaH62yL=#Shvy8k*d$U(1$K``Q||NvOy;^-bZjd_QLFzyQYlop-CEONtrMf)VC_t zh9`y&Omah^oSG~)Ry}g626>_C)2Y0FJ1RB533#-n?4Gt^_E<6&@3kEvI6MaivXzaS zPa4divVuq)YYLv!GW)iOz51Z3J-r}!0`hBNijE17h~?_}w2h)Wv_ZnT2RyMsRzm5s z#)WILy-dB7peYqvy%tYhc@sr1?rzkpc~9l;!@^h~Q1&RO(wZpyz}i3=Od*oy)q;Rd z#>7p~!XN#ZxB?3FiKNfZBEzpUuYa^Q+Fcvi#J?y7srx|Io-X*El%@EK8Wv(^6L+|q zId00u%7Fs+=qCxJV|<0OMu~^FlY+BGJ&O{i_VzUTp?r#DS9wl2B2m<(prS0n5x2d9D=qo#&r<}4Lw#_^oAibpET0cSwt}V~UNSq8D7(<6xRfvu<<^@x8ubVr?}db*ga%Ac z(kxNIYqKEA8|o@7DSmzk!79I<=!l0qGfY

c_Eu2rnnlhXEv{Nt%@)u)1WE7VD@QS$qGEHGa-DG%(OuZDfUjh7-P_yF736= zvBw%o=`aoR9JA;-!|N*2R!2Qyx)bW}o#F{%>JYg(y7Phj$uM-NPnD-VNSt-vEvK|y z?C7;R-I%XZrEStV4Zs(=%X@Gu1$F3`F>L{q{EKd~thmPEDl2be8E3&%T9n+(c*3+| z6{28mDP77+DBE-Ya6jhhwF8ocB)2J0J82ZRp*e{sulh>Nm&t(pjQA`HB2=*_>uz1c z>&84p^g|V!;q zv_crO5@-5i@Dsj-9i?FSS^sJISB<>8`?CWtBt!kg11KB^GoqU3kc|dv83&yB!ulP$ zl1#sA;L3MdGKhVJvKj#~b`#}#c9#M!$E}q1m)8Ze zmI9sh*HKjur=mZ~fVd2!f;ba!vMc;oR9ksn>ScqaJK>*m?%q9ZN~t zDn@*XCrrXi(0I3FL*G3hqw*`?++GHo*)e-h3$@im4QM9&ouuOhM-Ny6N#cEA8r+{| z?A+=KLZYSQR)7wrvr7aPo%?|=0+4lw{BXbop3sU^SmgSO`D)0rG1L^{=Z7nGDPUs5 zG0Cs4pQ}60E}qxHv}X8g3VMiG*Ypf)cGdGjDx4O|Ts$#qBk3h~;&Ho{;{WCaF0;o?;ixDr16kLW$H3$|11wOzeS}f%~wGhO5|JDWHs@#;1EUr*D*Lh3o zY%cb(M|;zp{?;3fo?XP&BM~Y{_V71pE{V<*T+FU3>~T~v$6vz=>M7bUnZwWPivm_{ z^I@xzj5Qdpq$!#u#%yxyt(Be^^mD!a{21DrD7c4(Fj_azb$ZqgOEMz_;vsQ%LWHG3 zGwY#zf}l=th{If)w)=!=vbS+=q~04yznp=@2X+alWFDFY$F?3qxD@lO1;oKOn8u;Fr^f>uA3)%-`9`+E|p9DLnL4t)~C$uWK!-E@xr%l zPjXLpPmdhJk~2`Dnl}+FpLWaMY)^{hF!QJwionZ95*%!d??K zl%i(7&AteojOo`OwwQa*>txAgnLqw?af`q2$c%6UJD#fAP7=(QS{Y7zMZ)ml;%r&4 zE1~Q;1K(G~-(TV>5=7?fZFv{<9p@dG_pfaUq?~|lseG4h2@Pz^f09$D|9e{|rv3M} z?1d0T?KMy|+zzn4s zx`7cSN}WJV1k6V0m+tSEVq*Tg*ygjz2D8zkSu7%4+Whrav*hr)g{X6n$v5x0Bio0aS7n@X-(%36FgVN@q^~?j&c)^b#!;lRU{)qj!=|r!kFbOl~ZOpYAate_Cae531); z$b0qn(kaBP+EN82a8AvqIgE1a5o!#d&5(?JmMVq!d;^c0md~B<2c&?e{%3U78t<+x>NNfZA+G7dOZ}WMUnOHz+VGYYr zQKS>XC#-n1Y_(SeH@;yB+`Zp$#Uk1ln;gZM)rbPLx#qx53YUun58~zW!gV{3HRpWi zpN&);&4(TkPsPw4#I{u(YvWX;LxiNa@;MD7fFgD|tng^($@D-tf4v`UIo?pB_iS4TJWGAeC- zh2y{jD22SkdvI%zu^0X`lj(bwsw+!oLG^)_xgdv-scqWwF=cEq44{~i5jr^7Zob%u z*X;9lszYs{ore+{+={G#^*tgMS5)&YF@*5U;MeY=9hH7oYKiX<$S+LvX)m>1p#t7W zeX|MT*gtmCJ7CQfgu`K*i-z#JH*2^=nEC>=I%WqSz1p$PADNym9Y5crp?ea#4kyDp zC8dQN`NhHJLJ)F!KG3&j-D*cl#+R;m_W5T*J)WzsP41WN9CF-D%pPibmgNyO5u%?< z{H9)vv#6uMljvu;tG_i!xxvH3HB)G=^S--xd1Y>8Aimd4!-7aKi$IYJQ5*$|Us@BH zk%p}ZPvoE=4PF!eCHLV$YP(NUQ?ALCtGkLqwhld~4Vsru>FeQ1drsHf1roI<1B_)lTmmTcdn&zO^4V`h=3LPaGyCps-|3^@CT^~^TDI`*bvqlP9JAyQ22Xj_}$u*K5DkmjGczPyK z$v+b_5PB^kW-4K5tBb-4b1sYwB$0q=G-OTHO~slwIHSQCYL+)(e)C-*+!{9+g#0ZC zbM<_zVP1oy89OY>^?)rz?;)B}SN?ZbJwdvvhK=NzvPwx3Gx9UoSI}Xp6haH3);wuC zB4}MU`h>F%6Z)#-Yos$Y;?N;D#-b}U&JTJ~t)-aFchiAuH%jfPzb9aus?G!{Qf?zU za3k%KV;h;#5UDq%5T|`}xY7KEQSqAN`k3OmLrBDVE5Qd=hpQ3<g9xNl?nxR@8^s#n#Nc$;V&jfN;i<7dJm*}HkkeZo!nmpNq8FU_}G zTL$Q4h9`YvYbkj*&cE^eXqbhSL#v6G$8FYw8m839Qdfk-_lA8WCH(b?p#jQ8*poAk z$m)vFOVxHB_o1R*2PR{DwYNre;%kguxlS|^)=J(~sGWK$7>fM!-eo*uao9|ZDow*Qr{ zg!c;H8_7QTPK4zP-d^6BM^uAkM6pFivdT zsCyx6CnbJ4l=kZNocj3gn7W$kYj z3+Ya2^Oge{sbblTc9j#Si*XT9}NRdl=sjA3nAYP0iv6|2Fl^Jp z{HywEWkcpxqMW$$hj9;{^`JU;_w1qXOi&CEvhT9#DLE9PREhadhCP`d3p0%~&cBo@ z7eUCi+-Ttl6M2p`4WZI{NSuL0n7yl3p`6CzhsUnl8%RtzL^#LWT8y;GJyOxjopj2_ z;rwkrTDONtV>;*H(hi2n*nH|Gb^0`Z>Ps2(&R~vtCdvo)Y>JCZSou%%7T@oI%eM0p z++}NDD-c2Nzfh0Ywhcy2S-!fl!&Jj8A|nAOa+lGGT(^cJZv8(84Z$q_95hsvU+u`` zBfHE`iIY-(-$u_6u%6SqQ$)+?{KwVD?I2d_8Qf>k7_UrQS{*$Izj=U#bC+C+3gF5Q z>0c6{6ZLn3Fy)3R$Ch96KKu6iRoRb+nPTu|c$)zq?5Y&36-^EU#QXN)VF$E!cf|)0 z3Ty0Avac2|6N!Xe?e!Br8ZVG2NAcZ2O7(2-@pY}g{|+Ys*R5g7wYy|z1F>@f^TI%2 zrA|kQf8r^WE;&hQ+5V@rl8kg+e@zk$UHe(-;N;}unqV)0X9pjLqQWB}9^7EG+ocSoVW{&TZ0po(4R_CvFmxCp8A&^?^K4U z(a>!$(Vteg4sx?=KA|{R!Xz*G&a?3?@F>;qK_A2;573(p_@)vYN)Ao45#0%VZF^aC z>Bx?yb?kidbbSuyM|G5D0iIc}k;bc#S(f<_N_{IcL}+Nd=A}oY9G?+-hL5>SlsIis z9U&t*GK)SanM7STRIi&*Q6f!CFKkRbwI+$-y>vel_??E#d~TDB0N}db;h^KXHCVY` zIS>acCl?Tp(K6)x(@TNU-`jUxqU!Bq>g$n{km-@`m+q4){7-lDuS!)p7&ssc;1T`( zQBRQ&5pOIXP`JTXmDl;O7_a0-i5;sR9S>Q_2%*I*-1MjunHssGr*n3=8LEr<<@B_H zrJsHrdC2a~&O9^*`mk&O=+<4<_STR@WuOPa8%S~v*1uqVG^jf&Olq$H^tTr{MucF& zJGOe_iD3A8k-lJ>?66(b{G0-2`0fu)=-x*LYr*>_^Ia86CK@IE6Uc=5`FeZ5AIynM z&9=1t!bu4TD+D5;jPLT~xr7_SJ{@rCD!qDlG1JmTUu+63U|g&krj91IqzpcyWOlM$ zLb6oLN^j$Dt&e^p>&FY7X*q($e_!XuHiM-U0Q&h|;NKdI z{95PIpJTw_XxWP8{ZIQ?m}FHtuZxk4dCIhOeSIwdX*Kz)49UrMy|{2}T%l(t$nbVg zZW5Nm+}FgN2IJ7-i7=CP9T;*}gCY0F&M2<-RqhV7M-)7J({&CYwB)BaXnc zFLns0%D=-n`Mrk-RHAC#OD7ZwyS+jWlb~m=8=xN=#!$V^P53x|6Ti7Pz@Bm-Sr8Et z_Yu1fPs4rvc*q;{dhd)5`UPP9U1Wb;w=%S!*0ZsJibt=v7-z9Rstp2yoyza#Bg-;M z0Idg%5-PwvP-RfD{J(tf3cX*Vwx_ulJ3e(hL9?_q0s;y}$2~}Wn)7hon;Q*Z`>NCp zFgx=u5B=7t;M#03s00LvzVhI0k%IuwV8?aip(Fo#cOU~})qjy-=&bi~>y)vpxOA)8 zbeXDHSXnr@M(Z1%uM84I19%Xi(dyeY+n_n_i>=4v_&NK5f|_Sdj3anTM8U@qp1Ho& z_>rRIWkql|*22*!!uEPC?lOdp>(+SSr;3!F4Geh6|2;9+QL?XByccNYl9TvNM9Oxp zBHiIAYR#HJ%eEAes2T((8=-n82YN<5u4`ILW`C@Cq!`}iRv=}`70 zeD2pzo=8J7H~Vk38sJHVb=d@_3K7xau|kt!&lR?GUQ`BPu^ULzzu3~?@x+KC$oM`p zibH3(5bkq0r7x@77VX@sj9`@YkmQ5ni!IfY4p!a7!Gf>;xj&}ZctU73rIP#c6B(B5xLe%k%9&&0kYD6Vq*YpCPKV?F> zZzaHXNMAUL%fQ9gnQO_vr^q@=%Q1FKGc&1*cQZ0~vOutPvk7IYGsaP^|K*NA<~R+c<<4OlNA!<<5J^4E=DItDkm1A z!LUMDdXWoZ*0FiQDC<+xpReQM#u~=1iu8HZHjnzhVrfe8HdXttS%mY2Q${WT(1N?H z$*mmM;@{cn&dkYh!Yai;LORiIyeALwFeGRs`RlQvaBHr@7C89{9vh_;OF_ngzS{Rl zs6Vj(<|(1Gj5Z7@)+gL;9jDpelAfZYRUMNwMo@#?6}7||u|Ph8t$XOHM6&rxlC;#q z`I%10x!rWY#(vJ@?;S6G=QeDFt#V!foIAZ{w{lpAe-8rhd~BwNve+>5-~nrZiFR+a zzUkK9UbCTRq ztUaa=fb1^S67E|`D}W4;PGUg~)@Ps7fXZ;9A*=Q)OoD|3$%F(vUnBveKWnU89NCW9 zM$Yw#^|+d{xL=0j5Hp#^n1R9(Baz|Phpey})N!$lNo@wa{ZKJP5f|iRFSunMwo*p5 z_@2pZe%1`7A1db1R#lmQQvK?L?R)^a$+zurHY-x9S z5pQLrf7Q_0iot9@gAbtc1GDUvei=F1%javn(CRfjn*P}LGCeZ*GJQC6IJxzD=J)dT z#BVXZckR~D!(Z_3pZK6>+zbal$GmbE2duje3IFK6Zl#YuEi3{9ZQ5WCKwAa(^q(Xx zW6yPLB*P>j&B)Lv@zX+pLFq2{m+kg;C z!EzcNfl47jONFF_^U$dH(z}h`D5;}$d-7jFl z9qp(A&*!?;{*UW-EB*Pker15D9mEO9_6`tHiU4&SolL)~I50wXK znrKv-K%~KY!HA)Qz-Gm1;+~nq2%8=I3adfpJ?Izuf&%PD+#8NB_#SfymVncH5gl;{vLh}XhUJ=xl_pN@XNrYKSt-csIVr`TSC#4~6m2G6)bG4v7r z2fm9gj*nl}L^>2C?RW)nA@iijKyvcfv675nLktr)v@kaoQ)^%KLFdal~L zw_thx+&-`td15KfNR%er<>PC?mSAb39=n`jMaL-i6iH-z!vRC7qj?e0t zx$?{0X(UD{L!YM{3!s(y6m@aDJeKPA*d}R62_@AKo|Rm8I1KNg?4#*wpq+cLpz2T* z6FZLzrx-tk>s8{bpXEbo%`!1LQ)|`3D|S31mhbFRb-zP9f2n_?Tc9WJ*pjwE-K&Wx zQ;?mZ$dLo>N^q%QQ-Bc$1CJX2xz>L6-ig>T`&{P_%~v`pw6J)_9h5z1a{}1oIo6;b zXs9lAT*NDLM5W&R)1peTC6K2n8qeJx9iF^}c%RtZcLmAymJjPC7xV>v8^`Wv_n|jp zJ1?Jp`)u!dm8L`tBUHOTe|1(A(Z%`YeHn8dxWekmQdpkLOm# z{Vx}CElm5LvFgDA7aMz+91EyO{(pLxByCGmQxk0{Fp#F}151!k^RTk8QYn!0Kl;Rt z9po$`g()IpC!)#e$G!1JhzW^~say8LV~(2%g@xjn;Oh>o+`C}9m74!`46_2+Vpagt z0V1c|zp~5BKr2@F_2h-WJ-X__0SX5=3}|WEVdnsIg4nz_MT`+UStR>=rP>A!5ylKn z%}w<^+iDJidn1+SMkS?F4F9W2DznwraGiO(OBI0UR>JMp|5FRmet4~g5UMgyj2m)$ zEKWvltC2w*Kf^8Cl-e*=KX>)j?S;$Uab0W)EkZG-cI;xtPz06++-?RnY?z+}{?#xa z8Qv;tS`y4FMZr)k4y2$X_Cv7OW{D$q{snDM-YnKY9n?ySY6Ltv5BG55rKqS@%raT! zpq*Z#i+gSI=|0(TYwNMT&52TC;WPhvQ?YFDI0D?%_FOGo0Qi*|2uQg)Z$={)vZx=@6%SL_=z2 zG=^e#=FCiT-0Is3YoQDdZN5-LF=UE7H0q4Sj_%bPs@cnvKHgveV%c3@%Uij*R*2Mf zLRs)y-XR~A^FjTTq6)a{Dc!&G9VE&qW$WfwvsyFYBJ}u%-ay6yRE+076wn7vqPmFB zMV@_R*TB=X#^FNQfsowFlS+M$o8HU*A#+-$_7(gd^a`qTeL_v7=tb3AC92k9sl)-9 zo#nEJuS4*hGOjGlH1Q@e!Z#ueNwoEmbRPIvRY=YM&Y-IE?jvUc`0f&a@Z8GL9eQgK zuA7^d1msvzwJC8&%kCp02BiCo!m)LQvK4VTatY7RhZL7T%s&6LemRLVRhTo*gJi5^ zM#=YNK+M~L8qLhuN}#AU?!Ir7Hma3l#v74&s*}UAuA?LOI*1@J;DgJ?-1U4G6&h!leDgK*z~d6l~cm^GlJoO5ia4kP=K zt-74vj1OBE*=xO-0?$DvoW9TBGFUc5eyf>9yJOX6|9xKXpsDuJ4sY`BZy+2heg3s0 zxr;oX=T;7X-8=~NzTa!C{WytgjNlje=s-LeS2tLF8zCvWVu}gxHOo^}Zq)b+r^M#? z8qRSN1aYPIUUs2NuX(BTCY6&pHtQAso+62}yiAsiE?-vLH1#82EFU4jP!^u4> zxn*M-mNHLAM!U=ChSQNAkgM&*}WUadE>}Vov0U1OgBT z@3Km_^62aCc3{x5;F(+7rJzl@;y3Tu2NskM#FFe68>-j|zPnWf`k!tP$v%4_V<@eX zkUp)CMkBhG(CC{$06Njy6uM z{@Wz7j^t znQBjJ47DBRiaA=U0n3F^lpK`KbHAnZdg>Y)Y=jF>UO=+!n2=Vx=7+xvz=& zL|sUBV-;l4O&AH46`jSsPNv$b%oz=&gZvD}s7|49L(!>&8)W#2&$Ce%r1-H5A_mtTZ_eR*r`eby(!^d=tf_O z45C=|)|7>#c+$D285$7%q;pQw5=BiVipE6g^X{r5(MbA_xTvwC?2PZV5A9mNe<|$9 z__zTX@L|T9lQrtJpTH6)$o)wOXrGBIU_uD%kRZ`F;dnX)>(~XBtq!pBnPpnD4619J)}l2fEhc5+f5$Y2 zx8W_SbGff~GHQKEm%KmzZN9}!U}zZ9Sz6Ze%K!6F`k3X9 z`r{TH!T}xA=Kb-7eyg|>H{&a4^1Y;mdQ-tq(FS<6LuV`K`$G$Oc8_>g3Aka1AVcqW z@U|Bx6)WNT=1;#n7%5fLhCJ`dA5y#Jr?v=y6$P#M(j6nh38p#Ag1-LI3P zHvER%?KD4s5s7=8HPkIxT*Wr{0qkGjs%c{-uUcJmqoO`=Mf&+Ohaf$YA%qcxjsv)V z{*swrv3~70Nvp-3hkymwU);g!=yl7|tvO15@fNbft;TH{h#lI z>E(5^zUoK)`8)uF!w}LHoL-n<{(3+jdMV43$m#wg-N&q-fBrv!CE48TI(Z5<{G2D> z1nS5}zTxZ|Ja0qXU5zD8s`0C`CnHEDO6#dl| zp7y3yE1G7QL!#cgGg1b9$6^DgpA(FJyO*UA&;hESkqkjC?**#RMPJfZT$YH8+fP?Y*DLRGCB7eb@}{#z&Mc&dG1? zu5jxMw%%muHZda)6&5oN^TkpA(z%0fAw9_~GM1B>x`b_%TuHEEs^b$EYADVrX`->G zi71n0ZWk_|LFg1|Hrn}7BOs`Fdd$3L_Bs1N&C4g0OO;F)`@cVkR4X5s&@Z9vmjgx^G#E#p^PoQfCTwUbVccws*{NZT`Eaa`{PfI_$kY=^hmwKNv zI5mLYue*|=n>`}so^HLoq3_*Fvv4{9O0T@=e=NP;e#zWk{DRUl2(4pb|6}J&eR4Rd zMdbFmyt0oH57fXz2O=7A6x)gSDHYr+!S0t?qVGfz?EPrkaS=xPDr?`GSJciryd|?I zGDn9qgY)q`BM=jM5CbFb@rGe3h&#Xw7h6VGkmS>>!RcTzXoaIr{}HX0U~XHy1R=k|%_SyJw z(5i`M(YqRfvFEc!WXsMD~F%uug=QGYD&;JW(gBWD?Kr*GU$S$+=; z-Q>zk7X?GZgw{9erm|%?4MhEroD*rDMRnHesO^4u287>*pj|Z9!&rk_D$TOzEW9r;4^h=(<)!aiKY z<&Z4NK>tJ13#R8}X6})5@anb;tXUj#;}?{*h$ULDgjos*x;qWE6|jq3I!<=@=F~_e zv&?6dXiXgKj^ydFEBSpR8>A(GAHLj56;2D*xj@NMsLyd#-H92S=C@KXw^P;u+0;(p zq>nxy5-QxhoGWE$rj_{CVIArJxW1D(B;RC3K&8X{Y??2&JA7bpfH013@TQGxq~e^7p7m(i$O8-9a~Ic8OU&dhQ8eoBMNA!wR}J z?UmKbj{w06T%tV>T&t{KQo}Z_uD+*R>)#1_%=PXexjUMi6))k#{HJ{9?~jp@AE2LB zae|2CU=Mg~Y}>qOX%2*<91u0d^3RA;a>QSIZpdrsqi~qokUs%UjE!<<)=v!=n$2p~ zzn`L}xx5sHe1vmKFnu5Sr0Nji)Z&=V16&8X#-XZDdOSLfg7)(i*nX5v?L-h|glOG!{+b|6z` zCun*6U`*sMlLR;SYP?U|#xa#GFGn6{KyZ5oQ7=?q`^bRsRi^tJ$FS2KNyh{u4dg$};#l#~ABpin7k5q*@{Im5#6vt|5V^Pp4v6 zlVZZNrvk3dQKFR_53gRNM#E98nxm!R_;E|Y%?>{=#*K6K$pIZ@QWnb0?HQq%GGUD- zOH6S}dtBCqNwUog`OG!rz1a#5mGx)hW70}UWp*JPejhkhI@KhExYXfh)E`k89a{?S zRc425^)A@UI*J?g7R=eFuA~04e~y@Cqdo8R^!Rgey1fcK$Bj&v_u3P=Hvs&^yF7wh zGhO__eZVL-qQ>iisF+A|>EKOyp;nYWN-uPg$5~Kj%TLgDmyXMh_w}?PbbLAqI5OL< zjR;>I&~<%8<`L#ng~VjCP_<8UNzsC^Fo0vBAoghsr4#?=i84|g__`sTou`5$Rf_tR z`$KSJNKI$uR5RVf${jnl`_W&9OkdT!O>|92D4`WSxv|2tQQZXT0JPz|z`He>_1g*y zmP{eUz0wiF#i#&#!9}D|_^#<&3N5y9Sy67Dx^A3(Dn-!oX=Xz34z1fRXV)tCc^BY`+9kH%nJ`L)3AQ;&IQ< zd(x$Ks~-qCBQu5cc~IXw@$&?KdyvlxmCsrMW@9b&Aqah7Mf6`6qE|h~NsR(n~--`8DFYmt;61UpOL9Ub!*hojG z@!vMeK|Eq}2RL}=p9|Et-+r7GJ7+lqj92`5+Xv^=#c!@ZdJj)7D`@7KP;33l|S-gtyT;|di`mJ^{FALAqByOAAfia1fAjigg=%V~-&L_MTrdu%tV zOC|+^N!}jAdZDs^1cIVkfsr+qbo#~|l51?0viAbYzUQfhR1%Uq>pUG9O6H08x&Gqo zsCzQRD(Y{SX?LDJX>lqwCN+AL7{#=i&7Mx|)G{JpO>8px4KK@nfFFil<(Ma;o7tsr zXggagI2AW3)%3@Fv8I=JmRHlGMYMrXb`PWMO_mFx(246@y@;GoW6)zo1FNu>NX|ZQ zL!&Q?`8|~g(+-zq&o&)uB->}QL7CR~FYK*cOP6$fj8SKQz;Vt|ax00r3P|@GCLKpB zXcWz+;}?u{FXqMX71B!fSn}7+(A2Bjy!e1@`k?=PEA}(PLH!rN+)+@)8#3q!RYoxi zUACr$jY_79ddtvKev413c-SXOsSu@F&~Qnu^5dfx_5G$bBmt)DLi73D7EOtqV>aa9 z56B)+SBGsRmi)LRL(NcxjOdPcJDr?vRupxC)o-BDeiCbE+3J48wn?Y$U47 zu>D?h1|`cj_d^Q|thKXddi5LPn$M0J52pfH=3$5wLCX$eH`|I%ke9xg0K4uEvLx2q zL(9K+9tQ{v$Q8vI=_I84JAd;KvXZ?$K=1s(U+p%kpkSaHx(zhwaI$ih7d58!uoTml zGNPwb#rCj}%@2<@H)8dLeo5|Lb*;i#xLjVIZRLw6xuJ8(6wpb(o=JJlqW(~pV7+}` z{u=-wsJ8xRRKfqWo1XKR-5^nw-8i*q^;kFs{GK)7!V8oCW9%xUs@%FR4bmNgv~cKi z;LxCef^>I>AT81eNJw`#NSD$rNOzaC5-KTzG>Y;)$GE|LKje;ae_V%mjJ3|*&)##d zHP@V$Mus6~*$y)2JEBYG zLas_3@wmn*C7UsA2_f98O60lkN4_V_!&MX0 zy?4=pwX=CW>nBd*kENsBahY_F2Gfj{do!Hx#89lft62c!xF9_?Aja?=DOR=ke1gzPP8{m?$ zlHpygI6C~Yo>YQdOtK53L2*7`HSFQTXg>b>w_c~s3f#gbF(hKr84iVAj+3~EZcoA4 z;`P^gWtX0YN)pc@cI_rD`*+tfvToUc3lV4Wh^18$i*Ptak3ABjTFUXY^LFM1bzgp5 zI6!83UaXI35ATI9eW~w2|3h7 zri@XL@=@{?+1m1gXFmj=Krf2#7s3z9!3IZQ;QNn({~3P%0CUwa_}TmKX(K@T1OiNA z$qmVj0E9z85^|vLuS7P1M8MqKoSbcwB-^Q)ajM#J*o3GPy(yS-;aFI56`BEe2rhj2 zZv-4&9swc0xJuZUV$gVi+|Vw936L9TQ5E}CNyq8x$42#{X+`gm_C|49u)KOjeF*ny zv0zOQIA~Dw;R2+aLVA2wQ{QWP5scHjlfV?gy-00V4 z+S^N#{^6fChe8P-iU&-RWhKQ#^W8hwZyRW8A?YBxk$sHaIMue1C(%@3MQ$gfH;+h?F`F^hJ>2(}B&OE^Pp|8hpQrp$|#St|TzX!=1E%b5e2YxX% z)L+X4?Gt$TV$M8Vyu_KZdzNz5b&S+iZ?-QU)dkZ8k{hMH0Fa!r(aAx!U@%kR3F@l{ zg7>Ybo+QB!qhH*R6ZbJ=4xHHf45F}h8g*BJGDV+Q3zC^Yf zKugGN{GYi*;+?+!h7y-}v)~Rk!$})g)5pqa>B((`H2E5r=>FO4_c+@^Q{D&d#&IB& zP-9u9vVc=BvY=SQdCahB--6j1gSsV;)|=oNkfQuci$xOF8zb|?c2Fwb8|##kCN+pG zkuPIqB($pKwv^PBU-I<0dObhnml)6tKe(mih7g+WR&JM2O3W~*jyla3v(TGBuKMns zlC^U9>_PG4=@5DEakE0~Gi*&rqiR7+q*L9Pt3~B!xldW-W+E=sksS*oCKev?8a@`< zs;p#B1#@?kUK^U#e)TOMgXkjCzrG$mL+q*Zj%RCvThKssUx;q$S0G~jxgcVd2#DoW(L>wGRYoDNj#sPP4F(D)nkKVMpC%Ro;Rk8_K6FhYBYh#ULCIYsked}@$&X#P z4BU%k_O%yytq}D>)rDLu35JPnW2$l#J|q1*-St$F`!HL}wyUIjY01^|XY~$lRM_AV z6?F`CNgqg{o(Wqln)(6xx@ZbUqUvZFNsqt@{EpLFi+QiVD$m&UdJGY|mylF1) zaXbOdfhd9mvo|D?bi6fYSBjGatnakgWfL|PeyVpQTE2&ffdy44&qOVAE_lveVv}f% zOqPe=eg1v@VgZj_FWArq$f)uvSzUTI{J0*=0j?uA6ark2y)1IWQbP*zFinxa%Ldqh zd=@aFIK;uuI>g$i0qT`x9sY{~zs1W9=H{vFELvoO-@dOm22bseK1>!Y zep=-Ak{@u)13kV_4KGsaJ+=c9fK?*@bX0R*iZ=hc52z9ZVfWYurVvJ z0vgD_4YrUhfgz1bC{GQvyJQUJxBZG*g@&GsUxx-WSEUUte|mCqbZ~|uTZ1IkY^QT@ z_b8_5B#q;L>~79lul;AMSu z(clp1?Bt{9)Lpv!B}iee^%itU9XaxC1X9*(lpB@` z!%x<~r~2FrkP*DdToN_q4mSoeb-K!mT?#ze`YX`Asd3>(UzOE!1aZmOyf`v9zlIka zW&gQ-aEGIix5c!w7dO6LJpuCsPC!YT(DUeXbEZkY=G&)YuYEOEZVQ`IQBb(dOiLPg zuJLJU(LJ1;9+vDog?sEg?R-|k)pdcqX*I$v3ya+KDv@6aPnN^c3g7WBha>P`k2#Bp zIm;e|O&J*@-|GMdjWTHwxiAR0Ozt=&(H5Ll4mPR!hmAJ@UKAcd+L_7#$af$>G$D{F zeEEB7X+3Iksl#6X4OfZ$&wvv6)OqT_T)OS{b^<3~C4oz!N?i@J`93WaLQ*HJQbjAbB>qzL6ndfF}T?j)n$~{HZ1a=HcQ2 z1PdM=xnKsnpu>f*{9AKLfG)Wc`9fA<2)7(ffsC#|c!qFZiZg$ozz|NJAC{hfd33^j ztADKuOuSKH=y`uHhZ?AFM2?vaX!i{QVuwG}-^+m#jbDPIRhZeWz;_-%S#X5@VJqPIWTTFpaV@Yi}ATLqNjB7sSh%O zOk6HPNHMy2qZVPX9JBf3!lUKX1BH*NYw%CF76*@q(ki)3u8Z`c72rbETv!%2^Pe#7 zsO@rF=$GleK4V5CID7H%jc`(uoxc9o^wHF$X)ieJu}5V9AthzAC!gtq;kdXE&CT)J zV`g0^QwKMTR2eiHb2i4UlZOq}B#cSwBCLr{uea}!6COH{7dI1<8SbL1V zV`1dHcGuS@voK>~ujC6UYvb0T%H|PilrF>*cVeBY9Zj;kUWA7>jeK=GJ64VTo>AtR zBnq{v5N*g;e==^3d-LMi!A(J$Lj;Ww_HXSd_er$qc@_c(JDW9U*uzFYL~;xs`ulwx z6K`s_Z|KFhi0-9Ep5-K=ahmlFb@e3UWH=0>PD!rA=zoXOeKUuC5MP+>>uzLor=R=S zJ)zl~R`k^0PON%7#bO+4PCp_^P`7y^)z&RXa>GZHAA)p^zCWk1U5wx$>hjDAbU8iO zN6HITHSD?P3+^Bh@4k0erhogPC?7ci;r+;N^w4V>vvhlg=Se{pRI7aqT8iB}28$>q z@o}x?hqSdphDh|vkJpd_@L~q-lJAys__ccQqgmaw9HBYCV5q2RpWqHVJmaqL1z*91 z_h*9w3ZRXDpFBrwL#)bZ?4bTZ8IHf@I{_Eoj?tyKr0C?rCH=Uh#F&s5ZLQ;zlfCV& zNO$h28cSG88)t|qNf;|j-%`Ce(V0;j{m2oBy6`HIUyA6Q9rCH1e}{bb6BicLqk}YY~|)IgHkd`wEl5>o!L-T;ck?G z?)(JJ1HVKw`c)>^RiXlhM3HJxQkVV3%7VsiGIaZ^=JIFE_hS_*p`*Y#`mg!f^~}x{ z-`vUUY-xW^+}r$gj4hxRzADWu)~dp4z62Kh!Z)qsqYDeIqnM%2J!#cU2*QMvr->U+x12Va| zD$eXfva-^@g@;0rn0bKfj|;H)6#+#!4@=4p_b8f}8%E?Z@Nsh}wedposzKaUXtvZB z&U*4FZE4t$6-?pukMUj#@cxRItL}g8B4>G7X=zynHOW%eK8}7#S?QlOonJ+GC>T~S z=H_-DsQSO}2Ov^dXKoyThF~!12TGDhq%%RpFma&E9EL-# zXLvRl6Epr^)xUo-E$z(Se*u71X{yNO66HJubSj7#7)ds#q+j-wV|~Pu`xFsFjI=L2 zC~leTE?EOPU8VMOx##U)>jZ&t1GTpc=adwi=G~3kn?ppPBKJ$rZ2Kw_06=F>w z|N62_-k1sU?!lD_I6Z>Ic5x3$6l;3@d<0&b?D!P*0B=o`n}BKLT;P+aw@R8_5M|IyA%of|I7`L z$!9llh>8R~^zxz8gGfhOL+M8xy_6;;uzF2EqiUr{}!_Ynyjwve&Raq9uO8!&Dkwr;LB5_$bX) zbX}r1oTzCWN2HWB)|ZJk8QJt}65yBk#V9SJ6471J7f)X*{Go5GwhqfO1-V;MV#6%< z3Z-?n6r{u5}x`v4p9t*C1Dol210hB@*>N6)v5G_;r<&}2=gDV}d=FW-C=f$ocy zF**=h4e(8?6wVw+btS*g-!@6X`iOk+O~8IC8xA0eYfqw~N^D4Cqr(Z3`I!atN^klr z>yzmb3G4wOO#=7>Fyk~h1{wC{@JIy z^_p?19Q$}tvt9C}OK;jXI;%_?P`Ey9?jR5Mq&8`G;ZX+eGr7xL4`9T@xLvX^BAq$f zC>U+<21)Bi;B;CIALZSI@N<4Q{Z6gxjIo=a=dk))p4=(gljz~>saZxdd4K9f z-=b?eIj|tivcWRY(4^UO?aTST7&`{xf#HD+H{;rAR}VGDnRVRcilxNp`32Tj`j82g zIdJlXU*Yt*-23*g3y`Y@CT#QzSONSeRs{|K>i!MSS-80FDX%7KmoB9yYs5sp7;YVJ z9q()%B`hq>tfsG^uVN)CZDYL6q1RT$#|YsN&w>@#vOshYrkhm7H{{(XYuhuWP1|lBRf1 zCMM7)+)v0eHb3Yaki4y!!zf_Y(i z{O`)s5lBvY2m{yP5|)k`6+e^ zl9U_yRS^fAXzKtGbxtS(Gt=)xf7Wq;4)Zj!6^3__hMnIQ7~EFS@kR`aleM%r!Ip8l0NFx=q zumKy$Z@m`aHgpuvGeQK_+5jtSad`2kSy?`0&Rgv&4)){r$|^F1Y4|KgDHne8hm&0? z0YLEiS4rT{<<@d2H_%~#9Yhz9c-dcte9RzTD5M5lE}~&#Ta0@nCi+>1K}?w*q~h4;31}!?zi?8J)w3XEFxd!*l+j_DkX#q(hm04di(v#4tp5NN`uwvb^}tf z9JR`5AZa;vHs)cDm5U#SI?aZKK9E`FRkFJjqyO4bKeB^WG|?x6o5%O^kL^{+W~aTRIn1S&-|-(mV2$rzr?P7Bg~qB`|F|L``V~l5p^c~#F@y*5w{Vm((#@sS0h}nw!-2Y zF7`BvJblUsQA6*k(gpRTBVMRC#hKH{+2D12oGy5_k+aq%>U}3f$Du4niH2E;INNcE zptxFl*ne3)GqA#s(Kc7yp_z3_`O!OiSzVt#VsbH&LBZDS3NE~a)$NIx<64!d2F zVoAT(!ba4SI$YYJq7R=EZ}T+lQw~TF|Iir^VvVc@sqT5<(WUa+^C@vV?E;bf10+b-5iY@0jE9QHfZh!yV%Py@&`^P+4fY64&{T zP;y_4*Q@sdBHrWz5#L5T5OdKr3ik7ys5R(VRgbRe+Z@*B7=>LUqqM@n@bczbJF96t zg=CFoxjDZsQ1EnhY_ataOQJfEVN}cJnQN1^5fy7{n78>>%x_ny@NW6jce9C7DayPT z*FZNUq!+4K+t`g-D25W^pJ<5@*U86tG}2&(xwvk!FXge4e+v@howHcOii+LBrT7p; z`;1IK*q;@7WolE`9(B7a@&@wRlW3dC%CBI7*XGK6`z+$BE?1w7{MmQdP<-d`7dx{1!&2J&xe zgwyp;Q(?S&pP+c1dsr!mF&am)75BLuyKO3gFkd#;RuhlPhDiQs6er170XcauFxAUf z$D}73Uz`=nisjC1Vj4p}K1`%LXh|ezOuR+$>EV~p>xH^s1W8Z%!g$Jq6~v~zc%EV0 z+#hm5Ep#qjQ=12kxhx!vUC@!#c61el$wg;g;Y7TGac?O)zWyp(>Ud&RCTu#Q@ zWt+KD^vcoy87%*b9#-21q6gIO{uI5>CD9Z9G5Xn4rz#i)^9sUM zkSkd1{)nEF3pP$L!~z(ra7e2C?wtXd0OpE+uLBGiZ-AlT+G;3ocQGBPrJAoMH@8}o z=9*fWZ=g%z%}F>JItLR(M2AfHu7+TK{#;Um|IBRcJy^R1>w=eFW$Ro48v{~0FvNTn1LK!?4l^Zn$w@i(x+15c7^MNc}!J30U9 zZEm1!pui?+Zd?Hka9?^o`U9R00Kdr(=Fbj)^JYor_#L(&YQpoZpg2-rtWImmTCujk zXPr<7 zpY%OaCFAqKa~GQnLw;zP4gI%)#9_zepO7KH1At;+qF zn12&doQKOm@_?D6tbZ|Y$Oqt6&C3fU!sC;&7GkacpK$Z9Csw8bpcw56_32Bud3=J- z!#OZ%z|Jwt87j|g#5R2*@|3f1xTsoDasDP<{nGMGuT;p%F&8pAe?42>(wboNlk}ct zE(TJ@YxxJLqWg#A2@YDtm{4s29ck0iLmSrJeQT-FiLz;K`~E?6uaAO+{zHUu_96Q5 z&qG=kDP6`^{Hk!WAJv9W1?pOsz*!{gJT;pu)s99wEo6_ero$@HCuvSV_)rTM&)u;L z4fjY|%yIBKvCeP1o{X3xlJ5b}G4-JbNuEEFkHFpd*(gbxkN7J(%a4;-NywRj#PSHP z6_wgDPeU4NZoW)<3h($vp2~mR<+BD`M$E~pWuHoMvvj2U#X@CJK4hQmR~1R(jPEIH zPC?)B7td$!7D+0Q&FcXoJ#lHRi<53Ncf}Nz&mDI^B7Lt_x-CB=%5%?3aB9QT;VotR zwwp^w&R4cDbF7Cl+XQJ8cB07Vv`?HTSoIVW0!m%#?xP&yT07M7(vg4h(NjAw4qng_ zQCz}%#}{#{@8wZ<^`o321p=_jj@ve9rE1P0ui!?6pNjOv!M3mSgfP~uF>Sie&Z=iK z>a~tXw7yIJPxCy~B(kH^isW|`)#e3wdMr@sY-^Q#-k#JX1m2F0|jGUh8%5v80V4{gSLeU%N%4n01Qgfij4Iw7A4X~6?%1{^W7$&Gj_kI&&B{EqK5SUTdS>$J zHiYM8$zc18T4EY@V1pMjAOEcdjSuAch|G>uW!xC``LTF{@rT| z)!_LK`$Df$*t(MYZ56l*3IXJfVW*q?uLo{_FL0Rjv8vnsthD@WMHkgV0eMVLu7F}^ zHdb+^Z8Rk;4J$(<3v+mN9mTjZ+-*NIK3)C`r=H@M^lQpMxB*uwPg?1hp+cGfrK$3z5zgXTE`r5QkkQ(mxk)!BAMFKXjy}E+RG0M#zw z$K^)Zkdy@;5wIWl6PgmXhBfd58^Wu6^fTkpgHf?xQTfIdq01V7-8{hn@akz=t-5flA0AFRt!G)u$yvcg*AxwWqT#V$eM;u%e;M4> zb=sF4e6z^z;xORX6*W5;L+2_C5uQu0RJDH^M@TA%(UYtzca;_g$#xi`rsm2{q%9T` zeumpQKXTOQpS4^YeDZu5E+vnr)xw8AbRpL`FY=?_Yrgzt?`p}RY4Bt*(STE>P?gch5$2$LI#QZ4DrNytL<194ZI$;?c^i^7}N;s&xP4bx7G*sH_F!`gv~oD z?~&u^Qw;=P!LfF!Gx@$|o_MrUX_-G@#@J{TNJiD{(_fjz)Nj+E*ZgchJ?SyEb2GPAT*Ms*3gw^vi6{z?{o(m>1S2Dz1f;%-~c9HLkV z3Pgt!W3l*^gu+nAE9sS7^Yl38^g6JgBbx}{10Q9a4J_zmW$3--uZ!oDhD6W6;LR!>z{Pg9hgqBB_ zb~D_y6Gye;o$#S*1o3Q<;_$@hPhx@;uiZgd6L5D`y?$^gn`il{ayTwMnX}NB-(Rvx z0L_UPuLBbq#mL@zh&7p65XTWsshtKV>N?%-SZz1&w#w9|7kT~hJ*yrx&K3SCx@ooK z;TZ2McS1?>SIJ?MZ_?MB3S~99t#3pg+BizG~(Kq5#_`bAcZRXGKa!8$z zxiHWW4VbN}6-j@KvnGF2H8H%o01>67c^X(#+15s58?C3?Q&=h6KxCGh*$95|!)ImFKo#kyy<(J;1OJzTA|TiTsW7suCbOPMM& z>%Uzf{@sIJ;ql@a&67{Hf$!eUKXLb9Y6S(OvajK-t66->`kFmcpO|>(+TzpFKIy!w zm320x?Uh0?G;lg zFYH(}Hm`hc#C1$E(1`AV^B7=3e^)bfkJ2_2OG>b92}GZdP$OU{j31#u9rh{NEHOIB!6|3LXc98tc{H=Y{MqP zf(Dz4!_Jh;Z@ozy{HTgd_$Gh>aDPJ)dD9$#J|-^`yRS^N$EiZC4)Wu3Px@~;>=2I9 z=P{7jRg_LHH#fBbMo4`{0KumpHm-vqsq?QJamU2PjQhG!0@6)&kr!`m;ft-EpT8VH z{H&|9#Y%~l%l}nY#yfhtfIglA)c)$)AYo6Y-J9%oF#!e7G0#W-!;=MAi*p?rHQ7FW zUe4FeeI=iM1kF|2f4Dv8Y9?v*MCuFz_WXQ-z^xTya;^(xbQM+_@1FrJ08q=pKU#km z=iQGa*?@Pwf;1Ak+3Fe-Aw6;YeSkaxGq+|TO#xVuwAHCm#cxQ(8{rk*MJ=Rg6$R92 z_-|MENvarM)SG$QmxBWX;8&@zUT#zhKw1o6Fe$JKEi1rSRlK~qCjmvGT}7U>J}f&>P23NoH;4|yvqX(xTiWyuO}7Fs#kO0aeHZl zi5e-#0Q-)n>v}<`Bz-L8nVEi3M~P+!(P6_UJH)SJb9(YmMBX(wvVjE8@?sxlwDJWa z%@K&5SB+iZ>j)Tx`NM|ABY=PZbh+_figAB`Lgm$9UZ73>yY>wN11)|@Hc8oEl`6C# z01p-cf=@<^k$VM4K6Lgx6b5NwOXN1MV{CN2qP^+a%T|at1-r7I=oIV zoN%%TVI;5zHq71fkIQo@0{*o;Kl=Q@i}fN;{a-zP=#L&hGV_6W;iJA@j(*^j15}AQ z`tB9-z!(m2EQd-#*gn14MHguaYSnS%F!t9~R4p#|5B)n_&N|>g{s%1q07=6D?dTUd z0cdjlGjuCXAoOyu$ZI4O$|a=9rI=`gxFW@$RE)?`OLJpOBeZc;Wk2zUj%asLQ{*o^ zV!4`?L}P&X-(ThDz7)ec0gqU~VBshAnNTHoDi5_hju>6}u5Z2o7(zpa(cn4ZthMlM z*VVvD{FtV?XEqp#z^a8g5`B_Gt1S_6 z?7;>7k+07{F)+r$!c{W8w6+S%6zEa-|I}HDxLKli1V^NV8xn^<39+TCtz_)k0~CLxft$RQkmC$;R}bEWWQ9{s@4mG|JbfEt55 z#%p`Atjk02N3#U~e#=)-pryq{doRZzC_r_^Ac=O2Ejf2i^#dvF(~rb(u<=Mp261vf})+KH3j0I0J&wuWt$@ zcQVN&YcI8D^-67JMt;{=N(OtNRh@EPptno(IcdXw`eF}kYkKi%$&xlLE# zaJ!qNo9euD(LL_vayrlI1dYz#v9=3Q0M%omkVIX*bLssR&;#23w;I)yv1|6~?Bplw z(heQEN=VIPF(2Ih{grBVD|8%)ih^=DdtLp7i{|STW?C5BU)k=YmrqB|f6e2tjebmY zR#w)FInA||){9A1=Ta5M;pL~G&9E9RrStRrKBQOVbLs|{v^*%KSH500AFN7O;t1|GGcIA?A)?k=Gp2MT!mEL6ft z#97}d*YK94KQxei2Q~JeeN^^&)Mn^ze*yn9i#<8fw3`YTU*%=?EL!e<0C z9vxNPHJu0kiv;+8orq7eaUzUBCwnh{{|WYqy+w=5L#C52Xmx-6rR&Kip6728-ESb7 zv`(jXO?wSyR=zv7xvOuUa~{eV{`iZei@L(%ncVTpw_a*#j-%xV&~@?ku9mUTTRfoG z@m}v`Gf5V0IofL|OAqZzG(P*wcC_}_;d`Fkq4|-40FKATOr;I70RavWy#Tq#D~GQp z1Ld14s`0^$b&JzX5Gy*PO?r($$@Cq3)aAMI*R{xbii4!nmG8x5Lbb{V9yvCgg6q>f zZlX=x(@mL_xE7h}`mC1WSr<;mxnSQawuGO+dNP0g)8rT1v9F2UZFNg!hb%L+8Rzqz z1!q>(Sj}Gt;Jj8oy3ce`@NA=u&;V8?uD-(Yc)5+N+&^kG7$A$hG4@xYbvAfpDOJ5X~e;$3~*X#KoXz4zT>>+RVQhMaht zD{78rj))4f3!BDKvvCIzO^ZkoKcwjHw(RaRPBgC;-R|(vnoJzLDH7!S@d$)}BWL_Z z^T!J=g$W}obf6E#Bz6-7CgO1a zUwKU~eO<^60-8>MmCopoHIahYR65_RUzY~uNe1~~Z-%|knVit^^ZwdH9*B|sdgeHN zmI>rx;>IN#C{CNlN+In?{dR)e&e=tJX}X{Zlz>#6n);apWb>j@$Byy{oG$&n*W@ND zL{Maz20Gtd8xhWT8I4$3i}Q(3Tj}|PFHDi@Ll@s*aw=)&)j(TNGcb-ix+chr^`p2XDgH0QASs+W311+@zeBU+hAcgyH z@DQXcdq;WGHbiMzz{>Y1#*;TT^KHBjV%) z#$RCr1OQK(^)H?PK+={T1fH-`tWwCzrpe-YUBJMA$PMBE28MjnelF$E5N4tf{7?)+ zdu4(N!|P`VD??$JvO}ys*DFmr@m(tPO+T(%AEV-cmXNYvd9(b`}@-P zT>Bv&`0tk;~+*}96d)zNxA_WN}avRLSFh_`L&Qd5KagL zs8js^hUrAv9Wq?_`-Gf(p&=?0_RK`>KlGKufMI%?0MgV{0;8aN7Rr|SV*18P`q{Sf zX3oW8WWZdlgCD<{88I=^&^7tN=e>YR^G~@ScBXz=_;JN5Z`+Hn=EzlM+9RN7?{Ed; z$fc>J1E#iRF!m)sdLaw-{jJn_h zv*9!cdxyi=3g!W2EkX|0@k3E$-8;%UL@~p&aON>PBm&-C{aMm+jWyUFSlx_PFP=Kp zOH6d3y(bl3Nh(RFcQz-7Rf?_9u z(hQ`(SH46batMA+Zznjm`6gO%PfErrXxS}U!l9{)7)9Pw#GH`f-q3OU3&P~2mce=K zt@zrg1s@#G5<`4%@e%`{&1sW;ojIy_C5If9SFAZ-Fcy7uvZoFf1u@{sAMeh6zqP}G zt^_&7bxXfdkYUMQ$vkAjq&IzioeV4dpdgo^o&Bl3iTF-{5?=pho@9HU;2&?Ipwr&l|75Jv>?R8Q@6`B7Lhzg6N)8@Jl33@8W#_CJIRSieMYEW zm5pO4V#R&)vAUFgclGVsgAdKkxSZiO=lv*kSyg3|d?F?to0R68N32ArguQg#S{{ua zeb4QwD8r;Oy3jqW6K{OGsi4&_pL04W`RoNFZJn97Hgcd>z6Nth+5=O5j)0zkId~~` z^@bpYud|zS53EX3MBf|+1X$MI3jNsTpmmLSpY!>>3YPbAQsN8l?h7^y3x|gsqwj3w zS!?RQB=0kiJSSOs@da_6SWYv%V}+&%$4$Fp>ydAq7>!q}Vp)kO{*>8W8d>zfUJBhH z=sN}Q2Vr;R1RS?7ub$TCC6R6C*+!4gQhfcmmmx!gfrmP|6+a&0iw9+O$?+04E`QN) z-%ip=J(VEj^X{|Gyffp++iP7%aQEeyNdlJxQLr2huY-*|wj}Qn;`euWu~wyrRB=8# z8QgAPojODj5_?0a3IakP~)uUSi_G*#Lbb&xoGagsKi8BMfz(jP9gw>!5u zkCv@u65=6@3l|0W;ciGfER(mzI(bk$c!Qc!TRC9nyBx1_P4y%UK}&@u0<4w_B@RpS zN~&yX6668H&OR8^SNV6LHVBY@ zkRQ|lv5$=ONdXMK;ocDrCA$&HK^axFzh<0}ws^U?s=$@>qF&S?PfX|#F%Su&zK8Yu zp2dVs#tgpir10a1FX9gt-#B$k&TBw7rH;<+Y>FFfa!jJR06^@&QAVT#8Esu9t4r~^ z|Hu!SOq8WYg=og8lKIK>!xiy>IvP$(65aCJoNZ=zca?noSA>92`@p7doRi)Q z2h!EC0C+^EA|pp0YO?x|O!a*oVcPQ~oz*JW^XMD`B|To2&b<$kYxod&gX@tup`^I* zLhk|7m;K=xE%+MgHogV%U= zzWj|>k7^is2X*Jn88xn4jJ>E5v@onHeiPjna?dqC8|#aa2@+f;c%JYnH)B3Uv|JPl6faL{r$Ppe3}r91ziiRDddkAUg2kkrfpU z$r-ijvWhi^Lo9Zk`&FJ2`MxsnD2#NPGUnL(hLL{!$Of<0_h3m%GpYh8aA( zRZtkz`d@hfGY&r|PJhv1C;uU-1+D`cC4R|9#^%xqWC@aHq&lQ4oQQSTh*AD)_xz-a z!x;#=_bQv}QYioTkI%^k8^idYL8}Kv435Zf$OEcu{}BTFq16s+gWfj`8425+{Luxq zHlxOb@PNT}ttP(^lkJm7MiypLw^UoC{?po#tZi_73S@Gn)9zBp9zO^H-i(BR!e7A& zV-$T&@UZX8AngKW>C=)nB(W!w%6WAf0%#GGORrYPxoS&_V@f`RbTqu>1)Pa&gsTN9 zqN!i?@^90SWn-c~1-JzT;VPRUW}lV&<@(Ndrwus#R-`?fk`YaFs&0&VKAVqz{v8jg zx}XnBpqmV($z2zHN$+A4bVtVhwF{6M49Wkgn{&Cd?5~BYEr&v2OcK~ZK8cDifo_r4 zm3-=kjx2t9vnbgOeJsN5=Be9h7xJliFgpKfUtZVE;3D)N4;1tO;E94}!kXDlh&%p{ zbjjA%=)M_}DpedllIka=l(>{4#VAeH7_FG1)YxP!6>Cef|4g716Wz+O08)Y7O@B=1 zQsDaczT>TiJ+6KaErXqF)j^VMz^uCzFpwGq8&mo@zo~A=2@u|qwm5}=LVba#oDC`xr@CLz0JXX&SBV{CtTf6=;6N9ut#=)1y~pMKb5`lv%C_uA zO&Xj8lZ@oC{g0`8r*v!Jwf)q+Te6c7eT42Bl)B*;8ZvZv_}_66l$;7`N-0VT z6zVt2Ce|Xnlj$1ZXwH;8P+1s0XMH40=uw=Z)K5i;4|>WF(iSjg{!Uh&A-ldb^eugO zy-6}-Wddu54HA~vHEx}q0rTNu5qcq=qe%UiEKnPXvI(xlU0R)q}p`qErV|8CD`eMYc_(g-g!>%j4PP<2! zgj{p0Ll`cEO zu-6-_A2uJ+f!eg4+3!+*yJmxOLm9P_v}U1Z<=N-^%(Uxa_X?--Uq|F8q8f?Za41eG zk3j&X1=1&yJyy45ri;!On3{@vg*90%^5)T4;w@1Fd3>7jFDD5Y+^a{_hK&xa_vZIL zWqs&W&CM)os`wmar6SE19v*wwOtDnRtq83PK}1)$DgO}LD8hox;ic9SId(Stu<6f`J8U!(y zJn#Clpw=?Q!{jIxZnOka+&|?>+N7^Unn+3SMzzyF>915&P2d>EvT4*hlN!n9szOBarV2 zW%OA*%#JCXV__-WR@XGYMj!CxA$QT7F=@QbMgn@_o@{(w5H(f**Uw>Bvmk;1@4ZxUO#Y_iyPaERu0cv?rk^ww#? zl2yi0k!nW>^nFYu>wBB`w<|>+YF5d-NOyiwW4s+Jqw(SpwL?k6icRzDM`8uid+!^) zPUkIbD$+wFhq}BXpM_=_@z^W%>Im*buCx_Q^no8I23MMd=hKI&97F~_9xi_ z4f=cb0y7NW=f<2kG~ZSmQaY4TlB=DLEe?GiJ)J5&6TP&7)RrjTFf3jfR0fF^lK-G8 zlWxF$3lvUf<;gH5ZSiR*;N6zI#oV@~UxH@irlwV2ik7j>XvLJhZ#&D>S!BoOa+8t| zNL^C|lS6h3(Nn(A6~%de&P2q?Z9D6;?*Z_|Z@%lkJo-NM{j4^;-tori44Q`ygKs4<7Ne387x;g4&~& zlaUesUlOC<>jR{jp(vxkh@rx*lxA-gBgE7e|xF%g0EK$~*_EHVw_0 z$Y%~==GE+CuE0yOPXF@mftaL}S}eB$Ui8TFg2TwGRa<8qBS}y`<+m^qq40pf~3j5wW7@gQ{0Hqphgbm=f}d;0%^=+cJBemiX1B z9}qv?`1rPmSa13*0xkI2XR*CJo|pU$q;aPMF7{6DHUww*kt?&`SifI0u1MR9KSA$S zOGvk^q{m=Uq<@(0h+wOdx-r2Qyib5@YDR^E9{oVwfeB^oqwneHHnM#lepVOtbv^$u z8uPaJ$I4&o4nGBgrFT9?us_5Vqns-{(oVE@Hy;C0cUlx|eS1qB($O4k=a~J;)X@|1 zT0Xf={IW!;cu%_m^_qWlg3S|syVLp@t!@lM^25m^&~?3WIQMcHMM2xeek|8&vT^Uk zJbh{Xy27c^rfVwbTVV)4OZm z@cx0+m@ShqhymRum(=2bZecv8fZt$Pz#8AbHs$FJ{aoh=lT5b*)YEHRJKn zE2HGzDf)KEZj62Thu2HjD7G9a?XS%x_LpnCHD~d}vo5@uaQ^lL=|-e~DpvTk&;u04 z_J1ykxY*Xd60jUl>cam(+5jGgB}w}y(&nv({OYUvvy6e;4lEexj0MtCgUc2$s}>Sik4FC$gl3|WazW)=V&O3nCYsh6Qi5hSpkZ4-W&!4? z*>@LI0zqklNG3b=smZa-#fg|^e^(Ks%!6(TT=y6Wj94mZ?$St#koZcJxKPp4yrv>Eq4%CH916qQv+ zZ);duSy`Cbqv${x&4S{tvdxHzh8_g~)}_N^A;W@TicpAxX;d1&O+z87??a_J>5Oai$!;^8}|NTj{EI;+tx8 zkFNeyBeVO7-^vZgKgAn6~VzyVnVf z50MvcsHQaT5U8}*-9e_)2&^cr=KP2kufs7f`}Rg=GIJ?JpgUUVt=EjWLWOX0KftI; zP}78f=rP~#=yi#pBdX4`>$p~*+Odm2*AZR@VE&xW#jvY zXxmRg7b1DBNQe)fx|@!SRm22tmW`BO$o#^F+(y?2pA1(DS7Y0H-PgH`9I1|RVy(&~ zl)4?l8^(G{FWE>)lJ zga4N+V~w+gXK~QRl5BXqftj{(9;(3#p`}Fs2qf6VB|?hr_^IpX39IM`{0Akgl*M*V zf*qs3?cO--(JxPOax`cI?Iz(bXIC6BA@#1>g>KUO4iMs!9^mcPY#dEv3?w&G*>RiQ z^mAV&;5^9$J%50$cYeEd1oFqgM!HG#}Pj#zK4PVf2^Fx=x}D5u$ap$X)=*l z=yYch9TZ}fg=V2eSa#G@5=lusM#-oWW2CWOoPF;)Uqy~sKO9cYLq%5mZY_l>Z*5Qg z;}AoY%*pbRSeFdiAu^}6r+R`MW^=iQ3m$)#y|L}76>kKUx05)0%!cCnsIn#G&j2JEQbo^7$&#e5wTh=XZN3Pxv;S8+LJf zs=73U$S-tA+7+v;wKz8=gAi9|-f{Ace9#sCa+gRW@XCqD@)g0g535)BP_^70Xo_s#=Ea)q!*_dN{P`NjH z1dBncMe9?PYb@j*!-VNge`lX!y2Tr@=79Lb_2xH{C=y6(w!YY@a zI!svlj|nLxb@M>JFTV^~ctm6FulxGnn1HOwdLW7R%@Ukl=_1-qk)VU7f#GPs19YEZ zp}C*K3lca1OTh2~k^FNmJyB7t!aCVi<$Sc4q6$R0uDjP^ZD-k>LQ1`?QXHf9P)PgH$x{h~ypo9JJ^<*+^{#Z`!JAGUrw zWi$+HYGHgi6s5|dqW$)jnijiB#J(lq4jfHUK+6RzcYXmTFho!Q%H8w3$7VozL@UBu ziE{utQ`|oSz$YF(c>t;Y8Y%f>z!<~}kc{4*Oi9O_Ue`}ZOw~(Bt5DBOP0V-}UN$ko z(AD4bE)$}1<$Wd*q&(_z%I^T3hlr*`6$`fEIQ{Hwb1$$td5SY zR_B^I+4;4CJ0mTlEk^sv8O;yDm%! zrlWT+!h|TM9_+T53EXLpTYiYwaGhz?EeciAZVfZJwkvqfc(i;eD-%=H_Of6l!6glq zV!eEN-`SV4tw!MZtpe(iAnrS6Ly3BKrqpQK;<1NUyzw1$K=lPYfumQE7Yq|+%KmW{ z5juQKYVgz*6ce^I3cf2!mN4}bB6Ei{el7}X*CXEaEpl}=q)OQ;6q{aFg#lX6KtxCLOXzg20lNi?>QUR`D;=qc1%I5nCL388X4E}+Ot4UpW%WHM^rlO&Mh zkngTPX zDM8Ve?u--s7~3YJM-)T3(-sfxaB8`QZX8K> zOC6cwgd1znZFHvAgyu01t{5KC{J}R~qM7MnYWt+|2z=5sDT~anOmn(^_59!6mv4U& z%RljQPU4J@-^yk8Y9d4lcUMl&bSQjhxN3(Uy!djBWQ1omifw>ad|{gCC9X^q(PMW} z7pk`@oGEW=@n}O%HTb?V9-dOQ*M^C6g@7}R55g>B-SH1IUOAbA=9t(~S{D(E-WwB! zG{0>-=N22V*hW|)As_%KU89>%wdzb%$7all1%*$R(x$3wIeWNM%0kGP!n3x`f=T8o zsq2GDPc_K)Y@F%75eQ+roMA967Yc@{MTtAOx7-R^myS%EwY~24JBjZXOdMSkDjR;zH9qlEVbFN zai7vx%CeFox$>rnlYu2tZFuu5+j)nBD0}C$4qcKv8wg6xJ*Vv_Z$<#b) zyEeUM1Ya0BST4Qcs9BIlX4oHJyJsZd@bJCL+g_D#dy4c4j``^sE3tvLOxXsrkF&cs zF8w3Q#hE5CTQ%vS9gjA>+i<9J%Wjy%$SZ&qh#P=)BeJj1RE%NET) zA46vA3p^L#0vd(m5`tY!`Hh(B0V2MCbnf@_aBIkOvH@(X{VKpP^Pf$1xPR&W0gM6i z%4(9q%CtWX_E_HbdC%Ci;~@WDaHtz zlzat`7x3V0fb6mn%4q&)y9LlE@&C^YT1%wIiI^K8NYFM261qh@qAI=eFIvpR+h*4d z0Ndd~fxIxU#b3L?4-%|{4zvIqpMRtH9OVII2mmbtg}+3%$^{?|)sPt$`(3$=KH4+%5I840A(aT7IYWpE8uW_{?H2=8GM0f!C_{C3;#B~LOQ-Sm3~Mf zOIj$;2FCHGRZ&ccS}5zfrPdoq^ZA7*4_XPsRd+Lp4a>ET#`=a- zOKn)MWV1vH9b=){x;=Q@f{DhHhkuew0JK)7;9Kf1EE~2><*8((EDWDg>ff`bD!jN! zpe7mavU`}mmAzglLc#*^LG5N_6ceqEM+qbDbq|<(^ROuundotbW%|R74~f*7NF$j= z1lk$=ju9o-N!q)WD6_tAlV&n0oSnXM)61yj7$@`XE8Jd?P8!9$v+wV`rS53l`2Gr| z!7Eed66nxTEIA0<;DL&tfVp#SJ*MP|&~i$s?p~#^)4dK3mgKW>PP#57U0I6_mo=1&2sLF;uX;(` zs^_BT`G%q6&TYR8tTQIg0u()V*1huZ7Tzy-XXKV4Y%I&tjQ{?$0Uy_!iDT^$efsIO1yG$>jE;WvZB0hI7Ow_apRXa+!^#>9KosJ z-LH|DPsy;ZCuDvJH_tS7tUT{`)e3A6A!X}K#=<(=Dy@3_1KGci%zPm7erPqlW98yM z9~PM;9TMo322bjP7bax?b*1q(K=}Bf?V`ZgC@@lVMHzPwNG6QR4?q8VoEx$M^kX-G zUKfu63U7i$T8S^{ZmMJppS*~Cz|`w@RVdod8}B{bX9*@8|L5vM6#F%eu?jcJP}n4k zt!Y)Qc?V9egTGv^;GNq&Ui{M5VAFwqUNpcMrmy(9-&@2>Z%iRaN6XGg zyFl?={e1OE7@!JmEpL~M;|(Lnd;&`MA7c)+3t-}bdu`lPzv3s7x z13=;6bICbh|8qz7atCyz#^h?D`9+7o%|1m>)|bzDFEW!&G{h@&$9gep~v^(CshB2(7v&xc-kzLsmydxiPvY0wpnvO`o2J zkYk;VWO>-x38Q2_QB$5)(((2z1_=Dr}J!{_^b)l*kZV_fRZ2McY)CA@XgAqPmsDLZm4KbaV$8 zKh9pp>A7u=5BYRnFGKNvmpQICwdW;gPkFKfo*ipGcrPet(emB=Wv&;FZ$mcMDR^Of zSnLO4lhTY%-JLfbo2&&}%kBJ=c~53rt8N@rRt(!Tfv;hFd*^NGVT$A0BgI}5b2UNm zNyk6h9Jp=ZXtL*pLj<=P0^BwN4FG`p-*bQftOCfKaXEp}TRpeI;UV?kskIP$KnaIF z=e=M9t1y^}pGKuTEi^5V9I?_b@_pK;lTEkED?n;n8uZW+FdUxx86P~z6>2!lYw~|t zy#bJ*@`3t_r+C1kbz--~X;hw-7RDD6sMDy2dN`;)kutP*XC4phIh=k;n5ucjh1$ns z?a&Yco|~Qz9`O3p1t7@{%-4+e^C+rlTp_Y@0~(tD$I@@`1GjyB&-1r`I#CRD0#Ths zTe|))FY^BI;^7r9KG2$+iD09LI^-CiT$HO`_mh@@NVPR!pgZ;9VgxGl(89Hi(j)ETYb6>Y>ENp8Mv zBI>G+cgP_-%X}pO-?8thhjy#9!Lw;FA*}J}PbRg#udUCP&rx`#3$9b`n|an)$47)j z3{zG(fBt~giMNPLmG@(J>;O{1z-Vlnq)Euqfl>crhoE=7d$@4gH6*2)dRJqh#p${e z#XRK34)YhJM6(KSBUZcT3+(0>qL87qnb(6Z{Z*i;pKw~bDc+i_UNFFLw8nQ zk&T$!%&3+rELFo69LYOUe{@FTIq+qDz5VP#3pt;+4XCaB#(lGhvyhyG2)$+#*7!jx zrGZ*036;#_?sNtmCH~#nhoUVP63;vU#e*%|4QoDjN1BJey* zwl|Pbf4SuFF^Xb1SBs2!3~gWDW!<`9r z&NpxuFR$ZprH67llD1)sCd#{;kk7gS zgdyG-$eUj11IV>$7In zuQO;)EM$|ZLszfkn@GQ+#Fp$r;1mWRXHV+?&QQa=M0e8WTrxmpuc35UfsJO>HFtJ` zstXjRnJP5$RkXCh7KQRC_~G*Lr+fTyR!NBiRh9Gj2UHh}fr~NzbFNW&Td5W*?OKw= zr>|LYwboESjwQ*eZWWp!ak}j-b0Uh8glvK>5L{gnHda{DJ43yTecuhO7dMqQ^fBKz z|I+oo4jnY`=yHze-n%-7cel+#CAaQN?yJ`|bfw5c{x<6NYpyrSNIc^m{UOJzc z;`-gkrcA<&KYyqF=A$g^Is^`_@oZ?BOdYfHsSHYb)sl`jq`dXUON{Rq_tC=L{T=1J zE`IP_cCRrKanPq(^M~~h*Ww^ye%3oEe3XHo_qG+H?3c7{NWrd)KIqy;{lh|6hA4f+ z2A{y4Z{O||!TEuvY^G-^eyCaedrvGj-l~@vkjmY%D)rpy37$N=u=~Z>b%E04@1C88 z-D7+cc2wLDl6^7ELVQD=?9qPNCI;3IttXoL8V1MJcG^_+^~-o|)68XuSGe9ZwBn?p z5FrzedkPK>T7YX5`msU_?cXkPOWZuoPmj*jh{?l0VJfMfSAxF zDsfY?)wnF77Xi*!`Zx@OgYYnqM3opoJtsGMV}CCz)?@Hx)QYDHS&Se7qFk;p#zy9j z26?khg!uh(&-+cIwDmLCOy=4ydrblBI#PC0n-&RcYJ9BgVojzc4ZdB?InOs+*;v@D z8R@kKHeL+ge;_Owm=I0UNn0oPGFk&4`s-x5I~y?{JNBZ^%kHvD#*rPL#&fgnj2q; z>Jpu)6wP~Zu#dg(!5eLURo*i3_>Di@M#IcU{CXsQ8V!th!fP~t-~V@`cl-L3)qquo zzHa}8ry($(g1;LL4GI4ESR$^hZ7CQ6mewRndX^cVnvs;QA5}I!J>EZw^d7W6nKyj) z@+{~qbJ*fv@mG!wwW2OyA`~tErtlI%^6PJbhs8qe#`1>ql>cO4 zPc@V#(&BUo-cRHs^hnesQqtg0rJyh{G~=6pQP0YEi>ICT7E2v4OLyg?6(HZ?fR<{i z;jtDb-u=s3kO0s_{txc1zf*IKDk}~v{3*K};>cUuk_4rRVdd{sr$|VREE}EfnD3edz z!yU&gk$acz?fzoP4pl{^E^B#9xY9#*m`%xVQ;Fz~$w(TT$5=7a+|pN~4_?K{`p?!@ zD4#bRs%rEP5ctRoN39@GgpHz(nIrnpN^XNXgb^zJY056PbNiOBgb~)dT-4C1ib{A~ zf(v(onjlaKto{)mrICMBD!&a3D*(K@gTqSbicgbP3)qvOq}i|U$_9A?M?N)OpUmvd zW~Kp>c8U5^{iL+?Z2g3^XXsN~r1-8jc1~6y`irnh`)vbGk>*a(V})*AL_;=5ZIk;NsfX?YT}sweLibtCif@03Q?^;H zrFb9S>$b`F0c^6MQp6)g2n;$ieyu;0`l6P}&V9}N73X!qB!cHL%wiTqw}M|+aCOgu zD`k}|ZysLas044_dAfrVi6N=N%Dh{*R%<1Y4HmynIiYnOGhy{;ElrP}zGCU7n?B*A zq*38naSrX-V1RLqe^%ncKjVnHbe4VPV|9)C=9 zaBxU>PWNRmxnT&GW!~&3%-iE)tE}{8OWX<%slK@oQJQ05#&4gmuFVpg38Xw1?7H=t zA<7^mFec&FmCQx}nV~0eXyM71!6R%6<$LEB0G<^L{y(Y30a;Dx8>6hMv)2!((*J(G zQOX6O4<{JCZ0v9R6_Oh`+-fRZY(L4k+@Q4;Al>WiVq;(D5?fFDg!_2aePqj+%(IyMcDE>v&fWEAK z0ew2#;c)>bp3?a_!SDy$-z_r*t&A-l@rQecQS!0Db8L#2)%utRt7z2%TgNO)8L4vf7 z^#eX0!{aAR2&NJ%snjijAG&*T-D6r>vDVP=I-avE4;|+n{DLIjEGkd4m9Y2DiaECy zA|j}1UVi`DCg@XA*80$XtM`#EviY+f>F40OM_r>e=veM%p*OR|w=uF%#?}e7q8L+) zrJfj|O=uz2@yuf0cU&9Vifx>upFnr`EVqo(GZ@S$8TL#^t4h%A&Bvox^5?zj84t~E z)|Rs~#hnD7k5Eu*MN=(yAFzeoF8>Y~z( z?%lfOD_S;K2I<kJ)j4p320;n@)*ivD%#MbHS351C!k9HTW38ArJN4} z0SSU+G*dG5)icxb)YX$r6VlU)@pm#)Q%nrfQ;XwFwG-lFi#|}=#ni;3*7Cms7^L1u zZj@a~TBts`MGr+J@PtCJbya_Vhaf>gs9^6;c6oUfwn3l~+Z)Kn4{~x3j45$(DQfqt zsg5cG>I4eFT%@l2UsRlsXn=hr!`#fw$|2Gv%+|!>E}FWu&CLYreEq8BAPRqEX(c5k zKu$hD9xvtodH`tM?24c~LbK!y))QeSdEU_$45j8PH%{6|?CVfK4hNSlFF#ED_)p$c zD_WJ-zw@Rl58N&-Zo)wP9xI5eSNJf$0!TJWFNqFin2T@|vRN;w=OKU1b_uNWQAcX8 z+|Sb|-0*hkGtE(X%kZVsS|W9n$$#}ld@vb%r6wCBt8%|*l;;P)o4P#z=*rIB9Pzb? z1pH}%=h(r-lg2TUxLvgP0PU3pcTTSM*3hR~x4 zPn#=0Oz5feWC)rXmHmDmb%Ip-6yj|>Pl_eQCL2bfP%zMArFr%!KO*+chj|Yn>3i%$ za!Hz4FWz_6B!a&2Js6=N&7jwRnX!&0TaL-5+Pf#^O|Ru8_sGJkuI%ikCW2xG((p#U za4=rapb!~e#dTTcXg9joHbtn2u9%ngI(5%EPPQM-VVrC`c-7*2P`yyQUVpAFcI9aL zKz6--0Z-v6Lh{2zq}G27X$C9-N#KmcyJ$)y8*7Yt+)TunYvaM`A@hY}&xt#8S8hG> z_kYDOOTv}+-ic1{XppFLvqdzZwJqW{J4axLl!@Jr>|}78WHLXuEWYk`Eugj#{ zQvH6uVD9wpqCmK{j~&U4M#sh-2V*|Jk^NZbsfEm2FKxaS6)t)zFJM&YKil)1vhiDg0+t5+{UdbDEyVre`>ru0RXFK8>I;QTK zG8sx&DUbe%=#dxe=81bazrXVJb;J=UD$v_@yztYv?FS}|09k2<5aRTB$7$82g8Y4|L$F z9GJl72l0lK-5vdhqB9DIHb_p_!7f0p^xvvI!WdDe!GMVk@R$h`g#zys^p^a|%}FY6 zk*N6`D_h(^F}}88f>WTCE+(qIDPp4+9cSvZXyJ^m!JV|iu#ZyD;;4EU-xEl;c7LYA z#g8zc?tt`XO*)HkrwhIbWgqcxIqIR;OLYTYk(IPmzh=!|#gw|kF; zo1c|TVff)*n=m9U22eLrkml)bWpB@p#{Kc*AepW@s$hjt>&KJ*N1{^!SQ|nvsH0 zFLZ1a9{3Ae4fWR%6A<9zgVMnM&-5}>Scz3d5vp)ErozrO^LJ`W-Y8(B$jmJq%*>t) zVOWN4>`gxI5er=o8cv#~FLA+cwz0HiP|Ey<%#Y5prenoy;U4hdUt^3lD{e2LjNWi< z8B9#*{0ECg=+aLX3qy8Vr6Z(1D!QJ>UKZ%_0-m&AzY*rwx*rJeT}Tar>L-=ETI=7@T4KZwp0)KD%;_Ay1fYEa_1# zwyU-Xo#y*%NdmQ-t&-)lA9P}Oj2?qgn zrDqn|5(xq(E!-P&8b%nhikR;8f$!L+N()_GiDl-SZ(g1ZN>^%USMI+6^IaR6j)$-= zyhor)%yG?qmpPsHW$I4x(o3HmF+yx*GNc$cmiU0ZJ+VU_O~1}VnpFP|t|%PbTv<}v zUD}+spc_JG35ID-rSvauRjpK3Q+nWAG?G3{$thpGA|zrrYdZ_g%0mI+fBFd%4E{Qj zjli=52IR@)hm?l^-8fZF_7N3e?(|n-My3ReRQ45UOY14@>zMB8z^Up311We0ewGG( zIxdzPPNE9!T+SL+KJasyPSC$z$>I;Eer5p56Ne)Q3G=|kBn_!{LoxcJ&|3)VXAzb> z=Ey^3#yMDYs{$^3^mp@mmcD+Mq0i1y4>hp%5c61HNc$}K+`y)=x-a6+Xyd0w>oGOy zPe{SzKP;_w$FGSK?SFEJt!V6D@F}6J4&DwDo$>2BiCA=|#$rg4JaiYjZ&tZYFVkGQ z#5JKv=X_aQm~A(}lqrIXT&bXrPHj36dE zvuuJ>QlZs(|Me~wp>IohyZSVutgm;8ixdo3@7^x6*$@{!#m_ymb^sk@_T*Ca^(_9fuzY#nSCsuzL)}b97TVGgTO_t-$dCc=03p3i&i!Iy zd^;0q<2z{Lkn=g}moKhWMR$%T`t{ee zNI@ETUUtK+>bDngGbckPFsX#>j~m6ZbF^#m?==Lx6trpBt@Il7@eJU)@2t(@bq^Sy zs}@)aw<4bxSpISHY(I8TN$hF^tGPuwiVfg$0X%NQ#DBkEF#dW-Jv1qd4b}pss{8xWKq-fSYU}GE=w+P0X=VTHuK!)h2c&I=mBv&?x(nxU4DQ|ishZ-~ zb|v!73%dUa+B^RO9_!(Oc)>TXPa3Q(0 zw~7g?z^rvSjO`^TCAGBtObyKitaW60T5my5hrk^l=8^2c4`!}%-%hD8)jtG0fu~po zTlh2d_f*Nx$@luw^o5R?kE&6`f6LZ`2&49w!1r45UA=-!MciIdZKANya zF_=eFYd(>p{OHMvi~Mf*n<^6CsCA%=L!X0aW!Nq6u?3Gj4xJDF4GRTHq%< zZVFh&w|V4e=-z3_t95V%A9a%Mwb=q54Z^cOFfrio(*@=S@dJ<1|K1;5o)LKM=H-4&F_y-fRY;_udHXJTu1l5aj~h5HKOT-vd>HM70x^l@3uf%6FO3j zWt5aenP*|t37%&Wnl|6^C@|%?rQ51zt>?1lC?G@MUflhDZX3nGRxZ4-= zYV2Iln-wVmN*`{9j59oZZCE7$?HK(<8Z*5o+Iq@UtE6I*6jnwi=1=SE=2Nyd0i|Pt ze60{ctz2Gy7M6BOX`U+w-?mq__$2_#w&8$fF!v`W=>wF@DRlRM*e}2-4csS?k^_mF z_Kx;`1GyDNzZ;}IZ7g9TpVai+TsH6;8F%1879ZdJGqN_M<0_P~ULJXYuSLzwp_A5S zxT`1OB4t{o2Tj^~EK;Ub)S|*<51!htc{K2FIIo6|4l#}}gLN(E&29-cm3Xf{$oO)p z&CXDG>R63Sj*y2+vXLgUr1s{`wqxRJ1zlAkc{`#M{C>9E@88_dKd>a^I0qMAf3`h@ zM{{Xw`$?R+BO}{q{MvcBccWV8y)X0UhN8sycks;mn;pew=1QsrArem?hww|yV%-y9 z3gW5xZklhj8?M=fW-&YBsLE0BAr-gh)tmb{M_cr@THu8nm>K~d-<>6zxoYsuE|f!F zDkJ!G6=u8p1bpOp7>VK7L$)*;YJ}v(oHyk$V6-_`m7o|)-NN_I$Y9&-I*V)qKej`5 zx~~YcVOGM0j)3{9Mj-L5bmKNboAX08Jn;KZilXh(2d93aBdTwP_^UTR=qPQ1-f2G5 znic)T5KV%Hhmh3yIf36hn`g(nQ$=P%TL0#&`1+E~&~y`tOdm`a8ljec8^Le$_0b+G zZ`oI|<$@E&!Y;0))4vgn+Cg(!@Lc7vJqyYc3O9Kfe-;P1a;B_WuYFXm@=3c!Q`bf@sBgD3KywCLNhX|_bjD`Z5Y zSr8_$9)IKCnXFk6-t#y9A)k=IY^^(<8ZdE}PuGVS>-0wm;Ya}&a_mgrfv%8#s4-<6 z-Ii23HJ_9n#bhrZk8a3ZgNPfXM2MYc=62QuZ}@mqVo&jS-_A6vT#*=WYE#NZ%Y3NW z+wHOe1ruWMx!$YK#p=;k0Y)C4x3)Ho@FuRbPJ5b3QaA%X!&4d&f(!J4c?Cew7MA~Y zUx9!Mq}oXNU_Xz}|43Xwpqoi%;bh}t9`zc(czXD8kFt{u8AF=6ja|fRoY=_%Z6`Uh zw7!4of^g@CW3pm;dU674hG z|E^2SVtn(}C*KzDeveW8HlB26#I+?>3Mvf+>`|@h<__GRiT+O_OCtF8)LQTKU)ne4 zt0CTh=0#IfmcB*PEs;4{@-@68>ZWKD^18LbL4zLSN)r*ObpwlS9vzSQ(?Kn_=Rcm{ z`F=zBCjd#ApLeyLY0 z9#$yR298iFg$VbB*@WF6{tC@b^;m?|{5#K_n)^u;np%SE$iYN{P<>M%&ny8Iw%$!9-qO7%2DG$5f&`%mK~9{E-Jl;Jg0V z0+7M8A$-@At8iP~<&)b?d1{SIYHuPPXSKfP@BgHBxAcH55 zDFh#S8dGXRT^!8qwvlN>%v4b_x?U2--;Xm+Q*mt ztvf}*dz=QyY-(h=@&Gg8x)ydSf^6_=f-9)59_xcOzhC|K&cZ7%`=~)aNgew{ffEH* zS=DQS|M$4rhmu_Opbk*lYRa-eS2<^9xHXTlRn73K{v+VSSP+QqQL zNtQ=`5^;JvkACZ}rHk=5wMNTx{JMj{>rQP^<{3_A!e0a|TQ<+|UT2?!m~|idG@XvI zg2I}k=nAVGwZpBp)mHjWgo7SPCY1>wNmtzZQT;*KP{x45GD42}wYLMq(JS}v8Zt4S z5M3GcSnf0X6(JT01Pr~TWRwD-V0FGWEc$ZAd>2*4n?j4T?;|C`h)bR&#-ZQv^AYVH zN6;XvPw@})oU*bdL*m^^H_PEAmT=3*L}|$;q-R$|jS|wL8xjnWaf{=Vc3~^a3(?>& zi3*y0 zL*#31Ny(3J%(#y50Za&M@q>DIdG3aZn&M6ulS>{&4K4G0W~2-PJ*UmHhg@G=l9x}_ z{Vu)SrKwyT_M$z;4sO7HZ{W22nbtS@b{Nq zTMy!gc26mC^$#ekLN$)O6-S2UhkvRVz4?311&Um@!Mw;@Y$HRXLp)>1Tm76$!)!{Z zjspWBtoLF+-NkVe1bVR=5ZFh40V71i`21hZR^ek;$!*-7T>GK#2pn2eyl{yMLIn9i z;7LAB`C+zx`Dqn}5jF*Fc@D18;W4eh(rgMkZi5QLO1k|+YJUjK0=4822f;=VA8pQu z_7b5QO+w`AR+66W8?k4&WF(_pvZc!1?>A2>^%V|4KFj zLUg~*9qXtXpt1G#?*fI}53&8%I5^5N1H9dg|0=IIKaqR_?Nu*=XFuS< zj}S0#6O?63epHR~uY?4kP0Xe+s5UY%psZbjqIvQO>xD#aruLsR8*wfkM3>T3T^m zdV-dRi5bt6OE+aBdwbt`rjg&cfrQD~LK&^7>A@Er=pFQiL+IaNA8#>75DHML;Bf>t ze1yJ*5;#y&(0FWCBEBf@ZA=tB*{BdgdAHAiw)){WEZuuwF8x1jQgj8{HNCEu8-MSi zQ!3zw#PcF5#!Z-`JYX(vsK4AwFt9lJHHy6N6c>`lWB<_n)Y`zhaZ)4-#=nKf0k{w&-~j*s^)W=? z{?cuh=NjbVLX1i#X4+b_vMK&!AcBLe@2+guV?=tRJ~DP0pCsl&n`OvrjQ5ntnWJ=e zxZ~nifR$yMv{fKrcMd$Z!$gNbnHzdb0o5y2AQs^*o$)rnUet= zew4t^!`hw4(#gxi)B3K5slAJh>+k*LavZXgK$GVS1Dl$lh2|P~IE{upsYsFOqjPOnI?kg65^x6>b5wWSegx85%A69#qi4b29UV2V zyMC8rT>kR$In5Zh#D!rB_oxnLybB`DX`{KA*TIY7Pma}!2a2D{KWdb}^&}=+=fv71 z9u@w$dY3Zzz}jl?J61v!N#6sTqJ-A@L&*&*OrEWRQIay8?4{s384iOYw|r^FRavBv zF~SQp9&)QvlZVon8vT)}Sf32-6J76MS_mC95k!#_>GwGEXApB+S-f1=BnaM_e({}G zt>GqXkjIlQQ?D6(TbJ9fJ-QgCQAMU=3H=(^=e=~zWmq>W*2-9ogeme3Y-LbF>UKXG zuqApKqROVK498M4(k5ZmgX4us7*niST>)-v_zb_@#VIk4w z|MdgNty6~j2_sX9{B_bDlI$%i1Zic=tDd;L z!amR}aoOQl*!9s;)W2_){(~^5k;JZ&+qa2v9ASsm`St-pP-rQ*6c?+suETj7s|NjSH=UZ@Vj(Lmy{nJ7rXF&J zfuoo96t1B-G8v$&Qy@Q+jJce7F0DO`lpT^qqzb0{?<8%6pD@fUSoRm)*-zfwf9jV7;98y zYK%5_Nd*mFJ|8LZt_d8orr1Grgo3X1kD+&*P=lsUP9qrZV@l z_Ye?yiTPVju4R7TY7WIzAYSq(gD<@BKG2;XGkUEagCSU2gEPJ9qtQn}<)k9sy@w?N(fjjeU ziHjVYVT)}%2TbkVn54>MXV6RM{xP zYWbt3G|cQ)<%0Qcvxy4Xp6DTLx7tED-yOGyb7X#2*_|n>-%WS5Gm^NZ9shD3P&MlB zDiKGA<9crr&GW>~_wvTd$rbV(C9&+WRUn1YcAf!_SR8Vb>H_eNJw{sNO#;zBPiY7CDKTPl%RqV(%m2+-AIFglyrBa zAPv$fq5RI(CwaafyyJcE82A6R&faIAx!0O&&N-Xu?e-JB>9*}%e5b9+9J>>nW8+*mCYGLe=H}#_89mgzzS2gMviOF`)Q|-L3X95oh!aWy1 z9(ZRi*^p0q9&I1RI-$;FL{{JmYT8%2`GmX9;P2nAgu_w9 zn$l6ByQ7UW5TeHx{Ss~5`ZeKzbBk}X!Hp8EO#X#M!=%`#N0nw(;nfD6b8Rq zT?1`c&VkZG+7Q~Vk9r+qJrS0O?nqDXiW zr=IN>u(auxR#HzK97-25VyMy|^o=I?PO7iZlg7Mbi?2_1V%ydT$YF8Gep3Z6&>6u( zM-t-GMM>!%F|=K@e|mexOIpm(UNbBe$$rriKL6bKxnN;k6qTH@!y)Q)$hU^z907T} z$d~enGae1ty5I9ti^`DvqF1n5ZS#-YuPJe~25A^TctTJVA~-?N6(T?&@c-%x{k+8+YP8FcJ<14 z|EshD*7m78PkWS$XdyBb@(?^iI(05IF#8 zC@kohMwtVJnV~Xr-XaQV_xhBcM;! z%_67q4-v^*n;##Cyi+k|iO&qK$*!%1?LtaZ)R!-2ARzs3wWUo=rw3BTYlJ3`8>?eTQ&DUs7&NQAf8AQnL)v2`crxjyFjwYSI95jidpNDPWn5+?|{$_0o6QhIUt zYZevYK5{Al!4~Y2VeOLdW@nHAMps{Tk^B;G(A6danshKO1j}pc=2KxM$owC8Zd{l{_q=OC0TD=Wa!knI7?GXc6LJ6jj~-!uOk+`Pa56&T$5 zZj!(3Jzp7%3S~Y+lb}*Mz=h-nlSl>2{Ncy>>E$U9?R? z>P*4VBm-4R14ssP)*(SYjWGtfqb$WK^eJ-Tx@qc zRdJy>{duNl)o|jxlnzrR(}65)9Y2#=Y|Phtv9lF0dc^6%9|@*m1D7a$4nK71-b5TNVykT4{8q4R(+1d!r{hyXjPuv=_I@SwkYlI_|?eUO8*} zSfl_6{>ak z2tK-YT@9jBVu)SXW;)uY|$~xLZ3tP;6ly4E7+A7;{2S7)D{H_kC3d7R0!vd*3KH1!2@WxZLMC zrPZevnu{ken)jv;u>4x6BHCqz$T%e_(FpV`o)yzibK4g>BXT;~E4}lQTg5GzT5*%3 z?7WA|YDYmFJF{Q4%xj1$Kg|wGD;W^_%CB_k;*|RDGOPPoA2Ebo0EHA2ClnTSzxA#f9DNw9`m1V>FAuOhM4q9uhV}z(AFpSv z!bu*H<3!%$M0zBE8#LNK1y)oTU`XC1CHm*I{@1z$6d+>_IauSMSv_b#Bu7~#=vNZJ zr%$1;NLC|H9_R=GzJP$hi>%sD?*9b(0XipSQf(8&3Fd~`*!z2s(p8qFN2b^}Pt(Dl zsR~pIXc$)us|EGqAf(a$=Q?5@Cba^YZz_i-_8Z}%Stu9-f=>WfF7^Op0nr~Y=m=S% zRCqe;NCYj9rymo3AXiou;qC83`<@lbU2KWRpdPZe3Foob&hjH@p-7*EB!S!B^|*5?9!KcmNzJkM&|U^ncFetuAhEzg$?vikBT9kDdI&+@ow& z()|i7vMhg$a`}GcsDa<$pjU}Tkd7t>CtZ8p**L;&A(A`A(8PBmDEu=%4!|oO;M6!^ zg8ptLo+!#QD`<2L^vMCO>H%r_0R?qwS^1tppkw_c7w7>#rQf?);sD43NW{d}2SIf9G&rxV>vnP~QZIAHv1`O^coLW=p!imH`Cj1>h^l z6*zntS*82re=;3dy4inz794=j0z5Mp7cec%>BWQwpuH}5=75!-j+tO!;M~8<(ib7i znrWFXE`}hR2``Hv#xy4jXv3wETxXfN5|Jc9*qU|#Ci-pYH)6Ti0ex%WUf!|)T&Vr* zR&U2HTMq?lb}p}NPTIPx9|wT`@A&QCqs1GG7NN1$ z_5fP+YuW`Y>WVa8^sbl$%_xqxDQ_ecSQN}Hc>4#kcBXGO%|8*Pl(9_og%3zR{jkPQ zNNygk5>rmo6Sa<$S#RlC7Ne;tr*pQ4u9Im{GZLtqL1oZ#d)_9J;K601+Pi%0f%2ol zcz=qRCt)UiB6;~tWwCOmDf_A#Up%H`$hnHfaWu+(@2Uq@=Md~oFdd7#CA-=op6zA} zYoc})Y0yUEf0ol~!0b4(VZ=3})g@NzrQeuiR@Hs)!GAlOK|zl}QMb5fz-t=CKJ3JL zH)|?{OkAcz^r6r^rnE9uZUKJG)_n@1HsvHN60yGXagwIEG}a^7NBer3_k5pK;1KHC zN)Gd_>co+yJTogmRhi6DAIdYcURDT3QtwNik(sZ{r~D+%Vtf?(gC3^dyAp3O@1gaQ zeDS6iP+pd#ez3qxo%epEKh?c~XC>l}QSxIN(z0G_=45T|=N{spQ*$D+wj*ezG_@A2 zM`_nXUOz}-!HamQE9aXf0<(iE-27hbbhAULS@>0-8= zM{?h{%L9lSdQAUnwa<1Z8VfTZA313WwA# zEsSJpz04U9lho|eEdNuvBrhpFgB1n~Q@Ow^pb{lsC=84+vg*|`D3HX#IazbF66X}ff*5i)o` z4b5`gc(B>_L)4OiQ~x4$@x!aKJ0$D2&ZGgN6gcIpBk4Ad)%eFptlpa+FLqC_lFChr z7Wf^#^e`rL?lKf$$qbZ6pw4ImykSA^h~QSF@A@P7c77Q`_DPs)4C1M7j2xHwJq+ma zIt9q8>b)|j%+ER_2)|7%nLIHXYsqWct(%$jk0$(7N>N!AY$-ypK07cmdyR=m=H)1+ z0_0c-O`gyIYA!$)^ncHy{<_3T_sS^zHH+_ST>+v`V=beg=0wO)YC)371tZ@>H@QxZ z-oWeTfxI8kpl6O7(eob&0um_KKku6VDz^S{-TYUi;_I9p#q4~UTwwP5U;|3d5X}Y2R;dMt62fcw{mK}*U1?r%I zEbo->JEn(0Zc=J4DXsnyM&Iex*Vj>u@YFLOLI~!1mtj*Wg;r;CN*;lVT{HAA&!)IB z=*jMcWj_L`yrA!^s*Nk6mm9|Ob1VosTI$1cFnrp0o{i=*LT;HVj$#k0TG}g&8 zwlysZMD)=;xR13r1O-HNVE+&rU-?^m+Q z@A;nJYseX?vdWtBU!mV&YHxmfer>p^D%Szi006un00!8d->|=KJTE=ehppy7STA<9 z)^F?5$W^a&J{(bI$dXcHh{y6C_$&}SHKGyaG&leB(^PyiZ4h~gk@?fd=Gpz{i5#-o zraC9DBNqjz!+8x!9@jium-*y6F`Z^TqF1taSnz4#?E~8g$-)c><-dPQ}x0au*s@1FZa79wjSC86rF z$(6+Tzf)^NfBuz{He*3!;P8daWx)#ytw+pa6Ftux#O{to@eS~XyLvc^Bn~agzY;}k zB6IL1eEf~qgAeA!!NSp)Nd>v5qANdiv*s0bHjz8!LBYLAt#_~$2sM*T$ZHmf%e{zK zmBx4iyz9bY6Ff7on&czb%462>?%LmZrNsM%IF_h)n#wGMiXN?v99j1Eu_NrDTZiK_ zw#!$pqHv9Nott&ms;t@?C@qEAVS2f6Y=MrsjDGzg@kJ3jzE=n-#~!Ni%!z#!79yHG z3zT}fn-N)GEZpKM1y-!K?<z(C;pU!K0B(UvQPz)_$jm^4cu{W-aBx^^-`&DW` z-InbJ_vBqx6&qX*WJL6)BqF&RlYgM9+P-*-+`DG6*rDs0YPh?H)AkIDeX+(mw82ls z1L@AgjC__1@uJz9;n0^poFpywG4`-H{2_bq&s&w3^uf=nc_n%+@VXoB(~`%^JlVox zq9ac``%pE&@^-9SD-mfwVE2xSzvfkVw{{j&)7`f9yT7THgudxr~x0e^eN~55w%@dr?Ij z9wGMvRV;}f?||)c;aW?KLG;@xgk23)PB+3%+kW6|QN{w;)dpY#tWE93Y7fe7QBm^I z1UMh+!&DRx5BRFcYC??D31=P6wN4c5Q~rq8@X>n4#Obe2+6o$GdN6wFhtpOGXg^Xt z=)Bk&amJ5ZNuM(IJt13eH;D3GC_~(>DgEKpfCA2Pe^DorjOd!4CUjkvD=mM9(GbW7 zQl0)?p#dsqI4&?32(S(LAFg}>u07Iyy?<*W!Ecm-cq=QpD%*9BsOhQ4fXFF&ZiUWjU=N|3zv89rsCnehf<9)c$B8;?YnzCVT}fv*^@w3@ZC;(}%! zGgVZU54x{>*W2Y29OtEs+1tB%c7sL{Ax|FkQ2P`k^pKic$M|3|W*$4d4Q4|oxhG}6 zmykZAJ+f4Kl&EyTk~Ni5B96@6F_m_b7Hd%a(n~flM1y!z$POo0<7FStbOoIo(P?bD#YWQ3Qyy zLZUlLBS2~a48G%Xa&qDjDMJ0|P+&z+h8xfL&)oB4QEIa#65aDr`17-;^J^0WvHBfY zR|yzgYqM7k)zGdj(!s&b_b?!hQD{=R5rcXR{9(BTu{4ONobe4?Tvn|kh;+1y@sWE+ zGx_R4gUdS2`ExP2A8RS)e#t38*$g^o)RpF=G$=0DD(gpNx7}Uw^+myKEn`=3X!R-|xP-1xI^E z{(CM)p?tntygXR3_`uhJ*=1h*%JDBFNR>&;uZ#Y`lrC`j0l~IF6Y!15)%G9nJSs!} z_kLZ6{Ka=`BQ`*j`)A_r)Bv$Ia~U4rezRa%TVy>Ob?i8~ zxb$xqU6{=srGA+`qxbI@lb^Z#K*aWaf>-ek8@BQs&2i&H93sn0X*B|hDpC!%6zS4& zwq*L34;oU1RS=@6tCSrEu=7b+Qt2`CdokHzWt@U-{U!-^Gkz~*7?t;0VW_EGK9Io_L|T7KQuox*HjGn z*e=}aHZVzHbsh#C?UlCo+MU)j5N*j}VxM_y8lGv)s`p~^(O0^%=`Xj+sFZ|}#Xe#e z$5Zu|dY7>@)|MYJ|1e2m$TDj*{@c*>3loL`P|o|<*}5_|RNION{YCP!H6x8K^hG#R z%qCm!c5(21k!dj48VW(K3(~!9PwCtpIkaYba59%?bWF%iRi?z{N=7Df@;bF`EfUQf zaU1V)Red-e#wH^oZsgkqE4flPAl%a{Y_ldEil-K#XvWmV4j%A49_4b7=)3J z0ZwL0tZMXDC#2->LC1cWJi_hW?CsE!6yin7F%jk|W2YeTMysmH^RA(TIMH47$+52G zd0GA%p%7Kp#RVKvOpp)QvyD22{d2dz7;(A1vo2%($1VnmtrzyI6IyC6M`RR(hrA+2 z-`Yb4vtw?%r}vDdvdS$45F01^i?HqL%)Z|24K4b2ZJXZXul z!1TGQutoR(Gq-3XsJj7a)Irmn=DHD({ya87aIm;e_r(y_U;y2Uw&b>$u@8N}>LLMvmGtmfHZhwiuN$)@Jj1?sBoM&osa;?-8|} z8Yi3_Ct3rgL?UfscXqACb^Y62e+D)P(Kij6)wr?l`6~y2N>I%OhSYPf$@TU?+z>PY zS`uUT-*!{**5GO`ZXTYFvBl)b#OUaJ<+!B8+snq2mNqsPUiq99RcRDeND8Re4!q~I zyRi@%u}o-!z7c``5i}$S4v28Vzk@yj#z%hDZSL!z&JhF7`iDTE0nh|{(3PKD$m}-p2yNND5ka!*e==G7~7b7+u13bSv^xReggiFD)LaS!ZHL1LsP-z zx)DA8@ql^2yu1(-o4H^0V)jNFl<5`i*_?I^Wp?SYBipzHKDQraPY zV;~hTXdeIXAtH$LXDX1QA*!@ifGbovjB<))cAig+ z%{k=pukG@*ma=om4qt^P@*6>-?Z8h1M}!5SCGpbBxSvDe0~S@Cik}x2Hpgm4%iQw3 zIq!oPA_)3!9(?osgcCTTPZ?#RoU0H``bXT+KHgp?L9Bel1UDJIU0a>v=-rwpSRg`@ zNtRZb`EkK6IH(FtJIip+;3Qq_>$}svk1-!bN++pS=dPq=);e=Ogr_X0vj1r33sL2O zoIuYbk}DGqhRUnn!n4jNh->~5Z#kD1+pm=`H1JZX4S}@%p-KHlxcO`URdNB6^W}f< zKQ)Lv{VxMfq~?3FsMB|OuWq>9I;8p`LnV{1x0I|6`hA#>)UU9-ectEJKY?4GP#))? zCYg=Dr=_>kn-OYVh*34cSikm7heJA(2F@?Mm-&dKcqha#)-KB$;dRpb&VeynfWxhK zA0!{=;|qjY%qp88h&3!W?$BG+iWDstYYb#)KCMcX#;ib^;XFRqz1R4q!+E`h&q&46 z84f|WA6_}mgpsm^5#wYAoPcoH=c*e2L82;xwxFsiDqL76RZ1iPw(-!gx$QjZWMgiXRLg}wE`CX{i{wWdG(SWu z`eHYSptE@OXQbo`{z@k{;ZIgDf&c)#*mf6cYuCH?KJbflc{3Z}P&A9Y~P+cc>pYPQMPomg1!Hj?* z3N@cp_m{ZFH)KyRY6us3bEznFNWO;!EuoalT2c7(gq0+($u0_pJzjf>8WR4Tx*-W& zV?`W|PUo)A(8<8P%u@VC-M{Yo#lvP(ge0Kw+Er$H@cWxIc>^Ajkh7 zC#I>_|2laj!GxF)f@d`F|6LIj3X8ly;s#FoE`UHN15h5MSpiXJ8I9kb_EnJ6zBz}N z56sFcL@xnbFvCvqd9JOwt&1plBqC(2k1V0=+B0WkHrs-7^gflEP< zPt@L_{1`ez&)=NWpD0vL0nw2&8sG6yPS#QN7xlrU_VU(Zf2cx8A6n^#6I0Kif(8Q{ zqpU(7;wG~qyp`1WV>@3312;*gA<3fiYoWL39Rx!9bWqs(adJa}pt&kQoHY>1zQ35< z|IuDSM8VepSa)>HKkY$(s$ozaCEYrXTQyr-iT6>bCorX1g&oK9>AN+OcQ4fNUTB{} z2y3Xr?51W+LMmV!nim1NBfL%0N)9wv{||vfjX*yU>WqF5vw__JWc1UZ?oVzylo`SN zE~6(hnkzXB=YZa}lWZu}|(+lv>(4M;pew%0KxMDKhM@Tn_S?_y;I24XZA0OT_O zxbXdfy?>7P07I4l_AY{D3O_~1I6T(4<^!XMurbEo%^=%92v_cm8gwA1%6W)a&Upy% zbzJ0va1Mh;4}u*z4@d9~9RXmD)!H8#iXMq}niluv+D_ywoiK#l<(@#3pu)@Roxi6j-wim(^hjwLVyG>|B*e!fMgDw!`uf!N z4lstffs1U|%JsV(hl`0hf<6V{sQMW< zT;R_arPJ{Yq3sP|c9=kV3*R4sh*|tOeU2%CDZxr6GsuV0LAI|~&bNyRFCLH9e3jA7 z-G4nPk%+kSKSHG7Dc?Y-pYv}aH6(NhE(M-507(DmbAI*D=ZpgVb4KxSK=uTl@fI}C z`L{T_8W>%G+?oF|XebL5^Z%^w1G-SZB*iox!u}d9HB0F3gZ9DEA z9Bns_%C8c2kn~guWR=dW@-|UKE>AV2<_y@NTlMS85kOGub-uRMqsJ=FOn^GA5)^gX z8+Uqf>?gZX5Ru`P;M#O>xg$|zRxRilPU$}2gat-iEHE;%#Jv@hA%&`cL7 zL?qLme_p#7e-rHd8d(G@9Mp^xGw>`M)xn*mP*$!~t7D3^lxO0xUg_w&cb*?=rM0kd z&~V|Su{XQSd%BF5oKu9POG0`)U1O3}#VL0g<2ei_b@V^^d#{Lrys-lsAcLM#t8%tL@Mv>=ccb!&oO;njFQtxYN^Tf;02FH`Fbi38bstbNt$%atFm6I}7WW>225d*eK7!Ij4{Ab;ii_$wwEgDQxdrbh~GEy&@msHx{QO zuYD&DO^JOYsVW!^-aF#-*er#@P|w~c+R;D#auCEVlix6mB&k7 zc?@=w=N>SdGVrY%Re6UMlzF~VzEyd~{9V=B$q^^$Xj~JD`C?m4?J^;EbCcKxo6uli zqh*|wrT$=750Lms-SOxPOed9upxYs{XuGK*WB9qIdo!^wmJHukqXxP2V%fL2H2C}A zdbp!OTzQ!gspT(CV{Uz@eoP}WWU0#r{faM=M*{Aj!8R>)8uP3XwZH6e4fuXdidhC% zXbM`SbF;DCUq}Zy_kJQBpo;R>oA_I#BhOm)Kaftq|3W$mFdt8AfMaA6ilgLahdYI^ zmLR~QQGC}^;;LEJyq|>IZLhqol`hkpFcK8jATJh0oiGfN+pR!MqoF``Qm#tg z+^Qgr8&Gum){LDGhmh;-ZHaxaQN>}^(Zh;;O(y><&G+QT(?bVymo-Eo3;x(fa&(Kw z2>iD729=TT2_5)YN*{leCb(DW^%`C>=i3FFPL{!j`X?8aJI)QPJthdxD_0zh5#~Kc zi>gfa!=zqd-0q}&cl!6wtM(IuzA1~ejB{;WqH_*j8%TY~5neY^tGBeAFaGA^UW`UDZg zaDmR&Sn{P{z-CmRDPRV6iIYHZEo_4Ev_}vNvmR)|z7em!2Dl#|L1++qE|n66;@6-_ zcXsbiu%tFMq9n7-Y(rygit7yb-KLjwPURDy2Uf>K14N>i`OR7o8gX!+z?^d2M+-;L zaDVqypC9W(euS`5_dOoF;DoOtS>cO?2SvWJ0-yBjF4Ebq1~taJIfGsx)gV=x9A1;D z_Y(Zr1$8<%f>lU5K9F?kl_KehHph1AwjUu11cexK5=3Z5RX_i(A-KH^NoQ-xEM(*B zVLt5L50WxY^4_RLI8+kxWZ1aN$TY-F-%CgvdskFp`Yic3H=@A4FdDAv%R_8{f`mc1bQY@xjKASzoM&>br zwWPJIpEPKXCTv(;Ivw0g4F%h3#B%fPa!EfF#d~OkdpF>Y4Pu9uFsIZIVqW5Zs7=efgBD$rnY~LWz-vX=?N))L&MR$ z*(?u&x`AKWPtq|PBa;FfBkMnKhXXgzsUMI5IQa62K?L!S0Rn7Rc3d_A-Y`H zn=cc)F$OWW#v-D0l9RNPgEEHuy84jbc5p;^2%`%J#`LviWQ2@~2Y4d1{>M0gIwNVo z720LF5v~5=)brE-icmRV2EHa}cEp2`gG-H!A-|7i|6IXkB}ZSV@rrwUl>ce%OeSn- zlwSfoy<0C?AeZUnG@Eet@Z25!v%Ss2Z~)&=GNT$d_f zT^7c6AnT(3_Rn=01l9#6ypbcqR`^(W|F3m%{Xf=ap6f+Bv}yr7H=^6WG6Cj3_C_I9 zgFi!uuvORwA-xrVWB`;w{^+W}74mZPNaj85VV8wvlI~_v1V~8|Di-E|8+lPFnkl(5 z?9kR|-eAzi!-8#W8wLqkt&o{2Bhm)PQm+O)nu4`Lo+Otm&+* zDQKfA$+QG6G}JoTM(0bBPEWRIve`X)VX)Dl60rqt}No=S#@zAB0PS6^dBZ6p9XM zojxu!vP%e#>xg+TtOLHPM{$kW)8pSNK{azQkTewR5#f8!CNUy=hvzt zFX#PZT&#w(b-JQ`SuzK55ees?S_m7LEl9VGfR2-0d{X2|=gRmA+RK}<3x-psKyDwS zxzZjn#)`sKRgY`FyZy1bj3+a;#Z4!wl-_jG7%7(zPxf8#;!(G5-kbyNORSEVK_`WM zoub}}^~O*M+TaXkdE=;@7_Mv-@U-#}`y9Kv{RmshnmXn~*GXEcR9~76#Ql5^LW$d^ zG9(D2KORroE35By6NsYMlcB?j68MigyJ`0{>1uKKkahkLbp#(Y`Hy6j9(pv#NrWrO z!r!uQ(Uf#sA^zbtXu+aby@qcg%Wu>#@F5RPQwM|IIIBvxHVAZZCp-A^KG)786olFn zKSMLu+@WerAv7J?%-6@EYCmX;$w_i8)eUs$WSRXH#CMx6M!U9No>cMJxL{mJ_TJ`; zKef;V5wJbwV6N2IHLuU-m7@s?Br1{4)$5_pe&=|EOW0de@zmXHI*(>(o|#PZNAPk| zr(thr33hm`!KcjA#$y&Ehp_2Hq9p-7M~Qu-CJz_#XPEU8ST zwVgKjkmvEqjP#HoI zeRL1$EqO^zZq4(x+svy!YU_#|I}FSwip31RU;AY9I$caa#_6G{nB8n92zhBaIe_V4 zpt=flm*x5u`kDW68)Z{cUFfNP-)J{{H8^S{`u4`FpJX*X0`U7p?(@k$+yUxq>hqkq@s~4F9@ZC#Oed{&Bsw z6@CPcxXshwJk~r0Kg}iOsBnES1fi*H=oOF_G3@WlGQ2l}T4so{4W#rn0w~)!ItK%_ zFHRn{>$NXP(QF*b>X$^I<->O6R&+1Fi)ZI;0)Fo2&y-vD=K9D=zeujenVVC@i^)Gl zN6qlt5TRJQCSSbz>?1jZ?aT^IUN@p%mvEp1EQ}{U54b(T1s2j-98sVyimekciu_RdAK8v+5lX-sqV*eL&J3PVQ28oK>8NBEfraj)$mT>>YMwg$ zstuZ#Qg_QqpEfZyi$ErxvR@xSK^!x~ zQQSf7C-UA)JMpf75Dj>Nw*t06uhnc;>WU(4)IEDy;YH)pcbYkeTCYaV-O@+@Zf}S` zCftV*hDLz@?+R}>n-2cmxLh2Z96&(`#L=($*X7ey7I^P~I}73gP-kaSb88m~tF7JdD#TXqwzh~wQ=GUc!kXl_I zK?burp~>_{EDgyN#9hG!At3*s(#S{{`^cQK><|^GKdj}EhWqpTAX-TPgS1Qj*Tqc} z6!fbq=5WFTK-nTd`DyPc

Qi?0l^(<|41C$jUarUf?^c45NxVZfk1coiQ6J{ps2% zR9>B-GXlI^F6NDeuHnzj3V4>;}n){ z(kMiZ-#Uiga%J<2XmJL2o-Sw{Kai=&z=~$fOLBr$qLm0Y%mPQ^X%j+;CVqq1mV3Abp6~CV6IAt zYu~@y8LoXYa`04tP{;lp+FSHaf`KzplM}n2N%FY!m0`S_)I8{}*6Xw})26mLb!LQ` zkz_KxwV!JF2|5!fEyQOMwABN!6DVQ)v|XDKHt?jjrpBh`-ZsvrAnuUs<8a^xSMeAi zuO;CB+kJ2&qL1yXNAVE`6wV(gf!Wi5xtZ5^-ye_Hv20{t4`$z zUU`)i%`k+9S>kjoNRSdDop4$Ub>k+RZFU{Ojs_T-9Y`bE$?8%1q_ty;rjn&?3}GY* znNTKv@>tu_rIksNF#0edFAtHhl2|uumXI} z(1S4#kC3l_&0bky%Cf>S^8%9!AU~$lQ*>0+j!g1vuWXc^CG1z=b?tclZ0Jv2do^=!(T5X?-emTrbBNlZy&V_ehS)3JsquaN=YBRXS1NGC#MIR8;niwTyR z;G*{uzG~p~kSgSYvZia&${!Tt_K71>J3#Y!NVG_JL*NiHi*KQ%_LPExh;>&pkuYa1 z_OrJgUb`xD(FXMvp^=T{M+JxvI(--H2Ai0a<+x(3RvZ(aI!#8%Q0w946P|01M5RS( zykkueR*~(spH^9GRDNT*KALJOAdKVpAl*a5s-JUt4)Yta<<}7X(DxYaEPK!3@1cIo z-#vWAMQVv+=_jchaQx_qf($KTt@4zH{Yrggx!5DfwuJwcaxZ@6iAxtUX0n^~ka}~S z(S5Y(=0v5*w8AZ9lJtqHHBIc4|mOz~;uX5Y69%;(B_3;#gMNno!}La)ODFZL{KPwsgF_qEseY z^zyON#0$6Q<)6PcgqAEt5cD!3M1P&cYz%a*B_rQqNgZuPQ+X~zByA8XA66+A&Q{T+ zX5N(BcULN+C_J8MNBU^Ynm{TSHD5wCoaJJzL54H^itsf*Z9+x#x@!jrJ=wnYvy2S6 zV#dZDR0h`vtGf2FMqH?3@)-5rKdxc9Zf)^}QQ!bbhT@}lvoqy(L@z|G5+e8d!mDyT z2=ifp`V%8Y%})W+XeQ`cGJTm8-}W_2wci>nSnVu24md2CuDwo4owPcCl_AWA?;Ukn zR9V>tZ<7&ia?2|aPPI3SQy6|VM7X7rbJkBKo(5@#wPeE}!>u9MJktdUH4EHbhKbvG z1}f+x7E*JU!Zd8#cFMOSZ`MYUO8|W*)ZIMt8an7NNFdLyZgoDEO`fnBIxH~Gu{$I6 z$PWbZuU;H9^2Z&%4RihGFn8b^U4%zNl0PcBX;qY_N6P#y{MfyS`QP8WErGdO$Snn$ z65P#(m%ktoCnqOxR(2_{F!mJvr7yP!l;2MJ%9e!0vG>05UPGuCvc`LcV zLm|Il4+!7^0y>xb+##n&PADhp|Go?yOK^`5=~D7hH|4cWOkx$y4o!WW!+AG5oalBP z_H^9aR?r2~`V9Pk_qn^-&+_Lj#es(x48XB{hoB$`7&}t=cVGxXuQy1TXlMx@(=n@? z5dexuj9IjF@ymod%jxj5pBDR4+|bSF|p_eID%()7|?JM|MdKXyZVUF5s* z{^4}w$P2V#!N6_treXUA4&1{LWLkMD~A*7`f3FQd-PZ!@ou)R(c zScC{@7UIV0`%nE65Em~bEm>qH<-g330P}UF9+qqN>!B--@P)h;G_noI4;%PZHv9jh z9MRcV#M}#9_9~$05Z_oB%#Q1~o1?($-1R^qPYY}&g6mYk+-3}{_U8#I2pY2pwSxO- z^Wbx%%}T$w@l;$giymi_(GSknZ#yUSzGOAD1d-4ylYMy`;KO^i z9}9cFcrP&hw8hh{tOIkT(7+gef|xxP5&ivDwa|M#?l7eeg$0JV zvq=uIP`#DBwLbL{4oeP(yFWB)z?b$;Sp0aR zpf*oImUiBycuOp<68_nx-MTx zQ?Sp+E?c_?*ta&m+?so2&-TnRdnfzEpVzSe8^>!~!QNKSSUVIUxR?6j`<5~TW}){3 zONUyvQZ`$i0?9bOT`NPW=Ns7Q08#|1gugYTxpC+AYwOw1^5A*ymMknGvcSm%;*A?& zmXEe=kBm807l|n2h4i&O%tv*Wu}Ty2^p9eXV$qSgjRuE;C*!uW_F_$-F7ai@)Z;!% z@~gx&`D{sl4y|?9x}J&Jkl@&$9;Ex5MSa7IqlIrmVU80faino86f3fn?@87;5%-p? zi*(io-5qLBF>opNvf*~vc^2}@uiea{Ts=Q1o{4QsDK1q8Y8NS#tMg=KfC`w~_*SS_hqs@bMG+NK-tWfsb)Y&FMCRrz zvng~+kh=3`qunu5)Jwyvp|}^@=B^6mi;0a))bGlx64hVRzng^f7wOl}KII5^{tyVS z@Kh=PE9bpAJNrIWGvzRwP|-wUo!}LH; zjKbDjpV3cpNLp%k?3H`&Zhbb1f5LH|YB!HNxRP=BL)EN0z@u0`0V_pSm|X_`PR4Tl zfZlDyb?MLcrepR#j)TNL1OYvBa^EHkZ(Xnv-R=K~(O-(%%2o%Xi=XW_Qkz289Ppfr zOFu!iPe9{d{0vbdt4m4nLx(=!`6plnSVVG;Y-2o8^u}f-IJ*1cVyx07y?X`P_9AA& zsTYQg(+sZ_7wMi=%2qM#Qs`4s@WoI~e!6@T&_(j zUM@}y!&-LdZQRi4xeB^uUG69~`fD7u9SFOyZJV|;ck7#x z9%In862C7XMD)#U+a1wQyQh|}Zz%N?P}_Phmq;Y>Zh~&M1|wPGOW47~ z0U@!oz{z6Ss9U$!`(Al`RaOd_TorxeVxS`o=f4=G5H7?9`>5le~PsmFydT8N|a0tY*-+rQjxWQQ;3%F`F3{WRKI} z&gbzTO_P19-haS13Rix(CQq^=4 z)&X_o+idfPXc)axa$OZ18r3=O%;4`XRY|F>h*nKjJvyJ7{U3mL_I+$>ayGu_YPp}= zDl*peF3&DHgRcae8j~_#qp*8DYLI-K4Q|q1Vs$8r{qB8BjVl#fayVX%|7Z;}n6vz9 zc9xHec5bKy(-vK%r4*~^Gt-6(SE`9fcG&9T=J|y;to`uI#dmP^Zt<5J$ICCb?DoE} zha<@vNM6B~`z-h&5nipz+~f(T$ee!7=mPJp-ZtV{Pq8;N2?(WT;M+ovUPvQW zI2lIt+Y9?AKmIm{H1N(VzurWDsH^!Yg^|M#`jgr#dx8PygUGs!L)eY33&RsdL;v>; zsD{hdAGs1>@1E8rA4iC%-SrmAQR8azJHA-T)xuq;le~QV;uazX@xu=$WLj-*PlKE9 zQnvPg^J8B(#BtaPEO6-@^t&@#ETuejfkRi2UbxfXmxJqFlhBR5o+ALzDC7Nhu;k`h&;A4j`(#Mn;5+^UJGS1b4if>1T^94{16ObyQ$=p){k3gfagN%h{`>s@FsXg{PoN`hvh}* z{w5wp)04K}8#aT_wFby8+-)wxiXR@OTLudTAxO?;=wuty4-D8n(hnV^n0fgk$0q4r zz~^IkeNXF6j>RAR!G-GE-}WA!E`gVl<*Eq6H&&zTKap%0pVabg9b7CX(|Tw$$h7j6 zOiQQx?hRl(J-d2{m5VA98~?+3g22CVJIS?;OBeRt8M4~NDWkD>2>x48 ztgA4#lYNa$2EDv*JNSwSe}1PnF~i$InuFi`tw*voQZM~uV)+;LQ99TZ`Nmd^W_d^w z*iKk>A!?*!>)~o`%TYcLdvSAIktO5}oQ>Mloo$n|0un_+x^iup3M6jZaw{fyibux` zJ)+rwq%cmjg@hJ?=BAehwPty~lD- zCi^iN>|FBI%5pVOHD$IYDVY(0ZwV_|#+02r_gNv9cCW0=|($~Az zo+>v<0o}`t(=X504_(Gz2rPZC_sKlkgmIPI^Oqwt>!8gM>hpYi4W7&PJyjKK(WNr? ze6+#)oo_miYBPTz{`*!)+^V%P3T&lwgx_za8(UYQF~f?pfb!_BJBm;<;z`*Zfp}y+ z-f9(uo`?bz${O)js;bNg`K9f(O3Se+NKsOrJ2ByTJ+K7(T zEpZ8bv{g5aPi8UT<$LF0p_R4uQ9;j#b@=mB#w9SFr$hmw8>Ski_Sg19J^LeW|Hl5N99LKu9>>w-h!}@tN$eC4kW5#wS^|=Jd%o>`6%&aOkBwsw> zenV1h?he-9f`@x+Pm&!B8*W$r+;RW=>E$hld1hQ3zBZH3XjVqew{;K%`4?d;q6P2o z2^wQtj%Fk4nw=}z^+(8u%aFYnRN)vTH(@gNdMXFQCe<+B^X+gE)RNuiMH%hZ#%R>C zX<9u~>F)j_iG^YnjK1X{9bxCljf?h8rsVDb+bAs}-Tio>bXAQi*H!^5*+sm@&tC$D zgpHi1>Ri{eq^GnvE*NX;;eK3bp;(gk$sK&vd_Q|@H#z;pbn7BOa&kKQtpREThr{zH zc(Nn@I1gh^Mb84x8wU-AbERD!k?)-SKgPZxz_N8|w#)3YZQHhO+qThV+qP}nHoI)w z)umVWzR^8{KL2n}_9#|WT!JoYUil85GQp5+ zwqvv|X@XNY#PH$>TRWcEvSZ&OR(L$ET%Ph!s}L+>Ebp8GL~yd!w}8K`E9HU(0iAMz zT0)`tCoa#c2&Ga8#B5ZxM>$|n9u9c1K-!_yLOlA01 zyT$NgUs z5|cjTRPCdAo}A;WyaO4YaikNQIj+f%dVMf91G!kvK<`S9q7=x^6PN80I&J=rpsZ5k|ns zTIGZhDOb~J>SW9R5qOlnA#gDASSSU87Ecg8;ix^N_8Md^tZ)g$j;|`Vnw{3k zu4EF4{fGO(%?B|A42=JuPm*CObl}d?XlLlqh~e?5cN>khsS*AIO423IU8;ZUhCL8DvM7~CfYO~LeE8A?09k( zUjAV%D_?7`$oj_R!2bc4e`QmaRJ3g~=#ad(Yi?lGC=H=mvYz-9gfAD1m^O=D=9Jj= zYrK37^adG^RMEQ3@pn*;=jSlk64Klsd z`OnVYe+sFsntb~7+eSHSno35Bl5ZO1fboh05}TBy zays5l_QT*%rwF*yu)mqmph{Wsp*2jl3omplRwnHZdfZ%r7^_wl1*1^nCYI;CI8{7D z@X$rg3n9*o6W2+Fts!7AGnkuJwb;d5BF0^>L)6_c8HI@~K&6|#m>+oRblrJAIp1lf zYAcHXUVtAWuvd2WvpQYaL^w^-uae@voncdoEf=W)aokyxnHYMxxq>A%6YkwHE<>rX z`c&$X&D}p+J4j9i`qDX(lz@?^CsKoSqxTvQ40srE_ePiASF-Et&X|gOP!yAJRq~C_$Zk1tRA&Rg2R|m6~4=eXA~d zI%v7uDSNZ$hY*{c9W>vU66?EHr<{|?DHmLZn=PH#P9&81mwR&2J@ zB6_0Nd2v4HxJIs4bpN*&8(!aD@O)z)8s^_$?Y|Nhn@Rsoml^&~x{Pn10jW!7z7F53 zBcp_b3Q;MEQK)cL8k++`p@jDfi4`@|;8>$QkZRk_>-6!qE0*UKEw!2YVo+iu{?1&q zB(h^|9IYj?Ws(EDUkQB-OT3?4typ>1toq&k1edA?OVDcFrdHZbQ+WSgf&2J?LVylR zkU^5Q4$=-K@o#fjt%uN|ntJDk`c>C@V};L%wvfCitr~PbYgH*oMWD6T5RWd)eAaQQ zNO%eXO6l-ZM8JdoH0o?c8WD;OuVHgvnlxeNGn*k!Ryst>zh=x#68(bO6B; z_e9K-ux--6nOpFJU(OQY*5M59o*|C%^o%ew{i%%Pe;d`;z}Wr7V6M4vw7FwE^MR&o$c71?;PYvdB9-I?0dx{0;I3AwPyNK z#WK)JR$&O0?zwpO1|mdxWKSVrO!bJKSKmqjD{hP& zCU*9uaN5y#V)et@DhJ1pO-Y^~um|>^_V~z52@yr^{~*yC&U@TIzCn5Qe<;L%wOC{= zXGLCmJ@H?DL~l@H0&vjxH4Nkc}&hoFiHW?(?xL`(olSiwkJ{Fc|} zF<9+HsIt-gKw=l_Jpu<%I9m}1@Z7LM`zK6ADMY4~5C6T2C9eRSib(iqLXbqDT{^Ac zo|IZU9ij|j5RG(@ute%r|5ovJ0Wtyg1o|OLZ8BRhI6UERE&c^D1WfWSD>W-`MfV`i zJIxHi9LdQWj<@qjohu`Wnh4^idNo|IQ7%FCm?NIfIy zQL2x`Ev=k6&A2ooz;J79U9I$;eYQK8#?;faoK}|o_&0qO+MCSAbjB#COdCzhOxkw* zU5$tbDmrlLjNat-GnzH{0~jhzLp(z*i5st3p-5eiDE~C8SLHlYIL zHuceSxIKw#F6huM_8!!3UQ}JjVwNqtxlO+K#BY1E7r)|0ig{kNh0)q1%ir!!Dz-uD z4vwqYdE)${7`~*Io$m?vf`y^^po_T-QBk*fDreLtb?JM)wvdvpTOV|{Ds8;rAxH=< z3UQ=DpBUY+ zZ7{gzjUTI{;w|#=K8RnvyD{0!LOBb!!VNFKJIwgv*sq(P-OJSM9i+yc!M3(1w5M#@ z^I%G%u5K$DeOZzS;Z|MV+he2G`&H8|AN9rad5W>-*35_UohwFonHXu+?Z8a|5c(QliKPko1N z2QO01G}6YB|AtE4&wq!?Uu`{2%i9+HbZ8(qZ`{J!eDSMHW5YC+ zif6NHybbly_L=Y*S+^Lbt(`)`=M4$+#R(2rg62#>xv$ zm!DN$H7?4(uXuioI$y|0b#7(C=+d(WSNOe;?=O)|3ey{zw?#BdyH6BrYj2N?e6&@r zO+a0*mhvPNA!`;Zy0r4#_|Vrxum7=)tEx_}&$d@#0o4$o;ICu?KAxY}5E84{uE}c{ z#$iiwUKZP{EcEH11=|}LH+d!ap)rhM`(pXn1_<>XUrs!%{t9hoKGf#2d;noeU9}^^ ze(nz0$kd|6HsMfeOx5IjZ%1BuBMwV_<2BU1l*vgcH2s=a-!0qjH%Z*c)SZF356I;? zrmjQis7*Da9@jtZE?zkV-lpqKGaq#V;01r;f~frw_o$<7bV@!bixP4?0szJAz&)y+(rp^W)1)HKeCbLGMhDK zzMqwhfA_{S{oN#!q%HejhT;{udxNN*efqgj8yL@Dn9SZ|$BpM4$sf_oR z%!%kc%aY6Q(d+&F?|hU8{qJl&EZ|>Q9=cfhbx2%6kh0_Wj#1{fWvUjffz8$e zyxg8V0$QEMrfF4pWaH|61R@Zrh*1m;nH4t*-DMM^DU?FGdH7;$H19W{bOV0UhR}cC zxI9d30vOn~v!rw9QWZdEgo$5m@srm!iV^6FeXW#C+aM``mP}ONulO*AH;~V@=~I0& z3{bYsMFqNQk}8>?nmvHhXBs^#0`Z=**FLk~yL@4H@J4AOb~$^&Z+LPBuV*1bc9wS` z$`c*%{iPo`_tI{8o2C*Qm!=FKR;H2^)vIEnD5_4#iL_KUf8R+XmJVfX-;B-a3>pc_ zzrn=vBvw=BY5{6q9tvs*{6w6+k`YC+YCQm{934sV7E;x~83NnW!+zFoP5$}V98I50 zd?ttk1_M>vV~kmCG{QW%q0* z7PP5Gj}#VNOMYdVk;Rg{tcb${1BPGS85=PrM*gSNNH0-Z%K~0GR$_n;8&^FZDv~xb z@fmNSzs0|Q04o)brAeyO7$W#t3svDE6UYz%|T*8zRKp1vs1Hj^9*(DuH$3_)UUG5*IF6Qzn z->vXD$5fY`sSjh;7s<2n8yR=-hM>fUZcg@7RG=Q09wMI483VI)M!%AHx~n#;c+q3^ z^g|x?JRul#AMTVo+V@T}c{!?~{j4JzIM0*w`0$IFr2Akgf*59HmW|FSLG^rV201&@ z_S#S{E$a5_kdih{b~e&}?#JnH${Z{38!%Taq5KZ^FcPwpn~rJf2^|uky&GrA>RKwp zEeh?a$Z;6y2TSyb8gttbK=WwG!wS&WqX3qU&6R z$>krbd9_?X$_r1ldpIt~iR-r>xAa#=^q%M%?mU2CCPbuwrJm{;OSCg!{g78hSG4O~ zKl-(jL6CT4Y7?^BuO#03XtZ5H&TZ|E%P)NXcZ_6(SQ@MX{_&#`;a_F%zc%cbYTx&% zMBu!yRWCGkJ=7JDXzB0t%9?jp6;?;1<1m8g^P3Nb4`}MNH1uL)X5`8YBN_-Ic~C)t zp?FN$JY8r)J`=YbWA5F?2|qJN?&>F;tQ(OP>efOlnWI=Pt}rajb5Snj)0 z^g|mpQZ^CKZ6MGa>4buLWZ~M_?tIxtB{Zb>JiR3LkEzkb6~#O8A27mY;*^S0r{LVn z_14kB>SNk&e(AncA}kI2B7_V!&36>f`XlRM-3jfcmW%L6SoSqX39f>t@n*ZD?HL0e z$A(=U2N%_Do?Ympk=-O1xvjD%-r-s&0+T3_oB{S|y2k>^_S5Yt@)TA_sE8LwQ9l$b zW5Y@C6?P4K#9D(CRE~v8k*i&f+r&r4ej>O-2+E`q-NrhyF6cG_p~>Sl`vReZ4Iq}R zorx$IA;Gf;(f2l|SIHrYUWAsmfey(i(@21E5V3Y46;U3>GA$`ge-7cA;kmQ2@;ueQS#3*?b4;U2jaV*qH1`Xl-r zkmKIeD-hc0;Xhu?3FC6=LaLva!t(yoo|w^yP_0ccE_010>pRciP%Co~J?ehu_(Whv zNxth+&E69@sMmS?ECA^$8w)H-mC+_*`HaO`X zW@H{z0x;^C(S**9Q45}pM=kuY?JKC>tim#YHw{X1`~{Fl!8j(9Cwjo{d)}BPJM?Z94pOXaSA!vsQoa5PRn5@B;%lDx`u6Cv8 z%06}W9na>}3}Sl6tD zY=y%gBzmkP@U$451mC15q(b+1XlnD!mi=B4650f@irV0aJ-|pdTea@UBfl}TLece? zWAC79EF6qwI>gddpa8SGfT)1s)ZrBCTMb{`?ZTx_;?7DO_atOBN`98JNIg~ySuG3j zNVCHkHp~XDVwcfr^h7l?XPDr5vO40v<)+=M+cz#}x!b)F-xwiqD7E~ZbGd65P8eOB z%$HI{e?of5f(qo-+a_9aI@ybA4f=~ZlO(gFd0s;$<04v*nTCr zURV>#@gmDqHWF)4u?%Kn94dYkN_!M+wfCMxz35r|Lbi0<&DN=EeG843aA5T9>d%Od ze2wo^ludey5ROpy1pCw!eM+i?aN7W7&e>q{SaGJ5>z03VL|g^C!NkiH&IZ3VMeX`1KFc zB2wd-=KZ_7Bt`$%Y2oh&zAn||zq?DgU*GKUy3X>n{Y4?btsDhFmc*z4zZG~MoK#&h zN!)%e{_Vagsq|W-G?^4#`C*mw)!Ve|_U7-fOD)7mxKn3lIMKfSLt znnTB@{<)AzchA#9#IO;`AHZR;?>4W+cqY%Nj~poB|FH~*j!eJ}yLTNXhi{C(PvS;i zWS-tW2?2Q3%GlU<`0Jrp01`0$Gd~`$Wr~X0KP-+pOoRhj_2i7b3(Ae8*`x~)CQP57 z5w@N%FRN%?6TH<=Fc~uu3#Mi^aqC`5SpaO0zF3cK#1i=(s#au%fstys$y{cS6zr_s z$v-zl%+^z}9SCL~Zqd>}&rUY|7DuFh5Q|ygdPUycxSqhJSP5nB@ib@qt{_QVvJ`8YR#Xxm)4JFr zUG|PJfkSy|-vR@ltJZ8&G)Ru!w2BQkGgEd^;Bk13qfVb?l8>o`Pi&16-m2=)gI3ZN z(fzoqN?8x)-48w`f4Zo&-%yRUTiQ_ZmT~)+eaEje(!%4H*ezQu%V#-JNrA3fG`^|B z{+r2>`#`4bW%jnlhXjbwIekzC5e+ova8^x{$-)cJt$OPeE4dq*vOGTt+%w2CSxj>ke6$sOL#@UySE8K6LVrqcmx7lN{a1amW#(J$#_#4h>j{M@~jg2NImO~ zhj&eeG*ejL}3QRW;AG|8Fg1&-ajjqrRI_&VEKmp z#L=*eXlg=w0b2|h2CBUf;l!P38MghbD7h!{R$lP_!Mtc#8cqGsFq^LOFHs?1M9ZKzIe#lo@;Q`}(scT4Qb!@73Xa38`ld3a7s;<1w0<&c$m4T%4 za?Yc+|CibA2#v31%ftiS=RckjSbll%U*EV#|9AeI`L6=R@ie!7MZT{va=D5q1|fR-T+Qf(`jS%xyLd zDhq`hiYJV9`9q{hG99yLGoKioNvzh_mO+mNLIdZkS#ZZ$3@;4W#Oa28{aWUo!lQRy zmwvau`*Prx&~Uh2#p_M~P9}F{pwOk*r@~kn^1DT!K-c{vucdl&s)a!qH)m287qFR) zzM5K?<9v&z*bhu{+WvW1znNy=YmPFB- znSny4FB17M+AMJ(r22fj8dJ#DqgvOiYX5ZLW0QO+u!=sCNIgT%sWku!b_nJu0-Qq4 zM{TC$7kpuqqOyRCfYKqrGX(PK9h=qQQ9X;{;fFyJlc9M;)n&jXs(P}i_Nsb=>G_gv z)w&S92t;P|)}gQeiI^SSMk1WvPrdHsv_j~U1sX@m+jVud0Bdr^cz^s4j&X_;2(eaL zu%Dvw4;bwd4>+PVA~XJbi8a$hN6nztcwf2tks_BiUO+_d5_YyS7t(&EkW4EkGff!Kw)2s@2fT&2@13&z%v+N74^){y zgVCq9Tu*i1F(ZTFd}ZGuylX}zY6?qx4Sye{vPgRc2$EoYd})aHnh+d%*`CD+e*L4E zmk;9QxqX8g4C3F5`CrxbqHkVd@jq6xZ-3Aa;pskhEgN!ca%#M03uXL3lCa;A>8pJq z{oUMK7dHDgl7KFf{P8kSHtrSoC~LQN9Pd-1FC!n^zK27eG6sd64c={!pYBMre(pIE^>w~+4K-iV-V85 z523UVYZtEn-hMx0_cw9pAzWNG#vo!pk~UVQRp`gxhzTAWH+0y`mr`qGOvd|c4|6yd zs2rUqn?cBs6CN{}ETcY~37zL$Y}Q@i4o@~`cseiAyvERgv7wLE9j~_ADQ9nmLcx_$ zPbLjpr&9w0#dQ-4)KbluUAD9?j`-1-%*>(x6J8-LnX<*75(fLU2()tSGX&LC9NpLv z@v-nKqQ=xcZYos3E|<2Nr>)#{_nuc?VIpq`Mys!i;T<55zb81Ot(!S1FtCdlh%BI* zMA7vZQu>Ms*Lp5HobWjVSstG4P%(q)aUA#;qJqhxsGGaS0YG)8zJz}xM$BVW~ID%E-94l|8w#2VCfPz;iu8c+OSJ7iw{1 zC+)c5aIqB79K*RC&<$oLcY4_1Rf(EBg`|2TH7X=4)j6!`z)%jH0*-*rsKQM;q^nX} z4q8>Wo59dXJe2MoWqiu7;JAUHjJ-ykc*ia+zC6}g>=F-;WVG63BRz>@p3L^=wiJ-% zc^^!zWKD@t$==Io$16Q3tpV#8F9?{UZbjTn8Z-5bh^c^jFZ33?TudV;_2Se@4lnbcFNS!ntH$ z1g^@(Xm03J5QctTkbUu>&ZyEi#?%UEE$l-rFE|6!D5+;0X&8*t0}PTF!D3Yi?D`}D zjHxgysWe$KNSHIBhp|s@Umtt-225HQHof+MSx+1%2H&!b9UpkC z^<+s84~$W_CHw|ozKeuDL^%DZn%y(@*_;{L}Oxs^S=(8X0 zp7AE-I;ymwQfuRLaYm0}sFX7>UUx1)(iB@*jNHcEbg9I< z8Od8Utb10s*Un3u$j1wnaRef_@VF>_7EKugy2Yv^oYqqwZRF%;R7)-;LII(3OEfF; zzlu((j5x2N+YSrFgg@S2`=!#h*tA4k3mKW@v~T0*e|9fSOIlVp_TZ&X@zYtt7uwP? zebK+%b+iDI#Y_fr(|6WSZ>EH(*DOK-K2k!eOw@WIk3P3@(UI^p{0=*b>n0Om=~ING z6W=rz72$@C-a+`}?z7$2f)XlKvdB1iHX7g=(!?O@fFCJvt`*fize?RAy%P9ov2aF= zVrlpGh2Sp0aE>*D^|DYNRbz~l>7m|0+m#Cbu5OjyLB$7>Q~S5NTlMwBGMBXl9kv*1 z?!kGoGx&(J8||J_{IwZ1%Wwje-Z}tW@IBr^A1PfSiHwQGMN{ni5#_h21Dpy32BMs5Wx3Uj2VlPuhS7hnU|lWEV* zpxLC<^uUc!sAB<=$PS}gD+DsEF{sr5Iz3a~vN zH>LKl^0tkjH;|GdG2A8s7 zgczdu&Zj9k0@XuluuRs_5d}>@qF)qB-e(COMSJt!)p;1t`dp7JFU`K}b1S0~mXr)B`q|=y6 zq1eQ*ujUbo{-cDffy}5(b%+eK6x@m1jz-vyx(^^2la-M&ROGns3Vj7*Vl7~{r@wst z{*P_$%gV^er0?>`{eLKrf0gd1lD7Y2M*pv^&2N4+8qpIG)!axue+U299h+A3U>aWoxyp*Balg+kM@K zGZX=f{TT-~CW5lxTVkWRoo=c+fna8%l??|Gd(6HYHmlK^>+8;R6Nac!UW_ulil}9c z3cOHLGOJ113pf9x9oeKlv7AAmgZV_nA1;vYTyoO*ClkSWW8Brp*!6RjV7~;ZLa0i{N=5-jdA`xc!y^HirAR zK8vySA{m1>?khD;l=c|yEvJj2jry*ey4cIAb<>imQr*>l0HL>?w5zn}UutMidIuf0 zSqJ=UBgb=v)i&<2{C|#~wyle1WzyDBk453~?Wp;@tvZfS&@Qbkyc$!Z@zJwM;<}i- z^r&||^PKsyEqUSHEp?Mw37F?~Omi&=i^o;zH8%}#J}5EiG=?(h!t>r}_41tB&oqf^ zg!M69soR#*b~4hPA0N26AP_u{xwEE*Zy0zYM05HS3LQOXynqu(W?)S@+jIEh$*o~b zH(}*%JbKY=q`jXXFWNXgdF_izoI83~b)vG?hvK_UTUZwGPusGHu76X*z@3xgbNs5Y z`Dd;B)r{N_{*CpX{{vg_S6f4qlIDMG4gVpYamgNuSz&KuLE9NbkT7Tj7Xqa$LWMCz zqk#nWMrKYi(see>VZBQeDPC!gGp{q>4gdr&^g{l8!UGy7AZwXamJdNINm$TG+?+?J zs83Ir#!mBRQGS9llo^fb1X-MI>t3N^b)SPS);R8v^cV?CA)JvaX!CaPY4Y;Jw0|GWvxh!!vUnpiK zAHb}Qz=ygX5#?bVoTgsZ_Z-TWqlYCY@YSQ%V8>Heb1ybh6EGyx!kH;@Yeml!O zd%6JWfs1KI8?(6WD72iHV6vFPtOonLfondc{Fv?CytXuCD7uHc=s`LW>pe@dxk4IF z-Vyt0GdQIQE1jP4e(wqi|AJN=FeEkGef_K;YA8x3VGP|Ngw@>aitr)X4eZZwaM!M( zPNQ<@U6`pxY6e(2i%I=P_}boLA9ukzU0}cPur>yqQH}A2vj(vdjjV zj%uFjcS~Ak%R6{c{X4i#kpf%2@rG5b+#0nVD0sIEr`?H9DdHl;sA(d{Kh zr(`zBhs6z3P97cxV2rBOys?^FO0j_c8f9Ab&IBy3qoSZy$%bkvT`1g}ic_ols{G(KxI^ z36GaFUL^!G6{}s}>-$I6K)_dI-2}E&DH;M&mytO-f0+P!0hO$z9E8TkTvR~vw*-x+ zs$WPnPSED}0V^8-_5s-EcA#f*j_NRK5JmHY+NW3MQmJ|wK`!p6W`SCai88rl6A+Ty zKFyVB^qAk_j{*NRfAD3KRASFPge!-cQWt%vbMhz|5>tdDE=^gi1`t)x( z7g2r_JMKhJ3%R2@-u+tB!4J$Y7%wx3J~+8Q1Y~V?5z|%e--TQBtCV5Z7Tc>yB==LO z4@lqiiInfqqxS2g&W4p{ehx3_W@O;+?+z z*~v4_1Jfo#V^cO&o&DICVfW@iC_^~S;>mbepTgQv6zLpuVF0k2=^u8|nAm&^J0EHd zt+1~3K5`mCk`7h3CCmV5xc|~GlkpH@mk7@+-`$}W{J%OD{@Nf0sYyE)vcPp6tJ+DG*Fzux78Y=4gu6DJXN7BL zIB1no|1<*~iWCA~<$QfKM*}4^tM8sH%K3Btcs>lfPKysAI1M5Fi=gk46P>GDnZ(tl zWZf_pxyZSBPTj7tDKN+Ma_vveHI4`xx}I(GG-%Aa0!zu{(K4{8_K~kex`MQPo>+V$ z9Sd8ZG_;~c-Xnlh_Q#=tz+?!xRAySA=> zdI>@}8A)aga;xQ3cO&gM$j3y-Jd64+(4Jf@9gZYPML)DVC@d;wG7)}WRuqnZEBlyK zMscsXRTWQ7gLPL1g zdMfA5(sJM2I+;1OK_RJdHkb35>gZ*&6Gv|jl_>7y^6F!=aWM|-zOKzNss`=5uSSU@ z7tmaxP&-IB+VcXOa}OVS;OaX^$HNX*<*#}!iIRzV(4zRa9kPioXVKqI03JNPO$1>j z)NM&L3(h~Ufn1g4DAj>Z3U}&Ic?q)-;=<7?MwWTXP>bYjZbO6VugA^Sp!*&DSk!+n zYg_IAc;EOM*8mVbkU<|6F^DN5fY*vO2ehqnS19S_NDcKOTUr|83PBt~ebDo5klISDl}G+B9yvU0%PvZV$G zNN4aXg5(`FL#L|rq;XL|j^W~|wM;ES{+L`B$dm15#?Ob?!GI03P+9+@YPLKqwUOhy zU$!yY8Ij}D=Y=*J`=~karG$v6_J3wo)*jj$?dCK|a005!Z8Tr+CIn`;nLa@0SOS=d zB!u_WWl4%`4jbwZC0GlPoW66kMz#EoMqi6oU}r=Tt;%`(i%VwI{VfVDgqeBr=E2Mc5La>2QKUFpqmW( zF+;a2Uo{Cz^pN01#{6kqL9o#9>MV`&=q2G-z30-i976Q2oq!Cex5Hd}B}Zkl2d$4UQS{tQXZPbvRtq?4LbJ+~ zIAhO?c8YBSIWE6$tHq8@rF8Zg=o+MqB*z(u9!+QT>Bk-gDg(Ql ze#BC(+0sC&)ZJybPSh}^tIqc*_jF!a0FN{5zG+yc$41)%NrUr}?%vQ~!))9r6Hq@{ zbDr0Hi*)~SnQrvSMiYRm*Cp{$gTeGO>%ho3KBIW*W>q{q=cOnp;f>*wyUYvi)7WnF zqsQRK>()Q@uJYNMvXAcv9_`Y@d^D0PuKP?r`!oIO<>%s9qD~yQM=pRnTditr^#MD&>grG#Hkh!YTXe%n(z9FK7Afr! zrbqKJOY8Ni@=4FZnI^3(z4iX8!JS6X^TYcLaa#|pj@d=v2%hc!($5q-;F)FJ8wNS_1J6@nkCj@dd4 zwUeopz5P;gulZ-wxc}%DIRA|)wtwg6{~jxn^&RH3Mu*1tpT#ynBhKi3r!`?fONkT( zF?7sw5~ETObn!?lsiiX59y(>D6(a?Q!;I_9Yt=iiPG4{Vk$~QF{8}0v6^D`oodaaI z%)9qARlTw2T(`M&TnFQa8rl1Fzq}A{To9OqoAUT^u6vV6yzRbufdg4-XlMw1oAL)F z@T)IyWpR5@HykHlTg>|mRoN8AogeJIuzAn9GgIN`o%V3d5oj)}A<{bI6t;nIf;31I zRb&T83`lbR;7+EjbYSwwPY6T6pWY3vJ%=c@!yVLn`c;T_Ze?tY^-(!7 zXvKQD_Nti}+MAJ6u1bP)WdnRJKX?s?Q`%-xDqU+3NAaLMPngAzKi-5w3w`&RyZGq`H#MMWGE!=s}5s{^L-rANG@DFfMlb|WK3DHiEj0twxls!O9SS~o1QUS zdK&jr0I0_r2ig=SQ;FSA@F2!QTr{E|Y5%toRG;Ol{LjUFlz%^+$?{jRy-Ruh`*bFf z*D>WgkWlwvSeF@YtC=mFhQ^|DkjkjEbe)*NggqbS?d^HuJWHSOMD=2c%2XPoqsg>* z$$%y}dl4`JhQ8dHsQUOgfMHWYIUp<0f|3lxO{tY4(EGVwyHG5!;4mR@J$~zJu@6-2 zHtJe{5{yN`rsRhua}aq%UCpocFO3hfvn_aVT$y3Hz6xwVO;mW5ds(2gCGAWxmzMg`1`~<-sTI|c{y?zhg>!xh zYsi%wTtQ{smvd+vM6;V8>fzKqgH-MK<#keu0}i-EFIwpfYlql{meBVxg>v2|q~w=- z&vy(G3Wx~|G?H!seqZmsya6DKgx@zq91yALd~Q^hTu03$VdLL@7@3yXccQ7hm26}1 zHYsv*8`Aagf>s>w4?876Ef+n-7Y|o>ucyXN64bBs0M}T^ep^Vq+qSZLVfjCYE5=6?{ML9K&vXCAXkgwEey2sa=05 zRNc}z0)aRw-(i?4+?esGVamDFFQE>yWA9Jqp3+*E#IQ5><(7)7pr%aSj5!;acUU}h z+;@*L>3sN*KkK}im@91CQ)6-OHB`b|{l3L*ny`EMh=)l?7ynwMdJo}c9=_+u>qls( z)mnADiG9t>{aE5~@}R$!9og`C6xn>PBMCqy5?BokEwVr6HUk(O3g1ugzQ^~(oZ%(M z$NT1UIWza~Idh6Uh!(=0j7WwET0?aL9bH!`oG5KJ;C?=qu$i^StjL>rHXWb$JBe zz$gCqv6|(tf_ze<^naovg0J5pv3Gxn)l+Fs+KEYm(=|ds6dEx|i8iMQDULA2XR%26 zaE*s#vFQrh@NmVrG+mDuY;h0wKSPvU{N!(^>djRhF@j~8?flc>%Lm2jr?wTJ8tW`BfeC#rWxZ@ z<_?Ma0-YaN>urB3obwErg3>0OC`hk3H}a8ezrje?8U@JGvE@PRryydvHED^Q)4Ol&fqb)#j6&P+V-oxILl_yO3ziViD%vJW!rUJ&Dz3(y@J&6VuW_53@@wW1ATQ^uQ*~UKuck7t1|mIAL^tQo;MMfRv3!atc=&%g-8;qw_UYg3DOYSBh9FvdA0H#cBu<0 z&f}pDZ2jK|R!6I31M*t=uG+uI4mlZmPKJ3PTuh`pzmmTGLC!bqiBY?J1MdU+U-=xC zze?wq$^Vtk|No^JNEa(onGzjf$e{3Gsvwt2fh5dMVvBU4Xhn!JSYAXuD56Tsnl}D$ zr%%Vv`&aM}$7^^2OaUROH4!}Vga)lK0=b|A`q6o}q%oy1G62|V%RxlA@W=`=VfK@N z6)}|8d}dltxo~MnR_=0Y7l#~DuPT%`3Nsre&w}6(LEnS&{ft(}-#O0ERqLnp&2$Y- zI^k5&fZ0$tc9QDL~{H~^R z;|(pgPhJ-VEFSkHhR^n^JsF#Ty1+C(@W*;`gSSDR?@VW?gXk8jxIx&vw{!tf)FfdqvEXw!{Qvf11AW$n};*~F#zk|laEEFi? zoidndGt9w6Ee?3}g^ z{9}c8$>9nu_@a|*jmCwcHmLWoT~=Lzs6jkxA(JSl65;ssa?{d`&Y$<9;#`W3;pf9` zP&;FWeq(g>d4ug0cM}t(i+4|5XiCPm+14*B;BS}cDR;xALTZ8HA9($uX<83l=XPg>;xaGqWyNnTW{ zi7K50q;o4^WhB)h<^2-ZC>a5E3Pgn3#^<%|8a)MTkd<+=99VqHUL6687b>_tY9{V3 z(x#!~r+^f}o>Z8x7Z3Nu$Cio!5-zM9=SgyYHqwznGJvHv+MM@nG8}71WAwrI$B@ox zD-s$Rpd=bD;PYki$d_63d=ul5b20hUPi3rAfTpwzCJDq&2JR zFyWv6E~)cHz;$j`5=V$?epl0|utQj;s8F}XZJjyWur~b|UhTkwn)#@a2gZC(1jncm z+PS{Rfo8BUM@9O`eaPNc;0@}HE8?K?T&M}ey_s^*t?nJ85rdP!DeugB-HOH!d)_rU znE6f{Jlas@w+?!sNG&X$g9~!TnD>*UGy_j0Ich!HkseXFqlB(~sQUjg_Km@nu3eiQ z+qP}nPABQu>e%VnNyoPBq+{E*ZQFKw_Ic-Wcz1Ahu?`0MD zXVOxNjx#fyGHZ4ZWc@~?W($g{2YUzlsF^De*LI#bZ#_)4#t=w=pBX_s|>$lIV-6M=o)VOK*%9q=tq zWi{-}6)hILD(G|1^XpyIPivCyrd{>6;-$0cfJ!vEC% z8^AoosfLODIgWi}mMUC+PPQ!1!rou09BDk_B(3R+$Op4vr1pnl#Qv2_RF{J%{%$rV z%phg)j)Wg{d+#w=Hwj#{d_SW~!6=kb`#Z^dJ=wNlZ~y@5WtLxow9L$LR*{MaPe z`0aPI6s8$brNFsR;kuhsEO=vXHS&1X%qLOnJkb6}!Xo4=5g|&-tYKmRy zWu7-+-6y~VLq0S3GRs9HS0>0E2!Zy<8B_r#rFynr+lMrH183%TXOEndAn{AF za7J++noiMJG?A+;9TR+?$ zWnYh)ddn(JLQwGKe{l(9=Ok7p+*>%UdnZh;qnDnVInXVL2a{`&!I9uLY#cTTP9z48 zf+r^4No$Et@5VO@*e?;5LGNr>z(_iON$W=dE^4V>Q9I@n*+d&F;%9g77yuQDMwz|l zm-~r+75Gs(0O@~;WEVFLfyj_vIBTf0jZYjlB0%UW0ZB3`b@qMaS+2pA<{kkIB;h(Z z>DOZ-@>#AgW>JXYuQit66=fL-+ioSJiYmdt$V&XEGB_)!UpZF>&Ylt+(|-Jt+DWPu z7k4!d9>O}}h4*Wde{i^`9g`;6cHL>`um~hAu(zII)ptx)F03tU>?b;xMxC|* z?4oDAfOAs<18gCO@Oq+=bd~dzIaaAznXrE78;~qPL5BAhba)w7Q0AOf2wb;W6l}fT z(=F)|&D}z)!7y6=7LO09`I0T)Kb+ptRV?jR&njH0K&L}?m*p3Xq>MlL-Y{l;VFiA% zBzZV^VV^q|n@2}%hQok^Ft#ne(WLSSb*6#vMVthA28qPQm&;m)T$~)j0M`KCfEl~K z(xBq32irekr_(&Y@tbXUp>Bf?flYmqFV;oe5Txc*zxOP`x}xL>{Au1w(Oe4SCV1t3 z0fYGyy5CXSR&PkU|CkWz^>ijn;i3ysvqOPPmVJ;GN0aLl*o|y-xD;6a)Q0B96Yc4( zsWh_R3)BZ!_Qc#7!cj5{tJ*E@?lUHzZG_t@+=Yz~!T32;|JqYj(b_ZfEvRhfgYeqx z4Unx%$aLc;&*$kL=w(|;wr++_(eHfUYg_D)DDq+qpzyf;=$+;#Az3+7)`A{=1%Bbe zpEG^8>g{T5%9~yOJ4&x$|J}xY8xBbm0jsjqfALEFkwB1{I3@>(`w@Hc4D8Bj;A=D_ zsgZomK``667b~GgO_T&R`!$=SB9Qf^g4s{S6l268`Y`H>6Xth+%bcbeq=nx^`}eh7 z!)y~SE%A}8#H|riRb1z?vH_P8G->|;r4wd;f;x7sE~B$1)+&b-J8P?sm9#~&qaTWX zPC=G8dMo7#?t?A%riJ`eWIw11->P7Jpu45$jNDqKbOoT34%{@WooY9XrxKH;=hbyC z8YLJK7;DL+$!@9eWmhT>JN%xLB4z;1i+sEfTjOA+7h7D{);#I zmr@%7b-!V68T@8j6w2Cxh!hek7aDFduDsf%qNBiRV8{h;cmm)9;Pq>@ePEP}$JFE~ zf~^y4ol9>2O?NwJ2vc^Jc!$d(Zw=n4#RO#@D0e0Tny$;I)eYPbK_Uw1h?ma32Q3$N z1KtgY%EEux$`Mm#CKH&Hkxo7xh8PW7NlGwIw)BW+xku$xf7CSyJV17a?-z9bA&;)S zafF~L;V=U$>Hc?^o~HR8%v!o`O*B{dJtzg_%rjQHMnu{hKH#Tr_Sojj#TwJlNnZsn zF%+9PQd*)HRK?O? zR6Y{NN1I7A)Ays2vkD;?iT7hy;EU@TsRgls!OE*%Gmj)3OLWp*+NPwJWs#o5$3rpr z)#zhcX`YtBkJ(MJhn*%jy_ixCkj*$aay+2~&Ty}NuL~5bJ!9v#YBNp=qD0bb zLVEDR%y_C|{2&PRdT0H;;#8_)W0@ZiO#_ulNjE;N#duAHE!!e*`hn!lu@b3ve)}}p z9-4D5X3WJ@*trxzZKNJ)ae0AiGGE`691NOzjEage;HOu3skpMNr{S}IK`|@> zMJWkm95nE@?|Mb=U|liZpf=iWCiHakL4<8dq+7C7O+XM?LKsSHXoP>rJ|5yqAJ%0G z_1-drcb=4gxpJ%)x%iNb!0DB}jxe{)2=|$7e*MJhwGHZfhU-C791Slg!1=L#?=yLl z9Hj-*JHXTN_RtzFu1i{JieauB}~w zomoXNoIEz$_r`ZoM3=Ph>UCYT?KNlvk*eD*i;pSkG;_lC3iSQLa>;M&f13zD^|o4K z0k4=8-aj%6{(M16nX=b`AbL0?x&#Xx$RFs9hFSf$B@t97ZQP18!LY z7RKw@A}4w~9sctQ=zi|}Iz83a1nV*s*HG2LX|PnM$z$^=IQS!1&$`Ukt4Yt+8LjNI zecfvlf3eL+EwSoz^FH{3j+T~=UYN$!w7OSNsN zc%g_KzeFaYsK`#B#IKyKn8Z}4OLrmeERD-rLTU3FnDX22N0X0l4d<^64L?L;NF|gM zm>ZBNSP0rK-E{PDcb9%IT;N-x`#ZU_stDMx26&X=Lb)-!Vw*3VgGq_c(|5+dAz2y4 zk(z!p4eD#%a#?sd%q_EqRUW>7p4#e!s9is1`RvfBe*4CeVCkq zOrW;#4tDe~nRbA#y|<={bWRh^D%5x^!M1`A$RQ6-jr$}(#33(&Q0LeP))>Lb%}C3v zlSC`|HS3F|E{~0(4)P3LbM~^Kzzl3jJmD_texMv{O6I8|jL`%Hx%wr=pnLR0(P##h=`CGHigvIv4?MR^dpqWor~*&7r^I8Mu>lT<@mfuXlaxPrdOXet(TOPa zvDTnxS8^!b^toG_xv-n>#1rjFIxO=gP1xcx=@fY8fRGu{MyxC@cX*&qefu?{q#oHX zs1rIkWq*GH&twaVSM>tZJ%Y3vs#gwX3fgrUdja3qo}>wFNjsWfomy#f%9U=tod+#e zvsQ$GUSH1+X*~!S*Srf1*uPkI9xNSYg>fO=^V0>6WIzkJ2A97Ws~5FKw9zncxg*O& zrRT3`qFe22!CUE8$J%18^rBkrPvWicUct#nub;LFkT+iQPk-Fn*rjhO@*HP0O%9_r z^|?b9x-IG(k=$J*?KHLPNgrKGNabybThE4PKqgags4=|uo$gIjl@)Ozl~g#Lc4Aqf-ZRB-RHk=O+^@p#{_^u zB;=oOO@Gdtolw~TEPgOMwv?X1(7*drS)B&P(^-47SlUY3Ddrfew4Bd5G7m_HPZs(HdgmjIi*;nzwa_qVk*c8)jyze zP=DzL$|liKS^8!X?Ld&Qe(5yEUzlY0(ao8T*<9R2ikOH#w@zL{v8;}kk90X>7JB*g zxJtDBM&`>s05pPv43Th~1x0y61CeB~sS5K*+CnhUk z4^G?|vA3FKaW1xUYmK>?`SSZS|v=A2E(~MRn9(tL#^)_9>b&bV!O^;_Kd%kPYdCaeC1M+{j;5 zr)Klc(}##|*w|f+sRP%FYV203l8{q^Vwwv(%PYJ8H35TVKCX4AD89(&RXM;8mF-P) zuu4`saHBg<3U=J)n+>&8FDE*?B)R!)%1JwmUcVJ_ELy0c*Dd*=U#VZX;7W$k|zfw2Anf1qUJ6R%Sd@-rLSt&e(ma!6g(Hro^YNXs; zx^%lvdep^Uuum9cbo3Qj@&qtV1_|EP?`(OumsV+L?O44tw`eq-KnS~Q>}0l?tH`#s z{l8Q~A&YH3FM0sC3e4x_Ea*f5<{S;jBX(^Rd+uU#pY=46-T;(WCS!_Sx1C6 z$k)n3M{*T_vaM)xHC;S$r?3r)K)|Q>oE`PM3TOeejLKho17vSDonJE__nG{+z0)96 zm}-xO_(a(7iBpeqJ#&2FP7!>8}~4Q^Wa5Uc+?WtnsmS3C(k4O353Z$b39$! z^u=4efHb5qxsX%tZw-q?n$Z^hGwwFI-+k5}e@pOe34c>t228Oi{|smTIb%9A;Xk5z zv8NAcZ$Tx=0#fO(ZGvmEY28%Q;6e&Aq>I=oL{PD;yWU<@0!FKqv;{bH0S-skOnpOd zdQyr9T={OFL}Zza$d=W9yk7T;?a2P%fwdg^6_rRCX2Tp+)5I}s&YPc}Pt8?=b;Nun z`rH?w)VKF4Dd=P|n!VVO)or9B@GG=bceRij`3EMF6InBr_a%YGZgCGu&W81tfiUE5 zs2_q4#S2-KH^6cn1`N`BoHQbyGqmIAdaLO3T;HO3jq9L^KBc&TKzC2Hb8zY zO&f2sFC(rwKO4|vsH0|l%hE%-$(<{HrtYn)y=rV5D6Y3P42L__bTJD>8O`TAo9w)I{y5{IH00-Uz7~POksm8_8CFlzPEYZP5_BiV?V4}tMvoB; zu|x|reahxKvZ8&?!aT+eBFNX8d=wj{d91Nwrro}nAP6#b_DE)I#mk7tI9Y7FjvDEM zyF~q6({3SuZyR_6j|)&S!EnPoo*JNLjXY8Ky zLemx$85$5Bsl_9`!r)d0)>P^`Ll$EXXA;vO1}NQ~aUec=5j^!;3UW!_Y~wmsSB1i> ztMit)gcR^hAbffUoNmjjhKI^oHjcF{I07FgFbsG^+X0$JZt%RTa-vWmG9IxMMO>#C zHDvAEXtEH_)0GlutfGM@?*oX+C`7(h{+PLnimJc6@l)9a_6HMtMp-L8OTORamVCLt zMFIU0)u>aUPNj~kmt{X{RbqCEuLP8U5hno)h&2l&Efz{l?p&u9h=%jTo^;E4^8##p=gRTtOPJdijDQx08z zU$NAEs}O7V4T#I>lObRHenLj92sq5s%|?<;*xNH%H&g*!22f+i;mztbVA?&sn7LF2 zOQn47V_+5X00wQx;XZzL4^Hb&*Dw@f(vBRRdDtkyjg`agb7z;rr8-P>jF)V*B93@a zZ>Ft-wg9@XX5ViN>WP(F z5!Xlrp6kl5w*GLd7(+mX_(b_lO#HwVG(DuPnM|3GhN?yRr&`NbfyK13G#e`QbkZI( zMYowtgZbT;PW_If_K8nKl}8P+Ov$&pr4*GjBvwCdDodd)HVwQ^d9KW^+-K@%1AtwVCbJmmh&6sZ3pG29e5e14!Bq@*I?U2bv8vCQ1-WaF4a}*h!l}k zDbO2PIP~Lz`KpA`DTDu8E)};H z1B_ReM0uv)j9B#O3eCwmPfp-Y#LQI; zZAvD>vdzTm&Uec%<6DvuPo(H=4|#Mz@OTBlpFT9rWbez4yhmwR-Z^y8?F*N}?d*$a z4%hJhF;rkt?nBj-~nLYTTp=WBhUzajXc zDe#>tYQk_odnLYu-p!b7(v`2$DQo5rY$C3*CwDB;tj?pvP*ZdZ%>b3 z_$``zf@_A6z4QK z?W*wrl3Z^JxN6yT;5HQoT;tA{-&oO^8s3q75mdQ=g}QvjzF|e;L7s4zy-7rKqBKkY zQ6wsO>QHTCX~H_@J)xta_tgX;kQ}kh2OGb6*~1m z)n4?kHq()+rMSLmDv%~G?b*H2ADBaZs3P)Z{uB6Ryg`~=7H^?uqwM?|z?8TqQ!g8&vP5Dt(umV`?lu=SP6c zRqMXkptSc-Ic%zEIk$x{n|)qU6cr6}Y6r%Ui8NvRqS#;1E10>ZOD2WEX06Jr_{OIB zk!-X|<{ZocP^~;B445qMWQ`t7qYbYFFE>H&gYLWuUE{Me+Ge`-9Av!ZWArV$I4fj4 z-y3}0yf5UEz2Xq!zpbv_a9J?$eTMRF6~B4=wDHrSHy9;e90HHF;K53`Gr<5$X99WO z$;b_Z<5qH3Yk|0>?~= zz0sCQxI)7*{`6F66r!m0icx)1ot+^i{ou&98Do?b{T3uFj%rP(hZo$s7q zD$GxXc}on5+_3J5;2(-;!4&&#uy@6^n-VIQ!KsCn=7mAf?ARj~;Y+c#_2OxV{i=j8 zjf3PZhZN?+yLE5Er;uVDYaxzp9GyC%JM3z-nYGdw@+%@;I_NJwAW|{Lx z($36+2F4*B+T6QyY`Cj)nEeA|EX@N_W`nU?26vqRkpjC%vBvlFR>rQO0!PaZ_@Ox% zd(o{5VmT9xU@ewir3jZrYgM~u6<0Uu(7En|FL2v;&+I?S^S_rnxM;l~u7$lUPjGiP zR=%hgc$&)YuJ~kjA@Uz&p^)P5uKmDnood7CmiCfPHOz!Ha>C){ntuJ8fKgm0a;^hV z6}$hUD*n6@j{6_uaR6owBma&xgtbfT8<|vCk*sQrmPQSNK9V-5l7VUs(CEg?2k-1p zVzk`dwNo6mT0i<9Y`9KZB@}QXtbxwV7tVc>(6YG+65G5a0l{>l7HAafMQ=hIETt64*KcqIE^z#Vxp`_APq_`#ubcl_>%=mTcy zc>lLoxF_B$z1wKO)~ANNz@g}msV>-4iU9krkK~?rpTChHx#GOVz5x!zr+-lse*|3% zRlWljIfx&ddOHM+)P`0d1i4y`Dq0xEWsT*fK-`SXWpcA!H*2IN?!xuVs1@qW-(Bvu9=y4i zeOM>;BiWYM45OoOcqt8BMV73scxdvCk^sv>UnzDj;wTW(t!omOEe@x)4trxIKixqZ z3i0w^O_d_5CQ2Sd*a?H+1C||m$+?HKiPTeX@mx9b(m&^#)l8=6<@upF3jr?yZ2(wF zPIPT(&{4IXCp=2%Gi6nvuY-CHpXhWxd-*)5Nrjdt@@&q+2iZV|I!v*?Cr)7`t+dJ` zn#`eXUr0<&-Avo7P$|(?4P>5aw*JlZjRqMu_DUl2+xc0l#LuVP9D z!7`4e>Kp@asX^YN($R#)X71lj5>vKq>uv>9uNHc;X6o2EPp`#(d;L0J5A|F@KY0xi zN=6qnMOx9TV_ucoyCmb+7)?H(J5uZIy`V|wv<4{$NgUi3T}lT|k$NQF2s794SBN!w z^>&6^lwyu7Ot&k%3slNyEc7pKV*S71o6zsp^p-TS6Qss}*>&%4zq^10Ip4~&R8)+q- zN9q*VEQQCiTjk~_D4=S66*V3?CLx(7=*lmsk36Wardd;;^61>mUmM^XWGf7)Iadm5 zoR!X>(T~JX%x}|EbovEneXQ1-x5-Jr=1SSTMPQSypb4^yeW}+ScrElbs`18hz=`6) z9oUF!I5V8;y++8r9z{B}E8h33_6|2Vyyl&*;}r7sx$xPHPM?;|W}?2WR0hyE4@j3# zw+GoEFd3PW7Y{}yM<(pY*Jl*gtQ;J&j+*HX3fHZE64qjs%=iMg#2xllWRH85@ZBXsinizGSK=#+bzjjOn%8lN1Hi`ag#7ON4ztZswT8$ zvk|7PD7exb{1*2!HWVl4c$#($^#Z_9xdmC2u7{dr;3IguHcJTnNsM6{)3_)Z6lQg? zqabBlKU8(MON~xc$658R${mA4@tvxnzWvEBv+}L5R`Rg0Jn65xG4pgMn(=H$U56pR>iom|U+2JdX zdDsk{=+IqK(cQL6hZt9D!p|9kKr0P~C0MX~LR6s~L6zN=9CTIlPZmX0jH7? zb;4ALHSHBEk!a`^mFQUJf6pqWYBruT!#I0D>|h06ziuA>&RR_yJ@H`W*43W5HNH)3 zUbSuW9?=O_*vVd%(3z8ZTlKkt+wi?AIZ;FAEysmjpzFn_tnmKj;{y`Af_ndD6fiL{r7)lKRCD0QMg3a!=bQ4|;Buvg zcsEF?QnFHUnFYSf6#vZ!DG}*=q$@&O{!=|o%LQ|$<$+4=c!#u>7W9=0z+?WYSVHjG z9Cd(HpCpfc6}qIon1MK16|*mKdz8g`l7sS@W#FZFqE?vtge?#~l1&k>=}-aoP*314 z9RGG@*hIQ?hgoa2E{0T!x24N+A$Q)k*R|uwtHfHB7AQ9xSs>+0*Ilc;VdmzZ5sGN+ zw_ziPjT;$it?irmgdhjE?A3BM5AzPSp^2$2`vn4#P)B^B@H^7b1C9C zZ63NwwKaM4gj(fdtTMjQD36Ei9~Y4G(!&1fm8BJx(le}=njnev!gZ9_lUv9SYS_l zypGC}r-Q%y>jy4DZhpx@**><`kVTGXl>>IIm$1grdvRE7k;=N-z<4efp9as zj_oVtCK#xgDXOu#G~;|RuqesR(rn)@YG9~h3OwDKuW*oWB`M-h{(8!5sTk2;&7wMe z@8}jeGxZO_od)eOzjmkp9GYf76X&`!WA93e!3d7^804ZP zGr7s}xb{2yH2v`qjsufunTCv|?wdc@Xt5IZ^Glf!Ggz0kWyx!51Jt^fc%3wZB@`6> z5fiHLcP7H<0`r%$>4Q}Inv|ex2kHepwfDDGuas#sFH0$WckztGXD`qE$3Z)XEyfxQxunKO@7@W|#%`#LB?(X9By-Anj$ggkLN>LK zWkLlY_r%x3XyRfhp-#BhyxW$A&{ED2*(~JoyPTT1k*V~KHEiwFs6Z<%^ zku}H}iyqG07xsbA12hUBJ%0 zQk;$w1p5d#^De_xh4>||^a}!WM`m6yn38N(-6~}1r@4g8ar2(Ux>BlNdG3g;MQZdcH@RnV2cyXxxKT{L|jW3`NJHFK2{T5Y=V=-x#kU`W9S zC$W2taX0~i2648UIzGMP* zvU7-V3q_@AF;ejTealIEj+P6-+25tW^TFNYeMYbDxVB!--$rO5DF;cz_nwGGhy2Ho zS%;AeHrk0Q`sc7=%YgViEe^XCNLqvoEt32eGJxFoi%Jxp_jWrMdd)Gj=39YunW9cd zuN!RGX7S)Mz>X{=RcgQXsCiMfHRvN}ma&+M*9y0j@6peXD1Xyjfu?0h5nQE&(ROac zRTgsR&0`&DZ>|cr3Z6P%v6*i*(4S9B`~YB_sQsk9_XV@4q_nADp0sI>hK{%}CrQ)% zjnkQ%N-M~tY9mN-CMt7*+?)5lGD>oU2ewHuMvtlxVE_DuO^ zl0W164tF3Qv4YK?6CH`Tq?O;|YoIjIIi+T%No81d$GoIwMtII21Z%bvcY8G?rM5kw z@0eadMMOctwIBFql|n_m20iJ(D1L^a@=* zs`C&vP!gOP-zgih{CuSK3vdWdT5)R)y)zU{s7g|_^p~Ug{Jh{3;9?n8x0e2bFOg~H z7_@Z&P4Ht@+$Meg>+;!VOVfrstxT9vNurEW8$S&MlP-Z{lhmCRie(A1CE^AcQLuj4 zsnYv`u#w6=BV-h!Z;Fpu2za046w@eWQDtIyzhj#AFtI;8tTdMI@F*BfV#?K2Eh6_! z(Z2msOJB;@)kl!K)N!l))ucn_H*|FWZ1*^W8_A=*dMlPTx>%F{}Z#_cEOhHr) zCfe}(YSD2zE{H5REn334Dz}PhVx5~=9vZ|$@JuqYdKO8?-NHkhajTh6;bkW;cxJL$ z2V0^>4zNgENfwoerEV|ETOdUq#7X34xc8b{?H+f~!b4uH>;YYM(IhQN(!fYn^`O%9 z;i6EPWpL^?wW*^RrXN7$&Km9TJEYg+xVl5W!CCHxKHY+(d%o(!4BKzivQ_?J4{D6= zlZl-G+Mc7L4lu9dp^6u7d*tOt*Yc@H9iActfmIvd`8D`jkoBdY^QpDVvi=IumB+@c z803$5>b^w=^|h7D3Yky zP5u=GcgySQ3)zbjMq(e)Evk}ARemFEA+H{rxQGQ4G@hmy?Q|l7F1!CB<(EgOI66)3vgNgvY3nW&GO_; z2y};4mS-O!qLf@b`2}3RJR94#_qR1FDQVG#2Cp516}}uRX5t<@4Pg(JHYrnk<4!Z% z7N?w{;?_`_`WH`Q5wuNUy|?61TP1LNiTE^&XCA_ zr>ugEJnq#dFK$R4V&faXXzyI^i%Y7pQVE%S@3$7s-bda+(sv86@?Uq_P@uNAI-RSE zubX#O#rQ##x?+T3C++pLJO-@~pP%!pQI-N|ZpRo$Vw;M_0p`e=xO;dAHqva!i>l!o zSay7ySR9zj83l+{e6~vV8sFC4FOwV0{N#~EFt6CU0YO%g@EfgK{-9)3muA>O?yyUA z>YS-y5b!U0EZj}odExMm9~aw!1}W18B+X2Qa;4KDL@rl}%h@YwL9?LF6_pdWoUGL_ zz_Ld)5Clx1VbwH?a=J%gPl{$s%Ux?L-JF~XS6PUy%$=Y8;Y_Z{r`>sW14BCQA0N!> z*FE42(ForJy8Jw1H*U2v{K^zd?rg&k;$be*-6y*PZzHE{8WG;aJ?I;FHgaoI9uSi z-IpGa6hRRn%)pM}+dY|`8NKpS$Kpi5h@OEmS#Rh!NG~$BU`gGFxuGExR{OL~r(F^M z=5LN~ff&hRU?qIje!+a2yTY5%G29%wg6Xhf=G>Z3K9FLaFpkK**#FJASoxM|Qn*pN zQ$aC^s<7+a934nTUhdxN!40qfYQ`g>(vQC7!7q?ksKW_0vjykf>H`%3d+V)jRiFMjpJgjbshUlbhFUZ21KqrF4-! zN9SdI?Nw*ba6h}Qu8BE$59g&|*1{Mc4{IVz(=C%TLMj$8Ae-6Ob|bdXc>pCe7zHr5 zJIoVBJ8!)bvbhQ7haXiaMl*%v1zD9dfBixN6171K3Cf8+AEQQes}`qQ2$i{L9t+g+z&sqIIjTjjjH++yt2)wwU@~NnV=qqm9L?dYQI^CK;u&rH+!g<-uvtXtN z<^4Ab4T;A?k|&@qM*s7+hx3oZ?8JnXzj7zUw%*X*eZi3%nvO-zX{}?6MrXeW$%7iE z#X>6Nbq@&nd3s97VQUp>=X?XF#hc9ZU~tmfgb<1)MJn78l1u^q>Rv&Mf!D&5^tBR0 z`-}ou&cRewm`9`+uLMLeu0wR~hq1?7rliRL{j2a=^9 z2s_gu95deqQtM^U;Pn&Bly>n14x_l&Sb`$dM^rM9(li#VhGM^6W|`@veC9P-qu+^)%@>a1e&vKu0lDF6`Wgp)YhOV@%1s!&fZ7hxvh9#TRF3o&fkbCl4AYxN} zA{VQN75bJFn&OdXVfrqyyAf{`dMK}APiJcEjkQ2Z4&fKXl#omHiJL4ItMH`mRa}ac}Icu8X&!H&tn4vL9FHV6{kLzgzImOkjP3 zRc76kZ3DOE6*LLQLLU~s!qC2I%QBj6%5mT`alE(P_qU$c#HiQJ4d{8cfPK+F=v_Gf zh&xBd&sg*d0!sQL?BgeKTOAKiTeLWwW*HniG_j&E6nl=8WW#iq?YuA>(9lzz!_|6A zTi9mdJ>?Abib@`>vw*P*?kp|F*^FKGe%|Z&?JiGQl%Bz2c%gFo9 zXrp;-IP)82DR0Yl4?!tn52Z@}8Y{!&NyYfPUQXA^eGpR)>1nSHby$Uqep(XF{I6o9 z$zG261!=DY3LD@-^C=k^@@+VSxs?aj(wdc&0>vb($M-OB?J-S%=q<-gFvj_7F~E~} zV=HNasE5=0mM2pxl61cMJne4XBGc>3CYo`tA6gKb-wWkt8k0L%Q&lAh%LbP~G1VfV zAXnQXwn!rz4Zfr|AL&xTR%y9Axu!{)&vlW~t?sJKlhK;?h_Lmn4Rwj3Q#zXXgWDc- z`%od)+!S8m9toyTZtzUIREWr@eO4U##dnCi*2}u!`f3-|?MV%$qOw`u zg`#h!<6Fi%4J)}z$FMP(D_Pnpe=y6c#(9<4Wah0EIe6t>N)Qe#G^VjG&fMGwMM5y~ z>WS#qt2Rp6?Gfkghr5t~#8xH4Rs6{dYamW6+>4@TK)8y+y8~5%ZkY8Zi)2HscIl}h zjWcI;FKuCIlPum@sU0|qDbpE#i{9iqe}mS|&FCpPqO_kgOL2R-5&XpH>_%+%Cv)j5 z&fx&}90SyEdL9P8t9cj?&iTH%H~n-l{vCRxLWsH;dKkktjiOnzBcWhg|Ey6_-3DHLmmk;-Nt)!TrY+f|*X(pyt7d#$7N&t})497FfjSR4QQ*^#(QZ0yg zZ@x!mS$j0Ds7iozDS<>IJ?5Fc>1Vd1fDp*K^Q!;W){BBPL1pVKM_AlH9upW(9_qW} zOJ~L30tbSDPnBHH?AM#@7^c9Jzk=K9q3_}@$zdU1aj=Wp3kalL9(RryJ}@y?^_Neb z1ano8^le-61J4?1_meQk=x#DdZbe)v-3Cv()J1Ryj|jZp%gF9YK09-i|E>4amILFB z<>j3X*8F~S!e>Yl*iBcEBeaUvv=74EdovW%;C>=|+0TNpezHN8M)sPMlPooB}0vs79Rk*qr5yZ;I0N&SG>ygS6bQO z8jz9(^g=95Frepx2nDnZOo_yCtV7!B`HJkw(>KkDEK?#*vA4Cd?IPtDeCscsP+qxZ z=L*Q7D+k=(rRyt^N^ZGp&|GUjSAMRYxR?NEN|GJfCPoZCSJVr=Og2uaKVN($-|}gx zZ&beIy%u}qLvmn_R*{*0t=#DL;XVXv_ssdedYhM;51p%!xJ-z3SV6PjDp#UL8*aC>Ch+4XPvHNZI5nchW85#ZJ=)s)DN2^{k zap~`vt#fU5K-!r#g2P2zCZsxINbej8ey;>A!cTPtKss$D3$*Uy3GE+3&oEA z!>)dTrq{}$7gZo0K-Us<$c>rH zq+@C%YTo&hT(iD0)y9dMclOsGwr{^=938SLCxi}j$*&fiaw6S+-{#SB&X$6TQ{I>U z06MoFi0aeXQf&i3az;}H+KJ-Eu#^*zr)g>h)T33)9B zka#jueLr|;^>|fiZIiev_o_BUFD026B4T#o0wow9Itq#F?xxSAFf_5~?HO(AQWb3> zbuiv4+e8{xJv5%L(czKIWc}r$8Y>b3*E0(CbN{gX zIc*?nkdKk1>KAabJM9qyj!E~Ss2qfKQ(YP`(ibdQ2Ai3z*)I6Rrgv(g&eG| zQ0b8z>J7y2dUT}BG@|Jn=W+kj^o^Bh)mN&(;IZXqtcz=mLeN)=CSqpovb>$qKz|_U z@`J_&*fdW(-3Z1&d@kP?VCbUAY8!%J@!A6&kVm<24dc@h8xMSPfY4opZHMO#Q}^RX z49fhFA_e;dMfokusM=7eYLqM)p55F09LEaJ?0lJI(EUcHp{FU?!eEp2RMJ#I5=ecZFj zZS*t167|aDZydN(OE<;!zmgq27BN+@Z1aDRGo(5YcrO@hJFQz% zX=)v=BD=ULmka@*j7OxZCuZs6OE~q+FT!evK(ej1xa9bjKWDu*mcQqLQ_@#>Oe!qL z>j{ew9Mo{!T0sS(`~BF>Tfl_u*yn^!4VNP&#cn$m0}oJ5KqmH{q1F))iVfY)Jt9sC z^@?eC9tWaexaJ*KmB*KMhpGve0}}gcTU4wx?CpBY1tDY5t>Xy07{kBsjVv^i6^`mu*mkO+3tfotG~AFWDpj z{L*9kev71h6Ly^Qe~}EU0C#WfQ6i_K+2Q+Wq855}Xi2 zUQ8MQ_|`XB!>7_$BIa4KN8h4ShMV@ER{+0yMfO@2z(n9m`_O5z z2lP`(8Mi&-5abnw(UigyX^q}fl0clbUIB6^Df(+dAi1TW+{+vhR>pe~rQe+sr#C{U z72wCl%~kkARTA?R&y@kllnWbtQ02!J$C!w#_8O&d3E;7wt+9Q+i!AaB!HBX|w6%)r z)=@^wau|2&2-Q_t^ORk;R~JiXkI1Qyg)=nZs+o$zH9v5|L#17{6e$lXG_=wo{i*q;*LF;b;K;zxOs5y{Hw@rMDW=xUn7cST_+0EuMv)g7!nJp(9l9#!t1w~82I_v2I6)@urqoD&k zp)Ws!xo7V?&^fbghaYoWbRq-WNoeRstTn|KnHlQNUpAuNe|t^coQKF+Kl(jno{J%O z2AKNVtvV_Xqx7D# zMQNm4W=f9Zg5Gb#h_QtJ2BVt{mSMkUrs!~rgJl!5@MFyj$$97Wtsf^mo_W^c;%Kz2 zvWGBs&u(7aYLb$mQ(1=gW(;w;?uht6aAA9)#Dkxls-jznk8*q~jmK4`4o_!jc3 zxar8L961I=1g))%O0A^xMAIv*Cgh9V=29_H2Zk|LPRUyjS*Y%)>)@JbPCLCd_ zJv{^Cq?Rx3O%go|^@B~j=}wZDt5Ur@sqA|LGPtsh(;GE!RH28L+ZsgYBQDy&0ioyn@xLEs~}^zE@6NsyPNN z755uGdWep_zfc>oEl2x%o&W8%&^*+bXZPJhL1F&mD24rBobtcvp%Y1R5`A`xfE-0Z2%_C8? ztFc`Q|NJc8msw=EXRLdbZ_F*5 zOQyi0ec!|ilHvw?lMggYJI<2xRvPHdwZ(cY`8>5`)^+BZolyPB$*a2=@C5#UConUT zMcY5WukvL7`6|!;kCbd;!ba40?(1L9x2_-C*-Xwf$#vn*jf%)xl_eAnX(XHdF{`ne zNUk15My0n$iL$hL!oNuO*tf==KLpVWDa252u?d^TEG{sv)UBviHqJo4l&lIPuK>Yi zaWj~IKSMkQa%Sl;&~$aI zjLU~1xjM}??*S^*FKaz(uZNqN9^fC>yn#eIL>`c|ve@&99})Yt*8v2(g)a$1N`cLK zlJ|0~)t!`%0jByM_#+KS0Y`V2097v8<2hICOlt|IZnVU;+Hgw17U#kBeGTcFsNwQQ zr5XK;h6Wnj!yg@}c(jfP4C)&xZpCRTc#2Sp7&HSX&g?O<^yw1k`0P+2#hYHxXCvt; z^!w?cG4Kl1bSsrO*ea^-R>J)m@#lo6@Bz~3lUOWI#ul#Pn@%3n@I3|{`uLIY8s3c` z#`R`37Df$GlKF1pI7OW zAMxvExc9bHiIT*qE6Wx_&MKh$l%Nuibovoo>+2Pp_M`ng@rs4ynJa)xufS&Zks8G_ z$B&I&ph{fZYu8Z7Sy!kYi^D3$V8DT999vh(d0gphW|#)hRclzH+D_9Tj0I+m-uhID zVxFH#q{g6vf8V->$Y^^5{f#X0{{mV6$g4Ig{twyjwN?FxM>l;pz|&O;*OY_zH0*ek z1D`2Zg05D{Ktx*Jtc1tg_$SJ4fyZk7Rm)M9$K->4({0GnTLRn{A%K!e+)3|o7&!2l zqWPaC5bc1{G2APZBYj%CaGO*3J_KYJy->0uUuy{4!*nLFqxh0EP7V?Rf;A6}(w zx9y{+a}w0Gq)&Y)MkbZZT^E9bQTRm*1yWX=ek^6#SBc zyu85oUE2bGuy;o@q|Vv@cFDmL_thZ-_`pG99N8MH9k*YSeM{ruRZoxlYPFQ#?0mG( z2k(`e1mQe}#5mERl5}8bQl-EVEylnZGAqyX-g6AH=0mUr9`F|^mbzD@G5hFI(JfaX z8LLE^R0KQdE+vva9#1_dGM(&w~# zWR)lPh&PbA>?NGIIqPJ6?w;*N$@<*>31E zg-)7$z2@=_P$Wm}So-CO)renCx@?)jTo8mJ#firtj$V8(zmazt^W2qLb>@Pe`qZl^ zOODo2di44N>{?-gSGA@dU280#B|)PE^$FNX>`>hk1K7Tl9s>@g8w)u)1t7gm%wz#& z*e^em z1D_&{%f%>#C!aPSQ|QjrOXd+xZS@xda^(Ib&LbGmBd6BH;$rHJP7$l&j5^`($7P=X z+a!Tci0M_oFFSt!1^o~V6Z9% zCF%TvI-LeE4ZwxzrR(nLy*T*cJyM=Nj|;5NLpY9UWW>1e03b4PAl8tRA<2~5+&-qL zp_=s!5`HEuWx$2}utZ^A$cezMD9VFLH`X%A_D5lX^B?MZZZbBnE3FZC?$H1dFO{p< zeG*F9(?e#Gl8X84x&eCl%od%_kvVfU#2~_*d1(J&*BKN$In+qT19d_JvTG468PeYl zIE|JP)a7XV()!{EdU$=6b3fA>$AB3?xuO$ z(3O(;J}$@qtmt9?7tQx??xvLs8-E=a==B@7kPh!G>}c>9O&DTox~jTio*GIWl^wV> zDW$LpTtEXqvj>!`RSckTJ>_?Yd zSa+P#^Tzh6A7o1=xB;K5n(V(c@S8p7BZN)AP8n0Qs34CC{9TM+{9e*jc?XV}g_c`kokHCh6lKB7%OvK{JI{J> z%#g}(UZIqg46-6FyPQ~kSrHn4!oD6qUDr6l9?Sjy@aT4kp4OgY{l7oeG{0Dp@^|ef z`OldP?Em8a{@p2k50NqGk%FGM0&#N$J0}a}Q3dh@)sP~2#lzvkGIpkG^#=*p;zKB4 zb!2>j%DQE|@wB;nc%dc)>PaEoyAp5V=U<-(7?ZN; z(I1#txh;)aO%olMjpt2a#prGYbZJ_x_3Hq?;ud9J=k#^CtK2!OEDa3IBrhBuC5g|b z#8l0sXp3uzZ85A7{Gl7+bPEp=TD##`QS&%w8V+Puzp9}NCwwgB^l1;;Q=3|#WtXCd zy-$9m+khoeaUo>#(?^4ucX8Y>{UEgQ9KHizd>susf#u#zzA{>Bfs1e{dcRS^HB`fB zeu~BG`C3C%vP}MZsz}~|0v?)i6IknjUC~8ggpZeg!e)H=oAv1p12&EEyQCogXM-O5 zzsRwF1J=y>3Gse9IMC}hnOIcW+Zj(SZZ{;ZVFCg*Xscyw`BlZmW|f#-pt$*opX1Tv zJ|qsVo_(r}B+NZ7K+rl0A^zVQ$OzLkfrd#!KW&`Fe5d0+shLR-uU0oLIK67t;7Uy~ zcPn<_gF3{-Taz=9BaeoFeD2`2nauN`AO6l|`drZEHyz+kf~LLZAvdTd3_!s^htxo# z3S`>h`J#?7B_!@P8@if7Ie1W#Lew)`xx$zxa~_DG{*#BhgY;BuA;2|Ygl)=)>_`X= zEa?P2czlkKJ&1W0_(*s)=5D~>QJNZc1iumU$fcpdTYQ)p0s{JZoT=u(uqY0t?0Th! zEWbRBFvNC=s39jmKdBa4t!ROW1Y^mXV*zi?eM^8$S0wZBb1m2tY*c20DIVp9ReFP;_u;-3DEm_0IK<6D*l75JT};puA?bN(!ntkufQ+nlH2hs;NZ z6w#;3k314{t~)X(Blf$h)*`p_cEUvz2gls)YnV*}p@;o#Ja;j8lx4E10E#K9Y|`ya zmw5rjJ0QEmKJ)8N?}eWpKG!?%m!m~ZRpMffy>o~R!80>^0A{ENa5I9GWNXe zg#}HUi4jWnOS#R6+OtrJPQ2tdLOa1)jw5cpf{xVC^{lbqF%|p&ayMHo%@?yFLC%YHNOFyO7Q`x>&+CMva4G>u2d(t`>T(NRv$f6f=k!;C~`ICY%f%l zk-qcPH~*y^4u>uU`ff2YG@%y9SnD`P&ITq%@^)n9Pb@R7)y6~nFZ?5SgArbvZ0V_P z;zXp{GYFQo%1dH@hpYs8kIs1Lp_&^yh1Y|DmNp+KKFL`$HYalt(_d1RrPQLUYDYwi zWq#Bb{saE`V0kUNYVe31m#56oGd};f!_?04B>c70M*qKn%0F@gk^k#^_>NxrE!AYe zd1Isjw6SO%k}D1-bxdSeDxyzFYBsPo^;?!-RNb2JtMl&YO&VnmnFLJ)zNm-QPup2T zqq9VwG$yyQzHk=g!xIWvyRsRHx~uClX3kja*+eIo%rY-mk=%WuisI8-jmccE{{_@M z1AG1wPerW=z=)z5q>}M%Q3)XzsZZPwyjpPDepk0#yHSoTXuew;C^jA{InFJ0tG<@j zqkgg*BhlX{!*r{=-pQ?vE-3>vBT!^lb(%H9SE!l#G*Lze+ZWzy@7aD`#@4r{&v1D6D(LS?Kit~u6Q2vto zve<@El%>|*dWp)y6DV}c5edexm}ttfOhW5<&(|cuylZrvgRGI+KO7$bMgKY~*}?X4 zJiP4Ad*y zeIPY&M;wIxUIS`KB%edA7|mkO#R z;ux8HnKkwq>W{TAI1EtY{b)UBte-A92qzBrFKq8g!XsE-^kb@R#3X5c{J{K2PU>HSkAKrEF4WZ=*4Pldw{(6tOO+|N z0ohE>a}A4oiq|dLAD}HMmkIR{2_y9YkGM84^LS(CS<3w|qHuF=k#E|&gpKTM%dM`r zL20z)tg|}HPFR-;_9v!P?KE^N^d<}(X0ag2HQe8UszU%Xw0Lg|xYAra@cDdnir#)A zShwR7CXXJlLpr_BiwGzgMz?I`tC((X&m`Mt`+iJP5EG6phnc?ru1C(3;x26=y5qm) zBA2qKoFAUTJK&`u+FrrlZxZ$fum=FahQ9Dtn#Yn<<^lWgCj^mAA~=+-B_=N@L7N)s zvr0>pz{i!c=9vX*M=Cjq(_C_kLr1;kzF@kg3oZuoVNeV#Xn2xN#v`T`lK$1b3Pfj^ zUPsEX<3`M3sulTo2wpZSn|${AAs=}|nd8b(c!>pU&|@cMHX zX}+YV0dT7@3isaqF=B*`7}!GZ9FBk#%BwZe+)ah-YGgUPtsVqj2RmgkY#PAKV71jR z%*TW~xdqj&x1LRC?&AK&FMtM_yf5BdRVUP=3a* zhB*e^Niy@+5s@S5#ss&j3p10{X>R-2O@w42yG#4ravS)<<1pHf)Q=0+IK5coZFR@1 zc~ea}lwLyA?2A-I?MwTVX4|#UPubl&2$N0XwjxrF9zDK_#+B1sRZG#7DQ!fT^H;b$ z5kCSDbH{DLs+y(`oL((xjJQlpV+#Tr1)5o9{~kH8HRhyNWrgqtN~&JMN}rgNuN6AG zx==Tb*;7#iTDS}%T zQ|1!hL=Bg5OTA?dq8U-7RHRpc;ZBtlRMV%zz~5)rAjNV4i}Vsj#Pn^doYtYJg1AuX z+>gN>Oct=>amTrH-T;5kID;~YTBy>`k`r+=A`w`d^SA!&R}HKO$ji>99KbGI7kxsM z%b&)r2XZ(Dr1*ZrxT#dfLy>ac_o?PP&Gft)JUC?P^XTaM`5@R= zk}?cAVScp3+y)+fNeD^V2Srh6AA&#qDC#os2~xXdZrD?hNQ9sUli`yPcWTL(Xa9}; zaFjjf-orMkn8VpsU{wyy|5m=hIDCfc0C;+D(I$~o5~v4EN(HPPJ7jGz<6YkobZ}m4 zyLd_~2(3H_(TQ~Nc43sK{fF7R98AYIHW4Y&LDY#7(Mz%WNA26y6LK*9LdfQwtI#jA zT|A(RE@ea`>L05hHPXOn;TS&bQ!Jbb$3F_cNH)keae(_8d~8;7G|kB>FbT4_jIJt^tY$uu<|?O@?Q2Z8A6cEC$Cb3} zTveV#Yl3S$yL2$`kXU?^Po|5pgC@bXz10YPdN>#K42G?eeYo%ZhE+`xrtz-1-5VCr z!58$fFGu~logZHG|MYhXRhDxIyAAL@w00Ku)7NY1}0Thfc5pVCcEOwJ|?(S?Ke?z&XWf# zL68(ka4ve@ambjfrO!u|Q$OSRCt7m~nYI;QvD@e|KLepN%Dsk9sk*^F){^x>c@fe| z!AX?=WcBROWiY_>pAT&~n=mJ#+Q2zjjyegLIBbh!!>P%DOtr2#;ep`G zcF6nnV>`z*#0KtVu^>FOfKu59M$rsfDP!w`X3^Vc&cs z@?_f~-T6vKq_9xKOe5RoFJ`1n<0B|HyMdt~@hToevGNhn~e{zf3Wm zS-y03VMJ7*cmvg`i`5s%3)HOTH?liV5$VGZmkGmC;u5;+p9bd2cF{byXwCu-YsteO zFE5H6u3Squ)39DXYnJ9aZ?PBhY%i)3a&&@k_T6^^oVc}Qdl;Ngi~7IS`yR_RmdgyV z&08pN`J4nV&R9Jg2p{kc|g=-A!V8y zj|8C7{6^5gNUTdZ)UnsVxrZ)BgPDu!Q7>dnw#bTTmX?LffjnZwV`gu;o845*h-tYH zm4?F-$TuUn>Xe&{Q|QLzt-d}l4=Ao=G%^zhbQn)L*-V#xBD=iOWU6gOu)~XMjJsm) zIL5r!%913`M^?z@xJj5k-WzZbh6@|Z{lgrEPb~)B35O`$1_F0BgyzuWkWzfsr9FUYJ z_(r$I!x&|6NL}d!YEp_BkPW9Pos`RJjJklskRBuXpR{d%Y=7kVm(vdo=wd*TJc-z0 z+$_XDb$`BXKQfn)TZuNAj%s~kXQ?Hpz%*UjKbUj@w?%BOA53Uz+a;qr zdA@#cSy@W9xx7838Co}DYpKmsINU{#A{xEzM!-VYt|`Qf@`f$7aEj~?&VRn%l{nVu zxaz!!rlT)eBJgqR$JE|h$u;mC)+e)Yb!%MJD)X5|+j%M~6iB}juY6v;>Vb}W5|B9| z78V-l6wtmAsHmT6vS45JGNVU%9YvmCvL@LCX^Y^c&%^3bXiS!NuJ6ei8Ya@mA z=vxu;B{c3@)%Lisb18a^0G)^(c94WzPP)q6-D8$E)4sk7?-iCH_3V8a&dMU_e_riz zlU#et4THE401Ufdgz=KuqK_7;lBa~hJbe8D+|XY0<-cxz`W+ffj6RwSoB94XB>;qn zrc>MZU_9qr!~f3$EQWs+0t~2pM;D3y66Ega8^tXiL<@Ap3!C#3igw=j|pn27V33T@K@F|kX87%CsDj! z&>lWtq-(iY=2|38kV_&jXeZM4t zu$(xD#!fi;b9fP|lKl)TY8=AKHA_IsG71!@E(4eHU0X2S8jci!pDI}u8XU{f60OJ# z!wv~KE*n=WG8R!| zs>vsUDbZjLoO8epz&LD{_j#>})B#SKut|hP)QLO5WMHie1;M9A~`c&Z_KHmkfu`DhW zmW51~AID2{$Y8Ma-ALw>N8~{qq~df2U=dI%EF_pQka(YC^!N91pE#fd9P7*IgK8vb zCUGSA7QUIr8%`pv~&lbcKJ4nMJnt0#|_dcGv|E3_Z6TFkWXcV?Li2s9G?? zd7o}1{W8zc55%2b?!%aX4Htke5Ytsd{l1)hm7cxd4NxSF4W;w3J6!u}&h2>u@qe`0 zG2Iyy9jz?D=zQ_SvvQ{%LlfYyn*4<=C`g^ z9t}{cU~-`I5?wbrc1j}8A0^((R}?KB98YmcBe9fkFc{>9Rj(zGkOy-z)bHg8hGwAn zgS`J*L-W96Xiybu@w|@v%ZH`_Fo6-cfCRY>$JgSIs>*wbD_n@kP(t|n8A?VP%p(Ux zcwZtH%K3?HM_yAQNWojHorZ(n6cGu18OwZ7Sc0t-@hMd(!G|(whMrs1#+u;0#R8*y zj?h}*@V(^}`O^lans%@DPYJoA1||7OXpe#8q)vuaYe*b`w??Y;?Pi=`@DJur$rWmF zL`uf22UWyvy~XpT3`|d?eRbTG*L-Ni*M(rGM%s{lc9KgSL|#cf+1FiCLw?=M+m?Np zBNf_bsp{OYSmStSVg*G2+0DYHI7e8!VIUr2N-f58nR-Fl7DPVkjHze=UujA0rK!BP zEbZCqeSPnCiCAOFeNmUlQ0)m6s&lTH21+F5>bJy87E`hXQx`aa=zYYSbLe^4Z- zT|4a5f$wWwgiyjAa~z51{{T@FE^hiV1E{#pp>4Px&aL!)&;&wTY6A&1q1?(#C^>?7 zCFatco|2P{wbXfZ>u*8%d&MyxR~c9R0L@Kls%EQ^t8w+e%})!xCbFdToHBTMt2vz_ zU6u?r%5ep$E#*WwZah>|f?Cdf9#yJlo+?vw90fqCVFIPFI@Me@y1gG@)w{{Dxf#>{ z^`Nr#E;IHh0$8S`^lgk1~HQ1bmwtVd#bf{;G>l-}l%}+F73y#uOEa3}A*V&w#G1l%Z5C5`mNLMsNlP$HXkX z!LRV*(sSpEvulcWiv%(R&k)G{lNj)d*UXW+0Ps$*y5WVKw{}rN?spufc7@NGhnXet z^u|CXp`IwY5ztkxKkibgh*Y+}ZTav$)DeEu0;XWz6B2}puAcRZJm0er+|H358zs7awBX3F zC#?_Qw1!$Vxx`s4n#r9IDB_hCV=meT!1v?>521Uj67asWwBqtSH>ad(g$_ndhtxYj zM9eU(!Je+oLkxPUH z@2?;~!4E^NZ@x*l*^U=A&<;V>)xUeEc~qI!Z`_;sShNB0y_(c zKO!|`yI0q{-Hg7z=|w3H0paxYB#0z~nl4xF~ zlRee#(V>;?`}H?3$qjv(-_|!;(*Gm3&OrAs0ouQrmVM9jqgMYCpgoZv0*sE@>BlLa z4m2SrQp^Zb=?lpqV1Nowfoj=d2|5tH8n`#(&npEl7Y~jQ-O|fx50Y!#}0luZlH;_-9nsg6VpF zIzxq)ApJly=AOLuN3e$`^%itu;SZ?aFGYh!_p zUs0kKn82Dgg7oT?3cEE6d>KJ|$4SnDMPy2D#A9463Q?R+bOU7M+yv;P!H$l~t0A6i zREahPWnJM|73Jcrz97Fwr9L1f9o7#aya?_?qNQ$WU_}(Fy{i_vrWWXt0&Aof#AvcX zh6`NCj}#@!mmL`C@E>SB=>xGxw=6~nuI^G-<>}ICIPmVe-@9WyV@$~+Q@3}=lep8vI zQiQacT=&XMnBDbR(s%dj#R7hXb2N6K56C#)b%Wg*EJl0~d_G@Xy3OkIKsk=8(Q#7Z^-feoqvuv49Ed8f>@})YUwA+Gz{cnATo(7S53XLS@6UdxlR3kA^JH}mHVi1k z`D5k_FE2&#)0Nn@bpdk&ij=CHSPBh)Xi4)XGtt7fVsd5in#5568!Dd-{Csi5_+1Tx zb9imTL)f^vB)%irM6EHy=w%mUyuWN;;|5ssPZ2xy8!iU`Y$MBsnOdfAg;~e4?Xo~C zhvdzWYA%=)X+ZR#rT!jw`?wF3GgtQNu=SzJzV7&~z8!NTebkZN{1$!ovMZ_(J-?R$ zyIVP%)Jc!(e)z~$Q0(Q>eA|3DdF0<7AJ4FB#ysB?kJ*3GYyKqw`#04i^M9+yU(r@| z_8I+RG$-PTO&bJ)DrIo$l_Yv7R2hEE=(m@bV8$XWI~@2)VJjh2now_Ux$r`e9Kj`?>LMBcI_IevD^g zP@)H#jGXaZ&M;8pXKd+)Fv{7Lt6moTx%jjC0BsKN^6?%rq`i4~KZKhED$T|!7$YC5 z9I^)N&_*k&(@Fr>e3pjA>vF<6g-6MDxF<}M{p}zeyNraDD81}-V~8|?RQPdEU9Mr% zZF<_DoN|!}4xS7Mq`X0pYBKE0VkQ!Wz7E9CJb!3HxJ^tEBJqw}i&<2pXd$pa@;{u5(j!O03@^Ey&?9Z@pfVOi&jM7 zr|(EUV)x zTe;3b#h2mEyLv#+)Ofp@TF{@qjIti;F6nK%Of$vXVHT}^!oG?PaU;1r^z2tub*-B+ zpW?Ktoq497jHCLas#gz>-YmHHgoAPKa_c62XB&lPPSsX_or3Yvaa(75vl zmV9}-i<|baQ=3H{n?l{&-$e}^k+1-;2k}Yh8~W2K3b>yE;DQ#xgVRzHofsWIaegC#i)Gj?X8wV+;ZOfMo&1YcGn#( zn^uo2?={F2Tw~U8mE$ZidwM=2jKBbqRziGH$C2yhDbuRxm;GSN@LB0sAZ|Awrp!GN zkB_EqZYBdkIeBsRwoskcke^L%Kbx@u%tlTlq(8j-3~L~AGzu%3N+I`@*uxGZ z4(dx^ao$Sg_H@uH59_WufmG`p5RkvBV!)fT*W@amS9z$WGf+V0gG&`97Z{ zk1lu(Q%#U%hTV|ByTbmE#dYgN7O#2uDL1dx*3nKgIXAJ3SgI)*oV#Ly80DN8w-6yA zw^J0`c`tknafz$%2aBCNnl{Iq;sj>qd&)-h_;{?6gctv2uZRz)&I+NI3bKMTNNh%N zxXDhj)j6I>iHj1V#s2KuE1jF@us@8UFh~d!6msy^iCvmF);y!$wo(54TP2Nb62Djd z#%$z&QAz(%G#9D(Ke6OqM=H;t5qg}pb5J+kF)TN{N|j)P(*f)AaF9b$mc=EAJXz@p z3DcgY@;C@Xzn(H2rXDtS0T3fhGK6nb{2=QTuQ-~7-Upos#9j%!FiYsl}0K-_{F5SuMBGf*vOpicLR-F`Y-KTMqvv9_? z^gz_XJ)&v496QrPkz7o7oIqK-b{xq<;7jpLoeq#L?Y9SK1iMVYFx4uo;lXDI7tnlN zhAgOo??K**>e*V@1^YFG}n` zss{@d|3~@#SMDz;#>yaCdns(i#-eF}P=N)KkkbC|#6JL-=p}*+(b;-r;%c%47w~W2 zSml07d(el(cgU6DVi3Xu3Yf#kb4uy8SLC#j zVY-Wq@kn^pAuDnO@08v|_l+}II2r*9N)7een0S6oJDM00UZ6r`GB8%$A9)JqXlCBU zt9pqWhNfa+$Ho=!wYHs)JBe=S2CKO5G5~=ZzcRjzFk=5el?TnuU-)SCI1}d#nQ>ec zReEqjT5~n#^`IguoNUm4@bHy&YLdfh#>+zFzJe^xL3N+6TH`k&=8ado=>sIb8}7H- z%ryDH4S~8T0e-8`Kfz7y^Q;gQ(dCErt$uZUQ5?AFqksci2+farl})Ub*zL9&1t^gY$D^ zE$8MM)~>#sAwz9iU${u0QYxC7;-ftRm*)c+K{Dtb+qTBKPv z6JgnbvZ*G<)7{*!I=utHdi`R#zQTMP2z)nJavVW%%A~LEr~Nvd{Xx6FJX@kohsh_F z15i4a+FagUW(KaXr0s*mVnb|%WUG`0ovQ=vrMtPD2-{KL1aa~+Y7{%~)g5nKjtN}z zVhDka*`@YqWUn!SksDOOxamoTkI9P@T#yMz5bApLOZhi)Gn*re0QxFTfqLKWKXUB0Idl(4} zDF(WKX%zp>{qG{V$2$M7{YGy{omQ08$ROvuD%>$em90`>>Bep50#xXj=nCZW zxScR!a=hPrs}saa)6ObOY63J47w1=)0I}_0XgC`EExfTw1!+( z(HXMQPe6aL@JH=60}2aHP$d5L^x715{k_WyQNBB3;e=)g&IeOpcp+i^f@^_4Sh;XJdpqJb8-_2k#1~6DnI+?~4Wq zF-?%DxOls6_q}3fm8a)|pTY~phRVb`K-0zuHguGXtg#G1i@bMhyaksv7uY0J?~*5l zf?!mzmVXJhTBx@?A*onL<;87wfeB=QKj~1FO2KGT+~6Lssx)k3oHo^#f>|P2vl?iU zHPN|oDBg56WL!lYQQkM>REV%FiffdDASFlHah|g&s`97W*_54;5Vr->M?!s)PF;ff zq>IIRK-e}%LD7OU__l6M)0mJCSIhDF>`LJX&GQtu^Oj1ook{W}O{DYpO5OHKn&$jT znoKQ>bA-0xp2@dU1Q+L%^V?Ld-gL@{n&vdcw5R@^>fo&43-^>)0Ej#$M%*vi?Flqc zV^^~k7aRIlK^s^-VR>IG%PUcHa<_{%Y2UT{E zOWNMM*%4!LFEhSMjLOT*hx=obiM*LOVy*eq(&>T2UrSX;F6xHx;X!Jz-L`U53knx} zx9t$>Y!z^&sq4u!p3f;t@9>Wx58XC*3TZGNEG5es$ZCtq6YFxWG}#lrm;bdpi9VO{ zU2p&VvnVdFy@&hkx43hBc&*vzL|ak~Zr7Y!HjvNn z3(A_VEr~g+x45e8mo!YprQnG6{>@kD=ijm=*ZqZ{7Qb`fe*VX$4GjM{^9@wlie6=d z^S;vAY3|I#!t1I!{b{?gut}4^>8#Xv+>l1}YnQM#)_^we6xSy{v6XkQh@T&?lQs+8 z=WgQK>0z93vOb0M#s*%Y$})gPZ)+s%;;-npCN*AgnB{P$s<)$!rR;VL$GMXI{ZbsP=SR#xr%|aPp($|GhAb4eL>TRndGgU zNXeNdOtAy$yD27;RDVv*&CwV7>MJxNN5Wp7fX_VlN}DdjR#AJ35?oJousJJJ^7Ys~ zubSkU(Tz;HTO0411!5+EYAp3Oq|g}waXO8#WGj;9+-5KmsIvziQ58AHNae%g=(AOm zQZ6jIC@-U-q$JcNAOvE*OIyKS&$>VxMVj4QV$3YyP{C%`(43*9377DvR0f~4B^8^EXH-i$GtFTn*mq=o7c=E|7d{Sdzr1tzh>D&lGkLVU=`qV1Hee3~eK6Ys# zl|4B}$p}S3NGaY@S3qOS+FbQRytR&HT#r@*w$Gq^jIcHFQI~yS5~d_3XWD&{h$@lY z+!1zgCcoEWo5${u7>eJNm%0)jf-w z+J>jLOQ@xP=YzA&PU_Ci&3hG;#fs|Xrj7;IHg}-aQ}d%?!R703h7ZkKxo4g49yIzN zePRss|41ixe2@D7H#8n{)pG^E+#R67G!sMu@>DSwJhdOrLK^GkCrT-gH)%JXUg4RN zwJ%!sk(bxx&4@(1ZAJ*3U*`6x5O|~Ck$qp{0@yDj@{&on--jRi6F@R#>gt(FqL>p``(mslx22Z1+( z?95?b!i8}`3%5E2|BtbA46X!?)^%*#wr$(#*tXHJZQHh;j%{>o+eRlH+{`(3Pu0wi zp81*V+Eu&i{jk>etaq(Bnc1Xs7)Z;NbPh=GpPvxNF{S}Zbp@32YY;%HMED5$oipKk z#eguVzs)$Hx4#jiX2_c~8#f8mvw}`(A+X{lU=LF=9nqd8Mc2+HZH;jv#t}iVfR@0JjupJgUK`AN39D_@zpMTR zAKH8}@Y@+zQRU)$uS|k}kY(m`pWq2VX%pC!%}RGgfNt5XfO?Cul!^qdJ{H_209O(E zEA5WPF>dv{O)3d8($bFGW#qR3ApX0+$nxxfj?1m78p)I9BO@H0ce?ms`)-UEvANeX$kJrr?thva2mi=! zp7YwwVgL`vW|_)Ewl#D59{AeN60mLKU&zNYA?dqP-3=X>#PSHfdFHuwrJDN?DEElJ zOFL8ZS!CobbH+X+`6)i~iWik$^5H%54*tDM<@1M;_x5+K=W8q1*MFvxDBV`J0bi-4 z-oHPmG5+z2%TAt<8~Ey;{OlFX4-lspzE5hc4_IIs|4oJPfD8?Db|rrQ=G8+n9!+gQ z%ouen!;^=(n^|Kzhan}(EbZodz*+3ltEMN#B3SdZ2P~LElgEjb2|}7&DqdH>IrreN z{V_*nr5wA(d&{jWL#uUHtmHK}bLV1l1vE>J_w8sA5KA!;MIGD2X}0kdu?{dUW2eH- z&C>oS1~RC4QvKz3P2h^W$F*`DI-aECyHXDuzR)!VHE#1YMoq9jmXYudH0RpM#)h&+ ztId^0*v<>262hPok5oSpm1835<{L%f>K%1F{-3=J2D6sK-S1%bNI>QvX6La4_F)8~ zFnxOvsvr(9yw4z(}GnfgTQ?p_rt%)s7LGhdeOimDs^o$(1xe;u%KG)QG6bB{@Nsc`oeGk2ic->un&i7ezT0a)!YsC%mrrEYZlt@8@(mfMhEUl3L9Ru5ogd7Kd zi81}J!?l?h|A_ylmNsnz{=d_^SHpv%KMLJzvh7D55Y+q-#_%YKkv@X!;edP?KIuK+ zH0|Z*f%v+xMi^@`*fA8iODzIBLR6=ggKR}ZjBlf5kJct_24{PL>oKGIPVUktj zF;~q8%Ah1Gk8Ss?XUt;zhyYvjq=D$N@6mU0ii;fLFO=hcOv6do3XR!-U`o#K9NI}k zH8oF?UA22z*0HZF)Dq+dwO20df#4J6E?$emO7@pM)5^iWEcS-^Pk}M7@4XIEM6Xq= z2_e}`zGist#HG2@nq7SB?Fro!>b82~YuIC^?xqv<*Q*4w^KLIWt2jVrqsj&Kzn7flSLavPkZE+6D|r8LvjAk7!+Umj!9%O1pYz7KU;iKd zR3oeTJ;2Pfd~tD&l3M%1H}P(;*S-zHDvdmh_8dyFvPXZx$7V)5o0F#HVYXiX_{+!V3$g z2a2cemI`Cc`*L^=_G#D_FH)PXOrPbtdK?iC)}95nIa#Sc zCZ4O4Aq`6T*jBrUfjC^@m%>HG=fm_=ppKwkAWCrZg@lsH<1~`$NzbV$@SIJYBr&)U zdMVN{V>lC}QHvXsMc>VQRClOEAOuC#QzPS1tpo<_CN|=iTv3<)-g)1RYKJEKH7sm( zNrJLT;n0fYG~B%dv}6XU$r}qboeA;d6NF~1!F-S^Tbs$`qeX~f6s#w~aG$>Ho?ftW zzQ{nCraI!x40fwd0A!KY`D>6MEK|~s)uDzDm)Gmlbb0)*3o;xe zk~(0rgerR$jw!itJVj2}A3}4ZVh}s^KEI;$-A*wD{n3#_{T+@3 zTmr@;-jT6?NUBNwq`vnh=^56)K6^6$k;z=HO#L<19bkuBeiwaZyr&*`51_coymi%D z$wNJ;0zoD`i9=*IV6>j)}rLhO*5hi%dZ$ZPb$t_m;tsG-m@_A>{>{> z$WE%4A3A6FA0W}#jT6%IxIK~SkC(!_KfbS-FNjUxWxBX#==8*LKQen#!>{vAG+2M1 zUu^Gg?D3(K3jvsT@l|RIuFa&Y^fGEJs-}Bwv0Cf#VTwp|^jS8#fxh1l5}UsM4fH1T zrbvWBOw9F5=i3eRoslv_?FZ8hbXAYKzROKxs%A>Sc3qM}>gnN&5&yWJM<_c#oR6SS z5>s&Y_5;ov-;?KJLVc2)6)R|Uon@lpFCUP%fHwy8xlA@R{yzGXmLZn~<7xUASRaE; zs6(EocHSSfK<_9=D=)IUztNAxIs5uwqJQ?%SAAT(Q+HaRpV806&Pd?BS${Hp0{R%d zM=FAN`rOzF>VHNh7hSzirR<<@d_uDOPJVs+*N0}$Xy$g_NB7n9Kk6l_WVN1m|}v=yyffKr(^P5`byT1hb?uGi~9*3^`MNOnmU zx#2rL=QV47lf^6+O@V$5MueBElyVWsIo3K=LFH~x&2Gfg>s?sFZc};(|NUqYtf>hV zZ=6-Qq|xQN1@jcUT3rDKZ~|<; z6(i@O;L7TU0Ec9NX}H8kWZ%!b(a@;cJXVJt92bLzAd$KPW8u^6jex$g1g8ohUpH=* zpSJs03)sYm%EqJSPtze_KyV1e_y+RzmiAy<|cKlaf0j#BtN0p!nuNY zO_}D;eu4_5+@5OVVXgK$1E5k$hr+rmnnFKDrq{qEey1CcCq%1{@SdeL=l+^!=oX(s z%&w^?Y^`SlFz=WGsbFg4{%Zz^Wh$a*n-@J0#uEHE4E0wt2}ccepF`k;m+>CFPE(ww z&5hEST3j#pw+J87LdJ%n7<_|YRYs`x%)PDzkRM?qf>@lKF)WlE#RFMa?;rF>^ULmn zuXH%s!RYz1*oPNOy7qm76H3Dv*$vxwNAa9XcF%n3%R$4h;cv$icJ6d{l1Ox}#HDNo zKA-9g7yIszx#5X?6hS=OS#Y~Lk>efLZc>R`AN;}h0Avq(;Ak%I_L=w>A9c`J z2c~2l)2dLgim`B){C|%r+*_`ea=uO|=>M?L{s?B8leYir3^e=|Q*`&j9B!AHqQfv} zJA_ShhB8iIs#*XAJ29~D4``K$oeW=z{UF+|-F}(oG(nrJ-&GHBj!+15j7Lp!c)+Qn zFnvodKt(>hJ2Xa{WDQ+Gvlt())Bm=tFZ3kaM z129zj8YD2Q0k|sS@gvDm&-^e7deNOZ$Tp4-9_c@S7>3@Chkcmb^9l~DG9M}m2 z_^O+@n8D+dFD+eo?7_bqWy*pXTd_HIRT7i^2K&3{dA+|=!@oqg{tu%65n?naP5l)} z20!x+b^0;zXMaej=NZ}yeu+Xj92dVZQ*_BPk692B>guOyJ}+hDG`smS&HKC|iEbSp zCXIMS7*{Q7+bsiyDn{vMs(nY{9qF9r<5(A7G!5w8rj7!^IEv%+O&BEqoB$ka=M*Ap zPdoe}k7sdJckzeHF`IEsgg0?X3b0j-QnoQCf?{>>LM_)3>r3lxxE*#wBrvZfLnO;! z+Y!`7ge2eAP=0~~S&BQ_lQ{E2Pxj_ReIGRTNL6sva5WpEyr3#$0~8cu0D)~mT3jUs z?7HH$pdz;vI@t2rTl^|7sswCri~s^@hxgi}i?cxG7EY80o`~+EZ$Tm$XD{fE=FLHy zo=fkY^@Mepb!lkJ{o#YdI6_)5buRfX>W_H`o(EBnbn0?7DQUb^g(F~wh(-5o{5;1n z4W9`zc))ddD9b?g!%<*_v^vXCDEt;z!a+w#%;u{baRtbSoBG(>PnbRsMa`$atJ?!~ zXM&$^UR1?Pwaa5eA#gQ67F_v`@BWdIBBv$}|3 zAJRd>@O9RL#F@cjw2%8S;4d+{uR|Z^Fnre;!+Z^*>QMu^lJiQu2Arp78%>#Fn@6Ei z?uUP4kyz*Ns8}kLoOH>s-HhC$vK6)oio9;Sk+A^h+w{fvhE&+5U*lAq2VI?}vmC5? zW&SKF0q7@}#T}o4mCto$Ad=mHq#wG4e3slvOYovfl^Ky~!L?9t0F_rxcW|;f+Ck?3 zwMSZm&!s;x1THgf)TX3@q92QPWPp9{7Sd`nS6$V_WiNDW{#5wZlXd@Ib>i*CDr^<< z<>SGFd@md|`;6$^+4+V`4A$+;OJ29(ojTPGk$EQmoeZ79C@PTZM=46V1R8GOOn4aj zi^8wuhUY_Z36w?Kjh4osp>xh8nMj)nZzkcu@3lP)fyN@DCLF4HX*6;F4zdLiI83J1+Y3r!LhMiawVn@qq&7i9)Hp0k!^b>f!-43&Y8+qcPSrx z6uQ_wLzKri5Zd@Gehw@&hl8{4frs-3bTtbOfUGk;cU+(DfD@rveoOkUgQWO-sMr20 z4@TcfvSPtpfR`NUJw-*mFgzZ!cWxezS_qnke1;?$eT9^PnBoswv@BNHA<0u zdFI-#NF0Cxx9&wHqk)u8q~3z4NE}E^^1}jKjuRr)Dvk(XWzS!pF??S&3)IQ|RCOCd znm0WR{AaR!Tiz<49y2x{Dn%Y#d7h0`J$P8D!?p)&c9mMpJN-x$_hCdW3 zQ@b96Q3>;K&l|d)*)Z(C8M^-#D5jJb+0J;!{`=iYXJjlN`E_^B{rlbdXLoON;<{y^ z0LouO0PjJaYhvc(z!Qm*0$5>bgB1JqN#ZjUI)l*eZnL$UjOGRp2;mEMG|Yakn*Ah6 z6Qan=n{l~fyaB%1tuZVYAp73-_9Ca|po>ZnxzHJrd^mPM9d8Mi6ERg;2T_6+66d=m z+mekzyx?$!_)R5E#3H5C1f{b5l!>ZXTP1wMd8n=rp)ZU@ouZK_#~*IZFFp^~J|i`9 zA#WBx`tkd2IBsHrP6l}0X77wan3bDVE0qaeii3J4jLYJHD(hJpUfN=uvvP+RE9&0D z%_U4HC_Tn1Es`_FjIEzJEHXHg+ric+LM7oO#_%%b^n6oT)h&^(6siW>wn+0>798*4 zZ`v$993e2_70DhrW-=HUVy|h_Kq9cJ2&28Ai}m_){qB7(l^eh&gQi&_pjB;tSFexD2GDz=_ukzK>v-|#lu-gHgn zanr>?Jc~ESFVslcLDhzGu$LQ<1 zGZ=db66475=b+M*nD^g$#Mo^A8PTMn{ zI)$#MM1lFibs}Cy^t`oSXM6^SE$G!o5-r->Ca(QW$OuamPHv6m6lfkP1$JsZJ%;hN zDI%iltG!eI1M>--Y$w`=a4f=0=kcXQx4BjWP@}a}VR{*!AhYM4KV1VP1KmvOsfsVC z6lK0Qu1m_X2huM)6Vio|m4fQGNBIM0H$d31!)Cyud_`?$V&}jLF%-?3kgm(k7;9!o z2d$RFkpq_W90L!ApTppT_x<=hIN%uKx2DUsmgicHMxJ{dQNH!O;S26oxD>j*5yxda zGUucWO_(fDoila=D}6l(v2oaR>ly30FLTjdinWk20ZTW_D6#T*WUXWFA&R4B)}Wy$ zopclXD9U5^OX8#xVip#?rXj0)5GK;)Q}v3QBR^Q+&bfG7OX&_FWQ!QsuFveH4QkwH zlO}t0iwTZnpOOinR*xvpIzcMCczu?Dj@C;rGf$uLp>9UzB<;MH^PHXI=CgI6cGuXM zEZH3{*N4U~4?j&a@jngLx2R{PCHY~DO8paWhxA(STCHvtp&6pH9wiHX5AL@AQLYq_ z2(iWXwU)8}-4(|4$58Og4fMag0e_=-_?g7Lq5qz>}&osraM`5BoD$~#mOESS#TdB@Z!mhKv;n> zWS>iE+xc#gEL5T(%f7J03u!+aDblv+*W&?E(wC(4r99M>V<|?`%6I0uGr0p@XwNeo zeVe1T1MN2JZ{e{@Z9D=G`~%$HlcdTlA>Fj~F{bCk9@P zKi=<~m-{SwxEy7%rD55sk|7FiH$38wPsjKzg zPVY!dE-AX%?y<0#*Fcfe@tKbHAj$QXcUQyyj_Mk+y-DAmcu{@bjJ_cx^X^Ag<%DrqqjJrG=^C0W8+x z$%e#YL`_A3KzTdHoR+HcU9g1D%v1jR)Q%x!lcb2CyI+3s6YecN!&r}4&fH${Yf0Y0 zXjmlh=yAf}2coMr?i(Gyg)nhvY<5e4E5)R!=JXR~2HIPD6sS1mDNO{OEVrWI9Vy*0 zl+j$`h;F@TWvsAR(a08%rwQoeX!2?5@h6N<$6}*w##H=UfOu0H>-^`DGJ%FE4s}Z@ zo9YGtiu=$4Nds-a8Sl#dzTHbjWP(g-V_M>Up>soOfqZe36&m#os_3<3WuyCb96q*v z!FmR!+d3O0$^@0!bvdit1++(|nx<0fxkAOfi+DJxHCx}oHJm9gq(s<{z5|o=C?iKi zId|h{tB@*XCvi1{=J+;F7$58TU5!GHnC*f7b*%}sg(@^*Vd)2fIa60UedfLFly)~* z>>~9?5i$2v83Iw~#z691HYq93KD(#mPowl8aNfYVPA_p{?#v+tn)jZ$C;fjOGbriAw%HEN6+)&sRyJ}@{=LcOEM0HyHu0|JPVf*pX zGW_1LUq%3nt?aHn03c=pC(yl$rB%=ho@!@^h@Yqcf|~Mv(X8OG9@hnIn>~F5(hS3W zyIom=?ClmN%a|He746dzViOQT`wM_5j5Ue?q$)~fm_`T#MOU#3V&CVaCrOSGE_znp z%yIxa&8;>YPjezTO{oy$%&3gYfvfi^fC%xF5Gw~zn4-oOwzX!Y@uQpceQCW@ncCo- zG>a+3{KYGkYLc@Abcs82eBnMk;i)pFd6H;VVHicwYELRVQl;AVw1b24Qa1$lHO)4W zSFW4jOKg;$nDw~<2~b(_wz)B~Y_%~WA5YbyUKOjy)W1cQmZX42U#2`3Z6cwD`oecM zi+k60`C)nwD5w$4r* zH;S+>(bl{_YBC%-i|S_EDQSO#(|dX|Wq%A%6#zye__OMQ{WdNM&D0w>W}z(!yMgxP z=XO6f4C7RNeQg@!IDn=$lN6DN(|1P`W3N2yVPpI)W{0#Nsx3*?r%?Z{yYA2H8+wEb zllb-zmd#m)K(6|=s=WUlh%x;O5Yzf=q7L|tE59h8U*geR)}aF&y*$^0U`QB_PTedU zwFPrp?w0L2i%|=vHzdmp{_!38{i;<01zix3v*6gkrg76uaSOD~`4l>3>P!0!9JOpt zu2)Eka#sQgUwZuC&C0rO-%#^H# z%4_RW&qN9(E<8BUXa})y-Uo=r+@V(P>M>wp6TUlpL!6;7D+de1G zo=n4DWu)An&9yU_dMv3cWG8iua>#&nV{)ffRZ~)S(K%`ml~8IcOUyQyDc?ZLIkMZR zT0eWt*4iH7xbt?L&bB)G2`HVqn>;O;Isn7KPqLCuECb!;OHOqok2q(zwql20|8cl8 z`$yu|e2EqFAH@12>Z(rKlq+HY_^WHdD@Y0dx3b|#2PF2Zkoxf;bGA&$&~l-c;vh8G zaQGfvlc1rl3tG-&?$T1&STAtGJ_gaaY(fDLbMAfPO+USKvHljcrKLUNRqFk5%On4|A;t(q%@xP|XYbW@c*;?6#uCJ+9%C=` zfkc#=1l*ZsE&!V>rMsktUG5B~{sI$`^~EXX73tXyK#E=C{iB>Oznq3!4Hq$-xb%H4 z0!$)@4n~U@w3%OB^~D2&8gT&f+2UHKgm+X98FOtlN?ujcZSL8f6-pEa;RNaryG3q& zozI_~`auZXOBEqYzoL1*mB}xwsH)#>6g(GNoEBEygcokM*ty0r?Oobw^tql_{c;Ds zGHFB9%n3Z#EuXNS{RBElKHV@Grtg(%OHYVRH-3bZgDm-eV?)94xZVKi)ddR~LuQW` zHbI!rz%@XAr9*H<0E8P4Kr9e!7#8zK4!S<^% zarn#T;p4~1IsG}27tx|*z@j`~QBeUGuGPs^Z$j?0I)6zVAw{8cKh=SD7d~c z_?EDk=1XUvR0_{&2szfcr{6c6scMdu?10gb$AkaEAJTyy=%OM{?F~$$tcVQs0Y;p{yy8xG&G2 z5=`^dd0!7*tTqnt0;-u@hWqLDv&}++(0F=VT)%o8M44xs+ul?Agp(0A)LSz7P%%Og zfYKc-8L{X2v5|JB7|}?V1wz|+g+|3$q{CaSgzl+4vNZC*1&hEzVlQ<{EUub(DnVG1 zBs5j&u+?K^Yyp<%>?vM6mugEqJuw$UV;GFs(?sCDnc_u|JK?q!BUiun-o0XMAKo7{ z4qd%p!8l>vQ|g@pkB1d>(jh$aYs~4$u5^NXCMes=kL&2_AB3VdYGUO9U*|wfim~xP zdz;|O1(TyiPvbkUwAx?yir{MWX_Hm=2 zIJ*X~%o0s8P1yVA4D8R<@lUh+Q?C9^+~XfC9Q!W#(xY3W)O0jsGKH0~arM~%XxY2D z+b*|TL!Qn2TlYUFxzKL^0okoPDLdl7P9Ep~5LW&XVI?Q5OARn!jC_Taoqkj0lI!8< zimQENXlk@D@rXJO20B{pOMN%HvXz-Okf?S*{(2vw*At3W2zNOB6h~-a`Mo>tDMzQF z;}g6Xvi+%%hwK3eVhce{5D%$gd>;scIh*qO>ujdH#8Hew(Hg#p7wv4PqCfTtu|Emy znAR&)G2T~)9gA)X-~^Dp)g)#BZq5W8YB82khsE4b5@UR=qwvyPLKf6s>jYf zW*Bjex_M$&+JsiR9;dsCTaM0>#+@>sHcvHy?bG$4F}|OAKfU-_t9lQ~e~N*$R_cGC zDAt8-nL47w_SQx1TlMIOpKJT;Cmszo)7SXrk_9V|`OLVZ7CF3NiDw%qrv$8aYTm>i zzI!=fKWVaE+U^zfEoywE@BE{Sk{XZb9og66a{3>F%s*1A>O`$CCXVriHK^a9$&L?p zRJ!!@&C#hR1lE*QkR6dy)twCwfGHGx-?{-%8DYEjs1lN&-M6nFl4==Cg5p3z^?;SB zOlMW8CqyH2N-smC6_}Jclw3#Emo=M^*V29Ua^U(I!WrcRe>kUT)bFDQ^-MZOk7r=7 zb45m-VL1cj?cgHDkwjAx_|P6Rx@k^HtxKvn1Y!|VFHl&KK6}k4fsn+!_F7wsIJ0R} z4JeEhD|xD20IdFv!8}lczX*WuxVM&g9DUeGRGGL)jtJ8u8MumjWEh8`#_Jx@i zw+A$$9Zt7Y-HI3>&6o>yhUluER0O2f>c0Ys3b_(CsjNXAqC@8afc-WogSVa7@!icZ z3X~d4N4qo?iQ*6QZ74wxI4~J>jjtsq31fVx@dfM1^LN0S161Ln1xNG~M#7rq^V+mY zu5JrvO)?uToT)-kOpBa;9`_@2=t-yvzPf8<_M%qPeBeRP57!K3l0!ca=^)WlliEK-m1wzVjh1L3dGCS3ksk=h?q*Guiyuc5jqU*_ta>Ir2=w3@L4 z|01;Y!r)|7*-e>YVd=0TNYM(EIs76 z7pD(;MfXyL+p1Y4%rb!CXW2^@(i^mE06*L=nnW*%8mAa*B!p;HeFqO2t%K1LhT(Zc z{AHi*1!)8|CyEiO@dJ#`{1@GhDxm9#(guwE=n@;u)SxDF?RGDfL?c63FF5;XFI5() z1&%fBDyXjqlPGzZ-@L4L`z)gW3R3E_Y(f?4;QbQQsxdSJ{4$IBdF|LGunCK0S#IZqx?65E_4N85@H*rF2!_^ErOb2g2>LPy{ zBEl{vqnSfLkkvULjW^@uS)BG9T170~c_-YEDcK6NKn5mOqQgf@STjMGn$@EQcr9$- z4U1g&L^KwJ1!_-Y1Euj6`3Y-Ac$Ok-EB$Q5b5*5v1zjJ+WiyAIC&LpvHxv)IDwCYRx zO#a0i*7|17YY`QAqz`hDWMe>xg%Pl{Ov7@J`XJpazcl+T&FG0Xht+LV4VqvQja}lN z@&WDiai~UiUT2yI`WkFrQg)r?4%?0*r&W&}@Q+ehE>eU>&2h8$fMF-HUm`80S{;tr zFkJJhC_W{Ah2e7u#3^yNnmcQeJM*O^8L7e8uWBV=lCye4H4#z64i4c%plJC1i~0_0 zS6@GSvnO(LbOth^OElBR>$c zK{P%pQ>ng;*?_*}w%-+5#MYyE77gX~ z0qso!2Xw#NyIAj&1w$!inLT&=3$#&UiX0~Qzg|WH?OQ`S#CyB2u5d#VxiA~Z)60F8_KUh|vT(=g@h>E@3F2L5 zwtd=;yNkA~Z*s`6;Io%_WKL;5w+#7F%seEO(CT5>b7IvB=x5$l7U7&7x`Kjo5ymhwxfvEU*7 z5rKq4N+IPomNdinIbfKy^FY4Rbp`|8H9H;s=4>Sh(cwLyg>(wHrgY6657An|*Kv~+ zKBxxc$P);G_`rMat6ZcwF9z*!F_#e0!i!K%5yh|6-Oet)5b-k5`Mo^Xg^Rx+Y7&1p zdxHH(N7l7P0hrQN;m9V9rpFEAMq`Jkc@m>uC`wW8Fe%+`%e)!{MiWs{1QauAJ+~P<6Q}vc!0) z%8T+-X~y`1a!CmjYclUk`Q(C4b?5fvXYY<^XNHne`d^_+JUp_C#^VoOlYtrn)5^UXpN(a=gp< z;&U#PM?0|wpxKj$ca&^>h|9$J=K-G5c!RWd5|klnheIf-ntiRbG$gqNM8`B~GoW}% zYLm-Fyot*<=_&&fX$R1H8qq^HULAHDN{M_a;rZMpzoj`gmOW=1C?#oC6z<^#XW4;( zlV7+_IMD)z7Z(fOKs?j#;OA)ZgJ~|3qwyPqjkF|7KCxan%%^Q3?(*QqopABP_JQHW z#L{zwT-7LTexe@xv9%xn$h&{H-17^5MZd-WPOF&zXa;LuoVEO_8V1|>8ts-NkdRm; z$?h_HS~752;i78|1F1vq-y$Uo+83G&Tzm{DR}1{|n#-RxJrBDaufl*p^P~4^iVmgQ zR4=i`k@a3>aj7GBZ~3^p>>io>wS<@9S2y>?PiSoHVsQKE1Z!rEf%_oZNUy7ky_~Kl zlb1AI`U!0}eM!t2g{2>_J9j&=biX_%G7Q&K=q@tmFOffjmCdQ+8GtMe3<@N4`?rH) z3EO)6q&%nUJal3~y}?KYoH0wJ@9>OCP_LOtm|shbrlwd2MAeHQfXoTw^G-kk5s^&o z4uF>HcO=rDh#*MfdX`WGG#4TFOLdv@@Rl&W!H~5C`hURpnUg%s0Jo;NY8i?0lhzQ` za2vdAiO=&~1-+QTYYAI~mxz371|LG%*u8!VLrLvW!Xm%I44acgnKS=$*y~dxL2J~P zE)f6z9>V-byHjz}>{nLuKNAvp{1UT@WY;Hb0|N4d1>*^c&*7};| zHRE|S1N&l zBbwGWJNH=wyq@TKg_1=OD{AJvg$xRdcWUla2;dgE#>!M|Nvy%i_VFQ~cs&R-!Rh3DzuD5Q9rplY<-kDarD1$_kku z@M#vM^7>X*)DxIB|0pw_kdG_2f}CiYR&I$N0L`_TOVdtSB!?sV|9b zzI@yN($s?ak8sU9K|1nl>jgi5M9`R_!MV4L&AsZU1&>1Xe-kMPVJx!=FF)zYZDC`* zlvsYAXgkizd@)2;9A$VD01)4?@eiHl4x17rKUK+m10oVx4$K__69QyJ$)^~dEK)r! z@}2We;n-Fc;+h*Qg7p7%eof^eVt_$noZ(gX-S z2!E*{4<#{gNi&2{I+(rsv}imq*76aHdh3$GqV3^TDHx&j*dwQy{Ls>%~^8IMd8%T@T!ph0Wprkg@Eav>=_ovTrd6+#L%KNokvLt_nne& zDc>=xc_}^L)}zPOgd)8xrPwV>G+oI)qV2{y6$258WBUeb=4!IfV&;2(%~&j$tV2se za80Y#h-b_0s7DJOtm0iOT2~DvhUsN`JFE~AM|`nrda^Q56f1kzi6%7MCd${`1?cVh z5IopGy(JeL=o$g{9ec>_B!Qez0=#aClFBL@ryVjx+lCVRUo&nNqC@cO>)_zo7)Rwa z#`7&!7F+TNzYK1q9Ti)VKTqB%NbEV99s^#B*!YxuHWfS)*#x~v_tR*}pOIalONgZ1 z2<3W{r|uhzGG{2m?$=)0cHr}YLBp!+%m;N>xR%8 zRh0t_@_IvSubX)Ds6)&Pw149R$q+ z^2_y`{Ug9Lq^QU&V~jJbgu;u567X@Y09=nwkBt#l@t(`a{*twE{% zygIJ&#KLhaoWiD`b3%DYF-mE!#g@gw9*V?-o^{QEc|ntB%B0?rYK0}`pdjTP<>Ur( zxhr#osXM&X%w|_vmaVN+C-~L(TP-V<^QZ^#2<&ozMh>N++FUA-?xiI^oznp)6hU88g_fGM-y;f zlfg8k(m20gPafXC1(PM2QYAik3i;3;MW`CTXsy?f7Ox9n%jAzOxxID&{(XsgZb4Tp z25H zOE$p`@3tbg4hz?`mO1Z3iLo!^-Gr#v7TsvO2snNcG2N5Fa5g*>$E$wsQu^wi6Ol!l z=+hAJy3=zJA&|n~WvjpLS)aGv+2~TTzJw|UP9g4VNm2}BK(sZOxPGz|R=%AFF>G-8 zh+C4>plrOdy4bu$;C}ZVuL2?I>GJW06Z>ZXe->J&V(tkTRWl;Qh{r`6W)L5#wX$|t9bB2N_7z^X z37F7Fivhj0nDodudY91I0ko||Pl4eylGK-`@YPeY7>#g8*V6z;|6TrJzJwcdp*rt5 zxDM{_KHtADE=3Uo=;yC1P5(cHm4C!+Q~yKSzX*$a#61AaYJDPEo{keRU5R2coO1>h zOWRm}y?yq@;Hq*{=!k}R(gz@M&5tJUZaqFZq*lN13{Q}`V=&B@*w^Hw$!oJ?Ma)Qq6qU@lB?-gc zZXG|xQO$@TBwyRFBRE#n5MAHqGGLP6upOWEi!6auLuk01w7Cjonh*t&MFEqZE;Vgb;R)a4SB%tFRT+Kwp z#><6?{cCi+1Ms8BELNX<9GmOqeb}lB-qKV(8`tyXKp=gQbz)*oHvh$jk6bOE>^tyE z+u>*9dhXv>lYrguhRoM$;{6Y+>5sgwcwzQ0%PH8-|5#2(+O=V`7D*I$H+_Ci)K^dNaMDD5JSPcyNYaAR)o*$DDURPH>3 zPY^{hKYhuS?I|Mh3*;o;{r3)Cg))Ron_4pA%VEo$3lKdrZ28)4uKO!+6|F&nUAjaC zYqzd!2X=q0VU&BqF78H}(Vh^+I(yMkY0;0p`cBtxfCZ4Cm7y<}{L`zGRY3aP`AZ_m zf2Ui_f23Q%37c|2j9=3L+#}opSUWE4==(sD^8E9Vgu*cM>W1coT2ewTQ`JL=Anr|cfjRKS?%z?>WylTrX7j!UOTqT)ZNavli8Q|=UpD2%+<;p|2 zZ0|QTOEEf#h5v8t2cOKKMpIXTKoJ!>5jyckj9i8HPP@L`@CAC`UNp#Mw z^bUiCsyFJ3>!#Fsp60JG1o2r`%AHZIV=COQ^xpp;M(<9ZBfvc{{?U7_Z zeYF&3gp`1;2&sV7Nsz8T8jQdEW^xvr%dAO2>4{gn#L2hK`&`q&3J)Z<3QG}i4Z`+k5*O9{(9g8^&1F2^PUs-l0i#H-O3^{B^Vnk7Pg z@T9lR&vE`Bnpa|MPj=EAV=y0mIU1P!SpsHB>PY`q0Me5nv5O6fs=;5IinMhtsY?QJ zh{!Pf^KkK^s3v9=Z{I<=-|n-h$WoG0MNsN8tAC4LJBXz~_{MI=7I6b^igcewE0P3O zkq@Vpt-*r`J7~CB#D8Es+p{34Tl&?I1tq(<9iiWXCM2YouFnFfMNFy1n-w-x;`?H= zXN=G#dmc=J3go z9pK$#Cb>y>vq^b(_qd7XjuwrpDCKqGo`_Fe82PiRiY{Nm!QXx^$OTQL=H=T z3PJX9a0|Zw04sBsm{-TiH=VHZJ6j^}Ctf(iN@L0yd}}VVzP)*;N-N8|a8htp>l>up ze;Z}_yq5WABc0+P2ndz0(_kC!Us2Q_Gn!^K9i??H1n-_6A^x2f;+OT-dGJ(S3gDs~ zl9~K;xWW}8G>(K?Yr)fpk!@!`mZx#=bE^jNHJxl;Gwni_0#@?|#&~4k+PQ|uu+p5^MZQHEawr$(4*tS_=#ZKO={qA#}v+6_5`5W4J z#^}%8dT(988a8i>U_sD&MR3{}r1H`OUt$Rg$B2@dt<#R)L$qkMCV^Ki?+a}-Wj|U=k-HJABaF_q~cu@B$lJ8W#a9AIdEO2+Jz z_`EuDCY64kZ!)NdMX`|H zt_`ktTwNz*GY@|9@&ag)Yv7~!vuW`Uyn z0=dI!eJ^ z20EB-f&l^dpvj>{tRCoCy@}7TOrw#zmg)}JT|^^yu&cVWoN}|a^17Z>RiamDz~=BW zw~vuE)+M7Vk454^97DC_+Gg=q<^FrVw__)pVG5?&Hh}=9C{VwMQ@0wgZQRh1G9OLkG z!G|RA9?Q9KuSLa=ii5|F3 z53|~`EY))pgpg&pq-QaU4mNVhx%NsAG2dk6O*q?Wy!!yiZBzb;ZfJfvnNqV^b?6@= zKNFZwZlE~x;mJ|_TCHk))M&}%$X(ipHB=t>&5_@6|! zXUKQH0Ak7Hg&E;=RyYCdVVN#7h#_`XlqUpiF8GmQRT%ULKD5oqX3WXi#mGxHAL>?S zOQ{**td=qlv4#VlsV&7<$H#4=kf;1uJqhut^zxh?@5aYvjZcyh7;PqN{6Ax ztKYczePcNQKcT$Qvt(Pcl>E629U@e2-7sb!Ng(SNB*)G%COh)o!kh{$6Y|Fz8W+(v zb>y=Ptv99Qp0wGjAV$c{G#Z!Ew0#4HqwKKmG+kTmv_s&2$6(-Zq)6=I+LYJk_*0q& z#`8aO{!o%3Z%GP)MwAJPp8|+M?X~NpX8#ssNO2%{YX=8097%On0iwAQY?!Grrpv#J z$TYr-TFYVztjUtYpB^b2m?j4F2BX9wkKK_x`joplg;EUU)es!43ET3``$4z$!mgIo zaA!&BmWLlJS~5g^QzmbR_rL$r;xxRFg_-CJi`5$}+P0RlOG(Nqfv~AF0i#wV* zsLMubi>2X55+X8N=|Avk2!Fn(YZ$I2>G;ZSabKlW?t6>j3-7`B;RDjy(?{v>8~8XS z>o#(v=mn41+@M?$Ak+UKJYZ2z?Nq2&dJxO6mq2QbH`eO2%36#N+&>NN_LRCZB59gBTL zDT|X)Y~uLCT)>l+r&Dj^A{u;d!-!7pL;c@c-?!OheJ@2~}`BEg|Unufd zV<9SD`%958bMH$LC$#8Huj6_j1{VTGesn@ai`2eViK@MicLNp6Q);)(&_$&Z~SGu?wCXN(&rGyR4+ z)WwNbTmk`z@EmmUo#qh3BIOSFC=MCSjfsoQQMpX+3^xCON#l2AV}_Awumqc|Dk-tZ zkZvAcRxx~1VCynA>YFU>jJ;vs&DE=5qm*kf~Bz5Mqx=_RU*@mefj zxupAL$9DX74$b#E^`)Vl^BfhMQ_2cBlKOu8>Gha|mY%oAZt#nDC0zsDU$RDh0O+Ng z!JWqxQEZNWsCsC+`269B$5+PE%>V!ZB>oF={#qah&22({JvzPDuh|B&@Q%98)8ooe;F7d3QPlhS*WlmZ1tv1GV>m-&~FZ5WDL^Z8HGsi41sr>`$zo z^hy4P)eBxzBo=)219=M`o~DfrSfd%2@jIX3p*jngLUk3U-Qf2Cj99f>MpMjPvSp{3 z^D7d08GR6^VRB`OOCC5!2aGyJiUuRhKpMOS~|B9)* z690$&{AU`A$IpBjl@$Vwo<6kFg(%+)nxY_1ctvd4{%}AO&2GRLO=ls3R670B>+@N5 zokW-aM1O<8<`L7cG1QeYVvsm`!X{ zCI&|yI%`)>6MtU3l7AI9c-9FqnDX+FQ;Gsw%P^`jRvPQR{|x;1lxD?(@z`%@r-J1Q z=X}$Bmw4~~T2%VcB?&uLSqi7{YZ@gSm0&qLj0{2qPWG>b_4_RW5yiIyrr^5%b@JYf zzP+j+2L0rrMiW1EwtlP|w4626ZJF{j`H`L$PPq)F6xQKVCy0o@n3^y~SBlfDwTj7n13s zE&t*pSm^0+;InIkX)#tUp;`i`H3;uuwJwSQZPGh`G)E(eqI-3JsqFC2W(4zJdGXgW zNt?a@T_y?Qg2MHg602NcmX{mF7cLi6-1kFyI2zHEYRdF{CVn2CujfvzPQ z=~ad=-~`QYzf9p}gqco`Pnl}6HBfp9x0Y6p(XG!Ps_(I!cDHU22dTUYU1|rfX8q_{ zbZfs9CPj}&*A@=NPugFBFf$eY6h@+`NzV}Rejgu}b8hb{KsZLa05UrLLZm4Bcyi{T#JOKR=u-{&h(2pc;06Gz~eFgMF|J(*Hai@a>xoTn4-4d^c(t1NX4Z3<06G;CIh+_>CD1L&}ImQHWUIE%!Qli}r+j0s7t%OdZdytbXV(V`1*0 z$$KgWJ9WQipeED31CnsJ*5D0-RX03yQ7mNrqD5UHNdVkqd0w*2Fp2kOU#;i;jk1_> z$}!t_rj_1EM>WiUoH3;H1b&vRC+3&im1?puN7a1EilhbsZ(-s3ne3z{O^}AuVm18! zdsjRZZ9`Udu>cnvbWTo>_8k))t)`En^4>57gGhj+KSMfEx8Mqa2`&?XGpajI$3ofS zK`QGuPQ4j_1oq2$bXr!HsDiQR%_PnPIPe44Ca+ayOAGJnxrUK86Hr#&AHQX37Rh`N zUk)Y9KVyI9zcS^f|4Ela|C-hM;*jAtzkXJ@^qI}lf*RsGmzP6uL`zm;aNU6Yr;~oG z5JwU}s}ZW2kR$Ur^Y#TMrotK?KGI%bgjmSad&jdHyFAK8i~NpRnTr;rp!9dMMXWRK z7H;76!*R&44E7$gBz*7I{?DI!WO&tJP(3c zQdQHhXW!W&2SG`FD&n$z(@XYt1Hk)DDiWMmyR$`!ewKAve&Wvor)Ov=U^nb~(f z)FOhgTVSIm*M%@3yrG`JDsOeW2Pg$@N$&$temJoAKouZHJ3;^bS-!{a;#>sW{zfn< z2aCWpZNNDzE zSX@wE>Ny_hjtHP@fK!~W7BxzQ<}?=ELk>-iCxB-cnZkab9K2kq9tvfaXjpQxPfN!X z?r7eqgDf>kWdoh3eu;s4r~XdY$^gS?%ek_G~Fo4mB;X{N& zMvCC>8jqEWs~g}XDKDoCTLD5bm4A>`=0>rF>cB3o*;DC{Zo&wmiwak9Vb$)|Drh;C zY)6JSyCM1fE7LWC6{XQ~+ICi{eM{E#^Q~3ZruCS$qj>%CvZwmExwBeR$c`sW#^!O^ z87d(2uKm3=ef^K7i@2S$2;Z;!1?ykjFMs9S|8>7W#iNo~WE4*k*3gDGipV1q1;vua zi}}SMPc4VAo-fRpk?^rUI=Inqcz=$A9JiB8d>p5r9(kH&Z=PM3#4hH@c5L*Y)l=UW6Vk}idpezm=FCb{2vOAlr{n`D{T~wRPv`L z&RQhFT5YKtN5u>!9^ja?nvdP!dlBv;d|q-J?>@3r7#C1AFg9IbSutA!(ME3KnQ;t< zzh0y^=$Yxhr>!&1+;QlVk$jLJNQ%9i$!gey0(|wm=*^BsaL3qXzG1r8Tg{T}tG#ID zEn46paW0ay*dL2Bxkr{)*1~?usQe(aycrsR%sJU zF+&`gyJ=}m3;UbP(54v2=n1;?lspD^PRk>9rRPhS3#TR#8dw4aGZ8LbpRXmNdPe!= z5)<`dvm987tS7%ztexei#0v`ftGCBOlOQ;UpDSw0HL#+*tdrnmGQ6SbR-ivO&3VZV zimI2?(ha8uBfG99tLtjU@~#)84F#2JAlymqf=&CuRu@g$i99kHD#`gWFdV&OlUVa) zhL8OZ#uuw!%3ZN=X2x^JMbfREI3VjAf___WFQTG5NUgZCR?Zd@3%hgcutq)bJF^&N zP{NH0N8jGwym5q@Mg{l32(E*yvyQzwlIpub-088^m!I41`+AbJJU~m;?hLx8V*S$W z3Vo&zV4!$Xm;`yl*Zk!)ueLzmCRk49otTd3{@`&V{CNzxXXkmn)^Rf{uYaq*wOuEN_(>36hRj#rQ+78Sj!TxYDQR z=KyYnnnMwc)+#A`NqO+8@gjmMcNH)r9HX|Z!p_t@W>fB|^SS$170l16XZ7IG>o?KW zZZ0XJMy*_5_5wlc$NFFE$enur3hljD{8>M81B_GGK;E12DTeL$AVAg81O2IwBP%d9 zL`qDJ3lrs4b;?BA1UY^258~3aPpcS5uPN1nF-qi3ORIr~uTO=GxXORfC&s0kMm|t> z7SQg>{dTjl;wm*(0{H|O4B4u5){n1 zb;_u0O>ePEe9gS?jw{T?Ig@dgs~_NONr~FnOQ;!W{Qz0KovZqrdb!+WrPiK91XWjM z9_NHqS!WDtU`2R|(KlfK;?6KShjDN3%^u{A~&odQ{O5Pt%S7 z$#OxlG|~QO+75r8?pI27KWtEPPHi~1x+Wt!SfOM?%)<|Hwh(lv^@_qLcHu1UkrtGW zpp*dTeg7y0s;{)n&YQ%ud1)3o^vcnZ`5~UnH-ch0yUdZ?tBPIago$v*mG{}MBoCSC zEv%rQ1U7u21)TyRO4>gJ_cJ!K(l=5tM(V|iur#sU0?)JVj%m4Yq6-F8dD;~j7i_ss zD^mxo?VK_~a8VsoV4EuU6N2m+s|*7Z$bMlHY4|fqW_9M2K+d)LzvD`M#U*^tn##Xc zGk#Fzu-lY*33HeMnFkX3ID_e(PeO=p%fqTG&Qe>y~JdZ1K%D zJBELuq`{%xJC-usO=EccR9j#n@%g3=i)W0ak8}73I@^9JU(xt_iL{{p5kRy26+lle z{%;)qSAzpxF7AsT{XWPDc0{ngd?f7A_~SgaY>12^&rMd~-wfGCC$&B&+3tcea#Rr|kX}@f8ph|B1-9yUqgz zK!1P&E!nGs^?sO8DFeC}@$4@L zvOdmrq@$$1bg!N=KN7p$6`O_l& zrkHZSX?ic?I{eXW->}td0;0J1G$Ze3l{z-Q?2(J_7>aq&l%v&aA(>9=y2`Gr=jE+` z>HVeD%dt7_v^>VL)oaLsi3vH!$^O}i0icQ33NB?DKDX?B7s{yn?6de~1BFMo!WZqy z>-5#p*^5WcThWQfru=i5iZTaItMO%7XYX43k2UtC1+EEBUxFq7bLqhHS0){`FfMcU zm6$#A{to%c7iTyuQxEL-6EY9=7o;*c%p|zxSN0ZIOizzYUKcIgu5#ia#&o2~(Zfsj z96$Kh!(Ji5l#K1vdgvN_3Zc+@q!9-#j(SZZ>jQs6=&x8jEuFg&vHU>|`kEly3)i^9cdI`ZhThJUH;X*$z9Pt@?Nf zp6+`FGJ3w%m_92XZ_erUi196_d3b25-JxQ*u-@#Oxp^7pNjnYkaJC@!qIC_x_4o5&GS5 zL+QfVIgMeXDeEqm*0nOfAVz-qNY_+f2@eaePq-TUN^-YT(4BU!qfy+(k}=w{%KU(b z#rpUIl7hiU>sozDne{KE{42Ij`id6*!q$UdfT{aOq3@D&m$D7AmRKkzb8w=%=)e-K zHq`C~P9#DI-@sw~?b)hp_xu#8BRIzp^tIWKAFS;zOQutr@;}Bf$&x^SF?#^y%#WR1 zMJ+&9Cf(mJZ&n&IK-b|}ka(cyr;>sFfWF?_$6;tj+j<=!z-l+fSZ=2F6Ma}!BLWe=6#YZx8_%OT@I>XTCWi< z&~{Xy%QCjl&fc$(T4ff`CH#wL)AD5Ig!`(1JR0%mS{3u4M^)Et7w24RoE%P$FiB(O z;p!}GQLy+)Vj4AM!#7?BnhRZYLy34pTb<4(1E?(_`H>4@K>@_TYMpK!@mYo;c5{E| zpX{i{?k{4@*!$lu%jk<{s(I>r{=4LpRR*M5{X(g9|3bpQbMAz3NPju_;K%R6viQRs zEgbw26yzGjq%`@`Vr}ru#P-JnDe%$O4!Aq}J(G>nIh5A)(R)0jCvIGB#7z_7p7G0r z7Hwzc7Fo!fR5jzK&m-#wv#jsp?q>x4bpGKR)O%AY(&)-F@kyn*xN*7Us06)~(T(7{ zPqgr%@Pknx{BL;-(N~R9gG8nf2VY|lhWMHBk`E$gk^4krE)cxermo^B7CY4FP_A|R z>OQpYR07ag4gEuJ%-skCmHs9=#!q9@FTIM8A3=J&96mJkCIMajPAQ*?-G88GzXvZ} zmaijE_0Q;;<*(@3`~UF;HfTBHvkS#(Ur7wn6iC4fLXlyZ%rwiC@3yBc=1Hd9y|X*< zj*a4J*as&bx5544yNq^%YRGMu+RJ0wInL#UPQ31tXy}tyh81F=+AL31ewBO1Rg^1B zPe+3-zzG4ok)GlcJTDrmi9P?su!pujbpk2~a#tyoRj44#%23ls7Su9rF8c#{|qrLzL9q4uW zgg5Kot0c5V*p#c=m34#}mQFVPwm`7RQyxfql7v^r884I_qM zhEP*^BIC~xRj{6Tp;4{)h+%<{6%MjBUJ4^wB~I`1+dP;B=^TLio{$$1MtheK&EJJ4 zNy&jGLqrr9;Z{vKe?POPQSCr0+_0f-Z~G!u^qleh;Yb*@5utpU;F!5P?Jjqy=^lE{ z$yE{xXP`}^M+&3F*!(*j#vmP|wfn~eY4KUFMi29NwW86ltq@?Mih##mD|Yu6H?VJ- zZp`{{JL<#sZ0K(9FI?bweP%?;Tlb&5cYAOCkZo#>$6C~IHKjkNOa@IPm_DaWs*U?^ zjk;db-v3yi=_~HM@AxG)$iEQ#ub4aNi)elsazbCr#d>m|FvybHfA9#Q@gvBUl?uf1 z)2d1Djf5doJw0)PNC3=V9&d2Da%YoL5E2O9f%<73ITAraNEBF>LHBeZD2cG z!7HuHlRk7Lc~mFrg@o^kw^=C-Tqs@Rpu}N2PhsRb!0jY2&>9y0@h1kMwfj!QuFzI9 zP}tS%aC^O^J)*ss8#?d`5$=i>a_(JYMELheaQ@h*9ifaM2b^{V%s}(NuA(qu^CASF z+Gmg%v~{(^v9zv0t-638L0e5?#gI`KY|FdDi@>e#U^?w@N zACY|kG@gCW#~DSM#IY1X&=gq+*y=|7h?}uXVq1nW3Yis_0NCQF58uAxgS>fUV{#b! z)QsbeEd9OEqyr0tJ3=PQYqwZ~toQ5$wv@fC1I%gGc+=5yzXe#7LMnBjQ$<9>w?90e zQXteNE5MT=UL&5s+>i>sXS~A&WVi7gY&So%;2XdCKf;6pv-{@tKzl0DEGA>2-Y*f zF0Ot$tN;_~4#f5hi%l+ou-MWhf||geKA#d001vZk-ugO}1!LaKRshE#Q_(I%gPc_m z?~qt6;jb5}wUZ__nNU9&g2yQ#cB99_`P43PUA;n_KnDc9B*lyGvbI)Jq|@f_XkZn5 zSIlH6Zq+#de#E7%y;{O`H@~DNHkbnBfh1|i!;uDF^P6?qH8m`fww@NfuI($V+mdHk zP5Pl1#FDk;s&(XU4i-H*jLlnvq`4k`LVVzzEFVKV+pzkr=yUUxIS>S``pkiT7M1AYk3hKbx_ob}FK&(h&z2?2U%B;#;=0T_ zAF|gm)f5=Bd1p5XKCXh0L^-RP3`jU(AnNf?^|~#V;j>&NFRx|sD11`1`#jnE1nbF( zG>!(YuuHBi9e7|^s5RIF4FQ?8Tsiu8jr=)C$T)~r7Q0S`)G1y0@WUPeYE?Gb#>BaoyXY-lJ@F z7fVc3_fT-+ZZL3YrO@^eqj8Wni#kfiF=LSfUdv(59zO7lOD2|fGO3A5X8z{BGK6(6 z6a?ko8CdEV+fZ4#xNhc>YuU=^$pY}iuq(s@f6wneB(?oMs zCtar)cSY`C_NlfErgnKry8c;r#$N z2#XncMWl6frnRtm!c={F^SxoTa;3_pC+6weWuDh_AjjrFRFRc(fm#%}x^%d0@8y)z;F9)j4`>@H)Sd&r01$D&$Wf z{sXDJKt!SJJgFxOl+p}y$XG*p3&S^P@(=h~l#|GjJ$6?2+AOQ!vv24rIRYOeal81D z*_)L)WB7=x9iG*ID8xHIB@+);_q&hL%B+O2v3Ssb@s!0XN+2-cj@VM+Hi3V&SAK0p z7^s~{U`5)t*nEoB)TLou#Ty>V*siPied7FfRL^o7j4bujW5hKdwA~z?wXeLfXxV-I1D|-Qkj%N+ImB!h?wLOipf8--GS(qZWz8iu`s-U?5zH45pkiuZc`uz@4a1f z0;d+zJ%eV_2nRfzLhKTnWlze5DU6e`Vg;`DgAB`@$ETyNj^RmwnM=c*Og1^My!~{c z?6kQ3YKut(Jf94FqF~1vSG4RnWJhw_Gt~HoxQ~rjhgjG5tm843P>j-F=8o}NU}h5J zDiOaiwO&G8{lNr**S$uM8p!wDb`&WXm@UZ*JxCmrZs-?ba$mCxnTHMj?@39Ca?+w? zayn;9#n=(|Mj8orR(%rxG+rMCf^$14M=ABi=6XyIVfS3GYksl5eg!#a?-2S%rZL(isxFo!ziFwh7(>%>|`1|gG0UBDxDfW&UezQPQp z-JduU#+fgteJT3U@F&!f-I*dab0;lVnB>*A@IweobD}a5*laOa=~=ln`5cfURv#rSzO= zR5((p;?xzDOtElqKJ7_{o_P(}Pl)(4XPhu2j!5w7EWP4g_`ml688xL)zw*`a>gsMR zG!qOW<|JmkuMYJQdH^}@`;l{{5Qz*Bbas6SM0mph(>!*D%uO-H`~=lNK4EM#Gm|q7 zb;=THd{_+WBNjB#9|vBQZ{%NAK|57`tszI)%=#us3cS>o)-^?hB6b?Xwh54#o+_22*D5qz#?H2wG92plFc~j*;5USXO;ji$d6*J3-MGnzXw- z!>B5KqNgJ@LoRdY>T=QewfitqgB0CuzuW79=f@Hu_=lNi-b1I}3z1zuy>b@kvs}Eh zk!oW;{Da-^@MIK75Ou4 z;_#Q~HFvYN^6x+r?z4w9tx5X|pS*D0isZtI zfw9{{Ft{a8=eLd1T3MWkYpano7{V5?2verdRYOt+4@TT+fM9sc?FI4w6n|&*Pr1cmyi?HPcX&4aLY~ zzc?^WZb7fiY9L&K9M*zVQfs}7x6xA-^a)gMUWSbn5bwdr8yIm8l3!T}Kj&Ue;0?$_qk~NYQvW1V@mf8Zc!GA6|2lcM@;V za6CnsoWP8<@x643S|{xftYNxF?KUq*Wtz3NM{0O)D*UzrqJH>N9GU2CD&YV71Gyv4 ze$hNLhbix>TM6iyS!?;>n+dffCeaG@l9Q(q#1K^l4xVL=1ZsEGgeE-edMv#nnZ|`) zQS$O7=IJMQI8lM?d~bOY`Us*M47VrH?@dh!aFHfjMb$`3-D@irTH(SzF=vBJGUeEI zXFCg55Y~Iuo6~fQM@-3|HkTeYLb2b3mu_V*pn}OO@3s+b$X3{KnA2%O7p^#`r$+Kk zqPWsd-_vn`#mhamDD8E9U;kMC7Y4e?rutQM{EI!Wtba8vvJyuB)0h3#nFra$cUI?u zmle1W(k`wFUJM+Ut^`l#263^j3gISiC9s)5d;5Mn&08?(0kvZ<6Lh@QW>#{e;G5q$ zQm$5V6y@qHZvql-QZ7(l8Gc?G(JoXI=BQiY^jVb^0ul!c3XjK@p(5>}x+suz_fyL} z&kJ&lCh@})lpvUCheD(@sQ)vn6^KXxc9C|y1E%+fcx(2D@GJh++O(hA1%&Y&mV89E zQ~Rd^CJ3iI*nNRQVkzM$_3jc9z0|W<`Ya>Q3G*dW1Z+OweBG#N@j~#CpQHzR=DzNC zI)-q6PKGUyrUnvo6%v3@;?^o{)4@xDwzxwR(9uAO$ukM%7j!tQXPo=Xd7k0jX|4Gy zCvS8&OPYz(IY!hi#`DgurxI(H?GU{_Zjttpe0;6E?Cx#k^we7H(PmfTWSWM=;d>Qy zd&Xa`{b@i)?oNoN22X!1+p3A7ct`&FDbf7rW*XMNIu=EV|2yvg{59^c81Er3St7g} zWL;>liBIGIqnMOcJ{(zWtm@^y$mlr^9|Fcn6k=~StIhT3;{joxaXg-Qa2a@$rliy# z)Cpt_PBuzj8zz~HkC#{cQaUP7Uc@z&%T&k>2&G)>^Owxp5`h3-^`$5!4P`hr0iB37 zph1Ci#04pj4t;FtV5QrDjLol}gqKjo=KP+Poz_h|hQ!-tulvbVHXMK;SUe)Puj+l3 zM(6(ELHO=XUHNihJhJx%}I^#Hn-mEBiw4_ZNYP;%N zYgYgsDhJU7!~{M(WjvO=;=n}5pY|9xF&xB z+@YHY5kqv0Je(-2u#6=pXHAeo5x51;CvRr!f|(xZlMSlPeB)nDlEslocm)z_)Rs_8 zCjPW9bhZ~77uk=(aM@(KC?MWs6 z#$9juN`R9o7hg;bn*^L#HoM}XOkkc|ujQxWd^>XmEy)3gs}JG=CJkJYI4idqyma86 zV}MJR+H}nd<6iA`;n`)NwIf%9n+Hrp<^Et6;v&L*mz=h+W;2_zA@+!c)KAWfx--fJ z#&rj%F}^t%lt{|n?h)Z(Jur;!-ajW7X-FPEzrMvz0C>s24k)FKbMZ$aNZhz?&VO31 z!T*^~vi{XZu};{u_*w(}pKSCyKuu!F`pWTQUS!BkJ~Ro;WMhNzD86KcQJaxC{mB>Jp?YeNyf^>cn{K{!7B?KZW55$kb!AVqy;td1g16VEX8`mX2?4U5 z9qpP%;dN0n%`0lg8LIUh1VBRtF%HejiCj51oJNKmMkfvk$zYBF#`il&e@4()JRL1L z$JQKJlB7(BIDa;H4<`&}wZ>q0r>`zcrdaV{^F9STpiLQJi@xydczX_{b*|r0^<0O# z%+zD%ndO9KeWIBwDraDu1qF^#&6JsF+B5!kZ-;l#&8kt5_dZT@#p?A$3iLya9hx<- zYHUyQ3r{9Xs)dzi#h(?CP@DaHC{*OfWpCmkI?w25)?P<-f#gCi^s?7-UT9GYdjxCX zglZyhb%w?zZcvw@=|oQtV1(oa+?od#8wMBcm+K_oPi2#LD2;0f&XY96*v2ZPKDZa` zSyZOKp)sUwo)mD=WUevGCBZlyMuUNX!+LJMZE@Jnf9f+5s&@xbk{;mvH!H}ViuB+A zQW@-DJOqC=f4UMjqu2hU@>lq||Cr1ke9NhD?x&`l#H<9B5&@FbQhy!Ir=0x7fUExs z34Xh)9o8hr_I28*U{h}@N#Y^UO)@@R(oE9=%+0I@StlFpBvoFkWn^-lu*7ec@&ZLO zD($z#OpkfEAjbG$4U>tq)oLPw}e#!LAcvz;V>6S)8 zO{TaYhSX%p-0kvbQt*heIq5@`)j$@~;1ZQ`7lm8o_W9BZT1MuO8vU2iYjn|?bx9hz z3M~EN093fZsW^ie#?+%zWrnn$kcGl9ct%lPcK){6$_W;Ij1pq@a#>F93u;In;epWB5i@W#O6<&=-~G_t^ExOK+w;BRhcUfbOHKDw)EH z*DTSLjlhKG&t2)zMp0^8feDYFd*wfU|NG>KM={C$$0-;67x%+ot*WR5?f)#g_|G`e zGf=`^N0(AsXsvoNx#0-AC7e3Fq{$rWSq)pXHw#WB8%*M{cf6wm<8(@*3BsgV=B9^H zg;2+0xd5#=0X(Lj*m+DnEhQuDh(Ug&d?B!)19g)&X0_$5I}@)*zFFw8w0LQ44(vX` zFAPj1r!x zxrHMdhfq3FIsc>H_CZiTJQ4Nt+87B{qkLwd)x7t_mv7F$$flM6jm$@G{j!h77aD|H zPqeH0^vw?FYg!TBB9J`6nUzCi8mJvlJZG@fbc2D=K5C&Y#D8}jAwrA+wphY1wT?H);*hX zkW43c>$UEi#eqs@(!8^bhAzCEM+4gpuL(6tR?QxrEAu>=3|rT_|8N`Qx7!h6zN$d; zfAR7El^ty=O4_dR!FS(MJ%EEL^rT2906oL~lGhlU&t({#0p-}L4pCLjQ zi(GJ!jaNJ$c}`5+S{78kRYEXNys77m;?v#$A{A-h|q9Nvk*hm z9GD;F4|1az7c=d&$&-iZR=zaz`+xCg1&!Q5>oOtpAxMHPxdLu*0YsI21p2R#pfDND#F^PSF(2BB!famfOHP2mvirDNETr9MZjMq`W*}BxgxK|#MJIS z#LMAzpc~fcTN4M3m>U{;rNs7<3?FUPJp>Bw-vW)i0>nTA@Q0 z;g272z8b;1AM~h_PDw>4euvADoWz+<$@*m_{N{(mF-*anYrXjZDf<93I37*8?F-L_ zp#gQZKYB8yN%9yYyDcv;PjPUE`5k@A7B8xL3PxgZ$(cQ*(Pn0Yw7~Xp-NH9SD@Ttv zyMrOI3+t{*tW90f*?TCKY+nE3K~uEg};Fu>z5pW|3Z$xx=}?5y0TxM z^WgR~@;iVIVuMhDynt9*kk0DTnhU;w zddL$cpFvq6%7#FnL}6niwgs~r!W)d*ci|-FD;Tw~qg<>2fBj?kDl&0oU>)xo3`agl z$TCY8_5Mg(0Q*x!J1>8|wnZxqCMxvu6|lr2W~&GXF=8&^mjS3jSc1Z|7r+B8}c!DfKf}4Bv>FFL6Y$-6!#)u7B`p=eQBC;Q$9U z(_+O=15rX*u9%PX&1NB%lfy5U>HCyYL5@Qy+&#vCJ%{{t4 z?;KIoEPyE|uvBF|uXM&SAk(sK=@=t89SBvJ+C0OF#|@rZmqpzpt#CfM5%+8;3F`vg z$%PC3=hjc+epN??FEx$-g_?hLda@R}{_}Bx{qB<8MFV&yvfryS{sBRNE~l(KQV5C{ zNbHcw=kAeTK2t$od=r1+!|{1_emDtKBoUflc*sHIvflLdbtP4cA8Xj=5@c3hI^BLt zcam$Wo;79Z#ANix^63BR(flR?=>wSmj>=lt0=w1z-NC4qx(Xo}eo76v4HFk85IPzr zeVjM|7rG2R3jMM8x$y#C0XSR;mC+>~sv_eUEtRLx;pH8|&OlX>8zfnjAH)t0_Iq=0OwK6UnJbV5 zZbGyMDdzgUr#se^a#a4t+!yBTQkfMFDYjRea?gDP22DTYmkS75mT$dx4`m6a9lJd@ z1cGjdELEjpKzzHiz5i&Tn(6oY!TVJQdH(a1!Qbz=uPK9#|9{E=C|zJ7C?5C1dG8gZ z4q=!+T#tAbu>rYEK_T;E+4Z1Rr;2?GaFB<_Zfe4g)>H(JZ5t35SRM0d4{mBg&BC0^ z6s!!|lN(eO;5Bb!<@0%cfJ&*5@OK`WN=v<|X+c@j9l1Cr0COPG+f&XDoBru1paJDRgP8}Oii{6YXLs9R= z6SM+ zA@uOX-y3}9X<*V0gkhK(=$Lreh&zfUnKyZvKXf|6y;XP%Z+E}NRTzR{x#(ADc(`RF zJTX;FxT@_>4=ZA{0l1j3A*Y^f&3}`SEAZPI9FFp6X-wLC(2)>>s8uDL4YP} ze?yGKwBpYT>(ufmqMQaIJk>P+p)J6|nHd)J92nv*qI91yG*fh@4us-IY@u&+#^9;xU)KAw2DS&zi2t9)gDHdJu&Wo9$YAO>$a%UV}=te@kC zg!hWz85-kwckUhQ*T^a^i-@^ixwY~|Z|+=adyuh_{n2;-dO%rO3q*>w;M_6WS6rm8 z1;7O_4-e{N--MAv?%)GxzN758OR%?nC0N%cB{*ClmAdzreqh#(b`Bk^upW@2qjRcj z#MDX6o4M`-07;C;yYjN?sfB3YT4{GsJ0cIeC!go}Dj!`9MNfz!3u$&iB19a6&bjsY zwdV-9Eeixju&fyF8c`P-B%Rh0+is#woN%w90SHfie}ALzkF3WSU#to=*VdJ}FIuwmF!;na8&Ka?*8@2P|FYXh?c9`U^H#YriQf%JfrD z2G&%DpiZ}}&Ts$bmKNkKZ8^YIQV8gWz?bgI(ov!jL^sPTqtyPsJ**DzSy*Nl7Iq0X%FxkdF8z`~@9lL&`V-by`E1OGg zmr>13h**B+#4JHFRt|B8NOS2)`uEW^*0(ByO9ME82;`0A7_D?~Uu3Zx-T3xnnTV1wob*am?*=2Rvwr$(CZQJ&){^Ok3 z@vYd=YhBKpdGY3WlsPg-?}Gqj5?a$yV?G)!? zb}|yW!q=u6eqcB9I|fD~EMngD|~c!Vw-B`SYLs&i3!@pn=Q0OaCNfHHx%RtiS4_?%yBotbgVHzXJXK9m1FF6>Yqqi+ zo~+Sj(~HxKd>U3>Gw>`Ze2j9iNAxXFyfb4zO(bhW(rAdr; zFsdY2l#+nJLOnpvn0x(DF--6vrBfF5nL{&A4b~_p=|&=q zUoXBzmP=TA3z+Mq#eTVAck{@P_hcHFI3!Uf4MSda*36#%w1t1E>+GyE<^3O?ldztAVg%a}jSqkjUML!Q0AcKnG3IVA{*)}kW#k`nQ%A*O){>{b*nZNCt2 zHcq;Uf%{BnbGmAV(HcUT z--s5_IQTA0|wq^g>5Mw$1~h`37&J(Yp#f$d29om z$ggXt1xydr3s-gg0!1m)p>lP0dIQsM2(gko;OV(Z%fZ+r**mwGowzM^YZuHOrNJ+i z8ml-U;Wa7BpyS~dvwXh-PrZ}5-hLi0hlCK~s@1*f~PS&-#2RA45ECPLFv%3pZXUGFR{Aa5F2&{=^_!nG@ z|K4QJ`d2nR;Qvzn|F5x|$0s)*Nn5+&bVZY}_3>re z<)*G$S#FTAzp$-c__V#6fr;bdkIbSO5h~lL0`sqY5>aZyu-!S`5=(3r6p1-N50mvC zbT8TkwK2UBoK**9RabNbzi)!2ZL3hsFEZen?@GJ=16()r2QB8^>R^`sIKelADi^|W zh!gEcsAb^O$uCO~KZvleY%$$B+L9BAE(2JI4Uo>31z2k8L7iGhxvS;SkSc%PahAV~ z_(r2SGNqA7w_UjZgoOno;J3H0ULImJcfT2+-X7bPO^=40LdA5ZI(@-h_h=Ft0lciX zB^V=1B9bDMLH`&;Z7zo>o)Y{(R>t=Ve__b4j@+g{E>1^;)d2`Dsr81g3uo#vo6T)d zsh@tez45F_Zni^@I~>zy1qj{Qt1A z{*_A)|9=xIXjg?XuStTxrmk)A#DFK%f`<`i<(o>Wl7fUVWOdRR5<|zc2|pfgxURdB z*!GGfQh%RulC>X+2yM<1`1b2j{qn|3>;+>&ha ze5(bFpf8lie+QEmHXYz;mTv_g;gUk)Q(2)rKjvmh9julC)}WMrk{-~&y@?d*C z0r5=+R^rS>cZN=k3yXs;_08Z#*>e%V&1dTKNjl zO+7X_jRzAe3U)VO%>5RC+7YK=KBJS+dl8m7?5#zI2D`Bmd|Jk%JM4VwbLgpEbJyEV zM@tK_cnlAxZa4kJ&6W0Pccm%UErWo~btj!7=1%SvWyjAIF;^>$GmS*Nr$37o5+G|1)2%Tj02-QD7kXus@FpP=L8-r6CEBTjD9Z&UVnuql<+hb3s5CCXcyVyZe#3f#lD74?Mtk<} zQl3cl`wAZ6?=N}lh3ub-uRc0G*ghFyFMM0^UhZ($u0C6k9bxn(;%2*O80{|cXLR9{ zaQ+q**zjEoQT+z=U;AceRJZq zfjIP4m^?1HXbzaGi91?O0xfuxeuxv{+8OJ0Jk3)Kg!nFnf22Eqk)MGrN0}hX%dbZK zbkxXV2{hqqMzt}B#FY#evX_fR z)6hYMt;$S!2$Uf7dx6jTAT*c6hq2f!6U5Y;UApX0cr}w)Xn_5qUF#3L3%;dbGA^0e z=uWHh8E+@KS4Z|w-{Ff_%{;a=9-MUXS3svMiifLXLSFbb%+dytMq!W{)_o+hJ;p?d z+|AO{T#CcA8~txar*^G6)5RHaqN*+&9GuPvX_@yEx#qB7Ro({E9DJxL>T1Vf=W?^h zGb!(NS%a#I+WZo|9PMsTJCr|ZAUc~y>FCG@u2UnxMTX!nR|{9_c(SYxQo#|(wB2LJ zmU3jF&3^P~p%f|2tl)?-!AhV<h9b&nKzEg6{252nm1b5Fo;Y z4?88hEEy{Ueo_xT)3c*b$#D(6q@vF|e|hW7O# zp;uej9$Zn}!~w58nH-e7g$-M1DdOPbsEnDVe*>@C>lZbqPYKiC@CT={g=fXrGYaOi zqkXVNQ}3*H-tCh=E01G!=$!5xThfyzl*y#5FR{g#g zCa_4@9k$d+gw279w1;4kc@ism^^ZOkhB8B*u`hVK|HE4SD>ELTxNf#ekKA#ocmxqb zEm_kx6PlNgQ#LB4J|Kb4uQ-8-u*?vHsC?8b%ksTGG`!k6tfTYx_^EzLFU?+tQKS+H zIjsUFi(AS21HCk&$ce?fLN0_wQmr2>y`Izc?(}iqeAG}i4|viRty>&rBW&GAG#`e5 z@MpHnZ}8|^cbNn6OIe;KMOX3aqa$&VX7o(_8Rad9Hx>pyl;0f?NWK#9fMT5G?^dFb_Y)o(thF&asxQ-{ruN23=wu8dq>PmXxL>yDiB7Oh-LEJZCgNgM z?29N7%l;YfAmN6m_EBEuM+5WZ36M}roldD^Pj@N^g4a;0HyWE}J5z3C-JKS^4{KfQ zqc5>~|5ojpwGR0VKvLoe-m>DXs@;h;K1o>-`zZ3u?ePw&oWI-vLVK!rIBE6rns!vA z!#=!;qn#fL=~odn&ZQyPv-=an`Zdfi9#u4}pVOtE|9hwlUPK8hewB#(e<+c^)9rE6 zf9Upr$Fv@>tf5Znnmo-eSm02FAK=o(^I<7V3A=o5Zh*w)lHwrCozDmD7?FpMba6%k zlq2&fM9&k;QK#OOa`1M6Y z-l8T{PaOip0tW5lR;T$Qhz?g(Ebw;tBo@`=79eEp!UBe!=hiX3DyJ}EkZVV%_&=m{ zjsXOI;fKw$Vek#<`9=YOi^mCsd>}{aG>l_z5HOvV`bI7!_4~=~e3Bw_!}}w?`z4u- zZL0w1-gIRtRej4v9|T^=+)aZ*U^KWCa5>CfV~$*1{_#ltNa50>IN+7h2VH_a&Y>!p z0M7xXo&P!@m2djXPO^UUXc`99(B8lNFw?buG_-5%Q~S{}=lM z)DR#R9XBV87gHzgw3@e)2QCLJ+&vUF4&(TZf`oZ0ax>dtI7U!-y#oate(3;vVk~)d zJMr!(JaJe6TZopf64npX;Dwr~Bjv%!TEx4L0_U_>%{6Ml0z$ z&hW+hc1VJhkasxMOM6E2!Y}IHDmbv$c5P&cQUG9ek9vPjV}1Hi>Y9--wKOLjD5C zoJ_CofPXoF{}r~uJG2Thq{sfuPr6N=7>l>(0xb}u4YXYAtA)9$hNuV*p5r`loBK`3Pu0B5A(ry?XgX?=+{e9F3902 z2GJLpuFOZ1sHrI0#^fAV{5Ar<9KZzNPy(fMYmpH^!>$!>_Y_;I5VopDRhCx1?uv~EdmGT`S~ed&Z1m<| zaWx`8)V_L&$=XTZiMzQcijtf+#WDw;@s1@|N$(wDU#e2XjbItU}@QW06BET?`^F_DMZ+{ofj zv)Li5A%Wi^H?KtE2Uojl3?(XYFUy*=%U9`e>y4Vq%zAAqHcwIxzoyxy4^m~wB@;1y z0_a9tF1U+;j_C^v{D|IG>T)s^s{YMa6HfaLLE}nDFzZ)q#0K-Hrl?mjlL=xvQR4$m z+{PT_FZ2}Kiwnu3GO0v3&gZRB09|^D>zz7W(_}#Ptf*v)@*2e=`k>=6IZTpUDIY}m zb)Lt3M&L|OfgMMW{cl|e@G;c14~$1tvOM&MNwn17(fFoF+vN8%e*6^^6t(gq3glsz zCM_Y~r3uH5WT|s3Zru%aF+uH_8E`}M6RaegBDH>fCz+yjk7OJaM-y8~)t&I3`Hb}| z-Czo0wn861vVo!;9Z|`MS8lj_D;HVGsPgh+%!` zP!s$O!Y7c}Qr}_Ub*pMC$ENG9dPDR)b1_B1d~S;f)>^px{&5VRD#BW$*v~=*Q@1}v znpKA)l}Wo9t2O66F(RLT^6M_kuXc)_DFQB;Yh*-VURH!O4UX*+ocr$ZEZEMbP%Xy) z`s0pK(TXhYfyk;XNhAoFa(*5lyYgtxPmzf7c`L8Ml=8T#Dz@m|ovlk5#ex_fzYJUB z*g)qM!1Dxj7r`s$t0O4Q%R{#mk0Q7S{^(=-=;Pt~1XFY53{Yvw{H|mAW!zO;E=QH1 zw2qp=Sr-mM8RY{B=lGWaqxAcBr3z4xWyuI1K_Y0rKTgK2bn~|xGsTn_X*V}4VQ_QW zi(Iy5o)4PbCo+8WU%?LYd6_e}n{hBvFcw9{cQ2Ih?>$fd2v=bht82>rIzJ=+{rqJ6 zt0GY!qiH?+r)BIJrNciI#;Y$FW<{7agwkIkTTv%vYR|z~+TUE%X-ugOFEW-zapk*d z^VUR6<|EgotzCUnx2j#5VO%C6lQyEmdp}5R!;$m8@8|Q$Ni@E=to!dCtB^u5O5sQ; z!EYkT%w4nmVfS;+``Fm6syzWF(eB-=EW-1ex%-hwA>suOX+uGAaUvCK8yr6q8;X>R zAcUtzvR9TtDQjmn;;O}q!sbu-NEB!h33r2NK`jZ&<9WQ%rRfPAw3{>CxXVj<7g*%g zdImUDN*uX1m2u-XFT=Flf}R>cg{FS)VHK{3*hKR9+*WziGTKn8y;=>VBtVpsHZ5)I z_yx@TtjBl=DTa0)U2LErK*cbaptGM`bcD`01qcsc?UiTS=iIj+7)}o*f}yl53vsW1 zG3fw!9DF^V>cmbg#6WAClr_e) zd(q~Z{|6nyjB33={Du7Ue?b1PnnQY=rg`uGX;D;fKvbSW;f=Xyt(dJ?`C;3L-GZ~^ z0|s}SbYW9$E9xbQJ-1i56N4A;Q2PDs^61v!H~Mo3q<;Qu^bSjAb8I-#aI~05(;wq5 zQ0s52#}I88Q^2~|@bB&`@4>45-}7sSGTK5HgiIWq2Pjs&!jvCPuBK9|x_&?+U89eE zc0YYrEu+s*+YE3FVqv>n2{1O!5Fb8;{^an);={#6`}Mxo-AxTCjszCn4Bd!B?6^f3 zC|4?2)KZ3;!3I3(c+()52dj?4KGQ+3o*+od-V`Sc+s1)jsXB12*L6~gC~(rJFyCy( zfSO`dEMz5&HVWW*mRjwH`rc&Iw$%7sJQEY6+ncnGx3o*)o$)B6y@pj1H_E(|cJof(=ru>S03z$VLgO7agt1M?aUsH6fK%n$vmLAv~ zXVo!Ax$NcY6hL?RYO%F_xs+{&eRJ$7jO@#Kd0eMXvuMt$iT@0{Qk2%@e{9LGAU@|2hS5pH*dkbi+p9(8xBR=TBQ|bT*H4*}?5O!UjrRYKi-8-=&B4Fw( zM6F+cPPby;BQ`ALqeV&)^Ii_i*@tg%&rU|{|6{9>XIeS#TH4x>kG@Mz- zb$HvrGj43qaRB+yu#aUH7dO4=3-iX^1v9*s<(*WRa2Gm(*v7HFQUnJPJU+dFM@(L& z0h%jgubQmi+2$=DO*j@=UcFlFd{$l1i28W&d$5U8^kyy}rhGZ#w4s#2BUQFtfa2yI z)*jZXul^VB5x9ExE}p|#XZT^LlvjjCCWzJS>lxf2o!@2q>vt|WuD&>0T{&>udETcv z#B+v1DDLP_8j$inJED`G@ECTxWX**nXa9XI)0t+?Dq0~Z!<*99X@aaJ6RHFCRkRk` z2ATkp9o6X~di$_qnGnx8{>E0n-!ddp4z4^RUQFb*aWg8f>!sH&UNyh*K8m*kOO?0| z>eOP(6@o$aAHB#w+2S_;x448dV@->`*7e+fSl54*HNs=pW&Y13@Ta(hZ86Cxfw z^4j?{DSb*HvkB4*7GB`UzB%^y?xNP{rN-RRCQLdTO}Nw~af5WTMd+j6FoJ@h>ZBKo znW!2uo91RCOJx17=dTY?**>|kty<&d{swb9lrK)s~#11VEJ?gJ{qDNm0Nxrel&JA9@8{@ zQ-y#6Q~K-tm>~PMIE{hihX-u8ZW-7O4jW7dUhprF09yfxv+Lkg(Vr9AX4}}}aw4DE zmUxY&ndj_O%6l@oF6P3X*}~E!=?^Jsje46~#umJe`{f@BnZk1?+bItFasr+uK%5Ru zb_dde+l^h1JC(#23&Y7)neuC6Hp*1~_p+yx16(o!PN<$CWaeacYl%eb%#T0eZpn4q zDC~DLpQ?IfkcD^Yxf?cA4(`djcA3CmKT8MsO*g&3zEVD5Zp(BxF?3my2|_mJZ;4B`vEpekk@8kOR{ zz!%rO81RrW(JkG%A4}V*`+0&Dzg{D89VqE=#fiWjjj%AN)`g$X+Lut{8V;znsJuxX zyk7`fmzxc8A%PrU?tRpG2$?=zqzXOSXL5Mfa6WBHo35LS&(K9bE$+9hjU^_UI{?ks zTWmqSAk=@faEaSTUr3?1%cjDnVB06$r^L*Ro7uK%r6b(qyi*ZbGq5CRddM|sS2@%0 zR1x619$Y@keZN7zyn6eG=C%JwKp62=0WJTobFlqY=kSQt{G)SxHJMN~ty!VM;x#m% z%~&KiI4Y-UDJ>`=q%s#lsG^JBbmCQ{_$C%LF5I?ZvsL1ah=vLCvla9k^Ev;3K%Z|E zybZ%VEsG{Q|NSu;7>GuDsNoHscFfrY1)xNiA6+gayYOIEu@)Z*vL7e7)!HptDa)t_ zIV|6f8!P zE6PCSBri>%2BS?t=04qN<|k{8CsTRtpljv?6lK8(fXu?@X3*%WT{~b$Q>7Iu@b1|> zP&WW1rkCG2d@r$!s~t3241KN23a2e_Go1*}f(1UF4>x=ez&O zpq0a3zkNRW9gamfR z;e2)c#y{V7Onirao&^>Qg2c>+r`}38NH+A5I)o^Jz2Ug5>V_Ua0t8)se_gAnn={}2 zZ8cmG)o{%@^|%7W9H$P|34cTzbuAPDO{{el;Ymqm6r;v{%~a~ zO1jDzYI$e@bLqTd5;?FX$Ys54({x@1HLR^ff1B3y%rVi5olV0Zw0FGk7Y&^R5^73n z4FFzE0R2yTvLwBWneAb5<2=ARp8?JJ7MKo@32*|GUlU}yU@x0@l|-n|2F=^Uu4(_D zqP1?4CtO^0kRl@X=cGdJAR%7tla>6&rhHf|9>#W96!h!eBxj?W7s*r_+1@7~+KQ5> zb5=C-nPGq#McA@8-ysJ@@9=P<_au%5uV^h3D;HPk6f-?FejobjY-11>stod zug*~ZD5V7XGcaF#ZgL`z@20G&W9L}AU~dqhe)<*9|wCCUufGt2fG0)(JhqeN5M-1`A`4r#L~p1I$quppl40YcH@TJW1i? zr4OzXIVF^aoF{f4!W*rXJ51_rI4M+_`GYXanN5fFDmLSlpxL&5%He6*5URn%2=e1u z0#|ZNhh*iW)U7Sg%RkH$En9Tqf4sA5{{fo6@|Yem<9`Te|4Xg_Jb)6m>hveoB0lhG zLbe|W0+Kr{r7ccznK^CT-%VEvgsz`cmyq209vD>ik=1%UhdTBWlK88YG2!DA(s<)c`S2EeVhhd{1IF(j1DC zi=4sz$5Gz38BmePmJYP153-jMcVF{h^IZ$QA9W*fV2;2Pu~t_E^|>QuVc1^vKvlng z*SrbPGp)>aiYK2BQQ8q3NB4Ks>7dLvRB)q05qPH>OhMm=?}stW&E*U~bZ4A+GL>{e z#S+dDE}0(m1l*brL-E5}Aoi@s;p7%9m4W=&!$!qK91day_FK~BOS&f_W`IoAq5ZR? zvUNVH{c;aDCUq($%&VY!X{Y(5vb#x6Mk(elV*?4HxjaQ0N5~tq>SQPp>2zgLO=@`V z-j0)B!&>sS?^~bbD;qXewXXm1<`Q3jR;B%Vb7dj^Yl1V|UxkA61WofVE$Ppxcn6e# zKfFoPezYi(owuRUBY?gwBp;MSWg64={U|asjk#jiARta7ZYMSB>qVHBc8l*8GfCgg z7H*mb4KY*lmK$!TA;#1WGDxE*$3U+)43h#yw4F#F|NfzS1N{3N>FSnfUN_q}l9Y|x z8f3(&6#hHBfLidvJV^pew$iX41)v6!yc&*zUb3B_wBkmpZHx`vw=5c zV>R!MLF|+9Ji1+-{sbTGzEK8*MT$9(Gmpk3X2ed@5wkm3g_mwr<~{f>ZpxGw`MhrV zy|uXsGq*A={LMgis<4E564LlhiE1~rkuohuFF%ZP^j6WKI1ewNjtqyv`b>WG<&!yV z+wXqz(j+(qjw)OhvW_Po!RsD>i%z43OYUgniZ*IiC^w!Xlv2a%1O+N8(VL-*FN zr-zh!4M}83GW6Q7oCfod^GIyi#}dWdX(|p21A#$MqHRi0Ir(HR{v1Tc42A^}vY6-=E@ZK`9w27{E&h-wZoLSpI7H78&m0;W$o8Lgv?th4OnamM8~ z5daTq-0Kz~N2sFS(+R^ea@vXhmO;6rXX7eM$G1DH<86)L4hb&A8 zvW`R&@=n2LhXGPu(2IWw;kRjAmXBbDl`qc5Cj!ncyeIeoZ4)+Fbh&rsA#i&BG%9}a zDZHag-{aMLf%Y;TToAdrij0JEU&N>O9Z zR0JTlry4bbYorpwlPzgWM0UMbe*2O0j5r1ufeX$E!R0djVBnS?;E-96GF!j5 z!U-x*L)Ve}MxZ;U5bJZib5)21U8!qKU&;|LfATZjOLKmPYvi{6Oha^(m zkzv*mMEsBv8%M21!Dax_4uOJZnn;r4aZLfPbb=~bANQ)Ps8Alm!E1?IL1@%Y?NM}5 z$PD{pHDGGs`$#BTeuCt|fPXSdkgnDKC$0Fckm)z369Q-;1*2!ia&vPSi6Fx_4t`&Z~E79qd%i!FKVbW6QNsm%Cd1 zeRH)|_(E3MJAuEcE3%{ZsAXeYGTp3hNg+wR0>!v0+UqN>SeA_(i`yMd4?QVE5JAC6 z1JsMvqVFxSMd|Fe<1F5rmnLpmhBIK-y&TLVoPKk(H0>Pe$f$scI0ax2D>F+y>X-|K z)w*)dgjD{fmP9^1_eL-er++7*%wjR4^8OY9dJ)g#h0OCyC^6ERR#;$MrDTR*dYUzd zOl|fF_CRSp(b6;0gT{9c@EvxL)lc**EMP5p=vfT!cKO(P@w5^MgN&}m>M#_E*cP{# z?RBkdW5`4v+$A`*!ok?Hon3kgCYAzek{Z~{yI&i-dcr&i9(26Zi1LTHM)Vb4QeeaL z2Is-H>6a76S1385>gk2H&3tPet_uhVZX-~C7n)~xj9LRzV^KoV^bF`@JL?gae8$(O z+#LGRUf;O8$&R#VSgZ*ue#Et6Rrqsh{xVdm{yBzMO^RjQ-lh^H$nB*wR{jzCEcWSv z?!aIDMn8oE{o{$4wlW#)+&K*6WA?KThANQz?5JI-cEF41cULlu7#&NFvtRRM*#K_> z)Au9+$gFlO)<+Q~Hn+&+dhmsYW%-y65$j)f2h2XSun=Upq#w`5FbhqkoF}%Y&r>-s zDvD@b3JIz%Lo&y;Gpg05nPUI_?) zCHkKZS5h=B@})FgSlu(ZR4917_l`xc$fcZ5P?25Uv%#bb#yAV)W>{{B05rY zlRgbK)Q-A(E1&^={AEt2TzqesGSb#T9?b8JVY*rzu?gQ2prNVt`47iLA8ehA>DP4& z`rqjc_P^>A@lk&!Wd7fXDZ6G(sh9%G6c{=oR#LriR7gU>98k;zmV7*|=UueW(=r3R zb}{fhj|uimwOSe>c+iYyFz~%#+VNp1O^9Tl@d#tQt)h4UQMEY|zDs9wLB0_1YjS2G z=oQ_BqxLaiF~{myiDA%V0H6LH6-Wg%{Ye2~jbLPr$sKQ* zB03}w1hV}|F6HrNF6|Kgeolx#!Xn-@ay8YIv#1=SX~SM z<4l9hrd7y$y8>6VRmVF+@7&HFJr1K&Qq;>&qq_7V4<)QTWu((9F=rePLe7|U(F7W7 z!Y%}VQrwgR^Xz871X=tU)epy)Ezosv4-IikD1@p3fKmy|V$p{; z)wCIERx$#3*QpV!it99<2Py%l`;cm~Z~3&bZ91Rpu(udc)Q(*%9C{bk3~HhA9TIY% zB@7T`*m9~98f97&#()gSXVw`w_tH(v{+014qppW&4A1|tI|8}Mb+3GV=j4BP7P0?T zQYinL&p7udG{hB>7vLv}(}#Pq0=xiC*;6z*ia_n~nUO!z&jv*b>&V*mah5s~VVgst z>qN#w?AKrKL?H$#1HNd+-(R0PH0DjJN~>>okr>rsXw+?ntQN+%>P_8qY3NTHj<_7G zK9^~hH@;uL_uqdbXCOfJ$)x!aUNPO!)rC=4q_kPHk{)&ajY5cW_bn@$JG>1)*Fm~V z!|?uhiIxx8Lll{CPcG9tXYj@eUpA&1r_wLDG#kRv8|tl;Zj;TdLP%srWw*SD^|o_X zv0Q(gGUWHZ+?2DX%tH+kJNb#!4WIn2=xH0-epBiUNQ%V46#EOT3FEvsJTXPA9Su8# z_hjT15KvGZ8p^z>%H&qtG*Y|ftizSn?pS>k$84#{eOxmP(PfjUV{Vt0evpGg30<-1 z7LUSFApiNoo-7AkfT_gCEZ_#M?R<*M|`;R>)RjSZE9@)36+P0vzHku|tLOwVzBUVr^~Y zJ>Py_+@ko0_A+r0e;Z@kPFLQoS$G%O9&v@z)gLz^D|owNj*htnd66{g`UQ#Bt5k}= zZdUIobX)UJpRylPT)`g#N&Y`wio8j11YX)V2hA{HM3rTpX~7sKY09E!2V=8i-cp~$7BYUjRb-m?D(;GS3qpvd zj|Q>uQIDS?8v9(R`Jp~qzQ&ZTHqg+W8Cqq#G}K|dOO6s|RjtB+evdkkj2{;vs^v8- zo|{o_`2(ZH{B%t~dHY?~^-Q?b5RMzveHmZw39`eH!9nI4!ZZ7}>8(ZroOj|?a$Z*m zEZ?z72IJzVSbN+(TdcJf)TEYPA_|cqS`Ji+j-rGd|SO&p#Hj5oF^Q? z2>M#Mdh7E@`lFo$PlD{t(B)OMX+> z33*{9g_l!~vrAQ{_*kYwCOqwA9oxO@kJRR+CFF(AKWMqw{M13<9b;QA57+a+z#71?W@Bv(24J3WZ1}lnjOO6|874=v42Q&Z zmJJ+_UgERK8x?0E@y{0 z2&>1*StA%B0#=0(w_B0Mv{IYd_@89lk_ zbS)pBjr^7|B#KOshsIv$5Vns%QRr2*!}X8>NY5l*pY`MJ>DFJ0B2?K(<)-|#@y4w8 zSYg<~tH`FO&*~xnASmCZnV=--=M&v?u1(0eT}UFU7W)#z&mwrS+>ohG-&=Y|ZR^nn znv>q!?4Q*P=^_@2ked9Z zuz3wEHoUl-=RoS)SSA%L`T?A&O=jQPIM+6f==}B%c1z=6fClfYZXEu@as5~AAU8W0S%fDs|A3i(^FPrAtDnV*_v%Ji&@H*03`n8)RJrAw_l{y*zBOfCV|Y0=G2=*{5(O_Stb=8X zvyva{_XG0pGEZ8*PR6C1SQ@^hfx`8x+(xnbRl5F)M#XMG9@yszGSFYpSGEv4{%l^j>5>XHEc;mwr>D#>n>#3$_D@8NtjsVXps8)>fipuUi?=wKmN;!_C@9o{F!hB zU}U*y)qW={2A@VO1cN9DTPq;ANV^XCHR6b{Z@cb$yP2IQ_o4gd;;{>^ux}J_=n*23 zzVr{y%*72Kk^I_vB~U{oyKtk}nUuzICP>S;+xgS}yP+6xuqCwm->APa#Ao6@Le8^| z)#k|+)p3U{xMUG=xA(m7TUKc}hI)&_ru{EOxuKc;bMB0Se{Z?B(ih0vf3(JC#pz+k zT!Y&E5U@&rgFwRd4s^0an@N8p5uit`f&0qb8~T&EXQx)dSK88Cw{GBjy9G&A#c2}9 zDT?L6JKx`4a7B4~5B462#MxSJ)OXoP86;OO;Of;^&$`e^AuT_9w zh%$%OT6OzhCH`X%H4vvOHMfKC= ziBt$-^ORbUfrX`+3sZ%Boj@2fcs!n(~Xg==bY9?ug8CKN98 z66f?KM=6pEAx`O3>)0iSzNt_g`4R`p;wUc=I%2wI@al6vLyXbcN}Jvc4zFZ1I zew!E8p2CczJqFp^849UOQkC{7-(Uye+H6=eB)LFhJ@nMA+_Ax3sZfoBTs+6r{#&V% zx%~4x@obN0wF#r%N)NROy2HoXS&~3)Rn22w7x2UMdftgO%yMhn&o&mSh^?i8^qvA5 z!$ zlGd|)$N`VfD9#X}sF9mMCq3foxMsfMB{`HKIX{hyzz%e|cER<m~%l$Vd==DktQ> zj(-`h?Gr1xB1N=f@;2DNRUnsUPcZ{2G7#uQvjSojC2w4{d>`%B1QVjMNd>D)LTjP4 z3>IJkCH~kse?&+8&AI0>p5%+MS!YM0qWrq-wp8 znD&5Dk)Y7X!CzI9yEtR(2M;^V+83-yPLbnQH?_>AN$QM-x^11JpFIRM9>?=wq*{%z zol}*|Q~5QASF>JwSN~|1WJxcZB>1Wv+<))eV*e|DTR*oc@s+O!di7-&qSFzdc`M!N zKTC@>MN>QvAk23_0hCs0al}8{POnPF2XAFxB-o6+wPj1DB~hX5M&NJtx z+3eVG66I)hworO7yU=iaLRVMY+rSMY@?eO`QMvg5a(tkneR{Ae2d`o}Zyi)N0H@?Zg^|~GQXxq7rD^f*jmGS+{0&jhDrk~uG*9tnYa+));QW(1s|qt^kD9c@1j7>7YDRIa=I z{tnzkH^T-)6-q}E1og;DCqHR3{0+p8Ho9-*rxC=eZdiMKyUzvJF4xf&>dX#hTe~8p zsW^pi>-T__-ha&UNfT3nk%9mKh#~%(1r2}=U~gzkr*GkC?_g+WuWf2&Wc~mDrTzDp ziu$VEDjVV#YrX}vpxCBuWR7bxSc4-Ov0%rQnpdCz9~@N0F93u%VPeMPiIqbfi_f*C zbgqaDv2Jm9o@VuOdy~4$A%p1Za~m6aaamLAYay-~)w2F+J-S>$2*?WT(Z0I_wvlZ) zJe1NYM}W2W@o;e~9&qSLDW`pe3Z=fyOgp8O?+IN0yyl8PKD(Qy(g4*H_1SC4LUXoQ0Erv<(a|525GCQ*8$ zX|VjG=_xPLKB&Ve+SHB^eu-bz>)Unyxb>Z5M=KltGEw%_Hau28HvBO)w+gby(9Nwc zuN0*-Bo%hirU(MI9k7@1ghR*(A;WOL>l?5xAUvxp7*Hpk+9uiww#ur+wZj7>CV>03 zP16Axv?NYW@ly3l`}IxfS2U56Gh#2i9uEre`LgwDX+ySUsj&=G+B6fDztzn0{; z;6=1mhz)%7;6*a0hUvQW7j$C~G4rMoJQIy*CBGIyPpP-Jh!5Trq67!vlMW5cDc#T@|p@s$-p7i{}7N!m*r0YiQZk!zKG4D z%&g*H+VH@=LWPPgO3yHySEqx5zbinewx>8!U8rY$Eu(cD*w51iPamaq3pMS9;)sEX z^X)9M3?U!f8C*Y#p@mMkA7+&Bm~?d00fxK=%g_w+-l?J&6K zK??;x)3;4J1CpQ8a{)TQzp&a8>U%~~e;4y`N-2=tkxeDpYl!LSH)RW&E}jA|nak4u z0ATmy@R7ldcB>K0$WzPq;f!-o-Y!)xw0-_Hu6iZuxr;TY2|W0Btnj(>y5 zWp;rh4N``I7nIIm4S3g{QYMj@;D-vc!ct5B#=pxBV!?c8tWt;urDo0K?H$Wg2kn-j z3AC6~l=XAS&kq#&&av+SoBkLa%xUS_qR=mzhQ>uZ(rsopU)=04mGtLZN5s-bv@!`T zK^?G?O)V~&1JG+a&#VRkSW7Whj5&>FaS}jXrvx)eoCeb#=c;4wKo_K2#s_3vfsSMce?=&tS_WC3ai%JDd)I0(a%qfkDxzsC~){y12feZu`zgJ!jp z*#S^-ARQZSBQ=@d`Bv)e+XbU%%*0_uJW=n{2l3Vy3iN&iX;n^Hk_*JQoXPxx_{5)f zp<>4k4s=%x#m2;TOvaDM`Qm$(;b|QyX#E(KAq6PLQ-*GFA_#O9g}G_4A9L7TJU>{5vIc}8OGhp2_F)jBg&$|i>7@^ z#q@gdZS{Tk>>8?t>=~zGXwrlfXo8XRHaQphkt^{t( z-q8r03QyCFjDBaFe^x(2Q^ZW|DY3YsM|@L{St-3D)tF+qL1Ha^h%8hB#GFUJv$^BA zJD8uRH09cjZx${FQo9*D&;G74t2pUd;N%Z#4ltJ0%m`2Lb8O2WlykjrV#K(C%UiNK z$JPdZZXv(dLq2C$d_kWdAeh%Q&AaZoV3++4-voe3L7q3HylkR_lc4U z8bb24JfN&q7@V&5Tyo}2Y<)=N(uA4Cy}dD2HdWll!CR1G$~JnWwgh3q z#NV~mxm9XluVYt;Q0tO1$fs^kN(UNrFG$gM1Yf{z?c4^Ic*CuvFpbNuB!=&+G_F1s z(yu#FtM4k?egp1XzRy1;lLx!jR$(VH`>fdn!sfBhHre5czAtG`2hrLxTfMpVUrnEN zE<HlHuo#HFsp6J1(W83N2>exK7ZFg+j=-9U1v6GI|v2CYgcI-KK z=07u^UqAG{Z|A)3`tDs-t5&U5975(3*X3fzx0L@F>~e}X0~bRB_<^Z)zLtY62I-n`Knf0I`~(G ziA9R82_ya!_rA$j*}Hq=h99YAy2;~h;JP(0pDvSV(R6xnX6A~d)x26fSu{Iia6mL) zpxhMw4vD$l%5|g|Fel@GgL6Y=qlI}+Ii#jva>f^S>MMUCsTET_BY5iZ^4uy7&yAwg z8eFRBadN<*cn_BHuzEjzJzt^MPIfPC))_pocgep`MuR>vFqy$P4(<;1uv&gT-}v~W zJ8tT&NA(AG#;O0xYhd~7kS<%}TxpLT{cWps8Z1eT@ck7hMYkCa58J$@-KRmE$Y>4A zEmz`->ve^fySvxV6?kPr%T6IHVEyd0n@ALB%25glJYzsJC<95KPY&QNzgVeM%a~SL zN<;SP3))Jp(EbUcsK0?FNELB-~0jf96 zzTfALQ6IkSS`m2pDeGd)+0j9$usCw+hux~xChA2O(00+J^NT7Ee+uPkS0gf^wAo=N zltv@*AlBdOAF3%wGNPQv){wY#H82U1GS?63})%xax4bbfHJ{)v+)?Imhy+qc4c|16SEjkxDGj zC!x6PB#IHzr z_V?Z3Ss1Q9SKw+_lk&?$XR@xEhc1O^I+#7s2xhOwzO9t``ma9NS(HPDj z2L~1piEmz$3~;>%ApX3^MwAV-w85CCcwmVw9l>k*kqwYNzu!_f2R7xnk8M`WS#527 zh0?WB4D5+M?(}$>r&v;7hTjcJ(y}%`Z%r7r_{E6wqHbOZur0iKiqfn2Orwq>Y=Sdz`M3r|F~s6-C)SVj&b#hB+2_Tt!&JJhnBR+N$kEg&`BZ zLy||O3wf~C_t>g4Y*2zwcw2cdDTllinZ2hur>*Nz|1#Wl7-v1G{l*V6d(uRB5AFxp zX*-YX-CzVGQGW}@R#~7Ec3Hos#ZV%%v|CwT$8X4vAQ3D%wJ>?*LeJmb7UH?Ob zM_32tiD+aWY?a38_dfdC+08>4Vc@0DjRCspR*k~qU?RuOiX?+oxl{Stdkuo*HwW|m zn$AWwLwSd<%2m<}&0b!QXD5hQkBlqo+j({}ZKrzf&vPAqUDkS7Z;~BH4{jA{!a;2- zEQT>V7H=mFn`AnN95&@v`Kr=f#E^Ikq^5^(SFbeTW%|N)ZI{3C__2&Gg~q%7y%X-Et1HVAy$zf+MtTseE@jcM6}jFLqb z@1w4^bBB~tR(-^@0Vn&bPX(H@m~?W|FKGO2?`nb8QAXsyE+I9<6ob(rg zml25h{Xgw~y*G{C_=IM;mKI1$3qc{R2}MK zu)yYX#HI~PiA5Y##lC&Fovy4o<#Do1Y5t+jTFs$H-K49S+jLf+ zl`qQsLQ68tMk3R`4lujdBYH@~7m0Ei zg7Rs@&yuKw8CIv73BozadRrk{OHO^7AXXdwfj5G;uLFp^H5h=~{_N?;^$aq>yEneJ z!&fwr?B`XAKAWKA&S43g-2cZ`qO3wL6bz82>G`jND;CDT@&&4r^#7r04s6{}-!Avz z(tRX9q!d{hPBSF+sYzjHc%xY*lar1d>pbtcEwF0xBn%++Bc;^d-f$i29oxS16)67H zNE$Gbs-{RK9GSS=uMETlx*>()SVW)T)vklKbwf6sWuc3ORTI;?tKfeUBf5*@A!5y9AZE;VfE({Njip zo~R1jajh1C`;Ebdl2^^nuTe4mP*g;?QQwwbE{!UinA}*2=(%d6dVqF47f26hVT{T<& z&oL&4bL`AStNEvrMQ!##<&;u9cCPWRUD}EGaC*t8^IXoe$v&u7=h@W3GMXk1z6QTBp?jsmny_cpC zt3*c-ZqM(--Q~!IT<;dXn){hv)nbNeSOnnvXSl~!>Q1snu0*eD25s0)v-|>EV(zKM zcqX&EpUU3Zy?C%72 z@mU!E%9F^R`$zEy9di4HZ@|N!D`Bx@q}Y9DFfA+yA)e_go;9OvI|a_o`O+dyHltF9 z^~lrk)6|IRT{t6vwKt59qW!*N~`BnzRvv~q-N;A;Bstzje7d@9~yvo`gdG2!J%Y}x)Nf#>Wv1INO) zQ!@~2^XXfPAU*h`ZB7{6%dTT6y{Na}v+1WldBCGZMp+!2;+Zm-*$*f*XMkn~#-XcM zl}BIZI2#s7Oi=JLEg$G0?V1saDN9Jhb=0b#W|k7A`P$0)`Ibs=7cnz7{xnB3LiOxG!n`6UX9T;BbQd~$EY+Mf4#Zsj|BZsys1XszE3lZ$9UON}Pa@>VH z&bJSMpRF_)I64T>sS3o-KU5vzTczI%@Zacvh~D4X2y&ipIsw9L!8{cbrYJI;#RO5$+58^3hhS!>hOon-6Z38nwPPQEGLyy|}%5 zA?CehBS4gf5I1CHwSI~h1LZ)$$#Q=6d6+W9Q25cZeqCDyqYBDiznM^uI9*Vt`B3ol zdos|@LTt>@rKKNeM%b@$GA>e8s1)*BtAvjcz^J=*xPmf|o=+=i1sl*x12xKJkt<%t zTSF(JTt;2<6>+wl)WnC#7koi|v6A9uAX-T!H41K5Hq5-8x5SrG&W)u(drKS+MSqZk z{}yHXS2y@Zch#oRoAhgG${BNP_R}A8`jfENNfqFI;QH4&JqzPs=kzY||KAo$F38H0 z!wD%gnUt!aeSQVsYeK4U_ zAX!$jsT~3M3Eh>BIsW(KcZu-^(FBI-(|`E*|32IYDr^M)pG`f`%tk0GXHzUHhaVcX zc#1}tM!0}r_<$?J=3v5Z=2EciGOXatLysx1Rfb1cqY|`a#!h(!T(Y*;Svu-hMzPC9 zc627GdJ>7B%~3zu$4BwwP3-D!YRl1-J#`8kh?#4k`I4Tm+mr4Q37QO!LPBM+=WLfP zdmpXeRE)pg+@+sYQs;u?dto?#2B}tAl1zAszzfDhd4Xj^@4>!IWSmp+?D~0)Qy&5) za&A36Js%=Q_2YfiV8!WilobU2oba?<@7!G1Evh)V6k~`qXmqUXfOaSc0mk!&Pu%(y zij|@8DE=d49I4V1Q*+Ap`Hh3SjfRP zbYz%w8qhiQsJ%^UEp2S5oQB8fWK)=w;4QMi74QN6;!j_top|QOqOr#JhndqHs2A4! zlEwNd>+lBE_9)sOL8_C*dNp;irrg^kr_;b$kH&74m>SXr?%sq%QmV9nsoOb$=YHjI zS$ZgFy>L=&J=5MGrKPA-eT&^utzDIsJn}>BnKmt(N0*{7mFf%Ta~k2vA-e+FDtj)b z$UsvaP_(QqDVMSWMaDXF4C{r*xd(pE5ZzN9OIubtYo#tA&{E7h9bY*59;_3|u-0oD zsbO9kF~eWlSYL*FdEPs_`Xa%Ukh1raww48RN;bm~^xIT7ay?PKVat633U-ODch@N{>jb8%A zZ5B?3H$#s(#n_<<7{{-`AiqC8_W{3zdSGrQ`wyAquWX3u*>1!@M&zOEjnX5qvK{|- zJVOUIPEPDl3if*vV(^*AM_d~uD1hz9ZK@q&#hY9vV3a=WVD@%b#S0!$^fClRe7({A zW;eWlk73_K)yKs`g3xE_tDEjYM!)Jl*M1acVq^9wQngop<{VeCaTYp=C8$`WoYqP? zdF!@W3$>_d$2-#!1N+J&BHf{Ku~DERyiGZb#$mpb)PzQ8)B(Mj-W+#LiA0yd#K*5B z{Ew%(&Hp_JoQJ2Jc;Gvo{~r$GufzRhAR%`BAGhQ;3@17$Ex-K+B_svR{EF4;b}E0h zg;5ycukp+A*^ROTv1SGw-)rp+>{4ENeYdXH=@z4ki6f1O`5n@}Kvep+Zzf^Bn=id= z5!$+fACg{!Ij!y65k*B0S5D1PDU)=S7&9xWk8-U~B?zUFp%AZ-cvvQ$9$IPW5yA}` zu{rW4SP+FFP(hI?d0_`};ov_Guk4xgXb9{;W?Xzyfi zR{QcyrkDm!;%#&OyIMu}`|~$4(H>5u7N?70&F>%DYsa5xtSg<8X^y?W^U-v_Q9T~T zU*(H#U*UKkqNh8(!fnlX;K#7Ts_vew;_~$hiv{ogq6m}6B&1K;;alz-d7WBDtL zxq?I`-599$yyl26@^Z^bY{Pppc}>C5c0HNOW#(#{wZ5@gYGGKVs@8=mxwMF+yM;K( zF>+$G@-j%CE6^$V{^;8+opOnJ^78$MQy8{zb2dC-^1itzFAYgd2AGR?$8zf=8{QK9 zP=DyW?~ktaHb!COL7{QmqlZ(&t<<}Y>O*sSRoiiFT|Vb?g|^-rr0ddU#S^S8+p(gX z(`LI-(R05xv~MJ=p~y_o#j?ccp&A>U`pNtvj4R;r73k1U&;1Z6mQryL(@gRTnrhH$ zgZ0+$&v__MNd|NZy)3YO2GTSII3!R}cGVu0t3I_Ao>G#U0;W`RIz=P?l$Q@OhO9!h z%@G>GU+thq0jS{2Y_P1>2>h zF5fx=M8-x#hF}v2FDG(jGw8r<2@+hCJ^3=3BvhkuI`Sat&_tGVqRje|>dhFJmG|4d zp>gL1u|mmMt_=I>)^7iPq_Y)o^qssHM^N$lh8+*vrOB%A26U?fEOp)=5Z$TnX$90s zM#(#w;GLMO)BydU=_mAFJKtbN@BnylWD-$gN+aS|HcqqI)L5I`Ga7X$$fGbpF9t-= z7O*%aWN2L9c;t!hU?KrBp<3PWS0ZRmbANPMBJ)uZfQoN%xd*j#^?Kzls*X5!il#w^Cq#~2 zkv>Xo9b64xB%tH$#cF5FKgjtrKUot7o#s1Sl@!CiT>7=;gwr)$Cm*hS3KMa_DOaAtDBq>s88t@hRfLR^<-PdN?XO=M6ZRORDgY>U1 z79&bHhWGaSiOZz)?{2&>1yr^kgf1LwEa<;cEK0XHJtnr(e(Hb1T3osX-^7P+&?0`A zFIkq;*&o=4w-di{P9N`zW|ZN=o6E}W&l{~DOP^*98f=?a$$%<$B39=)g=>8(clKy= z5M#4?Q}E~UUCO<`@yWF1kqIGuM);9>^+}0bnx=@$4=l#KeBd%Q8{O7BjoyA%z2k(s z{m7oF_#4VY4e9pPyls9$RLbi+b=BdwoQ+MV)tb2v&hzn|X9iI6bS;Mwx2LnE>x4_w zcm7;ur<+)lb)Mp`)`{)xMj>NADKEFo7RE>Zm!Hz^;Q#y3F}t&8+yXN@(tr30{`%4t z&+%CSx!jQ3eBA&q$+kpepQz&mdKioRVALpW!f5kXA?wnj?kH{mZp4W^e(LNwi=%^~TcH!Pa(jWb z4Z1xS$izjkV&VArB9hV`DXRTCM(p_FwqK1u>$B>qB9a}Xq2dRq;|7?=Kk?{R`_WBN zYZ5Ql>O>WAhz!wxIZ4?`LVF{apicb9RF~^k?$W{h{4V5@CU%7?ECi~j_I$c zVe#AsY#<{(#MMjA*DrBr!lf9x=HviF_Va!c0D!7CitES8&e-?&P1_|Sfk{L&569j# zW*KT1y1-r5og*?!)sD-08z3Q)wMIVBjKL7|$$1E?ZjvNK#3MRVoJ-75TXnA07ZHzq z?YpIkVt#6+s)rv5ztC}2ish>AS&@G+z+J(StyrJJw@47S88V$2&oskIDs!d}4kk{d zj6PZeve>+a)+0vNCi0!-={fobIC~d|W^ewIyD8B*W0D$0%_&x%mqG>#E)^31d| zn0Esdi_383-M%G=c2v{l9YHC_&&Pi#FZeRGAtnM}BgFr3cz?z2k`wKKkUsL@)}`_~ z)=@3FcC$V=1HIuGAtolHVet0?vVz|u%fF?%-jY~t&TK<4I?<+XcsBUj9orBB=@7xu zR+f-24xcs>OR`JA=A&Jt(5%%qK=Hzhwqbzk+Lt!Uy+)xtCf4e-!#gdu?e|Nmzs2@) zTzMB3KPS(jPViLsI5uw*SVCrg3P+Y3#Rkf$xJ;H2hYzF=qsnW&x?|56t!b6dlyCIl zilRt+oK(H5X`h^K;$;#&fmKdjbYZ>S|)M9Gg<$THMsK72l~dLxaO`03qRak zt;^$J%qD@17v1O^$JR8_NTKSswa=1gIFH@p1Ysmy)FhG}Eh|UihOqdQu|D+uXGynr zUc#KZ$IbpM0V&Q^KSSDX#~M7d?@~B?%`Sd_&;V{lJJ17xk&gclk^U>rSC#mGwnw}N zUIpVn3nb7*BG+y!_QI+{x0PE|ayFarh`#b6+W2GAKqNQSOs%K4-Eew|Vdn>8QSl7e zW9Y;f@GBEXRH$K!oE9}({rUkiSDH9fl|?+5@Otqc*yCNn%ZVr2Sc|e7jhvk26zpk8 zFB+_{RuO*+1O-iI~{p$!;$^rS-0Ag$O zTl`OJBIchk)X$*2pT|xaryGN9WhI&cjwH4^pYQ!~#w1*? zNbZs3+-yd}?0SYr*YZ9EQ)%1N3~m`Y@pv&vjk|YS3?=rTlF8mzc%rrMqniy2NB_b7q}4yj?|S-Di3ihSL;~RJ__Mn_a0Odi5H%*%P}kpPx(!iid{B29Z9`l zJ^UzuDAhQUXD>oQubO8N=*;edffYd)CBd8!N@s>NoFH4b`XXI%#Umt#sfZuJY0Y`S1h8CQJ#|03;DmV|@%Ru=H;LT& zfnR!6qV}6L6Uv<-a#!)W-*|C^K~H4Ij_{-3?~h$yj;d`KJYY1e|GiUX`YWOsJ^PP; zGdysHmD7jhuaH1t;{^T_L6#?=!~$@U;ew*r&UIeY$p;#)l!ADd5+v|ZpM7_d?Jy`c z5Zr#a{gTac9=|%N;RhvNG+7Wdq3tJ#wBzqw8RdjkruEysc|P>~>t`>dti>y4XgJpi%JZON*Zd}fIQgv?BOQu|0d-}XiML*W}3G2|6W z_KW%O>RpUJv0e{h2X0^Y_blkhIF?hO&Z!!&4KSlprx{qh?Wq&bvtz?c4nO2acpN=Q zXvRx3eY6YXP9@KyF@B~t_4)L_rPQQ3xDh3=XPo|5;y(-1UopXRRe8HiM*Pl0<;UiI zL8Et^qj@mNMhY}TI??k2stO%@GFQjj{%~!F5TvS;xn*Ue=LSA`fVFyE(dbD9O4u=I zKuW(zli%Mcy1a20}yJ!5OwuSakyI zdA5y!cB2f_ZrH-dqX2=xEDCUM4ivzeP?`7gu;`Hy#Efh|6NU@Mvz8QWpHiPOizlrs z`bhZC&$wdB^B~r*l%9yoX^nJiJk)QD*Z~t2KQ`BdGYHWX^XxIn-xVjvQ+jv=vd_!((lP-2fZbf zh_G~-3p^JA-asrCR$KFagqNaL?J}!7r27v3VqwsANS_3t0me9QyWKutT9bm+GeD== zK)t`Fo~Py9%yRztw6Nj(HUMx#4kone^u-4B+u&J#5g6)j12MT%V50fxaxi1a0qc)DAD1>B?PINqH_iJY>49+AciN)GUk46n<>2Cf+YNoV6JdI{OH%rf!8c zOC>^Q%OUL8GG!o+c=@l1*V@w#wbjI4jM$ChTKu9*UDdkT!~W9*uAU3!PEvInW#v=g z&mmS(Gh8~9H=W&@^q=Bt=?cW}KONX8%8b|mI6-ZRi@ufFwHaT^qQw!=FqCX`5W=i) z-E}yAY11*u#HbuK-`FSI*p|aN&9sN~GO4})sBmtGVv`-{CeTLN`4AYf)1!1n`~Kir zqkY$@a9?8SWi!>^`hYs8v}UClA6xVmoqT{AB`ciT>QvlT*C`QB(TR}%y?F^HwafW{ z;#kJwi%_$zg#H?A`Bbu>1#GU#*8jd2d3f@6B*2LMV?h1+?+YtTf5j5B=O!$J|1kmo zznMcLEeGabI+Kf2O*ExoxaSo(V3=U7O)vEo#5NS`9-?xU%{*gb)W;^AUVGuZ_-&$Jet7%`s1unl%C z#IZWGe#y_uPxCHJlD@t{`f%p|BQ+Wd4f5y#4?TwRU%|b<_G#xC+D@z7$U|FGrPENH zBk}b5YsbO>Vp&HJsM0o>Lm9DU8uPDYge#LtEN@q42*H70Xnc;~uBC^;-(1ySwsc2= zhk!X6+lzrIS{clwji#VOfmNtnCZ#-qYwwc}S8AIUMfg6`m_na9>mfc2!5CI`#96KY zf&K*N&+0S}wwc1DNKK&`#6X{+I-jW}_jkGsXm#Or8XQH{QutVq1r9yoXS8CKU-(xv z%& z2|jo^rQc#aXO6Db5h1eZxIFNcbE+V-$y;}&NfPBZlOjrCER%^Zj1nSp!E^l)t*Ap6 z!Tr@@9l)_~PPGsf0jV#>_;u*^b#}=+U5e@;OZ>->ad9`he<@rw|BCcz^P;9zCj!UB9cm-HxRH~$ zEQ^n*q5L6(Djkgq#X|lZm2~&kG7=JK6 znPgW34I8v?tf$hxy~ot1s`agT#SPb z>>0W>s@>fljc%%hE)|5GC!p_~UF_@?NNV+=7=&OD-DXbjO>dqv3q5uf0R~2=uCZ?23FLav3%Z;z@iwglDdx(iU*Cqp&uel8B@ofQN* zy6Y|lgq=r5glG?Ui1(}n(H9In3$c24AYLhUSqV)iiIQ%qUT_6yW_L5|v3Rew%5Sn| zdhbiWLQgiJEbRnc=GZ#VowVJ#o;fd61bi0|me`x8Z0svDp(5V36Y8pjtsW%nGx_cE z5XUZ+J$_WU6%e)@{V8!3jDJ+C222(Y|6a~B{}ruGo*nr|a{&@)Lid%xpX7@}iqSU6 zE{JNIf+z+qYD-&_2 z<;+M0K*L40TCHBlez07A!I{4Hm$8E!OKy%p=^Q|-9Ikxh0;aOOxhb}37Ojf;*^)O8 zh0-|`%Lz_%wW+#SDnCR8PkU6V`A~y!H-L!lKHt$QnzmS(ORaPkzn-Ib$>tb^-paWo z&YlV{2b_SySj8ZY&y`T=oK~K;>G*~Y8>CL68nO%Jd3ij3@8oQ(v(D7APJ_ zVDzVzY!z~vBS&!S--w^@0Z5E;%f7IoJ6lFJ(d?6c1<7~f$uD~?BXj;vqaUjS`Hcg( z6N3*9&aHNuaRdXmlpJQ#g2j^s8HR` z_8r@ikQrtm|dJI3Q-q4%=!neD*mY&$uvj(l~OJ67REn5VzeVvW=LT zgtFM}-NoZZZOGK&daE>iVZ+|K2f8vgJ$zW+y@{i#AQ!-H4mFakB#DE=C{ZjG0RJ}I z(48Y$KCiY#P~rwh1;Cm$xZv|%-U6*aZ>^+TW+kJCm$6i@wPF2E+_>5jgawpvNF|mE zs@D~JqKUd#BUTS`pr#otgfOcn2~Gf5sMtu#_7;;2+mH)qh1mX{E}V*!gHz!}GRnBX z6TYo57`N0vICPXx1vhWuu{+hs}|6lzP z?*Y2wPhr`dNMx8cs+iD1q9{njDs9Kp*!VolFbearL-aNh%4Ex$zuQ>M2D=Dj_`VqG zp({E{Y@1^D_7|7W%k*b5Ci|@asDS}+{N$2z=6`~?wlr3dRd8SvUeE62>?X*Edd-F*rw#^6eTQ{b{ccN-V-S z$G32t{K_}VtJKItbS6DEBSP-#z3TgveqMWtH)WC!l@N~fwg%{Xx4z2 zlu38eZ(VM6^AQ%a|8@*9b&V}YOD+FAR>gj%MvPlOZ57=90fX|OuR*&1f${uDyIh0j zcv=UHV%>kZB!5MGqm|cePZ*KC4{2XPq0o8u#TG)z(2qPMlm+=j%K*0ZG?=~3_@zq4 zzTOkR!XhPRQ+4)nF2^s4;9 z;0X?CCpcp~=KS*Fn^hqUMbVn1Z3?JiK?%b*L5_VqurTJ86@PpizpWi*Ka6V4j4Fh~ zygJHDCaGEJSuvDH>)$vRVZbe9)7xvCgP=N z=IL_;#8T3=qy2!Fq`(Q%+#Uid)LmX%LC1RE{YD39cElo!Y2LEmk!^E=yPJ74rqtG@ zfc7us?*xtVD9Ujb!!^QW*~-L2r@{RWTi5ursOwjWiZPtSOi%AZKpOfzWoPmXnCWc^$%?LA1D>ff5n576BU8t zjX=lcH;f0YC^A_smTRGnL4puCG;kb}8B^TFraKA@$oEH=pat9Lem-yj=N4a<(+Hpn zIzEsYXFwf&RCnh@`Rh*f#Ezy#Wlb#u;}TS4GFaUiy&QZw4us#Z1u1193rcOP3xQ3_ zD!QI^*9wFornH>!l};g>_PH#qt-hv6Vm8inDl05J_BrkOIpiYd9Q(*BndGN^kwe_A zsdf}k#cavQ<1vMw4E^0W8TJ{sU%qDWia?_#g4`(J!>>A9;ajtL25mdOS#GPd_fcaJ zPuUXA)N@qfOhUsYGrg+-EVE~-jc%G4J&0Yj)RKN?eHcwd6GlU%64&E>cXj%u7%xe3 zN)U7M?ogt(m&x^wvLXG1!wJDnH3eZIRuU}j9QL;VpatF_=_#edSn(nJf&UM5{)T&f z7akZ51IT}EN}2x(;x^6yle&N`+)nv;N^D2@idJkhFqSbFC!sR$Hw_w zfsz7r!bJM-{kdevpm60}+9>mDI4(XsT1$CL?iG=cZS6T3_ZtMd$JWZe`73vG{zq#o z)7keC%3|ub#;#AqlgA8VgDH}VYfjF5stYF1era_#5L)U+wI*jnDi}sEfs1Uzn|_ z(aA^$Qbbm4-tCc6s8G{9sib>}Mw{S?5FrfnlcB|+mbfc1?yJUfdQcrC1EH)4h{cC^ zlR7|B0!|H_)eYj5NFH&TiA)~WZ4WTo9tClLICr!yyI1M`%e z@KvjAXZJc*duz<+@NdUssE=k~VIaLdwrSyF!)i_@ZgF}63dn+A(O)uDla+5gziY5W zDMg6kx>;aBbV8H(!!(;}qATGAl+nS0dxAwVHtkxdHypr@>M`*uCxH|518wdbKaOXdyuD>F^ zO$pl4{lNMT$O3x;TXQ_3j=);WvOSVISK%c>T|>e;&PXT<+>Yf4)XnBOnqa_%-SOEv zeCt{#m&a}xj`fyn2TyiU^B)y4F^&P6P!6N=!3T?`&sLz@DJ?-Fl<3VH7IAP&d!g?g zU2k8Eg^17ZWxXvOXp1uL@!l?}IGL=spqEy#8&paAy^FH&cOTFP#0H&K||SWyQ_5K0oXG>W(4J-#?qVNN^HfBWW*mMxD>G2_~< zO2;}|kB-CHlX+b0#@y~28$-8rkiksgdVDc5wT-|^6u*GU{=2%eFFo^Q4^`hYp#L>2 z^ljgQv4~il+PF@mhnTx6a+mX+E0J{m%h~EL%QjNhOj?`RJ;R$+Z?r+#T`F@l7^4?C zV>Cb1=5UMpkDrk*+BLHHxtDC$X#ZPS8rqciQ~~2U{U74`R}8f{N&g?8!-1_Q^mh=( z0oQkawJ^}HQRO}T?8MHQ>ulYA9{Gkht$pm8|-J#DKhwWVf{q=H5@Hy zY-5d!l0{wcFTE-))j}77jXkm8?DcB%U|zqe+HKAc_6uklI)*2gUUFJ|PU#kNU_`+C z1#>Lj9k8lK>&p^u+}hy5u8u}B@<}6m9M<9Y8o~HB=1f%gnPp>-qdLP2D0%}pdV9(i zTMAjk+_CtewD%?taKySlH9WpH&^RUMj31L4E#;H5LV{O9^J%7;p39|tOz5UaoqBLj z)MGtxI5@}-Mqvttk?^*$&G|R9<^nWyHj~p6O5qx1l| zof^DpDcFGd4$h4e!(nMm>1U z&}>Z&j1(0z3DCW@VCmP`(V|zQY z`#9p~q`QT~IT{fAJ`WKze2@l@Z;LhDjDnc66_pDrLqHbKdxakL8yF9xHtXb|TpCRdis*|VgV=o|qsHk~D%$lT z>Vh3+JefusC*#!G#h&zpiOM?;6T%$&Ajc(u$TCk@9xw0aq^>hm0ilb^)*>y3mJgjc zI@+QncKD!hTlbp%hVTnav}jTzTB)90Q4y z=ChVfLUQt`aIKkqwUPP!V_o(fMSo7e>9M*@9kOofho~O44>S(?c2>#*8@Hq+2_u2V z^Xzfg`|BUDZqXL)js!4jjCB7B>;AQ$SV&*9&HP8J_65B|ut3+qZAGOMgfOt`C*+T& zQxR2>D+;mRZO1ZWYNRcQ;tRpV#*>Zpo6M&)KU1^C%r zpHGlgC6kjv%w8oT+F$Pt=lCbmOtjugL!b1LRZ;OARB(9cH%t}92e`~i7L*YRgg;*< zj4vOqByu9XSfqfKYaB5IcBK z-T=g&yKUlw{08LD8u%cs_*gwUN+prlAVduc28!ayoKVEHyZ89W$RKM(^YD(-0D|@) z8HG>u`y>qtR2;pq%`;RY=%FV2xJ>CKE{%bM3n!~!!v^v*Q5KY4) zLZ?>QpL9SbST`&HK_FOy!{kgm{48m^pUiDlj48oT3H{^jb9>n(SwZYG?(ua%h?(du z`?Co&Apu@OsrJ||btZyfbOs2m!x62T;Y;=@c=_7lK{fa>0D@-R?F2MvMu2Mr_K9+j zF(cS8134g6rmKg670|5M3}T;)L%c?H807>>&nn_dU!=a92?366&=8m9o;WELb%Bq4 zZ9)kKj^}@y$_QrUj1%Wu3OP93u0U1(%e7jp&V|^Fo76t-=AR#)K42`{M&CgZYL7t- z@D6bO<*@op^G&lZoQbXHsIX%EMR|WhZYzxPP%fhx*suI?K zd-C{$!0YJ&(sD4ZjQ&N5;|JJy^^tQFvlL#l!BR>iMlfH;@w`^^h+qf2cc?)37im8P zl;9W$rgPHTD-;a~4~$M2%~S(aOKEa{bQJTI!E%FFa(m>u+5mY7g0L16-(Ts7BcC!- zs*pnDxkKU_<*d0N6(hlF9 zVF*J$#O|Kj&1e4Fk^D`pE0^jW^=^E!zEZ}Lj$(jQSVyo%P`GfFx+$s z4|GgX&e9GQ;V)zY4aP<$wnPSd#SUCR*K@bfEdQ7%Yw#z_MgZfr_8;Q)R}Ax8;{WlE z18z8BrMYZqRa^sJWzg%(n23YpgsT+J7mMkE=)OD8D~Ms=-R9x(T26x@lO$?g7Zcd{kZk5`&+Yxcb8BZ80{hrkx|igu?o3cbj3|q5-^{?$kiKuwx6E zF~L<5Nal47I4!>$2l!Owr7jLc*2K5^IYi>_yJia{HqRQ?bviNSFs9U}pk-K`g*Xj9 zHUFpu4SJIj@>{>Y6OVV4+KautcHbyW{1Hmos_y7t%pLOm8&j#zOZBMO8)Gj*k>?QIwtj;*l7*zl{iC0mj>*xK( zvb_E9@qHAHk+6?Yr|S%%>ws)tpd$&z&VpJ+HN9o!M_8VmD`ct6l}x=|s}sAwt>V+s zZkuA&(#Z+6?i6_xOsBL-KJL7^(<%T>E*;%@K|eR|E(kd=;F~|p)K8`1{L_$3zT0gB zE9AtqQR824+&{$^g4q1VO-Kp>+r=L@7tdeM6h05yE{oI$8$ZOJ^{P%+pa=~ZK4cLV zZ^Qih{sz*wn-w72pz>RlimNp&&_<;e50;Wyx5@9v;Ot6m731Wh6#wkr$qP&o?;92! zMO;I4r`^iL*8Lxjo6|2#e(1pSmi-SG>aX=l^z0N6SwV)p`XL_=rC`A^(aiUZ#iywu zR6)mVV|Ff>K_}^&>f@!%OJxkZc{BFF#A0)k4qSm&q5giV!#XuFR=gYp2d?F9ZP4Ph z2#o*=)H`v6>h3f*YhX|lO)B>wC7ebHBTYa_yc6UjT`IRWJV8#0s-#WNptJ|eK-^H5 zF*Ypust3E(3yweium>-RG?o$W zHtYY;IJYPyWinz-Y&$N?lt_3uu0~_ha`WoNBilV`a9K$?<=vyw)lkY8=>I0suWFXv zJK$|A^{_4evv{(eO8w&`t39gCUzjk}P9jUu%4@e$MOE`~Jl^gsoonF_{f4=!<6C3k zQ9J&Jqy8(T=%XZU8^nmd{(#OeWQ2F4-K?piOZF9oI=X^Y_peo)n1L3NMsYkfV-EE@J(!k^AwtT%tA zXd61_3lN^&5D*a!Ky;E@B?-%Z!v}tVHwr$&1W4p0! z+eTyCw(X>`*`)FN^gr+3GrI@<^(-^bOb)*5#=1Z2TI*6=BB<7Vvq);#RlX9jiB zh^T4=DKJOurb4$r5O>$xI*rX#btq!L*5b=KgQ?q&~EmbCI2H(gv{h(b0;jxVbUlxrCpmaBt_?oMOwf9X3amcZ& z$M`O%jli)Mw%xMUD1EAo=2e#aY#&}8JGiiX#{{QH8BI+Wc8QV!N9!}Oedy8SyFu<; zTGjbxp4T5D`c;Hz*Wc4~UH&0-f8~!^02&MkUC{Om)g|6asKmlko8YRDz99`(QDU62 zJ%ouUGsWFD-QtwFK#>REcI#ty|0Yi-LE=saMPY5uCh55 zcx=>mJ`S4$BVAk(gy`=nXj6>k(VrZK8|g*NE95!Ozh)V6nC(#lmsaH+6!7A0$=q&W zdG^g+S1?CPxte2-^yqsBIMw%#+0NYF(8-Bs=8oLe(MBfq;f*mn-UoP~m2tg_mP_}D zfNuFAGN0DyKQ$ZlSXJ`o#uq(PPQf-D`Nr?yaXC_e*kkWKA*Z8iAwSOQmHAi7>HLCh zB5RSM!|vI2qQB@jv$(xg_R0(XwR2e9T7KQTIcXTV`zRVw+lyXsDaJ}G6UBSvuKxN5 z_l05U*U|xe_vQcY17Z3rl$?zFE#3vpyXGDA@=JbNEF27~MJ{ELLH>de#{i8UDUm82 z=nuCofw^u*w4mecH{mih+$v=o5F5oH63A;1n(&KYo)XTnN*JV6vH{xA&Q!Q(EKU<7 zOwzy}S|z*JjGN4AQzIFH^-yR1IoeE|{Fg*kpL(Bcv0w=2L~dm1C-%L_n!Y@8L%tmg z%BFSseia52H0)A#cd`(w=#A05@cxg(iJqpxw%`Jg2fF5DR)!ReY^xjPu852| zSqJKLON=wwEP=W=mY8gFFSi5BUBZYvAEUh41^#4VWS!o0s&{7^93^Q^ayPME=VZqZU#^ac8#2i zA~DW^KIE@Ne0hGrohMmx_pbLqKMMOL6{JKsbU1Nm;&q7gBbeLx!go;1z=4?xA3mho+M5i?s}q97k)n#>9u?t z@5~YvuwTjy<--EKh+5o)BC*|YOY%`4O!^Uz;M}htft(CiHLc_CyeAXMEW!l;j&Y)Q za!t#w0Hskck}%00+ZdeR5Vmg0q=4Vo1@thJ5nWQ9!y(V=Y*B6oM zf!3aBht7Plp4QxcSi2vTj`_mf*?0o)zhz@SZ#Y(6CGM|a>EK48J6V@Tx)2BYA(8-x z_NR_JVZ{X37Z7BUf7qCRWs;NeJaT~fVj?cygIM}N=L2Cl@InIg^ojfmz$)Nrw~6X4 z&NWE#@;=;`WTpK6wOWOlXPF*ZA+KSv)C8Fc_r8KY@cqXfZlXjoe&APQEbsP5`XWUd z9mQLi#_%(dwUk9ox=(oQF$E~zWLf=vHiQgPf$+c>hlxGMV?5!YV%q&JjoI z&6oXBDbye}fIyUZOH#YUQN2*o*oY|30a`Dsb?+p z@zeUn!d*t4vJZ{{=kNzbPhvkz^oxVPnBAL~n#Q2AQR3iFObTx}QC;+gh5t#(($jOD#cS!OjHa&1 zFC-VZh$dc%i8y{KN6c`Xf)e#M{IPoaw+UO{?UaKHFO>tBk-0XSE!v>q>rfozD#Gt} zdKP+N(qpxKQ=%nI&bVGuyEL=C1H*LMyXqzN*1WM#eg3ACL4$We5?NFCi<@;AOX+T} zlw~y+<~xn*Ayen&{);|0e-vvZCr-rL0B;;Il>fwnf6WCeQ`cn)1prvkE8OkV#pP*1 zMrZC9Y~ey+5e-9V{V=Iyl+OlrVQ}3{NGlXSNchx<=YJLzpH>S^6Z1eJM8*ZbmQD+8 zaxs+zU(<+(Q$>GUtkcj+uZ8D4r@`8=7|&f-fIh7D;{vgin> z*c0G4;J0Hpq*Ylc_x46SV#ocXTk#2I} z#Vp4C&tI>s!IdC%Gt|I~IY!z+7hZZ2mYPf+H1SFA>d_FSXIqV&kU#rUWIBW&jNnY4 zTaqxB7hva3^L`PNzDwW@9d$?~N>yCxIsG0sqHtVD8es~xbHr~}t&ua0hp!nQyr>X; zJlcmh5$)-#7w^KI4M`s{8n5431)Q1@CJv^X0YpQhC|d7I+7nrxYV}ppS67WegBvM# zT1~om8su0CC1KIS-%LW&z%9nNHBI?C{AU|fk8DObR)`S~@u!FB@X@>IYb8!=w=J?z zSI){6Zb=;=C1k)*7<2du{^YYe#9re5pK04f4#aQ0=RoJ95@t%r^<8*T{NA^lpbjxq zsodG2*%gJi)K^a|BXV7Iz+Di?jT%-kkzuJgW8%|SIGFv8(m6E3+~b@En&G})rE}a7 zrX2$3QiArAV#3u4QxLpd@Q(#^a^A}}{IAf-K2U-iY*Ktro?`b;ia8ZqMErN3Eh7z_ zkYg@#{v5;mR!@r~0XTWQ|GUV={8uGm<^1gb{3!rRLP9vbB8l{dPUyB)D6%@8V{$?b zG>sZ5!MSh`kLg2JP6S0e7aC?fpQ)#IS9huTc!mk~?q0J8^7tf&v5G`<7u%6llXeAN zP!xHVYODk6@~C8T?Ci=bR|tr;s8?3AX4R4JnoUa|A_`;Q zvc*aznw2pzPNJkS-|}Ys@_0g!5Siw zG2cr-3lI}h2>S~ozuL|q4jN^mWDW(u?9g)!6`UjDS_y_~gpYIM&^K3VX_2d)0axS^ zk{-{%kqNZ>n6Mu)T$I4TB2rk(v+!UTFw2UE>z5dCmV7aM*{U{ym4q;jf?+B2h1>3Y zofCC31&;0~MZ=JFThpn?Umm%@B+1a1+6Us>Kp`o<>2?nV6X^cqW`N!i*;xg&5tIKz zeEtgFDicN_0eTpKyH>cTuViqOM6%OLGNFxdI0zQ=Off;JzmM&J`BBfe6za>}{jL_|S)#HG>a z!5?vrO0=n<9NTbfD|GY3C6W5E5TyxqYlmq@h@b{?v9WwzN4P~41y+KB}uNA6IX-oPIer4D0V?UV>5p3QcBB+5wUT#XA5}sm0;JjQ{d7sZBkKzgK> zD05rc#I7G$mx3KHGuR>!glghGPx)Dw0DV*4mAFujB3?25miJ)2L$8{a$@;2Iui#8P z8=;TrxDIj*{Q&f7wxd*7a*Xu0C)6N=a1MH+n+c)iMCv;NnM5;7W=7a)6)G@Pi zgUv3bG2uDCGm>=g2bZ&7%U=XlpepT!DwEuhT+nhVk2c ziD7!?&5I$g4HaEgWg3k;^lCr9TrL3mBN@ot2H1m@kbYbDD-nH$(TC<6mn?-m-}CBoBXlB%Du2 zi5-c4thT=WA(-*=i+Gy{>Ysx0%HhTuLGCHHYjlCyP5E)|ALIugu@-Vx`|k2d(+HgI5hXC=!p+P zMGnO)sx#f1f=mU3xiKX4jg!GtMC!y*mtev~5;;&rln0tkxww2X*KNYC@ZGRr^b~wO z*L*lq+=)%1=pw_Dm|XIop^zg>MELPDPVHFTf4t&HMHe@@0DA%cAHLLIlbx*i|2y#y z&zF!a>h2qetT>HuPf(($?>;cT(QxL*R@_C%G7NmBQ>>>$F3pcV*q z%Mpo%cjG}XmW!TKzC>TmYK_oA+T;`yl5{P$!G0^Ha zkplon#$yl^`*_)z^<+C?k=cW&<$qi zBK-dlk-ze+qmi4CSemJN6p)-a?-h>?+xRv znOB)Fsgo8V^1|YwU(yU?NjJ4h7{!ehPN5-9sfu6*Vk@95>*$P`JuQyLFRbSCK)%c$ zXYh_dL4HFFmtRnp%j_tt^DmlGDfwFEtdEW#iD`fFvHmFO$y$OA<|(VkFsJBHG7-Y3 z#4ks{{NvLH1|eA}LHKIVHJc1U7mlAsGq)&0*e|{mGzCe9{Le3;#$`~es?|W$qy}p? z1a388FIC!n(ZUE=m~~>+MXV^?n$=SBq$-2Dhizqed^YTQpThj6a-_aKMI_weWJ#Uz4ZMCJ^tvA9B8#~uywk=ZGaKrEMv9DbcN6Q#`jaG``eq#u80ps#a25wh=-S>#ARiMXO^bx_%m)Q4 zwDK)866LDMka4MN)5Hhkmx9DHw*zl;V<5~6j1 zw#;#R9|fqThxu4pXLlry$Y05mAl{5;bvcXeahx6(eB;FZ`zuEa2J(|E0-%=!n2RH7 zL3MLaBrsc=+R4IZwnH+$*6M*?P(e{wyUCcS;dR85Be{&X)ZI4#f&K8;v^c33R7fJf z<$(G0kQh9C_*zTmXuI1slR-F!B_>*D$(RQCq;ihO2RpM~rLyUVK6Ls}3UAaOEu-_C z@?U>J7v82HJs>e4udqf#6`u_%cyvNJ8_qe6RBppPwB*Z{S< z|9zE24w={X{7}6SvOOX+#YBCppYd9ie8B9PMUizWXzRQ1irM-Syr=e{oZ*yEU)$ci zYiwRGV}IR=vtF5}R;~(5pM&aZBkc|T8bziaqkcS7H@-EL%ENWWFM@q(P!H_r-DSN* z>xQuyw;#ji;vjc!+RHzQkxW_ zwsK33YAYcp5;q@oUP^jp-7s}1PN)bNBWaNdI>ZAvQ_UTRQcDYR(3HV1r4|Y-nH=qI zX+xgLHGk?#42b^cc{Gnn|hj~dzcw@v|=#Nzctlx{ycuR8=z{CQZ9F^sEOK_?Ua_$bS_}ISfjmVS(-Do% zlisyguCt-GLuX|i+EO5?DbqbAo0D(O%k#9T+GGbssGb?P! zRQnE$;2`$>^P!M zu%Hx@hcH%+>j82E_%ej~8=^k`7G>V2XlE{FJRC2W|K-SG0PJh~HjT3RxDAj8pl zn}0ue;mK-qd4x7-*R9))e#3Xufo`=HVzPKi`~FaCpgd-Py8E#Qb^3@Fd}e~cr(=Co z|K{Ahic9~+9O+~CYigmCU#JF{n^GI>{IepFfvTXE6izV`S@hv_pMzj%RZS)}1QT*0 zi?K9TPE068(#=A_MlmWPlW2k`+N3%V9z27kMyE&G;(&rVK0^?iqH`**uSGpH>k91& zXj4!jaaKX8d?QCw>}aF8uanY&gji~7BYEQkPBHBFMvMa;2WfE+B?_v7i}T>3erp1n zcKs9if;2}axbe^vz`u6lLN^u&U;4~=(&)~IYa-x)%ak5c$aTr~mB?U87o*A64TCQ_ z)O=f8*HSklHPzoAd}Bm^iBw}Qx&4uV*o4u+%J|OMcObG~k?FCDAtkaOSlmGi+I$~2 zmCz7#1~pz%X-5Jq%H%!+8p+96%6tAU4c43oHZ{2!-h_ExMD|dr`b*^`x=e3$0%YU7 zHMkpExPKtU6-Bzn7L3DOeaG-5;TOKE9CnJsD>{k0#djtF%fT2SF>@iwk= z4AV6f>F1jXLtY}eF`PI|=_e=}Thte&w&Gc~dL_D1njf>&hmG`WSQCAcvlAHKz9-P) z_(os8q-L`=n(tKG67XDTQ6x<_1L4tYeJAx%JCZnpP`+m#B)3POuNuvjIP0 zqsnfEH?meG98f()sN?QO~dk6N|ZG7yDJCq=5pZ^!EH`) zkXUcPI2Tw@SasA0@(WG;-U~X{z4A;(j!jdpC)21GjJ%P&9mrkGFz`Bxa~TC&`< zPbpmnRZPSPLcwRY&HYu0^lc_Q8VHQ{V`L@^Yt~@ANUWW9;9nA5f5l3h^jooF(2|lT zt+$fze|EQM^p>X8y!?68^m^iPZ_8{Hne}S+%6mFW0d#q-KQn#@^rG*2d*a9|`{Jet z98~3Vas=@O(Pf$Kf{)MfsD$TkekJ7cLt^@sVPJ*GwEMODPslqm8rz>Z zFQV=c3BfspB0-YuWG7HHF`J3NRL(5w1&TWrfXMa9Icr?w-8LI?n`{)cKF+CXQ7Tyb z0zo0dVqknou+>p!@UDK6KFcVjSQEVjeEJzO=!6G$v@}TskVa#VrMS~Tn>}O(g;qj{ zAowdR>)ftQCC2HXAdo_sRD7Ni-YY_R*WeY!gD4!{+$jOt zF|W2x<|rnH4L9bbyyMFB7n~9m9EY+yD?eqtK&>`UtU@72X>MjcA8;lTP2v>1=mkSJ22Ym8Om!HJm zq(=#*CagA;m%>JZ9${ThjF5EZ;_Yu5RkFYbn9t6Ck()Mz%d8o(ly}W@(MwnOHB;+V z|2AUslguW>MgTz1?b7PWYc@(drGAyP0!~8 z8APRIX=2{GcUWGWQ2KN!;&qX@BZd-0prTD+S3AVx$6Zr zX)?O`P>)X(@?Ec8YF`oRpAd3@-ls8wtZaJDUyxVNN&jqP3lbPjH3vk6@V_A{%U_XE zW8D8B_wTCD9Y{R0bwfCsVJ>t7GSwJ1qk&6JFEfQi8^cO}M${>%)YUyB#K&XSooO~5 z1j&9p($qA?ag(x{f299V2Zl^j0BQKkqre4XL>RJv-l<5{od+b-swk11lhXu*=9XM|)L3^atj znQu`yL?|?6Mhx#h$RZD-vS~M0#OllPn}kv&LOMK}5-HGn;jrL&u3nlAB?V~PyflS4Af^mV8)sYQqXx@u|0x$jlW zGQEQLwd-kDa6V*lMh6xNml=AD;zOkyrEd1>hby5h_=U&ihiy*F6~+73-5+8(e9{)Y z-)do%f7n%j1zBG4lfSz#0s%d8-TYL;(R;rjc~n&jAN=znG1ePYk+^Q*5NyAKfrCi0 zi-bFOr)f-=X$p;i7e<=UVVE`6!h(-~KF)-Z#FFN$C4bgF^tx@_A&eB9}zk*Lcz2J+Fmh^^2m%S)oIM z+W>vgli~EEBYb`f{$3Co3|cqV!G?DtX7t-cXS3j4te`Y@Joj|4r<$hNQ(K+Bd?tT! zMkqh1X@@t+@Jm5ZG+R`1z%Cc;RyoVK63_JkKc#+0_}o^91tyJzC^?SUve49OsFNLmtMD`@Ep1tXTF*jUA&}k#WRorXJI7S#Iq{p zLS>#$H@hqD^Fu~SSmy_10~Epb=~1;?Gi95z{N-hmDV>{G&(%+wq`R=k$Jjs;XFVy0 z)8#?4kz(93!Lv7ca>3ZopicFzrwmr%)FarZHv|Efz6-?xn3&vnQ|p(xUeIp5*`J-m z!wX}7=zfhzF+R4Oue-yMwmFq6{A0)h&w`}W?*y>uA0ql!eAWmks{nT3@3Kl}Ze138 zs;Z7Jr7DaJ#TNzTJPGidasmV4!Q*VfqDUt_ z&%7cY&UJBPy(AHkrc&l2(G@D@wd};ZpUazvaEHVuG@caNQUysAyE|5H@;}+I7l82N za=D|0dz!M`>(yH6e5gk@EY1rIWr;||;Tu(=HQ)LXrZA)gwZmy2s{&7NA4Xj#K%xSM zQmROvjPUE74RuEApDiWN&WhLE)D&lI2vQtHYm~sPr^LWzztgT$7J7HD+Cx6aw~G&t>q_15?pnZB2*<@J_7AGR$J_QQyPaMb;`TASss z_{}T+>+b|`aQl(s_6w!C^}OQS;F_s*eKOxsIW3Hh#W32i64=%$cCQy zNzjptHpW@Om<-AXr(!?#^RW%RYV+CSQZQ9J_5^{A-QhbtkfC zsJ^1iTyrryIeGB`Z2Ct35Y?$^?Ix4}K6K!J&(UM~D`LAy5RluW2aNs)I6P>>N?DUR zBT~Ye7lEt#&LwZEsHluUlB!FdkJwYH{1~nl(jqShRiFhgT^^mdW4n?uIl&P`7}OUJ zP=bb&p=tE*P&aO4T!Spt^PWxA_G5ieP?KaX(v_TFh}g2?iTEyOzI;BzLIo_kki@)U zQSa|wRF{<0;cJQ$YAZ$*PK0cOqOebt(?Qu4T2x)#-#PUnWMhO!bdQwqeqg;p2A*Jw zfbE(s)|R@&D0vIx>+P#(^2ysRvR343NI)Ky@hZVvFQ�?#;adIoU-z?qLw*@J8{{ z(yA5EOkQ!}Uj9bJ;rCRyz9&*$l|6KRMem>|H+prlIq39k3W5~3d99eq(V5RK_duPI z3oMPdS#@;M#ONERnk=P~<0b5iy%GZ!i_GmZS&E=xXko4SoCrVR5A$Zn_(uPhS94~) z-Cre6@7q$tRU~B`qyb5y0^2wum3RI@Q@X-*6t21jW!8;-ItSX&U(JvfXKA~qc0M<> zO?5#YdM&$l!*KC9C(Dp`ez5KAt%LKn_WYCO@$3unM(g${L(J66+aJ%~UmKlQxPa)V z|HC%>EBy7?NCv@8HxMvC zDN}^$qY)A`BRaSwP?>U>Sxg$_?@o)!xAgDrm0kL&L$JZK<6`gR@Hfe^`1|WF?5xG} zH#p~T$azbdlUsQaVo!zekI*HAzbf1v3j6U_flVdW^6%l zvQ}tE-1S6e+u5TQ%X4Ovyp)`=)YZX}p_6kTY0fq)Lu+?Y%0WDI*EvkUIjX)oD?zRp zHz0X9pi##xx=fIwarOqoRp!F%{E32^Z?o_G-S*~>j~>tZ4DlPzpgo*D^N$n*mTg-4>P&Y zhG1_~Us2E`&M99{N8SsuCHJqSr8jhWTXONKFUPLi7JrK(*{(+0Z$2B!*4EA*Z?De) zuxcipsCQw_c^FzxjtKp$)JT(XCgQO1v6bGGraK-CE2g$C7dqg&;aME%k&0Z7Pwn)d zHCpF*Qe}>5Wsftf625O~@+mvp9dJ5e4US&G&A-|QH&os07*1I}S~c@!0|%>eh7sTg z7`kak!7Ty2IE8CI3A{E;ZjZ&(!$Xjl^^o5f=#f&ENI=7)Bcgzmk_OaC1{>eXx-s^$ zcm1SVpH?PPh`>+d*9bxkJK!IYSe)QT_O%OZMeze)!JWE8|I&zQ1xuwIex%PpJq)i- zh$(NDy`m56nIGOlY`LsfTqjoSONlNg&_mrvEC8=x#wypX{R`jNR2NU@>m-A6N9!yx zGe`}!%nU>z=?R2(nR3}O8NB^~VI!0SE=CylaCq+&UoLo@q0)X|+t>sy2JBR%{4sZg z5NVoGko34Pzj$;bnBNQpF%6O`f2b_AX?|E=l`KfS9KQMHE}~!^v;M9!H2gU{QLF?h zqq{3T$i3xI{-B;s5N;d?EAk#ZFb^RMD_E?7o=3kF%f+>TLXr4gXsTIXg3%;tD6>dQ z_Cy17h6F5AoC3Hiv~cLBj2SI)TaWq9mc{1>Pj>7(f3(@DTsf-L5N_k4j3!9i1F(n}1J9FN#e0R7}u>cNW#X6Y9 zZ))#xb+wxfa5uE{x{@-rSWXbz?nIwUQ*ll0gW*fvb$9zJUdmSB@~N$^AM;1HV4)kU z*MaUE@BO7!?Q6ahc;r3Is~p0%SbysC9~((WqaQI6aC%Msch1K8S7?_N|9^dPFTvC~ zU&frz#Yzf%Sk;AYXOiKA<2OW7#^Pi5>(1xpWHPD>NP_*~#jm+IkB+ydy^S=KlGTZ_ z59mL(qMP?etVRb)kl4!~aojM#laD%!zryo~Nd#A_dDJU-`I-^&)m`;lIQq;WeL?*p zA$O4#6;5bd2zq2q%<5ayagoH_Lpnp+2mB?jd(I$!agicD(xjfNgKO!PpAPYtT3%T# zcb?s1b(sD*ZLyiyGYqX=d=~4q*6Mqf-!izmYAQ=WkgjIdB>_3>{`MldVvIRVSwq(z zjvFNWbK`;~MnaOQ5R_Yr%H9K(iI_|UmeoM+A)0M~Q+Q)wbOW<9nULoh0&26Nw8VkM z!W1o;iYm&-4M6j-e3YMxJc!XZ`AYba$;z$H@nakVL!v{5#qCl%Jw3d$CdkmULZ2>}wqlVuWe$iGQe5c@ED{y9A#y>&4~9nPMz*gqFvcGzImam}ibihdGbdAi zj)Gc8IFEx~<%^EvTC^{MqSVMhZbk>CS{eDwlw7~8zft8#DP(6M`FOSOfC$4;UPf9v z>G7l||D+Wyc*NH*KH#7OKb_^AMurAnhdYxy3V4_?I8OEZ4wqTC|K$TDN5~Xj92;nn zmqJ3@c(}OpTYD7fcser8y@!$RO-H=Hcv*fu8N9!e_@vAL-yG1aoAf~R7h~pMv)6L* z-+ASpzr3Xiz7iF%$eR_GUvZ3^(1;9{*JjzUwXB?o#~AVcqPnjU2-wwwB9eGuk}#@c z-WQfM%}_RigWBMYyY?|7khHh*V7f-tU0+aeLgvQFI+h-(iyb~Ox}I$zyMPu{_QFE%#UQ{dRXkWCDxbQKJFq$|xU7@5~(GjcHuF`AKYYamwc2l~0|b zU2e4*f>W2(9;b8Y{6oY;*1-7lcMBBdf3qvrzY@j9xZgw(U}M`EuH7sCoT9wii+#*$ zhDw7RJQB*%BDs`EoCV(Q+TI#fgj~uF=YY7yJ^k3JQ9}|~i{}>m>oZ7M660iPLtQ?3 zuTp*ks%nxRk#yX$qBW#W#!>m;y9Rjw3=z)skoKL64L{d~hfe%?#v}{9YGo^pm3~iy zCync<4oDw6Bxexi(cEMoyRW@klM-9}t4t%AP2fO8n}zv`LkcYuimb`rf)R?Z5teppQXEE8dTjVQ6xJco znY5yF*~4&1#K!|M)4%4=yYN1I_4)4bMrbnOLvr4u-uqW z_)QDOZ~hcdb@M&0C;`6v(SKKdS^vrm7vtmrPb~D1EAQ|PZjQBvlk_mKc0v@RWEt#& zk>j;xmK72bpTu6?jx^4!K}y#%3WEKox7!YlLaE`rRQVqXei4`owru#{zL2*YQq6FP z#VA%Ne37Y2Gl3L2PLn9Wl|{Avj;84E6)}`BjyiQ0MZZ~y1utk`?x@QEBcApH!ielt z2{Ev@Yr#(I6NyFPOwTWG8kszWUG=njTvlhkL*>KzR4QpN0m_%f-=JJBn+C@TrQp4Uy`3 zZa^jal8*^}d{U3o)m#NujV=>wFluzSl*_VYj&xb4m{Ih2IwB?q+cmOx5NI2e=C02} z+8mPPqn%%JH%L|W(0kT|`;T*E7p&C~AF!UC|KY0mE0at5KQ*J@Q>rBAeo`&hQLR!_ z_MtP%uGiDXlCt0pKAl~hrdnMzY!Smx-R);SR@A{1apz}}_Tm5>3C$Ze*hIOI!;um5 zf*>Ux<)8cFehO-pOF$$Wsi$9B1l>Gbm^j@Ek0MV;sP5Q_hnyfkOPJLSj2-9c4V8d1 z-t3}8&NwQ9clmPSJ#p(PUAsjT$IJf^cek2Dy(9>+fqTy^0gn1*?~8f1;d`2>xAuqDjYJSqh`|#YC)`J8>Gs2T>oGbB8rf>a?a0TfUrM%7QiKhw?8i(DvTSC)wn;`}QD(?6_J<4^fedC@oejne>|8R`_^*Pg-s3R5lzrK2uqdMBTCrur#2SHG(6ht=Ly3j$R z{4ZF>3!y7`Id$jJxdMSy6Bpb^+#!#d>>K#PW_O{6daXmqBv#gjfofHZ5&ptD6Gp^P( z{v7@jyqcYk=_=#M!GZiRROr?>6`BkmD7*Ty7m_}kaoD&6dXw1C8SO-M6vnXDtGetH zRrAuskOOM7xv=L%t56>MyC$JF!RJNnCWSaFU3T0myN$+7!VNc_e0dC6=6f0KrI9#v zuK8ICk9BT+eKA=@Em307w4|t~ATEvRiepRj=Tcb?hIU5-fUzgP7&4^mUshkqUj9ga z#g)@je;49r|6#fRm5@~?Z2vCAh5Ro0d`h^VPCu{n{}Gg+2!bI*Y#D8hFC!Vx`TSsF zWj4%t7Q{y2x6ifxWM4M2xrK)o;GpVa1@bpv&oSxWp!E;>LIQ;{5qkSu+JYN#7#undJRPf`ED zlwS42Z868YTol8yqks(b?`$t zYDhVKN>S>v4M&s9SMVK}%h+>KdceKMxO`O|&&Z3ysaO~eTEV%-E79q5&<{nf6}FML z#T0YId6J9@-s}`w$hXv*TKyYbIspk`#q^?j>y5{yz(Obb(?+=99-X^&&y9mK@;oc= z%PNq>>Ky-#n~1PF--|Q$*!iMAa2k0SOi>gdD*sR(W&JBo0~F$Z=c^*yPvK9%s?KJa zMhI{sn@O>%Dr@-`<&Y`?Oy8Hi$)rrJ8J=b82m{)W9ND)kX9FmW`(#+J5kXHjMQ_` zQDO-*`by z<-3E5QGDqX$A)}8(bK9UXYZ|;l??r8eOFbVg%D#exp9T-*=9>a1aH3SMw+_|akR@| zN5<@{n>Hu}8fH>OpZl+5pg|RoOE+Zi`s=maxmu2#@L5*5-jpbHx~LG znJd-MjtV%WC1ReYy85T5*6N27No%6od7<4P>l@)tD#YaU&E|1c`HXi(UKpH`M-6JQ zlwywRtJ8?;C6iyyp!s~J`2OEM)qwDfban5p(;V~_YbZkKGQTSa(T)&d|@zg+Peh< zf~#8UNmYFkrn=_h_%i&6z#t=>af6aLBS-d`7$>N5xKJO|rPxte;O4 zy7LJQ4UIK6AAq=lc1kq#j2DOL#)A3nP@i(YrRduaW)Nq5kCt{Vs&7;LR#2oc(9*n& zLP=F!c<`PY_%qiHEP7Jb`bV!_Tx{BgEPV=`(Rst=aJGmi@n@aJkFR|k-ILoiw6sD~cHfnlb zXo-WIPvxe8vN1}_Z``F&KLp(>QK_)3j}q8#l17Z-X)`LCiq_0_?DI&|XEQ>FcA*xw z7~E}yfy!!v^9bm_3gTD7O$o>I+B*8Q3l@8&G?XdJ>N3+UKNXdvop)BPNJ37#$EX7t zpr~Vq;gTT9&2|mu!k0Xl*h*dz_oc1eM+3r!t#8C?vpfnghk;Kc48*GxiA+uLHaL2-Ln4|GS%K7dy@*7hMItCMleVWn5hX!-U;VyueTk&Kco3nEt#7 z5rv1NKCnv`MdvwI&kB^r$52`*jU!l(qRlT!8^dgXGsiYT>U;1HAu$~~=$h>iZF@sz zu@tZXs8B3+7?`^`L8k4W2JH(J&6qSv74=#Pzxbu-r;Tlt@P45}uEz%t6N>fN!sawq z!W27YM5R0E8l3X0{Q@QtSfS7mE5L=8ktFfPH>+%%NdHRP+(wK<8{C?|P(pAyYOg#X zxgU?#(@Z&OomH(Xt(7@nJwDjka08lHOAc$CFA#^RVuB&3Wz+vr7-_ABH6$(&wx@^6 ztyXh@S(zAW8zb5l@@pk8`lZlHhE-8xL@JmvFR_acnII2(jT5_4alQ7Nic-Cfg|M>; z{heoOf;FV!kKA=b-lbwRfcb9r5D@WoI=9o2+|cr(?s#+UeNjiS;_1)4Z-Y$Z%RNrk zm55;Y0R)UlrR2n7G6lwjtZm<`FF%L4`v8mG!NB(bJjD(ca?dhZL-d50nO^IlT4cMq))r-tmky|YD0b}qhc33sY`6PRSlwZp$zCYL6_Yx!$7Z~Kx`{X<$63VDa=$ui4;o!pE(Il^&a#hY0|_Ju**Gyf^3ECC2WUmeQB zC5J}!UE4R0l8D#kl$p*~$jV1c@As#3lQ#Cw^qzqjQ~W908S~PGcBZ(K1}1^+{1}aj zF=1p|chijIIM8IE*{f9h8L(QXZ?6N&D>6kDyBf7?7#U_W1mt(XaIkR}*z~(@1bCxN zY3;VNgQ8e3Rc=@m>%0|tZS4h44~H$N(bf;BY$@9%YO^hTnW6Q`bCqnega5uMFqiFK7IIRctTOYX0x$q!NDo%=VDoWQS-0fVd(qbIhw%X zB)&4=t^>s7O`FsNH_nD%taQ3-!i&4G`aWa*RJ$^g!`am16%7evm26iP+Za}me>jwE z{{n&T^*(g{uzKu9WpRIkm%E!5nj=0_XA!MBYgkcDOKO!VS zm1ZbMRw&z#2C3Emt@-}gYhNJ+I-4h(tvde%Y^CE`Z~E7!RXA^U5AMl)?F|E=^T!`u zil;J~FEoHV(&NAHNVdPC*~<9;f6DnI)g@i*Mq%kiFkJXEvrdVS9V2mN8dRZ-1XkKk z%-1aTvdv?3kFowqmnoi*_Q)j=2#7XxSDe6&V=u}|a$@2`72=}Wno#tRMPU;y8odJH z;z?NzwT=yHs?-{w*5MVF%tOsCAsZPA70`0OaaKy_^-f?6ESghD0x{9{4w>G<+L=?V zOgmZg^-oCphNjK2*;{SCXmdbm+k|#Lkr(*(4rG{5<^>&8&l2S5SX2>p7(g8Tl6%#_ zv0pL`pmF!jXA3oei0O`M4BBQnum48n!x~G-U{ak);doCA$b)WEY>|HNA z%C3^PBX6{AL_b_HN-xAlx~^uqfVqz_8#0-Dj*;Ez?KNH!No)RrRbF60J4+-< zy5`s70Em2%Ap5iu_cq-@u8h~E3Tbm953nmn40+;i3~B6!ZkGv5!DR6jXL=pvQ7X~n z`%omicuD_vmJXXTMlHki;}s783{B@_hCNC*0vrw_X;8CPE}kF$*-qoe)p#?84bJ^X z{VnR@gMXwNTZ;8lUjQpQ;vc@|UooY1?El_dLxA@dw;!`cLgTW3xa?+DB%woF@V%9_ zqFlVh_SSd&DvVZkc<*hzuFZ!|a*LwG{o8aVrU?(WI4DdSOB+3YBpxDD<_igrYEz>H zq4icI#d}+|cDy%92!#r!H=N!H)+(lS8hhOXdpOt&;OLVBR}G_IpjIwDP!;kRFCeG^ zmS{Em`Bitg%|Z@K`xYo-C$&vjQ~3*xjT#x|aC z$SbJFExF?h&mNr=px~}^M~qYH%PRrKNU;4S1vhGw13B5_7CI*8bVk?IW+ZMTWt@H| zXj#U7J0phpRxE35p*wuYUk8-f4P~M!-tN`iIlvuP8EUZWdrA{d=Wm8_2

bk&$}`wEgsfCmWy4d@XdH%s(LyA<*_HB(*r& zW*a)^VD&=QI_NAPEY9PsxV{#Cx@c*62~|)Gu;+qGrau^Fr2WB6rm;@EI8!y+3t2nr zqw{94v!Nf{hYRD!p}kWBR^#w1yg&1HW*0ijbQFy_+nTq4O({f9U79H5@pvt}pUctO z&NV_dyR+nj?fnmorG(_|NDWwLx&QDj{tEjV4#AYLk zH4qV6?T$EVudZPFx4X2=aqIKkUQ-@ht>R%<3c ztvdnxG6JmOJA@~+?nPx1eC_!$%P5Vq3j|T5sD7H$nAG3ph*XYUpm*Q2a7;O)ev1>W zHv%!sS0&Q;u5~Ye^n`D-eEj|dE3-V*Cg92O-IZ(A4J7)Qo!fn2@6CyudBFSQcz6EH z4E)!-L?*$9&*UFlz1K%aMi~$SxPJ)2UrAz7JdZ6v3l{wN9=^`0uu6(IrKv(4>OUr| z5JbC+PbwRUO-BCu9Na`9qj%;#{jGZY&Z1VI`u}6>8>92=nzob1HX0|5Z8WxRyRmJf zv2ELGY}>ZeSdG7{@AH1|T2FuUzSsHd%v!mUYi94+dyY9~j=0`%&yVkYuu+Tb3Sbpm z4AE%lG5JxIrE#$4#^uPrhWbunZc;BpGN6ju5Ub-?0KF_RU=YsU4&v;Nz>|L zCj>PN2$!}~MKUT|CL*RF~^Flb0r^o`+?!!ym4 zlQb8elemp<&U7DtO!d4&VfvyAa252waMfSY$Hf05&W3f|D;cG;Lk+2*apyFZWRRCl zlT!EhfB!NB+%vb7&_#MT`{G^CRL_Y!^%=XL2y-}RXvdg3w{9?&Qvcz*a9&N|Cnp2x z_`@3crcytj4QU_xk%9<==nfy)d@45i0pPI``o(W83KVjw3W>QYG7F-KTUfbV7ULq6 z{L*@6*V}St8r@~Nvk4mu8AAc|TpT(l+UBR3B1cE1>oKfo+HYL6l@cAfqb9?zfx-}` zxate`vj|{&5M)^AVNrP)k>zw^k*wklVZgio5d7nPAG^tX@1Gd(9cAeogy`*fFfE6z zha1OG1n3tp1-@vpg8rbyfHVs>^NZ0lm?Y7mgjGWn)pnH{!K$Au*p?q}i=VnPvg;ap z!GcyiY_LdvX3c_GOpdz`{K#pn&6Ifm6-V+EbG|?wq2?HGYk-w(Rq9-+x5S8$&`xoy zd?1~xC#sQt4)+B2-)TG6YQ1kYAl`2SJogW?SlRxXw+Bsc{ZG8V0>pc|n2RZnwH2or zaRLEiu|UY+P=7t8>Mdl(eEnp@E-#a#u{nPSix!~DPd#-F-vF&l&mK(cRn%cq<(|l< zow;6uNKR|MDb-k}pl7S7BJ0~!C~iAC@f~RK z9?Bdo$&-pTr{0#Ouc6b8DZ|^8Q69)g?yRs)D4JbuHZH1GHrM^2-Z+S+XIPeqw0^xz z%@d8M7OG#%4`)8e2r3D6P>2g54-eGQri%=mMZ^_;7E`qu{gP`I8i$?#2NLJ=tH?kV zu!hF}#hvW0NSssLj7%Q^X3%eG8NJ|Hfw96#Asgng!-+b~zU8ij2!0UDu}vM*0cGsV zDUPij-U^ItOa}?5!Fw-1Opy>Wzpo}BUbrqR8aB-83Y;zb7lc3hLU&BCDx_4Twk z0Jh_rI|X8U3~&&DUXfXkP=>S4Ycm8}<@yBb2v&Ix_GHVbA7f${WFb>V%maor|9NnH ze3yOKNKWLu$QVWhGnYZX({$!=!#XJ8A-crORB#NhkFp^Z#)N1*P0O;HaCdM(LQ8Sd z)qc7Msmxo$~_f8K(_17u=D3#qjyX&@QuJ+RM1aTNgDwrNzY)4?War zNb|SICs(%xHAW!VMM`8JGn}Kovu734eyp$);T&&X3x0n4obAy0$IYg02AWL+5T~m? z|07uU_vM}H!OJ)rnu}zD$hR3^fSv&ss^j?+YBR_l1nYd?4hKwBJHe zVKj(CWcAhOc6H@iYL_Vj?nnf(QFL0HmEkA289t9Dj$Oy3^$enuKK5()34ziI7Zpa~u=bCoG(%3oh1_bPAA9 z;xPF&2s>UoL#Jvu7U7zz9F81$d&@X26J)2$bK4dYxa+##`?3u>$wOg?hGlXj@1EWv z3Ng0w({P=>=!A<`)J6Hn@XeT{nz9$PRX%5(As*CLw&k%7TVZm_vCAT9l*Zlh{C0uqohnl+5iy zx|`+|m-6N6EI-A4W&oi=lto#IhQG$!;G-B%A>?gis09Oa^UN$3+|7mkHXaj(r7nmD z_M;dI{-u}AGfE%&dr-)Fbd*pZ--+2&&0;$=vd&j5)2*vopmbG~EgWVkQ4Ri(&c{{{ z=r~0P++SDFAWPt8V~Q~uX1&}JJ8sScEv9CVb0PQYCkgEFv7V>V?wLGVX|(l1Ke{uz3G2EO9OTkjsVJOH zCu1a*9C-PAS)!ETICAy*fAr}%oFT<1siC0D)46-#oD?=bo=KO@vhz$!{r_9Hi zgbXGL_a)%Ec0wry9v!2TvU;g<<~=%>3Wjq5&Qt{;0iITqrSLK5{WFxxkos+5w_knzhpTW)p4GQQ3hJ zo^du#&IiSpUNvo0H*Iti3N9TM)}V{o5tr}CtfLcnli9La=<11a6+;B^q_vZvN?5In zVpr^?^5FA3C~JZo<*r$Dh}6>?&aQK#0gCSDl}|x-lXZ-f&@wAX`jJ?<_!C#1>~hmE zOlhU63Lu|{^}4ZHNIUF^-}Q%tMjZH^ok=rSw~=&j+VXzQOjs7I;mMMk>lAW@tEj_m zG@d5nmlQS%&fCU-`0)I&(FH_7VXZE-d;Lf3j@2NM(j%Zgc3EfMz!Zg=x=?+S%7ei2 zpnL}wgdNlh#{`GKDm#&?5)H;VcJ{r}=>Ykj)Zwzieq!46SBl!V3TsAIsKNJs^+8A< z<;f5qoji+ZI)#FEu{j@D=cOwC_2h>dTdpM1vbDaL%%7JaqTDEXlwI$bo-c-xj$f>M zhDOA&-AjYHAOC15@gdCR<# zFdV~1Yp8&qc5>Fb@iCxaX=uo4Y#&ckW$SVpkUgKJvyt=o3C(bGYT?v*Z9rDBu~PtMU*1FBt9tyJnYfZ;brX!<%ya8bH$9b% z)YGJhG58^x8`Y+ z5KmIm57dtGq#n6aqCK@}7HU!wY1W6!b8bXFPfbXMkq)0@iNN=8OqJf=w%cIsr zjfh@=|AhC9x%-`!QeoAcdGLG~!g}T-h$C6x)wC^ovoDLwpP?n&>j3+|GnVe-9Ti!C zb=ChlV`2Y0HWfc3^FNjE-)+W4xD{*6I@ILX0(Ln)hz4RSUl$83#65}#cXnt%ce_JX z+qlm^ypA%8>Mi-jdNE<3eiU^tB~k;?EL9~Ovr6``7{QtAWbd2J=8I$MPV!!Bu(FW%NM1$$!n%k; z$dGT+W4`jZin(LkXP@@$t~`9n>25~`Q!7=afrL^=4g3(mB9S!i47_i1(mE9N*R&aG zbGZ*Z2Z&q2&K{jWk`m!xYpi$I$e&)=+|U$2ud&r~(HV!}`Daq*i%ab#QJ%>NyX%W- zl)cC(zZDc>ITm5XcYpLGo#qOnk=V^EbhzX{|1m#s2ntSzAK;~rf3XMt3SULWX#(;} z)FFVZ-w_D;N~;qF3cj!Jcm51M`and5BYoxv#y07Iojy%c5md0P)&1A^-n)+=9z9bVgj9%Hp0?wtB7_x*f3afhLn9#vtk=0tn6z<;Zb#k zc_<|RQ==ksH^cKO5*|{lVU&6QOp38#X{s*^8LlJy$7L<~3_0SnGW|VdDytTxGZ#`J z#9OucBr5u^E{TNaM&D(Nd1HL>S+r!8GdNkZUl4>}OCG99zXAoC#<`F+%VpX#M;D>M>U;oHMH#sUp6^0`4JX@ zkY0asPee=}sS27I359u|u=5X~a zO0)ULfiZ*YEZq$73&}sX+Ohu?t2$4xl05tEmlujjh!$+O=>!UN?^`%4jkW|#bZwWb%E#R08X;=fyF1Tu)G+8-Mp68J%zT@RnxH`e{ovM zo`k7~BvfZ6;$`{9qs&G%ArGhJF%Hnmx zKi2sQ7ihBG?#a7}SEuPdmY@w=wBp`dq`k6(m$|h)c`+l4-B?Lut_}JrJK;hq6F37N z6<%tn&c}#8^qy;~6P?JWExRPfG#w_w65n|C*;bb`Uw=C7#&(5Z(!k(nR><YH@4vp>h`GffNT5~!+Zb7YA}YPsqh1= z23ClF#K749ih-TS|L=$sz-+TgD#-5---S4+8)7TEG=l`VI)r&>RdF^vr?Wv7a21%m_2N#HIP$*KK22ds zg5H|6)~3Q306nhM3?HMIy)RSq`FnN2;?T3~FVyoi_c}}RtvmbVC`rMH+NB1I^J3bP zxV&iTD*_puwf3f2=J}d1+bs{3{UxVxJ(l`~JsYy)2P>pZ5lpZV&DiAlTc_G#6E<7n za>-&ZNRy=7YfnxLw5f!#Mb6JXf$7$0^RJC@UTtK;Rb=;&qau_{Sr|{zVV8tYULKcS zKQXjpAW9$LqVy<(2&Im9HV2YM!@@8zs%6%ufF<`13byw5AvicWE)omL#k%%A18Kev zuZ)QI(hu^li%;GW43U|n!Oaq!5|cgY+DHAl%fHzlXRrYL`t~oP?_Xh#!q^SK83|}- z_z`vqPH(kTrP2rdj$6_PWi9|oXv{p@QYqOMmtuRJ6bp3f-2e2#b-7kO=Lo=YLmg;o z=}}vm(H+$(VNxqHbb6@of86OMu9%)}w6j?uN~G#B6QtHci`bMpQW`amIhJ-WyIZ{z z1r9PFwxJ^krjXcYj!7)ZG!HR|)E;9wQH@R6(2Y5xBK5Odr3uWaDK}0!iKc`MiMEuJ z$^Uqbt0Xw6)q}*uk(gl;r_V-C|k01E;ez1o$NQpfnpxqNNb<3YuuXiJv zUEb+9X{51e?lgVbTBVQNeot^+ARkPF#3|2{T`9$o`|C!?%_ZyR5031%3&-mn0AaQK z7ryu_KIkfuc)DMNdyp@z~aUX!3Y&*;|5v_=D~MuU(XgR{E7!vnB?-N)?}VJTad z4d))Ihen1YcW<>W6b6lOa(>^WGe+@@^JEpxH}r7f*Hh6;hFbQNp{@VE`XNHDjaLJ% zeMBJKi@=El5}Lgx=LWPdavG(EiLY0i*hMS21eD4C4U2?4RbsU`lwDm!&05im;thaF|HfB@4j*UEK>$Q**6U?2m?Eo zL(Ivl+4FT=rF1EJkRnDUXxTBhl4NXP4{bhS&5`>d{cXExtdLZP7w6?0-up>r)!r}7 zttGN}a+slV+uar3h2p4*(SC$-({{;ARoS8P(FuOH^I__9r*LZRAo<P@|*Z{;@V zuUAD&SuIrgK-%Ovir){f$h7up()9A43hcXAfV$Hs#1C3hY>Y6o|SR41PSmyEP6C1Q7*l`lFgm$|lKoif!gm9rklNsp??We)dXm&dW1CQ_Ss)oS9Gi`I#A2x8*V zEKc9E9BCCgLg*6+e3ciQlJxBD8jk=IBjqM%kI?AgjhvbE`hE zp3%INUts{7Stog3_t4s?TrcwwvMbyYbUAh^_ao=;nlj??fQhdpLg~6v?!cTJ&qK{P zh5yR`L?HCDtKw`kwLb&rE!LZAX(AISf?}LCKI=_O_>89)v=?KDa%e||6d9Bdhzh~9 z2~^N#9H2_;fHFjy?%U(V4u!(UwquCRbN3`t?Ij$9xUbfsm@aZjBz<_|&H{40Zy9>) zCps{#fy)GLTTp&_V!4OJSO>olEcLgBD>j^J{X@hdV13Q;gb; zcKk5_&ubA4r_LpbpQCqG`qY_}IXHor?ZzYA0g*(pzGfysGTSy)uuP$mDIozjyZo$F zoD^J3YwIomC2-nr)i|Bc{#DH$yAD6{; z3Kc%(P+1Q-@q(!G!cpp*-u^@V&!2q@tX>2xv9<=M@s$1Xt$OCAF03$s;ZQ_*=csGL z;`lXwjfR_-JzCBV_l)1`VY@cgIWlUB>RE>IJ2Aj6nwW%9dK=v-&yaV9|1478uQsd9 zTs?qBrK5Dld&8D#l0L|oJ6e3Nl|En$Pm%;_9qHEyfI=3A6b_;$%@=iND&bx17#vr_ zKh5rNIj;{A_;?vYd;jA+scRVIUId&c%>P_%=J+cjnmM}>1|SB20kk9xbh-=U3rK%d z$i)aNDS;xX=fN|pt<8$`G&jFf)d@IoU>q5;Gu_VUBAOzREBy+f#}hh-LrbudI-Ep7 zb#q^rH>30y-m-)uWo1H#Z(3LFPO5wYi7Dgob@8P_1OEw^bJ_j#lT-+u|Fph?K4KTt zvQ~=F&qTS=5Leb7W6{wxF~H~$WP4{T1@h7L*a;y08ItsQ{^U!Qm$J;&wARGWJaADO zuO>B9g4CMw>xQ`V>u14BHM2VSsBcm7Uu@=Ay-p;RAU{g(LbLuT_e@hh^ZcHm{N-O5 z>#rzh@eHM2};=vWK?csZOh zQW={fr;KN&8a2WbT);f1x+87@HEa}BsCkD(Q{@}Yy^@QEgEweOt#nT!p>FF|`N|IJ zHyYoA`w+L^k5o925P(Y~KvfZQsWnxP)RnTkH|AL<7Upo9-HQ$d3uT4Ym3Zg%8pwsq zG3nGY8f!9BV0fHcS>14L`qU-t?Eh-;-3)jvoa>yn$8-gj_qs7w>wFqH#4F}LHq0Z8 zX0(Jnj{^jS>rv?oyG_7Vk29dF{8A%tb}-iBvXpdZ2yT|0lUfgyJD<5Gd4jMtb)#dW zxt0s>$hzD1tTeO5dj@Wmu*1{Hs_Ulu-tSkFS2Ol$oNmMA()G*NjA4ZTKDH(TUaOOVV~G+RZ?|12#f+2oJg<^aaGTXL_T|qBOVda z*^9^f{p05|2p@<55C)Zmn&bl+6T3(K#i@AsmQ5S65L$mu5k)9Vr2lB}2zmR0vo}ei zQ6g-CrgxQW&cXp>YMo-=uBe9s7!6{$^%Er$IUU;@D}or!J5={4H&{fsZbNWANK?`B z=x?MZQt^h%`xS7?!TLzO zi&ZzVlf7)$@XfC^Dg7H44B5Lrv`HW))xAl~W^n)8bk=p*nHK=lh5qy9k>jsWV&wGJ z@5#tR*DpN6SvgrK(w5+DHHkVClTMoIp9?{utoS1Pc^#)ivir~+<&NGS9e&w8TqE(( zJIY^i#7U{B=!)q}7dp!D1c;1fU}|PYTAnJgt}c@F^jU6ksL`WVhOaVL7f4fP#t0k~ zw=QV6WA$ISw*MU3jvneE7;L+RF*AN}V#sul&ZfA*E{u>rl_?-IlsIV5aw2s&u-(c9 zvTXzHb$EJ1^6?>ehxbZ(_jrc!@&$7@*mb<5rwTs{hs+jW9kM`ex8jXTw`~@xQyvld zcIsDg#_SaVKIAP2i<8RB_kk@0-EQM=cl!s^!wpH^1r@ON9RGz8|B4s}#qvu6f#@fsA{e2hQZpf~>*ww^d zgqeEi94fDdFc%3`$nB_Owjj4}CS{%6*ZZ(X8OGNnli<-}kabuzpLV9H;ufbt`wBKb zbG9?u)4l%z`=$J(@*x43`d^4)aQqea```XozO6U3M~D*QjWm+zItVm*aF8KPA{Ukl zeKYHcp=lo*3%1NeWl#8{^>l~idXvG=@f;?*aeafG7)l;ttL$ph@c^0HWK7ETns4R$ zCZ5o;CS%+rEe2j?53OQ6F(C_y`K|LR5TTnn4kHFqz{5jvU(aHrDF_4OR(vn9Heh-+ zK9=+M?A_uxL$JJ$+A!5DcS~eC$4Bm@-^^m6Gkxilx}{?Kw{Wr)}Bl95<87D+M(WbXVL2d&uzQI}I2Um|faZuZUJ;l;m$cBEH8g1KJ$o zw}SJUq1wi14aKIO;3WM(V4ySmXzapXZY9O9}}15K8g8%-FGd0`7VCziXsqtH7aJMuW< zocZS6YcjkR>K~`#A-B{n&jBwyc`y~{)P02a?Y=)Sngx>7xCfQ?-<$b@m=-8bUl_g< zv9)PNKh$Dp{9KVT_vQI-bEN#>ppXG9!-;=kj=w@7fW7{^{qy%;A8`9*_RN;)y7RSt zwPBe7@pIf_0GwoeT!BPSh;9Z(y@s=o_fuzl{fGSSB}%@S7gwUW=6A5e&tB~`Lo?Gx)(^4M-GR{kx@1iJ z+UQCr?V&@Gd``NbF>$-=q}tx@GpQfB5XbThHe4oDoz>L=TDY#gHld(D209ocKE zdRx=EoE2qP#6La_Vl2nS?JW$4TmS6Zrh3k_)UcTbJ_Lb@4+N?VwQA@P1NVn5~iu%JG1<~WgSI+ooSfCdJ!x+og z4`W@k!zvWgJ8uD1ch99zomB`n|)2I(47d=6fY+9Kvp}O_<8DWK&fOBeWI4}FZ^_UaqQo4;C5ov~2 zoN^Nm)69CNk$O?|tDI45s3lWZAq8>}yAZGwL~2!5tsc~hz%Ch}Ln_PF6^N7wqSuC$ z5s0wXIfTcCB8zL+Glw5|C;TW27Ai!4JwuIv%z2L5Z2yW;mH}sJMMWlMG^0fUkOZzt zpUUCaNv+qL_(oJUM+`mG3>^SHqUvceM@7VwKsO>}|1qE-6%R-=~& zZ{pW;mbeB0o@MgItg5}Q`+0C)k@Y!t7IQ<%Odro}3JLHNhX zh^>RQbv$+Djb8v{4_Nf2@^eR9+3F55VJM$O2YQG_JhY|d8rX5#JZ-N>772@l)ktZj zH^G`<;641cZR2QX#HY5VTX_^p;oLbDVzi*Va-t|fbF1cW9j2bd-_~oOIO4}F<8ITEk|hXNkAW;t9^Zfak`j(ZNvJ_G zS_W5d440SrO$Z+?p38Vye2sNS*|eGAdr>1X1xTef&ZbE@-)0_F-Etz= zoWu^BeSICVB7E|zl=<9^VUL8StZ@e)TpWrx@JN(o{C&2`al>Qz_<44|i>gWXv-hR7 zp7!lZOy#esMKko^u8eE33fGl!%`+jPQm)0gY_t`WCK>-HJQo`pUa7Gzy4<>zQB&2d z0Khb7nE*HIO7(B;aXE1@&%J`RwEUV*7IGX|>F4)Kk{#bxazk~Nv{bq^EvP?Jz6oz? zWL3bvbvVB}7_M`{Hz^J7JCm(2hx;pSCg_PqzTMSkgn9Cg9@(pmW2g@+An{t>D=(1J zaSnN~;^gn)2qb~>z~Im7JqWo>$InGbnhnyJZ6*^_AfVA6QMyCiYG`pe}{h zHef0DVwdJ3I{E^AvA&o=;8`H!Sxl_i|GbL8m@U)dseFyhB7_sf3TB2iYls2A&pET^ z9Um6on~w?p&yO|4`qH(wa_x)tv&{eVtNDq{JdR$)cfP2dYz5cr;(Y`g1RdZfI&2?l zGw>(J{eagEf;TXtOocXkq!xNHp9?WI3}8X555-yAXHzA&7PD25|NVop;6Kh0p9d7pIDN^&DXkhq1A2UG6{XqoQeL(hU>jv}shd^%8cG_u?7*;prTA@ug z-)(U&T@@)THjWS?+GX+-Cb&>Uz(8zLI|TaeSBO_&B^Q_O^WIj#k0b^cqWl&l2o* zGm#ww?z>!DdBO17)-RaQkMV+(s?vQailt{y6E<#Y+f@(btu?Y9~=p z@D*{StDXR@FnRJQ%DWr&41~IY(CS?rg8;T6dAdA*3XiFvgGYBv?np%=e{~tbYiF}i z5^)AxuVIqvx(!64G#`#@y3*wW(r^DNI4hE&yG)Cs4Hup6>GhFsCGAjLHKh4)cd?J< zR)sb$<$5}9G3m8Oe&XG_$x)AYCm-u@Gq+` zbdLhgg0Rnwr+oQgdaYa;yz0 zR0G-i%L?yA`Wd#!&fYTD)ttnnM~pa<*2kDafw*MaA-(3EO7jt@xy6dfF3B72=d;!! zsPG_|&PY>ebY+Ec7G{RAoi=y>loOGB^T_(GRz6MjPi4iwi!(2*O4_XQ0c3YPc!H)l zx;s14OCXX&HxTvgAQMF714|M$2z_1e5)gFPK5>~BmT|v-5e`F*ellap%2a1Upo`$B z{E^h!lW!(BC+e8PUADu zc*UYfE9cf|>7EoWVTm(X#fPb38Qjw12nv^|`C>7`RC4d|;fd>*^SlFtwy7@B7pwOq z?S`(62IT<>GGFW8 zzFW-$h&@i?51p=F+PDZ$Uv;-c&L^I0hL>K_ecCcR-Y*fow4XaX#S}$fajAW_1qSK} zC*PTYZ|j><*WBL%`frk-Zk3nbS1`DIB(k=M2X7!Ie=xzhUH5T!1#KboLflF2X7@aW zPsINsGxau0EIIR;9lD85wUt0zvH4YI|lA8DZh`f;4S2{JaJ7Z1�AUg&(lHCw=$X0ahL+i~8 zcDvS=OLp%A&ibL^&B#j`aF{F+d*m_=b{GHVvfcAax?W8DL1z1sTpKZ;-2icDGWa#_ zV+3bf_NI8R=9T|c?K2~W!}M$&c{oQs#5~>F4H~7ek{r?&VkPu&1EOOFZk3u*BRqCbhTE z*p*=Syg*NX?uQm;uw!#Ta#`|^MH6QFzgCzkW2OMyJ)D8dSG28sJX@>B0O>t_K{)eZ zl<$Gmfz0`)dyBN%qQpDVF0kN2J9+{((l47w9c)oWkZ!Eb+G`!Xh;gjJTH+HezAdWX zlzf$*6%YLrQ?+cB(c>3gzX&uLb6OxRg!x<=HHzXWWrKkXgk?~b6>137qK+syqzRmZ zyw|KX)`qMPBDta0jgK;L9%7z<>6Y@w1Xf<}hHPYDzzgZ*MyK(jRb!oE=y z<1p;nyFKEFV={ZHDsDOCRPj;eC%xbsjY1fdNi^G8duUCzx8)Jkuk@wq5+ZeXc{TM(MCv zBut1R!;%h6c;zIT9>>z#Sca$BKem1D<>)LV)E>1&;19i%jdrccprnNQefP(q0uWl7 zMG+&dsaN(~L0X&0!u?1}pfqcj24;5i`yU0D#rsj=-yl`jKa%{I>Hk{w+E|db=;cEM z-}dksz*!R{(Z$Z+4&ZFEY1cn;f=X87{st4qOsABzOe(*(hBb%nr&R-^~}#!?Bc_r zEmuE9e)0JD_MtmzQ<@i;^RfA}6cwO(I?dc0qkyHJA#2|pczbJEx=cwf6KN_&8M@Xf zvuMw_l=5lbOMG@F+eb-JE>iZeNYvSZeiE1WizYK0_@T(0G0ZS8v_vp;1 zLTG9P4VUH7SB2YIenZ6!A7_jB&R758_kJ?jM8I& z4f@UZbVgHyGzi^*K3IS-0U4Fh0BH$cW%jcAOsuzI`aXkWowMEs0SG)0h#wy+PxH>Z z&I`Y@*D>5wkO%JphCe}{5{03K{#CfZAdaK}FS?m?`{(`YOIcGfgY(Xufj`B-{Vx@H zg7($M2@N@g*DpCKH`It0z>wAvGXBN1E%o@*BrSGWKR1+nKarH(xoJOAfFc$NC9RC1 zf=5qsDCaeRQx;0h*I)YNn8K(yO2LRocgk28-pyUY)TPFa@5{0J>DLFge9!bn02nXvA7L$K`oEUDoMtCv0_hQfw%cT9An1b? zyqiSpN3!M-ec-VTSsN3k)i80uN1pG91XN7f8$+JHeP!bQR!k7X6)Hqz!*0=?i4Drw z^sU+>s4o$TpbV#z+L>n0Ms+B*pFiV@Mgf}}N2Pu}&83icIn;#GnK3Gk#q0T}k|v|} z*I)IMCH{>QF_TN4m6v`J0o(+&^>*;?D!{Q!ooG4-oPzUa4sQ2q>MqAgX=Jqt29?>l z3ZNev7ij1(^%=B z^_b3Z&TA>*5IY|p&zqXg77-+c{t|A|F)Lu%5i-+M;R1K!0fOZi=RA@4^1hp*#Z}bp z7I(mQIC3;PbV>0&U_53q_yhiHIXp*kr;YaUJ<$G4Tp`;e{KBLeE~a93Kj$#JkZfFD zKB&8G0_0VMm0Y7_Vu`M8Kz)belz&DBLqpjQUx<{qz6F0`U;X|Ttb!UJW^$=| zAELErc6YZd<5$(8yLI^4^N%&05mS5oTg2y|Rb!ax|5^fzoEiI%1~#`Y1E&fB=Y&Ie z4t8M4ahVdN^tzehuIRyXR=h8czJ}jLrXwqeO7_r0n@2Q z9&lutGixZi^K20Oud#t$5M%1Gun}Lp>>`S+9j()R3o*?jtaUM9BTy@BrP;9yhw&*w z4qiC*%`q%Azx;(n(Na^ebiTnfyI;hznD|znO#~HnPQOO|_X}nl_FuD46IgJH_suGb zq970PxO<}3qdc{!#9ft@^9i&d{8iG>{7vOd1FHv(NL7+$${>{Dke!)Q8Nm^K8{z&gvhrG>=DDdX*Oloq^n z{gQ-1jh~pcww=!H#B=K2c|K#LZi#1v-4K|SQ1_yH@ka%nqHpoyHx+i^UxbvuR>czI zIBfuDVc^Sa*m((Z=G2iYwlFg}6f_z?l14h1t|x*cPr&xlP$1?fQsK1nhxF9UjuY2O zwIuu4?>`BBmzr}iyI0j^XS;vmI?>MfiX?Kbp`uw9SeQfdToi5T_2-fAh|+U8pp+u0 ziLZWD-IYM+E6Q>DF5#|@uk3BnUon>wX%rRKJ+r%+uhihHEI62EaYlc5zGeV0kY1s4 z5H}cmIG=vvL^{6gHkno^v);#m?1h!S8R7#r_E(}5*E>*bd+eL!HhVlH_0=Jf7E4G< zGjvGd??EEN49y#hxOk9@MHhR9qrA24X$Smq{ckH|?v5>6dpY1%(!!j4MkG!V4NUKi>rkx4aQ3V${Ybw(Uz1jUAaun$FEHWV~%TJHdu*C3#% zfD;iC$i_EB^(PZ(cLu;0w*TT{`Pbr^SDd!wK0TmS{tfMN<3dMi_Rd1&y7y}ZwYMCu zdQ2T`DywMq~}IP?4b37Z4DrI`FY|7r06X9P#p?Jq=c;8 z&LBU5SeSk6b1q2;SrvN)32S=nc7RS!zco$DCj>qCp1hbDtVoQ@9Wjk*>Ra{=kIdy8 z5Ur`UyK4}*=J9qhB23dR=hdyg{y=xCS@+u!<}>4?ZOgaTt>%< z2Dmn&UAk+Xc5=z!>Sy6Mumjiui%YjVQxxLyPio?*d7^U?gVYO}gt(`}xtl{V#1EKb z*h=R<&{gGq0s9R1*<{oo!kFG?akq~b+t$pp(>fViUKxzTojMr{)o9`-Pp>JR&$-8C zTAQ!I9-HgWH!|BMbO$SN)@~SC>+tA-#kRB?WU*bP6aMGKa~t-T>M}0)@a=K(t)3!# zlfk{RJD(B_1yvIflqjd915!-0Kn2HQ|Q4LWxgFXZ~R zCOuy?JwLJy+f~(a5!3NIJ+@U|u6alLiSNr10<1Q6onLSTKfk!dPtEce8^x!0PYs()I+o8m&5O$M6?oHxQ6wTUZFEZ>tiM>aoxjKW= zlf)U>*2>Es=ie&DEY#R4YnNwiAFR*WI#xeNG(PJaj_1G7Ptx2ZD3|? zaN0aprlIyi7<9o|Ou2OTsri%lk}|if{W}ey`xo2ouSLS&wg-g1|JYvRVMu!1xJJ*$ zybxO0KY`_QTCSaoxU=w9XKfQJs$$QWHv3jas)Ncc+aPiw=-vo-$jx>I-Hc)tm2Km_ z7aa^@gLSmSTv&CW|QJZZJz>bUapf z67}%J+GZDxnD_TB{AJEad9@|8vrS+l7h$sCu`U94!0U}Up9F%*Ip6gXKOW~(+`lO09#dy@6fZ6K z)=rQgU4e*mkSg#Hq&kQ0y9O_3DNq(SwG6$OL~D@g{4)cJuq#$-^pt$vx))p2<6y+C zN3v55=yL#%h*0xw3+Vh0zFZE<&_Ms5WG0$xq0f>gb%_ITrlyWpNhZ*T(nyuVk6xZx z7n;$9jA)+8{rR0vUq`k+qU5)6q1o>GK*F4Bg*q2YMres^e2C1ou2*3D{irO3v(T2a ztdweDaA5p?@^RDML{5Vq*72a?VF%-;MhS|jKFwL*kuWl^`Amd;9$Ud%4Ex073~eZP z^CJyc9N*~|e*+k?CfutgIL9?TXVD#O!$`djS33r8g`qSo zm@SiW>QFim4%qmBQ=@PV%V^_Wrz9^^x>neWE_bYysmVB{JAwb0IvK>k$JydEhP3Z- zAkVT*=}?h-?Tr3^jJ;!Yo?RC;+O)Bg#WAifVd?9_rVA=O%DLyYaG||9XVRlmmE$uMUENJ*y^|lKjRM{7f)TXa6Lv*zp)1$= z?fk@V3o~Cwxa};k!^U|*+K*GBlflJI@^aC-NjHWkz1#ZIDf{ca_Yz6U@zxhsp3#>4 zEUKG;I{Z@71|v^Y_i>zL(1NfBBpEjHzhIWcd!q^YxuH4zXdJPD#6NUP0M*oFE0=Ie zZ?GN}_l&Hdt!E!H8ol+;-T7YQWObe}djv#z_O*KtguT7_=dkH_Z0q#IKb=ks6FE!I z0c{rI-ma`J)2=7^=np%$W1Fgxes2m;nq zuT2i)D_4&LYOz1eGV)xo#tyK(x|eY{MNxPLgML+#`D7Czw+;}PmiP-wBd=`;^>Ejz zsLtHajqs!6YGp&6rN&Zy6X?q~1$_|+QIw&nG2BVbu4$LgFrS%VKSoZG_j?K+>r|gO z!j4o`M5x-4AIyd6>kiadgx-jIy3csQ6@Gq-R7x9l z8)y(09{TK4Ub}b04^FGpP}w2G*Msj&d4qw$R9Ta)S~=ORD}#gbhm+F;%Ln#H0E#I8 zfa1FschCf2obfwW+#_?V)t68_o~cP|bs=)#3qce#Ov}sI zo2TWxhk)Y`rt!y!t_|%F6dp^1OB&d9jR@2m@GiP-OqySf?ucBn4gK_ z6+!~{l@SiG)}>u1f9@40D{zDtW2Ueg?YbXmG1gkAijhC2G&105r+f?#OhELHeX*$( z3zf`9&qi1UQ_dnk4!HeAIcOBeR3HIwe+(N<-8_9ckDf92JP{)2j=rZrhi#5dOc)p4 zqRir%vesp-h~C4}+j*P=ZDywwx`iJh8O%-DJ z3!wkN`+NUE|1KSt_<#Bj5kT+f<(FiskJzTvqca-}>5mk9Xv`cicvj8pZ?jHcq+%9$ zM+3ob(w=TJ-^&u#r=Apby_3QS)TcKXF{_iKkNepqZ`D8>tiQI93cTp>GL)rp^ozVD zM8pv*@TW|XNZn*ZSrxOL zby$Bwt3@;YFsd+=I#gT}M3D(rIG2r_+5pDScU%MAM0OVEr zo%*whDHMV&A7br)QYOvy5{3aUtSCQhRl6*cybFFC{@#~cTT!}9EpJk%(ioql!{NTT zn(CT-gTev_YZ-oofmD4wfD#r*cIIa+wmNm&oDeSh0J@E`!!;aY62mh!_!c$2^2b-& z`WnQi-)XG*e>GTOqJNi!ON?8WS^gdB;Rf09Q|^Sw`r}qk36pi|7?UC~lXT+Ovbj_P z%r`xOtxY?=%07Il7V*5a>-6>g?UgvMq*=6Y%p&{nXw5S?Niz$qf!eCxSJdT(T>h9yB*&E5W4dR&PSg>lhW9^k335zMJp>ujk zgV%!L2C?SY``CzmfJ*{_R0;#as|kVU;M;?(SS4?adaTF+QvhJA0i0auZ}C>O_kLrJ zsEwhk{rza(J35X^{vG&felka3)_|1_vQkMl^&W{g`m~Szgz@E@AVc!XB4lL3&up(S z5jH3sZ#)~H5O;fR%ViYd`sR(8Bwy0p2-wGFIh!C!jxfh` zCjw*}(+nTAPSK_^&5fE%&5UKpz2S&jBTxZ_5hdnz0a?MKu*@v4_+zeCIR!)?OAxy- z1h(AT5*JbBh)}k08Ad_#J{n}KW)>R{d;2^pVJij+&ld`--F z2@r?te9^qv?gfh{+j~8Lf3Y3x)cr3*;!}YnCICQx2I{X91p0T$!_!%5S%8_~Z#zc+ zTpW_h_A*W9!c}7vtuoqgy~!Y$bBO3v6XwQuo5ZQo?h^r*1Ci62J78Q?;m872=l%Tf znFeyxFhvw=EK+onxy{*OrjYevNo7+Ce*H~q*GXY6A4xV6i&O%pA!cl(Uz9IKfyp||M3y-V-s%8V5j4sT*)^ay~(W@?n+yFa94t(`$3^~OS+)f^J2 zk6zzUd~&HB84QN)EZy}?du>+ib;)0$Sd=3X*=SEHMmL#W1kY1o3WVT(aez&zgZN-+ zbjj=dtTJC}lBPtWffcn6)qgFBNh*yshCqrXgkNu)1iK&VfGM(uS8aO*6>|MrIx=!e zod)LOz`>?xx`~w0&Azn(j_-lDf41kCiCk@q;t(?3S>;nQYytRtNtI@HX3Jyur?}oS z6a;1p&>L|5!=?BxjaW9-35Y)fjMQ2Rk_o{qztIrGwjh*FSR$b!EQ$r?HBVV#_jE5q ztp;q5c(o6Xyl`=XFclXI@}V}@_NA9GHu;B;m$sU1fS>PL5T9iYR$Fur z$GaTIapw$2I1LS|U3#-1U2tAszC^kuk%xEp=n$&^WD}0``0bNTg`JIn`sp|Fdg->d z1%hR6n{m|vXKGT1(*Ivr=AO2_Q9A&rzpuM9(Z9>_MNSR>7HWe`wCTpuR>rG-r&3U~mqNN#6 zQFA}HU>f~MDC$8N^7J}`U_zk(VbCbv+dpqdpa#G2XJ1N&Qn@9ALBR}x1$+xjKYdc? z37H8Ktg~)k?M}ATqZDvMFXJcTS}ns4%3OVYEx*9sdR`JzmKCAGOOoSj`6C38Ui0|< z#{NPnf%#21@J-7HfG2kF*SnK{(OAzW^7Wzh= z>AoYXEnTIxHA@3nEK@2LSq?UFRB~)z5Zb|e9M1DW5g^cyZ=d&18Yx^CrGrJ|a=aJ9 z#|De2c2HBH^0NY>VTY(NHgL5y8v_WXl_TI=_!>Ho;tFm-19A%2gt3=bTGA0N z=plR+p;S3=~j@l7FbfyNp;{wD#|)alZ9u$}?Qk zuk`XQRUuPlH~c;M3@joDv2xvUBnxU(H~r&L-k~*>A)Ci-X%1(RTM38}hmKo(gBEo8 z^;(vjj5I+*R3U~`a6?E-NlT$vcR8ib8|9+7c46=&2~U3OM&A;L>R*YPVrU>kWm|S6 z$Swo+v?LBT+iuCsU5}(E4|le{)KWJhyOC5RDI>OTM)K&SHE0F;=XCQaK_909#7? zSK8~{ZhtjJ*Jg#>snINxvbrt4g_s<8BE@a@cvjR? z^Tg?6#&*lYKIb~`dhdN88ATJVQV55z25nvLFsZf@=BedT@ub_KXY>t;)fLG)NA`8X ze9LLHtP7a<_;!ROVbkg?oSE_0o8r1P7Pmb^v+dG|mmDd-LijF&v&#Y>q&%Z|+B*3O zY1S4?Bc-yED|udA$J%p0@XaL;w&GAq!tWfsx-JtG()pr|CV8xN8FjWJCBN6o{3EJvHT}alPF@-rjUuheze;ET-}O()--e^bLyyUcmz0E*+h&)Q^WOx4EEMU= zoOg334!c7j^I~`c*);T(5{GNR0-e&5gm@8 zI=XdoY*C=kaxdy7(~HK+r|W*5+_(ta(VO3%I*^iKcqGF+_s}+VwTaYeizDqdY*2NT5jlIK(q_An5kt$_Ulq=CY#C#g{=~ z(JgipCaYCwLHIj|3OygX{z|R!9e6SzbUW;G;U{Eh#c9_NrM#0WUw4K z5eW)%AX*QgA&D9CeFvk8updEyZ_O|JydMD5lka0^Do7e`hWj-sgW} zJIw*QiC{p}&R+j5hyKXjQj%XIFXyh3O7iR3L~!%h2)oV(n}h1b1$SuAb>3^)`dv|Y zL-g%Qk|sF*$WI~K`2`4(DI%spelqbYTSSBH*Yo$5J~iBuX*E>NQ-m0sx#LGQs7D{N z`$fg~9-gv`Q5Pdg_G;{o78GFZnF75!+7(YcEVo;Y&+1Y+1Bg_)&s@Y8dt0m^oxxf> zIUsLUFOTVLdL?ShURd#3WhzN!1mSe6^RsO7bdy1noH|p#Y^act#6fOCf$8N{IgMqs zg~dSXTy^30XeA~h+Q@P>|0FjNcU>*+`;6#+KoY>m1{)U$Fj!?P`E*D~<9}lF`iFsl zbMTk)-}9@_f0t4*yvqsg0+K}moDd*l3E+^`5}S8C-$&z!D;J!r_B$Dx-no;CT$}>@ z`BG(asf@#!IB_-j+IEdA#3AVrGMnw@l?>Jc%@RL@9Z7_f25BX-C?^`pzKj~|N2JP) zaPrhzCN*zk=*>1;ag$a%gLd~<_pK2KZ4K8#v3e94wTB&45kY#B&`}&0+I0S{} z&7)I>Jle$H8d!0(9O|<$d3@E$cgZYz(FN+~`XZ~_=AjNTRuWD+@>*luyJ2Q})F?%R zssnI6yk7~r0f!}3)u?E*lu6|~hiBvGjF-%dWMCfa;Ep51J3`9A%fx-Kz^orJk3~=r z0lqf_4Kd=ZF4wMv9V6UCdF=P%tPKz6pwXTukJ5ylU!mN1Z#u`a`_q}GAm9F2Cg$k7 z$e;yaFY))+n&I6Sr7q_Gb9w|=(=RtmcY}=SF$s{sN6-f+?1D#Xx732zxVnaiNmWZW zSzW)bzh&;*;{dCpRg*>1f@dvd&AopTnC#7fBTGUXZL_yRWEMvq-6eLWm1h}95;FsziOL)Nx^iDip zeDuN*@tA1Hm%m>c43Pt0?<%&ij$(ct(x( zh2KX~r_(S$@))@v&biTN(G4e_{5vT1`0c4USH7;`K&N8V^t3CPk=@D_G|Aif;~(i3 zEPhEP;(#jr-Ajy#;axh&D{l0+s4WoSZ_mv~9`{CoE0|pyB9J8qo}B>L=J-C86uSf8 z;k6r>^g`A)%70DISaN$54>gv*Q|$>a)wxlm7f=IKWb$3~l*A^$xz^+;%VkGoyr+Ma zgTqZ*8Hy%s(F{NBp_CrY(l+mAp=wU8*1Ix_iuvG;|57MaRc;J+$$91zCX;KeaS|(; zQD3icmIsS36mYOrh6r4gK1oX^!26Myomh89I3u-3nqD%RBI(nd;)R3{;cAXpr(!qF zt8Y26!Pap_RW3@GYyGf#6a~L)|ALrsm(~v;D{wk&f^k%ZIf$NNE|5yG} z?#Kp#s}E!mrperFvDdLqeLk8|HVyZM30pf-K=E^DSK--u_V+Ovi)gT-YYp(K?|RU_ zfYEI)fTK<8C4U2(@)G$xG)-CJAV^WE;}02}C|Z);?KV>f-S#c>=ja#jZ@r#faV6iU zahJ!VFaMy@kTKgXOaPUE{fElDOXdVkt;_sxN!KG|i^~uFxS1XT)wj@;2@3vmHN1id zA=K*94P0Pg$o)pLe~#8^j;;^)YqJ@{eQL&hSPovZng47PBG#JQpdP+qJ!pRZt{VjK zlwbUAxh<%(7I;&TfJV5Uam0wd@gpKjle6($GQm-ALYy&@uHZ9e8)IoLrA}kc$1h5s zy@eo%-w?Yu;ipKA!?3;;pT1U{diyGBwI!+9w{2*g;~8jFLZV~E0+y%);ZwM7=(yMH zt@*`=O9Q=Kop&dq2_p>V5{W4*W1i4nXta&-Pf@h?(JA$ak-@3TYE6Lgz4ry<% zG_aP;Jb}BfPDU=i&O;WuOycQEJS9{1rc_~gbkIRi@W9YglExD$fA08z`$lG8NbUZM z=L)SH17{pV4=i|BP7UlVS?HLUCns5}U)zf(unZeIj;A=z{UHv%Wmd(! zZHOlBn}f{)Dgl9)$z&1!7I36lCttrNU(3!uHOgn(#NvFJfROHP( zs~D_E@@Rxe3vW=e$q=^q3u4#cARJv(B>*QgBID&S-sg|jicWgr>u*~YkADF8eWoaO z^0&9m?+D|45S2L7oHnX9j0pMqPBNni1Fm!+=D40P8{UScIS$AmEs*x}{kL)F>s~ek zvmBw?jfq;I0CxCRzHLi9#qKj|wi5>XaPBVDSyI3ZweA=bRo zhQnfz2;um43fHSDXjC^!N%a9k!E#fC?lyIue2-E;U5REp+HtM?n7L*%9N9i*(G1Wb zwt&Tc7RtZm7ULq2Adz6;S>MvK7aaRuTzxxGCL$w56^ZEGHozD(Vcwi!SS?7kBX_vB zD&s2c{=ndVlNSB<$8TAodwTdc|8(*XP`}GRCC2@~^I^{*pR9rCKJhtZ0ZTk67Uduc zR%Syy?|~Ggt9z+H4GfLK1-2*=9-el_Ve?Utec^KA4iu_8Q+Vg3*?KDzG~?}WO_eoc z?uPUrwHu4Y49PzO^kA2$XAv}qonxNP4$Z~Ibj;Jg5|HkIOc^XBH^Z8sQ^YF_8k9|6 zyWp~PqPX{iHsiI*@=4*yQG7EJFEkDX!VH)De#e(mGn+&IYZuv64{hbgxiNL?DZP0# zf`)na8^Vkr)~tT0U6#2?Rt8V3N%KI@ZnAZyAG~<oR_ly{Z31c7(GA*)S>Dh>hLcA6cpD9&;~;XpL;-dd?++) z`N7={1S~oJPP37q$$=;@xWI4NyOC;JxjG^Z zM#puf4KZtc&yD-Bf^HbD6FBSQPIdBpyoMPVrvUm`@LI$yt!Kjr>cD>%_@*3W!@$d$H z-xHiy+jE6;MgAUVVp{Kb7eSwDxP7n8LsEw_T7l`}c`cy`n|VQ`50ubA_L69O^?b{$ zS;zC^f6;!;SH3(2Aien4U~Z;&8`m<`W#?2rq~F2ZK*S?KohhPX3QQth3?xOTLq`N0 z;_C8Q;AYqN*fe@(NTM{+TqDkzUSn(fY%V@PKfycE&`jh~IDRzmAiyPYiB;1QL#m!z z;CNt`zc{`MKkW4T-S71uiYyA5MCu@X)o6sfuBh(^?5g(fXSzeT8CtTzikfV(B=tB$&xWg zpfWqckKM!(Ku^~-R5ZA;0|gQgx&2c9+RAp>Hmf6jOt)%lm4jHkx@w#cL?PD?3j~UV zR5&#FQTvy;)8$ev1PM|DwHZ@Cyu7=61?`sY=re8~ZW67#H#X}Yx~T`x<0+LB^&8+Evq0F9o=Y!$^( z8Z}MRpPX1a@fou`gV}{++R-#Ygd8JP@n~(rUuD~}$dn&^0k#6GgtMY*G{z6i%47}a zIPid>uA%vk`o-lZI|?0{DP1KCoNEr(bB5U+F$%Z6L(5uCcy)bee_pI?GS8M?k1}x-Eb zJFoVG@*u5T*vp;v7Fi^IT(E7@o}m)pWx?5lH6@{Rfl17Kqj>p zG%=Fus)a!z6uvC}BBk(2Y9bznXz~r>-|?Y7Hm-)|nS(4 zF}P4vT$ajm9}+@DC7+?oe41|->3bhVEWhXnSy95UPdm7DNUj4g?v)QMXc@O9pCNOx zA|^mc#x&pElk02N(69Y;+~#^EmyC6A|AibmlQb3&0QvMkK>jY{ zls+*GP)q|LZHZh*s5(v_#H80jjKtQ+D_%voXFoFb(+g?-`mIbA4`1#7` zjXkB19UsvQi?Bj*;9LC#sZ0F~Nq|{_L_BmU<*W9Ok{NGIRpgqb9a<4NJZ=PwN0$`P zg7B5byjp9RUJlJt$@tPQl6(#`)`W>fzxqRw0{cnnoXCRVo{?)2O+HEtU>kK#7oyV% zETUv1>{D@28j>tJEz63H3Uo8Vb=#^OKYBwOB%pf!v=ISM7%`c#+Y?#saKtj-l8p@U^MOw&LcM>Kv8g5AoM%deAF30QSLufc;&v$?N~NNWCu~ z$fB-Sx<5qJ4b%xkUbV8z=+aL_DUm6C5O@F9s z;6^Lk$$3YJW}XbZ*sK;B4zXLB(DaGimdK4MLg^6#4h0huV$sCcPnKyo(cuK+3xSsc z-z!;9mstiZfAI#^Cc|3?nFZ9hT~()q7;bt`c?!68^#$iQ+XiFiwr2SKnNc-%Ha;N* zsLAF()a2b4JpKO*|9bowm1p&2vK4S}XPB=9Zv;KK#0irpE&I7N+cljd)SjpVTSMnyV7%Puo3Ui`$v$2 zPZ(r8ehaiWsFJEGN(Vih_A!iZk2nh`aGU0ZAFL*O`NIuIZj zMy(8YYh`CBtO~8?M;aayv*y(tq-q$-4Fv9afst+gAoQh-M3(Ek4ktY#SMzd>xZx-{{LmsH02tYE#b?>pKXA_7Q{yCEUUuEX;R>16tz8!G7Xtn|K9g z=t3hT#Vp3ir$La&#fAj=uJ&Dt3^?GFtVDs-9JsvN`HQ4pbi>2ty+^xmJ8wb}!6~W^KIbPJ~G}D9nmvF@& zuZWD}{Iw~dE=m7zbl&Bj665~g1aH9H0xWcKu4++IE|*?Fj`nw|>)8j=1ZOkOkzZ{l z1EO@7UBmSrYxj$01@Ufi1Kzr!OdaB#23BGvzI4xOxnb}*1sHnA{sb_bSJDryslWJ& z2IAtfms0BQ09!W6FbFC3hJY=sQ(Qv@3Hgj;0`_G^d^TwceUO4m)+tw|%EC4%B$^!S z8YPmTjY6t#l})N9&I=DihcHk*rWaz7q}K$aVYTb{IV(T&AKHnkZNmE+X&0PC!5l9x zsD#v47@Dl4@{A0eOv*>xEa&AYalbYgyIAZZ7Y5%ZvRmK+$KCh@V8X7&Yu)VH9D!I7 zEu(>`H9>v~ykNokSw9Q#p?9Mi79f!-Uta2EzIv}tg- zqgP|<*HQK7Lti}dazc&27GO+|yjbYAtVzI>P2!d@%jhwhMq=gZ5*d&i^~9^xVOT7Z zFHk?D23>HdnRzPO3N5g9p&9wGLS@P~)o}%49ts>4Y$Fq!=(pd(Rdp?3U?NX3p{NtP zqVJSw@_$B@Rug1U(Eo^>96twJUg&>*9*(Z7Fb@KhN~-^W@w@y};&>Zu z7amgZ=4#0X1nh8iCTzyY0WhE%dIcM+j!506 zu@Dl*M&x%HI>>^~)Qyh3Xx&us`vu@DmGv-`v*W3bPa=kjO_h>z9o_5t4BfJK3ot9` ze!eW{a~9RjSPzAM*|g{t!kk6A>$MivuBSu;*NdMc2^nPhL9bCVEwDBNKN>lgyIWz# zaz^K4a1uZ$fyVajvJcDvaUx5WgX^waiEf|aw(}<$IGBU($uIP`aNPekq85X1=f8)_ z&i{bV`=n8f{O{3c&~Gnad0mmzA68on-Bg8`wPVsleSoN_39dPc(225p@qZyZp&X zv|aFMA5Tr94($+I;7M7&Sx*rrKLyDa*xNGYoR9|Ek&xm60tO?&;q?%&fQx_;M19=C zSoSCL+0j;+!vsJU>mMG?cWI!u$-&<%;-DLxvh=Lh#2(u!SaCE$SjC0HS;Yd%Vj(jG z3$dsuMR3v60<3y=+lFR4HhcGg2ubAc{r3i7JZ3D?Mkr3_GBga4)D7rs^IV8*Q^L2a zaoE+|S?mkT$lDTVq0=uWdIJaE^+#=RZ%ihi!0*RHVkU+-S$m?Koa!NTr3cT{rkevy=H$y?Gq8dj)Xk-HkL9ivjiJ zK|A{2e!6sT5cxevL;RTsEoin`yap)4D)e7POYgQXWh&A(%WUv%=M@uW1xQ;BwZd>w z(~(t}1?JGe;9&$`3`zEAh#`>@XZU(&d4OX5sUn^~G3FZ)+vyV;SIfRptNPR6}X>=7lO)fQ{r zy&`nT3}ymO11Ogzv|$J6qYUvY%1?^HcH>MQ+*L4$)5|k|$R!yA=YHV0mPzuqIMKKj zb+=LS4QRL!J2XG6EBj2?R{TmHYKM5#pal9svv9KgLnq^6ef^BDZiubaC$`XBb1yk> zW{7@#VW_&E1q2d0e7ypt=^Ko*xN)5TyQEz%s$B_Qr!p5XhJM#haHys?>Sc*}53Be` ze2nR|OT4FWbxJT8C(UGdlO5kD2L7P5t-^5nu;7ucLWu5xfnNFj&q@n%Y)4txhzTZ} zrP`G9lBgEM`syh1IdTksXJn7-;%r+f;AX3X6dBkK`~p0T*JPW>L3wBeTnWbt<_3A1 z$7EqORO5DRu_dVa8zr|>%Z6jJbXk`Z$)DlKgCF>pdo9?;K!!c?o12poIr_Tyn<=>y zl>Nr?OXDN0^r^CQu`V-qTg5Vv9Ctpn1seOS0yJ-1QGC}(d2p0k=A^i_lU7$1Ox3aU zP&wp&H2r7ylApZFYt{R|1b!JJn08!qgA-h6O74zBQd-h>xx<&|&5mLgW0t;vqbnPZ zjFcf7W9&0yiw!?r8hnCyNUDV2P-SBizzFCpU?cLHuA#egJHMnqO71-6uku5qPQ=(N zQpvBO7USWMXUe5ofrEniK?YV3o+!DYh0}G%h{lfx1i72NOa0}5KpEd}!#^az!6-6H zQ6P;F<1#SU;2ih>bHZj2rFgLY=d77S^>LKF_mzr)r(jthjJ_^{$~V>AvLTtr$P%rL2SKT zRG#|H{lmYF1Q$r=d%0`jkUlWI5J}r=e6sdo80QZC5*s3_V^$M62n`;!@rZ}@*0w3b zyd1O*y~%gtFkPf~TA%Ng{1;RpC3`E9;32w@*qI-XC+kJSn&{4zc z*ny`G?(<|p?3@XTt2$#=SRI{CwQ zBhDi{WE22W@IQd`7aB_%%AXFf$pDC{$>ghN|AvAMiOiWND~=6`@YT=XX5?fiM3yju zAIWXu^zG%&lBWlY@dqXbzmo>@Ymt%DXZ5c%I+{epaXCed>Z^>4ETKY-xcZj14(0cs zefwPJhf0wout!symo@Ju@i7i%><%98FtB@OWg)BhtiSJ5x{hWBqcjSSJ}!XrYO>Uy zHQY6QI@P@JhvzxZZuR=wRU6kJ@3$YBoPtF;M0&sdqA33Xqjxzcz`~(bk4vYFj_RUKM*g)y~S8)IJI(nr_$9j%k zJytGQMuX^IL9J1h`095KW`>_)1y0N+P9BRjP6Qrt^4b)bcApDn_K_J!NUG$(yqaWR z`P=*#K)?IfsNWl#>;C}Y`vlR%C_wiJXyLsowpREgU&Lpu?iG#c+0kJQ(vDicGIF!`--V3(M)6^lI?6e4}2w@>0+QTsPp7H%%AnNfNj8^f!1Pk zz%K7>GaT^aJUP!NboBUk2Zs7#ZQMnwaf!B-tNgeum=NC>iVY+4JaN0`#Ny5b=hnrA z?$+Z`O1P-&WjJgO@?j2q2p`=AmCpnpB0>-CoON?{HmY97z@{kTT!3%+DePgyjp*+9 z#w?ain+dwabH3_so$N{(DwC6pgN0EDHOtzsHa6bk*Jp{bNZ#4E61nxdHZ^S$kwUzf zYTCEyEXn>CPb8|$nBSj7QvZPGyX{b7?EiJyhs}z+F^D%3o=8jp%phVHpqbRZPt*$7 z*dTvTlo+w@@)!(YH#8A&WlLjARva+0-e?q3h6E8oe#%MJ7@=?yZY=px6O%>)wlB0Y ztXCkOTJ{-*Xy&p-A>jeNz$VRp@0QEyBW4ge+GMSSQin88Fmsd_ivMQ(X(z4sXb+#{I>@=^Orc&xI$9)>u{F?EQN9aFB9*FfX_@Qo#<-| zQ{bnKy`L;e$Pz^+MA(sFR*XbuR`8K{jZ}}T>_yDvyM&VG1Z+DTj#C0f>{gCRaaw?t zswrpV?APX67e_)-B4TcGbk*$`1J2N11uAI=yMG-1@Z|&A8nC&zIWfD zbDQ#Pb7WpWd&TrnK@^VTx@a`fbI98_A;qGiver%!sV@%%b5EdCK!WSlZ5YubF73&!qb(x`Eln46c@@?L z2Ha+8;?;p;?tnxMU68@yuQT8>L)LTgO(Eu}@ID-GzP&QHt=%iHyqM@jJJH7r!pnJg z!yc5#Y01Yl9VuLc$lCzjw?Q(R2IGk@iS%XTL=~QV#+82=x}=<|43tFqidD{@7v0t`pF@0-T7Ve>f5E5-~wjvKD|Vy6^H? zC&=dKR%UYYu{(N1k^rj+xq%v`yx0rKXV$y3{cNP70a$i#UM>vT5Ly9XR4K^n>;X}3 zj0tm{735JMm2EB#TodGvFL*FOtxwMQGzYu4>CTz51s=4*b?aSBexjcNtF*if5qnaq zCOj)&vPQ%+pwvfQ%#+hQfoRJ43S05pveGJ_fjeCSw;NaA}s%~=w+n= zYMUZR7d>k2AhEz({F-I46+it-+)en~A8Ma?fp}GDz|led`vM))yKKv7-2WnJ`Oe+K z&LPA)URAq;4w!l(r0~)7!O3f^p;jfDRfsn?jdTuJP%2!O?>zTw4>{Hl1wIWEn?@o) z>YN}^vSTI8aM5nwjuCl?<;4*{4^y@eRWHAWOeKur2<17fqm5j;Hi$<3wC-T3At+VyQeu#9ucrW-iT=6F zT&;D(n2A@`R_sel+n7&yNjLdjp=c|8hKhXX@}eVhC!_3M>4vJUO<=N6dhC4VK;BgR zfz77K0VupaWk(CP_S(gk*!uQ-l`N9DDYHHCb!E_*G)Guw{ZR?g}R=$f3tpYDm^ zCK;x`U&DXcw`Y2nu&EpWpJMIjJ;bmh|zPNbm*UFFv z$nQ=!Q)@V5ydCk%?f8MD@iB57Kj6gxOSzRZ7w*TqIC)hf;euvun$aq}!**!)0!v0S zU`jvw)^|iqFG;?bM0B^`EaQJ0)9T2zl-S0$ToynvQsg*rPGFQET$AK+Yv~`yi6F7- z@z0CYB2Ms!EGa{7jvteIO!tizDCC{*+6pQcE$7(-N~ydH+GZHN8uhIAgxy5g4Jf>T zJZn{$zHyZ8u!9NjS};!4fz@(OO-pY)uG~y3+}`P=gM~2r*&kmM57RA&!#MXi_WH)C z``_1aa6or@2Y{#TKb-w{X&Nhl0d|QH6^wVW<8iQPj_U zxC7N9vb5*CNM{_uy2FhiFY1|qX-IRuP-Frcz_9y-I&kU6OuxmNLa$G!OY=ka>f&L@ z<(xIdhjZXZ8z3$hJ{pZW@EE*vI8>W+Yn0lT*@#%#D?Z9t9eW})zU1SBEyP5aB+1gM zM?}qVfJMpBRaD)5z4a$Z-nuhd4Y4GfvF}eb=>?AEWCa?|Ms5`hxPu>k9uoEaYCosC-WUu>Eo@ig5gWWrm{sl~#H8 zovcew!JBGZR#O00eeegwuxQaJ75MInU-OWz&# z@j})!x*OKnhq<)i35qJQXm}J1jFF6ViijB7!HPqR^CaqnO}I#Rt)I8MUu+roS6Ifa z?{f7UB5o_BGsHp0H~lRw)X@S%*Q^Vndbum1-i(8tvX$tW;vL z8DQH9;#BA*Uo^|GMm=w%p9=A7D?*^Fra zmGfHc2=-Den-I9hcSmn;rh$|XBML!0P5erO+XYbyAzTz>8j^+ifG2q=8P8n8c|a_H zjbtF9?o*q;lootY1eFkc6MRiu(O0SJXd*ae_*9|j zJQVxez)$S#p;7&PjvvEptHkBFQRNW`Y1s8d6)@|TgBeks%R>r75m2WBaSre?9tZH- zY_i%|a^i8tDjk-gqnQ#=68X#_W*(3AR;1jMXXA61amaFl)Y>$5bL`Y+j&56VVwyvB zc;c*hfD)g9!QUV;3MKnksAI=nudf+R0Z=eY@X zoyamnaODK3w>9r~wa$0W;LMBG8dsm>-f4ODfrJQ;n2{A(G1nj*dFLbq5P0^u(e5b7 zC3k5_og#b}x6Os!6CWk2mJbX$*abs;$OuVSoL;4uJtH9`*_jb|6~QC(Yg*~`l)W{H zHeTyK!uXTmEzWgo5&}GSEq}i`nBSGn0K^2pcP9R41k4wX)RACxNTQAOF^G>YAKcAc zno?3EPWts8n>3GY=FpmsL)4|*bEU(fvnfF<3C{l(U`&Rf_G;LLv(w z>Fh!jY%^TFMescZzbKH9O3#LxDD$H^qho0uj^~LNUo=dQkEg%qbJ{Ge1lT&}dbVOI zE77epj_RPl>T;_Z$)uu`?-_+c^1wcyB3UoikHS&Z4eDGZ&$DhRZl@0rb=4;594fqh zI0E5wh}ZOv3&l)YR!)hf1kt$2+Q(`b9JnY&u8gPgQMdRR7!|k@N5@*S_ zmp63tp1VWDbexcX2u*@$mm83|kRX2qEB*Wm+K{)-oo&70HuTM?Xovg-vsF-)@Fdbt ze16l7+}KWaBG)9G+AeU98_uYV}c)IfNB)73x2A056g) z*wO%4W&hz9|1Onb6{~9j*mdY#e?@u(&Mh*Vwm#`pu5Tmjjq*#7pF*>sla~0!2I~Ew zz3&L?C1vk@pBCRj^_^@e-cX9cGi0)~r!b>5A_?}kkA84cW@&>*WVjk%JfncV5EPPN z6#|4Kcy7oOGF*dl;Z6X?AlEJp5t7fwIR|wqw-bXlPh>-Zt|aA~NO#G-#ET%r82#vF z#eB)+)-XsrNMd5ML%=2JW2>co+y)!Fxh$v?IZ_QaF!bwa;Lm(Jq3ukbMW?POYBg%G zo=!k;z?ttRSN@JK2pov>76uI?IJM@Xt8J)Ff}h935auGGK%Y8fckx!6l)QEo4V?wN z$;u}W@*edhtnLiPF5Gf8+PX$Mb;{0h>eWixTz>_%E*$4&r1;)a0jSRXQq=nccCuSG z=IkK1h7XwyH@?M@Rln?>u9$x6<-CBfwwOzcuK%~6SxECK|E(-z{D&I7%a%C( z_P62#Bu763G||3BK365K@|ZoC7IFv@+CfqDK==5 z`4I*wQtT%@n4+9SxrsqPoC1G)b94$JZ8ocF?!14I9>E$XiBv=y#{WT5eV;8H1p>gn{|~UgPpVA)o}&WtMee=A zJU@_%FA=Y9Bh3`Zp9DnE_bp-%n|)7WLVh|uU6BAw7sCB)&r5UPA>F+1iQdZ)_1N~M z;&}GOmwD-vh7U(oGet8ZHq$`8O&QSe086sRop8BTvY2zt=wfKC9ED{T^#D!^h>4!g z1gQ=Yw?J$JD*pk{;0I}Jbhwxq`#~dkcXLeJnWR_Zjm4H1D^|?{kNn07jzYtjeNZiB zXZAa3p~dlVdCan%4wOudk{fl4PC={HDvUHzvW2^<=(7iYTZ@};C^F?6my3D4_-t;s zC9>(+MAifH^Kf%jHzJSx)>?qkvrsmuP*9&!kP#@fOqDl&`ybLH%~?9@-==rn0Brx_ zw$J=-lj#L8)d=`)Z~6={)tFnM(nJJ=&k< zFd`cY0qMu(Mr1pHj$~p~_Q-Pj|1fq=fq6#F+Kz3bQDfV-?WD1tG`4L!jcvO@{r3J3b`SFPFo(I{S+kzG*33Okf$;9W95G=?G(uVN#m-%9tY|fu*F~mti6UY$ z9`zDyL(U*l^Y?0@Wn3L+E*NosGlb5eai6!g_$JTbkGbEm%mv!m!#qJh8zA3?R9R{% zTa|WN3~pnUC9N7wF0Yr$(XJ{*5Ji$E=6EUl72Kshry>F&VTo>vi z6GZjWy~&|m+CXCK&w6QDIFkq_;>)wBi1Qr)h6WCGtdjILr|te%p$owUd? zJ=rGvJ48K339cFPxR2+%TxM^5O7b_MGlixJZFY~p zg4@9n+!`C8zurIS{~-~n0+^ZoXT%K9Mg`7>!dhp0lh3f6S&iC7#UvGGhEp5<6=$ zQQ!N7Bfj$8p6{3%5gM-b;6yk|buL4cB!gt6%$IaOISm2giNMT_dwa1oJI_Hy#KklW z`nEZZGL`^QUnsp$5`eZLKFqO{ed2~_+wXL|n11R-H756uGg z2)!NaF473%gcq}}1~z@h>*aFnqI9Pc19l; z3@s6nk#5iL9?$|4lS(>8iiej)V)|a}JQtMSWQ<2(nA6tbE$zjEXOH2DRa{1t1h$Q^ zPl5)vO{mp-2~N1RaZ|a`3%3Jj6qm2pZ?Y)UE03HlDAW`|tIpZ#)H8>c62VGG-{?Nm z+`L}Ces8tP;_O0>O_=WCE;?3k&lcBw0m1!=s=Eo66RR|lQtIT-&V7i6p_TlFg55&4 zMggZW?zqo9xIAdkK2+Il!%BNXEpq7T@*SNRB$WIOGIQ9Vr z2xIc7B2-o5VdV^yH-|UXs;+iA*eavT%eVVJ#cz7Mn7V|zl7*i$vIUusT#yRV4?O*H zR4ZPA8^G{xTjr^(u)gLN#dsYavyAj$!zw&}$)jL*$I(YuxWCqw`25x3@>X_xzSWSt z+}lHnJyzZVU;n5P>NuE+36eIXY5z(jkK#EzA^!!RL7CcM9ad8$HVKSZ(LyOn6UUwk z)Ufa@_(nr)^gF_hUGz}?qG@mI*WRj#aU+4Ol=v=%6IAqpdo8S=BjMsO*$^2lLK<~F ztx1`$WmzZ~N-)>_McwL0kh3(7;#&_F$}!P=to;tj$sHi$*Cxp39up{6FxBboMK}!gWUtQ};6OhpS!1^|Hk8PO0b{_UN>U z?zbMSSB|usMqDY1A&nb1Aqxjxp!|NM{K6~~l(K+^tM8_OPr#)xUPHtwj7te1T>43_ zX2A_4I73ZPWUAIfDBdo7bxmxSzWbA$?PzHKWjKwY$}KX;Efqo1c*Q#jPmqxEfKO_W zmXCj?ev9W$5hu>o;<5GH1zjBmP#NUY#CPd3{rndi%)xsNU?pije3@WzCW>9wYj(8& zLLFN2w@FxPs9hN&$11u*d`W@0uUKo6v4v`VgqXAmy{!TE6fd`1SR{2c;i&JvWl$1H zG@SH2fK@PluR-b;x;U32;2@@KX!QF<=y|j#i@sS&QHl`jTh~RKnvHwrIl`=Pgu{d(k!pS%TL$O%PTTLr`)Pz%oL# z8PsIbPx2GK{Ph)?MVa&{4@gHz3OX|Q-{}sp+u#2q#TtzDyZt*QJld3{afW8x?7Bp}ph05sh&r{U| zGwyEOTxFDya|k0@M>U5L{V^9QvpIXx8jhheqm9dRT#@JNw{HWqqfO`1i5bwWYZv*4 zUce~zcMMm&UYL4V(0g=Eay%$01mq-JzBq4|ivR zD&lmx3lE+Q8kDp;ma;?$2C87U3{oOnS8O2)nPdK5;jko@q%lML{)ByzEu?+VB3WKM zm)N0l!==MlZndogU77YviD_GwBhg)&leS^8XnT%G%7=Ob$ zp6vik0wi^GEuQ~Ox5JqIMN-BQiZYX#G@tL>Xi&w9FWIoCqwp}$NlLGfSXV#W1Q+AJ zbC_stUoo9eZp^v66hqjt;1-@^nFk>^nsQATY+8u7kaMe;QY6gPAuHuW8?6<;e&;w8 z!Dk=qN1R7N^j*je&WfZtZ=nD^^hS~=Fu$Gdf>yQ_2}=z~S3pTj$V!F%=3ajeg4HpB zWjS)eZNhPLgrJK*aq9fiA!pcdTG1typ^xBQ-db3@@pl@mRo+6Mc#B?tx|?LgDk zRvVr-Z63b#VRNp6x|jmHDGNnIlJEt4f&!gY1T%94ALE^iV~-aRsUykSom)g~k2vBVbjRjd36x>HUmI zCjm)>Nekh)F)cjucLs1bIw)@|ugU@U%D#;w-2eBM-t>o;*#^j?`494Zh+C4U{)5E8 zFYbLJo;e7}4!^Bt48?5yV5Id<{ERY7h{;0DdU&AsL#;(papSs!{Gjp*-YDQ&p>T^M z$S}S)MMl&V()rqk6`O(|NAox(DkKHRHRzE`Lk9~rXMRFTx(Z@vPB#&xthC+Ne1Skn zMrl|0QP0?#JfA-xd*C>FIEhpkeI&*tm7pP9-srhq*1sq1fgF5_TJ_s47XC*Z*Um%^UyLtx@PE&Yd{`)zs4Gm=vz-0G&JS%X+e zst+bHwf5m}4-e80pYHsqDl@-)dlok&^L#e`NjU&-H2G=Sp~s&L(OcRK(dHLi4#+Mx zI(i5S+0o$J4y86#ang=&MSVBMct){Xh|#s4)#++?&K<}VsLTE3`J&=UVdLu2d{K~r z57B3u>Aw14fq=O4T7m;XU}CdIX06eIwI%5_HMdJ|)ZbeIZz+AY63CL>M2U8k(QEXzL1W#`O z`p3{9F8=emjldc{IQDALvACS9I4DKY&mmbPiN+e-{VD^KC!O1Mz5VjS7rSzcDD>%o zMZVq>uGd1Fx>Thiw=$Z?&zX#34J2e+5Mu2}k9Mtmnlw*-cn5^G(8ONxl5`gYdDMxRfPDfJw36rXYiz!?O=K=TuIIBl?X29bzQ zOvfHS=Zk7aKt;Rw){~v6PASb1dvdXk)r<~;4=7{%)>`dfQiw)f=H0C%!wg7qly${U zzt=qcRYEFpZzI|QG~WFOjX(U@4hGyj|GU75&riQYTU~7j+%OC?f?5$UNfk9_+)~#l zyTY3AaDhNr`ryj``naFP$xK(Ab)e)TlG6n4s79aFf}CuSmN592*G4A2nw9*MDb=LyYjwAJ*J#a)qxPD3FY2>LNYl^f>X9=s>t zyqg{SJQhT9Wj~$2(DY0@OIMJ8857PGa(N@nfKuco#zknaO20BjF&pcbvX9B(0&rf7 zd_T{%OzQN53R!ojV`;WSH8d+pP~P&*ldttQ9BX6Oaue5Ce#t;wEX*tp;s)P1FBBEc z6R9b>idxMDd%noVs?m?p*LD5%k$0MY8AJ{E5&z*OpY1~qQxw1QhkXC>M|1~LP8fe= z!dIYBRaBHlULrGcHE-`Y$wT_K{sZUtRv#=q=G%Fuuh~8#fq}-LD01i}2}sql9PVBm z2@RDj2A_6!5iPde#^gS~K?<3B0)D7o*CvW(QVa_l6r@B_YE+%kZuD-d0)AyN#CQx4 z8xs-r%uC^H;!z3*+)*WHIPTdT2i%Mvi~Q5Ov1Dw795wlr;`oa2%b6g9>{Uob4vpU8;N<4 z5kJ!KnLD#qwDMCUL20X?)PBb#O`rM|>TN9w;RIpXiK(*~Zm$#84n!jibkHL_*TwD7O)3OKwe|jmpO44A> zi;Mia{H0OVe_$yW#)k_?QogO~Vu)D6r8%)y+?$x{HuDpYQb@IwEizE(RXG&7I4Pnx zE^x@gGjNRjLcpenvnrOrYFt=ammRbaG93sj>0WGcX7;Fn$i& zh5a#MsqQ1hQ+zZPAISOEB77DTXK9gI1zb_W6>Wwbo{=3qK8X@MZa@z%e~?+JSg-iquH8fooR&o6*@$glhl@!$&)zC5|NMGhqe7E+Fq6kt(7uU7(9)WPL+&{lnUs0PhxMd96L zN|oSz@aADYpO|pVSqJ7AtlaWH0kuQ0MLa#MYglRnS(pzl&JwQ6>{^;GJ|?V6RDT+|Bj@8XV1^X?eZ)kgb` zcu|l10ZL~yX}jO^-Bo>KgROQTII$wTi`l%)#zV`s_AV_@QJ!p2ecUulAdPO7ibzx> z0~!p?wi-u{ozZ1eBdMANRU~L$j}9LbgcBCM@3KCXxSC;fMi)PR9`V^F$WJV$KuBb- za77x&@89UZm=oNMbbj|Q_j+GA-XdmR4^Sr4kl^(N#y!SH<`Bt(iPEs3FYQ^tCgQ3^ z!Y@^V7XlWeA<-Q4GKf2>zwQiqTMjx=^HLrKIlr6>pKluC!;fNJL#) z+>CCdv9U`f5s&;-%h9=P-*|u7PqjuJam12l#qk9O!VkB8!lbQN#Y#-gh+b?KP5HF( zKtcaMv?UGu?WT+x%nCp~%1$uBC|6;+qwV6g2I^8u5j0q9G= zXBQrbbBq{*3MLhFjDF+}TXq8PG(jcx>jLd?LY$$KV(Gv~{rp1vFnZgQ#M;fYVijLM z`10u@Vbh5^Dy^giip{Ca_SYQIO$r+mgPTwIe!UazwU9-%XiFc5@WGMGsE9hXuo)e% zRPdQIT|GLPU)%AmC6nXfq&n(?G^=WjZ7=b!%(hZ4b)ZRG`|5=lI4^_j_}`Y|SPmWB zHmPjw13V%>tB&ArVyFy_Y+ICz3$&N%{H=PVg|yqBTVGQwF15+l*yG?eh%`_UJcWQW zq!nZMfTL1_3Rg*J*jH>6kz!haqssOZrsicDPJatK(Z2mf54R|4sm}lzXyLzqUbBBl zJiI3b0mK6fu*%^3=b_WjdWp@9fp~>f!?lrXWnoFB1XvQY360#3JD6+sjn?aY!`I!r zv?kz$pC^?4EJT=Q+KYv4M&xob3z{X42JsphpOib+&&i7_KM$I+bRTAmY!Gqgk<+@g zU;nsmY90+aW(Q)%SLCXsZ{*Fh5`Mu=Am89b*Il0j7T$Zl_DL9%`T;%Vgr&n$%o}QS z(~wKFkG7~_Hu}c66OpJgHT@f&%C0Xh{_fNSHj0rknod)-@>*07hG)Ng5VQU$+C7;c zeraAR1!}_1r6J4rC&3ae5a#7t3Zkb43{Bh0?e{y_|6AOJt58|K0Ytp{?`fRFh?+C0QSEvu6s%{Men)uSl^sAma6q#tzt4}l*466Jl z=%*9^;?XQZmpYO)a?}Yqx>eq2J97i>`N48IC_~)|=8SFi$bP*b8Dmtq!{sW+ZLDJL z^Dv>oK*U=uXON95t+EWv~{TZ)nAjk#%-Cy?R*!lJ(6x z`WAY^%HJ(n!ZaGwFq)B>=Qb@Z&wS^ODg`efMi;o$$!F zHK(cbS@J_S4qTftDLyL3C}(UD61ITX9f_DaxT_6f3muROeZ3CCeS6-Ny*JV$33J_M8xng1(-ATG6|@g82m)L zz%X=q&pxXQ{BhItIX7u(IsI*d^k-MrHLY;eoFe9`FTRqM<~T+hzwk>1XzVLjsajE0 zw3hccl|y{9DqvYo@hxNXFbIR`TYyZ%QkRcuyvNs6NJb!X5OO*B;W#1Kcsdrmw^i%E zA0UT!hpnywiXQyKS^tnh1jh;fPa_)dKo+6IR}*pRlE@iZ#-dDpDTQPr3Pi9h5bfR@( z2RD#sy-qCpK%qI+DzDPXCIc{SxyxK6RxwUOZqn@y%Yr4S?M|nibw!m@Bag!{Y16-Q zc@Y+f=IFHL>}$`Ec*h?+8>qp@>`3=@BNI=@27afBjz5?X7B_hQplVC;>Y95k^!c>g zF}u%_%!?wLSAHo_;NAG#@ta?EDdu`F>lA|V)g3_{-BY*tTszGu7eBA0)bUsJ^Is)I zCocK(D?mx`e>m_TLy7WT_0GBb3s2rn=$qlptso>bP}wK03dq1N@&(s~FZJE?F05uUMJ6{ndX%aW(AC=( z{b1BzIosETp-`P>4M*AT%U;bJt0X&jTMYLjU+XkZ`8bWG)#}s7 zWzD<<%rl146N-i&PqGIGm+D~r_VdWk*3}rW$2P1PfIy~I3H%5jCUOxF#J9z@3#@*@ zZz!J^qhM>ZTpetVS>+Tt)N^7!!xyNeOm98AOG&=HUw;ivt`o>*W^F9~+%z5bEq4S_ zRqU?@Yu4+Zg-rlWP5vP|KjatA6M%6e0VJ@gu9DxV68njhGzFVV0o5cyQ;eW&mhxe! zlQq+fB0p5^bk*ncN=5UT(`ms=KS>$iIFggh@$Rfz6gZI#)%s)CGzV9^uA{`Z;KksNMt8k<$}wY;OHyd&y$cZ@ zSTA4o|HvvkAyD=YH%n3@t6d9U?CNdTvW{FXoh$q%>Di9Lv|8JM$=<~K*L;K;9n;L8 zwef!c5MLj1hNAe5KToHC+!w*q<0N@PknN@@x}=}11lb=xTnB+Fkr`JQ09d<~sm2v@ zVyN!F>!mmGn6278;D!aBHkB)m#BZ_3;g}B;-l!N84pSuUL1@m~0B$CR_62C>6T-={ z!K|h`Xs;WRzEo!(m^!Y#kSd}?phI8eLd^+9OkWr;gR%2D$X42&5sEV6;j;Vgu+|MR z2P8PX=ALbIrCG9(*lF&?P*vmssKkug%f*8aT{EBvH@&WH0>*Y!rh| zNZZ`M(Jb9bYqItY+hM;Oe3M6mKZ{E@xz$ZB2k|6r;JKHic_{&o>F*eC#yD(I(q?%i ze3czKaun+`K)e0TDZ8F(ymVjmBmMqETkx$(6dk21v;3Oo-iZ(Sd1QNd{ zAnmK%44}z1vW|wJs{ft5Ln*!yg*VKOeJ6=o41(Q6m9}L5cnnoWAtk>Tw>4I4Bjo@yN%i~D-^*s!5FSWw0I7rS zAAX+?c|tHC9Rn7n{b~MshqZpe$s8P0bYWc%wuO_T7!VZ)kWV9!rP;}0rkTFs?0^l| ziC!Zgv4FH9i5YQ_(AU{O6*X2RR-aCP)_DbLaxL(I4tt%d@7x#dokBG_zjD-u_(4r0 zLsF~b^D_#D4>Oo##8mKU(Ckc#vM$@FL6$cfpxYD>iyTHpFcw92LYM|I16$hJW>&#a zbAl#latS#74cUJsK9FrC*$gT+U-upBt_A-DwY@Oz`z3)<(Y0JVt?Sj=XNE=9={Mq} zb$abM%DA)pj^obSXZ8wqKSQix~f*5l^cu#%* zc6%inmd+?Pv8w|dyXR$GMrt-P&<|c!qbv-}-5!h~Y+PyJDBmd@Qrlm3Tad8<<$>^w zFn2xvB%W1%JRqunK>a@O3AreWvKSkTO@7zXrA7gMIt)GU*;aX2PtqbC_hGX7lF~`E zC^C*u$dINf9ZsPm7OSm9ey6_m%ED7at%xq50HUA;EW2iAX)I_#32n)Tx)=#b>S%g< zX?AZ)rc6?e0+sFeB4cZiufJC?Wb=8N+{|AtIU&&3>mQ8U-37vMa7 zI7d1U(}V*WcC|ya)fyQbbSCh0-Atb329bBgP052ykg4+;HFR)jaD>2w zeB8Dq3nD9$BcdgI65BX5Wv$OU2R|1zG`muu&4s#c4b_w6V4Ip(z9Ly0LLVS`7}c=z zC+Ap}4k6k5-evUiq^T^EwW3Nx->X;8q%K+ukiceU{^ThIf%7EKs&zaf$AcIyP2I|t zD-dU8ol7A^qTpHlA%dLd%)x=88zar$`@6-3UztTG1*ibjP{YI#yUpSU3eTu7m!u5Q zP$0C1TTCTyNKZ|~w>cH(Y^cWfgnz2Gt!kI$` zOhY_?xBUGk2elH9mu^*YF`+=Fw?v}d34@^e`u$owcK4A4d!_ZO>wlD~!MP00L|J#i z2o43c(p>LVtpL;9z^vp`8&LD06DwlYBX&H7q^;2TXr*V0h?6mDo&*>tRrDS8<-sn! zV!2@V3u9wm(}UHG&hTP;EB`Qw>4+FTK!EewY15|1{<4tb%EJJx%=#|UrCFVTK zcf!l}c2Gid8xGI1Kk3gIf}$lJoZ^>lw-nbta|OY7PuV0wHg)K=i80 z{o>;1m9-I@vUtct_kWgGW)kql7JdoEm0;Lkr*^P-tw|!At9)S>^w{sna2Tr3j3~*r2y(#lqQ{g(q0ZhA&xzy9aR+Qk}81j~r2rBjP|2b7_8X6+&GgEktxP zq#9YKH|t}Wj|RQvVNuoI1z%PVcY~Elk6e!b(3BO60#8L)vmhk9DJ1>(ee?K86{v~D zBro*nmw*LLGm}8r0{-2_ftx_b2{7(>gaK#!>}x69Aamz~rUAu#WtWU;>=~WP!Vs-z zpVkNV7$h+>Ky$fjM}J*?S3fhEDHq+%DBfK|23DU^e73P}lehW|oBetFWU1$UODdBl z|2}nwsp0Z4ZF4ey2kqaD<7Sdy^8eUF9RByuV~!6QgYy)?wOs%Xe9HTKA3sorxb9kC z0K9}mZc2Iil=&{1T4;LQPmzmzjw!L=saM{+Cid7GAs9sysBcP@z*k8}kmN zcphuJ0A|@M28C1)EE(}ZafOb7kT#8@a;hZ#H|;gDP=X5#uM3S#YH>&&xwOJj!1vr3 z_u{%^Wo&Vv#2rwxB)=x7&9rFV?OuY_O^g?OiYg1ncv;QQ5@eyn@-%cnyD6^m6VlVY z2xE_B5IN~sy^2TiLfIDjhRORER*?9~_uj{LJZipJ^IJqE<{vdf*PVQ0)NP$FF(r)~ zkgg}q+FpOvY<5OI-q`>FyZ%AI4|zf)To^k;Eem^XBLn*!vx>w7y_6~i#VEbx_)lef zwXi!0S_zp6nlTzGS~aM!y$G-}wiXtKrbY%qbv(SJZwVRd`EmYJAoKbbrUr)A5qZGo zot_q!ik7OM*?0%Vipe0vG;SXDFq6sEsL)9BHH!4XTHt>35nHDaJrAJfE%(hN#96W% z&!_Q;E1w3Ppa=_k}D z)?3y^jsAPW+0vj3s@mFEss}D5Iy%)JBk@9w^3%amCW=S!Up5^)ZO}MWF_uS0+aX2= z3uTK;AVLYD4irTk{2n+_z`b`7t0RBtxhyWa+Rj5kn;kL*PH~8R!6u zjU_I13sx(G%kvpo&!bjlGs!)EWACYoaZzk5H-;493q`GkbA4**uV4Ib&!2mW;fWl4 z`Q*-}-Pxy7B(#5oaCS_C8-6}P^t0J!Owp^HFa*hTe*Sin9*>8F2-W2-DACRTedGGC z;M$&y#{45RA>@DUQsDRy2&~QhuQm4nY>TgwUA8^Rr(Oh%lah@hVQacL#j{aLa#5UY zWyc6gUw$R*dQW`!B9C+KCL$>gf?&aN@5$aV)XV>Rp~)hoF2N`jdgaMpEmKB z%BF91-3#t=Tn|kWY-N(jzkdXNpkWKI?$$BiwCvo6s&U%nFni@hF+b{1cGAk8SOFg( zYB04`t?tsjl`uM?@C{rMHv%4etLf#dxX`v?KsRi~K`Ge@F=cc1uFJ5w;_7$+NFFmKYuPmEH_=pmS9Ie8_=4 z@zv|_r3d+Mu03`V`$?ur_&$b*v1y6qbT5Oyo^NHJ-gIPNpe%ZZr`zZj&2m{%po;tPa4z=FHA=4_;*Z>01y)LpoNH z;8N9>$lz?NN%b7}xfT7x?)cAl9f7wti7eTZL<81?_lu#Dv_I@U;LSkO&e75hzDnZu zNTgZyd%rOFg>MF*2@9po7oy`epwQcQ87)}G)3jW=T@oLunsUqCIjtOV?{5?>X{t!; zy9SAt86W)BATdNavHt`}vd{mJWIqHK$&>%tEdoBptH;Q#1{iK|j6}i}3D;L8QjyKF z*cpr+t#)4bv&mjCp9t|onaX}=j`m}O}@CSw44LW$>{KtQU*PiSkPRg zB=Fq)#vWn%H`(3aWh$Lh0!aR5)ABmq$P~!6FHx`x2U?9gGxZLeBMFp)~?5 zyBSaag4 z!seVV#6{jaP3Gy!^Vp-nPe#uXHlWg&-{MCVpdCar*S58Cj`qF0mvF5TqP?RfUgDn5wJxI08H2hy<$DVA;Yj7th~Y9D7GTFL zYwNTG4hkA&)$0?fNZu^VDWZz&_(8wN+|*;$;0zLO2u#ZyE0UMM6M@dSwyFCn?#LR%A-qs+r(lpMVte<8K#NYpl1aO3gIPule7Y7YP7HnWt>| z+&;{1hYx)y{RhI1$>lT2l2=^|_89!A+~(}ML6a2BeNtvcb)#gwGe2JK+?k&cz?*er zoI<0k-VDAvw8j=6je2haapO!~Mr2SmsI4tDb8ig1HKMfDX<2xq$JVg$7Oe!^hqN%{ z;bOE-NufVhsV4q_EeM>J)~l>P$fy#jD8VmYpQ=BJ7o!YS z>^>EHnKN*6b9Z>OzT$^qOKx-QV6wDo$^_OJ6=mip<)`!-7Wky)vuTCvS6E(`TJHpG zjI_ujK4b21L@4_R1`O~JR2h@rN*%SoQkKfJtw>R4!FB7FH`yoG0ewCJ*y=vp&j}Yu z2IZehs^Zp#xT;I_B-%FHZ6f2plW^Cljm9=nQAcW3Pb4_bi`J$k5HBJ}7)vr$PN^TE z5|Hu?qjyF#ElJo=H7F6ze2<>lC&7YM?z5L-4_*eDP~uQ62gzCX{XHgUF`6IdpE)5M zEo)01v{|M72AVj{ z!b+@7rSk<|p##xtuSj2am+w7(XX8moN%koWZ3ud3Dpz34;pIf1nuyE=7E)3_>-pg(l7uR{X~`Z_!|K5{TFA8pQ}D=XdP2SixQ+W}3Q6=c^>6!-St)$i`9y7U z16yXQoI*xXC739?EH?2bgB`y3?w{&(b1`NN^a-+c>=~=N7*P(5QfvDBbC@?=uhmYn zbp)siX%+L7{B1sDOKKMC4b>&XhQ?P(^)1NgQSNWsiEaxyH`q|BFuZDhx-RdjGXC-at z>oI2wBM*W7V+UE#xF26Iy_|v`R2etHhOcp@2oba^=kq->B4AYFrS9hgba5|+W;adDcvCS+7GxThK+EaA3 zKar17S;jfZK`ft!q+0H%a0PXG+KK$#c`~H)Ivuwm{hBUvh`+Uv_3f$+Ai!%#`pDkhR9l=N21W5!)aX1GoYV|7fM`L7NsJl zR?;2!pVOQl2h&oRkRxO{Z|2Ti2Nxy;l)1eiF*By}AQ9$w*uC=M&u&ec?P zQbp1BaqFRcgb8*J<@d^dI^%SK&S_skaF^ylo`8I#RoA+Zksa`*R2A%Ho6GhXKik(L zZDUqmKWLj-YQ3*J|I7m6Z(Uit;yYb9P=(DRQP4_}kR<88Cr$5w zEoP9R1AXkB^$uYISvJSBvwbBjznG2qw>_9WeId&ZKsd_(eyMYQ$U3~^{&&kPV8A0F z_kH=-{ud}{G$9Iu;|Q(I;mAU?tGl(AIh(9O@?OjD=}SvAu0nGQQYGbWU|eW4TVukr zM!-_umqdMc1Fqg+eJUXDAx^_~4dcOR$@Z*?JOj3bXx87l=%)&alc##pft`hU*A%~I z%*cg%eBJ|5-sL3kLZ9LX8EhL39|Dn<-<=Ih3G7NvPOp7W#qXoGiso=cH_)b9JRg~m12sNPMS=il}gZY-!rA4oMOIIPky{s-lE7tt8 zWbC2K>f*2R2o07ibqt`K%RhwNhmgYt;DOmE@IM}yUj);`)4w;t9`=1MO+t#uO3Oni zHtTx{OWmNXN(*~Fc;(14b=3a})oVhk%XxAIbxK9-t%LV4)fNfM>C?^P3$U+JF*w&o zFuEAw3UE0TwkHk=VwnA6US%>(>FQp4zX|H8v4TIs3tdj$du2>{k9b|Fmc08u)c9;C zV~e#fMr}KKR114&UiZImZjZ;O(eD6-ZvH``50QxT)D}$NA2NB)8!V4>wC|)>0T~89 z5C-MYhmaD{E@-!*B^ZQ?(24lIk^RN9)94E(DKY6fN?~Qxusbd*mJ=^0gVL?UV@YK0 z6qpZO*ecs;J{Cr!e)#hJg423PX%{}haI{agYbrtDSTf_xVybYxROV)cHIn4bmJfkpI0^lG|cGJ??Xu z!PBz*SvplhD-v?5ukPkNDwKg`!@>`hPr)%#@Z$K;lmn7`sZ>CylW@`V%tAl&=C$m- zeO)JhpBt^G^8BR<9AdlV+&^Zak5NN>7hsn%TCi(QpS+(nDjfDoFmMxLGgRJD$d?4_ z7gTIiO1q6Q1(o6Lk%Zf9`JtitH}O%WDz)}0M^cCgv`z`+m+`!cN0I5}%TG^OC<&ND zu@JNhf!hM0gW}sh+~egX;?+ynIAw&rU@ArFek(}U@;*Ul=&2@(znyiz)7RRAb0gD? z%JimuiJso(P%IT)7sGXU`GGak)zxo)2iT!tq#jyKd~w<#gj=OihfMo5>~Y^mF7{OE zLjA(`fqlnRbNFS{fUtY8TR1cxvvc!1;@@3_pS%sk!2t)#_aDON!?HhndPDAiXHUQp zH{pto&FMXjI;TWCDh6owqjJU*70mr`j%TIS->vB)Ot!U;zmQip zwcBOGON8*!CJ(lf3HQ7lEVox1upR+vN88;%KpA~(N6^fE-(W(VA>A%j(F&Qg2!kcFP`bhWOx5bw9i)Njb$3Z1KgceqwU2%lJ| z4b}FmB?h>qbJF-4uRGy?8ldBbgvSnI?iyX;jt_sSA@4AxZ57CkU5Sxt+U0o@Xe(`v zRgPaIa3D5XWBKcyIXcG%xCu>Ca2d-o4#bX;uNO5w(E(4g7w1b)Lt7~a zBDR6djKv}(K?R_B#`h4ySO3>5fs~TmwUagfy>>3t9?o;vx<(dfZ_y^UZ#%a(tDI5A z(?mvSpf%Y@K%uhaVStPt6Y3X*&w419w_YReoyenj&9#hblXv53KTReEh4geV8)1qw zc#OKZKFKv1-8({BXB^x8y(=tGB)II4Df^FqNb(<2f#9iLz%__8cnNsLmM6>{KO=Lb zk)j9J?hGbmlEq-gVkcUPezsE@5eDs?tSIzVphO+4rgfEBgDoh%A& zw(2y2bRQHIwS@$A&D;1{&&WC`G50Ow zS5Wih{R(r1dIf&#&*j465Z?QP!rNivq{x<`m9dO#Mo3uj*ki-~a zkl8@s<0UP)q2Q=ZqFWU7LX#>8+bWzIkX!F9RG9=iEI=3h4y!WN{+bLLjP0Z51PklY zMN(QfaM=7fz*8uO2{G!O7k6~LvGt?BW7_?Q{cBDoghe;Wkt;z#9szcrSf#EGgfs*4k1$Q{9s( zTv#$lbu}1VZ-RYoqu1OCU-Yp`iY|usv;OzlMxVbfm~Twf6jA{5Xi@?oAY7nNK#nF3 z^hVasj!q_D9rY}1P3`{wUwR*2FGZRwUsu?W{%oWL&42>}>EvOu-Eq_6wmgv|-#IiU zgh8HGAOTH~TCF)xXvZoHFY0?Dn$TG8A6RO@q~WjTLq>t!f(+^`)>XuCHd=19i2m7C z{_`7^GMg0U`cCGTV!efBYaR=y>igx!+YNs2lhaoUQ$@F0-#xG??Q`AKQ`hsrb?TjH zq|f+6t&oyMR(1u-@Ol*D3nH~pmFkVpS`Zs6`|@RUD&B5U2j9Mrf+K(G&frxwNh}j9 zS#yk;1iJpdEQ7HwchFWnC)U+y#s_P5>a1AN$cdwDso6l0)|65_BBPm{Neslj@@y~+ zX82yMLw%~sMJr3BxA!!52=sFh>T}3rZiH_`eYOa+HCmE*3wdAPh4)WXa)@YaxFh<$ zO$>~uJ<-XW+KdY5Rpsr695MG)la`aU!`hBxuMMPv*j2q$~ff(kKgcczxA z>2B9rI)iqqY@koGrJ1nY^&s#O1EQ9@EtBmNVp8D2-~kUc*j(}(qjO1C#IrIj+>=R7 zR12flO?UW(6U);`Sw8mcyPdVASaPnnJ}w_j7@^8$|x`>SHMtY zth*e25L;>6Nb(R_4{1k8@?N+>2G=M;G844ong>Xu!jS#|#j3^n@Nn`_uPqf-N zOIXOqNhT`Ef!+o8qgjH!qT^KVKeq(Z82y5ETpJ_tF~=&WzDL`DsbS3B-Z|Uj6&8V z8B6?t+@0qBurhPvCnoQG&K9+UoHE29eM8qMO5|$a!Gqm*4i6;MXhOFY(DW;Z#q;s! z3$#Djn;_lqizQpGt}4i4v?$39TOH(%vS<}f9!?wF2MLff_{6J}+jwl5w77r0i)$LDqucrY^gBsw?G z4r)_7b3vztOlh>HXKf$8fo}6^~!-CTFN{$uC{r zpNc}B@m*6r8X4aIc{L6n1^lW-@HAKvU9M8+&$sLve~@oi_o_C3#j{R2A}m5_Ow_%U zHqoaW8$jpfxOYtqA|A@sNq7%LqQQqw#@-@yp?lWX zl6QIXz9z+MO*ojOUllH4tcosFCapjjL)fU499kng!{k|Z0PMruu;InrpsE1^7_nn{ zNg&;d=$dr9YP8Ea9;bWcS@K@NKVCam-A??L`Fa@#=jHL-x?Dw|o{8o*>K`s>3*+i= zxu=7kG6O|K%R19;f#A%=*L>N{*5N#=w4a5)TdwcB!#H+$*;lvD&pzUHJyqBoO}a~H z_HGDWyMSd`Y0*%lUbfpFU2sZx050zEn-%@GrqJ?kLwSp8|8n>}+Cym{tjcwa$Y}>YNJB@AIwj0~nZ`b$#``fGgy0UtbgPc9% zy)nnQ=Nw}X=w?uw=+2rWb>Kk!W%MMoD17J&#Bc~nFg!B%66G-+|BT$*S>1_cKtKjA zOVZF9G*1$nk#`h1nAUd<<^+ie6$*bkPHm(@+KHe{|nL#@K#87g_4f-5Z>;DmLX7tAPfwnQ5`XBlLX!ZUjHbrjuUinD*zPW@INU4 z6Yaa=YuqGYoZxq?UTeVsXzX=davr$UCwp1GAt_!2Q<5nX&UWN40{DT=$|L>XBl3;O zclo0)t5%3WQhg-Qy~0<(j(i2Ii+gj+2xD-b9W|INxCpgq;G}N1Vx-ddpQEyTfyiCX z7>(6(&VdsfTRO=0Xe*9qzYz=b3MFHJ`?S`N~+)FKL*Eut}#hE z)XW8m)PO{9-9Tuzq<#!1JCxMFVHn7anOmtc{nXhwAkf`g~&9Qf*Nf5-qPp zo}W9L`f&%TKH%G3y(F~12vO)Qvt|t70(xJA{mOG6L38fz1)N6O;4PN@2WfoiWbeA` z@KyH*5WVZcM6(?N6F(t0jhdapmrbP#vn4(8jlKLdE{RphPKw-z%OY}tVx&0=T3Ir0 zOT$D$Z1^hu^Qva6)Etv@VgVIvtUjiSx!cD%z)~Q9M9ScbQ`e3lBEm#WM`<%z(MX!| z+b2xTOU<)q?E;t($$wKMv*bea-xSFV;=kH@m}uXXQ%_>oe_I9y02<4kysfu9RGsOV zv6~ZZs!!1JkoF~-xx|Q!xevM}>w^>)(>qGwSoiOuB4Vyka6YIZnl8`o$7Zq;CZI=) zY0DI=QB-{%W+cJl?;I10W6SDuN0~7LYpAoEC3K1-SH?rs+b1;m2q`)(GXTp`<(nl$ zahdirV|Q^E9je|-qeaW*9JdZLtVIi zl{`2x$dzs&RKzYcX3wqNZ?ZtHmtqMD@$5?7 zd!YFX*%UUdbMQf~VJ2BFN(1e@3V#+0Ifi&tk!)E?_I!cxq>yxL$jF+Y4GBLXfAZdS zz9DDX(FL_NcYuVnEerV}<>Mct-VyWZEx!|`cmC6mi;4DKwRSebf&k-J<`Qm0on zPUg*u+vWM_HK-(Oq|k*%H_SFtv@D~hQtpQgw&q7YI=ooTn*|{lg<1nURRxRBW_ksc zI3+TL4b}y39s>;ZDJCm&_y@`gj$L&>P#?|%31}c5Y*u$};(lC2q(!Q779g)Pn0%4S zAb2z|w0lI^EIL%|3HGJLq+y>bi*n7YVdTpEavnD!@=I);x>2zMO??<#UnSN=#_o$z z1f6;4)Dia5=~#?r!FKAHIJnHHa*=xZrNqmGV8iX{YP$a&1SrS@^jY)txkNWvPpyOV zcaEv%tkyS!YvNX=c4K*}nUS>7iY{iG7#!){b#bX-b(>Y9F^Q_dKfE0g`77+O0Kbd$ zUz{uN>cxIhlK<0&sOg|4fj6v5K-WtMV z)DzEo;jex!c(5ViWlMc+aihUvX*s&`Q40H0Ey%tbclh0yk@^>qzpoceHk$)9EzpTI zO*&k-$a#%+5knq7M0mx63(oA< zgjvxg@CHuFLtyIJSc~a?!lM@LPBXZo>-zll@$sZf*=`2DwMe1{1hr@)I*nQggIQ1* z(YdrkI?~CAn0n_slT2!zo!V?N&bT8A5z08h>n@%!>f=gp^A|4nH2*%aR}BTo8vrQD z{RK+zE722^|MQUXl)lx%waHwttiNW9Iw>B=7fN>-DV8K#STIgtg^IEX{Opl>wi6(V zB;1FPbP4Tsxi-_rh*MjfX;sT&=*4A4e=9wQ%~)t+Fx%9X;)SC=|8aX?{u_l6mWS+t zYSDxSH*A{X64_S_#Lnmg)F^Z+RkXFcz*%MyW%k*;Nbs` z{Hoy*+m|kAG{rJYLF8*B%jDm}LB|o}4`wyG&CZbfW%An-`m?mW)mp3{sRUNFjCYIy z0pmhy+(Cx|ylHZ~AN0f6#djtUuU9h%&?$WwVYItC(Jegs2%8squk7b*#&?-D_b>7} zlo5$QaiqNLNZ?EcGClC(2Qo(kDSI<&Ivzfv?y`g4H9z>24~i4vYW5%yvsX+(s`$Cn zqvTZc?E;65yd67;*OsyHbJ$cG%yNNWHJ_%VbW&ABdk2~J5~~uMkKE1&3n?r)6t<&P zOdM~iBGxpt`>u!_Ho^Tnw6gD?&`Lb!<@{#*30@=} zbs`@7L`E^xv;2vA3jw4e<-51D-ObVIkGc||kV=(A174=4kW~tWre(~~P2N@_EC-2X zq~nFt6HC(s9t<4gb(bWaVyx_E^txY$YzwqZe?=6TK-R8fU`oxoUB-2=BBkRx<9xp# zK9P5ujKk?rSK-Sw(6|_FXF{KeNpZ!J838@oK3twom+t{1?Wbw_qm%P9+b_A_PdbEu zv2xy3hvOpu-_Us{FLUALUcU2e-qp(LnL<$XJiQ|;uie)^Gv*MvMZ$t(W(Dm&PG7swpQ&|VeVLuKv8P2L0 z%>upt$c5xS5<)4Kv2zOFeCr;`qq_|8!cY~}pHRQvnd-P=C@2btjjgt2#uv2u68*d< zor?vz&}R*sa8NhEz$m9cqG9C~b%;F2|Cb8~gLL8Z0Z zBBya?D*nfzws*nseuF4U$Y%*x4)(hTF*i5{W(>7DOew>h;VQ0*rWb|F0BicOdph&I zKf~t9<0YAb0Tqn{%zqi!yq`KUtEeuyEQI8CR*~4RUWA{15&Av&af7HbE0VD`3n}Z9 zcq&kYL2ZDo%5L#a0HwfF( z$P6y6V%!O)VRfEpg2O)J96zf>%T?}zhQm0oVwqWgs?O<`j}}?{PU+Nix?Fd5Q@Kux z@KzBWs?YECe-Ut(&z!Z%lXj9yQp0UR+AdJ|ajV|%;{pF|o_Dq|$>cs5RKD1W6 zljmxDt+pD2@~BIHADExOpb_|bzq2*Y%)|2>lRRN(-PKsMy@$!7Xj9dJDq@r>~13F~d7F`%xRQqZC_)EAM^e*BE_-Ob90gu6tx^e8~& zb%IDHpPtk!0>Q!!l2=ha%}oD+MbXxpTCpDpvC;u?fU^R*cu)QWwjRWkO6v=Kx@oHx zt-0xu-)wwX1+Q@m#Zlr?mDzD|n2Qv>MsTnDp?GIaYj|fkjDF*x_MS8k2Eh9@$wr<^ zUwXiaVgfiIu|`UMGDWx~cBWcM9U*Of+rKk{Y}<+4=~K074@OVsWGfACN;1;Iy3~38 zxCLGixXdfA4d_z`vHGySlthN}jeild2%~TREewRsdS1E@eakwKMg9lI>oJF~^U8>< z8qi`fp0=gsO)x{k=Jy!SABdPEeYebmk}>sz-Q}TQ)D9ZH=rbkV1h2LFba#Ebt%IJf z>cA-Iw@)q?L_@4URoru_+x590w+-eVw8fc6VQ7RCrEQGl7)R{HG!yxPdc5RGKPrFe z`soVFvgnjwO+-pFR^F_9mb=6ybEc9RdUiFYebbQnTW6^UGN`4gQg~3c#_-bfs#+x- z9oC0t)f4Nu@4)wfc>8O2Q%COXb89fTyTpPDCtwbn_>ksFrvW(`+_0TXq>+Xw3zJ)a?o1V7c~n8`v|{ zotCvp`D%}u#jXot@X80%4F?(dzNF45ZuR9MU>UA8|!mEe|mP#NQBMj18&ag z|Gqit-ql{h#(n~{7bL&U)q;V-kk%k(Vs9Vr5I_$a3OGDDHzCpz-WRLLZ!It|4pnZR zXQ`S7#J;Ily{QNWZYIe?@($C=*3zfaE=OzrLYKuV>rq8e2qwT3@wCknO$Hg#V;iC_ zX%QM{xH!9nsxLG`S(S1I?{2vMUcFZHsg=n$j52Us6VV1)QkfL6+ z1F=9qbnj3Jw$OHH7i`fy{2@H?=c3nU13rG?FFyWV$>r)ZV9tz*fq`L)LGIi}=KqeE z1>TN`4wH+ImWaq%%8>mtW;S6tbtf!7%TmKgHxar^L0t$*%g8`aJIPQAd1VuPcWv|O zRt`c${8n^CyjI4Na&+*g-FT7MSU}RjKFGYT=fw7rfG-Y%jVQ1v5obBylCIYTqCn|# zD4g@NPvDfUp^^U%Gb+Ii-s1MAxGxT&78>3|e~=U!ASOf<7z7FMsSqD5JOdQZq|e`e zI{^RpdomN02~orusnWA#QbGt6kdBLNX;w32G3DrTeEgm6Aq@49sv%}cl`I*Q zCNBo13mcnO~t-u>(rCs9y9ALi2gGP5h-_^XQc) zvwu#|q8y_(0#f1DWvXTk(v77UeN{53e2Tw4cPeSJgp*K;va88%=x_i+Nlba4r5^mF zwZX}`bYm@9!DE4Zr24L!*`JPhghYSl^hB-}ZOETz$ol7L5h*#@~p zVJ}3QP01vJFL7yXxB*B6@Mr4 zvGwuftW38A$yhL&|4Q(zk4hwVx@Uy3@OXrGbhUNAuEMljPAecrMIZzID^7}@G&G5r z`EDi>JFwON>>GbNS2VM5N{;gd5ojwF!LTV>)1r6`y)b24tMbw;{~<9H zdYeaEl6w7qOB^(dxE;*`oBl$GmA_eH zM|P{>kTJ8lw0xvl)lh6PIuswTcl=;ND4GQ4zVoM77=u^9${GN@(Z4|NUE$z&2Nl45 z4Q%5nokx<^l%{-p8?8A^+K?tMG#m5_lZ~nFu+u9^DW-7N@$uW!mtU2fklM9|s)V@2 zxkCfyL}X*K2WkgdGqLn>2n<+vR$Sq5g~L(m;C>97ETPo%mlu`|_UOwa>W7F^NQ9^x z_j?@nk^61<^e!ssvxa6FJz-ANkPNrA&wAYI(=xS9Mk%{BqPJU)5)p~mH`6vy?H;Wb zl9=V>FPN8s;K2{_tt=kW3yja>qB?+12J{oXK z-h(#Y{(PSN!w0ahTN3300GjJxY|Hl*gUR3VSpd+!N=AWyh|M>N!FKSx>M=`(qc56O z9*QQj@_)SLL!1IzW~XjcdU#%5EB&rVm`5j5vM&&7UWK3EA*5ld7(Y18g=Yy12@@-V za`>Vx`+ZQKG>q}_tRQhBK9(0@nFZ_HFdm65wQCTc`v~1R;tm!S(6(h?x>T#&3zYMa zo&)s^`(nv8jr>jD^=fESKD z>o`X+L=fbPhY$02Y?QL8RhhNZ%)>YyfvCgo&;;~l0qScjI|o5Ga`G9iwmtInEEN2+ zJ@czSa@Ol|(`}vM-ti4Iu(9d%Oq6UNmO$VgSOnBTz7$ z*vr*rVNUnwfgeg!MSqswh-p>ux)@9el=9r<8ARh$<^HyXS*D8c3q{tAkI&m~jo24{CeeGmhV)pF_@nDT8VI zqaxcWbCAaY=nP%|{eu5)K~|=qF1gK$9I^Woq!J*}Ch39+bfq!6+g-uE!Ym_ac_!>ZO zqF@s@%#-!YPk$?%p3q@Q?c_|#wqs~A*0?7IcHho+lZ(-l`NN)PF zZwDG7C_tMUIr%}vEAqTvGnRRni^{ax#=DI_-mb7KOqiXpatyH+c$C8*iVCAi{mkIi z`;?#xy7(&;X*WHf)n2^*hvHJ{lI3BHv8%)5u?mZhymwy=_yll;u|Su1Le@%ex>7<# zDz%E^7$^4=xN$a@lqu<59^2=m;4y_HJBHnkgvB1qIxJ3uTn6ZzrAVEF{Mz-_3y%z= z0xsHPnOzsG?tzDsY{@ejeuvk`f+$d8f2pB-Fr=7vqmu4t75zPy6P%&usc zDmb|_LRk6LS;4{9-iH(CJYDD6FOxjYe{;FMJ*PzUaN0b(S(Jjkv7oEF=8fDs^K^2S z{~=ksoil#2o%hfQ2ymKb*KX!)`gK6ncP$GGl@V1X+Wpc~zS;Uf7{60Z8RYEL?lAp3vahG+@aBGzA7OGE>T3IY?+Fl+7HKp{ zT`Zc3tEII6K_zi(HMbG(5Ci+FwjYy|5$cPZY$()y1pguh<oO`tGY5dcf9W-aKuF zWR>V17_xh4tnkBGEamY_LW39_uo>0=lNUlR#vO)`Sq!r)8FrAf7NS8lo z^??k@sRKXIWF|&(^qFV@%}&bU>JcJgis@l+erpxPl2#L=mZ$PDDkTPwJ9U=j-IquY z^;@+ztOa#|9Bb!{x?mN3-TQGf;aC`Ee=5|3KH2KPE~h}XEctl)>`x`WMgA51M5?VE zl`U4T^&tLq!^trDX~o(4i(hQPo1*vCv{G?^wCP|73jF=hvc=)WN&3@>et};8ua+2!jW@q+gTHKx}t?IMND&@x3yo5!P{_CUs=l+_-^*6s!CMI%5Gn^ zY?%sE=8h@>J|37%H*E5h&M~^|;C17uxUyi4p_DE~85k%|6Y6=61f@b^&C?-iz6jW<4KD218@>{E=8jRJ}9 zTiWxnWDKwWG&sVdu$U1+9Z)mxuej6=0zv8^;oS&=Fb9D**U&%n8ZUQPe_yEkK)UDxeS~9h*izx)CbR2zl5*eDnXu0 z;Iiq5)*T~%Xnv#0`&klCA-{i=zcWHmKa5JB&FiU{@kEm0byg|sw(w^wKhdltIAO5@8q<>do2#fp)U^)Oq-Fu%r^M#7_iE|S2 zN8xzXjd{p+7u|aZ%Bl3&sAa9N5Ph$d#kr zgPfG3tvc9;V|L7G#Rc65KlNG<#+WkM8E%Y*&a8l39dA|2Pe%4>79=yy#6UJ2-uRh+ z8q3zLcUub-%dN?1pI5`o0uf3d$jxQW|X3HVWIex5r@sAQ|53tIqdt#|I; z@yCesD$j?~MF4iNe*xaRLPS~wz_XnTaNxP~zj#JV?9ZyIQ!Wm|=@nih{hG@CdQF(A zX`$uKhmPA&B%fw;##z%(C0jLcrLqD+TTvb2Phf(jeM^m?8acrk?Z!aOZ7ZA6bu&N% z^7#pgi&0WBpqg*aPWhQToAVY^{a6dXIAb&F#4@XMOuFcD8Tn(As*ca|aA5J^%weKz z&%kG|KDP5;ywj`bHQfvq;Iw>a_UWsMEzxfnvgY9g)8~XLP%kxl>$xMnjC%do!yQ5T@Qxo4rZnYv440gROiX|YB|+X9~zj;%6-o5K6jv7sg8iZpn4U4KoWAMA^W>j@0^?5}Q? z_W%0ANp4ni_|*_>V(6Yaf8-f@pyqH74r7&P7jiWmWh8oDtBf7%_>IKFHBvgB1fM}t zlRE}K^Z;y{ zL~q*9%_U~3Dmb&hDPee($=Jzwiwup$(WMjbTF#5Z&YjI29wjF=NBG3LFyAMH!K z9Lyr*B%&JHc^lhfT!G)BIC)%-biaRf$qm^IiAp}wQR;nTzHHWhG#UFYpe7zBGN9wF z)2@4rp^K0wwU0(!mLl{}-`M~xwj);aJHyDg2Qd{YYnl*O1?8Rd>X_PX�jVOjSigM0o@A}tOtJk`9(g*OkfafH5)L!EZCj@NCa(;OmI zaO!Rav&cAk+d$!es``4FhebVZsxW(Rb3#VEAs#SI})DG@HwoV5` zmc9$oF?rAgIOLrm@GihS33Pk1XoVVsDjl~RAOCSl3k1AXYyr^w`WL(WUHu|&Li{&+ zfLI?uzL6(K&=ISsJCQxv@~150EvU|!pfCN9hbLA>$`e&w`d_D>uJ_7P!np8jrg+xy z&?F&wu7ge>#>3JOl30$s{5!^In8y%T+SJNyL}o+=i|5*N1@hsrx;u94Pgc4E?0zNZ z4`PZ2eP!k9WdQ`iP)23Zl8V@GB3{tRY!Fv(Bx>Y1oMLrf78(VSS;p0Rt+{bzonj@K z4bz=!E#j(ReEoWBum&GfizIUD(PGi`(RmEJYIc<5<>wr1Av@Q-g^(L}0-fZjfNl}! zj~unmTQBOwuN5zl|K78jN5^JF0MOJw|7T;wyTwhI{Ic<~6rAgs;(@f2q3vk`7cLBQ z8KMuIJ$An@0$(v}b~(~cgI{#S8C{to_@^7!j*-*jv19XM@IDUI6%3ETYKoTjVGaM+ zTBGLU*Cv-G?Br9$G{0lPuUNN${xjiLlZ?kKlOyj%9zNS|+r;e+_m}2Z0ij>@kp=2p z%bQPZR#C+GD5$TJzHmyTo7FPF~c61u*#S?C&1rjy@p{X+C)Ca>>k zERa*yR+dCx75th5O!~ctQATx@JuJ$rA_7)Vd2bS)m4ZHOfzWbTeyFr3^^Elb^7Io? zNlph9+l!}Qg{)yr`s)7C5E0}f-sF&OwxFj!Uj;ExBzbSVP)*f>5;PDRNW)Ey5pa-( zl;|3dOC=Q$UiO#T9q~vmQPCwI@P76^ppr@g8a8aI>`syoh2CP9mTP6xDdRQZAq{r) zEHlxy_;|7eG|K2mTf|dZRI#i%ke&@p;c+TcI8}GiW1)R2)>Mrc6YzM@2#dBz;vw5G z%@{<<+CZ1NUPe^ejKn0~+!TQzALP-1u!em^J`BVv*fN!V##y{p0%F89V|T|3+rbCr z0wOR$19uvXGAydJv4|!Yhx;H|-uLhw`z|sao$oq%#TeA~0Y!HUiX@pr=$O3RR_E*r zLnq(uRA9qkDCT1qO-w(I2)(rpqbZajmCNZK& zMm;`0C_E}lHa0L$BW*b@G$JZ@uJF%OZuoAn?-2_#DR(=nzdJA@aQ5}r=4=xt`f8%y zU+xEw&|kv72v3~(6t9nrjC2jeg1odD-eM-ACwaG|ODjieMn|bcC#5Fx;z6is3ETsP z(b6%pF!$xHv$3*LwRS)>vq|y(ArY#2(hdIR6Iy@q-S0BiyvhG_RQ)p`P_?}v9uEN` zN?|WYV!@phz*;;U&>y#i5=hxv2_y;OBB~@WQj=0cWyYF;wiR1 zbnHcRMcP@5Jo8_+O{Y=VRYPPKGfL?Ls1UCsfLfD3LkVo+v+9nqU4-bq?H*c$OHEF7 zt=iERTVIj-(}?x*jkdiyY?{q$#ZqA)+w~edD>pa!%C^T|1;-hai`^f76uOp%{mvCb zx}eMIEl=N2NZ6B0a?Va{*3aNr|M&-@yJ4xD#{fjh{sPf=*=*kA|F`a-UnH77m4@SN zx>krM_{B_}wMeuh8De2EQzqs7(=nNM?}^TJ(cWSJZXSX73wn!rI_Px-3D3E*J}fDs z=*K!$(<6d9KHvLVA=4mBR~dk)y~KL(b-U(Mr*UFU748##*W$u+rp%>}v@-6G(D6jW z#+?$o-e4Q!;xp8eFfx+alOrBtDEF*_k zmLWFEYva`R#^QJ_DPZ=M3Z5svI5my~n#t%qL#aL-{e^!% zHI-7MxaZg7WnWqkE~TvBKuHh%!PlnmrtV5+bzcYB#`h|I2#3UPFdRvfGXv`t6YY6*{goUI3P+9s_%@W zH@(Bu^P}K4Hw^}&q%WGRmQ`42RMo@&Ng5hrw}jc!`rVC*Q;TJ%Q+iM;4M?+nMIV%2 zX-~R5W`GoMGhyQeRvgYQe^u}{6^t}!7^LvePXmaX8)RIdiB2*5S z<`=ooDo?LHVKXNP)&1KaG?YQpZoL>eE@a=b({uhv#Pwj^kj(~Qv-}s>yiZQYM*$5f za3FvzgP)Rkza*1aOTcgN@(zk(39`Vbgk+}pCJW}hmp;i9-902GaV#?kc*B4C2Fr!H z%6>$-U&g_$8zx)pZ;Q(FQz5MM(8kQ|vohQ8SF%7W9@h@)%V1Ph8>ywvpQz&;#At$8 z{UHh(%62j9j!2h@#M>N9w*(bhyZs*^))7GvUJ_}ao3MCNKcI3Fp@?~=bgl-zHe<$C z|G_dVD7X4z03ZGr)Q90+mihm+x&~ZkLZg(Tqk}X;|7&;6B=`UKyEdZxAHQo*W1wPB z@^F|TN#RjS=>aZ7Pl|d*W_l(%+CF_BO?PQ0oje|Cf?y3$!bEe1Ogn-6o`!M6tX_b@ zHQ5*Q0TrsisV(1QTHfrMdptkipTtG@+GxX|e;l@w%xEsZ58L&>I4RyGd9{(l|I^?8 z8m!D50yY?BT7>`kt5g-4xp6=|oEWVDoX;0@&QJcXuCpAOA^Be3cQ&Vu4s}PIJgGW; zWa&DQA{Zs=W@+Ur2enn%QE*-6yGehbQo_1Q!HW-y9ZF;Uq!xe*nVt&wojv{eYptZ zXW%QiPek5yk3&79i40*cVZQ(wFE>M740~on0$(@XY-A%UYmL~rCJHqS-3mFM{rtTT zRp7aa_NGfuZ%^f$^AKUdGOT0eQt#<~k5z9Aq-jiEVJ`IRWbis6(r8zT%w@83 zua=k89wfT*nlPPMPf1z2ZPQb|>qG_9A#RuVO(M@kyW0|}dynB&k@IDov8hf*a=daE zz3ocO`Kx~`c22zR%xtKVMSo#G!Q70Rh2jCOR@*=TWtK=*`gjE;i?bi)uL^EUGbEhk z0e>0O!cCm8??G+a+Rq2YtY*EB+@$>XkDXjiH@XbiFY|wKB)-c`G5~MZks`0o~qc0jpZzsC) zKgp=vP#I1-XHw^1F}I@Q!gUoS)DlBFiTdI9!!LO<0>8kXmzQ0|@&4j71s(92X@bjV zLN-)p()&MZ5%w{06@9?zLI`Md#CqSP<=y+WHbK;4nhVMA?m1XFACuz@Jg|3Tf{2T% zAU57xN)MT(c86Vl3eWd(JIOb+Unj0tJMCb2@TTz&Yduy}1Y1|Z<~2Gh;LvFLnIIzN zMt0TKH^!p+w0w1T^(@%&vU>5jPH(5W#Wjpr8Xop+Td+gCi8W8N+MY?@))Wi z(R70!g|GNS+S)C9Sd771>bA8x2sY5I;cpVl_bm{n$~eQwj~Q8Uq79>!a406QQz)i) zZqj!(Dq1!KTJC{jjUlFXZ&Gz$Fcd&aOj_nRXXtKzc8_cJ8qZ?T1X*LGP=qN}ix?iK zdcZ2-=%7*e=5=%TECHD}ywAoB>3HN=xuQX}q8jtWEp$7&a%@QCZ|=1;4!4BXcmw8G zv+=?i#wnNa-?MbI4UyOI-poqmZ|EhN#4*=VQVLs}_z^jQu&mqw)zZ&wOj#lF9D>RgkYpRCA zy703oru>I_!Mc+N#GfqDV}#f_)S%{Y)%S@oiQsSjC~bR{&uoBTLOx^+fb{pNdA7$4 zeo@K*zjvfXu~dYjL*4B2#x?XD#)0vGMV{&6#tmHelHtDTfhIrOowwz|@Lx1|? z&ztI&!iP~sQ~Ow#h9G%ptyCWD$mQ1D=ti*b7aB+4hetHac5FoExy$G=DBU=nx97| z(O6DU0uqWkKLk;3G0!Ap63XZPy63=+vm{>v!(QEPbJKB7#XD!4<@_SDVUF$RXa5ft^xq}rI+pAJ&1wgJUmb4C2-VcIP5~%6+5~6 zE(NZ$A1EH(gID_Mxjj~famO8m%jMfJyNz_)8PTbV#KP{EoDQb@bWV|I7--SRzP^e~ zsAl#1MTwcrU=Y@P_Va3AoQLvUX0+Ky!GLc#cH6RC3}Ug!%EFom;l=x# zFt(5hh6^^@b?1cmli|}q!OSBAeqp)=);z|^7v$Z?%=X}96XI3E7!Hb#+oNsxT>p{s zp5k%8`J2W4MfuJ6E)h=q-zc$3)DBzG<<-_;1Kw-CKssG)o>gkzd>^p%^d>!cAJo>H zbC0wK13Hh%COh-P*4DBa)AD!+NFG%CkxKYDI0Z3saXf=h@F43mf;;L8vsa^Ap=Fqs zZ?c-%kz}9g)pfV8+etA`O1xbhNfo@fX5xiu&0k-W?8U#<>V^ppv6A)f5_>CJTZ)^N zC}hCz095`n$tuz)U({bqm==e0FGNro1&&Ij6fXUl3Xr^a!=(XLIZR=9{3*^;tKEQU zgxCs_uAgf69|+n0klT}n_A!6U?L2?6Am1gtX_No-s{WI@MzgU*BEF1uvmuNkO%oCb zWn>6Gl`j_U*Vd}NsIFL3>6kL?`g}Eh`cO?)BU2TxBimIu@(qSL8jvgcWC$?pU?E|+ zAG-|n)UGp46D73W)OUe(*+R;EZdk-7Qx4*hPfC{U5pwyAZ%t1}*VK&jgv?Ym4rhnb z*L&~dguqW|J6Z1UA_kOXow~T)d+R`ul{+^Z_msdAgv;{+%bo69B^PI|;0KQD6ok|< z5xdNPuWtH9UwP)ppasL=N(>R-cl-F^InRcsUQ$phxXx^e()k3vAM5j5*QJ-{zqfN8 zSu)B906p}-K=0iv58(l(MAj3(<}%Lw9#zQ1XpJXOt`(+d1TFI1JUw$AQlzP9Jh(A_Cn0K^gFsO zL|-m9{w$?0Kj-ZRb<-E-q{mmaVaOq&y4XRc^ff`#YI3L0soU)lp;ASYhLv(iY(7jb8qj>O_D7ffQe{^-5CAZlzgSoA z(r7@evYDbq*`$v7L% zuu+gtBl=hXnPclOJ#C%^bR@m60=tx5FysrC8c%nar(js3evm<0!kO?%>;hGg)ww;2 z^t>^~KIf~^ji#577)VnEiWfFE>+y zYklk+mH|GHpl)1f-K?JQw{TL~45zWD&TB$k+)3xjM(4&gOn2gRMo15xG6|@iL{BPP zrf>vk&W?G^yH#^g5Y(8x?vTE;J#8gp9V;TKa7UL6Nz^||!Tac_pr*=g*<^*@oDl2QT=i|Uxxw7wv){>0vR{CY+Od{qLArT$zvZC)xWBIFBe zZ*M8+`7=y9R3M5x-+U)v*Y1B188%0$usa>7?-#Lo?VZ=qk4+($>zO%tC4^X2&@!8| z^0s{`&U~)92Z(i8gLy{mrU3`|Wlhx!4-0GKg zCpVBqPeP(f|E@;rgq-@660WmzH@;TIGhbq@eylAy{&jka&=kxhVUfiF=poC-J?R%H z(VT~{KSH?4Rn+ITKO~>-PxZ;TfR$tU7jV5xVbdl@f4}Sh6D_F0WyEYWC+}=1ebhN8 zA0ib@WyC%+p;t|`=sgj@VqW(0@iUG!MA8G@)i@E&(n+XIJiW6p9;>{OFK9djgV`0okaDoYQXsX^QK*N@mLQ}%%qz0&qqB%Wq5w>%b9$fHa zkh+T*GuP5zjB(Y>m<0m6+2pBR^*#16cKPQT3CNd)Nw0S5rn<0SLM!IRPkf2!LhYb@ zP#$Ezx%!~S5^A4goSKw1^EUHbrFAKU#38qOrNg+$lT%sr*6rL|xmMw<#ZSR-<96?&2-p?*Tx^x zXCIHFY8-IqVUx|%B5y(;PBb9)<}L4Om6E^McbLa)(XTkiZ+htXk)?&6{F70xD)@9_ z%bE}4!>12jky}m_1PYclDwiKxc#DqEKD##fK_f<4Z2&=qfI}M4MRX=m3Fzky#nva4 zCmn-}RZzr>>n4d$%2|Qoy$mwQ=^dBH_%Agx?u+Sd_>1+*eZ*{vGbZ^Q??w3qD;5Df zrA&DN)pgpoQrosu3Aj2elbb5Vxj&mMf994s$h;yZDDl@0u`5ZO$buYSpaJ|iVtlX+ zQsJ+fAGY)MjH9f{hO*sKJ{Eifc)P*I=SfPYHH>NGn8(|(L znV}4pS-}XNUxY2r6ir)ti#qnZJxVwxw&99991{t_Yqo* z2T`KXxdn5CAy>mr8=Lm^89Fe+R{?PcP-bxB)2*qBmSGM1fit$7(9LD6O^C`PQn8hW zeNqEFjnq|OkYzD?{N|zId-yP{)kSe7lR4`4!<_}#FlCarK}F*{Ynk}LGV<^clvtd8 z<6ZH*%^#7@&uQXo@KQM^4MEX+tc-`EQkpKqE!Og}b6R8D7&og6OwD#iTAHy6op-`z*X4FPvoJx0M4W#0L(=T0= zAnQt+FqsWg^r>0$R&&8+_$!qUhZN}jIgA<5k7I*T+4LG>jl+YTz)VIQKbOo7IcM4> zbPD!&-SUXz1^9AIrZd4RU$ScQ^Ku#p>t^Gk%H+5FN&;hrBj6Zv`>yTMU;GVZ>(24xiARfHum%kQB;&_GdV5H0o z)~)5|>dU#aJ3YW;NmFmdG-Y*lvCPoTN!J$Y?!c=X}Dl zd|;Q287fU|++i65Rt&TGiQ7p-19cTlnEgqeBOR4F>9B*SF5`VG6C`sMXV+h_I$4gn zyRa}Q;_TbTQA@E1%H!Ks2< z_7^*dqQpE&^3qM^Iy^Y%bpe8!4MDJr1+3VPIpHidTCe_JjAIaOC=*dzwQju^KmVXf zY}Km!l<)E>BFC;;+| z9_JE)bB(i@&%m0c2dMWiY1;ji?r(9D9LEjIK%M=2vCkopwPM|lT_8yw7s(6ZCiUTp zbEL)&dsJUM(_8b)_m#uYBf)jK)PeC{AJ}Ruo$hN=ffDh~NAfcIBMu%afFsb-pK6Rq z-)3E67R={Ij$**f|C|H;fFS?@3AhVa{`)R`-=nklACne9@VQ&?8z`0d+Y-qTbzRV> zY&q;HIz2t6k;)o!BNYokg_>q>hY3O8nBuyhO7s6P_RisTcU{+L8{1AAyRmKCY;4=M zZL6^wH*Rd(ZtTW7yZ7_H-}#=NbLH+|x%QRpo&6ivT63*2=A2`ukveRc$fNXQcz{&I z6(W5@3Gxk^UV@S+sEh^0AMJNi*@xE4wF56sLs}iwhm2x!y0K?iV+!KQmY0ZTvBLW0 zLBY1G&@NC_>BPtT2_FmwIHjm*?Sg75S+gCK;6+FrgRZF_o8#LHn&dJDlE~ECVVa<_ zdVw%a9y={bsh1a*fiiEbA{--?XxbB-?!RYPl(c z=SbcJZfVIxUn>Q3*6V8m8tYN7c0%Q84+39R_qz`94__w64paq3pRf!6Xl4MW2@C}W z;G6sx_`WX`P5sYU&nNCaw-3eQ%QUA({QN>kCFll`^wDc}U^B#D4{dqctttugQF>cJ zx(9OJbZA{7jkuzb;<6mbBRo6EM2%%hf3e!CTv(HqG+&<_A_8o$Jk27Rt3UM_HVhVsq%L;2!#Cin>!OS_x8O zNzze9+k*gswJq7BIh8IrWwj`g7dZiM2NDL%y9x_nFsHAjqdJQNjVj88P(E_=!9|dNTIfrdRZaJePsUqv&m{bw*$&0d30Yg2L%TD z8(OD+=KZ9dl}Cg_U>Ca@&ZDUTo2N#7ArH;o<%-sU=_%S4tlq5)UstYMBOgni3JDEi z{o*S3T1)#)Un^UD1L!5_aG}^IM6As!Q+On+si7rvNLSLpbW%;^W@Esy0{4s)k>A$C zkW{bLaZnf*y7=H6x8)B>Xv(zh`nRYt^cPF-T}f!}KYcWSYpyIkt=#F+k?|HmV4&uJ>vdie$A0)Vt&xoLfp95+^KCXb4jfxRjglU`M!Z{05poI5DJh2#QLxEWn-bnX0#c{Gdxx%8ZaS0JKmQY$w#7|yl;AGE;hq|kxw z>qyspjug>=5S?n2n@-I(=!xZvUp}?Ge=vdC%WA9N6~0`50nxj zPX^VSKBFO&mX`@6iHp<(Wz<-L_nu->CMnFyHR8S%U*0vYK~ItA1<@pf&e~tLupmtR zS`tYIgC{qu`y2-l@5%92u&v9M(7 z!zJ|oGDFUPvrdv4VpABv=7akS@ZP=AN5xJ3wl)n0Sex#Hs^p7UsJPce!1l`tspk#G zQU#__lOiFHh~RnGBvy$*2dwVBUA^oZsxm>uVN^muC4byS4dy7=4(ZX2I$&3;%ESn= z>sirvfhyKRdaymGYS7=6R8AJZtMsDDi8Zv7fQReli;ve+ZzMbWM**O~t2PZ37; zxn~mFs`=ugE>A)Z^eI`3>>I3gKQ#mU z*-0Uc1YsJPd7_<)Qpy6xENqLAZDX~W`7;9SyL{m0(INNP2_VBhy99!xL@{<>p-^TW z8RpQ0q7_kC5Y(d%3tAPtBqU-|ACL>a8Np@P<7r|~b*0?Tz?>^Lcw!MqRngZE(&?3w z={z@?8uWV|rWH}~PY|{!vas19w{hEjK|qt`x_l`6xw6#u?8~}D)u+uPh+3b06_N@$ zTWR-_xS^fKSNi1f+@iMG^@r~V7ElDj6ac&Gzc?S>*N6bg17L#x5k+*>T2R=qcE(ak z-OVD+NI@3Ds1Pu2ANn++PK#6-@ZO&5Ha-P@lfWn#So!!xAovTCNBG5Kyzwr`RO_n% z93^^8&Nn%;n^R+-e85=la2^Fd@StrF2Yt9#sUdla&rYh%^bFLm{u^_Vpv5Xa7=y?- zU*!uhRqXD1Hw>WBt`y(s`Zn5%*YrLIskpCIfl}ep?RTtYQr-ren0mmp)NVNxg`NQe z)y6#I!Ks+lNq9h9_mM=`VVm_fuypNkCbAShn*7;2#|?Hm(*?k(>o0J6S3Gi>kd6i- z_&u(*coRtGgWq&vi?hD5N?v=t+Puc^vuce-ov6*OeQT8cxpLb7f?{5 z0sB7ER2_>#Bh9y$Mpr5-4fpSA(|Wbol%<$Q)-RMc@3%{FaSrA+(2Om@Pqa;{sxazMig?UcI81GHRsRHGIZD;Pe8WwK9lO zf})RCHt#aRw6$QfEw;_Gx8s=1ipS(`;M*6YLqxplnW$T#_8?BzKl~jj7h~_N0fJ61 z<-ZC#@5ZK6buEVsfH%N-^`rr3oebhe{S3H(IavlDxy+gsBj+c7)R3@949J!n8J}lu zJp<&OZ{q1^9F=$s(MD%m2btyN)iGNp!gf+KKUmg#$W0tsNVw2;SrZ4^#0dLkxhb1rf>tf2~jBquA_A^n5$FMH(^d*KO@#BjPx{D_rnMWWe%&4Yaql0Hj zv-dn%ajPz2`s8s?FGw#z_SA%-xqhuG$l-MI?RyE#MB*b}2TC8`@AuRVazjn#VSn;G z@p3=^)EVW;%J&$7`mOQ^>qCku%JsTPADjbvP{C8YPd zY@LOG$5ZKd8R2u6`($GvX~}i>Ie+s0qP&dpY*J9 z7l(bVcNHfI-10@BgnGb9uY>YFeC>XW`bD=Jr$~aRqB4CtfDZH3vdz;vjNBEb^JzZH zwhl@a55t-h8ve65o45oGMkmF%)<>rq6(y&D+zp`A^Wtx1EfkaCGyC zWPJ(`%EM~*axR6D?lFl|PGj(r*1A6Y=;AcVK89m8o%xxj2#eSN_im6a(V zKQJHd_1mM0w~!bqsBX$Ak}A}|70jaQQ3$J|>=qbc1Q!S6Y4!#v zoW_kSExy9kPF+z$UoE;otw9KzKA4>Z1WQc;id@Mv-57Zmrz6>Xa2y67SWs;CVxB59 zaP{mYF5iM~U^O{P&Y(;ig$^A5T!djnt)~_i6We~~yWoV;OP@-Tfn-$#dXT*A@YIq+ zoDVdrbU-%tM)yp@#Ou%?UVbR?M=8W=xz4Y@qzeb7ZL|xvPUzeS-P|=PHFQ;BI z6&i0k(LoFcA|+S!=?j<14}fdCZ1nU^>QJIJq#Cpff?NgJejMuFks{_AgH{)Db?;&j zhwI4g!Q$Ys;9nU&ofK8)CvD?DB{%Im{>dOX%3V2*;NqA9vt%Tw%EFbrb!Y2hR#;c8 zqB87ex4*j+b$p83i%||3@{9{+=lHGewG>Vt6>af$c-Z@XQRP(!?De^k9d_lBb0s^} zO3iH1_{7o!s*$46cst2z{ne+Ya!LIGxB|fWv)mez28yS$&?;F`K}D5`R;?6TKY6>c z5-ltg&%0E~&J7z{uyI&d$lkrc((Jb~v>F-A^yNqapKlGbxRqF($;7mrwpTZlaNxUV zYLS+)B;3(qcb(Z;Y0rHlIWMN{d9n#W)ZX`6pnvRHuu>a%%+G~cO<0p3P^_fSv$u6G z;TwhpJJY>J${a_U$F4XNop1PLO9~%_ zUo$m-fwKskeR>>Rx#bp$y~J+Ylv2DtS=Wxd4wZd=a_5)d?WuMBE1+^`iGC`+8g!`N zaP@jk`%dWW7RaU}USSWm{kHOmb`-7h$oZPVqa8lG&E^eKh4w~Yn$etEMkJ)Tvj}aY zAGs8>&_sNBU@NTY`Ji80WEqz_y?tw0 z4jrw%@d!hZF=_X?7qH{3SuAKOL8Vc(bZcfSA4!g4^qIg^_H{uaw8fblXnHkv24Xgh*H;;G7e%$)Rj5tOzP~ zAA%RG3+G|QKv4Oy=rYjNwrNN7)efYq%(?w?I?5EB0fsOrM!p z2P!8KiY6DkyJvqOWOUPg>qhV)P9jYv{jU7joL|y=BoR8SD@tToh^Dp%sTgisT9Z~< zN+M?_V#Mz)E@CcjY_A_Jz%TDDZf=|nBv&VDreIzqAnt9gU{+%-Lpn(usv`}9AcBFd zfwe;q#GR?%{D(}?4^LRo3wY{(AE3$1@~)ENG}#s2%?EJhYWl>kH64LOFrEq32g4X4V|5rBr;28m^^$D6ug|LgG^>V@ev2Im!-1p!6*$0 z7b5I#*sbCob+)l6a*iU*5-pj6FP;_@ask`0lWI_xx%oNW%;F0l$K$vX>uJ$GSSjsp zQ)(}8exP`M&*ewS7?j&B6`DYJi?&VFey)c!M4Xl#5tb2rWqf5kN9QGc{;8FXhZHt z5WP79pZsCVpouC5%zH}}YfhlIF9`_kQ)0=@MmIykp29(Egun#BT7y56b5el`XL`8& zH&Y+$OmFM};BfI5f#Y2le&I$(q+^WYhVG1S|pBeWE*Ocrgh=|a7W9P7-qYyO4WK6Ib`?`mqV=NGO0#Ef-AspYLx1cHhJQ9HoD>MvuiAVt z8Y&IJfN2Ej{~0)msd5vyEoNmbTYj3Ks9_2=ZqRXBygB!QWP9Xss@GWdqjRWec1G>A zh9vHB4>-7vSH!rBV8E)MH}!6M31uN_XJhb2TTX}59iy$>8}`E={(kQD;>k?_P#^wc zyS*z~1Wj%H9?~&z`IN0sE628<m)_jUJ zM-fZrFME6!kW-izy^4B_qC&_4eO%g1w@8V;?Eb-9v$`XS^Dr#*YV~b<1`y?Zu^Qr) z;5(uawLU<}xK=4Qmu!ChT;i>Z`PKuh`3Y7&8kT^T>i^p}`9u$u_IC?z?_UJrccqGk z*fHw>I)p$#63+|B@HI~!PQEY{=ct8$TS0k{c|{+Uu2x#s?VdwAR6&^CetBfnlTlJV z>xmsQY`=#t3@&N2APzQCLp>3=4OQV9S5J;tq3OAReSN5nS574%wRg$Og#E(CGLF^O zDC~+YoHjY4(LNDQ<0Q#Bk(T1erfK01?W=@OjkVAdnEdgiE;X%N$U#ZN>R)i1%$1O| zP+-XG8Ky^_MqPFJQHs*`@eXE!=al7DKyJxPG@l_>N{{D%wd_L;tC+PGS%PMauMfVe zrp=wKM!q7xa1D9UvLU*FR^CD*>xiQXKeSznd8)e`#0Ho8yv*&BgmwNmq9sDfDX9R1 zq-(f;)i&PUvwNyt)+=lX?RTH=f$53k@(0m8w6YUP>B;ajl_ju_se+BxhY1+fV1x>Fu3>!Fo5ix z8dc_QXo2xW&HU-He0X4C+aXM+l{FH|6|LrnaX>G^zB`a}#YD{`P+sJXF!dvFF@PvQ z+5AEgQG{5G?lR#g7Lbyp$aFV$lE)Bcxfoc_6TpDLs484S!HpnK?pZ1t9Ub-syJj|> z>VB0Ig@Fkrl8NVuN~036#e{f`2PJ8`caaj7*Q>-Fs2~ccRN#}MOW-A^qO&* zzyGPpcVJLj{(@A3_E32Off|T!YK_2COsGTkW&K@Kk{3*kvVbHDG@2u&x%Ihu6zQ1Y z$4@ju2{HiXJsf4ZlK)s!9h2@3H(OyNps^(eZ_{qa(M*GUeje%)IIxTU>H?v5#Fg>n ziod6sS~6lj0&0kxQa)Z%Yt>vdb4)2)a})xR31!Ikqc@es8aeA;!4LSLi^D;dj4QB9A}Q6Pnluy*|Ug^VtG=N4ECQe>*tw(&{MEGiv-RFJ5?-(dal zlcXED2X)cNyZO&}oAThKLBu8xS1Y1SGOB8LJRMo&+ znxhQ6Lph%4*X29yI*nhT_efK*=TNqGj}Hv58>k<8;ke&;ymClQK^3&n>B9Zt?wHYX zUd8(`U<|RcINLccCODDM&mI(9QQN|HQtM7j7L-|&+RKZUO*_*^tOOID&B$}GGC%aV z4)&aSTr4J``MA@5JqH){;BR5+v^ulgfLng`G7&3Em*DOY-R?}7yne7S-gx`tey?hP zdGI?^I{okQll5H|+#WXpX!C^$0T?}N^Ql{azHRachvPex{32w?2ZNc{XgL}RaiI(d zbIlxxrF}s17Ec$J7>u@rguwXmAc^1jO?`C{38U7}=A`|5=b4q z`twFf7Dli4OTnLBa8@3EiCzDZscUbzVcP-Vv-a;764rN#al`+5^Yip^`4Kw{Iw#?3 z6sq+$SPPd3OCS|sw-`&1B0_n&*5MjbYje3~^xVB{RG?w^mt9HG(a5kvz_$8Maljv! z8#e0F<*MS*5WDlJdvz&7+} zA;NHLqh^jw#ofhr&!71iA4Q^9;0$##nYw(ZRliOI4soX_%6Ry7iH2oieq%kMm)v6Q z8HGXswpXg?HV|-KIYXmAm<6rYh_St>=p)<0hCtS@MhNqCZW4GF0j)hG029vort$no zD^=pAD*x{q`KiCyeD9L$_Wz8D;sdO#C*bI>l~GwIc^N7~R9UD-Fqq7%OS)3S(v&c_ zmqiAqUKdU_ejXFeAr)n?2I`J+B2?M7bXCBYV4u`uhJUp15&pubR-f^tI9fYiI`XB< zz8s;#Kdq|k5Uw^X@ZpnO$yMhizorlFax?F~-%Gz1!%>DrrqcKPZawC z29}*UES+>LQC5~Eo7mAH+}A>!NX-H? z&_z8s5>MStPBJkxLMB?@P~YUbMn=IU>auS$;oi2_-Bf)d;>B%%w+73C&UV{Z9+M#R zNORhH5-~_oyE}XT2xjD#VE(^*nEwWvcgecd!~`I<2LpET4>a4e4?8<7i+0ebGyrRQ zjJWg`yV#`Y_Lo%>YV{>y@UHWxuU2&vwHm5vrLzV8Z9#qkvB!FMvxo(eWEbSK(3ziN z5EJQd_Iqx-rQ}Nl4tv)Zs>RQ=ZSfBTLT8b#S=nN^%0J5+#Q`BI*y z0E^4twzR=6+1uK_!*&8EkyM|`LtzP&F!xbp>`w+ezHXn|AwtM8I$qjd-L3h&b-bVj zA!tA=d!x_=VuJsIF@TBO-TKxbmCeE68xUq(#6}W3Fvk;+zXU<;nABC{-vie=Gus84 z1Hb&jnf*cjUqdS8U2vrS+|;6B_blDTs@tmE7lgSmU^5e0^WtwMuCGFhUGaK`Fp^iupq=Vwxhjj)tus_~bSD<6XJ)>_KK zWu~hsD=`Rq-qHKUSE&Cc5%XzAL%(bPNdF?Ty~`q^Cf5MnKNw(}4%LL{_(Z%fLtNzv zZumW0OXLg!SPK({(qamQ+U+`V6avtklAB{aPd~r$Du|ROG9`Q#ah}`xWF~s9V1Z<6 z6b4U&c`!6nMRjE`Dw7@OzT^I55JUGs40yc-EV3c|fF*JY(BVR;SIrutla*3J+kFD0 zI+bAyWO2z&D!AW5P#V~TnO1fE#Wsz0$jK-HvK=Zn^pU-2DIB>MstY|Ys&1Y*1?T_` zy)%g4pnD9y=s2I8A_I)K)3Q!;TUpHaOFJvKDGuw)a_iAe%0r}yuMb2gJ|TMINT0;r zqOs#t)3DSNRwSPR8WjCd(BLE`1v_sE?_Rn{$)BDz)L@bJ&w%9!^cTp!OaG%{r6mEA zIrz?B!=HhM1{zn~HBq_4BASd4ArC7sS*--(t7di1L8g>VyEK8)SkH}`yte$Wi?+%i z6~2Xxy`VxH%wx*_h+IeuI$+%Vam(t>mvf3@ccLEB^#Iq!J{B3JctsXzpuhc~e;1I5 zN)QDH*~(~~0Mx7M7_DsrU32HPB-(@tXHzaQR74P+1!NTxDblLLltKW zQ!Giljp?cKt_rZarEnz3eTL@wZfNT}pryV$KrWLlSmy++U<0?cH#~wy&Y37VaBt9mH9yS8gU}j zr)8<|vwc^sz0**zh!oAEOqzOmAw;9G5qL@(g1=gP*MrQ9!fRb9xz2y@e?8@)PHBLM zU<327BEq|ibWTOu=C_D&UcCvwh(b(qT)+=+tpP2(P!;zbOe2rnG^}Px?rSpA49G#u zh8>g#5$w*-X~7A18{>PX^SGR39f|@bNj4K&3^T(zyKb@Cxw|B*tkXhPcaJe}q?hZ0lI<1Ne*GXpO~1z<(j}j~UgEU7Qb+~J0H6l!_xdbZq3}UwD%P<$k)PS5iii_eA&ebIH<3Sl<#{5uP_4Ywp zvlvKp_p($+NqtKw2tB0k1XGh0U8~qJ_w&eYRcb=PYjr!*wo3YX>j#dg>=~)~jj!dP z>d=*YUO&h^pBIW-uCUwisK#?{E%mf29eo3gsd#5)u;$p0=`)EIlF!d5^@MVnL^4d6(5bceY+Nj{6g;%7QQ0dJ8y8*q1AJXY>viPokQ8x*R+K!YV zbk}bwbn(Ib)W5Gkm=3a z)_enRGL!#}SC!+a{{b~_|dlc>h*NZQY+|c-`7j^@hziCUjMNWJX$JC zI;mgwN>+ArnW(|fNUY!Y(D!%EO|;(1_^iOc`*YWA_SNV*wczuTF;X|mS-DMKlVf6- z(=ORJJdeezJIvo8Bt3zL@C1X9KZp+B;r;g(J`Io&h?t zWwIh|`w?Z_Nk8HCE-7q(JtNt#TXj8&<Gwo81A@kTWYd-6l05LF80`p~DLQjVOP zg=sl(R;RA(ITi!4O5z8Kn|DZyh3bkIQi7rPHMzX6iUFR;^GhiGI9Qw?Vxf1eLL-tm zP(yO#zU+U)u$b6@cn^Sq?%#ty+q>+3EM`jP_cX9`xA1GA?=$mG8G#XgQ1#wb1obHyIg2}tX6i3PldEz=M@ji3Ro{leFE4Klen7IWXqx{P+ zcP={ElJ=Pr?6c_R1`rjirjq#sWhHf%1y7k9UDzh1Ym;IVrlyo#mO&4=L9K9XrTo_Q z=ytzj`_FYM#qH{66;>qM3sjbJmqBm&*y4~Vgx<8h`H|N{IG;or*oG^r6tGsMH2_(8+A{a18Y^irU}6UKHn~uW^aL5qRQtRcxc&6M_RK1GBvF&EHHWV{ zS35mljAQ-*hP~a!P8~gEUzvz>WfTRjZ*>vasHeOo_+s1GHATitdo48K{&3#cCfmug zLz(1$!lSIOq)?nDvn#4ae`a92hOIxx!WYqV+)MDq-^ChIYd;FK+dWb}2Q37Hh;dwb z)YJp0w&w}*yZBTViT&Uxtf1?&IoBWLEE@yTX%zs;U(Bgvdza}u#qyi?@WBY*aR<>% zGT^$L7%mxRAxW^1CKAR7Q>CgIGl|>E>NKG!w7PzFee-EIf74?yP%-+cB8%yWjwi-- zI}D5SIh?s06WPxuKuCUGR0(xrr_%59KtutY2}KR04edZv zeEK-Lb=!@%4Wq-P;KY6|B*#zjGj`}=OeG}dciEw(BPKy;Sj77Q6Y_|fd^E?oO7O&$ zwH*Z8wZk27vS^$cVRc$3y#a#A{GcWk4v{XKN)bLkX7efmr3gK)!1Jm?JM9}VSSI`< z-bP}OycCi2JUd6+WkB9@QKSf(a8cMnHL1{hy3DJ?Q+IzP4i(oW|LwnbjumFs zu^_K)fmd@^V)`j5r*^i^Yijf?2o!lr0uG z-6PU@YdLzTqJuq=j7i}g;?7JyQ}X8Xc8t?7Xd|KbXn)n=M#s#|zyv}8)g`x!G_r?|U}J1P6=P zE0;2=mHLH+l{RCV@c?l^|MB3~Q=KS4fQSBzW*@e9$xK6xv<1LoBKZ6T^%`1e;XY|n zkOBj^ZxKQOQkW&9lt$gfNEJBf`M#cd1_B2QO8eJV5#!sK!Dqr;?yx zEtNz~C;Vh5jlBUY78N=kZ?ye69k|L?m3EeT<2F8N+|9S5w>Q9i!-&j48e-hG!9wuoen%SK`c zQGVN^eyFI6+|8P}TmN6YV;-Xd*%w1AnyPt$QtU*!>Mq~h)^SSN!aGXx?n1Kr>^Ke= zP@Ty~RPFwV!yJ1C)#iX0Oo#ff6#3nU2b8#2to-&}dO_9Tz_!SCF~+J*<#5hKLus}) z6Qtm03#XPNF&NNFe7={cs!UTLH?(6}!Z>rm+t214_Imx1y0aQ@Ymm(pqO8?euHRaX zn{Zm?MN>}t;Jm^$7gGD6Ow9A3ehT+wxH}^*uPS?5RT`d{rsOCu;*fnA5piyFHo>2i zw*abT4VY+5QI;4+L!463JhV#jwQ;p1cJ~ch9@|IvMYYUhy;rR6W(O&aPmldv{A|!8 zs|xTpbZ|#2{#DmukT{3z!98uM5qUPten-s?-D=FUJ1}M0RW^!@vps<+_|b9cU~rhU zbT}_=k3q0F*7ELk5zk(Wc>TJW);{?Uwn`fZGYgOrUP=bfQB;ZywiT>wc(C61^4Evw z{;=0IIIccYi+H8{9BlK*XPGMa8;9&P_a#obPYx9!+Yg&Z^wyi?0(NZ8YBoTPyq`n| zynxSQBAj3|We+lhIyNjP8xntXAV%y5G_Q!l^_>e%Y8|j?^y9>K-njT}bAm7DXxo@? zVhEg>0lclIKOP%?dNigApIJce@L~GM9;cHabVNNmAhvr~8g))_riJFowNiJs*Q~jR3r4Exvp#5!!`U|S}c{gn#2rh5R$t^TP#*IdyA2t zqs5Pr<@DBiRu>H%9xgHq+yOs$O*1Cfh-Ihz@*b4QG7|$ZSxhOQ_M|A^N+l>#!tvq| z)`fM)`#tW|sC&Huf4#HD?2U{;{VKXCI9&0GOcu``wEDT`~#i3;lhm5Z<`^{1ZH}zHw#V z2roJRAb_Bv1SO84pO26p(yR>s=@RTP`D_`KKsJs2ZavLRO;Ri=A)j!`4X9{eLoK>W z(Ppy^RshqrL-2+=7h0SBBY/v+WvZG>^|h+~=%$7?<=lgNFzq!CrhGNIU0^hMc} zZRQ+B8Sy8@NGSN%PFHnQ#9|X7_v0yYrVA3#;2C5?b#q^8=NBY$BmqvLd{J^yjydhQ4OGwA8#U%>b-iFAtFFb8n*{(stQsYv^)l-q3KD6HqE zYy3jRmW?n}5@LA+{NOuBrzXcvNn;(nHy*}QEJu25Q;h;Qi6aoX=pMV7$f>D!fSj8- z(;XnHLyY@{vtO$XMJHg4n1n*j6^U=?vjw5tldw zbck6>m|)(YUqNE?FFs;|eZY_ngvPnCV3#-uEVEf0sJ8PqYK#7r;nut@t~wuDIY_ znPW+K1lCgkDqjVAtaga^!y?$GbZJwPOxXVEIg zfC-ZG$NX$gMJOo{1M=pT)My604tKXYg0q~BFfJxY;g*-nWehQ8Vuj;*(je>-UL-|l zVetaXQ>lXfJzZ_u&dJoHpPl3w)Uz)UhG$aOOJyg4PzZ`2)Cl!zE60fqkPP~T9CY^G z-Qr1_s2L?9j7Df@oX(WW4J<;v^*QzX=P9uzs#zL$uC=&;p?%96#=K0crV*WIYEuwq z4INn#Y65jdN~54198X*)*u0m|i>DjNyx_OoJcq-`^T|4|e*}Btk~|(Lz_w}mi>>o6 zgLI1dAN%}=@CQFMP47K^wBSw4X(hIDT>*sKO$d~sNW%aEJe@f!F@eKd`?F?7QExc^ zzRbP|!<yWX6L*wnVJ5X{M4-5cXpBv9l{PCv2By=|Pv>*EvRAxyyN2mB_GdeDaB) zR1Zlig|77ct^;Zq#by^KPrO`^@rLQI{qpc7QXw(8tV)tmd zSY6RA1P*;U9)4NI9_P{O@qOB>Wi>1=TaHgMvodUfV6+9ajU_>VxmRouWKJGFE6z<^ z_lrWWX&L8xCfdm%z=>+-eW)pNza%P~CY(uCY`Z$1l6IUNYpz+W_~*x z!b2mK!VpR%6j$0Q@fFOq%TaU3dky>5-#vy>94|fZ;%0n4<9chPZIh@Y7iA zT2`%i;{7k_I5py5au`2vFW(qfZe&Uc)iDZ_9bmHqgxFfvhgB1k*?tT_ryM{Ko_2*N z)LLxz+AaRP*7MjiYful#GtK;9yBPwA(L9`5-CrVTU^zq=Nv2aY( zVYhXoUH)bQBNvx~@tLbO2sn#88rF!VElAQJ{o{v>TSf$%b zn2k^KgU$6V$(8ylnA)y`1%a_B1|{*?l2@|kS6`NFMs}3?T{EcjA{Hb%5|}GP`*d@Q z(K^F}J@6rttK3?baADc|>l<2;9|Yotu_t_@9+iH97VI6NC1<0-CDgWx-8U)V;r76Q092Nqi(} z%rF8a3-xyCG?kwshmGjak5}Ke&+;cXN6JDY#K)u!kA}{VU&=q&+`%S8W2URS&>n|w zdJRxbtb?!W3EeCrpmiul%p#yzcD~rIZr30qE4>*R_Hz4lg5gu}@*xs4bjtOE&C7PB z|3&PY*O&UsvQ2^^5i+%$pt!fp-DlvyXsp29t@s88C#?O^98KXG%^oOGyBQC>;D7mWQzkaC>d2~S^| zvpu|awkb zfyDafjY3^7gv*ZExRqu9sUm_E<9RYw_=s0sl=H}9!x<&KR8_qB!kFE$uy|icwW1c6 z+h?D&F$(F#g%X^4HI0>W6|W||&h1w92gWIOSe^>?#wqp+&IcEY=Fu7O`k;?i?3&m> zYK(-aQeSJs61675824rNPr72t9gew8FMXz$y>Ig+&J~t)jY)UEhq)DE?~BMpBgPhR z?+y|QMeg1^>2mr*G>{7XaMty(Sh8MoTrY5lPcWGJX#auT7l#jygx$RW7kQ9A?c+Z$ z@k7FKNVjJZ2I*^m{}vxSR!|zQXBUY5?B_qPfCuy1G-~=ADYPqL{`U{W;e^n(>yp@k z|MQX2M1xbv=MIsd0B;5!{J1L@7m!v&!;_RH<@bL+(OM0N-4Yw{%MXC}046Cm#tQe| zv0HCR)BW?NJT8aS!EYse(G>stHBs43eFlZ>VQ7DUMi|c0+OMbbJGH^2Gv?i4A6`}6 z5nt+pZ$`wtB7g>?9$SkxZVWL(rk-T8P*$X~GXjCiFgXtv02JTaN1Z=0UT*d6!u_9r zo~qZM>T1X47lQuJ6Yh}Pqi8oU{O@-ahqFc<>hi;>BoG~U)`~=Ub}Z&b1Zo6c(Hy%4 zVkeI+VBTF64MjUc)en_>A|!s@&F*<9;YRddLwDY|J?pq^*7EGPd8?eb?{ReB9N_JN z_x<>T&)@I! z7zElEhxyP~RQm+Xyk@cY1nq4^;hQWY6SOiKk+aKx&X}-r&Z+Zu$>Hrdj64rB%J0|q zTvM~06eMLf6Q_#FsG!SK)W=Ag57l3jG{$l_VC@&OWa_iKr4T*7iHp7&c8;5)!MEP_ zr;koi(at#Whn#QLHph7kKx>(rkBJcjeHi}*a|}TSorw?~W;m_rCCQh#INRQr%%8|& zq^%OZwmOja4J*TeRDTC|#?A>0l~hYtpoY>|ooAcqQ4sCQ&eaBq6krKq=RjYNZqnH3 zd9_1S(7D>gcj73D#yV4#ariI|-R`u^+~E470&-T5dl)G-I##B6x3|nXSH1bd9(pL) zUR;ZoVvzknPX8$Bvg)?9Cc1$XIKu90q=m6#Goy9;lzQL@YF?zR3SxY68R2wc0TGAa zPstel%GYk)?=5;ZUT>2!#U>ayY5Vt!&2lYtE4jgiqeLD*9FJ4MdLINLR}fGe{?k>{++P(^Ie~>A%!eAv->w zsM{rKP1S!aQj%wIaShn95-vL!mKj&?%2EKvR8W+hm6w`PZALZ82;>;?$V|TvtXy;z ztN&@&QYt+rLu7=F6TgU_ppRUG$uCiNf2m# z=8z_b_EVNfptOpOb#)D&X935U8K-f+=`^SP!ujlYVt^O2bsz%P52hy>rhOT^x5t27 z-qIpDEw#EIW7b?T?8HLb`=StZRi~z+uAy39i?s20LrBrUaSJ$h&GDcrfkdrgUIupFQV8@JHpPtt6jy zw0mPReI;K=Eqi0yU*n$gA#bQnU+V|rZfxCP`LnWbX)m}%UxLoWFU29QQhZ+tp7t?s z>7TD4yx$ORs2j57*ZKP&2iM=?9#*(R@b8`tLe~#JJ}*9*G5NOgJ-hj=mEHZ|3gz#> zUwW%owiDH!HzU45Iq&DzI*TSLGPNM>BaBYvAS0=Uzju};r%3$wg9v+(}G6|kJ= z$9}t}|2K7IV|Z7)^#(Aj-(BDvo>@YJH%uo3HM2(Ci#4d=0mwZJ(dMwxCZEk>8?z~K z6P=DF#STz9o%y^O8&GHyq}m-Icajk)^KYhbDF#VbKnFY`OANF>c&~7fZ+JU*NG;ec zEm*l@S>(k+JMYjh#5%e@nqub`80Rf6dGc-ob#}}duwk~ypT>g+D4IzDZ(pl}=Pp}+ zSDn1|!U!oeYoCv`u?@evu8#U)-RoL*)|P{R;GZ?J=M85OKLzTew+IA`cE|>r09&8Nn%DPFDzbD*L!KT{vE;

    #~;Qw>#x@(o0xv_xyUbI~%_%W{{~%77L~ZmOU;d{2@GOEx*uh zuWa-wGwcCs?ehFf9pojH1*xgxz+zluv{=GRq=d?IwxU%g#rL5TGL$q4v8#|7Y7hUc zUjY@mswb37sMwg}d7-g$c+|K%zwGfI;10GiGQpw2fEL;+}#g)8_He*R||{Y_tGT zCfsmHz&%m{0$-B*{*}a^@|*FwQ62&)IqnS7<&FM)sZ8Q?)TPVH*Y3xhZhaDT?#1tU zB~+A}4Yro}cc}G3j{Dnnq;L(R>Fi5o_%G|<*O&>#-EV4dk%iA8BL*HJZX-D8n`ty6 z;vLN`Tpdq;l77sd%zCY;g82R*cHU-O_4Wv@F)PXKk1u>Q74SzoIpFW!`yCnD{}|Ey4kQ7{7ONHFT$AGAZBP(b7aftLcI4{~R5!v z7re>iEZ`{Q`0C_9<>UY|V2~u6r|_u|Q6lTdsO;+|oYfD{Wbmfr*XcjTZt&BVxbpzm zsQv{u?<%U^F{{7dbH&a)!`=O>E41&FTA)DVT9BbIEt7Jcqh2l^W`&5Kn)&y+ncdaE z{qzk`Yweo-Gb}Pm;As2|V;+}<#0OSpiF_TjYD^6W`Y(xSRiL>a1M~3~DjFv4zh8mR z7ZeT3KC7GZq$V-3fMYwsZwh3xY};F?g|qH^h4Pw;mr7IrFk$D-7g71k9}11?GaKeg7tf!7hR{ z9}4jRnv@@_lMa!)6DVkrhVK5M8i&uOud35ocUWZ=3`h4g=%h|oX~YuM7EwFCgR*R{ zAn!2GBB~P%ZS^&MZJ^{rAPq>W2ZQ$^dZBrT|2uyZuGwyzNJ35S&90okJmp$E<4^r>lL@1_3b3E({$fA9t7_=P$iw(EV1%6c zgyS9~Yh^q+!XBbA9*_{#8t+K4rD0v4I&$W>@t<8iuW73xLW4q~eGUPd5ZGVMi2@Vd z(=o~#h~ec(l*ALrLKe(T?cOTUno3)CFEfRu#SrpGX}i?9p67Kc>*kkH7P?CmFIIV( z(FPI$73Ntci_laJQ7;ZSrJ)uh=yf78Qn}&6u_ofL=vrbEX{*G(d!g0tN%Y0KgaGS5 z03bm97n|!{6*MXqFiInU7zB6>yZQi^ky;m4h`xmoO40`k zQz(&YqsGMgD|l5z@$tM;BPWkV? zw63J2DuN3|z;lev}#%PJ)VWt-IH@`$$H$gE00Oh?w6P^aNWV5l)leM4ro$+ zQTpxIta8NwzRl%XI4P!|*qAIa2QL!7=_|(0_dnWyqgL5HVFBpw{>2`9S2Zo18vl*% zKUUiGQ}Lf?Rz)s0M0pmGu|gAx3~F^&{KmxbNOY$k?-M&I~ zMCMXqoanWTU`#@!OJWXUK5{F?u<~oMMChl6P&JXhWc^@V& zSOS$HvN-SICxwxiS=f1;O-+~Nkj@4*VYfN?q~<=+vcWZTR#RFMiV!X0Fz8x}I{3xI zPsMV^a_Yvh&*gsXX&^C^>EqN2>smys4+f_lwo?8mCRJq*bQ47Ob%n?YU!Q-NA{B8Z zJ0kEqjo!fid2@?)iYl}gDf{9#Pq$l5el!$}BZTvU)$+PmUM)l0-i6r>e&&p{i>SRn z9@_XV(9YaP7`-9rEbI2NGwa;8chTO;)qsxjuqF_0R{1INQA6m7Gw zh0EFi$AaFc6G9ov9>f{U542}dZT^ty-jfmKvR41^<3&F5YD;yQ1G{%VhUzIsa_A098wf#WeDE+OI zD~gds?pb>c{q2N4#H8Hz(fb(TcuO~J(A6}kj>266wp*Qo*xt)LU|(rAeApKSHr z8|10FR?I91hWEbu4qPn74Xd2SMQj>VuYi`&icYthxv|o$Sb<9-2eD~?j2`(R=`YgR z+q4kNZ-<=w+zp)(FRX1Li}_QYaG4zmrcx0aT(hxeJjwj=LPKv&SBPe!zou*_JA&_k zK5Q@!l@JzCxod|*0Vd-JUYtnj!rxy3RGQ>SeSb6 zgzaPHyj`%M2R;7KyBreGB?fE=`T~QB^sg6t%5W-Rap8~Hxr@;W1H z9Wa2YAh?hNr+gn@T+2TF+;`BfhY!ERfZR}XslbZ;=6E8Ua4IG}l6-#1GB~YttD|Am z1q6^`#G))1?C-2^l7nVg5q7vXK$2(yOF^#Cf2FR>oZ4!Wp7+iQw_)&KT{~zQ^YyLp z=N0g?MYm`LZYd(Ngx^l|ss`JW+RfwE?USYx{b5pwJ5_S*p?=HMtWlCZvLyM8{L7$~ z99M?WTv6M#ky>;hdgd4{I9>m!J|JUckvA1h{OE@*1ClZAm;(g1$WH>HV0sJOaZ;f> z|Ga{6wG+SM=yD6prV~nz+70wYBUxb0hE^X^23&L|y*?$5AL0Al6*YZ^4qYo6yZv9a z^bwdRoezCp+Ub+aP*yS{1FM?GrU*sNQYKn%2?r4MJ-WP<8wcEDGp=Td9u^-cB_uN)BGClihq-rw-omSk*|J3d?Npf-a`ci#2nPd=Z zK9sT*j%Pafm<7hzwl-_|x0Er^IDx4LR=ijzp- zU`lW0mtms2qKHt|d2u7pFGvl09zUduNw6W$O`ub;;fomjR21*xL|?A*{k}C`G!e3J zd&wwII)Gm-?(^BEIfq4(cCs9CR`UFJ^Q3RF^m||_WbItN=qD!oCi_5Te9Z|E`RT@6zz!51bzu z0ldAl)n)pJOXo0pN3 z)YVx2h7R}oSkAm9DZ~qCgz@RsOjFxraS2i)PQYPRmfh{_HJvk;Le-r|UpRW%&cWeyhvyE#mA3Pn$({&+Pmj zBC=X;t&lQ@IrnP8)e)*qiVfnx4VMI?>h!VVr!o+?-3cU~_Q+`{iwvx+`qTPVZ|aI< zXqO(0gY_U^&R^_p)R1{gw%gfDqDTY0mq%|NJiTCIdTWpN^FLoOX{O}Q|F}dVso|as0N|tl?@J`( zyOh4-pJ6Wyu#MlQVX+5Ag@Wi((1yul$V5WPV;F~#v;kcq@^FQot#1#njuUiJs<=Y4 zN-T)9GUtf%2~6-4;;?=sL}gMRbYkik|eg=u1`#!O9CA%|K>t;~O(;B_^< zeeq+Y)R+yO*MXyN|6;%%_d{~~vmh0PFT8Vc|969fY>e;H{HU1G|J(TK)BpU1DNJ^duEI+6;9ICf;tcq* z%y9n3x>g@VIN063-6ZWDd7lj7v=j}fMgw1y8`Sh6n#W)+6Ixp-H(cun_@~7q$jYtm zrh}O8mzAR=vXJ8KZhl&;buH}O*!t*LuWEq;LY&QRi{@H-`3;@ci6x<8Pddrs>s|Vf zBi{R@iKbH1_-78@rmwtd5^3K^`N;Q)UoCYIOo^N%{X=Uf6$XE*o_E>&yLj&h@0k4d z5B>{LknulA|37L+&~LTF&(QUyv#t`XlsYc78!cl-=aX+vqb-Ukq_xdH_x@NKO*-W;=TDBIiKTI@*8LP75`49E&e(F*z{q zK=Dz1F0q2DJH&fb@8*O_ed1@~&!t-D{pJiqmWs|~#fWFdJXw2Kk_X3E&5KKD`l>}1 z_p!q+$tCEV7ir>}Pq#8WqymzBW0o4GRTEV_-!SkQOfWICE0&k|mXZ_76KG4t;1>gydZ^)zCS za{NALh7uy!T#N${f6gp;u6M#OFx`5XSBqLMlTU)CG*L^LKQ$oO`pm~#bAmSt{MMF$ zZ}5&FO8F7L!X5we4~YxBT$(1P;1pK9c0Rb>s1tHyQ?jng!`Ve!;YZ6d1>5fYMzQ3% z8f}GPjwEHhNhiU+7)M~hGZ~HBa^Dm|gqd0szha z3qao`{?0M~OVITOOr|E#$gb?DiJtdhYGOtb5lb6+YRgKcQ#@W52@mRDy*^FcWk>x) zCUQ_p4ripQt*I5L=yE}2Db@MGx%oO|>DsGBo7il7#HD4ziedVHA&E6*lN1?Mx> zeWy1$dN(UyN~C)!nCx^H4kb4F#&v2%ZsIU;oAQv{Y~WpZ6Edw?YliEYtP>W9mxv4$ z%YeIQ>VXRJ4)Xee&(azif`vPDP?Fy(gBpErGfFt?5naREchqa6n?H_1gk5BiRRBzx ze*x3GTssZdAp>VXYy@o5>ymPVtw(`_+@Avit zpb-uEYiM>3^unK^^3O=M=M%`!BC$>>8sZUnSc2iy3!DA3pz|LCBu8pMsc$2WFoliRK_o*RE~Jl=X#bSSJ!@N5%j5cWT(0M3o4j_BTlXN$uagTJ5T>{5H(=K2txh0b|pN zYf*%I)GrQOwJE1+%qvv{t?Q8rCsmS``qgqU==xKeCL$hD-_KivA1jrUna^<|w=y4l z6#8?FM#BqMK8fC7txD}yn!r^8R~(UGnaup-afB5`f3j%lF8Jw;(nXV+<~M$YVU?sB zoN@Y3{a~Y<@!zCOMnw8$NG35n=+M*jUu5xaBa138j7W_govF`x5D+8%2xCmXnw@H* zdoGr|+)oPm*s62Qu`9~^HFsK(MH*&fRLdXdKS-F3l8$=5c7AM1mj4-TK?Aa&P&Vh> zCQFG+P>6vtDS zoH#7}OY}Ze>o^r>HO`bRT}myOvO{4?K-5InGYlDWkuyJirD#~AfwMmnN~5{Z6iB4l zm4u|uWs}e>%_Kyn9Bx8|Rl^R~_%i#ofp$p6mI>6kSdDdt16Q)@ND$2e*2hm1Wt#b? zN(a~9t--WFtD$jo_7h22?>TqEuoUX|^*<0WtBiQP)D1duUl%NFlmsj_g2cp&WuLaR zBinwq|C*nsg6yXO=(s--^iu9VTOTQLo`96e*#P|l+yi9E3b@{zlX?A|1pf&H`` zth%48T@%gu;s?h`@M{NQ!_+`?&LNa>GgOiVeE9AOO!>!W?9nrDK2&(jCy>svakN3V z;&c^B4RP2&plgKwGmUwuAA1Tb1a>}ZSNIO^9jfR5x-npUoC@&PG5&S8$*1paxA=<78tpK{oFl z{1M1@K59tNp=#9xFz(bDHsa!gbxzxDAc)aE!idr4cH(NN_u~jV;z^YexiNEfeB8{psJ z97Eq!;$rsv1lA+$6!(GDmK^-&ue|%TFXHlFE*J zmyO7XPI}p&c~Q^@@vG==(_n{M-_Ieap zYv>@RpfkRfpXpVCtSJY{T^hWe$#Ts(gH0&S2qKMbd`mZZNTtY&Dm~=XJHM^tmB*-+ zGW=VER~EP73SY+s%D;=>vZi=M4giw>w)kdadY6}HPIvw@O@99St$6A>yD;)7hd6^U z7=s~-w=Y@1Do>t%S`hqX!(rv6q*cL~|Rq`#w-2DHIVq zswkcLU@n%$WnvPmjOo*UtBR83TgCZW3Jn^*`!HnNAKl0XNfa?xfMvV=H=kyDx9GRW z06anex$FW00C7r}7Q`-u#OoQ@@{YNTVWDoy;R-n83jiZZ{J5HIn7SVB9A4{#or%-~ zpz@2f=s%F=7E8V{Sx>2H3E)pr#+j?iT0Noe3s|!Jw{Bn=EhDjJE9=q^mEG~D-Y3yH!&F!7 zQ^y}zS&d7U%k~ZFOrJ|8bT5@%Z>tO;Cs~^rMwAvgpi52>2gshcl;D#ds7gKMqrN=@ z74+6RXZ@UHaGBKo#Qs_Fn*LMv;EABvV9QuUs1D8BAHq)H?PYx~0Li((_@>?^-*wpQ91T1{g*~${~HwZ+-3rJG~SShWEj^?=~7=?#6z`W$w5^n7%rH45Rg>0Zw zf9$kP<3X_Yuy9vRfAG7KTxqliZfm_WSc-I;J@4YA5lF8z?}RMNh&PS(K42w-oFK}p zbm&`V9Q&sCV>(qb4ii7gJ5LI9D3l;@e;t2XS6TcSw%a6=(FH3?>IEc>yM(~kSw-jK zkA`g${vZ`Nz?zr;V%_huXh1CiIOL%Mj2Hpwxc<|1tIN>3V}9tpHJur z9`Y$X-L{Rl0zHy4ygJXme$UrQW;Ib`ExK?iy|?%10ZKOF{av?rO;BEfOBJ7X5r7&~}GiaV~7J+!O>Q7FpBMp8*dQri4DI zWx|SSJUH0gwOqdbL*|Z*4vD0${K!!mx8=CU&o`QkHSZnZWzqzENLwLrP*PSQTb_jH zv2ybti6}}t!-!`V?0%anj=^YGA-fISi%@ki#C7bC8>x3)K}?6z@m_W_ug>kfl^HkV z#Fz=hq$c8LXAP)qL+Tb|)J;)RI&ZF3)8zjyPC{YeGIxNz)$|t_ze~}rV^@AZ?){GG zyaJ6M&y_@_|F#j7+Cr-VDcaM5JKkgJx+DyG*xRa_Shr)TZ`yH&UsR|QKj*HrVC*ic zKSc~*!h=!93k~*Eu>qN1-Q9iUJpEcu{;6ebcIoD+tM@z@jilDqPV7m~E!=dMMymd- z9%T5rl(+C3RfUA&q!KN*p-haL9oZyByqc(PR;|C%=dNCU)MG+Bgs0#z`oKwDH&9Ac zu}&lZH;aY3vMYaM5-yqZu?QqHIk&lX2TgB{J)CphBaTqy{R)N@DYNJd563&?PS?*a zb@`L>+|XJ($8MXfJfM`e84-cl8j}2J8Fku!IuF`j)?X(9&^-MMG~XronG@2#bswN9 zZ+S*^g-eTc<723n1R_x(+#!7lp=D?f4|b{6Sfci>ZRgV@M(RSFNFImt$**KFC~$NF zbQVJ41RD+m_m>vIGQw2TxF1%L@KpAYL}t}j#VyKJxj%kas$=t|2ykUI?80vP3KBi7 zcy9?qmQ;(q2sO<8$U1X_RoW;Q8-Hxyc)6<0bgt(w?`|q72E4z<616XUpU##_A z?j1F`5)P>EL7RZlBYwXfg^Kpmplc~;e*!_{3~-dlU$FrUw)~m79PrHk2Y*ZI{tYH3g7?-yv}1~D$u zpa(eLRquXKuSM4XxI9i(jY1tyAsu^@nD@X@mLD*F zGk^J`3m3@V+v*Ykl+<4wgzxg|q<@8cbEns3j_>NHxJ0_M4#dvhE&?*XNlF#6*Ic+h z?)_}zCfwZS_jSoA=0M|-4jkHtZ8$bjNg&lpfS-jbR}>b9=k0#2QQDD-)g-!~Tx#kF z=%B_Glj=ZhlltMQAF||;nD9hnhEJnys*YS?pG(?RnJ61Gh^{wvnX6%!b$)y?rhsgz z5IBkCVM`U}XLAkKC4(Vy}leQ&Yt#y8yg=8H>N*Ktbo z+5i1{;TdmTq5`IsPWk?mGQWG~pK572E(2b}*Y$E6y&ViCI~ryo2&@kp5R&aKVS_tH|;jq<*}U9Aij#+|hY9#}?tkPtHhD^Kj#^)L3*LE~gzn8*cg z=&5o~`MSb+&vR8fS3Z0mU}o6XCSh3t6I1}Za3;WCOuQ_rslaO0z=BCHrXgY)rF{ZU z+l$onm)8IR1GhrOX}SzxC3KRkFV5ygwqw3aJ~Af64vX)EWp)fi)C=GDA=6b@4$b}Xplsxh$_ zlw+}sS6!CZBoW|HFX7%03QeU!9sXU!k55daar?zVEZtEv1x5{}=&EC-%2M{L$@J$z z(OerA@WPTkrd&=+4MLFhJcvPOM0i%bL1QL^D4N`SWR!&)t%TENju-CSH>P;mIJg=qodz_s6i8!INfFYy@f=r=+egh> z0+Bn}Dxw+LG1B&Y{}0GXU`dg@lW7EC=r9a=#x)z?t$l>48iQJr+%r~ZO7z>l#n(l} z51@{|>Vsy&DSIF792!nQhDwasG!GTneTnSVVcK}hbqxg{}d(v;51d>|ji_isSl z4U0X$UOvz}>MgXxZBWIDNrC`>%F-!ghV`24KAr_L$1Jb=ihRyWc<8cC&)@aY-W{*6 zWp{Dq&i&Qf_^vHRX|^-Qp!n&*`py(+fCVca(;Ij#(c;nar-xyzkFNh{V8oVc7Ckd{DnBIvS#^qQ%EHSqlbiDsE*f(6`E1c7l+(f$V~MA7ED)v5lkpP2O^j=VRs;>(OPWgD6rk2;CkGn`4NmKhl&cn3r)z*3iFA&#H8GnS>UZT z^5&sUW0(A?YbPwg1S)~tmrmegbGm2(%Wd7Q;N`(-Tv0HOjpP6dG>He0Gp{zm-TAL= z(Ao;T3d4}wuafX6t>mf=5GrpFGr?J!%h3>Ccor+)X**NvPBFV*kPA~9>aloRugw!p zN;%&xonWwpHq{>cF`!J{j z5p>#GVpdjmrmj$Ni@mnq3XB&r;6SU<&mN-XL!X%t}I)EW&=vYQLrUC;(q=Ma@8BvMV+e}uY{fF+L5BEv& zw`<*h+xN3Ezbm%{{nG{k2>^glZvxP+j1e0HhiV%!CO-q zpdkA7`o!5;fWDHqVWK+j<~^E&@{z+fp9n-)oJmvOoWLW4Xv4Dy*F6A#N7=3Y(3i$4 zy~W&T#SB)QCI3Zu)t=8*gKX<-jMG8V!YwnAMHd0(Hz2c_yX@ z#E26%d85Fr4ezQ*t*`q@@zD+z`|7cItvSiOEMun#J%DIOdS>enT#+6_79C)e-<^~k zd#MI3%~O<9_Jjy|19K*5vWbvI1s5}th#6N9FE4qp(|3&+Bls^|xLCBJhhY)M)r=UV zXIZ1oLO~7gT)}UsztL808rmVGV-2o6KZ3pd@kI3WV65qE)kt+@+!` zfns4`WM-LR{&s=jz#I)hbrS{nG-Yq4$7Ji3?5M|wYv8_Mfhg%$AbyS0Q!&&6+7hV4 z&d|^#vT`MrY)wlEY9*|7aaF2&(!#>XUHm1OzD>*9n>Tg?ySbbxEHR6Bh+_Q3*wdV0 zdif9O25rTe{u+S54a9%%sduHD)0yAl2B=;Ce1w7)GZmw3E;aM+jP(o29|ev3uvloU zg!sS%c-tr>j(^gPIafMP;7`Qt^pnXk5(Yr})hx3vSWpQ_+AC;k4rsa2tx}`-_hea_ z=~hN^p)q0>1yFFJA-K*LY11EJNiFO+4U*Yy2d1i;X(^||tYj!b_A3t=TT$AM?-ax- zn%rY+FOHMcuWH>8)@Pce7$t3KfuC(n4Q2Nx-`6$wbuIIvD81ml=%Kv~=Upw?O0nQ= z8U%8WFe!K_`Miu2$h+fHyini|mR%={l;pR;CuSuUWaT%>r$I=`k6c4lCGhPMDR?OO z{Gq59(y0jj_E7l%AOr84@UStztI(WIty%{BhVr)x<`*VeeoEC&VK}PK@hODeBylXW zSwM!wM<5rVqb@J|Pp$Z=OA_p7pTpz_oFZ}=p#iI~?ueyOsC+k6N)y6f>|78clu#)w z2`UYb38}`dMVDg_RN9AZP9F5}z>g5R7>wLb`C?NtB#%T)m#KA)8hFvI2XTS6#sK9W zEWN0rRO!!`JYtmBnhs(0NmmbxZ_lqvbvTGBnY~`eq{H2xVP*+_4XDQy@5HB$Qr+U! z6{D;JyD}X1`=n`m)i_#;Q|r1!7TZz@Ip1s(8t3diimbeFn(!xa6#mY>YdkFT*Q4b( zydit~Ll=H%5eNa}4=v!em>K+VU&yi}Ch7mpw1gMRD=PqdHR>B0lVL4CVVgJff_>B| zkSOEs5njrL7?_N>wA+zvnBaB)hQY#Jt9B!Bo5vSRE`}bVo^Pcmh79L|%*`&RFVU%4 zmp2%jJ53=u@UY8H1_wXjdoB4Pt%!LRhYQfMYsU^;;YL+aiqI@tZO=C=7T;$1jrn8D zRcFi{z0V&NtI1%Ay$*nZ=3ij&u9)K--Thm*5;^nf_xU2RoW6i}LxuyPpSvmRSkaq(v!fIyOi!10LLvttmME5}hjz z3IE`w4kO^0Q8!et<3NTi#86bdWEqbK%y_^YPO6b#n{39=`9^3KB*1_Mqb%f+I>Z``x=Rlfgio?wNwYA$V5smuAuc})|V_iab5(N%Ij50 zXummLs%;(#&7R@abQ)Oe1YC1h$gEYU>WT~t9(32tCs^bpqMo9en2k_wJ^POl#`DZF zkKZ!~Jb$sychwZ@DcRruA8eZ7D_xF{X~||ZyoM2 zrMG56PzIQiSFB*TSfr8812A*a)j!>LppPo-b%izq5A+4lA7}GChQEqBLfy!H>tOx$ zm`0Y7S`+yyi7pt%%`r@0@rm-Jk3F9zZxR`s=Z+(^nLer`*Ro(51@tk`0*f*Ig$-|j zx^hr$Oi(7C#J4kV(?uo<4#yLdC2`To?&|>WAD6|DDb>7aKx0BF%zvsZ?;cZ0YC5*t zY=~aJLpaQ#X$EUEAt3C^({v`_ z&2E}rLztuz0?AI;#qfTit8>ofI8JrEh*>II>vc&%>{!rdDvKqUtx(8A!{mgOMUhvH zu>CsI!;6b7;weHx07Qar*^&aTiQ#h#ZVKrPmvwdLAc;xJ8W^<)vnB)M zOyKdMPHj9_{sWTIh!*K(NIK8Ue4-LcA+E74AIaf;iG(tvxG!vaqyk;b_K7;BY=Dw6 z1&?J$=XhE*FiM{!`L7Fy>NHK~rxp;+4Ehc!jn=o@eKXZ^68jmm*|W;e7kH^lE}`TKcnns7R=9bgi`hm@7H18% z;AO*6VU%vce+G5eTIz!=*h~&;$qU5nl44$68un128pLX&5+qQhwwF;{)z@T|mk;~+ zJIKGvm~-HM_V6ARovR3m z(5`K2HbSZ_Q6yadrK(*;raU9ehqux6d1(Rrr}i%}@r_2-I=O?4P*Zw>r=+-%LQm5dH1v6OkA7{u-=>2V zMbvIl@VJ|5-oLv(vYxZK{}C1yyM614MLvCEGMLT|ep+b4ONkA`NHT?#z}BE8wi@<< zD`WT+o}G;yn{)chWQaU_h=2Li-o{TqhwpcgioRRRnjcI_k~5$&vPYj?TWT`3ww1fX zKWeQO)%Jg{f^l@!IWacAD1G|W)d@a&GydxdOJ`ik14P6UFcZe<+lKHYH7d|*Y7x} z2atx0RhkSH9ulGF%EN&G)dHGh0zVdPQ}!Ss-?mZ>ndy9{!L&QP?=VIuGeazt)Z zK}=I|FdB{L@fko1aaQ9+MQg%7_~gYz>3b<2Bbd^<^2wfjvQ5THFFR#2>f-+5;?F>o zco8bEA2z|Ex)=Ef5FabaP;jifZCBVGtazBIm!YyOH-FlO z4ezj+7^QD#GK*z3s2eU9J-hR>aZ+a4Tb;}yspExPnGA38^if(*D$}~4PqK1neiTjd z)qVJ*FcPgg2y6pD`}P;0z00D#|8MT%?{~p{sLwNXI^RxAwM1g2iftH-Bg^qj31p?> z;lVxKs5MHJ+FmxFCf>4joC%D@I49jagn`E~>#dPA%|NI?Y++z)8z!*a)he$D#w4Y$ z;GOVKI~M(S6Za)2AH^(rO=5w?f^DOb)leT!LaeGexh%xA3pIBGge#uD53VD-x&1UY zQXY6?Y}c)0P2tK>PAGC(j8_yhU(4w0vn!4)uerKt&>oFt|q;mnU%U2HLc)@?bZ9`Vak}1gtDq&4e2Vjd5wPF!=c-UaYD{Q)@!w4 z{65XB6Zo_93Yss0eZ#t^k^wqiWHr^Y-_Lam`>quk+#i4^MOZsOUORauLq-%A^7HFs z&`boNAEbsytTd7_<~7V*T1NjfI%KMsRt;3N>xSz%dn=qyXy;ekJ4dhAXT$*WDk+s? zLmqFTDPz6y;9vSP^Eck^8}^{z;LQ+BXx-PJ2Ep#h!`;6QkSi8F)Dum_4>BvJs9Qh( z!4Y#1-y;11Ks^9{{0FgNd6y+SkGDeuh)wY3Y8i*RLVQB@kp@hrj;IKHI^h%^sjSD# za(p*@TF35#+mlVa+7EMj5)V#O**fqNZqj}c^L@~@dF=Ko3+Y|k(E38x>7VcFF z+9@15#@SKY>L6CG$#tC>90Ru|vqP_nX^kXe+J1geH`5kMMt9SZX<@eE6pU$S3G40!h$JFKjoe#>a{o!oXn zjh`be3%7k!4Dz0N`3+Q7_g+=Z!*?fTMd&0BY3GtMLV0+X&R~h6Uze)P;r5y0&M4wYmPq+TLZO z-v3`$51=jpH^c|3tc0N>Q9-KB97ajRr3SMq%*K-GKAf96q73S*@9(|6p7DG7h+yP? zB@$AGHQNk8(M8w;E4R~)z=OAPo<=`)qDGBmvyS%;R1j2%wm>7iwOrjuVzZ+VU!p8F zDFzcEiLBOU9VXkmij=dL%7Q)5Nu3jW*d!@XhyP5@hsq$Qa2q5S4jU&g&mi_y^=V_v zI^cTRlISi<{Gwfd^vsYeZD#9-)%oPl$AUKV_-Wl^uXbw5@T!k1j1Jo89*RA!@fl5< zaLKu6`}6BkVy4xn;QRX@vw@Fal)uJhYdHKtw}3cMnt!8s{1+&`OF1k4pSeu75JGh% zhpdqI=gCuyRl35&ToNisoRvkn@O2+cOp}nxV%$hlBj4xC&6hG7=h+gmLv=78sZ=+z z#mMz}Qit;&lYj6v ziG1E{DhBr0HidTZ!K5(8Sb}w;WDxtoOx7Ix6|~vnv2y;Kic}q*HzCp2;-QHi+}8s* z$?;^QE&0G3)VKr!_%P<)rPM#Xf8e_(_S1_X0w=}R6vIaMBy zCqU2>)y^?m<|ZVw;hvwKRuhdfReM4|ljwE4RX+&cf1E~N9xbnt)$qvbz(XS1*6vpD@rfQ*Ed~lD z#RI(FUMZzpS+;g`y|H?_>Z(Y%zQ<)@YZjeLSt_QJHd(3>R}L*`3Es(F`KT>XccZC( zn#si9^ZXT9T}snWT6CO00i@(z|>%YT_TB z7rY7RNgm2#bGqSpkvG71RZ>u zI6NIkvtgLuuE0Z%Xf%&LqG^%(ZAB;`*x(FBh=$zEYnpoHXT_t1sF6%BKAT8(91bk* z_<@c945d*4$}Tkx->3ABSF!@M$L2e|QS}P1mG$Z8rO5d|+T?~FL5lC2_hG_F8LINOMLfkzTRyP-4AMEQstmm-Gd^{ z(rH~aenLXWpT3JbiZgXhY~XY(_9{iEh`#iH`{G(TN-H<6Igt@Sc+I9XgfjRs+fkYg zh?EtkQNE#emtfD&1`H*7u*P4+`3{SloiadAgxHa>UdW0G&a zCn-kDehU@S>v8d9jN1>0XVj0Hm;rF|iN{Sx#^yY=R>@k8B5wGKz zRRzy6byUs2g_qAzttTQ7uF_4BPeSmC!B%63_+(how>pwFfp$n$H6gb7SqrB2(YXMV zlyn&BXnd-m8is3mk$}bB1ea)?IWEPVKmckUFjX0C04~2ryWYPnFu*6D32|ab410ORAeY^ZtmI- z-K~7i>1CH+N~1guGt1MKSXv@*ah5fCX)(298Wt{-bUw^xRncc~JZrM8dX*HfN|nmw z)s9p|c7H>tl>KyR>Ek$MqRT96;pbpl8&HWgn&0{@Zo=G0BwBos80H)8pfL-1{?d4Y zkLDw3NTri69xZ%x`u0M>#ljF+D==Y{c5=#E&>L9_doxaa1d5oA*OtF_<>X$mnXQ9+ z!l<%?B;90KOopJPYggx7W8J30&lN`2UTW^NS0o5snWSGbA!w=)Hc!?r{AV6ZB zVLZOVob*aKJPiBQm!%yv3a@4%ND#%bs5-Q>OV)n^^-OrRsx=qg>@4`OZG{u}MI%^u z1?Wa$8-2KP+}4)NSJY?Q7)b=r=hZ($TTW>3!kRnNJ4tfD$lu_h1}DUuLWT@QW6tZc z_YK{r?aT8Y6JfE;b%c9>it72_6_xc}<{UIW37A*J7`X6!3%_f^ZRh~BV5Yepoc|!3 z({uvxz$q~;nS7EchDH2N3Y!vcH=hptEY%u8|5T;|4zg+*3Z5j}_IvZF@6{R*)c6GC zsGoY|0v=prkw@~NIN>UDEtii}j}Q-$jgkY=Q(_DPC1RKiEpYI6qK*T>9k0JvMP8cs z9Oe#N7JHOv8ORU^Ju0rOg{t-XpF8WXyJB{dH+spqWLb~2hI|4oEO(e5rqt#voPd!p zgz(`HXs%2wE6jWiYhjw)=!a@o)!Id<^G+7ic!QRV07fLr1v%ca?4e z@6MWTlQP`IjR|=(G*@XJVn%XfsJu^p9iJ6YzM&TNwLJgLB5lp_p3L(Mx|1kDW z;d!o6w{Y9owr$%^W4p0!Hfn5}jgzLaZQE>YHCChl&D#6>{=IUL)q@=5Ai1u4JU8Z; zbIdX6;a%eOuDj{+jL!I=6D=0~Z_aJ2iKF|pd?-J6t_O3JTX-cNeiFEoD9IhE!|tzm z5GJD}uxa%QlkhsXS;(Vh`3{wED|#4fmTADljY`+zIr&(69aM??ZyYX?_?BN?$piiZ zhj;mKLe#5+10ekZ=!NE&tcuR+7lflJLV-ik%7gyh0D(m|OiXdScBD{AgPhlo;cByL zsfs5-#ZsG)!fUQZ_Z=7WFa&FpTV|r4K3$9+VdgYmoI4k~qU%^c_~b(~;q&_Xw4`{} zZQM!yNUbO_X57cITbHB=TR(Jw4@BiTvGgG@76o9m4M zxji@gaX`rWkDq?xWih;but6)WZ7S~jZM-7%V z6mZG`@M!-9Jnyn#&+&gG!=SHecqB0e%b8Xvts*T7jZkPOOTjJS3;34S&`qE)u)F8} zf&~bQ9x8_hjr5HO6fb3zVSIq2)glax;(|Bb?LK?#S~qKndBpztnb_8ez`^_7GE zwy~mk^$8Pmrel9Qk3bZRIZ(+}i0CTjLSpm82_DS{$m9NUPaQ!IndD1&9Ez{&fv*NY zbrPuJX-jR}tT4)xo>3=rCo)m3;i9w6*Ah~AKA4GGgdu;fO4Gm4skGAGnW9ioMr>q* zQle9j8HXYcgsAtWmFFUqxQ zw2;wUZXfn2%$Wr1^Es20)0g|(G*yF$ABp+3QK)rCg(dkuf|3MJ9`wQAzbb?SUzeTd#j?{2a4ozml`z;+2ToW)tEDZ7WSvmXGgijDxKYYhVA| zA;9beoHLDZ!1t9PY^S)X{Y<8St?NVP8BaHQzMj-fCXkHhB~?p0-XGXY8=yT+?NJ)& zYbLuO=#MO#Rw-%Sn{S*%OREmAp8zQRMS`B?UAAi!_1d_DEVA~1vImq$Jlfkc6~w9l zuOEyCnJ`x4Q_ah5}5@5=Ve%1wI6jB=(*- z=4~SJx2Mu?Ly`d+klizn*6QR@<)$b7iv-EF#2XcjGe%EExm08AntZMTWvActtXPnA z2*sI0E{I}bVh}Sf5jz!bL2-sY4(y&q(}XHB!on#tl-J+7>=gTdj!!T>2Tj!ev3MI7 zXA3FIWwlaLTu86_TgS@v?pK+tMe*BaD0#3?lE*`<1X3l$TqY)gcT<3{b~+%*;j&EH?t@=hhiN*ooR%`uPG&_vIBj0{^tgL?ps2O%iQ!#%z@$bv6oiH>o)ht^XsP=LHwF;fC&zI zMX$zzf0wxr0o|IENPQ(dq53tjoc-Vd(O5j!WN=TTOt_<=A@n*h@%-i7J*Ph|C=GmhK(vKAAi zVYP8QFyPhj-m+98ot7DVn@G%ia?`NSRn#9fnIN7==Vw2XTi;EK*ZUsea7_3`d{pA> zX=iC##v-@&Yu_R+JbTeg&Ouy#Q$W$;_A+P!Tmf$X{WQGq@1GF;|F{grGJBSZz>DnH zA;+kJ!EDP~6XcWP)7FM=L_%C&%trVL&w z*dsoa1J4;R345)P860$yD)7}E*F8XrL;f&qz{ zDV+@E){o`KwH7#|JcLg>i~CT2n7(?0(U@V&#ENa(sQ`CFKdP1YAC?je+N{ZnXv(z**x@cV9Z$PTtR{`A1UU zly$PpeKSpkbs^0I4^x1;XDnXD80>9Wf6Mi^TR-KFYi_mJIW6lv> zh6sfyVqA?VaK{ig;_we6vAktZ+iHOxb`1kt-Ak$o3Xyj%>w?X zvlvi<%Y`*hHD3;=6qE-CAw!9g^TVBBE+Erxue?(%jKo+a8?2{^3=fG9p&k@P7#KB# zom0-6?BJbOK|q|V_y)$QBZPN!SS%)#S>PvRvpgft7y=Ac`$I~4ENMHV$t$Jr*EmWi zi7N@KLi1?T(&Kz*ld}Ds^3V`;Y4`HcVCk~J@6dgqUJp!@93YKVo>XrG^%y!a+=b@idCWZ&$EeVdEF+D(kZsw?HFGBJa0}{jB4V=tBCi%2mP_KzDvv! z#syxjmHW<{zi;x)WvA1!@n`_+GyBVs0vzFhmMyJ4pOuQ{NDhD=pSfi-wcta^Lj@5_ zcy!6|I7ytkL6F6#l~XNNyJ2xPQ*cpRlC1Kv#K^T0Wq9=jS+&K$sPpz~8EaXK&DT;G z)GeuC<_T0UDGU`kh2r4+`~dSM&P=;aK^ZAjGB)eai&!uhI4-quj=|W8+qoW^H#WIq zjG>#&(tAg)V}d02-UMP7%wvMDy94CE12NWjNm*_5D8Q^7Srjl&%+tq^G{cNqBOacn z%PU+^P|y%xz{Jq&Q+AL|1u6|8q4`UO11+1@cX&t$<8XX9zi2@$BPau9ZGqgpjrLr>v_e zYCpHiHerX4@m zL%BMO9`iZLr>^2~{)K1o7 z37`|&pEy525g{A3RBqg`K$+Z=Vhb{J1K07=Z7>c^f8@Mfqb|hgLN8&b+9&e{z7!p@ z%bpdQFV!n!SS`J~1D;D@wJx#blg+!#f-v}{VWmNb_f~(I;p9(;0zh@|FOYpV3JZu{ ze$5vJo_dD5eIzk+=0TxTv7?CBkfjMm7OxfnVo)co4gkSxQ$N-V5Ip^K>8-Q-edVHK z;Tv4gLG(2{H7lVXB9GaYF5fJ1!spZNpiat;UpS?XAZPVsWgDV7<3#9BOdX zI%Z=%rZZ>^p2d=~WYVJI4?-fQyE=HdI#b=1$Q3%NQ^0~&R*&~jbKue?rP6WUwS&sd zrKK*lgb};4Ru?M~Bz|0p+BO$oP6m+!X6ciBJ~)xXNL;816>0fAmo(eyi8nQz;0+Cj zmd2*v-sN+g>v3)gc4+(z;67s5PNvzeeCtzyFCj$6y8xOp_+yX^E6ZdEp zsA&X(ND^&@#0V4Q-JkX0st}xBcE6`&x5MWk?^#N#AbW&Dk2P_J2U&Eik520Wa&Kgu ztU|qlN*>J9+ZRc@35o=4#TR8+m#EgPsmE24^ifJ9u2i!*PZ+;pR%ynPW9my)f&IyQ z%Q^GH``i`|tQ&)$9_}i3P8M3;9M;X2qR$Y3B?|n-MfN_UjQQWgI)L&jxcdHZ`*+Wte;M?I9GW=3w+OQ_0oQB_>&0lO-R@`D6hgA=6u%Jxe2js z^&-LfTPz2uksm{Rc?3fjwEATYZ6G;>$ z22PnU6bAN0Rnj{nS(H?p6l^!GU~7@e&s($%z5y|Umzc!J(70+pH|cH_?N(gy?vCHm zauQ>7_1^Kw2#TqvOz^mW`|;yQ&%RaR417^WjAwg@_jB#DU-(y+uS9Gm`cUA_1RgT` zy)O^bJ81S;H8X%}U`oVb{^wNb=lQL8^lQXiYkjU+zSzq*a)wR#kh|Az5B|Tv_gyYp z`#)kaKnD>Bws_)U4&XW-aIBd@4PfX4C#a}OjgJ$Czd18T*)4!|-qNBSdwKG16KNzB zmg6Km{wmg~=CH#Jx&t(X%2}h$1{#{$eWC6CgIa@V4GS99_!6y8)vq@beoKEugm?nD zZjocGDi${qBfqD#54S5=mk1X^f#M{+H%f>)aqlBmu3j$J0bnrj-B3R)23)4`z4>~R zmUw|UC+?IMc1vd4uGyyql>m?F`ZM??XNAYsur-0!%6+oFUX!Iixnh6Z$J!3nxyP97 zi;54AH;Wa$=%g|koI8`hlbu7gLXr+hUzFxN;~~9KkHza#IpP2W$!-w;NkQK~kjl%} zzwlq3((b@CFz9u00c~Q$5~>n;<|xW2MM>5b_r93`sl z4)J?NA#&#sM#rEgQ9nfkv#Y*XRH?8nm(&t4Q@YQU-L~_V_{2c5C4Le%fd%d}Z{S=6mvSD$#uey}C6Z8nYoA1j?)Vz@)r~q zMwfg*VF5xqc%5#=XH+C*0@$MNojhRSl5=H#lAI-H zBR(Sg#OvAwzv4t+x4wFSn#4hN-nQVZ(<+bh2!xFHm8twEznm(=@5?Q#H8Y2WNAli} z;$#AJoG|$#tTvRg-PIwrW?PyC47{A zuftV|uh5gaiK{cWT_#}D0nOMqr76mXsENy8Ja)?;3;P5sXi61)R9qY=u5thiGllM? zOWhxoCSvZ*fp-jPtK2Ow(Pt(o3fA#?z#M_Qxmmek*k!J2tJSpLUOB8MCpT0Rv~gmh z2K}UVhAlJThQi4)klSw68B)sC@Fm_S?o$EoN?fV)^PnJ-Tx)DI$H$HR52rs{H`?Ry z(GZ?herRcA5rOnmlw}j;uG`@#NPH~hm&c!GJ<`F&c&1uZJ()4awg`pnrYwHqk*d-;7T^WB+l| zAK^54p046YDvBehL$mSn=5;8@s^{th++I+CWA`6AM7DRUoTD-S4~@>B3@+X5Qu#$* z35OyqEEujBmTsc^^Uw)-^7%vuhSE~B=+4ZQ%(~Bc?)ZS!@8pQ8{rd3r=1JC_qt^zx-(}h z&E}2eeGk#Nd5qV=Z5e;)M@EPHAX6FpY7-AN6ILhn`EU!00$H_qvSVy~wDmo(WoEKjRBK8 zm>c{x*&MnrZYcJv3w3{KN=47cCg4Z#5G@JE_V9OH7ZZX|sqFx@5u^nh)#_C0gH%UX zN8N}+F8h558H+2A>#QI0N&#vs3%zUt|;Vy^m?ca*SfKfO=-U+%67^^4?&sl19 zDhKb#qTe$(=&bvr2>*e14@G|03;yh>5oGcj+i8vj4dY!0l1S|nfRP>2i)yiF#yo0vG{dWEmmh2)tJo_1h?7yeT(-c%8oRM{X~%Dv zAx}w6{VO;A8wlRz#u5LNXaHl!TMGvuh|O|XUF6_3Q79M-vT=&U#ij&pPN~fiW;RKo zeO}T&JGI%Bq41fG)%ykz2=z@e0uktS3tlbe7|at9VjAC&XM72k`=MXz*%==|g zI=El3?qI@neT>ErKMAw0aRyAxxtmURH2OwW_mdy=B^!W%{$HGnce!y!qyRv?3~+(X z)R&QCG}mtxqS%xPjgKB7)I~}W?p$V5Yl1&4sD2!Ae}P+348GBrD=nr2_hLW-$=%zh z9>GTBfQ#Z)yMSOO)34{$-c*C;A}3)bfBukQE|4mhpqtESA0rp}qaXq4`jRU+%KPSj4zV)iT>!b;LyutY!?b-Dw zqqGsgy8j~Q$o4Mp1ORDb^wTF@KLdhd+AB7W21QxgMgKsuN z)bIAkW8tZ|nDi$oW>c_Wn6VH+#TD{KLe%}L?cCB-e9AIy2iI`@Jcd80)ZxD1x2NtB zOSk&#T?Y!epa&rE=3!CtGDD-K9FDlHbow0@7vwJy$mrwp&fGMqKYM0=7OTI|E$R+L zag&tWH*dYUA8-ollotUI{YBb@?Og&}I`)s?;oAnFennS7vSp2RTht1XkdGoDOuQ(? z0MAB{LjG`5=1!g;oIkNeKf?A>#{n)c*;AFuL%>`V3d(YfDh!P#Ayw&@nSPlBjCX{o zB+*tII@qz;mwpp>BDRj4j|_C)mWmem$tjStO&Y%CNETU{aG`aV^|KX*61xV_Qh#LI zBf{geUc;)a%IJoXMuO`RR0_&6Q^apSI!e)Tc(AURKfp;TkDi`w9%+Ln^3U4OU=EcC zYPo>F`k>=px-{oEcCY~S@S%?sQ>AxhwYcoL`u0uj{}=JoU>pFi-+-V0dVk-Id6mci zpN7kUyaMrR=M9N{(QM?H8eklaI7YM3e$@&7W-mOr=Ylq()GFj+c=wO<8ba<8b9}47 zK3p2XiY+IpctWL=*Ct3oi!nhr=*ebP++P+<WfH26wTWX5gp@ zP;%nk%5Vw;N`g$*_wg0@T+z0chW$8@7ug0M%C(K&=BFnp$_Bp!R>}Gom&W@%H2R;F z{&Q*In^{d6p5!#h0V7a|7@7;h^yEn?b}oPE@y&*fEw6W}YqT4qO?BZa+ zF`a-_|4j(-E;}q8drcz(W@Wm|GRWeWmBogD!8u8&GXjT1i_~js@GWSaY<3sQ6HhWE zZ#{Z5VGL^dM;l;(A3E!@STH+Q&4#nt5?0xu$GcF{(c3Qmyrd-Jus>hw;q%MLQ>Ekx z$l1&>ir9n%p1mCV86|G5@88l`PXp9l`na9lFkwcjCa>LsG#k;=m3V}18m@PJsNiQe3E?UIgHPNR-};+-LWPh_ zN*xe$c0&Ir)q8g{l&S!xGm9a&ohpt%!H>SUHZ4K1c1)?5N%8-HFD@Q5#5AttnRESB ztbpg$-vi9$++f%EvD1TZFa0##_Pdv#9HS`yCKGgx;>Y+^5Cf>Q3hE<5H4rZ~9n}xs zo-QwssSczZsoA;n^-l8XJgF_fjX&dO-4~#OZJ|lRv)mwY%U0+>t_*ewS$Rt&(EgNT zAVZJ<96jshHMpXG=!O!38!OSX`@5=YlrqXSmVPRxW@0*oBS8--ASX74=GH3maV+x% z!aDUr?U?6M#W-7;zZn1_jAr7sjWKqz_ORSZW{!_v2lb0Z*sy4>Oo)8432U?G{WgPH zE*&JQi{1{3#0|Q(q+ckpCp|6@%Rhq1VC!j9HSz>3uz2kL*y$R*Y>8z7PQay~g(x{y zC!21q1BG)Sn2x(=%YKota@B023l%Dn^6-w%+j|yiGDXOTu>f#~wAG@W#MC%8sc&3XM46>&@1aqxPQIkAU zLIj~>L(H-kO6NMI030BLp=AF}lt=nCE6pY&y_JcRb74+P&59=yT|+MQ)RR0TdcKe{5xsZib_b3p=?=zbyw2gWKgVR= zg7~WKw>^!YG+avMyKGcee2C55v=TK?{Fouw1)R3Ac6oVqv$?#Y?`a3CBI+HP&;q9F zl=#G>YGeeb`~)&qJ@I7m`IKL***{vAj99B{c-B#HhD4K=6k&CM4(+81!wh1&S3jZ< zX$qGhRYvTqzb&SoV4C;OCV#vFq)pt7o=}?!9KN#^8no{Pwe-@&XgQznzycp78Z=7w zrddx#NqwEMY3F|a@MlX($~&_Cvq}Qiv~%eEWXGu2l7{0wk3`%3rj4|tdMf?^aE<-> z@5`J0U7A=r{yzhuUwd{UiM0~1lDlC2CKJ10^W*jOS&-OcD~|e}8kt%};FU~LLsxD^-(u>eqrSty$7NJ&d-ACR6`c3Lj8j&@m>4mQM2 zXVpV2&!bm}f7V(6WgITqLpS!CH*e#i<9$<4^VhXidY#qr7qTAq_nGA6C}3^^G}sxJ z>;@XSxhUU$Wkolv94RsEw7;Bb$(Y5Vk~k-@tZYuU@~3QEnw^(wgVQ=2GmVfc&5#R? z4hKat$j^oW#|9v|liz_f4&ZNYMJ=xSzL>*Je*in=)c+hS)ES5rv;uEm#NU|A6O(}* zJpXg0Q8F_@klMLXh$CYn*5BnIhjs&xx)ik%ZBKldzJMTrk#GQ(G}69bZz^S#d6cv9 z&MYBhdGpv0vU2XFMSIue^1N&3XNeka{TwdM@SU4gLoUL~sn#5vtQ)2Eg)R!ol?6mD z3vP&ByGTJ|O@VDx?)D87pQbFZH(RRdp&e`c8!*+$PfA`nsQ+JV#&(LMt>6&i z$y1v=4f5?8>XEd?#kvD<3XI%v!+v1V>H&FkxHuN=oIrOHZgcEtzc~AEt7^ihkn1OZh+bx9ODdcR~rhA-QY56wO?l~C~hs^{*B877_?nawmgkimPukuhbvbH2-NpoSpGxy#TS6fz+Y^QcbVxw=2I2SEG(?7tN`;Vu`yZd|23Tob{>?X9vlaO z+}++&rX)s1+am)KQBXuy2=#l!Mj2uZlL$izH)tfx4n$A+f*EZRj8R2^in@)VA4=ad zF%m76q(+%nxVy7gKpC%unn%e_h-JoK&@3ev?kLDOH8T^ADf&qZ;!U28p=wOC8?dmy z@Tg&bpL#}(S_9hsKu@^?bh1plV^_8p?DtETs06{)JBzXjw0l8k>Q67o;Kf?U!}-RW zm6hErB5u7b@#!hA0uuBCd)+C}={<=ZhaSTquN3e!0yb2`5 zCZsGacPAU3RIRtK%~+VPyye$Zk;g3yo3h>?G@AnqVJ|M|tG#LO-w}Oz^U06t@|Rwx zvzh(HCx4f5YDEjYs)|K!)Aa$KjAV8dNi<=zHfr~=gB?r#v{p#yAd@m28E;0pbH#I3>0YW* zDwlRs`E@e{r~TzYq)A=p-#JanQK{QC09+=20oS{P^M7*~o{+8Ig0_gA-8DHEjKZP6 zQe-2OA(WcwWAtMjpA?p9v7#U64ZnE*$@BD#x6gHIWH<_fb{hHgz49iQn4fume}WJro&rVY=+3WEToWYLTR^<82q)ZDLEPNal;=MkRWTO z23~E<_vxEchl8#N(IsRHP9zLEZJ7UK3TakjJa^oRQ>g>a{m>{mp%h~|9teH0AdPHQ}VtgP^OjP~XQdqyevmaSu1@A^&7uTg;~^wmbg z_b*U;m#+Zk9sx2x@E=|$xcdO#6XbIi#%q5fwE7i z5am<;2-T`srwD4|`s^ARl1(Iq`clN2Kx?D*B4nFo%V;3wsyJo-gSD2HB!Au&+Y7`9 zHqL0jK9Zf3@ho7hcf2Zeapeo9@{fM~MJL;?Z>nEyl3_S1`s_m>Och7sRY~_uf8@ci z6*MI%K(Xi)sMAi{c=O9zH+*?|qxb(MlL-Ins}=bdpuNj|U(@FR?hELk0%)0|E6t7D zgyQ9rqNBxGai$iHoNMRDi~MkgjyhiY&oV9*eo+7FF{@G!=m^Y6YP)FFg;EKh@2TJ` zE0@EjVQd67_rqmYU1D8`B?AKzKcx-x)Hb%utJ;SF;madYLzdmDWq14y8}U0_%#TIc zcG@p7B&k3gvG@fSnXLGC=PdYF&EojHc+=P zs}!|cNFE%MNbi5c-HQ!R$5hN`?%5=i)>eXsZ(oC)8p)HG$!PmBOTKs-(L+6`Ka1X3 zoZdYjC`!sPz<*zLt;z@-F*3|JZef?S9@utd|Mh3Dc}`4d6PxlZ>Dr(%)8l1Q(yLeb zPfWCD%g<1IG2b;2^%a>vCM^UQC~hc)_vgFIaiUL@6xGa8aE7Y!gFBv>Lnsanc|bq& zOLTNM^z)Hha`UV1X%?XJLFOIW$Wj z$jW0LClcWr`aqKpOogkfEL#zaW5g~b@dN#A82%u1{I_7mCRRF*0$25qea`J%7o(w@ z>>)7_iE429L{SRKgUG2yXS3(HsRHDhopU15p?p0hD*QkQ2??w43Lo*P7zKNv<8d`| z3KSM(1%>!{Uo*-NBoYyH7$%6vl75WbcQe+;JLR1$=-K)4I6I$qLhIw#;dMh5ww&NE zd9uzM{bCj52L(=3_zd!{XqFeUqHJu<( z3*m>S70rJC6w{6PE2>{k8!$cYrk=`Kc)Nk+wsGNIO$b$JNe%Sn&Vv-m_PYXu@GdU zRfmBuYkSso9@4s*M!~Qo7j&Ar=Eqb!n}p{#@X)lBUfc0anaolQ$=bQ_QBrLG(5(jk zGijUwb~U3ufcriKrQotn6Hj^sjDh8H6EnIg6xp;R&47Nq4nx9_@R*t-=UzqVZ8OSk z9TiYXBFtA#5p?Q}vLB_MdskF!$}jeZoeX7)2#szkotgUkWMmlF1hujCL$Iyo-9Ek) zle`uWeVdjY4Jv|^=Lipfz*^9?-b7_LIhhWk05Hn`Tl>NBF0Ct#l?Mpn;QImR`nHI^ zS7TW@2<{LaJfp!HoKUFhszA>SGd3)DJxGU=*Ho_}h-aI`rG4#*&aisv`XQG+^6>y9 z3x@&mv%e(=h}KXse>e52k8vPyT*YXzeJIxfwFe$B0WwL9FgxVdvAc!C4NOojd1TAD zMH7d)5(lNZm>yRgEZ;=(kR&w{c5*EVS~z|C4GHg;btAt#IGrfy8VZO2-xJMfn@?7f ziW++5DdgDx*MffR4MqyY_Sl`^wO|2{fi-`wVn=q5WE|0vBGHkZhP+A;0-mc8dvnu$1RHIN`brd__xkg4bAREj!`FT_gate+jxx zS1fS8wafhL2V5(g8JMftflj`VZGAX#?Lus_zJr9YmYtXy;e0l+#v2y zeclgsC|~NxH7Sw>tq#J>=jp){+3xkw&e$);a*J6}#j8a^Lzx*VB@0I}*@z)(e^ny= z84jpt2;y~lIgm+T&8jhkwejzZ6^3G+eGUoYy#rb>iL*@LZE54FGKp^_w;NC>oMGpJ zj9ALZCrU)O0y!{Vz#8)}J$N{E1K9{3<&(1NuO2j_L{JtwioEbmlz7gjZ15P)P%lF{BXR>L<1)N|H2NCnz*9H zF^(`)Qw$+v;sR`G9KHDUAIheQ0B5cbBPTZCT(-6JZ zS@798B1O||JM>O_E6*?<5lCASj4r(@+9;8N*{PPS?I325D8vK1Qr4si0Sh{ryxu@_ z_T`@maO26`s`uV-#W=HC_V!&j^E$F*T9F+2&3fPi-#0Wdc<|JXcR*!-eYk#dDTZQ7 zBxH-?Set!g2?g`rY^)ZrtNtPmr_OMnn($yroA ze5_HfBOk<>JnwTFwBbTMF0mtkm~U4D`wfPR&fw&8CvFLPc}Vs`5L(d5WPoqA8xkMAmM?{e%FetmN(UG)`r z^aHT?3kxZZciF1vgf!Iuk(9I(eg;XfRy-Qh76JPjLx50Q3S*hVxfX}$NZBMUZA7c3#ux;E*F?Z3?; z$nQ-IcYRlLz2G9yqn61mQRwA7r|}Nl$yQVjT8mTChGJ>I4P8u!Om9oZIn0PmZx~#@ zsfIFqEDCw8h7$k9mHj?B9s9qMTzAF>+%6_1Gb&FWu8k&Cg8zmj>W?R1ab95+A!!&o7{A%kXN%0E&Rtq|c&?wD zY=BJ{V!JfE}=96cn$;3MBph8Kzn=~nCc!5>r6?(6D&;4**Vcf6$#nu zDJe(jp4NTq`J!|$1dbBMERj>{?*Qv$X4dCp=HcfqC^`_1YK%$RW>Xv>%VSg6mvscj zMyOr{#baSG@HLZc-YmD>We@A0g2o8939=zPg9fZ zGtxQq@cL4IvX}a1;&{<#w<<^mS<5(M9F59efLS}-Vbdp^`iy)PP0Z}RM8{;tcv6J$ zK6*q=K4m`->5f~@W)uy$Ox*dqIl)HP2RK#G%#}29&k14blr=nEjtnjlqk01Sho?5X z5ty^x^s+<=mBtIJl6}kwc^yAU{5*Y*Q{wUWNCbV<^S-xx(`NK}z9v5aU|)WT{ow=F z2kZ|HMs{=tmQD_iM)nRmX4b|w|MQ#9yF0p8-NI&>75S-6CmXNA&_gVKMjsEkP&|w@ z#oUFWNf+ESt+I9?HtTa0^wW8BJoS;DypnGzc(5*nG`+&#oDT3%gB}7i)!r6I< zY6NC#AJWz**{0E}6}j+*BLM|qeSmsG!x#1)X~D$m(>^D>(Qi)G>TwMq z*oH0LR^!sXgeBI5H2sIzo_m$;3ZQO3Dwf~8sFDcBp0Zu*^4Q9vg!m|*QUbqengHw7 z?M&{Le|X0F)`^4u1;nqgn;tA;$AQ$B1}>ahJ2Zomz#rXBNZb}oU=fuYp%a_RJis;` z#EJ;si03mrCj)%Js6O<^FEWeM@?Iy1O3_dTD1Ea0HqGqgLX$*@5Q84{>@m*eJYwQ_% zc}il!8Eb$+bWFn|e+K!w$j~{&d6l8-PXtAP4z+6v=EaJbx%)BCxt^Sr8${1GxDFd* zB}cAbkZ~+;KyRNfMCb$KnXGphvl>`$8K~)PwK6V*?=pU?;mxKoA-1vql*WuU3fu&; zm4oDWQ9)Vf?qq$%ML!U_DR!R)WXcl9`kNT@2!tFWO7ED$M>mS8D-nY5e0MFBh)QvO zeqD+iSR8rWIz5(ZFnjtHFqmPisJ#{zY(Gs}^`<%B^M!<>>lD-v95uXKr3(prB{dA zF<9e-!y=p><{NeT%djeyUk`Lx$f2rM$EtS-8qhT=om78YtA$GsabkHTKPMa!hArYZ z)~HUSuW#80=i8w>D;S@R3rdZafp~k&!TCe>m8mHXK>M=b7*$5& z1`B~5Yh{%a`8{{uwFGzV_O=u@G@%g6vcNl~vW)#bJ{=VnicTL4WWVII5#%Q;1~SO_?Q|qh zr9o_EEB!&VQ1y2^lM|-GaNw>Z=p4sr`=+sO-H=e z`|KyU@+VCvYoAFUX78!DiKE>auC%$aNtr}O#^aB-%WrbQ@%FrAhLg@@Qqxwt_B8*- z(T8>aew)*7vf<)=t+IRP>qD(kJ;no(>>F8Vlgy|TD<>Tz9jfb=aj0nm>r1JU_N%XZ zCa{%S8n^llA(-|lqve|VNv9>3*W6~UY?_^NbSnDvMyDfS&WT{DLNj^Bs->+L)|v@fe+*RXfy0P{@65T5brGFLBRQ`-9kByvY^IS!b8aUcDiz0S z4oSTGs>Av0x=;DMeNRTox<+F9&&Ft)czBIupDXYiMLnaWhrHiVoX=H2_Sb;Shvk3z z$Gf+@resm``+1nY2N$!B_)q0Dcf}jBXrPR2f2(-{v2$_4s2zkuyu93Rq<wqQ_`vYJdTGZk_xTL{^-P2xpMGLNTmW_~GxSaJ^5B8Yp2h z>&Ai`k@n#Bup)ZDfg=;RmQce_^$0@Q&76Pjq1vcdAAH(VH1Djl!aYc#G$2r}qZLcc z%PE1ZLi!BKXNh^txFPD=Ck2$O+OU(Iyoa-UogT^3vcT|N6~uacW42r<>I+N5NvaIU zTx={=0+sKj7S&>Q=LOBm=R$h%iuqBeUfbf+n=wfST-e!7rRt}z7vim1VTJQ!H?Yw2ZhLsddBEjptW;i>YT3ZEi5DFe$1Vf0mo2EqXKlv4t0n z@-b+{fklT@&TkrU$!cs+WEs>03G!@l;~3FcJ*~&4J{xuM2d*s5Eg$$aic(Ah7!H6!QN z%*p;4KhI0E46;A;xZ~xQS6|$g6i>`tB~3PT*0q|#PG5Ac-c;pse(WgL0V3n5|BQ^; z=-cV zRbt+Qlv^5;E)(EJo77XQsb@L(@sshQy=2X(_9N#zcVe`JW)B^H*tUX|QMwj0AS`$5 zdA%;Yh2D3E>H>ZMqru>qYHF%J*!9UyWUlMZH~E4;L2!2!05BZ?0*rUFsu9tz9!T)~ zukvzyvyol72H=jwqy*BGU%&c=`qto&Si7FWOwZsL7!6&-mL?*Qmx7w` zxUA*?8`L7@;rlv=IikTk8v?Uub4M6H*=jP|2q2hHgNM89n<7t?xyedgYMc9Oe@Bo( zMCli2r^_Ypc-*atVb=`yUOMS~rlJ_47At-_gtDQg6a<;q&PwCs6Ytt?KZ|TgE>&?# z>ZSHnnAUMWM;d)2k-Rbxs(*FT=Kl*A-pyY+jcEb;Q<3>MZdL45;h)19t?_*!cb$TR zzd)=qqB!|F>(GHnH++k7=-U`bj?u7Pq~mBX37uV(Fu97hFG>7#T#rtxD(EVgIi$zn z6|tXc9OPrpJsQ2)g}x|E^+LO-*`e2^GoV!H)Ql>b!gzmA$ku9}1G8#X`6669i0KgU z@$w}1jeaFisECmPu&(3(Oq{dPznf@`i2lEcMnIplZ|sV6s_?lW&55*ruCIviKq|G9 zows0>1;yQ2MB-F3bMMc_WRAUuWa%o0^a+PYcsDq3c>9ez;p~acYVuk=O##m86q?&# z-?j1{2J1RC87hT|H1JSIe|I|uP(E36grQA zPodYWbU>nb#HWt?(h#i)_t3v^T45UdCY#OP>LE=X}ss)#u^wy$}E;f zrASdVFt|Qg-gsC?NO4jrYs0ewNygY@Rz&9;-}{dI@17_SXx1V~WkQWJ$md(j zA0-qBzSjIKL)|KHJ_Gr8MJH0ClOF`|9X|cVcX&5T*&01+?)U0);2HYx34%#Jm(`HK zzlN$3G7&x$`fD!G)(AZxjSshnv}930iNo!l?Qa)yOu?yO;-Bp53KJ6PEav@O{(>43 z8+Tp&K;#0c5d9hGO;Wim;Qr}pI2$F0)l!=gvx9W_L4xs1B zN$PdwMnxQ(@JZuL*)4WJ9a}QYhLW^a7DA`AWm!mU5WbQEJL-(2TZ_0&mZ%a#&b4#g zntKg+N6#kJ6GQshiqknMv+k6w3WZpWiFyRKqUnEeD}~YEdMcBV+g;S}iDU}GxgtlP zMkD`1`v7CuHQMp`Od4;Qg&A+ReAKj^2;b)ovFL~N)}ebLe;S(?fUU-VCezvI-%We& z#OS=bB)k@VZ-JzKNLzgA4Pu-*(NoZ%2@W>YI_{bxCYBaB>!#y8VeNlzIi*0eA3wz& z(<1rOf37(X^Gl!{&Y#U6LY$vc9%)DqhYi_5x2GjKRNu6S@$0m@I>sTK?}WK=!?6a= zad?5R4i$tqBFRRVsa&4QCh#}$beGduX_HLLCvtH42?v2x%=sFEet4Svc=CpiA-(WadP<&KfE6k{A3UjCBPiy(sWgmdV9~5v5Ddo!XSXcfq|-fZ{U+L} z|3AjQGAzrkX;->KLb^L|y1To(Te_qhq`Mnw5b18EyFsKorAt!SH_!Wi$G0E$KF}Zc z4}W;*%v#sXoU`VfGx?muS6rWR#98!<_`T1W2G7Hue}HSuCXZ=T9b_1BkfPRSWlcn( z$u%9d^zA|YmKu!6hbN6WntxyT(~?#G=eE4aUj)@x`>#R&*{}D{MmZdX3i1V$!vRUE z8$YFjCCksfEG3c)8^<;ff`Sf@zi~M%;z@?cq|k=vaS>%<=Er8yXlIi6gl&W;U|WXj zA2}q&@rbnT$HcPWJ!Dsu#T7UfaZWp_T72B1X~-cE`Bo+_o2vkWnS&ks`rI*ru}W zOo?i5pWic4Zi5Z%KFKVwf)c`({8D6u11n>b-aq~xK^opXE97yMc)<<+?YjL}hG|?Q z3Hr{?-pmz(mf9Rrt|k=G;gtgb z_apQ+Zmr;+{!p3qA^!(+Syk0(P};J9$3e?)?>hICLi3{<-KoIQzcuR9EZR0od(8oG z@7Qws>*6lM6ZN3A%_&>sm`h&nlQ9ZHKGL%Lod4E!`5K(N)=DYWo9yqQy@|&4 z#RQ>&5m8bY{1)O$91CfzO={KF(u3G(=H{J-4){FMy5z|S5$<_@{M}>DqYUTZ9L)4e z^$PHk36a@IH~M2+)>+r#l5D;sH-jp#FYq- zI=|#OmL=P)JcOOJD;C3AHjJf`9o@?HeXhj^^ND{yDt}2;V~- zxXuyS1^Ywzdoc{NyU=fj(>x#C_Rav_mSvMa1a-3+bgQa#k}zSu{7^yd@1g7ZBzzu? z^MI5aL95=-goU6Ik=(-#e@cc)!jWiuI#shYU#4&|Ke49)&9yGV_pnFdI@->>E6Kp%@x?p397?xG zKl~DfMgDACR#*x{%=HdWu`F~GVGY65Lwj23Udj!jWFBQ}C_Kc~dPiCT#}=Dnq=b$} zA*g~cPGjYP^h-!(r*I8q3Yb;4=BYmfBnRhF%c&nt{M;Z1kR<09dSVbdnTailTRY`U zpuZX&P`Iho`!6{hS`80le+jRO40TQcxet!~;USBqlC{Snb$IJn-V9=)KZ(MGS{3po zv?9ehw|XC~2z)L&)TNF9yaD!G4g$2~mCmsmS$PSEJA(fv8}V(X&N~1q|$kS}@)|2#%k%Yt;b_Xh;&C)!4Tf;F*~VpCGg~g9%mHz0K|1a$Ly~c(pNN zj_JcDb}t;O%xNGLg2gIa`P#T#8Go(D@YSqt!NUqh9M9^t-wS`vQ8G1nvk1XHGyMZw zP1ha?48JRQR=2=To*RQ@)4kQCZ1KoYzi=uT6j#>*Y@F=Qea`PRa*887({Y+D6MoIWU=f-!vfgkZCg4`vS8Jr(c0ban#lnudnSIq)ho3G412xbJo2^N8I) ze$#UgBWP4L7`UKu$-?*Ly4Y0AnRINq{xE9K=}baV7h|kMt@v3?ZGVpmruzML+AQXD z1RUK$52LKZk3DkTND|#o%bZYw$qScASy`%=>tsl7jOqrpQR?oZxN*Cz*R zp-dQB2iEkt4SM6GxNPKpNCPaymnXscYf&6`#jU@C)TOHxh|gv<2{>GcYOoBAFk)$O z@e#agDXVHhkG?E0oCOZh5`*8GekTwMckuP)aj_dd@q>*$;~pyMI~F!EJ868 zu^&UsIhtr#lnd#@0}%-lq=N*OzYkj0Teb7aSrp`{2Ylkej^Tf7v5k=Vtn+)9{d)2H zv1iULFJfcN%NAM?l@aUYUwZ4+;1Lu%D%Hb)7W7a3jVNinV8V%}iwLHRPzax*Aj>dV zev9ShWrdNK*VK~nf$!@Fz%GrkR?XLxW&dsq71*N53Cjpk0?S}OyW`EGAY1zHJnSj$ zB&6!+J}366_>-Otv!Zzz9Y4bPH_K<@YlY#g=ropW{3XulQ&eP2V0g>IhBk<0kb3D8 z;UEGj!h5mKZ*byiCYhH{Alv=+F_X98#oXjlnK5M!TrF}{DHiCSD!UA<{MwF+Z^HZx zGc%@dzXTa&%~AR$Uc2Ic+v{RrKlyjrwmQu=`MehQ!=Do`<3xZ48h}-_CrAXB*y76+>axSsfT`Yt8CHQE%1Q*Q$fHWqCIW&NN+dTS& zsnsWPEU#lht<7$!yfb$pGqLNQ?eiw_eO~Dhb}b67@ru3S{bq`RtBa+x7S3`i++OlD z6FSB2z(*39Wn1lEvFsTS$+Z>`1g=dmTsx1IzeU#g6jyxK+5hdO!T65P&+2R1f8nK9 zQMFCXvzLIZ5U*Y!nf{>3!b?m@M@w{DMf9^{Cfz)nNq#*-KqW=40&%DJ%1DN{yTVYq zkSV!7Es=;MAGre_eAnBO5X;RyFhLI9#)&pg&Mq2BSb6q)0NZp!)j`~H6DpkByTG4B z-z5aR1qc8R6RUoT9u~DQl&mT-nMM&)A7jNobMKBf=7YB|v-!>*QUgXr5OG1j<8=+6 z?2I&igftX~cO8`6()`Hg(w-jOZ~_+79ry6?lQ#<*8+H%$QDN~s*2>h;nenTctGeNh z{>_U822$ULCZ37>GJoNyS7EQsxa@N|^N%bL#v9HCu(K8vYB&XO8Z^}b4zM(od2{PV zOZnA;Z*dD?Ja;Q63Ist6EhtiSyAVA+^MxY~Avhs{TeQy}0K7Ut^7yGt=H}+| zdN;KNwJ~JtFMKQ=gNJ&g+d%Lwl9tOs0Utp+6T=y3D}+(Ltr;Ru14roMm6ikRP(jb$ zU*lh>Fp_5TGmoxV>O$Tbih~^2ZljTlSk}_^hb$k^!AplNU2cYdg4PN*C-Et@@z#;Z z9X7&MnmF_sT6?N-{r6qki^n%E1iDD=FI@C0dJP(zgavMZgjl=(wBhS-^g(#n8$&lp z-Cv?dhs0Ousd_Z0aU5E7VDHguH?yXRAsH?%Oy!7!MP9tR`fZeLr+fH2Ph zCZakhsKlR9A;LE{gE72|p{fhnmo$ky*{n(Rt~u{BOIJD5+}mR#V`F1sY2}=$$f1CT z_{f>~k*yxwrq(D%UHoB)7l`j9RrO0K&@Pg{uxeFpdkmgMkgp4nP?}jP%mcG)p}NA*c+K(?pTz12?~h1&AW-Tk zx8(a5-J~!g%jfBmL>lr6e6S zof@Uw7^T#xj1(R981S|Z$czDi)562xgP47eq5-Tp*jVWq>0K<`Md+sF6gyO;WXY6o zu#oFP1S{x2jS;m#ngv4^DR_$|7nubICo_1D-S#T<(htDGuT`8=jzVB(z^m^B7L0UE z;ZEahQ4AUqGOxjQA%K#p|nw%2SqYHyDtEfWxUieud$9{c`le z>dU$%{GnX{T%75Pv!-E7#&l^HW zIQ;1{ zNet-t>Kcs*={vfWfyrQumO_j1b|C4n0-R z*J$<~d!+WR8t3kFAGz#{sU@C@r(5X}8Y(A(A}Whpv#maHSqKY`6%W0>nt-(uSmLdt zesejwaACSX9Ti!w>7Mt_WhKocDo`(=S%&z`V`;Q5*!8Y2!Mp9`I_lceZH|3qxxeyo zg$DooX_FmK+4?dG#YnOL3{l$VDzqTWC+JzV2T1;n0 zAB!w;Pwr>Up?$^+eF@VnBC`0Obw=Xcq(>%l51#-1ZTH%RXF6l{$ zceu8R=KGdlSNTLob9!1oTzEhA4^BxV+cy+Nij~Hq)lXKu2~kSy(Kvd8&WYzugho|Y z=_jFph@j)oGkF(2aY1~5ON+Q^GUaf}Nf_4xZiB0F79kFUU}pbONt-O0j4${%!aXAN zF6bjxALNjGPZ9k3+8aZCx08J{pNwNFBsZMOx-XemQ|^oLEoJ=o+-U9}*oTtaHp_jx z+?*R;6wddHm}u!hZz%kQH(rHd&i|{PmEjqkzvc3p0vl_?S7uA|f=N zEdsxN)E6aH8Xy@YP~em2HM-+lC1_R{k7gp2K1nQlpU?r9vBUZ(+^Mi_mCwRwz8fOx z_DQrTbkkd_CR{JM5gC9+*5}=~d4FN>t9a^v(gqtl6FZP6J@Eg_pWQG0z6g(Cu@AV3R zX8u_um7VETKom8u2%NG7>c;?|P}vpsB8$E@1muLohNf<9=uA1JHj~W!ydbKXsDj9h zxk$N}MnhLJV;W>*oa+cL5kEZ?>g_CnEIYs)?63$aKnGEdLZmLPm`H%b-?;eV#Vkb2 zD9(bAhRvd-!|v2gRVy3ufV7j_`bLl2@@P0VpC~92N_f?#A3N!yqN;zp)6v;(Jb=iI;1ZZBBzE4h?jri+ zU>GbREU|Jd?m^r}If_c@uzD3Sm1djYWhELB1zVb!zk#s5QP$1+@rP%f<DNnU`Dj7goNCQoLgYt$=+2U`>F22Vz{SO(7Tk zF)B;Vkvc1uFr+}?xeVbO^wOJYolFWp38-zaG|{-y^qH{bT=RfBY=~ZB>{k} zOxg2@Ph8Zsm*XpoX<;bu*Q@}`6V6S7l=lG>6E3RCo@@8Ibu+v%si9?lxl8zIOI!$v zF_ffZV>!RH{y*KEWQN@t+?l4>y}v;vr!MQA@^L2V!wE&Vu!V7CGGcoIeC@)KM4b5F zkBxlgLQ!J+~k}C6TsQdHMcLK9}sJc_&*5ZS-yUw&99nu`LZU%mO5dN_-$N$aHNcB2A{7o(H)qJ zFQ1n$g|u{_5$LYMs3=s?p^f?eH_m{fzMW{K{n;T&#%gJ@Q2!-&gC7~@r-l{YXZu# zGH>7XS;|`mH&km$G{vQME($PMP^cg&&aYLJf}OZ5f(|o9i82ec{*V_o(qw$-S)3+; zcGXwQxGRk&%_VRq%_z7gF|FycF3mT2%GCH>GkTAaZ~D&r#YYgc0A2nZAF2Mr!dHP) z&`9g^NAPB1xw0uEB9VMj3j(-Ls1t<_T(m&PG8k_EBdPPx{#FULBOeY|)#8%5twlTx zn2()ToeEHn%>f{~gmtGAeag&QPHV!eMmYlb;m4wLL~VmnkIkpu1xkZc zOt0p@qjcS8_CfH;HI3Vk46+=`Fcj^}uB*caP2y6QodSknzXs@x&oUN?Xd|M&4-)ew zWa0#f;ame>bSUj}u6aX3kdO)|vVU`%3+!>t)r}z#62}!VX&0mw5QXO3qYhJ=>iB79 zE72!oL0s#Y4X%FkR+ExoXb3y7P0G=_;|?v6X};S$1SpdA_YYN?*5#bH2JNmIf*ysO z)`wNzq{x`kvq5#rPWJ@2qi26FsicDHmZ%|xV2M<(+cFTwXbAci9#0AiBI`E}TH=Gp z!9j0b^59HrK1A*GS(AO|?dhD77`oCN?bYqK`E?ztEa3&n47xp!M~)m}`&xVZ@hzqT z5KkR~kh*xz;xB!gnkAitpG{pV-H@y7KMijCV+t<%k8Wtxh>|BrfUXJ@6^@t-kQEO* zF6)3IbTdU?p$v}MbO@)vQ)GWDvcu_-CkseWy~X8iI1xLU%N#0;jg_65;gFZx!%MPi z@z78%9GQu+S*LjKQkzGW^tPPAN^=?AEP+y5T?V#8s3^HrLa;0GXLbFRi4|aA)>J|k zRkPuMWwV?*DWFt>zJxL?&hkxYtl1gVSDhdgaF`~_&@jW6U@=0qH2>ek1(NAVzq2FZ zAX7E=Py%_jdsQtAhM6w-s&F)@);yEH%r7f%XdrKf_kL^DcPCVJYCycj@Ocvyyy8eZ zCDdar)Lp;8XGHxjz627-p4AkFX8yBMV_h50EY}UL#}E6f8P6-ZgxG40qa^gOIYgqS z=8&IXKJC}+MU(*Ct>!1Z3Dm`qN!VB2)iOAgI*Pm$KmWAu5@i68RY1tW(HhsQ`ZKDR zH&NEXn`k@7iCquRy{V0x6ESj6)|b}W%S*<8_#7pdW}wep^w}mog7k5R1gd#&>0u{^ zzP+{6fU6zSxZ!j!y&bZf7Jl_Eh@<%)he5Ms`_kn)7o#YswS9qf)z61i>FOb&%{J`x z3+DRwvzELvy?T7l_F9Oj4=t%TEt<8NDGhOlpjZgEd)h3e>1`xO6T(y4vRTei9{SXy z?!qNMFGbzkwbW_X!mAS@1iS}|okzE9%gT`t^E89ZlY>uCo16EzMQ1~k*I+ZhD?em{Rvc~V6JlBucab!a9yzsmz0>%SxATidN|M{DB zN7zL^o8sCxeVctL%gf))^fo{b+*{)XthuDM?c6;RGDv02A)U%A|@?Y@Q+x_m(11B>MaPk0}ab0F|d2Jz0t>_0DxkZl^f(j1~Yw79@IJ%b@l6?;5 zZUY!X>;D`=nP0__QL%#3-2%Xd%q{u_l$OhModp^aP2n%JSzc&SDGgU~tR>Q6Gt{?t zo3bh*R5N2Xsn>if8SQ15(iZ{cKjt$(QumH137}5O!QITZ|G;z#Ic>7CI?|HPnq*Lb z->SCw{L$}7Aom1u&?oQA;oYrK!7blU2{4~{+GxiJS)O%jCTPF{XO$fnPd9s6;&*D( z;X{fa?i~bnyD`_a+ij^A`3sbqC8HnT1QpG&>UB1_9`ER=4^|2`-DpRx#9`TmH7!@1 zn-0-OI4?*Kw6?8jo>lt(;3tw@&EoXv1#sKDNbtRg%2q>;IGsSp{dr{(JM*h>6Q~OO z3`<0T1=zj6(G0mWa0M#-PVcAu&^4@c1 zpj^vK+ALGVxXC6a5jmGpGITND?JL=?Yh*h)HqSaD&$A|Z;{&?o2H|EYX)r-*13&Ee ziKSmqwz}dV%*^8QS1cRwlsBFyxwX6Uf*;6xt5^1Xq5h&N!Tc&JO^O+R ze&q`R?pNmTOe}g5#C1t)5pJ!?g|!e;-Gi@BCRdFoLiBWFQtXNKSU0#`8($r-_{oOk z`0m{F%}4uSK0}rTBC0ie%7IW2!F?pAb~j$V`}wc5Us_BVBWlyiyY<3Qe^Ex;gNeK2 z7Bbs!f{|Wwm~Voulz>I#=OaR)tln3VW67a>DwvNn$zQ4ZP{4aA+qJKV>H$M}7};Rr zOJBXY9cQYDOaD>2*<*pXF(c2ip{l#$+;^q(j&@u4R@@hfX5Y5xDpBjav|`61{^4i3 z(Q1|Nz7(QyWqBox#>Qk*>P%VQ7)?P2F;lm|AYt_?(f5Q6K*X9mDTBK0Ir3ZzqY zgGsxsT#CY$Ym>Qt2VgCYBr>$a7TYv~>Ps^d&r=FWa98ss#H{E-xw zRN<`Zn{lr1f@#Q6bGH2{fSJ9@oTr*#72?G<9i)@Qx@R8gBk=c!>Xi9aY-Sf=OzVKr^WSykuxoYA_mhuo-*ag{EFtP1RpGa^7kKy_j=q$Jk~1@_ z`vNrhFM3qWuR`6zv0-4)zy!Wos-Ni#jtG`&!oH=~YN8e)_Hmv7$%^BIUiMBlnxHnn zI9dq-j2{PvruM{fSX1pOI8Ui?aHw`0M5RaxJJj{1<*MQd0k~(11a}J|iqrAMO+S8Q z8N~c%bB@tZwFq;Lkz$fbo4)2XPMJ|hqSt)A z*Py+TT!le!B%m0QrXnar(+rcbqdgDc{z%z2Jxlei5g(4XlQUp*InH6a)-l0yPiKZ4 ziPr0!4iRN6$o8ep-;e3dcT!?@q_sJk1vx^}mji{VVZpqS`ic6Keb4BM&;A)h)bmT1#9w^xui{kak$<|kZzf#78Sz)mEoYYh@PO%p z{sILPMnwYELNk5!8%Fe9HxfrN$1+xekYnKt?7&%Dh2)6?%WT{tVk;uvKC-tlZpmc+ zwERK0z^@RR5GJaxJjQleOLK`r_1uxXf^;5Yu_!qSugHE`;Nr zgA@0Ni(+s)@UfPQ8XO(v5wR~NMw+>qT#929lP2Lst0y&VEM^U8^Pi>B*qL92q`lG0 zK+RuZwE?6I_%Dpw`miPIkqV*Dn4$Sp4y3r5-_zwguGBez72oWdAw-Hq}@h+xM=OF5x#pRZs$w+#Vui# zpAUvo5yLXVwdz!snLutN=Z$VHw1?G& zL8}&6ZyJ!?_aBl2y@nGPnl!Y8$FX{AR=dFT&UDZXBLZ0gi84yG%7n)STMu?Um(`>W zlj|oQnrh}~J_F_PPe115_Axg7P@YW~>5aGRiLvMKpPMT(P^a>V`06!>HdCKy?Co~B zFE`Y=-BvcmDK5F+8?q>hNhD?$vv3d+g*IG62adUrw&j8~ee@y8KNYdLbo|w1cEAz! zZvCvJOl@%^xR`Thjt@F0#ePw`nYK9Vq!|4R9?WlMPl(Z#Sp8&QFm>6%!xuv$^qroK z%fQ)vO~gN9=U04Jb?4koDB2CZ!(WvmJp z7?8RjkJn$5HsElL3?6pEd%aZoVZZf|4_Zk|ICJeoA9nL0 zimY=RvM8L3LR-jm?cFTA&$DPrzjC0ErzDkB3Kl<3q?AHCzK`Vydw!O+ zQlz>*WK}eSTVqMeukQ>yspTvvV(Lvi{>erZ%Yz`b#pJ5aS@69GA*@h3>E>0&&y%P( z;3cpGGMMq9Q`MBNSWg@W`1(Qv|L}KU|$eYhRiXH6>-v;t|W!V(=SZ|>>}?mZp1B&(t3E= z!v{(AU+u#NPfpW}U`Fp5rsaACxyf4Jb2+gdBWBuM;+KXNupsowUmr)C%8#W^G8ovk z+{^!fg@TFjX#Ujx75S5Ezt(~gylcLv(+D{R7Wn$?&^Ln|o~h0$7TNfAjgSP+P4bjk zp+Ft=q!Vi8CI-VF*NzZLv=B2%rF0$JM;ku#43|go?AyNt%9+U>aIcDgneKyjYr14l zf%n_ZoyxH1q{@xn1o|5c-J7cRQ`Pe|blg}XXawvGvUa%6e?rUuk zZ8XvZ)TsaU7aJe1BYIHyqnO3s2fo(!+rS;->`wPvJa6kH5B_Y~*rZdQ;norBMHWb@ zvQcgpMcaPc0n4Z9k`tImL^>&(rTcxJ#l~y7`nSXHP`)dSVsx2&kZCDkns|q8lb~f% zOpcz}Us;%h#|+6!gc3ruh}@#L^l2!hy>Dmuf#jYbHU2Sdg*i(vd&KE?@7gZSH7gRv9c)dglXLTc!Iu8d!ItG!MC={Y{#=*_xn^(h35HcOa=Gkj z1-ir$ZxiPtu=10#^ZAl+IU)Z5W+wU7c1$Y>D{RdyDF$0LF-=ecTq>LOTYJbse^q0( zOX#?OF2D#^0SDUefQwpWx=Q9_RHA*&qb;4he!mkmb+j9Wr55$kG_-z`Aa{{;21aR1~(^0gVaEai4?a8Zz3Z8vIW`Pjy}lT1kfOQb`f zt{oNA!r^^p1M5tH`XRlG!dQ3gI~n<(cfBa)TjthU6W}$S6(33mV-6FbbCbLZ9@SXR zg=KWk^M_^SzP&*6{U1#So@Z14g09N)Dr(Id9|bN=LjxA(l^aU}rZNLD$@Bq*&_PV8 z#Gz=M(ZxF*q|75}8nAj^!p?@2%TB+~c~5OF#{+;9}q_u(h3WbMHLN}n&Hz1w| zc@~eU?|Un6<6{uaBbH#i*aF{bQ4E-ohVmaUjWV%HjzviQkZ`!-WWd=XAJy58LQ z%Yo73*PEoM#_tHf>UBG4Rci^VW-+Sn_-|e;gB>;n!Egq8=?3`w17>4+6{7z0;>^NO z#Q=ul5e)0o*$X>47B(1m`=8fj;8U_aL~@@1g!`>~FPUiJAX%Yk7$+=*=q*`Enmg^1c*MM}rEn2l-8s~ z^L)%%(S(il@K|h;RLB-7xt7FZX72nZs#q~Q(X%4t8J7EzIR=e(WCeb4^hKEsYl9gT z4Ycd`U)c64%rqSxerBQvt+&fhVoW$O^wyXG`kILPFbha*%rTkjL{|0kiS*`tpE$RV zX$7USqzcstv3)B`-ox&3IV_<-(Kw1(_wI2Z7K?J;Mt!>Py6Z&N|5iORt5wX~&%%=dm55@~F$pZ~=HB8Q#q zW&#bw`HOJ%DwOmdeSY3T1_uiJRm&5GHCSBJy47uzhe}8)*QT2^{ohA26)S zwDZGJiKM~Nrl8!BGc6mM5FjAfFDYzM`8A9chq44tiZ?03%13Z%`fE@&kNIl9S3;rW zK|eGrP83R9&162*X0GG8^3DFBnA1_GfY+eu`1K$Xq-gzoGKpryUUg*oTD^Bc2`-EI z4wla1V4e+d^TOmoF|xR4lZpPq z>1a8qi-^RRU`0wwYK;RAxi7od8vi8Y$B~>6?4%0lccm@^;UV@O1{6<@GWSSjP#fKW zw^kCunCoMlJoGKr5Ju%DnvV_9j`1A4=+^Ix6T2Y4af&lyo|DTpej85utT=Nk+`5W3 z9|Sac;1PJr5EWIQcaA+vqZVrXSK__~7}^Ne?P9zX**d_KbE^cNa^hc{@~dd?KXOyR zodNCg4g`e8GG%7-_&%@v1hZ#nvx)5s#}nEU2gKyY|G z94LUYl@qaK%dx+VHAy^0@wft}gUi^5%$;&%LSV1H`;o3MaP8rr2jVha6#9!@rEc zWD8YkY=ohS65M*Uu;07XD1P#>rP@~M4WZLP1GY%HcDa2YbOFbdbZ+U4V|+|Xc3g`; zTG$IMC3dYVWuY2FV-G-JH_vu?b!*JYoR=rXB~C*OM8KC z)|z`c63%s6DgA6<&#Jim6b}kpyrCQJ=#6(?^<9z&L&@OG6TR$-HFf0^+S@MW=)g*q zdvmng`~dKt>oJw}Yvw>jfwxS8l*%@irlF139ya4R7Z;*XeMBUpK@&E{3n7h!ex0Jx z%%6mWR}2IM-IMz;ag95)IL5`cLAbk>BH#W%V>r+2V2NTTH3?O0m-WMMrma$)m&c+O zr@sKTkVfky1kI{)Ba0S2558gJBr>V$=G-p>2drIjl z@K1mn925a?x;*G;LJpAuMokHcPy#t8(iqsmK3-B#rU~?@@Hk=ch%wgS9Ay8m2aF;v zA{|B4Vs5I$RNxMnf((#}s((ikg_8&^eeSI^g@qx$b2Bg{t-|q|kq8Xd zqdPVMiZ6bx9o>n&|6<+ntLE4W2YyE@Y3yzczisF8mvv356xF^9^X{;`VbVA1-7?}N zL^Eqj&jY>6Ns}{8liTBD+EP=DYoCN$*JLv8)yB5;O!by&8+6>CzbbVD4%S@T9|c<0 zxMe?In0<*X9iaBAT*7!qS+O0p`PuCxLs4$FWmCW1Tqj0!-^T!v|J2R%5vbAD_3l7!*in2`llrWpU4 z`8vB@VNZ-t!ey@l8RxN1DKJK$X2G+5WezEu z#X&VJexi^dz%-7@dgEBYg+eA?$jf81^IZ=wqSwQ&D^F&knq{k+nP;(KS=_}Q^A#o` z?0b3Uw&QZ$?0BraVB47P$hg9Cze1nfkbzve`dwy8$sB&$=pUagCpDPNxIFh5$sJQ{ zuXRvhlVEzd5q;#Iif2C7T$|Nuowv7@jsi}oayDnY_~#!T891}o2`L*w|R=;bBoFPu3U_R)&3%9A>5% zJSu?yY4yK2;a7o3(8NEZO;D$;zyde5sN(*VR?jpig^0+ z{7Fzr9YMjbhLT8MnK;(di2G8he#^0Hh)+-JIvD)>Rdm(CmuL_JDjH1F+KxVhSg{8E zu6-&~>&Xu>4(v*D5S*3-a0c21lXs{{-4f=5+}~FyHZ)i@zvjamt^9JZTML@uI!lFj z7UbQGf;+d;V$22r)WoEOH)S=m`N$9+>L1JFWXrs6YR#zyuaG&4(D)?4+X_IKf)rWRHh;L&(n7G3cHdFB;DkGD7eG-$#8W!rpi#K}c_yt|M=;RJ&FZq@Kz zJf3j<4L#Uw^~E}UA0+4#xc}X`(QeC?>H|6iNILoBb$+#GNE+|7{m1|h)GEpD0#s2$ z!mc-2OxdFTu>2AW5~GD6i3X~=>XX)xWscOUb@z06J68vrG*ze;!rMhU3$ceMdfF-a z4vrvdB<`-3BWJMIp)}Amcz60ZRZBI+RPnpBC6p#-B#*V@@K(EFYU)V2wpdemm^{mP z1x7g4&D&ajMjD1nhA^Knv;+^=S#82`tFBH4Z^-u}$vqE0W+3vq&O1W@!FSUAL`w$0 z`J7CksyF+%k5)3&j4M==3xp9<)bCbv>ULiQ#bJ57{AW(L-d{N9Rk#!t{f~2k{}G=` zVg?P`ERtL-+0Y_V!8(-^k;o%uxH?L)Tz1(rr5OJ88)m^GzBx+3b$qO~*Z-pVx7NWHAr2b`8TEh$2~D4@9q+CA=% zsVhOFF`c0*2QthXLhjm_nCA3lsWCqtA4L=y9?##?ST_pGo2l%a=X@JBrK?U9jj!yR zi2ur8#M18^I`P%rv8`}6CylS|`(1VEUXAgG3K2aba&^Uy2Pxme$NYiT!x!GdPx!|1 zOfLPK0P`x+0&24Xbq9bd(cZAU9h5FiuA|j;rj1G-n2Ack_98a+bR^s$-+p(^cGetG zKb}kjvSLo8ocmZT%Tus*WOZ=S?HC0%6cX%-2-a&ZG;R=+!TMoSnHMdDcrS0A<~sIF z2XaQ89egmI-?t2MB;ewiZ7`4l?}dUFWH_&M58&(XzKp&ywIe$(0PFo0bXj9G1YIt? zYey{Z<0TI*K&t*=M?OrwQzSp;vYESCYmdLz%+<}7yKwggl{9gq_(Z1x5e#+=FgNum ztB>)bU1+F$R`lE_rTmKv^(r!R9{cY`cwm;5M?Ux#)$T&s3gHqLJLe?S>0;_KdwRrN zAXG5j(Xrd#$e|mgpd^J}Dhu6*-M>ZitAKlNkf{NZ9xA2>KRCIK=HrHO7sqcqN8DKC z;}fv)WSq6&!%ef&IGdQF?`vYp@&Fyg5T^JKk(FF^tDPe_pNgU@ri=7Y;;i#Y_3F1! zg=E`6nb5-&tWG0VvoACS8X{D%+Fm4|T3f4}T%h5|e_{Bm_{{mAsZ-!1{&MM!oxosc zauZj05Tb5)XaS~m!61Pn<*#D|HN_CQ)pK4uZGC7m5wyT+grFkOT}En~brs{7$BGUa zH&u|#%PAQo+mJ@f2tQUW()K*aaY?yQXt~{BQ&VM2|T$$2s@1KRQd3$uN{JVHX6L;>GBB$L_ zl+>94McsyN{G@+Ei~ZYRQq0jiEu4!mjfZfX%J%W~`gn6=6Pt~AS_i$1@!Wd0^zq2b znyd5GdcZvis$+$>Mv>K4RT+F^v}ZY7Y<;zhm_}ump;b^l&uO`%J6Jh_P_ZPrHCde+ zrbRPgcMwr)Sh!SDe$Jr3;Rkj+%s#vpO>=#pmAbb15wf{A1?FldSke9bR|ucMLZvC z$)uwyV{UWFZ_9?)i$tgrD=X_(l2ACtm8iioga?6mm*EZ^_R6`d+%o)xR_b#0(nw3T zarHk)Xj>pNx~%psalN=MwWd0WPd`ln|K0~kJ!zLcIT9<>#|a&~?yx+Dbw8&^F035hZP}(q5EZ>cG%h$Ey_p9azt&B@#1YEcXI$ig2 zSxHfAU>ejcB=t$ePJ#bOUlWD&%Z6a34RgnH*K-~C`$IL$_9{}+1rFB$8-mYWPhieW4wLpT{LUs;R)(&pfU`%LbS|z)`&<-u2VAG zt;1B3HQ)4c3b`8^v#Dj_bW$!X8y^k<|E_WJ6^Gtv01Yhp3nO2}HvcWzSy)&Y8GxiO z1{bwZ`ayuy*Z}Q6yl;D!$mepMv4(|}nWe^$+F=YJ7f5L@n9R-+GUS=KLk{EzcdK#| zl`=7OGxWZdT7Uoc@<$ek5&VR+Ry|hmn+@$4e%9^ z#nPM75$R=(v5iC9AQFYX>DLux+z+;IGLQ(*U?cD|t-UM4{SY0dCS?jTY#UOI%@Pko zFU!2^n!85m4x3oQbaQ5wbeyKz1lol0=N!QHIsp04upaQPJrC;@`O!(+O^C8bF+$R?ks=_c z8nw0CgokDH{eBwF_}b2BQua1;^KGuFy@f0^xe(iI=b}u4FWCUw7|fT0Tz!wCrk)*A zDPJEkZgVIz-0lKAbd%+#Y5D|P!hoh;{lyW!3N!vgBl({``|aCl+}@8Ag2+gPp=GSG zK@BigN>@iwDfqPQL(?$S+@2AJS%9}Y{=`C#Xpxm`5`b9oBCHws#JvQo)L0ZH` z?k$Qx&P;JLmjsdxK`bG{dUVW~{F;&#vclZ!z?tjIp9FT(w6zROD4mL&46?F6lk`7n ztd@5Ax0!*qu1{er{CXDU zNp$h@B@rOxeGI>58uzDG2grgEwa-txK)%tus8vs_W;c z(Yt3$jegh`K)+*G3K$3#Mvw(sYVf3o5pY$_k728s8H8qJQ^qI_gYfI{WWw?1pt$`a z8um{@0wZ*2v2kHnt;BILlLg8`wUfT1TUaQ5lqU|0?;}UZ=Pc`u@o8CjO`vHMa}0{V ziIQfuH1Bt>QjLNzVC}o#=?}j6Lh}sUb*zAvJ!=yE$+}l7@&D!oR+gGN7T`*d0a;qA zp)!R~mtn~fY2X40wE+oQiGR`qu$2=?$;!aW$Skh(GeciTM=LQc-!E@uZe(Jr3tlqK z)yUS;fx3v;sTNjRnK|N|@p~FE&Q6?$N*;2oMk0bT)QdF1E%A=}nY#`C7dP?Mk{YuNC4$`owCWSI)4vr^X(Q|H8JBLC3%pV}qKK1xWa^K@gktCHD=?93;l8I1>KV90@|3lSxhzkc7&@!DK3o-CIDzAhd59*+Hfv{Ucdxc=qF zhn}FZ!tZh)(r>FBKuZSATB3tjQStSwCOZzq3ilvw9S81x#mrPPu?ByM37sO=D4p`P zZ}`>vO|6O{CiG>25+LcP*{1B9?X;vX-H*8%1@crKB}{>m=z7#yKD_KXb+yX3I)Kfi zoxU*22-BzX<4<>uy$@}R?WGP8AX8GGaZ6!a1 z0gZ`7jZ~DMLlowNNi;SU>;E`pe8=1}d}tfpA`xt~E-a&mb-j^CPGs~}i%}@jIFx4s z!|k5Md;3H?VV?#PBMCkM{X;N*S<>)ia{ zMseMkj)@a|C>ly-{L-*4vfOSvcyIzBmPbPYw_5{Xh&#f>Gh0LmnIZb?j2F)6RP~$? zTNt$eLC;8=358tH5u>|l2r|IIQiXOoqOe)Srp724Rf@`>u-ihi&n-XjEoC2q_drV1 zjXYy_UZsN$!$@|Mn^zJ6m4r$J=Z296Lwl1~k% z5WQh|PgQi*EiS(LMp470X1Mp^_LsB4!qi5o&V+88ketg5$s^Lg>lPD(=#jwZF!ARP z?RC9}q<>zJk>7N*R?cAz6qSVC`dv~&OT`h>K(-o8$CCFx>Iqt^Uao-!Q~iJvAt@Y- z%!E6oQrcP(5@tL__cG5ng}Nukqw5ayuJg~?jpaht7#*5~D|x!w8DwPlaQ{DyePvYF zZPTsN-Abc$cXxMpcQ=TnbW3-4cXuh>-Q6wHA$k7z+|T>2n{y8Of#vcWGr!@Q*)y~E z?53N=n|+e0DXgw&f~jz8p_ZaNOSeLrCy*h15VSDE=u2H4e$mV>C5S_OR?z|b3)5b$ zj4g+rSIeJQc$96eG7>(Z*)J0jh%ERDbdkcdF|d6S@@u?kZQgwt*dWV6C=?A@ z64}H2uygqXyb3$TaitdJ%2M2Waw8`W4ld^$ij6Cd^>XIc1qGb$cUb;HT`6aUdH zkBCgcWtW~!+I;D9cLB(1$iQpw^FSc zV5{U`*!p@|J=zXfSNdndp)+4%B^Q3sxX~VrLK;1+^M1y)s+#O{ACuP?0#$ z3pmYuSI8D3o&tHNlvqV}4{X*GMW1Vb?uhgSY#)|ZOn3n((SgM~PCui7%-neMExDBJ z?KfyC8Mrjp^hmWbOpesywFa~GrDSR*k;d4m$an&*Cr0-d52_y|16Jr?e*ISqa?7xP z`0x)wcc4iT*DF6knrlQN3)+2Wh%}H-&lLq^hOFM(jYzB>LCQwS6LoucbQ{4qil9|P z)Bj)#5Qgv1tPhZH0i~GD+}9346tKx98(Ij1qw9%UhX&@KlPiWFE*LASYiPIgOvlw@ zQErjb>?ZwXe8Zie8y7WoQJTEsU;^E<^#f)x)A>b~r1T7wbOYE3^A}(J)k^%IJWo$g zTSEgF*NBWziz)thVq>Ee=paTR+b2RPA~Etm$-bDmH*Wh(OwH6(Bx~;E>va;}M zaCDNAWMD{=LR8Qp+FM(}6IY_TGP1DJ5ApqQnO|`EdW_zsR+01Jc883Qbyg6{1BH$&iGX%5Olx>CUFBgCYLp&NG+fb%f(y9q$Xj3K zv$R?xq)x8cca}zm!((C!a)iXb!Cw%;ZDhMXyRebzF53d(TTLT*It)c*KfcT3~`)Vov zpHExEKucRi1CRg%sJc~)0Hj;QC`F&uzD5CZUw}!RlFhLAD4?d3r2&9^UsD>UIvx{< zK8ijHDpIlG{m*_5WB5(N9emv`t1;07+v5Y5Vq|;O)Lm z5I8A=9E6zUmH3ckt(*<{aDSyB?VuVi3;wqP5ImF8wFG(ZoC#W1*4hS^Zv{wJ^m!N= zLYfleZyHRrPgKuUdaE8&;4q}-Ws-Q)0pWJXD~P#PvGr^GI~ zM6tm+{UDmYZid4P7vzIkk5OAkyY^He2v|N1$3DBDwocNvK%{7wmXR-5acYpxQ^z!4E!h!9{Tw{ZuQEAQP{C%uwZL~W zas03z&5>L9a@gjMJ?pLn1MP4e{{H;w(BlQXkzdTweeUaR1AhJ#GOvOIztKU!LiX>z zUL=LHro+!xp$k_PN=hVFj4 zII2ha`!j({^$&A?&AWYHomSF8-jdnY_yrG8XH0u|vJ}FOeS7mpOrPs}J9of|$(+59 zhQizci42s!npBmHqgN?;!&a)4SKWuWmYLSzm46pzOQ7q{?*T-WGl)L|f>#3~R&nvu z0^knUvGQK&kwmgu6y@L~F&~6zV@yBi@MkulIu)NvjrqJx?N$5lV8Emo!#L@j&0ns5 z+}fUW+5(McdsiZhmEDGh>x+EE;ZJ#IO+Y)Y2d0$EleW+pL_C^=#y(|dd46%1+-umf zd8eRvzw8;6U(OUx?Em8<);EFAx+X@QZV2VRHD|b832-{#ga(3Bx3Pz45MB5peD4w! z@|$xgNY<|h)aK-qB1WL1RHD7Y`sF88ijfWJ6@~3)qund4>~ldH-EaD^4vLY4LE)FY z?d8AQ1rp2G3iBNFf6oL5AA=c|QJr9Ns3L?$64A!tePhd|^hNK%r}ElN!i7euvMhkT zY_z4b(At=6QdVJ<(hUPdg2E@>Mo}rKvv)no4KXGbcrQ_xD`^I?Bbw@+@P*H`K&=cF z@H*DG_@ehfpCLw}lLoO)89#QTWu8*j6I%2>BBZW=57abBdM}%wx0PvzBk4!i$Tyi= z$fEScG*$55`cW%LDOSqqDm-&e_sG@0p1v=~w-qfo|l%ZG@-db7riM zL>O;Trx4w9m*0fnY*?zPwaIPGoHrgHm8=|kKv1xLPab9}AN9Yy>gO_{qsrmXy(DNR zQn$T(YF|EbDcfqXIM?36uSwYU6X(PzG3;mhG4npEspfv>t%TK%QR<=Ar-O27eoIB| zgyjsqw)W~$V$Y)ZK%?SKxt1>QAJ@M!#2&(^=NG~kA@~F=cP_?dgUdhnf#Y?ZQWf9nxJqfdv`uBGUMzolp;Yms4m3Peo0M zuFxWpKk<`UPkhEUHxM;STP{FID1Aw6CRQD!E>W^0KXVo1f^pLZo|y?^e2uZfp5CKn zTy(mK?5tXZo$&?EBQ|hRj|7#`!^KD=*q;%$Xr61XpacYF@xQ%ji{8VECq(_P)S(I z5ZKcOl8@CW%dA3qMnYgWuIuqhX=-4Tz%NP!-_+o;XMV!oUs(1kp!vr#CVDzL#%Ig^ zY3f~#Qi%Sq738!G%*-?lKxC(ifmc++k`yBfBm*K6V*SFhhB~Ht7JA+^1sNpY2pH+5 zaoJc{zkSRmz^5gyuR#Oco`cM)#MIF-fT{y4n`P%FW-KRAd@G;%_I>gaT{V3$-T7F` z%XXh~7FYYTaOGd@qOiOQWZcI7`2+l|OjT!>%z2=RzzK`^RehYNKCTAY?F&14pMjGZ zM1OC((p@luT5Mv33u&g%hl01GR2TiluVL}lv3<}MVU{anQY|(ul^U_DM17R!g!ydo`I&e zh5_UNq}atW(A=8{UV5685s`#*0jHXH4M+DG0(ncn!^9zv^W1>(?0&cO^bNVG&}_> z{dT}t`wLDs%d0@fEqwWTZ1hZJck`aJJU51>Mk*pFhXIKUwA2)nQSM=ca=oF5q8ljo zqCZcyBU-?rF^V%pVnRn$Km{E&^cIs*%j?!BsvRS_B0xdXlq)E1MdZXi(1~WFj2XO# zXwgisN|wRKC`XwUA(u&y(Wf_-*!M6i=E*5t04J?Q$LTxcA!;dBjve;b4H`x?<@4Z$ z(?UQ(5vjEDNX{}$H7r~G)k0xp;;59N`9wKH|L~SVg!=5uksa*5{mquL{pJh#y*ub# zhi7PG@fXqjY9(tQA!!ao3(&=R463(4jWw9~71-SkV?yf3#3F-0N*4kTE2Qnzj{@T1 z8qndXxTM9htMzlD#BWSBPOP*Ci9>(U_9K||&#iIcW?m-}ai=H@JTHmrEkvbvXNc>) zpHSO`2e|{t1d7g95L=e}81~EZSn4wKhI}-Q#_FHvrol9<^69>pEUW2I&Jo=1Gk@w1 z1szpk3rZ0dyaFkm(fK44+&>;c#$`73a|%Zcs(o$HsFEW`BWGELD%d1KT{{w*`=R*; z!F+WLt%+~4Ee*%<-x*!pQ<#qrpmx^^`$r)3szOK1%BPR){DSA}%!tghe_Il4<+9$Dnms5Lwz55g~&uhN_fXqJ9M zZ%KFt&07rv^Tj&(`(+^$B-gkoAY3>2=Uh3d$IdkRVnhRVjGEO3k!(AaC}Zn`Px+CM zg||sG%7jp$&OXmCqiar)#=8t7kV6s5JEpr2QwyF|Zvq9-d6#otj@FI*0TpB_uv z5~42Rm;bwk3uG~*9|4f2IqnAs_a9>>RwE4MI6J^~Wr(IRx~i05X&^2O(_2WD)EnRH z3fS+ZkaRRCGvLoH5atJq=Qn@$~@{lTu?`&)kq~R z-+c!C;z zoI9|DU=+Bd@K6p|+SAlYzx4>~0hrC{ZvoyMy<5WE2%u6}>ulc)(ClST$<#x!DZ=ji zim_X&h6aqsVbxV`^caov-Y72GYPRoj*n{B{vA}J*AAR`TJzrsQVukXhau&lzx&xg& z#1-QR1$76RL<3tWK=@A1Hcf0Va(OGXfd}@jyPSE_nlyDweK8c1kox7wB2K8?np3LO#3do0SqZ;$X z<*D#=lxPT}8xyG5enYRc^>s*PZ*&zj&NoOs!&>2Ne)E$bP%#2zCw_9myA?rSZX8l8 zY8@^egiaW$8uk&6wMNHuUym|;g>>q_{J ztT8spve>QlVupFrq^2_kaim&W)i>S)iZY<1Uj2|mMGuB!AK1hg3_K;TJ6T$_R=BM% zc{6gFpXJ5HABnBm*aR1?>p8@ywba(h+{1eTi4`EP7Yv4iNiW6lgv&jZ@%@^JT z7aki|T)&*8ZDK++@7e2npEeVt`{O~F80H^te?fNMzCctgq_;k;e^;fkz6u)u{Zxmm zEtW!1fso<>*6mBP{qqu|1iHU5mj=Pxu-lEgm7G!P&+B|7{BXreL4*%?xD5#nKcYVU zacT=nu|sgadhtRDo-^LNKO-DeVG{^L94FT65`xw<=W`9d`Qvwsg#Pz&`xlZUieJk` zd~yl%mr&!O(kclcmv~ioGh@v{!c1ruOv#GF-y1gr-Y@6!(`~xJdPr2!diwW@G$bMB zeYfeinoaPf)&-23>l6R?Th0CM@cT1H!TNLhVto~5T82OKQU7!CIwPkiZ+J$NnUOsb z&#(d*E>BW4YhsanPt5pf#p6EuFt*CDFqD!Bv<9|J6NaWY0uq_mu?5LUgkIW*ScDa6 zMF$4*7Z7~d^=GZxvhoWn_2SjHX&LM-N8C$uV*6?x+>L%M@Y1aMg4W^VlR)@)yi+xF z8?EKUVA}uALtb10RL`aBU#RD@{tdEZWMrzQ0hF#Z*Gm7}r5+WLq>v?{>KhX87gZzy zbl)Y1l=_CLrG_Mw{-MmAK?gE3Gtts91Di3PGYRZnf**9rm>HQ`>4apW=t&xyNlCf0 zI&4x(^0-t*Ua%0p-_B7Y+cMh8(V5n>(DAi`Re)Cd@}eqNE>9kNCXW1t!UgNA(9%Bq zH~jKH<`Tr=F(^G;0#NNl$D>gq4>n*z%JPoB*VmI|1+KXBwNZOG+{&PY%4LxiCwd~V zrt0Y}`t0K3vC<4eYa+Lcq;$AfeR-2xqDbihtR~T}9u{M4)IGB)0;g*l-Uo+oVTn;_ z=L6icK3S9_cP7gZy+=c@I&Z|8P@0cGB5)w@Nx!r9>1JbhxW%GtLz|oi6VsKdoq5kv z09Bj2^fEKb4{7_nW_}FP%W_sXS#iJB>BW`qw`MfopNS(}e-X#8;!%J@%sP2s1dbn| z+<4?uF3Zf*?e-`WZcrp37X|D=j9O!B{2$$>Cnn_jED_Fy=zAX(6g43hdjbL|a|pkF zVl`i9;olLAL<(spZ++(-+t7Eud>VXO25V^-JrD2p1)jAkO0$&M#=ej-Gq+(w9&tgi+eAG957kC(1fhC{7raIy@F zJC13DyK}hDuO13*6;Gy(y$Hg0a_E72O*^0iTyuKt^joX#UOln%LIKtKs)D=Xr=lp>DKhOcb7-N}rZ330uO0-|U9>q|Et;wG?f&ihpp(MZt-7*C$>Lnf6GOgIn-lSGXOq zg1Z#n<^#UKShrxcNzHA^blef{YcA2D1D0(*DMiS2HU1BC49Qz}2FSZFh7H_u9V(o>+3}Pm-f=Nc zxWEVoHKB}_K>vmS+-60naELyD0PnN&AQ#EoXjqP3$zLd8#aD{nh=hdwgdJx$ zmU~xXc5l_r=mTZdt$m>ARcJMk6{yyvh@GQWR&hg=G`M%hE(md_^He;0h@(4>Mazc> zSumdryhuvt_}XTNg*jh53qetlxwQb(|Ke6X>#K;?GD7_MHRrib)1%5DAbMz+suiin zlhb1}qmks}0=2d-y74Jn(Q^t2>B5eVxz*Ij|els zA%ogoT(cFxf`k&3#QZ6or29DyVxgfiN_&Tl6h}=g z(#n3S*%7!=Q3fZj8XpZyp4rx>&C8fn8zD53?j7PM4UfvQsOVBzLt69nu;q~*mSX5l z>TdX0qtrUqB9Ee?trxI;Bv&4;A7HTHUl{xQog;E ziCx5ULvp%yqijdVInq*FkgRWQwj+YH!)1qJR@#f2)ouYjduo?PkjYz1W~Ht*!Z+k! zyavYIf`T5aL*?@uK+r>^aP<IKSOu(+f8>}~WhPKrD7WQ_Z4L{jw zn_3xJ|M!2|uZBZv!lKm@6N3NoIf{#?ReQ9^!KYL_)1W$NEMU{t0aGwEXGxuk$i zzHhZor>fu{T4RHrS#4bjBp?ch;2qGj&#Pv^uBqSwdx7anX zuGrTz`C-!B@3)4N-?aoCY)<*vIS`$oCD9*m&WA+#E|Wfa#;vlqHr_9*B+x|{)6s~+ zq09lENOLfjC;*m0y7dDldyizzY}d`;=OjWXFJ`eg#JSoo@t%FmF)z zmH!baI~^n;^D;6-FCH>(X>eK_idLNp(3rrqGT1H#IlkizqtR6#O$31kRXVyqFNoyF z1j1rm6*n#a7zDYjP&@PvXQ1{V4m}H?ag{P$geab~m@z26u{1vt(U4f@9=cSXGY&*Z zd}>J*AkuSRnOL5Rg+Lx8LLl=^ViB8%scHE`hi+zWjui(it*Vw20_P5;1UhQapCTqD z3i!eZW{4(t{4IX_L<1c10|x9I>^v0hTZF-4XwHSBx}t~_qpEQvy1|5?laXlND-?0K z70zE7-Hf@I7}^cqi_C=-cQdp@sjK}shl7(mV7R5=`6&ANd_?jSYheZZDk}q1!a6M& z(F)H8BVPeRa^Hluug7C!b9JfEFfPA1XmQ*YrPjq0G`|tCS}}fit6+@0yxhAp-Ps;U z)UZ{+z)ZzLO$njS9`aY_gBWD5x`)zLtRrJudJ=JvH}_)OP#MQ&g{ zzWvo^yXtmQdITp(Np!Q)@t{rIT1iLxX=J0afre4c~u19+ly2;yOfI`aWo6!E zhk(_bO?V5BV8dDePKcKye1nI=^O#cW{(ErF5s-nyl^3;lpAvN*HsagNh?QvUF5HIC z3;C5cAJUGl!jU@`(fAyi9nXnI5|PT4F`@E5`a69cC{{StB3kY)(8`J$6$8^h?B{8| z$$eKibi?p%EJ>S!bi%-(?q@Fb@|S&~e)*!~J|5qy715Y|gwRhul&KWAH%ja@trg1w z!n!_fM}dA$h*UNLYfgm9cAQyu1;oFC@Db;wKau99yq)}+4YdyY$9a_J7-qxm=KN`JzC+WYz)qq_LdDON-yXESQRkbbr2@#Xe2a7C}S1P z*r-X0;_8kR!q_#2cq<zk!x*A8v&S}hS~eT_I_A;3W^v>HA(W-zE&I&nn1{DB^9k}m&Yd+WmTYn2c5IL36gkLN#0&G%sd7tW zR!pPG#k_ovOt=VqE%q)6%ZR%$ZU#pO!LF^9GIc8@X4Q29R>8LhS@L~Fgv(=}h9*NP_4(wR#8H z_>Ho_zBw?q2m`(-C>IxA5K(AvaHkUw#}={1VzS)2WJZY5opoShNvRZRe9lJc9}t2D zK4HENz~BLnQ1U1?s`l1eo*Wk#0$S5 z^OPG`D(ux0&a(P)t(FO*if;^H-k*;tvCzEQ;qe>g1@PbjqV#UkwBRJXah6{3mZz(}As@7eIII)#HZ@p-=z zNihLFL|Vn~eOlti3;P~gPJ;FO{s{=EyjF&@TZ4vVZcKzGV}3d7*%n&pmE#7YQ7YPD zuTzREOao8g(8_Ym@SeXCqZuPzB;3d&LqR!U?6q{bWiE-#9|)pVjsIxK;6|-ZT6}t) zQ`@s!xBe^bNDVjVmiZ2;wYahhXG81Y=IQ)0~Wq2 z%Lgm84v_ntzBw=6X`i5RQ@Qhp44d$HNp|w0qM@KB4f!15G@Z{wV6!OBpMC^cWX@fC z)l{wZc`6(E@;C&poCa_aHJM-}juxCnHVJ+cOhuab#^2_o3RqxO=LQkDD|^6y(IZEm`(5(!T)L_>7U7U4NWx-6JVM1zYQ`PDTU`{LCLiu9~rfS!6!3MtNgyWg_ml3vh%5=mA45fm%Lwl9W8s516oRpce)G zynWYj0pNH4^X3Q(&8s~h&7uFH{Z&%y{3vNUpp_`_!6oz(=qnbrG1*Eeu8aaPPL(IA{b7!|{{iN(Pg{ z56=&+@ALiS76$gHu9+;{lqMPol_8Tv3B5~1fss4LDzen(o>lElR1QiWA7^>V2>4HM zbw_0T(#0T(ZNh%e05*y_15^A;&E2+tw>NEqI|H7tO~C%er+dAt^B>05Z(Tj$f*i}F zsa&h2H~{xgq{0UsMpQ(ueG&5{UuMtYW<_6XRSSJlvBkjK5_*jKII2g0+3-7fd;~>` zbhgra1rauuV=yN*krB@o1S z0@+CZ{l|;hG4`56M=eeF<3dy+Dn6r6@>~@5TddaqHrL*_5$2g3BJ>yLzS`Dt8-2bu z0U%5)=Qn_x1Y)|zXIFQ7PhAK~Rb z9LGq<8RIptDwM>-;{oYNpfgy*%z($y4+^i!NU#jmC(-4Jb&DLOq@H>6HcRB`9fhYb z=n9EYJ%pY}*pX^ns0H33_Rs*W8~nq&{Q5fG=*V&rY{|eU8MyuzMcvlkiP>|*?$7!Z zEHtn7aSVrl?|wa}qy*%MI+09bn@w*&OudkHwn!ote*d_xMRN!+{JW>K)ygP#Oqf7?553o&*l>W0jvAprZJDgJp@KwMvPlh0ST{y1vA{bNE9hxOa5SWpatX z@WD;NQ-E-%yjVK;xgJs36iw9UkHnF33H>Io^)#$L*M6NHgca062$b<0gAPADenI=G ztDALvp36A@#g}~*n*SpO_2zk*4}Q&nd#EZ~3k6<3@FN*NK;>{D&u$s4tyR$xfrBC+ zBr2TX?j8liG)jOML6U#Y!0ua4QUih2>bof+PDVx($S`QZ_yLnn6W(may!h{PG*?yo z6uy4-Pd2s`z9K@6ijH}2Phwk;T@&|uZ4txR0)niNenBnF2@|W+^VqHr&n~h^%F&t` zbfQ-Y0O`e}&y*p)Q<=J8geUwUhxAKD6D`R1Rk_hmL z++X(P;b1v8k;fYOb zd4)(>3TCYX->9dA^^+NZZLm@F|QUW(@KMYodJ~PQDu`^Md%=EQOYJ0y6AqLBmrbhQ@Wmy z8HRjBd|!bC8yUyK)5Xc-C{=4`yp-#XSvhvw`tmQ({UEw!1?B^2Y?Kt$z#1tGE2fCP zK$4lKC>SDu1#@G_TLtIA)ybKkbCQJEAy6DF7>KbnLNN_6U3z@uJQ9WMl+TIJRV&Oh z$!y=GO+a{~9EvPux#b*3-93?{28mEjNoEZ7-Jm>0cknAx4@7uNM)Msx7KI$olL`O9 zK_@PR@X`m4r}HeJ9cTU4{w43>Hjx+`sch2#)GXgowD-i>D&Jk-ooK4iD3G*zOU&vW z8%y(;V)fXPe|8*@GcaO^>Vga%j~N1&B`cNw>_Q`SM2k=dp2E=C^R&PnCx|8-eHqXa z1mH-p@TK^sHYZZx`swfRNkq@>B36I%Ks6pw(;zbjccG`m?KfP>2bo~ON(YNLBM8b= z5pc$ema-^HnS;YV1*uHfWZL5tSY{T#VfUF)>910{%uqL#K%OY-i*JMXldg-+9`?*k zLB>s@Wc}uR4ODE> zPdj-5Hj#e>4XTsWc3-U^k2@fSu%FstHdB+<<5bmlqJyHT*3ltKNX$7Zf@}P%r#j>V z-WMPmVvuptZSB~VE8PTtUwfb02H9Lpu#B5~IV}xqWu=%#6ff(|Cc2*u)M%joq`HoZ z@@$L+0m4zFTi+I!4DjrFK^rC0Gm#g+YFP7*s&x>(Z|WTWfO8IY*IJ}F-Dw-5htzsC zgFag5j})}@y-eAC7+!o{P7pVJ;>of!#TQ$LX(H^Cjac6HP|CYiU5y`g%hTm|f@Pna zyZjM#Lw?RVblUizlz++v`H|WHYJH&h+A73+$lvVT`CyYNz3=$pZQr6iQJCIOkI@RF^!KlP6nr#y3U^h^! z-Tk{vb;usu+sp(M$gJ#v+ed>T+>v(2( z$M)7CD?watFy+vhbnB~qlx?zt*f_(TT6^Tp4|wT7b6Ua)?)w2xBWzJkg=FOGenvhh z)w)afv*hRUH0qd#T*p$YzrH6BIvwM?IkiZv=D|B9-dG*DwuquWeRRsjQQo}WIQn(- zg2WHRhek9Ac-6%I{HoEu3bouq2cNNs;PtPdUtVB>?hK`;d?cS0Lkg5LLGZUo-z(zb z_IvShwEQn@zhTZTqzO*KB_CGi27Hi2?a(qVU)N7FYneg!V zxej%Ix`F$cZUZqH;Na7w=l^H}2c-VQb-#1q|M$`XPtIxjh ze!}MFG@Mq{(0u2Y)`DY<|7TQOWIFRt=}@nWdJAFYi(GmDTy;D3So0fQTcUK;S|Me0)rw{EU4TE1M32_XwB zA9TJzrOWs*t&*OJ9W3ej7`m>XGHib%PX;GUro?PjxK$o~Gf$8wqD`oMhqg(Y`@jmY8?H||DEYtTg4o%VcnJI0&S#UQk78Vgx(e77=+ z-A;P$C*$*|&%Jl2nJq6$(lKWAZLds^U%*LaeLB=|*Ua`;I76$_NWvF5Vxxy(`qv zX?gor_^kX?+nBH%51kKi{K-gqV{RtQA6jjuS>%EzLRYd?;DqoC~^Oo!iq zCwVRvSa{%Qau>)!0|n*TcysNQ~lg zYgeseLy~^#fKVTj7YW{~+WF{`&O%6_VhltEd+D!UHjeLF&myLoMoh-LhV|dwH<=M9nu`f#8RZD8ezf(70p?ww9S%$Sf?H_c%Xm?E#GqD@ic(a9*^TILLS z-vee~<(v!C%%cXX;mL=z>YzQMVGe=7bF!gGr7#u?fcG#*B$g7f$j9oWjdw&hyrw?- zDQzyw-60+V?5~LgV(H!E8WIyHBmmijCKMe=ECQXq%`l-FbpLJTfdq?$Xf4A)gQZ0{ z$Q=2!VFh_Ntr1mPke>N~X0#I7$UoVH3<1q^RQFqQ`MNtEgGpwvn~j8k>c(0^gE(13 ziCjt6S7PXaG<{0?X|Y%|LFRFY(=Gx1$f<87S|nFw4Nt z$GzBm5qi~%->cN08Lk+Z5)2k|)LtU?QBgkIsR?Xq?3N% z!#lmhFPG!e#!vu~+>L_-E4U*73b5ZUX z@v@0M7u~@n&Z$tzSifpjSfHj2$kRF#n~)xA^O%*9uHmDf-IH?6x#KE>RSmE)&(iObBsnlWoG9kwt)L^ zWKnl?99V=8#h%avoz)jsfGs{kXzOJ8?Cpm8p7P z#Y0{T&SCqZqs{NfPxfR7{caa%tilg0mU_uXVVk1*IGM3ly_cPdmL&&kJRHQzp_E2q!X^AUfqI1DHLD8fGgCJ?WXFLW{(#yH$f@jmIUDC{(~A!U9~NP}(*8 zbiM3RzX^;hDXJnnJBxznPe2Q*`yD($OnnXJikfO3kw%j0dp;a#8~My7 z0g%XgHjc;mgHN)rZFZQP0i4g(fEqR~iDOBobDUxqgT?2}V>NqTzP0hPFY=rfCa^?-vJr+2l_PZs1m_EXlk4x5S4fgN- z>TDml52p>Y(s%e<&kBS=Yy2KNL6OMVwV^y}KyGtg=uyEGb|< z#43rbCdBL#8B?ryVvr3-$fAP_>kxdZU7!?@wWjY;!HXFa-RwaG)O3)rcgoo^NwQ91 zU!kN_Ce)m43`Y3R7z{OYA`~v+uf35aNC-n#_uxEgEtxHXO2|;Zuw{aL(AdJIIwW*$ zcm8s4wipz9czTlZDTF!9<33vypBXlfNk34Xkr7x$ir2G!*&%NzG-+N-Ado`0<{$A7uc+tK4(Gw}# za#)d5Jo6(;B+{aePdn_qrTEiI4Vlvkm-UK7qB38BfB~6oF{4wX&)~S8KI26XSEdy< z7=ifM;@G&o>`rXVJdxz?XR!Fz$;(Y6J~Um1k#!m$aY^6WX^W%}a(w~?m$ULVW1Yzt z3#^M0Y20h1TRR<<-s{lUH{ENtX6%@ygRX%U2daeT|IjAy8c}(_G z^Sl}gK_Vh>NFsK)qOonQg2gN>d!HsBJ}jj=#Am5cLXV%Awe$2xl=vf%W?zos`&Slg#9v=fu%{ymyz7V!egA;v#60LFfhPDWiW6>dIpJdDK<4|y=E<~ znr{4pC@0|Ii$4W$1i>GbbXe$KMa#7jzvYg9a|b<>YQ)^u_&`cQYHRQUMbO1!c#>m8 z$sycZKu^6$4myS@c5IIutKsx|nk;vmQ>A?PrSc-g_}sE}5UmvxEq61S{!5WlHQM^y7pKGGI%@y;Xz66Icw;RpSWpE&8@c1zEd{ zJ`l6As*AOP5`SbH^kvb<(?BkY@pGq%Ce1xd$-x2SW~f;`JbpH`LQ~ZJf%i9D8;zf} z?P)JxBld)$=d0s%e-SgU!tK!iy6Pe5*V|mn+fS4S zQe9;^DClAY?F{nKUvGZZd4t+-nlS+?Nc#Lv)-YvfjX1#;2_5ZxRt9@vA3eW3cpMkk zJySMO$0Kj+?8%h=E^_>gc~(+NtV>O{y_h-y<(uzpdg@JvhSn+9>N$t=LGigj zgm7wg`l&dY$82p(bZolh)Dv#4R7B4tI6M3(4#Qv`)w+ww1TF(6ZY$T-{BZ7XfU3^- zE6Nu4Apec6%;ggF7XYq!_zPFO3Z-i!+ru_9Bm(ZKttlF&X;kvH820=ql%K!L+BjI6*K8s5wPqupMe3pvu1E@U zV10;t$Qnhmw`g*%UF5K|I$lGR%)WMlwXbnlzIQx={hZF#w{Xg$tVARpOWXIObJq8% zpE5|1by)E_eyFx+2s+kaS3}(~e&E3{t$NjZ=w&7g_fR5z=7uQzg~MJ2-uB_+LC+kg z)n|th_S7UP$F`NKt04&FbUD;i$K^>xy}Le~4GO9_yyG+;v6$e4C^gc{nlgbYwM^ZW zx&5B!6;>*2rhBKm2kvFbG9!};`(Z7arD0iTa#O6YGVQ|2ZNH>^T>cl|?mUNfSt?an zs;{M0r+qsmO)SJ+PfY&$B|#FhlE)HTkg+WF;}FukF@p@Qfq^a}%$Ga5STSk*hcaB% zGU$Bkw~%g#3R`0=t|!Gcfw?l{7uX&i>qLEN>n9#J)c=mAujGmy9RTP2`G!3U-K$6) zaB1m(bqF&oq9l`A%}$NTAtCUPW(*kQ`I&}(*3IQJBfjHp^>|8Lby0=LG$6x6mjp7A zG{?T1aGrtvY=I>Qo$QzA0mAes!+)9Y4F9cb#Y*@PHy#g0fnn>ikiJYvc$+`fc=F!P zP|x@@0|8|UZhYLd&z%k5!5jC&Een2N4 z;1~c4{vqfZc)%cqz-Up-!7T7&Uv>XrFYY}-KA=BGF&=FRQh+4 z_VBTv3d?BTJg~c3C&nMC!U& z$#DLNt zt)yuOP`Yv*Egyq7VT;=y73P=A@Rfk7AssfL6E0Cnnu{V|l;|%nPpQ$tM2;i|3wN}y zudhEl*lF*GvkXAn97P*0&puDsQRq^LDS!HuXhmO?k+El$AjS|4W!X>^bXPqMXa37g zWvWYSPn$vSq+>kBi$^Y20%}bRr>aq?&N{-X__CRxE^-T+L`0r+;X%dgi$L)En<|pR zC=)4M%Z<`8dq~`!&iL3hLDa9Dvn5+1vLxd`6HycVK!bEh0+@TBE&bAVb+It8P9V24 z#LC#)f)yY^C+#o7);?(4u&rjueay%w`oz{7arz_GMa08{($uPWA(=ick0YN}klPH- z;25MQsq055;pPcJ?P@p*KL=KXmaQ6td=VvB3BCRx{E|zLGKq3kjz&f^ZHozc{i5+825IsS+DCRZo+%kxRu-m*#A38*#hOGZ^GG(S>x)g8&S5=zFRxVgT4T_J$r zsNOp1D-gi|fjB0mpr$GB_HKXA(2ciCtEh>@PGGf{Aj)&U?rFsv4mj6sA3{bGZltkq z_Gx7?>c|NoOkZLkXAhQDJq$PGrXgE+O-41Qa?I!BHCMJm06SWYB-WN$UXY3$5M+$f z^-Cs508fa%5XHxrJBzq!Tnvk%>vGr!Rjur}7TZmh(A?$5fg$;?E1naj7NAL~RUkyq1U zvyLy9KY3f7k@`6-N|g_X5zwHpt`0J(fYyEK5acL5OBK)l#iOnFux_`~23D)=`_rC# zTTN{v`J7cv*#t%v%=QcOLFw6$u6-fX@Fz`IsJ+f)L`kCea5lsTs7>1bU}L9wlbF^I z{22S-S=ouK2xh}Zt{#HI%BXKoE$qNT4P<%7*+%zQyQCs^Ldb)NqM1$4bm{c&|T79(%qdR(%s$NNJ=9h(%mH;0uq9hAR+M$KIc5|dHBvj{+R0$aAx+s z_x`QD?zPswSGxao5jy2Gu|)IIa)us%veZAO>xPau#)W@}gz zSaRLSODB4_q6B!qekDVmg=#jM`0k$8D;qTLcE0TG^w;Uh!sc8U8btyaRijt!)$p;h zFnldO#s-bR)9`jiBK&vWh{S8c1UKh>uA52)p>pC`baNFth)6Y1Meqizsim2S>E@c{ zt5vs3tR>L%+HX0k1ZRd;O_)~`yegtRS=gNw$T2tdiI8BmPmR1H(O^fIY!MT< z2(7}M{YHc*kbLWTrWJHB55LIl54%=*y`u48db0rZk*&EPa{W!mxK`D`@oxN0G!lafEUrZgSC5)^&jm}Ws4g*U26Bh<^x7)N zmNUh>{v4Kz$)UOs57KPz#O%fWR-)VYZ4d4+Lf@MIZXg@?gbnj3ZLA-;Z{&{I4(fwu zm@UYjToMSA_^lyGhy;ygtKIj~cvQ~of~8J`kk(w|{fq~5_UE=!(N?AtRPRgrOe+2_){sro z)#ChXwFj)+pdp`fzpY- zK_PJ7aej7s-xcUxKK=Tzw*V$+9HA7OtxP7qedf)>-`(aF>^zpZn=#$)^TJ)Rm|r%r%)TRvVJwjR-h;9VeO-_|bg>oocd_5h^C()OC5 zpccCE`L#@sRnywj?*W*!x{=Er4n37qL_z{PlN%_)F(W@ED+|!4+HB_ExK4v(2iZb6 zsnj%ba;R8c5TI~}kf9A$%hgeZ>;HmpTRc196qPIX27iz)_E*k-;RM2r@&wF}vbukz zi9iZi%m7f^1CT=2=aGS7xxXcmLy(z9BtTifAxYW471Kn`nLA4YLB-T8FYB;>46mdT_wj829wxILi$g#Yvw^>7%b zd_hS5eDju*^-)sxFC^^DYyf7Euyg-A`hKPX35Ed~xnaeB7gdKu^$(W~_A{yWOM`UY z2IN7)1HUza@3nwM%{khO`C2*2nNf7w(6rmCt78M9~YQ<9w5h;d`Qq~pI64FW(GO`oW(%+}Yry{X-jN{D1 zLSV2&0D{x?jV&xJWP;#K`)vU=6n*$b)!GkmZQ<76-It%pJOS6EwC8VJY=C>U=KqdM z?O(V+IuSCG3`&2kR@J?7vpG9E2YYYHyaY&-kNG_rt70yN07psPD-J4F9xTfM+)h|l zR#uOnZxdb=ni?{9j1w3HfEJX-Ks|vf=~=6Swo|g#BBW z$vdEwvc?WyyAu+{i6dyLt&#Te1ncY8;bOmK%vKz>|jll zJ=^Q@_XAM~8+;)?-d#9GIBEwCLrEO|fQt5Go+IOF_V5N~W}#rorZ~0MDbpt3nK#aQ zi!Zn{4Zvv~kaKRFHlbiX+N}M`PypnOiCT6Gizm*qiGI*nBdDFnV21)o;^<4ll{w@h zJmV9nLj*IFp`7hxui=ho#>MzawcQM@0TBIs(#@%O5>gQ1&&>F|kN6y%<4E1CyP1r` zy83_)$4h!_4cb|F@#psuE~m~A!;A8PZ&d z*S%Zs7Ptp+UE}^asFBC#zU>V+;y7q( z+%_S!^9Ga`<1K_Ki<}lSrM1qhEHrB1mm%^$A|{N)|4I&jD0h;?j<#&8`Q=Mh zwQ|JJ^#e}Y6@<+8-8!{PiK0!pma-P1rLQaKKV{%&+Fo0?F^G-UHWcCZJ2KKR!R1@a zSuJGlNPT?4j!2;TarVOk{Cv16Lp&3%A?ZXM4rsfI(5WD?cB znXv46PS(Yj-R=kwPt} z6JA%hG=+D|EuUIW8h26LCz4x~r38esDk_cZ8SV)(8{~gB8^A~D%D>G9I|pmS^#3r9e;bYaeg!jso!b9r zGQM%J*VMI_tkSTtk~3q7v{2T#D#!fHoAx8f`Mj=@;Z7fOmXd;2*f&m+G{oG#ytFjk zoua~#*7yG%&^&^rnHf;(}VmX&0X7?B3abb=j&MB|)jos`4T!<8ig!`~8`3w;ayGFWoP z9g~HbfkisKz(!12RZYmmV;tZ50Obs#Ot2#erP~uW=cA^IIpfc+aOSn|HixW$&GFH0`6uCdB-Y7)_dg~=-s!51-QYtg0DXu2TFiR~kcbNXi zE5^QOubmJ?AO?r4BmTeZYYc~V)!rZ=ubu$p(WC5dAhkgD|LKDK$Kl+6-$z1v`ad5v z<}i>il4@vRAz4Hd)L)c>8*dQ?7Du!7;-#Am+^EE`M2~3@X^r==%+V!`A+!QnJ$2hN z35w1Pv>~Vm%TuC1rgGnF@Cl9;@KKjObCeGroEa4ASWzB^O1O@pUvvlO(J+oBMKlGx zAPx0v)C*6XdD(MdwGwPMM;N$M-;jxk4|kiT{9}5~z(-q&JSu0csu+het3A=mW(Ssh z>7u$LqU?+0EAl(iePQ#dGL-J5IdT!xWT|eKHP(@!1iP@w)x>WIdM8U|v(3N0h)@RL zRViu&Jm927Zfz*pAz;0WU<@(>sE$1!lRpO->15xbs9Tg!d1}fbRrb3 zurgV?ihhB}h>ynCZmef1?QZm14szgPx%wnn52UOCBB6Gj%P%LK;gUy15e{=&vkUx_ z%P2zhd)ycC{<)PKC-709-)3~^zToZNjxaO%q&^p28jS0nE@TeEv?+jdPGdQ`{u~q1 zc=P%;Bfb>vwUS{PwiTF{@rWynJ>|$6M0GXtD5c9ZhZ@fjCRm4HgESueXuEM2r?wi5 z>Na|e9f&$S=4Z$36D=^V_X$az$eV zrmL;1dk@OoUVCRgzZY*MdBS2o$^vZu9pm@vxdAgV9Tu%sYp#XV3B`OPn{}0z5}S;R zaC7w{@Uw7>!1hWlQ*uz}?Yj~Nn(1f_Gs*DtaEgqS4a97Z#e=cbz)4Nqk`48bm zwfu{VltHzs?hdYNvpCFvZvxz-t`BLC9fcE*=+ZvKW8(d11l57 z>tdQT=^@LGHV(u5I$HI4Y|H%f>5fMnhY$mw^4RKu)0^SU0mt(hj(QaLUl<{G5CRWE zl`Fa}7x!TZ+b2xPqm&^NB%1`%3cK&Y#mu1)5k*;RGes7clPJ-xD96Pq;79;<_=yIv z1Cys_c6`jA;o-fm{n7%@sVOAI#dYP)H@Z~}bJq!@ubPfTi6&ymL~}Xs4x?(XR~%9) zj)O-r=0yMn`QIj>2q66X-nD?CG8b&Y*gU6vv zr+bTBEFTGQW;CN>(h>NMbl^m>Udj6!(GWGgVKLJwnB?$y!zoHH+a8-=P=aChXVqe( zpkkOV0hVe_0`U(`3fvDUqG&UcnJPZM+XdF8*?I@Av=KJO$|YexlnAnkH}Mr`BoWu& zL0i%2n#Sgl2k38R?zpJ{k2Sp(Hs|BrmL*qpunoeZ_f~4_(8?%e1)l3mggBv!YGjrN zfLhgkl|s9y@AFWHCj=+uHn?G|X>JV7inBgr;ww6JelMh}mZ!PBE-*bB>Yl|h&w@3v zQEZv~K{x!S>bu>WhHrdQj*4Ml#nBi|AYqg&r7y4Q`}gW&C?hAyyFV-``FwjFpHOIS z=1rVOyCU2_5O7-2=!0}~+$C!t*`eK?Jn=HaS24awFPN#GniaQk#0~kn&Xx}o@a6p} zQXYH{|zf#jj60_4QA>Flr@u&hb^Uh=?5JB4p;R zOr1}5ml3o&Gf-yPis6e=l^#DtA_8hWd&=Bkng`Qmyg6;&(2%KB34_O#eTjFPy% zW0!AWq)EsGccG6sKcOUlXiC=t;4-P#M&=gYmMm{3OTNP~`) zGdA;%l&JAQf2ws&%{B@vQuE!#-6Sw1O~HP|6|!6(P;}wUFX$ps{evmH2nN$dZB*&2 z@S&oIiHkEt#HUD_xt@t{A$9BDHoQ8*TY6jY39K)y@n{qrui|@O%(^mg?J0bq3dmdq1a;w-pr--#>FSpSN$*RHm4G}g z>Om&SkzwVKpCe;~G9XIuJNOd?a<{B%0bRu-Tx^upusZR%fz?n77^PC@5#1j}Q=|lP zq%fRBM9BHbfhI&HZ)n6q;c-jeq(X(l&IlGlzudaeUWZZ1_ANzu;C(e(JS(pNA$o$A z3EQI-z-Cktls7TK;=k}RNFII@%GR}E|`(svlqeOi$6n1 z);rcJ_4E^-NA4(!vP3L5vErkB+0JaU$zSjfR(D8Vjr-33LL(ypjzEKGf=a8snK8`g zy5|Dbsie%Oy=o$xl%GEQ+w8eNVZ(zyOjHQFKKC>T{?8{E+oJ>_aJU-ezWlpkxu$t; zrPO*61$Rhy%;d*u=zyYz7uT&W2JOB#Sz3umq&%H0q!&q@XCLHq86%V91EL+iAT{K`gQ1z;%LV;n5s^`pE}%8!8gbMkOGWPYCU?J<1+D$A8z3-K$*2GL7>q zEi&qSnDHwE-4ld!Az_-7Ebz7qQ|XpU?y^ToUSvO~7&s}Q9dt}**@J`i*B^w;4R~Ri zN$Q)XgMxQ8@uj`fIZ9aShv;&x&nQZxERRUW50`hbIdJY6dZJ47z8^JV7&BRaexc+H zAl}48)BS8g7?|ROUY;rCRU^)U{O+S6y18(hlZD#;-L~rxx|xkL!A7fyHS;sq+Mf?} z_aQRkUgv}GKYzk1KYD2YW={YP7IqFG$9*S7IeO|*ng0v5yN=LFG7QQ~$v`WmhQdSP zhVGWY6YYj_1yyUu+E={X>b7+G%%*a5iZIK@0s#7@>YJOJTiSxNkP1`>_;~46y~r^d z8K!4;hQusRD%#xM+NOLik_ZC{1xBc;t$?AgZ*6K`WcF%f{}2cL5c64r!atkYxx8cv zd)Seq@TH0Lz0->O1mur05S`IQOAy1l*LgI|5{%P8(%cPXtW!^%lM*VRpZ`7w?MRl2 z2}%6vIc@)n_uZEq9#VyoZtXP{@Sib`#x-D3V_P0%U8@NlTIX>5EIfY1=~kC11kP0H zroKapQt@z!x%NmNwOY$Gmt-Uz=29y|=^ z>98DrpecER5)9j;jO7PNtGO0f1z-osJi{UY{x5&@b68qhmT_R1i9t<*mVp+OjFA|i zmy@LjrI_x+J5m4YOUTZ_HqBZN_ATPv*%8j^L1#iFpET$Mm@*C)7G`EaC1M%D*4&a% zsG7^sfME_c7GX8Ju>Vic70**DqZ9-T@PxH~l%Qn(z9kC^=`@vnz^^LU9fCoY>IhX5 zfs+eM07AoczbGgnb3Xp;hguwUcYRtKo@kn+3>1-yJ!Rnv0zk|#O`M@;g9G>wZGfI3_{TT_2EFMD9+&Kl=T zXcmtft}KVInK^()+ac|WjX#GX^&Ot6AyAv^?&puiB@wv6s@eRWyH<{Wy55IET|cQy@Zb& zN>YS1Bhr_e8r4qoSd37`5w=v4ZJl@Y12!Av4R3wTxEOP0jH4!mmra+LMMjVA zl75;$g%l2@d*L;dRYhEo2rJRl-B!01vPr_>m&hL_ST7Bw5}%I`hP!flrMfzFB2h_@ zzjiuMF>yvxiVgPCfGAq?ppmIWngdT*cIO{3Jm8oJod_w@p?JCFW@ECrEgAfrP$FEb zj{3~%hQDF}xCxXYw?iV@TNt*okR-*znZug{$0G2v^gx|VU~MyuM1e;#)jXh1f*Q6v zfo|A;)$)pT<2K1&E5WBS9=^gPfHGaS)oq^U^-&C9xg}G9k*m$cc%dj+JhYNgg&VpMj;Tphze33_s`j z=p{8pvYAV@CZCQ<^hw>QgkkjA#EAC@JhBNTQut-SX-yTuRdO*eLVk2L-0P2J36pbr zD2?t}{t5+<$4gd7gQM136W35*iYI~^f~_lYLmi2&$w|+o-B^>nofY>21I*1STZneE zmurDC*9$g{HIt1`uv zm(Dh5;2J_oZ9o3)i~V>z@>NMv|rC- zRm{xzEmHg7L{>%K1z83cjc1aeYU=QIbfg5pz76%&8>>VCF$Kc2gWlQ5Rb51$wsw2-0Kqj{913{zju9$4|>8#xww@3Hw= z6|`gO$B0^!%6#St<>S+uk2G%XAO5+YbhG`SN+rK46fy>caP0{wA0-qnQOxlEf~aD@ zG7K4Gs;tze$qP{FCqp1p%cTj%2~$EqVb?^?&+m$6Gm44#RJz>N?F8n|qB z{DeS&fv<|A|Kdgo35KZqc$qRh~FeX42@F;I8= z)edh7k}o$n79tL+ZLXvG^kV-45dY$h`!dSmg7@_U$*HoYn#2qcM2J5hwd{{Fia?N@ zo<9K5=L<-Ni>YeDvgZnx2a$K5%t%7b|G6o-&36O&Hg}vKEUvJSad&$QpQsbY*C`Ar z#1%T%x#C>xTk^~#7A=dY-XgOL)_5VEi_HEMhR?N{-_d5Y>Ndyd7!EacJPy}(oxN2U@8Pin7hL7g&a+=67%K1g@Zv9^Cs`dqI+oCm?>*2k`_U28j@eo@cFR3#KKA*4F1B$nXIXkvYb~R-!!Os5{2sjyDb`93=^m;MRCsuwu#be4Dl-5taUoAWG{N_iY1ttKe#6 zc>5NtS<~RGx4?5f9-NOe6YV8)GvN>XM+NrziXafy_fNq4C^L`+InZ4sAP4%5nu9uO zWVrMg;_|CA zJAVSS^N2ec8I^Jb06~0|t3!uh6XnTsUX$;`+(c#ZX~>4b!qXl%2jvVg+9^&2C&ddd zrAQn7STE%}&T>a?$~Z0`aNr>SxSn7Tu&0>cN7;eP$luDfDF`YoMrFo2+My%|VIkeX zlw9UmW~{ew5x(`V-wJru^3bS=roGD`*Y4Dxl2vjg_)@hES#Or!9#9rukpd&_Eijs< zNTZ_~z^ST5wS;#e=}>YkuL*gu)Dk?>OcF@+bu^v;Qs*LD%|Gd=7a&kN*=Q; zflh)Dfd%B%jsRli@%@-oikD{j;oB)Gh&6zlN}1XNukf%ntelDoC=mVZSq1DLGY*gL z%FIL^`NjK;!zI?IB}T#9unp^VaO(1iLSu%sUQ}Vmvk(nKGdaI!phUfM;bpr=NQ=~) z>>otLZGC*Qj3jc=?FJ4ODQsb_$GVkIX@z&l0pd38rQoE zdUd5^R#OA%g-FZ$4{c?m1JH~jVBX317JbGF>G=q~Diizp^XBWXgXph?q*jnC2_XW= zgLsR1Vp1n7^^CS@ho;fBL;yJfZ*x)y6UX(prMS%?iO;Ab01>=be2R0ug}A|!ZMB-; z-d0=2);ag*yzil4OCI|POD<)xlOLg@q?Qy|(}i!3LpuB#7&}0K$e=IEyD+ES!mQ1w zNd67quPg%}se4uP)NleyaScp9U@)MVN>1x|K1&;xXPV@IZzEa7d}4}4xXb5P9frY- ztonXjK-Y8etESifU59ZQO|Ktm>LY)!44LkWkG|6BT?u4&4@VHyS79tpqy%mWJtBTMT?b*r>zVPpW8rSzW(^2M zCyr!}mv98r8V!W!VGN`=Co$!@n=ui)_#|5&o5%NFu5uM;9+>`$pSTSlHv>4`>CCwD z+7~69m0r8cV+lL74PFqc!jgmSjr=-2n=sfKemnkU4|N?em*~{7txQp@u64e3B6@?v zc{^^I$ikfym#`J_=0S}+x7GK7d+YUtG&9GeF1pL`y#g5KvvyDUSOigBFPo)i+7L1o zY_YIz5+G{aN!rd?1zqAe#PDe`z7KksRS!o5K}&BOoRRwn!OCT0)Q#}D5ayKPF5Y-o zZ-WtqGvYod^OC)uK%A|B`J#wkZ-1Si=lcj_J8J#eBFxoZ1djGa)R0(VH-sd!(LX+X zsFvWY01Nu|z%|}u^<)VLp?gB@I>)2#z00r=LMI6h$eZ#C^lrbHZrWd<2@z8ud>LWS zl19Rvq1PtBquL*J_{FpN2ZSK}fNB?eLOikb#TliM+;U5=gAL8(fmlk$VYk zC4!tb&#oRKY;Xb}FTwl=ipBA$^RF}fTkA{k-ub7BiHHi-_zaQYLxM?T5F!K( zgp)kGzQxq}aJPb=DK6W>R*4!FwbmVK_C}(WW5?m!%k9LxiWe38$(&8y895UDY{!P@ z${d__9KGMNdHQ8Jg*MRNzcIKl2*OO|K+sOh$erdDm-M-@%Cd^VyeoSkTf`$#fOzi@ zJ|VQq@dr=;_kk(~>YpS>f}w2DjHym0+*l|9M_EK}gBMpfx5y&_h3$H7u*IjV%1(`% zC`@?{-rN&i!Wyc%?2lr^vbp9y#Aj* zogdtt9fRa#It9NDOAoI?@z6sdPthYD3FBJ58m&|aOFC)f6h0{6tq{M?)EM;G@_ewU zCK`Rt4j{-KPk{ZX)AZXP1Olo6to8R{oqwijk>%*+nWX3$|J}VYEP#%^wq0RAS+jC2 zJyks}x_qI1vK{0LcEgI)+j&_yIFJP?L5Q#-7V=-d*@e&Q;R=0215cScFb^+=8!Ab2 zsZj9%huP}2Og0F^{T=>4+0942cAE%Lg$;n9{gA)s4l2$QU=<3(11Xtefeja%3lUhz z(kRF8QS0x#bN!3D7SA9687yzOFXlO}zZpN?@j-niY01Y)+z_KMKfW6y?N88O14!$> ze5fB3Ttukgp~2jd&5nG2^9*h)kwad3`0zr?<72r_<|+{tc$2l#ahy1(R$-nqfh%K8 zWc5Pki^e$Hc46vf#dLEdk&who8+dd#;(rF@qz%y^?@8DbG8r6?dh-<{vY@&m(0S-t ze8Ctq${8ULijZH!{zB6?BqSuKfR$ym?&Qcs=!5w@*vhtSJ~$~p#uQ0Z5UC6qyvDG} zrFkG8sZXgz+1n9kQQMHTq)&@_E)b+~?`B%OEg2Pi;W8Mj{M_MOEq`j)~$HhlsVEHE80hc5XnZR7RhobS= zm=qzEiGsr4&c%$?LX2jD9vZ1cLcPoFb9xt==rgBcgLt$^lj{I@gG_Y1k%Kz-B$qRD zx_Wjd+PkT}4*aG*2QB29nsM4?vvg1wSR$2XB#MKLGDo!&?RU`-y?zZCt+by?Xg^tw zYHx-cQBL#L(Sq?#8+>@qjxCo;@RcR-Wf>+zz054c&aLi8?1L`=GDWCW&XAzqUmy1h zqOv$$Xw}0%6h1l2c%G}nLI%|&ArZVh zi{y8@psZhK zi3oEd8!l z;Zn4$-rB1oe5^prh7FOEDcMG#lyIWAZ{ms<_He;Zzc5GJDSLZtIGT{|p?jJY2-6AgE|Vs@_jp zfiAmlT?Ykjax%5|QjT%LVsHJUbNQfcbUsY#J6!Kj!i>lSX8fzj3Ym(?nzD&c0eovj zC$0+Ix>64tnaUxhKvsg_{<)DUC+DLSqHtsxbWngG|EOGV@yi&^HgQ%+_$(QgKtjgy z86cTy%?SJPqJWzF5PW5OWF4K<&~UcX%~lxiZ48xR&bg)~6ls;!i0wyKRJqs<_g>f6 z?DPTl%tI@MU(pw{q;g>xeJ6Bhuy+b^+?Itt%`$u!^y-jhR=&Y8674s%jhd>I$y0Xo z38*EvX+rU}O;bG$R~_*WjpoFXhQ-sQAvPRHO_J(Qwm|O_5!kwt|M8&Sni_qX)(A8S ziBDMfM_I=Io%#S4P=gv!b{}*rPm-Q?WTc!{m3lyC^gm8~ARw6Llo50@W7xOk=&zE1 zDqxT#&-V5vHf7PK$grd|gEWJ%nw7D>F<9eNJqt4z#EXY@8kC`sEv8Q#`J-gwKSvI# z?+1+>lzjT{Bc}!Bs_Fv%|FQf2_puuwD(`8greuJ{KP=;yV&pV50}cMZ3(21zw?}!w ze+<43bX^7(p-SO@4W14N2>kzs9*|;Wo`d>5BRgI%AterlnVO-Vz8+SiI@UL~5KOz; z%EZtSS_bcdi=`wkxMKnu`F*7OPosa-|NoEC1OF-s0fH`4{P(#p0|I=@{aSg64cavpkm~z?%{=sjv742*e>4V-`zag#QSbgg$9|u^L;(EHq0<4Y z{>!HE`yZPI_J3^}gSRSgpE~VN^w0so-_L55iuxnd?(=ZktEvM2`?MQ?{jX_P%4lA= z*BUr_!j^f|HFNn7J$eM{_}+_GEtnmNN}?2>$B|`Ot0hJ4?Dq2UkDn3WW!uS9qhd>~ zd62aicH;eH5Ens4E;Ak>^e7a`?@O|T;ILHYj5>+>qLhd3$XzA;OAs69lwWnBBdCi_ z(Rl6*vxZ4cN-FlIhpUCf`8s1sq^*=B=zv}%w5`R8)@D-WypPclVyQQ5^;h=sgE$9? zb^(qL2daKMI|ji`$^M*@&@6~nlOe%6eVll2~mYmmv28t z&k{14qjEfN)x*QtAn#8oM5p4kY1(QM{P1$38*GpQ>!AGj_3%iJcc1tU%DHi&$@(*T$G&6ylVecHTaht<>T5p!xxVI6 zRQ1V=pe8b)7Qg2-ZcPY41f@Lc-O2eZpCX|uq4DQJihz@H;5$)x3an|*tEkPnq)bl7 zmT#H#>BQR?V;561*rPuvLQT?c7+x(x5grlEwIzqwQr77w=?mY5);H*~t<}M}w!DMj z%qO%gTF!J?LjYtg8=;kVy>-qsTmM0v&3?pr@P+Ry=MTzn`uJ*d3u#zWD~oP6;R6_VHc`*_X6?=G9*n&(idB=@muxR70c39cY;CDme2VnvV zU$Q&8%b>GfX4Ilb*!TphB3Ltj@*BehYkJ*txf3QjvKbiCH*Nf)tCr9FiYKocfpaX6 zfvf(o&Ubyr) zA9(M9EktsW6h#++lNn2SE%HuSk^iDDS6mq%DJP{=<3_ab+6YNW{C!Z8)wqJHfLv|D z{FurrK8&mg6u+_ND(OHVZt=CBOzX$1JkiRyi)3_ucw!zS$9A}^644Cp(ASl$sGS|6 zt^2LA7(G-x7tIyG4=zq_%Xz-l8WRw-NtT+gvYhG3`q-i296IHkfV`p_+RGG5->+`e z{bdUp4?n~iS~{eXDSC`zbJVH5yfe}wR4~K7Dc>E_7MVZYp7}gjV#~cO#nqBnGd7s6 z5}QYmT1LLN;`-XBOP>>`BK$|RMHb59rQ}GH(XHg_qSyJ(HQqV)jqfqThqzw!Rx7nY z&krvO`Scx*S%yk_ii$T~jTK0w3fy8~_ap0EB;K6zu&ZfOJ(S#K@KoM*23eNbKbujm zM}zu*pI&SL4$u+MPbWJnIU@hh;@l(U`$M6hUW#7g-({F#0hX++EP!Au|B`4|x#8gv z`T=n@Ykdn-Q&S7;h`y+tVUIwcJe8bJ38GN5HKOzjNJr)<5;BY<0lN<+JqC_y*zfa? zPdE{{9(BJX{~biUHoIl0`eTq;Ua^vfo?Qho*5x3mLqHtft)5{Q z(WvyqEj^f3Rge+{m0VI9DW>^#iUL807Uk?G=iD$`L*4r@#{w!h)5J7ecwI}Yc%0u5@K(~)lgwBLj4vf~MiNEviwkMFXG8u)(aqmUErQ(xY9c>+=^NdyeCB0xE^)a zUHe)FP`PrDgDw^4XzL3 zhsk!ATFUb$;YW+M|AeC~u>KhuX$0zfNQbnfZ}Rm^zcn2q$2~oIWk^rGW%yF$Uh^Mf zFjAhblJ*hu=n;@3i7##Exw4>l<{Ud!DxpN%RQQyus-l=<=KK;*wt-a)YS$c=S~jJb zSLYT^Cz3VMx#H+AcccP%gi{hx{^7>Qn7koU$06psZn}9TZ z`#4(Va)NY8C(OHMg{$4=RwHmpf##CqlRIIy0&+K5{a}syOBEewo4#AF726%T}G0;V}5YC^g_Qzs#EWq+o9Jx#sKx*l46Hflcmz7%?28Z zNwI7$i9gLZV7?v6Do>!c_F=u+1(!VYmM4-%q3S>&P0}(Y{Dmul#fMr{E6xAUje7A_ z^5#AuPX7cG{3ziF{HuNIeOnRGBWvlc;|_)fnH!P-B>X0r9$>7w^POi@v;A;H#sDn8!@Rx$u>Xgv;XZcaIgbF zafW{;bzDI$ho$}&{t74sva&UHE9}O;Qc2Lr$Sz)sO9?OUXrCNk8lLQg6cKSVlyfyy z^0El^b5gXlWG^LxkjB8kfI#HyD15V9C{_rle}F<+l(IAo22@Ab|Lht5GlFMMCJszS z)-F!YCXP;e7Ph8#|NcquQ4HUgLHCEbaX|e`f6zH{?C#X{xvsyLZOKVcYIv@cBlF!E zXcChsA(;0}neKZZF%{JMM0-x15%Qr42M_V#uWn|C{pn?_S{V&p*Yw;YUsdC!q?}t@_Kl><6xQcx$d* z$%u?!R@=PmJ5;~-ya#FkL^ZoS)ja|valUZlbi;7{G2w=h=PBGY>6TRWpMDw$b#^2al6YeDgVxkZ0s}tC;^sXy}iE6=ix0MGBj0?Yez22c=hE z%ZOp(^{q?zE)-Ys3%svYbU3NUoT+f4Kj!CdpZgV_{ER2p(nwg%Ddi}2yi&}#P;2&8V3RVq%f$}%KeZ-$z0hLYvYTDTu8l8y-SVnTv8;Gr zu9CoOzhIvKaE*JJVo@MF3*RWn^lL**`?k2F#zJQR>w8sQ^@7XDGSI!-QMi*0{aTu7`HPq`}ma#7x(OrcAQfz zMl|+Ak+SUdWGb;|t^T5b`W70+(iwZxQXLp&eaqP_3aq$M+mC}P#Yjc%(@{mR$>0`6 zrTXwP-)+Md(`XQX|FWt;qUF3^8vs@0$|golmPP|3<6DBglmBCQ`s>U5_n`lM(}_7H zBjgQw+mbj{!}x)xs@oP^k?VoH16kdw=U1)!x85!HZH*PnSrU?En}i8;VJ>#7h%z=j z>thGQo?nbaW2A)JFr)5zb&GpA!$63w$2#3?xf!^~j?wqi!|iJGjp1#T8f;K8N&}os zP%$AFM1^fxqVRQrHu#N{x$o-1HK$QSt-1VwOOwxa4j&)MxUf{53#!Cd0znA#c%h*( zwW(3}kC}Kr(Br25TaXqxU#JLt*m0djT(}63oXfy-!0I}3-ftGOOf?fQnCF7k?dFu^ z`vytMK3gy!*JkNu8BZpBhLj@q0ER7;}KdFZM4N`|bM&$We zu4FxG_zu_(1hi~mCX#2ovYRKSU^-Ho(;(aUxbXc)V8D^BJi3GCm{X)+Yx&KBgrVA@+Ur(Rp_-Az!Iq|+&v9fVD z&y=g+5#1f+KWAB~GLmfcj9icc4F=x7u-VK-Bu9aQzDaRdG@ajLxPWvwfEv9J0vf>A zxymzkEP@IEjK_D2@#AN;N(;Z0%5zlq$&}E7M>$3N7>f&L5p<+Q1L)>nuPj@~VO1+8 zWq)Ks6ZZOioylw^jz-^)K+Fho0JM=bu!m|#bEgoCUJY@Qcq)YVFN<0Mi5 zt^03l0wHU1*!;c7+x7-Cfi$;gR_9iVsQY!_g;ZFbYhL0HC@ga--MmbaC}YhGC!#>1 z3_X(35O@o#^VVq8ZT0ZlmA3xW6gUSjUrr1|gp8i1wAx|p?#n>lc>2-Ij59FOQKm1c z(u{SS>ZGIZpPMbS){VW#G^6+s&xbsyr9M5)iM{TC>iBM&sCcV_7WQ)9%xl^c+w5{c zivs1Ow(pu^XxMUAeubqrCfjpMoJ73E>m&)_f4$EtpfX1>B)<}-9h1$sMWqoPYyx^u zG|Wlu*j}*HU{q$$8N~O+>_p;ph2Y^{GuryeFUXDX!Zik^KOI^1ssPuY4NC7GxZMXE z`SCcQxZ+pLKUM2K9@F@)uH%#@i0OIwey))pcb>`D_#{VkPrMFRQgdq8IL12QxrV96 zK4(1f>WnBS|BY*=7`svI*jbF!su@ppEzX;EPCmPl)_MLRTEzO0k+WO!NmXqWc$&!6Q5wZC_-+d5%7s+zmKr%M(>RS z-q|J*m`x6a@AroHhZBP>)t4DeO&h4T@Jgp9d>u)HDN)H+#k5^?Kp_PGAl^NAIAhf{KQ)R+SD*yI4#tE1~4cF&SoO-$+sW<+Py?m(@{b{EtG1^`z@m$ zgI^<~P^sEZjEE}950e)ksj($LEtu2Hs}#@$4{a&c^YY{rkqgtRear@K^#Fi}5I^Zo z*P$j!MwQn_7N}BHh$@g*t<|XGU+h#fsX~2F{Tc#qKo9iX1N-Fm8$a$Uu6q={^D0? zDR6C&FmY5lpODhGSbt=Gv+xY~Cd=}CqY{3i)(Yk2GR$73KyJIiZMRm9)A&%{&LrQL zmS?u@fghctvl_@#yIdxYG14hxPo#YKI@haJtYWj0KQ9fu2DQ!1JI}uM0CSIRRM@ue zxRxo<{>aHf$yfKfz(^=UfMCL66s;hg?KPy{s&_D#_*H(hSvO8|Lu`xqzEI0nRLWF? zh*Okv<#HO2p))u2VaW2N)jFvuP3DwXA}*{J5h$ zg9|u+6{w77DZej3f$Gcn+GS@eQlncMfu1n`tUGGjrUo9mR{q<*MIBpbmorP~ugKs1 zNn)Kmf~h<5{vT&=8J6YRv<)lWT@unI;RRfjpfu9mNOwwubayvW(%oIs2uOn&g5I|khp=|pAF1Y?nI+fP+_xN#!sT(ZC-4(&{xK`!1l?JB{FN2mNRxoT zOu!o;ki!Ft!GJW$-%7%#(nA9bgNh?QUf6faUMes%o*SNS`)*i^nG-p;E(TQmJ~r84AM)tE6s6sl-OVO^8*CO-l+K zx&5@+SEBJX41o0KXD3e3omEGRu$zVkEYCfc;3J6ca5gRte^eP?b~4i3k3?!xwX~lND%pjxW@By)_7$&OM9|-}{h0sqPI0l3S<59MBfD}(kB0e6jk&2sljDQ^m`G-_?4*;ZmtVQr zH;uS&rGnF4+qDq@#y^*6I6-$78|?>vZG3((a`t}Noo^tF?QB;3I1`GJjI@aHnVRJ* z_V(7{01|{tPutB(gGYJ|3d{?JXma3DDY!Kvs6+If2ZQZRxZze30&8eg;wH_Oo6yV* z6l<>-%F}%!o(sFM@6sVUx0!6LYZ<70Eh+>HvTVa2oUhcQdDFFgd*Wfz)CLB}5kIpP zfNg>Q#&WxDm}%sza5RAO_#OxJ?t0@tbP4Dp!4)Q44rJIB9Obbjl$(^uJruJq-Zj-h zQX^=zU8jyKFo@%QG@u%{fS4of99hyPp5e;|y*shu7LBT-%6*5@-ck`@bKFBJ5#RH( z)&$1~o8M;0(aitZI3}Y5|Bte0GIb55II6cg(t%~^;fSP8R8x)5UL~|jco+Jx{|HBU zMs%Y5{gyeyzi-&y7wDbkL}0@8w-z2yrAZv)_o^YtV#2`17{imZhX|{&@aON?F<27D zMA`S)V^#c!(Swlm(Jq;n2bS0+^jii4V9_w2717|#9exDUy&VErj?mI7xR?ynp<>1gLkHT&)i-jwxIl= zbG-$WQqQ~PX2|tE7QM5yXdnLfE;3iI?4;;gGxp5DZoeETTG;?Lykw9Y@AW+WEY0!m zFlQYjK@?n6k;D?4mnFRWwsAG;3Q0L}->DTh?Laac$$E?9Yy3sfNeOu(gLJ2b{L26u znZA0~fEP!AJ*j@?0rq6r8UsBo*UOwjrAC)E+`v~pH@l>h8;RRfx21+nh5u%D+ny}Y z{-ZY?{@3?7xOWyCZzh(3&hX#CMb6wbVKb911d&}xu!p1C>vNQ7b=UY8KR8A1wzJl$ zZ7CqSfDtXe;XkQ|e`)iauy#ESDyVtwAwO0?)Z)_W8PyY+ak{8 z6uv)SFWnlWyJBk+eG8!d^H?t@=*~LhzYI&!eO#e1#lSd=h5l$o8`9(@)u>wQJUx`G zog3%ctb?tLS^=|`G0T)prw~Upfwpp%3!230b6|fXM<^6K^;CI!O^{ri>>I;E!IQ*q z7X%tv0N~0qFfRbu{CuGN5^FuPxAKssiT?oX=IDa5PXC#<($0zd;Nv(&1a0A~E2q)& zu5kSa;{5B^&(i)~Se1&&dZPmX-o1y3?<~jt4xUvYCN`EErQeUkbd0o5BpGQL#Qv+r z53CxnmJ4)vD#;*LBBpSoVJtC3|Cc08uf_eBGL7Q#W7_d~Bqy+MX(Q36oAZ^cw0#f;1fkm?`7 zA3<`fFtq#FNJ5XCu}=}e_@_l#wl}1zIzHuNs>4+ElH-sa@R%l|lHgcl>TDVqV9SL` z!ed>GVK54->TXsOU1p0KOTc6gMq2V+Y1@%2h&ymEA)qb!6kSWoCoGCVf~=U~`!G*_ zBM$x5ifpVl6y$eX*+##9G8BVdJMzKT6_p~j+ku^t-L8foJWk*+#Y_lwRqOOQRpa{Y z(>?RhG5kuYs_A)^J6a(=56oZSr%AwE4DR=e$X49c_h!mu<)7v8zpnS`E^h#_$63 z!<~~Tg(jzgF{#@m@D+m@!UeRh^N6a|y)>(=<``OyNPKlbd0sqDrxFhi;VMS-5aA-J zVY0Y&G9I(%$UxZjw`xZQj!$}?s|;NZ&n;1I>4T66(-|j2;kScwC?CJf;5Q1}>Mw?e zN7>1g2o}3|Vh=7nWD4Lut!azcm|C)!r+$u5y6QxN@CIs3cq;}BDxl?tS(}`AKlEu- zeE&P~!8%^Hn#6MsN1NID{>B_tU)5ECS8s_lQ5eT?-xB?3t@}Zt<#DtyYg_M-ekQx; znx5qkHr$Rh&-{5>n3)@BRUiDLkf)yG%US-LqE9W#*sK!KP?os8n$$b6k&B9B(_c6C zofif(g(=mNHjTb{g zm$@*RW1T`s5Jkeoym=gau(rbx>?3owm#0STYc=%9f?5yWXn>Y`F`DCow_M#uK*P{m z^&8%j8i+J?A4hKEG;5RmO98VpucpqH&gde#yAwmPOyL@0P1o7u+2$(y?<|pWcv~co0PEyJJ>YmSGv2D;tR51)AfsOIj0>>j+ox*80OxZHG4hzv?fNDtF8^bLHxks|CL z?xkbw86zGyNlYzVOo>X&^vs-{XzOT$3Q_dZ zt{P{y{;X?ktZk^{l~MAJrj-Ia`#Co&H8le@YmHz#Z3TuQ`7Lr`>ONz8^C)`{;?Hy^ z@&D(bI`BMgArZeR^=e%#I1tHROfDchH)!NbNU%57+4WK3oGP4N=#!chvHb=^1P!F1 zlzatH7x0V6b+I1=%T14 zmmMv^m7m&j2svwd+q?tFn3O6@`wwp&N82pR?mz(C`8|wwC*$|~#}qzhFVqZJRmpKV z6WC1}m61ATEMXdr(9-g*UPcCW|GKD%Jaba*8$tqv@ar{1*kGiIw?ow+nDoya#%%6Z zOmAQf4ktV8GkJFf*)emSR3?Tao-m)URpqdBI=@4iA0xyzBsNjxuX5pNIVVH#lEZH8 zey;vS{TmeBTdhr^e}~gcJrtK<0NT|(;N3~}{{>G(PVx)A#cz1BdEjSMtfGGNnli6w zM1H~ZK~vjOFmPtfaO9rv)g$511yPH42<`7aTe92B_a)N@LZLY3z1S(y}k2>;( zlzW`p)#j_YP|^l`+t(B4kaZEDQv>)o^=eu>`;5TQI0-ZnaX+%<*eVUT>^NZF%J6jH z99jCHg5_0ZWzQ~lCCRZhX1!a#d2H&|2$idV{J!H~?eK+uy4Ct(C6CW@Lw8~R_{hx3 zbSJC#8~Uy0+@fr4`ECR&E>kk3Jd6kfDk#!Nf+m!u^RgQ5>3gqYHldK$=gqFjwK|C( zRMT=tnITBKJ5+X_ZGo+2)YRDE@|RxKM?uj5GOu)w60`7@J`OM#jZlNsm_I@{a}HcC zcxLk9m1X0do_%6?9IXpWJsAhCWZfoO`*Qf93^&YHx`IRLd)&&=@Bo|4iQE^4A#9|_ z|30n)o+b7-?b8kSu;!fv|2O+%V_{}y`yW$+r}X{w{r`PXE&zjB+7(oz6H^jZ-zKPp z7R*jO5O^cwZX>K~DQBunTWBLMKrW6b;mDDIWAJV5EAHx=e#ao}Eic*XAN}U0PwCHt zft*ZtGWFk|&I)E@W&t)E&;aX{V3xfzFQX?0xc!VH#%8;?{bnbkyS$qx#%3=o2QtT& zfjtKGjN}6EN+0+cf2fo&M+iYQg(DPvxv1UJ=QFYg=E1VrQDwBVSTEnv`a4N3LEM5^IO0BO0zj<2hjs43 z&8xvoOw8;+V?Geh$`t}nX$B>Mh81AD!LazRV5YRckHUJhW{HlC#hVUAOhT-mzFo!w zLfO*T)X)S*c~@O6HYuSnGDCYjmp8v5nYWvDO-XC}g zFhu&}OTe9RY)(nzb+QVY+i=+;Y_VIb*B4V_kfz=gQ|@Of0!3N7DPed77~c%pqMzSU zIHcN4t)w)t*zU~f(jz~?LwC!hG^wOJw9$xd2(Fg{ z+NLp=6KdXtzNbcFl9ZZ%IDs7WCT?MtIEwK?(m5bSdyLwcTVrkpLEg=mrU%BAYY^)* zC)P=jb(2*fgrcP)ZZPX&lU9n3gNjfy}CrIOYqA! z6~+3OT^5p_yohlI+6aPjwCM%Z=>D6#H#dV)3t~b6MfDm%(W6<=Z1Y$4FvP|Op1_z7!OJ6Vx>&!wKzILb+iqKsnpAYHQlsR5B{TOxqOV70!v47u}>{ z(J}WtGq;#s(Jx&)rZNVWF%YgPTswEglvWR`zDn#Q4(-{w#dE?V2!Y4bFN$s87)MNX zh~7#zXU}5xNH6Xel%;J{K65Cnua0)@k_g`rjph=k5_KznKN829fHgg2K9F9hrm5I+ z{xmC>bSb-(cm}?u!Nu2Ri_74}uG@Pu1(JbxQdVqs`8xRD)Db6YT$ID9lQb&iN10I3HxRC1ZZDaua|pQJ|&XH_TS-z)bap=%@ z>&!;o^qx3LL= zXs?-)LUQOA9VrJ0lv8A9$$TDit+2KLEtJ-6}a|4laf;X zPd^TbE7J7$0>615y^_`M^@}&lGNEYez&9+3)sNPZfnG><7fu#M*<4%4L>pdy+t-lo zRfQIBb3>3arzkpCL^{EOSb z9oQp}-z;u|E~FI$)Tnp=7-W z{?~mEw{U0X(DGM%D)b`Io{Feqs$QemD3d`WRd zn7E2g>U}zr4~+zjChDXCj(|XqZpo6&6477Rzo9@>MF4d%;6+RWsfd7Zh>K)6DThP- z8_SMQ?qANFhns_?$ERH%@dq*EvJ>)sixsoYYBKK=-4e;i3HczSfjq5nbdf@H!IC5r zG(h}4FO}AM2_4IKY?SMA`6m@V1;b;c7?0(p%+^5lX8NC}=eHy>o1^PRGl3uF&m$q6 z%y%Xi_5&@zYY-OiO>_BEPg)N$^2YU^MgBmh95x$)akjb=(FIK`16!VjjTr(xd)3?% z#)Vwou_suwqU#Y^6v6v~2q=tQ)S;J+8!z0_logc*uJB3@vW}}{wfmfc@R`@8bt2(# z{745e{bDY|`|aGY3{or4Gs2`&@-U#m6jexbF>^Z7G6 z5w`+XevXe~>#ft!8xgzk1^|AKA^`I}Qj=d=d^e5fG-3?Bz-rqY8TH=b0{Y*(GC&d% za)IFzgMEEZm3u`b0X6>roO3faU>zzOGpTe{Mp9BnPyxD3l}99j2&DrH0zzAEz0tST zbt)X(HEJWO`0Nfz8#@#>CMI@vl4(T){TfpC+-20t*h(Y+TXFZ{_6kQY& z7=&PY>y>acc7HV8ClcFTV{AEziyI81e(lp&eHZ6trKPg5)P3#=-@f2=nO9@3=dWOd zenJuDv*n`{o#T@&6A(r9rJgqNtw-K`y+C|)mALSZlMG%;rquXUyh>jPu^N(dz4+Sg zdo^1`m(%Gh9GwUl#fW|e_|W(x&-jS?hn*$lWzG5TebavSv}_Xm`%drW^Cm?A zFARu(R3Eu}4yGncGk!ZlS`%oZ`_d9Ze|c$* z_ajYTACcVa%pYreM+c7KPpjzqX^`D^VbbwrEi@5Q1zMu$LPc{bP#n3iKMr2?dOjjX!B&{;-yj}@yacuVEjPa|2Tg6 zAtGGWlsSucrIC#2MY8vS6(izwpo_8j>#m-4lq2GqNPm>Z!D3JR@`r7%?8}$|5g#l4 zGocll*Gznm6raB5DNAFeMyjiEZ8JUXT3$Ke^(1AHNmarm0CC4t8}Ni48-|`H4igbR zFWo}vq@o`_VU5jd`C$H<<f5J4KE4W;1=MLwF{^?8RpAb{3z<_ii&3IAq81X`3tYMYY4spr<;v9$eTxS-##%LC=7DLL$+N z>94#C^Y1&YE5*!m1NZD6aPOq=z$7047marmh|{TD*)D8t`BQjOavkZA`Bl7z`$@eTLVZh7eik0j*l?%jH zUE$_q=dQl4Yh8L9`12Mo$M;gKHwB;;+ym}?c==6v4+PZ6dL`*;`-^3Ohg`8@g?(V9 zy7Yf1N@>C1T0yz>n8bwWxTL^>{$4E6xYxRxhNA8mh0J>L0*K^@w!W2r*VkH)y9Sz% zff8G2m$zU(&aKEZdH6WC2>5u(Kl3Vj1#0|IkPA`bv=T?32f5hyEZ@u4g0`6UEPRVzCTHATd2&I?_NVSZSt+U&}>P$5f_N zEMNDk4eW^!XrfH$dQ1T4g!4kR&Q#bbg8{anbe$V@*~Z0Fm0<2xb6Zl@m&Kd3>gXOO z{4?7x2eY!WRsMS9`ZXf_-+fzUe-Zr~Vc#MaHrC2=P?y45bd*+XflPE%dYV>r#E6cu zuBnc8PyshoCYq#xHJ_wsMn+JQUz$!>dX*k;bI8lYybOLB(@HH}%fdKsbrY%Z65>kH zNrZ4tO1$X4An5KSS_CWBcHR$hpJ8|z&Q@-hJ1uJ6sj>l>ocA#Hoe6^9@J()w=6N&Y zg-9c5rdGAxlM`4P9O!E}!K=ASdVHFzqyaZJ#{+U5u)7eK1&N7j;L#Y@~ydxq<6d-4bzk#4YpWcZTBccR;?G!k@GC- zrV&Cx@2Sy>F3+XfvN`Qi5<2#G>GP6)#Mw+j@;W$9vTvQcMv|24T+xWrz540Nt%+0{ zOt%bM0Q$;3tbAww@H-O)tXu^K7~}qt!~`;;i1ObZj3~n*lJv4PjJ?2^qNpMbBdwU^ zfYQH*6%PS17Iro!=DM!j1>$@Hfrg}<2CpD=%dNjg6f4y9%(a6h)8%z#rA#Ru!fxGI zQK$4_2LQ!AvOJbMN&i2Plmj_62z;RQ{|m)~P1Yke{`C{s%`O?BjBTl{8(4~^D`{XV zCFRbkv=W9aF>=B|iSspENp}kB7MRN!<)$0ri*=6^c_)!~3m?2WkuZC1!EO+Jr_^Iv z&Iy_!v6a>6xMmp9C7xy)4Ef3H^_2;P&L6r(uC_DuPLf z-&~T42@M_c7R57iv9%}}G<>=iRYEV?<*RiR zmNiN_2*=VF&o!ZvUaAF|H9X$V<2vCt+pe_)Va!rSuuAOX778eQPnKM5jF#RXctwVV zARnBw-C|n3-TMBak(pTXeCqpKz7z(m3B?F7dc8k0`a4}|s-i~37%-V|raYi`<~M(y zHoDyj$B({&Gs%jfC!*0b0=JXviC@Lzj`+nSC{k zG&v@D-OWy0M2`$DPg>Ith0aASkH2KD7~e!sNVKWin$Z0un>9<3k3vfcms*^h!OEUf zPhmW=F9;EgYLV*WR-qi@^&kYElvDrHdy1pW_ehc!VIgdv=2pffilj+gj!nc8^dN^K z-#8HGec5Jx^q4JqYeS3db?;7N@#&PjvmiA|&E#_je%sv#eraBq3g8*FCQ_Wio)X(# z3jC{ZcH^A#p)GH?om7@=2Z&dAv68ZaF2@qkA zPx2;)8Hhd%4r1fJH)|^osz4=&31bs^8TAG=x(R16(sp=aEy8iqQBp6JKt26nH|{uU zW=}HRaVV-x&;&;FiwO0;@%oJSTtjY8T{oV-dbshD8-4EPN-F}5C24Cg_)fz8`*QtapqL{5 z*(ID_bU7o6G-MWV_W2TGJy0jVm{q^@-8et67CpcY%-~t`%46q~ zATNonoJFxb%7ZBpqW}3M#Q!J8hi#+pnDTT6Z%Z60>qx)G!T7(Fz~Jp2CKNoKH!d0+ zZW?%XynB21fIIuJ!A%!;%RMmN$*Lv8eyzY3I&<&7e2^O#V7Jj9=er(^rblC;?VS{j zLh1hAKu6zYxUWI-3WNV^1HJy6*fx>QFI3In!$VoE${2%$nXUb&o4U#PT#$*7iJ{h5 zOV=TJPzU2_hvjH#b#s@`p>_s0Mx^(ZEBOP9KYu4*p7~L(`x5kQm`(ExQ}tU*dd`zL zo>q9l(fJnlTi&BR#i#bB=l33+lHfbZwEf`V&H5gwMVB<)^mp}C?as9UkF!@P0--AK zH@zuHgZ$pRT^qa5q#3Yyu|>u9SPCGCrytA%fvi57w3>@je)B zkW#~Bwp z%L#|N$>GSs9#6ncw}j>w^>|am0hsOgFy@_sSLc)w1*?Ib{QDwVh2N?9rS=;Laj!=|%;yQm zCa?$P#A*9GUrgm$c&UetXpZ^d2_IhCy^sU#6MV(V9K+ruWW0R4{Gr8=4bAwuPmy3r z4!uGAi_U9;PuN=jzJ+-_Z*p(cks9I-D0bN+JrG3au8Mc&29u(;Z!|rq};7V zO=Vx?ath~Ctu}S^whdtAb9sX2ZV{A)#r;CNd*Zm0GXDby3(z4z0|G1kUvWIxWCJVo z-T|cNFCb9)Tx}!1Sht~!+neIu*Ch9(z%0M|IY40L|05J8*gu#=_%9@Q|1XpDtXt#X zm<0MBN9#@kocfOjH$)my@WVQ1FH3P+13Yr5yhlkA&s&%Iv6P)X&pZ|+hj#R4bFtVT zhtDB(dPOl-=j*aAh8kgiW38o97&(Nu6iR?`e|7S-MO^wSXaTtb{PD>Go8a{|XFb+q zXGTRVk&(qh-G&J*UB`dl5|8VqbsYf8J#tU*o%HvgrwicnG&dV%{tuVLjE$)y^O36b z-?u`O9MiUvfsGlEmM}#j5oNh`D_Z2m&Td*>4}iZv)T_aF64?QP6OaiwQHzMQ(vsmCV-jCAWzm4*un%m?HYR)kfvq`5N916n~5klqaI3Bo1Sqt_d`rp{($A&MVztfD^oAii=> zRKBf<&6QNy0t+x$O1wXI)ZBU92S00>0gBiU_Rri9+`P&9qA6Lo+wEhz&9=Tv=S79e zdKB4@X#rm;SWCuy)vy)-Ik`^PvBkD-4ak%UymP6U3-PIWGs+xBCC9VGI=LG7) z%n)noPBQi3de3-NdD&igz}i@>efQJPRf9OF;vdb03v2u8E>5HArJCkkwuITg^Zh8b zpgLR6r5>|LHo-H>{`n#_=9-_Kyw4J%wLlr0GqiJ}(Oq~fBfYkiNAoB1zE=T{Yrgwp zrCAY#rJEmoUJQK}kF~ww-VytvCafG)c>9w*`b;lLilCj3wt?SI{)rsPx9B=<>7PVW za`@1*mygjc4e&l8H9x~mw3@+tXmvt}DJ5&sCO#>n&w*@>PL-nF@A=FKWUv)%O+|__ zjw~xU*4AVnhWG&t`&=+hyF+%Vj&YGKF--y*_vcT9dT9vEa53bn8Y!lw`llTv-;~TG zIC?0dr{9W8)Z6m6?IJ4I{z&w3qst}8)K-%p5m=o{v|7`H&e3-dfnTdqd^r070W)0K z+nXlj8P&Bx&4_5&qV25-5bsKHjcq7`~`Nohb9oLYD+MMiX(QAAL9#R z;DjUw*9*J^)>ZH_jBr;uXw$hRajPVdn_JXZ)DK@}!EA+6@4lPyWjUZ>#4~w6hA0s2 zdiAPc^vmL?t#mr^HAc5I>Bp6f^0_i>g^&K#7Lpc(h$8##8ILC~j&D((6MhrQo4(KQ z_W*t;e{~!9@0b%JO@&2fX}85jW->5CyQ2*&fXN)RzEk2&24}gja*pmtuUHu6a_Esh=fE%uZ4S&ufCGd+_x?0N3IwAAVP?gg~e;<)q_7TiR)`b_E1SNJ$8cwGM zLi4;ItySATN^#juJ^M-pCr2xU@zEOYD}5EZg2CQrlI@hk$0#!=k0*(dtLN$p^3`7!^=uP3P|spe@BgL`zdrq zcJ|?Lp>*BN<<0`;GpjfW|`RHJfLDD@av zjyYKHJKB(J@;xTQuM2l4=GKlLH~(-IvNJHD(_@Db)-=w}2Eo&HLk&hLtcAZLP;u7G z96$wG$hLC(a0w2~Z`hQNd?!(bMGaVS%@;WFSN0-x9(o94tdtLu#v zpLb+GR|&(dxv!tYG#8!#tee^KKg3AZI~lMBK=XmU=uji`WuKo$>a)TZ3@dSTeS;%2 z3&a~Rh`__Xp0~n*Ws`47di0|TO^MdLA>I@2jHq3q-aoOOsKX*Vg}j|EehqTfgmnP9 zKsL)HtQ6L974glmhaq`rr7#;y2F#SKNzpz$?E!(@yRG42d~3R5%L$fGU)_mqHI!Qa zO`L{Rg!Llr+T#dnE@xew6sDt@#(3huQ*x zSs?h|p{#kn@>`F*%jgS#2722@+6Y^>Qds0df}fzz+(KmDV`h27kWug9eC}k(ziq_E#?JCf^)#Qp_i3L9 z1JEKd@ZXtz6zRdD{@$lR%fzoHv43qVX9@yRup}L0OWycLxo|840|TK4Nl`IL3E_iC zEWYSHx!>YB!mNI+I`1;{&UiS2bPGxqCulnffUD(&w3}b{$)B4 zn3W9-W}jeD+W+6CMQwm-QPDy1r~f&RpzyE1-Y06oHNZn8nPxh=U^>uo7Uh*jDhMsX zSty2?kKBU;6VDs>M#Z8Hv;g{_gQM{m5cEJ(`WM7MQs_IcQ+M2;<(Fq@egK1h@2U4Q z5NkSw(VY4{ybV#l?96N?4T7)^Jy|u4jDq(Ax9?-6JL`_4adm91OG!zcS+Dz~;h;ZY z@w@x;DsCDzHrGzM7v0dvLV%N4&g>QE1y5u?-?H)INp_h! z*Hw}P+XST`#KDr$RR1e9D*8_1vo*LSfy4bkU#2jFh32CfoVC8M(3#^;H|PZ!^(CcQ zD%D5Su(^;U?7wx!A3r-IQ7$EZF0#D=*OTG*Fn~U8y0$cgI_?dTE?%s<iQfxg2+eWbLw-L@yK?q60RRzLpKs zkLYl|t zKrL=aWS~p}5SIN2o6ayd=K@=!dBv3?PnS;th6XbUSx4-qJC|g`mN5kf- zpWR4tDSM{zXe%2l+EE>4<+z;btI8;>$RmcY7%b~A#(clw=$t0?8)UtI`^xP3I{92d z>7wCOO@M``>9(~7fpC& zI5#^(TJHhxPS*bWxJ6z(1jCj& z24nOSftnh=uA)|K-eO9ka#DIgMrX$WMhHr}0(3%GbM%CMqVp}&tbHDV$^yRo9z70h zcT#i7e@s`Fk{DeQXdp5`h$E1}NA-m}7!&FVxBNsx{L;G6sl1A<2al>k^4VYDWh47O z^A#Xl>?|yfnKa7p)3f-1{mtvq`XXp~8(4^)(V$?%9tXJs&RT`C&!VQi z`9!i_Lh!-P$cT?U9=3Bv_@G*GSYFvDMY;1cV1N3UJT|=+ht`3+G<%wRZYEzKN-oj5 zmUDEg>nv0G3dIvZevg+UwmUh;-}>>t8e7J*VXEw3qrbvNe>A8KYbK|Q-?sy8Pb%`f z?V@$=F?tD;@U*FHJBUgw`VpfWX8@^x*RoEl;f4r_gPo1e{Kq&_al*ZKs8y$mZH-F# zxrkg#c<}f`tLtGr3aYP6Ti)hMW)QqNfOtPrcF8gq=pu8jEB)_Wt3p>7TMdBK2mJjZ z*J8U5j|Y+rFcUEK(K|Ts@u{51kTlS!^1H_w@PALm3I+gW?caF`C>UVwo6Hp;HWIl6 z_)11{UHeC!c1ie&kCMIZIAK?HpRj~}z1?8cTkPa>;~f614!%=zpYFU08?Don@}wL*a`a z4jYR`F8W{z(|H&Koz5ZePmp8geAKu4s zRy?h?*&B*h!iU8Aq_MQAwR9+#jJKRa5Yu*;F@Uc39`?8gIcKQ_==qI3Ku2tHe;Wct zWborhdMO6l!JEYK9~$Ss+lI=^d8g1*v|`h|1R64!au3IjyE0e1niaP3B|zZsREA19 zPqJgFadI+DZqJ9bIyHTw1ZvxtaDOD`cb=v=#V=B`AT+myvVEX;G=U@GgE>OATn3^- zAsTP;M(hqT;e=ESFCF-0X~h$;4?kK=kMIbezm`RXU2N0kbhMnZ=|Oso-8DT)`Lf4VJ&^w~KZ9PdMt}r^4)Hvy zAV;+?xswd%Rqys~*oUQKqIQ6}t} z;;dHoR*Sg46`BOEbj@$xy!HQFk+^%gdI=DkZXCm+OS&#`TRg>c<8bH$1wrQ+Ien%O z#%j@CX}hA5oggu%IUmemT4a<99wosfd8ecv}7&ZWjwg`&*`#`9cgasTux z(K2WF*;kn1bPHtV)!WB6#muEYyNA1XtQ!n60U)s+jLgYjLI!4MpIf|qNzGEPfPU^f z;w};snH^l05Y7tg)GXWTi-)F|)hG}7BeU#fU@St6&j&YFL&M?7HEmnbZE7c5wWA}D zC7L=GLI8f+oIYku04jDe&X#uorM_;}nN1`^|BPAiG3DnDLV;=0f*+;0Dp_CNa(`%D zyVb?6`RwPbn+?_o_pslclr|M$eVrtDzK-b~xFVw}#gCeBqs-;JMXn0?Gck4|>&kLQ z26H~Px6e7|#gXbSD}eZ{=n4QSj6c5{UA8!^SuWKT3sTalg=j9Ef?8Y9PnKEUjIAJ z?pvI~cmwF}5w`B$vmO@4cO$t0eU{dNSZyrnF+dbtA4ftKG=XXnYmCt1#^ATh-{caK z^hTJg2WC-D#9V#dt zDd^>MoPR-8rxlNN0D<~43L3935p9_$IB3XWbhC((vfp$Q|F zRJxKc%{(_mmoeZ|~3OovNTeo)u`&_Z7nX7VKG?{4d1sJ2%dZ$c2g& z$N3k_`zaOGP5DuotgW$l9YEXPJ8-DPSkrN?%tLW{z+10#dBl8|c#*#NVz!Fruy3Ys zla0g5EwiifcPSW`9(|Dkk%T%j#jAl!^6@c!+1Fb)kK?m#Ne}?<9;0FGf1tLK zH=dLCue}^I6F=$a;4`5db3`6((PT)X2BjHxx#Imt$Ro`$#TUHQJEps&_(in}|o; z>{(2}M^D*S0+&xpl{CS}T>BM+IAJKx?k%@!72o2p#cmR=D&X%A9GCr0R`Q=zzY4_i zYn1OVVhcHjF#0&?&Gb2fL6T5Tcg3qHH2d869${hQO+tBjxe)9z8;p<4qu2yV8FVNj z^gu@uFnJ-W0M}0sEL3G7UPpnnV{i|ePWpPjVU>4#C{--EXXnN%-skAuNq7H!^yKuC z?aa<#Mh(y(>9u9BV%pGtKYi;*!nk=FuxE9=lo?yBP&MzVR~JmHA7j&o?z*Vo=k+3p z?)atdI)oGwrXS%jqJ}>CmmQvlBMF{-%e7GqZhyhOnZ0RBJGcmH>R`|ece#Df53X>9 z{wW&`cuw1wb_TmW-}E&9?G>B9R}0^Sv%Py*=1#WjHu#&&1I~k(B-0p^yU-}aiGfVK za-_SSAi8>S!HgFQ(K)HJE!jj`*=%sk9G8yQx`pa+Q4^lYgcOH^*U`|=twUC46}NSjQU?PHEzLuN}+Ab+G@L7QI`RgXu+5*D@SS!G`&--a`$JK_on={84E6)6&lZsEG{Jd+H7erSyg^J* zt1ALrwd(Rx>pmz@hV##kswF)1U{^TWqK>Bdj!bM)$KM{N96DU^*U&Ttiy6~6U&64) z$jBcLWShFXsqOYG+LGn<^#P?31g0j6viVBSH;B`L9lTIW`N>X|ytANq;0+;o&cu z$%+Vt`s>}An%etdZ5dM8-AstptDuC-M^=F!P z_g3;6;L3XQqQNXS-BcbkrVWY0-bs3F~Vktyb^z7WvCVJGfU7@N6T#XIn9@#3X%-;qhEh zj|llSg%ZjtE<-AkL&1_S^}#dk71cBfW{^WwB&sU>4FaaD?UF751TvOl$b$+W z;w30WHdb^QyRx%`a+;J~!vt~nuht0deP|R4o)oY_fW0{*5g*Tt9+vO&A44H>;(miK zB|NfI`_xzBV$H3GRunLov`N8Ims>GS_ctAJftqdx&L}KbS0O-%#@;Eu} zEH3{C6c~6s2l^p<|DO-n4j)Aa#6=mNDD{fai~I+muQ3?dLdVimFv{LqUtdkb^75fj zOhpp#IE*eOIUm$e&|Q$ICSm<$1=!Y=i5966i#&e2{_kl0f#?RsJ%rOZ?quEnL~$dV z`F}>y{y$J8(Y}!M%PB|_I!|nruvY*2zoUq<{!w)EigA9A19msB9?(GWmH~+8nGzF0 zy-sXS6C46Rh3*)7z;47~s(SqeMd1h67p{3TT9w5ERb)@uK4Q}d<5AF51T>PFb&kLC z13jr3S&V$^{v?VhD}eDG!BTtl@*CMJ;if~DLh0vpk0j@^kuy96tVyGX2y45~tm>(8 zND&#o6NK4XK5tn0f;=02DSxXIuV14U;)c21BUR_PlU3V){F_zx!c$0&w_42A)8~hf zk?G;R^@@z$Fg`fN;mZ!OB;MOY<6RxApaGk16OSDeTfyX_m|ZlHNjtz@f4l%i>Rixi2UZ_2D%h1dj(R`K45b&M8doPboaSNcXDcrUrQ%}2Pi-nggO!|nW?(9j5m^l6;(xOK|=8r zV`obpDuCbL<8pCtP9CnPTUFe}TsujAUX26$5Zyn#yqp-m^aa#+C-=1v8P`dZA_aEc zvr3tjx}^T$OyNiK@I|rt9I%~~I*fR2(8o-BpZoH2S>w9H=B`4gR^tiFW?vs# zkDJrz8~k`T)TZzud9u_Zp_1@=Oef(|&>Mz?x$hNXh~o!?&hNaZ%AP6p;tBZlNzr6o zgOV#>m+#0DIhom(uQdb`h_WGXK`u)#A^$sUtIf60dVDLjlMX4EDT4)tAfaSiOD=uXXFYs2Ni=6smu$<&}w2U6MIQq`;y9kgS z#~eYe97d*E&m%i~%3gqG9S0#|h2n{9aJ(#ciR?wx5FlO6QoWIW7MKX>!We>kB-QDS z=s$i0>$a;M2%lgsEAnAfC)7U?xvZip8LuqdggYjjAxCIB^&Im#Lbzi8c`KA5FtP^C z0Bqd(&usio^d*X;H##703(DVN**I%gI0U$>4G2&PKGN`mz@+Raa)=+o&GXQLBGLsy zM3%S1roPRt*bX|Nj_!$LPwUZEG~Px#Of_+qP{x zso1vdq+(ZW+jc6pZ9Azi=e~CD`_6f8$K2>S7%EBaulZc zf;OG%Aoj;Hlx>*WwW-Z6eta9<^#(Ga((|5Ihk7z67>~o)H5pL=3+1hIan;T~3oEP1QJPon-BOy9io zEC)jw2k@d`%-!2k{bGWd=fUXsnGx@18Zw*LsKo!l?jzxp#*`t3mU>CXqZ0bkEW~|E z=7B2a$TL5Te$88N#KwqL5Xym{7@}04-5kdtsf7L9Oy^c!#on*hFZU?`D8wCM4S^1_ z`N3e)w+rQMo0W?~&LV3lWVDBuT7?rJnQ_d_-KLY@h#2? zO{+cV`k@|$KRUJ0&KutM-pH~Jq!smUw7enowTtA`LHkjWntfhueqVuAx(&b0tKJI1 zH|QaI7W$I!=?4H}SaRvNgWd@KdAq2UFXAfy-YOpd_wC}}8-UsTmp8_Dxzcy&6PVP8 zUE75=AW$JPISm2_PFBKJ`|X*{vM=O4ow>w}mWYKIeCkdZjP1v4R>~<_m}EJyNKFj0 zo<~|T&Zmuf16ynqi9CpGKBpyJj@#)5NYujGq@CSN7rT%{@@AaGUUc%|ud>l{&so<2opWWB$*gUbIwo(6b z4p5AuHAj61{~w%h|K6Lx=Km5If&D3!Z_qLfnsyQjFdl9mhE5@}39$DHs<-d^>iKwc z#uTDfv{1cZI+1F{kDw)ji`8PFp9vrq1yDTPHkTdqr54PVYrVntFfuB@!Wamj4Lpd z!PUr!q&Q&U%IfSxz;LXBsOK$D$H-7W(Pl+N$O&Pm{n>cE`U>r?1o^5bnI@6M>p)9t z?=H1qf;icoK*fO#GudS}@gd_hYV)yhPOz!_30x+$~Gz4Q%{Y3 zs|#a;u`Ov40e*@^RN0m3Gw5_6*SpdyH9`Wg(*as%SVWB-NL6_Ml9#!`GE@A64o>#J z!}EV{i=O@e5H!Bed3gtY!av+{hPz~MeZ43l0wN~$`DD1_Vm5~ZC3HUm*=P~7?i~^t zT4>A}-6%q|{)ZSius%Q|&M?U6J`KV?P1|d>i>=P?2x9wz1UO^YOWRznqL+ zn7sh&F864T0F3+rwtK)-}9 zuoEQa`O2XRK!lj4rRSI7zzK_7YfNk#^gnNLJ4 zr*UW02K1eR)3cGm-N+Po(l4$O9lRKSS28w+B#Qd{U+VXN^faITfzz@6gE9ZNNaMf3 zAOkZq>pvPu|A$@v|I6$Rf0C4;pORL&k(QC5}Bxo^Q8$JWfx(jGkL+NvlxJED8&%}P56_gk{V+9S6$B~uPRZe@1XvJqW!=3 zNdNDkYHL~Q|Iq~CsuqqwNjolArScCz{y)w&U=`nmgKss;&~nFrRwM zUxutw)>f2W6ap?mslvsKSUFb2!l_L}+(cMM(m>Ln(WeMpF!lc>D|@Z_jOTwx@*h}1 zaQ<6Jp*z)q@Le$z__I}$HZ9e#LuX_a{e2hI_+v00T?`PS%glrF;u(Pm^X}z1K!{;f z6s(k!#cj`H!yEqOya*0r%vHgPo+h-ER|lcBR}6B@1id|(AoONw&>_&DWD{dT2y^4P z{91x1y{!4R*R!#c;j(>h0baz4cp=~vBctyLWu#7%tOH+7VvJQ^Abl}9qmsxLZ)}n7 zl&uKDZk^zFj``0y#8CKx?LUh9|3QB?=f6$5v!}TK(VgPIyQMq{Z9+x_ONl8$L!(qh z^Mgrr1^%G!fXLH}0E!J`5BTevU$L@Y9a}jte;Rsdhc@yA^&s-LIz|xjk?C z+n*0D-@l7~xlc=H_0NlRM8(}tvl)ou?A;;rt2tGFWBFRzA9XtT6Zv%<9Be-1ZHk{V+9TM0&;G)fUFrO)945v!t+LoG@x&gONmg@h zX+vq=OVrGWqB~d}R+3p+CJvicPHoDqO6eB@TV^N7fSul8OD$GPjF}=3f2srDwmPM4 zn~vgKZg?cZ=kbooUqN}o7uvHkJ6}F~o*E>5v6Rjha`rv46JoSI&yimpufHN2W;%Aa z_R`W_X}-`X;w);lt1EGpHhpX>QRviVwnB|vTw?X~TUhU0W^L7B_qy6jZ4&9I_g%VK z_0F8|Zr%E*n8$VVTILF!dJxHI%MMKPM{lU#pn}DTeMKAxl}~D|K4A(;gn@_KJ;qBOVFR1 zzLce_!`LLN9ZmC-(^#TN^E$I4KfOK~O@=k!R+@=zc(u3^Pk(;S&Wi55EnVT4#(bMW zLJ}{GpV`H#H1w%~AS~jUP56bDwD*XT;>9IUo=UDmz*lsUo8 zoi%pDGQN*(sIR9VV!^kI7{M)XQ8pEpwSKqF-jA|j=rq$xo!{ZKWPN*5nAyBlZQkOj zjrpt2k#9qfj;Q3wvEN9SZJf3uwY}`PH0+kiR+FGS_#+Hy09&{;+qfgw;#mXZ%b#GH zxo75XsFhY(UbkYb=C<5i(r1+&{}YZAV%n~^LPI@~QsYS@uT^Y0FyaDoW+ouU>PeXw zx5H7JgO^;)T7G8w-f;y{OqpYo2XDL1cw#uk(u-fSY*4xQL>-~YVT3P)bor-EY6Lgt z+!hZ6zEjiKV%Lz5uB^6Y_om5+gEVx@uq#`OZIqjIlHZx~B^jq(^W#bZuR}-0DK%tQ zGb{c?Xj51UZ_E~66)x`J!U!y+8_mkzAEVU?E|=+bt@B+RFP-I~!Al*uZB1#Jdg5#u zb~~R2vh+W36xQYZ)ynLRR;}IMz|;4w%F6%Fm|m^xCxpV}6HV z^67-jGC_X48zhd@vh}7$-r808kwLi@1K|~nrDoD*nyN0*bIny-%&+?Afy<}f6jA+Y zlogBEVUfYn3oPsxb6E2eNUBj!bQc++}&uNPBOgAhHA&E%+?ow+N7eYQ1*Q7eP($O12=q5MxGM)=dl zAuYVqHrjzU+_vAwsI>PUi4aMC$t92vgueW%WTfO>-CCg z_%4sB>?}21JKbBvbFrK8X`9>FajZxbY++qhrM8}p)T1nF(?5S9>}MzZZSKrGXLN_V zSeZkX&LXcIzv($I+v(xl5Z4|M92{9hVs+R=0JZPXzFBUfT_4}dKJDdZs~M6L(MJIF z<)y38cc|ICPw@ZlePZnv3|C0odx+3Rc^s=2U$`kc;5a@0Z+Qau{ym zNjO;%Z7?u)kN(DqrAA)2;S1jaLG8PhTA5hbsM;%CB0Y8_7CAUs5?L_F43MUDylRep zhhnA}{Ed3t)21rc*$8TPFpX=O?hp3s29t*FfCUgWF!5wV7PucLm)b$E2W6uhm^pRI zXng!pk3B8U5Skrpp1#yupM~5|6Z?q#VqZO-KS){)MBD5MPJ(44@cIoR3YD53eG_1@l6n`PK_S^`BxGgy_&ldEfGMr2tzBKg4DY7$LG+mU$z z52W@M3p|LL3fewEhTXHqvuoNH$jSU#3WFTHnoR)SaZ^=2Q&CfGTTPdQNPg6{cORbL zjqB>>E*Vwg1`QapbtL(v7PWyjde?m6GC^%PQ3V7R$p2S`tT{K{zCjdu+s1%$-(1;^ z=5MntMi0yd@lEz|l{n!}03x{hF{^*3dz!6l;F5FmB63<5DbE3_U00ZK%hK-0#wsKD z#2=@X*$iDh;7y`sS160ya&Sax=0LTif^j-%bfF^@|#vY3Ofb|Nb z0|fV3)|O8_aHV7NJkp!;0kt6t7Kh=gSWODAXCE?FPJpPt9oU`+Y?j$<^%fYCIk1gs z5a7nw`$+A%^{HNd{_pF7K+oTOa$JhfmjO5Z&lv*0ucHorT^T5R6MyIlDd!4E?m99C z-3^War!p8RvI9^C&06a(+`)ksAPad|tkrOC;CDug$YNWd!z5W6N8SMCK4LcvBKy$* zG~D3&-~HsbbGS%y&yuy4-F0%Cj(p25Mgw_NAHVv?k!!U9FkYi%svIbKI12r4JG1u1 zc{fms6(2H;nMP7JS=h|1IvVPOZUL&NBcbX3G#^XQZUIeH_}c--$1-AWK-m7~6FN&c zwfr{O6hp}A?bqBA6Ki5OOr*(d#*h~=cE_5x`wsfH0RjrpLRqzi+PN)kuoA*Ay&uIm z%pUv$46Xn%f5TvS7>oI7;$R_$Mlhm1EVZ!;_u02Vwfwc{WB>Vg(R-QWsveT2z-71oo45&(#N5}@Em5CTA`7ellt z94O+{%#HYB&gwuI5ugc*s8YF|8O{jbuI_kIFemQQ;BN&$OopwGVa))LiQczUN7TlR zkw6GghiVb$7-HBFNVy>kO&DU}f(x2QAi5Zf2qR&H3v9g3+3z^QnKeg><_f9~iGWT^ z+ZdKWiRR3BmtC2?mx7V^ScQEjh zg-e&8l*&O^Si2H)3>g3hs@Yk0-D>LVU&_))pFxPsH;aU{m*ch*H?hOQw=h+?(ViT{ zOV$X$<3NI^Z?PS&-y~nj?#aPWp!MGAPv(ec_w8$;^Tv5FC$ex){HN%1pFw}vjk%uP8_M>#Ge~3VhU2ru)dXW6(h?c6DO>>-h%Sd3Y(*F3u$wUo1Hqd9E{+h= zy|m-in9IICNNdo8F-S{b{>3C3!YfECS&;UL$_&{KFwu-?23$c%M&9;eoDR`s?|!x* zLTlf|TZ9v*Py5~{iBMZHNCe9O>s^p03fdgvI{`>H#e}*>T>yb=Bb`Lo8*B(1b3Z6N zF+;eHR^@C-jfZX#eNh?pMrR{t@z9+>@{=ni+t8bc!chk6g`Wm!*x*PX8=wTI8UyhH zef+8jFBt==GkjzRn4>kM)dGZj4=bzgX@}=86{28bG#<6h+LU4Qj~5d0&Oe4}n83E| z+jj)he*9%G9SV0rv~*xWcKU_ty}#ZrSgFfrP_2hZ*5QC_NRx5T0ql>^=`PRUA474H z&xStRJI$X4ezJ)Xjbv08u0exY2jq@BC=z|45dqfYV#Yt9S@=W&1tCGQ`n zLIgnp#;yTk#rVcC^jLa=osU?~@Sz&cx0>eueC{#C{_7BOdvDi+ds^w9!Q;a?c_%yQ z2q&*Q=pzT1Adyu#$WMYaa>!82ba^k=)v`7ZMpSM`>18oX(fOi$&LEtfJbkg{J&ZQ zxNhA!EY+ysi~?XMLj6Fv2dD|VQg@6T*LF*V^8ec=yf45GJRBd{QVs#pYg(4d5 zZX-vz(gbOI^WqfE-27j<>qpvOk!!*UJcb@}GwLuyeK^kdM8d*!SjJ7zx zalW;(!P0Bzy$uo0ml!qn7^l7VNfRA5$1DrEXZ%y0T$gDv;-aq8xkgsl0_a;sIRvbU zAh%ZREhil)8h2b_Ny3RO?E9>=%Q5>1WtKpKIRspkX(5-OZUQsw?Qwm691StlR+!k9 zFlT4;+(zDH;F(Yd{?UhqU^ty-unsfK$}-F2l2Kg-K@0`1LLRVJfQi2oWiXJG4+P%S z2v?3;kD$Ml2r{((G6HlhXeqY=#O6%-ZHpod0PlnVMKIzXV?fXQBfqx^;69H3@7+I8 z^J{8u_xpKEt^#}yT=5mLd2?@Vr|keN0@uDAvp@cZCFm|0#e?y*eXr+@t^Z)K8x&<2 zbQ)+d7*TSY+iVynEQRfW6Qz0rPudtngPQpOng8R-hhPh_zSRNy1z#s=hxi$k!uO z$Pfe5%L61pa_zujh?=!dt}W1JY6IWyhggbg4(LyDpUe5vD`1Sc%Rnr9;;z6i2L`jf z!Wby)AbvF#dks#Z%%m@D{G$mJEu{J)1wegTHWS(63-I?xrQ~w;d{Kp#b|M5$w)2yj zSr7#24PmCI&C#Xn(ksqgpa;Yh1H|@MW!z7pE`y18svgrJ=w7N4DH)+J?mlX@a;?2M&1)!gWS&u z^4(1TYfY8{L~P{gSy!yopTT7up~@Pb@?hn-ZuSOcAe+JbUS#fI6X_U3NJU5X$-`(r z(h)Sk)_@pmp$rn{qKfGnT(^n>t~me>N3~~XmAih#c_y0}ka*iJO*)m*9GD>Wqj)=f zGF{W5Cx6(~+*dhk%yx6xPDJjsDgBq2X%tE~_sOBm3H2$&7I=4P7rE9^nQ(WYVzZ@Q zdU5Smq3wk9_p$bDhL!sYBgsJm!*CS4!I$NdDl{jWQD@N_LG7&~&FH`z2-ASYL)6W0 zTT#nV4B~+JYK_<25+LOXA7MMS1(4;l?kRs;muKFz3wWtPO>hZ%3sHAoB(OzOs!@my zZ5RwdFN|?QhMK>$+Ef`1#a5v!<|OxoM@^0Er$L<(5l$JZqJg_!z0sP|i!h>v_sdo4 z4Oa=0$>4p$arBuwa;1|#Lx6R0h-o`KslWZ0)Ww{mVWarHcn3YkA1B#FF55aXh&*6b3$c_7$ zeq}2{$H6alw*GY!ne+TAWcp)xW zu7Lpicb>DpKi>NQhG7o|-JPZwX5he}7^mFj;`i$!RSq!}e55Y7vDeJ1(_ivCz#H`u z#wTmW&^znK0T#W#Wl&9tdwWx}!H;a(HjO4Ir@cAo8v~VgaD3yVBQ`VQoW{7Tr)}c3 zaA${@7`y`aM*mQHiI8yjtwU4{&YuoX)>Wa3wL88*w|8qQRQyQO80Pf>E;Xg5 z{}likyzp=(3vR#jxLn)oD#}zGWeSC2Cq`3<_zU^^Gz#;V;c8(m!Y|;9_IgZJ1YZ0x zx!l_5gzhxsU4E>KY}*vmUYBI&NYfYc2_WRKTHV#CQ@n|l^&HMZMt>QHY5SsfY9B*< zn!?n9vydNtiiU}`a7FYN&UJ9~zZ4-SG|+Vp7YwmnYvHmtv}qv@j-7|fsr=teuwL#C z$e~`^8j@IZ!^*A0A85u#^?@QdGD~a?lj%b>oQ~v0Z_w|v*5{ybVG|aAMNI9^XZ8^X zv_YgQ1dJ=j`d_PH0e?Ghpdm@#3E^ zEvON7cwDxym4d1K&Z)cwW^tERv&MxeC>q0~6I57>$iYmdu!gsFB_dm{HW}JW?y&*$ z~xIK|Ga7;Xbb+l8p-|purI&u zdv7sbU~U?PdX=2L4bAG^7tv=EHUsu&CAAO|C?Lyx4;=`ieF)l1c7+QfWgaZ%7|KMK zIYXHgPQm$?x2xxJ@89Y#F#7$P=rii((TH946w63XTJG)G=X4J2tw;w>ba58+MDSi3 z8uB{7c<8SN+CCP%JcLGIrRza+9_us3l{bi!b%vOD9xbP7Pg9USt!lEPq(N;jz0N0zyj@*dri~-5FNQ|H3(Qm2* znTL^7{5{+TN9M7Np=}RHs{*hv+f9LzFiQEoeCf+lu+aU5u!LUwIFz!4-qOHd1>_ei z@Oyt!qT)O($;D$)`y$d?Dz#${N2_>H0Qg4cRH!S1OUz16lj*~2OuNRZLV^{XJ4WBs@&HI=-EW)6hlVIxQPf&|A>)5 zz;XyuqnoAzYgnn^K8-&q1B%SjbGTiFy)63>3C8r-+5rZz0mB2`0XmO36n!gn7iy@1 zQq&x2Th=Z{RHk8xd!cP@j)xYyc)jiLD^k8&cy%koXqXe6#aRm>{!9y1aW;}j?E%O( zAFyN7w7P^fnlMb;qnbcJm`;s(V@HaNxIYwgp{G=x*O zvxYc=Fc?RW=gSbEBfw|dMH{Nmcgzs!^4xMGGQfJ!9ZGCFyarY0^9Mi^ihU2y5^1vR zi7IaVz2s1_7;AJV`q3$NGc+l1vGX=+Fm9&qmu&BwB0hNP4+-ip;I5sY!t{H3Y%9Ze zYIv+MB*T=xeSMG<*5fLVLgnZKfNR$Cq)=?y(6Ia9FJzbqzagO2NUA`rW{#u%igAt zM~X8He&<^W46d*GehLQ%-8N2dh|b=nU5=cIf@D-dcO~x=?*bt35nNS#SIXcBK(4N1 zMdB4CDeq@QHJc1o1@^=6bq;K#E!;6<>@AE8BOg@P9kRa6835YdxtV98GZ0&fjc>`E zf5OArN~y}+Nw|QE&u>&DSG9${X~gkHh4L~ZezTmEFx!!Yp6NqqShisS(CVlvB}w^0 zpOLrPwGc`!Zq)Nb{2Yf4;%!bf5%ZHaTPR9BG{OdJ9^(ozD+2c)?xdYHn&6P74bfwLMt)O4CKt#R$5#6bD;$LtY9JNCKD0O#ICT!jS8vO0%c zEh9p!F1tOjVw=2_*~PeJ$`m~?6{3)BmyW2C?-HnihT=(oEL!8tjLtBh+7U-&db#Q_ z3=3Z(7LFGgzLm&nA8zFmQ#hr{PoDw&5fJf?gPCDG;yB?{Ml*s{H z{@@Ih?uPVl9x`Z6D}VXT0Az2>z1r$aCb5v7jXq$3sP<+OEyaCBClp7nGf%ksgf|Ht zf3TERKntCrQJacK1H|!llOhdp57$X(=Uiw5`)PA}lX=BtZ4*Koq@N#UOh$71&8Z+e zu6Uttdenv7@>P12sx|1bW=dNx|EptXEqv>_v%K!g;f0S0T{IIW-u~htnsi=tqh(>I zY8`2gd^#-QPhCcCs7c^!Wvt6J{gYt=-^U7m0rsQ)e_WaVJxcZaoR9PSx(%!OI(FOs zi&cF)LBH+yzJm=$*N-Hy$_ebj9+|$Q4M7t{-}`A4jNxyfCd71`M`30t7(cdzn8G5! zOO8Zdim;RzJ5m4=j*w~9=EPxbMfvC|Gz()sb9*;#{@&d~d)Byx`IvyzzBSb&6(QrPMbyR1lbR!F4-R33DdUnB&zYVB=QPiRo1)!Ld!rVvfup7p;>?e*hZ@v5z0x6{0j04sQewYJNgh)l=pe{tLA4$Jf(z z%`R%KwSPL@mGC*u6r4Sn!mF}6twM*egw;UI_0A5wYvT}f&lwmf^p#@Kc@!lU5Ya3U zyQ-ia7O-)X2+(XjBZmWzj7!#QEzLN3i0NENz?;^Bnu49&^XCV2GJHI&DL3@MM22eq zZ|VTH3@zt-<{A!&fn(Fz2}WIATE+&2r-NQC)qYa1pyG&2U~N?eunfp7>%u$zvZB^q z@K+}c*s$x5#)x`V&TQe7=itcT=SWli6r$ZiFBaYa3PJ3EUZP>OE(*beQp>V(Xqz<* zq0ylFm<(n=VkUkHZ30&FY-7+6BU%9>o=KHphBXQ*k z6Ftl6@N63bRRO9F-y&;`{b<_KqA^`obLQxno(7r)jQK{?O-NJeFkOM)3hH|xGjb|h z`+3$KSiH33FIY*_IB4Jt`^#SPQFmX;NUs#VsR9DP*9Ir9x=;pNC>om%wyL#2P`~RN zuRJYOUxg%I%G*3(97tER;;j^j{J<^; z`GjHR7k%W?P{ozVlO(|N6?f+*#nIC&v|P8GPpNhmAivGZ*Q0hxe?A-~za!dG4$BFe z+1PqLi3}vo^dpa;4^9n(&h`S*+IXEm5l{FIvbs#)^E_0iGg#@jzY-; zj{*_cI?pZA>6VVFf5)qTG9Yqt)6}P;+IW-J+(Nt(!_&qez$o9Ibq{aIDCeJq>}Z)mQ68}FVr#GL3y6HFl~yh{2rFe}Sb9*JV5GH8UG$>+ZW=5Vb#eI#&&S3Sr6PENt3s-J zxCz&F4=t#~X?)*VT91?pm*;Wj!W;~!b7&px6mzew=>&{&BAIj1B_5M09SfpSEuZ+N ziZasgU|`G|A!N59)wPp6wJ@49jrld@v^6(9?JOi6;9rZ1OeqzbYTL|rHQUoNI2k^J zmU~%oI1nMtyBvk$H(h$%8sUH@eyzjAFLZ5kItp3-v?$C6@9*92eHBJk&z$BX7$4{t z!l3cVruDv%TWaUhlA#4JKl*IS2PZ!?uxjrNJ-s$5|PyW;U6!d+uuD zj)JJM3MPq_R*$cDVQEZW|IHRuQFyo$z_b;DSljRhAv^@_a6)_C+q|n|>!7weQOZ5Q zgY)y{%sf4K$oxdjrsN6+G0aKxS6(y{+TU_+r=V&|ViV_~dDUhFLmiqG`5KHj5khms zwe0EuD*_kuqjK&Fqnjt4N;*m^?Zv#d=V&D0{jC|Mp_XVQg(pq7r-W+oHcFu$zjJd< zLo3l!Y>D#+3*o4<>9fVzdcoz3sbOy2cSrt-hwgk+cAubX4;M`#b%XNwCSTR;h>BHW zo5HiotGs7ZWo~IMK{Nrl4QIq>-(OyQ6tQM%Eq+=qPu1C`2SHDn^vcMZ=P#;&H8Q>V z?KjP2=m8b`25nW}@aF&&HC~}#q_~khLAEk~ExH*`h97c|aQ^9m-g*C=|Ldux=j(Dg zcQ)o_^ZD=FVvq0p=QID;bsQ(L$FI&^oPG>PWn$RB=`Nr8jD|gIv*~a1wQv;f`Vig? zmJP@5>W>5sSo8IL&jDtTjH*Q|930G%WlDRJ*utWD=d;nPSf!ZZ=;=!Q$ys3 z1~hltI8|{0eOGv7&3q^74~=%UdTw!`5*X*rE-6GCzx#89q;EgSJQnOr*WFLsoO+k zcr;uyGlOZ}G6-Mfug{u&VHzj{kKsNt5ZL#ZN2>L! zBUnm;((aZY^P&Hcq^_0h_S91yJcc#U%8E8Of+s}oA4~)<^?l-T_n!dT>5nEDRcmR& z!e07t)p}Xb9DG?-n|-pCj|KFl-fP;y`sWGW1p~2}IvL9cl?vQvSW68E&gR3yqtH^1*v z^gK-|)tP#3K$$YixAjCxv1uF?P-*@;=~h?V*SaP8)a$}%xo3eMsqP=mN%ftfqwGAz zPBoD3<41`qCRbc_kR0d7L8q-Y_aLPbd+z)} z)sFq9bN@+FP#@M!@P-z}eZt-}+KHS}Aqvs#GDDYM@yhoK>U}#(Co5l|bS)yf`7HGI zgNnH8AVqI&+P4E09Z5imeXr|P?}k!FR-~wO?kzM%xZY*p6n1iZ4Ia&nrkqRV7MovB zlmE0rprE!L++Yw^Jpz#@Tjq3AN(Oqf(S#Cu@4>6RHQ_|IK{t5~rbzt)5<=mV{u{(i8Db zpH0K3Wy0kxfLy82p=DaCM{D)1l+>fG{AjBNRUfin5)&DUt5otKrs{UkE4=#SP|~kk z_{z8M>hINzVgc0zOM%wH8V++JN4b-_^}bT~5MyA_ZxtrP$FT>7*2IVtu>+*N;K>hO zbcnxbRoR{f%-@c3m!l?PzOsm%#016o?}oI!z<$-fU#r@Kg3Yfl^Butrus zoxw;T8d?c- zmOA{dhS$9^i0DCjA6t4duwNnqzHmQB!0dC;X&!V_``n50K~aphom$PBQtcx_EoW2_c^S`~ee=P!7_Q!PJeET+#f# zO(PD9hj zx$gPezxI9Z;Qx4ACWwf5J^sE+n`h_ue!T4Yn9%R}dlaV6SM_tCB4?ztHmlvr2+v#> z9A%V!u^~mciL+pr)dmHZD@i$SbdL@BLA1@19ZR7U+lw@_zYdnlGt-42xPp4nM#oRD zcPTFq;Q$mb4U`K*#mLoUnm0#01tjFY=x6i@5ftV!jUeP;@}BK67EH@k*i1P(eIj)! zVUL1yT+%q45ZH{}I#1PHG*BX#U-ZXdHxhLI?;{MgozA!CLY86f-?un#FkNQ8%M`&L(p;9A!sU*|W$s146OTX|C`JI~GF zLH+zwwY9i>=v1*yts^NMR*h4bIWm~%qk~04MF#F@%adTy)9$>`9$ssAPWy1}t5gRR zsmAiUycG)1kY2*+oEGK%Mz#^V)j!^yF$NE!}PD^!9FvaRpD(FIZ zUHXrzdIGGTXC!y)8O_BENXGW9<;Ye6kb^S6Yw|se<4wt1NVQQ#b`tEEZC?dkOYL-_ zJ*O?mzV5a8%W}h*;i+YsK<}-7fo}5pGF|V_SLmI&aYO7);4QyhXN|u4330uhV(tu0CKBjhoNr&8U(TY44?imN5atf>cA)FQe2Di z&vG4Q*?HI|04Guw0`-iT@Eg_EvafY?pVRc2%*olj$3#G_F`=?z6oqA7+;Ez&V${=Q zKy-goGjt05Bb833*mKtc4xQy6+U-+49AkSE;bkkFg+SHy9};MmhN`X2Pk+4m>r|eE z5eCD(cX_st1w?2A>9%&Nc72SaTTpMG5uL|+n?|_%=qf*pM&gY z35ur~YR5TcrXjMh&EdL+dD zWUFDF##ar<89WT?ks4?pY0ngPI3pw~=78wqsWhWOawxa!z3IUx0t?>+F)y5|1pNJ@ z%38MpLo(1^p-bE>k#E1cAc=$OkT465$+gse@=LtFgyZd0EL@d!&2V*i9VjVZi}1D` z6md|-B@TFdfad-zi(@$d$B_7GhL=tMb&yFf;||LW^wyzNX!IH@N9dfhSQ%4Fb<9&* z-WF)e)}5j28P&=LTnTf&_yjfuDV=E#*O%?Rww)Jw$*Z| zShUAJAlIrtO#7&1uBlzG$f^)}JH|xfv(Yu?lkyKuhqPtCI+KX(%Tdx?(+ulwg{v&K za&3Vs3>_V)j(P04DAVs5i0lSA7J+gHNHiJQ7gLIXGbZxTyF6h(MRGCNYz&$S^_WpV8 zo<#1Oe=P5mM#V~1^Fx(hrHsm=V@~p^f;rxx0|3FH5%1eZf!0G z{Dq!BnaAf(0x7e@d%4}d>J77y$<4>coCo65*JY-vosuu!-C~R}$I2`nzV>^}+!kd0 zde~?0@qRqOesA&nx=OY4dppe}=nirCcxd7GyU)W?MPsBG0%LSSYDLljttt(wJ-hm; z3d3UL7AJa%aYNygEebm_$aSchB!uAOcB(IOgLVo7a z_I!)H3Ve6xZ|-4Q=!r{(b5ZrB0=6cQnc8B&Q4yZz^l**GrXUFULLn^Kk?ft5f-$CR z8qOanEU*&c4m8QYvTW4BxNp+HZe?X2Mu0izO>6Ask4TI|pBK-?nrwD&=+NWUMT}(T zJ59&lC?tL&e|z{X7}0|4${t%s`zJ8fTy~*-c={~|@bw%L>ry^SeweN&B?HAGWPVH? zP;c`sQcRMVAOKU&l>(~GFIWOV&0fNa6>&mVg?|-1HvJaNht{I}1pf0o}r*N#~MXAqB z3|!myAS9Hofone2a?!a_g|JR#KAiMVW7Cf7BJgA=Y_Blxz{%2#uPS9uAf|}{{z6rR zM0I&tg)8MdFa4k~U2M>3Lb!PL4Kh%77~TC-sX>x!rBhLNDPDAEe5rP)dhMqBny)gr zc9tI1%8Oh$3xj28F|@7z!c<&wA>oxd{>f1&KjBBxUs)t%JXI~^~Wf=Kz+@pcPU*2Eo^X(^RL1pA5CpyECrxx#(sO-$?ANL|5t*4!DnB)-cTi-d0q?Dw*c0s=UdP zDrnE~PV6Udz0mWmI=)fH@&wJlp)Gf50{H!eHpgv!bafW9D>++5ZLVhC8$ zXEdx7>++N58Ky85k2E*n!8V3A)k<$(3&yMn50_`7ixgZ7DGM~ zF#1Z(xLEMepWyliTz*Y>tU>O7>7p+16Hg-x4s^ zivGN)^(-wqe96GdswFrr)D+D=x0D=BGt?ZWdh59GoK$#g?Fgl z+$`jo+-FiWU=>V$>;Y|4QNXlY>rRplS2xB7|4sfl*ziQ?73<_&XE|H;O!g(*uu=ci zl2L7^D};_r9eOf5?5O05rz)M;IA0D9Z^@ruDv{=dA2+j|V;OaUc%V^y`YOm$!xJYn zNJFOD4<}v$WGaP;WwXGmSuj_4SMi0+Gl;!wb(1x*slSqwN_Nz(*9VoUwuw;;F(-G* zs%2j$vJhlUDq(wI)IJ8;kkd?-)3>)^H+cc$T+Qws@AnCV8ke|)^#tUs1eycme3{ZI zUIzDiBEiUf32U7w$=#RFuc#r$6M$Q16^2`^)H*~KfiVNLQ%M?@CSWL8?NTMTG)5j;E1B>e%35=8R)siIE1Kr# z5Adli1q}gDm2QTE2Gfe6(*X-C3|Aj@t^2}kj7|a4Ulgy=ty7By!&~!17}Bytx~?-I zu7r)C=86kQPq*CGEmMTh`1?IJ49T%bZ1=O00sX`;4V`MWf|Cp$a4?aXHP6cSFPDDype7PBb`kf$3d^CTO)-z$1gT=eKU z1EL(3yNHS^L{uT-&}IBiZ;p^-F?+3Ok2$)7nn~WA-cq->5z;(U9X*T>MfjRS+ous{ zsKu3d3Y3D%@ciWoXXHKs&@RnXfw@7^-7vahkcd)nPF~~V7>wU)WeV+brP0iQalzfl( z^VGBcr}6vx^Y-VX@UVm>Zz6veCIc1i$`+eGN=`5A-!jYp17kp(zh3q3=ug5v-FLsa ztJ$nvdfZ8y&!5$MvsoO39r%3iYjEtG8s&kY8=ybkW;<={3P(RnklQus;gtfYQyNQ^ zu0sTCn88EgqM0T^AGo?1T)pGqmZ=OGp^K~YRwFGq)bik0fkS3)i_>mfc3X8ey04x; z;p)>fs&zhni0>7V85(_31wrjJ~m@EG298=iG>_4ZK9)94FR)(_kLAuafB zi~s4{pML!L+s}1LMS`q~h=?J522QF(q`}q-=T-VlXHgqY^%jihKn2ILfY$Kn1uPKa z&FLn2nM}4-jTy1+1ibdd0ty93X_f8DvT^kt2RA|Q3K0dQuM4r&NZ}0I!zTh& zD<-<-6>|D45h+q@<~_CZ#!8RL<#U--%r3hJy{zn!dXMjo$IIjPmKY>3&;}Sdt*yk) zwYzQ4ssvWcV4jp-v5-WQ>%P~N%l-Ct16#mt-egpxp9vU5xMz{b4&ko^6dcN=&Xwah zunjASF9l=C2s{Tg;2~x>%g|8Ln(~Z8M>XE>ZcLIMD-y@C$65%PY>=Z8w$5+{;8drwLqx zHPlC)2t3e6CC|V{yyUWEp@F(dl0Rw|(h~1Fs!9EJm<6_4IFwF}W}-x_tY`JyEI|~h zYhf zJ|KY+B#2=u!UQesS^w8nq_^&x12bwz+DY8tY{YHUv;!>9;BIG=PL4Sh{jF-+Jves7 zxZmCAmJ1RzUFc$p;n-Dp_!cBK1wGf`jk{_>Rp5F|Z&9K=hc=wjK`QsgWOFqpD@f=? z-)h>cRJra!Vp5c_M2Ue3l6fB`KHfh5^`~$D^tYdW{_&@mc(H%;{r~#rtN*3H{?qs0 ze)H3JKm5xhTzsm=D-E7gw<}KbOvEYbt|s<3HC`1O4^WBiLjs%KJhOgj!9rxa+nYdicaXOo>8%*0NP1TBh5BJjBFn%6_QpCr(1K?Z6qP&1<1 z-P^i%a3=OOMB4EwyxvA!!pq6mj3fb`tYoRo3wV?yncn6maAwm;olmj^k7oBPNq|@B zinZniX4?J)9@B|)6!N&Ogt_F)u&Hv+cbjTTc^AN_+0xUdTzm9M1b%`SPtyZu;wV$x z}{;>~nu;AV_X!JMz}@g89rU z+zd|%gh|ywAeOuN2GZ{;d6N;d^|p=Pj@FY%t!SdPYr}>uJ#~6#NpJk z3@711Rf&EW4*v~@aZ1TK0W7E0K-*);%>~W~A7NNC2yF)|T`p&+IOA~?w27{}hAYC7 zrT%uoQXI^5*xa6NG`Ra3_`zneqKX?c%J&1b6BEkKK+b(rShG>3_lY_d8otJ0dJz_d4M__=mtZ@amQ27l)JjGRA-Jm%A@b6jgNWb zF0v6Ic+mvHb_kswK*Ck>8En%?GlnBi>YW~W#-W!%xB)SD-EzRqtU8hhQ(azdM6#rJ z>f*9e8pOZDcPHg$g{6MEkYOWT>U=!*mn#}$8kdpS$Z96w?xWSJ)>b}O(YU?0krxyB z?XQ0K4_`EqI{xX0Z$7Y=yFK{21Hpp_`}<_2#dR+8um>~Z4v(iaM_42+Qyca$5A75- z+?JLnK=Rd(m&Gw(_$;_JOFX55!8eft;XcimJ6Dwsxb4JooM##$@Y9ClXwfuHRg~jU zgPa@(ZWNCWJ^^C`9SD>g#pYg`C{1 zF&ptH0IoO@Rg)+kAArR`jfpb5k8EHoH+U`|%C?0Mi87Z8{kT^gC-w4EaaWnhiX zse~;|%LqZcLQsUKoG-A3EjYwm5>KAmr4*mm6=xdnO7Y;P%<6OcS~s@1q4S&G+0JkO z@I~ACfByETKmFyqAHI9^?EUli-~Q=;e9^h6qTwVGN!j#VU6R7_T$cN|vMUflk&azB zMqpT%W7CCW>Ja0}&OgL>U>7OAT#gOBPs`dp`#UumYxtK9w?vf91IOgoKosJ$pkciN zQ4$Xufk?tf;audsRy*cx5{afP#k#6X5yBEUcpWuEnm3kIgoJ^O`7yz2%rEd+mF^=t zS&g<-KT=l*S1^kN*LTcn2562saD*ox053VSE!VAygh6e&otg-F;Cc3>F|O77D>_Y2 z*JC}{``wHIud!b$n|z%ya78DhTI%-D1rIn z<#~oENd?F#uLpPuuN4ko|M8D^p`NF){QQ?6ztw<&H_S_XgA(h!u1UpWVa!4$${(ZE z&awqy&L9y^9icFmQ;vMol_Q~NtR@HQP;JAgHNO{(NchOx$H<2CSWd5kk;-za^mNFq zz6JZ~iuU*AOzt_dp}Wo0mrE$sq~4cP0yU529INT;^ybNZ`*b-yuav^ua!R1`zMRhX zCVHU;x1G&-e;R-HvAE&ytm|&D)AcNvWE$eatl_zCnv{?=Sa>;N!i$u8!x2fRaP^uM z1ZUlh?hlRh(0P+1Nu0&?*i|b@=*CS|)&1{sWV6&gvN9wf^Z9UdijcFjY6gqSUe2Zo z5pi;}^90o+oz*YOd~xPrRW5)6t(0`|xpO`^eOhY(x=QFY6ihty#s*+#cNGUuQ#k1h@LIWA!pg0LLrJhbNbwWBUnE$f@(>jhc===R=YZvg)Loph_JE0lUY7x) z&tBqfQ*x4ETcB$eXOMR%b>DQGHJT{|%{Adb``oWiVC4!Si^sy_nfmYNhdmr+XARI6XzWR9EPqFSgyd6JnWkPw=y zmMQ4Uvv<%LYp!uG-RLycYY_J;CB`x5Z?@A@vnbwHLdipBcIAKuWr*#nB0r4|)gbQt zEY|m<6PW$Uk}R4W&`nolnC0vVW_;q=wHViU3nCud>@+M15B}6FdW^~2l}RLSP4`LM z``etnLzH<`d=zy8cQIkjx8OTQ#fLdhX%-P9TYVIKOmD^duQId!(Fq)VUO2?ZV@_Vw ze2YZ`dpF<0H6)LNG37C#ELl*sAB8)!9G(92to9%d-+CxE3xDVeM_S0ERDZMqL*$of zh`J`t%n3uYCvoa->R}KKhMIdxq(FY87o0<07q5$%9F+icp(*VjEl+dPFi}m6ylK3Q zcC*GX!~J=IL&;LYr^G#%O8i&S7hujAFUL*IA8G`ysss=0CCF0^00ZWP`87F<7U1N%$)gFd$ zkT@5QL3vT-9ulp=?o;ZLE8@F3&@URZ@gNe`F+l05Ry55n^&0LXvs(sVdUXNE_9`;_ z7{R@zQHfz6rTVj4LrgaN#NnBBfx3m9(`QQcRiYfX=Io3z9J zQ@KZcE7iY7a33wK?YPQ4{JSpTN43XvAC#wG(+qBY_VAyUdn}{eV-z94&HN#~wW|xb zjyZXgO7%y5(z^3JGi2dSu87EW?xPgp7$dlkFh;qQ`v`8Qnmg5YAKSEcTRg0Bl78>Tn9y zq@*&pS2I1{yNh)_;g|Ei|=k>VLj>{p7XNU=yWmvfyr zcrTtnRm@mEajLJnEA##uV=+qf>qvi@Bbn7+Be=~qDo+(yqu^tc$lIc5xT->bs-r#r zp5oCQq{pZ{%wkMG=0tjb7|nbDezL*JQ{DI=jmpEf`uVmV@Fu^h+lmh)1ne!th}=eftBEoMin0vSE7dI&RIfpPideZCSVMY|$svx2LxzV5LZI=AF1KTMoGV`_s3_z3RmIS9V8qR>09@ zmu7#S7oVL6pH&hOK8y3iv)1dr?9!H%I?%W-n{;2c%h}!UNA?Gwm9GCApKT95JMPQu zHq!lhr27fmLqMan(FNQuaoKUPn#-D0SqVSk6Qq#hH0k=#Xw`AE`hj^({~_x23GTXhp)c>_M3nHSOAdrB&%04 zL~SXu`ZlWtSr?|m>UFn?*@bsA=OxkQR4_1%etH`^f4BOKl_xNISU*@ji#o@{ruQjL z*aqNklGRHx<*Csnh5uKLuKH|!-#(@^eV0`$88t~ygPj2l*#u@@-m+0W9CcGRDnSxe zllVw!+M%edYO#BTlP{BX*55v@a*@?b^9Vv{G@MV_DCt{oS4uOFTS0e7^V*oSPUl-& z%BUk#Z+lW>Gv^!>r{!`ROQDfT(jKnsW#z1>)U!()l@JpklCyx}2}i5t6C9XX5H}2; zFsos`x;)0I$311pWz?9+#QNP0zbV0R?}wM+t7Y4@+w27D1uw!iCQ>?GpI#n6QkqE# zhAXF;-W9&s%kXu13<0@c9_N?KijX|Iwv_UqMNx_g}^+MgwHn*$_Bm|?*Q%V7AdWb#_fCVSx%RT8(4Y+MwS#9p%0 zbRY*BIq&w%NrpE0kvqVlR@lsu)t)*AhXUnBEq&XO#wnC zb%fG)-F0^fuYay*Db~9>La@&pD5+;Em9UiQy&t@Kmdfyh;P?Dm_4e?C+hvfMoh0ld3MUh)(v)1;H*_s zO8K_qIN(^&LMKR|wg9<}QpP3l(9>x5Ldm4CAMqf2R}lp#fv|bGki!fQ5{3scL#|z5 zpYuB3QEtYhSsUed}_=BkV7*J@QGtkqE z60IMl{6pXgYAgZs{StU;UTp~8rb!xv(!%CjkB1hmrX@(?>A~yT(4wvV>b2&n*73=Upg1dgRYiY_)f*0mJsxw-Y87>EYzH0d&B2Pv6={vuRLygv`Gbb!=H$06R6?RC929HU0 zQw`W0j-`H7qtz7joK(FB*2Q;L)f39n|ftpUHo}mVe=0=Fx!tK)OjYVwDE? zV)fpe8tu%97*ijgB2Vp&-%Xzqy!Za=SO1Qhu8%dquZU>ww;iT*-m4JJ8)8qI=01wM zMjv_n%xlO)__A%wB*bp~c#lt2b^lHg;sW8vZ>rBVgmc<8Bn!kYX)a0IfFS*>g~ZvX zq^qx+#)yI4Hfhek6d`&!S$}E}lH+Nv0-*k}3h~~Qu(|yCB1FFRZ3fD8%~|YW(?^T~ zpnUEs4+aBeNg*o9pen>Q5nG!*GC(dJD*Pa9ssoi+kSA4nui>rsum+VL`WE)RHkBSG zF>Gm$9SXAbDm_g1(nF*1#o)5ZrLMV}sy!Tfx=OWvEIoV~!Pu63!SwB2>EU#*6MLyW zycct zin3{~>Zm(4lD-L#RuoN$7UBjuy`pk9KY4Bbys`N#1h`+7zih7t;>Z~iZ=2gTLX;H# z*RFxK(`pI~!@t}3-~92*MLvJ~;qwJxw;-k5*5}&_2#i~+VN9gzYT54EcIOU%n!Hsb z6)DyU)R5$|O|E$zL1o&c_Jwoa7Zni)b<>Y_L=Kgriew*0R8dX1WIfohYwB&9$6ORs z%g)PW6?4Q@^ZK40V$Z0u-(@|BpqM7-i2d7DtGrw_;kxRJDhZ#SQAJ(1>EDp`*c06C z?fzt4m3x9cgC#y*8{Rv_9FXK5Rl-BoqkP&SHj=+f>jJP%-;WBiuf%umb|!E9_pTQR&8z2QQPx#NYhit+3NA{Bcnp z;3da90lgP1Ss^0#AUql!q~iRVb3RmU>o8fM1PJKif$O6bQ!PT~US_AuW&aQ~cjpui z&2aZuv5VC>i*Qp9qA~`cz!m7_`X`F!UOeYfX4l&}-LDAm+&C+J^PcBa_ivTi!6>Jf zE5fcayA=K%Wp?KM5&UwkRQ>CBKjC=)^6k&>&fr(S{_oQ#26Ae$Uxn%&#K_sdT(0?a z#M5YGV_xbCZp+Ur<*AJlvivZRxNUabKf{UZtAV^+DPNV|(_5{sIC0jXil=AZWFVVb z5S_AKCvE}&?Xc5Lpj!h$+4p00b;`OOu`0XN|BgX6>OKup0oRo z{i9ZQB3hjCI5ZfWmqb# zg})j}ix+`-^&1txk**T%bRV7_4ru3N5W?hl@UJEzNQa!bP?6umLX+HUVH;>%a8uNLto#O47T@MiUZ=z?Rc4@}NLAw=jykT%bhDbqE!ilQ z90{gdy>7|cD3w%$sgwX^2IlQ%H9o>ey&6p2sM2=Jix`x7E0uiqc}!pIFuh;2!>;dc z;hh-N+dX@2*|_Orhw0Tj^Z~(r`D*pW3`UCS&)@z0%a8osk3YOOg}eAL;2qP}_;-Jm z>8A_4gP_@zH&Y1DDJjRRDVUf)V+wqFz76mm-?ej?`OOq`Z3P}6$DzPzwLj`CID*!l{U9xRuq+4{Ebq5j3)3!&O zv1yG^;MC5sVkWw(9c{)O?N2UCi4OLy&A6XJ@_RPh=YoS0& zhQ;+X_DS9gRfS2fAR!4Z``n6dD5xf6YB-PE}6@dg-~bzTd(k7xWY5AzHv+E)otTkjWXUdjW$muEL0P0 z)?(YSYox)b8R3!NkUslFEWh{W>BtJ{A6pzXH#Ylix_3W}vF^(i(!=P@vu)%`KN7;2 z9ueJM5nWc{Cke>Bc0cr&TxkyPBKr9yC3#}Uqh{o-HxIv_KP0}wJy*(8zqgCuf6*?| zw?BP{FTc0WsBr(U-+r-o2HtW_vW^;!M(mXa{2X5L=<@5QQEQm)N9MGIJiX;hB|@b; zv`bw?%6{ve8k9F`7{)Db{t)(qk~8N80iV=RHbHO*@2MdR>x&%)D6)@bu(m~xmRK}N zqBXm=2<7Y7t6G0G{Z+CscQTmyD4!KID@a0W+atf!?$s5Wsw=#}{~{Nv`vTk>IbgB0L9HeU%jfg%rQ;WksvJ zx^2=QKl0VS*`Id?YH5}zolHc9(CxLXDBsJ9r0o&j+sK#cVEorV{rJ;&KYvbE1W3_u zyMIhp-!vunF#!XoaT|AC`QOToOxvYS>(?#s5rFPrk_z=cSUr6*Slusb<${szpgI0` z+6=KCbM)_pjx?(;OVJ{B*n_4w52>4CB#iaQDP&BBkHi=!7v71}K5F0MySeJbvSEyp zy4h6w_M&q%ih!p31PqmO-+R(ymP|Z=b2|k#)L#ZpC_|YRN`i?LtjW8kc0I|;`msNz{qd2`TCpV8aG*WwP7Ue zQ;LI;=9h5hE)Xi^mb63g&pxd3Dt2p68H0GgPQDmvzAGEWka}rP5NiE&JRR3-kq0~U z-X_0HNW(YZfAhni{_^9;mh+qDil`pUIyveqB-C|Gwo^a=>gz_Jsxhwa8Yx_jW?UXl zT7+wkse$gMaS70#mxn8H=(-g;CC_K>2x66%$y=3`E``#a{i#GFPON#j7fF0Z3-~z= zarx{t#Am3Oa0K@6vcJzs0jo|oy-q`%?v7x@iX5JfV1L&s_xrE!opS!=$iHjqUsrZq zBggF_X;T=}uIoIYq>iU)9u(Zv=JIy8R)$K`=vj-SBT5x;%i`A(~vL79I`n96kRknw+;c(c}+N z^~*f@OKVp`hLdX%7JT`_2jB4Mi$Y75PU5mIwQ>H8Wbt_*Nzz#Pi>PrbO|8$$NtvC4 zx2(Qx@QmdU^bZ_5$v5(1L0vsMMlq zI&6#QBlV~YIA{!NvBu+wr%xx4@Kj^G;d25B8+%P4$!d7f1QLzl_V)=Sqp4~7+ys)A zo=r`Xu{HiNfh30Qnm}^2s&bo*N$poMY1I&t&}EJ6iG}J!jp-!*sRGvRi`2~kHb(tU zV{Af|s<`^mzZccXn~MGEIp`zh9!pj zV!WdGfSj=G8VP)!3*i)&-}4x1IPZ&B{Wzvwa1iE`IgvG~Pc5 zJGx~Hpa|#FAw3-^p{irm#k^&siveP5xlbVhruAt|Vo!G^+d^~;s#?-q$Z?sA_ZMUb zG5`USYd}FlK}{BiDG^>xTruG`jn1aZIcxjzLC1F1U^d-LYzdqAWW1q~ba2tsA;JBj zV>{>6`G2v>WMe{F0^X^axFC);{&Y?|UVhyBzXcE_8K86^I#v8fLo z+wpO3t&X`Zf8#*(+ z7QfBvQY=25EzIddW78atQT!&M%M^fR%^!!qk719~+Z=X-5vude+~=@s0_d1Q(iY7i zk>1Q*sX@8&+4d^)}-nIaEX>}+mg!QG=s!dP-fQ*{Z+ zS2-~Vkg0Ua)X-PMOMBC1!!?5hh{Gv2{n6iKCN($(V9cn43wIT}F@uEFJKO2U3=;J> z?Ln!sovm-`Z_)>_&ev3L%`1{XBTnI1*}qeTSin=w_Py%h{Cx(A`T$3N)8;fmJ-4+S zngXL~*2feyR1=37bgmu(cAC5(tJa3BTlJgYt_ryG(cLr#kR(nM=S%b0i4F%o7hBaB zk;BfItMAVWIDMf8)e{Vo&0`+>RROoKSDbfF-|2InG%GPLOLz<*$@lIiX=t-&qr0gk z4AGrwUL@^3gG;%PEEz@wJ!Lsz`MB7qq!F+%!#+SElwRy z^VQ*`NS0scv74yM#0bPWoT#;A{9Y>HI9`M;HNBcKxM8loRWM(g$9_*m=lci}++uT?2>c=)rLoxT zFt)APiFv4Hj4Ou;rIpElJYkAQ;dp#F# z;uIlO4aUh;{I-H29Cmw1UYsB_{g=Szb^0%X&HMCU0-M|PAA!wZt_+*`v+w@;?VrDU z-cf$cE<6lkJ2iuHUj0$|N|^f`&huHbKO~|g{7BHRc9SH~kfIwBx9a{e4}(zQpk4QO z61P4NgIGSjq-=S56ewsW%{wiz@^+0qTHg3~GbCI*52iU0n=x5U7)mcpSt^BLhmxva zjt9aQ)-|avp_P2R)g`RpThQ{0!mXlo*ND@!jk<)cVjX)gU)gd77(6@Ntm>D(tR!|X zUzy%bqbaW)Zg01h$p!Odpd7D{3^bYPLmpZKyxN;eR9c_6m3`b+Zh1T7hO0=+I|KQL zFW+L%fBEi*Z-4&wEB!m<|9^a0aPHD8tSS~*HLDLS7|t1F`OH-V7Fv+MWB+S*(2&PP zr3B|ROZX{*?SV`Wukm`5ZPw3CN4-}UhUwKv_BXF#)FGBf5ewD;t8hAeDumLli?bsK zH5z`@gls0QoBI5NG;~8gG_9F`YC*jltA?%~P_PVQcjb|pO7f>(1uYm+hQ zSo$(;8Aj-306dLT(!(UFf=MZTRSA^EkhAoj(xY(<8}w#eD;kwH?V%r22H%EM_Y~c7 z$)jrQ*H!t{wwg~KdxV*0N97aG2OZU<?0)ibEV{{3b5qhfOd z1*u{)zN^?&ok0rYs{3>G^U!@KQGeCXyPNZC&L8XNKi}qE?}--)fM{~6&Su%uWlT44A%{;w=APn#JQ5Nxd*5tilATzw#Tfb|V zM!`j3-Bkt=_7k9^;fzai4s4}v*ELzEi|(PeR7we1v|hucuwTGLh7)Rx!CHx&?j8U& zzC{H%OHk)<7@e+Cxsw~a*Kg)a;eENZ%8ZmWr+4Gnocj1bZSk%z^_$+k)nUDLcu#Mw zjVjCw`FwW;TV4WS*TWs@ef-NwljHX3S%FiZ8Fn0G4eoP;pRvv_-z%D*zWLk#_q&gs zuAd}E?s?qZ)TEIcoINMOl9#SVY567M`4G8V;2k+Wd>O$nz4@|icK1$g_05;HA=ayQ z*FGTg6CveSbJ;J1)bguXuOM^I&Ny7V68#05gjuM@tLt*ef-C{Ut+uPZVhm{1xE4aM z@NpZC>&)YFzCKE`6yOR#qYlfkO7BlEJ<##0ujhF~yArwZ0oOlwIsbr0_MYCq3uwOP z_tQ&d@822J_ZHx=jOn#DtG@O?hcAe%t{+U}%T+n%hkyC@`|tkr&0C237~3=uL<0Lb znk^(h=ND1co(`6z`XLhGq6RHq=CDpm>$6f%3e};(&VNQ!wS`y2eA$D$#iqKCJiUrH zyKzVR65Fz3o64xMFg|dBL5sy{Km!Z26u}_^m<`i_%&_)w3G_i_lNHG~B2-SAK2gKb zoSY`+73j$Eev}x9Du6v%w&^OA7!udszZ|dfo_R=j<@{Ix`^G$``f2H7csX9` zDL0QK_&8oBNhGqarug%9-M;>#n8)#}PuEQnhGVont77}%7hSrw9FBgB=@a|tmf&d@ z-`s9PISg;^FtM&n;Kf4LO`Z(EYU2f@f-MXw*|?=>#9qKBmUStd(KWdt(-$<1L&VYK z4c7=rYi^cs$8^@h*->k(CJ+Wbkk~m_jaQ2%_^j4{T~Bs3?+|A-LYXZFAsSrdCdnx_ zY{KNT;q2emw0m7!@>Z>v85>bkC`KwX*nJmnjkw_1o8wsLyHX!Zs|t=uRc5i`6UtWR zzP+yKAAbGEKYkR7{QocQe|%|ck}~~r3m|`$I`Cz#9Y)uvv%y&j_Ra!F2KozkGsk=j zermG`^GFJ4n2zqJUED+BbJZ22BtA!HT~^5sF4479y|cCiUuc_%67kQ3p-=5G_wq^@ zu9|yE(5Y4`h`Q{@k4qk%E+%ph7TR@)on}$@Ix}88IJnJlddyN^#DmY(zt}CJNB*a>Y zue*@WEUik3dja2osjNVr!%ddcZJ)y7dh%woQ^H z<20>%X?$(>W4hc43+1r_tL=qQvhpZiVvz)U%sAzuB5}OKl5f1tGJzWQ3Kc0V1Bf)7 z2L|Wn6674ZM1kXh`IvYD{^z6=BbZY9L@t1M*=ETgP9Ct_v!4(BC< zsEOnt&X9);B1GFs#HE$QH8D93o{4r8ZyZ zy{ZBW+c~A<7P@{(^AOMI6t?3Sldws6;|(ZRnk)4rT7wENV{_{bKPrwrmI{f3z3 z{x#<-i#N^_uX|++CFu)m*w<}tYIcK@q*>X*Dx;C&K^HvQ4tGtxlu`!ni9-bSnO3u? zRF%cJ?Nw44N%=|0;f~{k3y(=xcBspq1cO`g(g`lI@zUB}>&`QUsY@#3$`<-8Ezemq zV^w#0s(wOK%c3L~_H|8{3}Wxn0j)YQc!qVzAi|DRIhOgoWn7DXc1I%La%mp^PcxKD)+1h1TQC zoU5+P?s`|s2(a&#Xvpf09~?=-sDTID zvM4;Q=Z*`hW5^XqHSHQ5%L$k0Ww4qB{FWpvcL_v7v;=xtMWT%^h4DwbBoOC7tdY-J z39#F3l1v5;ZdMTK6%$z()?YFia}~Pn>BQdy#BmWIN#Ft40w#p-ye&%2RP{4YtCuE= z`peX4K=@XYAnwX#S4sF{6l3V66_UTwgq9dAL5qh@#u1lEEzK@&R>{<-P=@q+E+NEz zZ)vW?9>0p);^VV5eaD+`PTG=cgP2;7PzIESMXE1C8AA5zm3Eq{XJPzmUKo;aoxLfS z5Mp}hWK4L};69GIGVjJ*ZCh)X5TeqA_o0`2xpXqFj^^nlO&DX^R2WkTphl8#o~ZvE zdg(t1A(q!Gk7;n+MI&W(;U552R=KF#p>%ik&l|FGs4F8tat~H-Te8yd_FkG?CKFyJ zheWj;ewdx`u&eTefQ(f-#p6K z-`|eyMzg*!_r<^|@I|wf0e1Wp?*hOMf*y^S)Kb)NfoecVpfPju>Nv1PW1=qCXu?c<(@1&A zs>7C6w#63QSj&2;4OfrvHuBXPd%qmSH~x^I<%-H479CjrPfVkDsll%n0)}U9V+1Jn ziQ~r5Wu+h~*9h{e-)WMGG`SHUSdOS8}T z%Q+Il)Q=Sic{@j)unHF3R#60O(zq(Ud4L}%5MMTdcJS7kmtIr^2JAOyIeq3hbL;^= zqkV(;Oz?oG)ubYb;73yrs$N@Lf)p=uqP*DeLdoT@!s^aR7Lq^9K9bO;+EGbRNcy zC@XqGn#FJP(SU%92FmjYi8YL~?iwIG{L#8WLs;t+ipMENP0fjZ-=nwYUVa!fBycFJ z`)f$(}hOW99<%dQEuUO;; zFnHBf=VO#wE-Jy$X{irBv1s1sTql(ujzh9zb|Mr;y6XL1`JvKQdbQKDzakXUc;Uz| zY6Kv>RNw~$^}VioYi>JK?AHp=g*e0(YX}qmLS?{B6Z5X>!#khA$|nigaa@-8T7Jk8 z6vA7Z!<0At)ra!KQGJ+0&)BZrE!@BK{nUK&59Nm~sL+293Y}eGg~!p~%MZ_a@uT{% zsroQ+t|n;xU3-s1Hp&kp<|MuE?Yx&C_LCph`1nzNs9eFQKHQAPJKTUAf!J8{yy}iU zx+C=<7AiOf;*A<@ebZ2Yumw)4WX3tI$|^~EuZvH}+u)YwiNMJEd2DOXQaV=op-Fh? zx>a162BTsPs>y@L>(3=WqhK(q4`o-mopov}cnAKqNe$G=v$9BpVIux0gePyq zZ>$2|AcVFqx_KAVPS(faZTJ=MZDa(UFC;i^hs&{ z^13TM%uX%)RepGMdx~7#%MW>Cn^)DEJ;;S5>4kWSWtYU5!h-8TKxJ2;>v7re zJC1PeIJ!k;47aG;sK8=n{03e_6G86m0^c5&9M4I$&r%u1)__I(x;%;y^N?A82qqm~ zqEl*K&{#7KU$?xx!{98q;G9%&q~SESz6d6%2vLG1Mq)!*I2&ILy8uX^DoD6g`215Z zsZFm#bVm_leJ7Y?#vrk05#kotTfz?p(LLD3cCSI4c#L*u7k8QUG6bjLk|wM9{Ec12 zXuc$~K51$gfm!2E1#v7SQgz_3osXmT_!28?prX5IlIB;oS~xO&w$n2KuSvV+oGpq>EBaV|ZnbSH`{S#%J`jhtD=W zEw?UCXWvU+l%q`}VFn$1svW>77FW#QZF(|~in9l$m{diQUO2^5Te{+`+B)dFv^dwd zMzi1i?ss4R>Nod<+4isB{OP+Ne)-EMJx^{l=@m_`V4tc0zvQR*mMqaJwHip0eyG0r zQLnILvb#i@tHiz}7&0j>T~2kkwr#ZO)s{7q-rY`!c5~P0&$RAWi|rS((#qo9N7BD5 zrx{u4{k2}{1;XTZ5nw+juZ<@=zL%%G-3!^zp}`NdgjLiV{TxyGH6z8z3S zNeh$`yi!4pk5}SXgENQ`cnNiK%?Hfbs~HBf>pVb)Hx-Q@?ptKFnzZS~OuRqZEvfZW zvYXoKo5nQ}O8MSnl|JAX7X}B}yar;Guxoxsmn6eBhSl0mG$A;{D>#`27RpQIx#8C8 z$OLH=8W0xf2#3*3#W{wVXuHhNNvAnx7R1Vr7ggFgH4XCROP;pAo_PEn9L%x zLxm~CdUMYN4dwBao zi_@^H?F4m)go6QXdP17CEQ=U&Ww(jAr+Uvcp9yQIY9Z@~RlzYwLnS~u3_FB$Z^i;C zAaCml{4B7Qz(LYTEVZO%JNm}pm7}u)*guzWTl%JvB^?mrU>jB=P6-t=*z#Cb9h4o* zFbm{$h5^W^d)ly|g_O|L_-=8+B3zyjR*gS<(=+3b+h z6>o#bR_j>&*g7Uz3jC`^XVm*pEKtC`cdYxot?6Ad2+ta%k*yxgH|`cEg$b{5O0DkU z6Y|#Ibkp0Bq??|~teIGZpI7cSLEe@e?&R$@gws{F?euZ?p;%qyjaSb}L(TowI#vdE zQ(;#G0#6z^6`#WC>K%hIgh%g~$Q#b%&`6DWzm36?=c;a@p&X~yF}PdUp$U*TNMK0* z=4L(ur)H~k)BQ)?^k&9PEDgOi_@oRozI4+~ml7h5ysWDjf0b^~Mcy`;kS0d6>Dy=> z^LXT>2o3FqNU1bl*Wt=*ZtKLF%!7q>Hp0xhN)E~j1$C!o@IIXS&`_6E^3G?IX#0M; z5Ju6|au-maU?@45qHHfm=E@66qw-wVWc1@kFt4k*XVio+pY3hHo&6g4;SEiY8!K0&Bzs`%SM-j<8JLGT1nYw-uC zfHfgPnX7$lxwns*KD-MU8HjEtk<2<2)Xx>VdMT(2?j&`y;rq(AO0929$J%ROj#(BQ z_t)WAc`ESIqAKTvi&Fns9jPH*s_&W)Up2DRN%Cu?hCXc{Jm+s7u2gi_P(tJba9T8j(#6MJ2p=1C@~uhZR?W;h1Th=pw3zm09X?V&*nP2A;OrKcLM5R%nJIz+*VCOoSxJz!Pf5mW2&59G%Kh; z-D3Py>b73YO~dWP+(28`gskwMx|yfe?Pn%rc@UGz$r=mgnRnf|eKdersrL-Y#E8nv*KX49$+ zX=v8yn6dEqPLHA3-Hm&je+U1V{%r#C@8KWQzfC~?J^W+(w+YC5Q`frD?$N%-!Ki2>K?YFCAI@fftu{0^SjYEk0edM~Pm_Q-EQKzbt;-l!vcg8bJa_)!kGBvzcY%5WBPh zOS9)R;H!(-)KcXVAvXa0HcdEXxi{FL^Z+Lf*df>+6_0(cC30>+20=rEF?g^*tutO; zqLyh67EIVPhw*l--2v-bUk_ej(iquffP%MGvr~;P1)gG_daS?u^&fu?xBfr=;j81< zvg?1ZS?hAn31i6}u3aVYeLtZL(7nMSV1|2Db}1@*ChZBUdC=yjGL)=ZNJ7~pNEt!Q z>RBk#j>v>>Y$hAkr2`2#2t^0Df znkc!%xuuP*3Jo*{!8+wV;EOC*$$U=SHEVdo>CYP`f4*GVeEo+{R7$`4$MWsBe||si z=|#&-L$Tn|#Bi^yg=T<=R+WB0hB?s5ZhhZ)RlvQ}q%d{Fd~!Cuegh7*Vxh}TnYnIu;G7f+;al?xOAw{7?JPlav+>MebPX_o zE{ro+sXSIdO;h=`}u!MT@fh#_r2dm${G3 zhJmvldt402+b5~Zq>E1IZK!|Tl) ze+IM#<$sdXZk@P%z_2dHbUz>wrat9SU(0`b_xmmp5M66`!6g+$;#8GbCML9@GjFk3 zuhH?lE*xFu;AhUqq`N?g3e*+%(nPRtwdSr7zYP||ziFP($ndgBHt$^?mj$-aA)Lp0 z=#pRxDtv^LYI4<3n2GmWf|B};bxQg0H#h-NKLYkP4Fp*&28Nqgd^QV&$V?nYl|i>N zpMJk2W)7>gm~ND?Zldih0?2CWngBZP4BvVj+tCv=3bQp39I0Ce6|JqR2Zhyb>-?7E zwWDTq40!-l=C^AC)=XKGeS_hpa7^1WfA*SyI6_3hH`^p#qB-LuyKZH5O|ag_s~v0W zUL2MsZdF5eU}g`A8KW`bSm54tbywRmt_g~2M%%91r+9c`ijA(>GvC`I~yxlRwIk8nN zi*Q*r;hpH~HS^kN+;+e~uzX!>AK<8_V__m8vk)q<(ydxZa`HK77UZ}Tcd9y)v8Y{m z?;)!eWtIM)#%-|*AgUB4^D?pUMYjXepO2jRRd*|Uyb&TW`yOoRlG^30_`GH*2kAQi zBJeDL3V#!M*9oHwx_f@9?Q?E^NxdE%YQ3?@GWUvwdm6h6(lI%ysq6+{S0 z+}WWZK3-OPDygcBS>9nxc#0tq#Qs-1?S~)opsGeB?5e&O$86=>h}Tw(d6IynHT z0@r~=B3#P*&fsyg^nR z>p)9PQTlSswQ1@pLZWuw!NQ^qjWDJNAUDR*KsX?$0 zjaxp^jM;H6O`IEzwDX|8J*&IHNQ>O|5zD0ev06Z+#6oyf{WDH2Yb3^6w-s=C;z|Q- zAJn5@ebY2sF+LVGZ3>Rzv~?S05`qdEPL*ya9ydE9u|nJIf*ZXGN2l8?l`H->D5bnW z78c9-%o3N$a?DxeFJf@}esx9WF*QCXTtHYrS2w)W1dGr>qSLtNH32_xSPiF&Tic#p z{{+ubyt}3@cfAyBb91;`n{esP=k!7re*ec$M(6!w{o9|v`QfYo{WdcDW6`LZoCHBJ z(^`tcv*rVD3!5T^vWBxv_>Nn1OY-hq6+O4zL#2pk1h~3HU|*HqogB!lp$BGH6KU8i zhMw}xYc##)OWC$8AD&5cyAj{g85*TIZ59%sAvu zrKo_aiBKsKFPCdo_C`|u9GCghqYQ;OpkA2ai8k05w)4DHOie@?Lz zx9(skJdshoY&RoqaXYHAGfKM}-3|27fv2ja2d8pU?}z7%v@6g0mUe}_0wqkb#8w_@ z3frkpnshj84)5_Xi_&COc~@3vHkwZAq*x$_zo}eSEqKegGhvnCH#dk~QRRnm`=WXs z@g>eE-_o?lXK*ZvE|oZP8VOQ-I0)va1=dKOyyH`0i7ib|MWIoGo!zOuOV{e}Q>vGO`Zk1h@HS~`avsoGe zno8s*pdh4>*q;_aL2}2~_uK$*jd>uNJ&6I%S4n z=X?%zvG*0TTQCyroF3z<`3!%!_?C5j2zK(uU`>`STv^wE*=}Q6bzIt#_>Dt_N$@G? zl(HE8F|E2p4UhK6rVCJii+Fc?YUC@}LTzA4O6KekXXPM<3flM^d>zafq}8jeYpQ0K z3SqrxUAK{SPn8P%3PlQ6XcI>kd5`1{+%e)eCcAj;Uy4bD+xdz0p3nc1I zt5Z!2QKQ-8)rog$b=rF;p5PgLYF}Fr48mql)d)Mn{n=qoV`Q~dz}%*Gygf}gF#%59 zlGIaTfzor$YWcQ@Dk#rWQv*$a*}G>WUf5?_Hs2n_vT7$&!WCG`s;hPV$UzfEdQ$~c zji;<9odjf^qbfc0dVrv3qFf~OvPmp1ArumK>Av>Ui8hs6TqM*9lAokc>h?#bRYkIg zMpY9Jg@T+$RWDZu-gfTKQPoBbnsBDodLB=Cw0RWCsxP|_Z*P+o;FHAaT-j92I;P#; zJMc!0Y`8k`j%Us)dU(TxoZ}u7+ zNQe&mG-PJNH9aQYC;Dzh1=)|`F^WGA$-R6{^c}-NOn8iA@O63)j+97M&Lh*BpP5$8 z4ZKu`)Sb89bs4i*PpQPmGw!MAE>c!g0V3-kyYFogfLS@To9bb{2FU!+3~22-u9 zZR)@4iv(qSNK2Y**u8KFXWBm+@TAd+s{zLkaTyhVv9v=xEm?f)Dvi!nvc`}8yXnfd z_UC}-^qeuYE8E(h8bs$qoyvqU|LK|(vSx|Pgy}vit6mg){lbuuQCCqBt&?HF(T2U#9 z?jBKD7|jEoVXDIY4=Uv);OcOnj>Allln2TFPNnKarAp8}Igz3C&Ei)Gf?_X25nb~s zod>2zJ#GRaloFCkk2KSKJ>8h+sLBvj(Dd-!|1Gd?8@`@@xqS~JiV#os8UpnEHpM&iNk7FWa zSr(rMZYr>`LK3>Yn+J88PMkal#3-}XKrH(fB;!vckX}E287Km};KWP!bW8IX*VMD9 zlP*KNS}&IAm84nHnzYC|NC<;v?>)O2Z0b5p|NJ%!Ij0eB;iY)@RA;gF7h< z;glu+wBpcCRh%dl)dzJ_FafkmI;p75QYEXWlXNF5^m*vKXgFAtEI@Af@Pw>T7CaI}NxJT#24eI1^Q*b;{r`V#X6aRYdK5aKvc`&E8 zV`#lf26qah)o}3|44~;c2?}g|)&%rIkUjv9(k;O1p?))OU+kDYVJe zib4?*7cS~lRj~R-op4sQjLZj~!7JG+>ZHQ!^@TZUY@T{Y;D?WbRZ*vPP$vVt!{%9{ zL7h~vYL3@>)xn&cRMW+r_UTET>YX~lskYP(_2@sS({`VA@Ssli$(*VROCkA_=31S` zex5poQ<*6LpiW_Ubbj-&AL>;nm2tjOCkKp#Iw_N>9r`?VdNQYcXHJu-Q~XGsR{o?s zsnf3N_CcLGrc{X`W*2kP1x^^V4lFL+s|rE6&pUPUqhK|qeVtPj!(Uqgz4vR@fvA)7 zHv&+8VNM!`Cn14H!Ky#&MV;E9PVR*|4FS4QvpUpE1W%vLX{ve1mxwx*J9RQ(ni`}c ztN6OOc`t3)plB-b4MsOgRrgV<8pWJoFY}5an-%le<4y3(66w@4e7;hrGN_X}PF1js z_tL%?yA9^#uPFz*VH9;Tck0CUfXZK}liC@(GSh50@#ShWtU^!jrYdgy4Sq#!NBLL> zb@HmKkfz2Pb>hLHCjCjB7I;kwuPE?`ot$te!v)R!Zt#Z`PN>yC?pH%a{j;sl%$apHx&cKVRy7=BP# z%4x$6%{a*6rt8(goRsHP7tMn>m6aIYRc;D%YJ)k2SLW0&?Ghsr3v*JLn3xlhsH>vN zm#m^QBJQMVAePXfbd#79PQN~xlg1bnBj!{kSyR-eQ+8>W+=uX)<}@E4y9=lH%xTE`Vt;4F?E?t=N9Lq{ zB|oMquiH}Gi&*)lqQEzOPAS^^zssD=JL0r&z7Sx}?WxacM@RX+yU<+BX|E3GNJPVM zb@2eo25zef+-dflqp{bBOzDx;97Gj9S$u_7pDmRK=dggo9(Sr`J+dLf}=ZI@$Z6#Y^1xVoz_i zs$XPJ${W0pr)jXK{JvII)3uAl?fsDEtZ(cI3Sz~cuIah&$kXqC_4i6uFHOW%jA`bT z#fjwS!v1M->RO9bLR8Zoh;@dLy_m%x>0X>VrbbCYOg;5FTz+&GoK9?iNoBC5+2~@a zaL#9p7uHl&o4Tu&$hE6B^=8wg`2{=yYY&pPz#jLgO?6?c$yJ-GDZB)0C>vXLgM2xm zP%n{R0`4-oO;rVP&*1RT&S29rTB+8m;aBh~xb-1+U9Y-Q-3Nk%)KDdT`B9Q}^eIZ4 z2KeuC%ZH@02#r=%r8aj6kI_Be%DbFSx6nWZY)Y!pO~s}#yE=+ZRi6X&9C924?bTE+CjHH%oom-fabbwT=1qZlgj!1y6ainrlD_TD!PPMHZSN^LU zxZYyIam;5ne3O|gUMJN&rLtQ1B2T}}KnCN|#<988qS0;($M*(VCB{6}(0})vKmPjH zzy95q%S@jpxx#__P@EsGRMn+kaNnzgfFL_2o5f35WK@^lGbQjL%;ct;i|qQOF(>MO zcEGcs22bTBaF)Pm&KB5h_jtIQhDCrTRG(Bg@GoZ)+XEA%q6W4J7pUUO#i;&QC@%rZ z+SOWB1kXV{(!6R)!`(tZp?Hg7-)kxGS;z$7;+z&>E}Qr`puhgZAAa{yeEX|^od5Qx zzy0)2-~IB1yNy}519m?&l*Pbw)}G-mwQ9II3>qt1Z%)-P2LUl}Ti8GpN|Z;;7Lrg} zs#70YZ-6$e5Qo`_e@d#Z`3CcaW=QN9{HUVI{f1Yq39e(SN~fAH{FYi>w+ZmGOTZYT z&Pjp~{8G^U)Dl!?UAqQC7RlLp1E8BZSk8O-7|bK^vIN8*6Mc~@PEWN-0hr4bACJaW@=cu|xkgqbis#F-5Dy4|zr4D+mrXXDlo;vnDA zgatYm)HPuGu7=Ck2G)KfP+m%=aWt@o<^}!OWPl5?q8?kj_pcJ)ycBShJTGRWeRu> zWoatX#(Qg|(AsWl>bDZ5n9pSb&FKRDo%B#Jqk*-L+kmYCP{wV*Ix?w4KoUf)Ibv9` z5~;cXJrchRng#T1w(opK^+De|k12@Cl4A8~16Ral(}>7JN^6jxF$EC<+}l3gRHhGj zhWlU`L#R}h=-Od^JA3?rQ%|WEyyBFHnvPoirh?EsE)Ih|f?u!3?_(!X`l!De>34k_~l$4mNPAZ&Q=QwG0 z-96RnSS>GoDqd@3TH*O1Hg>&hHXJW^yGiV{yL1;j9sR8yQa%+Nbk8c%)LkU*h3|+j z7Y1fDxYj+a8tnPf;A-~!eL>PlnMa3fU*OUYbs~+S-Rf8+5Lp1C6`+|DSMpS4?VS1Nq)v#MLa;-HD zxzi8B5$~0&Qn*!vt5U7H>y}<92yeMA4E$Z4=vcRLVQ`KM1E|4qsET={TIa<;0FJ)v zibN^kPn^~DxTjjB4>?k;=c@V9s$3OcE&Do8P`Ej{ zd+F+))=Qnp0Wus8rY#zQy&O``-T@Rwf}%t1RBUnF7mVh($rA)}8y5x=VFh*0D>Qi- zsa63YjC;`oR<(U<>|&{EaE)$(y(VvE%Wg6ezh8B6H;fQ#sYVt+o3D`(cx7@l0 z5e`LB4tj~YQ`en=mm-k{Q&fVAfv>?H%<_;sop5^Fm2Ks7;x-$qGix@Q)kwxjc zadghFOUonKsxCGiDKQ5L5={n6(w#vBlH0vn$ImS%j9}auEKQ0;{(W03CXhZ{8BA}A zL~8Jin-A;Tok7qLxhQdrE7@A@`wG=@Wsm??({_zT{8W+XP49I3bRy6^rXou3nZrDK zIJ>}58aA`V=5J!()bH2As0_0`u|F8d!@ z+V_)U?Ar_>-sG6>J+a|U(rA7ZyB796l);4_LxgIQklKD90Rp4F-!n{272~XK+**`2 z>C23(U;p;kUw<64_|-o;n9KkA_NyPh{nOPT_esU8-_^~Dg=mHnIIEeQ3x_<3X76BT z$P#?D&#FK#1&*ZQ7^_Z+IeID8>L=?ox7DQl4X1 zW5KcVQFt1nrwj8*n4UI?vTA+2l^>|1n>Yw}8%jYy=p?ns3@-|0k~F7N&a_A$A4d}< z@XAUpa0dLOvBWWV<5wk2Dw!O0CiC9H(<9>w0Bch%5||@O*aGRCg|}i_^IhIm@_fnf zYFIeO^qDZ^UDcAK?6XobVN0`{R9iY&*3fr_1sT6UvP6%PA&xoatfXLHPDzmQ!h*OQ zejKo*SK0;>Xp=m=h&ndXG-v~)2l}H060%@k(j&J{M&@x z43(g)oa|jC2$HpVrCdLZi*Oj;NVx*Tg=hg4njnFLi^TGK``<$)D2{T~3O>ra8zjpO z{BGu6)uz~Rke5nO87e`TCyPH_IoF3u&~eR+7@4Cr;^E9FSMBNme5eHV$GnJEpyVQ1 zHcYBl-R~+vi{SssX^H7hviw6ONb|_mP0pt;k8)KIcPxv_(c+{5dm#;$rDmk6K@OZi zRW*uN@hd)!T3RT(lASR=s#Uj3B?#b>_h})L*d6fQq6#rbcVC&+B{O?Gsxp`Ag_Bse zRDev?%`7N3YkJf!WlG(6PJ~)B3Kv(|g@JzU9BWOC2r8>F^~N(FamK7grd0H?E^6(N zc0|z<;;mu8RlTDcdbx%n@TD0gp-PoNg;9Mo^Qtq26XtVzx(|#fi+EL659≫%K(G zt?CZKLlPy9eAO6)T`p$!d>8%-!X0y;cU%+s#SPvw-#n zi_+|lC0q)xMz!slinU4M)lMHJUYD#}=XDzruNR5(Nt&=x^Iw)l8STC3cPgN@Rxuw9 zMpD+5*tTw?2&uf1D4enpi7FaXqXF}I447~42h0O4mECX7rdUr&=9X0?itVr+SF_%e zu1A$L%XmMlBGI(G44B_Ji0o8W;~1#(Gxe%(B+6(Qwo|D`VdMJzA?dn=>7f!-hf0vL zu6e)eRpT=ApNmA9^fq9gK-|Tl!lE&K=ScwQQ>)vh5>)%>oeVM|)vKx?S+;iqTZ#$*i(a&#HNG=u^TM@*t%saL>$4+tYgsV2bZW17mdAkkfQ_4#C7pB=v}!V%yZZgs@j3sXmt)a zdf1~%MBom7(5XlAb&QYT^SqNrkghF4YO`{$*t{D3M(*`FJ>tr}=3@c@y86@z(#-d! z`W0|dYo`i>_~W4w1R{NE1Q`i&Y`Xa#5D0n* zt_18Zji4wpbv#GRx2tlsztaeMs=I1Z;091KPmQ20jNuWQg#Kye>XS^_22mO6uBu1N z({slBCGV;N^(u{^`EwdU8Ws&^$ws}Mn*AHkOWk$47gsg2yQzM#Y#$0qLkD01&q+P>dm3F<)6z}R! zx}A7yrR&FF`7D7~P531gzmo{6&zY)S6DNaGcj>)EP=C@P4(xI6)0iwTi69(oQpfOP zrYhfm9PekU5_@vJPefe1a>9?nnNz~iJZ3}h9HTcn^y}aK;WxkiM5Od@|Mcy5UmZXG z@~{8x`|tk8V#iIR9KBvg{%Xd(&mOo<1@^X&D!fr68yOdx}j9FSB< z1{G$NWcQpktwaJ&f}YA6v0McB!ziD6s~f&;Z}<$i<9k%7tZV$!x`{|&qykh=mFA_* z+zjsJJRu1qlLC+FC7d`OT_EaeMi*k{)Fi035%iLt1L_Ost*0uRfCB4s;=ZI9qE7qJ z{CQg-34JNiZ+_9p)~MNTMwq*|UFx)~c9>+J)}0cvN=_o98=QStu?qXrW^k@=>P~L3 zUdRymP(!fWs!>Wjo3e)d-^7X;_1DKXbZ^wrV(t{dm)3bos8=!?E9J!vHD_kvE#8h8 z0ZQUW>~zn(ROxp|P*lT5s>jWxp)3kJhIn^z2F1zym^pymkjam5h9s5_Y4}81RDM2%$b|-O>WbbdD%}%i&`mTozEsWH8&q34d<14apy%Eo{5*)@fLT| zu=UyLdPUNr`+Y8ih98A2ZA^4Jk}RGz8M1o1Kd^7}Xss^Rg@RqL1;a)RgDDn%u&bc)3f9_FX-rJ=~>5l{b;E zx-u`_?*N-*2>D>)ly2&10EU)*Jtfi)E>hy<#H5sX(X4dVZ3C3+3YY(xr6eYYBcVQ# zh5~7rADNd?VJUGwRX}WKP8z6k)Tv6a0wA_x2s_8QoVy0iVa93_XC(_qO#`1ivB?rj1n&_sj+@4SDEJO)TRyhNpkmq z1CR4N*gAtt&tqoDohoFBNQta-Os*o$(@DT=2#QL%=orc%gI}-eZ^JY1`>xiTbKGu7 zY)F%vs_;#bx|NuM`oPU}7-e2IQ3Xy%fTgWOIWH2Ala3oigJ8VBqYAq+Qgw$iSaUVF zO(k*G|I)MmKI>geoRhH`KQmCm=TgD&8@dh z;~?;lH-0_w>s69{4>?glsajzP$n)AH48k$nrk-K*pgqj|So2Gh+p%d9gyck3GO(XZ zl`=>WRVWu#cnE_4>!!uCC|J3dOn=vXN$^qg^Y)x2Cn|Lc=a+ELyF8oR2!V@+xU%Ii z0#5i|Bq!=mrGwrzxrr*YS28=c-Ide%P3d5iZ{hk1mBh4mUA&dCq|_@P9YS^e98&P~YxBSVf#tnDnPed5Z$e*aj^&MfzFH`28b(+(CB*eKs3pGm= zw>kPd!q7FbIhAAP>f z`suqL{^jSt{`kw=PzbB{TP38y%5j1&=}k?6fii394vFdMGFV+*&~mZDF?7ZFv2wtS z$sC&C#?tlkZRykzpo(_gOJlr!?@4X1tekxg=yNYrYneFGSA*b{dg@n$pinlba32Hu zjxP<A3aN9=jCWhrYt1 zYiZwI$WqpT%-}J0JgDqjLWWfi7M6PviG3OQdVH9>}5cXG;N;_%@C8<%tTsI9kVNbAR7HZjl01ZH2?CY_ z4yQ>Fwc17PP%yZrBu`gjW;rzNY!EnUvs-HH;(xhywtH*r}QcaNI1>vl;J(4^{m2?wiwQ%mk+feXLGM5hm zSCr(*(j;-)V-y4g&Z%-Ft`N9WV-?c`gIhr#(J*#1`=ayq_Q%P z^vkkHljOCMuH|<1)oBcq`=CjZR1=1QRX1rAgcw{gqtJeXL)E4#Lm!lSkZ}5DCBHbP z_`z=aTs4whiJqduE`C$Rv5ViD-p5EIO0&QrX+`C0k{t<>T~Moibxvxq$~3|W+L**g zC{;m}QG`OrY4_6;+Lt+e-BrcV^~#;~ndmp+{^bbO54n8=nUJ!Bgxi>2woj zRzSGkE$r^hIqHzMQ@KqdUQ3(04|KENX9Z{F^E;tCj@~*6f$hrTrD;BOW{4pY(b3Jf zlqvP*nz0JOpX2HF^R#&hot;(ig;PmH#cnpJkh=1K6R@@Gf)m_Y4tPiER|Q=sP)l6zt4O$ZVNc4DdHfN+X?o}-(&4mxbB(v@VaJLD%`%LsSqJ#il za|*NhL!!q&Ios&2^GfvSa;Qj{y6dh{ zev{K2#pk(CQ-$uT0n8mS;cZWZNh=!RGoJrW=aMf(hFZK znjETfK6aTC1PnD2*}W1yS##hTug;lW4ioEq70X#Z#;CgP;%ur~Z0exuL@)29N;0bD zw#ZNId3IxVggO{m+lMHLEg`SOdbP2RYPs#6;E|GJsI2)&)sOU!AJuY6bzjvy(>wDh zmg{FDYO3v3y?N@|1+pdy=EB)D-DS-0g9;|)7hh&ToE@u%+1&f;IKTN z@FOBdyJywQsn9|lbocbm3Nqee8{@&3ttkEBqh>%%_aP9A+eW?IrU~py@bvo(KetDX z06eAS=rzk1*4C?7TUp9+ur8S4Jnh#EzZ~j3#Dr9`PZW_xcw27u(On0zg7HZpT5RKD zZ5rR81oXM|iPwO&IcX57A03A}>g6O$!Xk|!emB*P72!9 zif8b)tTEK@Qat6oI7zuHIy$9z)M5v3n?&5&zhewU?F*-rFI~OTh9{JNDG%c2CzxAO zK2bN(e1MfY1;lN;#z4T(37F66;Ow7$5I6HuFIT5;;WRRv)F^yR>LDrzrEtZP9J5ZpqkQAt~0z_jAIk)(rx( zD$%XUC~|sDb@Wy8ohgHA%NXDQuZCk5SS~@O-Dg+aY0~tc;z>`MH2tS|(vv1l|0$mI zq)F3%j3@oW@BiUuoo0OSx+d;>~L<8^@%bIL%{uqnwu}jlGuA>>9=BBz?-_sVQww!tf@; zc(s;&tR>W(wcI!JMmf`C0!RY~toD)Kdo1Nu?3mvzA*Yc#mfXu6_?ib-YD z)TD9W%0TDQ_ci9tJt`6>&ss`BbAP5ARl&Z5+xj+fK6Qd*R=x%Hs2WqYVSTGeB)U&p zuc*E+Scqnla8MnHsyU?_%g}MWv(*!}3Nlf_+Wx*G5lA2z7+vVEKu2)-r2=#B`>OJq z&gc>swkox52rX`PsK7+2QEB45bR(yD9;#xw1{V&tI=>7qjIV{d?QF}mjslGAEWY(_hzqX^UdSAdXbdhA+;$f{7VH+ zjiH2m)$?f#k^x(uR(+iXw{v0%yTtxA&H{ibQF6^LXd2^8tedi?;vBoCj+|O*6(wdk z!A;b@svo|Va?=>Wsu@Na6kXMdP&n~bGF402l12d-g{vY0%5l)VfpU5!C+8FMoLd_$ zhYJWm64^dV2POg_Ap2|GC1!$Gm|&mkxOB?g@QJ&Hr%Fa5NtOp+?(n+Bof0r}hwEPb zbff&Lsv&wv!4y{~Hynkk5}W3@?7Hk1SzX82`7xS+WtRHJ@leqO_KD6D9mKv=W@^P` zjKaS0Jb=I$!!dlgZ{D7)#O(=I6;K)%Hi}w9ZK<;oyLTZR&J8J; zeEQB~>Ny(ig83TlYNvN$>=&NP23Xa)MeUM7C5lx#?_hNqFeNxAyV_%c?S2@%3kOEf zRDLsC)ov-6YqU$7hYCx(kUbh#%h_S%tXx<%6{v_l zs_0eKY->F_7x5H=0jk>GU{v%|Do_&D9$!PB?ZSX}=ww8^=n;b1x?!Pe33La!N;*4? z_2eQ}xQIqoAzYP(d-dh+FrG><lRBFgVDYa)KS(u#bA`gmti;M)nOFg6E?+rAa+UTd$P+N zDCeAFFbY`+j9@)LzHUsVO-@CBL!VKxI15s3p$@5c7&n2K1X7KkkSb2KIyhlSdf;RC zE1crYtR`Z;&cc4lKUyr{d)%7Q2G#T1gAPw(gFmxDu&$$EDwV9tl zs#dABbSt%9>LqKf0D-I_RoEsVa>L>rdjay6yF8^)Z zVmfg7Z{rrzfy;jzx0sK>g{R!3*u!r=V8Kjs~FVC#~pSm4=BWms>RsNVCPub}c0{H1)T$RCA4HdOtz z(^YucVbp%Wju@rQf>hNctg+A;(K9s*4MVI!h71cmDmkfOc<2zoc&W=ATv`?+ZPPM8 zu+!>gD#X#SYYkNsa>A^gkbq>82Webc;=KN@_GgK zVVD%pgkrIQ>JTiit9g3IkL!jTMB8_j9+((3%=%_Qdg0NtVW`-FlTSH?II)h0@ySq_ zIj6!Dl0xW=&+(IYeC$G;^==sYZM;kj*7an3(vq1B4MXHDXc$t}FKJOBazn7~7UER( zfp#Kh7YN-gEp&9Kf<{mkyzofcv@9v zMXF8+gUo5FLQ|4Q7K1*EhxwUid^5-z31yeOc&}owTE!J)%xuv?uy9w+2>(@pH5YbD z!w&NR8aZJ;0dGA{z3zbehpO)hwOJIempuvGj!MD#z#78X>6qr+3o3j+Rg?GGKy+mj zmCRtf!iAFVIUm+eH-n);9A2wlUVC-Xm#VOD+OpY*JEFE8%}wvN$Dza=PziR}m1+^W zs}C)ted$Jg4#U@ml|eQPcqUam!Jo5XiV54ZWoWP2um}pnyYGp@l2UN#0vi~}ds7q2 zX)5gK2`qI=3k zu&Zad=%X`jJTdxl3T(X03@&fD4#Uh66|Mxb6^7e$ILu-pztJ;Xum@LF)!ty3#eg9V zf?<6&Or@4EcbSSdNw<(!NhFl^6^7Lg!#?y3nf}AKXER9j#RFB{ zb_;C06gjn{P~YH_y{vFzh&C7&K43;jobBZhpEH zHagCxXDFfRphB$rK zd=0{F$_cGK64mwEP&O_3a#%rY_9yMSh9!LfM4G5DSZJ6>nn)6ig=plavd zJ50TIxCxCbsZRESV^{C+psNJm(~mCh=*w_4;d@rps<5QvQqI{_^*vGAU^HyQ>}k$# z-NSWl1FeuRh6Z-`u;46k%;|KXY_q5+E!*@Q4J$F8qhYlVWyNw@8Yl_*)ttj&?lh3c z;WZZwPP&1-KYb;jpuJ zSaw?4;lyLz(jZPX7T;&XCbL^;P7_Zdgr}*AE40q>H=%S2U(5LbG{S%NWoPO*a=B8-y8IM^EnW|2u}%)EiN`uZO>aYBwB*EN zDwWJW9&YdoPf9QgE~wXVnD7{aZcoamN=eE1e?Uc_6 zsqErD;W3Oru;5W{5<)RTIUD!SGcEdZ%541Oz`=Y)4*v{rFyAne|0jTh`HCF=8Q@_4 z3Uc`McR&BtXXLQ|_^&_Q=5YV7AO8I3KmP0Qe)!9e|Mr9q-tIf2YQQYv0ezK%(7vAa zo*oODB>KvXsLU1xD7>em7K`RG^N~4I5*a<5gcRQx=w!~}&{m8gaaGb5m3f=$0pElY zR+xEAOg((WhzOsPpzJ!-$JmTe_~T>{Chqy?eNn~z7`X+|2Y}%oIv&WFSgR})dTq<0 z+Co2lJn}p-Y@hVNGPu*2+j}1If%iWS$FJbJx~U9TkkDAE0zslN+GX zYm-b&fN`s4mfn+rL2CUC2%gIPn3`Ec_QK25%)eA3ie6bsQX$=tN8Lsk01&7U7-8!T zOE)#sveg=21j2-g!fOHym55WAUloC(c|avi;U1L;9Z>-S6^6XOBF8{2AD zEwOd;sH&IZ6SLy1!X%HeA_MBDMMb9y18X08`h6N~WylQ4h~Ax{Id)b_j5s1;aEDAT=}4NG28CD3E<}T z`qb>-Y*&xy2*Kn&8`fvkRY$EA?bmVGDE>REaBOI;1R6Com1m6Bt4HC-03KnAJ5Md!sMb8#TOuh48N`Ee|7pSrypzl6no&axv5(00HL61VuKk~XGGiv-HPvsVnaQUdDJNiUIpb$aiD|OErj(HkLel- z3;4~TET*-F+1^_=n?Kw`RZe=8vD=`lK7e2tULau!b(v7b8C3_Yc{z?#K!SQuyZCfH zBgyUWa(rd-fCQ$aEn+S6erj>IG~$}3S_hdUS~e~uQ&1H0dRZ*T(4-YaV9cJj6N6T1 z5V{*irL~t9AO1N)c7^#CCC<2v#(B6zp@waK$w#y{;C<_u%$iU?J$p8r&UqB#UUi@% zBXaGg7n%WCb2e8?gTo4h3%*Sl;S!MWTA8{d-J4JSh!rN)phvhhEhA81A9gdwSIWn)fuVL7M5c2!Z@87UnINX)nO*;G!9Py&HtPCRrw zIp!$pHen%V6uqZ}^;w?^!!*`{5#9v+nF_NinX4O>+4_KUhX^ZTd>2G89V0OC`;HOX z*Paa{XTiS2_#hYRhg=c3au4$p)@Q25Iz~7J{D+S{8v)O;bcj$ch+sbUZ1iJ7)5_<| ze&o97eEavFP0RbJDvm}ZAzyj$67V?NZ~MTQutS}VUJkD;3>jyp?NIp-y_M4k#_F+k!MzJR zY*~-OJ#z6a1SzI4Z;rQ?mB42N2J7W zW&cLMY>y{)Sf1FSlz0j5QDiCFoY=w8bqMLk4*q2^{oQxJ`o(u&1Sb5Se)s2}{rSiL z-;aO#@r%}8rz00P=yMHIo}FS;fLpMZY0q;V##QHhni}EQqM*UOWk3X7&wf^!r9Ex3 z-8rzSO9JaTyLnX+jrfP^4~5g9ny@XnSbNpKb`y3G^G5PJi4J8vP7-PaJ~e3_{;RIM{9FP1q~Mp-a3k1^MQN zaGCP-ZmEsds#@R1G@{B3EiEkLpmaFEPa%#xxPJ{O;9xu6k&8)P+|TqQUUX4(<%6SkOIUYXpCi(X~-Bcv7;SKZ3YFUzQKrW(O< znX0hV{Y#Y8Q=hpiTo0hSH|079D)sTGVFVxXPhQpO2gg_9gV$#qAHu5i?C@}cRjnafzml_ z=*;bgtI~P?2$;?W3ZhZ*l-0w@jH!wXFKxGEhJH&Ae=kji(g~O*jNs$NVS{(H#hb%6 z_Xf(OIuxUeswyJIBho-Q9tSo!ae$EIP>*$d_v~^m(^3*6G)`qYvq1cxa-Oo83My2w z^}~+oq5{D~;C?T&Nk>j;%42;9N_LgIf|hN>F(sq--CgXqBMOK*yv`XQoO+Ydwn^C_ zV5(!hzz1UK$aDyOpbfSP;(_R?abh22S4Q3XP41!1f~{Ap8{~>n70n`St2(_T1YZL7 zR(n$7^9LO!3%pbHk+~l_kOPeKG~r1)tH9G+<71y7;0+Jt52`S{HttbWWaL=-2=rJo zo;!N{=oczaA0131k{@xkJycj}+X$=0aHMKgwxQfeDy>#~WQFmj@DK&`6bUx%34J(W zIDG1tEeq2swgroMM)HrG1!9um!D`snvl}~qZt_$>`XmTy3j<;OXoU=lvKoyAdVh{F zC`RIx7d-NRLLrSM?lrsCV^Z*s)7&!Ei5|A_90p)_+eVO_Mo-=Isz5hIH$9SIcNv6I zn(3GfRa1|T8XOoj(S_!s2P9&T`@&?x2W9OPp_e#EMHXE_lL^jWe`b-A8EO`VtGGKHkk+M`fy+JAE!E0uD)@CxzufJ-l3j3iZM1Dnv)xIp z%{?k9Dd_oyMfGvS`9u&7S&M?@w2t6_j^#n2a&&nPkDNl*IW(83pB@6ov(fNict?>+ z)j6)7ICask2@?XlT9&b2V!$5~6w@wxB(JdLlA^}mf9nyH1(D92@Z_1dq%))%3aeSc z*b>i4FDym!Z9lDFhk%z4|q{BMP047ky4~KApnoDqbi82NfAe{xzMI*7_}CQ}mnnTvU6jwAob5 zsbH9L&lCz>x0>Gy8k?rHxCI>57Suq)gbC9Y7{pV3qaBq;Wv-VAb;;;IlW z^g>yvX>y3IE=Lz8iYj4+dP$jwk~CJ-=tnc`rHtSZkMxLuv1^C%FfGFMBOKm%4CVP7 zkBtoSGCk}4cD8I#Z0aH%!_~4GvT7^yp=a||rNa&E;EuRLl%1}P4Ba;IyW-BfgLcw0@{EI871^*%Ts7|1ac z=+C~4Q+?xr#MT^Rh!hOrRO5JeP2&pS?8}T-U&ilU7czapR^}X)qB!Z;%IDsf>Da1* ztrk6JE;VY$R^{r;uz~k{ig8#KUPj;l0#^l|oMTRRjUU7~zWeSM-+%wzH7WJ~{^8I6 z@w-3VT74A_4#ZAcZ*1|mI^f-9j_#WGdSuwLb=&FQ*wXrKb3Ia{uT}eeR8-Mu4uz-n znl)R~QFdX~({)Z~UEoxe%`u*?b1EuE<%@K#-};VCO+0Pqt_3_@=PsV%7x_b&h1Gp) z)Xe;~##Uq|_@!nN+-II)JjLMG4-5F)FY{4fR#NM-Sb$GNAZpJ}RkAh&Zd8cyz%JtY%dural#u6alSS}qd?;fn5TcZ5aZh&)1SL+mY7pgRMwlqt zb)Xg0RoFwG+f{EErvsrsutIEeQtgQmSh0*z3`{lCSzkSgOKYp3FX*fy8;6TEHIBhj zgbRbo=qVw^O0#04_FMF=9$6^fK2#qlwjO0}O_A62?Of+P%tDH^{O5q$+jNY^!jRE1 zY};Bk4_Q=D?UdY5PMuW$WK}53k_M;r8ash5btmf~4QNly^vjIHo;xC0o>W_}aFff( z<#OFrBq(PtyPZ_@uK1nXW5Y@pKdOT6hUG#@0dzE{ta@Dp{ee|}Tj$aRCzSMEZmOxD zvg*BGmQ?2m6rTMuRMl5Tn9S8Mt4Jnx@2atO{MXWOI6cz+$X#$F`?Fo<5P(n-%rH+C zfUIk_!WK>eR>x^kjVM5N_RHdQD<-aP1pXnWl0Wn3lqChtcOg{m*3a+{;SB}HOI2No zfJOp5=j~loecNhVkKNh%Y?qndFRLF$n93(3Yv5ljXS)o^xfx^RT1k?2_FY!pSVov2 zr=e_)uKKEg*S+m}k8GnyhSr0vSuk7WKvLe)$)=5_RT>1D176Hx7WTCa8}^( zbym5LoVHcO!52vx49a%pMN|UPBU7Q1iwfIHYEsF7hMcyoLiFRo2$PDt3>8wbRDqU2 z?ZUH1R`oJ`uK+_&B{M~T7>dDiYVdt0^X_O|4jx(gU06rYU7z1m;HhkCc&8<}!>GF3 zbZ{jBFUKKBs@SQ2gIr}$)xIe`ROB|?(Q-x#Q}}sN`HlsG-ROHh6f+_hKVjG4pF!M!KnHQn5~KEf2q zIrz%jMP*3*IKos;iNpZK+%Qh`(n#zhOb(62cz4FSo3-kW*lsuLm9{H%8mBissdJ{c z#>&=()dxnHrudB!CNvV^GMyt#b01++0i*x#y6WE4@QFLO-EP+N@_{>t44uO}t=Jqs zn^T$g?oI_memwOya8qk#{iu<+UvAdN3Bm+!>N&xrbW~p>OwZ0(J>9IY5vHxcox}Me zU%KjmFjYOnk?D-NX8f(U5mfG@kysdl=XM`c0D%H8Y^bl$J7dDnI`NMYu{Ob&-Wkj2 zsvF#?{Ff0X6sdhhy^{f{?q;ncHeB4fg3vM4IfzUn{UOse5|^zp!lccj0=2tSp(eHF zf?$Um`W_4nqzO(kYJvA+JoVI9P*^iyuv2eios_9-be7*zDai+LZ8dxf#~GNrdyVIFcyf#R}aKnkY{D*#+B61mLt%3fU)-{gvajPW8s0Bc8qYP+?a8)#|^xE`DABuyIFh!#`LQs7KRG^aR$7_bEF~d}# zd{;efl`)UCQ;|VyM32qI*9enQAUy@u6_@aW#RvHmRG&{l^=pQy8(Q~%n2zr-dQ3Rh zR8Se+94-bbgf4d~!QvIpTsTz{Q|HVHe<5>R@!&yE9m*Vf>g&7MhPnG;vE#4u=1%p& zoJ#eO4{wIn1BTZ0!?+s%U}*h$hH05T8Cu`{F#DAb&Qp1~?VU5X zg1-*Lpu3^9gW_sw))JqSQh#!!%EEnHSevmS^_q(8Bz8vBe23%zqbKoY2Djcd^9@EzJKeZ1Ia< zfA`DZe3AQGfBef|{_9_V{F(pD55NE24}W+$PCeUSl{=5Ts4x(ERfZGzRY>Uq4ERnD zDqFQ{+%Y~F`Ba^Lgdr@J-R#hDTE+n;pYs(w!NeAYz3mDXE zvmPjw18>kouIr)=>_ZM1-zgSa+_~1UZd+>1Uv#M)i}xN^1ZHYem;Vhg2TOXGt|Z!?dA`2o|J>Q}t7tP7y&tn$g^~nL;6dg}lhIGvYrSafK69weibX^Ajfdd#Wr>Kb8 zMamR^%2g`6Yw9ZS^!%SrQL96x$dEKP)FMBC8qZ9S0Gd9?hnCg>2at{g_QKfy1U49f zA)P9M+IiZPO47%Q;6xV@gjRXY1R1=9&?x-LTlvK1!W8=yvO zC`^;r4NSAT-d1X>D!j7W?iGb!w-Advgi0oz&l-iknsQV1-789AtIIOzT~XRumG*6+ zqP99Vw(Arxf)$g^8~(C+ZC1~6qr0kuqpWH$X%wwoJtu*cl=AOwaK&jo4{*AVUmH8=twP7wrwww3LQuVF%qO=Va> z5P#?m(D!+uTa|$tN@+pQEp-##)ga5zUs)xu(!``srAUR>pic5=(TsmQ7E~Du+9=mJ zknN7Us501!7^CsXM(T{hb9iU_!B9+H_WfN#aCoXRluPzqWpJ7Fz%h&RC#IRU#6%5JEqZAo+6mWp5s7VT_oK7-XRS`XY6c2 zny25c!7S=F+^zc&zF-=!`uZ9N%AM@jU5OC_K=ysJ9nzSWEJJ&GMV*>*X|qqm-FiV9 zrOoa$0oe~o6WW%BKBuJ;rM4HQNysul{W?_{REcbOMG4Z(Xhb|#f$HUm#FM;^5a98O zGMLnjG$Hio!eH3)KG}Ym;Y2PD{3ZKd^d|eJ)rAbc(kR{%stkv5oP8cWg>Y53Hiv!W~;ckuK%#71gbxJf*naNt@nwXj80kR=}!ruk9rI)f?GNkIjp+&vT}@CJcf1rD@PG?&1eCD2qN%W8$5JPIf}7X{8alW^n`!X+QcMJ8 z0oYiFI32xWDY%(TD~fG3yN9;YX}&xA6}PA^&|vo>2&c*cKXnt=RiCd-*sP4NywKq3m9jj|kqWz{P zTPZ9?$g7wJKe>K}*m05lR2rb88qem^1#ZHr0B>JPg75kaH?}eM1KH0nZ%Tss1ULC7 z6yUd~^RAnWz|Ei)cj2S+?l}+CzRm+(T)$Q?t{<%yw-^;9gMX6!I=FF5S{mU~=@zxI z*E~?wGR9k<0T)O&oY=;E05`&BZ{Vh#;07C#+$G@4EddXLR3#8Hu z&uH(ZdOPO^(pHz{Ys!|wGo#Lw<#zj{Rg~(QEI@NBzxxZ%Y~y0}8D7mMf8m*g@qMIOHj0RY9u=nfK%#T(9p~8&A~?p=`nkwFh3M z7!~Spz#4S}d8}~r98^hoWL~vQG~uhoa*A8LVO=&IK<%K69g{K;lZ4~S7Iyfsl^v?W z(w$tp@&K*sjSGL|#r6wh(0o~a!8<6Z)Ox5gvfYl>TOA1Ij7C+stHnPu>`@Q{@9JHl zA8k0sj7|~a`T$~>90palSg$wd`OJsD6#SP}??~|{*nTADqqrqO)Dpw>sH3pBqS!7(6b*nu5K4+vjqcbw;VWea))|~!HKZ>r{%+xIqV?*vT3BteHCia>$x~1Q^ z4L&CNCUc40t}jad!VO9j9b(X^X}hWdZR5NyDwy-A3rgksu{n>^a@5+brc^hN z3|#FxI(x;Q@u~gRF@{q}P*h%@AY*+O9*9@tB=m&d_DzKwyt(cOV!;@t31c{FX(6j? z&=ZmdFv{-LZhZiOO}&Wdm`L*|N)@cL0iRIE*#fRwkm+xWmF z2qt*O)=Yogx;;;$1CQE($&fCCeI%E{gdJ@@CP-KCZVGux3*0+uwRX|$LWfb`v?2ll zkuL_7&Biz7eg<<&#Bx~KSqm+=&0wWhB8)1Z+bZBt6CO``8v2K}V3oNYkaZN`TsB-9 zA;r^F7%RU9ZXp&JS<1gm*ipC(w&>pxC>X0|oXEv)8XW{5=_fXwsRq!`a~~(_L|WD2 z6*!c}Q*&z^4@Bb$9}v*EBdJ3D3q7t0Gg_C-1Nq60bz2u4m~fWr2t(yX!aH8uoxA2u zXA32X_=Yfg;|D)W(>_Ct;C2)^de?*reAU98yXJ518bgaQZ;9T>$z5}UK^*@SewzkH z>uN%A;GMcQpiBkAD6JFfR$Psha3j>U0o^ynoA<6sQSFPTk|NY{DGvBo*JQ+>OBrDV zVXPftvHgRV4(k#P zc={#$#uQ!~_qgF=8SBJ&!`hKCcW<;1(ltfY;T3eGP4&lW1TBO%{h>gEe?-jts9(cd zE#!Jl5yjp%+2&iNTv~C25RWqZ>2X*+J!Fkn~E0NhD!S?=$lcxnQhsc zP-nQ525jrfZ*)x*5N=$tOt%ZITNJp0_6DX;bWH>o0W#b(oJs>8O8@%SHBnh)RdgzC zx4KbM3s)$j)g6Lx9V~PNQ1)cS5hcC$D>Y6x4?kAyyw<#ik1(qkO%mcu}eAFoC z&g_~ZdUZ|QM_^&wZW%Us2NvepH5s;TbIcZm1Iqk{?RLw@F?3w9okK(nQP3_*rJSU- z@wApQT`TD+QJ%CLZ{fFe;fmBrYi_rnO=uNb)=Ou=*h~2B&>46W8lY*iY{xJYER@JR z3gy9~0Oa_&46r6FVm6SidXU!8)%=T$MTf$%IkhBgc z;z#dUI+_Z_H=@wS3Rj1uK074cN~*qfNWS~^Z@W76zy9%uzr1AL>JR_%!=HZl```cZ zXTSgPhyVL$|MA1`|L;Hk=|6t>3kqc~t+%yLVnwbpFe+j2A2Bk!XH`C9YDsIB?}hCq zT`=!;N_*?6BQ=wn>ekSq8oa>chH6Vn+BS~~Cuw5p>FUdb^oNC=2+V;kvl>P=SY3)D$8HrpMjgrwmp{u+% zeM*(KboG`+A%v?3D4qdJyc~qh9t|sDorXorj8jZ+6YZN0zeDmDEtg=m<#cL7?M@vN zTCJ@c8cnO>kzifkv45?dpwaJN4;0H3MKY7vRS<8X!XC0xtk%47Lp2{NL$V5@FbS39 zO|Oas&%%a*IVOOoJU_Og2r>$lTumZ90p&!S9JUFiJMCmux41Ow*i4i*17q(Ar3jC5 zToH;^b=HLpJbFcZt?CV4BUX`#$u6dbGP;7zXC%lS3z>ED);EWl_NclU|un zK^ch&+7~Lj6brgego+A!M7_S;uyk5T*fSMLvw6B?A~ugwfIyn*iea`JP2IY2m(f&v z*NtmkC!&uY&PNIdh-|QoJvmxLM)aL z0bcN}%tkd$C%y?HMf*1Pw7tVOou!@)Y2sDEw{z?%Z2soG8JcN_s;1>lC&G0C?PWCe z&U@1uBM?PdtUuI-biGAXRudIP1SBgl%jW`jIIL)MsN(DR&2}xd9gkCJZ*`6b%H8&O zpckjJRJ78jDjs_rdbM2y)pbS^13e`z$Sjj=Ce>?c*E%t0v_>JghFpAc-PKI-Xr7{8 z2I5C*+%`ni^|VCFqk5AEN`9&wgFqUy9f-ow{tTB>u&~fnAj)f7n0?<>Ff3^5(KpX% z83!Rh<>D5Ee1@i~$E$2Tw7|7>6D&N~Jm_c9K5uEu#XJ!3Y78GS&aACLwX7xLLTAn^ zU6`fgMqfhS%nAPoS5v3Er!39m7^(M5OREj>{Os353 z3=H!~Pw2*P5nu7N>ZI?g%ex)~1Xx-`FZ>4UO=S_%=oI$K#^qLwgAqI9GR18(bNr5% z|AugP1y(smkCN7gsZbT7I0Ltq*7<9Ts8`?fw7pnwOjR;^gtTew9U}8hXW&iw5B#=v zXJ8>pkz(0UOp^qtmAc+eeSOVw{lIQMb4~S#Q=a zCfr-&C^+zTwig7~G_1^eSsvQhVuk zx21TcP+ys1T0I)jIiw;uX}dHnCd?f4SiF*g>61r_TT4x;PaY|ZhSL~$h-+C!9Tb)E zn-#V~XpBkllC}Iga7Yl(3-HYd)Mgc)ZA0$L&j208y2pfWBI8mdst{s=2pl#f>B!&9 zp+}+fV97ZL?M8dp$ku~aj!xY2;=G-*-RZ@7Yu#gNPc()E-6G(7$uq4SgkkY8rOyP^D@#b4%-X6foivXg9lGfs9cOU z0ragEXYiS7Dhj&8RDQ#5&zYm?R)FX%)VNG_*&w{p-%~ja)O{E&Erd5Oq}NA|w8cij zTQ>>;(tYwt7=6@()``#`O)o`(XMAj^;G_DZcAJggJMOI9nJ^ha07ryjnsP zVpuaLbSrmHD6TKQTe~#kep>%wIwj(^Y-p-Y$*$*1S zhjx$x^$WJ0?I7r`TQnWFVF_F=OJD}UU$aJCA1*4JBRdM$t&W3Yd)1qF#MsG<7ESa2 zKH5ml{O>>;wG-X`+i2r(=6@U7_{GnE^NTM;H~Z)R{NYbO{Ez>-l%#+53!w`wZc;wq zJnDIRMGJRkz!;Wpu>PX8R$OZHwCoI=P@K2!(-k`9HdrM~1%Imz@ZekOtH&k7Jyg=G zIinD#7>PIE$ligb##}ROV=DG;X_^(mqfTFlDwqqRab2HI&k~BWp1IypCm)`&$_26p z+EdF~TTxKcr_e@0^V}<11!M7bz)cq=S*T3J_zaN-0VS&4IiYNFcgz&Tr~4rbbV+uK$ZDXX3- zi>Tsegqg-nD&|bwuC^i7cB@rGGj~**%*U(TL9HwmRNfrkoyxB|2-Ci_?h4tuT=m-X z^bsu6HeuOdrgeK;Eh1{w&_08nZ9%;YkAb3^^@JTyb-ILHwWSiu_Cg)1j!&_LF0r)JwFMiFjyjTZpu(z3b9dU?wioIc$J5>x|E7_3z18XbsZMtsE6q;2MsKKtTjNt~ zL8v3Vi7j{oZba(F^pOq49zpS>T52EaAp(Zrf*2E}3eBOv21ft5vp| zd|e`%SPbQYB~F=Y?bWIWlvFtPX&V(difV$5jE`xv)L=5AIH)PBXh^ik=njmtAaY|w zfeCjc*pNf*vPg~_&b3PkD1ab)pt{YQr2I!mZsr`Hnsmyw;C+2jrGSR#6P1XH1L6MI zJA0@w2~ZEYGt(xeBHIe`QHoZW&w8{6qMn0YfHxcDP<`P9qf$^DT|XU}teiQ+&vI^3 zHP&V)aJw`49{jkISt(-@0^%iLE+wE2R)vKK`M*llbSZ2(>4j|KK%yf49mPP+sAR68+YV6eN?I*>P1ak z>NRa+l(hjK_KOf5O)~1U!#{CHDfhHZ#~txCZDZ02Ji?`UAfWE_31FlS4%N!2QW8L2 zX7|zEb}f)DA@_$?%?&)7Y7D4pXl*N!epaf6^8)VBl7pYR4&A`8U`Tj*nUBDu+o5LP zw;Z#A@D;$y{1#~01Z)eI)ci(6c)Q2L@E`?gWw{_ zLm96iRg>$1bx+qopuXx#)m~44UWyy_vr6&$y~C^sjjm1^xVph}hs<~iV>ew7r#Fzg zQnhE=5vSXEcx?742kXa_IP<7z{}Y}YD_1b(g)gn^%}gPJ>98kxzNNVx?+Nd zZ$nU0#%w9C<_(5Kz5mWNeL98cVyC7FJT_mj<`goW_NRAHMThQIJ`lQ4&2+VpkP#Aq zh@H%Z&u!{5gQa#Mx|T9p)l|fTGTzE-he}>w*;K$m+pZX(FMcS;N9l71CjDJcoUK5 zoWLRe8!or>5fQ5{y@k}}bw2`;Zw%hN;gDAN{#xPHFw3eMaKwEIaNKQf1xB)o6WJ#O=zmBnbTyMyHTA7CYv1T2&or$r6as{{w`*)JFNtd5kl%jyMWTB6;ZOS8pZ(?E z^$)%4@$xzKX;R!n(qzR1Qj+o3@B&lsZCJc1D-^0>KzJ{hJzD|Pj1!I0Rq(wm zv;vh=>vm4c5RUuK<~X`A)fD?x&xa{0{o+}?x3K7N%nBXCqkiEbCnkXpMMH}11N}94 z+Bj(dH7v&`^{c`yx}$0qv5R0wu#IDu zZ?L{SRBCR(45KiT^B~wboHZ923#zkudXU6rRS$z#vJFBXF?X3y6 zwqmm&N)QeMXRH;zfZ&(g%6gQ2(FU|I5`Y;sKNea_PEm~OdqyhG=7thsH2RHty5gkE zm{gzQ%@xNn0b?Oszxa{%-Db#Z8%i*uG6uPdLKp{Z)4IWZo(Pu zZ31R{PQbLJ9HhdnPxVhnLIiu#hXikocGClA0tTEhLr-H$q2rA9hBK7Uy7F~H&g9_* zfh_l7+hnb$YcU4~TbO{+zF6A?M;&_J1PfxB2gIw(fykv&jvnLUvT`A<{Yi0r!bNHn zuGcD<*vIg>B>#2@~2Z3 zsYl-jV2T-aX!1AO8`RQ5>E+el*!obvCAlHL`T=E_4lCXaar^}{1OsBI!zV+W`1B>U zeW+iryE8m*ZkSOnm=Uj`ejBf$e!rm_v@$QW`4`Hdz0u{ayC05Ggx{fk31;A*x1+4a zz<5?VQ6n{Xfj62R08m>T zxobwni`UN90#o)*t&Ru-jD4uTFmJCC$_aa~%*#VyW@?SF;f8Z?Yqb50XD zZiKUTjq7?2^{W7czm0r*QpGrpN#zVSKqKQ@MioAmBg!Sf3uRIW#gT^TsQ)ev|IvY4 zrEB!XMO7n!3)RUwu3h7LN=6`fxkvh+64(B;!BOqme`h@E8o1vGfC4qZf zNmcxJ8=L^N&=x}l!DCD6I-Na2L zbm5HgB zPZHPlX@m1>ajbo$|0}Y1msB$!TO2F4)r-pZ1IFQmUT?VD9QxyKx!3fff&xff=kz9V zT{5FdH?-J*!C~#l!hf(OeH-bg&C!v?gIsz_T%Thvlc0su<4T_-u8D@WTDs&q?Sgmd zA!MP)(Y@FhHnL+dZHqKk$Fw7Etu5`8nxfJJg4bXRdIEGfEX0d2V@@apy<_4MXy|tP z8^h>}yGLJ2?FuvT9Oc)OcESK->NbyW*kbr>f8)(P%KvQ*YexC4_Ssv9BQlKo#1>n% z5Y@~HF4niv7o}dIU9d$dPi*lds+E(d_7PiroqTCO{NZ=M|NRd?`?ufy`7eL`Q>RgV znSZGrWlUCw*vBI#J78!v6cmZmW))%Wt}Lt6vs*;RRW40h&>ac^lNK{>l>&~)>BB#v zH>NT&qb;hX-SfB)h-T=_?2p|>zX>Il({E?jOV_H%f~KK%$X=}yZBWDtN}D){X!DoY zsT-Zb?=1srO5ViujKj!akFsz_OV6VzQ!GaKB>223)h>Q44-3g7t%5CF80nuL`dDrS zsL{_rt`yy(Y_}Y?(|$86aGD@&j@`~0RHwwKu%$ZjVBAy1%p(ym%9OIs!j3=T4K!*# z-F6h8lOncxH8hlSP^Q6nS7$`or)b@*;78B_amS(r;?$0+iRLgJRY zkI4&p*<{jCk^fU1<@q>@_M^YoR@EuGFKe9~3xp9tS-M)pv~9_mW89&Ct6* zzRSHFcGk{o7H}zt)w-gST1rTzW|fpGWy^*jc|In;42mb~lC2)NmdbXUkj3}b zYV*FgbWK|Ir3|Xc(FroWneh>3s8;MWDz9Qo$8~1DQGYXT$PPlH3XrIp)>#Nd z@j{r^Fb0$A<3Z(w+uw;Y22jR&&)FA7?DgM*Jx?EkdFy3NaZO18Yqa$r3(LHmC}S7OuwB2I^B-{cdN<Bu(DKTgN z$yCQ(DC5*`PE0~>-t=b1dJNP0YG$Yg^d9|Ym8WE%66j@UK@{Fjlu=KVQGfzSBN#nq zk2k!|1F61bq{ARij(UGZrEyhesj2PLW9TR&Z35n%MOAB;Z?-<|DBdj3W=5{#fyw}; zI+p2J1``wa_6PM=bxY^h2W&T$Dln(48R;;AQ+h=ip6c1m7^lm62Km%&9Q*Wv4vR&C z+AU~4s8C+16E8=dY*%9~-5N?s#rb)dyMh?Ck-m1=&jDTJOYGUoa43o^+#gL9(KrSa z#HMLBZ@mn9_`r<{FJP+Q)DSz+S3a8=3d5=irf#}xGS@xK!fa_luTZA}-%X_cLGAY_ z3_!XM+Q)LYGUBZv=9R;F465k1OeYKg94G=`cw_U!!c{<3!KIYaM`6nPq*J+0r)r(B zw%n$hL-k?PitK3^aVAdhbSgh(y|bHzGVeY{?0pO+dP88V$G$2#hkFzTiLzTjET4Uh zE+AGW1y)5fM(h_mP6^LV6}1ZK-ab<5rki{0jSTEr5|)X~?sL*Q_Y z*~w64r!up=TsPCRk)bUKX*-OaFI|Qe(@pIg9n&}0&8{G}0XN#qb@QWw7>ug&YGf=y za0BW?JY6@}&v4^Z5YvlqQE+4KvoP(cAm*QpD%B|_gyEZ0_NVKn<*JbZOjxn`c!L{O zP{GYYcJqcg^JLSTgtN+(`h*+kz|VfVT{qhaHr)Ue;y zIlvC>_Uu)HD2^BgWOBzHw{^~{$c`$;)ze5pYkmlJ#8$FOz1D3vlm9@hGQFpvH$8Dj zb*brXfE~#Fq;DvQZBPyF`50v}V8>?4hn_~4>isYvLub6i?IKnQ8I()i*(wZ|c(pY6 zQZLx?i=Ti0>)%}R&hdvo{p;`k{OAAr^Ur?&!(V><*`I&>;m-mb|Le!!|Nf8v^UpWp zC=BDmRcp8-#khwqWe=ZC`zMrLZ+pWyWTN_du3_^GVpp;aO7-Si&#orkXE(0(#ER&& zG1bvw-pFBY_RSVido=Ue#`IKVd)b)I&-FYQs`@f-bh?_YstfU zP<;YG>t2|K)X`iKr^pT7A(mYQ476aOx?<3P5d=2h%T{rI?;ff`wt49us1$+ z_9Cz*yd~6|j}5gC!Ie@J=emb#n!O@Q*Tk7ncGhRMD*rI;qAGF|n!|OuNNU?fQgfl> z(+kz5PqQ%{)cN<>m^mH-rurFWTe?TC<^Ap+84F2$Z9B6u9ki(Ebwk653N<}}I;QT& z!!Gt@sf{xvH3lQ!G@-dMz1v639mdl`HGZ@NY7il?gAu4h+JNixb*>LIt2(GN!+@eP zs7?{5gF0A>R3k6_v{Wya8jT6pEmT!o&nUXBC#W+*m`Xj^^)Lf9?(u;g1CtcY$W(gc zs-XaNK#IRq%^iv;hwb*Mo+i>|pW6L+CPUnhy>j8d4w@SKxTxIVY~lW!;1V^2ty1(M z1tALM8@)r=aGx$VtC-Oqaw{_yM^xFa9qW}&fp=V!moag&;-mC=36({?cHJ@)OnNXn ze!YuivH&^t(J{^3>7o|ZHKMfxT5<@$TPW9p>RjLWcwGLe(-!*|&?2uzp);e{fzXYP z_7=3wxXJI=YYCMM!Mp9)Hm5&@gvbQjsp69MJ|!~=QsJ-Q0CzbT2SgRPQ{7|VHPi<5 z-n(I{mYO-<{hTjlKqkTeSNLBI;EwD(Iz3nAY8a~mcjMzRCits!eYTKL8apRstUhxT zY+pvz2@uuH2N5+qQB_#Sk1eEy7E(T2NcGJzl^fb?LdJmZy2OFbM0689q_u;)<+A6= z!|L^}>F8$6_#~a+4ojgO$5f%P-8j^|gd|nelr(F@#9?fV8N6CZ2+MkG&%ycSc+-VO zNP`KPsOYyZ;j=r>i1*vGg*2_>(9L;)7-la8{WwT#@3Wk3G%3$(xpBimG_#9@p7-cvs6)AevT^sy!wk z*0LPjDMVVu2#|s9(#tN@L`Aw!0@2nUnIGi35T|nG|MWpcZBn)WQRcuP5bc*!=b%Ds zb-{Q2#j&L>O22o*R3#E60+R4c<{+0rAhYX3n-1>Q6WrNdbxp>Z2VX%1qFYorg`oY` zOe*a;EwiBdh0=lP0@3RwbpTII8-#9>BK@?U5^CtE@1FWsKiRltr;*shVr2DwhZ)bdg~0Nt%|GIdw2=oAQ!)1XJs z#BJ|9wcbo}pEcAz&dZGEP|Z1i>?XliIW^RN!Y_648JR(y#><~aXX@2WIyKbRpVUwj zx}#quyvz;Y66bB`x5qjFhSZ|>ag&1XIz|EXGL>^^rqUtp`q1O;m+C_nMtt1*(Cuy} znQsb2Gc=P=bQd4%IWq%QD1G)zRajE>pNbI_SLNhz{TT%^klJvk&cQzdM9fbik$((` zn4dx-{}>Q4KZQj8F(6`o8j1Y&`|rQ|?q7a+BD+8T_&@&R$3Oh#XaDE_@n8S?umAqL zKm6`zfBD`2_+pD%=o>-&Yn_00%AbAue_Ww=$Cy&Y{;D#Z*TFOHj>y(D)6w%(M^%V; zBi&6qdI$9E(o^s(fI~@XjmJ4N3HP=Auzlz@z1~i#b>bh zSJxQLl;h}CC3BUT8ct=*JW;N}2AW4mH{nqEKP|M^^(b^0w$hy~s}NV8!O;Rbg9S%i8Zi|{;F(5PoxM_;2`0Ut+FsOl>t+cT=g(Q`n4Hs&p+1Jhps(QPrp-OBg7C4&Bvrf&(Oh$T$n1<1$iTtK94Edv1-Aw0xCGED1bBOuku{`zLlj_1ki)3 zY#d%!)dDJD=8T#ITI9ld1&q|EleD!m4ne|AZd*1b*|`l$z64z*BWzN1s4lICuy<9r zeq#@w>qA$=h^@~h2mSzcM2IYXz)o;h`vRfDHJe3{p9*Ox1$D@}ojuA`bw^VMAC}&t zw8PeCdvT?p^*F*TMhjYxWsT)9+{es|^0VHQP7sYZd?Ix4r$FB^V9Oiy^dG|A#R?c> z8^K7Go}>~&rcN^$^R)cH^HUF12^-Q>N3(F?brxw+);Fbx6*QZkN)HUCwb3kdm=C_L z(gAR`>FrN4_R?*sH^vU%S-9MTYF^awi#* zf#kAHUzHwkzIC_3t)Wf8Y3pj>TZglQrL^$VvJ3l__nE8Ewp*xAFMiEj;c#S5izC4l zdXyKORkB1FYa54kLCYfpVuec~r4mqStNJd~CtRx3G(|lrD}bgnd_0t3$E4B((#nd3 zZo&5pCM586ipr)5K2*~a++3bZ-c^nrBb^R?5w}r)EsB9o`!ER9=<$!r*11_`3Q-yo z5_JzuzE&nGR6QMsJ#_rEXEDy~jeS~;QN@Mo-aRjaz9RP|>qEgfdAz0@!<5WgsKOJh z#K3t-N1L$YKr9Xq8mN#fB*;*Gnh(1;sE|5>(e=ytgkmc|T~!)l;B|IMa9>4Zb4SBc zX~(+=>d)FcJq_pdpvu=VS(9KwdamfVt-;(9ie1s^yE>HGy+UI4xRt=G9*jZ~#wn^T zR>b>+ptJUx&X_joDf6JHw7?M13(fOFhq7d{O-1GbCGb+{BfPgLmX)@)zjSw82ju{w#9ZH zBwOQ@=~Gp-D7G2gjmm(1Ds?e#uqr5g;-H|@*XU4UZX~e8(5sSt+~PQBBM5zJ<7t!V zbg1TMu6u5F!Dd?3bygM4#5Xr27!~xHH03PV`ILmYkvb= z6^n0YS%=v@6tSzW*=}rPRz-}{ zS$6$v16G1*2tDWx?9BasNP=8Ln~u}i$PE4z|90F*UQG2SCM08F`TYDD@Smv>uP2l`g*O-;c1p2#R z;w)5e+Svq#+u>3zNQ{QQlFB%bW2ERWT_n-jE%@~tR|Vx|BjvBbwssD-^}B)%?&5TZSBKS{`NPYaqLg5kCw%%gd3_~?V2Z=$X%&eEvJ=bc& zmqG!m3SfA&wPrk>RFDB78!d)?&nN&0G3BP1y6YHWjkAr_4Js(KPD95Lf)qNjT*S{I ztC;m=Cn?N>%KqH0j%1)(QQQcA*|T0@QiVHgSqVnxv(PJ31r|HcbejMk-Aty_sUID| z6>`~nsH*gW5HQ@bs+NecsdcrBt;h11aJ8{|wbP5|gxkeb55*^U&Lht@_| z>T1!dE*dnuToC|S$;P|j<08nV@-C|g^v;cRJV9T?Re=qmWK|WDFeBRYLK%ZqX^^Z! zF%g)7g$(YUonymqYE`-JG7?$k~La)VjL>oITr-9y_OFM7Ua|opNA!4YPHn zJ|G5~8Hj!u#t2kkb&FbFG2eDhW9OKnbANM8!Eaq@U9|}YAJtvm$TXXe?MQ8xba70( zRG$~_?Cr?YqE=BDdFejPX5Te4OibHpQ9FIKb3&@Go))$1Wl%5yvL~=TeY|;typa52vL3}E6s;ak`jMaB|XrFgj?&3Ef4*9r15AFnS51hSMfa!I=tM*uKS1@nNEi`EKRS* zg!o*MA&YL|B4u;^Do5`U(RmP_MqH(fkGxzQBSH9P3hIDiaWXj@2eL%chL-`*%3xOL{pqh&^euj#!j(5rrFFV)eNTJMm^}| zrMBLs(Nz)*dRFeGw*En^&!`C2c1);d^j>5u%#eL1nks@_eoiNf(*xi4H_;4p_9AOd zw`xXus;#%K*2lEF3gVWhC(#tKzI?SJYoAv{B<#?RwC#b&r#*5vC9W#T>Mgd8S|54_ z^ggVT_=2JMDb}~ygdmMIw?*xV;%G(EskNPF8W#mjB>O4w>t#_ZP`74*{F)=lvWxX4 zfxSy?T~Tvhu&BjZImGEW^lHs%3ntbDN2ET@7A~y}JqtgnH1&!ehuL&+4(04BaN-)X zu>zCaUSd?loI4T?&i##V!pmsSMbqNt+SF z_q=(?r2=_$<73%prksvrjtOgwcL2xTB%ZOC(E9CAo4{`Z-ihHcVZ!dt3#Y`q+0&wu zfe@j73BUT~RLSW0?WvN179N_UDzB7)F;&7-ZZ|&62`Wh+@_7oaD_5&v4s^o@+`mt; zK7We!)v|b<59OS4cOS`9X!G?gd9@#zWmHffyKX8r%5zsM2@`4wR(btVPqjWGzoq+l z7$Q_t{ZgmkD}yIo3(k6IO3fB2%79RY0J;({{JHE z*(T-s^@Zc~2^ASl6M}syWqf0t4WW#W<7`NxD;d7iOUL@!Cziz;c+AkjWdu5%W3E=MLd{NsqH;q0D950KUcO( zkhEKZ#NB%K=5t&3yI=kMSHJm!)qZMaH`|8;_3q=gS~~=$c~-2m{VD{mV-XG|FAV7! z@4!&RF+{L45ZE3WZR&aAaxiII;f$wXiuPF8l&1F5drcJD6T`9$kt5@G zCm{1Hy@6HOgpOLRL&0IxQM9_s9q6pmB%_^89|yS(Me3y;NMFar#!WSp;uu7eK@U~I zqid&MDu${#FCSq_jQKfcxszEHg^iWmXnW-{Md1&ERm1w)p zTCoVj4|I}NtDUU;tkj}#4hs+t;H=8Fi}36F4h-#CrJa;jpaHlPuW6Te^CWUqeguUn zWU9T=;CRpdr3tP5!*46)-XrJCxQ-ruqGzF_6ND3&0l2ZOwx1w<-!KeS*jhi)7;mn* z>sI+g@1$I*`f^h7mrT?19a#SgoqEOpq;L(VnlfqkZQ142xzyU_2i5kV@_>F6(jq~q zf*VKe8!j?YKWBIwW+*U2%@Rz}Rt07}_{k{4$J6hy_^rMZyqxTl1wYf}HDG6fw9} z&uQ#fZCBZ{2~E_hutmB!duj^9OLy00n0OhFH2Lk5wg|Ro4lBEtgkkTgnRDz9PA4=x z&{)zVwcIq!Gia=q@b0dwbRD|8Zt6NSS5M6djd4|mJikz!HvHF~+RI%RWeK6PqP4%gIMlL23 z5*6{(Q3u`zChAXQwi+yU1EC)ok3RT^0M*r63&{tq!?vr0R;ujQy73(Pg9IqRG_1X` zX0!#7&v?%LLC;rxwB^fMH z=p<~&7|ULuh3=}|nEKN$9(@~B#;aN#^Fz-it;+cDLK%L)rv4fZM}6u~33hOL5sMsG z*HQ2Cg$81%@BK9kFBEx#0ll6*qQ|DJrHUsp8`@^U$HCHG6Rz?UfG<>L?4>fYx}zqQ zw9GD~gtx?cz&ScqMtp~5wd)t!@e421n-i9;9hPx9+hLh!qyQmx`}EeWAM^_^krBUp zSY^{@^h{70soR!62&vn@(q2;*PY0@0Dmc3O*;^~34A~J&PBNkZo#S3NG*KE*h>FxM^q5pJ{ z)==EA>@J9)&*R;7-ZSdp~WdHPN<;q!UQb|EICYn`z4S*OH)mzj0rnHxhSMO zpdGBbGys)0eGuGk+Z-3gJwKZ9lt?K`<+-5}y4J*y9MVsrE2Pkb=)EhwA5_r{mvT{g zR=CMfH9Iqhq|$UqSS6m_tE409;-TnNa3A5~I0zas_%SLND1%rx`30sR3@_|fM-)y& z#o|1v8m-%;OlM&_`e9_Qw>fmaEyY4o-LMOht@BVJz2-H+*y4L?e;H*u1p{PMY3huk{*lis7#Be@`|9-$vzg*M{VZxm;wzwL20X` z^P1XQS89*dpQx-|QYZNV!jiq%b3v$_jtjWaeu1Tvi&WO|QlcjJ}j}ICD2fVRvCuhv&0C&p6TTQgs(b z&WKCHsVgr`wqBUbw&_xQtVT(!dMey{&g-eRA`vKy=%J}_(z@ttDk@H6r5n@r9);gK zCX33=sUQ9>6z6#;2h~_S;*0|NV_ zyB-`$Fp7#xD_E6Qnq*A!_|ltXqkkZPvQp`))($E>iXCpn$5h8hEnZK?t17s~ST@BM zOOtHN*pJV9cO*`*=~sr%V|OG5^rAXglph&!*3aif&)VdXix}urZrD{ag2x=bxvek} zeOAH;eX@SC*r=;w*><2QKTI`Q*lnh(w@mY;`Y6}|*(s{3L?8)UtE#uJC()524Lh+5 zjfLe>VVOpiS58q=70G(pT6LA8XpAG% zbmd1?>MF-E4lcs`+OI-jYj6H30mosV<92f%Gv-!`fGf+TP0fg4V4(b-)}vR}E-e-% z4cF+EDFVcHSuA1*Z{0G4SjH#gF&GtEZMuaY+gIVo^(86KwD^%a zB)hw1f_rF%t7v=9>gnkoS|OYo5tYwJrJlr|2V%CXN`{VMy>CGX^N(VPZ$k+4_hX3P z{^q;ye)Yw+w*1#0{_o%a@qhmKr=R`D?|%P<@a7+d0Sjef6%Hy^9@xGgY1r$a4K9@2 zTso3-3lpFg$0z`*%3j6MjRDt~!(trUX>YrVae6Y^qAG`#;uMIh)>Lp?X;w&OaY{%=i>9iDc{Z&9s_E$3Ou0=eMpX`!$0l5v0k8L0|o-{ENws$)`79ESspt+-BQaGRS_!=@yZCF<3+BlD!KvFV$(MNeCKNm)g8 z*?`G`6-ZY}nAXkqiK+@WtzjXt_qivuu2q$AWvfLL_}=cpCk0Jyx6HMMQQ&NPi^}Aa zj(bUX+**}`m8({*KqR3K`h67+*7Z)!47=GrJ#K|bjCRPxFbA1oELnj!a}iF9RjFgy zp-$+KX&n=+X+_K_(nGaV1#6IkbV$vq&!{iYi~++MU0EDcI+eS~{Jq)S>dWejq}-l^$!T)QgICwK02xuu*{bv_$6_*sF4zA>;a$B_zQ zf48|^;m)luo7>Kv>n^v28z8uI#@?XdP0E82sdCxedWLG=xpQ_mQwJ0D!u=K4E$t*4*8bsr31gwo`N}-;RUP&K%F97 zL+gVpTbOf2C#5p(W<$4|BFPw)(;l1KgSN#=u{X|5k1mx1HurL@G|;V1rw&J1m$Oe( zg>)*@&!jUQICD2@>Z%MkwFy&5Wz>?D3 z@|i=008!S>BVMy?*iB(b8HEViq;RW{i|VA%tWOCl1I8KN9SdUQD&&pga^A-EIIy|~ z?kqyi%~1rlQ85|!5S*r!v7E}flpum{jR8r|C1#&~vSy;-SB0^jI`E7?G2)_}s?WQ2 zRG?aaW+D?~=xt3jbLT)mps?OC289iZ8>+SZmxgEb{b35$>ss=v? z`oDH}2L*-kCl4*de9(cfy;oDm$W-5xI5+AmD=5@sj_3(~1qFlZ(~~&2?TvpCm4%AR z6=l57#5sgS)^6)f!Mmq7m)l8U>)qxSSsr)IKY?^1&J~Dr9%t71Nu1MOFMxvOCeE2I z;$gX3LZQ(i;-RRMA+kncXFF}k+A;lvHyAST0vTZ$JB@_{ma1j$^(M}BP_XiqPn%o8 z;9!>lRY@)7Fv3B_Sk7G<==*T(Y86t;IrvoU5#vdm zt6jOnyxH8I#JM+FMhIMrCWq}*tOUI_^b0@%Aq-~e^f!c9yy^^`e8$saYQ6A4$4Fx! z=WZWl8F!mIzYFCKD8d*5;c{y*Ylk^!JDY9D4HbAUrxi9%EHE4&bQ#gLtz{7wIQ$Tz zveDX!l1-bw+uZgB%>WiCr($Kl#$eW}wX;97TsF6*46sKLqV(P6)|9J3V-fD~nb{{l zmxU|L9h=(;O*@+ow$Y)%~Dx~XVS2Lo{ zGuiSc+YvfxZepGd=b8=4`TW#?&*2>iU=0m1`?G9^{Y;vRFPmGv$b*92h1=!!1_Gv& z=74clnQ~R6o;KC3DtRHz*)OUc0DaM z$J%awR3w@8(6sqcI2nV3HmCfoT`fQwf~u`9j4$*IXKvTG7J%+VqoM@>q@3eTs^cdn zH?Ta?@!Mc2>4EFBHw59$x{hBhZ^!&NEG7Z&aJ^OYt|ZvL=y#0Hd~lokpv-tRX4b4v zUw%?a(9spBW>?wq(ef7a*{6U9(q?C4rY&#!9SUeqpz`bQfAj0_z5;b(yz-$s77yq(Zu$ zs^Wy5v|b~#;T|f2wup8cc=Dn8KW{rdxj|JgbArB$S6J3i)V5%SNd$*GWP01~=% zibs1JFikB=j(Xxq*s26kEooDNoOz5~7^!?N(R0AHIpAmo%l%x_H_$^mlyCM!6#^C~ z8}fz$w}D89Ca^2L?`Bd$J{ywvn^L3d+z`yZcD2k6FOb1Q7FfIbla7QBI=%s6W3DJB zN7p7Zs{>0pOp7+*vMFY)+hVq)xQ*n4&mI{DCSnoVT5MCro-tOO6Rs{D`3PHN8qpPL z`VbY4I`R<`JST<(hroNtvTkWPD7w=rVW^UDTK5QbH79*+ZlNi84CrAyCD1nL8wp_Z~K%cPV0(-MY#X2>_UgF|XKd^$BB z1k+YzCZ4+VstBEe=oX&!*F_(u z4>LoMTW-+@;hu&te>cEVxazoPP@r$qvSZ8oMp3IL?m3$wFQeVl0Bd7rXe_5Ly@~`C zFN*pgL6+9Y5@QR07A4qEe8GfTRjE#jUA0F_keKjCQT+ z>yXl8K=(nXc{uce(y(d4bFPbHMtT!mQpKoG?qP7H2_m3!jWsy^j4JRVD*FYK1?^ft zp*mr=O~JA4BQx2m2Uo9?i9{C6d@{wF1j)mZtu@D{H6BMdP8RD_B_;4lLAy$;!sVwn zvS5)F^1*_E!3>@EJYwcRLxe{i%+XD-m>QY{em;9(v1IzSl(4iu1q_3-WON~Kr+(pB zMwQ>Dp-zuDy$z?+uHD|8cAqpg?XA|u>1#=yrS=i}m?^Fs9MPBbgMCUrjA+;6P#bRj zLZy=@OYJh+ZHo$a(`jl?aeDjaw7X~t6t%uUAH?a=2Vy z>N7*yar2Tt^h3G@)`11qvmau*=79=%pNZyThjZn~{l>Abs=ei&L!ahB8fuQmM1T9^^&;x?p zss1n<$Ah4}i+EwB;PoYhf)e_LjC+r#e&J=a8~osyEI%n~z^4v^lzg2+A8dv_=pZWs z;sx?mo}LjRU(cop7Zpb*stt;pmJuRzc13D863iA8U!Ni(gb36R4LdBqz6sRl+{GQw z5u&GnA=ZDMxf_s>KE|#+U$3sncqtgJw}N3gM~M1OE52XTX@@mWg8uZeqSanxhRAk- zdPT{Vt_V%_qBq|C6mSs0Xg3O83t}o_kMxwM(xH%1{-Evu2@7q#DUz7{(N3DC@MNlm zvnN7BdQt#)tqMeND>sZN80tm!rRcTi1>U~`phv+_Phc#c?Xa|;lUrv2gN%S-wx$cz zAM2;4NXJ6SewrV;6b!APP{wF?@#&f$GWP%xz89xHeg3wBVOmc+EcX(EctIg^2|;`t z3tjU=>tazv-UI_(d9%Y>Zyktg8cH2W zHKe&>FtDc@>t547>y!p$UAS7FQOL-ZVJQP!O%>{@A~n%4$ykkNt^qh6v%S^oDLAyZ zMqm3}5w>A5b;*Yi?LkX(On@T7qe9My!J_dcxL0nIEG3i+69wjqPPKZIHe9m4C9nQr zu*i3|+HpJZ>N_q=zA;g$ralLYDw+?`FSUaroxvw8`sJ^F{rzu${l$X!kH7!pfBav+ z`{55i`?nwd@?U@Y;faZAzfxRmQcBx4Rrq_ssufYDtXwwRB2=z(?tCi3FRR~o^o&yC z`yLHV0~&#D9IX!cWKsWT4tH~~>sP{TS%SV-SV1X4Ks&UDzMyLOk$Rt-u zk3mpOb^lOfm}1ar(pf+iu)~qTLzUkkS50|W!Jf{685hZ?15D>b|1+*x2&+F_mwX8{ zV#+jxdIY_jKKT#GgcJUkg!WcJzv}``-CF|^UoN?j2Eierk+(ud(*O`oV?(5mOZ;BeY&S`0vE=sGH66|E{hA608&8Jym_ zUIFaI%|URk0zu({ETCevVr-z+OpKeVzDE4HUj?jOLapc|3YBo-7%-TzDBd5KKdqz{*zJHF&&V3Q zO%)TheuoajanMTCYNRmhOljGVt@|1^UlD}(2t^8Q7=+v#7L?4^lnzFey)A9tt^4ts zHP&%NlOEN074q5knHjHOfg#^V0fP$R#8L<^MM-3QAd(LlF?S;-u0!ZEGuzG162L$g zPSwdiZ5A-1+@T*YFEDcTVGe5)A8Zn0o5rKPfsy$VFqo8O6;bo2KFldYr{nC;J`8K4 zKUaoJrGt^o)yii-UM(5k)G$Qs2}XoMSNbrJv+xyux5|14BZ4i=tm#umCBxz9^E#jV zFwc=017Ap+{;d%sF!JofbTM_``!MU?`!HQhU0CF(M?Ap@TI$C7qZ{&`U?kRCOnnK^ zsUBYWyB-45^Rx)4Uws%{84xf~X@QiwZD!C547Y-TDiZDGc5A6K!k%gA`$(5vP*?z6 z_(_p*>3>2h-FxE6H z0c@uLUAYw0ZCH#itoTmz!U^Iyv{$r=dmm`a6(~B7 zXPMV&g1{TJ5N#1ejLQhOHQeySZXKIxJ0av{hf@&;1%s_9hbxw-n1%kk)BWs?nEHet zD+Wg+_+F*YAl#yOTjCk$!vwkqg>hkGt;sx!id^&xYK_(qnF`&BCDO$8~kt!_I@p{$+$6R)qA9)d+Z0wC3>f(OSlYa{5?HgO%z)mkPt_QkE;_ zk}uG)6UxvrU!i5ipc2xT4l`nJy)3t~+;Z`q!uwjBTPF+A;N7qgqHcT6AP@I@0xu3R=VhX7k+^Kxpt8xcetG< zneU9Yl3bsbZrz;p5`IX?rhG&AVR{^!k}C$TCQM(H!1%JUCwXtaQ=&@fMwr{$9CXfB(If?~6LYPb?uFE4y)pBhcliy_0PG9FBQz zaXNch!h{uF0f_l_X?=Y4&|b}UDvW|UtLN^T0>tF1Q*FBk9Iid(q`12R=GcpUHn4W3Pj!}N-P=$3%3MyVQ4!caL_N2^ttve z4rp%@CxoqxLUl-o%DkMpo=tGn!>nSxQ9n7(he!6YDnoUBI01)6Xi^Dx9vJZUg&}i2 z@8dWIVf)gHjPwb zD4?s5I=Zd_SLwH`fl6~2F>H2dB(T5sPgn|jlT`;=wuvIb_mbPfC# zEfZaOtUzJKTx1PGw=>qtxh`w4Qcs+nr0o#6wNqdOhQdO1Q0N6(MPliVbey z-eaqw4}?XI799OHrLltD@qxm)Qd?4)6Cr!R1v#K4anrg|O&pMT!p)Xrv9$g})_!h7 zZ!U&GnRqhm& zM2RvB{^a^=DSwPe@r$GXIUL+_cx&RCo2&tn_}%epi%J!Hvsb^!?_99*GH1W2E*RFJl3tjJyOhGy7MHVK6y3Z`szY(x zv5+HSP7h_gMGef|FDj=}IL5)Rb`f62N2Oh)^R`8%ioy!1Kj(YS{y9lWuorbZC+CQ0c%1lhU z;AL#Iy5CSW7}~{@YiJYuG3DI+q3HT~d}ir1($#d86@?)!C57!vDg2(Fv8Wm_NWNUE z28e)y(5e=lcG1AV5dEUnFDjoEk}p*QLqcWLvdNP=qNzT_|s7VzPm2y#BOeLP~}#9c$LF?y9oDAD0Mp0D22CW zJh^hEUhN_V3$-5TI?j3w<5b7o1dR~$eiJn?7_rjO96{`lu1sj5@A_)Ho3X9k&G?w8 zdQ_UK8dEL^ImHwh6VXbJ8P5(C{HjRDa{FCX2H=%20c@1gD%0OI4R+x$ByT3)!CIFz zD7#sjzyKuvWg3~HQH-FQOAQ=!m{d5^FVdng#<#;t5Li<-!OM7vQAwMAk=eWW|0Op^ zj1UTJdWq6oMRWJm5at?}s1;L4M4Trw3^-9f9387^-_R?{eSoIUvsD#%gTr^TE1_eH+#CY>XRC-N;VEj+xE30fw{I_F zfcJvhoS9XgE&cYgqCSKwKv;XHC_BKKp5aOhtCQwhzx~(*hf*bLCu)Spb`2A`D}GH+fXm8HciKt7KN4F+)8sQng!ON zAbGHw_n#yUdZWlB&8>4Bi9pI$_*tq7S7i_nWf%nHbO6#n12)W8wDHe?4f7Rk{4-$Vt9Nnx zXTXMeM;jfd{r1)_`2YOr55N1%zyI-n{P6q#`j5|8&6Q1S$5N$4HspU!V=$1d#htJZ zOKu<$h1ITYn7UzAVc@7Ywiyjqm8l@~I20z7O^*3Qwn745)E?3tA8){RUlWQh@ul|g zrkT!?QInj=wxlokZ0r5A$coiV==)TA2sg4t?ZG{ft+q{m;fVqY5mlE8%ASQRvG1Z3< zMq?_%XR87LnOsF4{7d2Lc}2i{L{*D}uvN%i(OX%GK#6evTE?hSTu~gXMKOlr57)3| zaooPuCakpO<&tT@<60DxppHhS(a0O<>J3PA=%< z@!p+B?HroT-sq941Y8<@5E=&pFxn4YrXMv}<$9(<8JJUS-aL_gWHd_UpF&~53f(Tn zI9zoEwT7T#aP*ORY-N{sO>b3J7t*RvN$+=ho^rQ|ou6mZBFNkMd9L&G5Y;>TVa)O? zNWcQaUq#8>4*f$Zyl=f9W-Hb@diJe1#Qde{?fYEP?a8Ewr--B=c#b8tmnV}zg?>so zI|y4fOqre?vGwYRE$A{}Fo;EEa@~C_H2Vbmc)oj)MxMzFehA@=JD}J+Jh03 z?}jUrioKhfr{m_D8MNv3<0UPpE7Sa@=N%CNn3Ep3|3uA;{URt{Q%P$xb(mH1Yty}R z^U#99OKm%DYTk;ZIa&oc-np%(=r?MfaTTy7^vm2@J-K=C^L3E=SQ56QyKwQ?1NST za^8JN5e?*ROXkVVo6+-*otx){YW#(@pyn+Y4cex%XVLe3crHDTTwtQDOiMduhHmzJ z3VI8rQy+7kw&eM?7Oo3dRlOZngtdIv^A-SBVYn)&>Yy@2fVZ&FcZx$Ho>PeT(a+uv zBRD9)y+`fE(^EKXD(|{0lMdPC%GA~_ zQ((YZMKzr^s2(4bJeQBlQ@H9q1+!+Y`1DA(r|_vASNq>j-glT~O4Vm5L6Cw_rk8Q9 zi#99hDuC4zJI+$%RRC2Hx+WLHt}QSHv}$-i6|B4AVrWjT%#Tik2xA9Yis!ed@M+DI zhG`32xmE!!#kjL6rivh-HL6%_`v@&vF$&{#c1`&;=tIp%j0%w(f}LH`J9U`?kMUR+ z-M*S)U@3!ExSJVtvtAoXg?X1LcoeqT=cZWha=Gt{-q&!FnN(%sOThK+DN`_njB6?Ph6+Tt`AIq=!}6ab2HD@nD5?9NM$~u)}(q{Pq%GtdA761*eAs z#+DpHD|$?QM4V~WrOT$Rcoo4rfF@@Y0JA_$zpt4_^W7FknMlu+_DdXhB}7Lb=TP2M z_Ezo7YqayWS6qam) zRLG7S}27Kd#E(zdi_~Oawn8TAB%h`dMl%Z&b zM_GhI^)$Jy->9bg)Q(r|j&Y#5q7qQ3fTEW%96!;W6iU~AuFzvvrf@baTlHL& z!l_F(ow&u1+VesUkFu!X-xNIbVPatLz)^MTupoPBH!fEX6q@2Kc8JR=k1L6?QTe^vlF9Bl-L;U?~rn@Vmt1~nr^;|`xy^6Yvk?ZkCNcWLG>Hv+jG z?!JZXC}HjbK!)smPI?r zj!KCOIAKiZ2#-fooKbl&IDN4Njk?Vt zHLb(U|2#o&lw)=HXEM4KU%DVIhwa#srx~5U} zn`#;r>-(Xooyr4ybY^%2V6{(Hr^*tU&C|V=UKL|1hfum+FqImIO+cX_YBrxhLHlK2 zs5lA0Cs05S0jpQVHJypL7gNe&asELUqbsW%TMsWd|0u4(UFp2JQ&4USX?No)lsO}j z(YGPmU8bZ#MNFFW13Me7S|*7VfxrZ@Np`M>DDoKfoY>+ zIwf~7GIh@FRLh|F%lk*~eG%s(mbK%n857UB9hLOE(L*G!a-pIC6dnS-j|G&V_^29N zWt>i;Jtd}bsnZ8oxqVi>H3;Ll`cQC-0?<(duNcE+_TpCV`55&EG6w2%0=t0c1(Oj3B4V|l(&i(r$C{d(YbSn z*5GY!N2{#|UlsjcD8YU4{ZWWs+q^%J_VoAMPDYq%6_C7 z$A0z|5yyr#O;OEa6MSx=1{=c|80w2o3k`gq1MXAWiAGC-k^h?tRf3Hw=@>oKZg9xiC6yBEHhv+AwF_7%@7FGD z&}iF~-JE?JB&Wvwy>5yhhIm4)_Jf6uK}Pr~IW3TcCE+#1Gvf|)DH||= z{2b!>^{>AFrT*`)Y8#w7&NCf|VYLkGbaC~t)kko{5TOa_$qMbKSy4rq19d={&NS60 zJNaE7FIY1b{<(9TwVYh4(lXd#E)4dY<_s4X)n0v8WYOb0&-(Ch3uzO&BF zV~plFEN(OjS?Dm18$&3&zN-Ev^nMnM7=2I+^{82=?~SI^p;#QWos@;RJupsTRLdgl zp+Hs-ZINl$;YFn!F%tnF*I*7?%GF7qwhzrZc$d3})xE=nHRzAb4$GdJ_H^Dyj}8wO zG=iHpzV@lU%hAQ3%anv(bu<(ej{PCTft%_aOiPO@u+D5!sVwv%z>RzBqu7U2I2F*C zpv}Pi36K1k*8^&u;)*_a3jIngtGEpKU#>Z^^`yr3;aaGd*xaB ztpG|Z4>4i8sn8OPN`L+6insw-4$X)#b!ocdk9AP43JdZ8IqV)G>t#-`_Pq)YoVkvi^!Mtep$TAj7_Bq4vT{=+NXW;P3YAwO-Votb!1aIbzgy05qFA2ADXlD zd7bi4Mfbuf?pK5ugiKX1EvU~$dbeTLR>!RTFj^vXBQLL@{HDavo~qPzde#p@4C^h# zP|i+|0n+)n125)qc%_Q?@(K!(1KA3y-NkzHv)6^B{ zu1-(6lo+;MnMlZUdvfa9hsh^K_ZfXkly+S@henS8;0ft;jP)^u=w6K;2GE|Ix;OJy zb1N};lo-mT#IW?yr_H0+%55B}5g`T@y1>I6@pc;b13wwA@^+)Q(8ueY9>n<>MCuZB zXcxf8?|7PR*@YN%^fp!93W%!4mCNGxP~9E0{TjE=Wl5ef0ZZx0)d0GXebye;qLL8G z(K&Ooke^HFC{@f`m7o-JwAAL9^CXNa(4Xnyk6q(KB{LeIi%v#~T5J_yvC{XOglLXh zv}j@Qbx?4#Evxo6JJ<3sd2o_NB0YK5P?elrLIiFJ18Bc9&FX}PRK|IgTkC)}J8c04 zq-ixe0eF_|lo8c3{HowOj;Ow1v9KZybqX;|mwjt{O+VSrt5bsF?sk~xy&V^|u0}Tz zAJ$WfA*l=&Dvn8E*$T$h$0OV#bhi@2g1^Nn#lQ?2rE8t{Z%8prs>d=WWgnCn7NIyh z2+D7QNS8GADaBw_l3wkeDJj}75o;TjrsKO3L%Nk1xHdl&Ls#=<`e{-C_d#I@H;!Z{ z1cz@GIz6Lz!yxFTr+ceW$m%L|Pbr3ogLOs2*M5sy_cRQu$n>^u7?i3R!o2(Vfm^2n zboRa7Gj%D3fg6(gqSgtD_jXT=r(sZugSn&_?0UhtV{6=IAAR~MUG}Zdc2B#8pJE@a zRk5rZR+vr6SD#_TF{oy^bxW)p(+&#hj6dlUPJNh_b`MAln>su7>8I`J?H&~RM^jEI zhODAznHY)E66vHbQ9p~IP~9HL(5V>HAvl#7RQ4*%f#OuN7aYhY6CF8y6FLA;LamEk zilHd1Z_I9dybU?HRfWIl9# zf*k~%ZYhSRkt^{TyGQisgrX*_3ET%E26HMgv_WB1Wh#46<>A!nb;J5>`yj;Nx@Ay? zUAOqN?E`&s(0(6{zek~3H**cAJT+Bu_Q+Gy_E90v{Y`KCv^wbX#_}%2ungw(460Qb z=j!{ExMAx|+Xu4(+CBl>Li(ksB8P{L(~e0kvrtG>6$m$Vzsy`=u~?N>M@<3~dBAi5X9E?!CuOiiL$J~9mDYE{vw6uWIMXU^LY z0d&+P#)mIT4CC4M=?rr{bR}wp7^X@G@vZHHWso0Fex3QIlj}Aeaa~rF7;Zo(jLQ$@ zFQRmP7RnrF-zWN0i9w(k>g~s2sP!kET(7o|z$_EHddENuL(BhS*qsAWbx}dYr%ftU z!qYOS<{^+DE+GapBlkAf>FYq$(v=uKSq3S6+T7h-?ZaO}3~sfCOO_MSL61?ji}=r8 z;|B6StdfB$QryE<7%gM$S`5sVdsTxve=t?@Y_k3$V0QlBY!!!Q!NA=%v>jLe6=pEZ zE9nTP-Ibk6cqIz({;C&t#bYx=x>TjDq>WsoR<~=p>u5oTzlN+T{Uwi-gHp2!HKB=} zit*I98<*8of0@Ky`gR0{XuFm}7A{qVLw{-hrbq1dG#)bT&3UL@{iO;D^w0hhgI+%= z<*+V#``vtE?*%2d_@?EM?*5VvZ>vZ-#8b+lr56hPn{!=QSU;DZ&m-x1C=`^Vs-_a`-m@N;Kyj^wn?5~- z@zYZ%JO#916b6Ma`ctsuq3^}Dsz9lJwI=kb&!?d6-n)F(A*jJqsIR9GUQdB0Uw;VZ zzxx!n~OL1`Qkw8M*v zw%x`Z(OG0OwBmgwX_nfT=dmx0jtV9T&jiAv=|h8|J87wm>HAq3oWlLoCQ;omu8~`f zUR7NCxgBS_^j=BBhNfS7@8|pty++umE_{+EdGkEhr-6<7GCj`dHExRygwuOJhj(d` z&PH9j=kd!(t1sklzx%q7KmPv5KmD&yEz)^RXba3rgh4PoY%xR!r%~}=YZ^x+uPJ12 zTe1X&hAQ zoIcd+GOlnLXTEhCw=8c4k{)fNle_k$dqw1OwZ?r^L zl#9-W#k~%^(@xgHO-%=Wx(<9ev_;)K;&KH0Twq6)S;DfB4|#^pSRYf)-MnE|@KY6} zBCUQ%U>nM4@d};wJnt%8sOg^siBBfy?c>6!ur2!73_(p3Rcm3Sl)NyQiy*-zOBBdt zGj*-11U;c&ex860w)_flcBh9D6~7lJ__8xnP?QV-<(UVn_h}0Xb6loC>Gu7*tswNVHAdpe)0dnU!6f;`5>cci!hmnOi5o#x*G-nH8It z{g|+E&eOb)+#CopZ0>>ISzxK9LQ`_$I0NQ6c!ERcRLM|ZYO=_QZ~@dQO8KRPT_ z9oN*z@~RBgE)=R}B2m=}WZEs`%LKDTaEyCX;nx;)c(|8)S0uuZsbxfdb=tx26eZ>nJNtaxdpycZ&=CUap=@ ziw(qBV2eK$iENs~1fQZg6rNNs3H~8WFfyaXXlc~JTa;q?LtFY>7P+k3ZNd!j zv)!hmvb)4R1{cf90& z&E0XV0|tg!L5`Y_^RYPy2lOo0&aP5|FaoIauw$`1Vc_JE4svV54?+3DBSMjwZz>Ke zUAkut1=T(cTgq)HXZp3B{ucdhZJIRoOFP%1SFl+TEJD^7*NDcj2+Rw?kq7hGQMJfA zIIip|)hVWDMG6D;V!|h38>nL! zr@WzB_q3BcPUnai>D%?i%z~DitU$m>#)H9*GZUhl&@^}Iq1-I=7lQVT#zi% zCYr`^aARD?I1%=GZwhJoqN--B!7>E9Tvl?>5cIy6m7E~S$f&ON?F0SofcIu*s+JR_ zWRedw^A>v?6Fzdx5Nem0E}3d!b_A8f**5KZ@~ggashy5`N`R>rmlTFPQen%yI|_AmzrS1!OpH2tm{;!ww*(;3i+_J%_W$s5Er|1 z#2ECp-9^qF{W|i%u$CJ`!B%u)xTZTEXrwMD?*c_L6Y2VE2dh#VrcO?X>!DxTb;9B6 zc}xRce54RjEb^m*?1Mz%*IB{RDQaua7)F1*A_1f6ddvlBXqXpDhGTb;^RvT64)egp zpMt4=qBodOt$>9$Rn>>vJaJEFJM%(;deq53uuyMUJGtF89S_@>V2OQL4fU;I?1HH{ zfa@3HX5yP@QMdNihjB?yT)S^OMT<~$oo4^ZMGlKH#3TD0tog*e(5_@YJe;CM&wDyS zB&9X(>DJHI-u;@68D8z%X>;QPSNi->G4&)~tn0U3_s@{uR;f~nDqoy{aQ<`}gzFLX5Dm>0$ED+IryTJ|{z`%b(#edG=d zne_#O(yv^BQrjY!eENGV&RHBce4 zs%G8OO{AEW(Cl6o;XYeaDD&p0;j*;AMtomYpU~^qH+>Q*1{JAWRN_-SRY4FaxN}e~ zr3xUEIrVZ%C`<9GKs>}Z#w2h|(?;z?iX$4-5ZfD(Lchor`C|7p`-@1y4BrzNU?r{ zNHN|-3I+I1q#%_f)QMDL=LC_${w*R!Jc$(Uok+2DB8C5HB84{P7`{oQ@Qi4^5h?sP zh!hW|w?7am+6dPF>qLrD;s+uH>AeI&-aO#8%{ZyHo?Ev*?)r&Hf%L+95h-$4bX5|E zNKsBAg=a9~CQ_h00Fh#bNP+yp`p`cJMC*?i;ejJiWkYk$7-(y|bs`0rU6FoqogDvw zu&dy3&deqkhM{bm-aD9sTbDGe&PrOanQkY}jeP4)q_B8is?-fw_(m*Jj=&d;)km(V zYs>2!k%G>wKZz7V_E2JD3YE(NkK^LBbs~j3i4;*_rNA=exk8RNXc`_IrkhC74tpT> zF!hGA1%SMW6b-%e7m=boi4<7N2p`-$it=q9g(|nPoMW>Pt6Y6^w4mo_-gp$M$+rTJ zV*UGh6m#cMBvU@|D9Wi(1mCmsDD-f$I|M&pJc{LmqeTSrnQy4b-aLxZc@*QDn_nsR zXcv!SQ#mvkcog*$kD|SJ6!{xGisKWHq7K!Z zJc`zN6jh6jt>&2t+@F+Y=LKlysV>wvyRHX1InoSJPHbDlj1FBPCzVImgi$`(k$)?>SjUH3u zr<`gHW@YO;lfpbbEfmHtCWStSYCAoh`7@JZy_ppCVp1$h{X=otz&<&d6#isVsHDp6 z6O+PyW>U~E?@WqzGAYdMX;H@+(X16Fh4N-BPbLLdsv}Tbf2&Gf1&NQVB?s1#?$g<@Ap1HOSXueNnz?wGAR~q;jc`J z7(O7hi%DT_Zahp1+Yy@cvrRw6q-ft}QW$(`5&QQ_t8p1q8~l?=p_nl4Dsmrves(^U z+b<@C5L%?zye$3%7D67sB`vGE=Nn84y?R8mQz3X)){kmzml zaF0G|(B;P5@;Kt`^{Hu=+Yv9M-jnz5az}bhkGv^igTT)bW<6Hmu_=AhAk&kFk#qRA z<(O`G4E=Audz+_+;)uhBLRnuhh3IqAfayFiy^_>9!>o*Zb&=iOMc&rlllSic`MZDl zGQ|ATE4NvOn{WidxJi&dFru-c1EliEX4nUX6c~sEBe%0oVO8~smRkD;vF$dRp)t+8 z!%`W?2gVRg7AK|L!w1D1*VSQ(CWVlZ>pDRV%0c8*bCq@a-8pdLfW z=P}OQyGem->g^KFp<-)V!(O@Afj-qxT?}YQH6LbY~de1X6h|PQreXx>#Jf=4||&)+PWDa zI%`i;FepiBzwd}9V^>kFM~7}1xv*5W)TdEpcUaY~IDj4)!mC_F@CwPL6^9$uGV}c@yN@*=yi#jCepE=7EIW}(&->U4XpM*6RKe2Q(Q&JYTr1k^OntMjSG$80j*^h^ku_nY z`oxgt2_kodZuL(~bqv$$OkykW0+I1$iwI>W3>CVPKe_lumChk>R=R}F)z?F)F6@BF zqcBanH~{lDqjV1$pDSpb-Nu$wsJSg@`)Tt-olt09xIfXk81OKPFmtirS+t|izFtT0FTN?+}#P`CuKzK_8B=k`s_##8Im1BYKOwD zicRG!LB9(;E()UTMje8U-;9HB;Qlj6_2kII)=7&=%~klf>IwIIJK9Kecv$e@RLfqJ=w0kwB3FrN~ky_ z0UbStp5@kFVyc{stektgA=>9uwZZZjjaw^B%2ag=ik*=GOZU(s)812j^#dBWI?Rr- zeWt2?Io%Kg(yNDIY&NI);T1ep6GdoI)((tmb?E5PUJ`UH6_H!?6r6o(FU|KQvPQ+J9Yp35ka7gBv0; z=v=|Qw3o^|ax{Icdgf;R+uUAvk%k^!ZxsVOcXw)@J4WOq?x*g z1Bc|r>TrQIa#W^(9*~r!w50pd^o}dnjC8?t%ErN(ofIz|s|^}54ozLr2_>V_Hq~np zo|7WksI#RLs{%zYj0OEN=%YS@UMlyCU`6T0;gF7LRYMJBtWgm}iB9=K@qEtqx-!l4 z)b;R%xiZq03dLhQR9>2{==#V4Y#3dtt!^@g;4bJdcdl4wfA+bDymQsNdi2zvCr6-jrv?02chkVQBpKCd{v<= z)7hcIrpc>{Q5f%BGn5BZ@0|Wr7^uD)RAclZ^)`*-IHv2icc@T8MayHz_d9br&2{uCcvAh0q-h zD%0&Vw%v}t!1?%MpP{GMMO|O^8O2@p89KkWdxkmfGaBMecZVv}^y*OA`sTTg-A6#u zwceiVxMy(lKP#CD^{7z7z^S$YlS8~F*KJ(5rfZW@vP#X?Cw(&wss&pKom&OYrQ){O z421_}Gd|~f7q1F;q7fXIw&1#~YD7@7>FoBwX`~_qpj&rqLbV8-tS2RCG6eyvwV~dm zxUA@zu+P}nDTP%K(mquGE*aN%1#&Q!%FAWwXcdaRucWH>DMYE5^`^!Gut|Kp#U$9^xXEpW^3nkU7?!nn$>0;<{Yt zggdWo)}ljIez|9u*6lNzowjbDp^`j~XLpJl!60btyVDZ>^;dH$F>0mlYqqKdzy7wrwear)4lQZdZne>fR~S9hv(;ax4PDl{!V zT1}h6NGZ!ptLelQXu2x)TZ1eb7KiH%EV_XPqOMw{k?xfL){w8_D}L+L+FVB{|IheC zFy)*2WbzwfFyU3cTEt=AhJ10cj@@#uVglToQ|8-Kz74;11=+0P{P@O@Z+luC9#u%o z8%H0hy|kKcQP+}Qz~VH}Py*_8nLaAlnngy zZnzG@(2*Z7&`=O*47R63@rV239d%bdv7p>=cBf(&ZDO_QFqz)^>isEu^{0Rs3Du^L z4jR=R_Bd6WyfD#*^@YRFiqB%2&z}mdP7XDUndBx zWtiu^J*DrZKQzoHVmiJHtLr=$-08-y+N5uJ;tRfiX-uzoyThTXwpvsmPxF3vrY^Vj zwxZg!o%lj~T18(t6*=5sGbWyv7Mp6`B5B-J;4IS2FN+-Iwyw+Q{JM1=kN+x>C(4tW`=+r^7 zf*oo5V0Sp2c89{~T_Nm?-J#=ZGGQTff(zqL3yt-Ig+@4=lHc@Nr!S={8+kdv1r8c2 zh7};^M??}meG^?=eW`p+_(sr$zxq=45_Vmyu|GZHt39zThh=h#Zc|c*7-LhCcnQ0P zeaMjL4I{oc2O(Xzsbi|K8Z5d7s1m=f@V!J-7J3Wo+?R zzx>UwfARfSobj!xMHFvf9l>){Ju*c`7nRoQcAyKY&tkb3R!W6WJvQbP!k`1Eoyc9i z3XXmuw_?(!;y?!mBq5j;wx)pUDosynhP_#+Og3o2cKr?RJr(@s10ZK~uE7Med&u4v zJx3u6l@B_q4s~~x6j=uo2_@{e@Z6c5>R#Li&{qj*R~4<~?W{?I67_OQ6$v91=z?KP zyPkOJChZ$Byy>kJq&F?5;M1nEmU%7D7)admnfon$V}XEf1+3ar>w;1Hj9d{c zyJd^rAc%WyuP~~e#dT;Lxs1s(xdh7^{J6&QD$Rw^X#(d<3D{VYMT})A@LoiN)}+7V|Jls#E?=A_xV&JERr z%%e_`wy4!dXDEa*gB2HP%qH*v%!{f7VN-2W1q1G~i|RSk)e`E~9U*5s3MUJc&qwMS zSL@+wm!Q=rfQ0lIgmAiC(PFoJlm(QH8Lvrb;|Ao4<`@p1uQud@TzME;D*cAx2;s3{ zaj5;4vLG3HN80ISTUD@5b@B!~Vc+G7RIP7=&D{2k%Yu}R&b{EOY8;(Ops8oJY;w#{ z=x{HLaX4mtwEciF+~*`c7KessgC!L>L>3%F`=E7}omJI4ey{=5qb0}Nu^EUBiagrD zZhNxqIyrr6yUHY+ggo>t9}gQ7{Bm5IZ>#nLv7)4!R|(QCI)95mO;pkOk!5!%d};54 zOyA5Y7RFp=RasjqmpO$wMQ2O)^#x>@e5wp}!U$;}%_)w~vKw^}D=_K8F-AaU7;ypw z$n+j_1;`Wuz!{d^pqC;ZcFkG;#4)Ei1+>m?1ZXZGvtDXNXwHT&EW7sx34-%ob9QS< zcy`80kx9oF%Pxlt$RvP_mcyg8@j-L;y+IPwr8(O+Ll4@Qcb45igG4(}=T2z6Ul|F$ zN93`0<^V6Kw?-w;>wWI?E0VwH;$KCGE#(w?RiHjB%JS7E;nxm*qN*^7#3o%r5kjcfF^XkQD$S)G@}J(8YsZ;KuI!u25-gN(8h3Nv7Se#S@VHs6gI#u& z^maOyFwe01{iY=7pv|d*9*iO{qO-^D^tkWtNVW_~7r$E-`P0aKsWPaZ$rLX9qMSeY z-XBr+nHR&D@;Uu9Q>^ysCwERi1&k@dFAYIRg$ljQKFmx%wac4gy)=qUz?(v;8*1I= zPYb|Q*dJYWmXaaBUAk3g`{3+}U#i2dz4u3SG_@xYDLSSKI8s%ra(zWuUe%$Z^MW^p zRS7+#NWQI$!0Xu`QAxNmsiYWV=60YY9+&u7rzf?J$*A~6q^NWRgGg_rKQg_Tb!AZ;}z3k7ww4#SCHEC?pNBN)}%=A_oCUT|(pNMGHmvyT3V|L9HO zlY1L}dP6YglAX0G0HD@gCV*Xa7Ax8HLNLb%k)k)%SrE)P864Zg)0^V&6e&__g5!5^ zqr9j*9ZbgcqW4FdRoX@?UR#UA<)=(rR%@o<$Z)`uTBop-nI}G;E`Tp<3Z)nOPOZbW zy$f5qE>c8$WZWF>5wF8|Bbe<(Fh<3uN>Lr2O*Pu4K&9}ZKkT%h1T*~aQVlVGQ#R!9 zQVlVGQ#R!9QVlVGQ#R!9QVlVGr)Z(9F4`bP?P~6@W)rL`LU%Q=9I4~f3L{)rYDf?o(-L~?Q&LhOo zu7ryT6D3|QLm~I zqt~MoN?10V4gpC4Y#42-GN}rcgt&7Tl8-9(n9Z_N6z)x7K-)p-A~I~;GS!Ka4Sb^l zDX15R$Xt7600#t`?n-^jp)cGZX)tDDp?guv zFuhd_gTku*6%Ha82UYxp?(;RCZRvT?bMOZR? zL@cvQ#wgJ!ut2R+D#;IyHH>{cy*WbSQWusY@n_HcQ_qFM*~P$7m6ZuT_CmN6jEEr`7G z`D|bW4$)NF9Hp*zb1X;mb$sjcmajLmoUi8CCuCV+R9k@Mqg9j9`Kjslmfv7MF_4~9 zAKyBXN-E^|gKQ_vs?%P2P8Fij=d-BIPg?7B(|Hk+UKf=0 zQ;Bgwd`Mqx?KYJSS;XinEmo)*)4^>d=3jaNZ3udG!6g;j%GL}U=S7)90DnnXXJiY8&fp>rm`L|4}fQl>QqRP#{b=@LCG)C~O~s0b!}6 z=9ZHjtPt#bqpUE-b*wl6p8ylK?#OMKxjJQzzmE49msc#+7n+B9=(CmXG|&C*7%}X) z8fDYzepo6Rcg<2?s06&N=HCD&W7lgR9`A{b{jfoSEbDT;JImUI?>vSCawZp_Lmu5GJj%fU~Eda7D_fk3=hndF7W!s90yl zu7{hT$x*I$J=`)XccpRDPptYhT(2rP+jSf$H+ABn;`Lc?dK4;$P{PJ(Uf`7b(%QE5 zk&yKWk3m%`NYhNQ&v7}BCiW(yQVnxls2TuCZdv>+ zi2Ll831Ma^t0HJDhYbo}m0}Km=~d5kcJJImX2xH+)-_ zB`WBKNqO)b;}Q&7_|D|Wqc zd_KNZJ@xT=O!Z&kx!#U-GkxL0)ok?txT@y~&$*lE_&hxEc~Qw$6x!Q#sd|=Yv+<-g zYTdYQ*=i?}tEogBTKKwoj&L#ItDG_1_=#-b_(mC*jDD*wId z4M*+d5mJxBFK!50tk}B1c)$)a2sEm+{{OT0Z%fwWR+cV!kEhTJbeke{zC@8Ai46ix z5HKvG^~JVGWmKlRREo5aYFFoe`W_$1b#nhB6w1(Lr&Oi?IePv|~6r;c~t`pj4 z%}3K8Jfk`>_gh*Fuj#0vBO_GdC&5ZwF2%NX;PIM-$ai5}5Nr@A*ke=3PNLKBC0bWj zNTnh`FE=9I63?NoLtCfck&D(Ux+XiFtWe7o7~fTn#`abOjeIsPzLy(y-p7FfBK%eb z6{r0wH{#-)xYzDmHn;SNsj9KV1qa1KAH`YhF zv7F_`QYbgJi7+az*~;OOXl$52y0T-Pr9~C{5+e1}rqy{XH`a11<9#5jmm7DJ&w8Wa zp3a05G_8!9m+t2)KBJfs7+naoEe#oezTTx9vVs;MvBC^wo|&U)iZxzUgJa-;3#M&(k>H8Dq_+$apHVEJBdG*`Wmgnx96IMM6zlf~s( zZcHD_jr+aaSWS9}dh`ZdVc!qsMkOc4tVQP@<;LMzZcOyP20RZQeUpQt;Jw_~9_2>+ zQf}1oz%~2b;}SY(bYkaTaP%MRjkNTH9~sE1blNC}EBsX>5_T3G-&8&HkkG+$)*G8h z!H?G)&73hAAF{4Cbs@EIKKHck${;D^Yd%aQ1Rg0OB z2;CDRpJ%=z0vf7qhj^|=(_5S z%JS1$Zv@CU2Jo_FSVDX9sy7~2y|JA2MspS%$9XD`dgJh3Zw$|Rqi~%#U=&y?&w3-8 zVdhbAJnM}$T^#VG-grrQ9NQ1q8_lEO_@UlNDK{vsGUBAv*XOYWqKZz`d%e+9Z!}nF z(<-Yt1qWPprl~iEAFMa7ul2^kg+7mEW@c4;%jEc0Z*LsM*{Umt<13B>y7Qb-q=3W8_iqGB=yGlsopq-E>uOmvHn24(Od;b zf#B2T@*l1@a+QCWCPJaT)rS4(qd9#ZYt{1gdSjnb?g;O!H#$u5PM1qKAfm4dcdOXj zz2Nv-Z*(6ypu0UdV4~ibKhztIy%!vNy^;Ffs?}+Xo`uNxhFJMJ^t+rNVKU~YQ<)!Q zGUlgKnIB^^=BHDcA7e7+AD}Y7{Pk~t`@26}6z~g*iv!igaod#cw1hTo8!d$5&Dx}! zvVm)K0}BXdd#avfR8N$sTscKgbY9R+FQzg#d-@Y}v(T<^iF>YsSKKqsaJa53_b3k8 zdZ0(Q;0xEOHiQU&5~FqQnkQn~v!KV9Y+~A@qWgm`F{YjUsS)$RAJaThAnWa^;a&4& zIsHk@())`T@Y&WixXhAf>7<$AoipqYr6zw`-y)Q+tj0>}fqbPdIXPYkad;Ybg9uQdLyn7WpB2}Fr2|NaDQk}+0G9$18JJLuk|^UUaj(m2x}&Jr1hixEjFo%A zpc)avoUK65J|U~U4uMFwqJydYfiO`w6rIlwT?6%LtqWlSD}|l8l|n|bqVOW{EexZ4 zq4%HluDcFOsa#`Zg@|?iyBMVuMi@i=Fr;HO&k)7sNfRF6W*(K5B&oeSP|F!NPNHCU)9hT9)@6zyY% z?lKfD`=J$1=`m(_>R_4=LYNDj>J-9cEcRJRJ;rgy_uIXIsU zMQfbyhN2NeQS(6vv%U#ombVt&PMOoYq3Bu#IL0f9a2H{}x93jj|`MBxC z4&J}$VA?3`?@t{}D?~VJw;EPIz$qp!NFRFED3yNQA`-uLGqFH((bTAup za7ib|%@g+-+Lw#%59wR?9qeL(xH>V-)vt2m+QpIHT};31KpbhY)7D3t@Wjm1tX>2O*^S#ZMH9 z9#S_aaLBbdl=_n}SvM4Qx7&USVPYbAa^^buUO|2F6XgvvN%lT!_#%Y4zH{c83aXyJ zUX;0_gSl+lo_G@|%Pf=t@Dnws5azvtT3!rAgFqpg0}Kefj2hN1VUqD4?xThQO%`(r zVV;Gt&xWEqTAen1_7mk+=&6JG1EYqQq3DVbra;rYePYbEOPDZfh@YrwkUhfH$zb+xBV2t z6jUzQN@%sCmWK;ug=bf0TkD{6nJXH{=wN0g7|K?iIwOR68jAKw!`LR&htOYS?b!D2 zse_rjpXiDX=AzALx)A17K(%*6(b^3~q0as}X!znMx?*r}`iasOBJA#GrKqKMIE;)p z3l59Ei8`l;q3D~RC|LvLoh`-z?^m^@ z4afZEQ(*oscW%c){?aQd4%ADQot}Q8ao@&j4f5Rr6;6@nRR*($N3SlESYKAb#81?B z>TEt3iZ1z4Kb`M}qI-Q+!7NN0Hhp9}b`{LZ%)4>OU@FSx5%KA>g!+%uKl7RY{a5Ip z`ON?REA-EN=70Yc`e#1#zn@J1e)YSrfAhPqe}D1p?VG=R^Z$JsqnkN-y# z^l1x0+x1o%BUV(v-o7v4sU=g7{ko}85RJRxsv^BKMPsniuPW-fgf<`fTEcYRROIn< zPqew5DIX4h_p6HWM~bfD(@lkWy{agmnxgwv#kGXjO~pqoncfp^o=wqx3BTg1V*BdT z5{lx`aHzKtQ_OxtpK=xo9^Do~TTn;OI(^y^eK5rx%11(&Q(~GP+jzwlw}>R1$xS;! zVRD$rsfyv^bXsemf<9l^rY7FHGpfPK5;3uE0l$Jzk-G1EGC!u%{Uo2$y4&er2T)Vh|l%4`+v9)!8lpR=v5AYAjQ$ZYyht5VI} zTaU+2RpvH7hguQZR`&Wrk=cBzGOwSi%rA_2Ka0%iEHVceGgm#;RAjk(&#({W8fp!q z%+>XwR!^1rQs%iAnL{r!ugtUxfiH*=F#Y(U%G~rnVX>Rl=bn3&dEb)2dAy3uyJx0# zpxhwfFO;0yYEZ?4W>94o$`BeTH#=Wd<|3S-T*pwWxF;rb`sd2|!bkftdUPsQE_rs7 zCS>y>_jryTC=nTviG6PeNSKbV6g>~sU7UEzWOXK^FLlTZJ;&%ynIICq3O!7M^211X zJME$ZtU&yU>kV%B`Q2_y%HEZ#vs3QkJddGP?UaJHA7$A0mSgii)cV4hnF+{Y zgiohys5N%yi1J!w2KA)~eTPgO{yEfYAJ!29_hJ*Vy%(8FXUzE8T;e=pMCPT+?60BL zCu6SXP^;7Xeqqe_8gs9DoGr)ZS!DLFMdrBG`%o*Bq>WC5SH|3XUGFW&VK&;T;xp@2 zWS-uN%$400?u@xb20**l!mYQeFTeL3(~39RbEY+> zdyUy)=C=;@Fcg{Xq_k15BJ+F|nWxT}X^QAWtuwN{d+#`2qu$O?6m4u3-qwlbVpsdM zGv@4Qkm^O|;cgv)HdmSy$+Wem(mRc*F_&2oR!0NT&lRFa)|jJ1r&ky@n{F9F z7fP9sA$s;mlE3M^de#Xv}a~o2aABGXreWumpG==oQ##j$t3$?+cwPp+yUX8|8 zWcI2tFCsmc=I0RKXIhPRP%adi58sQ-R^U*{pv+yA%+q~2PCB*_Wy~}-CU5qx`H3ix zmRHDX%K);ZBrai_CO-&D!p#T||lko-Z|KmnuA2@rDW2w!aUx2D;E{A8N(N zSjk0cWfY>Bm}w0&8a~=h%T;6Eiy0q{#z{oE>0$N#b1yQZ(btLcfh-nL6qyx|=W#tg*O;}%UTVxr0p=<)3peh|o93tRg?|}p z?R~~YWOCF=(04nO*rpY!4xObD)6?cDGXE?NXD$-=GdY~Omz#echcjO&H~$wnocT1^ z`m5i5{mWndfhuV7-~8hLJ$?V}w}1W9Hy?&Dml>r?Zu?NY=K{(OHr>yaPF)`I#1Qw& zVE$-rJ&^obXC?IQx`3L3IS*FsmYob)DTYoId-UN%7Z_p}hIaZ^TtJDFtWPoBel?;O zsCR9gcBe%J+(n$e`>=RYFnep>SaHI7Yv6ykVc89r3F0F!V!CJZhJN7Phvj)$@bxc$ z|I6-&_{Z5Ma_Wift{Ez#ZF}ZK&hGWc#bZ;=^M1Cy_nwC- zWNpIS7^i0wj`^kQ-I|xnn4?QYjrq~`?w@V%!|66@A9pbVQ+KH-#vxaopqI9HftS5* z>D+{;D6OC!<YV3fBm~pQc*v=xKWFE#}I6GHSnK3(HQiltwYPBq0<i**R+w6Xazb}KTwt&iWVXp19i-`pED7feJ7aI2#L$&WlZ4WGW^zYkQ-xd)9MSTA*ZQxZcUvOa@)2k5l|vp z>&FIZds0`Wr{fSD)(`5Evh-^QY6}^YdPA|d;bpiIlU*-^^#Gb^s6|qiy9AFO6aCSd z{%E_>AyILucMFI)85_rq=`QVPT!Msd@KuJot`~LnM?0rxm5xQv6m)&w@Q8xB=99Ua z11Z67dMFmi{YQ7Hr@zWB-Q>1U&Az(SGt6fX?9gGZ^U=LM6cck&9^}5+(s53=Fzts5 zkh|-46}W5IvI%%Z0n3!E@KNtlK1$_I4$bs+vXRk-lHn<~*NK(js2f?G6Ti?RIg6+y zVNn4TK`IPuh5UCrMtbn{!)7CvKZ)@uw8TChNsTgFds~p3ZJoDjPpt!EgxtRlOw7uqq(smv0!<=Ag@6P{Ve?Y zF`d2AsGlL+pxq}vz9fusOvL9@|Ncl+etDpHn$qv1keEw8duZ%`M`5xcd1P1}rhB9LG~qxe$u=BlZanYkI|3 zJoaE>ww@ESCyhnpIGr@sc4gTC>x$M3zGL+Ff^WCXHh357eBgYzOj*PqQGk`XV?k@= z`1LJtrbqUC7DmR6WL#@r8toVZOiSm{yjfRN#=!-`(UjRJ=;{G@WUcV5Q`fC4Qu2dx zd}pyc?YlZ#3R^Q;@i-VyQ-#KA*J2;5U~v#R>LBRsX-vCIGr?k)ZJR3Mz#?*+R?>{x zo^eGML*Z)Ii$M%R8^*Vs^%GALD^hNsB$hf=I~%2i!DzE*#)oMxr7S%Pg2up3bn|9N zY^5{!HqHv$;%A+>4hdyh+iSh+ z>06;JsFFyLv08a7kal80K6E&sJt5ce6PZ2_pR`Daa`raCxx}$w?f}|ylJiV zZTKWE6-BKTnK%E@w_^1#P<#F0Ne_ih^unD`Te*4?{_B0xyX}3_{==*+H0x59wF9+Y zceY7W>oxDD^!QdRpD3*Mqn+weMc)##l1)e_p~`j4SFP8;VaF+BTT!F%92d*;nx?DP zE6OrHbeY7cb!wiYvUg8<<*CkL%iXr3oVFG9vOLW9KIy;+$k`{2$CBPd_oT=6hBj$& zn&VJZJoFjpB^}4M_8j&Pk_htyEaD#|5#|S2#6L(P%nz`Le~?6&A7Bw5NW|B_`PCnO z-A6!sJ@?!H_>XVD|Hc0$BJs_i#b*EZw?BXTU}iJwEJ*gE`~)KJvaLn`pBA;!XmL&- z3k|S>NcKh~dn+qgO71mr!}zgs2P#*~CE1%V$=-Co3>Zh+68j2%tcj!8tK4V=!u{K2 zK>3nnFBk1USQA%9GU4F^=^rXrRy6mTK5p~V2GZUOy41v9C40aA%~yZ;)$e}usqFY8 zYZJt7FY!OcI0||_IS3lkq(iOkyLeu#OaTM`a_(8;bcTvEI9$qao z{j&$7D|@%@asqa#`>yR)EK2ZVWP)yvjWI6-m9jt}Ju}Cq9caY>l1>RoB#{j z%kwb!ZPBIRL!F6GA?s(4vI$1Vyr_<|H=9`5*S z9uQ0D!bhhoh`|=5Ho;;s*U{rnAq*oZx^UfDs4i)99Gjhxw>ry@D?UOxXB*i7(Sl_% z-4-NZ3qt#+ZP$?Nj4jCM#78Z{AIe!enD`bpSJvLIE67O|Sf@mL%e>fv*iW_~mVVux ziNDgzk8n6_LCRhD$Rm8Tj;I?Q^h4rdE}i>zp{X~SpnT8CtR3rc?4 z5S#5vN8J)c@6E)hvp?2%E#1^293u%mras(SfuqbjCAcgdp>~7PYt;gVp(dYhgJPvv z)<5cwzQ>AM5I8O)EeDkPyD7-_B6TDX8)(!u@142|S*6&nb#$46yz#e()R9=cFhdZ) zJn5S``P->=q;Ia}Qh0nf1*z`R(uG;Oak-m;E%%)L`LD`{Ao2}Nk4A9R#vdzyl@XWem|hpXf z*o5`Apas3`mnVpT;$pffh+P=*7R&0UAdQ)p#9e31-LPJKS7{$Z=M`&^Olctc?lq`L zf;Xw@UZ;-A9iAWxvD&Vq$BRUNrfbn%uENRKN>NSEy(oq>Xl?QGV~i#uIG`s8n)a}_R*r)fP3jap)YC=LiP zH_lq>L#|Z!@j@7MieYX=iMd>+Af;WVAhGx9D&x0cs$Gci+C()d%zA3=VHsEY<=49@ zNJX!IcLKL~cic@un1m@P_1R)5LBjb&HlC&+k4D{enhs9g6eKcij^|*(&q|3t`rcC_ zjBoaU=Ekcjh|sxq{TwGP%xMaeu^<)_o}CLfg=&$r%dGzN1aWjV={Gd(p46?NBTkRS zy-nBlHeKYNEqx9pA{7{Jdh5zo14A@aaEu?uRY^W677UIyUBDv~)uL|YY}4KErXXTJ z3KY;49f~rncTW(1Gfz{HNcHh!mE=S9F)VuHr^=BQvs@FddoXwERz918*nFAQhub;f z>fcR4UOYj}$LeG6!#xUBK7pbmjM;~mHeE%lw&M{Ex8m|=(~DoxEk8uwMyT6Fb{p73q_)s~TT|(4L-~{*jf_^G;U+e&eLO%bL1f^Zb=%*{NMqo&S&uR88iQ}=3T-uHBj`}TQFPx5Qr zm)DfBi{(*5(*e7thU@qvYx?Wo{OTih`#VdD?~0C9rItNgrV%mzp<0$fzX{=G4x=1xIO4c{~tRnTxhV z_49U{x6KY5e4%-Rm#pw;U6lD+6M{}my2Q}v2;Us7>3Ez>LD*-<_0lRaCfov*f}0o} zB1e;HL3wwtiode~m0^_JsSE75m2Tti7RBenWw&9Fx7`UH%`|i8b75%n zxKzOh@+a-9G=hu>h^<_!En9|?36LpF90kXU-VCSRR8Q3@rSv&0m^Ri0j6}}|dd1OJ z$Z{QR?1iQFGJk1YK)L=Jz|0?%Y{SLp+=I`}@Hy@6ZSM1z6X#61(CD9ZLdP7~hW#Fs z1{5qD;3323+(11?80^qvbUv3x1O|iiy^H4P1DKS^5b994 zTt)8EEr}AZKF?k;cyE#3Gk;53(`~(kY`s$Ebeuh<+Ql`1X%&ygRs3u{+ZgmY@J8Fk zl7tWR8T2MZF&bbyT%2 z#=aT@$i;N&BJIr~lZ`yzPskz`Cd=GDM&*0A#v{Z-9}f+Av7*sU9n$@svZ5I5 z9DRA%w;~d_GDLniNr}@qoD-N-)9ckwTAJI^HG%26IH|B5mAS5AYctv{IzZj}sR{~+ z*ew}HI)B;7J8e^)Z&aMbzfKL?tgxZA5r>X%vPG!FhAvz5VFXi=3su(i7{Rp6%2Bj1 z_sX0A$qF1F{4W;1{v27mu+#5=D$ZOkrCC;KEcaC6k!mk<3%~B`9-0M;;n(iZ*(1di0 zqOtCP<_xG7?|JManBonyH+=@Pj_oEyrLY_9*hesh-R=CMS|p6siQSIoxL~9sXJk-z z73oN(&tRsL+Uc!y)gp_A?e5TQ?{1Geor6<+TroE+lMr1Rt72uM7oeLx>f3Y~=4BKf z9t5bnTMB~gr`aPTm^yR<+EJ%Qgg>A_wkj1lM&s|a&h#0~q@a6MQbz=>yMDo4 zN>{K=>$G{LN{HRsiJj0|7catvSkx`u)r(+umOj0*UT!HdE79Th_-HK60~N%(oBVn; zmKw(E70#w2Fqo7RvC0iU+FiUS zDhgknrH$?W;`bPa919beJI#`Ax6|aHcGHsY6PN~W*9{-VdtIBAo`$h2*sj*X?7^zD zBG{0W2ZZE5NcQ^S_@F>mi>P3`?!s(W> zd~pJE_u9fVmfmtZ-Q?F7^`hRJTh|{}FM`_XBN9H66NZn2@{GB6mMT+j4zmLKVC%S_ zevdSk4tRE?kRMe!20?YmIo zMB#E7y)rphT9X@(h9{VUU{|mHi9E#oC=vO|JjDDc5&6kH#QZ1``N=%Q{2e0l)gONK z+pqrc+fU@q{`ud&{r*qi{N)$_`6O;jx5LTt6y0&{&+bIa=`5xi?Z2Nu}J>a-RL zT`q1P=2jJf1Ul~vJU+=YqhjUNR`cwY>=QCk@#S3K(AU=sI6c_2?+g6iuuGXU?Y$kp zFRs73$Qx-bb&=7lT*O%6E~M~wyb_0l4hWPCg)AM84g%3FD`^Vc(Y&JHZFBEuKOE-d zwcmicv*6Bd?H4)PBCfsic3}nd{GakL7aD^UfH~N{ac-FozAdz0r9yTjw(qi@|Iu(D)fM2 zsoCRvuIKk~gHcrXXu=T7swlA!%7VhN+6;O{ewv%tN)r5)h*Gk}i2ERXE6Bg-4+Ij^ zK|l6PL9Y93a;cAqPZjw*YK%)bXA+zC1tjuduuOX<(fTzmZm69^<~qy*tq9*LTTWZS z=Wj@1!0jT8eNc@OxjuUr=RhB*8cfTIFsYcAc5Mb~Q$bBdnQlQ=r`BE*+y$TyzbFBq z=}S$JE7VMt%i3y2WM1^~Z&1Q29wA&(+9~2=yBmrC>_fw6zxp}_;4Tg+aC|uWnDzFu zkPWks3`Qzy+>3&gVK9|uB#|i~Mc-M_T6Dv+`@}-q(t2N~S$k2?Vl5hCA4cj$!Fr1L zP!v?Srw(wh3C1Uf+}wO=_?W%hq1f@X+2r0H4k>^nT7h4eHrR`XPkE^cqDgnJ37Wed z$}TKMAIBx)(^~@9j`K_F{wHg64C|pKF0O-#0#zh*ViU%pT=1@dnaf|(MXzH4XTwZV z44x?qEW^b&5)*@guEw3+eXB)r-8Rvpr~{5TzxIz#@)#D;5`F7Fkgk6a>LDt(X0gV_ zVLV}}hEV;UlqEx|Tom}t6hfk4Ehful+lQ&qH_UP=zd+ZLg6$}}SUp!kJE}csflkav zMSV1}#<&`O)*3UcRFpF!tS7QSG|$vBOh}~m-CVOJ&loKJlG4`mWqF)6Z!a!6f~_PxU}^6$G(%TRa6p?Q*&XXC#Fc30j( zoT7H20!@9=MtZ9&ie7p+v=~2|Yl2R@_lb_sMH~H2>FHJL)|}oa;@!_dL}dnX+Gwu^ z&hjR9>t`+7K2$Lxy;gdL+?qBqh%R<(x05yo&(Ybh22STiX^QCEw#;qZ{cSz#fhEqv zDG@&A-P;bmI8(%1_=)Xk`niXyJn$LZpV_T&VX2ej}bO6$5jZNf=ifi2n&>iPj%A= z&>=-@Z)-D#CwFtrUI#3_x3yrmtq{;q>}ey0CkJ+m-S9*wV@y32&ikD%j#nMf_c_Fi zE*7g3Y-nL&_<~0JF&#AMGQWK*rPD=49|U`tI}i@k;!a)vP7!B82l$|!T71KFW6e$# zg?mEHFAbdAz>?&%fwS9lO%9M$*oxB)p3i{uxfH$guDH=CCXQhIu zqR;~UJ!AUv4B~05d9#;T&!d4;Sf)N2IDbg2hhF{V5Qgs^w-rq;G2%8&r?2L4HgLM7 zd%WSFTJBU4CbeVbd~e`XNLuqltS8*VQ|+Eo}V zz3y2=l37wH0H~s<{#xW=F6n!ALTUW%cSNX?_!J{mY(udI41s}?;H?cgl-J$|gL#xGY^{n^0T{!V3e@0Harkni^f&hm3B zt6%-@tKa|TS6_Wt$p7}uU-X|}{LA;>{PAy}ZAy_|7)QnXy`C1ZcGr&r8R`G*hFKeB zYc1P`f}P;UZkc^inAoXc>uU`7-D{n-2C<7r2|Ck>P?5-BXg2p{p2jYFE6nJ+Mzdl@ z6B0bE$CNW0MN%5a-xgXMxZa93Y?u+_IC8(`P=?Mg8}=Gl6pl~g2 z8XLA=y~g#iVL3(DEyp@Pg=VbD=ml;NG!~}zprWTpfq`wCR{J4ZtUvHAe z{jry4hNFeWe0yFbnU|ozWOADOUS65(LI}G&Zjz4Iiw;}wF(G$#Df1Q-;%iWdk2SXE z8sCG0`y3O@dr)ABzxpK4{P$OwqIQI-RjhTASV_XHRgg(MvF>%CwRNx=wAZVzMG6RH@L6BfN)?kWmZ_Eup>jlNCW7(i8;La23F z@i!4GrQ&vh>cNKd*8<RV;m&V_j-jrxo-n+&grDwzQHaTBMj#P(V(|K?nl}Pb24S$(x%n?%Ecv zJo;Tl6iCumL)A(zl!7;R73I9E$lO)bm%EDfgWfQA6|Ub^DA{2=!es@1Yr@hBQVp@6 zM+0-X-&Ks^UhmeLjN*GGjMrU-d0tjTG^*}%L#?kdvzT?HjCE-M&9 zU7vRq@xxsO9zBYEFX~D9n)aeMY(B^*J?|<+_(imIB(_m&+9K1KXv0FHbK+JWiC``) zY!fQhYuapY&AP;s1fjxlURYo?6elj)D>lZ+-0lT&Mxl8Tg2cSvE^;c66u7aVwL1vo zGlzoON9@WZObyM)-o>j{Dw1d?VUQ_$MWac9r1T35!;QtTihI}0jfI`pK`BY+B?)>e z$cXII4+?E;eQ}wEvD$&c#>|FcJ!6~R)S%R{!k|+x)K@Mnw0)K$mdAw!hJ_h9hg}R= zKwY6hpz?@$wIZuwq|x1Ue}kzB+U*;jOj{oppBI7s$Atx=AzWCreqk{w(O$wy+{pod zoB42Kfp^Big+=DVLMKZbe#W!|`K($>y4?^qu^%oh%%wG4&@ijMEJaVzt8R}Ai_|YH z>~&$`KVDe4ZCZl4-&m~u#)9d*_E1hbxUj%~i8}Xv0QKdN<{9B6b9d)?7P>3`f@r3fFJD+t=Z;)h&;UQCT?=p+8t^`7 z4KH|hzFb%^&F$9qr3;H0o#?U;=Akuw-dLB-*$7kY&hZMuPmC$+*$bR&Z64n`;|rXT{~&4gYV9oYfyaX{yg+9 z_X@Z7NEB=c3+zb$IKNQ>RJVtn8=99Zi+EjGAnbLYIn|jtOuP@BKC0XE zc=J52EXMQ7!d!P2dpMNvD}uSZkXKWz=E{O;i1i1qEME16 z&Br?n|3g<6AN^V1t}M*s&Z4?_GX3`}3;zRG7WR2%A*d;QbKY4T_dAR0%3^z5S)>AAY0zo9Us;srm4zTi%I{Yew6g2qhV#k-!My!>XVF`)4m9eg zT^MBM&FB&OmBo(|6!Q}q%HJU<<|i_gze7;WPh=>6hoG3B$WT5Ll&`<~!~yGf|MJZr z|M*>>m;A-QeDjxo{nKCm?E^(g)DX`b4DpbZh1T^8JJXFaw|1>*x^9B{4F-*VROkSD z-7vQ$gD&&xv@dnS%GDd5uHNuU|G;=C{V6d=*VptTv5x&F$aG!i`&H13{n3ZsaQo>7 zqrTh(CBqc&d;frvyUt*G$}&IPkNOZVHy8^y80F;#gGK%2A3n0apRlIUR+MXId^$bd zu|r@oT0}?ZcAL5zD>pHi>S?oK71wtO$h1>7EBH0 zTVX~fQfCx#1;B-!7a)tEq1dBH)}kNUg8q1%LPQu#Nf0SAY~gPrZ{j3lM)_%uLTJrz ztK}Y-`iB*gZIkJYZX^cL!mQ1(iQkDMP(MI1CZ8S5PVZe@Ngq*3S(rEB($(5Ik~)io z4uYo4q(bR@7Fu(M#oA+Z;(d!(_MxTJms!L)99x<;9Utn)^$5aCD1_8xv_pnIliJJA zm624BUJ-Ze-FH=?fih-_`uL9OGnL8xRK)Egsg@ow1VrrF!uqu&T3gX%iBdJVK-$zoz(nnH-2Mub83nO!Z&bvO8 z8t83bF&-z?c~m#M96<5}-nA3Zgcjbk6lzhM<$Hh7@3_)cc z!y+)RGr>Ocu4Sb;>w2fUVD79pMLS(rK=9plRm=u?zzm@24l)CEFP)8D??jPkoe5ER z2L`SYCOwzUV={~uD^dGJXJHYDnC%wzC;Qy?AyDz1%CW-egs&PC*XK~G^@0OlajFjV zAD9XMSzG8<5mM4e6%KtI34DgqV1x`{-Sh&s{^f!>osUS+AR34eY73)k?51(Zb}B)_u@DA-en{l z>0Ry}hhn&1uhWHVDD~Ao#?qt==@Jq>IbA_isA^$LW+=6fAjdrkrCvj+{&gr-nFfcR z+KbTfRa9uDV{aLENAL1$5xU(SS8=Dj#BlS&KBjg~H@f>!YIz+>r3iYw^e(5aWD&@B zN)tb99}^i$ET{<0`|h6k*3R~+ zRGrjgjVTPt^^MO=YMAXQ{hInrs%Iv38b>qsp<+4(?Y$UXXT_6w3j#`U#H*_!4tb()M ziUN+C&#STfQ0jqUZWs{76%{OE0g7OL>Bg(uB8jkp|pj z*0*BxWxPu5`*M%T#-Sx!V)y^it6~B{ng1F}6@s`ArBbs~WbS%Gu{3UOQlx!qQ(i8{ zi9Q4}V7uA9%L!o~Q!tAU$O&2Q!6tNt`cUe!yV#t|WpTkz}JsEnN zm!42s==c)1+k59SlDOEeYKNk+4z;Vjaajiuo3n|jROOzgNF8+WrtOl%6~^;Ze(hWi z*HCJ~V%lXc!w|k144uo&XPuqP*HCJJ+vN}3?qw)-61AJeD6Yok5{v@md_xi!t)$eY zJIBvMsh!(Z#nW;xN52iF(n9cqPjDRipT{}Oopk&>&SCDPb0;1D9M19UuYdQe-+yx3_~H8VNi`;I zKbtn^L!P}*`#w*4@i=g}O=Nw%(PCy3B7=v5j}WSoza2b!Y6)5XQNa_p$hMxEH$sA4 z^JeFgoBIz=U9wtfohs)C1sSJ*w@23%^!3};+HUZ~uv^~gKv#HCAViCEcgTYbiUJ7_ zhpF|#?yAL71PNQ&P-<4Z?~*qTaF1|C!`q4im)DW9nQgl)TA8NDM0OqtH)WP$i*c z6n7+V^c~%#gjpCm;4Cd)ki2PK@`j$Y2ft{a_=Q5e0-^Xtx%frf_w-rvM&DU)s`HDD zIth}Gc|eC~aYgiJt9Qv84CSBv;x2h}uNW!fo0DH;_vX0a?)+l9ByaRVfGIy9d1IaV zNs>2yec=}ht4Q8#RWYqPfzu=GMsaEHU9Qwe(y4mm6)vf>0XemI;&ChDC8*w@gR2-J z`WvF)EJ7^`;GvloFuj^m=1xlhr($`f(5z^+0iV%D=&W}Iz|h=HM|we<6xADqQG~DS~9K< z8e+oewe5oyf2G@C(&oz8AqX{a$&LYm7luhehoH1wgq$WmgR*}>U1veF7fr{Rv*$); zNt9LHK5qJMZMosBE?AQ<)tmBEz3~+0QOlWgk>;xGNTX5oG+-bIf$cO3;a#)S)$S)EM`&sp-qIz@C9r>|l z33mi{yJE?0}j);qzd4}x*2-pmaWueCF$Olf>my-@(jch#GCs@`}JjEL$@ zIcrANFR1N@%P+`C-gWN#>?t@u+ajl9d=80Ikiy4btyjS}>d=;I%02H@E3qc-C>oCG66FfzlD z6UekfJ3XE0(~;gjWp8397z#T@Urzr5a{Nz=O0J`g`XCS0;NreFv?ciEftS@uRL<5l*iVrEV0$YQb?7l+3+DbTpv9stp=vNt+s zRcQ^`n*vu8!?r?j$j8pbzy4)$zgYL37;V9H~ zo3i&<>DQ-mz>Lk?E_;)wbXJdqbM2e#&BZWWm%S+rzV2N$@Gg5pd-^NG_=&PN4h8Xd z*&DN8vyoqvy+QK1n{I?#c{aSh%ib_?HJLA0kC=@l${_e6co5vX>`mB~RBu>0G5?|z zV)Rc73n^+*YvH*qoyM#1$YG3A=*p%^V{a3Y#^ zX5M`%04cqxo$<(@*_>&5cjnJ-&NRI{^Jh0_ntQ$I=Qn5m@~c05^@rd6i0sY3e*16V z{^i^6zxmsrzWd8dud6_WrMG?)u?h4{Y+S8r6&?MUGa}#{oE7n)*p27{_Zw5%MlGTj zw-^oR@#+;;1|8GwaEMvhL-1OxQP{4F2l>Y;#@lwmAMOXLniSN7`0 z$%~}>jazLO++h`vy<+w-GqQ&AMQgQc>6EW_#%~3#bWp5d|Dg=G8U5Tt|5%w&I1XLG z5&4;!3TQ=ZKr5%r+_>5(RFOlV^+Y(jW-Wsdg_b+)#XvF?)v>ePy9uM9hmxG2tcaRESsmOojRJ9H zh&rEMc?$1CL`xi+Ig@AVPY<;ZSl%3A*@=cC*!m=*Nb5=>7cQeo(hWWw2YRF0F4HZD zD=Uk51bTGFmeCACp13fEW6t98>r@p)MTvw1>k!1AlVkXEfQqVGT{tFmv^*4Xl@z@S+h3!y^+0k zCH7M_M+><41d!{Lu-B%i%*5kK34N!8;AlOXqEtOF_E09=A$w;RVH6r*J)#(>_VXkoRbrxqRRB{N+G+}^i<7RJ(SQghL>GAOHLS) zpl}}QYLG$IQ_w{iX-V5HP8Qmol$=f{i?DP`h)nNXqGHB_5+=ktak4Oqk#yl`XP}y+ zkE>lR60BFN=`ITBB5d77yzbMHYhCCybb8SJ5Vkm=PAyFN2>2smF%sZ5-qLF5#kA_>chLoZv;`XX zuwBLz45g(2m5mH^(~RsDb(DAAX6rOIEE3~@B!!W31(t)WBAtFz`4YUb2uuu7n2y_c^RAYPMsIo-)tN4r#*EE% z*?*Koz%!oVAS+;S4- zDO$KNC{lIaxD4<{dJQpN2<{w?WP~WsDo3M|@Qy)d;?O&hzWj(DTk z5qcS?yTw9(#bwfH_S&Z-Pf;CDi16K|Q8-S#lugLpIPXswMLF!i@KY}zcZ;EF-{RER zOkB~}KBghov47Rf5OMct%)uht=0`jlm1|N~%ARJ#JU9~YL}VDn{H?)rbvcP*)~50; zTup{ap`>3ply-B9SqLJJdqjDk%h*+?ZO6{n9>)~SG8f^An9mNwahs@xdF8z9=V@cS zlp1oShg*D|5GW>w);MR(iMy6TJHYI?$!U38LsCI3PKZ?uFdw}XRSs?y@|`FOdwB7k zr4NT$r0|3cyuRExWOTehoWQ_v62&!5N*5ynpjVF})!W(*822=)xHVn-om6fn!K1q0gUgsPUx5YTF$kS zx~#-3^uK7gIn-B_#QLa>{%1bg-Q0p=)Q&>7*_0hX( zu{IoGq7{|IZoqXJrEU`yNpg|HnP1m8VZUu z+C-kikssVG6o$AZ*10N}TihEBFMme&Zf;>tu86yZqQ|aq-Wv^-S}v~mFt_OQ&+EO> zaDJnT(TFSNuA?IyDb%v(w(iu?Q7)?YYLqB8R9#WvSn6>^<~+91 zBQl0-?nh!{{!7f}6R|P>C1&%9*qHwkv-w19%ui)DzyHlwzx?GVS(pFz=WqV_KmO(0 z@4x@g7u$DbQLHb<9hqT@4sFc^O*ElT1(kIg7u;|UH*7n?AvfBa#v@ucb78gxfu{{& z%DzGw2$aB!REkk~QBW`4!A(0^+sLm-3GWqb3S`BQY0CrRDs83>W>H|aw`nSn{Z+AR zSvG$Nm@V5a^(*j02gV|{g*&z4>`b%4b}ULdZlmwc)RZ>i?3U=fo9UI+cMIJzwfc=~ z+$XZcgoDQrGl3*-B*MrP6U#&n0+$&Zf@7C}n!Qg@E5-rTAJvL=$wN?W2f@>bl zJN!+R>W@Poy<|b(&8|i;m@$BvVK4m96r2&toxJ@TQ+Z;2JfYe;JYb{PBuuTdZ^%_Efmd$tqhDVHiZfN=2u5&)1XMEKpJ|-YEp(V3nF$R zL%WV%#xSllI*}1mZ%hu4LY|lkc=nhw+GzcPGwkH+FfMMf3UdlQwv0(9OPD6mLA3Y( zrfpNwFzt+y8qBc27r>T35C#OqKHxlVwCHg5)GKl`lUEkF_Ud|E!eOl5;g;lPV} zP!rx6MboR$p~`JZCQ-mlw@0eQRV2Q??Gn7M(bLlFz`8NC`BhI1YV47m>NsN#A{ z-hwKSu>U63*WHi~?4LCNO8M;NcU(bBMHDOV|%%-wqroffuVt&8jWrsL5VH&BET zDxWqitrY+A5i9!9^Wt}3?SZf}7oWmeF&3P^umTi768;$nvA$A~%^mQy9`tplSJHuy zgB>@!DOm4K;O!>J18LdTJoljr@wr)muT(KLfe}YQfds8a2NYf~Hi7Oo9Hna%siX=L2(WXUdYt&B_ZJywrbOmNy5h*p@ zoK0NT>&0=NR_5JCA=Zo~~f33;u$qXYOz)E zD?+evN8Cgy2IC6UL>Z?HLAhuEs|0;)yaV-Ca8?Rkq9_-OGQ$AoK6ZK-Bc_B?BKw*( zRq`kLPpQR5x5PoISVzL<18FGj{}TP)^;wkX4&Rb;q|hbumY$3F(HS>w?$9?7p=}4r z6G~-A<-!z)pw%y^^7iVTU3NR7ky<8hAM845dnieX)fyovI%XU*JzI@B7(TmAp$Jfc zXM#@fO+jwJpFn$m(|;AdMTSpV(^8sfg!p2?yHpI^?^rf=4tDf$FX1aVnD`sVSP_OB z61Z*IrXydifpZmlnGxC+2kV>3+H@THnLE2mvGVBSUS-KkwTm`^;JzS6^Yh^ppx32W z?i4Yc*F!;DHbh5tGAZ3TnEb3I*5jjmD5hnf<6hbpJO8fx4dMiV+0|Z6)3!>9P&R{( zHzAA8z}mxK@KiNj#Xq$!iXD01n+|xO0*S+@0Ee(G4D4Xr4f+}`EcExAMc-Wih1NtNr8wa^S(Y^|!;1ZozD(?Z0T|*@L=mjbhXQ>QTyUHCmZ&V&cA_+LeJqR>0hZh;(|5fWHYH0`!Rf`@j7 z>_rq}W*rlb<`^dB9zAPVaB=l{+iPO_YemMtP2y$9Idi$cW&s%#Yq$`LF6-A^VUd9z;=VqJmWOpH-wLD^0 z!r3~0lP@;T`n?EY)V>knsjI~!bWV8CCV@QEFZI*1GLT~0+zxFG2%1M)O@u}tSfrt@ zn~w5Er??(^n1E{O0W_6~>diaR7a(+b{XSAC1yv1qq*UdI6?w(g{xLT6*9&Pt1O z#e{o8eK?GOb5Rmu2z_VV;HSEcQD<^435i>!Dt&vUjfVG#6G54>K?PR-H&W`YWMsV% zkwE=RR5_I%@-PZ!P7{8{3jedCg*aN#dpFFZb(JPT)8%ayqL?Kat*{Z5y@Q$O4SCaL zrbCDQv+IcL3hvs1g219}lSoV7_LPlMSi~=8;_gf;fE;qqkf(v$MCI0Dr++{Zpxwe< z;Iz;tC@iQXp=U1EY-}2snYL+Mv_EiyPFm#4c2I9`Ugz7w>SG2y(+DiA`LrF>7Ei{t zVaF(NQhF44ozX7COH#bR->M*3gqaDiC^C*ZFyE-h& z@(JSBU)xyOOg;IUm3J%x5Q8wGSNY~Ms&M+VpQ+2{f zGQ!&ewhDBD9hJt~vTvLIN)NlT7xjN@+(dx#cH|Xxcjd2Hab*MTO>^9`@SnDt&P$`% z!Y#PT!RZOK?{!AUMMx1Nd*AkjyB4eOu8<~rShTzJ26T+j1_rCl#7Ab@6u7HCO(sO` z8@JScNhyeLdIS${I-5Q4W`?&4s);k(w_O!N=ck&of_AiA*3N+TV*V_#fBWd`L0nidA3h&I}2q_%-VT?Rv19SjIt6LAjS6 zHRnt|+$aRQ`%&RFfF5t6QhOA^@(QY1P<2!M+-&O1j225}Fd=ac+~}-0lh6fi_+lv9 ze1l*Qsvaxj^*Br?r3>3zNg(%no2BVzA@Rpn2QA=b(GI2F*Q&Yj>^v|gHtFn#b+Jl(yCPYb?z$hdIfXygASPCj>y8T|rGkN>>wchw;AgK0 zyp70y58};-PL1-_&YYOG5Qe;FxP{Z|!Y+0cf$AN=`UpZJbOI`yuhgvAaazMxCaf;> z)hlSCGF4W+^Cs`iiTNNPG-!XODI#wv3^Xcm6y(r@en_F5(!~0)y3jf!Vxezz*6frM z%=(-Y+aFdJ_0h*kTRBFmMfC4Ls!zm?IkDU|Ug+b*HfmxdYR@@R4B~1~%%3ief);g| zyjUnjyRpN1`Z&8vY477~y^r%!DK$kXTBz-#N~y;HI9+X=-RXisknVI*Na`3}OzS25 zQG-ceaxOgB(l2eC%#$SAIE4nw82vdW#^)Nb7zqKpVREL9sy0r5f%%51v-aVt4LH?^af9$m=ygj`B!v|`JuAa2 zO~k+qI~Ad1bzt>abrQC3qKlqe_0!%WCJ{gD#R!$QCweN2vPNF0`v|mIt}o5eavPAW z@dvHhQ2u37dSm9XTNw^%5wc=z42>cEJ@O6=@Rh9Sfa#zx3=SHwz~Uaxg#&6PtC-Bt zi$q7C5u2!ujRcc(My$}LCoZdes3SC)igBTbk<7bJwO-|LDwB8P!ofO>nDGX+TEd$b z4@~!3+_Z6@k#xo>d%d)94*RA1F`a@>$bJ->fyZYH=VWO_LP-JR!co*Ap2mgZDQTb< z$HCB(Pu+NauMojJ3oee+(pxxfT!M2L7rHVDkFUaBV&=-2#xC>lCRAFr<0CZ~A<<_k zPJqLgQ}#nSLi}ZYGnzMdCU`o{gMQMklfXRECHtYM5jzpw=>>V25u+4GhhEJX7pCE% z`(aa`5sP}rF8h&dOuZCW1c>y^y@hiS&mFx&sWRZf#i`D{VL4`fFFG7bxkbMGNcxEp zsVGIx+DmbCMGB*dLhPOyF-5U}9MI7AD0*lZRBGsc1jZNewp2nIXw&1~<=|p5Ylp0b z$(7s(nPQjyh@v9bh?t_Ej=r`OIUTW2MMbe3Dww15-r9pcv4UaYj3TK`dW$Wi6*UV= zR)ifCzvgDNZ1Z$mR~^I2FXHRkxsI<&_(EMi^Wz@0%1ZlR&?xQNv*vWQ(0`R8g*Kpl zdRsb7$h4g0I65DkP^A!^C{EY>NEi=95hCuCw;T-Y9lJukN{{hFKrU;2(qbG1^)x~QzY!+o1nz(La$_J?fr`U+CMLDz@N#}pscm6k4;g;nb z4Ko&l1QL;Y3bcw5n8I=OB84 z>W4%00}4na7CtU5;pQR0PCnM*=}nnyxVe~av}cHqw&C=(*r?LbOS8k2(Pq*oUWJ7z zp$aI{y_po-m$Q2_ui9hN*}Q44lANWUqcexR)NA*qJ*7W<7=5H7r}V=e;8-zuuC`w@ z=~=qR-z4%Ol3w{sD*fxzZ=UYWLieVQBa@~OxvVvzRb!g-S&>7ergv|m47IzL?oIbD zS9%&-)JyuK+s&WdoAIp3nMXtaZe%`ee@cJE7wHeG>3eV9G_NJOiBza~ z0D=VN(}%AYc9$!qBDaf|JMj|j-H0UZS~~GF0x$xWL7?v5zG)%-b2!^K#m%qw&HCBd zg7!^DSfVq%CTDy5rrVCPU|B>-Zo(m2p{|ekDRhdgG0@EWTnFS~2UnR$poMujO#Y`( zi&L87XH$zVP4V-og(*LuTKwi~F^fNZYTgXr{pJ7j%^yFhY9jPH&SO{NnZ*J`1DFUA z{MxF1jQ%bKk~rQWihQvT-T5YVc_@H{E)it52p|^|O_A4$dW!271W%(7T0Ce>K|DpU zQLnQ_icIafnug_EyL!q;6UbN1*U>+Q?({9gxtgAubkYW0t$9~ZNiUkO7^f6I)V&+F zGIaOshOL;S=#b29x5hu0^Y@>e=D)a_(VjGIvWi6d4f(JtMi0)3N1)5qNi_QKRa|IO zw&=2bw0@;@DA})K14f@DC~ev)2jkmC_>1X6aOKDX^UUyPVDcsJ95Wl8Q@%~SFt zP)OJhy_K21nH4*#K8`1xDV7qq-rJy@j;wIA=xzmkJ1{p>b}eQWHxU3sCyl`rrR}2v z`gEuT!zLx;LN8N6;w%Pj7=d;N+u=IpKbTAIz3(!=x)r+d?p9bH6b`{_7&cBCC^8G7UrrM! z(Zb;>@JXjDi{{MCX)38H*xJFD9Ye)qzob6wIf_RgfJbaOJk=W&<_LBc3%{^V_#*Va z%NMDSz$o4VhZ7t+NzIz+yVNOMZ5{NlF@IQ)EPLT_^_9bECq6lxJH;DK7xX-}KDyHc z?|!9Y%<7P8H_iS~mRAs<%|Zer9gYwcc#mcQ5HpswYwB@nQ6<-a#GjZ zqBYjroXUo=0|MNW)xc==7dXknb?`XOAqpP19RFDyS;Rgw_1?D)%q@v`8(4G z@G|B7F50+G>BR zZcfOvpXP+~Mc(u|a)MN2<%nkEPAiHLdlMuM*m&MuCi1G+WVPnN!-C6%b)CF*i-U2@ ztqXi~`9OiOMTpegc5qE9v@iKx7>^5(dXhHh3Y~7OB z?9{p2X*GW^05sCHPP0h1&L|W4J&lS$WgbOz@;>P>iplJ_Oz7DZ1@-)^J*V!Xc5)jw zFwsTcW~UbjuwqiT#V*%)xPx>wr&mittJu*k3CAMP5$Ft=R~!i^Bpm3av<8m}^rmvz z;clLpcRVIy&v$)HQ84kOZX#tu2MT;Bn`^=Dj)XNBED7m-7Rd6Gkg;AN)NTFXF;SnE zghB-)G5eS*-+QDj&tPMowTbdgN9x9%m*V}PPaG4&(%Z&GVd%Q4`0~(Jf6&BKZ(0hZ zIiYRdU}lo?L$WbwM=%YC*ZhWCYY^x;o?i3HUEI~JeduoVz$nqSYK93J>QUJx3VFNR ztfjq%?x1b%m9|}tl=u-6J;kNHKN~5H809>^xJ(FVU~ueyMAqXthwk*=AAW=)!#6}~ z9re2O)=7DnY^0FZ_7zR1?bT)CRk6|hu*-y4|Ix3m6f5*W5z9qR`-Qf_eIPSqL-%1h zT_%wA=rY||3-FL^WS{y^Uh~=x4jJq_Ycu|o^|&c!x-RQcdMD+vVJ~UL%Dc;i?L&9n zkWfc5IYtzaV9^qKciVFJ9XPrj;m;u(=3*N^lWdq5?)EdthPl|r&mVjCCP_|>nz z`t{d%qYrP~t^E5pfBy4#fBUjEIwCm^ZEZ$%w0vA~Y5DfML5G`4m%5C2)OEvy*6}8xLxD%Ujmcc9C1{T<13EB3pk=7bz-_TD484TRwdG(ATEa$=b_UwY zC<36wCQaH>?r#iJd%P|)| zqfnLyO;8=wxz&%v{ck~1=?F>%O4B;eb9F)^^UeU&*uuc*m(Um5hU*wBAD@{H@;z5L zMlo(jl+`DF)|f;Xb*kwf+tFkbDM15p4csyz^SUT)qsFodbI&Nc)XCek=UgTWCeqX;|?WMp_>8JDU6O zbS^qBOz-InQGpR#VQN5Wc1R3wQ0UQf((|8g2TX$7akNL=<#}KF^xo40pfS>(AD;K~ z+65yS)fi_}^udHlnBJq1(kp?XR{|ABg_3eEllIdl+^%y<I8NJ`oqdz?W1eM~jAM3#M z9@7LUmxt2>V9{G)dXE}TcolTXnX=eKcUfnVDIQ4O3L0;IX_X?{n8W16xRhj8imPrO zsKY5@-2_(_Jva6+-iR~L!ztqokaNTBQ0x~TDQugC!a_kQSi41;q~KBQov2pO4%;`& zKhX;{{0&`*+SUbYN2OY(Qt!5lAQaEE+KF3xpbV|VP!@f!HlfftA^W1phZgI) zPNjFV($XAz%kY8om9~YCXM@QukjQA0NF*(+OK9REIqnLx2%u>TGb`cdX%Sp2CDZ9G z41#lB)|aEkuLL_)6h> zSX&W&2s2L6ovIqrfq1Ls$|Sy5oGX))htdzL_7i@s@~aey<6I8UUL@ z!@iUtikTaf*P48CUY$@f<&=e*d1GB)M?hy!ho33ppb4)7SI_yugMtBihz!STi<&+^ zh{Rp>is*H0%YhAY*@(BI(sUHjOaz){%p;Xa@n&-Fpc`iu*W0JT8hy|T`aU4Np{Hz% zGe~xd&iXd3yo%0DN9VjRqLvlCsbmxitixJS3gfQSQ4d<=k%c8=EdoAp1PI}FIgRc%wz0m^-_>wd{C%=e__3*^qOVCtH8bM zT5?At7{tWn_9bt{i}mj8b(p~D${r);idhF}d8NIg0<#YCqh{HhGW&3j4=N6vvX(w> zCMk3@3W4D?S44KkUbHtVfwq0Rj}O9nL(r+&N7QkYC8Hha;HtZ+x5Q}mkwgdi!NZ8% zq29^V7$4N}T%7Z_v5T0A3QRO{YJ1qy6`1kvsCa4XpzcVpd*`Bdq7&)_4P|0!-P)`O zIw{&8C^FFUeOeWCnCk^;kWeP1H_^jGn=xwh(JpX6uIRQC6~l}|v3pqcF?1XY6NF3` zh=Nri5JmOqX}liHhzmpLdsn!3ze<*NRL0$hvMS;#If|U0B}+O=g+=Dts95UrF5;~U zn&z%EEqS!9-HUh3|A zH!YY&D}3z(h4maL3_2TJ;9&b}QngtzBhA9?b^aXHsNE_pxtr4Y%`5Pl zYoricC2>{7{b3Sp3u4zwgj!*%9hAqTGETVrK2A6YnGcK;`UeR%+a+$PgtRLUOcPCt zXYq1)dM#Fpm!milJV`hct=HE8K}Ay|Un$rd4}P0%}Tl+#xE3 z$Fq33zFRGtlLhBjuf-4JglWR|W3?Z~2}c2z(v@dKg3X8GWkh<0v$;=~Z$W+*Fa4u< zSw8p{n8!Tfs=Rw}Ay1>dzDMTfbH9%h(v`DpI+IHuC)C=C@he5TQ`^#DsD=pD>9r^> zJzh<#=Q!bAdgi@$`P8;tP7-XwL)=n7uovnvAA$vo*$OS{?AM_<~hPUXZhehQp0e0tbrVqPz>?Ph~ zFNaEszqv2x+WSfswrsyaP{25gzd@k#euGeuBD&uo)UFX)7|vV;t6rA#t6zQcB>20l z8Evys(W2tZEWpCN$yNfHkye0R9}K?!{&981OgNOHCk*X<0?)p8Zv8fR~wdy zfKi%hKVO>A;g(Q@J?)40qMVuISgqj1N~56Qt)fkwx6JLKVZ8b#KwydHj(Egu_0e;wbj^7?-^YTH;4=*&Ts8qFM>q4o#{JZWl%-) z6Q42cH=Wl($zs7UtvlzfNiU^zRm`@dj}fM{Qvw*pJoLKJ8TI<}nX&sh@65d{y+2j- zN>9gRP&uMfpBs_V2#(=XL7_~8>dN#(iHl z0kJ)30mg9lr>V+@m;QE>V)Ua#Uh?eHwpStworjXD)Aq(F%WqNH>IAWqd zm61N-7c^zec=s`wPFgCSl}~3n8dM`A+UPfnaYbH1#W}9FY1*_EuiB^XLt#I9L~buqcSGBP77&kKM3Hdw&%aH~YXvFK&trtq*HD zo$Z_7^Vl1>#RnpCE7UdxRhWWvCI{*;N}TA7>6KyvKW#&x!HxvA@-$Agspw&uYMQY< zqpV%DGGav9eWOsRMPXEQ?7ecc$xC&rgAM(dH4F)d?2xwe(3Vry$rdYlU>O z=jcQs*G&gnu#xs*F3WY4Di^r*V0P?a-Z0cr1VfuD7yDT=Ycz2pi6aq6gsP@tHNqKyHqi!W6&mfE`CI3mb*FKGMbWl zp>v=qTe)F)7aH}w&`J2h5zir1g3zeq#$2>TF*49c8jE>%r@I(mn%;y)Pjk9O+05-< zFsBpaGgp_YUW!nI?e27Y-<@wlqtlC%K^Kg%ZKFG**EyFmnR}tr&6m(9h0d)rzL>hu z=p>N2os6%>Q%zJ)xSe&*(O1Pvg><1P3(vlZ0^r9R7tHAdf0^v{qK&+8F+Q{(RxVMw ztt<-CN;R$5IqlvnP<>o+C|zjO`W}{?b$Rb*|d!yJv?lYfefHf`53*enf>nm1;QLUbZ3() zE$Dd?NzwKgw6ZS{}vDSes};PPL4EV_;uJpQ^aqDI6o#0?$L6>FQIp;#RmqD8PuvBNV-!Jg@hu z?tO7Y?!tTt$Mj<7POY={T4%!)8i8V((Z%yB#m+7q<4@t3@HD5>-l%vOB*7jhPNmoz z2kvp*O<>~278`>Q`%ULxr&3ynBK%?0pblJ3PyBvz)PC3p4vz3 zw0Q*&t~S+KTvenTvLSpRmUXtNPV1X+%z84t!M`%S@nm}SMPyW)*}|f)dMD*h0UDjX zZR8U9VtOAe>O>j3$mn>Ro7jC^-K%iSmmKO&rgzOJCTt8^TDcrX<6b12G_p_rdTQJxIN{2Yq%WGLppN>P6O zhhP8ZQ$OYQ?O*=#r@wytxBvA&KQWV8e6`$!HmnfK&R|yBXqXF43w|g}Em`~?m$Q|Q z#a-EQugiwua22#bFGJ`%Z({!V_3kbETMIO-q=tMi!^yyk;KD`)xP^n8-WBOZAEJ(P zO#?2%p0TyeB)Q(R^>mamNWKih9Sf>LQ`p4~=m2y#{bW%aKM3HDHEzq|CX09^gu$$J z(C*TvIw#o#rL~7rf!K5>GoO~jj!KWo;&+C8VYh#1YFfZ7oTip6GZZ9vu}Q9D}Ds-YJ#lzon_<;Mt3*` zM~?+4dS#~}fikk+)--MO&{Mwh1geaMwa}@K$(a06uJTpoIk)3L6BFrPGwU_=O6RDr zhbR=~`>ni)PxdBAo%+<08_Vf;HsL@dBybM0g=oS#$#!7S6_3revk5Yv<*U@2Alst} za$4$hkZq6o7)!*#S^?njI5ldkX@T%vqmL~l+GSjehz!sJIiZav$k}Bjl`{`2bV7N` zo}vjvwamx|S}B;NYs=O`3>Qz#F@g4NQ6}CeVdNPDpCTYE7V@@tt% zJd7%EsWzrX?})x$FMPs$mhn^*QJa8UWXLjDkmR?+wv@Ele%#jrtRjOZo3@NYUd4+TG2M{pullzCvWi4*{vI( zi8kQcdSNy^d!7^gXnme1x$T6C^${6&;CfU z4@|9v#h0!#sgwt8FfpH%BC4Y+LHk}QQoOqx6bMPIn2Zad*3^~-e*ztJ1Qsy=S!ZVs zFy(a6YSM)!>7oXoha+oe4+jkj+Rn6pUBhicyQitOSOIMUkZ%+AkuqK^B?%=dI>H-- zbeVBOXi|Tz2kiZke(%F=+AWBDW-f0x=CqyGrU--M!Z$a{(&7;8iT##DjkIW^STD8& zlT|EPBlu=ocQN{`U5W1*I>{ji(?_^2-msj!!8NZx5S!Cea?_Wmm4S{eci%I6`kt-h*s`ICuDV_v z%A;4VWH{W0VVm=dsr9z>!M4JEnBMk5Z79wGsYyB{83kqbF^Vz>*d3?_Df#$GpQDXC zu5jz*^vOdWDvUwN#!tOAl+N1FQt6O1d}qO?5!qf8sP|KA5>4MHWoVr-)c9&@{Z<)lMJ@!9^EYpViU!Xo3Supkm-%Q;=MHg8+9Y?JQ53hc zpmc`Q;f#<0+ep!bUB5CGYl*!>as5ZY7)deiBSZ);+k$1T%_5c=Y?q}JS6lf9it!6I zQAYa0W-|^bomL1PoG#ZooHQ-#UDm7&=wo@(is5XXY>(DSZ2{BA-t@PR-pS>qkTkuz zTwh~v$Gf7&&M1d9ua%@7)2(|UsiEt0FC=Mmmo7_*Z9&eJd{tTI60TQ$BIsJ$!d(sR6NGn(C>kkC>4-daZ*y&1W!!fUJdr zj9EZ#QJV^Dv$eA|4_oUUsi)fKPNyHkgfreQl;=doMArPBteH1Ah|_a!eAJWt2eLM{ zM@MQNUxx|JhiSst*_!__OgK}uc?=WQ+U~=IcQXjn-5?aH&Q<;8Z@>QiZ-1**eXl5e zX;GuzTJ1t|6?#;dudLiQ;WW2xQOTfm=W7((w5-~hN9}*Rju^zD6*6m4>Au{v(gF>D zSogUuQN#2SxxB4=6x~wvacI+V?IyOS#3yW_lh(!Nqms@tH^fLba)XV~9jyeGb^6v? zdQT+vCwA6n2K!AP+M@_H4F^NJ(NcQZ31wKsHmo!n4t0**2hEUd)^ zMZn)=vO7k}xc4E_r?hQY2s|1lKhm3YlsR=>Pi{$tEpAki+MJXfm7J0G>GxK2H@d~m zr_2cmOaYe;Zr|PNtX}5nhfUSW9zwZkcS{}g?N{VmdKn1{w%T}~klV&V-$txtEm_g2 z%si$78uq(fE@>GBim|JsZq3La7i3m3>&PS7KNrs(o(p(LZA+sY)OI^;TX9Mi(98@6 z-tDj{BlW=$vd7ijm`^wCJ|btJhdcDpKe`*cU7d1~V)zyy!1-_rPwi<}M<(8sejRdl z+T4PbTN4A^T5Y|I#8v;)7JJb{6iwPg=Hx0PoiZm~dy2dCAa-az+hL3IAOSYRB0N)L~v2|r#la)HVUg+;B(sdG}@O$=K6w;)r! zWyM=gMca&k z`p%RB7v2HM!g=fcK)W5%KE*jImkCmD>Ba>D`gq)*;hb&GdJ+?Rqw-$DwXV2*uw2Y3 z!(_}Dvv7!5Cqn%~1UqgEP3h<0k|7WJi)NOhGbiGylNrkm3Exg42TU3dcw(C_$&-O$ zb-gkX35$h!tytOzhOivsqkHgS&NcbFU_KTkJ?NX+dVZe43goUGk(b`Z0Fby32h)J1Px0T9xp^3MnSpUQE&^ z8mG7RLAu~7>2WI`+6T>5O|oY(Nzb~DiaH zlx5OcOwyX&Sz&3o2{JWT5LvoNVQ$^EZtv~`rgXp8)3pvW2SK)KskQ0nTS`giE#5Z8 z;VLFA>lkme>47_OtrICUy_!_6sku|~L|CX*&-J+y5xXp3(R zV;C1xhszdwrmb~7-3OA@fn&s)p8v8h0;OVyN`h7-wii+2-L#J3xw;zPP3zh^%xxu~ z-OzPh5FgZ+ZYR5%pGBqA$>BLYC$uplelkt7887t{z4aX!JmWSRCVx5Bp|9^rBMrvAfpgNAF;-DU~hWYf9$;oiZ~5*xj{mV(L)H z@}QO62#wJ^xIhrAz*0H2Pn3$qW*~?pFQr&5U+n{QRSb2%iE89Eoh2o^3}(BWv1{)h z%!*%_5v0SnXJ4!I{m#H@V^|xe4Dr;M+Ah+^i8K$X{2<;L~Usuc(CGjN^R_BC(%!eRLjim zy`Xr_i;mpx_gyb1Qw&yOuUspIT+`CH8!0q&kvh9{eHe}93Zqw;2$h1es8lcDUI4q} zjf~pV3OqVqi`~Km5LOtcfj8f@zlwganR1RmkO_%YMYX}!!G_8!(GD5=Zr)R=Q^Xf1 zJA~Z0vGjUhQ$O~?H`Q?clq;89Hu&L~j}!&h_vE#qYHnJmtqX;XVi+@;cKY4gW%?Lr z!WT6FvnVgsW82aebeKKP14%)&DWD;eSTI2oKpuptCq$RWu#F47MSU`gVLp8yB`9Sd z#3z;Lozf5OwOIfT@#}$l;KHEUQHMeg8evE<$vgaGYMdRDQMq>VFhsAzgz|RP(%N;~9-~pcwuEN&T9#`x zssMD>V*?P3wd-rRJ{g7NhR8Cu`Q&QIsGI z)x_xqO`;+O4n@8FoQ{t*R$MS>=+HCTh6p$tuzGQK53b&$Lsy!bF?X-4$a>jb6y6#1 zY4j%wy(5K=WkQmD-+h8$i(4!>VC+s`zGSh(F^P!WYHyjSQ$w{xxwkQTMD?Ja+=0m> zKMO|p8$EsN(m4x(S?t72C^A|dfIP!WEuCo7O*=pb?V-4Vz?oMtL^t1$+TuI6wOP z_)(YKP{tfMCro(0cA_Z??Z^qVN^g(LU2-E$`g=UD3&Ia*gQxM8Jm4G^SInqiB{zt< z8$y5zUV;)IThXkg_3o~h*h@;YUJ&NWdXT&1M(gB(2sjU2a>F5cB>b-b;$61l0ya$A zyuA|*#r(C)(G5Ka?zYb!`La#=6(+=x2XlH}7k`zMu8~qP*OlumLHgTNvAlMQ9(uL7 z*>Zh#dY1`RGP9?(X*a>PJRUkAiU4?GD*~5%P9G`b4)ttB)2aZ8=*R@f1txk z|7)zK_o88wEj)XpoHL9$^}a_}l~vclO`S~$YbI)?3?Ct>gj|fym5u~9WQK;!Ui#H% zfrwhVr!C#uItR!s6ieBs6{Y^MaB1U?4#zoW7X0| zotd+&G%tJYWuM60Xve{kF~OCuwo^wC!|_wq@>$Cg$Oy4SEH5rKjW2W}L^~eo420Sh66)&FZ!5ASv~< zq;!X08@(GkZc`tc1joDD)qxh9&yl0U&qlyWT*8K-+-HtFj*wgI7G{XTkJjc%tf4FA zD@%kva)kQ>--5zd5X#rd+m?k+G?5}CELXaCwE+gp$WhK2Cz04kj_iHpC|C3nZ@sFd zw7Qz$xDG;iBdl+%Aw5__dlB9caeZM8IGFXSlIdk7Mg{P46mj^>8tQ8!TJDW#TpcQ( z*#~PdkFpXScl#&S(7J;e!q&*MtXQSigOMZqHgaSzMxy@(7W^W-q3He5?Rq`D$XOqx zdpMXaA`w%L0&O0l{N9Q-_Exl|vxY)hiB2>w^pLOa4rX`Opp_r(mYqJqs#sp4&eYnK zf03t|#QhbOYTkl^GEN$~(h{4vaIYf|jHV-l-Uap$m}zpT<`@z3fJYe;;96INM@D1^ zx)gsKF%H$Kh+BXjD$EE5EmR@PHgfHag{c*U-19o78#8LX`rfdeA)|@UPNESCHW!T$ zMY*lLt`u@$K*{NVFoa1TwdsCmy{=T1rrL@x#Y|mkrcIy=016psWgc}UcS&-zds#^s z&d7aH-&?#%w%_uu`;e}4bnUp^BFLN2l|euBka4etDn7d$XV6#)~y}UFITXjF;?*@ z@4IluhHJ9OqfWQ@iL#m91E#B zPiXUlNND#9x?5qslSpXGokT+095yUYlIb8E1829AJ$F4?S~qPo5eeIgMAhMXAreg+ za1oK1_4l;PI0_!(K6QjhEC^<^+4s5h)(0I{e-R12P<#+lN^YSkk%Gr$m@rykHvqT=SfaM^QXc zHLm>*iMcAWlAg2^iMIH}SeS@}M}Z$O{NV zB>E^zClcdDBq~HA3V+jMiGAWlBy?(4hLVKYArj@CNQhi$<&|u7YFulJQc9xxdC9q7 zd+q2$b|SG4T%d*w5D}5UHCar26_J>$h{O$5sR8dkZnl~0Z;W;+HXuoe>aPGJn%;8+ zqaj|uf!EgigW>k3jP< zBD=0gD=brW#`V04d?$}kDt+YHj7Lo7o;t{K%!S3=vzIa4i=jDw@(3G`y9x>S$9x`T#$?O&Lbjq8=qfz1jAeKhmSxnDsQTzD<@6Te5sh(gctrigBe)53yvf5d zK8wTb7kC6sNp-<}NGaK$Jc4F>-+9ELXJnUT+fV~qjaDCn8-1(JBi7C%>V%W?`jJPh zokzqM9x+|=1wt$6zH}Z@GVar1RK&0sj~F_SsPKq(@rY?0FCO8=BlH6mFs%8&BbM3g z4d)aoz`!H)crQF6i$@@YFbUb|`8$uG+uM{x&}+K`ADfi$_po zediJO@A3#{vfSC7X1>59{FivdDc~m_k=-qEQ#-s2v{>`np7z5$!fGcl|95;JxlVRL!%h*EY@dM>V>JVIFa;t}o(JVKx5jB8H6Xx5E$tsBQ$#X zXNDb0#Lo01$*4+qH&F@9!n>W8cMKk0ETfZ*wT#s&!dxW7eIOa7lMM65GTKAp&3`a6$?dGi0} zum!>UDD2ZMPaQU*+NL)n#3T+PDjYV^@U28T)`Wh+M@qH|L4Kers|`u36mS+#mbxhZ z4+ouW3$AB+$ALMCHMt$5KaA^9kmEMlcb)UD(Js*A(Xtz~_m3i&vSUVmb)fmfh@CZY zJm^Ld>&OaA0@mTU>C+@Wk*J24sYeh|nQGNew*zhSLM$k7;iR6u5hh2Z-5$|Zsri#bH2)#LsJ^_N@dzyC#e#SXLBx?S?bqii~0JK;woTW~*0% zk0>Kh`?2h22U?&5t(9{=$#!|YYTgHw;){@hkoO>}+{R5L?y$i3U8ybs(_W0WRwwWx z%n&KF=}%~SbixZDcy!$sAmV7Jw@6Tc$rUfS}vnVt(fEbfKt16peZQ3 za5-(EueWxG%4x7Jld+R8Iz5FXhS^rN z*laOntXS-#Eo3e6T7@8>OgZ|j=d{M1HVs;XgxxZFoKR{J#$j1nH`{7`=FHu~*?FeD zvDO>#cd@q}1&Ot7lqLo7={(aWEQ4L=J!-*3i0HmU1IQ{=0X(Q*3d1QC;y-${iCL_Q zK%AC%Kz^h638xs*yEQB!Te}ms#Kc7d@$&G>WQ(w_LSUPjVNv1 z_~gz@c*SHlgvr_7eCB)enSV5&9axlcy-)L5bvgr+zIw!#^yx1J?5pq9C8blp_N zn|f3B&)RJQ9QouV!0>jfQZY%i0Pb#*rRoTdm6&__5G=rq`{rRQ$V)LE^Um zrSnY4POPoV7${KKyV+K$8NGI5mFs%#0`YOmC+VA_O%LHZeY9RX+NP^^WI!`JG6o8f z42mnWgqTXcR zYp?f?vt!&CW)n>I&yF(z2{A6EytCuXVecqz(kE)RY_)ItJQ6w%%A;a4!LHfgJI>as zl-lhlRQ0WI#z2VKb^ z&~?V`gi_36mhoeigA-I}0%&b`XEyWVwHJL_Zgv#eVx3bOg= zN(MA3vlp2~N4CAqt;@FSiBH8kMqbl|HKCSb)5buB-7GhTY+F~XOKTTu7in=Datr!h zzZ7Pg&gNM-L}6uR`toc$YjYS%7j8E%%I#wofk3%ktPHPZx?10r47%Z#AYk*z!nUBOTuBURl3&P30>o~b- zXwyaf{6orM(WgZSf3kUuPw{tixzoiNf65&hn*M zhd>d^?cp((v?FDZ^jEde(H)MKnI|2mA<>L8;MR`W)<1!G82e8l9)d~#4B}zZKZSUh z@dt@V+WyZ!{Qm1-{rcCRnBDO2fBN&E|MZLhOTYQ%&*C6|``e$teHl(F+Jsss0b1=s zEILsNQA!Fx*I6sFAuKK6=9ER--i^-M+2N=3kJgMgQ^A@O9l=WDJF+o4%!guo_RG1p ztFRMY>xWbNNqE_J>A;uSByXM_e#h0}cdqTT!>>F#{L=d9@DnV9l#qs8%eA|?vAlEg^9q&C+tb4 zy20FU-4l!MO)g}2E0MutJ%RcBq z(4AOzGy6l>Rg|OdNpG_-ahNmYVGRs9iQuh+upvMUZyp`ZGEUq&3oA2srPrzW zdnhjjdZrMeD0DzwBSuIKFMHK%^9wf@HKv9D1;$st4I+3^RL3AySKH7>OGXBqwE+-K z*STyQ)>7t;N&=$uPS|o6tjFiwO`@)X z%WEfi6FutqjCO*Dl#otI<+9eBhm-O}@H`3>ZzD#l&s!a7(LEZGlCgG1jB00iD-3Tm z2zupJ`MC&UMIn)#yHp)y-!CV_J5UAhGe(nw>uJNac7_LVLO;MT(yhF4_fq~M!@D(< zjv#1r7f`Qac-ni~V2Ya)49}ETFXedoaKZ5EHDe@(SBn_likEUdZMb5eF>(|K{Wec% zxvi8A4}8_=EvHFn7<8pbsVDSnuk1CP zN%4&8eYp{hNYC*#QJjJ!5S9bMN%Y~$wxQ-V`FylpBzaTrw8u?kKu}GuLeC#|#`Z|f zI`-X5IY#`rdR1?@1SkX@nqZ62fpC55{H|&-(=@tXS#eCTC`wz*@N`g0HB)ZlcX+O> z$kdg)OdYOQ%Bw}Oz+zRB$|x#7z01_`IR|a}-F%oV(&J7J`GxaeKN1;?Ih6YzQDCRTs< zQqJ8=*>{z?eAe_x@M>5OM9Tfk1hm&x+nE)F$YD=$vFN?L1_$JT4rV-WvsA zktQgxJLPSJh_%IU%b+cs&bcBky2V0_MI~|JH{&SWLq%`xS15egm@jsxETNMQOr1mo zOY05gMOb9_(`?gb6fqX=EQHyGCDXc4>t9?OmV7XdJ1#n=!)zgJQ9H3u8-=0I71dr( zVXVlYMPo&bhk0gt;mF72$AkyHHhm6VtS;PH-ZJLS@;pstbddDHqGAtoA1qQD5zPyT zx!%?4xFk?0?Ig|R;dpaEh+cen?WZS)d_|Hu^?usNMXeHp`taMg5 zuNA!;Po=t`5UVub9L^K#tD&;!O2AM#pk(0@ov5AOO_Z>`c2ckMZ3%Ol_d45+W8R;0kle<=$(#Gg+XiltoMEe-e4s(p1+|}3aK+l9gK0eJ9iLX7$UAc7X z1fyi613RMVQrLF+4q#eDufH1PcR?;%oQ>+7Hjg0unp&cAX*Nx6x zQJS(JS#d+G!}PAoG56st4wK%@i%0d#GG@KB@a|DPB~Ui3*c`6iMF8n$oV1L65{L`D zuwF)IsWQiNF5`&m`z6-lOMEWkuRh6?e_;)yRcce>_Yfj#NYE$;%q zN^^0r7;GVW*Neb*Doo7ogVHJXIZ?;>s$gkhlodC{=-#rU2!V!*elebAt21p*+G*lV zR!L7MK9A9ex*-mu({)?WXVw?cjJW`o^|EKdX2lLl{9Y$46$qb4^qAR+o!&G~m^s!A zhQ>Wvabw@dm2rT{j(I?Z0mQJ(qLj^rc)k*p0sYt z5i5;qVs7&`kIE;?e+;YAy1wB7x`>^XG$ld)VHRxc1u${9yUKV5vLF$-R@}Z24=m%n z3UH#wv^xP-H`zrWpKb^(Huk_FnUtdPQFXMy5k*BadTlOIDCxC1W|p~OI@};I?DtOH zau*q=Hn)zn&7Ugciav)n-FT;NU1Z$7ii~&a*4Syu9%x?Z6)v5+QJXV!n0j$e|3;L( zM8+Wf26?Ft@xV+6=j4UYU~b8E2W5wTBI*#-e_(Eyx}!3#KcgsxJcNSBCy{Z~A?zhG zzOP6n3p@cV&)OUwf0xSm`oi4OtAldu>Ja&?&5?#L<`zx^WomQ51RVu;x;$JO<5cEu zN<(g>GUt)`7`nu`e@KjDC#@iA_a%(NwSLkIN2VJfR4^i61t?a;BotT0HMLRVs0?d` zqYAB&OP$tkuo-lm=>UgVOhsXFu-d3@jd%ZKQGy%o7zj;kgU0uls ziqG70;|f?@mZ@;PyV3@Bys&{60L~NswQbPOiSwo(hs3xJa?_@>N-{p;_>5eHQnYrf z7ncnQ)|fpX^p+T#tkW3v;ruvfA|1-vVZoM+i{wKzozhGOmnc@bCMaYS67NFe3X5LL zSUZ8UZv-yPG*UI41c5s}q8|mU7_<}6jE(Uw2d>jQ#!uYN_7oZ~m~IRReBKLmm!Wd9 z9H_g_ICI(<#W(Y2E(L>YYrGST^b^x10V*so;u^g zmV!Xj5eI#OQ)k>Pn?v3QFnCqy5dT2ZEr|z3ZeWz?4toeD?=g8D;)b)-LTiyQ+Pb(s z-b}{hYPoAeczqxWbiFBa=K|*(?!uJ@7@n8|L>dCUU7ZRFblm)zTDvxcAj;yyxG>_Z zL%O0fPEU0=RMxIuN4-B>k-H?sz|Q58C)&KMiXr9_8ei+8mGuK%{Oe4;UPt$s1hWT} z34_j|zJGYijqk6rfd@uby&=mpz|-}{A#lhv*3)E)IvoTq-3i=7Zv5!7fdyp<#TzUi zSr(-zVwGMfp0DVi()rQ4+_-i$Eqxy6$8*4IQ2G@wJqg@sB855*M2mPtD~<+71HZ%9 zoOV?ml^4D7Iw31jp+^@3~;r=_}Q%bj^#{Uo?*7 zD;l?K$QlRJo;(hdjk8P#TMi<`)F~3U zHJ#cJU2xnFH|AN<>%~qbUV7ttQOvh zHy)owy31H&=WZPBaiE9U+5si}tgoN@#=eML7Ln^kx>Gzb_9C6uec}Rh#1zC8 zG=okimp^DjAhax={v>kkgWNcd+iNo3zW6KSEahTna_)z5Jo(4bKF4a@G+%v*Bd{z14H+fx}CmKK2{LZXByX> z+1dvl*Qctl8r^aCm0}truV-^6>St$-4wsgKk+w7YK_9Nt)I4)3gY2Y^@jps9%oiEQ zKTJ5x7a7MtOgPLJ8OJ|NILsFr$M1jl)$e}&yH91f|JPss^xa?X#=!sjzrXqZzrPgW zW?Yr2VItD1-vOr=ft=+fa=>U8N`y8!1+ zr$yKLNwB@l>9Xj$N|;LK&HQ9j<%-422UF^Bx9BqW=2R>$?@Ai!DcEim>$P-w;XL~A zvUI=tymZ?)|MAU#e)EezfBVgU|HZ%l>92qL?)$&}>C-qIbHsMmN4JX40b;f**9f9< z)7GXw|}L`&kr)atO%4%`>IVf$NzSPUyIC?GIZW8b7L2AP z)pMbputO`oR@^Ta%xB4xDaL%a|6a3J`YaYdH|bNdVD5yifpE9*9U zl%lo7i~hTN?jUs{^s)-l=Sojyno{O=5|u*Kv_dB}xjiSIezK^s$IuZQ4KS$?EkPh6k%($C{_bv-Vfs)ja|9%y#hR?j3fdBKYc1c{*-UU!1AHV}a}i ze;K%>r;r;d;Y6ifh5H>HYMoqB5Ti)7ltaf7eVyE*P3U9``K}`^w@~8Z#uD|tyVzr- zU|(>12~0Dt*~J^`cj3SaNby!j1nJL*+M^Xt5UptuFF$52gBV2}6e7?|ujQsZW*Hb7 z_L~{jZ5-C#?#C=t;r;sCQmm+dnZ^T?kzMJcAt0)FlG55Nee~H2v22JFI5#P5aWzwR zR4o}p*8$Zw@Aav`G*!nKG~6Qg80oj|UePf6dX{AAw>85kRBf-8+l+Ucpe&Hr<)+Vt7}MUm1wcmyTr!xw_kSxQR$mr|dfe@tvwRYI;RaD<`$Fi6HoHi= zI5&DMTQ$AqHg*SqlKgPB+)k(1I1OgIBH!=tKz>jNal6d1vt2at0eq!8{syVDRub zv~nzy8^i@$qPY7~X{P_iM=eQ>PUn=yXS z2Q1_14Lu7{JURS<>*MCTIkeO97)8)?)1ea3y`g6)QtRtDjM)o7z4h6e(7o>*Qf}j@ zElYyv6uv7xJk6o6TI{R5__ z=-v2Zd~kU4@C?(p{#1)SHu~G{@{V_J=taotwGERw^j{S^ddX~WCNS7syJk+(o*6WDahU;^?sXT@l`QQaos zDzcSBYKo=+{{H8q4qOY1juUM?6^9jzpjPQRaeDRo_ko%~6^@{~seG|*H7kE^IH%dB zmno(ba6%Kp$zc;7q$NuqbbY-pwGaPZ*btLj8lv2HRrqCOX=y)7ydr~Ly z-Nt-z)81t;wZ2ijur<8VgcE(@(h{vkq0q9f`!pdNxzQvsx=B1HK!{fF`&7DD$VYtQ z&;e+XLg&670t}cEDKX>p1T0rdLxWBHN~^9gCGJosUzDQs6bm(_(deh;&WVslt~thO z^PLmT`8>fRwpz-@X|!dxxP?W=%2C|n#FmR zbW>(U@w}oju78ob-0FJMV($3xip46NmU=4TpL2-ogA*xM`6<5&;bRoycTX=>K3tx} z9fLuGru16pe$F8xP!n4&f{cYpY)|d>5DZm-4bL&eg)z^DJo_ZjV_rGYsBocl+#8M- zg}!$Br1`azq9_EuE6JUuPVNzfJZlosol#apcIvW=iG0>pdk*3pgHdnW0Mv=Fo;xm$s=YqCq((>O%>;UwnNQZ7QE_w%L zu4bNe5Ja7hN+XLR_on}=^dQdTz|}#mn~*q@qQYlO_2_1}k^w+t(GJr~IGo4;Bl0Ya zQu~oc%Gb;-as8HE7J(M7KoOonkGQM(|2MNaU+vo#8~2c7f0-7eNB-B*o!Y z{#5I3BWP_=oH?kF#}qRXCSf>`7du4gZkcOB!LdOw?1o>~&~~&qJ#GZFE#)0cyd-KS zV%m%8GebejHq%g6V@G8drbB6@)lR5(m~Jv_F*bUodMxdO7K_t&Bn zo_56Z^D#a&b5^~n^zd_2Qty6lD-m9iT$o-TK#M3kH+B_EjG(cAorKY^2})*;_u0I6 z*jC1F@I!bj&V?kvPUkKo=zyh~-|TQV zbTh-$TWnY4i5i?raBvU|c*y234u3?p;AQA0IKLF88YU`A6*?D;_NLz!OHqvp%H0V& z>nA3^3~o$)f-)*ZpxHxyQnDoqjZ6E0n17 zme7CL+PrC}Xo(MH)Tj>=j;Od+SaxTsQl#Cv-cdHLy=o%Dh^EbWY~p+hod+mibVQqx zK@mM$)ZQm*>tvOth%g&^Yc29IVz2l$3F2IpStz53%UED^YdSC`AII`!boat6%vh9e z50N5Tji>mgJCHf2GAl;c=SuXN9dj#a%n5c!1=`qvKVG~oPs?tNVq87Mcf~6da>wxM z=@vO6)s|B?YAM zr!!UeliBqN%H_oin%XQgDmvjo{DBEdalt-_skdIXs`Kw|Hp~hX0-| z`pN9PzFxeNnYb>Tv#bc0LrRB-ZjQ365wF|ggnpS)q82|~0-W=5^pR5`G{`zbAqN)Z zuHpnODn3!v6U1!Fn!5?}9LIc?W@{8vN*{%Dx@`Y=WLE@V8Qiel3Ho?-f;Ra22>^iQ zUYwxa`}`zIlmkP<@UGL=Z5OT6nGnGdZo8SgaLxx_$H8;G$CmlY5Mw##Cq?V*7i`_k zo!2$yCyT;3LYKqrBc9pS>E9mH@G8JLQF6u#Iv>;GE?Q?w*_AN@#%gD6R!^ht(|H~J z#!oBg@k_MsvUM|kesaBdonTK+FS7kQlK6%TJi10_!*RHFL_zaJ$MY6kKghEazWLep zM9PWg=hqV{Cz_vMPmJA&?jNlu{_a=5{_0m>f2t>zKYsJ)KYy-k*_lBJ${t$HU6iHn zSXFRi3^dzxJXuXbqkfo0P4VH+hLeT}=N1lxgEm|aT*ghCcBp&Fu+Nvme(6URXdEd* zg_!FX*sr-<4g#K-Kj3n3XTQQiA`b73v={p|FN^un3a7VFJ&=* z`FSzdZ@>K?clP_&zkUCw|9BlP72C4n5|&rPbd7?$(1*Af8`E-?7U&M6Q@zAF-0cMG zwXlLg-juB5p(Kn{>wcfyT^C$}1?lg8f;CUk-sr2%bPP0Xc4GN*r}xuP@ah;46@5xF zv9fl3tZdOy{9)(2vt!`u^tR8H-M7astu;P61{PH0_PCg3g@4EV^b`ETKK(zw`Hye@ z^6g*0{l$NN5)WcSc5@D;ovqLvtdMJI#?V@Aip}Duo7JX8ZBa)UUP*6HUtaxpvg}*! zRr)bv(ep7EEj)j&F0&n49WkwQbx(JWZUVpK&Y`?so_+M@OYR)kwau6I?eXHy;lg8e z&8KUd*7xnlQuo|)3is75HQx8_)kkk08@D}H_t(Gt+*R;@eE08v`RjLo`M2-C`RiXl zt!{E$jAd>%Hk8GvQ;#~>V(8+Bc1+rRMMBy3Wh~`;Pd9Dfjt-w|`{fdmejJntw zx>Y&Wn6U}O+iNHLe2I%`CtJjAw3G9sc)N37qIhdY05E)AhwE6iq8{3Or`}nfeo3^^bY@#r~XRUskcMO#$;Xkn|VL4?u2CL{i z(yz0)h#e5hxg+A$qUDeknxv)fYRfkIth@ z+HDPbm1H|}-|CN%kt%2=piAAG{t>e%n8M>@1lKcC*f)nh~i54U;d%Hs?e)g1V{x`}H5c5%s5u(w56 z7SC)f);nrAb-4Y@GM3k6bf!z>MWl?Fr)C|)vx93t<8c$HI+t-~A`~6&-oT}|m;?|M zj?Kq-obw(h5i|PV=X{SCOpoaR#|8gPz3*qh_`2(Ge|Z(Z{o5b^{TV6x;&pvP9eUxc zF(7ATOXyQeFD|YgmMz?#kBw@pYpflmj~Q>=jBd;o0kj9L)-GG-L%Aw)55zUSAuj#T z6>LZ0lB0X$Mk2sHmsKLbGneh|)y38KEm$5~@IJI;_E&FQVV}A`y^ySX&1Im3+Jo)O z2K?>k0I;1$zc1P^te`=6mg?O#im^2P;3$Rp1SP3Nx3wD|$5&hp`-!gL5!Z9#tFPVuh6Bp}KB}an^5!dS ztLX^q{rn1?r1-!A<*|14TDwpC?$=*`Ve!8G<`;kc_S=8`fBegL-~Z*?PoNb6%aO?+ zRU*nOD z;Sp}wSOL^SHj7VmsTgBmic0 zQ|xw{Vw*3yVvpSwTZ@~yTmPad_R$x2KcansS<~z8EiY}aKV*tsKbT@0e|N>6pRU+n z{qFPX4uAgc|N8d(J4NUz=#hD}bjai*rlx?YEh^eIW~Wux#udKAH?dQ#ixGgLlraKV z%E41;Tvv04tZkzX+r1hoon$fW+tNorZ8sRtnLseS27niUH+oEz>;^cqNg2dM@N|nOWSv`YFp8tv;lm zi;r!i$zEBxD3*HVRuLd1W2%@IR*Z^Bk;_G;2doQ(L6ztSE+<6Nr+JKR66xJ%FV3RH z)?=)+L540xh^c9GO^cc?%g?#OKuMOGdzcu|D19cBsIOQCY}9-0R+&)WMwFHO*x zf|}k(LheM6OSxNsA}#c2g+o~LnBzjr#bRRH!@d_m=)EH^t^mbxkn-qzhPFJ{%Xn=S z`c@E2!!o6$4N&QT!iRI2P1}a zz@?zfoVHPM-pyHuDEqeE(|&tg4g`-Tf8x?*opRvdv~K5)ZT`F*=uPi42D93+ zZY=q8Y5cxp%{{c%W9grL8CHIt{!m`i{&UC9?ATFhKa%$S z%hCV1V}E!dBYxywxkt>~r;N0Op44IGu32Us*cpI0&`fYLpdI5}yKK7?$f3}5N z=5Xy#p}JXnqV??=u!l!nx0>hv{Oxc4@Vl@7@To=n1C$b`ROpWiqLieBfCp~GH~Yz<`mCs($c~S>uG6ZhvMY$_^t82`muqpS8$RB37QV*-DNVhpc^~k% zH!Q8C2vsTu(2I6M)&8AsU0<|Ls}lLL6}GzcR!}G#ot4xW2 zt}}I_Ze)+p(0(6Po|UH8d->ij?Nvq?Bm4D}k^SiP3g&q}kTGH&eA7~#M)s8ND>A~O zM8@4krWApjsHr|Yt}E#XSN(n+drPyHZ1Nvlnx`%@rO0J;a1og*AB^lrq0Og^Fg?xV zX(4m=G?#%&CgpqmTFJ%So0<`Yd^Rg(fEqpso zr-8kWt~m|t_m3LF3SG1rF(BGon&%CeAiQz4G}p1W?I?)Mi`K}v+ilcw7eCs4+wLk; z_aWtt{+6u&H?B+lq#}%eJ?@rmHLogDHDv01+q5)f5_(Isy;P=_UIm{nm8rA_;(^w| z)6zT{{Ob!ovfPWU3q8%JituOudTho!-R}PNsFx-oWu1pwOwhqxKlC&sge59@Z)q;n zz;ho`4mi$yp$2Y7#guWHBPzl=X*!jA^!kopQW3VVD#F^Vjg;D3nhitBjT*SuC&$i1 zG)+*WuBU&!UX|0|P2vU1+WqV6=|I_MhVL`V+3*ZXYb6YA^S6j)x^V7_f-ROM*mdet zR>n2PptVcwW_@#E(rVb*&wE5Q%-sF!UGHgbU1tjAHkJpSsVvaqqCjG1NEu5cog+Hv zP)9oye8C>LS9zr`hLlkeE>xWqhH6(Ab<=#HBFr8X8)Qxh8@+kCh2GNK$K4qbA55Dq z`cktyrm4&AG{zd|BRzcUf$#`1%t8jvZleI_#~OGrcX_GhDKAxUZ1Hc?$;A8-FD=+} z(XW(YS7sXZ=qa{^yEELRds}m;*L3oWZ@oQjW0uo4=Jc(fbC!$VX zGOefhohc4-!-5yR;2fs)(|s*re#(oV^=;Wn3Pp{=?5PEHsPbopQ<6b1X5=RtpUTPL&)D(?1*;;RVIi)Vc>_rYYr zc14v5zCl-W*zTtFRW!V-2REM^P0fQAgl(mWvo1;J?KV%QRy!Pe4#jlp$uHIK{TfUj z#r3zMJ*{Lut!MRn(#v|COE%lb!Q_wC@5o^Cf}HYWE*Y7$tlUpi^VsK-&0{dx7n;4O ze(#rh@JRK$oP)_!zi0aV^CD!25a!!lvguvTE4DETk8m_h>lMAVwBsl>oVx1EDFYn7U9-U`EqmRz-v~S>F9og)XI0ddr>jMIW_5ED%kC2?i%s* zt1!MIk|6Xwaz%#E{0JXVgtp4tX}4HmVNh8GLa3RF0_+ht2yZZHp;t)Mav4(9p%APa z1t4Z+J_RPFQAA<14N<9~n4&Kg;93#FU?4z20Y~^-7P(RaS@ctt?}9eP3|(J^Ep!wE zL!yEfq6GZdvfqx7b}n|bq9Rh=SA;1&!68NG2O%grr_+k;th)$7MZ3uAkcCliEi6ss zf*HpwLNLJ!nm5}zPC1}>I<;A`PpQ}{=i5O9R+~BwGKuZd<*D2#?y%z$<@W9?66iTZyGVhjv}mTy`E$xKznaru zgrFc!csBHUEXza8htn|>A<#272m!#PnhAUQE?$I`#g;`F@GR&B++$8W}C(+((XUOGnlUh5``VEZ(O9~_b%!c>QtuHMR4Oeu@SX=L_7 z-m4Wyh+));a*Xe;b=PMQT5?k!%3MYqc-_eFl@e;zR?bSEwi=s;m79=V;Hsehq<^GS zC+ycS<)l=-PJ6g<<$#zilPAjAy-k)y!kEq;%r^;baXpQ>WW6{-3iyA{q~g4UX^AIA z&`Cr-cX}}z(UMv*Ke22iXe}H;-z+6PgGl?*7}G@}LBu_C^Oa7AdQk+2fKkJZZ{o-laC6JLUPrk z@iMPRpa!orp?D$GI_&xoFWN;XMR0LT`?%~~y2vy*0uf+n-Jo7uS%5zcb-E}*y|jzg z)8@OJlaEYKq!Pq1CT5DvsK8?npt$yN3O5($+d_YxqPU>-sy}D)Q2{`i7>5z;KnBL4 z^s3|HXpl`hnn4^rx6@hjsa=$ZZ8@DK1@%T$ce=AA{nMv*kq(PL9qPGeH%A|Q+(Jc) zqK(>aLc2G;?3fXu^_K`OhEJDv5wbdGgKQjyJec=(M!8IU_jHzgteOZN^;BcJZ@} ziLkgF;-1zD&F%UVYb-OX|0yyrOLOe@7}AvhO+N`m3{qt+1LL6 z*!$aNTXO3<6a9`~!9O4eNr|M`9sL1LAT!a(gcAugR%G0`(NU($Hp7yrAgS)w4gdH% z9%QbU{c@^`MOs$dhayX-_St){wGzObV_?oX#^+_<&#J!0-^;$I>&SPOecy{6Jw5Bq zEHfeyCD>xhqs$R+xaRhnAEK_3{|FVd)d}B)Kb}^rW*acL#>MPcEln{q24n@>AfIl*LYJz?q|x6 z8@7Iq`}!@?;V0@l_Tk66wXI7Vii;@Ab8|f8>=E5mU}S&lw4=icf2gyt`W!`$kPj$J z)^p4lAX=8YOsR~BLx}cNZR*+W%-YQ(b&?(J-=SZ-Ab61}UFv}{Ag0uB1TqvE7eDI_ zpzSI&!@NhG1G*w{x-ks2WW9N&7K2#L%3TICi=_zcP)i!DvIuxvpT!?ynt+sB)Q1#L zQ63V74{>G`#swsi7*$lH6~J7^xz{`Du=*h|mr)grh1Q5>f_d7=+C7Y8Gs&S)Pa%`> zD^H0`?Dj~)lZT_?C2Tee1?r3%*XNrP>iua}?h=~a(3xK_@S_y8lPnQ^4Guw@ET|{& zpodxKr!uR#G+f@)I~M944Yy`2;nIv#3iPIQR}GCFJ@>OJ#{O8d+! zmI0ggndvyY_%5#VG}X9ExGY-`$JWPXT+#S<^$xKQMfdGErCA%ol%3viT06ZdBg(AD z=K4(QRqil)913?YA}$Yl(-3VC5-2O9V_n0AdPk)5Z$?q3Fjuf*Yq^+XRk|)gVWomf z6cVzC4$`tk|J`h(1!h4EXrzT2qBAQLmr!YMShNcl&Q#bM<(2I6nyHPYrqeiM6RIpc zi-zgZAOqS^R6UT1=#@TkglWX2MFC&u*BnR;W;Y9I=9~VvE%=Vni=YP~mb2`laU50Q z0O}sm&fq0Ih>1DRs-U3fX^O(ZFqC>b#E}?|9s8YF2|7gs^AhzK3i1o%ajdPI*#r)i zo{!!X;t19XZjLF&7U#ts%b^rIFm6BLuTi`{qt*~x96kEXh^e@q4&%0i8+H5~EuuaO zEQ|U#n9ec^8|*NhgCYz$k^$VHWWKG-Q_h8JfYcon3A@&Dm#_=OAkbRGY|!NJds6b< z>LF-8&DR8ALYcxs+h$>2!eMywnt~kYGFKDPseUCkN9?XZ8J>#I5?gFh4n{^txoXbuLNHoLK zeN{xGw`~aKBsPbL&5WLlLg$3V24=S+Hrq*TsC{Txjq9kl5HC5GH7HmMkilyV#Sge_ zW&V$+X?2<#ieme$Y#d7NwGR|?0}hG`bH{}EJDL%P;E)~0DVl(;&Rw82i`ZNOt+5NV z9@>SX1)Ui%Q>r_*MwdPel=b%9nfjLSjM zjSmM!{TSYOZ85mITPh%nzEOxs97U&hTOH-Dbey%1uFN{Sf-S2r_z<8yRAAQcS#o=G<~r%8&i7uLt!gZi*A0KyzR2A-8*rKXsg~O zUC`MQ(<>Nx3P3fy6Gz9@fDv&nr+1>hRZmnv90mHf-ii2YSjY8^i`qB{d{F%uAG3ev zRnujCr8b{=C(fgCZkKey)C5)5dirY^pS~o^x-XCF2Q7%|D#-(6*ry;QQF0XoUH5$F0t3EA!`$ zTb)F=-0GJ<|LNcS?FUbY;dj6JFMs%tzx&-EAuQj1^Ix6? z5v3}m*(cNogncpz8LOQE4WU8X!X5giWmD$0Qx*W!$pF6!bLmtgLjiw;t%~$`(MLTu zW%sg&0fh}A7#N`-P#PDysFs|C(>>l2Hyv;#8m>fk@s`5~PBHzhPq^)^-L{>nP%WKS zcZ*86x8#+H{h~+H^oYx$sOjn35&1yDGN8WMOuAvfB`9C@PHG>wU)-ke7l}#;ZQ*4T z^cu>N0wLUGqQExkoH?h&ci?lenNB^Fok1hoWP+@ED{W1aNc&OH?m2BCJaTx5yKIWI zlSg(Fb#rQj)=nlYw>+)mHjG^?#SVg?jZGymg-eWHR42}JAp|oN2Izw$NDvD??DVrL zy_lApSX&6$1|8burp+RfNmV(H3Zl8`z=-h#F>eJ1K{^qafH{<#FfwzE^0J}XD)nY7 zWJO;b{knc+nNRNF(s-;{=SOc+xfkoWeHMEwf~O467*PfNAd<&f*+Q8IZF}_c1qbx{ zQ9m@cQN(o!MDy5tt(0--waSlPD}zgvQ;HBw6kxaoJ-IiAemY_FA4Mn_IsjrX+98o% zt1gwIFg>q}sW|$%y62~WBtn_%jLwa?hhF*O9#hwk6s{3EECOmmJgM74Xd~g`-1^pH zD86jB-fE>>Be2;^D>})jpp@=bH22vTlw0aq$h|LHBP}iaY5QXGXC@nVx54j^4_k&B7 zn=-<#jMruAi(3({6|y}9g|aJC+eafF7%H!tC~X!6IBk(hR4T0dPJV0l7=n1So@7XM z;aU}?OnQ`rtwz16L-a|=QNe4Z{UrKI1Epl%X6t4miD_|GFVGQMG`p{>;2n zMxIAu&~#6~)lzd*l2`%f`@OV+;)qsKTWHcNV->43!7!$4z2mxkf0K~BnnTfktEknEx( zqBn8ZZsSh3D@Z~)HmYCDU(7gMBT0M2hbIK%DVVk+Ix^!4u}yS_KPX2z6(lij4~(`V zIpRmdP2IF}sV?d(X!Rl?$>kf0Z&VhiLwlIp#glTR)&rdqQs}0B=Czi>e8-D~B<)tK z9uk676=Bdj<)D_*VpsFob(j@W%%roAtzUcAQpRqSja5u(U4gEfw%fg|xx4yd+OEIo z?N+UI1xZu61Rl}zQ(kVg#MyNlNip$uFmQEH%Si&tB7C_^j&OY@fEwH3UAT!Wm1KN$ zWuTV^*Y<~Ld)&d|iW+s9bi!uzQ1pr7+-oU#j-uf{PePOw&Ab0-j)xX=F0`O3RCtdz z$THx3fJBR6>V`mm8YkSUTb^@87em|mq8tvW*CixHI?%falR(kh2IK^9F($vUd4=tf zl%=PLjGiL`Okxs>+=5%QbD6DIQgpn#mmei#fyV9C3W9Fx13!>D`A#^{Sfa;zy%$pQ zN{5Rwfny??n;>R%k5M3hj=izuAvdyUkx^F)mZp?_Kbx(*o9h_1W~y(S-4bP7Wlahd zibVTxIDyNUg4l)vdI4nWAEyfhhD5Jk?IA8^E`v7XK`&|P47O}7b`8k|LP=jCY(qz8 zRQz+btb3P`#6qv8nM%AenaEtO`ZoQN>0U|64-H8nA1<=aO3H*g2VU)~wlr)bda(_U zYUyI&8xgN|ZQ@XFS}87TgflS1q2&tbt9ONPCngX|<2P-5yU^^2I8qq|4b8oh;`Sj6 z$52UGw7-d|r_@Ni?EGjdzobU&X#&wOfe7UHX1tQ{I4*PYli~{UOv(AxzO(bY(+y7* zOSuyVy?Y@gO?fesfRMdcQdSbpOS4t4q`cVq-Nu7!Sbr)=qJ(-{wXddMdb)JGq(1TaB|2w}37+6nag}hFiO6N3JVQ5S5v2{wvV93AE--c-Uf^=5*Zk7kSD!Lf{-+ zx|83!ZCf;9RFXfZ;t`%|IJVCH5@yrKJln7Kat+4_ z>88V-lo8-2eeRGN$vJn}`c!;+5)Mn_;W>Ax9G<%J)9@f1sMQw*T0xkWmzQy_M~wt= zkcV=HV=UXPI^p8iiqY5H;V*yo>tFuzgM0XQ2oS6S$+uJ)lh_kVB-DEXP(aZ0@O94Z z02kQq7K{Pyj@B3ekU($0H%2~gB6(ZfLNrmJAvc}Y*vpez2Q-cPP!`RteE>v@J_^f= zgl5spBQ{!`8#4T)6`-~`7DeVLyubx%ntjH1i201NZVu&bnpOmY#QM1d@;bH*bg|5# zj>xD@>oS@a@Rd^1#Y|Q&r|;Hb&taI4qL1e7ZoB_?_4N8u3lf2wdBLr%=V*rVgs~hm zEB-xowh-q*QBmjs$62owvx~Y5bJK~HlO7t{SDEfX1oY`P>a+7k3COyE`a>kIioVs& z(v0pIXApmCJL05T^Tu^`q7%!CkCnL30?8ZVd4;ieJe({8JyUv>o9~@iTA_!F+(^rV z+e-3uVui4a$!cRG=ZT9Pvl&SFL|OtB?3Zouo3gykGo=bFcLYkdUaAlvnm}Q~3!N_b zS+s6Sh~;g}>?&H*iItzyig&&FfFZE6=V-VfYuc?-Xu|4F zX;CmsoNcd%QUwJHE4q;FIhk=9fG_Z#gOQbOM-m?w!>OK=8KRrml(%BI1&hnfWCXV= zO43E|yy#U?3Z78Tv&W9ud{wU31GA!Zh_u6z>8I6u^@pK*W@iuwnUtLY|Ax=F!rZ#( zZH|hNAfSMMgt(oSwZiqSqGauj9D5YLHl8z?TOax8^@-y&kUQ%WS#-e;!n%XDjNYN2 zN)}yE2qja+{VY%fZ|DiygnF7*S&S{-ZdGKyD&5ywdp&OQ2+oVHDa5okV9JYF8;J?( zoS+K^Mnfn?S&G)Hc2y|QC=@dn1@PN#5Du#=tycn2&(^DRI%64aGD+aCd)q(gLc0{_ z3#JeL;C?-ZP%gSK73Q%#b2vKUW0&}L)r^9GU7=7o$LbIduDlVPUkj}gKEf960)=Us zgcAVyNoRBxY%r-GgDiZ(_1W8D4UvbblLzV*)}4)5*ud+_Z)d%tosC##+Ht5*TIu@p z+_E(U3)lCCa?eJrBBWPruU9PBtj22S#A*l@&gZa(zsSShyTHj_R;NFq^?ajU($e%d z9lKz=fYLxCmQISOOR;f1wE1q`WC_daVG!LFLM(%_&%IuuP^}e34@7jT>lNxr%w@)l zuox=BH%!u~4 z&euBZZVIOtc%Tt0OgBG_3Jl|V?tgTR_8MOl=nZDzrv$yN!RuQm-|54q2cJ$W@IK!> z);S2BclzMgMlG#|YzT>Cd~Unx=FzY;W{jttR^X!b2Ny^BMCqar3-rM<=*Sq+ zG;W4YtaDal6AEN30`|ZWINv`K%CkOR>a!YpT2$Zg0!JXgcN4jBQu5W|-liG1>OQP7 zKN_(_A1aA0=ofVpxo~-bBUD(m4dYn+=ICH*h9Lt_WV?C%ojoY69VdH8 zg33tQb@mV$KRI;@%?3PMa7R%F559&>wL(9iRVB(DCfRp{f#w_RAcdM@@IcX(T> z$VqrrEA=1`)GDy&)na@oRXn<|vewFqJH$J8xMT{=vsMvX`>YF#tEaIdQ`l0%^v5$q z9uP9W4{EgCpi_v2oB-Ga5x%;x#2qR^Fz#+4r{u2~MzI3I@xmQ~{&hAA#GO3o1T(22 zE`WJ7lNz}XYWVGlXiw+6g&bX2QS47gX>gfANc7XaUxk$Hs_=!Fqz*v-5h7dW6P2wW zA+lvYQQ7(tB3tGYm8~BkvSmI|+4@eAtp7M zLGaNu3an`{72=kBi-Y16O$a0NI<*)9dF36EkTi%XSo(Y8rav|9qdKtm%E-#Kt7lG2 z+gckPHENhVz35D_*=huexUwG>Jax23ZbH#?+V-Xe*Cj2IcWry8%MS!-ZoGZ$rw9C>ijT&b37(Y+Lu07fqxvTrEv@f6-L3y(mtifI785!Me3OzH#1qlUA0YktnE_uj3-BQEG0Y{5J1 zUs4vD7Btt}4cDwXHAv)Iia+hQ=CgOpK6k-LXDMPt8y<4trUi`2pm6eHp!J*t| z)yutiD=FaCai)B-m*=dy0uWmBXYW>c5F*ib^b*9XXI~aZQ}WrnMJ~469yhB~PV``2 zmkRaNJUttp-X>ZU_q*rzzOHn`iv1&Qi(ggi)?911=E8G(H{xV|1gT|0HNM*mmpKGN z{ZqS%mXUycr)8pEcv0(WC;eUp6(-GV^G&$YiZ!fGv7CT9mc}4jOpLK~YcAKv*u_9d z$Mhzw_}uR|#P{l^fU(s{u?z&aW7pND-Y#irdKIXnJ+noc^5d;dEw?0xK~hJ5!Q;BdY5hy z!9~aI#vX?S)zv;m*@YK&WkZW)8h+a%5(lPAN|mqnT=Rl26@F`1Z3PJe+PNknP5mub zT8y$Qs8L(V2G6x_xV_Axhr*!TpzCuy$PyEf-EUifGGip0-^{7>7TL|Lvyxh%t%y!2 z`nmE1TWX1UQI^D0@ zimQ|;7-bjystg|#qz?2glYR$fG;2>ecSApyr++anH!!Zy!{xWF&}-AZol85MZ%2qy zLC;|kfN*f{yVbEWRk2{Gf*d0qLdyi#5IrK<7s?P^9_S;uiWsFIGR@dB3B7L`|;BO0E5 z+o<*@Va#a?2?h7M?cBg)=|!*HsN{QU7{u)SZM22f&a<ja=l24eVTRkQpOU&-?9?0P%1(w4K*GV?)cs>!aH_35~+WDp{AQ-wS5G0y{2JE!3`aD9&t z!|h=T;v7>LFS9Q5GS}|%+foZ`$;ET79Ztx-mp=Ak>2kF9O3Toz4*DblG|a8{Qp>7e zvUlTluRNrztkXK@MgT*LaMBZW4&Ed_U36Aj;Ph&x^uZgp@Le-+Au%HLUeS5W5z$sE z`vScW0W!a(lN5Dr$H*}4&2*XPb3Cyoftm#2p{Pqxzh8TJ+aH|JBE?uCKCre=*s- zB0RlNqB+FX$KcWqA(>7>qF;{YgIE@hL#S45W}r}{2Q@STp%x7V+C$maQxVBJmx>O# z9-AMdQlh|7R`BNXcSQkGPftHt4LrUI35sZrY1VO~<%xR*>JU04ty?&jQ#^~Y|6RNb z7dU!aPeP&;VlcmQPeNkTxW28>9D=48$xn)LNaM3Y&`J3GFZV(&sq5yLNcDiB*i=AFvoM4M7wm0 z-4M90%SlLX$5PvkelD|=XG0hGX6^Q@5R&o`&kA=#U}{z#&M}_DBpqFA*+!$-vMy|V zSV!j4OV3zYi939|=HAi8nqp_e2)sbwO%c_>0?<}k^_L4nazdF_Z(D~2aSX*Y%V+~e zQ8mnQTg7ow?fz41VsKY|uxC@5ZuNo(uGI4oLQ#X!NN%*ELl_hBu{!cOd0AF-m+fXuf} z+~G4zU_HHQ zd~pP32udiEey(WaE9Ee!ewLr^{ak&7L^*PAt6+DWwVYQ!SGXL3NyLnhhzIQea+s)T z&k>T7b0{JJ^WZ?|2#FxDG6E%;Rofux0bir>CCuy(9D&X5@MF{puZ)n;g_dq2#tJ9# zXlQ3T9DM@vS+h3OC7-p^2s13GFn7wq4J@~2S3egcBozh6bA$vF>fPfj3FpueNX(jv zCmuT6N@H3SZC23`qi~Y@2+1Opy5~L{FC?ZXKb9SrbJu6%-9W9WU~(wzEKncQ+yS0Y zi%6geR!LWpY#MH>V9TLYfC&@Dx_p*oPWdcE`rR6JOnehP_agSKkH)hQ(Oe!<%*NZZ zBy-7U;TFXR$>L5^V7)Em+Y%4i`dQgF;O^MCsaTAoQ}$4bWfc9Otq84Vt@dMtWKnFO zoEFyJ(e;qeB1aSIaUnE)Qq=lxQ@e-z1W71j;uq84rq6T3-g~;*8=}$qvv!x64smYg zSs>)12KpiRKGX3#4srB!1*U7ZUC;_K{C>qHnn_Q7?ZfdYm;!H6b~?_gOtUbJ#DzAj zW4uqt6TBU5z_p0QVA2y0C}sD8QlOY_O8ASYf9XskwC*0Im`*^%#(E)X4_I>-CE?gr zEgEpLD`?rRC|p%@FU%p)-aQqXb@Wq#VOb$A8soAJX+ zW8tKQrZA(dZCyC*MK#uYQ&)8J=%-ag!jF~)1>2jtL{Rz+iFx`0XH42(W=NWQ+td|L z1ug&LA2s#5jNYvIgle3HnR3pM2pV|b3p4(~G{U2)OFXkP4fA0s<4)Po+#EzS8X6sQ_Ibt?6|vzsw$bFjh%C2R{vxv6X8nuEa+~EZAj|#B zpZ)yjKl{+d^;PBg$EqBELMN(&Z(MYu-s!}0(us>r#DPSzz$T11*hm$=jnr*IKEXoi zbb^kzWgWSi(hf`7^)3%9Osm=HDM$otE9Ji&5(TD_ikMN7a`8AvVB|0&;_iVzn z_jDrpkREhmJn4k(R=UuMb?dX~BOS_dN&-M>5}Pp5K__t6eW4R{mo*GfU+F}jnCx^y zY@+H{ys!!LLMQx3I^kbt({K4sC*HD&DXg=3&^nM4EEMh7~hH{S@t7+GIO3P>io+>Ik3~Z3E>D zP^l4=_lj!AqJ1m0f_~99S87gJ{9zUzkIF#$COQ$I6QS8gv2uY;*pp3|qib(LCzg{= z*kkW>g4jpjRP^gv!O)>prnfYi!6u4-VH4&~C-Os$H*fl!lrWOB`2(F8kM2nen+Q)f zVNN=sH9sC|yq!+a^L^3@Dj0nteX}b~gUUV^o0zF!bT(nS)K)=i>zx{J?NVDpmDLNj z35?UA-syyeO)PKNgn6M8ZlC7xq!a0+6SS-D^me{i<9%fl=91c4R!SJTw6{`Q7o8Bf zXk5q%3~l1g)L7oI32Qvc=m0S2MDF7uAL#^Yyrtn_(&@wpHX+!`M7oe=oY#|1Y~Iz2 zPE04AP;?V)8-{pQFi<QOIj0!>kK z(g{@56WFG7I^j<`p={m=soZ3XPDCYykR9`4_JvL4V~hru#mHnF6Y2Ze;kBO~UW$_Y zD%jHLMCf#a!ZEWUjonpy<)X6*^C_L^10q>}N~lFCiQ;m-?-6+r7ev@I5|>3RPH>h4 zZ91K}4~Q^}c5v08l=hZRRLf-7rqsHL{Cf&Sb)(d;mm;{|j zPdYJoI#EfWv!GQR@S?SpRzz?nGb6DS@~Ow$=|msa-_S03Lnp>_ARRiv#ZcKZJl*OnuqUz0K>G z4C8s_Gdcm2RqPvy2Kl5D`n!F7736)e3G=X{{hUt3cXWad((;i_n5P}BHkb%SrxWiB z7k4`Gd7GDVh_UTXCuqM|Q2%U7>kmENxcLDURP9N`0lFS<{JDj!e6O4%HcPW-px1j8=l;pHP+Ij4rHjsbbo2Hl_<(l%rG{yWV z*OU*YDdtDHrhG6>F+avN92nNix0BApS#npj5;qf;#9e&nCR=Z+}%!?SReqj z2fdikN>KbnT9UT#Nx6KUg>MGMu&n*9+@wUvc^aq-!uKa1s8B{7E1i-NHlXl&5Y`dS z$y z^RfK_9h-pltlhn`GksQE5*APB5L@5!6cC4|t##alPLVNhm1Gdt2i<^r7sr zpFK{#_c+Zb2T-J%xyxRbM~hP*5jSuBIJ`)pcn$hxhrU=&eJbo4%DtqZv_wTAqi627 zye-&qm?lu5gMPHx_8upJFM6QF0b0KIIGr=%N`k?yTLQGj&H)lFPAj+U=Hvi^ZwL=u z93V70>?tWw^E`(>1Tz#`Hto}{zPr;JHCLt6!0oO%<#%6toP6g1m7Zv%a^id-EaSF? zW*clJ8tTd;SBT7h;3&H{Lry@XKm=)94!yU_mSep7ndmgq-wA6cr=1f}C1+HecBVnd zLtrk4qLtD^wi_@_bV9)~Yps{MI<6SGu;Md z+wE#-y6p-^W5Gv8;9QT$)js{9z~<=$IP^^+O0woavnNf6s{rfy-e}!Wh$nHEp_>JI?UU>nW-=+o(jGk=^PXHzT0vrq$@w6ZEB0K?oQhn>2!AMPBYUGecKpV(t*4w$!9Tv;p}BvxOINi6%1o> zoe3yEfqnwbO!!J4qrOHjQ!AzSGUbA(G`&ot)j_SRLgR$KmLiPF42o;#=>cs8bNYl* zl%ibQPexD~{bt&nju>V2J}@4ydGWDP)DMHXC;_eYR@6v%sjE*<4}Xr{%cSshANde& ze)KYNeWq=-SF?)}AbPkzM#jC+k!^R4Di&5?1f3a$Q$A}|CP|7zllZefLZ;c>C`v6* zf{GaRSu%Q#j1MTx)l+?UN1ms5Gi?jITReM1v`1`+;pQ2OjzwJT(aV&=FfYtkxM?m9 zGs~_~rO;j(Sr_2#lwjcsQ2SWtHk#hcl;8yYB6hjPJ_2JO+JS8p2i!9=7rm7!adjZB zN@t_URTX#*$q5xc=03XquHYz57tG!ZMN(nVTAyVnX@?J?X`pzfBPy3OGEdrRbCE3kNIq6vX-gw&Ik$s!3v_jBiQdE zRh1M5+p8v=wwbPM9abK7Z6YpMS$PZFbkP=*}GUyCNq0uBnp(nne1O4JsD@d8@t($mz zr4#K#rHouZYh*g@>J8oz!H=K%)u*S4cdl*wqKP;9@v*ygyz#3~CF()lNdev5*R;65 z6m>7V`t^ks{LKee@K68go8SNDn-BiKtDVZN<ANmQVC1DiwF(ob|Npn2$a^z9_w- z$hc$mRtpyvICjS^4zq&)4Jp`e*Wu@}9eJm#8#O+Khb`XiI*>LMoko~eJi{{xM%5xJ z9u@HXoTli;%dSJu7}X0cqLz_v@2%?G3I`2`5Q(6fUl4WJyOCcV*Nh{QlCH4FzRud% zO~_BbCC>UVLBZX2yN=RJ2Hma$QIvOf9sbp>W1LGphs5iU!i!ypjSHK%4~c6BV%LFr zcL#|GLb}tgqrBR6v=_S$6r`~0h}d(hARWZZ8vFk|Iz9|}rFrnQEA>nQZZV&?d; z>j;9q7w{wCmu`r1J$j442EUBb;^}Iaf1cK*X@ZY1e^+M84Z~ z2-#}WY~8M-EZwdnVAoMUx9fe6`vTv;oA zv)K1(X9+rB*D>ywT}SuMily6iw9BqzVXC9$9lMTQS(i_xATTLiMEz1xVDN_^`E3lR zT}M0ZI+*mpuA}18xTbE`5u?dn3hwZhdwacFn1u`1C}4o-&EWDF^eho~Q-lncUB`Ud zbx`13KG<~xa7{di#QTl1-i?^7o@zpP2D=V0sWwN`>d;K+Ja!!gyN-0(b)}z@o^~Bw)mAxi z|IDsqe%N&swqmAltJ`(d4|W}?+jW!~yAGw)k9HjmyN*J)*_Z7)>Z@G`{be5<{jlq3 zj6uYYb{*??+jZnopJ~%aP?CRZ*I_X(c-nPrOm!=GE#0oe0!HB~!t9H8bL&&$VV^`K zuH>Ra^gj(emJn}Xxe&W zM9Ukyj_C__9sWyp9U00n(>1CXDNLK34$+?HaN2d`Zr72pdF*x_Fov=%yZzLz19ly@ zhFyoX$us$W*>wmhO{ZN4R=Rr4J|!OFp4w6Fb{+PeT?duVJ|!;vAzCX2D7rCI^MAGL zSZS+gT6;(L{Kc+=nZt0}b<}RxA?|U}h45n6L80Q&kc8}Px9hO$X2cg1!W0kmek*nz z@nP3dZo|W_BZ3j23(X6rb(xopX-9<8`oZ*TzK?bt8+IM}wCix(YzfuB+I8%wU5B6w zb{(PHb%>)QYKu4B-Y9no&G^c_gI=E#k9SM5@{$beH@nP4|=FPa#D@kMN zm*#OweNfzAb{&j$JnT9Ke-4W44ZqrTq=gE?d%F&D;N7lcx$HX9Cw3hK+tA+|B2!9t z+$k*Eq$IDXlttf{>R4 z)ep7lINp#7M#j5Khk0+)@gNnxez55%Z*4k$@ylQT?5{sGFRkDF_W$?oPyWOI{mt+H z<40amJFl4G6=`v)+W_uLs|&nhLV=1Ahs9sKf^q?q{o5L9%bT*G%_iKU*H_^cGrYp? z)L3H7S%`FFkP-4OR8tXCQ!rKl6lMqv9YtkTB}g$A)5e7U)I3a`SLiS)bqa%UXLO^z z2+@4;3I%8Sbk{kB`Rq%oJpw;?#e$^YfQR&;1!afcd4+W+uSgcTk2kzxePaXIUU|hk z8$g|8VgGQ7Pv!Dr1E{w+(uynSqP#3#v6=+0a2O&VMTCF}RzZXG!Yi~%0MCqg>lGfn z0%;DeTHo-B<<$nT^NObpU^#67(LXHT!7E1G6U8g+q(@piuSj-a_`}8ehF9b_ykdF7 zE10!IN?5$2e8MXpHh|%s4Pg3C8^CmlPu+RNqYm-W29P>L6+nu`2;nK+%y%2WU4Q># z1K1m@UwFmL8C|V-MZI{%`o;#3Qn4_H4*i2yP=i}P@Cqy;cGQZwuyVQcisIlE=xFdP zJFl=e0ZFd>5NfH|0AhD}@(NszUwB1(V*^+oyg~@43>XRMm@wy+KG*;@cm;KT#v|J& zHh_`(coQDQ25=F*aLJ2T_&f^miE^ZiSJ>vcw0+?f;m#|9cm>^xG+eyp6|^EXpOF&S zw2ej`*>NwY4dCKV8^F+Q0Am-U`d|a-KG*;*)2T&8OC;0P1%-#XKd)%tVFTECMg2iGfaQf( zY+tki43`a{f8iB#eQyIufOPI?v2BOWJy(||Hq@>UD*K)xlh1jDmf_?TxRy|nm``4j zD9F9=3So%h)dp~XV*^NoFfhPhHh|(8lZeRO2C#@%pj2{>RZs3cuUM8X14*H9=u|utzW#NbzaeuRpxl% z6?j>A5y_Z*=N0+RD@x}TrSl4V*#K(23o6(?Pa&T+fN>VD$Y10Y_ObzdX-s;x0c`JW z0BHiiETf_Go{{|#zDGu<+W@-HYyj!66Fd-XbGwCCoa(hr%SN-$r#66}i`R-*Sn-PV z19-*qhF7GES8Uw|@TD=yj9=yz)2j{Og6mI1v(jqYys-gnX){8P4$)ENH`?kh0V@BI zR~)EAedHBOxZ41>C$Au*&Y$y&^45@?okVX!O-L;Uywg|8vQBxd^Gxt-RKVn5ig&=<*m=3K9BjKMt|<^ z^Ox!McuyeATLxiYjQ(Clr^JQhl4x12eP^x*GG zEIPd|V5X0xpAQ_ z(cv)dhl+D<^ZPJiDi9Vl3YC!0UMMD6(cp6W{D`9|71zZ0CGtkm=L40C^>hcIwI(BR zld5)!HG_U_RDPV?u2jE`I{t7 z4Ot|zmN?@*@Y)Jxv?5}J$@|_5mHfRIN^zZ5DDimr)W5BP6Fgz=C1`wah0^vkdTv_7 z=RAZvDabhvv3I#AJzrW{N=FzCx(Nl*e$;`Q)+EB*Ocl@!e#I^WgT8qYtfLv!}D5q8huVvJs+))k@_*7UBHD7|8+TN601@`T# z7>@QV(^e}|mb;%sL3H{+U9;XxFKy7p;Oq_0@0-3tX=9&R4rT6XrwqD^fj2iy(~RhU z)4mzws8hKZEoTinx-D_EkxI6x`&GPbL?I4=3q3vj9%vfr6h!A@8FO&M8g@JH3{@M8 zu^6@oH%D{1BAwP$X&`MvAA7<3Ksl;-bmT`StH*(9dtnX4A@hbx>8KAyKEfnx5iCE) zA@n}({(O3RgvUO>*N3YQ)PI~yYcCioG3yb`QJ{a>gsR6V#-X&TkH^UF_I|dSG9N9) zb5TfjU?i2y!!9eTv`em1eE^&M-t8#%mZNmipG7Pe5w42tEr`RYA$eG(@_l zA++X#8FM!}YoFQkDH92JT6(ZOlh}Ex=IntAmp$Jr;UGeYPSOJP;*~MgyFFifX@N4G za0C}zd&u2x?M^rZzoN3(ML6QU14Qf2pF%b1c zNUqm0keJsWAq0U@hoo~OZm!+zXflp{w7M`0A?8ZwlQ1AxA6R8fH!+#Y#d937$~c&X zz|w2h++H(7p50ZhW3#2zbiESjh!6I6SmBuH5{GG0fYZOAD;CRrDnIS3MKeoV4 z?F>q5LS`!lPhJbYW%@nmT180?#m$1i;$sv-KyueH=z`CuIUhP=(2dnk3sBs&pHOjOqE8DL)^Q~{_ z25l?5Kf9i4J)Qa1Qr1?S*riaE@)Y7dy^N8suBU>6lb-*55JEIZOI%SZs(?Etff)hM z0*Lw6%5+0m$vUmnO@T2sf!MBLIc6O|0gb$@dJ?U>Uv;YtbiMK8+x1xdqv=VRfjNk6 zIR%^$kYMOxj7(Kqu7J*_CxugiXsj}PAQ__H#BHEePUIIYMsa-VMO)a$hUxg5F$iS6 zB5wijTJ2{KDzIf(PW`4k*)UIMKA)a!#5(AyMEKEm)Oc=K1@}QC)?2G zVi@l8rl;pr^?Z^I^Uib}seyqz*nrQTe&}eA8HgEKMW*?v@S*R}8=eHUhiYINT9H%g zR_A1Y7>>WrK$PgW@?oSJ>L{X-#>3byb=!v^&}2KMZadQuPCJzU(NtxD{DH>U;SPL( zaO^~s;VNFx@kBu>N9TlE_4`V^k3E#T10VN~T2z5Has(ZxG`Zg`!@Kv65p)ze&7xE{ zQ5abqJ#R|*MrCwmt3pg0!xZ{Nd(_vfi^+8sUKE<(bOLB_1>plT$91+|xhfBI;l&%* zpVSx>2Deg_2b*|3_}I32KT>bjpa;Vv)1h25gn-QRv=wprQJJDln>M`wm(EVSZOfc1 zjt)TqcoRGkP@S}h2pOC@c*|Nr-<)3~@ILEhbg=dvH}R&e6OtkWZpsOT8Sz4wdPgVi zf=Uw9SCcSW%Q}G@<&DuaqC{PW39)`{guQj1!C*wh3_x#YDH(B9aie{-P8cU5DvLPx znD;}#-|fctgo=PY9aO%n$`04mH6#NwO!49_@t4_tFgraf9T25d?UZ@TV>B~0Y#pjO{11bnuXyCPYiU(!FBm-Ulw4+4U@-8ATaJs~mf!1G9y$ zD*Ip={$a{@AGkyh5xm`LMsw@7WhR(<1C^b|YvSTE1dsk@;6k6&B!myK*bkxbI?{)M3xzZU$%q&LvuRhxeowGKNnDhRiHmklTpW5fV(N`n!zF}k zZ}?0Hv~Qv4Bf9PMg$5qptE90L4f-mvU+k_1JbvISDIsMJ&jD z819x!AGr`!yZWZ|;WJ!K$UWgurm$acH~f4`x_k3IBhR| zBP)M0abeyKU)Rnzxc}kFx2+6UePbWSI-c$t=fuTAY4bjDVeEVsIF5SgEiiJy@U?;# zfcG4^cyR+yyFO*T;gn&!HJ??|b2#vI!m*qq7gdC#2BDo$DMda;E?%tp#5dM+_IeJ; z$A(5Xs<}ok^m7T_SVk_E`^W|L^WtVj1B!y@$VH#H(EdvL9oo)Ln=&_UnhvCA(R8x( zT`QK_m6_*w*@AE9$i<2ZB4ZcR(x+Jz$4g$dux*~PqdZ40_WKZaf!|#t7ngjjzxt;b zxrn%IU|$>i#6`I#E{ssZh}haw(@EK8KKrL?40K@zF0U4bu>0_?9!Z0auG1Lq1C#jY;1=abeC{$Mo!>;x-Bi?S)c@J=w>KPv2t*8=buTcH+YH9xD4}A0GBG zy&1W9QI8GtrxO>+gwq$ie#SoD`GUV~p+cr4_K6FHZ9_$9<}UuB9=n91)N2nv;k6+| zkxo&kcvp{g_!nP3eQ|I2b(+pV@;e4HDYm_iT+Eq~i_>ev-n6!zfJ_STmrtLum{*== z8&MxS;{ziYx#Oki4)G6aont@ydaHHmMGGrzWEtx!7+5Kj8||P~nA78qsm;V-BE~#4 zy`28w3}xFsf1y_wpedpU%`^_K!^S8hMXYrIj{_*d=ec>r>ReVR`U(jT!x9A5! z=i9VnVV3)UN{qG5ilKUN)*eX2kS+Xej>o~xvJs{$0=bGZk*nibaQqNifxwT0^@CFw zbpF7Ckl`?j@-YLl8#Z7gf3;a5btXm>l2F)0??byoXH`d2 z3r#+btsh?epdxnb`hGMCwwpe0)f*7Fm}l^};$YTSvPDZlpW3urB^&5Mq9_J)@A~hG_Er{*vOs=Ivq3i%F$L5H@MwkaWekPl&oa>G7L}@InzdHtOXe62C8kK;K?O^n zf*Mn$4@fj?@GW5vC-%4Nw9p5}9!Tf-%tihb=OaGCxjg3WMJSh7k462~i~W`R_>Aic zzIi%)uQB;^+}`veQ@lJyO1m_-w4*Xp*e=Zj_K*%fd9N`E-{g&gjsVj|{>)iq+Aj9T zBvgEk&pa*JY2x!|jVX8bw<6&YsWIsdT2t*{@wn<9i+g(3n976wjrVc;cCtTeOnGEt z_pC9^ivMDNtQ{2LK_Lxr*-O?A9JBG`)7V z=@Zi*w~d0n_C9Ohw3+5Dq|0T|jF;?|Qts3zX4VJu%Xj0DNTCTsUW`-Ut8Qh{L2tNo z9g%ACo%xkMY>(sG8xda`S9K=54(FR$dxevbMM+H&fc|9I-rkvC)#5qgAsu-NeA|bK z8Ac@x%x~<&_QG{rcMyBytEed5jYDXLd~~*TU&V?tL8IqNS+iG~tg!gKvrR#f5ZPt7 zV19M#zKRNgxMl3C3j#N-6ThVBFBY)OP0ZltY+&X_917+a2%+UE;!7*&)>XGU^RtfD zR6X=V*|X{qF>7DUSan{t1(w^MgmSV_T{$aFiiLaWm8LGkC442gxr&M@_FIK#578<^ z<4)2<=9ZKiL2!+d6IUpLhGC(@O_^-Di3M`6V z-qveQo%v-XxRBo}bSOVdPN;9SM~7SEQEld^%&Zf$0;V5GuSDPXiJ*kdbhym|FF|>u zGA{XI&u#}y7$JU#={;_(Ge2$axav{C{K`gG$U0u6hG%xYrcdT&*#7bj38!y}&efL6#r)i> zZ%D09ac}8OZbeu&)od_OJDH!3juIi(N~^mm72XQIAwCQ@y+g0RQFaPSr&Mnj$h4nA z?#(P{fb2p%ioM6>8>FlY{t~k9DUn`i8WbCO2wi862P>Io##9 z3|(&PzPV~efRLZ}!>PY@SMx2Ds_rg}=o37BL!QLXa7`DU!}jg;4H2WA=c~!>Qu7td zwR?G)wU51(P>ft4)adk}k3ieYdJvG5%v0C+RW2%CnPo&9+a{dY)@FsLE?9A#3 z(gG1uA=SlDzKEtc=t&BD$?7?jPv`p= zN#SA?Fdpr1mxa3!rj2QTlEQp1S=H_io&vgy(+YRIv%#ztOIzAT+5`PM=Y8T|FvZ&AAbjW*)ELxPlxnV0 zT@MPOE!-3)pg6)#`@Z8Wr{B4ETLfyv6=OePbSs( zVr|$#n{=M*IL)gW_Ev924?A#c=2v_`!m3#6wta9q1lliC){;8DL!YRYyspT2VKe3Y ze#1o1AaKw{O+|5)cHL1rCln1G1|4PW#rHiN1&uR3?@h$PwPJ5sKtuszLF4FHjW&T_ z=>ZY2w~9S_@=TiZUIoPp>9s7=pUuOk+xpgXTh99 z0&|w5-EYfE6xEsu-?gm2AZ&!^e)6(WT z(?GGXUHZPeKU>-?B_^|tbuCy~Tmrvh8fY5Dv&N()UG^PHPj{6qx1%=78zT0TTNqE% zsTS4f!qfDE;CUnydTq(m@3LFdi%X(7r^0W)l0;PviZOFIH#^e~pbemhs!%<4 zjzR6Rw(jN;@Fp~a6XM++S}wa{psOQu6ka*PyH(MAn_3#X&mjF)E0^?Q8K~SZ4VJNH zt@L8bHAz!v*F}CYN!htCa@Tq6(&DfAl#TeN3CUdZC)cbsP)>0|*8c1SMdmX#cv)na~Lh+#B1P zXekUCD6r81F4m+Nk!aelq?AaLrq3tC5L*s{_T9%_iRq=ZWb}#jX_%H{+JGKMI-YU4 zd@>ABZ1bNu0FOX$zY|XP(vlI@w%y46&M-!*q+DmBZX>dBjGbZZkH)s;8l%ziT|>Ir zQMknn%k<(Ga*fe6#zWpRjBqx#<-<}M_aku+8PKp}O>d|zDIhh!DL*4^QZTsERu;o3 zFpQ*iayzXlFbq2R!r9!0;3;gBF&c9d3=tL*@?P`^%U$8u!7#dy`*9S;Xu6NPcCvo` zd^We)JHv=>GfHE$+6ls-+*$>ZyxTWI=IoI!w8%RP%T}VvhIf{N3W5-dJ;=W6dF$T{ ziZTlE^&Hu+cB@?Hi*%%AbBNXM3Lc_YzGQ)CWtZeAD(bkQpNGKyCct8p-(lS&EwWJ{8>=^`!TVAW&{|jDOHlTpY%vb|YJ@^^ptMg@vkSQKw` zlGf$kA@|E){o=!@&GyYde)G@Y{N(q){fGbbhkyLtA0K3+VC$lnRGK{8E-HzANSSWU z&k8OIoE{zC`52(Eh<90TEEh!_ec6-NM(va-?Z{>dS`x?weu#piqNq79w>IoTUr~V{ zk%l<9Ve&epN5M8rfo@egS`UomRxK_*_soT17i60by~hxnx!(=5cM<1RtXFw^@`$!5 z#Vd`jG=pO#Z*SEEt|r6QC^0Ey)~$ttr4ILDigabcKB&^7iOq)qHodpXDT=*q!mB_W zW%EYqNWlBn%QVZ3ZFHO?Go(Swl3R{CDs*HvdS*SwKTCv6rEG;(ofcRb^k#e&DVt*~ zaanT@XTn~YV)Hl+v1UDnZ4OLk=7QT?7$R&;K!|p+7!<+Qn9MR@_3N2`)k6?O*#|K) zZjbT7JDLF1ymsT7!_VmOL?wN z79kAG=@89TI$xEx6P!7Yw`CfWQVQ4Jd)%r!4IEby-}UPK6C4b*!Ogw*uMTU840*PI z*`mibibg1f*=|6nP+Y|AFqg#Gh-!e)cG8|8c~IDkq5EeS#n!po;$VG&n6g6o;e}W! zGZy_mLC>3lFui{PKj`Lr^`~Eah;u1x*B7YT($M`Pr!1zJRIdKPKsymUwo)7 zD>{mtiI?~4kI@Q)MA}sDjw@Yld9bu$G#urveGxg>bFcn{Ui}dZzk2^ns(t!7i#)gu zwdG#^!BL(&)|!T8)?aINm@gvPF@lO^ypV+0=xN@ll^PQ+?ArcII&M^rwad5{Xw1_r z!yeI1W@cZ(ld5P&OK_o-1$@A-Az7EaCR(!yMjY z84vl3%e;`;XfE26%M7j3oA#8bTMUhirUyGHpNP(CYYIne{W+*QMIlWB8lx5xcgblG zvm3doP`uHCVJcJbRYzJt1OYtWttP~%#BFfocBA8pZBZmz1}^SVJ+AcoIRw9Ukkb~1sB`BX~7cLHIw zU0bayrComU0+>Pp2(;tejZ#|Kn~-QlrWm)C(&c1d2aJ>^M_9$&HI^@-v;jebc#^Sk z9@19BS@zKhp9&f&5A#Nmu{i~Z+w$TUul<%UK?7w$kpO+@@SHC))O`vAMpX+{y(nkF z+(jT}>>A6W9o3HZqjw_?b2=MDy5P*c;ZM*AbRdBBdD%zLY+Ac_<9bRg2P^|I^u)W- zyfpkNdE6WRB3HCJc;Z=S*{3}G;%BbmXyAGAi_hlh4S!>=ucz_!i`TovR`zsT8N5gZ z+!MDI(e!Xrq@$Syd69h?pfAnzx=-kS@%w^E>dl3g>!HI%$Kg&QEP|Qg5;Q=tYui!F zK=ur;bOG9#2E=Grrc{I|fO=g8S=&Rj4n}PRwH)o@t znC}>A3+atWQ@aU*E^9!Spbe84sO@Y_0B|0=)lt$Z8fVV1GS6;KwX{NPdTsU#ug!jm zvd=nqdF4v8pVjkLHo`0ZXc(>-YChd@rED3m__by1I2^s`2Wwk&Dl^3|4nnlY!6bn` zA4&XP@`(l?pSYaOer~7Lo|4Z-@hoFEk!DAa}n{oWUcQ^JRb6_3sXl^*vhXF%V*nb3Nn=8mA#DU;^k8?D%G zv=Se-jc29DJ}N!8(cloj`r$cY6h*!i2t~6#w{7e^tcdpAY_Ih67C+PV43NV6-bxSJ zH42SL;|lQBh$_Q6G`goJqZJv>DWlo<>0g>KP98>&pUnbMN!;^Z=?M(bh2vT2>2d}J zN9X14v{E0k%9DHa_~mQJXr$7kgu07VXj5s&bX8^4>Wg7kl{IrbaB+;u6*|>*?6pHC z(cnXYc~EMp{Y0aXVVOQ!62{^*KWYC&bN_?DFXqWp;^v6v6FOq|v%telQe`G7YNcG% zW8%*`7Fe+`lcXS;`cTp(kT#c-b9p!yZYfFmbIomiSKx6#`K#-%>Ij>&z=MB0%_R@j z7w1~x-@O+1!nv?wDZRjx9*pa6fBB)j za~C)0w(-5!Pu%O-?PrlZ67;Ry;Z1Z`S0)J2;)yP3p9IVYQ4COY3B!)rSkcx%wx~dL z#0-qyEKV-YAuXaqB482(F|c#j-)F`LX~kABcS$)o!*=1CMV;)~bTfD>R9qA7!Osw-dt|EOxtYUJ@ zPtO_gr(Nf;Uk?j~W2K5v`#u(BM+hioIfb<_np+gbu*@Onh(F#%F_e$J9mEv62r@;1 zr-p&D099}#ps1tfi4|RUB+*u0WaBvt+Kh{yHd9f1t3vmc?tW3gy*HTA4n5k_&;|R) z1-&Jc82{zL^ta5;xl|0gh|a^%1rY5@|xq0s}D+_B}{lKJ;82YCDb&eM;;0VeT;bpwYJm-r9<~^p431+jcHd9%e zm}FI&WCR_>fGQj|?sQ#IaZ&(L_+8s*6!N>1%c?^F%b;2pN>mOk0x!x3tEHm`UlzuS za09a9y>nP$8G6hEiP)q`OhG*RsEP$U+Ru1*DT+9Uq=UjUooU+Pvk#a$9(1%R@7}bx zm?Bnm7f>@}WtK#gn4B|i(bI5~nJR*0j`Ja!E|RGr0S6s+dLliu7FOq?ik~$Qn3;_E45Kwl{lp<+-^ zhj=k@R5k?ej(n1gu_w-Si5LvC7e=IEHkcRLG`F11WoYbq@lpCQuc;!Zpyjwkojx;& zPu}cr9O4(fbEb-Dk_{h8#*1oNL)j9Nq3wGN1|t_C>0a^~ujaDtQZdl6k5gAMC@-pM zt&TU>z0n=!M%T6e^FE{(3en_^;%Oak@ZG7$%z@@H=u-D~)`pi{q_?l`zA7bFaZn!saG)|f}; zdb!?Yl7Bw55ohhh6u3@{eX8hEF`%EeR>d3EW5Us)??JjyQBA`mb-u-$0#9KyrZq$Y z74xTIU2a+V%8Ms>(Rox147Y0({^=+asF;p`)c@qJhO*1OMPNxlW1g&NqMgrCB7s{y zjFVlMMe*PoB~q-SK`-=CB85z4G(j%w6p1#3QlN&oIiixZQV~75ML4;Izt?##Gsj)j zqKdU_qqEDV2cCViqi1>ze62^o5Rn}kx8|vI+ZH+@$5X-J2#BdYo#C}57>GpE zf1)iu?Pr;1wJ!n&h9M&TfK%39PbvNsljG0V<=OLzB9I5g!LZpt#%oEBiUBw-biL1BSbMnFA4$%RS&c6Llt~}@1#eZDjm`j;yCDxBMu?{P zi7WhLga{MIQo4RYo5YaUC4Y2n`C=nkrx#oTHWyM6y=aQB%9?$INLwa=;yb-i0?d6N z|Jg`Jvw(kS7@(;tj`7k+X3h~J>$my6hyfHfr%o^84S|2<>s?q#@P(Si(tR9HdXWv| z^GJWXhHP17EPA=(>ykt+_8%OzsK7`7SL@X``iUIft^b3%So1e}qU?;-|q?y(BOKw3iW zP!vpof|f934wZL+hGGn(7=t3mUB$pYZCVs9)^S)mVVLrRFgVwYc=tM^!=PQ?o<*8p zesFKxzWwGWfB5#>|L|A;{&&CsXx1Xw(T0rs(bkloCyUoy*x7LY*oT@2w@#Eft77XW zCO!;W?KLh9x)3>G;eHSW+9dS7~j^TOBqeog<{8O9Fp63 zcWi>^#~^mXP`5suo)spJvHMHx5kVQ9c5e2Wht~?NT>;5@VTnroqQuu~LjBVFZUb9?NlK0N!`P zB4&G=(^(YZVN(q5jHTZNrtvWnQ$GkI6!A4~UpK9yL4cleYu&1z?tJO4g$;95G+edp zO0uCU@CtTE7|V_z0wXbWsulVTH-im*3qpze#aJqgWuYjd)vdxKw^zpUaG{TP*)<4D zFzc4DnV8Fl{(wUTWY=^c@?#`M4|lvf%B=M+h%lWaF~x}P^)6~boVDA~i>|JMRjW8w z-m&luDBSH9Gx|QUE+AOD7ybHBUyGue<4yp>&mD=@d1qy9R*)N)E}yfvF1)Ni&ElnZm9T*@8YZH0c*0ics6RJT*6iHcV!-cA!#SQ`hmmUUlSwJ>PKL~{+sh_wX4 z%^N<@y=Ri5Cyoq)=q01uq^PhTOc{(`@p;;HAQcYAkrs5!(dg z2YFsLw!I*NA7oFsDFvg(yoW`p{La926uH7XO^cgNcdXZto4j7EV%~3&0 zOvfLg%-kD!!xUuiR~rwoGwkK?J=hA42`ReL*-L?r4(YCmu+a#F=JU*C%o2=1fwl^O zY*__^TNUgs+yE-CD{ShZ-cU{{lNSk4R!a7ojM=AEa0~M{uROJj(GK`{u?eG)k8)_& ze2$kE0$ob2L+>yRLT&4z$RkvILxYXj7`aT+5ACPqb4rZWw$VDF^0>b$Aut+bPwz?n zyN+S&y=sH@&eG>$dT~T}$nEpC`k4@@OdbRWp)czVD>N#IqYh%XO*_ERkw*6JJ(+r^ z+D>sq!1t~BWI`C}$VSY+6Psfyvv>D`oY5}Djp59~ZB zZs_>?3ewzXeZ3+`ESjNHlN;D?ZJ~Al$N%S4&SOpK3+=Cwcr^jgP=#6mpo z4Qu|KiLsc9D^Hz{GKoR9q^*w>8+1&E$i;r!kMZG6^d2>Yh`#h~nkY&;;aBDBGKqh! z27MBRXYaexeBs&TGu>uHUlQ>o-!+8tIx&c`&^8JpSZo3pSt$*g4<<$!)8!poBiup zjCng3Gm6a&vjW?5EXKlSMq&$WX1UnR{?=2*(Cf0Fa%}ch{5ib!l&PP3%B)%2SSM^U zj5T&R*?QO7CCS$I%?(2N%tR_;fXrbtiSpt+i_N%p*M259ioiT2+3drEazv8Nz1q^# zw3g4YnB(5GrX5ShVl2j7;?LO9cOA7{L@J^_mJQ zMF_oXB|72Mo8p)-1Ug3yObz=k#!|E!>)}xVEB3~2VK_f;>nQN54G=3$Qgqi2X!{95 zjx;AMd(1}$#t>_eK6l+9Ae83f;DrzNwwbccm~Cvdjh|!rO-V;r86_>nS!T zp=5fA4=0Q_8j2>0dId~d_JOHA9ecr;z^u$BST|B2R&E;=JwW5t&AAs%T#KS02SpPD zdlX_nt0qeps!=RD*3y;O+&*@pmOLv&vty1;zZFU6af$n(ShMcUYkAG#?MV0Syas9) zR89JQO~-eLvaM@j+EnLGOh|8r4VAC4eBor8v&P-rt0sb(`8@~85BHh<8p{_2n2sI2 zIL7jK`m#C&5uaVDnn1$QM%KO`6g5cDh_XfcK(Qq4MH5BlsrIpaQNlNxY^Gd|Spt8G zv||@#d#RcP3hH=;X@BbR?2a56yCz%FXFDZ2GY?PET41J~Vr&A=V;{@+0>l~nnZ3+?#J!rb z3e7I)KXiw+g^P$nAKq+vqpq}(^Sd2Z+hDZ~Bc)x39J1(UW@49VEQUE*h4q<+$-;dd zrRH0t!JwSl&j>H=H^!K@xG|{c(Edh>O%bfoQ>K;5$m^m^xZe;R5n4Lxk;_WE;XtKN zYf{E#qr9>*&l7qj1(P9Lhg8-^y}`_j*12atC4+s`@6=;CD*ZOG;qF+i>s1p4No^I{ z6J|vwN_vjfc`rh%if)pv71Sk`tw>Y z>T#++ck027+h(|`O$S<&kD>f>AIit!{n)0L?yUKu9?^>spdSYH$n?dLbnB#N4)>TR zLC}}NN$uRDD$n-$;WtD18`MMGPSm5BPr1i(sXvEaIN5=`A8jnR$=dr&z7f>TVcWv9 zaB}a?(r@lV`F4E_<>!1?V`EkKb5cg}O|ck2ckI1z(!yQ+S@dO?ZaNjhv~kb+%s2}X zsI;a>V_3VZvF+g|xa+HV$gu^==XN-|v#!F)b11({G*!K)nWmpwdflX}u@wfqjnZhh z7t}FR`b0x5i!Geg|v{ z6e}*pif&Rfru~iaecD%hHD;mdVh_`Y@ynxj0{N(CXBM@S1O4YCJ%{nr)@d@W@~T4xc-$8sjL5cY@V%vo2e^mS4}QLred z1~mQzb)`Ma)?*Wly-(wp$25L8HK3K9dw-S{rb;y@MncBTi3(R8OH-2Yj4)h?`L_3I ze3%DNLeVH}S$npIp&u;a1-)Se=f&CPn?AIV;yH|OCi{kV#Gx*F0c74pu#w$3EoUq; zY?ko`Y=p#psiADtP%Ny&98!J&+1eF4zRz_3Fqg?RPR#uU4(4H<8ArPgda}ngDE9ATkIvVFJzV?OFrg45P-zm^m&%(*8+R~GZ91nrE@Tr$&eH328 zB_EAlQcn9DGU6UiG+m(WK8r6h@`r!?uiH0f3ko3oAk}! zfBV1uWc;VU`@8>b`|I>qTiE{HD_i+re=_{;AO85wZ~x&B|I7RD7OOeG=bJx#`@jCg z{@ov6{xbd5AHV(m-|Mgb?kC^-i{@|t?jOJX{ZD@LyTAX(-~Ge4|M15*zqb76-~IlN z|JywLmA?4pU$Oq~|N8dtUw-r__mA@aeQm%0_K$!3=b!xcAN2OV{lgz=OZ&&){qdhg z#D4o9zWMei|85`eFUe2StA^Q(V4j#U8vB(7R6RM^+P1BV=31Gi|56x3NpoTJ6twHK zM-l4MHZu^px@VnB;RHuJ3{r83cEv3+i70G?ZI;d&-DZos!L;JO3moW}B&6b2RM|;^ zK^qpE#5LyF=cRBE&B6#vy!nl`g0V0+%G{d`y$ep+7?thV`J9RSD&AFxxGJVi4YawV zI1p&QDK=q?uF$q&r^oC7$$YfJD3q}gzO&4W=|fFO0?uP_p(CtGTVKdt$h-}9y3qv3 z5E)kWc)k?`6vrmyr&#UoBHJb)-Bp-nn7QYeY{E};bfT_{J$9N*5ZOk-5i_!D&}JPJ z*X~_#n;Q&w&4>ik6ok-toRN_ObK~fIY(mSkZ8(MQhsUBpAJkJ%V9J%&e1Ts9F7nol zwW^jIEohOODqe;3w$jehy?h=zYrfxy^_o)aBHLXFOMK2eCT+r-q7q$jfua(Isrm3I zL3Ew9hs@fG$o4)NhQ2nXUR1WV^7?dw-m|^zL}_@VtP*+`-2B=F7sTg~)lbN*nU8I7 z5qYvGY_5&9qu7rEOT3G0Q&Sod*`}s+4%+OiICNLp4$n5YyUtpDsBG6=AhP!;%x6u> z-vs{Wi6IA$1!%Vit!8dFoT!+8F|^#o$P)GXrH4^b;x4 z!%*fQAVQ4NoSAk<2Z2pIB0QG$(dMwwEt;zprJ|GN5o7=NU;p}wv0M4KYx^2wzc0pq zE$)9U?td-r|4(1skH|66cDE>Xi%3*z!s2DPfQnCyMq5lLCW~e)W7fxJO4j3;xTD58 zZ_8w76j21Q;_dblkN@@_kN48%ukrYM~NJV z3rb-6nE{O<3+{b5OA_~sw~@Z10JjQM9Q9k%yzc=+8nk6>-Yma$sIDbv!e z@4HwT{bieoT{_FFwFne>H(^g(b+W2Wr;Bns@2*;RL_m6a>o&?O1A8K_y=ypR6s<+o z1qQ%931swNXYXYD;grhB2_MX}(LlOw_2aLb`PZ8|5b8u#;KN70W;U`7eI` zE9LRNVxL`J{V6N;B@A>6V{)_wOk>`JQ|JA>YKl_ed`Okm+-9P@_H-Gs1 z-~L9a|2Kd4Pk;FB@BZP#CJjIIMSuQ$(Vu_$XWDOnugCVE`cnMW-~IL<{>!&7=i=>S zZrgwQ^6yFi^qar?_M6{-eB(c{_xF*)Uw!lZ^EdB*{onlh_3gi`$N3k3wXr7e7CwCQ z-Anc5clB>y-qpW<{`ud(e~CTWzxofq{r$JU`J*0;{-tl%zyJ34{r&w#>;&Uj+1{9_OkYZXfP|B0lBtH_VE-|3c%wv2AoR z*K(_cIb*S{VaHBuX?l)h99Oy^hETXSSgR7h;Hz*~7CVfn&v;W2$K--Wk6@-@yW$C) z=Z$*#$d#0+g574V`W$FBjsCI+)Vs^w9x&L^(7CXz>*Yp1pn!Asqbx|s`mG|=QW;YB zPP75GIChVSfw~?n%9uF=h#87_Ok>E4e5nq?Ay)WfZA3Q7j!y1RqE6x-4) zexAJ8?D*)2*Xv=Qtn(6vvoEFH*4B^=>S8w=y?B;h_j_LotVrSRE*Nwl>BWiaKGVC? z^DDakY7&7xpnaM|z%Uh!stc7l&so19?5o3=4wDE+&*Z-9{V~;xJz(tifG<lshf%)zZ$WVm0W*`sQF4LvdTD{K-dFTT58$kZFqo0?R4LQOBBN_kmE@kmF zF7GqH1#|Fq*XcSuMVyPhclC7iH%fPbxU2z3Pss{vK&6OySp%A7|FreQxy&Sc_{19U zr42s2%edTpW&@$5CiWg)T2Hz)paMa9cAj9Xdb&V(W`6IjCoNCQb>Z@}U;paoe|^7j z;U50axp4VmJnZGq|MtHh4@1&x|B|Fv_#R2GhoYBxC+W5SNs?XGe?bGH)ck=08!=>!IjnK9lq+KS0teol0JpqL=xzB)uMrUgpn|^m-_InLkU?>!Ijn z9+F=1he>+fOJ={iN@jYqKmA%U``#`wrGYeP0q1!g?E&wfYC5O|kGoj6)zJtzk?2G^ z*A5-&I%xABb8oGW=_W#8+_q^MwN*xR+sO=e6aQMe#j@8a5{?y$T~I&7?*Vg-e2arX zSijY&9!V#tx7APjEe>A!i;2+4aG!{-XnXe#(1x{n#rnbe?3APIpukaZxDocHtFjtA z-*-0+I#A-yo5*G6zNUM0!aJ<6va%(9qllv5>j?&L>+ExmTg2p}3K{J}<#j%=;9OG{ zcU!F>Y^D>Vk0grAiwRDH5*(cxajN^=`y851DRZ%2`Q2bMfJ?ZJ&%TAW?tvSZ={E26 zR{Q;6(rM$#9G8t$o6}c z$&R$A>>-%R38428qU+uU3xJfZUfHk6|0n%|H}^LAd2bVX32RAu#4paCLXC_NmFwQd zO_%PP0-KV_Q59Y(Va?YF(PixE3y-)k)Ko;QH?i83xwk=JXbhL`n)Am*1>p7GMs&|U zN?2Iqnn{tS)zjJYac_gptIKDn0}c4WiX!$BR=GDih}POl39IgV@#fy?U=@iUoelx1 zth?@7r3O4g;JdSDKJRV(=I}YfN?0I6WOwR#TBoRa1f9Ire&3Ri4&JG>KZyHL;i~6! zQCM)bxu%P*yPVxr?dlO`sUKtNE;Ac)nttk^INxQi-F&|^?Gk!gS3BwVGIpU9RI$(g-QNuf0*gxAGIN?((_HbxNs|J^%2M87vi{o7srn- ze|L}LdKW)5j=N9e`1CIu$H#w}INoq*ejCRb!T;0axczSz$B!Qz$I~;8Yn>Fw%l{kV z_@WIdw)8HJ&&xfI4{zdl`_4EXfA-V+*hc%>QT#)uHohthe^nU%sxbWDPhnU)hW>VC z;6{m%D41JX46TE9;ooRx+;m!87v-XG*KDH{dMIP+^JXm(3!`(aZ4haTbZH&R_!1R= z_A<=&zkdyjKWvz-(hgFYTcP`LT7$4q8aH}lpDiJn7$}a-wKt5=64J1Lp(SLzw}hmR zEg{OtUu+2}Uv3GRzM~~1^p=q2Yzd*8_iamvLSs{?9O9Z>o`PE!P(XNT2^mo*DZM46 z;d5FD)UIJ?E<>#Qx}(kovJDqs}vMZJ4VNcf>GA;;MgvbXPR32|pj zNF#@*kQCIN$6)-WC8YQ*(QDyo2?_6p*_7u5S>Fw_Eq$16yoTBQ>o8l{^)~m_KO^f; z@An469AD$=_r_I*P-;`Q#7MIV{M+8AT#KDof&c;uk?Y%vG4eXct?4Jc!(-Z_WLdYx z%((YhuVq^gQ33@*kz1_CNy{n4ox2~A@K}#FQ7#3gqES!ljhMXfR`bq;K~RgjdYe;O zm0_botI{~V=Yny)h&%un9cw=cuPmcA`pUf02@)}Q6(mi!oDqc< zN1=BkX?Ho1@cZNdLOBS&ZFasLLe*;rqXtnKcq2CQB%e0SWvxgzA$_6{I))APjge`I zjRuR^4?Q(Bzn|ezA=hO5sULuJ5@ILgv zRI0WGz6u_Vl$RN{Wu`N^M+*N zJvx?%r27H_^Pcc%lfMrSjEX=#I{N#FS=hV(f#9fRb|7ET5t*UI5FW)89@wISDs!K6}xoPysSu5c}RJn+*%$#dA%*-3#*VIYF0|XLaoBXi=Zf@im1^ep?YAfBua&2Yuj7G5lXA>L#siLwPvAF z9md^bbk1a+VWM#DL2*FmV;WYh#S|135E;r5WfO7u9H1H}0x@^}Pfhgm3|YQB;;WZbjs6tIRL#Nv}{h+^U&nsn`?a6t57qaM2m7qCmy* zjjp+IQluBE)B&BH!XES;BVvbgM-3}?j$DJ{nJp^a2<<&=jyJk2>y-Bu#d>%u_nbq* zy5x+TLPe$0o;NFQipA?^b|$VEvrBP9sg)_C;zk8cVM;MuWh6-a(qpEngRp~ma>5=! z5M~ru%Hru<;E6n9zBX@ZRK_Y<0C+#fGG~Ua1o4w#E8Rt*nQ0QaFG^N(;PzTyrM->G zS>dG#fZj1>W$sV!&ZQ9hNY?V0%T>^<<`FfCamxox>4KI(i2sQBP)OX{IdOHr=B$u- zopIwY7LOWotC2Uq3SXxq-lJxpxLWkc#_*oRj|5FixxVJk;>&v$@5>c6W9yz1+}|^C zb&~ip;8HJSM^?4=dCjQ^eT`h5EdIolDBkVo#1*Y^*ThxFl=}C~yp2@|TYI~G2a^h$ zNF4gSjVm&LOkL4WVbNHE#IIAB=UY&$b9BJ3LK=%0x5ZG!H0FJ74RJ$pfnpK7)_z~o zRD;&E9AmcJJ8D;19h;6j6j1eU4{eSmGo`jDdM27*^)5&Dc3i||LMe&n+SrzPn2t0I z*)lRu*i6fQD0a6&yP00eB?F#|nFfCgwrnBid436#e{&C$o9MvTIQjiD2|q+6wB1EQ zcbp}vcRwueaQ?W;)W zzpO}T_zR1KmcNupsC|lr+V2zz9WIg3_@{`3#{R04op2_Delw&hJ|4QHNIiNPY*Su4 z={LK7N8kIFjRIute^Z29#PtRd{kk=Hnl^%RP{Wsarhudra-+E0C_wKuVna7)aX{NVk}`@E3`oy500Sj z5khnRlR~K3{~{sOBoXlM2%-BA388f|?k^HT#kK$Z5L&!xe{KlHf-0E$-66DNQTM%A z)RZ3>LfdzSP#w)j2(6D0THl1w2Nr#q8n|D4{@degm(uq~QR>Tq8=2O$y%a!eUMH_L z);``si@5l1BiEkmK3JA;Uokiv(c{{WP}i_UvpL$9b}z=edrwzsPKEItE}Ci`w2bpy z3e%U0QU%fb-o-iYigVqIYw#6YM$o;(gRq6vpf5B z(yNwg0$IUMjw`3>NV$$^=5P4bUT`zD%BW|9NwDv2x+6Q&}Nxr5A|Cp!HZb#4kOua$mT!OrxiV zit>3}^#rC(Q8YRlmT;6Fhkn&rt8+qEmdVkvm(P#h(K#h*b=n;YmD&kY>NlNAK7-zz zdC?&BT9Dq+saUDdwcW*~u8z)IUTV9I8^h+$P^oW1cJnF_1+CU~)fu`5A^l3u5x({0 z`c(=8BX6a3uO2l0H(WurRYW2Nn}vME-s^Ueg7mSn99vD;$5 zX1gCl&9owTYl=ns%KFtsp^t5y3vGX?jmw$@2MXCXRs~hX%0p)%>R^PW_bbtJOFE&L zfX-SKib#5ChG`1zBnq8d6`a{IOK7t&WTyY4;4aqcpmi+Mu#JPVth-M={tBnlOSI^d zp!ij@=&NSYSIwfQX3^J-_%$Pb&4~XxG9rVTG{fW@Q~VQeYN4@mFE_noif<&0v?_rfA1?aoS|s zrrzr3EFPvl<{4dKR6bKY%*`bceo-)w8>*PF78D+Z4b_21q(dhHFQ%j;OE+-{H2W@is9+Z80RIOUSUuia=jVCC7wgmHMPbiv_J(0FLCM+yT>zTdTl%t!}e(VC0lU2a!;q{l?%w1&Ie)p6i< zW!E+OBaSVEr?ybAV=HFqPP^iYad|5)RJ*v4xNtq)u6)+F=RDPJw2PAH@YEK<+{_O;8?jd_Y`$9RjTlwIk=DN63EZ-;dr#RpHgiKnO#lOl?M zr`gp$R&%KeRW=W8!A`rb>znwjKM5ZrwKk7gsdtYD@<3tr+CQVUNdeH?V^o4RmK(Oa zst}$xwEB%oY3p>LDm0y{Le~0`-eE1F*FR~6Lm65N9Hwd6&FOWeI5jLx?JCM1gY)5- z!gOFT7f!FMeeR+{l>|CZl`(--F`GtH$WLbOqC$D?URSM)3hB}KjoYP&PAyY&nCy}VQK7M$?3P8@rA%bqv6irHN=(k{gXfiY%G)qZH|?CY zi*DWWPHWmLY3)w_;3v+h;NRNWZ>mYY(GBoq}S^X)SdDE8kTiczj9@OvsI~Fwup8p$2Vao(8U3^{&U9**DC-Gj-Zg~`;w3q_ zX}vuib}vyOuAZ!788g27k(Bj< z8<0dV?4CN1e_ZyNi5h)p1ZtY7^y9V^osdy3ZO^nLAx(nde-{!$M=0VOu_WB%risnn zb%YkLleA^$f>AgOwq~pNVsD3{wYK@8%;1Vp_InE}><@%_9)7yD+vzIene-46D(sb~ zkkC__aT?QMFjY(FwIwCnyZmD_BWZ5bx)($?LU zeZTGWx9hlv4M=a?!Zn=ueM4w0;*LE-uLpc0`Z#pFwW;Nn1wL-H^0)a|!zKi;rwjmC zy0JtkdMXz2)hbpU>6VV3)_<<^c5GLwodT0kvBr=YteK&Z;!hIIh!jdVtW$bPv=T(3JofjS!OKA9B zV>QGcZ+POY_U?P#Wrn?QanTXwCP0MxU>sVgYI?Z}m>2DMedXzUEfRUK1xx9!*xC{O zW^0JdS!L7ubna<<9c)S#12HQEd-uIwUVN{!LfDbt$PC9t^mjAsyee)9v7s9s#NxCh zTm`fwP!2)7t^&#_GrabjfaKzIyvPhM21AwR0ijz)XSlt&3TT(_b>=D{(Dts_7B1iG zdff!HX*b>YS{qbkIZUN`u~M(RV#`P0>(XV0Io^Y){ONlgPv2`Z>N72k0gM`E#s7ru zt@h6%f~jN%$wp?_wpz!VcBeSIzGdoqOQ>!~WQK!5fYyt8i$&bD=s6#EoneJu`?w0w z4(Ho9TZ4k0vpSa^&CivafZ9w|EEsA)XgHJ-CZ~^HbUJF9i|8}%V%hdi*`Po-WPy3* zK&4B!YUAi{_3K2_hTxj=vC;#ETS}1=hwPTL?qNVjwvB@hi!gt^X+!5SMx5`QWd|#3 z#qNJ?mi;&lu%pP>DKu>B>gPjoU!TS!DXU#sW4{te`+oUfr>-+RqVC|f#V8Nf)rk*` z1?9n(9nN^38GnV}iF+YGGrbmedngR*1ao(+1hnFQU51RprKw5OM@i9X3C;@vZ}Fqw z#D|pT#Qhc2UD#U0|GK{VUt94m1&O33HPfn7xpFBm>hwJ>1-RK)1Z%kq4J-9-U1%7w z>%rrHEvnd=WcR<$rmHY-n{u3w`sF&F%BQX?4cCUyaKnAqr7|vEXt+N7uN5syQbyrU zz|1;giVMoINm}6 ze;aM#3aA@k6Eofj4Qsg-iWL2YMSv?h*pDj#)0Kvm)%0njHl3cR-XjLs6)h8i7v*#$ zP-wiH2H%B-h5W|+#{b&f4X~$U>Z0um3%kbvTT~V-bKMD`;7hk%Tf5M3dkUKND*_Y4(A2yr4ZFOxw@Slrgofj7 zIQ_3J1qgc=8s?@-D|J*3cM(Wgd^}eD5?n2kPJsTS(}vb?Q;t(;SCH0uaJWLIVsS83 zxaIU}rS!p9y+Pr2L7#=hSi`ngfeGCI^newBrg#?^UUc%dblL+US(;-$5J*0pa&vOs zAE-=gUOw}-u9s%1$J^R+F%bM4fnoFN4-{iO6^5sM+0jB>pBDqZVlRKU2TGT~FwLS^ zTN^Y>6%+Bce)t2ml+!w+m8+z?+$V~D_Se7o`LFM__D|Y4zg`V|y&Cv>HSoL|_}at! zwTJg>5AVPF9$p-NJlNqDPlum4LaTkrV&8EV6xqNdZn_+Pl+GKs23jfcmL1KYw(F#b z(YE2J+NLXBo&(}<0jugoU}+J#!%Ry70qcb@**c`MY6)f&#o)6(lc2hbD1YpW9?M*J z;eBz5p=u40q!)smwDz?4T%Epu%CO={=TyS;rEs#I9PQI6md{6DToBV_opGukr z=G-qJ#Q_$$_fk=BTC&k86icXW8zJ9bHH1ZdYmrK=3DSgEblA@scruT7egp#?!FHx765J-?7|jKz*^ z>N=|@`JTn3={AbKMWkSR89rw-D$r_cPkQE#`x~+F_QB()3T1Xbl8bzY3H_}NZF(9V zbQs=}?{JatUWae|y8ux;T7P_xYN*bumg*4eSsn0N-4v1)2R@v5H;0jUKBM6R9aI?m_f&Rnf2~&C@h*f z$8wVIfTH3{9X`wjcpGAXeCvqd_LhY`cOm|g%W#?ehT>`gcK5nsCM@bMzuZmS}@$?B}uMup6Ot9Wtl% zvgSFgC2eAO_BcXx;Q5ESPufI=aa(?x`-~^+p0}WVBOYKW8z!+rF{JM9qkR8Dy7dgj z+{LZRWHIc*xU-9#hIVr9$h+y4ctykR?xUrwT*)Is5h2~;+}+)$lWwBOxGQ#4rL;CE zSX}NtMoFr!kZxO#PP*q8cb`tWxfyfo*YZ&^$5@669KB@v$t@BMEnrZ`_+lU1Z+mv4l@paJ)C?nKae0cV+ zb$Br=J^6bbzF?ZFpBLvgVwQfl+=m6_2yDDY<<0KyvpEDw-;nN$b4SdSvJ;rXNL!rS zh!H7z$2W!e_;B}msl&f@_bFn0^RV5@$+->n36axfr>Sqcg)h7N*wfwTbP*{pb$G=y z^BslwPP(~$Tc(4X^Vtny*zcSh(WQB_0+Zoz#xLiF?xY)i!YJJGAl>?~%OMtge029o zehYWbEl%HhC7x!@{UqJxauGQa7iaFp-6vvp=_;I?R;K;XFBuPrvvJxB^bO%n=X6jU z_s0rA0GB{$zkM;Q-K-S_7M|QhF+<+$Mp0^87oCz3f9I;N)o8YcIr+3@MXGU9{KW0I zY(??Ub~&o9*5!5v3nN)7yKR-prS2diY+NzTRni zG=CAAKAJy^rn}f~**0Iz_tEtBLuh(2e-2Hrk8XMMIZf9#hz{!m|AT1yVSWrvPw#2E zIK`h&)2sH)lcu*Re;-Y+`}`?Q*Sq;{n!fxXnvMwWgQk}sP16_iC7SLpn!bvrw>wSW zq3P*K)8j?coB5Mzy8ncxd(&z9@~6^t(MV{z8sy*Gf~N=m{WN_we*#V4J54X|XnLYDZBWrnp@mM9}e zj9)Z8cbY!F()6}(rYV4y3FiXAkoKVI6|W7^^yv*vx1FZf4>Wx-Ka8gP@22UK`HRqW zWz9dErf+}y>tFoz*LRx!nZ3qWn*Nohf2HX!o%Ub7Rla(weDzlOAKP06mJi0a^elFk zKZvj#M=25aEp1;tPMr}!k9{7v7m>yTS>6k41`w~CJFtrkqTQfb4k10h7G z=Q!?TfLIPe$gGJ9DDkHq<@3DLXX|uOjgt2sgXe9I^Gpw9E_TWy3PCKtR3RwF4Md&& zEY{4>A)H50Bnzzis|((=J`%q^M&j$8;xAfUZK+D@Ar~H^_yRUwa6?=NDyd_j1<85Y zC>bmCmD?@Nc`3Wt>7C=pvk#uLp~I*n$WVl1j0G(IY=b}aK6f!%R3(-t$DeooK72an ztf1OC{({tg5qvJ4Jo?}-j&Dzn@0lTg_Q8we2OlaNe-m4LGZAktj&H^BD;>zk;uL{) z^!zan(5kUWeC>5@t1h#_{uW94_@3VwL8ZzZREOC9KU3QIK&m! zdCQ6eLih@63)ee7w2R||*^|93%2xicdVQ;;<8}qYoj&*h`sJ0T7PsE?EE){rr1%Qy zrK=*fi{rP{C*rkPp*4X%_&sJXZltg2S+8TIj3QGCXgl2%eI?#}VG!IIU1 z>t#UfVDc<_;SaceZwpD>-j=ASqg@)s_3J`ra0q1#C)ZzYqBa>VJ4IVX#>!pe)dvsP zFM&4rb-K8|t3kwOoQ$*QXuMW^R|Z|CS>ZeCFrJg~^ugN)*JrZMDI8T7?8)`D3HDX# zZkfFE3(hZXU-kY%7S~^s{xm(ge%SP!*|J<1?uMY(#`Ng(!WsZJp|;vOcMP zNhu8TQYjHn-BjS1n$~7p6bjNVs_*H77i$YV?oRbX>}~Kfv+>LiGaBEie(P=UG)V7R zOU`~A*7l(K+GeJ=!Pl@V&m=L>C)G!C<~|x9A5XSL+A-ofeD2czf!B>Hf za?`>lJ)t6AIxYR;Y+)|Sm1?_HQ5J8xts@iBo$4!u3}$=N2A>tb7UkMyR%Grv4BNU) z8LA(97d-b#$kp`8c&l}Cdh#P-ODL=LF|0{T?CVMOy~5q-JJl~I)sKBLULQw^aPzBJ zuP{{ZlkwxiVA&h0zdWdZeYU~BrTR{MOC0e|^^ak?8Ajv%C!_IqsvkPlpH8Z;Jls~% zx+m2yeKKCj_e)g2CGkXtJiyN%&R=e4)5M#bot+yf(7aDP&9@tD#~#e=o`*aozQ2;k*6b z4j<6B6o5VHd#Q?FqpP=3^j(kR@Cy1at{M7nzQo_16MY{$eGl*GyL;02?DpObZys~< z>s$W5Yc=1|cg5zEp7dS&_Uwk&n=%)FSG>|`SYDLpe)ZA~zrWXo|H|LL^7pU&{l9ZN z{Fh7t{-xUCf3zupf7cHGwaosn!xSL=YcmBXe}OW4_zQHyC-WzD!;fb-eEGc0{%3c? zKg;Yts2e_ip&S1H-DUPKbi@C+GW&;a`0pyS{|Vjj|2oR-_ip&_FSGxkZun2j?DbFX zhW}ET{m~8oL(1&-UsajCy(zQ*z;5{VW6JD5tQ-DKnf=Fi!+*KV{wH|g4JACog*?1nF2?uIY#yWuxOH+;!I zpc}q@M>qTrEwg`5H~hb*GW%cu{FlG@`Mu1(x<5-G{)f@6mp}j8|9*5!nPhq1{pci- z@B{KbfvZ9>ia;r4(n=`gde=rlpsBJ&Y@WEF&r_{t)9#vj`v#ggmaijci;hj5CNgsW zLjNAVP|oiVl1=)WzAy1*lONl!3ZN9AwYHxU(n^RH7LTrR4?Zf+frWFvizY;B4*Ts; zoQwKUhYt?Tavgne_v#G4%1GrdZg1K;ibZVa-4N*)RY0XD`zj{?EB4`k&wm<>k-z zM_r-(k8pVJ;MIN#UfmPCE+=@60yP8jXM3;BqwR{AxZ?UVn_lVjb2wwLK zyn>)q@=K z7r|?J^oYL2uBLSRgrRiss`T{*@anMC_N0poyuQV*=Es89w=JUP$AZ_l*wy@)9#O#S zlIIufYVJLv?hanVI{4``@LJx0*SFZ!{0Q(`z-vOQPwW~^?-9M4M70ZqP4h-q1%Kc+ zqg2Hr^pRh>M7=1P;?g$rZFSqqXeOFx1naDhn&4||`@1gDFTz*Q>v*A8^AW!8KM=mY zqF3|d;p;1UHFx-Ge*k=4U*PM{e*Npey~Bs8{8{kzN2Q|c$&7k3i%&vU;idkz-({+I zA*;MKh{?M=Y{Cy3DHMEbbCVd1&FSY zJW`;2K=cXy)tXO;uFa({+5GsIP3I~rOf4H!Mx{nSE<$1-TPhxA z14WtfW(DN#nGs<@rcTZGx(zG>YsW$1#|q{t)n)J2p^ZoAnQ;g<%$ou<&Qn5^MQ`2S z?FMSz=Uo^y-KQslB9;p;nbG#lNCyjU1BTRCAIgFgEfP8G`*`FlGmgn4=Q}B<9IfF0 zup`k}ymsKK%*-BkMhBPDJDm2d^|H? z!ZJWm4_l-4T?W(-XiGdYpgS{Q@Ux*t`pkgmakX#!2tw?qHN2eGmP*v>KKuyi=q1h} zVYQCP4hp|=@pc~9<}W{j(lcPXXNRBu{HbQ}@BXa(_m#;0iw7Kk;JJ3(8~XA|%xrKF zvqSk!6eus<4s6k$OCeZzS?}kINvDXG%x3Py3~EuQi+u#8zC8C)d(xIureJ8v9hIi^OYu6HYM?;TYf{z7M*GH;&fB0-h*PoI9kzkD*)xQ zSXo@0lJ2eA)J1KRZ{>yx{-P8YH?jONTTw@S*)F8fcKAGHMW)+AZ&`Gmm08qzpB05| z$io=)>-8iyfqL6TZOuC6Lop;Q2&LjP6z(O3WulB2qTbYWU`wadJ1dqerktX-1p_3W zHY^KPwjx{`b4Juw%i?^P_4;}~!>C+PUPNsl-F(>&FWr3RP42O@^F=Z0Q`A;G^ysOl zj%$j&qOiP6J(sAh!RE$~SMIUFn2iw!07lSMV7oO#ly4*Al0 zPa;46#V>yOn60c!AgSZs7?l!NvOHbr`u8K z{JJiiS!o0>%#)yMTn;=9s16B<*V*SWvoYx6ECS(!Z8kgPQHH|wJ*j?`X!kimJSncH3aDnC87%^$LruE_iRoLuKuj{oh zn3_@$gkEdo|Lx!Y4dCK+;eYb~`QLx{pa0ji>s+x=p}xFx65Y%TZX$fm`++$$I-_*R12?*~pT&b~7h+;^+$QSX%nWzz`hlF3 zLX-}jBI8~~5HQ0~L}`j{VV;v47T+-cQ-obMF}}1b<^@^;m7aGUa-T8^^ON9xp98y% z_#}!ZY?Ub*af_JroGf;)qRB5VDWbD)Em4(QLgNnEkz|@6e-R}Vdsu8ReGsf-&XL)X zi$MQ2DD_VC(G6IpD%u5HQp!M})@j<5;e2;ejef>vBQ3@{nybX+b8*|2*8`_r+Rv7I z``MwLfIk8*DI?8#A#(bSh&)DR>%7s7%nN;>g6Tu zV>vL1E%ekQqOUx(w3!CyUC@4D{wv_ZeIR!mg7-?E`i~V2+6FPOv?}LM+!I>?f}>QO zBHa7n(7-^>;zsE9>*D;!0M}bXLAqFgaQh_|v&S3nCsPeMEWAgE&cdGhDO?M+!wTMBibiab}dJMweQgQ`z|K8Rhc%uLmj!u7D=1S}CM@Yav%U8$3kBFgQDM-Q z!GJ-TFX)iacqb6G_wKV4yb%i6wi0ZcUA?-`Y7QcFd#zZarZ~|F2lK_8v<*5aRtMab zIFH>hh4wQOOSM6PluVB)YPcrAD(z<(lU2XnhDC=!G!4VJaJ8R>71teoewtZLBBT^d zQSa?%%mUJWR`8J2UmS@Eu=1DyD_$``VO(2(Z;BthIeyVq)F;!{ZX^~oVQ1$4yvm34T zZZwQhMrJ_mxCfnz9I!!23txJ7V=VHIN* zof!In-+dsACB<$fIHAr+PK|S!$6QuZDDz+}GwOLwVRh?*F$xo5D2XVNE?zq}>BM`$ z7?VWYuM6eR6!ete^$84xg*HUL;5wu?qzBH>+7!A+DuyW9OViB{Bc8x(y?B>G@vB9u zQO3GYg4t^l%=bYshHq0;Mp~6Kx<~391TDKoDqb#EL9bHKE2aw03f(GD&f1NQsiVdq z(c*Uj)`Nmxo9&^`kb2$VEa)xpM!O6Qi8P zTH4$(Inl;lXL30WQjK0b*sU-IRuWuh4$QPn0_9;#dap{o%zXD^-Zcd_Z`)Y44WrWH z=CoO&X+cY~iZ|v&1x%|U_@w8XvncALmPe~{_d*dCS6XQ&YmD0Pig4)|P1XOiw7`l2 zFuqztzKNVb8@(Wp75~s77T6XL$aYLsuqk+~&=tHB;k0U3nq45jTj(-`yeV@%+tBhd z(iD$jldvSWTo_f&6z+6bchShulNTSV_o3Am#aKtb3az-NJff9YCT+pqh9+1-T~s7X z$gT8BUZRr6r9c|{^pRn^`O67qU@H1S8bfzN3CrsUn6hGcTgl4_Yblv8X zPBGpNWi#pvsL?Ql|Nm$0@0P8{tt(CV99N+W==efT{a8A21WbY?3Iv)U&{$?w^g%{B z%T}~Xc1Utp`|iK-faF?h@BL;>k9hBvP1dwJpFn%110f*$VrHW|jC$;&6j?x`*1 z(ANYnMlqG|e)AjJeLgaZ?O*<%AO6P=B72|NMD;5ZG0KlkFG)$RZLw^dlJhjd)Y_Ie z>Osm9?a_-a+FAk<%JX#+zt`c6rW?ZPrE~gr2XfH^hyp5%;b;qf(%zB9w z%583&7|F)j4SU>878DocY?dLxLAg&sYiN5w6oQFH1~~=vmA8bsEJMCS5>IRlz~}{t zm=xtQ12dtcV$u#DqEa9|56KI;b~E>prmac8Dm60gj2OjZV*aJvN)%Omz*H_8az%2W zH0$cL?{lw0tO9gmevH2;@G396ahPp)X&f@MHG__4H)#~0HLDvVHS$S`uz|oZB2#J> zZJfFeg@Uny%)Z7V=&Ms~70xGW;ySGY3EJRo+RcJ@lwkB=*EuK+iBm-e8rnrarr4)n zK%X>CEH9JBcF9nPeF%pEq(wi%bNa=e(=W`6GR8RxbNYExnEqtasAL-R%DAOap~&5; zB*pX#(iYQxnKZ7WUc=T7oY>+u{j%Z(ffa;u_-4m?%1}_oFdtJ`t zMX3TP z8~bbhXN_$#BO@I+F$Mu-@|OruSw+mYhDAE%F~X+8O^RY5zO4nw)_TW~^Ctlj@Xp-F zpzZaPpkV$*xI%AK???>9GT}Wj|B^m9G1A3V&hZy>6OhXM%Q9}$LD2SHfUwg80%=R`4=5TL?xLcZ)n6_1Vr>GbO{Ow$T|N)gOIKG_6ZRx=jDAK zlleeERtSiWVwe{6I_~+GQk@TV(7SS>^Q$l8FGh?*+c9eu$AL~ZQ4OKr&+{)xP_&l> zg(&mK@fUN>zle>9aWvtplArT0?P459<(BrEe}Ql)n_dzW%JqHx#guj9uFf`LI|U>0 z#TAa@g?<`Nj9l|K0Z{~x{6nW9=S6AT&RlF%Y-mNFthqY~Pr{+yEjppIgBFoui~^<> zPDfH}esvIvtB7wb-2_>Sg>=W7O;Bp@9fVdmP%m6x4`oWzK}V6GZ`Kylx&WO!-w2F= zR#X)9zK1pm-mQm;Ld*xQX46tco+p$o7EzfUz9IN9?(ixnD)17XIQJ~0J9;@&Yog7FJ^kL@fzX}crX-bZrrvQJbIP^u0S&2Jcw%M9sd5o< zHV|HXLoaai0()6t$e~y=u_HwQ%-rtY!N%YVRVsaxNdCHXy0IO%^Vyq+fie9O z9#IKjNjl+eC=@C@`6fG2*2z|*xT`ZMrmuWiX&)?ti#nlVrm@O{PRjKwwD(!4qQ{M)z@O5c zpnWjB+6TM)qH>XvAw3dzp~`%2A6$28MQ(h|pWPR~)joK04s*2+9^BL_lcGhW0RPgQ z=+28luSwu_E#e$Hz-NC%5fp-N!8Z(qLtl#K#J5`q>lf$6d`eF2I4@qEgGR^u)|^G=qb1(zmKVjev-bfi zaaJd0ZQu~;{-Zddt$G$GIL!)7$VoA}P49!~?Bh@*&}%)ZgoR3cqx-MW`!G|sqWcfa zMKK9;Q3-!iiC2@G;7Yitgg=|y>RazarT4*p>3y&w^YPjJ_w0SJT#fCH?!Tx|5SzF} zaKHTR-+cFlORPWq@c;bm-+%c1@Bih0{OnJE{^Rfd^@kUo&|@k3=0hKUUc_T66TXmd z{M;SNaLNmN%{9$gpYCWAoneReZ%**|L0@O$tfxr(WmaDmTd*zHXRT9Ucj*v`A1ViI ztAG_(ZOW*qm5CEJZG%weCg|fAm`&2JQJ_@75((js8hlY)31e!W&TgGep^vt6WeFw% z)6g=3mdl=v zU_Y03vyh_DIrsB=Wj6b^jC)>3?L)ZDuJ;1xDGEc(K`ZTr#d2WMuE??&oeiPJMc+m% zkdVz0P;OE17EwiJkC|aHVXsgG>yc6~ws6O+7Gc4)dtoZ0wS~Anu^^ptrzi zf9bUqM*9l|hg}ixA~zp2_Iik^E9Ay~CO3Q6*sB-0fw+WIWAApL#{|A>>{VZ1kmyYOK9E{k_THvYJjeB26>_N6( zX9bc#_h<_)S(Eo+DD|P-dbPiBoQ|25P%CE;zMDVq$hj511Q54oYIBUC3gK#;r zP)9-{DlF@Gh{%L>Xo0{iI$Ru@lU`^}*>2TxBhp!kQCkw<(CeJXNr1?ODAKViFH>fj zMw^~xmM6#Y$R5S@n(-I=^l+SBWf6N*LKg3!#5h~JBJ$(G&A7<_9#=R{neQ2YG2a=b z1&_?0$eMef@z;mzZu0^{hN9A&%pP|0VYWitidTxGU1kqy(sIxEPl~af<7j6IHz|qu zD8?p|Gf-ZJ<4oK`GXd=0XZ*X&o?cJCMAG4(sZZcGe~$RuR7Qgp#CJYC$Mqb%|i#}+#URvEW^b)kr&*aOhJBf} z@ls}nMKN*WUK*0K($l#(eWb7`YS@7>DIsr<%-&s9QMN*6kJ>Ze(NRkfbE4o-g-f*I zC)$E)?J|4H@5=5X52p5ObDz^a#mHlI0=OckeM~CGBNwI5SoY<1nb*pBK4M$# zY+6-N)8^3^BA>1kp~xLaU}`$fF)4)*3h>G;_5kyVp_8bTOw*;V7fhK`rPn)%+6kd) z0}2Gga>`_1-FRFDR~*s1%e?Zn*muft&sv_#eY-$oyc-V6xU>iJMqgu6YOSBNEj;s(RVFO_T@4^v_aXd_v2Aq^V7w2+PlfT7vDUTyJu&B%1H@y z)kAIKum|^I7GfUGe!SRS z>5E{-L8sxUETmAimPAH8iH;H76*L|m#HR>xktnVmYO&>(#%&a?DM03jKIfp%pwjfKf;a1>wbW6ppoaGn&S|7cXf+&k?CK zB?CfJ0#I_Huo4+&950@uzkcx?l$+f<&*{B*dgaQ!doYSw4}XnFDLRQqiRVln`RG$6 z5b9ZRm`9e_t%o=Z#K-AP9of#@9I*Ye!cEHB+g91xySx{6pD_8F5Yg1}F9NBlrDwx; zy-1JXq2GFe+M5m6_Fh5hw1*0c;?8gnP1CPXAHmWp>gd+v2KMXBlY#cM$M$rZpLD9X zCV)b>-gD=2+v`Wkw&&u+c4Z()5lWid8(vYrL%x6_n0&PpUE zi}u9hZ~pd|fAgg&tNf22e)zNg>d)W*`Cm@L^Rw@uJVJ(g605Kl6zufKZWvN{%sb5~ zVvs`hMPacz@FcQA0)=++=zu(yqOfN7X1H)n{nqKQzD%=-om*xr`mzt38^*yRsdNCm zMR_MB1ZHrx4P$auEOTW>Fd6Mnt52ZhWvz~we!sWEzH<~v>3wS2gW5GgVRYe&w`r47 z)#1yj$cO^eX)-##wqK)d%*GuG35spX_qB}uDppXo2$yqdGEdaf{U+vTSHbp88&v3+ z!A8R{z)bfQzeymgi8x;FDJ$>RXbqG|R~I9NP(eh6KTO{W;DXIoSf8`do*>QQSQ6jAkh1V@W|=!E$_ zPK-#bM$e)r7`AkTr7Z|})+mCrie;ui&X|((T4t}`EVR0zo8fHsrHc+{D{VS; z(cRVyyLv^-z^$)C-|g52LqRHz$gz2_eE7oI+88%&$}yY;sV1>DlSdTKDvnfD&y+KIScow9PV}qlVASk;JD=k7n&lUu3 zqll=`*C;^JHOiF6%uYS(l4C>W+=Yh{{+JybdS%4OA+s&~BN!`qmDkjOG6dmcK^_F6XqvI?ITG770XtyXpm9prpFxaoC#clhkhn`&!>57;H1Vh0@QFw;y zCoac>81zhWu);!$)naR337cy9>#d0{igjtCM>KxAMB7Ey3N0jEkj*2Co{KQ+;+2L9dTjG3t`^W^OYeGY(V3I1 z3Dx!c=vcM|f#Nr^MnUS}WzD?Q_E8euYF|&$XHzas^wKU(bbpbxq+l-$td}EPh4S-R zkV>Q8?4`%HD2q;semnCGO>~`FVFPY`vz^*#&xW{yB)X902T63j_z<;!%q}qbK@%NS zO6I{z`b^eVu8-~xxZ2>|NTT~)M>EV~ou35RES17jkWG)PG`D4pGgEdKOvJR-&7-7o?t&=xG;To*#5vj)&JTG#N?naV#E9^i{AZ z3P7w#x}k}l^%Im2yC!-Oyy=n_Q|D>|=a(LvHj-HhGf_FpEnO2`OC-X!EZk5Qfy?;V zxt)cqiGg|a{O!%vtYTuNIWZZvMz( z?Kv%#z$TkEDlBFpzqBoa9md_Kr?y48wJm)10Mo`- zA?#VupL*A}FwX+jLL(aeaKdu!smykdQFT>xowZ98z22heO8rA|bonUGgt#HScdDX` z(l%j|gev-as-nBDie7txs?}j{3b&`WMH~AVmAS<&>RF&#`xuqBXvvIG2{Un>=0w{B zuoV*>0OnCX_@CuR5y{}J2Su?})B>-O4OMi#>#^!v#{E)7SC$xVQS`GTPPEO5KIJNg zXcWl$92LB6?yBgazu8d>(pAxmWsIu2-pJspmcT_y2%NZ6m`%9Y==6T(Z2`G;KG-Ne zpm#hiXGdI|(Y}4FPLVBAr)}oj)u}igNm!fe6diH*1TRIv{?Blm8 zR2C0{7jrwsE%@ona*3krr$gMd*^O}EySRl`xef~d1qspOjc6UG?D$Hn*u{b-WW zER3bsX}K8_-ZrIC1r%z`oGGnd;c9Q(=-z6MW_Cy20$~}Bz7F^%kh_&bk#`^KyfJ2w z4Sc9jK-ha3tfJ1=dV$O1T%s4PP2Ssjd}n&LodvG6+Ag9_2ng1k>TJTo!ZIU6VBaio z-SQSm%x|pfJ7On!9_~s|7_tqZ;k#e|>gQi7To63cDqql}jrzJ2+Lhn%vv_=Rh6vYZOld9cO&k+_7F5CM= zkXYxjhy(zdv_By;U@LTVqX5G;d0P0!>f^3mJEwhH#7eac3sooDJ(SLcGqed5u!T2k zXE6##Sp0~^6!fpl&TzrL9F}MlE_0_U(#2h+w%}3+K`GN~dILE`k#!J%I0kNk{DBNcPB3O zr|$05ySX-4;W^afpM|G-4fR~+PAw>BEaL9P^vV+~h8Y_Loa#n&pSSc#G?{tPS;|i0 zq7J5)L<})^il?;nO4qfxjL~M(vmZ)kBJCs2PI^SFGJ10zwPC?h?O^V|X1hUZY|Dvy ztLWCvkv0%jMEqa zuzI;WIZ(hcQLWs$a%b#r9p>VfOp?|^(xzl7?JH@3HqK3@eVqLUL z1J_)cuC6fBW&&8H%EPXJN3poyP3Dq9;IS>1%z`jck<|8zr3AR?N7gmxze4# zzBShI$u^UhO}x=>+IbL}GKuG8Ay}IR#e1gcpF{@7F4`0Lh}sD6JjTq(>o@K>xA`lP zQJ8#=^5E^%8|&h5FyPm2Z>M&S^3YjVJCQjqb0@!*a&^{y@pjSySnOSNvibCOGDmC_ zwWh1;1hAa;yR%Lw`yw*zrSynmZGeVV`ClkY87H3JPA1K#xsyKrgTNi0;(S^KJHKi3 zHCj`}r1qD$Q@g#L42kZ|+{sdP(s8j>!FoT1rQJznhVJcD8s1Jy21&nE>6l@{X*Lv3 zJCQ*YTxsmCK9#e@u3bcC?nFjNSW%@|o4O;^igedV&;07K!@?%uLZ;Ls zps46DoEm)}y`8+dt50AX&j5gag5LP7$GIhu7=?l5p5J?(8&O&QAX6 z?6h|d(+pj9E$NUyNsR3ZeWw%iQy1v77l$#IwbQ{|&xaN}eO1?jz{Gj^rn8f_(}EPl_E^kUg}%$$ z>Dw|ykeIy-^y!Ffc5uSJeh5Z!>e7NJ6ZhCI_XAwgKCQR2(@;XqFM+-t4dsu{PNpc* zUDi%#`Ki6N*}2QvNdfesh3F(^es^{%*I19C(Q9U^N~olc3=55VJmy0$ZQnqPH(l>~ z(M2dqF-3n>OINOCPJuF+=_RM8AWl;#Dtmg(Au?#8x3af(c{z#4Z0XjB7SP`nJ$#&Y2t&fe4a;jmfs0RBLG&y_q+nH+3|$u>O=KTWTeO*H0XEvVwIKjqo++4lx0sJ9O$)+w^Vq6f zB$8Xlt4LzklU~?9wNuSgG+@7~B%d1FJoT|QA0ca_?mOnO{x!9uw7o(vjO$}Nh1qti zu*-*!WlTaqZ&N$sl3KFK$4^C)iK!iSys5eKi!y(n+JRqCBncEreA~FH$2``bQ#)MN zGPR>WqkMf=NyhcAlE5$0+tkk9izN1~NYc$?wABQMu192vg{t7Gz5p z+R?5O)DI|&y0&W<9*V3%dxI%POa=v3^oQ|O4G>+}`Nn-IN@xR$CSGT7Lm=i<4bWm7 zdPdaT6s!twX2?chQXxj6B_*yh{V@RNA6Q3BTGq}h_#__ZkxZlE!~oyEEIAJ$bA7eC z!%(1P5d|v737?@1Kcc-;56|>0mNg{#-5rCTM^r^X!4@Rbr>j0v=x2ji46g!-&M>#m zr90ELJe|a1eh%#TaYEojsISo&(B?&JWZ)G#?ic`QbG!;9XMJQ7dRiFR8P`SI4B~6Q z(2A-P{?02H*b#EtrlpnJu*SAiF<^NP>>w&E$U!_fpcRXdd3FPiqr)IL?%tp!CXHM>;!nl&QQ+DD@qj6&b>aO!)?D+A2Fk1im-8n zSI{_e4eX?^13T15-UoJyU|$mhR7$XhiJb@?L{=REqW0TrB~DghjU^lB1&Lx&scYAe$&jh zYVoOa>@Et#mt}I1p-eQtXT2YtqZsv4a@mFTnAn+<=_5P)t@*8mS3JVS7r5c3xlin{ z2j_}u+a$E3eRWOj^h%q8MjJ%QESlf5$jrp>4I(#Fp0&nzU4$O_(SE@!uK1<=Q1mBd*i}3Tx}IV?%(8Dl8zr(Z zDj8;fH?v({u?+f(PAmfW_sC9|^&`fEo0%NApVKZ@n(Icf*UU~iXLekl+1X(UXGvt6 z?wOsocZC22*OCQyr_fTVMmr?OT|0g5H$!Jh69CU)#@>%a% z(0v~CuO8wV)-DxCSp;U7!F{m_5yY1DmM3Dfny$Mua)xGyCU zK`1WpUu>d25`J~CiLrOGnJ1m_+G4SnL_{ZgD_d8V(_5wK3^p<2cqX15IXEBLgz0o* z?Q~+nV4X>cP0?FRuFrD}?N$l!`!+_m1mRcZhV>MdONX0M5%yz_?biF&sU1+D>vY1s z5A7_U^uEg}EO!s>SktF=O4s|girK5BJC_#`l(}kZ%O*^SqWYmIGBJRU%-R^=#l-4n zthVK?CI)Khf`g%t{(oCd>=YAg?I|X#ln1247-~9ZypLs<6w?F>jcmMij@?Tg`Aj|eHVlQ-D@eORbs{w40>kV3rDZz_k zh~Mj#^nbgK8)|JcRxBNc)jj8pMgXz6m1acd5VZyh7Hm^SJC*$q3{;AHAo&$`?T%UR znqsl?HI53c2sv+D#M&5g0CMkp?}!wR2@kh{?x)_e)s4wL-D+*G;jyiY7#Qs+VUJCJ z9)j>~o*VvtJDAT;f&P!=-64P+aEBaw$CJK&f{Zi(IT~Vl$K0D?Fqf3Bxi1tvLn5Ym z)Lq05D0ehb52gLJ>VxV)D74tA`F(b`g1}Big+8DHAz&NW*`}-9F)&xKlkDA62iWx3 zs_2MALm&=1oYOUDvyDn%RoQthnR3UBQK-%owVbhet?nEskJv5K?Y;lpgTg+zd-!iep1iU>S=w%)28?L)Q0e7oF1ba#|H&@rm{a22d~ z&DoqiTfN%Ru4;#IN;=y?n>OW+pr;eWy2>5O3}POQo6{~Luza4gao(LG0_JMkdZQzu z&)INg)f&DKjmSmM)?Mv5#4h`sO}j({BJ5GSCWu8KCw1H!X6;$-@Ihd85S=RJp0la$ z;gYB|jWT1}vciJ4A9bY8N`z0Hwx3M`Oq4s;UhY7h7FukYuoq4l7Q5=ah) zdtsrtm8N=OMeSg!0Epmmb+IY4S{;%h?NbzZT9;_urqHZkHf!+(hsTBCL%*I@np~$} zy<19FgSP2$loGJs*7ntM#;ikoRXez1)24C{eE|b5%>*v5wQY1VV|h>!rX176^C@?T z?FolW5%4mr>B#gV&;I36`ZqZDA(Q7UtBM9ub%Mhitcn z`BCpSH=+qi+ZOgE`7U>u*88?ZORm1Hdi!WH3Qr3a^^OYVMnX`}n}mHRcZl@QPB}8K zn}x3_^gSLT?`zrT=~?dxQ>5O(*>J5(T`i)wL1BQlijTZx59Uj~L+`zy)4k+py`$Qz zZ|fSeSph;RDQtM;R1`MM^j`0XXXDl|(t6=P^Ah^DDkymDY1~ln zSijUegb9_#E+K)^=tQDARC2rfwzjM|u--xf=40cQp7x;?RZO<}{Z>h5L~Zh{ci?eI zxnmt8eOnFabCo+xPZ<|4>C$DLmuM-U^^X0jcQD;Kyp=mhkD=@_)^Ra)P;g{YXucb_ zknkzgJBaq9c-da2xSzu|R4#I<4BLdM8)}A!GRbPLdWRoQLrrn5)`Ze`r9k>f>Rs=+ z`nFOpcf`BgaZ3t_J)VtQm=aa$9gD(uI2*S<);q3Y8wILK{G_feqp~J`5=nRSKXgrj z1mV@^JGHNq{xrYp9Tz`Ymsh!Cy!eU0fiL2+dh=rRI5cnFPcwCja#cKvcult?YC4Gh zZs(XA6y;OF!_X^nwr#b$ZEIbxipTn_c${5Z{{ThlvI2gH?dhkfZD!7jhmYuS3pu|m zi82%=o)wSqv?LPZ>jjT)Nkrxh$GTK++{sbSiibeQRq>$nU@MePb>vo0*LA6uf=A6c z?T$148AGPumVT&pyzfPIAiwg9% zEhOkB_g?W}1S?SRP-xxI-RxR`*R+j!joZA`uiq;k-sRB2 z50*spX-NdpiszKd$AX9XQ9sR=#EgX2X0(a8qws!z=?WCl19u3}>C;9lU~;x?QSlg= zx6!t^C<-0TpDG?VMG0;jKIjSc+!q zdpiT0!Yajema?MKtC)ulf7*SgoQ@3)6d_<`+FA_77N9kyk!+{iM#%M zxUee&p7jr{ByCuC{X_9<8tY?ns37ZO=y#4GW@wA~u{Nx6?Ddb^OXuBWXndIr<*WQ* zzS)M=l~(jRzZ5{yn`Bw-SBAa*;mmshL>WWG#n-?2#n1od*I#6izyH_o|M0_~e)!qH zfB)aVAzaoLD$!}5R@xmY)NIOu}~k%Mgvm?YHq ziIVMED;Xgt?VX&Y(8);>)G-R1GzRGxZF~>?C$}lBt!<A7XlFf(! z^j@s7C`oPb!ZqK;lJ@Gwirc0*k=IwPB)*9Nps*~ec=ckLvl*-0?4*dDATK>l^kThB zoRu%Nl1l`DG-HHXcdDu--4i97SJ)nf;}qKkY`5}Q=(|H{S;-jf5pB-Bj#o2QXD3D{ z1*J1VA4a%p5J%a=IMR&dyJ9b=J|G8GsRCr=Cf)38K74P+nrX&5v^Lv;5tEjaD6S1i zg~*=GSb-@oS43^jW-R)-M)y$YF6)qKQv^X35F1s#SrLcvWcO^wifK9~?L~1=;e$2= zRIx$}IJ^l8+cF_fzLsH9Husy+)<3(k+OXEB$0Cl$g?nq%E(e`4i#i4U-XfJ^dW;Sn z3qojaR6s-&gUMh0`U(%LO4oXeLJ*yui1WA3PTDzC5@VaVp@E#VyBW*llBfh}D1-Nw zAlONI4V9EElD;D~!{nnPe|f~eqa6jM%1t@@jtWQ5}dJ(cE;@i|p;H)A2Qe2nN^KFNu>*-5B0W8u(<%o%-d z+Btoyr1hzi0E<9$zy0_`P6VX|Fm(pBFT^`u?Bs05VyZ+(^h?!b{Md}udNbCFuCflZ z`NU4_)r__DsgmA|HC)YDyU5A(Bqy~uV`*jjP>HEzLA@B*$+1jNnKK0~0{62StGyHe zd{X)qLAFOe$;mAGB52m9N(N>Px>M@fPIj{FCp!siCnr}kmPka4XVGL4Ibm>6?0FAQ zc2bl0-^XSwyX)7Ef~yxfNxf(?+(xO^av3z1#k84jta3W0+TI$dT^|brE4LItZ^rVm z2~RgdNz6l70R_T~**7+)3UwIBNz^fSxa?(u}FJm4*6lKmQJ2~#DlAv=E^%}O& z#KiOm0R=~pTi(b?F%(c5${hl}VpykP>J~9fz1YdD4>HoojWrLlag-tRdplNGjo3-N z*h%i}Wbf@*@zsviyXizuv~{-Vl)FEY6Ev2K!T&N{1r$V#7?=~B7q$^EZ)L~XZ0qe< zdYVp7#@>#F=CdM#-74e+b53S93Eh+MvfiLjC+y_b0?<+TG*hyz(-@B!y0ivqNcPRijc=lV0=4L1JbEahK?O2X7*iwev zXG#Qc)-TpPZ{);W?4-W26K(VMu^lT>mp^Ap>bKgllzh`wKnb@k-2O=mp!}#S9H_mw zV~u8J_BCYWGs5x4PQ<6D?h0399zT&29^c)5sp$C6CjKZpi62zY>dO_*K6o!zJC^g1 z6Z77WC3a%R%uTt-?0&KnJRFrwhjXCBo%Ey@un~jQNRKlhRqYnXOO{?kC1X{H=p#KJgO)i<_U|^8BWQ_OkEk{aJSUPrv={w?F?vPhL|Z|M=IWIOpDDEg@T1&@Mec)8<;^e{6bINpBECK^M)nyn9?#_C4 zgsTOSCZCrb&47Tx@FB>eMRBWW04;OdCR$jle_#oD+2_v2LbhE=YC4GW17YT zxDmN>RQ^!#&b0fxOXFWkPnoh|~MR`?Fib^VqpZXxd4nAom6JDi`u}2|Vk(k-VgE783ZsTMH z&`fG#5MFENu!<>+a8AsRDWF7wPKy0IRuNoIM~_zwKIcvc$`-1NOaMJ5R6+B|Gz>D% z^==5Oi%)`IQ|CCTp+=mqsd+uG*KwE_(Wn1yJjQw$kvm0noyYDXBOnkPB5f{+D(KYB zsJ-peCT_TNpgPX!$#J@Li~?`j4Q_L11!h$gT)Wv9Q59vs)H%*+BIDsW0xL=eXFu3M zC!y9%UtU);XK@_cU1Y=xu*YUH6Y#}c!qPcT9Jw!#+;qQ$&L;Z7j%0MOX^PO! zVBVx1G-z$5Qxs=i!@*JO+46`Vncn!iMEyG*Ki>vg`Gk^1j0|d&UDGwB?GSmHdea!Q zQ+vdNL-=H}eyrj!Gj*?ZjCx^f5ckbV;d*iU+SOz$8ewDUT4PqBzG%sdj$+Myx}ITB zdsxxQL~DSen{a)?6jKniX8?TyZxjd6`(CM?wugTDpnb1J)gsY6DVEx7Kp0>y0@)bb z(?ZyVbhSaV1(x_~N;#O35d?}{J_!?x;7^$11UhE*0#OGLV$!+}E&E}o#~(5NRiPz_ zZl?i-Exn+nC_D*r;=s_`#@4oIWtEQ7x*vzdJisbAO!-hIOD?gmd~bwby_O1xm9cA} zO`QR03$%$@c`Q%p8q-pY2^o)>#?MJ7-lZdKZqvaWTP0CF_L!rgX-q&C{(}S!Rw3F` zDB1`@>G%vS6l9*Y(YF`>(MBxo&1S}&GS5~=p>zo}dZgBYA;5&sjF8qT^L(7cD5(#l zD9o10$8#7(0Y$VcA{(}9<)Y(?)xS-nU{gm+vrhP`tb{H2G+yNy<5zUnPs4DOmR@z5zmveJR<^>LbRb1puy>J2R)Wq z5tP&~d`vq1S{*$^@A6&7u?sybG|atiOi|N6Y*V0_0xn;S+S6-e;cs2&*^d;8^a=dz zyEy~ioIdEdO}l7Y8T&L!nSlDff^9ICSw>=fLNpDr%^j7=a~uT;7OclSo!m3MpKL-r zuJ!)9u}pUq(4QB4Y=&yjar$QBsv|Zn(-7;}zYE>`y+ivPEb}0q`&tDPm+}a&0(a46 zR!}X}b`(n$@CcJ%&YFx^oPa;aj+%@}`KX;U>5V)bT8TIm?Gf2%dgWG~wtY*z9wRWn z%(UCYd=_K`&ya=j%6Zv~@+jiW4zC^tNL?IhQx`95b?;})Dead*JHTiaitw$_+<-?^ zFO0UNcsuLI%;kt8l~0*xVGl3ZzI6W#EPb-i&FkBZgYtOs1c8A8#v^DVm>ahdNh`$9 zW(5kQtc9mbBO{7QQ?02mo`V4tOw3QhYw^630GC1k&2{+cY3GQgMA3|qz zjCo9i9@>&RhPx34nkhGlK)ZJ8Qi==YvS_zC1{dgY7@<6ho=3ZlW%MfM9m-R9Z_aeb z3U|q$EysAupNT;G9UG#fpo!$^8Cy!9K!N^vBz^AF)t@tpog9HYm3k9tgf3O!RfaktPj^NBcNugd+l3RlxJ zw$$Sg5lC(u{XZy)G95T~Wm>BfqmN1fAonp`O8kvG0e!NGzQze!xZsqs%zBTA$+aFQAwH9Sdu+17VY(zqyxk1v_C z{b_7{HH#f$4!iH9#wzV~Q||SR({wc{0qe<#)L5=X$Gce!X_38?8uUT-DKh$g{NmT& z{mqx5lmGm0|MkEA^Y8xfyPy5}cmMRqA3j%IFl%5e537YS?m|663BAO(2x#vCM4A+m zA5o#G4I&p|U5_bpueR-V*pZDjES@M^Zus{qq^$z(9;LjI+~5&Bb4mXRbnFgp(1K3K zBwnhGNU@;ez{2D)(q!C`2~r)gCBbYiEySDLrYuN5d)TZ9;bsvV483D42r1}%D?|kQ zsI+O##S8xn;|QF0H3isCV2ycMSf ztS%o)eo2cj!e~Wn0}>58f{&}4X-*ReRpL`ZHWVLniPZ}m+J5zhjMk0<=Al3umUy<| zAs##`7e;P1-Q0%WF9#9SV;x5EgyEa0Ou3dr?kXqYcII#dUzFqz9#^3Wfij$m&e0~E($T`=_Ands-5 z%W(tdHcT~r=TJDSR7D^rZ;)_YQIZzT&tt<8uB{ZTv~e3k^_5wESnHnYaM9`WQ5d!C z+rx9YU^^Lckzv$DaK>RWm?2R@PYQipc7#fAHWHJMq~FG=VwO}SMHzD6VBokwY)TNe z-x8wgvhCu$+5_AmKNbPdI0RHom(~aCl`h;r%bWZcAO(S^k^ z;+_RZ9QAyc9Zb$CO6pC}KRCPG#aN~$)DaLtR5~W~uuz3xdLRov_F53rn|7i-C&t)D zhU>KC$IjT3_OPOo;zAOM@|TQs zH|w-jEX3&4EL{1y>0O#Et$47OdFdT)R}pEzs}rjnZP;lU($gwOSzVza_-7I6oak>| znrwP`<@j;PHqNU!l)}#fN(<||jI?=4lcB&~L}s#fFu?lMk#3d7w6lm*-Y0JoS0VT0 zjp;?C9mr7?tircOZ{8+vEXL|1s_y$ygnIAE8}lq8jh8gpIeLR7s*7Kx$@D-oDt*dX zXAuc=&VzJ^>UJJhj92E`mMwT@0{876z3GFC6p<7|ibtA^3sMDJztilIg*Cj{rlVg} zDfV=8&aCacA~*<^&t(Luv?s36gauuUS|IgH($B-VXAVTD$Q-(T3Bg6 zjLh`y3*V?SYwk_E|2nz^oLA+`TQeH!MZ-rZ)XV|||H-)uk(Af~nnAaZcK z!RRX3E0lJK)r$AFVOjN-6!m7U%{j}T%5e*l?JjlkibjcaRxoM$Vq~P7u)P@4DDccp z+c|uLihjWIEmAes=b{uh9$AT`(ZfjbD0MZjSv$D&+L2z+ILu-)q6i{%2lfxl-iVox z=#l9_227VGuGGQGtjR-x;jtg|xvXdHNHkR8*Ktc`!9e1HNr5Ee;M)gpMCc(=Gk45e zdAW0LT|zn^%GhwIkf)nNMUmUHY(!I=p>9$b6YV5QxlG5rWpPT!Azqxo-|A>;o0T%O zD`Se|#i5`LJIPm2pbF{jf;x<73oIMj-!`@=HEf0d205QQQJFzwbN`;jsJ)mJ2>^$P^QN3IeCQHM4i z9u8&J0iWfKAp+bvd3%#}FSnd!SFCsU7(Y^<`o*uZ(aE8LDR98Et1W(T=Nxl|MCF@A zB`icvch24>-#JvpLbePTNb5W@@Qeey@JR1|(CH;EZP?8DTJZKv`uv%CrNwHqY2zR& z$8{n9YtH{tdJG6))4R#`&8|AV8|h1^A0e*PA2VVGNTzw^zV0EXh$ZYKswYf_!v-) z2z1)FeTTH{dFH=yfjsJ*XH0PU=C$l~>9WG^;3i8bFy$@j#p}3lVgP>bMm5`G#$+U< z!v*E<@epo2j==Sr5;^`&^y&Cm6dgxPQ#aK~;XA!9x0z)ahqwuK#2pdrEySpJC{$~g z!)saRw#~)TPH~JP(o<%X8FQ$FtG8PhrS>qeHb{!kMY+1@H{dpH5qZ*XQhdWtDPawR z79plmN)*}z$^vv(=;wlIY%){s>P{(YF@>KMfR(qs*LPGA{8mXWCLZ!M_%`WeTl?F;`PFZJ@$pjS|M=Oze*ecm z|L*(W|Lnh%fBydWzyFu6BLDB#oyv41->-(h;f+!8#_$Q>I!w{EmqXk~hocb^5_Vs1 zYYY>Pr#FU(uL9+?Yjfj`ap4&;=m9~jg&@B~29?)M;AWFR(7|wTO!}aj` zsa+rM&t}d4f;+|=vN2yg0GDUKe*M}J^Gy#xF@JI6$=>c5qFubCH+KvMig6j=m!jV- zX2b961I1UfKJCi5jtbc51q(`#m&WQ}<&H7#{c`wej$w+9V)Ebp>gT`s#pnCYzyINn z|NYv1sLutaNTE91xplQ3w{Dk zuYv12B6ndn6)zLzc;wzjMPQ7^^>Gx0lO6Pn#h>fZE~%XAChdEB(6O=UEzXMwYIqGHz`cfB)0tH=E~7iFz1$Y4hi<{fSFE(%OFRXambN9g6zc+kvXUflkBPD0wiwZ`(mjD;;mB*kzF$@dbr` z`qOb!D+5X-Nl&p};xqt6#9uSi4kwm*5uwz$hz(RX--Tok0&BPoEsJhulEW zJ4rJ`9bBH)eDF`prXM9(-SlCFE}HM`UuS}IVQ@wBT5s{XND2?9@f(CUa=(cNXBOoV zcK0JKty5N@K%ilz|4n;L0BAHKAwHxxB{Skyu!JTLN)d5 zg2>=FZx$WZh5R_^b$$@#y!yb`3=!yk-~j}UI$6KM3%w0I(C8n`CRT#jf329aaD}HM z`Lw&{3NK&*MlWEceg5foeLBGj^+cq*Tew6yvpIR}IWuPeMwuK{-f>v7~`NR@y#E=OX- zQG~~ovIt@bE&74t7i|z>T*}@j_(ewa8T5?~3wriVNLzQ*>{|I+4rUP)%#F=MpHAHc zGK4MBK#1HgwMpN$u5o=#=#M_Qj%s)zgo^CJIh=ZGaUS+C+Oc}Wqh&h3tLG@yVR%ia z{>-dbUc$7*l|tX9lL(rA8%}jvQacLC#(sTAC7cdMg%Pa3^Wu+!7$;VE%4z~HsSl^d zx-A(eIQ{k;>>h43wcp+eG>G6%F1O7pB}FuJ9mXO8aCqW@YZ7ws+EoW_BBG19I?Q@2 z2W?fGr4^!fEl%YeE=P30v7yrbQ|MV*o)`4%e0~{TvoIn-qh3Vloe-v>o|6{EtJYf~ z^j5ckMc(un`UPHnHh=dP^X^-@bfd>)l@NubO5DsSo+c=&l-^26?%{;%G8cG?a(a0y zvcHy}Y$yCvR(gFcEmcktJuiy0ikrpw9Q+~HDAcgc`Rx)9Z95^(QkPM*DO`R6fkueE zH$qJHbi1Y%*L40!?Y%dDGog>Jy^Q6xcKw8{upYXcmw3!<3+lW0hIQ{oh*in| z*v&^n0ZLKN$y7aJ%o{(O%HaCc;_S`{Tyz#Dv=egfhU-|GBI%Vt@?EEx;nc*99anKS z2c3$b7mU-5rJYToCS+%wpit;#_1&30?~OyrsTQ^yuWt$5y(o&|Z$I)Q^|&Qgx;ugu z{Ro)Bqb4DAxGt+1r_(Mj=X^JZ2O438@u;l_*PFv@j*O9&*InLli+9%ZF3)9c3siTS z{;e(7UEad<>Uo#v4xQ8bG|4`j!>9M*)l$sfyTillE)TP0eW<5NwyXJW4(~#xcXN2w z$rsTW(s#f3`FDT&lXh|c?T7E-8UHSx@#jDP{@qBRiOVXEXirnff11aI%REm1qxGw8 zS?3+^1xxGY#2u=8EJ904r9$@eRyIqEXQ10(sZU4g(angb!Mb4_o1R4UbJUhy_QUVp zi`9;WaTs#%jF*@de=F%B0E4C6(M_!{_qZeEmwOxrm%H=1PZB(=!ygX+IM%#~m=-~Q z3fkNX60NX<7)Pg9rRdfeM_|;kXY$R8Q5R7ie%vN16E^{j<|@AO4ly+S4y*6OpiNP& zaOETdjgn?a^v-EDrg`jcS36p=h$I&ZYy$C0LWUeBPJ**&9V{>is|-u;pVM3J_H|WY zKyZE)+1XmuNi2*95(c1jO`pQhS`Adzdbp@fzv(}U_X|#S3>f6PY1Nm7!Orl|9K^q?dh2Vn%#wPf>xJ?3j)nJhhxKlp#da^M!ZnT8oN>5%coBHCn#L+Gwr$)20=<`oWskgPLUU*x@7}?3Xx=y z6vb*K7g2|bO;JcuB?jOw&a3z{LmVslob!_jS4>{TSi*Hxj1 zHV`y2wJS3B#mez@S)$QWM#SrePzEZJZj3@Q?m;FA;*Z))0WXM27#^`8*iqza))mZv z^sig6lfkI2bfdb2QJvt}fKgrRMs+&p+lT>%EetE4Mw#zEb@_65Fi71gy4!b)ho=N9 zMsiO)R}Hn=gVbOH-0p#gEe1$ zAh}yS+&*AT$$|lK|o1I z2rRUXTw5~`J#M5$C~V<3Xz|wDr%s1i#FDGwW|cveA+mA(#V$N_#X+Libu+Mi|$3pE{u^?NnsB z#O;j7C+W}wh+>QaZp~|>i!F%>XaiK{5muoscXUOqa{AN_N3~jX zSGqyCy#hXqhgv3z-+k)L2cx=AdPm*gL6H5acZ3e>viWq`J50;bnWW2Rof#?i@i|hQ zZ=QQ9erzp!n(pwaLuJjG%cxFVf#Ss%qq;pJmX*Hx)MaL!(a`M8Am&Gm z>TDk=UUw*P!Kd!WbXcdZcVuces;eKUB;6p}n^E0GC7(WZ^eR5}j?iKC<`!bL2rkfI zf9f5bMs+g+6>#7rc%6I8~hdsxWQif}ycv42S<7jSwhw^4r*KVV_m);RR zb<<1lsIgRCkG1>M(dg;$7|~I7eV;JP)Q##EAs;$&YO?SC4yzpm`k-oamzq(M6Qwls zwjpx1&J2yEUJ+1+eYdIm;8G`gKt*93PIGa(f@umd&j$O7o5s7|(Hz4zSh_{mt1HC6 zBw0VX)R{bku7fmgO}bBnjj*1UGHdDC>7wLo;#bffRYE1?Ts*tGpZ@UN&{@w5g*t zM9J`zOPx3mV?H`OI*B`O$gbPeDYEq;u%3&OD~z;=23jnkwy=rzbyGS4fd2M`X^0#c zBBM=io1u%Lo6;3@k+}RoXb^ehw`pTc=^E_>de%K}3ZlYu-lr)qNPN4^I0e}Da;3}m z&6G}rQW4{urgRsf94}Kk^Tn0!C5O}-^MBfuu3mi7*kygW(ghkK?rGz{U`n@q_p9H2 zM`!+j{g>}WA#X-`pn~+f-~Z!RCD&@{p%fo!kSvM^IuMFAxGp1z)T-+i-KesPON#Qv zD1sLRf+oldK3)f%pgHJ}i7<*0>X0t2fWbk|+6zotF%xI8A9uL3dg!(iX24nmZRwX)>EFY9@^q|!o_|S`xE$|W8ypU3lr$Ekx@FEvqB>^Fj2~} z=>x&HXoFBRS_-C$tN5CJCr@T_MB+Is7#}pL=#RJ&im4pdIf|PIA64wPCvYP6jAE-g zvim;F+Il)I+AIcv+=j&5Fk_Mzm5l`fx!4-&ArMng8CHnY=NA|zMQ(I4R*Nc;-Yn|m zZK5x*YdDNqfJ^um3E{ljny{pIIt2P{T4owqab<9m%^Z&|M0FtMGa>||SlxZ-0>-_< zBv;dVmp<~-m@d1BUIXJUGL~xHhL&q*DSq>Wn2h!n4t;fD+Vjvi6p@s2wXElumhPrK zq^DVgUJqhEMR96SlJBxdyLqyda<;68WnNw_>kZu_#FD9ZEnE@C7zPvIP})aH)M|taVTs1JAH$|l7F?V zw~M6EwNTk2i%7~j^&7fbgmPXK5N^W({1!`Dm7|pKGbF_^d#%^IQ}4o~xjb@iOxGrM zl*oUMf9%%z`48(`(Q(?U(%uXP^2tI3ZozO*u&*=2y9-S;elp zYed~&t`XK9%+O4}vlK^-pqLF37cqrcCd41O%@Ff(f^V71xbituz*>|s5V1~{;^?HX zZAi-6uoTn#)-T(L+>JA_=@#Whj$^qDaAu+LC3WqsHUvmdaSYX*7If2$k-4{*kv4V1 z6~oxtjkJf2xl!umo0fAQsfOgcZyk@PfCU{>R-tKagxb21_R*{NMR>$|ofVG7$x!sx zr<0*55Gy+h+bM1R@wyUlXCVdq!|Qdse;ti=Ql?9q4BJR6LrdBj!b6 z#XKdC3j8F*HPGchKNu>6pX?v1UW_qkbVA0DU*o^1d$`fxjuG8}ZhARJ^rBbc7WQz9`PAVBJrN8Sd_GF= zTvzVaxnT zFRBqxUiI{$+btH;9V57K6Lx4_*{C^j4U8Qm})L!Uw|$b90UK zIP_fO{BoXaOy;~f&)qY?^r44NHRh`iJ&opga*gRyHfo>y&@09uooW=$-)%fsy7AoE zhi>SY_c+gm?|%LB-~Rkd?dob%{kHqHqEWW>;5vsvM?^0UI+Ew0d{o4M#TbTVfKZXV zm0EPdcO90(JfFg6TIyg9ro=}f*Fu~c#ry*kY{(|X>RYQof(`$0t>o!VK{!kaoN zjBKUh=}?7r*c=q;|*uR}Eo=Q=jHQVmqc z5;vDP^(DQ$kXxjwPmEW)`N%DEah%KO`T!O}uLq;S!5dK$CuqOma4RI0N9C16sRkj~ zK%>a6y(;jRqkg3RgF#TNe0;Psvd_I>Lmb~Xl=zcAYh-YEY!RCZ+MgAW5e#6K8W|4i zGDl$;O^>7PGxw%Lj9}1K$kc3<9rZ8*p)L-T(-c2+LV7D;$pey-h<#GLIwHI=BWJ-q z_R1SGVcHfi2+h6I=Cjn3-s*6#AgidQP+zbcXgo zl*qBn=$@WDsC1R2SIMTOIiiwuE5WXv2XV(c&Zg*tiEf~hqy-TjJ5`dDh7{^;h#4#4 zS)WsHWm{)tpiqKkbob&xQ9OvNf&p>r>8#oKvt~1fBpkGDXlpF-P)Jo~8;-V~Jjf?K zIs?A*<(g-}#R?gHbax+Vs~dK~{cfArgh<5R!`TYBAV_kJ?uI81QgF|CHG`-Fm)F#6 z1UGby<~>_H(UeM#d4%4_y(GOyF?0pJL3|49h{rf-8+f~8re%}`=RuFf#N4QyI6Mx8 zF78a(HcQ3fQ`?qAmo`_Bl~+j9Ikwo%TfRgu)bMX~9E!HJzFOHWFSNc`Op_S9q*Aie z`lY?;$GzxBY3&8v`V6{l6U1{efD!s2U2UF$>NTU4Oo4R(lO4-= zz&E;3t#J0>9{QX?%eRY`uUu_vr`MrYDs;ozvhXTHN=MuCB8WlOn}yOXzym4egaEKa8vTohqERNnV>ncqbTT}kmXEMk^ z3(2J{*dI}8(n1`kN|S%8GHV!g(Uhl)h8A!B;2J*9NO_=4B~Jf!#P~7|-`VS?iTpZj zBzB?Ktk}1FtSaf#;Sh|@qQGE7h+;VT+VM2^6U4$rgZ5V%6ze%-EJkCfrW*Lf&WM4>Hd{MzKf+?Uc%_uFwVBDDgyV_uvA%s5_939;bej9sUQ{xac@Um< zQJb$Z0Y<^XvT?Po8StKUYDK?j*g>fY{ald-fk1`-Df&a4Z!q{AmC*ODfct)l(~6}b zOD*De%@*h5SxHig!V{N!;qL5rC}^6yl;oeKq{N*Ux2#p90}`{+H4FPmBfK)|uqP>kss}??iy|tHQd$?r$BMg zuBaq4Z#vE5>UI{m@3uN5mnsT$IfozZn#-wYT`A~n%BpI6{DmvqyrWF2O!xIawYA=on+tQEGjNsmYGR@_h7ra?SvK(@(0%SuMz zLyx5BG$Lgu-sTkd<_X^RQmR#o?Gwj}r9wxFZ3XI%-+U-2dU zAcZcK&``wEhZ&JO-IP6xpt7)tFuoDl*2@k|9wvGXL9LXEbcBkIO~dPT?A?Ytq`JYt z!e#L{qMLTmY)EfrRu*_1qfY2bw;{qAQK>P0C=TVM!+#ZrT*9b#Q=s)CmA(D-<(2X3 zHnbnR4R?3EcN-=MoB!BsXsGh1yW3DlYrGkoLYJcLxX=(YuREemgZ<@qzxve|zP5e; zuiyXs_domN@BZ*lfBIMPwsV^JaS1l*;CGTj{c=XIY1c9Af=%%fY}yx0#kqo+E&U|| zF9Nd4nRBWyMk>xxYc zTLa`$3wllX!U~$AqNd+P2bq$)ZOf(DBx2!m*xU-S-;PJ2scv1UO>sxDa2;v!&+T*T z${ijHNAw)IJL-~6f@Omigb@1(Mp>9e)fb2}h{$pun!V`nI@02*RhYCzlwkHQ+0=%x z;XCYiE;Y?n_hFjNj$_R_9Z%@K^zLtzAPPOq-v)jO!OZF z4Eqd~{iAp5`6+Bchgl?G=T`FQ&3X)w1TX@UO@ixQ%w0c-F$`gtTe8VaYnN=QqnLXA zEZO9}pY}_#sSYA~KPK6PCh7c9vS~jho7ObWr({$3AlZZ+2jkn9WYf_ln~WA!KhNKi zP434eo9d5AHo^Ox3I397($>s-PN!Y^Hzk{v#g9+PCi_9M$y|2n?~+aal5Eo^O= z)N&~{H58i`*FCRy9X7<0^;}QICNrVf!~~eY8j4M>D>k)Dv1!qU z4n_M&UMnWcNXmBACX4gOI5iWU)^jgCikY=WG-XEn%-5L4!a~If0VP|Rhvq_M!wKPrhgk|(Mw?FWlYEo2<06dN0ELM z{&=Z2+38cC-l^K8jL}t_IGVTh2gPHoKf-5Y!mCg3>6hMBoA66VwWOYmRotpg1IUIeg|6D9U;l^&=}^Z2=DrTfn&mVm zq-dj0yHi75A39dKqS`cFs!i=(wW%Gw1f~S=RBbX5*`}vz)0!^TChe>GQf+FdYE#CK zx2rY@VYKWz%%xJcQYhU|%Ma049~KTd(lP=#sD6sRiOMuoo1*QiO#%+fgoP>-ZQZy= znb4f3g!{x%L+a%=CH$b;6uN5D-c_3#-R+-Mo6IGEd#N@t;8o7CFH8v&7l?|WlMV^) zj2wg@Ue!cFKBzXC)0D8@s!gLJ%%$2yAu%h6w{6=fpD&kcQ~spdM4N^14JK5R=&D%g zbgMQ6*QdUOc;Hci{F!RycFAsRRM7LgYLmf;1@~gH9aNkAt=i;o)g~HabSB(14{xeX zr@BEoi(l)){RdvmWAD!keq+9>UxJY;*ZR-_r#;ViOV`h;O__;SB;rk%Z7Ta?CAeLx zO*oOhsWy3}Z?zocD+Vk?p~{o<^62air?x>?ZF;vNJXM>*CuxJHYLn@*O(8}14b`T7`f5e^RBbB$RBh^R>4u4~ z@I|%h$J{L(-lj*Wes5W&D)!jaHINw#9Y8H@EcpBeSo5ow*;8JZeUAE~> zwW&VcJ{UT@+&*sArs+~`+E3M{cB?iy5eeGMxqUNWY@0Iv2t>yps{%+qn!A_b#ZL&pJE;kxoBLKVCb#IsD)RceWuS z*MBJCXsMAZR}>W^QkoTbK-<*jO{aGgNorF^!D(?~gPVS#!!pr1VUmGc8|spZ(M%C) zKk1uhZ?tXEp0QY1FtCR?fWt(3y|Pv-sfW^7OX``0#$U6 z9;k?ra<=b+w|!VV`qT{+J0+ui&?wku!A9ouH|7dx<*c6@DX^Ri|lNOo& z3KwaJb4T4 zTb~;@PK%2r4>S-~@uz^pmGD_mc!;F>{4K1?pz{3bN#ZgW^7F*_$~w+h?5|{Arz(P(u7N>oj(qMR87r#nK911Dk!2RH^u~W>UDO%>VS=NL|Pl|x2U~77A_CN zgIVY7;se)2C2GY_ucs<{56n1q<3yp%pnb`RKoE7>an2BftAj#>wxpQiqYArJLCYaL z%&>0bu=df4Q`?u$)W=eU=7qDz!*(YzF(`xv#Cwu3g$M*rUW{IjYcEGBY$-tRN!SFH z5iujD&nXnr+Xn-6018q}Io<7p&nYMR%b=@zsb(16*6hWe^5}6&aJ93iRX`kJP&&?C z)F!r%2eV{4zI*G68MUJ6?!>rvR|X_AFwk49lpJEE~t5D`_-a81X9*tiAJmW<2g*`n5GnjI6emumU2ISL} zAB+FoK^W%6#x9tltgh_q(PdENY!sEP*(fUNVul+$VyQjcAk<6=+A4rNZ28uDeFn3khABV)0RtA|c$>b4;*p}UJ^ z(J><)*9{ZbF5I$b1~DhD1G}C3WtiCgb(#qKJUt>pxME1mu%5(2dB>cyO&C*!mzVoZ zo4Q&COg_vxP>^zzun>JX5E)P$x08sjAB`fbj`a?0(m5dgV~nD3zSIAe5Xly8T4+$- zAo&Mfg<@gFN16unIof3W5MFMHH1|TAMzJi{xHDb5aiL(JV7qb~U4zUu%}j3Nl!y>t zns-z5_Aqik&J_9LO{r(0O8rU9R2YmYzBUV9X|b$dUtNRRaz?y~R*P8~n87B!B)SHZ zMgQK><}_`%-2FSsxw;~Y}VnWzcRU2++{05uCy zToouLn+ndOr(A~H>3eE3nzug2{JCeZsdL!pqAb_7|zbYvjAm|Iyi?Mr5;GR)y2Uk{CP)rOljm8=-}gEOrB6m2-qS! zF8%fY#qb0F>rA5yzzIXs?TD?;TtjZwgs`gMX<(mrHjAaD()jx zufrBaZC+%U- zmnv0h6@c!|#17F1Y8T`wht5%XgFAj5~Qyz#{@w0=hPBJcL37buKTR7jgv0NUldP9^Mu zuDn@rId*?`dkHCosK=TWPL1fC(v|R7nTFn$*SF(bX`&jZ&MuB_s4?pkDVcjl8!))M zy$h#7AI|t`I+YY~8Y{hL02O;3ob9g8#8XM(f!D%AfTXT(h8oOlMi@os7f5FvOQ#q9 zrWdrrQ7jcHXF6$A(8m=?%Y{U}cpvlvZ7w?19J6$kEo{tXvz=Z{saGm7*%bv4yN=qx zi@+z1*$Qd;?6xqVN^=u_>;jmmhhT0H+LE`p2w)1pw@Gkau}xWC`Mz?csEh?AEZU0b zC@5;vw&L<5D~rv1s1;kNy*#J_lguWomn;@EgE}Uxvyo2~85QlJfsR^<0(o;f5y-Cv zGa)mqmnk$7#V+<$M_dc8)$}ks)S9Qbj$BJmXr%0(nQiW&7M+__spJs1QTj=WT}WUF z0G>c$zh4O&qw=(9&vQjU#d#d@*cH9llqbYFdcPaG6?!t!3wur{nR`451!kP%M|dY`wcpP5*!n_Cu5rSsvn_r|}opH#)?7cVhb9H9E`31t^r!)I{HoT>8 zOelq3ooQW0A%<-$-q&88Ii4yC*63%}4H<=SO(>NZ3;e>zqY4>6joC|Mh&EiF8mYBQ zMF9(w-6Wl1>aAgkbK4bRH#c%aF)h3%WDZ4Mg}vG!Bo?|cJ0<_t(rwHxbiA2zIMK5Qj$Mli~zq>JeQG7nok7B4%$8Wd2;Y~0I?GCPN3iE%RUkvC& zAgSL7sR;5d;ul*({&_-sXeN-&!vnK>3;{FHSg(FMCzI+mnZ(YElwY{D8y)xBU63!F z>IseA#VmB~b4k^_%O}`wsNt{5j9^4fT~y93LgaDZMZ;VgeZ-JmWIHJU3RFy@7{=m9 zdCMm-LVXkl)sv4xVz0R*;U{LF0u)34UyQZuqUi;TJFUC9#HSO4*(pp}CrElXxutfC zPw&eq$tN@wa3>7nGYCA1TnqNjW&v5=vq`OM@-eiv2@sCRF1B)xCUIYXO7bO7CquTE z_%y1av+3f~@pLD=XOk)gXR_{8i{G&M9%)+1D4nIpFm&~4?0)A5l{Vi-GiH5`jkz=> zmYJH#+KIjhW~u=xx7})^GNo#>_?CTBPY}?@h@s*uhy>R(?N9mXYdoo4qI|;Q6a+*v z_F;1TUuZc+1RU7ULlUMDq1_ai$|au=Z~1Axiq%@z%{C{(LB8~-%jX(R?lqcsd&2Rf zDxdInSwGqn&Zm6BSk})q8uM53(?40G@g~})2+4h})_jICE8sol z6GS-!wsN>8gb5|^@@A}oMbO+!G^W>RvLENmklnqvx%qDiQ0v*#sh+St{AI{)xZQZN z3}l=a9z+W#WKDm}k?_hL2Rf(<+ec_k+hJsuDA^fuA*^X|*LA3{ib%|&q{8xTyDKng zB9!jHt_Y=|pBNGl+w)Xl`pmeF(k8lPL@4^GwN-$z4`_U#!&GFvd=U!!(X6mi-nj`ySU=7wnOkH}Iq|89Fyq?z64~n&m>O|l_vY{P zQosA#zxnQK1?Knv_TTk4|KF?FQW^DORCK}S(JxsadBi{2KJ31^q>rwpSh&#LD}A*- zRiR=byJCf|N&Kr3R+<=ZMjC-mEbcyNP%Rh=`aYtQ^AWn^*OVV(U;4?d{lns&vaG`h z;h_X5kSI=~v&z$#sC-FBg~D$X?^HfnC-DuX4K1oRsbZ%?7mHKRoy8vLpgAV9*QM=v zm+Bqt$LNX67GBh{pGZ2h!c|*lZuILmN8Hf`rP1&nx0wAh;u2=K=?sf_P^T9Ik;pBD z3JT?mO#xtfw0WvYIUo-V`PT8G7o(8az(|B$O&c;&dBaTgV$GsrNWVs*2`-@aNhi`f zv>GZiD#w<^8x$-i@ik=*fdQqWoXmk?pPprjs2_xjU(gPxw2F;P3DEfa4jY{V!}Mda>&@G=$oe1uyXfv$ja{3@n!s zBW?Pjia@eNy?P;-G_@o5sh{O6UT}li2h(1~3+~?O{t`w~mhSw*eo#N4e1Tyf)eFFg z;uG|uKD0Zc=!xjXGGQ~Y9-oBT1iAdwh1!l&s4c#{(N_^nEt0lH(I$(%dVx;+xGQZ= zh~g>w(db9hBQ1)n;t&B>hq$f1dJ#Llm^-}?RD5^^_N9xSHN$18?d6MtiM@hTjx45I zY(ey|P(ZALU4o8YcndB2Jz|cmxF%$-Jgn3sYDAFO7@nkhk!khSiKBy|=oA&Lk08bV z;Pq^~*bi!l_AFjd&P5P)R#;^eM%%;hl=A4{IH(ImMZalaw71JxFa$V360hO~CTO!o zSTJgXEx5iaTU%^-l&totkJqe+-J2NEIxCR%<}ZAx6bKW(N807sZp@0peUenW!kHql zpr>u^E?}$_Fn0VhkZG%(U_|Uib8X92zu*d+j>j(3hS(y2TOmVO6qz>KzbaRbxI_Ex z4a*o+8v7M3S0tX6F|Tz=c9OdH*9Sy1gw@%t`d zFwcQZn~hJIwtOjmi(lAy^9#i{#bTH`ir>A@1qO*s8|iC)#BSOb_N-@KNBl*4_gBYe zTW^KGJo^;=P6dQ{_edJN5e%VA+P|BUn)-hA= z6q8!DsZl@>InILZNK+ncUafNH7wkbMHzvAm|nvum+-+}_lsbJQ~2QM{x<0)&$f`ql7Q^UodBI*j3p%F z=uzN!s*pY5fZNgjtL+_*p`T7=xa1RB*ZMZO~^UiZDha4m;w1?&r(i z#v7DSPk$Tn@bRh7R-XE7*!-dg%5)-;HJyKkR>t^ z;u+46x!?&u^pnN76VB_IDbeMz(#Us~G0Zth^DfXeVNF1EGXhOM}ggzo}vZWxYhkY@U(!42h9(WP)`Id>6^V7fqC4j&jsc-ArA2*xLa z8)4jKcCj{CkW0-4_Mu43DcPK`A)-e!S9N!0N zf}VsfK4xVE%9(?h4xvkgvZ+FQ@)pM*nS|D_nStQGYK2R;FDqIugxaPpDKAxqyK%#! z*S+S=D4=y+Lw%v(+A)KBa^iYMQOTmgyW?@)1;G82n-oQ^uolG0gg~u`8W-2AU9^8K z(v-c}p}jlku$+2r+9+cy6<6=fC;?efNo+{P3^;`okZ8_W$Z1zW@Cf z-EWT{{WjSpaG31J@9}QZ`d#$eI&ijgif(LM&|bPnZr1^ZYmdx;R?O!=mR$n zE8xlP(vG;-l6EZKcFXYY92of$Xl&DlNExzO3W- z*K#Y46II!DM$Mx8i68T35N}a1?6n#U-F+58p`#X&H+?SQe!J|vGiA#;CK?-h8x=y* ztLVuZw_z|{ovjVpxfGKSHPaE=X`5%b#G_%TGqNeXF2Xk0(<($Ls3g3BPI0!jOr{G- z2bViPLD}E+>6Dpa9>o2Hf|+y6+EEkDRmwJN7n0_R3d4kgIGcG>XR{YS2_MzjcG~p| z<&UFr(qBT-mpGf!rRn^ntFvWDdCjLfn?BU!-_+U47J+Jt4ex&9PjNOz;nOE|wsNVn zr8jjp1&o|8Kk+)YPvUICAFujHeVa~ssk5EkRck!?NjE5pz5F4#}dZja;3QGSi11Qj(K#OvvpA?Rspw)%bhCH)o8C5WT8uUJQYoGh~AhffO*%NseEK%gKq1f4Y8pIjxyWHObmA7 z(Er%7_G@rcIVV$0 zZ?5Xx1i=VJiv3h)dovWTz4oD~7f@##my??)K|K|uh0VrqjHYlJ_T^ndx*OUfhc8r{ z_b!E76jQaiw-L+nN#CM0(2q@6 zAIs1(x1U{{El|8Rr#joBpQ<&oz4pOi5vD>`hcF`{Js|>+EG>j9lPOH%q==?*@2x5g zdt`0%#r5JxbHZ;cl{)XWruv}|Bu|PC@-W-zaBvd#&~~50)aO$skrW`UL26Mver|T? zBxODHF1r%S*`cAgy59nASEp4UP-!SZkNpKM6IS(?jC2=hTe?77dJ|~tb&%7Uh&o85 zU9Cmi_1U_w__*$iGY3V0;GNe2JOVP(`7DII3AAOwxit{@%gs|d_&fF4D9D+GC|VFi zIgN?d)LX3%5P?`c9}=yE0Z8z#LWSH!EvPo z`J^Z{_&^aPtd!|*iqbtA&}XwEDmupk38^UE?q4LNncDRF2oynzQt#M}#T8)@t;&oL ziAN}iKK3v?8ooq&jS!T8__MbC9L4whWD}BYB5K*uv=SbQ)ps%5PpQx*L%CP{q?`h6 z`^``40e@q-U8kbASuw$~&fa_yXv?1k+CJG5g$0i%_zCTT<)$a**=JS0A<&jT@e?G8 z4tup(z3HTiVcqqmkOF3oQnkY;$?bK-#_3C5) z%Ska-22g4_%O7dHblPJ0F^VDfHQo(XeE_9XjJE-lmrfg9%ReRw-5s>UHz)=IHg`YO z_(n0@SBkMce)Fr}{QTFS>mS2E|L*s{|J|ptf10V9#fwh=uN&V)Cb(C$=L!=Zm0q2! zMb=gh2y}bVTCGe=!>ZVqT*}&(NuPV5v0Pbc*>@#J+FXkkecg|+$Ew9xEPP<+0=Jvl zE2AiA zxDYd;jHeW|LiXZ_$T&o{&NeD+!wcN(VjB&%kzgB?4QITS zXtug-YfzZWrVqD?8P>eUx5r6y0HoRDz7kLa%w>% zvR!IG15H6>PdD2*M>!lK2N&D$=ACZDO?mSx+c>Ksqu}eC7PNxG%{CZc{6IH+c<;8V z`NcMDXB*cn2Q)9-)sQ~RVVIuwUXj*8VGsj7wV-tp`lX84=F-REr{b@1cpC*MPrgAv z9ZmX1Xnk+!KZ-uANYyBGjaHAA@c_zcX$z1;#ARf-uEb8>oL-Zo(-2CM6(`{#5RSS} zB8dxrsQ$=~w#JMW^w!7W4<(gHUbXY|3HBD(Su0h$UB}Axpb5K}#WFCF*hDyXJ1gH{ zcC)ofA7qbo2Jn^Tn2;w~cY$;q29Oqu%#tXbj%JQ696MW)7q5>YhB3mFc75-%9tYJa zF~&nocQ})EA14%$p66xJnL*CiTw2g=zZgfft3S1%FT#Q7L!jjavEi;PaL;nk)#t=F zsvix6qt0VjR$#oiii(JDz<8sOa(l0Z6fv-dWla_Yhsj@j!#49I9F}3|5Bg#yr#9(S zDC_h}$;CHHSuVcunuIq*q#4usI*kXf^=+7AAC zARN6R;Hrh(YS8888~e>SZ0~UIvm8DVoKZSAr~B!8S=P|jh_VOGRv3bm^6V zmxys}BQ*{t;ho<`VJ!HDQ7TIM)ED8|?5RRl2MZ%ksD0$W=1d1S9-pGPO4KHX~2qP)(~bw&G-AZ4HG zSSx&^4W}CP+)#tg2jquP*ZBrbS0$ZnBXzc+?YB4I-sr|`FSZe;dC&Nuew2e&#zmI* zoo%Eo8vBxi?sQ`krV-m1ks9khsO!~s6=)JX*~YxIa~^)To^+#L6_NSWgI*Qm5EdJ1try+cR`Z;Qhi~+OPO(l5W%a2PiIm?CG5s{)9w)wY z(~THT$C_LcQsU<38-!>9*U|^5%A$jyzkbn;%LmnF(G8)vv*$|Kzx0XtYV4&fHmqZY z$CQWNX9hL4G~RRr$LbBK>p+VrbVE70rx;@mv}TG|ux(`pJA&qWIplOf&DOKoUgeN*(+xW*-Q(S? z$eG8p;i~hE_C_}-hiElE`qjMAjf&vmA{@M#UJrq9XhmoN(sR8xTz#Y)Yq(rc3ofYR z)o+EC*%!X?4Hs19;Db3{rpJ}Tra?&%p@)-hcq`DxXpK&iK5k|(o{lRe6TSVt3a#j+ z4A2b)G<3!Mn+xhqH;UVGGM~mexNtpALFngL$9@jQUvy)>$|18-+BoHQP!=*u)A^wU zH`dDVyA|lRR}mx&UT|%eHUTXi1WGE~IqFU~`LmdzQ)0Rl)TVeCw0Hd3X%)`V4SO+- zR4~swiy3uf^nEk*s zS`_ZX)95yzd5*f#&QTU#EQ=`ihvR(o5rEjWbGdc4IQ3)E7M zO;B{PEqj3luFqEmc5#v;k89S!x8pcRyymBEF(O9zr@={3!xTGK!WSB**U+Qxd39(x zDj?yKWzMHPO??i47&wW4n=kL2#9^hWL@9`JXgeS^`);M#2SCJ$9Demq2x}7z@f9_N z5(KaGE)Lr{Np*!UfYJ2|FcUoz#>p1{& z&_=Kd>}J#2Iew+0+Z(kIhFpXjtm1iNLZo5-yF$pB8#B0BaCXg}n6NXn`CnQjChaCQ$DdY8U$OUGP8bqFr>G z?YBz})sW{PNDcm+1L1ZPme3P}!nF_sSWzK%>Ta4zSj0tym5`{Zitgs|NQ?%bbHC(MI~T7B`HvTzqn>Dd!vr&Ow5@-C;9|#PCdPaQ;?aJYDQI?s=3RYc<| z%q?5cIjdzEwcQS-_q8z5f*LnXT0&dN>a;OYto`Mc284&)0WYz=VNe7KG}oK8pe3~r zo9nQ6Gn~y@{t-Q^sSgus_gTUyJ3O1UQuoq)&YO>ymF9S{5_2_cAq@KNrRm10*q4{) z*{n5HEV{%DFPSdHTwOP*F;pS7e=9|hLoX|!@p=z~#FLiz!mJ24UoUUuN_2(p(q^p<|wDkX{Hmy)!=aZsHImh9!fb%8$(Y)g20A(^R7&+a0e|4BPO{JE(ezEO7UULOP}2l z>0CPgM~GWrdYz{MqNu zi_yPqZpV0A;Jk?*Pz}+yDy|5aTBg!Rkc#%jLIXKomfDw?+;~J4SG{vZIpOAt2#;Xj zQs_W$dr*|xxsX)WnDye;NV7}uy*IT|W^QA^E!`?6)2=&raMpJH@MS~;jv4iU_K_X) zuwseIow|ShUgceh^_ZtDQ*)B zm8rv~t(Chla5uLX>&Q)OBYe;0)HQpa^FW?Ob_x%inHgd|nP5_(%IU@>(>}%8FavBy zj4?wpVygX8M9GA9d&R`koiWpnIdxYC);^*PB9H@w>$4$KzN;Z3Lc&U>H(zN5$}xSI zJs0zE%!bTwqvwi?G~0?#Ij;G`(q}^$JvT@P^+`b|n5y%Il#3^!iEP^@U_o| z_}6SmJx9+Kjwpucg=-@zmFn+Ct3N_L_GdL@Ivrv5&OGcjdX7Sz>$4#>`jdGm17NQH zdG}M zJUp6jqma3ujJ<0iO4+ogRHZG53TB-ncS~{H1(a)E^s&~q)M<^lm;@c?k-wR{^I}@H zQ7THpE{GB6!A4si3(~+37qmf@CInSCk)laJYF)(K3m)m>8%nE9drpvBKSm)&C$9~R z{41Mz?S9d3E?o!hoI01Xvv~NZqBX*m9NL50{GuXVLGe)N)*eJxGYefSEhP#rbrKbG zjP+#nG`Ii|;|=*Cn8bD21Rp`w#ErC?=P4V7MDc~4=@5ouw2Za4VA+o?SWJRe(G=mf zFwuf_%3FaamB%QFh}MjH#~uhfQxeIKM?s2y5FlPJ+A%%H&(HA?!G?KTfC24eNrd_H zunTy<^sUNCI}{u?3|a_{5bRn{+HsGEOv_>V{5j>5Q{Uk-h zcldr&EZV_zJ5@~@SL~7J3A-a5V)}9>?Q>|yj(2f<7zn!p?|hAiROS+gmpbs(f`w8J zm)hE>A!LY$n7vNLJsx6&x#~H8zIet%ut6>DGRX9ud9;dnn%>_Y>&Z9j!kvrg>-&GU%`W9y?G@4AWT<5%Jhhe@uwSVh`+Hgc#`Lt;ee# zQo0jOw_drYMAF+7`bj*FK83E+J9z5dfeX$Bo}<7#BZi1Mup=LQzG#> zB{Jg@&`#npnh5i-*xAQcEpar6+8mqHkJDy#NtkF_o?{|)Xangyb+;Al6#5{#LGJ3D z6Y@Jxjr(uLM zYWo-DI?bsSoI3Z=E~vtAZ&M=cIVHjn+1Z6vxA<`m{S>=02iCfcqdg8Nf?A92UWKPQ zHg>qE2QyU+mH&88l? zH)7!q5rk#Bu+@$pT%8x~QAU-rJ$0>4#^EmamDzP6V1ka;CVp+iYLB%uj(qxM?iPT0U+z#_jIfVX%4lgC(Ti6Qwl}U`FcE%xY8`i52OE_@6`ml^e*eaw`3Ah#P zSGNEBx4-)3cfa{u9QjY*|LMQ~?hhB)_*w0A!$&W=VcXIuDT%WQ$`_FwgbgWwV*a53 z$TrZf+Zi~`3ZTobmpmpb+Ksg6z9HFHmm@0Akh#&^4$2-6TBoR4?P%3-1EqYNNk1E1 z@ttk}5w&5pb0YCt;dNWPA?Ljp^u0 zx{5G`CYRVp(XD9NS>$kHm0FO^`(??4Q=B_xbaS(K6c2guH`@?Uw6Q3$_)J+b4B3|v zI9=m-PStOA3QMHvIopFVSZorVDRhWgTtc;v$8!?B3PkNphA|OY7>?5NX1CKIw+dY8 z(4=1H5S%5fE|b~sN%S?vTSwP*rK|Q(Dw%6%8!Zoq+x)m4^DIh!kjYTdMHz!Z6~jz+ zMO{n>6|&Jb9i@f77|S5Keh`D`SL@ZNq|nKR=RLfYIm{;3GOn94Xvp)I)+;@|$L+A& zkOYQV@dDAK#B&h+EOW$YY9BNXNAMiL)1$gf_eGXeP!Q`NZuyV>otjd^-KsC)Lod zi=Ao=P>m^lyTY*m3IvYz9J8Lmkb1NJS6S#Y)u6%=pVp4=RD&{uUP{;atuPJKeoRUi zo<91^hy;HpQQOax=)L=D#%Y@pNAa8Wmk~*QQH>>C-BBmPs#nJ8VQMyv%xRkDR;_)GAM&~13RHKxo^l6XhB>H+! zqR%TW^XoZ@j*_m9*!v{9N!KKL9rZKtcGT~PjcJcaTgW+y-rGC(Li-kz=-PuJjZqnI zMe-^>f?V_xb(jL@@Jlr}d7u7^bWKulq9K-eE#(p+keGt<%$E&)b0L2?$F+9F| zu8wiDqd3OfB)VtlflCQmIhA-RZWuD92)Wi@WXsb7Jo|d~T*Z=?XK^DzqK7@TS8?M~ zx>~l7jY2pzEgYR429?ZL*@!RDJ%`@fpxBvuWfo-^2u81MczeyED>KZwOI>BUt{CVS zz0(3jK0omro3*>^Dl4sM#c1-vW>+|*p0$mN)>0=J+QQp0?)5c?zJ3_;ST3b2o4SxA za=ODght93$ZmRz5$48sPBTNY)4iStoc3}jjA+Fj+Ob1YM3j80&?bt`W~VAoFsqmOy~)EK$|3{GJm)N~C5gLI_)>watuJzoT4 z?A49@vAWUuh51(BRS`HQgZrZog>eVAZVy&pYvpe>-L`DU#Q4Klqse+{~yGo5azd45PhQH{QoQOfPRJx!rQQ=NP)4hw6qq{T$o-A8daloqTs1J7(cX&{kLW z#d~^mJq9rR)1VPq7++8v?S~m5CfZZf?jx zRd`N)nDJTQ=)G9fH|F8HU;paopL((WkDvYP_kaBJ@4o;2&;CpL{*V9lcYpemILAN! z@J~Pd;fFtd-yKMe@rE+lQw(iPDHT zpn0j22<7+dCmb-aWpcQ#a{UzO@#4by%nA8YS1&u4&sfwZFKj_aF6tzMo3vOZj@)1@ zjGOzC)5OT=S@_0rSQ||dWawIM`yX7 z=k-${4TomC6r$n_^PJCq+|Pw?kI?$kEoJ)jpVk11?o%GKK^hAYSG&~rB;JX(v1fc+MXg4QT-lop4^>lB`sPt?6b*IMWDY2@+=sr4;>sCxro;9s9BFUAsm}U;yyEe9{-# zv+i9A#!KQ7nO+a2hU6aA=$pBq@BO*G?j5LmhdEB#DasAZp*rf`HAfVgi727xhK$mB zJL}%&?8$xBy?3{8U~aG9(l4L-zA9g@B8US%Rl5S|+>9rn7q5d)-5Wfk4>qOu zx_7^Pa&*@q*ctJ%Sji3Dxovi&2J^}d`)8^3t0&jKMgT`qGC#lWp62<%^iB%qiwNRd zZcu=wSn0M0rmXu%iINYMZ}Yh)7Y&lVOO)u0Z2jgG$UXV{_DOMdvrA==0cEb!r^-D^H-GnL@W$5jm0c_>$ z%uQFdn-^2h&fHxvaMsIG4*9msNMi=@(m*_`-J-LvyQlIo8!S&v_4i~jy=DOSui0Rx zGxx39?LJn!*Lwz#yC?sjfBxI=e)-#v#qPIc@J$ck|J%ed+~#kn(tk03L+*Y^^tsos z=5P0z5^(%r{^ow%{LOzbe+x7EiBIo0^TGUWp7UQYe-i<_%-`CG&6{v`fJF@pGotJM zX8sobYV$XHd%v0gxcQq_={A3RdcT>UHh)V$ZT|N3eluUp-@>=d-^Op6zlEpwoB4+M z+u^vw9mho^Vo+qS-OjOua+|-o%lz%~eA9m$oiL`o|4Q>WMh1O;n!laiZy|g0E%UeX z*P6dQz2D4VZ~kV#n!i20-=1Cu%v|DS@E6SAf{tAne))@E{ql?S=nAoDoogWV}@J@Q{h}uQmeVbSirQsz*!ELX z2mQU#rVxxjjSVp6ARTNef;>{4u&`M>qZgX*6Snvo>}OvIJqTV9U}&pBcXW#WKy$RB zeYJ^OchBaq*ZqCQw)e76T=!ZO&b#iY;47(8ba5ujLF$!ar((L~wbbWtDQMSZp| ziruGd6$~voGLKH(c+&jSN>10t%DevZK`DFCWk5_CB z(5=c?=qfi?Tn(PyX-4dWg5Yk4kBt6x+v~XY#LLdUZhPq_$@tajb)e~E-luE}9-*+0 z{nbw5MJAt&{_G}c%mRGuCNa<3-XX^54(+~hXmx~B9J0d4F?8|~;rrXD;5Bj0oeO%s zFY7eZNAYgWQJv34x&9;{`6BcgLSMNQ_|#2e-Lsp-oI|!*2gbg<)6P3yos(fESj2hs zxKspfCTuQu@p^{}@|l5fat>YAhfa=lW&|Ck!QY_sLqS4EyqnR+J#KhT2I^XV>|VTX zOP7(f;Yt@DgCsJsw{W;FDTv^{LE?}1 z{*m-M>5a?KYI+GWjm!1DZ&_$LMK{xeS!i6&W$@3&W!oE#^wAYjmy`_$QkNVbivUnTkD+?OL4lRojwC?p5Df(^qD>Hb z6R#SK;+TFTt?UP_%b~X}i&|A`1{)*pG;iq9gfy8iEj9XNF_#pAToqXzf)&P%_G(>L zK8@lRHP2jI9cM3rg6qR!G%TK@715fOZPytP!tBmjNItd%&OvqWb`WnOQ_5E(Xp)dG1(@(%e!x!hQUY-lj z)@6jN?A^L7ggHcJw_eT4-HVs~G@_lcK6{l-d36vd*Yqg!)Raq zEcCzMaMF~sQF-l+%Kl5EGQ-CXJgKes6F$6j6?C7hwKpo)&@Tr*&qww~N*AC^WgGuc@!QQJuSukP`XM-|~OEgUh{A^G*XNR&LZGZ1j z9{2s(q0Ef)u8e7gnWEi9+cqoubbz17t3BC#=}+z@qC?Ay+4$wgd$uR1-kz+L?(NBK zTJ)-^5QTLzo8M?3(3XDnCx_mjtRqHa{Mnu?pfC;Q%>JeNfP2s~-N)t~I&`;*0t zCn{@N4GI7P5v%*uo{Uz~>Pn(NdA<9SG30ap*`G{%a#-_a#=C!NPmX7MvJpStDE{@) z$T%Ng`jdP6K;t$$4Fdm8|H2_ZMmf!jPxK0rb}>5Y-%zo?N<^cmqGNhwEJJ2A(&lfp zC!4?6pX~EndvbWSCx5ujHm?#w zh~I5+i?{YqB%)TW4~ zK2=**?x+%_?z#($U}a#$NJfy{`|-9_1EtAKePY+`#=7; zTh@wORz2Oa+S4t|{?E8&`7dr+$k8+VqJQCQ9>!4-uAOdK3z8Fp<+ZmdhrKl}&v45^ zw(oMwvTtr#N{IVx2$eSgmX}Pxn&7ajx-qVOXMo<<(9Q7UR-WjT_yXI zTUNQ;vT{lKotIlyo*wRW%c@`8vciwMW#yo=_vV)6Pq!>okH_>MbISsS-ELW}dzfmk zDN`&z>6TUgDz~f=|Cvv2S@EabvX*`YaJglvg${-tnk4tOCNN=Eo;2pvXtH1<(Abx zxn&{Q_Q@@4eY$0ZH@B>yY>sLwgQYLGEc?+d%lYAQ%M!$VbIbC#TUM&KTb7^R+_LB} zzTL8z{!j`|WR-o*T69s8hCJ+8^$y3=Ez9ASMaSU5r}>|8%kteVYx@toWu*^pS?kj+ z%m0;bS^k@DS>-RfWz}!HW%Y5$pLWagKkk+#nD%YAtn$CZEvtTO9P)pUTh{tlxMkt& zid&ZbdPMvaVa}%Pq@) zb<3KDgQuMM>h_o2vc~@vx2$xzW#xuHl~{3i%Zj*V^&Vy1vi#F6tNlf{EO8~{kc-~3 zPtJ+bxi){(Eeiz}tKEjA5*7uxWv#ybs9RR}&e12ctY&`7EDKO`-dlg$EDP;AMVxVP<}}M686kGpFv#ffXW$mX~me3m} z%cH|S9QCk~%iOUuZ#yeJbex5lVC{b(Au!aFAs}%|cx53-?ZilgMBBFTbqf3fBCXCz z2p(bfZkCmyIVV#o{_3V->h@`t6)?++<}}OFXWJ}2&0oy2_HLF{f7&c7n*S|kS4mVmB0Vt`#=2b-+%c1@Bih0eD0>Hj8S7qUy+EMFDQBUuwjTcDj=L zG?W7A6c%B6wCfn7Q@T_JvT@8qM4HnwJ-c1by-cejNP*KQCR#c%vmzGqyJ7vn13OAS0u){E#q0`IJz~~+*|6j``4`~JI|>XIYx02eK>PgIoM@L3if&= zRSq2-$VhLXxh5tbSs3;4S)B#vkhmCn0}pJ+>^(8*dzGV$)iWfc%{6spNHmV;wEG<` zM{Zr?kC}5r97-XtR;BZ9jXd%cU*)BJf;5ySOcD0=7IS)N=(OM1-q>Y%yYvAQygjZslY zXGG-vq9T}pOsyDgBQC8+lvF>=OV(2na%DQLTpcte_lidvMhbYttAWP6)auu9XGrX& zRzC;ws9;Umv55F0RzJU}h;bJeiM`^X?fFR&k}i6Aq^OB?8l)m61i`}nz zNkz9w;Bg4YS@B>RttlwKsECN*S@D32xD|P)ocmR=o)44uf$PbGsR{4TiiZy6$e=-D zCU_}l1C4=;=rA&?wRT&`lZ()b$(W?L$nxYON(e}J;>C4Rk)eBH2{)R|D3(y;lxHd) z6-%uBw8Vt_iAkOb@2u=JokXPB*(k53TMKf!<|V7e6ik~#3m`t#=OqzOj46cl z7{q(Eb5PF`{GL4khCq40U7Kdi`W)gzdb81@2Q}*b7DXJ3Y{G*OTeVT~EU|*J;t4M% zLdBvT3hV-{j&|HP-`=a@aZ!;j28q$Kw)P+ICG-MeBBvnBF&pO9Zz>XRDuN4v z7@rbVm_~g;wrBr-qJ`$2iR9kD{}2CQ{^9HY+yj$1V+IdZnEf>r0~29hGJWdbH?IbM z$8702F!`b4(f(rpz96)13Xoc!K1@d4Q1R}z#nRysB6fo-+THu)=Jk}R54Rx8Qw-A3 zzn__getfmX@?UMSj_zH#bRx2fh|GN?CL7Sfty8Zwr1Pu?(ZbaYhaVADBvwbgBphoT zy2#|8Idj)$XNSOs(3lCrSmLxH2^k5>3d;B9u-={Do_h5PP>T6UA6Q3-&3%qYI-R5~ zYYUpveLyk|HTHDI%A#c`ndLZt<`Y_pEX-5yp_qC$)U2aPPd>p^jC=8kS9ktZ`8Zyc zkFdTE#l##<*Q?)2iEn&jeCpNDS9gBx4K?2Uo&8Va-~RR+f-L1<{`gP-{BQsLOJn|Q zAGib^;ek%XNI#(nlw#I0(Ib)@6CSieED6LT{Ez8PMI{;|^dhj71yGx?$Eb{3{i5Wn zJW~_$oDFGl)X9X7*Sb@`UX>TCHcL}ZfYc8=v)0;jyTRVd9irt9@l@1M0vv%W(nTwyKaH#&+eu=1%vOf-FhZSQ(Z{h7ORtVosEnIfNMisgfr3bu-8nZnO7gP0FjA~sffX8ND73P{x`ETQM2uRzCZTO5NP zdN&XQItFm(t_mASsU&?+mr=%fXfLIVm5pZ}-1SKeS4-CC@$KxMbG(^5dH+r1f!bFJz^hy@SdmbT}@d#nn zkI4;ke+W`wou&=1y`shP%cu=qG3)YGT-2pS!4Zv_72BLT|u#0-k+g-KDy=qaess;8K zr?g7!R6#gL%z8Yos)ZM5+o)O`LuU#Jrtq$;GThqn&iJJb!mKqXQ3V2=;vEHooL0S5QgbTl~t;}n1U6eKYQ&zFohb-rex>$qVHt3 zAUm(e@E=4R1F{fM;JRqXKdTn;>a|zGa;xcMB3(RQ8zEf2R-;%)T=%L?ro^2@A|7J+ z^l>Yh4g|O2dO%KGZP1PVAlK+an#R3Sw*;mc_lwM`UZBl z9H}UvrmdKQ6^J6jEE*g|;Lql$61uU55=*0zS+p__T6+j`$gPb@56|} zt+<8n51KEuYBUTNQH7}?MI8NOz@z*@%Xb@=lPQ$F!{KmE&)b6uKH)K9I#i)v$|{M; zPTZ`to=cdPcrpc@L~()-jrLkn5qhmnFI!m0_`DAFIC4{$m&?tHiEf`49HFqh>%CEq zszs|w+o~5W4mX?HnZi#0PVp(X&2^92%RDzmeI#fVo%VShI=$i0?Rn77_q{P{_0?>a zlEnd0@Kh{Nz&`>Fz)BN}7edRkkVbtIZrpk~#|2Q(X@bS&Qh|`_*jCNq>5STN z$Q9`r#R|pv9tuFO*?B4!OnSt0RV?bMt1`tA*XP)`!+kz z9q8wZ#j?=x^Du8bfsWSnE#bzepVjF1ciSVgZqfU&4pOlNDdTZ zXrGlE?L%J}^M(W*o!0BC)joAUE3`~!!s2mMlYw7a4?%5khqgKPxj4^_7MB+VSdmSe zv8$)lDgIB$#nZ<@i1MCCdf3mu(!a7q^0)uy?*-oIUsP@nOx>f1Srm2YrlMX^LJ%6+ z5ad-<@M3qP64ne-&iS7H6)c*@9mU5L1gZEm)*MkrFP&dBqW{qA6-JD*UK%V6b&Fu= z-}dXT&M%f{!@m9&q1Dg)B6WUYPCu+$@$uEM55M3;-F{fJQ*e9ni?ZK>k2x<38Z7Cz z-~I9zKmQTy-Tznr@cr+)&ijA>)JkBJWKBOTkO5 z8pG;gq$sJaVp7z`*?0WH^JJAWC{~9!?hHhMbC5_{(T7%;VgyuCN{D#ZrotXcv}D^t zGYyYk6x&gv#~a$wc{>Tz2zvSf(VeIhs8B=i4(fVIxnirzLi=Dd1GWpY3|!h*Wt(Bs z4sczyz}vjg2$n0JYeIYn!yMuz+k%!n&D!+SD=r}UsCUFoq;k_xk9B(_^nDtJyUh4r zzE*e&E_j!-HJokjvTx*$p*}+6Do@u?#hW#qC6A%~^koAEQShF!be zZdFKMym|B6wO{;p`=Ex>?^aL0U4Q%SUj0-n7tM(&!`@GI`t5q9jG8mvRw9R@FUv_( zj!_Y8YwOEz_f(j_>~`0!D)1^3y+j||Jp(-6e!J7@x9frZm)|ZO+wXR}6|aLgzuoZi z+gdHi zM(kgfqWy@kK{sb&=0TgpC~*`o)QvcDNsF>=TyPB2*NRg+x)WNDJPLU07~McGf*1%N zVhxM-KPcA(e^6N7ZGH@NQ+2D`X`hhbD*K-4dsRH}Sip$p*rMI3>?7!cWp&$>s|YTo zJIpIO)9T%tGLt{%!HPg~$BLm9l?SFO(a2{4vyI|w`W)z0>7y_J91R>N_{8Y6(k~wB zdZ~qbd7p(W-9B>PSC7X)QB~(o;awX-Ut+`MwdywqN%9vlv>pd#4 z*iVjD^-b?FU1qyA(ubx@3oG$gFO@yrcD0>vw_Q359kn36|B(*TlayG(+6rpva*tk1 zEap(e9M1{hSVVh|l!qGF`{Sm)rnTViSNPbi-EDUnw24!=&35;?nC`Y~m+rPZ##7sC z6^sxov50eoz-TNz{8TU~4^?FL&@I@z+3t7-?l$Zo3$(b+cXQiO%6Z35x5}h$B0|cIPM9T6MSGM)dvY zwwuc3wmVYEn0i+gGT7rWiuG)OwoXrk{!(wKTEts$ej0R$G26w5?ws4u1NdtYc)h%K z#r8zymKg&!y@sGD+Za*`%t)j_o`voYii@8*RJ_2LWHm1Q4sr?6>h};liP=95yLkHgRP)9sr0pG zx1B`{Qw|1~sbciGjh6_K%ikE=aBoG7dk&axDnmQfIvwG%v^sc!uObHRR6`dbVv1_? zvu6xg+dvx)flVkO4WI^cg7LJ)l3ahPNPX22ofSdZ)D2cBMHDhzbZyJ+X~A0sn{6*? zn3>LzwE`Z6Ozb7}wT_3~xNJBn$C}?Pc+1rymEUZw%zKa2@m2P*w@8(5S@4#3p)d2- z$v)y*cTtZ0LyOd9Yh`YwuhsnB-&^TK z>%A(FT19?sO);lkaBe7iAIcubGB6}RS*odfZ2P8=Itn6fOh!9xl1+f$DC5!MAh@o- zRoYz?i4a8DFlW~Cc_cB$Eo{q>TI2cw=?p4}p&P2|{3xVoMYNI7hu^w}0n&`xuzB&_ z78t7==7T7fD-aG!*w^2qu8~vQqVUJ&4OxfoE01rTtBl?%ZtI`L`M6fLcy@)MZd`W@ z`&(F*vV_>A5?9S(+iVg28M&QqVw>72`tCzNQXzqv=v}Xv7N*iFiYR3rP;M;@JWxbf zQ4R#O31*lgkdcsY5x#0w6hg6?`@1nFx}B`24Mwrc?rGyhLU;xAdsmmfa1#je^KKMg z>Y-5EB8s#0T5n@ocEI^=`$*MJ*) z7W~^{S4Ns~-68_-DWhMQ1fh1TkL++2)ZSz=JS;8OCaSI2eM+ro!JFcz*?BSaG6{wP z?QJG**@Z`&bBoGzSgCd~CM{fD9|u-vMgd(%bkxdCmkxgK>$-LDwZmscK~PG+(KIyy<$%x`~_LSCJZM|#${$*Lp zL0&-($BDP>Sdcj-3OMy4GO2f}KbBrDbc@0r-cHzGO&mpWo1!!_qfd%L(=id(gRuoJ zCqyDZ&%Qh&EO3ogCo$5tr{xw{6q_X=W4e##kutR25>=4M zQtlT0EJa6Y)+5rPsb`OR`|DD*gD`80NpxQ!Aq)pXX@~I1Bcs$^FoHN7O8#NM&~K&B zkXy2(h%+)CU)IH$v{ z`AavoJ?{MmIEO{usk4(DZ528d&28AoYg|tKwb%lRyP;F_4L`^TPRy9LPDc}pY5~P- z8#0Xy(;J+FF^vq_4ZigcLRUY zkGg?BJmJtIii5foeOchn=|JF2*4CI|q*>@hjF}psLlfbb0ne8fQA{D_xLVYr-hv%q zFkmVtY^~RB2b+wx)>-fd*ACKmFY3m)4fCN5@AIbpMpB+SlzG=mi4Z1BR4>Z?5tdFo zc4vx?NCB2a*d1Z;I>3Z7$D-YS?q@+6b|#%tg4d~wWAwXiTKiOO?JVpmrrChw>^b5i zwW`$U{hUpLKO}z<(()l3x5kq&3B)noq&Z(Gu3+c17{RzBY_NyQhGlDmao#rxzE=ld z2K`mbAMX9~PwVW^kymMd{HO{vEr&I#apmmt7?s=+d5piyV>Y%(vAIv0f}1DUAG5Hx zW9~>kvEcUUPTmyTOZ&DA!@M7QI6D3H3l9ryDhx9n#F55IQ-gfD_?Irj4|fxP<4GtU zhzSeO(ok!y-PdUmoTJ5Ua1Mz{li_EaPj;kC*ym|wPetor(6AhR+EfrLJ@hf0be9ZY zJ3Pd!H#U_r?GaR{w{G`>GEv>qtU|Fw(lc$tb3Qp(kanFKd4+^xWap(*<{38WbrRF6 zzG5DRT^LLI5;$k(W7xMTt&q}aA}>6*&I@=>ogMU|+HIY9X0BkpR`8UydWs`g(%KAR zp5d7{JXbbBr1*;G=4;qw$1^#4d*M0Px(?beKPUS1MZSfPTDcw zFzqR*W8%%+stLHYtx>UEg2Um|1FgIg0WA%4+M5m+!@0t-2yD_&&5>-!ilZVR$n1HEM6dY5NxPH{M=md(_i0D$^Ta1~%G<9k- zB8)6vXGAm#AMje}piE%} zsCt#Ce&rNA5@HBAb(OGJJc958I?xykieQu-RYNMbA3Nr_J`@q16vOw9R_o!xL(?)KeYEk=>Ya!7gfjUt-nsM8@|}lP#{82! zw8Nti#hBf1YBE;(8U0{w{?d48$AgDvUwCM1(-e*v32c!9#mMnHL_K>pV0I56zb)WHV(Pvz9v#?Rep#X$!7Ur{STg_QFG3a9z{J zB+{$%(6;rJhsNF8>XRU9=b>c`YHZ!+HNNxEfT4$qRK%HlOpS+@M|fz0>yBqRrp7~S z+Z>Nqot5y=aQ1|UMm!d7Tky~-JTxK5qs1RI9$HT7UJ7dTMP+S({ts;@+<9oUf77x0 z&O^%=53Q)_`GSWQnybAUOL%BHSoN&ca127cYdZOF@X$O*A9h)_@z8WmMn;hr56!D- z@CAj6)&qc`4|q1UcKm2^+)&f{k%B!P7Z0sTs@1dm#zX7s`Aq5wB)AZU9Gp4-3LcvMxjZx!UgJIC>mv`%PVp-q+OpU2GY_r&G!IR?fk?Vn9$JKl zrmeb+Gd#3!nRLDI&}!qMeN@jscxZZ8KZ}Q!UwCNgn>@67@z7l7p*4y2QoiD$jiY+1 zx}Vzj00a}T==LXkZwC)8f5}6e?8QTCwivea&_;M@zP$3#%It?%9@_E7L(^(GW+Fu| z9-3OBd0y+Chn9=6X}Fud&f^;oO@EDy#oM$_N4UY3ssSEXxp-)g6qgeo8lfaX{k(JC z^R>c+5AQs*b6cB7*H=6=^&RlgwkHow`z}WP4$UjP&v}C7DXM);v8m@@cxdyThn5~Z zw4ig@?sqxV2#Y1xyDl9pwLXMw! zXlmP{UPO51p)K&xd<${uJhWIQ)yxk(G~$-wp)pj{7LQpc$28Tv?P}&G9ntH+7(PU9 zQRZ9fJhXiA(6os3o$Zr{#%%*UG@m*TjoV3mmM?f{J3KVoM?GpAA99sf9$NjxLo2q~ za_Pac!PM%iM^B~ad*RmmnTN)xN9_SY4LG}c-+5^DXY$aVqn?>jPr^*-GY`#$FO>7; zRXKk&Xb}BdzL%MPCJ$|GJhYLs5FXm`!b7Xrjx12hqV`%qx^VH(9D)@+%oiTo>D;BfW`QGJROjE(RS$$YO~{oB}sw zdcnvi>9m>jsnZIiUhDs6k|vDsE3kq8)-I~Y?ho4(75Rm3c0f0KWt)YIZPwKB#uoJl zjc!Jkl#UTc&`_hBZTSf$hI`1%gKbvsY_n*y9`QHmX5rn)>jg^qe92j!P{Nu|bThkr zgKjoMH~R-KF!6Vv1TFjbfBMrO{__2gUujwhvA9`vA^8Yh*0P@!*4HNB)?_V0Q+oV# zT5&yK;g@A+I$YLWJwe^8acM`iOE+DUzv(mqSX5@MJEmT2W*2q_W87ltXRShfTEhJx z9bDDIoz0>Dwg@1-78RBs@dJZP~A;qO8olM2ca5V#n% zNRyhzjx6Rw=X`(xyK1edT5)@K0gGsx^1TUM)W6SnVBrB4THOw0VG$_!1}t&|7XBSr zIDm!DCBjv6b11|qx&ez#zyf)E4wu5km#4rbUji4ktkLBrtOX*Wi&6u-+<^rwC;fA#ic~`2ZH?u5f9{;sGr37Xp``02Z6MD_lItf*HwA0E;qDOL_Wm9Re0(7r2;X zJar!$I<~0a4lLC1H#bd3;FtHL9$dfzflJiBM4PhRppXbzc$+=!S@#iG#0Ri= z3S2TNDFBOf30$n(qDe0bmndM7F}m`n2Usljrf-;a4$zr3feWmz4lMNBsaa#A3s{(j zEOcVVtom0E^-FYC+8oQFPHYS}^&iJBU~v?C-da|02Nvl2aWf@GuNds!SCGXaWFcU& zbzrd~a7hhVjM)p0*=KJ?>U=)l4}kOixYJAjh&&lI>cU{RXD#e8u8C2$Zwwi0wmxXvYewdHyMi+lkK z*MNn2A`AVL`{;o*iHE?2=y3J18DMb&EEcF_4Op!6DFnIBLVesxPbUf&;MxGN7$K^h zNh|vkun6x07y2myi(neESap7bEFJ=vS~1K6SmIk$3SR{ajeF$7&kWJ)6Hej($>v6M38bQS~)&!YwtEc<#q2svua;a~zBv2x7 zNkov|fkhCouwM#X4A-1Z;c{|0_d(#&fW?uP+66A@61dnB-)*^yc`9562ji;|$WWnh z{ko?eS1zm+o4|#zfRQdzmCO%B&y0DTv4+y7wIl~OWbqJ$-BFa0eDm;L?FbdH{>mfQ9-SvZ|biBGUFgn)6c& zLO<}zk~kVF*!NVNOC42Uwx@1;1XvvH3V+ll)IS|y4X4I9Stb?Q*6GTQEX%8kB{x{H z-eE=MP>QDs>Q$dA7JFB*sLjzKQZE&Y|2C{}4OW;(?!;Frmgy^%{41rb{@KSe1E+98L2u~>rEUr1(>%I8$A&Om!EJCF8>LL`4Tl=;CE7je<-#!U z=HPZ-52j+yt}l?KSe)adIW6qUF%^m~mhvp%zKSA;aXI$U22RRvUY4Xj2vsd+coN zF_aUhHpHDgwm=>;u*Y^EyID;ex#Y2w^2#3D?8P3dgSN4cFXXYB)B*0>&8Qn0Vj3)( zL+uxgv9TM=hq@%&*<)mM91r$bCy#NdtezL6YUjsn;3APUpVka}OlPWoM$k6jO4f;p zTgsiQo_RQ8o6}xn0aT9Z6DZUOtKq$Y)q%aFc2SHZHR*q@C13RKps2H zR#M*7MeM5S+|zaT*zl1(cGPWvJvQwh$YZsU$IRF4u@-%jKeNXI4#mNJAdhMJUF!k2z7ut=Zeu1~-4}RfdOTd8j0sndtYRDi&8v{) zI5HkFvv8s6vJi0T-q-oa)5w+7(e9-%tcE-$G>d5g*~Z)tyl}_Xb+YCYd8`U1d_^9E zXl3>I)J(!1QyWxR`fA0OQp|*9t2(3R2Gxw?wH7xtWb2ae3IkPTU;FU4wK3)^~9veD& zY`Bxh)(?iiOT)v4?Fiae&HLrvUQd755ck)>N3G$eZ*#~*7amNsq!yU`EamVV+9gj|A0>dDW`9>ZKbx~!%wU~012j9tK zyphMsD|u{vA&+ej^4OQ$F>7>CcJf&6O%A(N9aga?-^gP=ymH47oeM2YX*XY! z$4H^k=dZQpHMCF~J%r-PA)dEpXy(-~ZsWxrGkWAt@)#2$$YVInG0b@-kG%|tK6A&+ z&nAy$lm+o&RPfFnGmzXHd8{RpA77KlzBV*_;f|S^PdBFV19_~rK=PRkmq~5Bj>&r{ zU_9_={JK0ml)CA@IQjP2yHT;IrJ0WHRZJf=SQK^_w@SdY#f+wR=4aWweBel|oFvWQOu zvrZnX@My!=+%fYr$YbSg;sbZgKKzh<=Z={d^4Ri19<%%UyTAX{Z$AOE;eY(_;}tIc z{U5*kQUCD>1>AyK?W*<&6OX;tZD#On5$j;z#37<`s1Ck8i<^L12$+sLwCyqIYqeTV zvmZM6^<>>HJmSy*>6qK*9R<2t-x)a>6rFTzqriF=`g0>1+rs@JR_QuPCNu6q?;&#y zNbrk#4ctQNK|ZoRL$g+~p798&4?*qUk>)A0FVz^TZH{V7RV#Erj(A%JH3A2fNd)hRF#;U3St$?r@h~=;=6xi$?nwLQZaLMM zf?&4#$w_EZbx+5uY8l)L9bo!x29mMyIMNf=uV?qEAVuG`iEJ5i8hlux1*$CQ5S{=> zda`yrPlrj$$W{14^EV+QnvHilnQ=A8geDZyV|bzhN9vq7)Ac7?4F~k2(FyY5zXfMQ z3ry`721)tYwI2}9KBYCPW_Dd0IErff>nk`Sb6k&0#}19JKG&&2)4-9@0>pSOYC9BW z0KEIG9t=Lku_P|M2bCP}V7RHO0gi-kXLTCt=_{d}VOfmMer-g8A@#*8;kW8tT6{|f zM;>rQ#FD4H5+#Q|=@`k`D-9gcuai`5F|WQo>>ZiJ7QpVcO3i>HN} z)y-HzY~eb>Pna!f`D~{O<2vDot)p$TW87TT&)g7k4!p&hu}WUOPk0`y!yJsm)Q8KKbn>Nm(mH>_qJ`H@HSA$eYd&H~jjwuJ+)Kp|u zWRA$(#NI+uN8m6@E{A%_KyS3@{LxQ51~piIP?u<`9`6(}y4QKlWdKUaRqZbkFZzM! zss-!!5qNH%x8 z3o%vJ1M|(3Am^jwkYM&^s$8)@iR5KBPvsGEy^2ler+GAXG?%#kx#rt^n~PStj;(cY z7!sH=TSiW9VJeOPTXr&XM!ccejg)Ey8uzP%~ILp?s|+X-sFr++SNDGi;451@FPjy`z4B0M60>O|WjA|;*`p~d+O9C`&ISI(Y_j64&@5Tu* zJM)>n zFBHPBTkvqjDuAK4VUVOwVb=Gsyy*c6%URVoGDkYys76aDBu!LKomPjMP$Vo5Pi0Vu zH64avT554z?fEW*k&T6!SJwGz)x?Jr1CMiCMy?hkB>#jZxlVL0SPgaw6Dl~a!cHC5 z8Df!k7xoN+PP%9xjbPHcXy^MOV~eE+J8b#_y>j(a(X@MUcND0?f3>5*<@rm?F(Od>z?e6p{nfp}m%(j-^~~*luF{IjUpCwa^`{ zhdP+t34TmSR~8i$trF7$BrRhqtq}rdw5-97ZfeC0BXr@4&a8|Lf%eGU@*`8-cCE!L*JazyHAPa1i_K2C zi4hngS;zRKXvO3;Whi^V<_+)JBScE(>jQpM;sncL9 zy@dvS6v*)|nbOfmZP8%QWS2D`xI)(l`aqmLeF%0T{x^*seXJdQfaA2bRNGujkklhO zn`QSnlCoH>cD+s)0@IG9J)s>j3V&4XXtjUFX}97U?gc;e`!}zFa6BF zuYUR2Kl#6Z|NW2pqaVNf@y{3XnBkgb2%r)AUm&af7ThqzaO0|Xb9xHcUa5LFn+`pn zrvJ61(-n7H^eU6;(x0e6 zj7eWo$zjy*f+C0Hb-}6-ph>Lfe$$pFOlS_K2pev`8FZ-$*1EJ#`zvr%-W*fsKybw& z>>$K(J8tuO9ymo8^^vPiB%Og#&6dC(`UxFO`@SH@gvYPSq{UV@tqy(9sIFkjJ!-Q> z>3Cs83cLPi&1!pBVrulG(#Ew8vn>5m1d!{GW&*Z`by<&PQ^DaZ#eZ{4XdRVwYl!k zcpFZe3#;XB4QEbOw2I!pd&3Dq#};pMr}(unf?OxHqG|-)PN`I}Ov^yBN>d}9`(W;1 z4V!-^S?k**YbR=R(}L7*5w_3Pa8V5~@78d&hUrSh()pTXO`YPWZLE*+F={h@wuY;# zX<&^DU@Ta`noP2mmbJP0xki9@JLS0b6U^B|o0P213B1GlYEhd8?H{pPWNmT--~#HQ zHbg8s?0q9x3jAcUptbc{)TXlw*_!xo-YP>^(|n@Wyrhb;1o}EB5U)=+rg>3gWGCD% z2(!^Xb75)uHrh_*hI`VWUtY`ZFsF`npD zw7Q-Sap1ARF`zKX-s3j0h_lbQ%_*tcFQheN5jRfvv`wOP?hbKCFHT{w)vRw3H#(lX zIhGoNVHuGnTxVuAKf!23I>&wUC zQ!zuB_YW3vY9+b3z2Y`!VOzf^Ez>>}(g&KZw9VMlHo03UwGD+VNigc^zH>DvTC`D^<=$>x&31 zRaGVvoz8TWfcYe4Sm!_-SixCkeIwtg1u@;oqV%POCauu>yz0np^ID!d=d;dm9kgxT z;}C~%D0OJe1W z^lKesb1UGzsALG7@3{P(XNz8l#=i3Vf*n*Q;v;tRin^gbZ>o`F-PKy`6N!LYzxjBC zZkm>LQ|pjO-Oj8*?ZK&mGc1LgNn8hKJ?rLRFtjij;>u*R`=Iqc8c#wrSvU344jt+< z^+TiHI^c}dO>A;XE^RPW%b?Hu2;ICq;!LCXH60ODl;$cBx1PE=k-F)~y3qk9q%^AF z-*gn-au40ix48|s^!5$S66)SI7-Cw!t4eA+m2tfZ1Y?NQY~q*&+1Jp`4QE7QGj(U` zXZ05KTfErBk-CW@bYe18z^F`jM?Z0~sP8AxxT!5e!OZi3C6up~4> z{T_%0u!)<%D)aipW&+y+;GN-To;GnW*lcS?h-(_23_q}$Z8mYAEv(^nG;r3mLrbIh zIX|cJS47>A3RldD1#Ttk#*PH?sNlb3-4Js$3O(u5wwObA$7bai)WqbuyTm1Ojm#MoB<@0iUoGtAxl|G2C=#2(r$F`B#nVaB6+hhs-6&3px()l>n!{@v>D zsvd(_xqaVtXmdK~>6YCJ6e2geyymX>oEFEK5WfaNh<&s4%N*E3v#Q0XTDDYjkK-&9 z1~ur-YtD`5+^q}d&(;O^IL@XwGUVsn<2dVu|5|j5e|XI?0ed3`zFN(#i+=oW>YKf_ zmdk4#XMXXTQ_WTL<{xpKNdVB=-~Ih>|L*TT0>JX;fBf$EzyI?uzW?#}|NN91tzPGw zs!^;uhASibW7`LvoBHWOb}f+?{hfnX=eI=&N?%0^l}@%%J$>0%vmimRPTO%iPo0M< zcbMVhekK4C`K3wAv}#mU2F8r4TTgz+G#5Qm0Zfdh3=u*ScWOC?9iWl|Vdj?CqK?L< za>L6l2%{uUZvBjmII9M7o^nm_j`SysS|Avrs@agrZbC=6;H_}YB6rne!f$YD-quy+ zn}68t0)K7ZCK5VL%MD!_HtGB0R5&bI?~Ja|U5z z)uwTeEuXXL&N>zEZf;GFIX2!%N4t-c0yj$NeG^Er=( zVDx;q%iP+ryygyIN*gu_VB$KeLrcYU6QpeUoXe_qg>*;|$BSaB z0*|qcw}jAbC{J)NII{ruDEu(#fYla5q@{hal8QELs#J^Wkk*aG?ltI{-Ech&hkEW4 z<&ip?`E1@}q{(K7;p^m1eZN6iw@Ew@)a_c4I2`|^yQnILCw2k45RU-a(P>*|CPmYSbk1`z zYi{<|>vl(Q=6=cRoJSWzS+2=aT=oeOh7oX6@ zH0s{DIpFGnp64WSAw{|{T zQzV_!sW&vWbWSEo)h{Dr%gJE1F~BamuuH%>LfA#c5A_@TE3SJy$fXV{B0AGimipVG z148f_@up)kLy}rteP*>6cFM$wWuLNeWs@kL)~NL? zMDEzC;%Y-zBsDqoh@2>MwLe8pGiCM87d5N^Y+ zfOClxWj|UnXV!8a79Hje8XL*KeU!9Mpp`&mLLVjRgXz7^q}x{Dir$f+9&2^nHiV&X zP6vlR^Wi#LDOg&U03UD@cq(})({31D`3_goWoexoS~(ucoK`SLO=pD0hLn7qHub}B z+iXfV)w|napf>AG-DY6Fn&7ZEFPoja6hSHsI_jBqgy~h>YGp_2fIGM)9`<|(E2d47 zF0`^nyyR5P!oC4k!rnZsRrc2IX-&=HFjPGrTh0VY&A=m-^mT6eVoZ{DJgwhyIolwK zkKop@qgSFUu)M$Z+@3xMCa6pt*o9XYpL!(!!P9z~&T%DUw1Jfku#)DRUj3#YvB%kb z3f!5x9!ZOfbY|zEvAr4{Ji!Wbq@{xu^TFs~)7K8f5c)BU{H3$L`kx7D9e5n*DA6it zp`30Shhoqo>5X(hYs{n7e4U>{IdgD({wPHnnK?Os#OXBlK1IUo)^$JYK15QVcIx~` zB+m!qq`10%V50f{Rn z$75VzbaI!48wPt@k|iGiAmJK~4InYS(b)7K*Br?S$F&?zwTsc}5z}g2K;jxCsm+2l z^#l^W0g3zo60e@s4M!MwY!ru=98UWH5=%F&ZZx)f03-gP{_1c3?$^KhL}UB&@Bh!g z{Fguf`Nv=U<;Nes|L*^MLJ1)*7$P%9t7;k($1QfzgI2Dv|D4BDU!=9iNGFA6o=-we z-LxXk@gRNPaC3`_)R9=^aWBg%6PXJheQQ12vv66e-X4c#2!^8;$ZbkC>FB7H0pz3? zn08t{L5p1n-c8s=HE}4QhS_r$#q83uFBo+#yO95(ABdi3^{S~O z=F`ck)~Y}XP3S1iIS=5wbGB2R%Ao(oI7EvYb1?7WuB8W>Fw|I`Fb*s3u-*{8ig^>k)v3>*9ctq+i`H; zreI!8Zkc03Qg@Q@rA_GuEJAP!k|31^LhL|uiD>GtHuh24AZ zcfi_e@W0n+t9WsxyV#r!BWz-#ntv2VOG@ zDeBOT#X7?l9FJ67ZbDD3F7kfhgnc^uGxHdna3j6zI@o3_&ql*ql-lA>kG+!Qi>7P6%lm!qe( zF@9ziQfsp~Foj{r6rkX#Yg^U%*=;AyB!t_Elllpu(IKV{xTof>EJPBUK&DaCbd>8% zhQK=v*z08$_;7g~9L-t}L@{rS6|~Y(kuQXJ5@M`RsvdiuIGl9U8eVE>9tRnv1a`HK zVZ}NK^+h6kw4irK_cYDi05i=fI_*|iYIE~W8!A9J`6dPEKm2U>q^1QPoMr1*+F2C_W7eV4J5_xjTo zl&zAWro;demlb=OQ78e%g!)|Jxh1r#-p2%Fg<*6w0>Zg-2j2jN8yB5l`(}#6J1vtR z%n&*YrfM||=eT=4B0rF8$M{2gNkzKpVz^hSPi|WFYL}NhXff)O?@=u#e6}49-AHN| zeo^W(I-p3C>YtFq*2f=+2uoaW$Pu7WFPDTUlD^4&kMK2MIUj)LxRFcWNnE=Dll zGydS_7xlgx1>>m1@5QXZS)>$PD^DIexPpa|8eT<$F8O|?exQHFq!o4 zZE}&AT0p$W^abiJf#-f&x}U*To6n8Fh|mVp;XS4b+7Q^tiTfCP)1s+@kN1Qe`0yjN zoR@^0CYsUajye(2=+1{t+VR3#i%+nj+Kr^B@ybca(PzxVV{8FWIO{#Ah2f&GO)Y%8 zIlJ2#c)eJ6*%?4uQ`OtF!`Dr%MJ(7E{HNdj>UY2VyN{O3@w*>>|A)W)(wxf)T7SAQz{!O zO+g!3*deD-k!kH}j?p@6yP#Bou%b?0C&Z!kjmuu(f=kk3KH|NI4ip^ReW4_f0S$f~_pr8B1eijqq?BbXjsw_DC#kS*xBm zmlHxJ4%S*cP91@#K3hhzx#H@qiesqzn<|;syU-!|)!3D(xugZqON7gZm$UwCp6%WU zS~W$TEI}`O*9obgphIC}DARnMD z0Rsq~4Muy=jl6>itb;Ywf%&ABCk%^|_JI z)IbeMNUs#_8PvDc64*JGaZ9SB+wDBIlNcT%WOb-(5n6fx6>1BCXq}46C8n@R9oLab zPtsA+q;QNi1Vo0;$trEE!KlBb4DI8Ck>!kzF8#i-X=EHWNIEYWd1%QoT5-4Axai>= z+8}pM)+uP-V_sHp2qw-tqK?>TEFZ1b)Cb0QtF@)ksvRVwST0JIwjGo#(@dPZ)!I4P zg-X?KWCTOHMrOIB`p2;PMeU55hk*R)4ny?G+-@*&CIHwq^OL0ShfrVDCl0Fj=@o`# zVR5EC98B5}FO)3q`YVGCt;GF{l$ZKC*O-7I@z}QERN=8cUNA)MsnP$P&1&tE$S`?u zen)`PU`XgzYdZAcWO22hG!H^!TBfmf8s?t1Paz3%ad& zIol3LYUgCFxHsN5?V3f+N4C)kieXtccS@FdnmI>?TUf4f`$1@@wWnHO4CEMAvh|KFxCD0VluWE$LIw>^uvD?fEV0MZJiyvvB;KlQs1b zhuSHDSz_POwCYEwO)f8v6`rP*uCNXc5Hf&hyhG~2;#2BZ|9t^Bh zh1aD~vLK_~ryQkGvXaV|Ajz>VBemrU?oMuWrt-Lk@E#caA4^ z6D_%OyG+;ks@UC}KT@(z-ylh5g5KPm&C)SRe!(QUO*z)DDOn6T2=3$#(Ccf}C&g_r z+|OO6YdR@+cRC%?qdvCYTkew%daF>>s_8&$kc1&Tw`#WrNw{z@ual0R^in$}$xJ%Z zT9&(9W`)wf3*%AOUxHrL)xfMkEiuA766|*X9fIa&SEtKyEpQgu8G?3DWyp8|3tFkW zL2RfB9*@zesf>Ebs^Zh$k~$|Cl$(}KRmIi&SuqY<38?BY#CGx&L7nBs(FB@qlY~;J+%9WUf-vnPI&W=nTN5j zIHV0duAt6J@{9fLuYdcSzxjXt%`g7-Pk;LEzkdIpe{qK*`p^ITufPBCfBVJ1eD}kT zfB5c?zxY4=4}bW}FaGlVfBXK2?>|^LJ9=&$3x_T>i%n`aJn+2MSxddu|4uq4rWCp7 zShHY>SJxSV^F__BpFX4{rM`ET6Q^`W+4}Onch+k{INYUXdZFqMwRg;yj)iUS=tt@K zyyJQ8g_Pc$&^w$rj|righn9Mq8=m*~3E@$mQnRm&F1D?1Q!yJ(7b+77THTmPHm;JAL?{r4qEx(mcQ0*PF9P}VDZcjR+z2o_UPscl+Cyw2#Z)Wd=HY8l2 zGn!TX-^-M+psnVPG`f_S5>~_`S|WO2EKD^6POUyR7l+oJU~!`unsSW>;TUd#B$%)v zD5@-Tb)#)GSewIz+JFi2aFdw1<)+hxJIzzjMGc+%ro+W!G{`Q&;UX;$iP?+8Mco9? zt*S@gB|?qt5;EbB!Y)}n%E>|?PyfBLu`Haq8MIuZ_fbQ$3g4A_`>E$KKhe$*pfkgBVO;q8>w zeHVw-@*ajInQ{}j99lY(T7-HEs~2@uq3&q2T!HC~*X)RhE9-RCX7WtM^pnEDcv)rt zFpIj^k#wZMR4-ysdf-yxa}BqZygHqXVGx$k@9mJ9YGXF0V41cn9jTIzv@Kfwn3!XW zz37*Dq$9=rG%w9m)P=I#OuqNL78ekD-AZq%_PM<_A9z6Z7zvj^sb3Bb7H3^S5*)cQ-L#F)^>t zbfod?bfmqTm}>`Y4?LagHPOpgI?_Zs(t4#M`8LthUM(#h2^?LziTNn-n67jr;h2qf zs+pMQmX3tjjl0X6uc3LE+jV-RBZZQgFyhIurEX%bhppw$P5Fm(q-J8S4--R6Z0{cC zuB9U(>B?6+Qf?;ZXG=%2n3zvTJ?>*vTeVYRb2>mP{duY9 zZF-uR515#%CoaCFBiXXWmX3sruGS}>_UO@EJr2LvxNgBMalF!zv^Tt3@+%!lD^9IU zc}Yhq_jIJo#9Rk}8tJ!mBw={2JR;ZrYKe6spefshEfW^VBW9Y&Pz=c0la3VM(~(@f z-3V`quO{YUsx2KU!h9!N*e*G?bfm9L%(rf0?!RGTeosd#(>#2gj-)+#KGaSh(~+=t z{K<5rytZS*Unb_|8|g^x*3GHqd{0Lzv-VWsOqqYCiMij-aC}NfiqCYU@Hri6`<#ws zKc^#AJj~zIkvM+4+Uc2&q~f)JDiyb3RUdd07;p>+NF?v+NXHc1dpeTNighL(>285Hzo#QT zOw8TqbR=!U(W_9rnwX<$q-89zzTQpDS4_;kT@FmlU(%6UyIIsn z>R%kM=}0=F$$ZAdyfhQ@dA#H4`b`1U8Z64;n zr6YN@x*kkW(@{MFKy_nVN<(cX=I%;ITHj2}o5D#o4oKk4-Nf9t8Q&96U+GBO#}VH< zB*=@-oR8^9M>jG5ByoC6M@r3oB5F6Jr^3lRF~K(^PCXroTWIxbWbFAd4#Tm%c$hD{ zz&GtN$L{E=){AOyBiO<-i`Wl<` zt<-h}(}QdVHt{5O*bgBQ>enn}^|-fAh;L`7iTf?4k8jFwn~utGlXK zT3|{0MX0de1S_>!bhu`$)Au9jdkT~K)xz~HiH(pCBN&g-bk*^A9K)bTc|e{Ed6?!( zb!ay~nR>=LQqjixa^VVi7Dm9NTc?EyJM4GCR@ek&KU0;D`B-Mv34P6h{#ReP6i;4> zKm%G36_foOw8NG~UC6ST;i%;XrO!7J?bfUywq46=KZa4;L2y8e3}0dos65o1>X_b$ z{R`^qj+>sAeklPQ$p0=!JOuQ@OIF*iZg3d1snvZ=>ibTiT{TD+=P;|+YH!I24(ZJ% z*2kvaOdkO*zq))&x{M0E+UBKTvKBF7^>E2=W4_H}5WrQxJWawGlj?JVd8w0vPt#RN zg(05KU^?IWW!s882Eo!KTk_7Xx{vy*0 z0~0*Z)jzi0P78fH^@Oy0aAMJ+;Xoi>{e`NPnkJ(|R6uCeb8Y@Usn~@7VwiL!Z#q!* z>?!-iqAh|=YN~WpPc%NqZQV-Cq|ez*T3iSd3h6NdkHQG`rmBvAvuA!M95?0|nDU=6 zeASc{bueXvO4x!}z0jA(tWAy2Q5u!-&}P@+QDAC^f{bFijY4*5Q|ql3=|qL5M$D}M z4TMAZUFg}2MK8^@@%${{1JF>Dsx`0k`%Ri8pkdWm9kau`66)vz(1zdg$lc}+ zpdn16K6-NZv?P4#yUkr*2YM0AM%PQ4WINDMz46noE;l>mUYVYvmNvqJ@u`mN4QRNk zM`W7;dN`)zF~UR#W)^8s^GjMF$M; zdMOxNqD>;!rvZ(GG-+(M3Tj9*CWxdZ9X&0{;@PQ&b1EBH*9vH82kt86V>iMAXpmig zV8O7XlCk-A3M=cN6uh0sm4svM>cd0f#vT9)gSsPCC+pKd#uoS{2ohIp#r+kRq{7Gy z&*NY`c9**lbnHx~EZD8;P0gp?9DE164JiH90jkh=7KgBStVc|G=}^S8Q|T&Pkf`C^ z)t|4`AgINPj#LHIzCveNE91K@RXjfO1vknvHbP-O)bZ~=sdU%f+USI4Q$rix z_UYXMO+4a}uSzt`FjBJ-uBk(F+SY4fI1%(a%(qn_16MkA^4zJ6gd!ep9cIYnLdmnx z#s&eap$)YH>H%iLgakKqK&!!r8eDNwxAi=75`c(ag{+84IX{*Zr1`=BGd)iJp3aF{-+~s{tfl1P^QULl%Un9q!I%b*Eob9V(oY z@UXh$(+B#rk-QOo0vP;|64wpt%0r-3ndj(RVD7l1>doqIYDU{&4Q=1e$D5thx0vD1C-m?02i1!=tl|6YE}hmBAvjU@w2HJ0 za8odV4d|b4C&mo-L>TZ|%EB7C8Exx52}wABPPe-A-Aw^|GUmKs4RgaE)$M6Q4k6Tk z%^yhE;6OQ!v(4^Ya{I|j*0*2!_<=gt5#1=fW4Z0~YGJgCBdkF;9y=v-fh>x;Ro}a$ zLN!qrPyn`|+X8E_2(*HA4H!fvURVS;A&{(pvWS4=N}GrHC#gBmX2p3}r%Tia9ocT# zwJ>QyJ!gXyN4P`i4I_WmM7EWl_Q>7F(=fro#D>u4h;3(>Vb_PwX(N(BpH+W>X~4EQ zrWqcglUm2&XwwX>o5wVx*v1En44*Hk5jgTp!y5ZDN=e^z?K407q#mlFx+f`Rbv_r= zz|^tT!mN6uowTij8gu>4FMstnfBTVo_z&NI_Xtw@fHrjM3+EQUS7G#oL8?xArxQ(m z;@)Vxo-l4TquVh+H4TUU{W?rAbB>en_dS?>JmDe=qo9UVyEUbl-2yToTSASLgPjNY zU~A4EB`v2~4z2%PXQT~61*_m+5&&3E;#^@kRsMLZT=I_rgreFj2&cyv)MIZ8{_@L) zi2@ZEYJX6Fq?X2RioIA0=~O^MCZLbQ+oHPf4Uq!c10?YW>^%!_=D!jqL*v;_avCE<)iLCO;i5;7u#A^9j)=!6%&H z6Jkn4u8|6^$}d?(s!Mqq+5n{cH|o45VkRJII)w(*`A57egtWE&MzxOdntx1#KAgcI zp%DtR@NI50WeA1p>@i4alBUuJAU8D;^@gJ9)gOUD@wS-DAc337%Z}SOhqnNqXk-AQ zCkNP>#{qP${vPij!kIC$CIL=W&j`3`|2EFhma?h~Ou{KbvcaY&owscfXlC1pJb~oFZ4HO` z2*o4V2}iR+?C$NxGREZtkJwHnOx+@Ze3)Ior#24PlM7y4pWNMIb9aM(a-VmYDL`L_ zO339O&sSR}0eqea5Gt1i{zTr=B7Q<1oH zhe@cXUWX@>(11e(R)TQIc+87`QVAn5lltJ8Z40S=c6Xca^NzZkYv8eN$Js_qA1x9r zgtJ35*dfc521CwaZD!jlXoghJ{lX!&M^JD*`@Ey2 zU_g-B7rm&%MD1bH$HD+V-j8-yho%#FSnNtBTv!)Xx5?FE2xpjK5^6W;yTlhJAu9R? zLjp8TyvT+An;t~JAD-TWouSO?B{LC$Hlw3-Jh_*{-(Z2gn;^YT0scNM&`KUQv+0$f zRv|KGhv+1@bsXVTpFa9c?aiVdJgNW! zK`HzU8c&cRt2#54+_zH=S$#c-;! z=P)|oRZX#ClaQ9%G%o-vozAM)SP_STv>zOEwKUvpz@&O`-6o;lP2F(za#)A2%`;RL zMm?!|TP7Y{G%`|)YV`ZW!_G$MY6OKqA)M~Ht$4q1>M}3gcO6WlYnMjqMdEE3<{N-L zC$EMZ!UW8^q|dSIgJ@e-RaBiu+y|s=^{E5h>tVG}J-XsVBl>i8JC0S$lDwbMIA@)j z`eSddZs{&-BG9Adw&HB6Z(oT#m>mBXYKmmManzIdQ`NCFd(AhagzoC5CXu{g{RFB( zx*WH&7SbDq5WlolFvL78w?7yqK&D&Y9k=K1xIO026hiX|{Gqp7kF^;ktj#FlOIJ75 zH^GoYFl6d5q;9x$3PYZ|IygdO1U7c~`4d^CD@I>-iaXY@B*)){?Q9_ycV|cGnArC;j^3yoLXYZNrZY0>%%@IcfHUb2RJxa9|4`jT6i@yFknIBoU78Wp)t{*ijoCLT~=x z|6t2+fBCz=|C>+734i?afBXK2JD~V){MmyZrO)WqiEVXX3Cs-GHbNR=n`>zVVVp&k zYu8ItQv9y7i%dEP~ikNs&xiOu?t65_7$xKPKy|0}QOGZCupQWV-AQFw`k6 zrXvh^8Ap3zgk%*O9SLl`N7A5?{oZvc!i zLi4`>M!f@!tpf}rgrP-?pvR5V0>Wq+De8u3=ppLR2NGfcz<@!PR&677k^&ex>gnlk z>g)+tc$3hPeTt9?VY{sU0AScSLWt%T5n@;QHo!2S5ytirU}SRY?f@fnfKdP#+#~xY zSTcC1G!JRLY#srQTL28zVfz9w@G$nA+OGg3HH&oshT%$U-X09A#m5(bF@6RZ34n14 zmTu=AV3>D=k)IB1TE};QQQrZE(CgFyM$j{zfq9b=!q{5qrVz%F$S>0~egzo$1Hf?g zrfvvkKwj!b7`Fbsv9y#{A3K5(K^Xe_r7_1w^cC-w%ynD744{sI`j1C03$J9&D~yjJ=nF=VlAW_y91n0ETfLVXRMt(E*0)+Zp03 zz{uqeFd$S-4PYc-#H4lO{Y$K9Lm2JfWV+3@KDA1v0gMHyb1kS#@-zxy*ak54O9^54 zH-zy5Ft~zPp8#X-00YZ}V38_42vz1Y!Vti)V`c)a^|BoGZkga;0Y+$7=WGPvB-hh+i?L$&Y&z&JXeeE=B2wn<9vvD8tof%q*p^5OGCqm&xJz=ut{>u_P~aiaMG7?%$l03&I2 znfaWK0gaOC*tYI!Awl;j()Z&IFnj|TM;+e*hW@8q0K;gx>P<%N!#l!Qz5p1c#nT0O z0gOp4+~i_7wSw0c4yr=Y5XQ=t`fZ+dx}XMWMr=Au0|_K6hxVYYs$H5Izz9xHFxJ!n z#@+yix%)=jjxe<}<>$1C07Lz;GV`MnX#z&p*phIUG|ld`OFi|i_`HD7~7o>AM?RqeEvTrqoxYkD7z7QKAu7b#HSHcu|7@24W(=B$pO#{gF05Om#9z zhbg_How>MBA3_qj&e^2BLx5cJO?>BLqeeAfHps}@Hd=e6xj>d&&3ac~sKrbz5P>0U z(udL~UNCr$R<&UqQ|lClui=FezKB8iV!H6f^rlftdKy{%)btfK>Ip9n)A5CUGk9)R z331uJfEV$SC@tPz`97=r-S2+&_rLrIFP88A@%ul0_ro8)`=q^4SEYqg^x)O~3^=7C zBf~ma?{I_rpsp2S83`?r3$^XAr%-!qZ-;87_KJb7<<$af^QyOq#A{UtEv;~T)7nhP zb08L|1j68%g&VcTb7FGplXd)tX+N;`UR2iiV^mk4b(K?;=srjVZLp?UC)FYnQKDecx zYDG3dAsx@gZNAmRVB1_$2MxY- zhSY=*XM@B4nme-y1g>|?fXhKsWo%K+Z6dH7gXqFk0RnJpM+L2 zL=;*a8wG$VQ{J)SRw&DpAhOs$xX5FH-Nn2@q#^C2ijBvh_? z*h9vUV=@~}kF$iC`K*?L-cC*Fp>l8w_=IthuSz`XH1sc@MHiLr-?8QMh!c^GC(M5EoV}#?R-qa0lB2W}MeE%gTTd4~+94JAkj zsq~y**&Dn>&QxyyN{gJ)Yg@`ggki3s#1!^N0;sA5`~!v(50^FHAw%J6r!=?BYbH^* zpcYE4M>!c{2{SC68#UsBbcrz7Xo3t!gADd4=W^S|s{f3tYIxGGb{9JIMlfxF4DFcF z#`UJ2RL5S&4B8PPFQb6CwK*= z3}~Goq^y@Q!hLKU_HjMXm(5)8XCXPne)~)~L?bwsymQ3{-^=KT68=%?C#13|G6GTX zzz1~_$%oU8+deO@1l8~i`uO0FWv)O6g2~RD*Ok0!5mOVq^+Eo#~-lSGBgISkUH4;9s z0e6F;2hJ>cyn8tNyM?n3*ulYuvEh7~Oc*FqwYeKu+zr%NJAGiX{un^2J&9V@L}4&$ zbAx?YINSIl&^Gp>NM$mz8?D2yNf?UJggTGVMiU@m9a1nqs=&u5cLQ%aa#&MC4h0YA z+!fk+cm~dVQfQa%Zs2#F{miG0-U-N|svN$JChWHZXUL*Jpv~2%*CDQ_YSK&K3=Ao| z&)wa?YFnM%-9X3zLc0pKYWTz5fRN&~t1+^9_&8JM-EKby&P0dFWiwohHy&Hs^&+0` z20ZrlK=`<+x_Y-SPXE3c^l4euL{tE1bt610qhc5>^zu0*Q01)Epo0t6y$(ckM4VQS zFgB{xUf+;JX-Hz;GTcr?XsyZRE>Zg>HR4kX9xrCHs%dGmz7Hy9$doYgxAcXKJKxO? zu0h2;DJGd0kwtt&g+~3(myG*}AERP$+c=8w*o(%(pjIgl(=~h?lD#`TyH92ZdRD*t z-ETifg>HZT;m^PS{da%*#ee_q$M1jmuiyXi&wu&^Dy$kfy5$-nbF6cmm-SF z@}^uKFkx0JqwaIoe^I+gY9xK-9s(051IJ7!QX_0wHAUR`#Hh1GwM|97kdArKAvNVJ zW@@Vrw?nlYsSB5qI*)E9)IA4$Th(lH@pJe9sRBP}6r(zOPX@D0%XKs!H5ApFBgb&q znorU-@cc3!G;p9C_k*@MdRylQ-2K9#0;1BgG~ZehGPx-Yf}%L2F(TtmLVv9mi}BDc zs=^3=*1gHb1-$i1cZ6SBe)}AS@0*UpHPNDlSTn0()9%?Sj4#zzb4<5!o?@}hdO4`W zSYn%Qa0vH!8I?9QK@*PXT|S=m(G!>0+D5|6DjoEg$GRYmag$!dG%u?9(+WFL?Y3IP zNX!@GR>6|ZlM&*y*@~LtrMBUQHqK@&S=2CHaH-N`smG1H%Yu)noimYpMBu4O1E{R_ z&5muwjx7nOn9!(}7zW~(r`AY_td?Vc+OdVWat9r!G2HFg^i0MBJGOCXw%oIcXC*E4 z3djsPwpD|Q8mi+8$Slo{tyy)efIGGPcRRKxP*LaNbecCmwiQ1%_;SsUtuz|5D)Py6 zVC|8TvuQUW?jkNgktn`Ro2vU2`TB9oA6#PNvm})ZXIlfSgtCzt3>;V z4Y-brW3LMjSLHDX5bB(=8C|Eakga-C1D^FYfDEB6Gu%j39cPYszB+u#LP|tMhn9oM zt{YY2g%1QBf*wynLo$)D$s}`crC-10>a~MvZH~|gL5|HqAe*!({I*S8qlOEn^mv)7 zt%-j8X~ZUErp}3=Uex01B%*dCF{WFygO0)}TWcTQuhQ0#sTw=Fw&w>X}tlKI4?j4SEBo zpoxV$<&V?kkaqu>JmsG@Y~G^bSYM(sFPx&^c*dnKACG9vO^?EnFFdu`hTS_(3FzM; ziCi{p$Et;w8EvQ%cmzd=9rJ4D;lK$%1)=${c?`PXVGB##7#*(XaYU78wf7Nu%={ov ztymc%7T1a~rA1>#8y34vZ3G;$YB4ds`nbX$T)Q9I>!W(wk<8$hFQc_1n3x7QNc#9D zsK6$@YrAP{Xbn0N=rXt3u5n)ct+}rcNsX1>=~O2n6nKU=c)xTR!tT}RZ>nC~uH(?c z9tjU8WBG2u=9>kZdkV&b`ua`X3Fe5EA-x)CNwQVrXy@Ru-vCuGKSjI!v=Yts!} z_PS!+j$~q4~au&%`VzZWNP6$`I!zE+3B7X8zmIkl6AnN)0JS)5je zy@*rGX|@2l@3;mVz>^ux3VE0Vd6*(#k<{ITC4wK3H(-1m`=r2R)?Vg9(;yI=-&DXkEoWx~wv)5pw zJO>+31F8F9<12WwV3;4U6D@)`YjSa@)7cH7hc?*|3WlMeCQzq)eB@yYo@hn87xOiL z!4uQm*Y0ZZV8eQ!YzQd&Zoa0%Q7|wiNav9!Ws_l`M>p0w>7$=ZY^hF$eDlJ6yTNHratD-UY_C@Hm@QD zISEofX4C>F>ZPvunI_AKsVl%4b1;dBO_ssc&U^e!b7F%<6=yuAPG-kA;aig*V~$g& zUIQogjYXC8-&BbGOOEB%rX21)eue|GE5LmlbIcD%Xk-~9EUMgcECbji{rcCx``xGb z8UMp~fBJ)<$^ZAy|NN)F{P|B`YQeR-Z^8mtW2&`OpQeLB-&70kxLMq$>WRqRcDE_D zZUQdro;^`Ndl4?yemsopZc|am^i3VixgQgE8&Thhja6)Oo2rXW&%u-6HLEWc>Jwg2 zX^tf@F%5>Gn}FMt!)>bPo}nW&Q$gMBZEBou$K^IXOMNEu9PHkq~reaF@;WicU zYo8F6G`A_&+@{Jc`R+EQRoLC8HoB+FZ3<$vpn~uKWE>#Kx2f^f zZAyDX{Y`mwo7$S&)QQ_vrpZYiE-X#?T`#w(YpwxWU?_8J+d1ZK>~2$)v4-Ajm<-N; zD*>cvio!lt=#+%NTy9hKa+|U*Zd3Mhn`%?6FWjb5+DdnuQfs2ETGbTfk8V?_xomfv za<6Vvc7qCaxlP&bHYISZ$Kyf%DoK~yRMnd4Zd2v%Hnlz6rpnW8O4V-|gLn8?V0eq$ z)avhUQ?=gRrdsL>w1L#zru1!6MK5&{6)99NAf&aTme?<&j>4jUH?2-Rid$aYrc`Tj zn~Jamo^Dgq*Y43D*xg(wUZ6i z-KKn#Wne4+f*;m9esIPwL3o8ZvD(hdZEF4OHsx@eBA_&DZ=Uh#HnlWEev`OP8wKru z(rs$I=To*gnfQp4SuYLvmT zz`NTNX~wapcvErLW^8U#vAIo6-EE3nf_-e8Vf3fAq`qNN>o{ze+myY#P5E(O>g6`I z;x=U;Zc__Q#f#fihIBXRuxM^mXLFl!AKj(|;Yd*(;YvN+rnLCdYH}LZ%WZ1gyW3P( zU*I;S%DUdv9+hw_(1iWvHg$2MD*QpKS9%(IxlQ>ux2be@o3hPq>Rh&SR=v4zx)t2DhnlxlL^!-KK1w1d%Vdsh30<|LQiCahrMr5MLP3lArLE+mw9`l=o2iuPnBt?WRQN!hn&J~vR4_#; zZ>CZPSE>AzdjEv0)QqdtWPkOyzxmZ~{wJi~3?adclZgZ_xQh@)VqYAC-trmsrU3(O1)8H+xoxhZN+gByV|I$+L z{Bxz=^Eaj5!+)IATUBC3>Ye}Zl6tSx(cng-Q~m#6>h1siQt$1jq~7%lsrT@2NWG7L zpVT}4-y!vm{}EE}hBwLwA%+^}X=+N0I>*H5C*GyrNPiwuZp367$Q^G?)83`t>x9&sSVBMV`)zYg>g{nP)oz1wGO4SvUFv=CllPql zb>AsqFsO9ocB%Kd5H_klURz*h^^{@P<=pTt)@=%%jOP?NF=D#Y>B;S5*ybg67vpWdZ`AY7&ki=cZY zPd(u5j$7=pWg!II&0L#B94lN1Qf4xoc&#gL#c#`igM&_YXYkeX)6kPDj}TAw!fMUJ zQn=eux0n5(-m1A7XeWEGA{_|*RuSO#)kOh})u_Z4yJ&l>dCp#dWgGX_S*^tA`2`in z;MD-9q}?)au-Uy@jhl8WXPw%J>?hO1DjVBygm%VH)l;QQ#&KsyMIsH6acnwu+!a>2HoyTBfNN8IP;-}Y046XjZ8DD7WgOuV zR>_=M&12eCg&N>^Q*oqd*k@YEBN2_T^&N=?Y1dBY4pFTS3Ylx%u{zbIU5BSmbt}Mu zYG-X(m8P+yHvJTp)!5ik?n7AR=)%~?9k)h~;;u0?z~NIR^Hot{Z)Wq&{IWA+-S+7cKN`ddW zVpkdbiOGckJBS13TNw15_1~B!>zy3cVb4FaOVsh`a8%2D+B8Npjc62hul<=9%-id} z9b`JzV`w{e%I69>YC0w)BWUyiR7@GXDpVQL$ygw8eU!O12uS--EEnUQ+J#OAq98LO-m*ERND$+w(m}dTBM+I1Tkh~k-SYfdn90c(# z#1ePxN?On!kDZgQP6ynysUMJ?&Z|tbqth>5R+j>5|+&WgV%q= ztcw1aZd`anhrCdaHQ3)W-Pm4b94pyu%ZOp-`*cH3MvHTT9Tj}X8>l$+Yycdk(W4N9 zcEFKemEW@t!}F0+shu=)a0Mctvc1?*<_j6erk~Eq&R@ggHmeo!@eOa(hl(RN6^C); zN#11~c|h;;CgaFQ#~Yz&qk=c`i5Vt@x7d{5i(eeHux4?Kj3Zrua9Jg#aF6^P$XQ>U7u0q9gY+sl}0U~jxzy^+Ng-k#%(J6UaD-y4LVae0qe~kGa;3GZXBs@Se#$R9Nvv9*G8mjWSw8?!bjU# zQbx5|)hj=z9BxQ!%hZT**|m!9G^r;44!Gyob0yvj&~cBbG<+tv+N!gss-CN3;&TpT z%hb^8n9^%TCF|ip#GxXrstK=De=85BqmFQ8YIGIHj?b;$OP_L-r_b#jbWGe~#g?f- zL}d;}1+r&U3i_$5y{#Wr9M367X&IH{bIM`w@^5Q?LdBsq(P&a_oRd$1Y`va=jN&%s zz+}+wGy441=!1~&xV+G$wAX{<4qNT?IoDmtdRXrnmHwgsE^beE5r?^}IGWFGY$^_W zr%6RTtOlv&Y3P?uI%a~PlTCt97UOuf z7UK*0^L#g!YPm}|4eWv^dWY^V*l!^E8r(}1jalNCBOk&4{Hp*T3&G;gt*Z2qf zpMLpwfAhQFeu~}u@85s_qyFf}?|%IAFaFE--~IT{-~aGGgg1Wt@sHm>@rI7Us#a~+ zBUR&4ZigF&7;a37w8C|u*mGA)Q=#ji&cqr=D@I$#-4?De)#;j9FicGbx#H1c2=D3? z&M?KPOb`zt|EUWILyL^(y1ZbWtwVK-wQ_AfYl#?po;H`88dI&0z=&O4^Dcy?;~(O0 zGcHi4bCBn)I(b4fxFNlB!cD=c7ORgmDh>MdjuE=5l@*9^n`)a%Srp19XSq<^hzJ_? zsIz}gJ~~1Jg=47LRmW9rmlv^?y4$)5-Kd65ps(F}ffKb+bx&G-47k*nPq>vc4vLVP z?O1Sj&?mA+ThXeO32xM@)5+7l{MD+R`_1kLSb4VPSP~PNo4&f>U*T#Hh^sdkrE0O6 zXhqfjpej|v?YPbAbV;Huq_L+)`i1H)6rRY&*>>*I8|_Kw;9jlHTw(cQDjwD=dbv&S zTa70U;cL!g@X4tuwnbf#9kj1jEp6|0T9N^5&m%3fx*?s8t4@`$Y;L|CVXxTXFWAJ; z3U2fQI(GH9rbVsEIvni8ReOjl%QEi=w$=nE5m^EaNrf1#%SPX$3L=cF23%+1h6UFF zo(o(zA4%_eY*}Z8Fo$Gk4gv3%WixfyHvy~0UIP*{AlHk$QOOUIk^`~7Iv;fUjZ=WD z=5?-mLY-?W&CK6UCaNbAQl4X1<(HzLR&{jfInVQ9fisf@8aMXZizvUrxzw`~GvM29 zAH*)JN9-cdle;Sa?5cd2RKi@IXpZLO)kOIL4W@xcUN5g;2VT&zFvbOfIYnW*!?|-D zRpd7ZoLd{5Yg!kTeVtoN$8)RSV1}IAF?b~LHPy$(wqH`+fp&VfY6UH6i4bd|HYewgr^8kgrAl5?SX5?{@#CtVSieAW9`pa| zPd(lts6oZ^aifUpgm8L)fFL2Aa9f9yI}+Dh+pMZ+RDN+s6QmO=(7{!kzVUMNQZJHXni)5)*|ZJ7LY~+#j70!iflzEq(wuZS@2_?kM*WQqe~3f)`Xr%{xV_FMb#y znLa~00;whI3t??Jl4}0APx}3BA0Z*Cn)9-2d2aYTAk1$gB%;(v-*_lqVpF_yWqxo> zT~$C^P1A+~#f!TYcPk#;i@O(x;O<`B-QC^Yp*R$GcMq<``SX5P|3xm6?C$tEXV1<& zgXn<^S4Irf>(^{STS{2&Tl`LB9Evz+3f>y2Z6`m=p@&j@XWCV}{zAa~C&V32uP4A6 zrhg5-h&=Q`5sKFjvuiMP=g^v*LPfH-RGH-OTj!o>EYaNLv#_S|oXA37JAB~AWEXbj z-%f;gLYt5x^1A3-$Ow1-sa8fE-kg(#2fTYFcn;K}{bsv zi|e}2b17)8&^KPbi2#Woe;uRzr=-mGfWJ_McFf1zDWpR}nT{>A8;m?lp!Cj&SYvfp zx_=2Web7NE666`jpZJx|DwDx1YqkVi5;*>?M1?;ZMlhN2JW#x$sutM*fKnn;bREC2 zL*|c3G@s5Mf~1BOE>a`@Y8mTsy3t(9ncJswvF5#pwy=!dEE~WKQeM1}l^BwS&Cj5& z-p`B?>6d|U8Ia4JIo+O^Nc%_Igb92y)ap)H3R6=fbMjJM7R;=fRDjYDn%0^tafCzv zxnIBr5Nxx$8(5%ENLrGVnwomTk(<9*M*NDcX}vsSv414E;)eTF5T(S(6l*T#G`q5(zjnyuyf?6E`bsyUX~ePG zGc}cg%Uitt{@B|4z9>nE+xxov4_qj$|M9@w`?T*S==&Mj>;1W@|KVNQ{C=p`p>Hox z-1gEXJl!g#TMDr%=7?7!|3%)D8T11C#RQI)=ia(0o-0(In+T}7O^UhSbU@|!0(8`e z4#Xbu#veLk{NqBgGw#4j3_&&EIQ43?l{k~=YxU5R`NCC-QziIC@M4XGV%|6aNex(` zIaaYF&YPc|{iVKZyx!kG?D_l!;adH>SdR<4hx%nDG>bR{SYLYVXZTzul zd5pe?(t{)9rUNDJbF%I}K_odxsKT;B>=%*z2cN<5uQLsD{5#!GuSj&8W{Q9*z5q$h zl{NF1El?T267N)PQLP;N#C~NzT1VOinl8?8+C}A#%%C(xa>OLELQN9l--Y$c5cjC@ zaZ`yePKq)!icg;vd*YFjBENu4N8gL4|q8QR5-X?_kJs%3^}F7h?ipv{aZhZIzTWg4F)SMnBXJ&nD1ELHUjQ3#`#HuRF6DH*{^UMvbP*dDYwY2$;GWdr(V^WczPChT{T(=o+hI@E&78rjDc#y^ zA@v`bmEJK|!&?}ZnhaaXnop3h{ld&m{Q4dh(b75}0dblALJTi17adD7(m zRX|`{pGHe*4&@2P?7`UJ^1;t?@0<%!!W`g6=oYXaVH`In(>8od7PQ|M(E#=CrThs( z07L6c61BQhfias7zcA6q7}0IGXLUO9@!wbS{{tD7dr}%m6XcnCPt?zBK34vB&s|( z!nKY5p_JFwunx^mC%rna&3-;k6+f1pb3-{aPkW=y&JxzPO&-L%awIb0TlXCNdK76B zW5n&8Ox5JiP<#h*Y~272u(xQWVPbUYUt>59PKn6{l6S(@wuItj_CZ(Uwe^Rh-YC#*%$iALTcSjAgwvH>^FR8+q?CNAR_)g! ztV({Qy6$ejlXCwf%n`J6PSl!qDM9$JY};3hKY-v~N#xOY2{e&Xjy;!fM6eyN? zOwJtgs<WJ@bvXvkN{>%Hb>qYDBg`&%li)UYA zWjov$%5)^thyr69H1ZORa|6}F@bQzVMNCDFom2iE7KVvyrNI|c$1-ulz%xecy=u6x zSe(F^J4$_se^*yflHOkNflx!{nye0{=RPe%ZteUs!>13sRPK?ToYQRsU2h{Se&gVq z&iyihHEnBh9&BIAvjy!EC6}1#+ul~W7_r)$co*|o0Y*2_SJTH+g+&`FLdhg#t3q?!cn!4xrGzzQ~gq(og znQesE{K*}cok}kyo$BD?tuebk)V{(i6`NMj+!ysbdrQ_UwH+>ob>WQQ2f2wK+t(zh0F**1>J($9X`AE)kD=g55*)o^3W8P zw^YN7u%z?0TcL38I#=UR7S2M9B7*aL6Jh_BS*(90R_;0gikh%+^?tlLD4G7@=CFI+ zLtCoMov)P)@W%Wbc19*v5AqVrikbNr-`+i}#7t>|b;F7wqjavE-Em%&>q`KE{?fVg zs3usm8`i!Xc8lwq6zcq$JYIq!HRJyaZM^IW?xsAauNbeUv66mC%}}q(m9gvBocfcZ z()P_nKU$Vs36GB<7Rj5_ixTj@awkm0`SkgqVUap!GV^z z!xnRr7(f0V$1kc(4B)}d1n-=n05F@?w7WhQaw$i*5;+di+|!Z>n!1aIUrS0HrGzy} z%X{5alwIbnu3ud4@y@m8%g7>Zf*wVLG}w3-=X3-VG^R2i6Y1;%Q9+s6Eddd^tr%p5 zT{fjLD8g{x9KMSUtpyKP$O#~Ep$4F;mHsup&A$zoiI83kQuT{z%>*>LOn?pveuv$4 znd_HhMVTsk;70lC`?L!ww7z8MLktE`*vexGu9qr*+CDU=oX$;^X|N7t@uX~zS_emd znoqysdY>e&(AN+x&j-crC65C$7MDv}Qk9phdn9C=M8u7T`bHd zoNn{wZKgV7R;JbUQ6P4!KQiqgzG$UvGhak`B&;r=>6vhj=-97S#1S$T`}%16B!B(B zm$%PqA)3DcdvF0-M@1l1vEIXDerqak=V68r_I|Mp2Dek2em+VUt#B&QU-~-#$S6r7 z?m#{j%*NLHTg02^i1#;5q;^ov!nEmz6@mA{9AkJe5p--KmLorl=1&JjrGR-LTge(I zdvUUiJE>|UviEEjVvsW@-KtRp7&2h5pnFqcTMh}^IgNY3af2j3Si^(%Di!S9hQfC* zT#tZ0p@t_`-a8rVAIekKd^dk&1oFLA-1el;={1!iVBs>NBM90BcJpcaK`~*b2#CM>16;n+LUo7`XA^COxO>+2xZ_ zxGz(t5=f|#i~FO)-7#^29O+Df;UgaPD^v|bKpH1nsNd9nq_D|@b?LRq}ybv&`vw?J(f zM9SOwi$-j#UqOrNm~F^aDa+n0&}9>nO?x7GS`6Z;fYO)1{hdF*0u)o51ic7c3svRH zf-q2As+-@vGeb``?J9KVGu?rr@#LEC0@rPsKI!Jd-{355Vy#H*z51fu=jd!k4d1^n z<>t^*E&nOZ;1P@u^mBOq!b7N!%-7;>WY`Fb&YH4`d25~Lqb82#lhKGiJ}PM?IBSrQ&t^>ukTDzAB*lhdmXiux-rM*unba#6}?Fs4{eYtN!kDWV;(o(&8C5=g!Nd!(nKs znLxYQFQZmjHnqq`WZ(Nu)d(g@F(Vj9Wew{n3gS1)mcwLJR4*e@pI&2`266p$K@CXN zgdx%`0H>|3nl}T;ksbKgPwna?k8QZHFZ{>plVa!!W7oIy#mrzFPO-`UamG>`Y`u1i z6Gm)3CdYwVQE8Hn^Pv$tZTDnhetv8{Zg~Yl{|yy`-C)48;qFk5&UM@gc)XUo@XaN* zo>@CX7oTO^cz>jD(TsGRcAig;y_S2q9%ga~tKUF>W%Axk~OM`J-e`vY~YalYOGjvfg zbm1edbOvh-<9}vQqr%KFb~(0QBdGLSFSsBw#|AHJE7M63twltL8<>(;`F8|HoZ0Rk zG9%W$8SSbuAu_vP=xe#p#_=(BssHj?dYbK*u@Q1g6NZ1Y+!en~*Dkl+P3{a%RbMLV zaODL#FYGs5)4i11?y6m3>k$)dJEwU^DInHzE0`ex0IGux>WJ8S^QOCMI4)dRv$C|r zh|JwxjGTzIsIqe}n7=UMsKIr7bXCReYZBS1rns|5S!>>hi~Ux#ll_SPTam#U-3(po zHbubQMUH#+krlthqi%)HYBaT~rH2}$92N-t@ZNkVZ*BJ>XD#$NHm^5BAbz{MA31bm#Hdegzcj zi7s01tEIuqTMS*&T{`aB3W&_~VJ(#pRg-=q1S^k@9j9D5USi?D|NVx5u!x-6q$%=k zz5bgTyzHUnZV2B&-@-JTJn{5<|`t8N+3sknG z1<)uv4~4-RLaGcCCfPTr2C%mRE;PMf5XQUB)q)ng$*INRIs#zs133J~`>k#i7lmL% znp@y`z;OXfmxt*?Yioh6C%d~?>k#n-2KKqByVkCU819d>O7f6aqT%60WKOo}M+OTE zc0}Y$`9ROc>j-c}Jb(jZei>Wu415V0QqP;=Kx9T@rSbxcV!O-1syqt!%MzXa5B~3- zAxqfGSaMv4mZ$(FDv)1u6{ZAX5Sn=<;`S2URT=d_LAn!jiNC)q%A1kg z49j8kThWyrgII&0Y+gbfrR6x=<Bid{6960<0`l{cmQt~e!x%YVDZ}$i$XjX?6pHK&dQw1FRk3v^ ziqVmwO~;3?K)i{bV)v0kF>Gy)&qD`eo^I0n-~3K#j_zK+E^yrDh~>S7b@9@Wmf}!A zUnpTb0YZuhjen3TD5Z_NpktQxdKMgNXBq7anUXIQP;2T-DW;+d@&!LJ?~bxKu~?kd%^uzM1|d4Ny3bcSs&pPNzc;>g*)aTk*6{e zIb%L#yupQyY2Aa|KboS3vw$!?l@I}s7*TV;?bZy$#B0reSZ1bt6S*;GD1#3wPVCzI z^92!sEcx5FwWG|8?>plW0itaVyFbkNGk9Y=hT0qRTDY?@Y_%$q{q=Kr?RHOtk~&KW zg16nCY9W&+3hkPUA3XI_4;J)M|Sla;C-7zjt}CiH@& zPO?S`@w8>eVu1JjU~cZU77{B=MH0e^d*wH>{{&RHVJE?wMlU(23JuMUK?r;bI6+AY**H*stqS;MA1+qNS*2V{I*f>Dsr$2;iNq1htIDXxki*IeA~W22dCbKP zf}G}P0M*zgz+12f;Wpin9X&}Hxuf)uBl2C@uB$F@Dn>1cVJZkx_RRpIC9{1)OHtBH zcya)|pl4lP4Qa@Q17)&KbBLO}9|$9D|NNT)o%WL!p&K@)UE2;si6wyr{6mR?Z%_2O z#$qGYC`rJ;(^6F={l~CA;xy@3)^JWYY*m`VZzn*A7CuL;*cnYlI1dAXFX{lqinU7! zPE$?A2lRsxUc?9jFCP>yD#*RAvIpu=22TE-x@M*Qf<(Ld?IC^-U(n6FIv1RpOHOn0 zS$Pk=P=P~DeO`>fg!vd@A!T9@3R}r=z#2ZH^yC-St~@3x%pWod6{282cpDC=zyY$> zoNLaKT@6std3M9u+8-;)S!f$z2$>is`J>@6l-(1Wf{P1nZjOwESEmA`>+%?~UGIpt zaLtN>8D3mG%DCWI@SRP>7b{j_h}ufgiqaRTH$(AaSPSAxC|N50 z_c+LwcJc;N1oAZGVsnE`_?T;K3G=u>bL8{P$bnn=KoAJ|y|G0)kkKipU{)t~veK<} zEs4W$vAMG?DAPAnA`o3~oU40fCtjlG=dFjgNA|)`>c-i=jSR-1vsp?`l-hwPmh<(4 z7N?=PuPctTc`JH6E)OAGFOW!V-$T8coyr+B$w6?xsYUI=t*$j8F>@>FxFD!)&+sbxTUur`f!a!xcRXMLJ_E;cf@^mAzwLWa-$q0^vi%7BQm+XVg>5(=>$?=k!DZnd}mk zV_~dicSUicXnXIO(_L5ew(pPC(GcgZL_OSZjuc6g6_XpUZN_Iel&~KuLm`ZIHaq!h zb*C;fSQci{3VKP}((_?T7e+$4VvKMV1=HF-pLC1=QdPHOQObKxF=9*E(o*hP#qmEl ziZ*+%3uKWL;)fq+K&{q8x5JUQpV#2y3DA{*1^d#K-`kD8->1h`z8QXKLL8IqV^pY) zGkaE3#C*Q85o7!QyhjWdzrSaPp+>Ha%Y?}aGU*mJSDF%pBmVLV=Cry3PpiSW%aX&P z8f`OA*0t1muW=S13tuhPL8@H&!Sc@$(O za`+*Xxh(Ih+1`oZ*~)%AguNf`On59D+UhyWzip6iPQ*n9RSzi|X8G#soV+_%TREr> znK}qPQX2_MhWxrZw-MJYt*p#e0%dDW=e_X^Y(@fX9*ai8jOnMTS-XG=GnU89aY~s^ zSh&B7GQ|{CAm)~9)X(sy7H;&1`Dq|p@zt9w)q~PwYdr7U2fn{wXi?YUIsJX1ec3P2 zEy;+uJ`UUY&Xl@j6Ar_XBf$G@6ob}77cqc9Ukfs|kD$AYMYCGPHV0*qsM^I}X7{4~ zr0Ox8_ZN{fx0nLGT-%Es(QFaNl!#8v_jk2T?iPBDo=9O(GJURO>+Ujc>Wg^Qn=azq zJpMzN{^0ku9`5hQh_KO(_Hi$h{IPOK%3)nN-?TfhDGCfi2a-JowT=ZtOvt}+v-$^S zy(EVQ_&6Vfjf&((7?*nVyGmmP^Lzzb*8qrK4HEZB~K051s zqom|@PF-Hb*C^kO_(iDzE}1*J`FL>ca^9>fP8fGVFOFe=s>C~oJ)Ks+%(2_)r{r$> z%xzn`RW8RPt_d%>xgd&?qKSP->@ zk82|pR%+pfyzpR%mqQ7(g`@-xIAnik{?&aU^({l_y-YudSHvbq{Hm+nX#n8V2#P!_ zzXNgJ7woS!UljKhmi|28!;hpDx}2fH501qN;h7?@91$aP?){;VR0!cNkau;u0)$+7D5GaKdXr}TDd<4J9gIF2;!os&4h2W_6F911otYLk!I>BjOR)(`+YwNnQWxj&hi~h1IzXYr zppo7RAFbt|J&IFM_ZPsAMBh?1NnJ@vY>^sIo}C~?!DZQE3$d!}=OyiN%0?2!|$8 zIJHghLigl0lOX-3L%m% zN{vdF>*IfCVNcuM1mZ8=&sM#5hfsBRVYTW+8fxu(;yqN#Kb7&MG)vjLNekdFpOuw| zue;n|MxRkub)kUBgpzus3f5Jr(@WmA7uUeuj214=?u9q>>Hy>OYdcCFSgnZa172C( zTB8}$FQ&>1v}Rm68wp{2#BOQ>@9Le>CM1R06CRIfR4S_|tqR{MAxV<)t8Od<#xf+_ zFeU=lmWM95Kf5Z#?mLL~ToZ`K+PWjxmBQ12NwPm=_C1?%HPiM6G^u8yGF?hYWL1Df z!;GsA6k5ld4Gfv%jT4j9%}MYSM}Be}dU|bo&r=U;8PY`pyGknIX)&==^sBQ1iA3q3 zCVGLs!Z#^O$74?qD!WX!eX~N7^(~VRc!yW@CY@9uC{Ld?devH#o7(RmgNn=*ch#~| ztPfsTNqdRxYm8!F5jihz^}45AinQ!pXT>G zbcV``BG{@ggP0vw;_4TC`4{^F1yYb5W0PR`WJ&g+yOgEcCbC0u`hr3lA$8A2X0}+o z$&xwZ%Ma5@ImVdvc}BdJB%ioHd%@v9(d@7A_&y^4GNO+CcEB1 zt$v^XdSBrpLauyg1V8ph{FeNl_rWixQgP;gY=^S8fCh)if<(U~q?ZLQu>OjW7a;3b zUl{l%AW@en$|Qdk+q&=rKgzp8@2E!LXQl`XHD#?$o2JPAgQRC+RA?k!K~LX8UfACN zI)vS$fx0~^qsPK_BqA4PwaMSP_Ech{yznVcR3&Z)l{+OIY`NQuZD+sX!I{`E>%SjP zj21K*aG%IG$3+x0@Vvor4yNHm1a5Mr(^)(SG8k8C9?E+yuj(i+`MzfBnwQ9ty z_nUTR8XoU!PBRCxISQ1T-*X}S6q94bNdF@?+#_!yM8-ME{pu;!iehyTA|@S9A3`0P za=aE77I;LE$>KnzE>8nGhq!q}FlWXrT0=ERU|1*|fpLTs`^X z?O`$Nx2_z@W&=P_AVs@iy2~16aCpt5^6<1crKxw2-Ng3*z}Ov&E^f@bMZ3-;;%t~3 zGO+QLPm!N3jW#P7sjquGz{f1>^V)tWp4A~{i=mFJgr{rJkq4q2MN&#|s%f+;z zrZB$w7+syCV!KZiIpNL9@DOc6N}m2^G2}qDjl&&FXmb}&Q@O7MK`@ zuM_-SG~HWFIQ!8k4bHcT_}I&$A&C*QJYI{%ubcrfc~Ob#SeQb%@ohkM8*JlRt*CDx z7{R%IXs;|*b~l0&=bt`JgKE*YQOCI>=xj$+KQ&ZZ)1s8pjsM!t5|UiFnv=$7Bg1=| zIHA}ei`@O46h6x#h!vO6TI|>Trv2Dby^NErIH8D4rXlG+i`Sn2Z?w~u`- zEj$nDfWk9eG6Q;O%A>CHMHCYMfI>V^KRfc}k8b4xlcx=<+)vlVfiesHF-8u{`$c?* zlss|$U;!yH)ygobU|r`l5Sy_`foN-Sy_gFb&8&{lc}px{xt=lyzrW57;Cz+&O_$O9 zmG{gvmoh9``!gb1gR-XlB=dBKD>Z|{dS1XhJb%MZiJ^uxsu0;g743m-@rQNKq<%(x z>B~};W6ei6kuS1Ai@1 z+#X!qdh#UTM+aD}rk{iV}pjKOkV`vtqV-Ga-_=(Xf;0*KQWf zBsx;qiEQAllK7iNFF{k_dUyffDE9&2w@duJ^~7C8@OIdnOT5Mh;tu9d+qAx(h4IlO zrdID)NEX{{1uS=;)L~yvW=)I88Ju>( zshUw&8>lX%1FO%K)QQVb>wyyRoacaylQ_@F z8c24H*v)8L-o!0UTI1&WKJofyj1C*y1$#EAH7q1zC$mSKRySobj=s1?{2V8Y!Nt~3 zWD32n>)`!SBJ-_65dh?j-_-eDz$vMjPKbngR0KHU6Yss^d{mX(o|9cTjRTb(L1%Aj z)u>9gx-(U;VW}y&Ow4Z5ozo{kHwk0>`t;dl;!x3sW{o``o3JR*F^2^9kRs@x$ju;@ zDWL2hU6sd3D!9nTs!Dzt+)^Pjt7$@7Rkf0FnJD68d@%t3%s-$@1if`_Xh~DB5ZzTy zzo-Ojs~WuW^U(u5?Vsw%lamV3;?xijBC?<6tQOq#rwbsni0%j8XzdByt4a!K)1uCf zwd&mqgTS3{Fs9UF@2gcuZWGI6)^W;X_W2jlo)v9A)UBnIi8_0e^;W`Y?eYS4Zl7gc znn;w1_#Fnp=hb+#CSajJxw`HrN*^7nBNttzfVEzx#rhwAH1_$M(T{TSg>#Rglw0w%r~{R_s?$J>-DeeBwcx`pQHXoJ`(M%0%QEl|_IGymzw2 z`mPc{4DDt@r!tWR>rWS1uu5d3YgI?~rCr39VQB>|P={zcD+t0iU zX8$+^l(rKcgHax%lB?z|?;@M4LUh*4yEyAdzgXW>0syh1@&A)`S*X#38l+Pua#C<< z(x@;dU1TjKIs?2L?WTjcEB6jWtBL;x3sn??b^8idwr>eQU72Va>}L{3o>DINv8p31 zt*Rq7h2#O1TMX5n75k5-6@{W{m$OZRU_T^!dsQadJXRe^A^Q{#Fv`JCN&!7FGR$VX zpK;x)aRy@9MV9Y4>U@Vdf8QHiH^T}r*(a6l-Xf~*Kx3XRRcW7aoFL{}h#WuEyHi`b zN1)7UaMmV&PdFP02t6PlWq!$6XYR~-S?t+(rdJ;Oq~GkwS>SLLMb=l+S@Wvgk$Yu}c<+L=8# zj=1}~rfKgfh`|xzCtI<9L%TTpiB%pG*xdUzS?e2EymsaQ!4VYBNalNW_juAaL!oC z2>1rtTbLKJvlUCyTAWW#Ndr`H`qo7n^ASoCqH9lu*FiTMow_=ly=~}79!p7_@9iNf zC?DRnHzSpx0FJ*U=^Kxn4)8T~KZqNFcoiIbWJ!Q#VYl9w)lQ%NOjEt1ot6tRz=?}l z8Ibz}z?ItHA3R9d$lcRD!PWbkLS|ozICc_@%-nbQ@_6KEvyn*s+{bju14p40C7kzo zrs3*fY!6({C71TSrFf7r)TJ!4J)TPVwF(1C&lX1eV*ZAqWU+Br^PV#adNm-dy;|ke z_O)iW?ET&O@vQpkL;~l@KdMVTPSi>wjH18j(6O=aEPXn$I+uFn;FfT(GO;hP>w33m zQXQQ#ypMR-25WCt_#8_i#aL=y6W!u?zdgCX(LB4r>A#xV`+m6nae4KDFrgO_+arEe zMlNme*c87tL}Qz4Ns(A;>`I_|8iRc&9+Av1VCc&6vVr0@!Q|cIj!&K(Tzvi{UQv&L z17wE3%$Gl?LwUhCngCBH)O)vwY}^Oo)?rztZSu3clJ4NsrRnDF`>*;8oXaBgog3m+ zX@v5iRulo>VpbPlu>I#OlwflcUmSsD0k95V8`<6vLjiAvw(V~UMy?lm9099im?4LH z)FiyX*dpXkg|y8f-BO8x^OSmyn141;#}B4doZErGWKY0L}YeDf6FK{GLd!^k&Szj1nc!%6LtuT=zXyE$SV&wdosIe9-L}5&)yW!($?cjN< z^T_!bB2e(dW+En8QX*)ZaSn46=uuvv;1(+{T_0j&Xvpdf zjZXbAar0n`l)Em!&&c@$n31);2Poh+aoVQqM9l@R^1!*)&r77p_DKrpvWK}2%J*@X z34y@XV9QNDk@8*^ulMw{?k*$O1Pe7I=84O`bB-0-&xf>kdY5V0y+&>v z4+f8SSdEB;$j^chjfv5($P>1LhL5)~tIHv)snkF_^bEwtT75%TKf_0KJ=RzE1%vB`hI8kFRnD=YE#@Cze$knq}7B7#XbHKcr{3a|A2ZRpX1^`I_ zz-b&Hiov7Oe*$%O8$W`3;enBN)83zW(z5efJ2N-vfwlTZk0I-7FI>u6N{+n-j}I~v z@)7iIul*hYFkpo|BTiiUeFdWAvc9JAENwf0ZF@!!blV<2-~KCx1^nkWQ3FU4G=4O8 z3mivoEOdK;pU|6E@dGE`ebgn;`ym5sQ6?@4fEo(>Khidlvjl65AD>|wV~tJFS6DmO zp4M19AC8wdqlBB(}EtdcoEFJgL!!@K%zd=oo&t-Sq5a z_jNIiNjSQHUXh`PrB4>;7-#T#muZT6#Yv4mnv;YLIonm={O&B0bK4v9*sI8cX0CVU zXjvw+7hIO`Fn5$0yXW0(@GO@}!erz7QZ=b>SI%R09C-7b)LO_uw=H9ho(~>{cs2k4 zKR|bx`9>oz2s>ISo$9Cx#CCFSXKm^*@C`i^vn0+O*&+GHa2qtla0-I??QMF7xAC=E z^Cqe$&J2LUMaGjh+b@%^+h~6NsG0odX5;%&HVHmL5r;vj`b0e*p(bIVUaS|j3axqw zuD@Acdjq70a+5s6D`HYTP0t?X%4MPqND+lS(@fd%?1u=%(FYowHOd&F^GWunFEF4_`xYt79;AgB)6=EHMdzmlZ+S@a*;YtbZ^W%C-$ zOeObcyy9(R^Rq8;dLkwb z_GLuIJeH4~cI%9y^8%zMHq2~#X34|t2fXlC?Kf$p`3?@H-it z<5-96>-f#zy{#8P+;28EA8iiDdv)b4Qh%%Aqqz5_rVz zTLgRgiOuFf2ex4vNqpZD2iiLaZhOFY)uG~vy_I?+T^2xcA%Cr-R zxU)J#{?xYVIoE;yIpY1kdbpat%}9WEkpypTe{4;k<-(_;{b<`Do(Y z-fVtuNn4_Eb?^nHPQ5g{(2ya{haZob(c5k`BTm&!k}%u!ETIQ3=b?0Dw&}bV7kREU zKNrgPVHU`(d5fu#L^BUxP~QxpO4pBU?&*TjpdZ@I*}Soj{T7ba-{zO6rqXK%pZ&VQ z@qvB3es5#r>$!ZS@TdW9TL(_U%A7QMUiN$Y2o-<|xY3G%Lf#&<7aay7VP{7SR|M|lW(hU`f2z6CPyO^un)`gz;`GK|c&b2If z=uVbHK9pYIuO)6U;8B;J3!Wnmj#cMK9kR2-B%iB}j+wL11jAgN zggxQD0YUA8bkadvGi8bC6vAB5DViuTPUATf z)J#p6F?W~Y9cokVJoZtBdi;b^l!1cAgn)p6gRuJ@s?ndOJn#|?0f9>a2|)ls2;pSv zz-(;o;^b`V=%oMuzUZ^EsHwt3K+T>zn9hMeF7AjBkkF5i5D@=c?Bd;`QCHHF@~hu= z?-!B_I2kGkbm)sZvIe)9>_A7yOKA;mOd4#}U=51Cof#XKrxCB}jpGfksi8m0Qk(g{ zg%>H(;$QydH2!0&dO$jR?o9vOjb!e5234l&`@T;uCdY>%y?go{{2XNDvIeV|bPo@Un%> zRj40_oOb4lHd%@wwy#zJAIrxYV2F~G<(hwg(!%(OTfR-?Cvi!8ns(t2H1D0+mxEIe zmTTmxv(N>!Y@(1lS2A!cLO8GOM7ezbRqUCW8hR1&`qqb$A#FBBiA1BHp^|b`4pw1( zx~Yn!VXrTJ!?3caJe*O%2vy!o1J@zyRLVuwbbb=`l;sGg=XcmQ<9lagXXDek$2X$5 z+!@9sTqkGDIxZO|+B6pZ79ZuIBy5$M$bIi0rz56Z7SF08gy!F;EV6$ zUKvVW);3k8RQW_{yZqVRdcjy2Vru)Z2$uQX|Gb0;K+Nr z;l_7HZcQvC;}@*#RCAlm4juY)RhU|1eIdU~94Twx`9T{g=O4W0~mwXv~i1$rWn8cGAR~ z?@4TFUi@p(E1V34F&cj)tnhEwv;V)t;yOkouc9JL^nAj#{l?TyF%;e^@>r#XtRaO` zfUN1$7Lr^wl5t0PW{pzV!u41Q8VegG$lASAgn&=DJYvAD^$DrR%}gAHDpi+*G?N~- zM^ZlDZhd<;Fvss^=&V-X_ZeiDD>z{GoGIAzesh81=lwds{ATBNc~7$a_V(UMvXv8a zKQWgqV*d1gO?)9));&-v%>_Aaw`0s(%J4DowM?~Tt6+PMwT;r-bL9?UgQC?TXPRtW zW;2Rc)zmJ@QM`GBS*+fG_cVt~3}mnR*KS2h_)Ai6qwF~4R6O%HfwY-6?)_;L>7JO~ZhwB6!GEqX|L5Qv^W0(Z%mV7|Wo z1ESQsT7;bYo>)dSkDOF2l3i1n)Nsi5wui)#-k5xY;*yq&osTBl{E5=m?vHbrolA^e zanovfJ0Od4g~*%LXqw999x+AL@2bE>Cxs*>T84L%|F`5+V&Lj;Xi;Xb3%Pev`ZcE9 z=8goOtqB^}6Li0!>}8hxD7$&;iae}ZCESsLMD z%Z{`!#G8S+z7+dc8>4;E_!~ciaVy#6FLb=@i}Id#=E{s{_C+*~;4JYs(OxkH##H4! z#EiL>$7;U46-!Aox% zhvUpW?l+*r?H=#P{o3BH=fh1u&ra`?dE!Ms&%4V`H*g)vs+*nP$IS)F_D3og&avmW z<2fw60{Phpf(ccqspM;b!O?YtV)P$B`N2O&|NPCWuldlT3^3eRp;*69B;CZhl8Qvr zx`e+i1dF_#>F&WtEubt?-o`&(!!cLTPbOJb#9$25mS{1l!<^f1o9d(^O#SZF+BND6 z$>IIQfZWB%iaOggaAkTz&;J8sl@`d*yxjkDwKf0N;SZ((Z#$*?HDn|pOJoa9>p4KN z1m?#gam?Scbu>0-tR)~k&U*aQTwT~u!}RYzDmT2c*P|;fRNQ|Z>xFSLM^($qFA@28 zcn3`^HmZ;z`xkc%zt7uHt*Cp&z@R7&pPm{wPb-TjS^g6?MHb}^A`mcbrqbbaXa9r3nV^4h0Vs~;ZFqJ(I zz(*4@6qy?{FZZ|TGMm{A+V1f{fgw_z`JXcNN(KnW0?*WS2Z#x1_=8rvFH`K6Vrfgi z(VsGNc&r#gzYI5_@KkC=npdfcll|nW%^Et1NHU!}V&<{hI;_eIi&vkb({leV7?}kV zHpl-)`_+@wh{pSi;=~~Qp!1lP=^=xER&eXslXGU{-w{W3AwGdkDQjMV+QbJYGfFHu z2MC=Hp?i_3wdt(G+=HsGxf-4FVFq*%=D`S&A{|`&vRb@xBb~|fcG2d*TWN-R2b(Q;Z8d>L zw+i|<%yi%pb9&4)zxHjM$_19J0wB6#7eT*+I9veQ3@!LV+Gm^wJ262VHtw>TPbP5h zdg=rW=<3Yw$D?a>Wl;JIkZM8B`-;qZzBgaKJsg9>`JLI%7g5sVZZnXe+x_u%{{rRn zaQe#6=W+b?>ht<++V5_&)34*pAG;l~P3Jd1Fe!xSVr=<+feBAdeOyWvBrwL89bq9xem*4y!09-($zi3i~2MlD|4Pc9ghkq?=O~7ut!YlxE z;E&O`g_V)n@I#bbKxqdughfho)Ig5bJbZftFo11(5p~1E#Df5l5P;&yyMSk~K7@YS zoj>qAjOh75jEW#k)8aQ4cz`rPZO*%@0Kuig+t5=BEfK_vD!?YHOgI}5eRiO`fVc>j zut5nd&1{@i!B1-Yz3Dywl<7VHEz?6HK{CUOmcSVe$hn!ll>yj|90YXUcKjnGR=|9K zx^83zR6P)E268+y7QR1{Zf7(e0nY&_0zws81V-N-k2m=#yRmd4P}Hl+uFb2>bxJ3s7%sNGEsC^~E<|{#7ph z{0rhvgZyFLjvQf9u*5?kyAE+55U!2A;r~+rpZMO%AE4kltY;6x&{HW*H88Ht>zySH z=M(<%0h=KG!p}?^UrhK`9h?)UlNJ0DUtWX01-upfD~E7UdJf=jo6-PW6WkY83@i-N z3*VE`p~Y{$E@1^EXb6DB)*61pw#u3LtCA`v1FfTmZC98!-UJfJIB zLS-%o#6f6sIgK4K+AZH!Kz<0>1Yz*{PA>w1G6>sY_y`z;uhM2*2RpwFwvxtO?(@i?}1#;DeASWSA+U&@9>uSPjTE zfij!~D8a~MuXtPVJ3QS$@`KQt8M6Z3L+(uHhz1Il*{y<;!m$t>5WxwZG7^2}@4$-z zNK$~M_yK^6V48q)AP`iU4ZHkn12l!oim$5MCmB0`>tslg*pE!r4rLj34MJ zYC!6+TZ8``hne{40eLAVQCLMf;7j&^fz-O#$U{0CZPFMHq_Z(o>#(4VCxaYUj}k2S zK0YOo2Ykp$P>y=ZWG;0eeb&ulgU!)`73-=;w z1R$_0jR|}z-CIW*TZJ=LJK>A)O>oCUA)iU)m1DO6etp}Gz%vqNvL0M_>N4+qSP`1J>s%BFRz2JE}@C6(O0o=(B>Q-gcq!v_Z=g9#(40IJFA8<;+27JwwcTV25n@YIoxT@>DH zQCceowrmlO0dNgoe%;}&V)w`;N=+a{fZmDp+@y}t%M2Sf9&FYRWU~lf<`I1HRH0y5YfOlkx8oP>1gUxbLWQ*kSO*0Mw0k;4R%`@4=m-|30-if|1PHaKU@a2G;0gsLM-LeFR*a8AJ@ryec=hg=x z8!!-FtFr*7V1Q$R(rH|XQ3v=A`~gIc)fP=~Y~ahtFD|l>@1;OA+PW)rhGx{KQv)?rc3K$cGud1&a4g38;8=7T8>lgU z5}q?FyK}Tlg9I@E;AT9D^WzfdgH|5oA7Kpf41q9j1K0|*MM%VX)q_TyM?h)mX`Bae z04Y4(#(D4~SM*(+H%Pm{Ljl-0$O^jh z5a&hSCo#{8^V$NC11p_zK4se<;{1?D>-dsj4Tsng!_|)5!=e-8d=m%Efdmp%sUW!y zzJR3g2;z%xNmM7UJh=xGWwAwd9*qhE|esF~q`md4>%^K5JgI!RT~8{r88WY^(h z91kEMuq0`o8ixv+H8zAYGyR6l#sIQFfCBO;00Bya)jc&1d1o9Iv>t|m#}W(p$yRp< zb5j7kz=NIbkcWz7xEKd(WB3g4SA$spXdH+La90_c4}ii!S{O$a7vqpH4n*zpU>pii z>O&BK9Dc~6ngX{!SY}+^v+w`{6hMIIGlc^xI1`{69)O2&L@`;-8%69H*;4GQ9JxTi z7Kj2A8`meDT#^XB=OW$FiN95fC#xO|VLvfm1>A zqQGwp>?wtafQ^UEj_+He~Tmw#KB9$q`)!m2!ZOj&I)r)mFe9p(Sth#q*~2XGq%HbC(j}7@R zdd5hPZv}oiTI^t$!714%`yc=xya|690L;em_2gbzc2X?AJ zkU&ln;u-?O1f9}&4gdpzWd{N*MEXp>L3rMW#g`EX9s%wQ6gMKvKDIKGAy|onoutZC z>HugWK7D2{t=jF(Wqfy4M*0`KK@!j0bp{gnK7tPmzWDO^qIg39dB|mF2Sq2xUccS~ znC@!(0dObQsuBVs{@*BG2euR{02yM1M?BE=EW-ikoK;`gGO#Y55uS|$3WKlUAp@zN zNi89%Rlto-)PS(#>;w@usqP3{;|yC4uC@<=Eir@KED{qQHBzB`Xrv&11NG8@RMrSv zpcFkihOHroR%Mlb_Y2%nZ2E5tK@Y~Q?qGMEu22Pl%7JB87Yo4*%r&u4gm*RlMA|hB zkv&y5<5K3QX$1Ws@g~;kB^YU6Uxfq)ENLvt4$D?BY$<7Ru)v6~nQYZU0LY1Ilh^=D zQs}119GscJpz?sNi#1SE#C;Lz)n5gNzGhu`mJHlV-xBQkgt-GYWCU{JS~w=27)y0* zrAQcfu|U4X0s#wEv`9Nq^u~4^OacF%-2}N~TLh;^1U@$aG|?qs+Vo?ZD8aZlIh@VlX9%RgS2_)jBNUdiy^VP0co4d4vN&KR zb{6BkiF~qt`&kqD3MMk=?vZGKVt`x_r$(|vBfM6C5g>zMk@8#5vpYmz1kSTPd$yA} zc9j4_!-mIZVPSFy2N`(Ocma4a04G4nKv*-eb_Rn0oH}T zB=NTa+hmUiAwkg|xn6i5Wkf0^luB$Lv0j_&>i%EymP-w=+QZWW??pc+23!PoG+*#XKko{I}KHF-GX zS^Rg;9ukmUn-e+B%<6{&@%Ixyq^S*o%i%+K;0>1t>#TdM_s9sgkB&rkg4tyO>h>Ehn!teR2I!y#eCJDnUk2SA`9X9-=C&KU+! z{6v(U@NomD!mIVh?vWLhiL40(A@Loy8p*Dc;IJP6)E;4pjAt+x&ii z>JAp&47=B`jU#d{4%pE5>1t1A>?*QWL{b+DSVQ5Mt2V_jiYh5Upzxjn)B>z9$wEFP zkvst#;lmw7u&Sm30RS>nE(QN8s?uFcN7os6tpM0%wH>v$`*;4iuDJd64{tyA%2z1} zre6L=P(@EslKyW!4Z!dRq%~dm@snM(eUR;sYhC*9Vyt+225psV?yqfqH9>@*>N! zQrL%HrAHwftRPMqkn*m{P2vqrPAOyqYE5}Ho#9Pz(5Bs?Gl1kny8JMsIC?^atF@WIj}a<;)w!@m&> zFn!e^57r<9Z7C2k0Hbe+7WjPPsQ9?x1DTDA zaU|qfz{o(Tdnn-Tw8n|U_W0)AwY%uRu6D%W>TO|hk#8Ol0%2(H>_G!jgX$rTn}-*_ z-Mx9RbkJ(>OjGXOyeC*mfGogf^3ZH-;mr%T05#waa?g76{+!L9z&ZO_dUgpvsh(q^m)9;&Jk7CuIf0(K zccbCkQkNJ(aXa#}I6ya&2mWD?WN5s9fFLtCLj=a+@1DmOe~lNs zV1X6b-6F)}iz;MFHY$Mcv_HVkG%IH&YZYraYA|r;0`SREMSN3y_(Z5KYziCL)4{zV zvO@$lIa-aJ(KReGs|bSvIIYoikT)r{Ga^=-91ws{#*L{WB5BLgv52Ak!-3Kj6v6 z*=-|$00Khk%^Q04`t@G}l36WkEPWc$JRUysdd_Td_6``EN*!dRexG*trE~G1ragnW z)==;=WKA*!!urijp<7PYSCa~uSlMUWOPa=V)N}V7k*OnF!(F9fY_gy!B!xyINP%T$ zJah@_*JWa}Fyl!ggc$IDX0tS$0CUbF$|R8^@rxB=-8eFvFP05oc3EaWfL7}^XyN!a z%~?S6&@J+VflHJu@C}<_)tGNtAuIs4;WagUEipR_>i5>C+pj*3W1 z!;>qAOE|R?@wX>iGoXlm?&%Q^RxevmxaZ z;cY4jHvPaybC4PQ8jhL7Ibp+gkMM&ei9@5XJ+Z3Q4(RygU=eS^`38=*3XFy@8UYkv z9V|X#A|~Z?J{{hr$B|uG%BMH-au8ecfo4EuG~`?hYWAvSOKt zuwb{E+Z<+}4!H%CC+M@qy#t4i3$_lv7`P&0pgk1wK_SGF57-(8COF~(hF|D=a0kVD zBt8vL(O%YKNCTMyb=$zdhKB=JLxB1~^>tN!~!rxHdij>Tb9-{_+!}kSS`LXXiP=o&7^<;mZq_T>jK9BZVl_R z0DJ%Qn;-u4|9gl3^@-$Va|12M2d{hA=iY>INcni$ViB_j+}8~IY%sSShCfp8a8K>o zZ)D}(h^>;B;bn3Jvm3VN2J;E95yxCI7Y!7Cdc6iFmvk2&EKY1BW{4?koE@aaa#B9( zhsB8`_#lOiR>lJ{I>{%rtY!tmxSef(glqf+0XmLcdmsjw0%YO$9+5cZj{h>*A8hwq zv%u1vB!azcEDa3KTHbd4k><_1!cn^qN3Frs zIZGUZUE#=cl??D-ZLp>t^q?UksgFgZh&(zY0t<}qE+h?rgA}XW)vhsO1VtC6|Vvh>(=7A<3_hv?C+HL&kw^KvF|J)&TsZ zc}@+1(f8}m;nog_8{Q75$_BwyR+kR^j@O)6WH!dOV}RNd)$Onlfcw!wxY+6Ut6g@E z4_~=GNER5Vo+iSYEjX(20~{|O08d7X$m)C))ox^BU__1NeuWRW#>(K$sx@F>{CzHp z0tzd#$$c8{j&k>kKiw#M{u{5D%P;t~fRNly-*?eTPt@>H4&gbtrsN(3SPV#Mw=#QW zE#g7V1b2h%2EmW77=U9DOTTk391Inh!n2Yt6l}$iTX2a5Nun17bS_AOu7eo}&6>UF zmYM?F(Kw~=m}7&C++S?!@N19|AwKMUDrSdOz^7AYu52n>1TTDO5*=_Nu!ar4VYhg& z_$EO072E@v#~`j51aKf`pQ<){_QYU`BlB$6xa6YpmXxVkB!aPV7@06u50XO`w^*ML z4e+;ed__61O_LjlMDy`raTUMxKu8dQVP44$;<8aK1LT7}PU``^EB9L4kgy9pDw0J& z$L7vS=rz@plHhD49uo&dDjVEtLvjbd7nzg=KUa5lb(s3`XIdH{WgHFJ+2R%*oTS#& z0j2@+5)d^~0Adn|m$1z-^G7aX<^7?>%~=`H1r9vGq7h@IxtMH@mlWn-kf; zA%7G$VL)XPVvh|0Mt$qJFJHg>Yh&}Pg%$_VV&jO`i59u-1t22AS`(r3CZq-ji^)3@ z*GO<_rD!q3b&fOIy43@g2;!F70*fW#(N}I&1)_psJB-eccm<2>aoz>{vxvVj+9Mqp zcAf`k8x*j+kq=;zV{d>%qxqxU4n{nH#m2#i?E)5e4Y;PbCjvYZ3h+x!gJ2OM#~}Z# zMca>o#lg~>2WmHKHUGAfi?<&-B_}*co7O>68v+19agj^A%en2|u%Dio4_%8)A5Y zk09=;HE%w-dN{yI5ysnsgBu)>8=eNC3$ccsBd$2GjIp0XdcHrBi{RCxy{NS+3v=PF zdS%%I_5v&}5i3kvAi)hN%;v9!XrgPnzn(l1ntIy5deUe$Q)Gdc! zQYb;D!ET`k5s%ZcT*>@D_R1jw#bJ}q!UMc4r`-7@s?rWGDj84$?-%(@;DQq2uyHF2 z&VbjTJGV{Rus$2#`^eR;xw~BSA_@SHo#s)T-W1oA8T>N~V}d2!(v)9&`_i%HJI9TE z?2hXP0Ex;bQrLZ#1ZcdivW4fGAulEqgnz zt1RKLWt%x@a*?p@Tq#a> zt+f{~Kbf0;3(?Sm&XO0N?>Tf%5F>`P#u%?n=IA&jL=cH#>5~0`ft-q9x_2NkBvB-# zHu3d1WmXw>9K5-}Hv)A|8lKgOV#IC9%ROp^fIR2vNLc}l+!O$|Fw~opS5+Mpz=5qF zrYzHeCEb{gZOBU3MHdG1v8@ffwFXraume|r0bMva+v`)6I6@dI;7oEI;04aloJnaL z17W|GwccJtbFEykZ;yRv^R?Bh_6|t$0L>6)5!RM91qlg#@va&NxdDJVz+VqB3&IQF z3-Z=lgu;*JN=rn(2kzd59|b{3MvC~cn1w6~f;=_>6wn`bUJnV&u)e5(k1#cOOX1iF zkk#<*5b%;Ut5eEdW#aiapDV(D)>dAx(WuHI%U;2-L7#FmOA@C7%5ps#jJ{j^V!VjN z%*;U|tyG<$xst+n8i6`+E+5QwmYQPW>c3ai(i1y)-CCZ4iQ#Ji8N;M1GMU8Vfk|-~ zuosS-2ip+J{ZziJ-CClYblslr=e)3HrD0IYDH-^gu)SH}Kn9}`*+~Uq6aE0F(tE!~ zqmxc`mJS1KnI_daJ5<>5VYL?~r99|VT1#^V=v24JO4rtImZz>0!vYMoz^N#RvtLm$ z_%0W21wNroVA6*MVKhJ{9LCuwnFdc-HpMO-MSbw`NT;aBCwR6sH6LTVJ6^g7N@kyhjw_qapd_WFJnTEsjjXTs%vfQ-;8%>6zkQII=$Lc_@ zH*g1FphQ8Mz{OuNrJph-Qkzj`ysM}_|LnxwjctAV)0_YNkMDl|^Gy*xD{B6fVrDBI zL9hnR#dHw*YI-=j1sw!x#xHFg>;jmp9Rb)JR1v{vR-C%qn&QL`Xbf^6ehfAE0Q|ZF zy9^EN(X1KKskq(JxQ3G1=wo`MW}7^kQTur?6_zP4KWd#Mr?ZAff0N+7;`P8(1JtQTpi z-X<$dX9_i_pK#GKn#OXWg}azC5IlAONif&W!UpmH=Z8T$IzB4mq2d5Al4}8I?uX$# zF@?dbiV2B!#|Pv(8r8VC!Be-y`J_Be0)4w9TF<}wT!1>>{;=fsK39S@ou?8?02e&D$edmGB+$U~G@Zv$Y%< zBpG(p+>0j21KUF|-Gisn4KON#c|yYD>IH(?COJ+Qnr4^X#6BohBH3`J%Y%yd-a~aA z!Eqo097yhSX2Tu-VD63OlMCO!37%hEqfUp(BTgfW_(E+)?qMRblztO+)MM1)VjrVU zELl-Qt#63?psxf*##tmSgqF<3XV7(d1|3h&XlH##txlo83p%XvZsHPj?5P0)yVNX0 zC`&Og1RXWzZO|zz=%}(JKxA75!6N7O5Om}v=;#>$Za`Y`-Y8YFf(~-H#uqD0VP)pK zphI)o#@c{dL8mI>5{Hm-2|9vcx`R64TM60{f=)ey&Kf(L#ieuqcSF!2m-;a10PlK^ z5ej(*owFP`xkVSwpc4a8GTso_eJ2f&{UPX3ETTpRop=d46j0`hBLJxdM$)ixAQi#( zENsp@Z#l*aWGxGR_}!@Q^B14YTfb>V#Lqy$yOdxbi-0+iz&bCr9q!YCDqS=4-8h8f1#IXCJHWteMt`zsgA(`1WZq9hgT83M~Ofx5>Gp`{IsXZ6Cx^ z@GF2i2XLl0@mSnZwWZ+KR7>eovqh@CLz436NTK=OdhW+%~b^IoNfkV(Mli~g8UIylF8T3o)VBSwMV&5b&T!Cj+&!h zMSyEA=)uT0&lDm7n8|x97B%il=&1(=5vw(f|kJ(NZn&LpwxKtOAgNoTPd5z zF`KR0sRy7kp$w=eH7XX(3LHxqp5^jsE`Y~@sCeHVsry344gg{!@EkA}IY`~53V_D_ z+P6{#20{GlsZqgkB0Suipu>eeI=|TfZ@SZ-JnO1pB9~H?6_NpeEbYg;?t>%E!u$1Q z?h?7!RRQ9E;qE;+7%#$#B>n@fXWOcBRD}XGKx7gh+CRwVA~+)>uPG-DPPmk*IkN%Z z1n1)Z9)Qojw&l3bOqvCl!$B21#G1@n8V%fDG8KQM9|-aIQtUymicedkxTk0?zELci z3MOiDc7O~E;6o@m-I(*vQoj70zT&5*rkRxlm6x+l8eqhDFh%??f~~& zAz%kvo=2O%K_l;-?c%H7@hSzUJd~!I_OQ|` zU)2#d4z?oSBOl^xz?6x09aZ6j>DOBQ8uM^Yv^|C;9>giJJk>+v&uzL}&;9sHH^6(m zl+C!kvjCzD%8w7dW;F06VPH3@+^5FOjq?y5hPj%asD7UIWccc!JhYqt6*3c%>6%%0u8O+*H-1>8gU zkauIF1{>KOhzL7?g;y~^kM_JHvEn&VYq(3KxsE6|d+|ZqP&g0-ze9@Fd#2L|{1i2L z-l3|_%K(b1yWJ~x;%J5KYK0Wpy;|Y+XoiDjTS47_nql`bT&*x7Ur?adQmvNqW`I@T zi#Ij@?P`YHB=VY*&^z8^Q&iIu=iq|V7b~PFOlZ6%aASoFEo@E@B97Axshx9Uh8HUo z91CE<>(I5_TA_Nd!tFFeduxXNYKB)U6z3)eG7z8&tT56Fh2}C!sBFDaoFgP|HQ=Sd z4Chg3hL-hnpnU3N%(DXxwtxr6IH#tXjnW#E_X{Nc7q{18hSjF0X875@;wO^vjAp@@?1s$DxS#Oic?_;CK3P|3UUQLQ#rWxQ&p_I(y{?5B6(5@qZCS% zQKMyz-~$37O@!F_{XM16oZK~M27SyHe*^sMq${Sj?ZR(4{=RA@hvv}=g&)`v>Pc+z z=L_e|y_E^63w%=U&F>7o-P*wgR=Xwc+Vioq($Go_^587uFnSd)`t+T%6&yj-%UpUx z9;*~DH+Z|0n8d%_NZx2Jh-JMQ>g|bD{j75WUhW1~$Iaax41ol}?u9~oocsnI-x0y^tL}T6ndg;g;q?x_3N@nUnAOJeQ6Kh{h zKNIoD!;U~hh2XkfUo`>&Qe8U~SJ%jy+Vx$NXG(-4b=8St(~k$J>M>#`%^YKk(#x** zV6#jSsE8F|vl@Qk+VBgd>q+4*uoz=_M+h_*JipDjrYwLLHr_nBH2WqAX3Y5*c+Hd7 zS$J0rVLKtKIqCxR2^!eBtz#ncd8F<+(teAZ;}jl{JeABcQhL$=#Q^$L5&^a}0h~28 zyv~pVk4v?d`rtLSz!JAeLF4ncjgEs~6DI}O1BaGM1K!|@oM|-Jpr_myrowwF2mp;!y8P^%L#pnW zk{Dp4wkpRd41hTvF1@81iraYL`+(RgCu{wc^Z1!de3H6)EP0tb^MCPIse(`<0wmIK z_yd?FH{=*D=kQj@gb6&{NmxQRu07sKcs!GU*qG~Z%-$~%%pUVIkM{fb3SeVHfxeh^=dWTzrV{xSpf?73kPBt^#EjmN{5+gV;9<70AqcEN4f${=d~H`IAfJ<~)>8BMhDOh8D@)n%=I55-6>O zSLr7ewh`3KY)j*b6M0~Ew(OMbQ-#q;jeBGJ+&=hcjSVOzXzn_8LqS8X?68xS3y1Gb z*6olKH>f)vaqtDiwjo5AeI%PK@0n##Jpg5p4vud3^%4*-9ChcY7&g{40>`w?aClBI zxY4GdA`May5(kx~IC%~52BVX7Z5HJ?QB46gfxqq%kYPHj$Sj)zZWF2@H*ziwpA%#> zYi&48f;3z=j&81_3S62*-f$eyM!Zf{2Uih!j0YcCztpIj4@9L(c}4cvq6JNe^Kbzv z5sp2%9X8w2(OkR1l>xcY@!DO!`}&*D4a$59Kre-Fd?^l}bCf}^8xhLrl3@cp5yUd9 zL==P{0z3}N+4ECd+f0LEs;(X@+>6X4o7{o)SoZ(ps{b_z-^SpDmJAAChIW zU^UY44_>Aj_BGS7rWrO@5=lJA`bc5h35@ckuZSGj@pGH0d51;lQ>O%UR_v_m}NL?r>eNGV!nqvM%Bkm!4Zr8TcCDw`_T-=)vBBlq|?kV^_;b zO~L6(T|`bX6ogdC+k0#%YHr+K=YKC(&bDilh^ULhBpha9kI2SJR|e?v=5mm;apn)% z8UG=8CWl$)a+PVj?mdgkc2Jl+I3trJ_~iWqpsohCewa#C#Rh%_2zN7TwG3%nXU+iW z_3k;~Nm1d)D`x^JnuogZx`;O{dGaywOjWCvMHJq=^?S&+Q1T%1sv&3q*Jfp)I=3j4h(l!F zm=0QGjX5e{&|@MYt;=WB20yA2Q653F|9*IC2ao&k{ql2dlTX$^7GD8%liVlekplth zx#LZ)`#r$=*-aA;5Z}IrMs~bMC-%0q2zbgjciCa9Ur6ejGW4uB2Z2Iosrz$dt-ZIcDUnRj~`haaea&U_LOUb zGw)Wb;~W@a&z8dloR}Td`p%UHlcxbd@=hgW;gpL!&)fLWNy3UgdKA3-z=@I4_8l&2 z55x$decnJNTail-&elt}O;Zn!Glhnwnu<4NBjTYhyk^%X;*oR(gaK%R15Iq~FG&J3 zc)hRi9O=O)c&rg<3wCKh`8VCVJV@;nGYD%)ca^kPum2iLdP%qB6=bpGD3qhDHb4P_ z2xW(Cx5wbDh8vAz%kU5DxcSZXeehxMtXeo+tm-D%7OYiQSbFS}_Y(0`5i^m`d`#7G zxEi>+Ny}DdG|{fvYHtJ2Qk#s|H}j^~LSt(@oTI9aHBx;SAfRj4oQ$lQAwa;@*aTL1 z4Z5dk0gWWAe~&;h%6I%2o+`7e%-R*Ajmu?c;fy!~>YLSm9Vp zLBwxDZNt^-lC)}44~Tb=SV!Y+Ch7#>b?g&X$kK9lhr4uT3w}gPic`8dfojez;1ln` zaN8f*uw<}ZAkoGJRsconRfmkfP1=8eE(6}z?qk&j`UDXntgl9CXF zhuo?g6`%1ac+B<*CH(5Mdbpo5j3t(b7HFLy%Ndt(r+sk}eNWt;1x54Q} zjy*|{wBZ$b{FPf9`118%qx`of={=8tFe+7Uj3!QKS((U{_6vO=;PZH99HwD^K4l5?Lu4}1D;qQ(Ki&Z$T%|~kCGsZ<(kD;bv>t6h;KcLgz()&|xSiYW^z+N-FJJ!V z0rvm!_NVWE{o|Wwf5LzL`Pp}Ge&HW_xMynt+Nt#zt9OV7gLE=bz1q~B{SuIv&brmtdX_}im zFH&1Ksa54`-HK+vs7d7fQYI38@C`(-0O|>{dLFCBhf#1U#I``WQ0fO#QvqmZHBr6h z$+Iwaswk;-=-soV$pM~mfagyt%=vmLH5Lw9!2Gfvlsg2DQjNPHlyz0ApT&UbTUiHD zGv}uV>)MEzg6j|f9)l}4MIl__QS$H`jOW02gz@0b;?r0-1#m5yBX3F7>1K6Y{E+yL zfF~BIQBd#)A8Kj8L^vxjGyZ2CfI$4pdCQ*j=8n_#cm%U~<#=m>@_N}(3RokJf%CJO z)M0n)cy8yxckp-C#@8s{b}5br#`|%hY4)ze@76ka=fFAFG(87oxYQ05iVVQZQL%2G zQw1ka6L%Ni=UJvRZZ>#n58MXvplNnp&t;e0Xk9NfZM&_GJI-Hmf)r__>cncoWvnKF zrh$pFoJw6m8>S>pn?`g0{ajyg{c`*zp7M-99Ah=gzy;zdeh)kcV%33(yg$|{&r{*G zFL_2^HihT6M@Pl;Vhxg!$5RHT;U-=n8BUeOI@g+dyhc6G&i*;f#~X=T$cLR=)&>Tn zHWSDcUJ1}m20}`{A)3J)kWXX+OHaIXDcF}iC`k=_#t#A5*xc<1;1^%~D>nA1?vX+9 z6&?ax(iCO1@F}=Vl$`B@vr{~5EeYr37Gi<7Me2A1m>W%XJ@Czqt9%AXi-+abDxc+g z0=2{Rh&P*j6xmsF21_Jf;{E$`lh2L49X!`{yUM3{v~rkTe{PenP;uT-A2hX7ieS6z z!g1`jT=YTlqwvuvhqU$`mO`~QW1m9;f;zg#BQ4qP7x_B)Y~fk1CwqLn9hf4`Bm+1WUJet?=Su z%WxY|i#B=3=gu5N4pDIj(RH4c?>`Oj%J64Q6I|A*%CsTjr>@pcpK# zYSyuC8-SP%YOy6Q1}hf8ydYBYA&z2opcbzOyd5yv(#yu9Ti`8p8kb=nJng{wUzi`z z8SBC@|I`ae^l_wA0~geJmQjF65g73fQr?hFc+UXgoNDD`xZDi4T6l;T;0PevfPJyZ zvdY%&v23j;2atKk94`!bNOBVf00T@GERgey?WlB*p4eNCiOM*LM8I2W!J%eB?0MsT zzm5uQ%RjomofT+Ys~Y=igH#LmumXO#N@Gk&)GyPW2o(?^TImn(w>dsIlgKG!!Xe&K zsR(8GlVxd~a3p<)dvsQSrE#2eJl$ivgF|aW7_S8(JCrCxdz>*FoD~t=Z0N~4mD&DS zvjV&{p0~k6AD3Z)%cx_S=iCsR+ik5j1ef=c@Z6_R4|;fbI@eP`Y11>su%bDvh{uSG z!h=Yh3$cQ`06cm&a=YwRJq$XYgCUN4FZdU{wE>)#wd|9J*4YWh&&ZGJ70;i)diC;~ zk2%TT+&RhH@810Mr}sZF`~39ohd=!cEcDSR zx3#&x4yC+l z4oM#Py<-O$V~2E&V!&?cOi1s*bP`lcR|B$rP(YR9n3=%7gXr)!P?MW1D8t*vWl z*B%hB;1q+mYIpA23p057P$PdCN=kD>A;Fm(@% zyPi0;G{w4-X(v_29`+Q^_J0t2a}=PU^cL?*wv}tPvj;?N6Y1*e^#>uT_(N}^wuo?} z_i)WNcpTGl4f|9krM3yjzwo7yZL|Vrih%IbqE z@_{cjT5k+%R%^zHQ~SId#v&5l9%Z-9oZRCJ32=)_(5x&H#5l#nIV7Sn#1q||X+1&w@G6$;{{n4NL@+T@eDn|iqz9{;WoGz;YM#D2oq9+MJ z94xFIFP|ra6~Gg9aXG2b@qZ$aUi>M6Cu_0uM}f32f8vqAcKXu>3qg`HelOBK`jZeW zmQ8 zt~--k{^UR9Pwipv4u1&5ckOpCe*#8&^e4$P&j3~w-k~ZI{3(3kPx|yH&~U1R39aKNa4fEvl%vxREeYqY&`Qd9tMoEd6Z@YEPNJ;(v_X&hj5 zL@Eubdb1t-frK>3h(Jk?L&XCmIl;iVBsx0SH-!+9h!escJPJ-?EU<^;XDxf?5hK3^ z;JL)>R)!~Dhef)XmnnsC!aaBtBF||1c}f(-A9hV)luB7!CaBI0OTp7_a;Jjny7i|L zx1|CnzO^JsA8Q1YK`K^j!bi9;uMqx%TW3&;~D*b#t`U zrd^@}h5_T{r5U%!6#)7u}vd-v^fo&M`jKfL?#*|)%S|8(Oxz+bj6wn$tZ;2U#t zRSaRCH;a*&Z1icc^bTawxPS%MksK!j{|0lbrnrq7!G>6k7Y+>bB}Im*or_nhL`M*z zcnx?jH+s2FUyEl~B3eOy<%88b)gmb%DiTl9Hh}n1=EZxK)(|&r`ntlr@)1ZFk_<=l z8eH5;OJz+-A8MlbQ-dR$lV>mugI*3=}Em}Gdm(kDSu{!h78u1CcT8AJfSznWtE~GY{kuGjL6U%y&| zV)^yg%sk({`@>IfzWww2Hy`947s}#7a0)Z_9X}-O!>m|EeRb}yBlmc!=)v#tbdf6! zXXcQoJ?92NT(+zWPfSY*3p~8Lo033@I4?QwCe26f33wAO}-%hm(SB=5=Fu7r}aA%h<{|JO}R6t}QRx zs|lQ1VczB)y+BU9Y!)^M>z{MN#Pv2*3=K{P9TxV(*IWoR>q#uw4 zE?`~o+{3ZihxCKLxutniiDShLVFhTvHy`j6j#{r^j;)sAFO$)c8tKUH{nB{ZrdypC z(%znr3Ef_@F;z=4XGI{-iHnc4G1k^&*3^!_GdqLz_Hih=K($;Uq= zA6CGDJpmaNUqm)JE5uZ>6g}9~xjM~@=ihws)z`m?TTw1IkcRa@eig0#VcdNZ*Z5t$ebyzpE zTCA*WF1>;eTC6<*tDqrRMcziF^;i?#X7m5uC{x(-R3IXkQ|tqv=mIoMYOL2c!O1}iLXyDmaB zXASSvFVc2)SUXFKoTt*7gRtt+$*&Do-YfDFL6;ZtIH3I4VI4b?uv8>Lo-;%Chz}iB z|FH&ZfuCSr^Kb?9@$|P@bk05x{hw+o3g=*XTw8J18B)4 zuD|n*b130SkCkZ>7!Z*andoSW@&R~lwVy54TDFi2?=LrwG_$r)DObyBF4+)w5F!T` zU@j5i>#v@F{d{#*AN~Jt-u(RY`)}X9`Q_~&AKI(G*T4Ss?N4vszB$YDj08Xb^3&Tl z|8x@&@CG`F6fp%ia>OW>`N#8yYY4~KWi&{QQoz00#i{1TCBnpc5pg$!?k*V?xPUvJoJlIgr^$M*g*GdY#jP;S>g+)A=z9^2 zo#lKn=I_#ZdXzG;ywG;D`RBk~>PHGD)GXgZ&8&Is_+&f}uUElDQ!^wYGa26fD*lka zn^oo~+65FU;tV=+5`GMR0{GonTK5&c2M1dGE?vv{{UfV?VAsB}s2q5lvTcv$d{R74 zgd@c#M0)1$st=ygsq{UbMtYPiCrC}Jnoq@Yu@R&OuA}qZPZtFs1sQ{V$^D{rj~q)S z_5~{nB?@_bB-CyxqDo;m+x$j}3{S+*n`PWGgXbZ8T*~=~T?YWFD^comazyr8Di2o# z&WArb)fdmd_;~H{F3r~WKfihQ)31Nxe|-1$%|AZ-TYpj_Rm5xeui9M6v% z&A@cd&2?(TbC$q54#*SQ!<-EFyp?mgHwjk(c*Gy%?T*2Ne;hzZn1G!Fui)`ZuCz8D zs$858FfVJdYWnh0F&W5J`=zGeH`XBAs+h2~%^GB(dxD1_?&`Skp%! zZu=2S&<$AnNSTvEu+2cNkAQ5#yWW?YepGmAtUzG+p=o;g>JDLNjg+j1G{ zAm?kqA~&4xtm(r?)T)@UKSsrcR96jhVb)7czp0tGC9nBVGNE-MzGMI~e_MkLpRGY= zy(!C_Z%C_eN+zQe1U*Lupd$fd*N@foEBujqscP379-&I>bp6_&N$pyL=Izc$36zWWZjIKomPA9TV(kb2iZ z7kHJ_faD#k$V+3eTX3|q-l*2dF#Z+rClyVR@+sKkC#=&C>Q6IHtG@{xBF{rHluf^Wh;c^XNc^+u4`5FPuW z(qyC+c+WOPNh7Y)&X;f3-!b8RJmh$xn%11#R>qHY&u>!F980FJ!sDbkNroH8h zx>CN*fhWO%Yb2FQ&5Dmy9OGGWEDgfZ;nF-Zj;tt|Prz6rbEA)BeI6;ALOS}Y zW968T9NZd@ebFPJD9+fd!vqt!`{+8A-yzM#jvvG3)xmyI$1kr{saX*9Bh{}AzWSi5 zS#VC1EFQ?cK<>cfS+lNm9j-qK(K&rAIav6=Wy4R z1>vu+p8bA*|Ls5h{EzS9q~E{$?vKyDN7lRLlNy*9_G01hk^nA00Dy)~RG=32-F zLO;3VDiB2kVFc8hBPt(o$BXV!T*2Z(9VvOYd0uF|aL02x*Ph(57Qn}kamRWY&h?;< zlhiQh6Z_H}M&@kS7Z8{T*~ ziITE72XotXFgK?jH}4NuKbXt~?FMKkZxj-_3Ng4LAaQ-NaF2te1;9))EH{$I?QSwR zt{W;WX~@j;?mQN~L|*rGrpaYrG6+$#zJfM_AQu+Q;fvdWVAq%h3->MqC1q_W;$blN z`Ij%g`ReOWE^f5HH~;XbA74ECeSCu~eNI=NW0Uwdyy`S^5)F9>hyr;KZivSq1vMZu z=k1ySJ}A*H(Quxh0FHr0lzen5;UNTofo*`{qE8NBA*Kq>mDF%s)ZQz zxDMSS>Lf>5#Pe<+Tgg<;OGKg)5f;2FcT3E@HZ}^2O_BTFtz1t!IUwa5D0eC<>X~?_ zXP1@@j8JU>R_-K60D^dRX%?H<-+UxTjwgEdxH}sVALdRiby=Y}p1Cd0svdX54f86b|=Ep`BSe0%)%UD!S;F1RFcE~0J->r|h` zFK-+q!FMd_po9jGG)9fMv>_OzIyptgD|WRb7e%2H$WdnkgUJYokl?(NJcLR)Kp7Nk zWR;>gOsCxyI>`|3s_$ z7JP}I@0vf@XtnrutJZx2pT=`|Jm9rz-RoE}oXa)?e$v)h-7JqpoP(!nL;m6^KCx4| zVU3LF54e2TgLZjnoswKg~-@EO! zu2T2LV<$!&dzoCpIeCM+2R>W}k31iiB=wdkIu4QrNJq(@#si-F#?u?z4e~b|W#U@v z<_z!1`(v$pj9qeIUDOd*t;ig_@TE7uQ+PI0rjupVGnhpJpT2snRJ>aYWgJ`>^&n{| z96Mdde&WsxW!-G29M|f#0zBebww?D0IZ_@J_pSk~Kt3B=tyQq(c)>lf8#k`Y;2Xj` z_zqkts--r~k~i`TsBb3&GO6}^JL8H_`kPU_d>z7~s?TcqWzW$Q2 z{g1zX`wOQL-#q*Im$%=4IJ65~8o@OKO~_DADB^=IN;4|HBb#J_T9=eu4wMLPrNMor z24sTe0HK)-1Vxc060VSWf@OF%MufM%#SA>k4yOQwvRYmW@GV)uiB2*k*_^ick#b9g z^S(Qql{vTo>d9dOkO%?J&Ur503ZaG#vNIWYQ z3UP6T9MC?79LYn36ny8|*6L%doY#R-i*jvF*R(wr|G0ujb>F2x;V&oXRNxK`Agje^ z09>OM0&j{2I6=FJlq(@NhI0^S?x`0lP~3K>$6dtfkDEPdnPH_;nIO{lYvr7 z=J+hfu!;{C(S#Q-Uw`@aWnAiUmE>5w2e&9eUGOfD(1Yh0Bj9lWpu8u%Lqzhv@t!AQw{JXlh^W&XML5?EGj~WR z*2FdewRNcNCB~)xhiA33S9Qjkt(`N7&F@8V2GRA)OmBRcK~xC{6WDsfoe_js{{x5Q zl_ETCzaJVu1|KpXJOs=7AEm`urB_Iy`a07a<+c7dS^ul7|7j#bKnlN3$9mV0MjEBu zkJGL0>VKS=;&sFT`q0?2rZ^7>3>y*f2vGL5Kf*5f*47N-&B)XMe;l&j&meMvL_Vqi z*_-;`#?ygT@8`%=xKHHKupBPifqebAK=O13k(6W;NaSE2c(GKGu+()yTtU$0!9OsU zdRzZ9*8&E|vNgUsZ$&U}Y~yBX3JiGF|4ifj!$aHpY-g$4nO^)hPNyOmA;^M9ZmWi| z3V__)L#Qk2fGs$qt_48%SO6q1@m_SV@R(o`s{nZTV<7kfbNR_B#G9#JzQ4;9qIAGF zYziC-yj*wCCL=h~3+%+!_{FDQeEG%m-yT8y`|r5I_0b9g*96uYiTLCiiH03g_y?@| zVT}a28R=R)tdZbv3kt>pSzgvicxB`hYb5n!Yb3kf(z!;GE^8$6ZjFROUg^U%63Y61 zWR0ZVtdaQK*bjZOMiM^0Mxs7kBjF12Z>^C~K#RP+@@8tdIexm`tXbVx$v?41;-0RN zv`?*(RJJ{D)=1Lr8cCz%Fc`S`9XFuWKapevM>sjRY`}Yb0_wP857} zjpVBr-@KrYy#D&xKgl;YAornqSX&E>NItyTl(;^?4ltNwN9#iR9zq)pg@HmSstCEkS3+70$=z6CvG)15*RZr@6?9TYS`; z0eBEZ#WCmAd|Fkko92_JLfc*QiNksGyi`O{%9{ar2|T%)Pwg@QUsoz)^NAO83Pa&) zKBa_5A6Y+Q?lH(cB5qtMfid0Xp34Nh;>i&F08Ur$2RBOal<`KnPSS(0d92(6^7GA? zU!9kVe*ET}kGs-8e)s-Azy0aiAMQpXsKe3B(V`o0)eQp`c-~SSqtl zy!ry-p|hcM%g`H7iSr(i0#BjVD6)*RKyE6svh3HT!csxGK^MJ$=s`(yojPoANwF=u zc-(bU zU?HeAc&)^UcGH2n?Aw22gM>Z+ORxRXemX^3sLwzLvYc|`eL<$fkG7u{ClcGvi9_&k zab9u=p55Ea!24kPNq&6by>j3kSmW$IZI|{FJ`0c1>c{pIv73LGcn@Gi*Y?v~S!uxH zU_xg}d21XwwBSR?<(zn5?I(9_KZOO|=4wBw)qe7tGxD5pY^Ki&xW_r9P4I~8I`#!J zq!)5?OP@t>{uMUMF-$@pL|_<_w8#fc%)dkYatCj2;(hH~IS}-zbe;&AW+s{f5kLD+ zBeI+V0La;t3MhA0ft$sjYa0#txxB3qqRznh+niojOEX$eejQ#U(P7TT1 zmHtm-#{cQZ*I)k6K#p&Ixygt>|MKh2E?7HqBRkJL42Z|k56(k?0w5OUHHnL;(szJ5 z@Qn}#b>>@$Y!P;r)Oe#hf@%xJOWa_Z8gLGAG9zcOnIwRVoQK(QkO_&RgNMaF*xjAn z=oAz)1DqUi+O2}xQkOwU#k0i~z7EtnoUE%JPCyyK;nVcmX-o0;!SN7bR6_cyNS()$ zU}V076%0H#gE)>Lk>$?YFFef{%z)hhwML${0$5KV2)t^OD3Tv|Yj_=SK@RhjPo?m= zzg*K0RMMn}x77JsM_yekkz=!*8kVi+v%iDw5>=80&eTg`_yIQS0s2t>A){MAzKOaAE?Q@ETyYU@@yVpIe>MOb1L zp0)b0CBILT5DzH^Kl?gK-sGH#{JH0FoRT?#x>?u<3y}j(isFQv#1x$c}a&YF5ZCG4#%UG}8$(z~uOCEmW-fg1Q!)z)Ke z=4jq_9@qtBgLmc-0WL}|JorLk3;eAkwoV`lk2K)ju9V&??-2=}w%vGH3=ZiU*EIQ= zyqaTD3C}l)#0ap8H(w~Op$fo7f&0dYR1y*}naGaAdUB(BlZ7W|j0w*+@76BXP&@t~7=Fc?|UbkbdN4dT7 za39t1R9_dK;lx+*rnDWSa#V#Ee0a7P-(|5&^{C#&yO{nIZ<5GWgzJEcju$~w;AAlp z_?8+t>l!VN*Sk)Vy%eT!m4TTZEFtki6HL|(YdMT%xmu(8LtnV!P4S}101=%Ei3Q~0 zey))!1>#Y(N!+Ayjv%uyj7Yj&_tqZ7b)Bhjxdisybe9(#eBT#7_ihj$=h+wTWjp5@ z?F9#eUxFg9&6_I@cGuo;XK7QyU;R0bdn?+s;f1pLIw)~IDXyDVZ`if#9Lc3OT(7<1 z9^*P+agG#E#BT)Nt!I+4dc?clFcP_EA_t-vp1t_Y9$YbAYF@9HbM=N3k_N|!6fo1n zJ*KlaT-V}__U*tgK(GSn=EACS=R~pR7<$hAArid$`uT5GVeGp@-_RB{_H0yQ9|0Z!#B z)g8SS0npW*Yin!m29DVl{;gbHYHMq)QdJMOQt&6kCg2%^qSr{&T=o#a9I$B6LtdEX z+FJ7&vA?tSuT{1cuZNv2Dy|OVUtLy%=PY4XLaH@{HOL|h{1frCvf;xLrqgAdTWd)4 zm&QOp@h=a*Z0FkAzND%9xkv4nYa(!6z$T5~O_1aqNV`~7xjnx^;zIX9?KS8j6GQtS&0XNe-3)J#FBgL*0 zb(2Mso0;z1x_Mk%i+4+(fp-LNI*~zZreFkJ-s}P);|BG%WT_vHNU7Utd<3n*%hO}6 zq9R!Fyi?}R=Pre*9I9AbYuq3>C$NxMk^P4DmFupVn!yCI^qk0zwNm$nuVhtT{BZyQ zbL)l+^#Z`#Z6uJgMNr1T=|%7{1X3PVI5-knqg!(lr{jF};`x0F-QWM`AK!kkuNGX< zrUU%q%@mV)FC-tWWge|Tz60}RH^WGA2#M5z-@-eGi3JaBl+J+P_+adC@C+?Hg+&yu zCL(GL2a+i8T45Cmk5%Atmoa()1CcJNO8mWEf8tqxrnYl4V<)osAL$yhjtf=g_C+h7vM}28Sg_tcl(FEv*7( z1tTBjobqiKlE^`b`jHF2XYY(fetY)Ll#AOcw79j|nUG+TqtT$F7LaC#gcz%d4H@ac-A*=%lkc#-Y(_+ z{-H_~&u~7%uYYxUzp@PZNtLKimiPCk<$eE&^8P%_`-x||KPd0}$MU}aE6e+=Gkm(d zU;MgiT|ZXdpV8i{M9q(=L|OmY@;;s9)$5mEvJCnB`HN51_uu^R?%Q9#|DgGW@4!Mz z6QoxyW{y}$%BBJ6R-Fs@T06KGFIk?nMFZA|ZV}&9o6S;bacp8xS1&i7ONTiEZGyR! zBPk8AKh{}#l93}!1Vq+7OJ>;#8G^570>&J{b`T(5f=GeVIy}&70gEh%HY*F0f2HlU zA}rap)QOy=c*_@%rYeLlji1kkK7CbmymdYOF**WacakTcDP5VnjRhp0Yc4?J3QkVJ z=C#|&ra00=ICnd@MEztixQl+S=aC$}+Q1)K?&Nqb$0x=vDG!r)TzBnuZl7B21Yx0Q z_qp6@FUy^yCmy92>FD>no!bY?olnPeSp=b~$vhd@$9FroG1qdZ@8Be2EqCgDEqC%h zFWcervfNpzp6lDv?T%}=Q$Fr?ZV$_yVw4Y;JNx}|=f`$C*Hqi~@#W6RX3R&3*v)R| zB4X{b+qv0PmM;Efxij2uaNjI<@+b{M77Fciu5Fm7 zyPX2hx^BO*-09Noa_42Y(;7};cH}!0Su)thRCT zr<0e+kT))OvY5u9rAxGU`TEPRUM|G>=8wPp`KR~4{^`#TapHga@tbe{=V!nFk8gf_ z^TS)jk9R*InLey`-i^M6V{#ih(h>2V=nH|9N&MRT;9*%%c$f!=**J5kxkXfyA4O1K zns-Pn;0c}$sEo}3%OP?+9g&?pPEz)ks-$cc(=J3nGgH%EL>p8%!L~ zp7UKjO+eHo-NRKHK~;IdTh?n44fzHn7D+f+1dW2Q%$Zfh5!Q6_#u7bJbv}S|C|u6q zjNmtw)L>**6QS~Wax`pX6e3iCj~*!5h^&E!PVJEmjH@G$A!?>4;v?6QQXT-o`^w-~ zo>8?@LR<^7Pad+%c<&TQ1whJS4V1z-PVJG)K9fyME@P)|-Iw@6&QkT~%HiR0mM#3Rf5o$Lg*b)kU531exGhF%w+4cxV#{Kk|oKJEbYsQ_uSGHd^Mp1>Yc{%TT_;aWW@0R6YGw^+|N`#-&Tn(z(suwVbLacR^zE}-Gli9T5cn-wf>slEdaa^8g7t%!oiCA{dt z0$|T#d zBZ2GnvTe=#ijf{!H_5t+$~^itq^==bt{!RRX;uk|iE9C6|E|k%8W@jDrWs_u;B&mU21Rh2Os^+Qq_Cb?^`ZS&gy1`t5s*{!6 zU+`C%19ip3`@+QA$9Anv`tJO^12V|qW+7w?i+-c@^$;_jC@O60U{m2v!DgvX1l>hlzB7N zG53|rCMeSr(jXpkA2J`u@VsQb8Tcl+J2(>lQ8;+Js#HAbxx{}Exi8{Bz^v@T^TsIB zRucG@YmRaj4v4^XF5*Ap1LZl%n;nSU?80Y>zk1mO^-DZ!jVE&fR0{C&0JDfq|ncIS*Z)JF#?udR5b zPvL+!%Wq<+%IcwW%iPGd4DzcpPs-ig4?e zy*^Wzcv4*v zPlhMWcCD_Yf5q@*&Kq}P&f&@Q+ryK$)fEnTt)6XwV{F&ziv8=VD|!u2&TaUo)s=%2 znI6#>GJqQb>++FPqxvPpy?mqe9zJR$41sae)sm<#~Clc=CC%8EKf)Q4DjVR10~rATO=7n2;d8Mnk~#GE-0MY^s4McE6(&sdj1RpvD?SUOjf zx!<;=2EdQTes;N<*>f*j5BK7XR4rrCkU?57PJ~?6u?J%%;|s+Z+1Rjhr3J)p0HCqe zihNRT)EUF6&e+-KlB@+hQD+qHtWjRo89{iw+x}v8Ms-nV8#@a4 zO>#CL9@QC>*w?sG6?-pi)_+!>k;+q7dB*)wp3z4TzzaoUhFL{?%FCropUXY%b8Y@A z&)6u>xG?dOXY`NqjMJS;9Uu0&2qGqH_?z?XX623)2@Yq~l4soO6M05?E6+F|~XF}S6uRpj`^j{nU+k4_7>3+zU@8lnliPp&Kx=KWw>s@yrabG5(9WV zbcxMbn&9{i{_P<%{;TA*%Y={X63Fq^!K|;Y^kN;|zMU6&``!B=Zq%c0Tfs1GuGtT6 z%&|Z@C__$2iEP$*@QW+|fcDn3gImJQ%Dr((86X)T#F+`Sy;a9sGE_s$@P4Es*QepN zBr3cONf|f6LZHO6finUMBD7P>B8|jd60!QnTLWl!>>qL1Af1O-c_+A~5$ReQ3BT-| zH@zHQ1=L0!A8a7EEaT+idA|wvJ{470khwb>q6qYyq@@Vn&V@U%G;m;s2}~BY&x{VM zPv`u_>cRD`T}CKn?1&>p*6ch-XC~4D46c($r#o4xaoH?OOT*MpQ~l&)WBgGxKzU7N5|SYOnBEG8@H=v`eC(9xq~AwH=AWtppW6@8>wOLlKn`Ju;0#NZ<_Ny24cDZdF9-M1%uMC;bQqpa37%M!k=8x04w#c$y zFKr}*aVq|d$GtK@ki`94n68pHIvy)WRS{v7QwokwZU@j6uN#9+@=nLmLs~1-KmYju zmT&&!SN|sv-2Yo)2LG@NJcVcV?YHU8_iz8VXZqLgzWYD&Pw)Tm<`3Up1|Hx2^!8hd zxZOj%|NWWx?w9+&vr2+LzJC3`Kil8`@XMQbKm7c^efWdVKlI}Dze3I1eOAqzRmSq) zOwAjw^50a=JJzHZ-)2nWawms+@L z42H!+q?Qw;l3SDo$-b`jX4p_+u?c=Emq>yp`S8c2^$J5_3~_dIs5ssy%RI`0no_OB z+?KGc;%L-xw%N%dBVH_7t<+mvCrlli$Ln5+000mBG~7S!yjWjZjPCp7nj^b!yf9zy zPh{_$^`_*?0awMZGR_ZJ_mbkm1LNtr$_v>O4+BLJwGHjJDN=t zw+V>Hz$n%YfsjHL&+D@V@F@~3Wq>8h3vmC7x>O4+`K(tr)dukhmijGNk}Lv0fFc<~Hg&+EDiETt1HX#&tISgKFK(%QqnfTei@mh=r+df3B% z1WT0ukm(UDX-X*pETx0f7}T#nXNd;DQe9x_VGqAu!IJn0SaPj?5-g=FSmKV!vApUL zES1lJC3+S2@ckoLdR#eCk6@|Y)wv$Q(rVRkbxPyez^y>I9>5Y{;}tCR3s{ObV9DNt zCH6@DWeNWYSZdBwL*@Z2xu;-BFR%nmwXppoSW0A61`iH+f?%oLfu(W-mWGoAOYR9+ z0!KR|^Ybr1b!q-aTIN5zefQ@#A3G}jikdP8?t`p@pP#d$_!p<~sI^CR(9Sc`t+ABK znm6@pOJH#nZ-IIdi6_Jd;t$9!hx6?bc$?Q%so+3JnW1!qB_I^18hom<8v`Q4@h=Ko z2t;VXEAt&uRc{9y@{E=38hlyLk(2zKTGxZqNtd)W!y_>TnJq+HxQ4meIm_%GDY z-z-rK{=8!CVB(*1`WS4FyICwj*h5;;Sl^x1tt(n4fU_Xn3)s${cnVf@7Ayi)64uN+ zZ(GBgkUgr^?TFm#%mJp%g%EK%ORJMLZE*HlEK-UGm{>SRQ&$@pCL3_}1b0D*D2?4S zog4uspdV9E;lx9%$*d$tL8d~{CvMxYhhn5&`;EFen0`GZ*S0G7R~-oP4j#8lj#%h= z?07?+_Y`)P&$)d8V?*{i5An(lJ6!06C!CNoejFCIf*VxTq?+IkG6y zZlO?#+E~V{EQ0PAvDzI!z8n$o6=BOS`3n5v-A{aK&Z^cHwOtxHib8LS00!WV7*(vr zdTHv&tnBX+|MHk5I7-k01~3r*{ozMOUR<+9u$sfr30EfCREfw22i^vEIw^g{1zwg1 z4~v%KB~1gJ1mc{*P}?r}#g2mVyP47k|IvMd~p* z3gArQ3Gc9XNPypmK#9es+4kv21vUr zE+8JOKAl%aWTAB949bv>DBUhmr4)m<5;=iVtTkSH;1l7Nd&&5jq%`KS}5%?JI1o6vfhZazaR?C0Gd*+>|bXZGNu zPLmc3v!sU+gmx}2W^TE17NWoi7WHGL4_PfBfZ&5OB$m;Uh7b%D#Thft@R4EW2nNXs zsLtA`&yh1ofz$oel(zUvK&?IrswjY%fcYG1lhJUmYQL|T8}2BP`E)yTUi+?`+Nm5{ z11Kn+vw#{_>)8tNb5k$|`W^`JMVEt>|8!uYnL~ba~bzj&<0Ts+h$UZh>u6E^RkNe$Mc~6lU%RH9-L&U=L>h z;!DH|Zdtzm=JSYE0C}Vy*IjSq_Y4fcPF}CO#s}jhBA3N@yB)j1Ft`N1U%637Jvu3HTYxq6W~ zfjxSPkH9tG1J`~FTnm7!8`mn|M&#N#)4R@Bp1{>t;6c{Z09--I!7W(j>kGKX+bZ7! zaNRD*m3>)LPT*=A#~B7EjBbEyy#m)Kd(w%=lD7+T4ct&N4HkP>#g3%yD`4hNq3%MreZnmtJl)(qU)t$gKE%B%Y zT+0=>j=X_T@mIna#R~Ckh+GlV0bCCNSD(G(cH9wH zl@DI^_ustw>dW80mHc1*LQDJTg_d%;&@wI;TKfOE(DL8lLQDS(FSN9Ep~ZjxLW}+X zp9?L5O1uC4UTDdmztHk`LZ?^XynIC#o1Z^_{%^N(U7xI6kF|1LpR8ODE{=Y^}mOe>+T+ejpIu!U;iF~u~XZy>}(jm85k z3&z=DWUDSny=vI&TorJIPY&RNJg(rxg;FMkE1Nc_$^sX%u$2>Fz5)v{lr#l$+SWy3 zWU&tFCqXiz>v(det)dl7m;1q>a1+RS)v|g&2;$(Dp5;^CvH&^l4i1fY8bEI{uR!FT zca;+YcbA|O{DCCnnUfUF?23Ik;Bim1NYPkZO=WdV7@p1MDQxF06;0t^z@7+gcFG(o!F5>NN`t!JV080vpxX!`lh-_F+pOIqJg|~ZG0OqB3Kp%k~I|Z6@ z25Su+FTqoOr&7?Of``@y*Wyg;R$0zR$dU)36g5^U|D zJ02A3;m}@Af&Zq7%k<2onmYX8iF)jI9@}2x+?G zZFqUWawCv;RMc0QiPCxJuDGDfC!j|&x$qAxioZ9T9DG+XE;-a$@r4bCXE@S~&%uhE zE(NGzJEEyZ^n3tMMkDQu#cq<%@LxT=j zu}&x3AY@el)}ElQu@3itef7!4^+Z87 ze_TOUa04Hx``?R#Z2Xjh?EWC)_b*kDHUC3F_Fh3&{x?yO?XU>0fjv=>wO0jM@i7Hi z@mmVAe<$_?kNW!Mx)=Q7+3(x?fBwVUH$Oc4=eOT|_x?X$k@S-tn)sl^P~qKFMAt2{ z;7T;Wd9}3fETPJD2+7&LR6E|t&T(7KVNIuYN1bluTrCh7L>fzqfr<%hs^yeoKwbfs z-fOTr8J;C0OWh0u$m@ioq6%Fdx~%LtFu)qllfw6FH?A!bOTf zH^3=MF>DMsRMwa5Cs5?=R*HeLl#*mm)c zS`4tWc%#K2myUT{I_Ca7({bl7>QSzQS`4Ykd}>~9Z5=Vh55dzH)MBX9-)S*~8!ZM; z=mN*P(_)amrHx&YT_G7+B%^unEcVo5C>gKHG|RJS@Rfenpt{~iqZR`~s{q+}&|-j% zv#EDIv&}O}NoTx{gGaS@ijJHowneZ#a`|Z#9;p{qZ=B+cS1pFYlTm?FoX#cSawHv- z1YTLdKLQDKzdP_=Gn;dQ4JN#BZwkoT)(SFO)!?ac2%aZVBf$&toed8}g~PhqN^=4K zzeY0UOY6yTT9b+kdhWBqZaP`gbgXN0{E!Olfa9Dr0czkBmsN1q|FFOM`m5)!{*v1A zzh-oF{HsSt#Tp$o|9y{+w)=~uzco6V{=JO7Ly%~}5-r-cZFirxZQHhO+ox^Ywr$(C z?LKY4jz9YM4eouhqXv5q_Mjqat<0>G@Oepp1se&D{pmeuHb z-woRrCr1ejd&$9hD-aPmwH@_M;6SMDuu>XtiO!W`%A&2{jDe#$VdZb-I{eqACe-vr=>pcOt8;((tf0wylmUDLU3;_~YaB_fe1OPxrcCf7W9t z(cCJ>dG&YZl!V>2uk41Ov#jUmO4cKp?P<~I=}FO|*lCd;I?C(!`e;A=pX^$(8We&8 zg0#bI06bHDr-1GHn$%~fvGn6tWgK4-;9a;OVQi5Iz*;w9|MnQ+w*dhoVa|em7vTNL z+Mc(QN;}mWudl0@gHS$1?jElPhhSH4F_O(aH)8WxXQk0}4ZP<=t>8?@HB-v%MPp+N zcgz~sHj>+#+DTm-qdj>kH2Y}$LlqW_zgID0K?ntHSTjbEa65g+_H{`5^p-V;)mfAK zV_X2A`t!sq57P9PX!%ml>R@^acx*NChT3b$r#_?v!x9m=Q^DyqZqm zi;O&g7hg&9D13+$@jII9|NS)QYRsL!UwP`tPDG`~%5v(o;@I}1J^)OrUafk5S7Pgf zg0U>sx95f5O&fZ-d2IjUP9ta9J`IW_5S0>36!Pb@ocP_Rj{iWGCO9${sO1pXi520o zq>jhh4ev9@RERw!dYRX1CM!UbcLIFJbdoKe>`Pyw0v{Z?2i|{gK-587{=^ z&d>S>UtS6r1O)&900O|yKU6Ik{Y9x94gesG6aWC{_i-|Dpfj>|adI|sbkh6(z34H} z)2k>$0szlnIhZW`E*E!b06>suKmdUM?{CwZ*S4FYXkW9XbI`_w;|Vr4^FYWB>?G_* zGw7mZ5hD5Q*2Kc~FdDL~k-VQdT%QOgleQT*K$|wL^~LO*FF9T_?WteoioH>P4)33q zoxQ(W$Hk{b`6@@{Uhvu63O}}6c5Zp?o@3#EqM&jdX+b7I5;ff?RUJ*7NP}f*4jpiu zZq%<#MmP==9@37didrO{Zx}lbbuDgeimK=v7DDFj61y5i+Y6=~E7cZis+uRr%aazQ zH8j?el`yGDd&raHH7q!G-Vdz0w{Lp5qXY>> zl_*8_50e;%yJ9u4GJ_-{EsM&)q=NS_FZy}R2KH0Sg6XH~xxKZ$QLGp#MM-S*V62d? zJpw&D23Uv3C_`p-3otg0lwrh%?WZKq6=0f&CMPPm4T;z&5f?Bt!T`r6FW-O&a*d=` zZdVH3p5BZ43nBLhPDf5>l^!v+^JC|q+KlUAWS-NJGn~@JhuclDWKzOemW;={4Y`5% z_x>fjE*Wby$&hKAnk3E{f66Cw9cyWqKNP!ADricI`7G%}L0J^Bx&wa;TQ(?z(VZ+A ztGcJV(%{mOMP8m8yP{RU*ZG$9vbyuxwA{*VLe5!}8mdB_7?Qz;O>@nmYDNVnF(772 ztRrvMaVJYX!lnr@ks9GXbvHFMeT!Qg+1Z}m#;mculOcSJY;V;q@DRG9P$6VmFt;Ow z(3ehZZEe|W1+2-EGp|D=u_0^*t~LC5c6aq@SErs)+rRexx~pr~;S<8wgoCo^N1AMW zpm7^a`Di&dsF`j|$^1jJ`iEv#Rx77iRUtH%W>#p@qQ-_IqUHENdXnx0dCvwl@~Z>9 ztyAG^)9z)H#h;Cy&8_!|-zG(%=K!fAeh8}&EU!aRH;DorPvs5{^f~JfIphh(u63xY zp?NWLx)S99mVD4S64r)ud3xz(Yg= z$i}G(l(M9TS#=8H;{>u)G9pjYy0@QaFu zWsk6yWHSBZu)v{^p1hDZJar@{ctjKf7X8j+FxV6==@V(76K8U(Pd?y-`!o(XjQm%Opphehzu7V4rK zT$IJnIsk$=aWqu-v|%$Y*+X|4$ShGXD{Gs=m5HWtekdp4QAvR9j8&8>2Dk|b z+AU#|VHEk@{#sBL`H2%pm_g%YnASiEQG66|tc2CG_mEQvZ^k_sxne!4y> zhsT2kTJTwd_WS$?AafHn0BQIJ2B^%5Hh^Q~EFlS@P|T3@5K)T`M}L)=QS+h#c6yTl zz)|_rt3kx9RV5iG#zr|fD@j!VoS@Loh}cC?qY@4;^^>SENXztKsOYn2YfRC_7&f9; zUhr9Oce^&PZ!b>K(xISw7u zr+c}o3SabAKJ>8r?4DOjhgod}|HAolo zvd$dW*%(7u-2n%IdTNn)fc=A)*BkKGT(VpJpjpsoS_;%Rqy!z*v&WpOr^NPH*$JGG z22DG&1WyEkeZ!!afmd21o}ypz1xN%kh;2-=Avbrh^cJYmAPcb<-LYrs8_y=oT9Ps& zNYn?i4_sQPia3I}JMiSq>Ftfj@xyb8OV3Z#I6g-#R%k5ziW3XQbf%{m z6OOLDDuX5+_v=g)ZaPZQ{niF1O@^NMJ9B?I`gMahmt!W70}S#U&P*$1kbra`xI{}1 zJrejYc=iCQmjHKkPhdO+!?G*9A&(eeNhBAPN|7`(MftNI4m8{n7mvh!65_dB#7XxMYP*i*@Y4kuLj8h76`K^P^g=l=Zj(vMHq* ziFldGLUjuWnvhYfk;o?Zhe2U+?9CEPT6rFtN0iIyCJgm!=Hw_T4#TMsk>sk!oMo$r zmyv~(y(DtPW604oC#pR)$sXh^jeBpqh_%S2V6lAWrd&wJrHV1{j;WuTa^7YXT{Z{DLKry&j<1I z4m?){xz%OwyJMmnNXLdz4SEg6o;r^p(9=fzv=|N!GUAVg>P|K6{X%7@d>fPxyQzf!aZ$^W`n9aG;(5sBC5i`AqJ=@ZI;bE z>F`dTXYE<4C;-^+FaptTB@tgitIxO54z}UOA+C!S%JnKcJU{RhmA9KOXA9lNet%i_ z*QkX*RScD9UU@AK8G_9@PpYA6Sh9}kBT42MdC$wU;UPuRwi zQVd!Bmk4Ey*EnZ`q1o&ZsW2nUAPA-j1GdOQ6#55}d<*f^m_bW>ddAc&L$}eZtUl{@ z+zP0T?J_iU``Xl}Y#0BOE!8CF#35=Az$XO;B5^B$jMLaugafNe6c>R6L$93qcuy!t zLEj&%ka$AmF;gnMxS)Us2tTt7`qvQ8KxH&DzjiH*c`b6vKg*oyQ}Xx~tO6uU-=Rnf ze=r2(8aq66II>t4R+*NK(_JTgVnc9IAvrr~|NY{#xcpZ!?gbwr4WS-_2o&}lG%6W( ze7uSorUSJu%|b5xvP=(Z27BDeqXQQ6k4MKUU4|B0TPtP~hIW8&`XOODS~-&B_SWVZ za7*OU12<1US#w(&>{F%usNf?y;QPhb$$s4?zN{dG1x8wo|F(G=eRjzF2%>7Z?qJ-v z_~2tY6SxlakNRLvE(el_krau%-ZNIopakXZ?O1B6+O%@+dOV-CE#E?l_lH5}ARAk2 zVdx<7&b(XtV!5chd7?ih{IkjCt8y#tMWPELbR*o`vwnGv=k?ifR@ z?X0_smx0XTEoTK=>fc-LipZ0)8f$hqW^~ng{AHOH{AD@1D%h)g=jTwV;f9^n%j@;5 z6==>gTTi4tRw$15nG4m&fF#H#{%#Yj4^Yi5`@4;p7HygEw69GsG@6E-o zTMD-0NgmKO33U`c@9te(-X#pp#~Qm+oNMncpRL|a9NlHR?W%Ch>->W0+_ShaCFGpc zyAuxyH~4%n)3vmZQ_@i{@qbr%h6D2OCmUI3Zn=De+Csgl^`n$wf?!{?P|=WeD3eSb zp<~Z@1G#5xs78$0vNgI?qG=cX#D&QBwvHQ>Ao{bA`aj%&QA-(?R&^1{T0=XzS(y1X39-YWq9JI7NWC zy&F)!Yg_lowcQZ-ad;w^hpZyfowJ3q`a7sCID{so!@H+*a`i=EQ@N{B>+~VQqNSGs z(x*lnBOCdBTRLm^HFhm(S~@6=9w(e+Xr%5JX$(^tRO{Qqaous7W*7~tq_O4}id`j! zOq{1_L;M@;qNo?3fLn^nan2t^ z;qE(}g5b26H^46m`ax|0oq6^RV#1!;d>_mMW0ayX$ks@ltQL6$-x{3g_MLBVG~hb;C>R_53-U z+ac#P{_A~n74kvuHsgbLLGH0->qniF_ibRz zM7V@}>;p&vb0Zzp{ZG(ioV``$-A zR~X<(3zIx|jyjMKYO=-38Unv?!qn2ISNu-Rw}{H%LG}Bk7LHkXpX001nZ z0RV9SNBa9;^WWr@ZCjjn#NgWxibtUE;%L94Oi;>cy}AsF2B<3p0ey_l4uribSPi(i z*4h0iqgtm{EDM6@HImCEwbF}Iid$Q|-JY&qpRbcrzTNH5>d;)<@B7u4S+>!`+FoDx zw)`X z$OEx^&x-Xj9GksSn-jx2Uh?QZiLmz0eQ{h3)WR)^%_1YiVCus^T zT63I{?6y&fVTKPWQ4@X0IR%p`(_@nxg`q)fq^6r&7=SO%ZN|pJaimT|L}sr{_K0xx z@^149x!kV9!#t?g;_D%M-GW8a1<+sS(J~Mb0-EIAx`6EUJ!QWthIaWwB+^{czXR@T+_&d#_0KaeE)m=9-JvSD zU{UiV1Bo2EL^a~FF)??@LayPh!LVw;r!S!zDKtu?8j%=x+TS&h_ShVi<)11CLSUH7 zQ;YflJzSy1Ku-Y_eB?fHi-Oc9_fm$ptIyP7x3$e6Y9Hghq}P{rd8Qh{!%%wR#U#dZ z>Ji@K>>4H<9dHL872d-@WqbXhpW)Nb(jbQMC#oorKH>2NO~?5iEP(DbegJa(24hU8 zd{w>|TnGgqCt)ugR1w})^iM%gNFCY;^{7D7qLtpst_uAq02Ci|ltKQS8BdZ;y ziAuRpmK3J%Zx_J&N-Jv)kgd6Aao4Gv6$u3FH0RXIevgqnW;e(|AT0(g+yvItK@XjG?P3q^1Kg7{1wXz?q7wl?oDuv3}X-=>kb_&5(pK3#mx> zE4tM?h_8SN{o9ncIiOC8;SP;E9$2Wy(2`6|c4)e{eyE9up|)B$Yvcl;Ws3ueqP6RP z&$!GFyc9z<0^Nqs04G943randpcT`_ACg9_YS>skux*(XP}p{j9tZDI`A?Pm0Mf^w z^a=CRfKj=enrnwN2&12FLV3#W6*nndkk>wG($+;Wcmfjd%rvGhdhg^&8M~^bed@QG za3-E3lLv8NuBAyTH6s&^?C9WBXUh$c{nWQlo3palLJ!YOLeddc>?x*AJe?tD?Q$0a zmXa+lwiy!nz|f`K^a$xP;4H39(M*)MCI3f4A@QWCQ<^*xBQlh<0NN%Dl~tDLK%U)P zF;BO6a4PQGbi)BByBGZX=IIL`M3)-3K8)!wJ|+^2a1ZLm_zSvg7`0hK+}tKWg57ZdYC?SO3Bi+T$5z zKRulPezaL7@DA=VXP~ls>u4hS8AIJ(Cgn}?g#x{yhhD0incXy92{<)^Y+W8cwCC~F z{4}wru!tBQ^!qcer?ZY=VYmsv7SIVzUn>_{Erl>!Vw3`5)x06BTOB-eEC#TpuKY8m zwAs?WB^y63X~3mpDD3p$=>k2Ci*rYH=!WrBH*gp=;*Je%tctdg>8q`lFXo&leZmt< z2|(UH%S*RmFvk>E=-=AC74i>K@-AZpAPEfppj6P0bOc@z3@%)NfFv^v9CjQk>-!ypaz zWNL`YLk?zl6j0Ot7(go4B1{tumU1CGOTd~2n;+2sq`I6vqgl+~a_#%?`d?JX@ZUr}GCA-V_Jtautp z#9v2VJl@fci~Xr4t-hN5s5JCZFlM0U*o07LK$}k^iWG$wB}L~3%u52+_cRz%1N){I zwBvLGe!&y+j#(79GUiGlyiR5P5{P|yvYX15JS$ER4C_r+cM7z>d5?{8(-f-v%W_hr z>_XV=NpwEfGHbtR2K47u@4K4r4eUSno9E_|2?rDaKpf(K?>FOr+wb7y`4}8FIFa2; zsuS>ru{AhGUr7EWk`#gz{_r3u!8-Lv&c80ix?c3Q;N!ceDu{nPcP-%Imo)$1ww?T8#}Vendn!K$0<0omWm1$ zS-`P?JZ+)RzWO`cygs8Tk0Bo-kmj74D5%xAFmbN15L+CuBIt723)SYoM1W{&Zk!Q= zR{5<~{)#>qhxAF3PKfeBfumb=BU4R;wQo?frMyy9e zsE=n}sm=}C6Qc?;#0Caz9$AKZh7MIO0M%jTB&2VxSBy<}#OP*eUd~fQnWHz24D@kC z<{<`7r|17;PA z8Acy#kO2gz2_24orb(PG++QDhkKieam#XRXp!f%N;PMt94-a7AJ@lK;R`2`Ey}OfV zCx`Cm&Aq+5ckAor9~JrQc1%F2x^YoeG3JpBEPbGVJYcX1Ib)y`l!5M^9e z29NaeXIcVX)5|UveJhS75J+(gb&Wj=#mh?#`Ix4v0i^CwHdw)HZbfGef|tzvf@twZ z5^FJU!60}-4bAF;H)p(oTt%F+_ZhQFl6iaiSK35D7km6DNC*!t^m5Fpr*j7qf)Qb> z1`r^Mqq2e}gawtFl{&1CSX%haT^Ivy52{@{vc0ydqY|4Msm0USE&0YwfgW3f5V>ngu6U{?T@e#?!Q}0o@E-Jig3*BH-}*frBckPPWwP6 z{aHIoQ!LFS(qKY3Hz=yEP1sli9gf=S49MAK`7h_#ME(Tnie*}DVb&bke=UiU^YpvS ze_P3H?}6Nr!k}k1mBgXJgoK^rzLwP`TwrEKi9(27Ya;n)S%WIIuyKKjhDOC~&`#d| zC*<;}U7R2NLM{^2|At(q{|dP&c2+v=h@rc=at^)xQaE<5i)_$?%e?}`gce}9!W5Bi zR$=F?kl{)jcDuROjaKB=`&d_2=jO~0Q%t9$%f6luCv>g5-JR_oAE%jfYY|`Skg`e{*=ef4KUghe!A0`TFnr^7wgsH|8KEine&QkKz5K zi}{vIhOf)TA-g@JjnHUnXE?#^)EtAwmdBI$b~MRoh@&hq_iFJB*{VUqiZi>D7AnC; z+h>YVr%A;^Cp<_@FTnbUwfW=#9aphFeq5mO~=Or0U|g$Lxw28y*fdz{9p5 zEYtl@@}RkJN#VW1-z<&5xH5XCY7wU20M-++QQx%udBtmSCoOu4MW+%QJ4TgjS{eRR z`81_uO*mQY;*S#XQ-qO>^oi!Wf-Q+IsM$Hkzve&XDaBkG>ta@7NLtD`^()S*85s^) zkS4M6>S6x|Bm_~@Sp_yUu<&f%b$f__)qkrXwwlvR7lPDGr?fj=;)Mr|j(Wn|diSdq zxcl^k`Xfe0h~;awtdj`Mz_DsN8!`>gJ5;_}R2BE(ViQLc)X?{<{E1tbX_<(YK13z` zH|)ONfH%*ft#&&B|Y#baxW}%DIMh$U@yD*r>{eQXHv{Y zCAm}cQ-ZHc{3^DPDk)!K%{4+yawGn_!E(0&_yqr!U ziMTlxY$ALwThd{JSBlvR_`~S|q$%S+PK>8s+JeIM;}0ayj2g z-h0U)m#X_%#+@&AK4;W|&ST5v$U$kxGhv6}N&D7}HyGz1d?^tNN#*kw&uszpJwC;t z5eM#Ei8YX1I8BLIhzo$nrY}z{tiBszY*BmF9nD+L!XN;#3J(wlw@j@lkfz{JBbI+r z*4DGYI*k+-PBh_;e>sYh7db5}h&l+9&^5xkQy})tYfT0+y8cPME>4pdnNaCnGFFqH z0OzL@kJzRNE^s(|CLO!yr9qL}f2=NmH2^uMuPuWW5axzLAuvJ*lZ`?L1w=4|i>-wo z1(LY7wFm-^?E*{iR}Z&OpX5l|*&qQXb8Gaxk2MBQ2zsnZZEm~A=jH1s_{NXL;{$9@ zK_pRm)jpU!%h3QhC?i|!r``=NF|_*TJFy%nTk0{3P-SUQ4jcE4cj#1`39KY6cloI+ zeeU?nWzJA|%dSaP+QyCoZ;DZ6B(8-~1h!Pj0dliCROMB^8|V#jIFA_D9d z{FloZ>}md8%lhA7RALq>Fj-}WB5SQ^#3@rdeP*Mm10P}nza;q9UCEgZS(w6>@-(A3 z8S8$SFbdt^311_2o}R5M8fgv0P&`fEl;@$H6E%joOJ5oRseGBgi`9H>v}62UU^AP( zX`v8V;Aex!HTrUAoTroz?BCG;NgcW`i74#g001&D|C>6P{~L8At8PY^v?BWK>do`T z(W9UC(}C3&CqONuDJGZUA_|%(7<7a)8C^N_y}eUsr>%h?iL*K9@^;IWH(BajWG??a zo=mUa_P%dt*6w^gUoTF|?fQKG`?o)NnVZ||^M3O&tH;~r>-j!-tH<|sc>EA6m&^Nk z{Tr(Hdb_`WT)yyq-#tFZj`g^@;jQqXcXpNQ1cNw_FnGv3*DktFF36^K9u zl&H%XO&6--Iq0BxEE_CW!Q4}Ml~ru}OwS2jHFYSeQ|Y0ddMHI(<|EQ2tax69W~YM2 zXd;p3yj3AtXSKKoDTZxidDm2B7(H~<5aicR_;{q2Pmky`>W;3v%Vu8D`V_p@1;c}c zTQ##3C-t~Th&8ZeBtA+-U}Dlzs2rRrr{;=9k|kX*|HDAXQ@+fYeLQn7P8QuCDIFy( zW0(%A&fyv9%x?mP)!F3qhHBJ|M?1AaUtMOWHB`!D(*WDdN-RL6^1TkBl0d*72rOSc^0QCuX!Cn#$wT~W=6?V~OV#^Tf7)~jvqMC74NEvJ2K{8}F$CA2shF|JC zrvS5h!8h;77@!z{+)6gXt;w?>PC%wp?!_Sv|}>K zsaSGreYIqX7o8_f$J#4VfrIlZQ`O8Q4Gics9gp8+xfiP~)(2{fj|%Y{26eqU^?*fQ z5;u0<(o~9Cmtuh-9j(}N`!g5}Zayaf-H`UMKp;zyE~XVC%I_Z+8_LRWk{>sfX7m`! z46!gLO3P#td6(ExtY9214xC(&!!zs^a6tNsNLJiVW@d|F<=CDd%)9jmqSPMGMn1Lj z@UVQLBAzvf{f}Jwbm^ev0YjGI2@U}W;07}!55Vac{dPWBn*1ZP`e7ER=7AwbyjBQ~ zsQ%qtGkzSfHv!~P9|H8_g%%t#`}LqoN#D%@m^6X3wjz$xhU1#CTw4_cS+oD8EAXOA zrb{SJ9>_Xh79+Ds<)INsnkJ?;peJy}*8EZgwE7?p97?EMz(-}@Y}a%TJs?m3Jx^(S zy7E4K+`KSFT4=J2XyiSJ^eHRCK#{M)z{kt4U6LE=+T_D;n#^na?dSbH-CFDS<-%`` z34pzp#P5qyt`dM!^%(tjrhzs#Zc#8ycsFa{6y?*O(dWz!>w)79yIpn$3OL`D;1&uJ zQUN5PTt;L3iaW;BvVR8I#I+*avci&Tko>I|-6T7+5>(%9z)%H!cesh`0fl-a#! z3}nJpWh>IeX~zx)fy4<*3=%X20fk=J7?#G;!d7)f}ADN@yUg!k8}nms0j>o1-Q*k1mq@thDcfG?(|5ne=`?~0~lp50|5;( zB+QQz_aVALHdN>ASR19^!w4#s3MV|t??!)wl4l))<-TShPIo5D=v`(yhCc%OkOhJ& zP=(MJgXz@Vz5%9zd1cWch6Tmm#*5|;?;qH3Ed1g%B{pb1mCmUG{#~Cc35Yl@TCuj72z{J!7mG_83kMy8L(>8pWjkl$kEFD3u4VkOIeqhp`s?U-C( z!BBj@ZErWHhr?XnoSYq9JH1=K?yR0I-tH|w9v&V&J-V0J(%hHU&dt%q?Wb$d7uwj` z7rE5h)WzDDSv$H?zMlr`zvLwwMQVtYw&~(h=f$o#=@xOS6VVpL(>I0A$2(j%64l`s zduJ_UXJ`z4cYD&!>4?dPVhap{+ata(G3|u%@DjysV#+0#}3#&XtD>sA>G3})tq z?nMnRPQ!qnMUM;%Xq?xpUO06w=qcOgl4!=Y%k$jvBJIV!Vivn5^{pN`Uin>AtgrEm zP2uoX_r9*`S8cXnG+~t0)VOn_DRBM5y3e9? z7)&Z4saj(qJZHPYg0vslTb=eIBI`xBIW%Y~O(fUlr>7QZ=JcONY>Y?d%3){m(o3zR zBmX?)i>PKW2U>DHH{5Emab+Gk=^(3$)F*L#tQ~G;86DvmNfSy$u^$$LHk!Phvwe8K zzXD%5{qE-F<@WK@_vH2N_bONGY^~euYU$;wT}``(o6LAMGhu>4r+ng>J!pPWNf52P z-|ik!7V`VF0#LhKtFE9ZG!M0QWK(iMl~r{CwN=0?Ca3A9+SLBXjtc}gQh2L%qSM-6 z6lUO+vn9rHZRybHWN$w3LO>OrBrr`r&+$#^!hAhqe4C<1c1c$C;PgYbR1EEd$%*5~X-lN_@gh#E zC|bGO4XB$eOY$Q@grRu%XCGsf%pz1cS3UeSSBx{_e~F07L-Jf`tgIEs{)roj3u@F$ zjvV~K!e<;c+}!%tF}|~2Oij)YZg@?tq|Iirys92Y3rj>vsGR5q=xV%MBF%Kp8QQmJ zNpju0{crySe(T?H-Q>g5{`392y@zj`9|w;vo~>SOFW@P16>;>^&vDF-W%X1-d$?Ph zg*ZxJ>1CrL6%@&oJl#> zjA%GHw1)vWOFJh)<0-EYUPFDLB=tY*W(xb?$0iOFZWkh&)1WqpJ!Xo=hyZ3 z)4R;bZ43yJ59n?LfxMG(6?-b!HUa*tk8mwId69UT16W8qKESP+KPTV@o_RhJ9JyRy zQ@;6S=D-eU9oP_-cyzN{cw-@{HMTRB<1g=A)=R>IQs``~Nn2Ug^t37x9j(mo1Or8d z1T%<=kmH0bJ2~$|S`|vRIIV;ve|6h9M;J?nS*WOX@0y6*@9_wC2Qg*;&GKZU(I2k*x&kTC+k90lai zb&!E>v|!G<0|vt6uVxLH)4ZhoJ=9UH%0uGdq*;!)sqB_2<6=}_XwP4Tjw;0^eLPGA zl%lnQ#n1;9j9EvRub0D^mqY?9==NHcO;kwn+}_cP7z6x)3(bit+L1}p7q|Y zucnpEEhuhk*WG%#6*J9pn0yI*f_=XSTh_l%2+lWcs}r&%{Gl1NXw z!*tQ*IQ>JTE->@<3F*`hYyWN>SR&H8L+0kSs8)!b3ss@-New#>ucum6<*@_#bpJIt zcu2D@mQO2{O*3wgx=USwn=oP}|C+^inx8O}hOkvc!#!XvD!-t7O$kygH`6w#dY8zC zCZ#yNiflN!{i|n~ro;?ocS^Ag$1;&4^QXQ$&FR9cK&NVopA6_0qW0;^8I)qb&;JIFTG)LJg2#Ueqk7hgFH zQ&PL`ajh_O;LBWF7(yQ4#9Tc*DxZ@f^PMy>iS1%J81A{Nv&>3>SS={wP(62uLDcSY zEo8NF$((AGZ^2ak?5jQKY7tUSpbtZoSy=0&$W7a}nI~q(V&s3!Y7|%M+DhLtU2yT2^;o1KX+@}BQ^iNx56^HZB zhxQ?nC70dii=Otw!EkHsx815Y_-Y?8ixLljbGnXTc{V)o-b7nEV{D5de&NngauYRe zZKCF4PnMEOVS`5Rkk0caATk{JUi4L0=sY%xtp5lW3;_LI2^r+SMTUz5krY)P#-8qW z_m(@yvnlR--`B`b)_nDZHXcKVcYptYSXvF=Ca~E*74ZxOEK0Uo{1teRBQ+VxlYhUz zO8gS~%FA~iCyP;KB{$^O%t;_}v@pL~LZn(@aaQ&A;olzu0qx!^Nz4_P_2NGgtmmXF zvwauwksojK{I(wLa_`;tcKv_mvm7)+?Rw_m9dWd2(*ZNpvd|w(SqnX-a?kOdfOnAN zmm5e?1wY3mK|K;gp|ctc-N%q=VD<45JiW@mnASmOb=~(Bo2wln!M{x#9pM@DuU;!$ zZX%QociJuOMjmk5OzD7~{-UnP_&o#v5MT%Mgsefni-VyZLIi%?d5a+srlxqw4tw<5 z^9y+9!Wf(5Hd>G`>}>?udu(cjY}Z(iZw*2Rqo{`{n{J8 zT}=BK{IJRWDrCODZEwE(%!BClwpA9sS*WJy4|%Q^a*_dsNAu({=d;R6q1*xZ)kKcbPo0d}e3cr>j=#F7Jc4dC9D*#Ag$X1%vrl(_ zM;iP_6l)`ZNfis%7_xN~iXl%xT%a))twtlY??B~kQUL~eSc*vfetQNkUhH7=0r-jy z&70gzNFT1!4`O=kZLxv58N*PDiw%YiZ!OIIVPj8BEtZ(~)UfwRZpi{0{?z0?ey_m{ zgj+y|U>%Nq;pAVAZX6wlWGJ@x?G{mVt^#UUpK*6FU`e0CbA3WFC`0<_&czv=uNh-l ziA1m>2@Ynhu)gV3=Xc{)u#dcOTQeqMV9xW~Po~rN#jW(jV z&~ZoYMfrMo%ZNu4lT=M7G2>1I&Jy6R07zffg?77LZ#RCe^S3#>U+34o5A&7yEhqkQ zS{eR8JOzCHfs6?sdT&u~tgxSr|rf*-I@ zA=_pfbT(fEPj6|XMzI+G>yi`?d$}EOOizsKY7B>k+$o~(VU=ZTRxnvdm?tI39xL;H z_W;uloPZ)PP_~OxVX~s7xyXIAC<%R&e20|;mvFU0-%+H6Ga=Lgc+Z!D=3@c?k*n(A7 z6O@nwfYyiMMc9EKn8j-Y6B1G=c%Uf&JT|%`1p5|j0`LLG>QH5aUNjtfyARU@mc?PW zYG6Ts?ATRE3hX+>?ugP@f_k< zcJt_K=Hja*d?!W0`UwFsKfG^b69k*QhAGa0zKv^T+Y1MoFqU1J1pOVhvhzOUns+|t z2k>=U$B6(TV=25rRio7om^<%CuG**<^(Gt*$qUKu2jD+BpKyB(HW?TIfcUqM@qgt? zZ2y(>lQtuCL=Zx5SCyuq$ua+!K9b2-@m>{Ju`KI|&|o2An$Z6x{FTG?_WHp^bV`KY zM*paD#qWLp_H2JFHJk0}_4=OP-fq>~-RXLN*xrP%#pC1t`giw!vi;GDkC(f%^=a?x z{d9K%7K^{z>l4}@;rK7XPWIvvt9hOL)R^qx<1&E)+ym;6~p%))n_!` zOgXD@XQOT>uB!c6(`~vWxTQtatlBe;E+fU%w#hB7!8w?5QyVKo zQKQ}QF|EtAQQ3xpB{?P9p@*h4#D=BsE-9Sl|I$#oW9#lqBN=JcY;_`#zkX5j)M_Qo4tv7zgkqb%uu^x z$-zGnv+jz$iQeFFBSY$WZMpUO*vymXN6%AX&)S|sZ&rPqYE{^{h$VzgHoHcY)Z#i( zA(gv`G!TqyPY7QLeVrODmA`qKqS1N8KABs#FK*C_m`{L6_J&MIHbnE|i8DCj3aMK= zvS<8#lOo>n*i@taa3pDjdO-KDJ!DvA8-SGVW`7brsBNaoUmU>P zrG|@F^!4qpuj?=LcpcvF&sXQ+$IC&r+FW0+&(JlVI1?<+5eCcJ#Y+z27c~B)G}z+G zCM-)oZ6@a=|0h};dcKFi5zLcg(#*$+zX^{yg!Q@Y9G|D%G|KoKg;IxT#c0B-7z_8x zLcIL%F!2OvyfHSrOOEotT7l&Y5V^{uNm)si$oMUEVd&891B51^SpL^N z0(`V#{mXfRNsO^4(3loQDA7Tb>lrv|%Jm_CLH`jr3=mqbp=C|1j053;Vx`m($`D~j zL&@0x9LbBKFMC)h637eOA~`qL!%5Ot!aYhk2e#jLRQXmI%}=#s5TIGnT zg#Evs_2l^oZ8n&Y+u7f<-elcDC}RtBGx3c0YxqveoTexV0nEirGHJ>>$LsTxXq*`& zPu{I@aw4A6^Ya_7UB6UTqOY#<@p*YZ9VQN5=epg}ww6x5Jyo)Wc7C{}F1}swuO6OX z>$TqE!L^olF2dW*_+`Q2&%D6j!pr$;u~HVhC|>t3Q=q9tZj9c|af3hxcX`pUI;|+A zk>6z)p}})xf-SR~X@;~I4pEk1IZiIQXDAca%F3*XH!Uqq;*^u4haa%1Xib=AECZh| zZwks(N+?mP0&SvMhvnBcHVa@y89|q!C~@p0B|Q8N9?P^#89vVoO$MVaU5-+oWK0_! zU`$meP-Hx%i~;SNnzhumOz?F`(%KxV^>(rXMrDLZ(`@2h?k3y)$r7zSs6Uo8qp-2#F==!g+0E% zU+l(zJ96&!aQcZof`(nFVlKL9S(y`hRh2u=1V|A#?Q*XU)FX4UsZ^m6IK}XuR1wD? zUFf9XE%&*%0cfI-fB=hTYpxjGj$)785@j>KsJzL0LvQ`cjrKnwhJoqEPP1iWCtgP ziMm~39R83P*HlTQ+Y2#%<6#oFRE%aJ8nVzZPFr*%$QdNOA0{s#UU*1LvS7+33}KO= zO<>$PFF0Wk5JylCy{@#@sFKe)fr6Wm30i8*leWi`WOYdq4rDm3Z8g=!#1Jn6BqN+c zj~tV%Y`py*B2bxnWf8*jIs*l(qVItSOk8gX;gwB^ycr=ixXsTlD4AjZjOA*HrDg#P z-H)HUJLmoW>9^qR_@p4-B;Q39G%F};{nHpHFvupjk@GGmnBwveAnQ(H%*UdBpQ?UF z4{{y)T97j6|1fq=?U_XjkdAHJb~?75bnK38+qP}n>ex2E*k;G}2vM;g?cp0$ajgHr#Jhu!F*LDeFRCNU+?@n5bh!Xb z1avU%+rbQF{@DB4OrkPa%XSO1_)P(-$O-t9$I|!~Q5?CNhIAjBOzvJD!>Pw&S~XPv;u2}kee z-9cLK`_{_$+uiiH_vfJB_rtW?_tPSeJhO82EyWCSDkk91q{)l^I`Y8?12LtALAcFE z#6cj*=!0u)_0}Ja1q?DKU|Y%{V|OnJl&InSN77`m{d z$6FV20KDFs43=#i@5kY=)sn-kJ8H@rg6lOA=>*=Ss<6lG-9Ot$2~3!5`lwE?Ik6e! zC*z5e4lXAt!qn}x9FZ9_DX34Z;xp?mIM{b zCv}qyuUX>%jNp$08^BillI-QOwY8?T?!c9Int3SL zKlSfvlV!ayz3f@F-27nybawq~+x*ZIM$jf&574SCO{_<7vkT-n*@7zN9aj^5#< z55tJ^TY@9to;L`o8jydR`kCqB~-e`We@;Ibo@J%!X`b@8ZuT9EWlbYbc7pk5gn> z(J0H`&QT0c{xry(YI^GgsU-@&q=ahn)g1ax>sxbq480_-idv5DXq-|5dAf~kJ`T78 z;TzzuwJGT$!8n$iEmz;{#u#W~3L?dVLJK;i+UMNkxRYM}&24AjI>1Xlg*(|jpJth$ z_K~Mi5;JRb0}~&nmK1u0P&P%ahI^%}a^mfxg$(E4>-M2~gKO!o=)5gw=lglBBu$F~ z*0DJcjr>wuSN7IgE;$QFx!9SdhLxDq7AAKXZpv#wEu%xkRMRoP4|mgNgK0Am@nR=B zv=`lkvUj#~8(l+6Auww_)Z*!l_f)vUw#F|fTKjgks^i9fbC_4wu~>Gw4j%0D;dR#} zDxs%RKa5m1<~P_KR)hD0<&AaC{&%ZY0a9wMF_h_FCaee8?V>H++2dUR$E$5wkV(F2 zN_7Vpo8V&1j&3>UGjYNI3l{}Rrrlu=iiZ|zV(dw z#fk?N@xomR(-Mc2Sms(%rRWAQidu;35$vEmrY^fLYQs>!HTHOfwGikP#!tj}tB!!P~tJ`|9ob63fkCIo2We#UtH>S?ZH^r^ZHQ|OYvSn612cC$hH zv9H!J#3eS(TlHom_~u-1epPsr&G=a^$%EU_11GNK{7yd06Z2uHA~P!vSy2-4+(ysuKXGHdYsf#qtywd{O zfdXxR6GWQ6s_vY;KI*~EG5SUTXMQvLeMCLK!iS#3?R+0kJ_~$U`}#(_I?NpgzP^pc zm$J?>J$RMLhxd#D-NSiPIU6F}jMhEOiih-)fb5XcRLc8 zO5R2KHS$+690R-0Hd8%<2vi6fmtV7Acu+9y<@LJ#0>Y>$0F7ql_#Xe$pTiN71q# z|9u!%cV+B=t%BBoXNSRi^E-0s-x?cf)X#y4RZ%I}`rkg!1E~l<>s_ie0T!)2P zxJrB|@lSEh2Bw{E3s|Zdc}g(U-KJm_DJNUVC{&Ouyx1zmLD>BmjwtSmAJ9!1@yh^} zZWHXUhIIh2eb%HGn8-sNjx-U9J?IJ^#OvsR@8Sus%AT`TZxSvO2+=GVg}b zK)UZ!3+)Jo@-ndXKvFulBQCzQAkGb+`?arH7$pP2=KGc{cNFHpCDaaSi-yoH1^B{HX2^v+4XA72B}pV~JNJzi-Mx>17W^aeWFM%85zaHff_?@> zUKpeUzQ9ypSWP`(4%IU-lGQ06t56wZa`}cY1;LdmCbsq{mkBO?)|QH|F_r3yi835> z(^6N8CEB?kdPLA>R7q|q-!L|dXKkR%By$w7tGOSA%CZp)1=2svFBnoBa2q;IudBL? zv>>|ft7Uz`tdhJ|7L`oK3;kK&cAx$ecN;ePgA+i#I-!0jbPPgn=!iqK+CCU6Wnfgx zgP&N?Un+nG4lC=PK1jtz5qD&-BaDgJalMq^x4pp~-;3al4``JB#{^ZLeT=F@kcJ4i zlz72qd4f!n8*y9}bbjLRvgjba@rU!eFav@(`}C{!-`ejqN6+Q<=C*kJ`Dn>|co+L* zG`Dk#Q^m4XIl*k~>Zu1Lm$ecHB~NW3HPcZAZfoePRH319pc^IDfMFU~mREOB_h%$7 zdkLK%@?asD&Q+%?nD@}iZMlg4Dy*R4gdD zUs^uB?@I!o-?4-}s^F$TXQ6w0NTB>Em$1WjF=bFh2tdf9hd_lr?HDoCPu4uoY+2N)FilEt`aK7!wA|ig}esXs(soR$%XQF?wOMJ>4*?wB>w#}6E7VkOU#J8sh8Dc$liC{i(Rx&jT_SLh;xrEXm3 z0wkNsV@>>_!P^cur$KM_=F^^{NF{a_La#s=(GS>BG@AZ~nObuCxuHPY z*_+ZxvRtvboOE?LzK}mLTHnNGBas{h{y^l8Id%}(YqaJ7XhwOa+t60Uk)~TF&SiAR zrp)bILv~w4e)0YR=P#%;N{DHI6+vQlC8$3PqH3lFrXUd`AL42E5^2aR^PF%k3Im3F zcRq97^Qsr5A7+Pu$Q=S9^L*D)AJEiM7r)#QfR=ng$5%scwn6Zp|B;UNTTw;=0YEAo zftjGP>vK(k#GyQfH#=YxQ>gQzVQob68jc-lc|zcoA~pf>!R;lC{SV736i|_wLuR#> zIx7dmo>4M8cMmY-D3-n_(~$d%Tyi-@VX;|k03&pbd|R!E$E;vpU=uOc@kQ_=hbG_d zCDvc@`u>#0-V%PG%+csS(^Ms0r)HaTJj+VFzPp*hW~GEo`=>7OEK(;XeYbxsiPm$3 zke}%kR$dk(NwR?}Z?O}YlJj)bpC2+QjP{_Ed7}l2iBFK?*W>j_%*Ll(N*)g&ZGjEAA@!5F&Iu^VfdoJ1H`#s<}BVRV-j9BV(;@?HOH!o;~G&;lvfKz5H8th=J z-`dv9P`{Y5fDVl0dma715_+uQ@* zlJ)E~6;Gex%kR4=84KHK!OAL2Y)%{ajavc}=f8C#Y2FreD?7@jz_b9{A?mF&%~bwq zF}E9dGN(&7Me>>m4QE}@7Dmm!>Kv0%HRkuBqC2}LoV_vFb_*X_Q-&yimmX8Bb>GKW zkS)6?7o z>n*o1u~!vTZd1__={GD`d6qEZe-xIVYHO&buqY06!S{ zh5p=Q*C3-H0f|Y-i!S{Dj}LpBON0Z`WVmb3m$_>ynbg?*IyCk3?Rr?_rT}cZJ0$}G?rxV6ZsL1f&HG; zv>M@FsqxFJRLWg;!+~N8NDK#4A2ad_nN|slTmP(beo6#8P=L7ZOVJD60* zPfBL01&3z&!w5aK(8}Nk`fhS+8~Z8jB!=1MDp6v&B?#6f{(ofo)zj{T6*~VU6WGwKbU-Zq?^*G3Ui=44%tA=DPvmkjQb%t< zNTsZ$S+xItx8oA>=F>I~v7*n?UJ58IH@(V0#V0Q~i4X|bQs$>gCU7nBtimt9j2!v=k~Nx~0Oa`;41hlD+#_DA&*wp4v`EzL-@%Pvym~fDW~@ z+_U~gB>G1Mql)i=ULwUf!$QQvMA1=>CE26d3TNu*I8DY0i7qQ=6HRLdZqy=5~+$At$)$JG2#-mC>i(P8*#01xkZHoL?xL^gk?HD161dKmLM_U@)_S-KaIqjM3leg7^Ohryhq1J`UH>4JA1)SesG>uz~#G!JzU1MSd_PaXJV=nA2> zVOstR^E(Eze?w76e7amOhiE|0vu8!ah|7(RBYE)kNkYqDG{k{*_`S0U=5vWhxR0h( zukX2t@_ev}&aC4eX7X7BZd6#NiNhQL4bPB4ri(tt?+W8dmMq2nD~q5TW`=Te-W(G?B|C!=h~C17S~QV4;n|2zhcsq*A~$ObL)3SQ2?=38AYmgf zsEtckOx=&fD1+Sn{PDV5IyI^wcwuc2UGE=If6vULBGeSylQQV|C(#~(8@dJ#gj_|= za*&fC5cT;_oRf+YKQhQ^XEEb7uUFjqz-F4Ew~+yK>T5^!F&Hys>HXH{eysziDQT2s zG<+(aQpyS@h9iTIz@azZp0uR(Q)TC#E~GZ1Nz-^?n3sZ?`Xo3O_g~hI4RhM3k?gqc zemE2R0J`c)=3omo$_=YEr=(t{?J0=&P zlkb0Wc(9&NpC_%fRTmMlyxVJ}Z)(u&A4$Cd;7}3H+jJZJ5>@uk;*wt-({{*PMY z(Gu(N#2gie2D<=Y@E!reZLh0>?c=jfA`RKHqBG+MOV&m995}zut{%eet!=&U``fF% z`)g3Krtmq*lYGP9)sE|dQvnhU;AT<;CGDiCPP+^s8Bo~mXyFQEDEh+-#~F?!gmmpq zK>j%)1cSUV0QEZjb6Z<3@XaZFm3TcFHJEIzDDARvwpjTB@z6_a28uW3h z`s?YL3G;v^8mPJ|rx+kWDQYa8^!EM*tBohZaVCvW;JHZR52=z zQC&ScG0m$cwGK=BYOMAO&xF=Oiy zuDq)4pL%Jj-n8FBns2XGNcZ`C4dyrZE1WgWOU`Aclsn{_Qy=H~D z+8r(J!85Jb8=gucDiv?gE*cRnpGY8vuH|16I@2-f>TdJ1dP2?DZoV8}fiG$NC-p8d z_2A^M$d|7Pyc>0Oz?%2@^HXsgi+giz7uO4Khct{%jZY_)MoPyoO;z_OpRV=WAYwX0RT#fdbj$b;icpbBmi;XD!w2fIT)h^_gPNA$&WqXTe zEuQ_`U#E4(dap~0pEU3k0L2%}E)$p6dyhl)tFun0FnmZ?x9m&o>N9n*Wyml7^tt;p z^&L(-#&=cJs*Y;L57jAeKejWDq+4h5j%gtPU+*vY>M3qa@U)0b*-+2w>g$cB;YfQw zgk$xcasKqhC)%wFy|qR?;?+>!qw`PI{__EWAfG13mg+y#*edVceDs=P;8j#cXGOJ* zD)Vjn!!c5=)eCji#==RU-8t;_6RZ`R2jMJps_(JNIYGx`T;?0s4zl=Fta@)|H+Ofu z$Ggfb#($?bNEHpx?M{el#^2bxeU_Z#njU6%8!2b&>#7(srgWE2audhnb7W09E{v9{ z8M8X0LV6Js`HzVJVE$GR3(UukHG*rrhR_}EwP1~rWOoUDQeej9Ds*(B0|7u1hPVfL zGi|^UGv!s}>f+)J=zT~8!@v^I{INzU#(%7w&k?YtkV3~>>?o5@WTlXy$$0F;X$(2h z!6q{_xP$<_GQezRob|TMZl2-pI0;1hn(=WtJ1du<~ z?8fkVQaHchGpgpznV;WN47-2#x%=?w)i0FOhE{Kmge0=jw)9+*z~m`HScg`_AeipL zKz2H)Ib>U97C{QB`FHGhET*txKRFF+PTQmn%*QL-w`~D6l88OaoYE{Wo@Oh-FFGVj zGa}a%GuuN*c{SD2oHg(e$L#S~MC)EiyR@gY0lh_CW2ne8G>fToK3}+?^X1jL7tm@i zrBJz$E2#fnM89`&aY&&5;4&YRA%{C`M}^qVWJ=!(4j`1pGHrMM!e&*>+lcuz-I$KB z`_)WwnSz_mzum=ci~29QnWHHZq1ao3;xg%MEHCJ9+GVK`2@a;NO5Pyu*f;Qh{>h%H z#V1;S8WXMmI~lo0e$OkGgA^>giGo@}&M|*yl1g5! zu&iaOhL6j3%P2vj+Jab?bP=dHfWv6Ym(PCz_WHC< zdwi*_U62{Jd0)qjcZEaN#HysO@iEDW>8KV(ZIVumL&Two3F;SmHNED5EiDs+tdQYc zKMsjz2I+2n`X{ZpoKQ`;6#gr2^ef#*y{&cVaja3m@BB^gYELppLhrc0ljl$q`x9iQ))u@cW4 z2YUQ^-;WQS+`j&t=j-0^O+uLb1JZ1`Ho2Wk>+Qllfc0$nUh3$^4W!z^MJ^GdKM3)4 zGOP9Lk${A~MgBWi;Z##(c=8;t%d&uZqI{MTzy(q8pw4lbJ(C{Ee%ik*A&7eI2D=WeFkqM;)4DmjQE!Ou` zNYMNF=pk#;nuTtM74XJ#KWivhMMF%yLZ{&i0t)OAC-z>xKEAKcpC9f8b$CL^81_5{=)*&8Ey39!zb;Ou!aC0Jz=h_PdxVZZ zn|U$%T*u6*?iJQk5w`7n!jx8J9_B~MBv@q%g-kBvA%Zz)F`9pFD{rmPi<{oDpBDRE zgtF6EhKS!osdts?=Zocb%)!+)d~I#gefA>ZS^@8dDY*LyTE{Ax@B1eyH{?UJRlpMo zZACQpCGOISGX6POACx^JUU4!wvz{>)t*|gQYcwq+Cx@eiFY;u%fvmR$+B2+V)14vOKOiXzD?8LTQ zby$_FrP7Brkh(L#&ngY_aHl!qk_|77ABg{{hO4Q%W+y*t==;CnOU(b(*vF)7MUru# zgk0ZKzkyqi5H#^P89~vIr6?E^Q3px}Yo<1A?V7sr$#ss-_EJ{yuJ$mseNo*>U`O5$ zbM4fAU31uN_xilgx?T6Y-c^MW^7DS*Jsb?)PmZpPmgN!lbo#!1-Jb3JXrnw~o*tnd zKkvue!`)e0nB7;)7{9j z5^?{a^d<5#Z9%J8(CW?NUx_`DHmU8ucHe!2D}LjHvxI&hH^Y8;U-vJ#2-p51ghu5* z^onx9r(XrHueLTg{DU*o<|=(Coyn!Jk#70}#MoYE(pmDf?Jk#Y8tIKY`pl4Yq6ah5 z(jnrr%y!lBs%{f*YEPC<){_k!TP@N<(2-Lm%7w8(@F73}!@qbNDN!JEcLxz3k9DzR zqtC5gk5#K_sm{O|^@Uk&0-n-YFp?h~Pz))eP@$kfh#`bQYSf?x&zadMG*#y<@9W}i$S)&{d#?(%W+hi(}>jwR4*2%84nO1O#v}DM*{?OJ*MNYHz7L!0P z;h4&&dWiFxJdX1c^JV7#vVOo4dW@=? zZ;>+Breq*Yg0w!wF-G;f+kAu^JJoR=JmttYD8P8@9|;_jd#hzd-#^2)K_~?#jYsq> zOD%6Mcek?}9+tWnlX29MgsYK;LC!;vtPs?kG{Fl+T7#$(9P4HD?t%nV>d8mr!Tphu z+JR4LsnX`~V*MbV(|U~&56HPH#Pm@!_P-#^_JjLLG1TjQ!ONEVprHD`o{+18McQ@c zMG&n;)yvaq@NLD=-^j_Wdfkvzvw6A#UHqSKFPKBT0zDts09u6Zo{xxZKYu*A9#oZV zpd~afJ8-TAtx;A4qRO$%Qr45;8~8`z9%QfnGoRU}WKprdJQAsb;nDb@8v&RgFgn1J z9h4o>_(Y!s+<$ijC!h+EoRZ5g6`aA5%_IIG@h}UM8L%4wIaM=^ z76QWN+US<%cn=3MIQ6afAZvz;l{!abh-|Mum|o_0UJdZdJWR4{_Q*$jbPS?hVto1( z?U(;S%wPj?*ndF8>dz-phpaM>1PGCkNiqUQbaPkE!de|X9NimvlX(TT%jL;a!Rs!x&s@xKEn=8!D;t7Fu@zFMo)QVmsL zqDf6E?3yw~^75R$Es1`3?4ild%oUZ zIeXpToZW5w$mZwc)!p91)5ptCVf4ZN`8IBpHnx_~uE)dg_4DfCl&M1*a|SuVn~CYcMZGAXb<}m6nNA zC;;KPQr+ZsI?L?#I1v`tq-k7{(Zzvz**3)FrcMQil&DP_ofLl${cFHGOV)u`KT3dkc^0&cdNPDB`iwRCWnP<8VdPf z21XjwQ!!lWb77T<5g0bstKoBc_K9eap38 zZ3r}>TPoElCE?XpS*&j!fXv{MrC8jesASW0Gfm%*!l&oDZNW=7KY>lXoh=>4R*vgl z$+hGxTR^XiFK~`x^OKgPh4xtrPw<7b7H5VnZ_Dz!NDzkV;~Y`i zeRv{stYvF%r848>Sc@VSR@-IaAy^2hitJoNagPU&G7G5*>b5BY3oHC~xvF^yS~L@Ge>e{ARI0 zOdTyd?cj}u8f>g2zJMW#IWm8+O|`vtpdwDvY{VeIyvZ!g-78#h$M`&Hx)>4LhLb2f zVl=<~3aZdM-Yz?%m6+nLX}y##GHZsUU~q6yjhgiW>qIWXYP??(3Wg+H_?942KEe$L z1|B&7!7)+!U%ei`uj5BI#(>$&&*?{4c0InXx4jF%MxMR%`_(7k?;q1CzcPxrGW!ot z6M7f~tV8G1wn@cAjS7h25;+j7k%pD-xc2o6mEz7Y>;vM_yO z!hZT32mB2uN-+IJk|4FDxYP&Y0I`o`yo`>5{4`Qn_QowpzCJ{G+a9QNmbQ*sSSJjY zv4rS_Y=*0tqBUAQLDw(h#JRk^7kiNR%=*A%2Y4nTa|cbb6Vot&^<(hR(4-5S)rRLt z7V0(JzR4@(e|k-XzZ*GtKWh2-zxy}L|CKuD^V7e<{yi*^8qjYK7cX5PHNLC_O zVkB0UmogJaUxwiC^VN4EjBlJ=^Kn8-nlgl1EGnIS_f$Sxy&p|yMcv;M&N6QM`2FpC zJGgqfJ-@qsID0X1bMt%uIZCbNT=Spa9zO#dp547ZZ!ZSj^nUm1UitED`T4BseDF@! zq-oWp?sup4r^w)zvcq7u9NXIPFP5rgs!BtWFYo3ihU^Y^)Zd*aDU9te1Rkk{$dnn# zP|4VZm`!e38C6oKx%Xx&Pw+J(MRHseU?rF*^52?U4;pGVS7o3vvcxHwu%S0upM=?K=HRK37KM{0+KB^7IFPX`l8op?7WvvnU z?JllF(VG9Szn!tL!+h>9IdV?0z2Ji}w$%`BWEpeOK!10;`Fw*}5;G&IES+5G*(WXC zZU<1c{Agzw;KVMS|HIj%gFDxCWi)FPFnG0h{^jiR9C$|0R1jX9q1cFv;YvB;Fs#_=cqv^aMrz_{$ZXvg~D0nSun`Mg{cXLay25CmfuRbX;3JYJjka z*N(b6!vzYxL`6G(bJYDJ%{_lVu3@<_lIhaOSYJ~od!_#hnRFTy%~%0D>fAF{J3MEI zvxqPgz7TH6Z!3THVdW^i;4$HaQWWZBm&Z-P83!4xp<-E^L21{qE>+MdjpYVuHF#$9 z2?*8V8gOm>Cez9AVh3u7lfS}i`S@kTg$wAEG0YTikVUQEgQo|#U&k+JlUA5HIRS{O z?%d~3*f*6c5G(nxuC_)5K{43dL|629*t!YO_xUqKRM`0X9+s5^JQB#}kgY^4^YP{i zQK|gJ)nOz~k-7<>FDA$7^%N#B(}ArNL6k5?x58mP?Ql+M1-hSoHal9+*wf7;YDT!w zX(tJIhjRs-eCgBi;^&Sx;|rS{Ftn$m`5rF)jOWyiz$9(C!aPu>Az%yvF>3P|BEmf& z$^3%@75G}fzA%h8hU9-PnVM*8r=bJ@nQB`U69&VQ(8UWV|7>HmO{OT=^VG6qK$2+< zNFTBmZrFG0g`u#4A~L{>E7a*pKSS=rqTDdezGLq9uFl;({JeZVyuHOdzhs*qw0NLM zmLu&3&5OCsgS1ZOn;k>8V#k-I-Zb(u2*g`sDzJ{An;3D-ZDg5AoxVoU3IM3eT^vKX z8HJQhy}w+x(hHYNXn<>OhR_0zC`-jo&JQxqx|rpdzj7)BSj4y=rc~0X+bFyYEvZM5 zET^ruXO@WRt#;unOV;OP$ww}QDhogYmmb?0Ylfkna+z5=yYiR(3Z@nKn2w!~*`SZP zLfBlu`p!bCM9QEm8jjfP$y8!Pw=-2C<0#9!;1?Q%hKdwFE$YnXTXg=FhU#dyyUBqez#+mA@n0g;e)pc6@ z-Z+NgsUh8f!<=wv!92MbH|bEG3NOjp`j9pWvrOITqNsM3X?WFK%A)&QdpJ!qncC>u z;hSNg6kBTA)VbI!$G@GzqfKMeOWZ=N15u~-r%Qm`)ktm2X-Ro@`|&0Rz9eTsI8$lk zw;5!T_LgI8ic%yVVPZ0RtOGf&(ZWHb6Ib*ss!%N`qk z?_goE-{bezZX;Aq@b5!hoo6Gd+O}gXQ}M0$W_7JEGc==5o0(2VF-|4T=#CFgWT>0o za(DZ-Oh*}m%|g?~05Xienov{M^ZxV$*H|Ov84LuQV(m3LE=S>nTy>0t%gma#(NU-3 zSuaNhgKZ|)>|dIF^n6We7tJGK|OE*o%wa5wc=q5}rEpvP2TD;^PZ&`ydv`c8ghzIIdQ6`?_fuDFgRi7F# zP@-~&X1S#OWJVQR_{n}q0n}5O-JXwOO4in!hV@gB71lv-k zRf1Km>3_gDI4rq7ZnJjqGM*VEmA=ckMEL38rxEZiWvCWRP`6HY#nJoBLqaPC1SWGwgKNIQGP;yXj?2aXWB#~nR^T(hN#a4Prh>YC9TQ`$0j<6s^6Xps6cw%x9EQb);w zG)-Ho7XZv5j*QUmw&4PuNHAiu9RE@f?t8b>X%uAbkcpfsc5l9r{ZMcm10|)F@Dx{} zyv_W}HP-?A?aD{Qr0wAR-Fl_o(ADPZ8@YR4WTG7BQaX@h^xGCuowT@SZx_cd2NXsW zc(1NhBgWUsn(6SXRETk&Vqm4UlbrIDXE}ntF3T-M`O^{#Dk7R;V$>^Ro-5vE(aO;r z=7fw4p$U<3RHZ%`wgDb8$!gO0*P%}Pok_~RfCL)8E^wGk4GnZji znY;!Qe6WC#1)sj{g>j6g`tg9igHrEt8e!g}E!K5wAQe9Ukeg=K<1L^6WN zlHIKBV4#gZ_wGBq5&Xj%Xs&hIl8kw@t)K9|;Y%hDfibh9?jd zVL;4OaEipSC$$8CT)CSNJFRFfEE_H_-RO#j3V-lb5eQG;_yar=l?4>>Gf7hjNfdwp z#9GOv1r>3Brvyj~EzQLEKsx3I#_9=8t0IDVs^L#R&JAlm_0I1CqkF zNbKzl{?PbBhddl>wGH^>e)_Z$W$Q(($Y2pQf*)8JYFF+c4QTtBPLd)+CW-kr{g@jY z@+`Kuw!;oq%LIK7T!$yc4WtKti*)(^!D62~Z!4fVs&g>&tcIsZgC5(3YkVNO2h*Ww z&z%L_=;s9!P}Wa{M;!24y=Y30}M&h{OUiL zTYoE`##BkW)$JNW4j#aJ%LkzV2DeGUU8>7#kVdBE&|a(|+2d#d8TYKo9Ct)*3EPqY zO+Qli8w2pSJn^f5tm^rxU>l6Q?x%$ZV(l8Y*u%Po1NYOAJd~%Crp1pn@II5L zoa#*aqM!gzIgSX?m%vPj@;pV6LPN^k+F|4T0SZt>qpV!J#bI^0SJ`mWm8(6vyZjlSe@1SDwQHa z;5=E`q%}YmFcja2(=VhZKDy{%+J$6Mze`o8m9=ouGY5Y0j&ic3B8l*{NF0&D&BPaD ziP3wb@4%#Is@Q-MsdotPEzHv9z4Z5BWJB_v)@s3Jxt1;Ad#S?rK7v4yN|+GTY3V7=$PfiZeT2_C=a(*UpeI%6Aw}X2 z=)FGSU+dJ{Y8;{%b!b|V8Ib!ARf+1Fv7>CB(~YuT1TAeg)P_p1GLFnI69 z>E1N``f$nNL>u)7WJa8v?YC$UQC#C_nf^;mN+oP_T_|AEqD42F95f9E+K+end7%&M z<4*2G!5M-!F04!5T_>pl2RmkkYsui2hr_|lx?$&d?*xy<@t)@*@uE=gsB?SLEYP%+ zMvyODH}pdS+cx!yqBX5D9J+Q!qooi7JcCTu=4e8j=Pb}RdnD>{)pOnRIu+!1YPS0F zyA=M)JBLIJkNItR2oA0>A+Vd~cLq>QdXf0|*6_IHP*$P57_H01zx>7fpp-#@|F&eT zWAUcsWHa0+gre}qFXM|c8t012TG`X548!e!Gz@X^*>=ITNtI>Y;mxV0W7Kg0OCu1d zGporIPS(a1ErG!jX(UxGrcZ&O#`|BPJ$h>V)r=iZ-(?7nHwD6nU%_}UVSsJsS7NY= z-xABgVi8jlJuhzGb9qMyk_1hUFYKhHlYX~Xvou!T;y+_lu#!hj7#yoyH<~WsbGfs} zIL0p=_%<5;=PolJ9EZW&-jQ}ml1znyX9Sj4H6uxTA8@G~Rhuy>W-j!zPom zE5WW)1>WtOG0;{a!{G$BlFKg#4>$x6J?5SBfdZDqG*YJ2q!YNuwSz@-g;7T6XcYzF zVK%j{l}gJ5RhZPNz2?d>Oto*2lAt%%kSu_0V4dQ(^{ zStQja@Q~BkKK%)7=kqQ^=)pPyJ zidwrh(*qjw&GJ;3T@$k&WS&Z|NbFRbKr;#xlk|!{NseQ)0fWs??6w5&e31bVR)}tO zqDVu#2#r~&wwqE@^&5CnO4)5GE}t*jcVg5GZH}u9+XzIJf6Xg;E=|}oP8|jC*C9dB z!}Nemjf$i});>HC0dk1!sKJbQU}tE^GlBpb&?&?N6u1HMu)PJS<^`184hC?U5?^WkM z6+_DM_w2Oz5V_;j=?8kUYuG4~^N3Pxb5XTWkS%Vyd&?3ntS-1SwOqz)eSMvna{lus0pJW^ z^)1aTIIc-h`V2-6xA4%8&-SP&AN4vj3S-#q5u^*O#l7)I_M{iO`~ppmMX2$uVM&9$ zkB+j7ZTkiI-?a<)`>h?9Jj7B6+HbzGO6gDTTV6p|&ak>c`NCs~kTL)~YyWAT;+J5D zSX;N9GTWWNNYNCXCLFaO0>x8%vl67^)Q`YLBqoBs4g;Ma>K1cQLuu?t@C&NNr*N=; z=o$#2heSsr&lb)r7+J~60g#9VpaK_I^d!F~$oXS^AE9EuQ3J8Vc{XjWuLf?wS9C%F zm!w-{1Bljn>PMp*0w77$6U}9dnV+KJf~wgVFya)Q_I6uQ*S5zcVeW5krAsVVrvc2b zzw%wrxDB!Y3G`%_iT)qP&LPT^V2$!+cGs`-r~JE zc`{GN$y~%HA|vD8@BX$rVB6ob72dTrp!PTy!EZc>fV+^o8T%JWLo~KpePi)Dxh6B! zc>Gbs+IWZ|w&8iXx_O(6Ly;-h%+=~PSmr>@Y~-F-$Ig9t>>T}c7P4*gyY`tq{C%XI z2g?Ew5u2H^-(^cq+)zvwrmkLW0%znrQi%}^g42vqF{hMX>Y0lDQI>~&~<;T9Ys5i+CeKVlw@!S1XhYy)aC3las{ zh2#Ci;INf#{QrPWP&1TQb~G$R&3*_KJ>r6Azh)N5OQ8KODHDGlkw7&1t~{|(o>72U zepNaCM;Xm^WW#qtDOYQRkqnfSwvwcQ8G^BtXVNx(obrg3G9%{BBgu3#pfJOsZuKkC z*jVy$_t!y61FDKlt27BDT?OqHL;pTf1t(bJ`~{yFmD*g`G(-7eFA8Q`>O;marV-`Q z-;VBc~kdH$IPV9%ryop}L3x^uIDjlBjBozC@qA3QGF01g!4q9WL(_;7rG7JjGw7APfZrJTzaw7 zJh=ZGWsjgW#2v92*?VTPaOZlVK)!^;L+#YBTN5p8cN)_~Z+elIv9jO3YgAwBeSUYj zu0JG*NNVy1=`xdz+}joV_Gdi&%O^U-Ww`5{KxYj6ClVoQ@ZO9Iw!bK%3Wp)500K_9 zQ6&dsmV}T8c4eWd=!7+F{RGO)(rg}gAbzXB&@K7u2eA48B~Cz+SJnt;TlXNW72}6K z`OE=A-I@NOyGizUP{nyCG-i&u`6^})QzYx=I{o=3`lW>$}}Wp%C_{@Rn9%kqH+w9+7AkEr%GwCn9l#Qd3P}?JiN6|F>ep# z?u9efGso*tjtG|7jZ z!Y~#7lV>w0gTg~1n=WGJ@WNdlXutSLz{of0h7_Dv0!7ECF4u5wo?zzVFF%azQE)~; zkRJ!0B9(0A2sdcttOYQKaOmYYXxf^E^Wti1kyXgFUO)br!i>#}e*v(sBO>Iw_@jNLKfd05$sng9VDfON z{C&hMFjhWIap#KXOaT7J)GL^!J@`X_YR|!e+G6JdZCU@Q&(Rb>wX7oKgJoP*7L4f+# zd~8GsJEUSx9S^8YKCS(r&e*mZVIM}1QM>-W={*9Qf$t=;$_2>%`GO?cCo?&}KZd1P z{*)Q=pA6nW5LYC!(|`AVU#u$9`12(-(d6NklA_nIXP(bE439C*1q2u=pe%QNhy!Ho zZUyg(@Y$~Iy24eLBknT9G{aS`iRovEIysPBnRa{#j@YkY{`2T6(tL1HySmb4do)q- zpt6?2Xi*glDzu9DNJBKjN~>})ivXGDM*A0x^eT8Klr{7(Ea17&r(Y7}o?9=3m7ro6 z6#em4sHz6w{4{VM7AdY*2Ea0Wc1KwrzjbeM=gzX_ZXXPf(d8arQo){ys0NkfuGmYt z(t7|4%*Mh9IBr(~@3UL`Y#f%iC6o~0eu@T93OVEDC~%7<^}_O}#DP~+|)r$-Fqu3tliH-rhpp_p~fFQ zjeWqA$A$%)@J1Owf*cP%D=2|3072BQePH^rH{y7)K(3c4DAskcz^fKMq#U1tZp;2> z+khSy$2$yTZRGIdMI8NL04C=j$rqFGB-P|o$0=0aQdUb`BE{;zKuV-`N+X23oLi*@ z{ChmE9$Wn8zpcOs)4h1y z`Dg`aXT&LRvf0aOJccw!y+I&NhIVsUR|syb=+ZATZYvMS1fKTiNoE3pU}w+CTa`N) zJaznb=+${|J~{oZnO7j{ssd!)k%3A@%g>W}69>SYqA9t9@RG>&kT(pKj z9di#xzG6s6w*j8LsYp$nj=zknNn>ssPygeM;d|tj@&kGm#d&eK=Z%it*VAe=GN#Y^4rl0O0d_ zK0-aNGGYo=2(^V3Q}9uaIETf#SD9-y$^+dWkcManTmRLK4SI%?DW&xp`|52N89Ljr z){4qZ)fJnC$5UM)VArA%S!FuH8@TUn)*C6!cLp`%wW!P|x>RB&h$3cb zps%B_`18D|aX#)b?%ihz>tD%|w3g3CcStrSUX4uWaGhsqCL_=PjOnF@FWLI^4ca=k@X5#NN{7kuG1( zjt)<~8#J0aNR|EgVL>5oWNY|zVQV^S-#F~sA`hHqff;!gO%+;)pgz9lO1e2MBeE7t zenBT%7MTTR?2oa5(nf2=NfKUtb7{#CN3NcoKRH94Ug|gbsAQp6|5Nm`D8;D5ymgB5 zhqw&eMImLqN(e389}lsmj>>p3+ep6&#?jxaZ7D-G=MXx?T7(q>$#4YbhNJ!Ycl4J3 zqFFqsnTnDyh(@Uq>hHQLfz?YljZ%!U>g7HD-6|}v8e7Mrxu}Dv^EUx7(h=%!QpQoa z`uJ@x1ytM_DuN6_vpv<}{%Np9m(-GI_U=ijtQ21=sJsxU-%Frx^cXjBTfH_`{xmUk zy&rv#rsyZ=^2DP#it9{P6#2uqOpmdG1tX}E{k;oF#;8j&>(2`%wTS!k3C*N22$0>H znu}V&CDgnh*=5tD!Eji3$R$LUB?A{|#lua^R1Vb*_mTa~j~C+cI;2SyDR7;ww9Utr zP#Nrj+E%pm%h*hmUAaZ61wpSN6-^W0W2xbh+t?Bes~smvaqAPKe@v5^c3L3;7AobF z;&o7(#)XHItpr49#*3ovz{To94ib8eG^(lTBTB#%#J4ELwLC+MB*oGk0A|TpIyy~D za6G;-c+w0OqrWo`yv0Tss84cby8~$yyk-HRjr_Y96IS^Xkkag=OhA93y?uHU?Gqa? zK@j!K5{OykREl4OBfOPJmN2ZQe;Y{PHuWvaF~jRSXv&FX0Kmiu(sC#T<{%cCP+;k& zxes;dVyc}Wp2 zD|Q6BTmpx5CXOVak(_ZlQx|b{M~MI0!MZZnl7n6h$eVy17zc@*hV>p8kumQM_eqL* zx&XXgv{vl=2Af`!pKeW(&BB?45!a9h^wG^RgL!k5>WMtiFmqMhde}ppFRGE zX}@ez?r9GM2x#cXO!Ys4jG6u`yudiY*786UF?8qR?IPzyvl`Qy0E>dL6%B)~R)Wft3o)~Qg#oh-{- ztbc~vP|uAX+?Q;f{^F6OFcrvCH;T9Qh@@i z3(&AyTTr{B9vb}0LtAs!rSG(U zXCT+4;#iA!hqSC9PSQmz zs}H>&KD=VNqt!Q{+eb`^g;f}dr&_BqFh7XtxXg}npmd7v9{u)Luc^mV)Doc5#%85w zo1OCHfsXd`(}DXEpI7?e$ldii`g2lRi(c-bU%J<`f6i+(IGa`bkhp|grrIlxCe9{= ztCM857nyEs^ShoPLKeH)iHh?EH}dXJPyEqcX=Wg7=L zol;e|I2vY$H_$e=w%UbAEwb(jGG+bLbgilR`NOyW7&m7C*?^EA^PwE<{~kC0H2~Z@ zc`pL54Jr8h`R^nOsSCi3)fZjZRmwt1HBm|MxITz+?blfEDn6i&$Tp|Oqm#Ur2=vcW z*H)EE*4s4Ir`J+Xm&fBm#tL7T$NL*Xjcs-}?+y>2S4Yq5&Dq9h=zGizn_Lbb&kmng z=c}>To89xr+3|G@f^POTAD`C;#j{tN=gZ^q#=uF9?pM1O?Mx|^`gQY!OX(BKQT|%G z+PFz_@iMIoba9a;+g|eO=bNVlOGf#+VwL)(CUcTWedH5ob3ygSq-U7*u(w)$zfgS! zv%*GULubV6-wL&{W;*ZVS=Ei=un3#@huGiFR_Y-S2AXUaV)2{S^>KCKcy+eg)HGG= z@4IaDVh!?1Qsk6lMxEA5`;YDd{vUDO>Q`APiw+p+7hV4GOqtm5y?v=tlr zL?%2}%1hac77&FOe?Rx}I*U^Rj?0Rh8Cb%b?U}(0*(|n0oA2ca zKBf!7ndG}@-z^|vjG6{Senacq>FR$^Ns5T;cqmmsMm#mhowNOeGZ%3z*waoauuL4Q z5dNE>rarY=fnO#$$D}pLNQSU6*=)(83Oz15)0JX|+=ic`VIHJiXcVJYipSmfiWc}4PE||li-Jzn(eMOZ`kAB&)3_lvoXUrw%(lW&$Hu{%Zq~=SAr|v z9eux(laY4y-YVsbnVo>b7+>)j?Oa%|C+eHg5 zZ_R{Bc+#<#{VYdd=9fU*W)P{in4Tn1-M}!JaypHek;+-xzrhqiQ6XKVK~@!~|25xR zsE0e#Y2NQ8+~`wY$x!*TLv74CRRag2vy!%j_N)3xP?5&dZk|NZiQsQvByZ2R@z0V?lWG7Tk+DQo297WbR?A`^Q3$efozJo)*R|9}q^rbFUVSPmRk5MxY$fX5~$$^+q(b_%MQ z!xMMj1aJ0e3-fJR4jyfm7|4quLUw-M>l_g+{4r_Bv|E-o=&ekq`3&Kdv_RQ0EDBI2 z9(gs**{t*Q)t4O=ks*mAl7?a%azeQ(DfKzhiDQ8w+5>6B%kIGr0)h=~RavJQxW%B4 zI=6wkKmSzbY<P$i-1m4!5s&(T3?lYv5!c zLMcjG2&tBfGSfU<;%rUX#sV=5FY4)y9 zl^l(=#khT7-Ulhf8>*NH8zIq8Ag8$4Xa7-LYT^$=9v7yDQ6Z7GS7M*ugN1fw4AM7? z6R5F}F92Ssj5-&cH7aS#DvIAJJ=3U7RUdnf)K=q^El;eCN47N0n7Yig9<)?gC=@M~ zH21HXvimah%)ajL3Z~F}o^`DN5nAb4=R!9x)AhbpCis%lHGX&L%W4_I<0H3@9%mi> zLLQ~Y?~-ZB)qfLdzI=2PXuO@{d;Jf=K<@veXyLzyelJ>E;&32B-aNlk zJ;64v=1n!ZHZnen|IMq5Wft_@XAihY9gZFDtGia_`sWd#{+`&Rt5Ml_ytC*!{Z_YqcI|TZb#=VKm88?+PGCdy zO1mI5DJM$iM}vt34#4)0nrTuhi_Jfb^{(wEKjCoZ#4s5mnPiltQ||?-|5`*hSDa1# z1#n>c)%y!`4Gk>RUnq$6A47#Wg>dm3ruHDGj}a~++Pt&L+<2w&@P4MvD9IVB4Y>ky zdxb%r0E<~#yL^A_fM2}2ppclqW41BOBK2=Ue|O|W74^{;tp?iFq>}ytTYsxA3tczhxvkvRd5>dWVpb#n$1Pb8G&6Q zrtl{y7~&IM+4vSCXiYv`uF0k9c!i%up50quF%$PZyZCy4n)^JcZ*Er+2!Sr}d9-fx zq(LnBbZBUGuW4Jey71f$y$!j+&VG}Y9!Ss$I#FhVLja3UQ1cL(Tn>E@ zKP*t=3_n9GB_TtpQS)FaO&usm*7HTahpfB({kJat z%-jxJs1NM^-h&3hE~pwPR0(7y8l;0mx=MeR$X3xzE`ufG#x>I5y<61mQKc#Ni|o@LFz$b z{R%*mhLEr$ilrn?3JUihXD=GWGp@ z+VK4Z^!R)a>&6RkHCA2T#P zXl)vb-@ECLxtld&FtgS)w!CXL(mi#WIjfwfCU7-f&9`2+x{W(7d0*XNrK*UAkpr#s zZV|c(ii>ShvJDU#!d=Xd8Y8Fv0xFIM3cHr~lWPx^X5=S3i%|!Dw2l7u?eaPogp>Lr zrN7bd=*R2tX$>3A`$Cz~UWHmM4fNHT7zao##_IJlj+N7ySEHJ10Bg-mCJKUyd%BtB z19SrxQ|%RR4#A9iS5w>SamDF{Y@++2^>)0voX5>(_eiFVD>V+3 z76(9NlM5$6%fod-kwnT!$j&~BYJ1b=t!SHdMJ=HHW?K_pjL}%fpskIpxOUZ8bKZqH zxpgHQn_*E>)1yl2;M4TP!|AMaE8Y5JZA*o~%cTzQHd*k@b5pf}y(RIGX6LtblkG;m zdv`mHXSj`&m)X}rmih*I3te`VT24x=<07*A2y%Uxmk~}D94|WtMYb%!{G#e@W6iK- zWBExQ_xp@j^hv-WFN98|IdTDZadt)1W_fV^#nq>@bqw66^Ehe8A&xI1kHBX7qk61p z{Sct?hODtiU~ty}O9yaiGMVCHkPis&dLMgZW-w*qUUt8)aIN4%p*h-bz7t<-vQ{5I zu7uk-Z=S8ZHmz8;=Ark$)>pLKP!hBj<7{rMH=Rjk)A@25JK}xrzPcFZtAQ=i=YsQ` zdt1Qw;<)DOnHrXbC0LNLmzs!s`9yCym14H)&Ym|3U-7 z*?vPp@6v&B&sjEDyS^X$HYKv$6?nB+SDYDtzKp6;dfK{`5ZZYhWKWaQa-TG>UP|em zp*5zqq%@Z6n9yt?62Zt{Go-%9uY?X^c`6Foe9!(R$G480oZ;MpoFKE^B2RZ>K+L>4 zMSCF5vRyqg{2Iw?E2a7Jv|J;#XWq~e7~^eg<5<+1RkE2`6M5q4%4XeFoc zMe?ILu8jLIc;5S$7!Rl?oM_1SK#&eSho zmcr_iI6p1aKgv7m$7ER8<_%w+Btmm#5=Cz{B3Z8HTlFJ`p=xeGaeQsAPQ7>9@;Y=z zupUh+{5nyXXj4(OmeRiu4M3|{Dk)Va1F96`nLxJCK!<-owzl=Mfe(!ITA7#Qs?lVI zB=Y0Cg9#_M+FI;Kl0wJ zqfK1XSSl@3139!bUcAWY03nLuIKc=FsH&P$BfmGC+ULFRi-()Annl#z{7w>KE8;7U z>~~xiR@9nX7Y|>Ag9)+LdOV*;nzJZk0zflf)}5QyY&Cg~`FD?q?24%~CK~nTHC};S zT3R|OrYD*Kb@&^b?B%!YrXc@rg{A*6jI_IE*(J=hWp?~QAu30&9Sig9as>R`^Hf0y3!x?7UHOrmM5sU*r4C zVztNcQz+H*eYQaWp-s8-xnleMw#N2B^RYMM`@S%Q#QVnpx>M{TO?!dkPX`^~o#ZfK zDmM_5m6)FKOkiB{a4ICWpUH^Gi~W_eY;$}F8HASTS8}b>Zpvu#s~-Bx)`bo&rbK6S zu3nSN*6UXpST-c7f2}%?zqN&T-A(fN#5Q|WmfP491>v({&l2TkFz)KQrO8UR)SuYE zVQj1(^<}_hQ_e^ukgIT!wXOwuwtek087{{iptsA+O}I{Zw6Dr0%W;tE_eDG1$uLQi zl+D;vkz7#b_(%};&1=k*ZSYDAZ(@S$roqlrLZFavfZ0t!WcVLGlUK7?+SAct)y7PX z-e&eJ!e%J`rZa$t=A*=F?fdY(7Eo9H-QOS&!Hf+HlcWo{Smv!ef#fmw{LSXcVJM_Z zpMKjc$89O{ev<(~e^qY3v{DO?;34zm#qZ3zqusfAPdhrm-RwlL4^KdbFR@n;P%?* zMT=20qs#Pzp}QMDdQGQs_wX?C$-<3(<(_XQ5-u{Hhp9U|jwx68N5yq7ixQg20k#}X z67goRT^|_R9{nOkW?dbcd(qSrAcLr1rH?*u&HX?~2jW~qJ|%RXZNCNMJlz;>Nt4(l zi_lm*tT~7Wd!j&X2IO3YOLgG+$R80i21ORGY$Hg}{RFUTlkBC`ZR}7vEv)p^R2S)w zi(Yvnz)3Sje!u{P--C1pJhyBO--5{oMNWYHZyf$+2bA(iJp&OG2Yg+Jzi<4xi)EVI zcbr@cZU=t&>?GbDp_dlJuj8b54wOxEn>N;F!iWYbgl8nLDhz1GkX#=a$TtOWzMjSPu*XxUsTibi1nw+qDPgAM7Do&?m zH(=ALf1u^u>@d!a1bP-6D(D|h5TvmT&e|CUj^u}NFetFbaS_Dp*Aa3EWv9-a_9CWL z#}(<)*mQh!*v1d+L=W}vt+cw;@Pwpp;7=Y+<_T%5OfLb!da;>@2KFh!>34Q7k zC}pJn^>bsr1P4n8k*voPYMoI=3A4dpBBi`#c&89YL=+G#OM+EMh;lu7^yq_M0Q-FH zRr*RE)8*mePk@UD_=Yo&+k+l|_Y|XjH>;S(j>CzBUz3g+S74L4Bq<81jjNwEw%)`O zs5P()Cb?OU6%0s=mDM$RT0?gL-S|1(DvUhvhPzEDeyhFDn0`kA9oXHjx+8KYeh1y3 znqM(~7$XUYUU_sSy^yQeJuM_Y*hYrhTYHZ{oQYvCmoIu>i^aSr3v{)b*^zuPqhk=- zY$|-0{rVt0P`&D0TZe1pgYy)VpC6GmJCsg&*SbD0Un90X@AszPZ9exSzCz{S7eD-^ zt(=}8awXl^Cee#3KchnB{g|VxK{1%InN}Fc$Rs&CDE0`LlTM;M+pKq;o~&k)0qFg1 zll&6VqC1u#on{6}gE0Z(GGwNG8Z@~Lc{V>9*+LkY;O*c-Eq)>^KTe%#6^f-trq_~i zrRf2Thk^MGJI}E(61r3=fmveFjX>D%G`h7E@;q5JP$1bqMIPe4IfQiAde^_V3aIfS zBc!k1i(N=3G}s=kSD>rH$f4* ztpy?e^VV-;2mM3AX%~<34_h+6MH(P1;(!i>!pf!}4mIO{-_nR*M+r z8_y$_$e8Q?9!fBXJ~pR~pmwmO8bgRZw!} zsXg{r;d0_h`yHXlB7KZ>W_KjCrmR&CJTc{tZDf_3J~3^?CAeaLk%_;46VS}RFaHY- zE`pC0=&&0QfM+xs1!EBOO~}rT&jwspbhh!HWkw$g2nMXz#v}|E7y%mzKGcqhYp=qJ z5B=cb5UzNGdB_7&5Skc2%|2{g2*MT48((Ozkn(omi4>-h@3d7ek3BLXIjmr5#Hn5H zLDftC$WY(8JnATfKWk>%cl#|1dPSpXYWOg3mK8qKNK_16{~j9@WbCY5e=xB;XaZC+ z7#lA(x6m7^H=KL@$-Ju$%CP^(K|&40ry3MTPy?Jk+G8XN!W>S2yS`Lp4dL57uVX+3 zF_FNIy^1GaB4Ysa;n8r&P6oIdv|2}&c2Iz{3Kf6iI46NhSr8er6b?Ja`Z97p`lEETs?FEjZ<8z@jpK1f5Pz(Z;J_+U?LByb%(6EoOb7Nkr$OrQtkfWP{3 zz~pEuP!a4QN}q%M1i~Pr-U5f&;+a@K#tGd^I3(x`8~BL+z6r%Ay~*i<18JMm6AXR6 ztns%;YvToS!Jxf1CpS=9p>f_eQ2%ZBLYE}|?L05BwS~4Uuz>n0FZ}Q~iN4)chcL)q z`mKiB33mbHKE;XyGZ$IDH!SV*Mt{9nuxJeJnP`o7Zt&Z0>UNfnBav0HeIvB&s$6Y5 z7XiH)#a4MN7d4LI2~ZB_45aAy#9X{lPZg%l)3>+E3lh^%KKQI2Qxs}bcGy596MB-1 zE)J2`xkq>odhP4;?iCXT?VO(|e&+jXpd8>GD1Vz^F?s_k?XhB3$sr=qo2`il~{Xu;ktr%z~ZJUp`N)u!XB>Xo;o(8`z*7s89F z*hijXD!chPrYyv%It~xFd`#VsW7VDjgG66C-&a7ey4B9t%fXJ%_oZpi_rMPV>Ec-~ zabLQWg%E}uieM5jEb7Kmg-6%qr!=dh7{Ne?%sz;`8z>f8ihyiv$#wx&z(}jsiaCTB z76e~28~cKRNsNtz%{Kvl+|ott2_%1_N4xvpA@92RxKyjn^GJFCjI^mlN)l^&rLkD6j?r z<9CB?VTLeMgJtYw@=#e_;-vdBewTqPk+Zv(sZ}mve7RgfPKh*@yQxe*%E# zLvQNA1+wA6`V~KY?(C!Cn!yPkl-oAI0LcA_(cpMn7M3jmguAhb_j$O%JOkyq)2>Ik zLC3f!wV7tLJHX#r^8BT`UX$J}MceRhiZ>nL4^c8(y);l1RwkY(pJEd)5Q4Q%X?(Ue z8wf`NdFcF*o%^i09G3~&pPBqMA?YD4H(*%;@%E^3?oY{y@irBj%K-Sm^FQAFs58Go zKjYZ!Dxy&Q=Q76`HN)@Hmh^b^9ctZY!@E$X<};KD;XfqngEG7E#gxkZp;~KWr)7ek zXhsNRQGu}`zNScQY6(ME?}7dbeosjdp<4YN?o*>N5cJFZ8$xUwF5b7}3<4x9^h{i} zL{$)>fPTJry3*zK*wmk|r5H?_asLP7mLMXBBF3^4Ws0`KVLkI)s#>Q*!FXtCT zTDRIMwTfLS=_(;OkIPdr;X)=RCxe&1rW=T9_J))UvnoUFk7b^cH}%s{MD`5Wp-KZ| z8rAXVqYSH}s4GI!fI_-aV7F_HM|{+}7^_FgNPnK~1L?3T$#URs@iq_UiR}sl{zVzF zhDhS6^@w<3^G_hIpeynAn&cp6S`>UJDD2uZLl44)F)%UUj6?&-dG<^IqvoG4HkR)$ z>eC=XW)P^MmnO*Q3^h7RF+`?Se|DoLPBa;14LJP$D&B_CO@L#J9UpI^AO_#gls*5l zt6rHYrQHn5;*no9T@28xV^u+cdH?b}dDx`lwPc5G*I6@#QAc0MUT~tjMm(djblMHbI%?PzyaUC#er`+ zf*tA1R0kXcKGt4oza@l4Xx|esXB-b+1rIjsaM=4;m*m-v?W5$epd>D#r}e_1tCdkB zz4IY@-nMLgy=Pu}7z00Teczrqrg{nylNuyX;(z5(g3GerVy;S3RTcABEAZKoY(d~b zlnTmstU=nrvj%BsmvovKQ(pz;BBMeL8TeB;h1X!fo)2c>pi&dE{R+YYk!Oz~(jZHs zQ!0?FwGBd@G}JssE7#=@mUW_Bn?4z3veU8+Y6sKchYcI#n5N`alEfSW!b8kNM4i8& z5H4_?8R>EOl~kLaWXRuqhuEuw`V_EuZv#1+#4`6-$p$Lc?}R%zg_WlQ@t~1ghB0j{ ziqppO#oSqsJ$UeI*F>I1TH82=3@#tr||fq3CY%B9c5bw&j8;&b=F z0lVzDkMK2FT=~JuNk1j(VM3!1cM@K|QYUd^*-^uxb|^aLqp{|whhLljkaeeP23vSS zWL$}g_jfUS$ECAu~2hSN&5Ow;Zf~*NVhNB*Yrt z?HU)MXU&;KJBE&sRKuK5(r*Lx1eE2}p%MKTT0a$yDXavTvS@gKIDi;MOwxZvOXU{Wg z*^M}L$UB)NpirL;vcs8nDX%(Kw#16~PEUdMa;s9|E#VzC3V3Re7SUFk_ri3%a5K?r zFQ#XjGY@lBsAsV06eE?Rt2JUPvTzU~?Ff8PMp9!mW`-~bb z;s0W%VDI@*M0suO^d=e*MfxM_H{^&jXyZQ6p%D0&fkuIX+1UJU=`KN81I)B(Gdjbk z{x(f;5fQpPI^-`uBvMmJm5a#Df|TZ|KU}!Ta{3#P?i)?vFV(@qtv6m+%ypXxJy)rq zG>RrolxQ$cn2}?1W=}CC$e*;UD%$Dqz5Q6~UxtDYXUdMlWQ&ug?n1m{qznU9SNFhv z`+`6=>YOn(bCJC2ZmhQU7zva3l99WCh3|5~J56o0%Y*jzB1z)~m&I9ElMwz6A1pcE zUR3Y`&U*Ru*{c4dio1vV{~0aVTz&-N!Z@`(Vo`9#I@=dY`xn6;HZv6Y`<6|m#l%?j z+96&I93@62rYi;!KRT^R;O(Ic(AIzIT&Jc#qKqLhqLrJS2`h*mhDse1ni7Rn?m1zV z0GBu9G5MG%dmv;>bt@Gc@CUG$3IIvIB)fQm4DOY~tu#D(eI*Ghut|%Vl_x(H8KOh0 zK+4e247dMa1M!BZgbZ}yvT3q9Eux%iq!c)cA)#Cwuh|-xz1hBl;Vc+iOJWN%iV9r8 zV2;>JK1IiJ?Bm_TWar5W4+{lp0e z;^*~3j_?6fM)B}F45@@`K1|#_lS#?u5z>I7q|`>mcKBisg9Z|jcPFwOh9G#yUY`oI zxtfHtITEDDTD=QOn~ipyuv3vZ2Uq8x>~u$jh}TMvFGfKX^=$hG!8bX!OqJXUJ0luh zcim7!F`6xN9hr1vFl7xT(t^z$CqFf*k^%O&T$dyfQ@rEvuuI)zu!Z;M<4TV2Z3PFo%g&wJ^xmr27opg!++Ox!| zsJM$pIuT`Z&sp0i3Z-%nf=5?>4)#`)vjB^#@^~4E!e(N-7Z2TM>W@AA&|Zn&%ZmhR z`c7F1uN{}N)VYA*QEU7ajJ9!TKMi}yMkz>GwpsV%%6o&Z@7IRzr^c^j%1?r?$BiDZ z_Y+@bq6(X_>~#uDBFp4Zz_^LNaihrUU+R8!1$N%5 zCo?lEc4AFf(nM;rI|1;$8IY7X!K5G-b<3`Jh227&z}!V zJAlfr?oqXsU4Tkj;4D{iR6R2SgNLc30^hM_5M4a>w43RqxdsVsBHC<@)V{Ix>INd# z!t_=xgGX>Fy~%QAq&n&vxevK{IHc3`mZ-kkE;WAMqo!OcUXmlqbVZcn3aF7zcrJZeU5=0QA-#)Eq7eB34c+aC-dz@00}52w zxNk1$TXG6=tNwmenH4H)PkpHHLIDVE+i1b4>PiZ?q?=MJ(?=aY6LZdi-}o;cV2q&) z9L-3jh!-FePu)x+P&M&8>J66N$@YZknDL4xV8?~b%;_@=1Od(aT!}9QJ?49giUsXqvqQwJ39k+c;0sP_n*OUv2E zl>0IDQi(g=K^kImX>k3@#3zgpU9|T211~FSIF^RP!CS(H6{^B!hkl{|8ew-m<^5%j0!QwF*vjK1?UdI;Zi%0i z7SPg_J?l%b1q+*f%u!}hllYU%4Zv1TNMwt6d=M zzn8KnH%+#^Q0g{YcFYFtaN1Hahb^*X1+IUgN&gE?{*#_jHVpk%x^8${tN>EmAmx&z zBfR8%wE=^ilI>SOmj$?jFaS**Alql#c0ziB zwa(!=RO2=s`8_o>)*c5!$yyN>&)`nQ=cT|E%A@DivB6i6BSB$)pPv}&5)Efw;t(x* zUMnqUhF?U^|06uydvXtuKvMP3qYXb+Qa)>Tdca}oD0Lw%_sTIVlf35#tl3b?X8|8p zLNe>Qi{)T{hkykRXR;~FV-?b2E-mM~fN6u`jC7E1w-#z*x`KL9gC$;{yRSaPuCWbe zx9F_!VDmC-nvfZoGPYtB04~l@7SSStR5X+%cKxbhWG?w##9p(iHXNzRUHzJ!<5&&| zYrVrudONX&nLvDPTO1}kdt5Y5kF@ePqOGPBY$cTnH(SPDjP7LApQj$s{aYj@Fu}hdx!xXayFd0_dtNVnKMZEGVj4)k2iSaHpMI3}`<9%e9|ivN z=eyBDK$sV!LkQyEIyY*t*vg!Qnt?2vaFHjJ?sP=b#Zm5+q>0F(*w-QXPdJ+YR>8pN zSi#_jK^VqC9i(IjRqOi+-GRIgWg{4)#8Dm%o5gziYZpZOvEz!qo<_i}U_ZI!D#vU! zuakPbp*IvXBOS3?Fk_13z5K>Oo``MWl}LZWy}Z;+^;(YNt8^I13)DVbt~{Q*LL*I> z(J#oDILcgKo>xXDk^gv$nB)$$DZ8_3cnSe-}yTF$4q`fI~0QqG-jkkB8H5gN2=! z(=Om9T4Yqmi2b#3xsDTh^6qE+$PK^$hKrsD*(>`HK;SIPNDCjE-Wd1_Wg+uhoyjNU zo{|S#U}b2-0l)pKDYRTyw#dJNlDm>5JyedviwjT(CUjq)R1=^b;emReC@kNw zAVT>W#$Q6+Jz%i@>F@L5nb~gQiyYJWDJVSusQp^=bXmQAR!^O!9tSQqs&*hiaH$-Q zZHe2K?TfgO@a-l3;9(EB7coeZQeLJM3QsfQ#*K#C?uI7dccieuLj%m`zO`2KW62Zt z^l77iSf`+(1zK!7{kqfruG2|N8K<~vsGW8$OZG_FQ+g~Mt`yXkEph$@*iqyC_!U=0 z#0`gcML2j7zVbiPw_~MXM`}6d5suE}_jSiw-F~ejDf{tx?CGCQhE>*gIIkV+ds{1v zB&+rhp+GycB`E2DBCSKqhQqaKYR)rJZS)ER^^$Vem?;#$;?^EO8DP?V$)G zzibRE2+x%os6AWtvd0O?Eu`QDw+g4}K&FO}TJJPi+Ydj5X z+3%IaZ53bSPr+dvF*cELnet;eI0j|Gd?DGi2gTL~*6vp24>I0|mKO&p;ip z;O>W4s@B&{OS$1r3#@d6gMH`KGi~8AMQ5GR>yh$^7d)XHDa+wV2T|Wos{uxmUe!P-Cu6R`Tnu zt8jcEajKojWW18esY6jxnXkrNuf|-LB{=P&U;sBoU=e#zU8;#!%jSUQQSS?i@8M82 zXfwKm+SHi4jJr6m#@v<+{78nz6f^b3#@QTh?iQD)Rv3*=f}?W+?IP~DYWU(b9TD7<+8gB%#)XFQH=wm z7?ju@ueRLXsNgr4iWDz5!g~tK%6^FlL>fjH{#Pd~~HwO{gqq7%gtKu5<w%1eU+gvNGe zJ!chnaFUMEd%;5-T?fjQAyloq?IW|L1csznfVC4Z!jysXn&Z|J1PZ8wd71!Y^J&$6 zAkTLOi>ue|4ex#AuA(l&Ij^aDP_M#pH@U5-UAUrZ2=HuB@WYBY=+d%|?%Y&DWUHn1 zrV6q{MRDrQu|$=93y_BBSeqLBKI(R3SEJCqJr^-;v_+lpG#1@B?~!dzSlMH-h;9Ni z1n}@mbx-#O-MFG~fdFMrHg#{Q@f(7ohZ>Y_&FT~eUpE!N0nxW6@{1zza0xSkCeS=U zg||bgpF5xG7S!xfJTIx{SGCeD6}k*b_X!k2l>;NH@#o3&PrAjhHdPgA^1xNcppy5j zyoieR%Q1>}TN{-oESZPYnN@ewYJK7L^P;-ab6V>B;0kJNROKIUAWBJuRn0Ew22mKr zesirW5n6>gXqiL%qF-qro|GrZl))8tv6zD9Oe!(%yV@4S6x54riM!A(_WtT-TLQ6B zoCSIhaRk$=^a)VHK|rCf&IGb_ia#y_`%YYSs<>6th?dyF?ly1!uFs57}+IGBLj7fO1rAekRnW3GN2lPqUhAW`srazFZZ% z_Nf!M>$2wR#66vOf?A+6st>X-hk8t^6Wz?c6PNcs3txd#xVh1ZyRugcEac$0t$d$* zC$4Ti)l>Os#C;U!NJw9uxZodb7<4#~s}r}5dV!H)RQg3ns6{yhs1bL&8gVDsqKJx( z?{(t#x3``k{%a?0+}S&NmwUyoigR?UH#%__^}xIMreb$JFUBzUQL($-J8|D>#GPI! zLw(zc%VuGJtep9mirpQ4FpE7$)t;*a?qUq+vWciVigVIcob%j?+sAfE;RBtxaZ}4D z%nHV@M%?LMvI}>xs@Q$$#7(b_xLXi}v_}%w^{tw^$^{?Om{=08}{Pthp zR_omOqaXhC?H|AY^PAS(tsc_ID&t3?+G2Q2Xi^v;oRdutytA}XqTTIQDctR3+ad*# z&WjOev%Cm?z*|+}U-a-7+-R6{FBO)F=VGH*L{qt}fK)|O% zb^rFJj1V%uG}WM;ATj%r$8BWZ;Q~lrmZ>+JblI=_`dQ{39I&I5n|cP%plghFgA{hL zgyUif@x{C&>?+A)d+{=2?P=Tf5f48f;ymbCH3dl?F1M)G+@{nVsIw?RzUX2LC5d993PdQFlfWVXhuRIdtfbBE)^~ zt}k-Qkhg?t22g4|cTlP9hjdXRK8kJvA}l$SUcD}1KhkRT|ooxJreHNj?4 zw@U>hk?3gc49pj=L=9qFy|j}72!p=J=(y2;;H!Dg=pq#}LWK~4JKq!0vH_xiwHmg) zs^$1qK01UbOacgA#2yC(hnL_L!6Fsijhl<6Jae2OB?M3i)Y>Ioh!Jczb0jGvdkbl* zX=gvz79fAYs9k9vEimYIr*51q=MUv3V$hx8Wed`DZ&`^Xe5PcMI8P%c+p@b37wZHK zHBY5f${{9`hO-4Y48y3S>Cxz0vk#PJ;A`(!kIV!$>nw8A-O*MJkHV=5O12!Tw_Psd z3+Mt%qfH@)9Uj!UXwg#vZdb=HPW*$2nq}(MEhw2zY=$yy zsi!^bekDwP&dY_ps3vv(tC__GxrV46&(pk@1(L=aYNVz@Mw7_-7dNYrQL)4 zOp1Ucsk2Yb3w$6JJh&w(wZp@Z4k&KgF8sZXj+wL}VYPSMsK@HW2}fMGI%bSIW~!?E z$4BPRyY5@~dhQslxlJ829scNjWYZXB!PjWQnRRa-2mZ#Fjv03~Zyr(Z6lHF{Z2NSw zo1)tnzRgXy#!B=mmpPm;RN${Z{SfD?V`jEDU5Q@s`*PiK5dGYnju{x_ddJ_Oo{~o7 zeHdZXQ5&m{8I;Mo9O<=TX1ehAILCbpXv23fe$Tof(RB;JHs2L{{Fo6205M%1Gy6ME z7;I=;lo3&n+`!*<+#0L$Fv5T@Kf~{&CaKXegA#eh-|~vT)e*ei^3pN0p-Kh6)hPYU za}wJH7@t~mzxevMzy0cWDvtfzk3jIhef!xLU;gete*M}1VZV8cz~?u=e+#}#J4;fP zh>`C?hEE%*254c^tz$%-%KijEa85CD<$R;-Ma;fEo zPb-Gp2w@yt_080~O5eSm3OvBU)K8WA7e99Fz+dFweD)*m>I}XS2GnK{Z${Yy{gR55 z7WK`j9A;AQgc4>FVKG;tF8c?(-gL+ZUP7kqBDox1^ko8h>;8S{H>IK_IrqFSfnQd0XXDwkpZRT0B5|Hm?R$;22!GzBHT-B6rFjbMs?yEwIF zxZ5Jgt;4tpoDPG9$*oNF8ho8;1bkkQxU%x;U~RdoZ<*JU^O=L=EKM3oCQO1pKBuzl zd|MDvxB)!bDagTUVGj_~i{I*s(pQ_lDwnropHG4jhieCDQ&H}#_!iE&sn%hY46)FJ zWg48Gv%cyxc}`^m+SDH6uWYU=^HrG#5Er!|2i^Se;Z-*!7m}H6o9zV80%3SO+g$+? z==yj@XB+Lx`yxmxBCNI>rQdu@^QsDFDL^k5u{uF6iAk?F|C_4AjoG_bJ+5kH)P;sF zh;kR;%oX_xjO4Md{dqM@;GcB&z>C-=lqhd0-N`Kn=Z(jFL-qtfIX9VoRD_-C12YT7 z@96R;s!79VkijS{8Sn@?+|V9Z;y9tkHgzYtLslj}b5KL$e-U-;8oTttZ?YO!3Wzmv z-fxFW5Dow%@2<#nY|EY?b#MKb4+8Yoe80!J>v5S!f z$-;k65Y>7{e|^^@ z0{u6NU%{JGrRHmy%Zo=u-DDS|a%{N&F%`)v-7!f#XNThSWDjaweffJ=~4CV7A80{tRcvuNQpfUKjgdb znPaszcD4icU~b6E=8dwsyCvQOWD5jB4If~0bCcKm=@yHKR(3_f&B=OD{|!fsD^VmH z)dr~E3px$ZcbOR$qbvT-3xSkV5Gm6IhReBTTLCj3xvx?l;0ipZ$5AvI4Ah;F8&xz4 zg+W0Qu(RnvR?!)g z*J+fDDo`kj@E}kVt@9Cg;Eqk-oIeNxYJ@*jlj$Iwuk_=K-~H}aU%cC!Gym;}AHI1w zb-uRe?9c5vC|n&Pv}7_6>OrMM=C1afdc>dAo}+SQ*ajqewCBXpp0nRdgugmfI?ES= zFB7hIUB@5xNhwCvE;_kQ!LW<&pDN)b%Nb&OH0gF-l<5@mt#edMl+xcGizc$UA$#_?F?qLg?6LX&hyk{d-(cjcl!T_a7m-P%DE8LU>b8ZSkRG14ikZ3);Q1ZYg&ZP-tg8v(BknC1eIhTxx0-A>1E)Xv<@>&~|nO z@m3YXjo5x@p;e&Ai?dCVC@dI&aOLrYgZ)*um5*l1bUUF$Ngwe1@<8(j|7RjrfF z%_!z^!_Hc9s9177YO8aFLaAj>CZb9Et&Bz@nB3^74#<&R&6Bwk;S7wiR~0l|H@J>~ zsm0q%pH4Lr)p7ro?2%8DD;bkHs_Y|J?rmi8tk4TwTmH{TV z$^@XzXqZM!yxNEw+izaAj2kj}k=tZE>Z(3P1yvn1zcynHug{P3A{;&?X;khw?iE2d zor7uNGqhGA2~lk4sgKBvHlnp&ZA1jIWgl%s+r5nlP~O6RvieQdF)9=gRpZ01O0V%) zWfsWm@LHpdNC}W5sEx=zw-I?a>tL7T^vtD=b;aJ*w^%1=$)SugkqS}bI_2mkF-4XP5y84KA z^%14FeMD(EO86$u%X_f7;-ihI2BtJ@Quk@s9#B@~Ma{+3E$KjvdoCEzSO zCZeD22>DhwHKwAo^kV1IWOAo)48KzkLXxW~$BwTd13+bX1$G_`ItZeG&?+Ot(P6}U z^>DQFlzHE#0wGAK`Xx|r>M-KVsl!NRJqNFR@S%>K-I=CEW?(8vIROr~^9^~!mu_p3 zao4t=d~$8i;_R#r`~$|Oe`z~h2!!dX-4!oH>{)d=8P3#bFIx3UHl$w5hZ7A2Sw;?z zKPhr7S1FWoz@xv2BVYW)wpD|XD(P}c0v@C^(4*^XZGa=IXq11phvdAGJJ~6NlF?t( zg&}TlQ#iA1m~{h3#4x^qVaKtm!DyFZ$zq-xjJ&GDIa2EKz4sRp0+uqlHG5~|1`yR? z6wYOz^YPSR#B)xg!KhyiMyx)x`QBet@BKy7n+Bs_eg5U|ey4QRe=5tbR6+6sobj{y z56^8br`L_Wn9oLxtt!D(r6u6$Wrbkn(*uqzqs_&R;t}X;s>81}gYmJB#MCxFsNy+z z+vwzGRWEB7cei$N0|#T=bq_9Ym;K@G!g-i1pu>^M?NG@o8p57du931IkV3sYT}C(e zf-Ko|GlIm=suG`@BCU!Q>CHY!I6+FzzFGFaa3eJ*!X7d>Nnj;qg1uCmK8 zb*W`J-0F?^T(U5Ido0SHi_~Pd5G%Lc*X2;**cOlBKxveiVhtMPA(eDmDExLSCp??j zyjppX9Cf(3MAhWWiXCjS1y$04b~%iS^?5!`YEd7T7^l>s%U+e80nY1m10SL4@(qwp z&j}d?*FhW}xj)i;=oNWZc@dC=U5*?JJF^^Nh6OiMVX-JQEAtyA;Uz-OtQ02!gGXgm z0;4L+YLFo=O=^;DyL{KK|7g>Jy1_P;=-E~IYB}|1Hr|atg1JjusOkn1YPYdQeiHgA zbF1pkQ&z5=y1TtfJda_ol3*sFJI8poy?I283^PE_v#FgathaTNze*bZDn+H%O?^xR z?|o5yp(oT61M^<9x4Z6M652JEUFIsmM3&}qSew)WGK9MlLTYhxx}Q|h*>G1=vL=jO z#UhV;GpBqkJCCm>Yn2w{12ln4X%xY9<&dmDZh)TWr)xTkUR+}cit06>*~({cs1B9Q zTKxs9Cw7+9%8``IC@E@?!XmpeRS+99Zfy`Iqtdezy8WU%QhP!Il;=>dy^_Q^s$7Ur zClv%wCXj{gVXIPdKXyb)h0o~xy9CY23NObS6g~$E?>WnxS15eGpm34FT2i9U2QgQHaDM?|D~JFH1;Vm5xFx-rPIag`o{dkRApKFH7oY_r_UEcOe!=SC_KNQu)U)&UsWEyI?ERd z_d5z3)o4Ujj}bK&b*!oCV3U2PZ|>yT@p~Ua;rbSZ(?H?2kfJBSj7O&cy0-i=%@ ztMngl6Gsg3pm5khVZ-0%MZ;Bu!lm0dP`Gxv*2?a&O4sKtb1e7{s$^VPFh2Ro{!ToS zY76nauMsq!WPe{(4hIq9zm`+CKd1URt-h`qhTzJVp9*sTN>Vk0Dvyk@Vn~A_$a;j+ zl$T{|y2wIraz4*u7x)|M(aAIru_P-x-SYF-@a--)PWW|2&~pfDn5;N6dd0~OuCm$G zx0B>>#(G)Rhstoz%7`Ais9-A?n`Irxh6NzyJQk+ZANY#~zT@wyxhNY4I)cA8%vJ?k zd*kO975K_Dc`kCKJRkOElVqnI_#2WbzNm@rl<`Odcp5Q6?&f?oHFtr);8(mnPU~^E zH$$iua8Kz~Fn3gsji%QNfr)jh3ytCfaab_0x;D3Svmh`y?)QPfs?|%=s0w~T;NyY7 ziwx>F`D9fMdO2l?8Ke#eW=J+T#v1v-q69ktx5Gl|Xt&@ZxYzx%C0TUXn9_zF~I`6~^j`VM6j^pJd`92wr&4 z0l^PfGgN}C>MvI_HMk0z>H~tW!pTYf?#-niVoNiOCJz?M!C-fAQ)0`L9mUw zvsp%Al*(;Izzvl20l_Nce;0!5hauQp5G>o1yQ|rxY}f_C@g`@%4?wWFAh;j8ybuH* z7X(We^fU@Lrsq75Ab9&J2$r9I0n2q6odyK+2h%$ce7=ET@vo0VFkfLCp6Y3tE(nIs z@}hSLmi$w0DIVa*afD8i{tyvMU8IXCYJ$Fj~lI8D8t*s;b<oSfhbm00oGCdY zd;nQT13L=n>XViwtnwl8Hk@XyNX1QNvdi9XQJy?%Xx4ZyW6IHw38Xl)^S51X8|+#3 zT-oP&Jyu0&^)JXsQ&UoARq;6$qnuk&m%SP>-P#BmGSFye*3YSCOgXGfpa7#}rnZ=( zKDW!&8^|Kuq}w7>EBI$t72a}ia=}q`oKjDIS<6x@u!P_?O zTJ>?%RHWWzi93yGliVz8pVjO~=vRLxv0fEcDb69R@E^9Qu2EC#1{v7Rp=hHbRt|^I zJswkQJf4|gn6_1(c%Y%Q)9%z)tR$t3M|4vlF{GheIn9XID3^^HIc!-^!uV`hR@d8% zI8lP|Jd9ll_-N7L5ScTfW z>8?2|{NL)7c$bd{ft6r0N=8&$YH#Si3HSl)+&b6Z+NiOOauEX|R1Nt$!b`UvVJVub z#5a*W@fIF!1GY});G6`x8)$|Xf9aK$wdZ~wvOiI+qVkNoPDSMKH%4~?@Efllj$HOr zrLj}nb))WNoSTE~avTIH2yCMxHjF;VI{>mTeNm|jPDK`+{C1A!#;K{$Py z%Imx8HdA+27)^dK3jgj?H{-tzmpu4pDn+|6?z+v zvR(a~_X0+EL4Pe^TwV$o&#QlcSgcWA;_B~?^4cVVMgzg-o-Dst-Ncl8|A0YWag^)) zz`(D6_xV@9{q=9(b`{$1{`S(@|Jna*Kw7hl?~z>R)5_=T%FV%75CfO*5n(jS_lOg%8?H^)ma;HbftHVxMZTTt z#$oRw?FdBTW9nki6e<-1UA{+EY(>^So@LdElDTI9T^$dWux;Dj_Xt?l$jL`TAqwmA zJ*sW^9?9)x8RbNvQh4$`(s!E(Je!b0zDM@qd&CJlV@jd>XvDIM+Io-zJgn`>_ozR7 zkDPpu6zlfkdt}(QJ6z=)zDI|@u{DWhnhi6<-b`2zFWaRI%CR3|8cE6DPmAPatYRt<5Yt20)l_+wv|DQJ)hODYDS=BXW(0P`Y1 z?ork_=?ri$^;U})6xD=s1W?m_9#pGsrz*OqQ0I^(WC^i^#kh3cAX&elN)&Qs5#mi! zcNQ`DrlA2HPOgG`SzM~yiw`!{olm2Lsc!S&59&xD81n%Rx9f)n#E$&a!}mxoR|ll! zR!^mat=Z$`TVKKv_b?Kwo8rAvZBd=6&{P1R8o5I&BkYIc>2~=Zq0ZaDr1ci%A2=(0 zN`y>}bqLXEL>vJOX?aHAq{{cG@7pThPh>A2xio}`{G~@qmV;_1mn>1IfQ&V&)$XZJ zUfxvlOO2TyLboh!h&Qzum?7Sz_{Ll;`5v80)UmyhKSI$lic=0g^C^{6IPUe2&%Q@;R*!aFPfKnXWt{VUQkD-iq~hAnhxJ1X}R;s_o(&ZdlZN75zI=yM^GUfRy99} z^Qu5MoSG%2Yd&?Tu(rpu@6l$HYA@L&=V61qJ1&#zyYG=dx`K`>$z9oxyYCSP?eaak zp`%>Z+z(YlDLGW{zDHFzyPxtsVqb1@D{-UDBCT+{>0qmBXo9=$+gUjFNibIlq?1YY zc3s==&%Q@*JLf~ce2;u?m+w)K8%a)2zDMyY#CItQit1b6qwwl`#P1PT9==B{{DkjO zd-xu4c;4|nk{>)sEXlD7fHaE@MOV*Oo_vqicYTj`EcqTS;qpC71If;Z?@<`O zN45e2KvuasHSJzW=5D{)+PEbLd9FbJ28{MqD*xg5oBeD(9T z9y=^rzDMaqGnthgE}vk+UXnGz$^yeZWVjUk+;^Bl7n5gy8mEeN;seL8HcgV;db;gt z%AmMx>g0ibcz0$3w>+g#Ls8r;%)>!ezDFnGPSs(h?s9X{ZMsZDc>CKF@4iQ+oe1pV zd(<@?+QZz`Il?ox0Fv5)H+wU~?sUm_-=py4dlc52ie3qO3)|B36|MYd8+#5WrLCmo zI{Vr{UUaW@`5pn(`f}++^_`O+zDMEidz8YcnK@717O|qy)%@K}jozbt@_pZ<@-g3| z@}BQe{J8JYAU|Jy{t5D9p2^SoOn$;eeztJb;s2s?Sw*C0)5q;je#!^Q58FM;$nQAb zlAkchj{-c=&&-gY`653n5(ctfe&S_|)d;^r@i>RA`bbr4o2oVX zxQsy6At)0nDsQlMb;3)$Mb-ZlfnS(76+tssPq!1~jxIkMr$!B-;>fP1 zylXO!{8i0m`ZyB?wTtY^(a?CmHbGF))vDzaCTh-$h|a7cBF$nC?Ci^o@)R+#1pT1O z7s$`1*4e6QC}>vYIDuu|miH(f5J~SL8On?kL^Kz z6uZq*I`p!xujD75U32ec`ncW6kH3>2C6(%t@8o9}`PuHKk35h4B0n$+P5pB$TOF0l zsB@lUa^60K2$f0KQ z`!EaBfQ|hv`Ejb8aR*`$!au)Deh57psj`dwfVr|nWx4kk^3w+Sk$Lhn`8m=U(EH*W zG{_IqrSKzn@{^Q8(r_Ual(1hoeG`w~ltF$Vz^XiLM&zfis+$w}iSLk~<$cq~@M8K1 z`5BW&H4j&PA1~ymUnT%XLt2Je@JCG_AwTw)nLakiPx3knPvl38!4$$)d_#U@`WS!0 z^iiW~9;T0P$j^)EW4)U`LVlt=HRJ|GphWxo-5}=l}KFAO72S-#oD*-OLb-)GYX9h0XCvS4wCF{~8N& zrG(O*6&d@Q5_+E%ov!=!)N~}d3LvIz=wlov2gyezBt6DOWW?OYW>_*hhHzlDxITj`fielEbBx z`Y8v$my{4(zD@D4FIL!AhSmXAw6Z6UsRljftSyz5Xx1iGnUOw>En zf9Os)Cc-AEFnO6Qnlq#%yWpy1PLjzQ0g-3v(i+W*MnNX&?#||-PC5P+AyS5Hg98Hc zMDV8X+5{$q0WaTYNM95zAHk|pBZOw=v+rx=50Ykl=)&7=?QHH?H{t?h#%M8Qh0WuXal)xddTNS1<-rw4IUR5UKt(xr zYvOP`qvjU5MKjTtqz4Y{CD~VQtIPcjD@qqDvV^SUeKD6qL%LW|)fL8^h86Zc?RQqh zN2zJBqVO&&BBTUZ9cSc7{bEJ3`IAf2@Xc2N+uaI#QN9mir~w^=74;oT@tP9a6=*Ii zY`G=Dzd;-|co5%~DhR752kQao1#)yA^hT74`GQ3cJnbqgL2;SYf-% z3Og=JaoMagN7#t0u#XQ}VT%>Xt_eaNMeE;MVe6)KK3}Y`&wEO!zOthDni9&-R@le; zR@iHQ*9u#<);uaUbb<@5ljaicwLelqEL=^O74|DDvi-f3ko{0f$h@Y6j#=G(uPLGV zN(l`sY*o8_(h56jaL6yQ!gd6K_?8t#r3XuZ6)ksGbXj5VL8F`=tSB#|u3fzxZ&*?P zpcQtFZ>+Gptgz8Z4^|Y|08X`J{1lp{j+QuLF^|$&`2;1SEKB1rc4yQWiVAEp0p^pz zHpajw%`a5p_i~8!?(?QFX=H^hW9+tFDWMZq_ zfByD|&;I=F?|=CIub;BPwwDbyUzj~agbA)E8CNV<*`{m523t@nlPTF?m;5Ok?D%Yh zZHmkx>ScqyeZ&Ua=&oScU~i*S;(Z(JO^!zQPKmn>wsJ?q20NySmt8LxkNx23hxcr- zH8|sLgFPB0h7ER+4YvBj`O~*H*m2lk6LB@d+?0n6_V#LnefQd|L=;V|eX+r|B}_)$ zyz!{Uk1!v?zz8*J5K)E66UciCV!O?{2~p+Ujwk(5WTRLhuK z2VrWON;?O1ll6At9H_QVn`v0^qnBiZErWx_E?I+?OqR2C_NWLXli?p1On(jWh|nW&o1JW&s#mcG@eF+>pC(fWvj|I@!w5JzJ@GI?4*?F6YyH#NRmViUjRmbCe4cw)m~W;s4|BBcs1AC}n8a~NRBMm$!I zd|l@}nNuT5<3^4bC+*B{p1d zSAVU_uOSO#WKhU*6f{W_`%cRafC`;94NL6V0!J*#s(vVWW3maKEwM%Bo-MIqu&JWQliLI=XEU_I>J;@WhX*zWwu(3>hDFS#i&z9JSme{FLSv8xw=X$lo-i9T% z#+%-!`e_8t8%u0BNtGq`QimmWc(KIZ-m}D>G~sd41c@eF&rPG0?v~h^OrLkv9)Hjh zJH1$9qa&whPwZi?`)Nz;eVKE7-x7O@qc(bZoj&`XCHAgbTn)^&D9evnVo%SW*qYbn z_Crp*{as7!sIoJa+AZy2iOm6cSYl5aJ+cf>Y&7Ap#NJ;lvE93t*lt*2$9F8T<0|Uu zqr76}iTz}Wtxj24V#mu8TXQwya&9k{*k644`ES4a`m1N_tv4l``P*-P|A){1^!;DI z`R@0x<(bR9t-=kw%tt#8j-9{CGnE-fr=#EHoM5(WCD(3C<6+%rc(KkeGrX?a%rk{< zh|D#fdE~Z0XCn{ysQv7-CT*wFhS63b6U3+jSIhbVF{OK-V}%CYr&OG`Rx}5S=i-KC zOxkX0h?B{dCTAlXfe>XWqRec(O%s2)3!aNQDB6)V!%BGC(hSNYHetrG9ODM3pKVjK zcwbg&7pSb#h8@K=0n(~E?xSk>$OEGfU`apKhm|nez4lSe1bg1ZY3R46CYq^e zKDGA&TIOdN@to?CU360gM*F5Jdat59O}7 z%@f+W%|Sj|i)OZDWaOzqGjMOt9iX&#wU1BQsYzZC*70#Fk+0i$Bm%l_T4gLK_sDYi zQ-e&CXR~L$BU>YD*cab`&p#Q(EnV_9yGU`e7Mj_Z3RsXGgn4fkVRP~qIn1i7KT!5A z?z(}Kh}l$4KNYF2Qp|oLKWt^e&SgbA))NWsAphzc)IG0!$WPnzl8jNA>8TV^+x@O8 z)5)2c;O(w5Q^1{o)%@0}j=|e%C6wX= z=q)gKsCqrme(WmsEyEHj+hMb&nhV_oD{rXbXBwRi=JvYsx2sj)Qu3HnWUP#` zJIkkpmqssW6qBZ8L#05B1927B=Lr@lN2~AUfH7g--us-(u-~+@qf~kMVPC8k)> zNlsMLrh^?xNa-0cTdR`zVnc4URn$EwFM7^t+UDb_tW-AOBk|(oBm-WXHSFDV@S4Xo z;-5xc%SQGb#Su!9f$Y<)!N{;RJ4VA*pimr-UCJAKgVb>9LU-55_?r@bsw)Nc){QTv z-u(FpZnClikRKVO20-6VT`QDfqG88{RN-l2t4 zSu~6{Ue{5q-UQAdFwa#pTkkF!($QXHw&9Zp$I>sW6K-2sEwELf^g``L$6`Cuh1p+x z@#|lGse%hM_GOUyZhOUF{`&3T{`LF+@cTdf@q7LX-2UUY-~7Kn`|Dr6|MBApUWMQq zjw1vQ1Ho07%krNZU8?&JA$WaUJ)G%6@c9YBJF4o`oMHoGeS_d}D8gP|5WLGXQ`Ks@ z_DKr6dvP@))C+>A=rwdf)pG~BUi zKqO$H>e&d!CE!c*z!m8=1B3u_+DSGX@Gqcqk?fXj5%8yGNcrCY@+y$ErHM^84zwH+ zk!TS@mS&ml%Bq?3O_UMIM7!{L>#b%b{lqFbsZmX+tbMD5r%{tG7GsPh`qji4J&b1Z zq&$tDB^PJcJIK$faX`^Ynu?H#gs~6TY!w`+w-o-Xxkp_G^~`FI)IiCtP7*332?cdI zH4yjA7l@}W5GTB8vVC+j-_w+0Zz;&9O-3&qT6K(RjKri~5jUn!LE50^>ZLYq(LCqX z$|YKZn$iIA>`$ed;s9}XO`qxm#Nz~tqXiMTUhVAhwDYdyrQQz0a1hUDI9JyF1t2a< z2(`9KA?)*RPW8d%4oV@eH1mVad4c$tuO$$dSL+jq>(N==E)z%kjYm{8Jk#h>?gYUb zfo;~5L(?yvot)qA7;en!h+?~@WG3C8YA7E7BVlOOVc>2&Ki+9lsw^!*H*@( zC=C%b_f#Dx?7#uydjI+WaT(L!L41mGgY&9%QE^XKGceDxYqo^UjsWp6Mtg_w4B|%p zfSP!ti(%zd7S2;ofcQB;90jS0Vc%!J%ZKs;@!rm8cR+ku)dr( zh|eDa@t~>Ii0+xaB@kCFz4`z)4SXEEr?Tz?#C6Bf(mpauqt;&SBAP?>0^*P}bJJDw z1>zbr7YB&;a!t-HvU`i-H3C6GK z659)k8}%Z~X?Ia+wYgASURd(u3k36WRj+Pyxuduyc0l6>iq}^ZkME)QTVGL)n~Zl9 zZ@f4!Q^zn-*%Z8>cod3{alju#amnZ|6pymdJtx8V&qDD7*7gpHTa~Wm1d30R2(Ks} zUs1fiMe$>xxZHEx%Q&i66ra>k_YR7y`F@}{GG8^A=+Ok+S$<|dF?xA)Ck8>XB@elP7U?zjWe-p zTq%2sNK)qP`?0937P;TD;mK(tHbFaQyBt3INgggRc(Wbrje}8wH3rqQXdccMd`n@? z(#lm9sO3a(jEWB4vOovm#%o!HG%6V+K00&TH#=-_c-3MMVrs2MbY>2bD%8FFYO2%`u5GjDj2zVxSW$;V8!BNtSbFg_z`IQtr8kc)_l8 zfv!2J{H*RWd%el2zH&&qm5=AC9%!9auMF^H^bku?4cV4e^(WKe3?KETX%74T<|FY18bl0(Vpx>@tvzK^EWfX5@aDg4>NL$J|H*@gd%5i!a5aCL? zTPAmq38<*zh%D(@I!;YcOyqUSYJ(Zcikgo}!@mqle@yR!l_vE#C(n*YZFn?R)*mQD zs-0IgsI9R$UCxp0BK7aM941s@-N6r;zbXE9Jy8@Lf0FD-ww#bllh-Y35mWO^AA=a+ z;1Fw`TiF-6SC^fx0(^U_eORO`2eAqIE8LVNU5d}A( zh(Msu*10!X(CT7jSBv&>o7*WQx}DJTb7?97K%$^Il%&wr+m5`SS=mrE2vrr%ryi0= zS0K3MOa>Ck%o&Co%9`acVR#hct}EMZG`bCIWUF@l-cwUO%??{uGQE#3=P(k$OQL~?|Zw7bjpZdF~Ho%@ZG50p(cT%!|RsK7Fi1v8crj6Kn%GOJddFGLf-(-dWm zf7{MDy7%vs6E%8px(`JPy3SQeJ`8Aa$1+FVh?k?)n+!PRCJD=ERxKCx8k|@VxQBY) zQd=hdo@y^Px%+6s!Mr$WQFqfNAA8ceWQd9KMO@_TnidH|u-7Y{7T8704GzPZLAoG0 zIB=&(`l(?mydXP(gX}ZPe>;M^ow{zmtX+5KFyoWQi^WeGfa;Krk3zaLr{kLqK>_spIn|#p4c~g4Syq&EyFErT@c#GGDayI zUw?4jB2(kq{s2}Yb0Xne9uq zA4Fwgj92r)p&6`;CN75!>Z1P9y^R4)nwDTS;~KuMQYm(;n$d#QjnB(8MCg-hT;$jy zue5oPh0>CopKfN69h%EYWC6_P3@xMKQ$v=93h3>^+@7&Z_E+8y`+pQ9S-RxXD6^oh#QD%bCVBD6U1Rtez>ImBW2jcU6YA1LghEK! zVZn#+buAA+gE5dP$|MAcyqxvQux~Cp-^g>_Z5jx^l=j)Cq`n`G^MXH(fryS(W+VzPGM6G&APdEgrXX3_v&Y01ugP3SmYY|Wa~4@ z*T-Fc24NionO1q!1hmdI5HUXd4C;pmG7Ue2NduXVXFr3aD#2MZRAnBxU48~#221TB zSzA8e_!%61k{g+B)!!Y6SVtG=eIQdmUi}Q(8t(HDi!3WNkjc8qh6fAy{8yhps<&R4 z!2kOD@4xx+Tzz#=98a`08YF0dpn>4-u8TWC7nk5paCZ+*aCh6q-CdX99^BpCKYp+3 zt9tdSwrgu?jJop(|r!-oWQ#ww!L7i+=L;)t^_FzTrzKY>BpCq|B z99m#>$qgR?X=juqqFa|v)>J(L(At6{@C(F7s1LI4E;P3INpQC+5(PHDloC{3#D(dQ zyWwcJU=gg4MO^CXL=tVUb%#;UX6XI(d*hko?Cble1>EKY#jp2&oQRk($UkiMk@kkH zIeHy-^l;hv0Ib8+O88nuqd@8tihqL^!KQqY4P9A!v z{*@1Yp^U(di~d<6>d-{Aw$ zR>mHA>2iGOq)BC=QB4MNl0Z&mDo(6^_*Y?$U|Y87g^0omK2#Bh8q4}W5&ING*4j(U zF9W20QK@qpOn&KHdu)us@vJUCuhL}=B!UC~@TFUj1y-n`{>d>QG)8z5sEdEmLX_Me zc?xB95gsfCBhfQEk?^yGIrH!`?O3>A&eR9s8rw?*Oa@|YA3?! z_H!D}9uG^Hcu^YkC9x<<`CMKeN&mZ|D(W!=>iG*Xq6D^WEuuoT)Z$+Ki5xqAGx8OJ zL6U5lDGITYhNE(keg`_S(pMfcPJ-2av>jGAs9g+TRRuC24WsT+otHwrh_55co->Cl z6~AIhpgv5xy7UK$Psb@ja}_p|vkiDA0z{O6P>&^`Jp?{6*mD`G+`%R4>kY`*b44It zvfJKzE}dgh7ZNwV=uZ4j$((?|aZltdamd&=5uHjb`Oihr$SB8YCF+c4rLspibE|w3 zC26u*TZ_8PS>^P}Bc8pb?tTRJwZUjLx?zeT5SHucPo>4y2Uu`5NIwB#_NX>xsHjY+ zrK`uWas)Q4G@&kCAlGB-9F;g#pBjDE`U=W0BQ{?$9eXxEzXyv5(JS#anB)dzb3C&F z4Hw8b!|9RRktKkkx3XTQvHp>v3p`iw8d=YHD5h3j^aR;e8+at%t8ndtwV23-F^4Px<5U3K@!54d#E>K+-5Gox`AjeQUO>Tg663Ov@;j z5uk4tM~xKU_z+Gq9Zug91p~aof`oZZqrELUyA!ts%CRO1rZ4uX_Mvbd#Z(`u7QCT% z#d(%NLpiu>;q0Dwww!bU8ckC|(8knc!R)aytG`W^E69KnFJFD9&aUD2+Ryr5AA6~P z+mGQcHin{YwJ3nb&3~b^@z;GTJ!T|%rc%JKVm-eT2t->}-;rjdYFSN8P_n|m+~B;k z+#0E}UWkGgjcSkJM7tyirBFS~rJ~hhqH(O$yGAw{a4XdoHAYrqOBZ1&JK^+PPn=6m zMP2KGnC|YlHo-bs2oK15*g-2a z{B*{VT8+ryE**+X#)Q{3`ooizF&YWh2WBj5&pN^lAc>MI%&X5bU+*~sJ`3SA6b^DR z6Z(4`9PriT^Mo>j_fU9%SXt3fIJ6T9t5UoGF6{HmF|+UM0Kcz_Tkmbjx!=oW?7BW} zYF8b>gdphhXk9PboOsTC)N9UN%1(nbyGn7+Ju?$bpja0_r1!RL)$Um}NX{Ut@Y+18 z!KvS&u|3!H5nwwS5J>_Wlxw3>9U;AJAwa+UN}}=j_DJXVJ_+ok+YN+y?I2)|;YG@3 z1XNyCS+(CPN1Lx#4Myga4)P1>MN>=JGgBN%nFaAZYe(WrvQG9_)iJTa+B%trP9c<( zGj4{_4bXplM$1Sm2wFaQ+ol(4>=fusK}L~vQq$FIz_POZ)uqe&*%VeAwh_E+&EibX ziTX3$?R0Ou+YTt(6gyHeV^sVQ5l%x5uJeuBg{iu(wb39!K&uR9Umpy0O)w zaQ$3aiJgs7da`bxX$BM63z=%B9fif$7ELM^1Uo$#2S1;G-R2$EpkW?xH+-^ZUAxnc z(pc{pGKc-gJ>Z_XcBmiK1kr-Y_F^6s>xecI=#fxj&HhJXhO^B{>8!T=t{c^)&{=;` zj8}E<5WBwCGDL#KlPtxW{cXVgxd5+9fuP+HXZzGDVD9_8?ZfTpx93CRcm0nZ=m%${ zMfmmZA86Wmi=ZrV&%Qt>Rk%6`PpOnws9zRC?-_ho~-fmM2K)!F02Jc7j z?h9uR%s+1pKGs$%{-^;Kx57YY2fnw(aHX#ffejb&zvESA4GARv!7>T-V7=h+v(5xf)nmiR$@K%tR;NU1!t}nzHlXdtf zM8D$Wb^jb$3YA~f<{as$IQ>`wvmz`^K*~bT9F8PE8K{1ejMbY&w__w`dc+u9X}2I9 zq;LY3ZHy>j>{b934!4OneE{o?OCB7UU%ySWKaPW0%ON!jS*6Gts>^y|Sk?0U!Mwb2js3F=9oM1c6PxWt>NbQU!^{T=nE4ZawGSxz3@uu|eI z*k<4R-r$*VigTr(TW(HN>f%YNu@9m^bg!D`GyC4sOQeU z@v-AH59qr6*Q7CMJc|nYnu(+CU;=>HVO%RXfyMCpc+Z`{_s%&wdUfd{27Bt(kk^@& zBi|gI3VdbNGeswG##lcF?w~wW3jll>p}N_W(lUp{h+*PdDPwh*u48+2!`Ac^Zd#bg z(ZpAaVMVb`Pqz&X2XA7k?|dAm7Caz1Cm(4002DzlXMD511+F}km*<8J?73XB@_^j-!r_>5fR3tlN z&cS@X*g9ePT zEpwPrpo-q{s@BN@;8<}bC5fs;#0kO{8Zq1GM0n5`V7|7rrSsTrr#3Y=K_Lb`%uE$u zpP>N@q}u~n`}xNWL)yd+(qgmU*=A!W!uYDd?En$ENNS_2qo*#v^*ETYAEl$zd#bR7 zb{NTKR1gv)n1)N`u(nzb*KZom0F(FsqPG&Z4FZ3`I;mhYTP9HVNwyR>h()68jVPe@ zm*lmp+Ed!@GS4Bh(ahq1Ot5xr;82|1u^LkqjLOzcS?d_!st}NUw7c#BeuhotdxYb) zHE7`-vlQItE&wATwI@tr+VbABA~{(xWTLEA%uM5u;nom1e6y3VJt(_B;qY9lE+--b zZj2kpoDCC0v7}#g{-|8Jv6q*tb!@iG=PZ$Uy(h3(O;nL>y;oi)aV~Dhw^&VT|5F3G zNwpB98QC}Rxdk^p21Q1`tR(wCSs)$cc_PjfQ2Gz$noaBySq5`6LZ578uN7jdCBjJf zzXSCy*L7fy$fLUxRH#+>k=V(je-bl76GjlOlzWV`)-ots!g&>8qTQ|xx#_^@Pa|3( z`5$S*Ej|{PDKbKXh-V*u@SfwOU9{vrDW|b^G{R-#bKtq=yeh~E`!rGJb|pw1RC&Pd zgrRE4b^A8JsqJD;KAu6yS6k_3FuV|?&xjo?KDnCf1Yr`y7nxY%l5;41tEzjK) zVe@I{@OUrJcA-9jC&rJrXiO%++QDRy-jKR~w&EzR7FlW+F)&PXYO6#T%BoY}^$V61 zkWpMRqDR={2vG=)1AbH>-z)RFIG37cZ53`ZweqXJWU1ROWzav??^X0a#?=Ayrg-LkkSDe{#Ue8#65)e8OY&(c4`lEr*ab zSNB=5J*jC0gOWQT8gMtgCtJKc>nDj4i*b-T22xY^&j_B*u>MZsK4S>&wTLefW_3`- zKb^HXh`-w%eVXj&8ci@FpEY`!L#z_TuiwJ40Ql97Pimv*<-;X9n}~y<+67 z%@IE25%o?f;mFEq%zlG67^{MKB(hxInmzP!{Z^BVm zcO5){-{_b{W)ZxH5f}Mwz3{U&H&Gw;Uf{S5UkM&_Rz259k?2f+ zZ|ok%6M2|$|GThQj%fyX!Ol^3a|M*So)mTW%?h&8Sp}41=eG)| zvkz#Fl+d~x3;5J3B2fa~;I6mbcY#hScj(1;^EbtecV zEJN=l?{^dH?}rM!d+*!q*Fz*ZzFYs@K^%R0d5DeT{r&6B_w8*0;CsEA^ZwM;aqarJ z3D2U9^9?p#)9=vaXb<2L*QxiD_eB zVQJB69CxuhQmzm!?n@G-SoT#REp* z&vp`ms? zV$xN(tjBQ8#cCn~I!}pd%$IEqNS`m?Zv0xIK>c^Ne7}S%8bHz&&FM#!MG@p-N7ln$ z=!3}XM*_=r;?-hhA_B^L9DkV#ac~bPvL^mYsZOVyd^c~tH9t|RS%r90;R8=#S6LDw zHjV~oADu$)AVkZyNsQJC9TWL3&4 zehSXT$8=S-whSH}VKzwtoQ*A%$3(I~8(?zkF6(gtrwPQ@GDUiHQz2F`orBkN{fwH% z$=n2!n<%qQtgKr{6fc`(TPE=7oHllwayRc1Us>cJ{%UcpSOATamH3u&SNW|n zG0dsJenpQq_Gv=eqdzC-%3ox+%J+47{kI5C@Rgr(aZh`ngiw#kT7k;P8XbV|txd&+ zA=&L_mzOH%b9{M(hY2v*PgK3gR{*T?_NavuCnRw_RWAE%s+Dv)qm|@40lJ9?Uf!2S zJlm^N`jCOAtICn55XX41uABnlvk8!#mRIPu4NQTODn;~V)8VL~ad+x6#~`*DklSUOZ;H6Zs zofid=DAIaKqE^M+cy9E!qTR)nZW1`%Oy3L#8WcKI#PIos6EB5dTk5td{hFVuaJHmg zwr!d&-24vpXB;F+9SJ=F9<|R?0o+dxx9YU)ew`lmmRApPPGe}kpQd{enkpc&8X-;f zDgq)xk;s7MN4+eQF4ENx@9Sm#GSq3$i-2mGd6m!@#a+d~f6taUs3=|2bkBknDo07` znDM}jab_8CsnW{mblFJ%FA?RadWic*jy(7#CqX@JtBb#aEG*>k#Y9_zy)S#3?vS(M z4@nU|c?H|8pPM%P_-4rkRmGQcOB}>#ZtdXMB4Dqo_5dY&NNgc68NCFk9ylbYy{nvV z_}dqdq|Qn=MgxdfPwNFxRInAf&Ct1pg}fG6Cb<^@x16+1pTsQ(C#1oD@9QBqoqfGm zZiT?&?fpU^s3AudoVxNcQUB#fUQqb1EP?;pCxO42okzorkKC*(3(!j3tVEIU#x~{Y zy+w#*>z!bPEc({c6nMANkoy+W^u40fLP~!{u&pTKm?p;OSquq^VUpk@CtS&e_KFDv z{urB|Q4JM6O}Lu*yNid9tS~*7_{js~Le!CNox=t@waHG@u)4Ly9~&W z%0&tBf+z*Yn$q0)DJc)ChUT}ACdF z;S5b^Kz!GnB8XGI@-CrGVzuu1_b4G#alsYcXgiGsC<6sFVllegnJ%+GtkR{z8kJ4^ z#LIc1M7bl_+{eD{I*Tm}4}c*JNp!pPgh>O>dCj<{;$uERIg#2G z3ZGnu@TT%I#7t>6zR@rzu0gE1IDJ#vX~b&u@R93?XN0a%=X$p|!0;?wHX7rhY}iOR zU(WF(#@_$rM|JUw#S=^5XMP$>{(!uB|1E+49*FmJ`m5`0?3eHK@u7>b=-b)H_=70+ zII9Wg7A?Ud`<^)&UHm&$#u)P`O;QxtF?u8vj1naoYazt>HBTT`!orwAv}=&8oCH5I zcVlW$GnhW@;!QKS6I^yTrU|Dof^UpDD@o9_+dfEE?Kl3mrWt&&Fr*2mwWVi_nLkKo zVfLi`cT^J&pPzBplmVt|W`0OB_|=7>mpnQ*z^}0*Mq*=G-WG@<`pNlg!HDPHm}aoy zGS?v40ZyOARjDxp46{pmN)6&2L1Z^^$l+ z(+QW*3G<}r1ThwwAg%7(bWGu?7)8Z1){*$IELYcSCWqh!9*sl3&9Wjx3zDf49mMl_ zUXzC{Me2WiUHdKJkZpq`8nQqGx867dTSK*4ALP#nu5{&n8*Zq##1=&y_Q6vO zT{<~|a=k=uQG8KU<_}M&qGQn0$4M{V$Tj>rT}CW`T1xyQf^P6L$SMGLjhghL@C47X zVZ8SGd(`TpAVG*yzWVdC z9`_kk$zNnPd#>?9a{E1YZz}9-ctwhA{MeC2%z7sZFg`i8DT<~FaUuuc`k7;k)>uY~ zE~1Z3iK8({-~U0oy~IRGs#`q1rU~vr&s^oy#z7{S{Ki~>0l^FLr(wI7K`f80*rF8ZO6sq z4iWcxPSZ~=$nHI6Z8byQ8S&rz_l$gBcQp)rUt@0yj?e+1ixa7VPQW@GijJd`re^l&07smHP|Je4^<$!9bvP;hVuks;tj zy2v{s9lvk7*S_$dZy7nx=d;tPQ1ZF@L4xu4IE|MpGA#9VI1%SI&ZfxtM<&| zCghh-nT@%|kppY#BK;}HYWV|DZ4CBJtfDDnTuSjn{P-Sh!z!T?fvlkn8K_fBu)Rj6&YDTTZqp}e3uuxg z3HNt*=t}6c6ya~hg~~zWhQNj{O`wQAF2A|f3CIR(&2yQ-k0TRwQW<`8q{gYI4iwQK zjrO4jg9=5`%8Os<&wcWlpl)#(FllgZxeYiMU?1c_ zmgg)-utYy_uJ{9J$pajrG35bbo>N2FYXTp?gXvHe_XFI-bGR?05&c7b(9ccxIns`s z`GILP{ZmD?nNQSQU;oN3X6^Y_S1DnyG2N z-#lFbh^NB^8rakw&d3u-kf*g;14uka#sXM6-_W7$5O+Y)l|5QtVITtCicNgbC3p+O>t7^nHehG211>Fc&HPFM|iO zE4nf(J%UrTkJCIOgC&#)6~LgGp|N&ZneBqr%|wMOE4R!0s0*@x7w=F%$w8Q$hR(pu9M4p`6f{GbLVX~LMg;Ne|a+A2M zjKYdtJO6Tv>)8-E+s#9?azl)D4XY%hSFB!^Y7-+uFCC|&_ z-NG$Sagh3Kcj2Q9E9GoLYu>r5(EZH}SZ`-u9^6zU^33;F&&M4voKM~D%V%+G_51>> zqxU{fQY)iw0G|VWp$mJzkq++8<^sXVXbt=}3sUNh~&92iLe?u_rudw{H*_dV{e*B8Ia7G*z9 z?jsToZaNZnZnlqakegG%X~|eLWP+XhsH=Zv_=U`=;3;w}dSz%VI$v_OgOl4TrDf_4 z$%$O=dU&N2)rs7XgyX@)ZZ@<9lc>!SvR>CR^}@ofGL^H3B8n0a&%Gz9EYgPQdeXOo z__0%;+p2G6SPGqrNl>ouB2?KnS~{(i?v+AHFm;55`v~2M-1N_)I@>8M{tmzSMud#R zW;(|Mv=jNWiCwVgOK?kk8#KZPE5sy|-pCeAMVgLOLdxH}*M@feye~2zRMH634DHm+ zcz<^NvP%^DX}9AGSt%V}vG7YJ3~AbvygcXPp4Pn@T`3j%8{LSWMmYCD?_7bv`=bbe znU&%9gul_PUPCK1K9egnFYIH{PY6_~^XTb>a~e(s4}Jzt1$^f-Z3&-FC%C!k-f5f) zuKY+p%EQ9_gqyIkZ{TCF_o<~*8?bu#iL5kesWuBjSwR>%Eukl6edukCV&*?yT2N=Gt7*yok zPM*(CIJ^((0PdUSCoZ=?B5QreVH~4nj_N4B=iKhZdVKlFWpE)sHh(vIZAU*gpL@Pc zx{kbMU%#sLRbSr4TOFQWbDyPuTF?Hv){c5?jx$j-@==^?t_~^Gfhi|@OM{~04HZy2 zw80{yg;9+R`4}Vp+V^|&7>p4w#}p}z^w*KhWIq4IiX6AIalM-S@>XAuS~ISEG|N4swf z02%~R8;ol7768J437EC>R{GaUP8;Mj?78l_`Pu2{OH(Ah-;X=q zeJgK)KW_slf*C)c9=uj^_S>GX>mjj5&48iJHyeZ1oYlV9$M%(+Z-r*qtCXxU+-DOB z@{a4@bT4MkCYEa&Z+6}UWLUi+ue@&z_xqOEuBb#ag;lZ50R9$$*qeWiUP|DPS8Rc1 zLkNi&C}A1c$#8G}hSR{klB1*fFxJq-(8mj3vH8}nfA;Y=y{4TywgBkU`1J;d9Q}wJ zLVvh?#bEXyMrSr&M31;~^ixM#>UkzUE7##q)@QokhdE!I zqcd#=;I;tTsPD}OwhGP$>y#JY;k2^jS8_fx2c4)Z_eMTDJtjWag(@Gn7LBKe<=eaV zhdn!aOuV*ya8Nj#0J$WNd5e5blEIQSW(Iq>_?`8=YSlGVG=|b(p`*93DBB- z5g?^+71etuzBjkH+Tm$^_r2dg+Cum6AD{@L+|KMYf_e4DEv5LL0=53SzN>hA~F`y2bvumNOcC(wJAgGBnL z#;9>RPUM4%lBVb1kA$e;wrtik7EyT%2eoa+PmK^-<#$ z7d)Q1^v|2*X3+tn5saw!Rgm`ej^K9U@;V1@J2M?^G+W>$_Sg-{>rUEUln45`*!ZWm z#!LPU0Yd^{ja*m1ku3ix46;{*2aby^qzX>?X?!EqlEHJeh@i97RC_J%iJQze{Z;y1 zls&_6_IqH>MV7#&bgokClDr&A*RSh;z`cdM;Lmt%=Y2>cmfh+#Hm6C#iq5oJUzn<# z1V|a}*abOa#jNBl?dit_|#yR`9liw=MQNIx`UDJLoRawa*&_+b-KjEXB z)Nm1hSp1jvSVJ2u&Y?z0j$L69@mR}H&XadQ=b^c!l`h9k7RUgC@@(t*J0|djM}uxw zaddct=-TqdgVKJ(3uLI~R?Pkx5#iFhmEvBuyN7ljM!4r>rqpm}q{=59__GuZHp>ny z63jZl8$36hm!A61%Id%}<~OhGo1MnMxGbY0c~xxV zKk+Y%9&dh0RfCb%L2AJ%?|z|2FCD%I8hQJVHV4AhBTblaEm3f8^rIj?rIa0^t2Sl3iRy%+pos|)*xdYb-`-qtdq`A)! z+l$C=r_aT?aC1no$=OyNG}`KS*w1P1=1$aZCA4$s9o36CTRL6W>Vq^bOBdz;FXv^R zwZf4|GXp;f^FDFB9D?XGzj|}4zkhJY`sQP-Sl($VFj#vuE+2wvnf7l?v|*#$NrNN1 z3W7LkuL>JMNB&15zht>B1asfXu;00yfD`4%{x!%+T%SNQ(yt;4ukI&j?=d!TRsS=2 ze{ifa9_yv%eMk9o#5m!lnVKZj$UP)H*2H-bu`1(FWdVy(Yl$ccv&M+NcCWer0!09eh?5zFhNQpy&?+DffY-*Oo(BsSwP#_$f?YdTV2$ z_ap8uFaCk+cOxJ8%Xf7j_}6zTANbqXPMBvvYgM;*brsac?#)YnXW-NC&OnRzu7;}b zZ~RZlN9)TPlW)rZC9P{*)N}@302->gU!9(jSJqcGe!egHz-y3_xwmC)Ft%-F3eT3x zikqS-d&gQKq_$Kl9og1#v;O=(`9&tlS9uSKukvrgbeXxuv4F_5i%dUyzTB(hc0dvM zUyoazxcUxMl-|=8*x>yR+@wMCf9yh^o_}?|Hl4pej2+uX{IrsHi2A_f_T0V(Na2Z!I`U{0` zbx0(Jaooxpb&pOzJ>M6tom%{mq6C*})guAV6_|@v@v#uKiF+ZRX(|5?Vqjes7x2~# z{G}p+XK}vP^ngt2r}7_#T??9&cIm|LNTw&;JdFuo&xpvr?VJ7q{`hWH858YnK84I% z!VRh88Eu3%x5yJ6_X5|Gs%=VUHd8;vs<1>P>t=+7CPY#Hs%me`!eg#SB z_=}Yg1gy%rdoe%VDS_FXTS$(6t443_((byGP-_{^JfPxEP4$n#j^3^eOQ`=qG-d z=WiAqTc+w)gNNY{f390x0|C{O67~-7`*oq`ZEgUl>uH^O=7CZjeJ!2Tt~a6b zUpL#uI~??e9sIm2`J3%0v%X#!)=bJdepiLW%43QdLN8H|2volMrb?vFI^_noou&0$ zskFtlUc+C@e>cVK$DZzFVHgM`8o^C#R0Q9idwooF{t?Y7H2bcC^FJ6Ga0085Pze7TsA$D@az z&qD2&#TqZp+}wa$o4y?v=7}AvdSOp5y9%2}p=!F`iDsKGnWjVVVs?iK{nXpH*@%R< zZ{H9{5SFbPBt+hciiJZz!KC%E4FhwQ&_th8A1Jvckpg9*7fz(hNk+6o-;Y@<#bwXP zIZndHx2jeJsy+0WFrPcoiYNLRY)*#h*PTCcs{ZUz$mSs$6t8HWTCln#`r1rjBo+y3 z`FPGE0xhGj7#MQ~WfIYyQ3l5Y`n5`U361|f{KUh3Jnx95QGweiMz5hzeQ<+xm;_Yn zSNt9NL1MQF6@@ZUR5wR^J3feNnLckBO@ghc;d=A&Awx;nd{LHZg7*stu-9s~VWNP$ zBxf%GhN0iu>o?v0;@|$?r-*vR`dSSc)XaJ0hzZ|XS z&N$s2Y_Dk~q4TIlDZ@~Fvs0@Y-v~Y%4QErwRj%@(boF52EszfvL<3IVcmm~1M5G3`R<1e5mbuP3ZGKlXuYP>>xd23b_R&0}%Kx)>rYH#EW zb%&fVJNV>ds6w1|lVX<-nA_ZF}0?JO=Q5t7e-DWNu=ilk1_BlWP8nMS@6{$ypQ zI*Ts;3S6>Yn=mP2?ag&O+0ez3fAIai*o3qt=^t?UxW9rlA<2|~3r;8dCyoXJhlrsWVs4LQiM#rtEd*)g}oX470WwBo2f2 zAu^K-j)0%8Fj8!kW7v8ope_*lvNbAMp5+vnRQ*$5thk*|E*bEh1Z#bHeE~D=$lPy3@(n0ZItpb5ZNCw(< zc6ka6YQzrF^4<&Zkqg9*Ba#IlQDUMIq82dRPvMj28R-iWQv+?g+y!C?fY&Q>WU)i1 zLVRMjGrm-*T>w7gbf5~mm!8M|Tfh|lCPzzqIps<| zc2vAVe0=1B8l}lyb!p-_@)J0q96Ktclp*7HCH9eb1SL6GIBX~=C`71V{$Uykc!mge zcu-ISG*D3ApopNHOdXhwZCsq3O&y&K{?~y4D+?P7Pz?zRcIw2zbo!&YxPOL%hP#7? zg8Co0ko2WW$|jf4^GEDgs2anOYNK_$+(;8Q036{-(GU?Ge+QSZOZ3BkAjh6BTgYrA4+5_*2aZog*W_{7wkPE@6JRalhtI ztKxk;@jM-)?RIO02RC8X-|q2*Yj%=1lf#*Y!S|6LzD79nA{P?gcDv&p+WE?1RhDp0 z&6R6*9z#dT_tX!nAm^4kO7@__V72{p<5qocL*gWs>TPkp1@_?*dG;BCsaC<&vP2Ae zux|yvXl3on*J*p|qr6$7NT<3FnO>vhX|1fL0P4uphAWraTT;xxNS#wvGlSy~U#Uf} zx!Hvz+EUDI5Jh!FRzX<&jAh&9u;Gym1z_BRufbWaYE##;!TgWZ1XJ?1YaX!-u1MQa zp1p}Sow9D)O!BlV*HWnVQ0gewk#zG9V*~mjm%8>-O&X2$vfp(r`@#zPB4iD7<3^)9 zaVqRUfE<|1$id*x%3R9l!xQI97pnN`)7iDAb9NwcSLEO2Q3pL)Ms?k|7KKZ}NQU|I zX@|KmEZyZMR3-`D#-n0OGAkphVB!|Q0isK&%>8N3syqn-Cz5%svX`-N$#Ly z8^=`=B_P!rHj$^C@3HEdE;unl-!=-t(y0mQ6xdBvNR#h!x~4Bok`wZh$>$lcMv$wI zrP$K8{C2cw(~DDRi>}5%pO@@vwn#};D=#h9Vhe(^*Hb$ifQ(Fjb5mq*CcDeVE~;_Z z$rjME7nW4sa12Z54U5|q$yw)}DJ?Xn*Pk-b^3yXx@r8h85GgXpt7J}i`8k$rta!V2Z{Dpqs9oUf5Y|8q4JH4*}Y!tbZf(1Esr$1z!_Fcd()-A}J{TW#0I+V|&YOPD*KYr?D{HI{I&O4vufr-K^Cf z%hsC3@S@wZP>Owdf#}M6^()w7EUEO%ZC;-IT1bS{b4ih;6p1RTH#e!xt$>$2tK`yK ztQ`HjzyD!@N1_Nl7A8u>tFPNC*CECETVWFgm<>|;ydnko+(cHz>q=8EEUK&ERu|B0 zf_^8Y=uk!z)5gc#xdK~ZUk<>;r*sOj`rR$0VsBm0&#@UsRDy3#_>4c>8`@jmYkV}QPzto}$&^URTxDFnhR zb?9~P-=F4BXiz)aA6koXEJ_ZZ#q&ebpqo-^8W}rP&ky4=#jx7BXPh`VETrJtGe+de zMc?ezcu5II!a;J%*=={_+*HP6Jehc^+BwUwSAi~sqE|uRiGA&6zY;%7p^;#$*dp)nWBeg)kE8|$vx49Ox{e# zma%luQD)OA*j?K`ppk+)yo||W2C6hkwkh`7o|eRs-y%~%?^5Mt2U$}Pp_MWOjV7Ol zf+xr04)$@XoZF!@9E}2Zi5M767vrne1n!5 zvNCaHe(h_k<8&oI>O2&E9J;^vI<%H#+mLNJ`Mn`li=;S_T;VS-)fRX<6*Scm6SH~l z?@xHbW!uQ6xSH7!sFQ{S(dOoWMDATEr`Gz?a}&ku`3%5$4w7 zV(HO+Q;3hUo?ImSC1PiwHQ1SMP=wL{OKN<>JejpmQo@CNIKKZ)a+b!f2khNEPmdrE z3LiukE8t17vt%$P113s%iUzEv|J}_YC4>kvK7f6g$1r%hjUG5=t*cF7EDrZiL>WHf zaxY(aJcHG*-pBWH?nB>swXOJV?!BU{s_Fbg!(_S5OnrbMxvEy>*dHPlGTN-z3HBjN zbik2XdDfC^D2c`Wmm7eKL{**Jv^x6FjA)ZWFOj4xbcuYLmNWfF!-Cz(+&}F*thSD? znap_@Vh*1pJd98fCA*YDbkajUd7q8WPX8Tonx$n zPa6P5R3T67{;NcEaGDgqGo~J+7KKL)u0HxAaX;VG9?6Tl+3J*kW`Z8x}2H`@RVa(gwU$?5h0D%WMi&ldy3aekZb{%V!hS6LbQHs zF+D%U$-a#2;Ixw%-XVFlTSn{(;}nl_-KsO=>hF)M+~K(SrY|Gd+B^8(4pUywf6}Hy zV&E4Dl>%T8$8ETEqcrK1X1|E|>*6X1eubyy&=^qeeu*$F{3P3Yj_`z^^l&N`M!t`# zgn+Fu8=>E~{SWFy)W8Gh>md_ec43G&xzjpSZ|s+}hw38~k>FvY9#JQ_Ded87o1 z%B#`9C*eak^xru_zIF$_Dn`ol2?bP{j#eY0{_Eu8{vycpxqXv2G||82g#Z*+c7JUDQBv zp5$ket%qH>HE4U|V z>eJ8>kuc>}P6O1f7P4aGI`xJ2TERz@KdQ4&nuy50-(^1+XfAMGY{0hjmw&eJRguk`h` z7{@Ew1OMn*v@Ffy7&AjHH`&n*tfFVS@7oCB&U6Jv&vF}t!WN;vLDt_A4{ z$IATvnuHu{Mk1Gio>WM)$~I7hp!X>^+92I(YG&a?()8bfZ+`lP1-KsHx^$kV^PI)M_@-5)K;| z6L2`NS5~2=Hy@PHW$PMB%-iYCDDbKp6GVR^ISMMvHNdbb74St8>psO9z&148BG3s| zkAcu6#tIR1ijAp|m61ijEt${`S#uJZ{zKBm6PljDW6%l6##3Hu+m@as|H|rPi)hw_ zuo?^uL@aTcWoUEQn32Tn$tJA7CZ`ox1es9^Z4fKcCKHQ=nIkQhM7+TnD-E5|Un%c`K*$>v20ncM1m+Z$tkr~bhCDD-_K1>DG$ zpU7Mv*yoO$pd5ct4DbDEPCAu>fm$fI5(bKt$BSb#jA}Z7nlg)tfJ>qwgqH~sCz?FM zvGilC>g=E~6p*+wENeQ9VJMXyLJADh6{v5XUD~S5($|Ec_Q04^8JI9l)E=j|$*!x>f)jk(PO>x(S}mjsvb8fR=rs!qnQ z_(CU?FU1jPwfuyH#F$40hW)rsmtP3xmr31vx>FA)@T;^G-SkRKzw_aY7nin-Eg?R5CHm_Jm~+2WHfDX8T@3ERGptL`!$7KboBreJmYB^dK~_P4sGv&bbjgN4Bp zYhuWcCi0_(tauh&7C0N0Y6q;6;QRZIpX$gl$Ca}~y{jp0qCSs4G<4?aa<2e2+{PHD zuVm9-l=|5n_~0)5r^QMwky+FQ6lFtBG_v{xMkTm3Gx|^%31D&D9JPWX4EsR3iDe*9 z0nhZ|ha<$V$DI})njxVZUwQ?z<^np#9fPcCbp?0a#lRfX{ZXBlyVOV<| z-~sa|*M1DyED$J8uAM4ms+V;xUPt0C%`T$Nf@-R(=~quDZ!sekCWuaoqjC#Kh%pq# z5LCm$ZY(=yfoFTz>1oK3gcDPmU%<^_wD5JcN1|Pr{OQ}mhabzW-A;qkhd|)g0r$(0 zQ}^1|@&=Jy5Y+eST#oEXfcz6l(HU%*fzieVe!Sm zL>wA*3rP`@>6|!3A`6fX#IoSpwe?{_?a5G(QB(g?aJ4S0KAMZ+b8^ihFT1I`6JSR& ze7E)SJTt0=Aam0WlJGhJ+Ne>{y|`LlQ`@dw@lhC`7YbRxbG4YD?iZvpY2j3wO3C=~`st1Mnw zcq60-Da49Fw$hy6(w)O#u7GDkF&;Ah{@F+g&&+_5Dq3GCvwH9ONu=-;uebwvu(SyM zMBpAr9}7TRzr8q$a5XyGTm%6qTJsY~{ADtY9PiF*g3be?T3bB5@<&ji?I1GXGX-mB zOsu2h$N*bsJYB)M41pYwuPfctaY%i#pF8cwpWHL$|J^J@&71=qK(GoKc0Lh+S$JKn z(V(L8tq$7`3Xzky`QyQC2Zrb5SCOSGDdurW?;QJNev0KW;~ZueHjLPW(1cl+^i@pn zB$NYzZ0=7C4;GOh_xBl9Nbq?^Bs>iYgJ=d>s%%8T18VjRI@^2q~)}_F37%>hhwop1|Q+r)aDX{T9xQA_z zCDVBPttfcDm!#}zDfY0BSVBG6WRLi&4-WWwZN8_~73x1-LGb1FwyrT?T&t6hBjwF8 z7Y#fg0&$PbEaykjmRCx)wn{Rpid>xbD(B_-ccaJ&HmNdvja#O3loE!Q`36JOu^0Rm zjp`+OPEGDUvn|DRm)TkPf4M9~*E@F1>_RA&2?L7@y2OEs(Wc3WO9*=mcKlS&Hm%Uy zgEbU{lMNqVc-^m4sy*NLKPbmP_j@BPGrYbYAI}3h6B{czzOQ$*yk0M7A1!da-@&@y zw^iS-vO2W6WAHbwByW17k2MSPz;Z6GW!)hk7y?F+d8AUYetMtmuy zV0m|uB8tBZq zw34U5S`Hp8`S7x9NEXrK#aB+d(GDOBHj;cY3oSGZUos&wnwA5R=M_!?fwM2*!NMI8 zF~V@K;hQ{WIOX`%OBH=*9gt1AC2mYVe9sVJ@sFhd?4=8CC6v0MQEgYp_KxmajabvP zt_^Vm9srq$YWw;``!T)u_NQ*YO(jrOPn$BCR#dP&^(ZwX-bs=QHXyP!@ErGGYyg`9 z*>g%t39x3FJ0R%6_yC(eaMGH}+Jj`hgzviwFwvZ29vtG+@Pnu7W;zqm8=XC>_>yF_ zT|GUjb~wUxLYfc`3+lyFQ1&{Bf85|SILBtT1s!mIueiwn2^rR6 zyNLJL!{XRmV1?vzd+~!fPF01x=aUa|UDE1@KEizQdHRyNsX^YgRYwm{-H8X3(L2%= zX_$=;m$uz}Ob!6}M#HCl=mJ;@z8J2!ZzH!WxT^&DB0xq){wlg5D2*`j-2R-w0y!c{ zALuY^_770bfK|VT`D7hLqI)de_LVYKZLeDs_pbH7tva0>H5Ro{4&_{PKLp1&q1bq@ zE~L1Z6qiT4{r2henVMh!_y7UTd;9hQ3<^%5S?=DZUG3@Atp&26;ll`IxP{+`e(^(l ze1gMKzW4w!-7elkA>SxHxUD>?e$-v~-f!ED!A0%dtXOg^`zI7jagT4Gh?|xlIGh$J z585NgN+CD;n1+i7gp{WD5!JxrrB)ZdNLC#w1xCh`rK@7g1){B8(AOiBw{|;i%|2GgLIib&D zlMX)Q#s`v@f32{{oW{Sed03z)s1n0Sio#gDWh=NAVyt+!<%TUo(2=|d4KL{NZN0=`ODqS%jbQ5 zaKB@{?@u?R6R~_+ad?E(p8#ldpeevEEc5`Lzf**e`v4Hhl&U)9ED_isOv~djdwMw< zxr`sP9O1c!h)LDsaWaO2C)(Y2bB{EGf(sc{vcd zYMcp6g}^d-98PK_0+KE1RAm7>|GeIBV@aFgJ_cG;BPAQenDIGvyWDKs&do2)MiUmn z&UK+7J;*uPvA~beL*P@#jwhAFu$k?JhM8QJaz7=}H1HYM{Ef3a$i@1y0hfXQK$U4% zA3v(-J>UVMk-}49hijQ~?p6Ux3nfy@OL2qN0cpj~-CB+drhR0~$xXisHOAc?6(b$W zBjDtTjMP=XhDbctOAyiK1u0bmJX?V|>5#zONDSbja@3E9UVxq~0}O4`qy4HE$y=`gMcA6a;hbh>&j8oRQK;{ExnZJUW3|2djk zV)6FpID=L4{ptKX^kStZN4Mww+QaeVq~zeu#h0gZW6PRn3vcFQp#AOb>h9XcmnZuM z?#2d>$HoSZl{NIqX|?LL0e8i;Yrx#`YoeAqNdS>@5LtnU zB0a2-)PmoF#$Qq*sHR+w==gFt#MD8WqD*pWxZHTRHSX*+g&I}ye!r77Ls=4Dw}H4n zW{8$z%u2eFGzT+MEYU<6hgDi0tN?_U!~silBAjG;3Dd=9(FA#}Tr^yhx^PXuNX1lI zm_k!rYMlSi9R8qTZkaKbya7>DHy4Wy$p&K7l33S4W^^Y#hCH(+{Xv`+P2zsCjaXwN zaunrWpexlrj*Xr^a^7U{-?rkQ_Yr-!2jKIg$dwgUfT!OiF9XN=zllV@>uh+qDsQGk ziQK+gceuT_cko;?c3euP&0F4BQ>=xzP#Ljw%six+q7r1Pi~pcGYyXz*uL&zs_yZ6T#uo8QRbKzrT=I&mDvg-H(r zm41{@gr=!P=18s6p~47zC$TGAr`F%+QJIZPYKd?hs?n>~;qkpY-_Sd~?(ETZ)sE{p z@Nj%>8+^im(ibxDB5iN;9#Lhem?d7FjJEkO7kg6hqfCBFHU7m45<(rkp^O zggDG=2seY64NWQ21JW;|28GHSKqc%@UgGp`6d4$?NTG5Ca1bb!8sToSyf8}Rgss#E z^{dP={!6WbBkSDqCg2n!KMgh?lw7pVi7Fs*=!y$YmG(aF9E9k%7*(2Unxp6pIQnD) zFa})r>uR__)B(i@1zb(R@G^9ue;V6L{($<=*~I0iQl%XY0N{b{f1gbl|J!U*mA(-{ zGWH9WA5a`a42~vHc@cp#z+w#gvmuD%N8JU}0uLi%ZtS+av^c0L-<(%pn zem+WizGq~4KaYRYD!YIv4!cwJ?3cG&7+&3KgzBiOi()UY>v_1++ZZ~=B*Gb7s(g10 z+A&)$F|5}l_n&!F4~e3wPvOK%l?RzK65!?vazi>R!OgW^~}5Dc53f-$Bz zx^8vEZoM2^UwYqXEk{ysoX-_RJ33T(5!pAopBE*EkFYD~%sEYD4aZw#ogIcaa)Z91iVrEFc%Ez2G-+G>jqOEAy^=!bjvke5h3yjH*_=%i zggMyKcj2(AH^kFGvyIlZ2ssVJ;8e@mF6FvLCh^@CX@qUO_(S z3#oE8Q!G*bjDo4K{-^&S-(>ra9tu-m8S<0L!UXoOxPH^i>V481+w;&$l&-ioXG9_I zY+=QCQ=_YB4GF9iS(0sBW_@}oQghqP=g>s|M%1C5IA=VWhEsb!%~$DEi%S)48r@3D zJgDE}U-AI-Uu+wimid@Idz6*g<_nC4ai7Zmy+)-`9rdN(%=J28kgKU(Z04B8qFMLY z3$TS8*{ByD6Ukb(}t7E zpW9nhIBOyUZ_{*S)Uyp$7C3DsQ@C%vc<~J**o|W+&repWe>btCfeRNvL5^QhpWt=rJf|_q*1e7Xqem{t;RQts|M-OG#y;l3G5PZ<-t)7%mujD9X4v? zDs3>zDuv-DhUPds8o)^9&smrZ6|O#Ag^0?r=oPf(@gzzF72y`2*P;`hnJ%~%q*5lh zqg$4BJz562haGK#2J6Q^V)v3pgU@E2}$~d>q`jKB~Qb_X@j)~GOsEiz`uBP_No{Z9Iw8is?c{26g(%p?# zmNCHzGZJIkn}x5~?@*#MyQ>Qv%bgTt2$f1f5;&I5Tq>CzxgE`dV2iXDf|nJUz>+x@ z^w*{GoN$`NFQm{q!sIVGE=IGMc|TRi$%73N(ugi96e3;5!^>7zL!rE>p~(u_EnGmW z3O|as^hJ0nSW{q*3`(NJ(r_*tsJrGF+QysfDL5OB8iAF~$)~wJ*(I0zY6J#|1?!F$ zWzLBx7A((TTNpgeOc4p!{)R55cZqfl%%AW{nIm0HcbC-%AP4#BHDu^7#|V|Ndx_iR z%OCCPX1JVV+je-XIIX71_p>Dw07)IAt&~>srB@$s<#V>`cqr(HZV&3m@dD>aT36a( zn%bLYF1=-OUnGHP^4rQQPS0C_w+&+|oN}0LmDd}xQ~FLtenAz0dU>Vx7T52cMVYgX zLndA+RZX|$mp!iMPFx|wlz#5ZDIpElT5X4LuPmvf4y;X_&doNPFDRQ#JoSR2ampGi zs|PK>(7f(`(u9=RDYCZ9QkbEpz~I%H?O67AszzF9!`ZLVWe z!p@4s-0T*Zo@z>oTpWM2!3i8CoRaghh!MPO;!IBufE(!}SjHvS5}PIfdHKG;9P)ap zH%?H**2a&B@UWrsu(2H6s-BHq&LR(zP9#~{6JLZd5baNj^L3~Vvs$Lw<&R% zWgKajz-YN*ROtn4@@Nk-Q;TvmoDO13i`r1XNnQ{HqKY8|4&w$MgMk$$ohve-Ie8D(e;YdDVccVF>@_;SNi4uqb;qAI9TIvKc(5VaQ7#>T4X8rPQ>!)|X84U#Sm7bC|aG zH0r^PUL?jMmZp(@x zA+?8oRDa5`u1?d)IswHRD}-;rW^nBjPKE}Ob|Nr$^`=o3;iGcxKYhMF(Tv>9CT|CfYOpRcU#dl^IRK8I8H zPoX{sQ(w~eOrw&Ceh#9Z9s^qG{w^lRy&6+^ANoU;V;!7_-{ow z(u^QDw659CAgWNqH6|h^&uYm zP)hh6UnH7QGpmH&gQ(IK96frGPt476Bb5E9A0wfT07j`Npi#$6;#-w%+grK#1ES&of;c+`yW zl(4<|Hi5EL4?@qa76lcjaxI~U6$gB~myVzOY5z;OBT9raW=wamost{7>JP%G&T7kF zbanlK;{CwWyGC}Pb`x(L&T*GPF}9PStuZY?24pvvQe(rPSQ5Y_Z~h>x`3xm8eE7~d zuhXF0G)VuW%7KHM_2GW)3Eb^N<#oA#uIx%YMYG$M8+$ci>#02S%tC7^C#{O8#t%Pq}Qw1 zI}^gfbfoYib~j8%XO7;0pA3oIhl(vj*!Ei_@j_;|##g|TpSkxlXEkbFpz%{!2X3G4 zs!dzEgb{TFke~`Bbq2|S`KnVDGW!LE3^EGw1JD_SBJRyeC4BdHAj(_;?HLJn+F9eksI0wBeRf#64W%mcDW)ayHMDu78Fw_N-%`xnPV z$zT6ZC=Q#!8s16BbJZ0Y4E07&c(?sGp#<4nQ2#3*rj;KJw?xW@Y1f+|X}SqwRoID= z$K7c#yXDpQIoQmf#c2~hzq|Ka9`s@0{nn#NaV(t zu&p4^)Y~DVAdx|gLDK-5<#aST{I8ANP^7&;6r|CpoRn}T{Y1rSPoHR*Ie5YlGh%jrEvQ&U&E zo+awLVCCVIA|5NoWMiP9luLWnH7SBg!2CWxh{fCC1fOuDz-iVXi3I$$VO&4)(h{Z! zt|S7C>xc>bnu)*FA0a>%=ay_R)H}b_RUxrWkDV9bE|ARI5@2*g2(o;3(u|T(x};4B-0Bl7xi{ z)nU3(OW=lz28eav9^sq}_F{_v#Oywc1F9Ix*zP*sO+JO`LHh!nW{X{B=FjtEvCHC| zpSVqc_~G9Vj@LI`OgXgr5zWqj=;{O>bdH0 zj9)x%EXp$4&5mOBOrizkzML54&zAXAFlUkg*AkS~6UK!=Ai$aBa1Ox~@+38x5r>9g zTFlKq_}HB#R5Hy|h1|%|$wb6wt*{Z#9ET<*0XqVET99by6X?f}mspy@`R6?%<;x_w+Mr($pz!57rIrZwI4!KZewGL_=(Mp2U4m*SMd zFd^_l0g;WB$mM~Bhs!P~r1(3#GtSvCB%~Omxw-HQmuJP(q^5|p@~bwC(3s!}Bd*MyHGUBACsKwSgmAswM3UA- zVq4`kPb6Ig%;o~x9_0M;8`A_m@$P8$L~i;VCy^dZ$Vx8}aEf5i(K`c5%qHwsC2;64^R8LZZltw5r)ysqU2t3@*H$juO{= zrwSxPxZcp~pPVaZN$s@>>HhDjQ2PA3JDC~|vvfZ>_qsuu3K=0iRTs0VwzxJR<95g+GkvgAgub>5hH_@{#c$*8}^*;2pX@q ztv=UO0C8-08ZSJ_{y#>B3+dQU@4JdzBs3cat?h)%to4o_QLHQ(*LFs#!t;b=8}~tq zp5B$K>)hCTzI07QyGRszxO`lt0{)~3EE1)(`Le|H&&|d`2!`KQL3jW39?jMduE^07 zkE3+FG41@5uwifI;gp>1h`{!&PwOl_*Pfx5}m92r10#eMo!o z^x=IVF>Gr-Ir`&DAjNU65tjV|L>{$#DA>fS8K| zuR7w4r?=y<#rsELPu1-q1$Cnvh~s*2>x2nJC>8=8a5t)#pMN~E<;b#$-mP#q07<=<^5%7#K(yO-Q&Q}c;tsxx))g-eOWZ< z<(5^9{QRc@>`qY56N0KuyJKv&$F=Dl5uwkbBg)L1u5sGJnonpo#5^{e@5R>0c3c~r zv5ww7NSwI7vDoi#VHkkQ6nHrG#?VMt8L~u6D@5J#E>1_gUA19(6ABxt%OCrup1L~1 z@G`n{6it5KPMsi~Kvt1cjM^()`<$&&s;o1DdRY1ovo!NCn4HqtBTWOl7N*m52B}U+ zc4d%|9HBK$O?-wBOq7(k*U6m{=H5bC! z1yIj1k9oR|u|-}u4*zyog8F7eTyh(@JbLs9P`{yBA7Jq>a>Z0P?_ZLxc4f!OElpQW zPrOY)er9w{efsJ418j@s;_m*jPv7%TBRg_n0vsyAdGC-c^UKB$fft5O4EA4Er`Vp6 zkNqw^}`Zu_NuO_`UAJ>$(#una-I(Pe;VZ@E|NW2;VeZn30moaY|7u7irDN=#WYl7z zdVRyBhsM?85PT58&lfmPt^+WrvJ=4m#(x(e;NRH2CcHbSICmH$MO`-1Ir~3<>8q3S z{Yjw6Ut#l1z#MRFZuP;w0ME#AksmYq?5wO9*={c@`!%Qf$-d6=5$%O4mW{9X8-$=? z9wx&)_)lp2Md)|hAeI4dV0*I=BIDvbER-@3cYow2OqBNiKr>;lYxd)^8qlt?5H96F zU1dWqj=3Dhqu2E#S+J$+7s&AaxMx6zfq!4aBoQ{RYrMP8np`F+*a}6A= zEP>pDI?m>sOF+VZNl*AiPNSAlOA{skg5sGYuLb4(?=t0G0h!8i`}FVM%%RF9LQ3ua zee(V%=;XF*Yj5=nVT=ABAZ+IU=3`Asb2iwl(84<>f1knTD;w&vSi}IF#mvDKF2fXz zp#uo*vuhcEDxLYn8g-9o%4c40NC$haP7OP>!N)-nX9ZnmV zk0LH9s16wLLmQA78H+0toiVbe_$5%78U9=7&(E77N(tKz_(A~MpDitS^98(#LFLE)ADxmPavDA19P9UOs1#=qvV8@S-d~ zmW^mT(THD+T-xk1WklAFv-sUicELw2_tTK90pFcNM!uzs_z7Q%jU#O5fuFBugB;Ro z$%`kKfEa*=VpFW`?qO3r46VMOyEPT1g;iB}QT>QzU1>38ZCNQv&461`Wj#Urn94YN z{yOt<{E8cht@!1F3OrS6q8-r3zmJMk)eRh#&=pVO><+33p;J#^7Kbi7lm^#}6!uY& z8;tJ}=N1@ZOBZ@LBqi+u8Y;4C7j~REGizIB{tdY{uhfF=<{b{s{JRr0PdP&!7sUfA zU}MvabdkPhsysc%I!dP868@z!Rv?Os>1LsSMK9f44Q9+zIHWjU+Km`B?N~H;{jHQ7 zPQ{Lon(%lX6(|_Wvm?iq0Eu*ZnDVc~7a4w~Zfi2Y z^k2YNKE5X&U!+_aKXyPTS-&+Lz+P(-y)Na`c)xEx0Pje@jxR@`sCQOy_@DJE;NDc= zUR4P47vNDMz}R=(x81q3As~1xs-?2LmAL;Eo#)*6aQ1d=sjgX3 z-Py@n_wXRgp^2sK$)ru4DT$qtJ(+me!K3m0a&P}|U!ybYV-w%N|KR2#iQNUfLL#Im z#Xsk7n;gfKS33uOx@CRR9fiAvl)NjSOE!{J2;u;@*w!+oaskCdOs%AbtL}AKPI4e55gq!VCbS0pf~Ka9 z!2nwem`oxRxumLni_!;2jGQrq$_CoCnz(<4G*Pp~)%kJy^Wo!FzvXFe;vHIAnhz>*e*L(zC0rg47Iy5Ri1fFu>(q}x1I{0$bEJ)90 zG0AR_Q2Zs>k~l8~?m}qLlX%oVrkK)@mW#<)*2anuz`!AkLlGVUm@iRgDx@*jdL9W^ zB{y-^y!OP#r45dKx&F%9rfn=w?__W^IowR>;GV;68WZ(?u>ka`Ro3rcumT3cCvg}< zS*q05tcB-~T~Bq*vhCpJn}d(nJ6t`|&M3pFzJ4)t2AI&kPE6MAK(#6AX7TK+EI)ng`(pYfnGtyN_=3_3+_I2TX+T%s zT^I=n18|p8N=Dz{mT$YCgMqJ~-b7zdBKpqCEf#8b385>bK@Z7gO`zIN(|Tk9^si%3 z#X9X1Y~rEDK}^Ps!pXJICRUJ$h9(&kBiqB&?e=s~;0x+l`pas3(CDe*#@VRwXh$QC zN~(okWz58F4?_Y^oZtPYr9LOHjEk0SF@+G?t~aj>X`3!*qQ5EYs$E}y{xfbE|Ao$q z{qp)Ueq-l<6DMH(Z*dbmHzhH^hYYgoolPi4X$8Cta+1YbT2(A0~cO?buGvXcQX=qgqz^Qzm7L*0T<32|4QpX z*U2WN6WndvaeOvG&J$fIkqUKaM(lth)no@;bf4Z7$xxb zOfkI9NYTqy7d5z!f|ZZR(QK?vZd*_nHT=*Z@8S;Js?`!17qX;yDu9RQ3w|;CGZj1< z4Y8DBX%SIHy>jU|mcMQ1DBv6w$w|Y1h?=rWGWI{TBOD<;vLt@ZqxpX@58Hn;kMrD| z#33Ji@ZJZPaJJsqF1Z_#(83mKiB&@NtTcQ)I5kq{96|e^kLQ%>%j$kN#TDJJ69coG zit|nmT^iYP-AD&5Th)X7&1qU&cMlp{+u^5Irs|0;rXQcKzc$cTmYj{v^uRg)qJlt) zNl9k9#QlLdIpO2!;tSG227&8a33ockX+nUo`H+G9!1q+Bpn){PnW^-$MCG+UOO!{< z{;|Nh>zwfN?!W(;{_%^X+CztpP>lKMbzbSWgEY?jQ~egAnMw7tKTg{|!8NmBqV+Yv z%>Qp_BWU=UC%ml5pTI)loExiUgoIGi!U3|7kHL(4$hmR|Su0mmF7w=@OR%>|qf=vA zCUwbvbi&4C+IYMAo^*!e$Z-4UO_H(2^lg}syaFODgs_Ns9C7fEB>!YgtBQ(rn4l|4 zUXBw@K7e;UP{5_Sw|wXSh@bDTIHZ|h<2Zo)@A1R_-wYJJC?EOz=?Jj<@`myOra{xi zR7(r!O5z!0q^}tmOAu6RU1D^mbG<4FcYjl{mU0!+e5m)2OtYCs+=nyum&&-2adol6 z+xhmrbbPn>dgQ}?m6LMwEGrAwgZ-S7s>>Q%5;`-nw{WbzjrY=X6Ps}|a28wgA{at% zp?}$7!YBrwpd9W`DIfs27%?i0R3R=46M^BFBPnVTaH=cG0sy|L4}?%0(=4dS0;I45 z-ET-hLyy0z)bwmJ*o1DD2#f3z9Hb!27We)v6hL1-Nd!MhiMu>AniS4ns8>El6?bmL zqW(ljC9gR1Ph2yfl33ek5%F`r4 z7r@gMW<%PwZ1qr5b=3(3YJpYn1SMsr)+@v~)Y??7J0x1Sue-hLjnrzb^TV7y3-;wB z7EK-NuWJfdk$Fg*nuTH+o5vP>pF4F(dhWBIn2#_u;dYFgijKhjBtPh3Za93VYh!Zi zEOZA7YR8}6(?ymJ2FGz~lxlYzsG~I~exE1^LA;<2wJ;qfDRB9$xtaZCoXoh47SwAk zy!O;}31#Rsgmn8L2^rLi1z78%XcmobYL(ZJU6vqtiS!T9#RvktjEArzhVgKgs@cl{x%}8&~?v>cepY_!YqUuM#-_mDG2s zWoe7en({TRn}=5m@z~7W2i;4pQU_k&>P2k{)ki3o8AB9B1yPUP8~JwUX~W%Kp}BR{ zTs1j}4i^7(UX3=x{gUZP`_wZ1<>d8wet&=e`nms+Sn$%)qH2rQgT2MO$xTyjd-gzk zqs#j}GWWGKaPYJ=H5dE-zPEL-|9pD&5qhyPG}loPy5eeE6XVn4`amk+0tF)ngrtZ5!j>+EEmoFGqMCc;sWL=TFW?DR_x1WoiVY6Pb|zHA#K zb>CUqJ}nH;yR;oh*3Hb!%Ff&$M{DV!wYk1Vn~?mG-_hRE!r`XE#k(G^u(S&?(=Ls! z)JDo`tb+YYGmQUB;l8GJV`-^;ZXWvOnVi6(s}L}RC|mCn#C z&^Awu%We}7b|TcMt^P3Wk*tvxMP+aT1V=%Rn_tsD35ga2JvW#TDksJfbq1;3Tu+Xa z8tz)s%A%Rd&xE47L3yzlIo=0hA~U*$K#T~0uRxMYq>sJ(^lq}gwBQiH$x5JC zHV}csO>tRA6G@ZHuel$lbs1om)L{Vd^p2OR>u-JiIvr3W3V|rL1mG;-%XK0`Gx9PO zg13i{i@Q%Z%G}h4YgmVC&Cbp&nk%pFj@A!efV2I_#8$@86^@Uauj>P#TThRV^i8^+ zuEa=1DIi8(vhx+ZjRFQr>m>b$#;&;s*KOu{Tq3h{0*^hF>!6~vG-9WLOvbNLAOLm| zd=)vuVLiB-I|dVf2cn7(`1%yzC+<0|dyC_o(xRB-XKERooQyfd1p8rTb5<)DA zNM7UN7Xifn8o+*lWDxE)QPwoD-9qpvJS3kZBuWY$zEo90kjbYd4nA$CJq>7Tmj!_1 z370{y_;kTI>|U_0#|`lXf=%U^z&$L}A0rVmr+edAJE0f9TWGJbMPbd7QJfaxN${t1 zc-Yrnx?Z(-K*SP2d)Tm&L+1#A;c7-~+jwz=UPU;&T~6a%YLYpWwZr+wR`!&3%*w?h znfG#Njx(EJTRn(csc4}_{UyJpAZErmM4bW#gp56_PvW)qxcx?GtOKseHt0Dn|@KcK^@M`=h%v3jfdVuY;w7r<+KO zb-+E6{jGt0-}Ja1P6Ff+YH}ODeQ0FIT((KM$|V-$684A&9&+KYT?#S~k&7zZ5WXEA-tT{cK*a6vZ0>M>H@kKEz@#w&wptr} zpG|$eN%1wgy0Wt3@$Izu>Uym%$`%hEY1ocrB92H7392+?=D$lS7l_n1%ToL@@v;>l zHII-leA?Zf?k|VdnuG2y29`2fA~!^hQaUm^G_|H(lv%lpOk6u3j5|4%U5YZY-rd-q z=Dx;++m04LPll#CI*M{T)jNMa_OG5wGC6IzU+7bg_7P8L&Ndwt%1~J~XMh95n*`MY z_4qETnBloGfE7tVBFtKyh3LwO0{D&AhsiR$Wa(fG#_@YOLD`j0!u1q1IkdX$#!R#& zaXIWlu8r~#X!$K~yw5kqSmdVaBC$0^)7>1Dv!!H2`=ps*qpEC|t! zy@J`2`(n!!q=6HS$TIg^BeDBv<12!kM(_0aQHLRFC=}myPAm?Q@S-{_UeHt7a=?7otN4HOgdQm7bw4D7u5 z3(mT8TP!0*p4mx70Mfz$y}b2m4c%a3%_La=2wHgq7Gi#~P5KON_Au z3@!c9@ z*2%1RPOk`?9$Up=%*Ld+EXz=2`XE(ylEy$x%bQL?HZ_uxb{~Fx@WH&prPypWo>cfY zXllvxjGZ1|6_!th!_EBcl{QSzsT4>c-GFjOLYDD^-7r;aS6sc_1~QRTF!{v!q3iR*`36A54CPz-+NnI z0|`0WuQ5t9v3K7lb$+{To?qWvu07k_on77D4MA<&B8xfKlURzj91r&sS?o4Gtl}3K z;v>oQt`L%Mi~lOZ3zM}lAFxw~xul7^n2y;d#pZn=j5;=^R{=--{B%SCE(mh}sMrSa zVdKNI66k?Sa>8ptA)u9z@bGYM=){)cR{wq?TprivpU`pPP*vLjnq9G1GPw261|U!v zpoN!(52|etK9V6aI&D1h8EiT;A`U>R3%U038$MG+_aVMYF`<%SMsi2ru}2Su4?D1~ zr018=OEL}j8X`s8=hH($zjiU9ief4L7Lqt4i7O|3pFao4JI*QepGQeBxa zHcL7TM_arjj$bvOLvz-09YEKmfi3TB{S0L3baRC$e~Owv$VQJPb3#V0JfC0f(~2&A zWMuTDC_;C(z1_b5(x>oZUZ)P;BacHaLJRhJRiawT$&5eMi!uUHWyYpVzP0oZFah}JHIe;ax#gSHLE?iJI89Sc|2aPee8eS zz9tMF*j`H9RGl54KyB?6Rop}v;5SC`xkv)(68u+1K^}S_EN%)rbLQHrVEJ172TmQP1&hz>yk8vxJ-*4pNg_gaEe$Zd@ULJ0BI|X zvYkf*%4(<>`68y_lJwG+ai_k?dipdu}~nzbKjYQ?{7l;IzG3sdcqq z+x`9e_&O9R|2p?RT%+gxqgK7szn<~*(^>T0Q}th3ZPO>e=P>qZy+lg2OtQ#)zbHmAI>`9>Jq@L_aDFCisrgtdcS4X zE&wLzJ(>EMKN*IZ-iZIM0RA6i=M-d1yL9Wet<|<|+xBYPwr$(CyI0$`ZQJhE_UV1@ z_P+SO|6<0Bm{C?7z++JFj<@ z4GZP?L91S9mpmN=m{p(~H#Ec4c5i~=sRuZ7R&z%^lv%u)>+p8@nngD)XB6lYPO}e5 zuTZ6>tRDR{Ogu;MxjE~WWFu$g?s9I-$z)0<14q2@ZC+g-m#^niYRI$3hGo`<=j%c1 z3$317c&@1lB?W(c7_Vs^Y(xxZ3AfvLm59n=4z3}x>^HSZ<0XJYHe)*?Y3;Yqd^WQy zms&js*e@;RAegUna$-6nb5QWFH7a5UG8S4pY=T?rtPr`ApGB_L9L}}%uGW=?WA>Crh^3#Sgg|9*p zU)u5fbs2>C0CNR@K0HW-GQF-SLZ2Yq%#P8TKuq+!c>b#9tUx^+fHfewq`UXWAlw^M zuof(UH7w5RBam*hLRzv^>;9Ol)%GIRKUZ#It%eAwFK#qZG0zb9KS!TpQ*k3zNvqIZ z5`Cl!r2(x?r;2h^9d%h`2LbS!4KrN85?baOcmps^GazW(vVf79VEqZNEPW=|kfVy; zd~n0EF?2$EIHDK`(^0B7!h*V_R3i-vw+}H?BkzA zF)&tdFhns?PJYkhHKN!Q>oc-CKLs?FtiUfO(f1?jb-JDmv(UyO$aYE1+|)u5;bFiX zApZH7fSti@x#YxLNvhE4!3>eytqh^qjJ|?<5AT5L;7TG} zc^jw9gU$RAp9f(NHNcF#237$O;q?_2z;VTcUvQ#01nA-s=(mxbQNQ)}{>WodN`SmQ3Rq0=WP7G|E@nLNCH zQ}2?Ww_4uvO6HC=8`WF5%wfX`-?f2$kQPy`LK7Il0w> z@!i`Qhfi{g);QkVEh|$`$jeHTiTe&Oe??P74R)b!mSpiABdhjD*WiNPpO@ zDk5{d#K3|*Z&_24-7=A_Rf9vf_QD>7LuCB!nZp1itAp-=rTFXpnwkP>f|{g^5enrR z{GjAINpqKzjYld7WhrGI-V>ChKf8^R1Fn!J36wJ++F+RbafPKo?|FYM^y1r{t>X*OaXVb<6I1Nqar*J`oRqa@71$C$7 zX$e&}a!F`CIQ;$|m=H2yMY5mQ9)%hn*uRvw*I3c6Av|wae=P~+V#YqFxqlXN6fSY>+gQx_iB(--J+hO09DqIZ_U@TGN8vF5cXMPg@Rgn}2|z z;0}|uLGg1?U&Rp(z8k3%M%M0kbp{HZZZtOlQVpvmhb5r9eI1ne+Z#RFceA1UO3YEd zGk&uQWrsPvhxTTJHWQJt?tzq$M-7soQS*xH^v6i*;lc>2Z3G$BSM+O-5$EN>?h1Gy z9%+{*)zY&W>`bHQ1^x$oM~le|eCCU4=&rBz^Kvx~?U9&<>HU_b?ks2FmL^{I2dB|( zq<6je4(H1dFYl}K=WS6?8d*4=x?-VFHNi5%?SfMTV7<7$eBk=!_VQpj;-YHX{E@Ld!!zVc zOZKidfg1N(Z+PuEftnKvd&X0L7*6Xg1ngPb#-_<;ks@3V5ZKw=5`w^(i$C*m;JeSFi=s(h9akMyIB~<^39q-L(y@o=ufZfu@d4`2v+rT?kX6xeEb2}Dn5X?C z+eE;pwR@SK0&tbVUJ4JD6GTD!OGA%P*s*Xpcdlon%N#0u9V_R(uD#4y{6PI5Ww``sgCw1q7bT{ehzZ7*C{^8 zubJnN`OV1!J0JC%6L_UXrFbAyCHXC1@)wU>#`AB^w)GRrRN^=z?LXprtYV1^V9-Cu znE{IVN=?kgAiPB?L+Zdh8C$!BVB>wabXrVf%JO#3WbvRM z_2rlM_5Cz$Gp2wL*8lv;oM=?9)Ac$GHs%WnbyIA>`6!Kqya-e1#i8z{y3T%Q$veXGR}!UR#4 zDQ(EnrNSy#=L)FHR#xZvexMn;$`efO&l-u3L_;L|RQ0hvckcNEW-hg$dvA&=?ZACq z2Myg^>d6ImPWP2%wov^n9Yb~?iU$M2+x5$xvt3a4*@uktS3ya$e8X7nCu`9?z*XR; zvyC}kS~pF|0^gj_hd3XZqdnK$fcUXl`eY(~7R8fh^xi}4gNxO~A$)VVR})beJR%A~ zSf2f=2w_RnFNUcA#06FY1IZo=gY!9%yYw-hDjKO})adhF0sl7YLvfM1ByHL5a5dss zk%4M1H_}*RZP&Pr5kZ9&9J37^+yv0nKu`iQjHvsmtq&))ooz=CN;* zzcZR*UpUj}6oE82Ou{unfixBkDfN-|D}Nn^wLO>Wq|zK$STXT6f(=p^hiM7a|E_CK zIA0<;4mm1bYlKK&BBcNb4;gu(3v#B4*}slSp|ZmA{biK+Jt6zbRCbG?O%MiUyDS(S3khxCD+qzg zt9=HXhXAamhJ&gTDo#+I7xxW>x?Oq<2e)xJqIlIKcg|pflA$NMCs3@~=N^+t`FSu| zX7UN`{lj@wQ+adIFFuK+>_XQ*os<8npI6d88`=!cxsZ%4{+M~on;HMuRHm7t%4qwm z8oQF-=Nu(5&E_?_)xiK)bqxDs=8wNRM|xa5y#(=F)j8Z8>SvwY}Jk}4zp3c*WfV= zjapy&W1&g};aPh}PD1L`!D%ZQv#`6k*jt{ya4Mn(3StESuFKxWXiB-^xM4vmdRA_| z<7>Bbb4ZE^yD0a<`G)=sO@4HAb63uR5c_T!I3TzMt=ZSO1~FZr-MUnwYBpuFYWjM*#kxg)Iv# z2858k`>u$t0E5zMDOn(c$4F7Z${%G0MW2jzeG;ijcF5CprS^vXC1w`T9X1*BCNwTnpx_{-MnTyDVlqD7`rXaCv}bRcITx7^uRQxpC}1zbIH>ILyTU zs6kZ5)by6cijpxDrzFXa-lFLKFk(YE)U%ZGOVs$#(^=*t9mq;lH+mIj8Vs1p2{Xsn z3>1iAOe2Z#V8Cv1bY*-U4ybrRAjo*tr6oXPMy|jF6<4+vXWx#GzmH$(l8whzP+diW zWRz;kai*2CXvyqlwSiD@l;)KIylALBs^;LyZbbow5fqemRX~9e>lLfMW#~F{(3A_2 z&!)dOBY^8 z);^*nnTi}mi$IccB8kyOcJYAw1+CCBz7sBL=&|>+*-JPbz|qjmJ3~q9s0U;(z11h?r3ee7+KQ4 zbM$2A@x2Kc6JAq7eh8>LYwV3v4B;lJUPCk?ix)-UVV58Lf#4Anr$@u@_PL!WkkRSk z-y<*;+@oh_&N^Dz({d|O@t(+oWW6W@4a!Fm@Em!A1(_q{N|izvAY{sv426qJj;aGK zhtqgsh4qb8cX$`l*3k7(I^SDfQ^w;lqs>K6PN=X zA3BuG{l2Thg35$6!9x{bg0?-tbagTtT?p2?C@dSuw<*0(aEp zsMY9hia3}NLXS{Ka8+2@Ee)d;0IglQNM5U!2}DAS3#m~45OH49P4k}F^Eqzb<;h+3 z^+PQ!9Aj{hDU;B5P^?ep8%8+VQkxmR9b?B=qlFZYKKhPQ*>PiglN0q|_yYUyhL%@h6~9y|XCCbCZ4h&f<|3Ay?F0Ta=flyH@1f`-KvfX)X{BSHm7Wp3Cfj>H~0aYWIDZk66$mOArv zZfMh%e%iO-`e6HV<@k1au}$W|GN4mxTEW(0PO>1V#)Ap31C@sr7Xe~LM965SWj^iq zRH#ouF`CJs{Ba4763h>YXl;xOkj$uGq~v**D%Vu0Hu^&q(uRV_oE@+Pq_Pi?5zYm= z1pvl!TOJ@$CclXm9KgF!@5VW4UCygut?(od-5`b;0>3@5aPYoyve6^8s@?rz$DaG` zX1RZ|(B6&xRa~lvC?9O#@M2VwT!tvlJa%pt&nmN+e7c^BR zC6uy0$XC(^dW|?QjNo`oPGRX!^GjZWzz^_c= zQx2#H$|7ob0hKpmAXWY<`u%S2dhhy-%2j)&V`r!LnXfBry7aLXkFV=T$?!cBApPed zVouHS;1EfTk>8GspWXvrW>k@tWpHd^kfx>NoTt$ep7^&rgs{opIX{uAKpcJJqE=>k zcrt$tNh@ElC6FCBAxhol^(1O1#+n;wU|!4ZvcSQ4IK6zG%nE1<^Ar?uFGFD6$lb-g zjmNKBqy)OY_m0v~F}l6$uPxKf==TL1oF4YCy_bm>dq*uCH?5hG36B@YXZRl7wD5`S zk2_?B0yP7$XkGsk`vv_H+%aCzl3_JtvEB<(D@NQ$U2SrH_z71r*z$N?_FwG>j65|*Ye>bJ=m?+>~HUXJF#e?~xq z(3}OJ23S64skIyGgN(W{eE;Wx8rnT2{KH5FF#mUD3e!I}-G}CPEKvktz;B;Fsjlhb zRG_UvgZ*Fi)2!Ol2a^PZr#)5!n^mu?;P>%to151o$a&p=HhYeq>0@L&soowfEv}ue zcCEgh-$uUr3k^e=ty`C@D<>C`M+V;RZC|b0I#+0oYqY;|S2i-YHuPcCI0R&+3(^wx zrIW0r<&kT{%;{B{N44S^&|v6B#=g;R!-xgAQSa34odbPN>L{j z3fjN|n@LjkE0g$B=v5(vhMgs=CGax{h?mXf64t%F>(nvdYlit$ra?r38lCq>vpj<> zd;X>jjEb&0T;MO(ykL|xp`sK3KB@|Wq68!4aZyNvv8)@zw20?@4Q3|8kdAnno;57; zV}+sfMZ&}R3UPD2_qq3Rbvfwl!6ajgk@oqZb{)=WWNhtVW5F5#KCqybrG6gX9R^Sl zjX*Rpe7@z4QkRJHlGSIOW@Wh#K72I5|8tE@kGiDhSGbu%@NENG>H3@K4aN^x)O=S- zTroewNc?w{C+L|V@mwp0;x*ueQPVHn!FP0ZGSsPkYYO?yw?T5=O5Uj)2xBAU+)TPC zkuj~MXX}M1%J3Tz_!zjD+$)@pQ;7-LH}K9|;F*~-@E%yR->RNbV(>tmu7AwTv-GiT zV@m14SDpnt$>S2fb(=)`%1*J$@fJ%BPxqe4E64^5f(2yS7{%_h+Mm@v#pIqVIWGLO za20z?U;`S2B2`M1GBrbV8UUBVz0id&DrOzw=7^{?s)FfXi6g;KTcb;J=db1>w}%?u zjihFB%n8L27ae&^Tg5PN99%z>b8(*>9*n*%b1`=2B%qtkDw#BNs&nZ6P7Mu3uBe)x zOkDlFT(Ic)s(-uPdiUsfZPd{H4A%IH*63Cm0Jeb9d5UT0EvWV_Y}PFw_%8h4cYt8{ zca!{2Rzdgg`Z=b5c8|<$S@i!j_jVm?2-#{Ce9vdXpef1J4CjK^ib#ZB_u(&ft$;Q8_r5afVKLG0o5g1c7q zYEJ=cR-6wf-N=niJg6a;GUlV23P<1$6_v}Y37cB9T|9|~OU>6uc(jWeP&Dl1<3YXC z#hV$`2wbVB{9VwVE64l&Wsc=sE2DkG-LB@tfTz{X?kWl6XopFtVu~9MJ6RP^DcM08 zKxWVGB_O}Ikbs1wIY47F)4(+We*ruI#Mu|&qi~9V=b0}SOQl}Zp(W-==EM(BzL%z1 z(iD$vMNi$lxAkxOssdD_%zoB3k6)!5jVcsirMx(*A$;O4!~gfIy1tAuI_77Yvi=Xt z^p6G%<2ijxECv{`-J55s>t9igfwZ5B^t_s;RXCgyX4r;N%pjO%4#ny#k2`XZPDE#H zh65L3HP@tXZEDo1y1YBowtU(x52c^9_Zy!kO}0C%v9b4-9XYNY?mO4V0~Q@NzP7o# zaC{jx$RcUt%tcnH7^osiN1DRqDlB1xR+6ZkQ4)%|ilYJf6BSxiN~~u>ME!jQkAVJ4 z@TdnxgKKpB<7U)6ZU}lS@pprOG~pCv#D_={gWvk*86Dq-n>wFZ2S+Od$L>2hA#J-t z_T;2!da~SQW$5ta`B;-gkWDC@IhI**`uqnuqyR)m1%!d@6&&UL3PUQbR6!?6LtMs= zt-4n&l~TdQllT#*(E`zHLPSCK+~H83R#OBxB9LOfM1&Y*HQ`(}JuQXg%!QU5NB~LI z6SN755ZXZ~q8G3UYwA*}D{QGWe@<3Pr@-@32G7&y!Vjk*=-sXg%P7rM^nW9L^T`C> z2%cq2tvw4NDcP0_m>isY4jalb&$Ex&buVwDPo5whf(SU6W%T^_BJ%-6iy8goVtmm5 zDwSmVM~VJailwEd7(z%-u5P|=oQ6cjDl9DZI+dvxCs#w2H~CN)enc2ue~aR1>`li= zc;%`MmJrk{{upNm*ZnltOx2^!VPaR;>%=FXZclno+DtRsO6A4Wm4}OqeFv{*uI@~8 z>aUfHsn^RDU0$u~+ZT%_cfO7-t!=H(Wt?*a?q5gvSq_&h;3O(blq|yetH0(?#UZgA z?4$?XB#er4fV)vmt_e*i80m9NNHx`hqT?t5_Rw^ba}|lQ9j2P zBjdr!4zJ7B{~jpPN|%x+4GIh)l9#W9V z4|Sw9=mr(s;q1|evS<_6iGw73vY$_8_&Z9^un#V`R=8Gusco7fqiayTiFe=Wp0K&$3Z|2R zV5v-MTt&*CVADfAEWX7#L!&s~Nu7lm6^%8MQe;nFfPhql+$JJP2{;^e3>`p#ZP72C ze00D9v2KDPcD*pfL_W8idWl4WS8C{QnpGfTf9u6ItXI8Sr-yqjz#;$pU#F%mn`z(g zsAu?CcRIGzlgz8jna8uUAv`%hxh!VD3}Zn5HU?w)xT3PYKuSB9_|V#cV4yn^xn20P z(a`+IdPHbmu)11IYK7o;hVwy$-kCO_V3N=rk6z2H0g3^22ge)(APLGG!(TVOEln)h z)-YOUtI0WgR>?44GKlEc48`;{HK;%Jfra(nRDc;0SM+X0#86B*j8Ee%Yng%NH~`)h zm}!(c8J^O92+kz8DEy*P+L7^W@icst@KIes>0SV4fKLGVqI_L{Ui@7(H$TcH;w~0p z3{8;J#EV=1a100rt<0YJ!h3QN9QYSt$B}6ihqI^&UJG(~De_uj7Y@lcB!LKyB~g*Q?1a zotr9~U*O*mceh*oR$p{sp(6;Po?%lhfo`wdVfMc7rh?c#;9(Y<2ugz+qFV2&E_dm!x3}D+1DTf?6&FF7WunvHqT63p)t#vG_W?fOWPAE1qGm z5@GQ>+(GOn53mRuVD@SFPw=(|EKhLz0SK-Lj)87#Us8O2?AM!v+ydCRLX%twEqKlz z@O3@`=u3b~+N6ORUswi4O!@(7q+y`JvIwaHuK3HoJ>j7zTZ8|bODm>+(P;h@Cc^&R zAjtHOE{UeaDH|-dA9vADaRN6loTkI&5)HEV))Jg4%YlRXm#_jz2o=64&RXqJ&fnYY z*Lyyws;M_M zwBMUn@Nlskdt+ynz$d;u?3~=(U*6)dK|CHX7{n@0Otnrx(~!h1%k8;y9P}{;0U5xa zRDX_6;~_+?mH}b{@l3JAFg0Bg>5xpYyHM)KlrIqav#goJ7qpsnD#m1ZPkI z;|j3^t(5~=F54M)2}sZ8mtEOA9Xxa*bg!YcqtPf6Yg^qfPq>}N5<<#lb4(q(6_lcu(NYM-Kyb!r0$>B*Lx1ncCPka?Oh#f*453GJX0^Xdj>yX1egaE z951{P{(XTg-V|~}xxqxWX%i%YNn8P-qH8s?2iEtbLS+0dAS+VbPj(P2qNq6gzgi@Q z)JCF)QJB33{N#}S+5)$AE3>m`Sm}#;U?Mcwf`0kyLwT4Baf=fop)Lkg=&h4HhvE#i zt)xmL8iKWJna;*dbnzw?%9A*R`XOEmqK zn-V`zG_B^vhlvyD(J%CqCBrWO2%4)~@IQbIqx{WwaYoVqc{Or&`C#;Ue)M*r*uUX= zl!~eUn>E$zPXB&^l3Po+=Q#5!(zpLr-?^i0OZ&4<9p|$%;hD{~V`V}nrzYcK>mKXM zP^qg|3I9Qqkd-GGh6nOQ1YR^gKz(Hhp+aZby8=8?NAn?LDbcNZr8Hhi{UI;LBmKOo zO9zpEKA^0Ly+qE#yoPKp(z80%d7dEQ>2H}>u>2$Y2)1(s(d}yAp-7E)v1vw7pTsf@ zOJ4t0dCtS6RaaRKwMUUhl4XM|pXkF?-7X1zffnONg&ZLIlUp(3nWgX@lplPeq0gYy za8X6W9gMBF&lhOU>&_i-aZB#w$U_jBhhz=)*_l6i&dS5M>wM-H56?^1HpEWRNsn~R zm#asuob4MakC$W(w^Ys5!i1E^W97pnZ`ae-!}{~W`~Og>&lfd8Q-3l_ivL47@gI#d zT?unG3-l-|%@AOMn5r-R zDPDIM@6)fg8E>=_DrbzE)O5O~{fgN-Mf(jmBahEl^f*CineSJ}nj2Gz#?saGZ1;lR z8FUFouf{HwG;4Z&A`a6bP+3I*FqBnLrU5XgwPG_MXU#9Z4VO`erbr@am|$2z|;XFWtIcf7%+_!PW`) z?!ar&)r{}{<+KBT@W^oZTC*8F6DVu>4nFoX%=bH#xSQNP*`!CJ|2x@<|By1pYB~XB zIuj(yRDu>q74m>i!csCtStXDN{~(-XY(?2o+=)y=GgU9?Ap)Ol=*ko5zf((K(TbU; zpMkr7epdWnTx?AL?82Fyvk0U|583Srv zmx@Tmj@#^dzHA$J`wX!6ZWrDhfd8T?ifoRz*4)r+v^;kVURP3Dm0L`eS?T8FPPDH| z^S44)5M`m4mo9p>5Q0?|Rp>J&Y|_gD(yd7gYcL&A*Fndl>!gP;IX=>>bk)rN^kwI; zbK2M2FTj$W%Ib8m;TK2eoyvMUvwHV`E2x^awdYBw*m70M9saalyy~dYp4&WX6c?q%U{8!fC7X^iwXrG2r`^Q+ z@0*$Wv0cA_3;^I4{jX+?f7~)(Woz1Ew>1Utyiz^5O$&jwtF^!&`7IbVVAQjB;<$Lg z1@PapJHohG4W!}&{yms6L9LAVJ#-#vg<+k~KrecrAoWMZyK8yd(|S2K`NxL(c(wKT z3jfRWZQ$|Iw=Q(&>;2MKHP_eI&GYH)d55pZ`}v~Mx6|kKZD}L*oA>?X0zQ{7NBaZz zH;m?GfK!x$#AODQP4Q9`Bmt{n1S-7cC3W&5t-XAPghW@Nl|F3hqQdKAN*)lm}UbXoLqAGr-WBPutXW9gq%sG2|s ze?|XP*@a%`CMUK2=V2Wz!sMD=IXxI$e<~#j0Up&PgQ(Mt)!KRe;PhiPCMER>8pj~R zbT9MSkA}MKcAa^>f-d8ZDlo$UV?sr9v zEY_eGSQ|I2L_@Qr0@17z`Aju4rW8QS(Fj~pWb9j6w+0E^LH#JTS5dmETTW^(cBYoU z=~@;=ui!vbwrPBj)i^v%peV>@g7|zrc>hj=_HuW89$4~4H}Q4lR_4oIQ>9xPxT*Pa zYyS8+p32?jXLA%-Z@o@WmI=~Z)t- zcKfVpc(`qN{`GTQ+qdWQ-a;-V_jlRQztDW#`f!WW55=N3)f8I(u&iiLbA$ecv=vU0`)`1 z4@bX7KD+oYH%ME|C4DQUrV9Wg)FcF0&ji4sn>4!CV4r#uh(m2ZShXEU z?EL+q^2Qh>EQeEcSaNt)Ug@D7KAEtC7GWsN7~+yie&7MbC?X4ojbM!J7y$5{Vx+@*E-@%jqaKj$6;wZ2mr%=t!5Z+v~ZI=hZ-APEh@w zYa2TPV*@fHDb+p$e+VF>W`Oj*Jam$xR__sbDp88ExReOV?pqL>=L`6#UN7eIA_rVi z-v*f%ZG}Q)(HNw;h3~w4G-e%jf{MSW$b7$e;chtyOcDUDpMgvU=)7Q!!l=2HrLs^) zE}31XB!W`3 zjKcg1gpH2z6C8qDy(0D7BBJ~X4)L9Hf`D!wKTHNYM0q9of%x#MS79RLax1Ek3REF! z)G&g=D9MI2^3I9?HSTg&env;+uty5&SRRf=i>8H-rcPTEj;PZ=fcPF31=RR zS7rD87K}9EKM5=rOpP!xav+6hw|OUZ!>|-c0|hS2BEzk7v^;)l(#UY6e3D4|83~hz zhVos>UA>R=0ZD*Ffe|4sfB88 z9pn-r-o|>qMS%wEnHmcd>nBX+?MKISB>&iyOFX=7{S5iKCi`=Jt< zfHRY-%RBwx!AAb>oy9u`|EIPul zPf#&-GCt`Ng??KkQrXTxxKWZZ#ITRFDrJ}8&c4++iCtNux`h&7RSy;yZWlq3b-lov0ViD#?PGA7b!v@+t3%Fk z`G$wF&(USshzQxCca*rX4@>d-TbTN@aT@!{H*XUA!FYg`&2l50G~?nkYO_klrul4w zQA3W={=x+EJd>{_^%q7G8I`A%Jeq~Hrp*_#xBC1kiRFXhqDo5dfDT5)GzcRIV4C^C!Hbp`W9CmCt*gJzGHyN4iF`|$p%opy!l^D znZ;w+O>u@gsciKW&Ia65Cr76zf8ZI(jPmGOhmz$adGq;_dEsXN24v}%A2Pg^P4@}+ zrlWBKH1HlZ47174cR44_;1V&+!wN6VA&S<0B`FMuF{vK}cU2IuCkZpC@cyFTp?Y47 zOl!n&eL3?{Aaxutt2T#o>gofP{A6#V)hh82wR}qZMLj>OX=2RUWIcaT94O;~J1M1+ zzg;RQ6jwceGmcpS+7!FZn`J=apKKktpI`cvhNxbAx zhDoJr4!o@VLt&&%Sfey5BSR1%7Z6a3;6s829IQZa0Md|f_t(fRFAfO;s66;4Vs)^0 ze6kmf|8T759N!C^P?ESB6G?_p;Z2KJWFZFB`ys6^kMj0XS`8m~5JBf?2uTEGfSuE3 z1I7wP!uB8%IyQz{qvj}s#sbX{LU90^l-|y=cM`ouNZd%}2S`Ix7<#1M*t@yCv#IsW zs2+SGw8NAjv7)B7p{7O#f;)Ct68|%tZug8mP1fM7UWHv_6v6gi!Ow(eWb)JElom100{ zJR>hS>z1F)!l2PsRB&;)jL1-&%)Ws;#u^MwCRX2?pNvX_1YzbN7tAko%T=e)+OaKG zR-q9SQ(Y;mYQjtnjIO{b_iv5ydNEe*W8F}z=C7sHRS@_I?%bO%BP)#|;dmKnn|x!Y zk_3w#C@aQ4CTpF%d@~w+i@B@Zq^uV{RiHcR8hnJwsg*LxtIF{*bIm@4D)#x2jtprA zE}yHsiEKI{Mb>;N0>SH!zhfn9{ z$IWC9rAm%Zs}Nhh+H27($sGSS;br<8+=%zc4+V0Qvj-Az) zLSswUYO1tFe&^0dtLf1f<2JR`QBoJl#7p9ah!E zCMxwEYB5!cmulMqMAi(@{oLJiS;DSsWc6@y(%vyPscTImHx{i~%eG74c+#x(;J4ow zSXsQC^lWRG;69dzYPoC0DuI%$p1;Pl^lI#e`w+&b*HhTaML6o%I1ca$#xjTKQ>&~i zxH|@MjRyvGT!;H+e*H92)Rj)ZlI-|cDGfJLnhs>+WpUMIVj#xLQ9enXX;N=vCbP93 zWe6z$CW`g09y6?)&`3wMdet{uyXz}?cQjjXBO%pUS0*Uao;P2?l?UN5=9!C?ad--w zC~{mvr~@pl;N<(zz$^i}=1#M>ws-f8$>ObLjdHUx3eeeGtwhXethQ=P!EtCaZmbk} zHd-F7*sl1g-(YCw9QB|Cf0#POe zAceEOGD`LkmC)JH-O&2Bnk6gK=~iKP}@!AHVc%Z*k1T?*Kt6SaC-Q5VA@S!+0Q}5q z3i^9$MNP!DZxqk2`>gk4FAU>Zoka*06t;0X5ks>l6 zBj;36u<9?6utOWajRU&W6UVU>YM^o4Qb=jEDeg-xoX%I1I1W{VBWAehtdI+C^ z`)WdTNc@KmmcAz&ac1{TObyEwLVS}@9J<`r)Tl#49@Q zP8IgSS&RB44ch|%_g%c2G+V09#)JXoFE7{L&1s$DYz}hMrlYdMzHB=+*9cV10eAb? zeO|61N$uR-58Pem*bcehXUZ`cS6Mj*kI_wzkIIQbTYa`TO^K$DJ8UpM@EfFb)i(`+ zRg_*oBEhpwzLzOQz3Aa4m>r1|Bbq{lk7n!J4t*3)K%jG^!ZK^?zlepJNQ`w4hXeD- zEO80m`#YM~VZrr8fG#<+oHOJZme#{TQTG(h!|U&UlhOMMI^MW;!j)zSEN4|5NmGc@ ztYWPlTr&}JBC=t)6b0Q}$a$hD6MgEA+H&N>Y70B zgx?d@m1mq>7}vAo$K@%mED6 zS7#3y!{EIO;-0LlPmdPadpAT8fP({LS7mQ`dw|`gP26{ERM9>B^8C-;&9XR=rtMA6 zY`$yZb3E`b%GPN;@73yEm}{#v?+Bcxn+A;nva%Sl7LY$9)o^^Kt7$@Zbu#H9P}VT1 zB4Sx{8Qw<`eW8VzV%jxWZA0}Wb#;;EfhR@b1I+)bQ<|ws1q{7mINyx9-nN3j-b~28 zZZ5n(KNm>>{5~tn-2u%WTEDN=+IktSB*?BT<(4P&GR4B|u&MlA)R32ptcU}RQ62_w zd&oq>5=pkJ#QdlxfvTITTwg2|1ej5mfa{?ffT6OmcA`5@Isat|f-<{VA2Mt)V@*je zOhY-N+U%~)knZmh3gtCSYvXeImyV1#Heu$|G%p6`P1wa_VRg@sd8t(QlkdL^ zg`SP4V`D!ZvAKV5=rjMLuG=|fE`qoXQDoJ8kg`PXHWE?PfEf+D%1IN}dE>|-`< zSo?{-q002vhVBoNDu*G^R0pbJR8j)*Bxg2uaUPz}>pS$u=l$jO?@cbRmzVpO+xx{r zM`*6k*X`Zw)7Re2%KiIyr`OBT-qw9h%T8y<=fUgV%EnC3$Nkfm!lGbkv*z#oZfl%gz%GL>SB+-n11AROc38}S+#zhNTaLuvd)S>!=G6qIP2`4o9tmwrsh>dRptm&ri~;-t<dZ)*%KDy?X3L0@ciFQ=m7n`L zZn;4f2g>2j!r>G2kselAtoDNwgsHJhq!Y2Bq~_}|ThT;+-#Nn_lw6Kn7B^kv7Q;G7 zoi{ahSvOz@@IpjLX^8?0BBl3+*T?x>4k@CLY!AYI6w7t_&>6y_Gl*pTp!FMiKs}6}Dv|hH(}XA-aFANkS zDytCS$7X+ib=?9BDu|qbj1Vc96Bu!O_*vqnSPeSWtJX(c0q0|GjT34hl#4hC6Y@Xk z^mFPi$qDDW7F+nwOA9FDbLI&s^J!N?YSOi7>)Rb|^v@VcxB!t|-76t?T>;*q6Of z=xgk4M3u$fQ-E-jV$)PqUGye19Ui(_NO}Z4Pem6GV{9i*(Q`yD+!%K_VrW_kS16=* z;H17*XDnn*tStl?*WBl5eY&<-0L#L`Q8Y;=jRCAOefUL&X+qLkJPAc%VWI1E%v9O+*{ol0r}`9_*6nl^f)Ds0>`qg2!hzz zv+fd7d1(UPVL0c2)f0f9(`AVh(~bF@t0blVV=DmoXa40YXljw7Lo&kj$0F>z?FdiU zWC?)jLpo6HD=xJant8>wO;ILGA(lh_7ov^t-bIzOAim?b!}ZUvn23CNJ~t3bm#EFZqF()8(y^6`?dvld z7Lmpf;5naLgWL$Ek4Hhz#F)Dk55V<J6Ij z!UySa(YB69_08Go4K4K>vKzwy(WD#FV~H%J7yznx2vD?6?T3E%Vdf3&nX&;NgPx!>OZN0$#eC+uhT&Sz`GHsBUz8wWy=l*M4ty&!mrpKZ7%A(fs9 zuqE*4y&msmc6ar(wtg{xvS-WkN}t#BQ2!cvYsG60mEpi1x6$Ogavd>VTiyv7vTv!m zxvbSl&ELL+6H;HCG4|#3DnITQg=v9(5>9!;2A1$+jg2G&8IiKSGGxOO{hxNso11PkMqguL+ zk%o2pDWP-UI`3ZGta?<+&EZutG6Vmi7k(N8D-=N*dzZ-|iZ@ zs#8Yl(U(uEUGMAhx>KAvJ_^mB#THaDNpV7zL#H7$XH|=wY%=6C|9)qhSdUMalVIjw z_9cL^*oqu^D>QSEl}JXC4%V4P2{e-TpyEU)t}PuUW6Et@)vEJmxqJAspQU#@9^Jj$ z_o$*2^^lm=GLpFd>CKkP<0U4n82juPEH`$5SU+%Jhs8-R)xG{zKS0ZRtb z27uE=FaY%dpO=bP`Qk77NF!=;xey~$5<}3Hq8W(C6*h@K%-{VFc2C>c3Yqh#B@XfL zvRdYUcEH5X{ivV+zX-zJkKx=YfK70j^=xmDdI~b0ahO~aBiN$&5ASz@?Aq*xqkVVm zjc&>@QOrq0sXBK!9@(UyySH9P1zu)_vF%04r$L8J)o_e;d%gwlTK?JdfM*b8i>Tdx zjwb&QNdS1)zTfFwL80`CtOl`-h)I*YU@-E_JQBi@xTFCJ4c*I`L3is=&%^tTOcPE< z1nm2{ysLE=PQWO8^P`Bc!pl&TLi&*@JbrPf;9(la>?sJm;5u3#(H&Zn(DcM+8?hS- zoKl-?LRbRIPq0WX4BbDN`&1g8`^*g6IM#?Opz9yiL9~oZJpgEAN6M22+u09$pNG*#Yx8A}8Z~G2>b<`I@9Y0FAwQBNKgG;tn^W0cd(*K!igM@Z zSp*{#dUKZ8;Xa4At;vP@&Bc+!M!mC`OE0dk(orbxiAl!#)1TJKz(mWM`-D!3u>yTN98lDzb$qJ` z2({#@DvuvAUeAkLItho3-%S}l$8B4km&MGV-kQle-GdRZl`C;o4T=ejCp4u3N+3v5 z3J4y>f36#&HZlZSRnxgd^Swp}aaF&1q#U=ms;gBDvwe>~-Hw(|6J(U4bH)%;Wkk@4 zfqsr1QHlhBg$YZdbq;=KA|#QAX^L3XC>9b`#UIy9w?PM~yuUbAF&qr!6>=QK@Ep(Y zawOxRt%134&cB*1q@%=36c>5ZGtrvoga^!W{!*CjkQdQt=aMxe zPA7_ss*s&%B*Q$YdYNE7fPK@4UADk0YhcI8WAkbj#QjSGTGE$HX2*?~?*zi2p#Wf{ z`_<6K+g*3R8Ct+>sS0k>uuMuwC*Qle?#eKaQA_pX0@I_UGufbFqBJ65VR zJBo8flHDw|hG*Kcpt%~{--066Ym|4xc7H;KEM%R~|-1syMT5Dz!} z1l+Ds9Gp(9g=uqU?FFy;5b*@ptj!awfp@$%`7rI|Iab#Pnr`om+PYom|ZE zHT?C;!eP$+ZcERPX>X5Iyl&Z@oO73*XFOK5?sAz@6Jj6oz>Uz75NiaOiD;8%=#hfM z8ibc?$vC%)xiol;Sk+J&4M!4!r_fRv)~J%qWD~Ds)L??~CVXG9sDx{|Q&IfcFCVL+ zYrTzH4zHFhs?r)`od*5l#F}5~q+QzeW5Czvt7UtYTN>VZZ|MK~4>4z~vW)h#Zjt>T z)~$clXV}bLN)0fe`0ajoh3oo_ntpyTQ#75zaYl`Ck}8RiOmacnCfF+9oY}N9mfXVO zZMiC)m&~0owY5papD#F63T|f&URSR-UeWr@PW@i3&X{sRq*t|CncjASnp|MvhQ$amzU8E#^*P4dnt?3Jjbs-(!S;fzZ3qxJ?jGN65Po0Rj^Znl4nQR6jDPu3S2Mgo?C}(n> z)$^yL!>P+|yV{pGJ#_4C^hu18k|TvX&smgHMKMucDBgxqvVj2Q(lH5`2cVHnM$#(9 zPY1XM+7}=lQ26k#kG~}#fslQCFThF0{bpwJg8KyAJ{vq`lOe@FLZV;>dy(l+0Z9n$ zzeoG8XmwSpRSa%RTyW2GO)7uvkFtIAEW%$ZVe04(C|&MLQ>*H;zqs7<#{p$GH zRqxAPTC8JiE4z5cG|Fy)&1P(hOi(kX+YJ4}x=tmjqNp^leM{zt=0tO0WNYu{+?V5T zf~#Val>d=i7jvx)M((|*Rij|FDTNu`CHz&#o-=$LSj`<=Te?_F9nIoH{;%)$L$>5@Vr#$*wFRd0rl9Dai4aa?po10E?1 z&#Jh959lZsf7niHfRyJ=clXlQ5?OM^vFvCD{AndsvAeJz2veAb| zB|aL`MWZn`6&G2Ug4OYAij{uB3Xi-=F`A#&t_^eTj8zsoq0hk zmWq$%_C=WS^G7GLT(7;~ba*G#qV*T|w30>{4T`cBzg@IWvXrwJ_hY?Pp+s=kA@<;Y zjsK7u*tI@ya6v{O= z{ub$QN#z*L?=E;2_5%*~bSPJ;+@-_cHoK1zTg4HMC|c3m21*?SPe?k|CG2kmR&R8M z-p`+omHsvt>R0<+9G##n+%XtLNs(*G{KM?_#{rk=l3elNATb;S1Lc&f6;|YnCY4Fx z@)?VtKcvcF!0oNhrPm1cKCLy|eNmh)sp)xtF7<%-yj}!b|JhEc=h`heelyW`vEL^?8ObLR=QFJM;4Ne2%PTlSr+b5 zLT0oQT;jk#g0X#Aw{NtbgYaDwNO@}7h(hp#^64!a!sX1yHrJEa**@vdYIX2ZzC=<`^-JV_UuFUm}O^h zdTYXzH09h-=j^!Be2m+Bq}};#4~>z3czC#M+-UV)_p!jHcn;bz?6C9isAb=9^F?gm z1NPhn>dj&MjPdvWhJSh7Y4P9wX~t>6k-@jC#uuT7nfV3jbC^5N{?Qz?tu${pT;m?5 z=RRonPX59Q-7}ep#R1N`_7_b%s!8pJ#W}I6DH=9@;4b_?~px9d%zzO z@-It4+jcImHQPNNkB=4LZ(mpV^Uu$&zVX+3J>Q3qn=Rjt-&dRTu6A49J;&fZKkllZ zG27kVpSxdEo6kL7@B6Sre(KZAc}+6BEE#8w3ImZHv`>YC*jF_BO_>x5Q=n$d^CCw6 z>hLw-HtVM#z7gl?$AU{AWuj-LH(;?Q3wiGN*(xafDmV)vC3GOV<+* zhBc7zu}HO)k@olt^_PIkHIx!WXF)L~>Q)Y|{bHSCixt6p*6>ZUcs0nv-c*%-$T~E2 z`JNkzPO>#_=Z7T&nfNj=e3~@Bt|yV23rHKK%lkn#?%?RAdaPt=D*-6e)^h*qJ?WaL zVkwy5CNS-lFdvV6VdH+tV(0{v?$Q1nNS8%kI0bj}^Gdv0ja(D$cL}W)q9Ch5K(=kV z^;p%gfS!!LZ@2V= zb1eonu7aW1<@t7Y(Ga*dcqcV;&IPavzPnO zu@S|o*+WQ*5wV1JKiv|i;bGRaZX-a0xwjKy@C1e;+7gWA+Va3!{j9=0NoHjI-9;Pj zlxGg$Vv*1%Ay)XtW#iTTaUyZ2q$HE!{tx;sP@X7#QI{j@dYId@VKBZf4dhd?b7nX5 zOG<7e8I)4m1ts~8f!xq;nk)ekR=X>}hQOMLfe<{c-{=r{8+G?BH1+dR@i>+(Pnnzg$05R@!Qpd zeay~pNH^cN*MW0*yYJuM=OABqdc-$Ob!_Y4K-ebzsE)ybIwNH{j_@FSunoa|hRbinl*%#WKrILuvSW{7?(=&Z z2fZdzy99+oeHOz3a28%NFtOzbf8lei&MHlI5N8k;R?+<{jAk@RHM5g+P=G?RrFShk zcZXpUn6l71b0t(?jLa-r+Kh4lG}qGzY_-l?xho5%2x@7t16r|C&)m>}FqLq&lIxAW zz_jw&5!_}XdW{fM>z3JJ;;*I)n;!2otr;)afzFZr{ddeDB2__vj`#SHFgjN2buQ(l zX`2P~Zy`qjFbQuaBYBxv$xe5uUEtTP_AfDkxH~1(Hvr+h$=OC$n5c@xZ`oAOlzY{T3+(z{dsXkTSi|NOA1G6C$ z*mF=)&jWL!2Rn@u)$$;}G_$OzBw$Q#BVY()0n?$5)T&Hq?)XOa-pS~{2KHV$g4o7@ zR3|$ot_sJ%LR7|pz1F{br@UVasns|C#-M8v~MSxq?ai`;(^pk8#s~r7HibvMQRL3h!t5(M5XZ5YgAhufcCd zFi^zRDk^Ca)zcuYw}Kfe&#T^Cw(K3()t9uve0(t{z-}Aj_<$)ggoE>0PAK82_*0o- z7fnpitaS=*JCaj7&ld@ajde8)dyTd?w*VDSqtJ{*8=dA)II;fXo8Qi&PSW zfB=&TJ&=x_LeghF?sY$NPe8~2UV(pqwGgY}^a;hxjaqbDxOv*vbm4$k^O8 zKnMYZ<8I-TGMe4h=Fq~ukUNfnf1tX#r3Ji#1Q6*Yn>BWplo;B7^!?wj>u%x`4ff|% z@%|su=zom#+oD4rM1BQT!TQZVbvCOdY}i9B2lh+_|se+Fzv6 z>U_(Zknc8YM#5hAaS71hTbGABb>dER7CxfWIDYJ2EX1xDmr*_w=6*7DZNEIv#;sd)+;Rn0Q^o&cMjKXt+_LFP&DuL3By3vJIEo^<%F zFD%dnCK#R2Jns(|pV?hJ8$2HCAt5OvGrn7&OEdy5IeRtXFl^M5Ilks48UjVg(bNtvvD){99N z#qNPv6w%Z1dAYxl8I>h{Pk);Ry(MaFE6Hze-erVz=yg6EetmVheSJLIzN>dX)Zn#$ zL*Y?gYZh%Se8IQFUp~Dab$gtY@xqt6L5&1p6x-m<-Q4iP^Nk2sz3Yv;xS1QG!^%6b zV#QEB3esjTyk#CxO5wE~Gm^?Ia4UDH_fT5DfM~xHR zWP~$-MPalNO`YAK*z?JxX^$gKpFwvRa6*ltgtl!bAm%ouz07Z4VJqYmxitCLLugF3<>@h=Vl>X)FhLDQ4abzL%IH!A%4gM(0nu z#+>k`?M_f7N#<3?dv;-Ft0W8<$Oci3ZERLGu4`-MK z_nSxGr&|0XSD8m+ikSsf08jHS7HtOSf_=^@TA%~=SF+acon2rzmv>k~@kcNmI$fFm z0Z&*#7VJM|4+h5%lf3vJ4#7)hlOCkW zr((n-`|v(MY2N#JbUdUmyUk#(Y)@YoJo4ab+tpXIE9xNZF~DR*gyN~;f1QaQ zAxUzDy5{D4z6hGX)JNyo2jf{8!p=cs9k?|5@a53y%MB;v)M>(!%;wWk;d$ecKXw9* z^yE!)n7H?xsr5LY8(CUM0=eE^#D*i{dO#_eUe*FY3#zbi^O--^TXjr_7sB@%eR}~b znJ?&Dn>&8&Tf52ufLX4f2c`TK$a3=etq&7}0D3?Ai&)4s@<3SDS+x30#lX00rO(qG z^Di}^e?n1YJRn$H4?{}T%v1ndT)y? zlJS^%C}L=qiZxKHI;2S)up|hg*Bw=Gp~sF;BMG+g0BxgUeC4cSlwf0C^59q)02FnQ zy9joG#F$0@8b=@15i)sWfv$~aiBpv1!Hi7i4}xD?C4xs|g{vuef*{*p+(d|Z9kd|h z-kNKi7?5Zg#7nTw#fH^~nYGdtakv?9o|40~d@8(XK3+jUj~UL;*1W%Z;Fx!1XFE-Q zt(G9_z}u}Q=W9)~(ySMrimP^9r|O&%a?K8(pp8KctugIwP-ce>ApbJBg9BjjjtsGJ6wP=)h@ct^{J+KBUb0j zMiZhH{vZ~9y|L$OyST5lp|a7TxLqY03a%OGJ=EH!XwWhAj~Z|u0-FoN&rk8_zwI?x z{}q&2T2z$AVMO>Jt2&f-P^x1KEo(&#CP}&}k}1>vQKfL4Lvwf@dAZ93{O;8S>XH&# z6w1p9A+}iKqZD7cHzzhM+zWhM-01C#%NDi!nuybi(aS?icis%W#+-hqy438M679jpFYV2y4eaB<~%q9RMTd$E2&F3Pd7o-ZN$x59P(M0Xn+H}iU1L3)HWM>{X z8fHZ6PMq=6(b8h#I-m1_l0mQu{MJZ6Iyk#yyNnSpxZQe5&WiF%eiVP5Jz7oS z(3#w7Iy(CJ;Mjb(`RO^OeOn#ljv>BPm%!c8S{H%MUFq_*HO*@V9;@hbDRsf_l5bz% zoUnGbA>A}!cBwxyy|F%)j7y3Er+69JvJ}~TxmT?NsI%;1YjcdZuEG3w%!pfkeJl%i zE?Q?yzfJec!{3V0WP(mVRP*X$r)2YF=MkZamfOpq%q|8_9RA`Yrzs%B<*?@OEppp?mCkDcyJKyE*_I3i{Dsdyj(tf@j_s^} zvYD;_K6qjMS6reY0w$E5fuWv-m6?TUh4~kQi&Ch|G`$?n1Pv9fs(skuZ}`v$M|g)J~(qd9|4e1gzL7+X`2*9}{pla{U1bV~^Po9kI1*vDm;I=C}ig#rN-V^9x}V zg^go*+MAO~!J*PUaXG<)8HoMV%a+ENwQzV`zPmq5bz{m$^3S4acK{n8ZKJ}AF6UCh0+c~e^FH`iWyfkPSV81u?vWwIGvVVSKsADF?BVPhZRr~d?f(% z$peBuxhs$`?CtQb(QtwkB4J`lYDUWXKzb`OJO{M^V{3MAuu5MbrM(r)+eFu78K64ot5^ zUGAc<%dw`l^YvoObH=ilSW?XLZp}rAlDVSgV4)>nH`|VvudFQogl~(9W&Na<38Jqf zpVsooRsAi>I+n({u>Sazv%O#3MDtv4M4!==0*k7;sp`@+=H9wQ)|otXUjO51Fw=FB zNoTUM+waWrtn|dAebPL+FTMJYq}(3EW5ner@MOUJ_de)fGsdpuYfI7*#G#%UHeXT=>Ar4tDKM8>O#qw_=-xDY-snQ^XRT^dHdVKsvi8?OsXD#xzV zUR}@Z^tzoMpDXBkUbk=Z^zz@`Z5?kH>lq!_)%bFD_*XgAc2{z~+gCk3on7BYN4IBp z4+B#R15@kg9UU1X3j>dz@a*u{c;9uu#s!%i73wdlDw4^HPI~$4BI9MSQ|5+ecVs;m zai*;z3#1Q93)9=j;~hR5H_jU|N#vlBif3BinyHn~8j>2=T2Lx26c5|X!u-ujrKW~{ zGipNF(dAnCnLeJ`FYkkKs#0E?Ls;%DJw?guj;H8JYEubq0PzglWff} zcjk%u8fEJTU5e_?8WpQJBO~`~XGTgL;b%lK{W2=PA*=n)70mj2*Aj$cjg3ETE&Wy^ zVeK}sdL@(LkH&p!Hcy;&W*Yau@)=cHA!D56Jfr7NGM|$xBCKqsbV2tg@)13^23KO; z`?N24Xv~j7Jt@z{UahSGl51vf^MTGRU;Us`W_=)TMJZpxBlwa|w$MDno(`8{7+;xHXGp6QmLp zjN?%v!|Q8Av^~lg6L(S>Jk)Xx*O0p}E8C$hNN`c|P9=ev#{%Ke`Vf)ut{Q3d`0wwL za%S}O{Bm4;pI;tsuFg(32M#ZNA=BB_R!XjaT5rp5f1Ka#t}lRFHy%hG6^qw++JUoh zwyZM9Hfl87UT_x%FU*p{DfBwwIO6x2xn_AwqP>~clk(W|vIi4oSi%^?VujV`yHA7m zBR~%sRWQeiSRzi0-4ma-MZg3a!OIqaAx&;TLV|Cefg(2R5NDsGd z@TmVolov2r0Tc*@pkj6Jmd(}aPbE=V9^`!m_X-ZT9$j=yA;hF@EHA%MAM5NJB; z2s)J^pY@*UPwfg`@jhIk#c>u0S|vUHfQ-?)e-ku!_t3{c9p-ix4j3Gnr$KoPMpHRF zEYyUtzU6Mmh~rTwG6FmzF^lp+;+Be-9>STNOgX>NCrYsv=ALtdyQ3_`R;6k=g_2rK z!$A3v`a27X{jhiZqG(#`@J6ea6Jr#~ptDOFshQ7(Ce-#OaL)p0hm`EPH!K{KXdp`l zb{aAfOGd0BTR2c<83mh!f85VpK`Tp3 z_IO6mCy|yP@m3mRZMJNTc7~^b0Ak0r7C=3=&$L1a2gKKIH&Qlavx&47*bQH!-PUC2 z(7->^DfRNk{b1;CuW%EHl^TmYoDIbRDya}&EwcPA!Y_X6N%jKYa{~+e;!eT5ZWR^~ z35DKySiDd}uaOvkRX|lxwrL1FO<{9$Ie=e|7y9<2fkgzg9^=$dKn)O?5Z9EAyQZmB zaRkAwJ6}Zoj<6Vw(Wy;AjZgKDdqLh>xdxeQ8c37xH>L+Uy=z1ifj;e3W@v$+MhVC- z`mXD2YN6?j9);_HBWL|^;LA{%q<$<6PWp%->c47-3N+xIZG8$wRjHzDXTxiExJrXK z0-}iLK*o|ut|05S?>I|%0RjL1&8D=05O)K47-j=hnrP0BYv39MC^uU^*W=>+OXdMnQNPV$x+)5aZoLNrqPe#|(s{9?0s; z9~4H$1=jEP6u#(^pUrO+@$l)x)E6XI;P&YQwr&Op8EN5vQmdhhE`32%)9Fle!{(Se zuW)(&eB72h-MqY13yq!Oiz7tW%YMjthL_iS>==pQhe&>6r19weqwm7;L#0BTx%B2= z8?5?bqkR|X-)@6SzhTl4^gg82PHqR9jMnrMfz#}MLrZ^V)dqeC4LQAo(A)#lyy2mF z!bf~V(L5m193I!6078p`z}#Wmk>msi@q|fcTrIhn< zoe{*K@9Po{d3`4bW759hyrYBidVOY>?Coo){&W9U7;4PYa|bI2@o;!lbx37tIlbYd@5n9Q0{8&QH|`5 z#@$xs4%sujlp#~&sopTe$%b(^>aKkD`-5_n@>2t{8UVQi z6h}PIT#BXJ9eV>@6-xHyHjD0C(7O54R#s6`w_KAB?7wM?#PYxw8k1CMc9D5P)~vgB z61Nh?7PbnU!rDuctvMyv))14Fa>CR`q{h2`-MD$@GfkE zeNrfNgR!Jo~>mYm@T-x zp_jtrOyX&@2+IRZQCzBAPJb1@35YV0Qbl$MCZ_^Z(Sy38(Go{MC(5=jS5k@x47%wS zKkW!R7Cbn`C6`GLuX5!J!JcDA#fPyKxEjq<^&X|o4Rmq*CACBUNEJ2=yI2~47c3%a zEQj~1tbH~QHd35xUlhb9_-%s~3XOH@>O9T%09=f6o^OQzBeYNRv8Olxy5U4?v%jC$ z=i&foUl=3m&WEP3C|!;{8!i`}=HV^0eZ`>@qkVt_hMx0_@)Uzq?MT>g;K^!Wvk5ff z#NJ|2Pir|Kw}rFe=7tYolJo!Bm+Z=s%`wR=7h5E=;e=#1ic%mMNi{T}hsHIxuAGcV zFGKu_Cp?i%76ASt>Z`*w8nb9?w{_Sb_@a zPOqADyRJJr*6i&}aLCx5&F2YMh{NFS{`j;xRS_d&!A@Tz@7vMd#oP5Zvh=AZza20L zX3gR$p0<1kETKRpKx8{9S z<8>@)c8IGBE&81KoNW?Mpgy!z$4ssewR0Yrgo$n2FeNm5|>~OG~Tfcw0Wdb?L`o(G9#oNdKjV>)XUsmhgSdx zi>KgZF>}hmp24<2lilyfG0aS0sQ|Qg7Xb2uUE4CKuWFLn@E2gbj~~biMHwx-;i>Ti z8nT^k?H{t0%&uYGQeAz#4Xkf~`cPf{#)e3OGyU>x$Rt2hV1mq&|-aOajTV zj2HdmdNCT%t2;=E$~f)JqU>js+Jv7|AkvZMrQuk9KoU0<5E7d~P$W56p9fy9b`HNl zERTx~KqNQKw(4d5V-hvxwe~`y;f|bUTjW_#jJ4ky^U;8kzd@d#XIeJ^Sm9!gSN;$)0HPX%GfHvF`VCUrqwB!Jp`y*Ahstq3v5}4JfxQaR zG@#rAHw?wkV!>WTo<PPjRCbQ)@1i?`c{RXVpd9rrb z#07wyguQIa6*loFNkN~<=6TyZmiHw}#X)!t#P<#qO8_=Ft|9o-g+(y*fgpk+Fpw^a zaGDCF2JfS<3(XbeKhPfr|Iu3K;??sNP^(Q+AEO2&(Z)*zjZ7rr7 zs>7bH31}QHxqxp_ExaH|$^hBr4!CKqL4bZYOTIe_N#yk(2#Kg{hLH zs9?+^RFMy1gs|f*v7jhOTnbE=v>}FPZThC;<-gGce}I|gX0&MW_*JQ9WkUOP$%!xSOqJOi{r?-%jM*u`KqnDe-dt-013oVOvs6}k(-0E&qst*7%4_$wL{ z{R_||bgyjaWvA3q%@16FD7NJq)4#*V9Uze zb84l*>-l7EzsKwKB!WKs}@C_W2I#MJcWO2;|D-%p)J!8iAnC7cQ`W0P$gyG&qu%1a&c(HxH};n zwr$^~ElRvzm6or2F3p!Vh5i8cmA>dVX`^I*v0tX+1GD>;QYvP*5Q6i5Qpe5R>FD!R zhpv|x<&jzst5;vo8W=xhi3`Vjq;UJU*gCTX@;wmiIOocqZd5#z^{g2#&T8V{^dsrMdz~=OEU-53MC~=6kD{R zA`-^4y-f}*Se5wqXR`(2PsP%-rLI# zdO}g1_DZn_4$<@MA-nFM-4_Mvj8IRZ>Cy=hs?vxAXTz#cN+y96#S<~Yy=WXEr7|*0+N7%oS}E&+QsXs=riWt7M<_vOb{rkC9Y{=tbjCsM zU0iKtl3&NHn)qn9;cL^LP2-L!n8o*!hRdY6!+))503lDTGawUJv9Yk4`beh;Y2_-0 zq9RG|iK4=p!COMiVwxZ4NZsC81~z*&8TW1$Gp&DmsGOD zF;cB_b&Y$oWyHz44zXe{hJtNlc??4r&A6?Smi5h!=VeMZSZ7H|SBp`Rv5dbLSH%}m zS?a_POH0+YVmagkqTwhlMItBR(j9xRPM^^J=6Ev|re4Yt2Zv=_JhggOK5w6Q!cB6`s-)_z*`6(#WWb^a$z8^1L^2=QVESm}9ipN~S>F>hn)4r7|U$K@C z>vopoAx1DRfj|`r{h?GmJTa8>m>6fz%s__Yi?=N($Q4wkgsYs;fD`=U4Z2!b1h9^# z`Dqs5IU*_6QbeFfls`#n>T%PA-@cm%YjZR;4} zybM9gtg~o(mWkWEX@ui~KR{WFW5FbL-4q?1VqStdUFnP?1_REr3MZ0Jj?vhbPA-u_7S^H|@^FwkKmQHaCM`8NrvPOTrK(dD#gxwc{e+gef9t2u=(`_PM9)<2D2s|zwl&M$Z9YgQihu%Z?GCs1~Ypo-s_H=UW zU6G0Sw~%KJv#Mt&LU79-Aj(k4KMN_AF8Wz>pZw($PLB`%W@+K57d$YvaxcZ}$hN9^SxA^7U=f)Y01mnQ{`v4mES5a6wxANfKJufe?LWgYF6Q zY=~|j27g&X#4NOgttjqhtv@5|K+|bqs=4Mgx(6sGx(A|4C1?J~Hx;-vA%8GwPdDegT|%yHxbj4RgyV)?uG0VIT}^=Lp?qr zB`K_K>lj9cPNf!*wDSWZ^!J4r{7%Jbz9=kP)Du$+QpiIU)*Q_H2N>53P9**a6LTT7 zV_IC$yT^+7vNixM7ziQ#TEbO=jrfLdMg9{7=Y?$zGTKWvZ$%bc$ zy)54-3#5F2*@H}pVU}qoGGCOs8OdtSnQVKZ6l`K|bG|UI8eaC}^7p0#q`a6#AdRH$ z2B-r4hU*5osY@x%5XJozF8HQI^aV?;n>6PN)8jV68_l;GeT+c|RCJ|Z@ z;asl2#6^JGF4sYuNukb6g6OvYZGK5G#3C2Pt#WDlkOlQP5%4Ddr8AT~XjJx-P49lX z_5KC@$4i7^XOCx){OOY9I^{$3lEW~n=-lZkA##L~1>iQzp0T+TD3%Z3_)!-Wy-qn7 zXOZWa&>Lw|g}RfKQ~t(X)Yh8;o@O$~eFzn+*4?M-16A8ph9S}%2{8>kpyLCT?p4}A za^EwcpZN`-%F`HmGOZOWfgPavPEDp*LRmZ@BDR9wuS)&P(T_2b4q(Cc^;{nlAQ?b? z(~S(nGvf$|fe0iF1lB3zhW30{6QJ1=HA1jf{eaIGaUqgCe^TaStyKe5UnikQ07P+; zA@f)F0lnA)6hdK``Y>+q!8SkwXf3F)uzI#r$?>EYsld%0*o=5 z+eYK%2H02Vn5W#9d9~HFzm93;RqC5NjIY!y^!PPFHxw<`X zry1n(*oXG`Z9X4j`G zc;9;Fta!OeZ?cV8yDJM&{@-AloL3Fztk)Jz&z_o5u8n&R_ePCa-;&g?eyYxMMwRm0>KhrXacH*;1WIcoP*)jPtfgJ@Nwxp49q z`PuMGWO}}zkNs55nSBFQQ%Z(H%t?EYt2RO!e}6}*p1Kw#ebY8t_7pC8X!0!Y^Sev; zA6nF9dC#gd559L6jcWbV#(+XJxI6RbD2wR7Z46ld74oc_-we+X_yI+J^k}-d5p{(4 zv^uG_PDFU{T;wnklzk-ia3oX(78-v;t|eU-3fGgj9_C;D?lXFxMVp^KcRr1Io<2L` zN^{y>N-O$oC%R6wxqmeywtF#HeC)`t#OM%opZsp93)n$+vFg!qy$IrY3fR9^iUi5= zI+pM8D2uF5?59x86`(4EL68Edx<~junxHKd3I#)gE_U+L>PD5>1jM~%m#hrdmosSVmzIAbFx-Vg($%qbV+41EjB|2z3kD(pmbsxahUf; zkiYNqP3h@;^CeFBJTRsD27Yaa;Sn&$-m~yM`0i!v-tYLNdcqE_%#8cnoGdi)ZM~q3 zzuVRLs^*Sxvw7~!mywerMV_Lc3{9Jm-p>2S4|^&ii!2k+;h4m~iqqK$Sb)U^G}C`Md)BubeHzVNexDg}DXb`7mk2sEh#io$h-p{Ol$mX<=Y`j|n+Lbg$GNjY4e#6A z-LKoXvxUc$iWc~ut&Pp^S=7A5D65;973 zZZ?iFcc!s%LFycq6^)F+tlIH(U;{&@IeWVxTV6HYW-18VK$%R@1SRxJn}VqEP!gSR z-UKi^7{YdQ?;5Y8G+Ytf!&!+mnSuPp@*!dp%BqI|YhdiiWntTd*@3>k=haUqH#Y}2 zFSj~Qz*$HVLLX1>XSYv=J!@zgBew}8ea+qn#-Y-x160$ay2}KIZX@3FLJ1j-vH~PY z`(#f2VU9rs12UTjhCUdvpC%TTk$=((Ov$xHu|R5m3=ZBbX%~jz)+9j28CkZUY}i4hz!ue+Q3P$Aii*Z-rDL`Q zlEPg|IGMH`qmYhxErz&!H4tfP*%D7ta|mpm+rWEf#H zRERxqKFSi{cOf?S+*Gb<`!1VmtW=n{laXnAT#_xviP)4=z+@V{cenjx8#s23*LEUih2Q%wjLl5J`gGz*g0 zuKF`gn-I>YHqUGvzu!wLTzfXPV~jktW4~UEPLE^gVXHLZs@C9Wfww#P8ZqEr`C)C| zGK94r3KV8sG^v}Q2sKXwnAX-HNJTyck{dXv3c@*llXpn~7qdFYxseO7)<0?s(Zcmg zNWw-~ETzptMq{GD4)%eVg45vyYoa?5N-u_nnuSh22f0D$#I{9REnAXgb5&;!H;ps` z>Kw?wx%qtj!hIe13^{*RgZHi6((!KX-o)G~h$yD?SXJ%1XljP^NFy>zpdD48s?z;k z<&mzB()+8c(JS>)iFak9^p9-v!;iu00JTS2_8F;^@Xt-oeo1=QB`yb#FKvfZ!KayW zPm%+?7=nCl@MBb*rN>!WI)qV9sH~DEM0=-=!z%T5BX_OYDb+rIKzL}isZ>rFxB0IH zG6R}m%k%5tz)%iS(O?4A5(5ibaJkZ%k!Id>$40sha-xl208AmUb$S7tY&;5 z*SgirsmiCTm6_b8k&10wm(i+7(HX5;Rry1u9ddjVyb+*7Aibr!6C7mWGgLHyGpWOI zv?d_OA|^E0s*Y^*Kac7V=e=4O5g-#`br2Nj!kBdn~r|GoD-rjX|)M8D@(v%3N6?#5;5S}%D z974loEeTlW(c;zV-C`yI})+M$9qZ(v3-sGi_JH# zMV2=-1d^%}^F}+ynDqfJ+{X6rN&kauvtwr$&Xmu=g&ZKKP!y4Ypgw*O_D zUDmCM8#m(4JbW{ePx+RSJ7b^R=d9maWbZttTb2T}QWUK=4YLV(3u7p@ygru%fIn5E z@?>>@y|u@+V6I=~<0gR8QI3t5RlX;ioV!hv^4_C*L_`Gz`Gi?{3DAd@_Kwc>PJO>F zFOP3W5B3=;$1cp82AnKzA+CAKeOMJH9K)_5sD4Yxn>Nt|xODYak-|bLDx*gtP;Mn- z0p}ts6tDx&RS0@E2W{sK$CjGK(hv(NcE{I%OHtF5y^gTat2_8b3z7ol{_gou&e zh?wW5p}KBBN`8aU^*hH9{6!HcY{w9ph2qkU(ZGny!jrqe3-N@W#bvR_xT|&(cr5$J z!2&`cOC&(P%lr<~m5)J)R-l#@m<`P}ATzn4Kh-wNIa+R!UEk_ZOW<)^6P;SPQdZww z32#}ma-W3s8V^qNj=uV45cL5%pqH2#%@a1x%^g(-vxzIV-}^eYPR=| zp-Vz)?qX}V(%h_PSA!UX)NEM;qhiBv$l7d4FPt;_j6GERi8PF1tSOYDg(T`55H`j_ zG?7k}b%F%IBx(tOck;yFf4e1*BsU}i7n)-($6x@prWK+^k)43Muo9MtWGVqkfIz`9 zj^IAx7ZdZ`)r(ZJ2tJ(`)1IROad@+m2PIkveshust`8#An>j;69|adn^*Bi(n!t}N zx4395wo=@hW?X&t=%m^~OQ}=(MRBloF{4Z--bZUH6V(d}+EiC2`2iJyU>pvT3_pwl zN{f?wgl7&|4ksYzeslUMxuF;G&9-=%kKnlhJ}Wq|(gIXc~1qWcZDM zxSUbh5nnYn1f4J7QB{b!{d0W& zBD%`dK#bO7<95F1?&tsXcsH?}8^I!oA7nm7pD7+_1ZFt2?%Gnixj>w+bX~Ryk_C(O zCutV!$Q~a57&KYMUP*@Znx`aJSPYrIx|3R8#$tlL;+)x)FMV^LI#b|58)mAtDWy@W+9VQ!w0ommunLJsxJl}bWz1w0f7A(DRg z*BsLoFt=3bt{L`yiZn}%%M*vxTFYRsm`l{99Vp?gU zPGYfUsgRwh=;{z~g;vv@r~4GA$2%Wuek1Uag5c3?dx9&q@?JADAZ`RX|7I9`?BD z#*ORk<8%65ZqJA}RL*>bfz}%RK$`J}av@)H&uy$)ua|`UR}kibrU)z6z)!c1 znVIP#!x*b#ID`PUCRZdH{(jtvIQle6bkTN{#p80t+L+v{Az!^A1-09=`FKc!+L&rx z%xPae(MQGX*A6UtJ*Cnr)7_ep2s4=A?d|Apf4_SBY^}Pz z_#8a&C>V0kxO{TDW8m!hI-LGTA`#$sCbRhj_js9%(PH(kH7(oj9pPMR0$XVG7Z%=q zzko_^LkY*Tr(5K}03!<&Zh)ZFOU$xE$+FASaTxH))W7_wzKj0%d6(lqvM~Se zy!-#<$2B$1|AOT5;CSG6M^2(dlcKvm_G04H1fBd#kSy%CU+}Rk)OCGO~hK80U_m< zv@=srnK)b=$e~TppGX5qua=+|0?QC9*2B5*uvaWd zp@9X9vhgBt1n9M@kEj6I{O=AixgREEd;H8ya!?kp1OP^>+ z&Ex@O$B8_04w^K3faRs8+>s!g33Y&bxyW5Rql0{8Z(G=Mh*wE}wnR;c#i?7r!m5~B3 zyN248+%#$93UY<+&I&0d_%eps(3Fm|SdGl^(@qrmjn=s0uxe+aRw63nUd!qc>e?lY zlF5W73_I!pe_mOjjmBV{tf7fK=yOx0YV7iQV$Nm$`CLQ?50^jVe@(ugUw*vCVD315 zdAsc~2#Wmr5WBXH%)^r(^Ephkh%yo8H20G5~w$;kWWlKUA|DM%VdHvNr7eD$ZsY zV&a$)&n;k4%W`~zc(*pCWgKf{$Y{Q%Yh4Z$6ThfcfM4P91?*$uG!1M3^Kcpl%tNAl zi`BBiyrxHO4f+ke-YtW`<=;EOneYGly82_llRT^M_x@J??C||zoi=OmIsb9A z1#mF7Mx}?-G6g%Zxvo;=5u$}G%bDEKdShP ze$p#D?LusY#=H$F+m&DXbTNMJ17^Ev$rouc)}b(}RiJ9ZO1qOBr?JEd?5pf5=Qzn| zQj^^>_R(_2g$ALa%v?Ta63eA&UT5q{{XDk#jr)MfShsaOa->jP8|CX130H)73eL_8 zH66R=eQ5~cN?XiMr~Ha1JX_;1;mB1z+$tph$UEXx#cI3*iyWUh_E?7L^?GKW#YWeb zd(`R|!)xV1{1c4QskU5urncYlL6Osn(2397{82%^$=gNVQ{JMrr1g2M)ay|+pOVX8 zEmX*9rScs=E%TpaztaYrSL=|M5Z2c`A5LMjDaB;k^kSZ| z!o+13{JMY0_UH{hF82`p`Z&HqRM<^f-T6Ftd?o}k8FrIrD-I=bc5?~yaw02^oM^$m zA!g|P9jxK8kMc+{3~T<-E^=up>G$# z#+QSo(ElgN+v1SxQVp?~%p3qv*Q~?#jE?2)EqXa?ng=)t{#hp7j4F~=WSoSK5##<@A% zkG=TU(kfQb64q|!aEcnz=Yis|cjH|W$l+Fbc&T8vtLn511li1h|6`w)^o8I%gOyI#$?=#>Q9ICT#|ZlP!qQNP2+}EjyoSLa6** z0c%{}uvuh&#f$*ekLA8CDpb|QOV2ts@*%8wYU(&fD*$o52A2c6!~2O&b1d0s_vJ~n z$g2-=>+pSVQssjnfh;QK1HvvXLjuhOq{l>oXJ;>Z2lb$W)&u zZT7pehH+_OZlXl)Ht&uS+u~6g2sjc@r2+f4jX@gipz;JmbLrDlgz6+HMjR4wGS;HO zb&YXgIo^Gh2c$6yeBVzp3cP;g|K7uOd3?>*xSDQ#eSCKCf1mig-}2~vAN=kci!e-r zpt!L;^FQ*mEp}d5CD2rVLwy-lu*xm7mJO#ADQV8^wV4d!(KFm|cKnWe;v<@GHg zxojj^X~Z3JV+|t>Q9(w)SI*91MT~c$u;u$EZ{hVY67~GbW4Uu>G`EsXP&CIW16=XUu+mI2L^BEyn5y@fJ4aDjEtMU3vjZuRAuS;Xw;uQ{wF?(R^3Jxl*j4ZS6j2`q0W3H(OF!FQ8{nRs|NmW%ar+kLNl1(jLwk>=z1%_RAl@f#35!g zBZmru;!TMM)8g?0iOHkeb_GvK3GT$BIT3e42;P1~l;6>KUTyxq*CLE#K@27xtS#1x z1%D%pNW}?a?}_C(2XvX~ury2&e_;?wtcSgvAA??UyXm&kctste9VBWRaX1|}qmWs} zIO>;T%X}Q3aETng`j>B5(w)&b$W$H5fvt@{F1*2MB5dEZ<)%&#R}bycLyVBso%%Jw zA@A_b5`{KheNESy9VvPn505=cI>jgL{Q>l8Wqq1pZUlpJttWI2x}|~7wLBOdMcr?P zITB_?OVS&;f{uQ4z$OAM21+&&?wVp|T~oD(TyBRwJ>dv$hix9=HpT;Ioom6yLA!vK z^9P0CK4I=+eTmcT^cC)lhFj=d`alD71?l}6Hqxqic?IQixeMu9Mi&W&(nQGU1+myURV9D;Mcl2~8R(>!{#)Wm7%v!dX#T<% zWwgN@Z`*di8k;#$4sW5*2%3T_L4A`#-iVkbAv1&`Jsdd%*Y1v1-86oDv)<2D__)p1 zy;P8sgiLY1d`&v9QLKhnj^xoih%>bCR8N6U%+znL6ks!s_1%xl+bP`1*ws#en`pm{ zbl4BlMLcG|ed%Pe6-&u;9>TS0f6tjXOWu_4+sNC;qJHek~FO;gbH?P_DCl zlVW&E3K_V|$%r{y!`D_6DsU_#uggm4DvWdWFRs%0DCG7B?0+|gfIHz{%nLTU4qKlu2eEi66wfRV8aqwW+>nT+ny!hy=gT3_}AimsKFqv^#A_Z+L;R+A17?%9iU)y;zL zlqB$;t~>DGZj&~xP1S_|y)sG-q5T>^RYCvXp<<5z2o=Zu23QXWAqnk#Mt_1ZSJ;UZ zhAnktacH?)3&7McF|AW7EN%%Uc3w)Kp;-*F&!f&`_!E?5ehd@aPzZ;@wa4&jJV>D?yW3 zktrOyK8a2&lMI6lmB7|N=!o#vRv_WH5b{Q#(|xnOzINhxW;O?qoS?_g!xHPa)&9N; z#G|ttY+*dETE@D>Cx=0scL%Pph?hB|5tvd$s0AcVD2^s(Y#Y4bq2=8TeZ(>v`>F=` zS1I{7I=U)mf6niW2`0o4$Nz|D8*M@vq*nQ;pC8rVItz2_T@o;o_{+x~(gV-oP0x2+ z^}|&8I7zBOhvL0gcIUrW%cfg0GU2CEC;xjq7RP@Ci?7m*YyeD1;l1w~FPJG!PxSReo#{~72BVygM8Tz^A z1PWo>9L&9MtOctju~`|hqgAt|F(u>S7gq5@VaHb1FSv<{RwXs4PSqRTHt&M-#JefV zrYJko6*I-sp{gfV|1zH_p)L%axL3ByWQhukuf%}bkO^*Bph}{HiNb)3!ulOtJOj%h~Ji`P;l>Qs_TX~Gba*`yT}U?TY=HgWmgz-0#**ATgK~poQtocInArRj1!o8K0boeov)9UJO;S} z%EgcO7nYlc&#-4Ytz81elcUkrlk_))U)o$+wr`zFpU!Ls(^>23{{r^+A_5+{uK7J} z-x~1cl7Jbq@4Va3(>lisEyj!-e&anTJFjtYU)Cfhmpze|e+wbN-W*;^$yah;Q*+x| zOdBmLI9q1tSW|LeqmLDqAI^OpcC}}L`eSnMDIETL3!!&r*ctaq%h@N?w|P%$>^%OE zI8^;BhrmY>q5n_&{eSqaaZT7*EB*AOw*Ny<`j1+c+&LwgL8kxmZt;uu2bL&TDK9|k zB7<)qc|u_c9?NKK7+8sSdl*M_;7IHj#TLLD-Rl1M@^FYApWdbD#(IL3TI8^}dGzj( zRKIXnS(dv`8Ftbdmf4&wXZ+jQbCu`QUcZ695@Za=PNY1y?IoUxMg>%&?r+O2xoc|+ zHSfcSozxuetiyuFeb`c-lSTwuR2Nw4I%0@DhOVuMt%yd@)&~N6{&-!?hM#8;w-C}~ zpAD+U?yzjD(h6Y6)R;Ug(`$BXuCS0&nO?Ee@EC8!X8|$G8TUfFqOlz0PoB5;a2FD! zm;uhfMg6hha=@aoVLu}Gg5%yz&z*!bY3bG*%@BSF22%=$L5B>YyS&;uFP4=UoSgjH z9ejzJhz*eala=|h2@ti#jNyGCU0`0iDSU&C{tk==WqSwZ;pvr^fTYTWa+6T};$_Q0 z_&h4k|L@%>NoPX)^k<8^_W!V||3@Apb#B@^L5A^Sa?2_n|yS2X$y(F$Uu>c*5di>R(}o}!#?x%^3~JUWu9 za2@yHo^gA8ZTK=~&c^_OOFS#kERS445E~5$7-VU!^_Td*4)sB^1j2(;2Ex_SRrVK7 zZQE4Ey2OLpJAF}^{MCk}1 zM}L(4yt>@~!)N{Et&}APC-8jYs4rE$85dspgQoO@vj02JW!aWy-sqrf-c$MD z^8k`Eg{b&Jn>d;4K5F1qzq@S}7M)YzZ!p`Vkn*g!yFZi-+m^KQdg*fgc81w3-hY6} zVitv|bag7Ybuh=+mQa)`Wg{>|9Bh^NbPF!t0pA5h1JhQ#my>t1b-X?Cf)V#u?R+2l zFNFX85OT;e7h~mHc!b7ph>j@#+igTr?Rn(u1jUKOj#V7?TcuQ1*?Yt3ic>qtQ5RCM z?Ka6hZ#Rlnw2FcdO6W~?uvhnx)-PFZ%azME; z+wZFn3u|U$Is2T+$rMD!GRMF!)mG;cU5@Gu8)d?v51l+X3(RO4He^kg`!f-~+P*8_ zY}n0rOm~<*`mbWt8XH>?UQlS9Z}gb=ZLZx>Z0 zjGGEPd?p4ojhimBqXM%IL#!$cqoYPNbV&(ld%UtAm;qvpHLjlYzB`-{DYt}zk_4fb zkb?YC|Ku5NqUwHB^hwkAZV~aL_I2*M7wr0mINeff>VHi_80drbZtRkIg8%pSB-}@a z$yxyfSJkJmfeANia;B694mG*{jt0`_2q_JGa93&$`{XxpX~{brY3LmG4){FBx+j7;~3ffQHunce57zsdn2;2S$o=deyJQ!DBf< zH{(~O_KIQx%Q4}VQx!D?>QsBnT-@x+M%p^QL0bJrUFl|r2l4r^+_=WMn`Y1GdzLg5 zX=_%;?0PkSYkdvz*0DKwjmLJig+t7ic;{v!pqbsHF8oP$Cf5mZxb4vSs((wTz80?x zP*1)XJLzsSe9U4z`NWpjd^c0-d9pig$(wJ2`mH_fmfoZHbe-#x{MT?jeDT& z_GX?L9QWnmVLe-Gw&Flb0w9l=w{d+=XG?R*DKDEheugLKc`M$v>|7iWdzS-6*dXP1 zp@VNm$N8>3o#}Vqop*r8{G^}7Ei2Q_C0_*??H5Q%+c%2oob@M|&$+ICYf_YU^kb&5 z2h87Jp$L{)IML?=xTSGCn%!hPHgD`4C97dg1f4xzWm}wv)-RAjMga{&M=*nDB3?f4 zih3-i=+`2f#SRqjT|5_ZbmI>04n65LS%(oj@xMiw@U&g%KFqNBd1|h2+v^}}4-Z|9 zs<`imk1oEb<~z+_Sp`?x!uo+VZ-z$x`tZ7FE8lFBr3H8jP-WT2&&jXm?2)gswVfL6 zES%y(3KE3z+Ptc3M8F=`@elndUAr314win9!eds(h1iNtk5%@yHU6?g9!RnmPxDpJ zYGlT3)=v7;W$!QdX_+mRCYz?MVbv?EPl1`Rus|Z0!@%p%BukJjd?^ zzx!Ok**VK*yD{BB{kjV+ZVUP2$i^_1W{F9scAWd>iNA6Bxj*1azZHes&33Ck)wbHj z9oqL)`{ji|erGoM0p;h&dvXgWn*c7NjpITlf!NKb!Lr7frmc%zRi#)acd~C#NBwLC zImXH5c)vuvr^%p#z+DrY{fVj(7}C7BJ{C(dpG9N#>e^bDaw?7exxcmDj@7rbb#?Eu ze${<7l>C}cv^qV(>(o+glDaCB7n2Do!^CbZEv@d+@txT??xfDwAAvI>K88s)mg3>X zWU{`^@x*v0McyNp-%X>l{TCa@^TvSljY-be-SP4E8t3M(_>4%_dt0X%P?5HRIBqBx*y6xZ}pn{9L4*TE^SK}g);slnQ7UY*=}4c!iNb@BmfuRJN-PfIVAVF%Er;$>Z$G-%jz$rM{gPKvx~*d zTYm!?Ys>H1D!^n5X$t$1^9jKFLgyb=$kNLc=~V`O&q`A&uiM6tDGLFKMS;;$M9<5_ zukfoO{5)C&>kdM-thf z(6Q$#)t-pD4=e4VCORvo4)MBlK3uiz#`M(*89ab#Z!Ql16RPS~7k@U+3GOm(Xs?_c zkQlR8uID<(wzMO`9+1qPkEhjqkjxi{fR|OmuT~Gmk-Q$SMQ+k;na%K&x{SauJFKA8 z7Wm15U`XxjI1fQP3#kz;mhbpxW~>;yy>y>lL@;=`9ve;d>gPt2G$+Dr0Y^}*oZ&dS z;xP|rR{1pjjFMHV@~+)49s!Eurp+aU+%_1#=70*`Cz zgFytxJ+D*436~Rg9(g~OksqjB`pvgZ%aDeFx9ew4UTN8Gft1!f@-rQO<5tf!;q6yh z-g1Q;@ZOhJ8uOadcI&+%zK^ewXZlt^c0mxQz^!=^VDqhUAPXS;KB1wspIlVkAEb}} zeE43q=wTA<4spOAv>kK3z=dwmoEB4lU=xnzXLeu<5c38mkMw@`rgDjv3KpfQP1oK?N=K$0m@Ou zSJrzUjt%?~>udhSLbn-@AA0dNE|Z7q1GNnvuek2Ks*ywRYidWM1qRUfwmT_zC8XV{ z;q~6MiB(DyyZcE^Wy0tVi3OWv^#c5f5|QyJn+XmX;{g|dAF(I67SMAsRi(!j*8xOb z-!Z6GnBfMbCFH~1`7ZgxMJf+0>f_1GN$&?Zo@T5xiGNMCbujdi{#N4+>#oH|@-x=B z+nllID15wtlQt3g2gZBv& z;FZ|vc5zcQ+kB$-tL-!08}aUR#dXRN9oRc8ZuS2)5(Hui<;5-;Ssf+^GF6Yoih%2# zejsn%vwX(wjg83^qR?V2PI1jiVced08x6*7&+twT?1k1H4XmMG7MZv> z(XaR^2wdkTYhp05A`f3_7?o_)7wR4jYQX***T3lBa}tWhh{A=1+@?Wjm(xu^nQ=K8 zpJj8+86LF^pp zFllH1qE}1d4#GQ90gRZ+Y(g3$2Yhgkxk>ZEt)DzeqKbdx0;g1J?@_&1W{WFlAP=qt2xZ(~2&V+`-J8G3ayHnm7Ax7F1Q+bU(-Y7fpmS{M zbuGKWc!9vUf15Uh<_qH^h4YwtAK*l^k8W2R= zH3Tp~Low^Zf3n{EfZ7+YYqpqt8QV@cdq1xjdp?IP+AKcz6u$4Ldq2KzzF*1(KW>2p zcc4E)i%~B@286(X*#h}lK~;Q2WyTN=$pr{NBc*le4D^@|iI|EA2m*J2DR^hhxt*yV z<*{H*m^bjLEOUUGJB@klfWp~kqyLX94sxOvUxVTCH%}ji zijYczZ(AUK4{TlgjC$og_TeK#IA`}uhol>`_x+k6{5OSvk11%x7(#tDgo4MhKaIpJ zwgSBcJ`kAK?)S5ZzF)=TCc>?TO)|iOpsX%Bq6T)0wpFuHa0PmMfs#NH(a%sh6S+ps z`c#+x>&y#UGu!(~aQKkK{&0R?ti}Vg)x75vZ@6>Ct9q~Iz4d;GPj6g7zRBa`Eg!2_ zGyfYCwP~uJx>LQ^M1I@w&iogC$1qZL-^(xv3dp$^T^E%gaIRn9@N!~&lPgh%WCGA` z0iY)B;xr^t_q_;&oh;pjF)5wWOb8_gF$z_q67Nr$V!`dD-q6(MtpU%!C6U|1yDig5 zL+I^)B_SH5L592y2)`ZHi1p`%j*V!3J~KhLZvlWHWJ0IM93>)eA6nULR}wAvH6dez za>B2)?Xoj`Ou&;IHQRPlS~FSgq_e?TzVvDGc#PUcTCDNHK_9;ucha{01`;-^+&7=& z#(cJa{KFVnaf=p6nA0(8t9ALE8%hitE@-Cz6T5aU%S@waonr?cxGEg`J0yv_*`X}G zI4+E_9W|oFB4OvD-^hRFpil9rC(Bh6gl4;vP@?_z*DhQR4X`+SACNqc4Y#!(g0Upg zKsY8iao~3wG4<9(KR~UMh93y#kfgMq_O6puZ_9982jLzc8?j$Ti=hYF>u+iSDyESa z#jS$}7(iVrme}^J_98MAY7^R{MC4^e#c2Pc60iPBMs~$0@s%M6sOYL=1!{kn1YM&B zP-DCxDddSMkkmO4C2&^*rVZ{$dXahW?9eIym;V?4D`ejMj`SJcCc&-8=)UnvT#=-1 z2xMSL7(JkS%Z}FU(xYyMF`^)J5Sd`8mK^!lUWxE_gmM;#{ivS=C>+Q~$iDCZeJj0K zfcPal(;r8_E-hK^s1C=r!1n=S1ZJ2sG4L`S9YzMQ`OB4e@^oS>t4QOE8QAz(O0ejC ztTZRb0*!bH;AbPk9MCH8BLe6-Qg>R*gIFJ55b<1RZg1X9H)JI&eTMv;;;nJ{U`^ zxT6!d2VkLw+QFQGWzKvusmr5d@6I3@^_M_o3B&(*`sLU2AYe4cxbExlRH_TsQ!eY( zl(>n%=0A)P{3_Jk?I?BkmciqT?B_dzh=cj>{+x_7?gO`y8jmgL2{Y$J^v5F`uf(p? z9FxGncNFla<@sKl_z975)+Ip=bDFjK`{jYP(19(Tldci8wIHUT1~gr9NG_B zKq8VI)NX)$ZPX9tBuIc*lGEt9>jKUBgI0>A!7lxi1$HvO`!g7#l+2e5r1DRC?y;Uz zMlk{3F8bZtN`?mv=@v*ceE@o-s8dw2m753^0{?I0h%{gH&ESyv=46;p+l!9vUL9tO zBkN?4MAMn_$L^sx5Ul#wYJ=A?z0$v`8~=xk8$oc{?-v23CjaLtw9=@p0f@Gv9^^=G zq9etDF{<(b`8bY204gX=pe^({np=vo&XN%Yn^`Q41&md$JGL-&CzOp;IPRl z<-mLF<4L`j^% z=qe2S%1oe=f22Uc(i7&nmrr+DbjsBs#l%2lwZ2Qk=bHS&M`eK&fCWH3JmM}_^*K@d z?9Lv(Fu^MR?9AKFYIXUmnQ5Q;!2;H=oUr;7gA+c~P0=~Hc!3krEpMH8?u7D>*|V?= z-kBxNb{!z#=L90Z{I3S1j_}C@U*wgLMf$Fd*`D56Oyo4@w61`|7rhv+qXpzfX0eMpO@6G458nNxXCy<7?#kaR0 zhMnAj;I{dy=0u7_yVg$26FCKAh)>@;8PQNKGR%dlbSb-=4Q$pUR;t)$ zJ8k04DmWzqjDuIz`P@f&@4ZIB_$wwE=cEB85KG9S1H?VGIo`x$f&rzaN)wh3xErEC z?RL2)6mdC66y>1r8W$XA)6vXe5IdC{fA^OY#><3C*sMeYw2ST_>$<8OZY8+EXmzpQgvPY7 zDH0ee-AQcSRhb;g8Xer0CGe>ERibJr0BUl+KQ5+ zwbnZ?8t6VMgGyBt*e_Xf1vJuSu#ne!ObE9r@i16zLqaumB71}BbvFnJt?=BFkq3aGw+?_d-_@^BX^?!B#J z&`sGrika@!zjd6FKh%*&jmiqA-hx9gjN#GYI(BL)7;t*AI+SITvBJj(3&_=kWHj~q ze#8Dbn37ZeoXO#s;`#AJ)PWFT4;rPs29rgpG12lond5TcA|yH{7l8&M@*5MWrz9w! z!=&H8Ui2=T8nxKAgsp5g57dYO1CYq;)tr%-?<$_wVl1~X!2wJeY?FQ~r2zvO%`+wA+apky9~mgpH+3s2}CufQ|wb!@jw9?fXGEL~bC4S8q zry@9m!w&QY>gBR{Zny#gze|i&&KxmO5}+u)HYMRKtQx5{O>trz|MMa%UOJ2<%lq5R zUrzi;f(2#`1S6W$6P_)Y*NOyzjU?)iDO!Agq;3fkXFRxMc~)>nq8=G^xh-Nrq}%4E zH+wL2XQ{8)G8)M|F`@Sw=~_nAs6%>pnnh+hSg*8g@kW!HIi1#%Ak~ot6i!h~g@mC~ zyWA8JmBvb&5ncOP5Kz33#d^vC%5oxB{^Df_gN*K#Cq>b%WLkpqo5cE-mBiXuQJ|OG zk$Wd6awGT>a4!JIBUpk-im4tYcIr}PJ%y| zO5npPtXp)|@mam*TzpG6TeB1|NG=b~hxW-ZwRn5q$xh-C5Z^6*QKY&qD;S0(O{aBM zj@Du_JHc<~?ATLzJi(7BE)0zvN($7c;UyF_5vE4nlIgQAm)~Bl-cNmRB04D5494Mb zoX4j?Oan=1`@|)5+GDz_yK!v-{n@t~-eJc<^^8{Itl-g=nsuK#d~6A6%evAOn&}g* z{T<^$A-To`a(S`;4!iTGhJ@IEjQ@3YQw26h`!CIrsS&stT3>b!Q&9wfj-f`*k-B@9 zpZh3ppY*weSIiTft|DO*)uxd)Y;Qs5+$yuZ{5OkJKkIXeEk6hQTIWk{f?0$XV?wUC zoWPbB=wFBEJoIz3#-E4DYp2}QIEMZKr7&Pu9k&3PuXILCQtR`)(T9$5^d1f#NCh$vOLZOmyg$+2a-T zAhsd_-~!gWH9zVU&AQb>|6WiCwEU3-HC-4UG0e615C?A!w#A~H;n@keHj&a*4k=fY zw*im1JLHd2O`l1S|)*QSCFjaWwiRoUJ(nLm8>bf;V& zs@Q8}ZH@42Sw6sAI5QF8+zOaQOPN_f8k{((ghR_nAw2M?K~mXw0$q{nx-@rrkUtGP z*y1^1VAy>7gj-uf!9#+V@U`$Qj-Gm^Ara3=;@j|bzpRPc zC$8p(UOwi~mAT>|;5s%ra|cDfh^;{2jn>mzj6ZTYPH+E8^$j3X0uLsR=Dd__7v{#k9@PlKnUI!-O6(UvI0f`l? z)>ozLY^(taE3O8U&z5G}OfK*|>pRm)btV1dLe8ab7j$B+({Mb3q6K{b?l;8J2 ziBA0@vTQ#qz7*6+kEzKi(VEbF=bNL9OM#fyMn_Q3IQ%2d>Rd-38@K@ven>5DxTaY> z6tD;HoG=Y#)galP;teLrop98kGA2#6%UrVl`ERTc)u(YH;!@fF#WUNvRT%)eoaVY0 z<%fR}pRdXMDpIUJ2PvD91N!b6>!)fO2u|9kc%DWy)soIOC-)Bs0m5|8Cxr!s86#xe zbd;mDI$1N<=`sDnxVoO6!}%uiMsHYUb6uC!ct%KAW3q9h^c&e6pLC- zlnZe)N@vueNg3GH3xr&jB!bOxbQ-_yF{9p0@T~_&_MtY*dZV>_np7`xT4>=NpLOKz z(8z0yA&m%mFDj9#z<}crtau1YA56=gKOjGB?gD6(dKwk~*6Wg2m?@%%=d(LLXX0^V zKD`OKXW35XDd!HevYEHfZ||RGaK_a<#d^miYJ@XE=$5ZMw2v)i53VLtofRsulRa1v z#i}OcXp7nYEr~ zvWkeixnh;|=lqS9ljKOdlZ}f3;R_`NZ?gE>zEqoj)R(C@u+ScmLZHr|-dnrzy#C9I zN7L#xVspAFdEDmz14Tf(zXAFIEy!jrENi-)-V=kh?iRmGP*DEap$mhMi)UE<Y5(xUAO4po<;V!ow3Kt2oI_%Y**s?1e6E4ZUCm>MDh=x0vSTZ|*l?=a z?kBHxc6X|1(-&9N$Q2JF;5=?Vl*oSTTgmytq7jv{NFsZbZL6mcAJO6!<)LlB8HBQe z8q6ZLj3EZPuW|0&Fk4E-(JM3MCsqLwg0YSqFh%NY=zfp=KE&M2z)z5u2VSXg47xmL zA&2WS8b=cZ%$1hWJ3?0=#qY;xP9h^>Fn+hhv zKq|acHw^wsu_e|7(4Ij?Dze(^oPBd!&f1SCUIM0nQ}fs%9$ecl9xZ^Qu|giyaO_bH za-eS!sI49wZ*TxNimLkR;KDMrP*}&)ADmew+ISU0WBdx}8e`^?LLMx7CJtVYfITsr zc>A7n7FCfny)5CSXN*|N1A@T(MGY|>-`TS*wy3VbMY5OpEuT}c#o?xf2t1IAPWHfL?yjvdh}2K)h!q%Dn5gC>34IYYB}wgE!h=JH|R2!#nOtzCWb9JrIo= zL3m7Dw*3577e6ol?E-g1h0S1%u#9@eyt1H2FI&M?9+$H8UOc9)uLC%xCo(!=>kh_FhdLM!;;RD}&N?QAq8OzsL|{@hhp^Bx0NAT4PHsDj zLK33A!>G%PU@{)u97Evo>;_BxQRV<&$pYwN2!u7mdLNflW#~wF!3Pyqr6hAC-`O$_ z3@0eoa}&>y7c%^ky)bb&iTwf*;d6n`V{=O`BL@axT;<7$c8%Lb~&RirQLUAvrU^*!v+%V9k$vChjSNCOJn-$^Q0{0UtiwIow)>&A=MorV3H2Uui}u+Y#!p7V;vlkCWdnG*Y8E?e5$-f`ti;8G7>w}dk*;w< zn%sv+x<-Z1Cz%=_6p5TeSdBti+m|hvLvZEbx^VFa>jzBC91(j*^Jow2n027^raB0_ z2Aa%bFLI8I+Lgn;FPt@pw@9jWO`qoX!Z*AUcacmn++5QJI6u;}J#0(Xc_Yev^IQhP5wma35Gu3mj>AMi z0VX~%A67LCAv#5dA5$>D`qADnGZ{Zh3mL_LO6qJP6@kCEO^IGr+}e!$HZd!jidA^6 zRxLkpHr1tz0^h}^Q{1|yS~`EA-!A9ADdq#CbuJ*GQV|D8lonQ#^T{XgefG8)g%?C) z3z0}sXYw{%#Z+J*qHd;&yB+cv>J-cOJ^DS-#;%*|4^Ca})hDICnw}<277&p0_8=e| z)YQA}2j4Ep1N7uD4A4?j^=E`93+T}+wgW1vAM0V3Ndq$Mz9|3!+-JD4vq2@`{_GV4 zob?C&M``Oed&Rf_;0l$8CjDnodpxc%%wqetm3pc+6EHw3EBAUkpbP$CKf6^!OiA8c z>Kos5X#{ZithT)He=v+nODpDmBwv!~KSCw-wuJNQ6%!;7I|tzKLjU3VwaeG#RGn(S zHkDbt2urF>8nXr~J|&h5|BdrnC;ew{nbSMzKgWp;YaGZGYvdO;9(#xzYj}Si$LaG` ze^h2*?|IbyNdN-IInTk}mHyp@wXikq(J;2O^|fP+17*h*V?dm_^Myd8})LsJE zRl1tL3bSF%%=+i*IjD8#NIjb1II`fc1Y%0qQJny_Mx5=XEcKx5Ed7{G`)-Y*>~N>F z6o*pC+jUCl0m~Pas$A<0zS<*)=>nsu)2p197tth)<+4}6iUBl5?vB{iTUa$rX=DBpiyW-m2n0 z2KbNI7$`QS2zZf6I7^~}^xN`CAoWw2MJ<;s_NqSyJK_UaD6a+~5c5?lCOi7{u7|Am z1;D`%ioApM04g2(J+6?i#PST{jiM$p@DH15iC@$ar4W#Nk~TZ9T;;D8Lbb{s9p{!$ zn`aTMlm^@aezICY+oHSm06k8d#0UI{8bS#AL5kdlez#Rz^AO5n zX0MM)I(t0-#6(G9fdqm2#I-IT! zaoucH%Mre}irI7laShNXsn#Vw7zPf-H=hazyPwPX;P$YU+jz(AvEuds`HRmSu$b53 zc@&^7V2~5QeHrR^VRe#SuZE!*Ls`3_qPv-@7b29n&5Go?i`99aYOur=-ji}Au3#|} zmTx$kek-Gd`^fFS6>AcwsQgGM3eLFQIOSNL&19*t3i*;rAN%a5B-Bt%JE>aAP8qR8 zS@_BIQI`>}>5&Tx#N8l^I(y4Nt9N_$mIbwbxfjk4@uORwy=BMjE$b!T7K_&vFkuQx z=c2fK>wBtM3Drb=AYPe!^p>sXVfTvJVQqGy+SlGPHTpHSsXE1nfFk2D`JC@teW9<6 zA5g=n`N{ZM+tSkh)>|e{{Bfwa?94^Y@(;!jIHX~ha3}YfAH8Mq(OU*GnJm3Ux-oLT zPHm&DR_?oa+?vLo1pM{ij=*2Zej9EUyZ;>&n2e$-u|0u7k|6g7lH z)+}g<-{m00=G=M{e4oU)55`ZbAU3N2Fi?Cej4!n2Uzs&cb~$d{%?Q!$KUI39d}dPbxP7eOmyNh(nsDX2QC4LPcC7$nkaDQp?%KM# z@cO<=j-bh+cy++EdaBc)DThOFN>E<$IG1&*W?&gg z#_QA-lgRlGebi&9yI6$Z*8A?&1L+4&khs2hU{1YN0}n;gM~mBBm+*&l5W2cFn&gqd zm~j_xFyFIwgyr(P_~U9fJE914oiMx!ixLuetoXHV6Aq3&SE8LG5uNNRpgJc3BxQA4 zP=W%V&Sq9O)COqIYjT=X#fn(0cy?zyHlJ?ZQf+RT0aZP3+=s z2|P2146EIO?f2j`N>6Bwz}j&W`)*=k+Q=mj(i0M2Kx7@vFOG(&?QV9%)UV3E5Y-zg z{b2GsKQlVF&q_|HUk0~^v(WYy(02lR9lWM8quhoYA5lfnxBe)r+PsdVD_^9xb!7pM z5m@dOGqm1myr>5O-`H+d%@)aMVb`4ieghDQ2LlAPYOCkO90#7>)+l8o{;{cvmUv<# z))64_xnBbGOU!C68&(P}9z+x>%jU7p1e0v3Ib0*&uBvu%p}0!B*wX~kqFnbTi2{4? zOH!wq!)_=1lU0wN+POFU+lE{%XidqWpd7w?A4O!h~Gd~ow<7*W}&!Sd+0Hm~! zN|*th7tvl!wak)5wV7KU=yHU8+tPvU>xF4o>O09HsR9&oRc9%?qgKoywI}|9BjqM} zQIWRHqw-tQ5%RRHNvv<>>tMz{mFL{M<0`N5cg# z#GBkG3A@R^s^%mk?YA9V-l7N7MO)mC7mZxAz=Py=s-Zt&lDXk`;R1vO^NJO-gD(R< zsedwR&4SrEdX-pl#f2Un;Zf#L(63jZ2J306&F|aJHh|Nzh12`+5?FYSZD|spj>xjNAC6MUSA?Y*>uvr)105gCa zi6s<*@z4s7BYB{Uujc&EN)(TN1PN>rLLO`6$&x*`T}y#k8tXFIH@y9@W!F@vehtG)1(!x-o$tanl zV1MRyZn~^ zsDugW%*|h>qovEpYAu|`*!Xj98;5|i9T~H#g_Ly z`ze}&;aQZqT)X;4tn^Sso*7S3!`!_~+KGM<)*J+8bqnV(7st`Q z=gxq|^BM?$mU?hnO-;wZGd2RDtKcUkN%5#rabsuI&dmmEr+%$Gob++j;RlG@q=mOg z+7KY*R4&a0ppn3AvE-p*N`KI4_Ant4p-;YnW&pBdmjuz~0gOd#nq_L3+`i2^)gHQ< z9r~6u--ewAD z!}ascZ{!-)%3{GWR)A`io>=IM9r!6{B$F0JfjE_(>EJ1~UpX~v8*eWxNmT&=EQYn2 zwC9PIuoB{KmPO7!1&&;18$~hYe5m_+y_BlSMVc1-6$sNyD8WTwCQ$yux}^DONuOqy zpjw#F&V$_}siu4f)xsLaC#gh=SuqmcP#HpT?cNS`1lrqo7uEfe#)8ooyT<@EXGN@9 znSY`RHI#!z!O3Nmtat(`$!E9*-zyThEEm((To8075*F*H4+_9q)%zV&$g7~Wh zCF>m~1TrVE7PGl(8`3zG^I4^du(U~M@`XzzCmz*QP`GCq#BGZ5<3vDCx+kN0ap6Q{ zIoMacNjoH3C(7 zl>^(Amd2IH#EKHj6Lo{|9}n*O>6KBArAYY#3)tfvy{)KozS}NsDqxy%Ok~Sv?yM($5jLS!k7ZQWmp%=eUkBvq4FXN`MS$ zEC!{Hc&;U=eeZbWj?UR%6&!e^4TUmKjYV7rHl@gzvC8)qO9bUnnrglUTg=|pzjB|sN?M7g05%EXG=c9}GEZ)y1Ev*2u z9O2*=OqoRhDhN#lpCPbyjVZHuNa^vs@ovlH)J@)x+6UB}1ROp@`x#cr9N_3=J!hN; zff`ZK)m}13=7shXmRU$!CBJvMV$UA<(pTD#SPGm!d${VwbBjQwO&mht3U(Qvk9h$E z61C6Nk$7e&N6S~?oIGj#vygT~{TI)3NeI?uLSyMHg1W7`M?@l#n%Hv5uH?!42@(JS zUA)&>{PlOg{q1LTX8*fC{pojq{=jEe+K7vW@dR_pH0FG|=!Et%Fzc8t^k%{=)%ew{ zD|4=pn7?o8?NHBRT-4K;gLFbpNuDS{4z{ly?~=S|g~^n_MB(CSuVIqo%Z1dno?I-e*v ziGW;r6Au~V6t38FDDtF4-SNVJE~_t39&%v0u#xR}Ernh8nRa8XZ%Ug~uvGqtrIaXY z;`%HSL314t8^nZ?l9RBM0Z8=`*MV1x5;ySbBs`V9-E#Rv5KC1}PV9S(`!>0|7vRZkAdUw}Yck!eFQ*{wXU1+LQmd=~2S+&zNY3N*M z-t#W9=L1Ylo#?cS9>h-phQ=mpa*|L`lkyO6-nMZN31fZn8^^jTxwK3CQemx{k+2;^ z{ykhip3X=IE`nT=iiP=2Y2{iB!+3on{W;%ME?I6#l^i3$+yv`&>%X@wR`l--Vc zNRkr7Qx`eb@&=%QC6%M!N(s2mW8cm7)Q=7i1f-vBVgmt7t*T4XV2O(vzOPtO;5^4m z701bM7IA2n?6(KM8PqoU%^bgjuL=_ZNmOSxF^6TAUD)u1e^D_)EG2tz2bwRsp4+W7 z!6tkQh3!>~NW;>0d^C?iF#D!oj?L=XoaM;)R0 z7|IJx?Zx#~N7}2?F@U=8=3V+lF966+va{KX4a-j;AT>j{sUrQt8)~y~)@PdA;_6jn z@{8(~l92|4_!2X*wZ&f&8QceE)tv%BT)R77?Od~5%+y&`&bh{2MM-n@yx_H*btmUP`wJWPIX;j(f(XaB(_t~1zX7Y*c;=8$q0AgL^m;PCp=vOSMY6(M2b zQ#3!n7bS*?d+^ZGm1b35b){37y3$z!(!fUokPO0+%<7>!Xe`o6+0-lBmGD^r(^G0l zWakHQC|w|sQOs}+tz*$@L zQbkD8tH5F@|8_^UqjH3-8fkY0CSaZ-Eh^%>*tT^PHInuHh3kBZroOcYd1zICyaZoG zb>7sYtt_0HUXqjmgn1TeOM5JX$V0ozZ!2E2mTPu;oilLeZt#ArkW-0usL*FlQ9#tY zfKiHjTEH?NK#ype@}2IU&DjL9YVv(_$4}YJAvV_7M=H2IE;JC3NL)NLDVngNtJ>`z zy41+q)j8mnAta{EI)U#uzlbzyvhIp z;qip?l8RYU6q9tcS%iSpa3!n9F&ZiXPF

    ?%i(Th-(ZgcrhpxC+6eSgd z%~1jFYA4?CpIO>TD)YqXc|bekS5BhxKQ}+I28rx;A{|>*7BtG#D4rC9!f(P}VV43p zYv7YaG9~$(9I+*K=B$UP$^;2MOY!OC*IRXu2_y5_);j_NJi)uN@qKqeO?d{itTM+o z3&GfKque|mw)dqI_T_PKT2x=Hs=8^C4uPZ8pF!<}2I4L^iP?eDnxw}i-r7~R!+myD zfEMun-KOqN_j`zHHWzn^fc;E2{Sw_!Ib z-h>-ii%~Hv2VpN5Y`--Oyc5gbvQ}XVB>-26lyKq!2gCmoo=e}zN}?vqBixA_I3#yf15$PA3KZL16GcDsw1eed>nwT z`6OQ5#8FYJXG=#TuHlV{ZK8DE@Lf0PMIq!JN?Y%Y@5tg63~TEtGuUp8$Jwjka$2#x z1XZzYEnlj%NYiPP;tx^5Ey&1)RzoxI8BGEo}b%GXmOW3DK znOQXCS!=!boTGQ=dIDXHw9NN$2nBQewgtn`)D#5-CD2V`D6e5so~L~D=+ohH@&WN# zT4}dv>^R(RS1xT*-Y@5+N-RhSsH-wIq?fK`jYMoL^2!q2W5!DWm-y(>(pRK8$YJ(q z`EKt;UFte%9d?=2ka5i)fhiur&7;ao3Ht(qA`#(alge(~L} zzWeU8MlJKo@A>BZU;o3p$M61I^RGi4TSZOvL7J_qg5akKSaBVb>mw)L)j*T6afOgY zl`|P2JZzTWj|En*W?h7EY(MgF>RV+et-ZLGd*@%V>Gihb6sOUG2-Zh=OdZv?xIVsm zHR*B1#r4@{-Uj0>u21oG6ru?Yf!RDJ;)U!Ju(Y98@fyte@@4 z`dNi!9>WPh;HnYZ3oo=6PL+yd7^G!0bzDRf-kgLY8ulfH-{_B79OL>G9k5LjDIALc zc$M(aapo*7#Z;`MJ^jLo=agw!M4uRq7}T~0>!%cc-QNL&m8;rwWNy!XG!{T|OUmVx zq^6mB+3dZC85y!w!ZL2Mf7%9c7%FwybvPgi5ve2zh3_?o=1K)KiajJs#`&{0cw7y2 z5}i4f^;zl)0sCEv&om*0L$!A{Qsf`2WSQq2i~KW`pHb)30)Blknz2QMCAIF#Rh^>0 zr#r3ud7u2k`vAS>sc0C*{;6u3#Mo6)n-(LQT5!Q? z6i#~*dNk?CCN{T-E*TXAj@e@86VdFs+d^O@dUTI#3ar;M`8))%p-QsP4=l!bHw`QR zO1ClR9dIf!Bw1+f|;+B?8wSA4bt5|N!9%24=Jio8XcM`{)ILF*B;S_+c zyDlX~xo2y<#HShlba`K#Edi6G*&?<}#!1?MlhHGEi>no*NAsS-y%$2ufu|9nC)#Tg zdH`J^@-&4|u^vT)e}|zta6UYU6nezy*5Vep32K?0KO;V*-b(Z0cLSiqDS+; z#OQH40qM!;IUkH3my#Gg3A8N#%bC!)x? z6RsrR)EqF=LaF(YX9i`KbmK_yyDImBdNi-+6feN1yv3l^* zz+d$`0xu=lfTalXlGq%{+bhBGVD;p+?efZ7mNLF1d!&4o%aT-`Q?U`G3HIkii`Xo~ zjjdMV664&~O)93_%_nn{-bg)miqO<_FDDkJh{C_eD;8G{FIAC!GJB$zw1S_Skj0(S zcF#%xVgQ1Bq4h*vRzR2)o!m?$qoUNNJo-Ky>rqr)bE0dz2d`&S>IDQ;Me9-MXjvN0 zyIsaDPG0pq;c2V1GS#%T-Y+y|g7*r_Ro;bwC2RO02S>ZSspX3ek>bqaJh2CnT0ZZl zNe@ZLCY^o~d$@HE^wK~tUNi*`-^v+Q);b)T!Kj8CHvB>C8JB8Q+bglBr&nUn{X*flJC|CZoAF8!g4uEE&1x6hC_ouiFYE!X zGdEX53PSi9+=>gwzNr$`)Qt$jGQ`te9Wm0FcJ{;um$g*CdV!b4Y#$pwQq)1!qT*z>7~|Z5e{;tI^W;-3k@#8UyD5y5!9jIZ0|yYMtHPrW3edC2Z3LA-|FI-&^Q=cX5yFe~ z(PNS=!OdBR%Uu0X`vSL6h3$T-3(Fc&bhz7x1mLqK$<}-5*zNhOoP<5cDnb(0Vtv+@ zl6ZJSE&2tJe+LzpdRGYx7bMmt&$wuO*SV>8-RId=F5W=ZxKGFKZ?`8-Tct2$1a4+m zd0q=4+o6W5tWXKCu&DJcNjw7=qd}Q>RI6kyx8N6>-!da#>=%K-&L$s2BLAF>tB1_GgFl}+>*z~1M3V^C^WLd$n zU6;DkLR2|vNL=45Cryao+{G8*P_gkmv;hi@eUXYBN8nVtSPf7`lf9&ZVR7bbRd1CR zozN<(qeyL=^^n#J7Zn##rD5*QugopOB=Fpx0et|^6@1*$5}3Ci7r+T#$6`>*o; zZT2Xw9NypuiwD}7kVP7j_xj+O2uPH|nFVqW=MOPLX1{hb1p|zKRc+fm1@$7|<+<(z z`Z``xBzE9EskSTYb4y%CJm>y&{kBMnS*6YmZ+BiA(q=Ak^3`6+5Nc zh6J@98Z#ph@Xz7oph~q-Hoo_s4c8h@t`S+LE&?*ucijd}M+sBN#RsV~;vuR=ch1&G z@l5>NOmdvcp`wsx4t4DBRKIKDnQ$$uJbvFqsBfx|CLWFjThILbe;U=!e~vZz^EyEo ziZaUZO9yI7c~evZ$rRUi_c@t74e*B&)jc0`0z>j9%#nLevvJ&RuqMFGIqhn}tPm?a zauwjt>!=zHp@ya;nFk}_gd^gfi=o5zh(@Qv6*jow3|L^Bc^Va6aP>}7H15`wFNxg) zUrApo&v03;pm43ik$83f3(mmbkE#n8!*7e|x|o*KrudNb>ZI3j<~fo9?|As_(Z7_% zdE<{AKMc~|TalU9Gz)1HiK_R^U_{MB$Ix=P)LJ}d(*>r}N?d06wKfe#+e9UoouAXl zyUH!$Toh7@(1D2|%noOhW<=Z-?QmO(DmH9TbpsPmM3l;%h?qhKgc8fjK1=w%EcSIE zxss}Pd>cq!!{!MW}9Ti2bmBnqIQX&(6j3K)mT21A0h$0~79 z#Y)PkPAZA&!&3A}D$fihg{By$eVB{KJn|8@v`RV2MZERFal+NAmOT(S?np z^DW5TPZ8g#K_l4*H`{nQ44{zJPcq4n$tzkeBUxR^8&#`Wg;$Oq8&mq`*kbFhRigae z@$rMU%>jD+$uB2%m;e5Cqy z?%9GmIkW0Wk_hdj%w};%h?JHMq%2Szu;bYfmd^<$eGgM*JC41as(ayF+nMgnT~opN zg)j>swqhJFtO~&r=h3()X%_T^A(4P1=A+wHv~CH3_btp;>(x6R^D&q_K1Wq8qk!Az ztg4ag$)<)~nFwNm%C)oZP*{6N(Dh9#I41l|Z7-DB)%s;tdiHo=EIWqAgqxR96ZzE2 z6KOWui%3cJO1^f^Ccic4Nl>QF+2m%}MHD*XoIJmmKLmbC?{-QBb5inzGVitW#2*Rr zauUm3`%FrT*7gveks`auq$@s8Q4P2Ce$FNbV_U%B}s8} zO69FlGXtko9_|@Hh`rk9SSk(m1!{@^g*%Di7MC$fwy|Q!aQ1IXdEfr(m%sh?a}e{) zWPF&?`TfTNjQzOn0*qvqB|ZIx0*uCR zd{Tf3vjD@HvTp^L@KS&YpA}%L{iFcXzEptGuI5HuBBI0gR}^5{ze@q8{6z&A|9=-? z{!c5wjQ>j)VA>Z8Fzx>?!2DDJW|*H*fH8acOA9djTLC8jU#kE^r2Kz+0VaN-0Q2py ze)a9Qnk+uf@4o_9e(^soo0zR**oRv_)YG7WR(36l;iUJb{-iv;vIHTzuE}ZYj#p;M zyt?K4wkX`wEMbH7IK9+RlxUC|N_r$3h*L+BO4GwBd$8Mep9+{XWMr@xl~)bkOrIZH-y^u+{Pwp$3&H(jCZv+o zm6RyA1AnBW@oLG40l)jS{FT0oWZgY7N$ABUi}GcP}NFRl`C>dt%!00 zajcT5tt;f3yx5R&Aoq|Afe;(-0l*dAd#g9!F}3`25GCyvh_lDD26d^7@uhRI{G|uu z%jaVGOAp4E&&BeW9*pO?;Hq}XREa|lKwEqdaU!%n5n4DO{Y(D7H*qUZNdhVbq9_lb zG>0o3{df*n0N6}@oMr1%w`)SQ@PKGo5kj0PkTWEZvrT~UAL z*_52n;HT*Bcoch=y-l?=Cjq>^i|cLDefip)rUWH-mS9y#nq5z_{W4R7?{1UCN}QCX z*Rf02PZ~R-{bSrf9TKqOcqtjyPggw`yI{Dmzk$OCKCV|Ug!ong`C-8)51pNL08)BY zCxVohAQ-l7G7$A#8tinl;b90Hg099MN z%2O==Q)A~L zLYS%&8N)m!n7LCg8asJv?A-jn@g5LLt6dYAUo>`vRqMGcJuhjb%FWoL1eBt=tX%~N zM;^1$d;-FNi5;_FIIufOfI&?UQ)TC5%iQV&QPsiST@Az*vi{S$yg2S zFW>F15G8dkv3&eEu&zsKfae}WInwa~bV}he5$9W+Wtv@Y06NKo5H6_$d=GuC{a)`K zi!6@9Q(xzhm>>WlJ!r*w+sg!k7>atQORUMRB9ycx#}frsMRn)6WnrI_LM580E+`C( zV4ym2XA@NLOB4)Ty=WX}ABqhdpLkB`UB|+hU}ts}7}(;Y1xnR&C&! z2;DWCV4e66kRcaTPPLi6!+*c{_4j}GS*N%Cx4-|#Z+`gUpWe}L%Hf)FaZ3BE{a1;l z!i{dcgC@z9&L!S>9|`+OmY4{as32#q%%N@8Q1a!0dc?l6Y8_Lv_z@1w!o>Y*Y0N-z zBblR9OJ9zDyirRM1cy#RNDFJ|0=s4YjgE@%A&ndv8;$sFKA4M}e^>xFm z?}@+L1%fn$ojnA-RcS}~S;$vZtki^r#xyO|6|T4+SxpWx0D^G;`y@&XuR`H{MReP^_t!h`2U>DaGpRzo%Q>g^EMI|9a zi4sbx>H<)EQ_gA;X{yzC`5N)VHK@0*9#n<@TQx>>83%#2%VMKoEWoK0)8ao!`lGY04)ZD5^%dtorG)VOxn?ui0NSb{2jw^JwASv66ahYOe|6;F!>n`}IQRCdRmxepSVcR}Sy zqv@9A;-$fUi}2f_2g5tzZM$ZQD<{zv{C0U4E;(1+Yw%K+>P*c2((dnr)f$`+!cjI{ zGswnlT|d2(3~(JHXYlIoye0iI_?_(n*f+O(6`3~vT36zA;_A6bHg~(;9;7B;` z0%Z@~JZ_mrEk)zXQR=OsX+eWR7r#ddgbqA{B@~Kbs_}WyTdbNsS#9Aojh+RnWS_LXp zvR*u>=&%yE#VKuvFM99BAMRcPqYkSPYM=kN;-24S9g~{4@n>Q$EPO zJ#ka3s$VsOLy8aXbT{FFf~&LoeC+Cv%O#aM^JkpW#caa2=Nk7j?Zs~E2})hlsaAXr zhK;LREBh#>$ql%x8GzlZ@@e2JB2=H<)fY{<&-gUeWyiq>#7lbGiA4qm;S})41P4tL zwc?$v#=1SM5g;SU%#nDFUKcJ=2^s|^Swm%y(<%SB&jx&s|B-Q=Qb%^@neyHD$F%#G zIcM#GR+p>^Z;dq}8oUS{TT!w0p$OTn(wF0*2${vgu?HWlTGh*#v!@~?!JcYJ?13md zQl%q2lP$86gL|Ye)n5|NTHHOQjP_Co3oWKeKZHYi-4?fEJk(Zt0{!_&V|AeblUBY{ zBBiWN(~ug~ZMCdYh_!+z)+vhiYSt&bibEY?Dg!zt#Xv(EWwuxD@lebt4V0wOx}Nw} z+5$mIIO(rD5C+KfSj9FC_@pv05c;Z-)uohTQ>SvVn4PtIE6}64-CYCKqX{wcft4dO9RGA8i z(k{{fRh$vwMt=da?9`O_+7!t@$z*tJbJapD$?c9BXlYs1(cd&8cW*VDy$n@SHLDf0 z9UoBkYyfrAC(B>#b#KY@_Q)bx@HtMo?PJk95tGT)? zk6PN)i7(aoc{lOoEV^k{CACfRCu26`!usA>N`x8l17Q}c`d2HZ^fB2lTuom)I8ljQ`E61}9E z{L^$+jbv>n{?KGBrZP7z30O|T-L2W`tj@uO>YKrSEk)J7Lt;jKiWzOQ_TFdzqlT3c z-#dI-WYWLod3<2GRWp`&{KnZ3GgiZdwp&sK_^f(gUF`9+ z2f&a9DGb5gjVMe?Vf|dV;5-K_6T)%kBE|?mb=_W6rpk{Q$+!V-=ODvPQ5R;=b3Zy? z%Snm{M+C14*zK1fC5KtlK$`Kc@z7az1wcVi>a00OF|`Q$6-~KUv+{=J!F3*2sEfcC zXW=o>V>j-VR5mN9^%i&zIKKwA5T_dyp@DcI4rR;m)LQ+q9GHj{RlgLoN7FfOPr#U; zlgpg68F@JAr;5D}cn-?r^hY~&!444#j3t_f`Wq0ZYvwvZu~Dyu$6}T_JVN8f#SQbC zAPQO+n>~SA)>DbM&8({HfpOSq5kNOlgShR=^c`aJ5Glyr9fD`KG|OytHzv`snog9~ z#L@xaiy^whBR3j9}-wST2lmL z#Rm{wx|mp|#twVI%Sr#@o|9->5G9-dKb-riZqSW~R6gxlUR{ zEQ!^;u{zWg9lXW^NUG6WLbk2kTt8*k4E~uI$cjf09!f<)6EshaQpVG5M3lYNm-L#k zYKp)Mt{6lwsm5}bbYUvvh~>OBT)Puo(CtjVPg6`xxUG z6)BmFTirz5$>*Y!k~@jHEMT5(0N+JWFHh7Y(bz~R*>tT~m@c=e!ojSdFeEO^YRXfDP{ zO(#pLN@HLbG}6@;JXZkW7z{(jlWqXmvrPQ}JU<5G0EGLYS;F^rXoA45e4auBpv@*- zG%nVag$J5|0G8Bw=l!j6H9q~;bbFR0O9NEL4>U~Fd~>L4IF>1Mw4V=lJ#fUTAZx|`)4->JP0uc7?^J!frz*A=b)xQDzCibdm~G2E zO`bXWCryn7CGMWej=Ztb?|1Nd$CPWTz1a2+11E(M@Rm5J50n&$?zpl~qS`TMx{9Z! zNpnObn89%KF6@-<2{*`Mq^+0S&=!vS+^^ecrt4B4LtP(~`%NS5LH;T)sJ#K)$oAuJ z#$GW`E`hGbOTMqalM4X*RTaJ5s#%k~#xbU_mGlBsKHfzs!P@|rKxn_vB-OG{hjAZG~1f%W%i zTH4`FOIs5+(lIn7CiZm)kAna0LrYtocEorew6p_X0ZP&+`l+RD29D>UrLAe^im=uG zGM-x6FBG+{n2>`8WSlkfbWwvJB=?vJ(!=s$2>p%^Qxfzk_jo^*w0XU$q>b?-2<5hW zB8Or`KQH%o`+hB1JS%av1Ev}jdO9)t6F1Ye^)~*Yq`l%T#s?*BqcQbhvlE+T)`h?X zoIIw6)>HUV`0z3h5$&)Mze+TMJId<3h-jb)S!j3vnDdIS_jyi6RN<*;MB3EPmg3{_ zLTT&E)X!$c)?2bnQ$0JYEI5NhBAL8t?DlyoSEVkaXIq{WaH3Ktu}ht3k#2UygO;X_ z1Ib@XlstS8&aRxQYIK(gPl%+rlTs(fe(NerwRuU7(A;A1jG}!h<9MHIE!+B}<-cXG z`tgFG-YH!hDP7xTlF+17)hF{sqb{&`svfn1eVICs(u|WbSm|cSsNiSlWUl z9@F9;BG-qjpcE+y1<9@u_YlK?IvJ4`3+C>6l<(70}`EJRF)7n`Vt zETJul=%)S#qaGsH4~=W7dh_Qoc9_rNh)zz&e9aQo-DPd`Kq9K4GBN&EVG2J!MQ;9#d7GzRccIG zWCy1)m2+a0b?=m}L(6wiFX2{K#f0Fh1GJx3C(5?h+PE44uXSnw0_S6q(Dkl{s99-u z61w(@lcHlf=WFpKciQjTB2n+@VsXn;^s$_gFtyHFMz!*j&++z0u~X_A0J2KEu>s!| zLaYk9HkFWo?EAt(doYBNr+r9WH}=)yURjKx^V@{sHNjUYJ%asLrEB%^Q~~UCElpC_ z^+oD>Kju^i(e``kf|$jQiNAbHU|N+K>IlqvnlN(%F!*kcKnO5&~rputdpPk%Gqz>*?l;cA({_JFx-Xx zE0V-}a`wBuIDeDUIEAhyoz$LCXzE-7(G+Hz+2K>9$_5gWa2gnrZ#>n*2;h6ni{)<4ToLH`p>xfxa%Eqh zLf4#6Wp~R%_EFW(0uplVE}1P;7V3&CV?~rMdQ?CCF7-n-A};MQR^&+I zI?fxjQYF#Za|t`31cQJ}$m}ha61;^KWZfs@Ys>=ZPzqI@FPbtDR?w=ZqzS5TA`xM| zr8=NmUVmnefGF6_8c%Nf88nwGpByPdq!5-O`9}bwfI8yr>!JE63RuHwfaX?}v+&VTe0}wlsEndEzXhO%!_7sRCCu9@ zRO8s$$<%+8K;tf^ez`P_b(CF$@yg7#VczSu8x3OO6u&AZb%7|3^eH*Rz1>)FnEXqshKZ6=;?<5WW$`U&p-5ttt)Ft8@H?PJvO;k|QK{O$2 zEf0Zf>Z%)CX{s8GH-IDhz3xP^3Ne%PQWZD&1&B$k{(xQacqFcXWEy$#nz#b;XCX_R zo{L1_nKc0U?uUlpU?o!oo)No5uNFG{(hR9_w)TFEQwtjK#2M1a+|6?yK_IxcqQ(Y{ zf7mtIA};u0uNXZ!iJ;e)g4|0nF3c8yA@YHWamv;kN#V0qoAzSmUvW{3l_2mcHkc#& z(=>PBMvPNYFk1p+ZLRbWPpPVkOu35lRmAyfenbxX#Y@dL02~;0C~;7W-JKHd;oyr` zi!omh>;vfL4y)TUM=kC}w4_7Mde-~4(3ZzcR8v)UPB|vFTZBy~Ch}VyZL+?`LwW%) zGDTaIHNPTm7<9F4PuACXuf33G8^FzFd8?!KGZIZs=>=S}hzmnyG>`_DdQnVd#rk@V zo=rK_lPLMlGs7(DZ*x?+v$Aa+d{tEx#{IeV%4f(JRpQXa%}EeN3gDP-RnMBFA$_x) zU6onVoWtQXN1))e3oqkE>F1D#CSLkAs$JHufmpn=tDMYBDJE8K>*~DWYOLd&DA1G^ zaEnT_v(2gr09=K?)RpM^!dmhGTa~iO&QeJJS9M+$iyt*Lsb?oF03d?+A7G(jKSiP> zS|<(IwX?Q{0pirGHk`Y3vWJQSfO5-1=Iv5R%@$jT8H?&#Vux*-h^1k)y{&+za4&g{ zvpf7j4>N^t&*@Q8>&oMF5i)Y+aE)h4t;KR1Rw7MySnTFLxKk%i8C!5a5L3vO!?h{t zXAcu+@93kXrjhE-cw)%d_km|kO-+u(_<`exV;78*O*`^s`wKnH^;nCPO&YNUZ*$BU zPN#1EYi~e|;)W?#wA4)qNhP)ZqR(J~C4~CcHsYZ^$6qi=cr#{0AIm|5h zu31v!g>JuRwQXvOo$S9#YWqFZVse#_B{h5w=ARc_Z_FK`ve=LzmsO;l$JjNg-OPy+ zGOWrOV%Dd$q}B=J96I=bpz1{z8w_|ZDyRcT0GiQh`q#`A#Z9aEXlvBuUNfa7-F@Fv z8Z;4CtfvyR<-C+#OM>;?tazXog!JoQfBSd8`Ry-1MM(ej`+xq!@BigRonfjo0ox=q zb4n&ujCkXu(Fxkd-5U_B9DxKruvikE)btgCaVHUZO=OomlUoS5hNfHJN1eC!vdTXj zH(S6jE{s(T2{W(K5fC-s!0XzqC%9CnsL2PB<}&q|BoC6axz#4q0TjxKhmcoELW|BC zXKIKT=3X&wo9&jrV^K6-2A{%70g-H?MQ6VGmiZxI^2KO;G%Kt|axr-D+3f)Bwz}`i zm!9=d>cmaK(EZt~1WiIuxw^N4c8wO|7C zF1jB9n8@*1=aX0O61^_!5sWTt{)Ct}QArhzl(R=qyHZ3F?nw6`R3w_c8mjfJHMN2r zEn6Ea;SAWww8yA44jZqhGMJs1h|5+~QoINdBqgr42SSM3%OIQ@`@7+gqr+)gb^hUV zw!N0FeyUJ_X>4rW683D58WWsFXE^QyJJN(3HajQRYUE$-8m=B?LDuM`&e1@};uI}! zi69#;+(GEudKd|Fa|7=i*B?cC$Ks5nA@FJr$33YTsUHLY?hIGQ!W^euEx296BN<>P z#RT#jCzdbrai>#h zRBeWrPuu0xap|gB_IqCrWjk`{8iTV1KAyn4uSAhYQ2y=i8sR`NkU8mfQ-d^!!_V-T zV@(8|rFy;)?7LendWT~%Mc{N*x-e>_iQ}kyc1JAMX|^2!N5{F3?uz{X)Q3>(eoo6a z+a&|rrcSj|u3M$Rq4A$8rV?@BHV9Tzm%fEsEWuP|n%o`qWe(j7by!?~0ElmoBouH_^Vihry-4|jAZqhZo~;?@c#RXM0(3rl$4QXm?t88_({sfHypulk&-cLx}r<7+xbQDbvAbF3OR z!R3wW!YPkL6hQ(QB67ri^S7PD*0i!wfzXhEH8^N4ul`@uFm%FM73Ewk2hiOKDy8Ot zUsCmQhZ3|xQo-*I5ZNbnR;}bfhG>pr*UQZD={88qLe%Oc2|CtGoYmVdhx$UQ3Vn|$ zYrV%4Gg~E+pwulVe7f44TX#2jN~tkoYv+|ItK15|j%_I#7C3IRZ<EfM!y0Zi zB3pG_xuOt~ojI%+u_IuW!#2mf9+#wA;u#h%veld&a)1y#hmW{M8fS)cJPu%;4td#* zdPs|%uwEiq61h^sszk}&U24NN(z|LE)Nhm~2DPqy!(_wap^4(~o?f^}rI$T6Y~B_$ zgZe0^#Dfg}bkRVkGq|j!ehNm|;;kBZJGOq#^JGoc6d`V6Z2%~xEZA~QW)C*%uq-M_ z5+q9oMPfFcBNtU29BO&48il2ybUZ!?UrZKhMywBbMa&i@<{}23es*e<@dk-{D-j z0+JfZE3hU8pn3y;*@`G1&Rrxh)NETD#_nzI*SNSvvWDszi>tq4u5C6Rb`|6v+K-w# z5GH2{v?W@*A5)Jl+x;%>%2~c5?fvlil5PY`$(il$wItVf(d4oJjZ1Oy%ZsG_b|q5h zVBXFHnOTw>O3F+*Eb->K5y~pYihIaXE#k=Lr!JQ2aZ3(U%Zo@xvDV9ITMZSMLis>8 z%vzl>4_5I^$`F~hH+QcZ#8&us)@#ALpOTVfvjb5tanE+DYy+B{CAr`wfX@7R!ti6;~tqhz)4x zr5tyz%OYEQ<9 zOkYX}YxiSUxk+z%-BOkmSHy{B2NuM9Xe7#^oZgYW*OcSOP+O2FR@Pel&ge>DVbdRc zNzB%krc!2gM`+$mrOd0%k{oYXvOp#4zXg1FQj3RV-nm3mFjLHJ51=_U+esT3`G%YG z$;%jty}2ZPpn(avG1H#V_TCmE+~%?CnjtUP>(tG7gl9c&f**V=Q8C8_A8?K}Z#NP2 zj>X_pB%sH(sbT)+I^Elm_;hD(K}7cBQnjkApslDf=+KOld;xJK#F)k;Vq9GABzT6O z0uM;?hwZ`e2^C2X%_u(NR)IP+Wo^Zh`JradLuzwawvadV%QnRk&bOsWs}uLmBH(^G z519}^2t!Y-x!PhQH+yUBxJLDD?Iv_S2wGiJGSqm^Ut83-m)8!;amkm+h2&t_B<8Rb zvhc>&T4Rn!Qo`!EHM37GX)E>vD_Wyk)$B3{s;LsBw5i*~RNcU>Rsy5yaIaBw!Bn{b zij~|~e7v$j%BpTJ#kOM+Ow?$kH4`&kQBmOVZ9jWc9Y{0PRHoiFNL?ABA(*Zr&05yu zji|It87!>%>rAydRQ76;&0p1s{1__Bp~B~yT4%~$fhgu`KNReU`?p+9YVsK3tLT$8 z*2lOYvJwyjH1CV_puC0(pRRjnu2q~49JrXlk`Nu<-peWlJ>bldq9(sc+6mA}Y$*I8 z`CXZ*D@=jTuExSGn9xMqmLQ4Luh`^$iK<;ivAt_m!x=X2&P1C#hWcIy_+DC6UGv5? zhJ*(bRZ{+FL}HLFmZsEZBQPT5L@}qcG$FnRo1AHtzEQJ>6talk&>8hNLaM0 zGVywxs;ut|_o^$^M&Q}kt_EVsaPY5*j_CmFM#tEq3gU( z6~K3u(6lGR34mK^b{h+$v0EgB69MUSKMW(~uk3y-HMk4RWL+GH2@pp*Sx5>domE#H6 zB^crRV>)aXzbp`=VR(krMF1&;65KtB15SoDr{GaC<8X6sG-;ZUQl0Lb-ZLHTuIk*J zEIUle6Q6wd%isRpcfb0KVcmZB!zUE$KmXT%`Q1aen@hlHRJeSqndi_nCxrk#1Ak7C zdoyR@PMG4#D1uO@JH^Hx<{%D~7&8VD+vx=YPN}s84H+|2KT>7ElB91pN>M*`&RrZx zt{Dyp1Oxikq#&=J{3V-;D?6}4oIq1VyVm@rrs=Yn@M}E@?Z7UQ@0N;-)G#@;*xOc* zE=Dr$=3W%u=6irU@PlO&KLrGCqydNrR?v*Q1N?9!s_3R=J^Oj{uO9EldY|DSJXrFH zwF+59Fcu_f23dNjCddf(y>ozXb4sQgU(tEpTMtTI+#HBz&v8R^s*@}VhW0)cpsysmu?P1m?YrPsvuPU<%# zbjnIzO1hbU>_C@$ib8IuHB%0?wq@fsdBT!S5eNf>TS$Vjgq_5O$|j`B#w)FR21Or|o8DHxp3>aVG#YR!UQCLc&y-HO$J#Uj za^*9nXud_lJB%vlq1c_jTqV^4rf~|pLS*N|>W}rtt?z(I>w&>Fgq25LUENiycjvh( zLeNlEqAW>Cjj@Ww!tvj26nR)mYk`n?O-okcrXA8zUxy@wXIAJ2B+h1P15Uaz_(?f? z{#bN*$@dQB$j-`(Sl(Q1rj z_vf=}e&7-`{7w?qwPC*0d3Bq}KL`7WlQZf}pD7EL{p5Y5c)p(!$^;=?AOu}N&72SE zz~GAI)L1uFk^PhjXo8>Hy<_smzCKg}2ryifqW+V{tlXIqI#6~}DTTW!2RYHfA<_|M zL}@GYtQRE#^KnMDT&P`o`re+qT}2pJvm~n6GCZf}Q}`8dPbDPXB}7PJR#@ca!E67fo};ntF6u=zS){H)Rf2 z5a8*W0g|sv>#C(xbzpG3B{?rVD=6J(O|C|X$vV+3SUl%B+?Yh7Jf+G6Q<0Qc8cHMKGY9G5HDu}}$<#D$TO*d)J!L(XBt?_Bal?wp{35TP zE0G)a?a%#z=XEiLm}0NWwG$nA zE8aTL0O|JKB_>`!T0-#8JNkSL-EMP@-8qDrtg$afWhOPF;tKt-pL1~YmiDf5- z0ymOoRVe|A!*u~)@<>Y)U|L=UNYg(NsAe@bR`TL|WCJ=P2ScRC@*qRgN`m)UN~Re(e>Y{vWZCh|H@ zZ13T6pI~1)TT?=3;TUBv(YhLA+`_hA=LN3F=l}fcU;f>%zx@nUr2qH7{o#N6;Sb-u zOq$1oMM50OW9r1r*aAz0qY*_F8&Sc3fj{+$9RpI{a7C94+8ad01Lzhvza0KhGr#P(=*asg zbYyNzv6*9Cx`IWGyCrTnU5Hni;{2epEo{_*$3Dc}iG;H3+HGb#*WeYU;dBwkurD1D zW`Z*MY4>8$Xg;^ZwpM@jWpiAKJtXyWbxpMcs_gyJ)VsE+ilIoXsVFW9gY3%DRiwIA zIXY8Sjf3O4WRZ0>6{Z)ywW{=2hmaLM>d8nJho?FNPHEz}iuI9|{167h%}VNKkt7s| z@5EmacqV?_M5Adk$Br2}tT&saBl9B*(jUdB$x6;|tYpve+eJ&x?^ww=Dj%YAv$1RJ z=9nV8oDLT?Fa8+fZ%kHldtoIn$)B58r%$mJH4z zgwHa->`yIjyQ=fb%N*h*kI73uI3+yiB1S>*VDd0|$@Y>eJCK>+kMG|>e1XTDykunz zjQY3S?L|A3(2wMv)*~*Hm>fk+mK-Ce;ZW^Pykzs>C8wzvevLO?vKKG8^>y-+r%d3z zyb+TLH#WBGr+LZ!9WVKjCh?1?Gn1J7iiG?=N6%yP?ndx5<-#i=fqCI2-x@uyTM}_m zhX8k6ibm#e*)>u}yyPfeau1cjyeXXOo8+}l!dT^Gp5){t5#MO@O(ZOqS6CFd+vAW1 z2|=(~y>Xc4v*Q;h-GS`{gJx`My;Nj9>SQO2moudImAD{XQ!dhei{intb?oYsolHy_ zB|&@6${bLZjsKLLY=jC3$ym^3(vz_mwreE8CQ)&2RK1E&d%n zxv(XQ#doh+_mZ8}pcwFxkwik_uxXHm+O@LMD;i;cK8DgS4~nu**$Bs^DEHaJ&KV5y z^Ik08s#*$%4S>}rLpko)Bb|C;19clNqA1S{O92b=KF6F&pX5FKb?j$JQ?4H?vKh%x z#ds=0Gq0-Rf=Xs7A1TT~6y)P*y-xPHe!#G|k%9EiiX1xl`;11{AQR`S+>dm%skax1X_Z&L95tyTAX3Kfard!i8zlNY`XsG2^Z2tbyi02Q@!rtnJ3uOlFRi z;UZ$s>n&X3PD$*IQIKjeCBdp&sE2i?`7?(n%(-d4DIXITfJxM_ za9?zC9{}nWfO6wriQG1&2Q4AIU*$9~DJ+N3Q<(Xfk5KjM8 zp@N|Jo_I-OJ~rS{9(XA~@RB$C*fr?OZfUtxzII-W$O)J<9%gD@3NMX>kyay=_|OFa=X)OAC|vBofDsRO^amO|!VT&P%*&Z5+7)ICdjsU0_gnZr{t=)rW0BII`?bLf-9{pBrIa0a+Fy+y3Wt-S8N13zgRb{`Dt+ z{6D?@_<#BtKfb>G`1;d7{{7p-eE%~Z=KHsY`Tp~bJpZ?!_=F$+^>^QV|Mr02f3{iY zqh6_R`jLmP+5Ct9@_P{XpMUpXzTv;j)A`T8`_ue*`yV*VZ(lM0>qk89#oq-dj*MY< zn*`W2i(;GdJ1=-VO*}5@Q!Ah1@$?RmA8&wsJOO!-2rPhH{T($4pI>F~*IBu>A*<{y zc@_Y9%q@NM)6&GxuYGZeQpz@I<{rrZ?>oH-u7ay%F_4q|tPN@FCoQDv*47G<{HR251mEO#GZ`2sEnl3Qnz zA0%AfM0iuhcic~0t|r7LT<&6T)huZu^X$U|m>2%j%ke2N$J=c8=fJ$a0P{se&xy<@ zFdv@+^Y#vyPf!Uk2SagI%zI$I2$>rp^CvL3UjgRf6_}4N0Q2P)nWymA0&@a}nrNqSSh-jQ=F2NGe*$y&R{`_o6`2c|k57SleGkm-*Ma%+ip>84U>;-( zlvc1Wz-4nqB9Lx=(Ac{ikZM z(!4R(8lE}zz5*E^jC&*A1k zoBnl0sCPA3^Qgi4aKF@G@o&oAd{l%|GVuf>1xeN5$ZL_mk8RdqA4$Y7)?lT8@UaFf z;UU#vZJIUMRSel`z$T!UXAM@90Ypj&-rZPU?=1E@5#~t=_ECckj~cB1v<8a_&wf+h z-xT#-ysyEg_chp7x9uF;^;U!Rvj!`tIwhgF)nJvSysN>w#^N091+j!j8CC^Yb%~uC z2=^$%7L{SmyD}{H(@m<~OBuH8O1s`%_4j4iIgv8+p=!Co_dkT8x+dv}w}<__Uebyo zhfm9}{ZWP$yF?J?tqkj*Wmxl+hFbbIC6hQGILt>Cwq)*_s<7$3E|i$7$D*oQXMOBL2VpwFVtCXGFJ;_yAIuxVCd&07^##hemUh26efgz#Su+P%c zhbnBdZ{ko*y$1)|zhg|xd2+i-yQ-Zi=wlsrVI^M$qMmhFGhxu~ZV6Z?Th<2nf7W43 zshYwZ+_Mf_4?ybR_^ly+sKX|XgLHY{*I~mmLHSu7cJ_J7n!67IQ4f8ncXe3%llo9o zSaW#@MCJ8ShuvQ4uo);m>#$y0rOGi4%-^xS7Gl@d?JWT{F*J@PsuCMjjaG`%s!NeI zzZKieLM#T$>K+B0=P?Vh?R_D(x><-_W+iqOVlgnhc*E1K8V0&O>#*y)I&7>L5N?Fe z>aa_Ak%@ZLVQqX@hLuVbp%E5~HE&-n!#2m-fN6IrUikNASpUT`Z2eeJT&%Zo}> zwNiD-k20)%DZ{GyOJ&&bg);1Wk*FoJZ||@X5bmW6ORUGyo>|MGHwKr;v^|Qj?NNjc z&m!#d)QK`G!j4A~wtXnV62?D@uoiPxJ+SssgvAfN6=4^nBCL7R+|?qGo<-Q9A}r_# zq^VKrlGV|y`z=eCx_m6cN}cbm2s^BQ=tTW=5w^d{M5ze7Y|kRB8W-)e2#XU?aGki> zVHRPBim>V0$MNMNY+LS^BCH66pA}&-p?)rw>yIL=SOHl=_z9QiUW>4R(61L^+lL~o zndoTDB5a8ovhh}gU4)Kai?9xG6#k#z|N6V%{`w<0`hR@$FF*Y0&%giSpT7AYk01W< z4?q0*&)}qgc~_PFpO&*dMsd%Y`Jro6)Rlnw9KgP4G>KapNNQ2S&!nEyye;Ahdf(* zmuLI50KWV?0+?deWt%T z&(<^<;jhfIHp3Qu%0Ir?-Tk~wK;HSQtXB&S(0epGO zvwf)mZch;ZCF=}3Iw{seJltw8&h=8BZ0fPq( zpJzx+(gq5s>T{_%JJ^_w65_uoG?9VF;*1`W2_ z1YCwu7>QvAEQFwvp|m9?&#YyK*{HD7FYgWQ^`n23#y(^SRN za#2MlmZ0Qj_+hJ&HD(t?x~Edm93`z$F)}Os%!<`808LFJmL7G$h7}FS(Ofpo9TiZK zVpWyWjC|fhRWpudmHa}F-IZJ-mk{Qc_V2dP> zPpNn zi9v^0r;nM7h2=fJh;eTuYxLrZo~r>fEtNfYBcqwyo!wi zrPc|h7NV#C97)PQZFn)iX88&Ge#G=+XdfHyjKzK*YmwM!DQ`pjmdA`~^D<)^bm0|K zru@bwB>dY)J@*FY>Sd0YmT>J6!J~MZ4J@?;J2q+XYQl8N7Y8`(F1R^3vI10a*Qz8K z%_-3!?!g%=vUoW1rgnK?!4(#4Q?S)28Tptp?e8S!v{C0MD+z!H57l-{a!(=0*`+bx zi8X*mMH|*;Z}-cbY1ikRX(OZ~d+)a$6VR0G#X%RhpF^`fBtD#p9LvmTY6R-3xx1VK zEOoe*U#gqMXe989mMoaPNB|R`PmFY^t9UO;KHc2>A-#d^dXAZ1!eh?#1Vd9i0;8rm z(?KC%n?R`4I5VzfG&h)NfBzrt2vmOvMD$iYFd3`Uq5% zO5?>bBRxpJa(SIL4dNn5tQvijxuxc9L|@Uc>DzK9G~#!i4d>oUG-Gzt2(60kw~5(?Fzw=X&6ut9Pa4W+v@eBTts>vF#cf*B4cO9x_)N(N~D4)JEjW zMPLy%PYjUf)XxyPvjRe#w}+nSL2!t9n!~0sTY#_Qcubp)+fG;`H6efBk3^cYcrs_I z=uYZib7>BnE~`ewg($IybO41?{kvcO-7h|aLjU59Sv$ zuQP>%rIKq1OtW-W)pm}ZKP2UM66tZ`@O^m#BNI4d{gmXL#+SKJ%4mzE3vlr3oa{I- z0hw!Yi+5eNrs`9c_y`w$7s+H>y;uBbC8b-|QvK=$jTY~4qMAT<;?c@f7gZgRV`B0# zhXb;@dDSHKbleW|1)1(T=4xWrqmQgaJg_z!39n;pSLf7An&KSdC)HJ}7W^r^ zKJLYgD22zS`Lev46dJg9axdME!rkX)hZQjJQ2Yv#c_X}(yb4ECb%yQobpif$%}5la zs97V6#s*&B&hrej;wY5@uNi`yhTaf;d!y;oYCh%;fc92k+LBz5h@Zyy0YQt#B193w zu`$MKFxTp(iOlUBr$*wPyDG^>$(T0wgH7xpss%u%@p_4+sYdC(Se0TT3eXH{uCfwI zlHv6l2kXo|n-VaUS( zYIIY_WWusmwQLzFd^`R~!TwL1Kf*1X}R zg<7NpS`SxM^(G9_)NBck-^(MRBGspd;y5p=Hdc*}o;p?gE~O?jF~HU|xHmim$JZ(y zwJESOdDD1-2MAD~Ee`G0;9i|~DUKg%o5X~AML&;mE@@ADs9a9xb(yyvIJK~Wat!gx zd0dX;V6GO##9E4 zcbX!;b?K%`=_N-e9n7pr2ok@{1fD?oy$c7dV+1dK-CDcEYA6>q`#>xI4&-uKMaVruNmt>#*cxD{ThMSiH zf@B}sdZ=`NyQi3Ve2R&?WqW8{mX%mnRbK7Hhycd3Yf6A4!hDow#*3J^=27B^IlRFK z7SFSn3!f`N`FnjSqVUGe?>ETU<$y>Emd%cF$m_}~08 zbIzJuxj&&HR@C@?(+pHc45W@v4%B%OmZ%v}if|hDqn83mozl~l*ZPnD$&6op|BKJH z#6MSg*rT*4jQxvM9wx&Glx*`;<)NSUJ;P|^sq)ZXR36Hk%7d#vTjilmm4_ykhxRp< zhw$@M9>%9C4>-D~%0nqe3?tKD7)DZgXwN#$dzFXrlPV99zt*UPt-n)wz(Gxw2OPkj zoR!K$mh9P&syy6h-jyj45$0#8JV@>C%PJ4!jbYSY7)F3`_qoc0=tX;f(u>lY%ENnl zQR)wshY$3kud6&r-a{%6AwTIwc*vin@{rzC9>yVv@KAZ^A5|XuRC#DGDi5ppMZ{7- z=+9Ih_NnrqJUt<#xJLF(<-xqFJjBT_y19OZ*MF)!SUdBl@A*aT9lxl(sXP!wzE^p8 z@{5}IMNZ>;^VRq9UghBTW2Pr%zyZ;!!C_eZ_X;sqd$uBaW@r%am{JF35i=^{l zw?*|I^Ec}}EUN$X^%I?k{G#*VkNg#WQIm{*zjn+n@4F;%Njec!EDwHBpZuc!;1~5z z`9-FEQRrb2zbHI~9=7}tdT`h<@r!Uo1S*8m<{DC@ZS}&PO2+R~p@-!o!AM%;uMDI9 zLFmE!n9#$MVZ^E&uM8tIg&yK9`pu6pjJWfkGmNqeZw#YXp$E6lP)b4%AZlFhml;Ok z!7zFgdf=b6y)uj>^x)ov9&*+I+>b&J5026DI*U%3vQQozBlE^F(&%Zp@;v-`Txzgzxek1pE-u^`*&mLveG0k zW9V4XPsh+{9OZ{GboNKa(Cr#S*EEL}$oVpcZd)-d=JPRh_xmw)oKq0&@oo$qCd?~7 zXY)0NE`2_RPP`TZ`ZG={F# z@YxtTLPev+`0^OK@iK;vXxtYJkFsK$T4R>#iz%;(*V`(3wRq{IxN3^+iq7KgZB%03Cbj9%Jav z*D-YIZ4BLbH->I~jG>cIxW?AJjG+tZZ46!bIEL;xP2;X@X%3(8<1vVCe;Y(6 zh}`EuM^H^aa@sBNeL3yAr`0WCt@zLF!u1i%e;h=&>#k~WUCk1#em{urcpF4F(wdh^ zcFd1Kbp4zvk8Lj!K<;6cIGx6PbzsXGAA{&vz2_jhg!Psoz#uM1E%)0Xy73%DcY;(3 zhE1B7Y_NhN4WhFf5lHlR-P8uIL3HXm;l~$uSM$X|ba}iEqC4Uj2hkBrKL*i-dr4}h z(IC30q=0=6qAQOUu6;6z&ORs6^~WT-_RCql`ZM7L=Y zU20aY#yjqV1D}Z0X_f9EKuq4$w@GyU0*1OZ5G%%QPNIwb-6T4xssgQpCedkjoJ!k@ znBGsKD{&t#F3S9+Vn3fm*K~~LB)TyxsqZJzHBF+M^SPdr=;XlD*Cx@~_mk+XCeelB zUMA6%_mk+BJKUd4qD$ioljvGj0clR6<7L5!lA(H=M7P`}esL0=(eRWHlju5liT`|< zL}!H3+HRM1G{A%jKQW0;$s=x2cut}lpG=}#gpXb((J5c-AIT&3O4}RM~?=z^p~ZN?wLL!WZg6^!Izmn62X6_k9f4#^wE2fP~!P7iiD~Q;(hw) z_|f!Hc;BG*Q6%&weH6cvK1%Pp(~g-wa_>b#&8H%vP$Kw-3he z7t%*b=_9qX0FK93L_$Y+ClVT7yVI0DI`_7)4N4zrD_87M`+EAQO_9(dmg!si$Z~R~ zNa(0(y~|7=)sG^f`Nz{opNNF|9~BAhA4NimrM@Z>iuHTfp7wu&NNAerqx|#IM`1H= zwx@0Wy-es^`e=NfJ~CH&$b`y1yrz%pYx)R$G}A}+L;C2)+SA6n_O$Vrq>skml0M2` zNgu@@Ngo|*PwN*(Xw1=XGkxUV(nlZK)7oqL$bPjwt-hp>#;55cne5pijh85KfYAA} z{RkEF@kO1`&(cTlbVB?2sZMD4YWk?Wq>t9WMJMz%ebkjc`m1$9KTRKfq7&La=!70$ z)d_t|AB}f9q4}#iq2`%Bdgz3vmlie2a{dgR(DoBLp$ixD-$5tzUHa&6(FuK*KKfg9 zLO)F({gpbQkMxoGkUsL`d%(}PpTeVmc^erw2{qkJTdaCiMV=jz|*Vt?PodY+jzYjsz5 zRaaL}cfIdLlQ*t;?5vQ+@mU8|y9IyQK-t>bsK6A0Rm0Bgt@^JA;2M!so`V!+cPFF z;_nBW8lU_y;@Y7XLKty;T!>rR4UN_H6B+LZvkJtyu}YuQLVz!;J+0^SA;6qG#I1{F zG33EzHRQqgp`O;Ww;y)^+|IV9&_FH|5)vIrtT;#)FE{x2_1oPmB*!x|)7<<05Flq4 zW*nlo>$@Yox7PQ9OrgW>ItRgd#(^J0fE^n}r6 z?LFHnRMSs^4rf$ls#pKB5H3@C+En&HO#z)H)o%$?PtfNUpwG5vpyBXSaZbC+ap9n7 z45@p^;jVG(b9XGmoT@) z-#YFvlD$XSBp-6zIT;~R%=(tm5drPU;KeRza;phw$@9yTaM%f~4K*=nyLIB)pVdCO zDNKWOE%LfhH;reMTm6vF(F*XvlX@+)dRZ7*?Jl#bt}b2Wl-S~VWY~{?nd6n5YkyH4 z6Z`eZoedsL&x%ZM8|(P%z~m*Ift?5C(%)@&V4eA8Q3ZpSo^fZNx}_<3q7nM_fJ*g! z3+8pW;xp#tUW_VH#*om{7bL}TE;mSlagoGh0!1bT<=;*ZtaewV-(|(M!T)7HXR;g* zs*m*(1abu_61O}q4XmzNj%OqqK?^Dws0u0=veo{yGNdo1+YoyNFz^i! zpbgP}_my*a6P0m*9`cm^bhY-@f%iI^V4e0>$ufqnCtU%8RI)hzjyApZNrCuQZ6p&B??96scn_JwGwH|6pg!C@;WC15efrbN6s9L} zy7}VpCKC1j<_oEPG?#hw*c%eOIEZ8HXtTM%9T?gDbUi1L$9!z9gBQrV}JHd-#lnElZ(qfIx|Tu;TQbrZMt)@@r&l&!0j8_cgE|)tz>N5<*MH-OxVblRf3i!O9-X66igOm6c@CQNLFynE+s7oGw#?6Ojkyz~!VOBJYNT|oGtc9i_2e{;F$q+I zuwbtw+=n%9T9aEm; z|0m78t8>Ql?x)a7f@eMTp|H(*R#s!)7k;GO_~xHfoOU?%f{7f@Va+Ld0W+QmQ_Mj> zj)kW^V;(398YwY#K_)+sTW=flXjicAHp81|*JeFWT)7ISJeBScoQAeV-ULc8h}{u< zlmGT_-UFT!vcn6ilg(X`-WIj3XqD;;mUb~m%dg*oq0O3d5Fi3_O7pB_;qiEW4e<(= z&h_%89L=>71h8f+dbnsSTAsB-=>!WbKAx-1No>r!NN(m^oAz`Kw75revhfdZ-kQ5X zlJHi8obMY7PJ8wyPJ7OzG;cuzPFX>nQ=T1f{UW?LmeLFg+j&J+|BU#K01gfg1C>^4({qb92_Ma1suo<$YtT^0dlu;1DX8)zL@ZE3vp|GMTJA0J_1_Jyj>pN z=y34wZs6hI{%>&sa}ZT}A)Mfi$G{k$5LpDlv0ZD1A>Duyot^ zQ5QGmCjfRwEFGGXtn<6dZi&(-$o2JgWP#+fcioEQsqsm^iDye_{CFkr}TnD zwYxO9?AY@93&d>SBu2WlBbQ-ys$eql#E)nR{w)e0ehC-^h)xL|Qy`!c87vBV3*Eh2 zMjEwP6#7K2i08T|5aV#wRgcxJ;Yd?x zI1JS<($MIzD^(&nzc8cgCLy=B(gL(fenv}A8}VM;;aJ)srdJ$|hk%z6qYs9-rj|jy zwiV#>ba=8~Wx94$(1N~nFy`s?cvgGAVJ={781RQw*%IAHBKU(Q`2xws5lmsu#hvon zkR*1DK4ou$L8l`lc)keL)cRvwUu$(lJwgmJ0fGVmQJv9sqz}Q?s1n`ug3na>Cqg>i zk9XQVA=f3#JS^!FdZIekNVyfL+F9x~`f7p+>1x5GxvFCrDDP~6?25*1-o z;SEQh=Y~YFhf(IW(kY8H2Mj{=_IO^ue!ggIUxc2tJWd=3f<~*oEe=13T3O5;jlX4Y z9_gB(LRZ(yi=g4zQL3N^b<)rKfi#Dz&m2EINM( zR!%GrBn*Q*i_jeX+9rZg%^1E1d-UANE@;?ZU}E1*^YOgyeXum7(K`$6Gr? zm9Lt9c{;`R`{=h2$m+@M#4)^!2aqpr+r6hpXf^x2hh4w=n$tvjUl~JMtjt|fKiL}R9INL=fB!tiVSD~h=L-EG zvfw!)uo??IPq|p~dfSA``#3*PGvMR!^7D^knUs{1CCSVC|8xpV_PglZZv&g?%`yB> zPJ!pYIfbg33H#oU1Q8nn)#lY7x z-9z$^jl9iy@YRTmkOPoyvvV!wI4*m(0Ng9FZzzOnj@{bK+HYKSy^cUlq9-QxdIZ}& zC>Jrb0L^mdPyxc*e>h~DZt@YdMgK8otwD7dgL zs%u}Up@$9`B@G(~5zbZ}FHNxS{Tx>DFMV>hX}kP=_MDTJQeQF7F}I`wW-e3QWc#67 zgNK_=KhuX+V@c!9FX3$lX8RGntS^=7w#jT5*`E#wm)H&(5H`MS_{ycQMx>bO(Z?{K zXhYX~*X#>l39hQR(Y)_8z9zA53m_RWGup+Ty`uSPN-3htLL^zkj6-0b?%&q%e>Tzo zQw@(%@5Dg}aB#qP|Far+|C<`}W+C>Fk2vrD`H6=c|M&|?kw%QY`DZ0Rb^_*=PsPin zi7B@rA5vu5-Vpsh!|1f9!i6;Rdl&NBjdi}eY3T{{@pm^YFq~h%s$V;9i41Him&`am zzBq9Vl>H{7Br{JFF`Z2={lb8+ zyUQ7Dv^64}0JYWmV>>d|U1(CvnymyTSt7xZ{c|~JXW-83m{-SPh7_&B1|vbr^Q;@w zNTc<`p-rB~(9?iRs;NBi<;}^0 zV8A|mA1dZu=I|JKOoyX*`2@IHRgF{5>DF79N?R=;pgZ8;^l@UNYNP%n!w+D_1h-tE*zgcqU%!E?kM;zoc zzkKt8A0*ue$Y`IvJjv?Yof)lWX=sb(QTTbj8n9k%tTJkU^F1p9<=j(8Q1dMgu2JVw zP-FH<4KGYY2#l3x6#ZUy(%0VkZEdAhb?~;iSC^Y1Cmv*V=+@@jh0d>*9L7*PYFQ;|ZtyNOR3+_<&E9Z??OVhz)W=PS_@@AMKVbXT^5!|A* zlV*6G8l5)9B3DW8Jbava1{R~pFQB~5yyH@yw~!ushkKk^_Y!S4%r~D;`WhNdsp-!f zSt@RQx2Sxk`~<%0CMDgNrs!4{-h>7`bMbi&Q1+Zwx&cy)sRQc`iyBuHq*$x*QW+f=Y;$a zvhr$9mU_tR=5!45;1w13^SZcc^t`tAYat)>2)N$?8e(7D>z)n{0Uupon|O}`hz_H8 z0iT(1JWg9-bMy#~`iTot1)4YT;d$06?9nGtb@A^^Jt7PlQ2u`ZY#uB}fNrrh)oh-# z&Fh1l%3d^>nszE+sqt=mWzJKvmIoxs6sq)HTB9mD`_IKV=;AH;hg*sG2l1q8tJ%pY zGGt((kVQ02o<3sl*Kx`sJnMuWQUbu%deyE`SBu#1dkS_#^fuh)!PvcdL!LSjWOc$5 z8h?9TYmAkXGhqMfX6m-!w{Hl<`#g6@6{FFID(d)y#BL{Glth`wZIIq5jvWYSM`B3& z%hr7L5oODthiK3K)mR89e+4P0u z9KlbLa&)|5K9o<^HngoH%okjAr!wjTww$c*@+zkUMm`~5_P^)lWA^s+l@#SrP29RZ z_1^UEHtuLM!dM@CNc8;^AZ}TX+w;@6_w#jTIn^Q7wYQPjFr4ajS@+>|60@=vf8 zCI?gz9S(`)sMs{@UwAd^PZEtCEv~vf-^FWT{q^I6|I7>`hD+OqGBX=;OAEh&ZvoIYTy7YNz*@g6)1|PmHt_7=AlIw zx+yZbOSvL*B84jkb7Uj)$X0%jPD%xLApQMp_q#0awvHfr{~c>tjDlL~ zv>a(%KMnwyV@wtrHbo8?yiV0 z4j<-sK$y7V9RvT+O@8^*#ZGnxL^M7ho(G=&oMhUf@_#|Q8;e`7F^1Yct8lOZhKA}r)nGrrvR6}}i@STH}JEJ2sTfvBBPbxjT6-BPHmv!*v|FKYP zycvUsx3%{6o0a}gfwbU%v(Tm)jn94DZ}Z-5V2ppL2IPYlFsW*BdzgV=aip1vbS6@2 zo`>zO7Te{JBCppqMuHLw97>cF@>x3?75?1do_bUw(k8=qJ!}}@qK$nmz-FAit6j5l z{E+65PKOlY@67McRXaf@7(p^>L~W#C zR7PJ|sAkOr;$H3_T!TKHY22Q0i&~H~erQ`jxmM_YScKFRbWd06a5)D)@9YSr;KHL(wOS?*xt@aW zNFo_mVqr&@mC-;`_d`0(_(kixtnPo)&x93tz%RWF12M*EOjiD+n8b+ zrwcA@;TkV5!lcu3G8WL2nfmgQKB|)e)qZ#D3zRDa!*LGvf%g&WNNSN8X=sBr>{Bt#B(M5M zh~#$3$=sHxp3A#B1gE&{Rz=)O*4#l6VENk98fND0*WVS8ApUZ@v=t-H>#}qm7C%`# zt5zFugh~ELCn#oKX>q8v^me@O05wPO=#TjzKq_eFrA9P!S59o;^-hKpK;0U=rzyqe`!RslHMLHJI z)p$;q2qs51Wb?&#RI5b_k|DR-xJfhkKH^N1uXH}wUYBSWX9@|bC)mk0(2@3(SIqw$ zMduq??;YO4an=7tI4=BON7465B}5*a$kRuH$9DtTjaBAmw)M+Nz!7EX(fnV-tl{(N zFMTr9YkEzszAjiHSxI4UOB=@)!1TPWn>b~h>hD?2+7S$pbAIKH0VsnwvN_-ka^0oZ z`T5qnK&Jha5_sur`?d%YH0j=+7_x>Oc~)Ng=}_uGta4nsPEYEGf(Px6QKs|`X%`s3 zcHMk^haVE1)gJIS!BTIBokUf4K$?wK`J)0EpR^Gm9JO4wri05#k@cO0_%9^ysQewH z2%n@9L6Fn7NsP7eWTzDdW2)>25GP#iWPKLO+0S!#UJ8s!gzs%paEXkz6LG_~g*|WN zQ}9)f>ir})@T{Dc0A>AU^UNH%yy4x=F=e&ofP2OIe#N{<(jFo z6R2F^iSu5Th;NpjjP66et}JgHN1ipb*tI?R4N=WE z3=Zz3DDpC#@cX6?wJy)~H)T{UU=VsuG#$oRw1B1D4jkJ2Kc9f+q0RkP^2S?PBazwR zGM^+Nwzt0>cINS#$em0Yax!(MN7bHfHTg^OSQj0oP64s1IW0iIenmqpb%;4eyE$1_ zfkO32HrrY{TkO$eBZ$+7%EfKV$j?t@rp#Jfi2_&lyPTfEB;Vr7Q?~n%H_J`7;Z#Ze z6Nv|S-uggIO~WAp5N?wt>giCL*g4&s-}bB6Mlqs9z$Zyv7kK?_#555OD8VY~_I^qcIP<0$9|{3Y8M+8B}K#vkNs6I0V>#D=Kth zTSG%KuwvROYL})8fh?91xfr1gmd??M$OouhahPFlHSiyWw<(V%b{2=JB*&bC6H+zK zzv_)ZnvcLDo6cT26-FNG6#QoB2NRlyEW1nm64>1Z089=$W=%nx_M*G`Hf8OKIB%R> zeMrNDV^YNgU6s?kdP?Uk-YLWxq99-oTE8*=KGEf$^(8skqvWz^%|oKJ(?1A4+8aD| zMy9Z5A^5^wLixF0>$l^|@Rz*KMtw1-Qg0NSeTliTiry2Nh9`o!)? zOJVzL>ry9%!|WMt13nPvx4v>yhXxL{8vj_j^_DBGs_ojGA3|94fr*uQhk|^~NQw*V zIoU!+WwgHbJoC}lfJwN{_t|AVt{Yck+J1K?sbSGIW)@zzlm>HWXI1fRQ|g;TXo0m= zmsCVhuRz$xnXoJGXED!buEKsB{>hZ-zIyQe(t_2kZQE+ylwDalG&90CR@3?xfph>F`>B8Y*PZ~$ zayx<+P7v5-Pr99iS(g(AjffT0Bo}pg`8UZy1XpJx8@K;K(r7r~Yt$zsWj2lp->Z;) zDNc81#6C}Z+t_}IXJNH;%fBYAS-(EMQ7(LY6rhbjly0KEWN_Kw!56PGEm@9JwAfEu z1s-mt15L-PlH!&Zsw>349u$o=Y@;ohXXgu=Lt<@|OO*0Vm0B09hoM=<(=|53!r12@ zImw6Um?z_81SV}hz0p(&t;O*r(p_c&wqkzP4+jvcC5pg`RPqC{s*_qn>@R}h^Hn5v zzLJ{!iswtk2t#PS8lq9Ts8kx+Y$25oc9LB(&NWSJ4jkq z!vK3hUAG#O;qo72L-0V-+3%Uyv5EX%yXxV({dpFj)B^-Kl|B@TBAXL^F#khXFA66( za4dyxy044xHK2{gpMWvD(_+@EE3`e55z^m#7s#%4RSl{#zZpwbmj4J>UrSQ*NkC|m zTL4e(w;AJDmc^7H)Yq zd%m~h8BC0C04}+;=jNt~3h=aK76*rBKUFs^L*qBl$+vRisj>y;=2S=2e(;3!yDxcn zZs@5ODO1lsX`Q|h@b8a1bdNGFa>wND3E_G25hfKNuijXJByW| zKDeu9xfJBJjCR6u;vobx^Re-8ZG7uS&jRGvXI8u8*{tYmHZ~Tv$#8079UJo3Xp~Bfnr+deSw!zmp%q@UkqbF? z+QN$8fMZ^(32yuf=LU=Z6(z=q8 zzq$`+s&4)oj-b)KKqBM&`+!c?oy=1Z)yKUtmcuPMo1ept<1g~{O171lkO_TcO_x{M zpS;L#7N;#KZL{x7L)OFk-7Y+;N9nKKa^xdXRmlwl|I1@qhk34G^dNL$djCWnUWzoz z7>OR)tEXLqhtU=kxI|c=DBo&?MwiB>?0kP75u&?+gOn6wBccP^TKJpvsi{PK7=sLdV*YF-)p%=ZUPd z!~SDTb!a+!AvU?MOZ%J5MLEBHMLu&+n2o~-3P7A-X^Dt}t8HZIH+yP$wp-=D{mP$5 zJ_*TQXfHTW72>TA;)E-V=YzmnYv&ipK6_AXTuq9HKu<%;7euPu>zKZGp~pAOA2mzo z9241r-&TNa+z5WNzrQY~{A#YRDLM|<)^l%P&Ni-EoS^#Wnk|K>gwI<;|X;$Hd%Xu zQnG4@2KZqR#hSJcf~;}*QSAAvKGYs(`?oVQW8`a}n{>2F+f zMW~7}5qF;CdhaVkvzHC5;w|k605h##QCmD)Ml^zprgK5~6C=OfKTl~5gW_s5;gOx8Y$7K(%9EH`jF$`M-DBS|_%CYD8z0&SIR$o3 zacS3DTXx1Act$?t2GKU*;Y3Nf0Nr|aNIhir=R0_!hHu6Yr|3ju?atp7&lXv)jk;nS z8He-72a!7y>k?1T_ZcP<0guO9u*3qF7leY>hkZ!oH*`?Y{s6Ql7~KZDaOfpjo0K`Nbv?>(hg{>!v9>1oI3 zY4R#0o$_R*Ati%-y=qMkIzn<&H$?qx!+rz-k%-p6cpa_)TA`T%D^4GT4gzTd4O`j7 zOq3iH1-G5O9S!F%3G#}kB(ayNiY44EY16gS#w>QV<7x781rDv>mAsFy<)yQC_ma+w zJ{l3>8X>U-Y%&yaXq3zDAdoB>`aHgLCJFLcwEZd;bS zZO~MA&}?s%bP+r0R`!}c$$P_KzESckny=PxyaBuWc=#zZ2umno)AB5nZOZ(S@obp* z+0`cKbiZdiFmA!K6Rb!^Oho#meW`GEmbp>Cl(oiHwl|e&I+gx>pPgXWKq+aglZMMM zwhIAE>jhh@7hN{ST(Nb0QppG%VT_$tnA5;Di24xoVtY}rfUOqW{>hvtugCmh=aNe( z=Eb|kP;9N!kCvcd+$p@sH8|Uu#fShtxG^RsFj5)ay}t;B-Toot98jmAQ{r*(t}b&w zb2@vNfAI(1iTS5ngU9c>{h1C`#f?SQ)3m(nwYFlb(>+DAsLv#I?Cb>nv|i5mxaY+w zSRAn$cwt0*?bFrj%qhILNH%i&adlrl?Da3=>|Rl62W`xhCr;p==^|(pXUy~L0ry3WhZ6#}e$zFO4r6IWTQV|NHQO5j1 zYq=ka5SG3-t%NW5u5gmn$O+MG!jxVJuu4KiqZ~tk$@MFPRLT}0rSJtO|2;hlrnf3i zMG*m<7$GW}Z&H;YDG5HyzmDM0N6bSh-8b~#U%8~d-JH=@R$1wd30eQXAfb35ec;-x zT}Z~nA#>1DyegdUC5q{?LMr_6`s-smRTz5;sztP_AUitFV7hFnl`F8@Tc0bGNJW~Rx3Y<0}3Noh2!GjeJxwQN!E2~mqeXrg+PXlTW? zZF?!z3~^*NP-(fAv~dt)-8(;qF4gLy7BR+s*T>mMB(W~1k@_ly^NpPZidMuVMIaEX zP;br`WQb!XixVr4vyXL+gexNTHI2r)^c~MWdXWfyR1uymgjn|XyJU$rYn~Lp&@ycF z&6?N8$xXYIj_${en}YJ;CAC_^hJpC!vj(4+$4E&i{klZJ!zpF)Q%%m@7;HUXGVtXZ zd&t-`rG95(GRRK?hS_pV{#|Xdd#duXhC1-&=6aFKWdDQ*;;O2a#%JSNjRjIQhd}P? zHlL;jYdnPlr-8;ZgX>$lJ%h)CYAZ9q6Sj#oXRocH+Vjc;Q1fJ4nXrq{ixLPCMh{zZ z1M4-M>*SV30POk z-4DUtGj~#L@@)@)69Z7XUifYVV5XYwQJ!lf4#=3s1#mHq0b;=^AR$heo;x9ccv@?_ zBPt?u{k7JSr#t6oE}MRVD??*XejCp?Dq?T0MK>Boi>Yy0MnZoQo^$EmXa{`cg3bzpQd`F~@&xhTg z_7b?DXT0%AjZ4b$SfqBg41@7S^g&o80C}uOGrtVp=m=fOh~}n#&Lg2rIzfSWQYIk^ zCD+aW6Zec$jK-{LY-9PM!bN77o^uaAjGa*o4^=CnOzMsK`uJAFm6Km6;-!&gC+Z4M zc}fHq2R-xi24+U8U;UCBe*FL$Xo=pK#hINU=A#QUCerzgTd!EZLZA_` zjlgc|N(uomu0pv^l|Yk#p^{st8Rv?jP>G=JytpVbPdG6|82QiG)vCZ}8c6FBjSAHg^3`7yA}m><-@}o!{F+FE;O>&RdsCljkDmZKQ*+i{3kbNoSv`yC)Ig7~$5X zcc@=r_sP{$y7+h^$P{i(^Q@Nwm2!dU0&}+-uJ=b|TUlZV(i?QcloIZ4u4`fi{yo`c zkwh{~4!?N`TK7gH(J9!Azncn1aSNy~2hy+Fu(v%BXaXs%ClXk_wtiI|DX{+Z-C;9X&YaF&JNX?BTqV`O$A=^^Yt!65)U6J2now5w>oj1s=T)wjZ$f<8P90mxxb zsnfjSAi?lUJ7n2c`P^=L=eAeij!XNusmNuwwR9Ha;Wo-GLyUtKGVp3ht5qeedg#7K z-4RgL&y}zYTacQAx^XioJAAr86Kn=g;MoF);UXRNGzipyj3S-luu9p#3IhV2k}4 zTDhPtHY>3xE>67!D~#%_n|!{%X}el#g%w4%9ks(8GsAb|{i?_2pSGrqob#bd&tKd;jloQ`_qe2zXI<6794S7PIj)?;?#6T-U(7~{y( zZRI=#ZVLRxdMEmseTtV)%H!t@nL4GQ=7^%2~Tx;Gejoh0jXmKvBNre2Qm1jUyX>xwa+P1QJM zS>ZjPWp1&V2L5RrSS;q?LIDr?7F>=SqF=_&`>$n6I+;)F!44w<3%M?SY`UAdM0A~m z+&$1MU$!S_7o@mb?K8wq!hrFxla7iIT3~((1Ne$g(X)Wp#vP1I~3PXp=+={hBn`vaH^94 z38U|j(||RW5kh3fiRV)K+xGNr?P~KHCiel;!CaHVtGE4pL!;PBMDZ6GC_xjjZrFS8 zf_qq>;y5Ssp}4&kr=Jg$-#{+BA| zD<{8#WfHm?*<9 zqp=r?tI8^FzBbxrHD%6%$n*;;2h}rXJ$7Xba1F*&_%TUi-L))i z37ez-@XWc(j8rLv-IkClRafYNHiD4V1tQR@KatBgjN@~5)N5M^I3#Fgf~Hm#K1nMA-#%7(e)xU4Oxt#9hd^Vxf`!63g{Vsw+HS#fpBpQYJ42;nmjwYeR0 z;&DmU&i4O&fHx2p4jJg$4D0vAnmq2CHE;h^Q)5!=Uh(-kCe}BZ$RpPG@6y;g=k=C_ zM?X3H!K&NzwRDxuGGz4c?uR4^iS5OXmn0J*!R?k2E`NdTmQgPGh$s8do<*6!I>~L~ z?)4;-yEU1>bG);r^wOXK`&%kg+Z?pyRdzQ2BL+q_c&yYCY39@chu^b<^Z!zf|)6 z|6==62i`)cgI-gD1YXY}lFw)DuP^6JuP=RxK~FW3=aH}XQm@ZrL4Ha#6N`dF+zy#1 zkvyQRGizc9ii<?TWyainouxY8!>1z1G)53obs_!^F;;a!^C)Gcq6%8v5f1uhJTr6=q^%P`uG3qSg z$>#vy@pw=C^IX0(v^E4E#x%@YYyUj;_S`8ZIUS$+GsWk@vUcn#F#tYn-d!4%(EaBOL7KQ{XwVF@u9-7nb<<@oy7J<3}{6|&$qq(oQ@B2QZvV^R$G#1y#v0Uvp8-R zY@K>fT~o=U_q*<=W_|jF+ex;F(!rJ95&sE>8E@#$Y88qRwMzc(b+m`Afcr~JNghbA zugw+(~ZIO&7pB8RfVr?#>rmF&?~y8(dQCp$aPmxd}-?lhfgfrC;3o&Xw7=i zPWvNV!o>386}!d0iyc4MR4>I~imfAO7XY1fXJ}?QKSb5!QWnbnu6oH@ z!e} z&NXNMYf}8251Al`NIhnT59nm6AGO);_xh(NV8Aa1w)6D4Qq)~ipQ(=%G|sKfr@L;+ ztmi)k7G0H;soN>aufxZtaF%k`tL6P8=BXEXbtZe}Pa9W-QUx?Z(>GPZIafQ0iM=Ts zW2$!q_LGy@c8v`<*SRal%{)DL_^I9WKi8T?k@H(7|;_TxHe z2?X;M8s2hAGBy0x#8|`ovu!kW-FQ|n{!t}Jp~e3E_5Pr$BA!^MU{9%0cTkX@+QEn@ zczZ@qDC5s)m|BEE7gXQd+i}2@v_pN`#q@x1f+f^>Vo2~oHezU)Ubq|h$dQJ2i}1nf z2z>`i@)u3PGSB^Hr!=yti&mI_;N{^{Mm}Ri;00MZ_Y#Yc<=^IQW(gYY6Ow+LTL((a zCKZ`n|Ncg=o|)vzGe`RnU*Et>q_;%MYsvQolE@OJ#S~QlX1;kmRk$R>>oT3m0QC9v zc6`TO-vYKZJ36XBu4~O^qb>9Bd&QDYcZ+Gur+)f)revm}EpS!(k%b#$C2UZ4x2%`$uJwr5wC{(2*eLJktcbxe!O3|V zS|2=Zp~+m_VlUt=#q>TY=u4ea29^!&@!S_U18dbJud)yE#FNaVL2f?aPu|`0nZB(n z&Lttv!vY%SLpM{%vRP$5e-OQk{^ix#9E39V+vE+7USVsT_N=HX5wXMyFZq|3n0+#7 zDZ7=L#ZmoO#8i>@c@p1D!xrxrOxizwmKmz%k(QH5F(`KVS;gYXh;oq7zLvZ+$Z|T9 z50)v$jXpR&aUD)`k1Zg-B9asJp?5eX+ETkM5mw@jKZXd#MANM;LXf3LRhBHK*%P$( zIUP@=;SWXZ;L{Nf?;@4OMMeJ1{!L(V(Q3A%hl1`CVfTK>3+Bd>oE`c#B>!+C`Qw9G z!bcTRo|5ksBm5;hxGz?5{JcbHI$^{cg|wV-Sc@|`=IfVwXABCo9}nTx{xp%zlBP&) z)-{>3qKEoCs04iZ8|zP?OwQtKKNq!N}H zMeEqMU8&f%ZQHj0*tS!#ZQHKcwry9Em)E?naqnxM#yO2M)|zAQg>TmQVmTkhYjy1+ zoMM~X%*3W?&x&F1t@%T}ScJNjiLQ|Ewdh(Xs;%Z@;bx?<_AgEbVZClt)};(EZ32jLW92Z&|`SQ?n2$UNdEx zqVB_qPz*j~XbD~c|6!`MqvGv zK>|;6#fT{feyRZ%_{j)`B5LwIM0`hFcg-}Rf;=oX3)JkxPkCd5S z6hY>LJU@Q0tKz)~6H!{AZ`?$@PVfGlKKG?yNJby=A&{?_y4!JDsWDJ;aTMYi610Jv ziSvE$%qUPxeBvcjO7Dq=R{C&Jm?Uw1V*jk#YlZKC+i%n6 z{S{5}4swWIYZ@Z!WZL>cT`OySCb`uxx|}PIQYMz};EXbFDw=g1fXJGrF4TZlU<$FA ziMZK&H7>n=#vyTZ}P!sfDmwm%kyp zTV_h*mT@j0X8XTWLS6#8C0Xz?z5CW$y+Fw9NiPyHKw!3aY^ZBtxGxSNr<{f4(gJ`-CW|~(I7lb04xJ1;A5PLcZ?&@a0nC43Z#}? zsxQQX+(tI7E%OzD2)O83H9~Z#&Oy-t3V-wb1$YzPOQ_^_)QWLt5IHgGG9wa_l2}A_ zqF_yRt~Z7PO7vM7a)De{cQ~Sc7jICh1uIJ#rQ5J1H(h7WqW;s**Vfzydz)M%gwkLU zF4ADZu$L7D|0*d4VplpOH?`kv$Ph3ZKB8V2@}#@k!#*oVhkzg*qb13L%I3fz(%1eq za&6;1NU)~j(9T8HLnAAWgF)8B?o*k(QD!nxCZcR;mSI!AV$2^SaUQ~X~7^G>l7%Q z_1G{(z#dO-jg+`XhiS49ecdFEsWZNoekZQ`T!xdyohd!muY_3jYW9`^%m5+y$Ea#j)Wx)9N>*l8$?jDg!wb>nyZa4MF2E@SWs+EI~<-Nk}GeJ4;Yb zl`!`nAP>SaI)zmsl`}f&C9LY_j2VG>>QVNf8{5v50et7wJ+UoZjXcW&u8}2$QyxNF9??8OaIeIned%>3R&A_K4a~`Vpy`I4a9eQ zmh-Q5yp@InSe2TPA?ik-AZkzx35#%pFN4S@8(8wU07!)y1b?WkcCY+*XoV;PA@(k) zdpY86*d&4T@#aHg7vvM61b4)Np+Vga$~>&U6Abt|S&yuhmf9N?g81eibr^JLmON?W zyaVwy$jK#UK6{Yr@F7@3b=ra;#kW|6A`luxwz@yIS-$#$uq*_QWbi;`wBq8?K-lxE zi1zVwxtvtX^$GMk0~A36d+ea`MIZxyvlg)q?djagKgPaeT`~Czp3C{UK>XPtiBj|u z_@tJ*To1(&b)$h;=v(mE*%Gbks$8lkS0triye`zKkgL2~KfP!vcaCO0eCllk*zi9l z+Vtn3>!tTfkR8}p(py`eyu_lYEZi5K>1a0 z6ruw{%HQ%(vO7d*lj${|@WF}cNRKIBe8HinSHI+co}X`!v>vJ(i|C<#bx;MzA_mhP zwg4xE6>s~6Dohp1z;}Pcy@2OqwDtFqBUM;Y4X~R5ksY1$aTdfMV+Lb{C`bjWYr*7u zXn#W?MP`W(U||zbptwmvPdcg@s)}N#wHhD#jNjn^%%)3F#Aj6<%?KyBWI>xN#-$@6N;eA#yY*@cbOLw zxP|UIS86S$_^6{Uv*M%R z^oYkM$lxr(U=e7rsK{0y;-YxMlb$BTS@F=4o)^Zd@q!f@)B007ceaCok9(n;*wXhP zhH3?c~W#~#mQ4M3&hP!0thh6cb_!I3YsI1t3L9}mDgMY}Qy2yN1b zVPN`7_#Pv)c~S!HW+_~E1ZESjDVF0HE9(yA+CVIhao{TMAQgc*iRQf zO3ZMm5?WL%t&N{Zyx12HH_X(#Kh3D7{S`%Q32wo;f*_oF0!xxY3_c>-nM^!|DO_U@ zXK65&eVyUE6477oNrVX>5y5BnXLIqsSoXs3Rc6>1d9eVkB0Yb z%;wKmsFejO)wWgy6kNUBl)btZhh+IO#gzHr){sfoo48#vHN!P9@#~ga)tZ zsmZpA+P_c72Q*(2K*zl#z4F0P`i;j&V?Vmi%`A@jpJA_Xo2uP&R;YhP65CU`mM8=P zqs8d_sIEM8(>nuMv8;7}{4?hWo)f8Svw;MtOQ)cEf4rBpKQS*tfQ>Qpuip>D@fe|a zf8(DA<6T}MNf;^Zgo-l}h1WrZad@)=iHUCoysqg(t4tNPERb$``Qe~FP>aiC#mfto z!OCtn%K1N*_*=5yXX=bg@SngU;GzKL1>j)mPx0ld>Tx9J2%iKn@2vTQTEa7uSB|$kQIACmw@F9PSz8GAN4|!+Cny$m z!Rc_*!adIj*$p+Xg8XkVQRmYbzQ{$J!01}RA!oVnmQ{z`YbMj9dCgz6$y|53fQNBg ziK79NF%{5JYIRKpSuE8;yz-v=tYXN5N#haLD_;vH36a)tV*ca(9>D7*FjDa1jk^4h z>H-@V59wbQ1L%}qIZTcTV6jK(A zsr!y@s5s~1f!Mujod)i{*C8R^YMWNSNr(Hnfi9fRr><>^ImInmcJ0D-(O=~>AU1#F z7LID_aHAk4k<&&_z!t@?90FS~dF!Dd7r@_KxWL{usG=JS;~z&`5K&~s|Jt;;g@o+3 z+IQRqdz7uVwc_tU`l`Rd0Lt5D@sITM*1UYVjt$u<7E>;0iSvB>`-wmA3`8x zaa9#Ak;Z#v;;ONqvB@l7ei}9BXTFpnqX(EAaDz!GuS{k08*;-5xIVHjD03I5i0MX~ zJB6_egi22*+`r3136!LvXT~B+@l46_L8kS`fWuu7Q^y)H@aSRBU(6(yL5@)1-U723 z6{%#^N?CwGRJ~Iq$;kkUJCYYqk>CmnrYa-nML7^{OSN~H?yO?wZUjR^S&jUA4;`{4 zEBXurNOP&I$(yV@cw1LpZ)jabK7hZZBW(-e>{`K%sDv#MJBYPZIu6(t%emgPWo5t_FnT6dgAX9t-nHfj7Rm=m;eUt)ZVCKNkO_H09VlzWE*Zq&dk>q(<(PGAj$V zDu|WMD{uo5CSE;QZl7|YcLmSR3n~~9qG#m+JszUA*oK=g&r>>yG%`~O7Y#`E`{(Tn zJ;`l)+4}Xl|9$7dUwiNr5gA325QfMpf%fP333gZM%G!i6j)A%O_2Q}{r~YS4^gz+& zH($4M%7L>^O=kFXPM_*0KAxfzvXeOMiJesP24mQi^2@34@~7oi19@a13dCHI5ORS? zPLsvBbcJa^iQMwZ5S|az^3Iyz+2hrajwx)u$+ZU9cUQyt<fB?QccBv=r4 zby6%6WP*bdq>u*OvRrBzTQ@B9XWq8)?0Qa%o#ifeC?D=cmZ?Fh0M8!3M*wPdQqagm z*y8SD%2BG87cQWMb_P5+9VYXyX}=6GFpyjhHU)wQdvq+^d~YC;(Y{{XWIC@2rAW|; z6&~Xzgmv|MCS8?>Fm4Lr!O03oC|eqtCL=CMjCMAhNIpOfH3zUe#xurYdwW{XiPa`R zU5E>o8zdJ{Mc%CE;mmxdNkMvXmY-5PHBf_C1)X^GHxS>2sK)yL_Jo6zT626ZG3d43rPJ&ZD*b+=!gXW zahK8}UC?+UGCglT#2raY2dJ&VfuswGQawRL&)po0a=U_;-<>mj@KGYM6A4|i-T}wM z+Pvu676wEsqVGGXAq`&`YHW%<&z6(A?qpN(R14=h-{Ak*xkzHPg>L;BM7_rU-<^y9 zO5lk}->CW3>KJ{@2k-A4&e9tGd248glS)xq3`qg_3^Uf`}wtz``Frg)BAC3|NZn8 z2H@}cPHVl{`Mg~S1MGBt9(}zoeIVNF`}}*hzwv#V3j6-C8{{YSeSJOHz|{ZxP^|#) zrQj_eSn2vEqv}cxn@e(*X3SS{x9k2YVs*>$oz|3>V-~GvUD8sLQm~q%_q}noKlDNjr&FwaPBMv_9U>s`L$GO^YV&S!d4aD&eDt zesRi?Ppa)`ach9BvQF=8SJq`rL2MaHMm2jO!yuo#kj&X(>|Su9m>^L8^C`M}^-}LyDgwXr zc8T+@?>xZ6TvN-bljB&VU|s5E6MN zj^;Qe!JwQKy$tP3r@LjI-?TKnZ}ZALfKr73`5%u^%>+qTs?Tg~r*(*vND>^I6x9H&D*xBE1iy)(rOy$Rm)BP_&GyPQM(u{7wCK3x+s zw?8V?Sk;IIT`!Uzt!N(_tyXgY!m*E`_!uXy7OjR(RHq< zuR%QRYPIs$_0gWoSzbGUrt~QfN-c#FvrA#hsNPcNxMr07^0nW>*n$v8_r!Y5X!y!% zncze=@jZo41zh zn?Qo18E0tnT7Dm7$O6=;0@^dOiD%7wPgu)s#`3QzxLhBKl7!`nU606Wk&GWW7Xq+N z`YF9k`#^!@U|{(o`*W=arI5&nqgpA?>ZX+z;Jm6c?S*B3|AiQtu7?2veqP9kJ=@v^PS+9iNXCz)tt)#z$@M z`|C>UXT(MRJ2KURHl%CfJ=6oC{}9lYV?-{`rSeDfGP8JGW0xb6|uvc0LNyb^aUNLzoaY$$~UlC z!9NyAhcVpX?1@g>zTbs~(q%M9#{)ptjBmJ?k7;9dPp76}qDUOFFR5-Dv%8mPqa7Aw z4lkU_==U4yOvV_H zie=kaps-mhzs*T&_gD)TE_>#M3Z-z=o_W+AE%=B64h-k?T8(>iNNNKA(tW1(d2N*q z7?559QS`gFDGiH7$UaSkWJ(Dp$A8D_oGa#$6)ILkons9oJvhXvpP@ef3N`37&u$mn z6vX!`tz2oxt72}gB|rwalJ?M*bwzWc_q_u5PE)PPXJ#vg)Wh*0?WjJTP@WY?jAtI? zONa}f2)bd9M0Q3@b6EJe`kJZEn;dAeDZ_}Ggb{$T3Np$;1z%q_(YW@BW&;TmasR?? zQ@)@=Hg9YPjtP0{_k(e}T(3kF9FgXI??PHXEIjx)B}-;pYnTPB;`vv{|20o==Zgwf zmk7R^6e9&g$B4?1dZ4(*p(F{mu>k(Z2YG5*l00joI`LCQ0blC*xXfV3sP+;0laF z#7}QRPp)I|1%kE4w=cKiJP#_vgv!A!nuZH>MI$$}wQnvDTV6nhtan0~-YnmnYJ!vAN66@6s^eAit%M z{e+)>Q^}1hfu?#8ry~}YTDXOe;3noRrvhK|630wcb;*;5oIL+l;mXK=Nt~e@zS;E1 zpYh65^-pz%=OBj@#>j6hG!XUVQoh~>Ra>6m!9+G3Mg_<|qbXmebD#)@&ore^M@e-e zD^1K0#2m`OZGkj1`^^hQ#BnDcJpA9$deN=_+7$Ua`!A2ge3iJanjJw6mD1fYoc$|= zdq8(^KNZPq9gzN-0D)fv0|)(i13{$1WE>UU+Q#1ks;bCqD%!s;U9SD>_U;`PKnCTn z>nG#GJ3NE?DtoxB`$u&Wbh)RYCiv4hy3?|EHHiKzpZ9eEa!oB%$X6VIDCA&IoYv>h@Sy14o@!WPseP&5YkBn`;FQuv-BIOt^b)Zm z6uLjd5+aj!owH+0anwoWa~b!b@=`wmo2Ot|c||gZz&)%JOJc=TRV=c)a(_piKAA=n zV`~7QEy45ls@t3fXpCfc-z`_Ti}cYE6$C5P`3>6>8OkQAFr~+)z+ZZpVfvIcABfoe zqCj&5tWHg`Yb$F9zQ5oDiy5JJAR;D#w=0d&{$fOt&H(TS3rDck36h^6g(co( zh=`NHMQ?=BfA(Zmv{YlM=A(lyB#E87_Bbg+n75Pk+^ry#HdVyr)@)@5kpKgL79g3HZ*FSRTw}kXyvKnW>e|-~DsZ zgs9Kck^KV2*e0|{gIX2=CG^xAQSW&)=s{DlW#vxO{aI=YmDTd@ruweF%iG*`g~;Y%hoh+h%P|e5%BkJb4&dZjKMAM&28EID%y;>UC8_!!ZUt3l<%II+I zAteM9d|(egIIJZsk_jt!4J&_brxg-}!|H1cFP?la1tklv+3ZRRYfcp+ODy4+@N3B1 z1tVTEV3i?4BCVxPVPsE^;A)1+>#3^U&b7MvH1!pjz85U6C!2iRKy-F!FtWsw9KUGq z5DZC4a&2#26 zGtvxnC_w(k2OH>eKH7(Q%-0J2_gm10e#5_SOzR??mhmv!`F3MP5tzQ&VNrsSAKx}0 zadJ{Y9EM9-S$Ww!OewQs`6v{BXRtcJd94leBg6br~B$Z z)JD~x91bYnCpz>MfN>!gF9aJ$nGU?{CL;+LO?hhsb%heb&F4@LIk9XAgo{=RLyMlD z`y`+*ky3Y?YRHl%6%KY;GxQTNDnO1MR)^9wGYRwyu{~{~;1sRlI+SaxLF2Qz-g_9{ z*#3Ck1a#zhYj*osP*~~4PTFYV>Rv=`S**W!s=?~!?JJb-lZz8*~ zdHPJjTUFQ*=a0`LPY-Q zfmF;WfamooB36|LKno$)xPb8y$T*B-3Y2K-)3}?U!(gpzSVe~ACRL00+dpODg1{)8 z-BvTXc(qTZkSneEFsmN zu=U6l?SXGb^JgX3IwS3VN5(Ms0!=!j<7pL_pQ^g1&F*B9F&s0RPd^Mh{I})6R)q|; z!&ck0z}wwAFZxPVukgA{vhO%efa9`qHIIJjHd(?3?|@17_DTOtUb?})^ir!V=b@#! zKR82LMv%&JsBhKiHnodSeZCd+Tb*D{(HzA|N4=;)$hQ7Gl-c!=Xu_jK(P=)wEP}Ha zq1%v#X9MroE#!nk%UJI#3QiwTB{qHs&Xl~IJS|O!P=>*B>3sPdz*;JJ(oCXN6J-7- zCs_xSbNCEaFdR`19;6r%&w1`NYg9p9`&LOw0XsUhr*|Naiz9T70V2I#vU&I*+D*@c zjTiFxhw71X;|wbHrBkHsQ&>-KGQdRyRVMt+$dc7V`?~UpJ{;rR=tA$=Z9^M^-ogE*aFLy8Zo{zWZ>#YU9YhTZ=+t*v~&X1Ra zusHrtW9q>zaYf7o4Ht7=(MYEXQI^Y1az6ZeAj)zX9`v1Dc8lG#k$mqB3p^h8YlqJq?s}*!&$dNnSL-iJZFMVV-uDqQBoja zBZhw5Oy1KpyisF(yF0?io1dvxeKVLLh1=t@(bF;Zb^pMw-vzwv{o?B3{_p1M`eXE^ zHR^C&0`EhSF%)Zy_9n2Lvyi4>JN99RDo^e))R#uFfdN}fxZg%lfXX^k8 zL%guh94qvIs&B&^(FV1uR)< zEw!N9M*$*cSC&kND1x{5qlxK2t((Lo)s#3&k_X7 z84VW4WCIqC1H(IRaGdT1U7&U_K;>~U)=Yq{iI@^;f#LR-C4{P)c{(zY& zm6ifXV)=RMV&LCI+I1n5Pyx{KESg2LN9;lD5^bprp|9LYOF6ZkH;p3voWH0GrqEqNd*# z-4p_q9Yqcqhyn!EO*?pC)=qle23cqKdIE5n<=vm3(Y8ysHs!Yx0ONTRjD@clD<`YEGvC zPL8&izV>xX)8^JHr?W=X5)ptdztaH7^BPZpn|-|i0Hooe1%dNk0&GkEy;?NT!ylqx z#*{=vo6!O2v+O_8?&^%w_mzO(HM;IQEJ5aNbplN-CfO8Wnyq5l;@oxNmhjmQ3fQJf!?*Qm+TndDEI{u;cSc?t0>K^Tfsj`%B# zI?ny=EQcE}y#UTpG9P~<=c=^sC7yp~|E!YrTW4A}a`n1a`97}Kj_tnBj&HB`9J;#w zI^Wv6-X6bhe^eCU*WK>X$iMsbIdvR2c-Pju_0Qw`cJGs3nW{LEe2?xgrRDK-d1D$K zjR`A9Z!%g#=zO_^re72)^dMTfiW4K}@%XEa^sYrH)>_08i_Lj4VtQkRtD#T6wpbo# z2G;}<&q75_*eh3&SVK<9yz;4Bw?dqvTX;awba`AXX|sYncI}-KM5mYzCr1}~1u=Vt zn{`z7!ygRuan~U%-Z_e8n*6AGn;`t|QJv6G9utQalnz2cm$kdZ7${`S2xr6NaS4E% zUDloYsWJP*JBRWzo~KK@cD7e|@EWEP1mV;{Yum*=S)-ZwcC%t2~J>QLTb`_10E;Zga5nAR96IOcZpL%jv zjL|}tWD)6{2J2czyTaody)DeN3xO%E;9iG>r8^BQYeJj5LAb=I8B7&T&hT|v+@`b2 zoK(9Rm9Wb>RiH0}W1NqobV^5pK$LrJzqQUg(Lji~@SufBZ%YR&I*X;`!lPAlXZN}u z@Auwl+Rmyg0C4lXVjq{=-m~5H<^Fm5aeNh)`FXXsH}zy+i-_6J*5JZVinR@GRjbE;KHL`bP& zE_e5xS*IL-?G&aJlx|ZjLxU99PMlkYqyI>}O(U?w7s!rOo&mnCGMU~X_s8D${`}~9 zqu7f>j}S3a{>P4G@1VEri72ttZE|$dVK+uw)oK<8$&_vwLiJKxIT@Bt%F?*mYBF4I zhTE;dhgL7!S+sEA)o5veO3lnh2v+Lvc5DW(7@AtQAUh*-G@ z1NhQ76?cGYm7zvTlB%vUhc+!{WeZoqNF7deaTkMOp5}+A%`voEkzrgEYo}>_u^7IE zh%3`Zwy=SRhM{e>A$x&HrD}*Ob}7DeQ(m;;`#RMKC5|_};p$MH4Z6!*brnT?N`#v4AG>s}qZn%1<7u+q-T;lTqpfDfSe zHNw7^*EZK}g&lA)d~{`YaR5k*+G*|FI{snwY#pSP=9Vf|Ll6-qMy7`CQb~-O8U&1C8i!?fdvztvzyQUgC~6a*G6)NOw> zoVz7?M>>o+^IHnGmvu>0UZ&y|WJGX6G^uOU{LMmp>tVMdnMgj~~ zw`3#`)~IEc6EmTaj#Er#EO7RZ+}KdMg6rmUO0GGfmL`b5NJU+kzgC!zY_J-nUIaNG zflhN^r#k3=J2Y^zF*ct9xi%cv*J-K4BX!I8+PKfoNh?BL>YeA07S%8z=t-dpEO31c zs(flRi#cf+)akjafU6Y`VKYb-=v~ZB$XNP5CvHlUgqYL&R_VKs@9I^V@c)t`cD|&o zi%s#0@E|+v#=PmpK-4o(aHLnMmk}x#8|m%po0Ase7c-6wf=l zwl2qih0-r6Nn7Cw!fm}!9)tC}fe_;cSuB-BDPbx}mRZU`p)#x@F_~SCzmeV~lDaDv z2y~5pocg8_va`1W^n12^x<6gK+&#E@kGM9 zGY?1aKO+(epB6^NB=-qfSl#FKTvm-G_=dzmq2vWM*~Z%6GExDe0%DyQRCerL*Jv z?dHQlpr@C+Lr5t zsvM(?Pf*k?cG+j>K*Na!udMuAy)~qM?vKUkd~v=5=EkhH^&Vxa1(k{NVrg`|l0w%5 z3vL;KBTe)BG;Hhul*x8^+&XE8@PxdU0E;uap-9oTwd!F#&C54S&(z4n1sepcIQHuP z;TCK5*%?)65GLkDVN8tjPE!lx-*Jx(ybF!&0=$*zUW8(xzY=WA8rGVwhwl1ufnWTS znZa-O7jLvPX}>CjW6DGh#}*1u%#0X~-V4t91OUu#Ap*CvV1K>Jf$Wq}i{u#09~fI> z^Ay1E9qU||v)j07r)ik5vSC~j2bh;+sKvGzzY7t1K%C2426H~!4!?j(xuw@S;ZJ+O zkRu8QD)Oc168~O?!wLID?XQ~)O_I2t^u?f+Uv35f2yq)QFX|uVvN9bD_dGnF6QY^Q z`x^fJKQlZF2H`p#KT!bk|Dgo`l~q4H|9|Z%er_B9KNvs%<`(5;8eD2TiB#3>U^x^R z!)ODg1)TXI4!QhUME>?78@Oj4Ug#~y(VMtyHaG3m%5$@~_KKQHZw~pZ#a@>;XFrbE zH%pwE&NH5t4{xkEM(s#M9U{jZSt@j|pbLIqJs z-3bwLbRJOcB!h|%b<1MN^3{Knj#hRofPHNXA2%05#AJwnam|Wzvs&*`Wbz`kGD7Z7l7=mT2X3QbNm#K$~Y2!=bIxGJ~ouToWiQit5~R* z&K6}uU4pTeR6`^J8q{|+bypGIa!QE--6LW-m)wwAa6%dDKV+F3{7s)o8_f!x6h*P& zNneHjB#XWAd>oOkPOsn7^Nl^}{rbrMXN{1L=ii;f%gMn(DS*G>VEwg;IX4M_%;*CD z?-banDBX#B{?Vesg_L}En}^jaO3=QKh7dOl!j7DrL1-Zgi>1m@*qHGp3QNU@ARxnp z6A8Z%t;4@Qi4u%N!F&Vi)K|LCKAp>y94M$9T^a*^>Lhp%az&)Rv4g|C|79*g)$vF# zG;gY!{>6}BvJW3yB!&!N0nJ#$`E^np!zwchpLR6@dt~yA zPybS@zq!-v`837&{C4mG`2G@*nx@2VO^Ukq5`<+2MP^$A*z9vzRaNKZ*{7C=jk*|@ z=uCpCz)j31nF=W9O)y1L^=gt2G9_O|nXZD;1kD+Nh!-0g%4v*n_cPg!cuSgr?V2EK zBbYHkU!XFlH?7Gs!4*7`V*|7N!OXh@A7|O+2e;HswkHr}^pX#Kzcj5ncktnHN^Ogwr&sS9F+CTJ-9Gm(oadLei$z)xY=}3}E(mt^sgE?kHTBZ6MLpM6Uj2J{w(I4kOU-nv{p@3(7(IIE z_#Acm_O|%`ceAWs*q#2`K+|2PM?C_H7{JJAysmxHJRX>ZmBwisoZR?FzGx=fpdjA# ztcX%4;fS_09pO=(65VBlrEwWuRME*yltQaI7s}L}2W!(+{3W-*d%YL0V(QMap3k@$ zUd@<1eI|WYrk*I|K!*CLsjNR0NSg{IGrK>PO3YF=;bI`fa+|7nRw~tO1Z{Ue;SPA6 z)37)p)el}Lm5rN}0O6xh5Hh^8+l{YWj^TTGTlM3hL93Z?!07wJNV!>k$+4bu*#T8! z7^vlT8Fq@b4}{#Gs=TmObg*g~r;bDmHc-}7)p479+lyC!DQWH-r?U6LC zG!bJmoL|aP7P@6?i<&dEKU)zwiaT3zAKi2cUT@&9auS3kGaFMAI42(s8r3a+E#G-N zdK6#^Kg(s1z=~F5^Jjg*m^n))v``e;?9u|Z@J-PJSoVP~GAFc@q+h?4a}K&<;J6y% z1+%#h+Mz=;1GRI(k7YbUH#&O>5&4$N^?bef@LtSg8iLo--&a)^@eF<;Y2mhSITL`hW-6H{v9hk3lG4-CgZPc_2j21R6Uh(u8F`i^aKO{= z@`}~OCyE)Bh@Cy(*N%|{v4#fB#mfcxJl55?%rp`u<9K7byQv$zd4+Hzo;~9*YW2z2 zBj?XMpHS4_-6t&(6d;I`-0YS(d{Om895uJhRq`517xpEJ(tzl$6Z*5!uFYGI#1tr$ zx2OeoRmsF#1@ToY!qL?v}~*U;m)8^=g^%w#oicJ)1P^ zR9Ac++>fjhxh_OMFvkf-I|57h$8xdk>EtlQxySv^heDA;o%Vo53*(tXkd`_W?=vqG zB5kXF#1dZ?pJ`5;4ncj~10w0gC4YW^RcYi^8?{BnS^Kq@2iLbx0mn4EQXX%qUfR$p zwhG6V$RutthKA!I-8oM@Jw?NtT_Zeg+Q{I@i8Ae^9v+=t|1?tA&kKJ4V5VG+8E5Y8 z?&F<9$auFL_35N%yDq=6<)sAegzSTgEhQx)*7u-1oxQ2vwi1tQrYJQiZC)DQLscXJ_X1_5DuwyC*`hl~sJF2Y!Y(JZ&|h=yoPZryv7ozMx8638 z9MEgCuO{8i$ryhZI#i=FM1BL`Xf)M})-q&F=z7~S1ZANtCt(@giTz&y0l22{n8mr< z)8&1zc8{1g_8vmG8#_d66Yb~wwpz9w3{|hMrge~SC*LGfWhk|G74)(Sj}nTkC-~GW z%K{mRxt7#0TS>*gP9;l|7EZYM$YyLMa2*hF(bC8Ce{Q&5KEFz7%qO<&6qnEs2E9XL zIgJVa;AWUL7cHEcrK76z4l-fF>YW59YECfVYy~sNGiDt|&Jhqv7ctXwhPPm1g1Fk> z2C&tXNG4$sf~pavFL*2)cOtNX)0DgBjI_x4GwvbTh~nEcsDfm1r@X`m!biHPq0KT* zl)2_eUdQG|-<8Ue3hPz1hQV~W$DvoXkr~xe=9|4fFK+3>_d4sXQXGO;x}v0JEgAIF zzs17Y)VKUec5LVp;>L6P_`Cs3SB|u6PFmA0jB#JKi~`d0cLikZg0o2q&MGy#-OF$h z$XEvh(&`@UFmNDCCJk8UR%MN{vD4bO@ZmfOugKJ`*lu_HG6nCCJhO!rEMcH;K|T`! z8H&IEEfH}73KG!_ZY{9dJzHfxSrGP!kex?3JaF$vi_q@j*sgR2oR%W6^Jro625*P=L7fqy+B)|PGuQx9bsmB@;Y&0ZRnoBh`ul|dU+$TJ{Xr56J? zRi7@iUsQ>V7ccj;a*U9aw#*Ur*&E7+7l*8#%c!aH@pkV3+=a?gJ>RRglTE zcy2M}4i){ zrAvZK0r-A?5h;@N>=R%^IXMwqRtr6UeOu<*|Mo+ZMSB1nVJMnbPfeo8=kq9B=W4ll zNjyNt6c83ki%AvI)7d)M@tJs2XireN`}lv|BHp*I=es7}+q4MRJMb?{y5E|0cKpgH ze$rmiXf-*>l`pI;m}SS1KjHgAdU~x!Jcrh8Bwy9-YK;zcCVEdp7r7CW!kE^(O|YqP@-J)xpLuO90exX*)=(^kL6(I)sPh1<-Kao8M22zOyVH#*I^U+=I*7wJ8sV&@cC~5+174a#sJAs`{VnV zVfOw=oWb0Wv&`la=M}qBg+utE8B_oBw1p$#)_X{L;-I*; z9nyy%)%kO0W*<&!FJweQNJCRAXs}jJbMk|!z8z_1>8#AFOA(=1H#w_T*6@Zw{Om0m zg%8Zzt&NXxc;pOQtv+o2%39^bA^{b}jq|$4`>OYwE?@6lolJ4ZyC2xD>*0aq$`4bk zkO?5<24!U94Hz9Un_Wuvv?y80b4$;;(} z!(&}GN`A!nKQg?*n77WgL14|*!{l)DcM`)wy!omFP8&?}pQ$;fP|-`pgrVU(`gm1m#{GWL$a3k{sW)ERP?) zct3ly61a8cY$lXNyzlb({CVtf7Vyj2%p00_e*DMc|19u#zhm&_N18AF2&ua0#AsMe zvz)vd*AKf{RdS>tq8v|>U!%}aDx}82U_=AOx4B^7(5;-ZTtKLeTcSDXqcp>jrNmhr z{jbo4N0ZJWV23mO2fsGd4{KCVMwaBPVCxu`ep5RqHRkpm)`Wgp)+v#T4{*UcwTq~4 zD!>8PN%jYRgMieu(S>h?SR|yopFS+P4joT=93Lws<7cw6^niBRdEF`S4Ca51Jr28( zJ?z)G@BjBx4c`AqA-bn-+8jtBhF!g5`UjhPH%N1FLzu{<$GnJ?pf6^c<9YjJpN3Oz zdh$W6mKmxyxZ;KMhSwJtT@eNtJpCCaXZg|HcRg4OCGgMDR|8ly3X2NX55OsKShq zysqLDme`gULiY<*+#AKV3DVsdV4n$OQbq8pAQT)fpW*OSR2mqRNVP+asGt-!Tu{B{ zg2c?>k716KhmKOtZGms&!k~5!$DOGRqgpv^HHV@8lf>eQ!PX{j10Y3pEA)yMX~HGcB_ar<9QUrX=pwy6U=t-n-=u9&C>KER#Wc+o5UyD0v01S_BoE_!w zt9+PYyq?v>bO))nGFqJ@s7)FLwn!&_b>h=IA&`a>!W+MzkV_bnP*+m=EtEze-%tii ztj_j@i`!6F5S%CVe9?dwLXgH#T>DTthN)T?{Ej~udsyuAiAlHuHUdIVV~Csl(hz$B zdh8I_)?e-}yq2R@m1XDeJO#g#EN%Y%VA)c_E0>`KwD5@|#vDqL{ZzAR80@|rX*So; zL{hKfEzT#gBf`@1H&c-*m1e|H&&2~KnKM+xe9NTfcAQKzGhgYbMqq-n)ubn*>Q{TQ zf0k}}L;P*&UuV|L}YLM?fuZzSm)c2N8OwqrfD-Bjdtsu5_{l zpvh(4m->rU8(&NrGsG=0??;MJ_WiT0<#BR8{ECoPy_o;YdtLJ%lA3M(9>34&#iN_& z(`&aEuZ<1CT#`$a?~2FABss4n3ClS>L;jDCM|V$e?@pgKZY>=|-EPS=>a;v?a1>@@ z^sOL}RZV51mOY*}0k#R3(e6OB#XJul#T8PPE{zzp@N2fwsUJt22rl(`SGLw_M*ag{ zHM&Z4Ns!lqxkP3-?`bQgyToohXa#F!(1$w;3BeUSnU6sMFVd-$!<0+dfop(pSZKX? zD3&)`peFQ{*Dvi1a6acJQC~8x{?t8f1}b)0f#B&; zH*B;Yj24Mis?xpIsV;7G0H|^&s!4Z|@x*-sX!qzZo2&S77e|alaY#gl*LL5Cd|I&! zaSoMhavg)1sTmH4hx+I~!ZNhNSM^k;LguWluCCP&X^Zv#=S#PM4MGyd?f7lQpE-_Y z{m*aPH?>|_uO;KkITMLYGw8g7pT7s)b$(NHI;?$}6J=}fF_q(3>GgMr4%3FBLvcKC zWojl|$G1XjlVfWc7kDB4DUs?%Ptf+8WY(}pT{*L;L!=*Y=H-(jfl2)}z=Yb5V=F5Z zR*tiSr~4)@oavLjhthjsmrKMie`*qE9ET>MTdhxl(4$Kq;ob;^F>fO{;og+K`0~Gw z!~KsKb4|Y%4G8}|1)cXla!mR&y*BH@s6#g$`6dOw>dZUhn1U)ies+2`O7XAL_Jxw# zi9p6NVDe8JO1mSUx*L7*P6fWtx2>!8&FhY~|H|yAF3Q7iT*8bKqv4@!eB|kauNU@tRN10;ZsUwjumEtmg>^MtrNPV z;Z`>~_KdV6=CJ2)xTDOcyQ$fRY|vsN0wbkw)iRiYi~AlaC4b%e9Xa{-%LEEG=WzQsqgvJ6?w&BXwVVZt6mU&%@#;I9BW#WDgerBWyEM&ZCzS1a+xZ0 zcqM+KvT3E@G8XC6f(7)PzQhLHK5+eHi`C2FVnT=Iqcy+A&lbV-jGKjj)ix2A8{O_S z?w8rlzV-x7h;1F_&OS)4#xYz8poR_uc!(m#%IUsemfXtLZh{>|L!MHRztcPTJu|j9 zpU=6%5eF3?{}+K)SV`lv|5Yvz`R_5qy#EnqanD$dw&Zn*xSCcyfM}IxUdac7IX4z2 zGSG7HMGj?V*VNONmsFf_-LJo@K1^_QvxpzwPB(;-`|G~7HGjS>U-i7VTz%e8Z+E@j zA00dTf4uD;_V~ZQeB6~~d?l-Y9B#fUGJpR#{L6gxz4Q5Mnwez#?cw2lIpfpo^KkhO z^G(NRYxLitV(KQC>Um6eE-2PgYU^=#+YV)*L`GeghIBmhTos0;w*rNt^WqUbx2EP4 z(==Xgfk$->wG^vI)#XzC_{6YTcgZl1VO&cQKBueOx=OxHvfn`=;=IMVNm_!9?VYxL z7)|KL85dhhd=jN|6Dw0D+Qpi!icwnP#QZm*=5d?#(h6OQ*ix!t{W$G4Z<*}*b5*;X zd9%;^ia9Dx?rK`aPyYDyz3P(jr}5J9%at<^jx{~N*9l_nr0p0 zx?Ag;^j2Yv2}^DRyJBHg>0PT?bhGF5bd?+YI*UXl{q*dYPSC}hipEiYL)?h>HQ7bXo0bkLd=Oc0@3o#O zk&1q_SRq!w@Ew2==X!Bryl1yu6)=4RehOEtvW*TSI##Za8xHNW~ zshN&HcJq0vGJ-$i(k(|XDlNWJXd=a+Cq=jj`xp9AptU67<4Eo(rr~)08H-Ef=9;i9BcWIIdj>OQ*j~kh z?FCoTaKQt?G&!cX$amDaoaogcDrDLSz0fL^%ciz}inc-_DSJX9ri@5OZo+33d&%X; zC2p0xbqx;_2SHey%v z(~Une`vq>%8kmTz8J0zTKB@EVf^T+GIvO?vCl1S|6snl1GVK4r$?eN>(Nt&{gM*=` z;)TfovffK={RfI{KdWH9yNh`ZuAn0s|~guBo$crpzBHs`~baG5SfrbL5rULXcN+ASgG4pA$x+iwc{ z32VFA8ZH;ay}kDMzHXv?_MCpqk54;xzukUJMr4o_aFYmizCL|SjxYZV_+q7RS_*_d zFMEdly>7*ZhmwRhC@^!YIK>zmCHRMjAd?)I*DvSN40B0h{upy=(&=vr>Lq1K5;cwT za8uilE@85`j^*(*BkOLCb(bT*A)P#H%VShK2?k;Q>SBV8Lnv1)3Sw;H#4NB8@;2?Q zE9kfUN$|;&u&Z@-^07j8hW@WMZAg}=wUwim!C}Ei6G5rAXU|qA&KVk$)f`bYCZ?5$ znTc*dEUle$4tGZan-!{pGhJF;8+rxx3J=9zHa9Vh}mSC;p7N-nP zZ?WJTAWQt-VLjU7-*Pg@eo0T4yF@Q$5**4c#DBHZ5XTMVmo*uG3Nlcat-9d40rmW|SX3F3trkyWf6D1S$tBw+E>d&LF|IM`A z$T~7Ol5*eMm$>X3Pi)DBCyODp{K`TQ?A-@BaBaXwhR*n1Y^JLAG${<%Ka9mDS^N<5 zAKpz|sKL?~2d|nOvdk@A8s*QFzeeLq)Ts-DwzCHgsJj~OR>+z$_2LFAay#K$>-MnO zBb#OMoeQ%df3m_fbPArSrej`%<2L^k(;)%U5H>f4t?fhHithP|_?{!JUpQ>|o&+DN zR>*k3HU1c@Yce5@(3y-mFj6FqaUXYh+OX+2?K(QJ6(kZB=B@;y`1SeH!k{1nh^q-M zCE{;eQQ0=o1YL4sFXQ_(RA~Jb>Bf1N+|{Cww{}NLs|9@&jzfAzF)&17&$~0z;kiaP z^D#XN!S;lK)|rP3iY~TC)JY^TAc^_1@Fc}s%r~9uzoj@tyx|wvbh&6D*-7`|2T~%G zlme90FSb;1hw#c)r&s=h(llE-Z|#|W@5Ul{hI-l2n-QK(Kawu8}Soo>QqW|XV%y#cG*wiuD^iI+%U%b6Hllc~{t2p-5p{pt@4(2th zs)%1!so9X9rEayR`v}zigN|8jnWWjWl#|J4xT(WvESSiDhkr?!D?|~S*)-|3S!0Np z!xFi6>!yZNx6&p)CkA#G*)#5UzQ-124}BzFXuQEP&}LR)u=29hDY{N|T(o{N=31MX z#6DRelWC+%s$8@AI4+AFK(oM&ytrb|0B$dcu@%MS997au5*+xr`gzf?QP326 z^dgmD_|*c^;u17p%SgK4AAEdsVc#a#e}32S)paCFpauNQW=%+gSG zB%FAnt_S4+)a}EtWwT9mJF#IKevs0E-Y42;Mi8+0uKaaDgSOsPFG^CWmQ4zNkdVEN zx1tSR_4`d9(M`EW1X292)cTf&a|RUSbBUFBn>TqSmHVc;+^ioW%NRI%G#@@bt?q;c zv=E{_N{JIfdHp8iw*p)RGjVLcLf;@F*m;JAO(Z)#p0guWhHUL`EZa!OGqmdlajofI zYDq$o-dns9JL&03=vfoMON2=vKu^GqU+u=mDI4qHSD67SKkNVeAr2Z+Hzul3eb@e} z%m}+LL0wM9pS+eX-LNXQoAFO^l`1R`pA~Z7Oa2#%lYX4AbQL<`Pfe$QeR2k1V`V)+ zgtSU%4D0=0&;KkI9$TWq@Oa9?7Pq}0mv!h~rRHXf*czo@a89$+0Sy>{LCkq00+K(Qf zHP_%RoyUqt_GimjBuE52?ZagEoNOGO zN;jL7YMdknj2WA25BW+5Ytc8m!V$rnY6`n^GF;vA5*9R-ZYf zsR;`{c53j}4;jFWWRWc+TBWbjFD9g@j^dI2C?$sbdp_$fJd*Slftj)7^KgHJOx%}h zuZ4;U!P{zr+$omFg5a*d!GutzC*Wt_C#}ifFnZDWR66Oip&kk{j_$ z{rQaf3MZp-S+kr0VXEC+H{{7sL>jLmBQ5(&Pt;|3di&F^rX(!=a=fofvbm(7k;M1S z)78iI?n~48^QxzfRLe3e1U-hG4XeOf3J_*>o3av?)|-Ne0+ZY zc>D@w+R(s%#lr;dD5hjQnV~-RD+o2k{p6(1hMpA|n^>s8H=&}xWWj9^RL-L@l0Mi- zqH$a!+iKy0J{IL#Yzn13g@w>=2CP7__S_O2*VBggIGgP_Tcfm15wG@2{4ob_ywGjY zY_<`_c;`|eOI|T>Zgv)fyY7af=eq+#=ic8^CKn|^$oQJdK~I2nl$x+=Hlbc*t%C2x zNW43hGdpw0G3hcq-4!0EQ;cTU%oOM6ZIB*QtFk$n9_F7IV{^>2=qxv;oy~6rjc}jjdOTJ+|D#gJy8fqu52cjaHvP2>`YP7 z1b4;$50`N{sj85v)1E}Zf_uBC3#@_L=mFA#WWV2%wEfNF^ZxDW_WIW5485nT{`Mb= z#uib>(V$lq>;4>9(HK22ZLEGv*fu>y{WB(w;u-6-u15I>d1LOEnOeE9f z@0K{xm#e-Q82x%}Ltd3rsq+Hn$5iwTz)+i(ZV-(D{~Tj}bZq6>Y_X@lkPzLPkiBCp zNUq4+gtjCtJJh<2{><8}t$k1W{VW=?hOox&$6F@j`Pv%ttCKlB^B=LMKJ^l_FetcS z`dd1l0>v*sk-$rqLCKu@e=CZbKWkQ{+%#s+Z*dgDm;g0t2@H2I&DY<7%Q zLMbG{;OWr=7<0}KjYEV=OMx>bmdTXVoBLw1z8nnVCqMShWNUQkeAKV$btEZPRl&2P z1yF{e9(!F&a8Cj+Js=-yRj+&FQ=d1b>6Gp?RkM%zB(dT%=2F*C7ak#xvXvcU28x5|}?Q4yV%7 zhhWvp5LU@{>m>hk-Z8?kWORo5QiJ_>)j98fME?EK4Q;80(FV7VRX3OuN!LC1J8`pX zF7pd*qO)pB#W3VrHB$9^y{RaxLwfwCtd>!@C`I=SE(D zUv6(bAD#TVK9>(aGXCEETaGxMX8z8oi>Hg2RjS%paaJn85vJYRCSHcelyfe$DESj^ zv>yS5H@3MV7Z_K6fX+4n0fRNoGe%GmS810A->{tO@w*7kGNq#V#Xf$=VJ#2HSLQt~ zE8p5ZEabMdmL{FLdDdt_zDl$mw+j5D!xlz)BV<)G=3WoYp3ifI5JAIRxy$t%zoE9p z<$BB6$cc=DT)iaLnWqKq2!`mlxQtvqnU!_AyETVo1)+orenT2x7l&@q9c;R4Qp5Zy zP%LslMxJaYGkwyEb2LRNBYC0no=u5c$ik6iTp5NcenWu&RRD{8Luf_&Ar7I8bcpr5 zPaqS!-*`R>`F>5`J|-^$OMG8cevWVw7yp7O-}WwTwv(v;%VHVDcX7?TBa#0{I|T}d znG9XT!{{iks))F4?|_Z2vJ%3C&%AsnM}|t{Fb_|1Q)g8=iep+~0sCY8(D8_Ts~O$^ zMgtxOW|mSm9YGBatqf~@6H^4x>UUURxI8A@M_^iuvNx7!NCmz{PZI0Puok;xg@hU+ zUN+F#BqWu#V2cmXlBXbTpXJ+ubP{v{cHh2DC*bku?iXGpYQIGrqchTG1T# zzmpurCGmuo+K=X;si0C#Xb8hs<>5(P@FBtjNo~#Ljb74cJE)%u&)C{TQG>aTp@K{CCakKM69cg{^EoZZL{-70A)tkoVNP_Je zm}P~}I2VLB24x$ zJUu8Sq+FN=oCNx4prAUC>P@SI2I||2Ff!347fHR*$QYqC;5X?V8HbG>=fYF^>+K@;@A2*kFK=VNI`DS zo-x!6mORHT>1Q1h_1h3XmI3m2d{8T))lvscY+?eNXKYxti2al>dkpIXIA4Xv(7LE_ zs1DLNo_38X>ERpb>CW!QV~Tg`uaPQo8!IFd&cG`TsKQen?ke!TINi8t7gVFNC34j> zJ;_n%&Knn~e`Bsgh041m?zzG4!Uw}RTRo+GeMuD*M<9OVtm+m1NNq%q2A%s%k6Qz5 zH3g$|E^ROYBdYtBQ#?zER~T68op|5ACsg;Ol;dz(k_-CF5x!L%IiA(<@CvL2U+VU0 z(UhnyC&{NvWeHW@4C>lTLkNAe6Qv8JpZbaSL1M#9E4%RIlze+aB02eBT6IXakD%@- z4fz!!}r-d<^3O|4TP;NA#>n{d$mZ%hjU z);m}292~f=9Q}RSh5Wi0GWzfQeR+hgNFEY0Zcg1g&ruTg%RF!uBZire%k~c=4t<5T zh||YE9-TjHbJLIePyaqIlPRO1dZ_UhC+05gU{;Dj+WBit`rqo9(-@c~BkBQF|0U_$ zr&Y*HH%>uth^EenmenAEC90JvjpO3<`=gyDf6Vg++pZa3ri_>T%#|(V zfUqs5>$d&FMM@>vEhlPZ+F&*{a6%PR7)i3i4Vnm^(xna*OU58Y^>N{mtfz-j{XO9U z#f#R<;;Z*;=kN7;*}wis5T&$bl>T-9@Zs{ifZn&?x@Nsf zp|#YUEx4RPjAd(N$&40Zdmc(fz(Qy$ezi7HvJewl%_5Tuy4OUcXsDbHLF4F?O$3a5 z?|h$swIuQG6;03Kb1uS1oBEsEOaDT;@%4o|vLC>-5O~;4bLL z)2Rmat@@*jxX$g78^#H}Gi596cO`2XnI}ilFgl$5bDGKl+>L4z&dz}-k1hz zf8yBi`L+=pzaCzD5`T8CGvoLicM>`nQkXSTQ(D+gt;u1;gd|1Hrk|quI><<%(qBM| zMPCFFWNOfhELT!#J7@lZWb|Yc;lFTNjLsI%IUS@s1gbAqy(pkgR)Y3Mqs@%lgXA>E zCPlGLGZ)7yOH9vu)X_UTLL+&IIlHfwFQ zKQT4KOVtogTpybGgMxbLEJC#cdym+;*{fu-Jh=82ZY$NAzsCfbe$#THrdTe))yhNw zFGox_0j$@?7*l^o41oBb3#eCJU3X+uFhFxA1b_fQ2yiubW;V5RcXcy&aW(w^-59d| zN8IeZ%k~e|urt+*U;xx-pndXW1WyRRa3vd%j)tVhSIz-D79k`NHm6n$K2;zS>}Ytq zi4Ewn=$|`ZmQz36{mVXU?{wZr^N_+sd>|U@lab-`=@h5H@8y&`;B}QI;N=wM^HuTt z=Y7Uiz|&1iz|(WV*R|~Pxqtfe!(%aW!|3a4I6bkQYqsY)eo7Lz+nPmWeu7SF7@pYEUeEKpZX zoehX@H|n8GwM-Ug>rA)qf>t88s*tg3auYMBeOSh)!20Bz+mNG@zoc@EA3+*3m{8i= zrUTTzC(Ii_kW($!R_oZiIX0iOW*ghx4XK$Qh^VnZ5|SI-h09~#XB(b{%#eW<#|}x* zksonqr9&{BBfR#S+8>r+s#z$Jt7lkcEL{jDdH#LEsz_~~Bd@=AkggJ~TPiR(n^vfX z&dRLaPF3YiE6dj4oKnr+txtdfq?=+O-WI|Ex*>TD_H>Z`h!&CT^n-KYNI}Zx@Vym4lU1}XgN5$BcGp6@fJZp52;X1xM^HAkhZ9j9V zuol6#_amMOC4)2lJXRMwt}D{rohgBO+*rBDebfsm!$2LQRp} zlUp`8#iObvp;`N&$I+wKVwM)iRFS$kO%b0pBd#tOyP`u2b8a_F4NcefSB}xK!Le1&0!j5x^>& z{PexW2x>A^wUJ2!atp=OzCMMG>yK73RrS2twJaFPPCp;NA$GL|Aw+JWJDfDHwG&zy zTtao7NCU3u9(A=X)8Fj#cBUc3H72Uem|0bWYm82bRMmeTl|1119jrS(diWCpj4MtN zO}&26Z)fgrS+rw`0p zv6{VmSwha-A(35aCTq8)Kh*19v055Ms;MIK8LZmp1p|I*9xgBBv543`N3q?w1lP~9 zsT!t=!(iW=W@-1YGmQD(>}ri`Nx4oVRZ%NDc!>PsJuqGHG_^TBmMJf;MY_WmhtXb% zFfszlk!6)U(d>tEc0BgvZ_aprYA<1FdMbktyQ$6NQy8@2Cvb;A=`CQUjrtQ7{^8ZE z8mRZo%7gc~)59UAYE36ZyjhipOMh?kw9*IFp8Pv}g&}c@~KyuZ) zZmA{;A6zu9pW9KR|0=L!#2c!k+0w_2M*rEXL#E@`-@rBQGKw)~S0e&<@EnqWKFl37 z9MdtyTk72ls2yO52rm<(IsK8kN`P@4=|Ax7l2Sn*OM00W3sum5M9VNvSo`Ec%fwh) z_T-GpVpmIx%*}+WsEa&aC7F-Q-am#?8)csEoRwNx6Ha?;p=EwEtIo)`GiF2B1D{f? zGUFk~6MzvLHKZ$d4c;o}K1CKArU(PkwXk=1u%^%K8FV18vk0$hIDqL~3nVbYtHQg1 ztvxoDamH_momy*+uN6k49v|UY&%TeO(W0y7)0ms=d;u!C4?yU4N#)vMEhJwL)@Ji{ zux7MjsD5hiB}xgWUR(LEmqt_TQipFbboa zx8i~Q(KB$yJ>xb;d-`9oOJJLnb_%Vq=;@5*dLfEibBxs*@$6G%TR0y|8XK&wlQ+qA z2O)Ph!T%DAbexlSWb;O3yJFp)@RuugFeG?)DdZ=hY0UGHGz@Z@G(07HK$b^v%V5i( z9Mo7=IN}jn+Uo~Tg|HAnPP>UN5#n5X)mjDB9%%o31z;N95ZGbb5T4M~t>@+hwfw!B zg3ue&Sx-Xqxa0+Dt8aoY$1TWF*A7%U!D-IzNyB`-wRXmN@+CC_X=aZi-wWd7h_t58 z4bpPM-)w9A%2MokzQ6lwUWfpb-~B%K6$9Qru$O&5_YJ#0y&$;u!)=W^YTrSePUcX< zR^T*3wvy>}90o9a8!D)}cXXgU#&HQ}W3mTk;z?p-%8+Ee!Kw zGQzaL0I*WH>rx2Kwpbl`@x82KcKXB#^B-*5F0#(lA&|{VAR27pqdpXinkE}5tV zT2n!aEKWJ(_>~c!-PVq#T&D?G+z>zAb6hafO69&C?MfsH2UI6S2c<tb2HYpftNE@SUvie3o|^(Iv6Ka6 z@@P1;SsSXuM3EHFLhTGxslEJ)0dH@Y*Iw4njjb$h&;&ri3Yuy{0~ahGk<_AZbF5D4 zbgx)E%OXKn=c%CbM}_ACUSg@$j?i0lD$*qA*0Q7_!d?N4r)@%YuwaL=6ireT6svwJ z$*>{ja*1O9LGSa}c&*WyHQG!trMh4~-g7`|VRP_isnSdq0%)`WB{(p=a$umG~5*s>&$tQS?FbVJB`ys(8a7fzZc5&!}rf-(Kh zEQrbs|CVJW+|cMaI8U=Zy?#f5mzSU<1U#^pH_EF9Gyo!1Vb%l`)fM`a`yVt7+JN_{ z`NP$s2*l$Iu!tK=(-;Ig2V*gb^dIc5NLCd;T8yoTwL-1%*r@~)(L{pf_wv!r3&(!D zcb-?mk8T6O>9Fsl%29jb*{9M$l(QTpwaT{+DCi@C5IYA)KH;}T1L${f0{M-gogpY< zyXMhtm2&g>BYQvbT5&fbupGamTvx(=b3$m6tLU2tKT@NimPUoxNJJEw5JpYOs6ZXq zS->-zfJBA(D{i(TJb}&^-V4_Eg5J+FD~y;9FsKf~g=C^&3K}L`9#e=S9jYN37y*<+ zE$ce72boc@APS-O7GMuCU$a#P;R=gtyAh`1-!e`i5Y$mNf%2xX-uhZai=iKExj*b(tG-=MWb zycD!2Am_w|e`&H8p?PTKHe%Y6bvrA>p-+8US z4fi}Ppe|@;-PjtPQ7j(KU3O0(+KYPMJkhXO(6_iqJmX}C2>47n@Zk=$peah{k0opO z-cedG>fXVZH0*Dq$Yz1B0`|Eeb^>y|%nfA|pPh##G-68dBP%VnTxKX$=#zvya8k3) zwct!>GdCEv1}u=i7@N(4p5-~?(gp|zY|8de9ETVi8M@K_G0V=A|68Rt&5+X*KYTMM zg8zIp=rY!9XEjo6--sg-(ZKpfn5~HdPx#U1!VSR;QtmyF0FE+b$E0+gPM8fM3DL;~ zEV&N)3E&U*n-FlPQ@bL}hBN|zAmtkJ1GV9mc>%<@0|y~?rp`4Ia0Z*lX_SUIzd^u4 z{vwPt!%U0?t05ERL|3waOcmKVqD)_~@I(WwLz~wVM}afbEkK*!jy%eWu~`@t!%U#j ze50`M7R8hN#%@HvSLyueY!P&Y4NbtpAWQ5esM1zdyQ@ig_nfiqyO=l;gDT234kpLfvT{#no>xVXRfneS4qaZEUuWfZ0!x!RM6NjX@ zh%JCZ3XLoW+j1K~(J-0;tL;CCeC5cWnE-VnhRA>jb5mmZ`7A{~Cnppq#n_X6!3NF8 zTWlo$YjJtOHZHH57*o4oj5j{9Dn)%yRhx*k314MwRJx*n_6Z zWbjoF0}IR(#Z4X#w@A)UM`)ny2H!`#xb)yKjkKtBEV3Ng+l;s1*ip4;+@6VLwdA0{ z&jriGy{X;?{{ya316gyePBbiZLq;ElN+NtfIXZ;jo^2soFu_ zgSN${w%7}c%ECI)l!HeL>Dw#A;&OuvLg3lU-3Rxu~QM{>Gm}$sEY)#L0VW zN9Ljp?kd1~Epg0S>;ZF)O|%KZ)&NoY>OgRtBcG86@iXZh&Vi7Y%0O}`fCv@T&1>+g zzo_-{NSlBV?ZBL9DK!fuCkldA=SYuxj$@40C;&HB2VLeh*a`K+>P(z!?KtsHDVvCC zmQe^TqudNAj>?ldDkF;s6c=6))a9%JdeFAyYY%!?1_sA@2#TAQ2I20JgJ~4oqh>D0 z?of{-4)i0&!Gxz4mRLCfvVI564i=#CGbZC@!|y{A*z$kbUiw8|-N3O)Lf->AagxE4hCttXuWH>2VV6Onn4AR5T z>6slPV5k-mD?d9&*zqLmHo8+d<->2iUL;VjNwPHxxLpYI#ENR0X-;c2Sp}>U#K;ID zOkeS8Sm>*bK%cud?mbwQo+<~15cW=fmR#u0QlABt9ndJ68e_E)Q69WLiWA%SUI2Ro zfw1Jj41$a2LyRLMT4`<(B-KOyp(J4Z^+Evad2|HzB2Q74rXUJe*;&%&S$1E>K59=+ zbuc5ET*wTX^}x7CAZdLaL45^PzZ9U{0TfP)9}4DH`8U!wh;)1affTPS}*`4w00=P8-H^%u*U3%;(5yG)scCaTZzGUUm+3 z0AiIv0_n+3?|RgR+B(qADGjw@el{FIl#-Od7=z1Ena*LRltsEoafCWd*9?M(5Y0jB z2$|c=w8ZJU5ljR&VJnR56W+f2?Vj7v_u-#lqqhIk=GS)qiKuoGrRVeVRq^AktmpmU zxWFF;bocY+wP&AXsRv=(|Krrs5dBVW)?BMSH)JSk3Un9hBWzJiV+$}-e{y8eAEXGC zK!pE9;t7>k>edR>%)-$_t>)izzGSiwF>)0~O=gbKM?-=lCoU3DVDU}vOfRZz2mS0- zSIoEpr{qCm=_o0)@6-$OBu7J;GUP=~FkOQtYa%vgH1?OvGV*YO#l@oa60w4`jf#9q zj_i+g*~o9`g~$$ffrhk+Y$Mb|Rf;pEh6wX?n`BI*V-Usc&C9*SONr4R$A+yR!fIf`k-i?h(QjiA8C zQ=BgFnOUs?1>(bJV2-#GIP16Et0%&45Pw;A%peSAR4UK(ms>KZ9QaR(3Ezk)Z@&{jLIJq-OD*fNLYz+p#)$S#D5PYfzC3<6(yxu7`;r_@sY7=Y)r1F zV4zYkDlsaS6;gmRi~xQ7TxuY0tvCd>b!gkv zJoqbq(DBjPcrltogItg;*t*=gxf4Fvh_O^*Eqkp9Fb*t*c}1wf!nYn0H9E_~bO0t6 z;OF%9^VRa}1F zSSW&MDvt@bQTV-y&zzNA1U$4Bl5L}Rp`)Y{G^7QNo*S_?zzt5)T4!klK+)ILs6*II zLOS3RNQYO;yV zva=Yg;k8Ine@?(32!U(%_C3a;r4#?611IfcT(;7BK7*sUG7YxpFx?kAN%Qi+Wmt4M zF~@f6?)B;1uiXKVdUlzC+;{wSIe^1~D6ri8<$dxI+Lbbf(fH67S*R7UvbW#?N~i1C zt^5!i!&;JMV{T|41QRYW>Ql|BPrSMuEV`i-tbLfU*xjg*R*)P#im(>WxTuaqfnyGV zHg)ikL+DDq7OmAsC6%IDe?sSkz`4n@!K#L5T|#;*v?f5lxB|?sd_kk+Z6b79dll#^ zyGz>D=>7(1@qlHS{isTgDnfylzvb{id&>S^_Hvum!n9TLbCUTIM%~nslQ8CGC3PaL z^sGFBOeT1Oa(pHp<;Vx4pM(wK9>{l*x+f95{ZhRG+VmQ@!8r7WT7C7EZKjotrgRoD z3-AO3skxAQL+BW4ZOD~scoYEaz5aIf?qtYc#AV?XT2H|h^V9H#3T{e+o!m^{!KscY zgGjPgLZpMX!w}$b^cLAmUv7cRSprvEG6$o1BkcZ^NyCI<#2SiSR-1Y7!5nD`l_3c;i;8=^9 zHQsp!>$LGT-+7)PB@BP{5!v#*0~J*SX6N1xsf)^?oN!7cwkZ^kPpIj*uSau<&64z zh=Z5FY5wq!%P1%w`9_bx*f&y*9=-$`*z}%jgaMA-otqJi1e%wxZq^78D-tU*c^uzV z?TzhMm`idz3go{S5GP<=?cU(_W4^t53bZ2r`#%7?Kt#VX>dxZ{e^!2!MKV0JWvD;33-A|jl@Jz6`QVLt8&k4LQm2C1BQ zJfZV=oOs~zG&u9sJ)Fru{PBnHe*ENI1k3eW155N`a)!%62nnkv48Q0WCx0v0d__8%Y|c2B?rOM{yP%UdfDJ0il6@w*1Vl2BLHPUL}I1#l^*C52*0b1GT; zynz|P-9V^Tuo!@(cpAdh&~OX_#=Yp}Hyp!!kO7>>_QI-7HLZRnhUxhroW+0x5LZVs z5363rApV^+)})hpctC<*W$9I~jCjkyBIC2NS|`M=*fKzA#APF1IpFdmlt9!9WHb7n z6RIsIG{6{OCt*Z@R9Bc*Re(_}HbbQ%h-LtV*6I;&B2qLuu7Dkdk*1mAx(TM=xBH*PC})$HB12sSUB;iCy}5DCD3cZQF^F`6Z_H9)jD`<>#0 z3#O~bakN0^$pjDRBXM+;*0|e4D}oJ25v(eha`?tp1oNInFqg1qGh(X}1L^P$-k5b( zEkE7)J-OGwh8^B0*1%$FH85s)wJ9E+a!S9FEZeE5Szwe(uWTyCrXp z*&3W;S@tRz77NcZlix!YKp?*HjoyPp#kPZ4vYZNZ0(Xz2PnIVyDS66(0HLRGfvGM# zzG+o3+zU;94E!n+tMH*S?AXFP%Nonts$hjxFu1vm-($9fjcgo7w*#C`?*TYr!bW1f zup@eGWmU)GSSEi+^J==S3WkUl5n}^5*;-t`p)zR$r*%miw8@mVhgX|vS8<9`>8XQpTN$+942F7S*z6SOz6W|~yogdRRrL%iP%hyzkGr?dP zrwYLGny-O}w1&JMcw0H)m|bgN2olE(KL>zQdkqW-Cm-}40-UVPxB;9X8S-j`umCt~ zPuJi|5#Ruy?(CjHaIGZiJur}$6`()m4W zBPl=ddnEZi%-M`M@0zfw@q3spgNN0UL}+Unm|~Szrbwr{eETZDXBp4@9z@bGk&DCP z_r5FB08Z`v9`gQh65$>ubI0#dI5<1MXI^j$Hh$0C`8^Sb+VA`xmc!M??~##ZM7UQe zH-3*g@q63>aGbs8_tcHw0}m$wHa33G4Zx|wZ2X=zHTZ$`RV%?8E|59+Jv58CBOLgJ zTYir@@p}xd0fH{pvP^&xQVI8driZ49ftQ=vZbi&>W-d#deddZqWBCLdXsU66!kOfw zT_gEO$&^C40E-zv!S5b;XD9iHk;ab1#=7Lhbo`#Pfq9v5N;`MClY9WuS^iocNj{>n zd?wA?b|U#ml6*3-75SogSwPVOFp6)#CHZI`FszhV0xM`(dH8{Z6NB}c(n0Z=@^gw$ zzEXT9QhY=qfjJx$pY%}_A2Icwu3t#;5#%qy^1X%Pldlw?#$RseW~2CsJ$qAs5yeM1 zIsX+XKH{3a`9%~Tyj1=micfh;@exU-JBkleF|3R;R(wkFNskmCks^SfeoFDF@2B`k zQhcgQqHN&i+izR)=G&JjKITmEF$cv*;_;Ohv4NYX6d%~p>5UX0;XAmwrTEnMP<;G7 z#YeF7;eq0VjeLdT1F&*W@e!~gZ>RW>yKLPPijPP@jxSJrN~ieLjpAcoq4)@et$U#O zBvO2E9!NZY6U9gD<*4^id~hsfdP?yjyg}H(1Wu#)%x8*EdJn}%-%xyvAgP}epYb`x z2k_>B;-h~4>5t$4{=1+5_b0#m?vLO9;U$N4TrZ8QG zo0hD`?O`ToM)1!%=dz5rVPvDn90QQ!U{cZVYssoou~@oy zWFfAbj*cuG&_G{h26uF14J2DG%E#W31*0h76k#C^d&?F3%i*_4Pt=e7SW}}e&uqq+ znZYV#xHN9hbf3h`YVQU(w*_yIaZ_2`F^`@-W#O1`oPWB|(+HnOn znN3+^Ysv}{|HhKc)21xDH)RQ!>xZVS#ER6ZDa#HM(`PHVZ zxr3V8D^jx=mW4-t=hw_Uo&pQSBWxAo0{Z#His_)$FaT@7c@CyEWwEt~ILxvs%dW*R zO;!-OOT8w%lpHEaLHM->YIJYPf?FqO!PV?dS-6})HMTcpl}53#ShA9RY)x5$(G!Ca z*llMj!4#`fTT_|x;rYvtQ8{dJ6aNGCp@>PX;G-bg@duDAk?rLw! z3M%eRS?1i7MUT#$5@2f$Y|6q?F^+n%DXZQ!WdWA?$qF*_Z?iVNDN8SLibjvEDa#RV z)Yg=h_OjGXQZ|5$`X6lMj8ODQ&W~X0sL(kSQiDD$PGvjS< zId1xL@qq+nH7-oN#s*|WFGo$d5gur*wU+0`t=XzkbE`%nR9oGdSu?{?QXK|37C1%= z&ty$BDR`%SYc2P!8dcOJ!_=CwDhWpsHVTK(jR8haA&6sZInXU)Z6E}ZuG|{!du#cY ztZ~L|)u=oW$LO*(W1Vq~X}Ovyi+N2Rhq;|EYTK#IU2f0LR#jSE6DI)+rz5D5_7eH6UUUVP5EPvo3V}jax(Z z2CRA>VX?z38=b4nHjJYsrYZQ7b0d}mrjZ(8(vdY@S+`LLfV=>hRDjM=GUmeJ@iczb!0UrZM6A*7i52KhECF>EhLRiiEAD+6@HW34Hf(D*iS zT}7Ucf>hJZAuCps6MSEgGDpifi{GxLYzp28uwR%P`mlu+tni{R>b|9H!ojz>OWBmI zAO&k#@KOjaSdgl{Ae8}cEWGD6Wuvg94oHbp)EWzL z6clb3@J(TnJ}T?>oDJSjV=LG)p-NBMP&$VM4nRP`qSO#=!#D6Oa6FEx0kg?WUGtU@ zG}4sevR>0Rrq`qlscEc9iOfLFGHo*v-b6BBZD0j5ZJZmXZF)_rY3BfLX=7GA3%PVm z>JO5MCoh96E7}-J(YEeWE{4%&BU6Nn3K7OH>K<( z;uE^@&H=FFpckfXDqh~^iI*J#i!H)#B;v@FP43Vp^puS)4cWAMRG9*B8cu>8?qTgiY;XWdu?I+EoF8Ze|YT3Mr3ls^?k~Q z$|74qs*H%L$xAp2Ql=vt1g}6gh6O2s$338p9B|nxBasRI0>Bc;W_6uFjFX5<13TQ2 zO+FW-fMT|cP2H4paLoJ}+03}H3oHw53?i+`)vX}azN3U*kPu7 z*mwg}wULO+wfzbYeI^kPjchYvV=s#$D?Dq7P+rB2Y*Vi7SMeh77%)Uva06SkwO?&y zn{ucD_JUL+5f2rnQTvI!85IidC7_n0^~$i)2v`w_NcuSIU^;Q3sn3N#7ofpCfjV$l zg*;;6Rq$iu5tk+iI5y_Z031_*ybl?jttATq!rXE;zAuW>8j+Z3H5^>Y-(8I#U<=`z6zeh$ zdsxIW;x9*_5Yii4Rf;UL)DkxEBrx6BXVXZ;%-6thMSSAKb~tt#SvdEcvS>UU0G%P> z^D9FxpqxA*7-t2u0;HrU_ZrlA<=o(fs{t^Mkxf!jgosB4W;RvV( zSbJNP#-G)OYAQ_p%tBPU$=MhdqLvKI7s(>doo3TPjW{Q5GEt4sJE|FWnvFZtY=CMe zSW^j9bFhfTQHa`-HrGN_-E%f%5!ZueW5^<2HWo2K4GxrOsD_bdPuh6WYygYa90LN; zK(;}(Wl%~3%+y;JafD+4rio1r&^1t_VRc|q<^+#4m2w3&bxk{{X*rusNT>6NW#!Odmv>xhVp&GiqKD)V5lb#`(%kM`vWprXV73r6_R)Hd`$U;c^2uGF*X; zX^MbzC9rY&$|R<5p(q#}HC{@QXj5*0&8?0OLN@quit|}L_$MhF?2M@L39yN!r)&Uh z+#S=#?I{~aCb4Nu;%OB(z@{;68nCe%_^=_HkY^y9q_{#gy^ymJg;y0gu`R*ZU zlYjW#KmY4@KYsF;@BZb78%l9UIQ~`_kOE`*T7=3hLP^}!bNVK>BGj980Sgh1zATw- zQf{N%6jpC)9(wu)hneXcl}WkL8^S3}2N2=pEqyagms-#1o58_z_}bc}rjl@M3yV-x z(y=i2u&r}JOxSWaqkN(Z*qAncD?%*@DssLscPkge;vp_DrCngsBzr@c;u+}-0ca2f z)}{*xSOGvY_T&xUU_scjsBvJEhnag33YXnUKeUub*9DZk@d0MtIm&WIsTUPt$|Wn! zE(6|>>Bi)ZF$jkbUBIIVRrVrOUbty&YED|+Gi|O#DAvWs6=}M91(TNRZB6B z6tRX?$AxjQT#9L)3-g9)uSNmUjE6cP-j*_(z}$eb63EbDvqdM^u&Y}|RaO?F@IWmG z9VNbQ!C%izCrrH%HTM4UI06E&GdKf)yGi5#*b;qQ4Qb%yhdPO6hiZ!s*!soYGQ*W zjPo^Dqhyl@?3F0P($6#wck~*=#tOCr=3a?%AUeGU{%|GZrZsWLjXX>Q5@Cj& zFRa@FK>_^2ydsRN%)kO`B&jE%>e5=$I;v4+Lp3%6TAR^waoMM$)P-zOtf<-L0SVm^ z`Zsosuw*WFjpK^##I<0Qbdm>@EmsrS?M-^kUWrQU8PtePA8=}@2Bzsm9>xls$q*DviMEwo25x(TA5{ajuzbP6)Xq3sD|MgU#L+ zJ4HoE29;YOs;-D$Tt_wYBB>l<)Kmesh-y|ui%M(!Wikp$u(1R+qM;h;&7yH1Sv;ot zJquA8s7AJSZz89?5EXV2og0FU6I~v#70*un-i={X0Z^$9c=2%QfakpsRhX{X2sWgy zw3ctNkUg_>5uJiisq{irVb3>3Pqd~}t6L!ob{J;{sD@f{Cv`w^gK9icjXl%>?PDRz zJS{|tqu+a6&;3yp(HTztQMNuWL^%)h<^+!H#vf*01@|ntVIZg(TX3|#T!_NT#zIV^ zof31C2RuN{nplLRh7LkyfF?KlUFdkNaO0PJ6rvWTZO_(-oj*M94N?db??DY5H9^fO zT|?2#g>9}R(O*_2PW<6_U%vbF^9N9)PnD>D`susB{M~baq*K$xbU02)0mfC6`brrrV?#JY77IvPL@Kj{;op>j?KH0lx1 zbg^T0QzMS0y5t$q$OdSz)CzH7Z1kFNrPrvv6lIzwAU?3rDy$*v#Q@N_9nh3-9{`OR z5z99~W0{HH9!*pXb@^Itvf0Rc0Nkc501eca-dU$JW*{ zgms))n86VYZ1XO-N8&b3vjZAJ)(dP+>qBRn<{r?56QEHY(3F<}P5lT!!{XC(K$9K- zO?^9{$+v)}z7A;2F9$U1e^fvd?*Wbc<$xw#0Zn-;phmBby8|ni?m=}d@HI5owiwVM#e5|o#lmuc+rpjapKMZYP{6Yc1ogplVWylbwW3Qq# zhA^Bon-*HN$!)4NQybbq+CBTc<$-0S8e?e;VLRd)Ej>9S^`=>~GlV5VRH*=GSZ4`+ zXaioAH56i*y$#B34B?dw;qhi@!znql$s99JCiBhssXHtqWgpt0fHn$l4*r)EVc5A1 z&NOv`24^yv7=$yq=VxMvGlhSI&s+p4 z$cCJStGs1Qv%*dmeK9vA;bBuY-iJ2e5>tClEo8~SLX?dnEb#XEl$imJfZ>EG;vg!l zifno{9&V2HrnOUq#}VjD;Y2bq(}JkCvAy^@y8)-w+|Yzm7TfTKohJO^?1t&p*$rYF-r&+b zO&CW%Y_l6eo81s!p51U@nJ2Rw4w`UjSmu6qgF0q6h+cAv(IkWXu^WS z8*oOcFS4?Y!IB!s*$whKyCL4sZmb#}u+6BgU>hUc>zuwrd?!+UAM z;;hE^5>5Dhvm4?d8c%qm314S745UGhZ=eb9vm3-Vyx|wlZV)Uv+1F{p!-VVi%It=G zS;fuphA+MXoOun*AXNFAfBIi7HIr+`6J}Pm=JM&RwfoGO|-_DO@gnq z=E;RVCC^w^!Pzk(Q_5s=D@BOetUT@nnnf`(hL5Twcr-!dO8O6{GOZLe4wM3I0=e1* z3$Kq`1@mW=rdVN}IpT7`EtYWuG~^0L-}Q`r2y>1}lOfRbj9Id(5w~QlI3PF;I4yA7 zC0{BoSOREWOC1w@(%#NZRWbt;g#B3H;uwgH3l%A@1F2+WYdUqWmL_T3N=_w3prF

    +IIE+D2k3hg&xh87tnGQo(T7#m3EN&lyZ}K$Ok%Jp{GL1=5F0es>kvOal z{-q#(5pX{ND30271D*f}kczEqSUX)<&P~4t_#4raL&&Xw&n_x2u?h1T0Hi!mRv^0X z>NNuZ?PW+D;Q&Na2Yet!gef_@k%h;NR+G>3sbV7w3&T?01m_tEJD>1s8px3yUCFo(@N&kJ+qgCa(tfL$-uX?I35&jaOqD-P}_K2TOlt3k#y0 zO`b0+HbXhr)%mst?^LPIxASULjC6&{z;a`=ZIKP+@M^AcUI)NW9DA~bnX$op;frzh zW{)+>sU)wd3tMaB)#NQ<@}1xOUGM;UWY*F?k)06mo9Uk7p?E@lW-FWkrx5C@Aby{U+|7RZ zoob_fJk@;2^SNaN!qH1o`eM~at3iyqc)#ln$8~Cjci1Wfy3;*Z7-pllc>9A^Blmob zq&_OZKM>!7BMKa{h6NZ6>!q;+aPwxo73>RCW}XUJHU@zZPG=g@3GrAU9^tG#hiHKfJW0Wqi~h#+fGK zq2JRt9pB>G88vK>hk5s1u#b=jhmdHT(nw9U68|u&2@n@{d`}1(u*5G55Lc&4XW3By z@CtbbLLLA<3E#^6P3!m;rDL86cqEWOTyF%3^_gX(P2BP~2<#Ql(v?3f<_xRb6F6tg z!^m?@2na&Fz{8&*&%3X_cqmA%-~G!Yx2C>f=B96@sGFIaZ|BxDypt}>t7bJ^@zp>)0vwq%N31Vb2oEyPv6+n%uUm0Zr0Px&B6v>SC5&S$(?6z_6G0s z%uU(SH}&P2n@nJ&^q!fU6Xk%F;>=C8b$GX#n+O|Vi(C4JHKlFl=FDo;xYKJ)InCS* z5-%kyKw0ncE^^Kce6GA2x%Eb=iGm7D;PjcBn(a1Po@Z``Hgj`L=b4-GG;?$7@s3zd ze59?cir&uLtN_z+%|vn zE8Dx<%uNKzb{wSY*_(1ZbMwgC=vWnNP>*>V!*%0Ss+L{YNi}yfH{X-DiBIx2&u4Dl z=56E~^EPm*PiJoC$GlB_$lH|1nVYH2+^kP$ZuY!Qal=Q=+&fM(n-P_E~e3Q31&D{JYd7CY5BVNqg z1mNaqT6?#2k9nKdW^R@b&D-?$Zu8pA&1ZQVeQxj0H#0X|dv~BH-_hRvo|&8KjWaiy zx3O=@+dR(P{HVOmmbMWenYZ!hnVaQJGdHQX`K-NLZWzY;{GOSc&+;~M%iCz?ZO&<% zPe1?7mtQ^fK%LVzfBw_o9&*F*_+gGLK_l=xm!Rx6a`Op4*kR{hz%b?!!}KuSnrT-YMg*~N{zQW^ z34tfVJBJ`$DA*w5yC7d>kGJuSRnr9dU^gW^4p}vQ;HIR=C2U?k3G!(`8Nq(7dg}4s z1o?*E<6SAp$F?WVaVnYmUr(TnSp)_7n7xs#7-7FwqO|M0&9!zZJG@e6ZbWXRn%?-t z7Vjn?jG%c^3&#=QWoN^=;W7Z>HGc5)pj9KY%DEMy3SuJ*n~@ui38IPB3@k+9Ji%db zpbM4NIrTvS&yBRu_X>Q}bgopWEXo2%t_z&VHfu998NM=cP^`YH4vz)T*;>2J3C_st ztj$T!OOZ+dRj#2))?n>2;=Y8FOBH^XBO%S!-o4Xma0{cuHEFXp$HnGK8L1*dVgOPe z+Mi5se+nAW6qoD3G;DurwWv*okMk46I>JkBpe8q!;nw~nTb^dVwm;1iHTv#&HLkTk zksTwZjac)%{i$P`5)Ef|l$re+&g|_^!`9P{TPk{*Cd~RYMZs*fDB0Vem{0+zcTCer zb#8y!vNSS^qy5SB_9xrhpNJVx?N9EN_9tK(aV6Ho25JHh?nC=ixov-<%J8}U37AIw z()On=GA!=fpI~X+8eLTw{hR3?KKfweFwm;>g{V6bUacY0EFSkFj zqz4Gx@-&E<0Mp2$DeUPE?`?m|aC5QubQjaxpQZ&@Q}?k@mg3~4S}J@WJ>94FCr$*z z>PaSQdizsRaaZCKuJ?2wa}*YfAVwR6T*gViw?B=y?N6Di8S~cuL@m9JX%Jb-hxVrq zX-@4=Ctgj1GzYInP-j@<{P(`@u2^I1(brvj`%~-dwnzJuWBZeW!y;(VQZNUk?%SVm zE<& zueLwIkBZj*)Ig2BYkykV{=~-aJu$OO@xdB18sC(L0cJ+*)Lr}2ePg%om?rLx-Ia~q zlqTG!ZSwLiKvYlKpT7PEn5MNq{nPh9{`AN1U&c1$-uaaF>`Z@GF~?Ydapabrfz{F@^$uYt5r@B!o#~K9 zx(E3^U`YEqqOdt-XYx&UrnJtdom^vHlHZeXyI4Lq?&O+rhc*1Qg$)V7 zBwKc-!5Y71XSCn5GfLo!=Ekl8-b1L(gw8y3K(G!^x}I8gCe*rRXBtVE9AUw(r^(~k z!A`;ZAlBT-HMM7Fwr+0IvopHSmk)5FbDV29$?vfU@Q|JfoOR#QGv-Lo_`J#QDaAt{ zR(8&H`8|9`liy=+BZ3o)ukPs?XNQZwl56aip5fpzJHlrr${FCpI+79hv>J`8)6+BR zl%CPlr|GnsIFvK3hD66&fX^!|lA#{!OX(T&ke=~ddM57a8L`o7!W(Ec1adE=XT$@o z=1u7txvTGO=^1gR)g0-W@>W{SmYxy6fL3!#&xl`2tLdy7(P%Y%rJkNijaKs{J@XQ+ zrl)7bJ+0>b=^3-7XY46GBYr8Zrl)7b+h{fQF+Jm~OV{*__@%U(&Z-d~Ppdhp@6958 z0IlZb^o)3kR+HaItLaci{8Cy?PtS;7N~`It8u1odjeLbx)6+BJV`(*Mqt%>{%$M)J z{QRpgC@Nf+cc1*j@ZFC;{0KO69UIQ_5+HCLr!A>~lWYLQDTcyU9bOy{WWbz4AR7eN zqT(bEEE?lJ7lLTTxzTv)ahj5mu+g{!A%}6qonP6&Q8?Qgf$799SnSW8ic0gnp-JCb zLFX&`Z0p(u{?*u>FFfa(5S+nCAzsP&xYZO-8c3{LIw6+1TwjO;d zI&3J94Rc^jLIAtFJm#8(!8L|CUyRo{I9L@}8IpFnCPPk_F~^x}l8!a$-Cg^^0YH&O zJPz^%pr9P`rQ+b!H6hexM2M49R;pt(jfz5hy09`e<6@dYRL8PqZVaOBs5y`!Z5K5kPM0M_gtgTI=&D4sq1EQYNfw@KQ| z+7<<1yWSiWF3Q*i82h56Ejy=io7^pw?YAjV+J}?Hw{^h@s$)Mav@GpL2_P)`Z+Nrw zx*Uh)-McS;^Yu5Mz9C%t@Ava`pQx2zbw1N65o|kouRINx-1B0#ZEEF~F=fb&yvIcF zM&2W-nTcR4S)lPPTpHSJ4#RM1xb#HuDO@@d!3cq28Vef{7&pV4;k4Jh=9%r4jFdF| z$l}J92=*>US?aw2mT8k&LQ4cMy{0W-Fs`HLIHCf9!Ko!-&;VZ~nDsHbY@@oiBxF*M z;a(l04KZhiO(VrVyU`|JO&9M=Z4|;Nf#@2Cu4RI=!YRZaPn^cao-l-Zfa>@AeP+H zz;WEdCj8B78aU;3LTC;k6ok!9x?Hm15{7{D_84Y!jB%-L8cS389Gc4Kn7nJvgw!fW z2({pg7lVHjC7)~CSG@=r8R|tKP6IyH^^$w+WZF2EmIiL^m8DC2j&KH924Eo4^;#OZ zj6ho9q+AaBQm1(zHn*!_)jf71QD78%8rWRZz?r4(#8>OFQ=lZ9EgUwdeNO||+cdBT zUgfa4S>LK)VXK!*Y_SuyJ?W3HvD2pQc})X5j?xu<97|>JgnPp@Fi^Vdvvf}?SaVAQ zYf?bny0=#{4Lr8kNo;B0`8lE4h+_Hir-yy5iDN#Lv{!kaq{td<0}b-pHn%Q*=Q z&lCsoViLGe;B+0a3Qv>3#h%Bph}K=%lEA&WGCd}Nn+Ddbd*H-ry1NDz5dS8DB_1@e z%8dqAPXeb~4Xk+!oM16(m;y1)8sbfL`@l3)uWsi)Zm#3!^{8$Wi<#vj zI1B-%GdRwkn&PsK>#4j=NTWKWq0%Hh-_~S#l($P8X3)D6BJQ@um4(B?P3O=Rj*zN= zF7iGZtav$0%ay>MMHT^oKXJkF06V6^cg}DVfJ<;+xuY6+MKxQATlNw+EVTw4z-7mV zdvi>g1FpgMO|87$a7{H8u1~jVEU8kObisD+xTau%a1=8>W&(WUP!`y{4cTBRDMUVD zm!(N<&Kza;YZ}@}7MO$qXm~?5VF|WKBXEJc#3w`G7Yp1m`}(B7U3-B$6w&lONyBPs z;T_pr9+AyL)$%6=?uKlHxhhAT3fvTqXo46V+(~`Us5ylV$OhZ&pA@*o-iPprY^Dv_ z6d)U*53194;fVZzY_K-*7&KRiY+8Z4wwVkkWCP=|AseOI7~K=HNkBEHv~bz=Js*+H zwZP3{?@{0$c4Q-7)AxKEvSH;#n6B^1F}iC*Hk1?BtHlMkRr#1CHf5N@3D__zoVvWH zP2>izus0`p&ywzejalI|uk~&D0BqtqL_8sGxM50jh=qgZ_*{3u#;oQ6*n~S^18;H? z_mmt`a1U(i4r~hUmr@*AbQSlMJFxM>JXW_eJac{mZ2A=4r@%(+;-2zGvHSow3en#C zfsJULme=Zbc%i!8fK9vuHj0`a9oUFx;+|bK;sk8+i{hTCcO8hw>h^FI_e`5=#QTAb z*u_0LY;&t_1A?U0wBeBr?0|(BiWVREb4A4yWt^|^&C^2oi3zn@jFbq8<%yHeEsEbzWn^tdp6_p)6c(SLijwi<{N@Of7U#$EwRFpHP19pO}TO! z>7e~vWi?8b@6|k=S}IGH@=Wt|h+|7-4bvq*sr*-tG{o|jHDY&_2(?RuQTPUDHe4mb z^7Foo2Z=DZ_hoRzO_vCp2>0Br=4tQCDB(^bOb$#SkL9d+Y6EFS4en82gO&dYhSYFi z4Leaw%p5h`B*H9ns2cXmQzC3YP;;YsYVIV$+>yePuW6pbYObpQ=0b#v=$~B1CJ;8B z6;BIi3lF2aS3KQYG9*IQ!ah{aZpXO?U@S5!oQPfT^vq|>yWXkq!Ww}SiSZk7=}lNe z3;-wDSRxJ_Fkpp?t0#FdqtC(`xKz|T(=(hk{8*C*gMEsrkKQB9 zD_ilO6C0X5SU76`xlP`?*d$Vjb#lX6)1%(0xtG-FwSQ|*@?hjoq#($-E&zJW;k~AJ zN>0nt<-yV+4~DzS(tj0A9&8`HS7$Xhc`!R?%7ei(wZVI(Ww5$DSnTp(2k&k2 zV8dA+EFAJ+^^gb4&*j0$ZxSto^(YSpA3}LBUJ|n6=dR*@rZJ9fpm4)^FkADocV@V~ z^xySP^@BVZ5Zx{hru9wfzlcYmx0U|iEDv@Xytj@w@?h?e2Yapb-{rxm4kqs9!PX`Z zmhceNPkW0zSiB#+_g;Ch@+c41VV)q)TMv1#2NCyY@?i5>9!%Q}=6&_$yU&`M#`}_2 z^O3wNxlOJ^d@gYy<-uS~Z8{D9W4&5gl2g4T=QxoByA1gEzTS-57>u#?W~i>GQTymU z#c5bQc?ILZe`!e(Xix9ktCVLhcrD&;*5k%gV@69|wd|BCi?MU4z%mpM+Ujwo4H1q#b>+9zRcQ6Ntue!eaxI1f zXvVyRp1L9wt~fsIF~v8=xUwF{LAp)9JyR%5Gb_?lSMXc#DkGx0p^aREy-x|xMb02o zR~w5F(fwF@Ic}3X-G=W0j>nKT)Eo{h1Je@PI2wU%9&xyGC6XEy+~*2(ynz`CYLJUT zFe9n&*k{JSb(A3fZb{z4_0oOiEON6^j zb=_=;8tX|=gz*x8oO5(7nS<|%{=^H~z#38Zt$sjQP7u7x^m0t{LkSEC!yVLHp> zJGMfdaBkS9@WH7~lcJQ$A*)e$?XXH=cN=d>j(~+M)CE6^Hm}A3uXy}B=haj)Nm`)} z8*j>K2w$cSs_oe+;3NR?VmPjb)vJbd2ueHBVWp06we@RM>)EftIV(x3u^!`UWb|DJ zRg&arqhLO(^oEUc4B^W?ofSRPSr0?_&V{-TbVSQ%WsaoW^I2uw(ph>-XSqF{1xRyE zXU)q=2Ni)fr|@-_W8ND!+7Q0bS&o(c8m$M_V)Z$$24)ebNNGK+%dq9M#NM#ckPiHI zLpmN`scGQH!hVfHfw?W8W!4!^)o?HvvssKRs0TL?=P*;QnCVNcP6xYIG~3GXL)Z(v zJo8y(W*1K2Gw?#Ibsm^&gE^zH(#|%QCaY0)Sq-ce`yd$Hlc5k!!)iFRc*(P*KnqY* zi__{Ni0N=)iN#t%3lG6C_p;}+u=9)ND-b6XXDBTc5NyJvEoLe$pS2=x+7nuR!0-%D zwW(_0Imi&dpD#S(P>jtylk{z|p!pKT#0(p5iY42!7wURCD>9vxTeAk@mezl2HWiIZ z?I3KPmrQ3V95c~$t2xF>FPtY9S*Y`Svqrw6oY+wgGasR4v&NfjmS|9*iD=-@D(AlJ zA{uqiW^FV00CF)EWzR*i)H#-Tb_>EJ6ZlUWE7aCCT{_GH$i;gy8C&1U5@!LezejvL4Uke*vI ztDI->*)=HqRYWS+UX8#>HLd@YBB(pc`Sgn~zy9*8H=rEdQI0$MG|t4Muw`pnfi7PA zGgnwhF z2B%Q9e2s7UngOS*}dfb2ejFLDgLa6$Ua0mTEn&C`Uyj)}G+;sC-{?ndw7Rz7s=Dlyq-BsD`~|uu1IJ z5CA)rbk9u;mD6V!Q2>MO$xQO zYrvrwHFe%mYd_7cU8B_2u8~HMKVB37v=Qt*1^5~_DOBM5IaW%c4)DadlDQY=mM#`X z{aVK+eT7qRhRlh=2#!}VH5Js@+cok^A*+_MStV08y5iB+c&mcCb)F``+?KK_(h3gV z>nf;brDD{krs08Pwh=W?RZwB;C&Wj4%0`?hN3AdyPe?{KHI0JcG%4>qz+P@@8kFj) z$<<@-o`|h5H|7?m@BNFWO1&v;vDc{ zp8VFYF)?FT?AEUlTffHGG~LBjPs46W8@Xw^*R5Xz768$U4_G)no!j~~EHF;)syEnL zFLpG;u^TG#1h%9NGq)M%8Jk=N>{9F37>|Apf*E}zZLm|O>1oud-lCb_uffn9(Iczn zTfat2+Q3|$k~RxI#@@)FmT>OZU`2;X8_vjJbuM#slW+YR>84*pT=g_sW@8&tb0>qU zpY?0Vg{_ub(ng%Cb9>UJZv7g7-dob<)qV|s8O4l^))WPUNvQhNuffTCUcIR4?r!=u z#NM#c@r-M)rcaaiUhCJuZ1&!X;m8ixnUk)(ZGf!i5?z(xdt_dr~= zvY(3VWrXg`;;g)LEI=ZXESx8QLpm~T@*a%X2wdaBJOsi0t6fq%SW%tkKw zHe*{A3N{+&aFf(fglcSKm;_=xIQJ{*h^S^rRhLdW0^SHjOg<*ZSe&^V_Io*;W>V9Z zDFxDw1lH3{IHuR!4RaWy$1`lSBY&k*b0UjMuH4t5Dup>rr&3c?W5#+E7+LAZ5t7@o#=*&87AI_!Gcp7YL#9{;2dS-9{y;D1rFlK;kOCQ09vos_AL=;$W zOHxs3Tqi9`8i1?jIa3Xar-l+6vX!I^Kq((9QZfvXlNuXvxho?0lP;ZLSZ(a& zCbh|+Dv9V`GdExZqGv`1aQ%Qe_;-?HxADTTLuys9)$U3^n!CP+LB!9&06;kie4>po zDlJ_D9PH&GgBlL{k=|uc8~rF;wcbO-uQh!Qq8tTBf&hmV7Q!5+un{zHvV132%QLY$ z+j+~^z;1Vx(+X1)r#7>YV*!h9nbj>{0}NTu^rNFG^_G6b8Zl9h5j|fMXhYg~Vbx?% zNBEM4a)9nvxOoy56y=H~ZhAz01yEeU(lzext|7Pw*WkgD;JUE5Yp}&_arZz78rIfWVDHum<-5^RdzwwD_m}#qmw~E`H!UxEfJDBtU*yjQAz=x@^-_XYosGD`3-5yFdp_+>3hPDe_+9b44xUO!+*J-?K%m^}s(W=# zKrdax5>dFg*nYwDV=@C`^_Od`pGS%yA?;ubp-24FT5pZ?0+;JgdlF6MvyD-Cy9!d# z85k9&VkLjWq6(uXp@&Bf8zOi40!6d~I4&-qVzO@vf|6Nk*KvM$eJt0yuHq2D+gQH| z3R>yhv9A{|%T2GMpSu*tTW67*%7Rn8-stDS#SH;gsL-_Fdn4Icr3;mp7;2C9b$sz( zj&h~s@;gxqCkNA8=;PCnPb$e(FvlzYC<9SA=mR~^@ZyCp5`^>1CrRextBz6o$w>=Q zMtw@$RHO`+95!6=(b6fPeY?Pu&Gb6fyX(TC`qa4ZX(gkJC;E-CaTe7Km3k&rU6_Wp zo?%yudnSP|C}I4^9%WRVF4D5X=r!&N!Y;Um#)q?Pzevq5M)lBIK@oHMAwF%|94+ly zOCKP@jh$qCPj&=)v7g!OY z!z`IV0pzO^d%@A@dSchbC1U*JLU)_T4>o}lx zyUl)U%J)9mKuH;c3w8f0vmu9@BZ!qSzMN_Ooh*(e{~!{6U-)<&&0ah%z+O9yG4b2A z%YLhi!y1tgM~(RPtC~!1{V%;(jkslZM-5e|H&+IeZ31RYBHb80%d{ml*)AEFq=@e< zE`OGp`Bggl6MuKcw{xNdFy<(sOr66g;$FAL_B6O%7mIpGvLJ$bj;6Yi0fCD`)_ajg zABZ+`rzb0X63MY^7u*LpzYIW|3=(qc_y}m!U538weUJs(aQ_GQWOL&}5jW8#Hw*y$ zTi%IbSAR^|m|$99z;wBmhUbodOqY=vBwDd=4v$c8$cz#VpEuq5i;ZNK%G4X^itpDe zh^|vR{&t*x)ZdaX);U~26RV&VG3390q0_~!HkkfQF zCHLWP;K*kp$2F9HFCfc~26j4-S>e;Z8_3y9Ow@WRm0Zxor7YG|V&$*mzsJ6Sn^~@Y z#tDjZHoi9P&z+Czk}DP?M!jVvELzu8ud*nPL_wfrA4~ez(`5{h4vD5x-RKOj@ z!pJ;Mq~tRR)r;|G2L-&({Q6cjqMUqrE`OBf)V{>B*0N-ui!rddn{5^$^P2XlY%VcK zep3sDRIAv8mXZA;p;F^>eV>=bKr1J#Nhg`(tAQf{;=lM(>H~5H5cF zO!(>!N#{at_h}m2^he5mQP=Qs1bDI;~No-46?(lL+-PeuDQP)K!sPUs1fF zgUM)8FCqQU$K$~wLzvH+!N@woso_ZTNB_wksCuMgBLi`77xjR|i5Sue&%G&(~Lsn-3>tBHefwM8DsSNVD%2B`-BXEtE#t&9$e_2fs&_@VY81inrTF`NTMj;^Den`P5H9M+A3%iR8{#;JBD+ z_#SJU>f7Ucrc%`P7KNzN@Upqf*2<4=cK5>o>)g*;%!l!->K(S4krK<>b^ZP9D}uKp zh<$yt9B6`Y+?ki7!qB~Kb#{ZksU08=j??EEQLf}XO=QOSk`h{^Z=?afx za~$tovO8iie_GCNOGAbmIFnd!sTbDsDbT)JkY%h4EgQk@m~N$SFTQhLC=Ly$h_vTg zsN&Foi#H9X9*PXhncMp63Sge0ad5)EG&kQ~5NySz4IicrR@z>9#Ba3@Il~KoEfp^> zI@Ql9nq&Hv?{vNCPMEb=G@z{eDNU?Lxa9z%#-!cC5#J@4L{BAU?b>{M|0@~e>94lN z5j$I%+=t$<(D=wsYNoQoRk`#)?xfBnhNxU8yo@dxCg7hN%jG*iYI+X`;x)Lfq(`Ks@D$9xvZ=f9_0>kcPO}Gmz@vV!G!;vRKX<8BTQa4F`fwsy? ze+7nXTG+}6ZG~<>G7-~ANPQUQCvv?lN{+rlCX`EqJ{>@$M@!^zs(tMfGd7~_#>*1M zsq++0u`ea@XFsIPMo98K6n%URm*mTVvYCASWJyb2lJT8XOT!w4&6mbn^HW-AgOlc` ziH5mY15l_qP!04`x%`Z+40|5hf$}ismRe4LrN*wE1S&LOC^6dZ>-72ZN#aus=Pi9w z;ocy(pl$#!pzsTmN2m6uliJ;*n$?1bLZ|jEOw?QW@DvcDMLmO6$a1^g>&kmKHf@dJ z0u*n**=L}axMbn!Y<7M|`Fa{j|99nn6kr^9=pas`Ii_dt{cAUbLi}UN>TIFdDz?`w zrKZp!cHX7jA()7~_7_O(Q(QLB;ex@IZw--t(vd`>>)60n4`(jxVudnW$Zrh9Fz*)C z<8xc3!EOHJ10?gz(0ohmJ3itA#26}4js*myyzEQgO7~l}_rxu~y+T~S%sv4dhyq3x zzKs@%%Kf5BiV#+JFLJaQc`rA^yyF!(_hgG?-ar_;VNshWIa-0XsNUVTae`#d*3**2 z8(EGe)CtU*7K+mv31!lz(t$X6ge~aA>9Ou<9>x7!cifMYOD!^@jNh+Ud-%a&V9sQ? zjKz#`hGm|7Zu5a5tGV{_*lHS)>cb~4hPp%Ed!&}QAhTUl3vrGw{lXLu2ybr{mHHoU zs|4k>WPcUez9)O-f^1p#{cZ~1Px)s4JpC@k7>>`CX@QYj4AnX;!AM>IJX^<6scblLK-#Djkv}393KkLp22ZdzJw0i= z82Seu<{;mgHMHnaS9yf&O2T#Zl5K}=GjH(<*D_rkmfz)~(aT%ryCc8VWCVY zIhfiqd4|r(j(+7WwU>HpC~?tjs*jX~4wqQ@NYv?e_x6N60eqAN1&HZLDn9&fYfdI? zLh$1(z)Q*=5Fdl9(?doA5rldX=5fbpn}>?X0`w~l7Qg-Q-BoZXql5{I#CKg{@GyT9 z1oRUoseNE17B#kxI&Ju)FHys)O*5(Q+x2c;8_$Tc@^v?GpWW6a|jrEm(M{)+Be4 z5=n%jmz71B)lj}rR9M*eIqxc_qWd7jOV5i6D)0qc5@wi#NI2yZzb|dAey90l$13!4 z`6Fp-;zhjf0^4 zOY-yfCiT|(Q_J`Sna0=(-kRZB>UxD8;iH19Q+f}#j%)m4dfQ^!`VQmZ0|^;we*X`Q zFGIr3U%UfDCf8@b=y0J(@4QD!Sns6^bHZbG##2nC#Lq+AT(NeFHTYe1NeLZkTa06H z0__MzUV+EeR91-UT@5hPScbl3kJ6MALLaVvzD_##l>OTZ?0j0De4V_!b9x-o4}4i< zK6qLSKYP7@34E}4e$%Pm_?JbKHyQY1aepw><97#12z=%C>gn*iz5I#2_Hr;OMI~C~ z@o?P}!0_)ps7hgp{s*0{OTO=5Avo}ddmIA z%R~6A!cX}od|>VO{CfB2?9b2Bp1mKS%a}8wfZI)k(k)nS9nu)JN@6~#OLp9`s(sfF zju1u-i*}{amRcksV0|YJ?10ER-LxX#5aui9tQWGA#B^_=12RXi$1e^4B&}6mhvfK9 z;H-r`A6_^<@-+&j(`ESxar8;2 zuM7H)0rW%o*tZJkDtPLtAg83e;lZZ%njaB9px{~P%Fv6MqpZ9WUeXKMWi#q~9~sA# ziSJ(57FO+Hj*h?!pGS9#^vk$E46RIUlTA#eR~`UtfC(Qx#o zDCzsNt4wwNVA8AR`1tTXJN94~4(JLeQE}k`D{A zGG79vz|;hhHe5QT$_$4vk(^p_X(Jh*?s;U9%raPWbh547@opSR*& zp(2|)HSbRRw7F=Z;^3UVTUAhTTrVp-8az=1Q_t{z7QQR=JazgHLN&|My1AT@@ZpbX zO~bOWo}}qNNQf`*D2n$SV`;AV3Kid($KSfol^}O#OcS1xON6B6KE{ws^jDYMUL{yv zN4i6AE@Elw(%M&h_rKQgfaD6VJENwrzMZE*VXX-7jS$(DIu07 z5VFt9xl7W768x$G)t5jrtq68SP)fo6{uZ=d5+|M*MJHdzovnC+1E9_^CZ2*H2@5 z3TiocUe}+)?4j~||E8pP75WsWmm}FF4}Jy(ZY$9+iTwESLI_B+U3y|p|HQLNLCaXJ z`^da4!w*-%(*bvpiJ; zhnaI;<{1UctO_>K4O+|h>Vt5;%8zi4IsKe16ktQg&8bT~Cu(e>=54tLS*xPO6;^^F zJR(|k{EW_+*6kR4-4h9-C%RmFT=|DQn&?4J2MMB0A84B7yUoqYU3aL*vh3GfMWYA$ zO}kL$*1sS;p$;#)!?ikK)c}`FPH;t*d`z=~oF|=U@!gg%L81kw>I=Un1Ww z9yOphQ?m3%4+Hq52wWG__!%N^6DjX9OMrSAaJiciv z&%_K|NJg6z_^@j;D022YNy*J}n7;Tx6e&aX#b0a1tfPjS(HeZ%|61U$$fLE75w$d; zVJd&LUyly)<{NN;BHz$<^drtq$pRvA^55i$fc~L48Fr&3v# zwNlyr+ylIMp+mf6;JyirewLp`0M6@qXo?;y0w@13Ie2GHIij}vb3`qG9DL)51$nH; z0lxmrdW%8~QrnpTv~lu4b0!D>X1EOeiIe}T2hMkRGxDUCxsr=GCx@ID$?Azg5)VN= zkwBYV9;^~V$W)J7L~SRO=Rcug`;^Gqgh(9VRVQFU+WE26>`y>hzJ< z=NXT>|Cs?&yTXgL#}DD@iU5{6d!W@|K`w%U_jhY=Z1f%6w`LhMk}nR=0@siKYm-Ev zzeF8;J0fcgq`SU#6`gep0*?6^V`^N-wsq_?4ulNGF~+zEWtaI2mg)o593p|WI`}#g zDPK$D#%(#CY5lguwY{f=BE#qG>+IF8{A9VQHViGfvi0=3@G*}Ds%NymdVzr4o<9!4 zVKg=Nbz|J;K9P4t$osbj2x<1HJVOp3+qvrfeunpD7adxdSB6b0PIFxDJEZA=lO>C< zQH7&lPs%Gj(eH|uii5>;0{*s^guuh*iD@o9I=N;RmLvHt}dP%76G(VL%qZ zrGKKFra=m`%c#uxvQ}a24Fnlacwdflf1@DtU?cgeG;EI6wUS0NYTSLZmo0M;n;A3A zyH0<)AMU80h`_RVWNcOAHDcITJ9T8-Kz5N@M7lZL2-5wwH4J(qRP9IbGfsnnbVU!1 ztHehQc1rONwZGQ(INUrSU-#!b3~=4Kh5&cj$ZloojzagtYe+j*Rk=I4J-ea+!Y|s< z#*@g9*RB}j!*H{V7aDd(lxW^x;exx6$K6lnV24w;6$D)mQUk0k@UheGcF!5m-8?eZu|1~K`=m0PPE})*c zgNCu%@ASYeLBiNPq4hT->m`kAu>yCh@_;pd#_E3fpESU*(bE%SH6@5Xh$$5LV|o!k z&#v-sOF8JD5b^tHcSRUsz=jKio2dK(6Gq~ueThj5S2Y|=Z9IFflS>}cN)^fypQr-; z&Ao#1)w?O&XgA$tA0D5roDJo{3N}*`x~a_q%5Ly#X6iG}+Xtc`(oJ zfK~ynhTf+Bb5+RgS^;~m(~|v9XLr2=i0}UIvYXo{xDw5KgdlA~et?hD&>hBl4|=0kustiFD;8nc5%S9YL5BHGON1EM1KqIjI$$5uM(5vcRyEnS9O$B8T&@JFqg}54Ef65n; zGk9(04kPhJ#u;M$1n*JCc)N)N2dB|M1f~?K)1^dcjqNAG0M+o8%6}F{(3w|L4-Ngt zwr8HjT&K(J1@G}Y-2ETYx*M6qfo`Y~_W3tfihI-rT_!4NC;<1wxEp(zSK@Y*mpJI6 zQ8@?@G_wrK_@PrZNQ$}*hsJ+P@%yHF#jK`k6**_-$hb`jNh0{B8uVw4$@dufc@1To zg-(0E!wq2;MNZ`(t?yp*PHUXS^xge;*QO4m0B9mz6f&Ny5sKaGzl3r0pj4$OEe#Fz znlgQ(W?BbpOO4KrL zsev^<*}7hn0MBZ_X|Gycw`=NSOAdcj-ze#`2AJC;EwxomNgDTKSeFd2CXeu>7M795 zEzqF5IZ7&FT~nCG4H^X4R$MlTutn$`&rz@K&egD)Tod^2jAks9`b`6G4}Usd6*%64 zJhX7A&1+6$90AwxzHQ@xDAbA>(&L+}J*hV}(JI(^0_~n)O-h>?1&>opnuyBlse6 z;yg+ZvBsjA-0pl-XQx8!-8`PSn)3U4@lYW+gT!ns5|^~oMeXi zUUD1M9+AvOW8C+ar~@4HcpURzz9vv<$zV6$ji*aazW*@_nDB_!mCEP}*_w8Uk8Mrw zB2a88nD0f8A@AzX*MWdt@ZBot|CPh<6Kj37r+dOJWU-68Ua(_P$PG+X10%*@Mg6@9 z9umau^DYv9*J=z=SaK9CqP_;P_&$`VMO@>9iksQrdYQ$FWg&;D_n<7@AK+_2P!$&C zHRt{S?IGn9=H%?xYmLe8IJR+sGg0gVf$KxhU#T-KdAdcUqkzwE<1NQci{DB;-&~kL zWsGVOmf5=ZrN?ug;M@Y;hq6Ag7_{70)*im+!YmNi{Y$SI%SaH97 zKR-1u1*utlF9KJc2s>HbDmzJsRcCcMdDK`xjhE?ilvr zF=9yW-m4y#%;+LoU=Qw^so$x^-c}ns7RczDHM%vsZEvC~@2H2(3v&B9iX1=Am+`hx zU9Q7z7mov8e$DeJYOJtw@aGL9x+D!K>Y%-gD@CF)y{9P2g*1lMc%z!wg>Zj=26tn~ z*KQynP(U*Li%;d(X54M|4M8|eH`8GB?tb&XW3*x1?MEV5XLDUFcT|Il_sWVJV?2J- zDiRTHxZV5SlNHGFOKl4GY6Fa& zg%duXz9hAia+R%x)%f`F4eyZqJ#eqbc!F?xW++F|yA{AS-T4{5I9nus(=FFjY>x$d z=RL2_TM0d{IlefqDgR#9nEig7d-TK5O?n9ZZmMy;aTz4&?&^o5O1wQ_)F%0u2!SFo zITcp9cLJ>_<_pI_*W3VaRA&{DG*EEJXj!Lq4=XT z@twW;rh0k&t_&#yF0MMxu@I62{Q|t3w?lnWY|qEJ{%F7j^X+p6q?FzBzhmi>DcAtDH3lQ5>*dUcEF%0^ou?;&rP@;T>6P3BK@#-o{fZal zb%_S5t8@nOW-q7s;U0pwESWCWTc`=^+;q`)e?cs{rw- zUMrLuTz7~XikjHsj-Vi(9AH8OmQeq8hP>*X+v!mzKpfOVMjV!i?fM8c80#lO7f}A&Dh)ltMO109=5jJV>!A-PAfA zq-o#<%QDvOx2qrE;RS9q&0(hu;&HIV4Duk7M@Zwgr9JktBGhmFOR}eDTdQR&WUb+T|Q`u zLKUMEw5|$sCv6|>@Kx38uL-iGq~Wb{4w4sV_TdQIY2g3^tozHI)Uko0oqq;<5!8Hu z0~<|s=dhoF+^H0SUd*OHf;6b5$-DW-#Tle3IAuBPiETA&-Z@ zgZ<*~^_NbfjCT_?7;P#aIe4KA4)stMX`T$p`b4oaeiwrx-i(%6fOY=nrH+TD-9oX3 zEb2Nl`#iplR<+X{s-VZ;SPE@HyZwP!3o1n?4y?K(OG^7`;bIZ?%u{4KZPVP zjVR_%3V{!N2X?wJD-RVTG0YkT>{E_ZqlEGq@K^J4C-@*^>GTYJGhy6Mw^xbV-`4b7 zzy4)Yh~ulrJT6cyvN0ls>LO{oCPij+2jJNmRTvgtDE*NswLlXnNr0c9K8_il}MUh^RCfAzG&o7JX zzHr(bKI)n02iR~Bp*n!Cshg_y;=&Ie_POj|NaMl}nX>aAi|VFcf7)@(4pCxm^#MoL zX7u*GC^1bgZT%MYyuH7{*yF+znmB!0>uP74$4Lu2_QRLb=f#?;+Um}kekypsb^E#1 zUKE%u4q)03B#)4|aG%dG6P0UGe+p*MkA05z}y7I49IP4Ke-2Fc};Dz)Ax zzMDP*YG(XH=o-i9y65kX1GohPu5zm#uQARFbeSF34M zj|KrRG`<^^gdxsO9LE`P;S((dq}6i-U8yEQB&$;u`7Aa2X(96G&JvO-8~$K8Uar8A z@Y$(K3amNe{{24IH#r&sY$3Alywnm^;Ei3-B_*E1KxL9(G5GZS*<#6MG^Wvkr%}<< zYZ|Qiij7u?UGn^9L8<;A^fojIw<83lxL!}aJ5$1rVpXmAamgJi(2oRs_W)hXxwH%P zg7N=8veFBahhepZ{px69^v6QrT3sl`CQX0t$*Hn_Wj-mM6->6X zKRlZ-WSL@fCq7ajEK+Z#hWylbh4)ci(rz24U02ZvK3uVBq1Jc*0goqHZg z1)(@(EMVh9r8)#-0rM*%whbpz?p|4q+|c)hcVDRo%DnfJK^-$?6g3) zkKJ+NQsbI>j@z$vZ`~4CyGKi{CNyIyb4UJ0$mWe(ab?e;dFx+<-WFk#I(#P>0iYB($QfHvK5dTVBE*)u zNm?(^t0GKY?&>%tD@5D_2Z-4uHOr$x z{B7NP&^yDqP0{&i^rX>U-iL+UDawrBiv;hQY4Vq#(>tC#&sNoUpr)Is$IPU6458C6 zj%P7GWg5>bVt5_-myKZxs?XnEOti=|t!NWa`Us;OLZ^!$LV>$Ogp;qIE~HnWoEefL ztAb@@ySkrt%WfRt?p=@S>~l_Xf|$Ya)ESTU8K)7cx>5V!vIFBmK0jvW>AzjKrMH{f z8lSkxH1i3#MTV66i|u`cr);2*_=5~B_Gc%n)q*=PbIHKD1yx7Bt_0Z4-rKEcua`KN zb$iQnPli;$xMV)9x>ZWNZH*##{4cl>+vuWcVgKSt74sFS$8)Y&t5O#FuI zyJddNX^@Hp9IO@I2!*>*J3oe`m&qxunzko^Bj|`WgRoV7T{QRzsd|m1wRs8qE=Z*d z(Wo6KyH`8IQ;;~TC@U9qybt+l0bc5?nbDMqr6bTu(X zvdWcsyWsP2xX^Y%6-7n>dE0&iHGto3dTb&*z<7&oSpG?Xt0}?gQ0G6Nf4+IXVU!3| zhZtSI;&3{0WyI|EW7vZMb{1wt&zPa;d6wvzCHn4eZ<%+{HUh>1_o>P=(nPx2 zK&anpdy|I=`m5_p)p2-q68kbHJI}BMHWnz37% z4T`s|4Rt6db^{ixg}5(ACX(a7$GcV3{XV_ykjJ3+||IxqCfq38IHb!79Lbe6qI z8_VBhsazJa8pZjl#z|z0h(?UV^9X6)&o&IzlAo7Q{iDG*VI<%N$Q~VewhCiVdF1qc z>IPx`nKK_Qox7^sKIWww&$CbX4uDn zzQe)_2B5hc&At`F6DDKQNspi9RqnkwO5Bi-z4Y0zBZOuFnq_feAE{~*shU^AHC&5F zX|*k57ftnfqJDi~ZdcVLpC-*o%`mi^VIR|nU=M4JGKy%V8ry@N<5w{pRTBo`D0>FbI}PRF32>z};(kcC*{_-;p}dFHr8?`!=)ZC%XsN0uDGRlh zr8@{o?_K_F&2xpVG;(;~fBHID(|gu71JuKmlkc2=Y=2`; zfQHZ230CcqCJvQ+@b=LYH}SF8&D@Vk$H*TPU7wwfe1i#T~8ZE_a9w3WPN^b(Tra|v-;!nWGe#knTsa2@&x9YsmGwkTj z(W==qI;2D5c#IS;b$l|6pkuXT`{9No*&zVx5*y|OfK_c*g4Nqo&0 z3y@xD=c^Qe{s|*3ii!mYKLXR=_RxA9(CW=W>=XpRV5ck0=*)+K=kZuZcl)7v+S?Qq zF$omX5Ff-_WGT-mKu`L<$Ed)6b9Mho+U4eD_>*^mxu@7A^4?zkPqVTN!t;8FhiGGSjk)S9ddObH4?+UHkoFZ zRIKr)>UzfLI{!*DrNRfo#@$aN>*^=n-=UpSA-ztK0^MeKyuQ4#MleY-3 zl^vGQy|ptbL5u95@K^v1_cJmG+ZNjA2L@Q7cFk1m7q?6u%~VfSNQMDk-(2jkH7ZlB zulaEI1)jI|5_;Z#8a6Be&prjPD8PT7T5iPhNfoEozileW#>gAED8<+Y1C)r-B;V9k z^n@r7+M%;Hkpun;+-I{Os|=-Dfk*|j)bmK4(3`i8&#mzKgenu=ZMo=E|%u=2D8gVF6YP$lT6S%1Uz}FSS}s5 zjJ9DljUB37sUuV+!O#9Vi%CO=^=}elTdRM?f&OtfHI}x0Au|2kU(isrTHfU^Nml`> zi9>nS=1e8%E3^yXxtnY+&4^rsiJJ~vovI{0w#t~pNwMe`G9SfpAOf}pp}b`yj!MXu z5(zQn+$6j7+{(hx_H66U$D{!}7GLy{N+i9aGmd*SzVShIPuUtce+1f(L%C(5ECvSo zT8*eiiI-U~V7+vBC-ZJ##wRRHca{LzzjwUx10$6mn6o#9p!Vl7W_n5f#UECghQ;P=G02rTsE7a?$*h`hgi(VUgsNzD@6 zF|kqL{kb%(SU=#DaO3r)>2ODCeSat@8f$l||A%*`p>d5e|1HNfzsb`hmKkmW@1jHm zVnu5k45LkyN|XGsv{qYA*&yR$6N`_RoxE6Z+tboonV5QoU!m(wxvOxsety zL2uEj?EBF=09CRO-k|2X!TD+ScG}ZXLulSl|2ZW=2dYW2tzYO0_JL`w%H=OE$L*$_rj(?Eoc+aQpx5h-zJn%gdsfs!GJoC7Irc<{8UBvn590EF> zuaw>{)1(sR2hrHPjjiWK zIsaSwkV)je!XvimrF|Q2hemqvB6vXnWOv`+pj}&Pxpz)Cz!;7|>pEyn%dq;TpZV`1 zo>;D9WGl0w#1h`(e1uI<*tg5lO?w2sfbxTi)cD)*vJK3m#$hhGWh>HxW)8%~uLBvZ z;@~Zwc09w*shRMFO&1GN26eVEK`8pLG|<~l;gEe?T*sQU0ML#{Dn9?yCw4+m4lZlX zLS4;5c3j+Qze7jdxz>u)IMR9Ttxm3+?D?@R@M0lm2q1pDSywY?9@EDi=yR?z??>%g zN{9Sb_VYW`gjd(^0P_G0vfjD)K@6| z+Tb`U$se<0``+L=I%F1dpuMC6Ar7leXbo!5JL%6N3g*h$VX{h!afBjaH|uYI?%hZI z(3jTRNwAoCs!@8{sTx0)ca{7tlJ5r^6^)CjRlzl*Uu!cBp~o8C1V?)W1$jyz-akdCA(bRzw@%_s%}lzjEp5 zaGmr*hjG>#H{e07{FyM+B*u=ruI6?$)Fj}|k3&0v-08lrujZTZJ#j$E8yH5KHLRj5 zz8_nP=iYRx@O@ zh8X=NXnRC7}K4~ zyuFD!oW@0`%X(|4GrTi7Ox!#?Ck{4>O9-hK{MMP-wDFK8?n9SR%CKd>9WAy!gSoxQ zKDLqBlvGWZ@iVc)fL?cHXC&bb!rIZuP7}w=%JKPy9`>S7Y5R7hIM~QG+~_&N!Z9c! zzCmyp#%#4+pU}wq_B{2piT&q?K4nHs=kwKY6Z_@Top+s2%8}&uW0F4Qrt7w{P`%(L zLEEveY;|(e2ESW4=J3t!%hNKIbL78AC&2KHOf{)nSK?6xJ?vN%OD{LVivL`o9fz9c zyx|CMR4j@6x!1!cN52CHGW$z)Qk(6v-k)JRdCVK4G(0DLdeCrmZnW*O?4d{gy$*lr zA$Wl2+)NbuSYY3IvSjDx@{!Y)+kQWH(ZeR*D8lX=b@GN0Ql6zJH5=bdrugeXzLKm# zD~|0mdj}4^ysz<|GIxqOPL>DabcSQY(U-WcQN8}bOvs1_nb@4UaXP#VWbjQ~ejiSl zDi9cAF0n8a+bmleHf6O8q`2!4W*T#Lj1WG~Ss%Oc$3pI2P%>#a;n}h9={$jt@h_^X zFcW)6MD7@YloWInB=uG(A?OJjPXHD z`W|8@NEJO#@HbAtggWvkR)j53m?}uQb^U{|;kv0zt85YWfTPmMs_TK^tZ?*i87TkU z4~#gb6(ZqMe7w}Z;B@Pj{Gx{%`m?}dt35$1N7PXpN}VegY4e=XE|=h0`VMT=08dJS z&T%K%-psWHn5|eECwg81aDKFEO zUHB;Lvj&d01+ltYv3=f#rX5*QRg4)?4>^aOWLHY~k{4?|B&@SfxZNl>!$+?(7{R)Q z%RH4U;K20j+vDO+)_r+iqap;wIfcD5r<)`mi#kYHtp%W zrQeR3Ty59~1)<~W4&Nv>uED>ZWF_0MwT)V_|JMCCkgDY`65E0~Iw_?={X&?PKr-6`#~$HB+u|6R+e4SVj5(+&ze zJ{HsxS^>W-bDuf)aEctiFjCzDd7lv4NQeEuCi=QA&}KmT!H{Q0Dw6j&6^b}9_BemC zAl|#R-orpPcRhdcmx27V+zp;9w1{Hth(+XxhjHKs|L%330NRMG&pSNvLf@hw!j32K z0yZjP!m;-P?s{h-f8F$qT=hiVGu=)pA~xV36qCWXjx|E%s3 z5*=WZ=XFPfBS(aTAi^W9xkXqtj!MD*LRW<2RT{_F=8)Z8FGpB)r>q7$qPR8daTC{N z!CcdX5=VtFBHW%z=V)PN2q^Tcds80gX=)Z`?W*@2xW)4iEg~uj(wKWZ*0XwT5BQn5f3K;WU0w8!iZ0mkQ}Jd%4x(!Kk$$(BD^2?T@&Fc8Zrxp znCBG4l#E`D4G%9X4nbL-4TZ5%)USyqdcU|g!jJ$ViK^UQwgi*eDyuy3Jz7mipIP& zu);(9nJC&n*RX_Fh$WErG4@7R8f&PC8BeoCT9vn=J;lH&a)NGtke$-Z4cn};jS@OT z6zN?{KX^cjDsp zAhe$x+K&R&lM2@BK;-2*Md95&MdkG%hc561Y>`A7ui}~0#;%clj5Us4TL{)`ZKfZT zB$xwr5j-3L zDBzKrc;F+Zx!w~8*zpeXo6NJ8_7*n?xDgH%;yG@6;koT2g5pCLP&M&}UU=rkH&vc* zURv&?{(UH+d%gaD0Kz~$zt1ladx6*s#9kow)j(_&$#F3Su|#srsq~3b5Y6aIgeqDhyU<3F#1O30X^ELXjN!yIMtZ z3=gFsiXl;fO&HW1$q~+x9PJ_nF$uOc~U&C?wJQ-rnxe&OdK3Vfo*sT|4S zrW8cCOF=Xg$&oHZatPp;J&fc?%Sa9(G++RlTZIzIk*F9~a;HND<-CsMfR1oEk|Wxj zf*7R?l!DkbC6WX9)Z>DYPR+!XBRRx5lB1m?If6PzawuT>5O6#L9S%Qmxz6P1awdoJ z6>w|J)6IdF%6Mj%Ho*hd37 z@{Cbpw=)p+wG2c}fgA&P?!G{dI0ka0IRnuiOHH0&Ud<8lV#g+Puzvg?5y%~1y8B9LQh0b|F39BB;XsIO-r+7iei1^bK)#KhT^ zKn{LyAcx@WGcpjPVV@DmG1TnIKn}OeKs0pu?E^X9zy0*~(;2wK^7;OreUQ5b&?0 z+oC4kQte~BHOvu+Dc64qfXQ(LqT_|P?2fmn`>XI)$h<|(91#>jB+MMoKk{>)pzJq?l^_>Q;un1MLP&fA%{g!4@x-l7ad z)3jKPYc`Fs(ik_cVyj7DjheX7$F+oQi?>t2u5pu=(Ms$>`7~5SWqB^%Q zZwQP{2$p698wu>86nZuzn3@pm83JQ_1ltjqx{*RJ0$^Z)U_K+*J`&O2j6`I61bc?S z*dD>2AuzT_um=e&MK- znTUsxh#;`;Y6?BuBiIuu^Z+Fwm|A2a&MEZikraBi%|v`I5|IJHR7Nm2A=oo1^o$V9 zt`O{iz``v8V|xU9hQQb!!JZ*7HfJKv2zE$d?{7ce+j!=O~Uv6SMML@8PnE*%laM z&8mqxbZObd%>CHJTrZoLAuh)z=4NVQp1p@5M>HTR-b0-0FgGxJiKh=( zjJ&1`@8KZM*2C^b6vw%MIpCQvTMuKg9;Uf~IV}sAbN_NIQ5>5BW=PXs8~8jBKj+lh}W%$ ziztp`>!G?~J+yNaN4PtRliB za9^>K?geflCg@wkXi14wScZ8{55uyfCmUDnn#A|bO4Vvxfr;(5LQmraG;B01r0le- zfPH!G#Zwt~U$Vog%IG%E!f4hkM0EY-Tkg3j=o z4OGswub|e|N{f93Gw&+|eC+kU0wk^3?JIOidfHc@sgB9VrD$J4Kwr6P=uM#| z*9wAy)4l=(ljhJsT!nL+Z6qI8+Eyz;6{_XF0?ScyvyZEVVjCn*7f!F0!q?C$63q9skt<5EGW-UYTQ`p2R&$Rvym%8r$>P$iLUX9v7T^!Hff8x!r z@4vpk|M}bU@%pEKk^k}E|Ms{4{NI27+yDI6pZH(C{VVQD5FUhrgidP6=4X;Lq7lh9 zt;R`!SjT^GnGZPKPzJT4O$TrwPHG>qLPmohG2AG1@Ix=!PPab=xZ5u`I7c4lf1V8xnVC6YBgF0zKm~6!Qk3>9z2* z(>vKo>--c-xY|?!YCMH_3!ugU7Sw5-$uU2O21XwmG-@Lsl;Q?L6;~f5v?drJrZ#x0 z2jyr|ghOme8aBdXmG*U_zT+$U1IDDhRApAa zv>A#7Nf=0oATWsHLqF8C+GfgTRFbt?WApjrz5re$V()!2t2EcLR;xPmoV_DRTzoC} z$mM{8RPiVynlq>jJO%X5&0I%FrSZZsq|)aGYUhzDS+@} zns1!QZw~Pm`IdWT)UoUs&-7-y5A!KtZpYzFp!kG}y484Ne+oJl+G%po_sp=b+t`9s9ryW|s#=*YQ8d}fphIj*A~x@N&mebAe7dVE2>#jbEuLn0b9oT?Tf`ur>=$tOxrP*cTpnV;DXAJ^4=|TBY&BHGckxn)*y`MsAsa7mcfzS7>5M9XZWB zqL5lpQZJkh#E%|u9;lIVfy-FP&4|MmaO#2U*WprQNu9}Ua)}jg)5?f-DX9})JoYBm zl2&+NJeW|Y4yf|Dbu(_>9E!XY#TzR2B~7KIc9q)%G{{m?<7DO9UK9@D24{!V)YulK zrp|6AmcXM2xCTmEc5bshsY?f5l?zVPwo?WtSgom1%NYP%><-*xBT8x?q}O9{3Wh17 zpw$hzO?*9#^J=IiHTFlZD!Ivl^99$On;aJe$xR$=Yurm}+dBNwTS*PXHQ^*0MzxyM z4eS-Ct)|AROf0EK+%6+9YALDD+$K92BiaJKExB)A->6rP0!xYaKOYec-+Npok!}`PbKYjn*N09En{+ECEPqolmP!RQg zKnWDFPg_EpL%v01Q+*4zLMzNUD|4^ zN+=YVm#QXwm#)PLfH#iV*%I3jdExA!&Scb$?tHG;Mm9KR5p1IuvISG9*e37TM(yAx0^CGy#%0X_H@Fi6&U67c{Kg|quVcYB zC{zO=8u@~0Y2(~l6{&=ydJ8MigwaqJN41jYtHf<>HPc)xoS{WQ#4AG;EN~{}&btA( zGYYLp0oRJ6tHHfHalSdl9zWdUip>vi+dTCh3j?wQ70u|1W>Pj`1zw?VJGNXPq*<{S z@X;lH2}{?IBoOfyjuKqnKr{ar0Z;gipM$Of0V(_#Pz$<}ZknhD#`40brGo`Pw{4BrINw&r} zLo@0w*$TuodABGyOSV#xY}qQ=5{CjRwk!u!ezj!ltk_a^z+SOWk}ce8BMVRA3Gh5{ z%QkIm8le39&`fTZMTul9iDWAtk}Z!#lTSl4?v`R}j}%+nlm^d6BwGYEL70(*M{7S% zv5mOs!dw(v05GaB1zsdu@swhV4N{qct7MBz0iGU9c3XyKfPjM9U=78uC&`wboiP!2 zZ%ftRv`MzQD%mm{s>wt(DB7+}!6?VwRkEe`6x%A}$*pDt($yU1tliX_Cj*_jFRKfe98D%$@0<1AlSmSPzt(B53l=~X! z@`7q`9ODuzOo2M6g76VlU<#@URkCIBiUW}ZM?p1e;qIOFdX{Ycqi)=fKmYEB-``=) zzy2?O`D;ZrfBfZ#e>&R7rC}53uR%Tu&o$+}eT@I$t>U>&H$_DMLJ<+36cIT$9O(r1 zV3QOtpv}OHC^~_A^Cn7n?Su2OY}hm>H>OrG1QR+6;I+acJ2y zj4Hd;t!cm+PYWB|>&f8i>}TyL9>kX*8rqx3UBxx22q8-I*tStki8H`B+t@rtF^0W_ z3LL*WjTa#V012rq{0aQKS+o%RBRy_soYN|`?Go718i_sUG%wP;2~?(!#@djp7Q!>L ztYt@e#s59h(#d(vIba4{uk6X?&ZW{m#`#{`$0O^u2}>KV0`H0a#DZ-!M|7(TQ^ht` z+Q)2?Ctw@k2iyWUNn6(@k)?NIX&*--ycysEb;3H1v9m=9;YI)(wvR(%?>XU+G}x=y zqga;~J2YV@<4sunXll1ZENLWOkj+FS%QiWY4e-gJI;=I5+A2+_1Y#iK!}9PmC7wPw zmVY>@9t&O*jek(px(aae(%~#$lOhX`dLG|4a*jBTC^U6xBI}u6Nt+5n7yqTsp(0Eg zuruR!>e(D$eWRphgH_a;HqC2~cRc!G>f@w4Vi7a68qkf$_LA3OA+j;jtpT#pM8px- zW>S9jE@&)=%%Y9=ipLM@=cPlP2@DDpYbVMda3~ncA4c3!!%Q(ci)a!#y*3JOXlQ)H zm$b6LTNjjkIG`IAuqceJ>H8VTCIZ>yK2NUCSOO+oOJDqo!ydDyk9j0}k?sbsj%|4!8ty>vc`s#Se?v4-FYF45x@&h-$DICxEgr z0aUy0Ks9zTBh&6i>D1uAg@s2HYEmnxCbCh3YsY5ts@mX@M;-(P)i^;lAp~~o>(fw$uAKA4G{NH6a9SjLpc@)F zQ7H~YgTvYGeVTw%W(K11vmQJiKHc>e9Cld|(%^6_of=2+173&`@k1|=X21g`=s?92 zO~g&=dth21V%*;j-)P1q=M^ChfbbR4L<98GkGzVrMeRtg5}&Tc0=8+w%_)05No9s- z(yoe~mMTIQrUZv#MKp_u(0M8V@nX@2o-MZ};HWa#T6zXPDQS=c>fMYzp1Zln8X=DH!Lsz{W(ZpSZ&L$Bcg^@mu zAR1{v1JT$z2HZM@D)EMBJbS=nKNJyqAfmC?F<@Lp%{Xxkc#=L1XK6M>1MFlLZdcoP zuAvbDj%lovVX%1e#;!^1Z{G|7lh3Hq2P}kK@XUx(2H?buD6X;KJwC7}o}t$m@C^Ga zZX%u;_aWd@n}&EMw6CQPQ?b9r0l&610l=Y}Qfqq@QNVu6U?+O^Vk!p(7^B3|q~Mrw*K`8MpqMQ<1`7(n12}v%2_-x}V~E(JGGEs%<2n+?s1Z+0 z6rBxrZFQ4efHTfGW~}@Zt}kr7#px4{5g3D8Y4jHyGjBL1Z#X6s$KWjj$D9f3P4a>Fs!QfI3IP=WTqF}~oK&OYOqLOpdTc~Mjdj=_Vq1;-Q^qlT8tk~qd! z9AmZ6M8GllN_db5j;UO@;Fz$E191#K0e+`y<80l$plJ08JHNu1ffC#u#tf$A>%(H1 zW;SR5ekgz&_*C+Y1>UF%W0n=*hz^puF*swJOc;anzrdLA7RFQ*qat2mfiV-GozrGz zo*HmW=Gn9{P6MtOhc__d2#6Dc&aBdt~y z$Fz^^0=qNCKoU5{Ev2z9I0oBdz$KhLE*m^Y!7-!Ym;z(eZXn5#KPvBhXR)41IK9L% zwL8<2(ccLtr#2kJ?#x(m3<^e$PTg>fKX6Rka17SFCyY@y95VsOB%Gg1EI0;#+K7sC zaMGO-9AibqDL7_GUMx*4aZCb^Nrw8143Y1$R#FSpl+hgcFzWLl+!FzKK+J z!7&`Bv+EtlIKeT&u`^?7%$td0um$&7xteOACRiJckCe?-L!2`6*l80{u}>=vLR zYYQ9`4;&NNX(}*ArHW&)NlrM%I~RdtuwWeycQ*x~i@4z!yWyA~Pss|5Q8ygJCUUhi zktODC1Wuedrco3*BT=Br5|bgtWP1*-6Ut<9 z3lJsE*c?!%2x+n|P^NdQr*c87*x^k~Y~!&K_C-X~l4a6O1u$oafN7i`lW%}b$QT2^ zga>w&Rdy!rg4Nphl;{(`#ET`iw%GTXCJ1c9#L!KIFGHlBuET4MKrcoKd|C3~d6i_6 zOw&ZQ8XsZoML>f)oFr2_;Y;J(wp9P&T&E13924J)S~fqni31rEb$o?n!YSMok|{O> zFeX+V-%c{wjbrL@U3WN9Jn*FBU^6auS_E`c;E|VSGRE`@wpRMLj#9pHCz*g|Ll_PH zt+nogWi!SJ$CTMR#x0484U3WIcjcH;oT>H>38$p>0rv~;>Tzqt(DQ2oK>83VgY%6RN zds5wmk`^eYpUOl^-vRq7jkii1g9|53I8_>nh1F}Au>i4$Y0fr^rq69=0co1o39G^b z$Kc52t5(Yb(j0NI_fehqiJyg4QvS$KOOG#uj){=9=HEaefZ0bYqybJL!Z!SxBcy(n*9gY|t!h(5O0{63TQ6?rgx^kxD3_RgzA6_X)6NJf)IOme59~ zQ#1#z#3$fz#s>D$c5=>R9|N@;ck&6ax*(fqNjh;J5haTQveB!Of;6?cK%0Ch=nYH0-8Xd#`jW*3iQuN7#MBfdClf{uifR_>~! z$%l@{Rl?~snu4z$2E-eBSb0X%E2Q5yJyGOt{hB}(k`9(%kNVd93+B3kP zb4N)sV2#2HTiy^(`8t}yTlp2?lxK3a*J2 z*CgN?<=uaG{OSHKmCg|6`Pam``p;ZDOUHHnwC@tjxiGOwV&VuQqa zh4nnIfHM?DxKBJ2L?}!bq;;ED0FpR`et3eM!*v$5XcqVE(C*);op{XuN zL#OaldaP7D3KUustHFI(Aq7+{3145PY^6by_hAJt9+YbxJ{DbP+e85kz#d`kko3IZ z*rgQ`rIe9Fus18DlT`SyLQ-$sXsN3Sarvqhl6vDt`wdn|*d6S#r$botffW)lPCi*7 zEf*^!6}{rX#d&eUDo#20h=!!WH2($0(HIEEFo$A{GXaWJf|vv>E$2I2y6#WfaO5qenAd zN1?QgLaAP6p$rL93lXa8yjr2C2gZncs7Z?=VP>LWN~iM^dT*Q9Y!72R-8pmWv@r_&gek~gmbZ$VP-Bbwwo4vOhMs<3i!3Cv)9>oC^m8aH+XD-BVMY^d3>c9P79Dt zTZW*D$s?hsufRr0q*>gM&9qz;!^B_Gy)iqo$L(NEF zSnjdKEMhEj9)c3ZFozWa1tqw1>JXGVO+iKBq&mGRhNnxY8Hb?ery(fggp*P)rl9m0 z*?egTN~`|EDJVw+jco{OISoO%>kyOzvZ+&0@j3;?p&WY*K{*aVnK5j=n{5cHkWvbu zuLy<%4_V-=VVi=&$wIJr8iL}3I}br=4nYN(BbF(so>NfvG6kh&3JULcX@@!lMIO}e zLr^ZChM?3Y7X~8eFH=yJ*d=LD5*G=Ma=G zLr~K;1htkSD1Z!gbZ;stMG}A6rl3#}j}_K9><O&RNNI1NF?1Z2w`lXUp^F9QnUkyRE3R@t*oPuh)ei=CyRED6M+Yl7C)-=vQH8KRH&c-5~g0i@hTgru7 zE)JZu9D)L@u^$dWsRC;_1r-I>;1b+m%}7{-s-tb#3v^UX&~>S{Z(>C?hC@(Frl4@O z@9mrPqJ87dbqK1>l-J-)tHvTu;XdKD-g63ywV2=KHGg=L3x6W7>Bjgskk{CoeOiCW zYh0Dr$Z+>AuhAl}iQ!2sY`5svm-3o)k=FqA^30>WrY-UsFY=m<-8J^J13tk0y=jH@ zle}g;`B$IhHADe2)?MT^Lp+=&x=mg)6=Iq)l9-MusCLlN6vvFK~8 z_Vh`^1x0-gE@u?uPV_Z6Ri!JN$4w`!!=Bc<*qgp)(+SW0*}i(*^)&zyQ`OhRlfDMe zYO|RrI$ubcOUm_|53O9I}THyrz)^P z4T_KF&O+g7>)!xI?*f~)=PJe}jbkn006g?HJeY9aSA9(&*W>Y2=CSH)@EuWfQePt~ zVbz@VH661ii@wHQ^fg0|Px^trM)mzkU(-(GpjYZ^X6l4}(Fs?5jR&~U)YlLI?)sW6 z7HR6MI^p3%eT~w)zJ^MwQFOvreGUII7RfkFen@=o3k4?FA)CHt>9jfmS^>!LgQl1I znppKUy69^n^)-V#nl#FNNnevc(brJ2_N1?gaZRr3YbM=PeN8%a!cY1dl{S41&gqQgp(*zDAvN z!uHVD#L7Yyop7YC&Db%c@W62cOtxA*wChCM;K3k+w zv1F(d7JZHCU+Zf)8c=n@ZAzc&Yt&sQoU2Z_5>e@uPFVCc<5^#$X8Y)5;=1aD$(M}Q zUG+8g1APr;+N;`i!WVtbYPh%;ytmN1bm(i689Mxf_Tg)L2K6_#lu_N$pGmDS-}SKP$zv&^BhATpJV8CiJ@28E}WC62mfPGO;D*z_kyEc-q5ge9Gr>?D)lp8h*HGhNA}H|!&-!xna`uCPfOH$Yp` z%<{1x^s?pA=jsaU_0<(tNg#bwh$@+gy1T;iFlsejVF3tw@^y5DMZG^3L9w6pVpWRE zRBd4gdsfDO5|4n@Pr;EtK?fJ-9%;R>rtB>gmCNpRq&gmh?tgu@j!G|QGqA4(!U z{VZxC{VcAqO4K(4l`?e43m7kn4F39`Qt=-v)jU| zvoGwkEv!!^ksc51l1MMMu&CCnEi4{!^@S~pVpP4CM0y~eCD*|yfD+t9VhhU-D9w|r zEv)I(<_jyH7O`}1oIZ_4;hQ`%kRZjfxSGs@E$p-wUs$|WoTtRV6Bc8>By@~BD`n}n z!}d+yePLaVq@PZ{unXfZ#TeGhxOcXZzOak6Enp}yPwaDzq(2L9a6*yzolIiC5Jx-> z8xC43iZATMsV2OxATGrR*{v${wl_>Z+u~=5=oy$RD30+1}DSbOh&2!9kqRfLKdY`ZXfaD3wtA_*6VPT zx~Xqc@r4Z=DRub5E}JjxKWg{KUw-%9&rhP_SGI(o+4FtDo$ra0dSTDEx%0*M?tJPC z_Ixkg`SQ)3Pkq6j@1;8*mj20|Po3@g*4OrY7k9q#r8}Rh_I&dP_Iw|^^F_MzDRHp* z*q-kT?tJPC_Iw|@^JywygTiCJ+4J?)o^RRg`P$8$&+r7gxbx{sOQ|o|^L@dcPkm(1 z*Tx0UN?+Xh;!Afv^|?J?5=}7*N8d%o#v&(}S>^F0w$ z>b*T*yV>)d-1(-fJ73(~`P635m*3m-?Zgx=+})jTy14Txwu5(jKL2FTC#D{syYs2t zp6}qM(z8R~X9j)hokO3!IP`tSO{tBXdUojRuH4jN(6>Ar^r_Dr`m()pQ?CvB)ZL*k zV+&jy`s@@4@jf!>!$I*ihrSOC`W#38)XkwUpB?%Za#P7l8TYY4pL*lar>_ouXKt$5 z4Em6b_A(L7!rykpsST=xg6P^jV;rD>rpD=u;Kk=#L%x zR--F7We;vD9|nEuokO499s0PVNBglspStvP-a7PsX3(cLa%ywv8_o`W%ZZz!cK&YA zXEuXAwUJXV9s2(GAAb7f$De=x$jau2pTBYVySuPc_8ZtKEmk%W-%Ysr=}O|)C}mfbU{Q;_ zseSr8az?;WJNcTO5*HgSts1?vQ?{~G-iV9MN`od&e=nEmZ(7;hr@y1YadNoWke!+$ zP`S9++^4_4!cJYLzat<;9@w!yO@E)+sdTVY=4tvnbNU;w6Hi*EzfUeU?knt+KC@Hi z%uektHa!-6e#=gogPpRs>2Dk={v|t=cXn#w^tXu`d(>g06?V#fHvNsIpAL3vsqB=M zMh#tTa4_J`0rWjjf2V*?X^;G#mF$!YXLc%nGW}g#Z1N)PRJ%=o&xM^DmJQ1E^UuHk z<+~@D%~?I%eE4_^n#jyDF0?dTF+px}ulT9z4BZZ!t zP(qyODf3VUzj{w9)J^vkRj8l&sa)j4-86BWYiWUiaiS;OD$*2nJxb)j`LsErg6SO| zYKMJ`@(@Qcr4&8G1<(9cQ}`)KDn!+MODbf#i^GCJ4W8V=Pi-^ddcrd)s+%$~#hX@e zP35PEJAvDGerh@RDJ%RGNe?C!YQ1vE5Q~WYdWCA0ZT8Afp{9t-5gcEjO?Fp)%3iVx zg`ZN>#!uPGPmNnvA#Uvj*JaHrT!G!lPigW~Qof;H-S{a~+&D!FwwXIxy`i9c0B)kb z!X?AGoj7Iu0^Ca3F`XjfG{)H%j-*1iU>MmD3oFH>LbrqkCtW(JZ#2*pZmX8UIf|0m zaZL}8`U3~eTEUIlNGWYbnV&LrB#yY-W4{b*A*Jl5DBjI&>hRQ%cxL!{QI^wF6YB5& zAf-^~4zw%Kz3zZ1aA+4!3NJlZv%Z-&j(U-3g_=~jb5cMKK$^I5Ru?oiiD}5h6|X5N zGE!|jd2AWfxYISL&^`qfPC`l9N=Ys2b5J2_+)hu|pu(vig_3Gz9=uReojwH>0_Na~ z>vd(M5>EH178^vcS}3Wfpu&Ta8h1*HlTw3pVRAD_+h$dOMj|L?P+>e#QsO||S4yf* zg9io`0wv<29dO*TZIkiC2S9S6q&R?MH%iJLlvFH~6fP6$mu{5Qcw(fK-6$zM*g(a5 z`YI(Qj8uM`RJgMLaHpioGPAU*tPgI6s~7yTRDzrwK)*WFnAk;lA;mGgMN!MT`Tj}wZWAQU(igzf)4V3`#vyQo=~pu8n$3%SK7%ostT23U~sYJ*qI4u8lv>gX@ivQp<^w zlFWE5lvFD|H_wAoz>scnizz^7iz=Md3rdQNnWdZW$CT80P)eafsZoV8DD@E~wF)J5 ziz+OPl)6BjbZXkXq@<*2gFCbM4~JA3sc}+;l1d4`mQ9LVbjgn%h z!stfLDXEnaoH%(n3r?jBP7E(uZMZ2k=ba~#Q2sg_bz~|uIeUluRz{;#7`hJcP1J<= zcq46aN~S`cjRNATvr*G|Hj2|nbUf9GgXn6TjUok&dJlUbZyc1RMdpozYAy*;k)u(G z0d{pZY9t5M?6Xmh5VRyjjmIcBwqKanX>>9h)%G|S+<>>cc$D9cp^1fpikyw2vkhK6 zk&HoI-(#0QmXfAm%g{H@nAR5t%10TEV&qX23M$vxsF3@DWZG>usdn;m_=hV`aT}Cj z>T)D$3qalE?jo*{EQPdZDV(DeRGs+-Sfpv*rmlIk?tv#(XTDL#*xb^f!99{nN!^xf0Wnb_;1ritoVfsw=_9Ju2x)~aGV2{aD;R#fq0={w?+;G40Jj>n1B*^gV_QC4uq zaXhwEVsiy6oKSSnc0(-gOzVu|pQ%S&!Hb`_SdSl{{^*tl3vT2pijuoeWX53lqxy^=yRAb|FfFH%8dY;XXj;Tz42OeY+8MqL1EcUm9Vr zq1}wI4VkfOguRqNk9S7cj$+!w2s^zt!d|>vXr6D)fO~H(-Wg%%6BUYs=`g~!uZ^&m z{C-gHg%Nga`rmAX?bk0EVXrTZu+tV(cA`R;7e?5+7-7e!K|T8$jj;2x5q8{+u(3q) z^u`F=pCAcd+!p8oG+T_YQ>8+$M%d$9BkYX|Ek@W8h38>}9e=$McE+(+jIhVM5q4LM zu=hc|Sg26jnf5}3-Us!*WQ6T6gL>{GD)cKx*yC#|^sNy#13g?F)Cfj1-U^x2@^ zZiKz;gL)F^fiEwEdU)6|osF}xa(bh3&70;T=bV^48Zh)SBHdHV4K?ZhVgQxGQ<*Xh9 znMO@>Ui7&sNE7TlKTvhVp<5J_ynvim4>Y|R9v#&@ ziGM0ndLMD?jZK$pTX0%za0bA6vLWGE4t;AhUpNjTEjAsl&x!P)BnrtW-oR;lJ=|hf zX~8lU(vyXFpAA)A+bmu$EkbOL)VI{4J^-Yy=s`tp%cElDUfNAJIg`M8|2g{W? z`!(d+CBy^CEh?Nf92f?Ncu)H*fEZg+R{>&TrzLeYqr&p4rKDx;@kVf6aX!Fz*yx5$ z1nj|Xu`AUtn@XJ^1~*VxaNsUj0Dx&&FUg}^p*L3A8iYlozPimkY1Qq6S{QsZfFf$t z(~{f66*89LJGC|_zgXHcFDg+?h+5s~YMwNOG+3+-i`91mF{TXPu|ePWK$#>$-!=&M zxK$3{VZT!^E%a&I&^!sp97BE?m&H*Bv4sqx>FPHiV+dqiv4v~`$xc6Ml(~Q)k7*HC z$Og|IIHm=TL7BU2)B}Ub46cSnQxLps)HSWI*&yVhgP%lK$lhCN5Dv}4$>4ESn=RxZ z=1F6(OM}pt2B97g>uWsl$>NlBqc;4w?WsYib{r!D^>GuZr;Y5?Gj8Z&3)ym{ zbA=39#_u%BTws#G24PnO>ew#;ah_U6=Dgv^NR4Tmh^v(i!fC@XxP;^4P^V0^g;XqS za0xAoiDWzdxkETLtDAAlVBerTP4wva$7<|wfUsA}5xhkLS5wt>-) za>XHj6ps{aj#QBXqfR^DIoTOED^?)T44yl^ud$x-k|#EZ=vXRELQT4Jz^k2biqA>y zd?#VH;}pi(`&zX0sPA%V60Y>V-sw)7z1Ak7sv~%t|FskUYm=l&xH%~0fL$;f!@5vn zJ+K7bgaWW7D?pLD!j?Yx&VC=ko6hlMj($a1kVSuukPgW=JhH;Ln z!~QUfd#q=^^T#{i8UOO1{_^L){==XDXW*KDII*3MDUW2Ss_g*bOgwa5QPckVuItJ! zPMiI8KJ2eAjhSY%9mt%(8y!7aU)x`Y!gji9f8C-m0NlOUUsKlARkm|^w!e-(dmm;M zJFGyUg%($8#;0vHz7(fGa36ok{kmamM)@g7YldD~v`a>fu|@{Try3+r`|D=2zt*ut ztxo=Ip(OU#G#dzFHehJHjDv8w*k98d5=SKJFzgKSs}}V}!cL7m%eyd!xmVg(_v?IU zxek2O^r^aEv(+bBE;5#Wap536@ibL+zs|e+HP(0*_v?OlzhrYz21%KjO&PigXzUmcfdY{> zWIRU!G;yXY6u#EOwAD=@QCSZ_GlVRF24ILrU^rh_ssT1PNC4tVZ-53%MBE^KKW}aV zcvm9b$_L}AcI{Rfx|=UP*QxepYJR+aksm*vMCz~d5|pzBXn~`SIc6bDgd} z*YEP-X6^v;XG9xy6a1Rd|&e8eaVksae8l@=epv|>)*+@)JOU8@lAew z+VkUWm2at!^W&T6{CLUpKnYMePbZwGy649ipX(<)bJK7ActJE#g32a5zl&1c6#Z7Z zHfX5dviNuLeS?M#%FX9mSN&Fld-A2Hz^317ubu+-3qIEpR5syvEHMJaxLGCfx#s8Q zQ9pB5v7^tm=(lJR5N@rSX3ty2RllC~ThuBP{T3&ytA4ArYN+4pC9kXs(+kU#1k3o{ zRG|7?>z!r7Rbi)-&$W-<#57NSk@-FA-^1GYW{|){lv|^Igcby9pE_ zmvYtQI-5b<1SsUfQG)weR840gS2elLroWn8CsfF7j~g^qlj~iQ9w_88TZ?7{Jei_- zWhPLCTq+GreRWhDZ?HD*uEn7^1SpyS!QG*_I}~>*?rz21-HLm0cXzkqQfP7b^1I(3 z_nw@b&AhwsGqSrUE6>dQkga`$dfyJ!<74Z{)H7vF+GMbRaR;^|L>Sz$9?FjEu3%-e zQmwlb(ftfq2%m8K5}dVVu!BeYl@jX;_Cwwt)<;mhaE7*$3g<64ytinZkXL)U{J-{e2^eYT zVSInB=^WkKyXi&^C*eE?TQxZYebSMeYVm8kHSsr<(l8s2 zz^T0(guLqcwInZD@4&~oJ#MaQN+zFOGwnP>5$2I;n;npIEOxC|n?X?$mDKC$)^5K) zbi}uCM6Bc>DpL+rD^d! zkXXIFiic!=aksa4M_Ulc@WsNVraYclI4evD(bBCvo6sZ-9(sN1+>)l;k*|&Z(R)k{ zC11o!x03w}H_1j!v-(vc$;K8>f;#X>j5^RrQZW~`w4N}@rsoBTfxX?`k~$D}0Z?O* z+rXc_M`JY-T>6MKyO}spr1fr@bS`uZVIxm?^t{Z{ou*N2%g!$l(wu^Z6ny%4_wd?e z=kKUw{B4rdDA8*#XKWMi8)<%F%XD8$B*rnTS*f9faCJ-1XXx^1NCzhw{{Hm1awRCU z(A7}j8p<`#@#6#|08i56P%N=Fn#MPr>$6O}M_w_C70(`;!2(hn&IN*%veNEsZaU1?2Oes`Pk4{}$N5#S?D%#V;s&KNq${J0wK8?R#Cz^GF->7k@&F6Wt1lNbYBC*e zEbu3=PjmtxK63N;nc?HOQZA-psyJNW5z*?QYl{r=t8yUviv0?qk(jOs)8Z`$N?k^@ z=v(eKv|ff<8p-B}+Vr%R-9IRv4yMJweFElXhMhFcm6TcHk$l}G3;dr06{L(7B1LnC zkT6En@Xr2SB#MXfv3;oTS$!{cXB{YZ(k#IYjAgzhD}^CJHENXh3Aa9}@rb&1+zeTH zjH5R?Xz#*|d=8*!L`)KZCb=VCO^30CNg&crfU#ZNq8+=sC6gMU`^%jUXxGzE1gN7s zA@ay3OgY2y5CkBU_j35)XN?=^C3fxX^P>?+8|SNu@N`Pd6Ug(-eP$#yS}+Wl>JuI! zTXj8C|FSmHD@lRl7W*O`5c|1-cgO<_n`KXg&d)RSc}zf;Cgox&NdhM@Rv=)?6`rR6 zHd*n}%ueBYHS7|~b`e{y1v+c)i}epfuO^trn)2q-#BC?5Wl;8%NkZ{x<++PjxT6wl zxY=Z*7)}I7|zA!wH=w^w{GyW0_P*^2P#0i-40@1kDGgv`I9%p4|p9VD1t_m-A=0A_l_rie?b&beX3fJQD9 zE#5FZtAvuH^k27V@{%7>#3yW(U`Sr_56}iQx0E31*&aK-GWqXs5Z=@hBIeFTO0v>F zn3=1USWnt!`Ly^fK~R(+==yp0Ub(l^!`G4ZdDmY0pzL|K0H0qpV2|59ToWe_8j`TG zV17q>#4d;$Vui@79$1$tPMIAo{OKbMP88>Iw9UhwZyi9`Nb`!Ey&W1|2*g714I)!F z>!Tt)j+H2EjvDx@+sE zXsn=6IeVcLCvG8oAoZBaPXyj zBXvTZQ)rg|nx*V11}t7X`DF&bwTp-O)2(0Qn|Ex{1F2$*E?q`+hA>n|1_qxsz%EOS zzDt{qF&-uMk52O0r3}5TRYr*KQP&BCKI+|2h?{GL==#Bbmeez-vW^-~yT6|tz#m25 z@-6z8wWicm82oWhM0BiOU=4EQ&A&H0iy7!1ENu9CZH{OSJi>$hs(q79?9Z&znojk3 zzp3mQDYY_`4iuvV3(~%qDf^~|@cm7M!68)?|3jm$rx*4QAZ5kmUqS;9_ZK5Pblq;- zD&af54~uQfM3*Y~1+e5qPd8WPai<6pcs5eegBvkoQ!=rXgI*iSakr~43{4aM3_MF} z137PxJzDwT5RQoHWmmO;4lF!#J(vXL`V>|#e;(%;#PC=6ZjVt2$~~#0jEX-5o_=Pn zUEhZ{w9cQw*_aCG({k>924pCy3g35x2bfjCVa0xCH9-GjFry!&bfL6wqShb`=|o2> zC`Sk`4qFbZqT(sb9Ts|j9bgj@e9{GeJbgU=^nd^T@t5u6t2fZQ8c`z`io~|8i&xJ> zg0-vJ9>TvN7BYZcH9}vgcVw3*AQPKg=~B`k>T7FMxkV>Q(SxfK*-DRFj&(2u=_x5; zte9kpzZ8$!GMCmChEc2#?3$j34mLky@#_?Bs;yrvKq=neUXz$`PKaj@I%5Mq_|}*<90IxWl-% z>Q`X*+}n%mnSN6=@B+HF)tcdHcp))4 z`5|;}(Cuot-`tE!BNd#@;B=rA|3CnIGkW!Dva9TDra+}Ap^JA&<3$t^w63{Y&Nvuj z0nV+?Gep~Eb=|3CbMxP+%rO&yksnsL3`8|`^E;%+ZY=C;qTByM0Olj(zYc9?I>*%Q zg8|3Ouqc{%xbj4OVhE3u{ZdOU3bh4QUO603>;q+tpgp{#w%;nL2(Z=<}*?*Jwn|xKDml;8_{p zP(`q@+h1**YUXn4I*RsnaH(M>s(zrGM$J0!=5gY2XM)_X;N+cmPBjZ89)+*)tmxvF zt?M!S7M8(efkJaWC;Aw>{OW>W5_+B0Ou4bkbDU=6F!8LMi7IW`)s9%ZY0_g|P z@;D4@m!}WhdMrr>$DZ*w~lOS6pxhMnOm@mMr*F>lakGW%s?s`)V`>2SiM)C2Z>BXqi3 zs2ykUXTfRlk#jts{+c8SFHXhD+x)ib_TP}gJfIAIdtP#>Zl7wjr5^_(Gk2ISV96G; z;eP>q710{bU}cPaqvrc@U5BSX$=fkGa+9~;NQszMXxt+meFTm?t9zJ62JBAgeu)8h z5)W=swqk&;s?Ok4OCS%r7BtwWnQDG6ZW0i?-RoRoR_pVn~CU1^OT^ex+6Nyt2Z zvaMpvFj@WC*f*vQC;b0EI&6DFmn#PTLxZ;@MMYltOJxUZ-#Bj;{S6y#vm8i8-kwi_ z!EcD#&m||wYsA~%X-dH4%DaQIQa0SqU(dv4b2yJXSBlk^-!5K* zf)1?pi_B8@O5CO#sY~h(|0>Gn)Yv4JM_M_)$;;ll4jlz1iB#LvEmgWrxuV|Q*n%0U zN-}ej8)!|)M?@wz6@0urT5G?XlsIZua`Bm>z3=s$hswqb*kJ zvYg!Lm;P>C{M^p*_0~5E5t-4=qIhyzI?_d&i z9`K_h%o9&;R4vCQ2DAeBjfKha_20PknsqC_h$9BJ=s#Fb=N<_oN+o3S?+_k;R zJI$kD9d1h?;Nn`GuGzk(Kjs~#rhGIj&vIKCz#U*j}2;2fad<^kUFSmqkv=a5 z;)+3>pjHgup9ycojNbWCl=wyCFW&&H5)Ua6`Bo*+TdCWfoqnSNOK_q;t36IafbA8Jo+45-o~>A0_&oM7wfE;CP0t2I|`g=>g*Z04Us z%V9+1&u(E-MG(VoGxzq8olB_)sDDl0e3P3%m^}lUd)l&){7v3tPuBXb%K?V?+3U2W ztQbjc%UJ)%XUASCWh7U2Me92rTjCyjDq4Jnh36NIZXpivpWHnv2B{oQFi-kI8e04$ z8m15J@c6?aa9=*t;0qHH2l^$!1q;Xm`VpWs9(e)83@J+n6Kl};$6pX zjEKGk%XCAg(S0L(V7&{W(K(PtVVfp9^K^vx_bm2dI5?!=zd*J@3zc z-TvJ`!}Ngc;u(vKM99HKN%mS$h8Dko_UN1e?SHn$0LFlLUi!hHv3=k3vNs|G*1p$Y z8rN$0*(OIHeMnp#BX4&-)vF;J+k z4_R%ql6yWwbT%;u^0K3x;^*JkIGgw;;a1g-M>@o29VOr@dGI=cCL$ZT?2`@OICM1+ z2W`VbW{L-&B@QI(U6NS8?l*JUr_wVz`O(r&&$p>$C3|j_48qAPecgWzO#alX{)S#W z*oL{(n7o=>JV-lHq=PX6{JQ@|qFE+#L0dMv2hx!-mgFxm0q2FQQh~s>n}*h}3`IjQ z&%94q;gRX7nF*Am-bX0jSe^xVoTxPNSAnl8!wY%+!2}{ycO+1ER8{Y5i3!+{I|xIb zk$(eK1+4%pje07AmJ|qHH`|=()$4rAP*UZuk^qmzD2flm=<0p{YEgI!Q8a+sH~i{# zZ(8`(+a;7}W%ugd&7^(>C>kWFOZKS)*J^zfIv7=A1Q(2`-9UR~l>Xzz(ng-;XyuXjDK z1O9JpA6FFbe}z6q{GZNy-d;}gJ_J6@-ycrdJ{EdjH!yd6*)aUfTI=(gN6a_EBF>S8 zGjcFUX{%-)#~&R4flgX(EJHxf9QHaiy6hmGbkF0%soTjgWzpW##SRer47@sTe4=vC^REM5 z_S9~q0tEPMG%^ykp6E*l&GS^*Qqenn@CLo2JRaPbR(hl*74^W`BCg~HJ@qWleSQwY zfDI6%=+24ecvR;4aqd{rOcxfz6df_lAPIYL)~j*a5pjl^5}#fvMVcXbFh9lIeH0<) z_eo-l%tB8F3LG-w38u}Jmnrn2`&m?`RvnS`xmlYalNoB~D)NuI^@5^=Qp~!O{x<-{ zXc{!?u1@3|tW8Uns7{ojVXa9PPU&o-z2V717mUG8wj1yM?zF9gSq+!zZoujOWW~Ak z9BOW$upv|2t=c+)A)K_=zU4$@DC`-X&c>sCF%Y}1Xq;M@JD@VM?Tg*av!lYP2=e@= zjS~tMJ606{-nC%%GcFd=OTTYE7P>|B!sJyM2%E&E6)9c zA{}!LL??v}{^} z_R9hTyH0zR#)EgH$|z{I>PF0%m#eFB7H$Pgp4vpfIT*?XWy_XCHC+M{1hB7O)&w2` zo=Mwmy)z;uViMx!tUx;y4ACaDO(Rl521jbSf#Y|p#qI+WiVK0*Xd=3t8eJ>#V#iCm z>OrO|82c4fb#{LEFC2U{9*H?B*{)L?&>>yuQAwE|pmQ#aO z@Dh2G8yZd5VO{@mgfH@`6FUx}>rH&4wPK_XJc6hRlS&o^FG=vPrQSZ>%6U3hSj5-~ zOUZa0o)wDVGr{-6?{|AD)f8Q8o6IY4q%h47;Ux86OIRO}Cos*O|OEX~*@ zQp6gSUKm0E_0|TxTA00^jt<(mG~pQ#Dj_UPL=ftin`b8SPk#u<(CHjDocl9kRCZt5 zDkp%*!;_AX)WXZpbJG!oY2#q!YI6yxe4GVB6DDfrswLg@MNmda=#3BCPP$+r!m|z< zaJ+>{G+`s=0Z`L2wmrHvww00*&m)ngmgG4-$dnQ@RRmJi={K;fQJ~)#JE{V*p&Xoy zBW1*GofcZqyP1A3=?5A^e*svhq>_b48gkf2!P6s?qr4mUD@FWpA4B&`Tr$OFRIpK2 zD@bmHGqDB0ODZFr0x|#}tEw1-98HFf0<#k%j+i51=lSOMKXPvUl<9^ecd&)2t6g#Y zcDE(atM#eJFayM;46T~m%2{}%ZL{b&HA#Nc{i9A`jeYxOd$GoF?X)%Kudg|cmn_~) zj{l3@ZN$oLS1CRq4x_Z-F`}Tnp3((37KF&BjK`b1?I0u8p{P1H;o*yNi^{` z6sc>HE(#L$Fzm9uj8_mtGdnxq^VY+Tn@<&wAEUf@?t&7Ws1G>WDzJil|~m zMez6U#;k2zWcE4S_JP(<<9Y31;DT8)(aDo+;~`(pQgSy=npmB$W$O0dN!2XJsNHW_ zj+P6O%Z85W>jA4YXzPhg7@Rr$-l(Pa!yZFNvg&1WQp71*o6t%v@$We0$J^ggVK8^@ z7V9v7otFow4FQNEzSjg=+c?I{`$yLNykFRG`g^o!^gIlxitmu4Fw-um<~P?~^U&5f zE!cPQ7`sZ$pJ}W{%KfRW@h7#5eNkD?B~n}C@&!=!$}}OHDc44`#*RSu=xwHL6+ZLJ zQoE#8TO-+)>$;(OQ#Cb(_i#GTLVFFUt>Gb}+$8qJI~Bj>M6Iz}6dTTob_r1BIo%*D zmAZ5{qq`MO zIZCFjVKLL-42nu-)YhOAfEZo#Gvrs8CRh z+{Wt7-`X0um4`hpsp>Du=58u*cG?;S4b^`TwKdutw=J}XdQ?-@J*FDQ|MP&bu{sQ* z(Eo>d%BmJ|aoVlmg!L16VqDNt`-x@3deCspp`m*HS3MV&hro zknz_Vt6ym+tiPw3ALTYw_cKn6rvjMs6c3Km0L=Og)!$g!fT`7aH}!I zoLbjSO<90Q3hS?(Wi9g=bT79LJNxapA9VA_qfn2n^T#*YV;QaT(;k4eyxLs;3P^Un zRzr)KGo2k;H@;xg$KPCj&D6it9r_Z{Ki;$( zqg@V+Iphy5m{?v~sDee=OdDXd5Z&%<3D=K$EFc{D>2_rehb#laKp3 z)R`I49@CFmS`Fq@>5=f0CpolP?vOwjjhS>dnkoG`{qv@+*1LsrNB%&)6=mj%MVkgf7RQInnVkblNPbT%$kbt0y zo!kO33Vs6#_!lhdaU)rB!)Ad3jUV5T1bQe$EsF=(LkxTqvn->@Hj_>28-2hb-CY!- zGd*rdZ@AGp4rHQ)PIEivNWXslhaq<2`+kJk^LjtRQIx&5dh;J1+g ze*COk9s2;*uWJ9d=GLO#^aBH;cFM%^4%5f3{-7to{@p)1=f6RULWs(o__?Vv0{%P5 z!$Vu_LXypewHmQ#lm4sC-M;1%p|183q?g-~?xf_Ml0bP>C?$ zky#kn-lKDI53w50HCXjukbP>>km6m%5C-DuAIPtredJp-et`Qk*%sIL_WZ1)F#G2q z`%L=i9EOw9Yk$~|Cw`p^fIq|_`{#jczvn$Gy>o}s(x(&z{x>;-aU-CR+RZJH=%Q`Q zXr!jFG%_@_Ux$lDyZqk@(S;fT6Dlfqcw^smXz25(QP~&e=v@-c4&cfnVhcwD8=9KQ zZl}YL(a0~(RjL;4ZFXgvT?ZGPC8OWd!c%O&n@%=J|J)SxO`r4DkQz=}VHZD6WD|%{ zEXD@*D;4y` zIxD}+L7G^6^+(09<~lnnPNha{?mo`nzbn>otlYrw?@hJDICF{4Y2LuCS=8h$Zw(@@ zUscIdv_55gkNm*KknI_BPzGW|F%>xM4?DCapT<1K0({F7n9UW5wN*~>S|7$K)-4AmDF(MR0=y-AIfUFV`tpqaz~z z{gIErpq2w-*#5loia>3FOBhX7jR@w*`ZU#w96~)Xx$UGplcY1;+_WlV+^&03Zub>Z zaftREv*%^&+7FZAZs+}ZMd+i<|0({Xu8-*T8Ei^(P-o6&+1D!Y;GB9kfIIU&*FwY7+ou=#;a$4umzW56+t;)3 z@dgm|onUxtE<8MKakH`-h|FF|2_|y+x2GNOeSCJgBMMWg>*WTE%Y(0KO@1n(5GouC za{_N=cPJc@0qG?61G5uL8YATe#<32}!21PP_6TtqS_-~SdvPfO5h~=Y9&~gzAf{+* zMl3!O69AI4wCsAk@qC31jirIkOH#tQY2QEI@$tNotin{%JpwKq4{)v#{ia>m%~48H z!9{t8-cn+L>ZsZ`?+(tO6qTf3=auCvY_{bjH6_6rMdgifKkH5k^bkK9TZuiRun zY)oGoRfX~`Bw(&AHE4f-Kx`kOj4CR*4<>!LsVsq7o*J2f)Pr=SH){UE4C}hSW?>;$ zj2GNA5Iu501BD|x#-IT<&gZ9&WP>VDWD^KbZorND)|;Q6K0ee)eDcW~9Voo6Hl**i zpHYd6d6i;z!}KDuFeZ}iiGnf?53drQRJdPaUH_`VG{6l-b7iwj33%L!9AU3-G3SJS zWZiEXsQP3zWLkx<#Lp1#!o85>aJSD}?HMq%(wyh5bE|xt@(3bB1S}6k(MRIPuXlMm zuFpn?izdx)$qYq>6YbA$-gfBR0yvs-se3oFJ18~4QD^&lzdUV)?sFpVc=wa+X_unH zO$H%eoUcWVz&4eSf)e5k1d+|<&7@G22ut+$muoe^%X@JJ#EW$G`+fhC7E~M{CRMRS<+5GpPO)hHQ2bg9jAb9$WVKaoNmLpuVPkvV(Zas+WL7giTN6e(!Dke4#+IyX%=Fta4J4k|dbpi@711JTyjyuFXig$zYLw zY#4~>wwj)r{2~0m_hYy+(_;c_CF`5ee{Klp$CK}??(y{EMl9z^=Fc+yQsQ?1q!r^k zP6PUmw4elPJZ8F5_&uwxQkZ^^vd)kr5?~N5eLX6)q^fN&OKYSJW4=i^dhBg4BykG; z-Lo#xsrRG3a_uPN#-fCWs^FflVWQ~tInkeX?dVxlZ;S>=xd%$SaQ3CDz1eKN`12#5 zdCD_b*N+#&8mfXv1|iiHA+-|vxYua1*EtW>McHF6$)`(Mvl1bl&{)yT?|c4`nbaAI z5ltRvoyinikC~B-Uwc2kL@fJ==nFw!>(Fqo&EINw*p!w<`U9S!t-vB$^ghT{blf94 zOj0F*L~_cW+que*d>`3Z?g2%81$lXdN>?q}%nnlMkjcKxfAtksDmiQ-T3iWtg8=BV zt3JKGWcx9_P*j({c!srclz`3a)i{7y8nNLOlgrSfbGF0ddqzk0Rbp@`3sJUC4V-bo zpZ)^mWZ8XL=ek#HLxYSef$q)b#Z&g@sJpV)X_OZ!OwcH4U#ty*{=o$OEB-T@y8y;f zEhM#c=JqHxR;#Nn$_}qLcCGNI?M(ePL@jG~7t8ID?ZS(jI2Eyz1^%%t?DnA#M);?^ zbY{dR4iFEn7J(8do~Bz5-*bWN_6V`7AasJ$C57jBT;sUXf#{s~YEJW9pj$7U`8AZ^ zC515R3iFtWRrb$B&#>O6WjH*pu z$^d?sl1(87Q$$jy2 z-FUg5nd($|7c7-sc~1xRXjNT#ndU8TW{&_AXU?w3;dN4ePk=ova>P(^!z~|#J8a*h zvwOAmy9xc+?#y(o#qj-^?Zfep z`Zg9uPO`VG&`U^g;q_C*O5$~-|F{oQ9Q_W~G#3k+yHBL!FiAgSP4*~NW&LMTjNpTS zZ|gyhj-x`!jD1I?EzZh(1fIA9Obywk{Q)kr5hFiNNU9&6t~1Xf&)DSl zm$({@8wu3J>_WM0Z|Xqr#=5lS2=LTVQ)5d@5eOgr_&a8#vYdv*d!r`nou9%3B%irKFdEIf8B&){KWdqyD!Dn8 zxa&km+PB<=snsHZF$(By#WD%8weX*Ebb4W7lD|vl#GeBm2cglQH{uKXp_^oaTV3&G z2^j{YXUN?^23Rv+D0?V*z&dVZFD^y*Hj+C35;nZPop-`dfE}+rF14yX9F?Gq_TZqw zR^AyFS)6g};EEu#RizOFMcO%4!UmL?6s8jRlMww(8Ye7$qO_=hGJ^xlg2HjrpM?*& zQ;EEI6B+HR2lpk$&y~Q39D_$Dyxb~XvQ*_^wl8+!v?ui>>BnnT=tE{Akh(+c##U>m z+?dM@pql^_f?c?LOj!tV-8y{OsTEyT8BN~897S-zo*$kUS% z2YvEdkKvNjz}&!qABxcBcqjTtCrG6dQ$L@6RKlki`TUCgpfb={69D-~99)%|%NdI! ziR#-E6e4Ib6U&7u_Kit^xcO1~NDcj_SON_S@h?#4 zHL-q&IIc3JR}C&8T^Ng#YodXi@#wpHkjc$>vCKe4#Q+>2hyG*}}WMJ`v%QRFbyD*3tBvGTVhnegJG6nam=^}3D@H@>)R(NoSjQU&^;ee)D zjRVYOvFZzI!`6w|j=?Y?F-wUjVuW@Zu)`!!?&jpidz)$$ckn|oP@bf+pDoqj66FFc zL3(yK+X2XlxrO~j(2oP&u#(i#?1cg2U^tD}8Bxkc0$q|#64d2px;S<;f~((7{RM%z zZu4HsmL7iVX=> z>0Aa_(a#jBv4}SSz3f%4R!#CQ0_V|zj&$rTW8w7*jYM<{izvVIzsu7>j}Fe4%2@W8 ziIE;;t8gB1yI_B!7d}EU`?MJZYo8grw!vjE+{>+9^*si6Ym=wP%#BB0vX6F|C)~Ip zE66IUK9=J5sZkBmmJ&$v(l--f=VMSuC1@c#)=v3uegH1bo2{D%e%KpubyPvjT;~x&bEX`Fk&F5WV z+hidueKCq*h9Oly?)4yTZ1N(?bwraYLmO|NFU2WQ-rPo@vjg*t99)c(OMIY#issN{+T@Kt^YTkV9p-mN;8^_}=L;qx*OH zV{PA<;a|CBC(Tcm@xKN3qRCJWxR(-`%UqUrV;CV*uX1??^n-(?7+pIVb9p?4Ypoqq zqY=tFzd2s;qboWKl$A|WX|{iJbad?b*VJz9sB`AU3tzhf8JJWoy_TQbHM3oh>^9K? z6hLaacXHg`G__kf%8sg6=khqAw)eve{@Lxdyxs`i$_p%0TiPGgT-de7Jy4DgemD`2 zcF94QQOX@dfZuNNrZe5CWVt*8qhBfMWWR8EYIfOK$CrL{`l`l#IZnSVt-*#0iyM$s zx34E1KVy^JSaWEj)ElF7yl_w@ynRX{ za$(M`3W_8H!Gn-C4xbj|9rc|>U93$)JnBwYAZh8!u94Y^=3-ofRxvvG($=oX(3`Gm zYojzlX~HCj=qcEM$w_gUr$uXHchrr&qDpfoEg57^yg8hNBV}i$`7;?5-mE}yB~xvu zf!z7WzO|(QN2*yarrXuB5{JI|v42s#>+3#R77jhREa@f`N*x_&v61%TEFD2flXm)1 zHZrNj>L$OXsS4sV&Xo-6LsPi^9)p8I2EvG9l`N?&Q0>S5W@(>WbIYky(CF-9|-*hj(Y%h9xD;rs)r_kBK{GYd{k^=R-rd2rf zc(ifrzwG`LxeTq-Y0yra6YKTYPD(^3VM-UdJ7jq*>>Be>*DyF3YhrxixSUKX#6#3& zs{Ywuo0?{<*>*n)^+}W%pvB~bmv&7&BTFhEN5ksph4roMlb~_ov`scSe!RAjdOUFI9e+}9KMR=ueGlSs@d4)MZVjK z!>MjuEE5=Z<&G##C5k}|^j-sk$$btw5_^kN8~_(p&?&6S@HzqYIih&QREVr79D(+p z@$S(Rc?noUwix^=8hM4^jT-Z(H`G#Y0*8RdSSi`pO0F8z@#HunA&t+&Q1@IEQViIp zu9XH=s()#U;VQf8$1|ZAOgG#`@P&!QSGW{9Yi8lhzB!`DGztF0+g4Ty#U}=Am|c7= zoSbVJ5b9e)3kY=n4)>J=Xq;5rf5l`go2A`so`(jC61vB7SAtu_6v(`&NrI8%e+Lc8OmI;nn{bYpPzhj79ySP#@@p z;_@y1Mlw92lRO$$xItBAag$XkAcWe0i|0}p_x`chJU7jK+>Iv|jiTF1F1N7{n?&=K zU{kUUV*|NlRocFOS4yvgQwcO98(yx!ZM}ozI%m|Ss zI30m61QcmzG_2~SvYgV@Nyl27P>0g3Zpo6}U*KI7U7elB_nn6X0;1jfNcI=u~Z_#FNrNdtCDR8^#QXn&HF1yDiJ*EIG92P9EXxeRCcZP`<THxT;&9cP(puTM z`^Ui7b{s!ArtlT5pNCA%$I{oo?$Y>CR`MhTN~s`v22jjsK>yQT*iW~u+hgmu$7{Z9 z*j;6EbS^BmI|G&S0K|%YciURWH1x!w4nSTlJZsPl+#6<5lIs^qQ`y*k81-S%sSLG5 z!3fpE_S3G^dY2j3a-NnTMw@CaWr?X`eoEQXBo>ehZg-HjQoBj~_(OX;eSR+ZV8^Rc zl=M_ET(pf+mT&t}6=fuyVDsTIBn)AnV`E!oa!`-`S)Z``=XE4pnw6Snw?j4(<6WD` zxCkXJQlupcpDw%wCLRhp# zXwUvtz8roEJQ_nFY6`FpXENlzOmCuPVp+6=PAR zE}hw{^aEE}4i*j%3JMAd${`?J^Yhu4if2YBD7z#mC{oDB#oUR_)Yi?#)!f;|@c#&g z?Cf9E)sUfJ=PsPg=OJG=PgE#qxPQ=4Q2&E=;j5iQDwVkH{fg3cD#3X%9ILOJ3C|ga zHdN4tSFy^yuH%A`83+gS1xv4ydxPTV3}<6yLr0ZTK;vS$T^Jm+tGc;Fn}2wC+3yE| z_w%*2ETB@J5I_IR!BdhF#m>h|*$hQbm+!;g*wavw|1F`A;p?BHyAglyho=?8T=2_} z?@RhvdS>=vh0!x$Ijc(Mp~Ev1HL7vqt@1+}-TB%It*qLXs)Shf8f!?`+RB;cJK{nt z(FsO5T+>vr#epbrO`*?SC0k)FwxO8Dt|E>fJJIEPa+qaWl9?5txkXR+riEk$HQ_&qgbT_}q$w_kc3%(IPdt!&cG+9gs2<|(^P zs%0CkJ0E+btdr1YW?Hms{|%jlx_L>Rnfrt?ra~_sor2F|L6mdLTC?-8n zo~V$(J|@!AoUC=V(Lk^2k-QR~O5UdAPgE5-cWR64m7Rj;?8TuZmDw5Gn00Eo8f9+5h9s%WZhr~KF-sm0yg`g6xqsz>gIKg zEVo*|BVxUlOv~%a$*mlG*s=aQQ zK;QKLsJ~7V7xcb+J*`^t_wi!<;qNthI3n*idAOrXw~<}f;5^uqH26EKo-&&*wcP_b zkFk+V2Tw%8^8N(S?Z%}a(=rSQaao^JAC1(&%EGnD2|!+z*G;aIub4ImM9?q}Gi)Z{ z6XNEnrB=GqOGs$MM3DLH8Hrc?c=-0iUtlWw3hvs=g!`XHV&&+!XxjL~_6q(Zz*jV@ zu#0*a_VgqxSi&~p*WzRN7a=;ccB!)!J#8yKbg#-e`kIuZ;fV{KdcNe^%zKl{cGTGH zs`(1=evN)^brF<|wvJC4j}FgYx*!)S>MA{ePRrTXDZbsFB+=CG*g-%M1y(0Gf;{T%-X2Cjvn| zK2NVpRfGv9!hu4sPnTt_6z{M91_+5}g#~}$D(~8pV@7H~E!b;jL;vOHK$Kudp2u=_|qcUNV zh-oTR9USE~$!tcWD$+}ZrwaacEF3&L&T7_`HHgE3qg@$t5o^Lht)F#X z!8>ho9lI$xJxd}noo^<3ha7&R7ON?T!2*&MJJCu}12Y@%eiJ0yg-?1U(93q) zbgJ0s+Koka#5#^i+O1vZD|4CvLb9SYJ8z3ut;EmKBW0Zvh5e>U*GTV*Bew1K)0 zJqlh~^M5m+>Zh36_}SR15|hp}U)*K=+z1iYYpK96X;hdo?BeYl;VJQ;c>l8!?V&X6 zA!OJEq0vQSD*1IkzTS! z@52Y=Z&Fqd^TRarLbbui$wd{Qlb{6BarLL-BcX`OJB55AK|+RkPs29FVb>TZHkG9w zKEyF)p#)dKgxV%h5_a)Z1&-OyD+7gl050Jsrx_*ym6*TW!#doI)zU`Nn+`R86LH$?cL{QQWyQQsJ2p-=AA*paS)c^osbF(eNB z7OgKazKHO+foD)Wp{ap9i-9IFH6nB#A{KW?l-^O4FE_Wa{f8?;zE6KSgRE>Wu0LrC z&T~)~X1me!VhcAnlj@U8mIPQeSz#eW5pFp;HCS%pAI9O;-*9ki?lYuc&3eq{%L2`K zr5d2$&39+Hl-=QbT$}^cMyV6g4LLBgSJXZyqGVlCw&kdz2bCHPjSK$~?LZQNF9~|< zkD^rN#rq3DD-iH?*U*Y~ulcN-puSQ-;MyxPc-GQgvkezEoTkWg=kFGa`bOSX;O$r|2Cerd{aFGq##5e$}v zq`?ndc6p3Um0NL*C@!I2JWL6v0m-2k16iRktx!D)TW5rT*PvPGPUN>8*hL>XA2Sxq z(@Oj>k3&oucC)bfFOH4INR+N!y)Gv+!enLu?4h$ zpW8H2<`Cm!U2 zHXsBaQHkxn;4vsQ1m1wAX?PXj$?C2-cF%4$LtTy*7wcCS}!JzVA~LwAZ``}N}w13(A2Be$myYP<5gAB&+Q3F%fRSyk4OQ#RT5Gm zEgsHj_jjvVa5#js@eWtxK-OwTP$?Y1%qTc)=LU*fNj-cs&BtdnE`+pHcvf#)vOM{< zxSUJ;Y9fnsc1o0oE|K}c%kN@tSRp@BV&RsA$+?YLFi_z4S@(S-z#ug@XR;t0Don-! zdGZ7r=I@|^rj#ZU?1@>;P#7xhNN_@!)FHcQ!{p%){_f)dbdF-eKzYi1?E<6#R!RrD zc;#Vq53u*s^F_;-V4v6Xq41v9Ymdhj93_9xkE@R^Uk)jJEis$CvcpESHqmmpR})Gq zy2vkQPPf&>q?cg%htV9gqJQ;&l~F0l<<~sF0n!ut;+9d&OusoN$FYTnHM_EPZFjrdi5Gkb)YA^|WV<)*uO6ICo2!n^4ea zo~SI)V(b57>>r~fiJG-xxZ1XD+qTVVbEa+Ep0;hu%uzfjaC^v$U`%iiAakM+3L@xbPr>?%#bC^?TlUbg65@zQ zQq@=F>v}sQh~q|XV}r2rc09M8O@j>7(cJk z>D1Va;8M#qh?NC(hUsa2T^f0MG-`iEd{8HyF!8@SB^#X#GYX0%AF)5 z{punURBj}Hf(cb272zL+pzB>(T&yU6QFmFuyMfJ51s_W$Ne5Px8#_UQIG3tMYeaIZ zQ&-$8!s#mI1?>m6+T$t~z^RS`7|5k_JOfqfGaceP>w&^pD#`NDjG#kzR)%r5{P zBzi)c^3LWaRqmOlUVRhycB96 zQq}UH_z+DCMQA~-z|Cgd?6TfL&>P+dDQP4a5$OWPUORuJVTq&huF3gza3LZh>l+}& z5*{w*X$R8dHiy+%><0WX)wV`IU25I(CMR>j8ZlQdDxzLznNMW)F^&UDB;}fy(Ol7T zbnduDNHa8-+cZD(U@V&U3 zIm(s)HvYAx#c7bdrb4}~>`Z_<|siE~D2r>xxs(+<*q-;lhlXyKpTx9z72ql%b`4Oqa z*a;62xe85)2hE9kVIbJ<5*t~drHw~J;x{ZZ7z~%@as}9@oYW!zWjGEmKK!YhyuA1; zJiEEV{|^`NI?h2MqNh^E5g`O*$odO$k3p!)(Oel7mUZ5$3$ijsc zVUHSKxhbBsImiG^Dd---FJ>ejA9Z3!enCZ3WJg2})$Uqa+Q$gpn}dS4V1aV|(!2_! zIu`z{855*OwQd??N29rNY=nW^S@sB00jdg(e6AgwS$ZdoZ$Ad6j78cC!|os=Da zV&<0)q*}o~76zgK2!UZSjv~lhj8ld3SS}8th#iWDAYWv%8}gv+tS+x^=s5~kYBL|V z=iSTUO+_%2odTjT$`uO8t!Op?t_(LEv0{84LoO(Bc8prCKe}Q)s)a^_5_NNCRb}wq z&=w%iT_;)%F-_w)Phk8RyR#n&X0l*elALZN5+xTi0GSk20}7PH$X0eNBn-yQ4M4_7 z$y&afUs4ESmZYe}@*hC&=N6QMr*7C(8I2hGs z2Z|X^kCkv9S4x9Y5l^eA;I8_(z`>681k#)--pj>lS;Y_ABp{N`nK5&^*pi-2)Qxf> zQAPF^i7BLU9{k~|R@!NBlYDfF+f8m{i`WG@H=1}@Ecg%*wNn*m`cpAkxQj6ik=wu8 z$O#;ROitp^yO)5cMzxrR+#P<%3~5|2T@+Xq!vKoad^Bp5jcL#;KzM;nbg1t{^)>%q zhcF5sp5oA&i|U_oVFQkaM;VMT3^=cAXNb~aMD#O}0N-8Qz5-k*ZqUczmC%h)2)NuG z%WY6)L4rdoLHs~Qfj!xva8C=yUrGU?bf@{M@C zkf*S-u$OVEykV(Qe^5}PJ{v`3ZpaBN`Fh=4T8KDxU~(0yxaosuzy<1T4|-!G zdLOAZ2(DEssHRBnAf$7RZopJMl%C>UdWea#Og)<-WdXOlKUqKp%52t2QBx{4r^v5EvVR%_Jnq53ox9*?+tTlk6>`23{bA>yqbk^~M0sGeoGOeDAA8y_ z2~($NXhm|5la&mA$vT`DCrt1Ow$7YQ+>8s=k=8OF=WMuzK&^6p+$YpDvWeZ5pmKe{ zhj@A5iD4%xkJiQ*d@>L|wTP`r95;i>9G*Fsy8v#6k>_R|l=ob(+7xsyS7!PS1xZ(+ zUs6NE1!q3Qf->z~<%6Ikl?DYn{kjTDekf@vp(~}=E7J|7$&_?G35a|vNHLly(MjI5 zyxic`313omOu_k)O2RtuxMOx(2-Mk);7xijAzUdL?wI8EB#Z>}xgQ>=l)(tGrYET8 za+iW?U9JNdOK`Nx4p`n3bsy!9I0lqQ9JPa6dXTEJG>KZMeWFvCzx5nF#4hKJIep0= zm?o!1y`)=UP_v>5>I60)WolpeWh(p#Q(TsSz4Q zKd3#Dfp5UXNsb~;jBL|}!B7N_nR}z(a-m2v-qI`)%m;IT&SWU9T@@5Q@al2tybvF0 zZ`|O{=Mf9K$jLv+{6I;fZs&zX7zqj56?3{XuR-L~Fyp-RWP4P711-1+E~Is@Tg(c9U);-9}z|7lf6+Y{+(u$Ta?DYLUFpF7P=jtkG~j; z`e2uUU=ySp)bn)sS8}2ZFgR3l6>0X^hU$3u5-%yK(VyCcUAPy1(*WK3K6z$UF)c~D zz=hT(G7vMC2JY1+7}-iw9ak@CV&Np-QY9iu9= zg1ZOfm#Ki5UmC4!4qx&HZRC&wlG;lruspwEYER10OhDMhe>MyK$?ko|eSRBy%`lx< zy=2INbXZ(}9?5s&PyW?4)0Oeo>YAW(T^y6{!LeDiC09M6bWI+_q^Gg8)}cAL(J^+s zC0AZb*OdX{kk>C~q%73oVU`+WQRdoEGN>uM93=D80qb-#XnTLmNfN#lx;!jB(wIE7 z_Q@v1`nvdh2m5bsKzOOo)uxpyl9&#@2M3Y-b5};QpWxMe2Nb2I2S?RUhzLQc{c;mE zKUnv=*s_=SY?IdUx>(?B^FZdhI1oCNe&yNbsK^zi7$L6X!RDyRwE?x^aazT^jqpjJ#@f=B9MiMSn)kKIPYsg3>@c%l zTS>8>pQ8!{COOgO+VFFz`O{!@Gw6hl`{&45(QD9(gU^T{*^H=+Plx}o;9oB2=z7hX6*}X!{>PPC-&s)c|iR)tAlndQz z^TtY??ooA^w?s3)lab2OEOYhC5$&JTQTP^TBlR|(aYh*lb`(n)_9VDd+5x@wxGb?% zC!>mjXe)7Dq{a9t24^D%Q>+7+!1f=09C)if=9R{a@o<~w3Yo^KDyCRA*D!J`&Q{6O z@Q-F^#VU?UE?1+;-KLf{XQSw$(N@itV^_)g3vqN;vvE`C`jqThO$^(aU2c5pcwe4s z#{$;lFc}LlKjGddl8V@l%}q0aFg=`CT~0$_iok#xM4vxncnDS zl!(O9q34U}<^mfJHtE?+|L*vA|Dt#MUAdHZm8Hc$5~@6ulhufuap3@LD`CW00;!N0FV0VLz@tXzqOMR zFN8*jTZ!r;{60?V+z(2P8cC8r1>{sT3Q*!F{P!Sx=M)LQ0Y1o+TB}&j;^T^dJ|`MY zdl&9Dhz=I)WGhb<>^o`_C^DXS!ic>*5&`_vdtT7E7cSq~;>5v&5$mq7j#-s9j~aT? z#1R*^Bs190&wa`}WGCQy?lg&z>(FkqyKjtyV|e(KzW4|n99-?7wi9cQ)58mWu1*k~ z4Ux=A-c^xBFQX24qfO|oC0VWpSP)u#4h&kQd~2S}PbeM2UUj__Cc*zw@gHjt%=8 z2GXNQeA*dTb`v}nRtUVDi@TE_u$-AWN^aB_@M?W|z07$g`~FQr6ALzGkYC4X z8m4GQT@g&qN+fE6rGc$UPz$Qgq#4I*M#Y=|Fx;n;H%aD7(sWY!Z8=ICGuglXBA%9% zc)LEyJWA_G){r~UPLR8NBhfJlZE3PZ)-YK#S-wr)ux4*^Sdj)+_Hi?5VQI3;*1&A6 zwu7JcOWr8?6^^+=cbqnG61jj$#w4j=a(ppAV$wpLMP@p!XpN8g{B(iC)K;&%{nuKi z$lBtx)Y=M(xr(W|3SxLgqms5ch;uZ6E14ZA{Iju92zck(fT2LAFsjMZoCKN}irt~@ zQr4;nZnfLFk07x77OG* zcmaO?=(RC+QzL*269D=GBtRZApB#xs@rE%c3eXDdcdEt)8S!f^6$dDl0Z@rj4AYX8>VJI!LcI@&Pc~6@nS&#W{2u zQwu8rJK?qsk{LX}Hw-ynBwb8rzWks23*V53ncp`xBMla zw*v&trg7_K@E@VRUd&z}H-~$hq55CD^XFP06OX=Fu6L&&Q;!0w0{UJrhsP}f-$DA@ z`0zrnk2RFG0zK=Wl+MrI9&cv^If*?!A0LM~N8g=~4%ykd-y-*azdL4ZzaPNfu9b0i zOE5waJZ{5ZGq8tAkniZ>_CuCL2HcLRPwsL|!3^Pw5*dy;n1~~Jhm2z6yGWc)X#Jm!z*xsbhkT^;_2V3a&E{5@M?NnmifH;+1 z8GQz@oWm@mF)H!)4Ak8nLtl!^+iej|-{Egv+C?(;7l?c|2&eJa{$FynN`&uJW} zcairWL^YI?Y1`52=$z!p^LErrf5wZo^*DO)Uo{DTyNWd5iF}#IqkNfz`kv)K#BGNX z)izp1d$h0E#jL|FTmPiGkX%!zKpkA&1C%UD7TL?gX+usgRj$+PqEqslVp1^ zHv=Vq6@D5`S0m^ARJ!6Pps2SM_Oi<@`Fp)85ZaI;G5Guu_u}KYw@=t@m$A>&F4p?F z+ya@Qjl1nU_`JSBe9;~9a&`4`CFJ!X5cP7F{c>l%SMW%5 zqU%R1G!>J55RG4D5)T=amfbw#?r5kgK6~UfTin^6bM}{N#X76Sq!CxPv+LzO*cv>V zjHZM&<&x=)fmS&Zo9b+i*4of7kc0VR`&PC(g5|q5U9K+0KO%A9zAYk*pW|d-nT@jFZJzD!{Bo~b*(eIfN?#O_D(U;oT~*lM%(fa;lSuuk%> z2mI@LGycNwJFok9`2TykE}T0EGPo>pbbCFXolc;2`Ly~XUEE$Ar#Iw`2(SGix~z+R z;-N1b6M@+|gco#u_ebP$Cw969A#%ABJxK@2^SS(w5eE{{)=R;#EHj|Vf6jn#OCG@L z7)qWe0c2eQg|Iq8P%FMZzxVCihn`4pjq9l~9n)5+;}p~Q$hHrp+sk?q=H))(jcxE< z(y&I8_upGr|GN&Noe=!}dUzm)P@*0CjXv-FANsURwDX2z@L>q~g1&XWIrDxQeswW@ z!@uRUHPbx=X}7S_f(e9A@{Uf@OED6Sy@|iEGo|K+L9yGl_4wne!(MOSr)KB;#M$=- zt&1qgFKj4SkKKhEG{{*Qm{81x?_Cz9R}{>SCW1_a3e;AdQPPoENV@%Tjk}AS z?hlxCfcwK_nq((R|NV?j+zYN9LQRP`OrWIG^f2fB%mCv`wg(O}RAE+Wvlqj}D3mVqASXx*{#$^H&?_5ZONwGrWI-%7Cj(+Iy@0`tswHe_*_5SN(Z-Yg59O$~ zKpS|-WCAu1hGY~{CK((}l8K4#x83mLZiVGbmJjEju#5Ik7Wx98psb5SpxyXuv{N5o zS{~j^`*&D5+AnBLFZYn6JG)_*x7|oq%G2ghma72;tN!cL3R?o78egM_x_d=f8k(tV ze$ebKa6K(xhAWVo3t-!5p5_5Q2jSmvyzXo_rQw-_w<_+|VpwZJeIMK2`tFZ3FIsr9Ksj z1+2HCQ`n^JqBR=1YJRq?JfDQ0Prxr=bsrCR`n)~7`W!7Pq+~s~tQooYHjc3?WCZDI z7t1vlm?z9y1sBVOIIkH&v>Tc2FUrej6o&q)Pjq8DuN`}J2cOC?UMVa#OsHB=k*Mpx z`ZF)q9vhJDXfn?d;y}RCQhc$RI6e`?ruooXY+UP$y~MpJsg%Ikjq6ap-^;b zOA{O$=Oy&Go$EkKe9jRZQ#15n4RELv8$qFbk3ykbor9F_h|JxP%ROk3&vmMx`&}Zg zC`>?@dd1=&fB93z1Y79zsXdWg5x?@G54~wYExk?k=Um)H&gJBvd@iawg<`lSeys`# zB?1a%jn?VH56d#7#0;p!Z4w3jtRDBLyPza=f^UZzQ@o^w8SZcd_hqFQwHa=Q7T)vH z&5ufwFSHqMWCZW!xNkgx^Ak^eUrtXKb^%H^8mj9%&?*|0hofEhM>99Q&ik9r%?sY? zZVR_99~b=h@?H1$RriaEkmF0!Lz74$Yvj;)_+>F8zZEa`g+?wsld$E_Fd+rT$8D7hO*y$d> zLZ=^3RKq_6Uk62G!z89ayv0MTBRmv^_KA2-HHTk9yiJ#Sd{|jwpG*vm`FGd4YHJU{ zgLwBG@AdF`eqKziP9-4!SZuHKDfjpPwxiU1f1)!NOTrV26Qe-0vs5e$rp(ZSBeFAL zCB&F~M&(T>r>aJNxrq;EW%k~UWMRxqI`JC#HdMK+9ol;(Gg{HnTZ201c`vU$&bm_Y z1B8ejGc|}nT44FiqPbt+BuyfDhp_~NjQhs$A)&LMeZVc9F53M?GBX|kgpf#5lbewy zbReHdH5Zm$gxyYQhh`9w5N88zO#2uo#G=zFscCwwW~QiY=lF(n3#I|X&uB$;8-D8t z=6(E}(esz0*rhTIId7G#bncMci0e1HA*F6+lY^vQ?6#gM$v}3)Pno=)qRa&}Q8ENa z`qcAXhn~0osyF?O1{AStGja|Af1lEYsmBm!PK*dC_dQ*i-oOST{KZU1URf(^T5(nW z|I8=7TTHU%0|NlEp#cEA|0SRFzb2G6CGXncali+EgWL3^$jZ+%e;>X>taC;^K> zcOr)8Ge$N-)oSC&D-*sycn-_Yv(ve#Li-{QH-p@AI{~ zBu4i2wl}o?-tmtiyT`}f^S&nHJ+$NBjQ*FC(wX{9w)gj01i^I;eYf?@&kD@_+2Q5p zC=%M`X_WPZ&;r^Yi0wcd8vpt*cxcLaB`H#C_7_6>xg2x zw}ChF_FqakYi1{>vcFc*R4mQ*2ZVA3zd9W-CG@dH7sl}sU9l5yO%s)qH3kB>;@ZJQ z^z*rB2NC{K_{h_uiA@Vt>pBW`5p-M~(C+roXNSpp+I%aCG>s@>@-SAEomTWG$j4Cvq zkwute6k8en_LEp+nR~!Pc72f$-5E@1>2(I|m|362CYvmz+xSNqYxo$LNu*!RE&rTd zJT6nGEwk$&HrpHaXWz_$;gxhyjJcM}ejOL^T_owLUMv+2bOzA|B%PgDNC;Hcl4nd= zCdGb!Q(WwCjd3e1cDu9ru>?3-08X-%QI;YBogJn;=mJxCoWz{JD3Ws}C*pE-XHsWx zyBqkeQR&PnC!|1Wo8xqP!rm!=4saN$}6fRl(Q*k|Jhh#RQHjt{Ma03PMtL+ zom*0}gkrEeGqs30m8qLS6}N zM{tbfQ1PNgZCyjtR+AI*u>9*PJe{fLs14nBlB&^KKea9LC9&Q2)4vO<{lbgvo1ai> zzlzWcrJOS$V*Qf9$TIG|WZxiZJg_HgachOwP%7=M;1v+d|maM+^-zrVH=2sOe>PJ+)qH zlus#y4appXqBU#}*ZMt!7%By^nOqd{-k{eP-*HMy0o2{S{u2stG*i|MOd{CM+3MMu z9U|7+jX62E(mlbl4o?W#)Qwrh(qsO`H1r2v33}HP#_D1T4v_hqo?edNIrQ3Je(2*T zl2k$wCbU7aAflr2glC<#Ib)8w1i8**)1@c`3fKO-3vLL`58#b(chV4wyL~3Atp-6# zuCoY>wXrOs22$<_tH=NJ^}hGtDtfT%L%QIq9!N$FW`zp?^+8kjAVv>B%shk*%U__kll2c2#3i)s@rNx?HesVDAOxAL<X0xNF+t<_i*4o|q zxd!2SYh!D3%je~G?{eyM=Ee2>?Q6fIBWHWV*Ui=bafVEVWv!J1zHnMus?ddnX#XBiu*YY=Ha;^ETMi{Pm|Iex#O4qN1X>msl;s zO-KTF1=HGXg%|Z47mk?jZ0)d65I9PS8Cn$1EDZj{(XN;_N`WNb!2ga~+y?iR@gGTB zOoNMl>scBJDtYu2$T)hGVI*C&#flsJ{^YPQH*X1H=!|t4>TuB&&n2z4F5%9W0s45; zv0dxMG}IBH3MbSQ0)ZLqT9ut_ysWusW3;a527s#)lP;Qhu8_@bn4R{x*P(E)e~&13sQ5L~ z!QjLA)g-{#{qu2YyIQ{+py4~Z*z$Vxo@2AMwYi@X(eWPh-R=I!6HaP5c)%szIuA=a zsmq8fIe47dih;jKjxQT!@P-~WO=tx$x<;~~PnLsOhOFR53Bk;^FpRNs9B~S zpj^sbQv5T;sA^lxO)XdQpz7KGYqlMTYG*0Yplb)%l<_`#qDeaVU{L!c(Y=NHH(PkG z05*C(Z2$0TGx(~32a{r|V3L7oDfjgyL^m?1|Io@??=rafKhDg+rjxk-e6+kB3bBjI z^3;56NNBbP7ji-t&^ne@^!yNG|EN&PI`0NzRRzSudeo~da~Zo7BMqL%=vQDhdPxT0 zQBO`gl6a`6+@`=eM`6}kYhm~cPjZQVSTbqI5Uk%X+I8jpPibV7DqXSHQtKAR4|Yb7 z!UMv=wM9h1i)AV8i=#U*z3kgapj+Glfa^#*$mj}l92`dpM6=VC523aFN&gL3P{aah zc^GsdoLPzdX+k?OMA$HRy96r)q278EGEgK+LBxpPw6scQ1ExVpP~af37z zR&9jA=gXy+X1UFwH;$y^Wqvq9VBh5RaS}q^2{j9CqodK@9)M&6MMHZ+C`!XBAX@He zIO5zWBYRb?9n?Y?rn_DUco^?&Y$h{2I>_FJ*NXLMF^Z*3-`eT+U zKHU2SV&3(4Bz#oAV0*ryzc@4cRC?!8J|1Adpy}~HVIIE0eBNON_(HeI zKb|0aKH)Bp4fiZuypZ ziJ^G8#QJ!G{c`I4)DIzm9{H1g+;W5CRfOX6hWv4;(5HZ)#n-n@@u9)y1NeBr`uYa^ z=ga`nJ#i$IA$Ayds_qKX+} z+Wg6#!j48E^nS};l{;&V&OqmW)p$AVkzP{e~ZwDhDUEhb785tFyOH1q93N{SaTf0t=XIGyYpB)(;Ew=L0 zKIzhp^vNi7wT*~JVri>h9m#2wDg=XnXs7lJu4R9EE4Ij^HyOtpqvmjy7ZNp~?lSh{ zhO`Zt`Tu4?|LKi3%5+BEu)cczXsk%t(p6H^o zPLepPn#PgVgg+t~rjv3sT{1&~q$0Fv4qZBuua(L{Nf`q*PfrTVkQ?f++Yuf@*#M1< za#3l;w8erMvys?s9y|*wA(5hTp=4WX?5R%Kzt(~<#nw}17EMOAaSg<0?O3W{#tjHy z6c*%K3m|Gb>Hsl9<_i52az|>7VQ4s2&H#vfy2QqLXIV(3tTGYxw0+9a-avDWuElWL z!{6tM#X7#BMxASoG0L*LyLyh_{up(_Q>Gli>=y9O%BT* zn5d^>SsjdKGHyAC5OK?76fBC`TMRQb#-q#PU;L~Vsd8&~>Ix`J7hBXu!oYWlq;JWY z%(5VYqj_jInHR!=$Ks?FU^A*lVKxKgBph=b%I$pH88Kau_O@n~RRXmoQ~2cstRAwm zeO;fXURxLhw=14o-rv>#J#(n1^zhlK)BAdRyLa>qBqV%pz6;RTz#{IyC&uoB+VI@n)Db zA8>{l|5UgA2?YEtlUuMoFyeM;W|s-k3aD0svXVh60w(sGTd$&9=s8pZ*rqhi^0IRp z!~q5kP0Dm_WNd*8V>m6?U>|fZ+LKUrr!!UrS|^|TCZ~?B@oLjbK0u@uFVT1?pgNTU zsP7y|Osjh5k{6U3x6fFYBR@1+?{zLEyLBMfQC zeJzg?9kWsx=dT1j2q!4*?jd=!b_< zqLX1O5F7l0Mj!;i2yn1m;E<)WEbQ4rd`RG4etgql&HhDG*wkN4;Iri`8Qcd};fByJ zy5$(=aS}h3-|8~47K|OiL0yilH;hU7h+r8VP!W2LS`oEC=diq7VuGrmPU%@7M)!z; zw1rHatolGiwRU1Noyx7611!~SI2k*BHOoPAn-^}im)fANNqlwl`?`PaeSG-daq!RN zJoUol2X;Yx8STetl`1L;>>>p0>`Q!9DZJvuyxf!cI`7BezpR5_ZNDTSd<0W`7=Ho# z7Jf2(36i{!$exXS&`ErWe@c9vpnS~|`$qRX!1{h-eI=W|H24nXc>DK!!1~@I`BFyp z5eV$Ye8c#@CHA--%*1?HxBG_l976eilK8HG{Q>yOu^QBTj1utO922}~BYZ@G_$u`h zWR5EV2)_jS6mx(W_Z5Ef0U&-hSohun2?G1gPR%3rF56R@3;^{C0R1Nd`@4K2ZUF-T z96u=hzpa0Qs zIyf1r>1?x7>F;8E0g91$JH1AT`0{D$YH#=PeY{r?u;M^y8JV%?&5VwDANX|52+dg7 z-#xOquftV{Y00>4-1c4b;>yhC|7M>g4$GVhVwOI(ko{X)pfo3cM&>NXnqD3jUSU@) zY+-HM6i|wU1y^bxq5O?EW-k2~Mb*~tPoE*V zycXXzVV%KI5e>OT))aR!6U@Ur*T9>N+TGSBd79#ADwV0Z2|y&l2!$?9gSWhVZG@A} z>2Os-uANd#B?YVCYYT}tNe4Tz^=3MEJ_sB*YmKxr#9fM09x7H2mqiq#Ub2|Kjluek ziEf$Yj?qhlsoKZg)%(-u{R(dQX#d5j<=fP;z3X+qX8+kWRN-A6S#P7_uJ++I5UA{=+u}>6 zo4f2ljt5;;ID3mz$k)dq#Y6!!AHA=5lZJXXJze-oLp6O78_?Jl+aHWtoLq@jGJ%LM2yPwG<6o=}sQE8ytT|%Ab+N&vBW`b~ z1)Y^?+INE8A&HwSzqb)ZN~nAQvl+#ltjo2({fHph>?d_T5O{OM^aWuMaye`A0Y!_w zk+JMMFDtl2MG6n5BmS9H1h`GPrrVY#t8I(R~pv;rZh?ObMo3uu;4rI{6p^k zdC6)xu>OfzoMm+}DV$2PbF6vr)+TaArFPdI z1`!I&BFzFPjHL!!a*&5P(;4qfAEZK0up--&U*<W?~9SCD{0@f(4R+stCSlo{xj69y2wb~*nJq&wqA!JKQ8 zUFo<;tt0L1?c1p|;pgYi#P#)n-_Ws5K0oqc(yp>OYA|l_0C&@0y)H6w${TLgJ5SFek1eM9cTBRLCAv#(*o-{)tO@0UoSe{< z-FYC5cBe-CnIzdox?eioZ@|YgOK6Gk3olHRRt-_OJa{erH=hS0qmi;xu;KVYyq`B*G%SCC(PCP$xw<(p#ExobU`MF_%kj6Elxg#<*wh;>NH8=Ehe zm6H!ygZ}+Y)H-cSiG%BV9(Ze;maQ7w(mVEfX9yR+`TW$Tg?GC(ulc0IXMG8s;a%~I zM{M}(%^!QuuGY^s0`64>JcX}6WDHf40u>Ma@Z2wJGt7bPXRGAPVZr<-vkAI?lXx3mb zx3cjpQ<i69MEHO@vjO;u5TS;a7($ zIl%jzMh$oT(_@tCli5I&K|rvG?vRLKm9v6!Er%0vP$`?aEXW77?94`!dAxaEfiwcL zjHi);!P135QZGnBx26t6D^tZq=zvlkp`kJy<7P)<mQHiOqXxdgmDzhM8^#H@?>c4%I@Y%f<>_jrb^5x7)L#e6xqe^H9V$F;tdH#iR9 z=GlQNR~P3P9XpSh5i>3c{=uYB$Xn$GZLB;FA$3)O7s0Isl2m3I`b!UL0-YZi7G+o~ zN)=&{K#JC=)~EP4@2AEbWE}Thse!m)kSQ?mK9v+M9AA7{PCS?cIV-pe>wz%J3aaq% zMS30DZ@CTg;v>i1Rl6*aDxvapDI6t{EOt6EwuvB@15v%#r)LCrrffJ{`DBD~SKaD^ z1#|sn4*N&%&pg+W%cuRN+uE&1j*9osqvr4SPiIHhno`T6#qb`fy@Wz{BF${lTZH%r z;rb5=DKEOyZ#m}9_z$@M1U{0KiIw*sVbcFU2ow8%6Q-m&B}*Kp|FIU{QJ=xo;%RZF zq+#^vw#$;68jNu#G07SY>5Sy068drpd@PvPsy){ddigcqzOO3bQwna)oIdPX)9|CT zlMUB=NpLN2m3WXZIDTXmZ zDoJ#QktL)bFsU-f>126I)mz4HB6M(kq1{okDw7VvztEqU$l!k7NUTa^#8|Rvj%IyR@y> z&)0`c92;~h*QOf!2V5FjyDS-oSq}Z^VMY~40|N6I)+|=J6Awu51g$b-Cz}6+E9x4+ z<(EM}6~&_G{bbVF=3m8V$ViFWRO2`ZnamqEkzWd4{h>-ukl~gZI1d%AYssE_F{4W+ zS9>yA#X@`lj$T^#t0%+o|KQD9_Y3KQs$4VD@GYhc@Ln6$JSj}nz{x5h{Q)Qw^buBm zYyti_onnU9`$D-18odtO`gXUU29hyvA6Cw=caD;@*-e41+6ws+vXC5 zO^PZ_YkiLFl-&V=P-ZS4@*%!5!_|a-7;|63!{}Q!s^2wfjh#e80)@N5thkaaxkZIv7plO5|xGNf#pD?R8H1it#cAsOBx@etaR`2c;C~9)L zyq@;PCJ3^(#wt1p9xrRI`Mp0rKW(pl+ulEJ-u6ov2(I`)UZ3_$TnWD2zE3BPJ1_+B zyg}iWLe40OE9dB77PQivz}HP^Y0=GRrqB1rI7)`rf#s_&=X6K-)mR>D17wOW_!~=- zmz*k?ELF? zI2F{;+v>R?=>D}ipwM8`;d4!Pu9DLBQI**1g={g;Y48qkPHb_Bn>J`9D@npNY4l-0 zyoGIA@PjL5z~uaH*$RxjcPO-JFKM<)7!TwGa3pWj2?G=o?n}t zmoAx4t&WI@;GvJ{pfweD90;c=!TiujNq0Vlw;z&0+|P{khzzo?SJu{+iXWl&_mtQ% z92s0*vw4x1ui#nXl(eMl4j9azocg1)%5>{7mlz;-j68gW^;(cpx(*|)X}s(vPhx+( z^S)xQ^eDtt|Hp$6h=`6R5>ZEsGn#{=J*V5}3pz5;M&R~pTjc{4l>*$5PG`U*h=S04 zD9gN_6$)GpI3@{%U*0;PthCdgk_)ZE^Tp!NYo7}G%`c$&X2_!BD8fCgyoT9Iiiw1E zYOpwf@Irt58>Tt@YM3eMjLSuQmY^4bymScgnx|1Y~rJMdjKA-2gTFNE{y$`J|@1I?4;gbXjQ>PZsQW;Qlhn z`7G00C-5BLc0gC;(EabWt3}M(CE9k3btGw_jZ`@1AlPXAy656)9-9obC$lOf>x z62Dp`s`k{(rV+fDzpPVi2dNeccuKO?T~ngX#)d*nk}zC@T}`?y0JNY^zS5RBq!G%> z!&oJ=@~HNLx`hYm&8!y_+PU5@5m#Y~?x4qhBZC0L6hA$o6aG*|cRZ4jY2beQ9>YSj zPyCJJA1!iweCr#F$@PXeGriPuor*a9eK;68$Mln@*_UO>G)!26MeZr=Y5OnQ!J~5( zE#L@5QqQP3Lyt+484YIEvh~z?<00J9)Ex^Esae%t{)UF5V{NK>Bv9{B-~pPUmGXdMEBlz&FZvyuVp3YxIluF1b(fqXD!_}+`icvZU_~Iia-6MEFD+@9V!Jp~e zFBrhkf}xl#=#(VIrCnu)BEc}li3&suBH;x45j$2%oo%n=b$&BU+Mk6}o)OHyMG^>t>GxsHO3 zd`m`CNO{CB9w@|P-4M5l&|^rsCF>B7eHIml8fj27`qD`89NbaH^0fc^W4zOu%`G)CI|HskumAd78VooxVULea{ zsC;?_CZX+U@VGJmFsovO4P}ifB8{xeftQfyP_%B7r!G=MEo#gugsbNDk0q7i*bb(v zn)Ar2&>E(z>WzN^gHMy)V{!lb9PPyf9_vjr2LEx#vg;!WpP2VttmaGIHuLeV0iQsO z1Hxfn&QSp2xA@c5>Ry-aBHW+f>Y<#R=r9&#i24jETnAS8MMe?+Xpuf)`WQreT3xr0 zZK;G@S6T}eh_ zNmI!sh~%;kn`^yYRy1WTLY)$|7{cGbochiUGejKzw*R`_yt|mH|9*1zbah;^b!`!- zvGsY#G3fa8*}T4DV5o8RecNtnQU9#T*!x_Fg5?C6XspVi$3D~TC9;% zLv1Rq`!#Fx8+?MBa74-|H<6Aq2Jlxc9ffkA5>luTPKQfwpj=qHpjbAD*(489xTM)! zG(ldDrdK>DDMQjMcrpfg8rLbQ>~J({OrKsyGR`3~N&NpK?3|)20lF+4+qP||V<(-Y zV_O~G*tTukwsB*-lXPs`*7QG5v*uyusq|V^r`9>Uz76xsWFXd<#K5-mYES&-vnqr# zOjV0G_&mto*$9$`PB?DhY`_)eFT>X-^?*BuzJvz`lS0U(etPz?&;T#|a*+KmEwKY7 zg%HMBpzF=BAJ8axwXM)4i;5%ReQ(R{61dx8=WI}IBi91g!JIGtoTCZ;E}kHohPkh* z;60mqOsv1(mF+X_gQ%9IT{GhS!1)7|+XuY+po`f()919n@W)H-aUd0un!63gO(8^W2 zq5?FQ<_E}rMBC|fDkHD6y|%A)(Y7Lg#<4mis~1*u6Mr|PLORquY=JBAB{DBH21;Ff z(N#m!qfMgm$4wQ5EFP!IKKH+^k_(~y*_EUj9-b)1uXmjyw{JICoV!H-Yd$~U_S!FOG=ru7+1|IeraIu;SXVCpc^I*Q-~D8qP|qhS z@jp#r-UGLt-|M%a<-dJ$PAuf%+L+uh@LOZy0_$Fo$~C7xJKkqsZH7@}aJ}(k(;R^i zJg$R4W7OR0X~m44;cqq~@>D5SZ&pnS`J)p?+~?g8t`4v^A*|*vbq4Rq-%@xic7nkS zdqaRPQ_gmKZT8X1N?yH@EbUZP6DAh)dMm*N=K#+=>z(z;D_bkLMs{f^BQHRz#-$<) z*<^7ZF^T|5<4<_{^~M=$E4;=km1}*L<Aq4Lu1G^G2kj{vr}Md%#x4y zJ4ofK!Zi?H!FqGvBh}Kq#e2Hu)%~cQP-j(gSXy%|b})O3!CMbsN85Zg>6t$7z?^rz zHH4rr&Ub{=e09yb-=N7Fwl2Hk;9}EO?ugJng|fvqENA9e^+lgQ^aQQ&{174Qwj-8T zW9p7~;}`$MRFJ`e8`byJcU_hP7sCvGbY*Jx-#;+bJlqR+{8oKKEwSxU!v|8*Gk9DT zH{B!CiB_wt)%1K$#ij&VQJ^}O7mC)tLIWglSj)9ZX#)r|@v=vkT&@E)0_#JW01?m5 z=-xF+cTF>d(qjJbI4R1BA+{%H8>~9S+7(v$i=n4hTs{FM`*ucMCKrR+s^_Yy1ovXf ztlGIr?&fZft?>LXLT!S(&L9EMKDS%2%eN&%%(ym6VFSvb=$kP%8KbX* z?$R&@w>mwiKebSIMN{~@P{1Ecae-s3GeWB288N`vBF!!hGFxiCT79KE+P>YGw zfW#C#>j1a)yWLU!DZ==eaavGEOLF0zG#9>sGtXa$=ho08*@s>bB4A-!Hb**=!v_(5 zFG+kX2_ue>#)poL5uXfw8rP!xk-af&#^K^91YQS6$2ji|dV{}nb@Yb=_4jwx9-k^H z2|>NVdh32+^e^V4vX4GmL3gF%!Go>16GXb0bj<`wSU|obM3hZf)8+O0gR?J2q#R}z zCOF9%s{(T-t#uk`J(DIiJzSsi!Sx1lx;(fGsI(_e;~=jc1r|f`mrPWecYC}pLGhgM zcBpDZYKMIVJN|OLPRGW?%mJ=Erha2}Pd{tPRDATWcw_QT8D=<)D`TSdqAx ziH4fnHOD5__Te610}{9hi(IKy^l32%gbXExe{>fR8bvbxaGk%!`=N*AbKHgatHcm_ zlmg2(N(ikWBdmW1NkEG>GQA0{%k`s<|6|qw2MSrvy3_va`JexATAokLcaMGN_i+-j z{^!d*K0oU9JJ$32^7Yq#7Wa1V*D0uOp7+;wsK`i*&pt z+bEnTi3`^6MsHkUw*)2IcFsmmTD=kMFx`XTx`Vlawcp^*I$Qngl7V?V_wUfQE@a)K;C#8eKdCxP%$-d{K0CZY z?7WZc`gGj$v&Pr?oXJzIGlo$+ap!C-_sQMG@KC<=`?6-mmyqW!dyc(t56cXEBaXe_ ziG{j9U)Ps~{v8a+_xFpWZ_ls0%c_5|^_hFy=lLjX+0XBD%Of^@m2Ln@k}tce04jc2 zD?U>wgGIf@9w>%x#(H$5JlTafJQ09Ka?xop!b5hn3iF#bw#p--GC4s|B(c~O`9#!~ z8h?cA@I|<}I^A2up?;VjBzm*72Nk`IB@VjWD4mN?QwCglgD{H~p#%AMEF^N)Bh)m- zbH6=8db1&N`zfd&IGHY+V;)CTy*eD|oWB@&+Mrf5X!7>WRRx#^7ZmV}r3-;V1BGXw z9`$z`{e(vLwU?PW3SmdtidKG%rauy&b@+P?hX)4`UrJdVjk1NTkk#Yl|tzLDw zxS`!Ju|z>hxqLqBC)d!^wLi!on}g^MsbHZ5B>{sbac`_-$5=k=r1Rjy6b z#AOXpD-8=c;Nyphk6U3H12qj@-!MCTkNMZz;s7xXdWFrj(|S6!Tg z;zyOW@R;+n6wMel!*G2h2+Im*LQsS!^-nOv7*9aL`WPj_|1KL}f}u^&6S0SkHzlJl zTd$plbSCj+dG%0-~B~Iy?2Yh^f*o{52dQII{ zHtxEp#jsCRhP|JvHK7?HtImgG>P%Uz=S$z0;a_pOy`qfZo=Dw6P&-=e53?iXo8aV1 z46J6p*hbN@_bEP4c)0+vc3l6;J@8n`od((iZDy~%E#KjJt|#LJu!fG3&Nb(nE^Kg_ zd}<@MTAA(J4bz{BIX`#dQj4~35Gj|-ZnX54c= zWXrX`8h+6Uqg0&Eoy+2G)m7Pj- za{Vzjy2(l-7@4Zk7Hpw+DPQoSVT89oNkM(}BUmJA$cNFk`Pm*JZiQx@@eR>Oz|XjL z{}*u#W?oBnpl3HLGNk|&a+fK~=g=?P?9rU5v!a7pbrDv@Y>>bAIk)1Zfr}cNT@(}y0@Fn{$d~g5pHSkg-{*cZH7UHDXjP+ ztux7k3T@gdXwByd%nkyI*13zC7tD)y zG-P_BhEOHQxDbZ8a?s2{!x^_Gl@0BWJ~jJW)2&rDDVY(8LjGV5jaj1KE1X0Ljeq!~ zf-j!3f>eY+9H;QmpDOC~j<*twK+JyeW6{%Dhcyq-q)~tTo4lSia29K=B^0n~O*h8v zyc=Df4>33q5g#x-Krs+@m0RE%$d^3e$ogK8*%M;vrUtHfQni>HBZQ+Pe<)& zn`~S)X;JihC@Q8~4;PcPUsS-%CxH^Iv+rs^-3Mz--I+6ZJ301y-OIb3@AVFd8{ieF zYSEr7>SI&2tB7~!0ym=f3NXwM0wtuS>MAuPXAm6NyL3T`TeHHZ`NxqGEb$PX3Oi>B z;W~q4b=}m0HPrvj$d@jH5a=B_1Wubj0w&kRQaI2RRF?&G5KM3%*16b~Ma~Auv0UhY5fYGpDe-RHcL$?2}AK4;$ohXbE?M4N5p5RL%usYwjCI zPD(87&bs_fC(tYw1#M_M}dZ;3;7q-sl>xM z?^oI>ltLnaf%01f|_`JVy_uMkO7irqG;mVmcz~%B{0cE9K zuyQ0IQ0iaF3F&Ubc=-*G@4@dBCj;8OQP@%`6sCs3Jz)M6C%Ub<@bSL zyL*=Ffsv4SYrU3N#|fMU&739;PGp!n08&}?4>=^Z zy$pTFXesIky#;}I<#a0zHFzp!v;98~7P|76FQ^lD70-&RYaSub+^wtBJzkT1ghh0L z5rTtKAmrIk=e=#*W_E`F^BHiDJuNN|cd0{s=T${mV&0wk5qn4)ym@C8{PDm`QxTTv zAoI*8c^20KqSm{V>Z~eKAU*cuhz1Lb-KcU ztel^-BQuN_ftmn~fe)FJut4mIF;S1#Gsp8TIaoDQ6c?9s1BCRJWrTr8-h*S20$%u^c62~G{(vq4~VK&*Wsw`IA53j2K zn?$3Hyd)pA0>HQ0R#L)>5P7I+C@VfYA~>w4>O0CYaPOIx6s?+ysS6F6x=%Rml)qMQ zHQ9-k)^^Hey3aiVi30hjrf(6Bss4pMfLu!ptbPe}>14+}kY9zN5H>a0tV?!R9|+iL z5|tF-!)L}RJ)r7s2O%>t23kC7f&NP2WsSj@aSiANRDh5Jm{)gi#rGftRp4&x!w7>a zF*AD%ae`%EhQdi;->>K{Bxrq`gOu)Y?FSVH5=5gb&G70@esn)C3v-~9Yik+)k|?=W z(n-mPpy?W-@0VD&r}rdXouIq#y14nR7Z|*Gbn&}ky^UR1)MMnu>^ zpzJBettytVrDJs_3Ph_uHlUVLxt)oa7G zkBw_P!bVx7(glBq8_MZ)t~UZIn2jyuB(`vUs>e<$)Y7hy{nu>oSJB1V`Stg6+rKQA z+~;eHqcxo(@+Y<{B>B+0(o8MZ{>VXrq=dD$aWfYa=3bpz0htBmZl#Tk8%pF8nlwaNdi*_~9)HAU4&Hfu+z13s@>FAAAo+8WJWZ z$isg>0;-KJLCs9bMb4*OOCM_&^_N!^4#`+<8PA!GUsZDzq#HPc1c*LCX}8p}1DnH% zZ_a+&cV&UNz_;jS1q^T)JByiI&T+sv4PA(U)x^HRL$H5@ILpDoOr+fAmi;-aruuSx zm8+(>$!THo+V|7!fVYH#8B819oQ4J;lpd5kXb^ronO+N;3m#j20;262?v-&Ca;$3x zIvTGq7$1})6)Q&Rwlgq;p0*FpiAB;~5>{Gy9C`kn7cNHW>d(ZQPjKzSf`zwN`jkD~ zLO%RT;>BdL@K1>~VTbsw@(@I<~Vq@TEk_`2_kDfD3 zokdWiI~yo$%r6%*(TB?G^?6(+hRAzd*Vz6(|8^hr`=t6*4EdGeRaVLnVNnK5?|+WL zUG=7>>yP}G9P?bK$8i!CBy(_)fy(A5{vM}kZN3-9Y3}r7=SX?kGd)|L_b1(x1w-DF zy*3F$7#RHpuU+mC(;2h~(DoX#6mdNHTB~-HhR*zwKYPyEfnHZz&lZ4%2uC)A0|mKU zuOzfeM)Z~5ds8Xbu`SiU4Z?xl@+23{L(3;QP9=b#ZL^#uw=zghbNk@i5+fuvBcGTK zdqPT!YU(^qf%) zNH4AP3IsF<&^wzRq7^uIuuOYwI%JF)vj+fZS_wqe=4SYoDqsRuX#=d!Kv`?J*P~-B z#8&VM%^1K@<;TxYp;;A%ZHi_Df}{>Z{~pmhgfe6aPIkP-`aR+(JR+|pn1 z%wl;KJxjp%fA@>C*LT7}?ckKGI=6L+q$QtVfQ~O?YD345{z;CZ1yP-(Uj#8%z{fGa z=2#A)leo?gAxUCcDkUU9t3fNr8|*><1|2Sop$SbqX8OI*N|mWm-I)&gE^msIEOVw;&Ves?TtrQGt3I#v`Jjm zWyx+DJ>0Cbb>PnlxP8fB?M$Hh3xUw(%EwtMoZ9|)M4r=CU^TQFG&5_SJ2^Yu@1rsO zFlxKAi5=TrPlI^xWT-)C z9UVuiEDbd*g??+g(S5s{!@XXkCGxrBb;Z#19hZ@3Lh1=@W0$?3MkUhoM+3@Lj`@dv z6PgiYSAiF-F&#!7fdvmVuaMOzq)46o)@{VDAw5v+uxg+3uMUIvEnET5C#R-^P_(4L z@86VQfl#C3LZ?IbQ-?3;hXvPGO#rzTE$j3|Blw4@pB(YHJ@e2&j&bp7eW`qMcZ$ABuo8qzU(&w+uMKX>-#u;uCd)qV}+ADWJ zQkgk>t+A4FE|J&Db|Y=f)K#P`jy*39smZZjLfpL0#sR( zDZw%ct4DfV?s8V;XD17k` zwg;6|N&dpyFi!8K?BWf9@i*l0;g3f;###S9w{|(cGFTe~mK%uEX+Iz{4W_#L5>bl$ zYL9RQI=ddt8-Z1&OPcP|4X;6BGb}3VN?U=UGZ^<}MZOIwMhJ7bk~_-auWoX;*aroQ zO8%|215m#W%n#yv=`O+@p#=(Bzc{kJ!$u9Qw*1~gz1axQ&&|Z^lltMxi&AnvsO8{{ zfictBXT<*-hMI42x1afTM@k3}Rn})^`g)lWu&;K_3y+t)LVT}yZ*-yKFLwxO_@m3p zGx)C_quQB(pZl6H@9iMnC$0wc%9*fZp-wmit?8P)zZitUIItGUV6;)R??`O~~|w zWnLL>K3q8WRLu(k=bl3E%G&W(K5!(93$h-oiVOsE?6Ez;*5C?xb17#vhRb%dz~0zm zJlS$Qh0$I$3{;b5N<|Xf-1R=rSnjd54Y`f0+HySJGueIq`cfw2-bIphfD|QeX3>vR zp2w&PWe=5^Bc$2TbwPk*?C-qju2V^!#!eU}hud1>>blXQ;pO(Uqkg;fuN#RBT zBsHoA@4|@2NyGTbhcXF``|HT4QbkNcSL-t3R6ED2q>&>(gH%JY5*0 zYdJQu-vH#nRoj7zzB%tu z=NVEK6321~xio8ybRi?LB})CNfOQX11UQ9zo=fvd+|=nhu_;HOqWHa_)Z7wYoA|s7 z$*`b3!@INGWxFY*of5+C_!%UCVR!#`zn1-Yq=C-+AIjd3vpiYtZ_GG^)!{j!Fgt`| zMN9!T^?kir>C4j_xr1H+grL!TISwJ4?j#im+<7ag&Jv<IkTs z80YrzQZZa)R>QrXK=zpi5u2HTv*+!MVH}$_U-Fej7JEZwib|#y?g5T?tLrZ!u{*R=&U=2H z)JMHmO5F_6-I!JH|AR=PP^TbODvpCHn-OkzL*zLr)fHO>%4GJ#6G`OAX-!{DYpW+? zKEyymSRD|a`FA~?odGvtcNUSyP6CM(p~bv-g9no^K044XCTWCG8C?Ac26$BIFc%wk zY5W~J^)@ki`U0?t?gVF(UjS3_OV45}Ez`B@Ip2Yo72)~6|8TkBkZhWVMvKj0a7rb2 zHVmz>wieTfP_Emu<+l_04Xs6xpsju$2*%-bgs~2Lwu>MltjE~dHUPK8bPzuV^4$uV z!qV!aIgG@mzA3fd_&&K-4%c4w%-RiuAfmNU+Ujf#4-(Q|iX&G4WMwtKy4OM^DS7%Y zpegSwNa*$IS2+9aik{iERiZxmj;uzgga>!VW}eLuX{t#UdSK8{x->)|+6O=~G1Tee zzquE%M*vp5=jR2QBH%=toaRuOAe0~2RJz6S6(c}DFxI5Q%w+@TV#^C}YUGuk-c419 z1ngYtpI`{kz=D||WJNAgkRR?Oym8@s7mC;Gm2$!cw{@j%$@2D!X35Z$Z8s^T)Lr3h zwpe(_YS}8ltPKqaIlCElVn(*+5ug+}dtS@IEl_YMbW}}e1xh@RJOg`hK}36y!8&p( z1C7Nrs*;2&O-{g+OXOL1Q)kP;E>%^Ow}Kk$>n2q-%Qrsuqe=eoty?btrl(K(``c78{YU?=kM23`K}A zhLg97*EeLQdWvZ27`%Waa_cj=FKNd^u-|F6#8tf~b2qLGy>TCoo7X_pTrlayzD>~O z0acwHVs;;N_6at3>Bw#KwC3;AYxIm}2NK;>7ob>Z4%Zks6t{zm{`Ym}7>j1;&dAO= z*TW|}#z)lro+w8vE5GumXJ6A9cEAs3b@dC?8bs>uc`aC-4gNC%NWs_#*c(BVWuY67 z4$!xDg;6j|FpGPLx$Y!%C*k<}qx3MZ9Vf-XMpVHn8>_9+8ElZX^FA&+nfeA1PQ7VaX=#`I^O61JoqU<*&aSZi5tI8q z6LrlnWQONA5TIFsPy88v_(Z~4re@iy z^Dh&NfQ$+ptrp~>S%m)j7$!bU@y$c0Zv$uq4*h-0&5IKW-bdNziHZf~JpA0HW=dHg z8kh0{!St5`Z~2%mL=BjnWWT82VlQXhk%5WOcA@({7VE5tbIq83EjfnlmPHn=XcJkr zVH4nt)~%Z;XEK1F{;^mZZmB-!epa5aoY6yIWyL#{n~X&)BS5!#ezYn=6a=XCQLUU(EvV;oY_df3xN~62(;v`q+8Xl&k2q3YASJ zd11)z1b#q>_g-j>;*mUo#%;6dhd>2Azu*3tA1OV1wn6V-ye)byA2d_*j}+JK!NN4% z>RLKB@cxvdw*8(w=iUZ--j6G6f4v-S`?1}kmLvJXwuEK3kUD|INkwr@2HtR9P z8*^M72g1`zOUU`#^zAChM{48B7eS3I{CAp~nlQ9RIFCNhAOB8vR?87kfXK%^zsN+? zD7q?&qSz0x{&FU(MVH$Bc39C27o<5Zp81k&U$q7;yNqfLokRz7AQtCH%>5Pu@DDvX zx{(r|`|mi1ijn*$oH?=+=d*PAFoEkG=;RT2l-4FDk?yAaiIlq2T^1a4QngKv4-jQ) zv9E=MxD#E6*7s4Rk?tmNYJ}A*B)odztQ|u)3DkY_s2BCIQk5J*VqQqZ(oDjAcB^-O zBlZ-S=~utOl2D`t_}OY5oU zDQ+As-FF|mFSrBn4Fh_7$8_3EXa^V%9Wq?&gd3b(y8H zE|l@rjFhKGAjF!v->{bdsfE!LV2uCszG6aeb1q0<5*^&ZCWLtlMGE=Iy?`M+v4G|c1-AOaKT{!va0G51kwKaEbHlT9@oOi!Xmh0TwEJARx{o1nGGe)J zY3?&jd)Ju!LcC>H^QXGxdeMcXN#3e|=Hgd@S(3>-safv4ZdvS2ret601iCP-T4903 zC@AW-a&2`~h+~OMa?v&iTWAW4-Shx8&Lb=s6U(-IaGy$ewW9z~%>+2LVWbaf#+M zh>-adm9K|hG+4+mqbZmzR}n6W%}HBv6mvvu$wEJ9Lp1WCkh?vD7P>FT)X)6!m!myw zCqj9`28=H?zD=3~dAeIfN0#HfxYxdu`Fzt|u6oV+V9J1}%2K>r36l}b8WiY4oV))v zC$}s1>dYkx0s8Xds=yU0vI_g7>SdRzPWBhkZ9HKWQ0u4Na(Tw0DxWf@$TzE1kBY*S zBcRb%JR&?Zvjk%b2oMgz(@cKopEet`3{{z>uC{cl&-eVTMC*j?kuEzPR(gO67uP_{ z&8+y&f6E8H5uaUc`~1OixVtu7o(!py>rcrq@vf&OTpplzwgK`$q+AI{VBFW{e%}e3 z+7j_ZR({eQ;(3}ZNYaS|(zy!M-(=YBEJHI@+^SL*dfvNcMOI4D_m|nD4>4KmIIhg` zrm20!d925OXpM`Kyu3+@;k*kD2TSaL8Rknstq<8-R5*RoU)K&YvGK_9A3418&DvUA>>J@?DXlDM%swWi17`EW?rLG9 ztGf_Os$Sw|N+MU(YA@>JXEvTepvV=Z$2ZqDMwf! zZ%$FPgJa--+b2+0qPHL_cr$MUE_*`?u1VosH=;r`fclqI&hPw~Xw`oB&B#Js_OC8N zg-E)10p3+sp;?}40m-=iXtULbt5^G4kLCsmV&)P>Icv2j4J3c07wudk0ywPe=p8W_zPUH zc(u_aA)oWsp6ZN166Xl^(YOcfW-bHY3@4(pGfbaYPjOu5$AuXn3cU)1oP)`w@QL?!?f+L7qWfGknPi%fSRRzL2S9a z9YNnh6BM#_{y4^DduT(}M7q>k#dmv~dR_|O_;_^tF~#6?c61))gSysky(6b1nrCw# z*%gklRK9!P;>=OBI=2D78A+H`VQ%s@e5YhY`CNOGCb?&~+j|afcB(1Sv4O<{7C~u- ztgUEdZe!5!Nf#bB9cs|ePfANV=PBSh++KSAaxK?m2{VEL9iZSH<}%{HTb1p6nYqw{*u0&5*XO>QjB3ze8!YQ)v5Z#CYSHDIATrFk4ZehdGu5^LH>EZIc4sI|r6J68pz zH+^f{D&A`@n-6sbo`0xKG)Q?7N}D@ESf9<;l(_U9f@fbv=QmqKIfqz375J%|j3ZAh z=M*-hLH-QeE3N15iP8l5Y6NUDJR`fjUONV)7zKio|JdfQPbL#S6HWPWR`6Dg63F zE`~Q^mB3!_dfKE`2tvWH$|ZHCC$(GwPL|k)xF=8ugN*mp8KqpnY|ld$B2%K0?3Qdf z+jJ8AuKIT=P}-kp&jAAZJg9U~C8l#gDtg_-+twv&fAy9wCWz9zsAT1>95?-E5}#aL zx{@usRpxQmcht4d3A2IkBX__Ycb)Ic>GZb2Or^lq*MpFs$Nl}f!SqIO?!m=b35WO( z-A#_TsPf`QxVu6W%|sg+JxLw66AwRx9R^S?Ht~i0jwr`8V<1qx1*TEejU&Ninr}q` z;!(g4UHf6D(X3aBw-bIwxL819VFfp*G(M>|CePgNmn--wMrNdE7EDbqHN__T4<*@C z*19PRF0pHRIC_ThY^$5rf_~E_QX!7vvdUpdMBnz(j-(vF2GqiJtmv~vCo)!f7O((s zitth6ok-d}|G3Ux`GFW`3$t0_8w!_|4Cvep68=GpzlyC3Cqt0#e;0uxvMr6Cm|cxB z-|Bu#JEs2D)UFls42Y9l$JX_AMTS>rS+W{Jw!gYQ0U6gLc7 z$Zl~%D;y+KZBh;eyQRK{W6)$?XWqpl5H4z)NWwlundv^0{#g#&kfERUT4e*grRpT$ zCmo7+F|xdZ6G{GMS{~FbF-_2em+Pdue^|RI-cZhcZc=+xHF_&O=xQx5BIJYndmJ`E zo>d*pHz+7Iin+Z*+bH1>OOygK#cUXtBW+biBRPJn?u$n2xwK!Qjq#-gKm7=!6UgGF zc~=S>>XDoic3)I&vOGf{5)rFQ{z0^Fd@V4fN{m`dPy-dsAKGX6dx}YR8ip0oiAZXp zKsyIZnErK1hN7l26SSgj%2{8kEdd%64j|tuewvERe_vd zzvq%BM#XdRGDdpdB-Z*-MyQ;$@)ayLW59P1|IZGH!0}^(^mr7B$8VJM96pSInUL+n z35iG0b?kgMUN1>&`ZE6LJ5=mWi4G96?KF0qAQrk#dThs6{OS|d{nbZ0ndkh|<$n3r ze(Zdf=ioL#>@ALd?Uqip6&GLpNqFw&HZxZvdM>H1o?Gtyo^3t`+*b+tQS!%aJB;Fsdr1N#0 z_l~OqCeeT795L!u_V3ztt1c5XEHpYwC$%=*TJ3Gt;bU)8tqr;*BOWJCymCY zJxKNVdi;aE}hzD0%4sr6j1QU({RaIwr4a>b)*V1eaWMl*9*8 z5Q474=swi$%RM@aO)Mcyjw7j<9;5GdC+29MZTKQk?z0e&)e5-rTocmBk4oIqk**QJU!BK8 zahEu=JuLTn%)i>bjE;)1&H8x^xCVnifNE+zER?(n=LUkGZ}Qt{WW}4>~_M<&Bo}&IBKIj;9h3*&e(O%M3SN zF$c#U$R?H$Qd%9S)(fuLJ>6{BJaRLjvxtgKKY_4u4dGcec!UR=-6lYBiwM6M>qVE) z*$@ilLbNZV*d8(r1feu4A33oWYtzmrzq)TCqrtQCCsC3nY)t+vRqFZ)JD}<-sE3Pn z5}*`azx?m_au5rBnD?RWd^5@_peLEK5oqb{(*D9qxf~v6zQ~dwSR2v~GFARe_%aX+ zdm$cw>X8;t2Vb|Z&3G1<3tA$2&)=I_sx~&pWX113yqq6mgq~}-?LobVU1dumi z`u?=b^ZQ!D_WN`qs)MKc`WpXt<$tMnzhPdy3Hf^7FR&-5x2d@l5t+C>bE5A9&GzOx zK9K@9jy*05L}l~-+A;#1>wOR&C;xeOD%r|P3Rm#@;&2X9aj}*ken(Q(UUdNEJyst$ zmaZ!-ZS}1(qtZ$zn>)p=*S2EJta!5U9GCK5pQ3U*WS_k)6sgC;mP0Oy^4~aCuZj2} zms-w$nzv^ikyOmt5W;Z8F)_E0s1rtVA~#g+ihr`^<*!vxX^AfhnB1za0~CGK8IQSB z(dmAzrc@oBUX}6?-W$ibZu&Am*GFhq2{5$ zm*%jY=(O}{2{eF1X7J0LCwzN50dU6Ub`)`_A!-Ren$avJIc6Dku!Ey>IJ+r>7@^Vq z8mtJaCH{zw9rfDWN+p_htX#5UGPviP5|@g88KK;|zrYut8C5FeDz9{fJQ7A)J)!59 zMBM0c#1lPgEPc$x0twrLXt=qp#w}aY+R%c1d;;n)b;v!#E*_h86#EOa^t`TBbDcKg zJ?WTJE@qsK2$Q@#_c)%rZdV@cLR5_e4~0R0Jx2BVINa}TW7RN!*nQqkbALbma~gRrV z0)0+hftjvd8v@`~d!5$?YjzEMc|*20nW7M{YO#bpwOz;E@(+ZJdzD@gYh?1vfw@qC zu4^;VfqPjuDf%^3=Y*ZjRUy5Jpw#wjGq!R)5q(cVT=n5~thPwK8#?7KSH6Q{?!&8R z3?ID~hwbTP+lF<{;AgBZb?o=y_sab0B(1i!Vf=jz6Ao~3J0h>S!(W-9&s9c#kDotC zW9q?pBsCXHDh|5fo|0>`kYzT;-kMW=05DPyi~&$j7+subkEk(u!^maTK+EGpme=+DRs21 zEO|IO+*-w&GZE$5S;J*AQIu4QlSBj18aUz*Bj6!!YpMD+t?d8qUIc>n z56EaG`B!tSUtjFcC}dv6xw&x?rqPW*>&fvZz8@^)`Mez(2z@)1^ZI(o#%)@&9vc}r?If)1tvII_2Jr}$GV(guwGzqq~-Lh@lMwe~dW|wW- zHoDMd+cvsv+vZp1sehcSy)V|<7nwJiV6Yg^uHnAk#^H)lq2wJ}o>+H}CG!@LO2Ymsa-PG%o*^)@nq z1Y_-abLUm|(mbQj+P}{$urs1J!+2GZTR4R+B_=s}0NMa`l@joQCBb?}%tOyKMRqf%3Fl_gT0?+8B$n7!{-H(VoX(A`AYpUDG1s@LhJl>%?p+!0IY_IEe3 z_Trk4;Z~<~MN1!x_>HZ-sx*j4C=?e5)6#w8C@cL*ZVT!?^`DUfyWYZENYx(|&W4c? ztJ%hm6Xe!cA-^T~e*zIs;=xiCzn|^?HK$MK)1)mC+(CXRxD(6_1zA}A^P|qGw}eRH zsq3zX#hj7MYjc`Rb)Yw<>m0#5N^Q5 zvnM}1VT4}n>$530+q>ndI>q$_;S%HM3LW=GMd=)N0MX(?vtP)*x6daMBXbDv*l6Pp zrA5R1(0QxRCMIxb)*!v(0y455W!@M3P&tjo$4kuzli%Sl+zdkDO!YY=PzE=-*WcBf zx7>wAh1*&?vO|k0^CJppT{XwF1(Je9`6`@e>@p%`zUWCAAUUeuiC}3!YX&#%2x-6h&)bPY@V07OOI~? z#DkV{W^s#{&k9bsF87}|+;@tLhK#T0vbY6QQz!caT^_UKAb;|Nt!Ax4t`8`Z?+nr2 zMRQ3$rZFe9N}UoV9E8kLspdc1pD|@M=UsPvZ`yj2p9CWpEpE+6n_y(ebD^py^w+M+ z8}=4ElZ@rCXStUtE>NIu`z{{I@T^agp9O$xaY|?xTXWTPP#3HbRaZd9w0s7Z z3&|KQ9&#Exp-F#z0|n&1M|Gdl=fKTr%0!LumD|;E0D-naboUJqS8kVk1sAo!x$M=7 zFU{@xB4&3vp!u}1$6!9$dUPUmy1R58F z!It(f!FS%Mp=5d3nCdlVHL4}DrgIt+A>#b&fwkcZRZKQpaY0-#4SW3m)@f7$xd{GGs|T#_jy5Dk~|(H2JyxU zFSQAvE+)%$or>sd@HEk`?2-`Ol?vF3kv5{Z4hg-L>~lOWYlfhB=yT4;-*FPLqcvG8 zdP}g@?#C*nDM>6$G~fAfsc06c<}Hpr&zp?jreWni&*zw52uOa9TUiR*KHq?gzkYsz z&kJ*oFyINP=GAe@@ig|mBpK`rS4`Mi_I_^&=S3lgEy>??hoIwCCg1AM@QmD6jw<^l zTw~q|kLCX2)JN~iZ)JscuYDRM+A|l&&LoB2J^AO3P-aqlt1kA^i{NK%Nyjv@dPW6< z^uJd^e*fqTm({~(?ZvCk(lyf>_9*Fw!rOS0Vu*aJP!I%M7RXg(Kxp-n1|f<6(B%RO6BqMf?Uf!MM&`3M30`OvJq zDWqEsQkUnzKxahF?TpS*(&;PD4Bj(*dyY*O-rCVCYCs~Giy}$G9}MtKdR^;KYTQv@ zpxW8DNVUirx0q!dGf{)qJ_8}7!A+brlHQmb_ZBieZ_Vq4@Z?&-6e%bPDSwdn)2^Vz zpV6!y)LA$U=DAcmr%w(R^+&5@G#fVLGiniJ&#Yv&>_ivuItCetE=3tjQ4WV_4gDr~ zQy_lD_TZMnqUsIK#EGeto2a~pa9?xCr5bAqTj8jjvYiXN^6>v=okbaAX*Bz-KUj5B z=AbXUShw9)k1A@Tc;69 zgffsiVmJ4jb*0Gx&HA2){yxDD-&Zo2>4U2I7z?q1cmsN@%__6v0ZBIyj` zIl;?S+~IL6Bm3pJKE0Lc#D)MYyDk=ZwuMI z4LUg0P-lxtJj^uR8e2w@CVt)tPN9|IPbqE)xUASfGjo)Rgxdw!Tr~=nEr%i zRl&?tkE>GGmia=w3m)NA^DiqPZ1gt|nq|!1>tAi)?i6)!1#-bX0qI+#wG%(^k(fj8cpq(LsMxnM(C^@M^_;JHnm+mYIq9to zVUa~rG?%4y(;ini2~`ukg4UI)rZd-T?>#SX!7LN@6dqUcKPB`&*lOS7{n#TQrIt#3 z?q%+_gniG@NK2R?Ut%s3-r--*}GhVq z2H!h3;)*9<>E6d2z3HWWMp(hI{k1jvYxbkRX{xmadeI|KJX~97} zl4JTT^O53oy}2x&NHkK(EzNXy*OjAELYH59%1dmjz$G{@LQ3UJz=@xi-l-z|53mX*#faJx!M2Fo-MK-p{umFAqabdM9Kwm_)A=8cdCb?6p@U78T`kJ6a z-y;0?BO(rc-1FGhU_dl?;MOY^n`_1Cy!qDNgY*MVX>#iyci&ea_bw4Fz%$-R39Z>K z_xy=TcAgZsv%#^mod%b6+Lv&O8-D4m%X5!Vq1qBcnfcbK8|Qc16Y(a!oH#2cj(Eod z$}bzQhMP{iQ7C1J3RYtM@a~%g7Y5-y^g9AN9ApXY)fh5HNSJwK^>aZL9>$4t@ z9RTTH;2>~5=~UJ0^1Qo_zlY!B$H(y_pz;UeeSUTXI7uh`eg$ki|NZ;*c{g~{db2Iy z`~95${O$F2zgOC-V6g4`F;iNq;m=dUyRg17W*LblpEfCBuUbp?Zv$SfB!? z;&L%`Y}b8rJP}X5$FDr{H|#0LIcCjvf49MVd02+~1Wn(3Wv{KIlS`#xpm9=5Idurq z{QP=)LOG&dwx@QN#hQV?ZIlLI%?%^nsM(rPu5zZD7H7PDQuA@w^-VfIS9BESicL1? zbf3iSjVcPEh71jT%Wk`iWf_ob=DVcLaLybzoCZ!`FJ}K0pj^V{ac-GSaz)pK`nOz@ z6TF4RZ}@$G_k5{6$&qV0qQ^avJFQ09gDRvLHXK8+^h4>N z{)BZP)k1Osnh3SYTW)FW!OJzp)9cKR&wKic{q_fN{r&yjR(g7E8_05zUJJ#;IY~KP zED+;h*J@sS9%OQn8sTpZE61e96@c*PqW5kV=UDyJ{l9pjO4Q#GXBX1?VUS1%N%^xc zFqaz8uDTp`W8#>9YhD7Hga2?%JG+=TVQ6VH{e#OeOR?nDa1T_Wa0Wi<25#384(PED zpRz%W9$cU*y_a=0J_IRWSQ<-K+3#V>WDB$wokn{%-u+V}<~F@~T&H<8!iMldZCzZ| zr|;Pu9-pcyM4eN{0?{mr-sa^v39NHJuw-54;=+Y8B5`C$3(I-d1c|Fwxn%53hGXc< zf!kaxjZz;}lFGNhiMiD_V8eQ%!aD`7X+9RDy&;SLHHNQE0tKUND#1ugio07Xn#qBu zoxOWbzZr6Bq-+dPU8vC9b>6f4&I605lMIv7Fgsf=dV()qQr@92Cj)+!;k+bM!mf0o z5p6Pk7J30X7lM{>y%}JwK>2}Qu?j(&{jX&rQ;EgsxN&;uX;tNu8(fuI*iLBPPodBh z_bdpTj#5)ZsPPoh-}m$Q_3>ulnNZ;K{vdqEqle$;?Ex_Hz7r5v1>^rI5Wu<)Lw5EH zb1Oas!4#!-n9l$i<+G!(#3W8{mv0D-*I)M3!rJVIMC1LJp2a4ogEaJjWQ)TtZ?wkVHff8sw6nO1C!1l0;}0=koa39njL{ zx=y8jilQm_(g=ad@s*HbtnLI@9E(0ZFRiR*tUBUZv~=?vL{nHft5;wV{1H#thzKi$ z)w;T+$mZ`TTqeB-wQ*_{(=Xb1GqsFnb2;k z%Ic=|6OKj_Z7C;oPM=-?-}D1-RhUsDfqJETrQe2sZ?=CIp2Fus`ruh}Z%%K|L+ioO zNwb0PHH_s5HSKZCJ{eha1!@^Jc4l1S6iHyT%zCF}@lQ-bYPrndG8Vi+!6_6C!83=s z{8eIVds-FN1+amR_6X!tuCPjm<>||AF&p32Wfr+bSeIY`Cy}VH@z$jp9DGM4T*-Rm zD<)tV-PmhAkR#?X6&tgl8Zc%968(*?XjS!OGsh;Oawrf5HzLFW303YEq5h{JKu~Or zv6Y-XW9p+;*r@ifWMj-zDaLYQeBKNk4-=e2>~^3XnugC`+dk~kUoHjk_;%&+M(MvT zNAI6j44z6?k*8#9CP+cCFWPoE^Gt6s6)5qvENt^y*({dkW@a@}f?`1l^-SONLE3YK zCwRx)aBzmu|Lg2?XGd@OZR2Rc&-eB1=>Fkt<7(pb_0H?{=_BlWus4nGDD6XWM>>UB zlP-cvYiyHkCiVS6QZLGlHgM$heUi=2E>^^ylx43iC2dMNhL;LJLC_v&r{zpXhr6~d z>U~FQ)Q)bVjq2$DUoq9%Cu8w+8@kv}Io--$w$-GAFsh2WyDu7lSw8nd~-5 zM{!C%5i!t2Sv91uo8zSMLR<*7SYbrxC1Pt-RWrw)S|d{~^IaAcdZ87hA=1=~ni(iR z&BZrh;@9NfC$f#?w#>$uxaGQ%*{0=4pU^2Ek1*rwy)#q7P@|Pkk}u5<$0`VoOU|Nc8$0JU^x$m1?@fuFMfX)}F^4&w7;? zOvWMX*_C2Me^pkLC3Ve3UJLAeu+B`GpN)OQTrNrIc~!8?p422$y3bM}+)yVu1Q#&g zM4dn6GZceQL%LVW={m@$!6Jq$ys;Qon|`!{dLRDW`vDka{C>H`zb~Eex9{Cy_`9S3 zw}*e5@$dM?Bj9R-m7sgG=G)WT*Yox6?P%iS0PyJN{TBTlzw=7SSuI09Oy8&qBNpj6 ziPL=~iiILU&kA5xPl5a#zeL$XNrX-*O(n0?sNjZV#a_M5W-|VrmsU2T%N>D**5r~* zUyXX3e?k+y3Rz+ipox(Yuja&D*i10C%8bry1&f>3 zlO09{1A$(moSW7OM4&hObcK7L-rh}y`0vi{?$2*ViydHXGUuT~`s7>u8ZGm#7ue7*-nNZUoU^$|~5%bJh~4;(32*TEHq* zZ#CP9!cdK&{;_L=5W5O?5kHg?f0wWz{_T_F6Sm*)slLP4QA^thC z9)o+4{4IHqHLAKL{l=bacjs+#4KS8EVVVG9YO8U;yizW6njn@D{KD@z%y^39X)C7R zyB6VH^X%5TXyfOD2Lbs1FQ819l8K}A5C6mVzZ;k{|3_k2Y|54u83&U1%{%oQbdX%O z-pL4&_R^MED$HlT5FN2BUO1cw--rp%<;KYcwusw}lL<$mUHLhWtINv4jmCQV>Lv~T;R=!P@p5hWkMGmjhv?5NOfrnbT9cj6UQJ4&+{aTw^1SK^i2LDLE z+fqi&T0^2i+=%2#>|)+g(pkUiEv3oQb?Yq%kmW|PkWWUQ(~V^A#y6IYqT#6D9zNOK zwoB#4V%cR|Ne38c)|gx5YnG3UI_}pn$VVuL;nT>idq(-q&E{)_fF+9pXdXDm?C=7DU7ruo0 zDl{vkcgM78`?K)p*YoP*{CW0`MElLl+Z8t>(dCAB6Cb}JAzEZ#hpFtP8+zirVr?wHQ}hz4XSaH{#0g1oZk>-aZQkfz^%?L@h_EfF~knc0sUpLes|vUDMxbdp6cW zVwgHBXstnfG}+k4dZxD74#2zIb;Ijg>U8 z)}0PwAsF}LS=HdW)#UR04I_cv$dBSW*=U$C-PCqL%6uPU1q1EBhQwU4zQF(I$f|=y z6GHiCc--;dAwA6hkxu5ipk%+v{sZZ8_m6r96X}5cb6E^zB-KQuohKO-ri3PzpdV;> z#vo~j`*byNVKVnRTt}{Stl;mz`U;qu+Pb>?`k85Hbq^m6Pj4+{3{PJH96da&>=p9z zD>=AaWlhKl(c*z-B&76@*8-|;5Gb|6V)|dv zx~+-!qBF5%aiqKz4D{sFFP?OHx*qDl$<7)blVtk#o1r!IN#N#VSB9oi{3Nwy(^d7H zA)x523VR;m5l>=eQSh@}gpLxeVMlAH9JGr?RQG4QRIHFba(#vRd^W3ot- z3Z+)dw_A~m=Yy%wtGjDV?Z=IYv&X&rTLELC1##oTd68gNpNNs@4z%Ta42Jh2+_zNYYDrT++ z3R!6zGtP>EDov`WS9X(>5XU9!zJfv(LApK40#BV`K4Ptgp}IDOttVPTB1j_S%A?91 zD1o)&nmtH_m_^hwaSdPrC)46lyBeeQR{&w_O`Ll#NX|^We~&NUUq%6y8Uk`4x*ldI zTn;S9QAtBxqjobl_<(7=_dFc7KCFn0Az*uQ9+ zx!iw5lZ7VCSp_qp3GRGG`3TJ|y8()kVmhMToot1ZG|LO;Jb>ch}ks?ZdlfUj*?x2Dl`d9b(6C=8{hVWv0Q#K1{5d8yga-c->w{uIlbO}xOl!jnf6Z%oBO@A zclLDXUAAy!bzaq0c80$*E=Y%s_7#`OglvKdNeSL7Mqw)n6PHT=>UxS&tcSy5h^7>_ zK8+I{T+C_8D1vu|!>LyvZ*W8Kx#WZ8!EA=i1f}6RJ~f654q>vE9x`Ne-j_0kPwzF`YlchQub{!jnN-(1&ns5Dn;cV?4;C>>}G-cP>w)xri_I14RbnVvo(%s&^_LFJo zzlYAhZ8I(w5_S;aDp!Yk`QOVx9qq1&J^N(>`Wbo7Bm`8vk1(B0V$UZPKw6fz6-?+J z1{hn-dwZTu0JMPK512J{^3RKK5aL310Ih@o-^%i#rlgalkZt5*3}YF*IjiU8U_=xoR@p9|k|jJW-u((I3bQAT>>-7c zBTIC2+$vtV6D8_p+C+(#1X+SIxPz^&F>sR;$g~)EgfbqN;smOy_w+sNws|BSY2^*t zdxf;zJ96jmD(wjJFl8IcLr}3~G=k+5!%GwPcAI3#a)*^Avs-w}-LVNmC2 z!$>%F%MJ2OXj^Z)KmVISxL_X2xA)V?#r`|$gZV!~zPjh<{vU(zhnERJdk1UK>D07` z38oywW--qg3!%=BsL~u4-Wp$zinn*GmJwn^8_og~&Y1lE&5+flQ)*C^jlpm-G5qM$*x9{7$8W>Y+4;`6@p*94ItXX>XR@kZoCVo3s8Cy0 zMFj>)HWc05a%v&MBqUFzrtknP@h$YnvNoY8EL$1^vyCJ_#9~tz6{H$LR5iIdYN;SJ z>6ZlKbf}7~1v@mg@g8x#Fk0xE5{$(DP`x<037Gk!FiV_++R%N*mP)fHilBR%ILWE+ z9q%FZFWSR(8FJE~ZMeCjklaR@f4Nj3OZ*Zmp;O zt*U+kE{iQ^;mWl3vy;ncBdFT)LGf#-fwT@)f0L|ZqU6%1EEBDT?oF{ASiF?FZIhA&BJXwuPKts_ou zI=s0EN%0f;G)_qLalQUrOtbH-+_LNKUB430jhz_1n{ZlfS(pJF?J*Jf^X4dQwDIk1 z3Fu!>kJ{q;=Mdz!)QTKR2VmgyppOCbDWps!CBl;cy2|!!@MeYbxp-=9oz#G!Y>ia= z^>GU(>78h;fJS~>NfA-NPvLs!aE@42IOl;>$(Bn3&5}@SJOx2VtO5*Sc8zbA$SjS| zVf4+KmRKD#vz$?ijv17z)otgfW%TG_>M1l9Dh^Bg8_>!-)LU6<&~#N>ikrvPW9c8P z2gIk}i1)01Y%WraULMj9roN8fQce7Nckf@fJp4U8c=4yd@t0|gBE*bSj={PoFeD3L z14FqWoX$N^*rtjfufOu^Wg~V~bYY36>eKa^;FZ(ByD~A^XAB#9fH$@_ub*1qFIsoU ze)Gi5i~?Toy}LSX!WW+XH5GZ)9c8zupbkMx>7t)w>@^pU--5_7t%^0M>mYBjJC`;& zPP1I;7E^*jPx=+DB%z%~_s<7dWr@>l&bd^t$yiJri*cFKF^`DMq*HuO-+^Sm*laH; zFlwu;gJ;po8#Ra1wVF!l&(=egBw`OKR!W_Tt=C;3u>WYJY#I#yL6U(-GgvrASsucg zv^+m{AhcSHO_OxQ`rm;`7kK$l_@{!o{vRsXe`FA;&2Rm`-tqs3qk^-~3(0t?+#2g( zB*peBG8M*DO%TzMlm4tPZYWsttkxhLYqoqWeA^w)R8}rob@yoN_4fWjz1}_oSbn9r zPdL4}@#eS#zAhH->Lw=u2l0PPM-Tk8jt#;A3r+&frf`33r0-jdr-DOQA(+CXK@fYw z=%pnoJWT6`(MLsNm84lg$ySA0haA#4p>9t9tRUjV{+@obc;B zE2fUqm_uy(1+zX{!UC%`Ksp0Q=t`1*qXc5%h}3Llu+QJ}$+U)XF>$oW>)Kp)+Gmo; z|E_FisGG>lo}puNa@Fr(TySx})85*wS#G_^^6x&kT$`DBKe&7F_T#1R92_(#QWhFI zzoPHhEr(B}VFw1egGE{F&+-$xpRF$5rBPBMv)T%#T?WmJq)TE1Cw8S3=aqVjQVp{+ zi^C)<%o>(B<2ojQ85#(oPYVm`?_fuxwv!5<>makRmrSyOiVDFbqmHAoK_8=1c8~cz z7}+7meNZ5oYMUYz2yb76wt_;VTvesbZE8Rg#!>e8xD>wRFHIQV8Gd8%bOHcWPV-(< zmQ|CoNlFzKRcAtV$g>!OT-cg7o~4_kMpL1@oiRVhOGTqtMX=^Vqu9mSkaV58Pz?(!WNSJq zHvU$G>tOKV;##Y=Xs|J*MQuufQ>PK1dDc2)A%lEDDG>OdIo$gDj{oyPyJ7d<_pOy5zl0?zl*Q;8A-mkN(zxuruQr&OqKYLQ1E-D$nR~l%4tJb)RvI);{ z<*Z-UmlCMO-ScIQF30PqMky7uS`k(}df^(q9LvsozI3=WzvJkh%axNp?!T?8(RRYS zsY$@mpkLqRf6oL&99yQlX&s{l5efSUQ*lgz_>_t)T#b3R+ZqO ziBT?anmhZKb7ps;xzA+hK0QtVFE+CDVBXds&6|Jd9QxU5PVLdKj zf_8#YjfZ%kZAX1lTu{YUAJpYQv1=}guO;pWg>nj4ri z)q>5THHAhyqFrf>W839#R=vw=`=!=nUo#O2#P%xsCPnM%`evrd`9d>hy_iD#VmJ2o zxT>eVT8+QnmGqkJ!0i1KBiC&BG^c4#jrY$k?A6AaZAx|oq`URDn*(O=l2U44D8~AF zlcb30a=5ODV-%!_7fy|IO#pNF{^2}~!SzSS5DX621}J$zi1lPuM8#mvrd$l)pFBGM zbDO*I^%|3%LbFk6fwh{pY^jzVz-^6Pq|QEf`LmLm^_zot(@0%(MfslGq6Cz>hMx1n z`d>GXD_@%nV;O|8ob0%NzP8ghbPf9ts&-}8SJ|yw&S~OyHN%CSq~|pxPo52>r0ewD zovMR4&3NiZP4b&Pt%0M9-jO`5{o$0(bx70JwoO~3Ek{0fx+NHFHq$j1t7*F(ynGvK zaf#J)o;UhgBje501bYw5MG3)2%QGTbDauc5l?#*@bR(d&&l|>VCR42Cr|oz7DlL{9 z^O8E7tzI<*ItL|BTkfMGSbPO7*3Ci}yDo6s-2A`OYrH&^B);9UW9L&;-i9_X(ou~aP5$~(q+VUv7kYTL({1evbg3v z?(8&|>IYft<@a@OTl;9ZQZ+3C1y6sjLlw zuGH*p0TRCk>v})3HHgvb+s?aqlsSFsm8Rt<#%+aOjYk-2C>mz6$)+ObqWj@T(df4u zDSSOzQ;D{*A73MbS77S|~}Cw)UrLmdQT+Lz`g6Q*0EY433} z2Mbll88Nn0K2rF{n-|9pnbs9KYh=erpb;B6LpO<){`Fg8&X6q4+gEGIb zeXwLFOml`|HCG(JO5m0UcI$lfnRdhc7dOQm(E>dc^1CO0WrnJ(DAJ=pz})XP4{TAR))PsSy>hfr`@6 zWgQX4!w=-KZR4@bUL)nV9tK7LiHgQ)23iOa8ezM-uHWP-A0?lU7%|zHFzCYvMg%xo z#eBJNKF12+X04-&Qtc^$AfLkpBi_|DrFB;#1OStc)F2pKmCY(HwYxBNFDHwwejdA0 z^Ns$2CoC-hX-tk{&pG&&?H26WJX|>x`>41f8Gn5oZSVgLhy0#(vzPn*alKSqyVLub zzCy@_`;x6x+`x95P}C+w`A}{c(GefFak~GW(%4QFw^h!Zq`9@GcIvjY9FCVh5|3?U z*)xourBJ>ua)X6m`qwpb%t@A!TN`*xA8i}TT3T4GX+sCm%W$CZl7TuNk!vrBEk)aQ zG3b}*S0((3D16W9;O_2W{=TK|vQ)O<0a>=AK=g8@L#>LB8kf-{hFxes=&S?3 zBqH2vOaODZ?gW2!Y1^Ory>CMUBWQ5&zRX}j8Y+}rhoZz!q^$b&dSryJ1(?okG>Jsp z5Wi&-v~>qy6_YzJYHS?_Cdsacv=_61rYOaeeaBz~%xc%|S+w6>nm46@Or$aNrsiJP*#bgWtgROahDbN@PGN#KsMl?a2yMF)G*0#F z6f7jAL_ZIUMK)3jmAXP+z*Etv!=xf=%BpmqwLr|Pw_KKU87>jipi8gYoxqVCV)A^# zwXi9mU2eM49UQ=}8yGhRrM1AkXhh7i_CY~3#vnSFgrJ78$dh2E*o~|uqe@{EaT(Un za|(cXklK?EQv8t#SBmX7rsc9oOt5iC`5q8A zjGU%P(2pBI#)Qwb6#N%l`Fii(`wgQJZ1VO~gI`~#6n@TUpE2qmAJ^gjKZ-5JT7O@_ zdHi|lv%lZ7zX9M|aoK&R_x5{X0UvYJNMvfOb z3h}RJkP`w9`a>K_+>-VK(E}JpLFdWp0Hz2k44Q0k2<(IuMqzX_Edg%wr7&;46^1?7 z1P;ao8{R6rYNT)9trmLXwJT<~wlH|rD~to-?h<`N6!R^gN!3)vD=Qf1Apwc0yB1 z;|#e+-c*#CJ(?48KrgVu<*cVXkgy7fpa*fx+HbV*Rc!549>?wj1cwN^4R+pw1&uke+qCC^&&Dbe!hUKU$?3V5Omhkhp$P+V9%it2)*_{RLRH<{Ie2 z7E9eZcnp6p;*3fK_~?!X+Nu_heCIHZAkK7D6RpAyl@*pk-1pcHjQkn``*`5G zLQp-{a$4PCI;z4SL9!RIcVW%dFV7UGx}pYqk&p7|6ClhxVf`{%{eSX|U}=$cw=BPdhX$oRgB*haQ!n=1k+?@S%{&Tw9)t`88kc9L zk8fPq>mV@`N(iKox5A*y^g0W6g+PPOft*U~k10MLhh*jBplg5$E)L^f{8ueUX>FTN zieXDD)bdngR{{->+5-pngW^t(m!kgM#duN@_u}i#- zI|=-JQ2m_>+@0 zLL+uDp;*OpDhEL^5PK#}S4(^!>mv zFbk@kfH`(;9Z<6o1}x~Sm7L&%+wJ5VW8m@Kw}kyua6LAjVSlm#6+?oA?1Z^w`om47 zOkf??4!TvI#XQqxP1-^k(4f5TyhL4VJ5wme=esP0->m%hy9UjHFV|hA|ERSR^l2>w z*6brBR#`dXW+Jx&pSC@R5dQ!twWzlXIWWp-7aeKeio65|3Fun|I6PzMA$X&qpCyv3 zeKGWvA~8CNUxhxZI8td28Y$u(rHuh8VD-|I=v9wYuR%9GsU@wyIAg9$#f2ji^9<68)7!`Y=mLa7k)mS++2UOqTR6Lc4Oumcu zZ&5G8XKjU48sVVl(V2b;P{kES?qdz;bRGUp;+m#S4qR+;A7%zQZjvuEaDjW7ZW+bT z2V2Hf!mCGk$m~Fq;8J4A_y>RC-Ax_0{h&f&lBvmPL<2on-cmU#3qhzqv&W}taM1_8 z``F0r0MZFDq`#LWo=3p)tA6_mcce>Ucus^LLKE>D5 z8UgF%e;V8fvHVQb6;D{Zq0WRV8$JOXF0`4v1s)ZUb6jTt1P2YftWah!YcwN&{A}=< zWq2pB^Z7=wbay_w(!1-T-0z>MGj04dh>Qid2Pv<3f0gj= zkY!{U-MFEQh-X^|ja02Jr1Y(*=xat|KWTlGAOmMsx%l65V)(dU zv)Qzh*$ZLb6|n+Q*&=S*@La#!9rKKi?iv#XA@{#^jnMJr*;7So+MDxv#NBe`>ea-_ zASQ;`_M~t9xhl08V9e?avq{Jo^)#fm&>tFDWh_fhvZMP2iSL6XZJqP?K>k=|t2+uO z=)H`Ry8UbMgW=)7IoWCaTlh@wjlugA+xb%t0z2%u!Q)AVz^0xJnYUQC60O5UZ`)+x z!C9bKA|R5hALMY7LX-RL&!>ezs;1q}_)-JE+-x>UyeMAG*EB!dlJ*e{;8vhcSnA2_ z=u%SX1zFhf&6PKUVxUh3?Y*UxTHp#5q>WGSo@?D+{G>&na*#`9Pdh8|MkZ zYWu|EA0E7h(5d!l5&Rhx=V$p(2$=IDcnJJ3*V<^Te@w`HgHZ_a=W}v&f+n_zYBNvxGkY7aEQqyWY}IJK^+apR2(N4oIo*tmWCx%B4oQiIWB zBD*71*h$DLszcc(2Th+OK+b(+pr9-G8ok*pz$9hW_iKA%`5@Z&Wl8~$JE7$1At@Q! z)HzqxHff870j3EydsCXszk*3=Cmlk|jg72A>LKsgsoqUnP7_bta8qB#$k3^?YvcaO zC(Ghg&x&(XElODgZxEtIb59Df%Di1nh$84PAgZ0UiZYMakS4H^~7?l$J9)mjPo0>;Ic`=)BAF&*xV6rebT)==X z$|cjJlbiV6=hk0Q?F&U{@M(K{=Lt*L>TtMtTNOqwc=YVM7LwZ+By5gOCgL^{z}@>}{|gij`0K&&J4eioGvLDcYV9X>bP_v=_oI z2QdKofFwf6r3Yy+uegna+;I4$R@btGN3o-oh2}E^tG>no5g6`Z-?(D*AH&crkYlKN zuV_r*u{BK9ak(JeHyOWMFj_khC|^^9|A$KjX;viGJE^hZ8(Xtlw`dHIJ~offJ!k&X z2svm&`b!&<$!J|*735F=CohpW+E7NIczh#%65FnK^sxxwH3kbQO4%F^@($R6%$YcB zaal_Md2o9kzu)Yrs>RnCHX`tZ-kNK5X*X#nY``AlRHkjb zEGhyK33R%26D($gks8P(Gh&ZvH4-a77u|bQ2E7YL-{8DUvsVp`otc4qkQ3`ig= z%74>ak>PgF4z+`J38kKHBXUPADIc8Sf=TZQI!QOB|GYpoiE33DiahVWjZ=qj!XfF9 zn7G(WV5K2ti85m^Ln?fvA7Pdc{`>-7IIjhXM?k${`?lDF`r<|K$(&xi1(Jk1G#pkx zKc316DJ@Jyljj<#F}yUdOGln7_`=s@OjaEwY@k*$O2#USbL*qJsUZYaR?ST)tN-G7JIY((H@cc(kpdngKHle+zo)PGkU&AZWY6|+Z_C^ESO^RrlGHw=ETI%3cOy3+I?(iQO z%RMaRxa~ltNQrg7n6d+yz*Lk2yesO^c_R3dw2^sLzcX_JW#tP*`|Zz{Qy$NE$;bm2m#J6tGx-CiGR*BvVHtA*vsCG1B zACh{WOPKqvU^9J^nT-_jm$|5_xNJb#hgBS(i^}kwpr&!ZzHt8{MtktO`G@gn`>HC8 zKNpz*C3<#0uiC+`4<}@CM#h8ayy?29;L66B);J0+e(1$tMQ)!H8cLlCZMYM8smYd5O95m54f_HbOStd~H_RBZv{ zSZ2Ay_esM{(aDN5*J!(aWL)OT6;bX;V#J<$>A>*vob&qm<*$uSjI9rqn8j^>IUWU^ zRqhNL=`f2Dm>w7LfJi^+5q^a$C=*&6LZei2n2aikm%kyiocU~3Sdc`PW1rnw@Cra( zoR=w!INnw!rDj7TQdZ;6{BG9;>9D+JQ)fNsAHSNr59gh5((<&8hcTt8!Kh|Z_O?Xe zm=i@IP)sgvpDesry@Q}!k|?x;jyEGu?YLheBUeAC-b428_d!H|LMh^R_pGUBX}l!4 zVU=E*M$i&WJK{zqxUS*aj8In9CVD_5SD$c>O_gYl(@B9Tz5tV3<(K@|&7dKrXO|oz zfyWqy`{7h;cME*6zo0aTlwlO+OJ&Dd%;26E4Ie+0lm@7n5NpzhjwjdQv*L^ndWMri zROOf>vl<%TGanelmGaYy46UpKbaww}L`vAge@Rgpv=!o?l;deq48vm)AHyx2mll~!*8qQOZ8}WvL-5Vt zLWB*BziKBJou%Xs!=LGIB60t%GP^59<8TP|ptU=7a6-&aVx{L5j>3%+?QyRqh{9bo zaRerpL}!5oakbsx~(5g;JpeagK-#M>}H;8L3)nO&J}~B&D(MCd=@%7 z-K+Rcb+fOZoqzCqkq%E*c{7hKdo6qO4}*9VE}2Mdu`@;@Cbl+2H*H^VN_oUM5+8}B z^Z!TKHAD#xq~W$PZQItgZQHhO+qS!>ZQHhO+qSo_d)d9@kXJ92y!ul~)u+FOr#8)6 zT~>Rq*EOlwIW0Gd8>YANQ%grdHu*?4!FF<=+E9BL@lGZ$4S%XVv86UL3w182gCg~f zT8L;~$bPC+tJiLofinOB@HS=pnW?KWF>v_qVx~RqV*@oLhn6N2R#Um zs6(s>C+tA&Et-F}hk6oZ^`P`Wr3Tx58xvp$EUb|(+0#Mov8e)AmeHRwOxRCV{gGkB zG=H|c&HinqDiWyaNgkB=jBB|0Tqe+ZFHexw1-19MG~j>#+5)vV%u`5oLOmBLuBuy9 zYKz*x7Anvx2(?Gw%cV!Fkp6mu+}|`J(8>e7H~f3qtwK=&M91?sH3M&AS*UGdX9=!K zF@mggxjQWg)&9u-pBr4i{?Gg8oSo16>k`$U*NIGD_m7JlouAK*kvrIH1dJ6046J7~ z&dRl0yfRqJ@u9q^gTGX-#f5%dI$PoPDxS*>0vkn0_?M9}D(wy&erpWAoV5e(&$t2F zu-i|AGmpDLr#AEpwcz?d3JyTmrr1lp-(LY{!)5!ohqL|BecnHHx~X`- zAXTdw=M1T|%d7@lILD+9E$Abv9lW48Y)ptd_#ic|?D#kEv~l#Y)=(acKGi)MWTPmk z%xT_*F?5-%I=e$B+MgS)bH?F2=W(oePlnvB$iG2JFUlOk zh3wwe@|YJ^+Xl2nCJh0M(R9t_p-z^Ch&Y2wXkNnF0CK_j0;I29#ne}(Ct}TPXItnz zwyHNFjn&#?QR?C(**tsmC~8S6kw)+GGV4%kY%1QQOcptMbrStBZAA7+UDZ$GYFc^- zIsHm}V6hc9$x5hn1ZFVzhmMGT(A3W+eklV|`gsp;^$AeWJ@Y&B&MZ`Aes>hq>K zipw^!$x0d)tc|BAWrdgwMHPEB+>p@XCT=hnD&j?GJ_^105_bv75|pr2Co(ydWs_&W zhvHiLgtIq3RcatqJtVN|{p#zp8*9ac@9v-~6>-q& zR&{ZdN%Awbg65;Py&ByvtdFG*2CA~h<}K&o7zkMUt5xNVdk#<5`o%cO4|t<;$)eZauSHt{DF3;_KsZ$|P-=A)SdUsGlzon^aG%u8*L!?K85-7V}5>&`>! zB5@8(h2II2hwRnF)Ax;Q9iWnPh3=brb@r<$$F7ou$!Boxb|K5Q*{jfv(2`MU}XDFSJo6mEgHQO2p zU({*_d9nDhN#RS5Lsjk~8;!Iifr#`vuX&4CMnEhH7c27dFA#==G2IPY)hbH4AN=Lm zA~@}Z!Gv#)3yn%imr4B*{;QjVD9Ep1y`7me%pL!QUz7E|r- zI$mynMGojMe)hC{C409VhNXBWcD@p`YYg_NY`gN9z%-tT5npmK;3ZQy6)il?<025Y zQeEe~_N(R||5J!g7jM9|yg8nq>N$1w&BZ-^O!+_7^P!5P&W-lV=MAx)V31lcirhr`Q_h3|V zS``kVBj1GSerA)pDXVeIGx$Ze6Ko7htw-ER4utuw7pBCn@c$%vvzI=^2Z{@P-m=6imp|4^hyv3(Z*~fl#XhV^1>^ z<3TNU0zi}xrHV2TaUX6Qp5qQ?*9akDo@^{Nr@dKTA2=B!`S#FP@yy%px|Vqc=-Xkq z3yTRQ=cr}ELkE8jGTCxx)5|M@U^kYPr{(w73yTFn#KDZSgv#?7=w5CwL_3iu@RH zNs=)$Q?=x#6dJVXwB={mEh#rmrOyf^a=weGGP-6m43>;TR9qBl%=@jdQ(qsb6EYf# z%M+m@=;!uRzE#jP0Gw)9XZ6FtO1b7K3ku}gWEhPZEiFn<9$jO#kl=3=HSq%FHoD4P z(jlQg>kCV*%H)h#+TS$)NL=0V(X!+k;~bbTFT&ESFJQ+DhggZT209rD(O$o#(Q4Q2 zBhodlehaV`yE?{s-@0dzsI0@6ORF*ZLDE2c)=@b0UfTtq>Y)Zl8-L|iOl zO=oVJ`DBIgrxC{k+xSCVvAx*NVGba4qCVuhezv}cGMj#(lQJc4&R%k3YFK7+VD*%pNs|CVcaI{|;l1GV3 zGWx5Zt}3hjc1EF=eQ0UaZ}ywTazV{vnmLJ;pnUN{9=W_w_dz?OZUEwmkb;9JGZ7Q; z9A7=|>=EY=F5e|qC!>h9DFl+t`&TtGybU)dIRnBD344U%76kk-ZifG6#&A&CYT-+% zSP^E!U@*$}dJxRD%(DjYs|qJTK>n-&N=Z+>aW&cobC#{O(*XzG_9=vT6Yi*l zZ$7F!hn$$I_LFd~L(mzG+zYrqk~6e?0USI*D(Z>%M#04mo~ZP6VU@Wv>+gupdxMpx zz&nQ`uO=<^M;QB>umFR!`WZDOOrZ~X{nav(Z`fS^a5{_m7YI^1Ia1}*abq^IcD}*` zlweEUClAC?fj})M`Hw%COaUt1b9|1+0OB0Ma#q$ z9LSoPMG~0&P$Lb+xVpZ2{ZLvim7O$4xVL?}@&`ipj-sZflNH7(C)(!^UZd3vdO<2F zgHiLOFMoU>FEs}UA`=b$rU}}tQ)45M$g9crc;dp_aVD?V%Tj8rjOd=d&&S;_q3iS0 zo-VCcKb4?VnDn24ue9M~nt7yKzkD+U-r&2w6)Nr4XUOd~NwqJWJ@9S)VQTTvv>;{& z_ElWah?ttoqn67z7gmH|^0WDw(R}bs^dABYXK7O%bQvF*RT;}}-qmcDHg&q@hSPTaBJnDZ)^UtS7 zg;BR#KvNCFO2F}Nq_coFKgqvB(eVdQ<3=@#tQM;R*R4F6s!f8!ND%B1Lk%M#mM zS03ZmnW@>u%682;3*$ten9R#bEIUZcS|C5K8-AnuUcq7(&s;DH2@aUx8vZFHmM1Ey zGjqOKc*fWu|CxWcz=F^JbevyIGH!ui5afW@t{UE(DLg~cg2C01Ro)uFR7X-g=^M)7KR ztJPX4u}10U__P?mG!Ees%I4;DgSe`ZmN1-Dt3D%;Uhj3v@oE|cQ>KB`D_iMo@o&<& z7XIpcX2Fq0yCU^55l`sk_Tu!bAdV5)-_?07&sd2EWN9G90@3c8oT2gJqa$TbKQc{D z_4^6vB5c_h%agE}pzE4ag%1?s`N-&5TUl)H6{(tJ;*($vz0I%$MFeGWV37=g0#M1t(;Hzo z*|TUjT%B6G}xe5ZwIdwiZljBP~`mEGF|T=r4!udpO|PM zcDzVB`9=%q15g2fGTIjUW?1hpz9+aFNd7jfZqH&j2`lBz8#%dlZ46!SPm9SS_wF@J zV1I%RbDZ1^O}%(2#8c>sk(MffO>lE-%|kKezodZp_gP6pdcKto)K@*DBW5;YgsOpG zGKJod5tgnOcD%DUiLS9%R3){bBQH3~@Os7!VZpzrO?F-xUv|R)SS0&J{)z67QUgl5a%B_HBYIrGpVSjXEJc|GQVl5;k8QOxA!uJwXpF@3yNm!-6$z{Q#Jkst)_}bLR8?o+ zEVI3}2ZToCGy!tkM&uEXWW#-9I*swbRyYmn`M;GTdo7mxTh<9IPUvW)hT9|mm{oej zFE{WH;vzL>^A7}y*e4?vEhI5{tcJQk!s))f<6bWfzw2WW;z|=>fI&ft3)MLVDU%ze z?%Lcu`hclH_Ts=-NgU}ip%6Dixa|H?x)Sw`lRA?4wbF~n8zEq^^v8mo$zR0*XSam% zBkB6SJhArtoL|T~LH)eo`FK9W+WNd5>FR#rJEz~&tk6tS2yf;$KJ{mH#FrH*!)0|^ z0@Bd1!L8R#LzPh=$iiq0Q|}`0%au@q0<(^a{fiaeU+`MWf)u87wEpXmqVx*B01?PTjp`n&Q5%NXq_+C3e4*HZ6pMC<17j7j**@5_L8HmB z$9pj8%=B2^aj;7bH<$u*b<^@(qq*82`~@TO?mefCH`v3vV>hQD3C~BZpcLvif~==iBo70%^OT1IB+u9_=R!p>N;%?!a+PFTgB1CyWWR8= zsOV%4F*UO-RFao7wP(9cOj!yCWs|dG5ZT$2~UUR-t z6PSTo$OgY!R7PUN>jNQsu}xtE6)J{ZL|7`((LXRTpRcQ%p0DEz-p?Zj{HK|lZr_)$ zU-SCZ`V8nT6iu=(!+9aprey_&fe42jg)i=tBXSHFvKzKIGJ1tOp14_?R7!;naI+4K z8`NgxB3^-bS6KCvKY<#k!yB}8m}~xSWKaGbi%9}hp*7%@tgvM92q^_1|I9EPKfyFw zPKbw?E%$(3Fo0SlZ8yEH0y?b(wgC`Kp_4lqMZ?fdXJ2y%%p3aS;3#yG-wwo=k|)N9 z$+@Pey@R>M2kKzur|OT5f|u2Dm*3YL*9M7u{e<}~zDSuexrq^*&fl|wtBDybj3&wc zT3*b578@gno$}LUt7~Z?t~F@X)2>a;3C!y=$ooW51AF9?Lz!QlW7gAIFTnAV1B=9k zJOz4xeI0cBguUgsKL3PfANkxWnv3bxMPU{}RsfS!8Zv*->*3Jn{}VxZ|7eU8rnHhZ zCuw!PCZYKvy$1^+eNf(Hp4%TRA+#9i!V(MvfElRVRckSyGiLPn+*)OMeo3a zBb1XJ^Ar$qS8^YT_dFk_KlaEAsWG>Yjoww*UjBEFcCDo?eJ}IRkeN=W9fVv$*v&hG zu8FHlp+Lu3aqn9sI%89r#kdeFaHFCDo@+XtSpa168(U4w1~Tf4!k3^Rr^q`Ay7?U+ z8h;PC5A+#tXk~p;SjE7n)@fIx6~e7>x-fAX*66ZDQX`HVHFn<-My{F z(8|MmG)S;|5=HHiwd{4XjrE*;-&$joZ|hoH{AY-*V3N`(I17e8t~Wmuw3%cR%Bo=x zByUVHsg6YffCa0sX!bk>Lic)MPT%F|eADm_Zce`1<``3%T*3YXe{2G)Ge{=8)74_x zwSt|LhNiM#B{S*1e>MN{r?#wO?rjay`{_wl_xn2XhG!Xz*Y|BnwdQ+u`|J68=68xc zTl#S2?e_V(_D6-ml`WqYk-`7)ijH+sU*d70<*}n@B$vuyu!k?9;ag|Nyg+Su)EPe< zyI|&_&V1yeY}UgI-9%5Y~B`O^6D$xy(z{i|!mYg}v}MqZ=Z82xP+r!J)Kv z!P^ioSfynX%(gbq6hyPUt6wHNG2+LL1ny8A;A#{ z45JwYUZwm#&^gnuwL8}|;t&F=s*~S%VgI_iG#8Zp+oUI!k{@r&n6KR0@qN$@GmbLh zYgUIdorgj9z_2DBKOWp5_*IKN--Dae$};7t10z?#f${eNupW=Ij##C$rte-c@py_G z$pvkvQKpHJh}((LYU;l~EWO%0hr#V?w<^!SF>_uYG4Y;0G4VFN53D9vULQ+VUag*= zf6wh%dKE@#=^P9+=v?(%0YW1mQ#-N#(>YMQskyuJt$R0tv^EI|%EL@w|MO22nP9$V z{er(F6bYZuUHg29l>%2OccF43e!x+c9lLD!PAF;XzOg0U(dxIs57Nk!}QvkK=kt?rCwbi`c z!=k*M!=n3&Hs$b&EJ`lJ9s(vs#2=K;%8HRZd!NZRkWU0ao#BM>c9y zO=#5TrG*Y;#)X_J&xp69msH;zyuzGkBfb{c>6U=MdY;{Y0W$Y_SP{g_QN36?zdnBc zzW!?Wd2`Q5O|?&V8OY3-c%#n=HO`2=37Ih7mL6cdzSudXVt4u13o4ik0v4WI+6jyn9mX(T zC3XW_;#ZztHI#4d$j4uzla@6AMeqNz%Y`gNcWzb2WJqNG5!)P{_mBYt_I$pIsUjo; z)~ZMKqWYol-O*<9CcYv4wFuwwriM47y(iQ0{?SPtdKa6wQYkXQBxc+aLe8eVqjyrY z*=@Y^C*M4n-D8DHJX3?Z4)Ddo(;kZ8gpz}1pybda7Y+&&1+bH^ z07OyD=<-?O9#M#oTlI*D9*7k#y)ZKP5&FNYBm0FW+mIXpAXM=GSI7S}rwh)!IHzTG zIqq3eegz8YGZ8EPkPIX*BhC|a5KLF--G{ok>&lqO}**(iygx#3em<94d|wxMeLuGFe$GPieqOJB-o8hCzaCU~KEElwmvmP4 zd)RW$JupaJm}IWzMwWh=Z_CLgFBGdurgjT$s9$5&Z(GxeBCDysozTluX4|J%R}Rxl zQO*X{3&DTOt>0uQuGJSPr@7gu+%u-^ixTb!YT9e7M`}Lo8G1OkucEmx9%i~s>ob{K z)0VY&I#bk5=`ycbZzn2RmaA||x~SMcbiB+=;Xg&{Z8f)^Q`VGjtVUB)Lpg5lJ+yX) zXju{_!>nef?gmQ8itwLX?jiLZzD*vxd`%xE3%i%lF#S zr?Pnt(47mtim)f0wmBv+bB1uiu-2x|W|*3c*0=lGJYqMRIc*bP&hysTFF0YTMroZ{ zlnos0*$zzZFUo{gnijLnC3P*#U$hh^EE`a6Zdkk8u97m=EKRcg?z|>#zS(kS7zT_l zvMHon2kEA<7!ORd?DDW3fLq!q|`LcR0nS%?JZ5oK1BsYj&MXGaaKkN~CM6 zWGYaQ9u2l7Xn9sOA76$PHCs0m)MQw0=)O@HuIn6BvNt$ovgM`R%Y1r28?ar>(d;yU zWHe^kuWS~GFRUUzj}`13M5s%uk395{n)5TvU}wq%fI7>JFI|q>_>fH*J3Mo&U*Q5B zE$nG*J+^;R7N`(h`Xq_ST zWKsT{h(;R4yrghF4lhezN2xSy^}TZ;wq=x0d^h{!baZT=>4PV(4w`OY#71YEUT6RF zY|Y5mgbzhKf#P|#4p%a!n91@v6&XAbe+aXPSxdlv5bgUpXn`-bvw8=53x?TyO~W z6GAfKXz;_Nsvc)a!AOlJs&TKUI7(u7ITC$ymk5oW^5H=~^Ez2)@MKIF>M4%5r!}5| zLTVChNPO7UlA3DSqU3YV4r>TR$il-|3$$r0MB^5d9#QaB3t zE7KB#|5H^5&^ekunPB|E zT-jaAuFVkBfQW{-iAk;;kmtb>nI%Z$8!k~Ko!OB5=3;K-&0P9^bJtr8F^dqUN#ey- zxZ0Lf=_4>}7=XH*b5=m2p2~5G)u(zERR>>~+nY7fZF}_*0(65}%G@@?%sU_t+==^* zN3Nc&h0E@-p|%|tR@{84%=GM)_RH(!1K*^`I9N$`485p}j5X)kn9cGsJ{0j+0qH75 zm8uX!=;q+d1>mVmlaKI%ARF~>^56gK{A0+lbG!S^B_JTK#a6ES>}Yq&k4NhaP=~}6 zPt20RlL;nUbd=4pBKPK@Vzx$~<8(v*B>F`t`vJzfDp=FwZouwF{a=4!a6GB`tqW}= zQm};k(hzAJXarBe*JUCR_xVS?RqN&Z-i)--denr@$F9(#-Pzs^tB-Bd4F__r9ub3*4kxpq1zXT4&RLUS)m0x3iTV-k=t-xnYXKlDCh>nT z0f1){xFewmi6?4`9*na?A!?eH#DR5NWGarf4!OEM%4vOcM8vAe)?aM+)CuGt^O(m<>im@EkU<)X0GF2)<0m+ zuxjfo+W5PC(+~ z0g(d8$i`pYBe2kgLAJ9SR(XMq2l8D;iA}(*AAM?7_vPW;%b^DDB`QgT$WnzbFmNx6 z-!JwRyM%zSAOpwN7l~`oF02Qss#cd)U0rrc1?Gy?E-EWfC#_1kA~NRlhQv+sdk3;%W};}Q+PstlEK>5=zyO%4h!sX*B5A4QKjk1tPb z6xBvCbS9Gi5e^X;HM$>uX7GV3{`q&-uaU^i#|SbOCe=8Q&~M+`8RCQK*)CRC6a|8_ z{j31oG!7&axCJ1$yfGWL(1$2#am;lc zZ8rP-<}B5BQ63rgZ_dvVtBY#XE(mhOMw|LU6%+8keMp&qvzF3en^^7>LRZ2zlC zMxbB2I~&Q4qsCA@hKO(ny11ynC1OSZfHzE9OTm1QM$aE$%K_d27mTmxC6Nwhjm?Wx z!MRU?ujDCP1rQN%0cdheP_h!#nsKrbt>j>ZMNb6k=)L>7pj^XRpp8USykCqD&sF1p+JlrM3T$ucBI1Y{|tcLy$Ts;N@ zT8@!8zF-U*^e)Y6*G)r&@ z;QZdI;MwoynxjY97a9;wWqu^woY^&8Ec5{ld2&NsWSH?QO6VCC$7Tc7EMS^N>=0&7 zRMgNGx1AGnA=%Ha%7u0Ug&VjTJQd=$$mJh(KKvN?jO!aH<`jK0gmV@Z3pnjc-y{hf z-*qdj4|-ih0ST>u1G5fh~k*LaQ4rL>;dE78Kyr}{ylWKn}9 z0N2#i(&{QSu_MhYwRX<>+(u=#;58!=>3oZnWAl#15g;s&l)a~maB6myCHVd&Bzw@q z+w_CAXaIVf`=!eZabLu=EKv@>-?`LLT$2r$;!OTN>_s+2q*MAQ!lazcSn zyDA88dYqFh%-P^F;RgLn8;Yogb}Gq#+mmSi5WH1qweD4X_gCk=V?O@^2aQUkL7IqW znMb)syPUJu*9Tta@!KEPMGyV;8D+*3EFO-*<|PL`@s#pm`wFZ>J@q9FGbz$vt3e1= z%h-Xbl@s81p!f95p1&5wpi((#4a3ZR|0&^GO$ZeETN~y*Ek*}I6%;i?dgvp)$?6`7bSBS9~W&txK{w3D#Htjm!a&7fDB zl$r9KX)@nVwoY)lQluOIJ&n;?-O^gQ;n}*~`Hr>i{(iUZetX%v{pi5!`I>~OZEqt_fL(ZW^euw3YA6h4tp}GgZdenrLw@j^Tn5xO++|L;~g1ELrd%NS)&o6~+_8mq=>v8UZV&qu~|0h1dO@3|LbS^`BmR3E(3Djq3OfY!iOFW&%cV^s*OmaPP(Cv z)Q&3p0pV>IfH_oXxT3jA!djQoa zm`t;K4WQ@~8fGB;PRm%!NL5mtfcHh;TKv1w*_KWk8aK?KnY(#hteX`&5GVI>#X%0* zrtr}&IOZB`m7X2iHu_a?D+VsA8)+1Oz@_-Ny77}>#t!C`+_gw?-T``sInE3~L+|%6 za4LLWukyHphg;`A8>9&JE#CV5tEMJ#f7B=Z^AR9jq>EZ;^o5bLRy-M)me6JIYa~np zBO2($SGmbKV0*G&KEIo5V#wLsze-!q4cpf=NzsJo+%2(9eN??t#n{l$i>V2lMGo4-=52mMsHz;=C`m6)UAtQ)}u zEgVsmwg=DA$V@QQtjX~=(Lji&b}oGU=oe6?{Uy7L3WE5N{Ob4`pKKt1F&XyOy=p;{ zxh4RpmUo8L^~UTw)cajrJEukLp8>Y6m`A60F2obi)Y{GEj^LpEz&$vz3b`n{(D5hV ziY89M_rJzg+-(KCAEwze48djR7 z&c%2K|0-tF9!@cF;4#Zw{nj zdUx>4%}*OF=< zNcVSi%6cmoTkz2(!GoG1fVk?$nLvm(CViQdAC;9d_JaepD(56UsDAC=;T z0@BYaL=C=N+Byau7m#fQEtOX+tHi+O+x7s#Jp3U@jJdwX@6cbO6@o1iH_pb+wQ^u~ zP?XM=z=ljy#TPC37vRx+=95HF){Hw{vLPPM3nmIv!HF7_sbUI`oeGiLgHQ2pL%sx~czq|-_#$e8rhF!x0v#HOU}msxL0$qoi!Cl(Z&cQ_SZeC`a*nAb&mh)z zSoe?v*KfZ%1{tZ6qzKdMKUp?Ne@l6~*@6eVF?ESG#I?H@$xPEt=_^-WtkTy!#GVF& z>KWwl5e304FhFa}^IRLz#O>irH#>^oL}d|~gL~t_&Q*zn%1rVRP?YPrRw$y?tTzHd z0&?V|GwG_%WVV?5#3KQi_4X$jCzX)$D#B9EX zWD-K{bc1pPn1pSbfC%nRV(>)E3x5fA;%yburfPElviMNvU7`+{4!mp`(m3e|>rR4) zm$hANfjM0OH%8qE+1M!tq`4UXF&~#HisMX88x(g8ts$UULoisVGn*7Ey(eh@Po3+# zh)DGA=2xlHQV95FSy_AxKtK%{3G8ti<3wAa0`CU!rs_AJb8r5j-+?UvC4@+mp2}@OfaXMd$xotRCPRVR% zY99?<=OOszNK_{fL;>is zc`qH){Ec-568`A(a?K}IK;0(nKg8#tJKo!K0oG{q;#DIfmJqlmzB#w`FEN@Pc{hgmgyy_=Ygq2~(F$sGbn=(VQtb zEF{0ZI}4U0j+Rf8pc3LT3r|g_&!h(n72?o!Ke4B)?lHSZ# z!~c3RXAHtMxyS9}5deN4k&XKB+yFUy$)*Um$R<`3tX4-5-=EL2r>6<3k1_?~)Fs-r zr!h{MuGwfTo~4pK9T_e2iMuaMWDk;OJaq7 zV{`epr=G*aR(`N#gb4n?7Oj`BTrRuUL1Dydij0(5wn8nn;1ZeFLJ4HjDGb?BB`1rq zY#FwuSimUlGC8rE_NzmXs(+W-D<$uWnb`z6C}$3_gliF-v#&nC=M!y6WFc?(v&J0S z12OTbNL5mvEWr2RZH}nC%<%~!5$#q8vlLhZr0~ddZG*9OiUeWId)!&etozd`5)F)} zV<^q}X~p<*Kog6dZqCXX1Nu(^AM0gw<xys~wz_vPMm&VRAZb#=s=BBQfmHT!2~u_h}l^6gr?#DMiiM z0h%q38a*JLSLObhlmyhLgf^l?nn*zzDxr7+3$if>)o$A}9OSK9)~mA)WG{b@}ao}!q*s3QThr0#KcV=c{iF7d!g(D>uq5GLC^i+T9XZ=ngjNM zLdekt&pQuv>=Fw9IEd5qZ1*V{$e)lEEWe|GqT=u$W>_hAP1$MEJ~LTR$}4tuzJ2UM za15Z5iZsLx;QJoKg8y24!eJLx9rH(wjhJ&MvR7dTpv=aWvEn@L2_$aARp+?lrSy^R zixd4>stM_z&?PS{Gs4`&3M(YS0lBcUSK)`<09=PoFmiyk(!ABmYoL@-^jREeU{QT^ zVMjl_lFk%i%Rl4(Yh;Q1e^az|@`oJ%1lcfag9}*uXRxfPJ8<1!RJ~Q9&i3Ibg{LTm zIKdWzJp=8U#y2iazD_IRPj7ZfUjU_Kn0y$MHBlMMXNd;a5=Yj#R1Z)fd4na*3hsWe)wtV5ycOL;rB_VoO&AvbfHZFu z=kJ9%c`mh=8fP~VNYu{B`v->$_mD<~rx04rg?`Dsn0FC~YzkC!r(9??bz}TI8(J~& zr#=!gu@uX`q7}pyS6bX4Pm0OoJ{>=H#qPNJci2!GG8}H4r&w-j)6kIDnL(D)Z zrnsYySy><|5v;puq(;_iLWl=KKpksY z+BpTpD1=`DZgt2X(6q(VbwyW97{6O~&eu_1siMF&WTs0hzeAIt_AjX6P%I%j{aLUJ z!(dzNLwDzy3koAbwky*xg-Q=TN{*4HM9!8WUDnFi7z9#AxngPgUznj}o|!%SNmH@f zsSsztCA~E0?2yYdlEAVF=E~zCsUv+gw?b80MzaEaJl(MY$6uB~OX!G@pehMI?Mkff zgs9V-&X^bpQhA6HgQIZ@>PG$SH1H=^um4J2c{Hy?Xx$C;YQ z&UJ}|yBAl2^6bx4oWZ|TP*Lc9($l@(0W-{eOh^Yvoage^(#e;L(#I;&#{!!KORi2P zCPA(@Y4PO;f?uq}Q^$t`o89nucAfQXB}n|eA#5w4QOrBzrTGv+B4MV6#6w?8`9!q$ z=ep{1Oi9rr$NL_8rlqE5m=a%w60%B2cKs9;Ptv_gL{?{>9wvi4J|?Be(Wa!H1>|HK zv5g>yjdbH!{)pXKZLQ zX}FTC2Dj55b0gXM1Uk;E0m2^6zWu5fnhs4c;-C_M?dQb>wm`dmOuub@jx&3{rgpwP z7Vmeu-xpeb@z4+3pWB=nt^S)DI&XgSz2`INe#D0J$DT*fhWCICLV1^4epSU!ZHs>( zw7aMiB{b*{B=U{mGTKw2vbwbeqs|xzMYz^|w_IZDfWqdL@8;0`einsreZVtdiPhRa z>u8Pbci0{HN~QPyW~Fb-C-|pec)ke5spczHvFJ)dZV1Bth*UFA75MNLhh>2ZZ;)l1OsWh)(vH2`{l8`@~1GTm90fa!i%%mJ#5r zPZ#dPwb=PL96lda08eqP5~P&9`Y9YTJy9`dPp{%G_|RzKq8xm@770O1QaQn!7G8jY+2AV}n{Kq4Gcj-zhR()!Yw|52@`qvh zS@gIGSWy3nvhnd4!Zo}Vg<~>WKgKt*Mhw-Qf55FrT z>C%d}@6KZnVGTjRKDF5WwI;r zNda`XsGdNZtRK4ec!wrfUP_8Jy46#J+=OHxks`i!`yMIlssM{6O?jEhdj}Z4b7aJ( z%O~|7)RXlq_T54OUy|m}bDZ0;)S1LJi?IFO!MVz}h>P=9mGkFq2 zMAjdQ0{9ZtR%jpQNHN%i6#0>e690-T91)OLyi+2Ls3g3jY@Qe{iImi8$)yCcXJEC?$)@>tf==>MfUi- zC2*umv+#34$9S3P^yQp2KR-@|lZ)0&J?d#q?+;tEWA3}84N{fb`=0j|7fV$}mi-Lh zPQ3x)`vVua3oN~b$^=3``Izd@iIQOKrGyyM0y@)jMD#C@Vw#tMQbZ#9O66BMeLQ*L zJhkH>*|ZYoadaoLor3AQ=5u6h?YclfR~1?Hl346&7iA0j?Tt@sI?45NbaV>Q8BzU_Vb|sKWB8nDMh!1oe*5Ynj~|4@$(vGLG7fY)j1G0`#lpVP#; zo|TLo=+G~hytQS!5;aPqGdQ>a!XX8b_^!9XRwzs^T~NIX$&UQ6vUeSqgQ22JtQNKSfy^(?eLfhG25tyc z$4U^FqWUaUx*}MRlgn0OadSaDxv&~P1PC2>Rsp|cv~qHP{6B9^@AB0@gaBW_$R00b=DxpXi?6@V zL>Kqx>noVzCIka1_X5l~O}YTva_#L46hP%&iGxSoPxnZku-XF5$a!9|Lc2~>CiERS z$$){`lA|L4i>T)u)P?lRt>bc!5JZR?Ij{N?w796I@Zjo*fF4MF4*Ypu<>#Aa`heJr zU)XM~W67JH1f+$L2IQ%(}n)$Cgled`&D0h1)%xf9j8QFtgN`j zN4nP#bLy23hZ4q_w{8smYlIu!J`T}2GMIUEwlv_YHCMYpC#-wZl(a6>TVgYN+qRiy+qUhlE_GFP*|u%lwz|6X*Bjr-zn_C=@5sm% zktdleW{x$-oMYbOzLq-REr_-@%Msl(g&Z`aw?(bXi~6A^+6nN=RBbXUgUFV6lN7rV zrPVmcwe_tdP`*8=q!}qzuM`N;uMio|!6D!-N?J7fp_eZFZVG*hsMeaylO0d?I5>x9 zRh1Zlqe4Kc0NXrkZlLI35OuJT`(wF`oJ^F>i*$TNZAy>r^c#y35^I{VH}8$Tj?g%V z67YIRkq}c+i@jR~!#{mSyf~VA%Sc`8uA$!wGe-GA&e!rWR)1!zi<;h)-Rss||47A{ zEUCLuL%bw<-Lgw%iwnLPOI1Xi^z}AU<*!8sk*Z<{tS>#o$k_fX!V$!&ud2NzHH;bO zGGf%_^{7zjS5F^xFxsvH2M1EaWU>Sc2P7RUB`(@eiXP}%HWzvy7~Aa4judN8$IX-u z)}2&a({im9sC~n>=4K%ifIf;2r?wE6(mH&?ufX_iW(?}h_}~%{iSy|FD*2*@UkJIH zc^Tz<1B2G_r)NMOF857OuYa z8$xkLaf|7FB*kqC)-NVQ2s1?bT63#bk@ZrAlg^7>DW=`{VgY`@!bIs@kux%24PN)F z8`r%(+c-n#8H_Y51M01X*QG;E0&@LCRdB2JbHYB8f>j?qUMBZE+%MZ$^X>aKh5KNf zTfctdrVDaLzDh6nuff0h{00*A=YJ5i4m$!R_*3|)M{p$H*Q$?SO=h`KxoA!T{lRldOjmX5I0LP1MZ z6OSEcYk9;aae20Yo+9ET%b&3UIfxz-nK!e;n*K`u!Jw!ZKl3U3*Uhit3u;YwDSnCA zD;zBrNoRjIQE2rDJvpz5tN0HaP?lu=FqeO*n3I&-DAH^tu_(>@F9pBwMbP4@M2K4u zZq?9LfRtC5ST6B~u2c;JLT=0_=oqV+LjgA#j~V>}Y;mDz_~_vkNsR`=TEh#l5H4^w zk6G3*L=fB3OClvD!rHcoL0rSu{=WN1>&9k4`^M*L=SgTJxspp2jt%I|I0ds53A>4M zaHattb)siZeyRD`D7CX36O?8o2bG;1MneK*t`yP1Lb3u2pF4XW`n8Qm@O*XQ9^m`Bd?-K`vY=#IeQ6{9i!Doy zl96eVtv#?ip#+Y@m0@u-i@AU@olAyMfds-Z2Tr|LSaME2X|ABj9Z#es&aAoAa|+_rAuvCvN)ggf zD(`vWEM!zK<+P#t{)+TTp=93laW;8gfl(+vj2?@v!J10d5wfAIA#ce^vzB~9E0lLi zS8GgcUth|8RAIdtV0P)k3K(7Lc@&%VvjE$7EP$u_$_4=GEweJRIJ4vXCW$Bh|Zpo}BVc zu>C}lH~mIO5oT94T-d`0wjH2e43irs!|}Q8PFV(>g%Y-@W-ORdyXA|z6td-+5N%WF z$5KfbDC3lIdd1i(B{sVtSm=q4+Ky4Zy{JUQ51muw_?1Oe(M78zFNOn7+Z$~*@&Tk& zKADpz^1WWaRVV}D@_?G*{J|M^!Kh0k+beSed4Y%I&csNC#KYu}a)m%remFi^acLT( zfxq~B+As5MphH(duQ#(1J_jAj?g(m3*dAY-jX7Js0NAc9d+oBtz(EvZo1GD-oGJqx*#QnU!f%S6<} z#tl}jwLL$*F`aLzKVJpj{BIYYtvj}^ngwsV_3f%prVh>=dGO=k5_9bpC=Qu5 zB?Vp*RnBE#_*#Y$bm7Eh;-#Q-XsXER&}1NPmLwzm@uNCRWNcGp7*DnJG!i9jsquct z@WUgY@U#T=G8aylr&N#w(KLBUGgy)LDRrcdoh2#*gJF-v=9y+znDlX|F*C9fMYqr} zwNS}R`KjFVs{vpaTmt~x+t>E?H9b52%z}6V&owhS880h6JqZztkFZ`0eZwtYP&!dG zjaXjJtA4k^LOqGNljiblxE z&YzjfjdGpFmVQkY1mA?3Apat(xcQx%HZP?FjunEfgBu7w1uhiZ^;(Hw zQUmrGZ20Hw8sPI``ZD%;g~za&2V)6e2q8Fq+XaT3*vbSWDSkmh;6v!d zPR{BiCGK4J19f^l1_if8U7I0Clb6hbv;J2@U27&)1k#+nXn9LJ5;{pj5X0`*KjH{* z{4o6&2nfjOJC^=igU-M54*93QnUYFyfqOpr{ghZTC2pKyaEe8l5DC!nqSWSa+Fj)X z>jGaYI*^o@kRsgyxY?@76w*I7d}I%*$My&KrXRTAUyR%JO{Ef$VZ6(`RK?6O4pBPd zK_hx~AZF?rrOYC<7E3G;w0JhuFjl;l*IAP^W2JtoD5{HsDL4Afj`7SaSGYFJ5+3-q zKHj~$zrJP(tA~bIH$jT2<2U=5B`5q1*fI`OG1}?|uJn z?~PEf^X>NU!o&dQ&gc94*2}k-#op1=$G}ca#>-B3_t){>>v!kM!`{}zM8^xFVAuZJ zz`;fUUYE6-d5su5q|TPuFn3Y0!N%Iak0zBXhGfg!;dBrN0xACTd34tDbkU3c9lP^C zWT*$ncHS`iteGm$(Id$=XvB8Z*F2u8h||;?V`51v{iZAr(`;NGD+W}?R^v99FSevP z8ANulDMyh^W(;Lbt+0jx?Z+|=sk?d#oSX3Z}rF8WQy^xi%Y8y zZN;*dDiV1FYUct89F`tB2=Kx*E-`kk`|eGN)YsB{n?|b36}=qILxmS`v)0Nh{po&$ z&JG9d^|Pab z6ue#U)_FZW?~;5I{_RC^65JgM1Bzt_fIQCop<2PL7hgtC1u!^B59;yqfKYkob>QDR z5}1$hG0EHcoXh7X?*uMB>3lgg8 zC1cG5UvIzZXA5!t345J+h0$B_C_0nSY0CAP`tmNh8Nz7@1_&7PBkVcoGCpB55aFG{5tTXDE^G{0KWkyojDo9H}x{n(O(GO!@*#M zpz2d-b9zy1C7fp{SkCL~KxZfk^c!3;h5=ofY0VJawcmDhah7G-pIe`Li}h2(7lOf^ z_R1C}F04!eVbhC!P-9H7u`lXaN#>{c|ezck3DF;!8zJMR??nq?m+3w15sfZjU zTBJ6_;Riy%7%46>j|<(~>MBA#HIqYv*^}G0hEp4I(Y>d39`lhrH?SZu0~xH{cm(2a z%C-Z)fb@dKlaf)>BB-(d!qrh38lMco6ssnEy{57Ong)0k;W>-7{Jk~MC~+~!WJ;Y` zMxH(AN;p5|kqb|cjqOK>Io-`0mSHKa1Y=OnwJYg;iGoBA1`$!XLhx?Sm2o|yGcE}u z_f@9djbHEcM_Es383~p#v<(xQtvBgZR8t!?o(+4S-$;z!6APLTCS4Vqj=tf=17!~Z zwT#81!~7$XlKK+Z<&5|B5A?QUFr_r-cjh7gA67B{3aqS}k+230*OdH4 z!mQl47_bknr!#VrL?uu>ip^Swb&q*_sP+*GjWg zlK43;%-9#bWnVO3eKzR2R{(r4N~gE-7mviNf)uUo_?gRgucR(i7*3IBp+gen$wl#t zqb-kwqsoH0h^b=*3Ph(Y8V9w?BH5Lwpf4ihNed5(bP5K+oGeAe99ASVo^JkXGYVp$ z?w-ZOG_y4}{MK$(JhSZ4;=}Cemi`2nA2XcWCw;^D0R7LRhQB_7ui*CvvJL#dr>=jc zJLaYKMdL}rh2MOjJ%B))CNMt_{fzy+C|(gwBVA@C0;PbpN*uqk+7WbkR+`kqhbI@lzaAsu zS9@ER;O5rn=fp?GjeuT22i|9^fA^QO=i7o>xizc$RTTnGa4`i#L$O{ZxA1uC9LDx! zS)+nf%u#neLU>p+*~X>aJPo&9xdk|@DvKsy1ir(#l%d_y#J(N6wJg2q_q2uV8sa8=b1yElCrBNhM#av=m{8D#X*TK8=3}PQ7e%(c1(z> zvAwCL?SR9A8Ho6VQgPFOwvt^ihnKwI>_B~FW|#;Q7!AG%Cu=}hxtOc=!KdZ9d<7*N z?z7}|b`76PPmvJQ@Gqr7d{TkN8TuMAeb?xvjD@WabKPNy=%k(scCVy^e^$(pDS7HE+x#syiW`m+#5iUQ=0DARcYq?OC<# zI{fETsq-O-cLoat^eF#d(U$*O*}2NyaM<9&7~Xl+JajrPsY4yf0Cxw{NVQByBTl00 z>NjDA0JVnF}&&F)BDKd|9HN;7+46nuDM<6AruVwaDD>qMz|If_HvXq`h zmpYGEDJKsgUy#DVHkR9S(fFo`rRl^F)nyYfO|Vg_=z{kItD2BbsAY=DLGmCQ|CB?6 zPEnx)OAfthDh_ulbWNrvHNhu~4d)07kf|zE()FFyIxteCN`VBf$+XHwlCB%lxRp}@ zbBO4jnS~@LM;$LNKmf(ll6FJF#>Evu{liYZjBSx*LR^}wU2TUYn>%WoA7=bhIgwoK zA~CBMB6@V-hjn>!$P%(195TQ$js?SVoJ7W~5XJKe!XGrO1zr$e)|xwxE!tCJ?*>vL zZ7DPoVm_g}}Z zPJ?Ur3&Lj)KP@dJUC%bTnAY$ij9RQf^Zb3LF=JzUtx#xgyv*ifFxUy>x;H$GalG0~ zNTWJ%x;Ij~^zc>an7NF=un}&$=0I^*<33j$44>dIjancGE|-3jUHwx8Ivq*Jn4?-F z&m$M3xscjK3ObZf^QKk13|3?5ZUNuVl~UTLKNF=F^nuPD&c9(`!2(HuQh)sJ1(!P7 zR)Y9BiigI)9@rnnOvD0`6%D68F$%{*rVP)-QhdvW&Fq#8%t%Gow~N;+gwLWS4Np~YLfUXhMw(?ETqj9H`bL3GoY)rxmxf~F z#3v>J3umvS0AZgb{FmkaC_kXH3x21xgL6W#xc_d(wrg;L_USgJ^Xp@eQFCr3f$)na zXA}rn;@5AYULfGgR2XGsA{r1iCBb*vMaM zw<&6?flYyyvfz5bAW-v3(Ya8%(%=N(A|YyyG%iG971d?-`g8h3LNxn&G*}pZMEmh- z#ntU1@ad97Qm~P(9jj|gIXR?AF;+w{(vcPGz!IQLwctoj4A8AW!6RN*OesyESSz>H zt`+WP4b~CYOYxT=O`&#(iFND3K#}%WL|3A*Ei;kVC9PDKsUM~WV?zb;bl8Czz+dpUrl#^d|_ ziZaA@lOwzD^Nmp`9UigAZO7R;Y22=12w~jC@-CThIRmSTB;ATGREC3i=U&IP zE0PG=qMe1n6&+z4PbG384ThI1a0}Jg+b9KiC{7AfmTi$i+04cyoi^beey&oX9&cDP z(gm9oB#J7-yxtW zg4yN8XuVTq8s$U5h@`Oj*UwJpXlQ!HMqeokq0lgzgf|L@AiUcyE2`6mBx`*A+7xR=iwySucO~ZdowkVuX~I+5rSz{p4mZn1B_F>o!SRq5!jK2>u^*nd!{FQC!`Ti*RFqZ z;QcRL{`{#7RBizo{^J9Kwa^!s)M?a9)g#_(3F#d&_4n4^>l}mg0-BSFfCS& z!9oCw31`d^n~7p!;Up>WZmD!;p9;B#+fT!P!GfF7Xz}{&AujUrcRb=X1pukK9mykH zxg7sDY#PkZlcliVbU$C+hzYEqXCKZ*NOdCmG7|53x?F>a ztY!|~hJLRo`={!jm?a&tYay=Hv&m~(XzaQ9dxtW747nsyIDn$EqVv$%>bd-G)Qb`x zlAef>UW<$QLRUbLML4J+E0vd?h@jJdXai&fRYT7QPGB)WP@1uEg~O(gjr1BVkt!YBM_K{E1bdD~;tBQbQn? z4BWQefO-SpBuKw+0`$GP``UpQqQIe$Q4g4QTq>M@8`zpd##S1Mt#wQ5ws$OAEkNVY z5{s@U9Sb|z#^ zg^j`{oQ4wQy3MwX`p)jmlY~C12W=A$e$NSM19=1lIYsDepDWs4mckQ>4 zsfL!!4R>R+DE4te!$48&CfITCP%e*dE!UK1pIk=wt6Ili+1|5)_jbvi)9{?_=X>N+0!vAHBxiIG8||2`u#XcNycofx?)OL8m- zo3d@|;Y3<)@F%veXRHWuM~1{;e-6^)g8TFI+Yt9W!|TzwE^1YtHTHJZKY*SDASn2n zEmj*;T4WFcRa02N7p3(zRekPh-H@Z_Y4gr$aCF<>9)T$_&j#H{7S0`kNg>B3t1`(K zj_Evo8$5+=km>DdOc{>Z?27z!8;m&s8_iu;%-acdba>mpJOJwwvPC zdV_)t)*O{XzQAFphm){F9>)+50guo|z@OEhW5XdMfq^mq^Y*G}WQVWa^Yuh9pwr*w z?Qad{3MXcd?M<}<w~%K{Pc{3u9RM%Ygr7qg&U8!lnE7tjZ&c2%y6G@07?6~DpwOR`yWGf22YxQ7*+MoroeT{9&{7jgeXBtdy6$M?bokLr$12!^SJ2OXmYYu(_8(;mR@T^ z5-L9#&Dw6gXtwz>6%ti;Wc5c#!zAZPeOQmDrm212Rm@ZA0NHt}NouPtmPRdfn2!dZ z%05X=t!np$SzLmBV9nM~*%?FRGOsxfHJaCV_PhP>_k4Lh=0%978e0E+t+QD}LGUof zoZSPn?cNI}f^l^n+c6cvS|TCC1^?5g=y~MQTw`~QUu-PgsA?erR_THso!3Qmmy_(T zxOIiY?F&G~k=|Q9Uq_4Q>jx%AK)bh_pPRCMjs1_FKW50_lCSJH)<2sq5Wq2J1__l? zll26Y8t(!Nca$5CiY*&oC-YT{Yn0$T(6G_u-Pzd6$C8f%sQ=PX4#h?szo1><4~37C zO=bELzMy$Iq44&J2~e|8IUDd7e}Bzl1R5>XGb5FTzV*^p5x5c_rMMevNy2wFM*Com zc9&#+0Y^JR9csD*{LCy<-|LqSoWG{7=P!;-apZ_za(fkG-ul1aPEA02Dz^N;8^A8Z z)F7Y~r_0AVz!#69vrK?ZdRkwp<|12~7gQ2Mzp!UVUP{>)qM4Ac>ng?CBt{KrIwtrO zy}KWwR3s4WdcTH^7BuYgzI#7E-hWtF#-70 z*Rb7Gdnr4% zAi}BzQ-qO>&p;tLT)f-nPCqjI&nktCJ=vz?YG-E6ND(|FiMd{2Y^A|>%a$`|BBtTn z@N?sHWY3)Y^E^MJG-Kny^vjDU_eakb!^F*}U7W);;g9svg}cQb{EU@_jOt>1^oCSE zt|4j~;Ec|EAaoDbDPe8^i6c5$bDfCDQsB=?IA9?w*GroFV($xL4Fcaxmx!`xs^*uAd!VP zm7ZXfCa3KeP1rdVS3^SppH~Ie{(-H6s?WEX0q2(}n0s}2a4@gQb zDs70XcsDzXdY#5%NrOIYfBi1BI@e|LfF@sWm{i{*IUvNd3xntRFe40rGUAmTb%|{+4aXa2gR$iga__(zPF#>BJR3%7|Ml zH`li^{e*#wLSFQx2oXzc%M@ahE6HykGb;8%Nyd0+m}ILBM7Wba4avwf!5DN3#u;iY zS2nRq(`^)8Om(!54RcaJIqb-paX%3tga za+X!XXGK^{gx6{uLpZ^>go6e6;Lm!8#rvaUio>mrN3}v!k2z9E8rgp@me{H&)hO(u zp-RO)Oj@T2TYVCJ0s=fAHl`FKcl>=?eb(<5jy?}oGH3m6h4xmD(Xr zjIjB-Zn=i#^dxLcn8RNp<1kgD4vDOq$O&1c*Ewk3F}=6lQ5B&FKiD4=`zke;_I*W8 z*wpqTQrMR}bLg27xxjY7iXirTH@y$3BiwaM5SPZgml9Bh@CFKBxXJ1#8hD&`yKhqks0tj-+uOF<4Rle)j%^PnY_5ojjme=miABsJkg42vOBMT45XO7(T`599+ z3$OPN2e({+HzI9GKqYld8b+8g9r0{z1Hmu3Oct?7XLP3FMX*S?U^v{Lk?_OfpEg2GL6Ap$<`hq4Q_Q1IO#1+oUIj$ znz$|C*^ARumK7N4CNnE60*$xlj|~qGkGHFUw}rip`=cX5FK|;)1|#k)aFe5-Qtm)B zKj;xGvv?)F($`isYn8;a0r_}Ud!r#?7D@h!N_sSJD+l>QPCN{r>Yu za}t65Y_WPDIqJ8oQzgHwbg|~0S+Vsj&2su%^Z`vZ6oxwGgG42Z#*K;LkaVTu&Hm@s zFLgeTJHr1=xLr3)q6^>gG59~k$G@uU?B+JCzXf0ryKi36UcoHfjneiY0ADQPHBb5i zrc@P5ZIi>>zT#zcnX+y|}Q$ClE0;OK%kZzCAgwew_CZK2Ny6 z%m(1Cw6t8*Z1_KPULx)+w-`PPx<{0bd>*Q1;MWbF0qn_~o-2FjMDsvkz z8phuRWOE>dX2WV!Nr#$Tm7pSZIVZK2#>CMP#J&Uq%~h6mSz9%Mh4;%9EdEfod~P_2 zNUF*`EE?Ld{G{|IqGC_b>9bG3mQ!QhZhJ8ypzAU*I%p4mvk-GdMLa)vNP5@yYZRmS z3Oz}kt3FD1EGQ?cB+zv+*8-6W@8G zJ%;UH%`wZ%05>kL2vZYRmmP&82HU`{hp@1yvp{{mDayLDCzzjQQG|sUbvv?mQ<}k8lT)$t zE7}hZba*WiIhNnZ?7d@#BMX+%gZraPMy;_+H^l5LVISk8?TS?g2USXq#dUJ{1LDf@IQ~sI3XnN% z_8+pe#iaV|5dEBcHb1U{ZG)bT?M|P7yt21rUh^%&1Ve(CoSL_jlL5h;9)yvD2Y(j| zzx~ZJ>gh8O{v`6FWq=f3=D4cpBK91=dg^R1Ko-@89v#PPP>DPYx>qs^Cq27MpQ^L~ zE!QpW8W4`+U%2_3qPa2@X%QLC<{;@$_7K?=T*Ff5F_QND&qNcIVODdyUqt(2%#}XX zYup*U(NJY@?Bk=4Yc%91~0|E(r0SH67 zBnI9;X6;6>@mV45BLT^}$tIg!=%oy?qNC64|)%yDxM?}K>GkL@zYJRx~ecB+)*7i)>&=^4LJ zt2zxVX^qZ_r+HybPxMpvE9a243qXwKr$6cPJR3W87Sml-g`?a73y+NdIZHy--~3Sh zj{AFv|Gu*PS9zm(nr_AS$}(){rG~5L=b^$q3RCx}$ht&xD95i<=k;)k#KTZMn2(nw zve^v2Nv27r=EmigW&f_D7s&)de(%@IwTF`)zV5fTkDdSl-@os-Pg5NU9zEZ-Zw>?k zT_1m+r#fbKx;OpW0>1Y44mub;@^`ks?p6i_cfMW5Hk|6|Whdn1+lcE(EG%g8~f7UhxH&V zOu|EqeY8TSQOcl%V=(ZFeFm;CW+976fMpB{%&C>eizh+%d0d^vtSU2S=! z2Nz>pv|y|NTY!c$%ZAzS)%|hRJ|L0SXkwcvikYmCVYXtPmU4A2)IRDxgRM^Iq}B%{ z_CdI)s1ja5)E8uy2msDr>$?{kB*4PE{;>8={t;xB2F^<=@&#ab{6K5;bC z*G|bwbXDGLzm0K{99>YgIWR+u1}$8wjU9 zws9y#@;#HTw_Rk}OAaA@6Cju48p@U$r%<0K#!D=U?Y;1cKyNMkWO5sPsQP?{U-3Fv z8-{|xKf)O#y~_(HcQ;7)RZFoU5@4|O9haOM2{uIDB?{D`fQS`bBEQA185Z;66>>VY z0Y4uP&n8Y3^FIfZ{N7x@u0I1}lP94lAJPuDwIwZ&nVB| zc`b+p$bn;(@E94$&sFq^4N!xfH>osDj8sX>h^fgcECF&)P0E{l`F?9CI)HjzPWLHd zDO!ogOE&s=<`fziDUs<^zX<>ie+EV}}_gAiRt>sV8%jx-CAjN6kMCzOheGyDfj|jVqC&=MYGAfhl?u+M*cxcz=(7y=lssnEC0QNhxK1|!+s0OvUtqj zb=sE?v^VJSwRM{taVUx$I96zB3b;^}arGK+$9CuHCjU18zulaSVf`ApTz${$N%62l zOwrK(gL9i!C+~U9@`C?cMbBEo0>Ec0ASwf~<>0EZZMFKXVPb2w+hOK!g;TbB&gY4H zfX7?Y0}>cr`cJLoFl#Z3kbW_|l2x`wt1=*{KFyF}%ELY^n^E;r<5>f^ig=JA73W2` zOj)`I8;me;qCP8#(%vTi*@<1*y+VB4t>q-3W|OP2xg~`LRAv%laT_ia-RgK4>{xWJ zvp}F_WJDSDF`8l95EKO()j+ypESqtB+9M^A0%B4h+Yo9z*x#!#!7IP>;Gj@Fw#IB* zwdgfQ2n85+nAk8eIXj-79tYjG^LJ`q1pi)iG;9Gj4Xb_k{EijdShNL=m%v9q^-B*-i3$KyJSt)~)`iO{xz-Ivc|eNrslu z#9VvaiH9Xm1hf&;NG3^bAP|MziS1~XQFQAp0QSZoJ|a5|`YRt0|Cu-W>_;E8zN69f ze^@jAt1vTd-p~e@84l?7D{(F>$Il2bimzXEkFkI zg>zxb*r$8ru@v)dY`;Twf*0?35&*z~H7F0^3CMZZd6&Q4usN5Mld|bPBIhXq9 zIwWXCjW*01`W$p=z08eV>7r=n~u;(6J=|(wYcO18uscWDr04E z$zYi!QA>UGM~3D>WSjW(qNNfwg=MVdWQU?bHj-Ea_GEqOD6rfa1>NYh%yP4Egi6R@ zq~DIPD8PC^)t5uSG776QwGqTpHU*K4Ym%^yx$i&YVR-6fWI2Ch@LseZtohdI(d~5c z^LVdq=H(k+abmm<`7Y;wtm*|^Fghr{Y@Gcyd3dt*CUM9eI%LHyAM5x_Wq2RLH*-%; zB#^Xn@@+5}sr;45NMT5DH1bY_h$h$lneNfxI|z20f4{p{o`2N9KQuFr*vm4}VR+As zmV#-8vM)H%quc#j>-%-bxFN7Mx47f*@^&^Nds*3^99U!-PbH*|0&hIa93LE_9kqs- zi`+VS%IvdICHaUw<8j)@iO7-FUxma>6V6kli(8C%QC&}9H^|!FRd{>|vs)`tW!)f9 zzAo-+dun4Qs;L7h1$~cm1neizJOpKGLq5+HT~Lt|q`x3cHZaagU92$HN2Kly`wYv4 z2@?k>?@K13{ALnqB&*r918T}j%%6)bPm8!j6-yfGEgtj#GhELt>>GLhuloG`{%-}u ze^svf&Dz=Y{~u=Nd-8k*E1E8SibLvbNN?Pm4{c`}K5bkOu_#`S+VP(obHs(~N4_@L zy!k|xWi)1lBa|4h^j$Gcuw7}d{@rpJ#ZlU|;jz@tu;#qL_1$7|x#gy>T9?qyf0-X5 z=aMID3P*{ACW{VA(E!$9^#h$~L9IwgSSU(uU8TBFM5tUUMEGi_Ukf&fooS|u+zy${ zQi`rPYp6VTq&3nUNp5o^ww{EGql@oZ8E3AXmrC(I=KPH;fPlJq2JOyziHbxf7$Qt(%LhxwDJm|L=n#GxNU|C$2txYOW-b zkDxzi6blfU)}V%X+vmVNlNCx6c)QLLoDh_;&{C6r%M_#*goZl;n4l{eOh_f^3`KCvwbu>k6iz7962)oT!OQ6;54-- zQ$CEg@-$vvvhEu#t9RkH)V!Q(0!5+r>8@j{r?V4pPXDfjXv4pL)KIZhL!3*zc$n)j zrRl;xAi2o?yjys2)_QNE=<{g#%bUQ?t$H}~n+(&rR?le@(mFQ1S}`fLmp`0{*V1W;;1)S~_#<#3GsbN{jfp|8lU}wmuw-O&)Z)jvm17@&#S?At z`|tDxpyPy9;+vN- zvAC*lUSLZ{=aLzmFYD&A0D|H_Z@VF8=dDqwZ-#Sq!p*^Avr=oRSAR5OVu*kRsUV33nQ$>^*(<;pCa9y zfee@4%!M=E*=kO?7b7#>yXm&Y1%iz&|3^RYp1bx8j-y0N{i-du=#Z@wO#$}8EBZ`T zT~vscNK3P!lWxLp8**RkDqvdPm{yCLBiN$ayyc6QMt={28OU_WU7H_R&sucoFCLkOdkBv2O6}D{9?5Y@_vTF4wnYY(7CD**gZljGjiE#O8%=C*heJ+!G6UoF5jOM;Q<__q zf^?A1dCjuTR=R*6DN{5AHqK$&`muR$_M#P~S*CpRbyu17N;Zv}e3#>0-vFev314|X zXk+Gx2T7xg*CqeaL@VaMdvzASM%w5ml#fAm>+nVh;52wD=?Q(l#YqhNjjQ(0;1A2S zXbt+!1Q+{=*JXPsuLG@X=ak$km%0N$1|v-nIb4pqune}xIuB;_7N`T!HN6>F9Y(#X zbQ9~hTzuFb3mdP!=XWMrHw2ZeE>RUvbcgPHeyw?`yMgz>+U~e~agL*5!>;xYI-B+) zv69T=3PRmX)>K@!EbwknZre5U4%~??Pg>GbZ>GU%~S zCL)GRXEpefk3z>^;x6-_>-4dpa7Vvq|?_SXZyqv62hc|PU z=>AZ}yH3l->OpcutL8r+a@no4LwOmocEMgi*E6~A*B6h1I^%IU#f*qFZm;*J-y{49 z_rFE_rA82zvLVmL=eK@hx)E%p%|(&<{{eA8j=yPT`Occd%n41L8McC*3wfIUosiNm z{_vaMeEFMS|LOx$dJmKSKft78TThF@+;nBwL05Mk>+sQW0c$yc9*gg`;t}TcxNrb$ z7T%cmEe%5eWVwI>2ac_lUb&F6MUEyBH<*ovC zd||hs*oD;!GiND=^>8QXZaUVJ*Tb;ZEa#5}Nmtx4kFnv(=H*CBzbc-XOM0EvU02TF z*{~-$|K5*~dc%jaXINg$12C3vW1rXr7v$5i1RbZw!*D9i(Hwt;8CU=S5x>tGm$?*! zO_^}PJUg$BS6cW+oC4;Po6Rq>5Y6^{g1&oOlVSZ1Fxk0*GdL}I$D(4$>$Xl=3l+FE zCx<4weXCo;9qtYA!V>vlyqiy;es#Px))k;6|G^iUi$ia8pW}q*$@7xB1$S^jLrfO{ z4}WG@lC3qMqvcR;rtTn`H7*NYB{|MStvrn9m1{VreG3aG(Bfxn0wYB3Mdlu}vW4~7 z@FknaKwg{`P>=r5cVH*Z689h3e$XFKpa~YN74wXTs9a39Vy!T^SSKJ4may-j0?rse z!$pu66s!XY0RH*=jN_g-HS2N#=G<}pnzj4#I zrT8PyYhBxk5%mc>cpkXSzV{11o1;8_zlfkXLu^gPeYNhBu&4on;|c(?*v)2~{%diQ z#j}8w&$xh;e!Rvu*-y2`<8&MU2tKWfeTpX=_1gRRPx0%rOqM7m3dL}S*=8^Vu9C2Y7-C;qwE_@W z%98nWE;`%88ZFD>aBEy5wgYgxOc(ADTaT03I#DA*&=Wrd{3aiO<7xm1AQvWZOECf< zt`$%=ckI*#4!~bd;8dc48$h&^9|u6xP?y4^2|xq^2ww@>uANI%y#NGn?1&%}uB-@| z>=Q?>5Y+G*`ayuO+d&Vr&;!2#78ZKw<>DxW-i~|#@vb$6s|)%(z=N4QHyj^;D|Ckk zq*)+K$9xJtG~fgAG@k-|Ale-8fkg=%bBDnl=z&$e>ckUXjc35$24Z%0Vk62VMkns| z4WwhQO5}@SOe^lWa1KuVZq$EmvtL%CryM7|c00~|Y+=O-a4Z~e9f0Oo(y%QcdJGW3 ztp_;RaFydA{4;gMoPpbqcpacLH+cXGCj~fO-3CNi%2@?`t0&y%g#g=F3Ww7HIM%X* zAJ2NSb_9e3k%9dolq8%t@#1UPUclL+GzEwNlhlIV;n-I`6Q_Y;z>mCj?7#fw2gm;9 z7yrI|{r6w|@!RkI%h%t3@u#o<>ATy-8(e%_v7dxt4%=1NboFp0TmoA~&`azsB!(@! zmi6NAF%@CO67l~z;y9}ckZi}q&9UGo*1#J1Z4(uza?pTe1W;UTlTJB(zJ?37&ZXtc z`a;0ZQY(<*bmCOGjaaXBNw$O7eAo!JLCeG(EY0Q#gSg^3SPq5L-$#ONjxGjzE4qCJ z(^e+p5f1-q5Aay_IS8V0PFcO^N)W`t0qB43#0Ec0c!j}L2nHm;1RO^IeRIVkKi>)_ zvvlnKW{#xX4c2BpE@F6x`!rZ?zPOKYrEj=QA|!wb`0(r=XsByzF&vjKW$nZL??l1Z z!JUe1Hs8U8&s&&rHZyBS^nksO3kT99ljdMjpH|$Bumxe6QT~IwfXyTtF-}GrfHrS_ z_qrlHHdeR@@UwmvNCT87NCw4XN2>B4L^aM}j~pRk1OpLZ?QZ7kD~m%MP85nk1O%;f zGpi@4QNXz@JTWm?;>rQe>x`F}TNzm$3@j_rbFrq`t))Ja9$x7cf=z-LELoh>nh75P zAb{T8O$Ia${@9iD;Qp`p-?(2F+oYsNA4!k?NP5T`Ey{VYj3ztKpb$TyshbkP`L^tx zxTi1N9P3urtkhM8ofr9>?m10Ma^=9;`Hu-7D)+!94Rw zdITjs`X!KscL6qYlviavaMd^%zLI-X&)x2PhVmZxiK@IuHJJ_8PwzU(fWCu6bf-PS zL?FQpApDeNzw;hIvzDC_9~USmar9%#X>F|Y+B;5#=tg;uQux4a1;%wyl*5lFBB8y% zCO+IU8~I0W^+;$T@^rpNO)oKKDfz(glAS5wYMiH})MO9&j-AP1?;3hx<> zBM89e`07XEBd&OI{0&GZI@foOT*EgnEK$w^RoRA@#K-nbeB=e}72hO2aIen2$$Rv& zx9O4iutZx~t_yTZz(Jtp%ej@Z@%r{~N_?bKMkwlkiN-ia%6njk77oV*XaiO&@zEAs z`udXis2vwI5+B674v>8LN8+RNL$;Q*DDUB8o3oz^SK>ACv5dqAzU(*@Rx9z5Jy`8d zd~DzYB6Ge}F+DgsD({ilo2egMaeUmoyd*waxDy|T^Bh&o2qq`2HRGH(5l)u^3`(T* zn)jF{D{If2Stp@1>lxT-Z{UpQI32+K7xAm)()!7_v!$Pf(z0ytT* zPfH#dko8RlWRGQA4$fa(w!Ly&R`z=*lC;^SU^eiQ5|+wbNqS^JoKnKFF04oF%7Dxq z;0joKWf3L=`qLNRe)YrGfBNB0ih!3``QCa}C^P`m`m7hRy-^na0hrbsFs(%Dm-o6hCm4JH zrq(9KFdQ}krcQWf1(-rC12E-Rz%6)yD+Xc+UlH?GCQ#dP}Pu4AS8ZBR9<`uiyr=kaV0HzJVR0d#D{^|jk6r>fU3kF~^ z12AFR`SwM3ZUCm8NT*u>NZ7#qh0u!-zXJ|KQFy)xF=Zj9a3iM7r|v4~Y^IHpQ8NQE zP0<}hzN?*;X#&LifW=>T&hQFil4AgX1Yjf2IImXqjBZuH3iy)^2Loa%W=b5#V)%w0 zaK#)IWk)dw55yFSygbnmpIdcWc=TQg%Yi-Kj_j#dT6PLtaM{NYH%oYpZDT!l3=4iQ z3NaD+v%9mGKgE#OD2UfrV{luUkkp;TIuo#H?|GjUWp>loWzGq7!_s7ziOlQa_r-7E z*p0O+1(ML)k~huejt8lj<_Q}ydMxme5tNcAU>s=F}6z){tlk#LL) zOH{0^Q%@U*(nt0XL&|yxOMq+#kOEq)N)^@URP1&uA=Bc)QCk=|$~|$Ezi|{-H4}Qi z;Hc@xfYbv=g@L0gILbV6R64hC-8d=TNNBou{bKHdT;%jpn~@&iY)5QH7zsD0ol z^9D!p?86t@Nk9tA@E%AJ@CipLk+r`mAT7X)W0YbBj6DtqaS7) zILf}kQFh=cqYD1OQO^RBnMMW4M-`CTPZf}s`Xe|>1$f{(=21Y(3?Rjyuq!(@pBMfV zN3|crQPU?t3g`2&faErLRd7@fuQklABoCS`m;3$qBFlykaQAz6l#!==Cj+&l8ip>^_U;wFX!7d#f6$g&u{0T?( zVYB^RNeX9o%j(Kei*VF*1F1BCR3AVp`gM258yp4fYJeZk^Nph}a8&02n^vDVYT|aN zR^%G6!=wk0nw6}?FbNdt%kvBrGd*x%I0_sqmwN%Z;D2HVMzv|+s8Uh={oCJvQc3#yhkyRlH(!16$A9?tJO1pOKYsC#-~I5zw_m@&D0im$ zz_H9bj-iLErG{m+HeCPT`M|c!+|`&v=H984>swHHaIjH&N+1MNk1%mnJ5b{=>B>7B z-EDXJH?c=978tV_#GfgyhI$k(A`8i<>1LZ_!FFzzk4fBOwzRF-7_%P1neDn3SJG98 zcUeEBcCmojt4zz7EczO@*er3X*-tQ9aHkjMTmUX0B#czd zdSt;TCx67vYP+#u(=~I8RKjxrDn}&VFknqQESz`+IPs}w$`L~)bL~|+Ar=5i0@*4K zpzE0dL=KN(ykNFlh4Xq{L9s37OLPSXtX=pRUJp?01$@of{Ne#W+(P_`D>E2tKqao) zOcY)AGpd4ywTQZYjo6m&ixd6~n;l0?6$c6%G@Dh)>c$Vu@@t?{%O&G0lX1k9u9fu~ zg*IH&QS*$+Z;2b231tp!?-F*zb8?TUttUwR0gv&fKzg0!o4s zl-!WmmeZ?zH1@AIB<#qukz=+)z4*uHqTCa@l7vn}qNtve++5tQ-4yREP za9xwqK8+~Ys0L^_*<9FNc_$p0AW}(t!Q*ZhCcps}TDq_UC$HaeVuUOl3ZrB#uVV5F zP@XVtH|Yj|eQd5~mdRc-h}J0&d8REVzwdcjie;m&!Un|+XowrU!n%qIVXICt&&B~S zE5I!#@UC30?I{z6b_3)G_A7(K%43UgP=z1@b6+~a57G1qXqp;k(>F=7^s+w7F4tT`r0x>B_ zu_|UOWS&G?RLM*+;jy)WnCAV?JQW}wW(x!zR52rvWB)jXvYdg_M*Ol8;-sQ9cb)TV z#f*)`_hK)vijlbnV5$O4dlSu(aKbFCB=I4kq;33CFg)d?YMk+>Q=DmB%Kge>-1ukB z48R52f7HydZ+`9E!40UlHhJb7E={9o#)%~wzb1N*LoM#u_$ zI-+})(57{eY%Z}RFg8hHH(zqH$z>trQmk7cW^Z=9BeAWEK3?`GT)t^#IbUne{Y2$eSkUslyM zuZ56j1?2LlXJ5+c+7Xi9`l#8MYpG)2^|iPSjO6{ylkd}B))}jxfH490l+BKz19Amf z3C|C%s%`Ey5G1nz`vGoaH;6!H%e z%z3K-6idu}I8(0;E4~^+JtAK_;V~n&*YOZMkDqam=H#)vW79lAM8h;WF4M}vbNgAA zMQOE}VC+1sa_YnfVG5Tb&e!7JFMj`vKYT3iy-8X9*RQ|*_PhW6i|@br(|`K&KYjO_ zvpP=$qym_5P=A*ijC|wL3ADLFTw-0OwxBwyEA%U^6I%z!~7& zMfcq>e;jtR@ltFS;UAvbJCR(0E4Rl5&0cuLxDb@#a6tuOvVdDWuAN1}Jr}NuD`inR zg@_rS5p;OM>9N;XK_7F?fZx98&06i^yHX)w2bZ_-NiF&+_D;d0!+#Ut z;(|T*ebI5k9EmnV1X2JKge|;Op31(9wZcVFG`Wb=vxc@{I5;#Otj*%jM!a&NzNj_9 z2$&rwu@rzw;$UO^_*jSSU!!M z_R(Cvg!Sj>3H^?3weu!=zyW~7zI&{iBjWc;zNDku!sm-{owZXqxE;80T-&1ms)Ev- zXIvTpZ?k6j-6X~eUh&wg>ZCz2XIJsC+jeftG%M$@>RyYMp>zdLDZk6}KK4?AlzoU2hfDre0~?M?orH_=c5Z zl|XRQoviR_WV6rQBES{rM$D2PWR-{O1-Rg*F(JLlNX!33SD8a@xUR7Uwf9@)7A%BrHShrU&tytsNkx8 z-DDNxC%M`UhvkaH)pEB$Lun-Ez1>eES4&XWEdj@R;dQ(?Dyo2-OU9sKBu&oSBwEB( zjtp?)R`+G!@b#jqq|Mp9Y=pl(A4H`}Okhj_3izS?OiffJo7Rf&-8?60R*uhc)VN+} zFJ&#om#t&8yT1f-v7*iU733k0Yp~yj5NkCm_g-}ZpemDeC9F(41{qyh2>>SdFU%nx zD)BjB;0XcxL-IO{6(mx?j0=uWR!mL2WBZ* zIlj(yHX@KVeHk8t*ue7a{EGwaXLp_)A}5{^UV~V{sh%+zV*H4ekS{P{o6oF_JU%0E zFKXukb@qwXNuEW(bM?9qM`6ap3A88B;X~Wh!DF7YXISVsd;Ba1px0e4f?wO!LxT~= zNV+&e_f?%CJ&0iLR7R@V&vxS4@FR1>W8nvR6uz*ic7>}qASw~Rn~JGjU&}G-prGA} zTd76~R|O^1+yR#8qa&)GT%0bx2)Iy&#l8vNvxu_W85>)xE+p;_mll5?_?I}bGX!ba zJT>)<9G>_hvF(^Vf}#}ghYOJkFP>-K#T7+wWotl*c*P4Ri8q*TEWz2~kuU%TBN@f+ zjTg&q8oMT@Ea&N(`yL0F@N-SB4>ZBW5gj&9_6kSZ5B1J~CN2f={^pGIwHdW>CD9By zy9qSGGDf2HG6TL64!tjTx6E{z+V~3`5V$R8tn+d1Y1XMFmMl)4CR^Ks-EeC?aYMKh z4oJ~3^8};-!8um3M(TcD$A*K=X68ayjQx6_m&xL4bmvj()HBOFY0DPi#(!o4pKM>SvY; zoa}Mk1#4osOIJ+GWy9vvPF%2nLnn+xDV$g(TDjBtJPDp%^|+xN!BUIRxNBG6Km+0O z+f_NgIjU90Tzyu7ouKd}pdbSC6?f~nnBM8XmCXCgbRJ(2Lph{ z7=a(E@WV574+`B^{*fTh@A)Q;)M?;{z6n2o;nd8<cC1^Ix!yOxs4{7 zs6QT+jcUbpC0M~Soh4)J)@>6x-%UI4pp90IMXc+?%39CbB2$;WtyS4bJdtrTkvo_2 zu#4K!IN2p1Ge>qgQlGGRO^n`Wr%c(E=2$^#ArQ)Pw`VL)EDJYGQ3Hqa5Xb8zN>nfa zEJ|gb@P$nYjM)*lI8MTb!wBapY)+)v8<(Rv5SUrPBE$kYIxry^+#Oz#m=g(Us_fvX z*mCB?fqAeVbW$k+}FAuyCHSQogip^7DIu^0BA*-|t_4 z``w?u_{VR){riuF4Re|~8<|42+#G6EwS!~IVOUJxXBn716dWS!g^ghA4rPMbf-Euv zumpoA+|~<52s3$j6+%R=#AP@z0`6w**CEuRe$tw)RbLSA%c%_NHBq>-m`MSch|&j7 zW%z%9o&5+IkVc>c1drlTQ7A!3gPrR`uM=>?5(npP#n$7!%m}5^$$@BUW5NU-1Q08qrREE}?47`F&sFB9?SO#j zHg>9FsMLZc)WqVSY?d#4pPE#nGHBZXO}&dq&Nt}RHyl?`y+eVWiYHTiDR`(V51JHe zQim~z7YHQKw8wB@3>Q2EF1P3Vq1DanhRp@>Ocis%AI<9N8C2R+>=2RTay&=LL&Z=B zYU*~BJXFeQu53iaLQO^`L^mx*h$jZfD4L9U1~vg(h!A+UK|Dlt;al6F=258!@&q@wmzLE_!e@t77n$R+B)GhIiuQb^`sfsMQK^S0A8hF1gN= z*Us&$8lRL<2I27sDly}ZN73VAca(wIg_-W6hcYGy7(@IJJBl6?ph*?NS!WoFc%=hd zV~Js~3{gdoP3N~Q2e-hCng^Q<(%u#MH(;pUcKodUIPLSUV?Qb`|i6)r(xQXkOTNF7E`Q*@Ql4a92>tUL9Z}%XB3Twk}u4HY7nBesSYI zBvtW&gi6Y^7C4x8%7chpF?Q{NpDK>jFU@ZSD9W{QJlzE>6B&x2Rb&bUrG=$dR@!vD zE5Mv3a>Jn#JgrXo7vLE;eg_6GMlFlPb$}{BFIVy)+5x;#dbNf2;G5?Bf8}<>6LJY7pBXd7^Nk*V-r(^R}_GX;mT@$!-;Ok&4|A1 z46G-vEd)E^41%~f%EWkefC8qhzD106yw5fWxB^q_Lip-{p-Zn1G{v)7h3qR=^EDSk zl+r+uPOj6iLaKpq)|#57+o4Ck@I148Y#2ik`|+Ky>pv7&T)(xR8rbl(HwM$}vG{m}wcT=|LFb#wpwRnuqC1 z5Z)CJ9%58HHZdBxvnTrpWJ*^iPAYa{fd!)!(ugR1T~>~=o@`$QMkPE|JvU$@mNTiH zgBx3RqCcOGywL=`v~W}6z7&}q+u{!D;RDh01ugTF>UAlXeph& zq|+!`ve#7cCsl5q2M4o&pi%~ogP_`=hRoHi>J{VU0AS-)lGi35ev2c|dd?}7!8qj) zF2X@0^$2Uh;Xw_McEt=G7=K-m&Ci%mE0q#Z+@@NTNOYhPLY~!_sVO5m*Sd54HmfdK z5bxpve<_@n?TXj3%!C5QU}%*t=0l8PY0OWXqhk?VB24hh#3Qas1s*IV%+8V9OmxH%O8tidej2Q2McnVOIeh74wu$oj6NQ z?$aonr;@bgF_dp|rg7vrNoCN*^l!><@IzoG;9SEWdz_<))$61*71&GyBx^bj;$yV~ z^B=KR=EJe#M&^D8sYPLQ+->HL6E7Wr@LJWU($Bp!Y2;qhW8QGnfqReGS#o)1zLJYdSt(<^*ROOKfkIq ztR9yD|5hvRS_zypOaPqUJl3Mq)b)H2RvN44(m;_m4M;^@2P_1mOYl8=4Y z%8)F0E3rzrBwg%r^<9T&-!+kGP*pqKeb*&U-d=cRGZ-5yHkKpaM&Gr?SMw}s^j&Wt zqk8vUOWuA};{MXQbt%yBNlVWaQ5+4|CVJ-_r_`=d-L zVa49{UGH5AA+!3f{ph>)=1wPB6{kcg^otn3qwl(}qwjhG51e=3HKFjJI{@_51iFBi z1ZfwXNYAJCw>dS+y5n&9+}QJ>pCD$+KJmoT{#l4}7juFW+Omfl#6#{9jbSf#rxf1> zrq3*s#iJQ%j;wdsIwEVwi!ENEF;$bh1|`8u#1Meppz6pX2feW&K@J_J>~PP~z=t!lo;Df$?vRhc_M zg@&0>qAW^6B&x~U2{JvJuNThVjBRMbP;Hd6r2F9esRVPGE~Avhte|8|Yq(asNTz5M zvN$AFZ0f`pUFyCj0#Nt0$4eg|m(sJkua}ah4Nx<$X>I3r$rIYV5XS=M-gaNBes-xi z1{_wpZFFDHkM8TufVGqywO~^9UL1aOUneEe=EmYSbx4a%tr|>IiXT6%zNR-F*lHf{ zQyGm*DrGf7xDD$;D8k~X4S*nDc+idxY^&k`SN!VYkNA)d@!B>VVyh~EmfnsOXVK=` zH5#Gqwt_(MPpc(1OXjs78??>yS;ALINVvVs{!y;129uJ*DpuOm@ z+UUjK{n!iV1RS=jJ7?gkjWb@%F^z_7^=#VC+OC@lsf042Up8GEAzosEg8I(xe(X4~ zl@^zcKSaXn@xY{m+8^E6H?qpxTzm#u%{a~nvT6;_DF%wDCGNEu`%*KuzuY+~I2&Bt z!h&-n)Ho+#g~@cI8QZzhjGdm%*lRODu~#XVu0Dr}*#FprvQYKn#O*IcT=idLKcCIm zNxZ<0k9;smn(ABm*^E6sy0MG+>c0DzZfww@gwhNj3S3q<3`kF0t=^z=AZxZQ?dpwc^(G} zbC&vYszFrLOf|vOy#N8stN@0+z-DCv^xTys0`iFJQaO3-a-6}%*riSkPGnmTg>x;4 z274TwRsE9zD;XgX!j}N{6=}zK>^XM>2zVW4Qa;W#eI(8t6cdvOX*LvNuWX9SrI`Oi z6&nd|nWKyF)WUkGLP032yb?hR*oYqy0mY1d1&3pZ+GK$xsoa4U31J8&R|!an1_@Ha zC1eci%F&eq4!f)(h?$9(0aA))g{4NW|4<8%Pv?RY_hMMEx0Q2l_0%|6&JiviGku;@ zIfSj_sr1n{=hEjgh=ppa;`50TIPYRhR7^G=f_3cjv)gruF%h}^BiCYy6-6<_Ja!Cd zWl3yg`C7i(4A`hD9T>^23}|tHiX~y^g*@Zva`GkZ#c(|Sc)eA z*4LFY0RA}ro@CS7wiq#Ki^APgTtXlFqgw0%BB0aTch@$}JUD{HD zV6ZxLy|mn#!fL;9pH=X7iD&BM#CyL;&g`{#gNUCsuvzdDy z9Y`v3FN5ThM+Xx4Ua|eMOWG&u~^?ENIBcHco+omj&R7kiLhVQ^VXM!>QD%f4br?knCaa^~9hqkl!u>za5 z^Ao;Q7uC(-BpfjgWb4%^!$l(=tfEuT5>`~J}_4nTUfqDDM;c3aoM=|s?RC@bz)v9zXV(hg?P?OOKlV$L)^?H+Pm*YT?53GHaHRel&Kae zi>TGims>He{MgFvlupe*6I#=HhfPC`R1QN=Kb`Tbj}NB(7KQJOFY&B*%5WCGJ<@QmT{L${+&$aXiA!4U;Sq=COim%Bbz~u>L+xj|!b4X(9%s66v7Y%pt3nfZe=Bp+aBCW*{`7810@M~iAkBS{poT#=+ zOy*IrbMF~HFRe)r#!o%+CGD(9nqpk;m)4|3b>1QWWe4L&rCj%(@gr`nXU|8bN&2qV zP2jNgP@=QWqcbTe(`23UL5Gi9PCci=t-T~{6l`7>pjcZ)QUOe!t~rd!M0|GE%WP%C zMiin_mA}$x9VW!-?EWQKCFbH`mUG^D5MEgWUt!)IKGk{DPP8ZC6aYP-lx%!67YGZjv5EB)o1ERJf{z-qyCxH5pJSAQ%B`k{YV{|kvcMYcX#S2uVJK)_}h^> z5;!s9vy9Y{X@YQgSe3<;I;yjX_;>24K2k@RlBOmPaR)x8j%vhe9vmo8-)rtD3h^ml zbQ$%l@ehQe_zfc)&(*{haJPv}qk5Ken8Xhw*b%vi0Jvq5$W*h47iMPzQGmtjx{4~W zxqUorH4^7tfXYfG=Pma>Z8)pNXy@IXH|jWuumq8enx0pABbCh56e4BigLSTWJ*}I^ zj-5bKeD^8kVhNXxypf4o(K~O{Uh_tLobpC0;%{J|s639;fLT?yN<6T==8f7TZ{$bb zNVP|z17iV=ciL!O<{{UYUgi3fHsaMjmFr7Gu1{$rolQWJ2wen?SyH{*5^+*W8?i2D z%Oh>1tkI;jQTsG)#J;qVHrnddsyHz69H1D$POCHcI_0WS(<%H zQh;|y)~G6LRIZENscN*f(twf*#!J=+OHgmkKI!Tm_=zj9aLO8Sd&DArW{uPpl*P*L zk}@1wBh18|HIltOHkYI(HTd4^3cL^tM$|eh{El#J+ekKm$muRH^CtS@2ug*}Gb{`n2o00YPdn>w9%WthlT3nFmtJXC+hvd}2d9 zz>j+^tzuAC7p;@U&OP~kT5vl{Z}u1^vsbBNwR|h-$f<9iR?Jq_%9m9#Qs9TxO_D0U z{HIdI-jo~r@TeHG!B>w2%~C2hFG|JH59nj2yJRLYBgM8uhbF4`?t@hEfIjo9QgOVM ziWU3``b^7_KQC46Z|p#_(WhjRQuAaRIwmM$picBH}s{jm$>PaTULN!ydMCz#cOVtzs5&6Ho?JZ}G-G*n=$Gvx;dH zhvv*FwV>@8x5NNC}fy!4JV6GobMeduA2q z+?JoXCyr`adT14|{CdIE-CD)(q>8;@k9jGUN!bkm?QCvx{ZZn>QMe~at9ap*VPDle zi7O@8mV^@M2^&zjO1Ku5scn)fo^Gw;O2p*Cz&!XvqfCpuym!0UOD6NL{_0Pu;sVT) z^#HV9k}CFYdaG4zI8D+jHtP8rTE&MNAXI`KiVY8`VtyM8QIxo({rV3Yn>W#BB3W8X>@TXn@OZFE;P|e*gPl{PH&=fm4$C z;`2iZ{_fka{`j9iS1t4Nks>G9rGUGuC5U42S}iM&YFP=QBPcXw<(g_`k$(yY@$fvI zTd0==4pb`><={{&Y+W6pL|>aEKZsd@!0oP^iBtWknSq_me%H*V!OF%2vAg_4Q`c1p zaw#KrP}^BHVKd;bL+tLmX2u7rwnnV|sF_U(c(10*AePNgp1EsgT{W|sjgpDDz~bi+ zye7Sdp za)isTRWmJM+qU;rGey$A0k#ux(Mbup`)N^ApY%*YP(U(~zk;e}RaLY2S~cSj&dh4G zvwx;)Ha*K`Z>nZp=Ek@Dm#SvxYt?Lemd)N*&DelZHH&YnW`^tV z&#D>cVpPq1+IT57vt_Wc-)8~@Rm~27&!cK~0J$G!GveeOpLN=R$<%C?_jR-Iwr-X_ zt(#4wa8|YXZ|Y`=CvjJ-kGfe~owU*%juDi)`H0z6-ON1eW^H;coL!&P&Gy&2Ssit= zRU7FaeQl;c>Sig~iRdJC+=}|kRgX||OQ!f=RyUho3um9Jn?)7IkHyx@ysMk}yKdI+ zx|v^jsb}HrSvTXj1OdoVH{+s8Y?Y^LgyL`NW=7rRFLkr&E}TWpYJ1epf*(YJrd6q!fV|OjA>=+ zSqRIDOslPr!r7y4w!E#I0hvBiH!Gt#{%zfCx>ad}HZS#E+RxX`Bp&r+bu$j|IgOh< z3TL0x%?PQ4(mz`_TOM^Y1qrF8=|~|sagYB^WwYt1Ak0)a<_by73sfTd?mOoCQ8p`g z+01`ZHdCv@qIpoGZdL{6Iq7PVX!BrbNA70D^e+b?+MBxBer+Y=39P1FC?CYCPxH~v zQr(f1mb_A)H}kBUm#JCArs*FZ~*UQX^Whfw@#%rUS3T&Gckn630 z3{q%Z2|HoT4qrg{91p-qA2TBs_5E6Pyf-Nzt7=zcA2TC{DB;DS>SJbvUvW|#A}Jsn z!=GIEase=#IbPvK0ojL5C5xz9)uv&On8VRk&+TC$PHZn$cnSg({Z>G(D#95rwLKSS zRkb9*0c_jFf?L%q$U)_A!&3oS0>}iu^VHg|L=G{BWsy<l8(6EgkFgiOrPn_&?eSc>Ug4ySn*Y`E0Z`!ZR9 zCD9z;42w_)HM=5_V}B2e=r02@IV7_*j?cFm#}=@Yl-j&BAv4_*GR;%uc$dg=+-?~m zU)mTJ;a)Y4uUq378#H}xSOnYa{OgF!HyX!S+y(G{JT;E@F(FfuCx_c#hD98>s%ABK z$-IahOIKg{8Nzq7OWtiXDz4&hjq;)THgM=tFLdX1RHeJzDp4CS1OUrqZZ{B{NMV`x zOJM==&7Ktq9KdV|+QfxJta$_>RK*U!ebqQ7Lej)5 z6=Q)6n1&=BEv3GcPgM)bV@l>@Sj4SyEZD8<=5LK-%#F*_qG1vB&9Df0ySF08pVK(@ zuNudBXdF)*u!qR8O3JSy$75JTQ@K+a0r@rQd)ykwOo{QvJ92uADb2t9>XFeay&hzMT~NYxmAwUV64%7VT{R4;ItspwINuRLwSfC z7eT1!u!zYI!9HJ)JXDVB7?T+uD#zF&jo-8yZ97Dc-%g7VVB(nJgf7c$ab9`BFFO>7UAEE93Mfr_$M`v zC1%2bn4TiXhhMONi$spYtH^PG42viYg!I%n*7&u#znc{?Rr6+8gvMoFdw0SZ8YS~) zSj1eG*I^NAZ4_Q>5@#oZaGHgPC0Myc{YB$g?Z6UEmBz8$jy^()EK!NWAc^BQ!!p7A zD@$A@IhU+7j?HsgCg#FL2|SKj5&URZuKSI|abEEUL&SX^Os6*AR z3C|QX5J-QjeiHpe47tpBFk&&O9WQ>6wU5zgPxw*QUj`s)s+NIwjy_Kuz;LPqj5Eeo ziE;pr#GKvz|5xI~u6`kO(eDaH5v*c|1eY3L7gg3Plkehb_cDe+n6Pq|O|BZxn5Eya zN`^us!wEa8WRyAfjj)IRsJwl*)EnLG4CtG=R_k&SEP;N!ZOiEU$Cg{5w&WO$*_z@T zOby_q$ls5vx0o&SYLl>Vly$o_NW!YXF%OE!rE*QbMf+4eTAF54X|99xKxG||CITs| zA}X2G5lzUuSXdf96n{M>G^Nm0sNF|5kDtxLyV9e6@9(^T-&pa@{AFcm&m ziGUy}2R!JG=Mfmf5A7X)q|rvaVIT4Yo{)PMUrCt+sV13;H&Ug}0#mH@N^6*TzNh5w zm*$IV5SGIUVS;uiaG;u<^paZfprzeQ?|8xkMhPBEv}7&Z{4n&6F)vM$NsBs-#Lw$Q zN47raihHb<+SAUcH_&7d{IMh(M8EJYCK*f7WI#>I=R5?DcTm&X_IYq5ePvV}&(k$- z!5xCLcyMLCrmPO1X!>0jQoBr)q!@962{<>?Ga^H7$DvrD;w-)w6Z zSgul{kTevx@V@yHVL}9smr+|RbH{P1udXXu*I10VO6Zgn>9z!Rh^Od}$bVPpvdxet zTa4cdNIQ9qF_x(p+dysBCdP^|GQBMA7>bJV+ny8sv7{#U_GgzBT_Ts;p_>w(F&v;?t>s(Dv9Tzyei7uyd>UMAE) zd!D5K^v|p%^P&VTs)KGvr=l~6p+m}(;sa`jYQ zhqqpQCG&egsGfD}US|7Ot-t6C3eocY%OXxzmJA|@O;$I_ z{I%;j9VC3)QC*6j%C_EOr_e=6vzT=z!VkOBiQ*#y2xEO zOML;5!E8%1qz7){vTETL9J3*B0%^*vnMC~`-+dr_u*anZzZ&*y35q`x4G$QKb3%1s zgGd5@@I&ibWQ}J>Zc^DQ;kQBm`c7_1)Zx=F9PJ=tgrZYKyi^BGao*$f zNIftqoYh1;#d4?R`9*mb9}lxeC~L@yTH0#DVt9~|k<*r=L}nlc*p-fOrOGO?{FB|=hM)IJDp^ZTi$uhT?Vr11OgGHKLWz~`&*MHHf)H@?PJ*_cPAd5RjrCuGjUb>xHK;nsm?#Bm{X7W==?FH4{P(x5qsk?1rl!N8Fu6Q`R{kX62%Ekwii|Ir^>}H%dB$@D`V3%9^~i z2A#tqu$x^IPeG^Jy^jm=`tmnoryu3StBXYzs3>sy)M|6ALz0rRv6f<=P-Hf90@QL? z*0!uxLp1!4z?RKMUm73hapPJ?u5Z6P>mCJAv%s2^+hQ#N4#~x zB7E|R6GxGUm6j{XQMCaHRB(-d`CFt0Ln63ZQ$x(O>rPzvMqtiL*0I_`!DsG*c)4k( zkXmHNmYYU_*SI=#MEy!b$3$aR(n>5D_KWp%rtHvwW-QL>;`Za)AKTpEBb}zfyOBV^ zrz;~qFE_ogilG41253$K>5kKT0;eT?7V69q1y!cp&DY<`7s9=ap=7r;UGyjO-bFC; zdUL&02$2QnJ!&Njv%kt9+mksS+Hau4b4<6{W0}nC-Oe}EtBe<9&YJ=m_M;6@WDIk} zF}-K5m;oNpf7aao;beunrjT%V!k8lJ&?79A9kTt$FSX@-j_dBw7>kqjB7-%yn&nBP zPs?*?$q9Km-WtfGCyn`rs?Dd#kWMKt{aumj2&i2MS@b$m{ ztZl;@hvTcE_2!j@!cabaqYy} z_(jm@<_b|=b-Yw|TBvptmrZj(;UMa49Vh?nv635}paIy}2`4=$&mQx}WBT~=5|bzd z{mWYrIc_cl30J46#2Tnl<#7G{2*GT3bah3bO&au>7@lml`ka?#uESzHJS`^eJa^JN zVrB^C$0b*sMrL-p4`yJkMsJYI zo^(D~%u@ZlLwuCSN?S{#>>4h(qYaB$Vh|Xc5*x})uVl%SM&cvbUXc=KqsSF03+P8Q z4~Xp7iNKD9Lo!ahe-~o*C$0{3YPFjh$Xwh}gT&H?%8A-HMbEB`am{BvWZ1Dt;9{UD zmMo@k>BNBgSEdrh2<@b(v{HNb|;szx`SGrA=&Y8DFE9x#h zs+PLHvb~<93(IP5RSOLT?F7LdZG4|mK7MvNCFaTceL9`*oY_SuT=*S@cCr{&uYpH_ zL0jUtIu+47vV|j<-Lli61ELGTIDtzGFZEm~T=N@+Htl@)$l80$Wqek@NN3Z4b5lKZ zCnw7Uh0HO?c^gj6UwMSftWrg1L?@nHveH-T=k!DPl z7GJmab{&C$QxwXw{WHJL1+ObYZOqZu7Z=_JUBjZ@kb3!qp1Kxduh?P7f|kod(_WXA zmu4FvG_R^?ft*{4)ZDWeg+1p5ksf>}>N!Fgd>G>iQtAEG0tPR!SVxoL%70{Ldu_IS zfT+YbIBJbFuExj@A?ZXOq~@)2jih?(uxUAaQmKUDzTVC>x8v*tHf->?V9-d!4^`w? zA`h=B;9S~E%KFE{B$~u#PLaW~F2Tr~X`&$N{b`g!R!D7UlJp{h=+wax`RCLD_N&J^ zpyb~d&WJcYA{+653mN+ZOw3oI&`%(L8FNSeTzNEZYIt;@&$>W+Vr zFdWCMgoA>z`bSB*GLN>;nh#LvhKJF~xZ1EaEW=Glxpy_~d=s?S51I!YmzWWh*0J>i z+cfy(;!)7C&r2Vlb5LAyr|JJC3DmL$;M|iK_D4Po>5Oz#TmN+_AarZ)MA674dQFVE zpU29v?47kkc|cL)3#7>?6#@Fae=ByUjSz0HDuy#!*^PHq2fq##6IL25~68 zt7FR3d#d8VF(L=b;kaAcI6~KU&N!I!97H!x(%F8PZ#?()~;DmEQLHD$ryF;6_oWskiDX91B zs`Ifj#Kk3_y4Dp=-bU7I+%rm!v#KdacT~mtMHy{(fdoAHm;XRS5x>e2J)4#?ROfHm zbk&NfpJ+MDOWBQQg&8>)f0dUHoiK5}bS^YW>t0ye&lWBneLvN3_~>WC$sOR0IyCBDm`7OCneAiQU16Vy_7EoWRycTs!l zbKgnvl9^bF@ho*xU~6*;pS8lq6_pm1BWq}FGj*Vqve@c<&r%T+{Pfqn01itd_Vy5x zDvJ7tkAt;>>_Dc)GqlkQ{?*nZr~rQ#90{9Ki1?QxGLN+aEO#%@^xU+)*8*tI%^)Rw z#nPJ1@>s{FW7H;k?wr$x{Hb%PsC{%+SFJqfVbbO^!&-s(d)K&>?ap;MW0;c8Nf*sY2zPs~*iIHm~y4<^DZOW-JTfJR6-+RF5t-_Os!u4!ZVHz;5M(ZW z7eF1>3f9otJ~LJepozkzqKw+zTS>+c+jAjP?M09_T^}L!p+dC`+ez}F5C=cYs8?)G z1GqqVTJyOZ`Cw=|GS>^2nktvD)orYo*GQU6YItSHq~gDc5=-f4-OKD8Cqi;n&+Obo z7|+Kyc1aBocrAizTAQgi%&$5v-Z46?0uh}Shc;uxUJ^YZ@sBl&C#dY48mm>s!HP{k z#fin&WWa13gAU+NePEuBr26@ux6!9=v)b-E1HqfB<*pVS{`>T~oMfJ9L0N!J_flvK z!e9e^hJHr&!c_4(r^*B<`?>!5DEV#K_&-+&>HTk&1TYWAoV6i~RXV^Alu9q8KsB+l zAOFSn)KfsSKQYM_3e;$3S z?EhV+9RIXznZ=SUp-JiL+!YBK=3hTJ5q0j43GM_PP<;U=H`0r3nI$f(K}nIlL?*{# zk2cB475A1((FIyDv+)ga(vD*uy^9Vdf@Gnf)dP3;Z>CkR4yS2!;qoumeUE?0)eWXg z*Cs=oF059k_#$zHXXF3XKmv$&; z*PGjO$?C{H?`3W0gyX}6CgH-as77*HtokM^A>M01Fm4da9*5FIn@i9_0zWpC00Oca zI@90db_m@AwIV!}*Q>)L9{xT^;e4%S{hYJBpbmv%Gj7SqS-nk%WS0dP-Rk2V9-qby z6cY!Yne~wUDO79a5yPQV8%-R~=AC`DMmWLf^V|>q_(p700}`TRf0vwYdUcKca*cvh z3f@`=nHi7*`CP^bBQfHCE@>S5ncC8$U-7)yopWMjqn2pnNLhHvjb_*q&P%ShvraiW z&L>>G&N*)(`y2IhF_C?Q_LRvkWa7OIBaJs{O7VAWJTrzr<@R`NE@OFS4oxM3X<_|jM*(bXMQ1M2HI@@mw^UmC$ zjCytQ&Kze7DgMw-kWigEDtNcXv7PUbZ_`wcaeiJ0oYQ6wN+%&W?R-<(Tsn z#Mob#kaTb)>ckbZov>fRBUk1FNv^Asb9^Y{v;`&koSB;Xo#~qx@U{%mr9Q4b-rqjm@KHeyv&xpmgrcjMwEJJa&lcD1VQ(*>*-=h_ju| z2=_SVWN&gpJ9mJ`kVvn0|D;&Ak6@+7=T0gg(bTu`tb8YdeCe4qei|Wlq6%C}W}P8t zckW4ED)tgLbV^$jt-NO$XU$1RI~?XzQoyg~&JMUa$4Njs`<8hN@CWhVRl&p$=0cb7m(wrvtlp=fJC3XWL(w z^R{X)r?X$KXuHo%cb~R*{T)-MmDRGm<=@l}^K{p%)fk7#FYU=e^Qdy)%1DQnC~%xL z<<8VH;)6hU89E#$>$$1yI2yFmp>#ueE_r}XhG{hO|8k&Pq~pVkuh7FHe4JuUYM%8W zS<260OEp~z#?$&9L7c?biG8lZtPT9%AcF;5)1qM8K|l_*yrKlI%xTCjR@&Ls=$k60 zH`;pZe`bBKuTnuSA%lJPP!Pc-$u>ha!jTAE<#;9xB8J@+t|1<~>BLcuwbkj@8IWm^ z@7KKyk$+!`XHHQXK&XY(dq9f7*ewY)^#L-A zXKwAbFW;@Y}fCh$i_Br-VD@G!*N}@2J(^p<}W;v1==cO*w^Bv2mRU=(Mby#PH+QuNpE|N+Fgp%sX}H?SJ6#y#d%d@a#l-VVMoGu zsFPC)#h11fwMM0B1eTiV{ySJ93CfWA&YsM3m5fi`25Gu<0uzVmbq5KW?6lqMGsW1VofQTVNgw`h5PlgkjQ{D9-B(4RUStR9Bp#$fDe0i7$v`W!^e^vN<+P=S7`7BwW*6*R4f8ioR@L2sC!Lt zV=+}gx$vKivKaJt-nNs{B_Ry$Hs&g5cKq+I|DvC z8^s4%>#9*}?CJLhvP-W7Yv&xQ^F+9V(Sp`BhlyT`R-SR}X4aDdDBXb>!;%l>i0 zxdaGrzAdVpzJStkPWJxKnQc2kD^Cq1hB0~e!v>6R2-@eN#2j)hO`Q3|fp0*c_*lDw zym&zLx2)_)4TIAHJ|G3YL1idBSDdwO`i33t#D+}S+%nhhqZ=ID^bKaYENVe{H^~qG z1pYG{H8Bt6udr)u?nDp!3|Ia5X+4D){g*Z%q+2y8=9q(?$gH-<+n0UpdPTK4Ii?>2 zYL%(quPfn*n-+%TG}WVGW0b9oPyy{)4Nb(k{#XTNiQ4?j+D31*htbVquSq zj2f+W{MelW##Vxre7VAU$w2rxs}>>@V;)n_n;8cuhGzcy57+EU52w0e4BFtLJC0B( zFdYEoJ&mx*1!8QnFZ)D8xDiF27zS`Sb+3I*4m6yc<1O)h1_4nHZqy|GX3O zDCIl}%$|*TTaAgd%lJ>O8^%5#ztK# zlX+S?^3q=7drAlESL3Z-@-Cjd%`eDb2j7fJS(}K_j%xWTlgfv3YOHu+x5cR@=6L4N z=ckD$75_V9-jkSLi12j(2|p#*`D~0l7R@mH>-zl6-B`J_tFs#T9r3ISL9abJN%M$v z^a8YMsbAo{a=%dCxlkzVUG(L0m7hqDfs&<^!te!_T8LN~I4h=_9k?^wDf zD~=tI3j$=#kEV=Zy;K@lm<$f{w71cwOSk`c>cT+hHZ6brq6(87vGcx^f69t!qLo{r zA8IkYg#Nqjba@|Ot)fQ9+^pBZTpS`#U22uOsm zJ^ENljDS(q;$JHiw)UlmBHkiCpY$4DEsvYnCqTLux3&-`i}==c4e0m#yea z{-)4lP3mw-sKV`aIUd?cFyHHLHun9H zvg;gR6%3AV>}xZ||L4HPEHV^22$r!-ihudm!W7{iH%sS@M2cYpHuy7;hCN}4trZZrQqb=P`uMT49Wdcxa;!)I9rNa-@(Bp$ zT9k?s^1%M>MNR$O2Y-Ay{1SyQwe;N@W@Sn3fMy8KuULnLCnN+EH@Uq4KlOGGDb)hB zp}tXYk%sC)LJa;zno+aXq%Ti%Qq1&8DQ(K)VY?q=iPxHMW!q~~4&VYRKIokko-7Fs zj56LyT~JOVj{|uajh-_JFcUt0$Q)CT9Ljrfxl{IJ7WoY&8H7Ko_*#-qx9xw#JtkR% zKaWl&`t-18KT5uqDUn))XV%GvwIho@>%AfPxMGwoVQ)_(XH91+**H>CD~Y{Xt`CPI zQ1w`o!rnkQToh*cEUyL4|HXFNvY0|fT(`v$L_H~#3BD40lip;Q%_7%_)-nX=U}X=5 zPSjm=wY&69ExYe(=;y9`yD=}&(h@ui$p^EFUgOG;+Z{3eCXB*+tEim*!Z;xj zCnXgBLBg^!;)q!NlktLlln~9bBq+n4FU=yxntn7cW2j_xL3~!KQ;fJ_h)_qo+hBhw zlBin6XToVOsMH&l6Bt`h!oS2NM>m0GPmAu(>%**Zs3OI>OL&?{B&zbCW7d#(G7+s4 z;a5Rg`U2ms@A5PHM=7d|ecVu_WL6ZROO&WcHuaXWSm;sc6j1)fi1j z7+O_zQIaI19is-iPJ&)*8y%AT1<6V`o+hc|{dX)#mBRhWBnv(}B!&60n)ZFJYW|?u z>cI^!!I%27`#HpK0l{v|gR08!ppCTC-kT-MTweQzdgH5B0&1AA} zt!^b#l%s(Tam?SeXn`Z(BPhxYN+}p5q%;JwoE(|+Rb2|?w=&?SwHoxNxHrY zSkm!I`7p?4R}MA%Xb(z3AalI-t)isgl4=lJ#5l!sx2iy+GZ%#?tfUCb=2G%3W4v;i zKxOnjv(ZATT=_pIwTNs?CjHo`=hGli7d6uE*pmX7XbInS=|_YnUX%v6m1~=o#h(*; z%$f?8DIv!Q?L8dzpj8Up^$5r4HEa_7+sPk1=23RshGj&7dp~5u{!I-JK?jjxQ+RW| zYv*`I!01)h;FQ_`S}5{4+77a>wDeS+%VDRR)-`u*T;N3?TSlN}ob3n;tEr z%Bj(1hq=p4wemv+hKl@PB;oeglf7x$T`S zP0Dn1RhUv&Tm8Hv?GvmE_{wTno)7H3*rOPkLL?2}>~XvD7h^}ONHlx3WSrz!%b6gq z5Bl#^7yXdzmR0Tf+Udkd*GOLQVS~sX{{1Pa({K?p{b@Qr6@ue=SS&29J!-i_L~Kun zqcYJ-Q`|KPp>Zir3M*0munjw0{-aN`HoCPPmg=gV;*g2fP^ZC!@ccrJOx~dCUMc!J zQ5CVClV+bd)4YhvQSRDfrbMT3Nwl=BUYT|-P1gKPN%ypk@dODVmPdz`N1eEBKrTQJ zGnPJBg}gV?4qDcb?qC%ecKnQRvu9ihlUyIjb~;F3=uSJKXQ&Z9C!PctSK&ov8P(xB zU+*xfs0GbB=FEv(eB;}B(uNQT1&R`nTgVGye;y=Sv5D^W{SZqF2o>)K%#im$QabbQ z@x3=%X$x!cFmYNkfA29~uOFuot`NUOLZt)c6=X`;jq*)~eZpk&X2zdU5n zzTogDx?4Os-MLEKax424%EkZfkaVjr$DyZ(fBo}}wDA4Eime=%R0QN*E8cC`_|Jmc+zr&gN0@(( z#+8jm#3r7^ZQRNNxpEz1bUA^V=LH`oJ{}ikrY^Nx1N??K5$#N03NNw8PNm{8#9r=Y zSj@jlsoc^Ey3S1l@*%E@$cxF9uS_2GjSjIM_sde$mr+ZgXI-GD=zN7}0dkf-5oU#$o-7tOpWDewS703>`v#5D`2FG`v+s(W+AP z5&1Fs5gKe*i@=F6C(rFIinFMCq^uDn%ptxE$2`q#@YDhuK}0}KMjb&~5lb6tIcymb zF$6O5af^Awg}-P;Bvsc{e>B8pRcTjHr`xcJV|Z#}09ECwF(>>_-3dal{nB)Ea-@w| zDek>DGsjd^lDq#&sM=xpng!lDtN#@C+bVeU;F@o-A?ZqqY&ok$_?ZLHPZMV$?zh@T z)Mnu>90LIgQsrFQ5+w@2$E8UsNaw@+s2+7yh57;rL7o5%Y|hG~zVOI*D(feb%1mSD z%uebQXM7u|#9HhPKoL zW(})+9%QUmIG08c8J0zJlmCpvoSD1G2BCV5jqp;X`xd3l^)Tz@fH>GE5+l0Sik~tR zg)K{Vi)3+2or+Q{aAXq(xiJC_6)}D1A^qb+<7rEC-B5JtrGpaBw7IH z{0OE7APRikjJYW){N0u2;iqL&I+H$=%vXx1h*^^NpYcRydxQueFd?8zK8ZFz&B4u@ zaapRp0#<`TeB=<`_suv5t0U6T%E>=^zuo>6{jwfIk-0G9PC6U5DqpuQcX`0S#w6>8 zv0sJ^v$TO8lJ;_;UY{9bGa7p7d{Unsof58-a zbiVgD!c31Xzb>-FW2zI5U550w!Fq=!`v^MNeuK&s`^gQr5Jg`o|5s_|k#z(C{cmP}>w`<=8*)eOlu)bLg2MOoYV^D5_W64ZtK#pR~S zYG+7SQ{OSu0*(^wizziZ`4AR2&#KIW!)(c=d6B8C~!C!_nyysV#Q8Lw#M$jv1`jh)@Ken_%oVIoL3GEy2+LjgicwtEW5Wl38RyTP$j< zR9n0__1`)0c6n6LO*wtka_Av@I}uOY>wrRdMJE+C3AfgXOE*_Ov4I&;(zQ836l73PoW;an_m5Jf?t}0|pAeU{;soN$(yq?+2IwqR4 zbjUy&9|&4Sk&btc4&?el-p_TAlMO9>ZcP!0Duc!ZcLY4~)ME1HSeN85yn|n+l4%^&-|e5@J?8mc?u(hc-JQFzW&=qeCbps0m-m*k z{c5tGg8cG~R*paW!Mnl5AyWVOve%n{@weY2dFOc(kTL1}(tq^wGs$n|L5Z@fpB*#` z@!JXzdLts^TRGk(e%tU*_w!%L9~43ZgL+v0#r-Sau8eJ=F zR+`!2H;^wzfEJ_GW2d^=!4SV0=(=syw&y+}Zszklj+NtS`p1;p7JAAG++}Xe^=OI7 zX(7@T;@SxK|2_XKsP}7}jkE3XG0A_Lt)CrcT(-^8z+9hK1Fjo#pD`LNRZGpYt+bxI zkf~Y1un}LgGB=|d6-$w4g8mjQ}~+=dYnP(~G}lEo`4@~l?;R6p>=vf}H87po}h zCFMny$&%msB11F#&FR>t14}HnP$}9)Qzp{f*^?2|j%JkA7RoFriacA-QT_t3=Q&|0 zb{2~PA+X(|YHtXjsiQ`)|8zonHzX+Z$_PHm(w)&#|0SPXoBVHR#%#sPgs;hEjAgZE z)4f82y6W{6JUN4K?2QJ#M+H+*wn3#;Hl(VFQ7wJ-=?Ra|lJ8r^+9>@4<%wFiB6)dq z?tJFYf;|cgFL^Gc=Pf|^QlGrPuGsFcm^pJeSMe^P$kRD^`~p<6@4;qQTy%QDegZAZ z89{POVbg(8fAUhoKODmW(sXIUR0SOOuExr~b2%Km(>~2k<^7;)l1!Oos02EI=MkIE z;iO+m$6VQq9BE8S)6fD;5(ie#+c7$(5LZ>Z^}w!(^b#vZlQF4G% zqfr7lf9`qkC(AEJpovKj2!@&ws!79%517~bi4^&Y0och@tGUJ5Zg6=Z zC|-gRA%>X|tkw{VSwERdCD2do|K6cUU6W9hkE*j-;B?K8>Nm573{9LHIbkMb6$+B6 z;%Ka-@m3^kV_gMiA?g;=j8N4zUz#E+J$k@r*+_dkRHb6~QG*EWTQOUyh8$6&>|10?E0e7BF!X2wkFXrt%%IxXm{wB z%fET>wx%BAW9CE1Jp3!jfRnC3OFW1lKs?&KVgUB*;9=YSBS|SBFUCQDo|%vy7!Xlbk<0S zm-=ebB~N5lj}vTmv$%q9w*OM{Lhu8#L!-|pv6CxI;Gz6eL{tUxbV?E>)5nWOv6BtR z=#D#!(g%r#DYXXsu_((iN5d5gPdozwm#en~iGVwB;mrJre-?01$8TaX9JsNm;(O)m z@J*p4#e1MzSrQ^gnh9OZX4^+%!zM-hGx^ygjQ0qWtNwUGPc}fH>p>>Z8o^F}1WQm= zD$WN=E6f|9rd?Kc*FQT-X8KJ-VT2!l*IYEu}H*1?xa z1yE2ckk>249)u;GPGV!4XUqX}s{dp6@mD0z+5#5&AR+GHVM7w?qnU?Wqz^K3OG0gR zDUv76#W%>Qc#Th?Jt%Z{tbD z7AbnP{A<(SFgq_8eqbPZ0^VZ&_(Xs7am(F{Bpjahxvv#K=$+;;l1NlLq5QxcM~-+k zlKA{XQ<+6=9zXfCHG>s!#2iabj;SsdI+7?YQvNlDpS*959Oc6vUeuitPA^6{(G^c# zj%G!B7|$V|JHL?+lpcs+1vsfgZO4(T2ovkQvxN`!#FJx48C#0IjN&INH=L;c_;mgM zA^eHNF!R@x?PAIQB^HOZ>8IW-vjRRxM-m-X7bcrpe2`_nHt>^gvseK)qoawbqy%Dn z0bBUVA0PObz{Ri@OXTqqR=~v{l(cOdF@+lc3KR_?VzFf5N|c8a{A4Y=vLuL>tI zv_*5X;7H>6hAG|TkH^x{#DgcG^ewngEhPSG|A4XNNr7EH@#I#4!W4&-xB8t$fB0gO z*rd+p>bm|Aj>#y3$4FPa-QX_Hdj6d9T~TMLkj*kmd}(X(VOvM4I&9BqB251LlEvq4 zRDu7?x{+@I@oQKSuG%j~% z;AnXA)7uNBIGBf4t7F(UgRnCje`|55`JkJ&SssR$di_!-i0ChBtjfD!0E`a>Zvccv zKanm37s;dYEmDZAr|}Dw#tEvy3j6}<~0RMu4U-V z5+`?mkei0qsgsQLxDh3EgoM!aDYu97*q}c(lra;QW}c7r4A#aDql_uo^+J$VIxdb&2^;Km5rz6{~#h+16eF; zq}%kl=6lS92{(#r!{hXi{Y>KU?kv`%iRLA**Fjv)xkGHgDm0~hP6BNWh<_=%0rKC+ zt=%$yb7XIfG-0%8I{g*V0CCNM?^W<*c^9_1_$KOTD?c9Ae|N>L`p8ZTMGzmS2;m znLbHnHmb6Ky-iYGu zd)B(Nh!)D-V1pX4M z!9QK0xW_RTeph+KR{4D}G?UVvTy<;a3^ zSA*N(zNW50tkg&~^iW<6;!Wmo34N9wR7~k`u>aYzy&gZT)oZRLYBxoMNO6=dM@$qd zH|lJceut}pKiil3ErSCdg=l<-!(mlc;B1k)-@P9hy@5$wuxN(?R$>Zswj^`$5&qHZ zwn4}9UjvoC>40obtCHlcbCfjEK}x$Cq0dKy_WfPpYhH-g_NZsA|I)py@OOd`tL4c-hl|Ege1!KXt#K;ZKR$f65iV% z4Uo!`?+hicxgs4>@k6Y^(|clTLN7yy_k<6{m`+LhQ-(&8@&BnK%RbUjN-d3b-edXf zb)R8v{jTCfvrZ0BdD&Vi^f`!p=;5fR=O-UL8^ds$gdv&aqg=KK@OJu4*XIg28~Y zTb3mowUSmRY@Q>|d5t$Fx_^XBa9CFUU?EZ-9Fv2yj*>ub{U zu{*s@Y6SlOo7(<3iKy*)na}?^M(g$Qwd(PFX?{i9_WK(AdM$oAfWC(Y%Q>7ee?D&p zyq_ir`#yar`ECh+T`z(K12bxSSuUQld%s@6!~yf$HWcf^z8@Fd0S8z@{-mm)FXXnps|M${-&t(U2`loi(_o_CkL7^(pwa`Q5vH$wF!AqY;rX_s&?mg$dBESn&#!nAO-{Tx<6CI{D)|5$ z_Z6WJjTIB8*UGxVz596LB`&4Denh#zX!Z|%XYJL}UN~Oc6sGasT%wX)s4pbvm9^uS zy?cRilNXRbHLvX#owIh+yio{I%hdmVm-ge(|}!{l14r+Gg@q%lvs# zc@^*sH}#}$`@H?Nn@!sD8LEXQ{@2p9YT*wi&CY);r_$8EF+_EQ@fs#sC(lCu;vVz) zs;uqTlpq0bOQuU#llvzLamHHmpFpX?jLg`Hn9_M71oPT`bMAnu8tV-*kH}8n=CtR3 z58bU+k@-Ig7%d4csq&`nx6e*es)3nTxSCiwx*Lx8_{NtKD5p9Z-e?(f5mVMtI2yw> zwD>k(@&OO+vxn-BliF3xteLVCa+^wNI37tGIm=BRmC-tVkeF=-wjG zX{x)i>UxsvZ2U%r5mbyJ3AFb5<8xro(+xmM)^evxkR?>atVK#snzDBEB=prv^(SSu zQFUPC4tDV?zfM`y|MrTxuzoR}L1^A9cQ}EUM03S^jIw{Ua7lrOp3ESpTZ$F3s{VX& zp%~2Ra7&5Uk^s==Nlhi-WzqP!_R@Eqj>r%XnnE14yVV)*VGvF>HjflA0YRcDj|25lf$c3w&mM5 zoijL$$zURecQQOLLU`kGl+cVemxT|31`%lJ+fE~0_Yv)Ht4r%e{AbbUrN`9I2Dcd( z-!&dZ*<2CAh5b|C81gYCJME)kl8PQ9xU{z~#J|iQX=18zZKY3>%(PDXVIE|*XrRF) zuP$>qi0cH9#4}v{&Ht~kj;Aa2fcCj_YCJW|s7kj^Om7d{CkYACs1SF-aOq5C{~Pdq z(lA((>ay`*xrn5+3PtqWV|uF<=wnWOXTMICSViq}&V);Fy~7q%QIS$bzOgCi89&%) ztKC`#fb^?cAtwti&|Ho=fQl)H~5rsbGt zin9{p@46o|!Kzl89~p$=`^7&`esKnc%Y+I4D=q4J8}l!})ndMW%YE-yV%=pbtAEpZ zW``C(z-2zcSY^}5CUJ&&NS}7yxuExfinQcM?TyYDl0bb@&eR#N9h+c2;(X!kp7fXD zav|+d1UUp?vzRtGyxopO@~1BbbFa9K^I>e|p5FQ{x>@Ixl0jWg%JVB)JHIIUhq-^l z1fNSYHZasyOWdT$tBRCz7oO&VYpDN&nbhvRXqe|F->laFrKHe1l`WoGQ-I1H$9^^0 z(@YiWmoLB8H!_JUc=Sr^ABhYbbt=$Onqb>%>CKHz?#&)H68*Ds-EJ&Y(~AFsQBkwkYJ+eK1P+ z(=Z%1sl;G(sTu*hvrH0Ee1J^AK&p{MW-J^&GDb;3L&5?B)xgk8=CilkpVQTF zgcMQ=d09*}4C!o7|EV?z zu?k9LQa4W9&Y_#IVVY2^bxCA$6ev>eRNQxqCW-FJVVayWQ^*qroQ5OJbEs$9gWsYY z0ju{%xk5R}I-OZ`1?)#Ep6N+P*DE0Le~i6Td}Tqi2fAb1#)LaICdS0JZQHgzv2AB! z+qRPlC$?|SeY)R$_|AFQ4{NRNsxJKgySlnot+aNLw6nM*37plG@^u`m)R^+c=f#tm9Y0!ID9^KDer)rOk7=%XR zjDPzezNq>RgYDk+lJzr+tiO`=nf)XJsr_^VE!IjaA~v4{^p-|J`x=|pm1jIt^w+n= z8JDyI$I$NKVM_e3ng#wzp-S6a%E6SN9+AkX@Jll4*pa2}kE`lxbN#d;tORFDOf$lh zzi>j8{-o|(w1c70uEEG_GIZ_|XOtmM{evp)E6!*TSZDJGJjo+LAC%2DH$~sDv{eXo zz!4kSaL|Jz1`qpOPLi?y!29*~SD?k&&{rAw!e_jGlmsV2@?{Vlnt6r;>|mUpuLx}S7l`Q|sJAn>GI%G3$HME$c`RO!;uf*$<;$x#qGn*1ST{g8B_HucA zZPofcZ0vkV9nGfud6XaPafMC$nIdLq4Cx-tT6mk7VQn@p-en1!`57YWv>wmWVGKNu z;kRFyP3Pkk6B=%|_Ru}7KKddOgs)NIzhceg|8UGMhIn6aI$_+uG%7#-n&xu;(BloO z`HbUo{@R+(x3W8$UHf7RyZp*`I{BB$HIpC3&l`66j5V3B#J5iW zgx`)u?w|Y3{BvU}|BXu$z;B0`{pI0w^7n_UHO}Sy_W-|L-|36v zd#ar2t=;i#I+D|gtnBjye*0~fu$#rT()mW3FxIbnGd9N+K=d(w`+b`5%JyXbo7?dF zh27Wn+s@}@lF@h<{t5CtLV`~;!q~di0F0cEfUBz&n<*Gjo}=LEii~Hf z#BR^KQ9wI#EwiyID>9v1(&+BMmib&(Z^axhldwQX4Jak%BQeq*$pT9!V0d5Km z4S1kh7aC}m!+hlnM0jJ!ZE`YC4Y^ZmgDXxs-`zcJ3m@U^8$?CTPXchpMp8c7-1Bh# zYjH^`TT{y@w%>heS%RFR<*II<61L6oE=W-5Y{2*A)zTfd7WA^gJmjD-TD6+r&A=YQ z0d_M?k$sY^$h=0UNyqp`dWSSz6atGKmeK|rliy2lV(jUZ`)=XLDu1Wgr0RpzpM{|D zlg*YkO{FY7<3o1$h2xVLU9{GFgl8_Bdf-yKHNNNZAA5i%u+QhJ%?|TKDvlZxKmZ-$d~I#&Fw!UOt4Y}rb?_K>p^bVXF4Qt zFy74iPzE)gd1a!UB7tW^W8oP?r4q-W^v#i$R#_=9%l=p^?As`4~Y2ytZ-d1n~gNq1aYG53dPQ8(%upm=(Z53|?EhW7|> zm}Hr_M-QtTZP~my2{u^loipIK6QI)l?)T@rvbv?Qe{sOs`t3*72D zPPXG`MLCNExy0|Pwn#KN0~sFK-!4*rNx{p3pw3fN3Q0k6TB-^uNdzgY3IoR_uv9%0 z>-cy~-b<0joAZ%seZ17AP7y_&ujFGq`oZlLHmFG{`EX*BmVJu#?A1yu<>r+HS=c6S z!}eTK`g%)Ys^Dw`mh*zW@FI$rTET%3EF@{hp@2bV@~jG6@DzY@CAgIobS3z-sCnJN z!xeZzhi10 z^N(&@Wbh5-`&TdyxP25Xb zYjYm33NxeZFHncw$F9Y#=6j}r3SBZv6Q;5{u;ZjxNLj2y?61Zj`D^ZCl&%A14pmng zzz0Z@g#j2zSW~g+mLBcZxf346l zt`)y@X0NVt1)?lIN`Qw3QA3EhH7O=4B|=l~(jr3$XBMaG#x6aSB*IXZZv5~cdQGb? z9xO7AxV}q*Y#O$Q_1*iZ5Vx0DO~~G44{S1lUIqAUb&9GiPDn$qOm7F24A*2${@W+h z`|c`l&w>rlk$+ew#P4DRE`#;gU`5nPFfgO`N_*zzT<_}>tLHiGoN34JYl#SiL2t|c zFB}Vc%egf4t|=`kinE~ywE3zW@sKo6FNms#p#mLTFmlm%{l8h}EPXJRb;e>d1_|FKFZRS3gAu>77~J?6ho^F5@1CEwsu`aogptW}y&-!PPEo!Ce?g zNl;;3HnpY($<1T=Zm=SRq)-%ZINyDQfxi;W%$fvbJ?3}`ByJ{}M!A_GW6Qt@3QmW~ z!uy21FR74OE$~!JiYR^N#VEyLwRBwZ0s2smW0YwX-y*?!HC-5SUl$1!7ZJ+{|M<1> znbwCvUP5b@-DTKmSkyXihH(?Ss92$)1gr~~qL>0xZP~rtBI-Y{RH`iXeCp#Ubn$b; zE%y3zIbo%R;BMoaex1;Ij{aQD+(`KY$5`Z1ef28oqZTzKWwy}{N=+uqnt%ODph^Qq zB7WxZI)2x0(^Kl~{Ld>4LP39Rg`gx0hNea>$nSPbRK-e5T<+ft%d_Q%m=Vh|hqZP2 zsKy+5#iHZu6tT-61kbgY7;#HG9}RKCA1;T1(T;*Z@^S}1#qXvL>d>-(`}e4%++8ml z?|^6~cUOdW=oL{DblQzf3 zotOk4&yR>>DMOug-Qru{iKW_>$#~KuV8cf3gjBfX)vl%MH7%$Up?j&e080K^fRIjO zHL$LSLs^ihmNW{nl5Ny=fW9Ktmgl0j2U7_#Z(GrV&;}Q6xl`QcI(7r<%mwqe7dk3A z$S*lkWS7s>B$yQpu`W;d6EIulq`I?uV%Jd|#{&>%k-wS8s>?`wjy$I>8jRCNgnf)L zj}9^XF^CD^K|T|K5ApmRTY~x4&@M%_DVNb%9PI#t<`Ch~JRW;@MaNZYP@ETruBulA zFk)`icSWU@?_|wmCG{FOf ziX-Wa)(%@8iK*(4gRG*`>~~Vwc>@iw>zhsoMa%*-0hs!-*I#kH7R~b}_CU+>j_j2( z(0tBZYs&iI)&<-{8Dt0XC9uYJtT99!N{guGvZbf>nAVmzLg#(;X^*ieX9N}9NVMLV zUbQx-{MM8X^zlpf8o=}tP255vl{>o>yw_F{_cm5u)=^#r*TM@$@8)co0#FIzAgtsK z#9`@jlnY&oC<(iT@NSsjD3F;mbhI_-D%rT*pT>ZX;?GM=S*ztUwV;8nD+ITLlYZtB z$CR5{e)!EZy{ruPF!8EMQm;scS~r+-%cbA*EtcQ&>Xo1OOYMqu`?eJY0TF9bk!g!0 z%h+ay#EU3P`3L+|k-Zhgo}2(V!S1IZOS!iy%jrIN*NQZ(@b}X+Q^h3HL=Q^}x+}J% z#l%kqmI!j++VrMQbBdQzQ$@eRbmIo-RQ~dGvY|S+Z;EJBWKWCIXWxV0XQhF;-=jD( zBtCa)(v3eWG9*+pB(^@DO41kkEGb^L$WC28O{U1cYGmu_djWu_aZoCIM8hSd-SHOi|}9 zXTAvuvsn0;Q-Hiap_ZhC+;7NPeG^MJosU=cSds?HO%;8vtVmmaFAvj#;(=&Ix?*1X z?2oD9mk3Ka(!U2)7V1y@siNmQwj^8Ll)q+{6gZe~%hKyRmJ}(j`)Afe^OGB1-!!6+t_lcD@o#6HR-aIKFi~B{xO3%@);8I#uLoMPc!b zUm_l{pPya?sbM&mpRSDcRgiwjH3rPQWA$(64!09X*^Jdyg@+uQ@jh{wE87l*V*zaL@L z-Z=xwP+<|pnO_~pj5rQyLi}`fKPx`pVIruzF4Vt7D&GVRE96iYl0Rk0N(voh$Q2L6 zH*R}E28%4feJYSp7j93~u_DGg6Vr}}$eBPuHNZ=OmZ~pb-?NCsrPYJ$Dz6Sl#HEdp zwR5o{5}j1)4#uUvSFuJOdfTj&1Ruk)YP&h-Uowrm7!IhPoO>6_(xFJ61by= za$>fHZ_?m&zAT5Q|43|mI=HjHivA#ga%SBrW%px%qcMnhq+zg4TLYI5h>qSSfnXqy zc2pGMnK#bdKJ%O&e$#FiV{S>WCV7nOUAD>Ude{421+_P2Av?jZrb4nbhlIL^3D=}q zcse$62~Ds1{R+t9SFx@RpL`a{XSh@sp;v8I*D8`wnvb5-W~s~q_LRz$H*}!a4F$MT z{m}*ni}>bcM{3Gt@K$}BG8LK@g4NBN?(c-3vYecT*NiES*VUuS7(`JXJ!j{)~TpxUB7K?|VPF93jdSGei8R&g6h!DWpBZ~p}OtO|+ z8%vaIxh8D65krel9t<8am*8P0Yff{f(7QrCnKNVs>2D{zcRod>ON?UT0U(NDO{u*_ zoTgmn^3ZBTxpP9@nKP_K!E&?uc(Z+?#)H6$C+nU_%_sFtD;kK0Q)Ysx?Y0?QJ%fLb z7|WlePf28sAlWk*4aN&A5O}ovea*Uxc->+ob{d1Z1z5POElb&=x>mR47sEFQyUc>0 zx>v^>ub%P295IVlg+RniwwE$-@(9<_Yhq zN7fb83HWS-Wo~-EcED9f>x;Hf#g98%g%0-uR*x5rJVi22@-{Su8h<}9gRHU>V@7jM zA5&aFzYi1*whh1*#1^MYg`T5P6Cw6Jx2lvczhg|HwWMS`URB%0r>Sl_qm`z288E?I z9f)2shVe+0ikct7H`8|WVqJgYTW5aClzV_vLx$tw~36^deAbG>sp_7 z-~Fj)EGl50h1Z2I6*IG^ydS z(s%qE6NM55k0Kn`Pvv|L3HteBhNsEamm_fK2GP-wxx(HAg}B}hw8pj`>kG#aYvqgK zYr5OK6fO@@&?$hw(9&#A(^KU3$*4_p%m&-T zCi}EDHtSrJZFtTcac7uPaTT9@~|AiCKn~`DC*+!-fzo+Ba z`p~C;85{1~97e_>1KOZk+WqjaOR~K$EyAg++bzP_$mcU#<8!Cyb)eSwB}@*e?{^?7 z`+9cO{c9s+TBSL-NFN*w`gl1kM-LW1V zS0@>(+48dMMwtCnT>1KtM~WlUs~BvLkGqM_(u0`{m>Z4v@Z@JMa=`0==5l+n>P8*a zaU1b2dB?a#aEfHbyu8KYlYBhK4H}_U`=J$$0@%`+g40~_-0N>I55qkTIt=Pv##h&N zx`UKj5CfS+tJ;BJlt_;HdtDD`S^Bg!4^I>DWWwmB`1>?6i!1|)3&DtYjPei*nx;6r zRQcCYwM*u8t~c~>2N7WpRZd&I5MQtZPQM}GY|@F8GHRuTUQ$`d=ogY7BaNyY;3A)z-bc|HXz-?bKv65{G3m3rZ|AJtAY5@#e z^eP+CZ(_e6*PAzsv>%FT79DU1pECymt?FK?y22kZXOZ}ha)PSaG zliRoSp!deg!Rf;a>cQ&sL?+idSZ)Fp;SBX3v+oPIO|OhsILf3g-~ zQKQFzt{!@upK1U$q}-|f)4z=z2de&RY%bmdr|%1o&?jtR9?lBf#_VMe$neGLP0qxh zUz7S~DF7J|NW3=P%h=VL9Z1gMIEz~jZI8rD{Lz*#`hLQ3qwyv62|r2c}5&L!uZx@dq?s~QBewI3jl0j)yoo%3g5-K;WIl?bj#YjS zwiLDXgK5a%Z|IGvp~a#C08flY*9DBHrR$b)kBQaz7U)0J@AbHD|H$-5a&o5T4wwl( zDS0$wue-W=`UNg81qO}|005u?cK%^%g;68Fvq1m=Ffags0Dup0GI3xqvUYKDHgR;) z`~O_%F)^_+swl$%z~=rrn9P6wT-@ORAmESRbN^p*F7sQK8v@9odrmqbHF=})J4wB} zI@RBeL)NklLa2(%B*aCvI#wm;C$2bMEtT*CV(&-p&N}c;teVRzGAk!CqvWD)S2*oT z`2U&_>gBQ@xN3DvXC7aq7E}uZoI$`C1sjqB0z#_Ox+MVqqyI=W#lnnm=nysJ;Bypu zx$_I^W9sRYaT$}^Bonm{_L-*Wzfx=aq7We+Haa7*4mI@}fi8#Mlr zxd}zo%a(kn+pXNzIU$s|c<1x}Sm%2cAmL>{+bcwo4xbawk}iz2OjyMm?cD_4cAp;5k-2WeJ&h+1GZnIz` zg(ryId-L=}eG4O5qdc&`V z@|BN2bB2MTTif^3ncb^(=knddee=?8C38(pqid75#dW_r~{r>S1TbwmBxr}N}E4YGyMBi(Wy=%ILRVCQC)?EdjBVKz9=d*wNPEJeu!3H z_+Y*wVZ_`ks|!Y|(!-ihgd&|HmC4+G8m3{%VWzg>WO{&;5iDoM>ub_Wx#fyZE;s}I z=MT>9?#a*x(;i+F)o3a=XVg20k`_uTtoX0D2r~}i{3wTmG`T+?oH82uulw*^exZhW7Iy?)s7{G5sdwOj^u$bGXwa0qUf!rE(>bIDJ*5 z^yp=cOx+RFwMS~lca3*JCkwvKdi*=Sc|#XRwyLf@YMGg9z8`lh|89IA4ou%JH#y_Q zz|||HdWt<0AAO8QOO*$xRZ96fl~T*H!|x(lU3Kr_-r_4dOJ9d4dTY;D6X(z6QB#G;cqZ?h)r~bUmMaxO>LX23vrG3&kX>=#vFDX%`wy7qfE- zOsiYj;6ffa(sCLVIWENuiA7Fi6;vrIG6?4;}IqTexkw@zGC^zH4ti1~HzbMLbf%gxW%-Phaq_4(*>W#{YjWh?h;hquSW)9Xq2w0DD_$rm3(Cn{hY1&b?3Jczb#duRYgvq6BlADqiPnqh2dhwx& zaYJ>RHOxdSKEaMfn^HM$rR4gCT9YvsR_f4LcbzAhfeGTk*Av0UFk|JbABHwSqvN3D7kAAh>JPR zC*JgHN?C|b5KfneSEdTPuKt9u%*xttF~`K?_>H4!fGPLOx8ReCPAWpnOL*8oN*JWN zl3eDLm?F`KB3U4NPT}wD=((n4=Xg!^qv?d^L>tMh2uK{9PLXimCS0N- zA|63_GMx^U+u)u=vm8=Nl^K7mnjV$c&4nA%PEf;FzY1Y45q~3iV*Kb>v}_Xthql9U zjfCgLW>pCuPlx#xq-H~PvuGcbINn5se|FUkqx7Ss6lpQ2`!&&qX^!ClrNMiK5aDmE z6roME+P0^bLLD>pDZs#bC!$VcU{=&CA)PPDJ?`aawb_8<8jMsnPg2LdGe|x$5O#aK@F&+G z_3(Cg9sKd@dU<(?${_?`wbZCZYeyx?Jlg6bmMqzwq9-7e6tX%&4NQ^Mx^QI(oER(=e~8ey za4wk&j#$2Fvl$D&mu&a2liMk`N{GjE=aUqV6;57Q^V`lF6k#Y(Le3qhHE zJx)mjg8bH$gna`8alGf?Z={Vkxq>JR>0X(b(;v?vfj_`2{abOjf{kOVu7S_CQV)I- z@*7Q5aq;LCYB>glqtxLi7uz5N;&&r1Rn$sBXhI+_d|ObBoBOiu)L|GEBt2FfY7WJO z$gZnY(gw-tI{D7e7SWy`8(dPAOF>@zU)i625851ph>d=x_n9Wj3#6waFcb0P%ha`e+rO zv~VRX1+=Zb+3l2DhdA8HwpmY}cwhcJ$nc;?d`hqwQX!Sf_CbyaAuOGRVkpYqC*9F4? zY7ZeF7@Ei|Q#X1|2SZ=8&l7t?w7s-yBnjzJ3QE2(xW&hL3KL@od~i^=KiDl*_9iufTfzBW!Lx57X@rOtK zn#t7%oza4gF1Rt3fjuc7`Zp#0nC+GMlkE-R zKYgx=jH$%&JJ#U+_PhTr-eCK0K4&vGWr-&U-+S}MH^e7cPvWvKC6HY!EyiMAENDty zOzSM1Nbh>i8Pe@DLh+p3A;ACP!apCkWZAK0%eS-Tt@-4a8@Dk3#YZT6ch}u>(c{C* zbD<{}=7Ur^&~Wd!U2@Rmm%hHaasEUvOi>U&E-w-8F2Jr_9L*Tc2cHH)uC6?Ng1P~! zyQqQ~O*)EcUxitp)Q$#*$+h%v6%UFjvceRT1jfd-DHx3E*EF-aBM1p`1PR-4M@@%c z&%x!R>D?Xy=SPOu9qY%x+I!H!2&w|AkQNFuX~>G-^@#E_o_)Agq$5$fdHMKt6e^tO zAL<>$lx0Ky3(2I*`@$hMUf50kQH%GFRkdnwo?YwS??GFgv~d$W zxvvZhJ(W9(`MAMr7&E_szjZ2eh(e!r32^2hjx05nNWew_-EEC_OGabl>9H`YCe~Ec zBoz}H)#gdfX9d4+i#_{~AXjuL6K1ik;N7W-boSDA-*Le39H{>@q!GhmBqJ~s5kyWJ#nM#SD?a=J9h z5~nx$cs5sO3l!pt)3rMPYIFJ;*ZB`p5t2REbUGDvB7&y2<^z@7NFSk-m$7aT7 zLJ%>~+0A>{G+eMrF?1$|%h)w%RQIz7LGJbSFE;9l4Nfa^s(OzEHkc3%_lJj}qRSQG zYIxE)7+KIyEUtGdi#1E;Y?an;Xm;6Y;=5kx*z?b58)<-EEeo;d8zwqZ5DBf5OC!9v!=4IUgmACwlgAe} z;Z6M&Ld1i0h!+m>$gUo{Rv#f2UA{D?$lW^I3dxd)RWjQttNPij0J^~(TH2l}?zE*n z^(io7uiuDG^Ry+25V}t7R4K984@?#^$_u*0KT*Gtiplc^r=8j_csV+@rG~FlrQ&8i z1@NblsybVV{njW<{$WF$Ne-_$pm+`QC(SZJXNpmE%?o)@XptHcF#<|r(A^!!!TGm; zM!8ACy8z;G(=LpXaUi)yAOp(KDPptGMy0CR7@W+{(K!sevvqs`2a%@i+VKzEn+7m& z(mHf8#q-tEz*qw62&WaBH%I~q$In1StYn<2y>#IGs_`^BHLh-)ITi8GUZ<};DX;hY zv4c{6Z6BB=-It}?C5z3@^4hOf58udKTRT6PEB>9$9R5vjv6Y!p_qW%Jk2|G~4v)Lj z%TMn=)~(rwZa=0HSoZI8f&?Y+iOC^o8p8r3rs5T6Ag~ma!CiaHs<5c(TH@|&lg9&= zt3KlsS*2}u^E=R`o1L(z*MgGGjsGaEPGGK9OTx_pO?*@4-&G|GXbcf9$)LI$1f}ps z74Z{==jWUQY2yfILMZiRcHwbR)%APCsf`et$kK*RU9zc~WrJ;am$|mC*`{Draa9%v zZswJVOHsayuI#k5mI%b5S|!9i-?wFmqe1W?A#6!Q`u0lt+65q?3<(QETd~QJ`eC7i z+zB@a9iJcU7c|vcExcWldS#v`klkf}ZxfeNx58X)a_7}slBa(hQ(#wtF}6zdI3+o> z1Abd+5>p~WeklIlnq+qMXLZ;?u#KF!<@iqsI;pspsrrT>8tDK1zl7tzL6FPRP9!Q5CTgKxOEt@W58Mv(ZnK`_qrt=)$@hw=m(Pq6*)a+lPuB zUa!ZckB_JsJ-)AhFI#p<{9iW{adtg^PX}8aNc`T<4^wX)YCG>9Pj8ujh_-z?vK16- z4e^_w@u!9@swbDLrYf9WRo8Ve-@1vcZ+M319BX|L)UwU02YGExvV=0$l=3uNe=Us) zk!mEtF_eoG;ZHErkT+Bm^)W?h6qDqeTW_;l_v$7(N{Nvyn2RD^Sr&~!s??NwA^a06 zy_}-0snR{bW;4Cjd>CE)V{wt3uc90(QL|)x#G`U2xSZr(p!mx=B+T#+@&Z$|+m-Qt zA#B^kC7zp7ryfddwz?t&cqbhooy*pjge$PwvTtc?W?-onG{r!Vv=H}q>*Y7 z%62ODP4c$N;3#RZFJ)Vt;=EHqT$DufVP5IPExe-0CI@09Ehp3IzcyeA>?_(&H?ZC_ z!P%J6t+Lr|ti)OBZTfxQPGIG#Y7J~vKELi=WOh8&68U<%e_bQ(`HqUNM!Q4m_sv@5 z)GaW@&aPRQfvi&YdnW%Qj!w#Q@~;bPW#caXQ;;PzQf?cpQYQ8IcfWEt5sIR19a=dY z4cEf5EnAfdkM##C^b@8JAO%Sm%b=er`4xtmCRV+xWH*I0)a|T}v49Vi9wS$>n%Ofp zlLzM#_>3P41|LQ_AjOGdP&MW5&kD~FHRjd@4~1=OM7g0IM9ruZTIqZaPiXIhW19DC zNPoPfHJoz{yfYDa;);C)a|1q`$%lKXG-co(W7Ilg4<5KTp9fYXW9D!0HCorlIEqk< z$B)aW58Q&M{Hiqw+gsI7BSa{ikx)zE8I?sRGKjMa4XXO&50z^4AJYp(K%tcGPPPrj z2t^3x80@X#7=Rym7-QUl51Y7_KxOo)85s#(8rTWtn4h?Q5r2NcdeZZCLF(y!E#D=G zbL7X2Ubo`m)O?b_($`)ZD||^n@!JA%2W7nKXh@+6@UQu`>2m2E_cf>aW*#WYyZy z`dyld$Ch@t>cDHgVCt^qSnhkuTNC9(Zl6Gt4{r496*9|O5~&IIB&Vc#d;dvfZL1E3 zuxu=zmi!v`km={La-92WIPVjQ?}fz4QOsqlx9*MCR$ASPlx zL;5_AwIdFn(7QTKPX*jT;LTvtc{S%tut)7oXbR$9fm+ zUMo66Sdg>19(g}8hy4ex4Kg2RJI_&@T25(nf>vCdmR%sMmzvixeT<-H5nQ{g1j`$7T>F?3`4xJDU?Zn(wa^gjesZ@H4o5ybB+zI0CNUn@{f;uOPNswZ9kjAa^Oo$OI{-R&F!Y zv7>NZs!QuE%SpL2;2s8lZgY%%EFxQo|}Yk%Q%Al<*tQu2en$=&Jn{yZAV z{k)4Z>-l_qI6B|bv&%I}KWOwD_iKC0&E59lJ3H{xz9@7GkHLAMYMC zH<8~~v-5K0Ex9ylT6*9Tt6v0)E@|xJsx;I9HHxl1Zpl|w_9c^bgR1Lm=kT_Smwa6L zUR6`uozWVob&j>07(Lk)Ug(pfnp=yl2q+Y?6-cahq8q1^i^b?%=$@1DBeg;nw=oFL z+s`tB`dB6%%eR~HwXkG>wOv`A8EOp9=*{E_I?8v}E8XrYHoEr3(-Zrmn%9U;WvYbN zg3KmFNbCC&R@F974!~_Kt;xX5a#0s@tG+3Wv6R;J64je)L1{guCsz^f^j)6gDHE%1NDKVA=-Q@ zRq#~)k!#)dUcYKFa@h7S1_UAp1$LacnKRP}J~50f66em=v_tgb>AA7(il>GHN3fje zVYt7-77O>KgkLW&ce%drH$#~#3^l~4gGl^4pPp|w#~X2aFLpD&ANPB9J)6GWFOF~j zazFPFzg+KLE+-oGY(F1ae4ihV?pA)j4+Z3=3dr?A4QCV$VpJa86!vXc2t>~@14aB{ zpIt=S95qk7i{NQrsDy3jQie*_qQ>0A%&W+ylG@{`BLhd{taLTUFw+LQ$OOvYsu07y z)!LQ&U;Rg~fF|04_a>;}HCgt8+xzL&00VHt%+p4Z@cYq`tnBz+-C1|aHHaU)tE@2*`H_HO!ieY~H} zaOhq7cE8=r@H52H}HB{!PasP7HVA=JU z#T}C;gl5&j$l;z!pDnU6Z}bN<+dLx^M@6qnaR_3wT=t?vvXQ$Mn8!Z=HTk@=od7NtrhYEp&CFvrfK$RmwH7lC8PQc1$ zK|RI-0%KdYE1Pp4L`wQA9yszLM@z|uVm(xBUh zq5rFI^@#JF18Hq3fGGqXAPTEdWLpQqNq#62=l@S16^;VNkZC^LG%@$4pBOIQfMOFR zt(NL4xtZOSEF6N!#s#DV{e)BXkcKBTI`@Wyxu&rdjA}@HYB?tp}GhoXdP`{sxAm{BDo(5gk8lAkH zhgD>WVgZT70pV@?OEpuHP>3euH_f2veda7^Ml)nS9vS-%@_xA3f@<&#E^%mCBcLL` zQ8CrFUyoMU`~eVSk_?SmP#*-uKa}bvmp6BrMR2r4!MSFEf==iLpOtagtxUskj&sTo z2!y^C%QpE4^v-h4hKPYVDQjqnd}CjNHQkS~VF#p8HwCXCQjEL%YT9v2*>P8Dka@l1 zCVCADo3jg;MnD?`i@>elH3yD!fBsX36nU30U*itOBu;cQyy25Kx8asjGK&zTmFJTb zbPlk5Z-T3aSaG0g)viVDM$ZK!4$Nw-vLO(HqlUQ!d1X;BQ#q@Wela4kT?a3)jpx!h zl`)T?U2ljSplHGm7Y$S=i!b8_m$axKlMljx%8Uf57f@gwrCh221Mw>Q9p1r$;2prp zLndB5k5=^iK3sg!#+4*r899<2hYM5#!U0q$ z0DMK9C!9P6`Ch)j1zA!<0IuM)kFp|#n%zW`7*V8w5o;Nnk@fy}P9a7d8Z0vtX&&?f z5@H|{g(2u9hmc_RSjTzqIiz*`J-ZMSOOu)qz~A3Epy4oT4yvmk{=%C%K|Wq!IvDg6 zJaGeB)H*m&Oy0DI5HmdTmyiUkwI$p=s5OeXf^59rHGz9?Ymy)p3n(*%oY2jHD^<9> zLXyKT0PQq*I{qNmo#zs$+<*#8gt@g=A0?(Y=r$nN{W=0neO=xF>BSgQ-LwQ`luw)W zG&m5U2Art-(i_y1G}rt#f;g8L@ihzerD%P=tRFHrSSMs@ccAHJjF$enh(l<=1unMZ zC@Cu=!B@8KPfjF8!Ge?I9NDJqMqZmCMl0r-*SPH$+>LG1?vHpTYY17G47BT%Oa zOie%(;y4_p7gSVZlhnD{6hufol2n^@m5l@l8V(DNLIybc2&s=VGvb$UhwT9J&@m$Z z8(@6=yS{pi=ohq!=q=py;{soDE!rp4D|GV&85ftrlTp^+80>(`8;BzYJn-i55Ea<7 z?E(oEQV$T9lleudG|`DNz1YY35$V8=yqB|^L68^#MeLv7Ue(;MJt?5F3Oy2F?+;_Y z7TgIo{0%X@Sw8tG>3ty(wSo=!v*3SKl>?@?7cpX;M&wZ7w69?)Sx#}nPx|(whDtYEhY^5p#`W+jRMVM{bQ+|t#prI*+7#h6WxXk8zg$VKrCDD{eJJQC#S)jneg zC_~DjQ1T>``!K?_dUri|q1^_Sx1|h!i1;?^sUB}0Kc-e@+`b;efnX@aC~8RaV!y;{ z`uGKxVBOWx_I}YxuM5_P4hn@(F^<`Af_Ey<^_8{AvaI4oB4nUn;WIBJie}j()PX->=^uviN#`Dn zEUF{{Q+6MZvvlx0c*P7P?6mNC8z>Nd;PARJKB!5zEHB}jod*WC6`Cr%3Q>O4KiRC_ zIxrlp1o>$A4d;FhW7DoERM?OileIMaXDgy8Lo*pcd8{?~l;$yPEGl7S*Fi}JeTAfc zZEK@0D1HeP_MxVx za*mF2j@95~RQdVJTc0wHZD5VI@H11FtoYAX8Xlq1KSwBQ?kD2XRZ#cHIOxqQbbo)nLYH8>s6aPZ8tsE>hbMWqX$g#K=U=h65ifuNdK0KR{>NnT zf9^Q! zc>$Y0WM2_#hd*U`0V{M^LMED{ZsO<9_eQ+_*G;o_XJ!8nWA7APS=6n6$F}XHW7~Ge zwrx8*>DV?qwr$($*tVT~IsfnKoQwCIs=Y3D)v8*%_MCI9F~*$F@7Xq3>gBUr1f_q> zQa3{qXzy6$q7kOix;Ry#T-|`BD^zgmd;Dp8UZ13V#SZ z!8~?uA?nsb{b(}%Xcq0`-)yB}OhTfrfYD=FyL#=UM=Mb^^u8X>M%?XlmhCwR1-3qZ z>Vqby0=x4LJ5bQd(Ilu=k-J%2BvfYV+8Aa&q0-*w$D9zmdnii^2*`R{de=<;b9M}vUOf3z_6}7T_D!{M%@)NwuoN^T>eO~yl{}g6kpH?f zL|_W#L8_$)9R(|fF2MQvoW1V6c|Uw|*7h^UZoz!B8674JS7>v2J=jj0m_Bb1i9vFr zH5g3&U}4rar5%3tXRkzy?X3B4h#id=-k7W%8jQiLCdjhHW{3sQapT6%L)&CFpYARv za{YX6$=n|7^?x>KKc8UzZjanrcp3aWUf*6TT58JviS8gDl@>7NyF%X%apQ2NyW*KF zDXuq-3UAiMh-<0+#E@+g z;}&*FbM5%1jc&9BeTx^SjYKOUol3v*3iOiZ)+h_md8tifj+50*S?!@YM+a)7mK`+9LGVqJ=9=%Nd17d${B+Va#0Mg|BWf*0B=n3Ru=SGXQYM(S zIAC7I`kB4doQUe=O<70o)8=;^GZw>58282;I2`s7 zuRN1^^*oQyC&a)#q0`AEL*tuKq4ioOe)Bb}G(LigTw6Fl{5>9;S`n~5JYU)TSSjVA zSfLBgdN5fK#9(k=I4}w0&o)Uh!UCsFIXk#>IS-QurdkCL6Z&*$OX(|qiun;Dpbk-o zfS^-j8x=Ld2<$hkQPePE$3tU}wOmrxtniPK3x4stQargKM8bxQErLg;IDy{_BT#Qx z9AlrYx$~`64@_ZOn4Jec-B>;r*yGW6OB=D$NO%)!wis3LxBfjc-gr z6oXnzVwoP85cnY?Kvy_(RC!*p#0WtZ@OltLu0MlHcDUE%wWO$xPGMulh)J+n0h3IMRHFd*kZ zJx8eBJAo#~6n$G+bIwS_{7DxtN(OOaYUxOK8iOE$hpD|p44!3eqTy@F0`dW@CQ^$`ck&Y z9;!{c4$)CUCkeI*F?hOjs&5!z4e2>)zxxdr#Eu4v1|EWO;U}+i?gQ(e!fO)M!xTky zp8u*19okkh;@M{+`z3N{77r)B>Q&QvJDEFfE0JQ#5WQ~*l)UaTC%YgeC#lDR6@$)! zh*}UQhu=-a&&DE9OCL&@Ko4oom#jV2I!YxnhsoD&iNum*=S9iE5#v$XLqh-w5+=dR zHP5!A8RjFpxb7~{Jx`o&VfCCtcLLuhfm{#?_?DAUK+}S|Rihu0}&IGk^4ZQUvsN-oIU zkt)EitNi|O-cXZk|9!Zy$#Dz%w@*I9*-H1kPK^khR+f5%C1?8QL#lMDJ7dGq+KcEE zo0R$@h3gl$F%**P5s>>C1^I?ajc2H7xOW2@C0k*ZbqmH$C243!_h>hHZ>vWl7`7n}b-v?DN(FaWM zlo+sxEcl7r6bLVPw~IXkW6nA4aRv^^5I~XhtycqT`px6wJG{US)VC4CLePOc{fIq- z4u~=5H9g3szwL!idO;YGr|Gw(h;+5RG|Met}=j}73W+S=A;!6NywPi z>XROZ?%R7EMg>rB&H4iIZMr1d`+pr_Qz;FIRnhJKMir3GsNEgW-77JhS~g$G8hgs{ zuY|oTUoLLe%B0pt&Fm>{5~>3h_)BT#(oOe)hLJmE#E$UN2+z;BkPrXTYL<%nO_|fE zPY@3QM)U=4pY*Z+1>|!FKz!IR{wU}Z@alyuj|qflPW)Ivxit99CY>*NIgTATPVk>J zmMAuizUjE(N?E&z_uoUkdHp1v?-Ou5CUg_nm!7_X#=P;=usR3C zYI+)VHyyyeJfMC9@gu9(Ca(bzl5K;8dIrUMfbq+xt|9#N%e~&=fzlPuTGlwk{_02xc3{ayam?jaF zn=6BwfGCnM$39nf$Xy4N>B$X>tN?l|H0TRi**x(=cD%L=~ zp9TGPnd!d0y@4UH{du<@nrYs%;nV8*eR_4g&cG9Q)U)B+`O0uUb)4FJXnKsGi`>tl zo}+sztyLBJE@u(}UDi(XoKc9R?Qtp+)}!*^`S zdR7@6%7o@f+oV&A6pDCOrU)6cRbq00J+m`o^lo1l9-0pOg z$C(`htHllnzN`oM-4f23(l|6M9Hz{ntv>-!s8|TeFMwrUf#f%G7kZ@KyD-R~;Ky(O z3Www$0JlU0q71GepjBbOarJ26fwiSCg@dBPXdrdzu2_g*$V-~P2-mDY;t7d4@%*8= zbIj=bj4)C8eY~B1Zg=~1z29BjU#51%x_<}ia}&HF(TRzS?(-F%d6E&a8Q27ezgU>~ zoEn%P@MijY`iJttXI~+{qWf~otT}OGxXVgae+Wyf*5lSZ|NV?cU+UbxCB!=!m_~ zo5%ClQD5QIHKhN$*-gXiFExlBU+$M%-X4w@nb3IoMYr#fKz-Z3>+fwCKTjAx;W?A5VHWss8FW@6INXn?$72^-KML#+y1&rfc7;p0_Z_nN((}O2e^WnaEEAbP z1RxXELPda%D6UmXT*7t}S@jxcHJ8FX_NjS2abU|)xjo6M5@7CJ*E4sl!{t20=A`+g zNV0YGZFOrx1^1SX@At|s-!}r}-~$9vY4G$HInDJ!A zWwEI`vj7!fp+)LpJ#N0sH4M{l@dY1nhJ#$1_G{Ff2Iv@~1Wm|bPi43efx)_ycwk%8 z@34q8>+~@XhG7LRGx|_g1%D|Ha<14T?d0}OJhm9P!J=BRGO_n8wua{Fck?OoDb6Ep zwQ@(ymXrDL@GL_B^TsyAwtE&?&)557uP$3Xw4+FPQd-jx1GIBvpPkEHBbD68)cGS95lc7nU^R+z9a`GC;>t_0>+2y&_C8XJN-VV6^iNaFS-O zVk#8!bDYtn3Ix;k8lJCrmlcG$^spm{Ej_+p59k^%4~OT+>$qPRwBa!K1Uv@RISAEF zCY}b6vVXM+=uH0N5Zp!QlMXyblL2dID5L21eS)#~gFK3Ji=u2PC4oVNY8K%oPH4lz zG4of7akLdKbEdccPB=9&HBqm7LE2xSpU&}n8YL*I*L6U8=<(Iq8T5zyS->qGx%2F$jnx)oJXe9wuqbHZ3?HL!0Q9g7 z@{gTp)jv>BZ8Z+r%E7^?k%Td1KLe1m&=T{%qRoCg&TyhAh*_`w@dU_nHqkd8+*#xm zbafmDoHEO+V2SdsG$9EJH!l&$7@X^whe543pcoe6DXB z;L2qWZ73TWC!j;*Ta^uR214ybFab>(56Uhmp?w7lm%hj*2woj5W1N8Kyn<;?P=EO~EAx8!BV}mcHUn37E2QpC7gJO&4>tcbAAa_NIp`+ei7AfY@ z#IHnB=RwA!{nN!84{N#q8xf%|j^JX)9VLd|Ni52Kr+;F`0uvlb-3yX6bzDcY13z35 z4f--=A4#pkFQ`YlR^sM1cI2cO?Auyu^pMdSguCvl_y;x&a)a%TOEuo4L#rmsO`>%j z5X2Osh?{*T~9nD$K>!ja?s&l0-qTC5owF zfw5vRL*ieYn@W3RM3{$el^5!%5Ox4!PUILt?#e|LjMqX;ZrL2r!*iwvxqcY&~;}RNkCF?8^;Z4Eo}F>mTn8K5u#PC=}}M zB9K(ts%ZK{n?Aj`G!S!p5h`^N$j9?VelI2M2N3w&AEB;|ciMN2sz!l}SItVud`Vcb z5gUM!Xa#%)XpAJ}BAY`<8UVQu0gc2Posi5JGGY($%44F{u1%981rG#|sEU_QnCzgF zxG0{B@QS|P&>GDLFPLnKmeOhVV9R@8IM|Mufc#A`YH=`?6*~qz< zm_zCoo}W}%*DmgWj5;tWS|P#+R!SlmYl6it6c8hh)j#@XDxMa&`X!2SK_H0NC#+!* z*)$vhmwQK}V0>CdD^TO9VZ4af{RU&-Wh0@Un z?$IV1><#+|1+wcXFr8mM;mH(oI8q9vOiZ{P%dpW&*OrBeu7WAnRE>uCZ`JY5T#2DF zl+=(d4lT%JRAg*QzOtmj_SR%I)eg=bU2;(wh5|4x?NUqRxO z2~@{drzqG=3)Q_Ul#f3d=8BhC5i*-t6v707i7f-FfCP391x|9V*HI#{U`9c4KH_*m z=BflG2siKjQ5-$rua+j5z(1V79tCXU{qA>H1=6>_e7xP^K7RW0pXYNVod*w+P*hl$ zSZ@exWPsVBsHkNib4I)zyd)YExH||=hT2fqhp-*sKmBpbI<>xmFpsm4Fn9QrfZD0X zPn@}{LT6rFAu#MhLnM+Qc<;)^<$_Z9OBV}9*wHX)kY|~LLZkcH81@bzS;68tllp10 z6|Ll2Z+Rc#EJ|=ssbhW4Y`doP$`S4Gt~f#7oJ_q@LPPCIBb|`?5J9H?%kF%nds*N$V!d^@algzF|qW9NO6W z32Jjgt}H-l;3P~v;&&WK+Qp?Iz>Z%A$#g5#uMNrW{FoIG1dV& z3FlAd`T-5kZ~VRBbrN+g%>_4;Qwc-@8xuB{c;WZ>Ha`B{MF)&r3*!9tezGDtv6LHAIcBPF_+M(h}wQ z{TP+$!jyGPy!{-ey|h%9ou{gMv9giV<+VwKi*Cie1l=I#^uuytt^elp)F}<}Eotv~ z{IFy~P^^m?wV?pJ0lxaEtdIl?ny_P4W@kuK$WBmqgRxc#O~VZ^?a3kk{uK6goab!I zKu+BNfIQb6GSN|k&<-bt{X6*I{m4chIc{ug*iz1!C$ER?bz%ScznFM_h(~Ucmru}IUj*tKn54#^-;#kkg?^hxxXpc~q z&Y}A1IU>~W{7F*>&PeZpZLL&*bhSD9a#z(pEKM2$(@TG|GJcu`9FpMvICiy1( zaZdK;QNm_ocyb1T=IhU(EXByxG8oW6Z#vzO*YkjCD!H~?H-M>!(xwSE5b@l)Z{c6| z&2#KB<*7t$9Er1E>iY~01GszaCWah7o&6J4Y_5g8*zn1>8U4(BB4nF0>W6wSka)5* zdU`Sne#(yDloR>QIM#3ss3ypyMP5!##LTRvf>!LwMv+AVQo|PiEPH9_MGx|;dD-6$ ziFe`j+?DSacT^?XWZZ;D1=~W_3r5_icHL14lPpNYLoA>!?Nl=th}&w_h2?D)6t^h> zgL|`#e3R~R@RVg_s8~c|Z^%K96fotXi0k48c8$u!Q_N+3#tq}&rJCzpKzJtpj0f6O zLsm6|$`n2&#BISI?IqPU(G_ib9dWbmt&cw2eveyiGmN=S`9D&S6hIHy$T zX#N%Qwd@f2FuJq4EuLdI@>Jf@W9^v;?5g1 z)@-Z}b3=tYXCg-?rj|)dxSuGP@F5KeY6YIx$yyD|PbH{Z){?fn%VKA21R_TF-T5s)tb^Z2C28cxP3!DWM(}hgSNF*h}RqBSD zUg$}=f*Qz11>!qv7i=S`H8CL%+C{_a0D!?Nn4VreI%R3dB!Nfu(L9Jq`31C+ zp9+3QSR07RI64;N!H?on@3dEtYkI7=0p#0*$QZi>Uup2jGr1qWhLzG#+{}Th-RWx? zXRXf++b(2h|GISt2DTH^IM9Y1begw?%|+MYHNJ_Eg?JGSt;E2(cofBzC5O9?-4ha7(q~fbJoUD=$-#N))aaL zXS4z%$&t#f02SYcY1%w*M02rR;D9m^xj{4TkQz!`aK+y=KJhe=d-Y2>x}*t!?yX>; zsxfo@mwI`VB`MGu{wXP0>|bmZM}9A6Z;Lr^o(z&8Co*Q6ER@KNV*MZ~Tqe9s7Xw|GL1@UDcstLv2`YMC zK2d^9m$T(bM)5XzNCiJUK})ExDyh&-PhNmhffOV|YuhJKd7YGb7cXFb1hkYp!$pH( z+?K6(Fz$HeH+KM}!l4h)QVZS5`KQtQD^SElA#sE>7NkNJjd@v4`*! z%a!eD8lVk0_~r%(X4dVo6}$@>>KQQ)DT#(LD9Z3(bKbSBka?mU^K*0F^EurKR7z

    Elw{ZbDiJ;2b$OT%eRBL(< zKH7yJP>*4mbeW~L7tUok;RjUY354DWj1+gw$#68%veL_=t131f2p4JyhTwAh(0*RQ zQIjjcZTdPu=+s&h5Km8#gLPHQSi_MGOpP+Sk@d`PG< zB>LeHoe1S>`ik=8()@sEhbkMY*j8hv73yfKp8UQ`2n9#XK=W++61qH{{H~NA57W!n zSJ}bltW6$^Vt^VDEn0N&JfQg==8r`#YX_X?zq5NG`b`wKFGz%N`cOB{$Izi@f|vjW zLT_y#@}&4RWcpBMll(68J-dFbc6CaKL-RbL*H+T@G$wa{`Y|TrNI=r_OBC><#gL9m z_C6#KDEz_46{^o9zt5db1`jTnzJmmkJxAXEdI@DtnFxs{`?x`rinezHU>fcSvxu(E zBhO~*2E#~)5)VHpRmBeo7j6m@(SQxT3ZskxpaQK z&;97q9NVPD4~`byYE`4CP;NCmd&`*`-cle_*I-+;~x zyhO*w{2bB+eQqC6a4=IEg#rKr2mAqmc!5Znd~cb0?dn0~NRi$yvC2Xa)WXO5UNdu0 zfVE;!IVQ_8DKD%NwwMw0l!Ub6{2kPGB+<9y5m5VLka(>!H8kDsI%wIH`QTSjB6=ma z>rW%BPKZw*n%l-bd{)zwBfUlyF{e zYZVyRkGagnEGnA>@5KBw3Z>axPh@*BSdXZPBY^KK&V@*V$u4$0hvr*%`O(hWGy_dm zN@QD}1JR(u=(S)Rkw9ZI(n=Xxy1Lq546aGEJB(B$E^Qhhk;Ehlt?Q{pzTeMnr7YP> z&CUsL++l>tBPymhWRZruZURO0dUlxEB3}c++Cq4>bsd@R|9-g2kn8vQ=#_Ax>CNQj zN(I2u1iB(p;10)pW|If-Gp-5)|+;6X$I-$Bi?3$Y6Bo^F#VEkx}gfz=D-ndu{Zc;y&pcJu8za?aLhfzavJ6hIT_yqn2dKo z#Dl2?RqJ8x+S935EJhsCucfCH1@~}L(R-;KL{B>$rjV(77dxT!!26HZGI`DHWt_VF z!?%XN>F}p%>;tQKw2*%h%*eH|tL1P&LOSfY8wZEVR!GEPBTRh;%foIUe(!iw)hiTawa!c(Jbd$W>Jkj* z-i#{SFDoUPF7L-3>Mi~oykD-7 zcANWY5|jk6&*iLC6GC`0Y#0$aHzeFt!zxZ}yUQjyd#RfXV{`8pxQJD&R?5!-@?KWU z@B9r?&^kkdZ>ukVhu9)u5HZqI%y$bDv|2Qet8ouAgy$5r79%w*RV2pHq$vv*N)6fg zRVEbMI8dNX^3C^9*h-swJGgB{bV@9^Med~)HS6LsWE^emj!=}S-6k(S$%YdiD?NbN z6#~(_Slw`wo83&L28OHW>r<09>wqxJ{|-%NNK_vq?e0;;A4Z`D{2n|MFFGbQ0@c1( zsvJ!dT`HC51-6%&pS&<>l79jmaFP^rL2C=FvvQnWfuX$OV(n{=k4F z7DL9TaO_Gq`*ydBUXo%>0~VXc;Q$4m)l*Tqmuc3)3=g%m5TUpfe2z1m~W^YD`92v>Xc`PLB(%b*8At+GHD_z1xyGC4}?$I3>WSr3P5uXQM7kc04q+sZcKJfp)0KE9yKx104u5Yq6;5}<RdUo97Cv&S1wjwShE)X2bu*x+7eT(y{*(rB?9cgd2*peJ$D2m|6{K z)&5)JbVm!+QpQo{bo#!XeYxv)>0aQ!$Hx~I6z=99QRmbDF6w0a&&0*Kxd<&LB#52& zd$eQdajVDv%-~Zg=3kR!Wa+FZ(o}9VVo17+7*ChD@-0TGT?py^-LHh!FHbkMT67rq zOCJN30$I7{pQ-Ze6Gx{W+yv}B?j`!idW3#g9HE4t7uLsvXuS!8mwz0GBtoc3b`)C; zrt#tf#mr?($F1nG%Oba!f0d^poohHV8ZSw`(u&Mlmn6;Qfe@>Pec?=F)SVO9pT$lL z{l<5j>9{{^+`PtpeLtGt&NV2UnaCvQFDxluxo9X(`o$M;lLv&3N%1bvpitIzHY!ZH z_)r{_mOlIM`w@FxOlqL$ zNucpJ`S<~Y{m1>`RIdKh$iT#csrOpfxjw5uDIh89`eTWshgASh`JI~P(12)qswPw5 z&uv|p2E}H1ZQ^z`J%xkG)=Ld^!ETSAQXLV%x$KosVQ1w_Ohf;ZP2Mjy3Tu@tJ3}De z?&~id4cqJeLC^X3|4{fQa3V#0{b-|0{&#IO+kZqGCeKb;1u_2MH@$Oyw9y-gYEGK9 zOm!l~hHP#`v_=2+4ExUZM)>^;>|lfSyl@~Ojz+#uY@CevD?d)9_bglg^ zJL6laXnBmM_{`=Rm}DK>^@I zf6hig)2!#Q$7taS)DaU}#4(?)sFGlA7Ux@}Z1#20hWc22)PCO+uA)GLQtOgzDh4Hx zRZ?mxA_a!qp?Rh$4woQoqEO|<)%}kQq-lp^3HN7p+5hj%Ft-0lHguiemfrmT znPFl%d3bz9Pa9ARCgvJSMMq>(`A%gqc%U z_qQXPS0@9C-%UHsJssPG)pmC8_Y9b!Urzo#JzX!p&fhOLUD_BFhV(2grO-r&aD@vN z(iBJlk$MUl7!1KcW)>6)Hk;u}S)Zepwe-odI{yNzAW$tc?WpNl53HdyA23fr2$RMk zLgBe1``}1svPLP$OQ0!9D^;o~M#mTk!#lQWRiX?86nN(tK?B!Hg|el<^2CzeI*l|V z+zvH(Uz#d2yuuXWFUx2dd^(4fY<^^X+vbkVu{u=U@3wWq>KX)&RLmZ|GSQY#&s70_ z9ejjX#Lt;$hfh}j^|l-b)MjRo)I#`jOC?;9a`uQk;Pah|N`e};>7k=eZn`K`CWOk> zkRoSF3Kb-ERq;@*e>Old@(Rg1Zf>PR`4~Mhfr6|n++me+M`_${IfHo8GKIx7i_APp z<~ZO!2Rt*}r?{m{;W**B*yj1G#ucxc%Yf@rw~=4#Q%8x)Rn#@6Z#C1fa4GStRvC#Q zSwxC90mXQ0WXh$;RGyWOsWeq$AarWW1XGhS5F7A0uy}|*qZx%BeVpxx?^@9|ph}ed zpwwG=OCyMHV&b)lG^8T`Gp!P9T??FVAcYl6g zN{w}w?^q8V81Q>O{<`^Sy?f8?aeF7Mm|8Ks%Q19{pyicb8cu>Y-5aIk0%e;L4FHDf z0$nadCub*5?nRhj<;V*d!6L37W)>M{k3moNjg;yILPJ_1#B~Z_!iEwQqw)yje=r^g zff>5lQEn(Mlq4=-pC_TnFT5}Vsxl@l5F-F4mdoN4Vcp4sNlrtUJhHbs7L;+QSOjJsP?g7@V-5kK=~>$D>Xfx#@b~G9914 z!izqs^-BzI|%iu}%TpFO?DOhwT>XR-424pb!#NkOaQx+r*BihE_pFe=?%_VH2U! z6+-5qpQIP|7DIObN6>2#(garhnS$0orPu!gtz-L-OoQb4ORIH8G{Ek6H_Udgv~Y$n zhnB))bj;A)h#Zn}(qs@@+z_!NM6lim+W2xMjm^MtO0W3x{af?SrwMcRWOYr=5$3|v zt|LbjVuj--1IMMEKvczJbC-6E579pV^mWhG(d93l>*Y|#o;918(5DF}n|DJ2o7C-< zcWwc`r?%4|$!vg^Lp`m5^s3SdF5gmUp1d`ug zHz|l0{dIHFacB01Bt-+rSl-Y=2RPj%=<^ z#_c-~fA-W`>)FqV(=*?Sn9QkY!shF{bi*vF!b(BZya8DMgl&G(q zpfB0}j3dbZ9xl^S64ViXGM>f%L)P;jxqQB}|3!pBgZO_$7{I*$Jr|FuM%_MWoz7!5dy zsbG2-N)(%|GjCS<)u5dJ8*kR|sl%M8V*GXe%pP)^u4(FQG0ME|xff#$*!746iz85hglo@71?q(ReynaXA8S|2$c z*mZe7a^c?S=H1F#&Wt4_=-&G7{QlbCg^0<;xMR{VdvsQ+$;AFUY{}SH-tPL$S{LTY z(0vHAT*vHc-?6NtIY*(a*9-2By*ZL@g;{ojUVKZID@ZBWRWusm#d6j-nm)KX9ggKq zUop$S0`8)okZb@YBL_Oqj~xaJa#@#zRB2FiOq-K00O) zAOPa=@_YfkT)$g4KU=S}$A?;6%syEgDB*7=r6zu-=7K6aMTRa`2!`NF((|O62lpwf zRP}=GTLOxyVmy}P-*_3--L`v`S;b@xL2J0V5DDZBZdE!f5r`p1=V*ft4b@wpx-$#7 z6o~l2^;&L)$}ULE;(wzHGl0Khz4Xia|9u6KMTa7}{S0o^|6y?dBU8zDuFqk$`H_(GW=)6s1O;ZI7lf)P}V>jCE@t`pI!=iC;@na8UI(Pl;7p^$dSW` zpG7xY2hYDxpIrHS&#$a){w?=eUM`j21S*9=)F8nmE;c2UI)z?TSxCV`=n*rQqHKs_ z*xvIJJC!)fBrd%Fy5iQg9Pie=z;Y65XlEhuiTgB|q-y1ljW9)-qEIe;{w^@ z;sx@7RRMLYOz(vfc%ER{454wE0uV~A18j0f1A%QiDUJ!)&%eG#ZarEx)>B^}-h8$` zv*rD_rh7OV(0Ak#D=-9h- z`#*}nCWa(jub(2Y>*xC4Ufur@^VARt7skO@%gWrq$kIH^qQdB=6ec%CBSkmPFhNUA zr{=)Q${K#?p*0C#`;gmCi!4dVz04STRx|L26At+qDkl%8^&L27DJIm~Uk zhEh&;dRjIZ$0W!kigYxMF^I_z`1XhbqIj4>0kf5)14v3As{HYP5Wismex!)P0|A{7 z{I7VW{}|qjG%xLNxlld?1ANxBsLr0f~Jwsi`R{=B>Z2lH) zcl5PN_iCwYG7KsYYpEI7q?%E-r;T&{t(1+_T}thHI`^eN3*AwgXQ+vTyA%tQqd8v4 z6PGP@QfsWy7A-YPyEq%6b8(txO7}p>s)ocIQ4Fe^(r=ZxGkZ(N|Hli?Rh;uY=Xm1q zFD~uz%=yxeW!slm)U@Zva*!MtgkVt2s(~tM<#7gdfp3!PDQ)*Hy;WJ10*1O#5qdjq zV}hP49#r&-;;!8defNAk?h;V-vEnW?&?5%XEoTd{S_V}Goq8QuJ0&a~ZI|4NZ&c#k zvj*PpA2-^rk0+2LJ&2j}RJ+?&NGudzy$ohhlSz}eULDc`j$tx@4Tbi8<4QlzX%Y20 z#xLM}P9z4PL5jCR6r3dB2*=-KZ>&&etx!h+QBrmRlkp_1`=Yr=TH?VBzA7Bi5TXH~HQ=fHHxV%wtdy1fbSf2)N+ESqvZoB?venWmhw(x5$P;qz7~A z6U0sw%+8%Nq1AB^u&-l1^_V}QfQ7dpg+N)}e6kTmy6)Q0lYytffsV|~ip=w|tHF-< z(0YQ7$DNg}vQM-8j-_KeBt#GqWRFt^pCe7wtT}e*s8I1;xK^R|N^X38oGM43tg%K; zK7@mOc0CVhbZGg#L0@k@O?tefL+m7QKB=U*zwfbSK9z7l)nW7m_$x%zYk9DApG<{w z;F1jbD-(x4Y#y(TdOZkd@|)$jrJ&?+NmvY*-4@MBwL6NXeOQ@n=%5keUb+J)<)Y9q z5ISc#NqJhF(-UDRS<`#3Ds*_UN=>aMu@n`U#_4VO@cBCB#sa+8Hw`Cmzn4ddI+ z^E||VSVe7u%LD17t=2JSoJ_6rgDSw_{$XJFRht(*SH&^9;hTAdklgl(*aL5?7z9Rj z58674ESE)rTbPsSBsg0dB-Ywes)3s)2lT1A0Ch&X0w;$lTWQ|&Z|y!V$vd39ndMmh zUMoLDU^axP2LYQ=yqqnPsgh1b*FdGL@vVZ6BxFuu!H%HAvF*dC<%+{jf|;>X@6pP^@NbLrui z;3*#^_^JsZ*Nhs}FPpiS15|D3^!@JMO3?MtSP$0C15$;JEeUC>>R($Y&s$;EdvTqV zDB5)+%O=d}G5!!~d_WbQLK3bKYl%6V`#3au{U8eFMQbaX>F2T#q&K$Z4MfkbY6|(P z!ue(SvktthYbeppqS;NQjF}YVxL6^yK*^un#-jL4q2nNeR?YzGx8Rf=-EXkY_di&^ z7zLb7U$dCT&3+p~wg^dwZxO}4|G*ND174(!Tp*dsjhUzgr3;84Mrp+t)!~`6P>gGO zLl(|OBCj<4{pDczz6Xe4wbg+aIHqfSc7B5>Nog9Tu~E<9I9QU>w#y}d%Bv&~Va<@s z6~d&L^WorHs2nrRS3*iBkw`?P(UVMUXiN}|AX(_Bi88~XamRN)iQT+GjovJR&wx4+ zgW^O%E6Lh&X)UYac^2%h@5V+HTF&m8{Dlb9lAT`*Wc+=!1)=Z9I)8prEB zOh=fkAij?fNl}8PiQFCNuhJgB&^=wB*Ovo6nXmMP&k?v3$slk)15sJzBjYJt_>eP?8i*|8 z)Sx=vvH=xkEqTrS7m_L z>2d1j=?wD1N5rnARu0kkM2!%$qT~xoajsV_qnUX&f>g(0F)YcX)YfQuSjartC^Wpe z&eDwLmIJn(4Sd6JB6n*ky;x`O7OI#JbM9ZM7L{B8;W4R`CTPIkb}7qI89A$%bcSS) z#g?`@gL3|qjien~tb@E?;rQ{;-TpgKE(f|SGgN&cUlhZ$>^#A6=k|a?HZ<5PHXQbG zswuu|{vE;aUWS4>(*Y5~A0tb|KRDhi9{F10p8&=Bfc4o!UbH^E`dbel_f(K^PthLw zj*%I{qs*z6DrmLFWCOH4+DmMLaiyk+@isEtgSxutXH~7HllF46u()L$=lY;o?J#98ks=89zEmV^Shcx03+3gSvO!AzeUu4F;?TzW%o41b z1$!x$2KFquV(W<2)+iAl0$oJ=lElc;^WV>=Un@pzgsqPwL+5*@wOQ`|2>kR0#S@Rk z-ny0Q$LFd=zDab1TE-UZ%@yj^oK2xbF3C3L6ZPP3quC(;A7k$n+)3DN568BRiEZ1S z*tTs=Y&*Z$wrz7_CllNDms9oK{NIcBoQtmN>h9{U+RuKvHr8G%Ms^%)69dQ}LdaLS z*jBq)SCk20J4%3|7+Z=3!^e}oA(Gq|+2Bi=e!AO@^P3!#L$No^u95!?iN%rkg2X*O z<;}tWz;sZi7)PEai}!N$nFfzx0VaYt^e;?8VVHvVa=Kt~wQG<-{zx!cdN>lDF*JIa zd15kLiK=+W2C)jbA5={k%-oyT&ch%3&e2D!x(opj4C!mn&$o`OYez;-gz1RCHrg=u zK@^Wt3kpYUNG$NUWr6}pT;csPmMN(vdZ*x**&afbjBJHH$7t1^s{isYD#s%43@QhAU(CGL)Q0y!(ZYZ6t~J4*IW_IH>^dwmRE+{% z#F-fyKlfLO*^k|Z1Zv8FL->b-XPFsJ4D&;?lqnDsD{!=0SQ8g%dXx%?pI5-a$%gR4 z1{ud{u5R!tFJz8i7PUfHV(1@b>iT(%q8=#xKqx)#ERADmFv~+N;2Bx$!ibL^(KP)e zoff3*hKzSDF)fe`fPSyDjwdyOA`)o^Gh4k^8T7iGnXcE(5?b<>GV_=$fMH zCMe5f_eS=EzX*KuFi9{t6{8W3+mT?BGogu!o+ySN{!~1f1vX-!1*r(ej8-jB?}_a= zKPnLCr(&|xK5Mo)%ay|$_aQ>T;5;1Ued7KXw z%uUH**ki&;V)I1i5Nrhdue&qvHYS^FNUxVkok&6JU;uK zb0!|I&WXk|Ufdte@|v%)4T8z9*9sVMRLS+@Qz} z$duGyuf+Jhh}4p!)R5?Sm3gz(zhVZ`=IfL0D9gY;AS$1PhD&KRhq47^#Rogb#hfXx zjlXAVC7=Q8cx9dnc>XSgsmJ4 zmJ?(4m9v$PE6&OTJTGcVlTd`}L-nmXKe|X~qubJMkj&Hr2`Hw8RZwyO~&VU|zVJ`29ywNN*+&eeb7k0P(*!D%k%kI7c^e z!wOvpNo?ns<_MN_)IwG-SX$pIqr%dwBQlU2ObCgWA%dKI$9_5@;skQhw6>c+?&a0YOp%m8zu~ z*3@-be(n@HIuOfnaWavz=+4$zhArg_3#f!(|A08Msp5U7De}(<8K+>NC8hzy)vB_6 z!~&}?KXKce2dAH(;}@jR+v@`&h=-5^50w^ZOqZ>aTE!6%N*q#sgC$%8C|3Ohg1vX^~jRwFR&v!x%z}ht*PTCa(&sRGc~lyGul-64y_qVz*2aT zY!!;4t?iNqL|@6gI|Ps(q;$}iw4frK(@jH>#J@%SP4SJL2lF)buu0`NLd56$=|?|= zAE0J1CTPoWoBBBgC>{`C90%lR%OA%L$W?O-XbYIpc>XAWGS2SaJi~@8rtu@57gb6MEX>aioLVB`Sa)JKhk?qf zjwprB2vOXRZF@?=S-C%uh)dXYM7ybv-Cpn#IAtJNY6zCeB7+^e!Q$c0r>kefk!$ew z`G$za-{&sUGNzgbat5bWZAH|KfUE)x+@Qw7Aw?vmi6+|*KOd3REk6&%>A;aY767o` z7cL-cCB<-#*O1ym>I2FfqV3lI`LlVM;1xE%5|5lf zl-qv&{}u)n6hW`nf7a>h|FBN~6;Pm?IBBKLge3UW$+>bW9XUG|;#)-k^s(zDmcF6_@?ECrU((!p^V@TNO>-MMD zt3*IMB^F2lQ%sY3a}89ZP$8Q*)-{8ulb$lx$X3eFtRbl4%uQ%Z%O1u zaUz&Tvk)>Im@K^9OjSj;2OTUu9H;>Ige%eQI%ATS-M`W$4ck!Wo;em7=b&wbH{=p? z3cyf5VEX`Jn@v10%Mz?=E8&*J>|LR5?UJL@9o+rd_IN6bsN0-9 zMD7eZ!wa!Gu6$7|>I4LnU>?FeAmZ?P1}wXO5@?#vl-5d>Rx5k}V$X>2<+l7^;@Zj) z&e_;(q_zJ-e7hWPasfH|XuR8>MCbr+i!pNHyEb!shu!Y;a7pj|&~0L^cJ z1?!&l=s(K4pVW!+LC`=z#y?8S|C@#XD>xu!^)gbY9U0=^cL&UlU|@Gd_Q9-hzI_o1 zscODneXs#u5?1JH^ks1Odl&a7*JVTy{1Y6@R%x-4Dj)SX@A-~i&&Oo0pV#MIsd}&9 zFs{nGvU|c-pcFO?(V*y-pBptMsM%SbJ%EY?sr8? ziL-fX%u4P|3}+*1ZY5@#@eF{>{CG|ICf3=R6uovblvgTk0ELBSu0--ec)S4tz(rvh z^q4ggiY04OL$EVUHOG_j(hN|eI5)3WF=^u0RYSXKSj^p(0OJuWopI{8lg21|>o9kmD29LXII(Ht*(qUWjJRbqQ86bW#KxJCg8=x=3`YmHQ96M`WxO(U^8l0Bx)^AcHL3F z(8gS)a(*5^MxxCVL_A2%-l-^uf6R)U6a!rvu^1tlFpt##xC)vC?Kb#oaNo_2ndDH0 zdYo}7`im+{tvJ$U$;Lc_YOk!5Vj5pCmy9M7TdpdQAdf7e(_Ikl1xE&6jvA7hWUD>S#hK&y%iL1?5Wn8bCuS9t znj-Q5G$qSyv613{_>O$6tfe!i4*ZGRcHNwWDlc{L1b7m_ zi%t9#^Crk${gjAB6;L30+rVHUx>j}nK(d!TqCChhC;sadkiZ!Tj%bo3t2iY&%bT#! zTv7%9sDV)Bj5OPUF0N>nCA5uLf^|ThF9|z?AGHjHjXk79CXp<2lcBISvOk(L0vu+A zB-;p2Fk=;4Eb2sOm4u5q57kU`?gbDY(Ns*p*F(0#wP0Ocxk=7$QtfRHxgejPE0qfN zG6?JAXn-p55Z;jrLBQRsrwSg+3;9P${dO+fp0_p<%5ugF&o08m9s_4>5qr`p+|71C zrgs9FI7!4pMUz7y#J~n-i}$2#BsfZj0uw}1U|C^laVJhuTR)?OgZ6LLm-U4D1jdN7 z@|On-?42yi9|BiLVT;gZz^24)`ABmx(~wmVz63kUZHf=mfkW3oY}VZg9c0kKzzDP2 zk!LxGRJ?@-ml6w!r?bRT>5%lN&(OtmCWqh4sk}fe_Igbc{P;9Nx~h+ z3Ctg$MxO!NO#_U$^c4I?kKt%KMrX{aGOsViDbisUGoK+F`l(-xGCeV_+E!0XlIYnZs(b~?ffXw=-E6^_9-8(4>f8VtId zui)q;^_83q&awUWaf;d1(yAG@sei#Uc0GCbhmQzSBXnEQVK860xY9}kOrM4J7hvCB zY3bMG56NWkW7L(@)VK;DM>`tAi$Rr%YgOG^^g&b&(^y+5czhv`y+_RVdxiNo z`$B$tNTuG z4PCW{YJEAT)nzEBs|#wqJEWykH3wkBX=|}da9f7R)fAaWtF$zi%hr{->(zusza6Jo zhFf}rw?5m$Sxg(&x~-W=X?B`i=WHOC*9bDy>u2NY7)i=Cj4?H*+xeesgG;MrmDW7g zE++6R6Fw#}9`&gh)@}ZU)3M=6pw>Q<8F$X@YP;+B){dq;cE6KJYM3sEaO@3YlzQu) z&ZaZf{d_)gs(jyGZZ-f7@^RNYzP=wHx3|N!UxR~#*V})-K5nU2w3 zL2NwbEMGZ`fm>*AS&NYkcw|{0*dozNnRDtRnMsVo+YF=|(Jz5`Xn_;u3OU>p;b=;e zOgx)fl<)fX#{pMydg5SGR%}Znbm72q+d_l+U!TQFOK1mALSrbIf@)=gMY1Y)@ z0JF!4!R=V)^3UrQ17z=6#-v-X!p_MV)(Y(It37TVIeGZ>y?Viw%7j)bj@F&jHd{iTy@*29{e-XOM?A(LAcf%f>(rYWcRrE*x zH5=>(A#VP#tCEup_FF7}*6v(rJNJJ+Yujq9>9vRH%agh*>^7U`HN&94b*0`FYwGxX zK7e`cBj&?>hd8ZT-S`o!-}Xw|OYUZY3lYttf8|EKU*<4ub0WxLyf*gAnrb=_ct2O$ z_Obq&$fAGCn^LdNJq)W4>T_uR8i`x2mNM(58_LX$V0t!M(op}fXaA_QbJJYX;B&Px>pe&EwA!rNqJmQ2?cxFKs=+q1qCeOB0far$&=0WgyH+44s&|K)^w0O~4d9 zy@g~~ky)KDNNr4vX+TJ|u?oRr62-%+nnonIu;Dh;FSjIzS|88Rup-!+Af(ELz>HHt z&Pe?|(oD?(7K8zk5vGkzFQ6nS8P;d|2#R1Lhb4pi#uQO!OlEW$z`CJ;<5GYDOYPg8 z0-z0>u;-G^xMk=QpVnMoXE@@i={DN?)tiW3qgHaW!*G!8!KR=JZ6$}(qj(n1P}8Hr zG%4UIgxmkW`AV+3$^g%g&0qfy`O1F<7nuIt`X8JBk2tSbj)971*fx~mr{wr8Ef)n^ z2a|XT<7Aa|HUIZKHxl*%^)czkORd#C`sn2FhbN$mcN#2G*$>ah8;S5*uGKO#=p^H_ zL_n`aU~l~?tuftK+*;Ej$^?*AUj?toX%gLRqZty>Ld}w;-kwY@7qE=e#yrMN6OiX6 zM=XJkE*8+|8Y-siWLr#ITn-B>*wC~qhE{FYMfy8Y49~+pnc-U4t`oWKeyjf4ecHVf z{j2V=^v7~xPd@iwmdXvG;#AU7C-DGQLnNNcfo@UA&~pig)dqzlFtSplC22VWjsTh& z&^DZY@L+l31p`=TjB|G5jA227kDf1oS&|A!U%uRt@?*{vTm-%keV zT>DF%(IwMD9!4kzxz}wHk;*PQK|0+~RmQ6OOU$IoMg4~Vy2bMoZcy&+r7I$r;Mc=| zRMp&COj13lm*2wY+!^rWn~TeS^QU;D>k4cne;|H2EG70oJcOcr7<2S~bQ6Ev=yHWV zge)d;V45=Uma@uzt7c5kowR@eDb;hJh!UW|q$rozh;LsHwjh&ZY8h4Qc4N zGC&GBKsk9B12d=%A$_XkL))-0H#J&|IY7n9AzfrIx2)3Nxv1uFC`mbR88MmD511)p zu7tWhDRD3Y8+Fl18KC6R(Erd=gd=~YHNgZD{=eQWc*{`K_hZM8|HB{Uzv8-V6Z<1K z7?A|8Ut#=&q*8;g^e`aSP@&^=rAW{gl_F7PjXR_;?AdpA>a*oUHlmQAh`Twixz-5p zUl;ZV?<|{Nn#Pu&3^Ti*N}V_G8}0Qo2V1OT*YZ8ro=Ts$YA@y=ukF|N-m4n$G?2AR z`l1a*L?wqrfu{P{fr(ZUbcGD41flc#aF>g+s^K-4qZ^wOP*XEsA=a#?dkS2EBtGm-!GlrEB)>D(AlnccE|ere7U>${C;HKI=Q}IPrgfy=D4kGi&2w z{weLr2a#TQ2`Efq+u~_nBJu|B4V(P1%Yh zl|Tr&zNdPFPQ_+4ej7nD`GBSp6P2XoU^KG~(wq%3pRVej?WHhZWr7t`cQsWB7Cz>Y z5V*LFbHCQx@%!F=e0=Zi_OoQ@_4`^O?DhG0xGU`TecQi0JZ_!!=p1*5DsZT&8C8HEe57tXeO!L-3@qm7`&xAD6hm(Kho{Vd=lGdkMg{ zZgz*q*+^gF>8!c5{HiFLIq0|6AZ*VEv&)<*WTkQs&sF=_ZVgdAmWpoOO`POv1uxaD zN)h6B!#5q%w3lo5X4*SD2%EK|XDj^YCN)w>Bm9Y{J^egBz?FO&o6=~uJ?WjFMD?yK zJuPLdJ{}fbB_($=JiRVf)-+$nWL}>#!GL9Kjm{r4_ zo$;@s+lk#JR$7wWD9*KH&q$U>Gux!l=$X_qH8wj_lueU2uF7_;uVKS&e!7fYVM9e^ z+z$BDa@3&U!@!bZ%>vJM6X$lfN+Fu*uz&a?`|9)6T|tJ!yIN5uvQLwlVeh zLgmp%`#8JIYA+N0BGO@`>>Hb`d<;>|t$om*^Rg!#poyCh*~V&aNkKt(Mw};ilgn*y zlyT@ywp{g!nK`_4worc>DL*@(n#ybZG3JV8| z96-{uJo8Qs4zdQUK3ORwuUreJ^u;a{|lEaMb45CR5s zFObsvNn_wL`w@VzUJ<54yW;;)+}vsyUh*SJIYb8*w**8uwfj>sGMtf&?8|91^6mWy zoLhywFdey$cj3@isO9QlDRS2*OJyLlB*#Txor^_(BjX!%Y`#1_cH|d18BMXyrq?(xx&`l@_vYsIUwLi`$0{pi@{xBBWe^G!HJ~6 zYC2L6Ae0|Q6xEzE#&XI*6Y8a@cxEX*4&Fi59F%EVF~wHBaV4^hRU0)qY;p)SQ3miJ zc}*aVPGQ$9=WRzy@6{X3sV2v?V2~gi;<%I009hFbSm(qWUlQ!X{DLjKCY_%CHqlft zdDP6X9;M9=`}bIn|UB z$TQYt?1IGm9?r?sG@i$AVbGCw$pw_>DsX&>v(pB7`5P?As3jU_XDGA&`Q4uflAv$= zhbW7%fx$p0GHp3$?}b>KBhfrWh~rVG=+fn1Bo=xfjITN;Zg18cgw>FxF1 z#Z!Mg{jF3}q&;2jvY?!5yO-BDO+JqKzFXC6dtN`z)%AaLMD6wbp2FPyz9!xMJ}zbj zK40!zcRp{{c5JV|9LcaP2cu=<&1OnW^sQFX zOewuem(6!^Br)7Zzl+gF<0KAB?PO<`nNm@BSHf!$SLQc2FODbi-pTB!GJ8TiUB1M$ zbz*0(OCGyN`E0uNMoyRx#u3dku|sJdxYyYZx3#W{Tdi5<065Er60`I!>S@TGuN;u2I_XMIf--IOlgj^G z*}1U~m5b&ym8fwj$DDQ_vwQm;>e)W$+%l2BW!>-9%&FV$;z;~UnmJIEYp{HBtxw8X ze_P7a-RL*eLi#F8C-nB05#@$_B>#x zKk6t=&Z4oDqiWktb(E?JbF`Epw8moDH^#;@mN=6+ricb|Pf90ADv*J5VR?rNZJ(gh z^R*_4b)Di-=8r1|I5hLNoO)l4T$!Tnc*EC}QZ=Kw=dy=hcf2dQvAC&kT&(xNt78!^ zR2q{??8gGalGPWMLkNe-GJW^I<+8l=yjq8bx1q8o9yaX68y)R}$A`5RjzWmd4$M2T zti!U?){fQ=*Jj@AvkEgCn%(T#&3CNujHjdBu-|oz*bK*=v*lt!)6IIICbyD{XE$bC zMh$gIpI-d|7)G?e7yS5z9?mjb?R(yie33q1OYOgJCguHJZ%dCI-ah=5Ex-;>f}jnG zbWK&RzKyM5EWvhst%!LFPeH}#59V#k1ZU$ECeY~AfoZu+_N~i9xeU&_{|+AV$lHb& zRmC0R?P|*!_8`v@5X(lef(12UR2LPJiWQn7)K_HM7vp5`k$nvu&~j z=u+E}543V}qvBzP5P23;@oo*EwY7&qbkq#Tk)T8a+D|2jGM~GjQ7@Uu;~T zF%?=ct$%@z=jn2vxY+F^RSq$>6N5xGBtUrBT$f;jJ&~n%+u_zP@&z>q+j!d;vl;;8 z$~>dUkuqZmlNMnH@j7;2u_cJI-(A4To~)p|a%7&&p5DpgeYgo*bJ9WCXpFPefGv-o zTYd*hw%^#y#N{Axu5F3ez*R(Rk9p6rt<-vNvK#b?w2y@ApEn<~N+jOTe3rnjQR*8- zAK-LW{gLH=&P$(hOy^!<(`slk(00mohLAOGnW!gC@U>HWsXsT`w~DA_hgETtW#O82 zZ(x_7PPSd90fyKavy-_p`J1PSJ87o7&d~dD zx0K0%DEWCv#RP!F`n(I69yHor zq~vbrLYt7O#Zb_Z`s8LXkH$D&2WB0KD41AF7idD5G;YlzOpK;6jtS_)oDw7}bS*%c zXCK4?l|)b}sVqxkC01aDZI(-^x|X3e22Nnv8I;TkpUPV%R}s^}zBL6JCFiu`nl&LX zPsO-Da{i_AsL(<$jSlBME`$B?k~jy~$*f1F&%|xHl^@si!k6B2lwv@HCM~aSk*k?Y zE7W0g?~ud$PiI}3C~By3Lt7J+tAc9>HLK?Wh_^+Bw|*x%^c-PFNJDl448_SEd>3hf zvQd8Ru##|+2p7mSOgg}y1Js2Z`0SGmmqmK-3sYfh+}Tq31-XM4o<-}Zz3;PrbgJ+! ztPG4CBWWE+SgEz%ajx#}24NaQ=S7?!8DE^K+Tg_?OmRmKZ(Tt?^Bi`$J_w3RGpOpunISid_`gIr3|Y*h zKcg=9a8yyJ{!RM0gqM=r#m>lodNz0rgeKy%rK0=~CEFxf+)W9YIpGFB7pySG#7wd$2%xo%zKmZAxD3Y3t z4VAQG2%;-EBP2v&v1|F8UCJxQH^as|b`>11Kyf}UcCXu24ptd`qd zSBMI5Tu+O}s=rrl7>u@sed=J50!Uc$H2ful9B~#GCAn!q-px2KgQa%<^}UfE2EPIe zaBegS5p7~qawApdF0eL1VUKGjfeojPtF8xN$+#Z1BqaFeU||d_53NECnVEQq6BXX9 zthR@xL(fL*OssVDXD<&VI~@LL9=97f4vYspylRj-U--w=6O?lq@k^>PFUJ?Kkw65N zl2DHMz69tHIJ~HZURpc6_$?hyoBOTwyblU|++Bsu{`vF#%?nIWCo|R7hV-bT-I>P{ z_+{_`;F_{6wlTl`pQTCG{?+$U8lDL|$@ib1A)a55z653pRGMZ{#djVR-vmFzgyt z=FVhtOasQc4jwt3zzva28b=JAB-czGgVDSZAVrD$9DKNZd}(;DmBxICd>>lhX>ie! zS)VBfZ3gfS<|zHFZzQqQ5WSpI9~xW(EUX3aHS#mL+5c#rzMZcy#Orl3wJ4XRNs%Zlmr#FKU#!C*f+sCO<`bP$mY-~KWX z;Fv2FcGIFaZ=^*8I9MS3a*pCsmA`p9jEr--m)0sDb>lg?@p}F= z_xrki_w$J_i3>ha7p82*z`=uEHef>$tHr@3%a@__`l*m**iG!z zfz4$EG@zj{@0d~nnrM-fG^`@xSb6{!Hp#ubFxIT>h_L4Yl6W%yi=2gnL|ttx_r=z_ zN*%rRuR)RZjZZgo>VaLL*vT}>#OQ9&O&WDgdc32>d?vEzc@YP?GjBPur+7-BiTp!I zX_Sa#CRc4ek(w+mr6m0}81641nu0`Wb+cqqK`I>%M2G;=vHgUheGGa!M|)dft0N=; z>f3;3V~3_IRGmhFRX(roK$GS%BtnhpC?en50Bak!v8DFNd=*yk#pWM;Wv9weOzOxb zI?nYfhu?!$lQvTgQk3^?WBNH>P{%Azo^5>g3B)3Q-XEDId9oEZjL|^V(Z$Cw*%Nx7 zd<}LtekcLDVx0ORFa}w78JTqKI)6nfFe5BPJB$=U%nMJ!I&U2-=FyF8>7{v*jk=ST zW{DnPk6xu0Gz+rhuAWjGr@)Yi%hM}xPNN%oP_d9oWE{9NHyNutEmXAk#$6Y(e?Ngg zwRgRLb^eS+%M18CUp4mnyk9k5_rBjo94poH6Vc%k>_rAeR&eCiN+ubXh4yQ@8j0$Rua8+& zUwL#NiWWDrHdi&S5ykU(Rwd%Xe>kk@FBt7D*d!-R0a=X zaGIb@EsW~XdAoLIc98f|>yx%+XY~fN9yKK7jw}Z~TU+XUb>;`={BiJl$JtQH0+}xb z={JUuX@L2E)RX|c(|*1;=TTMYj4fSMe!6@sViHvqNi!73;Thh=hUVZ;vM1zKlNAH@ zhQQOmsfd|m=XrB&zq@E*AM%o|F~I(2s8$`;W1XT=^lX7v0?t9Q1G{96b)QD$^7O0x zgHAP{c9YIzKO)6fLx=6mt|a(Y(oBUFW?~?TzmDhUUCp_Zb`C5asXNoxGJs^fF@N_-iY79%tjJzmSKc2%tZv{v=ppCmS;WM0c&+~ zQ8)^VL%lpv>7j^IC;4jD(bQUY1h$9wyp!$;-Ejqn`Pya%bz>DfD`F19%V1EGt76T= z6egW?gAfiejd+T=I8EyKRdY7Z>aq9bW7gv?e_TCL#&MD8EVwum;f+! zIDcB_B$Ws z3~G#qUIrk4_^r(PTS9e+@bP;UQMf~5OQD(xIxGDHJqPYkRJT(kdzfl$+8JBLII7jO zIS^q@Oh{h(7f<`GDE<)7pc*CmM{7Xl73sc-849)WY?>zs=VUVox77Z7BGd~mv&L=B zm%Uwz_~|HU;Q}oMwY#OL`cYW~V>=R;CaG{0wE$Xf$C=dpw8jFt?Qai)d3FY$1yO(Z z&E4hXjDLY;)Nd9npx&;Q#BcchX$BrcK&&9<8oe2n_%x9c#R?iSYeAmE(Q8`CB|p)a z%)C9$2SE<~B`o(`XA4I)CCErYBJ@S5k%6d!8mPYV=ar2ZS|~nr$df4WgYDW@ai2`5 zO}H82mlbZiwoB%EWN!_R^1+QYGrk3wq{FQ6VoJM04)~32yE|N+X;m^v(fdRE zG{HI0WSx2=9*BYR7Oq?kxPkzMBu!$5+$o`ODT;1O7K#@ zN?`9Jmx6QY)617!$;2y)v2$sUsmWe)Csec1PyXH;1{?Cvn+bPOEtX7aRXjnOK4*q2nr%guEX0y`?oVVEoBdr*L zfY2h*Wd>r@dBLI-U%D_*FaSmMHEYXW#%~t-E}H>Hr@hQ))zGs6qY_-oB|GuQ9}qHEU5K40x|k=#5&A2u7~$fn zg!6z{+<#=20ue_ae_92fQ`~Qa5-i!!kpi(_&3d9BTWslKj#aTq9fV}KVYG^b=F3cj z(On5J>`kXZoApUaj@{AwS0|doL5;Ya=cj-heh;S?oOM3NKjb^^_DhfXX~N;scepSu z(5-rNT@f#f?D?r_sxLXf2*-^N0i@{`Sq}1aX2o_Mr(A|wwG7_G^@ZK00i&K z>fezK#1N@C2_#&^KpRgJ*6c8PXxpWlX{_caA$#s8p~x0NoxHj^)J*`WD!J%)9@){) z>=`6P>?E!8ub=_V>m`Wyt{3*FrR^1nOYi3X75%Qyvn6!~!J|2l$B%{U^_`5D!|Ubm z#6QnROV+naqKBRZ?XF8ZwE$9~3-5^QTcGExtF&HjnDx=+kqmQa$w;5jQ2!Ou`AWjJMC)wM&}Pq)*|YYBgBY zr1>A``_g-Br~^N}k1Lu8LoF#KYBG>DQ_(*A&+6p1G8RE3)Xe=|#Nf;4lp#jr)QNm( zj=)E|Y*{uCA-DxwRZBrQZ-NChPrBL9{T^UIBr?z<3adGlW0@UOfV))dVapjU+|CCk zr?Cl^3T*Aqc!6|;6tWkx??Zt!Da0C`W$uSAG{MU~8+c^|eNa$?Dm+7}r}`oafzIe5 z)+<>7KjzK?2!*cXt4@h}7ObZkBUscLF|O`F71T+$4K>uoAPmVE5r3n;q}^G);GrEa z(#~X7a`~&VAs}C1gY}~T-AmC9JbVnqrdT_LMQU`@t^$@6IN8x*Q(q#T+_+RXh7BnG z115_3uM{2V484XLWsVChN5^M|%BPT%9@?h{7nm;5J*^h(op0i2y7O#>9u6=>|=+#x@{0yX%`?=pB3?>(5HpTW@IZmR&%V)X}IV;tRq{J9C{$x|> zhQjd|9LG@^l4yy%=VZr)vO;%;Kmg!gSI-UXZEPoUxFYSUE%T}^@rURiYK@S@Tw_G% zsLrHrk(DKEaL4X4hcM!}L*V1w-nY9;RI4ugvyQI!-A=+D--&3#B_E@&?$-nT!q=fc zI`d3p8+ zszfO+L)tJl z?WR9tB6#?m+7u+epmpRmt?nrZ~1Dmr~3xW$-r@~A1gce1JrK3#@H3jU;`+FV1)AMOl{;B%lO zXIf7u>H|m9ujTt2jjzQtG$mQA%EaaTB~x*<5<74mNo+%w8sj;X;rXo!(<|t+u`@RP z#iVhHWR6#fGM9b_x;wH)^-9uv!hmx&yLMy*Mt4k}q~}m#G1KZJk#Sv*AV*wD5zcBo znP$0Vd!4+=gC*PvFsLDI{V{OZXV0qpH74D~6D%e#@u3zL_HxLgO=#ZGzjh(gVv*|3FCNb(!BLMY{ev)-H zkf*A#yzR4+4o?kC-p360vbwURL|mdFl>!Z$B8g-`BGi+KQ91U4z!9?xOIUlLDfEw)jBAZD9CZMH?f zux8?frazI*rs6a^`fKY)w2Mwij{I{58zhc14Xz6`Iov^w&~vE88X5Sc{O;NZM~i#x zb=k_P|6hW)vBK$0e52Hl8lmJg2@Xj5h`fjHjL|KUPB_5HA2Q?w(QUtkID(b2SOcZm z1&VLei(Ug}k(ea+Qk1!$>h5|Yl4ExVW!~=5f*NRx{x60NW0n^Rh?$G}HKd3~S zBJSBU+}j0-$T%w<`ac&@nx;@F!!~9B4t4}tH5l&`Oq%~0@e$7i+NFBKVvyQHM(RT7 z8{tyT^@e!fvN58+tl?q>qm(qg;i=_lEIp4^A*KD@BGHn3`bT}9^5JhD%y0sXQKY`g zNWijWvl#lRMfk1f%HL~tcpaf_vuFM0KkA!9&f3+;;xYrhcfNL`!xnPR3F1r4xn4A? zJ9Dx4fN7>CUfI=tap?UPaWpd^nRA_~`UZ=ek4g&Ksig{qlsXm2)dm}AXqvKeqlinY zJ-ttyy=`6RbKQPa?2R2`3g^+^`;;D`MXsxb-}|etNBev{t}YJV z2Bo{r3X;OErCvVUFs};NSp>G;QCk>QiD0+NRs)!xb-M1o)9hvxW;mVd@IFz%3I={= z`QIpn>|Kw>y_p}D$M%#mcvxP)Z}*~G<7ehqDg+^0SzHQT&1v+kyfsM*l;5{TYo?>y;$h9>ek1|Ob$2HT49OSUw@2ZC!@?zwD8qpbGa{Vj!xI#7Qeh0 z{gyAUCoCkQ)GAF8^wc&_rAIB_+6tUl`v;wL-F1+0;4RItB??2ucS9F1T-Hx01+(e7 z_)U9sd=s4N2IL>vMT^%W%~TaZoFgL+iEanZQqWhKtK%Ikr7X4IQj?$^l4IFcg}}s1 z;XHCkWtpxLrLRh*Itc`oYDzw%GdTG6bZNp*lMYOD9Dz{cDh`*NM~B>5_%}`WP8#>f zT#nd87AEhnU%VJsc+4Wjea==ba+JSpGJ=v+jt-IY`-b7SF0DchHIy&5l7X~<&T!VIC)4Zq2`$V z(pV90c+~Q7oMTiQzxXil%Xa_K{7e%^32A{P-P3Gx;D&v`|e0(9PKd%uA^%WV_Tgc zkbp|&S-a(OgqmF62D)X|XM2zC&S*8SU7}qkVrJ#@k=AG?!oftUz(=S*pE1ZAibI^DEGl|ALFh8U(0t61w= z+;gDkqyNCHyOtbkRS))~5l22Uk4W;7BwDS%QfaNLyl`@uSo`MjuE%%F-YPaSsraX+ zSxPg@U0$$V`PZA|cG1krCO!V;KkZwCre?|%W1T1{TcD&RrK4FmU^H66w>AeBnUHKU zR0zOu`^WQ-Tp-T0f9#k&Un#8vBA3sOu(0sEY}}zwu9Dj--c%v}pbaNQE!sIVE_NcZ z2H3xh1Z>~b(byrrBP^-oV=U{#ksqT0zNbR}X+)5iQBF6_k$6Bb@eG;w)C)~El{Y5F zTf&`H()t1j$|krg{>@Cv=9m2q=g}%;P%3NVMuP2{1+g~l=BS&Z%#2{7m6RR0k&g+b zxzyTNpsEh_9am^<=6vABxbktN&Y;DL#|;{yE0QXY+my|0_*oR@`lBDHk-h-Yl%I>- zz@`<7Tn{yGjTGK;ap>Z=`x5C{ucBPRXOcC_;c!NHKP+e~lRu|JFWYU_iIIf>XA>Dhyh98~N zwM8Lq;r&&T>PVh?Dk%s@x6nxexMe?FOkPSUnHhn{r;wCN{og+=xUVpFdW4mc)Hl7r zC28)7ukOX?nLnuY`NASnf`qc`NhVDX?)`w%KU@Z;pm%MA2BaDYUinJ`AW|scw9&)cxq27 z507+LufKD2kKP(nmw0MMlzrp3@}KMm%^%SJ0Fm-xOTPslC16`ltQh#!f=ZCcO}UqL zAuLSG`-<^4_*ur^omtaRdT-mYF2b-LO0huIM6DP$%LVUcU`rl!V4sv>=`_l7@XGs+ zD66)WgDg^hYZNQP;;}-h+Km*YASTq$L9(cAU}4}b_GTRfVX??B8L*dP4c5`Kv!2Gf z?l;QR6W1RX>K0W>0nydBtKmT^&tep{UA1PL>rRMGQ>U3Ho|H47fgHJt+R#H5GOLgM zL&Y4;RVf$^=2%fKt^nTeVPOqRX=^L-gJ`mcTKDb3uiK-KuAw@4A78LILU(swEyC#I zGd3qIFs(twT&hj(TAFI;j2Ev3o!OSi?QGgZtogk7u zGHaf>$0Sk=x=R*-?J5RW0;QdT-OdG2Kmh*!mH1GADxME=&^5KD`L1y%tDxW4IK_x$ zCC{?swM((-X0d&6x=QkSc$!_?>+v~tQp@+Pib1>M_pKy*&EaRxw;qHzf5zcM-jreg z*+;ke_*;FLr;Q4K-{m9O(*l9aESCi$%wH?$rfK9ED|p176(W{&Frq)^N-eMrw>Lv#gonkR?3kN|yNGi*!W8S>(m>n%%1Nb=e zedO{c4^@L0qA_9S<FZtQ&rFr?BJQYca&|1N9-A#apXE96~42hjwDcV&AO}qTpvCVshL@F zbSy31i6-!u8vk$O>|Mu^VGH@e9a|dfifBT-39KqP6+pn=GeDWya@u&iAeSkxhpep` zAx+YBa_!~w)WRn3ZWG5>ieE`tS=bm>cqB3JrW30PK^qIZa;tb(@KR?9Ph2NnU{b5e zO6Uu70RKv&(qq#>9X_Rn-8^z{YNgpu@2&RCUdy82h&ED{n6cb~HD|42OZ}_$^a4JPiwt`8&jFpH z`&iM7uzI@(3jMmE3iF+kxy~*29v*{!@8`$4y&jL%ZEEhebsPiWg;o?>aJmtcAicsV zVNeS@FhovwvF5f=NKcY!vqa4+n|B;(EU6rg<*I7IDJP38Zrr15_T0V{GVQ2kcH_;8 zfGmgED8c1v8(Gl(;g_&I0E#u%M@e2B`lh~8t=O{p7kah~F|YdZ7{^qicNgycD!SQ| zbN)&ha=U&dn%BvOB{0?RDdI^@a^BGT76wbpl)+`66esOP8?D$Nz+%b;$%Ti}4_DL- zr}BeTf8tUiz%+b>%N`au>kVWH*_C9skQn3KB`eJtk1p?y!)J@ZqA_xhqmZBy(MEY; zk|7P>mP%ba&uYJu>!xtsgsDDpV0)tZ{or4Y+A&drGQSENvrt-qH98+|`z}&xLW{0B zq>6>0e6p`rt!2}F8|bCZ_7>@x##DsLLQDlJ0edyr;CYEw8{1#G&g66^yrE0eR3|Lz ze%VZ%LOIQ-vkY9IK-UQkl@BKZ*-M^}=2YE1e_RMig}R9Fu~fN@oi~_*S_*jM=148y za7CTwi=wEQ?wf(iUTM^sG zl(E0J;{y^NpoMH>;aT#-Q_;VA)s$@FMXT41Ck$$>%!eFBP1cwryxyly?CUiNu2S*+ zJf2JK@hb=Lzh5Ts{ruz0d8Y;`M?PJ-X2%3*z5T^_fEe1GD-@L6(71+}L zHbD|$m4a&xC23IVK9@-FQ_B`N-&eU*iqXod(8QIL?uRKQYrZZCy`x^@&(epIFE{pK zv(4gZ<~^Y#Qa?$x>KwaV{Ke1den9C@voEHZA`UBwdie8ybRi)n<_vB~UMNYnSE7o0 zn@rnZw;5#}kw>1+&D$h5(V(nCLdza|38+EovGrJIHj=f@&>}PKwK@f^av|L2b%G5u z{+LjbN$@$&T#@EUA}Z&dvYfziuEb%ck@=po7tgRNS&Qq@Vwu8f#%Nl&jvv-#s z*!h62$mDrOCO;jgjhMyC$6XJ_NDUbs3u+T|CIQsBtEoio%ocUpp#+%Amn$?a%X8d`oON$c>OMMAe(UZS;rI8w{N@SB!fME^{Gb|O-+Cua<#ma177U^tu z25qeg>6jD9zFCq37nJ!}G$>Y*Jy6H6_3i|hE2reH+f5&(1^ycDEL*8_;mm~GnXgE3 zSt(@C(|qYMBPQFb#~#V@ZB|NK@x=KLqeP@zZr(E`ulwUn^&Owr`I?i`2iKfti;Poi zl7uKjGS)#!xJp15B`rsu3>scR!hqdF@p4^kA^kOfM9=)e!uob8eJwBXW824UK7R3V zYzh4(&5OmG)f1#|vF3xRUt-S(h_CG%Anz@sLtC1PYvQF&LUtwP=!*ZiK;XTJY6Djhf!ngz32*@F)djO2yaFv zrGwrM27FXVXpGL1k-!-}C^2wl%5InJJ6|wR@k~0iM(f_+fB^*869eXhq-ci`e?7C@*04fb!1OAQ2_#vRJBa4eG^a(Bv^UQf z1KdNUodHj!BszvL4+);CGfO2DXII#}rV_kIcU*h0mjz?@FJ8)bF=9`|Xcf=pgIwjd20LPtDIK zcu4CR(~rx$<2@(%*I44Pq!fMoSmq?Vd?T(!GkUuDxF; zJqNcgEu&fTuob+^6Y22tpIW~N^P`uS+*WaRS2%~e_Y@vi1w-E7R-9%bxFvM`Xye zrJ6!xm7*dxQIVn?9906uBR0uzd$`(^orgyKu~!gF%iM8i#S&?xV_?F}FGAzNG^{GB z(TVwY&t(_DV!Y5?CIG4c`O7wEEg6f*Qjnaktnfk!(=L11R{~_G0tpDdCpxJLM;K(zEv8~$^LwZzS%E~ zreUwSJ53vWrawqqbT&=fB!7krA@B8jaqNY#Rl((Hv$iedauoPz7Hmv*Dyx=xR<279 z4a4dpL3?)32>%?dW+6yhfP z3j=S9Mr$5{ZQrLvBRSx+2pRvTm#sIbVT6l&LV#mG+qOnYCxB}9I;AV81yLiR7s6pteKryk~DCR5rXN!(ReUtu(v6KQU@-l2EJubSd|0LQc;z`bu*A=e(#Rr z!%K+XHyW{3!)jL;sv&lAJBVEO;z;gjQE2Apsj-PvPjtxMtAZ*M{Tb z_UiTo)Ci+87vkc19HsQI5^UeO3ub%BD9&k|3#~fKs+;aeb?Be-UT0{p&+KfxX{<%) zmqWCiC4^CE#^Sd;xd6@-!&?#CNgTrsvH*$zsdjf5~Mhz&y6ro@RXaagou z1fzLv#aRjgH*P5iuJ+VTRAS5gikxz&NTjrss5dIiK~P4SlrbCBgM!1l+}hzzZaas1 zWgXYA)#|WQPq$O|wLPCsb!N7k*vl%Cv<3De@!xQ7veJIo{RNhZtA!Ug{vGx`?K5y` zowsiX4FmTp$L03dD|(qLIlXMPz5wg{kJ@fF`?<66+=q1@UN(BX+Nnye zJcDKH`zq?zmE%5;)9y9|Pxqgr)A+TWb2;yR?rQ5{`kAHdE{_O=`W6Xk7}vdQ_73P1 zH8WFNWwO@39`@U5i(M;IO0BpAW)law*Hf9zY;#R?V68M()8_2}g88^^$?KN*cs2 z6Ay2(*NTP?LXcWpkJD98t~s0eNUUktPhwh+S;g)=to6u!&#FBg=PSP!73G?ciKX#Z zy({IC{JL1Ld4jp7KINQxZ>D(!ShrY@C4=Fyr|yTl#IGlrV|UWUU;eerUQgcJ=HxJ4 zrRw*7y-B)WRrZn%%bp2_cK&AW+04E5rXM&^#^n2?+G~kT-dh0K+cs%?g<#gpowzwG zM%#8ku=}vU+)lA1gSm!Wd5R^|@t1GoqPO)T&}o0Z1PSJjDd0_qSMrT@06JSd*xKts zu=9{=Ga4=A`F(q|KaRTxxEcK2FDe=b{ckDSp?TnwL}&Hl%3&wSK|OmB<>EcKb35XR z>vRcM+=iZuLRc41)cmCNHOJu*X`QgibKEV-6*|=hw1b&T`*VA=E`3)VkTFu?HiWz%D+;Eoo^^FRvi4ZM}}RcgqfYP zrqDtzs0SAu7skgWT~0fCJ{@z?d&1L1f%fDmwdkdgNq0q)K;loKL+q&)#)DsL)(Wr3 zN`cp-m#DqwGqhz;7ec^r-AXa?S8JC6hA(<6qH2Sm(QKpnM<83=_V|;f8$7sgZ8>b{ zDiyuQT_Bv6?owmZg=nV<9!v)ght?U(Dd}E^J3Z-DG6sv7u~l`MMC+M>f0LkS=$(%i zBfgawIDmVmjmYu%ehcOE;;{GmG&M5ielhVP*EA%btc94mh?x4YDNKjDKpIW=SFZ@J zc}3Mf3_XH2^W~3o_MiFRR}$>5*Yk1k>u%`X+=i&8LuJO#=zrUj0$-iKjV@Magy?(|3i^_G&iG(gxp^4TcUZa$izwoDow> z;<%B`TVRW3xwz{N~McJ@3hW-ePV+n$2!+6|HNcy)1lF;&Nv=uGZ63>`@jFgL6oa+ zqJi_X%UC!w9)aY$hzIg(xjM>x2ic z5^SI@7t}Z6vew&UOtf>qw5Rl^r|oL!=xb}$uhl=FRt)_&?P4Zc-^0Fc+W75Kbp6@4 z=sVwad$)Xab8_DXzUnmJ4!#_}ojra0-ZqOJ$ua_E5RU-Ay zj@ju}g)plUE@UWz)W(2O$X|*voGlSx0Rp3Maq_Gz#8xf|1QZBjWiU~49BpHALim6@ zPDyniXb>nd>J(4BFhN(`u64~EXPVJEJ8L+>3Nf&lN#ggut6|ql91_;Ejt%s*`^d=5 z%KPtrA1$}u*7uWMjBC6U{LHW9!G*~f86zqNS-vq1MCRAKP@+LLRZ~LNB!N?<&_yJy zh^{;rnOX|c)-}cOxKY@^zp%Dq8M7U_(tp0i(&Z_(&cOT?35Ne1;P?pJh7t+2x8o$T zuqJT^vr$$$4-FxG%)%76P?&VoBdfLyvu7<0g;i!U4@g#iT@@A^ww}39Jf~_r*rw6J z0*fVyJ+%u`8&a_8IJabCusUK*7*MqAiUhHN9kEh~R_LO#6Jw;B4#!k5 zBq0kSsmPGyZeXVp0cC-_CnBII5kY4qgB}E{Xsg4Ha0dR=E(um`Qq^6#IoPS-H0J6Cli(pnq$os5>}F$#z}VWCBZyl+t2I=*?Y%~I6oY{z zsfO|d0ELnVPU$wfrYxdjTmod*P4KoAT~Mp0O9q>ojcBrkAuAimp~QzKtdrv5XbRX? zpLVK-GG!giZrKDcU77;JY+zaGw}RN)p<9MOrMXLXyO5FKELtPxCk@SQFWT)fFe}T( zThpA6YIB zus8243YP}Xk3tVo(#p(@Qyy<;J=Ta@Sftk*Dg8mk=YC|OL7)=GWUE1L+YlZl#TR#; z?69?``>Utd?RN_>7bY3O|C&1S_;zsi_G(?t+Ro*}y^_1W&V?jB7lLaCy=3T|P{LI& z^8;E()+&NDC7ib_7ORpf2IicZ`Mb~NNQhJqy|}8J7lnB|_|K9A4%1xbG!3mFiiQ4f z+){=bOTe3mZ(}H|cZx!^VzWnahJHX1GevOs!D)F>1mipp^FDLosrZ-?eVt}nSMubR zmf9tKcAOBsgt#_z2eTQoxOnJi+8DPsv|$~o0yTFfOGRG(6b*Jw_}KDFTdL{U_$uqt ze8ObQaNaGZl2vGaxgb{K(I`~8dJg44LCdYC(!5*KmWIIGyy?4#n~0`)1zRLrlY`r$ zZRjkHC9c+@Ql%mQ5<| z!M@yTdX((%eksnbp9>Q!{GYj5t=D$;@c6xaU!MNYvQv8@?YC>&$&<2kHI4U9iIoxU zKo83R6R>r}xv+M_dwFyAQE=Sb|xH-0;mX*&YN zmII^md>dR=)>p|X81J64vo~DU!5_RM{cm$tD=U~s#1$GE)|Vpi#%6L#IE<@;64}GD z2*=Q>DA!5~-rsQOta7OtdKt@WaqBE*YoFC-U&^vyC83tyNYR3VW+`cMTbU87?bq4+eYE#=@*Wn3&$sF8=kxjYF|u{OG4Z}|pV}#ZboP06 zdGsv4>Erk6^!)bn^!4%eadN-WTI-IF=f~TT(2O^59FP5*Xn&4kQ389Vku8Ikp{Ih< z5;}<$;-n`qmCX{|wF{qXXc3$~KH2OZfkpvW1&#X|fke~MmNGVele9>QLh}T{8>6{V z%X%zEfpy)oUw1{0lA76@QIAi(NWjiWj@U7cvL!A!Qms6p_+5f>J56F_?=VRjLA{)& zdd{IyG@3J-G3~BGDcI32t(&88Hb)#T(nb}RW3MD9hQ&!%%XuZhmU1*^VG#P20jqfa zOtKZUlyOf4bKNyb_v+XM~e2xOsU8R<>S zd95_qx!E{TZ2XpLPY^n43yq(KjxyPFMN&$8a+0S;g~2Gpj3fqUmB>}3TSY*~T-ZmC+3vn{SnW(W!tDb9&?lod30?cIwTAF4ygS+EUQEo=EcvcOm zurf@weW=phk9oU5KX2#*t}iZwzu60HCn!@=Jji~fs-17{F+^SCK(>F)SpzAvh%jZ{ zRv+q`Lsm6bjzoX)Ywoj_{-G|`vO}Q}wxV+AhQY5%F$IyWV5ZYL41k+TU#UV}tB;%^ zjQ*0H~nrPy2^7Wmv;PXdlZI(qJ-6w6M1={YU_j2OYERcz@Sh z)^GObmlQ}U?{d6GM{yU5LU_qI29^&N@{h>=AI5x63DOLag8kk0nstR9758Yck=|n& zVa#nd+CO3jE@@HGO(|f1RH-dGk9w~~T4at8WKj|%>Wdafe!bQ4F(*^GNY&% z7C@>&7b+*=3GIw7G=c|zg{-e`<>GMpAVr{6X{qgM1rk*kB+53R^bn4$hvVlU9!If^ zr$mK!o}y0p0{)+%DY;N^DYKvScjtfC#j^ib?DN$8j`fBB%H}Kx!Jb2>c?LUFIx*0nPfm9!^o%tOIfCHxGD;Fn*0x?fl28mIV2D*Dk%V3 z@dlcdU1U-UBCdO%RBYF=xE>q~ckEkPNn zq(bC;x|w8OsU5(c-4iUU#%F@5OHW`hKdx`1`W4Fp( zH;%1(dUosb8(V%$S1S`UnM;1(OqUNyZa3|N3v~OGuw&##O5gfwayeb4|I%G2iMYWF z{({l!-8NbN%0eY1Xbt3XZUv~4fB~1Ie1=91Du{*$mm&NiYA5gvlKK;kVIoqNu%#Sy zCV+w)qJ-&Aa<51+xv7jSd@y2aDV@c;h)szE zuU7(zp=3I{fHUhwWOA4hu6)kwLJDEC+-sh&0Y5=Yg>{^$L-|^cYP`LLkUd?{}_4Dzu)3CK$yPCc5 zU<0k@T8{^?l6hI9YtL5sv#I4u?eg-z(_bvazU=k7%uqyi#5*8jrtG1%% T8t}K` z4Z4D_04_$$U#J;h@$9+m{u}s_`hPyWYZ;r4>5xzn+TzT5oi}?T7a^br{TZ|yP0F|Z@P>y8tTZZF4`9L6(_xt z35J{_ozVlowD*x)TOB?9B2GxQ9y`dJKg@!ZW-VnJ$j(b(=VO>eD;yR@)k0n74Adfo zZk0+&nmK9o#V-LdZeCc^=&v7<*}+dGW_pxq3Y97FXtX0xIUN?r17fAv0fHGg%}{?| z+{QCyd#3LES=|@zw*5zozm3PHu)t#^jX4Iz7(-Nv?Ud8bM&E)l2QFR}t{wA!SE1hY`2 zmK0-FCI(&mzzNFTCAZekD;;Y-kg;>PL5#Wg^IdkvUr+Dr?q?Y5acSvU9X)H(oxSsY zD=c#>?4Yf)t+(^@!Owk_?}E;c9sap&m3A&8{1A_{6iTD4nKy8ES~Yw4qpjjp<3sE~sXB zcFee`#~dL(4$(&MPd%bm(^_z7N>(+(+Oh0XGwnW32w9yD`e9n7c|(@&iH6m5^vhTwMV5$ckH_boQ|Og8B6dW0cW<7`Y})BAp0t4;6_(oP8*BW z)}aR*odGmm6AMyh2OdjNNTSe9=ld)pQDIJ{I_IJ_qJl6rTjc5iiTkxD19T7}#Pb5z zH-*U2!-^O();cYT>pwcq1mpYAtRe@#3)Z&^kK1Zita0VCosu}8M%lE4dAVLR)t=Uc zmh(*7wiV8^T%z1V#-vQflR_?ea2%#h|e{oNs0NE zO@4uD1EgJIGAuA-Y+7mrM?*Xb;i9{~RI(aWbivq!O4wxWWol~a>Gk#fGmkDE-Cyc> zFIv$z7LFX>m5!Dwl<-vND-!TjLm5;WDp>SFrJgjKjLDOM`|`#}n&ku6s;Md~XvmYD z+2a!2ZmOKrk_(`1tXUGBRa1;$UqJVNILWZo^BOLx}tD0)s) z%g-H-_Y<=yo&=ibOvlWM@ZBc$F~1;H$KV_zW?Y4r?)52y&nS8OLdgR4kIW7_Tc90? zAgc)@vrna_e8J1VN?FwKu%13n zoK9wwUL$9Nk>$lahnZn=uw^GStW!BWp7yI?-(nfT7-IPvAy$tgu8NnZ`7(K54^z|A z`wRGH($~meE*5y-beI#Z?gZr1+v82~(lwXN{g0hZ%tnzT34yU$nAsQj|7RqL7TbDI z_{khL{~s34{yTtmp)Uf56XwsJH?JsvAJbUT*afKpO|rCvo6STSqcDWG2AlJ$OPWl7 zLaDy0yD*${4VARd*ZDwI?)B9d58m|--{$x2@y)e9{fzuKZVzv+{+HjIm&eD;`OW>= z>&UabG@SMXVNn5Sdcm@t3j29l{g7+ z5&YF67|f+2a=m615wUPigjP9-$|TV^QU0 zc7bk)7d%vEYlm8BwMv*g=&Nj~?+&A<{mu>*z5?CQLHHFD=$IS=rL% z+53cQ7=Vo7p&p0`9NzAOjHqxhn~t~vB=rkFfuYdvdv4iM7>U6qD)qELyqvR5ph!!@ zYXL5*Y&kXsgWsvHx;)7XNwlW0l|l^}B|9)vrmz38*yfad=w0%I%pCkNZ~QOT4fg+v zX|qk)s=;lA4Y@v{oJK}zQ7)X8{pBhm3CdYP0=0<{SRfHdz&QJa@xxW##niPn$~a9WjM{?5U$ws73K;Sujz$p!|~8E}dBz@dCZu;Mc$ zEK1Frv`8uH2xa|P5)81sz>Qir%8~5rCDEUPRAfEg%C26jsq*b2LBdq|OhcJ}*i4vA zFc}G1wOk4-H}@phMwFd+EoPXNsUq#9x5>x00z(%(%wq@^gSyfLfpBn&s>He0*=R{x za*MV@ek9~)s)4~6U3M7UE2}k*5oP#|;7R(oB|3XbZSe#93ea zbFCAa(CD3lm?=gX6&Hd5UQbFRS}`L;CG60NRPd{zLf3U6s~2{-use%h6LqdUG4?sD zuYkwOA0&s**fhD-dTyWW$IoKxMi@Ll|JTFI-Yv2q;uQ!lFq#RZ4jb{XN@-NIe0Fba z?88#qJD4+jgX=@qZojY8gA7}!b&k$7Hy@=bz!~ZlH+S>u<$;?I+^4M;#=ph&0}4OT zERNnk0KNqKj+qbC86+QQBwh%k>ojB_ZKdxX-N)mgF!x5&t)_uaC-5D&Vp;x0htJ+{ zeDlQshvSZm$WEY1;47ShKnA0Het{=I9wHw&w?MDcf0!H`3x;m)Qo^%>mNSC(0m{0+ z<}4;wx@YzNZ#IT4MX3NpH5hDCKrEdG-#spV#y@jT+_XO>KtB6aWdF=>g(AX#?+(no zN0C(A7nG5y*H2dad&}R2Z1DtH=Ubr9K|@29mDOuG3O=VFJ6O5*C5JTpK2_siSV z1ndmik^8SVH$KCA{}&h{7GoDVT*2&rGH~QD>%nvzZsEPfW%`*!AflJct2Lf1$VO$^ zR9NDFiIbkOm@ttu8s3i~HfXhTLm|0*DybC~$zyEhX&3s8kA5(LnT2@Mp~GX=L3aY` zkKu*Qt^;#Og{V^%?Iw||H_L3g@&>&tn7pKqc?TwFSDIJq?pON&DE*V({K~m4h znpn{StGBtpnmCFT8N)wfam(qB$=QH`!q?XcK4H0$G@bA!(b~qr(G;XRX~DwbB=M~K zA`%Kf^HjsoDo|tqfiqMuXByOLa8t$5#q4mjNi7$*&UD=h%ZI{=E5qUnS*c% z(rIgS;M^I$OkyC`b62(^&KHBA8EUZ3_UCpO1M#UNu9z6c#{uX2KQxD7oTS`* zKf!jPfSAMggnavOe%o^;L$MRYnG&B-BhZ&>=~KU_>YZ z{o~2|m}>1sz6%?Yj(F?r3ZQ(lcpYZQ@AtfQo=RV<*X?!NTDhCxr;(=rG<@!#R|@%UJ2Qkt2km~$wQ z4VRj4?!2MP5>-*M$4Q^h;?XvmkQsKalPt~FQW&`l*UT&>I7i{>?;^#f)d`d)iIjWY zjM6YI&XBb_4Rr0UJ>&scrcGGb`ry&f%M$am#54PO>mSH!P{j0z(Q;%RcnTRX zX#$mr9KJLMjmeolmSD&mLlx8;B%vCiw+S7YG8^5E0?P`p<^VK3mU7&eHe%LLX3j}o zN#fqSH*V8huQUxIrA=J;xPaN*j%SSdATxmMgjJ2I=F=90(e4v~*&9+jX-n0YNyi>j z1gFs|_h(R6)=F8WOMpS8JCDj^7|vSqf=%3RbEXouoH!fxT0S4D&kAq~A@Wytz2}0a zsSmvli4IzGptVG9ye^ZTkqNE&Vzw6>5N;{bmd>)mxG`$Dmye)ZU3@cFE+HC=iY34! z6);1lSaKF79oOT3dpC6M@53$e@b~n?$=m&T@Al!|+vgn_&(G^YD%Vs}Tb2@;)|%+K z0)0m)Y%Q^kRYlnV$AwQ*UuwrgBO+KKd3^3YzUWXnI_6hVXiXt$GyZEpQj~UfeXT+Q zaj;dr?lz}NKV~|du`P=|D?+a%MyqYe4K;RBe`q*Y0!FuIK+Vfv+W?wHHWI@`)cgqM za^`AS+|`Ch%PTYpmmp{ZcTYIf^>>_#)rCJG&{N?ogX(!CpMg#}!#WN$ z{2W!BEWjUHq!JnGbVJrAQ_*SAFjDCzuNuuPcG{7D7a@tu8TTR5aNW zt(g#;29%I_%srIesv16D^S=ubV+P?SgXGkhvOG|V`2u{y)_B&Fr4`~<6%vZ}?M|&SX{Bk{wkNLr7o223 zhk|g3Q~ha`c)lys`(Ton6muqm>55HIg_o$_c@H?SQV-Vgg%{OF zI}1*aZ|~~r^tC<7XdT{K{KK)a=R5xGy+UqRId(rfO24*lK3#r(KHXfpa8BJ%On;2~ zL+Y;^^bbM!ypPfk%HRGSj~>Ud-~3-qy_2~X-D<6=1mi^C7Sx&6pNu z>{o`IyeHwAFqG!Off&ytnJR3p_XQEW#SSE6I1SAEpbWeN6Xdu^Q{53#h#Q-q&a8MrJHNogII7XJ@aUN0+C&^DWTIccPGyN{4a>^kj#^of#TNq~I57I(VZn52#tXcXL{-e|G*!Wo zEMZYb$0UN$O49jrK2b<0nTV8U0?Fwb?skd;Mx^lrjdVIX^)RGTjO^%AkyQDBp?a0< z78FMG^)%%IjAIcYp5i!NHCx&87?HlVF`PZ;wzS=b+_Ztry0Rk4^hPt*c(M4xxC65U{@$hraWIV`Qlg@9NyPbpVn;&DO7aI*3ujoi1{&1cGl zs(Q2V5&(3528j2Ase?t7O}t8%k;jnNT9FV!B;RS$ z${^>#?8Lk7hOk_T;Zxp-G+ZB+obFa7f}B|pSfPylRD_+fqwAYdY)h#bL44h?%ZG@5 zX@8KH+3Yt!*sx@#TTY^cnF{vX^`QixjQK0iM8ag8&2TqtBO+V~q>R^LUkjD%39w*d z(dliyPv+PZfi}Yg)0-9bdM{t=@AY2m-=B8PzqP~L-Rsxk>F)YIl=lE3{pIKE{eFA9 z-|PPJ#IE!4WI^qFFjru#m(N)PzK4~l6534=f)ef{-A~5hGr>V@8&lJe9PMpd_GM8P z9cmm5HM>KSb`RrDt3@=EXv%>DMW<4nxnVs2$844w0-_~+U59do#FH0%QwoEwDiB;C z7{SqL91*ghXhXGV#sFPaXS)^tPFXR-tB z2ueLJ6hfE?qgqy1CNL0z<#Y&(Cu)QYbstBuOPFzMjcW)%OvPhjq(R}dhgq2il>`?_ zI99~XMg6d2HOjCc^tUA93e0*&D%b1wJ?_fXzy=4kD(w?Rf&;Q-oFmp|umk z0BY#6K#8!u0F2Bn1msmH?w81Wx}C3&(SE((z&w8jP5e12aTV2MkD>W*^lVT<186M_fsdI<+PsL5S!pI}(~X1( zV@(WY2UAl_;bKr?lS13atZ0TlDk~RLQ_0V;$X%?3$KSB%J3QXah{U*_0%4GuILPOt zUjk$O97CUxsmI8~LD=T&Z~QqkvEwcsoY3%}(V3_yWMbawC>XuAd1m5AYO$7S2@#P8 z@P%dQL@@h*Oh5Xk%on1_wob~^IKlB+H|7#+D z(fwL;G@N|=?#~mVmsi28oIvZIj}5^LJ{+BoA^bbj0tE`-q^E7Mfn?H72Xz|OyMum+ zHxoxr+D@}JQU}I)pVd8PFsRjQFZ*%5aaa4ZTLW-O@BespN&j_wNxtLr`TqTKyiwch z{k??G|EmA{vW|_sxml#=Y%K-Od`{=9(EX0UmfRSxyN2+= z);fh|9CWp2nwmnEF}Ak8ONRMQq}q~MEhv}&Xq$e^Z7D@pyd8P(%jj!8G)|jdkkUnK zxAf9CuO2V8nq}M4Y&x(+if$6F3x<0`XzN&igdsg&(zc#4{JTUUajpXG47Z1|tSVU} zQoY$KoO*tmd|`dPLc5L!iQO=vDmgO6k5xI_F2#NtWTzdp6v`pwRXFCx6x*bF7ZOK-ORg!7Df530g9b+Q|_$XW=fsY-Tra8 zsBybJrum=jif12Sl$R$fJ&t1hA40=J28sgjjm^Z8e^AywHot|wzv z%#x`SLrQb5)>)Z9)Loy@?xo7)Z0X@oSRbb_QLJeMAGKmBstt_+;?#4B0Uw=wxq9>sWoYJ<}~P#q?kLtG|jS;t7thVY%kK1 zs@I=39}sm7BC@VVOR-)$Xw?&u(Qu%l`d<`2V7-IGS7^~z!f9ahqS`w&bdC_ASst2A zsVHrG=|!{i?ymc!?Ju|RP2rKRI9pdmo-={ld>v-ZvhCOJy<7Ejsw7Hc@t;Ab(7lx0 zx-2W8u<^Pf(G`K)l%OroqSkPp$iCV(=&@Yn#|2SaEu*f)epWZD%*$|~&{1y|T&IcZq>aH%(}C2*x}I;gU#9z59Fz+ zUhkg&!yF2>q}U!CFHYa5fLCqltjMaS+d7MI)ixLSsoYg<*X?p~L8=qchF+?c@u7Iy z$(aKKYk11K5%a=q!=bfWEz{xj7)_-SJhmb1R8+q~n@5HPX41w&=qAefWIDd>Gc?+| za|}^@fi6JY?h1BfnY#AIpgj`DPHG>=llwz1xH$oChJG*aFj&`W3kKfQJhPxu&*=Tk z!o^+6%O`ab%sonWsUhtY)@ES7{qTI>40kiZu_{5cC@L}lS$e&1weK|qzz(*+P3w7P zIY4e$Xea2o*8pqftXml+I~`U_3)6nk62)PP)M96CDEDFr>;6|?X%(n%PYS)QN6Wz~ z;qL;9N`qwIM<;CyB$~zm=)YAL9_(1y%cemzl@i05WDIrb#?Ew{d84VQ6UxpyMcRrA zRaF}(%xpF_+cp6#R!bCgTgEwCRXL=SR`4GrT7B%@3mCgC!=Wa}g)>exTrM^`Mk!b( zj(9g$ht5S#b!G;a%_LFf-ngqrj%B0N<1cuXo4>dAz@6g<1fDjO(Y!F7``k}r1L1nR zVte{~CH35fiDr=MDmt1snOMIqtMeyVQcARoHqJfk1#TIqYnuhI2!|24 zmNz;0(2);yhbvqR`4E=9Z!jwrXJct#$ri!8wb?MqW|Z^fLoonxTDD#XAGQP|27}x| zrok^8Zq+;R!~jGv#)5lZ;4rbSAQ_2=06>%=v9(I4Y+2|oK{7bjAYTwm(yiwVUzQh- z2k$F@1)Pn;jD3NJ0W$RTeE`B{1n>}cc=HDtrYkZufGq>ltNI|rFvCwIxVKudl*9}e zNYG)JqZ4`Hp;O=mVkN~{3fP#_(O4Y0Dw zsZ9yE2Rg(JhRx1^(hPUBft3~fV!>??5phcu*17`@r=@Q58VewcvLsSX%$7WD7l;Jd zx&Y~8<^q0}8cz`i1bRRxIK~F5+`vp}sWjkA#iDPU)&k#-8>t=am^PTko^s)R121{A zASbLO_#4J>!SZ0c!9_3lX=@F%MyUl$ya;HDrGT*Vr2T|Pt1ZqC_@*y-)H5Jw!=nea z&)9YfzIAQUdyi9tXE(z=`4ud*2nB(+EK&Ia(11#k4z2?$3)oKZpgB#ttrAy*>r$=3 z*;`*$EO=-*=~l|%uwz8qOqunxN^%X3duy|XN5)ga^RG(+@nYx9u*m(f=hw#Y*K0v9&k4KK7l(H9aV}A=mXh?o0Db*I0Jql5+>oXOd#L@ z_<3MUVEEja^# z4tNa}%1*Cv&l7`%oXCBMO3!Lq=UI9#{G&GfK&*gLIY+na*f+3HdtnFW6RN^VQsdeG!|pLz6*fbi3K5fq-3JA@eQH8&o}Zj3db!Yza6kC2BNbfGk!Q z0t1W+3xP9U%Le1*X~jU9%d+C&H>iM4ff#I?u+tWp`3cUXS`h(eeTIVspF>x}uc+D0 zKtC*NhtY)Q?*(Uyaf89J@Jaw@n28LVA3%pVZB`^Z58>-t&JpqpzF0xG!T@w=XKxgE z6u$@03d5D*9Pk}DFiGR+r44un%T%E!aEcTbvh5^`8v&BRY;Q0<0DleiGwEzR)4scZ=)1JYNC74w^LH_sI%z1^Nc1Z$ZvA2k@B@tl*5f;BLB&<`w zR<5*4c+49A!={G6_j7@bad0j$iIxZpMGeCSCy8B3Z@U_tlnjKO1e|o1kW)(I*|9<=K&D%GO>;bc2#uo00 z11Q`E_sAp`g22Ny;<)3F%_G4*h=*r_dxgP00-W1}djbG=1o!%NaL;`(xJQ7)`5(bO zCkmg@Ik?CDmik?A&s+ufDua9OL2yqH;IIyD5AJz~Jyx%Sd(}V{OB~XcT|9z&+gA4A zULCnw+Zlv zB)4g88Qqf#)+6atbT5J4a4xT-dzsNaFr0H>I7ev?S0otTV|1@yMfb`!7!DQ+1PAm; z$?HA32Z9CpFuDhW+Ah&OL4G@;dpL+Ax)+YNx4xu(_VD!>i6dpjAIby`$f0bBexU;t%3Og!wWUGbc}SzwU> zkc;<*x(3#B0bKa*wAeP#fC@o71KWz5B2afYNty8J4Bi11AdQ04dMYqAzB-r%{0}!; zVcX#)yBBNp2A)5{KS_9w%}ukP(yEGgKuRpUgLeYBG>`R%eTUW3&Q&O7*gN=9M(=$S zBX)-?Ww^^V2OfhBcLqjkrQc6x!zUW6N9Yd6+{4Xi?R08HUxw}gC>>`CyBv09gH?m! z#^Gb^jt34uv_OlD*d2~Do?Yp4^3L<2pF(%b9=a32pK`?R+Q6R?yUX{nJGF=I(g@wT z>(E_g=uTY6?%;tQ#O~A)yW2YHX9oSu#)Hf?z62H-R-2(aVMo9UcC(Dw9X6f=#%bJN zCY~lz7R*&?4Bctq1TY30plAYX2dtQqdlU&uA>xagD;_Ig7`)sxXJA;8chx038>a@(&jlf;M3fwhsEzZ;$B54QLq1Ql{cEs&e z5Lu1DT`n*{BTQNG3%HG&z#Wb=<965yZJv$uo^As~k&d_>Zi)fyJ#d#9)1=I{L` ztj2M|*@ur^8Mlj6%H$o$l3G)}irWcTU~d}(cYxob$vX@wV}B(&^gVEgwNIVA6U-jB z171T)hdpi=79)T`BXH*~fjgWE{0u|!)u?SVKoWTOC33%HTpezQaXZcUS=i%tf`L1C z1n!`}SI@wmCyLGsL#hRjK7}{|9Bs;s+nKw#UBy+3hk-k=$bH~$#O;=I+%A->xLqam zh(SF-Iy-^9s@?;4Hm3qJFrNZC`t1^?H8`h2_!+$GqH1%4W| z7H}u7V|P%^#dgH)!h-GWoj3*VG)z3004Rh#QWbVF19x@BhDuUHD&emi1l1n5%gSsJ zMNm69L?8nZCF8LT8*GE^O`rt0G5i{in7ZYjPw%;>eEpmEf4oH>T_5nQLotlGj;*`xifXB4QvYCAco{`Pmw2}jO+{k8@6{ueE2yq7SxoIB2 z;|K7xZ2A@O9NA2uC=Pe3JMcIH&)^+kjd(1Z89toN%;#*TBLRpL@W|_IX1D?#xCS}T zeRTkySm7&zo{U`FHcQBNED0ny&aBcA&Kqk3=EkoqDQhB6!?AQDp^1;h6-ao{1^fZS z+}tUl>Gp(XuVR7EIgqD@J#1x}vA{YR(9}q1LKRf10eG~fSaXFud&CNC2}=rpc85H` ztv#U$?Z;@vW60A_2~C{rDj*FNtobRS37dvpB@-6rl+c`*(1bIp*~9~RT7ss*BQG&1 z;IFoVyn`LB9e+(RzfuxqZGp&z)=;5D9juNe;$eY@Lc)Rt!vLU#rwJq#2Vj%mc}V0160rLLaF112A43qLCP z2qtc3em3}`gUPz&U&7+TB_sk%r^2^%25=8Jn(%d64Kl)!21N!BDR1C98+QS$O@c7P zbMO<68GJl;a8S_e8isv>swq7tCjzKpqUAEg>^d{A84T>0)KIpNeKQ=70r~~(qdL(? z8hkZ8yYs8tu+koGMJPRQFciMH0F)>yWf(XOF3?3Pz(~k>Pi$s9IKUAs2edli$jy?; zNd@VMwZXkC_-)Abwa<74Cpv;1Z>8>Z1UT|%I117oiA|8o362El$R2bw*(*Ad4-%X4 zDzRCv;b@gfoakuewhlUi7l5;cL2OF`4d7dG^#w9f9;r>>{#3!f%m-*ZA}nzSE+tsF znqu1d2y40}t@s~k@ES6fT7&K(e-|1>I3avzOS4~LVk*PE0*W8c(b{!`fh@+<8M|bW zdSFopJo>y-k)qIU<#5%$T~#vKnKgGwA{B#{5FGKqx$TC{1RcUO&W z$0B#ZqMeF>n>dm%gyi4KBzDICOQfvY=5A~XA_9xTjz#s1MV;x)xG|mSSu)|FeSs*T zf3s7O10kBq5Wl0b@&fBqTpj{3*x0beB)~?~JS{z*smO0QYuFnky>?KMgtDrnBH0B_ z>5F^9q+TuQuVo&qspvxy);T(IUb;B0-Qb{Q#qI0i#|o zTm*I-nY`)3MSGQ3T}y?N9DyLXxSFuX?yJ1WMX=%U5eFB+ayMNUeW~)oR~c~~2y(Yv zv@Ld~uIaTvMCQzVz?qBO4HtcK_*!bK^zEi()x&P86(IY};% zq9-QWi@YkCh+&|@bSl`%F_jm{L~Y4rA|M4cXT3`0ox(s9!$6H;pgvN0jZBo)QRKBV zQ9TuTLC{p;m|T>1MP7hFw=^{@2C~l zX=y@%Btn*~tYUEwORAi94C_F)LX+V58LKCz*OwK{gQFC%|)FxnVeU z*k0G6cjJl$b})6>z}QVn`4}w>mX?)K9;|ng9iD@7R#Zt&f~(jREUQ{KrJ-Z_%Q*BB zu5`}KAmGr#N-DMCB=wHvO&&^Zf@}y_lp-cvquGc%@C(?vb%V2w$>Wew4hC-h9h1&gUwP?Rs!Fs3 zqhZ^1hL5HZKEm=?oB;0L3DgBn+WMX>+pI$zU(S?)O-ZNE=o-6 zTp7y2V+FZL%e=TR^gnpuSa8c!8Ed`>Ne+-?;ARVRV^~>O0X%NV7_m}v0VY5vF%m@y zm3@<==Jpghnx<2_Y=WNwBH@YjxLSNu2bWetxoJBi;bK+WBS%HXDHvv_;y@&OL8NtT z7RozpMQoy3vEoY-6O0_ep1Wjl z858_W)NuN7PnW^)K>80oU051%s)P^sh{Rd~Fp}S^o#4;U)lOujelXH3M(}7)mUULbUl^&i zoss5xT?xNIS2(OeU1UxNBSET+;L($e2av)QEG_G(GnV}fOVZs2ki>1dD=j3#a!wA7aB z7vRqVSn4HeEK(e>RD{;6#=mNu{R%4tx^_ynEy@|Y5<5+8v?(QkQ8YFz=mehwbb>{% z5R~xozS}N5Q7HSZ!2)Z$Q5fOBD~y*S-*y@)?;?)hlGa(`jMW(?ia)0q44gqZU5dHk zk*z*Q4P-UG#eoBwAMDhEbQRhd&RPMquL8E&d-?e`p2 zpUuT_dxOxS>+ns!v*HkHOJTDx+LQx}b<(MjK1J6UIKqL_GXsM3>XZyXm{CVBz)>;- zx9#HBV(`P2!AYgo1T}=+VHU`Lb~m)f{G>4>eKUZHX*p~LDY0)Ll2(?~uQ+p_eIcNq zJVRCW4Ob43sjwCJtFprtOZaqqP*X1kT!G_VVkMH?b937%2nF?(Y9tbmntHVmdqI1) z;$zGuH7CLiUd;f~%*q@MEt-5d@XGIa#URwUCZ^^j&Zh!hEi;x3x=!!8xddBz3PM#z zbMNtLuc>Ehj(DXRGHTdxH zWb;@_Jr;Rn=Gwg2!B^r)&Fv-ic<6C1-70gW<}UR}j+#o71F?QM2btBNBr*qo=*9D? zxiC4H2gaaa@L@c`GP=hrz#?C128Q{a49x)M0HDyQfgvaeEUOp7B7wHoMv$LTv5-YC?KUx%t=FDLdK60^M+G_cM z#S%}f)(2WG?}Bi5t(NV&)v{$+>7&(BURo{B$w_^x#(JdH@=}cjXZ*ukE!$Oc@~+j= z-L+aODW%wpv5qL5gu2OBt(N*>tEC*RmhQgQvOLymSx?1S_pO%o7AzlWwY-kP(VS+2 zW!I9$^aRTnwOaOvt(NJw)v}FN%XlfqiY$~bowf5-fXQ~I1czafMz1Ak3tV~WwKRAJ zr(Vl^?zQv@s8uuY5zbH*l!C9ft(N_|)e^2b>#J5vF52E|$$G5{ zA_o23v|3heoVSg^rGbbIek5t7uUjqaQ>~Wn$yUqwpj=CsAG_7kj8NOrY8fuAmR{MB zk1Whb`j{;H34&st7p4xD)=x*P!2sJx~;^1!X+APamn`PYFEISOtXtQM1WO0fCjcLzIfu1EM{I9b=yvDmW0B;2+ZzyazkUDv zFZ8!xz5nyKN8ByedGwmFJ>ny%=qTAb5K@qe%2OpDaXWexsOy^o^;Thoum#Rc#0eQoyJezNtZi9@ z%@-yr=V05;MDoH!;Ta~vw}{rSnCL3lwv1q#Z1TfQgo7@Q@e}>bM0;iup7EB6^!4}& zBRP|!mI~Jjha9^&Gtm)eJ2R1ER2w2uj84v_cu!hoSD2Ye} z*9HB)BN5x2@MH>>#Y_|a1`a1_pitXz<_eujU}9OVFbre*gyfcIsTSM@+kQNm$gtB4 z`#BglvAVdX$~=#296#ZFK8>G%zY&9pMn~T$)EZ1Q?@UBK8BEl{ab%*+!xt)6R)C3| z3`}-{f;2qA!9-l#g%Pfu#N{4;b|7(S^au5eqvI|OtPGqC~GVq zlPO^u<0s5MenLYfdzi~nq?JVj6U{pl$%o@7!c~>l!9@D85_a(em`Gm2X5z#|+cAD( z+2CPPjeCt2{DnF(5#$#*kGgF7O=Cj1}p9%P=dhzg1v$uIajd5 zs5@+8#`BLjnSoh7CQmHqI9V=@rCN0io)BjwS~z)PW~EqcDgudef)Xn%U_T)d3)s~| zBzn-eSAX~I`~UB@e!aeZ_x9bV@9Njze);W}M+G~}S}!m}L#yw~wCn*xmeaW8K8?$t z%Cy`_L5g#kR?ZzpzUH~JN|`1TM?}gHYnw-zR=AXDg>w#a*;z=WiQTeRYO6*LGCmJO zu#)ihWi07YzrIP^1Y2~s)5L{%Ri?Gps~qGt4DBHzGhm1l7GUo*>B0Fc7=nQXP8@Yw zlBElUFjQdyO$&MR3LH@`FtqpUiBk^prhdI1IY?X_L;4Xqq}3A)S=%llq9Z~i07JND z*kGJ27@7H$gxm|Y5|fa90V(ypW6up5Vxd;;+X5-nS!k^V78dtY72DC^%W2Jl4u=&higkyn!alVu4}@gu55x}*PI4nuyxf)l>kZ1Y$2n18)yx$t!Tp1Ch zFd|4PSU60LgL^L43ZqzS%sY@wGKzsqF#YziVy#QZU%YhuwMS@43|yiB+i@w#n=B(~ zX$CC;SRfK0y48~L0Y|k~&ZnAoF(yLbT1s3PkAoNQU2)2W2MNWf4O}l{NX`Naz=yan zslfGNDGEC>XLkF0fqflTJngB@%nY-m)8TY+5J8h8iX=B?Ag$;A)mAH3&cmK^>IiF; zS>NtO_mUGvut{8kh=ivjHic2RwP=pJnAdbpE}kmf&6s4j>48mM6_Lnk_}n(Q$>i%2 zceu51)%Z7(i!6OOSGZ3Z{*)E&f)jYaOcko;s+yuedthP-aktEh{VhdbV zxLcpt_a}fHo!Lc|VE*z^;?9^7xQU@8CLkLLac}%{%5q@Wb~~`kiG!Oe8~?msRs*7o zCT--V(fGIZQ{$gL8vmSLPQ_dNYdqK>%1AG=@sE*Yx2G3b;y$>^FuTZF#Ey-0+xT}A zNfHl2N!Q#Ic5X_HBr*T*F4$x**aZ3(6ZaoykGL8kTA+wFj${E|?c7wht=#SiC-(8;izBGGXATwwUhBb4fNYTEC~?u9v*fTzA2{xytr1S&aBs23-T7N^dP(PBiT~s6 zo43FC^q29gfBW*=e?Lt1NGLiLy0=q~&Fw{8(bF>=F0UE@!#SiR*y4IszZJrKZUDU0Z{Y&nsNdoc zMj**eNQr1Ij+qDU(g4^WHUNfa8vt>mVjB~dZqjUF)NeJW+2q4Co4INLjG9};I+kB` z3D>-jJkU#FrS+DfniShkk49TVmWKaFQ*V2UK?EY|8qj46#?dKdy+ z6z8r&azF`}$r|`?=p`S6(9&jTYzP73B%=4*zQES~DgE|DTcA0&1&UK&;C$Z~c$#vm$FzjKEwIT0nmF%iho-$PP~7wd zw%AxtvG)bCEzq5z>CzSm8#17Y(ujp_47G{~(FAs?w|#*V9>%#X5YS}i89FClwFP>X zgR3R(ZGmFrxFebf*awb@YG(6$U!XE!!_$G3KH36tTJ^c&-2j?qcBqVscd>>B+oL%u zYhDU01x$;85{W0)=p&a%Jh4U}PBq?F_}5FSQ9o9Im7kan zp#X=|(FJ*_@c+6L4b!|WXGt!OmH0}OqgcRp_SPyIa!G?8(X&oh4 zo%z2Bi9ri33nMSTn*N6&X*{u-FE98h8)* z%CMgctEFy=uyADN;*Nyl%!OUD5?Do3A(9z_XH0`*v)o>STss;$1`Ir5Fj$y zbHalFMtPdP`4$IEKrv`pAA1}?2n_)^Qc zR84bUjt@Y>2^N{O!9@fdGTn&dTHvYH;A0;pQ8+Q1+d7271R2huH!FvDMV7WFcvZMK z8zSRGDTa}5G#VOYFTsL{nAM(cta}NT414&@v22e)7VYOrKvIJCm~N~Zpq=5PVL>!- z$xMu&jdWwuc?*o61wI&-U_n>H!h>VG-(+0`^Np^Kky-fexThP*fqS~qaOlQ^iCK&k z-e91(D$~DDH`bS=8}(>*6oUiRC4dGSvJiZ$XNObjU~^wtUdSSRrW=z+QD!EvfI#6*}_e&sg7z?s`{P#aW; z_G1N(U4}!rhqLbMi305@ zbVlIZ>++d#OplDrl5=iqvN{|iv&2Dxw?$a>HgtB>)!GLbB!OA-W3PPYiL*Pg_o*?k5 zF2Brn1kTz%F)L*FeVE{L!ciP``T42_i|qk@M9v%_kbzKuVC6J0nILoeCE*BBrdW#1 zc64@$7XvW|(!L3t=hIK$z4_vvf6SM^|F=^K`WWlZViDdftBY}TnNGt7mlP_v5r_#o z)zB@ZF`g}ZKUunHEVDR#TMTCx2+43dhqGY+R)}Sm>~R~x7;`dhT{Kf)*!v5%=E6Y} zW!n}<++AtZ$`)p~3Oq%J69b>@0yK$g5FKghfF|HT$lF{DETUrB2R=N>WieaEdEi`h zdMLg)!y3^Tseo_R^c$f~o?Z8@R1W41i8KY}6E=3ngQhZQs%=K53P5wSfmhGL&Q9Pg z(W0}pzBO4JT7a$Wb-QKYX>uijPVgOAJ#73s z2B(7s#_0G8Yr)L?j63UblV&cqdm$+ij74w%n2aXMA(=g=SX77Vh-FPOG^7X27xOx0#MK_KpMHQtik%g#HQ2u zUhOyyDle*wo;^pZ8|Kp+2Mn7q%C?w!26)ug3+IW>_!`BbhlC&lZ`;U}3!cIpl2@V< zfLOoXmj&F&2_SvEkc6QW3Nnr$1#!dUa>gnb3Z@*=I6^loxC_J9+{v!4v!VohU3x0&bx+77JY?q0 z1XjvcH;rTqG1svOn=x|Q2W?piIfof4vPGILRp1Rl(2(TJ2p2G_#A6SW z%?nf%5El9=@lwNtqX^%IKTe!3y+GqhNC}uNyA{jwwIdD5?oACz4lc=@%gtuFiFTWP z>ySQhcMD(vH<=8hTB$-)M8i3Oq~r?FPujpNq-W69&m8_SejVNr1kHipu=%Ut zfJ)j+Y)!-XQ-B_Yqn=IV>;#=NTYZ@-UtqrA$Ckw}k%wIwJii%cS?k_KW;X;xXkteU z=a6ET7bsPN75>1&cR>{LQaKZnbpbI4J@Yc5Zq$}wGm92*l{#2PXH;}9&M;*#c(Z}0 zXoP&e5&|0|TAZoQ>6o|&2&y(q*qxHhIzw(p0ViVF)2ZPPfWD10M(jLU9QZ&*rST;D zfmF)MsaP|0Iwdj{tm>Q@;}=`!^w9#P>^ly5E3I&tkGfK;c5s~E37dLM@scU7uvYY_48di388dy-BXn6G+*1T|fjGqNOF)aMX*HD0ZK&&v6 zSmiWca1|ZXq$`xDofX7dz}AnfJ0(gDK&u=B2aV0q5v5D`MQh+RlAJhCkVBD)-y@P{ zO_`L&9x&3)I8c%$;|dmWTG`_+@i>G^ommW=M9y0Wmm81=8&ct_;ffL*rGw3Zl>)_= zvVt?BHOxWu8UK)Lf~O9GQ%tmChd;I&toO|7LO8d&;#_h99mlN9%3wh_MzOzTzGPu`Ch1vRkRv zW+A4+4E35v5NisY$pm|0v1SW{SS!aw0to7aYZ<+T^D^GX!@&D#z^Uu-KDm!}sS(10 z6y)o8xYXW3TQuo2ewppKo$oQ&Ls*?}<1v>3r+gy{r1`qPiU-K!>fwLl+ zuqk|r0NXFX*q(zA_kfkfPDj;E$pSCxWmcXD=`svQO(d9uH?F82Z#!{kl1@IQ0eJB8qL?`AQGamrsz8 zDre4Cf=!ds!H4rTFC*j2a1)Fo%Rpy#tQJ1xC20uynMq?#Xx~&5`1A(Yn>c}x*8tXatK6FGi*D(nux z9hQ=zrcIfN?hm_hT5u#N+qHvkV5CWc^!Ub>suw^e4kWG&oCB3zjlmz5%w7}@hQPLD z2OwZQjM8?qfDgwTd^m*^fA1rET=NK|Mm9^%F>w#~Zd#kr?|pL!AF8yI%~E}O`Ev%`OO@ih+XU^)^nB6RdJDBv17It$By0< zXURRpgv+Mda}!R~B*R!azVvOpiY6ShAHu{Qzm33I4Q`;a>I!<2v$Z&XNa1d=^_y7w z?Bl3uZ>;RoE5z1JMW}UyXknfaYXxd!hbLcM;9v&LcomJ`dVz0SL$ToG2|LqS#thoV zw^;Q8cx42-aAZ$4JEFY#?CqO3zXYQE?mxcy`n%t~|L&`Q`||gnoH%8c{kPw|W#8ZJ z+yh9=l8?b!^-_~{H-_Jcr`oU24tGNfuT}@U! zhO$39SZg-^wkC_S@b{W59QxB>t*e?WzNYm-+U;DE6;Cx;f=_3Gdf$uM=i66IkF*bxY6O)2KnLmwBst_m#_#m z;uJU`ucv8^q+2n%&W?iv7M{FO*zPam4(fRQ^s;bH)EIogl0E^83X4C(nR~+kVCU<8 ziVS;6)|l#A``X~^lpJH(Aqfjr0&qje@kSG1GXTMJjH};P<^l{OrGamU0>Y>2p>J5I zgIzrt@bnte0+3+nw~Q%&mKCF3XF+grOuRv-DKa!)Y7;q-eV(x%UBl=B6|fUon~5XZ zUCtqa{$|`dhdXf~yV&Fy$lhI@_}-lgl7SU7Y0ZpL#)!o^4P=Mgo9h0i>13FW0v~Q1 zAFqtqbWa=Ws1V`u5Il>0pPKIXAQ5iv{1H@?F2T}rR~)kOBLPZQbgrSb}d>_r+$x5rDb zyxKI&>o-HXd~PDUIG0}KQF>(+B}7Q)(yPSMt8kQFMOI#cgJuq;vXp_WyvlMFd+AkJ zt|uStrB~tLAb9UUM*}9%(d6U+)VGCKHHuT^RT-m3N99$ESMQg;F1%vhl^ZYRQ;cj@ zx6fnmoZgW+7MI|!0M#&Fr@05}RCtxOhukRpJa!F~WV0;mu9yVmYvFs0LZ_*Yb}!Q& ztybR)uduivfViFNuI5Xzx?`qKsFGLKxA0atkG(&fd%!_~ScvhKnue!nEW^jAx-0tS zNle?^r%U0Lyn4O#X&yUsZr$KaaHLbT9uU5=Q&TZo?d~Y^L+*~IXUa^*}aN@99*Ak1_RGtjq zRlz2|KYjCm^RLue-u~lf|9AQ4VE+~Hlm7XW^8Vk_mw$NwUq3N_{{8R&n|=SsumAA= zI+pWKpG;r>@w+d-`s1Je>)p>zUw-qauYPl8slL4Vr%&YXzq|U0zI^r%eR=m0AMx4! zM=WpUgHQeH+poU<}ndN8t3stNZ4ei#y%dyI)T)`JsRM zr2g*fZ@>F*3;*?lKR#W4`~{!>sr>b%&cE@3XTe{1(NDePhb~_%pZ;+?l`FyW+i^bs z;rCzt@&CO4&FT01^4kZG_w*%~<@@v{*TmI$_J_Xw(|i2XCY-j{=;v+`u6?5efR!1pWy!ti}Q!~-;R%0{{Z`R`HJy>pVVaiMVf4ZCi53fR{r)h znfzXwOn)CuHh+vJt3Q$^^VgcJpSom5C8Yb?*JPthhA-rfQBjkHJ55&nuF3odHJO8Y zxY1xqLvAxuMDYuE{JknH-v|)fZ|q{e3iMA>#P%2cw^@I7)@qO3)}Y2Xo2 zrmjTUD1_YFv!YBaLzLwgh_V3t!ndJ!h%$XA%Ea^nQRWX(rpEguu0>fsM46~KKIMTZ ztIvrtsjnul?5_?L`JpJwW}BZ7W!@x;vVJAX-hBScUw!tE@6MuZman4hRg}GovR6^| zvk+zednd}=ttfjLB2S^H}z%3hWt+trx(p>k|jW8yhE=3gMkb~Pq`Xo_slkcn$K z_I*-hdxlK>&=lF8Arn6|MYdhDJXZOpq@}WoCl&FPe9d&V(0um;0O69@iAiO>HL%U7_swo z{z-gH0_y4fleiZv#Y7_9AiKy-J){iSsIP zUM0?}#QB*@oaOIN;!u?=i9G7`|L`SP3BdTy=t;oP4=qE{>C-g-)`;V56F}KXqrskYO)1j|605F zbJ1iUH^=ZlPm?vi-uzmVDcAQVt^b_bMHL@tvU07-;*};dk7=^{Gt*@GR+H5i=E?3f z*}WpGPfRg%LHrnsELrhmD6;0n&BToFnI(gv_+Aq;?y_X5i>vp?exxFE`@oFIx2cF* zMb@6plFd&jGM&T+24=jhiOh>1Ly=9Jcvg|grxaPgQDn}FlOod}R%GreMaEaF{SZYa zKM!{O<&`4)e_pd>uY{dra3)c=wx8IxZ5#7M6Wg5Fwr$(CCbpA_?M&>6ZR^Xa@7Fm$ z-nXi|Yu8@ASM~0$>fN<^t?Q0FS54@qZOE%7_3A?x4i9|g9lEx&j5KKX&AZzUAnlZ4 zffu--3B3#;_4180@b-)}c%zeLp&vmX@cl7#%`g2pP=@`J~WFY`}pn6GP2++ohI~EJkxN+k5(CeAxRmY2+br;j>Q?N3_G)vCiFm98Gg}7 z8UF0svM4Ug@-F&vKQ9NVdvc(f{9!D*s;8lu<1#6V-{mES|8DlX7>g!_ihbnc^6f%i z%^+L1S+hp3`M2+I?HWB-?V9uUm1@@GscIIW^Wso7>+-vGaH*Pg_@Zu;TBg^$s{39s zb@2Aba^X`&uleN5vUZK#?RylF@1p64yx(H!t8eXEbZzb0dqM5md78RSDAD%-)JxrF z7z_G5??qETpT)!P#Im=$+O;Yq-R5@h^GeR6yt7Kq)(_RJ*Q4u-DPuWQ{i(d}&P2ej z%lLLBxO)nq7IjAs^u7`NSQymWj|L{+mfXK55uiWxA_m$-2gAHA>HpdVo}QZuAVkgY zmH-X@1+zn#0JseRw-*LI)&Wf?k_SB|5(hp0lL=n#hD!WRUEm`Fnb%VT-48=0?p6U! z$Ack8f~ffQS-&K_=!QfIuigZtU2q?FfhadVxYyw>gRv& zlhObaP~`r8+Mw>|!)6qM?!+LcVH5z@Lru^f=q?e^l}7Mub=2N3GYP;$FnI4SHfnDk zg4oNf6bEE{YJk!T{F`ZJt8t7osKR_7JGbxVWq79K z6OH>i9!svv%w*SK3rIwU#2R@I17XbdxX=V{4dYw%#@HnXFYtpewO5|yrnHIKQVoTU+KN3tM7hZOuv3s z_W03!es^f``@Bss^|Z|!yLc`d*JhrZ6^%h3Huxv~5w9ucH#hkMb@z(Wo+#e;zUM>% zm7Ya}cqmyFqF*zkuPZ>Q-p~!0yn~o@84>>jKUp@V)7o$r2XaSCcqqlF-;?b~@oDsH z^)1Sg;=!w_!H-(q5$TX=JS811UHy4S&4pKM^#UV3X;M!A(yo2Oe_}$mg8W=tckJNb zp8=bX+eSU#*F&Oh-SrRo&t#7+pk4-iA&@wvU-S2*b`1$WE||wb(-bv=@Uk->XXtmM($_%wM-hUyvO1`hTl6S(^G!G zvY&N`89qkt#kasicX@xKpK-`hgWPUuztiQ6n!8-z^f%D{`;3bIMuDw;3_^B~ z#WUEMN8==QABUv0_nXYF4b>1cZ;f3V&E3_Zdn}vV(`s+)q~$O=U?s1*dq*0F$70bK z9RIX{y_~ba6N8wf3b!Rc$L-lHcZ3jQF>Ggx%w% zdrTU}+R~lYf3Ce>d5hg+HO3y5|Koj=iN7-}={qiRIB|u@t9T_yRTj?Y8r%aN%W-WC7$+q}gN#3(fekW_>_LI7 zI};xyBB{AE}i=Csf0CR!^d}Oe>9kA-JmQlReh()(xgWORdbNT_%^PQup63*0M z+=Dte_Nxwkovhs5u#mQm7vuR?&1yXu%Y~@2FKEW5)rMfSEl7$lYT2+o^auTX8|^ zR9H&pesk&RW*S(?ad+-yi#*=*@zBM9Jo{kRqmm8%C*@MgUMvI68xi$JPo#>6UiuI| zB_A34F2Y}!5_Y|@fLVxP>YY{wSCcvzKUgp5Y^{0?>7z6 zQd)<3_yQ;h+`kG535Q^iTq5rHGxpTqdYX#{cMX%;{yelBeEA~MOMZYXJ|Ip}BVyX1 zyX*Bd#!Bao;D9Tf|0@nE&awg9ij<)yc$JBkq%GolJJ$yYOd)ACrITuIge6=XOw&_~;ESZNkX3sW9kH+< z^!gJm>Pmeo9s*&Lb$rOpy_7;Z4q+Q%7gIdeOuvDTbv~QNT<`6PuxT9xT}}ioL|sNi zFzc0-bstUYO23^}bo=ctQdG#SuT(dr0)HvR7`#zCcnZNL8hE9XUmN3^<+*lO;@Tho z$j+;w=@&5=B5AYNy?N$@K#(4TlRf481RNW{{9Ty>ftn@fE+jYIk%v3Ct&iS%V!4v~ zXIU4(cb%Z)^MT)6Yhv)DkFYmv84tv}x1mksnxLv91ouu}MU|m0=b7U8LVI2o;1|l! zBXT{Vs+y8X(h+$Sn<^U%;4eGZguLEE={55N_cenohOYLPlw}Jfl2=u|6cJ+9DFg7! zjQA6K`8^>XE~b7{%O)y}{aYZQ7z(W= z!RjQa2_8TDDN>TkLZbgeS2P2KxInsiT z?4b{o9e{7@>uLXdeo#@vK!vW);$RC#(qE`kVh%S&+Ksw=#O6Q8j>WRY6X!5Sh`@7K^Q@Ab2FVe$E9?sfBFYNfTWW*I8m>z{uqwlaaE~PSFpT_l&c_ z-dOhN3T9;Equ`uW^yc%W-Dgd4GmIh@8F41MVtRN~ABDcX+d5^JNB@Cvg6N5nn=H%> zeF$_+wtME8rg~gB%2dd-ot8-&Yf85GzgQOm-Eh6ydyK?A`nz=R3&r+k{K7UE=NIjg zV$!>{J3b-_ckmL!FEW5y1)d5ohp}>oU7{TvxO(Vi-jd@(ca_v13%gjv^5iPP+niK8 z?J(Law;sbelwf6|KAnzHf=+Ho^pHr+IH|xEpgblberbek9J7}}4Yv#_Xv-MBlF-hs z(?3w2*ah%N-TYnsgTNw?lN~zg1!%WO@nDFvI%ygcZiFKUH9?bib2W`f_M;9K0KT~d zF&%*sC+27VnNt0}Nr7#cPoS7ex1a!H9n|K)h`(%pB=&vrQabgZ0V|yqW^&FQkc)^Z0iO&h2ODuXnZc|Fj7cM zX6_{>5;DN^2mD)La+mNYZU^xpjv^zb1`VXGldCRF2*iJwPlSRHBCK;K5yS{26cSoV zgo8E=h|9xgW=vMHx7Uw^0=MuE0W(;Kp@MLOHK6fPWY)4~OQ*c`hl(wh8iW_6JKd`@ z$NG!8TR;M>q-NYmter|@IQ7atHs;92201EHGp`>Reid524mg~y%12o%jO`BIrh~{z znmtaYj*jz(cG<>8Uv1E7v8)&B3N%V!ZkOhelb3$N5P(W6@5pH1nlkq_&e4x|D76lZ zL9Bb{Hf~dg!@$`^x~^Z5nq3;x8iuL62P{{SnAWxCr=$`WY~6?}Qm_mmXN~mH;I?p^ zd*e)&K^0{HXWS(a|3wjyrJ`Vae?dMw3{oC%mqf3HP(lRP-b{w`Si+3b*TH$aM^tG; z<512zK(V~;)!*aJhzkixi!T(zIs?GS4NZ_C4gsW@CNC6Vw;Ftb>vdT)#ANCBvxcEQ zy?TNjb0mR0$99u{hRfMnFwOsTs_zh8b`|o-GCOm(R(w>PnK2>^si@II zHij<@5G4Eo0&bzOvceQXz}_p4*%EJ0$xY0+i<3fe?SrKwgC_{m&~THh*&R&Az_Vo? z3hc*$e90WW$?l1NDj9YfOjSZQ6r8~+=HNAz}XsZ2udb{f7$_ucycZHQ6 zL_aP?_+5?TA@^f5USmE#YgHQiVd<~Rx=aTQRY!m_5twW3@$fF!K!&aUc!4Q!fDFF< zsoX#mQwe5vKh$Y_EA+8${E|*zZjW>kN zeJE!%!uc2&QCdEF*jVEV$jQ}{qJH+huO0T>V@N4ALT9vH20<<-LJte>IeXl~p zcfrP~LR&-)sm7fbms>}K&>0(bPbZr#B^9-n)rpE~hN8O8LH`h%vNd`dd6S}kVf7}` z2ic+@hdLB2#4IqjZ>@uEV&}fRrP!Xkb=2Fyn9d^1Sl&a_mfYmyah)@O=PBEv8bD0<)uU2<`=~k8l^3ubGb0N*!15{3pvv zwFP*Yh~UydU?Zj(={61V4=^WVyD3#56ZNU!rY{3at=g_%RRQ@q#aWio3Eyd8B8{51 zNZEKSX-BWG7K93E)maaE8{2H5XEY>bDEE=pJH%i~na!wNOK>PRLCiI{2zy0mkB)KiN5@$r$#5pc_TOPpEdAfaGS&w4{>3rkopVS zGRTCGT&~!8RCY8xgY467Xts@XXl4dwu4oE1D%6l2;sHN)pM|(XbOD8Iv=egN29Uex zaE^doeNjyjGsR3aJ}` zb)bT`|M2!Lrv4E*SVp?4U1?!6SS;-F~5@^#nblG>3}Iu|svN zU`n<7PH0&Yw7W$Z`m7q|lF%gyyCO zLylc)Rw4zBG0W4`b75Gbs1IV?#%F3`N`O_*uZ^vgD%ywCOQ|Imuflubu|y<_vxYWF zTFu)ydfN-7hAjr$XW_C$QLnqsM3asoo0q1xl&r+&@TtaM{gFeh%43c?V-=hKAPW<9 z#&{WQ-|E^@_G}o0$2>JRJ_W}d|EM(Zo67glXx7tdt`K$l&}yPP2F1Ey5)(%<(n9bx z(R-L{0&8H2veB2$f16lD?w!d_H2iHit$?`TS^`Q72v0ia+3v4%`M~vL+-E5_dflf^8ax(|c?qQR*m1nP_Kf6iV0GOLaw? znv_JTDhvKdxVh0MSnZI?L#Np+iq})4x?YY?M)`s9DO!XEk`_P^M<91E<|6b@h>I0o8K5$!1C5NiwBXsGj@McEA*zw3p?Cjn> zNIIjGxKI>jG9dYoGfsjtm|=q$9I=j-mTDfiI}rB(w!C(xh#dBp#6+c7;-nKg@GTOI zCc7Zd+wV6e=o4}a04Kj--VeBUigm68)WG%FI#e7iu_iG$1WQw1$&B+zwvgLJZK(tP znJEg-YG_9Kw_4mWQ*`_WjO;fdn@h1Ggdov19D_kD-f=9INRoVD4`I>r3C0vQ{3{LF3zX#B0@uc3d=W1q(c&t zhS^811aP{T1zDU0s^J}hpQpSV(U{A!eFO{7R*c9*DzhmlK4hSysEWWJsq56cjwp=N zn4bs=o!L-Q@PSubcIH#`;G;8xGu$GowbAWm`!fY%x>vwyg+~QeXJpo0DZ)uf-|Af_ z9it3n`C&EXveCE)R*Lt9Q&ZG64J$~s!D#Kk{zL|#wYkA+CFV?EtYT6B9+rtbV{(W9 zfu@!Kdg8UQX;9Ftf)YmO3nMk*s*u;!6x->%Bh}mmI z^tq%MMSX#A9Fme5RZ%Fe7b_bdfAW!ytaF>u4LUGCvNl4$qd^&%{q@aO>eXt}oKD_1 z8%%cP`3n0ZUZjD^lLyC9Svghn7P6p4wAR>0DP6Bh?WzdyrZ&sYv z@A7Tm!h6hS>w8I8D|vf%2a#q9loGZOA9L4<;}D_haC~dozAtrABR;QSl3r9)L7~tB zFQMgC(jkn$W6uctm@JBd;xxiZ$w^|DjTx!wkExtj)UR5cp8nuJM*EuEXgm`+!TpBo zAk|>*ZN*}F-V%MnHI#54VqN{cUU?Fb%=+WRAHjqHVxMoaig;|R6sf*MyLhcw> zs^S&dU6hdc$=)Se;|#Q8=8<61ohp>w9*AdO3R56YxPuG{zp%X`YjTHHz1uwFedu&1 zogadI9OEQeJt!v!!9E`S-n;;fC!)SCenbL zrhfIM+hJ%7>Gi^IuS2dr$}nXZaJVvk!oh+FhE!Y#j5^x|8F&a0NC-$LD^^uh6P+IE z065RizZViU!w6hcxxLpD_<<^+2?rVJ)FQnLt@2|9Uqdjf+ufse=7lLCYDLzgt%nkQ?+alhj%nBzgo?g^GZ&kiy8w#rSUA7$vI|M(-?!#s+-ud&Kyo%)blh5BkSvQyxyouPJptY9^`lE3YJPV_FNuTjv!J5awmG*Hd zvb8{?^WL~?{bWk~A@1liLPSih6sw1s59mf5oomZv*QJmBtJkmya?b|A0dgH7;EKfb zzAM>Lq5XIW=LdRh_L-l@d@Nr6PgZQ)nZVC>guFRc;8!xW9(7+)IxR2NzMUQDtc^Il zcyi?ZJ9~nh-yND?G|BFDda+B%BclGF<1kSV@UWuGJ_4wZ>yNCM935ica`*8N5(^v7 zqqB7Ku%V#3@dWL~+DBf>--*88G|-yBDdGsdJoSyYzz)(*f35Y|naiS)krbV*sRgWH z1#=a(0`17}vU0r}r7u2oCOo}Gkxl;1@bGt92G#te z5o7y=;+|)Q$G(zU>6B->$N9IPMugA|ai2^N!?ezG*~>_QQJu%c&iSy7l+;aMuDd4T z%Zjj#1O9^=c{cf5Cm9MD>Y?!+5>!ZWS6*{FOXC_DP(Zg^zJ{bN{+9 z1`M9pcN`z|O=)s7mkR%bUy@^z=j{R_l~ihwPh1`-g1iw}$ip)sT`KT(110|Y_6--N zg;h?B)i?)G8{tZ&iAvO#%`b*9f;?LYxO?2%d3jVk3v>f=rg6i=cT|&ZSCt4j7caQn9G^lojn`vurSZGn#JfK&c#4*i+c1`y2k&?>(e%kmD znH3|E{DSZ?tHJ904$a>6O}c-HrUa535fVU@fIl}!*lb{=h{0E2VFaz*iKK<} zhUV3sT}snCFn{_WG_LI0{ps6+@etdxR?DCJGiSn;NItE7Q1}ScwC3jihP*20_V#9l zE2r|?m+c-3&@UKAs~)1o>Qwvl{-!+pw-dwb?M*UQ4&61!m>~Qt*iHmLp(|f!92GwA z^1_I+@9m9qhIBTs`p5N+`>T6@tv4{0pAc82*!|gk1)uuQ-d@49%d>mOB!w+MVe@_G zKYnM%5t=^*P6w>cHLfrJW4PqceLUP_84LEjyJ@TAyu1A_4^zK7dB0%#lev<~Q*V-> zpmS(|_U;XZ#kV6%j8EFtpq<3)0P`c=JSbP*aazC<_Pfmpo3YVBZ z@I@HoKWblja{rz74hV{q>zL4STU zh>5%{dO`Nfo6b^5rTTJd&VZTFj3+u45s6V>T%45jMfrf2swLaV$HCc|JH2uH2QPG# z1JAT0vyXKiLC*Eo+zHyR(c_izuyGSRf}94`S*9bub*>aTZ#nB-Ke^ag#3}Xcm7k$k z1Ozj&vG+cPR=lb;qbyb`cx@ANq{+tKmT7GYGng&6uF2HE(8xxw@?hD%`7sG|r{+Es zqt^(dj6{K79CHwV*-HN5vB%8h)UVQHa&1r-dr`6%iMC5R&SM%zau_Xx$Rf)(fO%iK*+@*Iy`VA^_UzhE8-~jwjl;8^N6H$a7XpvNl-<%irI(etCcg*j(7FxQ z+as4dOH=d_hxEvS2M#oBF_xJL<*?M(oVY|#_7y4?&X%`tLQ6NCzAl`Whh9Zub;?EpKR8|&e=Cmqk36TG z{iHm=o01q5J?`5p&*C^qu1Hm`_?G}*rV{eYpF931 zn*aLWuv*M9Q-su#T#gy6a(t)rMs<*zT~~Llm-$K*>!ouGb}Ld3mT1!$@%WP|+`-*6 z6^QbD?-ehO#YXiY(doNU@6(Ru8sI6FH6PsdicrMc^fFKVQCCrens--n#m~HMUN4Tb z)&Fs&VhxHM37SjToyqOVVeoG^g2knT)?2kgtWvDYlf!~qb+=kwS%^NQ`iK6SSLGpg z_nH|Ck5VQR5E-R#kxkfX$sdn9P6gF79vu z5b#G3000~v008KuZblNeqlC`9(H=sgF5xXj$YY9BsxVZL|D{zVFo7|!hXf+mPEEQS zT=CcT)N@fz_PzU5r&eqo(R={c|M))dZpsUM|1Vis7x>fucKvoF&qPG1OSrxLb#!^R zP?i=pqIc!{GBWV7Ir6rhceUl`{`&E7H^mwUDK?nFm;doZwZ&&6J}CoGS0_JX;b2HzRdcq?@j4q%aWZ5L-wi zT_@XUh;(R>sm`kOFBE~(sfxE4Y7Cz>wZzAL5Y%FDS_rOZa-1j2WUX~~JlQQztsw=| z^)4N_5}ot+Y}AJRbeT7P9lDcRt)#p?^e>x~Q@u_HK~?cMY4Rg0yQf{z-PRO`c;)z} z(LKsq`DrJ{x%!hk#8Fb@l8*8%pKY>6drP5LoDDOPH3zf7w-9=nhdxP7swuRsWBG1$ za`GN`gYzPrDgRrltZNj)2tr9%O^&vRIPQqd2Aid>&wX-6HlKQ<14|t7lB~Db#X~;| zr&+T^P2`%DsI9SmEVm#UQ}bccKp!jB$speKWO$O6Iw!8wPaO)A^+GJ`8hekJ$ zNpEfb!s-0&DLSVrqE|Q}L@3;Ypvbg0qbDMDM#LiIN&Bj?v-`Ne1b(GRg*OcaAEyol zQb*EyMyQKWJO(%3;`py&h!#6tVxg}4_ewR5h4#dR^X3FP?!L8rywm2k+HHRxjJP4s z95FFHF~$Ai>ykS9eA&HBd%V7fzuEBfb`Om8?f%;0x0lzS<{!V6PJkYitA*+vGdpi5 zqaFZtWucSs*NvQBqIH?!i3ane!6mn00cS@DIZk4Y2Gz_DEOl>!iYw8TwC|uOTe+Qw zOKmK{+6REI$uh08tC+tW&_|DZf{Sgxgy??!3tZd2Z|D?c3P_dV)>sx>7#LPWX3K|s z2lpLg8L0^k$9PbHeg8SZ)B#04zdjFVoDg<?1YlfwbxfA^vqIZHmzH4ysCxpT-Ls z=De1IB8Huj^BtSv@q80zGWq3;Lx2EDgCH09Abq&6`nir2Ni<6MrVyn{DmarYnIL1!OTz^J zU`~Q&fDW=O z^h^$}>DmU{np;HZ~ zGNIeVcwrH(16)`eX zh4>?H5Qc2%(G4QP=P0cH`iZhIp|hYOCqxPp5cU*OZ!3uS^c0r+bjEn*j~>ruV~>UG z*ok1!>_iU2Ue(^ZL?M?#M)F(taWh6!`>Qse zsTOYQ7i&9q^rg#>BK))e@yZE%9!3*pwN{K54aSUGt>_oTvMt6}>ggAP(#q1eUqCn= zE33%#+$%-^mUqK6iD69*`M4&b!OY-56%OH~4RHXdLhWb@wWer;OV|8IKKm?zCk9!g zh#guuI|DLNCDd*Imw?-q_r)J^mrxtOH1G|r$a?BTh5=B3Z;vNz)4M0)>PR6oh!-m- zo@~c$x`XXs6tYOK?O~s%`WN03Dvh8KCvA%1z_SJcs>fclr)J*7m2Uk}?|(0^m>uIR z#?Sx&DdK-$URnOr^6HYN7e~;FFtmN7e2!(l%ibK-15PXwD@IHqQJ5c~g+A2Jfh@p{ z_)aC*;}cgyFz7LgdwsdJ`a?M3=-vGisq*t_D9W$L`(?8-&F|~XO#I$C`5J!*|a5NOqY5?Q#O|+Xbd#~xm& ziz95Ye`b*}m=M}?j$CLum6IO%*o;A!m2cSS3lbqmT}lOld~fN2o;uUiTQ}@Lpl7DK z5e3PThtH99u@7NMbY0dXtvMc#2tegIG1E5B|w8 zA4OdHC9xTSj!Q^FbZQ8?-Ou{QQhpA+GA$n064s=mAeTd>Qu$I>`_qVM`>oK-AbZxo zou#5PrZ0w5Df1>Nvv{QVZR!o&_dkmUN;a28)m+Q6n6h;z@MEel zfFvC{TN6^18^^AaCp8K7`^x7#mZHCnS|ax8fk4e)PnjjVv20?la0w0VaU8=H{92}@ zWsI0*520U2EK*e-G`eTpm&s%O1XuF-KCTg&_=|?necV<7j z8Wu+Uo(9oQA!g(Zo9rLca)fOQnoCtK4Jx7t=c)BRb_v|&?0{Ayth zI@A`02r}8025t6=b+Z^ovqE)w@UmbAb<0)eoGLU3>PA>RqT~+h1V~VaZcZsm?!J~$ zyL@Vf8=D)PO9ARJ_|`$Rl%YxGGAq8*dVxuHXzZ`_$9odI#3=g#5{{gliBUX++R|g_*z+WGOXau z!0{;p$mYMtVjL7ZlU(K?MqHCwX8qk7^3+4vIx0(9FRt+K(I~uf%rz~mSk~*{x$vF< zqTqnyI%|>2?lsvcBTZBjLn+4sFpikep8%#glAvkLk<-qj&3kU&XV4w>T3w&7k0=2s zd!M^qGVJ&F%c-!|+B`qcPt3tyd)a(cYaA1O-#Czd*~W&a41K0;t?8{16>(v01Xf*$ zGWHy*SmuBX;j@c|cIn@({#wn&vtqXEx?&$qN=~i#_5zC78FC|o9i?wluMqvZh)E&7 zt%SQn65RCr|IF}r_ouvb<~L`EdpksXd8Am|NYyDr6O$Xh^MDa{wP}NKpj(G3aoDzz zr}apz0c7Fk>n<>}p$FsS?Nm%<|D5idVnH+Ai3PDci=8h8^#gy(JD(omdX8;T&afVOx zlMOQPaR}xQ0$`A*VctNHV_UU<;nh9#e6yH}U?8QnKBi&d3GKT_7Fymb2v|^y0*e78 z*wGLWka(vmUpaj|?jS)9^l>?Nt?-Z*Ab*N*v7^WEAiHtS;po`ELl8)iWts$aW8GK7 zyMGfy>|pQ^{V_g$gU;j8wgDQ%1#srGygd`4I(@D63AAq%<%Gsw@AvC;ae2K2g7?R{ zoPsy0O9T)AmUuBOtqPk&!kUzP_8-LqW583AhsJ*D8I{iK^ z%|(`d|793y9YzC)ZJB=8&u$MrH#+53-`?y206}zf(NHOl@;02fUfe%`l9|@vLWn|d|e7Z4&7j}^vviR$&PTgFA z(BHUR`ycss#xdnyKnqB2v3k48Ef^56ALIdjRHPvAE@r=(!S5jn|7Mz2t{`m}9uhHq zwlr=L!3YcZyx!b}wdQ>bb$fl?yj-090s6f^e?F`q$=iKC-Q$@KgRyn6fUzXu%)>p3 znY90uvX3S<*d-lWVd*y3BLR~oTPo2&HFW?Ilfg`s7DLx#HJO@@z($3ujuK+@Orw-- zc&Z|!Nu+86GQ{Vl^M(F_{UF?o{BhEkjukexLZu3%D8`|`69|FN-yY*M7TlRSf!%d| z4w_x!94AW5CD{^9WM@GTgd8bgZP-v#t0FcbbmHLAr}7gtRpl5F2Oo@SoQdw$-zcQ4!T!znXCX#|*gX-s&Vp7OitFMNpn@#cBMCBk5}ySt zq_>747H25#_p(UV zS`oNNTKuvU$c$UTM%UEe?3OT}KI+N(eVb0oHL9_}{+{3jKr?Ratp^;66f1al=!<+A zRouk0(G6_yxy|5Xa#nUBfOBU3xQw7f?aA&Nlf#K7hkqkYCoVnreiDSt zVLnqFvB$>?zR2Pf0|rmg=_q5N1mzUe@lPav-9giA#7kY}`{vkNw~>VhG;V}z zdxs=a4IzL736O?s@B}07*GC?Ed6AXs=67+UvFF2HupNb8V-92_WC?p~ zMbTTc!G+tqtwXuJ+fH)BA4#0#h839nlqk*OzmL-}9}z*VD^AJ@y3C}ejNJm=QZlxYvu<0)^*ZTT?;QHt2?eK_x9o*Z#J&s&#o-AB^Z06}* zem{h*yj(loAO=)8+xb|q!{8#B6VMa;6IN!Bv9?P6QAufJ`SsuN;bx7-5i`FTX8jq* z*z67paR8trVzO2Pm|{oRtcPM~K1!=XbSOK9u>Cy8IaA;KcU#4SUc}aET6PVwIRq;u ztrFIHdwEZpwu$`~I;n6>_dg@Vhf_|!4rSClC{AD%^TI{gC~0ZT);$~_K-)OS790F~ z)90SR$!>UQGsU60CaKrnbeFq95E|N>UDyqg*=XSv+=#4xLlhq~D^8`KkN5VR0}ca8C0F>?sFIEIL1_-nfP5@sgM zsINp7ie|ptQ7#@+02|~7r028Tqst*Kp2fXJCK7h)yeSZ%XHG*VObl!v%I+XVu z=r_RnqN@RRxgR2CMJ&Zun0V(&k6>`!EFSPTG{C>^(v$f)sFsXf(lEGalIQj(lpZf) z59MS=0-w>jyf=@@LQt*HUq^{R-x+U*RPDbW1i>8CEiizkSQm zSKcvHJsN#j2s6e_v!DWgLj9g zulK_&f%nJO?a#|ByRUeD0Xq?fWMB9bpm5qTKRyyY0}CMuH~%F_8+c%tMNbX=2oFDF#e5NjqA z(n@Jjji4P|B|AkwCv7Z6v(k-^vQv_OCS7woi4MKZCFz!I{muvvUbmR$*iteMy)IpK zihHf=Xac&twf)-c;XG=gv}N{c-8be)spQRV*IsQ=O`PU$tfq0;mN(~b$H_8Z_`Q}H zTf+dqs*PsGj0N?89P@$u7EwT-!gJVl^q4xKQK=BdU}%wn?&Vbf6T?h5b>X)hymD!9 z)u{%Xu4JK#B_=3__Jc~;gScwsxA=JpuzPqdcg*OYkx9pfu<{wpsIE=&$wc!2+R>JY zDTBk7Z?&l@g>-f0FKe>}BM3a*m$ku2JervvVDoij4YGH#)z!-S0HsKY9WSbyqUEaL z)YP-*B(wT{EobP0f#dYww2a>i*8*p*8jM8~FAkiG}=O)o+ z5guyvwVi!(oE@0mHT)dI&Z5>-Z!~w}<6#FfF;_ zj;8Ca);k%DIt!ca;6=;7tvrHMGfvms)v=}Qp2}MlTNOMfO2q9U4DDVQ)~&011VA}_NkSUVvWR-kEYJE@zgw>NuA^k?Idri+?2&%h}M z)B;sxFFsS`5tEls09LAf_dbI9Xa`)d;vkjjExTKC{}(*W0-raN6R+JrClh2ISZN(k zE6H3VfgU@i#$fYm3?_XiV(Uc)Y%f!F)kcRsPSeMKh5ThShpB4avea0BI*yJVTqx!bt>VM+ z$eEiNtqfKwbp=sf6_S$d0531rgD_q1VY@mEb6KHYO!G0$JG9&xRjhAwyAazn(s?T6 zcS6907JfoZCgxc@f zlnSaYc3@y1F`^M0f69(N#+6A=a;gmzbHig;ewm$j?JL%AN!763rsIx_*Mx;~ko8I8 zkv@s%-@Z&GQj6c=;RrNlbY9yqJ~%sQN801k3ounZ_VY6EMwH#A`azed4-Mck;h5$X zN~m|gVO zQAU(MO4RHCLLVg1y8kKAXHgL-ED*rw?bWDj2!@?tWac|J7~FM!ewnPLqY&IS;;Uh; z@3JC1*ZVop7Z%6}8{>U&jF#tx=oA|%c+^3e3?AUY^*pHqH|NH2K8`a!w6e|9%5d|UP2JWczSZPn<_VflN`VlTI$IN;OA{T3?*2WP>_7a!aCZNe|Bi)~h z!`N85ac*lTMKbhECqmOS`_zN?xvpRj7o<)oktZ-ARL=n3F&1K=K6NO2*MzU3;}Fus zx>jV3PY+JdY8TD;skO=dyTZeJ2m$n7T; z67nY5p{G4Jema`y79xiSE+efQcQrYK{PuG2pUvI|iT&GP`_cv$Defp2b8@0~?U`XP z8_`AD90OMe&&40kmkwe7YK;Mu0`}lJ3AKeV-W|f3H>xn54kAve9?)dZsIi(jJUzc= zI$YWBKntL`9Uaxz(yNfNSl&hql;^Wt9iDzumBzJ>Ps)<>&@K5}x@#G^&Dsk!TpP5k zV{}2Xc?LV}3F|{E`>8kGh;c0vLPIn{U&Z0IRH4|xQk^t9b`o=Lpw#U4(M4}+4YD&$ zBQZebJNqjHBWw&Xi6m*#q;GUN6@qA(cfqo$&dwgz&15R~m-d#WM@%DTh3%+ivkbpR zcj6SiaPoMbK-MWEwA019z;|=%8*ZV8L=9{%^6_Ri7zUD$ltbqhz0viUU_yhAWe1M> zCQNePbwA>Sa`&FiO)igEjxefMCF*ykm8!Ny)Cknk;71hc8GJz>Ol`H z`N5O(7SISIL7eHbmZr>~FBG$tg^gtkc0$n;yQ;X6)i$M;-LJgy& z16m|kwB+$t8Sq%RQEja8?_oTATxJUl{G*+@S2lx>%_yMtNW`isD7`fL&yc* z;}bg9)W+)dp&dA~AKL!5&)j_6>T^QKE6AP>6ZineZ;*4!9m^D(o8rw&mnzc#0 z5yi$G7~!ageK4z)PZuH<0#3BELxTnDhJLpM(Osqh8olUL%|*ydbb&Z+BvT-Ses?=+ zpEBR~G#GU&783}H|98Jo68UJ&*Kj`p{3<(w%6;`40$@a3B|mwd*+6e9|1 zf#@?#k}!N~4!O<~AZ zKAbaet0GpcSPxZ|Sy})0=T{};_sPrd=S^e zGIx1wWes_v0}#h&pBLDt@cBU{(J)|Cu4HG|sCt{%=CF36X#wxna}m}cwmMQ_5p^ap zsA`bm$36Xf(li@u=F@$NPc|G!=-d9ib3aU|f%b`DLB>@QW5z^i`k=A`PGK}?Z675f zM?@Avho)eT5VQ)MFNRfe1aX;QeaChXjKX7iQsdFKL4dP1Wia&7i8%23P-6{9d6S_s zdcg97UQiy<=r^Mt`x(qx*03=wUZDOcS`Kc5`ovK|BmXs8D!;6V(P367Y#CCey5%@( z2=_5|D7;dk%A-4$n8YSb{qiwE1~@E-DE_LyXV$jjfVjU zA=9j~*%Yw-3@%-Q+uVGK3VfS57kcfRd7|7p^~VAy%fO{D?klrGkQ9QkNAeA)i%E~0 z@porwbkcaUp=aYs{ETt#pl^leXI%Qhdm*8E5LY-yOA%Ao@M9U^pY=4G3{b(=^!ndB z+!qHbPE^cSY1W8m%QLlbNU7pYQlTRf-OYuK3^~+l;QPqXoe+bLrh^Lmi%??Np^B2+ zej>}5GaQ7ziaC9493&~vTNMZW3vc~-5AY|M=&(k8{<7D_^+i{*RoIeYbyPD$7G*`) z%lrRM!B!CW#jC}Zopn+KZ%^p zvW74b)#yu0KIQ{2feRX$;8XoOt{CZ}(Y-6mrZI=jmL5 z7`RZ)PaNd?4C1a|2s`yf5Zk$C5Rgn=t^CKFYQ-L~LL8pJU(n5yu)SuQ4Xo;s+=EpG zDuZ1Ni1F2JxtXBb_r3H1o3M%9-mHlx(6Icq)S>m&!$3wFK>I!R(&3@I8{)d{?7cR} zcb=@CSuM%`)Y~~dW*NtZvaf6PnA%|uJi>RkFI)`>a~s@vQ)_cPq z%c0oQTD9ny(Waq8pSGS5dlako(gV_Zi1@-#YXW%0)FF9Y@`~L&V3}CHtFff~KEuFT z5|fJ@S_x<+(qKxAWwQ}QmG*v+y~7XMz^t5w&t@uoPfv)wWX)I5U4=U2o?90FUle=v zJGGviL(>C{{#k;ob1H8H({cWwyx`)v7z8{-)N7sfgt$f+c-MQGo`kWC?|I_(UB~>S~s1+gjc2|#~7yl}ws@uy5zPeVhSWppV860V#LE|pYnCwa{;NjAV z*$B(bHst)=r?`UiDQl7M=6+SJcBk9pX%KF=_iOPo^w-<$`}~|Q7uTob>+|vLesZ-` z4zJhS=jZNmfADaZ*XRBH8!uK5m-q8uZK*Gx&-e53{?m(ZyQg)ElQb3hs6r@-T0W(q zsnknN$02cMwWh8Hq!6xcad>7$HBCB)_?^l` z0Rxs2iD9xo+_-Etal5GP7R4KFOIpNHi&Gwn?o!!gUW4npAXj1#&P=(EopoYylb}{L zYNwuODm~?KU15S~O{L?UNY+))f-V#LB%#_0i#6xsUgoIz5KQV;hWqH>Y8C}M&5D5? zL$e(M2mD3F=oLi@Zd4UK_sl9Thj5eAo_Q5PZJ6b;j!W& zL(5QfAUnMG8t$DJ+&MM7M5At41-rmaLw* zgM0GVB!p?It9;Idp%SHu2Jg$d#2z?Thy@azE_EoJV1m|5nz4jfrl1Ag+VH9dkQNiZql(ja$2AmWU-6ucy{{;c9Br8?HCp%3k3&d#ei)G4E$ zkSLB%r-vpjM=dL^Z3*R;vN0BbP`c->^eaA?m>NubZqyP=o4*}|#iSF-!UL3tKyZhBx|EW1qXMu85%U1 z-R9dAcz4cmg-0cNEit$eM=~>a9V)MC+RLOI9a&w677<;JV@s(#lYv(q?|@&gPusL)(Fn zN&}Oi$b!(U_;*XcU|*vm_($F>Ou{X302mti<8_ORJx5sPf;N6XQ6m~X)li@~@Y zvO2rXtGtF}KypR#o=&`8vLNQheh7C61EzPosw^N2LKK>?0bOCLe_u*S|1*qwTTE2%mGL%-JP;WPZQA0rH40k9K6&EaD9LlZ_$NDnAN?+h6k*5boMwyba#J|ddSKOYocmR*C z|5KR(gY60~wBDEKP@Du3$f2|g+6%A;(#Ox!La>Pq>B?}(33Y);Euin`WJ*1|QRoNk z8hd}CI+&&JWzGbAUKnc(KrWQr0wzY^;&{DLE-)GIiVvzV7nkL+^Vpt=R)pmz(jnx@ zfF~Is5%KnnmDJ=qmhEB~Aj+IkI6a&j4kY7fhqD7?NIemB&I)RaqKtz9DMUS+cc-CeBz$0(|~wr&cyyq{j+jX-T#y0TDDUHu#Y zvIPQ>pT}lU$xod$ob&}Bt91jbWBVMoP5% z4DeaFI^!4&>kdc;t;7M=ftGnXbZkg7u;rbRR8OVn8!~4b7^B9ku1HY15u)RD6|lF) zWBcl_IN+!H6=>IhWK4mvUee}q5r6<#px6L;3CL#Q!0r(O?;&IogDcuQ$@{l13AR68 zq;Bh}3yyK#g$K1k*}LLKds4mx%lPrXugG{%xmrY91>}IMi2K01ISOiW(EAsd20ipw z?}Iahdj$q`7wO))TLX_~zB%Op4%Z;rxgVT94pU^c1t*CvDypatx6hU;jp-{z zg;f!@VPYr3xxAQtt8@?eUKoYAXEMXKlEEUxf!ca3oH7bDh)b9QZ-!>i6dVPhr?mtf zz7D4pSRq9Jn&%Q!)lY?Cu&W~G)k+yKN%eYG0rb%|yB_qdQ8GYX zHaqVgk~%QDi;6JIo*wHK2wk9SDxqQaT)7ao zVmkG@LU$A<_~*8gT@9X|!5`AF6x@}a_{Z4b?bY*cSqvXz!`cv`BkfUr%wtuc1~fXrz>2ayh4!L(KUiXmeU zG=+bre1@q-X!45Zo?Ri=rKP|5*m`K1ClepMTrtgycf|oDXIb;``s^InjDf?o%}ga_ zd(%SJUzQzz^<@MbB_od4DPdHZ_?dzg1>GVC6TNa7&g#gwDKiXCsAd+$!YQ&`g3A?8 zBEs!MbsCiTv;tk%xb}lLa4DB-?t~U*7fb|LF$&7=^7ypzb#e3Jy7`BEhZ5CF`Gzd_ zJqO{Yq+$~*4mdfDcnyPe%<6q2trs4`YeJ0^%A?I=`GN$;303?sPqQRvHYqdF=mv+V z5W&jGxX0y&SPe$W@xRA{8GjbEJfqIFMqEYo;Z~FG9dyZYJ%q9a7YUtXKdQ)Uw!3D$ zw9KT+&@1KV&i`gj6S8`+O?O*_6vU_J9JR+VyM=9v54++lEM}ZJ(Z7=n!~kuDHf?s{ z^oT{WR4*Y)8@RJcT=f^SBQwB^&Lx>PdtgaxG#$1oqUXLGdl@o6?k%BrYvN4ohc{$3 zELf5qA%>A?VH+I=mTC{Afd~k6*~rllXoBJ1xK$#9tp8AmLrA}#obSs54`NsRtwWU?LM0E2*p@gCW7E_g5v5e=ZF!p}Mc0g^1` zf!PTzdJwjX3EE-zhiN`;oZgzBwsH(H za0Y`^M}%S&5h6R`FxSu{&L^{+u;L#PIP^1DG7}@g9|01z4qRx~FEUvQQIo5WItYEb zDQW29k3_Zzh8;v8rW{q|jG6TXxhw4j?UNJGpA*GCkTG3d!Z62IJKOj|NU#R5xrkp) z;_e1?2r5-#gCQSSmk&J*Foub`FT+xC4(rQjG28leyLf_1fMu;4TS?cHWdYPmxeWJ! zktEsJFT~P~$T!PlZBLDmEF7Ch;_84UiH`6wC#d%~&c})4p_TZzzF!;U)NL?c@D zgOszqEI^LecR_h9CIEnMXZ2};il2r%WqH9({=gSwAnntm=%CrkKwl33*!qGYYQ}hV zm*FLw0qthcS99gec>LSh$}KMiUWYn$Gdz}DpVB8T$>kq^f#qc?WbI6o*<_xuTw#I(;1<-!#CT@S z6N}3ue5)Eb;cSvW#tD@+(TchXDTVzXy|SJgqjlz4quzUT8$L!0phV%d_ee!%y_Y_P zpIZO2QjWz_#E3}NA2w$cr%;srG*1A=YcRrs$p#=ut+f+boJv7VgPRNhga{RT2d>Z= zh8EoZi7vn%7*ncz&aZ(2`i@RbrK<`C+B_nH>n}!Nr{;i7lo?HeGS3#rB3(4gG|ywF3bSSq>YYH=I&2%g}e7(EJ5CeWR6s-M&!+Cmhow=5Ni8)(+SEEEy=0Fsw zR>JtX%HR)6W5X$gXX%zEQBZsl%37BtbE0f4;B85SwQA4QJ#lEOUpvga@ObeFfMtGJ z99uK}#q2ehv8E>8KRA)2vSG+3%abKd^Vp(N*9h@xlHi4TU4?T^4l$dtLBd#j2>1}L z%4gzaO?KNZ;1kv=#Rr~edbI~eeb_8vCH|4SUGD}yC(n1`uo=OJd5_EPKsca1*U9*eKB-4@=lY)^2WN}iW8t5|lDcO{=^GNZkoO7ARo z8@u-<_i}cad>)H$XELi?JIJScJIr5OnK>Xr@Ao^(KFgU4zT24#y-y|g26mFyKg*f_ zX1mM(MzJ-!by;++W{zmtP5hyKi{Dw|J(6J?#HE>nviPWDVv@Pn*Adf$!h%*Z2GB zLAl?)4UdnvrKhKSxI5fD->3VnzmoGF56{=Hr-xFwpAAb6(<{@W3Y(Or$ugeu$emIR zD>$bO#scEQ6LC{DoBO4V>~s!S6g$QE8@ALb?3%EXV>yO&vgZq8DZY{sRm-_F(TH)) zR>3RAf111<7Dc4BEzIR4)|OV7yl^nQ><**wNNVwOCu0ogYAV8NlC~)X%h0ECI*Seb z6N6(jq)SOOtHhr@p?mHsC}d`_EKz$T&kT+)CT4UbLk1leVU1IDqGcmh>%tpz=!?IE z4YvD8ybR_FofYjKgN1i}Gnq%@>+r#zNNlCYnLZr?8Uxi1Ny6 zp%?n+C2o{5<@OSFb`A2<^sJvW5mPuC6`}krayyI7C67nls5HWII4q&FCVeoN>nz2k zD~U_y2XhJ1+bhh-`^KUEU{+b5<$4y&%U_rlmn7w; z%NZSA)M6o|g~gUHd!(JoRJtDD`+pxlXghnqEW}K-A$=QG*-iFf!ygzS|zNwjfV7I9z3lmdp4qfDk2miEiGymn=De; zcrg||Z>verW|AwS0+q&_r{+hRqc~IUR|jHDo<|@`?`hePNoF2&T~^>u+X$kR;8NA3 z6Tc_9O^c6x9?eztVG-8XrcqV@P5;|SFmd)>6LJ!>RNREd>Ahe#b?N>%reorAKADn* z8a3^))GO|2D`xL(JDR{eR$EWdx$o2{ggR|gQFIHU}1Mgua_SfGwn_m+8KW+ zj{J?jaZKeXj|GZjU@yM7;ux=jNFtFt??5INirsPgiwdDRHg)M8sfT;)+Mey*u{jHa zT!31{MQyGXlZ^kaiLxhRur_i-LY_zaz>Ny368QRzG88a!*tHx zSh*5!jNQlZN)98ZF*u2h!g8)Ha`1=lve>V1Dq{_cZf zuXmr1ABzv6tG7Gf5)u1i)J`$LMwDQa>tV9sj@OzsZs$Jswca%!v;sV>D z{dXW{Ug-Alpt;;zdlhD zV+V|~PG6VA;8r6cmYiAa>88elwn|7-pu!1$)_=E+g^JPElo3&^_Lu2n8wHJ@S|Ek^;)qclxlOB-tOq0oNly-Hm>Fw$$WS zH5hl;SV*D~PGa0i1{0u9BS`aOy7X`Xxl5Pn(dSdhUlq)!qj{@otK$f_@TbnuiKdpn zDUCsvgXg3dOO5SlUmX3gCL2E5c$RyM>5*Lg{XFILOI(TK)|L}(dK~;SLP&i-2yOGy zjARV?_uRqk^oI!z<=?WfG2uSTpW8K)-FnRb%?sAeEiX=Srv7P%`-apv2k&0P{#v?ca7+s)ILP z8XNlX9@W3m&U~P85XZrcZs=9S9#8(1F-<@e;4fe*?xItG00^W&X3=2+9-pSt4SP>o z5Slo9SJ>%z33yi60YZ-r8}rybR}yT;!~T`N@&y741=xBgxas<3_;BN8kP;_D_32RU zP>sMHCIDNiQYHwA7QyvxlAm8xNbw*=Be-ok=Z%#gxkS}7NP8L@ALySxeHyUyM&Baw zR>E0dB2#YVmJ|g!G1%!e8aKxP(%hlN2nk;0I&^kJKc>l`15R`6kcpaSujGK=ZIPlr zf2F;q%V7xF@ss&@`W`?1czT4T;Z%^hJ;`P;wYkQKs+rL?e_i(-@&L*O^sW#~=}>6& zKbT`%Jk|$pY9+!C0n)oobn69JFtl^#9DXvrvmt$da6@KTp_iJK`9Y3-&U*FRTo%!Q zCYl>#;rXxtw>4vO8s3gbnLv_q%IN!7xxVJN7L55(?B^tZL7i1KEQ!RmAtoubukd6e zOi$S|tNxN~eF&^y*R@nZG~qx7=`G z`FONmJws)$P1%3tAuC2rq%AovOGIjzU~s(q83*Q$v=D>{D@TU23amGD7&jzWY1ruZ zC0=4P(#6But&v_}Lx2P!M|`X|fBsi8_A7YQb^rqafPwz+$r#&zO2(p7_AO0Y5kh`) zEALQ{Z&@W0`2Zb=qk~SsC77l{$w|@<7C;lxZ%g7jZz~_xb#F;&7$RXNIm8yGxMO$l z%s$SapF>}6?S9@{H+#D}JwA{3TSrUvc(;9D-%f5nYUSkI_!GWHUyD;X<6{%MOQJ^_vw5Lu4M!lp|Si4Qey zmKh!io22>!xu5W7->r(BuNx{23bHubn1Ct$Z3oFYA^|(rFQ6IU3KQHH96m_OP&dLe z2aQmuYa5y{-=*|5K|CQRC~S3jnp=w4=QpX?EHLV#_tw8qtpro8CnlKEe)qnLN+KOAPV zN&T1+A{md}0?E~3+> zb{a%ycwNbmNY61G#f6DR{c1qMFElJO)qlf|6HOS?8{>600)p*Igk@P48v@I@Q$b~d zFk}2snH(3447d2)VZX*{O|>954HMa*6@!b7<_KB%emJCZ+-aM^K9DNMaJvN$?R&TP z<0RA0cl5jWHaEwo)1%|#)&0TV_oY_tT?k5ulg`+N#Yr9D1NI6I7xt=CF~G?v>q-q8 zySMH(;?GCZ;@VtAfFUHmJ%Mr^U3tAaMDF^_x$$n!`fBZZZHxcc;JRV1>@&ud67Twp zZ(omDT7iSl8`#PWQ+MgA@I=um^5Bp7$SE`SEuq(Vsy>&MaD3U0uk36=gupIxd zfavOa;1Bd_=x3`S|ByCNUmny?V5hFUmy3~c3 zSyh(Q9+12hmU^eh2kJhI}mVkd~fXv`|V-$XnIM@J|5cu3s{PdGu*%|#h^&oqcyf`TUl(N(< zAamun(EZUQ07?`AqCxcSPYdSj=^_`ITX1*K^UEun;7@sn`mj%1B zfKffE7LE&Atpp$)v0xtgIot%S+eN|~?7tlBypnjS3 zd;f;IJ_0Nr;r)=Zwz!nxih}{9GyesL+Bzw-0ixGLLzYWhSC_{nllYlK$!_5>@B^5a zK{-L>wdD4A`F>ox@$m!P+V;}vY4-8(@^1BR{ovv42f_VxdAYmzN9G?Re7C}6H8R#n z~jI)0czyyu_oaa0JDqy~w?;Y%a#081^VbX0A5PZc0*Ky zR-Jfx(h;x(6j76wAHB?24Gp=Czds%?{c;)941Fw!TEd@|84OG4vNVge4qtDhF9n7) zLkL~i8XLNI0$&iU!`SaWu-r@!EXT?(Yjd;_+F52j0kC4dh7rErsJMp-Mm;hs^Cs~l zq-9ZDUNL~ z{n&1@!vDGZc|!K|hc(Zp)rdrGi$L~f|3~@&jyXh+Y=PAT#>i!#^|c(jtME-iHi)bL z1A**OB#Y<6m$LtG)yk&E*8BahL&t6wy4I(5wnj0}MA00uAt@N~$LPMMMPB644_M9669cwjC+k{`mw@o*hFsb93k zRU;uql6)S^jz?XN_t)biuArI0D`ieRD zr@&tqEnZ-I1q=Mjn$!+kiY%A}@ReFd@OHru8B)t3vj2sYi`M^-p|Fh6=nbj#kuIxpvljDZVXoq>E>2-gqz)@)GCA!Ct`9k(W5fA%7f!xIB zLi5>)0j0t}a^3wqTGjYvyGzOZJCN%9WE(()P{;^*XNHY)qAb&+qoKKjnU}3kdf&zv zrn!f=7H1poFUfX49bT)?axX7P99xON0_=%QJj#yna7V;gi8Dur z6GXqh>lVajDGx(Cx(S0w984soT}j##{kP-8NbT$ihY9+EwAkYs!b!g)lGWHF04=z>f^x>9IB4r zagNDZCE9=E9ru3~+$3xzTj5(G6Z7IXjK^E?Sv#?kv=kiMBk5*G0|duO*mz?K{gSk| zwXF-mmr#9sMcim+Cf4I|d{HL`OtvYE-G77W(qIUKNdoA66bR8YP zBZu78Uj%iH9K@f%2-!U@+}hkU;q}%@@_C?B7)!$2PAxw4wEs5s^X_TY*W=-N)^4}w zYmp6ZgVhF z`}TNuVmHd-oe{|evr3tm96shz7T(xmT{Vv$yS&z>5H%rSxs<{z`q$noFVJ0Q#Id@j zQL}}SJ7!q=FWX7O-)38t0I$p~pwgm9U=v(aX3}A~jLTm@5xg}EQRQEX$ARlWdOF3W z254$sbJ+v~?M)P)hitpCYQEA#IussZy|{U2GW8qwL`lAK>`608M2`5dmO=bviu_q> zEu}_V5_ajtwA!-~D?zL>jXY$EV3!v0Eorm~%*+!jT>#xs3>M4YW~Y8FDighxQU)c? z_ALss4ApmCS@2L+WRsuUyac!imE<^8BO)8Vo&=>^-f)T$I$0;SZiZ8ZJDHM`OGb>- zmb)||PV?zS?QN=(DP5X**Uv2LRp za_J5Wu1$)$BcKgD{8w{@pra%~R%JV&v9!rMPJ&f9x_fF2+u!YWk0bi3tV{d;?!+b5 zc%YpWuh^p&KiQj-R!Vom=sqJo{P<0Joe0JnaY1tMDGqz3siO`LGG1hHx;uHJZpj?4 zAk{!Kh&0C6k|Px4%RQx7YRf#?oVPv^geQLbMa7N64xB{ddv0KyMLnZ?4KF}J=i@ocv{UwFKVJ)f_S`}=SA$8YzQv3TG2k9W0dWN#-p zRUjs+S=#d%Wwjp1P)k)+o*wfv#P*3+O0e?zu1)#~ybQK2JiEgEXW~Q3E~tN}fIl5d z9GgA-6Cx3nfOo1@8n7I%%rK__<6tKU6mOZG`q*M%uSzj(xEf2Yfm+h=Z-zEATP#Zk z0Tl@kBYs*i`WGEZ=8#H&WflN&X2|Pcv1_8)qD@0Q+(CQw^_!t9@1i1`HCH#7Rp6Pd zDhC(?n=eq|An`kZo!!w<3d~m;YuJ(CowDoFNj15)5NJf*`zwbG(j7B&co`1DOXjGV zb*mAqt)w^K{icV$6fLiXeM@(`tOE*#k!sMUP{#nsptQ}py6}if+pX@LL;DeH0o}i- z3o}I}(Be8Zfsbpt#@+4UDdDol>aCZBEQ)cpwn2E}Mba4jBWxD(sF*+q>aq*?Jxs!k zo!RNUT+@{TTLILaXV%E@*pe{`&~1S2Qj%%`#HEp6^B#*(kjoqREw`jI{y0*M5lUnm z`0FF?qtAC*%A=v9Q@CI-?~~sxUgZy*co${G+oZl_p)au@u*#z_!z$1!ubbeul<1cH zoel?{q%ByC(2v8W zQLt-*I4?jXD?lJLEoK4hX(`O6>JzZM#ib6!Wb8p{_F?s`FifW{Vs)CJAClv<>vkT zxPMrj94wus!`nH0xs|O;h4fFNWnlM|o8un)gYXX*`4N=Rtg#-vK8OsVB47t(LYZfs zH0e5MiC=j=YmPM~PHob7;N5Q+!2L-VVe&5K2p2WP*)?cmz?r4i0_Ek{)lyv>wm9i{ zs#(&1@dA|_Np{49klZSwAIb6vhMTnag#e*ddI}W*WqhywPGJmZwtoF}3MWgzm`$9X z97fke2_>T(Ei6`hh>mECt*r>`e0VLM+@~)I0sd45BO_l4Qvw#{I16#yw zN`XYKM_$^jD~M!7R{UcPBIe5sf3J?5AOj7kD9(UF!_lZ_s`frw8N#3j;?!gL!OlVl z!PqR{d9EEkqJ5SHG51|dpJ}x*a7fCc{VjNDeB3G)G;?UoCQEB!jMi8#(`(qm0%XLH zxm9kr?!zATJguLnj^FEgZ4|ON;AzDQcKjKPectJaguiynzFyi9`v>%Y z22@%S47DL%0DyLHfM28=8^FoLfzHU<#mU*k(Mj+B8R#)E{Kt5@?Y$j?+nTcN%@eGP zkMy;kVhgs1tU;_m9pJmqmW&VnzQ!{eJ(fT)PIk!cy|PhtTiR)on?5e$hut@-TKREF ziMpz5WmnUEiTCozr`z-QXZu&!^?f(U*X4PCX{UGF!~1#tJsg{R)6?_%dzn@H0*9yf z$@a8=`E?rWmWy|zyXE~oSvvco=hOA`dOW;~>+|`3{xGaMH|LW(XvlDmrvPVi1Jyp z+hqpp%8eES!Cg$s!lEtNrBO}(8P9TDQUi-})r#se#LJnAdZuCz72D+!CoOVo{b4Wa zUyR<8)g)?O_lAz*lH?W<4=qO8-(Q4H9>B#`ZP5n=qU}D3A#s|V63cteGra0(li!M{ zmA256-6>KHT-~PaUl~GaiBu72HkMJEDQ!tRu*d6IL#+R725qj=vZ!F@7UiQjM$TdO z>2%!0eC{%@wt8`=x2V=`@4e0@X;1yT z4f+#JW6r_~`-4^&uwwijc_admUuHN?ONELx5X!v? z;iD**a6Wi4*Zxm`nXvt`2ip!Ujf+zD0I4(Tt4?Y~it=PRZKm#BoSC0h=5k1PQ2}tA z=3uwS-wjJaWc%egDZ;7yHsEJOSHpSaqOhgn7g;^1zG+nhfcDNjv9dbaXRJ(juqxxB9A0h9Om2!)xj9-PaT#fs~sxcHUe6q*)^^90~cID#B%yJ z26pa`JkmUF+})T}^X_L-O#S6cN%QW+VDZgQ9mOzmaFK|r-8I#Zn$G1^^xL2^Jz)=XFEFs$x=SV-fMyi?uakobcQ62!` zJ*GMU2Cbf2z5GBayOPEf5@5f}3WbpHHsfB8A27uRFb~_D7JuSk^qyfrW(Vy~6|f$W zcP+!`rt;5ifQg_bL?&~9xf-|a9?ubm+EQ|EIIesEi;EchFz2%O@HJNB2}&c+1F$YaQXcYr&(!KyI#ny>nb1XpW@)!*lhMDoF2~r?=6Y^gGKfvtzGdfl6PoTO7M3vtzbPGpIrkOCXHV;5~ z^L8FoM?u=4OLJ8iDINyw%El+IR~7;=d@o=#gmI6Gd5Pd#- zu<{iQqVd8KM;KbNw+k}7^jzZd}B z0D-EReEvPuzwSz0?1V)PG^kv_%2!-tf94n_TZ~!-yMgE~n*?}(=sxVdYD z#(3~!7Vh0M2y}nT+gB0h*Y;HMJ10T>z+KXoSVw{MqFwC0o?FhE4n{V6plehcOZo>F z(ZCl;dm?ROHhZ{M1IYP*t{`ppJ1M+aU?ZB59c4gt;rXUZ9w^-*ApYWAjbAJ?n)5I1 zn>|(#!<1Q)qQui9KtZG?55*)yX3U0k{E2OT4w`Mkn6+63-{d%~@z2`3ds7PdTkXC> z0z^SM%wrPPW4Q!LP?YQmf+i8*)AjK*IVh*rN8Rf!aPhE7*9YnM?~dsE(&HqsTiHrO?&liMD#MgqA3Q_z=%kq(8ZgK2a)pE)lrc$@xC%IGhj>SV;z(^1vid8qpy@<9dP?mclzKKm zL;Z|JE0M@=+wx#OKdPjqPzL77KSlSw*epMzyHGPjrIrygpA^Sf$ldSX+nHuXMz}uh zW^a3wtDzscH@Lc6^f2>*+fninm1<$n)$i>iKn%GH8&v{#11oC*5Kl)-63T*0R(HWB zffxq>cdRCYS&UUQRbez%BGS!X1FnL7+N|1_Irmz1i7>37OG*)BOmyNHeh47E#j;5R z*USVE%8>g``3IG8^kYz3!fOE?;Bi+7>IIIu{<`$Z8**ENmNm*c(dv}jc1SB26K&L7 zK2tZa1%gap5YW%0SC}1Z5u%$Y=8*FKo_?Z4=m5oa0)?RFodI80;UtiQ>$GcTSho;c zcCJ^pA`1cmu}yGt$)F%7VO)nS3Hd?UvlSCXhf|t8qiUJp80X~QjvmG4j?lrhzL)WI4w%N+i-X~!y@mfgb50M9F01k=*AGlc5T(x<2;@i+(X2}9hVpLNRt~2(j*+YTf0pSR<4cSOh zfV^4P^?NUisSp@)ajBvR4h=Vq7=K=%+7DL%YLVB2$iQp^$^hRFf5@(fF#h7{8~pud z!p0z05}K@P=qC62PbpUZNx(*^u{6Zqldxj1=Ob=Q!!Y)Vz?0M3UxEO#g^B_gOn%H{$KSuFotuk8NP-#kM$rrzrM zzP!g$xt^?s;`x5xoqrGaZu!3bdZW0#u$gb(t+yYy>ATeXtCtOq%!7kY@d|8vazMJE zFv2jdq_j9+wK(O&b`Z#_yBpAe^ML5o#*ea^^Op%wEEyP`2xz_;ugDO&;RJUC@C2)G z7R#@eoUr2iv!sp&cev6lE!$oylx5Baa|W0oU&IxPkQ?SH*DnRPInyo)h&RZa70IK4 zH!+#HkO~Dh)}4=Q$W|6VLL9(mpu92OAOt0cZC^kS_!;KU;0AMEL6RNND!kUG@pD#J zinRH`=3*u>S-ENoRTJ)xgVStCWz*jD&@T|!hg!dkNMpzujHqa}5`BfiQw=HGFK+lz z7z=nSU*Nk-XpKQprpHc@tz*QA(d$l45avy^G*^$8W>dM0b-eJdPUXdN1ximEOhZA` zx|J^x02h(-FAbH59e8M!L!C-)FEKJV2CwKLILPi*C(4G%0aoQRsiz)%DdR7xR}At= zxI;0RC|^`5U%(3r8W-EfkEU&eP-ErBGrMDR5TgnJW6$;0$fH(8Gy~WW^+isjs%HWx zkcF*ZtqA)N68Fp67&-GZ|G7~Qz&9cw7(hI@-z!uU6ci%&@8axxM3yAP<&7}+E&N^D257ytg~8l8~pHh zp8BakH&ZV^b}PM`YR^TfYxKjz_d?wwO7Ie&s664Y1cl!@0O1LnX`3YrzD!I*{rk0? zdmGZE3}_Wp{j=N}j2N$7x!6EHC+1u}BymCSQP#t*j91Aj4%A)7OU!icT={;W@kDR} zoPed6*DWLcQZ0vJ43)<}OCT)>#jIEU&S-@q47WhYe6;z4>(rC2#4Vil9|y94*o zaOHQ<*HYUp)MeqAOx@8M@(mD%%Xrd~AG9MIy&xZBF>U$+D5Ja=FrySrY)t6gW{mXt z6lXl1O9-@8RjFU75km7HV^^-=r58>{5_x7~Dq=`{CMKndRq1h}`o$n=oxDhd**s1V zM)$Ie^aN32>NOq@I~LoyJOLpi66+n5rb6Z-E!YS#p<<3t!4=;~tv(7i!W&4892kKF z6)m??>~K{+Wk~@++C6>SjeG=7-w-#_J`f`5S&ZwfE*34B^mUCY8(x79C!F#X&FHZ zv4nD!vwlQPT6m?ya=2x6cIaGN<@a&U==4j^+4xf%mepSmIITtpHy&<14LPk!!0Ikb z#0IYJfNDs>>VC{DTj@i6yBlWsQk`C?%qZB4;fTzZH|AJ5-c1ak<}V&0Kslm*+XP&$*~oyLMr%<-%mk>nY)Z9Hf54QEo76Pc5?3`=-L-fY>HLsXe7R+QgYp`+IaZYty>vGW7S^0vxWvv0Tn^c3xxx+#4Y~wQy9H0V}4o+k|v4?geAp(GT!Y=33~3f!O%5TNBn5yG>yMoJ-Zr*=EdMA4k42+Q&L zekQnuCC&KUsp0%fK_FwG_ezECmwFX#Na8l4^ZKFUWQ&aLuX;$Wd1p6#9FqUAOP%QE zuYOlwRo>>A);)@9i$f!}iYgP%(sNUQ9u36r6#ZYX;?` zc#%GVDwOEMM;j^oxBMjU2*iMXKQB$9hWK@XqOvuQA1v1h2@&{lS|8goyX1zdr$FZg zlkuzL10mRiGQLlW&g)T3S$q-LL2EY}QgDW@Kn>BN!bj2&?g1fsiLTC#h4j1`3;vYA z5$xWOuIseqW?00mByj8b)=(N!J}BmahT)`#c+M}T4El_E8@`m|xcUf!<_4&5*!??In}Oc+0^Pf&E!`L>>T)$8O9?KMSw5)wgAbw+ zVkd5)U7i1ixm+URGKXVs$R-5+V9w1H!u#XtV}m%4A7|lXG@Lk*R|WR-;pR_xou|=J z&S?}C!xC8LhE>fu1Df=(!y{BTy5yDFd#^dNvpqQ%yVo0!MWuU{jYMx#V9re-%7S*o zxwrN?UEz;4-!N5eNLf8$@$oCn*+q{|_5ljzff+||*)s$n>FvLoma9^eG9;S4eG{Q- z_tj@>FWm-yz4IlBy$bwaZ6LC{R6ux&YvYL@T|9WY+!agCdoE(t0Gz+#T+LzzQ{|cA08P!WlmJTre2h&Gta+LdU&Q6DcF) zehlq~rs`akcOI-?2fT%7BxL*%b2As z;PR{;N0B8>Ri%r@p zT!&}o^q^U8t*mt#HltE`>U8KwzA_k0n@E&*`#}X>9ERB7A`q;@Bho=_&d<_Nw$OQ_ zG&<7=aNsEr7Df#{tRpMf)Dv>gf;aVIJk*k8|6;0qQ$>B=^)I)`&aT>KJ&F5-eBaKG z!lIAx)Y*w<21 z8Evmo|4WHr`Nr{Qw0s+j&%O$kZQ)QFSu4nA^|DjV{wQ;;M(D+0%b8PhEAbk-KSBU% zll2*GE0hYUgmv|S;0RUsJKq8)1xSnJf;UGl%v z7)BJ0>LILIG9ARxp6{UI(chZbcCj`*&AJ#xWUZAbm=16OmF)pXj`fg5Y!z50Rr8CY zJK9A_)>Q*WyGn@C=L})t83Of3NOtxw*hIGjsyvv6t)s7uw!Q~_bgtA9<={Hn3V5Um z5+X?VFi*kNTGxhsZ=dg$V{gnxy+Xe2@8^S~O31=nO?01`4manCSDr%{DHw}Hy4!GE zOd`Ktz)Zpo2Ybk;D|5LPYTe8I7|A1xgmEjNDMM_=<>!pD!_n3f(MfM5R;E+1vy^@h zsd588bfbQv0dKcf!<27u^)hvI^C$MgcXjGCYW4r2WUZ+sG1v?~Kdi|LW7&MlX48u?+4nk0{yzmvEFDqb4kR#2OYfchl>?CA2e6Y=Nz#UA*mKR+J zMLU;Q+F~ug6WL>y!bzu-nz$v><+H_V){RRW6Lis{$aRM&d6pW>mQT!q{(@(4`>ny^ zUvScAp#m+Zux$D~1YFBqO=h6GvBKAlf9gygZ%!c-TO5L-x#%U(N!bcFnVpZ$3I~(NG=Vq4^5j6kv=h~;Tl-stq-0qN;`froo~jU z9CUAkDT+r(2o#DqARnPtL!H=#G4C&Xd&<&{!6ve#8bf1s1g1qJ2ouXoQ|HRKQIFsK z-=pUMU-_=BkH-VT#_~Gh9iw|1u@gknl)@hD^^SN4I9Va0V3NcoJ|k<|<`U9mSM{T? zG!ku%c{QVwA#02-S|~v;~EyrS~>#+NaT^I+6X6vgX6uBqiWI=_R)nt;`dJgy0++rBPAWKf2ev`2|UB z&i!)4am%v=Ux6leX|TI_C1-_#nXK92<1D4@n$CVXded$orM*|l>(Y?H)Lr<4n$=Lo z5zWOfc4k_N4|rKGJX^*;v*Y>J=C@V9 zb!<@X2Nx@U^zBYaYYf2ER(exBm&lEyX(dZ>ZE}u7!#$PDhK_1PI=Rs<>kV#4Ld1;G zYeaI$Xn={;;gHg3PU7#!-Ae=i235V4)2WEEN@)a3?9Ky2aTEzqwH848O@8gdVYE6{ z%X3Zi40tOaw~@pSww((8NEiajSbOWI)RFFo zz1N63O8)X_*|b7~z7ffeD1FDFH)Y>2ss{{%9_bRB#7Cxd(WWNnLY1-NkY&n>zk>1t zgh;|j>v2gVVdL9tKzL_4F(r0(idM+Uqu6nHA2Y3I0pz96$%2>@{b6bb`FeDg3kkMaO zQBs9^O7!|owZ4p}Gd_7A*NBZGRH(MNZ)b?yhKzV@ylDw>Y_LP0<48c3TP~@ZLZ)+J zg1!T}a|2c!{#2}S1qzYoE!9Szb`Ryo$q7BO1l7l3X zd1ZfhtjDrZV{#w%#K{jseljRI2K~01K@-umqc1gN` z%Nfgl#VAK2ZKh~IGR`;|#wAJ=Wlra?xYjn7x@7#tpp7e%dWOusRVL7wfU7lFRwl4v z@gm|fYn!a(W??qaaiefT0nM>1nY^6xh-L2}Bmx4DW+=WaY_N*#SP}u5fvUmVI%<&-c$W?3G}}6DCJCei7feBn`*{O>Bx~*SF#4Q(LU1um(F4(-tdis6&TPJh(sv zbTj?d^; zRUw=Fq#i}b&qAF&m%LyxD#DGslpFigkXcY7@=9%X`e3NRW%^?X;o3>f3!I;Kh)OsL z8Sv1hUcaS#_n1=*^+xYg%&son z7{(uhjCDV;d=BC3B1W05;$V}}HT$zhY@K-Z^0MbIBDQH|RfGK;LU#Ee%Kx$Q!LsRf z5Gu2YtgwkJ#8~hP7Svg^XL+UUjP(F zRy59$Y2Dy0L%Wb-6O^)(I0i>IQBF%sz=STDGrk+@-OQ4o4GXm|-bRd_*JWc0PIgs9 zUyQ%{XF2_4#CuT95Uz79VqYpo$?ZR@ZIDYRqF*&RPW2ql!gesE0N*l}P2?*l;d(Z= z(p*I;dcmWZJl7Ls(gX&&_~RHevZh&~j$ExC@l|(ge&XGR zZc*Lv5n&U5r>vDil3xmTuu14(x?Ss!-&cj+zb$xL!~4oMw-I2L8_m!g=AT8>NRR>JeWw@JII3g@CkX0{4MQUz>P~xD+=vDTAy@L81ezQ~wCAeYWNP7Ot z(&w2;UQ*i_RPdBoIu}XWcY@$rFn~u$(YC(RV`Jw)A@%jiYbN;Bg-@a3W9y?WJ9J#W z78Dl`25v2SZJh`Po;g6|(d~_K6$ke+6@vc{7-Mrn*SNtg7s4*a%#}}@rHa`$I$%(z z#c!An22e>KAhcxv0p+r>G71@XM8krkg;Z9>3x}XVcd0CSY3D=Z@vGJFZ&{hl84XK) zz^ndGWd;@sBuiY4u8B&6ZuQIWFsJ}pmiBuYMz6-RQ4fKNb*hamOKzfG5* z+(&EH&KNirah?(OtG8%a$dPEO%~(062XLQ_O#7{}G7NR?s}zK?m)|@@#S=3GS#m)6 z0mlynr||{v%d8&aEU3egMh80VgSjp(N5fvPS3v0;`mycn!_ntp_})m@*X`B!`Y+%^ z)0A;V2hX;nDg)Y>d(ra(ZuW(53y=gQV_M_Aq^(zx{T>kyA(1|^KFG-A zhbytU5C1Wg_l6q%F6GyPSb-#wvQbp)+>-ezzw$Z!paavWg zk2M-XZ(OPLZo}-mvyqq(ysmnj z-2}KKlrZ_VjD^hjwZz)rENJ0d3XINc;Y%9XC-<&58EhuS7li-uy{cMfa5H$bK?RAE z)V}2*#o>x$Uvt2>o3 zp!q>@2f$dAOnCPbfMLriVNyG^F@U75`n}xTR6S$REy;@>LfWp_)pmkIapEvxQDnuO z3RJGtqI5}LuFJjmRqFwyvLCY)13zI_v*sZ!y4n%BrK@irA`gh~+}vy*_Gm3}P0+a+ zq#@^+5*`Y0yyXjHP`40OpMNQ7%AN2yi^1%2k5{vpgm5M4eEwQK0*SDgbhx>vT0MpDsdS9mwYq@av&-9xR>eQ)q4f!Xcz4wWoRu25>h8dZ?NVK!sAH zz#@MYVAA+-!=UKyb`>bu^DhXmw1dw<`xg$ML$u`GWL|KyMaS+MDV+ zsqNs?w_l7Xq=@I49+#f%$~YSJ`h0zy9|LcmP6x01{NHW|x0IUE zK3@1~&dZGb{SN0AqlrhHPa!c50El=pzKKh)nIfVb2}?4zd|9(e$VF9=&IHe)1#vIj z?~XHpRy$U9Xq83ZC-x@ToYbzgS*$v-`07XJCy<-7J%NDkze#+{a%UVd2Wb`PF7R_$ zVChTX$D$e{ONbiFj&XrJs%4oI`bd7NTTLNN!UFcJO_U{8pv<)#on%mm7F zAf+m!suL$+A^C$*CTk-s(J>rz(GY4~`hK+XPwqX`8qVd);P3RBCs=;BJPk_^5 zJs%2Yn`U@%P(`pea`8(d>ohlRa=V_F)Y*l@B^ytYq7bbYIQE5tuZg?zcRO=}ak_pX zHJ};Q`|6o8u^wT9IH~sTUx#X>3rys!tMp4YzPm`R9K_Qs8FZrGwP*Ehvf^(Hh^ImL zC@}F?cJAfj%f>kqjlca{+7{^jc~i~FJK;(jt`wxFjF>kbbG%Xo)!N63Pw^A9BHs%Uf<7i?z!t`5gc^P(*OmaOUh zx(Yvr;DkFUt~)jIf4>db4oJY;F-@j_w=zLH@|34(-1RyfEUt?*=tzy{^pG^zeg9`z5XPD6h1^ePX>tE=|HdYjLi!RtyedTq=BJD;DK8r`AP8GkrW^ zc%{x@DMd6t{7|8)@N-(y2z@k6u$20=(j})A1*l$=a@hfzq| zq>k?-()6Sn-P`0GS+5lx8JnlDJSY$EL{WnUJ(MU`q&5KF*jW}^e+wVHUBjyd=k^V^W?l6cx$<@M zyIA+OVdxTzXsjDIr1OEntjQrUV`qbFl{cwVN19rQ^J|Wymef7@HuG;fRgAG-RPE3Rs*&8p6+v(q4q_+%#e{30 ztY`M45wyD?NK=zpSTIX#(Pl+0-a#VWk{ zqLzU)dy}oY>$2a!k3Rg%lPyRuSY0XtYrMWGVvX=lXGv30_@K*4eJ*q2XH!fQL}Rzf z^K(6grl$sx#@XKxSC%>d63`--*qsInbOEQN6D(a_-2uFyCZ--|=l&Zqy#$vlgNss8 z&k$tGbt7mWvsnKXjD;4B343FJ;#G-M*Zu&CM2;%*OIy6nH|eannS<1R09+9|EIbh!{SJ=<@<>B#o2U1I9ZSc?WNHvKIH?VB&s-<0Jg~Ay05S z^;hiP-udv=x`PGU78ksv5~4N((R|b1-cEDZw$<-9D*)ix6u=XT?-0eiHP6t#r8ynX z6ti((wkO0mBXm8W%qEZn@Z5^G=)adQQn@}rnDSm^$k2i8LO`yI|EN9Q+p}N8co#aE zocvcfdE=zW4Yw?SPvjp%!Db2om@3+@#gbZRl|XzyFk5sXAqS{)T`x}U?d9ZqUt&$+ z<$GxcCT<1WyMJs94sz4{u8!N=vwbMGbGV?%uKWJ>Mu$$qFosItULsiaH={&<^5!Pa zP6z)QFPWALOBqzGpA^NlnFn+C^5*7;oyxU6n}&JHONndlm#owzNqi9ikJBQ&Nl(hP zmp=c>jh+AB^7)_dv${v(`UJU00iT)f(367<^w{o5zt+@_nBOv@pc5ikn*V6%e!syh zWM9PTnf-+!o6%hx-uD8e{tcDZ4v#)5_1y-rxv*gJds=B7X%oO$4G&@*nxKcEgK6!o z4a10Ll!Kx!L#UZV!l%|U{;Sq%v+VSrdbKgvxWIexn@V)RK7zJ#U@$a?FBM_h8Aj}v zQ6mVMZ=UwqIZzfpbEBiQV-5 zCXjmxHl%q_(IfTp>Q5dxE5k%BL)mYKtA)#;>+%D_C*Bhu zNsGjK`S_Wa4(p5-;udA4Y3A{<|E>Blx|7JzTb0)&KD;V=-~bd6`nM*M7&bmdR6K7^A76%%M9zj;c)k{f+W zv!E?PcN(Sk@R2B!PtQ69bVoJ!2R z4!TwP*V5A`d_tVFR@R%7-}RZv-u1_UM1wT2SZv$|ZJ$;@^P@LUI@sr$m_$Wr8%-w> zU_w$>sXX-3emZoF1y##$*GXBD6s^qT;sl1Mq^&yevrvJ4FhMgf1U2MIRRMS>r-PnY zIuVK8e^x6^ps5K=pe5`c*KT;bLE(A)T%8YMo!XCD>?mSGEomk?R#r{Rz(Ae>t(gx} znI*(wxFZilZSil**$<~q4URLXSZJlSDnBN6AS;h~(E zl|!oLK%}kOe9c(tS&OHuSU#@|Itd|&i^+w zerX)ecL=B(>=5{QD%S;{>H(7FkV{Hu^DaHKO*!VVx z{c#PJ>?81kfVf!iF*Y}qV#u^h<$H(hp~TwKUcu(zQN~_CJ-)nQg-6_~I=zstUBt&s z{sQGMnqm@bgIr8QNEv|A&d>ZEz3K~7H@hkJWD7_VGM5kvOI)f?;Gr4%$T=9@-24a+Cl>xV zx|$3j;QqKfO*bM=z586G_aa2tXDp}nJVB@sb4tjY&Yu2B=}-)1-bM;TibJbNFv_J5 z%#otEB<%(XF|Zbw6=m33+dkp$O&1d+D%N63rUtjIF*ii@o#^(#^)*8AZ2=v%`&Y^ufC* z$;{^gZF?A~{#?pS=tocrHTm2cxWfO=J7m(&h8FNmotU2yAm(2g%|s{yS5BlONMWKQERSA~;L#4P0x9}Z)U zFzTpT&O+}>*mrgXoUb|#;}1`(flux4mfTr$!poudbpb`N?>qCMepX_=VUjB7L{B9c zM7Cnp`x@vjBH+h@Si_RuV+GyNW3_=Ffth{-hI8;P zy)(CG7kDLT6Vf7?2ElYF4CyX#>|2sn6ML|?$pt1Ky82DN05_bWz z4lPyb*6o=%H$3#tKg+m?pJ*6ZOx6roI+7vXuI!T!iuJEih^W zaY4wC!*!7)&RCM3R>=2SMe8FH=uTi_=Jap&SAW(xYjqdP^IX7Fc`+|+ z;Ljua0gv)>O3mW}161m^dZ#y};3i1rM_I9RiZ}NSK^|vQAD`2)gn_WCBhagX1hoC; zI|*>md>rN+B7ivDN}af9u(1@drSi1e4Dj@Tu`7!P_gcB4shaSm__%ZUf7bw5Xf zVyxBG!65pXt5_~gaprBJGX@&YT)up@Bx*RfmRkikIUTPNLlR<-&gx)=mtaerBJ*G;LT%>*KQoeRK0@V8`UfA)x#Xp;Lxe&cI&~pphCS&_316) z%Pcl7D8X#h(r4{A-ELX5n-JQu^`-j@tHl(-OoaP{deDh%6BRqX=N#lkJ}jjE$Fz2N z$qMfjjtjd(2EH*C$%c3Lvd@KVD6qb$X1kL!3BNB>Q|umFo2(>^4Cy5>5AYZVRD2 zTU-~wYUi^00zohvCcB;hS$XUjTfOom^blB1$56myCcn133GOEC`d^}weXgHyY%BV* z8#f9GFajO>K2NJQzFD%CS>ZgaO~f{ih-lux@4{m7mKQ3Qxm7Gq8+{-3fp6!?5*r0U zY?*4aWX1Yn3vAhU65jzyY*|LIy!PUSK#J=?F}m{idjSN{ zUm3>NdMIAG3E1>btrmlZnf}^m&CfZ5sg7*MENpjPIeCPL!rkTA)S7e2?#47l2xCca zGtn>E!a;CmhmOgcCwxUx-K;KU#ob>cVR4`LXs!^zYb@(E7SH05$|Qo4{t(}=|G-~Y z^wM%X)_A-BZc}`jV%25J_Cu>f2)FT1-MV6~y|lpEF^dVO1(uFiwDsSrjL@Tvsz$uO^(s2 z?ETuXXk_b38{DQ8+}wnpjKyBXdD+}E#lJ<8W+iL&rD&B>^upWk>4&#q`I~RdYkU{i zlI$PfN@ms-OMkn3l~0aMP^~M1LB_|T?ex#jt}CK*|03cMQ0euJAivY*dUV;Id{Z8I zLt`M&;cbXznM7sYAxLKstbMW*-!DFg*@p*{+iT_vfiTD-ywPg+J7kAp*yx&I z;VhF~sHUg8r;(3jX@6kLrK(4IgRqak^VVo&L`=fog0lMCN4X@^9r=Px?FA|S*XU|; z&{+R=!KCK4BrBd+nyVh>`+n|{;J`_=<5I}#j7(UZIal6ZRv8A@=_T;UtC?oqn~?GE z+TU&t;(x3K9b_6peIg)1X-!0EZX+eUQB)-xn{o!a80qu4vnI!DW1(d`hlF{)^rcTy zr>2eA`S#d@G>x@hX`T*&IKY-CoH@G^c7I;U=y@F1Zjl0u!G=cf7rWEtkyJ{MrS{v( zmSFh1FhmNXj-F$=YHLqfzL{gJVbkVSj+v$f-0UFo!k0JP6;SwhDw{+1pDJ<$l2m$AsvJgl zf#sA!dNcNWH>J6frIyTFzYVScyVVp%zOC{jft3T}H>mIG2my-s&l+8%YmWS*7g_p4 zr#vI<=vnBa5171gR6P%SO^9su!!~l0Akm3U6OwH_cw$52GvwS76raTZof$8z?-L94 zEz?8>{=dpJ@v{6UsTubLW7`c81gPEXPs~qn{L}S?C%HWysdVu>1tHkf;uus-N7%`v zBw)zLdj-C&alKW7W5?OIXUv)R_xb>ynRoX-o!K3v(SyJB zSI_t9uhS0i?pFbJ@k%?wZpHGLWeF0&%au0h*|=<_vUHm8VvHsm!eBs9S%=)i+bNYx;*l1NCQY>$}q0;fdmGM6$EZJumh7UXMS5987`Y8dg4 zQnjaINwjK!T;-Yn=*UQ{xfD^@(4mziv7%q{>(3p&Xc!!rX0{Ew2L2u&>@{a9Z77`^ zd;tV<{$BQarn>?7YM#$WwZK)#Yju-KMf@9(Fa_<)gLqrQCIp;!@uo~&Eozy})SOeu zK;&4e4BRlCQ{_o?Bnx1`0Vfzg669&h1GFGC?=G+myiX&rVo2-Fga=nsbu$?TadNOh zF1XNmjt7Y#68*8c>q)cJlJd{&gey?3Esc?EWa zqZH}CQKr9zg4AW2$|F=KxHxZvyYTI(fsRJ@@a{-G59PS9pU7LOH$Z#Gy1Kgc$G0t? zds27$d*7VdE@!s{p-f&N zGz8vfp_r)Bw8j|oK4s45!yVpZnyqO~k41q4I;w+Xg5nRL^Q1C84Dv;BVq1rSQ-?s2 zQLdB=2-YSx?&+=k&uI(Srp}M}Pr#{C3vrEDB&vQjh$rY!0C)*lGnhKcoQB9CY$lG2 zdUWlK>lgkm5X=qK%D~eR^tUgle$9HeM-Rx8aQ^YVzb6rK_#h;jNBG_ghtk2M=Y6Mq zFVbS0v-exo90pzCHE48|5lW#H$^wWsY1Ud7inN9)GFe>lb1samAw^_vSxf@nJVeYS zc`QbSrY6%jJw_^x#idVU6eGg*RJJil6a-bxd#F+J=R1Grt=;+}$4F0#!E7o!2CllR<2}u|VC+2E>9b}2 zGHtQzxjKkMY|SiYgc$o_Rx!@#VQ@f065Nk~0~@J71}cWKh`+&6A<9LPI+Wx`%`hir z0WzX4A34$%3aM6-hLB9O1S5?yYK5{fqqYmhpI(mrv%J6_<(tGCS#|bzb$S2e2)f5g zE1R0pSa*5QrnBn%nnYJe+)nN-pp4QU`QS=@HU9FTAlm4>v6Wj?YzN;gK8P>6R0)*q z83fG~#DbzZFppD4fRYtu{=9G)aMe%~g+yDri8(GONF8xiipeVJqyjAuw%aYUUFb;( zW1FkfMA2!GW1w%~w?u-h^lmInIO6TB63{jn5Db|M4e7+jiVsj{58y*#HW;ObPFs^h zV)&Q`Ra+z^Ot502E4tMkr;s2#G$Q~%wpnB8<|V0`d`R!(lfK&rQT9d*9nvIpYXWC! z<*4z$I0=OJeoYT?@c8YB1d_OQArglq_O{W_{NGc-BC!A)&UYHH_&)%N{XYT8eqkdD zpY?m5dH0I)3QqFKc5rPX*&oE297v0WR+G)7DnwuyPZH1Xll72OTczD#xnbVgNYN`W)sOcE%U+p~h;V##A zUM=3f`hM-5^2}vkKIwVs2i?5Vs)XvaDtSxaC7x8=V%k< z3#pam9KrHb8}&}fXy|57AV}6YbH(7}SXP$&BnphNiOntzl2*KuDm82Yv5GlM+A@uS zCmCTsiD-2e zvf*3`Y3E;?93ET$18&Q%4Quh=c?7w{F@95C)R5*+zT{T2ewX%U0 zgbJ&mKz&eym{iz5hOp!=4=1CwauEv{p$vJX;4d6MQHa;XQ*?q&WHcr>@T{i?|FM z{&GS91%u=vESaUJ61fmA9)PiBXWU@-KgXoBv zLTEA=kr^Fz(*55G_vrsUsYJp-iLfAnfH>j&@AbuhG$6~(*r;S2br1VCE_s5dkmhLs z;)~C_<1GbM;z_tip#+;kY!7LRC4zgs`*QwShsMo`CV{Qt)SA6IbAI`9jM=$8Blg{sOk2$l>^mNvtl*bi%vc3vh@C< zZ=9=6k4V<3xvi~Lg;KS461FDWMmO!(jJxB|XFbiR>FNn7qF+ADn_s6@aWyA;sXotm z+%`SZ*eplOG1g7S&w1$COXg=kwL21PqAzAub&Z>HdQQ8#bZrR5l2eiww>9fZcePbU zTN?IXwY#PW)y#UBpW3cZqh1l%RQFO559C%E8MpI2k+W`Ow9V)?O|FHbo^^*MOSL`o z1FnZsRUN8kHIFwv$ln`ERA1%6lzwjNJH~ z?2aylO>K(buGDd0$9uZ+PF})gP*#h@ z_z+DeP$E@T{PpS}+i)`Jv*7Y%p=ThPOR7yJBc0E*dkRy9(NA#Sob=LJdEvO)7l;Hh z)HZgF)0+dktEa~ubT(3GD^%odB=gp|ELC0N<6OE3THfTNV#1d2Zg&~$YRqlfJpK5| zUn^wLmQA>IT&A(T4)@@Ve{tmdXp^g+Z~l$!&b3zqi~!jWyN}DKSDT~x$hLZPERqN2 z&QEM?U3>Xx3n3~zQXjF+Y+vNE?&By(JR#(W z%cnV)6Up0WLhi?Hxy`lwGWZT|iI+(+n=*=*k$f|4wPccNj%P(BOFaflj~>{94K#Ac z|Mms9!hvueT~k=rpwdAx-DbZ@H(+!b zemGZQiW&F8ivjjCuu-aTP}%Sg!vOmL+PT3YutX3MIGGm36OI%o_P89SZG!|Y;>H%_ zm4@i*)OxC0i<>K&CMGGf&<-=_vgl$r3gj(|5SFGo=qPKfzC=!vR9%U=lyoWB`cImLa?5dFd%beeChU zZbaLYOLeiSss(Zf=L7+B&knT?Uvxk|0z#G0M(uOZMZY$cYpvt(oi17+LdKbbBEqcY zvqS~xQbfprdgnU)_o|UdcFQbF8L&Pwy&`Z{v=RzmLyjCdB317tr{ZY3K`$tFuMAID zjCJ}Vp~z^F3*=Y33FGHG!kpe#YCo~N))yfAEw@L3*)(Ke?r>#)`fO}y+*!L5U=bGZ z_LTo+G<735wd-~3GI=P&I6s7GOzY|(+dR`9pL`Qv6*bqw#~EsulgTEP{eqC9a?sAR zwW~_a{ShBB5_d?Vqx<8T$c)=g3{I+=GwZrrsd}+3O^=s$+jL$mT|zk4F}07*1>N)j z=cA)bUVXOI?OFlHTGv2Y5k%$gqL$m|&X=4#7}YSgv=A)4OWX;q;xLw1PXj<&#x`C5 zE=dqC>*#Zx6rV8`$2teCGjIYLyk^CY7UN5%R)Mwd`*Q3*A$ik5>B!ENsY~?Hey<$G zfR!WPPA$mGZn;vW*H^WkCI$1s5rfxm7Na;+DrRlq4SVi976ZI_;TKF5hsIkFeF~z2mN!ucBEB~A zBd&992FvGMCv4l*1jXwLGn8wL*-Y7aA(byvhuL-goF+2#U6Q;zE7TSgk5!3D<|UX2 zQkAGlI(Fl;d)3-EVT2sg@F{gW(l{6a@R6oCsjAOH_BkBV$T*{X7e5I}6|GFqbkx#| z8X|_ECmNsWWc~>`SzUa-9T`L+ia^%zgM(E*qCXV0Rt&*wI=qw{MO>08Ssw$V?w?p# zYf~QFJ11N7D=aR;2_)O$Z5YB4iMT&$$nQN8a8zy0S+%iBAE~gGH1b}?$Rn}!kTRcd zsQ}?e84T$Z5CjKpeY_gZa~Y`zB$gM0-3BxMe2~d;+7^?8}b5cuUXTJm2Q}z zP;Q-dJPei(l|4nYn@3(+?O!D2Djl||Lop%}k3Z=j;pP}`aB3bsm7EO&@kU)?^@baN zqMhM)4i`s;N0v_DFq#tgW{~^~k(8c8ETdXaloJ2(Srp2HQyiqYsA-0gD6~s|nZ`dq zGX9u>7Tj&R4qxK{8`Pqxe9(w=Pz0&vGGe3GXf-35`|QR5NdO8gZ&vZCww3}9egnsL zkcU_L%|%cq7B&{8hi&QHA`?kPs@ZH&mi;Zh5D>rgix># zwr;U)EjP;__}oP_fg>321alypg=M6dLJ!evD*DhI84zgtpg@)eLK4wTRX6Npl%78_ z=84#rCm}@C>i!e#!mg5}NDqV2?1mZ6$91d+Y&qmaYS_oV61-EhcEf4??{Amm7m@WpznPaSd>-&r zd)8dm^m(>$H4j1epM;ahn_@bE+)m%Io#f zv;mOscR!-gcic})XT5%@0j5$2qqRviHY$X;8Ebx2U$~2qZM;LG{XfReGOUWI>-#6* z&`5W8cjp1=mhNs2NOv5%yBh@r=~fW%5QmVGZlqB{N)QC}<^6a+AMWS*Jag@tJ!@w6 zwf}3a|Bo<31rY!Z@eOSKnHO29Cc`CXDypFp?%uv9{3J-dI3buQkO-}6JK&P_Ttge8 zTyVJ5zaB^d{2n=Y6H`i?+?DN~o6LlEEyJ^76I?@Q~S`tvJy?E|Bi`8WM`&^PS;FR_mr zh)JcGJ`Py;KH$q)0nqa)S@QchCIH%J|g z0jNv`$EI=C{7n)MK@%Yo7Z9ARz9~;ZV3X@N^y0CFmrM~LSWDOWbi|BA1x{t#{ zo7yX0E}J`H#tHw7Z4*d!qQd2Oqn7C4`7nQh9Z(U;uo`W?uW3&v@tgq>#qS~g6&yFD z>Q!~m8)N!fqWBE{;f) z(OS&!C8<&6PQkz7KFfkN0k*|&B0qI5j6R^Db~$+n-3+%Z2K2PAZk?&g8iCgfy z%+umAU0k7ryTcp7FH;OmLVQtDsI1SuNT*l?L2=@FKM!RlFjFcX9?YdU@-k1GzREilK%+T-1ls<=8P%moe$Hg~c3lg@4l* z2WqB(=Brdy6O)(QjC{K{4t^PocA4{|KE0El1hP`@7LO5e?HP+yXX}R*bAioMkyS^> zD^>mrl257Cf<%!i#DTlTKOKJT!ElAr^mvJ1%Hi0$*xFk@82{mL@`DD%hgtl?`p<1> zLpzH!`?Jtcg!;d4L;ov3Gi+hql}h>!ghk90&wg|N@Y&MB!t&Aga<6{sMz&l#U^)KN-ubz# zhfIX{CUu%<@x;{YJ>TomvxnlT%^&me59_otH2*F|EjgLq_(0XEMJm5v$L|M^EyXJ5A9*StVF(GrNr{vZZG} z`>Qn_R3P_k)y!|2rvX{9=BN{Npv$epN;J{^*Xw;!AY~p0Pk9;a$mK}leLfX+^A>5x z?fRGg2Vo07NcG18F)`E5S1Lvd-d66ZfZ5?}$JAD=1(8Zw7 zfrBaxo1?Yw@QGhZz1L5d(tJ&m!fRy(YwmaIrSkaOC$ssl{4xpVQ(uwm(~M#$X=Hny zEbg=jpiUCDAZ)=~s1uwiLZ;G7TA-SY7IkhXl&ArUvL)5+tu5+x;|)9Z^A*O|CNr@B zRr+9TjCun)tNITm6@lzGod*;cU%LZ^)tojvhiLT}4K;-V?-bkRDBx1+H2pSZ=Uq7swp9YM~9hJV2S{Qjl_ z3%z5X#Xhb7Lz?NoBGYnbXI!#|2(qOs9_T*W>OCP(O~uavw#wV=pT zW%*XVmi3GL87vQ?&$yF)dJz z@(#TMrKMia9En~_J&9>Wu)pIWJ4Ffe+#VXsdx?8s7ly!dfq&oNYh!T(CmXkp)qw3&PJ2q4px>5+D)bYSI)Q|E-Ylnr(N1BG(r_TFI=1pv4} z|KIH*~HUWfNX5O@hKI<{0WP?wpI(nPA(yNV3hAL8|#-BGc#)p znIHFoAAf9qiZE|7a0`37{uyz<6aV2EGW_shB>Qx`IA6~4`42U2bNS!Po9pX|_?q-` z%l_VHYsX(lzjh`j;`3){tz`N_{@fhAwvvqq`u+EZ)wAbPczE#5#4Dex?F$!S)t_3Y zd$u1eEwp-)TyojVu8gI$0 zmtD@y8mLe_W?#aFZ{XGHq5^YntgC^6D@S+v5w_~99uxnZ_>!+o6&a}m4JH#jk&tPT zlUF%EXIuR3xLquDE5G`03g!9s>cuAO5WD#;%b@SQcgGSgJ8^te(~#Xwg1?@e4^`3o zR9PJICj1&tig33>OVdzceTk+OYt}%KFN;ggQh=84`)w!x!ALcaS+cYH<=pkSgDKWWMtko12B_=Cl;n&-T>5*TyZzS*!d4&HS8)FQOqS{MXY3 zv3Cx|YyGA@$FR}TyC^f2?a6qXdWmEC!5>0c_8a%Eroq2WUK`Unn`LB{zv7r9&HqLb zZ$d#VofOi4mG~pQfRtr9BTXXnOJ_@Pk2ve3zvhf2&PU0EsD6l((M_d~U|gX$QQYVq zznPq?5RbDGrP-j8hO)6Kk9{Lw0i23?c|A@t=ym*A_jXn|mFz##;H}V?Go$}}4kLE3 z=j=-sldx>jR8rW_3c&X?_vY_~qWj{K#{N++8%eM4jhy(+Oy20QRO%LE=HcCsmH(Q&oIL?{>k2W zu6v?if}_-vIje=jnxVkvE6l?;S_N06D$jRR=8I?nA|s5zS^!9hh(F$H?6JhjRnEsy zKH2aIeTm6_#Skq|7fi)=4OI(OPEruum=QPl%mG6Q4pUAV2D3Ab*?5F1WzQK4;G(Vg zymgb0cDv~-MSHCKptf+#1Ij$Zq!OZD0LsK2p9h9t&{olTOsowr zN%XP?V}T0i9ZG0^4v4{tJm-QY97Cfq*ZFBDqMZYq3#qgtcPbLuLVox@N;l{)@B9s+1pEFTHgtlLuVyaBwy98nA@N(nYl zNxSRlD{8s6y>I`y9*TeX5pwao9@70El3)K7ci}Mi&DHEV*Lv}AO%xP0`|Fz_1odVm zFV?}%OlcrT0E8t(TKPuv;qlrLW=%%g3r zzw8buf(J|)XImRFC~rsvQ3ctF1z^?w{1mllH7eq->vl+b^~lXq+|vfhDQ39qtbJn_ z*9}c=rbjy8Q)+LK{c_DMwl!$PgN`J4Dd`)!%yH!8Q8NaChGS?dP~RD<*%w<2EE2KL z`-TXJ%gTQ0?I&j;y*}>mqv?7$JN61#p^>H9wjnT3kJ1R|bM{kl2JbewRPqBW4edlT z{cOJP=lMXR{5Jbu{_1~p8?1^AwVVG+T=|9HnI|W@KCUQ`)WK6%)%E28@J(tqT{nR$ zmm}_`sjSP%lo27e8r7dv9fSckdRq%l0)BxCN2K}}q1rxN^mLaN2puWx86G3B%V4(6 zi~RHF>hRBTG=r=R_3b$d7GRa_g1o}x4h0VaC=LEvsrPC430KEe4GVz51^9&l{R^lF z&>#Fb#sS4-1*i_a2Bf4}?;x-M9k~5Mf|Uo>XmFwxF2HRBfLvM=`s)QiRp)F`iH9(g zoOhiB{y$zL8@)Rl5IPXxHw6Mv0{$B`<-b;boIagQGmGXiCHy_o`wr9+_RaT(2m&Tl z1H1!Wj!-xD=E;QKw!P)>GkrhC$o@$OD*MRKxcU1w>|S1%W5ycyoj|?rn}oaDSgj_9 zktt>5Keh6g0dY3cDu4zk7o33Ni@tr@a%$g?j zb;~+_nM|Ael$R1M{ZPCw+Sg@m*EAL^Jbmk6nJP?T#mcnq>o+bMPTFU{<=seeQn#NH zP+aL<|CQ57#x-3u-Js^sDD*z>?Skdf%EJDoMuTZzQ$4P+N^`r32`@WKP_esVYlw#sir2BP$nt0WLmHH#G&kNJoTdQcV=1|%`Mtz1}Urzw0t_+ zXTG30F|F;G4{KeA3y&y@ibmfg)qDCRE=h>)rLXm9wjC}a z#g^S)Iql9`(1>+#@rt<_bDAaNV^Mjp!BsxA|(asn+mFP8Unwl}Dh6+G9v7jfM-K8pDa zB%|Z(-;3Hlu!|h&V|K3yt=BS*AxWAepCc+rIZEOcCWfJ+7pnEnfB1I?Q|ch)7b2z=F@KEiP(XVs{(7v~)3o zX2lRZja{zdomq-=>I1bd;g!+28tSRF>Go{G&VlZGqlLS!BSy> zfUCIGc&PeIR&S~gd*D$Aop&Mk2Zu}Vd5IG$)2aUM@###r(~jSA^~C24y}bRz!~E%r zBIL9bZmjM%`7QRbzl$mUs@()`h&8N?pdM8(J&XPl9s+w62L_a|_M&%%_jr>2QTMuLY8ics+cVY}_@Qy9PoS+eOx6`@{f6*XZ)nZop_%aIl|CeG_HSbYgy_dead_Vn+GoKIfYuTm6w4g+0b0n@QyByZIX;9rr_H|aX{quy$5#b zmyjE4Ni`evu_UdhbH3W^i>_(SloHtFj0isd_;-U?N0;Y*$wKV)_=lknR_NxrK&R1{6Wbzuia6V1c zF)weg5fpa?eoL7V>d<3txs>`Eode*ic( z)o4t{MEO|NgipJ<+iaZ3$bIBq#7uCwCNGH3+Jm0{D6=@1HGFiTvJ~EVZGJgJGSy)o zWn#A8a&JB&Gah)7)VR>mG1fGz_0!giOL5ivHd&aP*D?-B^gN=;bDDK$X zWLDjb3&-~+G!ocZ;<4f7;ScvMuSZQyYL{RRvitWAtkc&%7qsQ38rXbBk?L4w@U^}+ zpA!Nz(mD6U(G}&Lwk2bH3ms9O;n;gc@54C62D3|LiUxePIp-ZSQ_l$uO>mp$&+Q<) zxW#l*qp$mrqTL2MB!m5H6OtutU8(X#q>cB}>F=iOq!a8?S`w=2U9lOFV=?r7MfdeX zDj{!yN*o#^6I~1|J2nR~q)IEDm_Ob&vhTF+?fr?7z4)Ob$0Ks z;ArS(cZy-h$uV7(cOKYIzI9?+G3JQM5uR&KNT;z8L~WIb-4|;%rVs@~T;nwpmPcM) z2nvK@i?wxaKdeaX!MLlZNu78|IIBodnc8jBlZzG^+|63(ds7JBVDpEKsDfk$W>YA; zKqQOD>c|%%p%^HSKiar}=}f>iCI=^0CTr?Eg7J`n7rQM?BYI3)aS_d#-#4Q8V(lo% z=QZ6t_yPJps$+)Z&Ij_#x67)->;d!VDu>zU#!!MV*~n^VQV=pNnM19aWn_*|r)G}Y>==^_DabUGoN z4E^eddnM7+q^X@+Ko?htK8yrGwBur+j?DTRUH#E;I9S>ia z6XD`dFv|egjIkNSsoFe%*63MD`4Tm*_STT8P$kN_nP+xtNyib6a? zmjJ`z2pVX0l#hu^1b>N$TqDT{bYi4Y$!K^VSBetJB;;8kB8Jz`|FLp-X=t?Dn@*}d zCz_Cuj#i90i@@0oy%7C9$j!zr-k}D%6~oOqM8C!}b&r)*q&Akjy2~ios1KZE1is8D z^7R(q7PsL9FYVM+T4pqWjMK~=N0Cq2OL-hyx>%C>R9gXvRfu1f_sIqpc=A)zp z0-I*odp@7FzCLKcIIsgE%L0fPdDBGn$*W4b~h9effQrgUA~;`fxO4Ap~W zW|=SDD6!GU9F>xiyCQ+ad=;yBP9uv0lX_cR5I2q308~TN4oM=sP4rSuKvVU zp(1iVCPFvIhyZ9bzmuX@;%JE>8HcwJhB`qZ*yte;h z2vsTsZ>9@O5iTFK8A^gFM887?P*fb|-4d8=1#npRwTV)EC6fB8yb8qw!a7Pbggn3I zhYqNY!AC3d2Gw}T*zoSkK7V(I$Y4HOl;V@)rD?_AV~*`vLv`8pz-?Gt(Lo#BgY?>< z&~5Tvm#aLVrUV`=^zJk>qyzg1s5~;JF2s( z`4wt6Me$*jMn)!;@8Ho_!Em%7Y7>lg#ovvZ*!}}Y+>yUn)ve_c_cnf{)BW?B@0k+7 zS5!XBXl(gmL`yQbo)xBHI(^r6ecJUsAwMvX)x`19p_g~M7af>mHU;5}e{@1-+s8?K z*B)$>%x@{zA0R|)+U{fYysE-D&d1P3{iS^R=+w9`D}ei#Q8gmL;2`&JRS+leo5S%h zv{7(#t2y-IB0QYfwY$SzU|;tAb-7wyO5b_z6e<*c*_jD~zrWK2x%>UmQ;o=AY#oq` z7*74CUl9PG0sIv-$0!R_Lbk@}v_^aNyu>dyv(NP7uIQisSI45qH~+Z5BJTz`W7@a^vrrIFtqTDEU9pNJ zF=xt5+@ou+Dk5oxqJpDwdwb7$*EzEuR0}HHO;CcoY~Tiv)2I(e@1mB2xJ)Eay~jcl z$ftMh?WUFim^raX)7uM_mJfL`>0;Sn1J-%-0e8GYD#F-h4*Dvec`lzCXq_INPDZtb z0u~SrdQOGjEG?GdR5)6~rUO6)C9JXI6eZ-yiDUPSzzD3^J>+e!K)6I_P{p z#2i`QTY~TneOa^#4a?y0nyj~x0(Y5ug=5hWL8-|IK6b9J^-$2k5bgkXaxw+@`;XC1 zCJ7yuu$ zL-WgS^AQLhZUwzy)t-2FjBiRl_Z@bxvEuN{T5tSr?-Af|Gs&pgF9-k5sGqy+73xmYp(`H4gl`Uf zw3Z9N8*D4%#f7ojG1C8R!sJuZss=PDS<*TWzL2eXU+Cw0=f!Uz=rD}qc#qu%9*o}G z@yd%tr+B-pq=hs?4ObA1zM%i0@Q708w_t5c(t?iHs81)gl}Gn}cV`Dh&BT7+FDeI9 zEE;hyAZZv%0Ka&f!DM_14DsIn(2GV~dZrP5b~o)Gj2ul*dSN}EWS_S94PhXc-ZCa8 zH3p1>p|MfN=N%}%`1-kRtHm}FU^B2}Navv^xhf}MxyxaK^~1i#982be?GE5X=ZkV# zbh@4F*QO`uU)SljGM@H)lr(gW?Jj59Pk~i(WyC*&nuld@wwE;26hx@duc(9^g{&5$ zt@6KTB;Oa$P(}%!?V6kjE#~HzQJ1-{y%SSE{G^zdNCXez&yNFG5Lfchg?^6KEL;X7 z_Qy)c?kHE26|9RepG7|m4RwY!+xW;cS1$5a3An%oFby*#8Iv6q8vV3%f%G%+G!-h(k_@LKtQ$HE2zQD*7sZ-q#yHcDE(CqMd4h zy>>Zh%kMw9YLhRP;b0Z37*eshU_~DbG2Y@0``Pmj=Ph(= zCCYV%w^AbuPXMdGAF$#*gAOE8EE0a^Ez($|eDW%l^W&{IT9vQwex{oEo z2`1@Up|XCQoqd& zct9V#6GEsMGy?)uHo}23!`diZtZCrEo~AKe^!!dA>WiBZtakLKY=j7A0#lhA0TfW3 zAEK7KZG5MTzCF-%rxE@w3a~dBJywGdKqSP5;Xd_HAYQet`QUF$V49=(;&_!W4}0_8 zetdNbyb@269^>y@nSZZ!*aPOkeaEO#BQX_d7_#(MRN<@TY3q}&whKl~<>}h{=@`}_ zM)Hm%wa63tO(g+p{yOO~jE0l!mR zqAZW3Br68s_gWA5qiSYWNsMX3xvC_PiiWg+N@L`$wS=3K#5AT|izoRbb@|%4zSC46 zuA`y)2p@jRwy@sd`mL#u^J^C%y+h$)BWXw=DGr_pcdUN==dysh=oiUT#|-^GrA8u| z`b*}RyMHZDfoHXt$`@^LKT@b?H79)M6r>_phU*L&q@bbN{iU1Yoex=)k}J4Nc^D z+y)3iOs+g@e{ktkp3(x3Oa*dEQ%d+=f7QF}` zvm0y;M}XIt&>4SEu~jgDEp8@>w_$*vI15KBl6jq;K3iSyP6#mgg?T?_*lf+#%yVC? zE^Ci2H)`)9C!YXs*t2Y6jvcqmd`M}#l;v%50uI%v7%VPOZW2r|P|S#tGkj9ZIl4L_ zRl2vpi7Zt0a(v#HX6?01R;CNQAc0dUmrY1r>K|SPzT`iax*7IT@q&!X?W2umN{#}R zFpdnnUVr|3o4NbA_Ym=PF%)nvbDw`M`?&ru*mN%^!|&wK|Yn?(@f3 z&s2&5#5;M7Z-HTGD{QT`Du_m&J8N<)oD`}D>}37RH{6J>f}`R7wn+hQTB*CPy(LS) zC%|rGxP!bzq2Lu9G5{SOX#(&JW{v3KDMik9E`mrcd;(|hr zLu9}LI@fY0y@E1%H=mz*#* z0A_6@LcUt!+f#7yHg*EEJNN=JP~Y0jD1coC#{25B2F3!_mEUIGP7BOVaIB}gV85l$ z?lUx4>ugU6@bBF&i2R%xJ(26&(umT4J7C`40Jj#1cCuFxXNnsTgpo-_M-oVZO_uG1 z<-17nc(%-~<(yu@(6Nf8nGzsy`FXk+YsM@jPZiN8;(ZiCyvBvNM$sD&Av}0gOhRBx zW9wwGap28vDlS$_kc#;R+qfV{5JaZCk(7yYo}ogVK~bI$`{d&~`!%!8fS`4}&|MBx zyP}@(!{f6G5%H1Wu9m3~Rd?kugLZye@Q|QkbfTRG6D4&fJ9evprBg&BZX=$c9P?7Z znNJ&f+o_cmnELc?q`};EOS5sz9Hvz~AOt;)!R4x_dzxnQA*cb_Oi>#UqmYC$gi}`q zP#HB)@b&>H@U8|l5JGqb^-3gJa5p+8ER`it9sXrow97D#b4K42BS&ow#t~vqVoq?|(rq0kgW`KRh(M~wyUemb zUvxDg@mOf)*)IWhQ#jva^}#Adc*}6t63U6mR|Y1Np(mUt8qrUU>VD{%cZ}8-%5`4) z25^tJ96?2^Mi8-wF`=vOx+v^a(rJ`!$;FRO6i|Za6TGn^4Tu3_+#1q7mW7bjEH}wT zggC7M@%H08gixKu0?X=n-bI)YrQ(wWWup5h4o@GEJZstQ_ldo=rLIIKO#Nx62E5Q)yse9$j1x^F^pow|(*fQ>Z z0yTGbM7qESt-US?5)FtIWPq-tu}EzpUR7aZgYL=RTlw1yN*XbN)?V5&k0p1Q3sunhO@Tsd(6$Oy|vM< z*8F%zSYc=so+ccIezjU6CJBNI#Tg?+Y+t*|e8{U7Ty@_5 zP^Bx^OzJ?2B7Yw2RM?5OinlTgv+sV*&A5u*73aPeMkfDjS9egIIV8uh;ANwUfl`j5 zH39m4ujdedGUfW7H)^VuYmOlSFJp&VzNKkvK&iv{QZy`w4zj+ji4alaY}hia#Iw#U z5|Hf57{?lqJFy45VM4TxJ1xWt`A_U~5Ow@6#5f+2Y#b&+KY~C(=-Rplbf)`ex;RXP z0O$3>IBr~L>&7Q}^I+hl;{_E$$X9-toog2)R@1#Sk#c~O#jTa304Dp{T0WWOOxKg- z!++r6c=)D(;l@Ii9HiZcpUN^ObjKtdsXD`mutbVGvznYLEqY^g-4Vd*feGKR{3Y+Wm_VDxC9o{dAijIeRk ziFc`>HT%ZuY50-1LA!u~#oOY5(1>)jXCq!=_h2So@am($h@+-Hm406P=%4}Su zWaJ`5xYKMwOs)LHc&3l!Ax_|RVfWG?H9`XFtA1OIp4OGYYr#Fq9qYCgg5Xxv4K9hW zLQl_IQ+0>N*igs%zNM(f3OuO}GGrcxO&6&d-sMGS<~uH1@(RNKI;@I?HwMwd$hmHp zLyOTkwhd4LO@GA1+f{U~#jy#>J8GHS;ec7eiOB@h-qiXrhBS3yS8jNK4ER@rJ%@_y zX%(S51(Kxqk*%xa6-+M9epX0!$BYy-mr5RjHM^RT8uQ#dfq%l^}^HUxlSx zYeVPut7W%F=651M%}gx%mMM61K&3E?S4Cu{Fjp59cI~Dsw`+4JAk7c5pwy_C0lGZo zi+a~e&$Go};ns+{W9_fqNfNeTs&IlNY3c1o>a{Z0p+RDpckFTOjvAXMW2fO< zV0z)HWVLGP=2E#d7|EHId1IQq@_Yr}t{6+0&3jz3IzNbgNF(!f-_l?jOZ$x**kc`O zbIquSajw)F8@Xzjrw{)~k<5Jt+5i!i$f-#P3sgZ5^xe8~mH<xNOR@WctTZ+Lim-(hXQL zvt7KV#)ne;_zK4V8%bgadQx`f4u`zp?^N@_ud&6V!L}ZFzj9^l)|yKN`Za37Q+VG<@k7d;)t|MI(LPxjyl1F@&7RvKRzL*=A0`2vR3^+}Y+UL||^ovZ!s7bh-(ZMs5UPkkqy16vyXZ`0)8^3#YR1c&15 z6>P$?K83hTt`90&@)sRZyOV1z)#F!P{>@$csA6EMgiguf-3+;xa5>c|MscqGCAJTq zV($88P-b4u9iMAAJI1<&pAuh&Ej`$kyB+$nD()N8+FrR2G()5CmQ%?XI~i}Si0M&<64(v7?J$CS^gG!i*)*gIrQh}=y?;hy=?Ely>!~} z!O!OlHEf}&3KzXxryIKwr6^k2rz__6U;4OTd|dRR`S*tV1!cV#4stfn=zRU^0wIc| zV+}E*0q{>7iIMP^tz88hm65qen=2fu6n=A^nE#vF)w`9;TK0@DQr=Bf&C@fp+*-eq z$X4*ws8M|Uvp&-w^frsuY)U5Go^<%43skg@F%aQ5+fEJH0bCM>uocF9cJidcZ}Noh ze>}Fu;`aAvGpwRQH^YLuJ7WwJI+K2)2!hCA8QV^aP^vfabe{u+o z70~s2^ios)ov*?`IGWOL;XmV3f!JX^9pwu$*f9~~-DI4uWwwfNR`f6G)An!m6}H*x z+uFOvVZy7qefK`74n7T^V|%W=k>B47LDcyv_AgXgr52~*E>w^I7ztVLye5gzb?wgOedB{Kp-+4!nwMn~$B+hU<;V&ZFqj z(M!$z%EO6bjYYkP;ku5K;g=1a zebB{jrxzLeBQv`zS4n&%{84KN8Z{5~o;}%y!Q)2xz6v^ljmd|gC7~2U&^{Pa&8Zv` z49ob$!O%+uajmhbkYX#g-c)N<__J?fShJOsC4TuiRGKl+>5WPSL==r<> z$h5SQ&X^UA6ebgY!>CWNTV@*Nz#q;sHa~z_7b&m0`i{{{s=jkhTx5Ci-5b3#PLXqI z>VXeW2Tg}P4L3d#@<@nxI^qX+(8y21Oyr?bze)5qve7>Hyu zdmb0PO7pWYHc`ObNZTSA1T&0mtc|m12pTyQv|_s5m>Z1#9KHtiG@A;;IaDWtiD>Q@ zMY9A5b$i+CUj9)ACg46KBJr*1u&XG}90Zmu7D@r(=!2pDv?u0Gh>RcN#~vP73L`^j zS_kD!+fg{vLO=&l-9d~?s%bWJ{nJjrcU6R*MCewpGZ>vAYrhgpG|lnGFL+zCyMn19 zm{bskh3zSjzvP{f{{x>+&Y=``N90;Ubf?SoN6;a7EvvJ8dhOJmtQIAN8nU}Be>wyO zh^qbw`tkBbVX#6{3RMWP%^{n4m@k>LIc8}%L~Xcao)6EMYwWhx%m8;s zp{wuKrox*V@x(iFR5?}D5gm_a4jk{>3*@t1;k*T!vpG)Ax z5T()fQbN|AA54PPhpy#D29aACZ2MLE{F6h)q|=^yQq(08tGT)hYH@6$_kdiea-^3y z_HfUl`9%wUDITj{KfG5Je(=4qWH<}Xeezz`Lvi7V4=>}xVOf!2d}J^(Dd+5Ywm*lU z*Bm24cv@B2^mL(IKHl4vAt4+7qS(W>j=FYa38{S>t7cBH!I=iRawHxiB#80)(x!s^ zn?rD|vG`1~sIJIATe4cJ=Xg?&HOEH|yHI@hBCGu{s#*O4Y=gQU^c?LF9_1Fs-p;zW zR*Jc=T9bT+_mag=oKoWG^q{qkH@xrt}gfBE|@rB&GN0eJH+BJ3(Z zK=$wB=BIPnd)|ZRY}4b#sBGBpC#!(2%kkTYq$AC_kE&l3F3XckYx80?U1o|Enjz(B z9c9Sx_iy{G2@hoW-80G8=ulo_1D>DF1fG7*d*X-Y?X)Zh`D z)m{$^LCw~Wi{2_(gQ#u?!e@iYO~jJ+awC>skQq#jo6P7J{6{76e;Ie@b#gJ0&aCONfh*dq-aQ~4=OUQ#f`5siw#FM0upNLd%rRC z)*ZSdE!T$)rCp2frPxNc!#=$15BG`Rmkqm{_;mg(6keBaMTEv*9L8e`<{5-D3D9NL zS7E+Q$xS$+I)c`MV~c&h(T`5O&_RK_f4-NS+}E(_%h&%Q8S{AFIw8Vwnjzt@(hKMW z6HfM?X5a4|)_|JvbKse6Y&MPbyNVzt`3rcTn1{s0R(w7}CHpRFK{pd#-X&^Im$c$_ zo&9I(#d$@mVgcvnBHrsDmp9F3RcFB*l`|sd*t(<+>m7_=t!7nt*>-yt2=n zuJ;DJKWNCuLb4H|-aw)`6^hWW>*49AA(S{!=K^wC5CRo(JH_{5?Z;~}b4bF1kgzi~J4KqL6wJ{ZBuv zHXLjAHqGNV!|V8JSDo1--jzfiMErnpWsxtVk}k*2RrqtI5-$5DVR^n?KUd?XqENBo zQbCAPr~vP8$%AXrfTa7M<$r%jM?B5K^dx9nr|lvD>x{S6AzyozR2o$QAK+kY*d)vc zB_{{^z9~>)ui*TW>5+iuJ*yM}4^3}tO5mXBS3ze7Pvi@a3V&-~h;m30xGiZgu1HO4 zaP?3h-%xB1oKjbt4-Ex*f*4*J6FdMyeyFk@%xj7-v78=2KJ5{F*dsW_`k9j|IJ(w) zq=pRn9=uJk1XtEbH2U7NNALjZVd&vG5w2qUnIrup0bg%T(3hDf@h4B!hNo(GT+RW0 zPx$3(F{NVI?`7K04{WYy5@-&f0dVI4rD>S9JD!`LZMqe&QtlucJEZd!f@!+cAEP!^ zhHrd`r?ojC@zbl~x%W7%tc!%MNS;?_@=N0yax|1g6~t5>DHb5dpCsG(N|?xSDcpbC zf%H|2ZN$CUHKh+UE*p&gKM*LP;y2QR{ZhTy>w0O@Ssn-!kVce?H%Gs2C7=JpHn_Qf>6menIo&vWCpT03(zPpAT`)@G{-(aYnX5M{N zmiaeS9Af|H@27Iv$2)(n!UrA~aPeIzd$(Qo9iF-&fFOw=r={Me#8y@8oIn}2Yjv9S zaWpHqCXPNZw|g{;?<3CnY5uK0S0d#)3u_@Z-<8mfJHuRWi)*`11@ZS>zkRgepc;yPrMpVmAS%k<$w0~_BBVl}r zAFLVt;S5%g>_A)=7;!L*_+9v!O=a&?bj@$s{)eEk{|CxIHNT(Vi(V&l{ROnBSJ{lX6AbpeB$eUSqT?jAy93YR67m7kfd}vVrtV?X0!k9^$!ayIym{yxKR~6~vXb z=5ohc%QZRl=}=D0-ILaiG>>N}POQ~-)>`|Sj|+CfjkSLH)wf^wO8c3$^2%#)kni99 z{QifZuQavGRN=ppkj&v=U@4uds!~ODVX?sX;{b$Ksnc)|#p<{s5}ZHUk3&rM?iR6( z<50(OkX=jY;W&&m+k&{eMf?RVq1VTOD=Eft&=0qWv8i&fJ6S3o{%b9v({cFntFONK z;;Cuj^-8i^0k013T30Lm3VyasE=U}8uK-zBNy7;2B)Qb&OK`<5i==iC4r=dK(DRklXj`QtP+t-v|YSZ zwtE8y9Pjp)cImlYAuZZFBl?)F!g_<+_jUz#!;f|af3z#GpuPCO%DiVmk?jg>4`^&x zz=2E!pXS!CfJcporvrFs_iw-vmuqT9``N2$b!Ik&)yTMTSPOs@JG4e+{YAL4%*JrA z0k9L#-qnd?en|J!E{&tpSRUG?mfhVO9UNrCF{!!~97-8Qo8~}CQG<}vfXjh-@}#Jp zRa)g>O9V_R6}j!yt`PiaSJ0tRe!^K{Dc6puW4d&4a)~o2wQUhgfWxLab%wBqH=dE3 z8d6?fHDC|UDPK_;ZK7$SR<#6!K*clFZR8x{&X6VB?ThU%sGi7{s@?&VA0Xjmw_dTD)ZSlH)mXJNK& zZ&ZMgHk@i8`hlU07*szcZEOR57 zE&+3DSm^4dMa4tCR6aEaHyB+UA?q7EG!&rq4q1-)dhQ7cJ)#r zk?Yv7(C!-+s9q`)wR>cp=eQy-91RQkMZB!vbdlZ?z=sVC6qvOA@zhJ;nRZgMTBu9knERRxhPq zxIz?(Y$Mm)EtPOaY@BN-2+%Tw^9WijMw+Q^oB#uAMC5Tit9T5^WO-4W zi#VnNNnvePn&`mi@ia+0%Dgv8V=Dr|BTnHMBYgsoAX`^Y{cK(FnSmuNYeiYC)ppZ_ zOaV3Q(va9D-7ayvnC`HLmkxk-Yx5HoPd6%&Dc)qSop)Hk?BaxX3*baa8@tUcd51Z8 z#|2RhoU0}94xCS&@{sq@k&~@$U64CXnq+V2j5-^JhBq!YUW3-78G)*46% zSgC<`L_#jg2y3;5gLg=xtk=eIUEQZ4uTtHI!^v!u&KJ-}gyd zw|HpOJWir?-qBqWJe92RJQOKV^0~J*6*`@i-X=X6SB&ffFZe4+;#f#Zj^HQRdb^OK zMdV2=NNjT za*}BQqnOK#|Cfhn!f=fX6!$^iNP$XzW)arngl5+r8w zhr6~(%RAPw?VbtZYMStNn>0<=@KevMqk(laHv^7{!8$n9I~}Zp8<1)|TiVDvY|Xis zeaJf0r0~f5Y%5rYW%CoA-^6Yk$1Sp}`!rh0wCU=Z&`zwQoZ6&4x!>L#%eo#3G7=b+Ao(_HFS`ZPHOs-7~@UD~kuu z1UvUOX)#!bqHP?u9{#@=^V&0^w@KU1I*0=S-wez5p86P~vkt%_fUpH&pW1Tm>X~rY zChbR?G}u!_NC4|Nc_s*~cA_H^mVCvV0{XUgv`IIzj=of_w@D|mj&g02W>nnUq($yZ zqP6AGCas%0hFfR0XyAz7c_xru#%#9w4j*BU>%6rU>$|5qn+BM!!zsPjrd?4 zydna~bb?LBWF7HEn>0?x*)xG<7=Xzp13eQISjVPuRw?WwD7PW?ZkkYSXB}y zwn_U3*3o`}b@0WnZPH?}j^t@ROxDrNOV&Y>UdLo>Bb|R}lNKLi9ebO!aeBEFgq^z% zHrvbVj?TPvjjpq-4{g%O33{*kaXj1VH(1B5OpSzmLW7Ur;qWB0D zaYs<#7gt4uFytk-(I#E=ntPepa>kDaABY!J!2s%DyA^V}q}H0Fl_b4>2KNw8rH;|a z<_GsU`q)ON> z?wVm;6nm*7mi5X#zWMai&%XHdi+#xTAHVxU-lBgpkO#w6rHWhQC4kyFS>{$Q_02@L!%=j8W0?l%gvSn&i zm)_&s|F+Scv+0SDAc3=O6Y@1eys66+IoMj(?E-cIvbN$&uQ>zd0H)DBw(3jsIO#-z zmI__WPxy0zPmFg2!UF8V5yx=^Wy=c!3yy6-_G$8h^BJ#Gq{RC>6=Z$<_?Y z=F^mE0M#j5x4EO}P+$!y$_u-n>`YsH_QrJ^fkYf9?w^_`zfMf$E()G1pUlda@Dvi>`6?reR6eOtST0|*R=60uS;4W<9M`(~u zFZ8&^7M)uW^nh`Q8=P$A3}M|IzFfoRmVTmhAzck3Pj)XA&An9AMyaSKONXZ%Ev3|2 zqL)L%$KR-ajsH~72EQ6M1rScEUObyI)kclAZgaQrl0CGAVxrhcqOK%u*V$I&bpJTp zO0VxBNOa7$`cW#<*V)!lDnc#=6&$>|xbbG0&T}wsR*;aEkx|`~6DHRQ)yAx?^ zXF;MA$;_SsgPiN;gsO{BQm(!3e7tuAUSUzUm%(B(ned^fto zM)E8b?W8S*vm%ivY3sACkEJ5&H`aU7W;LvaivzZ zOuPF-=^u-v4U`|Ofu$n)zQ-CNL@0 zQaO>f#!?YwAh#wqWw0A|?}s)Tz;Ot!t-X$|n)+z%)o+kCah*G&uEMDS{8lP@91{T3 zin17>k|H->K~i)S228S-4f<^B-^w<`nQr{;Y(qTi>HKYML);G@{jF?6JkpIXKmF>< z&%Su-^83ekKfV9{`*)xG_}72^@YDN;7EP|%iaf}st(4@NI1^e}ipvrIp7M%q)YS+L zHzZClwjTQedJk;ugF1c{jF}wbBNMiLq)P$E9y5OcV5#-i`Ve;ubynfLe~`Qt_ziuz zw$|6%TG!Ve>ifD@N7D{RaVuVrVLhW7dOz5#OK3nI6##z4L3Q&2976}ME~hmN z8oPN(67GD}K+RXRUp2_{RRepBdDTEu^gPO(ck?ac+Esa*nbXTr=JedU>5Z!fr}qWM z9}BLqFArA@yL-ubWbP!VRj6xnzjeiN)sU`R_sc(g`RNy*fBUq=+q*yi(|fG%!=6Rh zacS+HzD409FcK`hzlq9F-L=^=20_MRzLM2)yme65IKZ0kK7ZJUm?d{>j{|$dwtO+f z%(b+?bnBpB3N+vK_aCMacPpeRcl#Z5_Ht`Dq$#%(I3R!~XIgXbC79y3l zKxI-q7)~RDgWFyy24Qx>YdI>#_|&|1aJ+WlV4Lf$o10FTqSI`HpQ^!MrE>Qw70Z8n zhbrATc%t|p< zt;*xtAs^QcNNSpv_f$9ZI@C;oSc4xePDnskAhV-GmDdjOr3UhShicUe;M11vi))8l zrI>PFyZ~A)p58?9g?Q>vNinHunVsp)HE|1vO|>>kZPyQ8;ZG5i8H$iI}YK+di?U%q1w8jmhF|| z3P^87Geu@Y?PsLvck5yIe zf?DEy=^*z@hv{_bkSUJbE5(uHC0zyiSx`$HmExjieZ6!5NukR6Y|fVs_NC(_`(8k1 z!He(vQ;$lqJ@uzbcIZ;U&plo`@RA0{RJ^Vfx4TO5)caE#_2tXcr9&6g5=W(2@zSBa ztQ3Q``jQ?i#U9^lzjUa`^}Ropmkv+;scA-#zl4f!zx7ISZe;>7@BOL$(t(v?PeCm) zD#eGO)~FQU`cv6Pd8-uLJ3*~er8o*!isiLGwLbQzvLijt1ZBMGdhSonbGPghr%MMg zr|RpeQtUZIm}nlhSBmXprT7rk63_jq?sVz!T2QMyftMku)horbV5K+%-RQ(i2R5hR zgx_B}w0l7Od==CZ*Ge%Z{doQJrl8hdDV8Np*Z$N=<0xvk;br3M{?v%% zp!WXM#!4|S9q`SXqp4$>E}+{K^{3q=$gH^*iud-^x$9~1I(W3F_Cj%ab>~3aLY`eC z#V8b~1;9Lj3C%cadaBpEA=4OO}znN=@E7|y6Tti&R#_!@9 z;(@sRja)-Kkc}@t`}AoX&b|NZ5C88EKYsY(U!V9!kwB~SLRm~+km6a&OKEaNvZT^3 zjqMA)Ns^^DeXw}I^f91V!^Ne9^kFac6-t;T4r8x>b27DyjJ3auf0=j>cd9$-Ppcg?ss2%$rh$Q3OD;{ z_gZ=MwYS~Z-cP*F3St=ByJ2ik4S#E;?9qMgC1q#Z)z{uuE4s10 z+Kuhi?rXnXy;i!fJvci}&FHn#uUmItd)kX%eD8-Al9qmwV!lgGw6(0d_Z+l_!^0{L zeeLCguYJ4owWl5$k5J~A3Hug^+yNu8Mil))?(beJ9*6@G3e>>^-fe*9hp#<09H~^C z#kn6f%q&_y``YW9uRTXz>9vx()k>wWJ+hd@+E|2^OmVvlCk^Q~Y1_Tmilnc7n*gj* z?TQ2UzV?U>Vi?=Yd#@Gx+M9b{`|uH8d+Ef6rMh{o$mQm1k5lkbU;9j7`#4LG3@ykq z{9$bW=xgs^``Y8s=Y@3YYPBLB-6q?E*NSs?ee$(mm$v)b&%i@#<^;!fq10 zecpK8A`gxc0nK+~`{*M6vCMB7+qd1=zN~(Y-Pm4JrcV;>;7bc~gKoBx7Cc4}yJ2jP zrSOSkb2M8CiN^K_f07tC1Lf?#_Ev-Wfsh~;ue3T%!`S}nYj3;P3gA=swZ~Fjy;khi z*Pew^q($~Je z^0gNv8i|_2G`8=)_8~T)xT1t6C>3uC#Ld`V(pnP92G6!Jd@by# zDD6ZshOvDCqRX)x+qYqCKLj^hdC)Fd4rBY8yRm)QrAr28Wp#NMOzTpF$~OT#RD>zjVuP4cNP;Dr09)lT3j`zMB%7Jx_6>_jc|=tOm(Jay&#QZUGl0QI%o3xbnS^fHa%!6k2C zUNUW>WnlWq;lUP0Cu-Z=Jh3DM+?WuCL-A9cC1+ABmBvE5cA~PW&sO9MQEAXJI#Fps zzI&|TGh#RQl8{NUmxQjJs4NM|-icbeTt6F8MOR*BC+gK>#k(mZkJqCURUe(G1{@K% z+=YM$w$YFg@EWTqi>Ape0(|*}PE^`oUOQ3s(TU1BUSuPx74jpUsP?fF6+pQiov4S$ zO0NisTPG?{emi-r+&WPaqVGFVPs4+Kb`VtfJUj@P@J31K+=*K6I#GK?NRX`ku1?g> z)o58)^DbI)bfRJhVUc3*L}jgqov3y1L=EgjrPgG)SOoc`dn2l__3&5$VM5-p36#3> z+^`cBz$nBxIl~CB$)gi>+BDJ~%SH$eY(y0Z?0^Vc=$)t_L8B8Dn>Vrm$(e=WvC=zH z<;2!k#GAzd?yval*sasdLSU_&jA*p>C^;2wDQwos<%#0uewpWV3=d+p?e4LHNRGIT zcpt1}gmFB-)#S|uHll*!g=oP>QZQ8XVUt@Ip{78}%#sj|VRa2wtx|)Cc8fVD&Yh_J z1fM!l+tG;%o`bh$C+beuPA)6G5mivMWbGm(SC^IhPSkQITH-7ALbuX1er&UKGk^<5l*pC`7D{ z&%@q9kk5~GqPAVML|qP-m1`$zy?0q* zCu(m*6=#=~!lID9b6LsfPE>#GL`7)B(T<}ib-f2X2Qw0kFN9i6D)R$+eVMD2~Jf*P~w=tK?Faz#i% z8h!3W&Ak)VtnR)Om6|0|?4796ugDPP)`_aGq9qTVsO=h%fx}s-wZyn8bW^qW&(jA+CL>zl&^$-`a=znrvKsR{l<8-M4lwk8Cm^7Mu*(^_(_(#`G`t5d%L3k@ngKuHEFPXuO^0Jg+?bY0NKj_>-I%klc56jFA1hQLZ0>NC8V^IWTnH5XYIl+c!4i?(eVBzve=dkNn zN!dkBOTp7%VUEXOAyV5-3+9CerM>I$D<=)gK2q^&un?3#cQi*0%9c-b&NDfmH7F@5 z`gVsOPoaKYdP6jMpmTmNz4^z%LiwvY{JI8Zc^)j($cZ^P(7DROTc>j#iPr*>gU%tv zg=ad)Rw#gtn6HC{NZ_P%)!}K;GLzkwiHa%}{H#%LQpE|`3L9|VEi*xCKp~1MG~+gc zm+EtqY((m6ZTK3M3GK&hRdfOiQ1sX^HX3%5D*vKm+?XWP}# zO`{e}1N`xewZk&gKU!uoweT=BNgl{i3Y_nmrhrN>tWSpxC`eKz7LSntXwKTSy~7Vs z*y}A6wnT8;ULcI5`>8_vJXPrNj1Mk%IA&_z_;&%ywmW75CCNL-%xi<+F;%F5K~9dD z(jw4;VO_c0uW`&A0+i-qs_@w{a{-srr`R8Huw$4OmaDF z@$D)FQ+6Ut!OI!+ZPP8FJI#ilw0DB0lWH#YcbF&g~JX{t~@OclD9QZNWn)n2)r zpa3Oe-_GThYlC0Da=E;7Ic&Pl<#xwRdeyff1#@-GWP=}=guimRT?(d9Kd3uqa;mUB zH25`1F2{3mb!e%S=3^`Q(FjVNeop?}zhFbYB%=A=o;XTWV$~i2b4!@`bQ%_WG5u?G+k;)k= zgS}yxNh+6KH~6vcCf*z>JbMq}Ouq070hyk=_fQD}&zR;zH@}eT?!AYW!~fx9-a}%y zmAO*6;XR~>7vi1wP(J)ZN=I;QBRHSvxeO+^?OtZTk9LTicl=J;A&!Bf-$y%yJL|yw z&9p<@^NugR`u3Y|Kc%zG`q?M{W&ZK~`=6fbMVpb7fCPf3U?gKVzQ66vH}n=KN`N>D z=isR%KxP^f5B0WARaXM#!ImSo*m99X*DBLIzVqWrfDi| zFA|~Hw1VduGPy*`0twiq&dN)Z20=C=Jvu-qpxJ1rLfgyaSshQ^in!v80y6Vr%aQ{1 zd7%jpCDZuGn>7W%eh!x-J<~8ED})IeCgF!J$jS&|g?%>&%Uj-99_a%^oUv;H%Yv^B zoQ*w>RF$^-g?(zCe7(Zq@3O>V`MWGJOS^xEB^J})Wr;<5T4Huf;@n+Wg3CA$z-|bk zwF^hGUF7JNWV2*ovm_#^C{wKy0KVnLAtDOGpzqhgpm9oD%3$OKo>!X6Y)-;k6c&Lz z)?G~jUp9N0gi180qa;0>+hSu04(PAa=9agI9O1yn&j@_I1P930yN5{GB>fM8&(|W} z7K2%aey3d*wQNp>3x&`f))26((E+*@R=^s2B?aZWi`7WU4a8#tazNaXc=)YTw#a#2 zP^;dpb*;e3?iw(YvEgqZ>x!MS&5yPL@kZI$5vK=b!(O;{OSXAq0d65<6B)b-l&!i) z%4P>;Lw4d&*2-xqi5R!_zDFgIc{1QRyM=+V#R49IZ;Ql0>r#+5q@%z-v2~&n;odDt z9-Z|CunwyZTyAGF^c?6E3O=hu;0|L0NiIe%hSrH*B8^HwRT`%@b|_Y4a+!c@+0j<% z8vvw*P<)nJBzC%wS%sjx^D$Cv$T;OPa+FLOgO%T@_mhaekmpb+@t zIHYxDKO!;$n=7&P!Rx7z4Or+mYU9<7l?;v$aFCB$c};pj+kkl=%WAV56}ZydYLT9r z+jiE5y;WJ7MgsMndH|_okGFt*J{JMjwymRKvU##LWO)rR2G+(&Fe=%p(*nm zmx&cPZXci4eJaapv5#dH?&3_=Mo&#v;EavZDAmZ?uac=8%FxtdvC{ zfLUqSFd3T~S}-zM95btdF576BM7Wa$4=q#db^#UwI?gF?jQSu+5hxGaS+W9W!SG{Y z!{p2!XCl0f*CzOSG;tXUp1ISu-0`w!ZzaWP5m*Iw8)$_DZQB@To${c?*zVwn3x2HZ zeUp0cn`9ks;U$*UW}C6(TO|S%3HZ)%OpDwt8gMl;_qIvddv34h0g`Q#txn1!tf%ft zCNq)(QnR8H;u;Z=u#ZO_E;wpT4ek~y+a_`7fa#Gcz}>oez}`2>>y5_A(1zS8l484P z#qLE)0FP0MIS2u?$qA7%UA0ltl;)X6@lu)<8^7W^@m3AT5D6w<`v<|^x4;=oyKu|~ zzsUWY~LfI4IYUrpaUaUb~|)6tvV&b4obk z;=X@S-uDl78pE33O-BD{ADnwd3YiyJAOxZFMS+cI3bf7}&WXMC4{~l}e%U@4%vOS? zj6RuhapL5T+4~3Gy3jq;vuxP9JLcl;pfQtCymTHkW}%_+L1VHw^BB-}{baGLuC|Br z!a6mWAO{U7XnA$m&0<%yoIvFfv<-NSoa3yKcEG0*jQf@@VWK=x+~SJ3G}(!rNs3vg z0rCLx3o`k3RAnQpvehRWt1(NPb8oV8_zlD`o!R@;iF_SRw1`BG)A%h3a3Kkhv5YR- z$h(@}MeAEwB^P(Q;4&8c zokY$n5LdYX`6u>RtOZYPY1n!R|CK9;1UZA> z=(`jol9I#!RyO6Sjhm4;~xsR1cCP8&!O_5&B7tQ*H2T#7iV{I|`K7-ECd zSlT7a$u2-++61XSlEJ}$_OT2h35Sn`T($M4K@Ou`S<72%%seR%h+3BN440O@kb<2FY>`Nj07IE9EFNX_lGJ`jxjT)8H&cDZL^Ji&y%U zY)I9Ikc2eZs5A0DRV421kv_ zif(iU0KRUX>XmjBnTF9>0xV*YX~;-$pzuz-Quda?f^DHG+rK0-msA1u^|WR}B;x=b za4sl>2f7CYjV#r;-m?mEOH`5QErWR8yb%`{tUX9G_=@+IH(~4<#32S6M@9nV#LI!1 zvLsr7R&d-voU!7bZkTv}ykWS^V9lHXY05eWm&F8Ch@3Ud8;zz!B-0S3UMQ=Ome75;Hcc@y8x{bD0-C%XEFk8 zE^inPm~L~{*fCi4hCzVAK4P;ilznWX^OZWZD`_~OKoJk^O2l`+%ShlSk-MK<&W?sb z(att!;48&VuQ~?J!B@I;CD-{p-&I7fGR56+pzMYNEgnUM@zv&WobAtrpa-l5OQanJ3XW;3yVyi4TF{-jVcoU zBJ?~XYFr%2#Hcdm2&Q5Vn={amfTuG$2Gc{4$sI)|F$TQ$jzKb&QDw46m1(_InL;AL zbG-fsyg@ckcFP7trAVYZZUlC7936w6RVJK~dUOnK1f!#4&?817Cvc)WrWXAnA|d?Y zH_$9IR+KC7pgFdkqy;cR_@Q-4{g_dc z3dkz-vqs_sh-FEt&lYSTP^s*Cfd#u05A(7qX-VA?(v>PHqa#z5^W~Rce)ZI2IRE(F z&)j+zW@VZ-+^#qr-9%Z&FWiU$dg4uzD|bG&idA@ z8E@|Hxenz;;6|<_(DIPBeCjC-V@SZaw~b$u~R!)R!wUHYIivDS8!xfErYyc z<5v4Xn5GdX2f_+90y8@ZW$z%gU1fe)6VSyvF4I(WyD(rZ>_CiUBD9;5gFyq z#TwKuoCm^IqQ~-%6EJ%w;*0>1P(X3 zb{Yt?&o0)acEe0gON8&-+{7tsOBd@*jlgiYSleb<-|yB0pf>a2VvUb~X?W*Uc4q={ z41`IVPJt{?Czt!I6kbC$s}%)Yis4KzqaphrLA&S=pYhyOZy>aSr+#BQ4;TXF1CV4$ z?&4*Z86R)g2*j}nnx=K2?7+4e*{o2fqv&ZS>=$JN#h}RmEvP4Ct_$rAIj<(m=Fgt_++s=FRSL=b)J zAq?G_K-%s}Iz$5HV0YrPPC+F^a z2>{%ob&lzBK8O8+SV?Bh2iM6Ci;M?iT0YsyaY)AxH#q>bLnN>u{q6M5 z(=TkfGqK)#2#eXeom}bjU=!J0W0$zN+Q}tz%!BPcgmJ>(1_f~hvFsrPa@_6YjHW=O zPo9MtHWP`xhfpHP+}p_^2p;S%A}=02gl6v{Y;$LKcIbDAS0aJsg`M2N?ks+IH?g+8 zhY&DUIdQ?3#oDpG)NUrO(wc5!eK-@`n^@P|JlHnaU0AJaE6B1Q^I#S~pi92agO%kl zvF<&D)DnI+vF`K^F+$i~B#?$CS39|96Kj0&ne$+~Gr>K*YekUX;WY0ef%TP0Al~9I zu~zpc)@-+xh*Y4bH<3U(YVJLRdha33H+n}qIm+kP-b6^qw$nS%2gI9u6YEMlIa+@K zpmmi%d$W_%&rO7ETbXr};FU|WGK8`>5w@#J;PTi+$cw0=f=ateAe#siNelMP3ul7g zVkfuLJF(A$#g|QlLnScFLnV;(PCe7R*@=(LgCRZ8#5yMg@m_q}uJo?5dfGt1=q6{& zEbiyQ^0kSu`?X>VUhU-CTTO(dcVb)hc^=G{-b6@xSDx(Tq>^CXpm(6_9I6DtbKS$b zh~7o`yE%sFMsmNKV~7_Ggnt9a5H}O+zXQkk_Oq|Q{o<=3;c=xH_5JrBe){v1iU+$V z`rKSBoThV}HZH)#t3%+bw43T);D|17=(^JiT=ZpB_siJJcx7lU-n_u$X&H6AZ^IP7 zt`GC$RINp^FC)%70PenwORbTlx6qFdq-Q$!VIEqG?L1fa*_WSx^ToGcKT@^V>qxTJ z*h5sP>kiabk^OLlCq*kmKx=?<9JUZ7+kPnA!LD@x$2Q>!NWE?#5lsZgMl#BB?7HqV zD8yVCtTM$AdGOi7rj3lq&PcaBQHdS3)OE)i0NJob0MK>e4Qt6));4dB7T`DwDXn##;@&aaM(0hsb)aI`IGqnFfmrx_8azN~VVO+?gw%Uf)& zOf$9+Ke<{uws!xcF2c70d%r`>I=GXG znX-vtCg&)%7tGVxSACT5C_~qMn8|_OywY`li?S6^w)sri-qv-W&SrAqmnA&f9c2?Y z2k?`wyNT_dvQg<&J&k=~`xTCT75gi6-A`j*@qy6L*#naPzGy6(tK{z}>I9KdZn zD4V!e?09`=eH3vvr7+mP_+4&ZUZId6xqdnIL~UgJeXHf7EEe(Wn<$G(OGcmrkA zSIUMh|0v-h797A`!UGYpQ?}afBs{pNM5P?d>SJH!W+qqmv9GS{j*nBhW0C&Y0d=Nq zx{|W(V_)IUOzyR=dp;=JA>l#Fw%sUOt=F-yjRiS#)pb`3o|JAtUQ+$oCs^Gh?;ng>k7)2nQu;Ja;1-b4az1D2k?2< zb#HgN?sWCbWl%P2KBDWsv$Q`q)>eZ1b72-NwGI4&c36 ztO2|U1Ywrs$|btkDVx4hwn|<18)akBgIeAkn9YWijf`bbHUU5&)k)Yrld^ST_Y~-s zE_Y$~`6XpLBs{=C5%xHhyGwXf)hXMdx47Mz$+=G1ws~G|!tQZ)pY8xo%Jw^$ zhPY9U-@`P-Z=Lvh%{0V~YWyCiAs(s5lZDhrjpRZXpQg~n$}v(T69Cs5O>>j9a+NI2 ztU!s*vNH+6k>lq0>bh+B#L3B7%j`v$5|`K@*y~7&%noi@XgqY`>Yr<9q}P z;nB04f~%Xu7NG_owwRdNAytS+4dv1sRt3Q-t}E5wE4TquTmoL^LPgG)cGqf$6e4Y& zfZY%=oG91@6F|udWVF~z;iwWpb7N~2)py;7Q-+0PWKuS*995dh?j8FIHc*F6X#rTv zStan9rpKrfVk7`*?$sfh`2vnFm!y$QkwaH7B8mlOi?bDTM;KC8VP!%FU0DgQlk(sh zjf%MiuetF9#Yp|7EKBb@2PxwX2PjmNeVPSNg#rSCSdmko?2IzG3%i48bM-HArZWiv7lq5(u{k;&ZxFu;KpgsKQ= z(u+elN>qU3gc0Q)sr#rc9w0KJ?!}=|ZrX{42GPh=3pCf_P_Tn%2zsst))xF5#i7#G z0_RiTpWBN=rWc1M{18QpwiOTNTpUX7Mc<#?`~K7*8me@)K)?Xr#jk>U5Tu}XxxlTD zDsi9$Ux32yWEvBN-I1OA)_YwL!a!&)*7Y${Ec6`c2n6!&c%>}agrbwDBo)U|aU2i` zIn8YW8u71|vLv30_ekDavQHcv0gx|liGr1zmVvq(i4BB9E^xWblqmKr0TIG6Lv0d&5<{O;6XpZmlO31%jo-KVd!B@iF*$4 zpK=$sF{RQd6bPKEx~}`7Eg|l8-R)i&l6_1GI0m1_MzRcl)^*>Gw!cQ~W>+gpd#UR# z`>^)Jt5F(#1cOAm9%D*@y6(-aiF(uE@tb4&7?#8wy6$B`c%XAdW?|fOObOxt7*hh! z9^w)J3l~7M1a2!yG6eN9OPwT(f}Ld~3M!lmLlR&n0k}?mTpw@?WIWCYo(n=1(nr^p z5c`~xJjRrIVTfHs3Lpl14WN-pdLL7AbWwIwZ~IFuGvFNKi8NmGPauMwW!Q^G2uTHC ztg&gE*@!xU`5+nL)qIlXe3I+B?s$ZBMTwd7Nukdt)w}s51TzK9UBN+-*(mESkqC5; z;vnIINXF*0>jZ8ANw2V3ch{DPz>dgD-AsK*OW0l3eRZwZ-y43Rg;NPzj+zb>TpA-O zKn3>DmcWY|aFRhXR)4Jv#m+H2r!m1X#9W7YlxGtKwzi|LyS=vkt%;fwd0EK>oSZyo zJ3unB0gYt06li+Os{qjA5SY6gNdd{gexgy|GMmDY6jy=9P7^7*8__9N&l`SLWK&A- z`-`0N1V-G}lEME$GAv03etQPoM4myI+Sm%mV=)Y*0IHKG2HJ3o=3JJz@(fAYcl{(4xSVy}`Qloxm(qDgJ9OO(jwT1Q>^7-0&!Db5AYsA= zGvFEP1z@_dLp+}5vgBzlOWg4c3!ZTdW+4|`IHQ;yEe}p}S=Ox-te?s3Q^y*95m+?v zjEIzmU~rU%7WTj`);~)HzS4DHRY1Hk9G)Q02+6FMjl+XZBYuEqlpD{$DT>-~s1|S! zc-ux5c~eX2`c%Z<#b4Qpzl*=J7k?Lj@8qvM*v3;2+CRSg0ssBvpMHGz$Dd!g z*4CNM4-GI^CT?nghE)O;mgYj`IMNg(O(OK9fWz?7>Z(+q9ipj(xyUHC8KJUZANS;3 ztDUI3%qL0(Eo?qyCU(qf>H=k~vTY^;GXwJB0Ib+%4I2^&R<$*@R5u`Ua2wZU5wHrL zrIaZm_LCIR6oFG9b_G70>7|z>5`;}`O{-DN#A1uvjN+s;n5Im~3sj9mlJw4)LXia| zM1U;EuCTy($_XXHRcs0dyh%7x5KHR-Mx0bvP;NW;2l9ufR00h~W_EKS-s5~>-IjH} z^b53wIyX8X_(~est||gjhiOSZAjj=m30Ry0bb|zG=zX!`5&NVYo`2qSWq`t&b{tm` zb0++nu%7t7*{#?_4wR2#2?*acA&WA31_zX3Sy1whHfGqszz<6Hi#Y8C8AwFdj1ia> zd_$ka5}qm@{sKk?h$C6)aCw^*6MHp_zR=$8gJ6#e7-3=q5Gx(2S307c zaQawVy~>qSEJ3frZY6EG(5K9BX14Z9hh=I4Dh8bE1Y|uE5X5YyXe|Xq3B=563t`tZ z7zhzJAtnxe@gN|s$}<6p2Lag@Ue4aS(;Nwim;osDXirlcp#+gHW#-+|Z!ob;U?8Sn z7=S@`g*!T$arlURkipP&P;5Faelu)PQ`F)K)VrWc7R3UOp3#OYJaDZ4+Ba=Sd=UT>y}Pt0|X&PtzzU0tkVV zJ0WES$1RIP2K36=HqxL*8zR4fY9OfxJ>f7?L0PiWpKS$6rmN)m$jq7)9B1TYGU8QNSf6`Qyg zau7MB66)X}G?^^6H>Vj<1Y8SYx2wU#ZN&xJU z*aWmUDx7|_ey?=MB5xc-&hE)u%2km#%K#kAQb(N=pk~se($Stc$f$J0;VorY>9B)? zuw;YK*6M`MXU-(#$y=(?s^t(Xcf4F$0D^Ms97OCSWWLQFvGr-K_BMM|cMg)+zECJP zvr8qkFwv)|%rjy!&R;XTxfIwqJ~Q@i?%q<(?UjyLCOoH$XA|KDvcatsCyiXN(eh1; zu9Z+pLi~kBh4jriv=Xp&<%DNS4l=1SkI^F}ZQW%r5QCiq2FEE96BuI#Q@BvF4!{M_ zHRmlz1huL`cLC*Np(A*zb1?y{1w>5;3^3Vc?p;jSf}$uDkgR?8NV*sfT~5T9*u)la zbXmy78z?D_5>><_qZMIx^VwUYfL83* zQWEh6J5zw`+ti!Ws8*6=3y95%wo=_;2s;R|33wcfCIcNd4i1von^vg$SC&njL=u2R zs4e8cLO9k?+3(%Wr6!t7#Z5(88QQ7P!B&!oG_&|#=Mdx|#SoM4qenE$Uc9M%fK&`Z zKnJ0n06%dAXf4$`1?lWe(mRSR3A9bY<4$<3if6;Actq^Y;Oi_?ryzjU;A+>Y_--vl z?pi$FosAPXi$%!ZFs&obBHjVmc8nf5`q2f}ITS!VbzcyAsl3SR$XRi)2oRbN8_-t= zap|7dpoTtSqlPkZOY}+y9@mrrgg|Ab=HRGSWAkI_jUTlT)%9ONMz*6{F zaswYGeMe|nu*7)QUExmCdGcE62%s*YunG)h*??~hFY7J;3Mt6umd!tkm2;LFTc>pf z;vX0YG9$p7u;<~Ux?BijZWgvD22utCvA#<~;5e#_q9_#^h!>6;X5IX}w z5(UADMk$LgbYdVtqM#t4$UtZa0KC9uC&$4+Xt9blzifT>$P=UaM}<53%?adzSJDu4 zmKq9oh>r9^1T)YI;kYvpiy()E?DcXWCBP&^1u)O88+_3oPFEW*+z;IZqLSm|;dZIJ z1>j|&hAa_!MG_*O29m2q3W^pL#CT?RWSrGzm4}vjjmQqbC2kFM>C7av1+fAYu=?&( zCnj@OP7w48)M-=lg2&QaMhc<1qD}-Bc(V7@g#NY#%!IPg$QS8gCL&Qz0Mta@s7VDi zX&@D(CYOcR#_XTJHC?sgZ@ZgM^-72UaLG%#xleIO|Eirp8CsB=(Yxl19@OO9uRi z0Vm3oNR2qLLd#5^$V3wZWTcWnTMZs<>Gd!WLu?z#+AUiF*l4oR>IhrDtq9317%nWG zAU$Kr=#Xi*2*@WX*kB^dFaRFlNf*iqDp zBSHa+r-C*mIszqo07rOJ#HxX2MBea0l0;ac0G+hkyl!HmR4#WQ+dmP$fxvc#$O~*R zBhSacZ7A&^h#-ox%G^hR7RlS){V{y``4?aP;c3?E^4(8AzyI;Occ1)s{_CR|m{Sfs zi2#&w(nYy|l!KYE<7SC2Eo=;{BGVn#HiSpi6eL|^VO~kvT<`S zqs*sMDEEDtg=M~WE%WJgl=wY@ZNURH4k zJAV1qAO7$>`K90e^z$e3r|;hV@JSbz|CjGS{P^yq31W#J=d#k*$FWETmW2=sBo0cB zh|qLxE2YXDo0*rXs664QPi$bX1TNAF?sImMEpjpjsSsHh2x`dyKsfpUt9s+;S6jRP zU#bD%66*`jxV8-#bY3}7F2E8IVE|eI6?3b!q8xQ(hd$vJ$UHnH=mf(uD(bg&NtF66-1ksU6Q4;ctl8JbI0y2BP;d(WJt z5u8EWT*|Jjcyq@ z{84EjCg8GxRWEEXWS}vD)-~)CC(wXq!V0LwX2vP9nNhHtakl*I*pJ9ysjk#RoaH`& zmP4b4{c7q&Aq4PEHZ!&{fL1AC&t^t3v7r&X8_Q8HNaJ)5rdbsj7w{K{k9j=|4B$C- z)O_MtUaTDYJ`JD=M7md&WT}gb)LmR8U&TfG1R7AlLo=fo96#Um5cdf*dF^IgdN(5i zQI59>wDSNOva?7FCm9hId=2FIImLM2%~;pR3AA!*X4HT>If&O9_UU;7&0W@Voj|h> z188D!eEDQaX74S@C=#$-i;OrCyM+BdfF>L#&@3m=RPScoEy=KZ?5<(o5-yIPX9p<%DtV@%0-Jl=T*qnu`7{NBtM>E7;3#+MU1Y_{JUO*D@7nVN@V z0?mvGw6<&5^WtEmRbaM=&%7fySRQZ-8vTkc;}3*f0W`sKRy_7HS8CX|p<$1+9KfO? z(i!sNM0pW}gsC?(wqyQG+z+5d_V-Z^v3E1NeE>}()3##(4aa~YENeM5?3KP;1iKmI zt-@6%$eHcKayvTf=KZ{QE0kn*T>)njNLS4k(G&2E;$==N<%^_kh zIe=!VVZRKDPk9kGsEU(_eY>wSo<;1-*^q2+W(?G@Ps5K)Jasct#GaC(cIho`96+l^ za|Z4(B-=IYyC2y;eY7td_!-^3<>wUBn)!g#&1+-4CFpK7dxn09r)4?v=*Iwm#0E>Gi5% zFKz>9vdOz{M%r170W^6(fW|<4%%9oV>x|;90kmAejuUD2s{ypGFLIqfvqn&0dGIiT5%vXhx(a)G)jG!StNVYRtwlhv_XWa17g_`Oyf;KNN`Wd}mf-1Lu#%@Wr zQDNlV&Pcz=Uo(OxUnq=}TRWq8+0U5g^9b6rCD~Iuqqwnr_A_pM1Wl7|DYn#{`Wf-$ z0rhR46_sa4GBzrT+Xz}E+Qh=W>}R}LlI5M`*Rvy;I9rm*(+C=M>;u(cO7CaHhT-He z0is@}X|yv|JOdpm>MQ#G-PP=~>i`yj0r9*d0j&7;NDl1DjQL7^$a3yysVXauS=y^4 zqD1nzQJ*qK(h9{K2K9-gKK5ZGjrV-u^@I8>$qwq{Nqy2A9gYY}gZk7#ef*tA*@^mG zN77^$5IK#cEib80ENN#xpMUe&w_iQUu78YA2JCfOBHP$#q9GHl_JEn@Nz&9CiP_?T zr$|ra1pa}w14dz&lwA})D&Uh&l=QYUQ8*qmLU?3BeqxUWo_nB)mLy}rQwII;{mwro z8d~X|)+A1?Hc4-Svo#VqbM1Ok9H(+P@U*5#F0CYFcsXP|Ao7iVWORz0daQ{7=4`T(M0PgG9D5b^*T=Vq{y!C35eT0kuArmb`z~P zB`}^nk)I?mo=mhj=`#clcLEXz0SOCBjOEZbbe(_%9XL*AU;yPHNFvyG2BL;1@w!9{B;Z#yD4 z5Rg7jWgf<W1I>EB!=#yCDd@y+7&QxE*pDG?_9K~ zZ)p87PF1OZ@jyU|xG|9KqQ!BlG8~c1ARtrB-N+sUB#?mEgMi@JwV{Bqk5lao1c#_z z6)+IDx0(08XBRDdbI~$yj8h#780PGv^+Z5!E?PGNlJqQq1*fJnsVFN>3DL{ zx+!41a72##IF(;JNJ4HwSmHR9Zim8QCm_W>5sDfk$ z<5cZUN90aFfOW1mT2GG1P1Qb5#e@9dhQzJ0Ppese{4Gi2an4o;Y2TV=B*ppd4ufJXMp0RbDZwo$7ZOE=Y;CkaHu z1k_t^Xg|yf7H#2{DN<*MeZQ<=u?d9U0*%!T$G*$T$6@T&D_D&+E&387YS0CIsq?xN zh1^l`fvB$=BY#ANfK&_E7F=qDt&3p;f3{#E~l8%R%oIEsIf2? zFMcBF1n2-5^>V`3qr}Sr43o-F%e~aLHoGav*u&U&&=%Hx6@Y!hWk8*gzN0 zmNFwYbScFOSe!Zk-Qw1=+wit_6>hHG+lx*|l;ud9jCLZA+&YiU9Ic}buCM0ABR##C z4FEO^SuL~6DDFIxqr4hCy7Nc_9@!ENZ4W8M#4Im|8S`APG*VJYQP{(b*_kP4W8F(a;jideNLYBjp5)p_e1CA$o zsj{@(e9JR?5&bU9(a0n1jYqb@BRQw*vZAiO2}Zcg#u;{4n4L!k9UXWi-Wvy+dlo#h zPXz8fvapaPyH0*RXry@Jk?qPO=fNZO?#4_WX|Fu;o<@p;N1B&BvJ4(+X%RynY3eJT zd>n??Zp@uWnkOFF9tv58wMWuOapRHZ&W-saJd)n+R8ouwjr37~NBUPvik(ODa_u#b zd?;jzS3L42%aI;=q`6m8JZPkN!6WZ=@^>CNbn*{bj^$LyB8?P-N19!hWAMmA9vKfF zxoVDdo|F{XaN3m=<3S_60JOd5k#+D$&cANN8{sZ}7-_8Yy1!$i0xYon<-hcx2T`AW^C-jTGRK#oZ|>KJv(2NzsimmJEYO-j}h& zuB1qj*y0WI67s*k^2jGU=C+ryVlQK1Up3^%z}hmqKqo)HR8s6b68ov#m$AA~ey5S6 zS5^FaCB^xLlHyUu5|{d#M;4o>>5%1k;*pPKEb*F0rkzJV$#P^xRbT&D#uAS_G7$y5 zCCl-oq-b^;DQ-%N>V=Zx&Ld+@okzOOYszv&1IBu#k@(Ky_j4Xn9`XA*4=IoM{hWuC zNBn-yL&_7^|N4iozWn<0Z=YD?KfZteI3~9!_QLfx)CAtWrpDGZ`lQij2k?SQU(T== z#id->4a^n=fSx{gNXuBa2oP{D`#N&&P;(u}+#w#stm0(@Ne%3d;LPo@j`z*I0G+3G zEc0m{-DjOOb7I`-D;-rYMN>sW4chvm-Mx|=fqMwx)mmiye{zKoweF~=9H z2+l|k%_Yq%fXIqaDeJ_-@Zyfc` zCOex|V#h(Scwai)@-7<(y3`4ru^TZT;wJejZXy@#CO{?dwSp&}N7+yFvJtN=!HkWa z9DQe^Lvj(6HvtzaObXWQsSIxxFaMX zlH<4p)`2pL246Xakg#|9Bmie2YL~vZ*lpyVyShoFx(N-e8R7A~u64h5Qa8EDC?2k6 zl9&hEaTqb{u5Pk$#22AXba@lYx{K#!eWI-y@Ew+5#rf$nie!KsE-d70{%Aam6skEI z4+UT*hYQfL&`g)cu5Mz33{ycAv7Ba%oY!L};P6Y~A_9^V!v(N1 znl4e@M0a%)10)yqM3En($hN^l+5+IpTbVj{t$i|WiR27WTNlC3&w}k`dP`vdVPm;l zUq~Dft@2zpHrZ|tI6G4|(XT6;RRGbYn;U86Wp02wm2-h*0TiSJXtGEUwQOA|pBk7U zTYRO7^Dwk+jg8pEP`(An0$ey!KS7v`2g8XBWXg~1WbQ6pe z*TF+xHG85YcE(0TAy~t7)HlPsP~fEY;X>WplV^^z#H$apK75$L*NVLfoG1X(ik&Ta zqtXRVw7UR(fX`eT4>>4Gp_R%IxeJ_76+{Xgm}Zz)rIrWUu>K3Y^5N zzzMyI$=5)(x({>OPCm>ApmOR0Ctzt{ERuvx(PHE#a8ifB$pZK?h6^<{;X7ZGz;ta@ z*lbeyi>?%sHM_A{H)5U+z6J!p#-r}nWfXz(*zS&1`iHw8{MBG1xN9R|#Z^H{tLvNr)yE5$4Vs+`=- zfO!aUr6toG6O~6 z#hOJ_rNqg0lQ=2E+$0c>vf{(sSoQ&EZ+qBXc zFnlF(B5x8W>Vo}-lYU5?oNIp{^`6H6r!)Z}Y1)SZa~%VQSa!Q{q?PR=0_+f9*63rv z5a5q($@P#p0bf<>W^Q)lY`_NfI$wCy{&-&qBsU~Z5S@&sb0<)rI(ttKDaAsa=I$PU zp?4=zmco}>m2DO0`1jBZapf7mi)M%`&-h(5LtJ^r@1hyv#52D6;>$0-dPUdX{ea*4 z^Pg`usvq9}@y8E8efQHz3!gi}aU~V4TLDk8Ic1ln98H_;Q2>9TG33ccASHn)yp45~ zzyZ0h8Zl!7o0GN-|*J5kN;R$XKe8yrPp5U+c zws1{RLsatHFf&Ky8Jg-VA{m(9(F8vR1#xA;K zC$n8w>9fy1f7)>B-A{jd|NgPCOf8RL*$5Z|gin2%q_wf_ep z(6Iuyf+&E+;x~$*m>a$?@3mOETI$xF>cQJ%MR znwp0x82fa>Em+w&;qiLHpYFB9u`B)Et{g&8^6`YP?Y=9!Q2dDpTv{;! zmg~h97yJ*;3;uun^^br2(|137_sPG%|Ni?A|Mt`)0ep*W&LCN-o(Y^sy9mC=(rsCQ zpaBl~6a(f!Th*$sC*6T?7n#!{y5Xi%6O~3iWL;Cr}6%D)s z!wzaB6F96a^eO5_)!uFf;-)sGCGh=RDLs|^x`YcSDy))QSuOIGb7BDrj=9mkTT&~M zqSLM4D2*8ltY+bu?ow=8z$#?lXSU3g7vC}+Yw*S*Q3HdQk4P)Pt&Wy8o|+Der2va1 zX9X4T4rc}_iOOA`5@Dk?rkRF+fNXCOn1n_hGgz7_l%2K)aG6SMeaL%TYwEM5tL7+r z>sK@6T!qxbtIJgMDvD|8oVGrHj-oH{<}HGPlB5;;0=u=19SdX(LWFRz(svbM6vQPX z4p4$MACdGN3dEgT?m(bBYP#v72ZVw!LID@rc3t#xPEX>xZ|iTz!B|j^Sn&1NpMLhuvncv61Npt{tXOx-gIcq!3Mr54_6atPbuC^*DXnlS-!fwweP4&1o@YXC-#+8rQ+3$R$7fdLoRJ=uAj zwwAf%4UE&ye&X~HUeshA%62h*_A+ghFId3bUmwsz8t zg_C48Sw%2tD&1CteWZsO6OP(GJw(Yg#jdaO^iZ|aO}}7@ZptPfU0-uI(3Qq7(}ci) z-$~de>%#6BL)#pYB)37Zvwkwredzjr=myKSALuxI+-!q*Ii%x^pHNAF}dFL z75nti-1Q4oZ~LMT*S&$R^20#a-F1DXu91H3`s!v(_tQgfnK3iR0LyT0_6hwi- zyXheZ647dxx#RQ@Wv_G@2D)Nz`>NPl)Gw&EL`ON~8hLMuk4U7!2V*_SE2H{ zXCF1TJHi&u6n@J9$5h@zfE8(a0~8CM2iO@v;Fn0Pw#(t}kA@mYW&V$|{2D)1K_F@E|js9x816!v9oG57igbL$y3k4^`V+)PK|T z(2{A!WaL%8Air(KRPOW(?&J&RSN^&6g&C84m>x3sU0 zU0>-oJwzElD&gF9eaXX&$#h4rNB>;;=%0IZeVybB`t(rd^pL$y4^3`<@Xw_gQyJ4k z2$R_^HZ__tfr8(rho~hTx*5~m^w2P4I!_PzAz#pMmkK`gRlcC`IurnAH)E1&vBQk% zreARK&nnocrqM zhfZcp%U#zOHs4*>*L8Y`Vy$fZ0-{Hxpc#{6FQ&}<^pGEBOb^pT;oSA5dKsaKHBbbC z*12s+7nFJ(9O{Z(6X57*_=;ES+;Xp609>+neDNTxFRgR)X!uIGOlKdaQ^Qxmr@2WN z^oFl?G<>Pk;Lxez3rR5_*11Tg5dZ^wM+V$Q} zH%w1HOl~xMQAmpQQ+M-WO10iMd|570FCL_iH++dlAEvMz*10}DHGE-DB4e>4j(Cu| ze2D^$+wj17e1G>Xx9Hd0zx$S3Jd%vR?Uq}dNXFlA%l-9NfB5vXZy!0ve~{zfO^&g} zeIFc2$KVKWToCLyIMNhdm=65`Uw}go{Q=s!&iFp!7#z_vo}-ybAwX}OPN@qpQ2(9& zN|Fkliwc?}(bl?yK>E>29vA(9Ly0@UhTvArRH_DCSanL+3?gYXA~s8<2B z0DjO3ggX%kO#&gV3?kN-4C3UnR9?ytG=rEWYHvg0+{mzV9Sj0rgA5{dJ6C#~b^>Aj zE`ZjMBX;=#VjC%iUa5ZW*(4vqZh#G2*B_`LJAnwmvBaXekBuM*107uq zFpj5F;e6E}C_vGO0G&Z#*Rih42RP|;ygeF3B-(DjChJCSmcuW+z7jo$RK=0LJYPl zGXN?Xgu&T0_Y9(ew~;}Js{-1f5Ry{x4Ix3Xm)2@Mu@Q+4*Sz=N0QL(t&*^TzoEU^? z*TIo6oR*|a6d<4g9DP{6Tr4=p(<&55zadM(o0D zvM>|B<_{Ek=>%feICpmh!p!ZYf9B5mXULY=qEsK~@@Ha|-N^twq>IINo!$yP0camp>LnBy8Jy@8%kx++6SYgQog`yH_6& zHv(}T8<|gTuAM;8z=zj*`0ZilTCBTHjdU|t!ST;~0%1ywhtpELj*V!`RNqz!5n)y;L7xgKL9Y@vN15#l^Hl5(70y18~1K@t&~J(GxX zkO(z-qHUhX}$s&}>_+DLWLb457 z+GA|Q$$RyI0(1`&fzz3QTa-Avx#lPN0pND5{|rDEK=0yE9{`D%s6N2PP(?q&c@-~d zgrqhS*e4PAF*jl!<^v)v08#E%$)N4P{2)}$xen9N{0|XuP5jn^+v|Fp_{{~k zuRr_Z^KZUV&*TPP##=zo=nqWh&dMS6hv zfXHW#7vlUA-{UM_0I(`BrMBIy4~xQchwY|2O+n6Fxh)p9E846?rg){Mc&Ve)kt0#5 zoYKe9U8z|qBO(Fcm!1YbnaVC(YBeJZBIz2II+Bn;#&~fKx00&lynx#=spDe;kJDf! zQ>Lv^Rt*`IULkl2-H$1#l!2;|W)Yz?ue^H$3i}T^Md;)pzU&LU@J&P zvt&&=r3LtGDr3xDfUZ!{nse?o9AoajPP`YxXw)`D)Tp9DmQ{ z1Yd2~o;Lc*JKMeDVO~@`>d;HW(JBdP*pRQ_v2<71MT>=+&sW0omR{O+)=RT&&pGL( zm8FQYVsqqBJu4nz(KtjIzr{KC#yR&GZ>CI|a}%Hy2(aWU0Ewb`AR~}OH}|;-HVO^G zQmB^}&T|u#XpK8xp^|wRo=iyEIBAt)W3Tkma1d^$Ov4p+H)SHSHZzVQ<=Z-65o6GO zuXyx1_l1Nddv<~YZ*k7O?tG=*$c;*&@y%1MroN z^Z*A08As7sa|+pu37fFS6nLBJ0JAeN3cm3LI}#sHGVc4O&2h}R+o6|cuXFAT-2yDI zI~D%{eKtD*S!Md>Mfx_{E!t_d0M{*YCP~klmq&~5d}XOK_)3#J>`SiUzJ0qDwB#C^ddKNmbek;zul&Q?OPFUH)(UhvSTf=5ttJrz9SN5OH7Z!gj^L>zq-DAPSRlQ6ca~F?-%^Y*T>NQuA^D#C7bn`goKKF^D zJ~kmpSnyr!N<*s`1&>zw@nt^b@S44Bz*X1BA^?uBK_`{>=NBdp*Y7D`o%mwcvp>gH0vtb<7T-#E8=y*BLf5>4jVi8O4?XII_4(u{3w@(?Sbe*W7x$B9%(-c9-8BcTgf0S znq%%UMnaf7zJfFIRPX@M#1h+Xo!RBmu;&8DCXO+8x{l$vPsSF6Vz3hz4rAD2+c7p_ zB3tWh#Y%Rt#Z>EJ7P5+!wG*nH+IiXOaz1_vN4zRRWIObl11?!=@g z>HE6|fk zzp8rlkqP?GU)7p%uxN61U-b~ra?NMHB0*QWS~KSXQnvS152lfow*oUL0GPs=uY|j* zhvO*Ipey+;wPw&2@hsPT$fZf&n*ko_3dM7$T`mprdIs#8lrZdb_UU)F3yZy9_; z#O!86LPSA+Tyu$36~o@F)W#7fov#IWqw9RhuJh&SzDcu5iPxgeS4asl!&ByKrjdC; z_)DpqJ&J^oGjzVR>pEX~2u70#CA^AC982bnDb52zCMZYO`6}ynF&TV_qPkW9HanaI zz)ye`5Ds4m&zR>s3u1K$!~jo_gF3MUoR?OIT(sLimjvxr@>FsU@zv$M<6hZ ztg#!`IK1y%XTax_RuIO{fFfOKS+3Cubi=Tnfrd2;s2>PW1p!hHFp_45HgQU+G-UQ} zSd)OWeJClgT+;W_t)FPx7`mP8N5 zO2;flo1OlMlg=0Rit^a2$m;G|ui@;mMHY4CBXZGJ-1DEAbK_T?uY9f7oOHfQr$2JY zd|`tk`qn1Ef22!sIoE4C|GCrox@-7K9D=xS_!75zjl0wNy3LK7J~!T8)1STJOFYkw zcf*>U|J2^`H5$IwbfZ5vxoB1qq7sMB*QnP7U{C|X2nsz(gGNu3e$w0B$j1eY2`Pw)eUPv{)3Y&6LlwC9a5~k*snYP zxt44E$*)G(g8)T#e1YEXhBdsN)z`W4pgaHB8@}AUoEpBcC)vF>O^dtl_|mpV>-KC| z6R+i(>5l&hD#TDP+8hMvEyJ2L8oo9x+|GYWkq7?~?D%@CT$6ga20so!eJ2-;Oj`On_)RYjj0=Z<7OeNuQ*Loa$1Y-;cL0yRE0eEB*WzS>RZ z%ZnQUvb$kTF-6r-=s++!z9I`Y(%$5v6Bg;pe-e%if+&@)aOiNfKqsypUxlsEmK|UA zUgwJq2Rr{6el>`jAqmYQ@+jEUU#Ig${)1R^Cl@WAb-wrj^>Wqu(nIGfW~voX=Zk7~ z0EK6nFD)KBzNkt6s2A;5X%IaQ!y1ji#e$7J_zxvr5GK0Lmu1`6^rB$X+rF@7b}!ff zWw2ml&s|^Qtn=jxeh6{nO~V@Omz!bD-t|Qx(^Whe)^we(xa)iY?|{v)uROjmtQlQj zg%X~e#)tt*U2zFu(uia|&L)X_%e>gF2 zo}0czY1r?>twtUE=UL|KEw$)C`coz&uP18MHF3 zk`lZ%N&3ozcF1+%q{#$MiDzZ%wq?_s#DJNAe61oT!_h+q;Po}q0%h}yqg>Tmcr)U& zTcb<4&EO6?DXKdl(#SSb2%cp*#4XD)-gV{Bn4uLsi^a%4jxL!vbreobE>$p|m3GAw znFpc>Aaob33cdCsu{6HUE(@H5jl(C1PLf?>1c($50`-~Qg?I0EN_Z=*CiNLPR=iC8 zz6G(5FM{k;vY@JXFTCK~EUb}Z+c(c~3831I5(Y<;Sk8e>VtK{ZFi4Xi9TD-n_lOBu z=P5~!nKZJFR42NY7q-r^RLughjB~ZdDV!#t3hd@Y1M<0p7346<<_zyB8RZ$GtM9#b+5UIz38Hb zxUlD#34r0+)ntg;C{_i2)=5WrK|1Ursq=Ppi6MmRx5iB3K{_m(#5(Cn7kv1eOD1yk zU>(zqb>zFE%bmEexi_iV^^Mlrdl5Zx;9hjWHV-Uc-MM5|+btr8OD2mh_Clj?!)s6$ z>by8u2N3MeI(nB_=|vZdM>QpCgh`SP-Yy1G1Z>wns*8!4en{6edV5SG%!uMjN_1lXS3};I|+b zEJ5GFj_zMJiBWW+cn5pL=Dq0BTg2+%94w3J(c98t94A7l(aXAAuHZUho0`W zs`Q&4HAJiRY?4{TtxHUvNQc>{OcvKU2Uz-DlNf-n8ATTmdt`Jc(mb@PI_YSvg=2Su zbOg|Jat=$*kvI-GiY~QlRk?1?ba4~l34**5$QKI(pq$EybjV}M9NzMVJjzm(a`ZG9(pi5@EiB%2KLD}wubId1gVctg}Weu?^a*l}H#hor#11jti zLn=7Lsvf3HDA5Sc(M>Y%o5bqc`dFtDARX@NlG#Z|8>FMK=#sitm7<184P(?~wO7vJ zdXpHAR}rJ=LR+lLuI-(4G^&{&oWo(87iv}YqD$ARdTbICp|VR1Ct~ZQ1DozDRfV&! zC?bHJ!=g(iOu7|auxD9HWqWD82o_z~B{sB$>tT|)U~^|%@NW*-4<~wXj&6~;X&`)% zj*LiAWg_ViH`c+txcO8Kpk2XA_o9n_J!;Y$l9f?>N)m^bIwB!V)E16<%JacG;$C#I zN71G0RIx*L^oSir7w{HRLGi#k@<&EZG~kMR))vkn9pdDXd14)M^oUuGnrtt6#2&SU z#jB!=WzhwIaJj^Z%^NZM!y?mni%c+O4)&@Tct=*~M0qW`m>cWZwS{+`D)*71%dRaf zPOM{Y_zB&3Pb&TMs0nftAT?3A2Rt)CQh2s$Q3&x~q`!++2z@dC4q721{#~>}g#0^d zg?#$;w_kku?SK0Go@~5SSYj~+Aumd#41{rfT|Sm7mzDKXd~Lu=7CyM#G{syi4Hqp< zw)2IZDEMfbrnInDtsA&YvI6RR0%&d9(&+&x0QR0kRPm;fPiS^y;i*#Uk`<1vgCK!F zTuO6@6*Yq~f>j_CRZUCG$|sm`{A8jN3UwoSm!*ih?Np?i9Q*Wa35%e3XO*0xoO$JL z*U17*bcLbpSqxVIqWITlCG{ma>&^RU-gW5oJj#dzk}&&6Qs}*N%kBx%Om1RmvKtopHoI*FMS|k(&Oz8Onqt zx_5bjkjoi(UlJPAX}(CD2~MVnTbZMGdF^8s;i$HaDu?KU?PMI& zt<2%>)N$QyuHBxyyvnF@6pG@B!8ls)@>-1qbIgI=+%^r$k#6$17WAX^J}(x+5&iK) zlq=?BJUEBbA=-s*Ac0q<*t0APDtrf>EImB2fw5uO4-W(cG#FRJ2F(saVDEs4Pyl2o z3yDv@%rFytiLnt$@FUkVmHz%CGsY1nd-$e27-tq zQ4#T1Pls{W=&BA}R`F)mQ#*R}dCk4f5jfP(;zkp8!wS0406()d4#B^HE`{D8(ug)U zm9`1{A+og1F~T`*c!xmZL^vyv*0s=mh-u?oimtrQ(geU8HGw7dahIdfOCEKOh1Y}N z9J@X)_Lfuvd^V7DP}7+74V5<}+Kul_|1{7MlFhqZnHYyjG`!u2xW(J^Ezeb!rtWU5#Ov~wIU-1u#0n5A+o zKkQoegOgJOC;k=mm`J>EI#bcHb*)HA98#9T&c8Ju?>fL< zP9Zzj4~Ki%$`n9w4&-wL(pdo^*zW@ShkSf)>0gv72*5gwvlWN*oQfEd4b-?+lN`xy z%bN$EG0iVL_QzfCOsE8dix_=)Y#@dDdUiO6z8;YFKY55`sv}MG{>)hEC2Po;<>9p( zQI7{vVut{WgYVK^m@y0p zaB}#Svo`o&(3c$k4#RLlfAgh}(*f|RGi9*$h~Cn<9n*U1y6Fgx?kT$8wx~xPtjwEY z^W8#Sq`+Oo2N3OOpGmj#SM|8_#&=L*@(Q2VRUJUn`vpb_+>P9R5(^Fh5B9*8@vEN~K=Y52Odvy$Z7Z?p`bm zgb-~$4u+rn-_PQNe4lTaYWu3n%n~T6kcevu0VexLeNfq^P-lQT1B~>)p<&Q9zmg38 zGr^tlaR&L`W66{;HR;eb9Xs~fiBdUga+=zjA`%YV5#q{|^u*#u-YZAqn;X*JooqYc7@VXIUrG$?aq^gJ^13@gpnk;8L`)Dl#y%mtH?apkYpXtD%Km&sya!hlWt-fH?&qt1_l>BmXUxwdv5+EsG#YZ14Tb%sP3S?C`8t z*2S%_8-htPC#;riPr((rKthtZp)2~?sBD|*JmUFXO#aL|SCfPhJ7FQ}m?UyTR3(-z z%&$(lf*Wb@F*GF4Lr1=R!W}nqhY3ldNj;)IMP1d*w@%r|1@jY(QS9cow{%AAgP@Q+ zke2iMle+JKs1t9aI@9{1cEi8^Ytnk<3NT=;T6B?hdKPla_2Wj*_POwfyPAhB+H`{9 zR(73@)|OVa6AO~vwQ9x zp+wIWO+uS4bju_cGzJU{$>Rf~z4AK<@%VEOHDR7px=dOEQ6TMbVCb*yAB;^@h9Ggs=l?PtHFi5<%mv}^7T;=UD`GuM7$_(m^ zbn6Yi6?ebKJ# z!zVue3zq5B6(({T*vp`%TmLfYEBILJD|r7>NJ;Yl%cAu~Vl?+5L?-{;|GyO7&uWy! zuQk%H_5OV!Me8=AIIf>w03;$^k;8-PD&EEe*Al7e0q^85Oi-Su|ee?b(Zk#b(` zJ3sB<@cObQG~e}xG5%Qnq4M7UwDoblwfS{-!F2Vvwesur@ob>`^XBd8%FpL>J9}i$ zMf?rz%D&t0>G{^+bLVS(Gnq-w-elO_C&bSXsM@?F6%G)A7D>^JX1ll+n=a{J>W?P= zeR1VZBduP$q~mT?I$Rbn>O{R!6#_tIL&Hp6i|Zzcd+~p_+E2+q?)Y%@E~)Y_BjwIM zoes92p3H9(3OrUNiq}`+V4Aez?;k9!2|lIGYyAMA`6o-JPTp0R~)8qYTpY2(dDYVn-_A)Wmcr*0!uDd2M)#zD8 z{AGExdUN%ttJAryyT(7&xDgz2M{~5=@8DLIS)FN(R}_hPgo82A;5`3Gz%cCg8eWAX4i>r6VH6 znoPp=JADc3pC`B!odAUptx!pmK(oy((Z!KpH)+D1l1*^lNkzjPaTs?Rdr-BOhUy?} zqBBtG;(6S!=~#nX;z|W^oxwY+M=23%z_0!!$R~mTddQ3U8kiLtb?-CO65qWzNLM&p zRK)ox1Q?f$?{E1fc_Oud=rW;5ZoZ1|ulay|@QwAa`L6Xskux4cJ+U5AEQ}f-Dq|5s zh}TGui{$PBaibZoP7~T5&4tIAKSZ^ zbnxm!Wnax7J69L4$FEEXaZ)u9SB#IFT;;mb#wV#s-?>y#ud~qak zuK`iKcn>AI)sk$Zhs;UmUu?h8;X?ISZH5b72EGZ4q}NvU)0s54(qw8VGLGTKIS9s| zZA)W+8tb%d3OE0a{i)@^>nyl#LdH$$ED)wW(ngR+`sKeSC#$XWOybldZ#$eMS9Hp{=FK!0ahg>YT5xFHrNMU~@ptT$*FOO}1%Hhi83IvoPkY z&TyBhuWF{lFs{k~WqrW$Pqqig&566w8B~_6spe7{?NXUE+*p@wV@4aaku>&{uDZf6 zdyrVjMl1`q1*QHfKfgoQoaJ5Rb&2xib=gWe-FcsMl%>P}w68WT&}MjzdW2*Tr$T*I@*C}6PMFP1Kj-n)5a);zU)?dlwp|0Nu`B~{G zaEy=nhJvV$ggr&2X#BnWb*c;Lm2a`V8j=DQEs zGep+)0)39A`MHgZjwC%HiL(y&_!5+Y(UtN*HsoF-p5h2jWd0byS`~@KBO_9G1#SJ4?KS4v)tW4e zpD^|u>%WL(KFC9?O(#oL4l)&=M};!~5oMej8+nlMZ6BQ~C^AGU)*B&}GyImRB!@Ga z4GvU3O@{WuBEN@^e_(EOv@4641ADrw&l4QN@i!`;^z4HuDxd24Q#-%Uo2U6xp2&*V z8N*+*Lkz7PY*lDjTxdnP;<^37oNxV4E&AX7j_>d-DeceF#3%F<7Jrv+c7_X;hefqF z5tSyNExC&5e^MP-Iq_~6^3@nWdAr$p^EkQIn<&1j3wHH+)kkd}n?~Idxr}~4s^Rg$ zdz*jyyjO1>_Jd}uJ{pJ>z0xfu`d1ZpW$yC)-9D?!JF9DrDD29{Fn2kttNG}#Rj#Lv zt=;G4T3}_Fqq1f1{v%fIKy)~9-aYN;&%wRk()`oj0IKhPW;?Jes=WBh+5gqg^*L*) z-@R492k-2}d#G5jP0c=8OL6OL#~aqU0^!V7&*)9nck=6z9vdrKT0T}(ZNkiju=+`h z_<@6D+Q*wPPW3Np*bN8A0>wp&k5)=*VUhHgH-k@X6_?*(8H3IL_2y~H>%crv4BX6v(L$84G|CehYgzYCU2&VXLc)nhto z%Ox8+qFpT16*^MX`bQ)5{_7S|kLh{srYxrsOi-i5kN1=Ui^Z!2nbnyu!vA##J3TR0 zk7>_R6BDv`8fb3T;uPGPDx(jpAhdPgm;YODz0g=e=>JD;KH@HTLdW=tL5s5!u(eb@ zU54@Z<&mbGMuD@uXlwpLPK%Rb(&sH%i!(1D$)h7)EwS2kF$LV3hphQhGA9haE`Yht z&d>NAO9^51Z!aQDv z;i8FhmF)l3-|o=0`pADrd*bQmr!X8|wqUC*bqG_LuM*X4No>Styli7f{L-!?#hcv_ ztIB-oK%DA(HUh5CDRxMlm52#=n4OJyDVjTdNuY9F$7xFy|8AE$JD%nBYKY~k%n#eV z&29EMW)iaKJP`2B_Z_PB8IRs`)flR6OK^&|nzGq*NOxNAq}c;?(d|83ZymFbgQotn z$0|TCquhK(@A+5^-As1P-m%5{w#{+BZm`F4`{sWpngZYcngWY0EPw`=M81*HCU4Qv zd(ToMAg*qMA*YT7?$=88Scm(VnvOJD_Sn1P5sg43otwVtE9Uru)EITzKCGh zW$pOmjah@QPwpvzRQ|2E0sK`bz2}7R{(m2r4rqIey2`0hH{B5~W~zXhZ?De!7*+r) ziA_(y=aplta6%;YfLMvGkgdaEwLmQ@R-G$O7 ztC1Y$QLJyyb;P&{lKjq8K2Qmvyf{_`LDK!*k_Aq*D_!(+BR!3Ym$(VAe_qrJ0y817 z4#W#Eu4vbkA~+OBn%WeaJ|q%`cvk$h3v%y2C}N679up~0M$_U-wMO0guoYdY&c7$v z?eySZlCRyst~9xabKLT*bkWRRQ}T&xj5gIHKV43bJd#yMajcuwT^P{Kc|_W-L9HyQ z8ZPRSubqTSn}EpIsR$?8<=fa0g+jY^cETt^o`9_-rY1Tqa z`RpYK@s)ntMSKuvYicmIg>&$aJjPQig!mIBNXpe!`Y|Mg`l}YOcKJU|x^Gq4daozH z?=dVtMm-}9K__?PkJ8Zjs(B+5!Xg_a5C(mW7@|+qZW|h|4E`yo;SZ_58vz7NL_$%s zvUze_{U-&RBUdWn$U@RA@~DSiILOP^|Bzs1$~H_n{}!_i@JFuM_c6WQR~A1hk*S(Okd{>6511d!iu|l=PZ&q~Eoc>l@fcaK=0Gc9zc}$*GYq0wktds+hcy1sS`GsNW@1y{e$u z@<7xT#}<(Wr;}d*bZ%4Nln=TF02#%)3z@K(Ha8wU1e^{sR_r(ce0uq?HdMszWi7xO zD?TB*7G^Cn?@Dmv8^#}FABcY4%qJ4y4fAqKq(`P+c-)M&X*v~HOeMU{DkbNKSsIK3{|f%hT%)NkkK4PvR0bASL`~ZFN9qq@r>cuhha}=0Drb zh~7$-D!t+NZw7X5tEa%;l<-#1zbUkA8N5=@U|YXP>%5EIGfo>~rTcr$Ez)U!$ECUJ zq!VLl{|o#jhaT~XPFEMVZk#oe>q$$$Qlv`5>`Ad*a8ZWRedeYc^z}+#z-i*lsnU(4SU1+1@89Jkzc? zCm!HQAuo+85G0`sJL%prxPUlg6E}zB%YI*F#lB<`Cj`9FtQF_Dy4Jy}gKmc?AcP;* z*>XsCpTNT4R4%|D%RdxOh}OdhqO>Dr9aLUnLAe;7OLq_Yy#~TC*b`qb@+`(GDk|}$C<)~?5f3W$q@W2~?HF$e0dZXY$aUkp^)>bf z()L-H)S{(Hrg#1@x7u7Gr0qM@)7%xezO&C!r6FZ73y!ekRO6901KdicejkX~POj&T z!m0(2t8Pt=37`9w>&f$=LVFz64X5Hj*yw9b{qo>KFbqn|ktloBBp(4xySt_-?-D(g7XlB5on;_=Dy;%1-9F&Z-h4}2_~x_im0Im z@||ft{3a~uvoJoRY3O}|oDb`?Z`_XnuhQxn9kay!In1n2%+fv|Sk{%=q^)qGu(#XH zwSG{n@#Z$dJ4vCflQw&FqS%=05F@aXvp71`OL@=rr1K}RX#Yqd8FxLeRcASZwL^8H5HuS-)U(ji z?l>{-)Eqk0>#K_BgO#3p%sl$Yji%+{zOs!I(%cho6NQIgcIYol>XpvH6t5qM2LgZRd71if081hGDc@s_OF=H8Q>**^daK7Z^9 zz60vVI7)f=x8lB$t@2o!KTZ@LH50L{Opa%Y*Q+i2ZY$#=kDApkcc}9l(F$>aOIS3d zd*aIBwQ@hCu(bBXcftQ0!1pL~wX#?mx;AK5UUtP`Tx&Y$<^P1e0=n+G6oV}h9cJAS zmCJBkp1y~0DnYvx0r}FlS+n`lz>G&2waH`muxDc^_|W`2NKOF%1E zik@N2jc!-B3Q|}TS*smTym_$DJ;Cl4PoXrBHZ}wA{b$e7MoPkqsKSm`n}ZjP*kkWW zoy#3q7OJTc+!b8^R9!p&K)Pl%M7$m98Kk?@-3!3>!UUG(DA(v*tV+5!Xt+YW;XV>Y zToqkAzGZNJvdTt0T>1{35=zDr_bs6t!z*3DTFKQ(A0%)syU zxmn2n{b0-g({aps#F3CuoPP%vZT(s>z7fS5%fx#H2R_JjN<%c+LKWZQngIu6#-r}hF!wdiaCA&{AhGvY?WCF`|W&0 z1_na(5JEsSn>cej9g()vL&6hmT|qmcFywXxG*MoCx_u>tLkchB3d49^P- zfYYx@g9>2JQNceS@yM7m&Q`D3*r1>m_C&euk$Qy%&6#mdoP@S9$#csqz-iY*ZR5kv z{6+}D97F{Q8`C4mFNw5^0a#W>Jix)i1sOmR@kk@MQBiWBERsCvF$%ifViMKr%uhpa zma5YSR-A->2yQqgV;XY4Z~|JYA$m+u08_|-%^D0?z|%v6Ck81cVlIy;uSg?}fQpIAcsAmVrscVzi3nKfm1Wre(JG5`Ap{f*+S;uyAf>VcG-3RJ zIG6cNj(txQrFh=wu_dk2oqE1 z&_|5ti0GAii^X9P+87m-5nk(pSe|A$$t|Bi_>|^w+(1r#VlE;VHyo#c9*|pxFaUHc zDH(;3AN)}rY%2A1lErN@^lKKTFS=Qx(4^K(6Q|Tv4NsGgyFr=7@6L(jVv6U4V85iZ`6c1;$5b+3nX+ zQ$-YwR&gwQ3QJ?ZSZm**6zBVh1ybAg%SyvyVkRY39gN=~zr;I?OcKcn8ktnTgt}GJ z07x;YsOV8J9kue7)uIMf_kJ%L*GhAW)bA6~WCfKC8r^)2v^SAV+8sPk8SIe8!wuJ( zybS^8jyp@;YNrn9svgAsYu*A496sbZb2)gQ6 zuDR)&%N$w?GlDffIsFv&VGhtJkd6#i6u}%QbcJPs0R{aMHsJY)*Z|Xt6YW+$MlNhj z=g^lS;yV%uoTtc~G(Y>hIB})L4iv&l-qwf;$Wcwls;E4My2z_H#vTVF{1R_M zaf!wJ!OJw#P=om=KD(+N!kpJoGh5)Pue(JdNbC2{llidjOKr!`yDh)3n`Zy#T_K_O z*EKNBh5t>p|I5cP>DS&~ah$>XlakQa`?$XzS$O%kryk8C;x69Zh}tPWpPvI*lS}_! zVUp?od>VcPrRwmoh@r%xb9D_aqJM7glv8F|HrZ3_#GnxWj2$`0y(+ZZgO)DyW>7}Z zGzHH?@&5mk6f0@UlHDl*E++g@|N^P}Qy|5h_g6~pbkJ;a&C)WWP&74Y4oEd0J9 zj=yN3EJHM7p<~O4VX!Tzm6=(n9Q7fRL(LULvi~$y4#6C4)>i!&P7$lnI9i)hFCcIM zRsH=N`=DhErj<4<@iBl$BG7wLo^b=uG~DneV(<7x!`ei-moBG)#G+rNh^bYaz}=WY z1&Q*%g@&&yYaenW;;EdbB?@fEelKTl@m^IYL{W-W89^x@`2lJ*sEKBQPq=t04NK`b zWkCGGuCsVj8^jZV#MVMSS562o;v6EJsym~@aSYSwN3BI9QlHc?K{WjGCHjWx0%RQP zPi1rs2kWoV!67ZVy>fAAW|L-)%^*m?faMuTYNeek) zsVF$CAO9gY=vPoQUlt#o0^v}2)TnD!i>q=O9C1>MoAQ!T^ty-$tC7>#w*+1~UFaFg zE5H~<{C*+*zDr|vi#z#G<-;l&;raL}wM->q>`D|Oy70;U6PrF~KDx@4Ntg@{&R7RM z1!=s@VLQ19iQOQEVFBLfS!II8k;4E>H_bd2y@N$S<@|y1{1FjnkgcgTBR3{Hb~D%r+dH9RRTFy*cVH~Qzv zeVICB!#^s8rhg)IMVNdQTulXE;^Xw;jMXD$Gc&c`&-T4phXs5*mH?gzf9>qu(IE1yY7|Vtq4tQvcq9`ZdQeMAT!eD z@t=J%hGr@p7#ZGBlLfx9>pbgXvwKxgAL+YNx_k|6!%0}hSq7q~NIPJ*d0k|46xJzy zBp&n~MT#Fk7B(Dhn7L{dvrZTh_Se+8Q>`km==^w$Y!axjLVu8$RN$67`u8cbMu~q} z*a=S3=Q6vYwT_e~N@sJN@ZguY%*nFQP1q-b+?z=8+-ALhpH=jnkd@*G7VNHIqtd`0 z4o<88+@1^96t1ZIfy;kp%r0irC^);xI5-aL%H)qYIG>x5kw-+|)CIXC&ms}`3-=-; zx$R5Pt1C)8_CgVF3?&AC67D7cpNbh_zJFl@9=NY%MS15D1?R$^olgIOz{lRVX2kUW zsx`S38Q7(w#2K*J;i?9#gxJ#(U}oAvL2g3qD;)45WkKXqq$;VUs(-xQ`)zx5SGSSv z*FiB__A&qUzkkALf?p8#ErB`11pH3>Gbs1ZM>)mq0a@g;2Neg>`IOjL_Z$qzJ8Tkh zVQz9vLvI1; zd&`nl7CP(m)t+jO5;7n~L)0uo+-VBY2c_Vx;=PlhK)eMvn+1CMh~otR!Xi&($c<^1 zL>?D!XEKn`;aRgHVmovy0ce&ymz}9Rkykqr0~Lv%>`*hlTfm{^5*C*A+WmP>*#PC4 zN4c}ajX@ogM09MipmAGTQB7@D-yQ9e+jOiO#RPil{;ttyLEHV#8YiDpJO`?jp5ije zx(VVIwhtb&T?t1a(^}%Y}s9N)yYB(R#b-ktMJS%R*PJJpAV%f6Gn}e}e;= zPeOR|LIlPg^uUhFSHAHl<_uAjfQo+T8wZX?TNevnFGm+W~Y#}z+lFRd8lHP)52#$a>ahQ zv)Y$i82*zG$zoy&t$p^tUjFYYLnUkCwvpkBRJ>3U3AO9TsjO(fcLgTc^*Jj*e$VMd znX^JY{A~3E1N^4!L%c>=n|ZhrI0X-`!|$W0D`wt7c=GbPBxv6h64Tdy$?XD0YQ5|6osf zAo|>zM<4$<#2tskKpG1;Z>7Qj+Mz62f`H_o4A~wl5*3sxQxJDl7z{egY)(Uel30zH zdDam}FKUvMOh@top2`NE+U(e4%Uk);D$W?UKcaSjYeENiBq<>+Qll{Jei;FLTZBmp z%mdbu_GK`aM9srvCY7s&Nv6_t$&?ammM)@ZHkkHroGcS=(s6D}+T&?SmXV!;`G;u7 zTKY@)o4e=hG-X{X3c~tB(>&nhcu~1_PBWSjr{~fvpfR$4`FZR2etGn2-T%> zo92S~D|PAj1ZT7|-4*loe}kB8;mJc8uvc_@)-Z0N{pg}7Wfe8&^kEmt6)n>iGO)Y+ z`5H~QDRSwk-1ih+@U}ACxUHg;UJWU?SBXDuI7zZEl_srq zxnC*cjE>l;swf%(mE`YL;vB4It8I3*;}uY?o2zuqlh; zcvdLv$BOSPlvL5Q)<>tVM^L$c%fBtBTdToH+HwrUowc2kmCyjS@E}@R?A!yX9BzST-EE*$@95Nm=+ZEv}Tm zyq6*2!#w7&Qm>vm)da+-5tskwZBjhdT#ER~;FcriS&^O=CLE@d^#PSZdHI_Uz`L0u zdTCidb^0Q8s>ytr$af0wI#!XjH+K;2;$>pn%M-X460}{TPt`gPz@~PlJ=79_%p9TQ zu34Ar%p0#DX(jH5al#C5&42Ew8d!+)Xpf@wk4fWygiS?vh_IvkfsDdb7+<`G$|FQz zC-Zv=&Yt%78b;D^-lf`mtQN_c*8)7I`{ZdZ9 zgyG<#_hXfW;mn##=@un6`>tH8+{kCVvMLqpSP3N_RnbP$>xkE^`lbz#bYX;r5;K}$ zokgR_=W}V2FsR(mWiNrP#N94@6-d6=3grrz!-`tK@fE)&l_=0s;<{F2?M?$KlM5fY zmB}EiYaS3o=H&^D0a^?3uu*5M7*;boxpO4kzT$rQ9SV~M>guxP z-zL62;GUBetP0W~k3XKGExt+s;ufD}f$WqVrLKyRU z5op*|teNQy@c13LK_gA!vc#Qw1|(6%Cf}g8CbkeKX@sn$T2Dz88woJuIcWfGB0+AH z?jYW`;dLuYFCsOH&tvS?Wy`z>Chm zzj-f)tYN@8F%}C%OXv-=y7+vysbgQayq1Dc1N3jh2THaKdV z*m_l_Dd1}g;W=##;n^AO18U(O z-s`5+^XsSNe_i)wypeQ;h48H99Y`U$Op$c?FZE^o0Zx8FT0jAbuzvOMgn;wUQYYJKXii^W18?&@%VC+doIr+uQ|_S(S+ z+;IK&gm<`Y)7r{7k!rIxvC{8;d0p6$3fAGLMcevc>lO1v>RlhuZ)OqP_W|seSBEih z&}rbmLUNgN+3Cyh#67`qn&56rC8hR$-u3?*!gDv-2YgRG-{JdT-P33)L%;`2Mc{JS z>hV049_A43l5zuKnnCHGHVkeY$Z1-XewG!+{5i1I*O$TL{rvfYKZodp->Zx1x*lJH@NWg1q<7Py==9xSbVzR9sV#QGqp!Y z`rPizcmaSgdHu8oHrV-urfqmG*>gR zR~-k;u^JIk`5rpa^NlAjP5-^1@C;hc9oNXUOm!jjI; z*3?2mI5i?YM`w#cG3V75%@HD*s{&L&i}>l4aBsZcr;1Xc^N%341Vjn7oole{e%WZ| zE;xq>iNJ&0)3PW0AKpNkAH3}YdE$CYbWTQ}Mq%ivSs<(CAMb`|GjF& zS_rk0xoO*mnYaW{`QqCx!D`e%K4@x$>@jZ5ZRVJ^b!w!ApVFjXu0Y?Gk)2I~S<;sS zF?`?CGUoBU!^#L@SC;c6mYvQ1cc>SuT>5kx2cq{a{7(-$;@@(43iG(wro8@hQG;xh zdjwZv&IA#3k6ze>B|o?b2VY`grM{C5fp#pn8^w8XRhhYFggM*@&XL=XjOL z_(dfuY%)r7U4;J$PyWgZv-MeMxy(4)HCIc+sHNyRpyNPvjzq|BL}ad{i1}snUtzLD zswBoRL29s@BqowLU#cWMI~$1T!b;K{9J;5tWC;-oHBdlOI$tx>C?CN%YO0l>E5=)C_d=)yf zNPXK{564Fs_c`*Y0)o_K@*h=2VMH+$chM77c$B|xeqFg9sqCVxuJB7Lva@}g9!U|; zcg@Gei%a+^3A-hbo4FT_Y?b6xtWts(^*o3{pyGkOh<@SNFLH|yv5L@-agmZNSsJ7= zeBvJV7r*4^#tdpTu3vb*zC0eG8C8sN-LQ17$^_YpU8T;N71O(>2R4<19Fcw5`heSx ze}QNSJD6m?Ek^}SX!MXPViJg!GrH74q1r{vHf0eEx78L2=t6W%awQmc`?4JZ`h8%46q&BhP(aM8Er_u6XAd|KV`cam%(ik?K!4P8 zyaPnot?kToH6ScGKx`#l&C&MYKcDb?okGQUFL0({9Y$9qjUFQ}G?1%%K>s~KkCB)| zGSW7B>+3p1*vRtry8{NHqNvY8rPcw{Hj5AdwOl&fr2VxguYrvm-Q6KdQy!V4?C4H8=t8JxuN%aw*yWe3QJvF3>V1{9c$WqJTzwW zi}AS`y^>GA1pggM_57go5s`8FV4}nGdQRQ|_YM(_(*e<;MVC?6Ey{)K0g--j6arlg zX~EllyHd8Gef>iDB*m58pI5_rvgQG9E3T-w@X23r;wO)`^@fwNI0S#^lFxf)Y;l34 zGqBmUT4e(c{C0oVZE^Q&FB>QOTuRp%t?IQvr9~@@R*RYo%U-sJk^{m`{>zL%>$P?b zDd&#iV*+~OQPW`(rrRgY5hl$=lJ;f19qJdNCfRD&t;_|+x7Ak}H4zA8aq>)U#+4AX z#)2k)-M=+x%_UB%g7rMr84Vh=WN9ML3n%l?`#i=RxS|AY|3qJvB{Le-X^~-BW#K+C z+2T5XJT>{et(uQ&q!;Si{kith-lj1O1%TORmK@!^Oj}CO5UgBNn>U%q`c^ly2;;Hwtwzb(qW92iMix> zZ2w$8a7FRi;eJ@wKXY@*felN)O_`5Y+v0v0rJH>eaz(WwX5w*0+1kBa+2Xz}nU`*D zn)l|vd!?Jb@YQP3z~^|U4+^d^-VK^3>`galo#TO}OzUfu2Stv3X3Z18-eBIID<_S= zxNUL28o8o;%<7-aL{qrutOV6S9Os`?jA)@`mZK785X9u*%L*9UKy&$@Gszc<%u zE$F0ElXA)V)o9)4Pa3BZ?sS{$e`ayXl^K}Smvlp=4~{8;Cy-9s<9?^yY|4fGCZ;l% zBDsN})_Fjn?yvr0iD>2Mu?_moiRse$JH@HV^EkLQAtfQ3{7vLiner$o#8Jw43VgYr zy>cBr6(O}=bKTPFLHc*fyrS`;*_APvKtVmO zK?XKN*WO{tJ!U{R0pTQZU}DH`EIT1ZV)3>%>YY3ym6+4Yd@a9>iuE5uL~8!e!NF6j zA9bgF0uelDu(=0^Kg6a*x2iM|lY~cLtEtT2C#3YtVY)ahWv^6Z=T=`yPsp@z0sbEVia>S0^6b{1 zD%0Sd#GEz~v)o9`wUe0hmBfq~jjg#pkeI^@60`dJ5jBxYq0xi|S!<(y|p5_5P%VzzHc%y}a*&+kdh`9fmWUm!6< z{veTgOJbI9NX+>wNz5CS3=;JuG3Q@MVy4maO8&esdLVz~w;(Z}M$h^SNX#W%jGku_ z^DciHiJ6_!_9Y^Q>@oAz5>Rb`Ph#|1uu4aJvfnMLcHFfy|%fG274am_y?+i;QfUD(IQVtnPTs z>a#p%aUHdC@R*TcP|Dr&m??sgWsdR6W8PZ!#mv|?&w)MrI5{=a2wE6G7ESVWl?kVnrt&~w z5X5qE#=Ad0!6A;R_yXP;;B}9@s-s(hd8Y^K-3A^wkLn6PxF9YL0|` z*UQUd%Bzv7TP|b-;XHTKs?%zDNe|7TyXHU~w>9vV0#S~*&56Bwt-MULjXyONV>ivA z8z9WD%zrn{G50q9MG*cxFC=>@*EC0b&>XpymyNsTVA-IbG&P55nq$EKi7xkw4VkZ+ zBf2XgtW3kMIgr>R5o?-5_31B21}6O5BFL+naj>=evNhpX`N|PI3ZkQW2yj1S{-Mo& z4UG7l85PUTy4K8x2aVJmXB_mnBh5l8-jgF!@iTTm>oZfEjItoxS4b_a9fKwcnJQxB z7zd5bLsU~(p;HqpY*?nXLdf$Xf&+A?8@p7>)IIqv2zMfpumDIx zGC^Mt9OAetR+iaAaBzA&q-?~>B|8ZbZ)@V05FB|DG+gRK6TfP0Ev{jZCv(!l91Ubv zmN_h4>D(ze#9moeo8Mwx8|18{f=rl^%;3}5K*8a6!BHVN*4=NZOyKNd1w?cg9K$9! zW-?ZwSbb~aZ+;8jqCu{N1QKT>LfO0q>@c&?1K_d0I>9D>>_qlDK^p{$?{ss`Zy|`y z8is$RD*YDhkkAE3(e{P}Ek>Ln$})VFjEtkRe7R8lPNQSZ7T-+$g>x@G$v&wj*AVaNi`fbBYbfICp z(7>@;d9A~_xm63NA`W{Nma*U(JF=ZpdF$avzLu3?@j&n4_lMF5y@wxK(6q@frBjd* z#IasEVRS}7al6u>Dl$k>7a~Dio9UPnltwa^n3}9e4M+=9tZ5o=?y;~8>#6ndkH~!r z=jK2qZaw@gO+wy?@ThnxQ#tu1nVef;S(tDTLYS?P1$u1UdfF}c;}JTJHfwgPb~m=@ z`c6V-Mp<|dR74jW3tl=t>N;TeEQ(?q+j(Sd*>u#FF(P=k%4xAI)2WPEyqkmas8EKU zi;NiS-Eol}5VqBp`8Dos{Djd{I^o1f5F4I!ok=4Vy@^9?;F#eJ^`qFB4zZCo60%%w z`4k(-DG^fy<=oA#Q1lnEv9{W>8nM6(#Kv+{74dk+au~TQ?WK*My|*}E?!<{)na0^Tf951zwHh%0_x6rp3y`sKnu7NBT4-FZAz>$Vvu-BGNR^y3S|6E&!&%)ZX zdlIsm5pN9V{x(2ZG|O#u3WvBx{D9cVXKfjFQP2hlxL$deS8ZTN08X1)*O&!c^%1n8 zD^iSdi4a^bN|ze8RhZ@Rg~@{0uuBcwq{e*hLxXiT<1e-)Pn!*ef*ggQX(=8pRGi~kN+wC`qTHnd8#iP{`6me{^`eG{rKm9 z{kMPqhd=!3FaQ1|HgK}E`7d!q+5|x$ksy#oj9p9CFLobkT?>iSNJ}Q(5U+W2SZJFg zo+Z2+*~Y$Lds#|34CbSvT+A#u8mH zDPti@mIrf|8xIBq7_wiGqseL3kq5AHESOA=R=LD_NmMEfghL(NU0@Y&py?1?^JcQb{f#V=zm+aQH%Mxu`)VsN_P z6HTKFH8x2jvEF&tPeVnw2``;|{9H;VkZT}=iFbW7!5||o(}jF2?{&>n+l)9zyma#M zk{ z<)|f__gXS{As_3zwwX}GOEcvnY%lp2 z5J6P|4E0G)R9C6k@SMYNcfCON2B>h%a#z-Wy)RH!~$R z^0DRUmrV8#%@jO%b5B05S3X&2zdIlWHN2U3yY;P?-d?O z$(olkGrvk)9;M2V@#*<2K0rRsvGd79+0B&qGqC-4`@$BJG`?p}%@pe(!@@sc^>1#jRD z`8aGH`;a!G#_Ncev5$ChJNekMX~xC9maNWf)@h|=CA0}Ils5SF2%sCt^5Dd?z8N9JeDVaD%ytG=fDo^rpZnb2`h?gw42`?v~YzV|+Vg(wZ z8~NDsHelFl$>fE6oS|3RxY}Bg!P{-Z3va#Cz;dT*n~fsU`~)a_Nj^ph$;MrpW-jF8 zQpC|UGv3#dDP*|VBW((pp@p6`*>F3b?4EqQh`pA~WN7AlKpAVvkZ&W9EOH6hcvIcU z$F;dA8~GUWP|!wU?W!H87b9K{^08iRT}~I}v6M`Zd>rn`$M$(({XO|OALQf1MG;5K zekrt{M%!!2@JLs$299`nZkh?mPr^RoMdIld!8kUlZN!Vt`0H5`1=YJ|9>~YgP?0VQ zf>w|+bn@{eS1(@Fk|DdIvSASh4QQ_Lc_SZZgtT!R@iK1YW8^(jY&Hs%jVx`(3v0>h zIo2{h53GMN;ziuml8wmP-qw=YH!cd^-6&qwl8uLr!e)opH?j~oGN@GxDfF&9yNDVphLgq3|N#IP=hjEe) z<3u77@oXpm7%G-^O^_Fw<}iM;j8INE5qSV`3KyGPBpZ@;=VX?HssploUYN7mixo_G zCdMjSAk^|K7#x?WIVVW-6Gz<$WHNzn44dK`Ivh$D!q{1F)$&BcNjc;fT5q(@&$GcgiCwMU?;mGlN z=1FM^jwPDxyaG2kRu|S#jVaQ`;-DF1mMc`c);yuBURg=dD$j_q#1f~-N6Lh=m4iHx z^N(1>G*2>{ReY<~TDNMgY)|t9X)M6`Cj5v{+e4f7g)3i0`y_tJE5C5O?!rIn9&F&&Wnsf0`$~T8rih1%@-k zLfp6I*PVde%#+;AlhnjuvhT!_qtnhk1fTq*ZG{*&!?<4B>#x zyLn=e1r5zSVVO6(Dmwug>E>ac*lwO66UBRFTfSz)dQElVY*z{lgk5N&n5^Gqz)uWTe}?uol|Pf{ZwBd$x{mO)^Qn|qQeFgOva z2u_ejR>-z|tYIpQSdFQ)mFH`n)-tzeBZ2OaXav|(f(d-la^#zFr_UgLZCwd>Q zLhU}~YPUkIn($>#1TrlU97KX6z;yFZ5D5s?rhPIOn!6L3`^`SVd&CnmQ#Jd%|K!d-LD()vbDjf#aoX&Y^lYC%u_1vIIEau%;D=QkIQY}6^|Vj& zp)$IC;&%H4s}qMBK5@5Cw4j?lx%sYE>nrUOeDvKu5zRkgHtu$n@noOK(>_r*O>XyL zpX{|-;`C1*RfgT{6C85G4J!%E z+K!_xBEc@PE-|)|lK3OGvP8U0XV~eMIdO#@F1+&aBv%ROg?Zsf^ArjEr@O1#^vJqf zCrRUZhc<3yrq9lGPwRyJDZ6zdx_5$H>9kJr_+{&4pB_mqkN7JXB`-1~mC|K~8TbB_ zd|D^@4uMRSAq{@TJPQQtIEnuTP)h>@6aWAK2mo(@hgV$K6R~hu000M?000~S8~}4= zaW7+Rb#ruOa&upEWpOWKY;|*VWpZCJGB8zC2>=7p>~Uq%>~Upvcnbgl1oi;{00a~O z005o6`>rmzk=^-!K1Dsiw5M)rNn_Xmon&SbWYWBWX+jtn$5O#6SY0KQO6~E$4?n-f zZ|_s5+Fj~#>r!=zWA&r^LKyv=Fi`J z_lIx3`%%C9?9bo->3{sgH$Qy%%Qv6>?)mE4 zjJl-}!&Rm+Bg}H&eVk{UrKb@}~dZGuCJym-yOHgS~qU=aFWNF7sH4XFQ`nx6YFe=kv+>IpeFZ(YWADAIE5RxOzu^ z$MUr~x;5FyBkYG6?8spQ4;p$)$7V*K#rRyW)5O+Ujg<0Pdhabqz9O4=m=?~N4DY#n zcUFIeVNwd=X(7zZPE$8`cw5W=3f&YgDlJ=(Juj6zSt z(#34%U1l`dUA5l_n>#}oGnC8lZv7l>+I-GFYCBu@y%%%#Xp%Y7n9anRw)>nTTW1b? z`_uU2w6^Ujry0(2T=8HVhv9?I+t1JvMp#dmV?5%CMGc#C@78W@8k=M7o~^n}>nXI+ zgDb|E6ihrP>(1i!?ETpvW9slF_TYK!aI%=rl^OP}CG+GGtn2Kx+izYYo;e!HynEOVgLj4*bHN#paeDbF5X1#*I0H2?ubw08Q z3gmtIWJQw@!Bj%6Y z`cP_&n3&0#S7*2RZ8Z(7N%(p)Ir{BL@xEd@F5y7z=^UfAqvUwZOxAdfNR6tZ2ujB2VQ;4YA~uO9=*u4D3*kfJ-jP z#)SZFb7lgZ-3kKw8v=|wHf(SjP{Zyqq!ONa0s%eu0wS7dfGYsox`(f}vu#xfz|*o$ zIKsd`W(>~&B`%&mT{(s^B zrrQ=i`hOVsb3be7VLRD8#{&>+mizM^E&A@v&6h{X9>I2A4P!Qd)M<=uBZJI5ZP?phdTWA~6NpHovOTpVKISxMJ^9~t z=Ei)HNG3l1H^MRcKCtJmNT6v!PS>+~#0K(^!?u_4oVEfLBhARyhF`|+@K_j*Rm>tvYgUA^lV}Z`-cH>zn zj2|C}1l|CR2+mh5fUt_afFT~jf**jS#hV7YV+^LvZJva%Y?55_7!Fcn9V>5p#c*!S zVaRW>=Oo~Pg0L2?jmsE6Qt)`fi6d{Yfp&vEJV`nAyaFGnh6VF6V|Vc>7vBf%7z=x% z^~udUEbJMcFp1-CJ=SBK+0Ay;VFR(3mu2UGvDvQR!JgJHQIR$(;goIL#{q-Deh2<; z9WYflkQJV!2lx3ob}S7B0ti&XJb=Ty@f+8(tb_kJLbALL9!6LLxP#+K-4-II5FboG zO(Lxmf$9LWR9b3BZ#PlTXLmTj4Ip+tU_1E)v@q-twmKU>;l$eWgzk;yBY-2$ zz%%n>@mK&s!Qv)p^v=c#rHn7QYP^*V{6PL&b(2Qd0vRAnfoP_U^bye@ zS{*NK!S}i0%$6sBh!#;RF7Jpn!d`W`!gRwt1aOnG7<@?zHp1ARw1eMZ@CKK*I8%mspLl|SBf%5kB)1&E z4L$|>;bEVw(UBoza7*82v9PdCaEh15cghB!R5u{%Z;VDi+b=|Gj|o*!m9iaXujvqV zhm3ls*p&-_o}J|j{McOcd$=Oel{LXV4=ZYmXZ5&u{(Rys18(f_i%_9>F`d{2@uuVk zmpchrrf&%>+zbBzDl`9K;RAS$ErkT2@vl-EYvhSF8G@7>?7rvGG0O%tR=EV<{4<36^>6=`2=`kM4xMum!A2#PdiKqqdo;KT)Bsv| zR0Vd-`Y8R`t4%;~1nwSN%VCcDJm=0=ZH0x09pKo|?Af^uMP6Vt|4AOrcj01v+~jA+4&LqUzdlTn16X7D%dw#D6i=9y}n zlWn(rYnWSsHQ;;p;u~(iFl>j7&w20Voj-{rpj##~Nc;(hMqv1B+NGrgVVfI|#da)+Z!D2l8H$u$7!h6RG`^5i< zqy&VC@mKI2Rf)Y|rKcUGc|NTIr)P&%%>ZC+u`DdQ2r(-V!^jNQ1D(!t1q1t*A7v~z zIrfQ%z!+O$H~Fteo&?}$OKk@!#>qkxV-v9mWb*TrW^f0<#UEG`?;6fKgAtg2a96?h zqZpMhfYdcMun+$D6aHk0s&u{K4;l#kfiIb7F)AlUbrGfC;3pOWIPilu*a`E16Zq5X z0kQ*(;LnPOJXWa>E&>1PNd^);?5u$w6{xLX;IY`$2Ke+Bor*r)Z1V(s@E$}Y&TbBZ z=;;9;VNX+}Iq`D<-w&h;xYQQ*Y`hYt_7Qvt;)Gv>N&y}|1)rM-u&AjC_F#{ayFqFy z3VZxkp@KxG9TGDW;>n>AgMeG$Lx{w8Vl>Eo<3!~MDCiC-AJBSZth}g0P;LIPN!bE; zmMPG6f(7g^RfrQi+#TwV4Mj=!p7<{YV<7@HBTp z+1iXWcP7Nvgy_OZU{=<48MbrYhsReiMaUIw2@Ep$5M0*80jkuC9f36%m}jUknA_iR%OH!#WAAv(4wgsW z6-9ney%&2%lr#|a(T_9uojn|Vc-w8k9QWsVpydavG#^L|zfTwYD));pXI9&x>QH{+ zj7gE1AU)j+t^5TO#g3-pg1-Ye5H~SHf54QXK>%2Gd>C6|?laFrX#{f<{DHoEHW6&1 znt{KaP?>u^&|?%Di``ieWI$IM01MrrPlPB045S6mfV1PV#J3K`KEnvI&C|!xJ5bv*Q53ip5D#!acH)utaq74e8|dFgXwn@r5zLs92_= zvF)(=LIJr zOn`M|->%yC0{}!LP9Pmui(*ucU-L=?8-y#|15mqru*#V|=xkdN{vM)IA&%#1H-y3s z*a5QeXxAr81_vZW2GjR4_*oBdH(*3)DIV?`kKxCp)^`g72it+TzV%*id)iDejJ5`p z@npSiP9QfxgnASih?US2Gc+2WZLv%w-e?bcGEQV2OALRrETl#EdkdF@rQ*VF4ujNv zB8bMqId|N(<@|I6i3mAv&K9A9cm!+a#xGz$a0TZARFh2?NP=-9oQJ4oXvcSabNxV*S~~u zziS`9`+vZ-|Nh~}Z+`gfFF*e9?H~B}3+298iD}|2%TQjqD~KVFd0e4meF2Kqb3uR% z5bXf%a9;=71m29N2&@Q(4eD;jieGq(utHpBVkdg}6vU+6oK6X*4yqKSPAI#XY@Wyl zaE&}q#v!(ldE2o_l7Ti=i#Q5~uo^*HR`j?A5EEt$ko;1rt?w+JKQ|b5sM>j zK_sc!xnG4Sr5qEW1W<((QjGh&d@q+F-oxYc*Z^uipdA?zJzes-pdiO4h9m(GJ7kYL z1_24i$az=~L8_P}#s}@=r9lofSaecr1CznOaSkxZZo}~1J33iDi4l*xgM>p_SJCTP zz$Shi4u^%}^P)xk9z#KGXH$3xAZsK3$vA8v8e*A51^wQ|6ULoX3xN9bWY3Cj(z zyb!3cQ3plKwYWMz#gi|;`tomZroV+KzXnlY{z%k3^pHgArdWL(`x9U?brfL=FV$JQ zu5%&*T8cOEo`8W5-*BE}*-}(xfVM;Z8ZhbYA#b}b@pP8S=bOJ<2tyEwRi8JI$dG1C z=|o3O!an#0{+7AUwB~UG{1K--fES*_Ar)f$D8bOjGeUV2e96C3*s#(Q%igTPpdc{# zEjBs=45rU(5tvyB&#;tWfq%mFm;-`x5vUy@N$_$WGb=-uw{UgbDL^LXdB9x&*>)4~ zc3+k7CA#Y^3h=JnG&t1!6upFzoY+dr!y6)(BdTJa7e1{7x5)!xMySRZdfQJWc3?XG zENCi}F(~q$#YR}+I#>WdZOevN+(SFkG93?g;J;&~fv_F(fPWC7IKlzcUVtauVPrTZ zOhPFs;Kh`UDAioiNeP71PJP5mWSdvk=at7+ihtmd#Oj8Y2XBLy>ary~KySM@aTFLI z>cpYCW=3A4CzSU=L0=-_+PL7LhowEcxgZxj91#mvXwek`;bpc0n^*t?kcy+60GmyT z7mmu=dGN)t#r(8l9ssM9XGCNb2H~p_#Grk`7C~dtjZ?I&c@G7Jy6>6Sm-^zxGT5CU zQpINC8*xp!?3;D#UJ4SHk?LmV3ugBBZ1ygLAP_hS3N#X&=EP9oNdb4B2(aXY5qQK7 z)rGeJqFW^LxN5{TmD59A6Lq3ZL|9)hDKIn6OJ^QDAZFim`h%7rFlGRp$cAOPO`0n^ zNITHnuyXxYAb}%xw&)Vc=oP&22_4(ef%+G62NtY@Q~b2&y7lXV|2lXb%oxAADjHeY z^U^y};#2wc_rL!8-~J*sd1Zb6@a?DPU5k?(O~=8+{{3uN88267cyJBWDCiA~Ev$EK z7r`90iDTfp=cq0mxCKmsRW)0#1O=s_%@C z^9;MqDB*d>TcpT$zh%*!!q(~rz*P(YcIEJ&zM;Xju5?0fe&Swuex^)440z)%Z5&k*=F35;^ zq{S?JfyfOJ8)ya;ufh!x1Ho=QNCFVOax_UYZ`LreiUTh?GT*5MZnqU0hLTo(X4Jie z3l1h|@-Q-J2+DLFcm@9s6pIsgoI^+6i-#cCYQOVy+`v^54*?Cp2_5iXpoY$i-w(WW z2FVHzcL5YgT7(*pmQlYTBuxDVfgvKc3nxl}1HQD(#{><0?HCavf?*)kVf?4__X37> z;MK9pQzwW#0KB#;LDLcI4npj9$Xq{SV{LTY_2^_Bh`5)N{2x9nY9Y;W;dXg!+3mi+ENeDm!eKYaVyU;g~Z58wRo z{Xcy8!-w}o?U(XdR2#$zoqik>izejN5$j$Pp2K#aiZ3`S(c?h@qV5hjmo~+ueX@u6 z3Zf?ZMu3<~8N2M*Q-t$ymnSgW3qYvWS#CUXc=&kEMR)Dr(aD0FBTLl`!2{px~ zk?+TeOBbCG1GRv8e>*waQ54A5rt=;jA(|df24;?OW;|*;x(~QC5L=*bual!Hmqyzv ztH7Sg9{iZ9FR40Ju~|YMmj*wSNH_V|9)AsoZbXCL0hlu3L5`F#73WaTeA@kp{DSZbN72yM&ek} zJ{(aeu-2J-6ts6Jvz{!h)f4FbQTM>)c_8Mq+h7UnjAtj>HxS8pL!4et?Aj>|KoQiX zCiazPMP9(ZkaO;!1K(HiuG}j-1#f6cMG==BC1E^Bi${dK zsz?n$wmXEkyaFx`tb-%f$LLVJE_ECM=_}U@ZFbsAhZ0Z`owG*RMU}B4gxIfI*ZJ#( zb`T?}0F{pZw8s$zj}-%U&q^!vhma}rGZg#!w_p5nKID&o{qWs?{qX%~-+uR(Z+`#d zJMH*fXHAi{W;s_~j8R=oQ;ElMcfeYa{_wzv(iQ^jonS+FnP*X541W_YEH-SmT&j!d zZ*?(HDb>ZCZ|6GqP|+X3vzJvD;{g_4;C|J`^x5Au#kNkSu@upE)Fq#}ziMN^h*cXS zQqJ@8A-GbW(9GStjJ*Lw`@y&CpkPz649gS&Mkno>8Y0P>9#tAsR2oxcGXgPT7<-9& zWs9;DHn0KHy3@XavMP-s7~!WK>4Oy@b<$gDOe{<3O(hqD`eSgPrGFr74D@ z5F8K$`e>_O`DvjxjDWD0D zK;T1C#Qc7a>W zOe40OkIwPHn8A}r2|X|v=-+Ogkj1kk6cSE+1D_LRGhaC4Be-!!tK(lCsn}O_jCZic z8z1wkj#2Ilx_}JIuj&|8$5|-~Jg%#Z1))&Df78yXjLBPd99lHMBta*0-i^f;$9Jgt zaKFl9CJZy|dg8L>Q-A&Zi_d@a zH}VZ{yyKsq4*v>|g=j^ccsfx;mmi}#sLI>mP8X3=YQeJQIBk8Dcb+HoFGs{1-$9`F zwe8zOWOT*jj}d0NSA}mPvKgL`8zn`w9SuLixrzv_R3{OM=ubQd2Wl;ijV+h5PGTr0 z!Q349lCg5cPE5u94u>=#(y$Wt#t;st(p%z$<@e)02|jHTDPYHZcq1t)gqT3c0fYc7 z$c~a5-gyEsR!8CDC}Uhl8%uUP<*MV^AjHP*W1j7ZbZMXyr7&^8&5hwg$)z&3m>%hHLWb0BRybZrN>N8}+6Ti?!30Bna>_72;`SgU9Xgx{gcO?s>TW z>QLX|sETTQNP=m=o}lYUn+6MQK#XD+J4XO3O}++MWp_@C*?1OF_^%oQ*pqQ8X*WvL zqHhnSLLG>b@_+vOFM$)~9NrPceD=Hi;fHTO{QmnNzkfjoz~R_rXjKzHLd}qz6-NRjc9HYESt zNVa?BoQYsb3?f}p=R_2ce;z8WRU{xVV)!i1d5mL!&pNoU;*?H3qKd$E7TM;tTSy;v znUu>sFvnHhhI~?)y%dpB;bw)1Us`sz&?v2l)RtfA}ZGX#e)J_Q&sk`0?M>Kh!_}+t19O ze*F2jefGmQfBq8;GV;}5xDN|WIln#GOoQhI?-l%;4imSeX zl>wZcP8c@FLY=TJ)$u2&%gnW%=?y- zmu`V&xnuSBxEVoE5EazPd8(*aXCc6f5+hL5ti;G&4uOtu$Q~YaJ6^iQq&oqIB_3$M z{e?QHoupsJpL3;1)x%uwR=qOdpL-6A}+*vWdQ=zF*wOJwJ_7!$w+H>QLFqGK1UqC!JqV;Qva%!xFfmi{6TYoeW>hRu2iNOf z2&7VF$?BK@pe=cxX`Q)Fizk^!y&Yz(-X0JtU5J3=YSSY@iNNvX2d&;7qL*0S@lm}1 zJ`?p~EcpG_+oRfk!kv_ad8_T0dU>nuHw%9vZy6w5Apx+fw?_)%t+j_JL)g6Wndq=7 zQy}JrU<$p``2bWSt9`4_i6AaoDtnf=jZ?inJ~(GxEvi%TtDe7~dDT-`^a#MC_Lkh> zx2yR~b!MQo%|@$^8Ewi;5Pj6qfx}^7s`bwRBGELYMoBlb^qb!lS_3l!yyE^sWL5O?CP8!CCmjusu4`fuvNp zm}!CVZZgK9xSv)5C{p53HAT>YX+zr(B8blzqim|B4Z zKgxz{#QXT^z!Z?FfZdCkyberRHU$Qq3mwWDj^(@Sz?7dIpD>-e67lyFp_y)cHR-@~ zKrt*J1KHDoX@{jBN)_$w0e_LbI_C0@!W>{O4g{)-O!B7bd>wdV;uwS84GKARUTRSJ zFJgjw!!e0AtMP6kd&_1C2bc6Moynsag!Ha{AL71sSh%#Y}+7<8@dHF~RdI zf4rmZl=SQE!M1H;!OH>T>G_O!omW{9L}-=$m=exSWsE}y)`YYfAT=L(33TbFqf!Jz zVPRJ4RCx_Ge!`?*N2RJ3QW*oLdBI(fp^6_Lk4jNuP_`;IBVzLGD(4ELsF4H4LPRv- zZ0h*ghJoOn{TGf(&sa-5izJYFz?5V^9+k$|QR!6EjoVb{uA|bRMi+vdn$&FcUD$vE zWgB*g{QY7ks~jWhFsk#DqqgKoYN^}iJ>F8NV8h%iUS6djn^M;U)X_PXYLc$kNhurK zFiLYH*-;y>_9_FPSqWP{NV)_v5}q^JE2;{^uhWuK3&CfC1@N978DP}A(RZV2`E}Hw zlBTW$x?Qm%C!OQ)Up$OxhKqzC)r&u=d!VW1W30-;iIYl& z>HIv_TYa)XWF|^^9g@ZtZk4iK>VD0+7YFRJAy>X32Ad8QO)NT3y#bb(Lb)%bJ7g_s zEsaHH;kfhBxfTrCAyjmjKrD!pAhdFto4wV&f(}!O%j?)gbgiM=+d|&J7}b_bf8qvDMgfiW*dra7)EZHcd7J&9%?*gK``BWHA(lO{z;Jc1qKzLTi zsl5#Y>_>%v*uy^7tIjEA42eJjj1&LwD{U4I3e<(J+@x4%)(JhVbw46&G71kDv#OG< zjqELS6($Jm5uj~A*xHNr+ujae`4ZK(z@g&x5W)Mg@6bex9CX+0`GP2(VZv%B=rU9! zte)fx|I|_|!_M1vooqMBM%$LG;h%0~3+kXT0C_?UtV9(a(oc9C9(`5S1S)@FHV!!S{7rKYj(aE6`=rxnJL=e*o2wza@hn3)0C^_j z!pg@1XAY}aMY8v(s~~6IDrOcZonxhqRzr3>?OQ{(4x8`|jLP<`B3^dVAMXv>fcvAS z$dYfbhHM2fjVl@QqinVpnS&y&Fam* z0BJSYVdT49F+v6HC@RnGrYr@g^IOQk_G-?4OCU9ss(jMPXx@9XHJw2Tq%u^X+*Sf< zR|f>`(-g`@Gegol(-(-5?6u=2bMy{yS~dDw^GX)|~A@ ziWvdJYgVZ^J@b}f5ZDEB_(FUG5nTpX@4VAaSOrr_{-;SS#WKVnR&A#7R8YB_A z4Rd&#&G42#dgEwmTLNz7kJP*H>do#~eLH-rR-IjNh?}Rp&l`kRQkkb|JIpsLeRQzJ zFg9g_N8oiZ1I=b|L{C21u$@_aGpPHtoswZ{5=U>dlMn%DY*hlTq9(S2WvC{gBR7kR z6)Nfnf>cpeL~UYv!t<*$%6}3w`*>4tUw!q9=TE<+-E{h-CbE6$G#^z0_n>4=aPoob zVtt++4WMJB^CZ5_b`NwwGY>T3?oSW0O24U11u7cK0U8}kDYQPDh}W9eqS{u}>Sd9z zk0%P8)Ah+uQ5(kDQ#n1+XT$!gA_!DJ>Ew{8^s_T0tOrOxRYLkUoCBVbRp4#G6l`1iKL$)4GWj5#S zp6>6mIzvwaF?(l96L;8QYRl^`tPm*X zV6QnPB>ZaU&tsj*t6~kguPF^iXQB{S2*EmwCJL?Ud%kCwdOf(ZvN?R=S+16EHTVrE zaUmqtQi!4^x|=`;dp7t*&Bwilw49C~E_y~d>#B&<=@UF^4)qgCt`+mlTZ1;>)(A=B z4xFGMbBNGB?QgX%>fqDX-O6>$fGgtQ&LOt$sPK|@>5d|_pQ)eMTe+HW=_d$4Edj}> z_}lsr#9Z^vdWpE)Lq|_mT}=UoBrFYtB}t|IELr7^QfWuFFj8Jj0HCUQ|KexRIX+Bs z6OQ}wxM&^R#g_bDo*Uc0wyYAv@~HpI)dL==%z?=WiN4!aGk>WeDEPbj9TMa;s%7ZS z+f+L!F#x%?#dHWyvYG%@k|&h|reL&A>(f_`t|O0$nUW4=bqC^n(3GL{@5`aT{O#Xr z`ul|g$7AG{_h-FK>v_94C|`_AR7x zcqMbQ65zyCg#c94m35HLf)V06+G8MHS&0LBW1#*>MHP&lRa9KT60UIz?hLL8t^)*j zg1b8e0)xxo?iwIyaJNB&yL$-E5L|-0J6!JLxew>uhyA#F?NzIGS9Mj__nX7n(XhA* zQlP_comQucvBFoLQpP|Xd=%n02Gq?gszH%?zgua1)6fL9vaEQW8axU1vu5 zW0A)fOUWZbL-bJ?T#&3cZjjA;kC|MpxxZg@k?NPL=> ztF8oi&B-zF9PX1n{vzIy->%jaekGy|?de)^#a@N1PA3_~&4S_Y=kE*ay9&mWG)+FfL1-_iGfRHQ1Or^8y;Q2es*`%x>Ur89O|x$BAkXxwwJbsn|b3 z?cwIy_}xyggzjCh8GQ z4q_!Ki)sq-4~`1m%GrlQN4H1nYFbzyqX{g!5Bdjskuj#V?EXtzPX+vH6*fl$>m8FJR zl6v#@D_=Gxk-Fe3d62;Q(QABez|9U+A^7>`U5~;4DSqA8Mc^jD_jV6U^!c9E^S1pZ z0&D#h8gPHB67YE5|Lvh4>+R&l&H!$8o8*2FZ{tJHlqTtWIHg|i@G{f(lOMI&|Irqa zA1}M+5$shgj z{oXwVR`hQY(@q8;(jFGe@v8ya4D;2iu3_#j-gfaRDSeV7@U9A<9o^EFxg4S9uSNH4 zMYTr_UTNq+IJ}2XZ#Bi%JX4J<>eo17wV&hFmyZ{piWO19#3&wBDN(z@=cF-f9ezxn zcFoqwbuyFr`+wlsP!ETkp*Gu_laaK;P12-!Rse&Y!&tditk{^vKv_mu41}!7pcLhK z)SLWmLaf9yNS|@aMdE$eCQh2DuqeN^(*$I|IECMl{?=#=kwuxQN=fdS6@&)I^;K(; zw9$#5zQvg?WpSOU?pweH8z6lalijfW!94*^>p9hU%@v8%&lFM9^em)8tEu3ctHMDk z`I5;H5_qtqZ+yb!SRmcvZcT%b4`cs@1KBlGnbx4U2|bzhq*utON&BrHTc}gK|KR-N+>CJRCRO9op zPY>^?<;G}nc%&rbS)I#_z@F4&sn$+rX9yBUrKlosuk~68K_>KyhS^;|??w9_G3{bX zi<{RxsH$w|A3D~!zcl#K4I>>*NqqG-Bn5Uo*jri$1Pyq}WDmG`C_Sw#wA3AmpcH+2 zKd_vy-sSq;kDGM8y);w05wa>@n$zxGc$fqrQ^S7cSwv?cvBwEUW-(0_*cI9{X~FA(>f$qdxunAM@eYN0LbV(VKyTKTb|adbNMRs@=!u~ zI2rZ|?_3r;PX@17>3(X*RW!HeL`wfflqvS9FJRKsIHT#Nnj-<%h|Z%T`!mkYH*y<; z2=P;`k{@jI!CMkoNLOVd%7yI>X3FnfRp{ZLh~B{^T8AGzV+f_&#fJ6q73Tz)8AF|3 zmL$pj+CuG{5w`eW&ug2jSyFz#%@g4DgDJ|=72wcccu%TD9xI#)tg`Qq_w1K|Kqh)w z@=n`YIxD0zO}244qcT#bY~&N;HK7GF&U`_>U>>prOOXO*b1UMaii3+-*gG{9bYqo{ z#Qsauutq_}7Cyu;WnW(0P_hiQVUQJU&8qS8|90?b_?JWsidpalhSNuh^f7mX-+D(N)0UB zODFJ@1**_I)%zHf4z6W?-Zp zj|xr8I2}P0b(L7B@cCs|rDXn9#y6F+?Pf{po7D_Iax`$GH)uaDT;?;mnXQ#lf~8pN z!f^qt*Yw}s;v7KsZ$Y3GK7nvA4CqK;!I#(E@zfQG#$NlERRc6iHRpITlM6?sxo6Li zo4T+SJ_ei3exiJ>^|%QRPml7C6;?bc6yQ zkru;cl8Jovx00VA)@7935uv`41ILR~61Q9*6ZGG*jggho0(BG^-U ze7-K^7rICE#=ujmpIvn*J0pbn3q4b^7QjHHnqLVr=^Le?SvTEk)Rdb;85t&f5)hJQ zIcJsjM!cNQz`$?Gu8sDzuv)*3*a#EF8o~oM0dVJ6D<74?*?|p6bkZ@YRZyvs%grwS z;>z#70{m2@9&4rr0G}+ipLo$@72hZ#XROT$M41ai$@h@ z^{-k=EaNqubbz+a)@({TiQZ668+Tw{<3F))%L2>jseNa03RNk2%`jftjar^W*!A`fOUi*h~~sg~sYkx^kGcN{iHiMs};I zzFHjvDSkqCDu)I)GqUJlIuAL!{MJQQ#C#yqMZjwSl>|-ukOSlN2bRD1Zl>RSCa~aW zb;xTvcj~%cpNWt;pC!y6fwp8oNilbgtI~RTNgu3PNJas&(%fKto?YGPg{cAVvo%mn za3?mA6tMeivsZ@@WV5tmuiPk&2)W(YQM)QWD3sI?oDaLs*ma>EuYuk>xuwUAI(k(T zj#&X;7`sx4DlWKii#6wtUm&a%cm?cYJ;qAgr*fQCuT}-&E@C+GzY`Rd;j6IvpvXFhumv~y(F%<-JIF_n4zi)X zpWF7l1o>H0$+me=S0!FK1{QhP2#f<$%I{3-oOw|3PsP>0f<=|REEvBkXm;E!*yR_F zzJN?RXEDKfqlek-b|O6lKqHN?B|V!VJkuGsL^b*o3AQmsMS3)zt18<+nOt&*-xjrX zG<`&qmY^M`1DeZ;2vWw+%C6r;2!YG0b2+6sO{SB=yh^oFv>UR`aQWfNp-U*_@!<%Q z^60b;hC!+;F$~x|Dci~zgAStEvIjwbG)~ahsyW$EekoXT>^j@F?CO4050!3I@0app zNnoXa>2q7#^2B`I>SwZEV<;+dvmE|b2iU);wUOW@RSdGAE2l=muf{Ie^{~>JJ4K z>|haJ?%P&c#OIf|mBy7dOGRw%DNT!Kz|X*uB*8+hNb(?TdwO@xS1)`FHm3xr@OAmz zKVNJ{|N5~%NNcpR^arfT&-O!iPFRZ{rsuzYXlP(Kkx1hVDgUilr6P#3%HQ73CqXQ8 zE3!jM17l!{I)&Lc)`{q=V8L+e8I?akY8F{nijqx+atXvYv(w8av57bPiCv`N*C4J; zBC~w)Bh>QLxV&8d&j6k%G8zH7aVX6Q#{Tx=u1lRKkuJgUMtN_!$zKZxq7IeqC-aTE zV<#r7!wS$Z(0pBm82ZsO+wR2p6RL{&4PY$F}?_$<&Vo!X;ew;Ka| zro_N;Bz5QYWu_9prXlw^u23YI;`c-t_o6wPEC78a(w9_Z3SrW3R~mzxpyOK zz4_2;tqO^3^OVhW-tird%;ky=4G__gJ*y_g)z5qaB!_sOg1p!8&1>+StE3=$EHF9H z-lN+ViunBBk|GMqtLZqU^KCey_4A~V3u?)2ji&exmLNBowRF!2f|U(904`P4E_ zVjCG9$&5(ql*QO`3!zMukX>bM3~BWM33r4-HybzRXFbnLKow!z-p?=T&Y|>lx?{GOGuij~5yCNKEu8ERs|}2@|Nb8wOF0 zKj;=O+Hw*3Eo|9nY*0oM;}`0YlOw!|Ry=}ziFlvACHuI0fZ9HTP*XqHkExv(h#j%iCHbT zKkg*~0r)P?baExld2G&j%;R!;Jn9vrS?o;VKOa1Q5u2@O-8u-QGEbKUQ6X7zr|BSZ zPbx8*;wdcnB7hl48iQoDF3OBjON0ELl22J&T$HycF@19d8g1l0gZg@%9mq{PwJN80 z?)qcCW&8ks1&da-3Wu9%=N^%@FM{TJ^Xs&(Xin#&+Z<5T`1fC%GQhD__#?G5z5<`T z58jJA*(so^gu(4w0mGkn(j5Ld7h;uqYIDqq}fxwaU>Z5f)P@8{18o&tf% z^=0W&FYd!$jlHrX3;DDeN3lbi)H(@2mk6$G^kP_^V<{F=o$ zs$Dc8MZTbyywb%S2n0{MHYlX@^FmtiY@14>xhL|2;*L|uQRAvI-u4#7tT3VxbW(nJ z6jo+9I^BMvxSvFBXlt+hz+B;o%o6AsU~Aq3_g1odySJtvg()a@%gBz#sL2<*8sCsB zrHv9ZT@<5wSS_OmrUGFPuT4B+lH2WPA+=2m1r4@mz z*GmJ4r_Z-UryW=!=_U#|x)uHF0OUJ!uatrqT#WEY5*?R)dDOA=E7!F4AQ~9}1^@Sv zUUzZJx-lfsBcgfvK9!cnM9i)e(rAZYMTnTaUwxS*-NAA3Q$^xx#nQA8(<^fbg#h8L zv5p~r+^|TLfVRD*k`mFf+F6HkL_(32S@s3%W@(UMDD6ZO6m`L#W?iQW{t;yl#jLm+ zvSoB;2^QUEx*z*~|T&Co#R0*T2w$BLa~JJppSaS)A__ zY^J#iEpvzc0g%-$nl<9o^TU`l@K;?r>tXjXZoE7;{NxU4O#=ZzV*?6^zASUhGY?vI z=e!mwi4J^5aqmpN6_B+h$+R-xlA}*M%WiUcaDuT8n0o!mK=Nq$tLx60j_8qVS+!xD z4zFRoH3HFDv{g!uHqpxGSEvsGX6~-P@>ZD($YOQ&^1%A4NC3GsC_2=cWL#?6MdIfZ8)%cJTl>L;IoS`h3qg(MD zXpj8JH09_|0U*!A>c6HS{E}Jh5@SIiw926X=ZIXT{99Cn$cYO6rI*XDd@{nw{ga3kA#->aU;&YT`-SDtP%9Gwqz5pus@VV0B?8TV5?{LTxJ zG+7C%%8KaJin1(Vv~<7@LFS6#pE|y;g|3-s@HLtk%SPA}e9pGB;i_AoI|)NY?uDk8MkvU><}DYuwj6$j;#c3A<4-k|G7B6veAZm$Dn0z#^U*E=H)cqO zA&DqG)QrGqH-z3()oQVZvN+8a+H2I-=gVd(E*|t?iuOg}HK3BWSAn}9d;)6-D+zV# zjQV=XTK-c}NV4fj>j^VZmY|^8$|C|Jw0bvN2`4zcy!WRw^tYXa<>Hl_O~m^}aM-II z@qR+(QVoz1}v@ zVPKg4%ltgm>=M4i`_asia#rCzIjGs!)I!#mpaF+MM3jb^0et!GGok%7i*X)YC1Iv*-_J0K(kB11Beo0;6F{Mu+j#F;ICG3&An zML}Kg7Tf)3`z66uAMCyJc@DC*5>u?TK*tBIls0AV5cVljb+sxsvRPdSkek2yXYZ$0 zwy+INx;(DN1QXU;0g0>=)8Pr$YXIbCZZ(=UGUttnTQ8|9WD_snNlEcjK+z5U2cT|OUJu7!cI zwpDy#^5zDrHSnu_`p~)XZ(753s&go?52xM-PHYOczvzL?E2+NviCt6~gO_WyIltGg z>M*zT&CS~B_5GO^8mxv5xGHVe_bj>7)`cvAq>68R(jT7nK34YJ&7=Cnm6HbZ-oPAw z+X{2k2muoX$vH}f#CLP@EJE-I=-N|Vu~~wroSuY6^(oz zK)=qVi@Bx#+=>8o>(LWp)Xgi#O>VHvsuc`|>LHL%2kL|#e{dxMgqPmr^Zh)RysqLD zRlRl2#{gG=ksxsQ#2u^y-#M0G6zcCQz|&$Kd1PySKJla9J7zbnBBz`lhSoOpx{d+S zgEd-HLw;8~n&E&~(SHo&7XHfyNzv9cM>%H|T)uk}%y2wrQY73y{?$p4;HCt^v^5vH z#wYc-L#pqO8Xri@OE&8oc?%)&d3XtyZ%=1~AaU<7)_5BYObPpFb%~o?wl7x<{vIF) zWB?r`2juQ>f{XkJ6r(X{Z4ErCyhNHzEQPeeI3IZpQ+DA=D2(a|K0dp_Teua?1MyTW zHNa3C6K|_@t8zTWJ+*SX?7&a9&R`>1;`r$tFc_!rKz>;jjidU8tP6qw6RD{|!ppVv z{aAf32-m>LhOQoti9uj8AC}n|+Abd69Q;qczQLPj;_+xUM~{5}b7ek3V;QSLSG)YM z)^}_)E**NH8hs;2UR%_n%)~_&gCX*qv$cbw=>ZRL?#P=^T1|-QIB7D=2@~V{G<^^W z<$Usqb&~-*jM2CyhV5~LRRIL!ixL-!Ee44H>eRihvobC3RUhKjnURtPG>M9=t-?il zetk**@ZgQJ#C<6N0-gepm<2>MW`zUvU9F8mFjk4fBqw1*FblaGDc=l-f}R;oFL8UFOk!A-y^db^id4ShREwI38)t+?oD3M&z( zuRclVpcHsftJ1YWJyc7Nc|o#VU)2hX*)D6<gV!nGcx<#{4vDcTeH59kL?k zC?`!?0~*d1YDS65kDOiko>$WTv|XalANn_|sWI{EuyY<8;??YWS;Rsm0h2bbm1w?e zAkk2Ejj_-dBC4De0^IZ^YtuYiN%`6JUL}E~0G@Rx?wzUr7|2~VuRrh9A1#Z%9Bfyz ziV(1JX?=#?YB~6Z){ezZF!3$ml`kzmYuZ2OzRs%54^?_Q?3K7!B%nj4rIO=!hIX_Z zjx$f!r5ZAuLE8^?OP&?!+Ncs*q>hd#Q(n!NrWS8@tf&Cn%GscC$Yvh!R$n}nR`N){dRSciArllg3t3q7)3>dR9%TBh8UVwkZ&Iah z0U;^c?3H;`N@k$3Oa zhpNNctsQ!YXp=m10KCuUWGg)xWP{2EAqO+$1TFV{vO@7AhniR_ae^cF8Nw0PLGx4% zn1zX|dyU?aVB-Urpp!0Jb}Hi7#*clQJ3-Dx3}&^atP8ZbZuIxnO)OKVahntw&L!i3 zO6dLQiRz2#Jf@ice5rt&Z0}ZztcE@N(3TEf-C;+JRp^Emj368S8rp?PW2dPS;iz=! zuCJnSjF$5mL1#783Q@DjZnco+dlAT&@%mF+)O_Q1OR$|9RGxXWIOxu%OJ$5Os|;fF z5^|y&q7TIv!1tbeVabTu^3sB9br>NpC`Fb>E^AZv2sOquIQ1-hP?OAKo8PJ?6?Y(T z%`7Zcu$KW*%~gGyd5FxN5BHG_bE{_v=L3ivSnP`dwCp||Oj^~Nefeg@e4cT|Met@s z%!EGqsjYZAJ~C$i_IxPhdu5gaZnJcb?P%>}T}eDXf0D}4FSf}sQHE9X8PW_&!;H2s zvf#NkI~{M~&9y@q?SDK{Z1ZMPs~$+2pWw$^_Qfd0xNY00REa8{XCV0JA zHhDW74|pJSzbX}dyXaSuzJKpqwzI#B%0u7w{OKn^GsW?2XL@%cHZBPcHEOB)NsV`A zSdF>`k1=e;9YNcqzeu&i64+``1;V=dj4VPW8C_}VqlqD|tH$*@wcvfLw7X^71(uTY zi^~jk@#qaVFQv5(w58$U4^j^YsC$eGkzMP?wA2}4VK3I8jqYb%TCF0&LF4AdTG$IL6hw7ZM~&sZ}v)2LR$W$O_hCIIm!(NrTxQ@$F)kvMX!KS)!udx zM**hRkV5!ny4EZ#ez)n(gZF_dGr4!Uo$k99Jo=qLpqmk%`IADZw(F4$U0=xb4&N>- zBxmNi=RBnoLm?nT362NN^%vSn{Zgvdeu`rXHvha| z4~$mqk_Tk^N)H*{@5Z`pWv=X;X0ZzKeX--t0r=ips5;wfXbAZQJYGK9mnOh%_}x#z z4Dw*VifXBwM<&P4-$P>^xf3t9js#|%>ww3}1NF}XI0Z|IT4*Tg+1#1I0A?mE9vs){ zj{K(R=-cIEjfpk^4U$s?QBhKG|FHI6^)euR6f*p--SQ%vDWfBzzV~Dp5cn zzLpqnn17+=y|}aBeD80j^IyKyo)*f^+qM{4tb+wA_R(z#M)h!yDmVerB+Jb*6bsVB z+MOl`b}*4MFw%aRB2}3}(<;nc5NYYOSnP{C_sYl`$Y{tXK?GBUq!a4Po#u|`{9pC@ z4j81N@x9+25qSS$t8~pd;DSfn7<>eb5Vumyf{E9N)KHL`X+*?}%NH8Ig4c7nTsyn5 zwfplk$BemH&YYOHOJc`J+z5IZq&S=9MGQq8SQbn z!beol;_1`4VsrHt>`R#G= zt(VhB3wV7=R6V_Dw(h>nVaWrQ~M>81(9>p5{C}@hC+!PdJ5Xix!Pg)o#`~ z@L!#Oh{!bKZCh{1fo)}hC0{%3n~5v6MJak%gpB_Xv(Y+*-~|#LjA#&ux1rmf9TJpr z=eea|Q16O6)~;=n$mp20HbgJVOS)!VxF4}me@cMHmgtTnQEn_poC>&unqxs?GuIzb zGIndQR;vcA0?5cO9~?#B*1<*-7#Fiuo1RvKXORBxK*zWvkr+}xp zls3zr?c6$D01dx{TU(i~?xxT~B#_dW z0RGqc=^<#%XHz`K`SVC%*B|mWJ*!lwGY}GDE(LHGZ)b=%=9EvJQ1h=zaGF%t4?6di zqa0$XN_`Gh;tYp}w$vf|xcJeUv03loE(~y(+`%2#Ev4EZ)3_I*W(_Bhvrb6O0|cQe z2Hn#rl>IfXDL4I+5I%`hmfWn?D5o`)>*!dlsDY*AuDt}KOT%XE%lveC*kf%L=3cy; zP{8TXCiC&gIOC-g?W3Qf(t7zvp}bhAX04!M+kWNY$V(N!0UIH7Z z71rM`sfIOyaP?(C4;;T*exS z;r2_b3X8qMNig_ye(cq>vyD7|AXCh*8|~iojwwsKxtA%cApAz+Sh8Vj*h5VHc+Z;{hn+2q45z+T%jLu$%%AjNpC@1;aEUSMk zDKP{-9@;CBXG+g*^t0T><>xx5Vs5j5tge0Q?i>r(I&Y5+?Nf~Jj8|gL`82-U$9bP! zDIeZK%kCa|8EctH9ZX~w)2NU$q1U(7NyKoKZ=t6;*Wq8KZ{Z_V2~;ALZp;o%#_ugh&lTogYR;N$$FKZHmZp6~sqGFxA@Sr`0$CP1X5y zdR_y-lhs_(=`XB$huvzf`3^rh+4cp>xBXUprdsY+76|@CzsschL-aO|daE> z%SlrtF|jo#bX`#aCAJ1|02ps^8zt7n8~^9$u0Sm z2(&$&0e2KU>22uv2US58-?+}U*H9OAEsPU=XBlfGS5v{=yx;BIC_TH6ZC89of>yO` z)_|vZf*U;R_rO!5x{k7-j8eHvTy975OG^(e41q@H0=2c_PD!(+48pSLJRQHBAAk1= z;3FxO!uFS|8pZa>Zf{|x0C!yqEMfIzIzk-|U|b&TzY8rMhI+E7!-7004%lk~v)|vp z11w$`t+6(Y2-4Sm^3)@kGSvYxB|p-cW|vlXg?XPWFL&HEIN;BO0XaB2%1DW(`P&wS zDre<=P)RT$g!u}knFycaKCA#wJMG*pjjCL5HgJ(DmPZc6d+7y$HwL;u?f zLA^dNo8WG%yalbYs`2Bhf3a;(%mb%>6^ldxZ{2bjJoKtnxDk`>%4PCeseM^#4miP= zLS=l}QS*7EdsPluS{lW;PDXL1YMOIb3kGP-?XnD}Z4eVCE_a(7Gfd4A8FFObZua$> znsG~%y~`JoVDheSmKeZ%Jii#{E+y3Y{Ko3i7#fD<(6ru6rs);Xtyna7sm%~MhRAsfxbxv19HIB5lP8ZN{BD_+Zf z$t)$dT<>rjk=wv(-M;|tzRdh`T2(IXvqa~q>USM>D@~80)0jwUzMltUGA#>?q%GiQ zFzP3DY3}*wjDHUQF8HMdYH0T<5+8@wfjxA&)uneIC{|S6`|Mge*gVsjUCXHVb_3gw zys0rxzqCnx;WMUvLFKm%)n(xZMfp1S?M``-b7AeZr~F>Jb{0@ARNo^lk3(WhBf7f^%3 zF~|La@qCYR%VFF(Cr)Se^QJq6c^iYP+(w)v0-4y{|CkRzhaC}bnIh@n{i&d zy0>1%Bz|m#Hu2S7Lizy$fKs+bI4BCeHILp2=L8SVodfwftvo=UC=+V&LI-M z>YSwPdOW;yT=TtJ<#X(Mel&|eA6zbtR}t;@dwjThS?(XkVt?~|yMDYsIM`(0d05?k zm*_F3q+Ap2>hkgRdwsq?KYh5kIl0;-TVAB38$r@?ru)Lgq>0&&6alc_R9SdB-%6#1f?}%h+mVPF1F= zY?-*S-$LIHB)1rBoIkrJh;(Qf$ZeI|QCsbB&We@)RxeXu(w+WsWB<(pP~OOk`JJ_G zkM-WOsgv)nezSicXZANm($`HkP82x@e(N8*B5^vKRc5Rz(^!~_h`<$$eBG^?24`t0<6?jz!Ia-M`;k zZ>QI+T{<^$%Um4$xft?QKu9_IOulBh?@B?94~!CD&?ZT_HTCMxcIbC z?Agxqk8Iu=LC!F)$?CcYEh5RiAyFr4TKV+ev>~rlaOZ=REppm#O?cBWDzYgl6L;=F zb=;FJ?qf5$-?lO^GNuxSg7(_3_#0FV8^VvNQCgh5VCB~yO!tz&nkA%@;Uef_rf_X z*7C;?Cjze2`emnkAq(yZY_Yhs*OZtUixq1^TqYT=)zO2SoQs8(mtBcF|JO{{h>FKhC;lA=bspQspN4t-J<3$@$Y z69DEod|8bBh9Z>9A`BR39?;aQ6Ir$5w49$dFPRh3_aMoT51(uWS#ELQB5^!bCT0hF ztHM~3t|3f8Dp&?)ia%fj?9dP~lh@8ijjE$wmvEGd^aEj@w0mR``^G~;#>Rs6bwj?? zSAIwkSLjK1=>_D>KV~qf-g2U9qWTJo(X-WyoqtrtYweVQCK$_|U|Ne57ET(JWEXzV-)nGfYzf7*M3PMSel@bS>vprkO(Tz#l}T9{&{0`H+%i zMZtsuY`8~9r#|4Q;TUS=)e=F*IS}z!1girFNcYZ;`I_6agGdwMU?`xRHQtx+yaE2~ zhG)=2Fy~s&<#`Ec@zQlFThqAZ&>DwJq{iRJwhnEZM=Esd=|6uaBhv|*sHO9XYhTA0 zAg`Mn#Eh_R^H~e997v@&_Yf-BMlr` z`z&$I^qTAXtfRv@5XZQ6_vuLyjj_89NvyfZdc1?b3nR2`ae|AD`nf(gu8nN_!^U6# zTc)zk`~)2{0aPu`q(tam-Erma7_4hsi-vV-PQ-p;CQU%bpxQe3jLvj=3< zQ2P5mU+f&LZ*D%^9F$I~IKF)pe7>OW@O`cE7~5`V-;GFnYw2+Wy(yh^m%qGe3RZ|D z!r#H=%Cbk88qjgD{xuXHCwtgE;y@5P$18WJ{S&o_DuP7oCi!TXO+S2)laRl9oDjJ0 zKdo6yYdBHj3K&5)KpW_x=0uaQLSo&{u2RM5T1rs3e3^F|ubu8?TqfC&i}XYJWyzSo z*+85hQ$pQHJsq^{2_gylAl>9dy@fbH;@ET*EreAbIuMqS=q~nrW%53a;ygx}VA&(P z(S$8&6*(Pm^^J??`1|(wrI}|%c)VEf*GN@MQ!BA7wsut)75F|>D?)?fM=)Rdue~+*{ z%10SQ{y^^77)2MZgTIGJ1BWMtP4`uSXSF<{453;4kNS>?LnYp?r|M2y(FXkGGT2_P zug{bc<*ZC@RfAc~kujpP9*b&<#7~Yw{n}obLqwb|$%~ktlB|(&J8k_}N=C74C5!NV zp?ye(2qfxr$KU_pi;>2WZWAoPpz?3`9jDs;4#x@p+D8gAu=WL7kG<&kac`}h^T}L^ zyO?qQ%*&MbzE%kv9aPJU^##X14Z)dq&6u==ATo%!Np&NlkIk4k%spJ-F!rQty7r=r z!%%twfPZa^;rfxZ;4x!lsko)b4db+4YdRzHCt`c=xhJ8b1XJ)A3}*{MjQTZ_H1un{ z{(%TR90gdGTvDXdsit-K19wMVlwr=UzF<>YB&j%(83b-8QXE~9J+=PbSkl6D-2+v& z(=`Kjg3fip1G|qrum*#iGr>WB70su7`X0nqmxY4K_z|#pV{1E5GT^TXL}7{M(O|%a zwYm+A`1`oNxlZzB`2N2av3GXVq`!Z-!jDc{tv%%VFnq!OpLqr?qGWOrG7QWB`v1-| zIRBemLR^~BID;%s_~f3>F&6eHlejDHr&7^_jFM7Fd43|5xR5Ri!@#Hevra1=#K{#) zFVEcUgZTE-wo~u!)oqpc(ZC6)$=fc(QRMY;JK`NRv6(CS^1RI+@Nf;q3LwjUeSX2p zeZ4r?>wY^4@%1oV5Gq-^%Sd$x9W~4yMSFaf2Jbc6&T~TNG@Fd=&%ioH27V=tA#vqw zn+gAf9Ly?<4N^VXQ@`H3^4Uo4r7QKF_k zwNL~6!+FSY#~IGb+~&*TPC67XY5$Ml>HL$otCZjob-`7taPZ{5j#HKmWF<9WEE=vRjEHB za9WYB(9&G9jr#bnC5EnJ=xqhC(?$>IbZCo*4Ky`v;g8KU*wX zPq8(OYmoJt2lqeQ{7kz8Um3?K{kE`MxTRfiTuqsEKl1*nPz;&H2+!PAqrZs4(B$yia8aw0&(rvRTFu;0{V1!JmkcC7v72H6L|B8LkQ;gD}>FkShyE zBJXh?ucmOs7(qf4AQONdlZ}IZY1QS|szl7m`Ere*Q(xnIBG^JetaWK5&-|%gM+z7H z`jqGC62V^4wb1ofPEP-dal8Q9$kh2Y4xt!i11n=hLA({n(9Gk2r4UHf>FjT;?iVT` zE?dm8I%(n6Eo%fV6vDe~W5|BEopDJsUf`B&T>Hh|#%4HDPvdYv8^J9}(MFa>9;VZ5 zT|LO?ILSdz^v)ozfURfSNC=mbCB^ES2^I@AkhrJjcW+P3Z`ZpI6)5_l4NWi z_$(*yX-~kA>=Sseghr*@{0+;oW(I}6o@OZ7)#LPlB3@7%K#LtY?(&U~R$^2o?q;P; z%*Yo$)IPwtukdxLO@KYUF6ir_;|%%YkpR=TIZ?Ci^I zR>m>>q97&x2QD?O&PJ#3Bm#4G^K$zd zT!Hzad>D*13RW?2y-c^FD8aucn7CS9eCc z&QQR$sMdy8isQ%#W~NvL0hC2We%GQY@S?j{yF>0tJKF?tNOdlr=xtLec+!S5TT{jWP zA!a>929;hX7)~G-WFrs%UR;qj=#6rmZTz#joQOpf&pYgvecS|B<~d8MPxuPY+pNIy zk)I(%zF!WO#f-A;j5~EfK81AJq0Pw0ef{cPcpQxq)}p;8?JI!Yx-pKiv(v23jngVo z5?$Pr^95Nh_M6SX)u<*8h}+u`^0F*yw@B%aRl7gD=)7iE+`YNL`Mbvj@6x{ z*@~eN>4#ble75k3bqwR?k;ftVzQ6<)PzY5&BFAYZz%Y|arFet;&(J@nz7sg`u68s4 z_rF6w*MAHBM$^3@QgL*ojVF;o5%D@DybJ{~PoDOEYiVs zXJ!M_&8}bG?AxE3^j8gy?6r?>O2OTFcil790RrUgPdihhBd>e8tDCjQk7+yCzNb)= z_HuRRV@cb2!2GCD)Niy3Mt!Ey)-@uT%hM^G{V{Im4Sz&?pUgHzrLfl50G(wf9!^Gz3dt6(VgsPYTlg;8<-y`McT2CJEL zaCN(1X>|=BG&3_#BvI#h!^3CRUTeQlIq0XN z#4tTYe?q$0+rdD6kveX<8P|_2aypg$5{o=lIZ_`(=cXySX=Sx!<5tHu`%0Qw!xSk= zJ^)4`4V7g)@p@S1#NPZwn$FslrH7vJ?Tgn#r>GEH1m(-a!$E^+ZhYyhnLcJ({5}gN z>(sw+Q?iuk55v1c46u1n^@C1L?A+!Zc%N8xK?tT)y=7JyjJP^SSqqd04-bSdj(z-T zp=B}KMwu4h?vN{qnSXjQk?ByZTq+~gayLDiONTwNGfJvl+nM3Ztakh9|C1Hoe#5Np zeOJ^1zPrc&sy=c5H`lPAn2_%m!-m=L$)Qo9%AD8qW@O1+1@F5ta`%s@OPS;R?$vzu z@t0K3k8D1>wI6$(P6sdRTP#6J{M)OruAeWL;-|qq*;OIUcD4^cn02u^SD6Fj zDWqHThV1dp*(>S6d1k>3Rt3b1mJ4}`)fK$sbCt(q#xvXjFI2^JPGf1MRf&3tugldU19+F zKYtks@@2D&ce|+mf7pfRzu6^jVp=(bgE)NCwYq>WkAw+RIdx8=IeZ;yX_qgQc-Bmd zCZyPcd#y9jIB?Z27FtEkY)UW+lFe{I1w zzT-HJ8?o@F^wxjWREHXIG%YHADN0s|$=UGeXgJu|OqpWa5i)--{$V^rQWelc4tPcaw%H(BLBM#MG! zB%kAF-v$#y1dlsUR_D7;OqO4>$zC|xnm*oyxNcJhJVvlseP%~=vP5TX{9U#*n$27P z0{@?OMEz2F2SI~@>Bsrs{ssJRb_Ay_SC};8M4Ul2?h*C3i244Nu+W9?P5)?91OuiJ-Qy`I<4`3^nZ zkN1~(hAaNh`_qoMfwynhHm`@P?>YaE<%)-wO(Y^eucxPq(kkYX#ntulad!t>>_3-F zw>Igz<&8KAEK?k6duTG3I;OT2cX$`m(hYjU!A%L8({Wjm>6RtMOey#EG23ku#lFw< zF4dPtNIHrcTkQO=ympq0E>#pxixl0lU6Zi*Ra_V>n~gHrgA1;aHp&cEODZ-EEUV-| zuMSBVdIZ96+>dQ!&FF-qQNL7>xx9eURHUVVitHy`Tv zlH}-KP)o<+>>#U}+L&^~pfXFKhEY3-SgCy|XXfNoQ9WlL2F=4*Mtvix1-%?v*=QPX z=BR{>I1N>rW=UN`@m6u_jz#5@DgUpDg_eCa%W5|7tRzy)&Sq{UOUI1vW(?}|CI_6l zvL`l{DxEd&+|ZPxre@VpT4~9)ZPl7=ObgbAatktzwH}wWnabR5bG*6oTnB{&jnj#Y z6tmmoIw7}*4C(0M&@EK3e>TrMDY^Gj*d2N?kc}Weq;-}G^XjTDfZW^A(L)dCLwe0x z)H+&605OZ{w^>G$b8l`5lSC%7^xp-HXP0y_d)ai`L}V6R2IJ2!_v73Pb7OU8@8FxH-VKO)Tw~fO{?Q^O{cUGBe$oI$L39uV+}1 zT^@In9C|$ghD5$^-w{Ey^>usm=Enai*tA^J9o6%B_c5Zz@Av*W56s>AI2noK@BGSk zx6>nQQ={3&OAUb zb=LU0q_VNAs~F(55BFr;w2tJfG)c74q1OuKR>PGC<%0@!Rr$=&S>bmbdv^#a73P+g zn8D@zqDhX;kILv@d!o4VZRzm0+Ic0V>O^nFbpP-6($tVkxz@vm;$#5TU9dkoGYM-< zH?=XiV&eKiuZxVf3E1i&GMh6QlwVki{`9A;6bquXmac^&E#0Ekx6QL(0lseFxcl{f z0t{kbTDT_8zXMP@!B{nZFq7*DXkr^lVdo5*L)=dBVL&O!*l^9q1hV1-W???&i<{yr zmZBybOjeC;D}ju(gyAVxWBLq7fi5=^lw;T1PJ32Ubf9iScD5U=)cuw{n$2ohCR&6` zSxRBk!_KhhiOB`kOmYT|igRc%ERfMc*w7ZmbIE5kwR&Ls)Gd2` z6n#D}^jn4oA)nIwmyj+;KkE|?d|~0Qvydbh^`(5*QPGMSnXMg?GSw>`r{TQ8QQ15e z(u$g`Dkc~RF9Vaurtp-hKf{im2%xqzLlgUbxH}1CwFUUKO$R;d z&qo*QU*r8(pO2sWVQycE!8JX;aBw(A0tSIrACREtx;j-wfu4mLcIrQ5$X4h?9mNn| zFRVjLO7zukX~|xrrnR2Vcy?7@=_v`X1@tZXAh}qs>@OiBR8QZY9pjeZ{9G%JQ0^Cy83Of)W&`? zY69uxdYx|vZ55bnZq^@hnIphZUKCq>7S5I$y@Jx{?kx~(!0Yb&xR%z-*Fu)43L5S+ z3i7#gDZ+~`=0tQ7&OFguP-Mr9gL9VDlY~4XF>BTpO;Dy-PI~2F%UKr$$eT$IW5~yGsqAUI}RB!{El^>sK0xJ27I^#^!~PZG>oL zl0w_(g7xH*&w6>m%j*^Pt>FbG8qKKCM{p|G2lXUL_7=46Ea=G^fW_tA6tv@SG*c!V z(bMw}uA?-sLE40|{Y3R4D!uwMe*W0*5et?=X)z$8DL_k9gsBX^S<8HxQZlgR=F+?Z zb*3RX(H4Lsj;!&LoRacOgvI?gq}y{oSTq=dvi;)Pyj-*t7yn9XTTECJ+ZNKz4kYU} zbMWnoy0qxrxyz3Rqal#oA2`ts*qnN`5oK0imwk{L(l|QRrw>az z<3Po|$~_Asqv8WFKmOz6^pGuaDoAm+!_yz*K`l*+nRUPL8aYH>8U4h72f-??n%Zz< zz$GGY>rIQI>B5i_3Vq~1o&9vGI|<$yDY6W+{y=}uyUaOxJoIP1)=8CQ05$T9EN_Xx zMl|U`{U_|eZU2}cXh<3_$E;FfrkQ9PZ}vo`)DKGS$RU>Iur%t9de0z+4l&(6ySQbrI{)vNI5OP1s;QZs2spdll?c$_n` zBru|GqU#mP8c*Ch#0|FOf20BXbbqXwf0a zF;A#-a2aMh%{QX0yQ+Nyi-VHtnm#8N(Vu=4VmJLmvB9fJ4b11;jrZkE*?b9bc%n!P z`V-iVHnGSsraNCZ9@ksQe#=x)1ukIxxqDdl3Gb>n)5jehKLq^E6N-Zrr+v!6#2%yh zx7cA7QWhB(wHg5ohG@*Tif-6Hm7zneu96Rs#A+t%ePvC%0TxAGf<9Qy1nkCjqSpCccSl9|-w2rfzae0s)C)_(e<&ee(<;Z1sOJyWi60H}(tgtOi!v^1 zv%(F*#MUTO4%Yk02yJg!guk~YbE5?U-L_83;*{hFK9~=$YQ9nL(d2sW=k4iqjoszf z-OK#`$L9V($2t;6ZjZNTr`NOl-N0nc+sDO--}@a%k1Zd6=iB|^{zWby@B7*1(fUAY zP0wcM=Z&4#?}5dj26EJaWElzQR1^~>7G?(2CQ8R=^Z1z2WG-<-HJO5WCKn~Oe^M&A z-BMBiXyLKCSZrgd{@~bJ#6{=2HdcoMy`{)q>w1;LvMnY}K@E)#Z0ld46lY4tR*R`P+j!*8=S zjMX0%7Ym8<8ux_`^?l5U5uM9}npA6zJ2TKsRIE@IFdmtdqB30fd$TA%oGVdN8mCDR zi-azyEXr*MHA@irbc7lj$%)?MHA*ukVhGBQ@D*xdnbKNDg*`9IfD4v|tYX;Oct~g} znl=kbr(A~7Gr}yAuYFgP6>B8{mfq; zc-eJbZ7o0%nOR0nZ(aJ+rGSS}UrG{TECL7NJZ6ro8FuP0ZQaF!&L2_Ih(OI6$WE%# zD2=X2&3Yn7KLM4G~LAh9`5D$Gc%u|>*-E1wWq*VKh? zagOXXbSY`dv+#h4g0$-&PCIAVZe+t=T6>^^82a%*bkUx3il_c?y_lSXczXc?$~-T* ziA1lw<(=~Eg(eGyK(lNYROq9bIJ`>cUslZ^JNj(YLUmX|8MjLT6ICn+@-wn&Zbj5! zLxmRUvGvDsIQOki-?zsvfAK53t1DaE9^S3*I_t~)8`h3Lhd(rhG;;XRg1qshG*da6 zhWc-&muQ0l0a44YggjL_V+S3FW$Mv-6wBiCK!ODTPW@D;B4ZC(RgO4Fm{>11yk8&* zlp|-F*^sr=!*Ck1lomZ5OK+gv(IyD^F9cGmnB3m}H<;Rc*joANa`SGKVSE%MBKm3o z9$sYBKXX9Tsk8h$DBP-K>L8;@$dsRkxON z@~7#n!_fqF&!CZW;JU7Z-0f|(UDrdf@!qtZ5iXW|o}F8{c@bfe*G1hODOk$jdHxHA zea6j|{>kXqQd-; z=6SsPL+IC}!)C?DLrD%ySxuwhukd8ntJzbko~kJObd94MQks1<0&wUuwiaouA8S+bKcg=Y}S&Vmps8KvBL zfjnAAq8QD=5NHY$*V)vZRKk@|!!|n?Y@p2V1l0VW??)vZejgz6FRwTI7d=;7en6Pd z?yuL&o1^9Z$AJsGxRz}~ffTzI4(uq(S^y1>7&%=};Q8$!!+@cUJ>fwLyS>7FlrtQ; z_!EK@9tAm9G9 zv`@19%0pQ&lOw~3blAP>xIV) z{5gg7!Jsc zXrQC`3qYQOABFeg1h*3Z^@r9PjJF2#&aP=fiQ7}RW3n!_Hiuh-5bt1yUbzQA8&KGz z>xa-eX^ga@`hUeM7@xGF-Ur;wpm7!RXCzUEFKBOcch0j2VHynvwEu*1J$E;hC_PCh zSt**vB&Uz+UxdXLQhq;B#!y1tg=*KsG%0~2(b9zf7ez7()fNY9nj4B3M3O4b^voHK z9}8G+5KT8AIY^W74)94rU?=rBFNh*Khf9e)PRPFJgY}@B%-?OJmm2|~xC)?v@RhGH zlXv0~KMlqWr+5j9IHeGeG*D6XJ`5hHsiQt4bkqI=$ujziVRh1z8e|M6Q16TF(2kfq zl)SzU9?}3m+4Vn1QV6f1XNk1lQJ6KN6fkzqTQ~tlo~vD4g6Ux~R=zglN{k#5xCU;V z1tWp+OZMTcL|pr#WHDAUj$+JeZU*Y(^rk~sMyvhgHlmKxJoJl(8AHmi@#6^moq+Zh zE*@ygWCcfp*Q_&8UIw3=hNlkduRjLjb?meL3HP6n0M_Z}H6u6xFbVm;ApysKg9IkY zo3>;e@F7<~s(0`q(gXYVieu`wI7j6II(??C86sUlysW1s z&^?}Sp6^us-mZ6#USCgB<%s;+xp_PJb##d?_2k?}?siXJZaw`xdA;k#uS&*So;u!A z2X5XU-1&ZWcj14!dvWpQescfXe_O1XH>A}lRN;_ZR4OuEtY48QE7-vtBp1PmTC&1j zohxl)m>MwN&BK%^F~~4uZ&}SMhajI7dd6N;*${&dB6psXKpzze9RybDOjKFKY7-L)o)6O^6Ym56{SO`qaU~t| zqpp6DlN3WOpE`wIj@qL2=5GWzUICc|1Db=1>#S_O#dP7X9jZT4k*tOX2Y61GtHVo` zQNs$GQEki%Qk(V}Au!AW+2q)^S}R3pcZA3yVX$7NlHB3H@e;xV6#k+WRnzmjW&LFB zU%;dKF;NUxUqGxFR9B@X?)yuWiYb}R^=tq+*Azi;Dc?vPt%%-2Cs7(FL4`m8h`?4j zRC031&#L3|>h9tLmQii@tuFq|ApYR(=i$-LON3N&C5KefG5+!lCT83Q9S3Q?R@y|d z9-o83K)}nj+B;;&)he%wsEYaBH$WaDNpq2Dll`Lr_?MbHYzwszJe5SaZ|SM{l2Kvz zXF>7|oAt59EJ>kBs3b&Y8IVeSzp&8!-JBTV6-2_^a&BNa-Em}076!H6X~_q6hQFZ8 zK>uIwTpBFX!gP#i(Fid@jA%i>Y_mE8h*2Q&KiWB2_+yY<4QHBkb{b8D5m}?{%n-XX zeMH635ezB?V_fD$yDr;rO=8vn?|d6~2wC-+0@K^6V(!I1xVT}v>i#S#rnLb7#vf4v z0Pu58i(MQI(8Dp~EGzVf1bdJPiyVK11jttqCtTrP@B&h2>k15*%zUfi@3W;HW@CkU zWwfZF^{s76eQqE`Q>b>r{fgPKCP6;3v`;s+3NX?Awe#T!4;Ey}w9Ak+A!K=PvlVC$OV-jmB#fmtKPso%(K@rsT z^Fr$TPN0LiC?GfZRkNgwI zyJefIVZ*^LTr+veq*FZBi6F-8_rN>yFVlWS6jdQe=$EQx!Ki5{VYe4|ziiMH!$3Bc zXI3h$#$Yxd42kQz-isOxx#SgQ_`DFNbMJ2lkFkCr{AU^h8Rkvz{pL4H{y%6z&i|H% zRQ@k5$b{^_`%MdW3q%`?g->9*sW^KQff;n`{xh)_89`0$)5@wsnsNioV)-J~;i(*i8q( z6lx9AE2Bw?bLt>X{iV?a3^17>FdUiTe|KfT=*T8RuhSTZbI&%bRd!2AP&q7O3q80v zCy59)ATic*$3}|TV;3_~JPL-a^Me+NP|r1mCmR*=U8#X z`6}z4xGUl(a(l}x**{_Isl#sa~+(sWfV{xg)4FF{S5B#o(Rp3!Mhph{IuZCm+Q zm#Pnswb->gGMG_$WGapQUosfC;VlVbv>&Jb{n)=^hk7EgaPH)^PN?PB9c`!!Y6SC0 zfTm$I>SC!(+a}@B50UiuLiK9xMe_zfa)!&x%jFt6uP52AUl%~Vj~Bk zqKe3GV)fHn3WeI8LiX#o{Rw1vR57E80V2RAyUxh^-!Wr;Qo*FKdTJ=`DlnIRl{o2SQ9Z@) zmA~n|UY)mE_1l5`s>kaG_ zA%>svkCv>67=1}rnrZ*|Lf_KLgrH3XG&d_*IlUJ){t2cR9F672ar{3MeQK_~ow|_D zN10KuG32ow>u-~YVtsw)EwN$|wx||n`#Df(|7Q*kX3d)9Nv4reQ;sgQ7WZ6} zboHo0V!zd579H!<`Mj4_4!+Rbb*R3`XeoGc{L0g*zV!xyaREp2_HF&Cl57L03`}|O8Bf(B0mQ05M^kJGoC-qVX znC3_Ni-9meWQ6hmXV4(^U&DMf0RZ59Am1|#_y8vp2L>Z+7bj;EM<>1i=R%K(`M)+7 zHh!Gd)A2YKMSR4Sj6%teC%E0pW#)sW`Xhr3Od=y#CH|`)JvS^@Pe)I;RysL(K$vKH zTBS^rBLyo^-k1>{X01p2eQDq-o#9(_v^kpSJcb4SjHF zUt+Pf&kI!Y29t@oJ=ppdVlsKyD~Fzu`{e;stHB0fvM+LjHx;@<{{n3vF*xP^_hjwB>ILG zkkw<8rT&<_?Wh_(#$q^(B}RcxZo{bZa%!H{Z@sbIWrQtPA*h21^FsalqAiGxCS);Z zbXv~J)6dDSTc=u1>3POuomVY~>UC@QaAJ3J{zF@?ZkN#LVL8+@3|XhuN@qX&eZR9h z{ph9DGg;+5@+m#A$t}v1uOW#$?wA?VxUO=Il*k$HOj=H^l|pQfmSTOgtyANd2__Tp z#Ih_DA)GB+jgbq_Pha$pN^JgJ(5m)k8msgPIVO9i(XL+QNqdadP_^;pWxE%Ag_haB zN}*oNqunbSFaAsi0wbCbQ7_vT@5~E2GTjef)x9vwn5o#5c8*=&mFuCKHl@8jQzG$B ztszB?P3|RY^;zr7M|Ee|RV_8HpTLWbx^MQ8f9b957DtlZ0aw;ZYU_cl)`#Xyq!3-x z9lqq4FiRyTPL1=zvinx2%0SR`ZG9-JVRmFyk5a2MGxO;tly#rrsPr)Fq5Ryw7l!j{ zD%Jq<-CyoTw+x-d!bSuxemBSv0_ zF8tNiC0I^sLR*<`);PuXj&Yv;K?+-*>LqX4?q(NuSxc|lmHxe${!k;7I3(WDp>OvJ zPo?m*?0<6D#rw@>mezW94(R~}dRZqU{5G#SuPTw_CeB_-?v9mAo|Q#-w05tI?(pYT zL*LUA9sO|0$J*Yn^>IdrOG+IG-c)=hd)ngx7z;pN56Ux*4Y;pK=3AYqWDf?j7`b3* zGxb944HhG7GNUKQk~*$foRR%&6Z=M3_On%V7S1KQXRGKmPW7QRhfs$UgMzNrm=0$v zGGF4yfHt6NZB~5TN^b1oQIgwP|;L^`CkfjDHiM52&XAVQ9cIpImZncT;aioAr)I*Tt}7))6LKQn;{H zHo~8LFyf;TdQV*0S#)OrpRz_uw(=jbX%lZB68UtpwAg? z=?<&RNBf-7X6>)17uEQV+6y{+u;7qa_}xh+)Q9bH3*JaW#pk*ZGU92BFPCvHoeNG7 z+QfLm@9Hia`?&b>SzRNWhJn*;;ypeUVQq#ajJRm+nV~^u?o@hfF2MC#hp8IKLTI{2 zk3(}#5jTx1C1Gp3-p=r>53Xk)P@J>ntX%(K=D2;ocjC;R_wk)bob*dEN6l;P*BJwfjyEJp$gqX`8<{0{;cP$YL26 zG65mjldo;@4hs;JXfPP1sJ*Wy+AjQ|ZyD#8X_k?7r1Tu5Z$m=}~S}w;}Mf7vR zLry6h-AES$H-2LAS_~lj zq&g7(&L^gJ>SJXAlgIKr>Tcwi z2Zh}LasU{Ga0I-CT*FZ#Ujx8&rxE7x+0dY3m7TGLdrUjX8dseTZT0J0UC zoC|qWFV+XuvQ@4XuiE=sDB`DoM4Y#dHA2pmNauT$oQ%Kd#!00+ z*L$w+{fr_i(NWX0o>Qezv?DkAKraH69@6l)X@#|l^G+I`Tuc~5_77e|E;KVVKQKZP z?%Imo+rI{pDei@)+t3(;Z9MN7TCAciw3Pl2BM}cgI~1I#*x}=07Fd}CLn1FeGo4NJ z{{O%}DA)RSm33~N&DUm1TXk?9K`b6T)xTB|2;I8F?(am&OKNp_ColwvJ z+OZ!~0%X$Y)UQZbYl$3mTKP$`d5`||iUrT;zqn!v;`&2^DS<6bMz#L@>ls?iFi>02 zdZ>X+yQubc<8$XsF)sKyXa!KQ#yu4KBXU3H&p_p+BjT z6Eqst;<2#^ICYJN(+*Vv0XheM;X_`02`3qF!G&T9?K?TZa%bV~^6m+C{oCAS z>SKm{h3D&*OY=^#;^cC}8YF>>v<)UJhv@Iay&#T<)!&xlt;qq`S`Igm`QckPu8~th zmr5*Tq(cb;YYnf1)=jdqXF(bkpj0&qh&^sWU_ikNA1Q;M(LFa`C^{Sog*Nlli;mhp zQfIB}7>BkM{aXpRo_$sh)A$x^9zsAw2}baRPo)g6C0>Nq<#vzl=lpDdgizh!h_~6G z9Aq#YlC$yX4=U`rQ*8PN z1<_xaKWL?#m%(f?X`dop>)xy;_vxzumHb>;^IOEC=S1vDH%bl|fr2dp7&8!YV<@?` z05gXw!wLblG*ft}{4^KWhmboS`*KyiKZ)U&7oOa4;qybVNm;EuL76}8oq~p5@cTbN zsQZ&R0At8*e1wm%gtK3k_BNOfK>H~NAR&oW?ddD>97!PYwd^?&SAZ>SM?xRF)!MP* z5%G>9L9PJ)Z%nuCWId@oL{5#1RO}mBWMjYvaUd#8oH+Jiz?4{*!kxmoB#4QIGhq$pamAm_~W6Yp}nU7(CMQe*B&Y#{I8@H;+Q`1b>7Z_jZOSRuS(Apa7` zcE60!Sj{yXl*2GY`bq7n%`*%AxZ0cl{uN+y82kfTryq?C5UUTDPxyRlIy9gqm}Q`O zb31tL+{s#pye+BS8YhPfbqm-WoN;hP4mB2FLt!l#F#>(KK=C^tDZ&2n@tFmeR99Rt7-S(31G*{+hC_~LCw*uwbt7)2b zdlzhtvZ$8p#L$W_+w{0Izzm%YvT!0%m)l-n_%`{+W<1$L#Ys(N)6*HJTmrXA9~ITX zv=UG!;XKunepIj^BZ6>YW6rXJEXF0l=7%q~b0Ssf9)V+SEVhNYKj}6ZG~=_yo{@{0 zafzeRN9TiZ-1sOdKLiNumpXQX$PN$}-e(uCe;eWEC7B~Am{1^H4s4Tk)7cp2N^_;1+*255ADJ9mS^ zz-~>d`v1DQ4>jO#jqd{$7lJH7&?fdfl8lk$6Lzo_S2m&C70L^mLxLv|7dv+)BI>K( zbGaM1TspI8VfQa;2#U+C^ydyqOJc%wiD-e%Uml#ON{hd64 zVM^r?F|hV{8Sk5mifL-}?4p$%24llaxOR&w4;zS5hd+l{0riVTaYr$R-%_3!5R=6) z1Ig8XHjCr=E-BB($}mxil!myc4{QTtIw<;M&30G=&MOh`*G_%vUp%;h44>}Yz^xPf zuWw&4xa;@iIWEq~?5W=YEr3DIO)VcOU@KxUS#N}v+YArQMC63j_hAeiZbY?2g8DJ* zjmnMC3FFe#jJ1=K`@2Qp!*u9q^ocUx>2SG9-FK=HL$>aO%nX(}JZE+gYFQqfdW8{Ml=tCr!?3d)3h^gQKY%jfc0=;H5 z?)j@=*$>I%LaVB!>RL4J=O)zfK3dEhfB5serls6ihsZl^dNq8CoB#~q^xZC( z&QrZmt^u#-gIjjF!FG8?WoSd8KsiZZnlpdjhKN(Y+v3o;8n@Z^&O0H=)>dh=0K;C# zyx?o5UJz*{Y0TQ;zcrg98_f+g*+wuvP~x`;5om4mTskLNC&KQ1w4ER&)Z3F^@lzxe zdhw&!;N84mxo?F|oT(Ah89_BS1H~)h-L@r8&YR=SJco|-hzZpPm)YYE?PQT-hk%Xc z~VH1bT6J`k&4lDrv7EJ^Nk`Y8(vJ-y^SGX4^lioCdc+A`&1{E^9X4K*XR zx#84rAS?m{UjsT_a=VUFTA#=zA}}kcbiU63pHr&ynwV|tw!!_}k_>X4a|Nc`l3b9$ zg@lK?+j%W5Ktu1LT{(FXk=y*U4ZDZZ$=*gswz1>(SQc>xCrRC+o8~4SLJ-#r<5_rR znH<6%7ZP|5NjIP@a7fG_J2smXWjzrO60<3+i)EN8IXAmpEuGz zobo=HFOX@zOLPRK1cMv90jjlKzcx8{595Eg<0HGHzXt-7g`Jq zb%)rotD`!=1E8Y`_93?9=X^G-Z+Vz!ni~iY`vD|k95Z?tV9AUS=+Nq-U{A&pe}-Jv z@%@9j#feqTvAWUGH+=enam^|Zwe9~R-)TgsMPLNYVqFgfE|pDy&DgR$U-7*SU2ap> z(NcY{uGy`0zxq)kZrtA7qc)i-=Q(k zHmBdK3gK%Spe`!V|DIf@tS+;}R`+8-j3kpZv_1z(0t1Dlnvyrf?nGuHbYt?7Dp(Sh z8^?i26gXco^WF6_n4&B|0~-D!f<}4{eySE&8R6?QokBs+P2}1E@;X9e$8yAvP8s6% zBE%v3gu^hfLsEdYgp2TG6ORh}NQU{L#LUgFf_ph%i5jy=Kfh~h1`y*{9&BMyoDD*5 zbrS=vg}fqmXC@%uv{nQZw)7@40XHswO*gT4ai{HBby&}fG*RJlGQ>vy1iJT2kZeCg z=~m_lB9^Ihjz?rgQ*dD!%1AS`MofjcmTAqa@Rr@t zrB6esdG2q?+d;oNObl-`7jInrli|ILw*G*#rQ~G#-Fi#eXWJU5+1)u#Lmh2wJ@HZ- z91|yX#=v|HP+8eFoOh)*L}WOM;ccBmXUbVd?jk~B`SOz9s%s2xo1q)Havclkxm1>~?P?5_kD5}X%(-L$3w_}eXN&HUoifx2fneV``lmCppgcW_uFkK$mO2*l5gP( zul&oeYowkRhV9w_OV@%(*8nK`n~SIW?Hccd)BLPy@b@&3fOxeEHbMf}Q9@&@Ig216 zaXxqbI^(ESh)2BE75&zVX0ru%#LA`D*TC@GWT!y0`$EISSw$ZhWk$+w5<)hk_R3H- zW{WDDG+)p(~so-vPllS-;Axy@$5j-R%*Z zEFoT$KWgsEz;eZfwEg7U`qH)Q2&CBSBRCNCk|S{MMnB3!b>r`ns|d+>XMzLMBK@bFB-;?;U; zd;sqXo(30ioM{&jY7Hs;n|XBF2P%^b8X{d};PjqQ>Gt0Z?Ac`yrp+mTWTTsJu^{1d z2%2b38UdnXfzYN3*PiXC!N%_Gr%|*tpY3@dnKp7v=H@IUK5}Vr`^EcM-O2rZy>f)HN*T)H)ni5q{U5zQr)to{zcgSAX`rq*-jeO4FP0Eq zNR1JY+?8Ko_1tUjRhlwks@%Bj8>uPd1ipn=lR;3#53hUqXw_}hG4W$pl8aQy8xwA% z&f|#-D}MV<5(R?$&{JkC3IQtbs8~?_RhcgpNVdl#ERkX*5ZPBQxg15fYXfZGhTit` z!J!w>IiCE@Y4o>WD!__NArd-!FTG#t=tG1V!j6^r47Sfs%+%ld&C(qj3DL*+vH1RF zxC?_O+{)t5r{;B5CUB6j6C+rsj~r!*SN1e?Yr~@coX6FWK$+W&gY$f+UzA zy3fRn{G%*|WOck`I%L{Mmp~c+7v(mAudzB==Ncpng(`qJ#N$ z?H&5;COB|EzdHdkETq#r1=qm3f8OEh7bN=Bg7JUp;a?ob#jAo^7F14$g!kMtW`F(e z{P<_-8J-`d@1b*QcN)x}n=GtQ2?`qv2nAr)fBHx92pzSbY31Uo{kHRU!LR6C3egcF zy;-n4mhcu=JIRN@-VKBb*lq%C%bazH(YR3(dF%Z}@gZ6_kqFrddf*GtQ@z0op8u>rxq? zZ)deX_aj=s#h-**xe3Y+M_jzkkTm1g$^pvL0caCCpr(P>IaGjVS!9ic!3@L3uv=f*` zZU>>*KYrOw(C9*ct?Vz*rO-sT+~SqvK{bP|;u$3q24fF=?}Bk2DU%r!58^)SXYBMu zUJyc7cB@)TSt_2a!N?o_bLWKo)67i$W;VY+lE)puwh<%2sqgj+Hkx_>`oN^NW zT10g}EL}3b&q%R6{<*vJ3)0zrQ89sg$U0V2}$dwh@${QCTtK#q-aY)Fupl zZryWkq}DZrLd|yIWr}j!8-#P`=oJd+6=3G%>^HbMN1daPp^Desb0a1BQ>jWh9T9wN z54WLyVu|!BZ>lZbQXQO@$ZHbBi4KCA5c~zRd)avD?{e7VU^xT05$g=*$%4;93d`)P zhhn3hP4^%{#(^I06t8rm*F^T2xjEe8l{r5Ecl#H?RXQ|@=qApM!m_l|;>V<(55o;MnA>4MTxC+@t3M!Q&5| zUA`=I2RNZ7$x2gmG3mp=!isid7Nl%jay&+Rs5vH#U_3knJ4aLZNQOcqdI?fJJ$gKN zSvM4!MP z^`Nn9Wc$5-sim+<>(Ia*Hbv+T_f+{jnq@fysjnUUGqcuE0<4uDl=IhT-Br*TF3P2a z5|(eJKSYz`_2UlVvRvEj{zm-ezt&UdfP_oZR*-wY^6o2U{L)gdEy1kA34Fo?GYwOk zkn&LxZnF&;cZm%@HZVw zB=A?xUIsH%uU4xCISW4P@r&#tj_ z_+$<_;TX2?ShpCJ)!8hmm{CUi6Ybnkl37@UOMYy{i-^i`;p;G=loTNXn7ze)w0|)+@HU7 z5cg?t$#wCP)~=dn{rL#m%#`F3U>Sp{Nu+F(67Crw?uI7oa(dg@RV%i`S(2aSv!k4q zK{mY#YbI{$!6q7t!?aUCMO)?!Sc5fF8~X!pcE6mN$)@ge4 zL%(=`aceXZP%S83xT0(M{Yg=pjR1W4!Ksd&$iKs z&)n=i*p=Fxvdm9wy17EnP(1~Eb06xW@ec0dc{2u~32O~xGYx>t=N@CKoS1iszc|ZZ z;z=aHvkx>6TL!r(U4)hdS$q}Z!EBsgc7TX=8k+3nR_XSgiG34pOuRPuUdFlQEotqE zk9H})S#JMqHR zf!Qz6j)AkY4qaQ{U~H__&(Y2#rhTEEwmxX53GLiJLpvs^epr1R#({Rst`Ga5ow3o* zbGL73XKu8kGW?D?4ppK)D*xlW;)$GYdUy&Y&@UB+%Y6H?%IhefcY}7))MbzQlXo4j z&<;@p9LR}jRtLR(p`8v^6WST>8`=r~8tpuwoljb43hneC&`#F#$DN?rhz+Fue4!ol zg?0>R=PRr8Gql6`14irmTxf?#sOq!KeqU?+l-7YP=_rp6+Ifbq!azI9lby+Lv@=y` zt~{%AL4r$7|bsK4=GU)FPj4Ylz>`jy7f( zp&fIcW0tCJ2-Sanp&k1{J9DEQ9_H;rJ9v+>HrmO>v8zSBuvQm87=FL#e)Kgm=ai?@4kiiP+7d3wGYvu{sG1uUno!`(7 z@%RBc0q8;QLOT`WD&PHucBarySGD4~yux+*pq&BQQK7dx*bo!)b@7jGqn#h6O;z&;5@F^}|2<){!Yg_x(O8D81J=+Hp|A%Ti7TcH-GnwfByS_`ptj+$1l7ixTA7979SL+3rS2I)-WKvLMc2`P{+ibE*o3U zF@rdpc;UE|3{=L0u+ZlLY-J@`Hh7rrd4;hSfmPv3Jrj41M(_eQ2E2bb9@*PCI7>cp zoH+!i+J=s&EBnPMx>e$=yI34Oj8q*GO36cwn`-X>Fq|C!#Fz-Gw~y!B1(d~>b2@5J z<);K=J_(-tlrPmSPEUSdai_O)n3{CC21#3MIsf3W(pWBKOvx2|_%0Te(cYEMd#-O*c8I3ISL_TxLOc&R8=0lu zm|e~oYvClN_a@FJ_1zKyuaaXuu*iUiCrJ3Q1f0t5^RCMBkNW){3;vTLVRXezh)XyZ z_x%zJw|oe9=@Hki-Nh#s`{-U{-(scjaHoB0Ty%@2mW#Gq>fmeY_vm&Vv)bC9eI*qD z7j55S5hm5O6yBto8n$=>cg~)rJu`4?(O^fCu2~f(44OKUTJ^y@0WcOzs`!Oq*7b#W z<~PLiJd=N}PZOVTD)xK)d<+m(`Y+~Sn@h1(x7tyKHp)`FIXeWmj?woZ z>Q9gxV1#eDdktUuGspS}(5NcJ(drfcKUAZA+^XfW|>|yQ0zCfNPaRC+CSe5cV@sr;vL;LOLz)|C(cpo_l-DIg# zR@HA=nbp$krP8@_0dtQVN{l&%K@_O7sdWh;A&{c#d6jCt61v*8UBDSaNmeOf1V+SG z7nW{u8pq9N^J&CdR2m<9GQJ|rNuYgHSF?*(s%cEcb8}#XG-jEsGa}P<46hw*Q)echUIeRKlfMo z!TzWW762~n4sIx_mF3GlUIFB<65AsKrk52@&V5(#o{mpfQ`ku$&CvSG&n~P3+jN{+ zT%LSzHs|9d^wWC32rwQ;^hg>+X_+j#!U=W!WuL;Kpiig+SSPMI&!&dMvKsb!6|0vk zRbll|Hf&UWKBraF6H68k!pljp=!$${s$OLSfD2CqR*4~-+}TYFgIgu5zWvZWifZHF z<+v-t^`%>DewsDz0@*-Wd<|hlS8H)ziqk2pjGLp`In9H>g!u_80uaxpR0CZ0R&bi* zl~i2d8LEAiwaB7oY@V0tJU^nEU;g#q{GTYz(Up}MczHcjhkn69I1NhU07KM=vy7zH zfYy>O!LTIzs z9QiKb6IczN7gSkuus$j}JbkY(mV%*zaoQt=vC!Z}&Rr3k8GPwwaMm0mNcns~-}8Lf zr>sWb<33gG@a@hDNHe=`xlQ*RYPMo)wp7k`_D?%+k%yQ##pg@*v+;=dQ?+$zplD$a3IJ0b%1G;rhMk@x5NB&z2A&1%a7 z>$lav`muWorYkF5jpE^saYj;u5}T<7G-tsTMC@dsj~>8gbNp7DqY|Xn6OQl!n`KZ) zfRw%IlfzL1O)dw(<+6Z`6;;)%etung-@7|0?b00Z66eeRP@3bvN^`PND>fkK$I=|7 z3IyOi5bOoN%^(4uB@_9S=3KsYK#jGN5TdMhls-fBL8UpMAXNwZ1p^2BLvV#?!p{nK zhe~sveQzJ`@D$~6zANhC=*v;ee6_^4x@0p9Se>mdKgp^zM|U~9G^fw+t=RMWv|@+# zU2LWw@Qb^AN^|((Z>`wZaBA4;{LF+M6QLIfFkk#d!d!N{p81sKJoCryB=h5q6_{I@ zwOWHMl9YHFxEM@HTr6RG+EfllAU1{A3+EQwR>|Zr z02+Szg81J@8z36pa(%DOk#OT+IZfz;ux}GPZ5D^>(*1YLm@h$vC>ZKjY0el&Ba#jG zy);Kb9=e$C$h(YpBo%PCVt2Jn&u8Hsq|5{5QO~r1-%jE{`gW1ePU4u-|F9Q3-fAdR z8>iAciO0CU_hO&;SHrifGzY*D;UjK7FCknd%KpqvEg2j(>1rr@zaZI{G~9+HVu+M2 zJ}UgO@zSkuIC!u)vB#luKcz4sqAGM(agHZgw;I(|6?kbC=cr*0j_+%Mfm{mHs*X!X zBObj(r%F(Hh;B=IfNfs*ghg>`j{$QMn334b!x3#Mt$MRxiz|7zh$XIr zGom|%DPW~SD0Av&p!Guz1irlMv+$BY#|jQr2@d40v;`UznY;r>K01z(1>t}x4F)4^N~GCa5cW?8+RB{+9TWEmu~HG`Qvj=!ZwjdOG#^jMDmyQ}>buOwE5EW9Kf_ zSs$%h&9U>1(^Q``O7MUv;s__Kh;pjO4fdph3y6qroq}$-uVbkKa)xq(s&y%GgDCmP z6BlmPVmZ%i%@ZC~)Dk{dQ==xV3MzDgEiHz~%45Y<90n|%rAo6P&m1FdFUz6Yxv+M7 z4IvumY?JZj_0=m)FGIsSsJiGl8?F}4&oYBeU(q0V>1jHytT`2|`ldT8a8py4q&gA; zCQe|!)NUJt)SZr)g5O&&u~~0xd85(;V7WH^An-`7t~HQdXvL`#ez{;@Lhr6vSOg7l z&JiSLg0Y9NVqdr_P9TrY61b~Ex^ae(w)+P#^p}70=l}kV)&~sz?(cs0+h6_udxf$} zl$QYnjfCsV@Dr~>IQzNI;xKe|$q{lS^P4&6iAZ`$n+7)kogttRjHbO0jtO9`61k)< zunP}$X+B@|NdRPDuoX-JM4EN0RDmg%TH;mC21E0!RB+y*B#&AnuyY*H>D1HcUA-j^ zl9IgDdTZdUh9@mq2y3L|Vy;yVYTsk1~e2O!@n zjYL&zsv7H*UtN#lrbPF4M?&1)Oam0_Rbs9RQPqL8D18J40;j|_V8!^Klxh4|nR0F6 zz=dNt#MG3qOxuU#RnGZjlhiAc!nL(RKtdp9cw9I+-ZxC_gq0h}Y*!}^6mmhClWtnn zsgB#oDjZ3WIDhZkES~OzgfMpx&SA}*148cn&b)VJ?a|>^kBXFWkH9&2KR7WfxUMJu zP^9eU2MMu^USh~ivU>@3>2#ZQtaas$gsjw7X3`y;wB*zQU5I!RC7qla0N$COw<$?( zbvMoS;SoKd|9>0T;-y;haNV1~fmiCXT=)`7jtF=A z^l6Lq1BGI`CLGFCbt+2$<~32Emm{z z%be0Cfzk&~x_W^oj^C3vRW%Ql(x@06I*6IMW69k>xNslzeZU zpmw7|P}Xr4Dbs*pSKXaskjHF@(8~bJyy)0H58eq@X?HPT-suVKxiY-Gsxfb^pGtKgWv2tNPZ3Hfx4!*pPXSVZo13`h#AkL%eOEwagjc)nsx%mn z&4vuXQQba@L_zgxh3|k0WeL;Nu){cP9jpf8+_gKm3N~>TbEzEa#)-}@)vFP&6>y(~ zepOI|V#bN1Qqz6sKyFIZfbs`1P(4`dJ&43#~qwLEGiYdS3NXZt}I^_LFZy6`C#T%!wF0tnxj<_Qw&gjr&M z`fJost+b$x8BY!f;zUk00UktQ7ORN{t&(>;A*QZVsjJ%2GC}f|lyEoq`+)ZF4X>74 z1w4<0-lxq~jzUQxsYZ^SWa6AYs}rFUj@g6lUDXApbO`QYEr8L27uJ38pP9h-suJsX z+vm1x?8Fej2N3*7wyvmRF-srnfjG^lNoemPpjUOit9lcllD;mx4&wVN*CnAr4zKlF zqYtMECV=@Dql0MGqlhO30*(hCT{9$jDF-|}3?68Ed@JseLfG3?mBODBD1;y`kqcGP ze!vjkNvOvSWf`Of1#rj7<60`fY*hs)D_z zmr*!rdQA@-P+sUDLJcFBzx82o0G%^nf~th9GSb;i^WZ^W&^ZrU;4}D*;rC5fw$H65 zA?5uWzekYKg=11NhMKHm;kkj!S$&6Hc|a&E91+AJxZbiO2q3?5f@z^-;lFgxe9*5#}~AB+*bjh zuDeJPR>jSPHvA=V8T-yPi4njHBrl-LPyQ3kq%2!zy$zNLe@FlmmmxS70>5AfxW|tQ zZO3K+00Zyu&N?hFPWeo>ZO? z!&Xqek}kOV<24Qe(5XtgXtr?rF@qR~S6PBEfel6of8inec`s2QenD}t%OO-hw~)m946D{6*2OyIrSJ|O>YiuQi{H`|eRTU~)Op?8 zb4*ER0MuAx_QL5Rb%KKN&VxPIw(xRTJ(-3q<% z61+Ye=~!i4u!@h>8k-*fJ~^qAP|a$p5^B5VtKgD?N}dlN)k{}ZKBTiQQCmyF5}fdhQss(_%{WV`CZ z%-%H2SEUEIX4^TaqV(%H3D{GbxLtA%wyV-fszqSrYr!AwP!{)oYdDvh^@mil8#oLH zQy~`M!L!|mqydN_g& zrLClfcBM)RF&|nk?Fo%TijM^C`?M=>WjlN@mRpfRnd&uhm=p;WRnmILN zN3z;d1B8XX0dy?cq5{C-Bw)t(_3&cgVq6RO77%KQa~z?Qoz$3wH-UuZZ=i6PnapE+L( zaPL(r13-bBk5-2H2ORj9fA**UHV*u!U;jIG+fwS8>s3Q;Ro%LI+f~3T%4KqN0*Ih$ zV8IQcZ|#-Rg{_4cCuxwb&MTSsRj7%c<}W2chx^i3|izodFzF z4|7;J9CO>@ZZkvaa2JB8`W}>0lHyawE;s=%ME#7GM{_5HDjNP$vwI*y1S#d?x^Ao0 zEo;)tp*yZKN~y&HEZF+BTkTFDuO>Kn-4(CXshSiLD&@6@=dCIbWP{z()ny@W`$Ju1 z=1Y!QO@hE<_kx(S<&-t26Q!b;E(tyljDDk%nZ1_jd>vmiwQxV5wAbhXgH4l#=Am^` zmB=u5mtC3!)s&ncY*R~Jwl;zbvq&Jd0y~cYQq$AIb(FV0b`L6t7PY~lgzyx=pgy#!+d1Fr<| zm?4gJY zduZ)6MFoWFo;Wz~6)vo2SJg){y7`kLZdrz~)_2do2JLV}al_(25p*vX?F4T5?4n6X zM&zc1=DmUiu^W7qHK1PhY7peY{kwM@wl+n8Nc!PpeMaeV58y0I?&eO!H)q zS~JSJmz$NweVc+MoCW{dfMFa<0|eZSmC_&A2%Wi9XwF6lsO2g#m|+o?(SdTj}RBH6&oFrHD---e7;q@w*F(VgNE0rm7)4RXzMi#Lg=A zG}P0!G(5;geTRzh$E5;qRjsQLIG7Dxn^g}zrXbSc?k)L04(am&-1uCAtmV~~oE`2! znnUj@gv=G;rhzyNnO0@K@u%EYA$*?rUY*9HsVwqVA*)^tlQ2V?4_5N^?g}2qf}y?q z2$A7p_nNLvjduWD95!YLCg5nk%GpS#=9YZ1<|5pB?g0EStZF0d-L77EC5!EMrS_-# zABOx+4az~B?x>=vr4ls)UA8$W_+RRoz;^Ep@*howywrk2QgRBYMGKD$%{YIGmn z?O77%+xlJ01&3(r{SU@$)xBGNVfa%*%~V&lmQ1rGx|<%m53fwBmt)T>()hce0M%H8 z+E$SqePk2fRy#gz3zbOAq2sjG(1)o)5`z#?XeF$pDKgb@l~6EOKet+77&+j3FR+5{ z9C1?s0OjEE_`HKJx{=$(+!9Sxb(U%!Cl7>MIZw_?xK!02@IYk@#Y!OfEj_D8rFbq{ zwXLYvLY*R)xlZ{9UP{RuDG-ClN>N+Ri8oZWk~LlfKj0=gT+%6Y;?xpwZ>rnnv|K}4 zmYn}_ob%P4WIU|5($4mL4WoqfA#N|bN^4j{>|V2>NmgmPrv%7-~Q@v|KZzh-`{*B znWGT)JT3cBS_{%jkgAZkuISoNjeH5d>QtEs4aRwt>s7&#y4Wz50X(}UCf4OoOVhxn zs9ta&E8v`97i0sH%MoR*YQxF8kuBIP0iF$A_)CX@s+&?J$@eKrCiIasi9-`+)JTsx zCtVl9BDE&OC8<8>Q~L{)lpf+dCp@l&P$gwkIY#PFbUEqV>B{c`mOPJx$ViL<1C!E= zaKe28rA~Dx4DXfh2Ep(E1du_{Y^vv;S-B8WT=N*Wy81VjAR8Pr%NGKV2&6#JqKVTH0`niWDzr zaTjf+TXj)S5Mg3Yd;Nt(q*zLl~13uanwgokmn;uktJ$`#xsd*QH13NWg45Dq=2N)2$;?JkM0%-A-5tfqZ! zW8Hf+u>sA>aJ6d=#uk`kZNb$>!oK*wH%wM{Y`jy3x(lUHb7>-~0;z^Y9iabwvB@rW zspu889$nAiAepoaYO#0?+u6UMEUN_nl$_0~4(6B}>9Zf@QH6EqXel+N`#|-}cC*4# zp%1?ccLtWt7B;wgG>L1sw}Bll6`{kJ&B3N|WF;=GH^7|n2*e~Ho_ayx2E^?vWlZIc zm#wb*-OX>M-<;LZr>PV3Q1+$N$nlkYkZP9H7ZBg`U+}4 zlXkKah3laai=2<%gOkR`hz_tJ2i?J>;ws5%eBO!@;*wXo)y$!EU&K=wT>)*5qfrBo z1R>S7`iNdYR+_H4FS(4azJ3*j=_1D|gP4TAJO0m%^XVG@8RDVwsLl$xb3B#7bDCwK z9?E(WBs}|}2CUblKHohP5pL-4Vw%Dv?Q`4S!N*+n4U&X)k{1W^zUnff)s9SA%4ynpKUOQs6N>r>beXZ4$B9 z1eBnJD

    9u5?dzTpGvq=4dtC5Il3MTHNZI846<7B@aDLjkWEP&Fbw@PDwjp)c<(u z4*m8A!Ac<}KzLYj|7zX}{4?{~e5D(rE7qPYQJA|h1TO!`aCH3&$T>klwI6UjR*YA9 z&s{&wDPVKd5|#xkTTA8FGL3c0TA>HPMnO?qX$dPD?dOb92jd-Ap5OJ=_zVH@Cvd$Wo zAz3qfs@@k?$(u+m;H;l$-7bwlku)%#P-136H+9Hp)l$eZYb><5Ow;INsSK*70+QAF z`wMIc?@=khA$d$rn<+#i4J2)Vra&0Geg=L1;;;Ur(C6=e{ZIe(H^2YI-~Q9D{?m_F zftCN;rH>v|U_DlGpF$_;o`Hi-aPCT@*L1UfCtgT@DE4A2in}G-*3uycs>8(bNH2Wm z1-m4TFVJXBVp-9EVif_u2_(^TR@s2>nr&t^T1I`yoPWZA&G#N1ezI`*9?!q3u{v9U zb^Pzihr^nt0v(BT1N(f#HgxMRo7ZwV27Y+tI=d# zHP})=!Hpfb<}js<5!8R+l)_Vy^in5=3=#sYn<`+iDqXzufD=rI%^re6cPx;Ge1Hx3 zHC=v&*_Bpsen_Y5#*`gIc-EN9iO00-b^L(Sjq;FWbJrke4yk014hhR;r*8aRGP7-J zVTHNprWaIWi3Jb5wQGKX6YgD3b9BXoPX6%DxTX9x4_M6&VK?~qvNX@HwFtTmVxrHJ z(&GU`53YG=*ipuaqEtWm_x5i$&)Gd#*~!?D#8jHD2v;4E;v;=>42(Ui};~AZ6>Y_gp8UCRf{_{ zCa6bU3kJwsSe%F#YklHN602odp@wc!-l7H1m z1iMA=y%LHx;Qg|3^zSytaG~YlOFGa3=g9_lOnq9T$ z%mNZuW&0i;tiMk#BAo6p7^ItNO9~F%L=70$s=9=0m%f2LxJ$g#^83>IQj)3}&q1r2 z2zFOZemDpRG1;PisQhY#RgmtY(uPH;w(HVVt1&JRnppm=DFX%ci>1~O_^R>NypBuL zGnE6yNWlAru*pU>S)}f`n?yX}^p83xRN;mz@J)@pOrJ?|ck~(yMVmsG$5oxQk zNCYUrru$?ugkUwo&_4lp0+Lbx^I0G+OPBS*L&l!N&4&$oAL4d)(vrv;L-1;l*i)4W z(lxXO%x==W``}cRJtR5DVm0Cuy!BAZ@!?6?HOQ$F#k~OGj3yceX`!lVY{nAGfV=cJ zgNR#f!Gof(k#xTfhI@pYv!$U!tQZIL`c`VC6ggfQ=;Ea`l3B?h;T-Kgi~p(%LUkf^ew*ZO40LTZw0(J(ID zJ*KwrCWcAXi~Mk!74bNd#FQAI_^OmxDu08Ryh$S)GQKKaglUbXl6oY;4}Pkn-Rm9{ z6V90)NuTHN##MvbH=x$iGQD~@+{?#3TU!LsuKKnF+$2Dr)n0^J$@tD8)hh{+=u$Bf zm3(t7u&(of=SX8UAsC8UH~6Y^70 zm1d^jyYgI;0n)Y5T@*WRMqj&T=#~Rp88WT5(Sg^?{lq7Nnxv!;5yGox1zcQsz9puR z9|O{QfW17U-W$)Vw1RwudN<+-w%SM{ig)glQ`aV6gs> z0$Tvt1WhG$ai?V6+wjp_=D+e`k4sxjDXED?c#)sk7s$LSGnxr8Yd#bZ@e7q$c5*eDImLMNQp_YU5Kq7k=y&_qc00fjPCR zTIx$osqaR-%SmTcZ4aEnltMdnb)inYwL9@t9R;M8emk+ys@ze6e4jC4_qQ=&(e3hc z-B{h4$E=vKhoYLKF8Os@x77vu7E`KH7r3R7{gb*t#J>8LjyzQZ0XQleXmluXsL8Q* zK5%A@6ja_p?cEsK9DYj+;F@*=DvomByGiUXEy52B60CJS$0ze7y#c_M<`NOB2tZF- z>r(G)Rszbf%066<$eKPP*~t@irN!GNZwl2d-^2yxM_b^D(tFw>+21?y(y0r>1>9*yBr1 zDaWQ6M@94MTK9xdNxMkwDA}1*9BGsYaGHD`c{tsB6>tzArMVeL*qwM&n{&7CH*QwK z&O}|^WjU!n0}KJsnj1b|$z*H#?qwm&Q&U~+k)QWcg$CA9*V!~`B1^~}Fz^&3IVDk5 z;2-`fXNjrhEiRxL-x6kvic}gV{StB@zUsvz;A}Z111!zH+9X?#=?C#hnb_#k7H}i> zy!+5ss{5UG3o5BvHhs0?>5{woA6oJ7&UwyocH5P?9lF^|O9D>EeZ}W=$~Ey{ z7%yKn)QX4i`WpQXMpym(khTC0VuFD=fKmJ?7`?wGW~g;gH*A22tfpCh#$@$aN@mVz zwvTE_CO8~Or;7WU8N`&9M{*rT=+c>$0V zu?X|Llu#(|+B(i`O>Bqoi3GJVW5N{Czm(HEtJ&tbP<6P)wHt7&25@m^Vv{cC!#-$~ z7aRbdeIMV!zVg@`5kaZrz>!wVk?mYBlOgen2ZE+A>cIw=t@J#!F=!cFUUf zu4${TLRCN*g1Ymym*#l7sOI~Ul7@Mmz$rhl+W>(W8jHyLMi=ecu=`OhGOkcJ1bs*( zhf@X>RPHW3nt@aB5S-uBm^}dAvqJIMJl=jA8iv`&knjm$VmzMuYO=1Z35WPth+e^v?m1NPlg(DDk_ZJL{qg6nndPt- z*mFF#WcDrD6lr7?wj`%~xf59HAfP_C)rU0jSTEJP>Gp$1C&mJg#v-*EsY1ai1`7S`Prv~m*eY&`))!p z_OIJ)sUGA#LRJIAZgrcL)X#Sjo!0f(uq7wT0gmwei6~_1gU47AT-8!rrc8kb^+KrS zEtsDnqCfwO|5J!aO?ZSndD6#SDu0GrLw&Dr!jhV+ocg7i+bK2mTkjYx(E-(D0rn1ZqUHCu*vG~~MvZuq zTr_fdYK^g)nLvae!#)1xr0_$t^1DzrwHqI5VZQ-eZYTln2p?aacvEWF(DETLRI?hE z)zabSsmo`8t@&YI>Y+~5u;-;pvE8Ya3SO?NuXC#JMMHR-=9_5b*NZb#he_Qf2%BL@ zTdkx6bGW9u>&qmD+GVdjFI5Qv-qLE)G*-JylI~Mk3i!){@>Hq$W&5T}Na)zYlG#c~ z*@C^+ot=M7-V@eR{`s^JXUxy0g$&6`uG~#J;B;w8e8zl`(4J|rWhJrdrbaxUV0kfr z8<;9tNdTAIZGw9`Jdm5?v!}yL8zO%VOs)E-_0x3tFG+$m7u(Lk!-U!@pXu;lk_3m5 zigqcQ`Pej12u_`aOIXP*KKq##V!j5Zo}V_nY`naTdrIva2OXb@sS;U|Dz#lRbX6yQ z2BvE65j_3elvzms5okGdiF(%i4u&~Zb+&X&?<`*8s0+TQTQKXp-RyciU6=vu@J_gl8^FA@<=zRnu)fXgKIjY();3$1bA48 ziVRpP52HW*t6t9V1l>5tB{D+NrPL($0-Cf*4zDrY5CGX!gcjfK|*j)QD zTh1w3jU*a(xVFZUx&-X|fHxMVqTZByODw_7)lC5rR%gn^r+XF3f|HMLQ$pZW?y*~u zSxQ8_=gDCpKwM|Vdp>zXo_SVqJ6uGfurPrVVZy||L;OkE&L(ZEwhQSwWhcR{&FjR~ z7_ULKs(}G6smNWP@dU$Y&;*NcYA23q>T4u)p7Mh?l=h7f{iA6%AXqqww?l1>93oKd zlIE-GleXmdn1rtB0G&pnEVzJq@dDC&((R8*Y4W}ej_H=jFDFEkREUvkK%dYTqxDJc z2YmC(KlzhC`w#Zt{n!8YPrv!qKmP9TzO~=|wWgy=sWsH529(i*SD{hgmu}gO-B!*r z3?DZ`;zZBlIl5c9vFjDjDkmH`hs z)kq$?fPNmI6Mp8z)vDs-y+1YV0umKpt4iRvsE+Kk@ z+3H@Xut5szZqou4^s6&a4JcE+befjr)WFz>aH{+2rK?}_&)mL8t2-&tM%#0`F)2mO z#ABE1*wO;JNNZ|-dI2aLJ4uoRTx5i-MJ2vA?@c$bG? z@hae4=dUd-&_0MJR%tE)vSD42?eRER?AjtrJ@0!o`PnEfLH8K->S(Owt|{WN)HSzs z|CW?4SJ@I4X?#d(JX_qtzD zZ~|(irKx0i7-)@J=~N%dahMTjzAnGMt42-<&a4W6$nKKhy_7ARM4QtETLT@%=Mmb} zqynWeb*jLi?cRepU{(p3EE%wy80PaD-SxqF@w&HZ;7o9yIvLo})z95Zm()wvs(1Y$ z@<*?$R0z}4G^ac6sfA!HDcq=3Pz98C0t*2yIpIVa&bNAGK+F*4lZbtAkkj2x*WaM5 zDn1O7#=*RY#9|763COYwyuT#|B>SjqNgjnroC!W>4DLGmXG$usKxF9F3#8w@YXV7< zA_D~OlC&|dg-6un5Vr_S7!)zzJI)ZFUEu8Mm*rubmpH9YtxGo2rIH1uW(=|0aY7|p zs4;MC2q^g9=VY}{z{*)3w~nql5F)#s!GT}n+|p7613a&~vM}*vG-Y!SJmU5D^jckF zI$feWjYC`ckSsu9$6@jnn&!IHp?E@q%X}nL+$-Rp=(qX8kMP`T&ho%xu}gK!VlVO+^fcwyKedSX8MbL z;!>WA<@LJ4ikH23XBZ*$+2h4}Wv|3!q-enL0Xasg+JZqj*ab#mo~X`CHmU*@@pyYm zThoQTd`y+b_fV9^>1d)ic;(bO#*4 z%srFfc4`%ev6%j7l&JbBnyj>{jWcSrf|Gt~(C9{=_tYB^E+PU?L!ebg8-^WKme4dd z^-|k0u*j(Dx~cau^K!(^D2?@(L;1w4F^Rj-0N;O{L7KZkLuyWSmM6Ak#+`+dw3F}R z&O_r;bOn}o7*o%jz4Fr7L%WBL6JT&PUj6MZT0K%`F;(qiT3=u#@bHx@Csx;8!}D*c zJt`m9on3G6Qpe#q-02Bw5627+l~r#!k|e@(!3i8*F#@Dg+$u@<{Hp#XHE^&xFzs@zDBNr%& zM9th0*VrNk+r?R8;?Zzr}LcL5=eTkOVnYfNT|6l{Dh> zD02>s&YCfjTwV1sT~%==c@2wDyS4h}Ia@DGP@_2KnN%FW-uUr~_N0X`47dQLj?`DI zmWX>xa@?~@{pK~&TEX}D+VN5v3b58yLR2Ih?4;iYG=!vIcqfC=*rR$C$Ef7P zs&Z39S*`APcjo-Ql$hqnz-(S+%7jIO1>U;wu2#IP_SNh<;Fs^2!Lmh4nH*k7w!rEL zpOI}4EU9{{V;;|@tZ$cCGJD0cq&3TK55y-_uR1nPbXE&(Q;A6R7mhII_erKOkN^h3spa5Gd~PZUk6&V`=wUKV%zsdJKE z2C*d{ZW?=O)b2GoYsA)DSMDrxe%M;pa(Z35D*oa*K`Vs5=iUH~byBY8aVmnlEhuMO zZaljQD?g_$e1=LcHJ|8y-`F+SNu`1K1Fx~kpPRS0unj76iU%C#l#629vz2Houjn!3 z^X|}PpkkB&u?Cn!Os-VMQ~6iyC_F-FQ+@S0NMl9Zz9c z7O$gg2X!rLQnS_kW$1}iFxg+$Mv6&ZcY`>i)-(Xb8km_Xbo-*Ga(Iq8yv5RU|Zuof?*yW6pLo>!n=O zrTt`hZ7BTEuxSlCQnOPu)t;G9!Tb2=<#%oke^xJKuGSpatto0vy5P13^?!TK_Vmt| zd0zJPt3VeYBLO(2Xevh_MK4ih!`9;ymdG+phq%W-Vc%;@X7(dEZ}}V=Vs!{-Tg~s$b;qOjGW9{I@jwHcCHGvUH;xk~gcwf0 z^H5n{uC&4;pArxl_OK!oqb_yBrK?IcH#|?^ke@z{YNKYbVji4W9*iHt#+>@v0I<8P zC}zIkNDUCj(*S^75l_1I<4JZPin2(%CTpB>0!(k?*!4W}z*Wg(qCbJ=fORQ5^hhLF z$ymH1$U*XvI4LzZB?S~v_>elx%i^c3`RX@ds0;r+5{@~H_hx8Z?c5#N&1y~|SU_W( z9FT8MbeAYRfAzB~uwVZ2FaPq-{s3+CzyH;L`_*s%^Dq2A{I@T#p=&OynL->Nwq&S$ zfS@wmHx;H}UgP(a2|GYKF9A^vSgfs*3xr>sgk&m?XOx6r*K{})=objYXUt(!q2oz_ z!8>k&ZAtp`zhX6u)w2;6OSH3vbPSspCx8Py;$$Y6X;nkOJ!`rRG?5-pUVX14tIlF!UbXs_E z*h<*q&5W0h$BoatgTlP|s4?-)L5%`ijY*3OT$$Q1C0-w9CU7P2i-A0CH6|;SkJPUBm_c%eUkF9w zl*$nb`f6wZ?>W~Mzo{`vxd4QcG}!Le+zF}DX&5mcjf1W`y9%r7=1Y}HO-El9*&kJ= z_@&BZ)vER+X~(GX$CnYE?oy8&)lI1)A(%4s%M?lF>bZrzp+q#xo(no0Sjr2#uIO^FGqDEr_T-lMX;KC8PZsCDn& z)1h(A8g#h8uqv9@rG@%bum$kVln|w<9MonkABHrdGJj9k~s+>yjAoSsa^TO6RN~&XO_kOspRzZHsJ2lB9 z)#WWUnD2qg65lG>ev@Y!Ks@Puj`0Y+>=t(kCuI1?vlq^06)!~9Wp8z+eFyfIs{c58t+`6nKJeV&Ov0s*>5`C?wetG)`4Do5q48-3_%?zC?upnpdRiIbvLtimyuM z=UX11%_}r|nUa;^(!=8$vSxccVB9`x?L+lVCv^JZf501=j~Z&lQvuC&$slhHEx3jX zdC$X2Ib0JNk^~mjdxnKh&Cz|Ip%U6b#3cBh8aBs?EUdTqo~j(yt?4+xhIH(CU3^sn zR4?810I;R3>XPP9zpy7?2cH)2Wz}C~oEnf>-%F#&l$+r=)}wH4&Z3+NXH5Mhszr6X zgvrUIYXorac;VCup$pzI@wRuk1O`SfcI63Vem|2430=GBp+@*PiwOd(KqTEcPWj`y zBwwt%1^hK}3d~WVEa%Oks>h`v#`DAjY_X;;qA!&}IPjTHNw4uhoE;uQB@>RLqLGMO zRV+T)I;miC!dDHqFTwhubW~NgM?G&q5-r-zjyYqWGWugx!%Dn&i(g!p;Fv#mF0mbV ze@&Kp+F75C4m82)f`)oYB7v3%ehqjKbd^3}O&oLSOw>Js?A8RQt7j;>N~j6Ez}DVR z4EI@@f%SOlU-1$}ATq)?OEl${v#Tm4yL-x|D^?waWi> zH)|&PMjtiwjO|>Nuegs^RgUbW@deI!PfjfX#-4lfT^|=7s7n285+Td3AfHmn2yF}_ zDOBkxMM4I?H|=qFeFAHh2+3=Ze!r@y`_9pekC0y~c{F#K}OtZnZ_LPs-rN zu-Tr)prv6bO*+Z>fm$(i4a~E;_)hr(*KLl~T$Q3Quu0Mn*_i8`SZP#=iau<~4eP9F zY_$BEv?!#1t%)WtXXZYQAIWOgPkhReeyaLDb?q>tO45Lzxa_A$SYB1%*5eD{7T`fl zMZ<-l)oGyYT4vS1*ew8fXwt(lMtCL?@?uRw%UrzE{LcDH}&59mUdZOjroz?a7B zNJ*7GdI#MJ>C%tilS2l+E!A}O%kZMCv(8ZurS+9lfPxu~A zE&-cvm(927E1F0o&GFcu6*YVXw>{dons1HNyEw*&kw%0x#_BqqEE@;^{H)aJO40r} zeFfsXr>_|GtElFillZw96l{`vCL944AKmvBfY*o`G_$9#oSME;_Vg9=n6js@0J{m$ zs&vAt0pUB()0`?wjY*R}a34{9Tc1*=6SOIv$|dHn`cpN!X`meL zO9G`vY8Thm4E)TK8_Q>XujzB&AxlK?Gf(bQ>2!z}KcAZKZxeKtCV6_9{aj0`La%yk zZ;3kI60XzKgG(Yz5~Y*7miS+kD7#cPcd65(>s3Ok#I~}>UCqn7XC!}SjeW)($I08C z%>s|-7FpWkyU@xEK4qEXufX2J!Xg*JA_fl`0CW_@Y)uAas{1OZ_FVU)e zT0a~=g0m5py@pk7Wl{lsZ&?60bd`Jyr4Wn9SIKt(gNlW`Sl4sm)$!~NFxfz`_f?;B z8O>r+fwf)rXn;Ebh4pF#Z9bhpI}fT0#wejkxR=B>SVs85cy3T@PdrfLFQjpm9rP%1 zG<|Mx`-}-n1?y4v_ks0r^0SIx(xn@4Gp-aQ%BX75p4EO3ZMrm^9>Yr2hkS#yz`+9qOahprcKRQ(-J4Ot#fzBI;F)%HQdoZL)vsRYZoQ)#H%@y>ON zVvJU-gr)L*Tnn!<%mY-!eO$o$GQ38E!9p+VFTmi0wh_@+Ej=tV&RV8a<&83*YIz6W z5$=2{1;mWy=QvNbzs;b`@aqjhd<0IVa_LfzvUL)17yCJgYAPL=eD#Cf1kI#Qmqz>n zQi*UXRhIy@5>rtVXCz1FT2vduRKvb>8i7a}fSRVX-G`KSaO~>Tz{cRqfCWy9rhl6v zgf6jrVoR%rjZ?pq(Y&VxX?fI%IW!r&qqRmdxP|H4o6;!(?d-V-`}8VXZy88sBW#m*AE4d%bFQ2!^2CpC-$JYyRp_ z|MU-U&i>`^fA!m6{Qft8`(J+OVG9#~^L!wTHw6G0y-gY%qi#*OxFdkTJcnjnvN=K` zcQa?ZOo$qGllt3^saj1B(!#Y1b^^tG}?m?!30V|cUA=d+~)lpyE|;?Sd} z*^x(vOsw3HQt3iKTb3j;--OT zLDS9y8`!GqqXnkto{769l+0J(nl|vjnG@Po<(+&~w}Wzds%{3 zUAJVUCZ~J~w#9GDD&BuD*p7$MoE$aoI0Z7>?<7`?FNaL((lh8vZvIfPy&yb8`QNF6 z?XfnBInL`-uq~Ie2U?B!9mRYv*v62f*RU}TuWL}_El0rTNHJ0=1=Xi)$s~XOfMWLC z6cDfVAPq^R;hcu6)&I8K<&h1j1tvk^8i+#ihQ}sKHIi<5@JW5YK8f>H7p+E48L1S;~F- zJ)cLqGUIK{9DKJ#`QFAZT)Lwu=M;*0B$KA=WvOA0uwbnN7-~y_c;T0H6(zCqArNPd zskY!7C?qsqFy6_(B;ltAkWycEN>kiw=yO&TYr9<+y;D$0Q~!t##cS^Hz%8}$1z{k; z(5<+OwQm(`x0wd6Q>m{lqX{p)H9)S$e8PWqj{_yRrAGn5Z|a%aB1z*+_Qdta%asp8 zSKX5?t%8~&cye~jX-=DTG`-Yamo#YX&Uq+#OKjKhY~V{X@AlsJc3syla1|oNs(lUZ zN}~vzoKpV7R1Z$?*yB0ldNqo+t*OE|7p_Yq1hQtF?m}%o>I(3Vi@k^Ys*tg1Ox4p@ z@5i2o+f}Fyo)$KTsXTeUja9$ZXf6pQ?2UC*T{OYV41QIT;_SLQZLe9vF>Qlm8Y2-! zH5O!*7)o&b9%`A_eZSWzAa&e3sNoHN_Z);)LI82W58wgk0jPjkJ}kQW@ASe`JqYH0 zJA4!n>Gmj3A64LPGe<;HJ!f`OG}>*Orec1IfJItMb_)Hdi7=JiMM2f0-@taKFJfL@ zp=GH7=h76g=gy@)Pg8}EIY2_H+1|4>FFcI$sb#EwstNj2vrQ0Y?Tn^}X$X_L)TH32 z5m4opNbzzKt7_^r*MPuVwK>acYKoKjNHg@7yfFv&{LIA-nhv9heb`4+Z)e>Ta*uiH zLYvbSvG;gKq6B+UG4t1#Q=BK1e!`B{+`7qzAdItJ8dYKdVhakl!ckk9(znP{3YfC= z&=yXEMYO}Ushp@T5i~AkDhL#Th!tLe8_u*MdT~c%MNZ4lbtsJ#zi&GpzJ`xH*X16# zqlb99E?PbAah)2Pc*^~F;sk--NM-7liXrHKsGi7G&L8-X=vlV*XKos6uL?I;C6O7o zc%H69;i2yX{Dg5o-5l(&*>e+$Z9#34aONM;&7b_uA4NCM@BaD!^)J8q{qMdm>;C#b zl%V0{uuESFntfnZQRu8F-X}q$juo|As{6cus2pBN>aPS%`Xp#n8~8(lCMiKPe@f7#eL9l6Hco0os(H>HkK8rvG7rCJHO$pC@SKV(cDk{r{^3&GVI@Q8jcA z_FIC+DnY}GIaf4qBYhGy^?S!OHf@Y|c?0bS{`7IK;EYN@$7?q%r6oC6<37YjSL8H3uKbD}Gp9GEj zO3=iepc&U;o%+y33ZI`RXyR9b#@JUO=qo{E$4<~ZR@zAqc)xT>e}K~^mv_T*_7i(n zWIK)LJXbisYoN^nc5;UqM>0b$&HIr&=cYxG@AVBc7n!TPy0&H)NctI7XHyN+dT(v zCur2;JF##jXn=N~1kETvBxv9e&(WdOYOiTVgkr4wN%v0B;Q82?sRYezyFIzFTO2a* zoyeB7)})BG2xeai8oojGY)a5*ZU;xP+}{#3QwbWeyQqnHni%F%=*nVz5;SwcW)HZM zMAKA|k|ch}J3wUyPLOc$hY1>(Yr$A_DWU{TmjQYy-h*&_ayvm|?g64nJ3*7BRP4Sb zX!e9oFB-KIG*eemYMuERg!!vKfH31%fBW~p{_TJM#ZZdo17I}n!+~EgG4;|5gTv^* zWoNEHkX3^i3yM*AJPS^M2L;zQ!d)p6-N89t^Wdn##|d$gIq4S*U7+5A*HIqqc4`QG ziL2qGxe1{r@+7AVqy`04{pq7&SDM5nd2Gq>Y6@M(4X0%H9D1NcQ){4k-*gdJB}1X? zjHJN9Ga(R(B({C@b$`b%d+z<(WoL%6GrQb5f?sYa zF+2EY?izx{$yP&}?UGJYc4h#_2umF%gfU)UvO?0#DVm*`YB1Qr!e@_5ZJr8tIbKaw zNCtM~W4rJpZ1(jQU2(b+PAOf&{*o@cx7u9?&X0wcIuamYaZUlr(kAfsjcO?<*SAi3 z;ES6W4>2IwqRVwwtbW%NdNqM`9O=^aN=nj=bg#M{chwM1{|mkFy+SWa%-l-M1XT^e z{WWh0mw8GE{yE023;fu>5;GZ0p~Q^pc0n(jC`mcNw`-b-b2Zu{dzz&}51|Ona8nNl zxbXqKg!Dx}sOnwnF0#^ZGfc7kIN@^8u-aHo6@#&;@ z|GJa@vXfY#kw-!==366uI)(_h9(DDtWi5#t+#>XCNg`a@t7_7_E{$1{X4PePCw(P$ zvGHtQjO#gsUd#`PnM;G%RpTRM%ZolM`S+|z*_b4cKzN8>i5U(8*!aPV9xZ7+`-2~B zIO(lRfrpzWbs>>8H7w`l*&&fTF=Hg6%_}^bL3}@(hXf8oT>Mjnwn5yM=AFYCPL|)n zNpNco$O1l+2&k&u?{ey(<+A`Q;}-Vse9e)7>5@X@;8qRpkRYeyjV5~v+-IxztOqt9 zuwi*?KW0dM=yIv6dorlQ4B$I<`@axhy5TiL^VVL~TZBg$ZB=gP`*Kq~z>@bHRhdt< zS%HF@I{4E##>OsE2-C2vA2Ks$x6$K)F0Z>1_boFcNrngP0{_FYbG{v%{U|ti$sUz* z*6VCAOQ?+$WXncODuEUXR!z6`&9oRA5%tMOd5!`M9O91y7|zsw!iJY-M%}L@U4|Gr zF;RI;&3{jDPt1CxYScA8_~mC`{Isgh!$a3o!>bV!#zOQ+@H&Au4LvHI@bvD(d_C2i z{Dc%1{3--cNGVraz~2K`U+;CgL@N_?F5an+Kpjp9YA_9<==B*rKuSfwEVL!N+cyBtWws+Q(bN2!QA8{CfdoY7;o ztGqxNP0GCU^@0aALQ=#@DFm39w-QEhUK%@ubm&jiG+hkgBsf4=HD#Qzs5KmQR`n9u_qJVC`XV^XVQRA>6Oi(^s z6nuM?UJ=V3);&1Tn(JUggn+B`NjQ2*Z&V`el32c0c%QVVWot;dYxAw|0bhB#g2LQ0 zuY=E0@7&1f1`uhqjr2$tTkbAZO{*9Vj3QXFR5rWZ|7@b}02~rKbQSkN>J&a_=ISvKFgh7^B_Z%UhIUxDfShQJQ3$Fe-*7?IW zu0Mix{#REz#*x9sc)ZO@kxvsbJE4Q`Xj5V$7}9@S$!mAbr-vA4t-ncg6{H za|O{O$3ZB2W>*YW8V|L~D-n_*^IMdxNyetDvRu-MfDmab9GTZ7ENOHgupzUq>{T93 z(jK}G(ciri#@F)4@%DT@oNFR&7t$wQGPD9K@ zJe>(ylU(rt`WOg&jzNm_I76d4A_7%b2gMZ{IOmikMpJLRQLVScq~;ENRzU9xyscfw zMWmHVZoxr%Sh+N0zb4jhv9Yc}Q(A-zyxNrcao=!`Cqx-H>; zh<5`9YigM)@}u%JMR_D6q;r4I)AV;nU2bmmM8H%8pYCB1pDU<&P6dDkBX{;t8PrrN zLxb~-9pA(gv5^nb!AOSR74$Pm^h14o8|i=}=SDgh&ap2$e-cmBoP{xF*+@t7=$^HV zJgY?dBx~p%6+NrFXnrJvwssf03*Z+VMnOQs3@r~24MxDos@Lr5x3wPbv7PJCN= z2BzaZPAVQvX%kq*%u=nW>>?I*;VsoDquCWbSWc$jb=SmuZnk#wmL-f zcV}8s#XeynXwV#1v#y<|nVKhXx(g2ke7?iOi^Fm>bB{ytykTgx{2_U2!JHbwQp$J* zBgw~8BFElHAJkYDo`~S3E&vM*9IS#ztM$8ZA^#*;*)O}4jfCSEu zU{F+VMFr_d;m1lZD1OsTe8zP&P3j2WgcGF~1eiIW8dwxQ?Yi6ZxyV&_z4U@skLDo| z**mYR_)*US*6B*pjB=Jsb2{KYyrL!>HVNjiv;O)t$LYG+5rTtMw$v`zhU%Pk{$zf@ zqPdMM?$M%Eh084G>uOt0QZ>Dp3;&@3S|&*8Kw3mD6Q5*RR&8Y`{?jmBdZ|sINnUv=vO5KXDJfWIiOq7iH zMPzCbK=<}lec*c!sd^b{+Y?r|@)g{ISAkecre$gZH3+Pp(L!R{Dh7-h;zffZRVVyIPnMq{s}N@@j-{D?LYr1gnr}Kmx}pQ7)&;Djnl^ zNhp!+&;MQd>W&hH#r+iKP}ZyHF$hUDHUCmw7S$f^)`6sxSyeX&AszA_A6flHXw|bZ}}P>XqeNhOCYsF{*h*`{%F3&&2{|@#r*Hi*QB54YsSBuukrt8zQ%v@HT{#X(cIgv z{QW0iGj_ga?tG1Erep-4e9cDz`uM{_noqta)qbgv#{QVE;dK2xUt^L+DvBEX>wHbu zIP+;#pA11G0NA>|5(1pt^ZEG<_`a}RldfI@A;bX$=9r(=WEVSzD5%hHDv6kd`|l(+Y}ex9!} zJ6|IaZrzUX9_LT_nseuC*xB{NDlDB6dRA@CN9cKegr0+y;F!4H?mMvdl0*&;|4Dn2 z31C@%G0%L6u20QQ)d`eADvzv-ahw-Fv2~uqJ5P|0lU=DgL?JN1Yk=789GX6J+>Zto zxSjRtN@^J!G=wDRzID&Km3YU=x#$YAH*j}@opU}OPBT>b@D1z$UojQ?C~1R}KLI;d zH7mE>1DVd|qm61<7o1ZSroZOra_T-mU?|iZN=!Hft|Yh{}1SybO)hG#K#OP z1~7wBX5x!L{9aeM7>#6}0XrIg<^^^%jYvWUKY*Pqumb~RFL`N+4V@-SOVa?u@r^=a zyIw^F%2o-;Kqx8_t^sJNTlzgzT=Ns!(Wwf$Rp)^;=N5C4%=05{8!O;c1Ho{Bh%VgO zz?1MO;l7TGy_SmDh0B)&kCJSBe*MTiL!AV73~;At`q!ma1(t3&m2u#uDh?Vda(q;t z1$Xk2C49l1DY%oukjiso7jhrp3WvAK^Wfya=1%VmQMU$kiyMt99BzGS7>2IkoJw5o zDz~KzfcdZ%N(1sWiUQbJC0w%#3sVx@IkYbDAl<}^s%Tc-loa(fA{c4$I7Y&Kx}K7D zm3o?cHx7S*GisV3stG$1yHFwgQj=$GVEevDP6dtD(*(JD7Y|Et3_1w%n=jF!)+*uR z2}XuU067koCP{t)`xidsR+3u-6_zDNRK zLGnE@5ZtZmr9Ha|nBgs1X~~ttE{P0MJkZees}||BIr(J`3^vCMa9=`$o*8%P-(Buu zbnP~~dG{ro27gP}F8U^krXi4{q!7^NUYDZ8@nj8;uf*8yuSOt}dWb4WG%DVx5lFQ( z_6@M`eKXHH`;2QP3KghZ$P#-#G(}5lfwxjz>;<7UKD%<*2b`DD5rP&F*HmM}uVyTn zh%e15tv}fI3i)8kq&A4Nl62k))ELXDORlM2S88j*IFAI;PK}{A8{eo}7}Tc$?8GEC z91qYRHL<-qz2Q}Di!fAOPE_N;T8y$aNM8=UgW*o0gvpS@Jy(z3x|74jkMTw^~bJf zD8?CGcCcfL+`c(+WWu}>%E}L0>A4-tX5La-bV;*iG^mKELNtt63~L;TkL`T{b9aMi zs3=;Zr+=MJS9~=(I+e#0T>`g<%tugE_fwLpu{_+GM z-91WyurwHU(|mAE?`Mgc3a?HFzWfHeNH#3|aqKc(`PqnypgIkg zIe)6-`(T&j861$QCbVyfnxNs7kQ}M93A-d=7mZ$%kPX-+2)pb;_fMim*yV7Ef7Nx9 z@&|FfeU}B#@7U$Xj;9ZH`Jv-UByvxvI3(%16E$t8wxTrojrlot$^Qzwbcn_L0lOT8 zg%5TyAMC<$#AsBNe=1D%S7MR60g^KFlQhjuEq%A1BR|g~;HSaoBU zsaB#d?4m0x0WJ)WGZ}O>2o0{MCe}tpJ+MoDqRRfdn&;GVxD2?&fn8W*wQZ(3jyHT& z*3_@UU}2XSwr&#+ zgOjr&1*QjTdOVN{>e)DUYh`1y2Qpf8m(sCi25NkT4br)LPALN3nL z5&F9BAVqPopoGkyGNLstT|6fTwW$0E!Z(PqgRuC5XTBkq=ryNeX!L`8_#RVnY~B8I zXikNO+UA(g?>$dT%$~CgkhdS0Ex;SvfjBK(WxrOedbgcC)fxycIlGx z2P|ehb}LgikO-mSQ%M6^#w%n^LX~tS<~QX`p}sFjY6eZIXeW;4lz6!MDui6Tx77R>pcvq5odoM90V-h#LEh}fFDHab=1p6jEqV~egiH5%3;gP9pq^XP2U|>aY6gs+{B7q@S*NxRQ+)C`wtE$)vcTr}n28)&iD zPPqI4T6UK!4MR`|LPa_L3vzhdnoFu?;3A z&;tL)jcB4_ZEa{&pQiq*k`3|#xvWI5-#7GnL<*+Wte#5o|W=2 z657o~GtOaBvKr)8!L0n#2qGk4)@&ggx?LhXOKYxus)6TEm_-uGx?Lh(5zTNEUYO;6 zJ+K?Pj%$J}xJx6<3y;N@lsV@MS#(Q-YUbx3w^o^TVddVj!Mxw7B zECveEq^1r2mC4dHVLp@a&iAt*y61f6Q0hfZPv`9tkT@Tvq`*==MXDWgl=Arz0G6Z$ zH+1;;jmqCkYSx6J|CpMg{9;sYBN*kh3IOm6!VtP9CIf;gAQ+%cH9sMkv8R$mO*d$& zm>C`fQ*7hNvNo#1!Lf0cf=txwZpVB^ZLxqub30wV#v@^n`ANR;oVZvqmCxnUM_m*S z12dnWUTu&qi1a-_$rotje3CD^By=P5)vfRXyuzW2$%`gA@m;XvY`CoqZ+yDHl-~EAtGGErqwVdR3H4ru?KXPFi;wKL? z)H6=#i_J^^GQq;ZO3v;POF4mgjLpYPn!~f9Va94?x;XyY`O|OZGHEwwb1w6qm`>z7s&ri-5q_n7>U8T> z#~*9Xj;yiLqZ-{~Srq(Xd^Vu*@I*O+68GF5H5fZtfRyNC=adkwLrnrS5Jzdf{+QrT9o%UPEI*R(YIi&JN$3v(IFRa1!#}MZf;-f`+5vLHVw8k0*2*2{g;m zpYwxg_Qdq{!wr(8u55oo>Q6!(%HSXq_x%-9trW#kZ3~e*nmcIy81l~HR&qWJSzIdi>FhjQI$ru z(le2b_msUVFu{E%(bM-s_!*M+VuYBsdb7=LZW?m<*_+I9;^8zcU7gwaP5?c9PTS)7 zS4}EageMLS5YWg84jWL;sw3r6(IhA85-HIHzP?+tIaDEwC2OM6%^8NGeYv;4{6qKl zZ5qwrY8uT?s#T3lEh(+ zi4)q|R~_Q+A%L99nyuQ21$#Of9y}8WYr58xtEBjfRbfELk2h{=TxCDYfl1UXfs^@y zJ`x8ZZR%&I)l?R%46Q0&h+ky3$zxbB=m}}M*PO7O}S*wc6dZh z=DBol1d$rL=TN;e;SClUK*DM~3tf#;^O&0K2jbKy3JrZ)c;}O4fHM;7boAK^O(lW> zmiTdP;k&BV3cEtw94hf=NvdW^gB!kvMq;jX!~u;`dHl zQiUO3*q0CRpeSanOZ4FKqq~q><8!v|#6HcprdB>#V=@4|fcsd0z+d_0NBx8#hG{S9 z;oGEMf+iGpqobttfhGi_2b&?c5Rvlo44-7b6XhF zr9{q`YlExZN9-EtiFJS|-J95YN%vq_1FPAUfD>P^VAi;{@o{b7BMF15fJIzwu5k37 zVa|I7@{g{K1s&?yhTU>3=G&K8?*l#29f3wb?pu{d4N@H8?~+Q5jT{Lw?zrtP2u!@prfU*k3PgOUPstiN z5;cKEbF6Vz(DAX$0sTF6KOV1>aH+Zwf5rJkTyJs6oFX*Tm_c710XM5kou4dphx>=u-gTqo@lDz5dlBa!C{#MmsCC!pF zx^%9C9R#AJ{(uIw!;s84=W?35()Oh7101OwpjpmHd4eXuf12At zhe3@!kNWpGo^ID)ICZ@|Q7ByM5rg>fFPskCy^o6J&uqkCyWMmNtBY;5l@R6HN+!mhRpD584YLz zhp_9a6^3l7rv*=YiIU)qp=oi+g0mzkmS!nRLjx2xr!{qIiA}Jo$K|M{3%U0_AVJS9S>Ay!L>80_CAcNQOwfw;|408?rZHo9^cKI)bL9PMFd zqFL7kr)JYS3)pv)CDwt{RXY_ZUn2l+qWHn8@G#PDg6#4T^-hwCz1yy-*v-y16|48Kh%Rl++zy7Ol1CxLAPrv@# z-~amW{*@&9`S<_w0X@&}{`s%@!!N-;5Lb?XJ-uTgw?@6hD;$n@OdaK~eopoSLc()n zUQd#Kot2oHX65Ep4%fgb(qrMQUGO^`8Uup(e8SXso2#4pdT?t~&>% zq|1{G3{j^%5h5}A@z^V@8~h1_lD5mOT35KYdHCbP!Q3hnT$-$`3|=#U>_@dTgu*xI z!eP#S;ps0arlqYgN@jQue5zMZ%Sh~72Nlo+>1b43a zMf0SxzLd3={{CK3;z~S{22tN4dYp(SCIYxJEo#Ed(%{0}YWncJ`Hkn{`lQ$nd{3~f ztJ(?L2;Vfgbk}~_yqi0f8-eqvU0Ffh$DiG`KcI&X%NsjW^Bk&EsZMCbZI*Zb$nx;O z_XXfNHJ)Y_RiOZdq|vfR-@x+N-T_%SKE0h9uz=ZVX!j+f!MX&6B%uW|7Zm#GuDyRG zxWa})n2VH&52Ec4d23jn1XG&P5H;!XRA5OHTQ|l&x}o?c!8Lx8;DY7B<#mU8f6rTw z-Pl&=*44S-h!Q$8hw?~Rso*Wx%wCX zy9AQrN8aL*aFFhq$6}`l=SeC>xNpVm>8(in7%!1(J(gqelqg|EH799M4nV|~Ew|ky z2lrHt&Y^p9*EKv7UU*8Wz-jo!7f!-Ti;{&qj_Dp=@?0AKrt}N|X?5u)w#3n_hR2`z zc{SsZc$PU^-YD0}}+2mI{BBVdxsAhu68*8@KxCeM&qP7~*wBa~BVYDtXQ~g2J{u z7ua+j)oHvgm`%g3G7P3@h?WM~X-*4ARQgwK&x861~!uVc#{jzb;mI3f<-DaOEVN}LAp#BbKB_AlPbiq*cYnCs(DwJ5*@ zwoNlkl`xsGg{g`6s%uf@16YtluQ@NulEgcD+^0rvWAIoW2cz!!J#)5mzAZQ ziCrWSb+?uF5$9D7W#~$r-+21cxA5m&U7#Uk#z7wE>9&G)kwO=K)Q~t${cO z1|kg=Ctb22fk&0+n>gEfXo&kRC6P|o^W?OPQL)J(UE@cZ_g&S7MKi-L`2gM;($2AK zs>ZM^?%j{TSM^qKFSld2HFsSa5cdm$2tL0msrHZ!tRwDemQt++IjLzg4FX9d?rgY51QNqzeZ$&9`EaUiB!iajXX(PwQrERLFP@?7F$5(ky}mSY zJm9tLurJS|v6srDt+KPJe!lr~duX6$PQB}hl0lnljaSy^3+VuA+#S*mVaX|{J*Bf& z<0D1FSXcUJsVjM_`}uJQ=>VSGmI%}Bk_N!#dpW6C3`sz!CjVAPp2gnV<>J1dpHdrL z0G*20bw|>qnW17v2dq+GUISl!CA80cMKGIGEXv7w>k`9Jm)Rp|b){S`dCioe@4-zI zcl&3Qd^u+hboq0nqxMe&(ixfwX0F1EX!_F*%Dbsww) z{88of4|RobnhkaGeAE+B5C5~Yk5|i#+5EBV)}NJt?uhN|w2$ULE2Hhpxm#T9kHa{E;jmeE4P}J8NnFF zvt=v*m&$14{|IhN4WLq5+ywZ$V3*Q9`;I=AZ*_$~Wwb?DrerL_kYE>NX=&Cp)GAq( zQRpD5hPCkhUumCzozaG0*(I@MU5%=DjDz-M=}_nfC=xDx`zk9Ot(4ax}x zl&O{oWwgB$gej8%ac9k0RSw%*$&H%burpwSQPm1}JH9g7(|_>HBhT2C8E??u)U_eC zzfGgTl9i|e#-e#^FauZ`-rdv|+hKiwGyFM0{J*J<5(MFo1%{Q`w3feeJ`%5!)`;$% zoyvXH11-JPH4deGhUPa+d^>PP3JE*q6V*8P67^Z8>~e6P9mqx%*GY4Ui@dxn05<#JPXg(II~ zolwmD)EkCN#HG3bonwL3<5XRG~2rtC5^58f+wO)wp zIQ2XL8!B{9?RXfM20mOkP%v6o#^*RyVfoRMVdwK_V&kcqC&Yqu#y7gBm%eZ4CBRNl zhZ_gpO6fcN3J~{+gm)adCQWDx8}ND#CJ(wUPt1nWcFiD!Ceu0LZ0z z)yiGAqf2^5MaZh7z&1~QSl3#8DSzS2(#%y!b-QtjHI^qEZ0^*}l2rRWk$m)H@Y|r@ z<>yr=p8VM(tJMpv!dezBdz(Q9s$@LxoL`(O23eGWkKk_j6quunko9PC^F)do5wnEf3$8W{s;A3_HG(+cGy!HVN^Oh%Jyt zqyX$9f&Ag#GLL1T}s$X>#jQCP0Mnryv{8kxa@K5FltJ-wW@wJM;$Ra!H3 zc_wFT@oSpAc59@4vsD?!r2?;3uZFW>_eHO2xRb_Ds(lpX%}U}$&T7>|w+N_EY3VT8 zW_etn;BMGiwx{Fn6C;Zi4|J7gq&9~IfzcKFa z|MH7}{MA4G{x`q+hhO|J*T4LyU;pM8fBTi{`5*q_H~;0=UzkUVU87ftoT~;_eZNZY zNOMgyDN@^C3c|_~#4cIt`5b+KorDx3)D8Nf@$d(pDh6Hl?A8k+w|KYHfIu9>r5s^)}#qQfsu7>^p!1^edrSpp6D`zW_4+2$S4X8wzMng*@0lKbMY_eN2qK zRahOt(k_g*KWt&)D#ZkPC@`OYg+ z4q|`rw8`VNvS3t>;&W3|jTu7*qQU7M$j-h(q;dY+DI!5r_?|m#=1ljYm}r@MGT3oH z3uh&kPmmVTha=GCa!ELEX253yGvK2>mD!ucNkmY{q+pg7sf>I?_%mSF5dw8WY9lYh z+4^I#0E|@U&}3i!34rxig>8j6QRK$~@wH`gxU3^$ch&DIwt?rQo&-5#74|cjU)-Jp zjnO8~La`SQz4bfo7Vgg|d&^}waq1M#jwMnd#$23&$`Fu;NFFjdDFA_7CN+YL_Mn8O zkdibJ%)kB$XH_^fy0}nva?k4cCPmhDp^0U|)-s;{==Z+|@l_ac@@k)mVn-N%ma$E< za@K#y8!V|40Yoa6o=ycKo!gKWbXqlhR3u6@ETT|%p(nr#XJdUf;&xBL04ZZH3%Yx zJgunPN<8A@M2KpfR%ye9O(Xx6AFQ5ZV$+6K9!ja5VR|OJza1l2fRX1&Dk(UT_#MJ0 z=as*^@l=Osiwbf1` zn6z`i1T)R)ulX1`_Q`N?@-p|8?L+E2aG-PB?^)%$woRAKYKZ~K*}y^7Y{yg2kXu>1 zbG`#L>OXlYFx;u_VWHkT#Kr1si396LMYF+8!<)wzB+@SpLeUBLSuTMfRovi6t^D1N zz@eESMbT|BZ8WWQ(q1L&y>gr2)ezg=&vI37w?<7FHQ5)8RQCguXCG_9tPFmbn1iU- zthRD{10Ny}ziO`6`hfCPh7V9~zI2x+QOG$#v^qC*xxnUwSEr>@DBUd&vAOr z3;e0_Y`g0i`i-B3*+F3rc03nDI&-X_jEO~A6qgOK@d|(w|3xL+16kr}1-61-a}`s9zr|#^BOi+eeSQMCYb#mLciSm+w@G8yb_Bbk)!< zIk5{fhlsnG;WouDR2;^zQX~LU zH$Td76iEh%Z|F;H+vD9GZ3d^tgj2U!r1RN(s!?65KT}H2^0o8OU)htEv#K?mx@mQ+ zU%e)&Hc9rZ+-!+r%e(p`8`p@Or?}Xd}M&4v}UezNLGqG{66Sue1*hy;S_h z#M=V&6R9WkdHx*VGUhFxArT4YVrL0sA{b{sG#ub^p^(CY@4766)RH3#gZ-2eZ4rrFy>1}&jfU_QsyN*5hnN)dH?^rqj8u&QiJlzFCCguA2h|6RLJevhSW(k9m){ay-3gStF2t zpDt`QhwM-vZM!6p3&;CQuYSuln?k~!Ca34nz0E7fWuiI_xHhH03PE;q^PP!3l+P`T z*=`Zg@Dz?P4{wgEH9KCXk9@n^wSkiisd!t)T|3Dep}GCoE2Uf`PI{XZNEUWR4FoGt z%-SZdq2=w!Pm-6hrtzHs$K9Z5C|2jrlXzY3r3236?X5lh9#cX9FJ(o z4Ml>M^RO9pXD};xzU)VtbsEZPa15a0Zb#H!;d-i0(|sDD4!>pYsqh(Pq;725mlJwn8xkeW11I#%F~QlQBy`Gp1I zwePVYSDa6gS}Lb})V@`?E$F2*q^jeE2f~|2#)y&@+j0;gG9 zqZS*ZtNO|wxzm3C*h1hTRV=NjsK2Gf>g=C;^^UUA@S+yw@>r>g*mUb)#1TZz1TgIKbzt8XfAO&|sNJThcP6Z_5age>c|c26$IUr^46Q7*?a9J=3op&= zze0GM@PgHXctg}(oFlR79RFBYmSbl@Gejsr@Y2fZ9MJ14#?)Ed(E=FD$ODWIZI`T|{99LkxI|O9HjGW*1&(iu zP34gIfz&B>4Q_tW0@p^EN4W;KOb#Wc>@Y6I<@-d;Pzd+Sx&>~LbAgv)%Lwnm5-9w) zL{-ck&z08A!QFj))2=HyapA+AO<&uqPj0j5dRoXFET*icfUO-1$+b)ff;|%(1NN1zTCJ`)z-)BS$Dwm zYjyVrYs}xE?T*RebFZ-qwrR8r&>_bf9eLAYQ$Ke5sIc;lM<|Rhlsb*{OQ0#H?dNL6 z(V&poUVXA3^#Y`$Tj-nyOy2UHT^Z`yT#rgzrvlWt4lSx-i$|>B#%ak-h7$HSL0!Zf zTB{R$jpq4ZtP6k4xPN%~^N7p&6QX5KItdZ{N~ZOl!b%{xyIweutg2mM0P%>_@!Qo5 zJ3`Y=fUW!eu#Lat0fB5z(BU|O-Z6Eaq|j&r8#8R&FPy(o__@w9u6t~{HoB)ip%c&6 z4OVP9f7|Rm>HG&7w2TXZ|BK^Y#KM(nxRJ>z@8bQZg_5lZ`!F#!DZi-w_0ihe7}D*b zBrj#f!>ncxNw+w=SH+|y+OvbSPk;@jjTQ!E!(zu!%Fm=E)LBo>cG2)vlQCTKBZv{+ zF^El_#Yw$Uz0LY>Kr7rqyioG*Ya%cl9K9xA%I90Eq&t~i;wO5v(?e|CR^{>d+r@0R z@DK}p9ZM97@2~B8>`@dhXuy7|H83Y&Q7C{@S&g@8(c!H52m7dOca9Zy*JU=4V5hb7 zV5wln0Ie%(?GY#6s9zS(#Q)|6Hvuvfaeh3<|*ZDbBR#@&fb)roFJ9l#T^ zp#Dp{M20pNJ-yhI8mv;*=se`XqJJ)tE7-DSyb`2Srz3{NXFIkN@)xtbp>YH4b&cP5 zjnOt5k72u6_?h!!8rkRry-Oi>k59RB$+h?S$GRZDuf3ixy!I*~`)Ew-{N=R3#-Uk+ zrgO+vb9Nd>y&+8f%DL8NSnRb2qvXF@PYELYo_nv3v?3z|A`g4ct^?puxfN~wa+$_w zFzFqsi`|~G5~sKEZa)4uMLJTE!VK~cs*25+D(&JLo$d`{wH28Sl`6J*l(zcyi*^}| z%auwbgqGOYJ@D!go}hkMRsATBMPzuY;zwZ}bsUTFiLxlq8o&0Fh>iS8@IYn%<6p+y zg-nS_Qxf~qAFgX$Z9d+WxHPOEA{IXzF|dAE)6tjiyn!P}Sd$mGUAM=nJy*7N-c>9X zvB~OS=j-jzy;D{@SJzB752_fL>rRdiu}kw-lgR3l8gtVQ2>Gi@BG{9AO-fsWwZ!P%({g!)fLiHW6M483XJJ0=UZ5a(1RNG&8aku%9cL0 zven_giARrY>M)Ce;TaVnhLH9<+!*U@R!0o~_3wzdlvlVRHQb0#Lbj+X zvl)Oln-+D0kWibmr^2_**d1>HYu+r3D|<2F{3ZNk+v{g}z*W`UmIVORnNdX+-HhTu zI70sRFB&YOb217OqrXwO8UmWwjdk;+gaf#K#B-GWlkf0Qik=e4h>RMxjbvFb!zlI3 z$^j$a58)?s7nz(HvjpY1n6HaT08bFf{Nh8j@9HxIv8M-EIP)bnALlU?%F4!ibx=#z z@2}Ojr6!g-F5r7%$z~8LbK8Qs*5&>SkGY<8d<&Eil#0mE%D$xE1uMhC1$Cs@{s>}S zQBjvxNI6{gTL=n&46bwy=CIgoT&cjL9j|>U6*0)R`GX}j1^P85m3yIDW|L3a*)0Ep zWgI~v&5}n2Ox@Q#dy2Blrrn?ImOlCrIq;#m;(6XBQ5R&gLlpuD>>v>m613s{|<)7#J8Fm}6j=R;#t~gg!VJSR(`&7%> z)y>?+)#(3sW5mkF%A%nT4+c4N?rc8$b-8;Xf`LPUzP|ncn)92nm+NOigS~!y$9jg^ zb(4Rza7u#}pN>Biqe4m0DaX;LF`$3CP$(bCQiCI++G*K(#~s?7R4gtjxhsID=Pr+3 z@tD(a?@!_O(-B%yn9Nx%vsw0;b7$6|ThlP8Yi_$}ZeB@_*_W}Fwh~oUR9y{+C=i|B z#6kc=G{Q@EjZs8{`Sk-Uu%bjvS5I&Do`Xh&>!p%ISnHo`2mVDYLCgGaG*bGc(^rvXgLeS3E?qjJlXTD()P{Ds2P_+ zPnWgk*=bOxKfapZ@pvpF^Glu4mKGz>?zQbTHHwn^WuUW$6++5Cd|W0o=qyZNV+8w@ z2KRG!R@5OVL+a8YXHY9gL_BZw75qPkEHqVtfCCi_tc&n}A2QbeHe|_ZXJzKY?!P{( zOa5U(>2RsM4YTOD7ctGp=+cV8^`RGvrbJg#?O)tYZuretHc<{Inzy@88J62j@eVy5 zer#BOf(}x<-zTfPJ|0qy-utV&p7v0;-ls`F@B8Dfy52vc0-U$ro=CU+@2-sAb~YZj zKCidFSducYHs3!s0y=8GvNI=4Spd9>0j0A@=qb zR%!)Y%h>i*0n1HiL)s|2CGXILrv5~Wwa68`T)QkOQ$^eTjbX*NfYRFvp0H89{T%-z z21P2P=G_Rzmmi{su^N3)+nTPI;$8OGtoQb@&i~w$Dgh85 zo0;bEilpuTmQRPvY&-sNqQ}}V?b*JgcQ;y^9orId{D=OW-_>2Yh|PJK7+aYxnYnqsR9VX(jP#lhz-8vTrUGYOpOD$r7yn zmN_M`ZaJ~KXc$ebaNIn~=Gy4osN>lLsBW>8OMs86jn0a5cG0kCnMOad1C9wkjTFY3 z&mHfw$5dFjp0&I;Fpe9_8+X@3DElkG>Jz_-qS&4} zUavnU`R0y}z?l(2D}StJY1_N-Y1iLAz|%F*wI=5P8Ua(|`AR};Yz#rg4Pc0vG}7~# zOH+1pU8uSK8JeTXZqpz3Cb9FRR(Vs~#db)?|D3UZ`&Oo$-}?8|(9swXb3O#XhO0jf zkDKjslGp4vQug0Lwsp+W2`eQc!Gb!=qG4fp=aNw@LtAIyWg-`9Js~aSQPZ)1>cKjT zr>?h5C*zUQ5RNPx`efS?5pUbc1c=z$BOjlL3pDS_ajM>%??8G)ySv0rGo}Rhwv0xh z(Pu)E^ev}G))3BI|CMaQ>bR}w2OD01>ll)1Ynzd+TV&{SBXF`uUJ^dNv`qBaE$`@p z>=Th#z+p1vwbgHqbMD*JFYL+piBuvp4aNF+g3uEK79IyFK8MN-zF|g}M!U3atI~N9 zky%atXD)wiw^a7ZME}2H%)2Scb0N*3y!k8)Kc(hynG+XEeq4=%(sp!d4#rJ2pNr?s z`;x^b&xWr|nHo`AxzOcCdOj}R$Spj0*AN>))%%rGg{52n>B)YoZ~P>^ZkqSMe^KG zdotG5XYd3}9uECFUbvvZC9OKk*&qTfvqR7H5P*(!b?N23$zF%Q=FwZB@^fEzQQmfO zfoi8=-?oVj|2S8cpvF1x&~MsyUZ9KP%YrJNZpPhHdT{r>rT)5x0*{X!gy~LVN7P~C zB5Ag`O9<28He6@fP3mpGL2NHFaY=W^foQtaf)L&#KBT|KFh}L;3fr!Ar4LhQg!Ja% zNy(v^QTyGJN3eD1c!j#;ywok5t{y~1Qbri+RigxdBtac!oG{-j*76&UD}jTzhoaeJorKqRNFgX6o!;(hm#E+@%pf>)~_!$v6F_ ztIdMZFaNpc_<3@s^lyL7GcV%pX@h&~^Xc-@=f^fqF}F5CD9KxrTyxlT)$TE? zLG3q!)ZnPCgvFbeBnDtct$1R4T*5d7jZ#Rr4%N2UGXE(Pcx1OmPUV`j)0^e|FH4{` zLnh%T`6x=0-lW8Ii}4$lJQM9k@uKOUQix`+f#LfEelkhAQW)&-Q)yu`zYk>=yfR%x zF}o0(hAj^VZU50TvhA{i0hc7+>yjRr~YnKi$%Bp&CD zx31A-8EFDLV?BfCf$U*&=;Rs#Jn4p%S4GK^LQ40BlUhLnT>6RfP?V#^Y4*8%VOJT+ zxVnLa;^G$x9TmP)MCtSf#yA0Yvu?r-Y4w|9?BZY*I~Etf7)_^Yzq3+9{icRW16Iah z_%x4Jff6r1?-JuhTXmN4a_t@@1_%U&qd7k_)^e`q9r_6xbu?;i#ZEw>IxfDMh+$kR zM5oH<2vgTr1*P&J4z(_k$6nGTao+0= z;Gzl7T?@5?EjV_m0HP5zLhXkL{q~i8jE1^da+(`QNWd@F5t2>%`sH+xlL>eW8NC>6 zDSnpEZ^;V|*rX(NlR?;nXz(Z!eMciig3^bG_Kn!PCp|3PJAIopBIqAcqkzqsFvZ}T zbZxrQxQH$4*)Mpy)u$r~jio>|?mqBQA&UnjO4;Z3YqEf=^Qh^7Y0bwdZ}#ygDA0F# za6OfRp!nxVCvj^}82++t@l}x7Fv0_vHTVxmBx_`?qE!0~2@-Fk6GL$4KJ^I~;##~u zQhIcYRE%sp)SnhKC9?mR@H@iTGBV;RGNju&gRQ_0iyV(6Symw*gVCDX;3CO*?MNos z#)xqx^V-zXh_xxbSOoU0O;G8Y2>VcO(g|Wawf;)KbfHsR3ZM|e#2*faIBFCbZ;qt( zCcTyDV8Yn;ABQGK4qC7WvgqmoI`Lv!b!YPd)QufzG83{)eg9r`-jEGi-%UBTMbl#Z zc5DxKy0Mf+Syg@{6?$`d$&;j@4^?lYfutQt;~8uzq};NP)Iw3sbHI3)R-ECaEv4WaWFyjquJQGKZW zd%?z-Rqgf?vs63QEKwt`U6ICt@U+|oA~#Yv$`8PjISswt^|#{sJ4`H^bm+jO`V-!2 zUn{8?U!(~%>@qP2b$6^qWE`JVxhNk_K|urdqP=Q{N^ZQd;kWDQL7$(JNp0%s33O@b zKv>LBnm(?h(3f<(J;WhVXpp|#^S8~CvZBV}8Xbdu+Hi=xjq9fJBpF1W4VP^~GHK&~ zV8xnRcns~(Xvqo2Cw8(<6-iNppQbo)bFi8{XqXkht*a<% zHfjGDtlrcaQeX6azqxYgscrAZz zO|_aj(YJns2aX_yhOB)*HgkimqmE&C&lpO?3snDsOqX;$ZS;P)Wc1l7ap<*bkZ)Gr z4wkOreWmX}An_(;mKIDs@ClO;0~;McS@(u3!4*KkhNQ`CqrGUa1_?T!W;9?ZjDDXt zXxoEP{;pLhNv2LG5{98xR}n8?*8_+SQ^_H3Zj0q^m^NBMM9|F1$@bw7m){D1t`Uvo zX(UTZ9szl`(#QUcYam*F3e^Y+9#87jzE2)mR$1Z7@FlAQ<&4x};Iqru&?Xewq?=jz zn)EMpg-@*GH3W^cVc;`as(*_th9J)Ge1Aks_mwrvMx|yHRuNJ$X8Xh2@LQCP?4F|T zfugQYZ-<+jEy0EMEai1yuQ(K6VZTj3_*6f8?d-wKRNB9JU zR>k#I1j8Z-DKT+$;PRnZ7+uHx?t4OO#eR`Qib#;sod>>8jV5k$p)sFBZlCCA0jlaQW{0z{2=l!US-1hILu98%Z;MqloM`NweFakwd}+ z74x&_FiEKhI|b^xVu?jzj1_f?@h@RTqvUfjR(3~7BkV|qjE}iRvJa!*@;IC-y!f~& zI6VA<0qX6vv64;_;5jAqRSYu{Is?g(RRE$4A#AAF4*d4{ee25SqqPC9n*{=g0& z!V--~0_?O&oue;e4sZzCH=X2#w(%quev1hW)}qAnl0HOTbI5te0R(21+bQ4)+OdXJ z@7{j_8Zesy?l8l_yJ-qg+=zH9wMIti8@ao9{N0E6m`+6`LW8UYJUClUNOE{wpV&nG zPsY^2V$MkO-Tt8f5~6z2uWp8G6TiBe>VV;aF_~3*SHwt6{U8}0W8O~tq$UDzC)x_~ zYF4Or;{#T*nTc$X&wk*U>RdT9e93srPNavXkvBjE7!*(4UH(8C{wtgd(MRzQEH)@LiDYPp2yG30!U2J6>&kzaJl8@deOl*RF>aO;?u#+8q?4Xjfo&P{B^5l7Q z^+eStY3#wYlls#D9`$4KxH0j*Kv=h>f0n8eirq5v6dyLSly{hz_Jfd8=-mlW-AiuuA)Ccm!#4LxD|Z(DJL zsTqV|7A&xTkA8#i5G%#4+&jTo1=Nvjcobc3$fD}<7mxJ6$O5*VC{h=*qLP?@A_&0W zB2dP-0%^VjPDv4aR~5%G-y*TCacI&-Ei1dM)bIwxDA{nRtt-_>T5kuw$@k0KqZSoZ z5gPvcCp&kk&ah-O_=nv`%PtmF@&o^;^uZN53Myc#Ij#=g@ju~)2&8Ax=P&s>{vQw` z_WvehdzX%%4H897NRD`M)??DxB-Hq6zUfv^&HY)t*syJB_x%J>M_i!ZKhxs8( z7-}f#7TXLUXY03l>-XC`v5VMD|Msnh{&~StlXcRj4aE&r=c3E&>5OI%bk<`AI~4~zEt_o#uPhWXD>>O)0h6LwBwc25y)rp;$W&>gRk(3 z&mPpKQFwGPADtl%+16i`E!v9iGVrS~E$i%JoI`g-$YLwZ zuuaaw!o&;I{uIR2uu9rY5D;E=K~j7(A^Zt{v`$yk3L_um9}dA$WP(Y^HrdZOD5kg6 zwq#q!f)@PKCWOS=6#?B8dA`Dk9JgY>CxXpP99$i*Mek}eR#&yL6^@ZI$TFPc4{ZrG z2#=BM2ek-oyDlsx@$U+Golc!@wZM5M#>uB|em6s%r1jJpoQ5gf0W4iOd(XKy!Xs&q znaTy*)4pC!Re1jREX0_6)UN)tbAjs#?aHlQ zECw;nJu)o2+0n<_tu9&eVlg=(AB&yv{*vQ)FutV6&J}d416w^U@Hki#Zi5_M(l5kwF(&KZ2jl&&7rfqEeQn%mIV91neNH&^Mv#$8TBz6f}2`)ai-s6z0H{myiOG)KVu<6&t_=<{$z z3pZ@3yR)+s=;!YFetMAEzvkKOskOu%cBS|zY-m905YX1??d|FQ6B4a|MU_w;)cUhVap&q7bo$;SmD9$T=nUGTywShEzv3V}!ZpX{vOaEcez7ybF&z9UYN6Wq ztUzNEHKjJ+Ic!d=1l1p79L#@5bjp8ld=;qYPZ%JvpPwUOhfgok;GK89ADyX?o|yzH zm$0W7Yuhn0tgJYBTvdi)#Vi*7M6jaxjhCtL(#5Zwlqj6T6s$XpsGu-OVzlE3x_%|$6{ZQz?`hETJUVGw$JYZS#P5695Fs%#C* zwNzrnw$_GEM!&ATzS%5_V}p zKTV!|KVJ?e$+x;bA3k0#K`f+r!fW_(P(wFQJuS?3f(_Zuj?S0S=>H__HfE)V20{L} z`{mrojHahSwL)x)F8Y(yr<8z+;pd1Ox5rppV@$wTZ3-dQoTN%E1-(=s*_tuP$86g* z8oHjvk&b{h-~bDz@{nD~E*)O7vHn?wg!wLJB&2(?DL8=k^1CiWhirc>EwH3FN16=FOsrFa29 z38>riQ`)hVQ0daR;_JoxBk7@%0#=@2=TlOAz$D(N5gSODHKp$0bC_rTdjmTTg3xDB zt^cSt=xv;G?=77*l%1T(EO;`&EV{BqfCYtrZ|i?7ufkq&+4a7r%0$Tj-8XUlH{Ya@ zxZ!}siuCL1h!zAbvVkBA48gkPR_XW_!C1}k^B)2ZUah@KJM<06i&ogQ%3^4AhBtRw z&i-m@s)~t;X=;i&w@T~iY$3Hq&#$vx@G>=XA#*A0V9nos`AVqD{~R@J?P`3ogr@!V zb?tHdvgNbprD`nLFkF=XH~BxC*qRvHrks@raE53h zL@2x@3-iDbqfJj4>SqgcqZyILw(rrt-rQa8tQtDz5T!7` z2AHh_Uz>fkJ>rlwZ41DP==6da(h|p8ucf$9-jG6Caa|1}!+S#ig1M8zq7sH28uAS1 zv)N<>uiT-5+m<=72L{q#ip zK>Cg7TgYar-D@5%%2vjWs6?K2TFCM7)RTy(@=8JGGM8E1AB#T4hDhGIk>vO+c1!f& zyJYS|aS6n~Vmsjh5mie^sj1A03vDmmy7mE{-TFpbn{O~rV_+E9-$|t0W!eHWV{#9| zkb7$E54Ab8M#B{jv;1WB>TPo4bs`7L6SCyRE%Sp8)BHRF;;oTeN0`k%v`RWKofqnK z+6oKNemEESM+fyD(fW|x|1zy2mpH@vQ^!AJU3Mz8iqmq20Q1ld&idLyZ;}XyO%|m#m?dDJ1cuFRep%V_w?R#CIB48~Kx|0?sFZlx?0H8vT|9|(oN8!v+3WV^F9pLdEQMrT*@Ce>^OIKG6_zFpnggU>-{6cRN}(c zjt9vC&VBj2B%@hWYaYHTdUzlfE8akPh|bV?&$$KySSdV9Qne{;kEWe+gQFSYL=d;n zM12TVmh5-h%rr@eG8RN*%sdf7BLdYp^(YG02QIPBkWEY35PN8pw4Q5B;fmDqg^ShY z$C8bMn76shH!rV~;&E?ZAvdKGYwpWWx;$NQr=mD^K^*!X(Hb2oBW{pD;TH73eq&!F zm{ZILBUnY?lWriR%T?#hqbdbY9fZ0SlQBCk8ZmW{Vcze7F{CJ@3MQ5O@}Q3ek@3n5 zi!lUK;STJRD9>HE5oA`jVApTkxc_NB<2Z2rhF=RYPxSxY;qm-8^T}Q^v8Ce1fZ4u! z!TtbG1#qA9c^W~O7HUS(!OLh^{rlyVHra=tLbaS3^7{B_v+6L-1G2yb^=I6;m1~sw zygWP>yuD6l1{fN32LRtct{kgr zSf1gwGCuP@(TZ$LgMTXAmy?%cswNf~zX7P_dS%ZTX;LLxwIf<-nwhYRoD5a(3b^4v zco^!RE^BW@{^om~MokX#5J#|M#{AOLvw7Hv3@!YHt+7uuH@j4DR;zc0=zQVIJ;4$B z5AI1F*HTJxK3HkBy{SfOsNVwwJ08=%#N);z(6sbRfB%?=Bet{^Lt1%ZQyKH(o5}%4 z!!A+kVhzX4Zs;L&$#6F9Q--=9?RN+!ZRv+m4VxLAMKks`sursjssx_Zd}Q~&SfCSE zb1hs~@ywc4zo}#mGW>W&UFtF}@~Ni7Ky>GWh40{ulje+}F8X=v-dE}MGHm+Jl)rLO zAreufOzNVoK7&hwu>~4`5_$2(cK#teX>$T|eSV`gtQb1u^zMeK{IwLnqABOp7c@xjj&g9{|p-FJMAUO@bfQ$&d@zSg~#y*-! z93A9q;$^p8RJHo|*9PA_D&dTP?WHJ{(nf_HA+`1;5c(6!<*X_-189m7i_DK9+e9iBh(i#M;vH`U^gm@OZ`Ly9 zPYWeuWk#K{G#^(&W_)pn!SMemb@Qz#UNKHhS)73FW&cU?9a$=w*K*hDLc3r8APx73 zOnQX$xfRCWIbBnEjqP(NxzSyg*R)D(`wLg8tz@f3=%bdr z7;lyrUCdb5!HuE6UW#aSF9VAphZWa@z<#`@cB~h)UTDsyv}|<6Sknqy+kEYHp0?07 z4hzGhYe;^@WuhUG8869lqERU~lu#5{LvYx7m9>~>|N6Hz&#y?~JD~pUG^~HJM5*Sr z|0wQJ>yf*A^W%OkX-CU3fCE0n&QkErF8jxYSsM;x;6Q&eh6-yM(^D?iUv?5n-0-FX zrtKwBc{yJZIn;TC>2D;Ik?9VErxTn{}ro2Bcs@sku7v8O6tvc1OBlv1MAnSkrJ%sPOjSR4>>FOK|1cXDXPXXrvR z$36QBeeys(dw~Ddnj7NmL899W#ROBdioW7Omk)8Y8(n+}vn*PhGwtj-Lc^ zDN(*p)G4+~_IuBJ!)|gkx#a8YOZ+_aUtwzZQTGdT>5X$0KWSo5KatKE_=h$ccc^Q_ zY2}g#pCHRa@-qJHQLyPa2Y0c3v_)KAfj<9~1%o8=xEf$Ua3?|YrO8*U9fgd z!4Tz)|Gdr8y@v_YW3}GLf9%vEl~{q*q#DmTI#<2t(rEOwJ8a{;uG|mq>H59#&^FaC1$ zvkc|n(=ti2PqG!-AOYV+MFl@WT-Pbj%hWe7zjtr0_E(-)H}`@e2k!fFkJHUk1OCfb z4`tN#3)IXm4JCta72&nXYX3WF!G}GQ7nR?mX=UWB$1*8YI0IU-g>1h^yiDmg$Jrv8 zvcbQfDRVKPA4&XSeD#(712KyEP2WlsmQ0M{udVn#rR;%D&q`0?&yh%Kn?Ny@b)%kX zwl@cUf$DbFx$=#c4a;@8D36Sn3#oS!)OSNc&;FYC^2N2%3oXlZBzVVo0(^#3BwABJ zwF%iD3Ru0+GInl!Y^n-B6T)wRNTxkOCh~*$v+x5*ycdQ~9v-hd?+cTN0R=A!8v>ed*-Z-!!11X||4#iaPkzt2MZT-Bv&(Ct zN?^AAsb8$^iumzD2B6&rj`6B$enabwM(85V;4JK>>zifVu0pjd-?{1Q>>PnXi&(gY zAWpLf$!J^FMV(H)-We|c)o6QZTO0op{U<9>=ds_zlM!^iF|WDdLtJts7uPmrf_%8{ z-8z-Y+%eTF#_(qTJ!x$t2b48zduO^aDP2?Lrsfs2*oUsWlDg=bb13_|iD-m*F>Bjm z%A~i8yGVP#P(rD7HI)JyFY27HX>wz-Zj7RjGVtS%yr@0ueBD)Bu2$Bxwd3_j60`IM z(O%A|>oM(3>OK*rI2j6F)y#LgPorE4wwkYun@%bFG8bQ#v&(JCKFr`OH4nsBfN ztItJRY&MwRdzZ$T+xp5c$t%4N1+xue0j02?YZmS2`M-;HvaVO}8B6u8rH(r-zEviBWRpSlt+zJ4H5vJLdavkT z6wRq4rH51&{jozEMnGErS6kaBMzq{A!u4lsl0?*4hBfrOk+-@J&gO87P$L_ax%g?8U)Gc4o(N*``OXgAI9yy4> zC5}l7P|)OSxOAAE$*UGrk2NW9mlL{FTbcW--}4HTzmc52hZs+rlMNm4_T1V)54Xs zr(9&F34ZkkQ^ZBYw`4}U^0>Wq$3~}11CFlCVwbF9KVsM|DinYHrhZdXQ%?u(3*D+Z zhfyb9@ioGwmZCb>ntq#Q>F!%$0J3Rjn-Pz0&Ykl`ZAQI=Z=gaJ=GmRcygB^NF2=-T z#3Rvdpy>6({=hWf2cLbC&f=zNeL3PNzEDU~1I$D}TkKmKgMhKc!K3-!gezfrKzC2~ z%L$@D!0Z22(s^dJ|Kmn;z{ktnqIRrahgG0a>E{%gAaL>`@fFNtgzN?)!y(s5ZAk$Q5t>x0fk+aErnA}bNlIf~p z*kU5qnFal*F|EsGZIS+(av^QOse9Jv<^kB8K&gFX1TwJyCr8QvcRbS&eHSO9?JK(F-iv_eIp-AU&Gi-vp#5O z@yc&4tIOl?ErrUcCd<83ws#)nq0@dXBiI5N7jy84x2JoKPNRv@J#>SB7cyviyG%Io z(TDvPHg}(UT<@mzm+KPnQgLaPREtklI73bZFJ7Eg0OZW+NJP_WAd*mTkBIA0>j3Zs zL(o5t#O@8QIh-7@d+Rf8rmoBC$>MvqZSn8BS* z^;~n393Duhehzzlqq~|b?rwy~lxLmwY z8SHm|0WGeVGIE(d-+>KrAmGvO0XEvwdDaCk4<0->$W(ziQtsHg*kN(K5qa0I(guAV zR%tlLXViM9w&T>qFt}7KzGlD=1|X#Ig>VQ4_7X5|FY@@>_9NA84!bXabK80ZXL7lo zT#LE7;Nf|~jMHx5Ol5G|_Z{N1IKZy!#DCk`St7J{p(E;{Gmp1m#QiaMQwF@j@22QC zX&1qZB?b21@*2)=iLl=*%Wpe$gJ;wtR6k&Z1R4$}N;;(1i56G-HVxDC+1K z+~SFiZ|yni)PUh}Y@cG!tNh}#aY&h@zmy_g&V=R|wQfTcKiMS#cQo4J7=j1O); zjxruEP9rAMJ%(vnaKi)J`!!tC{vacnAHn?@;sbv_gD258e+4Vap>N_y)d|)_VO+C= zE>P=_tZs1uQsIJy&|Y@TCOqx7E&gkHy!-(=&CYB$u!Yy$&UmmOU)5c7&qX0Q8L*)y zqq3xXh~lq2Ie%~bh7P#31-l0g@twRlK>o25>5rJFGaz6Bt$iK+--Kh zT)=L=k}h6#z0GNTKG+_w1w7x9cKi0gW~oBG6T%wx7^cnLDFGRaQYf$ZL=X+JX?&ec ze5^8wb6TI1A$P{68iVN+ZlG2PV?A!P3xBer7$Q-{WI-smG z6Lx5Z&9xR~uw5jxw~f<=xj)!yD_$zpkRgFm`KJg#nv)n)X)9z%O6WgfOH82Tc`RYE zT;}Y^^wU~3{W6b;AI-xoS;~!KD^IpKH>0|*%N1+i+E{}0SPOe%hiog?emYeZWsT-H9 zON}c9!UC6O`z|5+4nOVpFnJ5K1i6sdwQy7U_gr*HuQ#Hh8#nZ80PP$&Ou=_fTPAwN z%X}U^eYZ{%evnuiNdBRY79TKG<1r?Kdu^3@$UK_^O!jB&s}%>gtcn6d$qsrKQ(%V(=$A>13E zng0WWKzzT<$ewW(&JBRiy%n;%O0!(b6+n%vSvi3hDzUg(kHYXZ>IpB4%csSh&pTj=()=D`s#o5?}>FM@b#4|o$Y6Kn zb#qpjfq#Et%nocMe9HG}v)CZkVL3xER#5_g5srd~ucFGs0Ao^d)p$Yh6;_^GBqUvD zNDz=Huh#@==0ai}SRr}sDrkq9E5R>#1$GcT%DbA{TrwVa^n zeK_CCQalz{~c8{gM;6?aq?rp^Ef!OfL^Imu++><$>m=MV207LKwZ`|;& zfBU%z{ue*{#+*WZ8k$FKkJ`wxO8(eh+f^ho%VYqafEJHdu! z;P`q}(;Sd`+h>1mCIP*yjj679lo`^KO}>1a3MQnH7{Im*#o>|7zU3K@d_tvoE}ZkZV35XouJC9W zOoGSqNh^1YLkr7VVbTp?IfKasE+0IaTLjw1oa5!eHG@Y(SXUTH#0+%rvH20~;VVFM z2P1SI3IoOE<+4M4t9A+JDvQi3@#pFR-0U$<$v2oUcN}|PY!tRygxjXo7)21|h&chm zJUIJRmIy~|Zt4rY+8x#n52W~T0q)_@!dhZ1e5B{Hr48zR5}5_lrE;8-u1ZwH8{K6P%}meXqGgAbM`V!Ta- zU*#R>4U~ZUmJ1(XLRu6S5%tA%)Wl&8;q(ecaQqsl8q3Nm!sxMFM>})Dpg@nHq3Lv`I~{&?0X?u(&evy8#~nA|$2s>%q3 zggd-;{4n-_|4pZzxEBxlo6i_+gZ^TUI=lft3D#j(ICuU*f3a^y!OS2+%(-klBwXA~ z8`pYqD=%aAi}%~btuV&`BM|`0fxzR2B^UrT>=(Sm0b1j5!#FCKXGj?71!M{Wes{ngE(~MEV{9F0SmliCyx<ak=1kM9m*H}3c5B8fppezUi`U?B?05GEv zspTj{pdrqc``aHrm>Vu9yc}1-tAt~D_iF~R z_5lbEUnVe;JaR18}jQ;Jho}=cEMFHczQ#AXK+dOMr^$d z@*CivEz1XUvJ`TM9nLZVA9CM5>C@7P(N=RsH!twQ;(U&2N$u)EsQc9ci~O={Z~&Yv z*wmEU|4zdJ!y@)12i)8X`E`njx3geKZD|TK!hU&8qh-P-naguMQX2kuk^?@3e&(C~ z;zAVh> zVdvXySf`owheNl5yR`GP;Jsf%*k63{v!DO^7ax+~`7hsn_vJU=fA)tjzgMvR>2DK< zW9bSEk9)=m?I0uPuB+CAGaOr4;9nd)_8I)d{~E=XvyL9f9BeR~$>3u^Mdmnp1N`cxUCS>gmAn9WoZ(hD~AzCc`t-BA&>96~2kjDl?cw|FFoN za)f1&GtPiT@y)R?kQE#vq`QR~i&>_UE7yjzq4eAl99LW^xMPLI+2)`hyc~v1AtWwJ z)jxp;kAk1wIBs)+L$Ru>k~4*~n~Y*mcr6`zOuc?);T-sOfsnVk*%mocc#w1JoWgB3 zkl+IoUD^Z|Utouc1#29qfFU|6kBBG8QTMIpBb^*5s zMdNqSqzrcD+NW&|c2RgFxX9L>(Hdo+r(H26tZ{F~Z*32dSS3(*d-CaEe4LeqlMhUB z%$PS`UjYppGJjD1V%d%|dBc}ri6e$)!H_A22R&3utSX*|@)0MXYy=7=*qaY(!IF!0;M@Tg^*P ztOOQk#Rlxhc7Rs#{n!tdb|8{niCL_q4aWvKx!}|p!jx@LGLTm)#|-hF*n)QOwXDHx z9A=|oEs7ga!MwE;t$H*;0DjjyT#XKR$QB7 zb%Yb=JG1|@QY!F%eI^v)3ouH@PealK z{@a9;^f=`N!Z{R`3s&J+-(8gNV&4@ez>VN(QpBYnA!CHuL9bA!E-MBuOu#kkX0~2Ig1#m|!vy64<#8vubYR6}&vjKQ z3v=LRT>JrtsQeQku5qTq+1A4x7dIBisGAo-ns)NIz1XmfP_)*gV7~AA%rViXwOZt z7|6t?cwvaHu)`Buy11hQsKDMMI&VV_{qoP~yt3gN00oY&Oh=Umgw^R&+R^SRkbQy# zoM5s%xG)F$E(5s%ipoH$(s#ax!p$o(li+rCSe(6jeiF|$szGdohm{LHmif!`>QKiw zU}aQ;fDQe2fmN!AF)J5ASO<0kW6K%8p4A{8)}DX`*y$y4Cg4(wFu=+dhO`>1Y7pqx zv0UN+eE^oAut;9TW~PJt>=RC`?4uS0g6+D6m14+q#rwe~mu+?1b#Vw)R;0K~vt}H+GsGDuZJMXTNnRjB+W8 z&cr_BQA66W+x=2bfdc*>dcGRXmeD!}VTR>n*P>#cn`>5KwHCO$|=T8Nr;a&=yvD zSxoj}tDehwWQ7SjK$}HWO&#?Rm%KJDQGDBNKB zig6QXdk&ZFTZ8!S2aMivF&jn@2V{8+a5M)ji~I4k9DRK3h!)__oBmA*@YOq9z$U4R z1@Mx&?jSZ^iKB*#O3T8Z;RFqKSFsmSoEh_fV08mmP4Rlb^w`9!GnVDd&+Du)j+(=i!T^N;3Tj*zZjNdzfk}3V6&} zYollZzPMrXx3Vi!ke-=xEY_;DVFoDlsI<{tp9cfn=i)}Dd;|+7m1E9@b99D}3iK4P zk1FV@z)cK>3KB>8&zcm!b(N?VFc_UKZ+N?k{<#4 z5U^}Lo!x+l6|kSqdZ)`dM=ViNe68OBgMJ6>St`T59`S+=v$pNre-3Su8FRR&Hs>-~ ze)Yfv!=SBIeiCQ^3Jj}Pcnez$q7KF@<6kRA4U&~GElxDQrv^w!8HnPJ%|)!^Vuk$f zpg~j8oTm<{q^gt3%4gG)W5;)uXYyk+*4RVNAaY>wDXpin<^VqxfQA`ohI_me*S2nU zi(xgMF8MdV`Sq`V_45y1^5>uZ!~W$Tzy8Y~e<)5be?P05#9=QmNPrJ+^A@h_0GTRQ z4$av(8_?e--j}Zge5?=$YzsaJ$8%vTxfS~c9N`>cT|no6$6bN?+BkdX!f`$dmIv&J z!F14$3^K&4>mOl@dEz*CbI0#NL9z)x>=-t7lvCZXTY5_21H%QGmvsdYsel?zaHIJEaXmTtqE zcum%Am80X%DVXmS%-iS#--;Xm@uOGIj*o1{}Rk!9>IM7Qpa|zX?ls~?~3M|jekAr z*zzNquRP1BV^fykxP$r4J%ahz=_YLLrV-7@DsWd+$c9@3La2@n2c$YSY-T*65?7L; z-@xGl30hjkj4GcPOmveX9BdH|G%1=N@WB@rVq46J=5t}Ow+iM1r&bkRVT9q%!0iJ& z16klGH>grlG#|Rd(=96YcoedU&DD@kzaB|Bb(3Zl2(W>fwG~y)fT$hbw1$n3!P#=K zRHLe>NXMA~tm+ku!?>PG``;OLowiY7>Qy6yQ97|*ZLMwLZ>Kw&542qr&Bx3E#!Yos z7vu?j1NNL^&Ta(rFD`(wWsahn=b8ePOVRu(;@K-8%qN~tT@HLf>;njJgWCxZwQOma9(fsv}=EJ7g7I&=Mo+q6zMclU$&R2B1afq8&IKMu^`9-DpdwWFl z1v1`5^NXVS(+(bZsb%YraDJ`cm`6svd{D{;es)mo5TDU}g>omuWmY)9nz@!!g_0P7 zE5C;GBM#c%4tkWbnXC2@&Bv2+P8StFn(67D^YG4UyU6m+7|lG(KqViZ>2wZ`AL(?r zJ)`+oUJH!=oJKg`t&ed2rEq@0*TRY~#q5*PFY?aas>-oJZ*Xu$iO6f-3%>6FW5XqI zluD;>Zd1`!n8gDC*b&?AanSj|5Mk*#rkO>VLjq~Rr?#@NaF??%UYNSB0n|Ozqk?e& zf4sa(jE8rYWpRAl0)w9&yau+%DUK{$;O=x^v*HSUow$Q+ArBqeT6S!k!9Dj~hFCHE zI%4|4E*!bV=NI?3Y- z=>z>MSFn|BZ7PIdL)XJwFi3+AS7mN%Rw%v67sHvoB8zQ;NpE^DqsE89l@@b4gbo%xD5;l5RHdI5F<78d4Id^^O%qt|Z<@&|AyRuym$ z+U4%Y1F*i#8+W$=F%{YZOsZ59+XpNF0@$YN?-UbM<5A!Sb7sW{b1tj(gE{YBjZC#3 z7nUWOsrpe5 zzAWs8dPd9w5(}H-_;J+|S6D@SyfIgzZp#`L?H_kkHP6-@s_c+WGOF)ZI!b~2ev~z} zYrz5V0R zwv!h}JPZXBk%I)UouiwGaHz*%==2C_E-sF`{8?;%K+1$biYKLSlUBGJdSKnUBP~f`DB&0D`WY%l0K+dZSLG|rMcMdtwNb$2ZJ|TkyY%&WS2hA;j}>* zf}L!au|BEXQOULLBXsi085XM&8qgFDsyWf-=;f7h6D4r`ZrY6ThGl|Rq4>w{4zEza z3Rv0}3vXUjod(uZ$umn6j&%nKD9+nPf%$aj*xhi-Dlk_Mll)*%fjP(Et`dO(DIy(h zW8-m;$#HG$C@_aNgMn1*$jyThLEU!oW}|ivFbUA?4Q~!<@Mg8>Ie0UsF+B?1HbCdJ z%OfQHveQgtN*^kDy@I$EhV8TQlMyt$_|yPO||Zsk$v7B?Ultj{ju&71>& zoG>A^*eFcK&n)cWqyl%r;$vN%ib0xsW5I2JK5R=l9G4rL?82q?sNG~0QveNHVAIci zUs7MMgFB^6&APFJ4Y%^BH$UK5XH?gbDKG?O+d)nZ6XxJAN=qq&*6~s*K3?`oe~~yy zxm(PcDrJ(~cYV0#2Xj`%_6vFb^{;;W>tB8G#fRS6eD~d#zx(oc|NP~nW$f>9$+#iB ziJIjWzIst>L&e!D?JOR!1K<;`1`o>JP~AZYD$$lh1pno=`guO4Gy}g^&Py3l>@{t( zs*lu>U{Ai`idBtC@L>pL;q;qbEOO!3l{-}3qdCk3Zh>p?mlkLbzgd=N)*rgkmuwD} zJ1IfcR6tzh2lq?CXtO|XxoenM`HS5y!-nx~s#S#>A1Wp00T-2YVnd)52231OiHvtW zOf{nNj%CJ5fF76W^{d0>=N(33RlHvJdOEe59x4N51K<+eH~j0ifFKnoSNOSQSFU}X zr_*eU8h)zNP33T0tJ&1e9ORz$vARz#hGQgSfukAz$X>wYlgDTE6+bsE_FR3_HC3Y% zI76)6%a9q&C5%P2`k10MVS)`8eOWG^Rd$LSAa@zO@fZD4QWi^`!wwO|^! z>W)$Icp7Z^9B`66eo;CH4BPf~O+5Up)-A8HZIC`T2P(Dot?rm}A$SY^%Vs1$%jnb=1T@KUe@*doQ@Fp@OWAj$?ECKT>^o3n+l+GJ#q%o{?sRI<%|j9Yo-kV@ z|31?1ss}vMnjkwCFA1%^)9+Yqqh^7FE93IQu~!Suw6-Lk3O4}AwWG4q{p|JJvPw5* z?$4FdRlJCxDju((;8RiswHmyYKZ>waEF>filMcJ=LVB1jkPmBOPNgOJ7A@KtIqqsl z#f}%DyI8Ss{?Z9A)lD6`txBk7MhT%xL5<3DxkIzyMg|m@#-AF%73;BBT``=RKXTmj zxvMtmn$&)K&Y(gSgQx~X$$0PCP4#35jH?qwSZbMNjhyrFn`DjrF|K*#mezA}u|&Sb z@~sOn0!xDjJJc!%VzFZFBiJ`e>#Ilr$2=AlGCFwvhKW||{RA_^`)_cP8V?Ng1@!FD z`|fSP_M`%3&f-8I8N1K?daPUP92+*v1T4t{WtrrB3#f2ifWOrpS9h>$#nJ)rYS47K z^~`lc6GXMe9kRchYf{Om)hFw}iuKtAACPkUR6Al!4RCi(& z+=1x|tZtN@G4cBmmVfRL4ypXNE2<4|}m zKsdPWs!BT*_H?6h%YbA+f{GbB42HxRUb6C0J#&EfBTW5~mS48JX|61)gW`pE)_0`k zd%%Dtg?ZeW0p>PC%yBVqv+|SD^0RW?yYXyLz)NI1GO0W=JgcZ2cvJDQ1@zQ1S`%Sq zl4y8M%1>{y^82QYQP^Wu^%NNRgi_$!@!GHQ3=WWdP&IR@%^BvX_hL-KDn6>#o#WNI$4!mF7S6D;A6((sCpe| z!cr!Pw>mfGf@$iU1ng(O@K*^wG+``HE^G&TAL`Nd4V!ycCPC6cg76do_JIK}Mctdp ztBPq+1_gMoNO=n!o7I76#iX`BGtpP@~8zr zI8`{(i_;wV9i`l_bp|r2?r)Ck27hD4ygFJ_Q(+f}usEYMvRRrj<{h)fZvvg`X2<;&ac|FjwBLUfDX;x*K=SK)jgooWOwO;bN@wDTL+2 z)M7hf;J8M&FV}@9_i84XRioVHfJZVy;qsZAm4OiIM^wo)fRg*c7Az{QY%MC*p>{#} zlfg!Jyy~GxSO5`S2}sp~gBSZUTNMM>2u_}rYRVjk8B4{zQa}|y+Hf_P1tp5t=(zJL zxdTRUfNZyTp`~Rc zvueTsG0$QFwh2TtiUs;K5THUH+k)i?sf}WR!#|1z?vMw#ZiGC{Y>%pF6o}ytd8o<@ z=rDDKJa$2E?oh)w2C>x zm*cHlI32{*;FXN3{l%!nZB}SeU7&mTVTE~MJ!|ox#tL^;bP#hXMI4bQ-tyd*)@@B| zfF6R)#Ek(g3VNvO4qpfM!oNX1ff!&=Klu_jb&zsyaMIR>sK`M8v%1YIKc5fY1psT+ z*T?l=BTzPjkSosYyl`w_LG^H&cxhqrL>$!vLcovrRTYBl_6nmtr9mM}Z`L%lA%GM{ z)oek(#VP2Mc?3N!3sc7xi!k_x(Sk%`dbw>NI}^Q1Xo9IRUn|Z}*=ui}L65dgi#h{a zJACPd11YVf0#CRU?Tz8$s@^dVoSbR}c2W69%mdujbB1~bGXaL`9Ms7OJUEg{1+2$T z;KZGPFkT(#i)BAJ)$WSsXgyuewtB!FTOIl{=25}30jxSNih1BR6!X|j&d_VYKiHFk z3tsKxl7iv4V4QDa9>&FIt-z&31TaI12&aH)QzAlfTphBUj<~Ack<*o3pYcvGsuMet zK}L7ptBYlgT7jVEzELX>pD_xJ+D8^~iE)c|;+Emzc*T z^z0rnkNT_?;Dy;9H5QDRM=2b}<0a<7BaB)B#XPEN1^P!Z4@JkSy6VAYE416QG0(1g z#XM9i5U}E(5c9cX9;y`>?II;8Or`26NEK_@*Z30i*aZ#Xr6cAMM&C8paf64x#5|IvExSSnD6Rp%%wO zu9vjASPTW-+tVk1@%b-*^P4YJE%29bzWMTxU;X~Gr(fp(|Nbw(`~I_kh+qH9m*0H- zz4TY+1^Sd~G+>IP+-kyf z;cW3qQ&ESb`VcqVf<%;7+2Kd^zy|h`T9`JoM+^SO@+C$8D$uAe$5G{Yb~`mlHXvz0 zch+9a%j279$1#H$c9tr#{u^h}PcS5Z|(+O$y8}}TGIAVor{)^Z` zAo`>C&p(O>KySF0Spm9TjlwWJHEH1}mkCpXTd#T=8>3s)Zzjna1+i3Zxa;m7xvFMh zmE6y$@G}h;d`hT8!O&IxQR}m20M{3}m0cg-ByQ$cx!rXc4S=fK^mhZGu_xYbwlj~n zr~$A|6-?+x2cUV>3{=$&?4awent?+XSP`Dl0NC8VB`F7~W&qRtEE$+a2Vj5J48*$w zFuSatXNa#Uz~xVA-`uzPE*Vf?oxJ-78c`xKymkPN63V*)@KG~RpEU#U%W&7@-X#MT z+q9>TfhiSLCYW!GI@9U!lFx)MGoH%m;c`a1=^af6(28z@dWti^{z=YAn zMWzX+h7-b8*Vs4JF0qb4WgZLarzYZO2Vgfx_eY4J8z@lW@wlBlfE|unVilkT%hKc= zN6moqapp5r?xGS~o>3|CV`nj-{qTur2Vexyx-~>4Crp>4ZfnNsjG6)Xc3E0({8csB zhcr3>fqZw(K)GvS?+!p^!a*~AQe9s?H<<6EW($y~`5Yqhw%uTQdManZsQ(@S*(8>?62umC{08U z-);{tofcm90LKjd8(xE>J>4yUW#DaWh4f`q(IC=+GzSx2~aL#5Q)QdR2SB$_1QV<0Lsy zpwRrL1yEg|UiAWr|4xf53eqoJwL_((Bj-@L7wQ6Rejm~w6LvpwL26RbQaK`vk6}@x zog1Ntm;U?DfBX68pAUh(cdWU5`TMW`{Lde`;#r)g*qm?9d?}R(&w(?C`QqlonbkW2 zXAU})Q2*@>XXfraIP=yI;EHPx&U_8dY}H+s%!sC!FgWuCc;0Q~gyP0$b-Qr56&D?x zS;CeZ_A$Yks~(KgfL}|Yi!;mPvvuz|GY(>K=GM=iUG=IHC~)TR5ohjiICB!%!d|IE zbZ}-CmDh>bod9V$+A&4nBDP+cU8Qb21Vw~-R*~hCFjuLV>|n&%eMp!U;D#?xLFr$t zy!uyIn^W3UKSG$X>6heL0Lf3n%nb?Cj}qqEb@O!iXVnM*^2!Tgb}xh(qcs6{mz-|G zY(Ghu>w_@24+yh@=7TW%ySo09gqc@-OPGP7c$5b0z6rBajX)lRIdKjYGvc~!Lg{#* z3m;Z+5dhu?VNPN*M=&_m1*D8gh#S7Fl*FBv*XW!zH;K7PA5A$<+ySe7*6YH1I#_j< zx}8$shx&a3^sPqq%#}D8^Of+MpiP$eL&nS@T$>nkfu5=sS&BVu<|*DV7_<6U0kE~h zm^q(b;!cxos=gVFxx$#^3uE4aU#u*QIqc(iRkha#V^$}|rr_|la%@!zF#QN)w(;=w z$(V~6^9f_#z$lon__j=7VQsuC2M)UUjZ3iBd^{QR24i+l#wTjy+pNu&cb&GviT|XEz z2Bk{bti6C=(h~MjIoz1wm|a1mkoRw zc)3pD5+x@y~m6D4tHr$-0-+e?M2 z_3{;yae?>MPb>{QUMVMOE3PfW(RYQh1S~c#RU8YI;x!3qlQc4sK>VN=y$k1)Im>Y2+ zB__FJrpwCJ!>Yfs;yA9oVr9Ln6E@3vQZ!a4#Yr}di-Okf&o5LYxX%u=$0H_+E zp^A$6TsYA%J?kK(^XQiG_|ao`d-PeAu}4#!R~8@_WnZof!)12Jllp{&><R?2INoOr$G)f=nGFaH2vh+h*f=l91C>?Qt#c_C=@M?x zbJgOuDFCbXo)ee!z!bm%EH1%2HO4qr8WVbUDfQv{H&t{inY*`5y(W7-au_lQ6L7#u zivWaBk2T@}F-X85;o5yx*`ef`)u}s6hQq7ud(9U9S)}T!bJe8Bx*BpE>L7^);M@ zEjF`1ca_I)>XwvV>$QW5RAoUZepuQtcP zP6>hxPQs|Sd2W>hDCG_VURqUjV7lLCwWv5r2l$qZzfeCCGbE%A>wPneo ztrjwor>l46i0uZ8&)o`G9vkdzG3uQ3Dm%p8$tX%qh z25I$vgAdsQ>?g5e2~~iepd(n78$33gtP>RAq)P|YsDD&}U{0|j$ysedatV8eIXEd* zn^|a{M_bf$yK|^jQlw&-1KNFx%3M`3u_!6tiSLL-fJHqf*=7f*6@V!U>|6tJVyq;} z6b|f%QxifRx=8Bbtx9d%ZJREqxvZEU0l8GGQbHS2!enDM1st1sW@-X+p*~J2z z3AY23gRH4}c3!b2QY2V`7ea}qO6LlGC7Cnex%c`e)rEv%3C+;z!rp`pGo(zSEX9na zvrd6h3I2h3`Jtm?yh~QN)A?-_n%Q-Tba8_?;*tk|Zl_{Z=cIyI>tQ~idUeczNo|B+ zGP_*Spvuej<4l(1y(FFmjWM86E2^Z4cq5^H2_XR9C`$BzPvd5msFJW{`Wl zSY|B0RX2a*K+at99o%g00EYTaF1GYVAwan4x)lOete(LFhkZ-ZM0h9!td~92@DYUK z&C8&OJAlzuiXcf&sRQ^SY--)6bF^;~FjAqMvQ{g5e-%I^)^R%4#k`6FY!VSX>*=-_ zjVfxFrP;Yl#JN9f55Q*JG-c`4WGJc5b(z#o9)`wz3X%+hv!aW)ba;lLI2U&$a z;ZM#>1C0|oRlND3dW3#p#pXSna17zr-p_eG(yS)L0$N+=W#@1KuWGpt>5=xLhNsfE zTqa4NT#LlB}T$crNO22+hqnHQZhiD=$ciG5Xp_9D&30)pJYtHl&G z5AaS{ZRHhZH`y%=mNaF~7*-Ag-y5)ja;NGz)Bh?X$m&gC1z5V3Fd!Ugm8^T;B;ICC z#F+3?xE{3-VnH*!Tq^0RzAgX}$ss8*>H5SenkArtwSsxJL)yfd=QvzcOC9{bRsA+M z>AfBjkfP6rQL?wU>44WATwMvH9`4`@)I&IggKF@F>mp&K>gMLQWtJ|I;!Z4=kz+JN z7YTSYsWw8wNKh)^m&!)^QMjRZMy~j2ER;HJ4=Au3 z@sAmh4+b_ci_1GqDz>1KtP+u1CaHJMl;XjB$&g7({gz3pl1bW!Op+p7Q3g^nw@NEW zrOHfd(!|5fZhI$_v^vQosq*nK51AzCkz|QQ1Cp03X(*6dsil(CCaln>WRglQ?Nufz zJ!O(&luXhVIh=lV(AoJ`Nt#|{lJbyA+V4V5>EyhXNvcOO51Aydfgvs8p6@bEBd9Z( z?Xd4-U_$5k6(b$&+z+Xi0rr-w?i0sT6|g)|&Q(RQu_|MD zb&fS>ej5~aUjkO%YQ)Y%Cdpo_EL$2XNr#&@<$ZFHR=w~Z_gO_2(xVn5e#j)TIva+x zM~!okOj7iNc7y!ONES_82>D{yR1P93Nja`8c@%$y6T0wLBuOZKFpt7N%UY;B~G zG->pXkw}t)<@nSxG{xNodpaei&)+RZO*U*7p46z~!ElO(Y!J3zwQ_r!9_mQDl#6b4 zr1`x%(kXQ$GlNBjI+7&ziN^H#8sHtu%yxv+#OrX(E?s3jT_*1 z57=c#F3<& zsG%)=6hFIo2?r$X)AGh4>Lrad83l}9w2|tqjl@6Q+DLt9Bbnsl76KL?+qUi> z6k%E{pbh>Oc(B!_WGN||_EL$NY**igq>;kp zB^htr_NtAPUbK;R_o9u|w`*u4X=KpRpVCN5lxQZGs@OPdbG@~ZKtr4o=!-IJ0LEZ& z%-EIcIcn`nY@BXXO&XOHB#q=1ZaBikU-uMhf_s3DrH!=U;iQe!RX?cS_XZ>!+DMSR z%kf`z?3XAs_?AyT`czF(>Pp&R;hi?pZeaTMiWp(_Iu%W%!fvyZ%etxOceL`dnIM8ywb6u|WL>ME-R01o*y%*zS^ELUPRW{Hx_b7DUY z)`tJ+Kydq36{`BAe7R?%SJ|63OVV8vaV{y)H>i1GTcpA;%jNia#kz4nK>nkqViZy+ zvJkeDD}zT+&!buoUkWL9^`^cnq-e29YxtPgax|$hR9vO1IGUPiKxUH)DU2!=;hMck zhoMPlon;A<5v!5~xa%m)Ln%p#juRB5YAE<@QyIlnM;!%ZY?mYym{JO`vzn%!brgOG zDSQ7x9mTG;9(i2Xkz-5m$;S(giFsXrExI#gD(_iW+ zG-OI4sZG_AVZZAru%M-m5Ls3Yq@R0~cQm?^(n-ahiM-$13l(!Qgp{R5-zB6RH_<*D zB0x~^s;LUx>=Bq5hmdlc-qlfXw9ak;6_Df*u-rpSA$!#qgj+~iU4ZMRyXYD}y-4I6 zSluuV0>hU-A4C^&(dwt(03Lr7V} zrr3s|4pkOqXt6Vgf*U3;QeK!AD;c${Kpn>vs2hS&;#u14?Z&#r1x)wyAsK#C4}tu{5)j>{dV_>f+BQ${g_ zl-)}i#Sl`)ybmE|V=;svL2h_x`NJ{_oNfoCe^5qY-jq@J52gso>E?3{Qq*{(r2M{& z0&=0&bV+>!4#9RD$@VIw42qmEXdh1zdMTrj(VS(cMLctLdsJZBqP>aNqK%Lqgd;phZ;tt zzCzBU1kJL_C?q!^|D0ToX?5G7>KQX{%91I3E^4k&@S#yhZV0+_e=rSc=sZ~oDN7bj zfENU|21u~?Dx#RoV}ijD1kR5t3Zq#t8ap!RjYrfLB3|NhQEI3l?Nn zq~?;yr$&%1w*&sw7eD*eFMs`^1FrwaU%vdfd}2JXlDM@CUvJgf9v5-aOA(xAQ&<%e zfM}@rSH15_j9;*UYoG*;2a<%xfjtJETAYi^3+zti2B3{ut$7N-Tym$o*j>iNr7;Z* zEDMIOszoq4PP{*$#b^>wJiRm^@m>Zn+_^U@+zIgaUAiA_n~za#v}mZS0{sPtci#2z znjtY_or>E=i4?Me63Q{7?=dLRsA&ZN=?I1t11LjhfdhOwDS%-S=V?{RU>%Ic;B?eE zhtpl4$OlkNwQGl0Y^aTwQmwzm!)O#$(C9v&vNvs>%&6&F1n~gZd)rjpro9{11TfJg z?)*?$$eE3wbFzzE%_WXHqjUir|(5R7Q zu^D`PR9_tyDNAx{;&6bIHjRzx^D%T5?4k>@!r_Dya^B&Nisx+YbefAh8;IQ%UCSZn z;$dK=RTr=hodsT2<2qV;({iwk0*Z&u z0`@vXk8gRx?L}uH7}b`nsih9deCRCf>OY;9MV;c?;Ts#l)~|&t1Te9O^jdK@U?hOd zC3TTy$P>19LMZ0b%1g`3;(`^Z8|s7{blixO%mN-`Oz7bPxV;z^>W)4#&MP~J3ugHq z1r!<2B|(kRa^RI&1?erLjdk;f0#PQ<4S%_)wJm9e6s~1V_sSaM*Uv}&#OOG1le_CD zLLKUa&-w{<+^>>ovucQgs%ebh5!Y>>@l?B-9@7}1rD;MO*U3tI-^u~s>V$BgPt{L6 z#tE&epJ=M4)R;1-`iX0U$W2^FCn2bh>L>OBt+VX|b;A9g;}>4)Cq!@NJUvtv;yuei zY`gOMkNS!5rhY;};`Snbq&QNGB|fGHPs0V*AusSl9S6N!v$`ShHi=VJAix;pql|GE zHv^uA7Z#PR9Ve_Az<7N#nh{k$;Ya<1lxErUnqKNBG!cS#){FuTU7VgG3!Ld)s^_ob zgh~U%OFtN~_jmmSj1H2oL?x#Q(&D7CnMu$&qgysE*K<(fcpniU=}z@vU5-4YAHf~1N8!03g?_C?w=Qk322Wj5z} z7nn%*Q-yYAN>Tw*Zy=0>`-&q6=t&@S)KBn-rP&@{obfMz^&8bt{QQ^U1C510{pk;1 zJ!>ca{HK5Z>btLh_vIg6B?{eI=lLkGvkd*r*mkWF&o16)&OXKwa24ypNdcEjR(CfV zN1y_{yjt<%88fJw^q2U19`X0SaK~oWx4eemRT(;9v199ZO#lwfC<&SYQEvnA?lF*H z)<{5Zr?S?HzqjMi7y{+V3l{Il>bGo?MOVmRTaQU&w?+tFt?HT#gOh{phBNN#7)`(l zoz;GLN8mpmO>jxwchcC`i~DVd;1sNdpJ>o9w{Uz^0<1!_ut~w2Ysn|6&{k7hgKB#g z7)NQTO<`~uZ_geKzK`E@*JV>%B*KiJig|?KxoaZ?FL4~uHB2SgFn5j8R0w_=F?dbw z-J4?Yi-}`aL6?xTf(Karb(XfCTs7v<-y!(Nr~-d0LxT+O7<|G*0)h@d#0#y)SDO)p z-}8wTc^OsUM~6EHVWK3(1i;oTzn#1_>jy44Yb2Q%XyOF!-jhUyglAPBEn1=483 zCT=gI3d);N1+OvqCR=>R;HMFU|8Q2pyCD3>G5GBfgNNNIl)AyTydc8}!uxBtx)fM` zVBD>U_%f>C5rc;oW9PHdABw>%aytalr#)XGDhQwR?EE7LuNlK3Wd-5QJ*uD4ze#GD>wl+!Nv`3EAb5=oJRPk{I$$|q76?VWG_5ixzbrgkfM$W)|)N-0OYhT*sRI;0>e3@?4GK8-kh8*%tCqRa&F>g6@Ixg17A3X+Bt^w%(a zHIE?$RibBXJZ^dtN`eI*`-LIb+%2GSP#j*fsnU3}2(26o>Ek zlmgCocoTESLOX9@Q(Y}9u9#L+s@THdT6yf zQP;ZDlUqrB{3}wDdiwh-i-3Thkq0}Y1gB#U1SqGo7X>GPDaL)GU(Tc>##ksVQ8*?^ z&NGmt8*ggYm#|;6`}54*|LLLI?|vP;oc!>5DN4qi5Q*oiI8$~_!y>olS9+|qQ$+tb zN_#t3wKL#@!ob(SfmaSMsVbv6>5`P@6e%d1!G}=H@Y*O#Q!YZ#aUffXF4xkEC=M~n zNZ3u(2IXm?kke~#fMCT8zpLfa^$)b(P%pR6B~7zcp?|J!-3(j=LQ`2uMmi#iyG%Fu z=_sHGv}%|~e0xtjXE2H=KFZfI{(B`;FJ|Pw)hsast7n5u;0FW6LYHBV1*%b`XI6{l zNh#r+SFmKTZA;3AiY}xoNs`zCeDr#T)Z^@361d`~@ViG8i!G4M62-K`82mp(C?)Q` zwH*PdVZIy8GPd!Ym%;kdqXp}_I0ZvBOt0FAOK9>0paSnZkG?S>_VBF}V;d);PD|XP z>GzqHpXj-oFmFRVTcY#1oAIgxP-W5F+-G4#7*Zh_eH2x({Ql(GPfKvJx8;chSRCdu zSJ}(O9evZV(zGGLmCN=}Esgk7!nj~G^r%N10@bTgvnDNOrlR}TlkO<^(OvNbifBHc zVN}fx9b@wPNPinbxpDC-eAFJki@jR*7^p(k9)?YT-6`%?TP|g8=lwO6iuTj&Z(P1iUAEG=~n$dy-oRvoS66EtU>=NN!wmh5+mw|xx<+melq0*AWX z?^SioTbWy`0tcqO)k{KDQRpE!S-;~=4ZIadz`vxe zWQ6fzJ9sdqsh6l~ZldToREl3A!88(b;;byEux&_GRWOU$ori28^u&0U*$%TOJc z(^*|?<)nBixvCq0%ULOsqCX#ovC2rSRw)alOR&`Wf>dvl8MgD~)LG{rajd2;#i1wR zwMR1EMFeaE#V_QLlbsU++dk*p$$rIp&@?W()S5g&S)_jL0V)7@K0j4TfK0dud&?qw z_jj|*b5T(^j6slraGFL5VwgY&w)`XCD$58XBIV`Gl-xtF9|CFC&Cdwf zk{q$hy82cCZt=A8KfbLx&;9x*(kNJ40{c!A*aTkH4zDV?xK}{n`JGEYPxePyTbh#= zm2Ym_(Z-|NnYgPHDKd8AELOC8S)>Xu?79--A55lWZEWne0RZ)^pF}1=#CU?G1Ku6- zI<5v47x?3=0RT7ouik6?x^#ZYKjf5-TQ6kI>^V$U9eSK0!#*QeY!Efsdj`5Yk0=$+D~9j`xf1@cNhikx2KZld zr1sCZn;+oM3u`xT=l-v=nudN4ht-Z_q~YYSr7#v%Xl|4aW%gpy^CZQ4K?&(j*;E1|Y}G|9avva6e`}&MwCrE1oWxid zjS6^s&^Jm^4+ZqH7cT5v3D=3!Bss&M^607s&6HMNN||K|=FvJ?95b@SJD}GRYa;_K z?AYZ7R>OvawyH^9F_2{zj%^}Kkr(*^CE+PAa#{Xu0lz^x!M_89$p~Ja6cZOd>zFvX zYUkhsRw?PM7z5)WtvP*8Zvxysh#Sxv(IU21K7qtlhEgDI(~VI_+p$xxX~nq&fn_2` z+|J!eivpStgVn#i5o&GuIx?Qu$25w&owUJ>)!pqhQs-bMz^T&6ar+<uN&Kh+vsTChPa?bBa$_(>R4uIh|1&P5K|^(J5ZPAf6@iyG2DORM75jTfynwcf~WUNBu5+5 z)UU7zwj4#6-}0!X8Y7rNOGm%{)DV`p_K=zdEuhGfioSRUE2r5PpHU|H!yac0REqW1 zf4lSTJcMl(ccla^3>rbabsmw`|HJ>mw+&9<12i2)bUG>g~xX9Y!(0X7v}H7rP@~8ol>PhPgOOssQk} zmG9~fEo(=@1~MAt;3HG(7j781EOP>;bhX^`hywS$osVG*C zv2NB~53+b2baEJz8gCT{N{=pAiKA&-==o$mbLz#81~Ya0zlH;4ZAj5h2GPjX_GgP( zMKUf22S`p1+p}((teG@d3Ky6xa)qSMJVtz?8TGO}=?Leprs5J0-W$%cZfRCZR!obf zYaj7?r2YuCEPH**r~7~0GW*@$l3opy@|ciFG5{JS+DGz0?sEK&d7!~^FLJM(_2WOT48>+!hS6ehchi_g@zxf0Fkt`;W>NatFbW3 z8T3w(DSMQc5zC7euNIQ1JWA(II#9x;bPZ;(J{|^iABEaK&$9Pk_NDRG8IO4k;|`of z5!JiKvO?2qUJ&@vr+tB!#e~t$#((&!Xy&~AllX4$C+`~5{3)H{*IQ?vgIqn&s4u22 z?B?g$I>@M zqj6(;am!CQ0Uu-T4{OyXvVm+`1m0vaa#5MVWz+>_^5Zc+<`cWQBggT)m(1z6i}27A ziA0nPNp8<(D*H0U)(6=PcC#Fhoh4q(bqo6m^l%yRZ@&p~01IArp=-d;0D2{irTCrs zEMBER2W_nNnSftpwL%PN1LFPESL8o;;z-Z{1Fph{FLsI4Skl{$#4}JrHiCz+06${o z+#c2_P&^RSP{v_xI`0uFR07P%UV*w_|0!J6PhKm;BC#QPuvQY-ypir))ecZ}GMzZ* z;g-!B&0X>q3T$|B{X6A7}s#K)+V<5i^fclnq6Oar%nq}nYWR=Tx^ST~=RrB16){Ti>X)L~h zkk?+xMj7;hyR)#mKlfWNE;jUI*?0Sn8b+r{l}D0(yPe`*>KaKWe1Q40q<*gX-KscG zkgVt}?UXx`E_7ObK13J%Jbm+8Us7S|w@MpSaIh;rHA`t}ADw6RykAa&-EGG6*Fl4M zT?;aD_U;p&ZTcPdMKj!EE}ko`L2o4)V_y@2Kma4Iy@c$C!yu;Khycu*(APoKd_9}L z2~TER{nQugO0#K#+%^KD%6>y70mXC=g!*h{zKJ!JxLwQ-4O7m>mS*SvfPW`TbYlOoztaq9^%yl%1N?J8^{{6+1SVd`2m$VsjkUwQ+=h!%xx zOp8O5{!tFD?@-&b+kD{Fonkk3g`OEds>7CcU{1E3tExjiA(84CQay3dAE7sD;9UPE zdR&Em#l;m^7kBgZL0a}z>wA!m=_DBKB`37Vidgz3J4p4-gNYDERJE%aX44XLnS)+h z+^s$2tW4pzcmVm&>YwqN3A+)-gZJ{@Y;G(_)y4;Ofl!=gk;y2afiWqWS;Ea#uYcQD ziUK4V10x89=Vd?D3b+&%LCPfE`6@mRO|U+8NAE<3#pb<2bSM57$z{ zjoH!uci;pgxw8GVEdk|bNWWyw;G}?zdj44a6>T+!P-BT&Zc)GA&I9-7Ixqc_trk#^ za=m)sFeTzlt_sw=S_7DDsefKbHRdMBWTTDI!03^5RqQ`}r3Mkj#!dgUk%D(PB ze(3f1ZeWk-^Vx<3ol9p4xl)L(J#!_T4dwRA2?K5ACpPXOQSbS?q8y8Uqt?XzfLQ#R zjTSrsba77Pq#YV)npZQKV46h%D6aKf(gO~I1)gi=SI{6pe|GZ28VRv&FQg8>a!#Az z=Ahd|i*8RG2eh+{lS)<$_Ju$CdEoo;gu0`jUM(PtzY)Sv(Iwy*d|QB-Z7$P96|Rsu z%^y@XGd!OfapP-w^wD>d{5_s{FDw#Edg~f$s-74f~&V)3vjj&~VSk9&Jq+2swF#~9s`81Ke!g~I#k%2RqlM$BTb`7=Bd%VTS ziJvU{*bhTA$MDUOy?6-P;74V?Q1taz4+L#uJ*1>u6GH4=0#K_Bs?`{Jnkh%jQ1|9# z6i)~kzV~4_^m?Ti*&pokA7{6*FkgM!a!w;zb(+Om0u&HFn{|m6VUKgcK~}(^-%}SE zq&qF{!kV=Nm4tRlrl~8t1D5(x+`4u5Bmhf<`C4e(&`tT4ht^`}Qs?UtLF?RpKP4I0 z3WwA^JEVFqIEVuq`$irSwoDy0H+RQ)D+yJSnIAnR*FK{4O}QO;hCDLt4N?;&7t?vf zE=){TUIY)Pra=J;|D0D^7#@?>d4O)_^2>H6BldR*Et|SL<_{is?h50y20f*TCF4_> zfZdcGvE1;5nTizxw8aFj=3amksS`Fa(s>-EN(k#bmrSccL|n^Vebe-5As_m^m!M83 z$E-oskNGFQb&%Wpw{#o(;kD!CQ-D-;DjRFTq8xUqgKnqn-M7aXvt-k%Zx#0vY>U0l z2As}?H+nfHZsQrO?FbuAjF~!}pn>U*75YwuaSa8i1vS`OG@}VIwOSuNj^ZOOA zv~86H^)_Cnq*!o*3#a7ih`Ji(xJ`|2nZ;h5#%Q(UXlE5in{AqI!UnR10q#`kx`w)) zNP{DC#Mal?JDH_57W8UQU99QM5uoKv1G{N(cO}YY#F8nVW4w;WwM9|^6G11{upT_X z%1MI-`f~CYVY?*}!`x^Se^jOA+xki4hTjXXnWmo(fyaqec?*O>hO4#Uzl!q3a^JG~ z(MU*p(grV2_RhpH-#f2#?ub@AQSyuT%7%Bja$IxGBfghmtntNC4cW{(X7CFvof4P; zO}vVhYx-BGdD}M|U;IhT@Hjq?me27Aj5QX_BlZse_HOVRmVy=5>5L#s^116nM`k5H zxu<7$;4@yTR&y&%E7z#LE1I|I)uZn!tdAy_<98paCS0&oQn~p~Oe5&k8G<3%-)?CD z{qx(3c&N{2EDA->$1Zw`E~_SuSGvgZNS3GVf~8pf$^EkXy;pj%`vikfQD7lnN4{B6l>Y}O-qzU4`W^^AQrr81pKryV?4J+vhm#+Nlb@5j zpPl|6IiDYwlOO$HV7bjgW-xa9=gsm>s_@(PCm_M|u=R6sa*VXg|Mqeb4EX*KYuI^v z?*G~C$L0Sz{%Gj;KA!WLiYjzI73=eSSS|Fr-u$^gy6O9Q?k;>&;Q#zF3T7IpHuQY} zlc#T=ZeH_~`Z>Ry#C|@lgJW9mb3U$59sEAQtOR${=5sV?tV_yEd507&L{o6qhP+dzwCOyAp97&5YBnd^nX72NV=AMH1T^<2i@o2}F8- zfZ_Qf_CwfVs{t}oERd@MS}~@c-id15M&QXYT(p&t*J)Y<`Eg=c7FsKja+ZIOqsrD_ zRPhuM$OhB&DWZ5oZmwexhnaTUSK1dXo^wDdp-AlVWs!w>$V&Ax8$XClL{S7a8t+I< z@g17i(+VAdSEibq2k^^cLGpU8adXJZT4@gp7AWh`z9$@=d9|SH5t&IQI{WbjSSB2t z`2Y*FKE>qiL?OJIbzTwiC8N33j86N5|O-UdMND!dwsDvo3$@LDa2-~thLf&S z?@y{V6mKOI@4Zy2kFSK{w0~4))#H#=sDRP|GH@rLMD8OrE>V?wTT<~FYL8=FI3n}x zJCKc(qS%XqW@03b+;S{Bb8hnF4VA3;PJBqF9%k;{jiR`%TU7CY$S5HPtl0b;(lP}iPTVOx45Dj-caer zP-&Nh;%F>+G1;}k_%t|0{;)V+%|A3VCNT5GGC?yDp8A;f9T^D1DV5&PzY$Zs2#r(o zj3FoyQ2Flagv1p^s_1l*P4XQH6WhoW# z2eZ#-iuU7(9nUZ3qbYW2S?gY?XRPdDunU<>q%E{g3?!?o%uB2yKj)&A z%*QrPU?0!1#83(0t78X&NHC`hmH%RoR&|$|MMar(P*Bx#H%Ux*>1iHA1t;WSPFqw} z1qc^=ni4H?W6@jNFBYI#8i-x3K@la)WgJ@L$mpf+&tu8!H)3TZ|Af(?<9Soi-Y_M4 zY}b7BP=niZR)O@MJJ{)@pLk>XTEyrf=PRNezxl2R=X@^XuxARC6$Nky7$S&=f`l^S=D{IDu@C2Tq zXWK!!q*+@UW$PICc|hvSv)eC3&cwm*t9TmG_xvz>D#GapKl^-TK>_6G#mhf5UrUIM z!aW)}DB1t=cE9g{k$zeFo}UfJIUl-t7ilZr$2cF%K3NtmXJYpWyx+JF2;RHN7h67~ zTL)hL=K`F1?r&LDyU{w}cS!J>p;z!u=p21uS9Pt>s`TzY!nqO)j9!dAAeepCEBMN3 z>^Q=ylRNXOXW~E3*~6D?;{sk*_#E6PIQ_J9j=tYavH8mUiJD+e)B6!tIi1xT8RpL63s;hkysbh^X-BlM> z-lw4={DPrAZFgYqY^46m?d_1G&l<KOx9uboRg!4A3+!y6b{v3_O@s7yCqha| zopMFM505=IoSa$RKaObL)rf5=_D9z25cV>5d+nkr!ftFY zm&KG0rD-jzuU-MH+OuiUI~AT-NA>2MMm zmWsMirZjOp8-oG#D2t%D2dGK3S!MxAZWJj}0vbNTFUcC)D7WzEmYOULI*S~e8idxJl7m#> ztIQ)wJ(QLTGaht1%bf2(^vFuPbFK{zEHn00>MKkrT3iH4-c~hSBzM|Dt9K5jt0X>Y z3bHLG9XdjVWUr2c1-byDd7HI-gzI+G1x_@l?skBK`&p4YRZGXuZYoNQizN?(3&>X_ z_cf=$(4y~`s-uRS@{(*#7@}bWq$x#z6!IvpKixolb}Ba@edfuII9$huBdfd=SaFRK;7Xg?DP>kME=^kZ`5^# zy=Oo)xVN*3;wVd8t0k>uovnw_op@ioLrZ5x?qK0e z9lj}trN(=J5DqW3x$7Tu{W2sbf>YdDQpD_dqQh#<;}YlLd{s`INw3hrz#DD?h3UbW zS$T0BJzILM#j~7HrdUR;XZ=eFZ?`+Y$U(-h=etpTB&Cv-U?)t~Jcsm6g{RbrOdW{^ zNV(@}cJsZnw)_2j((mCpbi?EQB;&Gsfc$eWeo2&~mbFXOx#i87(ydL(Sp3U?^x5gV z^w|g%a{+%zCu#V)p_K7$$VRDM0r5FWIHL@~+5Bq!65j#F^P0aU<-@~p{1S*8!$8Wo z+TWMb%{!*g-h}eu!;g|nxX8!`BGR3rc3cpneP=hS-b$Lcnl!d=Bk9k}oV4vHUbr16 z#_H#h^x204e)zf&Uii5%IH7|$Twgd2_%R*7^bSt9^ZN-d>I(d@WQr_Bt>~zD!Y4`i zxuK-74Z~q%QhgE8QmmBm;h^-{n?0plyOi;6B>4Zwwe;BoQMlbj{L)8n{8FZfo&5Zq zR&*Z1?iQq-Qd$1jOVKY~@` z!)Q-Cl(uz_?Q3GDsNH>yUowyC<9c5Jl2Fv%mUEL>(R(b5k1oGWN!yNtyty&>W8;?| zs-ydwtOdauFn5H3&&}%fH#2^!U~j^EIgVdC-}I*B60%-*Lk|C~WwS7RX8lmrj@k`I=U}NHi>tDt%8SuHbc40mHaA0^ZO4}BA z?r}5v;fKGwr^SMK$G|Zgl!qOkrk#mkL{g%Yti;!8Mcf-knzK82M-d_H|K>D8XyqwC9NoZK7Ys3p{qx3r9 zN4ZL)sF2GO>sLRA^X%s1nc5v&PP_wFhgn7fOgKK>M~N5b1X`xiyR_zEo9%XvNi}w7 zjZxuF0ST{Ltd}gMw~;$=*c4rs@9+DcM(2gpT>Xk1Kx-G6NulloAk6sY`SiVj7 zd@(ZOysTD$FSUqG4l(3flakDt#n8&^t3&;+&n!%xIm4|{>6zzOZeH9;^?t^*7N3^X zG%d&3!^2l7=jUPVZ0H#bN$l97H>)#%?Bw-prXGkb+IH6lJyjP zzHxMl+RGFI4QmUh4a38G#_Y)p^CURGl(X~t&qz-kS5NHu2q)C{R*w!+@D``0XTF+H zTli)Tm)gp9aX=YF_mRfz**bs=J!SGcUI*WsryN8=YAc&fvnn3B(|?xe1*NhkVDd$E z&V7wejnAAl1m}&<{3$2jvL-%P>KnC(%RmbRE^~yv<;{NV>eywQ6Ao94qF+T1H}*@c{|4T-eka)H?#A;(wGBNNQs6^ouUdo zN^gpWsU5ds$M-7y?IFjWUv{>8JoO z!1y2i<6U@sCLzRSgpt?S9+tiz~& ztw4&~_5(gZdQ}xmH(~JWE1>cvz~U*KU$;KtyLRU{ zcX9intc1A;?F5~684}zwqOLk?A$dkfb~o4+W2I`FWGu2GyA+y>GIrPvS>f3~_;abz zmNh<&VuY^faFcRV!F4#$n}F(K?OT9E^ONQpGM3-4szQ<}Y$zP!k_2(a*ZQR1Ns|K! zw)*rC(@?&yH#TegP-laMqd)fytc+H1GIbO=L}pjdXSVHqMaws~m9bO@o;$X|uJyH+ z%Gg2yatsoL0H&roPW@E>{0+nbhdjx?mk zI_7Rt(c<+ccZizEN(9alZ3$29AqK$`yNMwjpjJ#+!qiXB(C%na|Fy)?fpd*k1_BOr zCPIif%}ZV@mOivuYYa}rVrTJv)rNtHy%zMCMnui8v~^+XP=YN@2qU&ezp6ORZkcMH z8PFk~PSbG!oA4jS9uu5bwj))vI2T%)LV-{*v2=2E39~nes478JU&bjy9RPgL-=Ndl z$G>ajXgg*E1Qx5)N}_Dm6o?55{`KQk=tx3c=#xV%2V%IB06oQ(vZoY5i3qSejx+QAQGtvjnGoYUK*X;F z=SJNQZ|u&ET<$6F2{my?;c%s>T5eC_kjqxDB4bf#@BgO=W>G)}K!7CB|&Rd_nY4HAe5TAW^ey_L2is3+2K?q_PkHRDAUV z{|bEd>Wh{Q_L3g|C8V;OVSM$|SA2Efv0Of(Ows+%>NL^Dk7RuH`_F6kl9x@6AZu`+ zFKYH#V4-~fxdBe!#aF-noB`+Z-$N=p&lWZNShrA~&k+hLduIgaFw790hn(QC>;8BH zj}l6_quGVJUPrn8pKrxmOwbw4BU4aNo&V0)h(d401DpyZIxz^~_IZm5KImM3SUHDD zrM9F2ej^wFU({-8=0wS?QbX`EP419>Cbi0A-0S*iAGX*=2)6bkj$50%rf}&K%34i* z{fpZ4_lQjsRar9}WbQg4I2XgNF+cE427<&N?SO8?Lq3k{FBwqNs*0X(b~m+i8b@lv=28$1emXE_8(}jEByZ&V5)koJyrc zn_fhc9SLQZ$XBM|u}IH}sYmnEaP1s*4MX5u;_F3oIT1l4qTl4%t}59V8YDIu9Or+< znL?ZD@h9?}>5FQ*VhwFL<{a;hFM8kdMCt-Fezu#kJCJc?2hM+yA z&z(6bIeUzkRl_G!La)wPo>T{t zt5=z2lUBs69+x-q zVTkY_V3D|EVu7$tTW!L!m@-E9h7xpuW&w${1X)HOU0o4FA>=(&wt-PR=AlZjTTeq> zdU7Jm@!fQYHh;i%W$GIh7~{Kij^@(9?2`KD@z6=-GEjFTjZUdeWGE{ z@2az%v0hV18C@s(l!d;#h2!^-BEb4zDCTDW=RG9sqWoo*1M@PeSvba%G)9phpHq`K zy_iW#eKi86QtGcktxo70u4?2FvCwS<432j63xUV4}7u&V1o+k>(C_IhVt zZUsCBBZtQGs#P0&r@ne8YK}L%?jMFmSdIgkYszIQ^zQ2^Md(Uk4#MGR_x%*WFr9fB=h7*+;ypQw=Cc|Gj>>P3rJQVvsUNt|Hg+wC(1Ft&+?E}kB&9J3@x)6@-*Wb9i z{8`4*vC}en;19j9AX-onA+D$~AWA1!oSY0j!z$-*{59WK$Hvkge>YCILEQo`-JF}*gHnRodPsw8AMDJ%GFQ{4Vt-`fG4sVpyjf8yONWV0{bck>7T zJ;h16-w&VpSlY{biZ^lLZ?eLW#Z>QbV<%j96hE*PK(l+-lpu$JXr@n`_prM%QgorI zSh(h!1*NIjCuPsmg;*BUcepj3j(duzn?}UF`-NDo%@ZkF%TtZB>uHDm$`7@B3P`Q< zPtq53e|^tWllL%NYWWR0tL*2DE!$K_Hi0-x83;OZk9mR{%e5^EB*fSci!2IuUkLnvI;CwBg|L!Pey4(Hw zt{oO*kHm%ZS6|ydgYGE)bO3})Nw+^c?v=bq4q6i z5j~f&wzr&h;{laT)b%HMa(K%JHvQD1>h5M4hwb-5WXrU=li7DT{zT@%UDII&x!e2WN0fH&;Y}J@!hV1 z0fX|p)y9oi5Ej4B3zP%4)2*xA%a%81$qiJ;L6dshz2zgJNdyQ4G*{A`kE9Bmz3Nr0 z&7~Wq<_fb*zG)l9pwTRA#aMM`ViRWdNIsj~d@Bdl@e8W?s8SFMprH z(0RN1ZOM`Kqd@;L`sxSm{Mh#{1x}h$#$Q@j%@p%zSgR8h^O-!biJ;%>b#(bK;vJ59 z`*U%)$vxk#l76&0RXQ?U^g^P|dRT6i$~uY%r#UTLT_8h!?N_x$i5j=w?P5l*_#0~) zZ-+BP0-F7z;f&3KfD=ox=tGrRxN_5bXH=Cg-omvHimVWhtj15UJ%G1ta~{`kJu6&lAFNiT zT|iq|mmpgct>;{6H(uR(&}c3-mpEp5Tl1Cq=WaIsS4}mf%4{W`d!j#yTm4MFSXfRx{jlt1J`p=d(W($ zR!B*L$yD{;UF_or9W{AozaJSxXH3Y{h>SueyG=n7S)H?JN2&7c4#hKk`~!3o>8~EP zz}7^yd1WPoS8vn<4<*tG)!?R|FE%ukeD`v`eRlJ1Zf}1#YxjKi6Urw26tW}9Hk{1ZefD{K z-(EdF?(lp*efIJ4S$WejRNDOX_;`48@mcQ>Ao>(oe&QK$kfWaIi8iZNu2qLo{l}yp z=A|NHxXMFms(kICwDXVLai5mR=M+|+%yT;zMHV$)kkf@kqVTb8vQbUIQi2pqs|5o~ z3UZ@eQY*;|3h7JPFGM35^+$0j)Udu{an-9YswkbP4GFZWP}Z=6O!rV`6KDDP z)b{}=I7*o&ZXyOM6{dB-x=9@}#2>nBbl8Ch2Zw1?QD1mhyI{T?%ElN6)sRu(ggzLa z8COD(mamLNTi>^yl56Cls6e3h+StRl%^dM2TBFWM4yxb+b$-ARv~TFbWrwBg%as~B z2yd>P3*m1~uAN*wVYZ(a&sVvxhLkNtm_tsVftIxmBTG813n&|Y$y{wzyD2nSX1E=b z5XTpwfs7E)($1=K= z3-?D7EAiuHYx1|Pn0;1fm9V$>-PosAyRBv|D-?XDwx3U&?Z|2fB^1gW#H)2CeEBZ5 z??etYO*g=9H%ciUS0;&5_7N~f@GWud;a(D_QL)a*n5ExRrhg}e4E8RO0T%TYOYG&# za!a2=L3}*E))IC>_;OyhDc^9wjsMV4+tEyD%NLzB9S%0mkeUcqH~rwHAqFQ&9ICX; z=8OYbjd&DENe5H6-@~#gQMN_nQ)=3OhkhoQMXn0}r!QPe2(izBeSz@*!xvcpi!a!( zNg}~)wPzdWkX;SfZRtUx$%PXjNsJ-EBLwBc;T-2bkTwc*xit{^ zy&Z}(lU7%4bgypeZN5F2cYD79g|aU{1kdeBvnSgB#~EB+pI!Vn1V3&ah1Sv_x(o3F!IA@WG*f(k!$N)A}(9r#Lu5lu0UGo zD2$f;?-mf2R95*Fk!fs{himzY`4Z%{@+|pK#{cLfjLIjW5*}dc`Tr!8gtOICQP0{+ zSaCt<|6b}O*J5BQ)e2cr*HncRrZmAjFrNyJ*6mP4QN^=1l*Dw@LKoGxutAn)5UOSj zSFtTq=;Ym@MRcqG$J&-Rm1^`vw!ho{sL8#Uq0{5xbKawOt zY>iJGNe8KgAn3`pf(HhTVbx*NX#8iq9jTH~na`51v+j|=@{6U81rq!PZJfJ6J*6VE zsT0q2tm#)ewRFTa8~b0K1c~B#iG2O4TgoKr87c&CYJzU`Wl_=4IhCOoB(JSYNkr!{ zF<|(*YO2rm*U6-9jG*iK8JeaA5QI^0;}2G?Mt02cA&0hfoziOif26EYiq`>)Q0xZoMTVy+BaY$Wu1_|^XK2C0QDmoVS zenB=>dP*=Bs?mU8*r9J2&u!TQgU;-TtX2f0PGFC$^*C821a>fVnQ4GVAmUklpmQz5 zc%C}JkA&|s#x&$`2qa;Qa+??Ynv{#CJc3jZAVig(7tYS;tc56Eh*|KH z)mVpW)NcYlXpy$35o^PFcwQxPWh;bR9-AO7Mo++7o8i|jLwX1Y-4BWjZ38&89v4=T ztMo!SDW|VN6Km&fP|go|x6HrJS8o?BR)yj0H~j?#h%YZc(_tjP1_n;k;?aW;sTCNl z01=))*bb%fh#lWqzBnJTagKcp=sV_)hG}o_9H8p#NiZF+87rbTMh|_1+S7tv;`Q2 zb9y ztHl+jt(TDuqDx#J(%nJIFf7NM6N#0w zS09-_*!(;mLvl8|{9j-CnJ0z)pPo*YnEkwNV;BAXU$5U!{kz_uPMMhvK3sVZYf;)G z!NG?jgutc*(GWyU_&36qq81(S-gCVZtG zM$vto17d}6dCW%8{#1L`yE#+=^STa!*{t~G{e<_JU{s}{Z-su{f}ZEEwbG4emf77S zZPx}$x;w2HpNl4IMmdg+akqM{rI{7Ec4rcIZa}!5^f|j;-X0ex3Q9&$l=J=&n@c@? zeQNn({|&7uH?b~H@HgpL?SuJ?c+OP2x?@49tkpRDS@U3%}&$iL# zI(uP^++4KeBt(UKrzB00A+3)lXI5h*+`4A-3Ap;>bunWFAxL?BzOk!}fe#lmf8->{ z*as=Tl@fgutM?ud%bBrq8x#m-bWN-~_^uZM!9FwnXK-yP=zexDX1gQaVA)2gK;P3G zdm@Zdvr&)FtUx}}Nq*If*XsPtNGgU)RoKF=H8|xln|Zb#JDSh9X;M-FI2VI)I6z&gUf*pO66v9wY5ZopP*I>n3rgvoHrCV7mBsxik?LQoPpNJ%o+7fI* zkbL`0xZu^D&7-CUXU(I)WuU#}r0A`(3(r7{wi~XlNBUCmnK%OD8a;7_rP650Eyz`` z**f>xwu&-&xk+r99dz=|`2;J&S-UjcLC02_ePj?=2Pz`sI*vAk4}5M`(AF;_K=8nT z44M^d_C3sqv?R7k$zmJ)AJCJV9gGb}R^$&8@8Y?~< zmAY;+D(0_AEZd39It{^h-b;m)Ib(~v*&j^*I{9TFE0hnx#5F}JJnc3l_IXUu=4%Cx&)GJRKHCg zA_7?+IYs~8*E;$;~TEn6L((U=merb%B$cH%DDkqIi$PFp;Acz#^hRzIj?=d_n z#pp2H$A6d)TlIma0q%V%D)gh_MIiwerGZt}gQ4@pdCiH~+&(c5+ogwaa(C3_nGC(C zK4UA#CpH5&hzvbve~D>GI9Tu27Q+FkY!i|=+RNdRmkQH zufsK`8nV=L4C^!%8a?wQry|Ektq>(*WOoT@rid!K#8V2BQZp*$;i)wpBRRAYsn14)-rfrRPxrbM;1}R zlq5ggUxrJ7Y|4TBOY)H9ve=Pi7Fqn`XFtJl)_4#L^-W(P!s5_WzB~W^rcjH_Au9cN zw!)70*HQOm9GJ*2hp-NhK;Z|`DED>LGuO#9Q`Z5m6+59?CCGP3atm)%LRogKKK1*w zVr-s~69u>WO6}u*C3!*T4$V|)t>P?MzPA#iGk<8a(NYgbu|KEE>TK+BVwWjpUKAo8 zcN`$OKaTp_Y%Z^YC@lBgCZi~VP~cJ3>ccdOfF?f_9hw3+r3fd&C|SV`kC zw2wm432Vw#+3ND^cojOOM*zNZdqj2q7z-&MCM~ZTs#%9X`WpDk9xtOgRh)}{Gj38a zGVm}#2;h{S3tgnhiHQkOEyM<{`(f)THUJzQx%`yuA&7UhFCFxwTsixf5`34*W)k#z z$@i&k^YJG$Gvs^U^RW=|u}>LVN-C966<-TAk0XypPvrRSg{s_G6!BT2tut^|?i&s9 zL%64(%nN~U!C1dd85bqFQ77gg4K04VgDFn5aY0P*#x1#j2&JIlF^1`hPe~kB@0@>D zRp5npk%rN?D!5sr8ZEq&uI5L{-7Y_V*Md9Z&iHg!_9mhr4<;R==Jasu8IS!%tl7O3 zR;JB_LO)~1{)D9alt4UXLn=9ss8Euv$u%y#TCsLYdCQS{VEL%fX_qDtS>3Ttm3vl| zo39^~nBub_x;PZUgfk|92jjOXF8^~-nR)=+^BV0Vb)E!+6z(+EB`|XtdUq@*KtdoQ zy4Mpj`Yg~E4M%*5q|@s90+RAlYe>91ERACydWu3uu-c7cj2dms9QD4(Wb&9uC_(4 zwyls*UXC>_l(yXK8M($Y_mg8oObnsxRq;xMArcrPJ27=`#(f&i#E33 zNHd)T+tI36(Cj5avr987m!}~U46PY&{SZpkmE`Dw*0EZgrV8 zqW;#S5bH5$*@%i@N?@W`;+PyTSV!4qfU0M5*N*h~lPAa|Ibzqz-L|LWcrJT~FHGFf zL`u%rFF{r>boFlTMh#2LU-V|)Y^oR@47Sj!7-GY{JTvD=>PUpUs)o}4`R^nJ0|DY7 z8Sri{-fU|`DR~?$7AVx!Mrz6tkt7_Cnnbn~#y@M*AlLry1SitX>Tgn?Mo3cDv`fWg za=euuNhJACo4Y*{Y{Sd_G0 z56gn^syI5{i)K}Cghz}!HQR8GRAf>;r_t>M2I?pW%G47Ihba!`$^G#)A|Zw0Z0$jj zAipEIKslW~R_>Ra2K8J+>tvu-3THb134D4XeX=3j@7E?CE}{aUQA%1K z5GC%uP4E3js^QU`QEi{vh53^Hky`!YpxXpa6TStO7Tw0#IQeRm z>}wBu_)SJehOnIEGjT6LBqi+D7(txx6FIT7GW?`E#{2G>Yiv0f36@$XX-$kmw8ok= z&!wur1LZhukoqxs8jk0-fRf*+b?H5A zso6F#v<0#kRPXok5J({F7-eiN`LRnOMOb4;aErkR%4Bec51}6$17X41rM?vSr)VQ(#q*L(3V1(xu)V zHveMqqh1AW?>iKoMk9iObnS$z-!r?SqeOOK4c4zXmxw&04%NgX#NX>YC^8?#d<7+A zyV~ku6xo__&zlOfNocB_V@1N9uq+(?eEkvYOV|>$U))6!8?Qghg$KT$|C#)lR(t>Z z{)$HCjQ{@hZ)@XZR`uhJMS?8Erx!w6`8>Y}_JDw!h@nmyIN_Y24D7{dQz?Rg&i4WD zN0)EIGup1X(b>*C%gp_C09U|+RVLpfihxQvxkWHM%}3m0qqtn1FkXw$;v_s{oCb)- z^F>19c1~ak(gDOUjYC3wL4$udlHDYyb{FIsd$0M$P{_*YN^aVGkI>uQTuaW#<+;4S zN9V9?8o`M|-5hQHzeA>f@-CGirTj?8LMHK#JQMC^U(%U_&4sEXTm>>Ij&(KV?y$G< zzPlig{L&AZo&$$2+oyqMt07$2>sxg{ zhEJTwAp!>;tHf4QBY36d$$3#&S7Gke>uzi+!6uVpVOQAFcz?~mA&Z!~PChgf9V4|Z z=D14ooLJj+vO1Ct+eeel9Ej0pD?li6aD%U36kJR`8YcOHS^d6*L@rzvZvlvI_flOWqD&Y{_52^)za)mYo zrA-Z-3GekEHN|ha3M!iIYKDX^K7%#VvUpGVRCC|r7u|;$AS#RIQ7S8so)z;dgjA9> zUP{suiByx#*)e}`&UCmbh}3O$cSu>tKAN~9CM}Gf!B37#sqv`@P5Fd7rom|RKN6@f z={+HG^Qk0D>6a6!ypmKR@M~?gzL*cqS{=c!5UFgjxgoZL;z#g6a}~|9K|-5wiMF8# zs7e^v+)VaECCXh4zCw>iY|IIeYU4jl#iXE-L5;^kLd=ljP~IP*XPIAJwtN2FQJKC> z_xHX2eVcwgsq}IA@@B#ubRTtbf6?eoYn3#BJ48)=qrvI~fSb-V%pr--fTCC>mjkOU z1pEO_vVL`}Sue)Afl7w+)zoXXHeA(Vz!*W3hM!TrL0j{z+B-EoI_1b_tnh&1#+ zz0vL1hb?lESPXzh8QtWe*ESW4!Qg3!jog)3Rble)2{nT^TSeYq+LLsHQpJp?eCwZ6 zxGAP_Ao3PckRIrKvWo!q#D922X-a);4z1A*NWx9rAUG^;u55s{lq3OdsHSA<9`z5 z?d7N30Hvlc#G&Q`d%G~({=Cx3zDSS+$Z(~8XxwZ*fMfSWXgKoIAsd*Ye%POO{D#Co z!M;HQ9HGTlbD=Y*+Kd1?M)9AFJ!m071SDtLOf^dWG`@~QT&WXiB?(oVxZJ!Yf=8<8 zA$8MU%fmSUq))ic4HAN4#tkB$fQ5DWkrdv~GrVUk?6jZNP}fC`kiF3XOfIe!C^K3r zo;8$T+`wqW3Q^IAZdc(+Xt8j7dt6?=5Fq#@OxPBKo02M2(e|jW zI@1lpX`Zi|Bzhg6Cv2J058h}M6=biTbn@Ii0Xxs09wYoOIM;r7FzEAWg*Kaim2wN{ ziF7Ox7;w-C2oNZ2RJf?v7&=OGHQNQTZSd5-Vq%`g;17#}vC&~Uw-xbe!qukyFMc9O zC!wd|QJGLW6uHk?3^B=${3L|?D+=H4u}A02c&z#0(TeHMgb)-B1th8OQY&#e9 zA0+YiYVr-ry%l<5EuI)Ha_hW4zP_CJzwk^e1_e}+?VnBh_S9Lt3pZxoRo0xWX5zxe ziDE0E&tXF9(VD8p_rj%?{4iyg{I!m%cbdqFOu&!3WWk^a1IWs6x1G~thfJcuf?b$o zUf({?>ZZaZXJ9=6Y$$w}&K!x6GSK1+lercp_MRSlUkM8EeYE%|`hFQAx5%lI>G3Yi zI_aBt?5{RW2EWzn{W2%}DW)Nf36Eum5krSDzI$NpIBwgjlM*B8%HnK)+%XAkR?}Eh zB4Xgbh)BJthwwx`|6|*1iH+1dJ$~*bT0LCE_NXxD)4y$U-H?;{@7v$tKz-G(7f*kk z`iL*?Jqt3%?A`@G#(*Fb6e*(#7TlB!G03oALqBpU;H!$$C_t#;+mMoYe3F4Y@Hf_g znDJ&FFpM$s3(H%h_}KlgrYf-3AEN(!o&9~YTqx|*n#%tRYx4eg))blFvfmIxkKFSx zifAY*LrwT2Z(8&Ir)W)jonRDR18kR0?wUvWVHo0*E*OOraMQCRjJ7p7JZyb$ao6ep z^3W?9lsA+4{POCak2dyl{pLncsX^j*-?}~gWF!2mactP>yYk)rTP@~8jM<4dcoPr? zw+XQdZN0$(pogd?2bWT0k(MYlS&}sSmgfI~w{)_qdz`0{9G@I`jsW-Z4RZZm)kBDt zJ0&7FGj%@?g%yXij9D$0V^!0jvFBKKO078;@Mg;$yZ1NB!F?C8+9BPpoYbs5!7o(A7^~g?_H0-SZMzGIQ%(IqR4Q#W!k*+;2zb@ZzDxa~gXFQ|RSrcG1 z)Pk8YJh!dQfmOd;gri4q`Vf3h=`g+ri9kx zoG{WTVvNXuHa~lU`_Gm&42NHN`?M_Ze*um0{dbmiTHLTdVE?}u6%mD|XBBBlxkIJ~ zEpw}KT;}ociU{3y9F~hTl_xvRsh((mW$+}T=K1;yJbe9pby*6uzs6OvnM}UF{__>g z>o1&%nr?XW^8Mm87PNK;Il?O-qPO`Ez(S#b%@+@ZcY1}5MK;vj^K zCoVlk88>_Ja2m9r(x~}qz8P*Da+ql-jgAr2 zRV{p!9PzSZd<5h;h9(GzIW2z|+KtbB3O>Qukp4EM)AuC(^1|n?~ z<8J)rKRf`AX_;92Za*YH694VeG$cbuzNi?Zofb&i-o8{dtDbc6)K7sO>Fk9Z)AgSP{m1r;i9k7_bvO}5vksaJq(L?z;qA=fD*bM7;e@4va6@FvDFYL zLN@kp(B-#rrRtMA7hcfIrHqxgT#O)pzFu7EDDoGbPG|%Hnop>w^5m-#V}tK;EFAwB z+{aMv;xKVo$17I1@R}d9web9t+)#tNMxdv(vcQY*UUfdKqUTqcd50t_!%If5@0C&Q z8e9e5XaT1!v%rzfbF{3R*nPhL>{8;(^QWi(!Lj*ttN&@~=l}0qDrjzAVVE5owD&43 zT`0y|yyeZszv_?aj=4Zx7EYmFJ~gzUz!%ZmtCEK((fE=3HH2vTz`VI@nortzleu-N zyt10)yJHCdm#>YBzUMC#8f#Zq)vre|bak+Ms zbSF?9FFpbc4Eid1@ja<%Y4i{lj5#EV)Y$67hFaa-z5R^1lfrw5l%Mz$8?@^Q=a)Q3kUg6D8^2y;v6yGOSgcnt4KZDR8IBfzl%!m-8RcBhZuCSNb68&GcV176`TOfDo}dyIOWws~I{_hdEdRO2~-Es>F8 zq%GlxPWTj~#9Y{Q4$OlB@Km`|rIg-D2m;9Py0&e_40d8(sovSA?P;VQBeq$7E@+o^Kw8hTb)3GJbOVxi@EPYXNV2rFI8 zJWwfHw>>Oz@+Yx*YFXuW72oNPR)7HQ0TibSl)LIKRudE&hSk6lHyVW~%k|aubtcY@ zl9yKiv35V-m~t+!%SF&DSq|4>JsiZBRsMD13HTKGJaTLKoIK?u5h8)^VrwfhW=tF> zMnj5Dx71pL@10sqQDQl*@QOil1<#TjN2J9kmzaOYVUB-+rrjCxhXq%sd(63at7Eou*!ppy z!FZ+nfF&;^%ECntZVu6=-X0wfqZC{5o++$btF(r;E76(Jw;DygcTr2(R$J2IOAW+e zU4{NKW3I+uvEXxO`-3-Q1HoFo92?xV8GG(pFD2bBBtv_TWy&r=I4|;6ed*eEln?tw z4=2ZkM}JHo+Xm-obZ*o3B#C$y7NKI2>SNB zelG%K1a9AY)F-@o2#s934Bd}=F%I{hXP$BYwMTs1{XN^r&9&j1E&R9GN;lrx{}%Z8 z=jwgd=emFHBjEPWaQ9Ad@9QVg=xV&b+~n$GC#tY7(DxIwKD>+a`F4F>`1Y0^e0u#@ z*cTjpd%HtNm-A4)zS+h-C`XZ#slPeztUhA zM0(TaP1r*-w@;^YXpMOyJqfA`CFp|A)T~};q$$^`u0b&=lS?(9AiVOk<@68ZLz>Ya zQ8N4fnR0qFT3+(YYKFE>pKB)1i75kIzphJ$YXHvu{heFQP%mSd=7OM=^TfJ0JC`M+ zQ9#}q(}B|=KgHX9zJq&`=25YBP-h2{Ep_}!O&FLczhSAw;sr((h4>)cg`+DW0=v;CB&O)Z5Z#c_Zm>3A1rSlm)7T6E*sZ6nNY^{ zA76W+L0I2AOen-9u-um*3iM1<#u-i-r-7d)j#FYw;mJ4|VNz+T(suoIQ_MS7ezXfU zyx&42T`1AGkewwW17L z;kTR}of(D>+BEFUfcL=#O1@=yN0p&SW~_oVKBL}bg@}n*JVQK_G7h9MmAzTWcl*}( zWlBU-Is$elFGMZ*Q746`obg7)ZZ@d_1%E2XiDpO(W{XSa6Xve?qt?-1j5v+erhb?i zGu`^`1H+Aj_FwF@ln00yrlmOq_bM}Ul-0$QtyL7>veJAB4^ju%^Z_eKyH ziICwU>@Ri7MB9vMp-Wf5XSq`rex$CY@Te=>5kx(fL0YUk6%Gm%WBsL3-QmoW33YT> zHhtHQ-noJ`7$J-sr6=`1S__upY9PhYkVJ|`2krpNT?rNLT6;=*)yS_k*Dc|1!Xwf; zxXn&Hw8rgPYu2nt+sOm^d1JGx)n+^ran6+J7_j%j4v27BXfyFx=bDU5hY?{w6o>tvpR7mm~cmEAFP z`Ww54AH!KKUim3tK(WRae+IPrq`m7)HEP@YhsF3xa7SMo-DcGi51M^Zr5_SG-!@nPs941M2y1?_U$3_zYH6ye^mx@?54LJ9!s5-_r&&|=<;#|Ra9%3y7@f%eo|yoa6;|G6p)C?mo3Ro^Bf2)0y~`@pJc{2575xy z_p?Lzs$dw6Q2PWVcS@@y0IQ54PKsG_xNIq;H>Q-&S4U}2S!9<2d?riBm0UK+FB}c>kL&#sXK)l0G3-d#z0P21jtqSaN zaJPnX1_6}PD~3c?sVql_ic}^k0MetlBJ$6?+wKo$*UTrWq%CONljNeC zB{gal(nFMn?P)`}PwCS`aHWBo;T#o)tfP*h9nH~Tum)&rA;ndbF;6u;H6cNUn6+9M z3Lxp<45xU4L<*+xp)sVsI*=r}8EGJXQ>=kVZ(ehd1}e?2Zu^8#)PSr6d4`<^q!2&M zu1*t7sMG0RNrYV(^)rQ{3#x9!B+)d0CvCP)3V;RE=UEvRetd4+p(>{SGIl_`c zHt|G*jZelLaJQ6(P$3&YpfT0XEmDy~U?0SCOhV>m3Lo=^n86D{&HGV+8wyVc&)C%g zE&Ahl$Xfm&sMVDjbcw3s4XBKOSY!wQae2I{<;yvsx!Mm98CqHhqF09E+Ol92k_^am zYF+2NAojD94A#PE|KfEk86Gl)4(={&wG%nsKDB%b{$In zZPpit#W^@UPjLvDS08p4FCdSBkWyW_>p5r3Z$zM;1V?(gs{#sNp2@!{1<-|Y@+VjC zL-0TE&KPq6k;c(J|&_DP!Yya`F6zc8%h$`yU=(qKr**P$Y3a%u1e<1T_{}J;SLgh6hkR5PF6ZQdfk#qtOhrW*oRJePx`z~p4xo?l zwgCWH*9gQ+`rgNE=e86-!7j_z1%bWhUTolfc{T1{x$DADMH~pW^xbkzSgxcGa110r zLq{&RxS*S^v+dcIB1HZi$dC|dzEZ-1i$70Y2I-qqF35!6Lij87@AA@}&Jgfrf)+|S zPW1r74d!aN?VMtbzzPl*rf`gZhR3(afbs05!dg$OU`4I~QMA$$Eks@O!L%8EoRc~I zDg%+WU{F2zO}`rzR1WR|Q4?p_6Ux^KGiwRy*YJq9rZvI;Y=(8r*R8>sho~XdC!cO} z8DYcPm*8mHOX}vwC0@w!A}E3x-Z)|jO3&|6GU+PKH}?lCxi{TWlxqk@V3kwV#bd^9 zumov|qX6)^1Qj8KtguiEjXBq<5pZS4Mj|6K=ZEg!tP(X2RvhEgte{Hmey{6qaBC-ePP;)^Rf--Gf#iV0x>D~_N@V4ym zTv1^3GZu89b%ety<|i07Vr}6W|G@hZ>l5Bm`!29yK>XZyr71{_MotMF5vNf@_tbSf zddc(K5k}O0zIym&(%;wpAIFMopXz$92RFTCTabxtnT_Yqb zU_w-V2fqQjEZt3~qTqjly ze=+G?M?a#^z~;zM~9iNY0)9Gque>8fva^%3e{80JBm2Ifp@d#5>8_SH$52 zVnK=AX#7FE2dv>H!ysKQAdMz{t--pFYG?SSw4=l)|EKL^d9){|3s}2ZRhz02HiF+df>RMv( zmLPZQq-KCDM^qW3F#lo}vJAa?UiY7M-^SXm8+fjUm7b52T4Ftyeni}lLIvh%nTmz^HJd)3(wLyQbe z$d+^onI(_{Mu!;b%`X}4YnqXe9;8Zj#2Ig*awg(Z74%psnnS8X9ATZ|p;)!=;F^d7 z%IPkex*Ae}P{*tvm>hfl0uR*L=Q2a~go%pauA54BPoCkTnB*ow{)U1k&a^z@#L2(m zI8uBLAzqC zUb7X>iAdrG*zSfRxroa$1Q2e z9A2Wy2(}rpAf;tQtf&ISpHeD^r?8>aBsjJMe>8#jqB-5yFv*}JQED>l8S%A!aK3Xaeebn+Z$r5nWt-L~{rlo$tWu9*W)aX?-JPHYX6KXVBT7SDA{i{?08Mhx$<#OzSF;#S32J==wJTc;U~}sWr-$ z8h+e~eZ(6>bPqWCeI4fUDxhhHm|Y2gN#wp5F4if!;x^EPo(4OjGZIau?m33;7YNQ; zci$tP+J@OjxAf|W#T$omzHSyF+j~w~sy8VQO-AZbe}j6SzOW%*Kluvve04(irT6vb zv0GJ#sqtx(D|wd!^L&3JMvh9A}3C2D1&7{7CCtium{WI$%=W- z$JDrAcl-G;5D&pGI^2^Ha}%R)bwfD$d`8ocCYhY9xEQ4anC z9;K5=fe9%gCQ(uQSut;EF>hHh>+JtXQDb8wApZ_Z+!~Y5+UXB?Ob8BDUVqtU8=xQ4 z!rSO$a?0hGGw{<|kGz)OcrmgIka7y9cUlyyXE=&p_m^IG4`d2HWwa;D>_FV!C$y(3 zeh66LU5R>!G+Mv?Lg&OKZxCX%&bU`iu86Zu`LC$0cZIEJDx!_&M;BE4b4?q|@f;hd z$}>^l;VGsq!qL~`?&av~iT%g()zxI_C2ODe7QjY3l55f1!Dk$=Y?5~&A<)Nqzig{q zsP*MD+fe2Ezkr##Z{1>d!MUCzp`OcpyF||iGrt)F$IYgI%p5^TeVLc6eso4E?DHYW zeRP+s=fr(|>x7hYeEt{wn(l4LoG>@_M1fYB$iH?kNU65wuZDih`9kzP!0*77F~Rql zyZDSpd}k+1VvU39Yjif=5)Km1PICV8&;6BD>|F^k_8}VXBFl8Nm8K6BMv**6KX}(J z&ntVYf^NXoJ_yvxu$Sfk13!|GQaFbY5kpURrhbuz#Noo9XrHLGPZu}P5f$&GZaHX5 zxuBq@i$6G3)!0JsE;DMX=vbiCwj^@e4Wkk4%>RVG%C$t^;}LNT>4Aro<}P$@dH4V5I-8kd8n94m`B0GV*vRZx z2`1%ZzAfCKN>$1W$z6+A9u=7pa68({3X&{0NQyiN=1*MHeMco+NxT~Tn;?bPzJ!tQ z4uTr!EBKUXIraQzn#^5SqVOyIO5D-^R}(^QQKPNyFNMon?N}_H-KfZN#{TCpd$HzX z*p_~YN}_>>d58sO3BCF2D5-~yaC}Vag35E(Z#TsG~Y=V?A*jVU6-2L zYeV;CA9rE$o$Ytm;`sR3jK3&U{{FQw+YiywzZvxMcO$j%`peGV*AMsC=Q}v^XQaF} zyKlVOMZk;XbYyy78h;lm%X;V7NK|*_x|6JMHJQu_Y>yf=62MPG?aR^&G1w?~UQdV{ zsKj>4foMv@=r$n2JHMCMGqkeRhVlw&1!_kigcEp&ANDx>OPIY~se^`0hW|K|~XDYtY@06F9H7j>wBcf@yaR$jnEMV}}s& zU;fDed7-VK=%LIuZO8F|(;T_LDJdRUM>jo1Xp}T562p|nBoHY(VJcj(TEnvCOjDg| zv#^sBJjIa*vyIFe^bB?-R(J@7Og4pjRM35yBSbaHq=q76wc7U)wD0qSJS_-*!|vNn z$nwQf;QotXI`c0By2$DX#-Li??3DnfoV%b7lYlAO`6nGnis@*)Qz3=?HqLre}i8S&jVqOt=*J{qk+W9m zD9r;Pt?VJfMAKkG3aY=Ju8Nc%uG2)MJpmbHqkQry&7q_?()EJ?$}U@6V$Ef+q(Il= zD*|QS_Z((*9+#5{3W-7dfgcSB}1T!UCFnh!5cV^F0j z+K_jzS18c&T_E=8w7^cjmf%?ObZ@3A0Cr=r0*0;6Yj$_I$*9<8HVyyrieNl9R4y`x zeMw}vw5`Qw78;58BhEMYu0n?<7=5hrDCXno<>EbLJGe_k@@~LgOANm~2&wY|0EL-< zRd4DlAy8F=_)q2@0qU!D7M77JxwG$3OOvE(k|l{Qtq4Zd&i!|GYwi)>D%HGG1a@-1 zQqf{$S9oHi(~TBF>37g(3@d#KP2J;dFZd?RCO43N`#iont6b1H0DBN&X)+RjCcQt zYkhV^E$%sR?WNYr%Zr9kms-sG?1qY+vnO+Di=f=$R@s!XXil18tjR7@Y? zGA`E0sd@^^5p&5G;h4DyEvcEW-6{9B{I=j6ezHN3W#D6&)Rh3ktrWxJMFEo|r1Z}| zmg9Z0Oz2Ju))qIeepaq6PjV@n~*c^xux)pRp@)HOzqIV$R$X0EDX{CUSLV8Qi3? z6%+(X`UtdLGnC%n9|?YdLr)_-7cJhm$a@XlV;6|7wNARgY58HXJ^A?G8PZ#VeFzMh}Pbc zerea0Gprkp@8)hi_q0ydcPEmF*8ERzYO#~W0X(N5qv&wBI8T)%Q`m%F{5TPZx*d}W z;*4BXX&eR<9Hw?1Uo;7=3jnx6^yA#Evv`R3vLrM?8#b^bhkK|TbRSmNk``Vxp359x z$!l-?pdHB>Nwn~Mh+nm%Ge5r33eX(?mIGewT~0^Hh~OP?&VOPeKVrI z^hj-t!&FJjEF<+k1-13=YCZIHh8(tSjZdtk`w2D#aSQ`8J7(F_S_vY3Ifh*+Oo+0Z z%zxqc+~9E`-+D%duDe$A)XlO}qsFA|{pb@Ic0EEw^>M5n_lK1ITt7XM;V&k5Qjn-{ zu#LFW69^(xL1+7dV14Am%LlBQcB$=AX>i~}Zo{kNA zxKZ*K9WpBO)2~MZ6Jf-{35AkF|ohczYGuvZ17|NUI=H z$*6i1c=`|0zu+Lvq9oDaw;Rvc(e^!Oaa}dY^eOjV`MIJQgB$qctZ& z&F@*+d-a4rT+dHnMVosozIvvMQ5jK-r{6B`Zc=gULwlXh+1UaWq*zRszQ@f)CWS00 z7ZrOc5qY^E?PHQGiH1gpCwCN0}s25 zVOkW%n^L^`n*p@KAqoOwkKeHvwR?rNo4xiKre+;oOr_vGhyH|0mZ(gwJeV_ly#?1x zP=<*9$|Qb1)(G5?x;1KxlQ7?L$Fa^&T~!ZX`^P;#V@NTzY?q0S6<`DXE)=pi|74(LyF=#W?PiIM}~(zo&X&qblR0^SSNAm<@lm7HNBKo zS+R{AFe7zF_~DS@gg?QN4SKh{BTvKg{?($b01<+H#Pz(FPutO=Elqg(#WCJ{wFa}4 zzHQ+Zzkb!3W=KH%@H_&9{8#_+{P`}E?<@Q92cc_k(Bt9GZPd;`Z=ctH9CoE8(~$ESRa6b+o$!DWUL=$Q z$QSY1v>exbX~;H9qa4eKk5;NtT>~$n@wJy|*9Nlzb|G%VF3H`cX2kn^J7_na&#eCr z2rS7Ks;M|&pb+il68q#aovMr9wQFDiU8BWaDZJB~i`0-No7|=Nofrn8<@s>z=#~}2 z2y3zpr&G{0;ucglJSDJ_4$^!W(6?1w{4~xv>2t2Glf`t-`3R0N&ZS-GOtTw7(b*km z;jqX7-;0s+OjvLL0L&i&?H2gloBWl{xm}3{D1^co+$Ox6^Dwl#dzbF~wM-cUvLnv` z4lgTpJn8LGEL&%e2$CNo*Y4a(rlA&+p%Bq{ty+M;bEUp~Zx`H=4tOAbS;FBHScSp` z6W>U3Izj!L_4Zq6TG$0I%L4n6=q!N~#OIDkIWAr<7eG@6n{iL@y|4LsHv{Nb6fuCm zP~P@fi7FNI3T{S^UJ`oB%!4su8XaO|i4huyzkA05Fx!b^9s?Q~7lEaML-&i0hsTFN z26$cqqrHlEEQ)450QDyTWM{bOQ* z7o=f##9~IfB4Puh4`m^nwD==GA#6jacfHAXks%xMK?Lylhe)*)qwTKtjX!V|>T+^V z8cp7Af{5vq-bAFLLJEUbGfp;$gwx$LMyxVUepkfOf6HZ3EPRLau68-}46C%r7-Opu zrN8Ku404CXt2wR;8%&F%Mtca3S5=QLf5n<8I2yd*@7vN0#rp0QPk+{dBC2|;G=^^Q zRgZMtOiiAts2f|Xmoi^{O^*CrRQTek}nce7|36ZkOO@)D)$oiTZ_@sw0 z`;oS{zRFqR!lxEr>Z*rOHIJG<+-f0oovC`?doFxlj6*SRFL}S(Ahm#nTCut`F*f!&si$8^A&&pf_jWxY`eoOU`92w zo%Llf!H8tg8aYYS|B0FU@+7}~rL*>$2c}zH=rtI$1>&>7W#nKgKsH_ef?7lzJeX#$ z1~tdKxZIF|IhtPnEJm^UJYL(0o?leH&Z@#sim=EllV@Je1DK7`bENTTXi&nbg1;%L zL^d2s@Q44oMc)24%QW;Vs3MU)aex6jGQf*29dLzQ<()XuQ=>G>^}A-|vHRt9VpMJ* z)8aX>4s0r7zoTXkATpKC!)3?4X^E8x{pN``q%HbwG}B*O^dumPoA}o~g;s!+W6&I& zg!hiBl><{RbKzod7ti?OZP%H+{MM;;Hq49}TVX4(!rzezC6={qd-CKjE*_qK2v)OS z+HC6`8hUq{v(-MnI!_Z)z_YN1Ou+TjL3Eja+APo3^-9M~PoR}t`lX(HIY^hV8P{_o z&b}_OW8utEZdn46zDtkb`y8P=X`IwAFTdo{FjS*}8B7~K(`J#=Ef$B`;gLnXjAB(ytBa zuA1r2skSWHaymH)xl>;eV;c%bzR`a7L?en@CimyBEzuQRSbg*x;#K}2`_Gg`NtA8i z)hF4Q;eTe#a{afefDxbaPDC8&(mRh#Z!jZX^3f)ci(C2gO{LNc(HM#hBV`mkEZ%Ov zA0CCAZHrX>FyW2lAE&xZ7BcVsySvtcJJLk7&3GJ1nv$Sqf=OxtL{);fU3?|>@rAWRy98-2 zaEKgL*i@VziaaGK3o)B5zRyZzsvcXpwM|}L;n!Aw%j%}nwkZagDq45r*Rc@lVp=vYX6bsVt$Cm42#AQ%X!{OX4W-yN{bV7 z!2eBdmDIeRpEhgbS}vM^S`YN}7b7 zqqqOlS|We_i9>H`V;vpW=7^u%cnFu#^CC_ia;JymnXJ%{ z=HrAqS`JWrTkc(b9-zKd&``@m&wNqNl*iH3Z7mfKR2P7O(d8l}q7*}x3|ESy=9t-d zYn?MZbb51(xmxm9e0})2OpQHFV~aLFmkA{UUp3f#7*;7)oXV#c=-fE}!=+v|lbb+~dW8L|b_uB$PHEY7v*>h4&LZfi>M3EjDrqK0&BfIJkc8~k= zp*HdV`*aY;|4Ogsm-PSlzuzd)=lk=1NQ%rhOqN=*9OAU0>gj_X=>UOMm*?m2jip~u zVB~&L@>*R@IlOi204J7iY?@wf>hjQ@5=IuT3VQN#XYM85zw&oq982_EU5{JvUp&{` z@729?<*}SNZOKX+)p4=X>Cjlo21h0~!0%remD5{Q{vcGl3@gS27p_jr#%}K6aXC}q zn+Neawyv#1MW_`qVj;Fhb3*@?lccb)3@1DwB-Ai6ziiL`Ida1K)6{#1N=f8oXXN#^ zCkz`a7KExG9$v|eNn04cU*R&vupc!0iJGAx%cPD~6doEoL5e^kqq&b=!JSxeczLya zBss9Cd%pokE2YL#jB>U48)L+V4x^hrK}ff5W%yAoTMXmNme13};(Ke36{E@eH5#iE zDk9%+6SuI~{orNd=HWOq=r7_A-?-##(mqesmD89ozONM$DRBC*fn^E_Tbzs0Esw7wVW?4Z(&#pTc z`#%r4aQr8|#Q%Vk56jy4VbSIhe*K8^2!o{j6|tM0j=u<&pMpXh(V?s$#(loDgG4r0 zcxP3Uds<3X^K;jlT@_zh`0$_i>y>|7=kIqh#xK7!dS0fS0v?|Np1tzApKCKQw@dr7NQN3%EMJr`{^Xu9&tf`0 zVd~l@lt5IH=V8C|8oKU*PH*WYpEq{8+rGf}r)z~+o5b*+UTG?uD~qE_o9@ZMMu)1w z%(P~M!bYEK(UpOmo$$|-oQ_rS2A?o-nwzYJqtFb}5ss0&UGA7rNQ~6UwgKOW$7|#A zTVq$1-Ls-l1B;za9utaciQ~vdd=|T+cFdA)M_1X72VNCpjl=ij>fvzil z+6$tlyiKhv%Z#FKmS(Siehql9-syYe{&yPp)(!5$RADZaZf}*jlO>s5SqD2tHTX+q z2himUkN#43=Ah;7rtcoh?egrF&i+>4z@f=To>R;%6@#V5?OKhU z4Fhd;`z|H3`tDO#rm>?`eELStK}HqJz7AW*PQnxhg!@Y0#7^2gRVf-sC{FCFgpPbR zVl4DL9h)qLTX%d`+P=g(Y;7!c+j3*6LJ;u>?d8~@ ze&^^JXJ+DPTJSs?ezrFjg6q(_>0@3JaQdd`YyIh>iA!Rv?3X>n9DYP4XarS|?H}9I z!GO&vpQU3|e+Njn$P5zm)3K24MLQ9R$EtE!*uL21^~ovEpJZU%95w<`!5Q}K=O9Mcdom0CwOLHl~)Nhw3m<6^b* zI}B3p{=?Bv*-KY(OFC3AAE5aivaJF)p?XRjo`+zawAJH6jdXt@+0<(Of)}+=SIVr5 zN9J<0>n?_?oIB-M_ivw9_MB$pr{%)LkJZ=3*liFyF5EOuEC3{3xqL?B9v^H4MAM%6 zb1a{Hvag1ekGcJSt!F5upwpbc7&=mxKzGeD)}*=}J;@2U&?rQ~hK!qQIu72cEV{>> zcPPE)inN()(kPVqc99ZBhqE4b#d#RSq+LmJ{NQ)H=w=@GW4t^hFk!g1HYH&V4x7|% z9vSkh{wby?h3wJUfF0mlCM6T4R^pXaA?oHteKF_BEkbgK*3=p97cO(Yv?%@sIJJ2} zW$uH$6{AM;{j(oBMee+I-gZtCgQCeZxYSYipR>;U`^8q(YbR)A)LEi~!GR zdI1ka%*3c?v=2rb>m z>)su?Eb}m^*~81j&G?KSYlP87*?C5{wVw}^fh{TXlZ47ozxccGt+AMBAbLx2KSzkb zaT^CIoW-NB8G^|DL{TmthFOwRmXQr^Ec2D~yXQt1B^%;OjdBL#S06qTaIRE&gvuDNMAEE~1Xo z(wn77bJIuc>vY!;qRB$jsaAj81e*g%9B=M%5n{GJZ>bc8QsM1QiuRdT0HD|S?Tn}A zO$7RetmpM*iziRy_2E)w=jG3J-uvSMng7f2lQI1bS$=O*x*aZ->(8q6P0IzgnZWU@ zrs+P;a;Z7P30a$Lyk%7_nTuIQh`?>lJVL*7qoRsogv95Ic`ogVNd|ckIZ@*-Wlvdx zTkpgiN^NAzaXnFN3d0bj$}VMZ7q z3UfiLnuf1B-u%U!5%=An&o+d4XCwusblVpsD69lAO8<0}jftk8VyMvltTQW31+PQ_ z@b&})iD7jABEIE&dNPt!e9)_VeKL}v!*9P}{wJ@7z;>Ug6-sX7!>@E4=T|yU7aY#D zwn3Py2YnDafVbT|iy1hUhj_HR55~xKRy#eN=g`5{LU@>+@`viW{3=@=_nK<;4cY%7 z2+Qx8p^trJ6rUv5;G3Eb%r9xpj+sWSLqpI~x9?voD~X(A4V8mlysSAcn~+QoFjd0%HQQY=0RtRpIcPhsB6DpgzDi8+rPUn)qZ@BN#2y%|#0 zUBSQSY6IzXw1`IcscfHTf?rj;NVHKi3&9OS9d zFO-c}K#=7~k(v+W6sQPMJ4OEVRWGu~jlMCbiy%qHtXtC8il6hAA2h%CDOsNCRYki< zORCmYbH6|nPg38UP1`^^VAkBcApOe{s)@1i_Jy+uA2l_GhM>)w{_SznN$!!(nJRN!0;3mGXkCaS}<8Wkt~B z!l^!id+t^}7M>0bw*4=p{*CJ)~Vf|)!8NT2M12NSk$Rn1J1zhGgde~_Mv=8>v4AE z2Bs@Be)7Q{?e|kR|3k@rWZ1_XL~x?C82;7Qck)ujp$jLzlz)YfKxP z+MyBI8>(Vx74~TzxrgebFnb8Mdc=@U8OnV7{m0T_b%2EF0;MilK4f;ZL~8Wl0Un1w zbh6b;18QYAcR|=-0zuIbtqe{l3BR*6-ULdk%hs}`Vgt{_1MVBkWu=LcK}}n<3Wsca zgGcBpJc5Bq$Xv}MO3fK-=RaZZB?MCBVu>6cFO3W=HCU~^JcXE53>lD8AZ~PzH4)~} zw(JD$BIC5$xPp@@ei-V6y4htm5H&|H?;(@jkFZ*7NuJW1V9m7njgA;@WNbo}t8NV``}Y=-PDr-xCAb zkEf`s);diXUWt!3fh)gtY9a;V4T|z|7Ia&X}d6SeOy74g3 zcf@%YSfSWtmDpnPDCeP7w7ZepLL66@<5`#7AcZ{r%o`(UXf1IqToudAv!qGHudnPf z1|`dr!H6s!_TB8CH3fRhbu(hw?|f;kcvY&DfCu<&vi^QMtfS*_!ts#xtiQt+1kBwB{r9r;(yHBgmRe9N%a~8iWGrN%7Vs&AN$bwzs!IbSqZ_Z0))jy5SIwB-33C z1%{I();rFuz_DRZqTlF60E2M@UrgUv9W4*u;|a+Zc`~^AfPxswoN(Ob?(v z1Xu@0O_|ow35fN7|CV8+!Bz17sjQuB!>$c4 zvnP^MQ2_lrd&)GLUMSiJjJ-!xM-~`hBG9`ih(?PqJUtu7U5%JyqSVqdZy6(I5b@g% z5rDMsUpq#wQuWu_j#slDgZT`}e^{s)lm)e?)xQP3(#l%;P3X%$y&c}timM4?Fx5pT zXI1RR^m0HbMSWF4`@3y>Al6d}y8suZ4ev~BpSVW!XJ2d`3FY%8vg(%8*CtozC`jN(yUv#YyD`Ta1vVU*3V za{?}X%UWbcv*|0W%L1kKJ_64Y<22o;gfE7gp5dPMZRA*(7-X>g#4b9e9TZ!F%0u0? z$2AB)=pOAIo)cg-`9_4R=n*%d%>2LY?_IJ&2RsO> zdu~L%8WcU!0mS9uHIQiWP0m%U7Pbt}xe$a*WKn89Ww4$|Z=(iXR?+Y>VM^O=)`oxaOpl7{hHj_X9g;uRZe12c zmZnS!{VHhqifV(6UH_mXFp7i&wRxmrXZwKfdL~!;ctEb%?HH)=-MM1vP80NPk|;|; zO7vL=p*MQ@t_4d1ni2|1$jrACR{hj#xPYHejzs?sQ}#P{^7bGo8F}iwZ1ElHzt+dz zE|czTK04EsA^+d?vH#@%`XB0JRq0dlBp>vvPhX?I1W_km42};&Z85#&N5kn#)k+YF zu_e*oLfuW8NQ3iRW^6XHnS$YxxStAHy>%=3PrhC_>A!E}83nv9or}D^EyZ!acX)ZJ zc%ffkkqW=uJv{t*TTtf@nLkvt0|*$nvfcE_{(>V869(s~uFeA;a1{Gxb1O z@L_OkkdF>qLtynIG1KK_GG!Uef+(J9ynMmQYjn$@j`JhFSVrTwr)hF!88|+S$vo!u z$mp$_3M<&yrliTQ^I?D6>%_pD9ymkg|*D^NrQ635!eu43(-|KY)IfL+i@fMiq&wGTdOn> zn@(p(;&Otiu6{gfK#@J*HJ79n%747s(%qz8v-9$oi1+#QAYudkNn~e>_!a%1a-QSc z?d{Rq?cVX}-`jz$*MWD4<9_0*eXiwPDSi<+)BTY;^i~fk2``;4ymK=387LnUsw5vV z3$|%hz#FHzjW3>ERnXl$z7ea%A&qup$*MZEGwM*CR(1P#1Y&5Oa`wk)y@Md(o)6Lf zI3uK~k(T-z$7iZ=jQ|v4IBeN{-2lH;i`>v9XwYq>6<=uL%z^zKL3uVe)81Rbleq=qVH^N zy?gtjYYB>McKZc95iuM2p&HOBb5nos#0=|(xJQ}$hApT^JI}!>u-H*r+bx2?X5~|K zHs?gNs(h0+3h;;Yr84XMW;!>L(>IPsLgq5{*o3kag=^eqh7RNO;bbAU!Fsytou9OX-L)pJ|P(lTfP z-C(V*4C2pS;M7AzXCE8u{&Km54E^aNiQsxi+>kc-3@iAmH2o`Cr#4y4?C>ru_#Cb2 z#?#G`u^P-f^5h7cB+q7=>Px~teR&sX1ZVG*uxN`uEgP0YeCZCD&}t}EQOU3OqX{O; zk}}tO}OS)!w!{$xt12Gj*wr6Jo7Mzkyjbtij*NUot-MhaId zJeH(S#zL%v1=gkd^o2+IVa?L`ADplYz>?x(2CaLm`T9y!6{qOj5F-A}C%oc?R~Jq%FZ$dExZ5WBTq5Gk5L66*-ZutA5rbS3&TuJOfM(=; zDir)VEMr(o%4Uo%DML+8k_LE~P04V_ae3=l zKl+yH;Pt9S&a3e4f+C<`1i%81N8T^SIhsAjA7)%_1~!EVS>I@IYvv{tENo z45KSbT?GybN(J%%UfBPWFz|mcjIgvd)rpRN7MoYgx_wC|GeIAEaVxJyt=r!c|D%YO8Q&ef9$h|O1fy#M>{?CmV?=SD)-!J-_a`mM`6u9SsEo z=S-6Abv?LY#poyfZDa*A!%`E1sRDn!a@aHBnm$uu5fhcy2%{}VoZGmEeXE=95?5xxS6YEkDfkG;|tb?3{K-GHs$4 zS0aTe5ma2}lDg!eLAQxlPHRY`II%2>%RTwB9F5hwTK`z`g?Oy7NMh<(&Mu>5QBa8t zoj9*k;IH$)-|+DV16xCvO9MMHJS+GaG6??0ukNlsFaNga*_u71NXWepR;PMt37f?_ zT{b=A56+BT6nDM*Jl6P*#Zm%}y`e`kq1w2eI|W@8yImBCMl!E&A`AI>e`^hJjHIxW z@LD?CTeFms3B;t<(qN$z(nid7Tm{uqqF+&f)0}C=7QDaDdR_V`D~gh3;$3POmOM@fc6I9G4(vZ8 zH4*dX_POw|#Fh*MWK+FS9z;&Be96aT!JNpjWOW3GyCWcE)lp}*>f=cWST%R6F|n#L zh{Bb{ZUd3I6f#Ru=m5xdnR!8G?&S4=S{9@- zCRxG)S^@?u$@mA!x>DRn{%E?tqAu5KUeOgn`#LwvS`)oR2{`AW(y3O_D$3hk{`bkz z=f)Z6t$@}Qucwv_{Ea25QKCK!cA+Q&qWiAMx^7^JAM5^|G3787Yqa-RHaojPCKNmPp>iVjulOz}Cb=%KLO(VVjIe0L!wJOlf}pwBu&p%e z_WBV}1K`;Ls!X92Emqh9DopN`Jg99z* zZOmLZGk&(t4yO{aS;bMa;yEU>TP@bK*2#me#{Sj_`|{tGFG;a>8%zKcRO3eni2s9w zlH)%)DF25jWs$CCN8N%Iw)3n#N!DumRPTGel_5I!qZ*F^Nsi_RCI#F9f#6_W+~t(N%nNB^YPr-cY~k zA^dz*YRjtXG+a4^SRH^(N5fg+HiZQKby z@d2#d4U;r2&OEh?Ab_X>vNRS9*yyCC%Hclze3J@ z*Zbpr^%FtZ$4Z9U`nL6kNwQYqA7+ z(JX5AaYa#D@?+XwY4OX>J;yh8;%?KiMcFJ92>}vk*opsM{%jm9ya&bZbafg!)u5xV z%=8%hceMqyZg0MZ;IDqJwAW|w(IL0xirW@23SEdo9oZI>MGZN0KA8R4r+kK`Vh@hx zNM|pnPNVW40+ckk+ulg74$f;}e_f$R$SpZZ69Bjp&SWav9};oLn0qSYdP@;+%{Pa| z>n9MaL(vmK&*%omx&8@{^Q|4Di!+EC-o>%7IfZ=j>CG`OW{Z)HE)uA{MM@E$=Kr|& zig9x|mVQM>$p?wyyr{0{C>Xlbt4!y7(-+E3KQAAR*?vbBRK)WlXsbi+kL zdp}6}l1QmB_f%uaOVOlH9#@|O%f5A=l!uz1H~%wrVR^cg%34Y&-H7<|p&~==K{JE?2*K@`4VkhhWjYK?ucmJqwz#+G z2xgPlHq0G8bqmcZn(x%8fLMzp2`0riR40O~^6;@J((TS*8Iv$M8&D(;9Ne7}OnxnV zRFZ=PXD|^^KA%Qi<-u+pv-PZEsE#76lhT#I&jb_-eMylO5b^7v=lv<~iQM}A?rlKk zKETgdn2bf_(hI%Em~7`N&nPd?NIAFLz-i~>&cO!tKWSHaza#~^BXifA z#2ZAIb42xSZKCu8r0`lpnsX~hT&QoR8zREiT%({ZnfN1otKqCngrpcc(;kT|Lt7h_ zD|OL$sVQRsiixr4*K-reh+}zv5*oX}N1+S;+DH?UUOFmEYtj!&nPgUCVl3;ftuhgip59&>PH>xO&LHga@_qC@Vp_-^^BnJ029}#UB89Lnp2h0LROwEfIEG_sJZg}T!HoXCe^&?qe z@F3AAIHqz?EnTqsNE=GD3XeSp!)&i|6EgwpzO4Sm7;N?@eA&?N1cJWtCzB8ECk$fV z$7z{=bOeU6VSd--x#;ZE5&XugO~q968^Vf=9a_LK8;EF)KZ)N&!NTE`yl(wFSdmKc z@)uIq=Zov>OJkUMH#{P%dZ5{wz8M8B z)d4G|Xe;Rz%QgaIZf4ELFqBgv3BCzGbybiOgyT*27P5(FIb@uP1rjRHDNRu}OeDeS zOZ6{E|8+O453aKR@sTDS{69b+|5vi!xcQC$fF6Hv9s5Q51)FqG(}V#ubA%{XBKQX{5{L2TsIs`PmcTrzH@gt{Tw*;-T{(Bz~tGvAgLHCNhr>E-$}Tv z#6X-RcWPbHUi@DBt};aaWy}cx5$EKE6mLvE;ukAXv(rQ*GlqTLAS)^#$(u15W>QIH z&*F0uyR*cnc6M1a*-syB+s6G^(CU|zC6Z#P&i3Uo%xPQ~Hc6gXUDWUfE^OcWuf$L( zW;Qs5{vBEVoAoSv{72Q(lxSHS#O5~Rhi)n=cL+U786uPKa}kAzqfkAizTpt0(ZMV= z&-*ZL88(l&hM@thSt>9xmt!g{{>d6XDc9+?4|~q8Yo|7{UgZp_>FBQJJWTCMr~O=C z92d9f`zhJnQc=≫IoYO6nE48zi!2low)%y8KS|f^PGu-|p+-FR@OD79gJ;$W%H)bzj*o&x>dd`hLeiXLes@H3Gn=RojtSkFZ6#kfQW?2AptiiD7h)<|BEj7 ze}w^FWN&cY#@0CB9B?RrA*y~+G6xclaIp61Xf~7yF$T!`SY@L z{*_Q}Rje8!nr>O;$c?TUpy~Jd^(6Q0ZHi~d|LJZdW2Wc%wZ-e2Z2Rr^M_ugTHQUQ8 zAp2^k=ii^>gKTuKv#UHO(tuazr~B8Ah=iW5o*v)70Rsm5vcgCE*{pR#>hqOr31fQM zB>EFVp&HRjG&(Gc&Zb-?#~SwZd~Jsn#RZo7>t=5CLd^8;L~)v)6dj>$`}2D0hW62f z5@~v7wYlFN3+RbtBi1}KG7;=P_fkdC%~B`0N$cYaa1lw=|A=3TV^Kxa3|q$1L`Fsb zxfCuOhSwxtg0|$s2C?=uMTX#h3ahhsZz{KtH~5S)NUv@IG#%Ohv<$6{#r{+8q|HT8;!I@35E)#$YjVq&zRWeY+BrZ{m8<(0&264+(o-|7bh zb_2@<#2EB>!CAvO%$^%fV=KSDDJ}g<=3m}MkRLL6tG^i^r@gYZuP}RBYc6G%Rje8r z`eyy@*afXLJXyWj6I5k8!IVHWeC*w;H9+SkVgYK9Y(;X{e$Urji{l{1T)?zzxKg48}}yU|SMnW^QGt z8=4s0-hY<-;bGtLJ>$^%=PGGV7{9X6a;)zjF3|UIc5_u+$&E~~xj^YKo#t2=f#D~; zvd>#0Mf>bBzArKMF7 zOPEE)wn9yzW81IW)3KGmxdNV>ZwyFQ^a1=FZshyx^_sUhv`8W}>b~@gy(4KQt^R9b6C{)z#O0xS2o0jOhZg$4PgAqFI424T@N@- zXTxh?s+)&PFku-Gv`M~4a4FXEbD=KzCYVZuL30t{*{2|}IGS#SlGrZUwpJ9D(oar_ zhdh)shH6L|%sSKggl?HA&&pBNC)d+fC)!;wYiU-hs6K$fa2uj2mrStEs(_!t(X;cY zUvVc02-Be@(CcXGaMMi9EDd6ZX!TcH7C6O1UGEbC*fIv=iyR+!-?di{K0FUP8It&^ zaD!nes}{oH#cnW-Nw~HSXMVpmr8I?G&|7QQ#N0WYOCA*1j+}`K$@yLn|Fp~L(tlHG z#`};X<6sG^d7q+?8CJ(90RHj-ISvrVu!U9LmO!>?g#t~Ql3&6^wdFkB`2aL zUXPyJTjNLt1aSRQvC*+cq~IScvvAhnFb_-Hg{S)3Cx2tzI<{tlLW_=1wXug5%Ua7` z5&T216plX>Gis|F1%p&f>cIB*uDhIlDSDw=z~kX?$&PL(SYlEeR#6 zWLcDIDh$YA6T7>m7#C zH%7}5KgE_C%B$Bdi8jbEInP$eFGw^TmTeo}pA88w-s9yxu=u8#NT$4u}w9#G=WTN}Ue-?_(ZaCm?kk8N66EEP`H`rR)*cmcKiLU$t2MscIjMRhE63wz+kcgn zg(rWk18A4hPXmK&Z(MYo#z;%qDWhZX4lAst`iM^U#t6KEw+|?W6N68I^h%LXP44U( znF%MaFNzoeq__vXl8w7^Is#8(w9{c}w;U9f1DxzKI|KqM zTk&lJ24FO(sN%c~m^3?^X7jLJ9QeOBn~HO=8u$~QLIZ44{pH@SX8Vx~hGJ1x)co6o znbxdQred=ZwEQ5Ngo|3}!2@VxRd?ZdAGA>?PH}Vy?1?!a5p!KTMj;%G>nR(Fy!!=QPTY z9Sg)EWw{sC3nuR;5F0rEK5?TZfE|Y$VD9w|sjfC`5r>SrtRE)>j4O!Vw}ZfgLA@+N zjw2pGP*lt_g+K;Tr&bQ667>O8og%8Q4kB5`Jts9Dh%ml~1ftm|ZJ8ij5WKzYz4qLp zJTVEszupIw^Z=fpji+p{_s)Rl!2XkymYy#E)v3O(zQUt3*LflxcWW`Mq<_s)b!r4Jj?gpm{NAT7 zBW|-tBA(C_>Zf*gx*5i(jr>}BVsIDA-@UzGTGzH3Kti3*TM-EfJ>A30-98;6nXk;} zTP?Y2*X%Kuy1Qxte@0$6cxrfNI=vGY3u<=qVY&o44g6X<9@o7(Zfm|~Op6F?=Y0Bo zGhE+OG|+yuV>SFZkb(XuqX_!l)uu{?csCBym{{p{=m^KS^JO6BQ>iZH>s1-g&2++( z$ktxOQcFNvKxcagE6b;syNGuncoddl9L(&F3JPTgXF8IH+!BCht;j=eIKhzukbIs| zs1^zo(;4pLbye;K0Ekx%KmfDU02Hq@wn2 zwB$ht4g^_IC6tOt?m9DvB&HfAi3vyn9HjsjQh-YsP-FIJ?`0yilv@yR900oEg0K~? zu9L&AW(kM^O6jmm^+)rCqkxH4ES;3FUbrA$YIwwxuTj8s3n5%Fz$+qXk_bZX;w1%0 zArH}&X%GWY|7GD51IS+Jk#*t|vNEovq;204!Xg3<@L>|&E1F#GM zo<#%K7e@1qBmhk_G*kmaxl-HYuy~L_w^uWW=%>-%El~jVX#U6DAW3Ms6#p^kN+b@r zP6IASfUv!bB#G%K1$6`gHA_oE5P2|VbPg5loclIKNV6CK;~xhkFc3z_I7w`q3YK{^Kb68HO#ou@do(at z6yP8Qz%||e5dN|u5&Fzf3~&zu*9t%|ga#HpNR*J35`*}p01I3Y(UVcpX%a1WQGg&4 z*jl{^ew_+7sf9X6bbyx}hU=7bmm9*YR|MgK*ow#iJYs^pIpzUJ}atzj}LVk$Af>yC1f&Dxd{L+A19MBCj1Ot5(Y7K+o_(L6H z0H#s$JaSm;{jaznYY$vdq=-|J*aih`cNnnnF)s)>%s84~J=!}kKr!nW13W8B4AM)2 z4gi4z|7r!`f)39|1JOr!kI;AC{|sP)|Lol0g1$-tjFbAbAvZ`63~fl_(5Rp9}Jn$iGB_-t{_X5%BFqqjNCOdPpDHy?P!KehbBfDVTgu~m!^ zy`11B`^X@vTXH1;CelngL2u=y832BR(5fllS=`h(^e6c$)&6iT7A$>r%OF$%2t*(c zSAnA{CYutp*uVH1xGw&q!osHQAd{B5R#>r<4eN1%7MAqWVF!X);8@=mnDI?Q0JKUM z3VZK~4wNlQB`!i8H%K-p=!EPWPzO2N&8+vJmIClq9%!uqbl&W9h=TULyi}iw6w`@< zK1()Cfi5^sGj>5{w-yKD$Y>B-4d&-?f+n+RVR$OhcKs%yuT*z542!gZ#cV%E=#T^p6>;NV^;^-gNZ$QYWY7AIm3V>h^7OY3p7ztB^}xprc$oEK z?9<=M1AnCwM1CJo9Q%->p-whL})#tLD( zhzde)H8~|R+x-v$%S^RpFrRB30~XILFt||uBkUY+fSE}+w%IPX5`cCOz~Pt;w3Y!% z?`WI#$_(~4!k1P?R404`f{9_Qdaz*8)zI|#J!)+j+1a||lg)nRxWUa=gttxE0HK+3m5h?&SCQTal zP)nD-p#UHg2Vms-g*pC+!$qJP&8V@$ox??_L4_K$Wc|Zk3>8Sdj>whSyl4`*KVMu{ zBpni}`a3HQpvpqrJ(j#9o4C$R*o&cUo5)%bBvb%6i39LDd*uV}`M5;++0&?ORl^8l z#ZhSs0LhJXV@AcA3gvx>_gm^AJ#T+5hVHFo76TWwZEw;Dzm@=uEBXS8=6cuEYkIP4 zivYJNi{u6YJbScUIz*_6*k(rvwyNf-(jjx?k3yw@d25p}!qD~r9_Tb5*M;smlM=vz zJgR3LU}UjZ1^aG>giF-+2yKzPaxu_uM;-R?Jkdrj=u-5&1BsD~;Cxcww$_f5yuw=* zO4iLhP29quLQFikOC5HwRDTgl3McJ~=bBcwNbK=PF&hQzx+<)qOgXeVMpp@-Q62U? zlzW7+hL$|Vy+atbns5R=S&KYccl`;JqZ+cWiq0zrjGXm_1g;9+v4b-|JnFD1w4jy~ zRC!G^SoDOI$bkqZ5IN|A8=H;z2r^XZPguvy;QS9)M$T#)GZC6(2JZ@iMc-ogz3WB; zq|0!aQ>ayH(Q$}K%F zpmhk)Bvc<_(q%YK4|e{DAgAmEzm))2#bbfqPe$TB+mPCiA-tKr5!9%&&$J8PBjO zDa~(IIrB|(I4dB@8q8el&4J?A?L>4MegQGR1d|)jS>2AxD@WZEp01jLG{%!SFp+l+ zVt}DIC9DN3;887r^BqPH7(>Z8SXK(apbxUY7sT!Y2QtcXNde;0g4`)#7YQ(0n+PdG zDs(`-=;eQ~RLw<6|6xH5b7})7h_+KPK}@&fCJT%q&8%WEz*KBd{&3)GTF`7a+>q{` z!VM+tA5kh}n2o)f%rs~d;zHUpZGgbnMa?8=4ocV-FotWiBmg;|Vb6UF@}a2i(CE?K z5^VryC2*JjQ`>0Zx3nPp8Xy$m)s0J58uWHnAQ&<4ACJDpleBR#TQ^(-_?NjcjC!o4 zMepOd2_oZv2y4Le@JG>&5|+0!fu|Zc=sw5@>un8FZ7c$Qq?v^B0Er{4RRi2{XH_2Vz=~i)dk zCpR}h<$Z=W-zOtl!v*jY@w7C&aXE*CNO>gGV1QWEDmAYcq@L9oH@w6grQ$aH31%)+arDx(X?P-41&zi?iYE zhDXriIgHx>?_c>d9k<#=g?`gq#9q5!(_Eu`z zW}<)u)hK9PECep_X3Ox-Z2l&e*NX@M?gIsa&34gD2k5A;NIr%)C*FoPWC4{ZZ#wud zbu53(4R4HVSumcuLzmlkz5Zb>KJZ~+f1-pP78bg^5sg^bk_1iOP+8XXY|C<;eLS@q z0C+-cx&lAS)3Xcbc^<3*sU6V3ea6yZ0SA_G33@n(H=M%+#&ku2oj**9g}@pE)Vn$< zofEM89?Y&54ZQ*n!v}t#(U1hB7~tAqGnMaT;nj93c!o*K$5`7dq3!>XBaT|Z+P_*K7gZfZ?s<@_eTjX6KlDHIApy$ zQ0H^-d?}o0=;Aes-v7tZb%r(7H0^|h5LzI#&`an&bfg(dLXqAXiKe~P}A6~gY;2~wm|7JSTVfoB1NHgI-$8ns{_J!T`i8|q}@E7)R zzt8LqZxQ%Lk1K8&rrqk2DT+j4m!TI=(5l+&i2PT3?Bq9yY-EYxlzV{VXZFH32vKJq zRSkkAX;MQUKi~0#Sw%~>KgqN>5FLF1ecHDJ|8DsQGw4mrYXpjTQS$;O(q_c~)1e4- zJK%l!gIREi7L!3g_l12R^a5bnF46|4w8tKsOW*my9HBD?_`$682PAvo5O)EPH}U2i z2VmJjL4!czzw@H^0T1Y5y?^kh-XWa%Q?TeY!+mJw9kF#B-qBHM%ctS7p8UuLrryd*#OMq!cTGez{DDYs5@a~sR-Q^?@wh4NlSxC0C<>_ zh;gz|xgW&c6yi_{QS(=_%oxtX!z{@kpw;kF1I12SAK+<;k;UcG$KDItMy}nzBr)ep zkB+jOp`;~BMv5f};bz{xh8Hdi&{`Z!P~F3~YOgV;Eeqg9aD+!p@in0Aw{Dj#x%-uI z0GkCGG@PA#q*l!IhLYnQo_)61oedaiCwJBNgnKrZ`wJV+rVKJ`kMis+4mU= zam=BX9{}pA_wJ+1uFk(V&lUq-W99&HOmz`H`qF)Z#0BEOVMpxH_Sf(e{e&pGW|r(K z{~(WHa-6g%IK-hxnQ_SjHRKu3i(~9VMY0q08w+rj3fGwHU-2KP98t6Op`$tIKV3C> zT3naon31yrv`(yA9P?E}vwO-kH()|RLg_x6-^VV12eZW&wzfF%ukl>m8g%Q1Ve&*A zbH9@0cVGJ9N-pk@-73%{A0jvt??H$I-&M^&Wox!myW0PTU-Sillu%s;LwPpBP#F?qk`-^1U|PrVO#9KvbHgt_S1p-T8y(CF$G%Y({pQ%*smW# zT4o*t{|y4Z4*onTMA!k@;?%{-b_X;bF$XO05)pr1~yKlPcdaGl>a zh6e{IjoxqiI1K&F7V2^y6>2TKwqnU*Sv@Pz5C_iQWfYVGNYfBOp9prw-_n>U;@l2Vgm^H;zm>R*&yWn;KrprCwgmCH!Refw!xcJ{I%8!_}$!!O|42^sWn6!gLcR zo+m2aA7FI7Kb|qUE1=H>1MD_{YF=OUm`uJjQNkRZOuUEW7FK8P27|UAL*?k`>GV!% zbtaunrnNV#!KPdea=DvKMQZF8ifSu8!N9~;8E*mH2dQ&|(Cc1!vFo9lTp7p6QZPwq z+Da%*ygZnG^@CIlUaCawNiN=b#~Yn48bAcsvnqmQ{X*jW-@s(<1`{A#_$v~|2uwp>+iP2Y-y$;w%n2#RRrfoi&8UCTpZp@c6Gc3(zT=+(cz#!i13eEc89Ak8Qf7GVUXFp0-ILaRYlT!O}H;WVW z^A(P`3EzKdALYx%fh%x?L#9>_h|;8y&-|3R(@UcunILf{i^ngF3cpVc>m?K?^t)+t z@po}JUg=K^VTyV6t7pobUz6)hScv$J4l61AqWCH6w`B=}JRD{_{%Ojfq7d;*?;N{0 z`d=D}X1p-+5h+WnlT*hf?9|$!P9B$~Stpy08wC|j4gU=fMl*s`wwPbr*$rWm>A^c2 zzIJA1xP$sB^Aa4sBtgGctoE^{=Qw6(qvcMBINRYlO|E({2taw`pv5Kh(|^a``!nZb;!(_4l;DGOoI1k9DfhdqxS(>z>7MB|(LH}7<8o}sP z@e_87qXlDk8J~;d#~x*A*(+1#i&I9fIa9-DCXC4Q>MxCqzKzz9xH$A6?@qOdck$ZU z_^iqGKwXnd=zpxr6RaeH#Gi~Wm!C_o6oS_uGG;o<5Gv5&m6!>}hcTcsL>vn&&kndnj=w zW3{crMlISa*-hxqk9noeWBpdA;m2(ptASm8V{9f{t~WR?$KPQ@4zlSF*Rs_QcO0M0 z4|A7B^%=B0XuREwv`O605X~Brb7HoATq%u#;#Mv%V$lp3S;?CHF_P^i^dugwZO$$6RJ#`1!|fBU zU=jkIXQVs}31+(GsBqaG8(Xtbn3)%r3G;l`VH=`svaV`S^T)Fc%QD)L{dx@XSX&ts zB<1llB&P84eSm<%v$0%98DX*5))UY76&a_3Xe$rvm~t4@z{F7I3T?_?HMBMZ+mcU8 zvneN@GMj-Ob#mhAc^TcCfiyy2Vh^OYw5(`jC0xEv@5MwyMmfMULDl35k`E)vmto1` z_BHxKX;Fl1I3Yp2q-EcOj7+$Yo~J%Fp~5f0n+J3L8eMr=*D#i_OqJqm<(2rvqhoSg z`|V!yW*|~&^Br2jFFh|z#Y8R``Ah5TJw4?JGm2b_4R2XttsVtX@ChA(4UuZO&;du^(sNcQ!aF0qFU?X3!WC)ZtvL0wf7Y#ocx zSBjP3@4lozhF>-2H{ZR;$a`L#m4{I>@l&bR4~xZ(j6H%&Oyb<-T+~dOer&#z<(J?( zu>0zn$Z@S_TKBe4HFTI&?bT``vbM!k_XD7dAW&VJo~Ng7@)tEJ&(rp7%v{j~>xqrn zd{-afE;nEib-elR>rltu$e4ObRMRYRhxgQ|OC4*sDx#WxTFhCnq)l&ehHTp=4$6cC zZ_d#FVg7yp^{M*r-@IEP4B8Q)?{NFy_ox5TKKlKw#{BI5Lu7(eqs|dessbthfprc( z#?&!UZcTIO;9{GcByCHL$oPv{ARP9aK0MsEC64D`q&5u6zzMW^HWTysB7-l2s#!3dbb)ZRyZ_9?@pNHE zFM5H1#iR*aI7%8UP`#KpQ(3H2+zyJL*%I~Be1baB*;gnRr?irP+im_R%V+E66p34q zf;gLg;=aZrqVQ*hNmo0N0%`>SAPD`-&yp`vX}KXe7nAysVBP3HOl}xTR_DMCPtqVMcb>hWK`0-&&-$gcP^~iPxbL$Oj`n~@ ztDalwu7ZBr@d9p5=9Ra&vpDL(V|F1A)C~P}#C2`Idu}yYSuYKg+{J-dF*Yx+riT-6 zbPHrnX^I6fiHLvTR&B*yO^>lrGFp<-JZ!k(RdZ1znKQ7$wdDi12D9M7Gzlqdt~4H} z(miFA#lIq{7C?Eb?CdS=Y?}1WD@a$M3~_I~>qbJ@MbV$0LFbgLn$2af>7h7K5|c=q zfkieZ?rbWf&j%8Czxe)-g(0&t;K(s5+rKZvgTmLEI7ign|`Uj*p-k0GFdN%QJl)JssA9$ zQt6+#5uN6hw>`JoE;^AtgLVQa8*oMA)*76`*yrFAjTUpC4@4^P8qb05bz*EiLER#b zAe6y}Y8=Xm0f`v&JOAoa#Bkgjw=U!PB@k?;a!~4t{{F5lBd8+ABBU9U-H@K_QDctD zjNiI9t{5B7e{bA76YB6xngm1UV%Bw%QO+ac_Me8$($qd)SPwg&Y1JVBmq>od=OlY? z{7kG>L%Oa&g0IV4m;oi5HC#S3c}kf}|0&I@bSQQzndtOX&Y z_GL8G>2Kr?8d{pFJcmq$O?kwt4J$h)$n{F`4OOfg1P^tiMEAjGJ=EKu@C&a}s}_Dj zqHxZ>U{Rly=kDx=LfUU7-Q%!{r1TR=2$A%S2At;I5@Fmw57fsKU@gkYmv@Y%@C0@+ ztn{VekUh77Ajrj0+J96vsN(} zUa#_JELe@wz;AVsOj{zwVqMOi*yOxvCYFw*59@_pi`3hx!mQXMs~ihue|aOA;TfM5 z)+_=@^#n4@VaYGGHv&p~EF8!5BXOzOED*%|HA!h)?;Fa0D@n9JbZ7oBSJmvxI*P+5p{)$e&`*b-mYq-5qGJ)+9(S7a_JSFf{wKM ziRFQFCY}tM-3qIZXaxwy!JI?994b`0Acqk2RU5Kj;bl=MLMiM583)m>4^3`k^{=JSV9xurPh4Gm1YF zNvxK}<%z>B!}ht!mqW=_D6zP?*Czb4U>FCHL^%8Ub`*)_0T6~K*}SC<02P+}jCOegU~>!B=BiTmxLcHKf4vZ1Nvi1)X9Ol^gd;S6z=nC)p7Ca6qeUHn_s${bTKW8Td*pfwrqA_WW>4mT zvkoTg*F{`Rt#9&|?`^_*SAXTi^FKI&tX-A&Rhmex|1A5KF>K!<`0>lF0fh9nU&hRUYt z^|Jh))=DUsO2VVbyz32^@Y`%APzq~M0W#8&PhQ(9C0L^5>wip<2doWD4}7zfIL&%D zAiM!2L}FH{(MzPWzhz{NbxO>?N&=_ApR2{LHHxh$GM;3W1%7K>oK5MEplsefOT}a& zTLFYNo=d3WhU8I5njHVMKr3U2b6^`H0(K^1RtRq)Qfft#BTB83My)kG&QdW-o{`)X zHY8a@(AhsKjMBD3qp(o~tot4b+$C|J_m;VCuB0C+neSSosye6I>WCv%;7J|&wMWlb zf0(AHbAHfi#ZiHJE9k}sv$0isW*L4a-FPY88ltN{Pb8z)>bJiYv#=Gjz-=~^jFc{* zg+V3uOYt|z@>4DEL(SG!Hz4p<^xaHeD^sPFO>#u@5Nn|LyLK);ylJ}NB}pD<;wi6a z?z$O!=9~OvL4JAsCs*28SvF_WEz|S~h?HMGn~FTYXC1~Yaz-c;XPu(3#wd41>s%JP z#2p9{PUbf6H?>gW^On&+pYJNDqf795S-__6swr{_SH(82Bp3;}sLe5T@PL^VF->KQ zw8U}UEu=l21rC&KKz!A(0p|#QWe~ulID|@PgKvzwMTrfNRVLQJ<9Je29e% zw95CwSRK0Edm6B_vEjxh;Z@-`sW_z>LD9j@!f%+5&V4tF3~rIRmc|p^p5;39Y?!|- z*5e)*vF*@}?6sKNO)WxN9wy+xWDx6tW)fH5Cne%`08gDw+nI5TP z;K^z5;cgXMA~J2rTSvH-L|<$R+d&K1GkaZCYUy>)dH2r6gR{)V zpJ8Yoi#jQdElv65R2TnZsV%IT=}tc?A%NfTwrBe&at=Qq#W1lkp ztF&aK6+hnLZg(0zXKa1A>f63_Md_Qkn5c=|n}xPuyFI0=O0E%$!UgqEG1N;@&t|iK zcAehLVh#4p;>&GstaID!nT0Xctyyx%ik2+e*OZL9+>~dDF-o+A7^5SNyM3jx1=hJ; zm285yr*}0zzN}(Phn?JwQwr(}UvI7P8*L@9(l2Jhlx8oU0xq@Hx&ZI^!($Fw+&MWX zFX;2%S!&z1PkB{Ony)2kS+ZPoZ`a*0jaJBkEft)}H>(GoW{0vW*{Y{n2_JCw5sTg$ zALV{=n7ET*1M4THv#nI50YRjlE-Kh;_B5q5Hf$D{R|=~8_OQNU1w)3Z)xFGiF_rBL z&u}qqRrob!z`qhlgeBSOsPOLVp^HMlxH^V)3fovkc9tuIFQR_j8CBhzZk-99qW6@> z2EiIaU?E*}pu-lHU*ah0uuQ3v4K;OVy0wN3Vwt;7m5u>`mK$9*zW*A#eCy}F`tp@} zKDj+%xdWP12Le@Fr@pFc+pnShHch(v!j70?yL$H$IWL9Pb(mabUzN%6;wYi(cf#Ph zM1&pg^;1UXioHZ`qCrG5RFr8db&3Wa*q;YiTe&v53YNp=6g-+nPUieS7c3*b7C&hz zsh;=Z?Ui7`4-K$Hm<`WF;)lLkzb-^Z40W6V#}}b6m|t~5&9M-@MXzN<6@k}&g!L%& zJ0=TeX@3SR#PMQmsrp1;Om=`bevOtby~ONFkf@KfJ>;i2W*OPkGR@j1Uv^Etg;#uT zB+Ih^aP%jBk>qBbGEL$CJo@1JQ~kU(R{Nk4X$mF7NLb+uR)$Ar{=NR1*y@6cuG!h1 zna|*m+GJgw;l{vp@^f?wyq4*YvA6{m%3n%i8z}ppj={<&A`h-PTyiP+S(gD;lcvDU znDxy-u98j356NsUc0pjrnsg&?ZY6HSZJ(?1@hzyeeqLzywEDtRzX4t{ztAjz-eiQ@Vq5!Q=4{=$08~7p>Dz6tiFpP1KdaC7suh zY%$8QdnuGt+lMbG$>=nBNN!?FkMw+TkouGX_G$1(%|xD1`B{58of{k+MoUgcQX>H=Hs+i3om zwl9_)fg%0&BRMuBt|&d>(r8yZ+ZRQJL3T3Su3f`-XPb8HD$0LLYHmW5tc)K($!sj; zU(^vR*jWlC)tN6Ke}B8H|uc@kGjgLbJyx%^YUJK^G=HS>CPfDhyDG|K#* zl5W?Wa4u-h)FEC)DAf<>_3|x z!z&n7;B!505{kXrpeK?7+6ob2O=0%CQ-i-kqqZ8tr_eSt)Zdk^rRY!M}(=N&AmI#l!|vOYot2er4AxOgTns$_p$w4noC?*u+;im zymR?>e!zE%j7RZ~MUu?jHn(wgsx~M@QuVvj{G9FE&|A5xFAn$%&YwGqJs8k3_52PG zfE@br6gWxD)_JGY{IYWWnG$g><`hM^c;`_#zsGQRyIZ=4L~Y|w0d1-ZYwPl#HMfhEWbNFBQFR5jr*)1JI;X;Lf$!`u%?%E}zE-^R%C#N3QN?O_$o}gY-42pZ z49{jpI67RDf?}oKB&U8JNOLPR?!Y)I=^1w{y|n$FZOroBDa_72+zjc9P7o<9KPhWoD93-gcr(aOpDn@+(VFMFaj`h8&nC$3B=6RrpI>gBws;!r zp8Q!TmwZBld_(wy?3DLb9>$OCN_%_q7TOu{l*W^dYJ21){qBTUWvT4@xHjsTE*T=E zBbbgaYk1>8A7h-~O=JGNxw6(c5+79h^P}#iNBd6H3`?aRRgniEfGUYIo~r7Q>Rv-H z&);sy<*;n*TdyZ%SVk1aTSR-$_a+ZRP9w>1Dv)FKKz&Ik!*F+^aG}-88WTxC*#?42 ztJ%$fBDE6wyej|mj2QA4&hSXi7`my-n{YqmbR& z<8d6wK_M}-aN&m8hN5o%gJ?@}kcvyTf`$D@v=!>ns&BY;oihQ)?Kpf?T?-)5oj=>^GrJHG991^Pq zfZoC%6{p?YJksFxcmf5O9uIt)2athSY%n1C8^Dy0Nv4(AiiLQqAimqNBtiR<(+&j) zb!E?I+|pm=1z=`iU$jg6PwOZvnibd&0T-`|`KUYaTdm*WF6Xn-Ik4(s>poEX!ivs^ zqa}%MC;IOG9j7bQ&>iY1fauDUcO1@edRlT!ex;b7&T7PC1|sW##b*@`#zA1Od-5DD zXr$Z$wCnLz_G56!BgDqT&QWg$lR-b%oUlFYa8DOWq8#`#9_a~<#A&(P1$ZjTv9z|kw5=$e6xc4c>T z;yEWuxCV|GaRg-j7kb85Kq`z3yJO1bo8inm~# zXe^1>k@(Gi=7tJ;8 z62GdLZxJVxy*FM*wwV|HQx-liobdE*EY3bIHuRsSQSjLXy-s>r=ZUVAP5d?dUuijV zbFJA4K>;`ou%4k!`3Gs)MX-Msi!Cuj=ylG{^y*@K**FpitQAYpwO3EVUZ9}tQ{z2X zIEJ{(myB$23O~4wVo@-MelAwAhqw+UZ?Lt zc_AboayQ42XS720J#%6+j2U}pJoj_Ol9gTDH)9*gw-dR$zHB3tx#6#{-@@jFHM;BH zX7P;cb=T|KNOn~{UhOR|>5*5Ey5ud5jSCWGvn_AZS%P9ZQPBHgIiaTskcbNe{>6J<*ywKGp2CrO-~^B8y6)?i+1}BfroIwWR$td?d+n5b zozDHHuufIO@=+B&e!$R~rS6jB&ctGcx#{rDB^?0IJ=(~oN31|<9F$@4hiL_(+U405PpJFdGu<&Ne9jgm3hWu=_vL> z!$iT&Qjv(}mPm(6ls5I93G?O+oA-WJi;g_==(6{1VTtro^vv=06RhM(lTO`NBCc`Q zDw}72vdo;mQj*oAlYBx5@O+;%@14t4ciLg{)vKai=vQKITYYg-nMIp)87~z7Cg;X# zZ|dtS{nWwTaotV|pF}9r274m)um0-~CpxdN{1?oWUx%jmWo_=Np!?UtHQ_!^bM9x{Pu^C9foRk$REdV8l^ujGI%|xSmGkttq<+*=} zSPnJzx5#i~_pQ)JohguSKw?c-eNGb_k%o+R8slNwAog3`e}?H0idx`72pt`&b0ap^ z_?J7xwEXY&DP&Ur;o$bZ+tbrVGD4ubv zWq~5u61Vz;{n?=}0bD`bk71GLs*L41>Nf=NDX8tDk{&}iM-`5HNfMFw=w(+}9`=WZ z>GP;uBjken1V2mW=_%J6hFZ|!oW6_K(wn#ipYW_?lZ8Egp z!lxm*KmFd*Kl=|Z*X0~b1dtq?*n2UN}g)rn1VFk{UOi{1Q9S}@g_wm6Hz zdf1G2%YwItL<=JO;$;EW>{!)gI!kyZBULuO?~wR-9VMsO{{(ST=;ykO^gIHtN6Gz) zC8R~)eZexWP?JtuMDYsdpLxUb`74ki9$&Rf0t&&e9%!BrMs=n*6kSh}T82&8ltx)5 zc`CRvO>{a*3R5g2jx1?y%Scg$o&h$!?j2A-3y4q9TI|w@<}QQslfa|TIx<$y6>7W| z8o7uv+$B7V6C8iQPRKR86hzEAcv9BqLR!7cif{;xrNdfmFM+csyM=To5-+Xb@(cGBXct~uf);bk;?j*TytsjF*zgQV zBP`EJ9N-n}9o(|H6gEN004WA2_LA%lNbsy8#J^N{$2@#YlSTyjPU5dfS*1DT)Uej3 zQTYF!TP&Ia{<%=4&0R~962FNpGLd|&r#i_#e+auPKf_}1U*R1H@&iFSK*J0I_xo^` zP^Oj&<^M&iC}wrAos2=LHXkA#Bo_X#IK#8xwmL(fbRz!I#T%>J9CIACR4WM5W{BnH z;$K|c$Q7lKiksyg(k)OVcLbVS^P`Ik*GG`YGg}qxcw1@3Us7sV+03Mg&Nm5L%X~x< zlf}sh(2{(yDY${_@2{y_`IA{ICEw`wTYuRDa&F!mgsK7A;reFaUtSvng(&%X&kNwR z!DYlpo&02FFzA87kpJMexHQc$^?^cQk`pCDrf~_OQLlcT4tNfs8K=Xk0?LaBjl1eR zD9apPBYzl&)6yX_llT5txm#)?B^yom50T+*aNRXQF({l7_N(moLS@G`=5@PyHcbRV zUKHdukXP5-+0q%$3YAlLcK5SzNpM1JVR8Vj0nRzG?={bDVU}C5o6dd>)EKX3;b(`) z?QM*0M^wUWogI_|H56$JRsZQVIGCeNB2YieVVV0m@n-a)G+jtM`%SR&l)s&IjY+-auid6rol1%~Fw zXFNNs6G)TPt@DKzn;!sMeESSkN1pj=l{uiJO6N6`N~DH(?Pu+#{{h&l!(Vrh;PgitmB zbng8&1|gbEhsLO>*F74#wvvzd8V=ZXNq{FQs27wCLV3l%*4?;TG#&gsH4msUp(Cldn$hV3;X)rT_0MxZw)qy!UYCj-fCgI2+Z$UJy5Yps%cf!-lfF{BWonlY$A zhfWC=-T)*mxHZ%J{56JLZzE=ZJdrs>{#m#C+x3oH?shmC=&&%6#mFbW<3u%}vjHzv z`_uV|)wu?7s_H|2%T0q;YtOSjufmIcIU5J9E&%YFr-fl!CbIw(G5XCJUj|5Cz54{m zdRS*nL3FkbTJ>#VBJvR$CtYEgT&`LRR*i>9bkm!5;c%JGL4{G4UMY3qWweyfc-EC$ zS6uvLybqB@4A50Yb)^HK&yxWAZH(?h=+hF!e;kq$g`eOb2jw@U;FvB#eh?h9Fu%Tn?~w3;QXu0;U}@(lZ9jh%BAZ+ z3QcdDH~2|UOV2glmWFwdZeE&dJ$vSXM9tZ+PNO9J^@Q1ol*w=3g;BCx{Tc8X2(9sR%;ybt6b z?IFX@7uD|}%R>NXYg2BqiM@r-Xpry~En-S`EYug=63X%IZa!2jK< zG$9a=wPZUd@U3*0nDH%4LX0q)ME`l)Jf?i=zvo6lh-WXt5Ho-~i^1(zDt$*XcY4Vt z`Lf!j4}N^!!pvQjo`NUg9i!}0+5P5O#B`f8{7D(Y%{_j4%^OO>D5f_X(@6P5=%Z7k zD{2!ogv1XQ#p?#iD=NGd4#%;K0 zi-10AF)ZoJcTAH(=UjW`4X(O4@|*k89SNJQvxwQ|UfY8N~KeRmaJFfwm-p<#2k z_qt*7>1`gr3tO+@(*W3r|91@XP~75I-Op|@;(ue+H-FDxzV(!a1&QREe!Fda;&gR2 z_-=Qry@(o(*u4&-ol{ywWT?#{o}1Hx$%|o{-^D{O9}3clHZ))#1E=^6jN+agwMF|o|o_sOIxq?`{alPm9HP`oz3Cgw; zGAn#^)3b66!lt;?7yEfejozB+4{=!|_Q-g6GD(p@(l`=N?{OGpxvy_G^}>6bJF+c- zB+IIsL!%npb7EtLr8~Eq&Hu)F{k>#j6m%g z=VO+-O=E(g@(w3B86+hhnzfQQoHYbSe!!&kEUa6>ev)oFDq_V6JJGq!O(gvX zygbuKBSt20A@Mb*kCR^N!>ABIlOiagRqmD}acZin&~TQ(7vmWQfPVr2TX{*cOPf4E zYCIs>ZN!S+pcQ|*!t1xNBtYklcsZOUs;_SsKN}kPJc0VriJ3)i(2Bkh^_YcE{O1a0 zH`#7uOECfTO`O&<$e~`o#2smKca>Y$qkZi_+$x1Zkn5WmyBa^+k~Lr8y{*0CdOeCl zUM4XJ7nYSi;aq_KW-fcDhdySxcR#(o>{`_&H{ErRZ#&2GLoy@+E3O)tq}U|nF>X+4Rfm90qNpcbsfv~XI5}7FK{m2!w|i7QtdZ!QG*q}4A2G@ z*hg==woc-XR4Q5zoz-56y|k=kNpD(7t!fO>dh2R&wj_zJ%MRA&~?j{cSNkj*ZRtUCH% zT5SFf;JTImx5=R8 z|H23x9087&9~=UmZk20Z($RM^892+iT(m-hG;16;!}XVYMf~l4&kHp03Qjq?*vR+) z?R_=?SE)irR)#H9H{t4rreQ_MRM|Lb#}02lP!$@tvSd>q7;@1)1-~LGO_a}UHCuU< zWEAs2b8M%2raI2sfXLD~+w}LUrF`fJni}zQy9lc~;&|Mw3ei9X*?c9=>^a=N;3~zv z{d;}{lRuk45*$D7KWjIs=yR*wNmwyWaQt9^LzB%7intW4Z(;bhdMRN9FOXjAC_Jk@ zo}T{Y_xybxjr?Upn5GRk$moi36}~u@DXS2>b(EQ$EeQMY;;%NvRrRj01}feuV4ZdU z_gc;=^>I)9Q zQ{~g?ZmQ>ep3|=$*DmFt4PMAAOh`9UK7>7DJ8h6ansJPnrA|wxo{5w0m#i-rk&02~ zC2-V7OWWcBxO}+JwTI`uekp{~exj*VHot>)ZEggUw_WwX;RbPA@;|uky5$MI@@9D5Fq#r3~ zSwzI@cRG33#Kn(GI*+3{LI8XYDa*q9>s@P&`BD#*e>3R>ye_sQt~T#tKD7QoQn`b* zMaFRx#jJg$KzoaX3pJw~0Rj&Z#Lq1eqB)2Se}vhaEI`cH9E9m*Bf~-=F1*Gqi#nb1 z4&O3Q6C!7p^7+qy|16*V?}){Z{N*FLD)O6U+;*+MN1UK(E06TSc$bQ~gYfBjd)sSL zGac;y0udJSdjg2LeaH9c^dp79nb@(;dr3DW+hbOA}0MKnJZEIH%z^aB!52KL+u;3j2|d|nK!Z9 zVA|@3)Rdd@P^_gQ_)+)V5a5JdwTIqCl zCocF>2b9B4g$djMzc^n*VImLs3h8hQOHwoWYcF}Y^FKNq{JfM&`|=GN6X~(D-eo&` zTJ2t@J8og6Xw2fWt2aEeOZ%e7v?8-$HmDJQR}Tr4O!p^V@I97V_>SG3>*@dwxvv&^ z`A~g4;itCS%{hijQq?=47gmd|dI%pCCZAAzevGE~y@6%j@-PU4N*! zhyEVA*3I~Y^8}P$fxT+ufd;@|yiv_F^~Qv3)%8c30PwrQPF96D23W26UpfStJ^J!G!M~6W9#OD2p%p1x>!zSd9i&r6%>BYWh?jdE|ps1gY zC$U!^9fs^r9Nkx)?#jOW#tR-&5o;jwNOSG+Ci)#xvaU)~BZ7dc+igJ{G&RA(fPo%2 z`vjRgaQ2H>>vFip0U&p0p=~fY49gU9zW)(ioJQ$rpF=7qk2p#8DT!cB?RZ1Fg6yD5 zC^qDMB+Y^%S5>Ypo#__VOGUQG)uWN^SJ=1)`RO#0M+gS*78Z$Z)-;XJ_h^(d`q0Zy z%wX@Et=%AES-=eX6Kr=pcR8}yXS{yR&*3(0rw2&f8w&yp#!Wbj9+^sp?+Vu}7=d(-QM8epJ(Ve<; zd}fGp?m6M$KT!ipQ7G$X8{b8R`c{i$iT|=U2N?kt3tSstHMp2yt+81|NFcMYcH7(n9@VVdt+oxU z0cJa6oT=*d)vOK)yD9bl(UGPM|eGelL5IhgFCT_(tgr$&THu*sQ)oY zn>#PO@Z;@<`MJ!4_5yp6H6XiMFlC_d(Xw86{p*H#tXsKFt!Z)Ws(*`llWyHVYn=(W zHn)d6`I$Fd;4;RuE4=V*2EVB}=<@>hTxG2AdAPQ=zWO5e+eMv;Q}=!>JwlnOvf+?b zJHMz+`}9v(sv+LB5z*|UwAz>}4^1##Be49Q?Xo$4_C!C^XJV4R8!ipvEKWE4P|07r z~rE4{gQ8In}M8iuCM-B%2 z?2)Jn;cex}{MMWS=?sm5Ye<TQ~S>9_I(Jv=zpWya3Fj(rZVrE~`Qfb6m1ZBTOBmbGnBiM)ws(BJon{ z&IZq*ceEfP3Zk7^!od}>nRG1bdqC!_%2|AGo?y~ir zBG5F>;+bTEQ9jRFAm|vw&UE7`?uy_Fv+hhUJ$D6gp4*XQ^k5@bKv*!RUO4z_gYI^U z|A-a8h+suG%AUO4#!L*4L?uL`w6Zwkb2&w$7*)^&Q|~YAb5wbYc}T!3UU{a+Ban_{ z&P!1fu7RZ5)#Q9bxbrG{hFv~6{lk@&@A>JTaXVTaS_*Y;#{M8oW$tB{$#pQd%FjI7d`)N*j zmB#86ItTD8=YF(u{bXoa++jmW_X9|md#Q0R7_&Q?Q6p_%_MWqJ6mt6+B=WPld3oV_ z#sQMcXQGz|i9JmZ^Lx$S{9;k+ET61&o;ye=+S>pg$v#v*o(b^GZ8Ck>j;UO#f=2K} zx7}noclnd{m-XSB0$^$7?iEIVxM@0-80Pp_ox;zW!#VPjN)IMo!MJOOnm1GU74?x$ z?lOTTnpaoQ7E7Rb*yV#U8Qlg9Yje27@j_!H75MTz_xeHVMOEe9Bz7}S5gLBCgGDLa zDi90$CbUr!TGBoAO+>#Da8mmnorM9YUPGN$LDFt;av?cBgFL$Am>T`@C_}gqF)SiF zq|@NEoJ?&RG|lTydpIG&c=bLWMB*$?*5f8{_K!hOK0|_Oy4z=T#L^?|;1waF^%PCl z|86qw!07Zdcg|Pnx2zUs%<@$Q1fm`+*|Dhm5~&K0O|9v4=!3U6ZaigB?&8_F7y#_$ zc*(siEiuOkm$-5yM80t7AN6a~AETGdH>c=c9I(PxE0PB2G_L;It|-K%y4>oy8a3~|rXu%~^(BAjrIWPeV1m~Ro$+{+NmRkTCMZ|t83L$T@%oRw$JgiS z{-$DqF2Ulp8!*b>HsUxN(tA*A&>kGkxjr?Iz_!$b8naOBcCz^2kL55yqs(z_1 z(A)%5-92LY(Be|9^t;p-&+9(f*g5g}FZjv}E>elvyxFDps4K-Fms@~$b#|y-=aR$s zJR%)Ylcu?x$P&#p4X43YdsI1fem(%?_MQ`)#>rrtVZ|bs_%hb6NNTOuJWvZ3toWzn zde1cIOn;`H8-AofE!^Q|A+E5$(EqbhIA>T=8Ls#2Ge|^=-b!wZlpX&HL7o+xNn5zr zDYgEs`o{`mVTA#X#Q(i`U;b>z*^?jd%aK>-@2I$ioY9XOY#oE}JbC}TPaE!=g*xS+`AJgO``=TNIr zzfDCi3-e?{Y!}IQB{w+j1zYcoRH#9O$;Vqw~Ai}+|+45 zg?2LH8w76tA7gJB6i3*sfdUK5;_mM5i@SSpf;$9vO>p<%!QI_GxVuA;;O-J!F8AO4 zPTl&>sr@rMHMKk4)7@{s-Ou#nzgu(;d)C?2*7KFO>mvS37a z?IAIOQPa5}^=^SwU?c8M(G;V*sMSP2HitMW++)vqVgxSLzeY{xql${NmwQOX`9xLu zbk7Ogt+fOrfqk#v`ZKN(srLG9>D)AuAAI>|{U9zn0_Cg~V z(Xprw&+2M>UI6^zjL2NNbTBy8n_mYW=?g4UCdrfQb#W-St9Ja!Ca_qL~Z>i zK@_`4S_1@?T0XhZp+dd$$vekzC<#3EwAM<*Enljy@(&f%7K)LydVojV%2g*paxTsx zTye}Bn3o~o4e$&ws)@WLog5A)PRk>eEHwTzJU6WP_Z5LSP@=aX2|63Gy!>md&VSfR zhL)j?qf{1$>vTg#+X_|4{8OikLb{hxlZes;pK|+mhZR{SXs!CExvIfYP|P<%a!!s) zw$oW)xoxVGOo*y2FmdRp3vk7Kf|m3c(q*|rDsJ$&R%&^)Uyv*%2+Sr&Be1+=szw-l zfqfQOF?`*sE%_11)qS5W;NK}4chOv}p8x|>fJ$>d5|g3|XNAmS9i+!`@tJ7*G58)x zK#0>Qdd$6r$Hk+%3L%sur>T-;KW4L%8>KsYa}v~wrT8nKx4YLmJ#iZ_S~b!z49vu8 z>{Y1{`dpjxW000C{y|z`)rDfEan%Jhlh1=IWRh1PhI+E}Z6b%-i$_cWi{(ObLL2HY zNXXufgbqEx$~-!`hDc>tcK1L9fH=bqJhv|l0WO2{M;`&04`Kwf{|Q0lL-qfyKFA4Q(u5Qfl+&z^CB#Nv{e@t60wQn?yg(EoeTRM(2^C^ zQ^5663)oYb)8k4kTViDEZNeRH1t`wzwXh0u+byJ~)7jAkGtjX&LN2=ltg1$`xga`Y zX11#S7IS(fVBLSrwygEPo~eK zEFP-qB1l^S*WNlX3b!6~rTcZ5yr&~MR?_GyepnEMPp9DIh!)Bpj!6fqV0G@5?CfX% zCdQ;HlQ}Bm;Y?y5sj`W={EyZpm*VzMv4IgdzFr(JW)wWmCHbPseI{kJ0EqQ(fTix; zNJrV4$lz37F?g$n)yeKt6KgBJO6DcdB3>_x-v|Z zhV8pHv2h*J*wviV#WzMH7}+gI*m+k*ww*JH56Dd9e|l;?@TsBg16l!(K=UZ9RzA%G zN~y5{Z0!$7@4FhEAa*^OfxYyZ+w4ujuD{iNb5wKOBs)PDj+eiN8Q{HV3fzQ)0KjXl(54O~N&6ZAD z#?}Yvv0qFI-(cD8m4THa!S>3`UeNomu^Mbdhn7h&(imTpML&0|Z9joj{h!11SX*r>QkXro`<0A|D4=;5R;j&mb^*{l zQV|4SYh^Tmsog>`2RQc6D+7lt^jlub+-P8u&7>LqlC zd=P@MF$1-|Yq}09sxFX8R<|Cw&%q$(j{qdW=uqT5kKxn~C5L8DMlVQYkJn0kI0c&P zCum}m$u!Qv{lt1k)de?OLYtUGeJQURoihq4lcK<^lT7{`5vD#b6#tq0KphV`SVE`k zCf13jog{!$j9~r_!S9I5>I7hMSra5JbK`3Y7btQ1(4bSKB^SQETupa>?6Q1Nf%}TB zpsyPe8Y?~*Nizh;9(H_43u>%k>{JST^5TnnV~*9#q zy;$#VvxdTZFb}{|cw93AeW>(F9w)WEI!z>7is3*ejT=y(O*A^OXb8M{43bnng(57D zRk zsTp4jC|2~HtkJ>YDL6@Soxp2X|O(xr`sXxp^`;e04UI0Av?jfHt{{(Qv7;>Pv6XtwsV<#e|F z6g{v_4v&;!KQLp7J?)KMVf8>Aq&~~NgrqaSuKcAR)z4vVEQCE}$qp(-(iry8gqG56 zJ%a@ssD_LWVvS35Yp(2Dj0%jgi5u3R5s<|4R{@tFCK@{;QUkqpU%cqj!+?6N5^CF+Qb|XB0Cn6^ zdT@{ZSKUa9mqZUywH+gg9a7q82>iRNHn*0lix$9*P%L2MhvP*^r=nYJk=)d}OEYe0_h zwq^O;3dA5wI(KRy=bvYkBt(1)Y@2AzO&VJw$0f78${`T5+HzRv=D2qa+s$W-uWHoR z5uf6EApNzY7V>v5aIrn8D4&hKHeeQ4aD>TPC-EVgTPx?&=WK3H`_eP7ft`^^TXMn@ z+rm7@$sZ!6`(#{4E`R+EjDs3NkQOLxNl#ZGem;KMK7(y;@DeU(ZNPEc?ZK=+H zL7-#ILt-y5mOC|LX;1s}1y3c1AS9!tJTxwzO3t>gd@Yvs_!K-IlyXs0t$o{0toB6c z$*k?iDPXq2nf^-kS(8X-Yg9M4&Dl;f&GoQgyIfz)T`Lb&L z^(S+fSq4jK*s|ii^xD_-0B@&|lL zha66jX>Wj8O(Z-v>_a*FMnT^RbZSX5KNJ2CPQ1T~HT#1ovAr;ipR|9JZvroC( zisz*V)*)x9pg@Kyp3t`LWLe&6`YJXU833C+?{XJ%~w#jEa%%{?MU0eg0M!tX5d) ztmN=FSrxRJQN@)Q z#Ivd9cN6D{YB}e%l^=yW+gp6)F)SFx9GF-ViUN^MCX|{u1&~7K^r%_Wn-8#$ieSER zv&6uL;q?}2gzJ^SiO6MWFB30tRcr&)KQJy~jehov(e>3IXw*KX(VXVwT!%b~{Icmq z8m51Dbu;w)vJQlljh<>M0qy*B70TbfnTE<-A0^YooJNf2h5C~Ct1Zaud+ggN5gT*x z55IcG5Xm19pn+D@1ikrRKoI>nvvXT<UzPkQ)(`=Di&PCvH#Da-npZRx!2+a1 zAzLAmd>uz@URS$%Y6@60d%Y}Y0;nE;B*jTlSeNf;PZD4uKu6Uf$E2>r%DYOE{e+|?jlGcN3hm^f<~dB|KyYj<6U$+eXVIYSGxEb0%2VDN7BUz<@2HV zTV;Bx)+Eb;g$(Cmp|^YUNv3%Pj_5~=)vY|0)$`*)#9Q&IWfC?$02(Hpt=sR3%LpH0 z1@}fXbL7TMV_aPzJbq7&=o?f+iNBVpxQISIiDvU?7LWjx(g4`8J^=Pkln{v>++lF{ zT(jA0*;S>~v;X3DfIQcRpbx3B!MfZtX$;HFohXicRI!h^XAh!}2)idL{Vb&qpl#-nHc2=PAxR$cU`~%VC_^f&5qcBLMqXTUa!K z+-Y0bJs@%Ew3ERqveDlYCE4tLKs3va+p~ZCOiE@CfQc!=?wM4ci)yTiYWEATwR|WE zc7h^6fR<@2U5FHfQcTK}jwn#tL}fUL6AwkqSj_aq{O2xt29`1e_9UZuFIk)u2LfC)Z%Ps6>zZKYq1?5;t8PzA$1xkq&$=_ zkf21;AH*33d!@sQ3TLlxqY`>bXrR+w6Hds6Nmy*uZajGpDiB6&vW>EZ{RW9LljAgW zC6eqmn((bF2wosH|B>8EV62ph&rZMofhZGAK>60(8%=3TRP|Tqz-;lS5QY&_ki-hv z!l<8SuATl!ZcG*#EI##4a4Lb?x*rKCek?(nOdSj~>iIL?ky2)kIi=WO1Uy9#KUtxd00ONI!QmPtv4bt}cTxFNr3Wf7vG z?AtJ;0-%hxSie#jDZ>@8%06zuBM%ULJq1ChDB_Sc!Y84zBDPb;SoM$wVQlYxo1!(k za~`7YX+&r-)UYqq%Z+CeV~vKg!=jxYp^e^vW>Nbtw%sUYo{kD{`#ducEs`pU=oL{f zg_x{dz-L0rNR8PgiYRoYuDF|Fv_q$7=m=xk8!v@eubt$sB^J<2iSdgTLxD4pj*1L3 ziB1LEyBao|uNUoB(u$HA^J=qm3qFLVxX}VSwzW}`HW=MJ0Sz9{WJ`v2g*kYC@e`qiwjrg$&j>Ci&Bnj zmPoS{6BS~TvmpaIi__Lp=fy#1qZ>#f-Zj%Ap^3B7?}|FT3S2F~#mXcq$03R3O-GO6 zv&EGJi;5)#Ou#9M_mzzv!xHO-$tHH|jTadZk)p&r2v)ud zq$ma`6~PG%cHjV%V64~w0U<-#A!$IbiInIc<|scYe67?&g%dyV#`e$&=`IMM1c@!oO!ox);=>Qbc;7AdNNmBd&zTeO-Oe?3$DHI1JxynhR<@Z+R zOWx*C$0ixE@y-Pc&3rNUJy-uSj;fAD!s<#9T`iGDCKPuh#Tp#=lP&NxNO3BrRy}i! zddQOPQAE*4HA#~%nNz5T0o646vn3TK;Yh)Fj!O}W!yn=WK!w)FnWQ*?NDAZWbq3V%H*u+qZ0kB1bI0Jw=6`g8KoaA{3WH)rT>roJD9c8k*GbP?ymj1Up z1X5nr;pfWDLgt-iPOafKb6l;Ib<{}9{X7WDMOV$Fhgs#6 z{UZr*#o}pg%)v1OIuxO1fw$z!p5Gee@_NagtKyHvjz*J}{`^2oy{AKfMN-f9fFEwL z=bW1{axw_MW?+ZRmLN$G2V{B%DdBZ#AR$?fu$HR@c=z{>6zC0eA9<(eF zNP##3#a<<_ZT`UNP7r21B%2Ne50SHF?ukB#{8Fq3*<4aD>;~T)SJJDbRcuX2!7GPd z1aOkqYi2!HN-p^N3hpnJqK>BSAMr%94V|r}4+gF>i8)F$qFctr!Ci5TBU0pXM5~YR z6OE#bLi+=4j>NXi5*%6w+TpS(imC0sO}r0yu)6(1fG36U zoU|z@;Qri-02vQC`WwX8Z}};%dDsn}O$^tr+C@vuBXh8Fq6J5^W@;f;wGAr%*=p2` z6Hi35>0&?$PdmV!XGTg<^J`w_a^ZCs>}$m=vb@M#;fY?uSEQrnR7?>3iT|a zn1gq?D@PKzL?FAI3=|ey6@or_L2X18gvK+j%qm8iBP|##${b4-O4UknhIiS4m`_4s z4;)phGtwL)E%+4#JJugWJanTGa~0C3Mp(_~3B|F1U>7TBnVu}2<=kFESJGR_*r<)? z`%dkxv0A(hiO5K>QIIsxW`Y8(=o04Hqg{=MzD9$AjAfkLDO#hE1*>7`;^` zMOK1M+OzA)7#O`UJvnwpJ|e?4F}4^t|2e8rEb3b~Hv@$SDG3faCd9VYPiKSh8D#eI zPq+hQ-z{kYGZ557N@08dsv#<+*l<&Y2RRMB2+v-gk*qjkC21#-wJHOP_m+!lT>X!> z<_fngEc0Ecq2Aj_Q_V^IR~=EJ(hAaodei`ITL_G9XOn{2$QXKyeP{w&d7_D`xOw)GaBK^6 zB4-^YiSW8!!nEXXcAA=&nknt5#+sNmgJ7;LSu(N7O2P-)0_~^U5N)r(l6(EH_?b&L zPI2+97W;+Lcs7jp^jumbY01l6ZgFYJb|Y4b+ItgYAHx4h$jMKb`E(2c0pat>%KE=B ze}h>y)Zriivu94`|3A#%G1IfwL9DniTR(EhS!(m~PLGUWzU4nXeLz={p%2lrccPYK z=?*hLU&*%^IQ;N;>2hiAS|7eXAZt7Pc};ID{v-QEiEDD$W%+0Hrqg|SN*>XlnXEOd zvy|<}+3E3Prn!_PW{yT=HK+;evdFo8BT+swFjzB>G>L98A0n)&9@sf5APYe63Ck=g zjmdLmMysEwodMIyuGP(k z<;)>!Huo+WqZ0CM&tKMz6CQl~hEqe+aQr7@URE$;X}Px4!DFq%si|z!FlJZ(_h$7H z4M|JKq}HbGL)2ouuh#j+14;Rp0NfJo2h<-JoiwssK}zvO;{C{(NPi=j_r@X>aR%8q zco2h1mWqyK%4tbOO_H1^?$afq6GK&LZOx7bVJc>|v0SMcDChjI_v4&Jh8TKFVJb%G z#gBR#ZjW5RxeY|*GR~wkhkN3b;+hqe1#b8*!9AHx`~HYz#i(G-=xPjlVG(kbvTvBp zT@z5)iYNo4gDGb{(dLB0N5Fa*r^!;Y)wCF%g!L~e>HcU;h9>hIjtM~Iqq`_{_>)G`oGsv{)Xcb^RB;d|7=M}{HMm4# zSCv!)4);iv6DmI9v$dT~4yrB*vbO?U*XbD$NHVKLhUuvBRgPRWSG4CRlb(vgNJTb<1|<;q+Cp=K{*Y6{Iq5FyT2zA59BUS}T_ zp(Aos+zXDJMWIct0K%WY-?J$&7dMO^@3Bo6pT9=ducQxCG1(8baQ>}XzD!*ht$6lr zcH9=eTo^jQlkpD4&BqO*#<9MMV*kxt+$ z78y!C>g#6qpu|l?P55$uTVQj@5FLRVo?1@nw2LKz9i1D>FlhiygAiJYn}=E+@oPIEoME!Fl$~WFM1V(>irrV>AUDa_5r*YuqSt?S zO5sXoa7}oH_)m=znAX_|ergl;f2XPjbNqLW>RLmIqK0fgXooO>3|N2t>M=58EH6GT zv(`yR4MgjO+#M+mI1>^~m$=!2pYnJ=+}jN8FByIF6!2;#K;kldxo_1b$yjveU-3A1 z+BgHU%y8;+JleL;Z#R`f1h6fv*3C)LF4|UFM2ZbDu#HiQEUm6WP{F_>Trxe$KxC(} zf*JzIsqa&;(m+KNa?F}3s6{{xr#UPhJ0}$}#3Wl4fe%sX`B6pMmWs)nU7z0q`c2xQ zfqd#ZRO}H%Sxx2a28nExs9H%4Y$VEi*wI{`q|dWU+5`w~mSp=GV`gYWhUbiwWTi7E z+EAd0n$=^=*E>)C)3XK6^XiS3wS%kf>SprNn>blFgE_>eAiWl7Y1~7`rutct`|MMx z2#M>R7@V4m-%lj*8b11Gr_Ps1bIVz4E*od(pAG5%d?x$9^fq@tp9u@#e-F0*l|I^T zPQ~Uk*!Em|_(XaK&KBxw8^Y)FjqXf|EfIYiz@;Dvf>|;z9A6pU3>a;^oHnB+#YLUL{ zi$cSF5tpP&4l- zKU(0UyBt8TEQjrgqr@AppMZ4W~PL<#oVbPx*yR`k(rsKNmMx}rK`_W zbiOf5bmL6}3uWk$_hR>an;$8W!OcY1qExqLOPn#n=1}Rk;Kb-mb>)#}*H@^F$4OM- zKmzq)cW;3n##Ps*bTpw3X2K8hg@AGFVst&j?l=AU^d*wGy(b)v8bHW_LkMX zU%>`)(kfEPzRS4u$LGVQut*b^yN~(D7HOIR0-5crhCtpHHR=IH+}7@ z)z322^Ydjf>fiNk@L9QN7UmB7*xIqh83-+@gZf&BnZ)|N!Bv30`R~cs#=t!GU|LN3ECfLvUFV*R$D_c;8 zEeHc{AXUJ=8k;J9AXdOZbmh=+ec-$I=_f0>xWk!!+vz*he}<;N=wG>mU?3o};Q#l~ z#P#0{%xCG`+Kd|=xb<;J?+p{-LEVt?3J3CWQ=xLA#99oe3Qp@~1Q^qJQU|QRb@83s zuNh+yn0CB)mz5L$e%oF5>+1g4J{R6x@Ai3^6ux-B9Va0X{&;?TI_)p{`1|(!cy-+} z%#uTL`97H=)cLW$eet05v)kv%ia}NfUAn)}tfByAF6;Z1N=C?qD!z(%d9mEA&ABAi z%P7SNk2XtYpYjI1O_PXJUqE&w5m=*+5B(g%Quy;sr6Iq!pwnboBSLCU)Ywa~}F(+Uh*ZS)NwjrjyFK zV(TH3{OsbaVZw}`@eL%L?W42`Osh6EUpT#z%DEP3IIP#a1rwE;4ihudycfkU#Z1`|dW%Bm2Ggwz*ImWeye+K1+{e|e zOekP|HT!4z?8uLxdu|{$qd6-$%0Eq7l$CUHR?$?LVdS`8NJuztEssn+fK}mSyQ4>` zKz_jF*&r|j)yq*Hs#T1l3VVPKXo<1MKpLfub~NGBRV2LHdo=Gd*_x^QJr>SOKS}Mp zgHLZt?t;kmr4&7RGACGxEidhGixMRa^2?F9&ZHuTap!2>+N1m5mcQ~SPO3TUW^2CQ zz{i7^ZphM0;f*_uvJ(6##z5I{quzg6>W=0l&IKdLiRn0^s+AiJfkxisS|$A)wz;^N z$&`1ESL7=r_cKG}JXEbG-=y@PNVRf6%}{}^R5JFuK=A{g;Q?BZX0*6Ojw{+gL~R~y zuPfC`kJFwu+cS3{0iwy*Hgv+;&pLoxizF-BsA@ZieHNqlUBPjSD|o!eNRb6DFpMPY zoK&j@Ix&1s+lgHtRKB0Mfb9Xpyg}Z(MULwLeHue*+^w>^PA(VTTm#DoiVY%V3d9s~ z%_CW8xzq~$k6cKZoUV)0CglWYTatf%R z-G!b3pHn~INiRPhqS!!hE>YgrWZrzepfhsFS;F+Y^YJ+y{<#~N`pgynSM!5BST$fA z^8I_n(Dw)_U$mIc{5kUJ|D7QI>jNfce*sR^Oep zbM$pQW2FSCH-`6}jYh;(Dx#Itp*$hz`n42=vKAze#Mrm4e zZEzB_WQ>uiiylwY|FkVEv1R;aJ8+mUP9?-QNl3|VNB^N@Ucq_bq!N)<*at|XI^P5@h4rpyPCdEl)H4GBubZSs3%PBx5cizMuf!#kDMVsO0x6lP(a(D zz0~P92*~Pg_4cB<=5xRQjO;guSvZTINvG`pA$8#XZ;{<@Zromn4b^{JP^lLKj48&F zA%nepjTiIN{70pzriKQkc>rb+7NyTSG9`K8@f3ogRL)06w%>$>Ax_Rg!_!Uw@NxhA z#@qe!#=(Q}gUfS_M@z=b`Q5brhwGydo<2h95t$W+m6o{G3IS5$x8ZyD|09RPE3ja|iow^w&3c_9>!Ta?Z50QY@#>Jw9n zThO0jXag3(c6T|kXgu{%f%4QaLzip8US-dJOQxiVK3T^{vw|^LP&kmj{~WOfGKMXQ zyC}<&S2*u(cJgeAbqob=(oA_@Ud`J)huLf&TGrlnIY#fUS~t&#i+K$7s*bFMGW2uG zWslfI$<@#+hIBc<#L7k11s0of-YIbkJz_2QO90`-|LjbGn7xENV~5Qf0as3;e8K`Kack;eXl+y#QV0?@v2H0{-t= z0MCE3m8_&$Ya(96(91)*DGbI@ceT}wK-@pXWPestW{t)^6J&80Qbn}bYgcXyMm7k;tuv~@B|6UHXoO$pjvgv_ zlGZX=-ZjJ#Wk)uV<8SrK+OhXs=~&DeqTXP8RYWrjod55gTv1D0?La}{E8xb?0l_&6Z_+- z4)@&cq=C?SSDT`6hTTY9kO%~{N1VI+B4w_+<6$0TFYIh zkOlC~%p>M9BhymqTXv!jDOL~G_5zfBq=Ryt4aqCxVOv@tuGRvoG_KgZvDT%2B1#bs zhSm%JKoQ&C)WR=Ld^T$VnWRPhP5sm zBJ9=wyY)0`JpG)`63EkxMe*lRON=a9Wo$$)*G4+!-&09p5hnH!vp2IX-rF?F>GutX zsi|tAY@e?7R&S54$Lq(&r#i0{7T*ivhm7<79R!Ca7|4f zRE0%;{%J7gSCv*1`oJNIW)o6h0mpiKxKa>VA7aHwqVj|Zp1LMxxGm~03nyM3?c2s? zA$Sy(G|I80G=c!<{5d=(5BstMTXCnwum|->O)&|vY^`4|bTi2v+m!yMgMu}xk$7q4 z)!$ey22B}c(YYd(DFb4}zxVWTamW8j{muQ<@+(&HJ3BL5#gs3SPGsngVCeGX9O?ig z5jL_q3ks{vA!_x{_QOjSVc%8({xW{MhuAjH_6<93kCvD7-F^ZCtF*#!Dy6JNK(iT! z4w01=s$^`ZO9`|dD7}Zi?)T1Ic?@AvDPOg~Zi*Z47IVR_HKCfh4TKIxd=TElYUx4W zT_8W`mo*fk0v-58Sy^^bN;eeZQ(}g zKgu^gY6=GgdHGE=EOU>_BqpH=+N;DAS;y6`XMo`SN3w>Oy}gtNbg`Nh9T*kof=3@M3_3L$fiEzN=Gu)bhD=*V&)Q5 z=aZ@w3|=k1Y@_v~;?A#|q7@Ptz&GLIkiu$;@NQTZJQ}N6^-Z7T!TLTi_%B6&V!p)J z%p+v0(SdD(gCS$C)0L?D3PF57^?wL@ zl=Rp6tOJ1{mv7xB&XQRMR2uT`6ce8Ab}a{$$V?V)l7>w!y+2I*7`YaQ>|jj2ndi%l zt&y6SK5@%>&i7R3tBcmApy2~4`|7M_n&}OlZu^=xV*erYDl(Jl%_j> zJNA}ePolTha?q$)EHsL7iig$xF{TmnJ+ms)-huBRRUspVK#4w9Pw6)xn0Q^r*VcFK zeLJGTq3iYeblUNLm_+#P+OXRXQqghA4Ou#-*(o`6xHxmPc@QkGxp+F(qJ@>nXFD$U z1Z34smDZ3}&^*OWiq!?HUGnbfZLqdYjyjZcmCx1lq#6n-FMh73q4D~+;({)^{CVFZ)_Ot>uc$cdkF=oH9#dl+ z3QAHauLhJ{<(tY=Q(cGNQ`6BM7Cr6BdjL61Brs?9pLwi`D~J6q{v)xAV|SB*`Uvfo zOi+QGVw=GsUTm{bp}P^d)84dj{-t4xr#NDxj?2sPoW`(B_aVs{=3M1hDdOT1vNG5t zAN({gWMhwlI;oz?ua!&4>F1#K+Lq+I$|3i!3|sj0rG%P;I z1!&QV?q;xw0yVwH4@m9IjrTsJcn@u3Pc%3Qoy3%jqD&OiV2-VkD8K8 z?hD@qo0th{OJIXF&I*SdKfedRfbH(L{SH`AH%#zOftE~lm1X&?*d)jR7D98ce(^CuzBGv;IC#<% zf@#1NsRqRLz zhF=zUMTQecsjVS>4ttJ(Nf(X_*A#eRtY26?yo``D){mtr|0MR1 zS!eobs~n~lVZe)ltrH{X&#nMF%v{C+uD)y1X5bV=9N?Q=xZOQl9&(Ec)s?HBi1<&~ zoRHUEPEEWT1zKzfZEF-0hTq9X2G++Y9+5h#erphxc4g*&6^9jmFWdmrXSK><8lfC) zIJDNY$By|eQJ#;6VP=}Oo*@J=8T`C0CLqeyU-vuu#3<~xt-Yefqx9(CxfOfZ{dF@* ztv?{`x<4krS-zAg`Mn>%kmNi*-#kD}w0ytsr7ELJT+kraRNsUM;M~kL~n?L zB^WXs{9gymjBG6M0_3KeGDgse^b%HT^U0iuB{B7~w&-#0aPkzC^pbaMQQ!LpXmNIo zxpK<8ITPJSKf-2NP=yOpI&qvVs9NXxXj#qB@%%mOTk%;?QtNZKW) z28Sb^njCBfqfcpA42OyuLEd0O?IJgyh0}GJtbJjL{3Aqbaf&k7iCr7q!#bR0SUVqn zDZy%NMtrQQFpYGj#DuIHk>)^|7jANIsH#8%6Kf&_)3ApGtRQOmW)SJcG&lf8^3#@a zl?J;m(z#=YqVupyNeiXupbup#eNIB2JIsbL9Pbb#t8#ND{tHnWgSVrx>InM&9hQ3Q z4p{v0{8r-kbTNL{SOT6Vts_RmNBJ1!94*d2#SMWEI$fCSUMN{cvHpGYPOW%DMYDvWU< z+2l&}JzA)&)FAkelLm}pWtABKc3NwC9+EJdG^caXA1!*|JGhR^#G;4(7SdY|pQ zrkER~%yu+2(x?7RQQ!51SO5O{sXd?P<9WaL`4YdkcYR07hvifbW=ZR)yNoB{RI(iq zsg&i|bx>}n&7OQ=w1Q$>C>}$y>S?fuph@a*NWyM5oWf98W>4;PV!nA*5O#)JD1k0c zO0VKga8N|jbe!-A9JK?^!*O{AERgl|jnGAI*LZm&_2Hm`wq3L~Iz0i_2Z5SP`_FCf`1rxT5>~wEQ1G8iN{RrFslJLaosK<5~wxa&d zX~h7HGqKUo72gaNPCLF4!9AZ*MX{ilxvEjmWFo1^)kFlLUEa@Q@8N;12QF7gH4az}n_tm+gZhf3s_&wM=kp25=KFSqpW*$$*XzU6f2dO`%<}f*dWMh;Re)eCE?Y6?}5?p=a@rfK(*SKz5#H!PJ`pDYyS1K)Z%e6-CcC{;lGZ z(rAy4_U6Y(ZW4XPE_10Xw$8Zu=s|AcMS?bs68NAHPVn^s0j3Q84;f zxE2+87rM!rBF(WVDoJc;Vh4pu1}4Wtro=6^H;?(LdF5U-6lva_Q40mDhU`G{KDoM}8^mi( z!N}ppU{kE_+SJjY4?Jv+x(Qf+%Szw#HJDwff|DfN+b)JKAis#^9PRd`f}lr?`EO%r zYNd4-h+;X`VD=nqj9I|Bza>jJ@s<9hGN$O+yk`JN-X|TJ#ce@Rf+boW1+gJ`zCEHB z^M$e#Y`uq{-&laeRh&)|zpJ+lhh0rcN8d6=9hZca#&S*#Huw7NZssy~Ys z&sLef#|NL*1tCAEw;UsK1(4Li=fVnHKW)#czJL^ifMCw=L~xhQIm#7Fb91VH-rm=0 zx}Kgd`%fx1Wl9zvrGgdHuui@G41as#g5eKuSQgy>ZE5RVPv&cE+Ycs&vC$qlvPDmB z!53jo=bJ)&Uus1sw;p&hc|$i(u`MXCRSI_7twR^;Fu+kbI>v z(5aHncRuJuUe|T`{!f3?ZKtEpUiRV6ejxE@Stog`8WW3Tc^R(dj->~UkP!cMS-31_ zsZkHLmoA^SCIeW-4#69saz_Sz3P~-m*Za|`wpFi1SN-<~nc?-iL6yg{2TRq%Z4a{P z|HIfhM2QY;ZM$vTw(ZllZQHhO+qP}nwt3p_)5hP^`wi~>2N`6oWF@JpRoQxp3*vukB=!FC%*z({iDc{q@n?HKbCdw&&wU zN$9v5b-qcS3)3QzBsVCh=(S4>Qkz?V$Fs9^Exd0q(9wor0X1zp_#L~5QcH&?$Kdm! z-1Xx;bk@c9gJRD*q z&PRK`O(vh%44$@;TjFud{0|0reg5uEeXumyxeBf=JyP;CYdW{yxJ4V>eoxTrJ^#6s+!ez1yN} z(55gr+JqP|`w>A8FzbdOOX zoc)dDnH8 zY@>T|XEq2Yv>FsTK{TP`u!c~K`rqbL*R>nI)e=3#z5a-5-eb!-xsPl%A`9y#!S=P{ zK3A;_AJH3}>V(0Ne!m$d4>oa@FIQ-sGis@rx>78>9MSM^T{Wq(nWh73EgTm#ERL*1{xQ}-Pi7KVVa%Y1m9k*Y z(TlK%%|a2&o6HSka;Lc3uSnu;bMcZ!hPig#O9~!qd;Z&zo${+lLZkHeHsA>*3*N2+ z{Hz1=WIu7{ZWvn;JH^h|TpAfkK`c?#=({ko5GPWBZgOI9uy@rf0`Hs>R_dN}bSgxx`#ZT)YgYp0Ol3%tSo` zkJcDV!!ht2ba^WCO>WK0Up|H5+7;ZEl(yv)b!e$UHYWahGB70r{PbW;^4~Vo2ib`o zJk7|V`Cgo<4~4azg!lthTnCqyUhymXHtEN`Ze(Fw9)=Dd`TPx)9mif7^p48ndg(dG z%PTH+jT0j46Eh~~7Y=SdI$N+;1Kh_XwJC=lI~K2(by(VDr)^J~8mJQXh*m8Yv=(@q)hO7w99#$2HFLy%zp!aNTS$2bGJ z4bKh{#j2OGtbTv@29GWLnS+s#)>!ygh^W7kWE}*TtHR@s4sYvDW*(9f2whe!w6!RU z!GT!xG`OAC_tAa&1fBkYHGYo*9xYi*5H-+_8;og&Du`)UAWf83g!a&|#0~uU=dMI6jv*^P*=(RcmT8Z=|S%Yk(r(dk~swjP}$eIPy9t^ zA~jo}v*HbCzDHl;UB1_-a=`>P*Sd0%O=&UA(&_^gC6!JW$yhZAT|pMCEEy779%8#m zh)#>fJ~dTWk4`caAr|k3S9ydS`(Bp=Ke5^R_(03}uC#T9@WrzlFwhf_qI;h8u7nHq z=S;ZZQ-w>xNu2wU;NO7QQs4Ail~C{2VHgqG;IaT%QM}8!@Hq@DU#lKZ!SzDKMfxq( zIbCNM7BAZa0l7Dqdm)YPnagr3<*K-lI3*g+IVr`Djxe zrMqOm)DSK{m%>CsvREG({zmMhbupoT>5P9|Pjnh5^=?&dtJ1J;@A1t`pWu!02Z@9j z7dX4>OBUbFBt9&_a{M1_h?Y@>V(UkAurq9#s|#QJwF(QfT2t<{DxVON1*8N_qfgk2nSb zCO-);_bw8W_j-k;v5A#ZV7`Q;!i1%!)02chN#kIvk#plGK?l4E2CqUy) zmjL%2zkoi~q=VcJ?Hq|wtti|1qSu`B#cW=eR}x(EmCk#LlTiMtcJt9aV!&&Vj0mLw zq#y7YOYV-H&HR|V_9F0l(y&h-)|$QF)?Z7%wFCenw4OB`E&$|Y`Sjs7KuOtE19xWJ zh0|azeMu@wIW%2;!||x#r)Ymc79I*9=8a4(4EK%hD0O6U zqS~DY$^#Pni8BILrkk!A!sVPb8=UY2C75vRF*L~p9FP0bL?rBJPgYqs+GrEv%-U8x z6-{W$GJ3D!Ei&wt1T?W>l>vip%sD!uo4H%g=4mm63330})Z!#Ym4`_?IGZ_X4X-jW z@*Hy0H5XbM?^stk0-B!{AU?-2tg`iKyd~&a2(2*6$a{_RY+;3SC{E^F)!gS7jWoNc z2L(;D){mVIrXIwskkxUJi0q`(X8%QnWLA7Fz~@O!0pP9s)z6#0K)@niFu6AZF|g{g z3@4`9kDU1szL5pNG;7mwv-nP2ak6fdRo$=S2yjAjo2J>@QAqK6Y?>F&qqcaP&z3X%Yx|19F`zu6lEK?<&Tm& zg*i72Wlf_7Gg=tSeo6mLwr-=zXz~;K`<~blZ1bKmeLiPm+dgM(>rLlG_Ro#!WgP-7 zL#pc!@1*t*fs^tytq6Xf^c_ea=tOxkqLpbUHl_D}9KDww}{{haX^6F+yZ_KPIclxoy%yJyQ4?L-q%f(-^!lP{VD#>b=fW7m%pa(&rliPr2oC%&kOqA*T1n_-`De{zOTo) zyzhrUKVMEHli5-+s7L~b@g^!s=imnRu|lF@6?ka{9?S~-S1E{ftM=1OT+uZ=|B_=m zV31iXHh=}{5ju~f0ESM|)R04k{53DF3SS2mIU{}y6JZ7`JGsBW`bByTIO=K}S(Xd@ zbHE2gf}iazTx}@e7v?QA7N;;amIs%VtKnC};hA&BPh%a&dGKN(n32emfdGb!D}X_C zj&|3QYb(Y`ES>yq?gQ6B~$Txf6VG?28_`@Mod2Vm9!v$aVnQ9(c)9i8fZ{hT|4to@q4yUvJ)@6awRa9Wv zCAH@DOa2wVUhcY8qw#8c-I~=QOIM2EJbW;rkTWfp-pfqT2#)+(+2B$u(^i@mOEti@ zYRK!v`wUc3-3)0Wp({$gfJ|z?m_Tl>u=WQD$|ucq`#S8^BdpM?b#Ox?kFrqm9=6#e zQzqHL%>W3I6Qd>01h{@Ewl9?wH5iSscwGFdV+tcqyjbC#8EO{T2Wsyn!_c;?ab~o+ zDD8H3yN-HlVUfKY4u~?_`ux+brndGy(_C@aYeipb^JBhg%q8B(v-QkiqniZf2}&YR zEH1sZxXiR`1Cy)Wv|`3>D+7Bp3(^~6^N(ou9>Z{5jY9liGTp(P%vKMh`=o445+&LC z$FsFL)xDDEN4s1DI2FimaG5>(jLAmR)M}`vVuEhTR9K0$Ajf-^F)^JVBFg>|NhM2J zt@s_fFeO8qm?0Dzf)fG-{&~EDR~nmd4!5FCE42yQF_t`!s>obpTt_+!LyZ`8sha24 z{u!2wF`!V#15=YNb$`C?`+UCCW9)8w4$lW(^@De>5~D%*sNfk{oX)>{C8vrS=cgx8 zysuaIy9T<-&?pJjq|OS{YAQsEp3dY&_qpp1Gm%bIxmgem+OQF+kv-}tlrx+3Mvs}& zc~_MWEI8b8sR~EUJ*U%J_K&~BN)KUZH4>}UOB`&C?8r-#f!1j*>)wjxNgd+o@4gZ# z7n&b$k1hblg_&%;iYuVHc0oRl4Ydl=-zKF!lm0>I0>bYbp`)jq%J+>@N73hge1NTZ z63!^H89D~wje)yH#^c*2T?)p{RNYdUSyIzNH}s{|Oj0C7tWi~$QKlpllspH`6*r&! zGNB;)3#43bDK~z7tgQAzS9w?Q=x8=sw~4zdJENf9J9;NIMe$RFvlW%cZ+~D1FE80bd@SKAPn?Nbhwe9fU2j(= zaS#g;_I5wBKSCYcg&q-jBq;12rt0)!+CI{S(9kg|*=p;0Bu~BwJ>KTwljEzRZ%BZh zX=mZe6D03WJO<;h_0ozNBxA^^(Y7W=d>&1u$Xpn-Q1zE$yBM zTv8{f8$yl5X%C(tceq~(^j7z=|9RtD`CqbulU;Gaj!L2e7{m#uYuVrUB0{D^ z$4-_p3V6W^xe?7IIfcv|hn9v(U}_ahjCyO;K?ARtogMBO*5VfMgE9D9oT-Aeh|CO!5r0vnvtv`Oiw^=MK^rHDx*l_9&C&@+u2NiuZ}9$Kkkfn<1%X4wJ-vQnlqz-a0-k%-mv|396kynwiWnM z#CGa!VQgcUy29^rq8ICa%)96z=H1%_Sg2z&P0T^RT7E_%9*l(gr|Ec?Z4t5(Kxu7<6jUC4enC8@6fChsszB+6k(ty9{`7mK}KMx4s_w-w^A zMZ|r=f-CDTr=i9cVeEQclVfpe4nz#a6U&_K-|O(UFj6pfwz6$%RjjP^IVVoTWL>tO ze7bUv7DhX~={`N=o#%UjhO+cIa;*78$1G}&lla`T%MI1Ioy@k@} zAHYwt)hfAR7~cL~`-kGB4XGObR*bQ#dE%c@lkAR;w4=nPU1b9a-QZ)g!n8ZSV0-}@ zofYPxy&#^X>?tQFHL6}$-&qt1T>!dt6rnBrSL8iozveZ8*>?^Cn#L5BvPuMpRSB##_s`FbOO}VR03cdi;G#&D(NoVH zQK|>3+2(J8w|0K{}W=0HNi z7ky8ei1s#Ueeni`a>@-m&h+MmWw_mC3f8ywOu_RydC?S>M^MqAmVxPH6n5+}naL3~_m&cq2cv{VM zvF+2!7VBGs@~#-QXY*lK*R=XIM>H*78-FGb2+&6Y9^{X=RbbWX|0VDGlVlk}8+PpP7LTdB?570ZK_y9i5~+ zX$Q@S?R<|vGY)jV)n#@!qkP`|Qc_zKGuSb*2yGD?-OWy1KpWVn z9tu+wO?N8_;Xs6Sz_T3^NNL7^$FxoVF{1z$!8j4G-aeWUE;0PKY{S#d`}O@h>^FmZ zkoThsoe2Nr$i+S4yl;HHa8M_@U`$;G1ju#&B5jP2ohKW$HLRmW4nDa2g;goTlxJTq zec9;_1_Vwp%#NeeE>=G|pohRaXwOV8gsHow@FEMdGg)2M`|N~?0E4F!0Q zq`2-EX)=p7Y;AX>T+r}%Q=3m!k7$H4GIEpyor)&XY$8Wl9UgjhH;)Un!4i_A~JTJt{DAr@LsjD@^yErS_XUOTBHGl zCvSFHwKN5Xs`EoiCTu!QGOBoF1i%$&Md#w+ujkU)1lWXz@^zkuUH{>eE}8aK^eWpr zq6{-v)C{X56wekZq=*}`maPuAwh$K+t~oehCi9R0GM#9nymgpwnpA*fptGBeqI_$s zNTct2L$wKE?F%!5xpbECgN1#Gs{^=D-38~D_+zt9s9**Q8wG2{Be|hM2fTrX-YUC> z!l{G&ex4^?a@3@>~SpO?R#bsg3a*O|0AmqgtlAEvY zfYinwFoV}Tgg0+VMxCk{X(>#@;3~fo+Uuhhc~snNQX6)V^+UI!w{|JVei_G}^&q6d zlRUEIp80;D?%jLaWr5@BQxG;(@X8s@*XyUjiCOQG+8(jU7QR4fA%c`!_-_@gnbqUc zXC@lx&K%Nm$`C=C^*Govro2LvQAwiI1_XH^(o#2`LX!+3{BkvNUcW@qSwUE_VR6)u zwBI385XpW*0IS6ik3dh7m$GJpqy`BjN&3lOzDCuJzI*0+W#u2+<8xoG>{4%DsE(U^ z(4o_w+}zG`+{o8DuGkh^(Y&?dNP-h$@gp^t2lJ3PJQAO1qW9mNxQv2GaBmheiA!o6qy1qxr`;#YW+KE zS5FTe`_@CBI#zE?*_f^ORqSo1DH)B-ik1@PtjxwV%#)hZobnwRyNzy!VviM7PulmM z%>ANrZdN8=)_aG=q>PVca_BtGbji8hf6nwB<#4d$?<{}(Zz&k-e}%o+EY3u3i~pAL zcjXPrA$XE!n4BU}&~#{uqp2t=$RMVc024AJbPjo2k8g?1(ip>YVt7+L-G5wuQT*V* zxwVD$+1l;)ycvCa`uRlOULO5>TN*O??mwM+d2D+;^7wu^xIa0+wHQ*F$%xHO%zB+r zS}RR#A`lcLK~i?RjfSi&JMfjPEJ0=iEM7tM4g`bk811T@-9;#;kFNc&<8d(%<*!O* zVy@?8C8UzHbzZj20(gqRsHl(}qw=V+L5YWz7%23QzJWyXw9L)!g62pQ-7OYgLz028 zZecUAv@&VR7U00@Fe?geOz3AaTq=f$AIBQemN021lPNymxA6S2e0`qF%5n?a!?0aT zn|h4a~C6$1?i z;Q*)ZmbkAw+>Zk`km-U#8`u~VEWqxhOAzr}NUw*b7&#MXb>H~pputTjh)^)$uG0!b zcACr(U7j)W9|4kLp>9W+&m7|>jj=FwRQv0+kEmv-&vPiJXo6eN4pg>_7q6nuq1gxP8A|n2Q@@>f-y?YVeflz%m%fc4Yy1% zW@?+7p6H33|Zl&=12ADTzx6h*RNAA=0fhf3@BC(w&b^kIeLR6Ns8Bef-yg$UYWi*%D1a@zz-$GYm}`#*3Kak3i2 zmtS0rvT~rK0Ibzu_UsWhE zwe4dh_TF@M(Z9j7=Y=q4wg%hQ>vw(2j%PV{l&@8xqT@o*?Ky&qRVE;{QpCj6lA)U{ z>aqp)s>AL*eWoL0>g$MSD2@S6XpS|)VkRieDJ#b>5lu;%P61I02}Y2OUcsT5 z%l~}F5mtv9aQQy)JX*`(qt`R>H>zEP^j^di6HpXGN~v>v zB<||E$G#1^h9@)&AjPfmVvTLN+#?-}^D8Ai|3ww3m1S2GQb`?)laDrrGXRHKwOY}( zMD9Pg1wTKL0^DE49}LX@9?k!Xin&fzh$3x64B7io+a5bMh;c(UA}0)WOe;pCf~-(h zCYEM6+K^&m$kH%fwL<$ma=IZSdxP{~Nc*t=aBYi~^-tB1F%yUWMt)6V<(_|T)fr+f2TU7?#tf1Bs)>*e$9?D2B<`uzS_)s>c3_7T?R+{hU!n?x z-EJE@=19Hmgam@;vHRR05Sp25nC6v;n)YI86)VPVZNDIOx+yuIRm*=0$LxNSNm2l^ zS95c5TTNWMyE&1WD$%}bnkPqS%_&r{>kLM>QK7kW1W3UpC6#vI zpwX13NDgwtloQ4g4;~`|I`Y!QQRs6I;;Z-;VEF&h{4`gRFAN!PZb@ z3A)uHlWQffYUDLpaV%=O856Aq)m5wun^Pnw>v~et<7kJOvPiN%)D~b*zbX%dI?a-$ zs?DwqCT%9*KZ;F|yRj82Nzhj*P6Ky3Y7{b#DOy|x#Z0(}9%++6lX&ie6v0v|7-#(~k#&3Qhqs zx$5=FMsq+J-bB~=vb<{)8VMCzi}7_@fZUJN{2l$WXk$YB-JJrglXIgQ(F|xWIE#Ep z=5P@+*UGBX5IW&5t#GS{hLQdu@Vl~H0|&ym7zyYzF&(EBO=Pfuga!^2l)S#dI`&rs z)W}}gd|C_{YhCfTb-6tGU9HAQ#8$}`w3PDEiW+B)mES=qT~N0vNDqc=pj3ft)201W zs3V9NdjTTOgk@X`nN@}}2JlK;RK{@YY5S+FACUVPXC8?D*6;jR!SsH~uGjr0qVWOm z^?ISdJEc|&~+5OAF+=%|9! zD(4r?_%ufZWpN>H3f(Eh<#NGs$Fr7CET=tx7+~^pNf6($ ze`0p)c}pFVsK!F6C3xWaC(HbA^L7OY67EQLc%jV*$n2W{T37WIS^;6`ZZ?Gcj6_1N z6TFuZM8*<>l?ZVa@L+}C@JWkJ1oLJt+#>cZBqkzpX$U0d94fF&tU&{< z4?!LwC!%!K|3JrsG6`ESS}$43L0c^sl@rdp7R=tITEeJ z+!Sk(3@|k-K4{tW$`Hx&84+Em#_H%>t^XHjg!89-;rjRQ9q_+ZrL6xIg_V=E6S>6? zBYOLV;v1OQoNR4}CTwaApBk3&HXK}^Y`9quyF(_`NcnV4ZRVG`U#jD2Hh~x89P82p zlSh^We@ou9v2r!jyj^G4_j1zR4H?$;Eq|lBg@3tY@Y8VSFIjBS&pVu+I1{_>vM3S! z7z{E*kfz@OOfFu_8la8{Ql3F1WXSlUOsFMhzCV#*9~y6Nya}gT2M|S=Z*mM1Wc@_& zVM1*^V*I=e6ryTcnEq#-sDYhG!O`5v6a?+mMuz5!8()!ijj0${zv2r*tRlEOltP=K zP>y8w1WX3jru2cv9NUJO-*lEaq87hGt}JQ8*i-P!$*)h(kMh=SD{RG6X?OZ#=6X)3kFu>R ztZqwfvOfa`)3|vfdpsYS>6n5z&SX3ahA)vY28N72v=cnd}*!zY4H9LBRl2alJH3e>p<Q$Wp7ND#`81EPrsja5V8k8NOgznYxUYia7<-O>gb%$L5iAUM_$NPk))&tEqop-adHr{?Gqm7U3O^0^$F zy`7!v(|B-Qrynyr4qbUZ3ol_u&rj~_cDb=t8wNeQyEe~pRXfitT6}ysy>@YOWgCYV zmkeZ#fX*a=s)h;~h84R6A}PPYc1u4HVN{X;YNSk1Efu1u0ajzk(MpTELvK_9e;hy_ z%F5L8mGW?0HOUG9Rf*D8L@g4rRCyDfWSN-8c6A?r1F8h*1j+dMq**1Ivt|a3txf$!n06HUtvTu*Of>uK5RxYJR!HYKQcZQumRkoTA3Ze=5++OUK}HZj8rI3FMo zNmx>e#pZZ2Yn&^ni0W9)FN6 zrOiE#ICgZacaz-PS1B#=P-n5$^xE)FUoF|bP=0L=jb&{9>8&_(>vMa`JX}6SH)V~4>fau`p^W%DF4b=B2} ztYs^b$8^~=2zMf*d#UJ{#dz{qP9+IenG8;WPKs$6>|k)L{zn@WzPo=rHc*q z*%hkQ&>(Z`AZ0aAaDk!b652=^e^wE5j2;rd1=? z!Jo+1#;mng#^Iu8rD586VA_gx?G~?`43xdelY7`1Sy6oONkk|IEM2%YBjM$m;(+k+ zpz?NTDGLj&U1Rnc0OS*|D7RrEJ*2V*N~f2To}G)35SBLkI-|Wkr{DOZf00vRtRy(j zxBAi8`Bu1C?pArp=CT4}S)3}st3)3Ne1SUe11sYLShO>@jtlt@PRc3(3vEVk$@~CM zJjJ7OOL<)2DMrxdsd=i0W5;L!tHx+1eS@gww(j|px{QGl!wl4;m8@mVQyzN>ZD%KY zp1Jyze<2$3>0+m4YE-Cgl9jgW%-Fb%=v|X!OIIC-7OvMQTr#?h*%q6SEtK|Ph9E#< zr_G{OYLnhLFp^2iZXs4JPtDP@3fr9Pcq&jB8~cf@^J)kyYgzdCcpz0&z*@JAzwi)h zlao)`Bp z8{9i=%p$G+y_!|gW$pwg#`Zz_*2s#<%Q_<2eIL^h1!X>g&jSrP8EKQ1S+?bKEhXcY zrV=h%W2i^7wT+cmGyu$>ma32K)<46XMGo*@P-c)omaDeORzbn&8!H$hHd$PYzY`RP zA?m{UP;2EOgC18czPuf^8?FR0w?gnS4_ITP#!<~EVs7IIlY<{^KL63^wq2iJ((}<# zCIRds)vSlTK1$s_tH6>HRZ-`U^N+hLp;ZB;uFi{l!leZ}L}0!gQS)W# zHuSz3QKxI!gUv79C$t%X;jUeWsnfD>ZHcy(;fXkdnf86XEJ(v}{(B$ICzL^Ls}Z-5 z&S95!TV(J6qAQZ_glMXa-ypP=HT+MK%^6kS3bqwS^LW!~h-?9Jc@EP-Jdc=x2j!k^ z6|GHL&4OwsC<%LP+bLW!BkhAm(%|IIXZKY@tunAkyQL89A$yG&JO1^ZQS8@Y{bP60 zg8DLbRueEf4%`A-=m^?UAgiw8|JfM1)KQOc>MFFt4KC zz7Jpz5gkjoCUQIl(Lh>k>jLt>f$E7_%&P^<8E`tMm=a8z>p-QM74XI__O}BJQ8}w% zK_gMBc9aL`C?_xl4adutM1q@urI((<_ELF}y6RQAV7SkP`XKo$K_I%|VwzyD2Ob;0 zVjHN+WWgP>*yxwJjzWRB=yQ%x;~r;xo2|H$fj^y=LeFpgIZ{31S{DLw%?YUP`r~-O zd&kJjHwEq9{4VDRHd!bL81>g7ORltY2J9Qm#|3YjX_i2~13 z#ll;fy$bC6PRpS)oobhWC-}q@Hi5|^C5_>|3tQQdpy7XZrS;+wLTqO zcjZAi+~)nnAL-^{obs3+%F=j6IJ7g$x6v~D#5?0N{Sz4C8*3N9x##Gu5T~XdhpU)K z=|6lf2@U9$$=pDnIyOQ%zXl73x_7-E4o}Zvn2T25{QjtqtW#ccA zE7K@?WoWzZ=aZ980EV^IviVgeC}!^PgRQu&N*e-4%*|5xJ24=($tA{>&&-czvl%+xwypi#BAvqCY z#55vM7ISP=iJ~#Gy*H`#&^E`O5#ft4dCFXtR0hzebmxB{G6(@cjM!gIep5GN^#lS4 zw_AtAMr!X^JaZwhhM<)q(}1Ck-yPq91p|LG^1KuSJuYth#d{xO!57@Qe2c$e8XU4L z`|OODA*_vaOn_chVxqCzNpFPy)>)c)#`?*yP@kkqt5rqD?Qu zgonTG|CMqtvgjWZz%R;K4?MbgBJJ*hd1$*6t*jg(4nSS!>U&C5d4Syl+@qx5k`;oAN4)K<-lg@i`MH*)4D`|uCU{q^%6$GV+ zd0V`Er~l9JVonfi(Cx3QNB94cb@;CUI-kUaU&K5L|K1nscR&oAj`@osLMlzfc1D#K zJyc<|fuQJMB8OCV{Nt=*{`e%)oPS<`!f+K>aY z?fcHRW9`dV-8Dd?`%GpAoo@2f0PQ*(uQC-v()PQTH<481*{%%1(WFI%-bwyhzC z&+?DFv~O50wp~e<2XtP(p(cCZ5b$9LQ^Pr~XvSWQkmqfEO&ylj99cJ zG`LBIgd|%GAgmBEz4GwgPK=iQry?w1(<3TZPDu&zby3KD4n}reta{0vw{#pvR~c0$ zlilWTd*Vs>W!1QMVW%ZIKv{7!pajM%4v@VTzM}glt?va#ZZAR-0D zb=F02I$Cj_9=jS3f1Um)ytI*<>Fu_IkCm03nH6hS=8vb2X#-W>;xXSQoYb8q&pzt4 zoxGLTUAp(Q71zEGRd*3Obr|D-Ov&+JPRk&PpawQhDV zz|};B5C$epSjNOyFoCu31@=U1WJ-2LH3$r)Fsr^Pd ziXv1jM_OZ$;>s0SB5`DfBrqW7VtLAM1vtXL>+-XjVKhxMG6G26_1>!3!0snCP@Lpr z9|1W*fKe_}xJ|RHf-#Uvft&Y5`QvGp0^UBLgjS?chrWiNC!vgcOh+@m zXQ3-ofeYUkZ6RUAGh;? z?w5GY=lH(>i=jo}p|NIo(QpBp(BSY?_ZNukLSGjHxn6f>cz64Ro|YuaJbMGjggWl? z&pqH+e~PK_c=i{7i$Eqi=K1g*&IogOqCYgG!FX2xE($Gg-yrC;nm*u z?&{9Xl6D{7b{&A|(Y#zbj(xq%+3lne`GjvvpN~;~X$tZXnZauCTT+{0c31=?V9WM# z7RSXK9(j0t>mQH$ru#bj3hufqUIcFkg(7-<@%rc=CnS^^y@MaCQhtQu41^AYW)sf+ z$nAYF`fw7)Av?~DUnlbt$Dxli7I6{$K>z2$6gdLSBKh6k8vZ|WQU8^XW)eSOImnL? zdi#OW@ozaXG;&4+qw^A@F-79H*$@#aixjrGiuBW4*QzA^ti|`xozLSOmZ#K`cj8}* z%s)J2A4lu4Uz8+LzJbQHc?CaEVO@mh?}W`l?RH@XFS~6Q3noq^XArw<;M6ll2iDE5fL& z6|F!m03r&CC|4qI(E>_R?>Fn05nRQ*{T~$AK1aFC2Ffo_U;BTe!2YWzzLTw0cifs1 zvUjQWgde_ex>(jlE)LKt9?9LUPK3G25G1fafx!&MwWH2DYaPE^1QiyeCzab+Gcl)zq`A)=ks}S69c`oljZlw=llKFukYjhw6DkDr?-hl zaz=w#<#|`w6z6+^!!iSUd7aKB+hXy$m$A^o({NjO<+v5HRH6!v-3?oU?@gRGGbK0FWp`2!`{r*(tXY!P=hV?~prY0VMz3b> zM3!SwBQrnZ_K$~c6Z2?f4#~_f=~9ZWr4o`5QmliyxTnB}fr-n+179MXNSC_ zQ+PGrBBn_HVHWZe=tk}^fGaDSxgC~Jelftlq&*B0J@8|3gP%*75C*bJ$Gm93Z$Aqg z$`&1DENJuE_ouDz`|EP7P3}cbZ|A4S|K9A!MOn`7v(3+8?{lv0=Rx%Q^XsM0iSPR^ ztIy~Aci_Fh-^%QI{T|oyd_O<0-T1mYKCZLw_V~V^-rP>m{XRl{emvf|WiD>yzE9tS zx{dnY;(m2BbH7L3o6z1L9NvFBL(-;TM4S5!9Agm90n)k8ydc`C&nePyOe!E59p_u4 z@?aT;d%?V60n)S38N2TkJ;;=nJk|#=oLL?$A=A1+G%;cV1WKq(B5V!x#*#+V89oev z$@gd!rinJQ*;*y{T@6VBjSn7NkIMZp07+g`#pf`-P3Rezc_(yd`=lZVJ+mZ*3i?Zk zbTbrd0VYdFpbj$jXb@L9rm(y#zyP_VV=H0#g%I4`as`huZA^zZ7&0*BNx9|D1N9V% zjv zfnm|q&KmJsUB)_0+=F9nnOrjMs@@!+Ou6Aqdq+}U7ClipF$$vFhTRD#F@L$351~Ahi7RQT)xDnNB!7%yf>K#=TNQ3E+6t>GNU zzSl2GAY}@WD*-yz0qJH*&rbwX#<@&$jCZ z%JX1$AG$;FFm{hX*_k4P(p7YWib5Ov$`$v)Hv$vy;3$-xhlebn8+F>UPNrD>S( z3=kzzl@cXQ+^ZWmUJ~V=BuKxvMzFyv3zBV>1gK>!tB`rz3k%gV3FI%TW?1Lz&x-I( z8XJLUWW#jByCzR4e_=D7)7<<}BrRls0OEdOD>KPMW*8p`lLb-iA8`R0C+4#hP8!E^ z{X>b8hSDE;k*ya!BJ4!LFkbwv;w2(tSO$qh|9xDX6wVCnVY~gcv;B2#r|bV? z?45#Ri`wn)*tVS=?AW$#+t!Y`W7|o`wrv|bwrv|<1Lx1yGIajF{&Wl0j)RQ#j-30n*;6)iXwgSA3R4yFqc!vHmWF%2{&6*A!{fsP>JXl*#Z01=XY z|FKPwaX%j|fwmIdASQb)*Jo+kb%Ye%#3_SSot(N0_-L5|F)og++;|nf*o;8ak-qv< z6;kmDgpw3l$O(kn3)fm3f=E;0nh4X9U{lQKhtlv0u{EJW+LTL84GR+*ym^CF%SM}q z`isWei{b-2WjZi=tGWx~)uNAluddXT!<7l+77D&gH_5;ZVfhmDYl#| z{#Vzs`1erULC2-h8!nu5JweiYbeyv6<NLml12Sj#$K7 zJ7Cj~{Yyd3eYUK~^)IC6A+YiiCWtSJLKm1;9A;uSi|*)j{RDA66=*_;guy; z8)fKqz?9*-0G4TXzpF~)Z$oYSU|X&rTjtP9k~cBJP}@E?PPT2i29*jZX_m3;mxp+E{j!29s5W zL5Nh#Dm`#hEyc>im6Q;VqU%Y~%aS^eE(NI_!=qhn zbE%U>UwFO<^>V3p1*ka-6UtR!N?8k)Y6=rl4ox%!$L!(9TWKg(9XU^HXeb7LK9&y2 zwk-80Bzf+IIVF$B$J#*AXs(V(oKj~h6iCRHqXM0nY?Bi`DJi52@T5d34#^Cv4oz^z z$JT&B#6uB~7M+;#04l}@YQhHuTmpvpZN~@-YbQW;s+{R%B6fP*0+bZtRTE?79TQG- z3r;A{c61a}KXL1IfGFEFN{aVT{?n48Km1#pI5DXJ?)E&{Y`u#OglXH~F|*rqzn)vA z6U9)Tj{)5H0B#nf5!vG-;Y`4k?H%c{UgMau<5fVpE+_ken4r)k`7xdCoeE%`kej{J z;B@0^wC!}E%}1+g%!MuSPsdoBM-3`?868K9R?C1u;bz%K^X|?3Ny$^KFQjqDoj!D+Evr!};=0pYz~>F#C$HI^(b< zy_5K9#+71m@zA9HI4?>^FQ}d-r0+#Rj_o()RQeU!_?SBg`2n()&sr8)lD;q}w7!~q zqcW$Z(jNY}WGSkxu%#H~CtH$ZPy#w-sM8ze4gkd&r4$#c32Qd|W|-PEQWlto*1kdF;Y(+XDH zO@VU_m$?oKQW;511cDw}N_<^j-%tA}s2BV6n+V4 zrlN;3vZARNPk5_Isc=^?vI=N2#gt;32h=ZuCc!+}j=fHPqID{&tQl=zuYDZ6!Yl3+8r%+E;LJd`d zDQ7fqw71>_9_OGgLMax)7D`BpbAU=Kix(6$&r_kM{G$!)gHB#bv@uopsgO6IDW)JUY0A=(oU9G_y^mIM zRS*t7u5z?^kPd5uD8G0NsUT@4UhLs_oS=5@Y|cN*fqXZ(_`z-%Aa9T-oaeX*YX?=^ z?twRAfdQ#N*%-iheuQwlZ$MvlZZ@YoQriT{p`5JG5s_O)8Oqu;o~fKo4vzma@o7DC zvXlo31ma%$IaymY9-1pSS(oY!8BQhvYYbQ-C4a1p{>aIe)JccC3FX58ju$SB`csBnubQXw#G;Q(M#J5=;7^SdhP2OcA zKnRk;rtUFJWMbf)%BwpKH;FoU8Kx{pH*~%^r!33jq+{YpuH&RbL`UJ`NUD;0XjmUC zUuX02(5O2dz+d%xEPA8*MtcwVRe2{WNVI3zKdgHHF@)HUyq7gNHe(18QsU3C55b1> zbLmzr2|iekb#uE;E$f(Q5jRDbY|y(hOBG>~AvtXpO<4XaG%S$VOTM0Kq^}G+U@^42 zS+fr_(U46$_wZy|JRK`TPdYlZOTt}jv!qH8^7>l=5nnhj#H7V3GoKREcC;`|GbBXj zkwGyz;lwU&P#ZypTTD?QakK^yA;u2GE1~G~PLB+NA^0^}kRwtbx?y@3CT-fL&EXvg z#c2l_4_6dtlUV-VKYVDXCR*?2qQAnzE9vf|QXBaS8)gDX?eLmsK+H3AXT2-=K^Ut`?+v1(=7@Z}~AF;ILlygkMn4WdE7ESarTwy|t-!G=JWng}>^E4`(fB%KHz=9 z;zPV~vRb5A4LRdVW5?q6wpXcqPa(F{*yi3`mCaj5id8y~2?sGHO)_3tlP#NQ{_beU zq&71gc~}r4Cwd5+LpQ^<$B90}rp8(2{b=*yqp&phAqg`Y%PZM&x=N6u&zpI43d`@& ztjpq;>+r$AZt1w35M(}bw(723VZOe%8uL>BY8)_T#_QwH{)>uO8kloNc3g=UYF;r> zgmQ}TL~;CY2AxVf zC3W&Y5ruGR)_j`zLPU2wHe~RYd zRr+&GN>zUP#N|dhnN~5SXli5%ny&r@2_ngg)RNlT3AuA<)DvQm-qfXNL|ud>9mkN9n<0PFG9N0c zA{N^||81DGa3UTAl593aZ-1YFXK#@d#7k@2a~L@${LO zTN~4@_Z+Lo*4rRBrvG3fw1uR!4bwRQoTenj@5*&h6LMfBv!$wcmk%-;M_H}LSrPPY zldUbrSyfo-i#%#|{*nB=ZE-M^tPq1u&eO`d&1_gJ33|2GR_*5n!Vq~c=w>ZsWaoe=*cb3vm14vCOHP`}+th_gW z9w-T7q}miy9x@KUCnPd;sis`8>l^P0NVz|qY+M@6*Cvck0_cH^rVqfXjY=|Z@G@vF zdN}R;<%+;OOk+j;`}8Fs9t!=5Q>yrx!>Ou+vwI~0yIF@cc@PE;s&RBg%P$HWoEnV> zx&gBLyg)Gu(w+TnY5s-yuNehr)cMew&nRyeWQ~|(P}z8%)P7;9=`Q~~0^M*ZPuRc3AbtYT|3EX9vB&e^#i z%-Olo@YH{^JuNwGta%BO#vFOWd+^5SH$VT%+M&$e^tuPZyQTPc_Of50-}0L0?eyx@ zFE?)O`wY)4dA-zk&CuV@tdP4$otXc4ke0hwKA-=Dv9>)~b4C$+9oZ?;?|M~pnpacOOkt3+G$)Q=}@smns zyfjPQAaomBv`qE3UAL5`h*fX?Jf%`KUJ5xX3bgI0qI+esKCdYD3tHuvtl4Yb*w3}I zo48;uQQ>uKE?no*PDnAAj!`YlmMe=0RW9$x%%bJYQZlXK(MBmENgtw8J)TY}Ol%-O zoS05=Ud~by)haA#Ajd3G32!J-`G=XUgnmw?>RV^=^P{_Zw6m04A|XL+b9SF2x#Q1S z2bDy-@34K3myu!@SuInV%J8#6C;TPq08P=#}gxZix8v4Ou2@jv+Y|ee1aloa*xfs@IV?u>$W_cn94?o zR2l&*WJM|X_!vV2KAPc-dd2%mH3L!6$Iq6d6kP?G#Z!8D?mS*q?hyZ0s@$(8r1xC* zT!L2K(LflApyJ7x{}-dnG15_twdQq-do(kNwU<;t9;Agr%W9zxgUchm6E=&Q}LxCrsVt;C!=>x5XL!!niFNqh(ez_oipVXkBXSnud+m<<4A#vyKf7TLM@i0MlzUY;>sD2sMDV zClqEF%Js(YLhQr5We6ci>#;ma1xZXv*aWrcA9I{;+c&eF92#q)s3^Wfb!g&hA zSXQZUHKgm)!sgr(bD-Ho>*Ll>ePY1sao5wu$H(jODu2`dIkx1dknaY>9AA1{Tz?*Q zuG?j=eR^}?`89{Wp1v6K`S^V~hwHi86QwV=e_K<$Z8Cbz$%YMEbM7*tuxVqr<*(T6j!ql7$H-1biD z?AX@c_SU1&l8HF7-}2;g-^ov~HZqm^%J9wXL?Z|_2=7A-8o@#G7(uoIii^eII5FI& zfCHi|028dzpoCNs?}aGpUMF9M2uf#=&v(5BJB@wY-e;(GkyZy}dJ0 za?Bgn4?SJ@we_|a{tbmzc=F?IjqEo0s=VJeR`3rGoNA<&P`}uWu3~Tz$Le{aB?mfX z|0zju?@x4SP|VmT1L%W_q8TO?-s00Iq#|aIkBgg5pD(Gfs;XJ~a$L;m zc{>ZW-}d?5eBSFMd}{Jv4?`J9*^IbLpg?tD^q_xXCc zJAOx`mp{Je*VE(g?BtC;miO!aejK*f`J?<8DO0>+Pd7o&%8|~>SP-;BK>J8XMQX zDZw$i3{GDwxvdCA!E)a8@QyUYgm%`{!D7fDqg2gn3W{`8BSpqhYpDz4II>P!ry1)n zPa@R->(pD|w^EEwY#l{*g59Bj6=O*-x_ z7tM*Zk`9FNXD$Uzgb>nkRmg#7(_yoYl@#0a_WYXeQ=KXi{tE6c6TfK9_8u4}Npa0= zJ*_QQ&U9y3PpUGSw+SUjlRr`b|{m!Hr+S0N&*f5H2R|RPc zYnDroEg+yu5?HYgKA;tN5<%%(ofX&)f!7ZwX8CJ@(G0dB&FttOH-C2dt?h=D!+mXn*DNC9GC)X+kXTwDuIcpU!e=t*RX zZ&>Q7hU<vh=cg^lEvbn1^7;blo7Ba>=ttd^ zXO=4RQ|iX*cH1s+&Yc`nAb2_)_PK+a&I)@rK&jfO#LbZgL?f;^n`t(o%cH_~7tm0% zM8>f5h(;YiA@?`ig%)v%Apnt%GcFI|y^rN{?K23zuHr3CV=xqhy0|&Evk>z@DhK(i zE8V^3-Q^8#*C#IcPL`ry^{*aNxNcHicMk;FLrH|qvxOV4QYF{WXAr#y3S{&+@QIic zz%HkeJV1ddLC_=v^`#a#Q1rChO|M9_YNrga5+fMLX`GL!8Zlc_E*r;A!i5^gEK8JZ z0&ehqCrfD-o&HcH)k*NhLOJeNPO3LSmC*c6F|JXwd*rgj<~+NXXv&`J(V-Ki#WFi` z9l&=}oVb9x1vsUs;v_F?-xLP{X0T#dYJM0{01+bETWt*_2l~PSG>7);4w%PQLO)61T0!ZpXG4)JK+w^xR5^^1ULFCoSfMzJI4eB1$QofHCe``Rtz2G zfyyU{958^=dr?rclGhb~hvhG-&xv2JCAh=dy+$U$C$Ryu*AxZfh?%@$bv{@E(*?al zWg0BQRr(VYphmMviwg+JH|r8+6aWWVl8HGD*u9eFT>K1_zj8sd288rDeC- z`e%CP)@NsJ@8i~O!P*W?-3Dj=BWEMNOuzJN^`I-r%)HaLBgkuB0ca9m$!-#EILD|M zgWzm#;33+viSd~?ctgG?7ow6MNW5A;86ieFc%tP?OA{S=9~JvJpvucAORvo%O8*`b z%dA3fLPcDkf2H5x8Qi)Bo_+hkrtb%N zbH;u0^z}noFCXjs`Mgp9eLV2HXDXyAC0D6|NZ}7g0fwAdV{pWfRinX2A4RP_zX8bD z`@H=TajPrNItlv-%2jO#CJ-nJm>9Z0!S$4wupZ#WbCceIL90@ zue?WID1c%QP@GX3+mEiX>D9I+EWXXOiyDuhC1_HiF+62mui3gtJ(z)9&x-M=3f;+2 zOF6Mtf2-}2oO5W$lT#33+Uz1@Ck?o5PW$9GK*V@-{AEGYAkC%?&O!;Ig>LSh1m`Y1<7kQp#oBeuu*g6 zVKi`fhVRr6Xk;>)oR%UAwB0czKslMt!rISuCn7#-e3e!K`0gg8-)SZl>x2-;_CfrW z<)oSjUYxFXU~KvXnhzv|e8qyc<^z>@8nk*W9GQjxbAUXEB0e#dHzYRJRpT3zZ}boSSc`;wa%64zskI}B18N;Q}#>ztr&cf>K&Wn_pz z?&7+88-PUr!)5yg;T{HUaTOpAA6&_4x^2)%!lbRx2gWym6i0{N-`3ffWS=wNQuDAU znzOF;8fkRLxt|YLZ$5yyOX*Z5f?Yv?WmJy|o;1WFrAk&6b|cJ>TGn5jWG7D<6YYbu z28keK_{iBZ{$2CT_p0s{j_39i>xRyZb47y-6~%~SxwVbw4$wdqBUgJ*JQi1~)(6|R zat}qa#tqLDj{voYoGfUNa~e?$ z>+$XLbiV}gnf zU-vJiSjq6vV<2Ky$Sx0|ym0`_bKackOY$WnhCE!OJq@Rjd4gP+UYJS!%6Xs%J)qC) z?-;KHJ%I#lkWLEy?*#dvw&X4BJGQ^ZB@CdbNup~F@`8*^EkhSyOpZ%(fOUKXD1^N9lRA#ea^JeqqB16Cfbzz}@=2K-hecs&V zfiM_JNKdEd^BYOMq~(3!@^SO@$FaqpJtw>2W*!-w7CmBWpa3(jE2^C6NZRn7CKt-M zYBRPp5mJc6-vIZ<5dAt5yu`ibc9Jxmu{Pr~*qvh8CR!;qjXE)Cj#C-=vP(Tg!Nq)q zmZeVKv;}V!gB`)upEHX4^$c|le=!`>Oulx#%|+uu=>VFsU&rd4)`lRz85$)Mh;1Vm zMX(${b4>}olM6g7k2IYHNe9EYiPI9v;jq@)&Q5HVOT zQlLyu$))0Gthdc3%Mlm(Faj_ZH`<|E)31?~((afTQSt`N{zjBO2KMI#F>;NeDv;@Z z8eT}NA+wED;J6mey9YIp3+!e%6q@gMsKiQ5v;cVNqrt|8CbW1<)~I9ULx_X-V2m}_? z%{CldaLf^x$prq)_{Bde8l@ow| zGr?xMa4ZLyYn$FaU{*Pp$y;O&W}BHoV3qoEe&plr-d*#ZSzx>?BHN;x-rYW+G>`o= zOH2THDW~VnutVZJkArZ6nuQRg-7h9Ad|XwQ4TpVDc5*8Xy{Vn=8?klI!RYXqwIQEA zS%^!vj6DT(2I8214*P_Tpz9LE@IF%6!NcyL?}ec3ufOdoXI2vwKm2i7^{|g}9E>&2 zmtL!oR%S%uD<1}NBMD8e799eihF;p$S8z3dIYtH9CBWA8Z8ZD+N8vn~b-nBV^AgDg z|KH2G|B5}>CT-f`vLpSJb5su?v=^9*b7 zam`k@NqgI?Bp$`f!ZrkU=JM*mv7oee^0QUXec`)dpX_{t5o8+J;p+AaQf2f3z=>eu zLrB{MCFxU>IU~PKq!lZx(WB@vC8x~Fv=KGDeFP0_yG1GFfNN7#m558~YQVJh6$(UT zaIPzshRG9U{%V+Wf7rOBN%{!jC~ZK;A%%{_+ICSXTrX5qdJ#%l`msKYL0cBqx{hRv zS{@)4V&B(f5jJd=48|;_bzgcDZi}XL+iS%%3ad`LWyG?AX5mhyMKSV^xGy)lW6(63 zIy|(YyjW?1Nw$W6zo*T^>M$q(p3JyiBs8$MFu@$gB=mN-UG5s(1c^C zVQ#om-;*gi>tCIn+8Ga`W@6xjzsc$5n*$}1DL^sAO57}k`4w>sDqdE<0h<(Jae33F zM8%mMyaxdQ&(Q_z!$mmpOtv(o1c+b}trmffMUWLAG_+7<(J;j*sds9szwEg{yf?5Hs3wWL+qn?a?IZaXDeLlDMkMKKNpZ5-aZQQ!kEhBE* zqgxkS-JDt1F~`@AK3`yLZqMBc*B->>lks^#hQ8&+e!pPaH{l&&ElyGYNyLMB6Rp`& zp94_N?}8S<+h?bko@~QSg(E~SV3nL3{6pvkxQ=Io<02Sl!9_QFT;}IP7T5~$$s6#N z;Py$9i;0stXD3s{qE5^dg^1lp#aIfmRTQreoW3+WQB8=1|Y|m%g@jC z$!>LvXEXYKis8CB)5nWF`o6ZqR$UL1u=-lwcY}7FJ~j01fkW=kJIlrMzVZ7X!13qo z*P1Nivwo)ZsZixb01=4+9VewYm9A!)l*=S5nFdwuIZW9wUW3jUI_41TW1TdoOZTbg zAsJtFL4^A0AgG&)0E}_4z+_mw08nR`3Nc-hD&Bf_)TGIw06bynY@VaNF%l?;A_~<` zmUNmiNoKaw^eD8Xk!y2&Wz|JNdvV)KmB2c5SpM=x z5raub@a8*!M2;d8t5kL$$@Mc*71eypNYxcWoihtnN0>ml>WtBB!CLv z>T~CbqRsfe*8hELRN2w>Y*yCL_2{T%ULLi*ZOL~m>+_db^=x)^ZV#(2{E%T&W$MmeJU!#rON%L@h?sD*?9uZ<-tT{r!=KX`_+`A`yFp8SDCOE&Uo zdf1vJKO9M(B3p?^Egp!+9p~UEv5P5rTvXb6px7M#&MZt=S+?K&C`5{OBwAar6>@-x zko8BeMRNNmQ9E5eUG=HTg7n>17i%(I0alF zfgLoMRiLV&7Asj9txpuVi(p;^GIqR*fRUyz8I}ht+)~|`PB7Bk96`V|YsCt%q zZM%9o6F%&eY2BFWBbui$y>xnes_No5AFk+Lo--On*_QGixjXN_3e;(rxEvB*i!1v` zuRYj!9!NfRH~Koix^cAZo+D>Q+ky319V`ECPm8Nk>dqNUDje{%+Ek^jaPcKPtF1m? zc+bCVOPPB&l& z`XlDC1Z%>@UO6Lr0Tfo&p}e8|2oC#&#&9WS1DLziyR4I?9}hcgbF;?16ea1cRhyIB zA6cEvCqZGo?rnQ&clrW$#mo232KyPhU5{0uw=lYn)jV*rqm-FILgtjmyk}hfi3z`I zJAmQ98BX-Z1s~;^UmOApY|Bzx*$|9&Vqlr5g%~;8$z87U!uJbB~V&-?i^77@?J@B2B2-}inQ@h4B} zwk7uaafa~w7$0Wa4-w6XN>OW5bG!jwbvYnoGX$&O#Sz!n+9)}FO7A!gJ2pNpFzc3I&!JnhN3e&%#?bPew2s@MM zw;-XP2%+wM#3Om&+;yRIqWQ>sU(I+JO$j@?&?rdY3R9V7m~@6zmFkrhA5q zc7f=2VR}smoX_A+l+9q9y_2x)K#;I_G0ZWP4wO{r8b#v%!Zzh+IK75}3QW8mH`}^H z1FZ_nI`3h&ZhjTF!9c zTd$D}OKS;}%Zn|n%dBtTK@s^szi)58+;)JoC>yaS|56qvQD99%jKQzW9mB%2z8TFz z`wS}F1K$_9p7`fuNfgjjVVS-XNW^OCO6A?-7Fl@cT;zglK-c@v(z%`(7L9REgC*gF&&} zl0;Y}wR?~+g$JS}hKz@iYs&hN&INY534q|zCzO8h6sFF&ekBPdpf3Y5aCQOHh(V-w zvN)X#gKNjd+46h-`n@6;1d<|5HONlHu3i0+m`84n>N%Pot^^dCO9jM(8LfIKFr@Z1 zco7%CUr!A?31rRc2!9(A>|f1Ox6=X(E9yo$*XuycU#ozS=qYREVPsg;OBw8G(AV-J zM&*>7jfvqLD85mCP!U<>;yB7I#CSEC8-%@p7O`RwGTMT{t0PQ_^bJpGK_i5{bUX&v zXednSKKzEwOoYam^N)lk(~=6i>x~-&hQ*pDLqVmu0A#P0o}7SB* zq~`VH&6jo39(V1mHZZJ|z6OV<9HoK)6^G0ab8LxHSm;6G0_c}Z60ajAPZq9%H!{O7 z8k6o`B-#j41BrZ8g7w@za0uavStx7hM_`CulWR1L`Xy-!huWLo+kDi|V$`PXUWMg- zJKSGbPk-eojbp*#qNeZH6$lMZc0q)zNKlW`40aU%x>wAzzR(%0{K8r=C6->H)_*9o zzG)3{5&Z>MD3rKm+HYJo9||AjyBWTpPLS~3yCo-G7boKhuUAfN+YaDfiEf3+ zbcSY6-$~39b(dIt9F06AJTiZCA^E!>qJw|%_s{iKmH|V!1@UF7%mD?KZ~MfcUz@?r z?#wqBI{ybz=_tW9Tn&B~losdO+^|%ht9w-YQ68(aI6bfzR>8h2WmC!EM;9v$FUGDL zcw!jhyeTS=$5&_L;!*!HPN1YDWfx(Vp6SR zDYIU28Ue99;l-VS4)GK?XMe`Nmf!Tyr6-Am^5Z*-u4E*sy58jaN@6fvRLUS^8^Td@ z$x@Gu2;jU|X+$u(u?;4=e8M3kf$3qUj5E5Y#Gc`LY0e7`@{ zZ${*a4p}ZayiUcfs0Oo#M4S|Ml;CKl39LncVMSz>!(xHq@tH|FN#fRuo-%oHoLupKOZh!9 zNQkb>1x!#&XTVZi$&#w5so!=O;qGWd`dTC(QbS%Lg&#M!e-rri{4b}ZRMzS4C7=dK+z0vmuSr* zYYE`z#Tck7r}1w@n6_fZt;=-`S%Bq=oQ|iyT$=PWkrd@H;XHw_nq`bds=J$}N4Mg3 zg>D{IYFmC?f6jr!{@S1Uepb`FjTVG}ylq zdIg;xyrs09k?rj{Dy-WDn0K+s6+^W17rw5r%Y0C3ps%-4ivD2H?>4!Fr^=te5c|YK z+(PeRKV!~7MG(yO0#jjC{Nrlf>J%tNA?}}|47)EDHp>UB30zJV!Aqf#a}wA>p{)?^ zVdJhLF?#o(*hhmPhA3*>w++k}9!!-J6*JE46}oB zNl5r)(9zg>uN*@<2Rt(08Cz0jol+S|q-E2_SniEYf8|lDh;)cD>W?xCWz(u7PvkG= zL1M8yK{6rm8$yF#a+&!wdyhE<^VAw3LsmOThKn$43JEN|ezq7@5Rr6)o&6~uOGJ6_ zSk9n!Gg-;rUPP7Sx?6ZuID#+9(0S9{P?fq@c7~S@YaL@ktINOg?UWz|6Rbv-nODx7 z*{cXN$hv1wL+)!KBR57$E+@?L`7YC|A|lorpo}mW*hs%D+~K@H1IeJ$pqPeOA!>pu z@xE|68s!)$QNS!P%p4KcGw06)`ud*R9EEsZl9S{1OWNIK zfa>oDDufmTFm*-4q~?8mG0tETq~GiVFDrz19egNUl53Tvjx@8QGc%=IFcxRe!4biSmSc14v&c8YI8N60E^>usmq;G$_Jl+eq;9fToza z?_?QS-P@u+0Rkq&4{=2tR>tz8oj@s>JG%>J5>`RJj*0HQok+hfkf;L30;b0xu&k@pHM4j zAe8mWBdYnVLO>cck>PeU2PksjDcqYg#HAAir<}P0i0>c`vLUgt=?#i4RHU^U2V!S&{~B-4v2TPe2n_R( zU0!bRJXb6@0|Kv1mw-cobgAtL|J{Vj7wqbPy`<_R#Vl8pk_s-0S2mWjw1`0iiLRhR{C@#Z*W81?iHqqb_@!=2^Ds0R$D)VlNEy}o{Cel@Z z%)H>zioTBVFwevmk4^0X1UU|lCnv5P(L14wR`n|-J}vdd{OJ@MGFf?MweRZqVkgPZ zgc8IP8&cmAY$4S&$b|v#DQ)1qbC&s6rQP;t{K+L$4I%z-n`-W`U)hYam=2C3yJe*5 zs8h4glKWRT@jH1P-nqXU4`9%%W8h39VN!hW(|*OGy4IOixq2shX9&1e6}Lg1t0At}U5%M+Wz@IO{*=UqCs{ zrw?oj?NHqcANAI6(ggW$C%Y4oq;DCh!Z34!@G@!8>tp%{p{z>a5=hV9k0U=03E_!V zR}B36TPlPkN+S~HN(ycV4J%l&^nS29W7&Ul4&XsmeWWx#u))i-9Kw(ep?or=IFz%} z6Y53WRbEv90-4794Ve(H5Yf#`I~X%ogq&Lz^W>ltxo-N=tK7@k{sO;>=DBhZawidI zi!cg|Arvi%h^@x_+{avu?Ye@{V^R_80uEFPx&e)$ogm2`5=kgm8bJky&DtEo$?M2} zi4c;?9rjkzKi2F)5Xnj z)H%=M`HUjGgMexOBBctynU{|8_U`Vqa)I zQ}UNdJA-}UlIxZDWUDnm-WyAbp1^1e^Phxs0*n)VV=$Fs2n2?&tS)O&yn1j z8#_zb-Nr1#+LBBLCh|)En#_t_%3S!B_?;*pl0^LqH3q2RZdDSJ{b+4C((SO)Rnbd)NhqjvsaB)vQ2&dyYsn!J6x!6Dn+_NX94 zl`bBF4LvH(2l+v-e;l0sz%Sa~?hx5S1W2E^k|LU$oG&y4Y#ZtTx|lH15KTcm3VJv2 z6;^7>XS%G>SdBz2;1(Ep>DQ}u`|ne^L>=DI6YXH@qOs9J#p?1*U}uji!$u(s`7Xo&&K*!V)b^u z$yvW1i){W~3t+`&o*lh`gP}yjJE{8Usw}UIKH_mbyvr#gx-yf((kif?r1x;=trZ)7 z6(qBB%jW2)yuXXOjEouw=OrD>p&rf_>tHV4R8$7HwT_B530W_##f-=)r@T|rfEuRn zKg>j)k^J1Hr$VuT4Z=)cE(;heI1+UcAj6|$%1B?C185?>8g&crj9hmIAa6km@q_n>fZ?a5}lH`Ee6CqNE)-1Id~2bJw7mF8}mxSeLOjXH=J z?9g9xw4|#sF9MUR0lF?brXC5%uPSU?W2`ezEzz&mWxBJNgbvo#(<@%AGt2JtM2}gr z@(l(knNd17oi-K!G;%GjGbM(TLA&UiC0r(3Y*#mzS$lzF)>-*8e~>Zk9LqW8p5_w~ zHm&>TbR}?qhePbExgHam+;nXF1O)N>ew^F$f4}WsA^W!5b1XUe_Zlt2JJ*iCq?_9x z9bvCOM6Gn`ajt{SrUN4{vMO&L8LV$@rnPc^1VmDDJq?)_EB zwz55b28U0CUJk?F%;L ztqA&UC_@b{R6ZO7Q7ZXIB3#xuc%V>oj<7^qRyP~oDV}laDb^SV7WAuK0?H~{AY>yk zpF&=g3_$T14nGPKqB{V7YX-V7DAaR4I3?lE2|1Y?@T((+>6;UZYZ*rQm?E^1lSV}R zU`(qO4SiuQCX_$SO_S38<^N;s9il}EmM+X=+qP}nwr$(z9^1BU+qP}rW83Qc_w4oH zy&lxc8q~_FNo8h4?ES_5_g7ft)78mh%d$PE{=tw0NkPI|%LDQPNE^DI{z{zSMS3EecT$%PR&H%BI20!;-4D&UcapF^8C|L z64=>a?qne`=46!98_Z^;wr$61Ia5bKnszN=qYprvC^aG>vPlgrZ&3=9w#ycste7c( zVzCHAB|){iJ4nRo*I=BZ=ZDD9HMCd?cEw|Oi@5IbZF{1GMOyHyYTyFfoV3->qegID ztL4Kyu1W67Q|Z*SSO{^Pi3^5`Ycof`)O-gJ|wq$Wx^Y5<;WG z^a&7A8y46vVLj1A)i7zr@FZ;^*k&RU5qRYjI3|C0^uPRky6X#r{za}6oaLiN_^Yit z3V#(R7<-k8OkO*&+YZL5lFH1K0My>9)h0Ch(W?E$Vy>=6eHor5V(u9Q1f_1SuxNbr z%8f~1I)6V_rY@L9_1ZAH$gD|;dmuQPe%}eGPSjjeN)<`OZTvl6-Z(2|Z8XO^hIwzn zbTV?3*;c7D=3I^5Rqiwo+Z9oz9Yr{l8!-onO*S5DQE3ueR8SthW=%pm>|GOgoVVu} zLNNs)Zj_Yr{JUzdoFC_4?TuOEiU|`eE~-``;j5g`T|R#!>zGyJDU*72v-)XKqa${1 z`ec^^1GR;kg^&_TncKvA<>j|*(ziETL62R+sRfGQfI|I5NN6$?fd@#dC6qSLlv=27cBhn?gCJ*RFqxQ0h6_@b*4 zELmAfhNXcFNU>}V4pSdnS>LOgIkxl(`7On;5pQuh6stU%hzi{Ep;Lr9_1J__rfDeHOXgv>C*^;{ekuv%+BK8{K7!BBte)(2_ni z7PSiKno5Cj6Lq8wlR&*}D}V{e*^{IGLiq$uJ_iM(-76}r>T$6Vo7#ljhmWYSvp3CF zYtL!X?WRZ@Ta4p=-rzUgm!K<(4u-}IDXeA=P*5Cc%q_p};v4wk6D}T{c4H0hsUW>! zghTI8HHzJpHY}xN)+gcZ_ef$2N;m7~mBgo*%mvGx5Q?S!iz6PWIG@}KJ1ev=-Wng= z$;J%pYjtue=S8)L?yj2m5bE*OWvF!=`8_F~-B!cTa7%n3b~4TZH!0Z4pQ#}wr;SSX zuY#Jfai63VobeN_3CMTHFeKBa{(>c6satYV`(*F3qb~FyMe!8tm#Q<~n{+|)Psaye zAVexFo~_xS46y}AFf}UDw)AY+PzqBZWIDI9GbUri`<30$Qd^bB1+E`%{^z=Uuv&{JmSd5_DookNXq_jPq zvr;l~XYxR}PO$yj2r*ss^|SAA5)E5TmmuCX7=hj-n+zvIr?=faf1B$Y_LU?zI4c$JYHk%X0osG1s68oN?N7MxWWZ&{=urnpPOZo~m>#$!rX}A?Hnb=uO)mCFqvGc>a(K}T-^mOa6-R-H~ zyLjDMjz@WGD)|~9{94yA|>8_mM8dt2u*K46)wV5i#=%(tpQMi3NhR;?{BGG6C z(Q_Yq@tqK2TO$62PO?-fXeY;}23wZvdl92!$r)ac3IeSkU2`%bo14F?G(ZkW%LY33zxmk*{_X zRg`#yiEPeXaVvdv+xz{p5;JQ~VuIS)IlHUuj&3Y_pU1gLIl97)X|?Sd9DfeWv@4q# zGra_gmZGabW1@(T9PI5uv=R=%zmLGgUXqF0Sv_Cp^nvqJh=bHMFGBMNSMOcf>vL}K z>jS2^+c7$;%bjS(De#1QZ5O*FTjyvUTwCghO~itz8bA+r)k3z%zdE zJ#~G-4>_^72TLe#e-Em`v6E`ko=N#_C1sv#ZN8-(KML^vy zg*%!PJAnI~z$#aInTZS0i~s{y5XR7vNjOWG3C0jj7$S`rVhMY^A3JrXaf)^9cnQ0D z38D503$XX}dDzat9YF>&VVrkWX&4Ja`^*xym7V%uK>C@9xzWZ%oQ2O#LF0v0te!hw zv-s;7C3Z8VV1NQWio^uB7S$InoPx>F(fPOWD$!I8?W3|KN3Up4@AEm{(W3z(csa*8 zUHa1-s>{^Vyj7&jZhchLPT9-X=UTnw=_C!PoX#Hs%0C;+pC-fakP&q~r#p+2x5pcW zt41!WUJng$^12YBXlm6rJHVm$G9z{u7oR%UMWNkpC2cEpBzrmeut}XmV1+JaBypKI zJ24vn_771M6ZRhSfy8wVLO_|-PkNV6#|3a}Tv)t+lo*W=q{yB3ha;_~%>&d}#momr zDy=$+ixV(SQ+uq~??tR$1ki5$g z+I{o_66CZuvJU3ED>}6;d9LFPD0GT@Y*$<73YjK!hpku*X{xPou>8mBn9mufT_b3ATZZG)HD03lX3kR>B{aTjApc#$g6^C72K$Izn z;Cb|Ydk+{NI7o9PBNO)s8d80m56-b~7oJ|7;!_nF1^H5kBP@hkX0Y3ZQ^sd@jul9A z2`u2`cPXT-Zw!Q55E8t8ULUa#A%jyy%`tmuKI9zeOo86XS==55lN@I7g#Z2@*soGZ zJvLHa!!SP8Hy@kIK9{GKX5 zA!AH5f_yO7PqZVUkHts;8G|w2+_S(a5nD!ozx=##)*#}c3+cLEWKWNW_pE8@i+mq6 z^ZP!pyz2YCUbpS}J<99*y?rg||DHb1@qd5ZFU8^iTD(&O`ezv<`s z{u~^J$@~3W!h65A>GOGi->O&4lQ(X|z8r#+x-Ipgho5ckmYnO!)#4eMyLQ_3D1>ljJvbl10yQ%;at`}D}n zQl_xD{gooiGEI%?GV8i#J10T6mU%2jD5{|gOHr?5ZT+e~+;a2DTzNnZin7!^-H}|V z<5<#ZVF(;^pYS}?tv-WfCe8hti0m+rB(R4=vizjSsT&lx*n}obq`-%)ntyt zJJsSL=a+~w3fm&)VpiX)6$`z#x?Py*{<~5+CoXi-<|sd5+&SV**JL}(JwnwY-S^GW zFulLYQ968~ru&}W`A7k?q$Bvk_CXQ)n}<5o5M9gLG142o<9mJl?b7%{aX8y_j5tys zOS?P6$biYI>w3-OgIRW*dTQlcb0*#*{ddu_+?L6e^<y@&S<7=;>B5gP21WF*Iia!&efc$(WiRLwv3fjh23~B zH&6Gus$q9ZYR+f(P?8&)ef4U^eM}>lhyJ23Iuk1jJ7b(vmX%InbLV!T=|oVKE$?NQ z*gjNy%c|N^d*P;gM-EA=XPYB-&B}0D89H#U?&cw%NZoqnor=5FmFn?Arj9h$I7*lK z*si-FC*?IQ!xO_Ym+ZWE-X>R-@g8p|2gfAmxT2o%|Dur1rOYgM%VnLEp>1|YXGLG1 z`|OtH&Cm>%`|Hs|jS;1}*Z?e67X(zlx8Z;Fxk%?5K~$TIIZ(cCx?SXo8Zq#XZHst| zEdwAUJr;B0pORJNnc3W}Kg2hNb{VaVaxD$3-DZ61rHO7hd`#C_P{mKu*Ql^q%OxE4 z(Jtr~27c_myy;YVW5#TtvuVyNt1gza(@nn}2vrO}vMuVK@``zO_M~^cy zZB3_COQuDd%-OA3qvy0F3(%E6y-$Sx7RC~qPrV((ShYO@mafe$_~z)6bzNpH1TQp9B|B@CU`jtC8OA6ZxQ9ORoq{e&2Td8N_vnc(D{$w>em zPJ1a(=^V$aHc$&VZ7Yx|NTL`#x%tjMwC(zOu&hz~1IwA&hy?pbxyeY2N5&hviC_NN zLZu86BRt{4(zKG-OT=1h?U-saq9WP``q{{~QsOr=fh*#*n&P|Zgvv2%cLcUqW?Mx? zr3S&fpAhJ}vV&x!UCvmF&Arj^5Q_#QkkzM+28-6E+LgU(>I0;dil!!3CBAnFxv_=m zB6TE7L*~@V@&KI0_@yle#kC;iF0VFmsIxk>QT?D)C*rxLLWb*lvjDnh@G4@WU`_cl z`@pe6OFXZmHpb^8zj!p^Wm>s|n7Tgxg>{Sev-WY)RxM{YY4ZAVyImSyzB{d_#LL{N zE=jU19~?Q&-8~t->NENjLJP4Fq^m9`TKXR?-oYz>-@KBA3eS4T$k#?mS?Zb>K~P`ojIT0zxNo`mNyE&#Gc9*2qu9_4 z)2I`J?(CF$A_^-t2()>_vjm41F-0+4Uh@~t^^=)WYHa1Oei@tSpO?;WmDTP~)vilK zTnX8~u=0+A<6|jizJAw;iA<}z&Q+TY%<>)lwO~%m>ix*^;Gr(X9L?!rQ+4i~r#Qi5 z?P(rinNXI_Vr$@UJEU==2@2Sv&6)2X?AqqLaeuzGk?q)&*LW5+_*Mc|O&|S~hDV%G zN%@ot+Q(kriUR{u9wE`ij3~t6oI{uQbeU_MHAc=40x^`BExcSEpFQoP4c9cW*jx-& zg^?iUkg3gfxh!!wsg$I1Z7^pUJ^>lH4ocM%0*Rr4wLdJK8f0rqWMdBq&=qkB^Cz+F zd^^5O(OjPL(YbfSANVLuvqM0*PAg2Ks3uP6BI$b4Ss8>(oU8YZ(Nx)LC5EVGGl;@j zg#{9L+zs1qV|!6#w3y-OYuuuO;9w@j-hKnRtJbxM^ieSGbx2aam3;BfvtX7*J<42JGa4I!L>^kh$ zHS7$JRrJJ+M?2ce(t)BwM{~#G1nzrDfb=}d3|8r8Af;On=;*oo$VD0EHd??OPha$X2?O;u%$ayU z!$};C5F&OJ&vF`MejjU^K8T^K=ewwE%r|RR9Q~mfCrWA_-AL6V0wKDOU^ZA|J{u8@ z5-0_BP?QJ$YrvrWAliLf_gcnm=M2A=eR^OSuXy_y&pqY(eE`b-`|!=a=li(x840Seh9v$V&;j?(?s^gAm)39E)wzA z@P+9?s;SB#UDU){2p{nRf)2)x`PYKBzG+?{9csw02^CKvU9&MAdDNOd(>Pnl+_A2 z=o4wpti4q(+GNiH77MEwAlU*&Bto4rxu)d4I1Jwd5OG&Rd{Ysj@7RLX+`8v3`5Qan z3O!((%c`Dk-w+%qftVg>qxHE0Bryv<9gp8dC~?35fDK-rh@hDp5Y*A^I7PsMe5GEI ziz%1V`2_3W%E}>W{C4X66oOdSq%o>rraN7qLd3TS0p3FMvoQXdpq(B{bCrHlfil=e zMa)lOgaa`&YCqy_AxeZ@zBV5?f8rjQ?6G+`f}ivXBO1VJPv)wajb4o&v=+h3AHsZeWo}@mBYvr|cY7RcB8ZT0CC+g#D(b*97C13V4Li!&Kq7rg?xS}gW{VPS+?^H9D zK=6S$y-FwYpmw<0VAnm;-yFUd-^u$LpMW^2y!cGXf1USybdyz}w@X^HRun%p>R?h* z_`;DL6xV2>lzJAy)%}LVY0J%7E9gVwqUi1wj9iC!Wc8&)$OtVdeU5VS_cuC=pE;O3 zazcJg-(=4qgF^uta$?dH*c}o^-pkjrI80o-2o|;ICLk|>CtFgNBj4i%%c&Q1!Hf^= zA_I#%>jsz6bhK4K+_9VXq$Jq#Cj* zWXEcWe1y_k zj$~{Z8mAvveM_W&?YCnTsmNzXWz!RIyBG!o0=^CydC;GD93%C<^f5vRPvGmc_hVb~udDd1L4jY`opmBSoxkepkIo!Q6EGm-oR_rfGWLm|V! z>`@F!nyNybDRusxJbI%}ju2G1I@e)Uz=ykm3u+>m2qztV%_2B{e`j=|due5n8f1o! zUG(TxC`zzraSn>nQ`{3`epui}2Hul^yLDX3kzl+^fw|j*(*ok+Z zToookxTd6x1;%84#0j_|oT*4dJQT#N#E7gX0idlA!_oErywUPUXc!R$F>F9nfYMYr zF>a6!RBDuH??TA|+f?y{4k;O9Ix+mMV5{C0QoZ&jpi4j_^A%HyZs=sW;(Z2tL7aq< zjoaowW!DB4R64|w7M-@R|3J9p#Vx(t!H(&?OuuE>Vn0caL}zljA@*c&l+$V1XMz9x zX1%&Iy;}yon_%{w{ndk&_c|$c7e#0L-n^-wAo?;8dN8Q)sKL04=Npv9PmXT=*I0YZ zS<~P3MNSD%Rb(b@?F{y9e}Dio`}va1e+Ek|Kl8LV?k=14l7DGg8K}Vylppv`02sL? zk~5?__AV7+{Sx*rhK>BEb}y&(za+bgmER$BFKR9ssXxotZdW;0Sou?;Nu({mHCIYZ zbV5UmFs&9Jv$zv>Bze_WLYUcSI0I#9WnPeFaFGSp>#vUhR%m>$?FZR8qII1m{#}ny zO~QTgwG#{E0B~}+bOTHSRpfI?H;%|JmM+Ueb4_1<5dMoNkyjAAB)bcqO5PLHqKfjk9ILh9H1^8-PaEDjp0G# z*-f;8Fgg{UaX;^9gh6fMno*DoJG@M>C-NJG15#2OXwvGm^|0Xz)GLle z>=SGsE-C>Z$s&%`LCrt&@pf5u4e^Y0S148yoih?Wo~(7fu7@iG2STT7HYd^>1E>#P zf{)DTvk{9bH;DD-`fsG$%wp)pGX6Ac+|On~xlFdU3YFA{7W6k2wP$4Pj7c0_-K6q# zvZiTH@baDd9l+9@48K`k+fiOm!JvQk3u=~#K~HQngz!@Nr&o1ApjZ$lrTHvvjSwaa zPo$`vU7BspDJ7`=DAr-Lfc*{|wgA;SZ(`zqZv>=zu_BvyKdaMMqHJUi)Nq@Iz4XMX zb6Kp4ysn{WB^=`eGPvwS(W8^Jd&&m&Yj65TGPe4Stk z(*#YX8b>M)IpokA0QViBMYUZ?;#R;4!KQjtdo`LkfjOn(<$Kh9O7{kI%w^e~^y&oI z_U^}Y-;pg^8|VlT;8ZhuVQ-7UA?C7NiWuT^133>BVe?J@^zNfGfRG!ecc&67D69Rm zR0Qb;WbFTe6bEw^C(LV#A$KPjSez^lIWj9zIgiO@x`2cODKJ-PcG8rM*bcWUB3^;_ zEg%Q!NZhK-b(h)f?cmc|7@}%WontER%AgdulW$7}2{217K=^>bshH+n#>2)FRqggP z^UuyjA-JbnR}4D}UHfjXk;Ee_S+=b7&LnPgB=%2b5v&_kY=0UWeJM1M~ms8iMz-lN=C?H{>w(=?aks{`R{zy8Fy|~93DYkcuFJM zP`b=kaav>0r=amvF81)@)J)lOduz3|l7MiXE+Zi`u39B;GSE(VFofr=r&*pwf!S8K zKv2D9`B1NsviIx=oR8c{;1leDs>C}H-h25dhI|V# zjYRThhB4sh0`0O@T){;u20J9tn^|HcUPF20*o|j9z~I%~*$Jq|qNiPaIB|(_8Oh6> z7)j_e3;`(7kHq1eAruM#6MOcKc_*~Pw7v*!7kF@vaxzPzpGqubr~vi$K|t)|RVOWhafk`09(fJv@^%ktxYUQhK)tiIb6$8QBUtsK zn?|BetKb4mtFphkWNPxUdpf!aVRlp!fGPVhR-`lj07;rVH&U%GOMY3Q(s-dB@JMPk zgVZyn=-}=Ey)^oWXuGq(OO619q4d3Y<=ntyBAHEj>a}c_>RL|rIfZ7%1Q4f~`{p})4!&xaWUbi68z5{jhVzuX>H)SOp_P!V81nW!qqWh;9Qo);6pWV38bfeDV{fq*cnr%VAqknwSC)aHPTTPA>G^lY!q~R zx1aDF@--yvTrBGh6N(u+HT>s&`aT>PsW3rd9|2WN$CEnjNJ-u^ryxI~P@Ll2D6r$= zmLQSqS&Dy`cm!Kvg|-$w>`tc|tSV=daS1axD-jP*rE`X~EJI>@fg%|F-cvLo!u_1m zLcam}*OS-Hh0FrTg%8(m6qq{W0^e^x^Rhq|zJl-$W1Z)LvF(O#bH9aNS)}ekWVNF_zVnN0^$BS+X@1=Z(rwJHSwyp9Hi(s!~V+uf7oDk}j1 zr;BX8O`b_jV&xGU*;w3dCEy%7G6(D$Hqs&u^A?y6hgof@#2c*yA3N4Rxh$D;Qwgy~ z15uT;kDHVdSvnV54l1wR0xWeD8k7F}x+tR9&%jEP=MH zCKK4jvrr3?!z@@ke~3DBce-;EyNkss81NQ}ok_I8{rmj{PiS$e1oos+v&7*aMGjHnD7asjACrli_{&{`_j+!)F^i zbTAkK=C=EsvFq9KA=`A1el0U6FLQnot@aK0)rIBXJNPpF#qQbg6NIM^vC~8P=Kjw* z%lUQU^vOR~!ukIODY5@o^lwYD?*D<5LT{g`U*Mb9A#FFZ`VAzVOR*q*V4M*Of@M2{ zOFWEm$ou-5Ty<^O-C#=GPa%A!KWCY5vo!Z|a%}Z~U3z)DwEsN3v!_`nkn~SeRgW_uM9Htz@mU&;uC~LH#H$F{T8cr)un5)u4I0n( zzv?uAhN6iCUL+VH&}mn;ta7Q}o5=9iFDHU#h6JnQ;WbW+NNs z17(~**|X)8i;E)bGXd4QSnO9m(_Dl}f!dOsQ|h9&BIl&BDnz1FE(at_6jgtse2*eP z@BP?dfrAZ)Zmw*3SxAyVy#iFPoUPM@n4e4MVwX{1G44N$SO-7LHO5U80%22K-a4A^ zTATD0h`wTVGA+vv2oRBCrz+)TtBf)FSZuY}Bj4)h2~W)5Hy7buZr5PP~vdW<0#mklg+(c5H(+!DGGnpWv@m@p3?nq{P-6P zj%>6^J=pr{0&BI+UjS%syd|Px`SOq%HD>qZKaK8;pUXV)<(gUaWP5a#(;F~ZNK+FM27`i2B`yONKyvU= z&h~a=XICq3UEd?4XBSg5Hz#+leI48Sy?wj5uUS=>^6Z~Oj*l;EL-&WrKW`4re|XRT zz%hEuq>~fd-0=?T;2wH~4=@KW&>G$l8nOK|hY<&O1?z4T%iJKI;1Qf(QU`NBO^tl>e1V ze4VjXX+GvSdb_5428u(1vat|Tpy^W#|5R`$iY(-S6A%Vr9X|@#=i~c~Pu?10strVm zac;)e^vdO;?(>YR_WSVU_j@-a&;Rpwn&+0_R!Y%v2kkubJ+y{^Es2&_jwT{|MR|A+i8?VBuP@O z6ezvf8CU;->91v06>4)4XM>jLvBIPeDP5##yqdv#3!v zvsm&FYdb^dPG`ML(=GFrDd)ySn}U;ieVnM-?Y?BQX2fq=+ql`vDN5;ChD6$8D@9px zzj?7{0Jw40JSkyTLP9P1pe|XPjVhnpm3Oh_R*PoH?|5vazI=_-bz(!DVjGp_CX~CI z+Ph8HZQS@~4N5**+I)L6h&8rlytp}PS|BxYv?QF_(3-p{v%M=0#g@Dt&Au?z6GnZ1 z(VD^|MLnXaJ?&X8&BbUsIWOO8s*3K7e!=Cf5dj`7zW#_c%Gs*=)S=FHg0`#m!c+y3 z7M7Z3w{e>N@W8i4zLkRB0+~C-8@D;8hL4veM7>b#Ey=P`lAt-;Zn<}n@Uc^~6wI0g zZBAkyJcyEM)1xcrx5`2*O6Ou-mtAXAezfC}J-rLpnb!NQsSm zvGooLrWT(2(xR8sVN?9JYCFV63$gx97xO_=cFoF*(bp*3k)FGh>eP0UExqWkce9aZ z7+GzNhUse;F_W`FJJaZ_Sgh$)Rcm{1TuQ?RyPAqqKPxKZ^691${<^T7Ko9)#;NU#V zb9~Y`-}5Z6(wjdD=gh~=C6y6*I+H<~-sBATvOBwM3Fyl7RG7fu-+j{Y^iJSH`UCNeyqbQjFP3 z=+zyZ+O$;}?)fIUVqkhqP;bU;Ap#H2VvukAGA5)t*yDNJ4YsDCo4x&hed3m2lE;~j6%*e>~;fL4G5ohqFJP#96o&?ke@BX^eNzC5?jvNo92 zAA@~3x$fGHrkN4mMIKU}a0W)dzqv^A3VZyLixnvcfr{m%lic6mHSZ;$+H= zamPC#F9Zzpk7@R0z?H;f~gs9y?X=tV@n5uoi0t z5e})xn{E+>jFY(l?rUj(E^%4da1oUAb<;Op9Wj<=a47a$lwyvRY5B-h|*0!Fu-(!ubdGCTY#FEk4OX2 zAPw0Nq!8^03$9tk%n|f#3(A&dcGm?WHC?A3=L5rr*$-moHT@-m!losiWv7QUClbE% zH$rVTT3oLgVKXQk^{=nVK0yF&w%Tu07ampdrsV7Oj{ve;61;Ae$|Y+Z{#O!ZA~Esw z)a#?ZIL0M~cro;c&+P}>mXAz>x@r~Y%H>xm3bxn2T<}!m3E_^aGbQE$D(=@erWmSf zP-~QGtHVGB*e$okIL=j7!oA{^Aya_bM|GdK%ZptwAq-+09QU`PMH8ga@TIx>0ClBr zNCmBC8$&C1HuFO%ZUIoBF`^i-!3ublF^F}G3;3s*sf=Brd0{wsw5+9(s(3H%ks%W? zvBI{9#JUNv2wgox=M->8`plfDOf=x2Y#rDW*b+dtmmr^~C?2qJojq}-mV}-t*~QSA zpI%Q5eT4M)S85#WW;gK|NM!3ehmfZb-p0TtN4~WxqO%O`XP(Add!FJq>5t=ke;U${ z2i)_$f4}{u|CnL_N2I?$=5E~Gn)`Wds@wH>KdE}%xHZQ|{En>xh>jR!Y;?R@3{G?a z+=1tcHWT_XLDB*D&i#}v2}qopQZQ5cyC``un!8e3t4$ad-cK@ObG;m_<@m&owgwag zI)*JYH+q`YBt-u1dSo=!i-T@pz3Q(7kwgN;lV3WyyQXJOW{j&=>6DHB@OR;lNmQ_-7N>^)^b=S$9Dyyg1d#-ZS(q$hzRm+F z;>d`R#1WN|1Kz-jNa-bGESYiIc-$&bs{sY`RIM% z)o@cAUNvqLB_|g!;uuNc;j^b;!3qpV_yM(`(1~l%SJ)yGoLX++JlSQF#-L#-2y;mi zHXX|)c=8dQ8Gps)V#A-f2gF&t26?<@m_Qv!3{xHb4tE?YiO^jk6b?Hm(9S%10JSNiFQ64$nn#fSWvO7!V zIzc8M#}YB?@zMs#l8w<(;d`&X2&Gp*6A(TG<;A|?u(;cyxTZcvLBEO=$QVGh$z1Q$8|9ORScf#yZd>77|_ z+fMY+f~rmIH^ULPG|iD#+ufm8ESbc)Y+;#mB ziXIZXEIPV9t07^%egl#AMNck~k!i*8$MfYQXd} zF)2b=i=jj22em+sxKlz!jO~*B3~)HTnpAI!LwV<$W<_?r=YtDXH~Cr!9k>BVD#|}R z!eix^=rLVt!w8IIO`dG7U~(Cn_A=AW$b2Hr%b*P)APXVbQ&pL!zwBQdbkl$pc8BxZ zps|6WeNr_AzXQB0!iEoY#MoHFzYDu7aJUH-8Kz6* zCKkmSn^X?d=5o%nJk(q@5$*zUtRNg368cFUnD20bSlx8c-9Vbghz{-ydP4ieZU|%m ze7^dgz0T718?a)FbX5D6z>!FVTkAC-yYBeD42y|&Mt%$RkjiiI8}kg>34vS>v20?*7l=rX@r@wCgYt-$aIs(Ah_K-|Gm86y67$sDT# z&2Hbbvz7G#hBH{HzOJLS6a);)B^P7jja4J42W$Gbjt5HU%bRFKkWII6OxW32)vEx6 zCUe9Ap#mUaNNb<#{3mF&Mg27tS8FA+0CN$H3-xX7R2nYOTVzb{y?jCizy3v~w`Ttq-j@IdI_U|SE#Zh{Li;{srU;l587RL*27rBIE8 zY71m5tlM)Ko(5R;P8fLHgssVn*_)fF>!6H+7U&^$5UdZ8sFuRyMJzZG9*b^twifv? z4oz~m` zt_<})qr-pBeh%?{w!wWa{u|$yhI+qs;XViTxvrY_j_d7z*5N)+`2QN}`}wV>^{yE1 zeTDveg8bhry*RF_{CKXU|6N)9wL`u4=3zb$J~%HOeNOA_|L-?08R~z4^IS3Sef*op z0`Bt%{6BL+6z1STTmKU9s{cO{ssFVLQ%Leo>^2)r$n7`EBZz3?wY(FuKs`kSfvf}( zp)f_HQ6^kxP^n~n2FmqT+N|ATRbi;1{(9oLGhgmn)6dt{Mpc)6pO^3JH~XCYtDm2T zUsvCDt^KUMJU-s;9^IOI8UCyM>#2JgJNz1ZpT1oge;s@tU#{L)vkjw6DxXTL1Y*0z z!R{eV$>^w8Oi~=Sn-qf=;i_Sd(clJh*g^?ntLsF=^kO2GiYnl5Rq-aRmQW4as!t-} z5}0prCBH(D78A39w9X$WE`trQmT9#ZP2^z_r59dy1!x^qz;p&DSWK&}4A{a|K&H%! z+alW3=@GOOg94!(p2R%rU|zVY2C}c8;O-U7>_1G9!7)_*2;n|64XH0m%3JyD;}pT-l|{T zfI*9C1h@z)Wkq-A<@_5u0y|C@2Yn72*74X3sd$~ke%cYLS$PX^f-7~mad(LDn{t<{ znXl#YA<9ThWqR!^OHD6LV<{9#p|gRt;Y{~>hT;yf-5rkng}V8-%4s+tUo)^MylEP? zQNS3Rje-N<%s14`zqo4GRnSwBvhTmIZUg+J#Mj2_`K^Nc-S5lhpevu;(||gj4wN&+5GgXf%g%*s zcZG~x?3Tz{-HE4nzWIs00TXF`5V}0|nap_p0%i8hjD-()56|BmRE0*c z)q#%zMuo6EWR|%%95G6u`h1}@9(3_S3}(rKA3bCQnCX6d`zZCzz?$#27t z%VwYN{RqC_Z&+RL+r{he({Y*o?`7Dn-_J`{9{=yw+VA~&7=GXHd)C~Z&&Sly@m5{m z=hG5=p5NE;*qryrP1f(%$La0wcUB(XcZ%P~`BK{N14@<`Etfo-XQ*Xnh39mSlLc8A z>o$_DF$^SeU9qibFRIKDeOqaaT<7JF<%KYY4_;as>(o1!epczz$)eQ~r~3!hZmn5a zj$DTn8vi+$_4GocuJZNamnHS`(O2~-rsTzgMMLb`OQF^Gx0O42xGU>Pp;d z50`b0e9qP|fgJ~)-E~E&d0G~p{pXfk6giH2x8=vGMiK7HIA2*9d$X#mwSEr&L57-> z6_t@N7qJ&LSxx%2%ubm>)uWg(m-!2_=F}DSH33yMTbZS@8I~cBP1kkh)SI1rcC^6} zQJpI9Dj9b)KIges>6qD^*E_ouno6phRUo7iX(kI%!r=jgmz7TcVK^W~VebOH7$ zjX7<0YRlrc3tNXF-YOlJYFR>ew6rtnX{U4DbICc(NcXM=Ep6JBF2g`&oO5^P3J${Y%BIv7yQWNNfXt*lCkCU?h~Fr=(pQ$?v4bG_3)PBT8Z0o&!y40!GYnU&rixNEP?`CuS(jsLDh&AE* zTWfUY&;HEpAMLEXz;Y75?m}k{c{ml$c0+OSbK2}-#k#oH5enEDVsfQh9>It2hB@?{ zPFI{|EW^}SJnvMd`=S`*F={4TPv}u38Re-hU;3(h1}7vsk;#Amz;lhQLUAlZSD4M_ zb?c+GT)|!}q|5qFHi{Vov=I=N~Vz8rY@ zD|^-?vMkyT8HKddS~q6f8a$cI%81El?OczhE<%BlYSF_HT4Hj?{N#mcv$p2R-8H&N zn2BHgm5qPJ`veM6wTqo1l;*`lT&-qa@WhVqcDZ#Y(OC7|s%Gne5Oyti#hq1FoK(k9 zhRTJwJycB%fq8ep%=I!B(t$JH1a&x$ja)_LkKO{k6P=WHT4yFNVDg0QrA@%F)Q`+^ z#4vTu%tAvnL>J2n9hYX7J%~AhPvXJBf3%$}sg@RqQF-Vx)QBriOv26u8ZEDDsS(DW zT`B zw$g6}H?PF7N9@TptjQ-c5Iqa{07k$?xb8%%H6?Sp_D;pp$Sn9UrG|D<@fq7LLKH@0X@Tmab$4-Q&PSz6|W z|0+$zfVyND^0PQYADu>1)(rvfeJMSpe}XPg3j9Yy85HL=t4CAHDjVU1rwQ(?SgnoK zn^)cL2Ncw_T1vlxQ%D6%8_)K}O?p5@gh>xaTuD5Ny=&uoYHRQ)$C)tjg;}unZGZ4M~f5CdhzSKD- zpg9^wAc#Y#(0>0O((RaV3=wA{{sHw~*5PbbHO6`@CM3B{y*w7!Vvo&h8AnR&w5?5wF_b(0l}YqXcVN^#|3#k*hf->8 zDYil%W5ew@VQy3-p48JpyO7pC7*pW3{{#Iy&f1O42)}te0{MN6SuJOA*1yp?GDj{GcB8wqGYS&35$az;iBkHTS{`?jCF&B;X zY%6e0P+e+Gp4^`N{F(abWGc<5R_L>gE?6o#q5~c+LVy+qZ@!l!V-<+$r!gO%H5r*H z3tP6=tMQLOowV5>`{_7KXRwOf!F7}R95TiDsDlHKr$AlcqMG6xbHk1&#+!Dd<}qT& zuF@c^&!W@LL?JM41CK9xI%|!*P)lU^%*c9uK@OHsx*X`%9cTaTOp+;)YG4VEFiR&G zy*DU`t878>;$~Kgk+^36f^p^!KVlS(v*TbtH>? zf)3dt1GER~k;ph4Q_QAr@@o%Iz=jXRA0b#NEhrp2Uk0d_KLW*vxj`JD z>QW8#=Gq1w2UYQb`jol`TYX*(q5#J=D88|PGLESb6<&LZ;Lp9n$Y6LL9QJRN=Z&o2 z-_*oSrlC&~w2xmDgd#&lh7SEmS;TDAYRr+Ao%%4B<4lYas!I^0CLjvy7{=S>$T9P} z;DG})O}~X6mtb3!sP^#<5{nXEMuNa!KpF$m)2kLZFo)#mLNNt?1VFp92goNisVoH~ zR^rUfpFq>|QRzC!tb5R{Z= z$;^g`h3sad`se9Nwp8T*P>qwv<|NA8J?rAaUx+74{lIYuM-Jvih6YYSAlmHyk^p97 zLls;3OgB|`O!_vef-`&5M~n6dLxQC29I)Kb;lL?(g{7K<6Ynv_@R$A_$gnj{?%H{g zZ;+Njy=>j)V*h~5gt`&t?iU)5pH0grmZLNly9m+K_W8FY5o*G>cThr>CuY8L=9b|Y;P>LN8A1O35 zVk3CA>ebHAh9@(t&7VCF4qa0P+RITd_}$(6^p}FZFBuWD$*T^b#o>IT(23&i19pHM z`72x!Yp0$K`F5%-7Fc6j`jz)0^(e?){xU`3FQN^X8axXNyOzJTkMM#t{WZ8#yG2=p zDw+V6Cf=zXBzE=;;nbKOsP__H%x-)CNm*t5uIv*sCqKPrZu?kK@$eE=Q2}E1op;4EnxXje9u_w8)YK=8OKmfa%6pqIBJ8F=NFpJD*GAq} z(0pwE`r*d3)&X;RQrXbsrAl*1leJmUKvSKfTOS`cbPytah;w>;+d2M7`-`5w4k6 zQPO5oh%ms8On`vCns2=KjZlsGoNGBczLLo%8W(uSQRr8M0S|*ZT5)vp{kF2BPTPJV z7^9`F<;Enn__gD6dCUK(ke<9RKfMIASlob^f;Xm(Av4N3=yE0#g+{=@l87UTAhGrB zAm8txQ*;0kklP3jF{5{f6t|?MBjzAJ4;AgI(!n(qhJrgodN5~9puPYkr%i%dO}-7` zF-0qb5bsC2iSADSNkqMBm&H=F_9sX4>#k1ilLFU)x1to6BOAPyZXqVZFjFi+bdq?P z;A~q)gF!OnLA|5mJu~|u9NWw}fNH1fU+` zH?Sy0r1Hjw^t7hHVWwQ284F_@3^!WHHJk=Ka|PT?B^cF>HIOP_nr445z}InOoa=L4 zYBon2qFgC5WM6-(r2$6+t9~87R z&MGp-AsNnPXJCh0u@PGr%vD|p9uBCZ|45QU8MGncn58>(FHL*m760W2?j?M%4ZMLu1t@e2Y$f0s2 zJwAA;Zf&_U7&w0CqZ}K+S3}+3(7RK!Gg~L&q}!&VNccMc78MC9C|Bb9zy8~rniQl! zMd`P&_4ogWu=PJu9<$~Yt?`)Q0ozY+7*B9R(JoOP=%(+T#wb^yHy)L+_6Y)2->p!`NZagw=ZN6Jv5nUp#d2acx z;Jb6axoryA*&|&{zwA8!tLo+;QB0Fi>?KvqOD)(#r#hf>wLtw@J@s>}Ov04u4$R;i zF)mmr#E}z$iT}?L`B1@ujw%0Xmkt(}8cmc@3Ndp_LqH@dXnO|K87G+1M#<+VmV!yL zzf}(jOk^6SV}!yNf@$HarJB6|10lKyeBB=&=aRVF1z2hvXLsTy=!+an4Nkvf<=pR~0$^(lkD~MsHg%o+M-~dXtiiDnX zdIIK7_!El!R4p8MNv_H>75JLPR3Q zDQ@?9Ji-SYuL~jWIEs28O2CWAyYMwL^?IHZKYa?0b8Ef z(aEq!_yW6;(NJSr7*wmfC51sUm|*ul8cu=im@Oa7CjL*i-`wxh z9nV--&yHI(ZN4A)&;O5v_aDi1 zw(}cS8O-10y1OeHCy0UqLv49j(ws`{aj~YL032f%-J!m=;s#6iH|2DpaSkG!Jpn#n zAMWS7m6gF4Cr?Mm&%=vdFF#j}^^Gf}wT+A2f^+*SJ0d>@yC;43ExX63&5u?Ae7mMd z;Ks*ZKd@BeGVAAqm=(Fp{0uGYJstl!JsHQM5o{))leJA=LWSlrM$)!1nJEoL0}UdW zD%VJ=N43C4qJmfepMSkU5i`!Og8knp!j&>U1~sl$WEx@A&x939f7-U35yPF3 zI-#^Q5%k*9R1w6`YWH(VCUHQ?vx~>g&+d@0uBMXB#m~yyLpPSOY}YnhWY+*!!Z03!I)^;^Fr#jx5QOCZT}N#Yw09ar7NI?=%uD#yBq=D~ zW4L(@QJ6U@oI2yqNt2?)aeLGVV_Hh_$Zyz|uQ8-trHp^|%(=Z8-<-W&*68x$U%I<9 zmXuA=ENWv;`psxPjaM=7 zRF@bq)kT$oK)6CwEhc)qJy}@{jTV@^hk{V67P#<^WLR7~*1yj7`jmlFm~8oq@LzK_ zHZl(J2><{v{+_o90QdlBQ%6Q)8&_u+QzvKr|K~)XnT7K|!tsKqX5|8z(EWFQXXncs z5ZB4tC$pWwB7{m#ldwlKLz3`(R{l^S&o}nE}!08se^+J0O7NB7}p5lq) zPpub09JynwVE`E@Xp9#Yk25+m0&=)@WW0DX57egDMDiR7G-$tAD(&C>ra3RKW_<2R ze7)Qr5Ouxm95ipOf1GVZ-YUO5=wR4e<}@$+`F+GbU2T3&GhX?=4M$dg zk$TTgQf&MXSYHiDXs^l(N|d6`qpTD^wF@U{)kt71dtpeDBKg+`uEhwwC4HJ<2Gs*1XuoH%u!-S`>0mJp|r#*%xiLx$&4~Y*oE6w#pc~GK#Qiz{bnD6&>&8>fFjY zBf`;n_MAkzAR3><>nsUPD`ev=Fa4~aMimqZNdBWp%v_YuzW>bbyvaSM;i`*sv8}l- zeK38gGJ=e9M=4tJrDhzL2h3y|xpTBegGiWQGq`3*wwxVDZ@E!Fz=Se!(%CkTIx7&B z{CseAU$=4=_GUQ4!&9=O!}BfV`T1h_sk@U*&)4Va@ngEX!;`|U30K1)Uh0Y1+4#$8 z+dC?O{9@=-p`)JTwE<}vGRLMrb-_an11r6vlG8SLQ<{~b#8$IHPM2q6?^NTLoGm{E z7#vLnIo5?01(WIm33^5#erEnukOJzar1H~hKztsaxh%qXml}?(MKq;WRfg0QDjUfd zpM@>9ve(v|#rFc_RjuoetE{WLuGxteuA#oE`Gms8((AR-(XI}{dw61|aHHBqjDA@K zS@V9`Im6G{l&;n`Li zjw0}rY%NNqR{muuam!8LWl!G1K&KmdQ)KgzLGo`Z!FJxKVmDy{GbF~`)+(4Iqxw;p z+%9^HlN!~VU9`*H(-tNAbkJ&aL$-CLxc9lj@E(&bP-trHrHPVm*v3y=AG6QX+ts8M zel;yb)M+=e)MoHPXxQq5jW-r((t=o`1w~lXkWbgLSNc#Xp%wIE$t~0+YiTcy)q+%6 zw2tO;lD4xUWU^^*XxnL;K=e7?5!-xHC3~Xc&p8zb8`PHKg)Eb$ASY75C(KoqRMJ9+ zBmH2M4$4{5R&^6J*1A|dhRwmw;G3BH%f>uS6^7&gUwp=)H~{8@3$3u3Drrt1o@)s5x+^jgjMspI!@)9w5F z<8bh8`3vEh&>!kN3I_}Q0ZlZr2`bD>0XY$&yxX5jSMUdAn3|}K2e;6GUSuvAxL0mT zY{J87crB>M=_Ug)ESiW}fJG-%d{yRIaWf2Qb3gg)eOVC{I<3r#)>h(qkt{OA@P2@_ z^}ijyPsAnLq?F!EnXHkYf(v0-AQ2QJf{K$twh*i5>f&W-#JhXj9L8%wcs9M> z4UV2ZfOu%uT*`DiBA{H!9*^>_YJol zDGr=rnYZ672<}PGU{Rr71}RQ`z>@hFXKcRhW(&nDMS_WbfQbHCj)WJJ(CmYc#l^2#wk~=Aa@;#2`HjX9<>U9FhG_Csm zZo}{qu2L$NEk%xoPK9w1Z4s(M4OF?oDor3Nl-dK2qp7nb+8|N&u$eXNRHuQH5{+P6 zS1pSJw=b0HN}+kAQGFFHTc=5qSgqcrQH56$JykAyHZPR&h@)Y6^;V_9ElL;+RIwzA zr{RmiD@_2Z%+jAfsFjAPfw0mbmnN+2Jw~a3I3pl-vqp64!52MZXba;TOEGjl=);*cqm@v`wBaiOfxzw|(LvCS!suUy@Lc%V=Uw}|& z#4OeZTwNpd#s6al4~SAiYYtkZfjckYl7XDS4;LB}Vj%B(PE)`>XSeEFtdb-F^K6wr z!5b@?WfsJRmJg+{JLZE~yu^|2fuqcL*r-QR(1F9w4VU^SWn*>gFG3Ba!2N7=H4~t- z%S52^I0mn9OS{En8==j=ZxRZJ0zrqxP5+13Y;yCL%^%&t_j@zlD<{SYCYczC@JZ05 zvc~YSlfim&qF~#6C0sSakW?V3;7)2#nR!#qYF!(Tk7<47D_Z6wI8f)O1$m5@i=#+; zoxJxVoQrE<)&XeXB#Ds@qK%qNqZaNzcsMAF-Yw{Jip$<()f;UB%husy1NmHSR|i3j zyG2B+UvPaazri4OH zS>U{lrqHcX19aLz1~h`y*y1+NudwlN)HWf2bdeutqWFi*3Mf9y0}{iJA7VmZB|^S@ z57P$SZCZ&|zko|_+6+m!_tQL;Yr+7y0r>0+*^hcO7gOCcu7}w6WdYk$BL9o#{%4A65ba6D z%_rHv+(mX7v+%qCp}t{Vg&hd{YGB&0$Rt4`|=c?jZ$ zL2PlNwPv3KwtbgCHx_h+Pz<1z#f|^uI|ln`BSE<==(wJNV)4@}0A$Q3G1i-EAl6Jo{(yg}`5KCm-_zB`t z5f3X`?Oe5TV(tJAcr#K}>#8;Sp1nrQXbj2n1!_CEQj=8|V zQLFkM2Gqp?*FSw#?CSROZhJvyJFF+-?90BTtz~cN)+({qRcma%;*+W=%m!G&AU-9n z{MdPv?CPM8E9qx0!j|76cui;58|K}vuNj!mk2Qg6*;q!Z_kh0d&@D(}q$x-6+b!*5 zo}u2uf_2$CgWLO`S@JT&UB!l!{V8#?Ui-vu|LR4(?V)+wg!#!5fv(w&O$N8`jM-<_ z|7r3h-heeo!nqS^2{x_WUs#liaO94p^G!G~X@jroJWe$sovZul>Qv)hxd z88btp_Cv#DMcU@Cj2EqTp9Rydx5vdN#_kV~6ixny)Q9%!Q@@dKqSsl@uDX7AM|+^h zfl8&>NW^5EQ)DkHI4Vq7Xo>dJU$1@*Ah+ai)-$PnL(UrGQc?D$lkGPD`Zg9^NcS?g(YKC89G3N`Mmq5tLgZl@elsx^uF4SWU<=q?rL_I7dxr?>3+^F3jEW3A6!Q z2G7S!@l-*!T=%`pYbYPVO-U)*-Y;cfj#e4p$w}&3c1*|BN!U0&>XWzfQ2}2TWwXS< zceQ}!X$chbLwI%!j~CA_P4T(2Y}VGviALh(>^zEm22XtGePiie0}zey$z|0?UfjE_ z^se>)^j<)i&Ql~O=u-$p%YJkjV3o~!7g9a~EG|FgzMf&J_y{e22mUfMZHOetPPG7b z%N*x&5#BnAlas~-@n)%oVf&;oq^hKnHEVLDW~u!OGT(c(@aKU>)V0%jZSo7UW~qVt zWvTZA&~q(;JGF4GityHT|Ey)H{I*((6m9Y+ECcc>5k4mhrAe=L^w_GTd*HGZzfXCg z{&&CAEo!&7mj^_?E$yv=DVtqyH_VY;9uFz?rTWQC2hIWZ?vGuyVXjT`hxBquai$|{ z1wM0AkE64E;jYuIt)gZ{F#Dm8;p%P2o{hBx@MXo@6Os6N`%&~aD81+IE!8|rxQC{* zFC|%=7}wQ>0d1>OkC+Zb3NZ?gbaliAKI?eqp>WIuFDF3C;R}f?j<9N{!dkC)J2U8j zHa8Coy$*Ow_jdjkR9eGK)~?t3AzMVCqHHt>iACEv%jDz(}$9Qh*v);nQVUkr0gA%tf?PQiJeKPB~u$cigQ)1f%UYx-Szt{-J>o z>&A_eiR4S(oSXQ-MpHPkLQg!tN1KLRJHvrM*-|CyFqqZUPuOsl51Js4OSW|DT>R`* z3a+aoHUsnX=Nb!_>@&xaY8D~bSwZ3@_}O__N#p9j<-KYACHs9%O;ST)sj0J0Q`yWj zgkN&VMY+u@h=v=U2)=0xBW7+gsP=sK1B%F`Ks0a27tj$LrhfAZCQA~GR*zx!QT{vd zcxWb)4Q9z^`8nNdK4>JqaUpQ?KGNN7sMaSsD4dh>)I#ZEY2zK%YGy9!@f0;T{bi4a zx(_<2#eyUPJMEQupmjwPW;yv6{CY18&_JBV>K~lCV9tvuZ$(&GO>1mi=*+u$GfKTk4Vb!EyiTC~AP& zlL5s$-Wg2^rVCR2FM$g?W8kC*oX6$Sc7apX7`f*ZwP#hzBOxq*7i7-Eb012+c;L_F zZEO30>L1fDy#I6pV~GNgfY=a3<<4R})xGA6hBavkM{YU1ob1nYB+nWrS2%@ZDgsTz z+s>$frLK3Zh^>42#dQPa!}d}Y5%|Zle!_N{SzoO{5z+pgME4do z-S?b;MpBIQtXW}0>BZ{&i_D~b=?%xvr}&&4rX0qC_ZsCOtzDbihp@~TeYzY%h=Xib z9K#YxZarny;t0#BJ$Jb9fNb3YBL$;isuz*Ye&w*}G@hOT>A<1Tz}#6l$%=p{qSsex zHhGc24s77Q!CBvGxlS`)%B-|>c06PS;9DI_l3Q<1c~ewr-nmVtYYPNO*;w9nz?1E- zRkT9p;@2G-Ag|F;tUbeb3q)D=n0u!Tgil}BOc=3r7((&?rO9!0Udo(e`Sp^K&i=H` zx?E~Qa2lz_;KW8{WEiC{bHH;1IuE*Qj>lB1bI?N|`2eF)e<`C1L;YtfY2j`fP zJoRR28H7c=w*YET5Md1msP3QRR)Z1Z19&cj%@An;#v1O;rUL}`fS3ST*|B!ZlTT!e zk30zCb8Wy}t8`i?3w_oRrhn>Pt@O(83*B}XYSthd$>b_r;T&MmJQ_W~hLb*PfrGwN zEM5CulVx)GfPU@}6VUOkkW00hpF1tJJTZpr!M8teg6iS50X=(t}L=yTlT7VeD zW|4f3@i zykP>|PUVXKZx0#uK`k!H$Cph2Z;z+ciH^K8ytb~qv!lGT&L&YKRwAPMG@!cdjFw-JpgG~yO>DmO{PW)N%4#70`^C%`=H_L4-noWN_WFoM4PurAy5}5p&WWX!?G_A|)_ADJGl$Jdt(zi<=F zr`TJnrNIOeK?ytK5UV-1HyzAPF+H4Z0Z5Q5kg3~iA;@44i+``ay+(^bnu12G$icNE zpnWoFa!2y|Gpq>$2y((=9d!GyH2Sd8JF>VgYs9^020CRcrO8xkvD=!+!9dSSe^Q&B zQTA-6iiJN*M65pB+P9h@?m9#b{zlw^=uDH?(Ky|;=Ubq(L+)ae&D^c7GvN!>-)UVz z%!?9$yj_1x5W2?<Ho(SWF$*idM?PeVzSGpjjE6~6nl|1%z-0RL zyr_Yq>9gPO{}iY2uD{Am^*JipvVO?ye_+qtWsy2Ps-GN)f~^8C&RY`D2UVWO$z}62 zn#gvRz1U&QcFy;ha@2aCi96Ea+`-IAe}0%7$XRdWGPeuf&>i-5R{r^g3tU6{mF|QGgIvebcEw$IU4lvYsf zdV^QbRp$yC-x^>WmK%OaV+~=V`jG34*({E0oZ(+hmq+fXC~09iTBM+g#FOiP`Y{tw zrlEt?f3RlJt&0wRn2AivHVSwJh>9MS{_~> z^0_89*8`ETSQ3i*ar(~G-~mE%5z;rwA+1EYZve|a?sk05SLBpy=0Ppad$RbKExsD5 zwZT6ULG$vcTn=AwL-S+GYU`!jcbr96b5L9*HX1U5tz|fPF~6wUThje z`+d0v!9FM_>l(g#AX@Zly62XQ$$6MDJrTWRy|~IEcNOy^uCS6@&yu9;$G#AUj;T5I znyKH!Np8=ia&qap1dBQoNh$O0G~Ah^KRP;N_$h|0KlfU-M^{2qHm`XZFVyK z6wmqki2ZsWC;EKf@_Rj4yPD^Rc?LWHghMGF`P5h}s#m^Q({lkV>5-+`aY0eqg{gl*TO1$-B|KRdO4 zc7K^~l@PM+o0-knu?C)8P7s5eQLzRF?Hk0i9?Y~D=UO6${;n%dkptfwn=rsTn;=G9 zGc}t@P7{JIUjX%F-FtS>H-|jk>opiJk3{SO10@{?(p+iZBWYrBZRxKPJiJ&E5(3=<+B=*@OsR=m6o_n)TZ&7` zjFT)Jhe^^Sw0wG?a+DRt5o=^>?YZ$jX$_1T9Gk7!ydJo`(4EwDs1sCQ+mw-9VHl0w8iDdev zOhGS)0Y2)0+L}MejwtC*(ZIDC!mm6P-oRz&)a*PV12rt;V9NxMTdNs6jVT+Hb_78M zi1S>)mTU1Q_$}+`YS|@5~$+m`jU0fA5!&Kl{#>7wb zppw#w_+<*Bl4m0LD8(x&6-hGV@LuFbPw!u~cYm(CGU>dETpTQ*iV3*4tR7y`(Hwaj z6CMd^&X=i0=VL%hf?$@fwaCS`BRIMF6F=BqiPu-GK?_IlIcp|Ae3*Hr^h1OQY{+DDJYXoK-0Xq*WEqgy6Rl@m$uf<*ra`|(od%q z;f7)vMs|L$Jy4@dt2HTXHg5T(6&&*E`(K$jqRJsM`H(utN=#E`wf5J({Y-Ksii z?OvqH*~o|xZ9%$#l<9Qs$`_LaK`tJmaUz!tMs;(+Ai7+ zoyp9HjJL>W!#zo*8BDt9hHONSVzkL=IMA9bn+>~`+6;zI&T%8Kg=&l~N@2O@$0~L1 zZ|@AFWPtAEqa94{xY{)4*Y}x30?5pd z{0j1BaC}Z%cIo3tO<_OdS-d8xf|s3!R*9kL_gAnCogNtgCEu@=Zg~QFpaMJ8S|Z3B>VeC6Wa7$C!Tb!q{^51 z!XR>;n7{3&J&z;^&&mZnr)qbDWA>5t2laV_Q#GwJ6Y=63@jE_B11O}Ma43);9Fd0> znS{{$!OND>?(zy|&r{_Cato=BfA8XC)(>;APe&+FWMkz`or{*NL@Kx~7as}-rMHtN z@->xuQVBm$2(Ki`P8LD?94qw`t}W=aEDX@5r2C~pR>D8^#|m_eImAw~zOVBd^Ot)L z={AD-Egs_B$j|dS*QZ}Pv2M8DO%yD;JY|??>&m9&*kpT}v2T=L^h{S4x39}QWw1^E z3oSn}izsJ&$IP*j+jr*vicCj4Na3J7J<33P@Qpm$FpQkGk?UCH^3848X&AcuuD)aS zjl5mRr1JA|Hu5dzzS(j>?dp+x(lR3Ds1Vwl9F zu;IMJ8~dzHED!yU|>1Q@G`q~_ zwlVhHM*e|W8H`KQXeS73Ke&$kBdLC5oP2Pdn22Gw>@5UI;iZRYFUdL+k?4DF28JdJ zNips{VaLtO2(`QJ&HT^EAmK!?@DPrVQ~!9?dv-5!3MR+MU>9i;i>s4r2kkIu+K`*q z7HtQ37`b+E{bdhHacc>N<}=+R!fR`g&qfJ`MwP8^Zg4y1^z6XIhg%}lm}(~QhDM=RNPH`_1s5hr=0Kp{S~p0dlj(EW;v{y z-2xY$Zd;7#J?FTQbco9vGV|wB{YT@%Q1YG#ZIA=uF^GuB%qnUqYXT;*7A&ZhtN*HN zCe2j#tBG)^I`O{XBpNd7pdHOw@;_Y^jFmbe5Rnf6leC&^ZX#$MQ3?ht7m`JzT_Aut zCfnB}G$xh+_|9Q!f*M08QIyNtZyp`wR0T{}vH)f$d-{ih@v_>t7hV&caG9>U_U6Id zkZdo8eMPBP*g94KCF>K+!du;+D=^a`^`m=8_I9D!ZVZ!|oa;=qPJSxP&{@_Z71`Jn zL(;_xng*qt`oXx&3tDUOtncD*Vo*La@L7xP;GM&%cES>{(`e2-^w!7mU6mA2T%jJ! zD76e?a3XB80TU?2CnSq@Ny+dLVL{?DEdqBtTERK%kHeIw#CAHI{Rh(PQG}C4lpB5W z#ZdO}01?IsKkK~dwWXz;;jW1&i*s2Q0CQ$FKiU=FAqBZh%81YB8kYWYGvu;JilxaG3)PsSC5W^UHhpEKX5pJ!scBFc2&z4!THF$^SqBgtaj!q&_|2Za|X-fPq zi4x*iK*CFgIDQq;DV(gKEWqd&5}US@6wydXA|xyz+i*b4zKTH5tOsyQ$F$35IE>0L zZc8DGuqiEv>&&xs6q}}-*+H8%;_HYLMvk|0O!tnmqRw&a8-!vTn1arSgxsZ5rX($^KG;^$VKRtMy zgjBO~#F#>9se8OHIWQmtzlA!Gn$Mgf29^rE#H`W|qw&u1Gz@&UFs22B2~6pyzvFfd z(KTZL4J$E^tySqCkejT*u$pap#bD~~`0bxN$^P07QbaqMF@cc%z2;z*K;~7HRA`#H zRTw(iqGLey3@8uU3#Vjw-05QgZxmlx?d~^eRv>gx9ZY%{X|>5|rI_U0`r_D8rZ^c> z_>Tky4@Ux-w1tk4U}344f~B+~sSiAkzmP_?#TAn+$F4zfIPNJKdCA%mlw1RaN6eWe zO5ig|<(5-)QTCferPYA6rM^|9Hliiyd%h^7=3%O1{q^nc`=QhMC>bX-0yB7-fWyY? z)b8|-Lm*3-hd+2%X_2d#pr2GSbuNqx^rcC@ue6pyv_quFmVlnvtnMNA9k2>KXEy{ieuB->#bGfn{ooBP}f%mEDi_$CsDgRU#VVBzM#{NWrtN=oxGaH3lKCeWezlc8mEojK@2?U=SP!%dqKa znC@mhe`iax9Ng3@26u9!A9IP*PltjUakX&#)N2Tv3E>l!@p|HLQUQd2CRiCSDw}GZ zw_!*TIoOmD^F~33`CRM8!a`;Ee4l^$Yl}{x+k?riP#v}HzY+2Krri?hsekUo-%dXz z33Qjg6HtG>N8CO&kLkO)C;Bzj-!_w_m0Br%e&oMDXug~cj|o&6V?Ea1E`QhJv~x-O z_56+cd4hDE_M30Fh!s-5(2rC4tE;$=yOPkUR>F@IX?XG1*YZl*J`;Q&;QG?OQ5FwE z)Uj6Q;fp97AxxG+wDaiP0&-%(b)lpW?R6Y`lF|5F*>%BQ`0LO#Dp35Gamyj}(`)V5 z>{l%+Dlxz7zoujTMa93U;(RtPUb<^96$8x6iaRY{?QAxNHimHhv8N>!$4G1NuhkGA z7d$i$6$Mkd0_?{`c8cQURXhc`0{R7C8&w4%2%OLJ6>dft5t=?9Oyw#Wi-;eFGsIdv z_wFoO0#{uzUe1!?<49X21(#|BNl`py@~$e^{XAvxO1O)P|IKf?Xw=5e>suP1@jw3$ z!u21au)&G55%`?QqFZmYhft{azl|vwLyUf?!l`nSt18-}2>;^(Yagghd9Wk)%lS#s zD^KEOk(zCltM#)pA#q2u-`^Epqk(>d~-0*o2l2Moq0CqJ@Ro34z6Dx*1UqL$WM z5<=?mARdk>)^QQ&E+gDCJxAFiqBDySv9J*A_IE6oRLY0+#Ny48sHF%SiHzoq31zXFHP#31eLYJDA*mTkmuNtA3x_ktVp_ z@g!)T$qYJB;>M#c2C%-d&5p8hQpFpM?t0Z6{9E{6jGa@PCegBP%eHOXR(ILeWuwcs z*=5_dZG2_hwr%^=x!n6=t$mX}AfIPO#*BPp410b{4>u!d^1UjRP@jc%gwtWU4)d6Q zmT+t3;AA=Zqz=1>e4!+1is71A{wC>=yGG2x(QWC*Fky1ktYwm8)Py?uv-N<_@Ucn6 z?~t*>)4P{b;Fxt^CUJH-qN0UsxEuPXYCNJJciFRwR0+= zor>kh@f_-nYw5|B1|X`@3<@gsy=&R_>g&Z!0Z_xS){1ST$vx&i@aJ5VGn8l+}h9Se>Pj;5_@!q%l}4vYS$5rupemzh#%aO#>p721}KS9-VZk4KB= z*Wai)kFU$)pQpQbFT?k!y8QfGKKHv{&%O;ulbAnWPW&N)sre;h5;)|-$zY;i`bVvzryt88H=K6^jdKgs&vQ|4%g{ci~)+_+J2Nr zMWgKaSR3F@t|M{`9~%4W4r6ME1OKs^(aibM!}gGjd7 z*O+lUyBT1lqLRcRjszV~1uX&a7Q9XXI}u&a__*j?uIE2a-NyQ|S@iY#dTr73`npux z_PSkd2;r>peY>1oU%n>P>+*P6f4RLa8Riu1@_GN*{oG$~iMihD_I|zET~4v}b$`CO zxE@Z6Vz)U%nk<5Frq)X@Hd2A-2&>Tv#vE;%A`n@+;CL=nF?HTV*p8S!o)t%Mf7>ld z8#NUy!K~SOJE>`Y5-hl$EMK55uo&NB_tEjZZ+qql$ZDu8Xw~@K;n%cO?k|qySQ_E! zOkT54Vcf6p!>Heg=S*LUWL}!mG>_yP*owAjz@BOU+blWM7^bQmJq3(MB{=QZmPmx$yL>D5vQD` z#L{SpBL<$RsQw8K#Ra*r5C^adj&$LrF}}=*)A$T)<`fKL84G(&LvL0ebbElQN=fj( z>1;1>wUytOp{a+Az7)ewMUn^@Q!5Arje9z=6@aB3xeLLgXW|1uBnl_k73BD1_? zf>|tM^(N?AVl2bz>?C?q;gzbiU0gtpFOkZ!k~>lO_neopGG95f28`&!n1wr3+Pm`^8UD&tYt7Y|~LkbleCFr{vXK zqsLIR%IUo*gTxCT2{>H^f$vMeg0pHWh%NtA_>m7U+wcHuFphsDYSL5ZaE zN;qMb2Ht&Sd1YeC<5T+B$HvEBE<*=+sF&FX4r>A#YaRv2`uZ)>!!l2cvr`uh1y-D! z(Pv8|lY9sYcsRLBl+LTUw|OpT^m06D(!DW|$zp0Jny3nF2+Rvf*jZhf7o$w!_;l4U z$3Zb)^`PZ)U7uFYoWWcrRsHhT>ZnT_0`Vn z<`t$~AyKA#6b?(209&tl@;^J@_MAXR-I41U-$#P}Ec)=MmKak$|Wd9M!^)MW7T^(kf;~K6F8GRlBiQ49o~-9g;%9uK zR%c2Jzn*dk>H@aOqX6>&@;IJ9#(@uSYM@T^9qw_73FYcOFb3BNqapOlb9 z-m!6Iir<$8M=lJwTTcJIJ`n_Hg?bbS3gqPg_3zFWwZ{Gw7!H`N5yQ&G5JrNb#9=+yQ%gP$9bGlqZ$M@JQBee zo_RZqxp7K0r?FK2J+sx-0LEO zb}CC@pHnWMwW(!?L-8#GTzUt3I_Z34T}^yCP%_!nD&m7Rr-ATOFcx5+H7J)AIb?Hz zllKuR9{4x#6D_WxoEiIr73PK3LULUcEyg)0{6?gDm@%a%syNHh9$W9~R14nKhO-{T zHa|9TCrlj&bQjk!a1Dl3d-Lt@%ywqfT6nym^-D=Bs<0Muh+I<1tx%#Bq~xqCHDag2 zS0r4uO~)zZIpe;*x(jOaUHa7#C$K;xl81WMo>eGP8nHB2nSX-~GO=C?_KN<|mU^iY zZI>HXRGTFIWXZqe)u}4CEsk-5r-LK%2<@}APm#IBX2>aL$**& zQE0KsH@fnks5v&{KkSlk8D;E~ATS@U{L<5BYii9?i_q%uu~`WqsCKte6a5rld4|3y zp><)HZmK&6*dKUp7|4sOa9&gq^N=Zmw~N|3WE%o=l2*a2vU-GMtOhkWh71h_ssC2J z69;>m;H~Y}%aEjc`k}ZsUumj-ER)cCn#7Av9ouy_b;;41B^kmkINME4zL~E_zow!q ziFm7xz2E6FTK%@{@&nIAGk##+H#)?BA$JmewW9kj7NMLIoXIqJ#2U!I+n zWE@Z1R9AO(3v7OWe%+paU7hYeFWde^y7&Y(`2oC}nLex6Tc3_UzVwHOm*b3|B#P(z z&G6=FP00U;$yVolw_B-NI+jTp{DJQVQ3JIk-@r2L-@Dil_Ecg2dwr!z13BDQEKtg`{heYj{kT_ru?%#ol4U@cWfhL&SRE;7J~SemW%=Afi$5qMH= z%bKn}pgE=iCqF#-B|wK3VzFcQCvBfYJAlENPEmC=WEzVYd5Oy@GTx|B!BB}MM*B?F zv48~%@!1(;+i2a)@UWVSFU8JhslAPl3qPM7Z{8E_`o%%ONMXZPUIfbWUQP}>P z>NIb|>u*&nwv1ip^d^9;F|P8!lHw9^TCf-y!%QfIQ+5arY1%@C0G*rDZ)z8;<$f65;!(_@eTpt#D>V&;qk;!KRRG*g)5$?ew)+j>H?4 z{Vl75fbW9uMVB&X7ntO~J0B^va`_&~$cX@Nk~`JWWg> zMFbi@@kNwl7#689NLr@{XecK0B=DXg=sI zv-bHDU?zQk;5g4`CtySEo*S+?@qNea$b4?t*EuPyMEG|^xZDipUxDam~)& zu(?^7J}?ups`HCMSQt!A7mYf7#bhg@TQphVE7<|Z5|#02kzZSv!&jLZHr}Ag`=Nom zyzs5rcZw`kDyU{D8z7efhxBnh#_(sx0gx7u8ssMHX0cWh*mI}g?g4OCxZ;{FV?>_y zqGIJFzO+g33P%#eM9&-48p1sM6y!CbMVcE<7U|5+^9twmX5eu~BD|6vsNAJt>d ziR%_*|KHp9PJIN9vSh#1(WOD9sTef_EUB_UB%=-(8c1++jYRwh@cwG%B*uiEe1K{~ zPhk6rqmS{v2iLe*N#}64LDxwfBni3?3 zm>i8v{7aQSm=d9b#ilillpP{AT#{u@qFMP>Xdyc$pt8I;F(mM+zwWK=32(tRoT$Ct z7grzbGU6E~;vJqtiok&JG@lNWfEA`(H1U_*DT!Oc%Q}i&R8Q*hzE(e2Tq_Ilyi2sZ zJ-L=l`FuW@}@UBK%||QCiKoX@Y@3HERnaN6CVkw5~kcNg{hhBC$ZV9G=*& zfer^hBNt?j)c-ggza)&WL2S2{ie{i#70H)$k|vGE86%!zppq`7(Zs~RO_s$%wb7$^t5_{+&wpS&A4v?!uT(TuQu(nW=FRH3TIV%x z;JHC|kvR-xQ#-K9r7<$d2Bx-Nu)i~e7n(2{i)F~w2tg2Z6T(6;o{W4n%2c2#nD!!s z3**Lzrb?J}3=pphA|?B_RoIl5v^EJ!V3NjRMIJ|nfAs{*m|4D6N{N)kqJldGKp zAP_e_q>1XP8P(yctW^Mu5xai>er>pbybyIYv8&RE3XD=nuhS-n=?cvjm`mC#tIUFk z`QH-@nK%l|Pp@}(pYMn%J6?|MK3^f%NgEs60@(yt+1GM0%N;e#oG~ROA=WPtX+2oc z_>#n%2B1&i!D3|rwDI|HGj>3DaDyzxL`H_?P-+~Y!)lqz13p^tUw@Szb-t4OGJVSz>cgUp}feJezjO*Wy1qqZ2;a*fVZ^EK`bOjWt- z1D3gJkc^L$efSvBwM^JXVfjY@4Xotw6Fz`T0Qzj+hUNbNIO3q75O zdPkD1f0v+@n=^`_c&IjaRYK(IESYZn4)cGWa+@yt?vkGqt_1zx=VSj7D({`F6G1)< z|8vUKCI}@~5P9ot;Y6h$|1IQ8(VQuy>R&J!QQEsib>d3+cyDdJkYLJTrS`))rAS>D zh#zNcc6&aaoww)#-0v6Fx_v(%u5tvuUtX?W_s4U(e+bbfIf7nZKJMQSm;0}u-CN!- z4?i;ZZQqxN@oOKi*Y*2(L0zA(hw=Gy#&0KW(KV@hh8)kUa@nE6KXd8zjDMv&kFu@9 zE@m_2&H%;qYhlU_bB9*k_){$2QQSq2No{;{F!MSTlQz8tZzCx205mT&#GKbe?qRG& zE1LuZmsSKV?}=31#%Yr>`humA^9P?GaXsY0;|pZgT}lju*i_d1UBhXOqhH>S*hg*F zMY1MV^rXSPO-$`qa|){mJY zY>Y@Wf*mHe(c+YjTV_hSq|1@fFM6kz>Xi<*maF8^Yh=AAGPjm3@hso4ZW`0@uN?_t zb~r*mnjyvRb-hxy#q z724d=?&sD2`Kx!%!h6W3o7tFdi=IwSYOC!>;QAg>UB`z02OS=UyOOdox?^%Mb5`e< zB#qnKL1MAFv?@ke6ANb1#(=tuUBW7IkIKf|NJ!b$ZilQSU3yKPH_$LiGO}V^oNziu zqv)zxh*6{Q%Y`(fEkNf{ncoF_1hcJ?zxV_LDVaBg`gKB4j`7b)yRC{s?V62wbQV51 zX$3p<=IFV)a_HZfJTl|SzypkxgDhziz_SIz0xkWNX)eYgedmoXsd;HGuZcCDmi5G+ zHnIFBR~Y)sH7NUlqAeF?S-@jD_1H?CM#i);wM#*J-~vn;9wo3KTXAEVDFZ#kz1d0S zail>SS3T=>!`susAeh}2-OhK^9?kLZ)=MGkBB#uC9@BOlyBx>AwY(;gE^ptqHnX$u^LY=LDkzT<}vP$}owreRKpaCyjoO{zCEZ?dE(MhDERiRlu1T zo~RxNKyu;yaeE-bC1oY+mpTeh{{U^q5v-Kbi0MMvGB9_)Udi9V7jKq*{41vt1&2o1x>Lg>e?xQF~a$rUrn4 z-yaEY@V*waYSB^HAOw%{;O;eesMWZ~kq)Y=@K%!TjdNXttmI+|16CJeSJ%RhX(FMR zDWR@4q8EEWOCbP(VNtKazm| zf>Pr8kAeW_l=WzGPW0d(LBJ8X5I3X^3H{g;%{b($Zn8ASZ!;*;Vq%$mBNXWD>>7%= z5%AIu*A|Osm(}k#`Nu^Y->;K`pG@#7WscX^p{=0L$dOv z*W`GInlE4*@cz7R>+ALSweI_MI85ksKRGVA1$a9>d?)n%QNLsQ@{HXH7{xINaF@-t zH7;AYPBpAAbRM6Km+4tv$7XTpy*IH_>d;%LcO-Aj*)P^k#oa%Zn^$HgbWAWF%FAA1 z-0Bu}IGd&zxogzvSe6y-OiYj8RSMtLxo~hATDVHHc*V?#6D-l8r7WGj#A@c$VJo;Y2RGpF_bi$u3wPL#i{lvOx?F@^I4$Bajl5# zOBl9yLI9nRZw5Gy=f$K~Za?d8BwM;1B1HDXxZX#3FM4fwEMjB@CIzQ>Tck%#7IR3^ z@hC2B(x&QmSZNWx1ApS2JF(W*t6J{G6bX#TaIZ*2dU!gHpIt88E%xzi-`pb1E;}|I zPILTxB_!LFvSfs`=4Qs@OyG}OWpvso_sj4ACSm&Zh1{bcfHQ6Q7C93M9cKYq*P ztvfrP=Guvt6`QNc!{++uWF>xEwEkVtkf&iF*4mNfww=B?v`FfE$c_DC&q~jQ_6--q zL>uRb3`?x|0Cnz2)p}ldD~GJn#epgr|5F`Pfdug2DO~DPqnEO!wQP;20jS4*mdbp8 zCWj@L&@puyz_Cq}Mm+|2!J%T)1;Ztkds4+&Qe~tWp&1qY7P$1mJGYK58(h-X4T*M`OaRApo(!BVb=B4{O8W+&>}w5<*{pZr|tq_vLFnACLR9^X2W&o4e)jxBJ(p$BypL z&!7~wYhB;(tH&DrH&nQGcvpeSVTGLKMQip}q<1lT`lK|mLqD!zrZVRpQwb7&)+dJO zGgBQ0nbIspFq3a!k2DE+6yYep+eo;2CugJ*D2NpJ2p|?nEo1TtQpeBKc@r&ov5I+S zw3QM<0g=Hl12`DSA@mzS)4B)T_}O$NcB(sK^>!D2N@wN1w2m&t z>RX4nurAW%n`2;VO4^XygUHMvHV{+>`o`y|>4rVPgL>g-FQii;5+W%nu;QSsP68(zfONMyW`&T7c@71x=b zCC_>KBmb~Nf_os7i1!}M!rGYXFzA!rm#`5%<_kEN^5;`wTW&b*w|p=d0AhCrZ$JJhnKz&u@t@zglKL@;o2o<{RV0qk+EcgN(Y zfRpE}4sNVNz^X@2*j@3DAmf~wBe4|6SUe=_ug^ks$@x2nCXXulk}o9Zuryoy{$y(! z5?aW*@|tLqDuH{;!rn8>+n)YQ*1Trg>tR4Kn3$2Nfo&xSo3}(5GA3W*9j4u`FLRgg zjgJhZ=1fI-I#qHkGLcK-08a#|(-whhoV5=rS;uP!{kb0=-8#1Qh6N6(TFf}{Bey1e zYTJe;Zrm81$&xjjFQSYR{%Q{q)1>z#=v{7xbX4}B3!X1^bAikQ2!=>+4DSP6eR%HB zD`2CJ&b1>-ABbQj8FhTX7@{N{nr5rs;p@ea;IGb7YDfiz7u}FagsabE z(Pfe^GqH#l#xVKY-!f=e#T;oBHgLI={YF2{>8L#Y5cVl^>~dr*`2)8;nbfIAb^QYB zCBG_5JV?pK1;$a~Go72U+f`w>;0_0TlgqM(yP`xrJK@PctN8Fu$;5tmKl|*c+ zRET3M+e*qujG{$oyKQ^SG`-WSvR6en2SSoh@^c8{onrBn&eT0iu*cd@LeYQMpq`W? z`Eq9L&Cq;*UqbR9mWfmI$&0V%{{<`^pB7&oPZN9c>0*;2|_bo)>j^6uc3;032PPQjVUj&kj}_l zLMj7nt>T(@y;w50=%ROOD%$vy&m)`R^LDo2I>*b|^ZhY_k#HOE@tMMDtGCtJ`F;9Y zk)h|~egAaz^*GsZzIiUF+vV~~nykB@EC z1{~pxdi6hBiFX#>JKv{CuEt4GH&I*$f;Qx1^z&9-n)UPSdnr#g3@g@Zm=X&Z0^lW?^s6Yl4_t|8}>)(`laya%&1N=;>FwBPKgZyFNL7uwbyvb;$@> zy0E0=3EWE^$Vg1`eDt&!VGNToPKg;yt?{E?;G~3)m(BwXK6!o1d2yQcXD)L%j{=zClXZ= z-zr(s_!*V+Mg4Zc?k^c%@&mc0fnNN}hSM>I9zf{PoJF-XSnbV?eH5X!F_SHa7o-CT z>7zdHGMIu;iP18Uf3B_q-I(%=VROpauKS2ova53Nq+28V?<3NTkJvd~GqFR7rM?Ln zsxU=lPHP0C6^H^~h!zj9IFPJrxYQq+c7$4RB#f2z7qJnsaA1ZH0z^CbzY3Tp04D>? z2y_Jd1pH5Pp$bW{qlj>W1}d$)stG4(q!B>kyz;NPHE^;t zY@XGi6ykWJwWaLSK>D>_U8$?JCyN3P-q~UCRBY-mLh*hcCYHs4B`hLxq9;Jb@np@42geZhsX)W?<3! zt$2Rul<9rcdRcJr@ykIa29J;oGpbg*WS?hCISq1W=Vje~26UE>mI3#HQ|>4z*3c<% z+uoWKuYcxgmY0k(okN*7Z^)g-)@D8De-8Kh$s&o=e+rcF|DizrN4mN5|46f1#e|JY z=VD1N{$9-2R8c0CfjT!bNa4~otO1=`KAu{0x9foi{R!JvlwY+yr<8T`dp_O29!6ja zcD~%co?hL*hYWYD55I5QZe?%zy14;5eO*1gH@e=BuXg88?ejC=1wIBUX7ym;m6(6ExrR}k^NE(KcCSE`z@Dv0vf?1QJj;2 zEMGgVc;-!DF?84z3U=OjRj0n!PSP_U4t*R&?q64&i zVtq%}g4NNCDuhz8v?w*-)~nFstXEz!ZBh9AXE_0tRf}1QnMf!aW=}Z@TV}8i+nFuh zIec#uSuqQyB8Vb(4V-n88kP+_xJ^Eu;Dy4jVbv`;E@D|UBk8v=IM<@UNh%z&=i`b8 zY~tK5#1(Ejw}OdkE|g0W%@6!|t;|AW2b?P)P+|;yD0p5ILJl{P25EwP*ZMYUZU9P> zv29XuMs1&^H+Aev8U`Ytdhll%Z?P}UP?_HdJy;$XjZR&0F0ktYCK0G~#L=mL1rZ)b z3u^N~<{U`DKJEid8N}0)R>ca|k`D08Ulu1_j1wq?GrzY1A){~AN(0>?((7x*{c{gbj&4oP*2Y#20pXX&y|sRpoei-VY##uAKnsA|bz z;ds)%2FlCHj%6b7^)i1iKHQ|Hy{Lx&1d23o8K&uh;_$8hcxQ<>b^%fUKtCJid2>FB zGMgP-ZWqh()*h|niRVIeJ@Ql$gyP)!7P7%Odp`x!1nqkvG_MY6B#Kn33Pkh?6w=2| zpBqfwzb`ux>Q7k584?U3&TnbvlzKH8v?U0$dX!7_tVYVCrR@3ef%3o+8*q~qRnZLG zUQO8EC51`2Er6Kxw)JN`2%x{LhYcuQH%>?)2Dl$y{c&8gTia8s8ksVbUveD{w<^l` z^?&_~PyxH2v;HYn4RHUxpZbqv>ZoMvij5ZJ!R>Fs!A}tCy3L1OS^b%Qi3te_oIJt& z#JyNpcIT|vh2V$zXR}K(2C%h5hKsAs#r_HIV@|#6rxwQUkNb-4pD^Fz_;#loPEyy) z)9d@O>$X7m`?CA6mQ@7wV`VvVnt&)fLt$JO@F9r<(q2dL=ncHjR_*zNXyL^v%U zlOoUf?SVe-NP%o`RrX3AK>biuH!eq)5fWvfb?bg`ggyN$!l@`PL;cp7;N7C)ac#o1 zN;1hrXLbL6R~X5}*m}xIk&I94lGM1w9`X++k0ngr+KvmhV;WBz9qHvR!T@)I?VM57 zECgmvSg|SWM(R>J7Z+`dvA8= zh;#*xC+Zq8M@_Sh$Qo_WTqdP@f`tSNs6^_-Xv4-OT!K6my*B3M3A~j8zPzSP^1wv_ zf$DpD^EP^AhqDzPyKAI|2_rTw)f_Rc01j?6Ck_3U-+gKH;75Zz0mUvsMQneg?jH=V z*~8S#xG-SwomHJpQH(s2E|Hj9}7pPA0-dQPS5Szw%@hDrW|)KI3UD0jByqNPWU|!iLndvxNV-s( zq&Bp|Yw8eRQk(-ywk!U5y%-F$HZ2~G?)9)-J>h7!jdM~DSD}>Z(Cz+%)_IYqTA^%g zYVDA{bc$Rj#-2!r`9^$zoZ=!BE0`j5)c|;XQ!2@T-8bUXP&BjSIC|b8#KZ!Qw)m~# zH`0_{#~gImFm=$9VGRpq4o$7LNC>6oRSLwm$0KG;3+Z>X{FB2BXiqDT`M^Rz?{e&h z?#(}UDu6sX7nln{1ATZXkomLBvo>iUUF^Wqa%@`O26=N{Sy=}5(6|jd+tx6@NpL9d z;SpMdX-tuQ!`{-vmd^5W4$H$Lph){6{#JSh)lBt->U=eMJT*ZQDmpWL#~^O6B{g5Rp+5IV{pT%&TY#^~ z9MmQ-c3h?vohn!HsghtbWI0$&A&NeelhA!Syx5jn1cVfOv~5Qf-v}L8X);A17tRn4 zzeKRZAtXhy0uC6ZXj6mOa4im`l3ZYIxrHTn$V8I`X)zJF9zmi7De8V{iGBcId$Yml zeRKAtqnHt>8j~gnOsP~G?sKDfoDXq@M-a`d%h`?rf3TSNy1HYQ6^jwj8Lw@uH;B9D zJq!|+XDoB0A7h}M@!k}+hZU~xi0ugDvScs<+k7NEZW2USWdYPo=B%_+3vkU#1E@M3 zt3X$yD#A=HKAZcEhJ8B6p2#i`R^OEeO(-U`4l^XhKMF*Txpp3qOu`4{nR%o!fJMNz z(YKU*ma6Ijk2NEgk$vD2C|g5NFIQkZ!jy4gjv_9QL}?moe(656i^Ij@7Z zz>tZco8v2u)yAXanL^n`P-Y_C>cG*g9R6F^GlNwnvglPt zouSjdH{Ey&SWoipwf}V3S2>tnKyQSJmx)zhfGz}j4 z_bGH~9Kp8gYTEt1)>P!n>%jVJWZl8dlOk8XbrX^|RS(gI@kW&pfDX6xt4f$9q=ieR zBFAlAv2^V7W=UAD_mqbK+(xO7Dm1qP&LEp996 z3Zvke=52R5&Z9MZQ$Jtk3p!3++nZ8I2;$Ir))`WdB>&(S;)s@PD7*r6ms({Rj7|D-d8 z<@=^bibGgTTGUliA=lDShD#s%0s2=$Rk$oA$)}m=nG`a;EoV@upkSP2m9@<3-r&=< zpcXmRu`@9eH#7|NMd)}V(*A*m39rPoO~NY|%~3H^Tm;K-R8#BgLjj%B#uSo%yxtsMeei+>8eRziluJfRC^7q?NEU~2U>9; z;neP6b-cJbcQfOm;rQndBZo37g>q53_3UIfpY>FtKtFO#FZ#mU{%N*hgl}K6XW~CRDRs9_CV*+)MTJB z!&??uVg)uXL#OO%h_Bd40$=MUJ(-Oh>?Yiuc+xY-8+nD??lHTPr;1C4W)WOJe0MUaGY#;hP>q&- zBqR~h3>j7e-ahQp+y}dBg#khIVSLAJ6HaQMjEgGwF&$qqKMnZRd5vE8+tK*<-6E#o z$GhIv=Uab<-uBn|v!KsyO3wD@+tH=Gp4a0b;ZsM>Pxj|o(EIkhL-2dtw)>+$#`gO- zgYoO~a=QETZcy#}b3~`8S;j)(v3hLBw!Ee~!Jc|T_eg=An^PO!F?enB#CxK#9ml4O z*;3LtvLJm;{M=ckdn~hwL3ep5wczQ{1i!Pnu2?Ezd7?bnL6&-dSlh6f?ZqqU1xsZz z(_)})>BgD1~3cL|Ofp_h#s z&sAcjY?(-f71M|M^oD2GghWx*M$_Vkby{y~k7a@F*$#z@ZWE26Vh(@tLgZes^8?Kq zcGLan>j-!>n~5Fys;VhonD5~J%P{8q{bI?}@#6M6VYU2lQaP^a-^GQpPaebVh9)ah zv#BYAC3b#BbyH^AQZ(sj`m8nhCRpi8M2;4UE24>b8U7IS1Wb=XX^%wQebuIoAlK2C z(#58~&D0jEQ_~hM%1t@qW*wMa8%5a*jibx@ntBHH`h&9FbhwMs(N!JQ0g7Hu^vjBt zMwjA^9!P{Cw_%7(UuzwWtY|v2;c8MxR$P0m)qfq*HGvJQ>9p# z9mqB9aJU6*%Nq^#-op|UcEiPO3ZvuvYSS)*c?D@kYL(R$3J8nBhviCR<(JsByWXp^ ziRYFm^pE}q1M4)=LZPc?lP9cXN!4ysol>rr zkteE+_6W}_hm|D_)saaov$4f((KEudwB^WiG#7X3y`>V#% zf!IF*;c*iS7dd0+2Sxtp!glAB{UJ{}zf6^lV8fV(_L`#L0}GJkxxJ*>K{V_6dy7>W zkZ!^txVw5~#~3&=HjY&7I9J^&a@3cMMGNZKJO^HSFhn!3+W7ak+R5(sx99E7w*`*x z-R{oMQ+Z#XdupLp3!bVBOm%?7%@%x{H#jRp+Y8%2U|9^g9!D@!Wtfy2${NQ41diAw z>CajbG3H(#rDHleBYVS#17JM?lfu)Nx~><=n~RB}Ci^BX8>UrF;D^)2Xz}axX#g4{ z6N9PXihczgF_5~7E)>GUX((VTNFS*74+N8xm6Nc9-K{5HAgQHUV(cHi$wH0#^z=qH zufsVd+CGJ?8YhRc9<_GYTv>FVM0M!B3WCvzLvQ)O*_o;s0e!apkcZw)jGM%g5-hHPs8p< zJPFAyC@q#83}hAP(nO4r+~~_`7#kiac^kvnNxMxP$dIHLSu1<^(F!Pm;y3N+b58+Q z*mhwbnoxuzfzzrD(ubDV@d{gqmZL#HJGKQuo9_mAp)(zizoN!<){mQ>+`02%?7*bX z4}&M0^ymyO5D-eyd!Xrr6v2bT48cp;jUa=5JQOnOs?t-k(l``II&DQ-e@j?fYZGkR zhE+ulJJh?L)IXwuYy}#j{QxyFBga12OWexK-4qKO+m~i*RU(Q}opZ+EBYh=75jfh2^$ z7886Qy&#}oH3QHuXnA2ZK#|!kR`1<=!)ZzpycR`M4|_#*Pj}u(dz^-O)#sD+gd4Hk zC??=e`T+JB64jn1$m)5-wQS+0$hPeNP89^~eEo&j)t87)H*vMHE|>`XSN<$J-+g+- zApE^WhrLmduC;BDwvqwC7%fX=P9bhhjh<*+_CxbJgt~ z1X*SU2h(1LZ0q4)aHw~$t=B+lFvXNg9_goNH1P(FE4-0pUJ; z9LqMwje!sJtAmgaemjG|Usg0vJu!egs?(rYL%gX4~3SqJSM}M;k)ZH_T zq>lzn&L9uV*YlbEP&atOMDf>{=zU5&K&1hp5gG9i@)YVH`w&TSXtnNn$S-H}p{h&SXH{)s4K` z<0ov}^Wc#hS&r~VghDrNvnpPy9q1|JVH5`JOsv=n1ri@i$iT%T$%N8zpRkmYWayV zi(?w0+N0*rBw|M_R~r%k5v;pEzZ!FG^l%Qa)xyBH>Y+s=UU~MKcZ7sLvwA%ohNyr4 z+QKLZa-qMgZI__I^fMD(uuDLbKp_zVK~}`O&m)R5Y)Y?Z-IBdZnNU!&ajc!DlaDPWx$^7HYNIFOhgU<} zfDX*^5``^tT13c*OA+GxGIv>@MHShH;;{m&%sx0q>o}2MwSK|Q^QfmU%=#O}lWpvo zfOv3^CF?_B(qi@x*1j%QRMXwF(Cn9FEvz%U*{M*qw!YZ5ZQHi3ic^1X^WMfee|NWbV?BGWIrn_WU{QZ~zlMxHV(|0$_+b8SozK*DZu^k133v?nf<42LrJI%CYR zNd;XR;Ua7m74?VUcPOumKPv+=cBmzO=XjHpoT``UkNO$v;D2&o0d;|v<1NP|ck&KE z^kML*<=2xjQ!k-1QS0~*9xyC?k-dIaQetCVTwYFK4(FA zB6!;y)C0BC8qs;DJ$n^H?9yTjv#Y*xvp};;&g%NzpdnL^{OH9hebz^gvNThRa zT#&ILrUn6jnPGZ9-<{N|W<>+q)QPKzTaXx1Y=jiJo#=6){@S@J>)XlZ41bOd44p5k zu+i{oGzM=Y=ta{>tPaCcL$FxoJY$QWk~OC&N+esU<5LIH*I&bM3t zl^0_a0oe9I+|n9_J<=1&Rrwj`ptRB}hCh6mCXAI;ba}Eh#noHOx@1xehB5G0R1eP(ipwu@YRiOrI z_6P%CbHv?`783gofjlV@Y1L%omce+;X*$)cx0B=Bf{?uWM|Pa{Ru}#HwA2}Sp+ z8iu~xCUtW;nk2)|H}s`t2W{uDN-KDyVmn7ERBc3}3xR^BDiC(qpTsRyjWrvMjgkm$ zhx0Cw*nsy4f~7n(8ppsVJS)qyLaV69+Bgown+x_V;kK7e>=>p%;u<9D!3Xxt?0Sb9#UN~3Y*;znqfIq*Mw_jzx)ZKJ$`m^wgbyx?mev^WG`xz_<1pjnRa<#;hJH$ok$@vj z*sGgN2?dz5+BSt>UQlZA?v1Z*D;B}1%Sm4bib-3*po@ZlA1$IPNO4g(4sJvePW&PK z234&qd!Gq=q39(gE>jT^2KnSLXP^{&*78`1nUfI_urG>j_*GXqaz$( zd<)}hynZyqm-yI;2`1eAh8Ag!$He0uB;Vim?vzdp1Fii6sU zfxA#3bQ0k5Nl~_2ZWuzEk?w^t!KRq{s*dByqgS-I-(MT#_ zr5iq0gHcA}zK@wr1LZjdz%=+;Sb}(Lrtzf4hrpsYu3X+io+(h7&pJ(YA!EbhoPvW0 z3rSywV@*7FA2qA6RZmVQV?AI$-4hzbQE-vUCMlJ2OPm@myR^@wKQgVc>yy}ke{nL}D)4n~ z2No-->+Wf|4I%Dl+l@>kddJpaXMsgZ^dzb+R8PB}3WBh@%g`UR`NTRXeOcJ@k_tIz z{^1l@xc>dKV1VK3p8mmaLXr^$phGqc$6g9paS7R85lS8DEZ|uGr;|o080ZtI_T?=O z>K>5ow@~GaA*?z_$OoNd4|W?-wUviIblvhY)9IW~C8=2inHC1oI0IrkCz^C9^3!`N z(@$DXO?~)NI!gkncTYHmf>dDun53q%3xJXNC_d#Og3UymOQzVYjC?1{^E!;|$nb=* zVNi==E`S^T2bMj+$`AWpj*yuR;TpB?Hh=2M6m{6_!>L2VC*y}D0!ZYv;Xk#IZHJPy zp3DY<;r^d?JcIL<)Yn@MlQW!MFv29??rc?Aw(k{=>XB9s1mvqD%jQ0!jyeD+kx+h< z5NM1r`owh{|x)#t=539C?xsP9ZkG3bSrP+Dvo+DZ7Hfgg8uqe6WH zVJr|1LYCPGKbek zIJ240nTfgIko@E6`R}mg2cC{AVX1*=AV0P0*n5p6fO42_eX?{D#n@WWj5uWUocG$kj5E zFfO6-l677)?enQj%!ct(5pFAS8Vu#mkG8NQK9ngmx|X0DoFW@uI&!?KGYWNoQ;DI6 zlgsgToU;dXchMoe9ghjCip^gkQ>?JFbI>fm|ELdW_y86Y^w}OuoG0pIxQ<9V*iaTx z`l9e&kge{_P3ic2POu`bOrmY_CY&?2EIvD$ReR6Zs6MvM)zQKq!8b4+6gBvp)=6p# z0^~uOu`8)UrfxQq#9l}Qm0@f&q-VxEr;Q-#IrF_~6?wT)ggoMvl`EZc8MOFJe$`*` zNuKqUv~lPt=fF9BOA^n~Xes7J_DxW6*z(S_MYU;|Y`O5-tO){z2|QZ!M4j-LMP5);sNvTa}u?Wf0R_h5Ved=Sy= zR1a1w(H1uookJ;KDJaJ%E$M1x0%X3)<_{)t%$ZWN7}}ea6d$uctzyY&ZdiHd0_Xyc z{(tX@!>=u_x6AWO!lyuYm|MF4$Q=%m7PR__dE%YjB}{l4z_`ank~8|i99B@C24W$T3UG$+=#sr7=g%j{}ld_Rx-=UzOq_>u@YH!?2=sIy58neWhy%s z?f7t(RUegQ$M`sA$C_e}FXdYzH(`d*p(loVnDhrdI?OYrn}*#%cOZ@;6fhFy;v`Bk zr!W$5B_4CWB4A(R0_=7)k0MbFaM&g>^vM2Ft$p7U&Ex0lisM8UIhr!DPQa)l>YFRt zkbd1Rjd0Lc_U;PEPxUBEdeT*pAFAAp$aBl3F$2ygMyLMF!lAdOuNJfmmhQ?PgVwXv z_405fUf5ADdcZ(RsT5{HrwH^V6^xnWOpxe@o105$-kyosjF2UL4EPumv$EznczYU* zu%H|VTL8t$y;0Um5{XIw{2q(&e%v;%EXkd^+?8tnqdv`^nm;9GOGuSSC=fttzNFlk z?o@q%e4&gY7P606>`;xvv)5QCV zDyac{htbAPn;JX*a)L>GsRjik`xbG<;CwkN^9A9d-^^eZmBfQqt{w3rYZB~rxxPY> zHf9IuvMwKjJe(IcQo>eIBb07ETwD?1lgNkkX099tZ^z%{SUBP%vAq{g-tP*ZJ;;1= zs@Ap@u@%v4K!xklXjilrhPE>;9>s6QZj(Se5crqf!M0{GEnhX)BY#vRB~56o5XC7? z)|k(;IO#~B^fS=G1Hp13U0W#0Z{5SjsOm;CEL&L>f!8dHhgku$G(u{GrqGv^Db`pl zi8eG&x`_{-8GbbqlGuiF=CaAb-5K!215(k*&|W+|j|?{$z2yqJ;!DkJW_yot?S8+a zaaoAIp5k~iN{WYP>Vm>4D;kudPCHLiXQLMN*(WZ{MJDDzyN8g;^BX-@C9|a_v$gD@ zUy(2W-7FVe`#^If>KtBqSjQR3HQ+J=u|{OcjXUJs-8|$}kfH0tc4$2}>Gz?Sbms}Y zWN-bkXXc4}?FEvxdfIB%lx{#^xAtn}_|G~O(-`#MH?VgC4Xt~lM#It^iDbeu0JOoN z>(+FRn#B;!?<7bnN0vvhfclb+2<;_`-(9r}EwIHzv)3 zKImrhEru>XP(xDwK0=7$zsXUJ$dT{Z>Mxwjhf$!SC}}lxh|64KS09@7MCLV%@)xYc z7gfL*6c!%{&*QXJUHIz>rog14U7DC~Lcv4ze4CWrW;3bhcE{?{_uKkq2S)iw3G;BY zi!bM%%AFVoJC`T^b`Kg!AKOq0wo>BL!t02_1BI}r=6NNO6F6yD%Sqo*Pu!g)&kj19 z$p{LHiemJ>^2l@B97YtbRrqSmTP|bI~iaYtLH~ z);9p~*1d+duEa~Id?`?w>`btO*L*dPJNXXHR* zRKrU%O-1pTCj{(;qSG$Fz{bjTunTX#JIi-aIK*WdyN4T=r0z$hyXR8GM`D_qS2(Z^ zu-{Y&C(5H8n^K+|TY!#)s0ZV~wze^12|dgg63kuLPDujbXi@3DvhyyqKx(x6>QZ@; z(k&{VVMqFivWitor(&;jI;RmBFfmbk1Rv3#+1;TYEnNX`V%>fl0R&kzm|4a>CQ{X5 zSm(nX*w&v~z|1ExF2VM%-TMOR~IMQ$>-SrDUWtxnj2?keXWh;}1DM1+U zfAPa(bR^KmVN+Go$2b}Kak62#8IR@vvDJYY7T1^ImQ!?%8VVl1hoF4zD2_%hg2bn= zB*~oSpNd3j4D4TjE$5{86noXGj2gBWc4l7=WB{5<(jpSiiPV?w4GSuoB@8>JfW?i+ z!};sCizBRB_EM6S^w}7?q9C70h97l9aUG+Xhqt18AcclE9;Y3lwOPs?uVbe;#$x3AOlaj5Ssjv5 z!8GjXLyA_?o!;`v*QK#+m%wF?ErWP5iFI z*%H@6Fit>eXV|_IdNs1D=4YIn;;c>VkSB%y5wa$`P0cUZVM?DEC3gn(+qnhdR}R9P z|Ig-8>I2G#mbwm~d`oNzds$b)o~40$lDmJf?a>07AgQrw+(J?0%eqTV^ZXx?&OKI3$8aVA4Y9ik!rx1E-n0v7pl--Tq_-~$6e|iK+p)syyVj1+W%3?g+nZ{ zpS;+m;GLCLn3&e}eO+nS^CjEFn{Dv*Kl!G|$6vjl?~hUH^PNwm&v2*3cpZRnp+w6w z6?Uu?aU&}zDeBlzm#&YZ8+JM@UcoY{td-={V^BMSqch)pkvBTU;xP%VM`OEc)rU|& z?lWd)l2x$=uoylup~3Jkvw*`K{;sANL~KFkoxw1{{WUkgMCVN`5LfvOTJHkj>RYG# zoP**pcx=Slzi*7J{-`!~PC$jqN|emsd>c|(4T5gn@#enjMJB~1DcO&h z-l+GVF6=f4;6_4{#4LIHYTbxB>>h?$K(Nz}IdA+f;Ke@-(*>m64|X5uu6fg8e? zYIe3M4)RjdCSXoBQB?^-W-EIauihep?75y7CcSv`X{-kI0!gq&fX^OMA$o`;9Do== zQvDMMQTWzA(0QmE22_)LxX^S=i-nJueo~?tnowe~>@Nl)t4M$5L$l~+$B3;IrDzEB z8aIbLGemOla6cF?9AD*Wx<`s9t868Sy%*dyMPA#-FsI1xgxe_yO7AZqFfI`|$s*A;I*m*owbBMf||X z=8A}L*+MQ=?LK>!>?Mm)&ijmI(?txK2>noNXDcc1vI0CT-p23|Aw zD%s$3pqpmvPL@M?^kZ5)2%bcG5yp%nPb3<}l0N%#tZd7L%EYBV5?(aHk!}+}3Yl33 zVe)Y0O??r4q3aE~9AVp7U49pWaJCI@`G8zrC7={F*CdBG-C(Q=0q7vP@$JHFWx|V8 zB@<;lOx2tUYR13mK0Y9N%8nm0qgyaqfnL0YDyC)T2aZ=;x3>W|>Ca77hINa(gLV7-=s zct@GwHH08}q(OmGTD0X&9C}M9d-m(@nXNFK{NsKP{8M|yoS zsPz?7Ij&VQGtf93z8oX5hoVf;DX!KUfIuG8u+Q+;qrc+@!|tWH}jto3}fRZ zra0N-I)Ws8>jWTmR?AWa%&m2eF?y70&}UOSqZrrG zBvzOCGEiotE~xiyzp!255gK-b4x8b|Nv z-Oco`AHc%PzlVo&26PrZ6VC-*W$D_ivVP*XaI0e2R~T0g6&aZ#ozzRWbo zxYbclb=ahR`FI&0_N-&2!wP5uVo05a+0yT0Eg;7= z-da|*@+5F&YJVHC`d)2^TISMH1sr>)Yoq0x2JJ^2xemwe`;PtLhTVhbHkJ?zXwbHd}iWD z5KW{ADj#L%qYv%JEtkLrxso7*Ukv6X%38-8B59q4+``O?4}_8}*3GpEoV8wp{M&=) zP+qoh&r|2GN311U`Cq^Szd%}3c{)u>7|V%QOOmKaWdn~$&V7&~7if;W$UGlTS}}=2 zxb3uvcG#*Qa`gxAa4<%^XkoF3ya_^jV3gVVZ!yyri%ec<@(ASint7)28NXzue*|nd z3QH0MrH9N&(_&{Y)f)0MzDdX&eQKMXzkYd82+N*4cO-ut+duM8ONOPKjH#R8{tlhy z2ZZx^dW`a&!>sE7g3`=60S?WC6o+vnOueQM7w*({yyU-!<2Y5DIWBL;Nvq=#xqQ-{ z#RiJ~3Mf@<0{(jPQ+?EDBUo{+6)dj3XUc05lU>6p)y0ue8T-3|Q z>M6z|@ws50qrw9Uw77FV4M&3eNJTtrae6=nZ6pFE)$m!Ou-3+;8152e*t`o8SD*Dg zR>k7De0$Q@;wipzh~hOLl3%&=?aLeKRxnp?<-8+k>`zm5wP?h0|k zTY1vmBi~jWx3*{RdDZ9Xm`dQN)3Uq zz!unZi{pdmsA{vQ*t<`B^2oUGNUWzSi1|QhbJYIJ+oDWF(jBl@C*BripCrHg7guxF z_stEo@^R2jpc|1k1T_WucT~|ZNL>E$Jk%O5mN5=zX!Q(+gT){*?@_;vZJgTL0Z1wa z35EWtkUtlc&?J{DFogTpHv7g^PE~lo?%%f2_q>3>fy+%%?_`UNf$d*;z9a%mkM`fR z7V%!30+56{+ey@i_%oxaS)4E8G+}fh^mV*lM|d9T(W6$Lq(WqoyQs5zV$!Dfz}iaM zAQGYoqo@Ox4{Q8sH%eqg_&#ljd1Ut~>R8M zoX0}HMQR{W{P1Ta!li*9$esy-y>vS@JVb*b8x3+sk!f4gLOk+1L1_(jwrW6;Zn#^s zJb`$tJJI|Uksr>`xi^(){SMYkqmZEskk&P*QX6}<8`dn;I!wW8Y zzD}Oga;v(0o~O9{d|xL2yA%AQHeL<$*!d8bs6F)3qd8Dt#d6M$_28BQ+tuJbkW`a1v=b;V&Joj#JXwABsdH)i zw2IFt<=ptyJnn>O2Pd3zb0DlfjPqRKi#FmRKY8ow+FV#eq>^iKbYHp%en$Xg!6O)U zOW=Bx@V}uPQbz^pc8LdD2q3I3`4nOM8W_tbryBp>fFS&?o&{~T-a?#-CnBjKo=~Ao zqf&veN{eIO!_I}YIyKJ2;EAi=U)FNQfRMuHV>HRbFeIpBzW5Tfd1q9t)I(Sz?l<8U zW?N$W_L&GCi9JsOZMJIq)8}3%)R^@mNJl?zh%O|e8QYCrAZdDgC{PF`5-2E-HKCy# zak?%hB2W<=S$+GR@VwpNB?J7DHZH|r27wbA?hQTT08e1CN(6BtrB{a%^>S+~Wv zC{ouKA3tAO^6+6yEik6~?T0xrd&89MC!ot5Lo%k?^HeZP#Aa-veNw)D1iLr(@9Fqb z<3;gG-}mGB<7cCOGb>K>G0}QC}Jn~=Ic>3B4!8FCq026~n#noi&rTRO|3!>>yA4!lV^mEz!yK*1~vWJ%iIY zFku163t1zL4E`(R;B(25fx=LnXE>V2P*m>(=W)DxeYrtvGhYsUL22691YDkB!Eruu zTq%>kt#{dD4)ybV(qh`f!mol-i)SjAZgbw(3R z7-g2WSlv0=lW#lxt|-~eM+usaLmZ1!e_+csOZ}UkD2^Lj4*xIoMR>|x_0La8dHlbJ zl)3(+Aw(%A4naKJ{VU}1`$(~kP@0WQJ*l}rX=pK?vI?5 zkfAq=XK98ajn2j+qFfQ*&JTg!*Mlqpzqjeh+Me&jT)m&d@;!antM_$xcW~?HECSSJRTLQ9g6`1DPB@V^(ndv4lWy_4%O_#B8%~y^cO@*D)sD>^$J@y7-IuPQa1E# z+1>lOXoWK^O{TXPO*wjw*w+A4&BHFq3+e!oxaYZ?_KhJGorR_@@@u{e^7|R0lROWF zVmw+Xhvz1dSU_u9OZRV;8dh$+aS_*3GN?rps~c;&m{K`~cH%5H%i}*xP0Q_%&D#BZ zY7SO3Y-E+xZ*@+y9@Rj=#66~5FH~(-^rEXz04C1*DMNLOM^anzKLBV20v7Jr7h?>3 z%3MSf)yH-;81OWcvEMlRQtHAp#~ThTq$c_o9#8T{jnB+fm)a6ro}tH_$j^6yUWXSl z!a+vXGwH@!iRw`K0 z(Scmr3Qy7G9^(TZ<;dA*9834)#>Rmw_Le^n*HS2Lz*-wFJQD))*gy&xm2p0`(7-c(nR1+Ysz93U%^~7ym5CuAd z1fdQ?Cj2vbhWox8sq}nweZONe=KK4N<1ku6+72~Qoewp6;T1?t(C3Tq^N>DSq415N zsDdL9Gvqw=8(+9O2@x;5KOXv6C5mHFs^nkm!H0`ReQ9y|a1lrtGeUbhOxy$3rec4f zuu^1IdLS za@^SM0+-sJGJ*z=rFxB+CqiC09|@v!kD!e%48@FVy1s>l#B!rTlL(!4DJJAQ^#1(V zuL02#m^ilx*nbd;bs%Gi=J?dgUAWc6qE9$s3%F`Udv3Q`RZGRv?~XX( zKM&o{(~({JY;j`|2Pw;d51a=it*d|&CS~*Un^L`TLq~kD)7}46B>ZaY4<3leETg9d z4sw;9BCs@c$j4SW1KtUEivl(*&qwebON1da%mHFI&y&6LS$jB3toZc=Uu*_Og){5= z6C^zLFy{E_cydE+~Mni$oXur|NX6S_U z%{iwGbt{6IVdPCCKqu=`HvzfwSR?#vJl1Yja z&P79aGX;uouppDKfNTBj$_-vQN>Xp6!#VMpgbJmX@tjIU$|dBl;s7RtyeY%+6ys_^ zMy=zGwe#EQ>-nT%uOry?efhiHyKD5%^GEDBJsMUDel>kqdQ4;d76^;sECxRHa;XRT zU?qYXHAh}+(RKN!V;_ZyMUBq+nmZ5Pj1$66{E%ubZV~u~Tfo;O+e~O}{%>u=d~M_jcGSB0*hA zkH`J@hZ_Mt{_gj#P4g~3cq>$b(AFAX@P_#-eL2^&j`{oytx&}&;P?2apC(LTKkhvhWPOU{uk;k z*MD>ZhNS95k&YsV>|Xvb&+D|RHB!HbpUPnTL{{@ou8|4+v8@>i|i_x)l}~qTLQ3 z-{;f!_UNiz4t`JCD&lUpkN5lO;9@5M{=cu2uTn(6UC+(Z+v#^evt?6$=X8W=?Y2}9 zxl4*in+}JW<#Qr=m;@>Fufj}KBWuSxYa?wHYA!YgV@I9oI4v`0>|c&<6)xb*D0GI# z7|)sHA@p2TUfWf#bC=B-qdSEWv98I-?NnmTqD+s>)q3iAK-N|y^pRGHM>Jxod$5z{V`p|P(lHGD`oRzFOGAL z(rTnBzr&>!_Camt)2+_AjkSub%xZ>X+>dXv^rw}r$P_7cU`(sgOfj^ql$5eFUCLKC zNJ8CL=mR5b$X=L8iw=NQ8==w|Wo(CJO=b)K_PkVQyTj z!(-rI39l$$jj?$tyg0idGlQ9g_UzW~fJ39nG-~Ec({;*p;%*AgWVvp!+4ViSQ}BCx zjQTgYzx#vB`kWp71?1HWx|RdXy19APT`3oZ+Aoer0vtdQ_<#fUOOknmGy2&aY}%5a zgi~(gmQa#P9xR`*hosHp3qBAI>{v8@$-I~+@K<%=711oz!!NMI*u4|emOqx90Rjlp zouK{TM4Tb~K5qCh z2)}FGF}NWN>uPa=H>G{zXfnOS<|ZWHf{`j@V3FiM*n*t=`;$%D^@$RNOeOi)1)(6` zI{NZh=3|6dvk=%A&z$LEzcsBAv2{W(1zq1z0N6Q!CJOQPWgbCx0Hl)WWuNRi{{7Af zHvtn!?|X25?tRc8FKhU#V!8taf>vI_FF4#i?nKPT6;S^Say#?cHMv{6Futo&hn2pW z0Qv`v%VZ|!!hodFD$HU<^{%=wsuS1;BV1;l9VjE^XdioU5ntnQVDmqbz*|Jb_8J`p z#;R!IpHoOh?A+6!Ay-H%6>u_%EkRh&mkByg*wB)p!{RZ;K#SIji{ ze**|rnr8g#LN`JS_gZGfvI zdFwvz*;nR)l;GaM31FS>Qw{F<^Gt(!nmC#%XHhKI4TiOIXnB|4XORRPu1LfD7a3XSBM1+$Fjn9V1_WD} zi*5cT{B@9$F@5GEbZvVd6f8Vw@Jxo?dGqV12mgknm$z-FOk4?r=x~Jpltue%xdMC! z==Y#r@z;X5T&}{#f{veJ_BMnd3qt^C(b)h&2>bS#tpshy#`2Qrt5H2T1kmeee1Yg! z!y!aY*)ZkX064E$0s`~TKYzLW9(pE)xMylXvri$XSh(5uxLRM4ak1~zyqkpZ=ZJb% zA6mZtPZjVEkxx|pAywG@cTxq{f0kh-ZO3l0!-d>_p*@1oHNZc(q59)V;RZAbMu5Q+ zQAS!e2tvpu4V$ss?DjY(m9$&>7!C>~dd#@ZOi~F5cs?E6ADYkRZh8ATxqqB)hh1)N zULHTcZSUWF4?h2W__lX)FFt+o)13+1on77h7#zL)I+oKF_}`v|K!9 zBv^XHe{P{gJtMU-_qrrV!42AM$*uF7D0yTL8b+=(I2}I2D+60Vk6j~yyw23g0l6{r zcbz6qAxP;y)s^wgNO4>R5r<~*#SM8LHvPHwuTvF_dqATj4l$+~-Q;8~ll$K%siD1_ zea{{}{%w4{+*^LV9dEgT%Edv3*0jOx>n}URRd?rZ0l$}=nvWt$>s2S*vGZvIgSX;QQ{3RlReXVEfGwQel5%TZA|WRzC6TD?(}l45o>Ywng= zMf()SV&9G7%#4e4T)$HmSz=KpyCAF4?{XD!FxPdIK$%ePj~WJ~sSLtP5LxAGw2Uee z_~=>8E->gdx(tJawyWzgb`hq?+cTu1DqK9Iake}m75*T>gyy&hjk zZ2;OA{(%D^bP0m){yiZN8@?d8{WB{9PW2(_LcBsqItS9JBv{d|LYfocX%%OINQTZ6 z8|O3Ld>c}vxw3bTIp-C&yz2R-**Rrc4I`X76k}18vKiUrb~Quh+rH1%!zZlF)V^=T zraQUq<|c0IOw01}qn1^@1^f?-3Q}l`4iW2puk8pixqaAr#|!PC^jTe8P^f7VIt=(F zBn!O!2L^qv6{Hjs_xVIGb-!;I1EGF^?nR{>Gk>d@YXBf{r3L0xVqZw_wqG~ zDB%0{e*Ub_aI63QS*lQ*+w0}?c0Rew|MfU&*W>p!TAKE+>-+UKS8vDfb<#m>$vUM= zqOrNLIVD=ywc3cSA>%&hw{@!C$@2DtZHd|2&5e-RV)#WsOy|Z~)}Q9J3@opfXQHSO z$5dXY8P|lRHjT3aJljU?a7@Vgof}_K<~aGn8heF{M-OqyE6GG=uOy+%A^N{uTvEkD z?eyAYAh4s$(*^i1r2QLaaEP?j&*bXL#gV@oq25>{)~PZyZ;a`*j?`x`lL`}Skm6jN z@7Y>gPN+4s%-N+TpCicwS43+ys12R0TT8Bs24|0~E`{5ZhUw+G z)SGH*EM)*O3KFQQYEAoIGh_|6?R53(PD%^hh%vrV(7P>M?)mQ_1cMMNO_nvHr>aSr z%Zpbu`(yZ1jm5ePJqt$}aZ7g8BTg2By<96LH-n=FDCoD9M#ny`rLp)%2W|fV7F--B z;ameGvE1X~mTTS!JJCX%rH(Y2yo^IlFzXiUlp*AHB+JFFtx7)OPI8!j^TrSgOO-NO zUbj0~Y5L?z3z5PITy#+FBaY%bVDT#$*~VtVjb7O_Bu z&cU>%F=)gk*9ju`_)*02HY(^fyK2D}c4y{ZfXN~TWM*2}vhB=O$4o#+kl#fpUkkN{ zD&Me?Z9eC~O9+EXw>p&M2wHF}E(Y0xbRfCAQ}Fg4BR~U4H4`<$=F@@Q+v`xYEe(on z7z{Slk0G}~)B9b6_qYW)_CRRLwMo{?-|@ONW%XBVl07bfGIeFfImZHTYhbQ%sn>k4 z+iVRAUT-q|LRaf!n{Jxugy^^v8Q>}9gy@4ip|6brSU99L$!iPPnpWxwYq;?= z%*HBEZc{TbHt{jMRqsPlR|?bIuY`QE90Pt;9rG=lRF;Ta5>w7u`E&c7JOCqpW635X zp2w5N8rIi&zKZlNOjnb&E1v@H48ytOmgX(-vpWij?&wi5nb_}HxzR``B}%*hy809F4W~BOTL95jUPTuf??`aCa9|RMOL9s zJYC0%6OJkQF(l5990!KB{z$wXbBHauAn8kc7Y#hs8a-mnQzl4d#6lKmN;osa(fhPf zyS^7)H?=2$DM{3ZFray&#PmCsq6vhfCfyBuYo!I|nJI?BpB$Q40(+mlDgZrCKrkNx zZ41fo5;JY~g8o|6DlVk|DHbh-j90Gv6$e3AlmK%)N==c!{SYtU5omQSXOsjTLRUe} z-g1qG7riBJhFD$@elEBU`qnltGjryV^BeMiS0D1^mt9g2ARxD&%i@0<7yL&>n$3bu z6dDs8il3{>_25Ex{rVuxtTBsBlB$P%prBxf@xY^!J&`$aq4Q7_|^G+4?;&+VXekOC@(S zX%&(PC!R-Kk1}ltLJOcA;pWE?n$FA0kB_XZ4C_bl4WDn& zE7OnTHm>Y%QxJKonf=OqB^W91ph|}Nl>%M4Nf2=3rN|4#;!I1MdI`FCv$EJ|IX@vw zMo9K|Sn3tZEeuNAI=#HPf+MU6gW&o6s-T_9JR{BY&$P1v(i{>dsY@BnAot;n@u6}v zazF<4KoesER}#G}61EoMb@n)#)7P}Kmy64J?LWr;`!1i2Z0`z;JapQmWaZ`7M5n3x zhfm61w5q&Jz>!LzIt% z@K^r~RO`se2rb0z0&YasJRNdoAW0N_O~o13DDL_vSi2DHv{tg2Ub+DsC)^gG^ed#z@& zonr3delOPiyB|dS_i(c<@b$P!@ckoaiu3z;SY#j&_zTfx5 z*>rjTpUd<9p5N~I{GaFH?BBmH&;Gx!v)k^!ekY1il*THnQyI=PSY=byS(s|N&J^rn zQg(3;{o|#bQpfz#P+eswQqX=`#%V}KQ=>7bLlm7eZ02jd@hB@7A5zT~RXR3cF+lddqx-m#!)ZMYWUbJ-b-$#c9yZ`l*aOQD^u3DYN={%6Spk#q+%PZ)u|PLnY~+lj;gw2#@yrhuC89n;<%)zR-K2rUecCsa6;P-cdb)q0Z+K7*Ri|sg_VtZy|z<{w{(@b!noVJwp@xG z?{aFXb=2{9?v%bP(92~zEv&h_ifh$yUx0Ge*BC^p=|Z+>tR~W(^;@RtJYnUSbyT@u z3R%%hg>t#qYi*(o>(@}iRVufV%&4bVjXn*-8z(EbY$deUjXH{}PVjW~c~|F9WTzox z7A;AKa}<2Ij9We8T;dR~F?2eb2tQWF9$K$!T`H>cR`Yn_(vz0f8TwS5$81Xb%yrF6 zO3U3S%Z_}RL~;A#R60fZSjIZXjfXmxju;#rRaCkl%kw z;JMPlGbBQtV$g6;g6v*=WE|%#El`O28?qYJn614wFq{_y<&VxT7dkOyz3zIEFNQtQ_{R{3Ny`U>uaVNs??K^ zvr%%IWiM@K<;>OvDC0#`7a|8QlvJ5jP0UbY5rxG`EdVG5d;Dox{C2?bwX3ar_Nbc} zZJopMZe1L;!jNI>{KAct;?!-+=%m@6#k(!4s)p!t@%~Ym%!t%XrQPeud*M(!Q$$YXsk)KxFKO>e zV-2~i3tBAzk&#hPMG*vUKI(k>)=M@#p%MHTB%Wie?-`JK5&k}vVX+GH%7qj@rb zTXvb=NouWfgcv1HJuKnOy`rn@P^llT-N@Yt$g*YVVJ+ULd}BJ5T=gsvDKz#|Kk-D4 z-|n3Si(QO6%iDahR)dMnJGbUg-@zyzqqEs$;gAgo!1+4_Qx`$Fu-Z}IUioabz*}O9`3A zL2LJxHRm`<2RP#sY`? zt}8K3Pn$vR!#etSZPJ;Uvg|xl)Hx@!F8;_UOTq$=@ zaVF&%+4M~N;8>8)|hkR@Z#i7o;bSa;MiV1~=;3NE19C zn-*n6HoG^mM%Cr2wj*O(s+%jG#Gr&}Q|JCHp(S4$rpM66Q)rr6oFk5(_wlCNo&V=u zH}8A>A1c1@_vLK*^WQVo>;JqSkI(1-1J%Mm)NGo9DP3ri+7H+PcF)lZqE9JdaU?qo zK8Rq0k*KdqkHR$sMjT8>dTkujOEK6St+4{*0#k1xdTyZlp?^F zvNZ(a2c_ZxGR!;!W?mHFHwsocwMF2jq8zTVfhK^<_ZP5A(VQ#&)NH~O=A?h#VI!&@ z^~WLyZ;0fE+k-p|TcoBbpbA*U87*L*y&}&D49`LMJQJ1cYNLa!Lv#_7fs2Z&11VY& zMtu^)yZs92z*1m_jBw5X?cDSh@awY0$l(~6Ya^I4ZdyQJJDYYo#zSEQRcdfqNizl{nj%ovmbm3Z^d8lzDRD~GjKR(RYC z)h8f6_pxe@>JVuT)>$1o2ip0hr8Wq$tPN!d9Ze|eqE53BQK%VbmehLb@uggsx_a}O z<=m)U7q*P{pfdF3P`Ibyi07Jfh-*_EM!Kb=TiKyDXN5E0d`c`p>+_=H?5WYL35z^d zaYJ5?PjsX?j<~VpW$FM z0f;f=$smaIGcKcYyN^m$Z-4@Yi|BlRft3ta^EHC0PBjBR@e@ol#=JE%r2aC_soL8q z%k_Msu*lH@%F0#~;>bnR7$y1fykuak^Pgshe&GK_WjGixei?$vqh8$I7l;N0@Y z#n#xk=#u3sk)cz^5P!pL+is%g5>pddWh;gTW_GR&NEfnG$w!EqEkRd%hxc49XTvb8fH9pQQ<3y--2ZMh}Th7Ln9&@0VQ z>0>!jpUmqBbq+irO~XW>NT6B}gTaL@IyA3w*uw>AfERJ#aZQ$u0Zz6019D=FX>L+^fXhTYmPUnLWA`q+?FrxdoBNk(0n}kp)bPF-OE~cc8C81Z0sD@ryBUb+ac7uBOZ~%i%P{Knta)Sz06EE0> zUMyCOYUXA1g&z>!;-i6j0=)pd_m1Ey_I6eGLMEg%dp=RiTm+`Od2!A}upQinB_lM;Y6xxo+#9nb)Ey@@cvhz~(nJfVKEMuun-g z;^JH>35Op@1D7kEJct->4;cquWxAK3YoSTUz}J{V*w@pB+z>JRx!+D7D0Sw6U`r;X z=ymYy>)1CY?9;mfu<$kNO*YrG67y3D8^D8a6JE6i)DHzs4Veb*nG=}Z5A0F?pU2() zU*YWvU+MXLzqi;u)xRH4mw?w-v@LWh8e7EvbM7BBUc;PaCG#zGYeP&wDSYATm(G52 z`Gf9kar_ebsL9u7(=VFeY`%EUa{15IFPxwwRuXp}9{WJK;CG(d%^OnyC zwqls(xP8G)^Onqgz~Re{+&^L-b;9gHSw8@2V#;4K#kH4H6)sc*nnJjJ@%AP2hlzdT zAm(@$6k_umz;3R_?@Q;eXdV-vpDM8wl{@dn^SbFYn}TLFmxpk}ab0mdvOY(X35m<~!(upU1s zG~~QSwIIU%08OBwRWtY^f?~#{4Tg453NHwQL1h9Uij!&LGWQZW@cfK1ddo$5Xoc^Jm#=u7KtWHo708`kc6ijTmKu zNDN`?g}WAxe%Y!-*H^Z>wq%t;!vj?47s>d@^NxytGTC8u` zie}UVo|7oR0~0=W$3uJ3HR{Nau& zJIpdkZCqT-*C)2xbRJ1N|9h_5m(TT;TEImIVwvl%2dl23KdM^(Z@$~1#AbcHXRE3b zmx6MNfBJP+41UuXg~Hd|aqC7SPx15jZwJ-g--v3>d4_>>&sUST3^EZxNr$(Hn!l+8 zHq?o)80W-|aPcEqYPA25xe4(TUcFH@iOAa|jwnZk|9Sy3dV|`pwT*cD$kx!ANx6K* z*LEmrAE}zwHbFSbsuMwt5)CxPNp-_*@FQ7anXeYviBt25tv7xVHP10ObOE5zgh~AO z5?zzk%KSX&#WoUl#f^Lm^1eBnP!f zn%?S(uRH6iG>Z(D(i2~+N4%trd?9wtwlStJgFT~amhr{b=#j2{$k(!=f6+AO^+nfe znh2!*aW$9Ijzx`dIXEo5EewWvMAszI1-HNbMb_pcT~*wTX32o})6OTd@>yRaY7j5Z zC$RiFh^=kytN4EYpJhBja?Em+Q#8A-Jg3&wz9huw+WKZT&5#{;StISl`X*icia6J0W-Q-%%agmRD1*-* zpw_3o_FUaIOGgi#HG1oJvs%eOlG8a^!{|m|4QCv+&+Rtv5xl97oS^FN(bQT#BHTSw z)<38e zq6oSeYxga2aI>?PXMfpd-ZsuS1Tu%wj&uB-m(myw3^Gh|jbnr+{P-RDx^6CwnE+?S&1ex4{;1^VMBq4-DSsL4O(JyRSjhiq;yH-XUd8!E%m^4O>BojE zGi4cBWgn(ontGUA>g}C#*b8zB*_NPWpZR3~=;xiU|DGf9fByA9Lef7#vh7W3_Pj;< zA%IBb0kg_PwgViaEU&SsXkh{&wS6yu2EGJ#v_EtCBMx7rQToC==5QM>KZq#Im!)*4 z1M{VIFfIJn*D6qu+dE-(1l8&Q*aL4BVy0%`FpwLqG3!{XFza!1G4nn-1{4$p-_q80 zcB{1TWCmK7u6R2+DQ+{EdnC^hm}bbe7ri--CMwdvX!Y3F-e@Iww&=K+K+=3ZF$ z?LZ@kr`)@B0R%!MZXtc;<{rh6rqciqcO$MgKaP#k&-vuP9ikY7@;iVkb*hMh#&wX& zeFhs)guOaJj)JVceU&XO1Bj#JR3V^Tk)XF8boc|VR_BILpDAt+@Jpvg&7F3XkWkh{$E)&QQxHU#N zTu0NR7{&x!-tQ|4HD`|4&_Fs;?PN35Z?FXOCc$}$)ML8EUYIMrT068aI+&ikUnFT< zhAK@TQ&~vlnrLG#5~-zb!I;6KZckmPFTDx(9t^IG&~;xcTIGS`w`WFmAYx!Am!S!^ zv`*6v;t`b~8n$E9#R#~e+tl*VEMSd9U>JwPeRBTLT(v%7#0h8J`bHc*XfPc8W=E4` zJ{cHnMA?eYnW1ANU=~o4B9M&7u1mncVOBCqCXRlgAXiVwn)KdrJbc6j12?CXGbp?) z)`Uz3Xy_jl4ewPQ69Ne7lZgI@+8mL5X$~=n#AwS)j2}hkhUcMx`GGNX(;5XQdahnDmo(~Xl3V^;^ zxG&d}H3?wX3Kbj#n0#9GYI&~KbmfNb8?&82b81Ki44=4ziP)sr>j`R%L!+!!PhE(( z-q*gHR>~7cud8zeDmNF;zIZIy7d2Ttf;b_d&WH9z0D=ebU6kw&79v|{jd+JCU9@yL ztsWQ0p>;I!<)KQUye)y=c>_GnTTS~)i1mCN*`*Q&fG}adcNix`+I1M)$8985+51_< zg7)(WP3*7SZ@%v%^@YFY@BhGizmK2S^Zov0$It&G`2H617KZVIM-tXD9!ICV%z_#_ zUcU+UoUbiK&f-P1w-jJ+evOS+JLnVpjK*;n@|t0v0lJiQNJz0nLV%9g+0cr#`2NDm zNk&J^+Z(h?V3UXcypFEJKk4_{i*l5ar}ydUFYel_i@%EiDD;c=diS?WOE0GUnM~ z*pxJCsjTITB-|B}A{!B!dW_-upa?T*0)P@RT9Gj3W#rYl*3GyuKQcer5TV3=5_U1W zL0IYcW(NZeP`(qHd}Q4Lousli;SoIKzLvyZNFgdEF_miup32_*^+)DLtXPSmg3i4D zL=6Vn_B3%3p)PxQJPWf$S?+Mbz?f!RABm0aIXJyd@HAlTN&E^eG!Pq; zc}A#<^j$(=#D+rYm&FsW-d+V;hPKc z)#~=|HFkfV13S`FMJtcklxcCw-^6rUBWHhm8o6FS;OFKoPo&ihXMhawh!hBu;{b%N zMi9_PB5}dnV6#hrq>PJh7d~rCptl=T-y3IsrElDVTC~e;K%aB^(=PNL5y(ysbrfk$ z;+SOf!s6t0M4BeG&A{p&GLxiHc~2E%Ifq+{u$rMWdJRvm!5;YxY!btvJnUfVrtePV zyinS;UdAPl=vvIy0zCnoH2@w2+*xxWQvx|Lv!t0tqRi7+jzHep7~1i^JuI~W2@wMO zA>YM>b)3y;I*ZcpQaSKG<`6Uhz~+@6BXVSNEoN1-+XxfnfqepE*nG+nmH9}ok+LA! zo#`@@Yk593G3^FNP6M?RM(*TDzm!_dp~uyL28$O2Z4D$Wiu* za7RPkM;Ri`hGxbl%QT!)5|PuqFtUwzmVepi_C77qkdxFq0UVm4|Gf_6t5w6VtJ~}M z?)K}oyZ5`rKks(T>$|zz{yucXD-VB5bBE_I*X_oK-;Zm~oekfu{=B^2_{o49zrX!3 zURIt<_=I6aD>wpxB2YzeZA9Rhjqp`bie#Ag+Yhn=_4ioiAN=$|!N%kd7&NIOg{S_+nERu|txlK9El<`j+6b%c^seiK1Tpexr zQa6)~4u|rc1?^~B)vid3@I999j0=8Vz9LX2a$c?Oc zKhPKudC5T~GCR4_WDhVRG9m)Vyc82Ie#6#Hr!0=7@GhP-B8tg2soVrfx)>rlVj#i5 z5RONTj{E}q&z}KcMy~SgUwU@`f03U3uZUmU^xZhzPS}w9Ps%e;B#)j+vp;5lrIOzP zDFl%~*h54RIim)=k%asfTQRpWEIa|U1!oaNn=O;^+(<-5NhXS4D9zJJQc+x_3a zkB7_4zC8S|-_J|^JiPs{)5?)< z)`&C4Vwvw22`4>&t4nTqB3hcRjq*N@5!0T{Xlp(XD+n+9PwjZ?#yN|&k0#{vR$GvA zp5+_n5lx=jvJ6&IxWeb1uj=tscSXY=85OUi;bI$EOFG49Y0=`f3?159q*+dk*j|N{ z_Ee4Glctxey^W)qDbHG?YmMWXY;CRb=R4SaJiN=L%XiBP&D4#wBe2aG9*B9?<21D> z=nn+*1K$D)oM7y0yGAt>V?}qWS*0GC`JzUa9^~s7!uAWhYHB`e@5s;7tf^A*t?l(W z!QICZ1B)`VWGx4P@#?TX=T4h3PIV{AMe_9`-pqo(jU@!d_<*^?v>TL@sqLX&W0A^c zuF#BsFi6y&SXFx2*b`K4q7`X{%A-RHI&`vScT9CNoZ2_nUuFHOih4`T#cFk(R1L;Qe@z+z>uEigDNs?yJVJa3{r7p@Gx+Y@H4<)vPmqf?E&GWre zNyo=&E5IvlvP|XT0hQ}1ZwoW4DELcgiz>aorYdFO=0DBb4JL6Tvr2@o17#VHr$prB zBF!y!&EkHT5Im{^5*FHWAPPoq)WT-0!W8qqwfLFw$U%w@0KAk;SK>&*icH!yM=@gO zK&2d*Pzbo0hr-kQB>`*2sYz)!mEzsESzUypn~!#RLT^ z;UO6MQPo$|GZu&krm{;S_+CpA=rNHE%hI-wbc;cY)z(8#&SLsvNZB~P*DB8ye_a0C z_~#QJ4}Wm)&+p&&r_=9c^oKvg!{hSw`gwW(6GMOhJ1;+fzt8*25AlnLLRMWlZ=Ds> zc&I?c!WJyYz!8C%G|2NzMia+6eq#tZYj@)beG!G`&hK=E*0r+8Nr5w;a+q)gs1U?< zxCASUku&(L<~Wg{D8v4xrirOQcH{%&;9mt^=NbT#k%Ms?c^Ci;vWeXyKOoxB^2wL_ z__B(mGG7b(WHM{RO@;TI@%@AB%{+S0UyI6M5xw=g({`6CeXrgWGr6-e%BVx zdRMuk{nkjwfYualf5=&XO`}ZcEd#ix)9a(`i!Ba-Bb0<-*BKPJf1aVe;g{rLn{pP>V~Erf~HP zn~p6cDkxE#5|H*X3qvxV61TA?VH2_0Q=J9Bk4WYch>T-5O^}R}$hz>{n*s+@@}|qk z1{k0L4f)2OKpVrLYjPQZNXngIs4U^iwW)b`MaTgWX<-P2l>#jbi(IK{7PDofARJ^# znOq{*Op`SPAaxle2~>rpkC{eIgol7dg>JRAfhT21bSnz*T@NWfyB)4|VKizMs2>}w zl0#BxxR>b4D^L!tBX&kqH4e8@({gEB(bfJj?VlZPN7Hps!T?A!4V1NkwC2E&88cO7nuwa;O~GI2(`Uyg_v4C*V*Z~PQW?}1SnZ)|f8Tu^!veiST6pg#4cv^d$egj@u=Lt9Nt zwh4!Qzai^+r9dXYO`ca)L&k<}yUSooXy;qpBpq=|Ci~l>IUll4)ito=VqC*P?hinF z6AcNTwL3kmIq!WaMUXyfoP8a*K{SY#L#qfY&YH1WH6OA^KZC6Sp7tOisLfuQJ%`kw(K{p_n1Zj}LM&>6-;9V2z4R80D1*$Bl9z#`}0ijZFbg1JEQ=}pW6a!38Jh7Ju zAwnWB`C;~^K_F7H8x=MJrJSKs16~ygbZx{?V#CnCQ0z4Lgmg&r?R_Qyj`fX!3`5=m zDgM(g{kD*Q(0w+(-z51O%Bp0>SqFS!BVH7wx8ME$g?C|jG*C71$^p)#-NWzYNCr2! z+H*8KH<2nLQm4&(xh*k|KVH@Meg3}U_j`Cf@8|FJc|U*Mo!;|*y}vBa+wb%Ce_dZjm-qji{ym+ArV z`QCo!-~TxrPxt5H|2{uG_y2u*`RG1I%E}yCVfHSmtXp>BpwF+7o>?a-Rjtgx&Q)Ku z&ZW)rq!`27&Ja98tY7hfalCCw8x=E*6uB)MteQYVg`CdbBTX3B(vgDWuT)q{P@h;aqoUC3=Z4gIp`7hts*z98&g>dw%miPou6k)YieN z4_S`Q&|tX}U+pOIXGqfA0+v`Hx=8fV0?wG}88h<|r@||5MvhMmI}ylP;r`sB^pY{m zoT1Q%EKcAUA#!0!4F-8h7;^m0T?Tshq8k3Lw%k z4JLz@nW~)49NMh3MXd^s?7$#!g4Tkr#u%3-(0<(5)xZgJxdVnHdg;=zMGSd$e^o*_ zk=nzgCD08SG#TSWuM`K3$gYNotI9WmTS18QF{?y<|kt>C$U!r5Gf3h(eb+ zpulk#=X|t+nO3+3P*XM1gh=KT7xR1dXAX#jJ$ac zQZmvtVUZ~%)Q!L?CR3{uvn(?}B;<&0>LCzlHJ&;;9S|=q_&J0cq@!$x2YvS2O0*wP zFE!9^}guL@_gs*AU62iXut{s=nd=_Yvdt(8Hcnbn?V88c&8MnPs{b*JIL1% zbxdhnt9xP$>Q)ORWJ*&!5wx@9`on0bJ(zP z!;E|?w)(Jh!z;(iL?zbL@R_#|gGZ7yX9vagEq(~%P5TT4+0;oe6 zxWsE5Pw0%mGm;=4geNKRmLv3Hoy>U$66V*i9nqy*ti2bxLz9-k>3 zsfa0Uo&0uq+wBBkkJg}b@pXG5@X~;-$-%l@J`-4aK^k788Fo&wYoqD9_yci>PXx|a z1Wpc`8&t2$FPjrd1g4Q#SvZBT>c{_NA%KCh0zHF=4K44 z$ZM{Vw2s?J#{xgmn1#>H=re(n=vDlz0zle+YW$J^KOgiJf&u^lFsrR=uPvVB zdtQIA%|m+7UZFb!kW2On+`hWp-n}@pYrt4wIz}cG86-l=$iVL>|N2cpxun!U-`yCy z(L|E3t?N2hS=IMjvC|JWJZRwO%$)hnaf6@NF5fNtJALN3{M)j<|GVbzwTI8PJ^oYi zJN@MP`+j@)TKa3-ynXw7!G8k}?(_69h(um98SelFuON2?Msh%&`M zi@Yo&t}BY>(=b*^g05B_urG;8)t}Hv(OT)@z(j)W62UtRMeGwHM?w~3NP{`vQl|tV z$YGfRze9ztqEfZ~DgKAz_z@)j>BUO}zpdYX&!6n=;nMTBN%xqtkCzAc4gNjr^Mmta z_=|)zZ$xd2G&#pi(#kS}ky2Ekxzw?Z#}jFvM-nO3w2np;(xC<&SNkwpLk3X4#`T2E z<1W=T@)@J#d8Sk?8hrhYyJLO(ANO7_N&EQX8%%@l$LEKDG4iI|e+=XI)ti;_rM zQr(^GC}W=_w3dVL7IcSz9#sr>I5PX8qM=a zg(H}^_nTsR_rvkq{CE28(e(Mf^!M8C`TQ*dPB5j^I9ipW=5Y>#mNak3f;i-%v~(nn zd|p9~ls9`OnVdiOESt8r+W!TW4k* zf6y=T0e{`R++ez$rD#H^+(dgwv-kyM)1YEc?Wo3OD2%9JLNGP6iM99GdqgpdP$7t{ z5T{iR0Ry;w$t#~_39fh_g z;pGUBM7H?CULzg&@}|X)V#7UK_qQ=IZ^RS4x(PXgOIw9dpnq}@DR3b9=Aw8Qr0HrI zmp+3UiUC2S${(hv(Ww_I1gDCij%7H>xMC>D@(5!H=y;@~Ip@qF$a)#F=fkhIvSFRT z{Ix%y(HnHJ&zJ;Fa48YNGPPL|6hxzK40O3;ZB|X1;W*n0ZyE+39VZfj7YZ0w3RfLT z(L+MQCQmRcg)u|VF7IQ{+5}$|eU{%HySH+g!147I$VH}G))Vy%NPz+znU9lrklC!Q z>63J8nE>QSk_?CJ;(LV26MY%JOxIzRWmUdtBCXan3H{oO`xF-FW)`x_&PCZ}H*1 zZ6`ZN+vccEum~hC_!b5axYG;boI66v<{$xqWvS~iX@}@(FpBHL=mhS-psa=r9ru@@ zgsVn8dbky558jYy89qa7_E2b1m8K#1O1Lg!8KF){AnYNfvxHP&3E7ZHsG8iA+@vx_ zp=xu&BF9XDvJVbtDSRBDiv|QLV2Mr(yN07Yiz8yil2Poy>;AoaKEot>`KtBr_uH$z ze%4#rl03gHW>qZ{ZeRpq$)L)!KF7ZAnxNZp-l~so4aoCzcNVH5P0H@EIZqhc!(m{u!o(lJP9Tt2B`{z#gZS4!eW)#cz1nT>0R)*#qIonpBp+XC5cYgi_|fuhUy3dCaL6FGBFtWOuV56 zwv>CI46r2>a2M~zhb=$OhA*C7IN~`1u8*&;6~;$CBWpjLRAlMwWi)9(g6nuZIYeox zy_5T@(FbWd;k6b4WJL{xi;|#%6p*9fGv5N9MwwGk=bE&fdjui{GB#W@bzc;nZrRS*`*Y z2W%EJ5K>b%TMRq>Vu;PkY9o(pg%`)x+{~j=Lxf3>H8?|5Q>qq2+o9EYf|Mn7Crx%s zObKb2B6e|kf4)WpH|Y*^-R((Tu8VIk0d&oIlur01|9iECOL~v@_%i1-wmLAg%k~zc<+%|!h1gC~7PQnmq z>=+u|0Z}^0lyVm(G(b8uPM7T{;6hmTyI+!7lUw>P`WoD71KlC`E_pvQ00YAvCN8 zQz_b$4_|H^*z>h&Gl%0#p+st2SYV}Q3f4YHdZU#bJJt3CPk9w3>ySDJbi4XdaW6p< zGtsk!Th%+h$*%WsviNETT}bm^8;0KR22W%8zxR3U+2cRF8DH)9Gp3>x)(?P?3ETio zEt4*ln-^uaSLHmbvt52d^9967h(2i*=!J$*#UdJL)CzR4!5wEh+%GFJ;v{uiZSu~} z%)qtsd^y!CmOwM%_V+TG!ITuTYK0C7C`QyT!+_+m9cVUzERX6-Bo{ekpi3aW9#^zE z3RDwZvK6cB4SzlG6f8q=3Rwbs99g4f43L0vwm2L5axMm3fAWU$j^ui1}k33z}A_q$51Xw3} zI7OE2U2lQ*+|$7=CKUyg-Q+rzgiT_;=(1ih$sOw4VV`B&F(8E|x4j_MCu(4!53m$U zBqv2)WQ#=)bTR~hk@Z-kTC2#si z8rlB*K0L~qhlqxu5M=dFY`Ebx+zqU)a3HGwJ5?V7qZC*VbUr3X$gICftS<{@+_7*k zr~LOPzFd}FYL>;7t-Wkh6ETajs!UT_D#7)ddIg$DPHB5i>k0&vA@sl!UDC?C3G*8( zr8n^8%##GJydi-z)yXf&CUum3X@b%adO`FYYV3H?5m(vOW|l{uFahh zCuE>_L}|N4p%<8fLakCg3~U<_Ep(Mgn^*yyX=pKIM3)+$o0}m^_703#J1%*{uHC1X z6_~JrTy>mIG6A4PM?mt|cF@-hruL}Uv#8DKqF7-V0F97?I!n9o5jGA?EIBPO;=#Qm z5=4nn470@$k;wHNpkMp#vqAmm?#~~yaK}u5Mn>+6dx5F|lA7jlRh04gKaP% z?-K>KsS|3X!EHZoWCq|SF;`1l5+jnJVnL>4765t@qI@KBMd2s6h4JJ=m$yP~=s)`I zo{lf3&tGdL?UPDM_u^!{<2bddRjD1iMk92ZS)56=RY;RZUX}MjI#i!VL%aGc@A%nVsA)F@b%O_yB zMCFs_nBPq|Jckc%VQ+68H(!Brzu`U~{5d&&n>cXwf3l-D6M`QqD5PYW$YHoDd*}<* za!xs=HQBrgS0``~l&3W~p^0uoiRecWt#hD)Z@&DMQHi7EMD$ z&8NbfDT{s%)pmS!?e+EN$e>ACc~Ik;p)zHVGnk7yX1J3>ZzzGO53EwFb?g-dBm^R{ zv;>RetwQ(q&qobQPR+EAAd{Qhu$kb=+aq4XxjEZ4_x*2!x8L3|R8EHeLG0afPEIM# zHqio4xrF#^gN0JB42^uh5@a;%!ygq-worc9)ul(tY7V{L_&y4rZ@sV^$M{-!4sR$Y(w7JxG~SGPyJkq`tSUn+Vy^Z8}*C1 zPB`HPabI!C$l@+3@KpRC#?C1^lYr~eaniAqH@2;gZQJbFwrwXJ+qP}ncG9t}$;_IY zZ!W%nZmVvpYOOkTPVIg6BU`w^DP7fr0UifQns%u&M0LmsW;rjf&2Up++s6f^S1nZR zR(Q@I{`dOw%Y~_{>vOuOMFC@X@853+=bMq(^N|6y`SVDd*b8Itdmwm(bWj!I&=h(Z z^>U?l7Nj#=1NUa)#qtgo|Kdop^02xq6Q4qMeb1w`-{U8^2B6+d9bKPsujy%DYeor2 zuQ~JXmV$IFbAn7ev8_zgw(|XPoRH=r1<1`9`IP~pk^Sk|hBP~v)a=3BRA8VnVJXuP z=+~WA(VuQ^T=?GHuUlO>+0PlFQ}@Z2zgWD@<0+i!wOWm#VuN-{eoootiOv{uyU0#fza z^B@RS7sN=nWLj*PhfXet0NSK&6q?ve?dMx?kyMhu3|)JjDOnjAc-pM5fVYdW2SfX3 zwTg5ZQG{ieT@m_wJ}zQh{7)gCn~=Gf30hL#`GlW;yN?AkNt8)0cJvlgY^@Kw@Mn#! z-qZ8>`#@SC6cg^AqL!^JCoJtc`~j>@0|fuDa=9I;do!l$oH=xL<@G3ud=u0nHM1uF zGOnmLgauRO`^u#gZzo6mj?~ZSv|d#+iQj)qg=Nf-mxt+-r0q`Cb~KiRAfglGGA>3! z71wLD1ba(k0gVb9DA62S?I@xGnl&~2`zK=DgZ)V`qvY~nD7ji%GMiRfTeuVhPFjTL zzEap6RNDjZE_cP(&pR!h;^li^jIhRFOV-_QF zc^H{7zFdT>tIGP2W4$43=u17$~7 zKvY*FvMUj!)>k1Ii>t&_2-yUK137#hY|s7^=F zH_#-)e)i|a)G%2f=1USt=OU{6STEyrN}(;k6FDiT-=9s!Ykj(WKb@BFmNQV$731#` z*4_GutTWHJP>e^E+vukU+rfs%^FUx;g7V3S`q5|U@=lq`r=_G0xUHiqg9GN8ju26( zxk?w-ZahVt>q5Povy*)rBZh;Ny)fZP!s;_kMdxz|a%tz?Ozxg`cI-5n5PTZWNqj`gEWt!ii;}$MUsllRC%N!aG7Og#OU09F$UG8 zc6XrrbU7!6{788aRnPJr9+i(n;yn5z3z@AnRI!Yvk+Tvk|Nq!6$#LbV(P1+a1K zR24j2_33!~wjAh^8AJAQ8^6->HAAt_hqNo_RSTq`$KLzdvz5F!^fwKM9YyMZ`%02}0`;6Cr z(B!vLD}$UX!bLV`6DY;o|C|mY?S@zh{h9U9>qZ)N{C@<`47A1EFe;&q&RrzeGaeGW ze39S6b~`zf(H$*3)jG%IrVH9nem+6`AgHI^@yHPSE!@^s)J$KUUF)HI6k59+P8Yvm zv@86I|nnIaX z*S|?|Xm2Zt6BA*%;q0ij>&^s`nKeeAzMF-Q%{`x>8TEUcp3hKWU6?XPXNg1X$%ZTH zH8sJ70U;_lKljC%32mM|jgwTFqXoxzx+g%Hy=LbdjlI8zO_@0_nYw1dar5zB&pp`g z&ZHb|N}<;Fc^s?8%m-vt6WTgbsJO{4?Ve8|V0krPrGTN-R#}qDSy&a#rM=!Y{0KAXL^5qy#TNVbZD1BtztT zMv-OpjLcJ%Iq7MVQh3C8z@xO2GYsC;1lCNx~XK@ln&aKfmFYFlaq{B|nP|KH_focZ{`GqE{Lw${sin7N z<4vzSX4cYOw*3aZ{wB(8*YPOxh|TGKe%>~wrRUiED1tNIH)Z981^Kw}eb3%0vp52_0b)IDN{<+1zN{1ivI}l&Y8E0_D_4JzswpMnh%Hp%9+CsD|X}ab`sYSJagxSM; zE72)DDM{Eit?Tw1=s$(IE2x;fS|}hOePy5@S?<3IbN`ibbD61QOEiWSa{Y>?J-r>} z9|BRoo*Yw#K=l}ZR=)1Qslb9I6UG$5jORWaj(Qn8V-5k@>~E9n|8yn3tnHR=qqa2Q zxy|YP_K~^aDq+fk<+9_lk%Py(Ieo>uv*VrR{jvB}@$wM65xQ3L-DNBE&WC@E-`%*= zo7~xv)75kK{A2WE$L&gclD-bb|5~2kWyUMK=a^!F3sOn}Kq<4|#7ogaVQkiE8+jm? zCX6R$I+O^TbV3Jk;wFcp6EAWQJBpkxdh8~sQ-YqT9?pyOA4R(;izK5++-}Qmw!%j3 z1@Qw__bXf4Gh4eC!2Tkf(YDLYhtWnke;B0Bvd2$yo^}NK2u~2hb6QmXRTAu^jkyoo zVV~Iy>&nEA!13jdLY#D%U<^#)nEOMPF4zW4z{v}QBTED8Rt7n2ils{ODWH?pB|E}^ zaL>RMB;0LQjDpumQw0~y5Pb=bt3h-uDL`SVVDz39fT$;g-TTKL#-G_1H zOwAWd9TiO-6iqFTWhmQX08HT<>|+~1gG(ELqa=637XM4z03|crafUEYzgjFf7mKK_ zS+oj~T)akIb;AiD&`s?PBw*TIP^snKy#q51jzbRsvFwUxXEN+6q6^yPVG7}wh?huf z3J{qud|(ud$yd&--!#HnLCIqXGK(-2TOk@GHw3rG3el$oNP_hc_ZLsx|W`*$|D zml$g77MKb-;(W*sGuNNJI*C{?02V3}m9{78ZGO}g&OQ_a zG|l>3QX(4Vn01%Q?E`*~Tf7Y5lf7F?Oe6+A?SUS|3LEZ70z}F|7;y;m?=9=LRE-g8 zJ#_7JrK9=%W$(%&=!LXYM`xi573#IlAF*kIrcp{6I!LAIoDp%D)?dDJk**Co$f#N; zcsxkw$Nu;G(!p~5$OXLrG?Aa;WF1Y<0;38MY6#_$=w&N*riq%SaU!rGY0%Uk@k93V z?e2Bu{EI%uW!uG<6{YrCm^@f^V~RKR`8)eFZwk-G)|ctr>vJ_^qlW(L-u&gG?(*B+ z_4|>$gMVH-h;a*ScoG0ma%Nl19tdxk+cW@8lPA7mQtR~nvGvVPFNi)VaGVpKcsgC0iSxAHAB zJV5n;o2^ruS{;@-(2WXj$Gks^;#pt>{EZT@j(U7G;?!kglgxdO04!Y1^e7D*p<<(; zlo&-#0Ro|;#%z3BjjU#S!eW*ZJ{(fSeN>FCOz$kQ4bc+xjH52kN17R*reeo1ILW9P zpQNxBNDV*xM7Vou82dD@yA>CER|T?t$qg4QqULJH!!J)?E3T<4h9sA?82@%odmoKq zRtSwu_oZ$mbg=()uTD{NPW47K0RB5H0GGSZ#YL3Lq)w%i6^dbPMDm@(E8~VtB#Wi( z(W@BTv!P8zOdHBeUA?--C&T=;zSaAy!Y{+36EPKd;+!n}F8zzrdtxJ+^C-?P6(1`% z0G}#?;S}%9_RwlKzfyW6Ve_k~ zh#XgfZFiZY#6->J^wapDBTew8L)9Y@i)Hd2q6=hT&G#d!Ub@Qel{HO_!{f0W8#iZ zCcpXkv1=sbV`nLhoIMy;)9^iI*0noeq-?9zdjPoRx*gcXmp|0+upd84=If|l>pp0* zb8t^yk50M2x%rworLc;DF!U!EuSeLHV#`9%&vORu@f9lZV&ks5aXM?HxdxUr6gfGSDjRq7I5{I@thd!dsNTmtbUD*U#^*Qafm6#sLN7W%wJ<(q7+KLwC%#9EM%a!^J%k!|;zECmc1E#I6cjmD~dGY&xb^ zv%MecBEv2xBi$<$szz2~(ffHE>KhVor*>PhMKwuvRn7gY&mEKc+J3Io`-u9<(D{;ji}8KD|Gvhd_7kRafZCZ`iRU}-x?4wfq}bS0 zBEw0VMSA2bsZhjvhS>0UJw~o~wWXNatLroZs@tp``B+A6Go+ZB!L}WQ1l{tr@-$*? z%gOky^Avg_GbuT}y45?qy0^KQx^~+MTz1=u6mQc>e zE1OQ5*t!u5RwWYjVP%kwEleYUGC|&zx?p58e>BnJ5`QU2=Iq68GyfwpRh+zH@az|7 zUScsKv=rP{j=WoS-p;Qr$$t+xK_S*y?H%?@nX@)63tHq4sA$Aef(8<1>f}ypNf@kV z|Jr_KwWz|>5RBs&GU^Jy0(bg4JRoYbP9ZJefo+L2BQ?s6l z6pcz#4k14)u`Dqz8g0;mFn_zmbb8eQ24B9Nl5nZMo>BCQ;)+d zd$Bz$Cmv06*=u&l=IBbBoJX@CO=hSQ<1=|PBYI&S1PG9>5uSc z%)_4Db$)lB6iiW67->Bl$j4eO*qq0*FlOP{9CJdIi&kbuy^n;lDI#_KAVqBzrSY)A z{RfI>jgm66w>oNt6k4#|J7RHGn34$j7GI>P>M^PfP~z49owCg^A)Rg(S(zUkdVbI3 zR1mYZs~U6g{ylpB*>U}q(&;0jy~D4a4Y^u8a7Q|s(t3rt0iC{>%}+(yBx0#JIFW>d?q$}|#nv~&p0KoOk{(CcNwJO&s3}tUojPPVA3qQ@MmQ-sJyV{? zpl9m8sU9uRAQAV67Ifw}M!sSZr_KQm;bq1CE+#o9(AXo)WUV+)tR&;%a0U!SZG=11 zxV;>ULpDYscLl#qSq+@5LYmliL9w}|Rw4xXEK*#&Rb{vTp}Ynq?6k>+`m&M@;vp!e zGDK@#@tiv3QY|Y44tzkkEKjaoD#pXXGLQ@KZP4&&Et~BuZQL|mQg*ln7JxI_C#-=~ zK3cN_`zg7Uo7?ciG+Nn(v=JG*3Wgsz*0?dgP(>ZUGVR{GH|&2TzEKn-Ei~7~j|=wK z%+k$iIixFLeUYIWwmZY%Afw1G0|v(}`_TX_RZ#{YVdZshS8!L2vtFT&ZZ+YTz(UFPRkJD>r)`R>Dn!-+s9A z0h58Xz6e~`N(LT{Zq|ibqI za8)Xlome%q8V(X`jH(7W;4cocqziBzMk=r|m|{Q+yvwk1#xXo>N`>dh;>I2uHZ_6d zB;M;|=rf0gdUCzL+X1RYl;pZgSD{B$&UkV0t2!Bki%r1k8S&^!w@4SDy1^>YAEShy zFrq+g1I(?@ju1E|oySDIBr_&KK!Sb*Yh1HpLC|}FBmmjgIJ+{!&Pl^fmN>i1{?}Y$ z>nQk0i51EFzZ_Hp2UH0OcKxa7&{kU@_FqYRloY27G%?ynMkX(eZ0RL9ej8ZX;tLF! zpmFV^BpkRlaMVIzY}zoPKto<(Ebxb`omSjp(BL1|Q9gdOZs|fNlbd9B*pkmNx+uuO z_DOCLPDJJri4a6K>4kePI6rtsDbW98Q-uPu^$S%yq?D3$|M{R4{lt3z_cVEChW`qm z%St)_VVT5>exE2U!npl&K!E!kK`gAMAaN23XBf~&fYXUeW6Q4Zv%M~Il-1G34AjAi zkh#ig7xucX3fsAO`x&6051x0vZw3(fzDC|%`Mw`xd%Qkge>P#iPF#K6o=5mT?`}&F zzMrpt7GgvAzQ0Nku6e)iZU<_&oC7eb24Mbd#W)@r-2N!jZYSQ4VmGenG4rgtEmpb zI@VXUb%jN7WLDiH)+EZjXj5muz0e)~tk|e#Y`Bi9!!5f?MU7HPQMya(z*#&cJ0G*4 zD~XE7eX;S=& zX}4oA7KX|u{yZrUxm0TLx#%txJ6ojAi0}=A zI9WsGV%tkuZ^REHa0WU>fF_@YNL zmphYeZ@?PHoj;EwNxx6teYX;N1`@{NUP4y$^GL9?Qey(dF$^+_N+N6(BSQ}5tC&|1 ze;S-95({eRVrRXj;Dx-jHhImvNnr+;`0Ni z+j*+eP0(;_LX)=n?9Af9@an!3TC z)G+fE>dF}A?*51bOzsKlY2QIA%O2w;iDO4^6EsNS=~|1`)MIsUzGr4M+0Z=n$=|F) zy?h0ddh)C^9`-2?Etm-9ux5S9%h^^u@a3z7V5fTWA}pqfMm%51N$vYq2XSX-Zvo|^ zUF$UpMLF`|@v(gXB)WJjkcjkkfn;})CBh|Vd1o+td66uyXezHb3bpW99#oa2e&aS4 zA0739(r|=2Ed>KR@@*Upsd#|>Ss55A&TnndG_ZYDF5dTu^5Hc-`Q#mWXTg(d-vBD< zM(<#%i$j?5VGL!3$4|~uB1TU~H|j4ql{dKZH-Th4Br|_HFs1pL<&_^E;)Z+J#e*`bP_24uM6$--zw+{CW8fLwWrNYQa?5 zU7q#c+o{i-H?JH)Gb6Cv!E8rYK?Hf6y4;1UR1n}DP=5PMMIkxp(S0kgGndLoa7lCY zs(1^?L7Bd^-bTJ6!o4dWn*O9RtF$xM;*BO{3rU$3t||8P+W0onSzd(zyY#G7?|6#% z_B^*7M>+3qru0vZS67||yYeTv$`Q44UXV2X9c_7Is+uycqRx4)g^#ETu*xM$Ihb;? zTxtJI5*|PnSD6&&08QmS?(7X(Ht(9ep62#l~nZ+n#zJB>EPz93Wr10L;PdzSuYR1qE2x2`?dVz=ew_=hub%CX*yop zCL&YyC9xS84e-bYRnDj)IBOUd3+;JMW*D7aiw#S1=? z6OG@r3l52_{qdqLA3{71q*7a2RDDEILk7@-9;!uRaRK*&7#UFl**aDdhv~%^vn1-_ z#7CybtnG2bMFV14Rg!%!8G1=#fr0MrMdqCS)VrInxYoSsZB;q z)84F$ZoEy^8a`{Q4cMA9Q4m&sT-;%r3@w=w;D(T#W>uxm-{-nIS)GtK)rJf-uCcPD zWbvhOf@*74g$38`-k3>!NbQ^bT^PsBAydR5LE?a4KuDE|M0{nhkAY!PU>+e~Hn;i= zP?Xs!TdDKdVVf<9RE+OtP4^5LVAA+Vrg%ug1|1dyT#Fi{W5H&M|H}+lH{?H%=406j zgr=X1UkOa2Rk+&Uk}+{ntF|Q)i808faZT4;k;5}@veTZSh^hMn_CRniZ9g1^ZGp5W z_K-(Q?)J*UYMJ5O)(;23E=Ag?Y=x4$0SI@ebqc?@7SEdfl?UlBAyuXGWCKK3Tj%%lbcbouZ$ro8@}z zE!mh2SwEE__tET)18U09H9@dQqoc}ugY1|D+T8_ciX2-f_{shuc0~Tm%LHDYrC@(% zdwDrXjMT5xpgQs;f|Y@bCi&zn_K+8p6BHj!A8DCl&SrKZE7M8Eo;8IOB)umZP~tlR zb**dCWecUa|FhexV@`#kf1%4yPIGYmS_T`jd=4FIBGT4F{!^R`f6s-#K^jz;E`t)=cLk1kN&mfL@ zwEOwuQ^bv!UdB6T=`2d*(dFlEqFYeZ+h>kU&3>#~r+xp>yTk;qD9TKzT@0P`FWSEA z;qANL7JpH*9Y!$Z7Jr<I-7_|Y-QBpAqx zS|uHkl`7|2&R?}>IX&-J&poeGu|1!+&)+Yf8PC2yv7IT;*FLW|DJ^_muMe*W^w+!} zAII;%eYtmfK3{jfK0$qdj_>^t0=_?<@O|EH@UOQ&Rzhcd-_J9yeP0&hBa0`{(=4O% zi&y>{70m`RS3UCQocHee_VVdX$ul6wa_*a(2R>WQi}^Sa*p@v@{a(xXGkn zTId=>urjCq3%n()p!<^63wbURhZ-0ZGDIgPj38+ueP5e4xr@Vu=j53?rvYJwn_3lGF5{QY)qFD`T&as1B*J*DoqM%D*zpAj+ zN<_o46yZ2Xruib{*Q{at*3_?e|G?4NEmK#|Nm3Yc~7yRXkBW>T?LKD)3VFF z^YYR>gLXZ=gGKi%b%nO2il@E)qqI!<#O8#g?X)9FA=FO2Y5Jr);DHHisf9q*RL4oN z{`54=a6ZpSlZtV@H<&u0@efYbo?@t^(>a^L@T|)OS_kf42vGS!8IcFfLE4KJ%?h&B5vbW=*2 z?FWBie<+L=<6GZVOdMC1F|C~4Iu-pDPQ@=aBk*hDQR4}TJ@r9-7WC0oad}IqMNti3#|D$ri67X- zuIVPZRjo9e(g8Y5#B3OGhODc?u$D>M5DOMF`sFzaG@v^k5#*p=ZofplG4oE7!YPE) zkFDTHnHo0LJ23Yd#O%BxhUzdgIm=z03*$}1d~aI?v(GGWikZ^0h-Vg-FFaCXpUBQ~ zn&5aF(w2+uUh?{6LTVkw>q-?6uB_jo@r?@LSsTS=bv3_;&D#x;R$ljyy~hyRyZt`F1juSI962DN=^-?mqruqGpg|55>n zzdB&;ot1)3H_RF+T?=JQc0k5!kJz&qwX;)4PuBg<+weMAI~El{u!bUA5OEM0_7mR@ zUIJfFd|&rmdp^Io5MNIZ3z6lPQR)5 z$mL&2j{M|agOj}22U4892#YwAFMsw=9Z8S*NIZq$TYmnw-37)M@QQ78$RL6^Hn*f@ zL}wPmiN7}1$czU{Z9O684Yx}PYPd>!KCp0$yIU)!+e(Dfx66zJ8no3IJ37ix3-#%7?i z=*MELG9)KVRGmRkc92IjT_60Ku);Ww5g%2*BRSeEl>7glDw zHXKOZ>|byPf*~omt`XL7?}J6aDI{h7%$gpC#<*R8#93 zfFYl*dib1)I&pXBpCbvG+=Q4vqiu6VXT}AJ%vmNxuSkP~z_BZpg+n#!X*C$i7W|*9 zfp)Bi2qmU=0FVoo+Jv787I}mr5s@lY)^|v<6p?=1Ew`Refg@_86o=;m2hO)vQohWm zzYdc60ERk`$%WPBC>7(inNR-o5RED{n5!&s92gvX+&=W0(sYtk6Jrd|TIvCY8ozW? zvw1>y=?lM<#4q)L2pg?M!(B1vH6$59d41)7-^YR|DZ2jE5?ARWahn*9<0lODr!fM^C=(@tfb+GkDyw;En;| zgIC?1S3O*u+Ha?ldjW>(6r&BDp}kpQ?0p_I*@`EKLD?5G;?a`_D4F%+DyRGXHuT#x zWAWK`r^oaD>7M?Y&-dfuR(zVgU51gD8fZI)rmu_J5}`-!Q6jNrn^!C{PE z6V$@+h-n;bd0GAsl_79~F0K>9C~LLy8$>R)!y2-YA~u}TFl-c8WS6zWh<;&-ee5s8(7aQ00>25hPS9XE zZLrbNKLW&au&c|Glr=1i?K!Sovk2~x5yL~84SR?Rua4O92^?&MMG4GCo8^S})xuC4 zj-(ld&@&_hWnm`LXr2(6HpY>ltmg8yCO$*FEK8MO9Z#NgS8cSf4}#;(sv)Pd7PUBNYbjY^YoV%C26&xfYg{4Lfpc+ z!4PKK+PBemW{ioYD9I80`m;ngQv!$WZBKI##<4(%toiWOE0l!{kzhmCPEJw71jy~; z*Cqcz`;DZA*(9p7IRfsc$sK7NNtjKfMTSGoP+6S|E^OHAy-Q?neOxh-ekTc|O6F4Z zX~T`yrKRn%uftMOsE}rt&!%@ynsSu%#QvQeMHBz7hQ|{BS>j4oA!dF5L>;s};~DVY zSBj_J3V?^I69fK~FTqYB2Q+v&xU0#qn2DQ&MnxGsI%l(h>>*KdJU`I%?70Ofpb-~q zxIwoQCuIz6YpG}5_8_GyXx$Y}`>qk5Y5}q^2Zb9l@c|6!eppbfvA9fZ89MEY38pxM zOp|Li3S4U$#i`?n-VMS}C&=a}yJIkbb3B?+H-8R8CQq}P;ND*V!jL*<6qwQG_ZE=? z+d%tRQl<+P25Gg1OTDpC$V*Zt0a3*I+(hSDHGcHzF+Ypepm>8B@#7WJI{{IXwssbL zBcqX;w2+2e*JbR4tP^i8lo&|NwIr!6@170WsjoI+ru!p-;l0bo=%r2Ou?*(XGhL(S zUZ#FB@-{BiuIJbZ?c2h`I$wWB_If;{%#5gG<8T}~reZvd&_Dyj?=R!4s$JmWe}otu zo#O$1e)hG(WJEKGQ3*H6QSrQgM_&mFY?u<{@TEwgmCD~hL{(42{BWd;FT-{jV7lm#PynxGhLq&uWth zgP0Oe{n((%CUxoh@dO&eNI^(oe;J!0{xSvN0e?L6X3^A3+<|vT4tKSz(JZ^GeAjO5 z;N$oB-XGuIZj9Leh@9`A2NNl-a(dZ6gv`(Xo0a!{dvmroa(=#1auPa2Z=1v0`Em4l zZ~K!w>Gk#Sct3F7gIiQ7q11*&T0hrR5#K-uztL>5#aJGZ*p|RmByi|#Yl4d z$o!{U5SDWjGEX1rgrl`smWq0C*gPS4*)$_D^vobCyRyL{Z+jAFL&-FP`J|9zib1^` zK_T4Ge(Nmp=_q5$(U><->%L&^0y#r7R+O`)sgjUv<$~}xT{i`}{lpN0j$VGD`tQu5(hsrOg`8WdguxS3}cg3#pDNbu~B$2yAZ8 zb_)DRx|4=R+90XvT8lO5V$#~fcx(A!>0{IeksM;tb<5K0@W}X)H}b2}x|(4=@kg8O z@M-Fvl4(4FiOX1aj264wH+#|mt3$Rb+*Y757ok6@LXbId>?4!YMJEWe{`7B zT&d5RW1%82w~qet_+bDgJJTMe6&G_h30ebaB4)ytoiEvt5glpIU@Q&q?fG9AVkxH` zc64a#$)U4r=On17;{|HaKL!M(B&rbTqm(4Gen1XfS@2w2tH{_SVcyV%eD%5LC?s?t z3%6t5P0*yhMF-GKv+nWlKn#kyLZ7L#`3t+u2om6`DX27ZPIk{>!QL(iPQ{7_fIf%7 zS4gAuGe2(yd^+yj79AM}?np-H@gP|$i)bm?4EcGGB-%@)Gum6HHFksJDOM2c+;G$3 zyp~>rVHrd8V|DV-IYg8yyT&1;yI5{70xAM?tbaLKCP7_&QG>N6P>@XlUi|RxMj1m> z1;1Fe1e*U8fg=b);W3Pgm;0cRPh4 z#w<00yah&|D@2Zmgex^VfYxjS?*gDWu#~Pg>CD&%_5uWdk&7m{{Tm}Ex}kA}K*FcY zjJyo8#cs@H^{Zxd-YC2Zei3XSXBQ8l&^hj+PaOo=(s3o-{X4s;N&5RzKA z-2rFTR0M$`KcH>h$^t9JIFhN|urU0hqV_T*85iT%|2~`tW^u|(w=F`HJ*pnV%lJ3; z0O3E&DBMO$gz}FQX5+^b{6C47{}q89{dZ@Y&@H3Mv86s`^d_Vn)&sPV5_&&<@IzI`hMAQt-IrWe|Pmrzw>?8vC`A+{d)TN z8SCr&`Vc$Pq?xMM{h<6~< zoWwTm;OOX5PE)adMJrz7=_$_qN)%1;N3%OT-x16D*bb^U9ZirTBWAa*m zlhxJUD~omi@KSw~47OCYyYYs#x`G`0$XfKR24VE%K&~ZNG$Xq-t{N$&{g#))2`W~9 zrZpBq7~o7v!c`@t8U7a3*`9--MNKYcWh*kmBMohW-vbakz7?kUC_tocjt&))rpvF+x4+jH~vxv^rq)7ky-o&U}}oAn@FReshG zVX|(XhWd-e$hoKwFPgPzh;0ejwT5wE`6gVdVz$i?hkaJ4Wr5zQBh9CBZ_xQQAK5%0K(ykpX+i!9yc_xoo9n`` z&h)a3Kjom?Jz6sh&F`MoMgw8jb>$()FLZjzh7lgfC-1S=NGjn*nz7LbhzL=Z8iU83 zfi?HXIQ79~e^m%>qlbZrAVJC8Jn7zFRJXzdrH^yQvsBl#H31SeBCD+bt!hg2&%uL0Da12G%^D?OI@XbCGoKz>iV=r4#;hQ6(Iy`Sf97G2y9+waz3>?6T- z%?JCKt|xgZvBpMM$i>Nc)%8clSRuO~n@f~{x4UEq^z{_A!{H($0_lg7 zudps3QBLJ5GDfJ{bFmvTi0JQuGGt;z0v`VtxP8z;1fgEsNrXGQca=6zR^Yoo2(Nu; zkP`rdj@p%FXqWHnQQxPHZ_roUo$l|AAJ16LPS5K-{jBdT|IYb5QXK)gS#)LkuBj2Y zm!Fqkn4dLV!z%o60!lrgER^#st+EKsE2%xxLnhmUU;`LmWe55V7+kPHIqH|w0EXY$ z7-EL_6{j#<9T(hO*(-0R8{&SRQAj`+OgCEBugw;?`&-^FPU23@Q-7U!-yP~KNeSs%eu96*BOQ|MDU}GbMW6r-M_*KFjLB;MOY%a@Isdf z+Pz}^O@`Yl)Y9UP2LJ6MQn|^(TgsN=>prxn=k0VUN3ZMUawX^cePcwAuj~6}B1iY*>FVIeOyc8n zTXV2A^X>jU5*nN1>#MMGJO6dF3@gD=WOkAo$lY{bJ5eEH-ey*X-Z&r<^J1Tv1+V->`wvO)=YZBmOw)?q6LlYyr%9nJ5-DDdBPiV>5IXVd$Vbi`%?0R znRTiKHu!!qU1Ez(*g${(jVTrD0kCAD80m&J0Ec-jtTjYAQ{BL8XY|A*gwG?bVaQ`p z+jP1_mPh370eA*bZO20*rn9ZNbW!&tzu_=;w3aY9t=V3;MxWyzha29I*)=rAsUu4y zn=y2+HHB3wu~DSaa1yWrYlC8Y`pLdYbP&e=-mS@O`z3JsSg}&`-K_QSy3iqq|K;|* zpQ7jc`nfPN^ZoVkN}T@CRo(S*-qB(r8}WnuXp;SL3sq8<$d|Z7C98x5;PO&K7bagm zFl8K+qG)9`mFd%~tNe{W?LDTV9iKiEw{R4O6h#ww3>oAOIxeS!*TyzNY!@Zw#8+VH z7fU45jdejT=N2u*k+SxNrRceIR14w{k;FCr zi%}Fyy=wS^b;SK@x+-XT$h__@{89KCGGcdj$0D{=wnRWK)R{%@kuI01>qv4|Q8MXL zIBU>zdf<2y%(MSD3GExJ*L_2xk_r95Ato3c&!2iui7Ik@mX-JtR7$jOA<*Md8$t0v zb$FXKbC-d4vY`@NIf{#t$F5u`(Edy9qea$2S<8-r=!#;W!+(F9+~|g( z(}hq*GwA%8Rj2AoDnT3#LsQKu+0it3ikSq7j;`}h8g3gc~J@mIgL zLMdkXxNhg8-e~gN)HT?ii!n_JIiL*+De~AT!lX^T(UPEG?oo%pxn~PZ0%MO+Cb&`Y zhfIf1OK(RDSVES)P3EIO&5inBI`2uY>x`c=UeN^CN2;6&sWp>~mqq{8g6Y zEH&lMD}n+T2$smKB3tZBrm!{29Ip>+NO3z>%5oZTj`Yo2W|OfAEJ-y7W@XeON~?xM z(oIHHatn_A<>te?B?t^Jkynv|{ByXb&f z(MBK>R#EN2=1Eh^UVsH@D8X_UZ-s%G$fd~p^GuvV6y&HW8D~o4J|qIjeP&2HL9?1QkPkbXATg4~mB}D$ z0byie^8=@It*c!aC}?CFJV6Hw0V4Q&R{wNl3r|Pu(f-kd@I0Z>I^LKOSiwihphRte zg{1V&16JZ;y4)@V6G4#~M5Nu9_t^Wmoj~{@*MdC|pZISQSwOvTV}nUqOfR@;l`47V zpScV9rU82i%$w7IuB*&=Iu0RQhmbZnEJU00QNRa<>EU~(z)B_~{$Z4XO(28=gv;$# zra($&Ukk^;CPef`CSx4)z9Waw&-q5jkXJ00_P_0p!<|!r$=h`11n}MLDZnu@OKLY* zbQbeN0(0v_wvz$j3mX~W*J%Zb7litp0M*bRPQ^10F*ZcvC)NlqCEh9Q`qL78-;arD ziZC+z9FxQhg4eUEHh=xL;9XFN`DcJxH?Y9JgpcnT{(Go^146JGSYd@z4G2w!xM{rf zKA>9+C?-&V-v46koq}wMwyoW=ZQHI|WpkBn+qP}nTxHv~ZQHhS>%@K9|HD2fB41`k z&YUAM`OVHKoAUQp>ewZ%zMr_hF+;-UJ)nB!>C4wX7odOkgMH=OqibbuXT0}z?C3I~0S*)a z43=i@XSVO*b9&xP*?0A40B)gwP#n{7zO`Q2PE^0|aDN{A`3&&^5Xe(K0d(F{FOk2a zxxSyKdcyeuzA+I(YXC0c0Y+873Bhs{y1=^S4HNYrwDGX}@`ROtJY-?gY5JdCVrZ1TZT?QsZ z8q_h^7w47Zw8%nC3H+8XlOurQy+z z%#n0c6|DGlA-+{_!&6&pTSOlWBdN)syBXmoaMQ|nQK7rViUa+{;)~-+=_<1*nw`sQ z=lL!?n<3{+Tam0#Sa*%<^6Wv38mDNgw?eDM60T_6LQ+~*izq^A*z%Oy$zEcb$D2+p zCt*Pr{Pi?rV*Poj4{O$7crxP)N!m@VPdLfO52Hx(3gSwwOQ;Fch?bQ87)_AbByDbY z<6Xg+Cl=Ur4Dm&$?X=P=^Y@%>m~DiS{P76lSg_6lW>vc3sq&EN_9 z>!X&S9To>=uhsgjPYDW)01W2K!` zH!&$I3VS)#Wdv@m1X5$lIvv&*P#Gp3|5(Styjz##D>+L@B$g}QvC5aAhAKVY2_HxV zyJ*mz2J@qYS|s4^nG_9c-X>ybdMXABYRP5OLGU-#u{uhNFYw1nZ$f8SH9wMwfpFHC zx(s5miLuM(v~Cp7{4Rvk&>JC8xd?sXi_#SMe-hXvv1a;UjXUPUS_h`vnqlso7iA@r za2^q$+e#MZh;rL#vGiSRiV$_|%fN)$xso)wr}gxOts2asn$rJGFO|eVw_auHLj1hT zB7L(!fSW_i)y*Pc0SmH22^eMP4?AfsIh?AwN&?aQ5i>{(5+|v&7vvD3v_wj#&Jw8Z zaki?S@FoF&;khmnHCQ zr?j@?CrTSV_i2IQGS$*U0 z1BQtParm?Q{_O<5qxBNp{0?*b40201&e1@D3maJpT2w99CFmw~2_*7ke^)sIwQon@ z^NDm52kk|3^8E(9t@Q?MEkK4^a`pz~_5#zYewLizA1|+ZqTXdt5&XmsrP20~ad(;X z`_%LY^Y{k3C2|4~0MJRm0vJ)FlmYMxe^Nv{1m_Z_kIOaUg&nO1>^*?qB?&ZrNm)-) zG2rC|hI`;JsS_k%n4#r|TgiIDiZ7306!UAn=iBal|JdF)7-xodBC z|9QR5&Aray|I)SD-r@D`?D1}WTlQ)Fx%o8{j=j0IwdLjJ-R$n@asPZfEBV-{!MpbD z{5S;Nv1Sd`HUO#q%StzF7K(DfFvXd9QU^9!ebsY1E63wK_is3ko!RXb47Zt=gww}- zc8_k2UJ=?)h}uqtj=?Ek@!0P|>ipzUhpQk1#7>soYy<5&l%NR#wptB##ovw_p%KTr z9@!eG9y+3ish35rr{B~DaG8nWkLMblvmgdT5W4epkYV4FzzHSHhc>w5E<5C05vW08 zXQ`r!MG_bRkNE@SDcIs#Ez@F~Vd85Ar+lN4H7NUOBsU`|gE)cFV8mVEgk(1MF}x5p z;Jxy2X+$b_jXb!!4zWL7Vpr|Lg&V5tfSM$e3=TTjCA6U|=Rej7g51cgunz(5<=%K0 zs^DEzMt<4abi6%Jak6s{L_exLiZI50BY|l$cGN!P#bsvbx@s?X_Rp8JS~al8XdY%8 zzYuzT7#@b(-C4wo{+dy<8!;#f(h7#w!^BD@90{0*1(w8JC9)921Bc0$o%Hb`EV?xmSgL8tK{`KNuSjAZlJ&}T=h&La) z_g7W{Jj*CLbh9y{;Fp)a2P8dl=l?C~U|!bP_rr*btcNHy0L+a_4iZ@8<|h*!W++WD zzs^@E69fTMINfZmPHyt|%+04RQa&6}rV|-0wTC52A4Q8!5ex<)1c$|B5fZ_qsl8Yo zv`Q-yoBeWIC`&^T=c1&v6UZ$puYPu0n3yO|*NJkwSh_zOvFlO)EU%G`!MZETP0lJU4B|;v<9FG^RSq?RSGuRC@(~G^-xE8ERT8W%2w+n>zEOI^O&&p~!YsS= zry9tS;CEuMEgjD}*Z;!FRCna%%H$oHFWX>^IL-*U*}FcuZDZXyy0_#8@+s4Qd!;hx z%d-2?orb}4#OWCme~gGS%AQkM!vD{-qKEd(77z#kK;Zvy)Bmd*o%j5d1-1YT`0WSp zP&a?1c4lK6$Y6{Kx7eRaRg@Hk@nYCA@w({j!W!MG#1uTinFmqgufhsOZ*3Yn)rlbk z?>C<{TLPM$uT9>~r_h7%JDZLRzJrCmk#Dz-?Dqo~S6|Lc{Ew5XyR*k4;J?VxWq96= z^IC;sXmS8vk<}dZG;O3Yn{xG*qy;bf1O#B2;ZM@YxEU&#YaN6saavfxl~stDFJxla zR0_bOyP+ojq6ej*j{(ywr%|bhb*<9q+0JYxx2)LX(n7>~rQz`gDeaU1y-Mr_c9e&; z##iN54!;)Ch`e+v_{$fOEr=m!P8m5R-_NZ-O&7AUBOPaZ@PT2Jlq*<51p#66RBuRG zqGH|I`uruNDC67hi(dELXh;K)iR}>OlA>Y?Am=oDCTR^5da!m*+#PQ1bpj(UQ8A+P z!dQ-l0H|&V&?-dE`%!c6DOuBJiIgD69)*zQ%$yIR$>eKfkNoV@w2x@9}QH+&l%2r>_YgR^x4>Xl~NCoM?$!+lKB zXGk%xwvh!)5TIz6Rg?Wgr~lUe)RxlC(BunL>t+9gDyTorE3hJRU=cS`iD$1MQ4X(j ztf48)g>`0cDAeE)F-$I^h^!7?tq-pp`jfzV2-4bB`v1BdhSPHFYW&94!2cnx{;N@u z@%&bV7Tf>C)dR`{h;egf$T1ng-+G!t!%sqH96<W7gCukK|qX5Eb{RQz`tdx&?OuM5$ca!loS^93(3Vb zVWYp|NYN{(j5G)yR$YhJ+S2RIv=bZDZxe>&J+Uz-*X+wkAr0sEUC=3arRTOhD9Q%`pK@ zqbhT@a^&ALiu}HOMx9c$(_rYQAj9pKfKgU06C|qiKD%~&(BN(;R}Z;OF<+wV;?m$E zAfeb*p=o?GdZ1z`TtKCP2V)!pZNA@MRR2qP5t(>`K<5bbFRPpJ>-qe9s^-TqU?%n9 zpeOZVU`CEnC+`;=$E`o%GpUa(0m<})iL!*P1WZ;xw~^LomSHEn-uMD4r50w9$Bwts zW+6OH!bo8*wzTXiU*RnXDaV-m?INiXlTH&Z-taiTY%7;ergVx*CEeI6SVm0hh;-55 zK<1-Ux{vjVO9kUa*lWT@LC_;0jd%1Woaz$%{{Ot+^D!a?_P_%G=vVz;c|ZTPC-Exl zBL;^p<@hJIWER>=Q#nE78R!oH`KX@V*zWzZ6bM*k$SkoFw&g^0^Hv(@@!pFo(Y;Dh zf)c5@$yP5^2(4-vQ{bU%_XLO3*F(nl>*t&6OpoW==hM}V4tM53gRiph&-YY^sjv4# z3me~euJ6y;&CbtnaXI(v>8gY8=l!e0wwR6Y^9Fyr^P|P|+VpK^W~Z~&`)%s#;iTqg zrS??g0ZDt-Vs2>hT#^fAqhwyw(dMixx+}C?3wp_wrNoMSD<6_oW5xMQ*^`aAK=6Wc z__ESI(N!B1_ZZLP=&8YB{63qMLQ%VtZV|^?x#_M$BT+rMY5JKkxa=>!Mq?FMuA8js zu@ZTz)xLctuBLsN^h3TyHvECc$!XS|%VWES>P3A!7TAW+b)V;kLav}~IaNxYbNJ9o z9p|@R`lXo`2K5drck*&YO?#0^D(?o;G9_Gd)zIp!^Peec5n1ISmNgq{%gf_RlL0JCGjpEWRNi;xngV!pT#d=8BQ@3B`9rt6v%GraqXPZd;!&9xMz<3v&9&5_ zdv;P9|Cc*L8cRBwX9gUF+G^v2Mn$s8roS#EC<|-kE_1SFh3&^ED|K&5A8AVXo(i#~b^Ve!uQI~bilw!e z9<6~{4z*p8<(RWvB^pu!80+B8#aRR%P)-HVc#&ML9fZ}wnZr^qj(oQnqob=@+pu!n z1)Pq>Q=Lt&m_e#a8QT(iZK`bL4+U{v)Dg_MoFrF3LJ3fkgzU0vhkpW(W4FBn7X^0S zq~*%x5n_@A3t$nN94G&oG)PkUc~Zuj0EaZnFoUwT;q2Ck9hmVJ|62A^HN9d6ND?W! z3d@;PlQgT8cT8QSH8Tg^I#VN<)diNqeRU51+Ls#8(n3H4_unf;5DhMhCAjhBeyY4e zJNQs$5bX8y0O^Uz$S1MB)(o!XieqAm0#z4WWe$IZt2`KR4r;qeK)7oB_9-BVZk11} zyvy<Zy zmkbQ#BEF1kxXoa!x}oEUW`4QwT24^>?|CPA#GfEW?g|BYVt&W#vkJF7Pq5LED6w)R zW?Jk;m#_$vTQXcCe_zL;MS$#BmGLPRo;ZV8G^-A|?8lRy5Tm^d#00Xr6bhx$Bb1h6 z(sMInQOv<#5kXir6F27Ag`7CsiLpA$eRvAy(oOQ`s9};R8i(45u|nG&g0=;Gm!$Ko zg$j_E+qbJ&CA8%a0h=fK6j3i0k4rz!N7OQ0ghGfoKALdmFyOQ)n4%PHNY9M=iom0* zhW}vUekg0|4nRf&+d^V-1nRVW1>I?cIfkMxZn`kb6q%8lMcI^$LSYdC{~ImFLSYz0 z#){5Z*67@(L}Jbeyt(DL*!7BTGL5k6Hmgx-oL8QXsLuh@oH26><^xAhvlQF*dJz-* zer(bGzF$Bkvjs^M>BABG18x{0k1Yb`gdNu#E2&$mubQkNaF>KuC*1%Cl`O1TVGCA= z>6b%cx4d<>uaXN`R7P2fpoTBeCQP~+R@-WpY~XrnrhMKYs{D(_F1<>Y|mEaI|+NGROaLT!xx(6hL19u1FTyNYf(p*lB``glk6- zNVE$;T&9oJFsjrA9d`o~$$hIc3f!8GH>~&)^WqDb$k)^C*an{7>!tXU6ZYmI1Thtu+D@afG-9l(??sM&Ujim z+>E~O46C;o9e>@x&JOSS?3CodZ@9Vo4gb~s-kmeD87adGeNLymEnmE8p>W;Y0g;?z z;+tq)G=sVGL8S4+Gv6BVYT%e;L1FMx**@X+58WR-Cuf1NYBMDAGs@rfYvDuNUTBGl zV5l#m^*9agwM`Og<>WY~eaWo7<>l&4NdvxP5}f zIc$cMI*sB$Jbu6@S6h;-Ont_dI2GbmGuIduGiC+=9ZYxucdK@zB7G6z`ob~E+ANFF zK(!skem8x7)$K0soQ)_s=AgJ>B5cN>_%qT0u2%Qwb%WB!%Wk|-r3Yo3iG|IP9z`wf z76GOiQvh)7ZdhG`a`_7okH11qwh48)8EZwQgkgC$&RLfC30ET9Cx~MoSKZdJbUOX${O( zH_T<7y>uC{^hjDD2Mon<7Yol{V~1i0vX2xSfJL$`_5;{NB>oVF$V8Mg)>}x1Nc#}- z2gJm#2L?QUSpf$1MDlPcBVJwdCUG{k=L0{|{>nXV<~30{bDk&VKs9m57%%LFLQw}| zcuTH1Z+TT*Hkha?+3P$|KqNC6~gn7(sh!SMNE!CuTgidGu?7&@v!iE)oW=IB&oU_@Lc5AYU&DWC4%q zcIA<#qfn-ab|Y~!sOu}4UXn$fGyO8TjLu35{2UEh(J1Mtg!9^<*_RP4+dT{+$h4zW zmcgA-J60eHsyh4vt+kN}vxCYf$_ZxcuYqy`FtO@bsI=(FgJA0VA|cq1!FOnJ-l_a8p?FWN8f*klaV571J;J0q@{zQja734u%a-d2zSMc2&eo(QgUE{T!L+BwGL? zG8tM=O*9^HVScM;AYebBFec-rzy9Y+WL7eTf+h;uQo|w*|1yHDx)mZc3q92XTB#-y zR_EkFHep%`Vt#2g(CfVq{-So_(0y4h;^2BX(0YEP>Poa?S(;4jikUToOtm#ak;MAg zS|%)Iu&MK~_3<7e_n`mqgnW*uXKIsF%SF}Tb{&xK)Vsmx?#z`Sa8!tUAv0^7_Nl8n zKyGNyX+&XbicbU*S`IxM5RXG7{fqi*YtOX3jzCkitN4pwkZFeK5QLO)2Gs&2rLgXS z=`1H<4I2iKW(2bbVN>Se9WdUE0!Z8Rk;L^#NJwjOdPzS{8Vjq5=@{#vXnxuIw#{oB$u?VzVMTc&(8bT^g8_y2`OWq|bnxj#>29Qqh^dT7_ zEpWk;YYAq5&4ce(lACkCaj|=SZ;{)T20=sLqapQ&_QdngVnGqaX&v4ME3mssNKMq7 zj~FiYL2yxF?1Lo$&n&t~B``=kSe2YN9P&$XR1}?JJ!{t(`XD6e2Oiq>7p|8kR05Ms z!HG8r&CBkh%8q?}Eb+jI>zF7$5-XoaQ(b|Hv&J6mhbnHhULrYPKL&s#=&zR6Blbix zUt36(B}0=86(Qyt4*iNPuK5$hT$+l(U@a#ko&4LU8LP16~y)D7xon6F`oHw;V5)=cSKoj0U#>@@J1sK+3)I|x- z{0g6W+lWbslL{qc%B?)HQ>n$;x8$CqDYM7E=0wtJzjYsmtm&D7u`^a zjzuCO7Ghp2-h%(jY zzSxU5OgH*h@-MM806+ZzeiVb?Izz@Vzzqr-%dN3C2l@f}bgV}n6eI5-QEDby95NLN zT5!S^T4Y8k7gt`h8c31N*OOF6uE%3CPlbv>e1h5_#{j44OSmJIXu2!LE)$y7O{1uD zsDy%Nof-C;5V<_Ax}4H52O1#R-eSX~?bj0p)eRc}ag`k}=7bR{gQEfO7hWW*%#ldA z$QRDi8Vd|nnX*RFLgBF(OUB#?=-;^Kc$a`kKZ3DO0;zd@a16bXM22Aqaqw^v>$N>> zrXEI1-4#h+?f$cKZ&=}KQUi@q3MH)flzt90N^As{$AohZcy9{6n7BNC4NRwkFirzm zNQ^VHdb*-UCuY+41M1yOS~OgJoFeTe5oebP5OZH}uG7Gsv}ELlE)xgI_S3IO+uXqE zk)tQiI4@9=g4JZHcMW5MUv(}dJ_E0tEN1&{j)2Fc5)Vkq3RY2~O3@NEX6smz47?!C z1KDK>91=5)%gzyLb5U{?BMk1Y$*Q!_bou-e4WW?>RUKf~l^=pVYdox`Vg%tx5zs_d zKJ$7}rhd&ZZ_`$~NW${fwi$l&ncrmQZoKzp;8mOrWy%XigvFl=W$>~rllaVe@I7TH z5G^3dG|^7*fSVeV_?WOi+?cSHjM_s+O)$3zQ(XZWj*jLs|X;7@< zpCwLlIEw9F}D>TwtPAj+2|B3^--)cyj1f3D*2n!7yjmgf(Rjx>(Br!I9+1Xv?*lG*ma zvz|6xL=`XSK3QlBiFusGMYmh`0Q88b|Hh<70CG{xr52O#t2UEm8{%sXxp35f5^ z65fhwJvlWB(DrKL?g8{B$Ucpceez#Z){CxUW)L--TDFQTX3DxkqqfMKWJjEsr69-S z7PsBBUc30yAstH}$Uf~;7OPv_3a87wB}E;h8q{v3Uw`+?2kCa6>IXlQ;I&D=Zs6{{ zAl-Z{yR;;%-$3x)iDkuuLeRjKI5Dh`71D#sRoizm6+zKhT0d#r=K8+eAGNf2M!dTr zf1Bh&>r3g;7S@oB3ncL*p5KbagdJcpu8fsQa1H2xI_CslqMpQaOYXHKwLXFh#og5& z8X+-8K?d85=YS%G&`0(;Gtyfme2U9J^oh=|{Pr*z2l8DBaWijnjq_^jp4@)tUyf%1 zd2N^^htw{*8k2Xm@^@GB=bR*gfv8J|fbHefzZ^Kj=_R%F$#H8g98}h4SOrKu0GO>- zorMc_p#e7%GWSk%GZ)c_gQ!^Z_KP|X=2dzyFmQgm5z8~h0w_3$ zQyCAWfP&TCc$GkEQ)LzL?KN}oLRRS@b4BozE1M{h} zK8o5nV0ytVU0g!1-GbWOk)C0XEqTXn!D>r}c8@n}Bw2end7rJLOjGY%)!%#`DQ&P- zUJgmMe$+ZdG>C12l0kq7w#QtiGaWiXt)G%1nJ(C{K!${Sl4H3VpSV+V^t8b#efmHG z=@2cgTx%fV&Hl;UA#v;iEiD10xcJ(MEBscUQz>HE@GjP0+)~B>TU8J#k*>nqR}1sS zzR31_G!ul(@py`?6LRi$L5+d9U|nOMg#_~8h0vY$L>0q}5JM^&XU(1p@?zYxxvtS% zqB0tRc5w zLd5I`uL#>D8;wfVHYrcWMxigZvdfaM7)~OQE@vbCLi@Z}ab4V_MO|(^aV61i&})bA zFuZY4Dy1Q04y}@CF#9YP6QojMg%7{CDqgO+kX-<9X<=`-gi@m4q){wTHh?g{Pqh$? z1IaP3s}*}c1ysr>C`%KDiB{#;O))hE!ooWC5Fx0$LQ){Vwh0?zK@$UPWw>ww)$7Na za_^Qra7X&>x>n-=qj~S|#(|xZdpuWI^lVQk-ke@w(b`7^O9~XbX@$TrTz3H*rA?Nk z216xiNV(i3pSzl@=JpF#FVS2HyGqDTut!($Mza@W>?rH!B#MC>LEG?CJFNP5SV}P{ zuSCO=k%zpu4uB-}OSm*m7c02Bc+&JxAsx4v1JZx%Ow+9)_0vH4Dn z?1Y0nT?ZoMzGs9N_D&?Se zlgMFV`7fvT{%$GZU_R=xgxVbNX*6n?h`vaZD=S-mYVX0b30J4#=;8d|1P;vwQ?@b* z-MCV>bU<7emCM0u~W~~DwhQ1(;CMU9hpkw64>G;lj{3q z1?ooqH#@G0(rRKk430VViX+olqH8wr*d)`f0GOM&O?+JU#pEhw+% zqf6=#C%F2Au9&lVj}p8=K(4qL1HP3QP7x6wfSS_fnhCSM1X}b%PpmyH9bBB2o(XWr z782PREhlK!wCr`vAvXnckQ0Y($c^exDO)J}V4iF8Ntf?z_<5mWJu?Y$Bh;gU)O z9OS4zDu}QY*CgKa(VA1|W>p}}LmmGkWmx^XT?CgL{f~&Tov1@5Tf>ZWHIB3iFkvlH ziNXxQBss!!EfE*59_&A0XnOc~2Ac_>73?Ehp_N{SS_^Zb8Qc&pm$}AlkvUG(D z-b$@GXQu&zF3qD)4X{879{l>a^4=!+KHb{}aG@l$Vw+4};`FXoK7{S008X&0* z-MMqeN_?3_|BK%Jnn8+4z4M3xnYoLKIpLvvcPw(=t^cS?gOC)NvwItT)-j>+h@+$= zzcLJ|1PMM#cpp8A>;;_j2(5HMR$OTZ-aw;v5OQX?HGu4EqP~UOiWSFEK%zW2sp6tK z2iHWFQ^dC2Zi%Jcs$ME%kEoPO{H@-?A$XWQRKIR7V=S!2AC#TX*XJI-OrNj4P~Qx`s2SWK=YgokNm+sMx_LS|h30%&NJ5B_HbMr!8A-}# zME9Md2VdXBI*SL$#GJ@UmSbo4a7jW$c%p`Dpu%q zv*Iy)6thl!7qV7w9QVQFUg3wr&ycfLd$5pxKZgu%{6YE|NsM>fA(AnTJguhLPe+dQ zf)eGW4HeOve15xu8s{Aimwm@k_ly$xBq4`F`cjMw6R{$XWKV`hsz^ccsSEpx5phx# zb2`Tguag%45cQ6^gbFu}T;&THWH}kp9Q+nRtK&U)G$M!D&SGTmd4)kJ2j@R!MQGe}&+5iUr=&=8S?5n9b%_tKoNxh1(J$h`KpJ`8RNn!Rdt% zbPb2=gA$ZGP5D*8G1Lv;PmG%qdRptNmG*n^80CQ?&;S1M+9 z*cF7R*pj{ujZPR-h>(%_U0?*5fgw~Kf!mEgu-|(ViQ+w(@izi;$JWm8G*=w?0gL#X56(&box@6mRTe za6_xWx{li4De>~(8ikva8WDgn6$&46?;xv^NjA^;Z559Abq_2CTb^ObJ<;cZ>gzll zlA)r+&wDi1KFI3t(C?AD>q~9!cUKjW!IQeNTo<9O4Zd`)DI@kL?@z4{b7D?E>YM33 zRIcrz@vlEgTt_1n6(oN0FnOg7`0cMpXu8#nX*8ail4_P5#HYly*>=CzOAtC zC2tLY&j)QCUbuC>3fIGS})EizJ%JVwqSK^Blm8yt@c1fD&rdAEmvtR!RoH@f=W{XEF!NVa@>0qE7bna`0Gnlci-niFSR+3s%gpW{ZYe!L_FU^^A=k}V zXvAWj$G}$XG0t*2fO=@7HB6N?4!XWT@9}0!Z)q*Y?WEzoyB_hvw7I&@*TLoc%E{g( zUwOC0`x_DEJ4%zcpdiejEOzsGYY@AjCUc81k8L9pQH#E49?ay(x1H|N;8vhz#NV#QcGR4804z|k0_%%}E`Z(y!dDmSw!BLsq;?}Q{Bc@R zX8b!ekq|4WTL{u{o)OY(AoKiDj6%mg#)6!_(mt)S2vMYXfUtlwG2j9s4DXu8`D{yalBc@C#Ksh*i^*P3m9fwX7$Ew~r+yv6AN&vcQ+JNljh9Qd{cl=N)qzc%gO$G+c=g4j z4Vl7fk~-r>ktL{)fzL$?f!}R0+3o0Kuj#xv1-1B(+6I=hEq=1*H1R~w5UQwnBq^d= zf^VX)EGB8D4nXpIy))q79EJ(rb%pMIyeo7<_xS7oc% zu!q96FR+47REdA0lqJiYv$BbdCyL@&HF^h)BD}|^F7y3u#ej528?7z%FSaS z@7xQoiCcaRw70S+A;N~H{_|~$hBeH3C+zP*82Qp8 zdO?3Q@e?M>VgL(jc_0k5S1si}5RVEKOsV7dOrhac$EM@V5ldv|j-(_%g7ONCHcIlF zCEN3QcD$xS2j)H!0}E;tzYmivE{&f!v^Ohtp1$|q-=(*6haV!{wmL4Z=%dL?ItJb( zpR!*Mysd3*f3fpl3)@l;KkEbJp7)}jPkdKRxzEF|nO)|l^xO?4h!c*Uw*xwB8xe}znDr*1`Pi^Bc=g-pIef{oZSy^;~ge1$8Z zDwF<8G{6W!fMF!Dx6AVH{POxJ+*q(_`NPL{?b0!`O|?`~bY%PdI`S(d((!uQKYFP7 zxgTn{+h5yx{J_`k@%;Fnnz8Nne7@NF7WPQc%`l-iq8>F7cp| zlVQJdO01Jufig+`}KFl2J)EE8qA)SFNn zolb0;A0D%a;AqGDk`5d+&pv-XCe(?E zb<&JM=y)bIiVd^e6n*hSZjUUjMs707#^C@!v?kBB4yaq;M#aBs&ut znbVyM7+6Z2vxfztaC_ap((h?(Bb<+b zm$C_ez*%ga$=-}+l#R|Sj!|I=&12e=;tZGO8F9>*ClJ4c$&7(MW#r}_HsY|s!*pD? z*Qw4R%0;-!^sYhrwkcc{t+1(NCLcw@-pQ=hC^DUTVNd{!dqKxi6LQ~P83CL#q=vT} z1ui^HG28E&_*blui_izj5yJgQY>M%4Bs|?Z>F@ZMxs}sYagH|@^-u`9PQSgEBk=)x zP50;TqPK?sFW=lM9?~r|f}C`jiX7Bi#6Jg|Y5RQ{L0tQ&IqKI#D_%RM>jif#16D)P zg}4$Ovn$}Q{q43_r3jS}cn1*P3ps$l=d4WuFoVpKmmxy8p`jr*SaVKM(JupE0hZX1 zhI6F>*45s`PV?6S7}$S&Kq;;6#6InwEf~}<@lIODyduQFqut6NDtFo!CrA< z&$B!$aSP1#?K|}l7;-fH=<@FIf<*<$!oa~08=Rz}$HFiG86cutbdqMi^9EJ2_n*D{ z^jcZsD$Hcl%=uadBp|jo^)j8D2Dm6jQ(FAd^|V0FdkHHEga!IlqDUATr3OGNt#qb! z#li?;AXv}ciHvg;iB$;*3uRPjS~g)*^Gq@%Kxh~Z>mCG0nm|}@p_c}eLddL;uuifs zrM5@?IE0?1OEEQqpc|_?Vu_Mjhv|wZ3Zu8sd2)>L6(3M}_#GHg*q`Kz{i89SKt{s* z!=Z=p_stZ5p%Q63g!DPdkj96<9-_sPmZ?$%nIg1t`U6#?>bW$eIp@ z1(VJaL}_8sqps>AVU;-{Rpq@(^GsR|k_}s~v*U*$8HBfA!MPJ3{aA!WmdX)}Ode4; zci{uPksvaSCGw%*at7hl+bG*3HehL;p~27lA6>O*kWy)+_R8|~!2){)^IA)s zt0{wJqSE^CS%rUaX2SOS&|LnKSQSnKwNjcWd;s11q*Z zK7+&b`0IpZpow_BB2n{UsBs^9p-*+V@8m9KY+R2stAxHrq3^a_II^qyUpB(2gppS^I{mk0+QNp=lF?*niLzUHAG4FgXcTv|VKaKGm|&&Hj9 zL$R{-)eF6#LzY=sjN)P*tlq1UBXDcZl~Z@ z47mzRF73GWLsx^28ij()I=;ejsV;AI|1%rd4U%V-_@9jSf0x%Y{#U4*^TL+J76VN0 z-3Pabj^07#Rr_;so=A#SzEO;k7lUzB|8$<*@|<_l^;yYbBLi3mWZz)S*94y`t?&93 zo$NFny~|0>&ZGN^t}I(i5B%4{-u3l&-Os1n!)?)z^T+MO2X*%O*ocimwL8e4Lh(>J zQz|Qis-PrIt4b<_Qok_;q}e#5=~)CIh%)7PD)nL+6w;P_DfPN*SO{jp`F?Kl364;I zhxnlz)TH8Kv3fI!;JLh4$yFw2-cg!9vm|+-g**+R$2z%?d`5fJhpN(2u(@%)?v%29 z8u@@%(sZ$rC=|em!AnW<#XgHf_CPzZ7~**!Z$lxJJ{}}8QjJ*!ZDu*G!i#DhikX@1 zYn5^Mua?jc{Lqb>ucwd9(2jwH_&QUzMZkHfQ4t_aGIE3pY^|q(B3L-1u|4|M`o3_J zjZGvcEIr%izA>m0AGFW{Ow)ll{p`{T4G}rB-Pq_#!b#yF>8KRcss?3PAd|%ubmyjB zi>&e*{FC&+qT={p&AqvzkUq-}r$P+$Vj66bWU*kAv?z;#x=It24>L(QCDwA$TWM2c zlmNg*_176^l98gT!e)RyX_Sa|DcZrZY?OFW&N%BJR%doyk<5BIsg=hHej_+b^c zfwhgR@Bha>x6{{qi{m#q5&w5w7UO@#WpyoX*kH3EfbD*GM|cMiGzapl0)kB}BnhRE zPX<6l@KY=*nOR^qUqWz2)+A? zh2OY{{`@p-*|7D+t66cqkUjYRe%ZQut?laS`7nnV>i}9tu|0t!O;syrMx{2rvl!766vu)yp|M7hC9J>@zsUS7WhzeUx&SxHz1 zL?*+N&YxBVjfJfov5GrXHVj!dCPx$4!uf~jBdgagkGYn+@@X^KhTmh2Qa#f`yjv|D zG@hp3i%rn17qT_`b+}yN%6|8lV>P8o@QBAkxfIBGNGlC4avXXtX_rwJ&fw*b z%zcb3Pjk6PMzZM@xaiuxl|f{ESc1ipd##6OTf4`@`F*d` zgSl%1rmc%~`JmA;{t`fL4F?wzy3n7{2(nex{tI(qk4 zIDL!Kf!(7gds1A*Qi<0_e9g)yZ1=$24c~r+%o``?F3&wKS`Q4l*OYs=$zEn#?g5t) zhsNCp{u`WV545gli0#4=0P35_|9pjV=N`5D2}bu6v7BjOf8~R``;}CeeXm01b-3ph zDNOUM>Rx})d{44}1!eC-5YT)k{??iBP=^V$O|M`@Bw(sM4ezeo$I-q{gU93&-OX36 zhHu-Nt>=pEV5=r`YRau+ZRa|tzL|SM!*z1qRBhozt!OW?^5}=q())_l2lZm^TyeR7 zLJc`*sNKl=BS#$?>sxwRW{1ymYVKTod0tYzBFDHY^}bTc0!{%Op%Tf}JmHA;4zL0~ z*g22XGSjGv+NSUPXSf{XBKJQZ7?w$gDAT{qo$&vM2gZMAA|>?w|0R$Iln)T&OuBkZXXWaX+Az(AMT#aazfPeApQUXo1cyey}FvJG)oE9x|I^U8?7vQ#5d zsElyDf>Ywkah&N18LC#14ebFV++VrxCZ;~0j3%+doQNN+0URCtq(N+c{&MM-LlH7& z`~k=}FBBykJCF~)>84m%*?YouU`(rSU!fd-`^N*@Z$1ejH0K4%v|0I5WcJ>Zzm`|DPNK$VIBQ*RPYr{q8LOr=0PB=9rkD z{x2u_E!yK6=HgGMmR{5W8sPlt!_topLQ|ATE7D{nS*IAc5!~(BDdHeG&qpV&i+eis z)mac;^;WM|(Qf^)Tj+SI|90=aYJIHv#wx`Rwf1DX?UB7+es*$ZYffD}Zn)aeef-|v z*JL_6OS5J+rjJO8hVAz^B+?(J2#2`ud!8mZYrx2aUBgK#V~s;ag_geO#*DQRyKEFGgihjf7NVakBeD=0b0L;?{Gq<&$#yoqHR{57 zS^d>m|E7}j{6)Cyk0{Jm3|6&889JQh2my!-=dBPifST-)91SJSW86Nk6){^ZghcX` z190zcY~}=*eY;#5glH*?`7!h;4=bsS>_{k!Z!r@fC|N6ux1@!CsMamc+UgH_IkshP z?rv^w9^Z4vC=RP<#;~J=>Px)^RB~2MDoWEh=xN#u`VJjO9Ac#-Z5o`sUrj|L<|n`S6mP_dBHR{~ts8AB(!J=llPwsQY&v<{9SUU&qLpljJi~ zrOMxpEW#WKlZ(ji7$T{cG+=wW=Da*ISjfa6nf7|zU3n_J?4d!6thwybYBtoc+SsKF zJ#=Zcy*>C?HQ(g9dEs5X{vQ1Jao@IC$sE+*{aNqM(&=TJJSqvB09ljL>&ne8Hh?69 zdKP0Q6D`b34cZEXAk{QN;qCYfQ4F^9=Uz;mOV$`G_?fE24AxVZG*D8c{l@_t}hryS-$OHVfvXohEw z5&=ihI0ngI3dfwJU_OzGOux8$x+t&^2I?p+Q~hiNy|q zXzM=%3Tk~r5$&9M_hBL0v+}=YK3FGqBUrV7K6;_%?~s!CYt)418Oix-usW;8)S|;= z@>L+b?4vxTOe?@lDkfKPv~?~r>Eo0uf|d0MEYrOIeb}QTgx6C6003rx+y4~F|FNuF zd1f9efc}?m{gZo`_L8hbW;nAit&H4Z$evHgXl_h9Xt3!No~aCP@AG|@1|~|lX435} z8|OmX?2l??R6${jMS6~j4nFgZxFVTC$(jkp1W*K2J-Kiea6}2&QI~6$pD5rzC%vpH z_s^WK0k1$(W}+QEP{FbE+tALx1Y0prH7U+3OBS7Jl_lCQ{~oHBJ*5H2Hbc2pLRhNHU^#^_r;f-=NJwTb`%Pe}isDAG zV!@VhondgRqRo*ujgehs^{LaN@=>O9DJFzZ$dQ^o#AQN_x=skRUZTX z!14#;XM6*geYzy{7YJfZh97xwhfr$)!>>Sep;A2;*AR}YSu>zBn7 z`KayGp7pTQn~+YJ0~E8mglHo6?lyR8e*L+-!lwnURGln|*j|a*hTH${MW`vY(^t&D zG2`(+RsjFU1p9TiWg-rnOG)o(?H;@uryJ@x9Wvk_+Hg>$NRYa+F*z&t4W#OQxKJax zCHAk|SB~`MW##SRNM@Z|o1qE3@T!`b36L4jo!2cnUyVmzUZ1av_sP*(U7nxOKd}-D z|Lz_$V{Lc-eVu%pzHb)B;_rNa>h5}VygyGD;xE&E?LXT3dOzKqG=6>A+VXzCTo(SR z)$RSjAFR@ZUlzhQ#kQoZUvn;Bacd;!2KPSe-!u!n-jR|9t*o}#rk&1c7fmhD^jr-* zA2FNGjePmL$#SB6S=OnenLTOdwY*`9>sYPqnyB(~dU@1nUyY}9t=wt`W*EtESzu@u zzS{#W%Dy}E;S z{)AcDaJ56NGfj}q`oN{|oF}xF>tG=}(wUOGwHO1n^MNplxim*w z>%!nU35#uQqz46ibEzAydWvO;EDz z^I(XWHWa~7yD;-n?+9+QpNys6D^d43LF6>MT6?oN>7)q@`&OFf1Pm~HM0DA4B3@Hnz=@-%4JNyJ z(PQ}NVC)!v8*6=zA*sem$%JMY484?|mNlco4aO?0cu*nmdCNw2O}aWiwufX}6(+A0 z%bA8Us<>KfMt`+A$l!sH-BiPT7}dDJ(Hw>%dBIY;`;j69B0l2NN$I~i& z@6KH=tTj**&ML(VeYuu0U7>+^dnCk5gt{6)l&&mBu|n~b%-P`^c>^$5-{`Fi}dp)CC|mnX26rpCb(6V_}G zxR#L7BAND@C+n%4h0-Hb$@fAd9u?=z@rPVVi;>|sBh?Mt$kp1nW}3*ml~h3HDyW)S zj*Q+$J0z}vmR75pwRNA4UIer}^`VW0*4>Lkz?DUgfOw zpm|f8aZ7};`LNcW6U83$$FR%}D{A&aVm*6NAg)$+l-opsHqQZbbt?NaUe?3dC5+5_ z*b(-P$KW~GBHjan;{5d5`hf*Vsl{k{gZJS1SV5~?Hnjd|IZ)|%;38h1KI?>qvl_S} zy!@z(nsu6rRpShk%iwo%^00Q>i$PXKJNjyuGDRBwL3M|7k8+LC0L~D9YCI@in-Y3m zJ(dRTeqWC3)augI^hHJevr5H?s)tmX_C8rBU;7c30HxqFWzfkC;m1L!Dkr`Uy`HDz zs$~JJYr%n+Hz9%9|ao-Bh`Bj{*D5oWH`^ z%E5fq0iONMTNXnK;6;<26etYYfonpIn(@W9i(+%dCDv3_r4hGvb2}Dp1hU_9hVwNU zD-I(qV%;%|MON&&VI=0Dvpu!sQfM9;Mu@TmcZw2~+bd*%wWWeNN(L}*c6K({{pnmJ zJqthu_N;LA($PK#E3clrPs4|vLs)bBngx|*Md}?U2(PrYQp{$sk}2>s=&T~U&#bx) ze2^>K!PPU?u*Xj;G~15x*O12u40GTF)of zqhWu{OF2AN894qGe_!KH0*($=6t%EBmzkExN+fi@J@Q&Ts^Ai;EybGofc>C%g5usN z)cF2`TT<9-2dL~Jk$^+Vp5W-ljF=63z1HY;O9}4E7Ux+%>>bSGh=a3?|K=>ztd93w zrNX*FZVOeTlhLT3G0LR`rnMn#;{f)vTbjHfhx43)K$E?GtNJ!`blakVTl_agds)D0 zCqQ4K=`Kpp;q)k5?620}{wmwQhm*xpU*BJ>&2(uYISO;#fT(boeM(G8+Qroem$|j6 zHvo}J3LsOLV$fxjk07E-ndML?e3xrtkXh7=98yf-c_M=|;1>9JJ=|HfODV)=HBpsoM4gU& zP;(3%wkYC?G-8W1;sHi}lB2L1@y$aHQImk1IB~d41cGzP3`%};0R-n~8^wT&Qc%wh z#efGSn(rucYD8T&#eh#f#3_>IX97`{(8_EkkyhKFO9=#E&cXg7s_+>d3Zah%O8#HP zpdRZ8dM{xLhKdmQDXlUSPakM|FmVMzoCKrdsAI8a#&;iZ=_O@I}^YQU? za@g6*doA?1U(1%uyJg;|%j@gm_?U`3zgI&TM9voE5HUmpf{|E}GDdzd9o}W4dq9XJ z8vbbMcyn3fCCWQUVgY`@+&r2)^^}$a4D8JdCFJ}R5bo=s971=0c($lmxspoO4Y@0F zr_dH-TgfT+ocZoC49;Y;ZGG+$#o=SIGBA%2g1d7-$?YHwAdyPDY4SUMd+<|tBPsOD za*G-*Q_W?+kye*LIZHcfKyFyVZLQsd z@_m)EV$K@=u?nI)eNte7>0D?Q;xm40=`BI-^QL_m9t6@4eoj>wB-uS;r}O)cVzU4e zbr4*6BWmB*u*87vSHuwIKz-De0BGvuJAz*V!zhU6Fg%1EZDhtFJwk{|1ngNx4jegp zXw8$6gn>RNqKAwD(%_-d2ex@Qj(o5PjYpuI2yA$sL&-P^XdCzRZ0yT2kHb*3{Q$SY zsSb!RDFVT+W=deF>cyJytXdE{kS4h-5>g0_k0!spj10nnGNcy3U{2~DuWzk7HyKAn zozL_6($-sFLbT7X^tCIrP&eyYX+nPg1XK5hFI`a?Ko@f3ByL^18wBJPm@YnLQ`qG+ z5^eso0&JcVLKR2?dbynwDuo%3=+@Q;HiR)eV(K=F**5mw%6{>}Q;{-cUd<{S`@XyI zWddkvDU(Y#zEF*9K0J6V>H=upJhFC`T}Djo2NfVNT2z1>F=&=0$D?6b_cj&P)cEA# ztyHoxs^Q$YQkNa1JqmU~;_E3t?PwJROa$#zrI0f&;0HIAc%bm&vm4gLdcUq9+K3M{ zw`u4*F#eoenXyd;Owl8LE(_6|?Ra#yBXxcqq-SXYb!Ego*w;98emrtUR0kgWK}|9M^ym?c>&OSpO%Np*H#}4QJR>4a@_~4xgjAXYkt9?eVXqEOD(v7- z!113-5(c!TU5zEK3PSvIMTRvA~4SBM7ukN@=OS4m0}FoOfh-Rs|Kp272wY*4i-k z%aEACvjSPWVg4_BZT`5(wy2T__I)^A7Cy~Ctf`{P5PeD+2NIy1F-*NeW+iKm)Rju| zr(O?goHpWlAq4voP%WXZa#%sdNZG>mE=$fD8aWVQYLEHni0_Dyu2yvZ%O*6DqOhcRIz+I`!WiPY-2(SyVHanc3%$<)>j@~wu zsc=qb8xk3YFf3x(6AY!72@kRE?8vYS;pH`&cdsw>D_B%X35GXtY`M6koa$3CktAiM zrdb@AAdY}_)41aeo2W~nt;U=X(1v{(kNgYD5fYye4A2&rGN&GDH5UG1!GSO^BaygB zpk}zT0=t%X5o-ze)ljXeHBLS@ot~SBcrybvEup?$P%guQYT2t1e|T_;_}xU#@doehhq@{- z)f}wb`;NU+V!}ewEEPT}fdR-F^>$w_$W1XA_JXr^kdXzF1E-km)Vqjf9%{&5Xk652 zj`EJstw0Otd5FolR9gR}1Q^tLLh1=*{!2a}ReXoRh2+E5%r+8MsiqitpK+^40Q~#S zD(XnT&glaQe##pV#cUS30rfm6HXl9+;y-sgjr^i@Q`sl_d&y^TLn`jIGoHIg!Hc2PEh;5APAiBY@0@ zB&B)~`F5w)eeqKIlm@0&aKBRBhRAm`L=P_hjb zQK83=ZTgA+dbC?e6R%1QZ@oQX^tt;=B@ zi40urpzeYf?w{)az@s1flDa}*V+tAD{{lVMi&!Fq{|r>PE%1oP zWS8YQWA3x~uoThyje#BSm;zm^Ly2ehLc9Qw+wtU=^8-|(w5b-xVPy`?=oc`}TMFCO zn$SM*SMgr@8%jkEw+cYd5Sj$-M!sRYK)kBo(Y!HaCEd6|>Q?TD@H=XsM6B5H$dr*M zc_+dq%qqYYGvKY1(DT}0hWeSB;{nUJ+2DAO0vj#WfdN|Snu>bptV3s!f4EdHLU_y4 z7;Wu^^~3E5liLG@Ac^mF+qFSSUKXY)psIqMsM`z?twdQ3!m8<1AkK$Jo)&g;p{US) zh4mc7qs$}42PAv|;6bxQ*NXH!r*!+J17H-{!c5`W&DWH+<&m!jJxv-1B420~5+$+| zUzL%Dl8WJ}tjk9lj7y{@fnKwBvRo4UbYP9&AVZ}kz=^XDA5I2U^#cQ167mJhjnD>Y zPHWQ)348fjcqoray7rCtwfu#VM>=I!d&?#7U0Nm(lW;NYqhYq;Pnb@BYbPEpJ}~dx zP}`-A47KZW6Lf*X3%c9RK(nf(Gy)YW+z&TqS+o$Lp(83Rq_A#Teh!-)WMojJX~Kk$ z8}L)LD2R`6=+Q94xyPIv8&J~xs@S*^hJMCus7*(Wb=hHNzL~0H$K1fDuKZhxIj@%q zb;l#-I70*^|Ah+DBv|jbxE>l%J=hm1qkedx7EJW9*^dRWh|0TJx-^_E&;&J0(?a)3 zozFZEln^qjcFcq0;`cd9&bBD@!>4&6GtTqAKYY1Lce}unyX)ij51y^}=VQOLl`Xe8 zOZ3RC(lU34>x049)pL-(XDoU-Uh%f;-XkqSRNMsbCU9m>hh)OP{WKTCAL=8h9crCbi%Svb%pZL^By%NRS+|FFYfyAQEH0L14z23 zu$vE)6lQDnh5a)70inuIdW-sq{FDMcNHvna9(v&W_=%^M1cpmBN0$ z+>(Dm#1kKY^LRdzq%;yyx{B7+k*tUyozV%x>mOCrS^pq19I5Crq=Cty5oAa>L-W-p zHYSotz(Qk%^v@0acQVQVf z=2I?%AZfNbB(80=?MwAEE^u@r518Do^Pu1usBvW@Ol%)QjMfy3EK;!CrkfEr!!T|L zPupi8qB;m37x~4%yB?@Kas~hn05{IG2gC*DvT&^t7wc1c zhSl1l)0_EgqanN@4?NOM%6WIt*k3gMwkX(Ip0oQlFE7MTQvbP7g~D+!Gf?fLV=4U- zrs&>HS#Spy3d&_bFMe`Uk-%@!@UW|7p{{Tx+$%5IcrI4(s7yOkXR)IzhA-9lKvTz+ zJio3=y0Mi!-=`{m;%bRP5dy=N+1j1I1!mgif08ET1Q&NJv zt#!ofsudrE>Wz{+X7L?JjG1Q@2|k8}E;sB66DPSL#UWm^hm{jz#O>SROD!B(D@3d) z;18ZF-;HLzk)-8G+{&WNj%Z>fVEq=pTlWPOJ&yE2VDXZz|EApT$DrbhSV^MQXTeUe z=J6DauB`lDJQXM4iu#}BN1Z}RO20#j@&bmwG*u;rRYT?_V*m(9|JD65UdvSAOK9dy zm{2CM=6e_|LBWs|m!0qeTCfWxA?#mAC~m_QU(Hki5qk{GNvf9B6IA%5OaKvU&H<#b zNgmkDN!s6(ruc5482;s5Y6)4s^<&l|7#9s#bb=LMNCIkZJUHma7 zv)GqdW+}KuE#OG_hLM~eZo6`<_WbC%92@4)Rs9j%rH9uqzFhkmJg064fqdrf-Z zaBBH`4c)h=-zwoTrtMV)fzu7oMjFx(D zbeibb8&{Cn>sq$P|70|8lOtp|hs@yAxvkLj%Fjn}EmU3m0y@Dpd=+#lqO~QF3 zPD|h6(D%p09C2PDp$`iQuaQ;vjpqDF0JWAj$}>Z%N!mgaF&1Nu&wp_sAhxmz>olt+ zG6&%qre%Zj0zY>r8RG|1`7JfO+(n(-`8iY`)5=ic$-6qiH0GeKawzC+iUlFL-+W=@ zYBocq3op~T5rrg7eM5y_aeuqv`d$pvBPQgF5g)nvV^7uo%))LfxXJ7Of z(tM&`mTD=5FVD4+s^aMO+>JE#aQ=qXQM;<^eYH-s82$`R_LQ4o!&Yf3Zw>qq!yn20 zHQ~jH)s3`IZFbh_zlT1gRbLA1XuloOSx*HSI>V11l$?{`T&^-}d;MxyPL`l*O}VmG zH{I1mw@)uLF@An1zc|uSd-|QYpidkEn8zdCX|p-bj3(ih>Q{C8MNW1`Z@2n zgzrqAUHIo&(o8@qH)(yb>qRF%6(Ck9CqF2->HSz7tPBS9jkSh(K0GZ)p4JxWUme=! z+Vb>%Z>sbr0`0oDu5Hc^82NU-KfO%TX>J_BIa}b8QYMy;uvJjL*rdoOB#1Z*;H6dL zn|K9_PSWb!a-0yFkhrB0p1ep9ce(;zLbMX$FFbb&;liK9=stj;?0 zTV3B8u}*!CSsi<(No!F5?ii_f4O#znrceL;Vc0CsH8yN{&YW^7zGt_dQu)W;xNgg^ znfjsLGiGIR;bYp;#Gr|>_5#G)eov6x+h<@IWTYMrnAP^9RPjVk^iwp09YK!`_jlQH zO2sDqx{CMs`SH)JE>H5;=FuhE0vVZBl<|y>R#^B@k@tQdRZO7Dr5= z6xZrPJ4|#O7uwF>a}nayZ5BP9(+0N@hiD25KYV9z8+8??C1lp$3``^3sE{l*YjYpXe;bn8U-0zPVF%p?Yf1Wl!fAgh3t(mg_JW~4q45X@D{slxhhCFGW$_&=B z4xXl*To2D!9YE4cjfpl;%usr(TRiC_rcI_#uH#Kn_u>j^pv+`As;^nF*lp4vs;wWJ z1fm!p;)`A&m?^Qq1ns9e`RjIm1H~g58#K>KCqgMPG6^E3BZN!DJ`GfJzufjT&%9-E zVVDY*yhRpp9UnSdVJLnELE-BScHzu%wiG#<=orT1i?8MQfLtZ)Y; z5+%REp%^6%hTSpB))!q_J#@+MfFsw2ayUP1h%>VgJh~4^*!NDWZAO=fqtKk1lfh6B zFC!kKpW7v0qNeQ`zwgfpq%~#l#`lpQxx|RBM$lZH??|ngASf=HfE#0Ne8D&$s~?Mv z6$ukx{@zt-^*bXChs$jB$NvlQG_zvz5%?RK$~{{DxSMiV=9ox9IsHQ883Wu(Iv0h< zu_NlE6I^P9XM#uxN^k}&*L%MkHZNW(GlW|-buzu+orGusdMd-b6M^lv3$t2RAGu0h z%qg?T^}hdJIV#(m-q`xP{P3giAY^oVzwS?aim&I&rU$TcBGE`lij#p%gwj@z6_^%V zBCRZdj}t@`*YRu4N>WG$?RILa;3y0oZRumIk`vVVV~?t1XJT1MJDjXgk^(5XtCKp$ zU@Z`nx2TjT2w7PJDX;}?`CV_8E2)=u0FfE2{FUS`1oRNFgAuPVR2#}jN<=H-pdRIP$Uvdw2$;WBQiTp$U;z(W*`aVMA_zTxy zmpt*Q$;7DMtgGOL>n|^cMk(abI>Kfne<6iH2_>S*3A>iRRlDLudkhiIGo$;%^Rmn&8-N|gK~zo-qP+bZ0%scg4Cjal_kS;P25 zJ`V#LCx8`N{XRgt92#XF&=G+g&iSTGI1~vA#|>-C{r>BH(e!lzZ_(EG`x;k&^~8|y;X1Uytz|3VOZ#eD?>oH48r z`l2IQ)g^_+pV|`IYivi>fj9PhNZD?wH!{A6w3vl%MuK+lkPn=VQRbcaBK099MLr%a zOFuIhaDFmh+4?eR&Y2GMroyI^yaON^ST?_TLhj~?g>e|RX% zVZ%0)07#}*jvPb`!Yt7TcuKn+AwusVH>92T2FXjk+Y0~WtI6r4pe*yWRZ(cELh_BStFZPbu)TfM-qRpN-1`&;LDGca7Fthznr;dP>+}95_Eqg_`T6VF6h- zHJ`;aHRJ+}B=pkc>L4yl3$X?xLT*K5ifUc+dU;A$n$_w48BLA-abSD?F7$Y}3K5Z>5eqE;57@xsaJQZQ-&3}*kfPf&5x?G)@`_u}2 z4@KW>qhl{08$zv;)ohRw)t`E~=jXeT1 zriX_rB0#t@hQ`(bFJ?BN2Fn=v7)R4V`Thev^WuqNX?2Fy^Xtj6SqQmoi*6E7-4y z;EPDSo#_xOCM|5fOqiKDl_2+S37)Up&(|^c#AJg0SJ(Ks=i}eKjy}YM_*exET=hz@ zfi<}98O_;b5}}euL5VLX9PwdcSEV{^wPDmuRz5k-_L;yc2#p@Gd-bD;(D^?8>z+{N zR>RR{|4AoE#QGKPNje(w1@+q!GEWX%&l?I}mD{1G6YCPv$i z@vojW2k6Me=%&eBXYCt~@!L8%$_uqQ$_`Rdd-dSZCwwCTvz^?PMOjG1Kbzt4-&F~d zIh9Uy3`n;~#P|BM$sG%h&%uonBn%B!+^UhV3HUgl&5aTvh9*PXWFko<@7-96yEByb z!c?TB*juTTB7Z9-C;M@-5(gy}Tnd@1C0%+kTBk8cR&hSJpFDg_#8Z>RCjNartT(F4 z=IWLR@!%;Ejg2eb-1F1Wi0d-A^5##G*vQ!r_*OR4w2?6lNF*lnvZarXB=>18T=&j& zhrbMaH^2rq1=QVyENgx)Oys}Oj^9;3##~NBtAtU0A>2nTlnN4mDDmaS|L0Q1tlNnAjPt7 zc~!Z_CA2cHhwwLp_!y{frL@8&OqgQ&bnD=C34%F2O`2NJ6y|cr%o``j3&Hy?hfAHG znXB6rxoe4u+h0Rcpo^i1-=PGc98FS_U9sO(mrOx~%8G?XwP z0c*2_Os9>dcvle>OF;?5Q7pJ%{<<-gsBsE9V;t+p>VNSh+*oHI{hl06^5Y7hLpU7N zmJGvDTsX12sHT*}Ufnd5i0M}TWFWnFl7i%&WTNI`M|>6*C*(pLA3qc0^NIqEE5SA8 zu@_0Nm;lw-3(Nc2T_r}8+jsov9GRE^V^2XBPTwg}hl1^&* z*E1cs>G`-9Hy0;Lg@j37{@0Y_QXC(A;Q5%(4esFe7Hq&6NA$H0HfWVU#I6e_sMLc4 z;ieWYXtWY|A7cO=Y~cHy&#jvWVMliTYu|yOt8CK$juv=-k`>{m*l)y;wzPh!)BleC zD->mp=)fQQtq^$sJ8fFjnTI@YdK5^58!pH;PA;La2N%Nj6?~v{-?cTQhzgXM$;*yq%@aj zi#Hgs?Y%B}l?-$IiLqV0>o|HTsvE~0+#lN5)}leJd2)Qkgjv9;?JR30Ka*g6>0RHP zrlCg$TVN}m6AhNaesta46^MtT#+eEBzNHOKX<4+6{l7CLqQ#b&&$`#F((y0>=^3i=bOx1iaKrXN1L_+;>CLPqU>zDg&LN~De8V&;!wlepYy5C zu57!2q$G93Yool%aAwFl>?i>MEdi#(i#YT=Rx6fUfXxZ7qXrc%0*E-CMI~XehZOeg zyqCdARb7HM{L9hSTU(!x$L}W+`==mL?SiK%7qQU2%0C>e(hJPW8F;70JP7W#z@b;S zl+}bnS!!df1~lG7Elchxi^nbR<_L>}FmQ`PMbz1Z3q7_2tXlA zMA69WESR#~HZd2%$Zub{JTS4ikv7-ep<9Pus%PS|>TnZ3@4JFSxno5QI(Hs1UK3?x zxMMHXO~rU!LYmf=oDDi1b^@MYw~;je0oAt?C=TnM!>k*vlrt4oob%5fdIYcaY&@F1 z87PVxEb0=sD4L4#E78b=Nq@4qqTXp+Nc8w! zXW-m}x(Yf~SArtw-y#rFLNqqbI(W7d`yKTKH~sQKoO>@h%!OyskZ7SP0Gkbpm`vbu z3OTI|<9p&SBkIfQ^t!zt=up+WzpjG2$NkM;t4u0(Hv?*b1g=>40ddAy4l6q`>|F+{ zkmoDTvK~?vl}qPZyUqhVuOp%ta*;emdMpT+icByo;Ah8Mj#T%pC7Dg5QiDL4p zj(0P6&Fipi{os+q-K_4}67zzqC^F*GZ#wRZe?wEcuDn)R<$DIl3I|p4>{Na)yjIaw zhzKRMMh9Ad>l7g7jA0p>ybX&S60)M(Tb)pb!$CESC*?2BYmu4QWos4^; zT+FsVncw5j0=*p1Sx(z3u-)a*R3V|4H_nIdAx8((z=ojMnaND{%@`+a`$T^M_N zl=e_*+N3d1fzvHsi4!Xv#Yc`2&CF7b(SvgkS9maQf8~hv-ikvPM^f<6$lVvxm^Dv% z+KOTdTuFMWRXj-e-hO}N04L48Cv1@|a0zZ#&?(?FJC3A@2r{!G(Go0;E5b1-`PcQn zND(Y2NYI`6(d7a%9`|y2{e|EUlK&GIUKN8hlBF=Yq6W)>0XU5}^uimmnh!wSaa4{K zx@ggX2uIwQ?PKqvkQ@)STd=RVy-_<}5LJ8IH#5PWK!=Y)Ul;{ zSfezJIR^!e?HNa9vkC&#H!&f~8>GVdR^Y5k^r0Qz8RY{uCNW8sCWj3q`7|Bp2BANh zZ&~;BKFRX^G?fcA!FI&ZEe|EX$R>%)e)agOcfW`Y3&6;3u7&5AKZQC4Sr-Cw*-L-* zJ}<#`ZrXO+^Dn7S3Z$ALx_%rRL3(ySYiar6Aq{(;1c-V zlyXEE!-ZowY^wELt&%oB1eV@*b9sI5-=1%4;?tdL<4LJb1(6^mqNj3~$3AkF*AlV5 zb)u=QSs3xoEm;`1N_U`8dP_yX6g^w@00i<)SsKJGjI=F`o*P6;Y%GngW0%GTp0U*5 zc@>C1FWNyyw??|L(*J2hTYdO;iI5RD>?#vq!dn_mE2nA-XiAn+-zPJ}$e+-EMa zIKmKrwvQ+)G(2H_y7O#EgvcY>T?OR=B|kD9g0s{xICVYhTZBAS<6}LtQn>pveo7OJ zaZEi$=>7c`OGzQ*`1j{f0&0E?b9|6=YT{Ow(1sklCqW=cr6)K@Kybr#{x0LuP$J`UKYr&M&;>)w(H#CUKJrJy%efLS+JXdF`;h$K=eKLD5~c3P5zf|J zhyw}uN3iyvqv6WZgp0VFFWXnSaR%&-*Pj00}gciJtH(YKd z5s$Kz?oi5NDsCl#jh38ex%28!iH`7uB2uBz+EP49qG&^SRDSSGuiDTGJkPR$!C$kw zP>UUpvM*mLc;#dP2g*y#c!nf{#)E|j{OXURg_^9E0 zSW^!>N%Ef?ja8KktpDr67Jl(*q0K4(z1>_xjL=iKa@?zO`GF+=J@bFww5zVfwba%u zw`8w%CZw3P(DohJ?e=Dc6~hC{rP%%%oP2d z!JZ#OWj6_)*5B<#>zaWh+X77dh#XBwZA5_#7vBWY=sB&8bD-!HqxhhL!@^HGa0Kqx zBQxQh)!D5w9tWSnekpRuJ{v|ReJ)II448sw;Xz^!i%yuE?GXDUQjHa)}N@cm) zJzHU6tB1`h=HaxY1)urgu`4m&gOU8@sv-k6eWWoM?QZ<>+OrbQ&4JGvJ_!*v(UL(n zb)9I?#bbfJg;GgBkmzT{xNM{Vs4&8;PqC7qyhrrl$G#BP_O zv254=&S)AYlVqn27da#^%xXmm3%o8v$iEnjfz~)-{wW=!t`UV1x%RLjk#S@> zZWl=-e7RjkM9J5+(q%O|{h*P!B22qE0T>g9$ix+|Ln9f#SO%f=QpC~cP zRe=6D^sGXx=mG|OR9fHPy!p=GJzn13_d=j6#U@6>2U2(>e8l9RgX{|x$tpf*mfK>h zO@*n1u-lNAFWxRucRF!TwMqbYZak&?UUJxy*)NP#aNC-X7yH(K0oOSk(G<$ z8e||(WAkU&`iKIYHnch$aZ<_=wYJ&5G%6EwoC&VfMZ~h_x!Th7I!Zx>{niBMrj;oM zm3AvH%&yDIbm(-ug{fg_)SU?g0*uLOJL_ zYT8w|Lq+ztwa{q~LP^84ogp1097`4|y_oa&X84K2XebfFm=)XjVV^oATtb!Hsj?>8 z)$>QS@Ou6{gr4q-C1uSQe>y$hPw$e%_TmRBw>m*i^3E9a?KCET_LmElKXfZ-*g{Hd zy;7bd6(4CL*y(!*JbzHDd#V%_2ppTSb(5uD4l2T`Nptx2pE)NHRE?`rITsY!a~6WD zlLT%%Xi*xdSW_mw`C4dPsI)2N&V(F&SYIk5B6F6S1Lsz*?l%6k#goTtjY&?QGCB;&-Gk2?e{$cMDlo=Ubf zyrjcK2Qq^l5~kXoNG|!5`16j>dHr3?n+A@t?sDLf<;*cB7%gtO+miye+C3Vb&E@rZ z-vb!tT@bmgX`PovGEjXEX8myLjo#6?}Y=h-jqdxb4)~ILvkDQamDbo?8Y)HYc z?SxAK}8Tmh$i&Xt~5|qs*Br(Ot!a)tH35JJ4>_O;nhWA1RTy`<$lB@TTUJnegR;b__s$pCv>TX9 zNOwX#;v!l#a*15_D%;ww=E`9ZYl)Cg$(bi(3iK^wb5snvkoMM`TcrM0^1Ph`>cCMycfF~4{R|XXp%d)y2C6+^P zS1i>_g&k|xENGC;jDIWYaoK1Osvl|8mQ>9BmR=dcwlo4kTZCU z8z-f?GGN4wf4Ka@-EcUM^sp8lFg629MTpv3T$ca?JNZi414a+u_G?Le{=MA(xz`md zD6vlHpisw}qjr?uDxy0NBRUd>vgAKEgU41X=)|2^UJCM{9xKJkGJtfSuZxi-)?Du* zm~0kv8@2)SeF1cJam&2362{w4L%qL;tEWptWaS5!ru&6SWs4Fc_EV8%=|I>-wpKmQ zw4;aXi50_@OOqvCV1idWM0FCGxCME@@kf{t7ImmeH&0*~xJpv(fH!__K!!)0$M+X= zQQO}|7dSbi>_M=Z&U5P{`4XMv5aA=G1C>h!!TO?KGDj0KEY zyTs+mBp|ti_4^CXWpo`_hEcEHn?h9k#amR%up=0WO`%pTun$^)hLE5e&r!?~T!YS9 z*f%j>pfDfXN!QVNMaHW32tv4&uZ7rq!KrY9LKpE0l*J6gGn( z{D(SFml{RSl5t>-NeH7Rh~2S`x~LWTTihg4Zn*4>2MIv004R3ZaGhGM2YeF#IK-8o znTQ2GCy;K#F!v>3r%~;B=%yd2d=22-sOja0Ye=S7wTEf}%?stloJX|w^N-`}=JxbX z^N8L?6J`^L239)+m~edtH8b%^05H4QPkK;_tp$S8I(m#y96zRkP+>@6+eB z`NRluYYCPr)HqCub7z*wbdb?yn26qw&REeY)R!2DOX{!L$%X-HHPAN4fHs8+0C+ez zXvG#wVxEqmE(aTl`Wki96`(cp<^Ly*I59fOx?jj@7? z#zQ#iSS;db3B+@hG{65q>!LSm=;;V1%1-Nz7j~9f7bT86s7w74>K3s9!UEBsFOIqv zQL4+8wmURVDEEnH`a~2JK@?HCGA{z2+Jd*v|0eK|f|?^R_6@j6IChM{M2#{jL2xCt z-9;z4vTh=sZN&e`_s`!!v|m)CR%?U zS-?D&2*GZ(6%Xy6Cemgyx9NSIl))+i3&fg|lJd=o=>6K; z;(qbc`L_G_(tY>GGwbj3cKJIyzt8JpnEcP@pP%vFF8;swV|`zL_D^4a64VR+i~iT? z-!k`Gzqi{Le!tKC!w+{qkMF}c{N2C1V|m{XlU=)CWmL}7oYySB<;-90H*nbXF}Sy~ z6|wm*elw3*$z;9!aXh=+7>eV$gyULIuadf7*<|1?$UFgv2`p__Yv@(r)^yz+Ho}%;)vr=bq-MkjUo7XIB%U!0 zcfBb8?O2rQEIV?gdn)OAgaa{lK-`pxlD1iP(fK){n%69IcYJ2p$jT0{OqMkrckOlH z(9KDEdv&qB-mZpE7~{p+!!ZbDJ#BHo(&7}HP%|@3JZ74MwIL^DlSaLATbjj8Vq>c9 zDnq)lalka0?6cC~+R7L%KBaB0d|ew+G-bEEL*BwtHklSxVQK$zRgpVm7`WV$S3N7& zTG!zumrHu{`NwanB{a6D zv)DCV^0vWfc?AviVBEIN^;w3j)b0_7Y>8|Bs6enR<<#6He936oyBj3@QHkCO3?fru6ykRo9ECTiXyYyIoL!cNyN9lB<;gA2EMx?U{< zIS2oDUTw!nqIH(BM35L1LQ88|Zj%BtYfZ*1k9o0|D!pv|h7)sWrpis*i|yK3v!0Sg zLg>WC``O@>`bCGT_Ca*aX>|H6&bY@}kQ@t+=d2B!s?P_Si)h5mGCI|7JB#O8uPSpC{R8Po(I8o*+%ZaSDamfs=*R+f3`}? zY_{eTY@#EEbLUr(+AJ%wTC3E5k-IPDgeqe7j~)S9Lp=orz;M$5Lyho%<5- z@XZdzt#%>&`7Y?KK zik#maB}lc07uaskvkx1$ST5h?(Cd;W>zK?KCgfCi?WnhfFKUHtO>LzH^Q=s`Hr8>@ zD68Y~b*#OsLCjA~#|G|b@zzEvSq~4bC!YI@5haQldGj(8f#cQ4C~D)1FZ5>WY|iW2 z%56Wo++$fdxx}EKV$4Vtq4)jWYI|IP7h$RkARnXJK(*N@*BJjZ!di5&U+yOO?xu&A z1AC*v*q&P1uphY-;l8-hJ$yJa0*h|W{4qxxV4bU0Vi&(LP(=9y{7DHMIfNnp&}CY! zqac0qK)1H8#%bA}`Qa4b3|Wgq<6s6gU~=+l4_n2ux!(VxF@KggS}kJav-1{ptTi*o z>ALS%xzQ_jhNpDZG(VfK4-CZjkDmwb0?K0E zV+5ShUfz|gqIGV{Hav;kF~>>d%_+wp$}dyrUGF*FR#^#{Cw~Yow7+RlXD1f)goAmD zBW}kNc~hX?xx5NbC-%lg0S2*^P3_iNxb>s~SkslmY*2<_E5-PksJvXXNz_^P!kcx_ z%}7R>W4e`jIwstRld;@Ph%DUEiEa065S8knntEXtG+T%%7mn-y;}9(xS9DzwAGvqm>bge{opZ3OsE zD@}US62b`x+{Z;k1n^rJ>Yxy-RCG0F#D{^&UEW)P@_@NTbwjGZl6Aw8Bzl}aNj0Kz}shhGc>K*0OpO-ieItjTy_ zNc)EYqmc4+r$nF&M8n1iv3s-3;An@k4h}7HgvwL{tGip)CE`ZjJW(2w+Q5j2%I(JN=;DKR1QNt%? zVdFi26)W4cu_wW3wWe~N#R<^Ibk8kM@(Aeo=1X_GSvbg4omr0jveWhp2`j*Sf;n&y*%(wZBB0?T(qO!**fBez(D_T3{2|y>28-d_2)$!D>sR{IehE>5TWOitR zK;Na6no>Bc&FUJCK;aLur%x_RCtB|u6zm0}Eqy?TDc-Q*ok0*%p9`Bg2%ToHK!*O5 zEga-KBHGT)Jj-MlykYSgvtX3|{J;0{J{|m!TJThL^wQu^CXl3=!$y&X8IYiwgLl^^ z8bR*xqaI(+-Q7-9J}B6f{^>rWnwmZ!;|`H>6Ttn@P|_Md4^H37{#o|PD;XN5VbPrf zyHw>Vpi$0>n;O*0TpT=nT;HkT-;gln0?%5L`Qqwdpy8`jgF|;D2YbE8hSOoa2ZohQ zGf3x@;&Q!!Moww^o*1Vdhx?5sw$GgIzQ_AN-Ffh)0@kHE07lYNISJS?Y>0$SpVg&@ z(IEf^p6q#tq#tc+gzQtm_}y>&01V6ChYlfD3Cna`X24S_6@gg%k2X=CQ=J%vo6f>a(k=U-aR}##5_FS zg>(8I7-X)|{t^{&v1YjHq@!{cz!s~dd1vU2#$vy#qt;yVn@(>}E3;~V?fBQqn z$Sy-5^gY~#5?K|^B7BqCBjJt0?|N|jtbHDbuxYApqc9S|iM?6v-#drTsUhVbw}FzW z(YKYFeZ#2o%!Mq4bhV#_^k_He@qkwZlalfL*H7=z@Sg7&zQD&!I;?SV@z@53ta^cd zL@8pU{Gj2N&wSox_;_G)3}@B8+Ms!o6LXn0^#S?5%7HTwP>=z6a}!{r=_%#H%# zjK8=10A%^NVM{(Hh7>(C#vtIeQ?N~yo1#ER4zG$K=r>b=D5r!~S3vQc$u9?omUciB zRsl}+u*{qGavY~x3(cSNL2(hhL5&Q$NX6!8HX%Prx+E`g)|qN766zHp0eHqR=lg3K z(I&AHrx~D!cX_SWfUi;aM7g>T?VtIKBT6_z^IP8F5R)pMG{)NFw8ZEZ3b->1w4*pp z|JXcQjEf!KO|eI4qTr26X85UXifzI*jsXQbBARKBPNu}p6cyCNBizzr=x@2f%yj7w z+6a53t7LT*#<(!T_h1s7JJM&dvfkGSmS}9V^$=sxZ4QOIV)l!9q%&(|Z!H$BamL(U zLs=3q?5Bj4IVBL2X+HlBoND2VJ6kr#D;?u;fPi-T=Q_`&~uAI47hb)!l3C}2F(jBZ71D>v~BxR73MI&>zW5eUy}|5s?bo>#Wmk*eT? z!&`}CLA2u;dfeq_0r1jgo$z~B(C%HH=Kbf&b<0iN`LurEm zjVCr*Qr~r>=l%1SBvxXDLnQERs&tql<3!A=sLG$WZXDxX%3tOTzkyVn>;ys z3jqv2g7sudqm(kbe!G1`8QP~qf1!<4k6^-d(PS=wwqo(oZLEW1&5&FDDnZin=$*+(DPJE-?9ARw-^xqT@ z)VDBz$!RV`nZ?5#&y}<+Rm%OD-7H)k2og(1utBm9YMpS-rXkOuzaQ@%fYQW_hw@Vh zt>QE%%a`{xVIYo3GwRU~U}h(x;^uA-#W(MsakMY(RkxdgXP-nVuB{vJw8{a=rmn+s zhT_mJmk`@ix0CblHx)(`;flB_nCg-d8A8+k|3MUL;rn}lI2WJ+UV!I zPOL8w9qEDG7)3qQX{5T z*qK({jFX#lI!Tlf0O==W%OVsXz<390r?+6pDqwqRT}i2GQ>w17=P`G*kryyqYun=Z=ZoNHoD~H8DXB z)skaZDqLH<`*k8}q^P9#`mIT<^?>Ol&xgCD(8QfXhl*G12@j(r}Z}E~ab+t)s>IJtxY?`~B6JN#mY>zSo8k zoDe{A9)}{!uHDW#FrB;iyN=RVt*p+g3_8RC(guh3HKME6CK0eDEZY#?-+38&j0)81 zx1lPa`APQp8VYK8+dRY)_;sTG=-N)M?8DYTvg9p|Ni;Z)5AIpgUu;)@(kmHdn@rp2 z@-yC#Rw*>h#NBI#4ugEG{a#YX_zXfrnU%#W*KslVe2<#O%Keb|CaJOUT#A61wX5iZ ze62Y>BM-oC*LeK!a$Gv`tce4Y?30je#2mvCG!)CwRU>_ei(S7=FZkKeXG zx{?_aePcvLkK`?X64(VT(yIF?kn8R^<7E^Y$0UpwpDLT-=JMA#~p|at4MD1Kz0?02hP>JuS?U zj)p`)xf~`FHS@24rGeWC6g`#-8Tj3*lx9rp?>ysZ5X?f%tGxa{fJjREj_^3ga1nR5=41OUm zNrc=e1@U}%C@WePL*zwLtUn=-^gn~+P2|Kk454lUV0#LS~3B0 zAu>%rPz6Yfk3#&zK8X~b6Ejgl+GO~QWW?!CMRGbGfMF?Co!2{0nPN&Xl8F;$h5`kv2o!kL zuQwj+0qAvVPoX+bi452+LW)v>ixhFfQu5D+6AP8z^p~muoB;tkq9Cwu*ZrE z837inQbC7A@8SQwFAI`>oQ(U!;kx*_C;TtYq)h)vE=Wlhtoq^7g}gjc@6c07Hc2!= zhFfbB(_qKZn8;8b&zE+C6GB=lo%P*zoEN&NcDCBEy{TT*c7I266o$@Y_pk%WRPmj*`24(l#yOw5K!PX`ITCRSSO7b?Hb)?PQ@T z=L2hHwT0zF?Fuz}2d=v0g_nJkCc`*l211cE3Ij*RSM0EVs1THW--3=ytJE-;nfS)X=<&JMqp&;offur7o4iiQ=re!p*<_i{|Lv zg%!$0TYoaw$LDM0RP6s^6q{m42zDs)$V;HskZtg_pEM);aO`{qEyCA*1DB1-%g^?G zO)n0;5J06bWnx|Ey{gXZB&k-_oH!kO8V^-ocMj zYeY;(u;;1B)gv9~Vudp%aZ_)Z^P?>5Y{~{G3h3iv>VtN>{|Fw%Tf{KYoQbgp$?do& zo97o&2f4P*Iavx6GudxMadRXjEm6sq)ZCpC><^9{47eg(nTx&~gE=5A0#ane%lEPH zU~^M7)wF#%i=J2mq(P@IGNQfqfwZ_R_RWBBVbhZd?Av6+Rx;Y5IWreSA@4@rb?U7q z+D@)PL`!teDxbNIg}ucpw{p?MOKn0I_7z! zT>jdR1UCd@%#N?Nk>rq497UgIzGZwG)-I(ke&r20!?txPn+`(VPzKKNLdZs(Q%l@6 z*8s)-z(|YX(8gy!a2#06MA$Yi3G_sih9Hz*gI4iOvjDGohHZ_s2>ccSMK)*=5>;A7E2q%_Nl74jn!<%Z)&)XFu13SsbwN<5m$*Oxzm0cPlEHMmJIKY$5-vvny;ON0G zYV)^RG!yp#bROU^{8KzoEZQn>G1jgzU`mC zJuXge4;>z-J$d>0c7NWV-*~;f-@QFe)``zAn1z&QHH6N!?t)NpPqnl|6PQZmat~)i zzjkm+;!y!1b3A8A621o6;7_Cr5F5g^KNI9KHOk7`%2tDm%pziegGqO3^Z@U8 zHTY<55W(B%5^5YR*Me>EV;Y0v0bTyJrwI+8fyiC`3&mP0r=_t??wqB`!dYUR4(Ys- z)CqU&{tN%0@5BE7`0MHY_5R(()8o_WW&bz}I1T$TzozscqUdKg&Jwp8hXc?8vKC(F zn{8A>=z_5*tEju7j5exf8;s)mai8{b|444P`Z(3a&((Nk*}0>pBB#nzzmTv;k3?;kcGvGep?xd zCQF#3KYbzvihkFHW$6kUmALeuW2CE{9>yg{(>(6s5+F54V4Ddg>2ziH$4FI|_T4m@I(`wi`q)l-DNQXhksrNisEQhfS7v^6pnSC2a&d<6w1;@vOg?C!J)BcwYq@&CYX>;W_LG@V zl2Ik8>_X*v&P-U-!WApAV@me0Ghyzc1^8OOjI-)JCd1G z7-u53Xyy}Mwd^iaMHEoeYk0gGVN`Jk=T&Dt4{ai!^iRR!YoNrei-S3B8JsdvJc5hd+@htsl%P&R@S6z zs#4^gS=Fo>)V>*-ow7NKx$XD}LM-~HxPjbiF3Q6z*z9Cjv8Bw2*_P2P{`rItH8#S) z$Jl`!vI^~*vr{WrbojGa9b?9x>6K}^xgo??l-uJY!Qwybs8}8K4m52yRB^3>Rm`dE=%F z@Xo{N>YO{FA~hj}8keju#S;-dJTY-}r=RESq~+{_ZNg;V=?J)zG>2-iL)i!wH~}G3 zSXGiKpU#$h2!w`4Jn7)rtxL{%nDaCP7!BZyi7^!f8N|VpTl^37mEt+22j>sXVE%tN zhWsO>B4lwha*F}xhiLGBAr+bvsXdwu355{92OFZp6r?maN_4f%Qh8C{pOMnra7#m0VI*U!^1>bk)$+EN@xDo*kr9 z_zjH|DO{oArM(#pOhr(0%}rF{@F_2B z(SNM8CPcJn6SKasT@Eb#wQ0a%jKbILfKuRD{|r4s>O;2AF`@NK-Ji z%;7=oRFML~L-rCpWv2*#dAPT2M8O;(UCt&hr9`OSKo`3=ty_fV&9M1WO$_i6zD_z_ zYc7n6LxW(t0N2W5>x&qJ6sMS~!`K0u??LXAk&iyC)L1?ENFyla1BQ|qu%gy=TL!kY zTH@#eJ+xCG&gPFI*fm-p@1G&M5&ph-^I+ud*wF>m)%fP%-M$^X{c-9pPu^8_xW72P z|LsH=I!D6irQPjgFEcCjY|m-T7J^HN0CHLRuD>HL51{aLa&4{|GP(%Ig+&g4H^SYVEuJ8A}oMzwa@%S;D+q`(Y z!|V6`xxf7wmUrVWzw7t%mFCCuefKl|Bc6L z<%Gu4GQLvz?>ntRbXUHLDb2cTW5x@N4Wby*Bb+#nma~GfgWj2;qdyL`%3JPJ!vcr{if ziW`Avz`b0i!QmH-Hr-@z2N04VxH4%4z6yB7%@(N!ww}?I%!x>h)0`lz;!lFFOat(d ze&lN1VR)nMlM<^}F}&OOg>0k1m3BDMlXqj)t54J9AK&|N`oI2KeV2XPe)E6d9d7F9 z^1bOv8$y8c5oxOU*kY7$COi=%MP?I3naV*jXj28U2{n;e*f4r*6jw{TUzb?x1ZLhF zZ7vt1r;CU%6@8cNh)UpY8B$lZ{&U$d>}gh7-*=sVvx5v9~G^`k&L z)~)emAnpk8icE{(d7509vzDaOm!k)BU=RrrpnfhS)Oxjl+F zXav{7F*+EXZt$AOZr@T;)>Qlc9o@su$y>(qP zyan{OukhF09@F2N?m&=i_?eAowq5Aru1K}X$8p+Fr!7rf$#&4 zD#pWM;?#O|{P=jM4n_W=A{bc(tH{Hll=5@H98bH)H^bcKy|zi}lYx zIO_(e^sKgHu*$&qucqYU4}MrSJXUn9rN1K{914%AjVHdcbj!kSkI)0=LN91=2 z!tIcs)ge3zh!Qh=lu!LWc%0?`xQLqlt~;^D?*7Xm^g%-S zfk}kJ0b56@17WzLQZvk|5%B#LB{JTu$M_1qrJb2M0Kmfm02Ks4Cf>Hi{tAwE_UQ5= z`hNP?jjjMlhModD64-iPxc+^8*PzIq5+E+ZPUI;NE|&x<5EcGMwR1!0r3E2CmI>%K3__mTEElun!n+qh6iI9oL3PqU$ipGANJ4XzoL~`?GWpA!KMj zV4cHW+ErW_ZO`C75bjCeIl%Hrpd}3n31@Irog$9-FH+#u?)d6pjQ++T-#aqlb|@}b zGpcsiGCtGWnVP|+Dg2^cz2EhGV&8&)jEfe3`g?FrVDo;yBEn)ABGh}h)&N-jmQf{3 zq+rn`hJ8REvRV7<00{*U)L6&??BHr4n0OlHVsTH$psny_Rc160z>|bKd(vx& z^@sDeUms;KIB*;W=ES1}_23j>u_*<{v%P77-sp_IXdL(S|DJ$5P7l}Ke>g|GKc|ZS z)=~UN)=|#fyj2hb%ILj!9ufUqL6dSfC60_NsUiy`8m6&?jG_YZ1zT&G{x$+s7iAac zT}~DLw{S+!hTEP;?mmrb^WV<*WiNlTne&@|U(k&2})3SPGRNmYRl@eS`WrawXZn_v7 z27|}k)JiCycOfI=GhQLuR-F1v^}DU9$NT=^H0{Oy=H|xF$Me;NlixmW_4@9Te)Ig# zm&;eDE}VYr;n>h(*y5X0FJ4_3{n+H`rG3<7CbwuY8n5?E10u6fYzxI&6`TP)QA}-z zKvCoB4U{8Ydm-{EFfi?!ZnB;#sk;Q5r&!|tl3T-wZsG=z9;l_o6J6Z|GZpNFq6#Ed zG3KXHmgk2Q^Vx=#+Vxr>Tlqxs)fIj5y8@-!{ECKdJgXt{{S9Fm!^6b9+z^T9N-ng5 zx{QF_ToMDv(+0^yFcYyf&tL8cA|c2 zTT73(^UKBZ<*L}Pwl4>+uMS^veY`k7+wVYr{5-h6pO#Sf_h>DAkGyIcaV!{LL_5Pc z1)|Q&cGn5-3J^|DGER1#8)4jrsx2h@@6v)Olmr|?Qot!)@X?G69k=N{%m{0Cs3X;c zN~tJegN?^K z5m2EeT)a^mC2}=F^*Q)0L2p)%><$IfG!Rj@SG`ito4bT+c7v1#6kJT!!~tMoSp~P( zlqC%c^8U<-@58?DbHBH-drNK}zpvM^W1se4@AbN|@^NLiU3er^K+$$>r{l@YrB-3s z!s$s`+-oUGQ3#&~r^^9lEDCd@8LO$2f$QR?){xVf8pedy?J%iW2XldU{^fQYO^H&e zsVW3oFbD}fnpPM{2aTuw6O7AVa}$CyMfFd^v|!naTpN_)SXNN1p*P2BF|F!BVVYg_ z1S$@xL0s2%-f+zhxoAjqOX{)#IJb;<-q|!@JY}%PB2{1yZ5T9dI2zyR)Y#)z6@z|8 z_3{;-v~3s?FA-AuseS*^&j=WYg0A}MWnjSlS8CKhUT65KZ`xIHp!nXZ-vKj21#Ibw zIR(kmV3Qe4igSt=5ofl;a#6YvaH@X)YVAN@P{i9PPfT0UOY8YqHT-rqn>D*^;m!ND z)Rs2W=I6=DiTtGO>CqZ{B&C0__G;F9WD3CVTRc$vdh}0U!*@7xo+^Hz6x1p3N0Kkp z@Q_GIcN*aMjDh$ue5lx_^YVC|%%5hPJf3h%rQP78Txj&=TO1>z-}eqWWR6f7CJw|@ z4F?H##06Gp55GW*a%rN9s zI+j;|Bn)qMohEz4C^{_Z!K#2smdQFV+4LP|MFQ+`v&w=SQR-PJgn=J4)Z%rXFc;H^ zyYE`uQMU0C6Rb?ISbJUGamSII?iB13<%5XsOj&A>L3_2nH)*mSPglG_AMC z(m=(3a;ylMW{_LTL8L;SgG2DaKfLFj4FP~UScXxs0|n{2$95~I9ozk6iaeK_q)r~| zgbgl1gh?reJTs$WU<&0W3qh9WlrHtgsOfx-WJJ`8PbG7oFYtve)dRa^`s>4uM!+k6fAFDUAZ{0YUSOQID2;!CyhB znn_4f{0;B}s(vt}shW%WL^Fpn9a=eGm0nzADBkCCWVwLvW_73JY^rqNR_q!&(^9K($orTHs zSTPDF1+to8e;I}bKtf|qydirW2t&U!5bq`@U32D@aFlaYEDB1#%vYS~CdR@X26y3& zW=oAQmNQ|iy6a;vzJP@H#I!o)fa34<^*sP7Sv$aR_>~}i;E{50t@Xmw*<-Uw4>AA(D-51><#+!W)QAI7YX;$}w6JnOf(FC74FkwXoLA_g zS1nuZpnAwdv)SB3fpzHn=sR8*N=!qtgCgGe*`eJyY1PGP#qkCR_ye245FpGke8{UI z0V3lQ))HSp1FaxE5YK1p zz|I`Z%oz~kKCZ6n<=#J;MtH=5X zmE40^*AZbIx9Q0Sp`_QFNje1FLO`MsZejr{{6s)w0?-tLRC7VZj8%rCT|8^1ajUQ@68Jg_7A71j_gREM5|1+knXL4wb$=LeH z7_)zrJVWIE~dZx`;HqYP3^%=t`8`g8?j>B+|7z( zs9qZFLatAY(YtW%XU|F)vX04zaeh0UN*7%X4f*|h`3cXNhMW3REdl-aYKi$DhhB9T z2mVzpf$e$oi}DMunX<8UU?eA~&{k?CtBA4=f;7pD-uKrVo4MPLFP4qQLe9v7E0%nH z_3grbIk>-U{>^IZ+8&~RdE9?^W%BFQ(~GT>f3*Ac{c-Q}<e8!2 zKU;SCHhKR3{y7GM*_n7lJqHEQF>0F!6*V8KNeMyDKL_}*qO||Z|E|v^cD61szQ~9N6(MVD2!WRI>X`J*ymXNGI zd5B7jl94&shNG3s%IPq>aeY|WK5;wA5XRatCa@9pK|5c?83#9;jXT|#%fw}zW~EOM zOyIG;wE9OShx|q=LIyiPh{&P-pk4%)muHtu#AqZ^G0jR?tr%`qKldf<>-^%UQfJ1G z8~ZqUxv0N7`T1jYdGE!=lk1l+R#)=Fb;*ICGLgy3ON!I$Vk{63lM5&IDD{1UVlKPu zNnMzzN&TxPjjM(BXhV|RITyuhkx{J>>cN@3X1(wHA(8Wjv$c$C|da=0=PjNEd- zLLB}efqj0F+RGt+0Yq5)yQKsKz+Kk5Hzm=&1SN?=c$!i;I;kL?>@c!2NJu88r#YZY zs-cqdeYXHo>AuEGdNMN%)KFND*0Zt`>R<_mBjMe~?a=Q(CcIe=u~goF&RInNz4^}k zk5hHF3%1f*0to&0KO^36Afmk5_c099d?st_r0beufhe4{=CC-qM{*&*-sR}jic!#j zCKFBk9{VsmKbo2@AMMqvmMKZ)}PNG8m*y8`PB)NsVmQ4Jb`9;E_CsMvh`gl73_J9%VR! zn)lp0BY8y-#3)8~C1y8W?51l|c2_WS7D^RM2 zZ^D(BP5?-b=2q_4p94SoG~C$@QZFYngVNbsX{Y4w*V5Vj>2+T>TG<CtO}^RUZrm4vbBR=!@IznRZZ`)`iiFICbNF87Em5O7T4h&CP&L97rm zVI+~K@)1y}M1X{)5s>atL@2&cK)lMX`(gHV^IPL$zy^APRv=O^5B@_Aq_pbqF8>of zP5y`I`H!Nqn8i&yWX6B-seL1P0HuZ**;A(85hf3pJEA6$MpYV>&ib@Y2} z*7xn<(9`e1t$dNm)+X6QNoHg`%2vv&XzZj^CadEPh%J`@%nn;i#P3=oI9YJ3FQ4zf3u z+{Y4J7@skMGAkEV4mQifE$33_0qNp-9JT~_+W2GU8jE{v1D#e;@3m`NxQBrxFN6sY zC_;Rv5-CSYD2AVXG2c%@oOj>z=eYSWGdje?9JpP7ws`~X^oa_H*-kd zxx<_eergf;v6OEsvrYWOWp)crH+FwMt79vJ{;TL!{N(a!@igm+*tu6ZY;x!=QvT!6 z*F#j=EE2E=CMkt(r=OcH7AGXRV2!Fl_J-?0sSU%E{kfcv@l-;%N@K1vx27azEV|1? zSbM|mlCFXSF}JFN`j}&cpu8ZazJ1I>m!745YKO2?ak$xeW=~}N52>G@z*SWF&+nI08tLip;n6vR|wIn@d)77i9T6LL<(T$CT-#waqv z5et)?DkTd|#Hc943TYaPk!OrMf*?5!3qazyLK*{^0?L8trDGH@y+MGArzyum#w=4Vm)#8@{Z@0SnG5svx_d~>S z&w6s~{8pf{RH#2kL?%d2%tT5ac;lij(X8NPCCxJpx!0xq(etYVTOJKd zsU0IL#RO?*#K&!sw5mu+vE@|cl-HX8p;jkXPLAuM#2RrxxvEizl1;hAv%Jn5WQ&Lq zQBu4Hgra8iGB%r7C~yn0SE(v5Mt{%K)%NDn_tEo-Z`S3NrcJwfF?V@quY6!$7m-Jd zPdUpY?s_lC2wRLOj;upYPQ`;RRFK*CWo&84R#-yS4sS6gq%2iOb3I(ZR;hWl7 zvKpUv{zpCe&aK-l{I3-Iv)}$p_l@}<#Y(nwn^r^r?|wTZ&!E{xH!Vv@txKvN$wa$7 zm`Q4Mio!Z+e#pR{zl_qxw1;t!;Czw4%90nWOy#;4;&w z?FEb(v}{_NrbD{YScd?Uoa>C<#Z$q#91NjmWHZ81JdQ4B+Hglv10ozk$slQlTC@1u zBr(?O^Hne&wW@K`&Gr?oYw_12SOaWXT>U1?5FoF%RQP3dA#;bpQi9F6ev+&xuclR+ z?s&efZQ9g=Ro)SX-yeY)-rv@Zd`YT#QTL<@n5V|Wk9D5!E~u|WHujdrObZm~9S>>R zTYFlG=C#K#;I)g(A=b$DUk;akknlQSpqj_L@nAg8Nk{(?1;#uV8nu5`#rc28RsSem zNm<;q+7kFt9(ePIVv;%9i~c z=DE>wTL%AV#_YF&-+FDy$FtRE>$Rsf9ED;SewAo3m>@~yC9;}k2!K_wC3a9Q60BBJ zjhPgUq#5iRJQ`yGN+@02pzqkdtjAkb%_5FSFh9{hZ`z4kOXuvS%JV$P}Qj7*4pCF8d1Z74JDIhpks&Xj|#I;7#!A4^eRFn#Hg-mJG99Y`n+1-D9Sv9$e zZtfP)w{OVpyM4Pc-Tk&;cRDRj&56f;seg8WzkZz1^F{B?$lH1PT$jGBapZjHLDS3*r8B?nf;%70_m6_qV0besRAT z%r7_~kAB=2dtOB>p^Et%Z}J}urf>R$xxODa3E}@g1)lT2GDe(cRxNhFq5KcNBAJzA z{AxY3TGx`5mLFkbVqzpDHb$mI z^gn(Sur**{uYlG*4~3POhObC#xEVU1_3s%bR*Wwa4K8tBDpiyf&6Xqm_&kZo_f2*@ zw#mF~C{rmvDTvlutZd_GimA_)dVCgBnS+x(A{I<7`yu_H=Q3IB$00YSyPsko^x~uaaxAe~2wO7D&ZB z9|`qWOKdeHHYqd83>?wW==F*0ov4P(O5xjjtX4fcawGu*JhUe3x0w?*j;VfEc8)c| z)Dl#J5(4Q7ricCmeHiAFSqLTwS*D?;(NTfVzJ$PcN`gZC({z_d44xwgURx*;Wrtzk zTHi-DuYe+`PFhvzr26RtHQB>9a&0GFuZ3dbam+#ES25* zZjpU0RFKim5q;4Cw#gF6D1cKjHF>~(+y%AH#|)KITHn|A2nu^1)tFFLn&XDEChp7#MW4KyPXaRu6-5 zT0g z^uB)k2(}#mi(va-acHN%<2L@H1VNiZYTc;y-A|(f#{Ot>f=WB-KgH7uDHFaaA;Z-S zK+Z!{YJNZh`hTwNk9|SYBOP>t%kS=MIbV#9ZS(kkVrCl;njNl;e(ac7JF!k`TORh- zD|DNF@!6dI0i*)DGH#0@h^Rx?@7M-~>Wfanr36{RJ`Cu$NRTjXQKZEt z8UIqk;uMvF8;LL}&iN$kkLOwRiB34rvx7E{W@@U&M0Rp@`?8oP=rhKUR?sh83TzR2>|Z55IDO$oW&Q+M+(=)Ls+3(T zzQ!VG!qN+`(9Qh*q~AZ~^vr^d)-R<<208j9vlv^{c?~fQC@TS*0%6|xxBo(Iv<^F^ZwZE`DWkhR`=Yt^6sH2d|LT@c=zVLn7C{^ zI$rzi-1`2sJDEE?wjHa5FCC0#u95q+QZEh92Z%MtgOkCnncVsOx{()BlV^4>9_}Q5 z=elTFV*V*~28ccX>xvs|%o~G^Z){6@5fIVuqkU8A$D2BB{-gG<0?`kSAbQytK|hN# zeZ1ZD=C5;K<|SxUWVcpV(vNpI|Haaz{4o;zPPU+#siO18$>BS~L>vLfC${TYw%@$S zehO!H418oQkqtwF&};}_dh*1r=O{63AP1a#MfPJ(F`OT3zkEg5i{`GS-2>`Wm9#{y+j5 zUcekE{ux1tRsvAtVuV3cRUXqTpZ8LFXq8B)GwAdeDw~NhZ$uFemr_~o#)J#)ll;6S zb@(2JOHaB#CU^i!z&O&hXH#r1OdM5)1Zq>2E zA6q$jwbGI^hGfu2==5`eLP{9fj)}U8?ay!ArX6N)?s2 ztEykH^8pj@8J@$-&XY-a+sGdeLM5@9AX2>tZceOJZi&+OzTnFv^kO zB(5!%aK{(YJ4NCG2nMlfXlQ&UEX^aON&WUsy0EFrwrhTAoE+1NLmZ1UP2^5@lyp49 zXv}o%onzwtxJd8yrl|9+Nbmh>{{68m+w*qvJv;m5c>aSMcCYuoN&C+1^%~;yJSy*f zU*z++$GZOZ)};5o}H{T$ZGP-~~IC#f?HGf-n zqRwgnL%By?z6os z`4;$P3Sk-Vp_(LQTq|_;cLlt%C8tMc-tT4i#Ob?IwJ5G8^9Q}7n%kNkn~J8tgSsx3 zjPFy@!b0S8R$(vm*78xwk-1r~B+DbveP@Pik8f-89(T3Y5!;r}hgzLhd~@x$>e`E% zr2PW~Cky4{tNSafp%qUY_5#Nf6NGiCo6%ggRm)gc1GJaD4esYJwJ*u&`+M1rHXUz_ zgVc6k9{RHun?{m@oP!kAKfE%XEp+ad1~FOm@+0;_Tjrh?G-6!gqSV*6pAbe>7s{|E z>Jn<}T9_+ew9CuQ4=MxWUdX7-KA*ifRcO(@G2v_Ne=QDhT6Ybi{w7>_K6jC}-#>h7 zM{dddva`6Qcx|D*S#;cuct=w0TC+rwWK8F4*-7MT=YLrhUE}bQJ4yczd+FMU=V9J27b9zA(`Vn%m#_E$#Dwk-^1m zd}rL0t0#3ndl53|syte=M}iNuGO5?V8c-aM{D|xj}y8 zQmJqCjJ1UKRG4p!GVilJ_074)N~&o%t(X&UOm%5tw4);0oX^_eB=`AWbtrK}+fx5I zb4|MdWM~)>J|f|_QFQcp>y+lI`z4F*wjpj@!{6O0&ce-+^iwYfwNgq}p*?+GzQp{s zk50!KlgP**FL0`VO~%My3oB;r3_?9?lKohyrl#e>c!vzHMNRpFn}L$wRDBay`?B|7 z5l3)a8RQoq>2dh$K_i~!*Ug}DGIq5TzKB`K13_o4^qzg$sljOf!;B6&_v}z~iawT_ zFR`9#x%3W_KG@J9BZAq;;kpB_97LB=V>8%A2I`fY&o>g!6Y;dbLtcTbwl97)XOba( zZb>wnS^MhfB+yHyxp*`^y>aR=#BM_`{1r%^06sRPC^(Sq&$=hl7+^ z^tY0ep#yJ14G))Q8P>4hN2cq7gL8E{9e+k_NU3W3tt0t^`COt#h?*3sXz#oAzUqu~ z@J8`Q9JH=~V1vwHZ}oXiL2lvfeA{uI3Gx&FRUHwQNE4go{%?F)87>e_P2ROtd(!GT z-aoD9rmRA?>i$G8++^(=5_j173y+EIiS;14ZNI9LR)W~=%~7RS-MMDHOc`Io1bX4c znxQm@yJmxNqabcUw0ZkpeVFnN^kmBc$^GU(=>L|sytrS~%F@S}57l}w?dCOl9qo%l zh`sJ#H#(Be7~kwjLI&FxxyiH8&1Uh-3A1~M$%xSmowmEFu0t)y35E^8(y7qoN?|8< z7d00Ew+(SChxP`lQBr1-6~RWV`U8^D>C>Q2X=cNa-ZU^u%2yHT%imIKP*S+*ZJUz6 ztA1(m9cWL$<_|0id0k|*#s^u~np+F;9t(61qw?kta!5s{mQ|{GVWBVH^EX-|qo$uF zHO}UtGCfP6Wq6New0$MWDlp7k9Z=MGK6>pikh*e1WkJX`dKhg+lA>d3i?3?A4!q90 zte8J^K`~`xuMST>vu`A1v!5HlT8@9;XaCJxfMJCkrl%WO;cCwsF)F!pNAptlV|U{h z(c8Hux+O2#vb&~>q!qKOGTzd?Go0W7KTAYFH#A=|wn72C7E1=v8B3b=xi&x3#^S_S zH+m5)MTP%K=RC777jVzA)+E zcx1VQ#ShKy!9P&Kvu^ILONlQ-7Tzl5Ydo@8Mm+1`J79qs4O*2N535t(73XDHNRRh| z>b$YsEj9M!lOvEhd$Ev#@&sm#|KwoS?|>W*rAV z*s61}V~Q^D2iru{(XcEep*31)z9J!dGJ?^k9409%{P(|pl&@5i5X-$H)@#Sh*&{bL zwo8aij3p83lw-+kuR#?=k;NCqZ4&^dkRRVh5y2qqO}S5-bwz3)%Swr?-DdL56$K3eZL`|Gb&A}?>z>w;nnlK_Kg zz`{~gctdH5K*YFfGg9a!@ma*ST~-b0@&@8+(%eu*pDi()r--W?eDI{(B?+4xnoESo z2a_iwz8x})T>3j~v+N>S$={)D6Ikha1xR*6Y zs}gqz!AEgXT_JQ;Sl{(cg<#E?iAFYr40BvX|yPyly{TTcu7it{dMX04>yfV_#R7R;teCVX5ylqrQ;3CUjr?1XQj7$0*EOaPN7LPm>EaJ5>~dUy z%NsRK=4|su+CBFCF%SEe@DYAE&JT}W*gX#y>?GUPP3TdyIbsPA-Rhsh9qtN=M=HfHz_anee-5^nDZ-Gr+43L(`4f6_cSiv=m@2e=`8tm>fXE^4Da* zX(*FA+7e2n3UhgrnP$dbseRMg?xi_O%|z1f7fay*8=4(_<96M~&F^E^&GQ=MvZ+7D=81wPw1+Kr zo?1H8oGlub-ff~Eyn7YsuawrJI}gLBbx=6deL zoV)vOLnT)nmi1{i%Do^&<(d*Qg>X_6*8|pJ)t>X4w~EMhKrZ(X^VDLk`eE*$UkyL~ z0g4P88VU3eP*8%=d`1YN#RlsG6c^}!>i25#@ET69tEX|Rk}(c))ki!-v7o@?`^o5X zlW={ZP97ZKu|n1dIQBaALiZ=3e50;R?>^XS;y#zOYwe2R>H3Z=80prby9t9D;^O;f z+kveFwIkau!3ta9w?{{oeHf}H5;;1)^E1Y)!KO=kx9P5-__yU94?mShL+e@!_BI&e zG`7Ulh*h9FGk@&=L%q@3Xn$`*CHF zo#kw#lD>p?5wX5umcMSzi#+#>pv-v#)$6{R@Y#i&Hlmf=zRZjH6s?jyt_k}GttOI~~hN;Eei0E~hk7^l% zu0~F#nV9Q!e!;laxpC}g#;bR5tPJBM5ZEy{MCE6&zB!M!hsN`wgka?PO>bp1MpW-r z$UlwdNqQV{9;Sa@xQi7GgU@l6Vl9f#N;x%)8{q0!c{`JKsrrWM2%KASYIM(MnZuFB zY=crD7sG!yt-&C4=NC)%fs=DX8;i;t5agW$LIj~`q4xr+G;#S7n@YA3TOYtd+%Nn2 zm)D)dj&`2~$p+@y1M5xxQA`5#GH6E2{&m*{9EbS(yYQlFc7twd*&r(jDB`|9SW-#jf9@Szn6cTcEp4Mdbhd5e!*)mh#6)gml*lT> zAlPxQ(1A2K7(CKYh!8|TZwEOB;T+qIEZo(SkW9%oHG=DLcCewgHB*gtyG8X$VYqug zH2WBN&FmuanSl4*q^r0vy2(s$WniMgzBUYkj`|`(xiTr;M>sk4Gz1hio86U5u^6qO zf4)>6>OV1H>P)|~k(4WA^cO*)?ul8CZ7C`)CRSYIyZH+rw3@hBnLx25% z2tXhZ?y;*A9Y5VlR3+iVZ136sckdCN`Ei$O@A62{>vcDR|K{IjoQ%K`sf6(BEqU%i zfNvi2+&D8oH6=PwP?Fn zYZGDR*E0Q5R5ZMF^}B57zgABuW(G7gqQ(UCzPI+Zf-~#&jY0M5h@ zq#;_l>M7F|7qIv#;}{Ty1FwID@Kj;(-dSzo;Tp~HX zhHnZZsbsd}bEsa=0N~xd{BGoQNnIB$a7zU#__pvsbjJGLN@wB?$Y~GBL z-5j|{B@y&9sC*eNfMQC8yWeaC-teyA!E8Mv>RZ^>G_7f|mn;RXfAC9|p^InzG*-0! zLYyu*O*at5Wb~x~bhO#ex-c0V{v_~Igz!d#9sAU-qHI-TOS=PNM)Uc-nDNz z9E~bSyx~u%RmBbYc zyzVCxm*ES8Zxcf5^Qg(|mlduQV5C7C_|i-4#bs5$Y;CWT)4>=u+-d}Rz%kInwccEi z7{wa}NHXs@$72K0;k#mVmb2}_#54{>xyHkmOf@RuM|9N?mY|QAwI(;xeG_c7cxir^ zv4(&D27g{Y8KY(-vP%F1oF~oUG}48xP1ia^dfqsojAhiH1lwCdd}G*lm~dbNE*_2P zbGvJE=Q~)XUpMe!@|RHaj{+5K>5ibfe|sK=|HNsa70w<%9I)Tarn6`f?k%o*vm>~5 z(8tNyYwm%Q*l2bL&#$c!kom=pEadJxQWldWSj1u})cSAF#%}(0LS1EuSL&MvlN_V* z2JSn9l^2|bUT0+Z1;&O65jSPKufYmYq}0Ywo0iWF(M10&@=Ujq8BoTO*u;)ZR`W0ymRPgndLf59_NRBhao}!tK8qpf3e~sebqkoR5 zVzs`VB6o(RCsZKM1Fw99^5()x@cIgJ`M;4oGXUTiE?~VvDQBU`8;3$o9bExQ0ORZC zH6JuF??R|gHv~s`DDOa>iqE!~R+|tR9}u)spPSf|XA~;tX!m!LM;k4a4UD~Q!%La* zt)n`rJ|H`KLdo`QBa}BqL~XlR+Vbplhj1&PR|Kz7>U@v~#rf6M(Gzr>{*^^2dXSqX zV{cJs;pyiUz&JAIk4@*5wwCIWnZZAo!5ZT#H)v=S+!EwN%n_3lCqfix`mjAAAe&- zWIn?@;wg^q+eML%@pDa{PvS&vo6&EF!6Zh@6u)`vfv%F8ys2I63g)vO%J-ZL1i$A6 zzQ3f-Gl>WLOo?8m&Cquu4nB#SEUm-5BEkw^4CO2Ax6tU`spGH{^|n}Qq7hl)a6P_B z+I~l~?B>;rHi+PB{?#4|^?*3ces9;p-Vy+lbjQw6%G_-NGda9^ucq*Ydxd`f91wTU zB|^#9r9gjHY>qX?LywjeG@Pxds9?JLyiVy>gB|zm-b@@<<)YxIcw^Bkt*3w0&X6_| zW&iU!aEFs&32oGRgMhC0w>V}Ql%O!@3U(Z;xZ>XqsPM(f36II*18!}=j8J}FOF4vs zw`%&b-L%)0uJ@G;N2en)yfIqwZ>R{d;tvC8QIOczzp$P8dDwB};o(o#h)x4oKynH# zD{LDm(=-(-$_`WVLbYDCF%%vY>0(huMZq7ekaWerWXULj2n&BziQr}>L64%wo1|93 z<30QoWTNF1wwBqypltAP zJ_sG`G8%hPddoNpgI1U8*f~kry>ASC9thRfx=b+$xY6ZCMwP`(2gR#?eHHz)^u;s0 zbrdR1UAvFTL~@uvzh@qCsCvgY`4)m_7c>ChRN}M;BBB>Qjn%`)qU!Brd1;MAz8mt? z4H@;RTO)1EDI@k3_ta7xj6iyZbEI;IwrpO=?@CH1Ob!a9gU_AHuQ45Z14}Np`}{7^ zQ0d0+Zf2c!3GW-gs5Br#?Ts#n)63SQ@Ka5}W%#qZE1s7it^vQyye33iBzpL8qh~dE zjh;;ZnQgvUA(v>><_S2Z^N6>;l+ptnVPRb=D2@Q3>)bXN1tIb-u(sw8?{Uw1)Gpqa zli|iKlM2MrrEpB!jOqX<*i-YMt22-Yk5NYLq36I7jS7!C8Gv*j_|v^;hP_0ih7SSRX4NT}mjTY|9EvdNOP1+>EUKnc$p4$5an=0-(i$>YeU{zTHzJR_g4M zkfa^oHfRUCBc?D{ec-e5Qo$qc!sKNzWM(`XzBXu`kE!X-6g1nASZlnAEkSxt4!b9N zXs5ICqeYgYn1iD<-zT&h?_hz%^Y9ebh=x!eIkjeoU?yx4Fbm)iJI5QbxC-Euwb$zB z&N7Hs&1$qS@tJ1cZ`lCoBZWf@sQl{o${d;H1^ zF#Xm_Li7r4X#XBeX5*hEy#eTJuwz?&Ta9!&z+nWhxp@D6R(4QUg>JQ6qnodrsnD+< zlkQ5Z^M&q8=vJUFDzINawQ>?IjSN`Y zU8;FN2dAQ|?fJwMGVs7F{3<?i-G^5F)T7#hng(H##3|5qE5vl&0SDL=C;$ zNjiwaPuw1`@8{}mm@niJ7|m0`7xgsWmFCcucw@w$uR$|&vJNih=TQuDUHJtfBB>^t zf&&=QX(SJ}>Kn8mC@eVs26r=cSDOyLh-QN#496yX$ji1G2{^s*f!v%KN0{Ka%-cAhPY$?XARZdQBfTjbsml3sS7Da?5 z?k-fx!$`M^i4s5!yw}}HX4A+#KG!A-h!j!)MTp)CwuK9CUIFh!CAH5sjeTH!`)Skx zmQnV9;2<4%N&K*9_34Sj{w8X=l(&Z?m;ldJ5YP)spJ^HMh%`RfYWu^PYOb=8wUn+N z_YV^Fpzp2!U*#GOQP^~~2kq!xq|-F&ScqII-~vgmeXHiu?Nxi*a1rMKK?M$DG}nII zaD@io45(R!b*c7Csn8#DCh4m6v;G$pHD`dD2_-(t#Y-oa`ci$~O7kOlPmmq)f~c4Q z!Uf|SA%Ie4+$^~brceM}(1owN+v2@#_L%L$69`MdBJ_rmI3>hTKu1$fJ{=lkzTx) zz&1K5Br7y|-*T;0gQfr+5~h$-))gn7(-+}vv?CQZ+Gt!O(ngc`x~=6IWsKYCC%_#$ zZB!LKdPihI*$+h-^;}WnwgLvx0!Ok51!m~cs1m;Zq;A^k>pn~DnBPF)kwZ9 zPQxOf0xnU$^FJJQHJm2?TVvSAQ-ONe>#0{2Ez$2D?cIE;F~~pxBJGsoHP9#%0BqR+f0rWe5p0G1-T^&!TQTV^^os_D=acdx{U= zc6i^f$BBY^=gU|;S3(Rl!&jHXUJZwX?R_aTiS9G?56YKaFs(Zz%Z(+lLwq7o@ zsSzdv8Ref+Xevv!g#7fN7+w835oAifPx+!w1{_errHDPv#aA0pR`%wN zH+)Sje=bI|y`z?1cgm{XJM~_#<=@g@U$edMpR0TjsKNi(Qc6N$48`?{d~HCLt_LCZ zWF%Bp6t>3~B9|+3pY&awhh`=G@a|h(sad*NOI2<>(oRm5-cX>1yrTwLlGh^KaCCV} zYEhS3k7*H39i1w-iHtGzqwYelkaFxQ7$MKXMYI7{XV zl~=!LFJMoUe9_rgvq%Q^&502`Se=m}=ZDATFEa50@cPyK68Mx1x_s`O@WNLPk22Fa zmL%Zno}oF77)T1E1a#WNec^nFnve)Yy#_xSD~Q6GehPr*_c)2uyo5q*3%&-y5}BeIZ%}?AHJ+k?rSu~TMA4tn za7w&YXe4s(@I^T}!Xe_!8zK%}tmF3xCMy+2zcE>l0qXwoPmTu?f=ehnAbB(3vU-2z zxzUQj%_CTOS8=%ELZMJHGT=!~I~DZ#N`r1@L=AE?<5-iZ@H}@g5}eCIfq+UC_UwsD z5DHErED61Oq&4&NTNH}(flwFV0Mr!pysEAA>nl`Djbnyw41y_HUh&sFVKxLC#~2_C zKp7|qOZa(V9TkPBOJDJNFh~b{x#I~;0;Yv~wh$9u;NZ^012%v-PTZ8x7Ylgk%nwYT z4JwXnbe zb-_GNoJs?u*W_N)iy)mqF8jY5&u=`E;*#e8`q?1e(URJD4R~_10f|JHjL-VZR%1)Pwo5`jLayQ=@&D!)3b6!C z%pf8--!)kLlu6@3TxtwT8vsd@G*K8&B*@t7T0O(#m%29r_e2QPvRGUjq075wu!|*% zg`b}U0BgaLoXld~4-xJK#^;=!XwHim{Gm0Zy;Ig60;#?Ds|teAF-_tahml4Fmmtmg zm2V57%t!WDmcln$xH&wJWY-#^VR&BBMy1m@RwIx}0IUUQ3suqK;Xh}cfV~NhQP{>y zJlQuhW*rGg&DfBS5GIyEEpr{!qT7N;Lm1B5Z1rTmNbaqFp)7GYlT8MnOsC`))crvx z>Mg=k{;TT8~(d+!Gkn2TA2d!W68?IA)51Zl_TR!d7a{;X;B_4Aj+6gqzvQr zWG?Xo(r>fN5j=OB$n`mAbA5)QqhPk2pa!u(l_i?`u7YivT`zVwa3VYnH#L_baATnW z-F(yi^K6|YM$4&pUeHAp9!FuUwK^Qyk6firQtoG-dWtB8?b)0Ht7p=9{#zWegk~DS zqr>Q>I!zk^Q<=Y7&|T}=)gzDi?6o6YEY{=MQ~p8au497cVzGj`utQ$>nhH3dkY##= zl^eB`U&(nA(b#jMfpjQdwVypt8O)hY%e89^q2xa2EI3C-~fPZkRfy7y>IEtW%3 zx1{nDn+b0Ge3(|~d+M~W6@y|RXZq5SGgr7Iw(o8YwH4pI=uQ-SaOi4~wgatHsksdP zQM`nNWb;oHk7#}^xd0rP9I4NF3YMJ+!z5{Xs4hM>k-@)FSl5g-E0Nc2wck^(`ccnI z@IJv(3TzJ(66H2b@~v5`F49;2VGxkCS=jo6@NeV6V69A@uoHKZ6-uU~=?_c!$X(xJ z5y|>ff`;+JT~>f$I5O{j>dx&cbM==QLk(vXwfBr|SrTIb5-@|%w)BX{A4@wbvt_oK zoD(Ji?SZw4xG_@owBI9T{-dVFsyqSF6guSF(w$F_tfq+F=OdloJVnom(y)#kGq{Q8 zTfVM5g9)-{BW*l`Dgx6-&xO1P_~YzrAGOF0M4)aF|5}%u$A{=Ir>7uq#<2j!qi&{~ z`s}0bY%#w>>Tc?Zd23cbaB?r@VvZ#L>gV?mZbB&s;RhCq!!mBs+0=2CF0^9SKPMVl zZeDs0V@#8QiZ-_6>}a-YrcN}X4x)P<|48Dq#@Ux$(vAG%#_i+IXH#9QyRPO)wvWsI z)lc$|-*5Tmz}+M3zO9jP3Ud#NZq!hoLB`p2OK57Eo@#h0R-MwE#`aQ*kt)p`e)i{; z*uIE6pqw>`q#I4+!|(6g0*B9q_>Ov2h(W$LU*3|@*Zi#caLm&Wan$9vHPk6hK$MZ; z%wQ;zQDq9a5Th#5)Vsa_U@N(UZDXV#8LGM~o#H=(?7^-!>Jz}hCc(&JLm`~dEDYWE zV*Yl^Ra3PJhOxT?L*$|~|AeIIZ?Bd4WEP(nw!#w6@_#Qb#%UnNl(gLSe2Q?b@c0!@ za0Vw)I>0zN5b3EMtOmT%3c$sH?YclhXsDKR9g(CL3?FCWfc!q>hSvqo%su3ocgN>I zfjl!ZIGuECwO4d%lsvv%FOZR-2O&3FD@*0u3p1SJN7)>i{^F=c{bb)I_~7(!wjm+{ z_Rz_O2)tbHy=!PS3af|p;QW*7!E$af+p|UyX%Maes!G=Swt>{i$ZN$!rOTG3a>~u% znfR>CKjIU4fEQ8(l@w2L(870LI*kV=7wNL1ww`wI2#hS`yPHKZp<%gn&?Wx9F>Q=fplyG zBn@i%64bME5E4D+ZlM|~+AM{VqANh!nlVl3zs`B z9T-z}`p)G(xR@lq!}5|adlhvfrJ)p^E~qqXr<;S;2 z-qVV^U&%@R$)+&n(D6p)G>bO26NgXdpf#!ra`62#7rUH*OkJPrB$+!BUY1);BE2$Ztczt zRGN{1RYk<&W`9~voo6ojPIi;)?b47Y=8Y63E&a(&jd(4$vXl3?Kz!g!!JpuVsrlbh z@Ow6O>hWguhGv*Zmv_cJay3)+lk0YTYjqw3%_6KJ+j?PWzk536)Us7O-|kJZf(IM z51_EghJ8-MdpK?QPm6JBL$e}o_lkV50tUGZ+AIs-M4e@>B-^3zOn>)IyyIyG&B~bdtaIOGW7f_kv|_ zLGtIu_2Z%xNPi64om|&T#q>_mK*1@TnAf`j5exp#y9zM3Nrp?@#HU&+@Lk*MJl`VR z?h6wG2|joAWDk^82$A))ztHllL6(=wV@0$D9-eD)Y4{gzOo$pesJbp%di6)O?PTz6 zn`bdV)luF{<#thOHtwm|mHm6FnnCvi$?@wEzQ}9;q|Y4>lCQ`Naf*n~^>WqYyvWO_ z{M#Up&%@JXr%$g%k_W*e7S$pppe0qZlh8Msd?_vwJew%@u)&Rt%0S~epOv-o9YHwj zlwO;fU=mhXF9?o@QstkA=ise|XAHG-NKCf&cHpgkeli%B82(8l%UW&2UAvzRgD{K+ z5JRSv)N&Pp{-kled@n9^etYINKS*+jU8z>!kC2<$13Jd3thJ})99CgzZ2xVPj*4yD z1H$if+s0b$0rAehvOMi7v|4KcVb5jH^$^rbUZrcf8qi7nyG5(cdqBE~{8dEcxbw}Q zwL3@d{Qw_Zd-MO}u+u6&azoLAWJw)c4qWGE2yOB6)XI}2u};*YC+Fu!7Nd9GO4R^nI7AG$R=)%Cte4O z0Es;|UBdG;w3(|w9~G5Y z#_&x^M7vFuq$gMg(_@bdzhGcepJJ1MK7S7crjoHCZ(D}FDtBonzbV@L!YNih#Hd{$ zUs1&0`&t?ZOR;@cXJPf#t1J*VPv$v%7^<(bG_cw>gQme3<^~@JBn{2>^k4BkSyf1RCryTQa|}EtXVBMCmwT;E*Zgsw>5$Qvg5x* z$Xf0HEZK0!IH0~3D2#u$ z{hPW9Va{~~>^M{nFEZx1taN) z({*VX(}m-W>YD^w_y2H8+YgoQ)`u+7)Fi{!rzDs-|1kXemzU`_KyM@jX)dE!V)tB4 zRXIO`fOb* zCH~xKz@<^+N>izhEERutl2xgq5g+?KeRU}<+|XXqTl(mdb&;|to5da&tO4BweZ69|9d$Qa`s{Q4m-#u&FOWbG&^o^W+8f=LG!L&V zB82FYhN9TmxU(l#(XUhP`et@s%T~+=ouhRlgy~h%RUwxr=>Pbh{5e?#*Q^HrL_^K- z5C0o{RnunepvD9=e}jN9&6l5Mzb-1Nwlr>;oB42Mw&(-!nloQa6NC}&>J9m}i8yRT z5@I z_@vQ4d4>tNbAKbH_2*0D40bPYgtw&)vZD)czTjbe2TC6xwLS8<6*4k>NU=Gmu%K7F z;?YJnGPLu$g&6m8uTX3C3L%W93&u~QdiplZ3tJkVZNbc4C7B)heFXop!|Tco==E=q z2Shmx(+IVzMgw_0()W{3bMo}DZG0eV9IIAo{c)MBk{`#iK|V+#=a9c98}biPxAc=Z z!U%&vDEPuvQZb9f#wtvfDqW$}c{JvOepw@Zqo?XH)deOh|E0JUn3!NdO^o~wWLYHt>xbE+q zT5l4MD%z+QW1U1=RYEEO2VL4OxI))t_PIYC12v_hQi=CEjdsfgNCg>ucLx@n|EfQb z_r=kIsEwAi3$9tg4N3qIc#tnmj>eIsJxlXT1NR_cQ2No3Rhpt?8tErLukpUO-*M8`=}uiFDjv!0Pw1sCo>l3M&$2 z`;blZILIt}X&6%~fG4uC>n?7Auv` z#s#v^oGV^Tg~~B-TFb~qrCN?dFF@`tT}?0vjksCMv+)|#(GjOgcjDpII<)9&DW>@W zthy!)rpj7BRT7uWEti{*<5D?C3S79zw(A(z zyDRk4k3{h4OxW0qYzhbB1A|Ve@Nk#m8jeeP;EM3ahx-$ZDQ<#%#_ zZI$Ruchi<&B2*5Wn~A{~e=7ZThWA@_MoLJ0((6vM1nP>w49%qs1I_y4Vin4kpGauR zB5O=HJcU+EIR*#^f`_>h+3(usSh@`ose7lpVKkW07t-M>)>H;U@yQ44A{Fx(t~#dh zZpX(ccpmIr3Psr9+`b<|-Jk}KdI*w@D&jO%Q+{uTA4H?FJz9$hgLv9WEG}8&(fkoA z0TqsMeEZ4HrtIVT47XxoY( zCXr-d&!JC-x%buXx5_2qcHI;ONa^KlIYJ*70V}V*ZE-@QHT5jP(%YC# z%32kid}ZYt`!K218_`4+w0L1(og6{LG7`HkpnK%%+y5qaOW z?RXk5W7~Xx`{2C4z$83CK6G-L$hT6Tvpe7BZQnxTCVU?Dj^E$*MP4`2-w%&FUv}j? zUti}xkYI?}r>E>Ud5S);olZeQL0(W%9?(WS98MnO{SXq4r`s+2fJ*bKf)?`}(o*S! z=qwG7iW^njb$F^k-+Y+e&oYpaYzPQxCn@V@hWpf?F#lN+mG`t(+J8Com`U?{)kfi0 zdR^2ST#3gn{2Lz0Nw-4qQsOq9*km%pyHmS=x=sj#P(XTjskqq*t0c5li+}of?O^Gq zMYex>+>!L8_$`yB`FZKfae=1qtww!Uj~Bmk*&jZN6>FyCBP0<9cj@_u45;k@@u2t2 z?s4XpZS8f?V=lWJXlsq(qL#T*5NAb@_+h{#(V~OA)b*5Oj?lre7)c|UfWWQQ3lCy- zlou!bGb=99{<0L^e$~gW_%qhCtApW4#%P!25+77&z-<HE1X2XcDTR)2b(}-zmN3*8cHQnS_#;AAtvYoM(jA76eiU|+wenIf|2K< zT1oGBzbz;H0}O~+YtsI~g~P%NP=m|k$}DY=GB>QD!OWqIWd~N^lci6V4=H)qeP7f_ zCf^7w2Vsjtx!wV(lBKb*I`9{6RcE>?#<4fBKNm~sBL1g~id$-nQyXH@)C`q!TB*Tx zxmal-b?H0$1{T@X8|zhqBH037GOI~^zlv%stu@Q7%&*)oByoS%W^=y+-u?tTbsmHV z29<%=xi6;zF(r9j7+kEHwn`a`IiUL9gw#0CQpK0>O5D@lFhmZNW>>X=1E;yLr*|FKuTZ*zwablaB{;RK#6_XUd7oTbPKLyNVB`+*)PK%^H1#>7w?x< zRNzJWKr2?I45|PweNl59FQ?*cQ>Dz`RB}+C(b`#Zu!Bp~pr_hwkd8mWEmJt#bU@*; zLtz)A%$1b+F^sD9Qrp&cMUjM!GbPb^5Q4Z07@zwIo7(pWpx?5Y-f^Nf=sb)eu!!$ z5Y@PV7uQ39u(QZFyN47O>V#{_QpwaU;J-`87!cZGX$iZ0bCZa6ZZ zI|v_BabWGFz5@zZ6)IR07ROP?xsAODO>!9_ygfg>^mnlaiT9I!7=IUpPl{UO1+k1N zY?m63F?)QUtaij998)=Jn0B`?<;uW_nGQt3WLPE{mk*Tqh4vg|Z^VW^Bo)YS!x58FL^q!?>Z zrbt#z#8_p+AC>ug38pPgHlj!&(9a@;eby)_CQvVKfyN5R1kBj4JdB!zIC6a|^Q%Z< zmnM-~JXTedO_dl`DSVXXKh$aEn%cxVR$_bgrM*JGlG)!2gl>6B^CxbiYDxrdPt_o@ zj^`AU^W{{r#UnS>NqX>vfwt z7{cFN_gs|#t$qb64=FiF%~vI)n%AMxMQ6OEy&`3&-KeNcy-^_5b6=&Vq$W=ZK*4&? z#NEdAi4IJSsNDge%&83jBTP{Ue3sN5;$z4}yT!1W$&@K(5kNXzhX;2MyIR!k{QyEq zBczoB({L)tq6r_H!Ihut8MA5^Sr?u9D9jJ-;DgfchZ5cT-d%N30H>6bD+x!>Hl3V5 z=@MKMUX-0}0R+l-x+z&a{tNg#B}p7?bmE7LN9oU{bs4DEGwx34tiKDTOFD zFR>)mYv-oeh=fOy$|w&IrW6OgQ;eLGdHEvS4qOem;Mhu>9DoBq8_po-eYq9t6$h0FGp2%5 zo3_t}GszPzcQ1OLz347#cp7ikpgTK`MdA0{b`4ECl<1jdlmLfQ)8A<;veo_ zbl=Yvx_L@nl!M){z;_I{kFH@F;7}#-G&~01C2`me7VBQ*^1tJ zSA_*+^$M=88kiy=HZ#!ov?1Bv20<_-O;R#ESY1i_z9kq5&b1wU#?_8XdOo=O!5>Li z(Hb_a3)DDOVyn^ix};Ige{Kb4tQzUWh0F&#WwGzq>2$~~;BKE~`c*YwUDX$JbdTXS zDYd`yEK{dti{}D{QT4K>yCrxdY=RZrRP|O=3TA||xNAe*O1qe6RPjqxb-aDxwNx3S zirpf4lGQ7sQnMzN*;838$pNW)(M6E5v)(DrxNEWyjNmM71#kh7u1CU(U?_AX9w070 zPsN2M>?2=BAgjsNOU?|^r9L%SlnQ_p*ytUAu?=kZNXmQgQd~M%fTU6rMx2XljBP$u z4$dqRKhDf6#qeNNLQ*5W1eaA4aKa^BU%`o>97#3oT0n4(`qPJhfW2^un}2L^oaUMW zBbzr^zF|jEb^w$-6faOi$XU!HMiyZBIl)tlLY2T2ytpp3vWKcQJXkFw8UaGTnqsq} zMoLz&ZtA)0xL}vNOrk{mSol+gtMIH?G#mnV93)A_b5y8>20S#?vvmt(a@*Kue<`rm zJEd<`@p>dLi5s;YR#lDa=UW%R#ffv(IVM}N*Kq>#t%_~|&?dPg@zJGgB$GsS!2-B` zm}fSM%Cf_00!Ex!C}#%drS`E~Ocy#PHJD)aRUnfvf2@DPLuhUU{sCLA*>QFr(xJ#k z%I(B7>awcZils_k`P&WTYD`#^#>+6MTWd6)YM|1Du4c!EY@EZy%gEM=z_Z zn9YOvEh1(atPxa+y}CZ5=n_T3Nvn=fP3l-L@U)B9amZrr`|1wYXS7=s+_BHc zl#q$D?MJ;lVb@$s4(sk7YPwUOi@Ic`2^wx4q9MTcnx^2YDodm;IP&Lqv9?GKY2Z;HgPMtBuc;~K zaa``$R^@~65*Rhb;=ViAa!>HmZE$Yf=ed?!Ek)G};0A&3^=|u#VqQ}2d9{m8WAQfE z(k(MAtIRzQsfSj1pgQaomMy!YzkBN`$)u6TD^m-cHo6^~4E6?P;uV;53lJ|Wk@3)z}w<<&Tw+2y}$$O0W;uX># z_SPU`;V<(_-k(tVa-Dbb9)C2HydRkP0rXT?tj7dzbKX`4Zzoj*@KQ?NU;Aa=lJ`c* z`)ele!%W^^O5O*|qA4?Z-_tcUh^kqD4}EC3r3y&>Ox`QY^i1Awck&+As$zE~Y0n^+ zbY84i=MuwK>pmPXP^GHSE>7N^ydTfxebm^XeH%*Nr4b6nGx$@{8)H7NyuNZucqE%&Z85I-mH7eVN>@*z|qbB7;JyTa_%S_&H(!RqMNY(Btny5NYdMH96 z%fo@SYmjt|Kd$_XL;Jg?fS)lLKEQX~9c#h>j2 zmH;*&yTmA)IaQ{rkpv)5r=nJn)WV^V7p&@_ftqVI;X(PqLeKadyPjAod}dnDAhGV~ z)m!l)c@I!p0uFF|CwU)cVMM(>8+#{FLtr6yPLnG49UQ3SeE}nC{w}uI#XET~Y4?^RMH=>zEV5mhBUHHf}U-m{(3Mh@_tbzkP@x_O|J5lyjM{$o->`;8sGnV@_v!3z)aqo z?7`cr&p-KZ|Mi=%|M)EA{K@Zr|Cc}g`FG#^ z`3)AX*dOWew{eK$4nB-QOp9 z`Z`0ZVf%Q9hRfe~h|FC9Vm?=Ze2_d{ZfOct6uc@x!gnh`)-NhRq)eeRROcD$d0oD& z0BO%d)ZY)0n#layA!=_GARo@q^63!qlPWezUmT)OlBdr@^!W@e(mXA~pC1lU|4s#n zzYkG)Re*R^fF#KHQ}T5Ac!=IAKvXRMxdLP!qF?>yU18v_9-=wKch&?46(-G^VEd^i zcuGhQxHAr2okuNR*{O>3& zR(Kxd?5p&yRM3{CMW%@_;uXh}SMXW2OSENAN+fD;RUsL5YBxKcPS58TD9 z8xE?vx_F>X!KDevqS^+=ls{cGlnJESHr4p4Jm7&VQ6I8|duQSBdAz7c%!)aeDStX+ z`57WMsmC(%8Uc@9fJ59PN&i&bqfhP;hqasJi&b8XPuib#!)ewH+cc?14U4$PCGH{N zdG|%(ux6l)5Avt4y5X{3+Z4GoPx(`gh>-ru%{@L94zG9Na1iy#lX~P?H!KADUN@}h zsNEKEk5Co65%;K{xre&@c3!wkLlZ1lL_O-WZrGJ@M5#?xe=Rrn@K5eh-?)cj&VBcn zu%5){4~XbpH{5UPVO>@m${}rpxcv3P;q43R0U>a4Qja>R$M&Qi3*6(@KQ(Xqr;>Sy zAjxPpFYT_JXWcN4Mw%{O)FWZhq~pcIdMv#fz2F|@l8#;9!?}k!9od2uo45z?Jx=*k zEOOu|gzc^yc2lt7mOq7YEowkf8N!z4@tK9gt43l!TITJz+i!KllX_g67)#uz^gm1C zH8ZR%b#e~{L4g38re0f1;qchBG7E=c6`TRJIIGWBPgvMhd#HT;tZD;RCR63jz`9rA z@SG0SA5jr7730NYNcl}Y^g#n3;)GE67wBe~)MJjIT(VU1Cs6d=TTM-Eaw2Tg9@7p= z!wyPvbXTl>aNw0%4T>mLbv-L@Tw_{PILsM~tAP)wpQ|cxG)Kl-O%KJmD!V^ZB}Hkk zp!~pN*j7>!n$xT|zex4;5ch~RVQe-txrb__N;}pLGkDew`3NW_#C3n(i@jz$CG+2H}_D*jS7b~ zPpcW_4&Dlf;m5nd-jqo_`ipv)yKXq^gcsn&tHtQaJ^U2(v{8k_ValKSFY1QF8}%rA z-)`!$NIJ46VCkvB5ySdJ{NqF6Fi)VmASgOkX}>;G4^HN!9?Rb>9Db)BzP?e9@lpQt zXTSORuYU8(yC421e@8$3Gwb}FP-SB?<)r~Bnpg!uF!%v+`3XTma@-X2NS7X6)n~IA z-lkLYds3hEl>_fGI;*aCd5K)H9JZ#QQJ$a0(Xg$;bW?TzQtrJ<9Ia?M7W{*v-RV}{ z=O{djkfpkBlRYF~?Jkv>-VoHi)0k#PK3~yp=x?v-rMjS)^s2wGJOmZ!md zgP>_fyFZDe1z$c#y9Gfx4eX1Rdac9M-ViicDI^0ydwfN^K_fuW^`khN$q}941MleMfKq2To0bacl43mUCo}<|J`?c-&A9DS8aa;RNaXt9Vr69#xF7cBv@s z0C#+-PP2!DHtYqnY39oJJ@F|UJ7E6t-Ie$xhYQ)n5 z8HAK7*%3fPPfJ$fcTAn&5hzi{WTVt0Y-{7!Dr@K}qzo$< zVCxS6PQa3>$b|p%yj>~427tOx(#OA~rQdfIoDI$3vsJ<^&8hyxxTZ|JqLo-@%-deU7^tg^QkM=cU$_|!#RiX^lD99g;A^%R;KRbi zEG!_Hf1NS`n_blk?>1GvDY*oaVy6YDC5#(k##}^ATwNbrM36I^d1Lv&PsTy$% z`B)^a5?6JS&*4#;I5kzQVJ02Qs7?#v1GjBcISJgTkhruA1?Z$bCSs`uYixgiRE?3^ z?CebM)hXfl7;62(fP-1D==S~$S%bgNt&L4Y_7=j8%N?^W6E{k)Sd*J}%v!FZzrB4| zHor?B7X~nYrLF%5M>#Cv#*HPYq<}}$paS7WjeCR4B`jikg{-+Idwg^mm=rH8Lvl|c z+~rLPw*gs3)oiv+Euq}IXL;iJHj5tAeB;J?S10agzK1)cMV6a?F#m_^Qj=8!mxOS` zDIr{L@3EH#vB&CFqrTC1AzU|Q^QRE5UtU9+UbwMO+!!@aQ`6n|>riD0#=%%<8g9zq zvtF}QfA+I~`uQ(^^*6iI|LY&V{_X$&pTGI@pa0h@4kac1?=*;j1VA#9i;MLf=F}W_ zNbc5MzC@;G0jOHJC53|(#>!^(b(AD2eT}Kx8;7STN39uIHDSN|u7*f8ZsX`1Ub|_a z8{Qt;0-aZQ1D3Y=RZ{xubKItse&4p`Qi)9sMd5TTn%cB;Qa zO6hZfT$D79I(gJobE-tLXl}SBS%aul_aHq%mbVlsJR1HY3>?mFs1w zxJ7X^=0|15z-D{|<^eBX>-L-uDGmH8H@_yumHB)A;O6@!OFuKy>uWmB^~_914OO^y zRfzkxc+e=9$+Ds*Tp!(hp3`wYYT(~q-ZvaIPc=30uh?!4d>~hN*TAnwl{j!Yp3`ya z=4LOUqC=9jyEX8!H|wbxbDSRskv|sj=FCj@q)1dRQv)ABjz3dN>YE0Blb4UPBGKUV zqklz*dVSNt$C$~@!!1>ki2ITTzAtH(B#v7He>^qtRmHFykR_@6d3)GlH@O;vFS*MU=m(u7eY3LQs zQ`Ac+guiN3iKYy7h=$q)X5FR)z6EQ=kOz>D=dLqeROR8S_o{8tbcvReI?SaXzic)2 zIUrYmQS~Kk@WEvsQ9Vq(*2_zQWPMbE$q~y8e4&&c<#ZJh0LOq9MVtWLaGJlk0cf z1BK|S#$mi`;J-XSYsq$=%f*SVuc}7Q|Ea#7_?E3+_v;a*fqzMIVs!VWfj^#}A74A_ zvR%iPG*L+MiDA0_>%FKEv?M<=Lq?jIGslo*-8B3{I_eZM-ME^Vy)iZLas7~4k)R4Z z3A)B6e{lV-3p+eD@cpQtB1VfXX%%=>3{y=*PYrxYpWGVw1HY&Udj*>~&Ew;6vAfSi z;{m#q((cs2|2$lAsHth(C{pEo`a*8ixMrf!YZAq04SfHl2EM{PVhkFc2MYkdZ!W$x z@Z%Ku8)ZtFJIy(Fnlk;;z~{$hS*1iDKZJSOovqIA8u;d21OJZl%xrZI9(4U4=8?=q zof`NYrlH!Yk5cB(MB|&3Id%BVNfTSq`rDl-HgqLSew!Y%!Y? z297H3#|Em2T#QhFPcYkKIy0-m(Owu31Ef=#%y;K#aPc_@ zNj+k-IvDW<;fQE@ayvulht)X2uDQ#88%Z;`>S%n5 z#wV;HbF-GaPpMeix*6da~f)0c*1ewlDvwRrPNi&e0J=d;E4lCDoesic1lQYnsC@u z%cn%Ex3b_>Gzio^lq{6WHH4>c{ni%kqC~v$zG_(2CC#ok;fZ7TunKgj!3Ywj%DcMfwV&YkJtw8Ne7&b3ahFn_NKn!t zD*2TDH?TySNSF0D6jTKcdpe{6E8Za_cqs^8RfW784pZwww&2eOzN`DVk>DcStdZzt zP3)mupbfj-u+-cbELfKy?8j+HQz>4fGF<}+ZZ{HKrs~ifp2+n$vzd`OAwjOL+GIfs zSNNPf^k!B5*{P89ISpxfABWW1syLmJhrH*9AW(lpg3X?O&*5X;Vx6-S7k;MiDh_p0 z1W-e7xO-HGkf73MZP&aI)}ehuf(in8t=$F^TyS$a-Q$p+$-{^%6mj{41hZ5M?`cT+ zo`!@4O%v0t@ifa!_?=ZyP|e3%;?61IcTYol)h*uBkQ7wbM5Z~r{2cl*K3Pa`c|k$@ zu6}*I*PP91Namh~G?AdDA?bh#3AX;6hSb9H5FB!6evLy?3P^=nmr9O4AwdBioanC3 zoQVXl>0KuhT%O~Q_SZP14@fZFNYHL4MihhlooPr>NN`R=S`@j`)NSMMaYz#hRwexU z_ai}*BsKBHG^FLraY!GgA*H_q3D$TiD!Qmcp>u~NoW85yW*k62 zOlI>kU}2TeVq@bqiBRQpQEH7DCoBP%l9rPPE(d;0fDIgot2yPTG#!Cc#)(%K zMeE}ppDB!+&)KHAc|NWz14EZjy9EswR%tW$6Ejix&9vg~m2uNVJhm)o&V)#B&0oa) zpoHSr9U!U1D=;vp30@?40RXW{<5Sp_&Zl7|>M4kIwG(>|z8xxTffU+>MRAw9Q4Zk` zHAmt5SS1Yzo>G$I+6VmWa4OqBHAn} zFikSiK{0dgHlpHL^wE9S?8f{si%`jl4bFCpv;98i~f zd4=Ql-fp~?!;JZHJnD`2u6vq`Vt$r0tn#U#Nxcf&DCU=Nbt*@MoFyHbM7S@u2%>rc0+0CaZkk7_|;8k=;rX3Q^1S_Q|IjC2xvPVQ%JOx z%udoC&pes|3T>AJ%rWk`nJsI8MBlj0yw_6gY^2eA032g%VaIuZ6!~|TfD1F zwJHk8662VIgamRDSazJbxMWFxZFA}-&O%y7x?<|3YG+aUKWorun^VwyV}ph%Ppt|m03yag_s~kaK~A^N5!$>!mE}b)zT$h zx3dMMfy$UYlXBS{DZ^^HYYlfYFz#wj250rQoEZ=1{jPbV3GkWmLYOE1l=IS5ItHE6 zby9E>WX0DE>E3dNvUD$`9;ZIMC=)6U%9>Yhrn5U%;cBHziP+X`)$!f?69;njN&wxh zS(=~HA+Zl*7gdpJJUL6NQHrVo2jo=_e(@_*=<13F_8AOR;ss>5N*CuBh^QE{UdoCeh?o<== znIkdpa3HG;8>%O}4)%a{OSZv(C?IQ#819^&&Tpt?%V64OIIuXcz@^I0I$-=@uz!Wvgk`fE-L!c1RU_nvQL%3S@p%?6$n(vrNV){ivFAT`)7fl3f--7`)Udc$8ug<;$X=i*42aXe-RNAGQr#B4fK4QQNxS_Hr z!Rikn5a)4O9^%3MDDQm-Gw9DUtV!Y@Nt0Bi;GdrpF_*V+pph_4nFDv#2q6rZ&;RQ; zzxe4t{rZ=GI}`lPH{br`U;pyWzy0n{U+RVk!( zm~NgGU%O-{LO*b)TT?=}C?XV!d8UHdxuqx<%&AqUz^Y2(=Q#A)q^#L7_dFVfU7$fr_u9jycR4xI25pDRgtJK$Z-P>Yp!-ze5F?y@Rzz?b5c&CE> zRHqr`4g>n^@jPZrT&b-E5cIYVg`q6xnQz-As+I9ruT(JDm{r1{->G1`Un;JiVZh}I zGZ!3G^48+47ZQw2O64oY8N(#<6Bg!c%o}7?N9B)wlWM18MVy-{2GWy|k*eBNumMk~ zQk`t7QH4=}cN8UQJYSjfQx)IUS(M-zz&+OmmgA-6g0qtw9<7hpxww4ErV5u}H9XMr_|`W}SdH_QYFYd@pmG0Lu<{JwNG zDu)zSLD377V$7=mj4vy9?C+e+VHNVxsCB3)>@Fz>kk(b9zs055^w1Rh<0{sP`7~+1 zUAQBFiO8ZV1%oPRpj!F5>KuH2C2utD(Xz@gB=;8f)39o=M4U5C5^U*I=nl-M{)bsb z%hBO{41p9k6Tlit#&a*q09()~zDhMjmy~99DcuQ3m^%Sn?*wp~VLvG>DFLk9a#&Sq z&>vvS_pskg09*4)0PDqqYQJjWe%niN1q?A4{7wKn7oQ2>vMzT9*lXk~$hJErtHXDO zpsQj?MXF%WhRsp}I15r?#AmzmBKld+BMy_E3E-gCttP?j4+&rmnb8n*k1fJ^No)LL z0vH&!9^gh`Kx&)=%|-K{AYWD3uPau;b=UknX^pSqznYoqpojIeQfb|U*~8)AARjl* zaL3e0BL?v??ALnI2*^9^ch@)z@hvyxn+f31{2lfi{nHS1ea|y= z>9G_u!+tHkh5fd-R#O$)N7eafGh1X1h5Za3Wt#)*(t84UnN!fefP9KXw7bq<0#H)2 z%I6*S1GUUD|50ZGI4c2c!&shSzk0No{KeO^b{~hJKiie-M11c<(6{#lutaT^Xl`BT zTWnmOR)a2sDjHSAAoadi*zbHte0Ks^>Vp!|&cLv!4DcFW3E&?H`|Vz}cdT#u?htek z(UPzJIqc`Y4Et40srozwy~-xJs&2nB+m)9a^6f&FEzhu@ntMBt4}|ckU3sl)l94gT zEUM_-KM~)rfBDrffAy>X{IeezMDvXM{pH(#`{TF2|KtDt-Of`@`|O6r#ZvW2tt1bk zIVsn1fak)Y{>qF$)X?i9UFQq-g_EnAhr+Ujrs6u>i#c@~u-g79WP@8ceUQwFrVCA) z1XfYMqM8EIi4jv+cIw!0y2_&L*6i8p5&?GM9*fOHfnffUsXRiOWSljc-%13 z<}~n3@N`j`p$ci$$P_e$4r;ewb*z^{I>%lNhxQsrS0GuI`I-%<*T5mjDP-7vfOrNt z#StarwW?ei=k2GEO*YjOi4@^VHF%>Ps`H+gQT1nW~Mj~fX32ix!~2(wNJ6#T{DIc+=D>(u4;`r zg+>_BJLA+__?$ws;i=cA3Mh_Tdv=p1rC1^HuWnMKsrC|ZRUdo4r_i)#GwE<|&7|ku zOuA_Bl+bLctA6?|RSb>-Omy=uWbhu4JQ{NjZM_J1cqD z*-gr+WKmn1y3kI#eaDgftC=*+W>Te!0p7=}TyQp%E_|y-*5zBsX7f94c3;iZvbtCc znJox|-3E4hYA+k0*xJ=l*wsv`&P2BeF>J9(i7-NgbJeV(KFsBI?426_x~3aD^^&SO z1YCJdAseSAE?kt$D*AT^Mt93t<_l(!t){{AoVivFp@}Z0;Wd7f3opeiKInmcWL3ku zhgk`_8vj^eDIi>qT-)Z*;MkLZsYW_-AwoR&3+!LSN%1hMKmd4iz^WccO}eV}a?6oM zSIwdTmTPKPY}QFTBLYUaoTmC22^<*hp;>bpEqE5a7JyLU)iA=kU_~^cHs-X>=JcZG z#}zs^rR4cQERlR=RyUKTa&Oo+EUl(QZpwhwimO@7NL=k*!(YK|FpPv(@cWkVY^=?> zOMC_s7L5jt#l0$oI5ti8;gN(6ffi;_e*kX&>PjEf)Y?^~=!?Gq7fR9Lfg9E^k4;h7 zx*4#)lwbR<*pb(mEGLO4)v~DWTY1g6bp=>(Q=0_jvnD4yg^{a;im>D?5dt{+xVz;F-2!aEj7Db`3`0f)4ql*v|=uVR6-nbhx6QIN#? zY$o-kX~w7L8~~7{7CvKv%ZF~#=#^$w-_!>oo5FumEU*^oM;O(lZ32c~`C#e$c$F?J zFJxFHh9PlB&3~U$Xg&+sEXk3MEsK)7RppPNZFVfek7bPl1BqQV07xMo5)PYseZ8^a}{ zt>MjE*x#nmY&S5J4)i%I13zkzEMSxL6tdxzOODt-O9S6ENa`~d`289r0*1?!q=?&0 z2rEl@Ymmfu4H69u*;9E6*`$0F>EcfflKiGYvb>PtB@L4L)F4^?7aAm814AICFAb7( zYmi7Q>rAI~j=wX7=2aSqOX%-HHvR^NQ-h>^M}uVf*a0ewKVyM-`}8pu7~VBV!uM#9 z48N$&eOD@~f6ySw(jciHglt~1K$sa8Km^F#m4TrgdpzBezR)1)_Y|6s8YCdYU;X+w zKmX0IzRU;L|2boScUJg)OyLBG?^1^u=iQRDZY9+vp={XNW=|~crJ>`P7OAKkq4v<+ zmDCxT%eI%aA_obFX$uF!dewW1GHY~+-2z;K(>m$`Y~r2^6gC4k z0V4*fl(934NMsdf4~-^lL4j^8(H>PUs{%Y}Y^pMw-(JQk5tG`%KdSIq?Hq{0Z|$JA zy}^$IjLfPNSXDxLYmR>7k(6HxO1W3OvdVk0x~ib;O)AS8{;kFUuh8nw%?VzT4w}W+ zp53ID@5^&~p|aP9boTKFpi8NcDCfMYMmniY0aABfvX4V*Ksz|CV{(qVf}9^EZgZZ; zR1Xa-`Eu-r6`!0;dN96~%t{JmNwuVV2jq`)_Oc9Le|D4dx3s#VkulO=ZwtmhUsATv zy$86qlvzTNI|0W_z_BS`8x!DZ-G!7n21+@bs`RA8b@gNMcb~}S=va?s>-B$TEmDDM8n1vhfHj{7&$xA#tmM%4# zJGQtygNwzzD_{4VW;dzEdmlO~_qw8BIb{L>XG7t`rUi=Us`cEa0`OQNfFx zfzE^#6rfXy&nz+Q7kBX3+~xctX})l2ySu_g&^!g3rCV+!dU;`3O;+2bIyt$dl3U)c zG@;p6q#lx$r>>mqIf(b6*2GThdsN5ZLuW-7I@ zJfsxQqVNzw1TI`vE}k=SsFAFIN)%=U@WkzLSw(yxYMK;+^$u{$O?{EtsUg7^mBcCK zU3_YI!jUBZG@H9GzS3zbL+fgzjH0Y529 zE?wQD6aK5gj8$B?%(HSZD$8`XP!gsfC@O2EEe>-C?g~r9+c^rx1@?X8Hy^yfpYnaYH5EcC$Vf$$m(sp(^tabOjy)!-_@*ZnlCJ z--V!S)C(AqU;)ny+);q zF-2j?4a?p!(qI0BwOcj^DXn^qD zIikr(p9DhIJ8*dGgTx6B@uAtP)LNFKf}X$FEp_QRR0=M z=spf91O+H?_+`CdFEg28G|IcY4=I$KqRKs1#SQDCI-i*rxXgMOV zxm9J(VSswaLn2uk#kZ4H_z$+LR;in^`QrSH;~AzDC*pCY{@)_}{~BT;Y&(<&Qi5 zS5$IQt0`vh8V9ZHpELa`0*9DM3!HIWeaIr`-`uJounDUnYU=PD>gcXPnZ;=D9I^0O zNXn&b=VJYpfXJ6h2lKJ_Il;ut(m{p8)yVjoV4@JCGFn+vMBw+hwkc$73aIWXrb*um zA3S!~AjBHW)|_3jJJOAM7qTw1T{vMvc6|djeZ67awtx^Me62e=#T<**Ko&RKg)<+k zPP6rDx0+nPU@Dsif8IIbqn3TbxTX5luNppZs-0)Hid@eLCVahTgKfp~ccpZ_CYU&B zA1nT+-~eaHTUhuaCFSu{1!=wETc(;^a6DI0mEE~--gq^9>>lqkbHtjs6o;T=&;S#4 zXKrjrIyT%k9v-xUiR{n_#sBWrm1}F@W5qsfcx)XUm(?nQmvQ!zxQJUc!AsM|G>BRi zE@gHFV7E2VObKvlePW;HR5M=6JpgaR3)F(*SBzsckFs#=)d9NsR#hLptMfsluoVeq zm+-d_hj}?9uD+}F4rhL*hGVHxc?(LBxUi@akKJ&Bl#XvXXL++Ms;0((BeJ?zDo$f< zbH=CIh_|ACn_~v|E-5iBIE5*5cRXg&wBe~Wd!Adx10HQ(rE6g%XK`#A{LBv{^8-?4~P$JGWf%*Fe2thfyAjK4zi(|zkYD^F$o){ z6PVf`F+Qe_i`VcIsq1YjS@vq}*I-KtboS0es^PGX^WfAMZ7r16D7FXvn{!J9lR$_H zh58eGV%fEHo@`fl?F^;%y_`~&R}YBg-0LKss-2YRrgu{C$z_1X6b-ER+>){l=`5@O zcz;Qj8q#~uEjeT;cy+J0O_ye@tLLIEuy&z005zw&)C5e8>aCe^yj7Lhr^4$2-| zOWOCOcvDhQpZ%i8cbPff16~^1^)~vKefJW9dP8bbK~#CLiu(K$smYjJTocXATUfan z$(x-WVM4X8P6=-%t~h3jx9=aM+}0#fR<+4V6ItbIk0(<5=`Viy)1Q9z9i;aAZ@>M6 z=9J8?-g~s~SAu7o+9$Z%iW{|+6N1^`!gIgQcEX{!dJ31{D*PrJz=PTkIN)YK!8XmL zq$VO)=cwHxS%|0!gxppxl95K$l@7o|J{^Dt^T!oF1B5r6O%e%w*=cYoM*4PGg1%MY zfqFyuV-3iSoUh1>OEJP=yNo&eb#Vob2WQ7fnz(9QFif0<7>mS)6h5AVhe5MgB*mB1 zK8O1}l^>DgJ(LpLVBC;eHeP3^fbiqUr^@LT5f8}(`6IUiB$Z?mIB#lZ6_~04PjP@& zu7T8Ob}3hG5+T6AN<*>jqnEIpkT`Q(#$3%PUt6W1q`G7mE{T3OqY&W+H4+N}vd>v` zYHhrfV9yc~v1QTVRUTf=lGr%6o-mwzYPK-$0F(zBQUQ$75ay|qt1gNx|E+NYJ4T`$ zn_o1Zw^Y@f1MGx!B!ZGLNIaW*lY!g!+-8aGlsPglZj(DqzW<9sQa~8W!tu0 zUAFC0-?`Y&`On4o?~8Sj6|7`r&Lk_z9Mj8z3_hVX7LBKbcg-5H1{z|{E0A-tw{I-9 z7ksx2oX$KAu50_-ribNTwl_5Z3&b(VChzA(O@|*xJL=2}P)m!UL3p6cQ z*@qQKN56HEAqb)h%RIL`O5fF8PFvl!qLv<;Y_ASSu7fp%oq5cs>-dg-aKK%_l8GuA z{$tQer`e(B2ccNAU<`|-Y<0Cdsj&U%C<8`ZuLaeOM%YhW9K}7@fI| z$|@vcG3dB6GXfZlbEBxjPc5mZHwA(;O0&#gK9wso7yi$SRo;x>cG{$ixG7N<>kI5E z380*SWvKWydMJ2IkX(}=*}sDAHK-p7tZG=|iryD+`Tj)W;cEu0T1WTi$HS&0{xl}d z4v}4WH$QnKK=dwPkJ5`BiS&%gOX`z8^|1s6Oxz6jWMQYaXg%!1c6k0WJ5(sg8wbSn z--v*{_j*~yd{g!=4(H|hFCk1Nh}iT+ENYi?lAAP^B0GY!I4(mW?o4N}9$|3zWy_?% z0B|59yA6n!EmGM2Qgh5tG>UAd*{b%JxwyP%?E&8f_rf;qEBp#EtENOPbj#u$uur-< z4V;}QB)n=iku}Hrh1YVZz=_a0zP?nbJqRtng*dzv`t6k1i<7gncgW^_G5nsgw*FiX z=oXf%FF3$puXp_vgEdj7w5G5`3?3cjj!)?fuwsy*!pd_8gjJ!ZRk}ZZ5%pYL zsR+50E!$bGzVq~ebxU?h{gDMS+F(c~yU4u#BJ?wf;u3d%P$HjVr*ToK zd?4Rfxc6C)n!BSO`HI`jT8Hea!~5A2ba(Jbk^6`nfF{m(q|ppj>S#_oM%>HuMa8nk zw(M*+1jM%CB_IZN$Ceaj#cxDy?PN~pd`j&G=`e%gxd8pgX7HPrlm3ho2I9PcDlPT5 zF7xAMqGY+welKS<%dIDsYG%_xMCr$Z8o0t0GD?a>xD@#g=dGE~9XBJ5Dwzl}x(ATe zq#RoAPBjLrWNM=oLy{9S%78uF^h1{whuVI0>&WmAlcKs&v@X$TwR*<9S9%oR5&I1mE2V6l(TV5fPM6|jl@x@r ze`%n&czlrE&I(i^)Aei7-tS{m?4&!gP95uF?GWuph^!FbguK_9qEuk$FF4s@H zmRmPhgY%jmuPryM>5U(lcaOBtHJhYqnY{JQYqe(&_~Qm2}OkzfBp%sG_q3NBg4@ZkP&(=fpF_D?g6|DN3T%_Dy^ zw;ja=l&mA{oqWcY+(Gc_hL%hs?3}!RcxZXi=o-#lK`bb}!+aqL*y;y(oqRFoR&9fn zrd`+ro%(#@1$aeV1+0C&0X^(~^#^1e9Lf0-oM1mIg-s3aCS`2Qm;g>>~Q}Rp3C#`&qn+& z5%s3%6`%Sbej=zf^iT2W|CCnyPo;FFieI^!GMpYFk0LbjQqv`zj|;a~*zwIFmM8pFS+@&OnAh-%VdDS|f>jD*rc<8JSG~C?A>kxBy*%fe z+AwS1nfV?1IROJs;^nkm)ymms>Z*PCw!6}Ds)Hvde(D>KM%#m#rxaylSt$raoFp}9 zfMWVHNACt~g@A&YNGPZxA6(WV9XZpqjhvJVbe0loq&g zUw(MaKqS5>nrkoT&3HOhin*mdRj3<_wO zKcZF(!Yq@B#xa`C$*gF9oTNN|oY%VsMVJ!NVIvd?=#xQQBsk@3Fk#n}{?Q>RIUh&U zw-K;2mK;D^a;gEIFHF*)hsARNDi7!F%kb#tkSPvmEg!O&7kk3sfwcuK(3Uj@0wZTc zT+sV@g>0^GS!$9`N|Z+#c6}myd-%HCo@t%d;m_T5-u3&p9==>$`}Ezz^xn(o^K*^2 z9~VFF*P5@l7hlfhc1Sm9B$AaL2B3hluur93CVL9im8aqqHJ7S`^}27&fO-h{7HnjUFhq+Rz4^zzYv&Wo=z>r%h_LmgA07(&NKD`XJwkc`^o z(k{}b^X|UfThP8-oncUBT*vic*V)-{K3*{|8N3B*kNkY{s?n>3RRbsK`7^FMKqFp^dd}ggz3trCKMV^;05B_i$H{9D(e}Rxx)`+7X z&XmL&5$Sayj$lur?YKn@BexmOs`;frA<()}9l6V{5{_?$LSi#idWx1G&FP@4?($LO zp(*BY9`{3k(?mC>{47_LQ=Rrg#Tb4j6XD*Vt8W^1(DN=CT zS7_j2EJp2B33L72ZiQ)c3LXa> z2@07Jv8+(_=%75)RBr??P$}h@~>AuYGwXx=djM6!GY` zGI6hcB9s_%1ZU!@1T!LX1ekBC@BaraZPs1X`1gdx_`iUb_1~a1offnLW;W6+3Lbtm%RY{jPu{Tj7)I{YC2eZFG* zH-uv5dad>tzlE>;cb~k%+|cF*bYJ37Fe;I>Q4po{O%pt3lVt6>(6(Pu%V^jpve%p_ zwiv;eZUaKpV4P{A7F~69)p(lY*BU~*-RZbDW_#MF@5;l0a`$H3^yXR3cFI-%Gy4ZE zsK&MsOg@s3G9vTPF;~12wr?qiQsA9db+ENoF_vZ!p#-7W5YfJML#;xKnge4au%wWd z;T&yZer?Q>p{=55UOwp*mOGu7b$CL#wUJzjyM^}ZNQ|PWNgx-45K6-?FaUONiPA-m zSoHhMMP;9DUl!94#srN>+xpf3S0Q{01wFNBUi>0*cWUM5Vx1PPx;jVw7(e;C-BbHj zlQ_Z%;VG;LMf@u=WDpXi1vnIo1W=m5J%^xA9-rW`fBZ8Wq#uw{E*qAJ5%_4)hMKBt z60_%fx)b@wFIb#*{eBg`s}eg8-g1W;TJ^qZ1rsqxs3N|+W00|oo#;8gd`Ne-h&w1U zBcUDfww)=ebg+)tWnBzg*j|`Qq&&>g@bY_&mhob$^8G> z!_Nt^cTHuf`m2edvwAoS%;2Up(P?d_ zr^S+=!dV_5|JlxPH&-#KZ?OhI{P%Wp{98K@lBXka#bJl8K2Yy~p)y@}SD4tt)jp7l zsLQ2=gpqOs+Jhqbixi+xS!H!6weFf_lKoT;OhvX$PXb8Qk!pyK+!v!oFXw>ifGpZS z_uL2-+2!MAkWySMzV9`*`!#eE)>|am7Eil>7?w=LJho(5Tcwn}&CnL%JpI|b zL%U>`RJ{7mK6&>-YFxc{z2yeM4)$2X+rQG}&?%7H7xX^@Dt$dR*NH zPnI%f*Z>IDNks<}m5>e?I!+CHw{bQ>KoqJl09KH;F=D@{DI%f>1Vb^8ND)dVaZpml z#9h>pF5j%1;1%!9U-;R&#?F~v+I;>U8Zl?B>Wj{yW2gvKEgSF%8*VNjaNUQ}4WNWd ziQEPh!NsRWpckGp1jOw^TtodQW+mA**(l$5A%6d3{)$ZzoKchp7DNU6`}BeK z1`)YPdm-9#F;UsSfoJxpcS(#yT-IQMo%-=f4ujOYoCAM!aP2rW&qx!w^gTzni_dioE!!-NECgXQX?5{H_S05~bU_wE4?;%?RpZ zMeB*aZ9u&7-dHzi(N=MG()V%lDL!$ueY%PMPkYl!d1IVhV?PZ__V3rjWR5ZJGyZ09Je$K%h^QMQsz?6kifwmJF;;*sKiy+WZJQJt__CO~M8|0y8Q2_4 ze!MnjoR9BnLv5b)pY=3*iXJWR&!Kj@^|sb=R__{CG$5;*s$uK z%eFqNyAI)!t#IzpVccu>xsRzRT~9clcLxWN~?JV&~9Fgnje1BLfj()A)w`Ub0mI-R`Y) z(kamNp*N-2c=D9f?UJF+Op&V|?cCULBO|j}SbHzpIZQlys!Wm~&j~E;p^t?_6(MPz zS@uh{^7#Z|I>-0!{RKhehQVI{>vg=nnLw}0>u#UnWDzI&X|lrK+ufOIznanHayv}P zc5uq4tSZCKsBF^!cD9n-y&x&VTSgLWllL*vYmNQvkIjCsmQFQn?$`y}JZdY^C+vOUl^hl3H?(W`@CaXr=B#=G4cC zZ|_dY(qn7YSUP?Ky!2FMW)wAe^iz)sOB!7yt{1 zYQ9`+V$KSv&c|X=g?1HKW~1Y|^aKYnF$LH|snM>V$9Dqr__S|;8X6Q0AOuliRq4v5 zGxJR)%0yROH_O9`9@}xSgxx4@>1$`@xOr;1-E3+phK1g3FJ_3XBQ4G)0F6`3dC)> z!mv4x@#+_wR-<)UP<;JwZ0(L_lOJ($wr@_fU?q=56(heTqgyo;npsn%q8)SoWNMb1 zHkLngnNFL@t>k31n_1c{c~$FXMfmO!(;~@7jn={-ncv{_<`4bq$+i7cTq!=d=$XRK zHK`AdI_HBybJX3#kp%AL42&r>#=gW@ufN4Z=60+kSKN#&8yll~55H{F2L4m`aiW_q zqf^~s+>PC@4C$Gs3>*e<`7ZARU8&&gQ@tm5Hfy?9{Fg`HkrSdT^`<~Y6;4~D$warZ zQpX;?0&GSHcj?GV9t=aV7F#v4xAEA3cze5%5}u~xdf3K|E-UG!8EqOlu$<~BsGhyZ6LP?iYo?3TeG*~lIX zXDS?^q#0-(XaBUC#-&2t7FxA%8|i&!gFf3;}Zi<9|UT z(8$h%+rQzDvq0`aL5#%s>z7C)V6KyjhodY@#h$gzXf|aH0C$#(B40zI#p$KCXjfnC z#k}MYt2eN92=nAp(Tz6st*5X+F^&clIi(_wr^xaPNoRjw+b@5$_#mo@;tB{JV*nf8 zs$VAyK&fh5#k12WSpjPnrU{7OhF>;I4YdBMy^9o6()r{KWMGq*OFarW6ZwQw3AdT&Yum>o2mdBIB#02B-idOy}F-Si`aZhN*Y$O>OmW5U<8!xTLg#=U1SDu#&y&|%ZGg=IS=KkcG%>X7vxOJ} z<6*0?2mO9f6pBag2Z&^6ROskf9&t<^46$z*haG!d(>}xEXTOn6M{Iue`MxFqx*$>=9 zbWGiD$Nnq>;aH)?k$0W0#flsfC`9adC1AJ;nTtr)S&8&B1G0TU$0wVh*CQ}SaOVlu z$)cb_#DtO;$zG7_+*HA1r3xFht5Q3bdH_lfy8joCw6Br}lurhmRxof#$8Xu8-@5Su z70iOAwOj^73T3M`jil_Zz?fIT9Hxpn=LbygvdXLyLPxgsSoGJvBlg29gIF)&m;|kdZXc7oaz; zAh7DJ(f>sKaf=G6>$tYmb2tj(zYM%qexb74rZrH95TMntIA;=UzJz?6zv}vY^zPW{ z#(3NB{_!I1{d77gZ}0EO{^iQ=s242Q_73Ve?0v6>w)M6fzbI!45f2ZW#yE1~zp zA_nc!)dG)+N6UepXu`ry1)$0v9urmo>GOhCie~ZVelrH?#^ps!B8eLeReI_r3TMA9 zn9EeLsuf1+RRZ&P5SE**sgf+@hXsqeJFJHct1g^&89Lzu!Z4fr%7vO>H$b|ehLCh? z&5O`@)?+f4$Qk?m8+k^5^pKq$690u%*fS&JK>4;S!liLveO)s^rSzh70Tz{ou9ZoR zaidWHQsX29bzBHD(9m|Q#Ap_PWykV1e(9(b!#1F9U=(EF$nVZfG`7S+!m%KVt&ig+~P zf>?^dpK9Qp&A47djYXwt;ZjeF(HueOwUZizZkOwH?>-;r>m~AFets{{JBR%h-Q7M;cb{pQ?gVX6I+uf41EB=n}M5Axb-JkD|^f8F5QmM@~N*YvA`BT?pmz66rFqf-If{2~L2oZoRN!)Plsi#sZH2}~Taw!# zbFmQEOa>Ezir5P7dV_;}@&$CkJ$NO2${8UYs3p|h&@k3m#BveY^ItehEg6JA>s;kZ zhZfDw-q`mC!t)>rB!h2qLxk=P6_So4;1{h;fx>!OAe~ZrMAH!IZIwj0&_oV@5Cxq(RDs55z)v78s)UU+hwYnno1gz*d^|$>FvFs;#5GhTPhB zmr$=~YuwnKUGs`W9PJ4W^wmLNZK_0Q=)jvqk^5jWRCfE354xq`1{Tp*QS%e^FC2_m z9POUEBS|t@o-b2#)7RpYzW>Ah$)3)tzxT(@!S;9OJ)I@_`##+){-ogV@_vJnyZO9` zQg7*vYwk^d-Um_w0A=8e)6bC|18)H^B$TV$<-9Jel*#ENJ{5@d#n8=k%!FTCR*K<( zeiM~gFzaB8hDYx78h!5oeof~C5uW9vsv=%qs`S!o%I$2W6P*2`=z#JLSCGpp?Y(wl zl7JR^XGCtw&O8pm+m&66Fx9HbKQ$5*5AFlcfkttC?XgFlv+E_aB1x}^)d&?_`=b@Z zD!CcH3t_;n?HLKCcoEnZ3kotE8Y9!g_v9l1O}#L6heyufp)BT!`wT{k2bBU3jCm|C zbFxC1fbSwMhc5yziD5*92P{iT8;X`A3NAR~0=foyrUzWtcMM6w$lp_z%sQnN)1ff&NfEs|G26z-U*D4`5#z!DXMW?was*plD6MBZRJ%xO#xTR0j8 z6o>;}n@hS%V(DAy$cBt~Dkd_Ls8-?n=UiLcFk;Fo0-Ec13YnhlCBoHNbWyG2BzvUU zN^b$JKgCfgh>u}zo z8557{yImu{gTjCw!W(KxV|dD6ILt>}_&`G$#Lis^HOy-Zpqe~EUQ0|Y(A)*w1P90P zy5^eumDqfanjD;EOAIN%8>JGZra?)m7ic{R{RXoihPunwkJ`A7XHlZS`{U-DVT#7bnsTil+GVD6QrC@hJcd_-<3*rTm4QgF$c#2{Bf8|HfQo4CgdiIBD@@OZD(C zV*I`eS|t$_QG(ovW7bsm9)^ZS9DdP#^N1vR2cZMSFSId8D#l7NFzstKP#>fPq5i|R zpevSK_@TU4RrZefo~hb~i0hD{p$mjR&jd8%Nr1HS5 zb3vAg-h!P7!0)bvO^)3{6Nd$D1YuN>oCIkymqfDBp%|972)Y3VfNz$)dVjX^Dr!Na zL5CkVqw%-EHx2NqxjW&}T8s{x(3A0(=I-K8Pn0F!-@|@nrb)L6=t0g=PME?ep^_E5 zl|3VA=K0J6c?V;}ZCL&^hc8BP3Q}%HOKK~Wiz@60fuH<=Zzb4fN@O4?1og}(*=6v+ z6Ban{_FRYh?(=)LXGU#APRjOZ%=}ZO`V786poH@R4c&?-0 znIl-dq9FDY`6r@9BsC%!J0teR(x!X>!A?=CRj;T7Bu@N+g~Hf!5W`y`yV2+T=)x~;rHt_4>2(r-Om5B)|wYEM{e+qK{5Ka2++NFtT>wP@_xLR0sG;7i}A3tIT?k} z-R1YTH~u8==lAeBOu^s%alP{}t_=?TCzR!uvyucIfB<|UwgGghp_+-Y>o5~g{6o+= zv%TE!La9{8Jy>M$T!@n;2L}^dd?@K}E0<9Q4wk%(yigM2H6!S%gvVOyj2x# z3KUpG5DKYk_C)u&t2D`@Ngl*n)Oh_-maOa5Ht9xUhT;hEGjvmx@(%LAUs1blRB2WpVOdpl?mcc1G=zA98K`oXI=T7_M9Ow=v9 zrRMq#5tE-S=b{u$pvtKNFR?~d2_G-wJ52lMGa2b6m+24ro0kfbm!Cev4pv}jBXLCZPvuK7d;dSW$UlV@f>z4DIE8z&{5(^ z2e%7sHl2t9MQD~lO$u?0O6KoF&%z^@8MhQ84Y*$Xke`EMAbvp`=zN1PlG0eX8S1G< zr48MpWn#kDP6|ol_kCRE>8ed?iN?l_Hf2^r`>_Hp8LQM1(5#{|i06qNYNpyZyDCzm z&Q3?E4AM?IucR}Dm7)o1Nx;R`2B_q8Xd(#cpn-G}Zw!?`1u3W!a8XYLx@rdd)&r3&D()=lbf9Uqfe* zUgbZ41-W$s0VR!R4;BD`8Xo8b@`Ad&m#v~}pamnBxZ}427X@1rmoJO`EI$gD&xjGO zO_*%O9w@|6cpl1pA>Q4T6(o~5?*OKDXkRr5_;G88MTeNCZQrN&ilcA;NiSl7x2gR8 zzAvv2>;Aki?IOF@4KY{(0&_%v)Zu8RT;2VSEZLiI&cb?oDQi1v7f^Oi|GrlVMu{uJ zoZ#;<1F7XIrP_dLn}T{|F{&Za&bcNQtrkbt^~L7z!(Ve67hVZ+TNkcMYxV6@Cw>Z6 zQIpgX9GJIO?Q`cdAr4QO=M!pCrX$Ej2WiAw!CzJw@$_w!2w)OI|FxD-#-}JK6^77v zjBiqD#(OpkOMR^gp9=0@^VMryV-z|19+)rBYXCu;)OjpY+470BP3!%Y_+{$XLq8C% zf*BdcPqi)`96A;X=$a?)3^%>3+)$NK>1AZ(mArfGl4{)Jy(ruC%mN|EK>dO-s+sDS zlyp*u)VGaf=cl%4+I8n(cZ*Ngazia|p8ZIp!4x1JdpPq6?Ay+04g)!YEqc1>Ex{3w z8NE4as84r`#_W{{**OdO4yE;LgLC^!t|6`%KiRp+R53x;zNU%d9LC2Ym&7$%(j6jQ9yT6uL4y zZ58>LXm*SObWX|GZ_}0nYjxsq)=FM_gK!n_-0Nq(n|SxEP`gy}1rxr2gdn+5f#2_I0>EY~t=4MbXv%aR z{fysNBP2*q=3sWtl9y!RsIdL#{ews5lz10TJxE0(Xqvy5tXja1o7YxPul9g1BGG1V zB^4a}Mwr%gb9Wli#`{pAMJT5EFtF#Bk+a}W9A*5c?FHpXvxNNPVd_X2}}djC4s8E5*n%&|+#Q7sckV&rJ?} z|2u8rWe)yMKi9kcqvk7nz0Q`#8wKYrcJxG^q$!yt*;Y6*6=rJwY2q}kWk)Dy2c_Za zk`t03%5J$A8-r_NRU&B;d<2;_jU;N6ToFb670Z z_yQT(_+Nz(nW{(7p4-ZIVbE4eyyA{y9MO6)zJ~3I*WONzOJMfJG=La|M-;>~ZJ6ob zTHcyJidrTMU-j?5tvZrJ38$4L_(d4qk-R0^Yfrr!C-K)aTxR&Lw&2U*KS!JvL$KRV zpGS4Gc+$|B$JH~;Ie~lpLfIJHrx0}CP31Vt1F}JaF3R*W(~nN$qmcQee^X+7ahvQS zOqxC)cS-ZZVy`~4yycDSw&fbfv#G|Kj1qokdE1?eWvRhaC-mB0>oCzLoz5@?7s2;Q zZ3QN29XuBYi6otj)!y@ghyJ>LIFeNGeLMSRW$*AzUzPMJEV^2!!IMqqUt|k;p$&pWHH6`O8UfuMXd?Tr6u1ef^AnI z$2v+UUcyl$R))wTGe1_NtVUXGjb{G@@jN3lwU|mK>S!w9bF`G?k(8$R-+GzNaa3V|J8hwts~h4o3= z`U$aTHoP_@VVhmf8$Af3l zTkIJj9rqIS#oJTIc`H0p7QZLB3XTlKe|?DR7|1f7^gtL2&f!PJTY7A#&+yQrc&(gp zli#n9%2*lg*iqcKK}_AiK*4qYnsJ`XR0);XmOXxO7GijnY;)Wzp}3FUKfIDZe%Rdn zJ;O5;vbAd?IZspo|3rS@a+M@GUJ7!fE(SbY8mv*}IBsEsj)^Aa{c9$;_&aq4MN%qM zr=Q%hq;IWMoQqSiI8TJNFNgP?7)s!#!D3DZ^wPQ(+6yu9auaC@Ns&Afi zkZqFyI(QW>?@3hHp2q zGWhimg%*ihN{ke|w`OBk@g~qcUh6ftDIUH#UY+T|x-bqVyJt z9al(3IB#YAW;^J1FjjN@m~=TAbTfQMk_FymwI<4BDhp13q>H58J~4`K>qVkL{6DcTg-510YX(v4P>QI8Kg zb9G_Njp}j!F>Op){UYgwDFS-?SHac!9@VsmBX?8|s&9=nYKC+ghQ-`f0Uhf~4m9g1Om zlOY6_R6*XL02c$0fjgv~!Op1V6HbtJW_9X6nCej72YYe;-Y>iULaAR5-z%REpC?eq=bejogzBxWB9jc(wVFO{T&{vK^7>jPyhc3c zVoL-{uKUft&Z>_BSMmT5WWp8)V-#}BPyi0$61M%GB|Mf#u+vgZJQ{{fDU?bUtjoO9x_GMG{nW-QQV_q+ReLn}|V+?_)r=fE4rs z+m_bohnTqN=o372?(^^qA@=+RTr*ds7!So{F1v_6Jzn9)rGkB-Ma9rK31~_^l>)~S zD_V1mAy|UmjopY-k|?Ttq-ljYNzq)iX-3)dU@25~t#8aQw|xW&9@EG36=aT)ajKhKasCk93Eu|6tn(-A-g`MFey zNawJ4{C*`9O)UbSQkXSs?>*(iI4zfUGIu6-#--dMWRLF4h?STZXq!@DJ4-sU-3g@E ztnP`#&sdKJmAknrm)yF-?JwLlP8%LtdSIS~r?E6F?mB$G39KH|b!gkb8wBqBe0k-z zSMZ#hMTBVr0`%(}42Snk8ZO$uNg8?wwNcy?Y7oyq!>!@M`9WB+yOrN z3?!0RU6mBaZt*gF$c_nBg-Lc0mDw{3)6l-SXkL<`0WS0s-QF@Dx@l8*pUT69@P-?6 zX0_QUu!wLbJUjUUUja>#=<%xz$v!*?!0}<)oVhaB2Wuu8sv0#=r-F7O>D4oK7A-D) zQq@TJR6sw;aDom-+t36YV#&!|c9ThAAbpjXGbQf7kCKD-#d0^TV&X9htphNm-Gx2V zaS~iy%27U@{n@ED9#kt}E>dAxjbOSn8IW?N?}Jz>ha}&`5ge)O+iB*P6L2gGmcup5 ze}pLl5i{L|U)M+y7m`a%493wCs&&m=x2;P(1S^O-(Y>GQ(qd40Pz0%`qiSY06TbS)L?D2g{>SQv?r6BAljp zzNH}kHRX$-D94;61I5)j&CB+Gn3?LIoHp9ic*KUe5YUTJPzMSMN#8(+3QFGCh;gPrMqsAWI&#H4bdGNAv{iQRu||IhZrDy7 zK&>+obM0LgF#+sMOh(k@Trr!vkr60-$-$49sNC56nx$52H=yLcaO$s^@m9n{5R~NG z&lGA)6%9|HKue+zB!kBo-^l-5U8*^Z1=JQ2)PAIYzJA#4KEYueVh$* zFbu5O>%o9|IV}U<-hTXa=Nv4;X7kw_9CoiJPawJ%Ohy5`7x-#V1{)BO%96VLE22WM&vsn3A@*eEn_xnZ3>l z4sc@dqLx=%OUSKU31Blkz!v)UuVqG%yZ#7n+S55*oZHV_-&0rkl9!1;A7JS z+Jq!Kf9ose%_ro|3)UN5r`NHnL|1Z7Y<<$@U2jK{^-g#N0s>%)MA;6r_H0}qQWSYg zTKfnvF{`#D|9Bo9&=ClA^CQ5m)1+Ibb<M63>Ep z(|R~4fy!pgLS7tpSfy?)yvOJ8x9G5 z`=rgY50fVsyqcEOQN z0PoZWkrd)m;ltom_v_9oX6@(m;pF5rgMSB`h`<9O2O(LE$t(Uz*?bjA(Hu*%Z zP zV`Rh)KI&1FY{FUtQx;rmgW_)ARiScyCg^fyj5nB(nNf+2i=9WnJV|)eA?V&ldlIz6-=cT zApd6~bvWmfvrIUAO$$Fna01jo4cF7)wK(a z^7{AVb{eA7?-So_f~7rzo0r)PcMTypOgbC<*_RXFF{mp^W%6*ficn}9&+cIKb>*Vd zhzv6~SO^x=!(IIO;<>NuqL>$@`4YT45-3d%T+$>6F~8dPyo5> zE3}Q9%<-QS7TDTTS1O|9Hzz}2lT!?MGjAE;!_U7Gf4=)H^Ofp@FVrYZHDmNV2HH{( zyM%`EdVPX>e>z2UcYdr-Mq%bEbP`x3bO&8YbmV%by5N5hl|PjnYy(%Y-v!%NBs(2~ z4t`KY>MLIvxyBK-8QD*s(M9(+2Akt2Y}8AAfoV(8$zy@{<O9&nN$$I)N7H5D!+^$sM6IlbBqDe@-Xkh7&!|!(5m|cU3+}@;?b=~IJADtf5mVFM zPI&JX{?XYof(6J`Mk9Ov9fY%yoEb)1*d}E@Yo_n+N{oIvh?zb$Os*F9LdaFtE0a-) zY;W(T$1U$fBM=KA=<)@P&^qCasxjf4_3I!(XIa~U=0yZ5{9vRl**Y1m1?6@?;`VetIm(ItmHmRwuq#$hx72z;R z2;_HbmTe9SY-rItu=XF6271YVSUslbaI8Sk_kvo7bw;tpoYiM(mTXQMF?jkThlN6| zp1dlRwA)k4 zz~GaP=1Xx+E?iC;*D&yVIzMSmO^n+p1eZ1NeSSV%oV1AhD<#U`kR^((Y9j$@v3;OF z`cen|>6(VrGm@3z0fYIh1EcJv%uEs?P||rgTA#1ifM}N7Z)pm*2A#buDryy;YJ0FR zWW=Dp==S6`2|-37fdNxbp;#mO&uSEwA_Yy%tnCm6sv{_w{u&SPXQ0gfrH33yu&dgf zP)|s9^dqOAO*1DoNit<8gM_DfJRh}kttq-lI68VFZY*8eQfILNc$l;=s>~}~Bo^oE zlXccpRARiJHm7LKqBn&@S81A*l-Lg}S|J+TXaO4;9~wKp+z(e`y`uam!htY+;NNLy zhwemQJoDX-S7*2m)#KqidMRVsj>*Qgi_y33b2JPnFA~ehfoGhQ8zvN+6FI#beH2@x zo8LutK^a9xtX{>~iU$vswH`;hU}tVUoqem88Y2S4bKoAF4~gI`nUa={6)~cMvYnZc z;(;8rVE!VzH|{xVkKRfv3mmJr%?B{az$-H)YKPNzp6CK(nR)%EGibAqQqE)z32j$PGQ_^=PUj4%3*$?s)_kK7OTpC*_c{iS z$IY;`HX1urJX`Kscg;Syg@>Dl#_G=2intT_P6XGdd`n$LQb?Wj%KmYOpL}CRQo$-b z49qcLeYnq7?n}15~oz`pRZv`@(~2Tv$WsEv2m;)y~9f zLP{VX&cgJ>fmmiH;-I$8hEV#GlL{DLAigLeE_%PQFA&61`}OJS4Nh|*;inC04O&{z zsX!&4NGHk{u$kqXG?zw~P(xT`ut5%Fi{f>&Ny`y1Ex-+HtBzN&*!4=B6?v_hwrQyM z8S~J1$SjPFnrwnBIo(BH$@gI>o{gO;aok!yD+~X|@TF4WxhBd~nuUB>)P%WL7JNHqQFvGeB5xGS+H=J@i#U!ML=_~ zr==O^_zLz%tG_Pe zsq~ByiD~?}m*$rx7=iOY`VZhxyh+5tM2?d!DwBGwO87#9w0}~IXB8F^&CyDm3s$K? zn3HU(Ne49}L?J;xr*$gHc~e$0DaF-@Eoz5wfjHaz?Wz&*>Tpncr(fE1n#NNSC#k59 z$+D((cGtuv$V|?e{GWOfhPxV!$-mxcQHB&fDOet@vFh;h-U408V9^3YO{cF>a-71$rS8gUDd=hF7-rLP2fgc5b-oHF;`Fu$Hd~Ys&8dRv=>hu4OmgIdQxY4Fu z)82pPrJ{D*dFbS}m7@MbQ5%N5W~9p|-5Ljsx)fuTR)STA-(f-lg>Z=j-EU0o$#2)t z^qGl`HJ}k1$_oU67EB-&s~@FpV9oi-b!=uTET%TKeE~46vb*+TIegYQ35pjEyYH1e z`+e<6*_~)=Eu?}EgS6R*;>8m65k1g=@c!PC;_7jqu~ifEXYZIzKthY9`67M1JztJLq=-=IMLd?3indzTEsrU2Y}XsHt0yG&+=VQ6Wa=j*o7c^a!oxbUhes6Mp-0hnW{PXSi}U?W*jXVwYARPD3CoX9 zPSRSXDVkynLJq?d@zA&Z8x)k7I>T@UN)(dJEuZ-}Cn{fzDAt52+$Sov(Pyl9nOu+M zx$q>9_>PZNVik-#*!B4gsI2M?qZfY}KkKTT&sknzl>qzl7zusiuLE~KEj8@^@ zUA?=KNIvC&cKjsBg+;rYe0$>X>ki+QD5kL$!r7KU6S|GX+1{je&?7{=W_E3oR!Ie> z{L$R4m>|q-1ntU3ydK(O>&dJxkwCmAx9po*1-U8&ws^jgv*}Mk$h@%{qswlx0+;FJ z8-6;|Mkh*X@e2WE(B3VHA1zLqbu+dPX6|Ppx0Q?d{?XfSV#%{S4(u!$5@5ET)2V!VBo5 zbhVc?2mz^EiQlaW0t>s!~$2#Wcmu$V`I!}@m>ED#yDtM#{rxDPXIf!YN+rUG8 zH1B9QbC)i;$zu}=`OW5D2`e_v_3hH_Yvm+I{9&BtRoa^t#I9Vs>p&J50us5;;9skh zz7*0*yt-@K6s7bIDk{aY9fVi$Um84Lk7pJJA5%A1u!nay{d|{qpZ)Er9A9@eOQb&B zYR5>ZplArg$(NEHQ+tz4ZS{I-ovyQzG9Y~tzo)j$#I;RMoe#Nz!bl)xsoxN((k!+qKh8Ev{;KZacFe;=tX=UX~0A2#pbx@gR41iVMm#L`fe#PBMEo7FnI z7k9vhR_;A(Wu3L1wOcuFEMc5=zrDUqzx8o;sk6z%&yTGF&z|!e4z`fAzym$1VbzcT zYO!He&E3mEdtW_q&0iuSOM*cYSIPGgL}%BQBY$;6tF9LHhrNKoULs33vvJMcG@a5c z#i{Vob2dqHHt?}BwYk_0^30|e(?n<9NLoh<$Xc;aoGU|d%?M2Ng{fDUK1d6lm%&WN zK3q3vuk6qkFKXgdH_epk%d=OtT@prbSAH)BFE3vwlXqYD zi(k@Te6)UYK9>dpR15|KnYjj^<7jU;4`*Ku9o~13N2yPVpI!vqFhU#SuT zZxQ67uk-631fMe0xnIQ!?CiRJ^-Wf9#A?A*e(}_O``wZlMst2;ktko;)L=t*WEC*; zpJEj-&IOP<`CqaapsA_Ap%3c7Ebx2C3K*4kRlunpM*jN?bzjky24HuOTKGLkEqL@j zf?60?4dO0>8jRupyhG)cSPcR@?iEZexcpNd!|0EOn5J)Qq<`qUiyFk&DD^ke{JV2g zekHMEzrT@;%?!$7Y3CG`Fo$<)!MEkqeGsQU0n~k}|7URAU6L4}G@q#7y?Dn{2i`mQ zez!E~RlwXlRlr0dK5u?M_i2gIt6K^4s4TW}sRk(dh*AZRQ>X=BPpbp=Ck61;0K1*v zDDUsFK2?5ygF0Yiw0LgbA&0NsvAlcA`s@`j|6+=EoNKI-`!*X^UEmjKmECv1i$TrL zk9mqLm3s4NtK$4rJdTCkMxnPPK&t1OzMtnWK&i)HtrcpT{%JMIOgeslWs`FMmSHBD za+145E7BnQ)ntyHVD=hpe&Z+Ih%n0hG5>!XW35x1E44y%`Pz>x?!Nea-N|7#zC>Wb zzK&2KE|$hOzLwD-F25vT0|kz3yHg1%zZghi_I-r9yN+yc{E#iycQGZ0V$ef762ZTuSdk2`WdUA*OwokD?}fA|eZtSz(9<{_65%r(tu=yHm4DwewY zI7M+k_@o`|EVV}wS*L^r!REuuTv4#4?(yKT6(v;Oj*X0fnuBdIw9)~j;jl)h+ghq@ zLzY>s@=TNE;IO?$DD;i=sSpCJ~owO_%@UxCP}&qyh)^Tyih z7S5h)_wBi*%a(=9L0-p)?0D>di8N7tUC|ciTDLB>iC~0TsVW2I?Tg6&C@DIR?+=AA zWfQ@dApA9n-}UJUp}VdCcL5j=LG0J!37_Evo(JGZ?*>8f8`L`P5)oE#?Z|h z|Mvt~oh^8>z;H?7x--j<5*3F>8?(@=b5z{D(BXoow}06CemShpk;^rfzOha>uFFQ( zpY4%8I(_loEAeWC#a^n^&F`24{}ukcDDhqSK$zb-BXd%&u4o{0jZ~}`)vOOgMI^z| zJS!oC5=HXIab=_fRXlKIsSR#{s?07al216GDjdO&+|>@fYFXc0iGp9*hHWwI44%V% zL5A`-q$XC}K?YtU)LPxONn*ZiVkB?vGV14ql=>6=Amf#HS7__2PkTf_GUmxrex51VHkKKExDR^4ZQ z=N`k8kL6$YPCnv#7G&i_~NDP z9d#XPTRc>lx1dR?N6~JHyk1g?`Ex`9kv7Fg@ zMqR8e#|`647|~DCP%#`L3AWrUU;gZM*0iP$-|K(9?)Rqe{aB1q+W)%~ucDMf(y*KLi+PDwf^KJYr5e;jW&W^BVTtHFLV#DH< z2vNqA#aIU$)a*xCgrewu)wY{tUu5=FnNp-)6&oWK%I_eHtte)uFID~-kU)x0ghiCE zt&QlfQbv=WZx|2=BZwzwMwx?c8p_N|?b-YSp@uQGtd#Exv>9id6NWNJ}NY`OrOL$*TfW}T9@oyQJrdvHq>ELQzNZ9*BrdK&d_woNI zKjJ54`frjL$lm{EfU^B}pkLy8Bq;|%=+zn3D{S8s>1y@{ZFtHBsyepL4{KS?6mzTw zIpL?7`9>lK0<~$R^sKTdu)QE(pI83huP>YJnNJ>90vnq?9*w`eyUrQHYLPSF=06*L z@#fMahwU9&aPhs&y~GZW@A%$5IQsgoZFpz=IOdC58iT4{%n~zJj2bC-m)GOzH*Q>1 zZ>F!pB5Z;Lb4eo9qhwT0r$#sn0FQ!@sb3DsGc^mZ0N0ujMqf<`tS8?_U?!GkphXO1 zfTosCR8v2z(`)G|v`CR}JkAPkCjjy1j!l>)sQwH9ZAL*GtjcFth@1gaD^&uw#3h1d zrLB+Kwd5|dp1_YjW%uakR=F(iw=X4ep<<*^GhL@%tT2<^e8Tw&0Aizq@PyhSi`G>V zQ5njff(n;6-wT6v#k9Iq0Q+iES^HUiyupto!tT7^AAY|24A%R-HwbVCmtv%Ref>UM zn#~hdftUcHk^yTzy$XekbBkXAj8JoyM$arRq5km{v~HIc>Nqqvv;Kjt*2zOv#2TnJ za0tCvj^NN3lyAD>mt%8df$)E^!cn7bC!IDAwP|io<Q8%`d92Z65Y3A~_6L#hI z_ruR=_t)3>r}v7(WjD~5hYy&G^yTl?Tn6`OrX&%%{ln~x#i^DQzIq_TOH{QJdU7og z2DIh}Vk^u)O;J5g*Vb@lU^C9WZq;8g{z1#@$%0k7TftS+Xw0aw#XOCu{Y7Fgp$Fr5 zGzMnbMWxRA@Qs3jQHmZqVuwnsk8$E~vsp%MExO%qS~ymdDf)ip2Ueb$7v576( zzmXLUx^&h*nFK?q_uf^diSj<5{5PqJlq!;ydJ!`b>=DdRiPiOzSz5(ft{N8NxS(foU{@K`0_qf; zDg~R%5tow?(Rx94*|t8^pJ`pO7_?IEP(M2Q-UJ`<=B?{&mkjib6GWD-1>5h5NgCDJsMG}qNKroxRSkJW`%syN9YO~prj z{xnfoDwRfy;^9|8XUbOA1E-bfDT59RlBrtfUo}abH^s@~l}R&G);3b^m~2G7l)lQix-9rU6s{ZT^`qN@U$3wLASn(iyI zzzs)o76$WHDI_*1M61>)1rm=b+!^LL-ajHp&-4F$$$X%C|nioKV`^UGR6G&K1zq^r5J!i z^!rt4763MhAo}zFp`Bt6b<@v&TPo( zoT)r~Ba2_X#2r+AzNuWTm^3ZUADWK5dQg2)JusH3Eh?#cL(ta10 zQH)~Q;NPxE9UmmoeM@zm@4me7i^%kXf9m`I`%g6sP1vT;{HU30aM|7$?`!h6AjyIAk`eK~XUT5{Iah+a_66mO5h z%NW!fl^Whq8I0lZXqccggZEehnr%g;kG0UaB@sJmz%2t3ihrE-vMtI^>UpCuY-tXv zt3?c~G$?8VV0EHc>51`GRqgeu4x6aT!_fGp$5WV#Tdbu1!8~}v8lzGJRvh9OZkq3J z^CIUwOpo~ORE=x_L?k$vlU%N}3vE6Q%u8jIw9f39r@qHUCRiF=WDisGX0oy3rBqXK zp=u{-S`ON{HaBaE7~>cJnDvgl`5O}|#SYEVX3k1<9Oe!h%L^S36E9TtC7cv~hQ^p_{`xk(%%>9Y`+a`SI~4t{ z_49fgUT@9)g0|jp_f{b3O#;F!Y|2jsCg5q9+D}F!E2@;+;ej-#&D7=h;`!eub9Dfr z*3FZDje?Y+TgrNs9I+Gs-YJqYIG|uFt2Z1V0@&o)}4F(_r!r5j!of0`7XJP*P#z za}>w~4ns>qkVbwcvowJh9j>Y-xM=qLwK%{!YXVh_|W@+O1l%*G4hdA{@V)MWti0XpLt;mKx84St0<1i1a@DClIy(tUzl z{M|3w_Y1es@AdWb^Fc$)s!jCmE1_`Jl z1eDW{q_YahGR4!ON}!H~>|yv20^3l;x7CTn&90>%>jnNI-!f%Ej3r4bT*m z&~Bo}fN%(t6h#wX;@*o*XgEK%FMbML3`DHs zYueD&oc%vABDIB;A@4RDpv?+Zt8 zwjfm^4MK=PnnQjBPL<_#|7$+uq~2r|TdtXCt8%D*wT~tEwl_~J9em%;Y7IW`Ur*-O z-wgD9y}yhj01Jdstsm{5oYG(eJFtJrsrciZ- z)gP35QB)_n%d_3Gg;6t%|H5k+XRQ%)S;+EM-^-9@X7=6I0H zT&8ASDF-sIV=sG$?~Vy&WY^MVq&O(AGkewBcA$H}S#&2ARh{Ybz~@+PR$vn}I4>$k zAp=$C+_bW(Q)ZJ?54yAyCqrmn$_ni|_WG>*&rNmN$n*XC^_H!5YV?=n2Ta5loHI&O z=obiZ5+h0z5l-mYDu4N!);f_jRMGsoHIjnHJ;auBMcM)`I^*cbnWyuH{w}DjPIh*p zXEGn06Gn;+0W;x#*|YQ z{OZd?yGL|%DpE#xIUsAH)8G3U-2^^%*J4!+g>&vBee@(uwxwcs;MVH%&fXQj_w(d3 z2+2?@!`Ap&?iPQi&*w=uy;9u@vU%Mdl@+_dC!4y#DKJ_`D>Q9=aNS_F+? zKotfaZtnBUP0{XJwBFA=G{IhG4iCW{_qW6%ILhl221RtidLob8BF4bxCR|zcCEYR> zVEsx^2!q<(ejry5bkBh3@~5Am_d8_xR(Dr-w{QN=!BMx5uaB4COHh@8&-?XcYaU6z zCX9!RDNO_&BJ|(1h>|xGsRpsa|-l zr)&SAJI!BM9Tg5R6MzU}zsxQuasumGHz{!uPWO{m+UO=)fXS%K8ZC8aXwn1{lxKR= z0&f(IyD+i=+L2#|kC1l&P)0BvOgWzm3y5+5gBjmV8axt8#1O#U#(RAoTq_yiN+d-I zQYWYe_*E+g3z-*`pU(ks9u6w6j<+%1@9&7EU@?Qjb3_OX5LP{DiD}X{3 z&y}2b5d~An13$_4STH+gUCKsgYA0!z$%Ex@TjC+;0X#fTgfX&4sOFvKJUbnM@94N%JyX)Nc z?}Glubf?XTkYR;+k&a z&qk%kIoYG40=?_*@PWN*bdsBKz^t9v=zdM6C1=0aINXhW&-9P#%eFJOZ<8b zS(y{gCYN*icAI$i{%fM08asnQv8HqGN_3?=TAV*gp{Q%|cotN56RMAk=cZL!Ol zuJ)(Hsr!RP;y&w6G!R%b(ntyQ*{TV4F6%ivwUG>Sh^_Q?O?R6u5w3{*g}RZCa42<+ z&8d5GQUBVrc`YV=IF_@i#hR~fZ=EaTF$_Vn8olD9K}MFfsV{9)kW4p_SCv_ds(yoc z70|^C@msg9B{ycZm=>V=8rdqvT6Y#npwI_-h^E7UmbPN7`pS~_U#j;gAG9PtbV&S@N(=(1vK6+WONQBV!cnVm%n3CWQ^Ff zmdp#5TaH14JCq)Yl*mG()bKA#$S(J93n6%7&UeWhk(qoK`uH{L9u1j1rF=|vQ`8&) zA3T0$XW2r))5at&G%ad5D`d6dUYYY9N7h_}tEQD6=>*B)jR|6eWo3stEOsEvVV#g^ zrO{FqheQZgYLU{T(&4cw7WR55?e!sS99?%%1rLIbP^!fyuvQHc9DQlGTSH%5(qyfB zm>{gdHBf-?$wrjU#3bz-?qS<*jD>=olYmd<9d;POS9`{j`JMST981vsajG%qy+Xvffjh{LLN^eiL$~`<(bPB6xuOsb3Ydn7S0zo zwzTb&xH7~J-Be56MO;Nh&I}@*)}X)Y)zGS| zr$>GaspG$E!2k~FN{KJgKbuFlz}gg$GllWG?wM4)rAJ}tB6ygN89%eX@$yarO!5d1 zys!Tu)QU?O(M8!y4QVxCXt=)KKieyUznG}6eYTGq0={tfUT{?CY3Gwj%a5CMs~hL2 zWM!?`x#BxW3$L%{?_O=M5j1Pe$-D?LVEG~M3KH5+v%S?W_;5|}Wa|%4utpOxe^#gd z8+SnRFGKG*myj>Dh|tF7_OLSf224S!lg!zbM1WG_cic)D2m$9FA~LkJzT|nJ7!)DH ztkGwaP`2-44=SO}5> zlb;HaYOwO0i~*Y* zR}~pGc%MTGIFHqG%zp8$ampIH+K|78;B#I<9Ue{HFw!1*DEC454V^_^=Ci=g*N(=H z-`B}_s=}AjM_BIH&3x&!0snjX@OKo~^TQPZ=1RC{8~{7$S#w62UR&48A3YJAS9ISM zD|fQXeGdstkhPlb8AKL!wzDRj*#S#QWLtnqk|mxm68vVicR?FQ@kSKFqj9hs;xoU8 z==v8B>|ze1nPUS=xmM<6QWh4|m|#AlggZUs}zOi)IGyuy`^B&L1KJWegH$ zam1~|Ys%E;cF%Ikdo#BW;`xq*RTzvQahRJ^m0K1HZBh)%muBpu4wuLiD+ z29Iy))11TA!13=GMgv5GqTxFLR#?*hOOqHz&|B3oL{+~6#4vRJ$%R`7UE7+RVzuxe zGS+#2tC%y(?W5I4U(5817M8obPT547>b^2}49_2hYldWEp@dWpg3a$uW7Fu6^yQg+-ze=#o zA?{moE;~sSyIg;hh7GTh5ugcU3>Nq{*Cej7Ds8z%f5zxf$CZXkT`;l(H`wVWkz z9Lc$d-lkc|chruZB~2p*b_ZKjYSVidSQuwN!5YT+C*fZrHHN5_IH$meQ6sG$a#q70 z&bl=#(#=VdZQg>|Xi%vRmR=>u{EADDX@<>vb8as`wqHb-=EyfLr>=OZu}#nQ@0uKM zi>JTY&p7;;GB%gqeH!sDrT6Tr)%)ARY8^fuGf7zRHcii=z8qBoPxcQZadkI9RzmnQ z6VY289bJIG?X$kd(rhOfMoNW4RqKJ9E^1wwh+@^HNz<@nOu)sedhU~-%6D2Z4LDJ$ z1@1y#Z}!aMZv$VjbmG*O=hxAp609!bGA2#u-Y}W3bhgTU-1!RS|x(lQ!(h}<}LaX zumgZIqn@rPKjEKQR0dua@p)aP&&mljUoS_6edj6bzMt%#@7|tIu4g`JFc{>1oH@Q+ zZjO&P8r0T&3;N^y=q&Sz;nVjqHq}9(Iy8C98;+> zj!4Z4F7+>Gd@}B@=3ceLW?LqR648CD{yWA2%}iH)=VFPb@r0%^@ z#;$6yyK>!bAT2YDRQEnnIkC!Q(7wENjfAc&C5eM{IIlcFAUQPZFk6us+s1j~ZzQ6P zI)sr>b%2MC*q}nA?7zW{b5882=9YXMhDh9c=ng1-S*603teut@a_C}de02WhZL$dW z+R%#X@fB8+57q2pHX4dQj;qv0E(;0#a)YEXCR5ziV93AUCduL1$i4rJ_j%S-vgZ@S zC{t@Ys%6Y|wP}~|%5@;d@H-{gDMp&b47yD1lU}4HBTSX2W#6LJ6nsa@VP;@A*iDTp zH_102;)s^DMW&V5CbA_Pg}Asy#3F9-gtKaexVZ_honPMs+4XNL%d!*xBv(q@VY?G* z9V*t~-SNw+sQMX`tdZEvkMM&B)pShwC`Q^i(Yu_Q^jz5dqbTrIk7kldx39r=fj zO0^jhd|>wWMnn<>yPS4noeGd+1p5sZ#Or!{XE4a(2s!8DFhe(~Yp%-~Gw=To9igtWi5};tQNfQe&inkatm}^vw4Zn=Fwf{3 z&WmO^$+vEyj5R?BEI{gRCIakxWt&sTMzHL6l_arg~UF^y!j##LxLTyrXzKu>8+@ z_8@qZDRja7HEbAhRs;Ppc{fX1Ga-MTv)_u_gLH8JMc8%%%PEq<=yi$W*n-L_hjbDd zp^=sy8Ioibr|UUmM8C97Eg=vC z>-$W=4d^pA1xSNxvUy8@YTe14iGpp;Ibm^~d@+*eKT+8}E*St*`N=fEzZ!W&WYE z5Zaw8#)c~gM9;~#q+KV^hUK_QSl4wXo$c6E%D-(BL8rxJIBz^%a2Q!oqu1RC;`rGW z)fLTxX669nc*9=|N&xjhHnLm@CBYsW>FmC~DL>j0 zH<2ew7L``SbEZFSwl3KOS0B)~Cfb!9)X{kZ^y0m@Fie2}+|q%+qucxImO#V8!M?`N z$L-#+<}}wAhsuP;C+@G~IffRbsV) zRJV9=MadWtG!a5DIqPU3!A>Ki&c(5$!ghoxfI~ri4iR!qmz^l4(Joj*sX? z)`TWAiGm>xRC2M(3RX-62#Sf-^iNkUrbK{_Y6>MIKxHWX#E>w{$5w-l$IVOKtBGr_ z#y=*nuV0_>yL~=M96lOga;W`|9`g=3?*7$Df_pvHeXEiB6+ocZ-SPQwzBqnnFr~lQ z;pgYmyzFh`FJYXAj zXn>qU7zVz+J?_56QIK46EWWO&>*%vijGc{I65y-q8ye^oF)^=D?pCCu2g=S6yMxpr zK4cpn#-(8W=`gQyxDA|B2&1sL5N+R2TaowO(L(50f-HhjN}rdrts45RuaDD(UZWPh1&&)()%b~E5oZBmny@6oiHafPY8{dxO5nBP8npSUjb%L zh+G;8+yUVIi}U7zQS}$463| zNMFHxFg>vg)zsmF*l>N2`qWsKQ$jpbpq)M9kaWSabmPZ62>GT`R#ItL&omoCPDuHs zLC%7TK-2LS%JY+vD6)_^FmDwx;vdc8NUGBXm9OFjHqp<{Qm56Wuck1f*veFz+7x{9 zBdw{WK+0|D zhm!4>=%0*rTPa?G`H0FG`LS2fDB<@58(b)$yv^vkFtI9hJcOG$>xJQ@OlygLHW;y} z>UvPPNcD_aoyi|+X9f5@?$g{vfr{r!gY`rNB>E?ZaqUDNBy(Zoh{jBHDPzQ1PNF5t zVAn153Gdj#JBLFO6Q9F{V_E?`k?q%1eEV92;StdEAr>Jlc2!EEAROyW_MQ9%w(F!} zkPTE9%V|Np-_Kv}_6mBw%Tnro3O{@K$eL;*M*>zSbnzV}ugQ)ycUq*PojRG=*Ori z?{6Rd?>>0<~a#dzl=DM#NlfHqZZDXL0Epj5Ez(OpwBV$!J>L-kQFJv9s z7;io6j83M9E?7A#UxYF!K((=S9)m?L84^;3+98b@7t7w{KB8uw=p?Ll{^C-VnH^Tp57InxuKQ0ZNZol#!5c9qmW032>MQgm@(gnZs!bMQ2?Za!DoEef zVL2gml+z(tpR#mQifc9v|H@U23F1EuF%~Vt08MFDj)DJsb8u)frV{w6g=W(9kospT z3I($HCf698-Y&#J7meg;T5y%Zjzt*_eUH=fkBEfG@?p|Y2HhgO5`tPZNCav$ypqd! zYwr!;!F7MJN$6|3P1Sg9>d_j+8mn9xY+hBEWFX+rzn%cb>@pGv%ZrQ}@KmEMi2-sg?v?^s} z-^8pN{ow#8Bn^lL9f%c+>a7l9h>m=cvPA2|gM>eW&7Bp1!0s^1APyPYX6uB_Uv=Ql;c4K?1<_eHc(E$3|jk~K#k`%l4`-)t%Y{?0#5l+NeR1N_=(a^=x z65!Ba%n%q!Dpf@|&BDGg&TDSTtoB1J9XimY$W)dDN!}7BCo}o{d_ejExVFMqpgBZE8HtUy&Z+iaGC89 z!|=L-5QTtWEYt~vrWImrw$cTVLWM~pQ_cq+Eo3G_uuq-^cYL6V#wdyBME-a%((jmu zgW$qCz({T72!uavqn_y=df= z{iSh3EI16wNho^)G0#yOMZsHAzNC*#@+8r&$NjU=m?ZinhMv#!FCXnR7q#1$1h6o} zXu?q%5&krPZ*gH@LLRVavS@t05W{RJ@5mnxpp_t5?du!~Lc2e#?% zh4m}LHM0liUx=r{M25{A!J0DmYL*qYt6tb!TR!R~X9v;Ra2kbIGxTS6GcEPlti_KL^xY%U}IKzzXishZ+h1I`{#hHGV2?2+jsl&dT z!Aov~ND=%q@iVk!mwm%HKeP&84y(kZavag@`(-b54WHcZ0=LwyU$WaPiIU*zTd#~| zYTZkx%*Y00>@VM6N1%f1*a`# z66&}cJ1Lb-EWl=2QcS2~X9EeXpG_rP4jS)IR0K=p4U2Sg+1>a2nOjDlnpYt zmT-y+Z;ELUzb?p__TjQ<6gO!XXH4>O5UpJ)w&qUx`|YDz@zJBYaE7M4rA4BcoZmZ# zx8z!Y#3Z*ZdD*MfZ5F$V@ykn1Zf5IeS|Ti_44*83J3a~ zxTc{Xf{hu{_!*I$nQerRt)5Y}a~H1ow;Y~Y-r|>Ib6GZtqYbHv^f7cHF3YhZhr z#1JX_OW;?hx69){%sZ(U|8OX5{+^ecbBlA&t)6c1`>XgXqWig~TfOFJ!8HiE@dQs* zmR(#;>n&^zRC~q=Wx=`*a3LrSprB<4?ySjR7BE`M7Jz~MUSleROEiX!w`C4}NCKry zu;v)yD<94xOzkBbDuOCKj|OJ2YN z&uG%SWOffEn}pfkq(-L?DN}|jWO2~Zo2_e<;vwWDWR@$K7u*k#H^RDend}}AD^te= zY|dp?ItF@Tm|R7noF1a_X$3bg*V5}@^)KXFy7h0mx`J|R#+vs$ExrP{Y4AP(++u&R z2NiA7*FbpHeso*!%f|IOGZiO8v*lm9NqKgu9f4wK&k2p{oR$m_&T@g~c0G3q66v^7 z>slKV25(ZzQ!TCUtcf?c6IHRv55of5v^dq|9~23>8D0FyA$XZ3Q=3S`{> zBTOV~`H!)pA&UguaE?rA4s@4rFg{-iJ10eE`R6d}@C@1jVRD$blpEZl%W9(n=;Mro zgT5YKG3y}GfCikJN=|BJ|CTXazj}wvjbyB*h$ttA3m5`ET9+Zeu1+c;AMAPvVQB!Cn?jTCL!`s1XeAyLA_Q_k>4fPX66zK7z`E^)kW~3T9}67ZQz*>L ze7Sz+&-U-tgIS(2Se%`Q{`Pfy6qs2Izqs%}oArHtIv;+kHi%2~a(eweeYfMV{VkgR zK%wHMz2n(a@Xr!>9LQ&r--#9QJ5hL6_+yve4tFuzu)*FT+Y}1Z1bq619{=u<#2vnOEeXQ6|Bm(I_3j}BeACD`-v;Nh{cRrN z@{hOQiZr%Ew@t1gyl3+3I-kFMZ64C}xUimGLiD>G>yO)0SQy1q7j;h6d>#-zirXO# z2JptQ^P37*UgC>Z{F%J%Y=?SNXS4lry$5l6eWSPU>^d}dLbo3?GpTlBx6iVRIBe&1 zekVKA>pXN}_g1Ed{_XGes+cDOvRRbLN-*Gkfcq|}bDuD}zocRJHOZWe;icv+66gL< z!SNyDenr&IUYAh__-laA=lSW1U|`S(;>%JlVkvRx1KUTy)aTL9;ZOg1u5+^`WfAP~ znK%EcGr;k+JpU^Hvc2;1OXubI3-xB|2+;SM;CBVTqlC|Cu(fBy{f3j?y4@gqIT7g# z;$xDeHT!n+`n_$eetPukBXz>(JuLMQ_Ez|OQF2vOY-F^Hrt3K1GE8cNiqkeBbeeb5x z8FtWUi`pqWwY_s%vwnXk!F+2{(;inhr`M6{rO^qEwoqVj@5AJH|NR@khXRSQ>Q?~M z(h-1!q6yFW6o_ub541c6i+PX)UV+6t=@1yQj{BVhO#ZEci1+!lT?~QzVe(xA5%0z| zYj5x`3Rb;GRVo9stPrhs(cp)lZKrt z@I<+_L3_JvK;s$rugoizA!=Z|;@-#Uca|E#+m~rH&|J)bB0T_OiuUkyyxE{0U?<~6 z%J%S-!3(V=u9$m778}*s4_X(h_2;TjAGC*B%2&ou9E&W#+8%Hs^+7bAsJw>-=57%C z&*m2SdZ!%`a`kVIF6q7bn0kwILTLD44_5K(#qz^0q;aEF9;6-3o=Y^cHe181`H)8f zXm~J2=(?%E?mJ8g2WjJtuUD6%N$hy%elR6&nQJI8>1bSb`JkzkNDlH)D-R6Rg&|7J zjVjpmmO-^dWS}yEs3!*N!UcgJW)(pYmu{n{B0Lx<71S9Ek4NIqRbWdV@$&h6o{lBY z@E-jh!H0VCL{)5yqzPSJDNU+@V2H{R#1sqxb&IAw+x%^una8p}iqDD@MgFwhL@bAF zJq}e;$!&F<$r?^)H9#1nvph+ss7o?Jb_1jN!wV3Q&g$9FT&8BIgl%aY5d&2-CYWts zHZ|}wL~5jyot*Ei2@zMru-k$OIYQtbB^i2FJT59N*obk(_U-(?U+5 znY>#pn`gNMo7-(T3sy`k$zx$LYaQrDYNWaR9Y)M{VlA9AkijpRd1!|@>x zV!XmfFzW?~)qY$ck;sn%7BZF4S!2dl*HLg9w0|zvo-9)pO$C$Ej4`a?VJDQv5n&F5 z&cU*{tFnf2Gl`d{Aw6}QqG!+ z6>13jCM@IN!!Ga$*(jY&F~iubd0Sl(H9ef3fOH zHEY*QSwOC{da`~fgpeks!((#F10+*z~9CQt?D}89*C&ZW4*URm_ z#E6K{^{eibpFBs+M)C1B*?OV?X+@cucNgZy zkzV8&%HG(wL6W#9;!5m!_(XqQ8j+>wrh*&qD*H8^IIyB0$29YCV%+w%{_qa2*^(y{IbR3{<)o3 z@$W+$f2Yi7?V7*xg1`NU2{|bOfouZqC$R(pE3L?ncAT`0rkL$VUR?oNQzsS4y2xob zJ7Fx_;F#lFWC_39m%W8(0lrQ7$Fgu~0j~4%9IQu^c=3;p`1pTP;l;l^ksnygH{$*Y zaXu&s^biNDq#lqI|62bL|ES11)b2HDE1##Jdo}Xqe)T7Z%NIwN?epRPMcx)~ti0p6Q9H7)Fnl%!ixB2f) zET74L!guYR?zQ*WA@(`vx7jC`|Ha7k4(dA+i~j`v_`Eb)*RF%DbkKpSG zK76R)f0*Z6QYC-PmnjYY%LI>;;R-;vRKE?tl|W@AWgvm?50ilDYi>!KEkvwDRF4VG zfkYUP$@%dA&&sRmVKS+i?{Yk(|93ea`+vpsxXt(fk777r?|&4-v-2fXQuKjTEJ>4^ z=a!_VqEu!uGTkjwt;DxJocLzy|R7zci%!^z(YVIeR^QzI{0E%f{MoS3a*B zwzlH(1?&(HuAbKaY(09qcyPXN#`(Ly?K~Sm&H%EUA(w3xDmE4U3iW*?{x(j#+pHa@ zMC~doQJB?b3dgQ_m6CVD4{0&6wu7(qitMd7vOCA9gdR%6VH2fCxR^VJ8V{Dp2n)9p zI%7<$gcS0a#jh{7izfh+oMmdv(vEoq6&0e72b6Kv3g@YuGIAEUFzLSjYdFXdL-UjAQy~CD&kac0jGdhtnq7KEAFR+5tF5s2_=TeH{x@F3DPry zsFtb3k~E&FVHmk!Pz7EWs!B^{?1L+8wGr1O-~N5KvBi|6v z2A=VE#9kmVE){K9Rw>r03cTC*>C=B^NyGZzl8x^yss3MN$$w=DmCh^KY%n5!V;Ov5 z{Q^@xCzjnxAy9J7oGjG1v4tp$Ju4;PrEqO6Y-f=K%*N*XVrnn-Kao73M&i3$ulK0C zv-&3JnHwud`abC0{W#dK)J^yMEZ@8rBJ7@PuQVRhM?|i}XOfvI;c$HOXbxtjm2rif z)u!=+=dnnN)8mkVOo8C>xVS}7t~D~w;CcHYjwmWqU{q4@oy(>r9G4<{rPMU^^Y$s| zXtZ=*Qs|I>3jr}8{fY^5;4FKFV?hZ0PJwY~R0Or)X(cXrk3lq2?8i8ft(CX|i~S;^ zI?{t@oQe$qJ{a6PT&5&y5@WSHQ|D~YvgURIdWIh}bvj?GtbTl~4(CRvX?A?<@fNi{ z%^&NuBW)W<#!IB*_A9MZtMtQdT2EWEi2K+I1h=%Ms$r-~h6Ce`YU@8^mzh|Cq{H}8 z%5133%V&$qc9A@fG4TN*oeG{6ah~jZVQM;pfH@X8NRPmn2G3BcT zaj7a#9Qb6suQ4Z8ycRLyV<97C-dD*x4L)}Z@y*Z8(U)WB9{qe71Aln>r{>FM{*m5& zc>5iwZ#C$+QHt8m;R>*gU(TdGm6m=9$awdX{hn@mhnmR$&y3;o zcqK>p&Y1M?3lD$`a4~aYFtK%WaW!*xG5G)87%;K0|5tue>}{HF3mt(Bb|x)I9BllQ3Dy7)bqQGHoN<#98}@o6@S*J$A%5uJVYe2?#op(oSpiU z&|KT+KgXqSrXn7Be>(HOeS`E)(uV{#zg=}6%h5r96=;bX1FbMj!{%jN+vOov8;FU z{Pq)5$4@(~^m+mf&J*%>&rFCO4repAeK8XZ)T6J+Hh3|QyBa9qLgJ~Njh+@R7*I}T zBEs~l)7bWxE~zrq)CQ5b1fs;dHUi9;Xr;1PlF4p{!clT0_o%}HVlb3Z4*wZrwY9bk zwr^O<&Ho#g!v0?=L%9n|Hb0sE2bSU+)a!sxRB``W|6JRzt#g#n?ErcwBh;Fw1ZOh|0wLus1> zW;D7+?3xEOs5~~FRGm;-A+0$?NmG(=T7f1|1cgN(_61oHW^;fO1Z`!!6?J%%Vh2j2 zp(KQ;nPWCH!s1w>#nT^ftA5v>nXu4J_s#9zPkf5sW$w;#NMh}%U{0|!xpZW1|{B6&jxPJKgQ#*c! z4`cPua~dxxBm~@dOoE@~3hDQUE>G8&2hsdUCf->xI$hf}VS8`htrl7Ntdw>_!e#V; zN*e|?@P2lW?nHGvm4|(76BlsAB~%}0>X1Z~$hcr|lEr;&X>!U13d#);EzHgp6zTke zJN)qla5bF8eLz*5Vkw==$pn#VX3!9nP!V5>tl~d#vHHL$385l^f^#&|;bOv9lq#33 zKZL`OkN?*UK@b5>I`>VROa5Pc@BbCt6FNH;HNc1lvFn#ltU&m=lA6HOh!9(9M;}N` z+%FCkCQsb|xzvZ3WB#<9_|zDSAg67!T@ z?L3|1dwpHDd|KmUr{rLJf*1&pRhjG@^8K00Ozko$raVNOj0#NyQA|qDNd{n=k;y5| zAQLPO0X>2_5^DH#nJfp3%m1U^*TF1LQ@#Uu$0Kas!UR4+PzLw{(iV=ak!Jl&NpjUfwW9Ve;tNVB7lj-M1Jwvf50|N6Sxx#mMx z`V&Rz`ie-_KnMPfxPuxXqyWc4daQCak@h`QqID9W=_g8|1t? zd4pFug`G*kT}51v>tUd*01duB1lTszEgq)MErY0+wY3eHN{h;l#z>`8n}(?>uNGdO zT0_fK#lZZ*aBdQcAA%&oo|zU;#s_H&6h6kOlyu0ccJA%E}igC+!zQznKxw z)53$vsRH8bIloGDh&kSjnj-<}3#Ac5H85Rm_n&4cGhQ_?%SO*q;)GffhXnOW57hXk z9@RNfo0PLxDyIris}U84+9L^w7NtWChz3tM65Gf8&zTM+*tlluyO;g{7rp#n84aP| zqM;4J@4pluzEJ%Fi1t`H2T+|W%hpTF)xieg6lKvGWg*8X)9(76RNM(G!mCGIdj3zJ zrX7#&t@4?T=WJi@xbpA=t4sWQgM)=e0z|H!pGYeI)6Hd8o|3_5&yJ_}1RtFQ_xFr> zWe(U0<}1jMQc+S?8j)RM^~N_KG^Ib`#4*LiCg@<(;4KAt)K-+iB`N4IL&s)cVR4vK ztzoUT=jYkijpXuj3DF8_&>>+_I&W%Ol_lkv$_gnQG85H|WXs@F3(=yAf-Raud22{-aEdnDnIsUBFIKgK=5kAnBgREyBH-2EH7-aMZbsu>gx z`1dZuEB}^#hM_q~<|H6r%#)FNM-H(lvynuGl&F)s2;I>r`-l~VERvEo6W+#vYm_MC zWF$TWk$wp?!ztGq5T#0^q7fN-2}QwBk(YRiF?SGRhf7viv>??^Y$IsP{vymh zi9?mox(c_)^?%wLrrz01a&E>Tg<{yAg^f;=*RH8m8!`T+UQ9GO$N{y_WA zk=y^p$ogOP-F~xk*55PGx3G*?EYEOKj;ea0cI(u895OM>0+u zWy0U3b+urRL%x4E7N2|x4!wb8do_==_HW1Z<-VdDw`Q3M8 z2K;`vD1HaYr#h-M_Mj{lI}b+QU-5rAW5VetIrOsUC2SRBxp4~cIWZ>>d<>9Ohid^P zVJztOWi4P!!QF}|QH%f5a2AUY`%puC!TvMUROw5}*56@H`hT;1+5fAC&u#AiX!rv5 z{*Q(a!bGT-jkvSkf@PqkhN9)+Bf=RUA~x_kD)xL%&x2S>bud zVf}?}>hG1p2RH4+gN3JEy8pt)>L;GZ!RB?@tI6w94c(tI{~ zup;cp4erh&K49x6BmxzJf5?+oIP!{2ihtO{T5Pe-Ky40gZDBtj_-#j-^UxhM#H3d_ zsvh-L2^wYlvS|sWpwpT?S)`Itj;9e*GiMBFiAkh>sqX2cPgA=N-=#*0hAv(BDmRV? zQdJXEmz~~9KYG61xK^%T$wZ1**_9jR8T{D`uyNq`$~y?ui0=_;(U)R~B*%9{p-afg zNa8FSA1MC8knh=XQrNw(1?N>u=Z`(b!EZ{|v999OLZw&oBR=$*;6FRCD01&1l^Fmq z4fucR?f&aV4Prnu9(HM#s4?FNHWOVR6lnU^dwYujE3?M`y+ zV8}`<_bPQ;q^H}s3F7rj4Rc+aSM~#TY;~BUQI{jlQ&;9_K?(9}1=dY<)V+pDTBKmN zY!e}WYaXp5vbP%t-w3I>sIrmr+C436#!D=@P-?eO3!{uh=)!FiXDX8$4A2(Et}Q91 zP|{CisV_LXhjYC|XbfRS!ForELz+0^39o3?FHd!zC0t%kg<#UWu&M7W56$0%$xB8u zWF@&yYD3akn20VC53dpUwrEKb!Pz%@<*OuimQj&u2~WsOQDurWcS-4j;tQ3AVJ6Vc zMCaZ@M1wU+otDD3di&b-|>0gTK>>xbi)-bvaB=^MCf{}*m%N%3G zamjHSpr2wl%?xUu9>S{0^sFOhXo&C9CQ>)k%c&m1mJw(lt^o~q3t8$=a8tBZPbVz1Yj)u`JrUfD`@{MjT zD(Z`&YSHRnci`XefPG$`m?Cd1T0ET<#@uul%rbyaWZ2RRM>Tk%thVmngv&7$M3I5m(a#$9-`SSu4`LhQhy4%9)P zJdiOAh8QyBk{sdbKsFkFm+rfzUOj1Wyzy+EG&A(Q-`y@a<#YUgV|f1S6wg7S(F@S8 zp5|nHuaTp{Yg$YSBX5aYI)*J*-c2B}rAVVh6KsXXN(9FNixX2=s;TZLP}Q=wjH*Wl zm4|Ui)0>P;$EuF0t+d>oX5$y6sSHwK=^N7u-Pt1d^<6e*$0%z`Bh?8K39E(%*H?21 zf*S)?ATx`-i!;#bc2z#Ks|`j}fv=JiS*!0shj02ep>R}F)ACVeCpn%+$TV`7q64f@ z!x6HxlLL^dN1`xe*i{+kTX+u5!7qorz;)__h`K@jYjT4d1Lom0TX)y_WiD@e{}=^?6{X^V(p*m0D<6}uj)eT)Fi zg-awEO)C@rM^XMGhD6LghEWnCIHB~Y6Mr2cZz9AmlUm2M?PF;1d;}1XPwq5u2Mv?a z8>ZoT?f6|*A`7;?4ZBAfyL(Upux$7jLMu{Q$deEi+Pp;)P|KOD{cKzOA<%^z^%3k( ztTZF?_n768bMxBUUoX1RKU2IA7}c=wf$O7(G*?sPFS_0AfrIqBdKWl-+f58AK>xee633cg5RKZ3tR|ce7a5PzAsb3WHEM4cSwE?;tgtXdOB zpt%c0`woN^D9}|`TQF498Uz(6z!UvGt1#Gwf(^~&dIKrnHIRM=b1cL8W!9+i3n0Mv z4(KB%2O73P13KX>Ee0&tPzM=Ex>&`w`i9OnPr1VEIU(m%juN z1pvx{1dINqMB(AApMe0VLTilmOLJsQF{4$*7auR7p>#mj+=0#h)#q zLG)a&f#`i3ZRRxZKyZl$w10u;bnn2;4}k7kRCFN$GDsehG{W-ohhPzbqbF%aANu6a z8cmVRp;8jh&!zNk62-s2`+Q;>F0QX950GC_`l=v#N5%KlJcZK}=OZd{sdQ1g8YGC2 z^`y9QwTc-FoLFi(RmT)1LG}X>3%dm=!Mdyi)vyczTi}}ChI_xzd*owED~-8BMONyN ztFh7g;7{lI0eY+5M6M_ss;AgGH_)C3IJfo6D~Ngur_#hLR)iX$ez^m;r&+V1Ol*?! z=`eb!?5D&@QP#oqpg&wlU3o$W6SGKis0wH}PH~hFv2PNQeR2oj3T!w|ttGYJ8!$rX zg^B#BtRB&L=4$cL)Q%`_KG*xI1awlPX z7B&(Qs#D&+vv|k>cE!Pb)rbxkAtrktQTxVe3>2wjc|>(Q@)yM+xwLlW2{r{>VujEy zq=9Dj?Df(B~j5+WV?*x2lt1CG}7-7`#7X`ydc@J)O`=Nyp75q(o_FQpqz~RzHz3Qf| zgP9Tj>y&Iw0|_1R34C6XNJ-%r6>3+LiKYWJu91Q>ta*m7`x5{K5O#^o&v@MPK3l68UW zOUFV)$5iA%mwy_DPz*gdk9DB?F}UmoUyi-LQUVQ6!Ih}M4xhaw&LWZt*~2A^Th}Yb z*;kPQ`o?Z)ZrD+WeW?>@6hbN|V~BM(b*dLC0T!%Ryr#xE8c`|LP>+vQcU>|t3~cCB z-c#sXW%BLm{r$T;rr_3xC}3Y(HfUF^x|~^&#`T9T6espR6NaaYjt{F2zGIW2CLhtw z!}k)if)1BF=pU>H#IH4wsdwX0UyV|x87cXv25?Q6lfzaQW`G6Jp_!wxJw&*qsEE8s zg`mjV@g5tcKT)|*8z*G3+==M{jAbjZHM>LhPygA#imS4@ux#2g3PQ6b=R}i>b1VvZ zZczqRd4FDRGFg$U;!ewjl}pG-Ljhig>hI7cD5rf>I6)pqW-lsuK_q$l+~iwU3*}`B zWqc#HWG%ee#;;agr#r41{hSQK5o8?3Un5eSqXvXsQLm)sAg71l61pmjDk~@Dg$}Wh zlHlZW`tk!Y%`JiUz?59;**wJs#2nkvF=hj?CCnV&E9Z5HGX!2dgKg9cPch3FYwo-) zd^L*-tCs@VEv$>krDK3^hP?bAEGs)9^TrRIl)9eh$ zJiY?g%F!Ob&4Cvg{M~I<#9Ykq# z#P;mJ3qJ^2h1JKxcEEvZh>{_y4180eqH22zeZGwXN3^yatHBP11`^UiKrKOMx8I%8j z_1G{tBz!H84@ApaRl@q7Sx_$Q!}YjR%dSo-K}#?b###t{7+6>mGJcA-wFU5*cB&hv z$}9H>vW%}|+jY3jQj!h0V6m|<(c6WB_kPBG@OY!frNRpYKhfQ< z>NlOFKe!s}cMs*sKRY|{wslnIlgFt|M)Nq*c_%rzCL+4>6G^FoLF}7jvH_#k}@AFX+ z&Fn*lg%)fw+Ti;26+bTXdHtUDhl^dPeQC6|55Jx`;(vQS9MPR^TD_>!p^==$*yym? z0C;RxVq5XFbO156%t?@0xZ9FJcl}UZ6F2Z;4k%J0LCi4A0ZdKoIZz~4of7j2d$ZtC zi*X607$%Tb1nO*O_);>Q^vSB}g?U#wJMx!tkc^}P~zBmk7A^Bz#QxNl095{Egiusg!wX^ z&XB*XNrvVSx=qGhCqkrj;-mxVa#)u8E17zTeZ43X&k1^6=kPn!w4q%ei>Jnf;T$=z-6G6jhn&)q`P zZssfZ@z8Fe_2ih`R;}Po&Fo>R(MA0nS9ReK26GU-C&_axC&X~Uxy3THPHjWq|XO~(1L zNB@u~WM(WBkQ!+DgN|;5Xc@pJ*5!E8w#pl91!9lH&y#G`&h`{(9Q4X21uVU@-XI*ZrF)i$V3p z!=bOQ_xIel{Yvks0}Z884(v3?D}d*Rhz<=#cKdW8!pt9*2|8jICoYXb%g%%oHMx!` zwdNW^7d^HXtB2Ai#i`|7`r04p4YJYAi=q#eYTrvL2|E;V(62GtA1$2U?|z)a)MqB% z1Gl3qK82vpAakK{gOMsF6T%@9b%y&Hz8j4it>}pT!zGO9oBLOy-ohtP?mCn|6Fts| zFw~u}%mT`RQPI`Hex*oRi$vdEYSCHVB(aDi9YMv+555^ApWp+nwSuptf~3BiNlviW zCF_98{oO`SMlJH*l%t$nI_jUVv{yC3RC<1WZyKGC)KF}~DS%wr2 zAQIH3IHF)rhd{vI;Nd`wC_Ax)G{== ziEKy-^F$8AK@%+AT~iNROjy3$)TFhghIXF%tHjfp)2wJiP%r8KNwpf{ z*idWBX}pp?AOQT4GGq*KkfZ811yiL zWNS6p%JO;uZi~SSIRhPa?seTW9g>oop785-e@ueVujk_LQ&&&?bZ$1jG>z z6oev9U_n6w^#NAT-yUMpEhPjJ-NA(2z%>tqF+toFbGKxyCVZ+GAt)k7}3Po!4dsDUiz@{0O;rR=Gw<3_=c_ z&WBsjeD|S~Vw9W+Q79zPLYHkH3zwi^3un{Cfj1FvCZZHGLkKC=VqR?6%pBaR<7t)X{+!?0IO*P~&WQ5(iR$hm;vNw_!9%2}SG6GW{Jfa|6g| z75S$}!Gz5?+fJdehq}#!0)UCO@4D#1_Wkm-jFGF(rY_SGZ73j6jyOZjf$8GV77`>F zyiuTUrqHQet%4|~h_>v^ogSc|GvfVCP% zPd0UuctrmGCR;PQA~y&Y-hJ2tH{c{BgMZ*jhbu2!SGSE8?p77-6Z+;;tUloH)nZM;9 zedd~WLtM!@)tGSl8A`Tk*rV}|kh^u4o?Yk?%>7{Yfz5+pT()+-oKvhoc;_~}NjR7HpBY-e zaSX!-vGjVaKr>h_Q4Hxj;1cecpNBA8)R19Jx!!!idnnKL)1K!$0wfvB@!tb8%Mg#* zfx0{yVo>+Yqp#rR6K&(Q)px4FkX#EGZn>b>;1WWN*||A0hINx36gvHgONgy}z?l3Q z)y^Jm$|{&cc-4gWIGCNZn!=y*j_^@-sq8bY!D}HTv;wM0&De*!!zdJWrlW4>hUN#e z@G4-x53VGqdwoPnkGnD9Jn%_@yc(mZLzM23_kT<+D?(Jo7{g4}owRF(Fqj-FMx6%ak!gUmf&GpnCnZsNQyPUtT?C?h$h#oA zv$8n@KlDRp3(apA2}Q~b5crSWD#unIDJ=Q94rz9Q`!Zv9<}a$>$W|q)tmJOIv_Bb+ z7B{iDd~89R0Z%^RZdW|2H%HpfS@Iv(uk=sM$~dBw^=BCE3N42e63=O&hR#U>}Q$0z=kS{l$(fUlPkXe zoNKf=vrVCbuQ6lk+jxW&AB+VSB|GVTDP>r$eG3My84KBe5eL z;7!pFJOsqZ5si^5)5b8vJSo$VStnOZ@>+t2qqnIAx6|u5s?X-uK33jQ#JQW~1_qH$Sc+iTqz*)*rtd zijID+3Dv`5EKK~O3vZ@0RJG^Qi6%!K!k|Aw@jJU5I-yMeI( z*^;_N#YMM-3$RQuEX?kBr=gx9=jUVpOEWaP5dS9d^=P|u=t?($QP$UhAL)vM)CxJ) z<`N^cU%7&X9p)b&A%EwPP9hN3(5xclE;Z<(urENL)D463bMq>8O3bhx+H0_01 zuDpA>sN(>)%visb^Ynv97IsGp_?-8=3O^k(^1K^U8U)fTR7PKi9O!>P&Muis6cp1e z4+inprtMN%#ljz08W*LG25mbgBGOz%6z}}->e)C+VPwo8yN005GO>*urz0At^(lSg zvpXX>4ULRW)le=cru{09CtG?uPi)`bUwB9FAB%VVeiPyz`*lPUKP2prE84PiQ!sq`R2I1t# z)Jz#9ieB7SG7B(g7s;?La#l9F$&07nGH18DUsGd7>vVOg>JDFE3MfnTFS97L@WhOe zoNS>ko{V(Eo+g>nspx7&jKhiT@ejW*xA)Wxv{u%x@E!?&jQ>xT1pLko%Hy-IyUd9q zX;ID#67l;;W)Y_U&-lFOAFI|Ur;q!zXKbI6S# z{y`0Lpn3?kf=RJCKPl!^r8Ey@SX_36h$OGEQ?o}Td*=!;7b?WqoW{PO$knMtfm;~! z$b7ZR`*f1pUt-pFYG$~|iiPqxAO4F3uWQfg_TUocU=~HtiNi7R^>S)FwnmIaQ}_Zy zeX+U7mEJi;oZcb>)IrSr0~YHVsC-*flQU8so_@*MXUV&3k_9h853X>PKzxA=j$HIw zZju+cJXRDZ!O0nC=?QjB;N%SPdFS*DHY^wi=1<5VJ6&=%9|$xQoJU7@Bm55D6(`2? zyi8roubMw#=bUb>+F4yIEapG!cOJ|fEHDdm7yKG97kDDJIo5w3RvEqy-&(Wgi}*Mb z3w~aBA-cbxLfOiS6hYgS<8ym8ctCuUioKjGkDX3e5oWdF}1= zfnUmAIF=G~LYHQ28#_K84>~bDmEwr;rz3Z_2z+wMUrOH1fB!!4=@5GT);!uTozi#B zBq3hUy|Ebe;P%b)K0`5QMUsG}R53tuk3CL0Hbrz|hX@~7(>UJS5CpQ?skbhdGi>Zs zw6Eml4Bl)t0_p{Uq65Qh+*-i;_Dn;3>}`y3H-b)xq;rGw;ctMyj~5M`xW?}GHAXqr zJ?1&BRisYW?xPP?JeH^>{IShAc`@KrfONTXipO{W=TEe;;fi<=n5Q&YN{sn0C48sG zwi2GjoWT=9X-_%ooyS>I7gBUAVH9ioZr%O z7?HV|wjax5btz$MIB%sGq9F!Pj?XIU?`7{_2cIbEXDrvEVIA@%8)CGD;o+<3ltpZ7 zIuVQsFrbRtUyiXncsy8Sm^LBSi?bOcSXcqj7bSYBA5wKZSmZY>rwwElbJFD@wT8$O z12H+5g;zCkZETOc3QPB8J*q~wl!q9-E$UsT4MN5B&neGml|5>t@YiZKlMTOTjO?x= z_f%MDTP2OyI(ee+smZAvJ?uQBP zdUQU#F%}y&kMjh1EULOLd5=RZr}@_59hN8US`YO6s!05od25=k>eI3MIm!K*u5K|5 zs|(Jd@$~bM7Xb*>1LJKJS}cXBSpFp^#TF;~*j2`&pC+^Qat1#bJ#ez0`-tUUHN z6%YPUbwoyhAZH+sq>6|5GcHB7bv?PBn$<{bef2WAPZMGbGNZ8g)Ac+niSXKMtIBS} z%g5oT_wCW+7XM->~TC$KiU{dYgXU^U<%Zt=;v{r!S#S$rp3x_F?m# zIbd%4{W9m%&-3%)A|X)eN`VgJh;nIbURXf>2A0CcBbCl0HAtNv_cW@ts4DeaDHJJ? zgPbIm-#vmlt6qmNy(69+8GN)-ezS8>?H@Xq4&ferL4!df4^)7(p9ZT>=h&KA0}!8> z8e5A6Mfb4EsEKEfrX7F$zBd)8Kdy8AMetx@3MSQZ~?=_DGj}KK9&r7;7 zeVMTcN*g+dO+opg!T9953c-9z8)tO!(Hgky+PZz%&Oxr`w`gj_#z|dn*TwG?z<7-9 zbV%J-E^BH;Yp$b%U%s7Z9VHg#AcVE+`Uk0(p^H0PWnyYTLLs@?^Y|)*-vu4L`4oe+ zry1xke7vhnl)Ao56dPrT)$eHG&2u_a9*(ZrIpm^3Y0s6ZHA}bD7KNoP0r>|wf?DR- z4MF)SS1pg6=8zh#m+F$zY2CxP){+xq>c*$9zGrmTO$Lp?o^!gY9>Iy{yjEx1_T^J) znK8f3?`pm>V~3@8`@cv;eeVuhQfd0S`g|WJJ$WA<)_EO`jNQZhxoQjpjI;zDbwM{( zwH_?FN6C97x`5YJU4UQi{Z-4dE&xSO_>b+lt5z2mADva}x+6o4p{QU@-LIxKnuOo6 zDi*E75lw3s#}=*Q$CjBSkv2*_3ziCLw7CxH(4$H+~&0- zNL|ni;#a>xUBK;Z?ZeiW?vua$wMG~k=i*}fbAEh%nRmMf{C=z3|9Mihx7YXefg%Oj z7$r~t$^tIPxuiyw1gFh~MiL;$j*tI2mOwSUN0o`yoFUf;_06_}3>*iBsb0hCHXrMj zlK$v8Ed`e-1g0JZfn-7g=^4_p5D~N-C|!#0rSK5u$f|HKP~l5<)h(A6A=c zA0JkG-ZiU==~2T}m~l5g9~@Q#rDDZs$CRO&=cbrdf#lfzG--s1mE{`i|C$>!K|>}s zLuxvujLAm-OTsem`P(dv#6CZ4R24PTtH+e)eslu;?O8VZcQxwqu-ali?(5V1!LXYi zlcv)*#b|1lbb+>%TpR^|4tgF?Oc@!_^+DWGuCZoVEiH}(6-VKDqBOImR!lHV;gHPK zfAqe;c1ni{x|NTTX(h$X;@HQCDcpFV6fg34hLCNQgy)cWEh~>0ZB8*7f99Z9hvDLD zNc0sF7~J!ku*Va*Mm=3?EzF^RI!x|aSmVBGvAQPlF=(r57CNQp!r`3DEe7Xl*?jFV zgl1|;eI6wz6)FXO_fOAL)1ddW<+kPSSh5JjwG{%4-;KHmyGmSF#EcOP%XA&RRCT6G z@-AI}yFqd5yOWu|1W!Cjomu|el*f}+-)TZt3ja!m*3W=AjB>rdjlAD!Xv zxIupmfOkBB>?cI6rp!;{cSHpBgtTPI&0Y{!WetEOis0)Z6;p+Ul}F{b0pCh(JNWQ?H3bo08V)sZze(m|Bs6}efUfF^SGE=C-g0{i@~5a zIc?v4u-Uc3PMSh#Slr@Zu?Y0~G~GCsKK@12a7@^4=-oPovtL1+k0aoa#9Ly6LhS!0 zJ=n+T(yPQ~A4kx)*ou^bMSb5EU3uIBU0>hVGx|ioZIZcMi(VK9+&Yt3XW%U~82t7v zyy8jEfTK`|pM<@~(jY7!Xm;BWUE(E$OD{8IpZ{^0sF!ug>pzD0h?3RdC~|_C;>$pE zVZ=bAhJFh-en{;B4gO2_{@wgL;ZG2=Gspt9I_@XZPBD4>3@2a6*f(7;|K(8IuYURC z3dxy1hMgH@=uls(XR$?`{`wsNEU?-95^b>Qs{_sGkVgBJJBN&@G!4E+2H+Ac)b`9r z74+*7<$e;@gHho%Vyq<}0d+%=3OET%nFU-R{}X4fN*rW2Q-$UKxdK=1Z*0V22qMPF zP2D=;BDo+9g^I2)@Z#2X|Hrl4oa?y*kc?eJT`Uvr3}kxIg$Rg=&F5z;-zD*y>n=Ajde#o< z$`bN!7}|L*V#a*0C*0|g*=6E359tIVEsbd%_yddvb1oit4_~h%EBjTRV)?TOz5kkE zi_D_`ZLpI)By;3(%7QRGhpRH=b&`!Rc=zD|ZTh-`Fo;->sD|Yn;?PFkGP;SCO3;zD z3L3(KxpvtJY%-Vq{f?rvmw%(r`+1u8-=XN{W)G+4^Dp%VnH#T6=<4 zcA~}OrQkxNzVm=qAAH^kIrD*Xz?7jzB(X5+3Ec)aW$PkI<%}E0pw!4h?7(dRTWhCS z;+$d@Q!~W@eg&}XgSYL8E@2F5`^}~xbU@>h5N^;cU`c9&{2_6RNN2fM-z{9TGObTV*zYW%;!i)($W{=p+e3(>`>?2Owo%`ChtPQ4*c!01&WBvfj@}+7nPS= z!J;BdfY8}ln7Y|`O%xWg96H+&SNFr(Fax*|M=kqDxM+>IS1eAdaJW@Zgd%tsm9%}= zu9Fj-ymp6c>ZsK`VZ}5{Lc^(XI!9S;21qAt5$4;1YK#V@{IV?BhfTHFxMIoT{NLo}`A9dn`8PUSPkuv2IkAe31o8+jY8l9eLmSJwfSu4VU4|UB+ ztCA`SOQ}VP9uqPnaSWDMKJ}IJerMoDqWGrGB=UJ5l_+EH|9YCx7RblzgV0{5$Xq*gX`_54vnQ@c7*C4cOlM*uRB;h!+MvtnECM6q$xP-vWm*d!0^M8Z0!fE=!BK}y zMaRqY`TeP~x2lx)r_x3lgd-06L+UWAg$Y_1D9-drOy$Bgh!($Hx;}*niK(5skkiQ( zmOUx>^`c0)E^qhg+hJ;FluV>tCN)0a6v^}v%W_0oxSj4;zttLVP`bK#CNd(vPVTra zE|PuQrX+=tJ=mtY+4@o6PKOZooR2*iH!9EP@W7#-KjcXj9w-Yf4KPQ63UdUr*eeEf)lD!I&0Zn>I2lztU5OQH@`utJf_c#Ya$by>L^$YTH5EO;p zWntDokfvtsOXWCnp&%qDwb={IOb;hgb`++(iHRVd&SKS4Z-K=*iNwsB;9zDU0ioD! zFE|%5su!$-4jH8Dab&fDX0bC7LSJ5HcmEA6q-0h zGvTxDXH@5u><$Pbu*p&McZliGU;x3QO=5x0?l0u`G>Ym-G<>al)YZva{6a&h15{-fiTzvm;0#Ea0?+hbX;{|)Fd!6D%B@z>UN zkMHf*e=^+LWC|Y^-C&>*Vgj`OH><|(8_kG#T0L4UjLe_bx*JKu_`FK;&16@U_p z_CRi!Bhw;VJJ#6}Rcx6WYiS}bF88lV%*n|H)&X(9Q4uxQmABubBotqs+kZq z1@6OxuA3H{LE+T|fnP#R_ z`3?l;iJSxPnnGsbqM4r4hl@gZu*8?1@R$QZ^9ZtJI!cb+lR;znpUZQig|D3DWVM3r zL&Vn%(WpmSDS*2U-0Gnp`yvH^7mE<&qcGx>P7M&CUL*<{Esk_E3>9-T^KbE z=TA7f#@-qhZ*Aj(JD4=Gwv3Xe5W!`N7%oeu2@AIaK3T7yn^_C|uiFwnpt;^q`!7pq zdH-Dy2EImgeL8XW1>T>E60-=lUn_lHOLzNtULRjP1on3H(L8~=L=n6{704U|a1Itf z3ICjlLLP`3<@APhzrh_WEBxs)CcHW8qxds^@<~BPnlBvquy+l8a9cofQ!shs94a(= zJ(P9W794-WscZBm@n;(H=JvR``xRO2V|wuBGn?#_k_k2Mb3I5%H$Nv@IWh3=Oj6{t zb6|_`RUhZ!p>6Eu6XrQVeCu86&$5c7(R(!$X@|y#3fMEQ^&e53KJs*96(-};U!>zP zjDI2&{v7ue>U?}M{#hI(Gd~yzPTH(AUs&4I7<-kb)-a6 zi@d?_*|*c0%<~zYg!LV@yJuuc?AbrEzKdU;70eGP_3W1RuyN?ww~BDi5#1DY`1{;9 z>%1t)!eY{WA3ti`==ru!-(fr>$a19NbJ_l+diiu8XnEG@+3l}&+5Rkh$(NPWv#)$H zzpUM}e`x+SGVWu1gvvwwbioBN>(`}?>N9%wHSw(qh?tl3AF*S>2{COfY2b4vW3UR_ z2YchkFB>SRw%ZsPF){gn+tOkmH!@;Y_o3*CcgOhPW28T?ai{y*(RLC7av-`#H|!^M zHp9Vi1Jg5KVG}>Yz_bHrAq z0+(J5!JbDyuO8(@!2AL{KR z3eOt3nVpC8eE$vSiN^2LBC~%fc3of*=37OIx2tTXbjM`+te)Tm>!k)M1(Sn%#tvis zp+UKEJxI9`^VSd7)Vy`A^|sJtS=^*~V)5l{05t17)1JTbg(+!la`zbnK8?4?9&H|0svBUAx0ue~fi2;RWE>{^Q<4f}Y;TY~Oc!R9 zEwCt+Hr8(-GTxM+O3loVgYVTT!1)k{B&!F4y>GSN(W7S42WVK=C%ob45ePnH>jWiB z(ysjo52n1i!&-Y{nhS>JA_R8F;tBRg{M|s>ERJQf?^$5SzGVxOL8ML1W<6kY3U#CWc_MjXrAz5?mo;C}4Z{ z8WHIsYO#p8nM@L?2cqCAi^&zF{_UVY!DZ$u;HOZq!NGbn=Wo@9kN-nBuWM?IJ@}=i zi~m2Y&;N>6nVg@E*8BhJ;oV{#LwBA49m*_mVQ8~3IcO)x!o=t-(e0{C-1%*Nn^Ct{ z?j>p@)@v}}@H5|dNk2nx6Fl2OXV0!D8;t6-a{zrg0MBe`AT3Ty<6C@P z^I==mK??>sDKXcoJ4zy(lUjUM9ft{hVg?;tQ54#D2!)DdPTnYc@;3>N z>hU=2TsmyM;2RWr(}gvwx~3orTPhbE#X?P1agdbO{8aHO6*wsdy>#8_BVFv8r{m`5b+8;KX}P;RwUWL81w{on3CTo zlk6~Wuy|h4rU=qPb|c`SEL@WH+$APl%j^e4CH%j4grz$pgIV!DH)8(>LWMF%zA5AD z{c zBA~tZL!IXpn>zKHnZagn(oA}B|VACxj<4o55G z4fBT7y4Grgf3u;FN{(k!AmT>(D*~AS4_RYOC;o%KYp56J2eXVk_>VQ)6H1H~Suen1 zY?0|*RB=oFOsG};;OkP!5V;Yq?u=y_wJJ2y0WU z-<(=YSbv@wX_k}$iWDXMeKKJT^HMklWGTL%Lqj%KgN>Rb0_0h zU|+Y;!Id~4-6xW%2%7!{7p}^xN6241G)su&{&V75S*&aLD|M$*_AqWql>XXcc| zRo&DW#7VGadSV**-EAPv{Ps_XNTPW*@)hX?&r zMeKZ}hwmTlk+S75_J&UjBo{dLtJ#(Mj1*_{em*4r zwR989ce9Q$NHor$+%^;pvQo0uOIHtu-;H&fYZoq{#|&&Al;CjBD$1}3z|%Q=Okj>b z{)eWxrpfr*#h1K)>#Icf|F+uyE6k=W4PZyaix$*(`Kw)Y#ze5{H#{;iz76gS#|lJiyTV7H;ABBAb!JSTizI z`8ydciE(KE30n987YA;_k}L6;4PT{p_Ge9VKu550Vi8fUT#PCa5^IK9Ls1K+yx7DU zg<}m<0Wx}Wk46WBPH~pi9K1X+*p;qZ0dUIHGw~z+d$p`BiOU!<268@4WZrFLjIxO@ zZ0zq-v$l4|b&JwiJSyjY=W6zJJ?i8LiCpo>PD5QT5p=RESpl`bRY-&Sk|vjnA6v6q zu5d!@ul{GkI#pHjDYd#GmA`t{wKcw9kXLGnW%%R|4s4NK=`~v6&srOW{vugKKFE$| zKUk|<2$^fNYvl;F3d&4mY)-LP`lrRoO5{2&pf}Cvlx8XapblIAH#6^ARh6S95*fG6 zBDmBj$QoFG7vHQ-_)P6O1<>eebP75Vl~^EACl)1pBSaZnZ;gbFE-u zhn9(rC5)s+y$f%{E#alK%%(g^oxA#LX32_q#2$x2pU|oX#f^S!;G|Vxak2Qf_G06N zg*)3w+8-zgBW_v7e~3^p5F<-544G!&NdAy1F{U`=z7ysoU`u8X*g{zfh-bD3Xj!G6 znEprKNq^g6dHvmr4YE1sVS6D|4)s#Nh-6MJ71PAj@9$+X9jYAK@;dsyBFSVis0>7V zLD7$v22DPw3+lbRX0SepE_&jNv^So ze;ZOtOL9Jfsm7?Hw&l&rqUP1*_N?VX=5nz%%N0h$6Ted8{YQM| z?7K8WedRW`WkZaqW;HS1 z>ivHQ6Y&053Q^kJrLDR+8uZoWBmDz3(w079m5HhE@dc>>KCpT4D?k`_7E;8{{6p>g z%T;+@bh<2Pbhm%^$~>OlP;uR1tuw7mQu)6p-lOBvoY$mnWTt0wG3SNvUL=Pe<*TrI#q_Hi$Rj%wY zp827c^PH1rgv2cRfb%PADu+jIT?YQ0(^~@`S_kq+w0M7)cq=$iZI=wwr?Cz|Fsm1eQD}JWRu}J0v=J{b z{^Nh}J3d4F6C%EB$MC;1UU>g28L4%~*lt}63GB)<5Fs!)jc=2bM@Kx@)pI2JJC!Ns zU%KC!!-)$tanC-eW5_o&`47<_d6o}T!-ddBA7-HBLUFXr01Bn9$+ zDlChZ7wfS0C9G2PWPGe#lBoN8wv&v$JMkl%Z11}H2Y^q?X5E@7kdK*PIi zvI(G%_{ehwiC};SQ|?A$F~#E1xs;saQKK{1C_seCCTU?ixUA^}&+tS`t{oJ|=10TH zxp}v2RN3TE`zKWGDg>XqV z!^+*Px(d24`|K@bxk~F>T^bkt4lE%*3A4f<5uG^=EE%(Fx#1;vLuM|R-HDqGfcVdy zX+-~Gf$(M75rqH!^Zs8sO`tSD%mxqI==Q7n6K0qK@1vLmMCiRqQ6t`ua*p!U2H_3R z?+c&n3mBA}-5MoV(7n%c;>?U{w6RX%?AvGdY=SrD3260scyV!W_15Rx?P2jpQBM48 z;}#Qd&Y_<^X?)I)`t8k$(f0&Rncg`2EGc$IDT_0I#RUozd6BZxt^-3^5%p6DD;Fc* zU=bi5II-P~fc8mvi7J+~xcU@@b&FK$uq}xXUZPOaV0sgXIff#GLq2j2*(Ro!qpnU7 zfp|SpzogEZTDD%C=MWQPJu@>cVbx@nVvGSwWyz-|db)mjr37wGwK1IRBUJk`=@04x z&us9uQSiT3&Fo;hds$>Wwb>&I@hu3wAtQWgvueJYKMVrXTd-U_NNOxt-BW;5SY+UI zb}1{oB!4YkWofL~EZ|#-TqZc5CbPg@RBcq}VjiWbO%oDV&|t9)dY;Q#g&Ov}fJ0`E z2E)9`KR6^?FejE*26SZnq$ZbP(R*on7~J7faH%4Nos_W(la_b|yT9@)Vle#PH=QvM zYFR`OKza*$Lo?zOR|H{OSv)WWR!-ZN@0M_7RaNG4N{FVaBiPGfTu^9+;YPG_GwFow zB9(>2sK37%#%9PA2|P5qBQ!CfB9P;d{2(t@c+)RB^)c)QCT_{mn%!|MI9X}DwSsVACdcy#E|htDgT_G!#j`l=r{JWNVZ(W=X; z{VnL|`i1YZqGN_cWX>V5#L&Nxn50NJ&n5!4B}Zj;Dq)u7V)lZh!f<=mp%;E_F=Jjt z=$r3{^bhTV;ym|Z+O>DgmUY3kL%Vrp>zLug7~hulFBUh^T0uT2VeZ2O7!eo%48#F} zaAz<27(kz6tMwo6s~?R2xxq+Vp3lX;j?aYu!}0lFnJ%qgh%5+pG_aY+_h>)y?={ej z2!k{!h8%=6xD*QXO*#s=Ezubw&)1t7YV>7Tw&b^|9nP6qhu<7mE)!&U{TnxUWE$5U z6KWnbh5BDQ{^hUOqbhY_If6diye6vALO5YsE(V;qMELX}6M1(ckvS9Pa@8KK&E?LE zr{*`H=aT4E;cU1q1-wfI$nc{IS2IdeOPd}v2UMj|xKASaztOA5%G;vCBLF&F5ISap zpmoyl7b@vYq2i%W{_0xKVi~$a{FN()8kL7N?}{g>lfNzPR7&#aP4g?{pvgm*gH}tv z`<6b5(#84cAhZ85xswYC+Y!W&gb~o%GHK<`devg2a%<&jQy|h#L(y&jIQnA>6Z~x7 zatr!&@oq<*)xSd9zz{WXX7HC!=(`XkK}LCTPd0f)DlC5v(C)okf)X3L&T|x$-p|mBxGyC ztC2LY^0ajy*pR=nwnR4ojvkj`hm^2P{3UKplhb$M#M6eJmjNAgJpq<8liD?9YgZF+ ziDIqf#AOotX*$xBR18$#=n~LN9|IRH7>`A5JUrV9>bX9DUPBY_Q#7RMvbRf(9kPxg zmLf`@K%2LQin4zYNalyR$VWFA*x`FU6NZ|A%?5+PvD9b!4G%$=ux6tEr@GSLCpwAs?qui@qqDS(>v|`S6<8 zf)W>cy|}#Y)kkUG_2R=%fGzP^zdW0KxUVy=Jsa&_sXMl=@&0V8e2f9TUR2%CU`Qc+ zS6BOnO3oL)&}2co!%-C}76sQyG%H7s$EcK%NU0YG-&lo4kDg?<{{1X9mjHT?Mk>qW zw@>7`tFCnh5tXyaYI}UB+#|A{WB0dk;LQw!6eUz?*a<~64UeH%b@lq@5oK<|6z$;e z6G`%EmwlJIcg|_;cXwfbV;08&T+P>aRK1#q?Rn`8?ZFt8s31$UU7s<`6num$ZGZJ z|8V5b??Jc76<}advEX0u;Qxvb{;#_R^!}dA)RuM_^>L~48~23gJBH?5i&9R}2Dqm| z|Lg@A5=)!i^2iE<2X>uC^3P)1&dHMr+?dTdyXHs#|7`ZkA3gPflo(|+X46Ymm;s9@qsTO?ay1z$92}v z=d;hd^MH#>wKeHgpDMac5?o#g^gJZ)I>@R-kgT{2+KtR}@yr8*wIl z>K{`%!!mn@G8{s13XmQ~}Z5`rus5)xQd%3O?c_csn{P0KbvM zJ$me`qv(5Q=4b3@7h1M;7W zgmL=THYb2e`qz!&Tq_HwSlQE)%N&IHQ$#ZPGMo;gwA$7guJOkcC3!i!!3zWu#BKKm zx@vI=vcQ+!+WCnRU2jRmKqs8(DF(aE@no4mdpnQClf_1U48kgazMxgJ_m-I68&3h^ z>yOM$52oFX?zp#Bz`B9=LvOj|#<7sTx?~QLqn*O2O?p4FZ@#t7h@NNux~)Ht{p9LI z^QL6;63f<&y5*XG)4Aq@?}}Yj#VU_~+phfH(OIhQ>Nv-*eG6R{?s{jsUgyQeo7h{T z_=clX-SMRRDG55`wbWaIt7=O zjQ-;w6U`I#ud^XYphC;+P+G)!+~f6f?cVtjP_YsDoYJYB8@(=8dnwh=1g=3aq zdGVt^lV!a3(VSU6MZqX8KdwE|8DGvs&RppRPMV%NC+3d^laBqG!pA41g>E(8y{4M^e@aEx z`nd?}x?545DyAuU-Q>FDP^{CSSMpxAv2|o!lC9WprA2*iuTAjqTYI8duXUiAu`RFZ zgqpUk0|_tY(iMpc;w>rH(4JS_0d1x5DXW*I#15PG8*ba#TZH?!EzQV!4sRTjq$ns8=v}qew04t ztcZP5;WW^W=jY1GW$j)Q=8YF*X>_hPN*K#U=2^CUgO$LVmxbBlDW$ImL9?!I)o{MU zuQ14)GY9y8zB+k~c2wC7;p^y=Nhq!^?(|I!#gy5Y+3?13-v$X**713I?d1=)$82wZ zn$pT;p=oQy8fkL|1)02c ze={PKJlypkEKs%)L7ID;9XVV}aAkWrRz7C<%ItD^w&;iOX7 z!oe4~K=bW+6}y_Z;^nRC{E2LHk;4b*a}?Xyn~m#-e2;w7L+g19@&ntF`ACmgy2Yh6 ziBXL4af(KC=fp-Z8DBsRLux=nQw=x*mamjn-`J=bt_+t^x7o_ZnuCY7to~yc4?#D zcw-c|zab0=mzABy4!&Ixk=l7nt&`-EoMjdNDAgBfx!!JLwr6gn29vQL{J~~wzI-&G z*?>5AdM-b*{p=qw87tnE89Nd)L7u$gnXCt)%w259#NWtqE?)>%#}uOU6Yt)xp^Qy^ zilufQizlDgIn;3lKGbwgM>5|p-=2r8=^WF})XWu}9Ci80G3pX3DBt2N1OwS>op>#83rSIaY{bxsRwHKi!D_bZ`xIm+x;IP>Wok>20D5!3Z`-b(++ zRXa}{3#P#Vi?-${lc`6ck~xM19@YY+zZKe-pvji*iWeI0Y@!7lB5Z@tN8wbNCXI(3 z4HOJcCo5kawkSWevD^W)1F#;foT-2lW79g0Hyq3APf7jUp~GUZzF8}`OE*|>oI+Un zkX_kmB(N+?x!$IX;2gIt_VUPKFs$8~XHYHBtUMCE%LOt zWCSDUBNCV*%v;qfT?Ikl{Hs&#VGSxGvF89$RHlKAzu-2JIe%cK!dm-dWZ4MLfMY{L z9@EFY;FLiXgIC6vVQ06-{?}gx^RPefR05w!jX$qRMP5nw3_O9*QWVIRO~#v%g^AT# zo)AX{(9s#g7A7L=91`SSgi^|Vmlg(l5Talm;B`;CvcW|1dzO%i$ee_BhX8b_wO&F} zD;G@^^2B6p^W#6#F^M!GXIrw~L#=wiA_r(NAiZHDeK$TKE8~LNlAI}>ZjsaAheAK% z#oBZ3oDukLzpo(9)m5*OB(x>&hd{19oh&i?%tS+~?GASdWNS#TNBU-QBv9qtRzhRW zj%k3!((8<1~xGY$9_5qd$TGSYTg0zY41bMsJ?RgeebJDBW9!6QaBK*%3Cc`KcfFkGjimB=jit#8lHsMc8q#sm>d=5z2$|m_b zbF@XP(h8~!*iD}K!P65tMy@8T+=;}#G)&fbF~Mj^RP1Qy0~zZkDm#=JW>E|7j}9>T zR$wSxx-xLo@ly3e*05KDjnE-4-K*>!T(PkB@ob}7`G0uq5&END`h}W5; z#_UWe`R1!9m|$TJcZ=1gQ>&6&;Frt4gvE-P z^BQ?&ci3yuRJL-@9pE5SO*U#j6T_B66o(o-MV%PxLHX+H2=U|_-7E8Punc0H!7#SX zTx?E`!pB!iMHM!1#>|%Y{V4n2g&}fs*uc z$kiJunk#9exNi+DX-gdf%*GVK@G0ksycc-H!R4G)jHK?Z2a;9Wt&$~f*6)D5u z6BbE?YNG3*&k!O&{xW&Gk*WODvG1yfiEFWrtiko4Rp)4yQc`+6u8f7}h3^=1fR3-`G65LA@r7lrz zxOWvGM)3O;QEhO$y+x+{A8LmVVc2?s>2<$SWT70>-QobmPi(T~ut&LrtFo1@ zEwrA8v4t1@kAphRFT;mmB7|8<=oUtsTHt|iFHRKOlsqf#R|hP*Ct@(pKGmImJnkx z7JpaySW1&ENd%{$f7&`kzxMjFwJ>j>i8HK=!zzZv-BSb=GF^~xwH2re180)oIu*&V ze*xqdD2tQN%gx+vs%yc)(83QGR+%BN(+s(()1UAsDQ3nIZ-&SYYk>CV`14#p5zLU4 zc{>i9Qe6#k+l?s}lLD-^P!Qot^@cRya@h-@g3U0hXh+?dO!MckzZ zM~5a!^I6S%8W@n5iC5!4$dHq&;S{ruRkj>NsP}kd0z#RZ>KPG*Si0N9Rzl#Uc)o|N zPL^2Hg40elpL;t}hzz0TM+$z!_g*2>D1;Xs23ZJ$#)2JO@NVeWz)uk^$RUcj*O$hq zf+zU%++D|`(!S!C$18ZgdYDC6cf;n`$hM}0I(rC&X_tDN^@DxYlip_Rkg#3d35S9dl)vjYa$CSN1@>#a_H%&VCn8TxDVtBI$hv% znKw`xA{8aAn%z#UgkI#2!ng?=kVpl`zXjf057(i@$Vddp2*D7nAJ8QD2qT5X$vgbP zRJu`O9c1(3j4GA*r&bK5s+I_tU@I;3B*XNaC$+L#6JimLhv3Bqb{cyHt zQ42SxPV5erFegWPZtV<>7=Acr#GWHQGd=wiaX2D~I?%taWg=T^R>2@_OF20l#Lx$+hQD zRZ=z@nvIXLMq9sP!YnimsBawtZ1h+hNjzk>(&A84JxSwhz>s)&o%8Fc`vZk0_3Z&w zcQ{U}8n82={xtuAZzv>YC^Sa>76!x#_)=0}<~fUpicsIlU@sj^g)Q0aOw)Sz0!3fP zjzzuub{;^Ye)so|eJ@96%S=vD0_z4QyR{?m(it5{m1MjoMXpfmEyF1b!xtV&b$@_R zhsuoCze1vQET>S;MdyU*1ELsOl0s$D8)rY8mNsbvY!gs!niaI9lb|NI9m}+`zF=>ZnO&euJ?@27p?UwE3whfddnVT8E*d2 zyst0w@XE0UmK|KssDr?tWTVvLj+n~4=>Pu!#Xvg0hZDf;wJEzACF2U#$%XxKFHD9?R@-;RkuXcQA%hCMkm?tW#V zs>nuWpT>O#$`;n;&X3F|e@dbU6)lFiWC7}`5RA*r#@?Ywu{qL&YLF~=(%hUDwi?W8 z);rvM8o4`R)0yaL!qV1Z3a7xmPQXDC$3Ce}=h#>*B<{cVvV@9N>g?cfH@PK=t_Dm-GVlYJKAO1fmV=Ss33qaW?P#76Ql3z%piIix8< zkYGe>Ss=RXZ!(QxkF}s8TU~b{W8fJq4zdc&t`ST^06t;&$pUo;h)omf6_$jew-F@m z449aU%T9+d=UjLRWa4IZLf}WBB{;L3yqpPGta971uBx~3(}@LYm8M__ds%iB+{g*l zO=M38_+aa9I#J#UH&A;LgxF*;Wt>=$rJr=`#4dzm1W1iB zC-TkafjiJVbGobOwhi2l4m&(_Mu(1`m^iH~@u%`=`93y<+a|XY6}hW^O=ZZOIZec zk_167M%1X}CO|ekDX=hFc zOm$0Sb`{tFvTRA6ik<9z2zDGe`0e-RYcBZ*m2Y@C_07FTUEN`8M0|tqRLlVJ(BLPUq;~?d2Ak8hGs;pEa#spRG zGy=YDAUjiIy#dtxHVJ04(RgyhxCXcID>FcXZzLDTW6^3P?JdVXE4{GZ**y^CmC!Ge z#Fm-LZmns3?e2L1DX^t1=}v`@$|_8o{%TfjEZ=Hl1pGp(^dS*ys(;@u=Kqkw# zm8A%K@C1zK(inKyj5?E?mIe6ALxb+9G6uKc?ae6x$Fl9EF*qMvi3Pz51X1#a$P;ia z1A*JkTEqk+A7O-r*l<<3!wi|Ez~&<6JPKpHITd*XPK-A8TI@``Q}~^Agw1b0%3>sx zaQbu5>t(o<-A0mmP_4AyYdZ%1WPN2G28xMw^@coFz*b5<*F-yF+KCnb^8 z$kI2;y4yNxwGjn2o;c53%ZMD^#xa$FFIqBzki^x1X@6iAq}@{HAX0FKN=Hm*&@xGHi% z)HXU{t^?3apmJVeg6&ilcLC*uQ#TS6MENBp7GUW#u_UxTbo8D#$O<@ut0$Ta2MgD1 zY>$P#EDgX22?Gu}7Sz5hI@ER(4~iG**IpgdE(W;F{8DrW@e2SxsQ#7t6kv`Sp+sjs zf)!dNd2g(R{RAQRf*I`SrC>Jodeylfb_;CUK^3z{!7M^@Si7Beohq26)C*>t%Q}Tq z!3JQtv@kshJk3ucks?t8%u4gx~1_ktOee22*ms+dhW0J6};T=z)_GVr~{ z0VGr~+f^{rZLvk+M=hwH+<2D7!W|A3F)Y-UO~ZRDSHaBO3uf_E!K@TF+g>n(TAf$H zj9hcx3T6=P<=_V2DVXurjcO_f58$a_X3Z3LXu~0dxP#tQFxw-fpn&V#c&=%^3TA29 zcBexc$R8N#b-^r>+f^`2yB;lT5sV4MCu~_@ra4%xu=Cx-GOt&`tX%~&u5@AF+0&~k zqk3pZ(^`KmOrs{{L&xSY#}Qlvz#$wVfMR;+pjJko390BcL6Xe-io$xp@s+ zVut}g=ft8f>ycql1nIcyEs;*aI3&)~0**lUQU$0o$L`^?$^vg~8)zRM7NsK~GW$VA zpNaj$R$dP?rJc-~PNR3xAY-6r!<*JF7`LvFfM)R=FD}L_C54|g13;P%Eo$D`LsI|= z*5Cp#9Q;*-J47BhyNe(vBQ!`c?sZyS$ot;4@(?u$3U) zW0mfaSDJmV7)-|$NWh(uwNy`cjLIA-h(lFZ$`}L@Y8zbH%Op?>Sju3WzLq9*bCK( zUHNDr$~WmFAbKAyn?9&iE&*4Z7Z3y-8=4GUm43~(4L-P3ou^8XWLL9-WmAIv>tWAR z+(0r42fS)a5Y-7qXJw^sXWpS7JnOluAe&T>rn9oPt1b{i8;Z9#TE;7YmVxdR0akac z1y*L@U8nIxS>9ZiLAGN{J6H}(O~lQjlCBNgW)A>1x$4f#)*Y_B=~Zu@H!GYCeex=V zl`xVOK}7I@iBOQFgXE=;Pz>)D2jpkT-Gq>2TwZ%ffvC~EwW^K)P%R5`A~f*qGjS1g zZI$Go z!eSta2dH3Qva0e}BaZkEDm7EGN$X#@yX4p7~| z^Lc*?RID{Z2T2JiTIbzumOTKOxQecr}tF}cbriYc2h@l>1;4)`n z<&$8sD%C~dvYn+#_#A68E)S|bvC@qov)!x?tlXSL(L66CrG@n*r7r-D^cDaLQZKFx zd@%8y_1LxFhj}~KS%vKBjFK;=V~@giTLs2JZW_x%=bH8;l=3IRt1b(BUQb7IVwbSh zNo!=Orb-C+0707}(jbph=n;UsWixpz-J)_kgiQKq;*GmewQx~2KeVt2j7kcYvyRO! z6=Of-3MN`x>cVz{G_QpWzi?L%5u;9M4dkNafqlNi?jBSv-&BmzE|CDnBT>k@s~Eux zB7Y~rUMOQ1Rb&6=;m}bG{3=Mr$Qoayd<#((?hR^E;*wK>_olO-ssa3@LVOW1x&)>d z{*_(@v_Vo&pt9?>HV?Q2u-$7|1X^OECvqiKa0yIoY{*)@i5Q1n((si>VaX{aN&R+8 z4GAmTfm^I5s}d>c_K>(&iPhL;KMfI7RkvspFJf4U_}QMk04pVi$ci2{yd+i!dS(H!!82Qf^bZj^`WMX3!;kz3 zKg;50ey9Z`s^hgFZZHui+zy*?dv_k=oa!N27!%$8L zF1Tl2Ab`&kvZ@pU%0pk*vd!961-LLGrbU=$Ch}I1wg6 zx**0ZXg=fANrSVaiDbIbsV2yMv4=;I0v?$6teR5nvJ9a8Nn(nz5E}VaaKl#iFg6H_ z&yI`A5#%JzsST{^Y_Y?X00y5{L&Ox&KHofL(I!`8sp7Rk@_a0v;TG@FQ>!oRA z%XW%ZRdp3dg9%1)G`?MWFo_yhma;Q&w7aNr3)j(Ku3o%_UnmFHM~X4T#6cWw+|I3u zqp@VGlc;f?Rl_P^4{@|RMVr}PzHe2jbVQhQge3xELE}0-%vz$Iqs{EiFs-B?wdJU) zA9J!xnCig_*KQF-%V)bZjg#-1#-VexHHTFk&8{LnEj>8ei>Pr@6N=p=8zcO5ie}vo z&jD6sUU-(Mv67%qW5v;w6}azG*p5d^4Y&`2B_ePaybGIi)RLdZ{G@3d;AlpW@Gfe6 zkE2P{2t^|@yryWoO6kN==LU%yedlP|C*BCV%@X+hT2^29!zJrD(s(GEIlDW;pKaUz>- zI!%?RvED?D=MvY8qCrnDO=Ies##x%iK~S*j@bD&ToZpBVjazl5RCEY?DC>4jBNQ!# zPSIe?z_OupG|*;Bm#C3IPei+OG=GU2cix>ST9qnQ=e;B#5qZ_QYF;gz;UwFNkLu9C z0>QS`5W(_GY3rxI_~kD?|2ahM+i(8#yWf2I?N@*InzAil{_Y=t|Je&Yi|^Aj^Pp$t zM$cNOX9iUIJ?NSHVf3tinx54gJtJoFmcN^xk#Aqqv;3N#tok_0Yla8wD z;km#Ejl@ah9#1}8VOA_bNY6NsGh>6NWMJ)P{Uq2xyd^%Xl9X2xAn=cnWY=lp*HrKk zX0}9fwQ4#YR+quCM#h|pLQD2@%>3m%@_rZLt^}~yr|Bg;fAOoI|MFLa=a*BmALH|n z@%hL2{9}CnH;m8UVOkI&-wIzFqfj?e1b_1IU3?8#4MA7LQ%gD(3!82(wt~D+mjc&%2*&B z1K6dSPR#h4lytHId(k&e5+H#RN4t_NQX^f7aY49Jl;phaX$E&|Zjh&XogY765L?wJWx4zoR;*rvx6*fx$S`5eTv5pUTtdXQvdUu7p=oez}yBkrSlyd3|)PEewo#_ zJ}t9(j?6#(n@{*RRJ>?+yrzI2VWx+Mt1nas&n zi#DzV0@eswgZQ*(QZ}HF8&F?N4v?MVWsJ0~j$j3eg$ffy)D=S1P|x%%@N*I~*VH?F zNMGXygW)-m4f?T|&biv%OYiI*KESm+f-V{_DNl6^MrL|J#zi)*L~3<3IPe%yBeC#_ zb53qnYQQA?Mmg;ej*TSN74p^TsaxwR?K-4eO=Ioc7AfBSTJvD8b1}pIc4A69%t}W> z)41Hu#pI@UH`7zMW4oE@)hIJPQ|2++!Lh{pxfqxx7V93GU z%RmP%MZ9AKg%>bXk(*vW!y*qA;8ZaOFbs@krhM*snfvj;QkM_QUB71wb=bV==qR+`dorx&}O-jr6j<0(5mfcZW*Rn#;WK z>3%3y&uJ$@8xSPhx%X%cR*<)eclo`78$&%N0|DK^&uqLchP9-fa10#CGCJ5)Y>;2j z(iSx*0=JqO0Gwk!*EYM2S4NJ6N{ZwGP<4D=v)I|7@6 z^d%q`AdM$9k)X@6e5rtd7EABfSzA8^<*Ax^Ak{;v0Dt5+Z{ty>91@Fn(fgIl&D6%E zhNPDY2=R!g)loJ`OrgHYz;G1!;X5+hT4!iH)%{fkB&uWkRsrd8LXDF|`lbp9Ai>AM z2x-5mfUpIDgRd$e+KQ@xl*Q~_K%9F8gh*c$=?8`SM+F2#CMP0=`t?&45cLXyeqSme zgZiiL6%d(bOgbmKS3pQa^-%#ylj{|bo%e<(klkZ%7yec3v zL%b>=_8}lHw+cwRS3t^D0Rb`uQydGW9J3SF*2&!@@6CEuKkmdkh z?^HlY{T8_1ZUW+5h&=~MK@||YY^s33!AhCVJ-n`ffFJAnQUL*ow3iA<`>+BM)TcrK z)xNqaAVJZ7>JuS3uV13P_caYElJ6?O@LpkVR0t8~C-P*wrf_Ln!0B z6_8EzTBkvfAL6T*D~_O*2oZ?=OmYuU@1oFl9X1wIfQa@QwRQ7e)Gypz(o@~xJ#$D# zZiDhIRX1Qfl3`gKJ{-->YK>wGkotGoCp9jPP2OwN-Od z2<&be&v@=5K#26Ep6MgALP!2Z81P^Tuxo0MaQ*o&e*Tl6eg26W^^Z{dN2vWH)cz4_ z|BFFwz(Q8pmp9+dlucYm+5gp?16$MOZfX$)l+>`U?R4y<`vcTg zP!5@oxiU0aU9)j&%X&0q3e~dBK@tHYn_4BJQEcCHsyZu-(#`iu0j z%a(@>=>hIxCxohw!2`)M3@1|%H+HK^vtN^!M?W9KmAR4qu&W9^n|Pd!-BnU>1eYk^ z@ypJ-*vXL(Q*l;q);ox!-ps7cc@CaMTsK!r^MX0-b$b=G9T+gE$t#$`fA*5ciyr5CbqJ^LzY1f2?J!qya3P;MU3#RGd(vdu2U zt{zG-#97{Tv$oO$Xc@#dJlw3y2F^-JauF6iKzhsCZo6x%CAOGb9a|>4GP7P5S+(>! zc9HzdS|Eiy`yi1-kynLm!d&m!uQIci@0$m%OucE}u(D*^dNu72WW%5r;Ws}`TiZxb zBs~98$le8yH{WCI-Q zJbNe4)l-;zkUN(^wP#(01ibT!fGTKA;H!{nnHVn6?2R4Q{c~mzD@okT(m1I`>+Lz{ zlst1CbzapQ1Yj8Pre0-7PNv4W+6*@XAVS8;>4FV;zTc$zk-|3B^euvqOf z$&^HP)-q`6t<@{23`FYqS(df@tlcTc3twb?aq#s(2zEGm&R97z$9_C6Era1T0J)p( z{p8c0d9<0l#pi0y0Z-&0nl!jF%+^68%)mdE5+RflTBI#4+qB;NtesQY1JqvSXKiHd zm*o{Avz@ZCTKI1)KmqhY*WDZRfh6#7Ib^#ewd6aF){?$dNa3wP|D}3u1~urD+SPar zEIMB~TGyMSb-h=w&B~vb*VStoTDzgZQuIh9syJeQ=f-fqH%IFz^RnPCM{Bs@)u6vT z9IdbZ{C!CBgVZ}yjcsI=5^ko=lHjIo3raDdNDz@4^sQXviL{zi0g9a1jkywmC(_*l zhrT&lJ6Y=zn(mI){BY~lYs-tPRi=I~)oXvRUPH^aVKZ80y+MBsr)wEJGTj}mW!ZZg z4LO10o9<{G+~6ypJQT4IXN<30L{rl1|?^TF39GUSIwB^Q;$cUpZQj0d#qr9$DT0 z!#T<{smK8B;b=Ya)}4$BwejQK(VD1NKXA0>vf{FaK@Ixs`Ch*6E@sb;)^g2!*U`E+ z==)m6ZfM=ML5|i{j@ID+NBO$6BV{qjlni@ceWF!Fm^;dPUlP`YB=YkB4kO9r+s zq8z)>7@R4WKnacAB{W_xp|K9@j^=F}hc1>}S1zIh<+ex&&2kV1I)EE1OLH_b#MMkF zD31h_#%$&xG_LDfhN5{0-ua?~q9>toUpu5|et(tF*iEkYC|p8g$fYDtnxEsWT1gtA z%w0lbjpd3vbii&v^2jQWCtsidq2>ih7{rWM&kYlSz~MZzC=lUKuBJLNDKvEk6l>i{ zXbi8Ow`ZZTQ)TD_p)vb7*^LK1>>v&~Gp+N|g~qMkg~seEFR%12G@ez(^7~C_T>T1x zU{^?Jyzmr;W572&^0Rnc0VA_R%mR|{thpsek@~I~aCYf(a z#&HRaqjUz^NS4h>ewD+GaWMWTdIm{k>&XSIgii{M>m@X<&q8AmQ34l~yU=);TG}%? zollqF8(~O7<9rE?^E`q(??U5ALgQ*yiEW|J&-`pCrW3S>(72Glj1t#FXzU+C=!*+Ugd~9-=?mA;HYj7PHuF@HwTU^iZfzB8#Bd6y}XUvuu zBs3=2!Vu;Dz4I?i;*_~$*?`}$gxF=qn!@lRGp-}wvD{_G#STXd51H})fy`J{(F!lV zWX77LC!^;EQjA3ZOJ*#0>H#VvnQ>!vUozu4W$^uz%$TT4+&iKh*~5TYa=$28E9V#w z?-N_U4BN7VR3$SuBX2@7l+3uw>2=UtfiiZPv3)Hw z_VB3hkQp~y`sATHn9E7cKgo=5qlZ?W^ztE5tC~DS8srz`;-yJH$qe=)YIm8j<~7!M zml^vk^Rl-x<5edfU1m%GE^_6~g9ZRK`L>s5nX!ktPA@WJFNbk6^~po&1DP>sWVk!2 zJ!Ho9J7vc5sTWT>Br}d9aeN&fqldc8nAi7KW?YJ#)S@O(xn*BvC&@m0BQqXuPHN`+ z$c(3VWyT;GKE}CsWyVf2}8}jb(wLMp|$tZ4lp08W#N-+hq28!OY3U)P17-W zf9u21dhd?bUZWtO_^mrySD2X>Pn)Z%Zz5*Hi=}mj0)c9Md+lgVROe+I?jt@Nt=rSs z*zRaO+%2tD$;{v7Xlm??X|bzW@+8j7F!p9 ztc~E{OJNL9B388!%H7f0-yE$Wr*g8MjE*>?lugcQDk@O~tbI9JYl3V6_3&lo+0nY( z9Ie~sXiXTFb#EtS!)0mBBT)72$KIj@H2p7b%&krS=<4WK3LS6CpGoC z7~t^H>>6lTjj(G11AB!yQh2K2Mp>kcO-D^N16Nhh*#nJ^Iv^De1|Xp z>FaO5esjhqFY?|avhh3%kWWew7@z5JdT&JXP4&2y2rqR>Wl<4g@=n~_K}VUe!kX3L z5?LKS$~`Wc+EM$smfjeoh7lbN?=s5GJ+wyKJ&?B)+p3XU>Vf1*oU>h(a|=8kES}Yl zFGXQfOi{x~ndD8aF@v{;5uaC(g1s6>O0)2{;TlZPw!Ld93;>YCATL!FC7WFdnc2Cl z_C<9Xj9oU-%$jcvBe6G(4E>1Bl&fO|K;0WgNF%lNBdWLKkoR>O$DH;hd16jyq~hz2`@ zwFc*V$H=Y&Hlt#$Z5n_Lr`4{8`0o;pTKyc$MG&nN$Jtg@}o5K4m7fcf3|-Y`;EHH>Hw?@3e_ zNFN>@BQf^lA)bjsa~D#0>8X0dNYnvaE4;$}>^cf_Zy3>mr&>_cqhmyM-uZRINK&&- z*fm7f-ll=K19)-PP25C%{Aw7{IGlUONP9V8lazuNNfP41@3CAhOnKys*35!yIzCgn z7{|SgL*mjG32|Wa?P?g&+3u!;M*YhZ>iP-WIc*wM=i`#pFtV^FCV8hoVW&Klqvc(7 zeYJAr8LXliF*a$+8;o*emn>UZ%;LGeec=X1-rT79N`wKJyHYGnYSAPToj7Y6y0U_1 zd2{>SyF8O0h^>S&0>3mEGw)Gf(ixq~p=6MxHcKLyIv6)$3r))4p_1cy97}(o(4gHJ zc!MGd5R4>9^a9EpI(ct#B6MUsu#qNpj0Ax>(o@l3h;!keP3eAXy9NoBd!YW=Pk#Ax zfHr~p%isOxAAbK0ar!r3{^5^b6RvNedi;0V&i8T$KmFA&fBv&i)O7w`UjC2Wh(C5C z{@9K9|F~|%B@2g2z~E#o5_{cd;P#`$xYFhs)xNW8x)qqm4xM%OD)ASX)*P*?=88G@ zP1CE_q~pxE%Y=N8WGBvUB?0J+xk1vFC30zgjJu2O(Amen!%~ZiiF%=3yaVH6aHKKKf%;<<|uA0gT)bqf#6_&9Ns=Tanq-7x+qDhzD zfVWTiS`l}W-*SosyODV3lU+uck;Gp1WH0Uk>;tk@5izM~Bmc7ER;yENo$ZsuI@Zpas2V<9f}8 zFyL-tIzoEuMH>y@f{1w|hj)#0&cXTmkkUc;h?+oSO<$R#*8NRNw;EyCfwuz#^Q=l9 z!Foc@QhX`Z1V?BPg9q&K4t={SHS0r3CuLk*?4WV8ve53+Z-5iL7gcIt!@M#LzbVHG zY&m>_UIrZ>2X^e*i#4F(q=`%w^d_Ba1k*@rkWQ10xM3~bi0)|u&a`$loua^|#cVah zK57~%QMe5EYK>;#%+kj-#~v&@DJ^Yn)(D(UYC08bJnPnVH62N4-{iq8nG3O!%;470 zC+bPycFE}=>yk$;yj8c)NghS3^zsDo)t-?3v-J@;$y*g_GAP26-n`ELweZeK)3@Dp zEVDlX4415bOkyA!uNHY+tVz2=yhts^t&t_G!DL*GDyoe%q7sC}l|f?9Z3S6Qo5cRk z@k^|sxSTo{V)@F3SxQ^y!LTi!!I)uAGOe9TFVjd~QAUypam4g8jU!S(LOqatHDbst z^Bq>qqG6ZvPmLUz&5!^l0B<12$cxi}MQ7aMcbkq{cAml-2-U0Rt6U`-z_{eXek-FR zy}%=gN`uNguzq8@Aj#KLC&6IhN)(iq5QskQVVSZfUh?3{;}eh4kUq+(`43>GqYZB4 z@q(_{$f|7tgo)sLj0%(sYmjwI?$E3u&ATR=I~nu1xR8vpT%%UAxiSQ&GuHqT;m2kl zj-VmmMk~NLo5@O-m~MWq(M(ozX3zc5A&m~^hL<9Z_L-H_mw>V3Q|B}V)o8L)q>ys3 zMD2Uc$clCgd7P?WdyQt`wet7jG3{oT-OE8#PFlQIjiziTp;ThJrfD}jQ3R~lfG&42 z-Eb9YcA zf{HYvdc8N7ZmwHyn!xxx?I!C)RfX1VTQ(3<2AP#>+6`P$({5&ICH%@= zTjz=OeIRJB(Fmm-{9`92?8CIr?jTal%Qfvr13}9=#^-4_YOeUOM&oWZ8WPMgbT1gG z>0XL7sZYD%tw>Dg9yJzHN`+8J^V6+h`1bDjB}1y&Y$dGnYT84u z(F`imKoh$c3^`9lnw@Xag@6k7KJ5myp&HHlaWUP{r`@E3$axiM+OkV`zY?;#7tE2S zcKB1%ZhY3X8@(oJdL^dIH!)ote0Rk(?JydJrjkVIR?3MB03oS3sRK;E@^;7!e5k+k zuAlz$mp}jM&pxH4`>{mxV~OU+63ve#nt!blO`fD>;KOfIM?q!spOxHU$;Z5tYOblH zjn(2e!@Wtka;z^?N0SJ_W9q0YRZ~X?jUA1>SYZ2Lj>ptd5L$>pOdod5C$&ohqD7vh zPIy+ic&a7?;3co9L82~DMx(RaD8#OrepQoU(?OWh)X{L8I+{yway@mhck0ZBuh)om z+gYHwjUDx>=jRCZt>J7sE>Pf9Vqj|*_n11$OW%s7j&c>6I;yi{I4PL5_OYXIBZbIW z=L*q{hvtZ%O;bmsce8M2mQrPEFH=Y1rerlY54W+S;`_^3oeL*B&&;O@^F_O^siS6W z=VANQ(N$AN+`I%-FDyF2Vqb-kMB#AtsS5lAq*HcH!tEr=lpO3+w zu6Qkf=+>(F%rcbZ=gH1*#*VURcDwN6>IY*-^B|4f)7(*w9nF30sChMZ)L&yq!@FZg z&t*tTE|8w{nmZ~>)sn=#lHZAX(3eeeZ(}rel$84zJGw8sJcX{Yqr+qFXz6oDbLwM9 z-;l0wwJ`a|*wOhhc2v!}ejlFZj_y*(`95}ZvE<<6ZpHb|*wJ{69p!e}N3IQh?x^ho zIdkj>7xWlAnr5)G#*X^i*irLp>}Yx#J392aqb)U#5!8yZVwHnmv}Kd8R=tfKts}SeJa#mhK6bRcGj?>CWGQ~1J4y(9Qp@$Rqa{Nr zI}v+t>}b7>9hDtDV6o2~y{qN;hNrQkDy)Wsg)Oxl$?xsh(Jq!7q?T)sxucJ^rgn`T z1$bOzN8@em=&mXCMO{s#-IuYW!@lppnLc-vyU0oFwX5YmHFk7ebH0xqCFp3d?D~d@ zU2RQ3LUkqbw6CtFg$%BC3>HrJbqY$w;^tq$!ZSH7LM{sjs=~rV%!C<$sqwynSM~6Ol^hGVV zk>WMoK28K?C0vNuYg5kL$Bx#Mtt*+c#*T(bcj(Fr^)7Y(m1SamYhJqXuK@pw z@UN95V!_3}bp91B(P$Q^IK{tK@h@{Ts8Bao8y+J@XA5NM{Hwgjzs!w)%}@NR_}2MX zaKoZ0+YSEZC4GJ7U+u=f@(ce8%Od{O)X6bf_!ld+sx?TS8dhZ!_?IE95&l5ZGplk80yux6btFK5}-UQ>(0O8jeixj zTD8d(IMtwf|E$JJ0-v$9*rgj(cvUgB(*{4mq0)nYnTvlJmsHyq|JudBhNr%zy4M^3VwXngZ?4K0v|xjONoe(kf7KDV zA)-4tNh_u_op=7FGri5KYG$U{PGDVD!pf^tTLR=mZBgf@wk63N_%89UK{cE^{|fLg z12lvtAO1cTL0xm5fB9$rRUiDT!N1BN0w(@tV3E1$n0~(amm|uuBE&A^Vac5uI3WI| z<84?wQD5c&oqx3^tJQ z59?OhT*b;+t%=MWrt@C*QuKc! zV1sioFzBDoj77lY5tE#?6V#2J{xl3Bcb^jz%EIciulbkUPcp0W#=qJV|ME}#E8h8+ zT9rTKUu}SZoy1@v&35NsqwET1`K5aSmfD9Qu#qGLns=ifYOhLi=U+TIQ`q4ICnYra zG{53yJQH@yulm>gYrptc>->v9<^kUM*KP(8um}G#vnDC%L>4Xq{;&3U8ila0=ElEZ zKkzT!nPTi_OCBIoJ~=O>zqMP*3(QT}A>66twI}h$2mcDs{7VN+Z155WLjBqK7fjSm zz1c`MK20ZYEK&6_)uQPH9$*h7y7-qS!;l1KV(e}XW60rt)=pAtZ7YPdjk%8-bhBKM z%)ZMj7k~*H+KKaSlxfT=zwue}J;64w#(gK!E95Q$Hjc%l8v%o2Nb|tDYa{=XRj&$R zQ=f|<6gHollB8niuv=A_c5*SiM-~|HoE!gAtwXd=cM236Bb&mlOUaNd;i8!Y}-df2p0{^NuG8PBb#BI)c>>(^4f& zWtWY&vlyM|=mglA2mfLdGVtpPi+{0p)hnv5P-0`}Ur`F5i+?qM(aQuH%(iz05g3;9u>d{7bQzH~hY>1Xu}5Qu8%abj|QDvtETT)0>q@ zeb5>4FK{uddX}w(_*ZCq?^Y83%Fvw&{w3?W8~<`2<6pzaY$d{n{A;&UlP3K&c96OU)5^bi}+VI;I?Vt%|gJE2-xRe{Ng8{sDu5eb^lT8 z{-f6YN3Hw6fz}-WpNfpjTGu1`OOL39)8&Xn=ce&*8aN=i-Ku)e`bLgO6lV=)ZVVdr ztVfiagye|83SN`shyZ8h7N8nn+_9slyzK1CQxQN0il!f84FP@44o+x83vzSeJwRBXxhzCSJeS&Hc+n&7Bp6U&m7l@NmGJHBv`h2O1xt(WOjCaC~rcqrL;z2u1QLpfqPWEa`|*5!!9D?Or>vP)uUgovw)D$6*CX{`wACV)%A%SlGMUFT;oc)%#5D8w%5i40w6Y4fUN{$H7A)B#Q z4xMZhMp$ZrV6tisWLXl-jO4~jdXgg+$q{!=7PGZ}AV-Xs9FeF3hatlb+z~)hE-X^` z-KNxaYDTaYHRGr9B}arB-sFg)X0gi=0o&wJO4 z(RnL7oZ#I1M#N9LO8oEwkkT0Q(!(l)hINDaZ!XPXwy0u|qjI8VIR)qsqH-NcLwFJd706Mvn|BbA#CtU$57IR_YLF(>Q5yG@EjZ=Mv1 z<*rD~QX~$9m`#S5zI8380#QE|)VUWC%be#cMdJEOkyumKSxl+}scD6-5yM?sjfT^~ zA+lUm8=s}Ns;K4t$0*JxcvR<`HF~@n=pYS0skFibVgcNEDElfO>eAB;IW!?utaUzNQd8S%&o( zNp4xpLQN`f6p2HxqueBkli@$RxfF@}HJwh1MEgdO7(Y-X4sRui&x*wOQV5d@F~3nH z`WHpw@Q@^)i#*2iO_8|2C=yF}RwU*(io{+BYl9?-?Iua&a(p7L!L-SZE07v!OyYVd z5+@kE(|)l}ibTKd^T4*xs~sU?;X%ZB>%9<`E=A(Pb^8}ZqB8PZMdI)*No+UUh$=;* zf7nJWmm+a|QY0?jHKHn3_(T*LCjapFvlkKTio|*t+506Tlr-rwqP5U~l*$?4w(RGw zNSvP)iNE^U&wu`_U#OSujiujj|LL>wo3Fn5#~1R&G9Xmu?mfY3-bh+=3VfTqTWSH{?1L&{4lo65;G@;EZ`BCKzb@vbN|1NtCNxl`tb-P1rJk3Yb-BE9)%6yX4lBZiB*yM*}C{zd2CUgfDZ3@R7rWRZYp`I#QOg!Lf&j@tHorra$4?T{kz`~WteQu=BGE6aob9?G z5%@hC2@-wh)5|7fdlvfv{FSR(FE(l)iOnzWfM7&T05A(+M2bY-qDm*#5(^uD%2tSD zah4Zzn9U0VOiS#FM4+RH`m*niG@>PT#9gE2SAH+<%O-O!NNMR#e^5+Gq=*lshpq1$ zmBqHaEk}hEovg$m%I(6;s~n%=qO%Rsmyl8HcFB9>ZAb$kaIs0WMNCpz%oLr<+j%YX za+;wR#$uB&k%!Sh@=<3Bs?~U+Px7bqimKs)+tii49$vb{Y1R~Az<;r-3u`Q2v~s0j zrIVE}RpJ6tg)puV2WUe5?i#&4(wJF z%VthSn#2k-)|a>~Ts1KtCdkK4lepDEg)H^1gkBSqCJ~ei-ddF@HFkyM=3gEplBW(_F8JWu))oQUfyhT-H|b>`FGSzaQVU#KE%X)J_zKR&`|Y7mmo22 zNlO>_!D|@=L?m@11x~lkIU{9#?IVNomXMpZPVH2qp@=UyPvc38 z=FDt*c(+>E0O;di;5{qH^h`to+gg(B<=iI<)}Cr%s?Dnw1`dgLhjp;xP(G-IJt$bQ zcAIQo!>txp7uUkA78W)o`fZi5y4AugkMh`)8r#6Ddv=GK*3zX#yw$><9o7K? zK&2Z68*W`;=l9hjYShuQ7EuoCgml$pqr_)cHmKx;APNZu)0m_12YJ-Pnq;NTf+hc`7S;zf4jVz{PQw1?mw)p&U;O0rwFr>g{0hsU6I`Z3jz#y>>9EFuzwjSbS;+YaeX~gK31`4rV^p4(9zwg#qe?y$YIgYBors(sK7macZN z`nny=sE{Z}=Bpiy00{km)eg42ZU^)CcCh$;+rdaoq^s|02Xmij2UBa!{%5pG&o4kimOwS$qyr*yT0%|ECetp1>Ou=Ks#!Tk4W2V1Xpu=0J|!Seg< zV0Fn)?O-Z{eewA(fAJv$`|5Xp{|{e&{hEN;J*nv?Zz9hG2!VIe7tpfOD{(iMT0?4v zcS|;>i0u%qn8$FPp?* zh^S&_D=1b*9ZvRAtX>dCnZ?Y&=j!9tS>eee9Ka}N*ComUuxQ9^y`+?4W}3(nq$#Tq zp7_kn+$^oh)hK4i!g@U?9-M}(&aASuvYO9*4w`ZWv|-b4-RyDJ0H#$PQP7Pfm&D2% zRWXads6(e_Iz696%?PJbn35?c09(?O+gar?AqH?N;cZ%jktPkWzDpA6j!{E7Ma?Q4 z*llG@tV2;g<a!s4Ql-tFOcI!2{b>%0$29+T={qaU1fk>nRM1h0A1GLMonF%#bE3Zh$;8jCr=-*e9{#0h@0 zkSH{ov5&d(V4tlP(KC6`o5uHG!Ml*Or)C)tIY9 z%+jRZ90r+v@ThIooGXyID!ZGM_}nF+(h+sp#jMZeyeed-5Z~`ZuC7_eEI&=TiZ4^H zg6v4|L$2a&$d!K{a+QdHVtIaI-B(sx4x=BAE%!NBwsAY8=($(P>NVyn-v%0MCbx=Y z%c?O~YUxlX6I4W$ER33aT8aw2X)|^roQ-2HBOw%K)HM63FS4#pl;x?fo2F83Yv`k{ zVgaoIE1Ml;db%F_wloM5VDRuNC#&v5kWQi`nfx9FTVzkgjxicZ<=MOE)<>hSpz|RX zO*QT#thPQ$ugx0QE+2q}kOdP+u`|StC=}yacP@2xEc7#a3rCwzR`d|qVDqY^0lGE? zCVtE+w5V98{)}Ycg_=FA5{Ig5DHcr>yPAwi?9T3>INNC^ zHtzE&^@ECOCHC>};K2bB=NI+^_!hdznp=4T#2{F#Uo+cs*Fjb3zLizyj}Q{e`)5B% z6Di3eQEm_>^OH1-lELOQ*SnVoOS!e>(kvF3IBTCg#*KiXs>Zp3QV4Whv|k4^jyKKX zWufTf8a4a3Zon(Cx8<%`l%jdNlQ+TQ+9iv%oN*tfE$u$l;)JgV`diiVex6EPPEE4` zbf#AL1NP3H!zsq+pp)fcpPYmanixKou*^KjGJenoiNmD@Tte2Z2E6D7sAk>!NjWiSz2jTz8EKA19sD8C|dhj76+dKpj9KOT~7s zCn3-`?@2I+*Aq^>(*8lJ&YfdBmUsf8%f5m+BYVrN1W^J3Xr;=gCmS9Qcu3eH4*bC; zJS=sR`jx>$O#_M}AU^`Yb_ZVxC6AhWwLK3g23o0l*5_V8y zp19kRB`>)t7(1layiqBxo0=HlX}Mks#@z%WBpaN^VI`#)E-Me4p9Wu59cA4IUxDs# zbFW?$i~=Y1)!eJ$Q9gqdSb){;i3mx*5%yIBin9s)$p)V(o3$~ue!#I_J9jI0?zX<-ZW>T5HvR0W_)kl2iz{hJo#ZshCl zUZ~qIfA!N}{o(`a_T_Ir+rIkpMZdVWkXN3ElmV)NfpAwbHIT7kgLbo8Im|~DT%-m! zM=C70nLRD^Y}AE3klk6wNmUp#I>jXc)_F9cu7I(1;+-vxr9B;!?2bX5v0D}p|5uHN zTOnX=m=dHJiaL$3s>N#5Z0eJRdwWSBo>{Ri`BIP-Nx2{m8`HG%?we);>O?sXV!6a` zb-P04R21tN9%`^1rZF+WKG;RZ=bNfAKa0TWLpNarhmqbh%TpEVqIJ#&(ELezNSW-ohs4bkO0{jRa9 zWa6M>Lhuiu@EkSFn&1luQtQt+MNI&-uBwG_*6DWyfkZo>C51YwnYWBqdI>-ioSl#l z)q&G2E8jO}^0m@w6|6>s zKDSl+yZHZs#_<3(0=3;rVn7!nOLawNCmzV2_=B>8j)oJwFC|^pwDJ=sMr_o1vHAiv zSs2PyG+=}5x=Iq5Hrq}hFVyA~W7q&iVIE}XUf5A`@?Z_Y`R&~ChNgg%gVTD*w;j|| zjP1Q(ZBZOBBbb<1kMoDzmD% zvh#%OB}`Ns6WPHpGFGvjb6HiySSK04kQ?AGRfox*sQTodfm#CsvAPu ziSMcC)J9V(&1g(-*&2#H%jGwOFRsyOj&PTgzkOk5b? zezH~BbxV7ZY$ zQ|ql^8ZSH@JIkLJK3RoE-x3M8wlXZ^DP%PhoOX~G%Sx6VeX#g7U0Bmh?7H5?iQH_; zXHrG_gs$6P&-T~Z41B7UeV#-a^_ga|eboKb^1@zC&!w_e21C2iIZoUhP_oT~Ce@OG zD`5UnD=X0LeGvteY0}el;q|3QYz2v_$Z++D4G@(e;iH^8;P?}Z-AJT3skpzgMAXQ5 zi4=X?joPT-I-8C#`F>T(T3R)V-At>__+;p0pZdeDQW=mwZ_@j!1xO9hfB_9zH!tmB zdJ2T}a}rAcq}j1#$rQugu0Bq%?QT~u>Lhk}>da31#odX3kT2FwuLiY;X-sR$i_u_T zY40k*xvI8M)ds%D6Wr`B$9n!5Yj8ZmwuckUk|oqW26Y1L^8qo3g_lWZ7hxBZsj~4{ z6n&3Lp^6>C#o5wi!K1ztqF<{TzpA1RdwI(h{&aqGCiMQa~2%mOaQArVd)br#Q7Q4bZnfW;*53plQ3NtnF|jjyB@ z-bkNsLM%M$(9|KJcdx;C5;6+3Ql|V*jP#RW}w*nB6O${uXXYR~enwO}VzzDGzR5^4SPd-cN%&Hs} z&Zb2M%<&DsO8iQcO7L6MJFd<;Wn?~qFKbrJ?xI;#|;+I ztofN{Wy@Y@&@B7ZCpJ9I7Y@)Y`|EJgtkUO`u-I1u1T<>|4y)Y}nhwq4`k`45k)l)s zFDgY=fYpgwE4#*R->vHxkZBCJ5}CQvto&ry0osydNAc1}pK24i96L(fr%GN+z8hiQ zXjbSVMKhhH&qMU=(;aHJFLgNF0!mC!JA%SprMStY>7rR)ecPR8f%Zsr^SdHNwx;cl z9ji`Yc$aFK&{T(z_i&ZSN+uxpMltq^DBHmjT=!#}y7*cK`))WOi=tWkZNBiE5}6JU z!pFx8@3-;7l0u9hSl1h!PQ6hn0)r9f zP2+`22W>)DlMaga!5nc}mf32Uhot&1G^+sFmjHf+W@+?CA1|ya?_0@voYJ8p$&F$;#w^4V-B(PD2mKv>l3z&+V zA2O||=@1&6^1`$vVD;aVX_<>@K?}mgv~Zyfqu{ z&a{ZpFs)Ty`9?xqm{#jdi`+nRx|mkHF)greQ9rquR&(~xW?DKz=64=UtKFFvyCq*< zhy+M6E!DPw-6uIVi)l?_S|R#7)AGSOF)hNQ(lRKce8{xi(kJ+qi)posX?0aYX=dl! zCBt7sXIl1RTI-EznFrHaqL^0AWO9FFTI=^ZV5CdAIPc&s<3RbQA^>`cqN z%e2%eyTY_IS=bUWJJa$P)AAap!t*MS6qdvE0n>^PrseC6X~n9~h5ET##I(YsKEylI zn#Ht$rYbdbXIJN{PG6pwmRzwv#k7K$R*~_?rKI4E%TsS{zkRn8fiE#suk~43r57%8^_jOpQsi= zQ-iagsMZ=ux238%4yNUu=~OF}@=UcfbdDs&9=%bm_KIq?JJlLRwG#OK71h$Ht!!{!zys6@ifV~Rb*k0w zR4Z<9yrFdu2p64-Z^m6ztEd6(qFO+oJawuCE0ra}MYVccYLom6s^!~|-=kXXnQCb^ z(rc>K<}L&f)v9Gn<;+7VNmOfnMYWQs*7QQPwvSS+TS04>pQx7ntN{%-s@2tqwtYyo z!iQ8#lbW8)5id^;0!XmWR7*ShPPLk-R(wOX2As6<4OLW2L(b0nM74yL-l1CNV^nLX7u8zw8ZN4(wnsCOa>9O7BNq7&v}dYi z*cRIXTCp=c0Dd=I4PiH`HNQi(+V`Pa!}LDYvhPr>a#5`=@rbem?3Ns>sMb*5P_6K1 zQ7y9O0se<-N$v8AYLPoiS0lcrTH8BR%MA-Lu~V(~ajIp%gKCZ4GU+|4)ovB7^y0~p zM70V(wYDxse5P7My-_Vbz$?|%2?XJ39eq}g_n$6cH_Z{7CdAx`vpBL9HDS+-5;#L}fZo8*cCxW&Q*erE|A zfWJ@T#3(Nqxw|Sk8M(KdKspet#EGj;{)nw`WWopNf-MWsjf0TJPApY1F{}5oNt-yy zLq|3dX;*X!iVAW|oB^}_yuF63b}`VdG-zblu1z$nb++x!W!Xz8K402Ion`S)%{#km z6a6b~VpP}I?1%TYiT1Kg6i_6yKeUO34BWMeJ0Rsrn>Y_QZDN_m^FwVSzm~s;HgT%M zU7MJs6)H*6z`Hh4{2+Dfj-Uo56?s~HXcM&)bRcMVK6$vbiS4a65$-B&qPc4mYu6@b zS$EvDiRv{g%^hQKyeVlDtF(y_Gm=md7~f|#=u4ZZ1_Ai*MhY0)U7HB9yoQKLn^@uG z2Ap_l6XmPC&hms*+gLC!+C(TfiQ%kI+QiGm-Y@DWQcL2TC427QYSd_yVQ*cVC>IuB z*DGz}l;xxHtW6B(R=PIP4mWLLdPkeMzSSni84?OM=b~S06T8{%i#G9GPy3`zG?zAU zlTPrJHqkt36Rnd=(<^PF36Q^sG(1TiNHf zrz8UpX%ksaAas(|lQxlj-&<9>HnG~vHc{Aa5jY?iO%H7%;dZYwxFgVIl^&n8iFP1C zuSeJx6{@98G(KxMH&Fgu7d4u7ZK9o0yJ-`_BD*B}-btItmx`DVZ?uU-e>bnks+KL5 z-Y&{^*Cz63%T1dY6|B4(N2EuPVWT=wWb0&BRlZ2cI;ArONDDag$w-dWU_Yw{){Vf( zO)=k-J$OYR9vNP1K9Z!hFtIR7dT%ihnnws0ii7TW>vx^3OM;9luR3%PqH*uo( z3)I-ik-hk5aiV0aJZBNaSi3mUvx)=n-FfGwOmtEvZnEEU#2Xl&4Dl~zV!kO8b5|zLa^9Foey6`F6G5RH zNfUJHK$cyZ$mjYnIL#%$DHDBHCI(&n^o}xddQv8;^=Fi=;>?RXmqFvsc{fq2NFC=- z-78=1i~JiPvKF;+-K2@j`6NwLLE@4oYPff{<&8AakJo|9B~7e%X`(ra`0S2V(!?Sc z6Xz0OweetwSJFgzD@uZ?){{$`2zvv0zAH`SwafYI)Iq|)`tWLX>XyuW&?QX_?3p5I zqUuGGCT6mcq>26^O`Okend#L;8HYp7WtTM3^kY>?6YDE!qVH0oOPc8T@=KbimO7VZ zBD?yHJ*6V7S{}PJF?MO9oIOk=+3#+*S6kV8(nK2odpqzeXv)xYTN$tmFu_8$S6kUl znn-l1U7FY)(!?Z4zJP678>iu2X<~QwFzP{;G_l^KiEVmmE6ZA&>%-)J=uFOm#rF55 ziDDt1@Jon#k|z2tO{`JUM0sxvYAefETiNs-(!};8O)Rg8-0(`8$db5A6Em4s(!?w* z)`^@Pw7dp~Z1-``dmr~KQ?B7IO;j-89uh>ZUeZMWipYIRn#jkzF;{#gO*C_tCi-`! ziLpx))epL415Dy>UrQ6q_m(E!$31gXeDWnt^fFgmSUTyoG|@|%SRT?u-=&E}#20BI zpzX=!{*%%~{|RZLGuoQnwcxchF}Q~`ae9^}a+{JSp5>Tdc`FWmq1Br-kq_S_O>FmZ z&n&oA(nKD>@s!3K}i)SA;fempmnKYBF1e_`enfLRX|huY3>Cy@C>|OJ22|Fj(83^ znJ@ni#qM_tX!)ZBw6zz|fJ!Q$$$sI77SLqX{Zc@yuM23c7ts8x0vfDoeJY@}GUiVd z(0sf}6@ABDU8?x$0@_=tqAtY|5$ZPDE>)~=rHb;xxl0x6I6-LQwx}F5_{~&}qq_=d zyQGT!?Di_4wGmDssbaeq(8^Un15mtMK=VKrsa{?RXzh|JI@1eizEiOErGVC)e-zN_ zqkv`~Qbmy4@@@gGNS3w}zudimmbz4th0>*pGSFNkXzWr&mTg;YiMImUO{yqU?>7ZB z@?*M7726xBVxQROVSeux&{h@D+Die=XjxnZG>Eo;NEOv@Dgsu+>jGM?JNsZemP@Mm zD4<211*MT#c`Kluy?{2|3TTAztAGYysUO*ea4L0zx%g#+Y}civGO< zS~rOIKUAt%9|g2_70}{UKs($`s>ph}NflcmQ+KJN=>;_Zj#N@d#B2}!q>A+}RlFC_l5Lk%aS|eKU8=Y|OBIa@XrGiSj`spu(XrZ9K(kK;H2+$v7(XRd zycN*edj&MN2JMl$B|^H=)Uv_%KcZFiAJ;0DTLCRx1+@C{0-AdEKT$xd&jqynD4UTzTJ8lj&;T2}Jql=DtGI`I0j)i>iks=hoRilDxjJ5S*s}7Lz8uEb%s5^4(dTZrBy7NMX3UsUr*h7xwMMr-2xi#%fBk1 zmEJS>&?kL1aX|cD;V)2Msh`)p8*D4=FjwEZ|W;E0QXf z7pda9K*Rc#ICy$GRX^)eMI)&qUoG#`67Ti1dY3AWcc~%}^>~shR!J3gPy`b6ZFxu) zJ()PNx-O}reU>Ud*U$K2viw7;xXS*aOBK^AsbYJUD&~*W&-~l^*(<4{>Sv^#t9};C zJN2`u`k5wfogYXQKdhe_HFHbKfCVOt<=(q>B0K2(w|j>Syu8`dODM?vMJ} zdas`))z9)%{Y-gh+jMj?zSPft`iozF@vC1#y}teCPrv)km*0N%cdtoU`tl#Y{=a_z z_rHIr7N-n!Ch}>vv)eT1Bgx-h793W)NRLai4lK`*ZLN{EJHKLBeA4f)yX;Jc#99a1 z_(}fOa=bGdf(!cbeZsQ_ua@YiI8yj%mZ>!a6zi?^*BE90#18 z^sDYZSFFf^v4EzR;9+gPO+8#*&Wfx2?ZY{!6Lp73$|y?4T*QRUN&>_WLSJR(S`>2C<4;HAsD7IET;Zy_T(}j~p@CH%_k&FCp@gaKYttmLo5wvn z$KUJ~<#8X&<#DfN(mn3^r`!Y&71FuTL0&U(_}jE+QgWXy7Jyf>Dk5dbtqg1~dqwlg zMBGOvr9e<3 zv-D}t?ee&fVS%D$4FT!iijQf}#j$U?r-`OLJ9GEAXK8VZg9n+Otf%_oabNEq_x0g% zuW8Swj}>$KG|8T{IL&std)yDbM%LDwy&`GGdg-imm`qX(Wi9dtbKBzM!{gp2y9U_3 zfmfwPmI8z39^O3e;o+CXa(^55ynwQg8fx---p?lY zncJ5~UU%>+>t1dIZIYFo1sUj(&!n=Oy&_3-4QUI|T^WL&^4vb%~q&p6b(S?mWkjfo@tq(TT@W) zZ(@sVCM>Et)S@wK1+cIZ3a6L|y4yxRP|1pC97{UK7B6zlC z*tSgEP9mThAt#?)bNS>p!>*N(waFWDUNm7_1Imtg=rjyEY)(xnQJr*Xi{sfyxOhz| z@!cp_vj!vsh8k7lFaC6)3SOQFl#<~rl3zbhDIq>xQ%dBCWugv-Ry$9x z&(vz`Q%Yb#8gUMaS)+t$L?FJs@chl{bX>4$2NQ(YKDMS&ZStNSF}Oaf zq}NXFZn<(VlYNudjNf*RD$%^Q$@?J113x@guXG6R+WV-IA$R98DcoF zD)BPP%>#f%1>c$;qe|9mREf?qu2CiXxXPfepU{mn>0!N%D%ms~x@lC&(B+x?TCGNv z5EW-P@>mW&Ns=JVv3onJM6&d2RLN@Yqe_O?M!D&3wxm%d^+TWBrH?8JZ+vq1Iy`2T zbfetG#ITY0o<^0Jyxn|q$y;5}upg2UZL=|tQ6<9?Z$`PMk1Cly997~qs-)`N=Ms)w zqe|qHYuS#~7M97tewsC`a=VWzIj>PAUXxR$GA%mloznDXREcWeFQZC^$E=bMN0s=; zsFF!uOL9oMMwR5-sFJ|r58WqskZHX1twNK*+(#=g<=z<2Ftc5-;@Td#&2ZivR|W07Kjmqz%7$T zl?;7W$u9QC$2`-rpMLRyq+$H(x4-@DfBfl>U;pm!Kl}3Uzxv&`4^jpm)W{F13rR_k zPLjz1Ap?DeiDf}hD%@4xHJQ9_9w?s4Tp{z#Dt2pJ5wE*XE3uQjDl`zz+@_TP@Fumz zmp(O8oQ(f3CG)0HHLg$dmGj&@w&!sr;$+X`N~C-+8dp-(GYX~{`>d;LTuHf6vU-gx z$$1#%w#3fi?a4{o+{Tqi8=4)nT*{8?25|L^?nvbetW6;Tk9TIm5 z&&f_KlPZTpVpShkQk4D#<(H;Q`P)0$F&f_$O|46~!leW7#>wRTQk+ZU5)z>It~lo<4I#?WQ;9 z$`_Y47AT&>B{z?AU5ho6*9nJM4PECSQkzTQ9P-H>CbO5xsj^RI)JRmdF)JC5agb}| zE?Z0iZ`OmFIbxq4E0-j2lwt;f1z@Cxf^LztZ@ZXtlFt#laMpkj+4j#T={9KAg61nM zi?ojG2#$_=f3jE(Cl5Gknt?`ZLV*x@)$Wx?y~?gzt}v_`%d)OEO}xzH zH_g1rm*;KoncybpWr!-H06wGg3Fxnu)5$&R1aZk{F2#*?=Sfj;Va?}Cl9fwatG5j? z=HV1K?1YHaW#vui%*{8;TTp4bDA;=G8`Nzm7SP(ZbbUj9sLJ<9yxFQU7kg|qW7wn} z?yB-kM9~zg?sLQaO>nSqx4hLHlZ{t?>cEDrGr$GGL2T$bY(zw!L7c{^RCao+&$@=D z7Rr#$sia-&{;q2wQ?s`pSVRE@jwR z^wB@mu$;t291}kDE&GAIKmpf>a_~h7LrbVt`Ly14k zS)?Whz=&W>c~~>AHm*}<3tnpS1y;c&<-BNm(Jt-L95h@gOKoO(h5LEw8cMT=)$=cq zGIy>CwmOp!PODCHSTeVBC}nH9#zc@IC(V|XA`gA_9BS615khX-hGiU_Hw|z!Y8OVA zH$JW7)deXbe~$tq)pL?uML}nhak_NmQZrcMbLO_>dt4;r zfH5hixWf#Nbz8Q1(qNR*+oj5S>^?4Hl3N!Osnv-$AQGwhe1AvUqDMS2D=)>SUj<*nvJ#>aff zMWm}3JKU#}Xm%ItO)_%z@EgtaT6l>~?_}#vnO)CddVltsRJPV^ak;g?G(lGNaCX{v zSXU?xHh!_LNk^#jZeBUuN)W|saOG(@iS!IZXI*5{W!o2xla%zZhQ;~pTu8FQx%z>v zy{wl~*E1A}kfn#4+HN(FXxc}yF0X-`rn9c_Rz|LZj{T5yxf|&+kgh?3;pHYHe_~w| zi<4!p(WrMBdGK%xL~=ovIO>0KGQ#>d}e z%LI-B!D(&dTU>P6RhCr2Q;>lv0y&oIHd@}*~Ji}VaWrl5Y(a!gM$ z@+#6bczJ9{MsBhtP-;B89B#d;I7FRP&oJ3o$b3Snv(9>+IM**e|JBbv;9P(D?bm<& z>f0~hj)!b@FColHhZ|%?*6Y3kHTN3!G^dbkW``0wVp!Q1YQRBRq~>W~)=2a`piI$&l^QTFP2ms}qdorycs zY6)pNlxd^#5Q$XH_^^+`N<(hi!-ENGPkPe~px(~_rGhdnvY_O@%FEo$Icd70W$PL5 z{YdIw32P#}aJ|AWE}8+X$m3Z|8aTnT=M#V&R#Unl%o^Bk2{CZ?IFS{|LALjkypsjwr*!Jgm6MILLiSF0&*-HhPw}d7@gJBM ziDYS$U(Ra+|vHk;(8F^HmhNKFUF)(^)0AtRTu9R04+d>(Cb?&<=VAw%NR6?58SFTd@N{WqbJ zE-Jv*qWQPiJV;)jU`cYzQj`G=zifi*Y3XMTHs|hNMMA=}2o``np>6&yTJ*j~s z&zgRusZ`))on0tusFKScYj zqzEHti5^ z(QL`B49~8~}u{d__IUn&N5f?x;vtWr{J|WfHlP)7}EvU2aXkaAiBe zRVUsi0bibXVN{0ITw9g8a-0-GHlvntO+gY{V->N6W>v`! zw>lzqE(3qGs;(V)qCChdA{2-fa!!{~gO1TQr)K;I@y|2lH-#m#**kW?9bPk zWU4g6Q-MWjZTLP(a#>~Br3D-{&V{YFH4WFP$Glj!c`{tGSEK*1lZWK>k&-v^z5=h1 zVnvH)zehnGh?R$BMRLHRLL2UbU$T%EeA%m&~W_-vrZVPE+p)C%auy}m~2_~;QxjeEn)#gl`;`XAt zD3^JYV}VcVD40Pc=THv590(eE_h}yn^v)DX+lymv?n5N=>p>(Dyf8@I1dK6VcfQ+O zb*!>EI#1J)v|^MR5cbrbhDgfF#amTZyn1C-9g{u`LbMz6$>g?X9fN|TlhGg&H%*u9e0bq$ z-Kgd^MN-VG^E4ZSj*`#!bmveUyKM$+mxp$rBH62Bmc)JWH2Bjg>_B!`yDu3=^IZ=O z0i1a86RYEH+XTxSE{ojPJWXd<@jHuSk0Fu-@ZrYO?4%llcv^ebo!^RMeG-X{w|3tU z)yL(kGiP^Jjcx;NsXAuvJgvRx&OJ|Jz3I+%8k7rRNF&`RQzq_EG%eU;jFXFlKvL&v z=BkbnTGp;R_f;qLmv2)S`Kg8Al|?SmbQMh_`wnxjj=4VTqui%R0=A}GyDuF2!{S&= zWo%o4mZ>;a>oKrH0RGdxI#%xOzEc9a#7Va!Hup4%Bwm9^>LOd1Lw#Nr5(#?b%By@Q z(!A`}ZR+wMyysKvJ@POgJnbhx|NQ5__+S!A`ueNi{r(?+|EI4WH8F82v1}d78Bdh& z#~TFD5JJ{LLQvNn#4T*B(C!^nLzSTI5FAp%uT_n5U{0B&tZNLr&dk~|UxhGun@;{f zC3D=>*dpRac@;g!!uw zc4im^TvE!KCIzX1cCM@hW8>>uSQ~}Q9Ii-eKXUJHv;eWgqUqH0QRku;7h2V;--QrG}r+&3_udVfJ|>iu0*d5{Uy zngZ+>B6P@_DP`#xH9CP*wl_Nlmo`NNry&km$73>KB6>`HqVloyT9_{@cn{Ljohimn zXao;Pe86LQc(4Avndc5tCT{w>)@xz4D-*rZD35%vg~@rTcB}NLJW%emt;M@AQT!D& z+j`4442<_tP8F`V9R{A-BL8&ueqD+9t0!&DI{OlDgSAvHxMW$jk>x_UxBn8lrY-N6 zGI72fnB*!SR5?pc-Ydm6=@IVbFtf^l$qenLOq2tY^i#7Y6HdEMnmTc7$F`Cf)puKg z@gi>P#?tNOu!k@atUcMz+)QDQ@tkkUL}(|(O@m4Rx2mj1O#;736OBX+8V{+a9tU$9 zE@@&gawQSQkPFWyCxk6)wgD@KY#z+gtDxlXtskr!F4Z|r{M)knTICTYIw9rnrBK`t z9r9@V&EAPI_0~~ys;v+1Hg;NkwoPDujcsv<4lJ?^zZR9#zz)PjX}4ogTljuNV4MnL zbvvTk>NJc}>O@Uta55%S$M&KFn8EY*+5Rj-pB8yOY4nNbVgzv9v9USXKBp8+H}2*M z)I6?H?ZtvZ;$DM1m1KrpRm)UDB>BO_Jo$8!1YC}Ljm0u+tnf%01nz8cvngsyiBZAK zD%+g0(Ip6J5lCX+SuV7zX$x01IT{Un0Tv%k>ck=|I#S&vX`;MW$N@a!Ws}hJ>ipfg z!bDymqMCMT6T8tF4^Q=Tm2SeZcbgN4>ytDQI&%O>dFs4owmiSSZ}Q+KSi!|Ldjcpw z?@QMvh9_;}S>h#4^d~?NzU7Dk=cySbsc$VStr!~*pz_SMbfU%z3RV?>S^d7Ct(!D) zVE0IyXcPH>tC{z%P0Ur=#9O=XN_LNMTAiPVhc@w9n%IVQI+r9*37*znn;5q_iORZ- zu*oJ3ZZl%VCd=tP7>P43w&mI1l0ptI;zU{I8UqC~Icy*R_qr-3ej&0&49~Kto@8OC z-mzH(g0=k+C#vDMN}Nbaw6f*eOz-!741Xj`EZdspc?0X&NW&-L04_MRAlJRPhJ9~p z`0f3^p)A(xAWSteaOk{`v1%$Jx4M`UdU&3Uy<>DPLDMcA+qP}n#*S^YA?UuCBT+PBRiDH<&-X`WJFpSYC|rl%Sw5 zVpw*qgOx7A3GT_cXkzm09@rk7VVuDfxPS4gCYSUjlUad&6=o?qSq^rDM1V3Lg&Hq! z>l@3Dp;w{Mga1309GY1HlP7roYZgVrg7Rmp`mACX60$ZewlTlP71ibQ;IC1-X_R&s zj)?B;Qva54K!|DeG=d%I=$Dw$s`IUj8`p#-T#%G|Iy-8yufF_XytEUg{>>QU1G>ECw0m zAYcm|1l6YFr8pGp+~-ch0N`qWX~P_qAN&rR;%;bV-KU-qJXHj_e6o`fE46?roJH~i zuw2AkQUGmNzw2dL>P(|}GVmDA(RWT!041_~RnDYrdgn0S`U7(d^-mXP&0ej<~_6Idj7 zKL$_)AwJ21@ATag&I z2JcXwfwf(8up&rfs_wSS#5iViJOZl}7MoU<88>&iuvmdvUX;7%Hg?m*(9nDRuZOj+ zne(ahcKh8Wt?cDc1c^q1V#jcz7PPzRo$% z00D330q;|vXFo3C9Sf{gLBFS8fG>c>!A-!+RmP8hIN^IEJ0HLAW9a8Zw`=zL(e8sW zKXb?beXj3&*CF70S|i`@#KX1?@q38#g3|xAO3?THY3FP~;EVMrzxU(HLGUL(`TJw( zr)k6(W>(C^{Mfw1rHHQ=@S zW9X*u^*KP{=z;J9AjrZ^PA&e!An(xkarOQ7 zL(TBf4|rWe^q&$8@SYO<9yaM^9LWDVK>UvG^LvX{;H%4-3V7?P>w7)tJpX$V@F@4D zVBqJ%pUNoU33e2N$To7+QtIhlLX9A(sbVWoL@l5H7cHfTQLe$yGWq~<5v`4oeMC=X z)4#pc^DDJ<^;^d}I*x7RF2XW;!=RFyp}U?l%lJWB&gn91|ABD@E&HcP)%X2UOwF*P zqS7s^;`rsC_*_)|BJ zmSG|1^sez2?Y%F&v|UQ)!NM|n!Qc;d{5;z1H%iw2J!2WQ{f>?bo?s0%2jL3Z`wQF1 z(>xk#^39JNGAf&m5Qv*>BUgpg`a26~kW`mGS^F5XchV}l-*9qHUJ5F@_F4O%b!F7s zU!qpg4*@@Nyu-4Mm}##Bh|4+oR{gxYhT8snFw6M6n!1(HGJ3WztJL#5hJEBLuC!hE zr@DuIoPFaUd-aW?W34aQ zRx%Uvx&6~@Cy4&(!%FA;{$?V*Q}3t3pg+B{$42&ZqNDa(-mc=oOm^#QYhAhR=I7?) zr+32E#jK0Uula2H7O&P4`~21tcfsNGPU_dJ^PAR1t0m+j+lo&EYuPKo<`Ry8bb2?R zRppbu#dMrO)o*m$3c&Y;UB%FJy7#w6eaVdAy7ISVOUYNA`TG-Q-@mn!K3_oR^3M0& ze_%#;zP{`*E$YDvay5EE*;;29>ABfX9fBj`TW zYd1@Wv(>|@dQe~)y|A8$#HXQ5++bTY+80;V^J#UpK@(syZq+sLdvx|-7}0CB&>6mU z_gEZgbx-uDKXmsRUtlyoRl@-1TxXv!8p>f+m4izHYI`W`{u-X>={>9I37BE6pp+>S@C;q3I`h zy(6*}NIhPZ_mpG$(d6+5taYn8_DkFBfiML%FeVrgZ-cp$30 zE5giHI+E}3|2h$vm!=G2coxCykp);8yu3Ofg4q}N&c82IU|=dopM?37bM}y z1R~kw<-4dvs!k8oq91ej*d(Gda<9#^wk3W~4};w1{}ohb9g0PqH-i-0-Y!3$6|Ji; zvuExh2il9=D4ar$I@h1WS;B<-(8$z+-0p-4^IkQ6v=~nItT{X;rE$w#UV&{dV`Q~X zY-$nM77Q{;j;0QYeCL#t96D&Iy;M0RO7*LK3I%42ssC{L%$}fhw@v(rno*<1545YM zfG{KSZ?=;!gRFT-Ixuj1l^1<7p{yY#qcn%rG&SKx-UU@X#ZrB@7hqVK=)LNxAV*xm zJ*C2>J9NO?vR}F=2p4R@RIv8)ygYo)a}_mygyAcqBG>7o*Y zb3u`7XDLxhX81en%{()N=L8d97q9w>e#>>B)bgk*)%Cns9wZ(4lVcfX&L2RgrEfp26sgz`WeCK!_4K8J9hJIlJ-^1wx5uUX-@Toc z@T@T|x$=|mN2$1`Qd+^LxRA;%je|AK=xnJxjFo@^Y9L8qnk@0BvLn7}iWPq3TBb$? z+i|s4m3aJK3pJ-GQtd#b)h4$Qy=ZG|kn7{jm5hCn=LKmMPF?j+6GpO6Q60;xS@Y{A z3AMDN&p0e1{dQ0np`<_|bJhE6RdCPZQuiLJt{;88p{e*RX<7nfXBC()HKy__{w!Qb_#e~mM^Dys~4rRPheo!azMeklzO0Oat98wv% z5GUy#=nanN@Np?cjG-;n$+%92x@cW3qA$Z(?1y8=A<|!v5z5sG|NU4xU#xp6E1CL= zA60+J&+~qd_{{EG#QV_VM(s*1C>sjOH1>-LNA?-ZHJB9O3^g<(mvJ17JIh{!7vg(5n_VxLoW+TGravC1iM3bklCwBwK)r)I@2su(n;5j<3n?@(7Crts zFx{Sa)XGSAoU5aZs!yGDwlITykh!$?YV&dQQ|~87rZ~D7nHdWB)JX|=1a=n9=j*#vq>ldH0G%+z{vWQ}GiRM%=F|)GU>vb1t zrFFS>$}@hr9ldK1&s%oNSI8NDG6;wlt9nZHztY+r)d;`kbUlmpdjVd%g8qJrjGnt+ z0#7tYT@@Kq{|Fal$9j^R`yydYFfre5kTAnP7Rt(>!U_n6o8_gIVc zS@Z*n^yT&kW4tS*n_SP+>=$V(rBxPXJ*dxHY*s_E*noY7<;m_luJ;FJ6^Uccr#Cbf ztBzy5rFAu5)_x?6?PBK!ElTZVQF=pgLx}c%`d}!ti-Nrf@wO|{x0(AF`D#5+r^x7d zUUV!*8-6x|BHp73i9?9IL3>_uA6h3%Y6}HN2@)|X3AC@JB2y?lomd3Tvn1&0MX*=yUCx=~t?MB+;`j-s4?-jC;;LJG%(zQ>=R{(Dy0R-;F;K z?!j28&}dhwdtEMuern_q-83j)p*d&Ii1C)oo+06Rq6uvu)~J+R_$mJktom(~_8+zl z-FR{LT(WONsYqsJSpv%g#HKmVgb`}w=0`aDB@3;FY|xQ8BHP%e202~Ua!J@ zxYIOA7ipgxkbO?{rz@Fdilu8HgYj+%Lgz<&fqte!5wM@4@n4Z z+BLWdH)V%{liM*hh_6eor-8Xz^RlQ(yO@avoSf=TM~4~=GAOQ(NO;BGcxz$RS2{9& zWE~CyLB{dcF;8p#duf5ECx9tu%SA{^%5ZHq{x9Nc)263gBTwDsMBi%n2hxaTr2e0w zAr>=Df;D8Eb~p#SoFmf9q{p?Iz7r$S5wm8CnTV|UhH5=1!Rb^pQ2ll?k zzGPq0c(zNvxAfc%O-4jI*VhXJufQn-@1?wl9(Bevx)d+9b+RJbmz5xEC{K27faYJW zB#m$>MRlalgfILb@@sUpr}bFAxsP0*8u7EoFdr}fEuW>4708!Hr>*35=7UNjy-Q@- z;~;Lm;*fMgpHXx*Y*_5%cfreQ!zw`D-rD zd+=G(j>i&F9?J2!`%k}ol z{P5LYcJm&f-RD6R>sgDQez{tE=pNcA;y0|t_%_r={=}uzk3hb9*F7#xX!w&D3{loN z>5pq*yuxHDpX?+vK3O0{2$4|NrPLukx#MfTp})dlzVhUsNOV&eh^}Wt@NQ0IT$X8T z;G+D#0>Ss%$j{_`mEpW!s%=0Py>cOy*E;ACgWQ>#mkb8%!ROs?~#G|;~e@?q!R9LGGJu?pPUp3t~`-=0_z`1l4^lm!Jt z0|Ekq0&)n9(8$HH6Kn?s0@{EB0>THv19CBQVl=UJb8$6ub}{(>6%3eJxtP>cp@Bf> zE}hI4el9mpSRi1qXJ8ig=XNav~riI98C;2+8J^;;AUL z$H@daH=9lPP={k02u=r`Gvv(D0#AnA(q#*mQ{CR429DldkN-|6B@qL>>ox6msyVuv zmiBGiynI@B^s1LPMjUQej=a0HtJ~_-DKRg$j$VyB^m1!6VmL28k6v$vRKepMhz-vZ zEJdcZh-8|9s#9hw)Vs=Xv@T$_`0*5HjSZtxt$nMP>SxmXynr%RFL;?aEOyYK+SB2gC7~=J;|>@{20# zaA1fN4LImVA{u{~%q~~BwydLE+>y4o$T<14Re!pT$j)M=h6FkQ4%#U(T16q=Wx8f1 z;$8AL?uK^LKSgF!Run~=D3gIfzciO`y+m#r#eg*_(Ks4lb|n#Hrs|;j*aohhck}wJ zmjoO(iCFphO5(6dWXkeP$tT4i$hqy131pE8ET3z0kx3pL4c4c;^c>niZwk0phxrC? zUTds{sgx-rGKe|i*_mmzeXw{g&}5pp0W@4s-IIV5OhoDr-~uF*NEpl#j^IRfl4hK6-=ih+@3<9N}OLHlDM zkiYGzXlAuaNU=9I@LdJ$5JD5wk37t=cQTPd+;QAc+#qx;LIwlJ)gzWHVB{ea>$|Aa zhbOg0!#FTme?iiON@roUFNgH$5&bYIokcTz6v9*#v8#SjxADL+&dhF?92`g@Cir{b zBDD8{u|3@tRm*#Nb$WN+W@JyhwD%6xaXKit6EfU2g{|p+_$*BGe%Ts%Y{VRS`+Pkv zTRC?GwD6q?wQo}eTt8#Z9<6LphU3m*D8$!t_6l_21f08WF}9tQRV@gOzs5Af(9Ha_om3+$+okda_-Fw8T6O92g>5ot>E3&|SUEy=xPAcO8SuYnOMINp##YJOGxU--+|P(Iu1zxjab(=ncaepW zbb`8{q3U*K=)GZRzQwyk_oe9Zgp$7y$UkqeJ8O;0j5K!lE)W(!P2LdMB!^>+bu(i<5<4tIYMPD|ORZyko4>nSlFH}% zk~%L^5FvC5`_apqpLvs>Qq@RTcA{;kF*fuT;mvcIFyvj9qyFOlV&{V6E~sH$+gpcN zX7Oa@KQQE2=un!{6fp?RSt^g=ZEh6PA}ksRC$*^_Gm14>daNDjWHg~t&9%gVRL@S- zYW; zXg`*4h+BD9oY}|U`;6kKg<!uj~V86J>bUZ1@?bxYF7eXPVYxk2LBJ5V)<{H zD*0<53nGjJbo=s-_5vOqDno@v;bE^}p1Rdxg6IQ{N;;sps6U9fQ=R7)skz8N*TvfO z?Z5Tpd{OItb@6OwlP$<6a75n5m3C&z<)6J>vi9z~J*U}jSu%U@WSi_il@2(g@xxZ& zh*|Q}?q*QWj=%l|@o9w7XsAcQ?>_K^hjUyY%9P$=$Tn`;UC>HW-#`rE#z2?mHb4?A zo1;nR!n`jIltku^Mpi?%13Bf1NgOI;Y5-~oCPtMPUbK{|x-a{PF)j-%A{BzNb}lPH zl-D36)Ptv3&E$iJGXxrA#8vsIF9%mSNGbi&@3OFa_8CV>rGQLFc(;p*D3SM1j;59?{`$Df zM%?lDeV?uq^!s<7@cr?)SL6G6WzhHj*jBgmT;|aG{(NQ8=O^PR>$jxTeMqxila%c- zuPQNd-kE*|NXA*RJ9#^1b;B$>p33$8ZU2OlM^mNF+1$c>GwX9ZeWp;Ua$*@`&^ce~ zieH;{);P_wI8DWS5&uPZhmzl1IWchd$r@|p0-2t_zBp{sdGUg}bbM)g-OjE3R_;F{y=P<9tTR`8KRL79Sqhb-F|~ z=Bk5hNZC3$izS0^vpPO;8mTF^JTDcuP5U_S%yC09`R?XGeTHQ#awDBzK??6TKue%6 zTwnpXjH#rQZ6?nQu$bb5!TN!-ALHh|8XY|M8qMOuQ`kUu-2!rJW7-MEO z(PWuB;k5a(8X-`x$@2^Q4_F``O}T)6!gXcq)8vrEjKchp`kj8;3+=^SErg2u%Y!QX zOhXJe1IP5;Q|P3#dKs(DD6+aPe6yXOjGMkt4J>GE%v3G?0K}3RgvQN!t=T$uY=tab zwi@BNvx}VCQ0?LpGXXkf6&zNU%?|VOUKr@q`4G~T5AMvQ5jn=Qp5I>iS_0^TO!_W2 zeMmsRN5jYJisy*BmgP1v+BK$XXp2x%bH%&!0A%S!NlQj4@Xqn)jZiz+Pv#^e|u~RN7_+O#96|KeFTNc%9p6V@K zE2zX|m~a@FFu_!I1jyHs{Sek5KKW-lOL&i1*OgB##_;P~nQk^=dw|>e$a*?Ro{{^Q zq43L7#(oPdTR1xb+s@b_CN9C-sQpnHa96m)V8%aFQrP6S@pOF{s4NzsKm;TVjhO<| zL&r7Mt_4d{cw=(ZkXo_FV%JjUibR?*HniCI7{ULhQxyU`h@_EFcQb}2li7vNR=2Ish&?++H~1RI)%;LXB+rLTQk{<_V5L+H5CLAw(zU$;?1zKMQsj3H*Z%Q zM%~ETBAW?nD&H$?^sKVkn?NF|C-?PTrBIU@Z5Fz&CaD?p#$1J>bfO*&yEX$%YmAwiTz zAe|;~H?QciJ?1GC!}P5oY0%}Z2l)z5ApM>pZERdfky|Lj@HW)dgbmD(9%%O=927!g zx6>K&^f%M~82PbLA2HwO^WX1x3;6=R-tSuxKSzGI?~H=IpPyUBef`ClB3GN`*{lR3 z^-4&PTx~b{-uQAT(a7~cXHf9-VQhPbJEBq!ruTxfV=eaTRO{$CJV`0mKVAzzPSzc;%UzHK1GX_%JIZ#WxqWhUzx)$tZ znr`$j*4Vp&vKIr?fUd#m{fx$uBw1Wozk}H@#C--7Xkh3*m7HQ8i0)%potgELlbmN( zZCeDIla>+kBt8kyewbr#A?GWs14BmTPJ^aKzRm@1m7zoLzE9O zrpW0D-q7q`UAt_Q|L7$*wxIOb*7%lzjL6c{F@mFj|eulS1eeWs|w`xu_zAMG1&2s#N8=EnQ*!aud$ZQo2 z7s+9hm-eu|Xf z5*$hL@iErND#HMQ-&76~u-w6YljNli9SwkVHNHzh#4QwxM+#|2j!TkbAI*1uCP}Z0 zJz7?S4#gmX;B@y123n5N=4yB`iuBsQy_8`xs;Qc7nvkjOx18stY4oyhh7S8vG<|XW z$&HPZ+?I7m=8%)?KIjxGsbn>2N6fX|Ydn2>{*DS-I@-@G@71xM5YQ~yi!ei5Q1E@p za_6-Otvb&j!Hg~JKCpi_a1Qipew2>eh~Sa&QVZubj*p4*M>g2;&(!8WdzpL1KCWXp z|8Zn9-2um5yy5Wc{dwnqs#_uwQw6Kt(P==>2$DEvJ5-@ZSP8#%VvZb_>XY<>Vw(1P zHZCDUh?Ej~R(M$XB%_KByD+T`z<0V6SvUcET#nE?INXXcmhR-{=B&EP*Jvvv!T!8z7fs8?~K;l$&E=; zNn}QE-&-7-phAXM@ghrdlww#aF35F#BOZf~K_KpM*fyd~I2|4r6dr zWeK+^j@9~u)OvJKFdiy$!l5``m|TY$kz=Hk3%(j$Ha3T=R+++}}iR_2)fd+2p5m^;-5X z>ApM4ic}4*2~ZEzx>ID@ryXqys0D!sXIv5_7D}QJ){i9UCUfIi%CM88)_SpsYPk@# zP@u2@JN-^Q9+H$0yQjgdgXP)(j@_6vA{z?A-RUw4Z_?wz!Z!y46(f|#Ewy`F-VZkn z6m@3}T(O$bg>ShNW&8vJ#j)hzd#(Rq3jhlj`MR8<`Y=FqGnom)DC6SnUlRe-l!_l| z9c-0tJ20ZA^ZkT|Z*fA=sU2|9R-2!KM?)d6V7*>l1}-L6coSO~AA*qv@>)~#PSUj$ zyi)(|3L>OV%kIeOV8q*OskJ%0mXzo{A0~CmqopH;bFgJ8Q45ev*WkeFAZVK^s`N}0 z6lMOd*hU+dp+@u7z2U8o#$^e+Fd`Gj{nx%j@9MV-`@ZVC$0T8&C~{|i$V)~;nouH% zqNM@UA2khqCw2Xfi z?bh2sHW1ft&Zt{oSSh=?!8>fYiIvYg`&CYP#ORG+YLpOXL)%o)kGricHE*-ue3rG;2t^ zsw;>dhsBjUp?D1Vp3v=7`4%>O6g>!BvU@3oQPUH@+=-=JnKF4XyQjF=nEZKx<=jb9 z=u_4oef6?C^kcvUW>fTU(aFb}bpDB#Fv%erZ+ehABxXh8;#{*!`vFk3b^;#W$&gNC z3G=gX=)Sn_6=Gup+w7_T@N0_64T7CX2@Fg#!N|u!guqV6QcR$kl06dOMW!W3+qX}^ zV5)Uc2+j+;J9tB&#YDk=5lb}+2kQsh`GL8?j{!e0E!O4l`m^E`Q7%}K+Rt(p^V*9l zEV*@5e|++P`(%T`n@GICfPiWt|MzVo+ke|8#-%A$`EkRK?7Wn<8wfcGozyXc-my(H zNXu@d+D`5cm4oV|;Y&O|ba~$t2+VkKL2{H?H7+yS1c>VOemqw(_PrfxI6TZgM6~^c zS$)16^!fz6?|mwK|2ue32>8Cn4Dk8Bcyajl|GqQ${@E=O)>al)xx2J<^pshu*!@$_ z%hA-Pu~KlDb-!+FFZY-~ZfXw&#Oim^8j!27FO$D3Nig^7L|bR3v2yB7booGEtfgS4 zV|9ASRxSFFHz!I{KQ?MkwAw7j%TD=dnV*lMu2e7OVLs)`Vji7u%@@-s8)AXl#;8FHT`M^4GIpsY*^Pp3_8-TyU8_SQ(x zoundPm5Ex+jB=t~vxj6ZZ>v3>pZnv!V7yUR&yb~K%mm=Gj%$1U@ax=KtDhC(*Yyv~ zvr1w!laWW`1wB|5>f?lY+IF&mC1f|nLr&I5I4oFsl(+NHJU&_o*tF|pP zYeT-4Z!^y5xDRfUiqcEB+-!ic8fKZHa72npv6suG_=cx&a5OtRv{Qy*b`(+C2tNRW zBkfpm>+Sf?zdYUeWBBz6Jn}Q@eolde(BM6s7h(xCuT7ma`iSV@fDzEfv<)4cLl_p6 zHvK(c*>4qy)HUYn%IV>Q%kY&pRntsE*|l9llaiUSifC70l;KAue805rZW43Q;%yCN z8G^%BggAysl*%nqXrV@(+*(}0^@LP~y`q8Pl^z63ENC#4&o zr7;KG(+1U42P#XdYijnBsaAmQZ_yJO@+wE zPJrKv>!n9NRkmde1R?|dad;`vuyor%<30sgV)Pkp|A|D?XgKrA@c?sx2PIA{ITqq0 z;K(*~YgnoX3zn;?64nj1@aZqT`OAWt&MtSVECKuXOev5&4Xf!PCV}?*~A`VcYjTf2X^0XT?DO^Wn#u?K$*) z-aZdiA>R0Vzu%qQ?DV|t+ys2SJN!^5-T-=!eHZCh)pgVvG}L^U<=hsUnnvL~n$JdU z-RdYj@|`#1lp2mJel?r9Ym{oo=TreCGI_MCO;p&`&3sku89!D_ z(hnQ!=E}zPwj_=IHS_Gbnmg|y(~HwEiCI6v_=mLJ#^Z6zE89?7HK&e`L+IGfPdKLO zNrFFAUOD2X;B$7qDtCx~QB5&ptlHAcc@^DO8G5ZtSJ>h9=UPXh#+i+o!M9i2#BS!a zCS!@f+C$qTFKx$mP?uW@b6?wpDMaOEh-T&W(tLBZG1CTiL)SLJ2{ujvKQrInE*`6_ zvU3rNXwEU1J^pf3DH|som8aBw?!xT#qN(v;R^z|Doq*TZ1H_-D^>@BQfbSEaPB6gt zq3UjFt8b7$D> zVSg6(t{U%%Ec8Z!{Eg!AHXZdgN)dWI)FzRI8EQx6^C#6+uB{1r@r#$O@mK9;=%YpU z>B}1}WtwNagzLs8x;hp=nE8Pd68&)l#3e78e=s*=NB9h00vvjM9*%{N zu5P~XuRp79cE0cLw(1-lfO?Qa@j~&rJC3s_T9=XK%4yo6vprAbs9DSH)i{o*&@gT0 zyl$36ru(6NmRhu13koP4tP9K$&9mz>G2@P*2B)A|BXTTYc-EPct>T@h;ue_R3qPfF zo??m!s#sedmJ!31w~57Qs5Oz)6`=CLmV8+J@+*P%+JW@7^F)#KUvx#eii&W&LkfPr zp+!|Zz7W*~?S(vTL6NY0Uh?L#GvU$rZHyzKvUH}sQGd$vdCFl7>ZMdF3H88j5 zKRF^pC5c(bp56S9`(cE0M3Qe1If&waT7YtvYu9D9@25a4Z-}YB6 zUhh8DoSW3i?mMkt``)v*Md7&tJ9Gox#Ps>TVBfAI2K=m}92^4tpEhQHlwX$reKz*; zeX$+66FJOLhjtjd#FbRitz6I&v9-X)pX;QN7_vU0h-z)8F1r$n>f`V!wz3j71K(}7 z{-+rNTu08JE=$?p<>@2o26^{qk zL75vDrt4K|W=r69_^c3U1|SZ#oBhV;!xUg`rm8~uIjxyZSo*W{q?rr&!3$w`LYo)9 z4{N=-UWeirx98y3 zINx4n_#nYTyK)G8eofCPAdVPfsb1?FMDH6H)4s3kX#;_X%MHZu=dUZmT^figIn`8q z=ID5&T?sMoV5B*A8vxbBo^-vMQPsh&7jH6z6Ehhn21D5pHjw38T==>05Cl9v8=MuP z8!EM^;1%>Db-u|VN>2Gna3n13%Ra(XHY8mv^gZ@_U>UA^)vn_@uxezPP5sCi1k`Oy zvoq@7BWYnZVZK&R8mh~F5dyZGu3+=SZ7v*kjLIbyA^6y6{lN8fW1GM521Zs#oJ3R==jv0u}FTiTrFjAZi(5&be6gm`q(~|RG*)k77v#uC0KsLU@V z%W5BS4XyKSx)ZL!ILg=&Ym$Y+>MHqf2hzWM1K8dgYbhJ`$y5uTC|R8}6Y(r1X9hxS zGo{~5exB23gw|p$L$9)DP;p^}3T^3Z^*I)O`Z1mvbo)19N>tQ?X zD5flBiS24NUice0bfX1ic&p8iP+50DXq!DZH7~5H##Z>u;gNga78(t#JC42q+h(iX zEE~3(tm4~wCpKy?i8{8-`W$c?_&;^*-dztRgf0s9Ke=*>NXW}3oVx`<&|`Q|j3m{p z*T+zM84mCxu|q(U5*4hM{N9_H4rEAX=9B6Vfh~7dLaJx#59wukVym!wR7$;$#)2x( zE|Bcz-&|y;(p5VhIorV(m8DbBV^ zx7{+*lUuLJNzzGcW?t}Ng#Bi5XY0A=q&>Q_3WCZls$NUK&IPACPeXqnFzjTU^Azd!SM}rasuIzE^A2uHSxI!1HNdMT# zO^_+g%I?|UFdR#!2z%J<`hBnWG2cuHpFx&U*1`zld-87zf{S+RYO_-G?p)T@D>WyM zXcjc2+yS1IWTjy&2>hZ!ylqMT`#Zk+6_#@_bg&H`7O0a^5tT{&`PIZ?J3GlKK3 zUGtC49*kHcL2Wa|eBHZP@|ji*S248eqk3;ti{EDJSI5=?R^-REP4bcJ+mEEbGyuGR zYrExO=`GrobXX$Nw1DJ~z}*deXPN_0c%6b_N}ot2r%KiLlkN_2Ypbv)ER26pS_3DN z>MbpUge9_`AR6Ux2^tuGRv2S(L-&-dly6`5_)Ecqc=Y-jwR}yRFqY-$OnT@@%iIdk9WiH_Za;YTv`LBi zX?$2QR*MZKQB0WWDt6v1P*HbAZVqgQ57h#Kr!G{aTSsumk!w$676uV-8I&&fZ!t%G zawjLUVMhVrEZZ)BerZyNySO&JqMCNvrI6looNi4cG_XHaD?ym9c7we> zIc=oyqpdKXt_P0atQa}K&g26js%00n&k0f(v5hf6ciFs}_(ssYo?;M2lUD(kriqMOG-ZMZG}n3Gg>$+!*)`JpZ#BR6ESE{jImg7!X-ZG~~!844b%@ zlQ(Xw&F6bFh`%P65q=F`FJt*P;FUaexgoO;*qxOt7;kAUad(NEu<4-wdcbz(b2nJ7+ z&OajdpcpMnKY!12nz0KRk8$Lw4G1&;I3q@8EWJMBeL}(q{1zYAJ=>slHmN`)*(;7sjU)$y9{m9Bb>8&CB)MX(;Yt;hCm$L& zCQ&H3n2m8tf0-+R)=pD|PcO!&n$F#;jR@}H1WTODiSO@~*cQ<=9iGvoP>^D2AxkqU zk=qL2HGG1M60axXLqZbT+U{4b7I9s>MhS$txnOrb$apF-h5jmi_ihyHTRFVwFZ~0M ziV_6?b<1fseWvn)s|w8FRryH&xKf_L)EC(J9j7}hPRWXy`uj2nS8qllCV1W$?1@f= zUq>v2+75*(oxhbj_XUbg+dG|7^2*EfD);J1bqCWj;Jrf&Kso0RzdpQ9W!?ebU01hv znJrZd7ht_Vo*y?^c}~wQXon*#VYW`j>~6v^e!3k!Hs1PdYm7e>?s)c`I$n78PzBNR zF8^oq3VYmaS!5i&QoGQab-dd29#;#saKc*QW{cKcorGKB8c0U`I|qK`Na)j8^5&#G zZ00GrT2$~lg`pAW#D;=SfQJ&L#PsDXI53z)tdb)YuSM+z;$FEeuH~H|acs91fLR$_A<1BV(H&=bm zu!~M<-31O#a0jEn!{MoliO)i=^};C-S?GXyr=w2jzIrX}ROjy5W9Bwo*aThpOxtm{ z6og{@ccan3z!*$%&;B|B{FhfDi22bjJCO<}iq%po5x*IeR!_RNAUKAP&boFvnGI%j zoZ2Z9V|1Gw9p*pu-dj#uCM1g86zi66$^iXup>ih0p-_%XE!o}B4<l*M!gN_O$2G7w1RD0mg71Z3l0Ic24ueIK&13R~i%%XTcg6V|csP~qCtTo}t9gu(Gm3C@(4K9}N zRlX!$K>UE=Q@WgL2{w$(r#dDZs#f07KkeDFfGYO%&b#Xgkp-NW);_i&#{2Fsx7R!o zN>_u~UvOzPI zu`b1AjaV@Vu*Z9usHN#(@2VGlhA&cVhR!I>b3XI3q5{F4Ug*aqNUaeS|CN-KHN?*0 zPg7K(!9YG&uyGM1=AsY9sdeNPL{j2C(L-lKzaF6T`HUNWcU2HemCL; zJUjUr27_yF4vuPY7(GZXCYrUSYcTHxONpT&;N2Sds7t|Hz z|6x#`qqQJPDI!&SqaF=2Sk8mBV<7{0zsLhpyp-E~Bn~|2PLf`J`}FkZg4nM;s1{~? zZZ5R{O^cC08PObm2zOBmd6|dgN=zlH&}A*%tjhsao8T_l;-Wcyiz)tH7bmKzE{}Wf zIVQR#Dcg7gtf;n`atQH@QNrmq!ChypL7JhL@g=mk!VH4(fPAs(tQ8O@LD?YhbOiim zsX0m9jvi%a*(Qd6pbd85%_;w3zbcf>)#_y6-sHp^5x_e=2TnIrQ4DZ9K|5j*<%{RxkN5}ePN*Wev5Lmjq zLqG)tsRbmYJETK8mJmT{{3*@r?R)dTnY%eN=ggcrGxL0(&!cydIse^`Y23luT+033 z_C?s1{CB;3KJU*Y+*-U3i60i!YI@m`h3%~RYdYy`Too_e{7x1K{Ito^=MzN6=Sk&) zM~%ncW)~O>-qPW1-xpk*@Z|$r?I%kr5|hqR*3U@;Vm~Dbb{%9=tDW^v2mOA?o&gM#k?u^7U;zrK!#f z_wwfG`~O~+cUCp?&iw9(FJ-!?nlo~EG%rzKG5zJT)Wl|Pad~nBvBvqlzu<;iK4gsk z;I4i#N@%7P?4$j1U7UJFl1A{|Uk^+B?t+ajoacX(j7EYLOe$@pLItZs7+6ud^Y4=U z$FU)fQw^i+!!Ka<)@8R-9C{C*wMz2I;~R`Dk_rzF>>LCwJX2B+|7LU665zeouw>MAcH4(BEn!MIAFv{t1${k!!uzjk_%F)piyk=;9Y^BmIwOO_4 zBfM8U`RNa@e!i9Zhrz45*FLsi=cGJ;`^Pn*;Zswi#h$;1)6xEMb_{ovSi>^GTPM|J zoD+<%f02-X$*oKx=K;*y+qd)U5zre9v8D0J9Q^W?e6iyBBOR_gC1fM-DwRvO(=>iU zq|Ef!pDhdXZg-3R{vTK2iKo#&DSPck7{+Va?f$z*_= zmx$t<`|P(v&uP_c``;*;@sQ!E*S|G^??CYya&|tlgK|OJ*fuL!L{0xprC)|D@==dn z^hY7Nzosc*tIc?>X1@A}lrn*&gr*rp+3BZo{UZ#_s11y56IUe4^Cgd{6Kvz}JiTTG zov^T=RoY3*^GX|6^+UI;sVuHFb5inyIqZgtd2d2TFCM*u0dgy_$HDr6viT>eW6AK@ z(UA`a?4LrhpSxMxT|15Vdi6-xIZIRE?-Aw#d1E|{1C9bB@qZld6R}=*if5GZpUdf* zEiU{X-hgMYJ%uYMKLEK0xWBB!+?o_XT?u{q5fzG?K;DXC^2^x7Zrz|({$P?{RNSU> zCHUkdb%8kGAKYA4|AEQWgNP1f-jS5*W>6h5qspPu%35yWefoq|!t3PC{8BmonP5dY7He2<*xNY=>=&BNxohNF{571WI@(o*?_M3#6$>sOs zh}Ye0%gi)Sj0)6PIM11Fr1{c6LU_!@xsLZXK0)!UQhoXXOrxITnK_AHmH^FIZL`P(Zo!l4I0-*o%(M|7JSIg2zwe{rgB9;%aNe z+4{?$KYm!I@InZ5hnQ-2wr)8eYW^EPn6l4rP3^EHHOc^l^VRMcPT$M@Z6p}LvHH;V z5zx?z8Z5a!=Ki%xSxH&6|3ZtJ5?vepKD1xiaspG-Yu93tZx>j2%$#}X-p?1;UidkH zx>QRo2P-hcO}0L|&GM5O%j(V=<`*m1S#Hqry41s0V&~Gh_4~O!kN8Sq37z{M0{`Vk zw5ndI#hbH%X^+pvhe-p|ZdQC6GGbwy3@o4fxNw3uk2PW!JfD%E8}TmI=$!{%UjLpl z*$(}WVP;mY=kEH~dT(gp-9=nm!#|nG>n!~K;>Y%>=FPyIXV5NvpzKz7?}zU{{E7G1 zv!M(pg&IM>STR44EFD8)m0ExH`SBGkZBXz>kMN&FQw0a_-NAG_3+9- zc@?Gr4&OoU7_Mhyt}M;i(y2{DimoF4RMxg`5ah#vKYG$-%_#elINn|h|K#1 zneU^j{H8T_gS&0$N^9cEDc2Z-LfLZ0O$r_GD!-ENePFTf>{Z86`H$MBxA;xTcGeoC zA+#_>l^!QT=2Y{&MbzD^!XDarsN<>2r%~JO+E=e#is|O$-r(EH&dU96ZuAr8%BRuV z4*UCry+Bi-`->-TBCdL}bDSc@xVxjt#j@xck8ao_#jeT=t8zR5tHLD4$Ftf&uEVnJ z%hdp|G;#WCYv-mdvv>HY-F!{7y3|`O=9nJ`%-tG>Gn1Y#1{HWtp50>cbL4>m${%tX ze4!A_^fO%biDM7H7OgKIyA>JNmE?9uLkH{mU+^SMUK6s2&rikOIeLDeH7CkuBQ)uW zO9(7UGUP~l{Pg(i_M1sVZ^m#!;i7!#(`jA+Lr?hMoe%fRH8x?77u(^%e}AoS|Fi17 z+#v`d+ZeVV zZhy9=_4YiKgm0TN+I{1=QKO}kHQ(;tVCzI@`%2+bUjA3=UoKm&=QxNAH{$xUUTXqs zEKXi=6#KT8Fegv)eBF4MopqIJ+T*X2TZX{Y<;VM4YKUD%gVg{?=7z}!KyzEBnof~S z|Cy*Gfw#dYF`dZPRi%f+X@`FaujaHlD`$4t&y|?HLTRgT3ED ztc%bqdZ+WaX2Va-UyDC%_4sNmt-38H368&Kds=RZ=3wbOgLz54b=`@-e9MvYlrb!m z2%Yu;S=|+oJKbG|1OC6z9q9jZLck0N$REN0(ELxK`+t`0)IJ>5v#DmXf}Yww#^dJG zvj$ww0E}C|r0}5#{SVbd1#4 zLnFiAPiqrTX9D3j2T1~Qcl$L0Pc#2E*5%F*hMuR>hX#hN2cw5Ofv1~acMRcoe~H7N zMz^;gM*sHS?=1(M^d_O3ix&G*am zH?n{1I*S}(p*;FAQ0=YIjfdolQ|lj|0n%@Y*JAS>2^u|YX(XpUz45%G?f#j*X5G=c zAl~>_S8UJD%R*DOuqS23w|IDH(ZYl&kVf2Nx78+cG`z<4LqT({;KzW$nQl@RJA(SS zS^H^ysBd z{D!F|%fIsfjO?BFsq#z`xQ<;N+*qe?1o4r2xSpxUN^qA{RtXh93tKZwIg!=bR;8Cq z-tS!Gi*@+`Hl2ZJp6eC9n#%7Vq8GOh9h-}{8(nNxxp!&bmk}`a;7#>cw_`e2=pJ^^ zS{Xe!DEZFY(ZavkGefSGSXpt97{3*`aGA`M##vz^y;S`pbvcbB#H%Z7sdYcceR#y! zVP$Z`cf)E%CzzsUJIlG-${|U3<$<|5K+YPGd>I?w5D5Kt@!#}vW1FoiU!LH>21>(q z7cc~goe1fTUpW^wSVBpPH$58`uT^DurS`+JL5yZKwq7bO;$*(bVQ!ZYlx!oPaz$Yq+ z-=5sU1gW;iFbdB~$m>-p9p%}gEV@#|W*YXHS~u}cg2tUQjk=K?Mv(d+u0Dik756 zJFgv1vMm@O+2|R_#dSB-ti#Z?!ozP{;zHntU@vwN_LPWNNuAo=7d^W;X&;*Z%Wv;V z7%sdZCOe7S162(@;unXl)?M@;O%-|Us_ZP2 z64i-z)xR*cba8<*G56q|rO~AMB*QjXd2<)(IA&9J5PaU7?nLkQIjvfzq9e8!+B@~| z*9d-f3^oMQ61hdq%(WnYLX7LGPF7Ix5=VG~lXp&OCA|A+Yev6<#ClXKg~&erHQd8gOcO%fBl{Zb|V_ zD*P8gD$M$n#AVSpI!7>=nq_i`z3-3u{n&79W4|cccUMMymEY&X7jXOjtJeL`rJIIH zZb{hv9(bau1oDfhoxw9~&vyZ`h$tEIjvbGpm5vWL6& zyW?sDh!lkK^SsxAS>iG(aZJ5RE5;_Wa&;yRDkxKpBnEtv_3w>hWb)Kb%}uknCtI^c zJFNY+E1P@lxDwb=5AA4}IBst~shHwfd!hNjOh1!OLU-1)eg!Gss6!O(HIOop7ko_h zIHOT|K1@Ubh#fx2aL}0}0t-}!j!bYi@EV(Qu!Rin#A9f-6nP%WoW59(?aAO@`JOwspr^gNr~gj3d!8wVDqNfrpp8G0I6q?RTuy4>C0;&X@i`$5Hcy1K za8lwmj}7ZgR<=iHRGQq4IQY%AF#+*2+G39D^L9S{9f$p}4CyJ;0RExRn(j(HzFGcg zvg@_RJs;J+XURtPKLTXZ(%M^FVQ&JzEMzedZ##i38rBQf3^GbM ztJMq5l2Eo*?lyCgz0V)~XTG{|4;>T*qfh`5vPxKZTvne zV$$}y^FYRj#Aw4n7vploHl)u-BTN+N~yNl_3 zo=w>{cE(BLa&|-90A9I$Wm%&W_-;U!A!A$?PT zEj6Inr$fdDZq&laEofK$9oB&?xcsIi2QDxpbYl*J9R-C**P*T4b|Ei41j=O0;9-JMG^$4v0# z&e^cp{#|CKEhHGvbnS?k(w>+y>RSSWf-VkHl2>AFWGdK4TpUGkCYs5=wr7v#1k~FW z0@HTNcoFp<#j%s0<&ILaivei+E-aCV+=L92D$2q~-ZmLTs0Q%IP_-Q(vSQL|HJw)n zMS1;Mp9OjIUqlOX%@Bd2y0zH+akrV_HkO>;adpg$uUoEK<|73+=gaNHE5X4L?km|e z(Fp)qvcI$?+p_&N(3*%G$fS(+7h$AyUyMcb?@%F9Q^=GA_kjICE%BUPPVh;2Que_u%cjFROXgYtyr5r^1hqXSh%r?WBRZG{HBQ6EnTHyRfpn-0$Ic z>v5&>_t$Ozj>(a53(kWxhA?2+jr`Wb{bSyCPx#YdXYV6;b~5d3=J$Bpla;e2{c*Q! zuB_c^0EX&+sAIY%ib{-$u#2G-)BoPo-liJO5Y-5XbkAVxzTfR>zwSDY7l!516-_v% zHTIQXJ_gp_PM#;Yefy5ZYc+9tIw6 zywkKD!4g3*!0@JHDKVjh2SL!d*M3b2Ll;#CIt)EE;{o0mMh&RKU_~yrp9dDchCk+| z?To~+ZOh%C55|Q*B!D0PmWG8sJp_#Yd_=kRqg{4V&(4^Eej{XvAZ`7k<&h87LRQK!O3fKhWq zCQ=r%vl(d$b|P-G+B{H-!p;|YjL@t7pDSvP>_7h#!6J7Z1%{2kD!uIAkH~)Ck^@=A{3yF2(U6JM; z(Fj7MKgVSYVeQvE| zT_ifwS22&rrR}q4;UgZ8I}cv>)mPL3w2Vh_uUcz`ZG6`Kf*gb#O7s%Hi=UWE*R&OjA=QtTkdg5iwRV7 zWW?yB5-y6R`zm-O;Wz0pMtfRR?^V>ZGX}~*Spz%e&N}%6f@ZYuzyF$%3!2uxH+uXt zad#GWH^UHiyN)ZB{f|4mGw3&}??hil(G_~2J{LO49btTXNKyjmnN!1~>0lvRXWk7% z8%tpO)WnSaFb7R9Yp60F9I(?K#ZT7KVe;jxQV#8e$Sy$j_oU8}0nnVpt(H}GBC&Mv zQlU$|sdjONa##4)Z~C*N_yO9V8mfH~fTfeyLvDOZLIyhEY4dnOm>kA-_~P?SAz4F{Jw#oMuRQfm&ZPa8D-$YEf;T3gfx>V#(qh>Rd{G;-&q4>Z zXE6gG)y7a7Kx+Hv(S~lCEN54TL{Dn;{YD?mn)xkFe{i-}(tT_nH5(nm{swaPS31QW z9n%U_5pJ{c>hIfO1=~AiM>DD9$B*HU1~K{%2JC47)JNrA-Sjqhj2Wga|@l$lkIObSfxyDCHc<$9kdILrSmRtt}n2opmH%y|B;x(yQ_Q9zv z?!R-rYoH~I@7q?*oUT5QuOAmv|H12j(+BPQ?p2Mh@ZZ4MU49pVN!PQ@*fW86lo?^=i86Wg%M#EB=m#TuO-=uRbNND#T5~j!ZA;YdF<;X16H9w z23_((kBbI5goV0Du?&=L^bO4KzQAs_m+u(E?Y z@KTA8?sSnk#Be{;0G^oXjWJgjgcq@h4+JZHI5_m4I&ZIcI19ZJ3+B713Jgec6&bOloeJ7l8z21){h+GM zn<`uAFYo=X_&SC>J3wbq4C3mXz=scbS(i=NIPOTZ!aulf{)60!ldpgAdXxlrcY6%0 ztf+{BytD=Keyd>6T$D(hq;_pF#radJY#$9gAfKj>Ex%@nX(vj!WF|XN@VVNG4kHfs zU2I5dR3ncPgqU$Ic`7%V^VwKP0t<^JP%D~i@_IZp-hGXb{Z9#p7=(OOJ5+H9)ecwwG)f`&9Ty4y#6;-}tbcn0yyzH;;3=f$sl*Q0+&ddI2t!Zd z$F;MA;H8s~NHBpWtp_GQVo1@+*J(jS+_LPHjHpqARwfxtp=KE=&2KtqCCyEnIrlc3 zm@F05SC&?^*$KBKna51O-ko^adygq|ecEs|NG$(weJT${J^q%5&OWsL?R~sm2-wCX zdpyB;rqhAlL;nQsqpWP+RfBV|l`J+@78kAVehM6t5${q@Vo?*vdv?$HSm2qlOK*C? zI5cLe^qj!5T!hsf{vw^yuQ19Nd_CRcVtKOp2khpE(SI!1E=7HpQ1!RmoN>fWQ1}K( zQF6{qg2o;I;nR* zn{t_MKJs}2YaiiwSVTo^kbmSPds!!XqAH?%OdSiXOI@e;>AQ^adi}%hy+=8!6sA>E}fBN*J#kj%|tsfzk;36^v@~ z45-@+L1Nw*>~xOF{BJ10^ZZ61Wr|5b&**>f4h$lJS9D7s8+n_}GF<>l*vBHR%f^*2 zTAz7W{EEb{`5jS(cLuszKyM&(B7Cfb%KxP!i^G*=tU3E?n2FoRkPTKr+d5dW@lg3y zG9CQKjls|T0sY@UY8;ca(h_WB{QH4n6+EOwkV$N0tb-@M(^+F;o#^nf}{^8dL_zvd5PR-=IpkB<>G|n@u94p;+dVq=4W6a!$xp5N^OHZ&`18+t`6ujq^atZ*aY&=$+^vM0n4f zVxG-ss59mgy}(Eup*s0I2LqF4)(CUrss*}Uj^4ZvtAU&2yPp@ z#E1Z&O>!qenP-QB_#bS;z{g)hEw2i3X!a>|j~qU+x+ey-YYa#PqL37905-9Rn?L)c z{kyhSd%_a^vPreN{d+^9BQz3Fg)IeE33IXoWqWBE1G94y>3T%lq|Hu{Y-;9+0fz8F zOvqQF&S+fGO7VP6)80_WSDv#&_HBGYA_uv>t~X;gJM$8hErhDiHrgrX?Ygn|690uR z=6|ZBOMQ9a#ZpU$WVpg&5`af)f{IhZkBOj$Y}&kiZ}=>k;d5~H{EtmYmPvz~FGhe9 zATa@IR$PIyxTq0{=iV@ff=7@xO9~B~D0f5R{{hH<0JZjkT4K0xW|M(ko$hopCW`ld zn-R`X82c{C!9^0WHf5liS3xCy=9dE&+X&)|OHRxEcLECQu#shU>y#YDUUL5FH!&;u z&-1X@ml#|=vBcC58svV$$e+dYAHsZT_NfP-!p?|)Qo|sIC5E29$xy~?rf=W49ptoQ z=U6>d@Z4#QO79-1<>}(&F}m@ub%!F=d0shIzqiAY-J>2fPK4zTg<)hdHsY%XD2ZF0 zgg6@RIhw_GI~qJEgJN8z^kfHQ(VuR&hi z_F$B=yqy#AJUneOThtk1bgc2+km$z$;j>ls*7veYdC9u^Ez@C$6y}(eoUU|tR&t?_ zQp&%^y1%6sszZ1v%?9=ww6ue$3Nc?`mQlQpS z2uU5NroF{3hoeeJ33kK18mJiQA8~tyBsRh+$hhX` z+c|dI=CG{zY0;fO&gRa#U3%UGJ3d6j%;azqICXvnx#O+S zdNU%pKd(nD3oY5R%wae=0}L4x4M7~TT3w?R5F%%{U29|9BJP5_S*nalh5ghIQSwb+ zb}3lgiNh)e?M)MqcJOMd{=GYtpFW^UZSy3Sg2h!W5FcFp)v|E)es@IWwZl&bJR}tn z9vU!&O;IU?!G^o-Fs$KisNbeW784Tpu#h0u*>AV_CL$CN*hg5~w>a78OB;8CAr0zC zjzohS#1?$)ScLs{l8j#iGJ*0m>|*uhxIqeW?*VzqYDO6rDPv2`zbnMyyH(@aVopb3 zHEFFO6~xQyF_~X@bo#OHUP19@6(>^zj&7Mg+M*$~%wDi-V0!9Aq>}*@hq~?E+`N4Y zd=`v?k-JFLYD-8G*9?3kK16$RrKs&gY>A-dyLKD+mJ)991Jf8LMCTt@pqA(eirF@N z3aiH?{N=i(9R{$aQ{4;HieByD)o$u~RT}uteR`dJH+jJOZ=Zkb1Y zu}ZM;NAEhsw-0?S^7|r}84E}O(zI`XA5DLme%5AZffr*wKKe!c0!qcl;V&ORF`44u zR3Z3OeB`;8sH72mi2p8%7a#)MmV09^GDhwB3+H=(@hz><;eetgn%CFAfBg$m*;S5G zyMNq%y!hqSyHWVU6NK@MDTD%NgYWna_U|0JC;4?clL@t2b4MT0FJWG-9l!f-#!PF` zxLSAYP&H{N)=fby=|m;)_UQVqn{nPmacK83VXL4Baqd=hywCn8hS4D+LCNrVK+cN_ z94Ih#r;WvATXZp#Q4flIEMLg2i;OQ3F$H}r&4gXQ5R3cVzq|4rg0feF2;eh!)aXTa zktyZ>f=7#uaGIIf7(aI(fC);@5n<^ufSHvbo)E=k?S_4&0k+|<{sSc)JD1iZU}oNO zr(L+E=qu6$P-l#v88*~0jyT$gO28%{H}O3eNS^RfOSm0p1D*#?X1iz8B*26a&*G_Z znp_hx#3UE+_wE_1QD#C(rru+ao(qbBI(Fd3@fCC!czw=XWRpTAl|^rV8)D&v;Y*d_ zpT{Y0PS%MJVbBuL0`nm7thZ^-G{B&3o`}lU_;y;Z&!)^VC)-#u10^)a62=RQu~x^G zfwGN~v5dsqmED8thE|ei9{(wL`7{Jao#bF%m`A-N(RzWFsOp-B)a+q;?eSy(`7oR$ zM8GbhD5pdAzLG8kFK_=?<9Y2RAkjA(VlzfS4R?2_m_x)aheF9&rCK*27z4V@tc>pbbxh?M z65#fVz;8NsZS>zTx&Q#QGv;U5;D5uutflOimQ2t8)VRFp1mw}vWEs|_ngP@gjxH(I z*)k5&D8=%~GZ{MN6RDq5D@>>7TlA|KM*nReLI$ooqVPxURHm+{`!B^9GeiH}2T~4%Xra)OBMssS5M=PdZJSQUo!JIz|4@u! z{Bu!$=d{FyE;lU>I=`Q!tDR2%z$Y?r)kf>hp+Yc8mz|HUG7=Q$9y(nqcn9A=?GW0U zM#J6)%%myomp@0Pxn{a&=*$T0+!(O=<(J5#pSf&MkUj5q23wUdELBB!tAop;un}Zw zdS>2n>%90Sy@S*PR}D={(p8WhrhqbbS$UTno&aRgh`)%!L$1)I?9I)z`49vt(>{ix zPsaVRa|4`^1;2QIj7gtMIwr{SSJKIG zIxV~|{x31+anTc2ZMA1S}QqjJJ*WOS`{% z5kF;2;S#9_JO#bd?`Ifv;pn2M#g$cfJ{CIZd@Q zbXZ*vf(D+$pGFVHEJ+B%TG9Kdi4yoFWbb6dV9PW*Dls?{6|3ohdu7vS@c=0(Qj0Fc z?vS*GxVbN@S@e#+yBD@P3C3L5qzh zd>m^g{-;Wud+r^79`RLVt*B)Rbf~A)^mZY*BU{zT(auLqH%s2!_2&4m@c6q!_6gqU+}=pgin1cCci^!$6(!H?-e@LHVjV&G zN}Gz~4aU}Vbv+24CuaESQ9ZT)lIbw7#tmjuBz2m=(%FxK#W^gykM2A`8M4}pOyhO` zt?}fVv@m{?u#Q3Jscr)J=OxhFGFVURdzS1= zlBg7l+pzpRIZ;jXJJ;6=N?7+1hqFm>@$%N8@;tdM^sKSp+N2^d>RDs`Ii9IyQjU$e z+9}^B)&=7x0DHEjY46<{(PW($&vqGVOaWC~=39AJXFFeAJYFy4yc5&qn`D~MCJrqW zCy#P!ME|@WE-E&@O5D7ToY(MYVSXHmXP}8m3rf5`nX6KtiuisH)tJAzdmt|EZCAOE zRXXG#$25kgTlPX9z8Faco-VwBKLZti{rm~Lsecqh?Wc6-+`!F8nxuZ-l1i&-`Czf% zyg$;k7mg1VH!dMp|H4PaZQk5osAyje{?kV;8yLcFzRPci{(a12*kUm4>l(voH&Q+K zLru{f%4rVqTo=9LOFnD0e^+5s97FGERcMkB&qE$>!i)L|aYSF;MHtb^>W{UGBjw-m+!^30FVU92TXz(G~J;Yvc2~$vE zQK5wXg4}~B@^hb%T=N9)DGHbV0J~(g|B?D}@qi>!q(I=U@4~zZA8;bMa~05r51<(s zZ6zG)$S`cI`_ChQ$h)dY@Gj){IyMt$gZKo7h^-7vgkR`}x6q5#|2L??byavf+aYVL z@0WG?$x(Uo1foH%VDVl4eX5n*79uW)Mu`fbhn2>ti~Tj)(~Tb~;aO zR!Pd)+xXooB`BO#`kDz4mj-klfl^)F?V!G)#2bKZPV&+J_TD zx|qWOUCBJ2&}^nfqxi<%N*s6u8y2TSV#Wa_doftBZ#DRfLRdDYK=d*kV`9)i8ak5M zluoDs$i;1BwzT-GpmN=6hpt`&nI2}Xjh#epGKMEZ!~1ekt}Gfc2E$gJYOj{)k|BdY zd_mnW?*=8^lRX{lG|Y)*2;Cw$Y#@NcIFLdmbMVNo5Mo?R+y)E2L>bOETt!)6iwW(n zsyBx3$5OAN0j4tQTMQup+4uiWUwePXlJ46Qx*Qr3F*O-xS(TF!vCo zzG3vuiOGp&+d%t}(jd9}pj(jz;dJ;n<>&dCB9W;U%{L#uE7MPoK-@8MF&}k(Xgdfc zQ1QNX*-{x-K%c(%03xlPF&C4y@C-HxHbwNr>@H^x?_5#ioYV~$baA+doB zD6x9@#gN1CvaxCq9C@QkiN*&GU9!51hPeA-*%7HO*;vB>c+egQVB?0{Q-8aF1!#ORLKKtjtxrbq0-%o^-V;o9joyR822j(eT9QRBRDnvi-vi?c7G7C_kq! zVYIs3w195;Z@~8wO~7-)tl`F~T}w*!pLBVS2Jwiu^sRwqeb?yQUpu!iXU>%QoW#%$ zBDUs+?u#X)Y95LsI(eDi<~f;cR)Pq~k6X zAN>o&8UEFwHQHgCN5~(y)2KQYu@`;!mEZPRYpNB^yMb797wH5Hq;0vGkTKH9v2&2u zC0!IvTZ}8shVEByozZs0s!$+7GM$Uw;e4PKAa66BCt%!-d5W+t&T)wX)FeYlM>;e{ z&ajCmUb0bOI|awTj`#s5r-b6joaokmzB;nyujCJ;TL~EcBtzS4tI4yJJ;o?y!M%H| z_P$u5&v2e=8a0-HXsmC-j!f7fyy)meFN{Se7&VDv{i7zpWNR>Dr|z*IkFqFBn?8Rk%gd!y!OrvIv6uj_Hi@ znaZk|IRwchb{nf_R5GEM{;n6|ljyJ+Tny5Xnj;&T_O*W2ps!E0)z@LXlEM_aL%9W* z+zBB~!no|iRB8kW7n-}k=6H5v)($?$-S(3a@v_kxSwenthtFOp#$Mv`Mp*&Yo>v~~ zF6+l0w|!}TJEjs?+q6&ovmYy;M}QGt?_Iv1i`q|ekJI!X#S+xvc^uiSP{RU?NYoBt z30rl-q2A&^-B~zFg=64ju)g^kbvzoCyjh#lJuVT{u|YSdH5PXtVOiG42(UAQL#ocS zh&O~VWuFNvNaDXD)mDZG2YkFZeIrHEbyJlwMLq1O$WuaR%ojLX+-2tF|4qKChI=;U zRL0cco89VVM`=L>T_dPsEx8>&hiZthL?YLJ#+!0$an@iI+~PVJ!F$258 z_9I-*7@HG(0GF{5@1!?GA{?PY&lqh`ptCZCu4*)vE=raIXYF{E_XhL7K4$mj13xHk z?*7`}b{Lt~YU(G2ZWHY3ga2|AN4c)F2Ca&gkI09~VK*)D!U$Gx7|QN|it5d0nkV}) zg>EgP;+V*=mqa4$xMHEYSf}F=P*2i`N9l1O@4mKtQ^O@k!ngv83i`44NJ5`oRIoh7c^2`r$7 zx0DU5k%(6CAYO^>MkTbH6jw(K!%Xoahec%cF{9jPw2r{yRC{DwX7W4d^!k{VC21AnW$k~LX+uTR(^ZqddAx<#FkEp3=qBc<@Pe4`utV8TQ2mvoo@T9 z@EPeDESdT^Z;tzVYEK zGVH8$DiO7`&Z$LY2GvtVs#ApvK?N;Mtx#na%t<_8*JyFJTD+ z=UN{g`%Dy!eIAl($+MBc4fb~fh&R*!`bv(=2pDL=LV|31_5`nmCHrv1DT~45Z{u~a z(c8P&`#H3S6gK&^aJGa;3J7D}zQTvVck*DY#W8Mxm;!($LRc6Y$g)feV5SGiZ(RMLf3#?&vQ;Ui=TV?0v@_ z=3BHZf-xNp73_e$dyJmS6Rbr!NNX9KNlaWmKVk{phf?oaRYF(3DgZX{5$xEES$Kx8 zxPnL5m64d;nRK})-IFX5FAJ@eXphj!r2Z80Xin3?wI>yN#0!y#qHb&^l21d{ZI1YycJ!(_pe5(9)6X(0?acM5C?|@D9|E#JMd4(cQrL~f&^knx*22M%A_@@4E zemy62m!m{A(CEH!;8x5i%ved#!} z*!#^qJKk1Dr?wv!Y92Pg2Wh@Ur&W*)6=;^7+E?H4)=%58gwG=8F9LyLNp%yKgXb&WvSb*8#~vh9>eO z+Nqz0ICMU>)>SI>Sb3q^$DX|Xf9VzTp@iS_-l#x43NE8Noli{$*KULwVZ zzWbHxQ`uLHYHV*$RiOwEy#ETdP-|YX&<#9t$ok2RZn5?IwC)n35CT?hhl0p zD^y9O@5n-GoxZNv-#;@eBB*HL0|A*AN*yBTg55J7vPK#^W=)@Esd$zwBAJdo3o>~8 zEfE8vB_JA{;0+iz_I+6;kMvqkDiL zb*poGBE$UfpkXGxM(%sPRZ{{`XP%V1)Gd%n0hwe|mO)p^wny9+maHeb`3%6u$)Bgibu1b;w=inMGc^A8^#@ zdMaoos)73@;9DikMGP@@9bVoa=vixtdwS230-c}R87IB2XZw}<7lWKytDLEIP)wye zjIs?Qb}PXu>%N_z#YnFJ`I9D@oFzL&+gf^-Pyo0U$@UGb-rjCvJfb;;KQ}yN1S_-@ z)C@}+rs#)f>LP6jR*bIGTbsapYn}0-BRhn(kiM@qeD(4p3xf8ZT#VY!Bb%tL@f$?Y z+P0ngBO%RBMcwa8R%3ehR_v$hB7&0Z46mhP_HbuolyC~?ux&nv~% z8Y5>ab%-bEGyayId2v}>lIW_lp@-p8He9_sa~x^U4=z)!dkuhF{yG;`WC8T(c4slh zv0~$lVmO8CWWLsk;RBZ*nVkrrrY$y1tRI1_f`M_E0)r0zIbwauF&VV zwcjNu*|6ejfzA?+{;g0JEPSu-KG64A=mAeMWOdBn4y(8o&j8=8d7VZjKWF4(pIX=2 zMELI)5_~X=uN~GWX2VfhjDa59WQBP-`gc~(o^NxOEpyKYn6+hl&v@Jcfgs)ODRZ^68PeTPDH~q=)K zQ*5nTG3s+bv3t!9y5kPL7j1w09|*1giCI@VDqB4|O7Oh>l*}Z2_Yb4B{h*z@(JM-I z|G;3rq)frr_JKu2WLQ-zcLFcnyh_bH`!30Y*(fj0qnw8Ds=@UzC_In4G`%v z8ymFEFdoX|9(tCR5nCVtX5P-R-w!^LoHrvhZKhm6p;12vyPp9{%k&$NdLvMPm$_`* zq@j;-6em!iU(*t{s=}QDn5P3M_*z+rIDkSwvJlkNWfp?NDsDi59bN}ez~8u{_9Wst zj^YU@_!B6=Z*fusu3LzOx=gMD_fgV$!2}93114;Sb&GBZ6Pm$=P`$zfU>kd8D_h5% zWSz-wFc>AXuCCbiN)|cQz54`A@EiVIuw5nb{v5T&>Pl<6D#mZcbMS(8Y#l;0DRSg3DhCWwjMA6_5qg>e#i{EEZN{W z1aJajLIj2+On@QY0SIz@117K|*7Xx6z&4fYnamQ%@5(1!*jv_<|LbfqxcmpU*rj_8~;S%ic0R=i+YU%TRj4WK?X1Y9DqV6P-t%gg?INCB!;{K z3SCm{gR^U=neDKaTJ7%$FrpThj^8l_KR0NMBHV`dW=7a!W(0yiW|fwzLASMS+{kfo5!my*57rvsjNwEPm*6bHuGP6yD**qL zt24o$J&CwmWOCaR8n}st?=Diypy}0_AgPLas2ZHLhFH70b|(0e0S)HrNyM4pWR3*v zP_TK7H_ce|AsN@agJMIH_%5UNAgMZtqlg#fz$(N56IK?MpR)ciEe1Xw2V^8xKmjGZ z$5CSPtW0K~;i-ZRyX;2pn~U29lMi3)%0|)3$mT+F#1WKRY-R)I+$Rf7eieelV5Jps z1V$K#oZ18q@Gb8ayCedi)gvg^OiW*FC3h0>=F+dhabj5@ITEOvuLxv@WFM5(OUSIP zCSQEGXl1XHqRi}5>=P(ItJxjf;a$%xmEzEuga>T+)SehulQTm(8;UzF;Rh}HkOfAB zO)b+pY>8$+1F06OMjPzI9fCPqp!)=4_i$(ab4SbGunj@AFuSefF@BzmY?sppiKDVX@vO?jd zh?oq!zj|*5>z)m83N`2{i&>L#q3)8?uRL&{!p?Mx5R!w)CeOYbRuNb|pKK?jIDLK8L+36ZXZV|7IhY+k{$lm0_KV`9yPI^Bp1 z%ows-LD+yh)HxJTKKC@h>i35lM5i(%EL>-Lp{?0dOT>U_XThiep+1Z~R=Bsf6q_HZ z3+@~tfcqaV_sI?7m?5ye$S=UVRY{?>9FLxcOg`gMF=!lW;mERnXlW1}-Ox|iuthB6 zl;OZV(TO%>b|~zYxMzcmGvS11n4VadJak%!MFG8KN>{zZM~^By4~Y z-&uo@vF2^>oZR4HQ~H+9o(2}U`(2gs)4zQF#h0J_A!zvY4?qLmTb(?}CuoRw&@iv* zgez!}51;{NJ%0o=gg*ognxKI*Dm!)a*jw`v&`__SVP=Ac`BBiYaR}lYprI2qgga>P z&!AxvG=wGM|0ZbIZlGZ>Q@IF?Z-IvS3>wIGO)%-J2LYf#KY#{%0}YzvC;KbVz%F!v z25N@OCHcs)45OtO7YC6v6XMBEq3tB~Q@M;YbBp z1|<|&c*kBgm}MOD&1j$o_$S^o*jY{SAjzgnd&Bs{l^`ZYcmcn* z7?3G*wFnp-ThnPG2^cua&L4n*tZEn%ct@XMk=1UkVA77(5G<1P!fL7_3)-!JmM^AVg#0_#xdrpae?t_0vN$8RVC{C=0AxM zt`H&j&>U6u#Una8Qb&LZ5DtjIxwt1p5I2kv{v1ZwZajr?LIeq?A^uAk;RX?|>_&0J2&6a4 zXN=G;{M`PWS?K3|aRAYNdEM2xVeJK+*+ z{nqywDeiH{2%miQ`Ile6zzF%nFBCg?9@lY)2zoNbIdG$?`Y-@{5ew~1^J+FeAIi)y zUI#?T_b$iu?aLMiD#euu%L<4#AoC2x8-5VQqEG`6KpBa4#CE}MPHMwoJqQYten`^cVdF(cY zPHq1dR}6NeM6Wdri5tFiwhTPnw@d50vD4U*{^Uu!gExhfiJU)a$;!m7)vo!_)dipH z-~1{_H{2%BPok&fO(4adyk**gqyrMwN+d!N8aQxAB2p@C`>^*&*S z;gzf5{8H9QVxtnWr$S~b7XNc5xb@Z6Mp+^RPTQ_M8xf3FLUQOsr%!HeXF;eD#2Z*K zn5;!GHo;L2hmC!ny{=S?wrZ?;3@HgX`5BH!?z{3Fwas(N>Ys&&t3V7TP&$y^uaphI zMtba)FLspPzio2Bb<`zE*brv26lXk+SmI{RUIBf>w>97Rg!Ipy;DY@~hQWmI`^`Ti zSPoRm2$@tu95Wb0wj@^kLP+D#CmhqiR5A97VqoxV`|iipL36-nr0S++d7Uc8YlH-Y zS~%!LVS=S%To;iLmkqy<3j(}L4KJK)&apI6P{5i(gvF6x-#m~~)?Jw=3FC2LLWOz% z?y1EU?kqx0Dohm2;9*;MRdJg&XDc+iJJNYj0O`5#ANX)UgahJ~x(b6Q)!IEBbdMcuFV29r}>aF6wpB!cVH=WK=N*^6rkATGm0;y)i#0DotCbU*X{SQ7t`_ZLU=0Ua{O z3?U}Mj-B3FAxLe9cV?|RKIi>C{4qx>RI&)gU6Lr`24{+WEf%5Q;GNTLavo*8a5w>& zY^`@GqEEi~`s)|{h<_~~-oN|xw_iTI|9@Y4W|Cg{j{Wq^(zBa@^TSs2;dbK!q@_i~ z9_09T^WlvP;PrML%Vb~@$bso??F|g&oX=yKIOC?Vr49QM;Ky)z342SXmZvY35o2|6 zdl<{qq>iNJ52-Q`L%L6iUOL9u;dG8=;`MMQ*&}!#V|5?m*A<8IW(tQbGQgoi=&xh| z!Bt?!U(yC~M8XQ*r-R3a35&@95?4hsz)pTSyjNROqVtn?M(|z{Po6r)u<}wy+Q`Nz zg7czv#=CfGc*j7i44(-YOG+Tw$1I2;jFzG>zMBm`g3Co+!L}p{_ck1!hxu%TucUkz zpF`IS#sQqP-C1w9`0MUQ6tI)9eu>ikoLeRF8ZonTVP{{Up->an9D70zT%>lH*8}&1 z{m*8Jml{(&tO(7`SOaRPdB%iL^BkKO>-G2q~I=9raME&=^27ua;ID?&P)23$$u za-*6LECnJCb0`QRc*nWF6nRN_&S+G2h~L?+x8@jlGXAh&r-Y#NwuqpC79!^{;IFWd zz^bY@=PZXhJBb&Fo_&I-mPQo#$mMr;j>&Uat#D-7>3l;$p%)iaU8P5zh>m_@!^{Jct0<2 zQyh(iKpPQns?iH)w!N20?%GuBeOtA9%4t^Z*-$xHKu73G4@L!kJOOO0hoMc0%>M>E z3M+zRIyrZ272#p7ZH0Hp2;8@+14oiEHN`SDJ^;79;Z&|>FqZ0ZnVGfLO-NphQ=}M? zG}R)={HIbRB7Rq>M&0rpXBd4}>e)~eBpv}?c|#a2O5=zn91#S7RFcKg;n7>dkCSOl zR-avi2PT2DuT*aZOqnx14oqhA;Z7BbpxCOhR52d3gYl&MN;%;;$Lc2jf1y+Ct(Tep(4=UP!f{I*nut(Qs1?M)yJn}lEY|NRU20w!M3D?*5qC%0FYkN`nJo_ zF*sntwntju$Hjxi5mU=+-hDia2g7M2?&5GT%W8dpp#Fz^b=p`G@#wYvk`kht=AHY$ z<_@$q(Qg7Na@_5>N(oU$pfRLwqHAJ(%VCV2({18*_uij<{?%u{(=)ig|NEOU_Ngk! zaVn(_P9l~REU9c(0G5ZrBE`mTN3$UHgzLkA#V1uk4pB(1N)Vpt;XB_YHpgw<=$qBA9g01z%5#8VK=;3y@|n}suV)|2)Kr}hWpoI$#Pr-Rlr8bg^;AEIlBj4^400>xQB_9b#62UP^ z_`NEE^u?2=I{APb$DKEDhd>%@stbn%HmOFT;*o<3<``SV8I@lfD+SweJ1z#$w8IuR z%UL#n)f|Cd(vBOv1wB$riDGL(QzFh4(Jq0{71}NpRvPiy#J{o$d{Ouu0~8ezw$D`N zpcVyQl!fYo{cKbebeCNTb_&d;D5&SAWnGGbF;Pia<_>h1mZIQ}1IidzuQhOi5fvazVYQru&yD;i?Ib|2mXvgmSPkGS z>fm0mLeo_;MQ5q-42n$uhGV_b=j>(5P6;|)#`p=VMVvwv&f1#NnmT=EUKU-imp&3_ zq6D(~rQGOBu&5pG8W5-pS=l(rM$|3hU0=98~|MY{cN~ zk~JO?8>2X80rnN9H0Pl3w1cTrCIxUOw!}fxXENPewu(`L&M|I1f^`X#z>t-_J*g{K z*Jyz-mzLm7N!YYmEXx8Fz8G#go-sSwdNFZA9|t?&Cs?2Mce)-2yni)ip)1ONsL&K9&xr;H`rS*{|Nk6MC>3axD0T}=`<08q}kL+r`~rqyRk zU68F8mzE&xE#7Z8726i5C5Uqp*o=+)Os7<%jdGl!I*_~`Ti?B*dP{5&4}00111{V+U03IG6O z@6!V);8iwid!7>!`^VUN@li2Ayc80~yLJw++o*J*ZvcQf`5@g$u>!t<&nNOsEPcKfAfKONG?|58Y=S>XILA^rF#Li$5c=&S|L4l-nF+v z`o2PX^HxYd|7#)rc7*i&IZhYCufGiG3qyMO7}Co#qzAT(gK1ENy`1Y(48D{{m+lGo z9H0s3dE2~J2E|&@D1S7hztQerh4h*seQb&& zUD?`?Ez9?i-XG2XO)LF6q)$gkPf1IraN#PiAwARaEaKwB1Mr#oNJ!7A5)A3dxuCZ3 zMX!)PtdQQ=rQmiC>CFo1+0By9ke=m>y04Iaz7^7IhV=b8q=#)VuR{9y5YlV*!A!;t z4E&YG{BcNcJ{Ho4e>$Y!Zy|j>Li+K0A$|Yf4e7&E zNUv8&KLbPh*NOO-A$@oq(#!u+NT2^d3F*Thg!EyB^x8j!^!J|rzkKsKg8DyX)P3?$ zE_eSV4gVFRZay*U<{vTYQbsuPicvS}l~Kp56<*TtpDA_y#>4mdclFVbL7?k z77t%+{-D(T2_Am`I1gXclTtVSfQQd|=L<^R0}o%AM;<;FGM9Ac;o|{VFeD(4Iw8^> z4DnAMet+QM3;huuzJKK5j~6`rv3U6Y%EOnPK;Yov!!#801`q#IGTBSh*p^7Claw>d zFnavZgNI*-z=P&a>Ku`ylRa;o57ab=)*vpdI5qeK59gP#K81lfh2cg~tN3jO;+RuNgEoEt&{@g@V=xN#01>+x2Z*2qK0BLz#saXipNXaX5)aHvJdheq37p<^s zK+F`P&t)t4HbL;f_^gi$B96h$-6<2uv50UrHE`4s?9irl+bu^l#3`=Hw&qMDg9gMr%ZjEDiABaA-4!%27mKmBInO19#rVN&(oANZb;0AgZP^J)kSB z1_BM7EPzL#$whK8rZE2nFN9!d6yPnKvO!9qA!aNr&mg$O@@k&n-ZfvXd+IV47{-mNCbC-cyz}?2b z2LM;psj_2DEh5g88dgxO0~!0~%n}~X&ei}hhiB=O!aOPoY_7vXB~C+zQ+1LAxtyt9 zY>b9M5W6uc2M(|8EPqG}Wn(7`b1sYbM}|TN#9PYJk>lejJd7g&Z?9_rWWn7Ko1s^j zI}n;=PvuZB+q|M!B&H8wRvbbt*4%RMnd-o6vK)Xyn{~6KZj>PSp>P&{*>nW{gIdJ+ z4@W;TFS&76i;Irs3Cd%_*iEYeA=qpQlh!$~R>J#JSrTBHgrSYF7*Q}l#K?q|LBii4 z;Pu6u3d2GeL0RvE2Z$gK#s|l-3!1;mY(!=b5C3~#&SSvNs5QqI2JBv3*fNJi#s(|q zcEso2A231$#K<0&ea$^vBMSH^6nR`~aqv-&6Aowd)@uV`6|X=-;b9!Hs^^@zBMs3B zByepIoO;KwI|BG2lnQNU-?KUD3c&t{3c#=$;aVCD+s!VgU<^T>)vRe*;YLm&0JxDs zJerfE1Oug#nPhP(vreM$9iviC8fFGiLd;1l0k54?w`*=zB>uK))HEI7)fRwbd3JFn zSZ)QBzy*fo2te#ip8&QsL7nh(F7cptk*h=pg#(p*UnvaoM*}BZPRG`e!CBIkvGZJc z2!IL=M7jX#5_%-;6bs;-X9`qr z_0~8{7nt%u5@ZP{xk6YX30?FB4xYnM7Ls@vQ1Hao&&)$aAPIjK7M7w`yCDe+fZ14B zU@fRWkoCoa5|Z6#$AAJjgM2UxSXkKb7I|z$5_t1@A_;ddEX<>@Ak4_Dvpyh+5J^uC zW;g7i;^6?2NOc>-97qEDLn&f^Ac<-Ku7u~95P+6!?t(#^+&Q(Vkvz`OpF@ha-I5N- z3QbrMYsN2f=A0w3Q}lue&$>IP`1I4ieEC8Uz`g(ehacYm^0)7Qr<{BOv10 zvE(XBKvmdrLmRuM6_R=7u%z33Xz$~`QGYm6*%ndFF`q!5?R|)a;#O8Up(2cSc<6&y zfH>+v5nU}_fn2&?WVe%65P202MZr}~fTv{x@J)W0VKe_ECj3>-_83<9ru^_Rm`KEG zN;|sy7OkKIo@7oYVq!m#5D=F9@MW2oje_n3!1JK*V_`bN$RgHz1R`yH!B%Vy0C=aM zDvmCqP}!-!fiP-vh|10w77iVk1kflrhHKjf^diV6g{4h9p~RX%Y%}}7o$q#MNog{L zu`)E2R)YhFuWFS#W3KIa0>0C8Hy|sUpj|B;sWlXD~y zpJ7`_j0mmaUx8Jmz%~F302w%ju`abumr!ax^ht=3W`U2Z#lRW>#44$rUo8ntBM%J^ zY?~D9<|WzCxy#;}Epi9hFi=g{T}r^(&P!qf+H2SXKy$JTCXTn0?OK@-RQq2FL2;<; z$3ZrGFW2slWN(nU** zneafYUN7o~S&q`AswoGUy8(9r6bRIYOS*F$d6wHEnFj!j8TwKgHk?U9in*ZMVm||- z)g1sHUV(fSmKcDHuy~C*22L}vIRjEf4j9e=e*kYBedOkvVJs9KDYm{^1UI?3fWKJO zgCLjga3lApx6068!`m6AE26r}1W{W&6bI|Kng!xL(*U1^gNBbI@4(%f`Lap6!KK1s z!j~unf{~YMoiY*vC^{Sno&mrW14vC?4qWb{S+UfeNC?$yM74cLG{DGO&1I5a+)@ph z3YK^>EEs+uo)e5togn<#nqWL}=`Wq*%;!E$8>>#CWQ8rRRChFSQ4cmiM`w#()I;MU zsR!w(Qy53pVS5fR!hb4T8tS)eilJbk!aPhc3QH%*5=5OF-EU823LF^1QaoF~iw=uv;c)J$h6m=s zZGtfcyB{YQ--rkI3C7~aJh+cAW;Ba9gpD~@T=3=BU;gEbufKUwq4@ddA3nVMw_iSd z`0?F$zkL7W|BHWnGuc3uGs#SpUo(tW#lkb%<2W)LNfR{o@0?V?srHFi_yh@C-&<)9 zAOQ%>=2Lb!KQ#C;DFE-VF$_-=M+qQ-Vc{{Pz~Qp9BH5X2MPQ~VfQs-O-l80=1E&%V zI`I09emH<>7fjF_VZuy^Hd(R|N1xwa1z^}fburE{Wyu0BXyooI+&fJb96LbpKs3WV zW93v6>h5-N^!XhV3}F#)CM*I+s<*mi1Jb49(dOrF*@lG^M6XyHjhK^% z$J%3pp^8B<^E+^|icTDDQrWLW4p+wKQJx&mvBnL{2yijj=Wf|(nqh&MFugF@$^l5U zEgGJKGl+1Ay1cm20!JLT1Ad%4m~cTwI3kkBSd8?Ax#4i&h)?V%@b!dUt-z*|S%^6c-^i&vDX^?^gdg>6 zo#D9UxWT7*OVt9$@d1r9gLkH{nX}=T!;T}?02z29?5*-f;m|N~#32`@CZ-dtV_juA`p}CYW;mFMG^<+UBMM@01cC6L z4j@HyQWS-J0%uvX0kxE&VQ}hbCd}2x<^=Vh5V<8EE4(*oHBs5nE)PX^OJSmz}7(cDnr&0;2Sxi zqpPKD0V}|iaS4cg9FRuq6!BJ^^#?x)3+w;}sLmg(Ve|ghEyrwM`54oY<;zkEOB2BF zo30#14Ai3v=b=_Ot2{0&q{s=Jp*T;|H?kOaEQe9!;w>kgPqsF&kGE<8kQ0hZhnmp| zayTHs%r&MNm%UI*f*~m|8qR{H#56N!0QC6Zz$g=uFyF@~%0pns3UzlG`BT=^6FB33 z+g{+w*&&W|jSEfDKA$;pM8ojGd;uMb!GMT&KrX3*N|qIMIaf0+<(KCYHS+1;W2m!? z!k_`?1;NkKOcv)zCS382Wt2{o!hA=dlt#$2&9GFHJ&gi(%(!i=iA>l23W_EJYfySAwvQ}`crjby%!0gRV*mx(V?tPmRt7VN&(Q{*|3$wdX@Hmz8`ZxvK3$rz(8S)&`uQ9wCtio_Wu zet=nI7UYyHs9RnjhcnY~c}e`2Ofp=7&R1W3`N7*QyFaMtu9Vs?raj)5cjT-$2n9Q{$*ZoCHNpfe^Ca|;R5fzc zI!KY%cF)Y+oOP8A#+l8z*>EHi>narRUUd}&3ab@! zLZR4emI;0ji-Ge}OQ$Xqe0+epBxq#c0RaGoNld8}pqMZ*h`vZRY*TXrW%g$Sp&JL@`IaylC_vc#{%-HC@{3U*d<^x*2r ztZLV=A1sY~7E?GDMp4-opUxRWJ(s}YMibw+DS?m;=%*3=^hHi(cB(LYNmL#$fL{CF(|7LW-N4zXUnlw_0yb<|Q=N-3+9BC;e|a;QBf z_`g+4fiGg==B%Yi3OTjada`OM_>5~Ug{73q?3WSOT8h8dQuK2zWm~lr0*Y%bg`z+B zM8LVDl;WqrIb|(H6*taW$~4vAQ`lz}5R=e3rSIZignqn0A?wG_WL%%3QS+PIY!n$H0ceQn#a) z0u({zE20R5CYuPHf;IoRmSR_v25uhyh_w_%ld;YPA`l6Q{!mMiAFZWuWa#T!O1^;z zVYf}cs-@spuB;5IzF%u8A-`zSWGQ7$s1rvm1<<|SYbn6-cKZ{x6iO?!1tP?AEu|aF zA>r3r%6zV+WXq8|w^~ZPmQpq&?kHkkwG{J_S_&@WV=VqnWy z-@gCp$N%;K8=jgr+duyGAHTl)?){JN|Mua>U%!85e0Icn00j)m+?hY&gaM8^2&~Nl zDr78%1ja~3+XGfyn>S&&&LWFnuwpSj+tIwa@+U{nh6^#T{7I&&9u?1LCTpNKjdzB5 z*D&B-0Nw?TRG$Tq0It?-iw({ENl2c<1K_0YW1s`JbS?=W&I^tdQ=zX|WDR&ulIU_j z+BYL}jCeG9t0@U9V#OoFlCRF+>dh#C6)?mc0}VXK{0S_7C&K{yUN~-wluzR8J_dpu zczpaJO#RL=kEe=aywzDwTmi6M*$lNDJ-ar5D{!nA>Az4^C@y{?0|9(rg8873F|`V@ zdX-gw=1)4~;IbHLWc1Nn;`HMmjI%aC3;dQZY;C9{|H8OCj;p~1)#q#m<`y<5flfS! zEFxs)+<~-jYFetbXO09Q;j>W{0XW6Q9hVHx({otU_wW=+;Q~{*PQYTu>=9skYiHv$ zFDEM?6#z)R*fpWc9qdot@#&gG}#~LMefvzbg6aDkAf+L*}oVpKbLqf zlKp_xLI_LTfq8a0Hj^hX3W=0t$OeyVjz1Y}QJd@^frFqnFq7P{V}tOse zuI;4t$3^G(2O6441vw(|MHxb ztdEIo) zWMkT$B#)Ud1FoEH3?0V@P-H6DEPH8}=I6J|&tVE9e^7$64lbz{Xy`yKZvaaK0o zdkx;f&L@~dxJ_)nhI52f8gr%8&u|7F>l8R6fE8WRU z2cWnBGvbZmITg^*zDSnL%)-01b=p`j^z%*ug}D$>CEWf_kp>P|yyYx_p;}^A0vJ#G z1k;Ge15{_Ka}{Q=4hRy7Hn0Yyu_Am1M1_$76xe)VdKrs>wb9gZV&0CUp40^p-$^{C zdf@MQ;hyHLfy9LCq$fa0<4JsmS<9<1!!FHks_7&XbI?;{0g7NFwB!)%QD#vZL{!C9 zw(#~BDGPU_fJ&-7t-8!oSroAWfy4iWlveU{4RFApZMo*O8c5{P5&Aep8kkXKf_zPe zE5L>z+UN^y5QD#&v$zU5J?AVs``t~r%tWdt8D6h)7V~TgeX7i0{{xxRZ{;k+!vu$L z<}Bp>mg8^rkH>uM8fmC#nq7gW#foHCp)FH_0#*?^v7>md}SF1P!}7*eoJ-d zYAl^a$=*cR@lZIUW^1)+d?CR>V?J)NLl7_IJ6EHuA*fUZnj``-HOC2t6;(kA-d;t-BCr*O3!rRcS! zIvb(|_I?Jq(ZGToQAB5{mRT-@xNLYSI~iu|-pxbOPK~m2 z!Wnla#^F$`E0x#%8g+DO5u-T9pgVIpD;RvlkkB;!Sz9wj9soN=Xe10r@x&ZnvCh)@#e7RMCl^@3m(=xO!N7=H$!=rEQtMrP~?s+bLu^MD6^-{2Fp;WVVe(WoAGYIUI=D$FpRobOchEgJyr%u@;C3c$ja-22UuBvSf8#HjSftGsxUuJi*BT#H*$Sw0%ebE5lOVC zY{RGg*@m~#ArUn$nMbjR8|B0Y%qgj%FEDHz`1&y4>DnT4PK$mR)Ua|9BJlu_B#;lq z2;g1QSAS`v)^B#^86B_)MRXunE+QQ5rCl78AmdrmNC>;0OB(U9q5-G=#OrJX1TZ22;CMo;RIk&b2GlGcXCxLn=4P92sZc_ z&a&#qu%{hy+ACt`byW17*h$70(}SzalvCFF5{&9s!6*_tTNFH*h^a_1fTiMS%5i`N z3CGK9=>8!62=_pJ{v}^<3Pzne5#z?#ShJ!LIdtyoehNlOU`K^3TNt5{ z<>smk_5v`GVxJqk$R#iya2APOZn}%DvNd*@Vhxm|t0-h(NZdks8EMW+N66I#s=0Wx zaR!x;PHkjR0!_+VV5x!S9sVw=8JL<-L-I;fDZ)D`DL4jj>k%)tjmlk`GzKM@ErBPd zTx8UUcCbo#e<}Ao86+n}qywH`)&w8Nv;l{);e?aukCkDvKdUBmRxnQq4{99yo2kVm zX?e{g#Xx4n@H`+1e>FMGX3fVmlEXAw*ND6eo?Prq8Ni4Qzu-bzJi&I*HMQEw*2Jbo zop7K5&JZLoreL44u=R?BvO0}`2kug7GFT}li9Nuoc)w*++1}m;K+MLf3i-Yy8k)t{ zB*i@+x)RtlfXvoe$>tRBjF%u$8Cb+$$fTW%<3=u1S6E^E%G_w%s%6hkb=0BmoW`mJ za|3%^psaAX8368v2#+j@N_`s`)Fj>L?48Hsjz*Cr20ll(vt*GJVHU6s0B~Z{HJKfy zu?cmXKDnpKj<`)sZvosKbj`U+8G)>UNQvmcwZVG;EnPYjwVc3<6T!xlh3*$4^r15p z7Ic<^({vx}Xm)J4sn~JWD0>~GGQbct3P0{+E?o&KW?FgbN<@E_g>l zQHN*7X2eSGy(ca6tu+*mB$Ip|nR~*9%6^~gh`WQ>arZt;00ft?WsLH!2&Xc zNU11F$vA{m7WWDEtVcz@rcXCr2|=l-)f$=#37c~DhH|Q`Ia@=kdgN;^si-q8z|_gX z*MvzA836w6_Ya3Eh(Aa>aDKy*UF<_5`1UvL$mOuZfeE#%o6`Z(CJzHSYDd#)q-ni*zS ziR<`<&Y8I6^lvpGRRj-Q3wHVN>Su%ZVGUQDb+QO zX5Re%r{8?_#n)7L{>P6$zW+Om4QHVN|NXareD~YCze@Q2@4tKhE}1wIvi64 zemcc|@qK}$cJAM$xM-j_wXhE&%J|U{S{C)Qp@`F|SHB zh(OkuWpf8n&Fkh4aSUf*x?nNASadK)x}c3beD1^Jgluv(ci^Zwh5OB+3$Pk&BF0j| z@&OP^1cP`He0CaCn9sC0enkR+xXgd>31relI<5f4Q#NGOS`dIl&VSUz+!Kbuj$q&# zm;omNEU_!r+IOr49FMFVV-B}}m5Ek})hG__$-?yP z#=vO>Rs>3DLCg#3-dIcOL*i7`Iu4m=rw$?lRj2R*W2iYa;1W(;sX9yY5O7-%S5Ypq z5P4{-J?oDDkQRtwUUIertn~mGbqY{yTq?ykyUOgEnmk8GS^zK)j-1Mhz*3FuKR^&d zRSoP&0RWjh;s%6?esJhBr!Z|~ zx#p3Vp4x;D>C}pCuDc-;LL@9(qNX4TtvTAtUJfP(9IUM-6l2a~%cP0m$B5tJ3v3{& z#K#6TxBWKuS(B`soHwv$jaqbA@tQAf<|QKO4k=IWnI`F*EpPszzj)spmy-uzSr4bHGP;%1C2>aEys{5pcGnVsPXHuv~o~ zB>hzXF#16(brW6;z}kJ>W+4A4aA$1nfSrX`QLH^gEqbc{g}%GG%yr2SkDss%qwUt9$dpRadHbJ4fLW9r0`RvP2zWC<%pn-e;%Magw_wKu&{{Hu$ ze*8TJ$-yY#RFDKn@B;>#9r5I7v*i=Fz3hkn6mIG{2KogyV2@K<*kE;Y#H)fNQ(3TH zEPZq;8{ZTp+h}{q+HR2yJa&Uw{FQbVZ|uq%{|6tTJV#x%cFznbb8->7WG_dc0hw1R zY1kw-Auk0)P_!##Md_K7B{T#P$nB1mq&X2f{^RJ%k&CD$ z97AKZ3E?SWdtv>j?1iUbKFn;oHgiyaDy=dCTrbSpATCI7>7y{2XKkJAGz_q^mXmjE z2|Hjwqo6Martu$N@#~*m2Ue14~>Ta2Q~K4G2RGIkLU5wLxqf z=>(+M;0#lQlk_OQ6M}rU;Zg#kkw?l_rbR-X(~8euJN%27i!68;!S*W83^Q14!bM-2TPzERtKLIex|6&LQuEO?m58V>dXxo74`P}$UN(ki@q_?u z=ftyBVTVqv3nUl9laO?{l?8lS{dMQ~C+s@Te}b#c79cip#90)JHUQtZLS*v;C!~mU@##?&e83M!w0R%CCR0jr#XL{hk0P#!@ z)V`ei`0xS<%moB^`8#`P5kJ6|00`8|VsEyc-w}L{_?an6fIJxljCz;?{AjoT?1fvby0{l()t3cq-0s*jpUqaFehNs`N1KR*< z%7IbNWcMeGa@}BpP884|3j~%d7@V5IGes1@?7+69A9DAw^Q>(|@|!IlkP$25&Pa|T zkV+#VjY6kVv0^Qljvg?3u_Nq}J1iAtc$fsFMc(KXb-qmETVglLK5&#kfz6dHsRypQ z5tN1IZD@eMto`IN*zq_i$hE_$rWO=#E5a7(W5SMe_@dUE;rOUJhh!J?MRcPPZ(gia ztsxZW*5GRmD}Giooogrq8A9zB#Ll>9iBk;N2Dux={29muW?vMJ1%VBr0DHhKFL=bn zp;VJjpOX*@)39XtBHCj4Uk`SBb*V1+fDGTT>s?6aDy2r2~11XL_~B7=cwUe0D(~?y~5d5P2KL1f~#y6 zWKR>d#Mz|4JiD10s|RPvo)R2W2ZS3ZJUl1;QE>$?>_tK70#jyy3@76hytm((p0E=U zZ84KNkxb07Zw4ON&7=q%s5Y&s+d&Lx|3&Cbe17<;H=iRavi#$lwDa^Hx^kM@Jx zEaqFp&k`I?N5#ibH}V`D@mvkCw}}5yy;pMG_PU`Q_>WVKv}%_ptJT&2SO- zD;1VZ*^hml#az;sTVG=%!ghFj7}0w*fPYD`nE6t@;ROrejbebvOI`08@`tNXWHOBS zpXNq9MEvRnM=*%jjg4=+d$IsDs{wb8V2G4nU!$1CJ>(}xyLffNV| zIJ74LhY2t7Am9)VPcI(?9Q+>$IQW}@Lp(fvzX>?NtUL%fu)*zFz#-oS9NM#hgFgfu z_O}HbyuJ%Km{Y(Z9s&+PpOn8|n$wnC1^)*E4)S*e9NM21aL^wYaFA~aIFS0N0Pqc6 zzCHyU<`QtgLNRGxETW0Bzu6LZR7kXM3|h^YxX7J5Lwz_y@z9FDd4~%L7iP8 zro%OI)H1ChPQ>h|fCE115O5#>@DvZs>W#fQ9#ua3DLZs3( z$G9q3X9S2_TLKPS9Rg+^H-dC>+$$1aw!m^psGN$unhpZIR^b$ZyaD+E6;QzePm0J( z^^p~9V-`4*8XuUs7Tbe@1A8fe>F){-W+^x{sy8eJ2k#NV*j+CL2VqVH2bmTgpn^kr zP;lr=!9gqSu-fEg=SKwxtazY;L*^;IDLAm9j}v&P#V`SAbk*z#cT9Q*+!CM&*yT>3 z>L~Y(02R*(sMLkS+de2bL@GGwrQks7TJ%6m@sAZ8L}%G%_M3tOm8SaUmx4p5W|amE z2m{ZQpA{VDq2Mq%RF1^efB<_bIE>#_aM+iEgMLH7LE+qlL%|`i@#wDLkn?=0;1HIA zgM355!7GGrZz?$CHxwL5Fu5x@^d|)eF>VSD?w?U`NY4rmqAvx9_^jZ7fRDC6u@EQ^ z1&8^&3J#**6&#jaJHsh>HPYXo6&&X8DL4qvBv8$Nq~PGG;4q#P9OjwRpn`+wj|vXv zqY4h*|E_|A*#B6;AwDQL#J3e3g#BFwhh7-%Fb88Mu<)tiFrO40I@=*AsPaO=!5j(> z@eKusr?J*o1&8fyhj>kOBSWap zj?0}RYnH4f;OfW5W!mewOk7*~!o`2+hpc69t7!tx<(QI1%N-P3CG<*dPzab|gl4br zAbD!BADPS=0w6H`+>W39Yo$E55?q0TY6O-xqz2oilY{LP#>^(YsAJixv@Qt%Y@4w%;X0< z>dAi03QwCUOU>5P>a8Db?tv>uj-;k6*rIjw8sp{ZadzNQBJ2&eU{G4iW_v+oftVPf z4IY6y{G4y-!iM+?ei1-116c5??$af*kq}Db>T&QPNtufvk5i2so5+cbS^;CmK_*hJ z9>@<@iBqGnUl;tdkq2Kx5OgV%d&KfQb+0H60K`LL7mz3=7pVgRYzais0pfrQFO+_y z9%WEG2DLVE(bQIEO`RI#2$W&GIF`aJVXQ%Q5!_!(C>xt6& zx|7`kJPO3Vd&YBR%vMT8P?Qbq^95lUP^crXf(ql^!x6!kvt^R9H8Tow*)%&?5RFDo zq+WA-7SWiA0?X<36rN(a0gfvG263EmC$_=D02k443(k->Y~sw33=lSh(bA7Kftqf+ z6}?xA&UD2898I-K<%aEdlhZocnq!3{MCZV2LI=RRr5Oo(0F2(rx`6{we$fUfi-oJ( zuv&?|!=7Qaw(RTgf@vp;My5@#jDsg#ct@~pw#7#_y>dJ$J6G)30tu_jE6>9#H^i|$ zNYNaet-j8uU`aF(2EgcRY91hHb+zUTuc@*LLl|WUQ-W1aZ3;ZmP7)Y*o}f% z589EW8{iLs)JGqZ7KZ-~8 zeJ@6ZI~5U6zU|@|TgkFMu*F0AApn6%rXPme7nwe%v^Qo!SZiR~kK>Ue{{V0W0hR^$ zV5k4dH(1$+({4=VNI>Pr5`Gs@&n<(-JG&`qY2?gMttNVl1*%we&GAQ;vx#% zo(J(r`-*VTpVJQ$sC5+^e3v`u};{le1>)66W zT>(ZvvO|vTlx#fk5A=fRSoJ+K4aX+oD-WrIbSfy#qpm=%3-16nc-&p@J-Vi)l&IHptV7M}7aN=>K`jW{ma|%Jz zt&ELBGhEmwLW<(#CO9dIVowtGwR2Jx85|tN*Ev@*dF-{4ECSQ#T;MpvD0A=dMQq4k z1Fry<#B6MVVv0dpSi`cnmMdd6^8zd=;E;mW0 z!C2GBI}QNZhTFEprPIZ8D4M}jP9Qcu9>8KX_-{eUz>}j$<}^odFjL$wEdTGMVys@p zfhg9q$iLZ|3fz75`Vg0{3Wq|#8y{4IzVcUySqz8vxDFr6$(q=9t!3pDJgIRC>_{8_ za>9th#&OOl&&=t|s7^Dfi0T3baP8PnD z283OHamg6?)v5rKTbvE#%4)M_p#tHELn-Odl?FrwoY>g?3Zru> zIAQYRP-~9pX#ru)OD@7{RXAG|fex^Wh8aG+`+IT1|ISeBNC5+)gQt*vqd*uF901pp ztvTTV!q7Ll2=-Q-z$p#S#ff%EON)AN5lDlv*AbX(RY>F_kQ;~tb4Le%`Ra>rzWC}* zHo{}H9^U`({>ShB{ihEf;UoGR4S{HkqX(`uguG}7bXT#lnx;pkK( z4dDtH*tndRWX`r|2$Tzci-tgvekPIdbIQ%lkcPlYX#rRt+uJk*uL44OW_J%!gOi3Z z&L#z>ktz0goo9WE)K411Qbr;TVH|ymUOv+huxW_;9%u;DNs2wfGXS6~Up(uK^O=Sa z?lgpc&=As%hL9HxfkWgtd;Ug4$RvnyJ|iV*JyyE)dC(AuI}v0P%W&pz>TM-M@j7uT ziY_`1&d_q#|B^2mHa=N++R{ivNV2eJg!({jb+QXB69U$lG=oW*ch%Hzmgf6{s{msL z&kx}4Wo7RdxoVPHc-W^)L>RY|5bG>nDu#`dA}f(oSG-bEv2fDyBNZVtfK>{mq`=vP zO!5-@Xf}E6Y^c|Cr=nA3hz_K5T@VMdZr}=jRc`QZh?CezXK;0Q#QkBb9_Xdv6jsjM zV`&PmpmLH$<}_s$lmix}!UmNz5g1|106Z&WzV76OL>ji7^kZ^%Sj2@&ioj)=6MkPf zCui4P%B-ZP%cS@b0_k>RE+86~)jA4eOu!dvZdOF%2B9gbR|IFk5Q#I_@wrXFoX@QU#csw&HiOxz1vhXA$!5Ui%1IH5u*xIx zDWy`}fU~{<8x(MdWuGV63>yFk95E9Wlr9U3rjSG5$Y#JTgL_iLuPF|Sl|C}PbyYcyqNCj1y8QbgN;b9RAOvKeM2JsUVw0DvJ3p7fi3 z=%xMV2d8y~rGLbGMU}%&xr%y~KVq9Sx$$s!GMCY&;1rWQ=Z(!ki7B``8013!j6eDt z0dPm%**Y*dY8R(`>M8Hs23j>~Q>439=VIFLT127!@3+b3eK{mr0Sb1YJFw1rW4E@e#7|(15T~-6m zN++AHl0H;dx zTWp5@yKDxMdLFoo9f2^}4EC*vz{Dz8(pmTHQgbZQP6>YTrR;kbKh8_b@V)gOHzAgGGPL#J&P>=$KcNMMil zCOPjJF}~!uBrMwGUpkI^h`0nCDM|TE7MJFhH`4bw{>nOW*#L1TSqvPaj8n2No)B;? z;%9bJ&q*cwW#4F^6zXcS)hMSp>SylQRJYgfQu0xqYa z8pLXN9*d09&n$rcr5h`?BAqP6z5oQU^X!tMRPf|(y)!OCY@}oDH=|! z1n2~ysgmjBJpiB?RaQ4A$i9ekU}x8=+B<(FlCIdKj&F>R-6#48l42I(-}h zh>w;OUO)i66K*%$On*&iOw?F@#b_K)J&gTh5TGsypce!XR}8?12slHlq;MlNs%`W; zp|RW{z*7sO%;Jq6#(*E`j}X8=L4a-UBoXUdjsMfHzWVaB&%gR(LgW89G!B0H{)cxz zzyIOy?|%9I$AA0v=bs+a2ip!SQ%ohpjdCRN;5H_X;kFCj8kjh_CRiG|7hY8=kDfwD zcJ>D#&?(lj$h|u$Q@cOv4Q$+ztNI6D24FZ393an`4Y<2Zl?WM4z45EiP$=UssjkDRNFCs< zIVoo62r9K&84%cKe7}MF(!-I7pclQa#I53oSfj{r>3FkA4FRgz<$|CkVW-Rm*05mt zv3-X0frhQaYG(x}-v;72mi9?Hev~7Y(ygh8@SmJ=$no9==M({y?(_;_@*Vn`(+PXq zSzA$hSi0^Z?Nn=01_h(Z_Esfv{(=_WR_rH$ABSuov!qX9;zhz^*`|gf*C=TmG_yuY z!)*IC{$S#KZa4yDnpk>f$$>hoAvJo6WBviBnRczW1QSI?AP)SX`W|+wc@DfR9C2;{ zRRsBp4!gHg&ylkks4&psC|2QrwXM!eXdHh~HHFiw#dTXni`)2v-VtfTd#146Nz#B8dxekM`?mB3C*kZNNW77Ynd!dV1wlve7ZPeBU{l~cNd;@lpu@_gJydN1 zEUhD46Z*n&jKwC`00ew_1?FXh9@hW_m_n`s)gyroQe9c~)PdFEhMDLGd?TB_33Z4M zv7v**r2RQe+TfCfCk|d)s$`~6AJddlCIZv3slv_*$Myr+H#UeaH90!xNsK>-UIGJq zr+&dEDY4N$HvYg0N)qRz+Rq_qoF$!@@C2YB-7jqUqU#!e(3iXbbs@}?@(`}s1%{%T z>->XjwSRA~M@ifJ_ygP)d|$5iK1y0}R3vAhYig%G&p+tbQPQ5_`R2Mx&u@`oO} zefZnE?|%5{KR*2O?mrm3zn}v=W%v6&*ze!X8Ze#6Or>s<%p0@&6a-iUrpdv-#p%FG z%RQ^-IGwErq<$Z21B#(1b@J$+jRjJ?i4fIG+YyuDfK9=iD=(_28ZYO@aAF_DZU?6y zEDCsg6fS0(cj&IeTkxk2 zj(uAiVFSJdHjjkpGGGMOfa*r>@6N$W(~MnQ3@4GXY7kf{yiie*15E&6fg{X$g3Y>!O{OG{_tNOTaoJ&AQ4rf!wXO3>AsKjJfFFQDPZbM4Bzcj{qx#Ny7EX(ka}P&m8N%{+L-T9yX~;OQk<@Bi zI2*w*Wai-JIoV1<(v8Q~5HktGA@(p$2_DV(%>98Iber4|$R_|-Y6q0%@EOi^YDZbe zdQ4!GM%<~6;6!)8ALJ_IfLYE@07jrPqqi0EoG9{Tg7Lb(9_K#L?%N=xbmOOs(MgB^8k=nJ7bpy`i>{Gci>3d7xeq1me0{gM_5kZFCOMZ zt3Pfu%rJoujfQ0UHL|2}{2r$fXez~C&h793DcY-k8VZrVbhe(}}kUw%Tl;h+EU+xy?X|BnwJP0@e&;fD|Z`V-}bfBf(p z{_neAe!5LPdi47Q(}U$*v-F20TfQ$lfVh!ngV8=)4w)%x@ls(GPX>N`sX(|G_=7W# zuKD@QiFk_!*uuu4=t~&z6d$yQ%Fy&uG@w=114G+b9pj`RPE3GboY>sXCZ5%BxWos^ zFB_|_KaXJrYNR_2@ZNB^VfQPnwQV<*A-7b9q+{?2ThdSQ!LhshtOC*iDKdyl91zRt zCK0#dOs(LOr6NZv+pW1 zAfv!6VRC1d2v-@-bpi{p0jW+LeRvK;DEKi%GL7^5hOAOu+{A%k`N2~G#juSC%a{d2 zMk-Ov3*6QStpS(ferDKgoEDP033+3Mo*fbzpN%8R_ivm6r&e9 zFzoUGP;vVv$=hcW8(Zn|{fIwYmz~2y@ZQoH2fj3C6(;KyE1-=1M6r3M<>})t8&9OvIY%GKZ_nCK+OD&IG-L-zTd-yHPR|18gt27|tM>Cm!gh%%J8V7mgo@u)8Pi zUsglGGHing*c{yDV1q}%g&16MkdR_FfNi?~{CE<_5u))~hgd46`nuX89u5ti#rnaaAg}1 zo-)}#-~qE!1JlDsP}V~+Q4jnmuJZ@hgb?ZwGW5pYCk`3s_yJ3+(uv_(@GDUd!>spx za`qZ5HDX2_zX#=kH470(aw6>J%rGpnH08ttk)`@GPhYcTDj<{kA6A}T=!bs1hdlk6 z49Ks>4-ktI50Kl}aPz_%ox%~EmWg^4GCAtjwkJFwn5f?l>c3Q+Kj4n}16W11|H)FliDInjMEwOIm}UbwyXWaS z`X^tf6OHkzR4=ZH`YRytCm^7_MB8APjK&V_uk-X0hmne>xA{b$e)gBIKmQYH`tAFl zfBx|P*WZ5m$KT(i_g!1@)*ymWrCf!2$>L{DhGW+{0tA@;BLNWZ^uBv5o_y#BSU_M6 zA{faN2*6M0zFP5cX6uvO;Ox{MFo4*NKmc*-0s`cMD^~_$ecY=pCC;u6yR`lc{sV)5 zwOa8gwRX1R?YF5#qn~nv4E`sqv`r5x#2kHi_5<_`h#EPx=vik-oXP_TGM3JeBvFJw zV25F4k^=6i73Ht3c}qbq^k2 z6ing(D=H5}BZ?hdN*pngpDFw#FL)3QsJ)cW$aBTLsMd29QIoS6+#p;@);f|_h+;_^ zBmK2wZGi`{>=ZO2ZVPBCG=%ZrIV;mGg0<)7H7LL==T@aj6KI zLP48VB^Vqo7b!=illE-YXWu_h1qXCq8nr}w!pB#5T}g1z1Qt5LsbmD(anK2b#RSHrrOaQTbubTo~^MyoR^{hQ8 zROG;FT)EB8D}yhS@!xcF?D|Vof7gM-w+O)Zc@X}w0vQ&6$rZq$QyLnk-r&UhRvl-A z3_TU_pw4HWK(7h`K-u4h6?gGf7u~qd*6ATIxWsU|1Tq|({#^V$aRtFV6 zf{Ho}_;a6al0IWqWCm5ag0!4yDMO5TPOtd78|91*hwf-U++ zwX~)Z9p(FdG5B0E=hVV0EO;#6hX?sVzyg6tR@S;7ZTdF}VtfyN12F(Q0?o^g!2TdV zDA#lX@yPj~@&Oi@SLJ)w2=tTupjH<=*WNe>SgMq-@`C^>Z%YtAwdvm}d=w;l z;QaR^fk+Tl7c6MkHvN33{Ih&79wmq;ao7_qSQNgt%J=@9PC!wws~%BXu%NL`pE+@W zA6%ISVDRY|2kZZD?dPY|z(bSZIhE*R0>m_uSQ|upb)1MGZ%1>!3C^FXfnru_fC9uf zL~u(D_)~y*vfSF18d16rCx}apNK$~<*QG|p%;%{_l&{VCeJ?Of4FDB8=_tiWiA|US zn8&4Mek%uT?b@8rxq;zM-dh61a?LSZ!;p7a>x1&Y5W#jO@AZWU47G>`yUEPU(L`s5 zVDz&LSRx_W*>%N5gx>Ow^R& zJRnlsqIFEFU8u-`t)_m>p+CIsYcvsO%ap;~%8uX_`!RvzEU;>ICbKq>s9eMr?2sts zs!|%~Tmm4|w&dKzh6o|AHgEug?5$!gqXWbN>aZCerwz7srz{lHBo5Y;x(2whOf*t! zm?{YZOM?R$_Hn6|-*B;Tp$+&0c))7}r+^80ux=kryST}|er7r;<>t!xexWcMxZ}hjYT*$2O=TP~$?Fds>Nwa55ibH= z4*P>Cp+sA!Pmfhoh?(v18mAtp&{p_3U|o1!&JAgj%!xpaE=f8ZFxG%O)rw8RjrlKF zJVU+bsqnN;JjnX%lv-7he7$X#=g6PTR0MnW&Fqb2q(c%$o`PhHcvbb^ zZwi0(wT6_1+n~Q|m;E+ZL4>Yx=@G)C8Y?&h0g?80=qNDK1_H2!!1sC^&7SF@NHoA6K^~V<}y9WNumHu4n*qX}WI2}Sc zfFsT#q-MvBTaH--?O#F%4 zlg8g_vTq(1=N@T`v&-JRIq~O~dXLYl{?~y&@jCEFP%!H7sW>jdwnO@6P5c3ty!z8m zzWV0tFTVNocPQ~c{rqNW{fw+VMQ$qgZF)o>Y~VWlsLo7D!OW#XTo@MJ@gpKPjI2+Q z8&V}sk((Bn07jq?IN~qUM&%Zd6nk7EHwa^Q0VLlyvYgw-CST2wY0Y^GgLT$<9!LD; zj_+e+4ZvAfWG(NJwI#vj>PI=O*J+qSw$AkRICX%{vWcQ#H$OU;ALY1R z6*i{W=|^#jTE!{=9l5B31_(>vd$Z&X>bW!MM!hupo)B%tj@vYb_7lU!&HLXi&K>203c># zV^R*NzsU=O9yX{lFhm*@hhp(D6wH&%iz675ZbJ-=y+HJl>;TMh7Jz8=!&w+_ zhFUAtt{5yxsyeeef;SLKN4N9-9SlkPxQ&4ChS+beO$KUP#_K2!Nf}4gON# z1yj3*)c6*?H2mRfCv`hbtx}eys^~8y-ob2p#!fISYVqzi>cb&go!~HX z;13m_Sd^ywpLh4asPgR5SDb(iyS!Q}{K~V3+z*kRqTn)%af;xqhz)4J?n{Y@T1AXN z?*Vo4K<;}joN)HQhaWr132X#~-|zV9Ca!h&o-%vtG8v1A^Qln~7*MajJX+!?@Y~JC zH2d_HJ=Srx(8KqO6;Lle!I5U~45;D!$*ksNHCC~%`o7Ja0kykL^wEdHj#z_OZ!K}! zJu3XZEwRH%Cj|zjQCug;%y^ng%Yp3)OV7W{jT$P6{azPy)4h8UP#3S$igS%g6z1Z?_j=>Qb1IvL^KZUln$6yLYk| zxx_aF@a31EeE#`!Q`}$U_y6{fBdfj&?CgVkg8=`c6K?hRu33Mhs=ekz#$S?Q_s|I! zJ}TqyA7uP*f;&(B^Hk53^K~bj63!K10GV>zDz&5<6*l{0C)~Y%o}y{16Ap%zQ1?i$ z4|c-ATDJZm;~yM$#oy-q$`wrSPcr`OFueEAkJUdfInC#o^P6w|^9siz*1(}*VX$@& z-~zi5&-D7y36~ya{8{LBlC#NgGX5L9h;QsPD;%!dSO0t`Pa1%S@GE!OSiaQJl5Kd% z__KeW^g2Wx*a`B|bY~tiYwB&A3d?)}nvfhr)9aybhts_SRE3M7G+v-?PsA~m+U^L? z#!%D`Efjghm1i>#c9ZRJ01+xOWM%h{;ot7+9E=U`3S0_PcCk^eX|LEO#EypJ);CS5 zdcX!lrWQvqT@ap>Juf3j)xT=JP3G);~#YVJDcA)%2!(l zmzSLpc%uz_E34zop5QJA0S`v5szb0ht=_k#KiUf^xv;3!Y#P>8!!71gHJ*kX8o(GF zT0cf8Y@=g8|MXP#Z)cgEnRFNp-~yRKXPTL0OG%|^cJISSmCax7jqrnwXW0)RR_iL_ zOkyvXt`c#oIqcWuqYu8~NFu0Q1Af^KptwIG=;6yMXB*)V_>&B(8_o+3R2>aRu4L-yFu_boZbRk%K~;avDMg9P&vp*i zu6^)g&wA<(kBn#@fh8rF5?OAi&u?ljpI`aCWQH!%U5~2bp{a1|fER)nZn0B0>Vq+j zPF4TJ^mbuNE&A05f78uj9?!wfhkF+NVA~1ZIK&$3&!z{)0#2DFt!GHKA9$@j9#eid z3MN?&m!|SjIE4K>|1-4U80TkYe}EH7Ch21$J+s@wQ^Q$O*#CiUmz~GW6Ab!$zmSd9 zIJ5*0NAjwcl(4`x3_7huda%GmR;4^{eQ<`#aEIR)X>x#KW0e+QC6Ra;aqutdKFiNN zjrnb_``|(qf!Uv{-&Y^&gVVs6Cd+UW_TNvw9is*ueX(VPu1nef?1jfog7ub;^b1`1MYzLFWaI`+Y5!Co9J%&)Wj%4u@&gQK1Iu%L zUFVUvTOXX<-fo2l_V?mSxI5?gMCogN-3q^7>+5)UOKHn=bNXL=@#$xueD&<~%fJ8d z)Bif$`@4U68rYXfUdD0&^o~WL$NAiY9%j+qIIz#MiGRiU-pGSWx5JY?J9w-NuxDWr z7~6El`D}zuMEe=5*{N-HtDuL;eEA_}3;!H&Nh2cJRunDQU{ChSmpA6iALjUWdCQl3 z#`$TNk5oD~tiy;c7tc69Yil2S6*0w_ za^=gZW$P0w=-oDw24EpCSmtfmf3ehmSuP4USxQ`#E!b~&CXy*Q+A6&ujs#)`I4Okr zMo`2?c{=$qBrqp?1?MgU)~Kt?lvn_>v8Io6O2p%VF==!0#(A5ZJWPKfa$r3h*uGzY zpd57oNnUEOT_n_Z-~=uG+$l>^-KB4wgG%yZCkEP@NqWCnu_(+zZVoj)zexBnC5G+q z%*n9*J+IK;ulDyooj78DCQZXCZww9_;Gi0UMAg`$?Kscgm9*O5leX23Lrl-Xl{568 zL#+OHsSKbpJ7YBbpJ5`VXc$k!Oz@hAP1lWQGpl<>8cr$fx^`0e@TXGrrVWP^!cZ%A z3SupZI&kAT3uCrxhCoK6WMl(HLcqiB(~7tdvG)kI1-2N~SQ&p1EUb}7hG$S3Po@&MGyecqDv=F&HcW z_{PFJCn^A%D-0=LFR(*pzuRNn+{bu_A56Iw9Kt*%&FgWnH z{+>R_t5>BI3Z`P|fQeX-Cq;tk1NfHe#L>0q2C#~&k_Gt~d3*8S#4Z%$4CLwEfP^7W z4{=W!47_~+g%(Y!68A0Js|X|nGYF3wz3Ey$V4t$TG56zDqZfgs zIz{+y6N2Ux_YpxXOAqp}%}t2!lh42T>XR=%dx0fBy#MasKdzz^*=g;l1p^D*D@9(N z%dK2T1g$2~Nn)}g*ArfW&0OR^EZ7OtD@ik0C}Xd4yZ7k<0|SNMM+BwE5kZG+FXgDz z*{27CE9Sw|gC{6#e=V?XO0qa;;dV;rumGap)jUm;5w9>~jR?xTcC!|NeZAEao<{@) z+=aa60`F|6SE=4c1gT?0kUNz4@VtVdJGU0X^5$*NmWU zn1TS#opr$WcGw8u58n`5v(L>VV%OB8x?E zNDix@@T!g?!I)<3|kI)*<#+vy7rce_&^i`=+ndU%;Jk0B~bHGlZAza z!DYf7SB?`=*&f`r8bTsa$#81G3(Q!-SSSmBMDKeY2^0Vj0WO%_BTXn*j>Khxb}{yL zKo3co)644c(`tv0-0G?fR)Q^3Foj-Td7MqplWTy4#0=gU7-`_;6jJxzQMeK|iqsV9_23&* z<+vY2EoJ2rvolvx1m3p3(B4Hu%AT_!D&DJp}U|1OBYIz(3G?#1Q?dK8l`q&RIsNTmUfMb zm|35gMLdC)&Z@_}PW$=O!+xR_nQrt-d05kaTqcc>qBZ33zA@~_8=w+y4Z^QOIoJxy zTs?IcjE{5|bk>6J%>|h(!rA)RL$?uOp1;!w?QPl*a%h%%qyI14}=2T zwfnRmvWtmXRHuLxXUO02%4dH$1O^`Piu&n?5C8b}P!f2nr_dgW5L_Ed`;0YOfMqqG zx1IB?R~r!fkU43CNoM-&e$)J$Pl*uRm_thd5DY6e4lMym1reCA#s|;L*Wa`RC|p(+ zL>U|a$G2A|T!1oh`!zeHaloab6)g0RH^M_)6(*|ZaERea96YD`)TJ|g9b$-JbP3%a zs(<0^DMS>;LB%k2Fh<#}!tW})h5>4ZYvyASen{%f z?VC$wCg%Y7H|l|C03@ajz{+(tVpu3$t1MyaR6Q* zo|%i_*Ic@gO`WNr!`5&g5D0mASA>S6Od$)~=n)`FERiRviuh%^+4(DQNgO6M@Yh&4 zYPWERu}N5PtT#eUJIM$yLbJ36YeW8G;6}aliqfLAyyY9r*-sZ;=zc@#R`dHfA`i zw&XUG1+hMvLxj~nsX0i30E3HdGr;0)TA_;07ektAj2lxBJ2zwxnX&+pjf^x;BEE23@2)32NDX!HJhc9aw!|JBUt3q%E1Str1b&#IX zgWBzq9U>y2M3A8}So8n?>i(_QcI3*^1mEpf=&>8he0pONdbFXzbR5ealhL@Fhn<9n|~n$0=poMVoG^oQydA^L-T zg1k|c`#mdRhLPO^A%WTSg@Q_h8x?@PwgJk*2?Yk!Cv{a-#^^t9iD{71j}8`Q;&ax; z6?nF%$50y6j)HTX@kR^C*l-HGODh>h)RE^%nWiVv7t~%fafD={+ zo?a{J2*3cl=jRY)_GSs`b2Y#vMl6G0$q&n8$uMlw-9SJ^PxA&l7-YuUG5K*rJ#Jsv zr^rjX90X{o3I~)s^)aAbW8W+pHq&vy{B8|^oi=g-9cb! zeaSG~mkis7ZGz((f|&QJ1MNNep|UR3+(~_W^e(2cy=)T*EPrlqT+3Sr0hERiJnH0! z)fHgC`dBjDgq^%abpYXqh7I`>4Se&PZ-4#m6Ak?BcYpfhTMFd0O>hoI_IJz&)L-^; zY5-f955g!(=fJN=xs6Ja3WV!B=7W7@KHL_?dK_{a0{L+-7xO_c`(i%iMJ1UIj(y;P z2lL@Lx&|C<=9{^rJp}$_O15nSWVG$?S>0{x(N3a^`hX{Zx-Yoec0_+%m>WB0Hr`ApW1e9>i z{9FXsw7yx$213Y9^$JvufM=$l1D#ZdZt3YAfKu14e-Q1(Vo&dYG@>|!gGfbPXJwt6 zChj=;n`?q8UO5JH$hEh-q97+`E+`CiZ$I=&lx^~C!_k~k3A!AFu?C|}758EHN)u>O z1r`MTD`$5=n`N3|gGB{2+Az0mi7G}ndWVau33j3o9R{r+F>tWqrkke9*WGTDzxiQj z+igZUfDOcvE)teIJ7ZhcvPVNpVrE5H$dN5Xzq2F}Rk$w*9_xvhs^WXLutr*|!g>0~ z+wHK>z5J%2xNUmG$=`M%J_i9qd8!ZqbWI_;#kFq^Hr3)K2q-FJF>%)?J7D#D+QfEY z+1^a6k}_tos8}hg3L*JzVvR&ULhob^`lTB)l+;u?SBL(DM}>hJS-?q2s|8cBRoHbk zmj>x1?w9KCVG(t&UEa@baC21D2^R>qjSDwjSyVsQ-fSAYg*a;mI}4FHsEvAW$;q1| zLl+eQg&l(Ga3%D&^GY@LO!3tGs}c~_g6pOGue-4-xvKa;+H2vsu_JS+W-;Z|7F-t9 z6Gz4pDug%cLhB|ps*)F<&~XSaI}RD2pcQS9Djc~%UUUj#XTd*H@OxBcDEL<%gL;0Ad&YT^?iyB}bR1VHK1S7FIaz)&5=gUl_Y#BRJ^~Z@a$w zTFrskW>53Of|UYIR(n?a(2enbP=)zH^cNpN>Wa$Npl=5IY>#2keQmH_y8q=W_c^m4 z(|i_$dl^xfJIV1A-T!uy9ds4$qQA>S^fzyF%)MJ?Rk@AkGtuAtCDC91y5aCz?whCR z@3I`n_7oFv*a$*a`vTeFjpna;S#kI~8xCDusIZvwqWiCeC?vNS#oOhD?2wj+n1C+G z@)Q#|KG+DpiVK-8qwbI1PtyI`F0w;=iV0wJj-_3DPAGo*>tFuj+i(8IZ{Fth;a~pp zZ-4#nkAM4@@Bi?xfB49IKp&t@7I*8`l>@qT_@OMz^gWIV-h;9i#z1-QyoZa}c~u3Eh3cY7ev!ivp!d}0mc6<`EPTDJz$oeD4e4X4Pz%HmyU z>&oxd4lw3-1-h<0JMLjWI%U7}9`q3MxhL4?5w%MQ4dBal9Z#Y90bHo{x#eU2MW?|t9Fxv9@_SMJ>wJk}rj)U1{5 zPGzWK*Rgh4Uo`(RO=acL#Bg5UTkm>c)xHR?Pw-u!1zN!k{is3z6dL|$sn7!!Vpn;o zl0TV6mrmhAj|oYko6x54z-`P$h2(f7?8j}=)1D_@d@$v1)2UDB=TyuZZ~Jr$rQJ4xIstzlbmNAu zPw0qfVcL3QKv}U>mFb-wsj!c++*a!Vs|qdMPz$O9JN|usQ+glo6iL0*0zHEcX;$Hy z!jN=WQ0h_@rb<;wm;^|eD=2B&Q^0T^Gj$tQLyqcv(tls|?7OZ|J1oyP2w@1%j3zf5 zmT=%?RoYhdMfSQ7p8|+V@p0z+s0itEg~j&x9?wOJ4b6HV2e+GTV-9&E-?PWkbP^D* zKidd_UJN|ELm8qwW|xzeJO@7uJpyZoXjhVkZfyl8${^FAXRj{J*xZe6@6(1DF>_W^5f#fx;A4n=-8G*`gDTexvkOx#RXJclCc1f`-M#)@cz6 zrN-$cDUPlOt6i7b5T;EGh#i|1X-{K{lK^;EDzF#9@>;AI@EMqrsht-=$4LL$*D9~A zN|euDyoT03VqmiZ6_$=U9AfSIC@O%>7mWrUTb1_Qs!X(&F(>Tr>=Y_ka5t@W+YGxG z6ro~V!yGT*#jZH*~N|z)+wCFxrxKk{ZPD?oORZ^^&eHmaPT@*`9NGE!p z;Q=+4{Z6rj^5i}w*=~ZS znA0irVbXCiEdAI@+Wc;G(vqs{b}}qyq`(!S6>4=*6}D+F>Woi)pPG2BgsmXC9|`ua z^5V!}s3@T@h`6b|S^~-{NIX?l8md`^PSB&vly$ajRhT+97K2!*bwU>7WZSVRDHs)S zwiiUhxT+Y&S7ap*=1* zOSHQ_zEgfJ%C0KeXmjCiq2y>sL=06ZcI#e+Ax9I}=zI1dVqQAClI-Hn1&euJI=gaF zI7ORaWxrz3QAV;z5A;wVKQ}9jGo4=PtIkeXp;s!CAbvjPf#yTm60L`Q)1PiTW8AfL z_%Osl^|?w6f|=)mg>a*4Ab=jmDW?+}lj= zRTp~Jr$-kt+D@j7FB@Ep6?*0a;nFFfjnwK~Ynk;-;d!*( zf}Rk;4{mNK2&RULqaGt1y|oH}DV;7zmLx0>)*UjEN3@9bVK!dQ?nCUrAdHaNk>i%UpE%DZMt5G**m8;Vv75y3wi1kIz za9efEa7Y;m8c#&9xvUI2DRv3lv2m$zgio>Vh=FmifCx}so(J@HJ!E*Dfr}-nf4(XA zA~50Y_+mR$bnRS#-4V?ZJ`^PnM+qFDVDF7aB0HikM z&5NF4*~xFi!i=o6lO;)s#L?GFNwdodmZaXBAN?EMBJ(O-^pCS7yX{au4%6QF4k<8B zu^WXmT zhu?qy*H4s<9b4HWV(nmsS{F2Yu_pJrOe1RO$QPkH-B}3Feao%AS2k7@=~y8NzpNKi zvUe#Jj8LMk&9lcehF+qDF1s+csTpSxKB`CtI?r4?FyDr&49)JTYOMbN?GZt&G4HGw zfD?v`B56wJNcL5XV^P%@mvAuhji=Z7stb=2-z-c?EH*g>d^GYb}YG^pDx zdYEg2GTF#}mXEMe8IePV^UMo)Zc2g!JM4>cufAs-(RnvDfJv1n-7*f_j8_gq?3f)p zc5MVSacp5pUe81s5Yl~MSgBXuwS$zoccav9tKvq*R3eV8Dx#?VKWGdot}j(JQ=cEC zA#}Tfq}#1T?{T_VgoN}CqBnXQSv4#=9Bg7(FsF@eo#C43^Rr#roBbQfSP@3QXkF)d znh(cf(1LG3Fswi5>nnpF>C_}vv5r>(1jVh3inXro?e!H;f`9;F0DINeaih+xH}=qf zDS)Wbeu0m*j9BXkp6(0MojU1)aSK|Dff3+-2*Z_{@vBkv#nT6ZfMH!SjB(mBdQQ*1!(8ji$k|M%*;qnaZjKLB2oa~KL@wyz$Iq-~u;I=GVpV>~SzE?+5xgBbn zSHz``P@h~u44S>%Z-mL~m4x6&$@tHPN1k+K}L^m)@5L* zphJ*f-2&S?xxrOYLT@mhSzFI$wD&E^^~~DlO55#9+tDeB*t=U`=LvRo1nSZ9K5O4y z32ZZ`IzpEl>_ow@st}h;8i}lp2ywY*ZF8v*vk^F#a-bk{@&pHEzI-1X#JoNS2UE}5 zp%?KNPtd%&1xBIfwWsYc$NAX0B~eRyiZFS4U{|!hQueQY_N#Ay&Qil$D!_Mt{p;`k z%kTg6m+!tHE_nJ4aWd?whEOkGV4(rj5PbIq-nz$^e~}wT4dH_*QddK0A;vo=AU)I& zilBxrNE$x%1RX zdcXJryN53@x~~Djentkvof1&G8bTi#u*Hek@Ldf-hiX54fh`jCbmfk@qJj8A2?$S0 zKr!>BhR}V1fpN6+Ca0I!0^S@=0k$&&G6y#x#}HJfoQwcg%Jd8#Y6wB_c(xUFS^850 z?Pa`Su>TaGxiOVRX$Hx6-cH%tzN&296;&2hLdNELW14$l0ms_BQeP6jEL&+z8sH^F z<@7CdQ9`7qy6AaUy2)^N^DgXZRD}uPv@~>*rHzxlb#00p+BH5eZI6f{saD7cKWr7; zp;M*Ept^U>8;n4O06oPt?Z=FZ+S*1kFdbPmClm^Xb%+HLGYfPxnXxoK?u?)&VY0G*THF#7GuDqocCUb-z z5cF{UG*tTV*0`Z9xo>Ua4QA)EP1v^5oA0*36!>_q4f-*Tq8=XzKUIMS)z12=x-Vlf z^s*Ux=q|C&o9Bw}pFS%cP?kVfxU#jOo+T`!cZAdqra!|vpVouWqOb)PsN3m<(EFZ* zEyLW-^~RGQ5OjLBVbS?rbQ^kojx46KYZ9dOeiJyv35*nlTGWKKI|y8}?4Xey4KiwjrG#5StsA z)~FhIBZkmTfvrkbd(ZNMdTyR7s={+94Kaky4`?Sp00Yt%ja8^ble!n@%JK?1^9bt` zRbj=b{jALeYi1gD%F-`l2hBAem6&oW6H9f=-+NhY{>cx}xxd+7oPSLWVK(-CbspcD0$;uQB~zT| z_r>{GJ3l}{^dbnrVSiJdf7NUt9k4J@Q(&ce^DqU*eMo7Z)OPji?JS`51ykTB4KR@A z%~Jv~ou|K)Ff^P6A){2wUJfBUCD{NYc3{DR*==-r?3 zsfsAPY3-$o*uszwTKOA{HRS@qn-|uA{Y(|H9s&T%sfxJyOBFF@#dB4dEe%gn;06F7 z&yGfax<|Ba^2|$Bkxwfh!J`$=BCAhxL4f(jYV*o^q+Vd&U45f2j4C3m0e>zDgid)I zUm!p_jfZ-Pu7nGRMp5~xidat8z}i^@0Sn(JYe1D|AG7TqRYX{U2M_O2qA&i9x^P!T zR1iQFv9Udf17!y8ql&1S96KRZ8pjQE(53aPK#2-ruQD@I!9WV!k2vB$I(Q7BI`O-V zT}lKqam%a?8YLYTqN)|9zbT!o+#-{=8C}FoAXf=y`y$j3a^8+ZAbK49Tr+w%s&+kk z#wZ+Ob8={v(cJLI~4sS{Vldm;kY5((02w3x|V|qc12%7x9$cx?>cGA zE)*izqlc^#HID|JIUxZS)OU+MLfCf|c6#x}gVn1#?BL(ZPq;cS9hD zmB#6IsH}1=>D~x#;ZX<@(A{;lD#05J8y+SAe>$HtQ*=QO~hXNDIh;$eP zLXgm8{EKBXu;{k-Go+s}TCi#dL=mwY zNO!A&d&54xVgl0#`1%6zp^yYx`vJ;`vrtM`Mx3MFl;rr(FRZ~m>VV{NV-j_X=L+R# z%80(%^yt`|FHZ1ZmIGQ}4#;tQr;w!DWjS>X%quE*QAWf(V%_H#UF5GSBbxh?V0+)P zw=-TU!X;(t%hSt!N6;>JWyGhhfqCd6pUQ~k*|M*fE^>ah?4Pp8cV)z}7m=g!t?zYr zRPgO@zWvoV?*x+EcYpquKYssle2-k#hUT0OAP#z#ekhItZtyj`aT&(}EfyRF7G^b1 zCFFMsN$XkkD^?<2pcpi5z3BIAS4isP`z9FiI=*LxP^p07-mb{lJ%)CopUTdqI|>9` zs=7kbqwGH&1-4He1%}gO=%DO(OxGbok8eGO)}`nCQqRS}dUq5E4~3+LUo>rb)al9j ze$>&_%~F)vH~kSvk@>xH|HEvsJm>f2R7mOu!{_`yppXGn{OU{)d3fhRTy*(f$_ z;4qS&-Z4T->kWHI)ktGn*&8|v6$dee>g;A4KUziagjX8xcsYy^2N%Uo%KLa3Tix4w zD<~ETOH6)38vAwGy_neO}@vQK8Fn&MPkgdJxzTEmedUd-% z>pkf!Rx3rtTj%{L19_c=Y=R}}BZu6^xh=z{G?}5*wW%As3i7J*EZvv5J7LD@KD4hk zCH=cP6>p-7p_$5G@e(`ercmWPYRb)L7ElY{4!!z_UNgMd!%k2Ri&FXQT;5bW8pL|9 z5x_hkz_qb0sRO`JPjwCKzP^1XP&89D=zH1J*?qPaMa6gK)9z7pR z5mNcovqL~n4l55lGz&3O$Q2m@B()Pfl|+Y20car=^(j6ATBGmuzEJ4$LCHtRe-`Kv z9`wE0VUA(l?q0>pTNZZT7L^k>fsl52C7`s=BC5(Q)M9kPApIsh?}ZKKTQ+TBEWrNL zTo-hk%ka=Wx-S$As)k|QhG5??{1yggggKZ<`TL`Tf^{qOp%n;wao?8gK(hU zvA_@8CKF}dbT9^09*x~J;pEKI5ERm1QhRzPY?X`^11|8GV%ir00bSV;1VU(0sRD?0 zHMS0*0~k2^f78Q==(xuDipw}mpQ1-$dE-OW*?m>@AA=>DKQ_QVx>S#HS3N>2;^yab zFfgCv{I~LnSb=nGP_uce9(`cM2CI|epB z1_Ph4``oAF{9vxl!QL@&`Hekc-zb>f$H4&Xz6o~UW1Mf?sUYx!?Fqv?#V$_uB6^h2 zBnmTbQ7?AI!j<=qL0yi|7YD=JalScC6PRWqpJ?wJ1y{#l?#sdm2qYCgCo=fvSHJqj zH;-Zd@BZ@rZ~ylFfBw)ec(XKE-VX@6W?ml@QNAW$*5;XY)a1A_G$5QN2y_=0x-_IaL;qWEsltpZ{H?8>z?xCtqEW=3IF zFiHa|YJ<*6U_da1(R7+y9}w76E;$1w-s}|U(+yohpyKhVUozE=ox%07GZ>IdUhp2O z52l~ay$-92M3ma6yf4Tl@58)>FYOF&gXvm|ZVS0P4C|%oa^rNKA^+(14e*#55FnSV z40az7AYP~Qs&I;Sqfycs{F-VgO1mg7>KiFn?9K@u>BsAcIJ%BV25rjp0^_P-TsqWJWTdqp*tp{bdaRTne_jv-Ge=o+(?ox6_x zZC6|^>83BM9H~zSVqjq4F}=}y@Pnr;o{~{6ojCYGUzN?v)Jm9!P4RY0Xb`C08gUk$ zcGwO&Bl9*4r+&GD;0Oy(X~pcVMf@N17%hB&9i=3p;E1sGJlBlypz2_SsX=A*vW&A{ zmeBWoa1hEoR(+|`k`vIz8F_U#JtI4^oJhk&5ro+R!CVMO@mZ%2O|+F8<(Y&dkI3$! zP^%J|Fd1Y{Z|!ASyf*lKOqz3F7*_3%J}x&AvLXDuNd-2UzO`{tN#w#{=Kujlm9MZq z`c4$5dIe?a#Q$Nzo_505MTcotq#ZoU5cQN&-6*Bk{fPT;Ap<%E(O%O7SVB=Hek6B9 zemFo3(&}*PDFY0)HVOxr6&#`e7_J4wx%(S}>h#|&DS1JH#%{$d(5D}RYlWatra})7 zJt1?#fsx~&v(V=4ZL6T$6bX}J4zFyC@3++-jBz+k6mT>X1UCo@ZIkLPrFQn$m>(#g zsfyPTPC87C57vv^m+CR@x?Z?O-m$=eUbdlCpjs^*@6?1!u$YL~X^Q&TP1o;8cP(WU zf)hvJDH9breUbK`=^JlN%+Amz+pn>(( zLFp^&h04y3`2jS6?Pb4kzUBw6z8fE;2N=lD^}=m3ANC8~m-Y*v;{%)Ddlj32%e~4+98@wD>#(~#tSucRzVqC z*TNELaNV%5LTc0REOA?$Wvp=MD_pWe27ThIztDPrp|aXFrxoZXTV#hKn8q<-s_bBg@o=pklXCsy@F?0`cT=BGfyKFY zRsF6|yw7!ReO=7b9#M=Ge92f5Mk>>zY*+yJAq-Kqu4)M=jO)}FPN0@mp?j7eGGk)2 zlQMeONjPf_H=3+&XAOK&jXy=I*s6Pzr@$RyMw3aWZDkZ(S;Sk`kW|zcWRS=(Ml;=SgSP zp=YiNzuXRVE=#jVL6k_RSh32XPB7|Ctl^{}xZ^-07geH67FY2$FDxGh-Rg-%M-L)S zX*fnMkWtNB*$~00r5rRNvS1nGP64Adkxedd2K1^cW<`2mDmN=0dZ~2F=4w*Zwb4oS zXvyM&OitE!b_9+osTy^3H55?d+HhnQ&hlHyN)@FG+xa0LXeH<%4uZ-nob&{-Y_U#Y z0fkNguZQ2Ri|%GsnRZwYDERt7dI&0c1O&rgXQT3+cQi$n_bQ54dvmH6*fd#@rxfTI z0!sz>LS=paq`#yWJ?Obs2V+y0T_9|Npm6^3+ChhEH?EPx2w7h*gXG7fp;^@Q7gZ4H zs_6tQ0Rm{u6vob|hDfZ*3T93W2NyldDKFFLP{BEZ@?a5~-`QzeQ7P01Qg~n4OG1s^ zfk{TA;4|tcEB)yqtAg{lqKbNgvq(Swu6Us2xJ1>`$~WOsJWxfy^_5Lw0^@9ILRu5c zZLRd_MN`CDX-nooPh@>ZT11h1{!8(yHf%n^l z=yy`CZha`Z!m}d?*IRUFi^2hFke3l+N~2(o5)9%-<3rrYUM&iQH#>K6YWb~I@&Pm% zDC@Sz_(FJPCvrC|l7-+eRAQO9J?0m>1h*x05%R8W6gzkE4Ja|gIO`;=wmUn8YkmPk zd0*m1YAAhv5pSo|e|=AC&{dw8xK9A9&I2+xfJv@Z(WMe{0hSoOU9>|PfT z;Vza3sbTCbicVd7fD-h+7vt|6i0fnJKoJ7&V!;^oIFfF-;B~s4q=qHfmEL&4uh#ri z-2ac?eEaL4{p$Vl;h+Be`|tkpsheZ(OKhtSZmq;UomT|(RDS2hW8ZvuJptAV+S0`h zRo*{%3-4wa+bM3y^(uGbA{qy{5+-GTiWEJ)CvRar^_T0=m|-aBqSJ-I8f#}vkP!@4 z!q=B$wIDpGi$af?4Y-E5;nr6BoMAv+3=bnGxScM)=}g45vrd1?FAHQ`YDTOUg!!6b zw96Gax3=SL-=m}Qe$!oRY(7Wjw?QC7l{Jd49b}~1F~)T97N!Spfz|AhldfCM4x-A9 zB51blI%%p`>_o#+wZ%Nz0?@Dra&xEpa_w%8W$dESoM+4&^%Mj$wt~iqoi|tavT#Hc zCLKHTVRu+P>lJM{>FL4FO`(m}BpWKKOLc9IU_nn&HTWR>GrEn$F)Ro!<|5=X-JrZH zWo4Lz$~+LptT_fobSgti!JMFbIxzy7hK$9yBg5Kbbn{tE!wbAj5LI{|1&$9~WB7krlyLV9~ykgBn zM}Nr$&PP)m(yiKWYcF#f~I|+!QMp)0BAx3Zi54@(l!i(KIGl%8C|eG zwm9t`H-Cu|po)L(GbHp5=T6D_$a6IB_ZenZU={QA+g85o)=67uqe6#A$Y|VDNJR7w zpHqu&^=#7{!NZ5{@vS#1;#c(!d!u4EcTPiDdwmblQ7`bbu|=me*tRLd=F_X;z18!V z#}=49ZeRl2Ud9&0%TxpjmdotXT$~2~=@#O8QW|m}TiAD2&z@Gjx3BFVUVe|`wc*gZ>Y=?h9Nf9#Frs=GPN#LE7})x!c}8SZ|MdO>82mkkH!birv*3<)m8JHN+e ze)>H&;`$nnH=;7Cx-5@~SY_qggtUs44h zL=OiuU!sT0>GxRKL{*7g@p}X&-u)h@%wSX}%L*pssw(!}aG(pbucj*ZRd7h(-Z)t9 zLmtQq88o-EWh_*FQ-SB$taQq8!ss1(s32FBxZH&EMiV#Rp;_N@P;e-E$cL(xa;qV^ z1Gx>I2$flicz8o9AMOZH-qv(P7Rvpu3P9;c$yZPFCg8@>qkdW6aL^k~0f)MT+Qf8h z>^amNlwefl>XYaN9d$hX&X%4T3RVw&1w@IW>Z3Llz>UO_^p1AnCmqm1zeVmmP|0lq_Sj?T zmF(FKsMbXggU2_NB?m((t%H>DFJ$vw*E1vXFm5jD&!&XQvw%w04{Ux-VW6vx zIktYw8Xb#)%VhKh72l@WDtSX{R}`K-G6lzizzy2h+p+FJ89*`Kzn@y_ zzQ(AS>ar^1O}AADym(adFntt48oKPUehPg#+r5qjJdrs@Dx6)oSz*x#ZKxF1;TW(q zvFDP*8sgMV%vo}Ht4hR@1DeehJ@1Fj5K(+#dNS3en?Cl2Kw|AObk_sj zJ{7O&ScG9PW5T05I0Q*m^7?DZp-(9kIu9YPq>#AXmmKN{6^12;(n`9P9KuCs%sP1{ z^eIJC;0p-p;rM9BE`mt!HsaIN`HZ?dg0_=NE595cmK+RL&rB&AoCVc=-m+%tzvlQ@ z&LPE%Pm@4Y+NU|cOa9BpDaHJ<S*FtC*L zc1U49pHjT;GM-|`{tgZnJ^n^zUYzC`zS$>HlFh~4p#A%(fCAnFMSq6z~jW;{g@ z?Od1o%>7?*d~DHtlKiuO2oim=%eGnLqJKSTYuEj#XYuRb&E_BsZTlbafvHLX% zX=4)Z$Ef=qHvAKIBz{UElJwQJpu$ckQB~aXR6kybj6uJ*Fy>dGbg1kxU5Y?oN53nn zf>iRl)*M_4>xf&^vMFU9W7-?8P%HD)9Bu+|Gdeb*dm+GD6A)Mj_ULr;6}T}f8cXjN z==>cB%x)OtcDp8}&?c<09_$b!f2q@z%LE=&+Bmj74h3P+WgIG=*DJgILe4bs^hNhJ zW6z}1meILK>5BFG1E(l`eAot*3>h zOZpvdm{)#j3j59oc@zMljHoD1poy)}Iq0M9HlBP%{Rq^(RFf~8$}GDJ{ly&j6j878 z`!=~nsoqBjW)aaD1pwWJ92zDU>#P(F2Ro|jQzq}sST}F;IOz9}`U-<^r4FHBg41V0 zKqzma6q9ro=i(1wJ2leiV5ZR8X6C3nqBrFAiuFTQl$#36v9twZ#I%tWc_m3wuAhQV z+_G*_C33-XTJLvI8H>epIaEiFxbWe_A@DN=Zlx0Pgwu@5_2_&y`gd)+6BTP#EiTZR z6h)@22y@aAy1|J~r(Qv_ElsLf7eyIW{d$cBO-+=$JX;)?;-C+w#QILWK&W0Jqm*H5 zf;38v?X36R(LWF_orAtAZ{EMOih*a zmyvs*OQb?Uf5^`2(%e<=hjkwHLni9IzSN<_z_r z6=nc8H}}S%3bW2qJdD2VsFXj?dQvYoN35Ob#KkFNCEfQLeRys#2;K@%K}HrRTsLR# zDc==KcYPj|SyC)FRbT<*ihH@g`Ld0Jf7%?)EWTQ0bB4gTB(SwQ$f;tQ4rj+|lzxlqxP<=1= z-H!Xkn8yu!IDE}!JrRZAf}=eWga&!SR`R@IWxv{EK89{{wD#6I@&ye!uEpsTqOf~r z{h$5j+i!pKS;hZ9ykdaqyTAPYcfb9Dput)0GZ#Q^$OnpAT{Mb93;}0Y#v?m?LMtiL zwY%>&9PO<%A*(Y@`Bo8m&d3d2D#*i#GjGhx#yNzIfFNqV_=@Z^Cc!9S%!;g8$)L(Z zk=Ii0&rI%fc{M%innJjFxir9W+`7#{IOhVq10A1Ja;O*lyVD(ik`F@K?KCtM{Iy2K zUsa`3Y6pDnP~Of3JT5N_+k_MYcaLEejCVDWk&^FMIoYSMzMU} zE3z3v(u$u}Pbg&7$_m#(sHRjri~%&z2X@srm3 z9~5B~(soqq6@zt_MiiZO5g6lSzjww0Yn@feX&zLP8c>kVGaB6vAtO5b)v;wZVYQ@` zqW3oFr*vH#WT97&ZxF=Ol%^KMd%Vw!+7Zu#~IJH8-o!V7z#rZ$?o6>t*W~ZH%;@@ z4T}ui02UOGz!Xdwf-0ZE>!t}KR74WSaTBsy(zu)0}Pkkvd(28b7VrlzMOhpY& z`AOiy(<*T6Dd?&y3BqL1`>3kNViSbfX;Z0;o|GInWpzCOL%W5+{))jkWWK4(noPBZ z89u7;x>ZT43drHPtx6OY{HnyzSZZ9SL1$7mg~A5ie1%0Fwdm)OHInXDq$8ozmGthi zQ{A`$udUMIi02OmiTW960eZJ8_%p>7ZdmNB^yu)fP9ZvU7$REG$PuW}vx3N!TY|$n zkZdkS;3a+}LemD`punw)J_|ax+=WcU!;P8Rpz0r-R;- z(&B<`Pn(|(hN{(*zWIvL&?KBBKtADA(v_-|9;#qRR~pveQ@M}BcT}blWPNcq5a@ul zr(>K(JQUo{#;Q{MrW7_-{Yaw` zkHv_@rXreU>sS9tX+}xIn@HjETvPN}uw)EM01&YB!=6+AjDKrTI`POv6?Qyw=?^K7 zh9hF#Uf3jx=OThlhsyeS5b);U8LIYSv!W57#0>_@FCToT=;kjz0aw1$|7vpNj~tr+9P)_j%4UPKLf)8$6d3 zO?WIKlxIi(Ue(_oPKK}4!|+3axg zN8jjPsI0c@XIqL7YlEn#T3)G#I2j6pVrbQidUICwV^>zs;`1RB%LN7{3GUfT+!Ow@ltI+v--=gtD2frcf6I#^sx(J3>2fuXfPt}cG+w`@A z@A|qT`t`_Y)4|uPcLj+8y&Zgu3V`c1p)0;jU86|VA6+?U*S&Bz0k!uR+oEMz-mf6w zv)nuQ4EmRK?}SMP{p-LmO@;e-@8B!l>*T#?6w&t#CF{yzh1A<|U+mzAhDH(7w{~^# zpDPIZz;X9T2wxriVcP`}fD6k+ga7Q{m+h`mgz-Vuf1b-$x7KRCm2`>}xpiLxH|gdl z{c^=z6{|aEnxvx>txTHNG)5D44387iu_K-AqWYYtvX!t~eF0=K2VFn~5xXJoQgKLB z80XXpksbS?RHN!Lt6I-Div7u^46jyK(6LdfqG&?6RAD3KeJcd*gVlT)9Y4P=n{m8bOPqG> zA(YNhI@|ezLqk60hSPczI3Y*-dg&JF=-I^T7VUf!Aq{T&J$u8AcXLy;Zk(z@nVoVv zs$LrW4u=2bX;zS9rJdh;J0G>-g}xFrJRvs#rlD?{n04KH5C z3=l~R!n}s+lr*C6%lawGU^%fP)K1-^b?ClED{sG>^Eql=m^Q~gMHPRR+-_9%B2vKQ z#c$nnAn+U)=mu~-8}>hF8LIwNoXzMKZL32Gb+t$WAY|1H0~Y=HrX#!H6R2`KZ_0a$ z1p-$M_Qf(Q<9e|F1V!)hY6Rlk-(ndT+qK~*Je^O4appjR3fx7|Cc~*AVZE2j*q-AV1Ro=fXwhZT8o93f(1RYWgwnD*O-5M(>4-KJDq7 zr*hG~see!ZIu~>A>FeTd4~}2aKNcSxzj6r|nfH5$ubT(ssZW@xpnFgMjc`%9_w@D9 z%#o_i?cUY@=`Viq%U}H6iN5{wpMOt(|NB4udK*g+P6J2i!cP?k+M^zLjtmm|MH1iCleoxSMV#TQLsLj4|E zvv25TgUyga8_Qb-Y1BYE*3UZ>5*w!uRz<5io(k?ESk*V#>clfMxMj>}Xy6(8jpR}! zX2%&{p8z}%`atGH|4!JZZy?~usIZOn(ln&(aJHu(D2S1iXO?MK*W_d!6yJS4p|ef+uVLuEM^!g%<3)GYXfR&?2L!t zo`fn%mO5cN^*Ua9m)_nnPQzMDpoQMTk`4U|1m@9m9CnzD&>dnG$U$fe0pv0tI!tS9 zf{_?p)SKex&KkOE!MfrNW#X*=N&vc{#1z=XIsFrS>()go#dfQYxsJW~)mhNR!NgdR z3yJD5U~5nf-zRMC^q|Zk_{!|4p%$he zJlVconYx#IT5vaq%A(?XMz&3G>H%^}H*ZuLD=Ow~sFxBGGca9oh1-dtIL22BPS8E| z#&g$OSjRw6C~#*SJ)qiB~d_u>4KGO$V`T+a#be?5({(9SbNGEMJCDkGp?DS|$s9fyscPOkrL6 zc3)?3VIK7i*=tdiuP=`u-m>FGM%`?O*!f!LlBLH%ax`oRccgPRIxef`y7Wdz+yyKE zI*z<4xZLHK!X7r(y6yBrZ7*s}`eb`^-PCJaih0?wEYDfSd-eiq<=w)O z=I}#_S(T4ULWT8cK1eZ-=PW}Rap`JI<+N(9A0--JMj2*%+vw19enC3)?vxd1hY>x) zQ;q3jFHGy;=c$dR=e~|~Ql~EFC{*x7I>X6cU{C5;>#nfq?A(#gs1!KIv(LdYt8U1| zN{dx2>|p0-Kl|k`e*LTWy$<`^KmGA{fBV-zd_j#72;4$>sxhXnjeR7h7bOn4t1+$> zvZC%NK5zG}2KzydS;1wW55?zlT&<1_=%dx46An{GF*jmoG@M%vNY1o$7^NpgFi6~U zX2PR{ty8lS99Nh!Z)V_EkW*4lfbs^x#q>Fh=IvOhAeYf#b5Z`sS^d-hk z1@)qj$g{R-KhS|yNYN)6CY2s4`gZojb7b1S>hk!ke@@?&<#ZMh?4gU2QSR;1{e4YL zNv^_CT|IkdgHaq%!pe}c^`Zy8+1e{ORfR$|icw1IR?k9JSwW8x$tM9e<@4LLHGvp> z^(HgqThZUuQyG}GRHX?OO}h#rot~yjesO7Ku3LnL?a_T}dHrD@0~SkL+4fKkH);3t zKDvS~XJ#1E#hRwb%E{UCpgVpmI-vCwXq;3WF5O`1)N1m^+Hg@As}S5ib*<(Q(SAk| zC+O6(+}L%PbtHSsV-u*cZeHerSqLo;b15|Hzv0RfT$2 zhstY(pT+6JRfQV<+dY~x-q1+m3?3?wd?UQB!Xm=4cwQ$6Ge=S;p)z-6KvxNk3FX&N z!!1HWTun;h5KJt4)?J^4j`SI~1Bo6bOJD)A3qbd*$nJ%u_2u+XI1-GsZ#W~b$>gXq zS-^3zeT(K$uvPx4xgAmWp?2d6B^=aN%Yml4u>8Oph3!4d1=Uit^Vt_HEZ*hiP*SGA zo^*AUA9TOdDbUzu7nX{j+>BcB3|^rTrV_CWG#WhwrI1z6K=-qCg~m&uk)E=CC%U{@ zHz0HsrYJ}r>3)nf0h3|~3XSL+I6uDd8#X2^C^W98?1DW(0o@NYE*O+IokpxEl(cu9 zs}-TtY<*=^-B8mmuEn**9S#(Cm*Or5cXyZKF2!966t{!ByS2Ex`@!Ai@_z4+Z{54@ zzn$#No@bJkm1HvWJS=3>E&8Rx%*u@)Lqn|jn6t-T=?{MDHM*-&q7*hEFG0do9>ZDn zXdd=#N7Q7~OLQ^Aoi7+T_O7b{Y{p5r%8`-%HFHDBOfuw(#36O9wUk~8YBFql8cN4e z_i&gJ(cO?kUYp)5h`=;wk)W~kSu}?>l5=b$QSE~|O>978M5TZJlxEd=c&o6;+V(ab zY3wvLZTgGs14X~3TJCB^*XY@)UvANrnk9S5j{>)9%ori5JSV?(NA?JCHbAbzD-J9` z{ZrYJG8JA|QwYHRn+ZjzX-z(5r(ARw^+aaz_xPku_I4kPxtA2XU)w~DpRR?JgItQX zh9$ejiB&r7@USiTwBWzno&W(S8C1xsRcFWZI z)5UtA&?yKM{af|eBmyvqOiY^b$5eAn@;hGRBSqTMr*hI(<1#`5t=7Qs5}^}Ws~YoN zg2|MT_`m<;`WY(T2?4y0ZqM$Cyq@EpN7$}iR<+Nz5372=#&%*)r{A|z6IpLtcQJl0 z^XaaA7eEf&9>{fRA8fHr^>xO1;L|DDZTTX37_PbQyYQjqzL+5FY;l^oRKWs#T*X?z zCZk4*aU-(tgW$-X>ErJ(J9F+yy7V9MCF-BlRFF)mO3@pJye1`9o;|u}B+dIvVny#d z4F&5pmrI&)ffz&W=$B>2KT$-zkN=QwH#EPn3TZUGx zYl|J9OrTw1h9xDr>Ht2z#i1DdYkN3kZ-mh{0TNtfA##nw2H#Rxg)x*q;?H~e7Ur&a zFOe3Pt<1wqAcH zg_c+Jx*0C;VMx%qN{7ZILj`tFvLh+MBcmqwOLj9qoY)W0-{Qv34^vmh5a(dki?Pmu z6=#C=PD7K^y94Vmj|b$s2QKUtuU<$oeM54(*mr&U9e;S94Z2)WsaV5Pt(s-HCG5TE zWuz*jZ&H*|QcFytc55^PM}zYl6tPIrS~GUzNHE!V_SwU{nYLb!jCcq1Miv2WjUiaj5D zykL5cNYAC)jHpRolQ|}oq?saa3J=Ck`}|#kH`dqhQUyq}F+@%1UZE2*pSOvyGQAx} zrpc@KOZ}G~XLU}2>KUGIpw}jR^l|~lR;7Ng`T1Au;DY-;bOuWp{?{0_beI)EQ>A-I z6B>ja2UlU1C^+yxvJUg9L@(gFRD!2THSnL3CZv01kMj9fS8uiX22_bzc!azd;BxaM z8X??I4Y+kMc4L^ z8r89a+aMI@Z(KRlV_7W$G9{q_M%;KeF-I@NxH?{B@W$vdbVh3k)Xrm=m}BbvEIJF6 z7IwwT?A{ijd>dVJc=4O9@1p1{MGy-Lr~4m>w%8EYz;dyLAkZopRlt3;wHev%=yiB}?v6mUuf- z??oGKoKarF`4y`5IU+Nj%T^vJHoL(CYw`nZ(hhDp9i)?>r!2RXzbbB%pEOAL`n5r2 zOxa$w52uYhac~8X)gDxTKXl|8bfnaF(uwpU(qiiJC4<>T9b?_r9El3Q<`&?UsVj!p zrZK%<(!!MI0Lr(Un_mKodkpS6lCBmy?;VM=`|khH!XZMo0TU*9IdRCL*uIl1 zgcA2{AaKV1S&Bz5aya-{CUr67LVk67v&W2w{}?J&1!vcNU!+d7dlg;Om_W%(a_VTR zgvU2>blyCCg%{G;_u<@jHbD{_Mk9oYC?zEGG%wBop3^-=TGW=#bbdA{@94ycZjfQ{ zJIFxe`TCE1G72_EyS!5kDr`a3ZUhv|y9xtMMOTyxGWU~j6n7AP0IC;d@F26d3gSkM zmjtoph8kG~{1;IwDcFzDwTr1m(QC1sV6L*McIx&F>AS4U6-MsBZWYtJ?{`$Qc-WP~iW3kE~a z4qJ%7ssB?#=v>7vXK0f{+fbX^Ey$PH!I=y`>!ta5;%O*YUaFXBjC4~X958beqpj}EF=H3$EUQEiFf;1OFX;%P{dnR*<%uV_S!`nodY?}c?%Z0>uub@?|tIN@G)(I z@^$y2TYw5V6#D(%0Z{mH-~8Fe4TXI04Pk`4fXdYSHij+aCoBqS)^+?zJJd}e=U=DZ zApV%es(@-523kEee|@o2If45F?1ug#-In}5zD>bZ@K3Q4^?tyVk=Ht6fP%pRg2W)oGX7RoKav6sGem7XI)r?rwHzpyHR+&zJN101Q*Rz*-St zd?&aCgqaa57VcMgsiBoIF(`Xay5=m5fGo9Zr))-GV=z{(?8nl^1#$?+lnh8_&@5Z6 z1~DlgOA?vy&Ae)P&_Ra-Zabc}?fZBT0Rqny<#eJVzktfyXb}vc!DY2+3{_TO*gt?2 zp^dog>8O&ZAddWUSC#V20hjI9=*S}zJ@lwm`0%x202x(irw&td*JhYynzN7?ug^^g zMkp%A&~J%`X0`MQ^R}~~R{#qd*)_%+1l4H4J-AWEs0G%ZJDCpKk#pseLjV3*IiWL3pp?M?pu}^ke_f`tJEoR1Chv zR=Ta1PM!115JPrFQBc~Hot$jOp>j?QSoX=qaI>vhFVZ{IKQ`5w5Z|SMt#KM;v{ga> zuc0djkobLpA;}M$$#qCDA`ZuUWoZW}tBvu`G5(ct@vF6UB5P4zQxLpu+=B>g& z`WwH{>f(|Y%@}qk;vvgjZ2AR{Cb>Gx!pbCO(Kb_ttrmItXLBl_#_t6gh5OFV4>G&s zPDr?SnDy+oYQ69wF;8Z>Gqf;gHcw1$l#JHawWWM-YBrogwg;&!c9%5`6=nBlRDB#5 znj=!|e!@DeRt@#t4q#Mz9K@*5?C+fDLm>wu<^aysFq}5112lR5#Q0Dj>FHCFm}MQl)7R&515(D?ZdV1l7R(A!j}^2g2|Uun;K7ST;-B-|&|&c8ykyMV7&o znp=fRGy+BqTZB}WU$7)qi=9G?muY-|YEYzco})ONco)IAG|`^}iyy7bo-#(VJ^38* z5wL-`0OV=lRFz^}?Qp#txoNa$XpnU9da3YjF{=2b+W`G~l^gNjp;;s$r|||v&#`8n$hPA|8z|&BYR(8l{f|rMB;lg=FVb( z@_MmyQ1$TSFj8B8#>DfYNMYO~$>4SIVV{uB8dA<$v@Xhj2Uy!a7|%gUi}BY)(gMCd zv^N-qQSL%iMSk!xNZ@>nT$OUP<f)>Rrmj3Go*7Oo)y%54(Z1w#m;bE5u2e@vm|k~FDFNP z)`4zQuvu$@?(Iv+XZ_;-m9Xsxq(7G#!`~?xC2F+;pyv>cVdPI^*0DtlZOv2RN4I@g zgg)1PXok`D0W@>e*_F=RECV%ddOJEaw!mtfS_rDMl|R2D0l#jURz-(hzA{OR^HQPz zO5TM{(qrlGGxXZ2d;%XR#Is!+q2o5p^54EC-&0Z@yTAxo((tP;L?u~*loWQ(x5ENO zd^OuUf{qh?3=dLpJVkiAF7ziJ&G72F>_N`6+{1QZ8*T1Ajr2^Nb(o<^l36zk&z9*3 z*kI~j;dGpegX6W?Cy@-Y`&!#6gE17)%4#uu+KJ(CO${Zn6W%i!Bmb;e{^(Ct4wS(J0V{~ah3D&SyUymGa={KAzJqx<7Um-}}x7(N43MM3-eh zx*rsfI+K3hE7UMv3gvqA&21fLCzpHdoOdRrY#eB_x@GEfXIpxa)kUfNjE+O6}Hg zyQdrvsENN!V*RJziIs9=aY4BNZ9%#zuc+4GfWT3o8aw1=TgB;p3VlpE%lswAh9&b) zlJaaxMSdWwQX@#AS=g~7;;<0&&^q_$zK_}chOp7NNGK6Y!PiZ|p@HH2jPSH9ju)+; zxvc^;5Qi+Lc|tnmmr^I7LOcGp@&*IMc*$Sx59-2qjy^7?*=RT@@V9iD@_77>IF{&6)t7s40U6+jzyab zxvW=2XFvO!4^C5ms2|NTgALSdXFoIw6};e47Du2owcXk1=*kc5a=2vMa?B6!gT?T~ zlcoPUFs@hiou#C)@vn(d)M#jRS$I7q)y>_TcwV5 zY9(5wGCY#cOeQ)1nCewNFcU3~BNF4wq>5}t zo~NeU-=P1ONA{QX-dx(3UAAwo$)X;{gXK7t_B3fpf*Y0y*FCU!gPu;76L}nSNo;&0 zlgcURCItQHz{hu%0b@Xk83NFCFVn%MHOLIW1_hy`XuTF_C03hDw{ywfQY?I;JWV-@ zK~$wp{&s{;@|#Kvua(HaCUwo5if4-6>cBensPan@m#kXlGy`4V2mCl@ySvswC6_E` zRmWMb8?1*H2VeZR%X$ac_q(yz9t~!d}Q77h@dHMHF zEoZJI{!FT~Wrp6_bwz2&IP-tgzzlE#Q!8B_;2)ouB%Z*oGl%T)u(|Z>5<~CqVWWoe z`FNtELn^I7XM&aS;8EDt*U{-!Eb$I-N_x`p!XyhP7PyXT5`WiEhsTrji~R!0=DbtmrWYiXH5Qgqi8QE4z`qB zTcX~q%xbfb>wlt9n3(}zzH|}?wz#q~N2=Hw*e-Sb?LnY{E+9Sfb_lJhPHw9m2yiFl zX%%27xx=Ub68*-URL89XY}mJiDyaXJ-ap_@8HI9H)~#9kFlFTKC6Ko7>dnY`diSqq zO2b6lnC~k-xNE5<>&q8e{5%{L1AKhG%J`SA;?V3!a%&Bzn$y8)VDIu0~RG^v^%D55Uo1%U6ix>gaL7h)gk5G!4xmLl6K@1{B)2`RiFq;qQp zQuZ_pB?*PQ9YluHkcrm|wJDme>~PK<|Lc_wWt?G2gD|;%(ABbD{P_zUE*k0|sqi|C z?=3btLAI4rYf;?7v2CwopJ*iKLipN2#A?yIeD$W%GQgeim8;Ffx!7Vjfn# z2zO?AAQ7qeg>+J$jGJq8ZvE*u+Lkrrp7#YPowmlsOgXJfB~m5te?LkbVTN65P&`YoREmW))70Y?Z|L~*GLi*FM75Gj0- z54;1W@~vbEXfe`tZ2xu}#Ru-w`-TecZRH+o9olM2`h1SJ?ht=!=oChwQI54J{`6t{ z!vzqIMfAP;OzcOn?OmW4^f4td-apq38v0#+6Z2-V{!Lu`1vx8*O$Bhb>OaH4g@?g*Qe>ION7|nPagX`tsf9*AjMBxhU zxoGChw2~&Oo*&iz@7)g$T~GVXBQGBw?w%h)j!v)A@9)i5?Ls~uLT{$8i<=+sA7YZ* zzjOXeovp}dd-?JH>+Nk*|9xe$>+OK%qvYjW*8jD8@5*9Q2-*Mj?6X=-=#wPx1H60j zoLF?1_L2AW;?iWIA1ztXz9+Pk79%-YeswhKG4~2RRB+dMW%)oP{$B8#2|w07pr+p!x${QH?*c9#;M!kQe%={ zULegsfnyf+`7nNsVi$)_gqbfZf~im+|A=)ihNssicPXO5jmsyEZtm{>c1Ge9tjDay zxp)>IX{(GR^V@U_&&LtX~9FY4k_>v6l=~OCr-k@V4>$ zZY@rSvv-`%#IPD*H5+aZmDWa#G?GW|XN7iLqZF3cV>L8pIhCX7-vgyBwrf!t_NKay zEkX2G@m8R0E&JKHA0 z0R{Un%N?TKujkJ#OGqUW6r@;519JzEO6!fEWr_pT(pDqeNz|`$Fi#|EK7tY+cguSs z53deHiE>fcL}~8X2UAncyLTRmqBd>5|ij zw{W8)m6gNMAL~-TS}dXu9Z4JHsGQHoP?JL|nd$$sfzLq6i)nu=Msy0P+N3KZ_m=M` zdk;Frf^GU3s~7-}t~lT|IDVJ75ep4B(CTm>yo8B*S{{=BNifRKBBn~dN%7Tv@Ivn$ z&KX=r-)d>_81HIq-&u{|K_P*y>Fh#jOW6nhLcvAycy>1GxY0C`JaUr{tX9cb)Ri1> zT3rdtg~BDgQ4eXB58~W$XSK~L(0*c@!CoKxSo5u(fQ+lo4@BTSz@ne(g8E&`#ht6U z%=V9KZ8Xke#tpolAnsz$CyV_^aA2Vs0_Myn22c7|~P zX`Xjx+qQ0)Tp)GE@Jm~HQ#z6FOMb(lOAGQ`3=@=Fge@47XX!VuU?ta=^TF|c*P%TE z3I|Z8JJMWb36!r#c3z9}fv3T$4|BE?TnQQW@oo4!Y~G((7lgU_ZFo|(&|8`xZ}8~H zIzMXCH6osOF+fi+n6C&S)+4;hTp`yakxJ|Iq8!!eI3dr9eaJ#DcT9fInOt+#9}o#E zoW=XuQg)9bIvMip6yE0=_o{a~rH(@KvUI+b$GqC{I! zm{p4g-ZqCj!Q%{jj&#2?jpgeMg5Ma zJ?#H8M=ys!HPo?K5H(lD#wS}FHOJb$nI=ce0}7JH8c;j(;PZ0qcltGFUJ(dxHS%h= zB~Cbo!fKG$OI-D6h9(8VP`JfuZ$lHfCOv$$~$DZR$5yB<*7v3g`4TC*6y~dS%;VS_=@Vi+ViGeg%h+%Ah#!^{5+;e zx|J<*r?=aYVjpzm+fja!C|bx@DZg-lQ?p(q9DY_R(@SLn%{7Sy3dy@@l#P3&Xs^?m z2UG(Z_eSkkM>GpOxLX}ce`Et1XOk}gjrS^LG44uG=YYl&f|M1?JuZh5nM&tjR>eqt zv=r^psXeu_$~2iuDDa}1HQK@{pWb1m%$YN2F11~zl1H#~E{q>#`vlN<)+tlDG1q`c z6@Uh4Eb=W@@%}oAf|jzf0JM%F{tjpy)c{(z-((qVfaVtPQncB+fn|;FYGpVJuewF< zS|otRqknpUMsqzOXvj~uhl+=--32N(L$XDjKFUQ4T~>~MRVp@@O|L(S)P4B zf&=`8i*SAB#^6bQhs&HjqLt3+qfC76bB}O)%T=+te*iSz!I#eow(&HjJ8G1@(coYU zy*zPsKhx<2(!h4Sev+Qu~6WFhL2Rh#w+V8O}U^MV_zZC4Jp9DTNYH(G$mVu9pws<*wXM-U;?p+|=;T zkyDnI5ckAD_X#(af9`Jd+nQ5xzBU*}*fDFFB$n;|B$n-b-l>>8oQX2I1nipi>w@Ti z!eJOC2HZJiS$&=o-)X0?E4^p$ST@MvjJsjJi3$VPEX0ysxemUGF^Z8f1DC9?-3Q#e z=<6u{!%oGY@Ygb%9euVQ)g!p&w%6T7tf{55169QNIy_|i%Ce@Eh z+`~6L9$J_i^ll(0|j48<8n%lQZ@2H%_lKuH3t`qKL1z}sS63xn6+-@M@9t>f1hJZ#9TS~Z}yxew9 zMyKG9gB#%dLs*ZEc?l?of&6APfTKJ}cJh=qM8MQUeXl`np|NSvNBwR>-F;UJr}R0u z)Zh#W*oD~Lh&Peg`QsoVMnqKZ1A7Z*sC1BKV9{(wdcChkM>Fm+Wo2MzRd9{f6?&b{ z>$pwe2d0C2%3!>Wj%{fYNFv|xTlpO*s=T^%cQvL;T0~+F@Ou2dC)OA&j_C^qtiYj^ zOJ#ZxT1JKy^`yJw_lYT^Mg7UM7FK^e%6Fgz(r`-D`_oR=cp@tThSfe!#R zn|Zedz5W8Rcyh5#{vd&3eho*mzLVe#VWh!LcW%Qmer?y`Gxwta%}{UbK39luI$kkd z;m1OO!rQDkS%v70~LtBw+O?NTqa#f)Hvqcl5Lr~IO7hA zMD?k)^t#vzD3I4_Z;^sYtX%$(ZgQnaN=srgcKC&}p^|C1nqmLT=quYuPct(N2gOm~ zRCDst`8N004<;x4m_9Lcv8=>`P$`)wb^0vOz%!ibUD*z8Yz=MCu%)5>USK^ODDbYX z$hIu_MQLQ6a{#W~=)I#IJc;we$>HO2Rd_B;=dR@+ReCzJw3cCZH-@mYu#@Tf~VG8pI?fdGsayxb_g_?Ds*)@C*$DacZ%bq z0xRS*_B7Oa{uq? zU&nlCE8NSzi{KEy>CqoA@F~R9aNPFdrr*n~LATy^lmFYg$0g(YUEIipMe4^>yGR%D zsTsA{Yy;`)C)3zaJh5d5H8P8xcW2RIp$-irdN>e0a z&yN1*TxyC!(3k~q_+jHu?ygq%gYvsbpu*b*V{of)-@OU{$pder+4(5c^Xf9n$n_5a z&dNJ^ecX&N?^FkGH8mSk&lFSUr0sfYGbI7U`J|M8t-?h}2zo~Dp||a?jtr?(XJ%iX z^DV3Jj5YO^E*C`PLYgE#%h4L@^Rh!yZVr|sa7?c?6`3fa*f)r8U{=*|>XF*@ioUd8 zcp?r=kmZNCBVb{h)5$1!`O`7s05RtbsHqNG=#>@GCDGPT2IIdjI*M^QGl}yMcjo@t z3k`J3x-Hb=T<{(z`|cHs#QxPQcY-xa8%ghZTVjfCIYgkY>!DCL&$is(Y#PU?A=Z1s9nw%v1k9&c?hQv{h+t-593(r@Ua{06SPw@oOH5b`y3*gR)j*ej?*)1u{ zL3Zp%|4E7##USN>@&o{)TB)vsW>l&TB&G46bM>X2axeU=c!}!mb(F|DGHb?wLhvP| zL}tB&DOI+_M?aA`C;#^H5AMOxFEn~Lp-YI-X-T6(;s;+T(b?$F9ArG~uBZe-yybEQ zU+M+_IWzaG6J(_Zc%1{qS=zOP;cKFJe045K>-57J5x?J#7c-j;IAFBR^*>-)yWo#{ zzQLtdL0Rdh%Q$$HP~xlx8qR=7o4hRF>P*wME~ z3dC2fS+(3zqvu=W?xoXbR9?oMWlbd{$fy;_vgWhzDKq}nzJs=Y*)Cs+As8f*-yu~1 zfh<0^Vrj#&sbp(_-egk(F-F8gZe^0uz~5wjV|~_$A>|p{Jd0#*xaM<1!G?;b>_@w)IhnrW1pQqwV{6h zLpM5>9Z~KAQ?4xxeR;ZX{Jm&@a{~hIH_UG93_HlUT>zrr^qf!L;qaZ!PhBdW|JLBh zCtI$Em5x~%yQ6~rtE;vXT3?kJ?%t+@#b|dY`{n#W=xKBH!T(8d^W&=BqaNbp=sU`)d#{|) zXfOT0I{l}B49^yY@u=)=M7Gg|F(M1x=v2`h?9N$|IoM@=CvzB!d&I}NIFwu5UE-vC zUA3=IP9~O?dW#hH7mn1OR1q>|or4)st)-V&SZ#lcFT7Q@hYLEv-@glXrI4`GOc8D} zOHCdm`g+@rEFu(AA7hR===qr|$hgNH%)Bj?s?={!>#wZ)7IlgEAk!>lZQQ1vE8P_K z4L>NUN~)$CSq4@1p{-iKOBX#(`rX_(`1zH@`Az(N`}fiH{#k;1pVa-nO7i~NEcCYh zu=#OUZBQI@S*p>XaMv9KN4H@`(I&qtO^`QuD~@Z`qAdqVBgPZ~=f}_@LaZ%tcGpPt z50cwy7EM{}@!1vD7z)nWMpZbNt5Vtn%@J2P*spwJAIsH{ zu%+N&LcrF<>4cQc8N~XWE$$^Uxp2R4oWN^aI|XppLnToko3K0_ZAfrqu3zPm+0Kud z18(b86Y2k5$!=2{%xS3duCyvRj6OD~6$7^3I7Nw1h^@evt`T21q}M3amJb!YKO7BLD8 zN@LcN(TtF`HHa6(X7kQ*`=iPt=6d6zXpGy%&U|g83G?#&qA#Q0^G@tz>5BGvTcvD99eyykQ|% z<}@ToAwMD$N2hp|@ke6Q>d+D*kNG-z1o>#frK>)Z4at6;dCK%%r92+%RivV9d2npV zV2dK;P%%nxPWJla?1UzM>k6N8;W7vzucU!1IX&hK% zUeEQ8&G|LL#vXZ9YymZ@>p_j-G^r3;Wpy040}clZPIPWOG;-*@X($q3beaMev{6B9 zseg?EqSfAbR+iy})2>5Rkr3`Siug%u{MkL4DHbimjb=jXi}KbJHubj$M5w?BY)0ah z1dR?ziMnmD>j=>|<qbW8o83qt6#Q1FjBwM>)rZuDipW*7+oR7gj{g9o9SJ&eLW^Q*8dOqHhXeLY1fqKIAIt^S9FCE*$aMIC}SinjH2v|r@pPl977>n zhsGR%QdGnF#YlBbvUP`-UwTG}k%^7jjLbw%4Wx0uJefM1+Qni5VbA?z%fk{UV`PH` zIsT{_`nnisZaQ#K@JE!Fxa*%L{3K{g8pG4n@1_p}QD!NdBERnTyQHbttP#NCI46gw z*f_O8@y44eXP-h@Ex{iw!^^U)L1D7`DOWc-d=D(XPNl#+CrtSMlKICZeZe9e{8JKx zB?n79Z@nALjFY<6$BroL&k1C$Rv2Q7T@~v2_XvsKpG|Ij9-ypfcuI)z^84wGA>acd z`sG#XWtU#OQ2d7T%p>+M+WO-Y4c~ug1kM!w=>{H^-5z6>E=$@1(%dI*#m};K;;ORQ z^xOI)5Ynox4olV6EgD>2@!Z0NW+#*bw5Ny;7I|^x1~=Tona&8`P6*Dj?vUI1_Zyfd z?rH_Esm`)W&M%9{I8qc{!<`V%4fd)~(X+TBrMa{Pove+0U0#v^8ue}N@>;ch9o zl+Vu=vnm+r^sN3Xh~VzJpS4gF-U-0@>t>RsC2rf4%wWGBWw=pdp446B*H77~UKY=fU%{S)Z1d zrXmqhZ%}Q1L9obq-w^*qme~0z;Si`mhC-)a&kj&9lUEioh3aF=sjVqZq9>@@bQru+~8|wrJ6`j-t>vxWi^Ma`7*n zkJTAYha8Vb1;w)douQFU`&{3-|I#CYfH5|8QBP#JD~;e&XoOjxw;G98oC6E%T-Bbt zJB1)NU}w;L-+R07!MT6GGANdZ$~AdeZTr*NlWOaBcu=hKdU+5k78_1;NeHU8AQKYr zc2%xwpT>R|iFH49M;Za6)hh9)Y0O%UcLKpgNzjBV2qlvM@idv>o)$&S(nZf+bNM1K zw|Km<5#nN+t_1Gn-ZH3v|rS0dl0Wdjj?Gg{iRpJ>=YueFUdCICJC|0XIWTL|s zL4UtJNZ~m*m(7=)t0LT=?kIi3-kAj375m}bxj(Y-FN(!pm~6C(?|z{!67K;c*QpTg z(OAjar3Q|xq4o3~$Hjga6D-k((o}{4j_VE{wyP`0W<`b%Py{}6kdZ6;+-vTPF;b`| zApm2ROXvgKEaZy{npodjOi9{S{5YH36v~3w{B*?4jL41sS5Q2KKp|i1q~cO7ni0C9C%Wr3Hj4BFT64|zCr5k8BBbTuba|1WW}RfAyQqqY^E*r22lcIHn#>)AO!d%Q4lA4;L!VD*78bTL~HI3)Z(`vzgUN zM+hM7@%M>HGMVZ&u90P^+{wW>*1@VI*_dd~t+h8{ylB-hJ)uHIng(V_WA?9YMpB_9 z55)!r&w}%#m1zgzhw=T^UQT8LGfpM=;VeLQRMyp#RU) zR_J4}+VAS2flR+xRH%M8LxRf(UFaQ)|2`3%&E;8M~3*}(rf)8PF8&tHRL^!;h_li3+%%M+}2bOaVQakUuDHZ33h zC7pv>TcwusNG&Ai6b`M$p#ddq`fg(wn{%WdBb9F>Z++9{ORh8I zzXuwi!rf>p%i26CAbc)>_<;>ZPTpBt#=B(`gwiFZmu@jY8XJ%F4T`e@$-)4f2jN}$ z&`RfrieXw>HF*Pd^=}z9+Ca{3mZ+;+PDDnabl5Yo{8^^x7WpIDPxa7d2Drra{#VC( z>D{##idgj`;ZQ%I(mcd+ik904q)cZY2TF=t)L=)|AG`TVuFsq^N9drd^rqQ}j{a@7 zxrjSATK2UMs~rZvdQfm_rQ&{_eR?8!elbArb`mEr$9kyCj4Gg2J$y*gTtjMd5K(oZ zG`g(%_uX&r#r%X<3OM*@4>7{zy)cY&o8X{e!+-$TB8~LYT)rS4r9*>a3SwS)GX*_b z=Rj%3A_cN{i!vLg%LMROVzdhk1U7#Czbe*r@H~nCmf%eCpO9 zHn|$xrbDfKOI|@&JTmKlj0lFB;vEp}iL0^k^gR7jJ17tnDWs4B?Y^x_DkLY*5p#Uu zR$YJo%TOQ4I_2U4qQXaN3YAEESEi!U`^Ab-h~RK^_;s(f38bcwV|71)ruS3{*dOb_ zNR@XRay@tJvHY`4v$7oep}jf5A&Ux&6dyBIvce}=B7*yRA?##cFr@ zMWF2lA(4)q9#$!V#H|yIcg$QjUYN#pTFt*@z71JD8dkUA6wYgvQ4zT0RKv)iTczrD zq9h5i0ZX;ZZKqn!6)kvNajl_LGHHgb6Snlr)?!pLUZ`fZN@>ND=&IZWy;M$hc?Yp8~>16}x!6f(OBrw3`Rt^HhaP=A|~H(7zFfD0olv7Q0Q=XRIt? z8PY(}j*xhoYXD|fM+)HVz0Ld59gJ}Al!hSPn}y<4)0cvhaYF3?2*rS zLcYxcw-miGXIti;X!fZj(DuA}T$9yX)UJ*un*o{kaBBnp*lQt7ftha42=*&NU+j(H zx$YtDul>QPLEp!GjT-?nAz{MjduBKoDk01hUfgSfu(2jLMJ#-6Q8wm;=&=Z!c z1qyt35U^X{Gm}TU#QZ&6!aFeYo_MXMv2ex~yXC7|F6F#cTJ!R_Qs%kl%H zV}XOp%1UKDUyE`|{X`o(H=xKozA2~+O+-;zgU1=SMR`Cn+}DVf5I9IzChUy>*{Twl ziO?dlExgQDXu552Lf;WUa48PY)sJXDf@rUR!|WZDxdXw4)JL?|z_nG}Yyq`F<>6S+ zKi!K`r@y`!Ddi5&71{3j`$p2S-`JH9f(x;!=NWG8D8_scnJdo%Hg1k)-C*z)NO!m>d1mBX^$FNAa0+p<7s5NY_2q9xWl(UiH76|rSKe;!I)9)z8J z)JNVDb%sAv6P+P}#k*Kd42MB4=RLehrg_z1F?>@kO%ujDkso&x;=yK=ow-qFOZxQ% z9A_WLf)4~|+h=PwMGu^=$p&;LIHuRFk=Oan!D|mjKVbY8g41Q89;4>!A|Qq@P~HnF z^P0mDx{4(SI+Ki^1qey-Kv#2B{U6&d1ly6r9_k+a^%PS`&PeXcV)RTzyBfM<*Y7UN zx9DFrQ0X^oH!~`|IekzTeJr@&s(Sglu>Vqj+*JEN z-{idQe6MQdF86==OfS-#lzle9t@}R#EbeUl;@DKno?5ueN6R-0-s(0f=zD1_fJN85 zo!b>*iah05esQvc7gs1299dcOsf4M-IgbKe`xSru;s%+R{NlhV_0|U5<=cA|VZUeQ z1h*^9xhjwj9M$7?KKX;Qtilvk8FYs_!N%wZ-FpxC_)i^=0@U2)PGO|2L4UR?s*q-Q z5k>ifq#f1MG$ugEC21UAhIF?pjulk{$0LW93dtwWp53KCES2$b_O6HZjlMnHPEs#3 zwA5vc{lU&^@?ur*x*r3v;WoZ&F<;b=QOI{s*A>{>bIUJ9+3C?0GH2Ms^Fv%0CGn~YfT?sTT%c~ zcp~B%oO@8-)ohmTl0DB)+ncDgj5G6V?Wyoi!&L)B{^lI)lnb|;0}`ZM?r^LLO~MQ% zP*g-^Rg$Nmlbxj7=-D+0lh+6)@+@v1vg2=+`_C0kxN#T6mn;@u z3P_YCmrUW235dpUa5+05agSIEV`wSU?WxN#j*j2zsQ&m1_APBNckG`;I#iaX{eEtO zv34Z3-v&74Zoo0;%r0Tw2Bq6d#fd{>&H`d=P~l02LP6@PjED%0U{G>jA&M5JS0Pf* zJ^-$+uTrwvwV9;>%3X0?9#q2BJ24%Ul6hy7R_3+)T~>5YW&QkW)u9OpZr=Igqr~Is z%4(IIPovfYMp!*U%&DmGvdZJhw4pt=PfO1EVp8R8vXXj{t^Y%SI!h_c&nbLxX@f17 zMy>5vp}1%F!{ynyb~St{Hb`N5mhH zCR}s{evMiU36aDrRlsRO1=REJsz+KC)jj3ml^#!3g>C|x*L}W5ug48JlwNHjuUm~) zs#@NJ49^$88^rJ(N}_zMn=6oiwJL!v!~cr+C+##~F7GoQ^J_H0oA>;DF(o7j7d&Hz z<`^nr^Xn$HCrjwNbryw6%|UXOb)9Q9t;Rb8M!`MaAesW|A50BeA5`ZWuJ&?52tS1C zwSema+2qKNHrqvB&q7&GPzjxi5lN3BJ-nV@qkW@>9J2Ti#dW==br$4*4<9KWD`!$` zFLQfr^6nR(LsqNUT*4tAD4{FsPM`T2vEYx%|<>g#UR zbnJb)9;0;GY)+`FHhCClAk@bgY3N+4NNa$K`aP3sZVP8KF}1FzL&zmS841N%b2BBk z(P@b`84YMS^5tDRE|DM>j#mo&ahtQ;cAHE&AuOZZB*@}>>|Go2!Y*_z;7!=l?Dzx+6_3PjdG#F3b9`%&y9MbhJMUNQfNHAS>hY z;C*yB*$K*}Ffl@=!wcIDQB5Qp9OjHzJ2|R%#k<**rO+!el_Q(o)zM-dY?oF|2B=uD zSJ2y9sNe`+vED~4N^;dIAS(PgNH|4P_wbgG!@f}l2 z>LZs8q#|30AbSb9ChWEQ?O+@(oyEZ6DY9#09Bxukv80&w8&qygq=*am%exkz3=og5 zzh{v!3~vXUiUfu&%cL%Wk~YI0k|G>hpWo#P)E>7CFxhEq;nfwil9cgG*&2i%r}q?t zTHn7^G{M>Wb|h;YXIg3#GV7Bh(;?wCPZ2Uh)z;RxXG7$<8K0UR6|PmD!dzxw--0@r zjF=15lrJ8rv}m0UB@W()i)D-?HX<)oD2~@6T6Yl>6 zv4LZvjtI{#+5{Pv?NIrHvq&qD`^&UVRV$TN5j39Tf)OT_sw)dk6G=I&6iZJe#_@Vn zOkx%aPY>q@5^NL=0tBJ$CLWhwZLpt3|Z z)>i^tsx(FfSK*t*gK1jNn|L@^7Hw4IS-5iNr-#o`@lT%cSV)}%d1DU&?X!+*byECB zLMrMW8ZSiY;kP{;sD&(jSCF2MzY`?`hsr~k!r7SV<7T?v-+BLxpXaqt_{^xmkl1Q>-)iNwyC_^wsmgv`8Uy-{aj>fEWQ9~AZIUf%2A3+W_Eoa+q> z{meYT(5U!RvXYMK=If}|=Qf-j@M_8TdAR-&cB^?gh{1w1bc=^yXp zL&A<5*rl#^m8_QXLpR8u@Df4S)edu)Ht>mCnl0QRq<-bDRQ8xH96c2GTvi9q8TCz+ ztECw)^iYpMd+6PIiGulz*Pkq8EYU1inl&XU+w@+huh6D~Of~Jv__)6%)f%KFpy~+_ zB?Nx{kV~wDy?RHHq{b%KR*JA1c;e1&UZx^nF(eTo{$uHpY0mn= zerN?M)1T{UWHxtc5JiyPG^FXSqe@DLRub%W0&Z^GwQE=yKf?p9m}!h(TTWJ6C>GYe ziV_j%uTvkNVv!jON^zQDJ?L^_th733rK^xwsEXekGs6u=g^0n|F_VcmSZBJ`QMB-W zCo_6U<#Ht;1jyOE|DN4e?soHBHn3*;g4&j zuhc7RcFuOIcnKZyWiZbeZ8A`w+U*7YlMVXfMZf_k5WSYw7DXSEfE+{Bxv80Qk2Lrp zT1Hk5qSMH+Xi{Ad{}4n8QF;5UT~?nko`ojYH7w+d;)#y<|p&( zrspOp%uMnVjLk{PVU%KIlH``HjdPS+`u%K5Fy6|Pi8$L`(nUWzzQhxEE59k2YaHhf z6(+*F?ZSjsE&s_uPEB2*-)cYSxqZyeudQLNOmV#B;Qe02K-g)xh`_Xy(Y(|JN8oivea9sPR zvEy;WLE#bCf%p<4n@#ND{~8KUou%-{{`FEv%-x|U0k7x`=@>Iq;_qIzCJ+OLUGV0j_nhFg*ZRBYlKNqaW(|O!O zC_^a?MTpDlJkiFb>ext8F+0bn0YE9G`?CHqEE2yTzowztizZ4}kp%Q$FJw)fS37v zp$E6jVr`I4)brlUu81 zcyxBAV!u2^jhr@qk|jm)n=>KZ8ZYa=FQjVYalsi38t3hXFewt#Z=x!-CFg)Qf2^q zk=1{bp#*0M5xggTAa!`XW7HtySq6Nk*lsn+aqF@#Y4{G_IVKNm!IZ5NZ6J z>ZP~A*g+V{(A*Nu}dCxC3T2h;RF zQ-riLBxqJ`o%VqDC=1+KN`V^;$ByCNxrtq%YOo*#S|JrTGd3@bH-T)zs-MN>c+;@5 z){e1l59MhUz25RU+Na9v)$tAt;|qDpzVG(2`=;|Z+)V`htO56)tX940#F*-uFgwHZ{@)x_`Ma>hZKV!9%XJB2{2_aYFNIf z03kDAW&zwz;YEbu(XAoYR(H9_RJjM_V?HnIbv>V7)>^t5&?qpdKav)w+@r;_(tyd3`GAnQ-!?TaG{E-U;&op%f55MB zZ83HeB1v(U(Wmjp(mylcl;vL#gXi~=m72$?ae4$FAJmK68wW_!V`<-Tge{)|0#8#e ziHa`GqZ<&Y!-}<%x4iDcJE7Hp*1q?XI{!^`!!Os*JN(R8uDfV#;*5`6X)3LsOeH>D zq;r|OSxHENM)ARme5AQ&(2mRTnpYU7Eia-k#o;?kUzJUja4-ree-zeq$#F#GCx=ynJxR_}GUiNK;-A z^t6zQv5HIEo*%CDwkoKAHICR$F#cehtSczqS4h9Q1$fxl*;fP4`J&P2Q@0!kbf`T+ ztsXVzzyxrAEL!yVL&@bym*ZyDn;N(fjl>SHYRa(n1W6!~K#~ZDz~aStR5pGfXPfRe5VAk0Ydr8H0$q|uHKDEh0ql=qm*;$Cc)qm9sY!R+=^ z2p_gwy`&0$^*<|^=#!Lb64%2hTjlDa^RxIv!qRFU@vH6RMdgey&&N1c6_#t9$T&)4 z-eLgR=J_PNYN zAxM+@kLR*7asSb$7;}NJeqMy#Q`DcZ1n5-P=JmqYa^uTVwP;$1jRzPgW4GB5>_JAW z1K|Fe$}fR9xgn-v%hAATzmCsP-$g;nZYy4@OVRoj|BX6zG2jc~jlD)#c&*5lA7vY? zBT4MKf4l4fAq+U+(${l42 z{I<+ErsIy=iW@={R=-iRWs$Yjo4nrnGh!R3dPaML2byK+|8`w>)<+4pEOqk**W8KLxIR(Z>xZUk=s?6LTXQX zglCt}xkCyf8j^XylY?XF*#)oU%o|EejFtK6`qFe-7+ME)M3q*8@G90b@Oz-4A}&{~+RxM+-9y`gXQH zF%DwrAAM(gKh$ua=3uRrFg+>6)i+|LY;Ppu^&SRVDx&`OtHr!M%laFs4?S0>yKm5a zT{Jods2tFjC=s~jUyjuDeIC|0pWSbMf7SzTzX7*E~p>4iQX3Nb3ONJHy<$# z-ToH{Sx#5zFg5c#hcD8dO+`e8R3At7=B4DQz#Y{4qUC+X%)FTy)k2%6{aSvv;zE7vYg5D# z6w#TXcg@}E%UtQ4EpFFQj8Xw*h?hH1}s%1OdkJ4&vJ- z%Q?4Y#sq%m%_8&1-%u_5}37k#OBUo6yUURiV1L(vliwzw_0? zS-Hhm@hAPOe5%bZrGQj1^iYQ-5e^f1ck=b?9`F)P{S1qrQ-L@1ce);o@S@H!y3IXI|LD8dTWf*}(K5Gm~%X z_yr#V9W^HB@O=6uN_vz=7ihDH?ld!kV9Zah4(Er=b5i*p8%9zqP~<*S+w1>S#Cc1a zwDVtEKi0b9-aCkKlaEevHJ0?&^BZU$Z`%zl98ZrGyk~Ae7tcu@lC%BOLhs2 zOdavn2JRR6$Paa8Q5NDQI_J-HB3Gdr-@;;xL1qDub@CPIolS?Ol%50xk_1t6EX4j4 z8eI&wmt-L{#LL5tx*B`bFcXXD(NA(w*U_*r0%`<1)mTt(s)++q* zDf+sLvMryg0&I`HL@`*YDW4aV)PdRd(7yVNbB({?lZV*rZY3eiwDkD2Jh^u!oBrsB z*058v7(Vpa(BzDtlws9ACrB3N)zf^e(dzP>KdbYM8+ac%nOZS3^8TpW9F>%b4_VZi zi?5^T!Hk&;3+KG0+{0J(H(JSAkDgyI8SME;h*7$+KIEe48J_!5g?5G;(8Jox=321vG6BY+ z?q!9iIB_+{z)Tqm$b-l@A;}aZi4u}+2fNz_k;%(6p%HEM-fEFm%Izflnc&vHu$MuN zj;wD>zkV4yKT4+MCO2XAL4CxXatW9nFku8aLyO(Q#rk&(cxCrPILR3#j{C;9mFEf8a1 z_$AKGUP$0yk7)EaE6f*~swxY|=P(A@f8LUYQhh455P#buB#I=>ml|kr){h-Mn8CW> z+E$(_Gt|RdX7JPpUXpTm|EzbdbdWl)J%P_3Xkwgtc%|qt*R6;h*=Q_ghhq9JIuGWn zve09dEu^ZqVR$^?t*J`fOe^9*7V)T?sLQhxrtajBDSBh#zsf0c31@oLP0ZbgBbD8H zSID}wb-dER2GDtPW?$D>{{DXF1tA0`x&wf0nC60?qkQlJN;q|JV(WyS+VSMWwQM5} z#zo{yOdIOPO&DcgTNk!lqgLv-t$vk?i5APm7H;pDVrcG9+$O|j+I1Ku>7!&pDCOXl zYJ~O*kDSzb7u@>P3^3G+);k?B)GyStS9$hC2CDZ~&@elSqw?5RS`VdG?I-GPthm|= zGpH34AGacGT|4eVE zdGE;8S{1;zG7xee7uVstkq`3)apqQqz3HkbWiz`eGB|d6MF_^am|Xn4EDBa_g+s5l z-)^l0DOEyc?V_%1EF;X{OtS2JQ|aJrieeWYo&C+Bb>PTF7(m#2fHP`*Gb%IaEq?Ii zhnW`%Hl(?%L+xuaF-6?ASK8TkS>6C?i{nt3ca}_=8z`E4o_N2+Ns^;$@8HedQ2;*J zEcc+2)hOgvpl0-7i(mRUteyL!r}6v`<{>;JoVKQNoG4;fkhRc*ki{VnEr|_!>EFiB zRN_jvM&YleV;JU7@umjvy6U!L(v!eRUYlq^3_tSW;9Leo!s3n!?k8D3gYF)Yo~^;d z7}ue&;KNm`4g=g-d1#cMM{VhVEb*@E{8scA9*OCFGwrRoRRq z_Uh#H_;|74SiN3^1#DfUwGA+_7T+0ls@0Y+KqnIbRPLr>>dZLVsDc)nuyIwkNj}{= zO>pRX5*vXCIs7~*jH@shp~%%CP4z0vBft8pIn1}GMGnh2Xu{Dau3~hmwYY07l6ujY zF*zY$AH1}huS%ja0`qKKQ=c*zJ{p=(&&V=u4}+q?Ye{bLw{f-$o-11pYRQ8BN_N#< z`JmBZrD-+uA3Uwh@m=&tIcGHn3l?v;gF{M>NXP^$ygO?wt(<};VQb0m7ZRC6Bu)70tuzQ0 zgi!)lQKDdue-e>&INZebn)+3=dblP04vJ_@juJbh-X7Dp-|vsUav{67tn_2jAeWWc z84D~+>)^afzPFZaO~GTMeQos5Co-<^updK4hHn?z1EpFSkz=EUA7qR6CH8&>*yy*H zjfC}m7gyU0W^lpm_&#}4g@=7I*PgL$qdyceB5~{%`SQnE#fY7;wQNL!XwG17SJ~j& zJT|)6hFXAwahGNX(@{Q1+vFGzTQsMslDz%xfpbxUMVo|79}gSm&)zuiHV316BidXl zR_LUqMA>s$>=yV5@qzpi*7#EHvy!`bVaN$du%k-)yB<)Ep*n%$P$?bGXlRqtpE~R& zRPB#sgJ+tYVuYqbjCs2-1h6Y)C>JG%5hi12rS$FaJqlI}bbq3@0{tU7*LDd=$Z(a!A_1 zx24ddk2BTRV7E}7IZsel|2RhHu48EU=+>Y!EniL_A_Vc_a!XEsziUWB(I};z8U^c7 zL|E#kR8D`!P5Ct-v0YkP->Z;!D$XsvT^g9u?t}wDGn9{p{lMH$?uOJkB+)iEvKpjO zYoFCQ1ew#qNkPsy_eae#LMK30qWdTtPVrCXEH!AI-)9jx#rY-hsWGi`TaW@s28rXB zmbAh!(?qONde-Xpw>M`W3DPyWW}YtJ*`soiC)L0qoFVSRLBruE)xN%5tmxYt-*5QE zbN?ka0dv{Az{N2$rQh%d`-Me4p$wZXUC-z3ve*lhogP}=sqH8+d}Tr?y0`eh;j{mp zC>hCVC|2iNd9La;X_yFXnagfQdn_+4b$O)E3{^NM3eC4?sC@d*wp+FG2^ryGqo_U% zeLqfa`!eS~j*y!fS$jjY2}mo$_M`N6aC7ikDS8;zjawo(0LJG8;xz+@UUo)xY(Jen zQHgk2d3MInK4m#@2n-Mq5Eu~0zzD4<>gahrWDpP?O%M=*@5R;JnaR}7-PO(9#ntG4 z>=?1IGi#{Bf`HGSJDbmcukK#(AfOPBpdcXsiFT1=5WhZx8Gd!7b#CrrDpzG-%_RYj zz)j4b3rx8SB3UVf!KygJaXJUo`l#%WJ|W1cq=@@f7rXBlay1ZIQ6%17=RV~;O@3;p z3r$fXBR?f;&1V~Jy}#Z+Uc51Vc|QR+BeMGd5dqy_w}*oPc@D=@ecOJow=ai$ueyHk z_lGABn-}j7_s*Z!2L84V-`SRq#RVWOP&E<-voaPW;5tVOIK=Hy^nr)dLnva3n1cc3 z3HTLmrOk=TX<4>oth+(uvbNT;c`Riu@f3Bwh>%(vDYcKhRdOd`CLBk)2hVWC8jROu z7{{tCT9DbvD8VVcg}AYXm2>bwc~m;WoTRM<^WtYqd-?06by0A2*27=cIAt5WmA1Bp z2*q)Nb2}lmsdvgQ1q-RPcoikCkl4L&x=n?EDz@OaAF*-G`z;u7-7a%JuwkS@69pY( zUdow>C21J)Fr643zkgMLOXsCl#*Mp{6qSnDbZeAAUgj=<87Y>407|N>=}D6{V%s>M z!gWr}6=>9+fto5oZLB!&!Gd5~VX&G`cqITwo9j5fceE^=61eH&8G6k>oGG^Nlfn3x z!4{;JTjJ(!Q|fMEi*Z09XZx5*2yFLSRtqmp=HRx?zsgN`eLP1Sd%V1ZB4g!F3UIi# z@L8e?V#RZ|@c~S5v;)zVf4c|1%-NvH!A1S;aC80<$D3VWjK=40cc&TU33h+HjUqc5 zDJ2e%zIeX$WOCBGK&sfj4;^!CExO~_2csIz4Om4mvxc%%qVagdn6*C>#z>5`gUk=$ zbQJa$hjTDDlEg4(K#*w%s{S(iCFUZT7;-EyHO`wp&BZQRjGDrlw`2}7L^o?iZ)wdz z3yT9+hSUqN99DIm4+7U=1 z`#6zYu|s6>nRpVEOfTu&Ql9H+g7owN6)6uIE?OdyO}x1GJ`3t^x)`fg@g%IV@W21Q z-fMCc%bMP_+WHQ<3xoy+W41QixrDOIjJDsM$a=d2zD9i`Pl+8Gx*|2_>r5*3cH1M zqGM%-O^|e%Q722Dt5%m@oUJgYpwuZfr$I{Skw~OfTy$9(?pDhs^OSpeNp4V^h$J`n zfOTT`9cQ0l`w(Z^V3$CWTZ`dnIx+3;V_YuLb=x)0Gp`fzfCBMo2kpoL`-mC9Lq6?u zKw+CBM1M(jr!`M;1P^il%I%3lVgQSyZ}Ka&@Q&iBovdT1gE#CB@D^0a7ZOFZgy~0h z^hf2sJ=#@ilJaOT>Jm@lNs5}+mM0!uV*47~Zpw(8$U8tJPW`SY1)M5^MyU8uW>QAg zl}p`qTV)!l@}=J>0rVhwb@QXS?A>34YFX-q1lgVu_$SjhF2bWGf)gCMrv2WoECOoe z=J!wwk=JpWbIK>Pnf^E-5uU#m@|4Fft5xQ$Usj%Z5f;?@hlNCVxNY%2h6*ix1v|L+ z{)utwSDv4_trA33Z%SfqTPUqY{H-iXSbXNMCc`&`T6GXOnp7lyeaP9Eds(zzqT#?g z%RO=tqx@IVK)w?I@*mbJ@p zZdfkKA?}C3qPBledc8y^&kBcYgbWvxV&@m7w2;hfx;~Rd(`tQXXP;ngpDe4hhsH26 z1^OF3?YgN-pP>e1nYxC*wnlEIe7CelDlfF>T`zKX2AQqaD7O~aH49?9h=Aawh%$*EN6p3=H zxl2~Ba6ZINjIgySQSVUENP?I(-1%YUuEw8_Y)u_H3F^MALP*=tB8D#15 z;K-CxSZAD9*XENAOX8D|>6qd}ITq682{XoVb(Rz*=37xbqhajNM(JdS&uC2DgJqCt zWtfQs#JIl?NT!sduEpd58^_weM+&(yTo=|#5ebS-8``MLHxV$ghxrS28yb;#UkhfQ zoHX`M!xkFSICL?NszgN$e#KIX-4>p3;pmGi$VI5a_a}N#5Q3T{GHH1&>tJjU*DE89 zKn@XDv$NZq!rtA(P)_o(lm(`h4CeeLa%C1cv{KTY^zjM4hk_hweiYBj`sIXn0UH$! zu$;!=twA(pr<`;aE2--BY-Egu7r$m%#87Jp^sYy_uEk!MKd`WT)h-zK0gM(Q*Q9;Zzwm2 zGxsd@j4}<%jT_DZYR`lWW|%s65k}*B(%1iM*qAz+H=MYtTWAPXw^K#ojgDg75{^rc zPH2L1VaG+>e^xIt0R|N%`6T{Qr!<%Azh&0Q1|x|uZ>eymld^XpVN&>=27{T_*ht#XYrBHpOLIhR41nXZJ9VDB&Il9az7{E^T*+ zKaQFyIiBk1+rcLNi|n8QHU^)DNsp$?f2iSJHBR{kl}72HKIkujYF=KC75-?jkDLp= zW|34>%%4^dRR=yACAq(DV!vGIsTHO%V^gXW$HkWUhHTI;WTbd_v1WO(l8bYw#&uLr z+necQQ_9NHZK#W>#gkly_+822L!=#V+B2RqgeGenp2aDSgKhgt4#ok}Y7&USwk>S! zr9El*Y5)%>LN%wFH&Dco+fKo#l(U*0ULu%U>v=)(#iAl`JktSptKc){Ep=!7ffhjm zeeBqbDv@Yk^7ioN3b&uNkC4j_?Cs$!EX)58YZcI3w|TG@dD75>ZGGr2cgO4HFY8?R z_YSi6tCs$(u9I74*NA<^ERk&65dtnLdH8zWapLU>D=(Hz;CVZwk7g31-H>p3N?dW; z8h^~Kr9s^ZZT~CtOzxey^~zO~re)8FFlF(yoJ_qO^);<^lbh^2)wg~&X!>ziV=gke zr5IlEiK$s|Y76(;q^i`E2-)DOsw9-<{VLws3s|_*Bk6(ed7s#0zVDWm34on>IGHv_ zx#~ik8H5y7f*{O8_a1KmJ++_t;6nB8WE1;`IFqhMkM7NvF_&d%(slqwrW?u0W9nue z$SLD-uo~n~w+r4phFe4jjz~57+Y1N%26S!zKZQE_Be86_bsA&y8S<%itAa3lak5fu z&uh+SFP}A}nmCdB>~8#K;>0XfC%>ecJhCx7M3Nh01dftlD$jHN)wwWN3B9u}i4nLM z=KDWWob$eeg%A}Uk#prHynSBF3CuY6HAP7K#1|qF__NT(@5&}$3A{J1ify<)o39$s ztPe8vL{fMtIjpgQJ|`lS&!(l<)8T5KPQdOEG>a` zA=z0shav};u zn~g?76#?{bKzITdQ&FYa;4;I#q(a_TkIez`SI57sV%(^6PvrWo9Nn>y4HQh^+<>m+ z1o$n6zHJavCF4+#K6GcW`I__`K0Im?-Dd;^X$Da*A`abM5`U zrdS8*jc0pXl>hMWCF-TVP3K_CkE54q7OXa*7yc+0j$-~eg4NhJg$bMkQz?(OoL~IX zFW$GAaebS}wll+vD*pag%%F-M3;CB$A2gk>Y_L45t9<;tNU|T8F8&{x<4P{4L9k9` z%Kim?E@L4l6R&K*Y|;uwYa^-TwO{F(p8$FAPq zgorq}PGW5{xD^bptv(D4{E#lgdkqnpzK(4=t4?$AnZ$|~YI9~T&(^ug?rW?jZh9y( zZ7skhaAuY!~qv$F{XV?50Rg!|a!_bp~^3LyntOlY-FVrOhwT6=H1&A*Mj zf+i*dg9tx&waV0eo}ub$OZjT2^IhCc-cC_wHDbFm=HKb`4eXYYt{XRA7jGJ>*t#!k z<76b_yF0(Y{&$Cc-C=fuI1vPdoDlT?>#(!@UmfOHF=qxC|0kmX;u(WfGnYAR zP{w?~1oZO)(Ses2^}Y{7VLDwFS zthG9qfeq7M0Y|Eh2W#14f+dTliivC*_>@>##k323~>Pt?tZW@|{>pr;hkTs-_-OC)c3--C`~LW-Q>LFI&hB1|K&OpV*LG_*Z~oKf zS__H`5>5VWSIuct%~bPIN{#fNS?Hbs@nliOKN;p)e6EIz`@18|8oK)7cz4YQ<#Nvi z>wi1sHny~vCRI|`G%`Pr4~E2ic)o%B@%%YM(388P=Z4PzVup)2=+mzoKB;gJK%;N+ zjhXA!4ul4bVYFlVteEwO2EC8WsvGWE>Qzc!8Rcl68QwXTpz4k~C%DnJcKFN>8fKd) zdGHk530fzj)L3F$u()_7u3p`GG`K2TS+(gy>bYMA#Aawu}*e}_aiVTtE$0{XRA#L{b0#cIzriJl991WTNzy&Esr`r*T|z{D|!` z=;;;Ek*cVRmgpux!~)ls!x4@U21lKCsk*NOfH>3P+w;ROr~UhsHCHs)VKJ zJC#;n%FRj;Yx3m)Y!2^bY;&&Ubi#FP3 zF$kj8vTD4vlk45rs+q|VOfbC1f0)3futc1k)DKh!$pu;_(>_C{n~2tPck=G;KoW!u z3*G)Q%PmbqU5`MF7`|lLnS+W(WP>6qpF~k(86V_)TRQqf$0?sbejJ4#*2295S(9IC z@=#AECLfQ^ArMh*qvp)n7YL|Tdjl8{fEFJe7TEq zb)9!PpE_jae(k_<=2)Ly$EuWNjU<{lr|Cm7PHC(hoM;T_^lWLy084m{5sCpL6DBo2AI6;=9D!QO9WcuJI1mb6?WG?;8?HP zv;ALKjJ*7qi~_X+wO=l=}R(-k|Hxh~9rHG(v zcTJso(gz8HAa#ZTBm>j^_|hQRkW@)f@`4U*b?UGK`4)YKuACT%*}8W3Qm89y#b^4Z zqaJZsvRp+=;Ol6qzi;^)*+%GB-c|&-s&-JMH||NT^)wKV=IV#B72kpBLhsjaMqihs z+x}ru7iC|MW$-jMq!R7>AjvG2gIl}F;Bi*~xL?^jpw58}%71`Y_O`#m(Li7@QG!Dy zYE}=FE7JhGDS$Erkil5FPD7Xbg_ATOja-^XC@aM|X>AyJB>r6xd0qlJXi_9_bcDP< z8eNX}9~g#;aRDNV%qFAVPJWgFIHzN$t2Dh7)RLb4UcxsGBUqT6Gnx!m`@~ogDSk9K zwgtM?b&Fd(^8mE>_^9R62$%;tHx2S;^d1Bq6-+(kABb|r1(O(x&Aj#T_l!UVsPsY2 z!bD25A|4+w{40@yVQ2qb+^#uP3@l8XSKBv!@Ca;xupdpB1fIG$O+|h-j~e2TZK+~y zfeh4;yB!Dz4Wb8j6xl_I>*clnFKvf9mdBjSZ+Oc~?IyIf1BslU9#uN60${Hb&jAL8 zsxO?;v~&**mWdy<0+gWmS{snhPtR;r$^M!R< zyk3C;#|We&XfSZ^rv$(2L|;M+gq>ie+@&x$p+;>tUY2z)bLkO}UbOs?S{yifiN_hh zfMaO*iJ{c9y0O|E%t?Ufk(51 zksg^?0!BI2l&GuSI?eSdLGuguLtJel_T&y~!TM@J2sfgG9*n5MVZkypWX%uS|0*Q% zBlQ4aH`^Qo>)}f!#qy()p`L&LKoYDW2|Zr}VT2ZE2~3De(>V>@8E-~FsobF$)NS8^ z4W~nZ!0#V{5e7x2f5*H`Ax{%Elcb0AQeOr|MyAGuEx83R8AmKx2v>ztp~Gq?)jY$O zffc6N;UT7vS369puW^nT6R+glLT!HqPd`8sMwi*!SL*=Jvr^m@( zEQPB=H~tN60xTzOzeD4?1J#c64+fEoF9+>&W1>A4!^9;*GampML_*`l7oT(sj(l+%0#oLTTME|^Gp3{HE`dCRS|FY7G+r8V zz6U+p-t#p^y}ko2mj!n0=(!Io{c!3r)}$l-(cR;mCTa|xbD$@xZ4BGg*%ARU8NBXt z8m@E44OGRK=TcT2E!zVV7!QgP_l@<60JV=1IV#l z4#WeEK~bC&VH+sPx?Fbf8;pewv_HMYs=*>DViBJ$LuIf5a9}9#4YP<1?0G1M)SEDL zgZcn|`_Qm-kkesF=oQ5fTb|$b$Df~hyIhN%w>;=iOeoCWeu{Df!remf3}Q)e5dUXUX9e>ydw=2gE>15 zfX~B<$AGQBz9@p%cK6D8hBRKq?ziy?K~RKe?6DNB$tECw#L`MJOaYmIu^1uH&kS9s z?1$49j;IUOSTJNu1L+Sw0*45Sb!AbyfGI+m49`_!1OLZZk31=Svk=#kH8nOA6sw5N z$G91ufGNkf^T@Vi5qlG?Ro@MnwrGu<@}t?V>@`s9BUsCs`R<_f)HK$F*sG+W3`)sm zknRE-xF}k_u&={0$-Wg(Vr@O zKPh4GdW)Tp!yqU2fiY00Qp7q@scT$hUo{@e0*wJ7DF7Y5w_)_5^O^~$@dZ}ra&r~z`)9(Z-&?~*_zEz>D3bxh7mRiqgg$->HaKlK(7 z%tzFGD@Zj<>`DNmVL9#WlXr%pEk0uxv^QvFF#5>H9_SDU9GnkRYDCaxhAee8RMi`PYj zGch({F_kSV`>-*U_EEz+vQmavGN@!GF;&F!y)YFbl-a@uq!KSo1w)Gm zf-yfjFcl&1gQ@(3sgmB=xs9ndq@p9)kV+U_M2A>7@JpDs!Wy^3|2a%GiK*b2fgkZx znc!cv7pB71D)7h}aU{$DBIWP}Qx#w;b7!sabVY{ahEzttiu@d=(l@4p-^jpJ#=m1K zKq}J{Ayw4%fmA>I-7kOn`(OR`_g^8^zx?#y{@Wk^^%wuwpZ?pwZDhs7)ffhEt&@az z1hxV`GiqUJMm}JL(}JVrtMekmsNkg$I<*G(4l7XM7W3>uln#V7Y4!kN0E6p5yH^fqR600945NHn@V!=GZDq+Wg zZf=Nm7(gsXk36NY3`h_cBg#!oV)y4t8rVt^TXhW-Mr#c#H&_6N6#@Xyp+@dFRv88W&Z*+1dXdb=0U0ro z1#rq_C=5Vj8Sk)N!XN{gWPrB@2nVR|bk7q@-?hwxK2TaLGeS4mQRc3&eGLw!Csq>g zx>-uo0Ki)Ou7QDd1IAj*iY>FuUE%)Vnj7Y>qL39)E%vUSYHe`k*BSEI;A&UYut=`U z#RCZ=UTD=SJ`tM*Y&D{5Ud<+oVx;aXqK*!{4_oJLr)kFtIuo%KoLv}|l~S3QxZ;H} zCLp$9uJ26qG-JDf>CKQDc!D`A?26%eC8&bi)#s5j&>5_Q)VMi#S!Kf!#yBc5)lk3+ zOE9^cRI(XXzR3o}bHcVE_BV{CfT=u9mfubdH{pe;3^3Jn39AZY(*dh2FqM}*VHpCj zB!K^U=c|G_aq(CLNe(9*?uN@fgQ>t&Rxt%&O28fg55atn6V6h41t0}1hc(G`jl@+B z+ePya*9)A%q*Sh+z}netHiolP<4?tvtLm>@6%ZW4P3EcsjBgF5Ggk$CU7KerTF0cA ztMWKc&B0S@{BspyddV_+3_(i0Xs%7}IMWFBVKf%`0|=a9>)|0USQXVgoMUXXVO7Ni z5)HP$9*m;PQg5(Izmiq{XOdNbRWN*jRrm#Q)%}3pbILVZ!YXMBYrRQ8i$* zuDM~?dXNYN75!d-5w*1`C7yKE+{mhDKY(&{o!C0WnK1UDnXwZ*L{>D#cs?zcTJj7P zV8P%&T^tUcMq%5A1>Oq&!1YZMfoF%o2?jt8K4S6DnsHdeCIQic@pY%LCKtiSE`YZx z@fIvHUcSNq;_Fp5r^K<14N(~Gx%4piFbTMiez6)wSVXu5%oiryvnaDPgj~#Q>7}tl z@Z=kC72nj{cnhZl4n3S?IkZC|K11Lz5L;BZUifS{84-;N2A&Kq|IT6g4Y+VMdX+MgZ>q%3&eCg#nFpb3-UZjc~>UT>Z*nA?O8&_OBwLWRY;dz~Ee9xd2P| zRXN~CU>F7qtA~JP3@^Y1%v@Z!02iFA4#Zp7^RY{Z3pQRa1VZq($If9Pri15(_Y?@T z43k(mr4Qi3f&Y}l8gR8Qyk!P9754<*_0C}dZ+X$*02h9ifXjcA!@}{cBOQ&ULjS2$ zc;hW`;GX+8z_oK&0e~ybIN*_SDw)HA-SG?W0=$*|w`D?+d)jqEkEM=R4$I@EDn94N zTY%^+6XNJH|EY22+Xvo4z-WQj1OQjQ09OP4`T$(h;#is(Kk$}(@YYo&WDcwE92UYf z5g6;RnhxJTfOF$Ffa^EE`PDDKtrDi6{^fuC>0f{GfB*E)|M*XLGK;w^AX)eqbEH91 z34*eQU>`W$4Gnb8igb(?`w=a34R8xsdz{1BD{M551=9^$IEhdPw;mQ3_79dXz!#sj zApmBXr}A=DSasIhL#c2^2uy(F;#hSjzYZq91fbbdU+g>kl~`Yt-)6t&jm*B37tIOa zqJ-Y{MO>*qCZX9Y`-M1~>5Sr}S^#FuewiDXA#~cwuZ~EIz)WUwXTM%FCuI<>06PM7 zOcF}8<-_Z6!T_#9KE#M$DvY?Mf(R||5+iQU(bqY=#06u{s^g_y=Z0=(g=C3QUH}cy z1a5g^Tdw+|03?3Te;JaL4p$FcRoL)dV3bdRv6Ilns!Svw1XvGFEc=KPD3XrYjuY0L z6sHztIPd%yF&Z`yK3Aq==fAE3Be2a*e}%ig=;x`$NB--rz&Kzf@&-L*IHhw{94cTm z=_XfW!LH)C$o@)y2^JX1*U8)mm;?mW!yu}q5kV-y7uU=zeI&q$(eC_Lz6y*0UE!)Q z;*+rwHp#FgtT+5;KdftKB@Ji-v}I{C{APzKgU4YifaIo~0UON%N#ZIauF@o30OA7* zXxw`^fMGK*+MNKatS^pK;co`EzrB?g0|2{t)ffF$Ujz;zMw8j@)ALbY%vX8wY_Nj} zUK|2%1q?ndTxi0E*E|2!O3uSE1L>1YndQZn4}{2M9o9KYK4B#%^ESAXh}Q7B7fh2{ zXdsJNNL8G`*^%fnp767QnJ=YU!d2Au&U_hG0~H)7YXsdRw#Ml>fqjM76R;J|x*X=p ze5t_9m;I9Y@?6%@wZYO~bw%c*@B{`y(+TVbgan5nHPM>VCyu7ySuk99SRFg?yl}ab zz<{}v3?T0ke1!4<4JgKrX7zGow74;v&ctYN*G!3xZ9Gf6>Wp1!=EVHo z4Pg!Zez-6iA}ILf6Hef%GaAMpdr9#q6|N1}(l`T%RskBA6Wb6iiiYbFM@TSJS*tU4 zvJnm&V2L+Ii>x!YjnNu{oi=2;>Wmjei!g4$2x7kKjAQ4-@H&kc&9Tlnt~w(Y3>RnC zU1!8rN5rIrXv~RSWk!bM;mV2OM)#}EhzK3f*#mW!jnQBd7yfI~Y2P_9-*1SPc9~JU z*BMFYf)B?j1->3l4`Raxu}@Jl@s$%ozWPCz2B#D`81v~;E+; z*5gN=aWlE(i^)a8YBt{97)^h!GhP@Ck5$Q594z;~AX-@lzq}#Z2b1fEzx(mmzxlf# ze)$!n{lib602*^*2JRoHn-m?q3sbzY0g_3Qqwo?9#}zOio{2PGxO0sV6}und?bEc@ z29k0B>pgCSg>UJ}071blR-aG$2?TOyI8VFI1UFL>6TP!2cp8{0){p>|CL&PW9_M&r z`*64(31s=m3Xs{x-&gHH2k@iyIezY-p^QTp3QkZeF{q1bD1=05m7Y zR}aQZ8{=5&;~V4IjI#v?)DhDatddM!d*?TU zEL_PqKq&Pj-^9jvun$wF$+TC6%ZXT_nWx4x!58D^dRfy2?)<#Kog{q>$7A4)09J(s zAUrbeMO+>s-Xwo46RKS4H^2E~rdJl~U|@ZhJNtIRWs`et6|--^oLBly;|>qJOUUme zcBbDXaAF3I0IonJ1+UyC*;9zAfYLl7S(4aAmcs(e;*!E?;xsJcGY6|551R<_NC$WA z#bU?JEEN#%u5c#5E1WRiEEWSqlNQDjXRf(cJUx)BCdQk@comkGO!(5ttRcCD`LmBS zoRIe7Wb24`_RPLu{m2U%Flo}8Tv(A}Im{y@HgZ$RBneML4`yUsO-yg=m2+KqrcI$( zDfPDOTmyUV$xCvB|A))6MzZ7*P565l5`K@AjkKno;MmS)fo3ejmEL2;-RiJEyP*J! z0|U;up+^9o9#4(G$OLz@MHV;v#b_4^NFmdxp7yG4!Wxf^pS#MN)jQ(+wqOg&f=3v!hf}VT@7|4M~g!^)B;Egodi4DPNNe8e@lK$+Ym=mknrA`1dI$A*1 zP8ORpSpZWGd8RW5eJVs}xO_HiyeNYhSAe?_bOK!?S^?TE#a9h0PezSL?5CrGrGW8< z%|^KG8#WKuWWjXnaSU=~5qBK6q>7M-%>82ll)@sLj0LW62_Aj{3t?b66$}M=dS$?X zOvG0n(S(9ofE^ypjI?vI_Mc)5j4KQPb2&1Z`ifQr;Z5Z;2*5_7LinUE<4)y~?`0bP zlvoy6j{rf|gDDk&poG0)wh8VVzusR=ZqRA+}0Do2G*}+gV1Dy z#G%i0G5OU~C-q7Pn-E?DB&t9j7QC?0CXj#@5uUvL&>9*I%8ps6X3!T zIxxg;00Auw0ZhNfWF`duoNzIadNN_47%AiSW7R`o&xtgM-hrPC&^_XEe5B!b_-O1Q zh6dR58M_K#xez4RfRkeQc?fUtWH~7WN<@H?@6sLPJj)!e#WNEG8bk+@>4y-CA_T7Iw^su3_? zg;^U)2SVc$e~SPKcfXB@=pOzWKoa*(Ak0r>Vtml~pF|yTlk^_3+DD>JN?5MGn8YUg zC3GAbSwa!C9qa*w7wXdj0NPhkw1@oQzkKt|@W2zk0Y?DvV@lwsHOb}|r1Jy9zSH?x zo%%@8u_SuIzkpkfH6)u~b4xBZKR{DixSA_{P0;}m z;a_izAw+bruTxzB@YJqHgl{mY@KoMfhF7bbpgoScCicsLjeVKCRv%%u$g#LP^T5g1lR)~0 zfC@K>5HKQ4jyKf|%ekr+c4A2y-9GLti&!vr3ut!$1BN#U_~Y+>_iOfj{-6KPKmX}p z|IdH?*FXICpZ@6=FD%%9`s4ra4?q3lpa1aR|MV|^{OSMwPk;Eo{_z)g+U^GcLp)om z#jyM@S7XR3gNE}U6CB`ElXS(RAud?)#`T0V6gAeA9Sg92hyw@U2Hx&4%$8lnH&d%T z5S%OBxtG`tm;eMo+A|Rdhevd`3hOM`d&A}{_L5jw%;5ny5s$$}!F?b^8&7E{dfv&^8Tx5q%BjyHp;XJL5(e6h4jo``{w zKv-{UQucI$sSj=7lMgda8dmbOO^Bx1YDQswiS@>bWy9wcpI|oB0yxR%4E2ObQ@G3m zF3#~>v&XF4DCa>!Lxqc1r{fE;Ru?t9q!way33z~SfOF{)_rH@8hR+oE)uWNHW&+br z4cHi4ESDy-3{2es_V+Z$ITK>e-sk~_XXa^M{sh;;2=aM)JmlG&2QF^R>GMl)e-Zuy zq%^iVc&z#YiVpzRh&OpOMz+ekoPcCj61YGCk$c(YqPg&ecs$(EtmVz4-ri} zETVA(R7?L0m=t0WQNe~dnD8Slg_9$VJ`_&6Je0w?#o->7W$@}&)IcM%!EvolU)U{z zqQ2zmlK}9X2n(d`mgE~?+eixBYB=VW2RK^tun>H9M)>kXeAp5~F}<_*#{eE^O^^?4 znKhxFiX(_op%IgfDeRyr1FFb6h(gyoX~Lb3l7}T(!viqnDu1i0-&sB$)NNS*mttS2CMi1rudiu$1>rF;k?6|nVkbG^RR$LhE+45yl~>Q zWuR7;<^aNrKv>g(TMn4-7U6wi1QSdyVAcVr&C?3dQ!Ra9OpGrZ%#NwpxkAf7aUOws zMeB(45^W?|4>)T$BMLVai$sTvivp+V49rV||0@Wcu}LQTRPj0*!EHHxkzz{4T!?H4 zf|w?W7Gds8r2?2B_*!i8iR6Xj@K=N!2xM^s3X6g`@jxg62B`>x$U7@i1(tzB1Wp5D z!(E2w&CEr4_R1jw2)Hj6=btsrQ^MO~r;~>@f{hBN*zER5yLW`lR1Fz^hY!dXLEqRiNC2_TJ0buG8*dVB* z!+|X<_`6sOOe|tbU}Qwhf=Lm?)QH&F%_AfI-NiGR{(ojOF-j{*nV=~vGTY;^prk| zqmep~mqyeb0VGXFxR>Be5ZuC6w$b2maE%)qY<4+hbcLHX0W)}!VFXITDTYhJib&6l zT*?j$&mbFdh-c7du+hK+@rs*EKJfNq0URS34*0r-`{gGP-Po-iNZ$<0_K)cieYO|#P zs%VDhxcSnS=n#cOmXrwvV-(7g!qVNzM2u?H5=U4V_d>VZ*?+9PI^n4^Zi7o~hH|pP zA;R9_g0coRn?T%aOe*Z_$*llN&6cKPxZjw}3kAU-8>J9mTU!ul0X6mAKZ1ZUD>K<0 zScvElW2Ir4>}}!1RxoYncgGn5EaTVVsh@Co_J*`V?FIg-1)L${D47LBfgh7taiACM z3-F1?dlv?UF*=q8%rqJ&Fe6N3WS#27(N+_vvnzmmyp=4n3b-8Dw0dL&`)sR&Ndpc- z$co?`7Ruu$A$(;EWh$N=?~}cvU8j0FKmvdlzy;`ZWaGa zeF3t`hf9Emj$Jt33wG{bU6;!tYCrLCwVxec)saYbUD`taz|Vt8`fMxSDfo;V6@M4v$ofuQ1Dz8YUzq#^ntzUBXM@Z4v#P z{Wt+pG+?@!FbU_xPQ;_&bUQ$lLXXXGu zf!F1)=W`dJhi6wZtClfXl2be2Nx{{}!oz}{dea95qA91(scXhnL6p`I=o&4pWmd{y zrEmioWQTDaxUTrh3}X!_ks`^gfP0pGgOLR+8d7g?a4YWJIayG_LSuEw-vByhkk}nu zvfLUQIM|C(S%yW&H?=uL)0G_;E0C)rf!q-7YW$5VY(c?yoIpe)S-G$|y3eoMf%}1-B{`iMK{^JvaJUQuZ;%<5w zIF1TXim7^gW_B@603et$7?qy!&_I7av$q(C31*Rapw25VES|(L+g=cKF#DA=^TL~x z83E4;>f42OCKSBVwZuXsrx;tM!^1^350rxr!EepsM27Nqv zVKu}8;@HK11>gdh^+=pxKh@Rw0vqT|1L*b^rrt2d(LgZrsrpBs5y5=wdy)H`0An24 zo&zunml_AJUx{IuIA(mV#$syw?8Gn-$`l!pb99QFOiTFQ^Hu$CnejPU&G4WIL5{Gy zU-Hfm$2v7)F18hBNkA@eALppGBh*EVap#6}rw~Wq-7gAA6_A|O|9LSqcl96MFW9I1 zh3zl9{LkF59EX1~G?_xZtN#c=E%vZDavbn+EdSfjsbK+sGgR7Lo%lt>2W5N+oM0<( zj9^4!2U4!sFb_~yVXIOheH#bLv2Ym$;yEJD(Sc48AWl*M9J>lEYcSYTG5|Um0QLxj zX9&>J0dd-yJxr4A_?#?8jhmtg1aC>vOt?90WsKeH!mzf%M&a_Z9z7=P--7LS3Q=@s zdr38j4$2Tr@56y$yh`Cr;rAPJAmYHFI1PcxtjXftV-;xGL-z+q;41 zaI8-l7M%=CbhX&M;&ofQA*LUADbu+m8_I`80iR_=m?yp?W)1r|1J;FAA^dl-Dr*IU zm7Ir-ZjH+thvB?sQg zPJDLq2*+wT08B{Ug@Z9{3(`dT5}(_elKO<7O*-PLFAa2rdm47XPcWyd+Ou!p!2~;Y zUE*t)w-Jb&6Rsrtn0*HN1n3KFLPW3|@G`I=KzO0Ucd%N3+rl1&%>a!?lK~njTOn4U z00?=*co$r?)9=2Tw|p@k0|(gpO1?ov1dLyAt>H#7^QsvOA=W%d0Yz+bQ$U#!o+|f5 zIv@LL{FN@27pFk36@pB_uocifyUi&8qKH?z_-23_?_GmkGvR=RZJ!%!WF@H}zGsUS zn{aCKVpBkIQyH>S`6cByKP!?z|6FUp!8!idGDE3C>+ z6~l2+x~2lRB<6|%!{I`97Y)uzU?S;C7LVOU6OP`nh6u4EE>^Gx#{f|YViknOoe9AS zbHm2uD_1OlNGQPrw;6DSDE$K4gsT)!7Mu(`J8=L)?Bm$EVuefkmMg|>>${6a-pS&? z&Sdsn^AIEY!X+>`LkY(OHVV+Vs{k8Uce2<_oB(}kzvL3M*o@8$Cvs`O1iS+Njk*J75?i+zZ^8-#_fYyJ zkT{L^1}vCg_yQ?Dl+K4QkeSE962J2WDE%TyIWhj~OCArWQ`&6&VD|d%p`i{%w-2SW z2%K7f7{KupAdlDB;LelNdECk`PP2ywf#c2snmhn_4jc$F{)Zy# zZL)ezF$R49LL47qWq^||o+hlEBmkJ}1FPYdP%CbeGz~tzT_P|5OmMOvG)?eJFN8Xpn;S9)TF#4TKooLhm|j=_VmvvDPBBHH4pzZ&{V`M$!`H8ML%l_z^|Z;s|mi>|-W8az0VQyiP439}EVhX2QgY*Ok*wO1Km^&71<&-yKn z|070cHcpG=Bm)~Fh+*d_Lhs{|fk_n208WbvS6yRM5CT!sjs^JWaMv8pRHTv>1@NI%Kxrlc(9AcKhO5my7L^j2RKe?DQ5>^JvDC`vo>WaBkG`-|d9s<^z(c3B z`{fb#uD-QQCa?zU_iU1>Fz%rdG#zk?#Aq-Jn>I}KIdNmO*%bT&T-f$X4L}2T*<_r6 zp$}tWb0n+x*o9JoqHw;7Ii=dwd%vtec*3x`la*j8S4C>(1=9LJ%v4E|a%O`xylXsR zM{u+=m0bF6QwK(?0@!T#Mj8i;PLM{OvOL?w32qNabL82;EIWjwQUlc~Aa|euoEn@+ z7+*vR3$_s0>^MqrcG%3&;DGZ24k`A4UFLDI{XiUu=%kwkKzyd2#-fRiA(}v9(xF)B zQSopJ9}kqG5LFtl@RJRenfXKn`Bdm(&o6mPfXjG!YULGNX~Hq!Pd3>w)ER@-1-L$o zFbts{j*i8`lI}-QJxTGijDTHQ$1e3+d|*$3W|Odpqhv%W9cVBNUit{13~ZG)UlX`F zOm^2$17@qiZ1VVptqcMbS1P{e6q42F{2gRfaTn^vN4lalu{V*fB2A2{5 zX<6*~D8#c4Umv>We2`Uq@2#l>RzmMWJQK6MPzYv>jM#LyEPJ_;6|gOn_pHN5wk!`# z{UBT=%?d_U{U}lpTD1=$n6L}*fJXyMl@{7COMmf<@#!u!OsUBRQGOcfkO+2&^DW;F-#PfM77}yODW3<$7C+v|Zxy*j$LP8K9>ie1eU0 zY_8v#n8;FFX@p>d5*fG!cey^2<_I5iBe3`&um*rlEh792EL^XWW&xKW^AZ5`bn?H4 z4VU_!WZx0a?Jn1w@G93wvLgzBDAfvey~_0^3cqDx^ald-`l~7o4(DvIay`s4UVoaW zTz~K<#EY&;1QtJ9m^T6=H)sNY*;HX`o{pNQgV`J>Zr!4d71b1<-g}sfzsmK=+jf=f zGfor$*nw#7YN~;U1ps>|YziM=$}oWltc|B!pTZ}?CPgL*-=$%%l*I>M01Ppj&4?7> zEyoLi0bth;1V-bvO!rq)O|2svrp}{k1Yqit-qY4goMKi>Hv;Qys%dy2X@S7vI|BR7 zkH7gw7^eQ)Pk;EQPoV1;um!*TpO61f{-1yK!!FcomJe)^Ym5ct(UNTGfU#q@dn2Xn zbp0e*JTCZbhvhO2feb+VQcYI`42tx^&<LO`hz z+8~^Atk;dhB%(@3R%kdx^DX;=zjSc#00QT+rb@(7K?MVIs_-8T3y}wKwZ!MKi#$tQ zrhGKmIRGnj3Rp^jSAgzHE_#Q}gpJ59#WvZuIRIZ|RRP9H!dZs26eT*=|BK*M(mYv1Q$)p5jmyKX+IJIU*#a+Zbwd4#8Lz>ZM zf&ffWb-CVY$e89%d`AW~ze# z%Z6lYz50{Zpc0@Ho96&?@M5n5V!~OPdb3wQB$zjO71(zY`zFL924Oe;Rl#536gPlh z?CoM7Iz`*7XA}IcNwGr-e*11v0?P4ls$1El8<|EVHDa(xClIhQ3Pik7I_`;<7k?F) z!I43alaELazsh@pxS?I*%PX>%9M=y{5w8YYhC3%=g-v81eNVn;H!`fn!1V#xUkmux zlC{e06KS!)VoA&4>w*u#IpAIi#5<qbD)^24pV5OX? zi$H+tU1w=0Zr;2jSnr6h&Mm}@8@hH*6277zum@O;j;rh^d+D=dp@U5p)lny|DA}m& zF4+9j#z=KWuolbd*%XCi#!h%3Bx4Y|l>p~uc@ALp24F=qvmd=Fs#UNlds5b`xXP5= zBvqayFe)MN6TUDwg9GduZwyY!bGLJHSba_7`X~rW){^xj#@yij2ppL@2JFbp$$5*l3ed`ApAF1nWF{6dnAcP^!ik!ZBnFl83}PNC(nx<|b>VR40p!zIa)@OIx&9Hfp}sBqt8p7~#YmF4 zxtkU-GroucL*JPxYBJ9XdjUg1)@8gJtyw+RReD6Dhok=>bNr3PIYOx1CwN6BvfYcq zDb`RoCS*>M3Ac<0eJe8itjQ6>{xK=H5NfF{=npWTZcNxTP!$40VGw8cHDTFaip;&F z)51|u9+znY3j;xL>j4TAK;b;%=`@v6{R0ZcD=oL%co=wi9R0hEhiuRr6e4uoSvhz; zb{@f+5EKr-Ih;-y53lXEH01I0@c=a7AvcF}Bp^pB^*wDo0S6376{l61n9k!sBw>~? z#x^poLZ@~#jR^aAR%|VNMuoro{jYxWtH1kR8_y?9_$%N*WvxAM&NEzGNtA6PwKr1m zw*(b+0w9G)n@g{iqV>RF)XFR{Gm29pVBXpgS2-1?7XaAc3gyZU$hSV5TSX8*M1d4t zSdnieyTFR1ad%P+=IG>ia&)_u+CMsi^j&b<((6{q2@^#vy4V81^b9-h6y{pyR2i=K9O((@Pnnal-x|(QCQR) z4IDsRt+7C|E?{m-i%_`)CU{_pN21;!>_}sjhbV`vWV?HS@#0UXBC$*trJyV))nhReWacMbPiI1~fhKuRh?St6BeWq9T9s1E_#*9H-6pOg`# zqHi+|2j-A(wNdtwssdosIXI>+-BKyLK+2wKsyhRHQ(l>E)X}r0dfYA5fi2Y}jWAiZ z3ANhi0N@Fy5tyE%YBN*6&Q7tFn^_@s9FGBe$?N%6A>HXY-28c0NIeWAVz=O^yA&PO z2k>2DS4fHLamEt8|Duoc6EHIOyFw~o(#<Nzj#-<_%-Y zJ3*&7A&N_!Q@m=VFxO0gBgBW%ylSLoXXq}G!CfQ0GISL51gvI;u83({WsvRY%+Li*JtW1M zE0Rgm(oZ6@Ic)D&kInj$u6ygRzUrm(H7cXs5;*K-f6{gA#sIn)6rZhEx=z!A>+w-9 z9n7for?-Z@4;)-;1k-gmu#z6h9Apv#rC#;YV=`SAb@-^4h9_HB!{>VG#%14V4t|5n z_P7kY=}-V>?DogC4T$jyff*&~-+ygU<{N`3B7TYlOx)^rUDe(@Q+cl(>0-*}2XkSf8#O-nPCE&E7kp z#|xUt4b3X7reR7vo+anW3%0u;@@>t9?ZKD zdUq(YQu+qaSc!4C<&I@k?5U^+;v{wlAok6yffq8D2+*i)@&%yvu>l%ab9D(9LB5HF zc@kv10VpL;P64|qafoBH-Rw$zWRK5_>88kZqv*Jzm@!UXV7Ks)6}I5QXQUqM18-n*B*irw5D;CJD2B=ydS~M$uGf~*ccGT%LoEerFjp8}%meQttV6=>dsT-ro zcZ@bRMqA?pqY07{#?%O2?FG@y1@O3t{TZ+E0n*qK0etp=wD~h2%>*YNl-7yTZjk1dAxOiaxj>p+0BP=LKw2b7 z6Q5ApVSfgs*}nkN5bM+rl=gtMO=B~7Tn`T2rq@`7l4n%P%~X5Jy%)&A_ogVFAa zYH#0zXuxF%L9J)|^Z0;hos_m#apJ_AOd&DauYUOTU&LrX0;3gjZ#r8YFTp`T8lLUuNGJKYY0E7aY_W9b>R+NjL&7IO#(H1XC;8i+Bh4-}c8xT<;8^-3Nb>>5s7C|m zScZ2aYf_itO$ND$aL{+#fp~{w6r@=rs~3?ivukSk-EJ0xHJs?l!rpE>=mnS7Txbm7 zih`!Qu;)M0WML0gm9ZR)ZF=#ryWil#VSqTmVUm=!ZX!UKM-;%(wwfLPymy8+w(Ka^<1 zWIHm&*Fs@eQdM?yPG*YipyD8Ts8VbQ)~URP&?dpfHcoO$ zfMC+FPfcD#HpGccd?A)+b07ki#lG$fh2giw!Epb*;ecc|Qbr0KyKlfbl)W#7(^eD3 zI{?HaEF9oQ`N)%r1zWCb0sJBmTggqtvEVyv87AGn<4l< ztyCIM%{MY|SN-InHd_O@-~x<#uy})iDqgVpYp2QL0E#44hskjch~pTJ91ocD6Ltfp zed8|QH5{U*$nPaUXb{60Q2`kP#pB5%gB7Wfjf!MUB&yi$&VDsLB?yh)az|J-?8Buk z!{OhS?Kg-@sY9)Hy=$vecl{l_#fdT37>*VaB?w`y08niTJ0~Veyr-LS8g1j~16&lG&N)*{*Z^$z5hMaIV>l;v z0husO=BZW+%h%X8gaCocnm{v~#l|jJPLX9F0lY9S5c}aNY6}|@hPV(Ig$XWo=xA8H z7A^pW7}&!}rfGn{#%BaJU^!TBUVirxP!m9WCpN?|;*Gs6l5t{<7PM%aZrc&p9;bs2+exOr?$ zjO9H-b^vw73w2eqQP-Z#^U91JR35}hTg`kDhyL|%e*5d+{*vO*KgwVHm-2`I;~)R@ z$Ip1{AO5Rwlxv_2E7ms@4z9r#z;IEF4RKkDl{$*#jp8gCwiF>Gsel7%!1@9wk!yl0Xaf7@QBx;>g^?(F#3sl2$BCTj8QE6%IFU1w%Fm^k z)FWFOXV_ZP4>(mxWz)J|89h%3DaP2MhWHX-NWYo#3-MbXC$5Dm=gO!3b#Sp*_<`1oOQv8Tv~>f*tH+9pfY4c0J*?(;i3v1h$6RA zbpq4`M1mb9isUc_R}c6FtU`uCrF}ybusbDcX`XbcwRvIQ2)Q^&B$DSgh@vCgAlPxR z%g0z7L{*gQG92h|W*CeD15!+Zlge-ol_TVrXa2)?UpZsKqCZa?GP9LMo^U$Hn1)|UjuOMtNG!O!h6 zg(FkdhC`^F*|S2hzQAxWu@99^L@ITgJqjDg0Fi2NPF)IwR3(d5bG98eDA7SwI2=tl zFmc)g6$m$#Er@vlXOTZfwKw*`__YqWr9d_|({Wcz!&V@aj{;$5K_oAWCok-&_JUI~ z*wmmh9)yU?Tw_Am5RK0waU^IQXsXv&X4<3GK&vh<19Vd01C5_v7%YHfODYemo;Y0C zC>(Y`Dpof2-P6Fs|uXft}R2qzj>~)okEd zuy+zLkdr}2%?Cmf_LKTuA2of691v|sSRix3K#nR{WUy`+nC$}k%H@CiyB~k|cfTX_ zn&nr&_%Hnr|Kkro{qgH$kU%D}>6aFBylat<7IV02k?CZJ;kDe78&SWIizTI`$9#$k zd_--Ip|%(^uG6@&%bqs-S&X?~4EHFI1j?Z zp;YFy1{ki)$fSnSIzq@c&8GO#T1X8G3CDRbP{Qk2MDf}P24A=U%Hu~78d=0?byjB5 z*o50TkpylKs}HE~l#=__((bkHSt*p#7Hn3)E$*9|&QSEjV)0QRtMJz+oL zKM1+bXI#ooU=n;Xfr;N^aUJ(|UQ*hmW?-=ZyWsrT^&79!m|tDZHwq~)BUia)YMLPnaUzDA}E-#0Kk;tZgC_e ziI}>u9~#iYKHzgsCKOkx{-Bz6sXdLI#@wPQ24?|BwzJ=rHJw6D_u#9BzrWgXfq)cF z_UM9XOwGmwilyP?O$71qjewxT2eN|^a{yJkj=w^Hbc4_vgR-~KxPYhO_q9doP1%4` zBF8#uAMou?r!xcckrJG7HGvIiAC={tZQEsq@7nVr&hr#ObAIgT>yRqzbFnnm0&u-; zt9efM3k?7-m&HQSgtx5o^l-@lSJJ{gQX#krAAl7Bq@2lZwj*SGh&6|bYEm*BLH^|Q z9L^--RM;36*z1u+Riuh9Q8pN3pJJmSm%)RVeP1#(uKgSscA_5 z*2*sO#!cCsOO!GZwy7PHF@iDsKYElnEMnM$q0Q2#KQud}IX@4!0SKdE$&6%WXRv5r zE%2M;@IKBPjzFe(F2BdKIQE;fsU7ZFQ5=rL3i#kmj;7u3i_5fFZgFZ>k*>UGBw5g7 zm8B)VUGR1MWoz*;jRQlNYb_E1yFFUMdz{5g1cixXd0D^+c^#TDfT4yPOZfpHRrgCr zB|WoQ4vKu>FDi~awq{*}^d8l15g6#>%5+Y4sDSpPrqA8Ju(|mw!hNZ354lyh1!cFH z7+pZPxV>s8Mn}KMg6YbhU5QbpDXt#$;=C%rG^~)SLB*^9k&D@x(fnjaVaIiYx#Vd9 z0Kq^$zeJhr9f>T%<3HI)Jl9=_?pWW#A~nwA6a+JcNjJlp8nbYCqn82y91!+WVG)1B zf;I<@1jL^w;zO1d0B^C`mc8#Q0hD%NCCB=(z}T z`@9s?sIonF!t)u2H}Cnwdpe1akIMG!RN1C}CRMh@RJ-DQ1gd*dIlyvIf0mL!13zc?UvJuR(`rP0O)@En;(C6j?N4Qe!@5p?n9w)e|@U!f(fBGO)OF z>!=XE)e{D6OLCrl4?}TZ@mr_x*~V|`sXcRYf=vE`Z!8FAgu<%{#83n7A?FLfm93-V z->T0q{3eOt3X6Gli|zoj=Dd?DaJ;FRWAYujY-HhuzalU$*UcWo8BnAQTiMCel%DF!2C zhUvqI5l(DeSAF8yv42EpoPDCVQV(_Lw#FyU4K6IO>1l#KfuahGZ=(Jv{4~OT-3P2K z5039k;$2VtHM|8ZCa%eXr}H?>hb?ESJGEQ@^#JeSJnF$II`K@>2s|!MCk~IKm`cFu zSxoWCFboF=1`famqKgRIyCq@25@A{x;c-}s86Elr_;IupEIY$1aUw>Y0IpQ13&rzV z55$r__oA*BZn(Y`^q?tg-IVCYr)*?~Spf z(+=jp0GRdeixsC$z^E_x9c5phfhGrQ{qi1Yf|V-d z`fZd2!$E82Cj%LLY`O$e#8p||*~IY~W?jraPAQD!h=h;IvK^l#>JlP>-3|M|tdv5% zE6Y8gSUQI^$aKX=m-Rh z!0HFE4Im{&U?&G3MiLSN`*5js7!UXkSjo(s8Wox;GUYj*u`(UDXRDbvl7)IbMXm zEhLBT8!vIX?{rvXDhb1LAKJq#MN;}y ziTofpE=Hfk@#KWLZUrfQz-jEhewXU-yS0LmKP(Xy#kz4@LAcIvDbrn@6>x-b#8s4K zsj%*FeG&AB%6!e zgwemylw?DNC!deeTakH`Ou2GDA|Ru5dUsT??v|fgEVVY~uEQd7qf!UED<<4G+(X~G zbmMBM5Eq(~dnj`0eoqiKp0|YsW1yVeFFGIKG1s3HPXKFr^3*)Xid4he=_mDI-_)`8 zkRo+tTpG%nI%2<3DvZxYRUN39!gLbL$i@l@(2)e5z*^i@U6y(>VTHL}wNcd?n(D!a zY>zPAPDjqxsc=jyN#GE~8Pc?gjjwvvc|M&L!QfJRjVQcQg+Wb@Y$P9N^Z7J+W8~#F zst1SQ1ete3wXsWj*>k`fjGjI?J?oH^9SJp(BDE+_Hx)JZsl|U1-=A=KA;H#&Lo-tm zh$Iv|KN|&7)h+q`;fR0$-HJx)07al#zWu?6aid2#tnEQ0e$f~A~8 z&>Y+g%)=UWRLu0i<^}9Yvv1>sBLncn>6*26rllI7jqoppx~F-9^hr)KS{AGKh08Zs zlpSBVd_Ys;87O?r*QB^zls)c&!YR`ue#7N6tZBlwB5=n|2f}BS%~Sj59w=;C zvEACg2KIp|tL`Z%LaOgDaO*8$<$!Oml$F!MoMc(pc>qbmTLZBdO3oqFPrIWvvnk&D zdMZgdsfagZ!n>2~NeM5yjwm^re0YvzntK>^1oU9ggXorX=MgYr2dvK+tla|IT}-{mUWqGlHPvLM_tKjaJ9D+EdKANBqkZt#d|(rp z6$SW=2X?Ph6UFW4wALF0Nj!SKey4Polquh{`f=l|JRI1YGb&RC0ah>gh{!?(UV~ zJl6S0&N)iX-3_gXb8tRkwy+t1w94ZusoWk^}p2A(s^~)=9 z1;eR!heX$aRP!DZ+z|x|Z4Js-)NkPSbh@^}ZBD#Dk;q3r9J< zM>1@c-?Ak{J_a(xevUkVqj0RvJ&*x5*9zjOwLUdQsQ1Q*`Dq}7ta>4g(VG&nD9rwJ zMx-w=D%}GaBx{T7?EXZMUxw}-K zVGLb!TTKA9;Y_bi5X zr>4e-fJkO>@evTQ?il867Q^mNJ?`d+n)fV*dClp?vQ5?EOFv`_h4P$ccFPYUr#*`S z)`lS_$?AI+L;sk?uti4LpuA@>a27gsqBt&|vlysoBolBGNq=(B65@RIYZ5~zomGT4 zES2^o20$qsY7VZ2t=%m?aDL2%0i&n8Np&l>9TfSsyEj5`5Q@~02>L1yC*R?Jl5$h6_E|5fQ%E_ZJw=lSTVg2 zt5;(Xq>GGV+T_wWDTKF*LC1783d_|DmS?0NdZ9s)d94!OCsXPmgW`AM?T_8 zFeb3iM^7+{r3&lY(PljHUK(%>KZgLGiXvoU2hS4AI1VRIm3+YIS}?-RCQhyIY#wB` z0boi4Z4T^?r-T&Dn{^b+TFhXn0t8fc$13Z+4k!cem2(+ks9?9QvDlnL zYA*w6jvVO279e=rPLzY^*N9={agAA+*O&zgzOjmxa2Ev&ml^>(xs`03xS$s7OR<~j z7X0F%hUQ>{zTY-6PiBa&K2>bqVvAnCXN@>5`SHv>U-M++f?h*rs`NSDnqbOH308jS|YDL zRrv6$O%*{5MZJ$gDG`#E-=-|6OetXU9K=0lVb9`~E$g>7)y%e@yFsE!CJ!#1U!XkB zf-U74vk;`X<}5_cS?JfC1wf6uaL@3WfD9)#d5>9OmzQE*(m88)e=6G}`jx>FR1q0( zDkAf4P?eeO5uCG-Ck|ME`QIt5!-cy&Le`?Ohl7xdVq*xH6{&rh1(l~gA~W*2Q&?1i zwQxht?Dh!6F7O6-d&JeBDs~QwZh@ey?)HfGxjiDvx0$^6m<5g~!|qpVzp>d?5dW~L zVfRPGJB{Uku0JA1cV)3^(mtCb!5XH6^zF`cFZEe2(DkT@j0beRR79}2KHMrIZD+BV z-MO+@;+eE?H>gUE<((f5s!xAJd$L&9SYFcF-}_TVihyR-2D;2T$E)8<`VCqD`zs*-r7=+h}-eeACX?dA@S-;?a!bELB$<}z~+;3 zNC4>459nH3Ipo4zinYSNvsfIoP@nz?0TAMBly7M)D)BA$depl=;_uZztRH^$>)(HA zNd15P;-7!|mw)}kPyh7&&II{i#V1m$YuJM~PHWwq)_HMSIXR_1SGpS8;+~u`$!Qg% z&<5Y6){E0hjYhVro7UFFY4udosSO4Xf$CuEPh__L=CoFFECWfc>@PQnqsi1M?v2yB z$Z6HEPCx}mFg|7Y(3{gbIb=6(PODHPwKlq3T-l4$I=^ySn`@gARY{aA}7-rd~16Z`)^Kba&dE7;SNQ9C$)0S#T%z}GGe+ot@Giu`ZrE1 z0+~)us~f`kkJ~%iVyA>NFHY;a3jO}YX=NS$=CoqV5MqYSX$4pYO!Qx8r0mY7i_?n0 z2#&Y%uDm#{GqJPj;k4q%L~o~y=_a*O^IUIEYkxA(tOezr;Up zS9fz-gO(%;QRnSd<=>r6utf2uv>u3;%=qEAzx?r=c+YY^-(|1MEU;sy8fDpBLDJC6dWu2@1Kd{NC3o@#Itcw z#m7vP`DbUMl&>>U0H);6%|z*(iBjG&&)>{MVKx62^@*QnqHy|K{+Nj}U?!;G`aTn7 zPpemP|volfZcW0suPpH%>7n_6M znP*KIpgj{selrtgUNccV$rB7^{v9(>*h>CoCQ7gJBlY}gCQ9%3OcdDjPcu>clY6dv zCW?8Ri2^?Xq^>i!)L5UojKKe9T0lz{Gr?iQ@n2nJ59F z#>Y&Q`Pa-uX$Y+T@=O#Tj!!dD(x0D+GXCsL6#1Vt6UBaKCW?N|L^+0 zBT#0n?3pO>nu*fxnJ5Q7sP{b+CH~o&DDCr16iR6CHy;Pq99VbXQKE|Gf}Q(HRQDY46*X- zOcVz0e|{!P{mx939V@|M|2t-)m^~AP9ArF>IT)&bo{5saHxp%kor!X(EdI<)6pc;p z_BE95KVv3J-7`_P!2ow>hjtxBgdp`hjH2ZgQJpin6Hize=`noV+0**t)v{z zyF8w3>W}guLCR6}IGkns0c)0fl11et3nm(NoI|nHK_xMsY0Bc;PME?O3+z8318jFI zubeNKVvY5LDefgZcqet)h}$o|A;(v|cZ^($H{(*g;piXg+(s(i3}s&pBhP@{7!~{z zV&H65Vt40YqktFp&`8Vu? zwQR27bOfqAIIQp*h;E`z8D|86wj3G=rRPai7+4w@_yyFxDTg?cuwfYyAA4@FQg*xC z&iDzi0(KAqWTw-!2n%p-*rd#KE>#wR!7SpfjZY8@;WXfLmV*^zaK~0|*>G(qE|sg; zzB-pDG7+=F8ePz2kyh1Y$E9qxN%$9SM$xG^F!C&87TEEV*$G{RuOy?PpBqNr7= zwUs<1*;eUn9J(^B-y?P!N70r92!q%XmON<%G+^K$@Zs2ndLk^PqzhI7m)gY=;7>1# zJ4M`#VLXe#cCc+3mM<$Zip*GJgactugmLq&p6q=_@USuw%0ZTUJb>&e=S3)p4`!v_ z?Vbz-oU9gdRz0Ui0PbP=fyp$-(k=WM9Zo46L~7;H0s+YkPAO$a znN5y`&NP8zCXlp@3m9!NR}-ml1S^Si=yjU7Hy4m$zb&vnK07aO*3w#&Acu8?d*jlS zNt7cGa|(MSoK573fLDssQ3D%6sLXM)s17h68W0Xgl~AWXF{wta9Zu+h*^o{I;35UP z9syz!$9UXD^@^yAaxWYU-9kzo7p2ylqNwIZI3I~#;Tp4Siv95Eb5UK@s=p!}`9e4e zr+UxsVA`~batq|ZxnR!#x+uYQA)LFYF3BG$h}dgjRtWA4Hh%6#5MWxwxxp$i?%5rY z5;?8!6g~?ildZ^s901?kYmdb&eH0<`ku!%OgB}c zmd^I~aPbtS4;p{u@ib$L;85iluT}Y*hJk-Pcx(&yA*#uq%3;FUHi;Q;pkQa=+1V13 zbgv>Iluh2kQw#|-iC8g5Jd#6%xe0Sj@{U)M_mv_dYT+y#gpSrcC_5>AmPdI_VHOL`p)p0DU2fT7_oPM6bPBL&Hh~`dQv7@J~TxN;Eaz+x`isy zf>m{;AJnpQL@QmOB@(ne0yy#pEj8gtudf0)V!WZ2fanfp+nCI;(l5}m8=qO)A=%eq zpV{ZK5zFp-wiL=|6W#Yp>Quj(L@fO-sfUm3E^N@#3KBVSerNGtEJZ{$xJ!5s|FnX* zo!+eME<8= z{NYdEszOWnrLHnnD8pc75aH^v22mf36~c~*gPG;ZzQ|Rm70Fv9$|cg_^Nj z5gPF_`>Hg&HTD??_|6KyzZq3EkD=UJs?@V>g;LjK^yth*$;~PMmToKJnivO zX#Yf4oLAatJ5MSQ>UF{?Q;vXjcAOVS4h;aAxDi>n5E%dx4gv1s$w>%%pe`R3-+a&O zz_(I}@T#@POyU5f5ohj8Adb`BNGp2;Ke z>f`-@Wy~J+*36YWN{7g05X= zr%ynfi=7i=D?!6NQlIeY0(mR7_c53|;z4P4D?wMR1-mQPE`)l8%yRvH6*)yK#mV;)UonxKu#1S*p01GfU1EE8x$_Uol z8!SBoAHc@IU&7|Gs{sKs1xYKg!qy}W2aqy=IHd?0TSh=-zWiz5uNP`OSH(}lUP z*!h#3UT~g3kfoohx^1@slxPE<>f`(V8L!%Tl z&Ed{1ZH<}!Rhh6GTrVMiUYhV{q@c@-&A3bKyBsH&HmT<7z#Y59{?Hi@oZ?EkQsw~g zOub*JB}DRysip9$vHK|av4hNzrRE-}kpN^3(02>&t;UWB2Tzs9Gzs@wo2>_ZQ0j}7 zq#?E*bZxZQ0Af@Id-R~IoK(&aEVmF z=8v9o`=tjxnh9EoOAi|O{;l5rH0K^KHNx_S#J&#g0Hj^wkt5`gA6$r@n6wXl4`50R zw)<0Tf0Kjmr9CYp@QiTY;vS^N#uwv4VKXiqw3Hf_#O#tg0^m!M@HMzYf6;_ioTc#U z9I5xGroog?9zt=nHEcWPS~xs{-UyoPoBJ>r<({rY!5{^~0x`{|EA z{p%lY=|6%o#3;j-#-+A_aRFoPUvi7=lNbfv;|<^gJfLIBK8^F2eC{cDP<(bouF;|}8zeg^*u91;bqgu@2| z4l^?m@QZa#(5=PyglpLBaZ=77JBg6uo}i0ob*x=d;V?73ASu&70A+Vm^Uw>XT4n$U zc}>vmaBytCfh*JSOW#b;P3eNO{hpw!E|Zc zTM20Z<@O9+b7Nbf)~jfD;Ii zcH;4EgzgCb=;a(Rb8%iHbo=`VUBKMBo)Nl?2so(njZ8Z4#3Mk;0va}hBXnsfg1xGv z>V1SR=PPH&3g10GmxIxyZU!;hR!anqTe0r(xor#9yp7LI3qA_#Ew<$LgLDxvj1R>c z|IwlI=f>v_c`osc&&?#p<17NJW1|%1NCBZZKDQ9*0u6d#t809&mZ^V?&*k)74ptgc zb9}C6f(k}fre}QaV1DfypPR-#KG!0&v(NZk%9~NRuL#PbPplUQ=n@D$19YQ(2I#_W z?*Y1AQgu-}n8()uT{h?&yong5k8_W~yawp{PXlzj#A$y9=;EL`){xorOmFYHwbuk) z0~BQ{wxfDZ(8WVyA1{mx$j&^;U^_=^>=y@wNBBpn%DyGpJVjCPRVGw{??C7W%#kvu zGuGrdNViLdn$Hm3nEEqB_q>Pb`fG@;2T+*UeR1GIlHPs|(e?Kb-KpWct|7YcM;xM? zSPD@<%WBWjJwMISg%Le*7Oy$FgT+WHntx}G?)WrEH#1W_ILVa$sv4{f2kF|^9NkVR zVE_^kfP8O`E{COGh;Yx*mG>N7@l+dsevaN$E@+uil1#=IDm9EMSZaL*iF1WlZy3wIRv#5NtSIl6#sGv!V- zZaKf1qYJ>1aSiX|S z7hevDfPFxi*q=GNfDMOv=IGAMn*88Y!+L47T(nCKSGVWrnmtE1Tyu0^vebD}DE^+K zo8RW>ik*_PZ*z1xg5v8O-T0cLD=n7oo}(K-=IDw+zXr&~IlA+Eb99Z3xF~>|9f*v5 zN1UUZ?>V~N#yv+@d_sV8cel>uM>l?%qswFt;%PWEv8h|Y^g&bZ*y!UeEjMlm z$T_<8`*U=yA$1FDW6Vy=H9EIFM>pJabnDw3-MfGkzGAnh!lKR5`$3JdTR{GG#3(Ty|E3>9q$XE_& zs)qyN9w}MyNUKmo(ljd;&h1#{WOA8$3hV3?HV*7)9Gp#E+1JH#XXqdBgXK}-mo0GNP^;PW7tpPu3>-sg^gQVoiCiA-G@tC(!H|B`! zFW8hUcrCl9tnt6Fd^@K$TQ-!;BO%K)N_mN(@C=CHIGiC?4u?=<&x8R6U`I%$xM!#H zB(*qFxB=dV-7C1cfW?YAur6ShvP~A@Uw;T$YEcyiuS}pJuR`arPxs1@2YK-;^}$wP zyrh-{1vKVvu_=0OVHE%k$42PR^xBA5D{zZ#59LIthxm;B)YMysK{9)Q7N^evF->wb z`2e@n-BZN0)dOh;Yy!0jWbwhe1NV<9ewbr?b!PEWie0_LQ?il;!=@2$<2Ose=|EuE zRgtuEa*R+x=2dYt`8mGChBr1pB zq@oK8F&^d|=W)V@@S*}4&y@}s3*yyD%wlk!IpvGZC!N0X8bl=6a)KQl9QO;X0`QkQ zF1=(97GA7Xj#b-I5<5uoSb-F-AsKjjYjT58g>g3sIb+__l=c`~cv?l#=FV~NFw2uv zJZc_dKjEB91ZW`$0{n~z2XFvv0(Su%U4ki-s&rQ|(w~8hK6d!R-~lS(Y!%XqEN(0U zhO;1AgjLa$`^?P+u~;&l(?pfw!GMrz1lHIoG2BiM2S{n88nLx!!s;-6;9nDL-35TW zpa_nqaI*>sbU2aZbBAxO<}OC6J0<2H*=5|RCnY9!+X*QXY!AEyQvwMvuLQ~-|INHJjMkXLxMZ<_i)&MFn_hd#P1VCKJo+z>x6|Jt>8L<|D z#yCw5&>ue0aL|Q9JmsxEg|N{OV!P4bwe! zQd?$_uTD8Sgk5c1j44iy#$Cpx>L=bE(H9w0DcJ(oKM|lUhu8yNDBDJ^5`W=ydR+}D<*M688qRKOY-PKQ8zJ(=?&@rk4<$DCKVF%OIqHpQ^3S0l=)ml~Y` zS{a7T2e=HOvi=x%whMq)nU?zQ>eZBQjOKIwF}>=KhA9U&l(8TvbU=t>ap+z;DcxTj z_0RRk;{iK6*)?F?=3BB$41MlqJQ5vE_R74IrY39?3&6}+Guwr5Mg@*NOS=RaZ3CiN zW=ip$Y!{*8?&>8?oj}jdj}qjqMrSC&DPdzcU@#7GsnKDzZKIi3=8ZIU&po>;kjD7> zA(J9^1rld6b`~H-XVrvI2P>jDy2!gEi%o-~HM`d=+U*8mW=1l@XDM5!{?puP6xABso>)(~2i;o0d*b;Ozt}Q`_mtf8o2YfyfbaPA4=|3Yu*QnL< zp&AT0J{voKG{xBr!){*ouM%`v^-F?|1UZ16EkTE40dPd+4aU}9RRn6BZy&v;7G2v~ zbm1>)(b=sRAkFD*KTAPC{oIo`DBB(><))}oV_7M)&xPd(gPbm65%cRX5j;ufPj zszq7yGMy68Thx_+zv?n$%Mq7!ejzkflCE`F;;$G)MhMJHZjbpIhO zI`I;t`wwZ+3Hw%9e6;B1ON-9DYtfCL(W1i=h?f}M)y4alwdnl!wCKc3jPAQybbHF| zhZf!ZG-VbB`w^oP?~=biBSxp+#OMOW=*BlRFLA5UB}z{J`^D(QhZ@~~M2t>+sL_2A zqkFXIe*4#H(KSRrEV>gnMP)h5B{HF;b3gGtxb=k`l)|q>NKHMo-Y5X!N2B`u+PQUR z#PM*P9K|ypT7=Vr=h|)YeUEU+Fvi)#k9H@IN3IS*gk-%*vuQbrSMMMxC~)g>qp&Z{ zgP$2->H=YAhxwl2Bp^SWX}^Sk0pcmz-#PGv5sRzQ9=mxk+FAQtZ; z*{;H~fWYR#XsRhgYCMRiCOKf);=Ca>9uZ(q1h&VACCbJrii~H1Y$~n5rtJA)Pvaoo zb|bLCELElqH?veQ9F7SpK!`WNx@&xxkdbVh0tgnj%nGisP0tKkk_a>$^RjN@BO1X6 z0-kV*tT3v!!w`H4T#QGut|LIkNwk}~Kfd-27R`pC4Zh^79%ehu=II4v0L#9?$^^x_ zB_)J^%p#!%kC@48`07=Y*Az*a<%nayUwkkaBS` zzc}-8)U8;jRgWx&A*StO68~IngBCcA9))oYnJI&df`~Bi7bP}{#4kCPZR)sGRycIl zBt$5JReW|~F|oVxW5+hI5LN))=cawBb&1v8!)M{_5I~dn)ixtPTSEO#;ukLV24Khj z*4U4OiB9$#1Y9D(yi2TZQ{@{RQ)d7Sq$gV+Y#0>mA*8U1_VG9l*$<7Fg^6QI0|yRS zftRb53E41;Ubz68kFC|q-sWTvCO5?hjhPe_c_PnBSop`3uR=ufS{>p0^a#(g~#!wy} ze3I(LV4iL9WO5wLB}7ua@bS2scusq_5i+jPjxQV8hX~t#1QmB1A;J}>i&m4$1L-(S zGHldic5rB`g)x`B3Ottw&>5KoM?}F_%b*A7q=1;`_*q$lJ7Z*bCU)LW>Z`PtfIa{q zDW2~+TvAek$j$}Bg>`@d+M0IVS1felI5W@RnWRl+=Jh)f549O!Z7FHzU~B!Bv^!HG z^PXz+IL(IXQ}#=e?=|BA80HFftl}QVa7o&c?`6GUS%H#v908DfX$<-N%Acms+T&f) zuKEqi5Y@BpNhQZy((V#xK(JDQsBxan9>%~dbB7(ASgz4vxq!;b`|Q~n`=e=xU_Rpk zE=@ZG287WX?^H9E;S#mG(qFsI5I)pZ#@#U(h|5m#CHnY<%gslf!VYO+HtO!zjPmxcB5B>1}Xwt0p7ziFl&sHuDm%A zo%e9eMe!)+WiMbh-ZdKhJ$?34S3y*LT!lVL+HorNHF%7J-6S5GWrvrfom4g(k`rE3 zuUDP#?ie&xkn06p)^Q~Bh`?og?$|A_(g5NpX@?jCF#r`hQff??F!@#UXGy!irp|{m z0pp$karA;;y# zVh>)F`T3r+I+?TTTWNvPbb9Bk@M(x1qqEFejj~f#V)m&RY}N-c!Pqr+46wV5t&HPc zZ@~aKrA5ko870#n;)aGy4(lWJ8!5;JunQ*y52+^!y-tVT(=Qr9sKmn&6ZtxUwlFA!+ zx|$igJ0_d`aCTEhuteL~9Z*vx?j~D_=KUtlQ`MDx%fXqKh<<=zJ2_hHs^7%<-JfRQ zTN}GHSkbif)d-lfPKXUT`f_~8F2Fr;8Y2*_ zPVwMWtX&%187wmA@l2X6O7LizUAX`gz)#`=QJTY6C$GKC*r5Z~2KRn3+qgE4qVPg` zgcqn*z&UU&Z{Y<>qH`z-TV{Qu+z$7MXo@p}5Qv7%b_2yJ)qqr|KJesgh5&d#PMpsG zr+~>8b|98`7)rwMA7G6DHc#8C<|`Ip(ZKl$4VJkcY=-l2f(Su!fe%p#wKlAl;u^R~%^ke|;l^m1wd4egN4E%rG+H`F0 zr*R>eV$qLPZ@R61jd|Z?LcuyA2Oe268%`Ld>e=zfzr*Fg`@mh1I-f?`>U8O)PB$y5 z^W=Z|ASh3l-{tANX@I|jlOi{slBw>ou_N5n`K?ZedoOQ1mAq@BMb-*6AD2_wa6uQOV93xI^UwkThRHz)4(nLW$LA zh(L@vIv;=x0<6V>&uWy7V(?>ur}~zsgUQHyG&Y5p$jxEC9S4y~6A~@9LY-%0+oCEk ze5nf9{gZ;>z!7jqgFUMO&c2@;O>fDC5d}aL?)o}!iMo5pg6Sc=6zUMdT#2n}B@2jz zWbkKU=;qXw*b?v|8$!8*!`Y05OE*02oG0tISuM_eVk%`L^S*=z+M2@xSxpS&) z>*Ss8f?4E*EAisce;#plRTbqU#Xwwzr~%gxhUr6zfjkdDJ<|j`O5O-mKl~NIN-KmB ztl1!j9vm2W9CaORL##eytvhSjQDL^!W|7z5A^ON>kiytg+}K});_GW2h=Tm43Cw~lZlVuOc}l1DG@c?>rl zTrj(3$4U8uAW!cPOX)7W(p_Q9>m@m1uXzlQGFH6$8xtib!~uA8Y|V+RPe32`OjC1$ ziOkO(j@~a){t8K3drGOkBqvzY5tI@hTRS0tnsT)+Wh_9CO~c}3Y53>F!Fn&|HxaX8 ziO~pZPLL^c;WXB7s%4;unA`#hdp*fla)T_EV^3vZ_L0I9E2@CtJ+9oB_#`?ZzjQbD z3Txhiyg1+SRbZTNniCc$PV5<1{zG%Z?gFFU(pcnmL?)do+*con zVe#pM_B@lb50HUdxs`-p1;)++ia;j7^VFP}+Ea7lDKKujmRN}`3w~tkPif#vd@N9A zBvB1<7r-oqMrlw9)XrOU!ow@9p>emVpJJv+FVzW2y)zk4{GQ}sPk$0b7j~;|4dJe~ z=mb{d7M(C*D-lt2LO7~U%xUq(%Q@dmCgH=jc@HMVnY;>&eK8Hp(UA>cs~#;d_e267 z^&kjUe8a~BW5lGi-I^2nG^TM7Bqb-b)Kt!*sKI%}XYinw>*piD@GUqYaSRXZ#2C7-PI7ix0T(;ytvJyJ zB6@{$NWqB+AgF5q^zbl*P64x3ze!bbv_ioNvEg~ejbSfuA-jyy8pX5mQIiIU3wn^q zg*7(Rv5&dH1T>EG+$XV{Zk3=n3#50DZiKMNBScxc;RwMJvr%C8HzMM>MY9GhGz@Ck z7e#AWVMgi#-+9ib!|{=pU~AniEfMftAs}KfN1&mK=_M_(3H2~wN+vO|@hyEwON=*Z z2^@1OiY_@RE#b+2Zn=L?T0%S>jxr=RB7L3L@EvK1{z7T_LTR`YqQkRxN=vLuT4I{< zn%8g(j^5G|u+HdP6x*V-gs^z`0Ys|sLupG($VXZt$VXbD0~R$Evwfr` zXy6CAV}^Axj>y;|6RZ`$xHC6PI;Et{g!enyg;2Q4OJ<@oVws%M8Ch0nVarT-dEne= zA2Z>n+?7Zy1nbO+c5v4qd*-+gLbXVliTX)qLegxq1S#;cxGuNO#I8ZkqhCGpY@5(w zJu(w&)LUkP^v>`mGl3hf5g6eQtt7z^DW_@XzN3IEpH81er2Z|CVA

    0eVjmYB=#KrB=4;> zYQd+6&c2~kR%}kWr6MjmJ0j4&$yz`z_&!ObHUN@v*Vq76EY>}<;`f!%?l)Tt`$obq zP{_`FrPu{_^~Go(W2$sPDURjXnXdx@MWjlEOb?@-VwCTU_Q2_imwXq;2g4?8MmsJ$ zPeQ@*28ar{{+eZbi7<)j(Sd+(6WU?sT3%Ztf?|j9CbZuQqy8qe!+~)c zz&S?XLQAz@nDz`Dgpfg#hnqECaZ%f{;QHepP(BwhEtH(%)(2I9UWnC_7nz-$IN~uQ zd}Jd90xS(r0w}H#1mHq5LET_lb~oE(>p!XL$I(NhmNUWyGl+OuXgcuTh8_4+Ct91U z&LMq^podg-e4FjcQeU9$%Ep|Vs*V>Y$3$f6nZN|X;3M1w5MiIM0q#$|CsT>if+9_u z%@z0~g{5CmMVdE9H{KF^tJ&IxKGkqbc#}il#Rug{(oJ<*b zxCs#`N|O=OdxSRqVySa@5?SgONu9K4*k$>1B=wvCfc^|ieSVPCIm!Ibk<`VXW2t{j zQqPTiy{DM>kkqw@q0lhP^4|0d<#Zotlu{pMZ%@ky*3s_5BCP|sA4P8cm(bP$L zTZmc4xLE3wGZrpkPqNf=0G8Y=^$7fpQw-a4O-)B6jYA86fS{K()!4RpF4Y)0dJ2b> z(1o(?z^R;I{;<>qn~~}`ZkoDgEd0>aNy{NiJzp$!9Q5>sr9KfzZCwU&HRru(>h+?j z`$fIBt5lZ}*WN7k5ML~H>~5$JOFfH=rf%v1V13in*=}ZX3UMctE*W*te!Qq)ER26+ zsh1nRh!=y3@WpSMI{Z7ompet4dU|K62R0~%@d01<7=%N}Ht#~+u&@@NY3dhC-F{-J zkBgC~Ay+AQ)#X z`$1nU^-GgsegGIRDop~654Am6?_iS|m!zC-+(c(fc=J5_(>6w-a00)5tMaH{n zCng75oD`LOni7+0_Qy)9oj|_*Ks-B|V&<{5rj%4T#t2jmnd~z41h4DzF%Th=U*UGf z$Y()LRbCQj6!&-312LhIlf3M$T2N9S78YhhrAXmMf+cuNzq3w}G6Y@XkX?DF5Q|#T z090i7kC-S!P?&e}TZRDsa{%w)h$F;}g;_uy?zB_29PF|13TL{(66_mLq&%bAQl|>B z1|L@A%vfs@%nQIdl_l4~D&cyV?Fh%Wc8;F}OMa_$uc3}S&K6mJVuil;LK;3%IAySA zrA8Su7PuE-n;Eec2mD2>QZHf^V*YfF(%J7oYI_3w=Qc8t!@&|m=L|;xFjpzY-357R zkrbGXmu5|{IE!=4WJ^}sT^z)DvSSmLE7J#WtoBB@gb+p$FLf#n*o)S_Cq;1VXGffA z@oY3wb_=r4F>gV3EBD-|Bn|LBX9o#J#s=SH6KU;taWGQjzOwF{;o#sB+!05ovg~nG z<91(vrn+%q$w9*5!`s4U)3A0mJazI(Rph|80jC5*0AO{ln7J`LsX%_6TK)JMCb|pU zGX?8s>SV&qNR@zx;lAZ)C$J%K9NiPbUbDqvFf{Sw^nZgOxpPhC8|L z%d$p@_%X0(Y1lTX3ZidXFeX6?jWa+BOr2fvPCbcwliPJ=`!-7-l+;2L>8R&pu{hMu zDw8n6=`(8zI>8N?!s$4G?iH~65UUyM8bJt9!&Gp+h=5`EaCj~D6V88e$e4_+7fuyw z6ep#8205t_*X+QuMgg<5rFmwn`1)p8P>8WMcuPLb;bS;agZgR?7|Q~-qqCYqWao!y6oPI!&Rw+H4Z?%>F8_VSKN zt|xx6Q;({Z10d1G&rB*2q9?@`hbg+8%%0-<5@eU00ZP`|2a7#D*h{_7uo3qR(2pTD zV-K@B-CB`;}9E-7=sDQb2N=i2)1i!eh>fC({@g=sNaj7m z&hQpduOT-6>kymr?GT&J{(N%{u>q{j$C6ynXQ)I6*HP_#V*w8~% zcpOavGkH?MvKfGt91-9Xt|2yTEu7&VVpBN8#%^7#_)+A04YBF*(ncWi<_sHFq`nNX z2^?Z`5c6=v_BF)Dafr>}5F3_ofRP%|rE%31I6-RMdUK(#%5q*n++zwh3WuFTY}ldj zd58_ZdJnOgawo)}88+e(D1C<5PzcUkLu~vr#74ahv5`1^+nDx?yRMna+G+@lBb zvH1`~xQE!(_8MZtnB__w8CYlLs4v(h9^5sEyV5+TBBJ8m@6V z9n0;6U4lvohBa59RL9TO#lEK02}~wWpRX^Q*b3t z^ylx5og3Sncw#%5*tVTaY+JwBwr$&)*tVUCxwHSL-Iv{muC6}Sb-Jow`gDKK`81=k zjf<1BsiTwr|1r@2$;zy%0t*12yL2#J_}5(A;Q>I1Cm;auKi;mgtbgN6x|Z~w)b5Tx zhT+6@SR>-Wu#grS9W*#>5IZ6o_nlF;9H~nGJ9`NG1h!|!tBf!xl&Y`KS~hO~z%1Cr zt772Xjd*dL*njzs`*HSi3i#bUe0&_;FivlKe;hwfZTznBbN@cyXe8S1{nQL#d1`SRWd;kS2kWN7#^{xJQ8lT!X44dvl_<%eSPU8$;S z(vjCPg{$Y@PS{Txl?~yQE`3Y2)H<1R)9weWg0m6qDL>n}AJBN`2~CzHig6r4YzM^r>D={9bd1%UzcGQZYw+AulhTLKsGHY1`YKSkQN*7bR7db(P3?K zdS#A}l!wZ1Rg3PhfnVx317i%Mr;kcL*~ep0GpYFy_9MRR!wJJvTzpJx@+5Id@xACWIQ(lS*lt=bJL){yU%H>V7?zWt*`ZM2jyjmdV zm6hG={dBqZ514elPuKJLay+Qn^>r}Xc>5G~!{6ie{%`YdJTBsIF+b+TnE(@2{u4b~ zr(uAGqDvGwEtbK0x{5r*XGzbK;|JhV@@7e5tDN?qPxx*}@frI@>nkxW2G>1r>T9 z5V;SufV{Rv?-o})r|LLnK94*W@7h^QXItYMFA&>SfQnPhXjBj5Y&)BtkT}>6dJBCL zm(=!9Vk`eLSc!g}{>ycQpIQr=;er)^byG??P14|blaH;O2B9UYoQ7%0Q>5=MR?vb8 zG4qPKkii!kiUCpC=TT5u#`aQ@#m9h}m2&qh61%wJT(!(cUtL7)k#=fK{#ZEqVZA)` zUKj~z?-j$gDo>j%{HB%bz>ANI{^m%dz&?M4-R0G_r(N|f3>fQJj-9vL6t5N4x;TPL zd||@~ulTT9^(9%pKcp!DIS=5bJ!qBA{Q`uoR5~GLU^|RgG~JK%L}6)r2-B}!;V{2l zHy#yM(bdqd2~i%vKGZL=V;If1PRz6f6?|SZ0<_g^QU$-Z4B6-!u!Y%#^ERjzKJbyz zhmAJgMAKmMUgNf~UgA=NC4pIwOlNNk(QaF!crKJ{pw+2AUD|*27B(m;50aY>Y&jF9 z)@+11LbcWwj<#GHFL<{PWXEO*tHFg}=eAImBDr+Od@7W^gek&cIr)j~A5wCny0j{+ z&sBjgLjY5Lx6NZRn>Infq-aP+({bTJ`BXyE?pi~wpicI;p#V@YQu$(V$CLi|dChXUzmAD#X2MLLb+u#=5ob!$Yg>ZDp!x!^Y!61sK=(q2Y6&3 z5K1*vA*+MUJdzJ9GKljalYsGoDNV~VGhPM!EF~sK*$6AbA9e=qGy)d+ZRxg|=F`sL*(f^` z&EaItk4E8E=h^?{*pWvW8-BGpQvvJU!1pU3q{J&ws`P2*3mrcuM>;(bY=Gqp{>4%o zU6z)x{`9vRJ**)jSQh8zLEw|hgnqnmAC zC!#cTY{3^r?$}^J3H~CXOjg?tNh%p<-(sGlE2Li)Q2D>XbDQ8fA5d=WP-I?K;5cmB z%LQK=F7i6#6`_nb!$S}-eNXX{_lK~2rwS<((|94=dOEN%bASL$sOxh(Fxwxtlt`V4 z-Ul+V@%9$IA7n6~SgOjXxk4y&{jX&020F15Qdy_p1li!+AGRCcKY}g87h-{WdH#nT7i!Woq6ci2;uDzMmIXP-}l3x8}9IR zk}8%+z!Ri!_4YXRY~Gp$(a-S!GTIc1))h_-@7WN0nuRxwxB@#BCVPatPU?b@Xv5M& zpgKjBi%boojYV*junL(2VIzuCBOsa@L)qcL4j2%r&QJbP+>5}ZUX}6I%K_I>C&-$T zW@eH$G%cD$3V)*yzrBM5Sqd&96R!&iTv9CW!zr^@+{c9515o(!4IDKawt%GJO#Vyx zD{W=VZ7|{X_EHRVE%=WZpkxfu)sl@i4cA|w5Dd}GGewZVvu)pEw>+*U0y=7#LVj$Ea9_;la7*9LuQCMZwjD2ZP5gth4F_~PgJ`zzH zuIkE6yX0#75}{J$-Z#5wcXQ@YY8XDc&#Nd^Hu1;Pe9j{{FOrIqU2FkUUQ4>!ydJ{v zI1!;0krCawQD;hXe^=;yBt9FVPfCOTC^g`!8eEiN^G>Cfaz!&YNo-#$>=v2>xh^9s za#(FZuGJ;n_xu zfa+g0+hy$s^oX2+*0-0ERm>J6jfK=GQh+XR=5|vWh0p`8Bni}ikH-FREhjYtFaOcW z-^!0&pP$Ktk@a=B-ydRXl$q-^>Qbphigjq{uQU0Utq8%I^?+GpVcPt@{7(Lq; z8(cCcV*NVYr&-0ehG(+=0gH=vpMesoF#9~6!d`>^0Y38f^QZVnA<)Goa?k5pg_}&@u^0 zt8EUyJUQ)#k7=Vrn;G2;<2%S<6vKo9(?sgXI)wuzdX7}WFik{W>HB&9_!xmCJS|y$ z6y~b!>+cpG6oNK-Y*;ET-Yu<09?v`)!xTS9j=2B2cqs~fqB()=P-$LI{sUN};zBK8 z@zw01N9RztUPc>?SVx}Z!oQ@(_u6$RhND5{iN!L0CC5jbwb=M%x-gZ#^TXWI^^1mF z=ca7`PY^dIQH!TqqUSQ_a-$k`1k$zK!mhKDcbyL{zYc@MCNA{zaM*FoV^yM~8ZSE0 ztdJ_2vnn&M%tPb*KKQw+n?rHsY=j1N%YhuS{%u<4$nceKnCYo?+r!@^W@6y)7o{Jr z`&GnfI&TH5%^BTf0%;jswP{VW?y=Ipj`5nJWht@Y14~4GA5`b_-9LUllbbAsndsT6 zbyj*{Dd3fDLN2Q^;}qtbGY~B{F-Z z7^%Ikie78LIpIx!szb}cjns8h9DlWZwVt)Wok3kRPxUg+$U#vr5;m?!F)h-n_;gyB zsfX5cqI>?0Rlf{IVXq$)V?*hzZ>N@+zkwrh zvuOHn3-!T1#_sYK7FJcE+8&AY!(N*jQy$0@rdK!Ew2bTIW8oy@*-mnT? zvv;q#L$pdE%3Ulc%{o(yB+Q(FTIq@nR?n%}Jcc+Mb!IqfG*$qM<$dch^P(})sBaS! z6S0wj_X{eWE>6;G41t*CCD?|2%s>-N4tI<*MvlxZ5j~W`0M_K(s%x&a?pd7HBqL`} zZ`n3|%wfI9BX!!;vJ%Tqt<9r5v2^3c6X&N#F2c1C%nZ!*~I0n$y6x@#P~TlH`(wx_#fp1^$s7) zKo(b+s@uC9RR=W-NyAdb?-*O7Fu^^DUypRKGeHwHKGFU%R$l&7jid580zP;4Hqt9M zdY@-7M9shdo~<>u?#;i}@U3XAdq!|W9hWDgME?Ny3iovL7Hvm<$YF4M^7lUw5nDeG2X;RZ| zh?6La9|KS0ave&GFSQZ|a6=_GTNB=jgb(jfMccD}W^z4sCAN}$2DB7weQ;9s9t0P# zzVvBta=hgHi#wf-3Irf$1va}pKcC36BM$m0&kGOAy+#kdm`4+@op_hFxCtA%auoAI zwMPrpMn!@Nf(P`6?#lH4+?Dafm~ZpsIOHB!>YurfY~N`(kmqvd#DCTZoVpjqBB>GM z^EPtkG;kB(En+qEzI{U`z%HaKLWHqPUA$Zf(2p#uD{%`h7hJ zfj(<@wg!H6upJZuv$}~eyHN*u;k}pT;#mFP0sK6(4Nrcf$xIA0?P2mDBuDFBIau$f zPwoKZ847h>s;j{see=F6;loH_LD_XGh3&;5CMThG56ZYAf8$g^KNMVv|ifI z-?`ye`WmYce&ct*+{LW~DZ#{={uX1!gKO!AFNiFaR0u#J9AXGoi( zsKkqe7#&@kY^wtbDYO9m>u!4N!GI%FyZgW*^! z&HY*gc!zh+;%`nb!OpYwSTl@-+23DtmQFQ2o`0{VI*IJI{Z`!$zeeAYZ)#p+dcO{) z!ZI7bKRHGn*~JH<8t;{+)!Zi5M2=yX9bE-d8b7tBm#-D3)t-|Y-z~ZDL_wF*JV}kP z&o+^yAyWie6R-~Z6>(HGW}M<51!b|*Bh#=K6>s22mvzm4@{?=sC};mU|1gjBnppcx zbaefWcWe>n*if66dv|o@y!y}P=rWP%rl%z9>d22X zP^FUrb6vCx{VBaW2yOC~@ca@Ge6{6a>_ChFa zF`fJ#Pd*_bgvXEYem)Bs{czg&;bIdDsLX71aXdO6tVF(<+4lMPTFSiOAlfFXRuT}V z1=5S1^PDA-pC#ev9m#Q1FsFmtqe59-C5cjn%b)Y)45@K+$38pV`?8c(M6o@*$%c-fx-t< zlEQu1rD3|PGLkI3@(9UmlJB~4(~at4on3QfR26+Vs+0b(Hj?ARp<&wBvcYZ}{pO#e zY`NtSo{bh<{ zo;hAF3Tj$X`Yz}9DPK|!FQ4B--ShHq{qI`>eedO=s}0e>Ysa2S&x5~|*HW^R z)#tEmTNQepVHx=E)KmM~Rtfb~Ws)MYhlu4^u$smyzjI zAGghAmc=mUUm5JWVTThmN~}2LwD!k+e_$->%tGlYG4YAXD4c>0f`s;`g;;!C02o;~ z5*XH&nv9l>ItaNowc=L-|Jcx0Z$`h^ogz>o|5daGUMUlfz^I|P76~N<{XgOv`(Lj? zgO2lGb}VCA%(z245;eLbvEdb&%Fx)Qd-wK~CA+PLY z`?&oL^~+H!LD6HtTFGPeQ%c`%HE5l1mbay6!7Z1m^huA;HOu zEwz#rX5-D55N$m-9#eXqxVYwy+=bz9=(%*GA{HqnTW!1)fBETpW4M@*3(_hefjP9> zt+B0hQ9#mBa5X9l(K;@oY(qB`(tpYt@G(-9Ctc~BXDLPWamm&i&tRu8oj))Lyw$|C zYX1`N{)eb^BA?6a^%}ykHcC{Zubb<$?0pmo|MIBwq4d7I+RDs_Vz9H$y(dt&eEnEc zqfB-R8dDN>mZd>v+Beil4RL&I_coZm=eW2%jPqTM+Y1>kx7zIQg~5Or6!>O zxl@;Rz7a|zvq6CmZ}zNAW}A%*JHU}O))o3KXojV-=TnScQ+$U@&)cj;BHVm#dO7IF z^Fz<+%9TdyNQYHgsR@*^O+GLD2XM@~ zj}s0KP?Jg>XV2Mrjc1eV5Hi~>{v$TxBwf}Llh#%;7uN-9p1k1D$9BHhkLM2j+Z<#k zL<64l(&9n>Je#-C#&TM6jnL6-J3G}`kJM2P8;Tqz)Jk$ETbj#$%Is`uyG4lO`87|QjJ;$4$0gMrp`MfZG-bMkBe<2fZDZTUB>+(l>tu%iV)EK<+xz|cjSywgFCV6(7Onr50)_P( zg%tZ66{V>+5=P34-G?*~e0=uDa3?7zU5OorP-EoobqtKug31|VRGtWg_KsGUYG|hN z6tj;>WvXPJcP|XTm&aX*aNpG9`wGX6A6>Uc`Zcd9rJIt*dFh{~X{Xr4jNUbX5%_Fz5-Jqq08}j>6 zx>s3W*?dj*gfW%kx*~b&lo51MIhs9}%P{+5+}p1(zxOb#*YD%e-rkp1=kj}^0>!KI zs%`JYn%>^mT=T!9E4AMY*)dQbSLvRgdyT)h#rAe`ns2sy-f!K05cNzzAAMW_=B@%b z!A0NIiLJhwKo_u}jUS-DDB&b-8_$B57Ng*THvbrb7~yA_;jbU#QKeX=f~?VKKfOx_8JdblobnR1?-wMDpXUVjqGTngHuCa$x}o6NTCAx>23XW zpF?H^y|$EEmXs|%EcO3zP26-UpW=7i_Xdb5` zEOWKqtIOlZ)#+*0J6LKPdTESxxij*wb)U(|-!y*&xa`kPQZW;VgZUoAzV8s$L|5|c&dL;!ejMvz~(;L16F(C z!k?%Bil0`|Y2Qvb^`8lXe*kk|qQot^U_*RlDSxpT3AfD5_+38EIY0hGfNXvb4P5k_ z%g?JN8+s25eB(xE4ms=?Whj@t?VK+^dCS5{^D zf6A)euQik$z7#J4xuiq}o3lL+1_-nLE@OG^h=oSc;$*tXWtif4MRf95v&aG`O#2(x z-9L(@DjN7&bQz@6pM9m{cqe}!?A*Ne`rYn96M5ggjuQDj=<|C&e&%lX_`Waoc6q2K zesl_Sy?#x#`nmtT(*D}0yy<;^o7w4l-FuAvt@oMuah&V-ay?qB*X#LtklXwEb>+6R zo9g?0uHW;vCojtV?%H0uRhNu~uv8-V|$w1SLmm3+yt$w7vbycIiv2?5X zM(0W3sGoU0mtH2m9wt*+UT%t$9NT$$5$<7uBV*t0k=i_3D&gg{oYC-ZlbAT5)y@-! ztY5HhgxB&`2T9Pm-o#+n|4e7SNT!v3TQL}Hr5w#Sso42LB-tmL+L2)7s9( z@JP!jx)XV8BgYHg>XQ9MYagcjEbXbxab>P^b)n~Ak{!j!s)w<2gu?>(d-XCLCAQdR zuC?ckDG-q&GkAr8IYriO;Tdyq*6MWoE=&_P)0J0JcLiTo>7^qd&pV_fc?8`0g{U%< zNW?mwjek=CF}F^tOH8U;zz4Hncc}Jb$GsQ}u8*?+nqS1;u zhE1AQ+M)(#Wu#0x@dbk3RayJ@s+o_?rp$|t2r^s4&YexMDwo^k9Jy@@MM%{{T=5~V z=ro13y0^7dNR3tH5{@I(e;NkddSnfTt>l{}HtIRXDN=gt*hFjHhZl-<;mFM~XQ+rk*uWY)V3Tj>OI>yu z*!z3-ht=?%{tR_<_iS049k>-s$9}WW=x7P+_R7l5365ztczwRH2KAsxs`euq!o>cH?!0NMtrGcbIBa0$UE&L#Wz}9&a~V;xmq#g zgojkxtvNnr$&R5GpgzOXQysx}9{C&NP9HZGBa>Y^yPlvI%9cjy8Bm3@S6Z}p{X0f>2K<$n;WcwhYLU0-E>d)QCM?tEj_#Z-aIdqd(nhirv} zUBmlZBO}Ikh#}@U7+{l0%V&hqoTFFk-szrmZEML{w_CJE}D5rw@-Xf<}S%6`sQGfng zKNo>E^-U3^V*M)4J2ic?ajZCjDLn1AaX+xhGZ^CpLj~ZhVQiPzjN;mr5;+LKcWVT1 zssI$$`t*O1?)Y!Q<)}{WzD=R;9^-b^W8kj|>1GrKaVW&HWT?tO?NuE}gVeRVPhPydu6pjNF&!`P*cF zSKQhI{Nb0ki$7T!l6fr->IyYfxKdrS&$Jwk4Sk%ZeRV?Ht#dt*@iWCi+Md6Zg7g90 zYH_SjmDV$4?z$+nEqUQAB0P^}B|9-eUFh#153L`&GY?ah96o z$A!tpTMiilw*@%`|D4jqAtyzm0Bu=w;MU_qQ%J$ z2~l-sIfMNfn<#Z~ge`-Rf{{n#f#*RA2ffA6$GXW52E=)1sRUj@YwY@B?=pwifVxu; z6Tlc8ick@M1}CD`Q~1kiR7=p@Q6&pH2-Sym`K1ALW0CP5N`*yAo z7L8EjbfIZ1NE}bM5fYzj?y&C%O3iP6)AlE4~p)(!~)^E`ZrTL#Ep}B($L%*6?Ya{{a{)oBQTnkrS)6xWroy-2)_WlEM2JV{6ce!1C32|jLIiW#UFF9SH> zT*A98NwI5Z6$zBK|2RPHrvRDvk$Yo@3<4caWCCAj!QNeNc-Ix5_||xYUr+zeSa|ua zUp)wX-acJ$)P9Bgz2C8N^^$EFP~54R%CwYE$+tLw4uG^cDyg=8GX^3U5Hp!Ir0vz&eq4lOjs)RfH z*l;WouCu{yO|!V-ylr`%ihudtB5J@9&jZN>RoZFe(9qS`d}}o|@DZ#@9Pwiu3+K|8 zC)s8v*yR`c*?P~19iaZEY)z}VRj0EUkuCr!285dhajhgl=v0jH^ zVkzGtczE*d-IV*(1I!u=2+Zu=5{;mR|6rCsX)LBu_lA<9v2uBLVnA zt6+7wPo_`?f>J^sMzVJ(V9`p$iNI^?GVeZ$I7GTQpsq%?@)7(#^P&BmS@JDgACPW| z(?PR>US+>w!QBJg^uMd-fhe_;Hdz134+&1V#h1QK%TW5bBwT@7$Q`J*O>~QqAXSO% z`Ap0w9-V2(lOK{&-oT3CJr=?3Zq=}(=1%FMqrd}%d=nuph9*}j?@MxN9VijOcc#{jzYhWM8t3nEkN)a4zEwN7Y3XfKns9bP#n4(1wc;}P z2H(2(%Ak7fvw!a!JGuBWCp3wg8WyTP=oF?AG!(QOb00Vfg5uEG1`1-N^8DYYQMtgg@2jQfT0i&q zrHk5s=b-oJD929E*IwmHZO`lZg+SNaaVL?!zLD~`Y~L&c$~{sH2I-J;aIi?QK`Hoh z+XtsR_!!LD_!NK46yZR2E=Hj-Jk}}ar3E1Czc84H5~K70c65?YyT)8!%zLXhO$Bte z@V1CJATSB7sE&m0+||Yv?aqN%7&i|^+TU*gPI%f3#tfQX0(VOBQqa40GNXPC8KuB7 zn}L)Z8yRK3CX-K3bnKRs-v7Azl+P+)$`@M;3IPd)6uu|haMc7H)631y)f>|y2L^?7 zgG5-xOeF|c6hCuIIauPGSeRUF%(k)iqfF%{ES~^G0*i{ny+Y&uW_m%X!Mm91JoeD! z?pU|k2Wl5l2tgXZ&b@TIQwhU{Brpmq`pn>lc<0+6SL5|ORgy#o@u*-D%F$71u;m-n zFJ`^Swz=<;t>Wn!{kIODwb19+_pKZ@RxT1a;6T0y$jM6(Vwxo}u)NJ}b`>|fjjzYe z%e@f)K1^if|JZl3dk|Q>(f?c!==wTY>HWSQ|I#&OUS*+8GR>$EiTTOLkYkFdp{5|4 z3kRM7K8ivW0T1+H<6}Vg79g#hI#{T=&2CV1fyibE1h*lW^!3~<3XM>}KiGEwdeh*} z>&=iVI7Od1-1)dAO3HI`XfSwg&Yn3O?ERCKX>Aa|tK1caIja*Ryg2!4ibf&LQ_EU4 zpk$w*rmZmTkOK4Pj)eyl-K&BI$gcA&vtou<1A5oO0J?{kPC=5ZTj|Kb^}Lr8KX`A6 zb~Eld4Wp}#1_j*>E;#<>MQW3%huL`jftAxqf|%NQ$;0dm%8Z6TCu%VB#!+xWK7P}N z_ud!HH;wif;E6~G{S^9R`T=q+kJI1|8Kg2a4S>%_ubME^9sV-;=!ddkJ=xGTNQ(S% z#V@!6HPz=IyB{Z`XKRfJu1&KWPxniORUDh2_?m(ya>_+i42ypQ2^Hs`5q<8>()4H@ z7|M+|l3Jg>Jxp4t30}OA&$b~%%8w)@hAZ4&7w$bxx=P+1BsS1b>L!Qz0Er58`c2Af z9?ww!y-Nj$u9qs3O~*|6k7g_ET;@uKLWApIj1sqR68{!0R@N@eqe&jjgBoD8Pqt71 z=HtS^f!W_;C<>}^($aiQ5<^1Oq>31VkASI@@CXnK)vQf`tt;B_tP5`;j(>_49dg2) zgN}N6Vvgb^i{DS@=?8yQ$Lwc;;AhT38V;ox;V)1RGwE#@GjdA^hw$|m9S|Z7B7dQc(s-*ejg}vZ~ z`7;4Z?{`7o<%uH1J_pkH3CrEDKy9C+xJTHqwxW+ikN{V34ch>YkZ`BxUYzey97cvO z2v6olW-Zxu47$DN*5S^HV50;h0V%J@YIvOeqjs&aif7H-yYi!Uw@}CGPmr2RV zt;I$^$w<^SGE#u504D@|mQ259pQA^vmChK6;|9>n z5Rq0v+d8WPK8)I|ojNXg&K}tZOE4{P>fQ{PdpD40h%FD^U#VIO_)X(lcLgMHs!Oc~K zoOcelP|Jwlz~bxRC^7#!LPF?m7}Y0aQAoc!)HkH(Fam@+9w3P;-vwO`gg)OTiliV3 zOWeNg#zCzi1=Wi{r0EeVZq_0p(iy;P(R+UM+w3JOW2`+C}^j>xF;l}i1&VyuMrYw z($`&(hPnONYEv+DXXBQk*>VLJXOUBW>>pgNq7E0waOf9t|0Onj&!ae37t;IPxJL>k zptTLyjtZkZmr0+(ZMu~&m5)6PcK-O|E~xgAAgl-)Y5c~Kbgw_cUt}aiM3Cb`W*v?@ zK3x+$tm+WN#RXyilgp$T3(4VtD=gXz$zwc1Q2BUbY-^kZfu!&80AIt)T=Xe-KMt6* zQFxC)8AjH*?3*C+pp1kgm^&DOpgTsAEN9XewWqMy=>~~P z80qe!pJXywgocaU<`4w4{R`=JH*u!tWxtR%?67vb=j-pqd*8{QMjRM1;t8LeYVc^d z7>uVxbBbT%x3JN1Q@okE9|4b0ej4}CU+Eq#5ML4;Uj{k!Of#62ew^-ceZjSv9_;d8 zef;<$JeNuQe{D9;f8|Ws(7O>E#d7-mXJqFI@AB=#Rpvd=&vXSA$Cv&)1&?=<#tzK_6SGlG3IK zJV42@#{vCyz^S4A76Z-yv>6tD8BHL{Ad*QB4}qvypAGv594y_Q)t#xi?rF8uM~?@%D#) z`nW0~!Tzl=(>NVL{4a#F`CN-vg_fU4cChAa;@O*lEY0;32GW!Usn_>Cb_e2gkHjhU zEK5+@pp<3mxBTHja?HCVP5A~Ev9hQ$I9H5X*w0eBQC8$X}{8Mde4iJf?!8bW*YsHr}4CD>{aUrWD!VWrlnY)U4-?d`F^|Vn8R!|=*KAa#6NcK)jf0-&_ldg4_bl{<4ES~&d6 z7+EX(9}uQJAW{zj-m^}J@~I=hn7D|&a77WGmMf2UYIm)O@640>7@Zp=@{^$4knT20 z;oJ~F$wH2RJ=vB<0!7@Xr3rLZ!?STD64bEA2XAl)=$Qhip+&4y>X+jBz}M4C)zh>L z=PQH1V9_#92AyGA*1{?N$eSa1Z8B`pAfzFn|ylW_H z=37CmG#N>q81wTP74NSo=m7IV3ENWWAGgH&)4>MmdD8acBvrR#v5UDI;G8Ubi)ZPG z{fxF1jH|BdIT~9ul!`+^)c9SGeDe5|qFbgSw@}7e^}U7znZtau@6lvQF*xWHnQ}j}a1% zw0QWZz{`3+tWo9u(~3@FSxI`o-YNxr{x13&v=&JsgJ*INkhOJNECcpx>$~yJ0^)Ui z){%KjC6WDCrC-j4|3N}x+G{kAI9P~Zj$aCXK@ClxVoa}0`Rrn7)|?QV2NSAapg7p~ zO%X$f=}XYHw~>W)*CZ^HA;yVp1k@n4xzB>}-XB7`V5X|y4wS9B4kuz&^e*c}li4Jf zJl6rZ6#gJRW|;@%0@Nt{EuP+XA%DLeLS}v7v;;n5Lo-ryvPB`zX*liSv}hqL8W;xT zYS?e4zX2i#4UN?0k|`fi@s){idGP(Fhs4$*0|oI5k;{p@RcYt;epcr}0U?0&(H<2V zY8C;N6}aVFId^ZXznDDe)-1io+_gAU89EJ!udLQ-a=ufPKr#;4{^f&DrspgeT0C7e zZSj}NPE%_Egq}OBRk%6+qs+~h#2wkv*)w3GNN|?xns(q(^5dlNp!gz1<3~X#Q6b{a z#Rny&7I+hWH8}DmgeQ&87=<{X&mX(zHHdPdiyoqm@6FK9tXk>-{yL)-fS(lwiYFEKlrDiDcupmuEodsm6km^CuSjye7l=9>{{cVZioQ3`EqROIzWc=y|tKPOH?0GO;z=%bm zk+jCat%hT$UIN|xrgQok?y@H~h|G%vU5Db9<-v#GMq$NAwt#%VW{h6K9r(^ zB`)<}iiIPAe?}Hi8iJ^Nv&!*|j{D62oZ+MTPl(D1wy?P@6P=<=oW60pRzc8t9WN5@ z2TZG^H_mth^`%O4t8|xb{!$sv{7*uaR7sb2nKghEAA8MEi4|_Iszq>X=QQZ+T)Qiz1X z8ZbK%Fxj(Ju&gPU9v4u5GN3W;vEz?ux5;MRvBOxvjDsF5BQ~$6-SIA3>T*>!Lv?mP zW0Mferimi_x)1JPJq!M&kO?U0dWpLvBww2E3&0F7>}-x~ZbHF;`*jH{?fnhXp_6~< zESJkxaT|XdZDA_x)5s)0$6Quo;lljS9|YZ$)88_P`ihYvAvX!v(vOU;5WFy{*3MHN zmG0TM7UAPMvkNJd{UDkejOd?o)=azL^D!Ql>-%=}-`TeB<5sKR^V=v<@B62E?alzI z&-b9)?;Y>Qt4cqs-ftrP@3Txl-@k)IJ0G`3AO?QqfojMwk(O!VEa&Pyc-m*|;HVq8 zfpW`)KMTZJrXjl`AiHj;y$N}UJ4c9H7wM zFndYWszZme=QcyQ=7$-Ta^nqVfoUB)5fUs0$b$pNL8w)bGPHxFda(GXdKLw6e>ozv z#*whgOrAjH1$o07gn7RbqzmC&$Ob#plT?R)EM)J?0?G*v=xxfan+XFr>gd3KpLy&e z&cp|B)DlQ`S8;=M2@bt1}M#u}R z^)|tNCme!T09StYrHt%V*uT{Vw6cT$ma&0eChI_$j9pm9+S5Gvy6)4qFNTEL){vj- z)1Gej@`{t`O^9}f>H4p;Pup;}S|Yp^lWLMRj~_2?1joHIwlgAUU3ZsW7wor&tZ=jd z`0tkkS1k8TNdl=e=}lSufL4BApo`FD&m@&yTXE_MirbM4=9eFNqV&-bSq>cX2`H{rjVbpe zx5oh*e@@3z!GBlsN>BlE5klGq`%UEYaraB#_u;6paAK$1=kux4iviYKgNL88 ztw@>CYd2!k`ku{;sbes3D$t)_g8~*7w*BO2u7;=Ggu8;DmdFg54txYe-$)3M!XRRD zP()2dh?&^)_iDMA_5{4J-e6RA_+a&MsTpNmb+ljA>1`!7)ft>hk$>PTY~Y3uxZ_Pz z&?R@YT&!Dn)&!?*lV*;4uhOt5SZVy?Y$AApe{AYN`m3aoX<9P6jy0q8u8GS8(GH2* zd_8!gLv253Z)un=U9_PSJMX`~Ct@lA-?K4n9N<<6$I~Vf#redE3qfj=G(dQoO<38; z3iuri&0vm&L5&Xw#|R5hv>C-U!Ked%!Fx&UO4>}Qd;s;pqm6fc9`Xv7FQVH~T=UsU z2v-mgAsJ?O;qWDP^_DAfF_q*X#8>*^Ov4Z$?&o)fg=P-;{8JK^CV;45Z3P=z#b$IM z(6T0ydGQP)Bb~oY_YX~YX(GX9?&I{4y(D!Vhm= zEVbz{il56c_&l?O)cY$AwlM_qxEUIp`W@`(QZA2jneH^=J#6k&nf`V`mO zi!mK__QPx!K0|3bhSELKanZkfQVBm~D8axFeHz}Cl|G0-7AbhiE->(5g zqp(WE6^6IzlUaafLu93Y`BDtXJ42}l;`RiZH__C+RhENGqm-?I~vP zD11{fKP!bz^yS~@zKx&O5QyIi4s&AbKjiyA#=F^uKeC|?`M+ETnL$_KTPayzTZFHw zkqq_D@a+%ihgF!X~o&9P^7nXX#01@ zq;jT3={y00gybNef_a830Z3FNvXqFK)`JfS=f>m)g7#kgt4>LMQ)DKz{^^~_sxoy{ z!&)ZLd;^=-8uA|u$n56e83Ww!KNeY&fk*-+YbvH?J@e<+!bY32GowkeM?^iI=TdCU zQHFyMMRI*jMpngY#J_*&BGWT?oFtnv+S!I%*V|O2|7^ZCAlZU0fjAEOOk?IkJ+QA< zJ__)K)^@`6sPjgoEqeS zJMvC7aY@C96^=}r;{4k=i{^ldjPgVegLaG}uzwi5Rfpv0?0ZourvrvpHVrX_XQh zq`@+#395~7|73qHBL{NjqQYU_mKpO(D)L4K`WvE?po;>`HT({ZAZopdWDMcfz@@*L zm^WcEu4ga><*j%SK6wS@ZLF)~{fhyNhpo{3ipgZox&fqqll<3VcK-nlQ%wX_`}Fl8 ze>r78T364UWQs5Wyi{x%Y;5 z#ZhM#WkYAYw6E#Jx|IKyOSGv(6xF{G>DRy&rwSvE_(`pA<#=CN;%f0le{KGFkIc1+ z7&zGO$UwOEU;+c10qGK7e2P3MtvcOjz-Mu717Sr%N}hmIelK;IrK-CgW`#BQievQx zbJh%o=kmh`42{)!kP_A7T>IOZvhl)pniqaE+*7pFUymE55m$nR*5}*npZ@-~m&lrv z7Vxww5B7S0VQ#NrDRZ-;VKYxM{jE_-dJUoZlhmT7evg90f~Qryi3{PUQ$wak=`Bu> zATc~uq^V@Off81`43MEq-jbXj0KMdw=tWE}C;r!MXXnxYWv*0kI>N{as)rRoA2K*6 z)oGXRh!aMX)Ujny(nn!_PWZO6i|+Buaz_UyPUQ}j(Ih=(#RS%1haiF7X=`V>2R|}c z!-SDz{LB-WiUY(HeTEF$t<_jS2yF%z5E}#~J8~+a<-%AR-AGz{GLDiuDGLugo3jZJ z52N#uQq-B652ur;P*rlr#rw``I8-f3w@7vNOHrDSRxQLg*ArB&IV0&o?lf!YbAm}l(37) z5Ge)WKj=ql;FR&zKd4dxh^u-jap;gyQ38fnETZgE76l$C z>PIagu*^DWxL|u4959EshRz&g(C=4WipZ2sD8rbo)Wy%dB8)MVSCq z!`D70R^B_YYu7MK)xXT1kpP5|oqhHJYRmq*lreo~!x;hQDSZ}LZkdUnxu>sPXTtVs z32>ACs=_?#bgxF~=9*IpkoMr8hK;oku$O|)BkrI{0!VNtw1E)nH$kR*X6WJ(-wJgF z|7P*T^41E*In-+SD}~cvB!t8M5M_KcP#$i|X%h5#O6HN*&}GslIAB3Z+L_8c9pk1V zab<=fS#qqZbBnZq=qdCSj`i?fpjF-@-vHN)Y3P=rq411b&MyqRGE9|J1LniAE$zu& zVseHz3MpJRZ@UJpGu4Doaw9~`_n%5_)n>JXo^6z?8g@Zw9zi_@kGY(%R>2UFB*vuX zT=kG2iSJ7Zk+=E5)|W2ie

    K0Ir3WDX{BrFUk4il(- zt!ujX>>TcWZX6BFY?! zj;6k6%*QPYm#HW1W8|6fC+$bmb-WUY_*9Mb+4X#JKUddRmmyg*;?8hnx92 zA>&QDJA&iRN|j@=7>=qJ=G1L~JvIWZedW-lMzM2#4H~Ov6bB5x8Xt#;W zhh56xc+!n5U)|6Pv|sk+hkO^CUn}?a8&2RG%WUO@7$p9-0Q@xVdYIy>#KUzk{71SM zQ*0c)@(29Mk@KD^PmULl*F>tgxNQ?_Vl z6n#zV`{JPOlJ;j2w`h??okY(4r0aXCPqF3!ce?MgZ)+F$Q~6B-@3Yc2CDF8)^yT`0%&wyTooBh9cO$!Y za_0XU#|#kmpv?KFe~Q=kq<`9tUDEvWx8S$PQ$P@8pWFNW5BSSz->2RCW?!$nmqj#v z@7J%R`sd|^ZtI;nvHiS;>K$KG^%Q@Wo3y>zEyeh26lOoB=LeTcS4YAa=JRYG-;Th; z{b9<-7eh)fx;-e}i+`P6^${tX0w6EiSJNE9^Q-a{Y?mCUM%HI9k671Dc;uTRxUVRv zC~Lx}07{zsEvrOK37xfNr8O1N2TeB8)Gb+hdN*I;-Owev*UZr{6s$d>{SRwnRM zlXAoA0-ltsIpr#D<`hJg_T_uAS6#FwCb&ebe&rqa)j>B;`R*>XVXAGPP^UMWcrn2! zEJo&Q@rZB`{%PSu^szirNB~|^zYfuBt#m1l&nGuWNTuAgBRL+d{Ndc8 zB~D^l1`wb?_6UB<8!y5}s?R!Ef2zF0=n+7H1i6R$Y2Bcc^DLM`Bdw1OM#|jo#HQ!- z4W5l}-iZ<}$MD-B$Au$#aLS-k=haQr; z83i$s%G?rA7&nZE^25i-IOOs+k-Gtlf4wO=5g)7pXW83Pxh7`Sde3hK{&3X*>D7ei zXpmoakVNvEP1g=msNnX5B}R}LEyT1g|9TCinoZktgE8gATtP@NU$mj`PXY@%h2bK?!DU(hF`J#-##QNp0`c0l->Dsl#8x zWvBsAjJq<{86*r=-bs~m{t&};!-BkkOk@ zi()@fP5L@8gbT;6oLP64s6r|n`K`ChT_DC3hGRla+Le0RQ(EE*=Pxtr54JQE5iJT$ zw4dqFg3Bnclk2)1FzvNE<+UNn!#%TXz3mpE-5^yB(Sa!ol~jhxrC0{0;;{nIC7~?y zay@GEi#DSP)<4KNU5h&2|3Io9m+gP-uQUhtJ=gT88-Aq@Q?V@}Aga7rEz%Qxkn=|>>|U8kyo6&5Ye8sVgi`bao|et(~wCCvL$nz6ZmM5&K}Z% zg9UoF**qlOGFlneD~jRg zN-Qv%H%ue{EeBNE>&L+;S!%`&%Eq1939{R0dUQT(X~YFzIqJtP)=lha8Vq<`)U&2f zUOd%l#=-czm5mT)k;1w>r9G;~22_d&>Rbv?$hnWqrcz0xHgIgRySuMgph|mR;>`4i!djJFqYnAV78m0%HM8CqG~11SrTtW6IkQ z+>uFsad&CNamNHyrswkx&&(cY#i>OCv*Ii(SH8(?;hFUA?49WfP&9rQptz|?4PeU4 zK_R)w46=?!{<~Ta%gvG%H?A3nV*{hP%QS+SJ9rwSFw!2tggGg7CC2snnDhCxd8PmP zagpQWHQn_pBIk2>-k$pPICeRAr@Y1Juekm$Ku_oPI&XgjAK*oeCu~0`-V((mY&-G3 zj+1@s&a$&pzqO1>(En7t)sE@%T>04ok&^1H@QX*iK3r;B_^h7g%gK?r#5!FP*<_yV z_44(1%9}&nY0;I4-pl2U^PfW1o|nmlZ8!SoqUuJbsljkl$+I=r@59@VD|^M5f9b>e zR2W2Z7EWR6m3ZsRM6&|+QS$Vo{}d9*kxca&R3`0cZsMs|&KeD$K|MlY!# z)ysPFb5d`XvKpovXB#%N94#(Jo9k@Zsb?5)6wHrL3<&BErDa3%DagDj%;#TTi`2Y6 z+k})tcunC=XDIo)*YJFpx06Z(TiF|+ze09q(56AqvuAG~ly6s?jr&$!Fi%?O$@qkF z-tZWUk`$~?9agY|$M>QL?Q+o&3!eX=d-@VJeiuOUxzp{f39{~`h04-BmT4=P-*Si; zXL^E}sjWKt@CkY)WP^{2n5KCtk3qs@f$2VCdW!ztA@6bCzoL-JVB23@KOLZNxvDWrk-C$b^!a*B=JoFo?>jGJGE`Of z$LXt}kp<@yjG?EieP87#NiKAg-@~cdBlS{<11MF*B)m$hQyj|7DnCNy)-3^ev1>{k64Gb5Ir#ZRL&{4wKJP5?pa=mho64Mg zGy?ov^k4Bs3?Ru?v==^uZXzfrv4`(CNH|{k-{1+jDB&k;omrgw8lWk#zmxev=rQrT z76T_!(h=LC$evx^)H+7_I3(bBaY4ZP1fu{!BjK{XL%T%<`FX231xBiBsw2t{8byu(ow`Fns|77$C)(db^=bp+?1Z zCriHcrES6~XX>sy5I$Mo+pm#we z`=h*U2I_neJ;AK$8i7T?PWqsR zM%3yaDjsMpd^*SbUoRe+??WNc{@K^@%=>-#O0Vt;DfzJ=Y_>KrE5KccM_p$lQ(15&e8eLr>U^bQQbB&ZqVm*QG!M$3PS! zZQWOE4JuEIvwH<1KX8{nCp!F&6{_9RFbR~?q{}F4zT?_^_!Q<#fxMFoR#u&FETeVL zQssayno~%aStny;#~2;2)0P}8DC_TpcHt~R@3Age@R@>2o*y^m7>YJ41Yk4VUB94 zl~B~CY(y z;)p@}AEzK&O~uWNo?>MBx;N~4wQ&A}Pc9D+lgN5HNy`uGNjVp@&*1aTt6v#%HoUi8 zq>ewWM>R*;qq{f-(QQjR6&7&cgDxJNnpmiKL@!9Q^Le1}ITvu%SJa3(ObzDkUTPN< zUGWxZ`VA84`->yWV~P<)HawVfa610|rMIf-(vwOUIS@mwBd-Q&{H^WeXBN!mEf zx$trQ1#$j-J665FMcU^Mw(oXSF#5jFeZ@^X+hwU>lWP>yWG$bJM8xB_1jIx!~9LgjZufBvhEX=-aN$+ zFp@`*go?90eBb)#O_W+tVfK(Ez6D(F)}%}&Xt|d2)pf{H*&M;PQPlsxw<<$4iIboc z7~t}^VBd-G?6^Cu-Ac}qxd(!3f&w#QQq{%tX|ooy#(?)#q9VpTq+6tHP&UanZ5+WR}Lr_2va)kZ}!b7UZqImV7ZtJlx%vvl3_0@=dct|PQv8u8%JVx|L2HT7ZD zB(XeY^gPC7;498D2LI+V#;}Oj$NiPG`Qu!qpW8dca?QAf28rk%ll6})SwFWQR6nkY z)#t0-uU4dGtl;sXC{8@WSLPnSaZ(kl%o>ws3wJFX9 z3?XokZ)RLqpyxFQd6~}91S%x63}`q8?hWI%v2f?XuhT&a#jkd!BfiyoGY!NLglpdJ z<5uqYG#I$w+$E&fLtVD>x;JIpd*RaI9Gka`#{1pR-6#plKLqC6)`Mjf7AeH>1r)qK1ioz--|`sU!|s;Ny6^GH!OiIb@j zV%L3rH|;{tch80w(9WYRkF!A^qPOA|g0kT=3ibDGM!qMhlFg}Huj~=s(UR1NZ0LTR zsQaXV&E?zq6PUF0n(#*4tarw!1t-b>_;}#V$amicngn5ku1Wpx)YibOVW0xtXy+|P zqM=HB#2X$WdtmFeO|792AXV8(?~@%o)d3!&9wyM(P4kBd1cP6&<8Vl&n$PxMVkfN1 zGE)FKuyJcdQY!eMveI+V1*a!}j|=RQ5$ht+c&560BL@)C>OZ~D-gqD=^JD?GqC-p1 zHwjyb6wP zGHhQ{m|&fO2=3(XB27FGGdHtq*=X*fI>5t9ai{Zf+UZ1NHkQ|1B8{!(?dwi^nL zNKS(r_C=>hhg51gfd?q_s5|UOk*W|9lTY8B;#&V10Q6iG4ZD*1l;Q4J%wsuGc4qtB zG`Iv`{U65uitjI&U29Os!jy!jTFy6X=R#<2P%nCJMc`ilxz?bxrLei9(P_yIoEKUm z*@11G7|Z9XFkBt$CAtLMCqZ4?qie`*RjBVMF`icDl3BGFG(!&HQuz<#54X+TiIM)J z{X^`|>$txxe>c+KLP7qn5gkjK(T?5TZUEDEcAZVXB4FOxwTqnG-HF)DTOVH4O&>H zD=L*6*U`+~8_5%na& z=(c*fn&0T*_f%XmG(f&B@G$|P<#@gn5N(snb^dTE@zvC&N&Ms&nA@nov1reO1fddk zW!%w7p-Wdv8M(QsL&w6oGe#m#S_ga$5oOK-lOx`wsqi0;iSPJ+OH9yR`HG4)D>IJV za7D2}LI5ASmpKE8Orm>{YFqo<>5_7<-UfFlj5&~>+6W*k#&Kg_2Kcu3`YN~o!e;~g z8p0^Gov}&}wLQLs1L0+sVA4t^uJ!M_GxeP;2 zOCH_hhit_t#fm?Z=akWwMWcvinOh!R>T-MopEko@VhSStQI?vWUHJC6vaMiy+a?vAng$2$7Ck9SJ;ct%SH`L>XZF1xa=|-7iB2I~ zms$+9yhg&4v-biCw{As?o1I~M9kC|b(xnw22dzGxWxzEd1I~e>>sqTy#^bfjr zlGoKMr_&C1vfKp&ZA`sy@U3ioGyG3b^3ddV9Weykznk3~^_N#56(z8TYaK-mg}lhAX>~^H zs-$=%*+>G57)=65*V3a6WvZw9RSDN8x)5<<+sV~CzTF+a(`5-urmv)jYuf$e(q8W8 z3OA%1Ca|XZelUGP$WMkeFq+vp*68G7N)ytRN5kfjk)8&Kqf^WP8MSY5uopsGk@CW& zKdqXkDo7ffRJKsh5dFOiuZrvNJVj3FU4?a#4#12NLGd*+dW#RYkfMDg`Lq(eKQLqJ>x1Y4B_AQI!4OXXbczR`)V^vIi2-bWbhT~vJpb#O5BS4e|JCq zomG45KePRKZa?Nd7=ozWJ*;{^pN(n2sm96I2m;50+}n)p9gmZzmDHzL?ayZ~cbDQZ zfMHSKhDZMTLcRJ!=E`|h6*(k-6#C@QX@NM>iQUHuMF`?x__MDc!449<(&38xwD-Vh z+WrBm{RB<60VJq>ko9044WrkGJ(!{vu?bRZs7%-{2+Een3Cbn6YNi^B<&A?xL{fpJ zk{Dy&b%x~?oqTnO57Jn(mb*Pja}3&fp6B}}v%Qv(C{!WV4!9Oh6bN>*igih_J1Vdj2%O|9Q6uo1_)B4Z9v) z!0O&G=)R$v?rF|pufTIG@&g_G*?Q3&%O(A0Fgt{@Psk#N`wszk4o>`;%1Jr<6v#lk zY7{;+2r1ho(ALiQH8%MM%=L;*`YTK4@;MKMy8o@Wid-M5wgvVBFh1ghXEPF*B@y80?w@wm7b76MymUb`l!l(I;g&} z04e@>OKa_TvoLK!{Cepqfoj(weRMiN z4YqL)_J`yXbMGZ4f>XW*B`l?fRrdo7uc_S3*CglHU{b#GAu3!Mta?3u|GG$gdImI= z2fd?s5Wf33!Ad7jX43rKI^)RPbBJGwz%~yumGqP51yO$^QfN0!34t;vOx&#$IS+-u z0;^YNgiqL%iax@dm~o_!=%^0!uY^xPD$nTB0QtOVO>3#_eTre>b z5i^-u(YKq|HkrzI*NcA%n|KoUdTYJgiV2?pn0IiDr(y0#2I7&kWwbz~FZaSMXLqhK zJ~&Zuu)-`fO}&C(NzGKFC!vT*6RBeI{lZM&={;Q`3TK+KWJNWn@TM(KCaBnJBLgR2 zCszFj{!y1GK9+K|r(DPJnTd~9)w3TeOKTBeDXEcxJG5)=@d?XfP6JEbhI!f6{hq#r zR4~;iMu#gJ;7$Vw$m>~31@F`#G_b{P)n^V3@U7w7CYnhTI|gx_`~BPEAVfF4^DhIS zLb9&N#FhcPCfN{GY7~|3sqLdDU9nWWs~GoA3|71g7gvN_ zMlDS!ksTK8h9Z%Tn@IvJAGO${wtpUSIGmFllwx>sh}s5-!vG|0eIWW9A*V=kOr*86yE-{^$HxlP-6lcd7fs!d`?@ zob6nx?^iVk%eGfO=l#)X$^zMuCwAJ<32pD%BnAD3SwGuKCt z=SH4UlO3~hXW_J%@79&wbPe6 z{o_90mV`E7<9=kPsJ?Q8N%7xU1Y;PquVd)Jk5D7`#G=`kuyI5ysxj#qP$Li^Vo}lq zV$m&M4?wFIzG(I_z~OnBKy+$6M-N|g$`bkND^bV$0pQjLncUV9@cgt0HS+F>LQdug zaQFV2>aG2ktqkJeg2S(43x&L9`M=`sdw_%9ooq~>`x6RWTxJfT=;g6$_a`CLNU!X3 zL9EF8ZNH$J)~zqR+O4mt+T7Ks?1i^xSw+dR(c8V|i3hXfyJ{uZ)~M_?VRu?Grs#fl zGMDD)cVN*=QgRP;c|8r7-z|-iarQ~WhqZF=jTyj z_onKv#Kd#klcW!0oyDXrZ`H&Ooki+xd(}Ul9py4zPO5+8JiHxLu_~&4F3V{|pLUac zPRnWDebl)}A6vg1>{RFVLlfT)%ZqQ+Tgy-I?B-b8%1>evw}$E86Dl%Zx~nQO4)ncL z6JH-)RTFjAr1ZW1-3))mNXC{t5(#hdd33zJ%AHlQx?lCUj_`=!M1;g((q{5e9p4D5%|61ONVo23LWxuSE1;cnTq-4I0 zv->ZaS?AqDe{Wv!qDX75*gd@7tR-Wc^?5zsP6lzm3wj8B9u^EUvc9~i77TxWkR5zJ z?@Ez*-L5Kqdp+G$t@k~dthwZ^$GR0hmyr8(zdN&ay<@xxdTZqE?y{o2wDGzBbh#MN zKR#OaEpf^JD9L(qb-lBE;TME>`s@~Y{`W;u@w7@4{@D?VEroec>p?HTmYf(M1YSyi89{{h@EF3tY3+5EL6{H4KYCP z(;3!pyn7RH9qO;_ix&CzKkRuo(`$a+4+E>~Lf1FVAU@AbxR1V()qdn1l$;n4bL%Uf z)RaTO2D?KOJ+IVMiUn+ghy4K)EtSrZ+$I{dFONghEHYo3e?DI#l|3{%TmV=Y;!23m zv8iKwLKDKEHIsi`yAi~{zRJ{-whH1OS9Agn-&!9r(LReU>zhqE?CtP7;^t}*UQ3*M zO@M;!U=^m*!RcV+JrF-qf}4n=8XMKE)e%$JV;XRt$0v|W%CtK9@h740kUT{@W3=H2j!Y3J12Y${~RB4C0X#1-meNTAS$fK3bC zzEatCZe;evgsTr!)7G6dy8+$lqOLx#r}=Dcu5Sv9tO<&|`Pd%++iRY`8+R=cw4MIE zf4SXUJPe%&M`rmVm*Ck>|905|WqlQJK3rU!-#>iY#h`cJ@k90+98IK1%%cis1*n(3 z;#g>Lk{z>^$$nXGsej8tfeI!pAMHX+&?O8a*EbXQG}V&s;>oMvkVRniBq3>~`N0z` z4lhg1F*VMfyp;%EGEFqD&%dK-GU%Ux_xhlV#8NrS?fmx(DHtype)4COjkU|#ewiG9 z`6=@K?2^5jbi|Z&fpL{s17RS(HaP~4YOL!4g&aWytHNL&=Ahph9sMpH=2j$}QWpNN zqA7TXCv|2w@{P(0)eIp;*)p1V%x{PyO38E284M!DMiPDZU5fpPPf@dNY2`Pq_;2^H zsYJ*{DlX*>9MGxWR>ci`L-@*eeL)NXk6j12DvKsbf5ggI_^5Co#ed@A1dPAh!bN-s7k6=`DtkGs?T zEN5CQotSVl-jkOaTXV9qw(oGgz8%DHczr)P z8;|6D+duu+f09W=|FM5Rm(!O8WW5AJTBB@C zkb@|*Hm;=LO;C98li9(TEsXkR4SRPU=U*Jopk1C%xgO1;(V67+<0*;lKN^+u;V=z1 z)DO=^% z{Iy?pmL9PMcV;~2h_gQm{P9ujAWVB_gi2#s+Z&|K5M{ zh>8nENkvL9wWiN_WzxmpbDi_CK$=E^Pj+LlqbmK86_D(le73CmL_RM zH~@+=g0GAtdSk#^N>42#>EyV4RDPTqBtpoze8vH1zvx=~H?UdoMuK%B739bO#Q?i>BIm*(Qc6g?s$@27MAxjy2ATcf(`Me)zo@?iD z+-1dk-j&iSY)`?E7oBNW* zc7^QVQ^sq8)ff)eRK-)Lz=~&`@4r*~h?cke)WkdR#a{{sI%a(Qv# zbvHuMoPdXjj>!S34wli0!-y|1Y#<3B?rEV@iXoPW%C^|1c2jjcFel*Wjs^W0nx;}m zZ{488fmU!KikDl3Dn5OPAp5SxK8^IziiEntnzq=X!jUQ)a%#5lvrd#B7%zrFkA=u1 zCTBXb>z5GjecpqH?<-Mu!2aAo(opaU|IV+LL&PZU@H_2`JegXEvo)@;68eIMTMeCt zj!P9z8M3Z%Ox}io&ry9Rs-rK-GB^jL7B<(0qCS~DSz7)&3DgG}7$O$ORL$%KN4N2l zi5^=-5d?&K8K9M`)PW=c`q0X2Df459xb!g9gURhE<^X%Nv=pyUobI9$(3hxkO!63A zbodBNpEQZugICzDY|k6)r7(${@vfFMC9mln8@&gnqX#GwTkMH{HVhni+4(u(m|{ec zylB!kT78oD+Tq|{Un@0jpSBY#^s8&OF5d(`TjgzIp8{YJUy68u<3gz>u)ZCSe#`~Ru)?9x^U<(cAzn6_g9}~2iV&nkI!C5 z2UxOfd0No$w{6nrtR1?fEyCcUaP2DsEfepU1LuRHM$MQ~tTAOLsRY=-r#jEcI2K&S9m9^zo{JRQCslsem+qK zSd6$89I;vOsOah|=60!JZkXKArNm3A&Jt?R>@M>}@nJBtKdISeaDpgH7w90xnZe-QLRDeGPqEmkf3XCpkBF((>{u`&pSmmY@DWJ z-`q6#pJ^ojaQ_<4vU|*H(};Z(HB^!iwiB#M+n#CYV6bi|GwP6AA>U}aMX8j_;L{KE z3PXoM)J8}!n*ey?F16+%_EE2PkKMxen9M&@U|F!H=C`l581K$1dUzLxKS4lVh;rXu zC1eA(`%sLZVyihlRyocVWF3?hd5W96S|)Kj-%rOKfM6Hl87xRvD4_vEfJ7-{fyfU7 z77|~blS%GdP*CNsN?M8q39-ut9i&>y*&v4CyQWhAd^Sgw^w#HyALVjSP^ONR)%QD? z2gpZCg54KrLkOzp)+*t0Dir=5Scs2*BNAHHv)-9+@e-$rgN_+aBKDuW%gv~0EOImS*JzkY*$Fv;1cfl-`5LTO(%+)EF>Qs!Jo7 z)Y@|Jd>xK$3-)kmDqyWq*!Epnk{C&bJ15<2@_hMoq1Rm&3GJk`-sE}Pw7HMzA&uAC zx_OtsVf(1KSLyFFcx{umC z>-~!T`pXHcX4CNj1nULJ`yrDoaWp+9rNg8zKtY?($ET2EJ7I2}%xKZgp8OdH_GWU% z%T`0wn4oCf0Bxhwy!Zet=?!@3Oaa@DN~pb6ji9Bn)RNxQe2HB1V0QZnT7*5=xmZ)e zd{p;wBe`8X-JT?AF<4wZDm5+U8}ImF7LM#^GLs<&S-)>26TiSRM%4zElMfIId5fx4 zGpUpmTvR2?V*qi1bX&}w7gyfc5jQ|m&O-)qa(fUtcrw5kOr{UVba?L zCwBi<%_r3C8vlCbY)H1FzbXzR1{AkX@E&C@QjF)JH#H-6WKCR zKjC<;qwzkhfQ2g$0MS6jg2Y?(Itqexr#>P>%hg zriI^O*lfd)K%y@3BogaruiM;~?3<3)QFym*4L^vE?-)d(#uIT@bvB?5g+gb}?~Bup zIv81rClXVD7>y^{z?&0AKghQEHWDCYM!kGphWQQ8YRYgCJ^&xL?#GdI^D4Z!mpD6C z0%N7HBcNU!k{=09h&Y^dK6g~YLd?`WiN=PX?ZMZ&W@Fvamr1U)eX_h zIG=Lw>6BkEM8Eh}h<(M`nBct^L5k?Yi0gvnzSU-5E514@&)46b6djyjbd$9^_`&aG?} zX}SK^<1q)uVNv6?F7Y_R9Ol5h{>%(zcy0ObWL;~*d|ha1h}cJmlXkuLhqd20`Z@G| zw$SU@kg}TGQ0npiG~?wiv;9|k6^qBbhhsBPac7NFBV#h8eWUeQioq()a#fXWXe1+Q z!ejPF#$d{xSg~k_&?d%wrbwKwZ=s+maYSd(vgB+w8(fcR7cL0dUceujA?6tlx$T~h}#p=a*LB&MRuGj_~x z*lu>{I0$?iufoWg-Y)03Ul2K}UPs0}Fy*2WAv6S%A;mo56E1&D0)p3%Y#dXsLTFSz zvV{Lz3!xdDIjB1SN1Rc}z4MOWls9S}DbFi5&oNB)C6R05t6Z;M+E5AyLvk@@?!#6tzaCMveGctFu_Zonc9%}V?ZTETT{qR_l2(FkX^3O1LqKwb? zHasRuu`fqDZr|dw%shrDjs|B=J*t8BI;kn4vs(qHpx~)&Z9$tcf5wai&_qrC&=J%2 zW~!27aK;#*s1G6Y_e|mSnIUWaA_DFo4QXm*!{r_hrR#&>6v-W<>L6s%+qRNh@2aFl z3o#;f`f~@Q{+MJ4xtJcG!HPwoouGI@!+!Mo9ZFD*A3s62!-^uphBniwd5&Ql(2M`n z9svALX}*`L&uZDmI(E)PqzJ$Uv|14tukMi)ipY2EH)=b}=u<+w9PW zWBGTAMaGTnWuwj#DYPekGH>a)-8Bs94qj<9-o)n7R}!U z);@i%wozKseAK>wWPJcjKwUAk-Ps?eQu;YLpUKtRS$e|QQ)DiGb5hmGK4h}^OvY;z<;k$xyOvb~)VR6;{ zkb1NK_dXSmOks)zz2{=qZubZ4<@P7#JJw0xkL{b$?M{B-PrKXWQG&;C{sIwh;M)EY z{f1@Msd~LaLKT*S^SD6xr~pEff}vs7#^%zfuvOOin!u*O*4=QO!gz#GM()4t1PLEs z-rc{x-@^*7p6Wv*;z`N&dmrVh+pe~G}If!N9%mPsqkIbW1HK>YFH9K)#CEW^Tkf}sYXevM-wzA#@=PGv zF@C4SEYi;>TA!~IeqoaOl(fHxT<|%aWB8`5@6VvWgG`mWvwOKU8yT%fj-8#y zPvwudJ>XPv@hxB4`_<#}tK;|0iNcbr=`YpN*al*e)t?#<2|_EIxf(aof6h-WA*}s&{o)^=a+ybJkgVEw<5(-y3(_;2Tf5V)-FoTg!B>Csv{G-ZeQsO{P0* zz~Cgr@9H-YrKPP`Yl=TV(=H69o5m@IihEPTWAH~8+07qwLv_axTQhyi^`tRX)1_)q zG8?6sX7N?ZdXI(_JlM@Kl?Hm^b+@)-*Jx};s`Akt68w@L#l&2nSi|?7rkI;sHV+t~ z^036;P~w%DeW1b*A;g0K?x2Xuh@1;D@r1OF$!$Nk^%z4KnD zdMe(`f>+_-sNex&N%hKQpb65P983gFgeesKAruU5bTPdmZjBwh|Mlq8>b4C#$L&9Q zt&?dI0O=;PXQfKP588--8xFP8y*?k~C2v_OqOW(0{ejnq&7$uyvm(zI<)r;D*T9f~ z*FUJD!Jmt(q91qfI@^Iaz{l;6rw8D+=yUnhcAx+2e!EHk`v-tjYgsUPy(9k9dfTP=mUFv z9OSK(SNCp&s0lZ;Z(8xYUwYdc*4Cq2SZM;b9pP)+MINi&+8#9p>*sl!wPsPzSoC;b zJ6leUojJE;KlT+88jm);w-WLKT127<5-Q8`9G_^HxzkA$`4zdQYk)1nPqlgU(d(pM ztOhDGZMwcGacAKQy00#jY9`PHmIB0rF< z)7znH0r30`Wp#gfts&3hg5J*}i9U`x$6?q>p^ zDR_7eay6h?CGAM~aN23vK22cid~+#*`_0yAW!W*lcj+wLq1h$p5;VWwLtIIk=hFic zxa27f63w)zyzjtq>9$!d3p(dsTRJmLws_PrXlh@1GWI3xyLr6{{F5X-m0xCl*mu#* zn;&b-=f-n*_|BnaVzd3;XGtP(h2wi)O68z{uyqmeFTFGKL4Uo_y5-4Z=HR<-qqe#L zOF)8I*V0tmY7hz|DppKW~am&_|b(7aP`-`Gq=6LdXT|X5K}V6Nnz(*{*<}@9mlwB zbg$cVbO7j}W@^+lpYy&o5f-=S3>zw82LoC%wSRo@8-h2w9o*Ll2Ht_c!Ts)$ws^v3 ze#C(J=CcBpD)V3v<74Iot^U#Gc7<`7%zJoi4^{~d76kblKGhlWx0lN_tpeA&cAqHh z4<8+VLjYHMMmAKFlj2D(Xp2$y-x>hr7bF-nW8<$jj&>w516(m)lz`e>j6s{+$La#G z<2@ymiwWewFE0S)0rwrwj-C2KZmK;es7kNL%omdupPs=-=lOJ zt~VS%>y24fFz|bhlF(}T@`(Pd$+KHq@P}(Rz0B3}G8Go-du@3tq=J`HI|im5oB-F7 zI2VuByK6^s!H$u{0`x;6!01PBI2_I#KQCw?!f>5oCE&fneAQSoe5ARGo^6=3A~50k z;9IY4n>C6P&!lyPgngS2vWeK^eqh4q#`om46+$++2?)D%wB-lR_Vj?jZuy!nU;lR6 zxWTo^u*&s(kvYT%D|v<+8-v>1*kPZ#Wr}Cz+qq^vZnH4fL@6{bt(Xt6;XdzHQ^K!4 zpZ|5&_kCUyo4k<`>1~eB}SwMg&=%8P@j#YQ^&1+79$FLDqw{=+2xS!Vd&d055;&%^&{N zqI|Tq-36u%asJau{;;midxu~bLJ9t;WE@%$6EkTMKfWfO9h3-E+Oz*wo=InH2wDG3#YLQs3g>LGh*y}KI}YM?EKSU~&*J4V~EF1Wrx zFqe&^zJi@v)2x9Wubu92U_!Dh4FV)((dCY?HVX6Zo8{_0%ofwtm>AJNSHy1a$MaB0 zqs4CeD;1y{m@;MVS#b{BcE#>eB)^dmL+Y6wL@^j5I39xsI7Qy zmxDP}j>^$x{$y&TOe@HiA*Ou1wemeE-G&QlxdF$$Q5xgl5c;9~y4+_|TT$WPpXG>0 zDSv-EONMNf`KeQZ+;gfnTsm#tJp;NUXbPIyfnP&x*2-4$<_(xgo6CC`Ya%sW8R=w> zHfoxNYj(2d_m!(zEoSDOn>ib>+GUE;7j&@aY)pf+67r|%C-e3XcN8ja;t;)hIb;oa z-eWb9FB9QBcw2lddb`q|3wpe7-~N2B4tY8Rh6Du+Vf8V+!#%@&E80&DtvsXFKgmAw z6_0J3OXg>`O*kGBN^Sse7>bQq-GKyipka3+j&+i7ly0kw^jLf;umg95ZIF7c&Z+=! zm}qkc)_{Rv=9Qu?yM5wy(izz<0eNgl-wz z4jRh3${)}NyqjmUFdN#ybwLE>q{RtNNoaswvI5f|KRvQw>{&sR-Q)t^aw9C&M>|ssIRK+Ia3Z~iq4I1_qdr<2#TIpD?=?mZ zyU06}Ro_iwl583JXlWRCMIK5H0ymCxQE7Py5EF;=R76r6xogNqRb$p?xWmm2x;>oz zGKKaqeQghUy#SDYK0J*3n24@V&VF?dK!E%YE~jFyMRvR!jLG)X8X_0u@!JfR7ltt~Q~OtX=;*@h5V@i z(w{3dFbZ57h4OAjPA~KzKF#*Ji>v+-MwmdicEH7YH`e3qsw0V$Vtt$wz5UP%HlOiw z2nTd@Tn}qJ|JPP2UoB~uL21)c(PnkZtCncOLcVdAihL2yYhMp;VuUD|aaXwsx`-Vo zX}nt(N_ljwaZ`%YG(mET2pU8?;ylvSi0W&T%nj<=z(;4M?WWZUlAUY*)uDsJ`CtHB zVzU0&rb`FG^%!Js*4FYX29VgeTaKI->_F{~cMb+O7-JiWC8a9Y@6-nI?|op&g`Zq( zvQe2L6Cyox^z4`<6Z;la2g`JvJ8r`ra^@%`Lj)Px-a+&Z#r+B4LS`2`tZ{=Y;fhN) zZOk0-{h*MUW9M|umn$`F@D1T?@T84$z}u6N?Vul-c=_#+_798YC=NQ3ut^tOo@rP8 zzA!`gAg|*YK|^*}zeT`&8D4eLeZ4$JdZ}_e%^?8=BTMy+ptb1Jy)BM~pz6RdCLzo1 zz3Dz0maOOvI9UqE>IV_|*4uM}C>}W+8b_}qe@CG05f-q~h;gHNvvRF>Wrh~h#e5I! zHtg(2Jjj1_rdfKpg!$(qL8u}dfUOM7 zoYIps&&3%TxZ`@=wKqk^0}kwuJ^9d&?1WNwe@;qr0?HgL1&`B zoi#qsh8H(_Sot)6YPCqGUv@Xe1a36V#mBeF*MSO7tPI|ZVIFpmHW$gbCB7GGeQ-wP>(ue=%G;}( z&a+*~mtV(=b&J$GEBby*8j;(v)|$S6)70W@KNHhI4Ga9uG@bJ|8BEU~Cd6(0lxCIS za!#5_p6d*|Z+_(u!<AD->2iKrhXk;?YLYGuvyb$G4}E z&sN9w01g2Pr_k<%_Nji>Nrt6fPDbQ7?WehVo9*iER;}>e@n66PT`UlMDZO71Jk-b+ zH`W7{$Hxqk^pKighiN2GS*o>X*=HP5I*H}M^4!G(h`JwdN%o1X-yeE$k};+FRE!aX z2&tca#KPbj~4P2tJ1q|xyls2TfIoSp(BfO?D(;mbpj*;S) zR+u+ckdwSh;325_P*2t>4>{@ERCE$m;7YN&Gg=Hatm~^j0In?v@tkDguSCBSn^pfs zPBVE_i+zSang&P0!6qQ?hJ-++Fo3~EPsq*4KF9atHnD7;2Pgbj2oFqZ9sn4?I|gku zez$enipn6P-dpzWa_SXY8-KXpNy|{1yEhMc>yA4qJ5BSfRRqtQg27ym0*01Cn#@LkVv zlT{|fAslJpnI34mkE-Y=D^!&gSKp$Zt6bu9K>YTbTF+*{k6fST7mfbXD`O;@^m{{8|fno7VjYWZXF1ubwkuq zA#lDNxX{)2SUgBLMn>ES{;Cf#2h9qTRaW2jwA1K+w@_$J66NS=In(uoz^2wJ{kb9D0krx5F=9=p*Ki7DxY+{ zAv9o^`HTTmBmf5UD?x*bAYG7%yV=~oZ^pv|gMMpQic!+a0A?TJ$I6|YREG0hK1UH| zS)xuhP7_mo62vlTc9$;Cc)QLKFE%Hk`^Ovv$V*InJPSu3PS^?@rDvvr@zNrNtihJd zEXXhQe&3Ag%+o(!(K`zq1)73&1w(1ht78~DoeXct?~Rv&QhDyw1nAzT0ql<52P0Ip z<(%}|4j76*b=SMeHV!5r7XjRjgAwa(QQYB0G|{!~gmg~@Nkwo311;O>e5{p@SYrGv zpaL^S?HOl*2wv(!gcw+rB4UZa4A=r3xQ`)7`MC0N>~!L1Ha=N-A?N_X};UA2v zSdx5G@uBCW&*A153Vu+~Rc6tRDhBG)BCzaT-fA>v;{4AKX@D`s?n-SK@F86P1=XizA0_ju-vLT@}*%8&1H)7NO^ z7}vZ|#3?42qCrnWenyTuu!1d0a`iz8;b+0qleyfbh6Ku>x^?M4I$thDlftz3UyE z%^xDOFT7r-cf(IzeL8mk?80;-n2x8%j5=kL+8i!pHl{nsfMi-~I=4Z|T}YZ}W7_0; zcABp4-NaQ6`JqD|ZO_5&4!#GPZwaGD$nKLaZ)qx~^o{^8!*hlZo)!?Yo*!9tuZ{mLE?rv|BE}Ov0SoARr zYJ(sX->7XyJ*v{$UBMlYc>h-5>kS>k%es8rt@eLFB!4Udd_umswlSu6GNrii_URf%T6sfmmT?7vP-&%w_jtVa zQWs+vue-|jQYiHC$CZrl;>)ugYo%*}y# zLIbHG#1B)Uc0y+Bd2=>^n}RB}(I9;3CQlERjXNa;Ocoyv&SmTb}%-<~;&a!$$v zkFh9Mx@uPXyjqH)6ifKfx`}BW9ClvzqF9*`**VHPaz^vJNzTwWu2>g_s^$)MlZfX- z#-%9(>4UNYHozm%tv<>@8oY|}b*w4!w*@j0OWYCOR>9jGuWd?KwVR$3n2X~zm4|rX z0sN5=Bl~z$$$^@28mFd@a#F>L$|RGe@^UDXn+7g}l|~xOD{gw0+{1T}gr|Mi`&?-? zE1_WloXqWE>@!g1g8QETUBc#+aU%Fx!Tn)B^?fgE65UN*b=fgs{h|r714Y@gKW*X2mju+X7V@F zmM+c*FA0hnritMEDC?@p{j?vJ?ESFA;N+GSb6=;O{c3FdbxjM)5fzoV!}RvBD-A4v zSUV;T4|}b(Iz#JCDTk2u?T?h2Fwk}2@WG)K#JK7k?#fj{h!sS8UN6e~L*5s8FF&4t zd|m=BKksKkyJCR54XHm8y7(@xRMSX}YPO_)P^eM=Tm~|f7r98*oL3BIlTo64#%umr zB*_r4j*w~cl_ej?0&XeE$L@0lScOI~&M)VfB3!6~g0wpB-dN3s{dH(a`To_VH;sGS zn9x1|ba7 zLb>)_{)A2V@VI0HX^@5W<<8#mWLX-#U%Te=Fyn3gzqc9@GEr2)Xwhu{VFpJv@LDMq`Lc-=mz#CdEt159-0HH`iK z+RpiYrgkUPTeky?&Dj@{iU~ukDf*1ZYdpuko47{FY7X2bU5NozKQ_z2W{elFz3EVU zFzl1nTGim8=9~G9j5YgF%)w)>IL$kot$_E@HIREe-x~^FZtH6St4Zq>?wu5`cr?@Dk%uPGx zlu8uZuO(Yas55gE^v-_X$&TqHQ^FQl%eShZxDX$mD0X_|uZP(cD)1r{*W65rDo-vg zkGv2=0+n$M_X@$AD0ejgq58_gC#y9Rf4lBa{a{JHsr7|4v71&7Rh93}VKNv^a7s8O zOh&dTZqlTSmmdElR-IB3lthShjkDo_KsPYKygmw16a=k&!#AOb(lS@TuC8fC2F_Mi zIWpM6*B2MF5YLOE+10~~w^TSHSQEzPv*4|xO?woSklBqJG0#?-I)qZy2gyY&vdTTq zbR>jLupy+ETN$p@Jt=)4dX0I4{Yh1!1zmb2i~F=X^XZW<5P7950L6K0Q%)^Sp-+7i zYesJ${VNmh8c$2p2YZbcR)|*8E!Kw*xEjO?hku^_L*>&2f>rnnxK^cC%0L=eIxipS zfZ#tiU!frd$1BkpFDvrU(LsK40Oi;CLQQU%;_fW@oC7M5^#umr^kG>uUrws|?~t1g zD0`<}Rbvocpxu+^!=*MI^<0ue212LP(K#Xoo=AI@o7B;o=U+B{OCx(KL&9b3Bzbyq zCQNdHghb!W4=0QtKXElNR(x}K%$yAu3Ln`h95_9E*S0A@x{u4mww(>b+)=W^e{%W8 z#4isPJX?j3f1#vs(6d$so;Od;Uwm6BkkxH8up)uxFan375yPuB`1)YoBb>K?JqE`@ zrJLPDRei#sP_!)_#j8Gv9-Ew!swkB7l$(Ac9Ak#dyahc~{~}`@g{WZ?Yw9w*R|3a= z@%!NHO6ow?06?-ImPmLG4omW5`Vy_#HTeWK*87%p!||e4mTiEwnm@+o70Gztoq!Wm zp0MNF?rL!Ewvx28bj+fhfJC&LB!*~B{e(^wS$mD^jF7hUil5)MtTtY%BQtUNJ@@d- ziiMc);q$Pb8E3&w0bFTw{da3Y*bFYnAsxNl^E7Ocvtwzv(U--S4Od0st)9z>pkWVf zyn7PMpkd%E&OiSuv}_%6+`DNwGbLgXt^U8GGJ0|mepmNg z+0VAP`kVHDS!0MrdD9vOM6Dy$-xhu%Yt*U0ba(?-h17LeKYV(hO*pF&Ag&$*wdJKr)2Nwad8mw z>atX-#DDGg?`$~Hs*h98(XP=S0(Fz!D{y!JWpm?n&^s} zsjC^tnY1g+)CT9%6ELqj>!y1NH`!tetLApyiqOd006G&5^9@R7rb1iGhztN5uR`D^ zlbevDe^Ffj(;n)SZ)rq(qBO1QvYTK#`E0C-com5WV{MlQ5gNJ!Kt1+#w9=Hzy1TTa zdV`O|uT$}OKPk2m1nXgbxiVQv7d8%GwZu0nu$MOs71~9p-`iKdT#g#+^~v7&;Z`g1 zPV)a>0Y?NTXSYWEgU~@@=kA!GKaL0D7>E<2%F73i-?DKa~vAec=Ni%Lego#JA`l^6Kzvh zce&h20_(d5-h#Vjtsz%@4=gQ_{Ii{lNwEtW;&E_)-@5Kp(5s<%J}z7>zNvdYNJH6Y zd9@DH*Fat=^TQS=!3t>Nn`5#^K{UO}>>z9UW}57V#$f-Ps-GaEp1?g>VrdxZ%)|a`?XOGTje#(QS_mq8@J@ z-JuE(`>;mzH=2XJXK>GXjeF_3pS!lOkI`>ab7EOi;az*BS(?>FN{xi;C=ns&m>2R{ zA_eIA@zbiN=x(`?ga{~fytqgPeZrllz^`q0rAEC3{tEseI~{krzfXRtVV+|HZ~ddZ z0;?>M=i4d!oV& zmKFNg#ok*)+|w&MITE^*^&ePXjXk2BTRP7t&b@?ArXo*d|YZ-^j)C)}y(!VQ$VdO(;JXQ!w7^^}xB6pSHlsW(S&0^YSlF zO$B&q^{8sV^9nWBre3aM>kQ{FI8O!dJ1&9GIjx0D|C+0jd6NY4u-JqKiEfR(Egr-R zfe!j%3|i0|B}}@`1}n!gZ_d9?Pt+azysN|MZ9A-=y^NTO{m`I0TuLgzJJ6ODXx}0b zPciJJ)GRdLi}wNtliJO+Gtzao94$s|8q(_OrYFw~Db@h|N2Ly%VjggRq9hZgyUTAd zTs01)zSH5Z)(+2Br*;+QZaM-jQuGZyA$e2W_o8>aj?gq5hxbM@tXhhQTrp#?OVY5 zEN@HD?jlrh@Q)kVM;?|1GSAemgXb^x7Sm!`vM|;>(r&Q1Kr@Bh?XNaNK^bA7y;GasVV~#ZP{gi{ z%!F}Xjdb`Ep<1t+>?KYr`RXuZ#QJ;wmmXF#C$Y zwO^Cu-K{F)`Y>t0=vN2dp(7dWWOemPw3j+Apd%NB*DOyfHDjjQt{i5h!Eh%HBq7G; zOZ$db;VFmhv2VZ8)0ImCgvKpzB*ryT8s9`Gly3iFrob~KJPO0DX}+Z&J#tF&LfWE8-ke#W8Eqp_ZI(r2P<^X2rxquNPoFMHaRU&l}pGdZ+)(Be)i zl-_untsbsa?$kF1s3GE+zPUiMTyAe-ZSJx^{R{7Hww_B)hY#(=dk4bWYy8_7bL;)m zlds*V%~*9aZfyg7PUFj!<--fOb=Q|hSsh6O1~`OamNr%Iuj$>m&zq8Hh7dNpFPyvU zkFSI0)S3X$8oN%Br8~!|>+d_9QBt~aW2&|3z3XBxl9NMGsB)Kb8*T!ZdpEz`vR(J2 z_06b04)x14D@B1FEt7@Gs67sMLEfhO^*BX4@qZ~T9jIx>ab5ggXWE)^)2NT~iro-%yeoYL|cHUD8V zgHgS`{NdAS<~Dzv81QQHYIGg~DtWK}IDF`TnK}FZ z{BMO1qEb8Z*?8w6&^kFccIv^E@2EOA*?zb4529Y5!W*9t2CpW5EuUr|p!$|it=7-w zW^fZp7nxU+kgtZ19z^qZ6u#o+51Zi9uh#5eO^DA!T6@AbZ2HLq-qK_Yw4|dv)Dz=> z6vWzY4(MyxD2 z?Zv3n68M{YLbSee$*oR=Q`;8Q>9Ot_^lf6OQ}tk@>ZVtXV#y_&EOS+kDV>B`y|#$T zQ!Az8TSY#$x|gM+d4JWjV>hy6LW!v_k|W`{&IKg3I!PKk!|xGlEh?@es^0;)c-Qv> zZ6~LgI1)SBwKe9dF}z|4DlrVdhi(UWurnw#q$DW4P@wM`m%8rQuKqM;Ba4o%&sHU> zSGC!d#rNB;84_g}o$g9Si{Q!tFZIghwUdLoVq-2WKk5fFEF&-PS>Qp9M*F0 zI#++Ub^Wq-`LXfWYMb^FQfP@Ujv#UPl}Ar2&dbC-bq4B&k6$P{%K-3*Aixyxs~T(@ z$M{&+zigZAxVDplHC%=8WanPsz|%?e~W!N8LCL!GXgS{tcZ&VE3&2PjP><< ztA{l7?6MB*Z4?X+c6oQG#q(HCL@L!*VJyhVsKj9;rB)(8R30_(+)vY~sAtgTcpeNA z_@RuyPYxFZaf({hJ+n(3+He+?vETjKjuBb@2zGNF(-qEk_;Zv5du`v33oB{JtfC@W zr0+{WPI<^tpOY}{pRtcrb+I%+3n;%;_x#52?R?;ja{{jzZpdZ3h>*Uv<-600H z$hZ-&dvYJw=38`_dEIe#G(xK?x%O=qvgj?iz_|sxkb{Ig%0L|IXG?!st%z;){cFLo z?I%@e4tvR1JJZSn7!@}8&y*pBG0NU?{anJujMd8O=z1|ar-jQ!FR%Hea#G=li5WNi z_}PAHv94T-yah-lou8?x2+~}>NxWtadW&hK(ip#*Xc!W7mWfIi64W&q&}LNEQ3?;s z)i_w%@fkn`E_umTog!AEB)0&rxo|XnEuxx)DN3HNVSH5W-bBKmDyg@&lO~AsnohYC zhq-YoI^-X278qFM!`+h`=tbCY@5fW*Gm=0BT@s;(t*f?|s)YCHJeAKIknj7dOaJp> zIq3%ob+)}onCWpLrpi(goJ zo%FHm^GW^j@==G_jVxeEL~+#@NA&MwCZ7*u@NsBR?{Q)$y@6_#yK`nw9LV;p09 zS4jTra-Ys)2&0F@@aE)4sT?gm0q5z*u-@wqC40H_a*qYZ%%F41>(nB0)ybRJ$>%?< zA;ZUFz=*-QBwj132a^N4N|GRru>Gg(pBs&;5tVv}Pf#ni(jmXuhvw^5)dOkstMSR_ zow34Z{qo1Eab0Ed!l%IrWRsN&CfJ_KdqvQ<_nM%Nzz*t}V+0kwDZNtExBnJ& zu=6duj#@~AEHfi*Y;Qh}65K7^m?5w>HmRUz`Nbs8(jK~^WGMtpAgK!`(5=xXD-U6^ zy|O$>$GC}M+(~w&NtL@AXya_w=hgXMv(#To-fv9yeo|ia+31kS8`8yH=X}Xqw_;*% zSo_)Trd4~?7*yl7{1!H*^_e!N^?JG7A<)0tVIT7K2YeOVKb=YGb2gl|*|+!acWZqQ z;Hk@$&X8{(TfTcG)bTo>LLeg&JN)b$Tb})V$r62M|AshkduPOHs4Gm#&0`_QWvK?; z*X3ok4bMWe=DwWBG4glivBn@SLhSb*N8~J)DN8*v)KA885?;v;fhHp{{GoWD&>oD? zie{#W=uHh#E21bj3pIpbgwQVde*A{ff;9B62-)RLyza8=BnSxe9ovtEY=d?1<_32n zX=J9sZgd8({c)d*DI!*ROMz;+t>E88ajo9KN%ObbsSB$f1)J?9&Y0@$DK0`_WUZ9e zboWY62IjC5gLHusg2o|DMf+&ZmdSz`fg&#%60bK<{;xc~^MKq*!LkwmRNkG(SVB!j zBLp9(dP6;oST$W~n?nEZH0y^-IwZ+fJZ zJ*E)}9&;apb(44~rHBLNyaeblZQP2)Qi+L^amW6y(KjdM6rOlz@Ch2-q7!NAB#Gun zJIX}Z#fMp3ONyOeGvPf;;E8clYBlO>X9n^Un%Qtu;UmZJv5XI0v;EM&UQkDU_gt}D z-CIZ2NR4^QrFnI#5(vxHYpi${cyuRRhmq9Dr$rf7F)sD4;_xnI&1=L>s+hYH)UsFx z=22ogE{IdHeVDJhwyf2N(yq|2cEM#~D&zJ$9K`Ng`Y3I=Rb@r)d#zU;z~84nc8XrG zuJDtY!$q#ymB;_C`SUY2&AkWWIIADL`0|gfRrnhI>N0mM9b2v$DTlq^yyvVhT@i`i z--<X&rHmj6-lD(KwBkDBw-0I^6_D0g}3Rg#BL+pUX%6D4oGdEY1s z+5X8|m@Q-Su7U;Z{YwH_>*LY*pn+fJ;L5WeiXymm^=3VneX%F0E0mg|2soO-eyhvr zDkOt?HN(gtwHz||6MeYW3uo0v_TQ)nY^)0#cnlrpLAjSf<3t<%lmQ0$h{_XmnG`gG&+3t@j)e)-o!R8+~jBes8c97vt-0Z5WtKvMi@qK_T6e^&<4sS5M8 zBQY`Lv!9Z~smKl)3x?cjiQ8_3(KSQc+k8l!mAdVt7D$pb%8eqQoYCa%9(e9^Yc+FR zDw9FBc<%2eLP+adG32Z%W)p`#NRl6Kx1u2*>xAMDukD|27a-E@k6T$$h>^bcLm;Y4 z|I5GbqQ2)Bm+g>y2;0=aNJw}K&F6WYnfX(mN&+sTD!iu-GWUJFS!w#Blv2ub7qYn;=%>uq!k@y@35=*}af&1A zcxZu#C~0}PwKKm;lA`?cJ??^_AO+Y~(*7FB#!W?nNMf|ois|)Q?XoJ^7w=saiR9v# zFKk|M2Hwj&a%k-{&nOF z@7@916jZl)CH(V{?@ImWQA%ym+&a=&%p8Qn0iVd)VW0_O~?I-De*W?I2B4ova$b!B*z{kr5!O8|w7MS_X3Wy}pRTupk@z)7Ow$DW0~lDFY7*<(~ttFt%Q zTConZU{x-0M{qpQd{~(5Mb9!ra1SfD56Z*{>i>t4Mm%no(W_CZZ3YBym(0dY-4uYA z7J!+F&11z@bZE0vQ?s4kt1_HLmEY}26ti+g6lB-vtx_5b0GVNc;^aBGv{EsRi(-F{ zAh9;F^t!}~QcK)$x4LWKTUQ|IImM-WUEfmW8+rdDGhZyLXbe*to&?p6$gwiTcWMHt zxICvrH!%87Echqy#h}kQ_ng#ak~>Tn7gj7X)#j4ip>Le5=w!EA* z&u;ZR-^!MJ8D8U`jkkwI6wPp^6h0}Na%>HDCrd$$Av0qXj9a|!WvY}{cLJO`To^Vva!U9aO z8EJ|9UuR2nP5xh{)vvJMm-{lFf8{DrtUgI`^t}^-|EfY> zbq<%5)_yOYU^odDvb(Ac}q!8j-ylg07E1~8K z!m|E4UaiXNM@7@=S+73FB0o5k=*iu+LvE^quTP3PqY_B#HO;^@gG(gA(ZBG+t-5uC zZ6@~vJV3tcVw}8D`%Ql+=t;IAFS=_A(pG9;=p;TsQy)JFZ`~^34&Jt^j0SSp#OS0w zC@jF*u>Ek8FbEIdyFW$HIg7@!ss-B9Kl$rsa#XW@KLhgz97!WDs@oasz>;l4d*BaE zR?i%+{U|Vv>b~dzy6BQCPD8PjK3!s!OhJyXNIfz2T`aiEvr+iwltxy`(hy0R!%VmtPGpXN-ZF>yb|9l zrl9Bf%sy```sDJPxdf8aM~`akP$CFm0Rk_H$Sar6Rm`WBFM){$cl1?2vZ=r&*&;U` z4AFn{&TQYG=BKL(bHcy8ZGS7L>zaIjTAU7SInoSso=@T0Ko&_ILABX0PB>!jO3J^Z zPZ6Ib@9T4T^}{HF&14{sD4t`R2*Q?y&9L8BCOCAMFO_WW*IZ;fFlgjJWl!rVc8o zd)EK(>?~F~wi4^ehC&s4ld}JVuiT%{JbW^JnVh{+LlxTuZVl9$wDJ?B@^W%c!4x}^ zltKa_E<+lQvZy_pM=sp4*)IivLt6I%M0c9Zg}U7uwz162sF$(RSd+p~q-seLn=~GcW~0H1I~{b$jdm z(Iw>RA+J3oMphJ9MMY+i%qv!f4)}-Q?JFj{D&i!y+f;!?g@0fr zxe5;mtWcN(gNER&LU*~?NFVDUBV2PxaF?cI?zyQm*mKXb(VzygM5oTSjqTiScL$F+}cDV`{ldx}LaR-g^&rr9KQVDJ0 z_*&6CcqE*qaskIWQVM`Yu31>=9kD)qjVMpZED?Fyb-0m?PT`;HnKFQT0YnaBQRsUJ zQmf8V0%UUOVYbXNCDT6qywfMv!%QCugOh|VWq|Dm7J6uKx%x1c=+Vka%BHqbSJ)o^ zrLb3a&yufb;>a?3_7`j<%0}GrwMH+M&&O{oIOyDlmokPipHB)a%l?x)QauE&;;Utl zX6>UbR))$Vy;kQ6DpTZv`3}?xI@U`R7QohIY9HPtn(>W>(h`bSD*vS-=SEQF1}44y zv#Z0x%x2^dw+oID#FPyhB>Rhh7`-I%If%I32EVk}gEL+XM z&)L%NZygVe!4C8uoC@896^Fu%eOmYBZ{xwRdJ4^+!4%GLhn2SuDZ-g+$8e5)SJwk9+-6_^$i9_SO_ zsGfoI;IBS}tJ$0$sJL0FoTFXH2uOBY84VjNh@`(9cZJl$eGtC4;hp;jGn6@Q6to4X zc^H>%G?Zsu1T(?zJEcVP()no@`Z%;IQgxurE4G8e8dc8$X}xc&>nEx5wuMzWzS*?A zwwKim@c$#1q)RNW-w=#wk|Mq8XyX>3#*L>aHRrhqRBaH*qlusg|0Us~gf+5r-R=}X zpM`AGd8WslXM8sD`$U`}3uvN*wF$byv{S@dvSHYyLD&LWZL?+-%rRu?+&4Kr!4vmm z=Oo2H5NQM=DZiM}_Q2eUs$k^@YqL(}X(k3OO+^iX8~zrLPd`sc;dLNA5i*&={O`=m{6|5g%Bn5W8ByXg0TPPO%F0Fb^>2wde}9I2;Q+LhI3F-Gv|FFW z{i;eTen!}Gw;wNt2ukWo%5@OCNhxkevP7$+$3J>B#qu}L%6JZoC$#v2=rpecrvw-) z`8yV2EaS_t)*^H^Q&e9|JlhJ&!s)(~V=7_4eS-gIb!+^j$c#KB7}zY{|EzB1`ES*& z)7c6xd16>mk001fKa_-!AdzYb?97cdNT8$91hud`(X~nhybso#v<}9z_{LPvt|OrK zun~EV@&Yb|eGUWBHot*cK+Q<)2J_`@y`u*!(>C8ZGl}hT5{D2@FJ5o|6pJ+RS$vLg zmFNn7F~CzS6YcsA(~Sn^X|5n5B>cW`oedh3F&_a>3f!MOl@>O!QAFLOB9BzFS6lV2 z43IVwc2`s$dix3p7thstt1s6x^1Y>-nqa zZ-o?~jGxFik7J%ImYp;t6fa=GfsI=0u@g%j@{BqfHIi1vMFX7t z%jMp|po?pv5_5Ne%Gc9O7&x8pf%(3`7S`&J+f_DLRF;Q%9yefc5T^J$6RwKI{c$1! zLtHz8jCMfyjeXX#xX&CJ1s?LSLCGw&@={Z#@*@PCWzOpN5e~$;E**;=UGTL!7KA}o zYfqB62b9d0=wDp%!q`tPxVl?5)lqARbvyT3MC!j1t-ADA=rM!QuT$Y*Pi7*qOJzf$ z=b&E#+@aw2+F6i_OO$;?uJ){H&dE+s#8yDT;6?d%C*_WmDIpf@bP|2NJNTri;Ig$8uO0qQ|3?ZCqpB|(BEz}22 zcn40HjAS}1HdyEfBS!XXt@IYTgIbSr=&)rgj@h@CF{2UtdvH+{21%;7I4(E@i04|t=LXpYT8N5RRYth2o7#zbSum>K2bW&QqBaWiVB|XZ2jd^7F+=&4g;q@VE zA09Z}8{9m2KK2L*3HJ(zyzTGK4!`g2K7U*;o5ZZPt5om!urj(&46?mQNxFdD)mAF7 zf&H4NFJ?K;a5(FWv<}Zwk5m5!PW_%V@f+Qk=11fKH*bE)QSay74fUgsplD$Ghxtt& zY8<>XtM<#TF;H0ettEc@OM&)}iD+;zpHTbwo8Ksjs6m#q_Nst!FHwkD@H~<6C5Z~I z4zIB`1dc3(Ej^fEID(=iROMJkr`bSP(N2jn+c=sPU1avX-^iM;#&BkY@^ zD{G=|qc65?+ji2iZJQn2wr$(ai8|Ja?T(%9oFttSbl==@pYF^5KkSF9J;ts%YK&UD zYSmnG0!pFDMLL*tA&8aK@#8!LD_7)$9mE_m@@Xf67<)-2N6sni=G1;*Fs3}I<u_Z)UP3!5xxEOhC$C_f*3;}=NVXT3egiTGgGdS z++6mok*sg1%2H@4|Cb>jwf50VFuUx9{R;SAX=f3`o6fNWw3^QxiLJDWO>*H0#YU27 zK|qhXqd0I-v)sgX;LeKC%eIamNUi4;8QnX( zb3{oi(^&r{Re591b0ApZmCMN@`ejNy$;IHm1JWUPC!L~`)jr3p%DA;M$dQxu*@!$yoi+i$t=vC7&DgcIG>Vngdqa>7IJafl zDB=n&1{t4NN#&THe;69axj5lE$v?zK+%y$fTSVAql}9B-TmJUqKyipBD}N8{|x3ojO(v}&%M z`>%l))l~tGRVr>ZNC-vw%GWZ7!L`R#vZ0@trFS(VBYEx1&5;|#pawv{?s2PH-os+r z#pfy#yP-V`WV4Y=n6XZ&k^X%b4cq19^5=W{mo;MB*WK5kZ|0OY&3)p(g7yY&K zCiU1c-hDptY^Y%GY&U>)Aq$yzeV)1DYSFrIeeOeD#U*mw!MQ{i-!Y$%PpE9I-cN0> z!LULVFSuoH%+}sG&FaF@`8=dwY7@`d06(G#e`asP{by!yf7i86AqpN-b)x{Ootd%C zb5=t)c9Pe=ItCG9iHF7&g`1GSX@iKKa!Sy_u-E0b>hBWcdS3le@QPu>j zZTn5@{zpbd|HZf?>y|Fw&4Uj>&}U5!+wklDgXsG)NcG_qf5AY_re8%qnG%?XkSli@4`tT(eIBG@p}_3U%%F^fz6^RXV<*YFrM## zlM(y66<2`CtkoRoR}L(hf(Xs#yQYaEua}~iiaD?M!Ia2t18cFe4v0H1f& zhStVBeaeUaY(+^&OW>pE_%>ld&UKSV7Dqw-VjWuZn{xvNLGX{poFMNmF65jWXv@_O zgKLMC`~e_O4TF=-@@vrx3&BBi4@He1+k~x9WZ{KhJj0WXp5~M({<+$nQH0RCIda39 zEAZshTXTP;MMlWg#ncf+QTR+Ca{8h5>9vXfoI5(mw7*QxaHUa7@-FK)# z&#avjW8b7EU?PC`Svjp^jI~cY``0w>pXj-v%es^r}y&i5MjHFJl6Y0!%rrZlkLapp{JUq3`As{ z>=$qeLo3*v&=Km@0NX9uHk{^P`m}K0J9|-F^?t zDaQ*;1ILv63a5FQ-g&OEW#==jPVX0$JSKVi%Hi&Ar-r+LzvOrXmk4$gx6Q%q4mF?R zW=fMXkdqo2WsIxZY_Qv>r~|Zr#HG%C&+xF(&WB()&)7EYy??mRe*9-NR&4O^dBNr| z2is7_b0GNj5zf7q0p#hz^Xab2W-JHsviDA{F}dw4)%@uZ-Q*wp~;cx-6lPB}-w-}~nf@`s32?k{au2WDue z`vdOB>Y#&&eW{|N0)4*tqX5nZ+Twrgwx23X-D8vWr|KSPPRQ%9IsxrcwyK+9;M=nY z)1a4kG}QTzO}2j@cVFT!zJJzxLf-xYdV0#@Am$sbef62B9RC~n9qsJhh$F^D;tX+P z4G{x^D9%y%V+I3qhPiQ>cZOkshbU(crPzl}}ZhiQB5 zo5h4-;tqY$WBh?CZ0PBcd;vLsvxZ^gi>#Vy3jwxRO#cslTX{V1T|EHYCv(@q77P-* zbIhH(5&Qe=!pHUBV~v;?SLnaRn+KDS_w#qYuPJ1ITuSvEojCVmSx2y4x__=pSs5^dyjSZGO5C?(5it#N=ldrq@~)u~kUVoqerI zM?uCK0#Hl12)kx9j@*UNYUB_EP)p>w1tUpT8b7NlI(7t0!+rvepph_Q(dGj<7|^*o zn0_o`ahblmlZE0cuI+NFd83FIQKp)6{9=w5X9agMK`4K?&D+OC^AJN4^UZsEE!-KB z-s5Q_iI?{JsF5@=USw~74WtUOQlEcvncznYQPfk#gp|PO>C|xMV%j{QV!AH?Vf`OD zCAhJOYr+u%3T8_-;tQmPWKSnN_6SN+G?~Log-#Zv$&0k`p-l(jG)6;xVqoxc`N~p9 zz3-qO`wwK}J)gU|d?}V&MIZm(5mDQ)pvUco?$L#Y>l3`y_E8o0C(tE<*Q>Jfq%SlQ zQ{6bSG}rmyZlF66)#7Uelp#F1gz~S(_TQL>x}8!l>s2$G=~D+)ZAyNjQdZL)Ox`vy zEA69f z7@~dcm~*)*0S}bId)`6TiDmikz4&6*i+JY*lw+8Ngg`UUW?QV2E-b+)*2*P(Z0JO( z_Qc8h(j66GCVML-Al%$_cR>75fgbvaGSRXby3m!?CBkC!Kk@too{2n|&z43!It!NQ zBhCl4?HsNQLaFJ}I_Rq#;g(icnf4LnZ9Wfrw}KPIe#u%t%2*!9{NLB@VQE~H>-p9% zOds7Ntv7Yzj}`Ls^8amLoc7R&H%^(G%d66MNa4a;0mp{^TLq4DW2ut9aV80~zSBta zZ<-W&!+Snrch5As5$cDp;Czog>hVKs=FfIuSCXkYvxEFnDCJ;I9Wj&&H^(^&w2&z> zU(tjKjGt07%CtD#Wwawwy}?JJ<@C8ZW8CotXg+TIfLqI(t86ZYH_ch041u(K#T z|D_7x>ba?1FEjPE6^zB&^+rxaEph7jEmtpmj!bj}$3?vW6#gY=M>LuF4#Xa|jU_;& zvYleSsHZsJyoG}jr9hK_J2Ca`%8zOsp!>&|JlyeU=!9c3ihmBn0$~X&gm{=OBmzJH zbDu}mo}~&{Z{_IWB9udDM%-X&=m@dHDzUXqM>a7;5E!?GO4VTlu_;DXV4D0&%FA)Y zaJeX#?`eLGR0sh92$4iwDxad9SNfdjopoKp^4Un;j&tH{hG zJ-%X<{I23;LTFdfY7%erKTQZcJ*;lN7>4n0b;w=jyvu^p3ZvSW1bA@qZ`BAdetFDd z1V?|R4;tv%N#4C;QZUhE)S$FO>8A^%B}AklzjJX9nn5E?ONm7FN)vJ2b<~cx;!O)_ zlttEl&%)E0?yc5ajpuC+Xl{M;YP2<1izV|WEftGP%X4T%2A?AKmomvsT^F#^5rntn zpdoX;M*XHMB>ss%fJ^TR6T0m=&NJE;9cL}t^&L6C;F}>9FN7(DFcsks-S7#FWDKu` z(a39ocXLIb$dmsNM#_;|mFKcgF|bV#20`aI#ZBiT?G!&4yvZn_uX&yfk*0#86jb^a zsKzpN)^2$iTRNy+NbyDy{S$dM%iYsQmajEiyfDq5_?>^b_O=#m==MS`P`<31H0&iv(^Zm3N{p+TMG~#5buJ%CqgICMy3?vX6DALv&P6x7h6gtQ$<(#9w9SCJ(q86UitDK$DKt}|cfd&hdlmRb8 zo>mQr=vw4{2Apsmp4)Z1$GR8uBy{JFB67XvlH7k9MEou22{_MlV_M|=#d_f4&}g{jS7yNGtV)M|v%FN5c(MDW1Dx{hy-j+g(5@8MhMn?i{LdO!e_-uU zsY6IY(gtmKq2&(UODa|iK8>GDu%{vNjW&7O>(6{T-q9JTAV3}a5lk2I5|S^xCJvMn z2!3{nQ_evLcb<(Ib+Md;R$Wea+yQ>p&xiM|xYWo8SFq5W=7=3K(323+{OojV(<8=g zS0#X3i7{ODa&%EYwXr$cmRRJN)i6Zbc7wq@HZ(P6+WSC*n+f3Gyw4*1z)Tmz-kesX zhSaG!BX^X;aYBM=XB(~TH{jt(`P4q^Beo~#7G^&j@mUXHgk$UJnio# zZSmaArhwAie6#*4C+b}IDfyY1&*?3>C!$ptthq6j9WD6kd$-w1V=Mlp$sJ$vTwE9| zjxjMDrTwy83r(fc4`p@?cU_sfH`-i(m{oTyB?0Urqe&kjCxV@;*EzHnGo-b=#KvA9RNnC48$Qd~Z;vufewP7_{q$HojNTus@ zzqz?FXp;RqJXJp5B3_ZPpWbn)JGpRxM*c_s74(2!{B405ZunpHxCCX+#ZR8qDgPNq z_5$-6I6!sPPV#?3nUCzNn;kB+xmDRou5&V!cD9kMf(0dfpw420@7CMm;l`F9eb#R_ zfYPC4rNdz0LE_zv&*)rjt6+@17?{PirU`w+tfh_U=b7L%|AzAVfgoqlbM`+;1!Qn_ zhY!tL;M{=~tW?~P$`#SexCKJ>g;xe+*uPAJ7g~(m|9r!&#~_krDsP#)vLJ~9u=mpY z5mk$;kUBkA2uFT-K+(xtv!EDEO3e}wImwG^t}>n`xQ2ET&-?*@AR#&!4~ znRDMqkJxbEuK_ZBzUr7d7R6YY#zyPXRxNSuXgLh89b)*_2N^$2iyAG8pIzL`#@Pey ztlcUC3~~2GcF2UIBNLDClhw9HX1%d4fKr0dXe$wtdO$Y9D5@q5en!lt*XK0?_AF_2 zDxw_D_7e0Ld+OsTUi6kAE|jwqkqfdr+^9SK0=DcXKGwv%T5>T5y(!#tgTApAuYAfz zEgTVyQUfAdTqUzLehuUygNEFnrtVB^c^Tp0SzWA>v1fPHA;Tf^t&v# z3gcPXg`)`2OPk}z^~|)W!)YS8hmFd6z|?I~60Hbh4e7gM{N$FdE~9-Sr|M+P+0e&! z`T3l&ia=U>@#IU+`J}Dr^E4Pm_ArL9V5rJ;V)%yvqNGNE>R876xz{VQ=kiR8r1G4~r9_<|f4hDqX(-8QN()hi0m?3Nm_aGWQXeI=Rh(7T=>=5hW6QEC zsY554Qys7<{6Fe#@FXTz#xmjbgWq@YR6afdii z=#CM|d=~_u1vP6f*u}b_2N6bBJ-JwI-bE(1x1!Vt4U1 zGH;$vx|DeTxJ#Us!E>1f%jk`it|pV?KF!a6X1~xGUw}7X$DpwUw&A~Xe24o`2if2aCp^y^lQ@w;49H@AzC=Nyz~b$X z@3&S;g*GJk=v}XT%o-dmj4Iw{4SDa4xqQ-X^YCLNAT8XpQnOq7)%}|>ouP;pev*~! zw3wrc9o~e_J5=X6Aq5my=3{-jGwFd^WDPet+*K*xfnI1xf+ZWY#4vV%bn&ol2dW`n zKnGy`9K*l}$f;w|vQQT0MrvRKY1LN%8zQ=4>ujeh@JnMmS-qY$(gpdBne0s){_Czz z(mOddTf!R4e<>ell#?<0WKq%zy^(3aQ(|uea;Fuu4w2)BqGa)aCSh`( z@@;e0PxD5zHabZ?TPh5R z_FdsNMvT2lL{#K`cAIgA0o|Aaobufir&A8LRCroX7qASxh0IJL{QgK5JoH%1TfJiV z1INFj0X&cGrDFK!`Pq3|!(;6B&OkXBszP)h%48t@~DV086J@lJQX zG?Y>uBT(!DJh-v?c$yC3mPu`FME5;jy4R+n9Ak6fMLcmZS^D7@BFni(M% zr+fCasS-Q$?JbRsBQDgOmq%yjB`hVK(q$wf&yJ^9XtGcM$lu6xF=k6`;4|*ka*=ueyUp(eW|4s4?*_2RSRuN&t9PA=O)V$> z9>f+>ris*qjlb^)NVgs*g$Q^{IpTZ^(FBL3E&>oF&i-O+dH(GuyJ%~l95FA$^gxP% z4vYYk`qQm04b3HSr^qe#ZwTq2#RvVpnp+I{^Ef=@|NHt3@8{oNw-1MdL7!82ArR9h zf$!&YK3~7C>vyJf<+gbd{sfzru%?Pk6?n~G>S(v1^X~qc0e4Z<2=}CM8v3$y=!=uq zW*Rfq)STtQk1q#~h+aA-fWG3$8+2vz3AHc)al) zb9<1$U64n~1gV@}93t`-&u@nddGe|$wC0Fnwt%?-$~Ea}{TwPNbs~$f3ie1L2!BGE z-}k|LW7t)THd=q~_>r#VrTaB zN&fS-HjzE+^&;hUT18&QMvLh1d%`s2JxiJ(tvBvL{PxmU6w`L6K5c*F=>SZDR*Q=} zp78YMz@C=e0JB3xyC47%5;$8*OY$=PoLgy-3NJjE5y{_Ip_t^^b2;%-V0>nY!xlaq z$gweBV+nRwP;=w#?3heKrgt*@Zx9DOac0NNHi5U}G_usv*PPQ*`=c{RB_IYk>v4xY zP~Fb?pj3DV46KR0s(APVVk~m-bZ}?fF}j7myUBOk{CYEUj=q_(Dd@soC>|AQR2=N4 zNo=do{+lDj86>%~z`?`wmsNH+gtF~$W2qw{Gut#g@?Vr4B6<++9czWVua|oi)}?6v zQfo{WXl9Ev9oqWgOG3Z)n`T!rCqW3OHsN4dQ3n%}gaC%FL)qvp{Np_rPhZ#->N8`a z6_p@-&w054^Az8+QxT*`n3nsv5ecyE9R`FK8Q`um!-zENPL#kxmozh^69$U?iz%@k z3<|bB5qOoxk8|3t?vf3spg;H1kNr+Ecgv5x+q1=X3sBn%_1CU4et;QQK~J9DzAAKB zNLUz;Ul_;i-Tgr=i;EKQTVltHd>XR##h>1L2H-!oNkxsZ*|MRUfB8Os zbMV-F(ps?*lkF3=OB?Py2N-SIj6z5Y(;5xr27A+cs|=nm7tgxUdYicp5XVite>oug zTsfE*R527zNIKMQX1K3=>mNB!bMwzuYR0(@`kj4Dl7$U^rup3k{+_dm`LQupzk)>* z16XUYj*!)4U?Unn*f*CN3#XHX^osg8`wgGm4WY`vyZ*`V8Ag6o zeXjHyyO(91*Y2%yM}6n~jqk!hHPY+3jWz)ptx19AS>oWq9OLG`wMo*6*D`jXM^ygp z%k)4s)o+8S!LsggM}Ewfk$>C&)}C99zhPR0hff4FF?PG^;E0D%By-<>`$iAY*zz&% zs6P#8K=iO@&C~tjZBsK^2@g}i^0jt;YR*g;cN*mdP@_D z!fyB}H_)H$yKjlY;tsS2VbDOLh)ZqXBlix!-zMa=!1ONaw}QR|o!&sEU%AV(lHp(^ z^pNMX1ULq+aXKbT(obPQ=qX_*#>ZMG(D>R@w#3=6QzyBX1}iDfC_(OR)J!wLPwVkO znDO_o+Ex=iuZ~M-^Qc;n-?gXm7xlc95^TA`mCa%9&G50v^k~{v**`7w+6IHR%qUs5 zRjtC^M!2>aqW9I=N}b*XCz>%uo(XN$vdQ~HAFEXvbW;#tvkPRcS%7s64P5E;)8#8g z5`FNQe0eeF-h>Jx>9SSk?wzwyZTqm^A!DcgrFvm0(K9eFTV(@_HD#AhIruU<>`M_w z8mGV+ExS-=N?sR1(YWHXABWlCf-@&iIgjz zaPk<{rb^RxCt0-3ar>DVGN!VnXQ@D5{$mIG@B!N_>rNR_2v?PTQo0z#BSs;TRb_sD z#ZquH`&sXq;B_4c=cnEN=84RKN7rtAN2>c99i1aUW|x2=Dqp-4iwh5r6I~?R7SbX4 zcZkFJwswd_CJWwt?KWSt&gJ{1;`_1_7=%oj+;Ece&i_2BbH{E9QRu#n_7|#&)9>7c zx1B6~L(4%M!sc+11jc<><5&AD%%nAo!Q%s@6u%l9dhYbNZ`)5O`Ls_c+4jiCjJALY>;^pwVEU9ZqZ?HBXaV6+ zFy)Fd`_hTT_58-xEQ;cDZ%$BOH6M2tP$F{%hMKjV$v0cp7ihFgqe%VSjrO)-bCV zX_p8i9{2V&@oM`d8U~1M^jK~4pDm!8QqsBtuA!o!#n{98v!wzopsW?DZ}M#D?3CZl zrCNah`FEAMJ|Dmka_+dO-K*6=sk+={&>DC4y)4=5EqR5e3TF{0iBfjRvWHY(nQkpn*5wM{&6=jf|!y zndNp(8(R=zj1%A-n{yX6a~7?0x2%Ydr5e3QdrAvI$A+SlN*0$K_e-P0J>VXIIu>j4 z&VCIu*Bl#7k{afAFR2kO7T|k0sTULU{RZK5r3^Dek{B9vT`y9w{P|v-ei)RqI>Uk8 z)l47YTq#)_L;qFOjQyxE( zJ%1r6$j<$-CJDL~NLr_RJ%>G25iUi=OjZ2777!%uW@ZXZi_OB_-PR=4{-D8gB-A%e zL^5Jv*{&a`?IBv4%2$rWAnN-0GmgF4WT4N)tYyv`14ZJ;bJtf&o1$;_)W2xT#@hXi z5rR`nC?4uX>ng|VNQbRraaMf|#ii5n;iKIFGro$JL)BfPpJBe$Vc8W1OM`3U;$f zgbj(;qO>$?tgSYuP^E^i<3BYZk4+-D!i8#uevQd4H_EsX-8vw%XIG66rB&KoDZy+rESc-tX zh4eeI%8aDSU1(Oy9I!&|Jdt&$2u_%wCpt2i8A~3~i}cuLq zruZJt#upgVZ+NXIX1shyUoI?gX9M*>hvFUAgWx{}WCsVRWGq_5*0Pz>bEE7Z!d-8$ z$7otC`Bf=Sn*4}HJ;IK)MXu31jCXou_zv2K}JWqj#i)?m5?RGn0IO1?7!-;yWZEca>Eql<^Xs z{kLe1Ds4i2in>lFbe8?n$Qkp@z9u8zZtW6naOMT1pL#K`716~sMd@l?N$a)bDr-3N zqG}N;LFuZ;Vszgpi{2?L=CDti27OoeM}ct%qIp8=-W4H{3q8t|jRrQy*4| zHqgGVmorO`REoqVaKPz+!%|V?TB97ffv>&c_RP+r0536@QIJL(h!5u#qe|U1bH+4D zNkJjdbMuq@x{{&h!4_ir`FWuAr`%REkv zR7TB7P-5S9Y?^Os?VjtrK4s&MLlXBNaxtT?XWoh zi|IckpI0lsiOmkxZWxAY16(WBCL(|Quv^2p7Z^1N8DYRcr{A1lcQmJ!3_}dovT_zS zyicq!#-_^4b>=rTG97)|R(#?3thi4?NBrM?uIp8OarD$RMXwLEjy6diSQ~TxQI81~Ga|TOQhxSXDChwkr z_2-~wHgwL5kgHz+;52lYW%1QGtwCh}tkdjv)Y*3Lo<0A2V;RX49M>}Sxidt17$`EgzM1iv z>Zt)<+Qce;_1`OMvAr*7q2n=C6@B-Qja%Li6cMC^lsz%Of&3BbB_BJsfz{GY8mfax zbf0Ub5g7nM7f-uVbgYt>YQ6PRJbdDAS^%{D{kIJ`RVGus6DSA{L=HQ?1@(1+U&qd= z3T6VFMVq$+Gb;lwL47-c*9Jg_n3+*ptv!l@Mc)qa?H^t;8wXQu5PM z%s?}XJAt#%1*(6hFF=LBnuFcI(GFg+4Ip&MqEvzEtKR>6V_uN*XK_1F!x#WkuP|L7 zwo?V3cL=+J5Ei!rU&hW?MIOPzt>8!-5JL=5*3XUf=^{w z_i;TCIRP#*hqF&9fAdYWQ%sdKhXah{`Nj_b?aHkcDP=CDgG~`MUM$ft3aGuill3q% z4E74KD#0QM8Wjf$oY6*@mGAbVn3W?cq66UR*H)S-K9{~h?{qJs4a&c7(GFjuYHqEPTlLkr1~amyp%76J`?Rh@~WW5a0A+^@z7crcryi+MOmR?nGY!IENB zqWA~{y3Hxd`z|>AzzD0VDK)4Nv9?C~$~P?mw4jmXrTi^n+lxh$QJju5;WM{OflZ+r zCRlT-rv46A(6GGo0JBOxPNTdBvudA4^V+aHGgZ_Cv&tk+gNH-^;#+%G(Q-@2*n zPJgz$g7_jsvau5Z&!n%+clO^Fq>tCwa(Sxn_a6vbtRV5qpQbWKLE85jC{5fos>@}u z%rJBc4HBg%tykzXVQJ%G*jgsymr_6~T>ndT5>>0cT2inq<@1kyc>)At6Q+_2!FbW9^bqvTcky>zJ zR*l!22w5;)+~_t(Ro2vYzPv?=Q-Gxuva5Mqb!;vqv9lngH>I&)(lT(XncWt%<0k?X zKp{Yx-h?J%XCo4Iaq!yD$re3X!d`WVlTwi+bPr7-abawasTu6OG<%dF*~u#Zryl5w zBjl-mhjl%;K1oz}TRJ4!K1fy$>>RhFC4Z`Yk(~+~TY9$1V99W`!%1#cpB})hsI|w1 ze)Nn+Mq{kwN9q|`F9E&t*1ZwW{wR*G|8E9~nh(5(SrJ97vBJi7TgRRf+7lu z{n_|MzUGSjnsGaU@`*0c>E5D`<#S6#SQP-VGF>tnnHV%`nH0?t#tVwt&97dE(DU`g zSy81#%(E|45O&aQ&2h^H25|;V9Cyj%m){i=CGAesOD1oLDE3i>8^}LcIcD##z={fc zrA4;~Q647P&*7Xya|ywZ*FJ1w0QnxWFT=OHqK;=^0oTglRwhBz+I0K6yI8ia2xf(~ zW=&WVb5P+62P4Oj*IFJg_J9fT6)coE3DjP;7Fs ze?=Yj`Q`EbB-+!B14X2VV|~uw34_VTzZ5x09Vn z`z*B=mz$PIw&>eFxk1a`8uIw)Qzehw4yZq%@Q)>}+yp5LKPo-_6zsn7Vai$mLn&C?1O;ELoz*-1w>&&Ji=F1XCoGH1jYpa^MPbdK*gTTyD^6O_KNOEbpM zf3w35mDYkXV{Rgm=tkbt3utvF`N{6W@un>CXC+K2l+~m2b?1TeAhQ#b>1@_-Pb-wc zN!u-wFVFCz=tzQC*3X;sAlGIfOjyZ*aYU~5a@2gld@%tVk1`aq`DSl!_1d%BR1ul+ zdr_le|P=tnSe+McT(jmh=R8FtugJ=#WB<#Y zW%=%4Y4)6LCe(;?pEcY3F1*S8JKrI0=!d5B)f2As*#iJgymjb-mb;+Na7 zolqSH!nL;q|05TB3kd|j?0zK_p6~vs-i-d6OK>!Q{kp$<{h16M68N4ZdiqaT>e=Hi zEEGm82RK#vSDff18CSY1d?!|j=ORqi5Q)OZAR^9vv|XFXVXmJodgoadz+0<7!vVNZ zGsH9%`t_H;BgK25(-E4t|Fc<4jkuaUGusx#gvc->IicZMt3CAPuN45<#+5=4SI~1Z z?*g&H%r@b|6BY{bKDb^#E!Fh4*GJLwwovTchSH}ww&GpAT?Z<0(Ebo{uc_01hx2gW zhrKs8=qXAIAe(h}*_Y`g8f?$9HFe7Ho657nxnN06uvT+5y?kA!o{rd4M+uW~@sf;0 zxSQSMy&NL%8HcG&MLS(U>FeMgT;PsNC3m1yf#LO3PvUc!WiL%c;kIl%p(QMa^A^Ok z&Ycu4?~fe>wGuOIj^QHB)A99%;S16q_ik8j^|_=xF5M_`)!HQ{eZ7YfgqL(7qx%AC&mLa;-G}51_A1Yfu(v zP9UCdW))!cfJM^rkk_)fE1ZwB?%6=XR)t>9TtZj4%|MLRDs%CZ#@W*IQ`SSA z-y9mrc2(ZA!K1Jjd{rE)j@HtQIKIcgt(f-G6DZkz!}sZYmKdv@U*BI~eD2F``#JdW zQQ8U`Io@jBdY5$$^3>U`S1e>pDeEN@Gbs zt|5_)+}gaCkG|QVn~J`BO25h=&olbS*No%mX{W$s`nok~p?3Z5BMG)xBTHR9%T+$~ zhM?ECh$ogn{pi$IxW>YO&1O-WI-Bb_=ohGo{gV!bkcZGOljJeTfV*pGLjkGZ)xoP5 zqYE{tdLay~eU1_JqVt@u{#+uf>#`e~)`7s~SOH|kL2e6N+$owzQ8cb0XtjX}Ln5s& zX#wjlsDv0e+pMOMa(UBoHOFS5eKPG0Z>>DOCcfx4 zPk$WdSH3?t__z*z`~;Gfa6f|IHy?(AL7RAo|9;&!JRAt$hsDFfLo!TrG6_%CLP^eW#QRCrU33wDoMkc*4<`mT=j%cY9u za<|1o-k*Bpc7<+@HcdzWwFPy901q#9jsjs?qR3WVrpGDe6whRhl83Cb#XDfuv~c%2 zxg~h{VDC5U(Yauhu}swzNyE0*$pl2GoRw3uao2E-^)sA*@FpO6oy7mi&Ofue!umPz z1{_qkb)9DSYsqo(p}Q_#1@?NG(eYtHWLU~kpTr>Usq4Z=XVJB&Q2Y6#cnu^(Dl%-T zY9!(x+#wIQxqnyhZ8&+;GW-q-vVV$sNwbe;pxvlsH$a+di{{qcK@9YF??H^%ge_W2DLId(j_1H0J z#I)+GIME0;KK7$Xq;3qfN>7Czx@+7J+P`JXD6acusyAt`8_*G*k%iB6Fsn}oQWeaS z1HIJYs8yG}L|LzE`)ey!l}Y?ogHW~UO5BN}PpVPZx7I|Q5u$F-fF73yHZDouC8^W@ zQ_zc2=csR>Lx+4V#`7UG)9cSI9}&tTZ2}@Jq28l2m@7H-BCvIRRtjtEO<1lCt%dXR zFo#;RP@U$8AwSA4dKq>Zga7q?S+>Oi_iA{ql2f$_5PadV?SQj{+j|l?c<^a6LBC~q zyWKUQimg#twwLBw(+f2S@a#?r4N%OqZmd=Vj|yf9f)4BCD@!Jb$m58Za>eoRN3`M2 z$BJWEummPGqav;Vamku4%&E?BUTjS{^lUs&a#`y=9ca8QD_%F1T36in0qYWUfZmkf z+*BP|3w455RtO!Ua6Z)5{SgSPq^NsTb?G0Z6+~y69T%`EbzLAzg*^T^L{dKmstQkI zKGBDjGw6NTq?d$g^54+$XeTkqjK!1+Z`nEO$KU4~1d(rib*GM+lraKE$y=>Xbz`1q z+fDP@X~`N8!+oe^gZ0;IG(W*N^_pAm7tqt!ncVMPtDnS-xdTQ^9NomqCsBrsH+guR zt2XSH2wM4_79}n z#P{}2RDQ96UK9#rtX*ZVV?u1F*=FxDQLP3l-wB(j0$6C$?=PbdKI@9&6`YSPJ}F6g zVq66FJI3B?I|-V^D=S`TN4Zf4gVde+=V}ykjT1Ci#^x?-{~G<^ik@BKDqxiEV&M=~ zFc%8nD#d;qLq$(0vc?o7EPtml)q380{7KMgGH1ayQT@J*Ui4{jT#t(06m5rKt8Kgv zS^oNIF7?znaA%@%)r}H1{Yf zK9x$v2TUTvES~o@&R<%5)&XEXiohxbi?-tIy&y)`xtFoiO{_`Ne|0O3hCcPl;}$dB zi86XL9c=%o`Ymvoh8^j2`p82K+035rp&JM%bcX4>uC><(&6`y}@Kre)j(8*c-o-Y-?3M5 zm?VZ_UA@!D-d8p2-#cg6v4td><^6ZJ|q;>lp5{9Zw4%6Z=quv&w%a<+7R+dfmCWjJ%a*Mc7L3#}(W zF_1}LS-F)8@cD6ckUnuD54>gRyVvi=mRbp6J`^JAfY?`)s^+*`0wMOJLxgD>{y zcKZ66{LJ<90p>2I+j#^{gL-!~I2BhQYiXzWHsyikiqzOB{Yx5{?oYPUVpbz2;^Lod z&kGmyt3bCIcOMT-A>RE%L(W)uVjy{Up4!emi}}?ru$`&ocL7#b_2)Btrx_6PNrS_+ z#l!prN|EW%L*(1P|I+?Fz1(~eY+oojNg+JIZ3PPW^S`|{<^9|>XGGX@Y{Rz6Mn2}1 zX+S*BpL@zQOV8LpNUy9(vdQ?eq`MGZ`LfVsbvuphM{W(S4M&J~lxg_Pcm+i{eE71M zdp!U2McSr~SrU8iYe7l*ebC#4{;rA8zX$VWma~AfS)lOZ@C7HCAG@#-S{!eA>aHMHhZ9~aF78H|=EYkR zp>vgx@2VQJLu(W%|K#-4ZT6x-q2A?72Pg+sYmJ2JT4HW^-e8_l$Yx!yx0XqI0~I+N zZ>V@n{+kab%yX90pJE2}_Hu(#tF$snUmJo=f`4OK9i%1G26Gx!_1{JQrmD(t!k1$a z8qf$qL{QPUT4?}!qz~7cIVETnxcl2*rp+d+pYNBkY?s7ho~efyA!q#5szO(*Xs$t= z8=xwhE7+L~BHXh@&BOW|Qb~7`ohll^!oH`}Q0%0Ozpvu%gT@BoOJqVzDyfb5m5Pd6 zd2T@Qc2<_3Nb8KRJGdo-OROvu^$c#ytSogVz*fLis_+$Q_=b#RvOR*VVyj|*r{LQeK6oHO+`cm-2+DHZZ`PX=nRRx^r;HV28; z^a0b5^neC7`w%hM$p@h1=S00GhE5!&19V$52dgUIyE<0#NOm`^>2~`Y>Hk|qiWOUaICxu?~k8g{;B~- zQ@hRK8HMS<0~(9S9eeQuDmz;XGJDhIC2G|Yg=rDeigWyVFv5$xsZ-igT=7u`Qru7gDFO%WVJPlYCi*du ztbDa8^m?N}LnAA{&>}T^0ChpuDCZ?ME9bmpxAKts45Lyf7+?+A5gLMVzwFM|k9hUF zi>6$Hgw@VoLqXQH(XUB4{Tr&w^t^UNI!_W`vM`O~?xvZjz(T+md9!7nZ5U!B>&0cU zBHu|jIZ1@p|419U`{c2g8fb7Q4%^zE5xfac5~;}qqv+-*WoV~JH+=FX9Qcak$dUpq^7odr8FSjP2Wd$toC+|W;b^&Y815?lht;8Gs^M`*q1Pc>3YL1EPc*yL zq(Ky`K9B}0tM*%Dp`9L@ek%QUwwI~bE+JMt!s)`E7n|nsTMAaQ6s#U2h`EP;Twaa3 z07XfuXRBGrf-{oPE8$l3Qz+$T8WV0cWa!*!S!{=e>6N)V2UMnfK1PVBr>z~EO-CX6 zP$!TFj2sAoRZNXuoxrMexgH;ye#7P}o!rAJzX`4E zv^qiao5Z@b0KP%I$Cl2#Gl56JX)UW^WyB51q9P>&>>7YO_fgdahY^8NcgF$2i|yuz z$Fvp`gOS0^%c(bSNF8nMfsEO2v#bF^mXuZiE~a-Gnjwc6%59}Ww3{uR`EOn)Q~@?u zmA$-^AV_Mf+GH_^b+E=dXe6zD-t~j4+H5(@ZPqy}d_mSZU&gza>1pI$XV1jm<(Qv- z35kYI+|}8la{@?I>mdWtXmgu>l54qab8g+{#b-F-Tz9#l_dx@GPvm3-K6m<(udqmO zZ=pY*a%0%J?R6zzqXuTEirvtA0h0tMMlLJ-LncL`e|(9MJc+Usdz7R{+M&ZI2#`GE zS?3nt=#Yd)rG>H+diJhsd`_iU=cGhC4AJH^8Hp^6k?=2dC3*32YzoPQt`^{T`gZ-G z{TaF63qpTd)t-8O&MK^VJR6I4*x{V)CM80J$H&}{>|N`;dtedg9`1dY(g|)Cf9Q+i z-mDw2%{>&nQXCfE%qx80=9Fwx6e}3^?ardj`8`pSA)@rYp38JbV>uc2zP;9c*9~{J zex0q?^?b}5%txcv&hk=MBDnEm!Lac~Go^!>xGUe&o-a&J*Myj=dLjh;fC-=WSBD+~ z>JxWuxhq4sO8WkQdDBj1{hV_;iv*wNq+Nhw zSGY8+&*`tmSP==b5L$ca`TcMZ%Z03l@&;vR@s@k*npWgyIH{Em60&qvau>xO?ltOk z3x!4O1i$633UwE%N8y8F*a+Ag>P%L{mcqGOp_FU*SI$thkaQ_k7~D*9_bZNRE3_%u za-x5w?t=I8s+Vt!oSvVlYmT$ONJv<7jQbC>k~K;%y%wY-(~f#fu<5P3uF9P*Xe}7B zN_bzS;9^-cU!c`xxcfr&>ZG7DW_<~UMCx4dywZ-KiV}5KSw_G1Gd6!yJr#G^mPcrMke< zOJ(6TT-dx{Gm%PdFng+s{;GK+4caSboeq{c?e8CZ1FzWt72*I!HbTO5oTItG+j`x% zH&!#DpRKHB0a}*!(;rwm<@2^vDwg_A7Q<3sLvooc&jH&3EJPN=8a`d+kIy!lH?GS8 z4ZSHi>j-!iv~0P}yvthx-#t_MF|(2T{IA?2)_v8IXVK&C9*?`Bx># zgmI?1Y^MD)r>%D*rm$YX$HP}nt@i7~nP-5{-QHX8`!3VA|AQD;R-_g+(W%4>Y7KQ~ z{PBPHL>Ce~;CLnnCA;Xo0S~u#+XC`QUxyD*+r1yRRm-=(jmY1qdd=^EFWXXg7FY$o zt%noqN;W|jfYq=2!-=o0u*ff9yTKOvC2blgJyw%wdn3j~3BCE=#mdSNC0T)?(=`uOMNY>P zA=mS$J+jc1dL_R>b__LM^l|SWqiN{^FGtq7HKy(D%2ta7)!9T6Jp&FB`sJcAn92%L zq3GITtv8^5+z}pN!CbtxOxL@$k&gDJjUA`L|2&S847lX4lZ_+T(W>{b(BYyCa5iZ{`}? zK~=Z68QE*9&eeZM)lS;tl3=E*Amk<8+L6j!aL@>@c)M}yNR#J_%?KBvxG3EGgv*a1 zTM6$@ZHt_N_%_5ui&)uFrGNt@$u`8oqL%x6IYZ^F#MNT%(4((Mg;r+Bq!P|8st3}6 zGrUA^myrzkjn94<%6ZtGgv-_>%$E~(eP6wS5ep&#POcl22 z9OgmJo2lIEB_|Fo%c~rS!UFRSgC|Ir_`0o$was`eM~-Bbb}BVjrD0I5KRC`>IPg|; z$CR6V3j8;7gzW4j7*qrpB86^GD*C-UEX&h(mtu7sc5naLi*G7)%Kf@)Oz(gqf>OK8 ziUk4k9fTHi^a@|R$S6s1;DOdJFm#lZ zq56rdTDQx(&P+*OcCuU<9TBIrnBxPL#WF?IpJJ zvSZCLxbL!)Q7=7Y?zzP_rZJ}7zqJ~6-}Qss?1nc$yC#O1{cJc3Ehis0?8z%_oa{Io z^PFa))7S4NAENR~s#+Z^WIe%cdkf3?zgOYdG+51_mrAZ93S^95JTTEy*Oq zwo2K*1GSmT-~)AHkYYG&@ApO^}LgvrFC96ou+*hbpY2bU$Rfr_}-!y7(8#f-6syI78uw#d&M_htpXA| zfR0}h-`3@fliSrYBf#hB=&b%cOPzm3v`ByN)DZC_Rxh^s%QyFHLdpO^H6S)xWUkJG zMf$Uu5vn4d3Cd+;i1^ckWz;zOg{uvlyfs>+%g>o5Vt$DD;olJPr5IL-{8cx0vH!6t z%9|S#RD+N!i}ZWq_uc`92)9n2ED>MJUD$&?U*BeULj+U*@$c;hutN9;B)$&+L>?7a@66CpICcbr?%H?@d-d=eKYIf~-v(|2 zuj~1ZJJX=6rM!jP=#Y&Yx?A~)KYrf^RwsYvsSu+0cYjAfHUYlScYsBWTu!7z$oy&T z-RGC{K0}R+oy&wQeMN&DxUgX#Nu)uT@IAg3D&gkIKv+H@Fg2-^07UK?eP-7fW7o(Ww!EHX#M+w&mJM$zkRs= zMtyr3d+D=yxPDj54nZ&AX1IPoWVn7Jo-^#B*Vb(1IhrF(foL6@lYbez<^6kkK+|x2 z|4c8}zSVceO5MSv*Y{zp>MNgNd+y7FVf&HD?j_A1nCA3()C$mxPjdoVzi0}U0@vRY z8MaIF7H$=KT9j9Bh-_ax7z-wd;r={)+lg8Ma!R^Ah^K88@M_;{8fPkFlP(LrHf|Yk zMH9Gc`Y*v5wojSXZyCOL8Mep2!Wg#u>sD?ZY-Bn4H5-XGZy7$PzWqb%_Q;II34Jp! z0qPT6Z^^nn72n#4M{wt#!)Z>JP7W_iU(yp?clHylU&#L(=SJ(F7et5;0&=eM|Ki+u z{!g6S?=we&_S9cr%WBWCb4h?aV=!h3Ok@$vGA~$;U&bid0=bH29T$>D!LSM8c}`8I z(o&5jX#}2ogz`H*I;uIOFD@6&oG0&&2A@AY9&gWq$xTN`z3pD?0!`_$Evbt>mOZV$xZ?E^mp%76!(E*VV;O2R63=7N3YUax< z!>*PmlqQt7*0G^7HQ&rdgkhXlOPz&>2e_1FE8W72aeI)4nf61Brs%c4s>d|#C#?eHx_S+5bEUenn*wb~x$Dn#K`+96Ks8GRCW4TIn5@K2 z(Whs znAERDYIObP3ZBl>!k?}rZ42WaDVnZwAr3km1C#7qU5s0}XWlu#v{Wj@M}!q-IT(ua zqUt!R%@i3}i%e|VE4ZPYKI$a}N}F#h(pb{FT25{MDD;qE9S!qCbz`4p$u?M*=sPGL zsY=bfK~xza@*n`X(G8dOimDVl`^lF~br`jxU|ZU-y}v0u&{}}VSJoffd#W!p<#cs; z!<^h{%^aRMS^F%L|4xI=Zpoqtil?HVcn2*U_@|Txt~(K%%an+OykDNVj^aPh%7}XW z;jO=4{B9nWo#Hn9-d;zv>OODhx#~Et8K;TumVP}QvWcpttHCZYPnVlEM>jD%?@d|2 z$p&FokyvwxL{o$n=??Rx9EKGyXJ`kZ1;6XZh_G47hjP$V;6v0{p2caZRQKjD=2PF; z^wC)(O1AIVE#T=6hb2|oE?W;F)~M>Yi&hc*g9}cBtSNxgb#D*SMET>I1*OBP0Mnh($YnA|%ZcuD-HnKO&@RBpNP0mWhPz7mrxqbl! z8v+7@qqW3rYkD=9^$T;lw#dXU7waxnW0drmR143$Hq2($7m9jQ*{O!|Q^*=u^qRX7 ztfLvC7)v1lk9a)U7;YrvWkVw`z56eO)B1U^yT%oaPX252<-A*!UJP|CV;A-n((8R+@ zbFHLQ{wwz|u4$IWhO5YWa1-S!R77toWu@ciy|R^^Qtb)z0$V5L(<7&E5aWVXQzdv9 zf3v8h0h>^RUunoRagc~d#%;hy14FP!^>#cj#AnkR$(D!EG<`&3-VDzdPCc*h}>`VWC#p__8OCV<)B_=8%d8Zu%LV+*7m zf)ef$ywh8MpsP`O<;M(~;cdQlh$8D_)*`Ge7E_*}cX?Ph${aN(br3pmFTlY7m#MtS ze!yUKFEybczcT?6m6gDX5^52b`q}-W(;A(1{FBmrXu(~kd08rGVzWFx|M2N`bVdZX z%M_DWf9rbQw7Vlg$C{zfqF4~K!BCaxj8F71gB4}<)U4g2+tTaKv|DwKf$(fU^+A@- zp2Gy5#LePZ)`QmEr`7PgY-hCPb(B2vftG4X?w1AZ?VHIcXYRrXqd8r*w4b<9c|43x z+N(AoylTSB01unQ+&(_gr;LO=B?h%Yw{ls^ZNjI)Do&L0;U*zUWHd#)E(D##OlF-} zR&y}JxVs!vzShldH1$>6;7fFjT;eWl+a4=) zkqXU^dHQSuH%ZPsXv-)9G6l`9D{+REg{;$1Wl8a zLsR(Pbj!cos~@Bv0mN5t&-Y>P)l8j)fBTFLBz4pEYH@K{^>K%S^&3^ zQHpbvQ`i~={F{JGpAPODb&gVDU&!VOd$%V+;MdS#z zWqK5gVH`qC_pwZo7r8~3{rrw8$eM@0e)9{eP$uEp^({Og6-$1~Z_(R#Iqh%)ywcppJCh z+^B}9+jtF~Y$s!Is9O}rxyxibi;WyC4<^LqcIATuNz}{B*+y%J$hjUwy))PZY3C#f zIxZu(s4Q855VSemqg5&yBgu+HtJ*IHmPfsrPx15zx&}mm7PrNci&j z1hKn2M%9C|;tKpxq2C!zi`IQ%{m;(|wjYM)E)LoVZFdKoJTp;AO>LWv449lb+ATqm zC^JaD$zo&A_G%?@teaifG{xmS5~p+~tTyA+Oe1Y8s1wCeD+sI>_>u#Rq1}_O)QKG( zx_vdlyCkuy6(aF|Gw0d@6c9R41ISi6xS1lec4FjAa{21rajJyWCGYTWIMK8kJiZ3s ziIHC@hb(2Pk&>bLG7U2=-sHc2-JL7PXm*(_8T&6BiEeRzM35jY?iiY9n748yS~Uwx8{*Z_ZH;UKbQ&|RDU=z1B(^=)lYaaLTUW^ zJY&O|tqp7J{fSEF7}djLX*A<^0)H`HY0L*XJ0?o$SrPUf+cE) zR@ADWmZ~C(6x&+K<}hQ_W{&qEUi7E%nN-rHDkbet)N9DJE%B)>d{N#|u*lIz=&k%~ zB;r+jW>cw*EVHdhk|;zb5@5FJSMM>UWjCqpQ|Gb(rqG0|I>=WTR9v4S4G6UzPbO9j zut%%YBvzU#W=5%x(QC}PmwNdzp$8?Ng>55Nuux2P(et3|Wj{l#9x2jNYEH7fZ1Kh5 zum8O}!&Jla^l8XuSP`QC@^E4FkDI65Tn$ZKIRT|fJ~&q*p+Ypz4`YC4eHK%F^5M}xJq2RYFK9E2teZuuzRdM``<%jve&j>m zE8mm8PRYDhoh!xS>t-U+*I)|(}@>$xb zBvsU}k3^#z;*yq!NFler8QtXGlz;BDHT+9ERh5(XFSrOhcG z%kfcxC<*$m0+%AQ)ym3!Fu8j%Z2>7K#!;+PS6z}^n6`u*ozh1UE&%|n79K3fk;AJYlU4Ki z8-vKTutbjR8&T60ib3Pa>vi#rFV(~e`V_Yekkt8t<|NWB?M80HZ3PknCYMMh9mh@Y!<_E|G8X z92AEMthyf{rHR`L55=;Xqk~Wd>-b|>g!k5OudCbY`PhBH z0?rr(yq!*+G35%R-^uVusCttUcaDvd?n=*&s01TYpuhTzK?vrIDLFD_)}~Kx_0Rrr z6`+mrIl)n_l)c1B+MF6j73w!FPE+VIV1AOMANM;SKzhEx|BV&%zEz0)Ol?V+>L2bj8W!KGaZr_)K zvFN+Y=PReAoG1SPi}PxJdzS1T&PV~pIEl=@=JLuS=^td`ijW-PCB#_vo2;j>W|IT- z3M0R)HK(-6pHitWCl~pX!SqG;4$qSsG?GIBLI$>1+-$+i8?_zgAHw<#dUewSLtUCL zL6m4#%OA5ztp%?px`p)x;|ak(-#52X7k$&^-vdx)`vy;W_w7V=Rd@wwxGQpXg`-W2 z;4@wOMr00e^-9glusjO0ca0Ry6Bsy&f(nY+2Hbr?H zB-t6!;iOh8T_I4%MY`5$M|Q#1AV}wK;-jmD6?yH3=?qve+_C)}$8@GvsBf(EqAVfH zBe_`BeJiQnZ0^1y$k3>uT{u~)*K$_#pAFbAPrb&PGnFK_29NFp#Wyu*W-Z)Nv48z8 z=#y@HY^V_o?iAMDpmB=N+syga1z_#|alCYb@=8MU5M|&3VzPY3&SwVNwZQ6O@Wwe0nmNd90Bz3DEsP?8dJyP|=Z_2F`=3JQ$#2V zfm!mS@?sw<)?Yz*|M=*1OXE~IiAwAv^a3WwFk*-eeCa;OKoQ>3El{u7u7N<&L4Q#2 zr#x(iRg7a-g;m7DV~1ByFBsK8Zn{}mg15P>aa}ifPtTwW7*mX(L2-HH?7P zlAC#yy2ni&8RE@m>CRSWSf zYSb8`a2R!oV;}FJyM^C^1Ci`UhMKE^s0jJ1l4@zB7e4~;1Kgox5|N*#K+9QJdZb`}yY zsfuI?pGNZ(o+zs5&3Cy8lw{g;@Y(vt&F2#>j))u?^Li5tpXBXGWjQIcU{+Q$;oy{>Ms8-f2l^&_bloYE5JNK_FXjygzZg zSy$Xlu|$fdC>%*?Xeya)--1gH<9roBFkzSI76cd4n!8H^`{X0H#v1 zNEe5!(>YOjf_(H-B(3u{JX6J~~Z;@@0?X@qo#yojGno~+V zpq3ENEeIu%$rPVyo|TxQaQ`NCTAJyspU5^x?i*E{xjEU2^F;o@3gbs3PheuxJ}kXW zDN&G}9?EncxoEG+r=Ix z{pFg3r^fT^U{H4DA<*4;kQ{K>5Y>y5yir|My8ZB1Y`;gkO6A=}Sv@!FrVmMu^I^EU z()Bk9NfwyijiE6}kEm>;HGELuDIS?&RP1&AvMCM0`F!aY7z!>z#x zm_I#!kwvQ+JzSfyTa5tsglc0zel2^Xw`L}JIy}&;LYZ)Vtc2(XXqhx;*wxy;!eyF} z-GSARXp4o(0VP&Fv$rnLOQ!l=`QcQ2g4s`pv7HpzwEzKkJVI}#^d5d{f;}<*fKw`+ zl_E{()gqCu!iIuY`boW3<%AcFETZ3I3LDoF)Vssp_T)xY7B*YhX-IWHIHyn2cobn8 zq2OpjbjqmlrIv7!4j#J48SSVmxKn`8)+y$eA(DDX z&(A%!%i(M%fY8(}3P{r*r_{`s_CM*O}WH+#5OuRQw(9X_qK zTZ~#f(~wT9`&SxQp4YitUF$ige!*O)Ii6?EdfgKt3vd7N?)#3@b)|mQd5!ttakb|s z)_pa+E(mk=RPM>Wh4mczH0#+lLEv?D$`J1;6yCaiBPis1bAH0Hb!Qdb%DcBYCF7p1 zSSz*CAOPXy8TGVzc#uBSNqAEW?Z&lrgVZ%3;MFVD&9?=#y6I8tK6|^a-A(fm!gr$Z zLN62rKs&i(ZB^TM#XhYp`i{IgQ*BAPxqcq34(;1@ZCXWp_S9@$S}o{pmuwNmKHWZ5 zdiq2>849X)14o3^yN|*%lb_0;!uLM@o)QTD@+nJ4xEl2R`h0H!>PxT==&drcOT4MOtm+H{GS}x-S(HAe&$yP zggqZr@adPiTVqt|R{^3|2Uwolo*q3y)t;_5_b)?EjB$Xf8LTUdk#&de>YyMl8i;O*tM`#xl%ZDEVgruX_UumTX! zu_cq}3qiEZ_u|#_rPH14``pv&>58>Y)twxE{n7isbB^`l6gi}KARy>(pdff4_#kc; zE=*?j?ruLVT-}WR$H0h%$`Pww814|n3OjFaR zuMS3shdF5wA_d8b!9mAXdi^(wDtjh$?VJLoc<0$tV8HO~{L-uCk>f{Up1v$Dzv_(M z2blbC){Q>)xO$&^zXb#W-tV?QuQz-BzBZAK0`4xfz8*i81DgzwXFL=mRK=yhQ=v`Kg{d?=_aD1iqP^&_;kh7dxT+3f~IA?fG%rVe=q+Dy$j_xh( zS;O3C@WJSaJ^S;1lB@0YRTdo{0UbS?Kh zWvTb%xU(I8l2Vb4tR1bpJbPVmi0LuEtlRK7ae59Ta`jBsD=}}%DroYfx)q;c+Sna`n4?;m>Fzo8v+0=iMq`P)ajqaXqhY}(cKmSzFo0GmP+T64%z#&Gir(x{AUk z+8Bzkz*Kcy-zKx{b6mb6%J`vvstLj>^L8Es{AWqTPNz*dx>wHb5!JRW_gPv-yd}hV zo;LpanH$%YlqrkzoN_rMcUGHivPZ}0TH5p822T7i<0!b=nM5-N8peNh&zhBOEn%qD zu8#MHO}L;F>!sU2kc4HPec+hd+hg`ieYF+p+*|zz$Or_Os#*kA8k{QB1qzu==r-{} zkVEPc+~kLbn15#YU(t@_bpD;GA0mV&@|d4~f}}g|bXdWt=v+!^(yP`c4S#4^i)VRx zpOmgta#%bkI154#@$0bJU#@O-N0xi~TOxq{OMow=qB}KPFZQod;ca{8qLQZ`pNHZE zE;6K19pe5w3?bdI@0#yPv;o&SG1p{VtWAegr8qvQz@(+vHmJD9KFib;MxKWIROMz} zZ7f=t9rqu{w%Rn-`*SZE4G=yrTW*$>zV*R|NX9JQ8_(>gnmh+~vohx&&KyY;&9U*i6N zz*HqZO>%AgR2x!DuOsK7{6aqPdSQT8FQqL5huvc8c^}qy1bRR~1PVQjRQ=oK z8EXa6=5a-SgF`W%HG1Z+DG6EMwrMBAc>un6G)gqbQ;P%aF6~Y)2LeQ?aUi|hz%ruX z1HqP-mEvRnO`&<|5d8p!l7DITT>#j^B#i5Xp!j!+M86${RCZYZj8;9(@o3eEh zSTCq;f~tGPnm}Q4#vx@q(&EK3$IR|NonJqmS_WpeTmNnhPRNYWh@f8tnZ|^V;M8+; zG>3T5yU@4B*S*jJp2gKWL|(wio7D=>1Hd!P#X-ApTPC+ICbJE%V*+hg?qydI`2vLt zT*^Tlaq6(xz;*WjHS_t;l7g~ zdUJSisN;+$2oMD6nclfGzQgT&@Y{J|^g1q$TgRv_bR z1>d!1LZ87`A-jjsHUiOVAfDqqhYZb>-+VUkMu`#Z zD}dUjH+vf@c3~FAa_(^f+<Ah)dkJG;6yd2_*?&{UGp2N&BP zrx`0PNu9-qB!DA6oA1oOI{;dZq7(f$DV^hIAFoFeWi@ibNX|M{@PKjMF3B_K@BXck zBp?3PHt@Rvv?{2IzqRVNnAMEad+2Fjuj#$d1oaz!VPkc9JueenLIBSZ_byr#+L|r9 z%c6!5n8Z;}6mNd?TJ`>(tuv7W&{l4E(SuEq0~ZwZen2PsiwD|^JSkB_;abYG_(5yx z2<)t&#t@lPcQ?uH3+IB&!J^2)2uP`k(eriO=o8)(tM#-VDUry*8(Si@KE60%^=~JE z8!+QQXe&*#b#xDUq;d4bWvWCik`D0oU!z(ix3J<=_NOW+ z2|@o>C>daqo!B4%wAJ>O8N&fDbU50r>bV4v0ftvB!pitOiwLzE?H*@@A^~z#ia)kAnNZ1z3w{h6V!}qEFc$zL@0@}_d%TY zDfgQUFj@H}Xuf3~g{-fH<)`td_InQcz$lV!wkVF@Giaj+VnZhP&6}_;Menh*;TK%^9wZucGawN;M%1gbozGa1l_sFU;z1pCu83AgGd)uqZDi6Nnd-I zfo&&f!mo*=oc4p92`h17Vv{;JeL}wHz1!D=TVlF?;QC+Sdd|dC1o2Pjm(NMyKuby3 zb4YooJ2E4(;+k%UoA=cy5LMVue#P%&@79J0^Gl$ui?=mi4HmF90!0=1j#(LlLXOPLk^{*C9QJ8x2H zNPY={+4x7i7%2zmz36u6!TcJT!n9F2xJ((-vsm-s&}1jk6PgO^C9IhIk1sj&A6F=n zgB8Ra8;c|W3bfTLw-5H6?HCL#1>rzKec(|%?jN|@$Zz_+F~k_c1FVV>L99|3bL6ba zq!UVRk{vXn@x@>*yGd2lS6(!VL3WUGz6^o3KhQ`&A?Y3A8_Dq{L6EU=bGcAQ0@ujg ziPmvDp{T^J2W6nh!~0K(Kb`9r3c?-0*>SP+Fc0bu9z!@{@Te(0a6HC;@3iAxBaERQ zCkd2)K>a+CEdQuQZNEPix!~eoE(wAHLBNA|U(;reT?k!!$`IDJ=XU-qeq0IqE;M!t zq~AH zE1h-f>T{Q&%E2yj+VLyD|vAURv#snty206K0esrVwG@7HWAJB2J`B z9<7zVHK1M3JkfIAZc_{!SZWW}_{r<%C?qE6 zW7y{5n@(}0YB6X!x7@8UyY@zrG+EykKNwmxJNIQD#|{puIC(udyO}Qt-={Tn1lbgX zPi|kFG`WN@NGB*3hVjUuk9rAC=KC5%p;xXFYXfiS` zf_TV8-(_CV7p&A^CL6^=d9o1R2RzZg+88YepLU6lsfb3@>lJhQtwr)hbXza|5A{nW z_3~BO?0q6 z^hs!&gwqJ5(TpD=25$l+LU(+Ps6h2<%#mjE`c4&)kkJ85a(H*N4c`U@qMbMb1SBlG z_3K8_qi3m*w1VN}5yixHoA zd+aboJVIy_dx45{LH*6}Drwt+;H*s5kB3#>Y{=^GXG@G!*RDEobkwKo_ zTVAPe+OS4P*sij0-^qYPf!=D%)(mHWfmn%nMpLmlM;0eKkK6T<3v3qcLpYlEl>P~@fxrRjs>Ab8fz5XEzGy9p z8BPL)2My7}H7@j85^=@D&j|~$7#KuCrs|`r*i10l0f0&%fcmq<4rNE6L%kxzoaNgg zWsG!Uap`n|R@2N{8QTeg_@n%|YR*m^=^>f-5caAH9ciTs9O3c@;YX*H2!u9bCO}1I z>ffFV0*R-B3?0z{?ZcvbxE744bg9$+QUM(oL zP<9e0Pphp!<6E_{eoyKAtsum$ zhw4KHef|jspa;8NNlpZbPk^&++5sWUs5F5_feR(ni2(t`H`{YRC8sv*oWb{fJsJ=g z`n{bPeScRW*wLXEcHQxu=qJ1_`3&pa7Z-Bz>|^GX&%4>V)C@9-Yg+)^^>4DZy?O0k zMs4K0Y=?Blf1zG(ZM!`ITs_SP;&}m12bZ6SoPr`iWql4^=d=F&e;?4SOm>x$)Y|=Q&?Yq$}{CDx{Ok)l-YBpYOIMx|7cJDZ(#z+tpK9(bY5A zpq9$>sKyZ9eHW3YAN29%b$pYm-FF{x0N%6p;PPMaDU4_9Gmfh#7)V=ZR0+p#%Op1C z1-Nsc!%MI7ol6WW7GhYTwzrjJp0>{KM&)_+uyYCOaudd$yRnIBrlUwrT)Ogy1b<^Q zu!$5^%h+U`ZZM!%+;0)60O={Eh+G{XY4LI!*J*|Sp4>?``p4?haBLIx72zofG4<$r z=Mr2zW}_Q*P0ctqtgN-o<>>O@mLai|E6C$oZV5QLdE@294|1_D;7N3HaA`3V_xZBX zeObEQ&W8lq&gc4lcizG}M_M-wy7w<-+xYAPGR5Kk0e3Dxr#C;mW;R!YL^?n(FTWkK zHn<#YlD7NuxBF6hJ{?AOwuW?SAtRA)71UPM(;n*=&x&6xuNb)jD9>bdZed2EAx12KgH%PmAm|<%#vYIYuV%a{l*X^f! zHIr0;z$Wnk!RQqkeeYnR9dsqXGWrtA!RXbU(HDTqz=sB-$5*^F`iVn~hi0D{eLEPv zdNBI_#OU?s7=4usSYh;yj6U<0^JMfY-Wff(DB=sAA8;3Y2;U5=KyGC3jDFz!;|t@- zkkKOv2vZviMvnsmFax7kK!8?V0}`;f0i0xn^L+Lh#AOU2(|1b0uw=7m&@=+q4&=Nj zeFGl_Rcoa5$X4(=#h52bk0sf`6!CFm*NYqBqV(WDjJZPT3qqcLq4fPh>D>x0b>RL% z>GN^}rLVvr6+8kC_P6%B2%=t;zLC)jOqM;^!%SyC^-D zzkiw1FGD9TRC`P5fmm2ZQhE=Ld#Ci{qVzx|M08U6OuX?Glpf&@zkzK$UMam|X+CK4 zj?%|Blz!=!91<*$=_-~9SZjg{Yj^Y7pM@WZDce*Ehl@oP?gSjCF&I50ol zF(32@i=N+OzF`%o3Fd=^Vq4X4V15RL+6y*OzA(S+#Qf4TF<+^^vP0zq%omD}?RQ~* zd|*EKm}{)!#1An)zhXWqK8}L7Qta~WqWE5_I9{qa_A{7&P<#~x^Fi@L+HmGxFuy%x zKBCG~6^FSHR!P8dhNIgCh!469_TzP&wxl(BfIhI1)f<*^I(sa^u*-(+N(3GEGLEVB zD&sU#{Q3g=QpRal83&-BB0(QaoSj(&{edS7LX>gtc;|}5!1$%#@(KFM)wT0!fy7Ps zDB}!rWq`gKF5E#MyONm;m^orukBhPDEaTW2%2ZFF&n-^ScZ_gPpr4!xYd_04xg?e6 zf-eWqulF*}L>3{S-+G(TGkx3)LZU9re;Qf6 zU<9|?jLv2+w`&1n0rU$N0tgA_wUe=Jg)3Nc0V8(;`p7i+ zw1N3isUe_Wl+{sZ&?IcXohJhsB(H}pK_6SZ41j+3EcKU3vM=Rc-R5&0r*&WFJ_!1; zA^!9m-ee-Lz{hAT9OD5VbsSz@;P4>P^8o!C4zho*`Z6kYaJ&L^h4f?w^tpf-$8#^fIi!7T;J<*G~ryJpWc9e*|8OlI*tM8`!}F( zFVF{52ty!%Dpx^LUBy&d{rvvok08=fIpdX*>I7%+>cN|S1GPwE)`o|l-1^sXb zeUg3ry&FJ|7tmjT`i`WPEjUQj56~X~eH`Wvvj17o|MhPG`gfZDivG`>-+ubT*MIxJ z{PnB-)4%@fcYpZr_ZSfDV6_5&y+x<`1l1pHtHnEfWcCKL52ud|mH~EzSTLl^o>#Sg zAUFoqbYBz_Z5(|&E6DW-h6zC4?XLIWx=kRGAY1@@d};RdthMi}A+;wz1v_A8ct}g$ z*y0ZW@HsOWu;rU~RGZX$Iuih7e!%~;?-B>CvpENh8+*JaHczi*;oZBJzMKZC&2X@S zXRy$uBp3`kf%&|vtr6r40WE@&tx=kDEI%4^)CDmC=$1QBuL5vW&-v`TL`16U2nH+O z0$bOvylk<@U2O&_WDsC2-z>JvcFZ8LczA4r0`p?JhhvTJ#Dc70r)4qGA{m}_TX)OO zpsg|p)G-il`@){fzOp%omr-WJ`Nm>dfMVK;$w0FN#A~H)wu0g!9Z@#oLncA@OLo^&xg%%r35z}v3X-XwZL&FT%f@~# zGZB?R%fSyOEAkD2V4pyXTgB>bZev7Y)M{7_oS4-EU=ny$?uaso!;49^SP=S*Z!mL2 zAOKCn>2mnw)q(!u(}P`FWK#uygHO%i1>VZ8x{UY4PlA$K2jg zdT_qA0q?`6SOFx)j=k;LCCyeDKqlfNkl(GHSEd_V7t&a>t8;<~^=hzU8W9|v2!OLA zd^E=b{>G1h4d!pbAU7!=mA=@Vv#bP6cQChkcv;%p@ zKF7iHyW@DrAZ6GPp71ew&%+zq39^ik8xV+jAKmU%p0H&B{=V)way!wAxW6E3Z0ut? zf!`|Za^U}bRC)MBkw-dX3QKnEsYhHwuDF82gIQqPfr4nnuBa`daA|sr__cw>3~X8G zu0*nZ><;W(HsZvy`Lei7wr~BqVPDj+TsO2DhyhwoMo`#z6WI%sbx92;3;Y7x1p5Q= zK$`0_n=eN;dq!T4cUuJb+lmK@zZU7v)*BwOY=pKV4@Ux)63(<@Ei6k70*`RC%$`B) z?b<9j=caIK$_5O>7AAu-JzK!w(TCHe4~Hum@r-+AnYUs8&Rd&cqxgiDr)jYsr{5x1 z#*bIhF~CMP6{n2+D?Jx^{^^&$``z36{rc(8-~7M7`~KT+K7IA|w}1Hh-~NPu{^6Sk z+sC^c^&Rzh)OY&D^*^ZZ0JO&Y8{5CPzSI8h`p&rOJL+*`>)+hidTGUp|3&p3|Mtc< z9rc~g`p!Z1qe+Y66i1&k#dWBozQb<(!D{|feTN6-O?}6Q+g0Cj%XX*w>;W2Aea9a+ zwx0E!fmkNjGo<>1H@4ujNEOVAWEI4wUiF>v{>D}b)py5@?Eo2jQ{U00wu!yWJFd^% z*!I^O+woI3wo>0|kNOT`-o3s9`ooK90I$j*@JMI??@W~M^&KYeQr~fL>4x2~>~pp^ z{xpcX1`zH$I~W`Dd|uhIuMA(@1O#mGJ@=^Z^m}~=$$r~2!Yx1!JA8ubvpkgNiVc3R z?;xby>pOM5nE~<%PQV5SWQoqpm2K6^Av_Fh@w2|8bq@2W?^M=z%rn*RM}22w7bSwH zmii7Urv@V(8`z5`)xXzwG6)B$zN&v`eFt&vUf*FvC_?o)YLez4;*U4=9bVZk8$!r~ z>VKxb6JM`vRnM>W9rLK~<*n53vKkGZ%5$``+-!Xad z+DlHv`p$T{vc2j%AYw;P&Ut04h3Y3>*>W5O5i#!U&tJg^2C{JW0h){Ix6jsh{D<|O z#%_B&Kn_#!Bp>x1QvLD~)&Irse*Np;{Nh)?cnkUMPd|S9%~#)j|HGfY`~8pK{_WG_ z?iPF!d=!~%#@^oj9q+S|^J|jlB`It!UL>;pl2^8?2IebdpsEJB!ewyxaaD+7@j>a8VVA$O=YSvpKtOc{ zwqCCZ3=w;pjc?34+?x7sQzrw*E}Xy~q4S_7QqxJ`X-FmJ8WVf%8yJy`eKG)bFq#H5 zLxO-4abrskb|OHqd+fMOcwjRG_#@ET1Lj*<@i*I2*yf^C5a@{6a0Eb?VgepS$SQkY zCi=`PILFHn(~Gjxl!33CR!~}SCf}E^=cWLhYdV&H`Ao;kC&$K&!3icBj?E7jB3B#W>hqELFT~*%U+Q-)#_K!6a)65H0P!=FHl!Mc#X^&Z$Pek+rgC9!of!bB()&h1jX1i z`$PfbY{oR9B9E+1&$S_Mx#`wt<)Bew;eOkJ;z)|+@)^$yIEO3_#JwKG9dri7Jv(gi z;#lMX3#eIHJR*Eq#h}RekARTC+liu0z=C(w3s34S^Ca%0ox~lF#sv^}1azbcyq>iU zbhVu*j=x~LWOJc7X*4S~>`Mg}W90#}hOL!d9mG8&AjPsrEOYhX?f5F35YK5RZx{g- z+XK$9AEiv+70w)qJ9ag`8J<5d{k)!4Hs5DqC(=l{odp+46^9Cpsx1zo-QeqTa(w{B zku^$ZC4OH=@U~4gum`O;rs{ZdnRabRz`-1FiaaMF{a6rEhvZUNF1?$QHKI=7zzHA( zPl6q7?7IQ8sO&-w1)+xX)Qw62KonCptrwQ$9=EgDB8c4}?%)}7-V##x*;%ad?DvRK z>IxRT-3NScByEOc3Em)Wx8ViF|LI-hP5< zOvP5&Dode4+$T1Xs`eo6H{NZitYafbw=uRt|G_zvog6c#6mX+4k{VPxJAF2J^; zq!l0(IGfu9uz7IZeS(7lW!8`^pa8*RurvX#g%odT{jr{1;iLM4WNh! zH#q={*tE(hACe=SaHLycBpScuHPVus18D-RLNdD6UU+MfjSl-V>s;@k#yO7cM3dy0)B3YPQ3#2k(wY48lWtklL8{Lmm1v0GXDmQ z;rZx1W7ixp0(-9uPEFCsRE))W?_+Fi8utVH4rzJv+F2w3ewH-Z-GoP&ZDIzNeP@3> z@)4rn0tQ<;QsjTSUb zMvl1vg8T|7nH_1y1R=zBXV-TJG$YTc2v}amc9G*|USlKsOTz>QJhORWDWQ039e}>z z1q+^o!}rcQg`^8>u3fL>jujMLw+Qlp*g5uE;*R*G>(HIU1?&h?8|f#K`W}(qOsrE! zGzUdTS^}Wwz9B{L+Tpa~!y0cAd!}8$o8p`;6Y*BZDb;v@dr=B$1VD&N3011`-4ff4 zLEz&)XHl^#eDqtwxe5R*z|`{K=;ugm;l(z=9e8lVd2hy4k~3CiI%40Ph+mvF9Xlxo zLbNJ&$$T<4Y(N>Xmzp=6(n5}oBM3}uQ#d+8ICgMy$&vbjbaOhY)uU~GTj!!P*0Ujl zig=WJ(8(J_Tf}E@=G+mQJ=t{NR4g0k3(GPER0_C*e`91OmeVBEhgd=I{f;kLc>T(_ z2i|CXuHes&mo#~9G1#(JPD)Hp>4WBFWQYVP1nPx@%+ZVpsAQTnf~lG*>Q$C04#^Ut zD`=u)rE%OgkTy;Oj^sNqNhBy1Koc_fm3{LyaEuWD!hkZqa`b=t$1mK$?w@}6?x`RH zlv%-aIIP2*0-4#46p8o_3KNG$j+wx+JV@N?!&!C5)5G=!-{^elIPLnI!Efpa8m-UHf)@N<^jZ%Fm87EA2GtHlx#9l1K7 zeN9Ns+CpeoTQ&JEb-?_4vlyN9yxkJ!nw9_!`|=GcF-CJ z?|7!MZB=292R87I#lizB2?-p|uo`V)p?$J8sKEH+##^`c(c$vy}$e4iPWitso zr^yXQp!xx}K5z*1-B1##feKD6EqJ41Ma2eWF`XBy?9PkK|3Uxp891KW0tcH^2Rdk8 zY$}aFg0Ht|YSeH%s8ijB_uX-jPi64^p>Iur58;s}qaDC41hXPTL|$4~1wPeNwZS51 z;LxyEG7Jpx4Mj!Qy#K0#p|5gO0=@HMSHW z9^)|Z-qm#M2~aaIZRILHK3>9NI|rOrmxRSLXE@65Z8&5ASq7x)$>NbuRnCWc0u{18 z()h@-Cr~vFtJyn@je&RylyC-E!To%UM0jq`YwP4R5Fi+hclFp7Zji-sEi>)>| zD!V8jR757KiA<)>EG&ZGGC^Jqo`zXGA};4v`DnnVaszvrEWKm5Vv8LZ--z)|4Pv~^ z!1f6yIV^B4IU{{qVEpNsNHK>vqPemqbf3-&R}pBJm~=b^l* z%io9cUQj*| z0F;jx%HxHw#Pvk^At=vDXP`W`20j5$Uh#gYB+A7^qC7a9(Sq{5W`F}8Xfp~~b*Bo& z435Ke7tD90uE~4|^PVv8g2g_uP6OsUdu{SEdBXy*ViAWhuko@#kANdWnD0pcEJuyB zVja$`J5hcji6+W(Sj%!&b)iriQNGRtQHOHhri}LmJD4#(M2d{9RP@Xxb zmejo-C_jMmmIh4W~y@aw9X-7@iZ7y(+c` zA~qo6%~hLKv4!$bIIz4|mF}5&PD$lb3f_DRs+P9;$+iH0W4;fj##>e72PK1_#;%ms zZN1^d=N-IrS))U~tbkoBxETPV&*}`XREPR+oOdWVm{}(l8sD9UXyC$6Q&Raqp36A_YrqFQ$^x|Sam$56e>oTZW z$}@fg?(T{c&&U+|j_5-2q82{U56&B>zOS=MnPxegXF5g4 zWJIbUCTGqc9V1c*;P~gsSHmiUeUQIrj!1PwJ&hvDWeZ27c$KPVTbnHmtw^Fgt2B=7 zeaI{;ejC)J0In7IJLpMA0^qSVto3VVcQ42rMf3bIA{FoQ2D~$muOm|IlmQFm_!CE@ zHpH^QYX~s7wtyv`(q$`vC!ES4oa^xac!rdTVlHf}hU8-*xc z71`kemWjos4&~GQtK3x$GdG!kjR-)kF1&~WvQvk$6WN0TvO7U`z;jMW5LxVFB8w2| z+Yn^On_KdqB*;G8(tA3P9a(sPo_~GSq0Da@W@b|63E3AmuneROAgk+B-8~7ipYyNx zhMDq;?DLN7OVicA)vf_^sL%7S1EAiYkbO?<98Te%$~8VN1+>hQqmD)y-9a6RCTD}~ zs4_yk1&;{J`T~dJi0dxN2&7BF+SlpV5=FTN5nz+qz!e;OmKkobI%<^NBprwpqQsT~ zLTfhH_OerO$uEF)oT*c|hBK|;;fhjQjhbxiTnFKv6!ZlvHF&@PYXw%iQTc3B(J*3y zG)bpSQ1{{2L0HFDK%z2VhhL-8&%!muq)a75wqq=I0zd&AI7w_+6I{pYOi)ISKH;@l z$_%Vp`P(5}LtJ-QE{pVl^M; zaZ6e8b_l+=Hd2+z*C>LNb$3Q4U%lI8@)c=VC#=W3gP8}tj+?X zsMu^W`3g+Jha{|%trOPI$yemqBi{un*_eROi~MSl$yYCml;u>dnU`u!c}%|EJ7mfM z>m77-qk3o*LCWQVb^Rnx_S6%pM37P?4OESsCiZ-mr9G+EP`+t7RcpLXSVYeIMv59d zCSUQ*FF{ICtpNanuAf({)1B4##b1h)g!LIKQc2`c7p$|%e{UQ1Nnz$lF~F0sOE3Hh z>%g0J*P>dJ){J!>B1j27gc!N6+FuX>|Odf(Zl2(pgs9k3oZ06Vo%^<(mt zy%aY{ zw|A@icRzgh*C#=;ea9Ckw^f~ukb@0LsvXrBPaTR-G*EWDijr-E6KI)q4vF#73hw|; zVS~7~J&LeF5n%V3NB0soI0S~>McF%mJySv*z`k(o$sfW7U_Z~4{RH;(pzP8W13&}< z39u{5ISa6ZA(P}`^D$nXjqYbBB!vz9i@@+HO4i;0d$7wPUh{#lL3kD=W2-*Z;?4_I zDure0Mp5h$DN9*W(j3SH&|1WQsyCD}1iz_gM=45ndQ>Eip82EVaBH+rs$`m~WXshI zd0_i)^iFJ#8$t@Gd1s@s4dCql6kA|`1GXnTSYSIAoqHPRN}eNC$rx+cBa(r|Hh{C2 zaC9DfitH+C6t{$h7={f73ADY0hRiz6o)$BQWoPHX+3(=)0q&ERyIbLys{**^d{;IA zK<*8pACwize$IUX05LHpX`eX?m+S{=cU152c#RMgGD94y&X!w5szYZua$YI1>22ab zgl%uqOuFk{H=H#vafK~dU8V9nMcf+`DMv9Iz2ch&!!*2s2LjwF>gXHC8ybZTBGDbG z_aSUxGFUrMk)mYm?&Po&o43PYiFmyM|L}P^f=YOAW})(A*3}_bN2Ed;6L`7G5IGNc zCu;|Gf=vS6LBT11=!CTc-jNwl*uXAqo^JSdxp4Eaj4iWHph1-RN0K|Ujr-XEDW7{{ zFJ>kt0`C;AA8irA;iCZ(#|W%FXO}&TF>IZwiep~wS=a!3)NDqIF=gEgk{T7%a^d?& z!Upp`>ogA5PQ7958)3N^8to=8?dDj5>_4nU@`s!c5`zn9>_iw&? zkune!6>yxlt?m;KSify`FDg?JiUr-H)jb`p?&@rH$KGu3r3@6AyV6f%tzKH)TfZyU z{0~YQqz|MFk^uZ;tku7?y5If|akBP7t9!j#-Q!cMdqQX)o|R++l!*Fhb@!AqFf0Pk z8L1$ekyuj7fCBPPifu=$JGN$?((2yNR`(DL`}{tZGT`OhIn|0M59W-V5JV$QmUu+U z03Qrb)PR;+>{v<>^jC2*@XA2xdFhhD&$89s>#Nm0Vd!6%7QXWuwjw1s9@<<@; zH~w1$)w94?(jSStl>4=n@#s%0QdULC#e zRNVrRTI^G=yE=N^&#_icH$Air`m;RQ$>h%h4T9LRU1O~+JjPlD>WB2Y-=Y4}GC(SC z2puoI?n}PLT9G`yl_wicn7l9kBY86SBu}N#>+D(bPqQQWR^bd&L2hm_O8@Y%YWB9 z2$*|<`SeDEvfd|}1m;_NJJIAGFb~f5GSS56XQ%~U;xM2Vfs&Ng3(Qxnyhi?XDAx45 z1|=t&?AYRdD%PZmb<8Gnq6vT0cC3gf)*vq4Cz=!us{D|NroE}X(6DE3_|9|7qF9pz zPRoG8zF zP2)sU6O@0MXtK{Dl%iO3O*FBV_cYO@XiE^=a-uxo{XqGKM={=@ynaFX^d99o0uYHO z6fRVh`A>rK<4`KwG*F(lP;XKG*YAc{)7O7~s=|xTZKQtQ$0Munc)U@f?5h9Aczil4 z@Nanh{|reo`y?_<9-pkg)Z_n?JpL|8_QK;iLllL_GvPP+AxUN`HWGL|YmVUYo;-eV zj0wN#qpj5*BEzcye7kr&BF6Yol8gZFFCOoMsMGkGnYl3d`;*7Ve)9N+_-qR4-z$$d z!sBtg$>VzgG7FC%hsdz{GLQdgWR;`-_5}EbX!gY8%YQp~e7FGKA?#)Vym|n916v9L z@cVnIfyXyIMSbV-gFLMveh}g9 z$>Ui>IC#8&!{hBMkMHF1$j|jhJf1!y2&U%b@i}LE@c8;3;k`dfHsJ9ziMjK5Abfx2 z@h>y0;myqIgU3@!VwoTDczy8r@$-0mFJlRJd78I8{vE;}Jid!2nUriEGQ(fw@%}(~ zA3l^BMtu6YJih%Dj}OA*+k)sRJiguKXdGfBnuQB>wo9-#@!TaXbeHrYTbR7ldzLkdg27 z2YHr}R}UHa_IVk3|35`^ay%&fB_rSC8-zb)U? zN@V2itBgFhW_zLVcNuy2q3EP~BT8_{$P2=!t5P$zhm3r~R`%nL@a=`d<20S31WyRx z!e?aUzbH!3zbGSLPyMkMQG)rgjC?<3M@#VLeixoW z98d>~&#cB5HuT_(3C7b@7N<1G!)idXqfDdN^}uR;Ix$`!EFQGd5%<0Jvb!FI!KcpJ(3!kR2cs6bsz4)UVZ#H4^<6ez_%i>v$FZr3pcj;UmRAMX( zZ#;?ez+PVIgPci`Ret`pNW6Vh~2DyGDagoY_7aCp*}EJvpNDI_Jbe zjQBI>oR%+7v$~%%=QLbeqzV4*oYSE;1GYO(GOxZo=Ok*vf9Eu-Kc`vQP;|~Y>4kj( zGR+!%+GnTxmbipOnLuiTb54|u@U~UjN^qK0bDA~O8f!e~oR(vnwI6d%nW;NMz&Xtt zC`S1-=Y&m;?@fPRN|t&WzK*6k^V6;={3u>9{Odl<+Wbwb&lC^D*W9s=RtB+m094i# z-DyTs_A(aTfO)%L=%+1%PHdq~+sd`)aY9B9%nl(ce9P9wP;=!3sRnge)tUmBbzec4 zT}z$Jj?=6hcJ3bC=SoR4U<86GqCb)f+*$DG30!2~%eg(sJbjg(K_ z?TQF%vDIk#7w{=cO9L4@mNF}wH<0SM6~Vz%ukeQ zR#280Gv}PfSYD=C^V?}wPq-P^G^;x1oG3~|y~(FJC(d@C)2yICK`QZGd|l%;&8l28 z&(o}_JatZHdRDmGf<1nSNj{fp021ISk2xm}wpEZdt@|EE@c?+r;5eCPMSi9hnPv@d zrdhpJ^Rv^ez&0WvDI9Z7oMuHrJ3tr$z~c?T|HBuiS=Ud0_|w;4jZc66^VffSG|01` zFDeTx1;zrZ)t9YZqbSpy)~Q|(M1{m4r^Ec&e}D;w|0H9hr%bJJybm#cP0EaLRE_O349$Uaej0du!KBYuC=#6l+&N)@AL=*%>TF6enQXL;1tnwV&3m z6nYbD*A$Nq`3%-6$}>k3WuvHWLtIk2C{9#^WfD(8gsP&o>)sOwpXd^PS-Wyl@!1}V zb7t{P;nP6H z4|}LYWw1hR!C=;n69D70)~^1rc8!-k6s=vebWvupcGY6-8gRJdY3V3%q0-N?c0KK(#>3h*^CBhxq_yjNd#ERC*Nto`olWu|S-YOIPw%Z= zw=Y_|`k%0NHFs-Q_9lY`(AqV!NxrcA7g?RL{Tbe?HdJM&|!Nu-^ZF8|(Aj^*gNh zKNIWg&%}D>oPQVAs{`xviS_vf>-z)i$1~Qqw^**&tZKb z)+-zhnm}#)iS@iN zXwww!QXE5-5TyVq>msZEm>IzS1szp2>}*)4vLT_H!!W>A}kGZ$f+a@1_tUSNx0J`ut0uGW#Y^zX zc`#)hRFvAqbz%!rr7@v5z+j|erihRY%F`5SasfZns!8TS>P_KHUY2HhR+MT zidei>(%6{yKzUTwGdQ1$FhE}z&fjcB8t(f#0F4MA^r2x8kST_aCC-NiSs1%UIH$s? zYI{G_X?6tNXxOM#IHH0Ni$|`IxqBLPa|9+NkcSS$2&V|ym?g(Y*=DkAGm+en(p&(@ z;i$80#ijb+CI(9*%TIEaGra#Iy2r%iKh@zIAf5_7u6Hyc)8zN8B zfbT=b5)rV%U4$%C2bvdL`%~Fwm@Qu-WKy;%hX`4bvd#1Dt5eb?e~6G(DckUl1Y5uC zb!5PMOP4&2&&@99?JLdUuCk54$~N0wp2ia1!%?;=!>}#+DBIjF5i%Kw8sIiZ*#_`V zvB%w*94Sx3DNFAWDJp$lDMEHgP12jq;@O=dWa=v0B#wz3@-(frqioX=sZHG8mTgqP zfnj|H(6kyVXD*|#n62w(MtmbemhPjjpm)wwxxDQ*QG{%hStv^xVcxdcg^H7+U_f6H zZeKxmYG+mxDnqn0tbQuWyHMLT(ghA{Mokk0lpBqmsjy1^f~6%><&YwF;C&7j?;?gz{l@zy zPYqhR*f)rambQPE!r(FDwK~Tb)@i9eIcbz5!oqfLe1WnyUd&P*K9;tE6dmgD17W^X zqNHvW#5Ots|Beu-D#Zd>>Y%Ai6MfL*4Lev7=m}*CG#+U**jIqV%(KetE^J_5x~0r( zV*(9E7%7j6_3u=eGPU(c{t4i~NlF%@fkn$Z({SZL?IZrc^hlVmm26KzR?zYq8>K9Qjni z<4LfYFyamH*_V<^+Y}2cH_Oz8=EolNjx$Lm(9T^^s|lZwRznudNSUHKPBvEC@Q4+* zh9emGva4BKD7>HplvUbw^C}!%(mBiSmbifnvY&$jWSsh?;F_bg76)CMTV@OrJn=|1 zJ_b)~t_t~}A2j0xs5U*wuO zt~RknKzlkOVoMiI3#>(3~$S#mus{~Y~QO8#4JTN_2I-9nE@zgU9Gal?d zY~11Cu`Jp*Ds=G50UX5#90lGNQWeNq&U)?|%PvLxL8%|)>1?0ZQxW|+>`C*mqiDZq zs*`~dy0ixawu56sdLJ$=`3Jqff!bJ9QKhJ^KKrML$Y&lL?5`Ynf zygES2vkIcK%!>ey0-KsO(m))3>evk9$vna(Ly2@5^j;)`bk&LXvUD6q2*7Tq`=7x% z%2e?{NM4ZYRnJ0aq~J}?_?SDTPvh1PdY|zLXv|d)6R7;qJCI}xBoyauQ4R_6yeT{# zi!CeNmG+Ra5m$RoKyo6!Mvb-QcfxV!P7J}j*HG}K3jY4-4?jLc5Q4L+v**&XLn%_N z4*ZVJHz)ji+Je5qe-!v1>;urel8wt9{yT!l4fss>M_zZr|I`3KY$e7y!vBV2biuzT z{5Qh?Tm=680spB9=v?scN&OS`5#PaoyA7&jj12f^_ryZ%x5VSoPxx0C{DYHCcoy;yub`EgOjr_y-eDxg%Ar0E2`KhY+qnYn@E-tzFbuc}lvpDRLR=Hl??4%yNM!*3+1^0kK9OoE4C$vJ&9Z)_GaNNKbAl_@IiOtKhcW#AGQQY!xsnsC*IlO zDVU~+|C?DFt#a0Yy^BmJBB~<#;p}Y`21ANZhe42?MEoBByTKyrf&WABKOXqc?n}^E zFi?vO1^dBq>IL|p1^@kp|1p!N;OlBj%`;be~Y8SIo3|MP|a>A?T^ z9{)4ToTS_*{%hd>hA`?l_CWmiC;l6x8V~%(p*ZkAJmbGV@gGlQFBkq75xhCOFK@(u zs>|<_e<=8G*kl0yoc$gDli+_R{wqTlx$PPMHIp&6_-zUJKMwq7MFaTX3b-hH1)3)Q zC*XhKUTHwGhL73Xf&X~aTQ~&~Uh%&@>D{oW8o4c4_(Bax;J=R!c^Bo$St0OJiKgBq zzEVaS!B#Y_YemM0Z+zl^c*Xx;{`Tkj!2a`(Km73BxBv0Ypa1;be>@_BXSHu#smIv* zzU{sRCXD*BfW?ysMQ78!J4-=_mL+y4`?rmz_wjb~RCeWXK+uN+tf#%I%XEOnPD8eb zbv*5&R9V>>0yN4B3rCNT0D6Ro5T#YKivw(Vc7To4Af$Lze0G2pyC@{Pac?yZBW34RqVT$b-hhe?;d)8p4QUcGYh_0U!pX!{JJdr#xa&rlV!Qy3 zW5xR;U5ebGJF7q-8d#6IE})i34mpL1)pXj?0hSm1TPT%&I@7T8avPOR1%pazIOrWv zf{=q4LM!kvcYvqQffW_ATFUBVkFSh}#;ZgT`Etyo@x1U{X>=jtf5s^4jhRFvj*aYv zVV)$)<&}lJp75ILa)2fM?AwNL$ez+2pzc}cZ*v1r&XaczD&k<3rPCU9qdHVh;s8sJ zAD7ssNtpmJFKi%@I>{j5i0-+jM$ID}4iR|pY>K94pLA*?P*?0F8W=?ia#9-ICpEm8>nVZTyl!E&^8dwwr*&4kr-AbmaiSwr(DI4Y-)m9r#vQ zyDfLuX@tts zm5HZqSRflLfNevAeM<8^Enq9FKYq*NBqefZY-qvqi}yDG7$3OMNs6h=PT8)7+jDLR zw6u~k#D7ogVEU7VMwidC`r|1(do}Hpb+34oq3Ba1VR@rYJoLi?c1Zb$7O(-i3zj0X zUyB*X-2#?AcoD>CAwh131#I5ZKBHF~@C?c9rDFN8fK5L0BJ8q&Wml$3(zLTYEMODm zYY-l30Xt~Z3IdiGIT16+rBc4C`-h5UG5BLFB)+0r+)8mXLkn0V@+!p{KCn@mJ}qE9 zXXHtB9>q?qHG-~pmXC` z)f`c-SiKz!*uN!tq6KVqfK9dm1fQXZNeoesbDfO>N{ z0}|?4a8kUqnWqLCJ01x2{n_l>D>3_SXYJ>Jdfw<(f%*wJ0TitM)IcNE2awY2Tg_7g zjRoo#sAsR{Jjwsz3`ldI90XL?O23d!YiB||7~6{MBCr;%s^yI?4hZ&+=Z&5_ZgdZ` zZ@CFPePE|&F)9~AD{??!1jUJwAgqY-nTp`Z89-?9NdRZGALZ8N0R6U6|CL_M=JbJ; zk7YA5h&Pt$qII(>KgLJRk8}acL8vA5OG7vVz#pFJo^PmL{+YNf;~+K zSkm__)FU#1D{1gEHu8JXAOs~9tnZ5L$`x3=a1-Kt0)PqiB%)&WJ(QSzhq+~edH~rL zm=jY|a>H3^W$!pFq?(|X%fyifPO{h!r~$SUa|G(CXUbBHNKCTy6xjcsK}B$y`e7Ex znkCCh3ur3R3tIK10@?~H%DN9L@*PM`$?`@wR(w~$E0USUQ=1SQ5K6HLuv74HkwpOM z+ky0m#du(zip6c?xwq`F&eBMY1QlnVckDp=q?423s}-+n@Z;+ehuk?I-mhT4?7&w5 z{Jh~Oh&t^=dJ0?8`ZXK2FaYU!dm9W%PCybn5H5-IRIYwP`T(RKM+3gv0}xE~im8uy zn0?cFK=g`{Q#-#nAmF4YIm?66o*C5UzUurSyNz0n#awDQ8|Z&|D)j6A zYWAHPUMG=Lq~0j{h-kbAgNR0?k0;VgahymGENwvgaE#Gr5aE7~Spn(uLG;N<`uGUx z0rZO$`ZsGRLLDirj{Flq1&rD${rz9Q{^8|rx8Uu@00be%GKZZ7 z6#k&~D)IVQX#H?^7g*|DQdhlJgV0ddg}6GI`6m*EAEbK8p)1 zQYc_Ok_x6qU192!FW1 zHY)7-gVr;LC#??$t-tena>o~5A76RBHuY3OBeAD$D?KY&3#3q{rvYW7`Z1opBC&5G zsSdEWnTsgI-X#$Gx>I2x__8#B3^;he_qryIpH>_3#<)o zmBKPX{yo$0$UA(uAr-J4&nt+-1dy|_GYibV<9GzI6NwZ|*ZpMnip+iqyqYGnN7jd@ zsf5`(!oAH2a8H@PCuY9|e=z&DmC4o_jsHs;c^rZ;XywLgPfr?WcM$GbNfu^5gxL>- z7=Q;-X0RXtFePhQ))rsA$vl^qHSP`^^Q)ooP9 z85=z_f%jzgfK9r8_y^vz;u(SWp$Xn+dc*=M7D81pPk4VYd#Pnbdc?+K;EJG3cVc1o zn#`VfKgB<9R|^4OJ(zttn0*vxPZj=y*|#TV0D?e$zn^8`s)N~^JKis6KmN1t$a`jw zg$u065*bwh5H<`c6w{tdG~^y#G^KS76ip zDk-sFgclqDUDcnMef8>O_KHWQBjxhak*`GQ5_v23r{H}Dvj^Vq6b4*%r2i*&de}jh z!X;!JR5NWD*-0TF0Rv|OFzv6*-d=d`9?YI+p3_~FwsqB0RKw2|0nM``Kc?=H=-lz1 zbzffY+K=%5AAV*r$AA0B|M>Lpw2r;s?OI;IGt5$4?1KDpL4JEc{!=A~4VxF_Yqvi^ zetcANXhrf2`7I7Yegx$AC&(XODmfoOe*Zfl-@a6GICiuikl!quDW4!;jK5zXKfZkgULYR~PIJ~Ovv2|iozq#&xkG+$?3k|hfP8g8 zK3zZx!rBG-c@XmP3haOiwZ1?;Fp7C&+J~gM9V( zK|TU9uWnr9lFKfnpUWk z`Vq+|P5^=qD7~g9NuQ1VKse-#kASt#nfRQ-rL|N2C_v`YLVs*e-~b)K!jd{r-d{!zZ^B8hJf`Gn0ZQ}gz_4|NIGOB+6o8o7b*BUj-`xV}EO;q%-o zS}Ae9hlAH5lK|0CLjoW1ed8kZ_8vm0+3|1!&VUMwMPRy!r?M(&cV?-`|`IA!h@gz0_kWg zXd-JiS8pa@Zm>IXfCQwJPN*Mq*n_~^fi0zGdLr;geV$NHIRWX-ch*pB^Q=sTq-BivxWpfoI?c(F7>%G%=uG1szW_JI0Bcw1Welv0#08vEy7Q_T@}O z9DDKlnV>*6@3Qw%Ifr03w-B#Zv*SvyCb0 zLRK6odO~>~L=Dj^EA6PwSP+?^ne(VT@RdT}3-4rO!|4G3+mN^D67&wV+C3X=+Fr10 z*9kOtqi?$dNHpvvUX|bWM$z1WGptJ~ihWCm;#uNE74Qt$&3oI?biyMuZ70d~JGJOT zwW3L1-BxY|*#(>J5vPzrfo0KSvu9?gL~(_!g(xdb}wBx`c?ou*)g5Ppf zfs&@x#%V4TS79>-DCPoo`tHFxwY!Z&thhazZ7z+3gP<=`gqKM)?S|@PaxTID}+_cW=Jv;|b zib00%<&r?}>^7Tp9|Qt`E3s!3|F>eJt0^Xe?8e*!1+ORr!%-?z=rFMyMff_VIYAuj zU}_OH&$@&t875hM?Z)};^rQPc!>rn^xt%1a4x=bB2 zv*UD`8c#E`eG!+bekbedEm@DxE>ov5Yi`D5i&ul6A8ejf)}R|i=? zjA7en>;sp>W$IxcD8{hq**@?f>+0D)@F44)x|w{|UM-Bbw*a8TCPAcAfNO&`yhIHCb!N7atg{p0$z%!-U+=Vw!$t<8ARy2_F!mb)u20n0;dUYaN4h*yTBy)= z7{iA6;vksV&01t;RrU&DPNgPzMmj)$N5R)Wnh->moLV!K^MR~`}x>is0XKI0je~H%?KKtVq#M|{ZZD6&~z6^D1jaX zWa|24>fXFM2!bhwi>U+O`!O&ZgsD>q3y%ZnA`XJAt)EO?jA1!lpkJ7Jxev@94ubue zsZ&-aXeR@+GJ_vTy(&FDK?U_yg}E!86{*6Q&8VNj)TIh@49vFYf!RA#e+KvGT7{fl8`gnE_JeYck!)9kZrQD6wyBmZV53&PIFII>S*mBJP8Ix_~E2v!rK<-Q1d#*rOgB88R6<+bbfU zZkp_%X-A3u>Y$-KAClf>p3RbJ6NWxGXnvL0+gW1wj}p6Em2}YbNyJXWsL1yDMKr?( z)|RS4EV4gtRR!Pg9W+=pphM_1{*Cu$$#C4NF0EP`3$?R@CL`37pf`8FRAqg&)38_k z9fhDr+G}twubmAv3%&tz1iUED4L6;pGCezE#d-w$sOV{JwiLyxwpy}ulRS8BAWO$* zNHQ>c6xeMp_Xe8tPSq1a*E;j0_E<*r4Pp+*BK)a!vdnK)rupSQQBvQw9gw z+}WeC0>(0)-L@j#!lA~tD8B4-0rlB-JinlHG8C!oY~*5bowKpN*f}`D+S%NLbiEsT z#{-S?ezea7>|0~OP&%`Roq&tj7o~`>@{1L;GSHI+5eaOGD#iHD zpenb8={16*p?%dFj}}S55M%b)I5XHRXRhdl37(RIMF5^ zjWg9tRUJ%{Ln+cYGmgfY#+;$A`9?!><|Z3EBt47j3#;n&=_2)LoVi!k>lCRy8IWyf z;|%W;j}}Q}gi=)R2v|)UW9Cl{nUq7D>>R-AhruAZV997G#WD+F7piY@AWgEs`|)W{14ZSgPoX(^pj; z8>1d@e&`=86gCT-RjE7cF(4?sP%Yq0!*SfCJhw>ZGO7QEa-nV5*2@QtGp46|Rh_tC*f@i~ zo%V(mmVresJqhSU2*;~Y_&8zNKEc2eN9U(G`|65FYCsTWbXBK z7QH&4D6`Cc7{gwaoyO+9U6lQ(ulMKrdU8Vo;ft209qwJni2!~QJvwIeN zg|dU(pGw8*S*dvByBNY<=BCN#lKT23hR_bmJ`OPiMNFrL3^Wi-so4~MZfdv4nQ>PecmwmnK6!U5yk&Ppf;Fhd( zvnW8f40>>g`d3UMa|$X!3GpE2cA49nE5pJ6@-a?rbDqM6L4PG)2b<{ z3<3l&7@?>eT@P9Mn7SkzlbN6up@R6%#nNLf*PC_wL-$IQRiOfV$EYJk_)rK}zVmB}F#ja)eFJQj4&F=U`PSA|H1u z!Z?KP4iGPR?}+&HYW_o3ZDRLO8sLukn06qvR4W6z+u_nk`=O|HFR7XlZZfmy$ zb-T?QK7!d>Wj+;{l;6O-_l~%$sq_SHP1SikJ&q`_^Bh!tEMgp$3-!e{{c4o%Kq*gM zo2Uv%%{XYf*EWN$fTqvPt2cU>gY>b!Xv|z#P%pF@rj=Bjo0Z8|D>PjlG@ZIO{iNv@ z`5HDInFnXZ4wY`r*-sH9_G0wSlQ^CV>X~uyVH~CW*f+hG_eQV_JKvpoqUixNrE=Li zrMWX_;AlWvIxU0Q*QfyN1#7-VgU5`+0hYe_gQmy&rl5|`D>S_fJanBThZIQtzV|tE6Lx8u?bd5wZd6weUSx~1~gw4pqXc1%7Ca|6nUGXajS3qjh07?Lq z{V@9Gtz8CCfVOU3jH8nD#O#!nr-1Q_SF_U!x7Uc{?DpjR+(FZ+Yzj(TkK58DxUQt2 zULr*Tr`?hoL(JsZ6DBpXDE0KP9JV3~OQ~j|?EG6?e{T=?-S_yvKRls%MPywmbA>F4 z?olsjUMoe*((7$0)%rh&=JEf0H1C3+{~0tNKMT#rP(#rCQGfv-<_7j!#I>0s{GP{Q~mw2FQ6wa|iP95s>>Y0J(Yq zdHo2;&q{iH2J-P}dl6bb-T`@fvh%K=1#vDmDA|QAFO=*9boOuSbwU2bPnSt9W6#{ZFy-ZcKZRxmKuK2 zs{3K`*I!Kj^26j0lP5$Wp^iw8(U`_tA>h?_` z&jDIAFe-d{9I~tv)wh(XBl|fEVVBqD{iY~C>?ry;?cK;#TQT`Fm&qUAxo@|_s(V>a zlRwLXH`REyaG3m!%c@(8$zNo1HjP{K#|GrXX=oBS$Dnf!5dXU(ToH%CDi*SpbEv$aVKllRuhEX||%qvNTG?Dz?06bDI1GvFdJp zFN0Ry`LgN;7DyBI8pQuO3fea;pyi;?Ve)rcb*DX#<_{~^7n8p*4f0e(9pn=6uyR%G zZQumw78ZKBKCHUg2#V0CFRN}G?!&74GWi>KE7yKlxwcS&-Ah=_lT~-ai@Y`Y>!(%s zcv!g}HFdG~qtzb>B=1V!TXk21&+%&2{c7d<)cV4j`T|mlPkf0Ce9`2O^LB?-Hvpd^ zs$%ljX!5tPt@v)``fT#o_q;$`vcM*cX$(_{QX~y;^mD#>zF%g&1zc z4GWpAgm8&1RJ)8XXkF2_F8UDrOZ(&mB zh$?;+^`}>pzst(?y~*EifAiZ9n$$mi`^QHmCR^YQ%g;Nd&&R$4zJqgEw&@&u?9Ipu zu^3PVq_6CIVIQ-1+eWglDW7PT)<$D*y0z?0w^@AtG*ZQGadP$0n{vXW2Yvoj=O9-% zbVQ)5bddPJ%|)cH**`$6N1QPnE>%L|H}-IpOwZQ#HJ05VygH6SH5P0lXh~@W zNM=O(u2Xyw%W7~Ya{__r?EApu2D*z#3=R##DVUU*l;livH{=$atyXT~*D-{2>NdNG z@T)m3bqIn{;Rvi*pAN=kqx5aX&UaLR0a>EI6$slBWa6mvhJm&DMrj2TS#7{iBgnFt zlq&)Yc7K-cbcOf9hY##w!(-T+uiL^l6^k8_6}D`q7(7Te_DW?7cID5py$cwSrLj9s zq}E{qRuY*!mq*tP1hr@q0X%fh4gyBksajzQ#1T`_m_YrONS#*fy@AM9Ddc|G=COyL zjkntpK$H3KS$JV8W(v04+@j9ZCGEEg?AvIJS+G81%bXpxB4STI#MX39ZY_8myfN6u zV7n!FKKLclEX0XJA0}EvVL+POT5&+hp*sE**s2IY@`fAqPhl62Sb^h-AGmBac}#iE~XM zT|5de)X^FEXX6Vedx6~!*j-knQHbAxy)PUv2%=rp^0ML^^(=iZh8E?N$z?Mf7K3RX zcsu8j@YEury52~CsB(MtEFww=e75E2Ouys;!ftPk_r*8IkrSMBCwG?YFb?b|W}Xf% zMWt9AGPVwx9Mbj*-2e0uaR2_hC&e#%F|b9NhCQG`yQ&B?NOe|g9ThYx0wum}PwZH1 zg51*H;@HU74D(Bne44&nBKIV6i{iVFdP_j=M7Gxqg>BeXCOwOk1)zG4Ry;UVd`Aww zY_qYWo1!~Xd?~67bxalIJ91-1&f-fr1Rm?tR1pQ2mvl@OajuU#GvFNPJ-uDow{_UE;(uas*&_9wG@!uZvk`l%4P0#H ztt#zka5%BIyp`*6Fmr@nm5{zf?Lgx>{{iY1DQFAe_6?~#_8ayLeK=UxZ(u{C)2Z5& z0^rt^QUqbAZyf!wd$eqyLI9lriNskv4YKBTO4zeMF(OfJ0NOPpy7mjWIh0CSG-NrO zDuzQdIP(-fkoH78b9@NTYKZ{1%L3eZ)C6wb5dW!;g6Go##Q6YjN?vaqipidi*Wl>} z#9G_B48TyBY;SIE?2aRarte~KPT;l`N0YjZK!8TU20{u&|E=b9n0K0ahF|CiQb?_` zFNmEhz;xf4c>uLDA}=<5@TwpcKuVpnMe6E>+7h*Q@Y*=&z)j;$qP9hnvX+XFxz~-- zXkd9V@^7eSuO)1psLgG9q4pqZhaFJ_xJ<^4tb!(Ag1~QJxKBFbqK-*Q?Gts{T2%|d~)Ct?;4%=fK z^6lHSipI4D>{N>=1E*gXJf5C)W>X?gz^LiyHs`=;*;}Q6u-lX;Y=h<4tig%Gpm-3+ z@W7J2YBV$BU=XPP=s+DuN(!P%OPayrKwKMzy9Y<*DXvdJ2p|>G*e0-zgn+7Tw}m<< zqTb7K@Ur_Gt&}}sTW-n3WQYBObXdb9QdV(bhP{bMewjw(w*{8p{NsDr-oO6z!&6x$ zThTTDGU0CT33uDJPN(|!gxlN+_jn@Qd~D+)+~YyGvl#1u9KI*qG(dR}Zhyk|&n4W6 z4d88zw}ji@2{--@oA^Pv{YkjT-$S_jLAb{gY`-JiImc4G5N>}b-2FY_jvo^4dJ=BH zb{=mDcRdL=`Br*ExDChFj7bl|-9fm=LAdeX&@aNxrl{}%;r0jN_IJWvzf8EzslfXg z!d>~9NVpkDL4@ywTYW&d?Mb-xgK%3R-1YN>yPbqPth^EaX~OLj5bc$44?Mx4IYxCS z-0eZQ^F_D|4mD*tscK||aMO4R;rtEZZV$q}UxXVV-Z!>i{vCw7l5p3zgxfz8?xuxr zJrnNncM$ISWx`Fl!R@CA_jnL)brEi{?tUiR^@VWjpCsHkj!l7H9)z2??bwzrgd6YR z+$-Vscf#!t!aY7D+~ZETMSB;7n=J}ho|ACnL_QJj_Fq7_StS?39XT0zC*0#h!u{*t zy}9Om8U*cMfBV-@4`p@Z0pF}Mvb+ou)j7-h&O9}~Rc#^YWUy)8*X6K`csEbAZw5i} znx|?DE7W)M)Ot5hHFxvWg~QqJ%~Rut=BfTrZQ&qjW%~LsPhDu7bK}B}30}pp`{&s_b=fBy`T&3dKx9M{eJeGN+GcmP6p@-U z!x_n1q&4Plo;nWmR6O+s|2j_&f%aW-B(&vR5eRM^Eund8%N+G@>NLu(=`>HJ&by}M zqvGh#64H}-YQ1sfO3YK^$L6V7%u~-<&{MSqkAA5^%u_*_pUhL+X`VXXsJ1i_-5ptM z^&aM_*)R07KoTkDsT>4!r+KPBjk3c#^VIk#h*Kh4%u|>5SDWINeEt1jzyI{@zuqz4*+}m?95IzP zETi(fD02a$3qpsO9T9(wIx!wuo8LO84+Y~VNNQhbX?5#z{b^R53(n3xx>{OFo`G%x zplARrg_WbLh1a6kSNXztc2KdfBBRRSwntZsmkv))jBgK&$M*)bBb{cEKzY$yq{8mP zrsFOnm&8$awM1Tja_q{V%3Rj6SzfL`+a2TC=pY#HXzjMpM-|_->L-kESBoN!I?#os zA>us3bL{&lL=5ao%lsOt1AtF21sN&g6^(FkGC60&$rxVKT3XWL9Bfu(oies3@I80A z`)rlofz!&}C*3pJb@!R@HlXsMwnhdYC|H4zzu{QjIAaN1D+%^#ZDxR~$Jr zJJNwnxde8;thIm_Qw_x#gPf<1Cik7x(d$T8@V0^Cm3yXTf$n_`)3Gv>lc&q>I&PXq zlkB-?_nc}ph%1IxPHc9k{~z9lF12x(oc4(g9V!QoJfaFt4d7inECc&5c4U-p8FbIU zT6VFvh*n!^aLJ@@s?SDeu?yaF*!m^sVglgZD6k1KyjS2>oD=eH$J51E)JOUPdSiPZ0;7Cp||r2pkPF#=`cNHExcAi;C`K zoeiHt;W;ciaqx&BJE9vK)snBPuGHws{J!l#C9@z=2IAxndXD@Nn)RnSHb^dK{ku*`^7ka2%!uk%y;|t~ z<}Kd$Pd|M6s3-sm!ErQ_EP+lXWq+uMo;<4S=Nk~F#;NYBDa5~NS}{za#demhF|ZrH}aYpSx)I}d+LkYuZp zqwFb7lH~4(!e}fd_>?NE$6=)%K(h4HA&Jl$Jh|b`8bF#}OIraoju>q-$k2P&WU4$#4RVV8kA8ziALLgCksV5ym!J7BjsE41DNDP+|V#K8mW zy10vL+Eq{!36W>(HTh&(ol6wO7OiFWUsLV&7F1W%*`=C7*uZ=yMa;nWz~%Lt`H0;O zR1JX^2bgjz$aQ?m$ao8dXgDm6$0;rxDT)`j(=#Hv=G3~f$sn|7BLcwI(o0uUY!}~U zX>Kcf-y0Ru2Xg*quq*xC=`Tm;Vhff}*A2jf3N{6CN$QNwVCrn&1%PbeWvchR0@cR0 z-X4iD7@^wV5!#(Hoakw$DGzZ zyNJ+Iuug8<^sd;}2$Ev+P8EVemEB!)&V~K{8$OE=o{>QW5q;U#$V?}&?1E)bBbG@{ zZ<7;pFC}-XnQ9guhSuQp3zsRgMIG?t7_b^O^)})3bhd5auXwm~%&X*{Co=o338$|B zeV`LEcG@tuUEh*im%7U~J08^=wl)Ij*KiPfq&#d>*C6(pv~4{^7}|nO!zM}x3W!{n z2{@I04-hP0$paM7k0{=i>s>aOtOyT{IW*y?YyAe&PyKDLe>5ylw}|2U=!O zb*@LTM;h3LB;!PR+FpV#i?|DYsz8tm;9f`J^JA;KDD7f9Pw72pz4xlwP`Vado7PB{ zt%jX~-bz*r+0hMpz}o!F;{^9W9K z&`Vu>dg#1|g+mh75Dg=HOu&0{M}T*0TaXRhkR$uU3R|(5;u$2iNY{3(Yvr}cs;u0V zf{Gk|8Qx2!=G0Et3wEtW#y^iip$@v#Sc9OCT_EOy0aMon#~*9Jh=!Ai1d@I}R6nIn zrnaEJ*v?qX42Hz=px))WHU}}O#JP0T@5i|aBy_wH0DsxD7sq5glQEGely%`1r=t&; zbGrgG-|B{!=^NwB>gw1_u%L6RFYHTOapw45EKg-)Cr6J#55m%Pq9@(K+`uoylO<`5 z6cgl(au>Yr4oKhFtquf0zKa-^5}^1N=}$n)u@G61;p`P)=va*Ey@2+CSOWyW(eRo1 zxyoQ2UW9w8@6uHZ8}#neQu@HvEuy)E%1tERk#?Whtv{NSW0k37Fe*U@$mM9 zbqD%ZU=f%bVB6o2GA?FSSKDIV%?3W{=sO7F(RZ3O>56n8>>jB!?@+TdwU(Jn+{Q5k z1u7p5Tcixzc0_y_tI0ga(^-gsPBBbu6g|sTh;KAD!ZUaDb&Hg++j;hQoxqL={3eG} z)h1nwSDU&{1oUy-p@McJ8?T0z^PurmF{&4qFV`h1t2CYUCBR@8#V$v|E_QpZo>v86 z3*cPosKgs~EjG*jT&RA#fd524BMuQw$i)NIlg4X;xu=8xzDmFta302uz3CzZfB=`V9g<=r zC=~Bv$P{)0_UUofD= zFsW0FkrkR`s4%4|;?K_W4H&@QMe3t?iVqDsVJI9t&~@NeNxt9_YP;b#QZx(F$cUUu zitq?!;J0nI;^VEb3=uOGH$dF!3eVgKy9aq#7IiFycKi&OG{F-z4kxbg;?RT5M)p1K zjum~HeIh5^h~d%V;3vA>wL^^&nS!RrI5pLyLSSi%_sR{-+*A3rcuk{~;W#KysrPI% zEmmkh&pZ&gmb}#9I|ZAZ!E*pWROw@3m4;5%tkQr|BgLPqLV{Y>I*qN^Q%JxQPKpHw zTX|Wufx959L)cQIFB?Y(*%Gtj>9N4zsZIxc&vhb=!0xFy)Ab`k;3E_$ zlp+l=T&9qS*9!T59dlkSGFbG2%w1eDO!a0%=D0|e=E>puXi7JS!}ZxBQ%&Se1gQho zneuAX?`j*ZTq$wOq?{>%p4NDw>Uv;#c06-R9`gv)ry`9NbO+7D8ctV~x|Gw^ayVR% zvq-~nESV_9JJBx$y8zr}n8AWmrAn7)D@2S(kp@Iv0W1_SnDKdt#VGdoh>%n9g?^4y*T}jYMH>BFq-jL=AVv7zwidufO}t-@f_u)py_j@Tc#7|KqoR`*gpD1E83g*P8jPcDy;SIZdY(I7DPGxM=1xhx;)@uJ z2icSsr;Ve@{EbW<0ro+}p>SfhD3^Kz1czcU;TTS8RC#)uI_*-od6_!xrf}*MY$x{u z>`avPw8zvbzK4HE2+-KKA7U_mq3#F>4PFC>#8IO05QE7wbxQAb(7+uKcQYa(V0ixe z8!;HOJV^*d>d-xl!R(i^S3IXqdp?GeyiA=snK}jYqTGYM#9-K3g4LxBL2{wU)G2K` z`P{Fm(=_f90wQ!rrm+VF^5AL*3#&>~oU?$_tKc2-5F~6t8lJb)D+4_2Y3%e#LZJ1w zXkgq8$bE{z+!7@OSbS%xNXAYZ$4+x$J({*(ln`iH?p!_2WtlsY)P-ZGV~7B6c##mW zln_u`mrK`9IqUe45Ga$EA?+GFt;-u_ukuj#>JJHlb{B&o=I)ADV_n8h@kl^Oz@QTc zzwM#y^(rCoECzEroo?!R>@?i*emQG1^D%ZxF&MCNUaMv>`oXbNuoW2rJ zgaAu32sHrwOG2QrOj&0;Bm^|YVAwKzh`~&3JW2=ztgqa;F4x#;VP&RVW2d+0vD3;P zd%1LFXU#g|;XHbdokrH=7Z&9WMQ&zzBL*X={^rv4|N7Oxefs`~Z$AB*tu;S<{QVnk`o>5rL8MmIem}o2xB|BL}p1HIQ0mf%ZXY@3|lh3cv67 z+0!5mHYKq=5cG+&r_ynOtiyNSx84#btmiqn>+MaHcrCjf5I*n>5O(pj_KV*S!@`Kd zX2y{LCI>(}og1*jEQO;0J}Ry?a~?m6ra22EY>yF z87D3hT2n8^iJ1mc3QhCg!WIMo*4pUj09Fai#LmY-@6?W61nVB#jZ?RDQacdlKo{ERaRzf`aBeJdBD3iV8fY22B z5SFpz_8f=>o>a|#dE_88+ffeG2hW6)r4N(SiD0G7+^EfKPE+g7!TfsG;%Pk&f-;be z+>*1)(f;0^E?`}Ma=Z)3Wu^PwQ%t@q_J)f#ZwI$$!kAdg0JAW`Vy!Ko&XDeAkfl+d zOsxs^>MX+x)QhS0xI5k@Q2RwpPG#T}z>fW2uwt6MI#hOWO}b548e#E00pUlW2sOAp z`(u&34vkNb2ae>eCG2f#JJ9EYW_&E$Fr2p)%gD)JHg0rL3ZcEyTEMSGN2!1Yg0bz4 z5Sh;4ATRjd$Gqt_M?M_y)WPftdGTQOmdk-mvJ(xs`v!$T7Qo>YLk+wxh#kGROu+-w zvsEAR1L^T zj4;K^%9?r$HXJN|;nW-Z&Gz~VJnJYwH)RGOv~7`6YCQfGOxlDd&v?-ohTP2of%(9LE`QK*7P{Em?f>hn4j@E*9_e z`(Db0Gw1#4r7X?aCz*j$b$xBp$>W^J3>++;&DfCw18lNUbD;xs=Il!?@Hyzxd} zao!i-p6y53B9c+gIU<+ZR?dG>IR?!9&fyR1JFuLAK*GjMWCWbx=Ep}U0#f#D6^&OM zOLCExu)s&k+k?g<*dR^Cr>9bDUs=|s!G;?6M3go699uAzjELhW*r+s!E0MCb8JN9d z51Ng28_*Ls=R(7F*3{|fykBCDO1dYJSZ{}@0G^05A}S#KJsher#|`1ERS!fQ8xhKo z_Sl{Gf^~w`fyK~mjuJMs7~$Uue=qdB0}r)s<7BbUcw#N?yIw}C389%KWcEln`tlZJ z#$bB%hw-%E+gX_!tZ3leZA2A~@G&_y&u(a2wq-Bv#?}A|fceeVec(eOt|?HpN)5oq zSr=WXRnS9G_>PJnhgl$U#ZvGAya9dBJo0qG=H)CF)M?5cu90nr09w#@ww$nSne^Qt z9$+(Vls8p_`heIZ6-j8pF=y2P*+S(t6`K-Sj>mbNIH?6EsIg~~1vF;L*i;qor=s&^ z(6>4l0Fco4jRnI7VgpVLG9eyGgMINC^nE+%yD99Mybq$*Q*ZsE@6uFr7ZJcCt2D0e zB83HZP1Tr%F?FhwpH6r5 z5La6bC!~vsDR(^RJB>cEvX)xsO^N+6@Sgrkk=p3vPTxuXkw4(bu!C4e6&sdp#Wq|< zZ#&C9E49Fo)h3JF7poPN+dBQO152u`K84|>XOg2VVPG{)4^CPpV_wdlNyMb>ez8h` zCVi)$6X-j;d?=c7vo{(VgTvb>Ej7|I3E&?GeMg*N@)r2vt9kJEU;Or$zj-GCmOkOH z`g{KM?vK=pw|3=iAdQWA)xPbW21!}-iZx(0S@C(}X4(dhl9c7WRR#f_nhiHEeen^r zJxX7EIs4)@<@efA9hV*fV)@ZC*-tD-ia!>?@~bbtKd}6ejH!>l_;U43ZW*{JSl(n9 zl@%H6;ND|TbTf^_^7n40{!x*+`r_@YK~k{G1IypInTC5`ywq1>8;HcmR1+Owk@D~+ z9cK;)&@3r|6h`oE5DAurK~@Y^?M9?3Bt=qySyZ9INkvMIXzhHmY>X$SW7QlWBQHl~ z{wmDxg6TL!8$JSZe7ry{Hrxg$KIjR?&&HNAmaLTmM_FkMW0qsSfr2s3?<_`EoJ;&R zhZLkSUgX3y%|rn!32;2{uUO_cY>WppOVg*ta35~(>`Z;Q(YFq1RiR!C<*sl9PdihW zHl+MWTR8AW_TLv4M_ZV-Q*@F$pGQd=<9X>Zd`4cyN}i-}R4MjsJK7rs!EhK-uvD^H z8ZQ$;K(@MrI9r6Vt^mEjj@k<84p`4oph4o%DOrMyNO8`ey@$Kyh(TKyPJTW_W#)9oZVto1002@dEug21oMN-VJoFb33 z!DBBNeejf6B(Wb2KaZowR7^x3Gx7mXr^H8F{6}rd@i#$^4 zMlM`CnF2m_T0s(b9WplU#tO}1*cNZDws@~W%9+^WEL6=3jeoL8%J;T-S|pujrj)M+ z(>mJX{nZw)5x9})?1~LtfcT>=9>ju{qY)ISr9*q&@+JW3ITuwgHoI`CJR0P;E7si) z(TSn+H4F3Dc3TI7^kU3{P0<6MdZ7l{*nxh)g2h!sd$QpG`b_d@W}5HQPtR@f&t|46 z)6sZxre~<3=+#J#$8oR7iOb@tY5tXTo>ief!7n{`}NDV+k_6 z>1l>@GRJ)@)zO@~;Xtu;?63P)&JK*Zm5`eN`vf<*Aw#-#P>b8ta^FhNU=IHwMFvx( zL3d+6vpC=J;`nq%8q9i*`&RBhK;d%OR7+Lxao^fS3oM=_J+svAk9rJ9y6Vq1)x2*- zf=-gYN;7iB!hTNTZ= zNKc6;kjmxg*Xx~^fPkFTM2b*aJaoNxY9kdu^38sqa&~I7P;dYa?*JDtqpazefv660 zFZRhG;5t*8YU6z|J7wwYDaur~Nq+}YZ=|%eNGGYBd0BBPyeI+7m?66nSfNTQlhqehA zIzH-hxU#WRJKQe;k>wkLChIGQGZ@zyB&gvlz2yEN# zpgM{pm}150utRZ{DUVU8bV7zwADXirn0riM(uxyw^@V8;9Q%uDVuuRTY=R5zJ=5$b z(`>ZjOrK?%uM?Q(-Cya-KUWP1NW4EJY=6KsPtDnQFwJ8+XaC5GGu*8>zr-{}#Sg4b zn5J#JR7$XjP3|R-0|SN@MWzV=;NC{e%!wl|jahi(e2HbbhJJ0dR~N$Ruy-FLnBf?~ zT;4KGLzSIzXPU@cBbjC;`;Bb&AL6g(MfZuCYk@_Jr6ErQ?1=bsTX|Bb3R8Jy$zF$k zOn`9qVCa@yVKc1~8jMs8OircQ+5O?^#VJfPjAy3FbrzRTemF%d`R>iceB@xFNGbr zvw5+$=x7r>3nCl{Vg1BoZ`6rpqlxhnvtS72nym1vD0%ba{`l8 zvkKMRwkN9DUa4j{-P`;SXNZAQ_ChuLgK8@8l2A>&S0>eDTn+(LbG%Z`2?lXa+2eT` zS~mYos)=L&pqlK(;*>q9W~H`8@gGu6Dg^OTAhMc(JpZStCNBfq=cs06Kf6DvCUV@1 zYIa^WK2c4eOMIr9{y{Z4MtDdPB8ql=v1BPLyZOonEl!{18rBc$E>#ybt66JVTc5zC;yLf;-tJ zz&#;*xk|!p?8qPnHx`D0sJWPgJlr5bPJT~pwL~PrcidgI z69K3)73zpZTnPYqiojQ#XM`GEco)+ghY&jsB%;W;VRK@AR~B;Qip|!>K|y*gDj-%! z%tJNrRt)kHMDt09n~8k7IerPdvZGofLIjY*$lGiD4{aU6VExKQH-(>A*=FL%1vL;? zEs4E(Z1O`mC`g4_aH3L|k`!TgY&JyN!n4_Q#slJ=n{puq7m$Q0+C!^#@hlGp-sCIA z3_kTSHN8YR4cJp=Z(L(^Qxs|t z+vmoH51=dLd+++bM&Pt7FtzDo_fsUuvQ$)rY~>Krg>@X7OG!O zx&C`Qe>p(!)+%)bY)YBJp^x+)q`9i6ofo9*X6VVZV82ms7VuYWY)DgqZ99`%MpEQM zGH3nZ`I^w@2K?^-rrRc0Pp|%r|&;%;G>UoM~*8@n{Ni3&j-#U!sFnTH#i?poFByb zw6WC8!OIipWhBS+y&X7T_pEh_cbxa1$9XpJeIDoAJDg`_wgKmrWry*F^LVoB@`Cg6 z1?TM>oSzrYM^+X39q02gco|L-Uk{w`&p0o_?-$N{!FiAc`mj-dIwDOO)S6!?0u-X2 zRQ|?MnpCV(()i~YC`6Epy^@q3nQU{+Y~maENVHwH_Uhu!NpL<-B&_TfZ-y|RJ5D@O z)wNT7a!!S;mN!kHSV*-vi0Y$A}U{P zT>za$Qv7Hf9wQx!0ib|0$L}pLA3)Cu^GF2x0rMdeWdZZ-wE)cX55Yy*(pR&HHq);M zVMZ;y4abim5?T--N~I0n2@A|qcan!srNG_OG^UW=kiyrXtu}9~0rQ+WuGkU^EFP&+)|q<5+s=_C)u>c7n)o#f z%nt-@4!w{=(*HSjI`AUP-#F@oaIq)gKwR!HUk&BQEa!wXWgV!O9eY7OalyRV-HI5< zc8G203+83L!Q=HCn5TmA1LngC^WMLOd8*$K=Gnix&=!v{&w*Hc43pXBF^-Nt!o18s zK43mwFfY{`fQ@lP`LoO8gE9N64fDt^}BdPdWv|`M`Dvc6^_|2opb1bd4Grb z2$-*gdFk0<@#X>ZibVT>d37(|RO#~Q{toj_WXsuV0n(&dAi$Q5bm0KZr#c=mkBl>9 z!aVo44B6A=kb_Nt`R1`D8+$w`Czn@Hk0CIxcN$kyL#1=@ihaozKSe8gib1u-vzhZ5 z<`4Rw>K*3I1LmWuQKwOb9h1p(FEHlQWQ z-N@!kh(f7p)u*awB%zZp3Jv##Rm+_n;z^`dx5$hr{?9P zXy#TH-wVDQZxj2Z8`rH5&%s9aB_@%@#*J6gCJWNPSsIXT3th*LwI%4A5h zD`!f@Br)J=A|MPzK_v1Ns7s3KT?(fk4*%b?IQGo-N9 zRv9&8hNb$#Y7ycYsPd*kJNb-}Cm*t1ll{+Bbt$GyYKG)V8w4;4yR&bcdo+^3`UOat zv3>)8*$mi=3=NHal+BG^*+*_=@@U}onjRH2s;(om5I)YSA-vtP<8^MU3GB8;ewL$f z2NA<^*rJEHOJyTU0gs=$)3=6~lHdl2OgJf;65ItW2`3jhAcYt3)tPT1`b$mthNagQ zVFy1CFx~MS31z0P(E;JNn2y>}SSW&ka5ayjaOSN+_FLCfmkNx>6`WK{BZ;WUHklmC zXCrw+UcqCvuz19{Lwy&Oy3~#)GYylA-$;(-ni5gIgBi_&!`kkXL*<(EUsld+nzje` z;p7n2D4zU_c7tV>^nyjEE7Bgr+TKdvdmsor&68u$__ zP0@I1u;{CJq|V8qaZV0RC6hy}d2N#>K?#sL0*5z1)J1cdRV~(z!d!yL1aqp9m+wq4 zXbuzDibBJ>%g%xkWnWp;$kp@Pj)D2F#ymderclv4PY(otcaI?j}$ z8%ZhHIm4R>q!ju{DM*K~A(kVh;I-zPlmZ#Adrc`4Q;K*`De6%=;@F6ni%Jp0i*Hg2 zf~@H3p7#RtGo>T?)EpO;pDi8v>!R{aN`YgKkc+qpK5MACvCyKf70_&sbgWd4jCQ4L zBv2l>j7Ua>hB)gs`rOz&o<$iwWJ-72V>GlZSMdl*1#%U@rDzpN@o2=#y9AKcI=hOs zQfaFkPoRHN{kpu47UzQJi97zQj znXQ?HP|#o%lR0WfD)8+ZDGHMc#iW8h7g}mZj1^9?|7Y0%;s$_1FC#(5u`@%ajn9sq zM6|Heba*bHY_aZ(rw0i#i!PZ3ehh5TCaiQm3P=Ts#=U^FVNtO0LK$j9X5kU8 z0#+#UasW{Br2A4VlcDl zO%+7e=K_+E%pw5b95ai>J>=70G7EjrED~Eal89U4+wt1cAG4v-FuHDRrCqEbyeVQ) zcNCDqM+GDf%+<4igk5uF7Kc6~GK)o03+f0r5#fC;AW3F%@D<5$SwI>`_xM>rDw>&v z2Vs!{($F~kM*%6H1*EaIL&N360#ak)kjgoDZec8lR*AEcuLUGz`usF^{T6i}PM0j2f=r+0r!XrWP)N`1~FVik{3QxiC zhPa1af<>Y7Nv( zZe$kheL{RM;E%K}I3RxG{QweWkPUF>#%@~M81tQIC27!LOprUfWuvJP&e}ycw&d{&Y#O9A3f1 z_!v1KbXJeZe9YYL7~kJs68!pILwWr1zyJ8{1LJFAF9^l=#=25=)tkdo8i+}yNgx4$ ze@o|3(BOBakW~pT<7pRgvFaBPd0?&9H}2IP4A?ujN9uqLEVkQNQ#RB&&3YA?AGzA& zO?PJ&G>@U6rBgIP8V;quCu~Q<;i1Wh4Vcb`LmZb`09$}2Fo1~&s*X-_acW*T6_nU; zh|i>Cw!eu##;M8ZCoK(}_yMm)rh?j0K@yomRzoH}!D1>0aB95zdw9=qHy>r2q6~mi zPxqn8xUaK$+Ky79n~H#KQJe$=Uz|e}lo5!%V|3uPKm<$Lf=3=`2U5iid19IyVhioX z5F*?u*Ts(26%dy9ge-I`wN=X8X-^-EiM1Dno-{c6gq%sK1h%_sE(u$zZVtP@Abk!C zNVK9dGA+~rCYG9lG~5MiF!UJ6yr-b%5(4%%!AU8Ghc9xYmNUx~?xi?<+IYgYwVR_`R#SWHV3VsAQ0~{ZA?f4T8lkUhE zVq6WonC5olAyhfjr)8Z^tGuj~2-_)yK#e#A=t>{(71_K4^+p(?2Vkq5VNKNiv0#19 zjZ|q(hh!zkIZff9oKq8Th<&dM%_H})nu@4Z^G=19Or|sTP|EOllC2bO8IxyKn>D6c z+D|PMuV?=_zU&Ta#X1amV|R!g>>@Sbbwku%2ys~sQT2HqWQCs9aFDI1(2Tj@0C?-eklyLEpw=asG zOTp(;W>>LYza*T{P__lDu$=;31_ALH^PDqpR9g(LBPtwXi}xNL@&cqI8jFteW3&kg zp#qt!Hict%iY~pG_o(bhW+Ol@U~Uu4(^6g$5x{y@uvcpLA=zLHRj74O*zw$Wo7w@&3rB*&rO)N)1KC;4#KJoy z;UV5O5HGrkijM$JX`p8=OJX!{K~7111%psgsqXMvgZb6FTVD0&PyhRmU;p{(0)Tla zD+>Mj0ssNd@&X{+=L-O;&XvxI?ehYlKP~|J{}~qm@wx!mo-P0=MT~cisfs#>Z-vO)`1E)5}@;5yB!>d$|B8SS-s6=G+Qp%j5>YgH2sG0J^tj@CE>RM0nf)_~#n{R_wbeC0nE927pfr zxDFmW*wzW^}n7VBZ(FfZi`mO1ppD}`2t{^7XYjw z9~S`iE-!GY{EkX*m5(j}4k?-E3jjJ<+oK_)<);e(rnq?Vrwf1z&>TN;0pN9~qjzK2 z;kOez#OnfJU!rkHiLomNF95t;08kpc|KtTgd%ghZ=LG;25T)H6h((M#yH$ZQ~`^BP$qtAB)`dd#9Wzfoio8{CUTq@jNYzRAK-%){3@ z;uD;z?rhH*_mQQECmTTC_S3&393iN88CgfpU{OW@ z=#>u%L^w|%2$5r$SSmX_&4j#+udZQ=PVbGjGlhN;mL|=}nT-Q+t#e)G zw&IgAD^Zqru1F5>d0Vj6*UZ$0)7@Y~TO1rkzyrlrMa)|%{Z(+TR<_kGg<-wQydXjZ zr;)J3x7~ve;>`_U-XYDOyv^-6Q+aN@?N$afC^ukT=jCo(kehV9BTDYKDy`>y4ZDua z>jTOptPF$H_~%*Ul!vjwP2~HTozNj@uo$FzRC70;@XlTbO9A0Z??#XviU}b8fwfuy zK0Ktlj9MTsEVij{W??8cmz1AVENdj6rja>1nVXz z7UYa`s*w5uo1gojfaaHpfP#b+!5Lh@bhe!%?U-H*rn5nq#7KnkG<$+LsXIKCtoUYqh4_cnOM$eG|L_)(dObCtReZ)p_?cl61K)r}JucB@ZvLXs6 z)S{QE^@-}CQDDG2yeI{l0codYYNzsaa>|Vdr~})~kxfi&$+;MO0tGa`1txG>Q`3M( ztcKd5B)`B@88{d zaL#TG)f){Arl{zg90xF|Z+oCJdYIS?$j5TJ-|2F;3#=w&ol5HcJy&dE zTGCBy8q0Bj*qPZW5pxU+o@3LUM&bbDzBVN}N?RKqiNoi_*8#?Z{1(6jNRdi-AjA|F z`FRy0KAj88{q%?k5kUr|8mtBJ-^rY)=b#exBW2=8xj+HnRRPJ6@Pq5~S`eGQnYmDQ zqevqsTP^V@sW~$N?j$S}bi_NDp6SUHIEsQ3oMmPJKo>~#J*byjVy99TQt2HWaBs+3 zuuUx$COHCxAJb`ckplBHl3=NB1=c1!RNE=B&aa=BU_l?8)|`>26%TKxMd;TeB(min zITN)ERiyjXYOptnhB_5Q`JGp}m4iFTL{`u8X3mw2m_qKX^fSL<7w9gDIr~JlOecWL z?Ax|eVU7*zTUI8;yd0H&@KHei)hF7nKG95R$HUJk@5h|NG3CoXQ88nt;Bp#B*Bp+V zLy#v*JG0Ae?yy5t+=;d{TrQU%lfU{zd7FzD2%8l80X6R`{Q!1Od)~`LY-$UtAg=6# z3r%3hCPMN|nqvtxec?DjzxqV+ygKUvtn?%Omr8$p9tXf8QdSMQ-(AOD*!uD`4xj<- zAWWm_obYAHrf7l{Im*O7(Q6zaAL9UNmx88ap`V9gO-H34tFkp(J&rYTc4Wm%Z<+o; zdgs{Lbeuz3g(2M7_RN%1yjcf0N!#A{iOzea|G7`piZ#@Eo4bl?%qoO%F65i(Py+MU zZ7w~vD`m}IQT^SZSNin*H$VLN`$vr^v5}941)xi}-eXZW4#mHqdu=cGx#Lg(6KPe@ zB^-?<)6zLSp}RNf={~m|_qlg;?-#oF7j$0^c>lZ5{oN3N7tNS8%6+bqyylMXcb~oZ zF}m|Uw;rXUWEZ*66%}9c(D?w}?JC1wFX%p=I(BxT`%Mi@SC(#LbTSN@hikB*J zly{ay_dw;S5uee$p6I^N7(J(FbT$3eU4*gmXZ1vPPM4atG$hp^v`9S^mY}DJ9S>NdJy2$P zM$@T8bO$=o@d3PC?p+1lJ!idk&U#@p1udgq+kx)mj_&D!?rg@VIZ&^jcfWy>fxHGl zYT5zyk=Dp0DBy}TD;x*9H;%tiC?VV$J3lRj0+b8|cg`Tt5@NM>AA;_7+NIGPkE322 z(Vd)Wn{=1y$Eeo?x@+6_flwj>V%S(=)rC?mIJ?;J4fKIxZG`t!0FNxnD=-kE`~4o* znOAf-R2kxETPw4Is zbXNzu`#ZWXfOn1$l>Py_>z_vV&H>)277xB~40|OGN{097?qAXUT@mI<=`noz`rAK# z75?s_EgW>hD-@0jm`slQ!p1stw_+$%N(5 zdPG1uYcg-0_E?jN(w!-us#i@$o;q@EJa#;+yvY4hP)G|h8_?K1N*f*)yFo_94n6Xk zxeEKOHypXO^i@YDg8+L@v#)Jg4L_CzP=_fRUMGC7v*Cq>~1#2aPkA0Va;h*x->6|l@( zxq>{KjgVj@uJU%mC@qqS)38C5?!Z2~B}gr%BJ#IlIWm?F?<@yh3~3YR5xRIszEjSD zmL%2dHeGXJfbKKMv!P=Vt;eD`keoLK=+)Tmmd1Wko{E$Dz1Ss4@!L&j&709+c2-9= zWLNB80;gD5s|lH%tx}5_Qi~av5E#8VM8B8nZC8EW|9{#$x1~#t<2v8>Q{V+`10+R= zR`{aWRegv$xa;8B(N~Tkg%BAc00s!yVTT{y>#OelA7+NIBul(T7f8w1r5 z)AwPcHw?X>{7c2zMJa8EB1^P{7qDc5a1Coj3NLA*e|h<1`)7k)fgq2Vjui>#R%z;Z z*NW-SR?N0A*j>WpsOyy56g~BOknrf%fi7863Z#?vjTUC_M zi3v-3D6mkm=!?*X9YkqHW{Ob$&`!qy(bgq}uu^)dQ*MI$qVe0(h&wu>(m0nL< zodsbeVqW)k!5lhwAL}v<>SfLha&+O@*B#406LQ!$^%7^~=e}-wr^}FkZeJHvlSA`J!GTTE3w@vbP3{?yLeuM+Pyj z^{OUV(}P^^P)-t*V??75ly0d0C|Kz|mDy99r=iOL;|ntdtH4U+-24IRgC1Yb1>WV* zuTdEV5nxzwFs$lhUw5v)PrBZK+w`)pt3Rrwkmo+21WF?&xnc5#EJNYz2PEGWh>UJJvJ5tuv9G%n-1ufiiq$q+DGl-8bxYYSL(>UD zkWuBg58kCh$ueXajD6)@rc0I~(O+q1j;J7W{DxEU;9X){LA$&k3i7QqWM)#-*=926 z`#hq~*w+nXU$-m^YS2vu;x;X3iibrF;>`M*l#;hBgUe}I33Zs+J8HDX&L(Ea3q9eO z#v$7D*RzN`WB%k*yZ3e51=Sm^^lM*tN0#BRbAg2q<5Ev~UuQuEngK(VVWRqc$Ets` zuUqbY-Jag>>#i@d42(+kO8Ug?w1usCf3fO^^54z4>=jEp_ql!DbjdP2f%^2GucKId zA@yRh0>V-G#HgKpU0#8ZdOPf3T)yn4VO-YFFfNBG`-Rlu&)u>N$J>2f)^(>h z`?^q_US%1u*kFO%KV=!h=)>S8{oL2p+3O>yn+aKlv9D`iWf|}YgK^mgQg`(Yhc zm}SvVVW(q}4inVy<4t|Muj@ZS>UBt~zGKxZ*Ubd?6)-&={o2=kVb!}A#-%Uk`>6hN zXg&Rp58wU$_ir|LK`u3z*prFJ>8@rB){w{tsZLY=Gq0vfokhe2ZB>{%MKwu9>2cq4 zdH}&;?$`m*g$af85LVl2r5&U6t?0H!>vg6Wn>Kc|RgCHZfzZp8Zis%qIP2|#lk*x? zndSHtRy)w%S#U7Kbg{$J9Ane{(jYJsW7Cd$(H`HJDzUg=&UTw&Gs>QGeba2O=`zC( zw;KC&L-cr$O`pu2=h(E4i1Z54X{LKe)1J zdd_hPW%G*hk4KO8(quR{+Yt|VI_u48YEWbr-K2&G=ca?Gl39R97qx`FlsLxUohFnZ zpx|dm>T6M87oy4n9a#MeDy3o6r=10AmyG&@uBFPb(?9TtS5*}ZQanjT*mlgvkhVkd&_$+`NEw``@S#Or zP0?jiU9%__EfqCp!3B&rYzhUZgXE|b#x&dr<*RVOWNDu>((ESUR|lp25X3GIl|s4) zm_}oL=W!QG2W9{QpT4hHMB%0Q>aesS@P)^PV7phzgSZ2+PQTL+x5KEUXipA4x@y9> z?WheKZ2iwrWLO`~9hlbWJvV=t0*J&SK>^Z0@7*7$ObdRj`8>~Vvs-nBYaPv)sQS?A zJvd$7;ewsuWabCEa2PG9Y&H1-bl;)I6x~pT_`D6|F37+X^&H3@sKdk!*Lfj#)j=ed z1(PM{2yVEc^rVEcHmEUX2x{W@tZRN+l<=RsvAa=-rx~MnH-fcak4vU~dLyj%h_ktc z)n2$U&f>Dipv9uDZMcx z)O6YE1*K6Irj$%O@=^*oP?i<=&sqyQ{s%N2pnGhy5c!TL1z*uqQ}!vdr_Gue+zp5} zD${7B^t&Y^l&G&Q=#CJh;EyceWzYJ|1XkeAr>YxHiQhUu7J zdYVc)tVsc)+oPy(Dj4 z4rH%UwNVmEr{F3J6G+#&VW$d^>q?wVfHv$wDwGFyHky0Xa(YH(`EZ+iC~E2a3i;bZ zNDqbx{K^?IZX2%XuWLOWt*#X6da}B(GmGE{O{>$?Ew;R^%dC97V!CuJ?igl9AtQmR zC#u-52w?FkbZfTQrB?dR3hk<57!rm@Lz+fqf*-1ydVPJfBtHSVbB@lX+3yF+2#Wrb zfT5t~Lr(>^vehlP^r3gO;D=GENAyBzQ*|zTFZ69HnVorh>;6{>`9_&qj6IU zikpGxAB0d8pq2_M_kl2Q^=%q`n*t+$nvaN55H#nHOghei@arov5=mX0GjBqEAW;$g zm$*$x@(?RgM$pqx@p%&?U1e!IVF2jkCE(sh@aH3$EBK#K%z}ddR0qWGvrY;-Rh`ig z!QTo?K#bJEP(f05=PXr>AY($OA!4z&3`-0!KE%j|@e=yui1O&0B#8Zc8lI}EzVHK^ zNdlEM44TGa*#C^Uo&?1+oNk`_6De2N{aiuaiGFIRV_Eeqi3PN?i2 zfj+SqsH>`?qSM+@UKs59-pn$cYu&tyy6IL$Q{3~=XI@6<=Hk$x^^r~?HAF#t7*kdi zpfGC8K6>poz8crMEdXY6kzHLg~lR8xgnFm-k`)SD)-z%^!aF@aGRdyz1Q; z7G*DPq~MPEm_759N)ERg!o`ie*fSN^+x;y!(p}t0^M)Jw5qsuen;U8Vx!lO`c;mQa z{>9wLW&aF&CKJ~Odq#!xD>qVwv>sZfoiloP!r-)4^w43?Y=b>hl=1c3&jt2Oe#?zC zAI0^-o^fxuk@+2aW-AyouPADd)Duy|zoNPVo1OF>*;Ri_pTYd3p`i)!V(p9I@I{WS zmflD7H+=?MR>e=%lX)^va%3Ik$bG2KxUt>P;@%-gS{0^D?V!(8uZu7T9Toje7G$7Q zW*QUGyd4A{^ntmx_f#yKzMrXBq z^Nt+3v(afH#^(&l6qc7KIdXvyGiyY}r$dMaD{*WUT*v%__hV27nVU<2-_QfDa zDvi@`>K8e3La1$KWh2$(pXA7ez@FZcBlkg$Y_lH8Tl&nC966IdX_- zUgXHKpPwT~wwoMT-;pCH`zbl{Xixe~7gYaVn1r7pM>hMG zJ~POXQ}{eNGX3?y{z8uIujI(-DTCl+d2Px^-GZXP{$|Yj<(I!67AJqLSyTV;(}(Z= z;luY|?BD(6o8SNVMJLpM@fG6uWi$;E#1o_YrZQMxV>LZ?9dJe6LuVxp(-YN6di;;7 zue(zI1XXM*;MYD+01M-URRV2eJUOJWUs)RCnZNe`Fugyc1Z!)YfVRx|Tp~Z#Nh1(?Sl*M4> z5f*ltP7*jp4+~Obl_|Hrol7Y*$Znn2lNHO|>d~qcb7h++-Hcj@H_fSDXQBxb2fMd0 z3{Nm$Qr>UHWmnuD@i$oURSdnk$_}Vb^0cXD&kdd?~dTZGJk_^|bb9Zve!%Ydw^N|YfpngJLm?F{;Tg5bg;8ihfO zoeA8e>$fp(o!3XVPS4WP79JVH%-CrQVb`sNO$Az1aPF*&EaL&=Yu8MJ7&C1fJFC6g z-i_+VJTpU1>xL-0PB2CjPM1W{RbbcR=UtSWR7k6yQQe1sWlj4qdq{#5gzU4Z5<{vW zLgES7>-e-R$sUh!bz@SolJ=PPQ(;1n5)(cbg>_VQ3lbzjy~6?}AvMv{R*;88cSIC> zmasl_YchaOIZ}mugF9bbrz)vK2qPQ{83yfe9dfH0D=Fv|C|P=I*p+lls1;O&jM{!8 zw!((rxkE-&_^PMZ-N(615mu*5$zB<@4Zq_w7Ju|K5n@0UVL#^WRA$3kNibkp6z42pWS-h-edTz=56(tQnj*YKfQ6dRVe~0r6LD|jz;g3kgq;yW@L*( z_m2L287A90FN}P7IjU2(I>scG7IiO`sEPc-iY!k#CrCbLCCNQKwyVC=@YvSfp-Tz! zL15bMW1b6P*CRitih*u59W#sclD?llwUCg$w336-GZ?cvdc3u`*2^&L%SFkX5$mwe zQt8q3e!}zn+0VnE9b27&JX=o-Cq4{a4yXO6)f;zQs>;= za#Y92gYi^F?qXB4MF3O@&Z`W5JQPLtg6_kzRF>G3G!;aY-Id8tM!LGO=;$D)rZXO3 zhZLhy50xqOZK^LVXFP3P!OdGCgAIO_+B$vD)biC=Uw`?xp9rYM4}bpQkN^1UurhWs znci(E7MVkbX!QG4uL;WPHR@xgTbyAxQP-q*)^S(s6l~xubb)I_l59PvhaY7Fb2`0> zq<6Zrz*zTT)M{p9(yRhX$2f1wnjsXbcx7i{p^1=xwfs}s*dumHWrH%%4wP>~oT@S~ zg1V=?K^H*42|gb7S#{_$>V`J;cnGyk6zUqXi*9*iDYug>!c-+{$cVgfdyTFk;)lsS&TvS5#vpEE&RhRqbH{N zioRY`dKi-e8X3!p?rEVRXD@3NJh#K$>c0dK!aULH4|)Z+=eAe6pRs)=(Vx7<7!9)< zU0WR36by7undGQ4Lb9$SbTm)la7%1R;Ov_M0{l-`mQDEq@9YXa!|89eIOgJ}HcSIh z-8s7|Y+l}W+M=Q?tu0xmJO=Jf!EZ?rd#9y46DVgqrE*#zYQ=STqZNkeiHiP&nY~>E zu1|z(nK}2tpWnUN6rGJ=#4tx(1aTm_3#bd*nsSLRn zt|gp|ux7%$SFsO=hUvP8f1vV0Xjd2^&Kok>IumZo_*!CA*Jl=51tywP5(~}ECvIAb z1BGL)JlUb64U~(#l}@{AmGc-T^GBLi9aZ!r^jq{}k5SBHz^HJPPbd$0(`hiYaN{P3 z+)*CoCE}dUW0~u&t_+6p~VT)3Rq?JE)dvXc=@Ariv;UchDSk6Fqx92KeaNMMY+g z%IJUK9fT9eQsF=C=jjs5Q67+f1Qv010%_UDzAC{AK}J|PLWb?NWv^Tf{>SEwmi=K( zLT+kn$Z(;ipBQMb`-+soHcHsdas0HX8`ov>_A6`HyyKEJkgzWl}C{>|q|mOp-xfBNQ?gadF53be*hnk!Nn zUpFB!Ju}Qbp>*_=QCwOTsr%Cgt70@?yu;QjPKlw!SvpS2L&G2)!!JO$T?bz^m`b?D z=4;&cxflV>tGlE6RcR?=f0(v&8Zv0Q%Y%%A^K^f9cLGE6HS1}X(cLL|E2_)Nsc^0e z_Bcya5=74|ut1Igj1FQq3rB|-qQ`7bMaHA6nrA?Ku87?G6LU(X;1o>Xj%AcNg4hbv zlL~%@mV&yQYE;CcMt7%r#fqVV)*f})hhO!IkmTj3os3o9RAmAk&)Q{T|5Yccx|Ekx zqOjDCwfI=JXU7mRRA-()~$wJz)PZm?hk9!3yK$fXJKPyTEf zn1qEoVI*~)!amvXcI=6S9g37=Fw2b$0)C5u2F}jKP*F?zIYg4N5Y-RuWrCX*RSnl- z{GsA3m%(dO3~>jvh?*BxYWFQcL07%uj;+RsGi}Mt7n5#Vzy{kXpZdP|$Kkxm`NKC8#767vXk+--d>#5*+6=41;QB%jtYkE3hd4{|hamzN{TT$;M zYU&GvUXT-MG|qNjRr<6rXgE+vU5kqQiUF!D!nCi1zFu)Q&9w__33=(1`*jUxyEW>S z-QCsJ0SdsN&q$*^+d95Xg!O*;8*XG`RY6YaQfPM@18EyidYP*tuB=&r`2DeE z0T5*j?tV?n7{tEVU|mky>%#0vD8ll>V&4bF4QgnHB-s2eI&o=mSEgl zWwgKj`pe&Z{q-lIJ^u8=4?lhTyFdQ@KYa5V-}QazcGI9x{YV1ZZpw}By1*w)937Wy z7^}GG$u{7PWLn%dl}1Vm0znEJetqa2af>sp;*q>0WyjLYlKPi-JQSjUFsk zcL4yd>Z-*l(<4O31f5O2+jfyB>%1QNA;np)lznbOZOT47$#eklesOMSf5rVa)HW!c z4*@P$^*1E;5~kNDqL3%jHp@`U9D*cOm4|7EuEy5AeoV1n56sxPE7a|ikc%Gb zp@OR@fo7OGI&{q+ik8aYwVqI3Q_U40C}=ilzzJ?DjOUa3oxP$d<;R{Elk29VPeV-@ z2D&-ATx>Qg{|k?=4}*K7-E+aiW#I2ahrGHo)V%kJ&UlZCqb4X1DgCjbk$lE=4T(4* zVjQ$~D(g}>rVeS^eP{F*1f@XV+f-F$KjF6uFrR4}32|j14EDw7(=X8Ln_l6ZP?MUs z4ex5~zTKk#@mVMZ`51+rLia^49Pr)sR1~!#EW-0-aLd-b{vM?*)jq62nv?_8jlSX%rhfF>~W#Bg7W&9=-@Hc z)OlGE6BG7UI#+bU?56jjBUTunC^p`Fczo$QzDXDKo{px9;fcNX@XmxbUPIN7u=j%B z6$?3jY(OTa(o-Pqedq4#u@KCt)A zh#w}jVDH5Z?Cse7ssnqc(d`)6JLvUe%Cccbs{KUsQyHz4s^f){O&u=K}#E zVXuV6i>~dyqcZC*wv5?los3{7I*ygjNoxae24K#Oz0Di!U9T8j2KGiNd0*DGugiem ztY4yP*B2x#3DdP;``sF79`nNxP_@CeZ@Zr0c;H(#h zDoK3^3vmJ!!^WPIwJ6&-rzd-;FsU!VJ6wyZC~mGW+8CtZ}*%oLgcmQ)O0(BFJZkT36@)YI1F=frI*e) zLq&f0bg1gmQ#$OeFQLEooX%Ld3AcS!LXv=~<-0wnj3fbj?Cd#RL)9taG%K)6&3+7p z`Oeq~7UI5-Ss1e!`ktHO_KBF@Y@d<@LgT8z9K1h&4^@?}#}1N8xWk^aUYM}!P>H?B zGFvNxVy7_mb~0EUCL+i>v9mu}7K~=hHQZ7hJdi*aK~Ypi*IbPvimLA?g6@r^D)eAOiw`tqe8)3xs8-!HMoTTbku5P9p%#|A&qG)fY) z-wxw-c!=<9s%lA9gL*tJUV~LOeW5?CgE7-@)fuIWm%(bpOhPE!-1_o;R#Ly0SmRq= zg3PwlMw7;pVp+C&ZaewsVAWju^8Uz+0MD&UaPP1RITDqx%5X*pUw~v0TuPuAl@jU2 zLxk3lk^vlV6up_O?ur2{EabO?)$~SR-VYBE9p`$4vkr4{lNhXaJVX?>Xp1lBGCBln z+3Bwi7XzoaOFew3GTMu<%bJDH#)72q(o4wy_22yF%c~HeC?YhXyD#fiV;-X*-0ib&{LvgQqDj1IpP=({R6RWm7p`#xBxhTBl(RyjLURXs? zl#4ru$&pMVt(T?@=V-n3#2%Dt9!0&ggfwlZDkO}9vxI$PbSFWxcWm3XZQHi3jcwb> zh8t~+e{9>v#2@0aJEnV#vH>8k4K={bE))vv0s@tuzrM>dHg3WL>z7Z^Kq z8DTWymSSugJcSNi(D^vvq4#^;jfJ}G6K*Mnp6kwWZb~jE%fdM?t-5A~yLA}*Q$;xM z1r&c>FgaS=2}kFR)Sl3V$K|Rp1b!*Smnb$=vh7Qr7h9&<#u#dSpzLM+II=P1^G6P0 zN`S-j>bRzcj4Kqvmb;|AW$sU799^V)#kJZKt#4_zMOUjy1Lfrq8xj@bgIBB@tvFEMx)H}fZZjEC2z=-modxIhkT}_Ct!S0 zJCvMW^5y`fJL27KW8)WEPT6=HGLN|PvOAamqd!k~31z>WnX_8mu{kfHD%b5(?-JrH`jF1$hd&6uz;~T zG{bN~*Eb3XHzCsKsA$wWW)(fLb{RQR=)IT127Zgp*7C#y$@=_9&nObNXxE^uvUX%A zS@n!%x%pmQ8p*<8lL-g=fN46u_fdcL*W>FO^2*U={`ya%GgH_qBI>b3NHI3Pdg-7q zojCjBhVwZhAR^y#j?>SuWbF-hKFZ5XqRd`pr7SMSDO5P?^qpKl(RBn@72QP|u9!yK z+acX6QeZ0BE7Fv1>zyS@J~Q>?dTq;drg_)P+h+#h9WgK0CTZqte1FZH{mpP8M&|(d z(J=#vqZM3~UM0$Mbh_-T5v1_pMn6cTEL!YaZ0yA<*6<^Aq;jtlzccfn#CEp%k_2r? z(<&!?T0W1JWgaAvS0eh8UTFA}aH`)4(ggP$}$q4f81i`&GL>gR7%hKTpt zAl1J#wt%Rtq4%v;Q_*)utx03)XP7)#^R>A+)!48eos!m1MnpH6vf72-afo>Nh2I@+ zY2hdNwo@endJZ-KEQsqns7R%ge}SqdB5Sh{K9uW*Rd^(9p9O%~S2x5C-_ zq9GBdpw(?eIQ%9L;Bg62c>#~JL5x9%txZRA{#t181>O1DC;c}-9{R<8R+!fV{suEy zhaGzg;CH9kV~Xe;Vt@VkpCUYD*9e1%+q$81?cC_PYk#qiy2`NIaYb3;4+Gs&1fY%e ze8`b~-|Q3mzuo_!Q*T-GeJ`%hflB|zpznr3v`NUf(CIID~6ZgcDh%L@J#?eHwai=q;d_;orV@X;i(te4(I zE5Mu9X;}o15W-fxc~cN`0T(o`${%QnfbI{ja?VoYkvjeCJ(x z){yrCejHRnj86LuL5`C5BG7p^ku;AE6=IVkVYE5grS(D`J+!unq)oVQx$FW9Kb_eo z>q?uDPqlc+Q9?Y|qgdL3&9 zLTyY8oA4XUg;iKC32xv^uy3v*cW)r$1JDkrN?#RB4Mjemu8n#$dKh9$sn|yQ8RPcO zuKLiZBN8VfZ$_L{4i7gCK|R!gDo_WgEIcr+wFmqn+Dl@SNeLi6lr_i_oyjgnE+wUjQbcmUOoP_(;Vs?v^PJiM*U2i zO_tCc(j{-QX7Adr^U=KE@X#!dtCnds_3(|_ECkF;T!hcEn0R;&U*WWTVs~7!x}VE$ z)bV!5ZT>V2>06h#T8-M22}?zH9b)pG%&X(=9KOn-e`QTgXr~#xav!7IIu z-#ogiaD{-ONw%c>n~o_GV|qV5{P%Bb%2+vtVy%q_ns_ASfNVwZ<%j|S3U|=T=DV*R zKDr^tQk9#!scbY*&Pr9S#0AFadF4n>W4PPR-YVejlIC=H*GO4(BH-*5=To|Kd3YWFVb%n#0TJt#MQ)euV-LB5z$zG?=wn!+@wQ)z!LN+_{c3Pbf?u9SzFtI6z?m zX<@KNz#M)TZrrDP3Bof_JB)~a5{F?O9Vwakk!hEj|6e)O-oz7{Up22>=y*@u+DFa_ zqzlT%-85!bvEwZw55t@?bGkR;(y=E~P+9?ns5e8WVl^$>_^BKm-2_-iliHq+Y-%NQ z%GR!Gy^rc6oE>^;qJ8VR&UEsnUdq>U>XUW!YE*jpe5E88evUGCd{vim6W(Ck~8Q2C#h{{2?BlpIU}Soc z+e~;dG02@+Z9FtJeY^=faego+fux>*n>sLuG~sIbqVyen+_H%l(67$OZbQ;3}9MniK07hZCqy>zE$k?ayL* zdx)1@H#@iH4V5KI>NcX5`*=s{$ey0H988wd_DGl4!jZZiQgYoR!EV0e}9pB(^f$^T~YDiqxPB zX7OSM%2(6Ylfti{AE#dnoLy|^(!q|Xd6DMX(RD2MKQ6PQkCAaIGe`D*f!=`H?a%?3T_vcC_$^(Z zxUJnai1w-9t^=^igm10Ilx67(HKr25A^{R^)MS~xVj_PTj>LPl@-sucj7}=w^-hXw zehUEM6_Dem9~ismY!lmX^beK>OyKq0Rm}!+et9 z;O6|)DK-4t4~1~QC??%3ssN}{m%#Lb<16L4$5U0QmW{`cL^xI}Ce1gxT|Pm!4PA?fZRv9q{%^xWxn?@!%0hg_Pl9eq6j*`P-Ly47D;e zBV6d)+s77e*8pQDqaQ$r$hFJflTDKc`MWc|6`yXcB6@v5k+-Vx)|b!v4;Jt>$PIy< zGYM2mnz^%&WbO0aLditL)kE<&iJbVo?)6ESbhkl${4d@@8l53KajKW_g}^nK@EGO+ zOH)dWoHuXdlb&oz2gJ@s##5`DojF!)_q7|#bYU{a1(t9nQrnS5*s*S;v3sKAa~KBr z>v@U9I2cEnRtq=sJBFR4b3(6Jzj^@;A^!z82$Fs@C+2i+Z!NuO$BTXycY@u@JK%uR zKGFyUan%N@1T}#r6Y$?*omN);5Y0{@k`!hj?P63_L;_WYfWM8bg&LuJkJ5Di#5xp3 zHCDj0!!+|FTXiJ-F8H$1M~_CjY5lrXUlz{l*5R%f=_zyzDS<;e<+vs|&}_8YZ<$7C zvV^Ne0%R`~mqlPTllTTS)@l4G!Kr;pl2lH@700k#3ZSdg@s&HQm_|i$;hc??0|APPWI!co-EYR#Uia(Eka@EjfY{ts(EpoAPl0jjG0%* zT1J2u)QK?+DRdp4xM1huI8iC!y-qy2gYZ6IA8LcoqQRnrfyjr7{A?7TxKc0yP+fM% z3$QiMh1qY_X-3lsckS2K`bws2j8xt3qx;gm`6ZNIo!bYuz7`I{whah(Tne6}o_6=U zH+gsVemQmq@VBRH(Dj%6UVJN-qIfnZ#k-@n_i6+QWgf(41w4{{ZgZ9ss3b>loN-@R zN+0lEd`J_|lLXR3M9x)=b$n?)&8Ja)r`bwN)FFJ;R(>bkRd360aN~+H?tq;S5W^di zglnY|HxidnHrvFEEO;a@kpbn$0b{-M`|!9_qP^g`7L)a$cVe8M3~B1k1aTE`qx)%w z6c2}PC+hzc27-a^xaghSqjDe6H|0_tl$MqPiMMp7AzfJ5@RkM4Rx<5^v6oqle_Xaz z0#!<*6z^JtUu$~4&NzF9!5u!&1S@-9_SPM`KQ|G3uRZGceP2wzcHetG4&iC?pqUFX zBKpW%Sr{ugGOfx(%MSXV*j5R~8DlpxlQ|E#Q)VaQ)f`R~BUHQ2;_XfMj7>#J|IYm# za0a#LVv}ojWA|3xG*>6cU$@dixKr(tYZjcd`zPP!hO6E(@}|*(JdmxqDKSJ2yu#Ej z7Kyc_Tk)*^Zr%g=NAw+BJXv#dxOCvunz7vA5A~6Hi&Lw0?B8wzMwPA{`E1>a^p6v! zR6V_3O|LSJ;W4Pzaxj5Rg>YAx0sa#s#F&9y>Rm4c0|OvnFyn-P_z6ONNCTpDKwc-Hd#djg{o*vKWY-d(=xBP?5-K#p z=+jOuf`UBxEJ*GBnd4`L zXtVN73nNvIwy;xcU#Rz?tsm#)AM|Cl5x7-}t3Bh}f1~zIsNtP1bDEW+&2zOZUJv{; zMogg=dZUg`wb*ORc19k?BTI~ezj`jI666v#Xx9~xZr?e~aE)n5O!Jgst3_WP*}j?u z{OZ;nXQiMFl&GmPq6~y3ckkAvQa1&P*~124J88d(fiE5Aw%$_g;L@#Xq&r}aHkLJe z;@%`S&bw?$P2xV&>@KF<*$h)DQaYx+W-;Rh;LJlwk)Cn>bkX?5*Ta@rEFyMnJ9NN5 zRXI#;<*FrQ1Arc}0u_SrXJaZ>^6KNfte%dd<@u-k)4tUAGl<-xEF1g?PjV_L$cZ%(pEXPa%Ck@VtSQRhr0&0qgQnfOm~jqV5HgO^v%0alXi zE}ov&Z$>%ZWSf(gV0lq@#hY(8l|hQvF~gmRd4t#6E%fOlC~=N5D;l0?ryHnS zUC5Hfll?YtoV3P=)wTqbPI$c?Ok-RJy<#A1a}}j28!+~KMZXZDx4I9A!3(((tmnmG zO$WU;70Fg7=*Olp5*&8b^PaJf&m-@V-3pP9sU_BGEi>z)2{jIGr0EF7aqh7NAt;QVlQJIS!ee^t!M0|Py=Dl)43%Hq-xdk}4SJ4HZ1;;^SlCz=WqeW+E|9D-(ND}mrX)!TH~6(g zj1L2CtnLTkssjXike@?>Z=>U;Jf%as?GR7lV)peQ?;AIW83lrLpexNkD?Dmhh%V&1 z!Tw%;Q)*jl&ZrP@eQY;}=^lqh?*qYmHflKe34xY6gTMM)v<1_1_hgW2*fb6QhN{+c z4f2Dz1b)mjY+8@SC)H8_hh+g~L2XYsuke|Dpe;LzAaFv+(@=wgH3R4;S=|Vjo~js< z0`QSNRBUsEea}?%)g}WGzp1i4^jBo`)my|!MGO%Zz)0?is!MUHhUb)j@q!TA+$=1M z6zGsMBfu56W@ig9TcnAC-M4wRw$gSEozHDh>RV5*Wm^3f`=SH+X=bHs>etK=o~SxP?JdNdBQ9-@`0fk+0>_1=SVoS^k-AhDmYb zh=H-V{K!LAf{L>n-3&8BGC~4fvIQYdp3h&KdV|4TR)xI4+sUTZU;ye$gOe4HvX390 zvDh2(xfv?Ru&-UtK9HkjUrnO>8EU2WOW=BTO zar>4@x7HeUcndwc4es6;eZ$FB78cj0f`9b}QQ>r|*<3d4k{;CsB2QS&tlj_&xD5G7 zExW))69Y+3BQUf)iKltVZPnp7-*xthu(*cG$PvIsC#Na(ZNIR)rrh zNo0d|o5PLn*WK~Ao9}CuA#LmTT|m`Hz~^Ov^zJ$1IA@O{VfW{epnu)RL#f~=<@091 z`;p@I_v_F4^nPpi@ZG^Undk3&Z+iRLll`M<9zhKFxMKXiE!=MIR!5c%cozR0c>Zzi z&RbIS-|WcK4Y*wnFn;^$2zX8>40t(x7W{z+L( zKQ}Qa@&rCY{MT<&gjL;CNfzPT&hnHuU%pEh<6bo@?f1qA7JB^L_6>eA0I73Mte;sd zYhpwP^?s{tUd)_PeEPbH7FK?uR^W2AI#R%EfixoUDA)%=u& zvti$oc5U^|*6;PRlCS%Uthcta5JEVbHunETSqa&K?c`GP<9I-|D7~wLmo@J%RNIhvl(vi3h>luT zz_l1h?->c$^c4KLWsWc-9fTAP8;G?g4PF+gD45p3mJKTzDnFV9WZf72(=+F4vO6<-R6q!!JCfT zyZ-r>GiNn9Q+kVrizAf_hog6L{;`QEzWwyfL5Y+cV>OG0?K!Rk>YsJlrYm1K4cQ5R z9?l7PwSY_QPx4e_{_dJDTSbd3s_%!|e)Z2w42&0Hs}sAQ$1aP80Nnxn&+4yfp18ws zKPfq-&p3Ye3$IW?G^I*{mJsoxfa3|H%LZ(;+(p8rk-2<~qgX;7q4TmYv}TZBP9ZZe zrFDc|_N1@oWS3n=uxC4yacXzE{N2S5UOImKm&s}QBWz+6Jd1f96!+=9x?bg9KOM-o zo}qD;YL`Bar(+t-1RwG!I@!04PR=8dkXB%(k|YOX2Lj^t1p>nR*<8$>7)@>6TwKkaT@3%f3qxjhCUrGvAkeuBC-a4$;^qkp1Pt~B z3=e#RU2IlPlUsi0A7OOvZh72@`SgzTWpd8<~Z>@gwyGLI5hu9jE# z|HzHG%00!NhRaJkgwJ`&-<3loc;0fkYU@3`&i zb=pTB3+o1!cB%d2d~O=d!JM~GzDga z4OCTnNP(Ny>qh#`T+XxUSwFio65=VyF2a4>etED(g&LRpf1}2_iWYYkp2x;ZsocopzM$uR?qfBas+OSmU zvKHjB^F@umQ4L-9$ZK|8G!J0dD=gI&Ms%I?M^ zeatl9ewOgDZn$^KB)cak`=v8Y9wGcfVy@y|UT41ahstfg(Bb!f80@wMsgZ>Y%Tvc# zrr~c^L%&vmo;=!tDICT&W(*8i$my|xT_)le9T;K=tTLMXA_ne{N~wBN+WG?#%Sn{; zMb8;A=as2gO|taHYbJ=05i!G*;WyX09YI!tZ7uqGRA5+h^TFnb*1>PRu2yIVxI*2QT{ zZcw_Nk~bo7GIo?abk3pbxSf5yeYY4j`U%9&W3?i~j|Rg9I`UT~qFMY2^v?pj4h*4) z5QW!%08gqaMEDYm)Clf@a&%uFx(wtO6+4ilj2q@m-xCbt^hhljS~LNdPH^RU2s(C* z3L-qJu#<4lqTP5q1eFFl;{vR-7G&N~rgM=*$DwA#X$HGJ{XL9Z%(VS`6!)LSZGCBA zk{64eE=_kAi^M2KDV&p-jCl01mdjEngQYu95*w+UKX?m7xC7cN6L~jwK!MTq2IAMj z?NBbezAYI^m`({54yq9(!LK#X_1@z)9h}aK5OzH?Qq`DMZLs%}L+HAE_{d#pnWbps zngqq|?3}Jl>=*j150EUm;d1Uh?29E`QfC}n)&n9nOPXFZ0O_j5v_ZN}RwR0ri>%nH zO;&8IDh5_5WHfLFjI9o7Ac+#?)1ufq@6qzt^vf-8J@S8&(2oC zqe@YVOGwwaJ4c_xmE9zpqLEPgD6`QdmRu_(ltXR0L z0ZzUjcjxJi>-YG1VfK%w5iQpq4j*GJazVl`22ZOocwPrsSqbAI&V#iF3}ratUH4dc zPH+y<30>Dv#<$%_7$6q?aB}R&;6y~mnxIi&WM zk?YcX?r=c5WBQq+o7wA5Ijmt{+%2H@AT6+28}XU52?q+ML~-Yk_7VJTeV1H%v#;W8 zF$e<_L=d$0kp5>nH!MiKK$xT^Dd?3z{xTa3iLz&0PQ0a&>%|whIcsD8%q#zJ2;!cFZPy|w7u%M8B5kuOiL)b$qm>?%b zYBtr1Ir73M%WWWd{>Xta1Zz8o9mUrmi~+-=bT3$|?7f0p!DpU_h#%&z>tL(v*s}Sk z+7Z@aDe&NSLbvi`R-a5=$r;9la6hi3bWi79e#+eVv!Z|7Xn+E16}1T-{s8n3AeIii_xCm5J_&>KjjrDf5#S1Wq81ihL@u z#yXBl%a12P0KS{~g-tKyj=fo71!%XTTmT-IRkS;eTA<==q57>6$4{q-?~QG8lv2a* z4+=-=WJb+xo8qs#QyCDAqE>dD90A#t+uKudc%G@)s~bvghi4Jc+WbGOK(m<!rB+uPZGjh`$?6TK+~hA3j*oie1(l}5zMCn;L4UyQG;WYlYe!OP)3 z31j1mh*b#io7uuTa&lYPMoul3s%8m=8cEDmn9uAicpWX6UoA)_ohissGJ&{!rGVMh`ZWmo&I0%3?qo*IYfAv6PZ$FB6<$O)3U z3^!?sl1vs;xFld2DN1|_MG~E{{-}T&T0+=DQZceo{mOl zZIzrSvuS^Jh9eP0wuRivp;ji7A@gqd@v^K%#9^GQDGtRUo~?gGu-o%Qw`vL~WNG6KSvX{| zaH~eg^7H1^!WI|E2K9JP!Z>7K`sAZeA#8@_|4&4yCES#dXANeR`06z6< z_R;>Rd~e5)XlwgoVG7A|QUk~$Y$0zfve8LYpg48-)R*K2vm>F0n8k>~U z!Y5-2SSM09!JBvef<`IC)}qO?PV|~3O6o}g#VIO7`Ps=Pe!;WY{Yr$2qrcS_+htiM z5{67K2hqVMm(pM##S?DV#4$53S0Nj`#~33X;@|~sJR4<%6!Q5?Drux0Db-$8VMFFi zh9rLh!9EW*KO+McNX$5B8#w(NN4o+C8qtfO8$Y_n* zY*IarZrz4#5v>(JVisqyT!l;V%ge)6xh7E?z z5Ik7`!;IyZ^)~N5)aRNM;v#GlNau#zBsE!*oA8chwJ+=hBgsHqS2c=}Slb5+(+q7& zAMH3xl=mnVee>4p<_Bh!g`qoceGRl}WHC^3HY^qjg=IDv4Q!31NYyQ19z_k&MLP?p z%N3!^fu|(}b`5HPR27%eQJ}<(AwQr6ZjyFlm4ipw=|EnjL=2I18XfnR3031=>RzuY zSyPBV*^S0 zw|K%Y#^*s~uO6anFsx*KN0;BD{o_j7VCg&j+kJt|sdab=`2-eF{<#r92p%i_4(j9EDl<0YH@0svF##~N*SHMZhvHStQDnWXmsFS zlM6@)31O^ZGR5evPramnkq7)Tm>DzUDdzy)>_-8n&M zwv{(;kGVmWuZgO!M3v8pXK279cMp4xh)VYO2mCk7SE5&008Hpyy}GLo_9;eJTp(QV z0dd2GAvy(tqsC-}jct&D@3_L#*$#x$O946?7`iVMd|In7X0o9QcPI1nHka}d zK8lntMTHvuYoar30T0o2M*Y60al{}+22+c?G$(`nQpB|+a{Lp4K1K}x216d)oW{dR z+T zS?l2ztd~Qym_gu8m@1H zy%A+#VoW~KA(IA>Kug@CiKDcGHg-rQFNve{=#x=5NhL3fqwtt|ZIeLvXp`;QHc0Jx zU`q2$rbUxkD<**@aBGpBI#4GU- zWRVm~bbD~|axsO2@=BP;d@DOV_>Meq_mI&VgX#Q4*6G+kIMB(oJq$bc?|Kv#QjTl| z;Z2%}xrRx3J37h-5upqk^%d3FWHlxVk&nxfmLd%qmEPFIfXHRr&Cw_>%Bc<&^&r?Uv_o6RIG{Z9?1e8_o!9@;k z#9=<5=k@Kd$M<8vP_3Eq(_U_4Q1t7{8`RXG2WQ(IvCQ{=HUG{waD4Z^`ZeJ(U<+L| z;`zPxyDOdX>6;F<|LYTm5l=tY(7^D+?s0JA^-{d+<7k*R!21vE_U&=UjbF=ss^Lr6 zwc|;@M-i-tay=v`(aMQnuRA9ZRLlQV5!i_SVmZEz>bA%KPCrMU}6L>)8Tq8abS!zXgQ5!OL+3ay<43=(VrSr>jI`#6FY#eGTIb^xn% z|N8IhMc;pDcaaaDI4Rt;xpFbgq`ndwlh`yCKcf!wMNf54F=$o$CqqAV$g=urEx7ou0%A z#6j`h)B(Go%Ro>LhtfdPXUOc^W}rgY_2)MFM2{^Dqp8alaH^e?O93FSeXUv4ZExUO zS!yJuzB@6bL2;V=gvdg%6Z0q@^mb5?k`5pW1I=9natdsCoh+8)=`BUT*2w^{ueSr2h?Nj8iW<$5; z1#(=Cg8VvHwh{Q|H}( zjB4@-DsXN3IP>z6dHbpn=c%RIiW!tC2?f zG_O&eyLGvp4BR&aJ^Xh3J$G6Nmz?_ZCOIWHwP>=6ocdLayc|C#XnZPJZS@Q;1-$;u zOM1K`pRCDVT|oORw(+X|pXK~p3`%OQ{!NTWUpdVrC!pU`4Z@BP(EpFozJq4tq8g?n zpJt=7qTi_u=3c$0byMBg#A@Y=vJxg8Uwv1fp1NVH66U!@Ev%fJ{4ujt8U4w1+_8g3 z&M&>BqSjD7Y@m|nB3k;@K;4++s9hs$UkQC$RedF_qp@hC5+-E((m~p*rQ*hBgY7oftit(ZoRo?85JDe32RtPRy$d$iFNvN01SUdB6Vd^fT? zGp_EE{LS+6Ut$9H3?uyyOe^rYB|oXImlFc<_8ruzCx#F{snkB9c7q=Z<=&Fo0sd;8 zmai^nlCPBSRW!F6pOJ*K2``%uq+%9_Ic@obt8M;h&nJ?5{yo$uF3(uh2^Y-uqJlCm zpJldZlK=YoL$ltLkvbko{Tx1}w*DnB6)`O&yr@25%^}Vw+?5fY`aEDkufHX&0SGhc zKO+HkyZ`hASzJD)wzUOy9{nj-R1dDU>?S{@_n z=H{;5?kCg4ff(&kSKOAxq+7I*bGT0cWb*LVB6=HZgckQSAAMg;v7dzh+rTO_dRH%` z1O)UC8TkJ)urmKA1M5Z3Yc+X`Tg~^e>I3Ko*qOa{8mbxsX8i%NX70gNLj;YpQ`L1#I8TpWdcgNdp<$>0=V_MW_Q(FY zrk~gQnPJcC$8yit-n!=Z>toILgQ21CeW~DA7S86p?l1pJQ}^yB138?}z2%|y$~Z!b z{q(tN;x?-e20vSSgt{ZN{S|Q#C+miH_Leco?p1Wo9_ZXVOWKO-Evzn(TR4ZD6I zq@~+_UsC|X9-rq+!1l*8VUOSAV#jvC*X44L-?NQwzGlt0{8UwQqRQid62+!ImfXo^ zY&%>R17uZ`zRqPsleP!Lf=ff{VaAbKxR&Hkti`5^{1IzB8`}w6XM5#y8-04~#mhIso*9n67=9DpqTqM1tLh2~_0k!o`TK($h%G|jRnymLi+q&fuA z-5`x+C`wSmZkTJ>OHNd(FRfZ{nz@0R!hL_gHh!+i!e_>uK!po!OWAq!u=b?cky=*w zV$g4@c$Ev1LoK(nD|wN8EQZH$q(=KYpbn_+Q<~relO8Qf6B3JNWt$U zq-J{1e9tEQFXR;GGBIQEt@6E*m+(xpBG37pPHbcSXvT0+QyqE3f=gzT-4erGbMXi` zYh~L*+q$=*Utq|DaW+y*2i)mi@K0PPt8wLN_^ILXH*8QWwpO${M?BQ)auRa*0>0 zZI=Ux6UDJi?2J4f;Hd^lg+8PZ2SM^fjE=v2O z(ro5Y)=d$adG`UUXXFS@Z2MLRO?AW4kL4@mrS;)X*)F--!|csW%WFP#%yhfGVXJdT zdwO~wjW+@u-E|nmEz=B@%;l*ydHQ-SteYDLb(zN6+&<18)%bfRS~34_5r;}vGgcnj z8?RO|?A+?QxeK*O5>^@UC2QHXOEua|1w6`{m)P7tKmmU)CXsbpO$RU=6dQrOkgU%ZkpPF&LkVK|vExl-H!&h|Rd@pR&<_fVS z`(wD)SNXb4D$AQkofs+uU99mA|BT=`_b-pWHV;ox)Ne7P#g@|2v8^#{6^<~IXP;JD zW*a!jUbr2FHmKv#*h7X4*LZD?u$d;omev++aoJGsb-(SXD2}ONo?raBt!`_o-_i3h zTdmj)I7$L6EIpbZOlosVOn>Ar1q(X2m6zbhm&cBs0(+#wJheFnZ9WZI%FW7U$zF8$96$>MrNUY z0nYgnB5XPhmoilgbqFOG36b~(>S|5XjL@R0G$Q-?`S*CsT*yd}bJjjZ69!bULGxQ};7 zu7EQ3yl+U^<5y`N-5A`t3XNt6GrrB<--9vEi@{d%Mzscf)l*jCiBf{IL=1b1DI7xk zmDe$2u#MJADgA%{1(QH*zv_tYb&hr!wTq?5dT=KNpP3`aYig4wdbm>O#QETFSPRS2 z3gtgjP zg73=7^Ej3!CMBE9GWQYZo_t8FW1Wog1gctwkxw;!tqbcqiZ7RAi6^K9e`C@*^dgHH z%~Sn!zbUD>Vlm?s7r{ypoJSQp)J+;Fi{?M{nxDZSqLK+}5BIpRUats05 zF@eVe=cj3(P$g_V;Wn~Uh2zIbhL|{&FlU$?Fv2n$BR@g&V83wPtPd&z*SK}ulWXu( zkR_W+VA%v*i1f~tJYYGcyA|lQ2UGo8=RUa}IDr#u6Qk0G0N(UWFy;-MHnW<8^ELZ` z!|UEm>*(1wFvQF(5+N(PhWC#bx$@D^KKba=d%5z>e|+)P*Sj>)qD@8|PAxeh%q9p5 zcLqJx3J$vD`JVun!W)|4VO-6ISj>%y&Gcvkp`#(S)I!YB!?;#c{G9PVfFl;j>j`F> z6NvXaEEB-EWY|M{6PA|oLRA2Hg(_;84obc`jEBP^5E3-TfiV%R)4Z-MIiSF~2kY{X zG?y`fzLDLZJs}qtRdtvMuT4RksKp80sU?gOc#0gylHnXv4^b?HcMAE+fk3z>iR%ez zh)2MPxn#VoA^Malr9(wWg35K_RR{^LnOAv0n!lO{Xo>YHI^Y<=#TNl#~AgdfX#co^l)EaddI@p@ST(<|6P009mi= zy0+=igy~#$vm5ZvNr*J!$~R%`4YINoHgHB4PrZvSR_vAt%-)v+@vcrHj4?Lc-psOj zbn%*7lAjZPmdwxQI7nz50H2gPeQBQ?%K?n#w@3-g+l-%P^vn6oCWOe+S-dV za-_ij0f{qWamJ66?{i*f)DhIM5J5u$IO~CHZHX^(hdhmWaSMwk;D!UJdR=EQYg`rX z12(UCK{QQESYPu4*VCH~Ilxt1G-qs`0+!Z-J`8yL1(00Wi#qWZSk)N^wWx_A4>%y|MWip2q z2ZJQxZ=k)riV@?rmjO^R10E+v-ZFNN>*cEzKO>kpTx!W~!dh(xB>9pFvmlfZW?VOw z5RyGHB`rQBPu%T@0sJ5Sn~3F=_a$+iSOg9lQNouF9M|PgaB4QV0d0&j>}=VhM+S(l#fgYD1XLv& z0;~v1Y$UEJ3>-yH9Y|2E#KGB5qvV9fP+9l{gb|Ee;))2*L~sJt%Hdy4%Iv1{$V>bc z`Ux>cTyR51FA9%AXD2WXXvA6w@k4EC;xa|l5+UQFXHW*cFCYSDOoaMiT4oDyIzh5n zgLv`4y%UCp1zk20W`BiKEfuZQaD2GmHBBDwQ6LUvgwz6Cw0D`~1vC6=;a}SS3ee-4 zK`YD7tV8C^9)JRE@gexPm^>`P-mp`mA0)JS@4Ckox}ios4FzZybb1SYA?k|8Lg!eN zU%?Iu@of+T#~|1`1?Kw+cP^v~YMX^1)N@B#WBMJ4f{#`hVnl*-4!eiSOTrR}{Uu<- z79n8Ny4WE>rBx$Ea7b(yfD2}?V}ix1_&GKS^hiX$fQQG1*;^JZd$|PYEul@!=rBYK z5|Pzpk%@#og-JIcBl5Wu(8;YuoIH`$bcYW{!b?WYBq1H!lLGu;ElzmA)5?VNHsh;YJM;*8{#Pt5>R3sXg_O*H-w+Y>L+Bg%UY5Y zk%LlQuIPPOA`UA7G`fIIttekfHsDU{mex#dmNU%>##`czK_t9^3Fv*VSAiJs>Z$_P zXlBb=B-A1I5}V||OsL5^4yXb9`i3y03+!b9aUz%091+0!$yQ$uO@*)^p|XY6Y8<9G zAEtyh>Z`>O3+F)?xN99Tkp&xyVY2wN;7;K3@y|bf^Ru^aPdVq$KL7UBAHMj_*WY~g z`Hn4b|4ngs&1JtwS$r)-j(scy*=fzTEojLt$P&od_95d#;C9IlTNVwX2Xb;#72Cqc z7-x{z34o61`8C!s=yJfNuZ2JW_-^49>q935&chyFA^b&4cvYCC-s5ZB^3E($BP0N8 zHLqo5=?%8d5_63xc_#tZ_dP`62C^grdR1C;B~6I4;r^}?&maHnlaD`n{ra>Z%NM_U z_w`q|Yx3D~P0Vpk21o!L7}rI+1<8gUV|^lLcxFw!q+>YM4zJKSUTx)Y^^Esl1Uz{! z&XP64)#1Wzz^hAguFOt5P7Y!O=)if6OW<}T;+)n*m!;K$FWZ;{n>!dMYtn{>E0Q(A zapE%tRS2%znsB1JK!+BVCh|$P4o%pb){q=R&GJodHjO|nYm!)#kKercRM7T!@4ou_ z_wQ~Aw_FkK4+psI47kzX0B+j=S8sq@1#rznz{RQ5gTjaKT^GPjC%|(|9dhOTQB=*kn0*7(;%%Y$aVajU0e*&dG5n} zf!wGGI2@FT>m?YqGvwAQ$jy?Uffgo>Be+0r-5__~As1>lSs=GvL9R!!psr=dLbH=0 z)CF8>I|Pp08FSYSbK8cwe2ka#po!SYRKeVcyf|Vm(VS>Rkk~O-6SfXqcV1R7xB11* zN6b|_=NifC>pRTtg1LCb0F>gLhH}JQe}%bv%~)MkL?h5TL5~=N50XymxV*+0TO_-G zPr3vfA>DpMx>j*3T~OCRx`UAJGyz5{4y$mp??<{}Qi8g(pzamv2A6lzjn%B(P7z~t z7>kfDya>ji`4-7H0tp-GDo_^#n7yC-iFEr;x={k@nRMfz4>2RW`-Jy9ldj%LS8b@9 ziTWGq`U~lLBju79vZOXP(rq3?Ti7lkUFWS&JLyJZ;fA_Z@`uDWLZy%{*U3%8SVFo) zUan~)UCs-3DG-H|YQ|qkSIKT?LESUy)?3nD?nsvOtOB$xm*g2 z*8`mEkDQwh$Za^6BLsAfsJ%2dkvBTQk-lR9tKT`dU2|?Ndgt7B;atIuGz|QmYI4V} z3Fop%eeO`?#J-Qn%GiZ#`FGtocS@5zaW4Px8|Q|Nb6Fb#9;a{78|TI|=Ry}oUpN<& z7cml6$?yby=G;y^m7Cf@_sqEg&K<4zT8}@);Z{i*z_t?`!jaoKciK3&?VL-fQ5)zs zh^C%7mkZ0H9Ood(-)Uvt;T%a|E%@4s@_53zY6IOGg>wTZ)`X37G2aphZB}wmaBjch z++a?eON@ncdsME-M<=dCJ5=m4`YX=GOG(x>3g_A(Zk$^;&W#)Ah9Pozz;NYRlbXTp z>#RXy|L{Ng(>Jf*y!pqE|7ZD^IR5)o`s+_# zm3O~Mpa1^dKfQ8)`tr;FJ3e8Xe||N5{nfXhfAQ5H|LN|v%j@TVHhlhvKYsDsS0_H| z%SZqGN`Lw7)hqh)@jvwC%?EtM$M+wxyw*=X^^0%55KcY5>EjRh_%}~K{PZ%`vD*S`sv4;@A&u^w(q5VS>)}X|MA_cH>`gBkFUS^mX|--h-Fs_vPe&V zc3M9D9p56a`Rwu~pS{P+hs(={7he9t(w;u*t$vU1dwW@fw=dicEC2bI=Y`(|#6Nub z#aI9N?zgA+_vLrbt<&4f8oYgVbQqdeBeRgVvKIh0<0%SWndh%bjJ|A7B${mEN~_U{r-8FVdf_o0T(Q>< z`gQGK&g!;y;2zWtJon8<`%~=z*n(X{gnzx$l1%qn)#;{o;2zfw`nh%h3zk}fy{;WZ zu7(TWWR*u&<@H%vwWP*>r1mVegYl+z5GNIK8(D-+R-uw^Y6mHEtx`KcR`s*2O2Lb) zYP+n;;6RH?Y6txyt0q8360s(&$>b`913vD$a4@5&^s#U-qnf+qToiKZN#US1QB?X` zQ9WNcKv1<+!JF&YPKqk-g@bByoCG9$D-Q|>86s{|Lr?|q&Grd`>)MwEg%n#8J!R%6 zl>_6adp(5-j9dv9J|rm|DA7~lR5?iRT{#Gs%7Mr!b<|VS!^%NDRt`+Y22Yg(j$#jo z`CK`W!h!npD+eN{)P3ckT3#HbwKT{f-6{tyJy$tEP6a6(phKoGw5@Uw9#;hZdS5wco1kh#;m9GV{0b4n?@~BW z_mzVgC%GxAwkxXJ%7G{Qp&6DXQtA!dX#C7wK)~pl*W74oVaiF(9``m4o&^l>-q}nBS>#V6#*XGWIk{5W?kF zsT{Pc%7MSC9M~X&3KuGZN}Uu{LyJn~fScMBl~fM&RyjaXc|`42Ihf6LPh8b;papT z+wLm|mL0uORLe&n|KjZ@|M>Q-sNVb}sD2VuKMAUz1l8ZMpn6!XN(d@Kr)%#~t$HA+ z{IObvpn}#6AS;5ZUJEK*Z95P)pJ~TJP^~xBsCh$r-}?Q0nebLF7c_J5>23xDMa6gy;zAoU5>q2Ps&vNsHZRu1dl>b#Tz|^m365k zui#p8tsnHQpt_W(_D(GBA`-;6PONq%sJM{&NKonZEU5ampt9LymDX(;Q<_=WQy}qO0tEqCUrW!P+!eCBnY7jN$`>v++r4K8Jnlew+)OB%6 zrA4#s&#EbXr>5jc;MC52SfZx9?=s;h$-bdr+HUXLDZB%ile6du@5Vtt{$kVBE5uZQ&UOGQ);VEEt{H3&-7u{ zCu*wSsHrN0md8GQj@ID(^*2Nqs7w`ml~|Sn5H2iXFmX zqgWoODXxZd68Wa1nvyoGz)gvoN{`gkd-Y-AcBKtV;m^HDVKP@`tj>ir|A}R zBd6?#PPe4fbW1u8lzdYTNWV)q8ZLYQFr(62{bW6W2Qh_rcINehB=@$QFy2Vb*%hN4I zrd#?FF4HaiNT$5|i_2Gej<=Gn5-E@oI zRh3M)aj-kaJuDARn0F=x0uUx3$m&`nQrmld%C6n zz|$>KqtfZSrdw*+r(43^bjx0&$~V(3yQ-?;2c2$tRHOR4nr>;w>6Ub>s&1!S)*oQH zg&_0x=Wl=hvlmBlfAJwB3z7tWpOJ-IYWdX@YOyD3*^|KSOfCHYC)oQWFou(FsO4!A z_-bT9x@vZ6*^|Jt%;b)ofMOGe@kw9JB?;`$*z)4Yf~|ZhQu)$X<4)94>OQP+!z`;L zfvYf!V|kCvvJER}8#iW=4^D)5c~r`ASOL?LB!_g>^h;OGg<0nMh*`#4X3=5E;f`5O zNnn3s7D)n2R}C@zhFRLiEOqlIkFvm9S4}&1)r3`U<0=abv&g(cx*b+X*TV{X7FgXg zOP42;#O;)(%$6k?RUn!Qz+N*;ykZvCMu*Bte9SDDQH2w;tj{#oV1DeJG}idr#u|fp zwcIr>z4WJ}3YNWS+e9u)-bN+Y1%Z@Gn`PQ2LG#RY=Ew9ExKy*qoBV{Yh(0utu46F~g~~W=jUg`o7rG&&go5XM<0zHS&}_ zq#HIOBVKazx7ebc16%BQSix+MAIVJ_Cyx_4VoQ)Ny)79G@7C*~+^Ht1PCdpJ*n7TU zOFbon=PPXKFJcRa5wEh2ijC37VTJxQ8T>4^NHUmB;h<#4eyTkiybmiBw&j^*aDTbA zMy>eqBerB&x+`pfq}r2YFh;nXumzug9LiPgF}4`H2J5i8`_`KIk__Id<>NPRUZR#y zKZshs=b)wk+ZeR0KmMR)`WqdzEPuy?mi=bX($~jT?DL={)C!gU`Gc0}!Jy@Q8nle> zHE0>{2QB@34qEo_9<&S}JZRbfjt4D`(98PwKWN$h7Y$namj*5M`wv>$A9~Qz|8)i} zODo%;W%&Pe(6V0!E!XcJv}`X9S{~Wu?dumaE+2jL0AAV;n{v4?6mce>D-?O)g;mbq z(RcU!AXC*-JEvUS1}|YOB4TX`Q+Y7Sq@NUu{L6(R=#zMe<@a;nox6-OdE)eKsVFuu ze{((cs#Fvo4l`j~Qq2k*y7(NMbpOvf?88jzgf7s@{PHlt&^pTvx41{+O#VsMMQ!s;lRQ_=(q+DyXWEl4akXYp5Bu#I-EQ3c5dmeY)O|~Pqm`#RqXayEApn#`cf+zgA*Wb2~7$`=cG#=pY6bVCh1bQq)SSpI)lr7t;larNah=#BwcVl;R;-E%SmnEV$QXq z#kLnZ@UD_B{-y&@9l=HG>!eGT=GK7AyL8|^^CUFUu5gR8-CWm-EQcZ9C0#BZc+VzX zRIit$OUNzA^YC9vx)goef!DWMk-D_t-GR$>t;jy;z>``Lp0-J?$S8E^u?27Mz?;8U z2cFsnnsPkPGi^y1ed@p)T##J2xt?dTf!KLfE5avvb#B3Xl62{7$PN>Kp#$%i`m=xe z7vi`0{7gK4oXzohYw(%k}j(scWwcb!k%=gV-X)K zmEibhY(zgwZ`7RVr?n!v&wQ0}vHQq?l4AO)S|q=| zVavEkH-tRk`?|v=b5rR!gFOTOS>_Y-hAm5%vEKLXa5-gMID7_d38#$9!F2TiTTU65 za6f}RZZp{FLA6MswBU|*n!&bfN0{AoxP&Fw4O^~euyenf!S<}p6KsJTXLM0ClRUpw z+ws)lay2!OHg1V$ZW)x8wHeW$QZ15vi#u0~p5|NdcWtW{`313Z!!44M!z7oRG3;6L zEkwCIK@A=cd#V;yeHhQ&lF>S8fm@ogoOu>B$Q-sj?EALErSH@-v?L{JzAyT6jVuk$(#1`}6QY#j_ zV@vb<;J~@XMIEt4o@Bn&ig41>?lIuOmLZwz=UQzoF1~HpQU@zd1$s;1d4lSOEqRdN zMnRzL8@9BV#y#H>r5WJ9RGBH`9A0SZXKe9Xt%&1r#1>ACq+5_%ZaUVA1eds-xyt@r#;}k1 z7QN?N2Emro;J|bo!)~h0r+iD2!S5YgY@gGE78l}lzpoW#SLWu_SRx4Gh%h(UVy?%q z@A56_)Z&8r&GMTyY*pc^RwU_{NBNeUT2WieghM^&TQ~yIsexOQd`p#dz8#BTOE}ev zsq^pKmm5EzFE`yiIp%MtFZVm1 zI=}Vh+O03we*eDQ@)zvOogVb%@}(cvm+Su`eYuaP9sgQ=xpnKyO+Rj5?xSbN{3uVI z*O#Zx=ldGb_vp(_@6nfg{nYvQ>dTGq-Ip7lK6U=NzT8WL%dh@2eYv(tUvB*~o;qLm zr_T3tUvB#=^ySWfH+{J;K6U=R`f}4teYw9ROnmyYk3PQpO*#MP)gM0p=G!km|MEK@ zD6a9qACPi+mR-_=l#2z!p@&n-Wx8dTd{F%8hF$7a%4H(D=||nUmy`=;eoDEdbIPUo zaRe2+E@^`odrr9sygYeC!wY>bUAl9-{CX{$*GsKvsK=Dcyg&5LZ3!2Bd`3f4$Arsu zi_3;C_4tg2`IvBFW7F2+f(H^e-sG@s=(6C>)H4Yee`#^ybloLf+I5S|*5RVI7MHnS z+H<#ri+J{HbU`Hux-47m`9XWGl7!3op?6q4CVxSfDi8ITc64bMbjjB(E?b9-3U_rP zjUL1QqzQ>UuL%4xC#-IRm-z%Q!&QUs*5RTE0b?foZ1CbQ@Di64ZW?rtDVHZLF2|G$ zF%GBZI1EhsuERy2GA>OrE;1^u45t{=mZ672Y%E;#gmpUJE{#p+=QUi4MVL;Q^24kP8#3hktcyB6ufd#(MdlV@+72+dWiQ&( zWPi@ONS}*jT|8%6;aR1Qx@TRc9boiTu?SubeMr*dl8#xIbeQh|CaUw(?{2a#@{Hm6 zoOKaZFIktGL(bW*U4FkMKHSvP;~JFw#<)<31oGQPp};P`{4a4Dx*AT2-!<@Hbk+d00)&&7ez}{;`ajO-@ zW36a3QSAt^F3k?`gYe6$iHIHj6L)@j zF6q)GoiDADThb*T`Q?~&iK05xrO73&^O0Y=JgvdX(;CvoFM4ZoL9w+b{Bo=nDJQj} zW`<$=U5>|R-$mgUoaZgS_y_zF_oR!uX>!?;E@l#bff3OO*j|e3-Lvm*n_LK!^4s;0 zMe_TK{b{Z!G~?Tp<|2>QnB?~`?hU$EO)l$&Uyex^#p}{3>9U|KjI?Os8|SSrTmhz z#fhR%e*WW66uppdsdvmGkCoC-@-0s$iu!eL?lw_$%C`_3%Uyd*+b4>eEq%@DrHP`a z{VmV*=34x_sJsUwMQLLej{H@+#i^?z(alJazZ8k2w?(?sFt4}af0(uyY&R1VoOG*CZ?MT5Cylxr zxbTQq?j1!<&7b)j97X#pBXs2`lDCecm*SRJEYV9xQ5v`m*-;eAPw;i$If|mVTnd{fm%_nPmUr@1D6LmiU0~uN0BVzrR=8GtE0$A zz@pB0DFQ5ObQJLq97XbTN6~%`To&w1q%b?r>%j4=OdN*(xqPPXmtw%AN(ouwkb#WB=&cNlyQS?j$m#rDN z3@#2_23rhVY~d*K?;S-xI*O)iSZ^V25$3O7|M>G?KRR&1sWRaN+Y3!f)cIW30ftNND(_1Rn!y86=yi)+ElhGdkENO!_^bjcMFcv&X=2JgU2Qs%l_@G?6m zf-<)$8W8hLO%(try<|+F7enYJ6v_{R0TEEX+|wD{<_ymwmyR@7T0$?aG`G;W3>Uh{ z&aW0*fBC)n&mDRx{m{%`{m@0=}2>f#^oZ-{lE`hOLMcv<&fqA)(7+wq`73OdLzvh zLF2M83a>rsBBdWfCTXs;Rn>rfAG*^D&hrZH947+$K&E;*?fUfAGST!&o8kbyB%#t)0FYheNc}tS!&Yh|O z-TI;b+0I<%|N4Lb`Tze|y@(OR%Ywi4*teLV%bCbC6X^Fnd~1ckSX$f7+cT{2lz8jGC5E_;wgu2#B`07hE9 z(sL<$rg`%$&NY@BTIHo5`e;bP0Tsz2U64gSWw~trxnj@36XB;w9B}|TD`|-})-tvo z$_@`%E>HabE`t|o)`KjvC0WENH(_b93uU?BO~-E3VwVN)r9afUj^pzu>@rCCSX-To zo*9nvi3jW=g{Hma9K1Lhs!Smet22063$nh>%N;8kz-2zI&;w3J zNte}uh@!Q%LOaqa1Zl1}V)8gyaQDE0Y_RI^3IMeT@(4N3ToB)+CR zcJT||r-4T+w9t}(3$B|AYm7GAsB^)lPJ!uOa?dEKXrQf~7*!v{xkCajdhrkawN9%^ zW0&bmUVzIZutW^NWzE1v(O?C)M~8h;6aJxt5pN1^5R2AA?4)iI0vAwe6&{U-(gr8? zCaIzZe=lFu<~+6nyn0!jOSWACF4UitfXlN5F0WQloEoh&?Z=l{48ej zCxzg;Ia#3rA-C*>jx>?O9tPZ^fM%7BQx{UF3PYjZUIB_*JTgl((NU2kGj72FzbuQR zkQE?ukADfQK2Ga7brDJCGLa8?9F(VQ|AbrcxsWzjP*>QcB8fmqHFZ&;BFQOsYIFUQ z%AgwDq7rTyYHcpw)MM&089lM&g*G^qbf`!IVqNfB2#-Hm(L^%QME(r*euhwQ?4B%6 zT{vL0-f%Y(J561PG{@C&6@*)!`1wR&4-bz^41j2jTR2&v*#f)*+=6dpAWC&n4`N`l z%w>+Gktf>lyn!ZK7$0X&xJ8~7NeQ<+v1tRV_yV^iFZ70w5ctCYw>;@j znT6qkZ8>?Nryz3~YM$tP6BR5;{wMUr|l^H|f=h0p6FRwU`252Kt2x8O7*q)fUI;LCO0PfOAG z$1lJ9`I0O8{g?j*uE?I+TvLr(bQrgMztd52mpdKtt>as^Mf%(lxuR__!l$IpF)uVb>qyM)z{RHkI-3IMNKsJ_Tv#r5^hE$&sn4|^4P00y zZ}}vEZf)rzyj+SM@)i&5QR;I|_CmYX3!S?i@oA-j%gS=OBN4k*038ndvfFVfywJ;q zE+WKI4O|X=%UBLvOn=}qWV)!(w=8Q6aRG3#IRAFi=aLtC$paUC1klNgzGX?}j#U6% z^g_4#mL6TC<<{4?mj=uu71S7P3 zV}x#Xxk4FDMrd1EifY)B^ILRjgqG1#WJDOX^k55(TKpzXU2Xwe+!KgJx3Rg&2)+4Z z)RJI}uXMRHcIROh9PnK6Ct0DRF85Tqw7Ogd7|C%3(nGRB*TK0RD|A+_LRY$6ATC9DGRB4dbe4wZSe`_C*p0=8|Me8-s*5<+)#6+h_##JY zm#a8e-HUS@^un%XYDt{y4@Qx@2*#I>fo!m*QMNtbu?M_Hl|4OYlVoaqc35I9HQP7Qby0=gLByOWLs* zK<5thVgWF(Won;@bN5D^%c45B6z9^KBXxNgyXa(z7Sq8NC2_7!;#@)ETw2AuG7?zL zF6tuA^**a}WwJz*I@cd`5zeTA)VVClb8+(s>Rg}$9Q}QDE}i+OC0dta7j~*$vN~60 zbuK5mh{V%!p@7V!&b7Koa+wo)VMXjep_e(K7ai2OGP`C7P|WEmJF+sd4lI z;u7k9WOc4pr#km+re;9CCfbWgooll?cX?7alU7(DhdMV|qL+?3mmQvHoOy>jcfjH$ z@B-X_qt0DwbuNpRXnw2C^&NGtE7ZAozi~7%{HQQ?5vMvAU$t|eB+!kAI+va9q9=Le zf;x9d;KiQc#l+BmUR9|@M>Gyo!CaK*#<7dJs&gshXdeB=T!gcuOwVit@~buMxZqeNWL4z{{eB?|~OiBvBFLVnxc%T@LVqEBs(Mffucx4lDKwUb>c| z3wZJNxoSLe1zmWCE_m&p`pOdVocLd2myqF7U>BOWcs|XzY} z*N&y=0$up>#5K_^m&E@Xx~z8@E;c0occIHVQ*NABS4)vgskzvQuF%C5(Q}C%wb195kR^WU&t{8TTml zxjDs!AdAx(vJ8nSdJtr36)t5_5etyzksyl{ImP9%9+1U$3`J4lvbKr;hoMLWrf9>1 z4EWUL0a=zBvWSI8Ls4Lg)`bC542Pj;QC^T)8Ve*q7M>L@%8IAFGZX=_u$huQ6J#+F zvOM@Eo?{QP?6xr!WyHebyoKbsPF^6(+JP*w{S^-aurY{$SnS5UDIm*_8H&gc9SucX zOjkqEGU^34urtI3XN$mk$&kfc;dS;yH;`rhnr(nAgC21xUFR*2GsK06qF?{U+9e-qGdake`YBDNIGc=Xf%WZB6X>nts+)B#0t)lYf>> zQPop~&*MNXjj3qAn2K=QK21fyTPt94Fct0Cg$xd|lsspmspuU~M3}4YDJlYUuco4_ zE_e4$hRc(({_l0U{>X6g1NriF(za|AnCmV*MROdutUxV9l#N-JD=S^D3%XqDDVk#P zoc?;2$#eU)rzq%hRVTxRp8wic@A?@oq>$109zstM&d9mY<%*g597LhZZF-7E6S@_F zktU{TZ^yOkX$-bhTrT(owA`4A)`KOIRf@~w`OO=@0Hx-E}%eAGch(Jqi zDpGGvMfQW5qF!RIX;WPI3t4V774f2`$h?>3{!KMS+BJ!}2WZJDE>fSe+%?K_eV-)? ziMfF#!tqhp6v>2I+|jO>SfYz8*9{L-nTlMF`X`p?@xoLjZ%jp^1T9NhQ>3G*NO_f_ z{!3Zz)l{@(&{DHR)2AseO5TDNa&FPE9mkMSGMkEQpCz&)B<3znO%Z;vSX0!RiZto) zj;R0FrXuGk77+k|cV)TV6c;%folHf!rs$3(x|oX2&UzIRbL~=_id04|vd zBubctjL_Xl3!BeLi;R<&wdg0x;Vm_0i6oIP!&@qnh(9Fv*m?wI5zPWv6?f1f02ljm z*usdd2m+TB^?&e06AfDkxCrq@WspVGe;&5@1Gun&T<|mEuq6;hJPlj$&%$Uk3wr1? zz{NEcMLSM4HWH~kQ3|(-B}E}I)vGZKTt*tW3@1lqZvtF^py(#&NSxa!TmnaQADcT} zN{a5-N2g6hFRG4kA(qHTmdIz8D9v1CC&Hz}63vIkmPma(a#43Ikq7?6=_r++%sFyd(#XY>BbOB6vJ*>Wf&J_hqL^;635&^xq znk5p?)o$NmiHyF@aCx{JmdKFiJF!H!5|?X+3*K-}{SZQhnG5y~y~GGQfEV{kZtjAk zu40KK&0Gjc94yh=^c0cA1^1kK4)Wt8_hE+&s$vYkk#kqd5llX5h9k$6PhXbt&n(#U0rBbVWpC|XnYTqVuLE3>q* zxq0N`KO4DVSH2s$tRXhnRU?iFF-=_j zVJLFv#KneY=uT?xg(Z?vnS1Aip4dPPm!ZwHX@(wVKnE!*C$ujoE_-f? zsVG3JutCrGJ1J!DE;Toba@pczLy=Fa+^V67*9}Fg*HC1Wo2XZ;_)3+#weyyp+(d*} zte}RX7&=EmW+^q-x2oK&oVVoET!meoby9Q1T|?1+AQp4fLc1W!WsxMhX(-b7AuiDd ztrK8j(FQFqHfUQn6rCiIzRX(ySeW=}8#KvU*tMa^g`g^IqSM6&Jy$m9oSF+j$@FQ1 z77w>65#}wqp=eGGMHUa#vqA46HFv`Di`9zC;1gJ*D)*ClOSVB176Q&wt0-(EH=UP_^}p-33)Z?RbsI16X` z0PL?X&5Ca;ii)uRwpmfWXja@V&5G`cyS2I+ibAuZ*Gg8Xr3w2_M3E~EMY5>~iWPC1Mw+O$ z6Y(SJUj@+O4HGyUZ~%s4MT-^iomxeGfEHE{TE-u+62++hQ;d6nmOCraLZBt|O*F}K zRn-6bquDJn>iw#Gb80xWvn3CEB{6g&oOrL!I5?{Wp^5il~403bgPWE71}4 zpOh^{)c*-uq$;dLMbtkKf(rdaA-iQOqW)zCTJX#DvsT2j=%@mJ8|im3kzv@|4`K#LFr{%g>(Hc|gKwTgJy zVOVaW{xv-nKntEZMg1o$k?Mn%?SrU)zl*!%ITh8owyss&572_`NRF?f{xx>l#g1OQ z1}$Rx*B`(B^W~i7mw)`$5Ba~MmY?Q{4mXiId7>1UI}|(-PUOFdCo)IKzgwwMQBZEn zts=K;Cn^UmQl^F?PH7x?{60_QJ46w4AJ~Zk^~fpZpTuR(8yt|DEGOI@y^46y_nKTB z11D1?LS(M%^(ux@OGx8?!4zrtG;>#z%W(2Wrl_zJl}ynSYewBf=6ZkEtC*Q0wJx*6 zej1pf0AKKafAKp<5yfoXGeyBsr1n)_UXtaOOc6!>KP#rl7nY*L6tSSo zC3;wJ3h=^aVX%`gTH4bKT~S5dtbeA$_-L%CB9jqJ$odx_*ooMqv=f~&m#EEkdF;|5 zie$DEk&1vVU;ZSD4sEXQYjc;V&83XYnkZttCW=Hw6b!s@|15_6o0#<{8=lBx@f3LPU{c#%Bk zIRkE)E<}-|2p2Vs?aYPW6GikRNt&BxE<8uL=wRi>QGQ1hDL=0#rHE@fUdT-7@AY$KijcPg23gKI=9CbC3UVOwn(tK%5YikGh9lxXm1BEtjciV zEpAB}F1C#PAF>TP7enWgqL-2XZ`8S=WAR_#ROfF0u3BjMvKAV9)b_>bBmOpO@ds)F z<|L|xP|I>~MF93>-@8yn+nZMCfz{#`|Im0+eDyb_(9Xx1%kE3m62fvH4NugTLQAZC zjeREZMBd?UK;Qw#OIEi4wanGXW$g1r{@{tQ)tZaCC8Cx!k6iRQaZpq3$^ zmb0bkf?5hg(TQ4c&n|c(O-$H&K`q!NX!pn7uLf;Lx3rLDD=LaMyp~iHPZExnCJJt% z;p!&3X@njodVw;ud#T3B6=-%iuW6aK%yi0Et^P77i~m{;5)t$dVv# z*@la_g|c!N%4$A%BAondzY=<=Bj=7v=qPTn3yVAvH55H+xU$|g6fJz6Hhcjp@I-hK z6`)u)6WJbIu^%)Pgnd<1Tusm|3=YBV!(nhIFu1!zAOv?OIDljPi!!q*^cao8*} zDxEk~Oy0B)RRd|DKlNA4!j9>0!x<@q0qAi*Eu#M@!*X>Xu)y;Sv&?T>e+xf(K; zURaBEUXb4-*O2+>>XeIdrHS~~jMpoobamsiN3N#7qM9en1iZmxHy?Mb-$cnz?_3fs z#6LRUr62kjA1?OY@t#)}gyS8JBVs$V>+t z9Ro_9B!n_8Hf|<Py4eGeP69(UdBuV`mFC5yr%);oN?#d2SObc`Z& z*^1zAd%!qNFe(uY_1sR$g^Mt@Q+51=ovMnaci>)>Io+{l*l!HRx|cem0c#x_b>;Ii zH+$V4?fV&{DgT}Ag?q*mzogtICThj-B0^cVf<`Q{`4?!MG#sIMRxvNp4AmS1<+L+| zNG{2(T$vUgJJr4g_{6!~M-fqm8F-z#@4V&F$`Twr%lPbT>uh4aJwMl`<6V4qq)ylq zs}@^lC@@+uui3pT{`-C7LW{e?44!>PG7xU7C2E7xEP@yYhSYy^k6rb$s4B1 zYa<2c z!Sr+_gu4ioQ6C>vaHdpNY<`Q1vxeDD36)xeTghATgyj%wbImWj&b?+X{#$7w>({d= zJ-YXD&W{-E9(h9HTspM|9E^BR_>x)5C+ys^v+U{r^r*6|%2+npX3EnH5dMEA=8o<+nh?38}(diQ0_Rs6(kJSL|S`*i+%# zM`s_piJ>4pN)+q$)Ee1aqYnbILp|X(Gu*h=xE=>voPlpI`k8;l{{$kl9plLiu)W8c zQ8m0EH=jGB0jF)evZBY>>}j&$E_-gb=w{a|RIkgkCm6qQ^bIgtb=T&PbcQbyEr-*c zW<{?=&^0M;>?t5M%J3vJT;zN^I*mt6td}POZ5EBl-#H}_qnprD}C6~113C1rm;H|xEQSrRE+I$ z2I95eQHbMWdF@4))L4BVe(QG*ak6Bmad)!y0eZPhPB-N!FzCfPSh3fu%!||6M~ft5 ziY&-6*am9jLo&aXu-QiklrY(Uj7Qs8X0ZLMw6fQ46QUho7-h+BLEb77g7!jU#lD6u zhyNq`X&KS61Z~3#za^Of`O{PM6Rwb#eF(>^%sZE4W>W?k{vBgL$>$Zoyw{aX#T9<^ zV1jdZQE5&2MTqtvpOOvrG%NO=^j1^B^`GiX5woI+^MYh5^KntY)-(oNb))${E=gy> zw!`C)zA1hG8V3CY4qK}3BEKf0P~P({qj`s(vycF0`*lrXcWz0HF~@DB-fx^o7r?Q^*5?6xFJv5PgK?6{`fpy|X1U z#}>p_;vGXCm5Yp6f{`g*$lEdDxQ83DQh!*b@w7_R$fJNhK|2GbYBGVWt`Y~ zS706Ux=8;x4)Sb7c;VO(_mW6$C_f1*7{6GAzoo;NzuRFN4N@4Q^r`7oP+ngY1Ngs&W>P2XemC1bRyBiwyEB13jpO ziX4~W*;%CKx1)&AX9*(Yyf*(QF=p#N&SX6zvmyGk)n`^9&K zV*X4O#a->;G5tek7L9~cj#C(xt-qmpDVFWJyTs-aJi9S$IvBJ)<_*c1?`;Sh;eylp zUJ}zK2sw#to)RV=>@Yv-->8I`+Qfu^0^s0WJpR9^gxvox zRKlL#vy_Xr^zy%j>WwBv>dH-Qn}I@rNH|GE4oO3Ro(Kh_+V}HqE7|E3>6Gf>w>z5; zL58u0nKN4kZq+}!-Pb$ak`bY=(1U@je~w1){hWQTd$HmHx66O}p8NY=lR}<21D~HB z6UAQ-_p$r0U^J9(kK5)1$R9*h*!${f}z$CM+?Olurv*7=$=yD3uTvD}<5n-57V z&2Fu(zSsDViZH;Ih_7Zi$5K~1J+(znkMRm#sB2m2HD0yZJ(V(_d9cfH+T0@Lr>;uc zM(2Y;-jvk>LIAmVsUg!)*&gx5R0wvR6NFp83je(id^<>d*#s)lkG)5X>^#A}*silMUV z5IX4IMb*>hqjvOgMKkvwSg9$k=r?N_*TFx|BMO!aMJZM^S%wB;O$*u37%Gfv7tW9x zs_q|Op@7kls2CizDGO-XBq8D@(~y(+fWm_>zWhP4GrejV7n(ACz2;%bmBb`xvIHKHaSNUGVf35LWH1PeqM8aZ|1bm4}V;aTvm+qeMj87^dgtB%hPG`OJ6L{ ziR;#uyE-|%-*wA^X-4)aJ3HdmjEe=fKHHCz6UBbJM2<#sIEopKfZb}_t@%W_i;|3z zxMiWU!UbVn(Y*g`{Id)<Fzh#=*xize2n=b8C$&B2ix?6kFnD3MHg2B|;6vcWQ`R@3TxU zmnYDT#~*ukC7B8PFA6ha{D&MH^H%tJg+4^HEIaRE85~)wug&)L9H7yV07mCL(`=kI zFfLAuy+>sL?AQy3)O%7D?Vj+{&|!s=!ac_;e#h7 z)Nayy$vY&r)rt_{ML@N%QiP_zW6yq;1sIwYAfmq^AMR2L1J_97t6Zzm2_L%--dm`n z`OCyJ?DYflEOza_gn)QBe(n8f&}&R5j^F%3{E@WwT6I*jd_2cJ)cEGVB$An~F%fG6 z3QDw$%AArGUGL7NIDvwAg(+8Avs~}kIovU7Q#wQw8aht_BGOCK#?K?mG=;nU9A={% z`zv#lt8rUl5I*)NDV7~y7OAqs_f%Hx-I$<6_e|WO9)72E{|+8*1fm9A9N|<$ig%vH z{g`oT9I6r$>6vh!g$c_mliCKWsnBGVtO+aDK9Dvv&Wc~{L*kQW2dB3iAsRMus48x6 z)!Oso-oK0i%<#YQws+kBHGc=CTQZcpqFgId{tjH`<)#s$)3|&s2^nA+T&AY6G8O`c zQ8dQV1CMf0%3_$K;|?u8|G*05_e&j*D!XVzpAv|$9Bo-5#OCsjSNSBP+nDbHzUIVl z(#(&DZa3^RWi_of`)ELhp@~ZAho_dXQBP=qro4PbL~O}1-jbc{wZc5TLkBP-kNNMq zBl*tABO-d^x)0+0oxulLi`MvwcgXS}$AzEq;P%V@3h=`0$h1?t3Z;CQC3MDWRFi3< z`L>Jb6IMa|sFUIrx!iKp5~rwBQBxG9-daZRMxR8GT-WEBIY7IrD(W|dJU~CfU$)?s zBz9HV8xozZldrv$*U9z+*29Ut6CsvVmRxoui(P9!miKUvt{riWh{AP0(hToa2p{|x zd4>jNFJiN2Nn%tM5kwSc<2zS3jQ)sV&Z${9PHH+0LrMzI(^)zYXV9N_%ok{bJJ=fKZray z6+{K|$wXr7Nl}ViZnO2eo6V{KO%u}L=k01Zi3uLy0=zUos?3xqjBn{;lq4cgn!+d_ z_J~;7ikGR2P)AAtfW>AWWjXXgivfmaq>~>z*+j2N*Vm&Z;p}oQGS!qwe84$gc0$8 z$Kl9-9DOi6k-~siRQ-RKo7m$1uV57S*Y3pM?{lmLxltYj1NoAfo~&^x7Rx}mAIXvu z45$H558f*s^fZV!9V~sa1Z>vu4WuAcNsBifYZDQ?h;&8S*r!hfd_Ut}OP-y7p5rx% z!iloVkP$YDEp4Q5*g4kFd2g|8X}_{BmNQMz#V) zKR<+BOOCChMyG#YWlEOI%4gx@bP}2;=W;fWYU@!Lk#dxLeo`p>jFhs+8NM9UtJefK zgB)xdG|-gt6N6F@N+Ef9gOry5VErP3@QEVGcYtb)HYWw37o_#6xu``iOHnF{iCHEk zOvaSqk~_d5t^Dc)7{gKnQ2YuB>H{R~Eb4H6=K+PBjh)bb`Y)HlDwK=0ZWb**2+k9+ zynHGu9JN}L){?iT=^&>hN!b)Sd^_N-qrQZd3mTtZfB9g8lXst3*#%+6X)$@6`q^ok8jILCHQUy)|S+=|({8y&ER#G`)jk;p4 z@j@5{yS`zfM2uEYBYHSFa3Rbjjt(9XiJCQ|cBi|jk?X@Es^_198j_(8#}Wf5>O0U{ z)^|)kp#b)16kIFWukEf9 z$Q4#E?xa9T4zOCXTKq`eN*Z4og~7xmfu(SnAS1@qID6iZG$SuKnqoYIG)a+6?iIQe ziyDl&GtjuB6ZY++#haQ1&Z3IokC#VP^WsWT6NF-Oq`a%Kg#{h>?8?N9n z3`+;a%v?5U&RY6LX$VeHZu&Jm63N>{;%LCNW(Y|oSUi?DY$n>A97M^o@;-n^Fim(K zF7OGL7H)8XapYr70;p7NoRBr`yl8bYc|xOV4;vUjJ!ih{4d+AB!^*a_g(oSw^M$C5 zsi-Co=Sc@o(EL9>G%4B)J}K0I0(u$+SJ@9kZxXZG(sj+;l+}S6x-P;Q>ZtHRMA$@9 zj#zBt((4UdiU+xv)0mliaR6_os15jRjBZ6&dh@^|4;d{M-PgK3P1e#5)>v2WSPx00 zbceGr_-R+sC^l=7uNS(;{~&Xy(WGJV+lTL%l99R`u4pn%abIN4E&A!3RAdfg4}zw? zN}Wqs|3_=~!D{|Ad4;wOsLkBqKg0?7$z9By-4L8I76QkMX2_9*#w>B@F?K|l{11Yq z1~Af;L}E5iTXXGjUL$-mXPT&$_ThUYdr**az@6>*?=&h_+9_Z#FlEgrZp#dAW6oD< zA^a%uCDKlupg{?AKiY5F8#F+7Y@)UU3L6hQ%4_gTa-}VR0d*5cp(L2=g?4IEu99}X z(3@kQ5}2PLo?^scJEb6zh%~oGrNDiN$iddYD5f(X)M68FwXHZgP#*M@j}8-u<5FCp ztAsoBHyjByl~4|HgZ_&`i5*X%KxPLLuYoPsxY) zz<5c+Wj5x8P(rUKC&T^|eHdGf?38pcF!5tofCy8n90*mIb_mkPGqXge%ebMC*4%<`{-v|96VH_n!bn=KsF^f5W1}c-g%nt+HX14NWugl(uatHbPZ=k4i;xw zy;b;+lg%jM2JvgKPcyMM_uvQ*u2+<7ToZhq3P#LrUaMn5OcI_5sXY*xEWg+xXYe5< ziqY=M>QoAhvwr8PHM@2wO2}h@7ljKOl6%$%Nl-)+*u-cFulSwNQ9@lBlzxF% zys)GERbsm1d#ev5yR?7P%L&cx7oTu&{NTzykSH@v$Zjf;kI&JN?hzjmtRcydxre0t zGI|oIlQo9MunV71Kj3PZ4LJX2#OT>4+>pP9Ar|U~XO9{e#|SW&x3z98J?sH~FO2?{ zRU^?<7c1$Xa5j+_Aq=pTVv|6-9MDi6GatgUDoyP${^RnE(wFuv=1j`SrFSaXM7DWq zY~xxf_ZMS>!mL9X$`WyugDw_=+ib#F)qh01{2j*D;IVsjL(Ub1RT5b}DSh52GPcv>p^hbtgf(@@*YMFXfTOhj?(o z1r1m+gOP1+1d?L01L0v)M22UgrW9rdKCc)us)$0Kgfk(in`XUxrDrK9F1x>^$G44n zsgZc=emcyxQTp=f>M3+8NTPas8!npupMe?66C^!L$F*y%!7Of;YO~_dAX4zT?%|9T6_sXvXwYoJ*1zw_8C};JW?kWGac^6vS z^b+37#AC56GiEf*8KhqQ6kzK2uzmG!Klc!);%2dcq+ki1R2FW8g9-%)^n0gNS6X)T zoe5}S`gu4zdak4%IjMPUdr%D?V%llSEXS3I%eogmI)q(^lR82x0lbGg@Q06wOzAFU4;G(0= z;75-o51OFXoemNSa>FY}K_@C~$ixkTi}cv{z)V4`_d@}}+wc69jm@REwp1=_rL(%} z_>3@#I!PNH2ZxKViDFX2Ld2qvif9XmGug&Q8;z1Tq3))lUUoC!`x~AHcU)zPiK|iU z5S<}`mKsEWNEp6-vS!6&#;1alT_4KO2SkCCE)BjT-~x6~Mp6)}|GmSoB>hvDZxjE$ zKElect6lMR7iac|!v4R{F=yOFp>Rf%;0`9wkC3cSHCO3klm%Z*u}8?z+`jlrH|rB* zJ@q}M(q>^JEr6KfzmYZkVm_(r-WiG*{%2R?0moV*ZVWMd)F<6oHh` z@HHrMvR(1(P;dQKhXPe^vlyVsl7^$}xPrA|T&gMo+y4w5T@nvLAJqYsqAHM?_MVeA zn1Zg9HTWC6bOlQ=QB8J45KW6OBzDH?6NKtH}OM|!5_X#h5`7Cr=%+6D}=mO_>K9eF&dI2;T~nCAH0K! zNII~-7Y=rR&bPs!h6t%le0b9L-(rg6`42x4E0+&EXG4L zfYSM!$E)x`ANns0Pjl>fHDlTcZq;4a#)Y^!d6i8#QA||n@2%0F>`#KQ!r+{M+Wn@9 zv}d^UgWLmwecjZcWwa!bv5utitu8plb@A6Y_}9=O&oG(wU;sI?vTJ(3SZhQYhbU!4H_I;wgtx%pTD2?~ zFS}z?f#eYguR((}0+1jszY%3FCg0^l3`BNsh8MaDqp!7hBu4ax6Iu~FhW{8Tgk}MS zZ_zLDr79xB)xeDi9iaCR^OF8kiNKyWzKsw|0`ToaaSw!d4_@pm@szr^SyPjVBYp7n zl~UyBOi3cgY4H^n&E%@{{*kzG!usQVLMG8y|3hc%&-$QC7D5hFgffk*%cxW9XgK%q z!$^ln=K|G1bcQOEYyaczJKu|n?%AWusUuVsMllmaw0=3NYck;i?DGl~9$GN1)%e&^ z+qKVGg@v$ItM8WFm7po1!<3Uy!4c@bH>XlhfhR~oGBL=Qji2a@DNw@zi6{+~G^D-? zr|cPuoE+oWGJ7-FaeczS#ho<+5C3}$oqXeAVK9ADX z#5Bew`TEuei?g!&0iUuGidhQq2xgwmmJ+-rixze(@sqA~NXd6tx}74C9yWxg6E!!G zFlJqi>IX1uXIm3P+9ZtjQTloOyfEF=+$sGGQvbfU6hx4Nc69De4WH!SI~cL3ZEA&A zh;yg~nJUwcGD zs^P=r=_8%eNRu)5k+hVBdOsT-y5I^QV=u?`4idB{B^%C&g<-h+4Vn<*_NO ztZIf8pF7xZN36TlJzKiCqh*kL^ty{g(5vkXX=8|)KfSAkh$qd&RRiv3;J6_h@V^7{ z+th^W;Ge44@z&xjBV9tgEgG}dPCuwT2xmLzyQc{9Qg4hT0d$6d4gVTu8oGp2OMmI% zBBOXN;#OXp5PoH=2^&%qy6k}dMCK?Wm+Dle9yo1hS^{kf)fhhY5h7V~eV?l_sxv3X zPD4ijkHrqa_Y!lz^ow#QG_z)HQML6GJ&ryKLN?G9yjzm=X=rcY2%sK>CuM(?YY*RD zjqWYK=vv?^VX?VW{Md(giX$ZmyI2s25|;91wH0+qDv03s#&vr466hYKZvl^pjeduQ zTB7}59Mwp~*sL5fC)3GL1FDEY@VKO%yP3NrVMR+FujaS>bv`@=yz}Kf&a}N(;SqBT z(hZ4!vEpy>rRTaPOu=uE2dXw}TaDm) z-Ck`_X=lhS?){2CewSJ9znB$~3>1S~CS_P|Xjl7uzmdcLSo^$XaJ!==CRV&3|zV~9N*mveU1qjVE>-E@|u@e>r+*QRPps8d=FTIJVw?Ag@=NjAsE8@ z1x~fkuSL8jLowlCM@aSNN)KHPOs8Y*^P<5mOpAPS@K+dtG_Q%AAzoS(bLFobF9Z8uK)>(y_P_R>+E+w$cNcSP1Y`^iPRVMYi4+^8 za1-WgePX+64GnJ7^|1S!TcMD0-ap26knZtXpHBhy5Q}?CgIk^?XYTq|sH`&tp{V8? zO^!us)~}p##+v#T=<4qh-g=mfzwawOU2V{5BYR_*Ea+#0TOWJKroDYYZKA<#6lGLG zEics#Of+Zhv$q|j-oRc=+!>M?S?dE+`BvEmg>2S7-)y!*Z(Dge#mad(3tOR*J*m=r zAK=dCY$0>%`nUJrYM)PCX;97aj{p2}f~;ED7gTq&LvhzRYkep;qSI@AhF5yJ9U&-f zHsYIg$B17k-fi`bLv-D`~%8)O*mjd)xWj2hg8bfK5JEFN-C@y+*Y+h&r(-< zU>#p%y;gHfU_NMWhq8xfsT7f6O?jVTcKYh@BY+253KZLRYXr`*7`77Pye*YToL(8;n27{Sgf0aVQ@Pe zr_l+Fg>Arzo4G5*Z1*cU*ar5-cPsvT`F6EF3kJ8(`L#YGW+M%Ub-b`z#oujprDu0H z&Jb7OI_UwnPK$n8IYVg8FKeIuf9CN2v4tGf^44D(+}<8Y|ANInADeRMYpsu^v&`*E z&ZEQdGHiO%_K;O&d*iJZX!7CHRQF}A&!+zEmNv{V?5-lEPv%*$SaQDKLHK{aURKx7 zYCfJRYMolmnyLl+HF?3H=@2h3RfGLFatC_dgZ;h%UA)=>uot(NPlO^3VBC&dQ$iqj z28FqkZ{omqpY)CsUvPIn>ej97PM-td(Vy1k5gYMRWZn~}V^ehpOUbBK1a0dxen%#Q zi+m80>m}gvp2y}p^DA+O^7)>Eq;_h0C9K70$Cy9Z@7N)L z)kU6S1{}l~+&u&5j=nX`;Zo=o>{mXpy~mNMy5m&o7wmTdc>HcWh63=KF4F8C=rxab z1bB%cKzD_M{Z0UnQo-E<7+YWPJEkK6kFPR2mxbtCqZYx!b9}wzXbA;3(aCU_#ijIu3ZOV5!py?iNMgQYP-$Cw?6R zc(H_1p>J(w|4bd|6~pZSCmyQfb!=7-7>VO`prCIhFOd^6J)3a2)XyPZD&Upn!+Lt? zU3B~h$3x?p#Bv7md#S8!l!JxIPzu>y<`NMvKMR|}LIcB3Xhhr6NXUJv7!ch;-!h<$ z0zCQ>bcD|NAH1)04^ZfQf++5A{37bm5yzk55AF`2bFl$OoBl$)^dauZ4IMCgMGyAV ztp|8L8vbuIVN1c9xPw*9gwp>Hz-wfO@qZ^Ljo+~fdy)?B?j-J*YeHXf*9ra`^U0Ob zg+F)TTPNz)VCALujuTAGPo&FxwVk?4q{|S1*Xu~!JV^q;%fG-Oge2{!POz}{BZmu$ z7a#1Q^OH?*cQ5)@CWlL=;#x<>UB(%moLEs z`RqRETdO}Bs_AHhej#15c9@ykoNOrnyYVvdzebuMKYzP;tbBhC>Hn8V75Fs%yDkU@ zHP!!mKW-8*@>~48xbX0zb$Zh=vz<1M((gE26vk-OBl<0-$H-CCJ!7RK{PO4Fh0j@W z>3dxvt@E7jis~=QHStSP_X2{sN=o0(oKr>XJseJ)=`70No z++4JMVISSJU!#MSHFxM+k$1kMJEE-c1-jSBkD!>HF08-M7Zq6|^m&h@_sX`zK*JGfzfF}|_?g1*3aLyq{5ZK+rI z-%rNoS&^evyz zUTc?5M{F_t-#QoPF-xtESk>JGQ-&&+`r7BQ&xE-{`Jl$ug(?>+HsF-(Zo*V;>w-N~ zb8j20iPI+BPmFa7MV$sx=fc?qTN8cl3y?GP$CNcWD(hUhrmhvADU@)(E~YJM`_k%P za(~dhnpw9pVJ0He^_%>b<45qUZKT~ie$s1OxIq7&-0tY!-@4G~z9vTuGh%|pyTAze zNx;CF@bYv`ZqCc`PRohlQudR4L1M%E=%2uU_wg1p)9o<6@Dpb$*b#Lk_P_VUei59O zT-^q^PrCE6MFfp)3GBz4w=MKKea3dbE165=jHeOZ9T4O<<<7a!*GPg2a#8fnsZyWM zlP8c`iQ#(j<~5wu5ZzzVm)?G6tv`0e7)CjCSis#mL3K%sHVq_*KQs_a)aOnhA%V2{ z{vI=htDKdVHkdSF=}6RTsAA4v(@HXo0L}}MkJ+zEV@Aa0r4l|XA>QG^TLc?>W#GXI zz-|?adHGh&wD4v1Lb({jr^TvR!>dRXwz%5lgq&|B0;K9-38a%{9ReVl8Dh zGj!>^-8wd;ej#8x034KPe7JN&%FkqQD;8L%I2HlAV}YDwPw1~-Pb3dgGMP3o_+)O; z2neFcR1ZRwcPgMKKzpEhP}Bkz{B=}U*6h)Qu(hOW#CW}GXL9EZEdt6rm8sJT9DjxY z0X7Q3yuE39WMtfYq6c2rOgXh3O4v_yL~6>k__?>>xr;AQ?v{d=*&`@5_~QxpVk@nU!y9^{Y6wSLtUC=SLDkBybqJ;Avm=BnKE0M z^3$I_ST0g%Yy&HfKltwqFYyMZ;GZF5!nzj%n5yO0yRKs^?LFuLwVjxa|o#LPH zb{{pzIAy4H;qOFNXpvl2oS_OG!iLC;@fW%PJUXs?I>duXjrYL_VqRp0-No0P;@6#> zSDhP{9PWHKaAJ?D(2&*(JF7^4?*p)jy}4q%@8_M_xNwyEt=btYZy=-O0I!14oEFL04Bdm2%! zJu0N*qNMfb9OEbXQ^X%*UBx5Y=l)`_vx7%}<0lrxY4<8E!-}n6_bj9>!T*(#Y59qt zBdL<#-YFbv3Kw+CdtZ_kuwwh3vk*IrI>BqwWKf0TN?}`d^;IMsweqF0CVt^CU8gP3 z*%9Q965R0_1oV!A*;=DS9V~P@#<@@rh;vsA(qny)<{%MAmp|M{7=9RXzf;7b?+JFF z1Z}^;ohBTGPrVUTli^>J`yY3h;%ed^ol1ycxA0Ar?>>j2p2gduKOz)tCLD3+L>%41 zlvW!eLwYx^L;!QiYe>or1s>VkusvP(o90)0W6ON|uDwoYZ!CdPB67IKm_Oh4B94l8 z1^pJw%aDj;wk1Wz+W;*$bP?W?6=ojRs|T76D4!x-*+;-zm=bJm7PgfEhJMN|z|h6V zSf>RByg488;Jys^@;C*_1grn}-noFXnojc${DaH77G?Iz_Mx^cCe>#u*}}g`nLHCs z(64*$ImeqQBq)Rm3cqly{aMZ=U(d;|<9@`PvmXcdS)&^w#ul6h={A@;0#bFfvsiUP1>n!64}E=TiIN$?6XOU9 z?E6cCf*%}C8E51D6vskLfGQ&WnXF(s;wVBa0As2Z4?dIApMXYk)GHI>-??EgY~aNj!gpJD(eG( zf3SE@d4J#SPy8M5@2#Dx@89L$K+4w@=T;7~LKJDS`r2~&VX(=>k;jL%>^tnq{5jWq zl445-|Aa2Q+~=tyOL>O||GA@~*bKYj@Hw9)5@vuT?4U@pgeO@0;`PwUzR217Or#?@ zJb_N$#7k_h@5FFTykh-jnTlb8wa^RKS2rd>)pB0;*wwzsOH5sa$TIiDP!)c2Qb$z} zm6|DJuCWs+CUkXS{KHg{Z+>|8$S~>v46E9h55Q>^QKb^7JR9&|0x|RFSnmZKipV}W zAAzU&2=IO+W0W+e^;^z{#!8e`WLq`Qt#0O03VwK__ zFg;4MuD-Kl3!-!f&4Gu?p^7s5E2?3aSD*K1j~+&jzJC}v^0&CtBs>)Y@7$UuOKREq zW1+4P;|lc&1T=3wlec7sk~+|W3s_}iJkJFH{!=p^eW{)Ls|&`HScE?lxguCk zP*gbK--yD!O3cl#u2z6GCw%k=2-bHXFCybvvJb>XMd?2Kb4AcAf9BAF zd(I8NNJnNc7^zLII91Thn(>yzQmu+kc|D`jGTn}srwV541}*%ID{I6r!QYcxK{GyG zuguvzQEj0vU7fKJVT=m18;HmGGe>i{|6|9v<$DX*n4eo5b$(rd%C@dA*{6^3)?XTm zomc>viHx6V+@?$wWHc6_WTfPo+*%ce7aH{UR)Ruhpqb5;?UujDju74?)GRM~u;Z=a z-L&`&C;grB!9OQ^LT28en5C79ScH~p=50%OrjJ_R=)sS`>+|w&5>OQ9EC3gk1gCIz zWG{HOlWOH0;I5yr_jnu@Umxl-ELV|Z#la!avw1>Y-oWBuh-@`?DB-NH+;nb zQWwto>j!eS6a#_k>q`VLNL7T3ykx-Wiawc&P*3WdP;?)b1Y0LH+qx*Ine^?a&mSIb z6GldfIT7dS+hzq_;BB3xkj~9JOBtle(NTvvm1Yj-1q=O-MCQrH==`hAhW2{p!E69y zb9r!gv7qn~s@~I1p7%@IeB~F~TeDG4_=q-UKHZHR&-W;09#3QzI~fIsfw0Zs{_kRg zEYw;wXUbSCS3^Tg$NO`;nEVyzL4&s69SsHkRYRI5JhP1u`a%< zo4PH>p9RBn+X8G`Eb5_9WCp9SbBVc-NZVgSk>wI{vlI|PgisVIusm21FzZ8|LpC_; z<7msV61)780JR=z-k#rbwsqnM9mNc*l0`xN1;3VTFXa7UYS~qED0yC6*it*+1$ML7 zX{O42)TYi!ox!+_Kg>=g1I_(to#M20;sYgKbTECgKz=}ckHQkc;kC>WOIO)U`Cgaw zK1$X9yiN7%?DUCz>je5QM`63~bf{nscyGgT{@#euE!@#iNs~bLt0{Kr_u&xis<2pK zmx3t7xvN1OzbX=z<6jPOESqM~VRM{g%bc!}JIbK~!2(fBj&Vk1bxfM_mRDfns%nGe zE+6GG4vNo<@e29+bZKlxWyT3WkLlHlQd-pnkSRRgAK<+&qh>$YOy>#B$HOcTsHxtq zAj;@AFI!gdn^rSZX;Yt%_~EXepi11+OuqQW4(Q>BTUEcOR(2VHpX~dd8NIYztFUb( zd-}+6ULUoDAba{Fdg<(QvXDi&Nc0jjDgnvy(`NJ%RcAxLNtx3xR?R@@(7R!oQ-E@^ zFXb{g`DKQo^$CWZ{&psF`T&+aeWugYQLI$=GUIfPTKbG%^_d}B*-&wJx}LDgi}>M6 zqU`b)PF4SKcyEE>+HbrnjC{{z-wfhp-xs#zmph5F0;=exH&)=iH&Ior3A1Lsy3Fad zTA5g{dKt%WoT^Gjpa+&_!p+(G0||X9(aGHQg#Uo z&;`f&t!&vZ!?j`BWFg1jLkP)2eb`drFe*x*hb-{}S@O%DzISLW=RX&_AAc)6pP%;w z171(|Bm3W;Zrdepo0;;#ftpbJ|7v}D!)=|8(WauaWWWW2&{!t6c8naGl1}^#qCyG? z&mWK*SvG2J|J57Dydgo3nIl5VhXsG=-(W#P#BXV-fMBn3&DbM(o)Dq@&jNAy0~S$G zXb{w`EhjQnQ0yuWdKd$(%crt3>CKi&$h|BFfhsS{uVhCsb5KOe^gbya37EQ+Gv%Xv z5;*+{A}&pUDjZIjTg!vNBuOJ5DPna>iaYnOZ3|49y+wY8?0h*JhF7#x@}*dgI{I^z zLqrr*!Uq}`8~FAWAtent$6ztw2e7S8$nz-#s164aj0V_pc#@5~NP^po1u2<5nNd7w z;ErO_QQHtB-FhhJ5)&qhnWU@;WNzn?=_V;4c08x5)o+itrFk*Y0|~WpzvH5WkiJQR z4~zue-pS??>j?fOU_x437fO7~1xYtgM=xwCu@O>i@zYni!FOx85Zqrs2_Z(p;`*I$ z4H`ClDmOB_g&bl^Oj*yS0Z<^LEkxk|S}V(vTvSHjt#O#0Z8-Ct;ASaYTo`qTETJBNq!yl?&x$MnDN7 zoNbK@^QrEIa}sCIZPntrRwYzR9ggIKu>hvyWGTP}krhDzWSJu8>aw-#`0rFrMZJM0%`T4C!c*Shi z`YU>x1(6v{$VCJcjxsST-;O=2Q%k8>9a>@`f9uaf9S#5AZgB|Aa*NSU;=Y{SAn6r2 zPf5t~ydf@x;x`3@i06Fg-ZN8)AlZ`$xm0eTUa%)fktsoL^;nw!T)>S$8&O~nrG|wil zngeb0x?%R~S6ky=B^?m9g8=wzZI9%w;v!HF_HR%tm`!xcdqje)3WGQ)AUcXRre(+u zgo&+@Uyu9)2ym=%gb0R#>=e???hdvI;#TrOgar)qTfQu=ZMUU2Ay9zrY-10fJ4hZa z3IqDAQRGt=3zi0Zny&k%QX@|ilZScySPh=0{F{LFNhr}rbpH8I|Le;mv5<+_``aI? zKwqOv?i!eZA zGQ61VISl<^`ti2pBYc&`S=OpjCk9ZDyiCHAyxxu2pB}MdV=gv^taExoXJH5pwgm=SLE3NxGgV)C&(+fPzOIH=2A- z>C#`$7H`3x&{hreBMnZ-8itG#wZJL}10tPY)KL@nH7b&I`}@(j4?#|*gZG4(BB-lr zihsb%NVsb5aj-mb-rv-1fp&6MX$Oz|hzU^-^ON%fru@m^z4LEedYA(XZYof{MweVb zi+q8vcT(#Yx9^qWmwC$!D}xY^{KBy1DDZ!ccg-Fn&~s6=Tt zCh=L1GfnlxByIWI$~MUJSo|_PkUwh(qMy|2NCn?18`Ox!;cI~vr84*zE*Esrx~Hs8 z=A=PFJAgz$sw|@RQpj-G?iaoW76%?M(4FZb?9OTaCPR zk>TY}!Jezo?3xnD=;vcKHtQsQeb>DJ3r`0Gh}pyOWyUocZN^$Gw}g$Yth{;Y<*l2$ zy>n9A5M*2&b)38$EEoI1T{WRqXBO|Z>A&+@m4BGByWL+~U;-nMbKMgYsv$^3+aTmN z!q8Uya+VXWa>9A06Vpif!cc=w$o4n4Pu${mz}t*zBJ9F`=Y8uWa`^21ReJG>IP{25 z;^Qg;R9FUCq|dK(!!mwhw)^)>Smh(DIP||li7rI!z?V=+Sf(mt3+T(h++y%vE%ubA za0U^?({`R>IG*!31ZZ-mdc46V4o&qe4KnKM@pAj5(OSOoN>dt(D^pXwHKEXLJtr-v z1j0mFN&G#?z9|g1lIbs(=G3g%S-NGn*N#vjOY{Z zd#^%lvTbsvoY-+}#d{Yo=GqLRZ&*-`R?D}NqKpU4e^ZgUo*%zVq%D3URL@;3P?^u0 z@9k0R##0OfDIQw`(m>V#<(aEdQ@>K@ckT_?_IR_Pa)`4MZS8JIpCI>pc=QS!(;6@z zyqAc(pNNjWz?D^`p$SPvni$)tCUa)5eZgW+v2XHtn_K3ml%N+NDYbI3ur|cw4nTZh zF-aOizEAVq6a7N8S zw`=`j4~~wemv>wJ#meGI!x9acIr3_>j6NC5!Jq^Hjg57{w=p_7RnHL??p!%5B=p;U z(hPhbdf~$YHGZnahTKAbE(C$@KSFcZ1{tv+2K$Al_K_O_6q}FmNrr(E6ygy3$hEKW z*wipKp~VA4OPc)(QjzWZ^&JnN)CI+B$d5FX-Tc-`Nqrk2JWh8E5S0S!0?X34HBYgb3a&!S^)xbILsj z1}B2wYQy)}f#OLJL_adkaTMAO&48Xx#-#`YIUY34qhTfmqvIcuK@4 z1#Csn8vx=M?!Et z-ofhwK2IP?d&c72mCM89jO*L*kql`AIB(n!{OSE%fF(|G) zkG3v?L3G0{UBd(qTv~6a( zBRhYq?ro$_7SIM57Jv+&sQx|77Ni(}YJcJXyJ-D)h5UEBPrdqdZaDAneOG1Zd$v6v ztrT6EG?Rx!zWQb?C_J3kU)(M(DEx+YQ8{|DUX z$4N!6+Soavtvmto6-f^qUs~E1N%JU6B#$9q$+}S+L%qGA?(Y9})w_8KXHaR{6_T%R zZcNd>`@l}ZXmJtw!(&>>e+%_KUD;zzeNkb(!?%YC{C*m$B~C)Z;P

    dF7C>*PvCU z=zhyX)*@-Fbd*yGsdPCPm&LY4(ii)e#m$*i@9KMT0)LFBw=si8QHJ4yduRkpO^MNM*;NHl5h8J zoy;YkaI#zhy@qydkh~w*dwR+IW9H9Na9k~12*sjQ3spPB@}2hh9P9yW+DG`awNyv! zR=I=Qw>q&)m!tR3i@)xxy<3;5XOLf?{%l^i;#c1L*n98*@Lk7+kGR+Y(|koKg-IEUbb`p&N>;c{h5N+aTk%%l)x{~Ls!WBM zGcy<4%*ZVJ)DlgX(m;2fjCi!d%%9rBK3`X=~ z&)O6MGkQ3AV~PVg$szno&HJ;0kU*i!UrQH-`7sV(5iibjDE+G0FQwx?{gQTEs=f|< z?$_fbjoo?Wo9+Y9sC>TkgbWAZ%yEV(SUGyphs#5w%&N#O=y@mEe&sOv`Ax%&D=?)| zpfHJI0E*@2A$6=h0QGiKU?Udh9)Oyy??&yPQVHqtTC?GoAW>RR^R6+Y_A6!sPCW~* zEu!|7F`^^#=uD}F$fe7_`~EHVMsj4dznR5|-jNQJrf8seW9&8n{a1;C^TDciyk4{f!k4;9258`n1`=`BywDVRi2h4KV4b{Y*?;GZzIm ziiY_-Q!inG!oX;L4{jt56~ty=y6ML(R6!e`at1$8`YEtGFPd^jU@RIPfufFghU=O& z+FE9ca;7R!x^J^P?^zgS?|5x$Cm94c_3Cg};KLlabaqtOU7He=?>}5qRkoc-Lzy7& zEQcygTrv(oPypY}FMewDM}ZabxC}eqIZ8HAirQa4O3r+%_2-r_;j zJ_M`I&DOnkv?)-U0D0Y=ckTDL_&93+?kJh+i~4WJzf31Z-n<^f53gKNUNfmp{WSGz zGNTL)S4(#D&dvdhrTT>0kF0Y(Sopvh&lLvV0chxK#8H2D-tlG2W=u$+ zDZB$*c)`OH9c>@Ae>lVeOmo0XGriYOAnBRncp7o&xZgRfS|RR^^ncpV67_%RLCuu9 zZSsFS?wLU4;+N3ruAKQ2G}JKYZr#w&4k~i;Ph-2cW^$%K1g79i$X0QZBG8(tb!pv@ zBS!F5m@OyiMYx1)G-tBc=}oN~#teSq^|QDoC+TN01$G~gLBlrHszD>O`0fNaK0}3$ zcmwfl2paIwKq*v?k4)i&lhnBty1|)1e7Me?K%DhO;ETJD=hn@+42DD}0MB#Cf((a; zCM*ol3Ft5l%Na6S%$dH8z}Y#vA(S1r=maFvvi>@`nZ{474T$nq>IC>x$wi3j-$)eT zKN-9U6Kq!HDlWR#BUU)8Q;)k}3Eltz!T9i%zCs$4Tn6dsBPI-SIxK<;?p( zMQyOLI)=-oH%I4_%Jix@hWgvaSyc==>S3edidC3FKM!)q=#6kR${Fq(kXZqvAKNo` z0^%koKMiNcwG)t!7W5A@R4xriN|-|KAnUHZ?L(kv^s2na!HqLr;1C4El8Y38Z!Fj{?M*7Y*am7t=8>mT91n8GBu0u$3U#AAtUEp&9a=;kqVrUSnm@F zS;N@w?`VG`<%mXCM~u$>iV?nzI6G@M&cC`7zLF_ic&AX|F`$^ciNL{VZXPn?{EqgS zM@!5&^W7T~-M_+c94Uwy`@R+i-3misI7&Oqub=c|zSAnxScjPSfB&ec5gXd#PAYtF z=rd-rs$Lr!QJMB)43y2#%{-Fl5p*(xMB_OB+wlgsnnk7SaAo*{3)cIO=FhUS>H#fuIeMG6$GYtnWd4EzGpJ&1ug9w z)jLy?$TzB)uYZU0|_2#)QoN_@T6)(3IC{8jY$gs)hR(nC3+pT zk0j7}W;mPmExWQe>>Dkr&=Nbw37~B&HhHhts0qrK_6%rrjPOr$rbj`xu4X!w^&M8-f_2B4S^=+S66pSezEOC8 zQ5k5Z9z__PXi}qJL`l{y^2spkOZx=>#%O#f*Z;>DNjH&KP?7hm70;Zq=f`f{1pjcl z69RnjO;qpx515qdlcvy(7%;N=!0501B{DKE53dmT>76v=l~1}8{l9H6>4OMxbS#pt z>Ck9dWV6^EK|RJV$15bL=OwrqhE^FM{dVE=hGXpmnY4xlvvtv8B%K&z?LyF4U}DRm zk@b9cx;bU|6_6`x^f)rlRQky9V3v6 z_hFU1Bn=hTPV@fQmYMF}%D{b;Z{PZ-h(EjQaG^X~w=CHMC-;VU^)-Dq@GTD1o&KHV zuZY<**+^D`O~=n-%Tv%E3ii3KhI6P&AlnJP0rILs22QfacX)p`qy+w zu+)G=OC*hE3q^@KCebySbXbs1a`8v?ET{T?qjObEQ(oYx=l_`#I5fiJic*{Jt|yab zesy4kdIL~$C^*@!pFmNu54AzKqViRIUoz)jN@BX$7K^g0x!0%ob8d!3yD}+anmSle zzQ?wSf0&wHw4fYoI5YxrMg1?(`$pn6#jATpK74AT6a0j`WYUQ4wKBB%J{r#9PrhW% zmt*s*k7v>T_nRpb|4vbjV3TP(mp*^=$=Ez9X&H?LwDy}D=s(*?S)WVjex@0HAE)u& z;=7gG`--rK1;s=*ZT{ph7ryzR>^Cu#T9|GWm|d=cLjFG0d+Kf2pPnF@aiR+lve6zBGzx-JJ38QloeSxbc1}xYGx$D&Qg;G zQe+1zXmMSSx6I74C88&j%>|IZ2{0(KdXtVc2nr)lipuWN&CLU{Oe~OIU!O6)Ng@vS zQTh&&9FWu9nG=wX$zw5-{6bWrRX^@s9>lTcwbg}=6TBgBrnAKvYrg)X7ihf1#=qOCzcwnx4LW@7tM+SdInPc zZ+dSBKVt|b*kR1238m?)VPwJuK1G_!+eBbX+A+N`B>wCZ&Hdj*3K^4)#caS*+5VZ_ zJRo(f5AgA8iEJV=GM42kn5|A_EXbTP^l+n_^e>_Bz*`Fy0|aD)Fw|1(npVu_5MzsE zl`GE6Lm#;|e=Ex`>V;@wn*3YWXp&PQWHcD^>nni9a=V_`K;S@h>!%nIX!%f;w+OJ& zY~P^dEeq?#8S5u#ZS!A zX-q1W?ipX>wl%Cz30>+0u<>{K{Q>x!tmbUM!5S>gpnD%g^KIf2C_zRB*Uct5udA< zXtlx8RF#NkOB4EVeSPwsaP4GW#H65&lw~>e24I0g){~(c0428HhdN_wgNY-$Uhp5PhUkdfOH6oDJ?@~X$JC4Qv^ISmmxLP z{0Fgg1+f^)Vg`IP^Z2Na%W_cO&yVb-XZ$SLb8JnwR0h+pm$cA4J7Swjmuq0XuihiI z5pu<$H$zfA1&~aYEp_I1a=I@76_8A)SBLRaQab6s9Q-T(Q^jj+7^xCdN}?U310@_P zy7k%{Yy>S*2bU!?`dlc`>^|1Jw*9@WZWzHAJMn5=21--3aK&KLv=>hee1vW~-G5Wr z`8mpS?#dJzWqnjs5Lp3!iJQf?mvNHf_7BqogCv`F_|78zSJQB_t|mHf^PPIShpdh z4FZigt8w1lK4mrQT)T4x8U2`CCl$_IDX@-LH*^sclos!*HL5eWYXrBnCtNXv-jdDc zl)wK5*0Y3<83nT2)?NM9Qq}!Ch@D~ZVU2&)ipfCA#Y=bLg2OmdO9|X|%XWWqxlvN{ z@!PB-efY!sX}KhhA%lM6*IUf=Mqs}t7a!SRVeZJ6&dLPjS}Sv(0PSCu0J7HV>HA`p zXoiuMXw5&G*%_}v1oFj#V(>$ZH$qDN_ATMRm9c>y49&0(nlK@*Q0^a}yNz`uMsb=w z0=5+YRikAB3tO`JM{oy|06|*B8B7%OL)n% zQK_@Lw5;adN~>eEppEHT?`<}}3xbxZ!QM0bRPw@6f>;y%TJAN`gjUYt+xiG2>QoaF zhLV5X^EU;|Si}>FtjFqPR^?spn`II;J?@t1-rWn&3bxj>GHAoIYuu>hH}E105fmM7||Rhb9L{e%J=FV-h3@0) zUXA`sr$b( z0}lSrcc^JQch{kYZWqayj&gAwsRKgVV_VLuV;-gE2Sl@x-XaXLRHLRIwOs!&)Z>m? z3+XEcm}SEjP#=C-ahHvrIG9Z<3bJx`a%$Z@Qo!Hylvjz&vXq_QeYFlvMvUbMBYt|R zjWsV6(Qhv?%U)G5%Qm;aM)Il04R(fW9YJ`wsK-o8g-gx9pG|0TZ5}7k>&7gh2OCx? z%EF7ySxknF<>EZdsPgO!>8B!q`)67_7rN?ky=CfgqnpgK4rS(3FX=jH+S%Yf><;U|(A^p%u0=;i)T+qS~R^BXr2Ie*}!w@R> zQ@+q@jnN9=+tOB&wU#ccCO$2q@8c_^55FlfA8jq7H%Hzx0(CO4W@-*x^}nDR1Scj+W#ejOO~k&j^If<}y)hc`>m z4ddn`C({?^P$@>|JtRG`HuL1Dk)6jA;~Tea-%#Y;mmwVg&R#%DLRzcqXsx_CXpTdh&+YpiDyROH?;4bn!-a-V zrsu`WK2Al?RkvJj`c`BS+l+S0dIzh-Bxy8RyWCXHM}jln{V=&)g0QpQRiPtsw9N{5 zG5j9!Xv4rovVIoss$i^Yai$>cCjPccJ0Oc z6bwFbJJLDudbPk<_jQ1KNTKGmHmXFC6W#59ao!sWeXJyE&7t!6$XG&{)@0ojRl^mx zX%2MxHM+&ez;!DgZhr*fMRqhrS?%nFLFgRcH+{B? zYvQkN4SXrrzgS`V{CAuB`EqvNAxrA%`c%~aW*2qFRRegyINr{6QHJbh@@04%l(@`a z@rz&)SkJWVLN{-8s2+qx=32ZDmIay^-7^GNUx}g?zGetMQBm(Nmo1xdOIuEGcdZts zrlJ&p!0tkWio5pQt|#^{R3a63K~%TGwNp^Ctc2Ic3_&{1`JM#134axLm3B!xuclka zAtHzt^c|8qfdP6k!6s9y$;xVW^c-Q7p;wX-U~)}x{o#OL^iHlzQ)b>+KK$(4BE6$$5P7%X&A)*uGDp7_M474RP{yYG@p_%8RI z#u_n%(#+-#*P6DzXsn8zp76>-Km^Y>Vmk1>+_CSDVB*O)mBKeuAEd1X-EA?MGZIc-*9?ZnYk!X| zWV2sbNjw=$qCfhtSS(bRx z!z(pp&VuZY!>`G}j#$Jr^7iAGv|8wAdXgI$2pCSqTv##4-V9ghy@vK(Gs@j`J4OJoY(EVGo@n551!U=jJ4`YEh%KlZ&bVk*a6@3UMBJqR(+gzoT6?stz z71bL^c4tL~4omrI!)L%4hNeB&Dtl5+eQp=zjre!tV2lO(N{NIR0bNWa3sMnBZ>gCX zIq-S#h6Q=dDtBXy+N;U))kt*$YyM(KAr|CQA(Z-_xL`sH?yw+Yc`{oGHS8%ZfwI|! zO;1bTCP#y2o9op93A>}ESq zAdeT%Rv4atEW1b5a@#GY_dZ7bMIU;Qc|Wa**vkg|0&Jviy5!NA4tx>ima7SjQ(Yqeq?_{Qc>9^%TH62bn_wEe`M>(c^e(<)S2F=}k-4uq-h4in ziSbTi$F4+h>}_{z%;Z7X`sUI&hjKA6%_mF^G4|I9{04c7OC6BNBSHYjFI4qzCW5Eg z-zGGQvy2rD@E!uBRtNqSi=&jJCFsc1YrJLE+aMpK6DCvHu&JUpG|NVR#4 z)rjSbBR9^>H6aV^J(lOn{#S^M{Gpz#|oVvyk z#AK~2%g30q$f#`{Pa3T6ugm=RKB{-4nZ@*)BAB^b2@OeYB+(Nxf3*WQxfeMko;~GKxGA+qUWM$x zHqV9+4dfVuuPS7@74WlGAOipjDkCpH>=`7odd9d8*3t)kz(Ts7URDETaPirJDMe&; zGpf<|?is$Q3=iKC^!AGa8y}{c)!AzV*?6)6I{vH2f5#bLtF{^wgIDiZABjvDJGK~J zsOK~NAbfAoMQ%)mfi>MlD)T{VI_2)6j-*7bq*SBmK!1_r$5waP60+#<_sF8Ww{KdH zQib`y2a?eh?GzD%5h(1mn8+5hJWLwY65^3gm*hd=cJQY?Sh1aL=6L#_eP5!2C>@n4 z(2e{M47~NRGw1r$eKdIhQ?OWhgQ?@3OU-~@!u?{#ru*nJN2xxqcX_T6mCY!)m6WF!4vk+m{UN?C*U(4%|hwHOXs+K%6HY{;8`!a8EtlNaO^ zzMDAI4_JKlZ?_O+2ztku7`N{-z3;OY!~bOz(tP+7r6d~oCrxR-*|$})XkNF-WNII? z?M|}@Kk;w#9+VQ{ruKwLES<@zebY%-&d8uTG>+rv<-_~jg`YPDwgU@mW<-(u&F;&_ zI{+AW>9PjD5DJ6S=T-iNaLr^-JzW~E`d*Zs9@gitm;DoZUcV^nd@3kC>-=}S`|s(p zsp|QBej@AN<9=D^^YI0$A@u?*vmXTmrNKmmc5_?50(?6*a|bX%;ERYDJa>Jl`-tJd zakDZEi*NXGQSwx&o|JM=M9ylWJ~cbmkn@6ML$>DZT3afO`zkHsPn;ui69?V>T?L-Vo;K;6?Feo$Uh$DM>mlMd)B{zwI%HS z1mqzqV1C^&r^ zK2L$}Gll#!VD@}2@orlJX@*QlnV8#Y5PaEvszf5a9OShE-+O|Az}t5z0Mrbauljtq zarig-(9Y9bqAYhsqt)g;{#PZVXVIo`*QxDH*vgBe=&ids$$>>6-G4_j;Q)yjtu5(k zmvJzAl+@FLi1 z`t>GWcu@07MjCWgs;Z528HdVsgoqa$_y+7?2Zc!g;qg=wyn5oj|C}(tuy<~o$3-Mq z!3!@odlWW^Ol;!yvhkZ@WixM>3eHh2DgCJw{(R-o`R`sE-`n8G(C@xyms<2`Vs!#1 zMV#?>q?fa|3Ghh^;F9n9n#CnQs7Q^} z>1d8wnkA>TpGSJJspw_#+ZswI87^TRf*|cWRuXlOnfSw4f91>PceBp6Q7jZK-BBY#&LSHTFSjG0%ikI__$g6pD4LTiodzPT+rCK)RopLeh&AY*$Ilng^M8x(ilzlHlus>oDw&hT>z6GerOR*)?Idj-RCWojihie_&Rtic zFh}InNJJ-zHE!`u+gf;3;c*>}k`?EWR*JkAqXUmY@ztG1WW9dMzI-Hft3HB9O70rI z8=oi0F)dk{rzdRoQ7(AGye%r0+-i1|fqz92oaf-3(dA#J#5sd_Ql9=e(4qnqZ}_Q}jN7%@`De&G#1 zXM^c-#CBtINx0(RR5+vF7dM^LFSE_0I^mekZJN*7e@JRYuuHn1PD;n1+Mi*X-_a+d z*q`%iU-{;h`?qx|rpQ>W4!VPRiLi5nNQ7ap4B!?l0%wp~Tz8hwf6QrCqs$5~h*SD| z)f^rh^?A{{XrR)Z1mnCu`LEJ-G3P}-CH|f_vlQ-=(j*{%?v)Qxt!kdMq&2u|0JU$C zXohS)Zad`ZilDfM@9BjIntb_t}y=i_Avs5Zxn@d@W!7ox~4Ut~{U$6WoK2}RU5z_rc-9Zi? zx!b$uRo%&>8XHUReVSPuodyvloSZT&P*TBj-gLddd@gH25YJb19-KI@P2hvuJNyW8 z`t7ctO5_M%i~&EA5(TXJPiKcn8C_yc?6?oUmY>xuqZjpqX8?TvMK47dO5g1!(O5}$ z?AaE_2nFWf(%8g6N81R6=P2kg0`n(HZE3y?g*pcwV!~#fI^r(NWd5CmvSx2y?&EKD zJf2beFQx=*;6gijCY`tq>vPiL11kA2ywlQ4Xo1JxFuA4avBg@wUJ=77d9ZFroO*fHU&(x;krr8HRqDI zif3|0nqrTwNP2ZHq=S5K6RWwyB73Bvv#;Z~Sksu8Xj6_M>0SZZ9{SRxjh9AIMZmKL z#r-9X@V&9!-YM_o0OQi+WRasdMsYLO+ZG&^^!8;jR5nzzpwiH5D7I0#Zdr9P& z@qNGs9m!ftaeAGDss7c7Ug^+|`W_t}`O$Zee42HtPU{}6+0Qt8i30tp5&tPFfC_|j znT!(Mk{!P)^RHxXQEI!2_pcmZpSo$t8JHFATn#a3JpR=5d?!iaWw_~-X{vHPS2U$u@Brp{3<6SGefVGkyo-pT^{l}t)w6oT|77V z$M3l2R6RM5#;94os$0oM5BJ+=J=~H%uOS+V2TUQqVhh5v0w8O!xvVvqtCj6g_HOn#&I}>_-YdNB+n>Odd%472<8*pnFgZvB%K6n5FXy4 z?ahKU#P86uiZ-jeLskF4o`1FLlv??jur1IRLqO&q-}}VYTc*D-&1~TB z0o0r|qaFh$e|`gwk+B7_l>*}%u-F2&ZUF9uOb{Dh8*moQFbM79{%tQ=2UAm}Ckkko zGU0)i#;u7g{yOZNj`T11H?tCJI(cEg{`S}cQyX!^HJF!R?In(3 z(CtFh$Hd}faBv;D8sj9r=-S6|+6`%a#gAjmnSWs0!QlmcYbx?z*xrN{Xwa$o5D)%0 zHqxu6`v(@_BD)Yb#@OSzWu&I`5m^hs{!CA+i9@NhAKboow@h%e&EP%N-u1r?pfTg) zL*!jU=S@&sZ_@jh=RPgGKq3QPm%4-P?ev9UVCBv{CF{d4!gi*WUW0mMNW08s?m{iV zo$;3mowa36eTt6UHSH_KG#%@L)0aGl@4PvX)c^r%ND^-i!cg(!_9(v6C(V=>QdZM9 zqK`Y;ov4Dpq>;i)=zdA(Lc;TlV?qP**8H84vZh>!+zwr*ud;6w^ApA~XB+OZxN>{C zkgK8aRfOA=MLi68gBat#SF=`!JKLy(mDRL`uPRaNWPFPIce2XBhrFNcij2y_HO%dv z2WML)yI+-`$d7C7Gix&3jA09k^cp&*#==!{VY291h{-&#n4qQpgL-B#kMMF6c{t z+iJr2`@(aycK)5{OeESxa~y0Z@32Pz}q z9uwNs+5wJ#%m%RCHCO^%U~X2K_bS4!mN|) z0T^TnSmmLi`{a5>dK_0d1#10uFIQs791EcnXk84v8wiNS7u*vm=9|vw${U64f@Eh(g_lvSb zp6uKQr&v(`PT_N3H@~>=zlWviGn!9RL@_O!$>8H=MX`2YUwlMU68Z>|Zppmfh9jpT zO1IGB-z@XE&v~}akk5C2|0>VlLN^tfhhu)p!{y@-6B)hb-I@D8zy5T48SumDJqO7< ziG*7Ggu|Gz-l95yE|X>CiDO~wq&BC0POD63>|s=ieE5iC!k5;y-1BgH*MSZ<1DIl^ zJ8SsVH6=%=194H`D-k3w9Q&>`CyG_9U|znlYf@+};8&?WYJ1Ry1DWER#L8SZXVUvrBHy|7q!aG)w&#BSJOZBUFURL%A*~wj$dlg?JQL|+Z7BF4n*GWm z#~zTga@qwUtens+rq)??Lfa%5nAlnnOrkLpYL_fL3k8^`MLdZ6cDy@y`+8nH11t>e zrA2H4bL^A;6cQg;zdJBvKi8$MxGJ6L3+dL;f_i;e{-#{KnmyBE_Rbf7nPR0xcHYVL zYYx@IV;m+Jvv^2_@nwmH$Y6Ffwm>=w6s%>+xU*u|4dG9|tyH1byMR^gK`nE2EGzJH zuk+MHi}-S9uwCH`fP<$xaQpD3G4a<7gUX(~HhbAK-`HdE6c8!Z7$reV-VEf>cmKAV z@^__@p&8txeCDF@=35(LUviOUrf*K$aByFP#yUNF<8jz+T_x|g#jab59efWOW%xUo zWqj*W;}6KIi$LAgt9$#4GkA^~@26zZ60Sh|#}2R=yxFKVsC4GgR=pJ~x>gb<^+M@3 z#Sx(25+xdS+@z!Jdn73zJq$=Qv=0KOP2ELMPVFQ1(O_>L+}Bcym=K5b5fMsF?xd0X zgePh}c^l*zej%-sv#~IhETA&rHzawd>nt3NNSo1(!k1>ZmrGo@g9gDmK>6m?NI^Gb zVXez8y663;F}6Z1SDzE!%ym%Xo2#4;H6+d0ube*s%(ROy{MuI^H<%CwSoB3O7KFEG z5xb)aEm`D(o@TM>v3|bvh-~qM2SqbJ%W|JoI9pht=Y+Vgewv}raW3YoK=-B9z?0 zs1ur1VtFyw&rWckwPc(0;$T$l@WQFT)ke0dr>flm1^=Ovzs)O=Z1$Q5M}FP7dWrW7 z>)DwaYZg?c^8y=<-el<6UqJQ$`}c&kUOq>($CIQ_M#>hqB9y;Ba- zD#fcNPSTNI-Nl9`?DuTFMhb#BQZCWmd#Dm~J5%-*u2}#^o>!OA$0Qu78xf2={~z6! zo$P@ee1jvPKqvR#Q%j_g+n~UjBQDZoX-?9oPLaSGADqCcXH*2Yv-*q_umh+5rFDB8 zsIHo_JO3t_7{4s|-%6K}f~aVq)2%K_T!Jj}ni+$Rf*U)~QlP{eN6NRyNWn0o+cLNB z{a@byvq|be7Wov)PC6saPKtEF>0h@nVt-ar+Id)gzHhCf_S%0EeZJivn9F>gv3S%Y z+B5V;564pt>(MTy%Qxu%7iwKEUC#EJ_$O;0j_vP=OJ>LVUe&lltp~fnXtS1i46Yn7 zCE7qhO2Frz#r7&WdBnXfFWX1P!USC+ukhC<`UyHG5Jed-qSfJ1Z83U_PL5itl@dU5 zI#!IM0e;+mV&3vi#?36h*W5arLF&ZrGK2NWPW2^WRX_@HOQIY;6+z%8uGk#3t5ax{~s0N7Gd!XmJdC*~v4&5ZXUn zR^#df{E_9%OdDQB=qDfEbde2@TrnL$+h;51z;u z+rwrah{jKOk!@a__?x0hU1Nl))_a+o>!yAGukMuoY4LckOj$uFiDSVfnY7F0oStVg zUV|Od3O#_^osI=xFo&~_KP%(#%xl3W91vQHxe;O(5YBTFN&S;3sPAii0K~@?b)PIw z>r8N&ed-WB5)d4{O-CaDs0e|xJCejN{K=|f5G<>80KR6wNENzBoSbqu1&g)PHm+xV$l^Rl!oA0({xxlxlG6 zl4qBQ4n%wN_6JGl@c8m?VvKPq^+z*Op50_T3w=hq#Uza3to1SA_Ky(D0cCvg?2*rbii`4FvJ10#orJ~`z>mc%=w%oP z9K{0HDD$A(P`hDLo#mO(;o)H~Kb z18q%|@{SAJb@qro1|5lOiF1$fTTn03He{zJ*K@_4LRR8mRq!l>u#lr3Q8{!dEpT&N zoEyh3n66b0qJwrKSO|-b83oh>sy~c4Lxx&7^aGYBeUUWcj%NRPWJ@q=7o1&KC8LH8 zt(+M?6T`QK8cIiBdK}I=sUtQR-oGTCYdT~y2o$v{`xAqf5^orHQO^Rk9Ofu`oPs5W zaVClkb$!PinZZ4Tk8T>e(eUvYHbQ25vBAM9B`R@jLjL@MB4DycLHId}ZyxkxIHuC$ zrZ-GyCAKT$u*JI?j)Ak_S)XDpTgjRkvY^8oML{%8WPS~D#_6QVNJ8xpB18)IK&}la zQyxOkOxO{1+Soz0@G%eGU>YH$LxhlyafA#EVj4?V6D#=M0|l_h8AUCLYfE|@r?_f~ zy}=@zbHJ;0k;N_UPD$7a3I=>SL3Ge}jvIx;(dT)ZgJn&EVte8f4}8xN1$ng4(73nL z#2{BCww+I*2Adz@RwnYN;u<#RXQ@b#(O9?e0p;7BaPlshcZT#H92y- z*m;~|l0F$xxNKRJnuKFrPxy>9d#v4bw4=fqG4Mcz3?Q#gd&WC{5%*eqzSiJ$J4=^ z-k3N^%RZ{2*e~>`WrQsbfUZJ#qC+-i)1RJjX^U%xKk-bP_UJYR4KrW}A+C_S1M+wf;9++UXdYmYRP!Nq1-T^c}4pFQhUU4X^@n*S764672 zsqaIAr2Mj7msfrFA4?rKw;u> zN22IUb7X7lNTV%vI5|*%N6!OH4p$lBliUaD`u z{>^tpy!#mfw-x}PC67a7vxdKoV>r1mO9>KoU zr_9k`B17uV8PZ%cq?Q@dg}vy{?8TAnMfSI!W2Ayk`Zh*tUx<q8jmLr{Oj#My6y5>mbTXUqMS?K#Y((pJ( znp%!jxGj8E~DJjr5Y=r_%i|PNm0x8I|roT&3gXTUPd8UZr>cR_X6l>2Xh`-+{e|4-x63c$G+> z?!jKfhlq3|K18G|@v=yN7WN`OM5Jr+AtHSgA0pBx@gXAJiw_a$PP{^--+{fbcS(GR zNYA2*bo)+`Uc`k+*H6-3u0?thuM_F_XfJ{y-M?3)C-HufPTGt35Rnd*ek0P~LVFRf z5$W@uNH?Uth*ygAMtf1$v=>oDdZoSmKTt~p1QY-O00;nYfQMJDf46f*q5uGM8UX+t z02}~wWpOWKY;|*VWpZ<0b7gTaV{CPEbY*g1F*P$)R0#kB(d=<$)9i6&b$AN^0R;8| z000CO0002R{p+$Nxs|Pn{r9KH2T;q%Vv$AuX)_!L;Eskngqy()CX>mc$P}4&SeaCk zJgs_reFJOl$Vie!vX9JK=Ta3JvG-c;xXd}myo~w3&iw!U$xnXuFF*g)FMj@$|Lae` zs>%E>zxnzPUw`|XufP41{_CqheE0kR{Ex4{|MbVNzxu!1Z$AC{cc1?FAK(1Pci;cv zcb~rc{deE~_M2aS`txr-{pr(J-~aB@zyIdD|MAWDfBxhDW4m3ut`sjfuh?wN#`N>D zEr(*yE5vJDM|JhGxjy{A7!!Y4k7)R%bM#*3!N1upv}jxp?g-{Qd#u;!;V`M#V2m&A zI!X&}KdyGo)JhxeN5TgsUGdwcG>GaXrp;cjeL_|J2s( z749dr+@7$9%O0kL^EyIxXSnXrHvau6b##55iNUyGs?B{|Y4B<18N7WCTd&Jq^GNlW zJc22YE7v}b!6)$5Hg5B=ha3K~<-WV~>E^#L<6JuTeuZJRWS37N<#e$WhP9YAJjcmb zB@+#c5wEzF)9i7?%!=K2a52Pv_~5E<-p*Rfd5kB=%k~y8UYPx;q44kN$RWjOW1aln z)}9teZ!UIkuPeu?tzhYFG&c)84tK@jhAT%4M>bEaZCoQhmuoH@Hpl3%OFQM#;g9Ux z9(dV3M_2jH>oN8A$9459#(K{&uI}2+>$0YK`s_l#IT)??i=Wu;Ia80enR?b%;}Oz5 zd%LYK@kuS+)v;w)4xVpi)%fIY_t|Sd-R)VMa?Q)w?dbDjk3&iv8E4(xpLVd92S@3u zB_2-?zK=bGYL3gf;zsoCx{q?$RK~?7&z!c#!ZjPBiDzu#;=pkjeJU(O4ly^^p3b9R zKFlNf9IkZi`D%B&V>CN2o_$MhJ7(@I7ss@PX4q6?_SD){GAC+YW16te@rXTLZMJ#$ zv`%+pU#{uPm8;{W+Ng2Zz1dc$zZ(ZFo>mX$#&umY*PS<`OCzHzZ(qv$vuhARG+t*VEZbWRr5c z_g3B0O24YD$8%Kd!7=(UEU#-m(vIfF>(Dbj#C`xG>-pmhIMBiTrI36R^@gZdvpzK@r7OWS9)Ry zzNT=5aUTgQiq~jCr|+JYTQ{)BnKi06mylPS{QT4VX3yJ(%it1ByZXp{)0z2g?GpzR z6WK!PXWNJ4-dr{I;&b&E|I}RX)s54Yx`h#C8{0E^I!>4`oyD_eTswB;Xy(A2a$zgx zgkv;ho-p%?SG#R7drq9lwlzPvYmTppeEyXzR_6$fJ9hF9A(qSVd+bgOosZkC;i+1i zwqMhQg2yO3COsUJ4`-3FDAD6XT4aru;TXoZIjal2KN~b#inti(r#96M>|#6pY$18O zx#S1-gh%JN#MI8jd+|sn7_3{%-1)>23@j;IVlh&!-%VT6uE!s^gM2)3^SM|b>RBQe z(B@FNLABSKk2`QwyDznHL(OfT3oBY>f^;Qa9h@_4=k zTAn`xTE6}5SKoj4AD_Pcj=%knZ~pkzw_pFqr$2r7o3FoGfaR-y0kT-<-LM#0&i9qA z9)P#zKE}!pUB-lTI3P+M4-T*!ZaR;C*^#(I+=O89qT8JL@=rgG+OWjd;61n_rre`f zmbi>CInTp9?7^^+zi5`LIr}I$YCD|4@Zktcji%!Al6>TDkGx~{Sx}CyH{r&t;{V3( zb&ta?KZsjt^=9GyWjJ=djJb83fsQVA2hcRJrxuLWJ7+64?h+o_J1*qKi3b%-&`UmQ zkKQMPy~QaeF7D>8a_1dm60TAB`^u(e><5kmfHdp*+(IYT$pc=TXiy4!$&KJf_PcOs z0c@KNENeDHj0>Ic0bVAzJ`=a@G2omx>rc)hcOP&DJP8dy z6G2mLOiVpbGDl{ExX-oX5y76VdH_~;j)QoI9oLW1vZu#2U0ZE$jDqjt3-5be?ymdv z`{)it%8QSMQA+7IpwAhHk*~rs{lL0a-tfLQGvbgRY9N%WW9LKf_81=f$;JYzjst|^ zvEiQg05{_C*-6jUWY_Q)80%!pa&M^;BQ_aC9tGCTga|p zlZ=g%pKx2&iId&66I&2W?fwL(;Tu5Gu0L2y8@!P-455|7_&eVn@C}v)9CMiMn0W)Q zfZlQCXUG2;PFBPQ3|!XaLxCl`0kvGLTM>%9fHQ&9-j0qdz?F96flFK9pX5&jOE5K9 z)(Msc$#Sv!0bs`C@zcJ9{BT1KBbb8i!P#36Oytn!ZZ@1W7$^gX5*vx_Nx3)j`85nYL?!!-?Y?73I~NdpHNpD$Eq8LKe8S4(&piKd zWY0kwM+1uR+a2!?3g*zTXa?ZQtp^#6isNPRc+m^nfG@)>f^J)29kk45#|h(zlbr4} zc5I_%_K3@S*PMWE9zX?^iT42$sK?>BMFBX%HNDJTF!}s2Y{(zH{I#ERp5Y7|t_)u} zpbCyJcK8o)ZDwO?vU?QP;aUO|url`;j$gyD`If!wAcCv1p2p101UMLXrC#;qVhafv z{*5>3)tsFz!wZgL!r_Ndyunk!%5*`pSzBkhq8r|;nL7mj=Dv8R=iw?7QKC#_pyD;}$YW{w|^6tTgIC>t)GDzUVpD)x>+jjETz1=uA7So z;nILc08qYwx2z2hCqT?+cRVRBozn=O@f8m{Cfm=?%Z+e@fG7A3gXsb>IQD+uDl8PM zolCpJ#Kb{7VIs!lQoR8qWa9!nkt>faonkj^%^^(Eq1yu^4yJmx#NlE6dIO6Dk)s^I zMO-=0SKfFm_66Y6k3QsW=FU3ZdL;M?$hZa%oOK((kD~$rcCCxla-=F8wkCWai-r&2 zGMA$U4!E&FM{YPQ=gGp9kYR3#^Swo*e~If z+j#CrIi`XJm_%G<9UBf7)DZdFa3l`He3ApfKxug|%p2$!8_j+WAxx|o|6ha~zgLzM ze(>^n!;n0jqy!6H|f>13WCr4qxck6ZgU;Vwb)Tu6Dmd{{jFaf;FIAZ#Eu*BcDmtEk4!M+@9a zr`V8Ju;fy(WP;^3Fg%|bB5sviE!fGqioBlL8wdULdn~h>2snLmQm}-zFgRpR>)>GG z1h7aOC(}$3{>PVr+lB@^wv2m@kGxl~go|h^`x)oC+xnt3tRqN-v#Sm1WrO|m)xjA! zdDI1s{8zw_tY`_hY|rc}hZ}=>^QSS4;xAY>{J=X}GLDTehBJV~Ik$KRFmp3KEB=Lt zzLQ)hSVY??#KO&7(GsUn$5;2ha?92Y?k4xj-UmArS)w zA2P=rj>swE4HXSL?6%@1R|n9K!$xm2AVn?>29KGNB?TAWu3&>Qb`vAVAn+u%hM~n8yWG!d5V>5I=bJJA}gb-QX;IN9=6fOpv-}_}yOk zB+f^^o(#G??O-b!_savjK&p$S_Rjj>4sbUtG;DAeZ+wLJo3U5BNU-5a zYf9}oaSPb?V{ClI$)6Nolnt1N+p9u2sT8aPR&fF*!0i_21(SF{fCrWcXbo9ai14n( zUEA!9(~mRZ@>OG4GaM90T&u(>Gv(q?;+S`SW|-`D@0*~R2WlCfabm4Z+x*G-63W0w zWf1Urx1P$A;DYfmJ#oksMS+P2V9PbQ7%{?R>V%pNfBMtl(l@uWB%!$6K$I-nNNFfk z2g_=1?krA()C4YKwYz*<+#%@-A|B~1y0|-ZYQu-Kv4FOLX#}i*ueg{6PyjrBK+A?> zAUxu*vol~mfR)%pz;(Lf33+4hvBgbW&&^=}_-v1TP9FBn)y1-4z(A&;Nq6KE>T?7A zIYMUNqs$HV8CJo^Z(z9_cjdv3BgB%Nt^~%757@y9pfqf{YY$G0{|LJ?fF3S^g~6_1 zBKMJ}fnzJ?#kJvhg^=uHL0DB>%D4fV<-(dXf~<-u99A~Ed%SUub5C@^Uzu7<^;Da_w42HwOmb@HTnfdBPEcha0$>J9w^OA_G?=!4i&evnqKO z;d~HN#7m`l=iGth8@67r#qH)?08z}%`N!Bzo|e6D_&DC`N>4A>e2%Pm8_s~0s?ZX5^!KJTL5SWL*b=|>l?`v!TK*D(ZBubSO3$HNI@7Sg$5g@&=yx17?e12IuxeDbC2Wht=EYC zfy3daxX1}_l}sLIsNL!~-y3hLEKI6_6V!NO41InC=`WxJCjcgcKQd=I8=iD3w00S%UVj4-Din_>Lz&c;n~cdC$oczz*2a z4F|o8G~c@}d+7x$I{_2QK$S5KAP6T9={yp&S_J-@^3Cvzy8zTqaI?>w&EUX_(JG{Z1nX8LI5_Cb!4v_&JT*1LT_3w<1h}`nw2(!N#Qtg zu(9Bic&FonVAmpk({Z%nl{LD`Q&~<9>i!uAdG_D)31=Bx|2=p!hD~N0Hyquy14J-+ zq?I+oP3^CM4Cn0P3(dhn<5g?AK_ZGfy|YHZjZ#NFH_YrQyO5`QK#)~?3zNphcngQ% zCc?I&QdtiMAH!$xc@|2&A2HuqNC+OVfdIj@0GPR;P*eU0(A7(MC|f!1;W77>6x@FSAZ`KxK>-Bt z&x+@4I#crwRY_I&Zsct+w){n2*+RZN-RzmrlETB_Av`WeA|AKQ>{aZ|N!&)tH`q-v z2nEWERjk}7O2|FO*>W!x-&_6&civxFP6x$2gza6HkcYe4h6s#^V_h;BBy+U zWAX8Ag)>e}G0uD*56r(qg4v*~EP?Oth9%grwYjIX!@Hr4b`B4gNUq{%@PGjX3EjxI zpf}K^N7yS6j+Mu$B%KrX8#;kc(rtWhzE|lDV}&=aJsJgoZ5C05A%PY_K+iaJqi?)G z#hP6*EgiQ9{DB|z6z>5%<)2LQJnDqf^0}CF96P?1^JiDuigki%oV;|m`z0i69f#@= z&A=+}3Regy=5{~9E=tA`szR7LBl6xXddT(&N4D2gI1lpxOKbR*3P3x-bHVn`Sssw0 z@j$_bLaf(*`zzc|A%A`cK<8zPg=IQttSN`dX^|c54G>}s2$ZJ@bNcEEhm$?ThTZvO zHx2`I$7SMr3^)j`UdBG=T>$+(@lSI?y*DKUCol*D2bwGj7xdd4I(!un&SwU&z@wC9 zyg+4FzYDGlJjmU_b5(x#4BO=Xx5Fz|esF__;isIU-L5?C$H`EIgbd+X{?u0(8Y}v zF2^+UlK3^4+X_%W?7vBHO>hN@7Ay3OXv&8yf4%$3Q)t)G4TRaO7&*H4~edp;Oj+5de*O{?XW&+>! zPWRCtAGlT(M4oY}?hYJqY_0KUbi27`)NyI>+=MSmP^rY-4wEMW5}!Lp@o>spdPOMA zX1K+1%G_HZ13x$RqaODRxZPPY?$Kc`@PSGA@JmGWi=X}DAW{3>r~g!n>eC;8{ptH} ze)G+zuYUXK_rL$<3tWVof))D1UADp1fNWU7pkNU;Ucn+`?rPDf6%Adw7k zOfe3;M#L96=p>9BZ(MIvuuZD&fSF>q`pJiIo-h${vkEF4`4uh#-(q(Z zF1qG`_p-x_)^Tfk=0C025lD%{1BK(WR(VZyAbBXs-S$iH2eLkoSGdT?UUK(M#Dv08 zFlj{@fB18#3E%{-{UThn&B61maM8WOMWw>5@`#FLUww~WLH&K43K!LPxM=W^&K?|T zF#{-A-Ns4<;XJK}Z&fHY&c3hAV)IyS^Eq6E@c`<$Gq@gO3P(5X6)rj-u^a`$jn?LN z`U9T=GY6J(f&VgG#OnSiT+|gVy5O9f;zd@@SKp>wa~IcZv0`}e6$2J@r}0C;s4B&E z2q*<%(gSb@ZQ4NJ`R31`14gGjjJt6H(sqb1&-bUBW10; z$e(u;@_k)?0wxz(I@o6msp+6QA0L<)Mvw0h8Uw$n)CqWU=izbsIyHFhbUs>Rql1{^ zz6mh3cHUTB4?bA|BP9!!w~s0)@tohLaX+FX>`!6frVK$IO^f zL8_*}$Ha4RMirauV0gCMW!*3MwfP({3h#i?G+SB`BkXrqpiHm<6b6vOfmEm)E~DV; z`5|AGw8Qm30RjLu0Fc2Ujt6gjYz`!$^wycjszBh{%To(g*{I)|syMpN!pi_v@z*Xt z2@r%ASXDQ7cP?(dlpI-|>FiLvC~_;4;zc7pfgQIxI@RAJiQ_8$XyF`x5ijx^yMg^t zyhwqMxlh;UeF6khdMf+{v{VvCe8r3AzTYg4+zv;?J{mwd-8;8ln1p?PDS) zm8DZ*2hNQ<185mlWqB6zP}$_odaF-VD917;)J3{P^9 zpnZ=Q_X`qoY)TY@!)LngJtW1WQ#suOu*B*qMu1Pnwz>z#>3Gpk!9}-M4$Wf4!KsG|8uF22?&qFxfxRASA(^A*29S&c#(n0! zs>IL*T_cW8$4#~iCx`>Wm|h8x$Zqzmy3qE3psyMZ0JH_X2o=LBfYkhZ#|-3z&8r6B zxJ8H$m)qlp_=y|)m3blzr!v0$16UtR+g*WyPcC4(I8d$^c$vVgVA>U~O>W+lIztdy z3qTmyfa4fs9eVD2fd7Gnl#qZ$Km;2L4#rSmW+L9gMu($~3iIJ!>VE7U zyX1iy1uWE5B9iOL?p^+iLSq?bE`Z_K;<|dlv4!(?PDL?TFTjxVuoi#;2&Sr(XMrJJ zIPusOi?3|82X&pSe61UX0*h(ADGLnc=*5!nU|xmBcNg9OIKfZ~cfYmnX576y9WCUd89~2Gqa&l`41Cs^; zgiDp7tCGhb;G2PYnI|u{z>d|SRuyJdwZo}eRw(#@l;V6-mW{#q$e3U`Sg$Ju)3Dq5 zz{S;sxljJW=w+4muu+_kfL%TsR~r5nFh(*tO3XVI?s9)YgG|)$f=Dhr-^M)SwEN=bfNqh&}XC#msQvqXgbS!;SFH8 z$1^iffwv3ih)sBhlV+_VZe58CjtX#kLYFf*11_=HO#_VOceSH@>AP`wIxhPqjKMcpQ`SU?})} z06ie=TtF&9+kjQ=NL$u3a3KyBrnas;#8voKY#{i&E4R+oR5e32*t^sul=VV)#}UNQ)>Hhz8C+w`dUot=^HKa(iN(V8Q3e5%ZWZ8}9g_~30n|mq*H1nUhtmzu zT};jaBn6By*j*Q#a=Yu3lGergep-DX7dN!6+dFxVYEabUNt*MIQ)rW2J1dNa>RqELkEY+X5Qk&s*Zu= zU=CDh0H&F&%?OV5Gq7JJzWU+4Dul+V^aPeb3kHJbYIFA#E#-IBn82w4yPxg8Yk$nW znTMsi-Hjcsc;h%y3lGi`+{;NmDgnS<(Cq5gC61^{_JzhmF zb?zCCzQ<~f?dmGPw8n#Dm@Ke|tMBkldwVv2l=(Rq#-&n(;gBCwnJW7#?$$rh%?-B8 z!^Uj)j+>o|n?wtI1ngi8`}>FfrJ?AKHs2xPH6Q7fUg$}AvVntBoNaz{-ZE1R90w^O?M zT&W*>?6_o!~is!(OYx71XgA z)gY^7s+^EVI|Amo$>1t+!Q?4uB`;UC<$^LT3*u*}<84Ua8!< z?yx*nK+1`!fKpZ2IjVj-S|A8#U3DzMmt*y);mZ!UjR9u$Y*I>2J!iWK=FuU+I;CMv z1~N3yH+D>mVoV%9g-NtS3MXkVP2AX|#{IcZPXE9nPJZ-?Czv4UsV`NmJv>j%INlqC z0qEfjsIy50)9lzxHE-hmO*Qcf1DwGIm?9S2i=}u2PCo*dQk{OdO7%Zov$4BMM6m_3 zIhe-2JyE5V-5o$O4E^%RoU8%S#lWz_dgTgS@yZIe191A>>XbNZpK7O7F+EG1TL+@Y zQBt_mym^W3%S4)58x3PpkMcJEIlmN#q2> zYNu*Stu{qfhT~X91$_d*J#MD8XL!YQ5_qrURBKU}pITFF9CKF^-K&CmpKkYH>ew7s z|16j{bqBy#>$O=#LZ}S0)6XC8(7&ktc^AdsZn^lvLD3?Fg zOw1XdtmAy#6PnV;vN{SSvhsY|m-(n){PLIo^A$Qj1Iru&aH~5?g$8zb-Cx!chsXuR z{U1BFdCw!`n^tJgMb)9};&F$ZgZ9GCk+H${=GgKH zBR-B}8upvh0XPDzVb?ab*nu?RHskR-Yz21&cCnaDIJ%v-3|wxvpk!5+;?5>85zhlp zJrsn)9AUn9bt0%T#8)Uy;M~FHc8mAJSv#*((*_7K zoP~zD1q{FPN&+k{VpDkqm@hUE{{qtOcnLvkKWgC&Hh-%rO6~BgV-APO-m9O%a7OSC zs^^5Ht0({{$t~dtaG(pY*k)Dm6>=4f_|L8yIh)+BvE#N<{#w){UzD$2#rB zjzc6o$D}5$F;4Rer=&B#@XE$L2e9}Frx0L??5200yP{Or4NT()k~+H;+dQWayWg>9 z+;#54xnh1sNnSmID;G>QA9Hf2&&T5>cYz3l0Zb}21OadxIMtjGpyuP#8CFwNxXTs+ zztF*;&Z29@lK~#>b63<*?>es4IgEaawgAH7EEa$QkL{5Qp_>0K8q5hNd8pZ}O`r_> zrU;A7cRFyxuQZvWW2-9)FRj{0c>{gFipTKRMJ6l27V&y}0scX#gA>bqRt?|Lu&u0X zc{oCGj=Rc%%&sq24>?HWGJf+f*rDj&t`)_vL=2Kzb?o(+iE~$9Wj{b?m{nSt?fr+!JfsREeer5Ekb=rdn|C>(wDuY?|plLpgM)6sQX6 z26gZhtY*5zD4|(UM8KO$6hiBMh7R>T1`NjgdwUKQ-@)m)+wjQ?7T8YA ztTOgVogOfPq6l9SE{}GuG%v*A;bUS@~6=&#(jcWIE+KW2RN%FnFY&-hmiZi5M9Vl2|rV-)*Ots&%PNu)d&c$ZcP_% z;#rz9i>a!6FQ0`!VR^;cJsW2QB(i!V)yZAw5@r~iZztcdG(v1Lhw4=u0G3~Z^zv)P z9bLRa@$hiB|=D zxbFc2P?OWpzOCl|nhJg$7;Z9W5nphf zrvx4jtRk4xv&audYlQ@JholLM2~H!Occ>Bdv2|W7)F82A%54DP8GVmQJOV12(0+PPwbg4ov?Nk4e`&rrXj` zRhSYxu81^W%I0889jr0NJG%Cm_AYMuBC(^Y8wsQg1Is$b7C+jdfFJwJZjNNiGt_)J zFqGFyLsk|3Lg}wr?(|CS94BC0VRy;LT&jYER4Q%CJG0?BU2H06nN_Sto!ddO1xLA0 zoIY@eSGLfSu&Y;BjbN3xc&cLFDrV{JeB8z-@0b&TmK_+Orix3BP|lu(4|#!8o%wlx zF8WpNV$4oC&CJrIut6TK8b-oKfK^-a=dIrLpaK;juv!HtECp~m-r_OOWjT-WRJP6B z0L3E~Z{ z&Z932s(8(T^UV-Ycs%w-+F2aQheNAwhsbHHYRr^7t(Z|h2G|q8`;Q`K@ls|A3!xC& zkeBDjGe_P#cvXL*ak@Jrz#fba5%)9;vTWnQ&OL#@%pU%wv_ezN!*KS2-;s-$m8*n$ z;!>v!lUiHChEd2Wx5GI1l|)joM;b9KjUV$&N=50I!DI1>t|~l#aG1Gm=aNlgbNj}< zQ&_sJ_94mO7@(msW94|dKZaBX0<_4eNV=@nCfI2fJ5_l;zW~_T#3EL~y$4^m>CRQ6 z7CjKiVMPy6sZ~(9f7Ge)KVw{B)_4lT#C%-$2Al^QwUi@fXovP(YR#UUHauW$_Gt>^ zi%NuH+Je@lsXsJYG2SMa+uhdGF@O1}ipUPDR5d%c+BgX};ai}>M;#BVTrWVdSA&>Z z_4OeUn_gVzA2$HH1Pr2$9c65oQ@m?xfL@ij9?Iv&tf0>T+dRrT0!*S>GCe#~fTb0G8)-VIHnqe}g@mVs;cR}g;q|s zF9fN8C=gcrt<(g-3s2$V{y3~e;wat~qL>s6%3S}5>N5J!?0NCK@Gx4Rhj z(?u`=S15APcyuw5da-LSxUz~+`UhH(t>6YqU1A?Bw#Pm`0shYmDW(&^w6iLQKa?1# z8_XZlw}=D(hAS$34wgSd;n=W8&ESW`?#_^eR;~}UqJrEL2B1`T*?5>X1XsR9E386R zA{2UHPLCYw5_fh#~;48sepu!(Q9GA2ew9rTqec|6BoqZKt2f@4*HW@6tD)%%7owC*Bk zg(Cr?F?c(vMais+a2*S+Bpo72$F#Qlwh6Al4ESbf=$+KHZ9eKySd`V=fsr&SL%Eyq znCf!vwNHNo)7)a(4$P;6TEo#iAP+_m)u&cG0=PAdy zFnesCx(qvao*&)SD`Bka>7sHqQa@O5Wf%7M$}WIbIL*9Y6~mqj-f&nzKxX~01+Gm zMDbB=Kpn~!S}&jxf~+DKM%7fCN^P>|1Egc-6-3L~`@MF_I&uiZ4KS*!eLsX^9tqn4 ztq!pR4sgmW>g6^*1V5O$VxjmVs@(DDR^4+;(MM5!ID8op{em?(q>z_xB~%jj6hO$q z@LN}zbisBswIHnAy~R*rzMKmFd49Z3EYgh_x2$yXY%YiIg0!iq!Ak&f%W#C_^epF9 z0WDpDLG?Uv8GY+%6pq42S&H6y-ybQ-2)HO0(*+-c*^bqY{l+Y{W)*+baBC^|iR)E$ zZHFpWUb4HBWdUrBS8445WU+?UT?Ae4_fb3FbsMu@$AT|=p3}g{$5o7^0l?VLC+2hmB$Zv=j*0?`g5Sq@a2Ql8;KB>E9EX#p6i*s)$SLsw*PRC^^Tg-@nY5Qem=8{pi=m>uA7PfC z{q$G=7_QurV{tdL?9AJt?pQzt`fXqitD=^yqf>jAR{ncXHl~Tghy>} zbE}zmXrdbBRE!#uvI4EP2%6VRRr}fRw<7QDpoHwU4Io-SM>lYugM2D4;JQG zq{5>^T36}oNN#Sc_VuF?XnNJY7GOu>%rDr{`yEw~14!ZjC?OZ4>XVaG@=4LI{o*Fi2y^ZxUbcvPjV~d84J=f8=n0e)cROk)i zR0u>-woMi>0wPZJb1BCpt9ZWJeY!+QA8h%MqFhzCI%Ir6N($d%IrwVlyi}W^#EtwZ z{8jx95STGk{8g>Noo3v`0+G^RYqh9sIPHQHF7IkTx{XyiF%CoR(OqHWg^a-HiGQ6D zbIfL_#Jkk4sG>CQ+H*aXZ_@!(UisKF2gKB^N*Q(4zjC5Jx}%lA3|M(%RoB@AUh^>6 z=0gluN_LPy^A^^H2$#Cy_SDX;V_ZebBmx$WC*ZY;+fa|ixO#_@zl>kq8!+y!)gh>a+6So;Jj13Zka*{Ovc$d&eTZaW*^)v`04 z8sAjI98?o)hZ1zyA9Z3wh*thQ72Zhy-BSh6dDWCR*sSEfi~cN|iKW!<0y0+`(^P0R z4oF5Xm)OA&X-Z601+-~G=87a_{mHG8dK?fkDRjnh;&0BOVZY=_fh*oKJnKHLgddncC}W+^KVJHVHkPZ7y^%O z73439!@>AD3;58(=B#?tqK?S3ivk|1If6Zf%Eq0sTUY>gA}5Ya&d%)iw6k+(=e-F% zymfQA_bSZb_pJKw)fi`0>oN4i)84BmUgaH1o%fo7__kZgM%s-7rurCxf;5y?;?e3M z<*=SW;h;r%9#GP(yz{Hlqr=MPVI`xnsKtQv-H>cxj5?L$G4GP~DVKUwiyAKWs*}v_ zs6j!Yd>4Gs;fkTFq#PswC)%XfX+^oPm*tWyp|Vuc^l)K9#ChoquVnGNntfO@)~f|o zqQOF^#Qc=_j1NZaO0n{!b!ifdx4k-?6i~8?qE&Z}WTqaPfAY^t(f!ANe*evuukI4MKe#%;IrCtdQ-J>uueVP zYMJcbifD>y49R!is+h+usz*W5zU#Td)=OyN0cEsgPFA{EJj28>$pzw)YC6-r?2rI~ zKz_dvv*Sl4;sqiX*S0X_IA-6~;$crOX&A)`T-}wb3r5$X%eyOl%`w40^4YwjT5pmh zm)%Pi_fgz?zvIS*RH0@L`*2RRnr@Di;OYq@=e+tCr3-jU8SF{YbDaeQ`wnEBo-3hJ z{iJFfOc%ET!iRO`C}0q3gq?B1U?doz>6I-|Yw>7a4cw}p8B=OP<$$=Pt=~M~blA>^ z#f&~lwm*+06s|O6;`MhdqEPGJ%^ssB`uFOmL(6;#HnJ^MAoUDQbU>Z*}q2`q10YF$32T78^RsG4gH zSmS6&sBq?Vi!yVp?mLA3ifDLHqY}7$&kpbol3tN^VT)s= z$j^6ahqc@EwgK+A0(uzuimj;!sdcx$L+pZ7sYc=s3{JSJ(A!k(jK)bCBZ2>h)0}WX6 z3>Z`w+AxyL#4Lj{-KstV0UMTE1qI^(ZGg3)3+DmaPaOw+ub|4jRgH3&S0*N?^5Nv} zH7WdD>MZ8fu}LmUSJuQ_GsPaI?XgO6!A{ zS+!c6MlOW+t9h6&3~ArkkNbv)9&7Z;6@%)}K`p6DluRu1d0_2W5>UD#S|#4%M>{Mu zuEsP#0QQC*4pLc!(9ctSF47s1%Fzu|?-|sQXH!3TOE_G2k94ZmUveCrfpl={B_*@7 zPM4P)bjfNnIn={1>8I(-gRwYDy4A@iWO6O(YwHi-9cM#=ERr3&(gqgB3Mh>b3on)~ zDNe&uT+2~qK2>M!yz0$C;S9wk>F?5%qG7|pKsh?3xx(fp8h`W$Gn8UdQ&|i7<~Epk zr)+|HYi?DV@{bPx8ZmA@wtK2qjEBN}Y!g$WR`M`P0th*^&=~&?i{R5QZ|ps@6!m>C z{d#GSD4H#yLX4MGFR(Y5iC$Sa$?`vHu7b95l>OswC6rFDNR0Gmp|&bfwfIbj%L97o zI^*fjX0{;*$YmXI+{(D{Vbg}8;W9X+ReQ)-vwp{%V>Z1f(gj!7r@dq6Alb`?e zpGo!n`FEeb{p$YikN@vKfAgpBz8atPc!PS`rE|@h4DjO!W%4l7gsZ@lNXppaUY9DJ z%7B!P3>d+*T3LYgBJ0~s(l?ka&0QtgY1%0G7O6J@Cv(|F&G=>T=Xqq4or=^H{ylF| zxgs##kL?8O0lS0x`z1ES4|15YeVX2To@U7ix}wY@>^>g~6;yr_hEPvsdEIp%+i_vc zCb(E4;)g#}T?imOhuSRxX6d=4*n8r$uhZ^>XMM)L!_9W75?tU0y!6)AkQu;Uc@Fgw zVhdHAFvagV{1OH^bn}`!!6QSJf!M5cE4}HJF+D8bzDZG29;{krcjbxCqO8yPx%C*U z7*t*cosu5k-tkKrhr->N5^8;_E1TcPF=sNX^;n&XSQ0>qm5va!$*K6}lKf&m#WU=q zn7QXw_(k<7StX>p2CNwQU0)=`0_a|KgGZAP3(Qy5n!ur__blgBq6$+F@D}ENe2%{; zb=8hn-Y178y8TZ3EbbzmgqK_$5LPGLodGhWHjxO?aOz#<$J4z5UcVS}bYy(B)tD-O zc$YLY04#h1#9O&n;^!P7BS17}tEf0svu|46l=~T9RI1=xt`B{G7JTnNv>=b(XWOmh zV0?4sR8RM6A=|Ibv{wgmeyP;8^Hr!a&3NLmnkf$z3UW;hK#O&`O5(sMz;Jhy)b#^{ z+*ad7kT8=}X{C0m`g}lh1~WL-l%}ynhDw9=v7V#&SBN- z1>40zIfX4)D1*-vXV?_wGTxO`*p@4tfWc<@fq-{blN)%9n{3oWY&$qAwl|C#SrRnd z3=pT;SZr9kJM)e`Ip*rt4~HUHnt*ZeSS^@a6%A7IjokJWhx%95z~h=aP%l-;N*KK; zNd&-_q{)JtGWt&QkW7{ux>0?c3q}DwSxW}uPH25rFh;ws zNQiw7DLzVbWVeA^m8?Q8<~FLJyW@FEzA77E0qBlpm`+Pc;!g9DU_#4)`fY|2aK;=2FX?FpdQWC~AcZ@f)WtNqZtz zsfMtWhc|bXL=Y_gH#OJf6K zm6bQcDyIN@?p=ZwnjGQmbxJS4tM)9bg`?to1CgLnABW3z6_X&i7ohU8C$^Oe)OeOE$A{!tT z%x(PeaN#AgA!+Zt*@~A`wwj$)`A$&V>T+@SOJt)u{}S0y82u%(G0j_H>?N`>Rk5eW ztd#;+I@Cz(vfF$}wl2)sox&S_iEK!Y@Qe}$cWPi=TpSn+jLbzeZ_inc+nxPWJ2+@u zr3*hy@k`#rS+5lcaHFr3fU317mqWm?M8Ab0-Q6rKiXZWs5rZRGVSuEvT}=k5HAx>v zY3qhHm&k@>du4x;2DrF;yVR80x@oHtGw7PCfMd9~$VOTs8_<*{W2cfx$gW1QIkN+e zPo+vD+Fj>6Nrc) zV9BF(I6KZnA{)I&TzeCYWw8{%S|S_L`1WauYy@ugu|#?{LCcFbP;5HK2YUl_T*_dvLY{btZ8<$3uNlm;xYGpqj8T+i_`cs_kC9;w5O2O`3 z_A#rY;Eb9}u=HIw5w`@F#w=>gAO2JY4_PI_nC@V>pibQ-T+TfEgLR2R<=tv<3F+Cf z3;?N3QxGLBfvXyR!mtCdpL?y&w~A}SidI09pUWdbktAQ?&r{>yOrBE(z%P-FX5FzL zYWL`7FGWS3j{$#3E)?2UTO`K*b&DZ zgFCN+u{}BtfC~e-YBBC!k~3yh-&fyKSJ#d|Gjb;YA`+GABUXd0RZ+?3V0(z9#ykxxl{N@RhD!1j{Q+jsXZ}&nlJ`=QPaXyW+Fk(f>Qog z3P3pU1LMuPSO6Gsvqe22=%?#NXP@T8^@f|zfGHg^IudCZhh}7{edQ4V;|!=ulFgrr z=Crt}#H9s^x871R5E%Xfr2Ol@{o)^jl>X`0zx(>z-+tA<`SpK)Gx(Lr;LN4e-pcW6 zP*u^A2LIw|>v8UiXigjVSgfQnILy1NDky^7UmECWpx&zCf+sI?x6c6j zsu~yE2=@p8{|q3g8Ye7O4Ao!bgj9rtL)fIMc0CT8=9Q_^h{Lh;6HWH>RA4?NxWO@| zWOJ;wr~C$1C>d2bXDQ9*bA!raaNw7q359ahmM6tAwYUp*tCcZHObp6m6ugJ$tvP=f zp!=xSOc}^+pH8XlV`*ICF1RE%X?kx_C&?j!Rj*-K_iA}mv)sAL?QD>I&KtSx+l!;y zF8P|Knk1o`9PitzpGpI?s*sCDSW5Q`IC?!4fMsfA-+&NLn#`j3K`9 z@T;43++7)`>s27pmN*y)|I(<-v5v1mlsUCypC1Mo*6<9%a}z?enD0|f^o5PeD^^*$wVpjPu^suL{OEe2Hq z^mZ%E+TU|cuw+m^7ps&ehf3#w$ucB?uihKf%2+8(3d``ex7pqrsTbDWsuh%@a_pw> z;&5FFOqJg+B~12OxQs(lgA}FTLv^}3+c$&7WMM<%)06>UHLTU7^k8SQL{blpr>G$H zrr8{@zo5Z;I8Cv8W0$1-CME%%@d@lSlQ{aN3{TfUyoG1ns@GIbNE>*OuMQOt!h{<&7hDDmYQ9m;88M=hr%~!CZ*EZIB z1kJ>9lXa1%-zCx7@f>%%@of@HG5fxHU55-`l_I4YT&ce)x_+F)5hb{p^44t8+-`~c8bx)XS3`w2S!Ke~OSCHm2!G&tqOJSj zI1U-q0s&MwK@qBr6|@R1R;$US?s}@yMjF?v*v6b~iKMdbb}4FTklv6y3xKw(GE5^6 z06a@1RRKG(SbUyY`tcn@;yLmo1L`DVt~OYEoE%%-)PPH~ukn>@B%q{+r8O<-*(Gv$ z4OL04N>2V6v&}|v7RO$3DERuEAV=6C=?EvarW%Qx^)0lbVXZ^C*5zyY@_7BHAO`cl5d=e7mtTsXUgR;q-T*9B2Lw4X_` z@KYU0GCXVmCn~AnbF}T$B<3Lb=o8nB8+j78bUgcwg~10J)#eRXmBhI@C-8$~7WK=m zE+TjYAZcvyHMjDVI*qEzJ5*!_a(hG#@hoP^8t~R$g$;Hc3+zvS6xu&mXtqEiR8#~; z+|MUK8nRK&wf3CP5tg#ABzx;&P6eTUgoXa)C;u~8=oet2G*%72v=G{(VW!~BtQpJF z9oRdI=?Cc3u{JSFkRfpB2WMJM4XPLg9{0@(SxnJkkTiC>-9X$~5 zF=&qSekkH5R45&6&>yI(Q4X$04Hm1_sI{}gec5m6hK$+6&T2o=!=t5_j0+F8 zxHj>zQu4SkDrSj~Rm~9K7T9Z7r!2Qm)$z)ZS76S2o=%x-o{l0S_v2Lcsw{o!5(d6x zt*Uf!ZwEj+3nmKVSgqK%+1tbE)F=w60X{i#imvlD==de+aYYrzVg zmb!uOntG@-{=1Jh?qVC*M@0*2uILXN`O%ME=uDi2c0@1eG z^MP9Ohps`#N%P`!U)55;R=zxK>co@8TGiB}YBjx9)7AT1(*xw=@`nbQlLpzu;J*hQ z!z$mB9-E3GHRZ0nCLN1T=A!}UvYBIl_ory6j!MujXh^O58mhw)QaypupktTx`zEKfDtIDiPAaX{CJ!+RM2ggV`MU#LHbD%3z*{0gqB zOY2<|XU=*(CaLQ4!A!t9w|rX zUo($ksZ*1S*_TuL;`pGkrXFiV&Mke{6s3Ozv69q>%A>6}=G4IYIW)6ZGxMN=Mb%{z z*mGT)VF~~Z73+Gl(YI#OWDdm82>>iplYm9rNUB+Ho+DFcq&#(rYhk=;6rh%Gspcc(XAH)FW+mRV-oG zJggMZ=-KC@;!-{?NFGk}=K2j2oj#g*sEYdF5lRse5%*==|=_ z{D=Sjf4+f;X4hyI3fI_4epHGRGL`C(ZJe^ex~sW9B`G(h)(Y#S;95SkCs2?Jh;~Q2 zDeRzflyFpRR_~#Fcs6QDS!L0aB>Qg{N!Krvnc|?psZ_=GbdivUGK;e@vsP18PZ9;uQ-*(#bc>u zQfutlGY$g4`9X3I5eZi_^$J*ua^I8j%KR5o1v}-|?Se zX@00`y_zk?!$0z~AR(#pCCT@iiJ?^Wqng$HLLa??4c4T?A4QrU{YO0ia(^8_-lZ}8iQPx z=0{tT<|EH!HEc+o5t?upRvDrZ8j_;>_N3-tB^A6d*q!5}bQ8B!U{vWQc5anaSj{@u zRK$@Qe>S_0i1ma0Ker!MRRrG$Ck20eH@xPl_}1sD3IIN9BM<`pPtM)80`KZA1iMLF zSvjZZ7DVX+R%)nSvST|(RdJelzl%>_4Yd$pO<>fZKghn>P1~%Ls4*!1F1QR%F<+W) z43!kLJZ@NH!w)4=t9l&O8v}M3(Mw-X<&yZR9ycrF4U|?(?TXa+%n`+4r7t>avBH`M z=G9=$?mM{YNUDxt9}=tZpxnKnJfBWsFA*1`xaIe2=0CjgoW#* zBhK#ae1oPTsaV1-@l%*bkdm3x5WFS9q`6ggTaE_0jSDbR@zbyx%+o!5_lnTKAG$)v ztE0A?Rdwo%+3)s1V>z%lc<$bgd0_w8zZ0CIxdh;2ql^=%9$*G-lFyPNL~Gga59ioP zS!ZQo493uDj4ci&&Irs*k0y&A8UbloDAflE>#1xNFd**T4z-33^Nc61t@D20y&6)~ z0`4zgi+6ZS$&mQ7?3(x~F>&B>#!B6X?%Lx){9HdNI_TK@Q2C!l{oysWZP(G8AQVIjjCKt zN?xtPI@_GpbSW_ISiv7(c3mrbsD~Q_csjh~P@`Xnsz26W8j0w;Ql%?k8CUflmSPe0fJmw{KX`sEcQ@{$$wr0{FX=?hh zvXfa8g*F9hG#zhBWkrFSv&}V_81#f|Gp=UYk)$pDjmS8ONrJiWxNB6)>MS3veoz~t%gsr zm~T9kV#pc~ev}g&+huc<_sIK{F2bpSP9|$~-s!hI`@}EqU}j|(@Qn`C7X$cmSKScE zvA|*maK+rxRF$%2aM7m+Aaz3+SvauX$+AC9Mz}5+=IrZSNd^V*lF|}Vs*{X$P}L63 zGzLwhXxtdT2w(7ag9y&(q4Ya9b!iZDP}7tl?5drJFvR#=uHrd0{jz5{M5BU+MF)t}U1!^?j)^_Nudwaab_@ois}f z0CScRp`09vITM%8Mcb$ER*)lTf*e?UWlV*FE=kL4YKd1w(+{v3K4+L5KUfUj=#6cT&L_wi#kMZ>3UTSFxR{@kwN?4uh7n0Dlw2LJ6>Lkx5GbBXI zi332b5Z|R1_icYQ?aZXukMC=GuKYrVl1Ewb$uDx`pz|lIW#?*8Rj+KUvEpVAsV;9_ z^1)GY_$R9E>}+4o!TAXC+*2yjPSR0U+XGWd(rs07Jft{ndPF7S6*JP{5{!AfxCdOh zRG(^9(Fx2bi-yjl3%It~Bq7h*XqdQZGrGhY8aBXs+E2c2CbH)*cPwa z9|@&>DJ1Wegys+#oTUbP102rqp{54ry|A1?4Ku3b07I17BzF!E6o&oE6MY3`&_+((rw1-H8o9-6ILzt@g^?|o0GnpbOHZ2$7bLvAWSUiAak772Dh+0dhjuAszJy7#??OfJs8eIJ+H2P8?(xT9WXE9|CFlyt z&|HJHPqV}&Od{3XC#t~6O17dYI#)JThzFplQ?dCa(G)-v9vGx+B?SfO^5Ly0@9U{4 z0VZPXZ@r$qW51)jZxt(BaUNVqaCNCR^F#RB8xj`4>l%~Bi@2n2F|cz}Fevm6d@uY2 zgd7jgOC1FO;CCU!cI-e_WlG^GnrMkT8B3cx^6?xbRlfVP9?h$`{piH8PXJ$!cFlicnSP$S5gsYsE|7rOj7Y9oYfaQHtDLFy=L{7@(~=LsmM~g zmHvR=+vMkJ9Uht|`V9B(c%8P^P|y50p!4prG(T;ZkzGdqJlG{3-WvT8~N>b)zf5ayhlGA`U^jfGQ3aETf! z6ZQk_12G2qu*+D`&PzyIdT0Ca7OFmh{zLAk#Nw_^gWh+1dQ^v6-#M`f^sK>!PBPV( z%NaHnr{p6vDZL-pOI1G3UEOOcD~oRPWlb43Dq%XLn6<0tH0f=EGGL;{nvSEk<3j>T zZfKsGTR{)SVT;>C+4?KvrA`@fL&*U}VMfWn^xLkHoxHSL8v54_IycHRU6)!e=aQxI z8f2@Ro{9!(dWhvrso!J7yb9UetN15KtRHWDN%T31K@@+%X942$M#z|uif;|uijlVAMX zzx@*6a3?qc+MJt+)zi z;&!T1l{hZWM-lHe+>=9n0uO9YPZ6Y@Y741Lx)MgBs!dEmnWwsmC4YP=vF|hxPOsa! zuE(q!uG^Vo?5c9hQp~F=nsw(RKf5o@-lQw5iLCM@4`4ATuQ}m3rIPcmu^byDS(-?1 z&DS9LjC~qO1VkwwX9*vv0nHn8*d-(|j|3TwU6USMhLM{yY}d}+wNgS$8Xti{Ue%non^oF0Z{eJ2-1fmNbGS?QDL@tQ1I^tl0My&LvSpZn05wuOO%(oW z5=eh|xwNSycnA8TQl%* zSR99=iV!zJ#$Ghy77Gp7`a(_Z0P?N5tC-%LptE)BXH&tkQR$T?8%tdwvur^#yassg z=n?|@3qiFm&6IkN-N8yi!*zVF*tszBY-&tls0U5y>EYFO0dmYC^u}0{9g_R79Ou5-jN|;WwwvE_O0 z5AR$=AfyDwp-LPc-e>nx!h>~4YjRApZ<28I9{*#qgo#FU9&f18)q)lHtu>BUTvTQ< z;FqyoKB?!X4z*{ptRnk_9caie~+EHwxDvZm3)pome_Y7S#pbTT)C)oc#-VWd(% zwMlSY3yUlQj#BhXbC+h$(0m4WDLcDL{vqb79JZY zc7g!ZwTv${y?0dL&v!Xy!P8mwnED^&lw9=+m09z5>!z6!d_8?#* zjkIHvcW#>o1Us&&j+&SJ@!X~cnBgAzgwIm3m_E#t3W#rM+$*qRH~{@U(O3-&T13c* z8s4RwDXAQ3()-36Xv?OOyH2(QVBw`?8wE8X?*}#yzNPfP?;4+{`B_l49jv>SepN<@_umxf4dYhm=24nceOvB= z>SMJFo>TP&cp8w|;h!eW|7!(%1dXD`&2wHns7?Z-D%$MWWU#}Gk6RP!E~$>HlI+aV z$bF!^ed4EhHjvsHr^Yhe*M8e|vhC%;$L}2^f zr~d>8eUTy4OdWIhX{N=x71gu7a%Aecv>3F?)rR*79{n3(S!ogx>UA+J1{lf(}cFEY1Q6A`LP`nfeW-pQj)P(cWUnT zZm)NKOl@3VicaD19F$A(Ot3yS^w}i!B^szXQS&1|rkek7-zlxB;xBaMrACo7zL~{P z8x`JygEyY*EPh*RUO?OSxtQ6%@KFNES9nkO$d5tH0d)M&3iaNHzom)4@?)`Y$Nl8U z)ojbas6Z&b@?%nVQ&kF=N}_~woZe~DakyXkG55-kX;v$|LqU`>pgHB0A9HI_SAHz5 z{Fnf)4aqKeWbudoqnP z@R}94^(o0Wy#*%+HzYMY?#TXG86Q;i&X0kPKpdQsBr78LJjYYh2^-(SU!})Rp!$HS z{Wv`~6q8{6E@_Gvk)350oW>r*D;7Yi@2=zYN{@}(uk;w$MCq~cqx4vCtC&QtZI?#} zZURJ~YMbl^@fN z<_8MAPdVI6ak&fA;=Eda;ac^TA3M&?+_ziPgsZ8AbB|q688Z(vAQ$P7*27UpRet~m z>*6VR-mV63?qp%h@w;JjhF7cO?ywpb=OaJ1I54K06Stojj+D!({Ma3fTEqAqY^JKJ z!(myuP5Chlyp5VQd+7E=iLGJFrM>w@eoPv02B_uyjn5uS1Forl{;(Q}_K_cxM1QdL zIMn%c`R;JJv8FlIc&T@Otf|0i<;SGDhWXhv&B^Zb#BbO=+db^+$SU#1J~_Xt z=>WwwTWkf0NW*RERigmfmk?Xhh|4M& zL*OCEN!mhFCX)k-h4)#5=u{`ff+;^1Y?ExIzclSrx#qNT>tm+7?lWnIJAcc?jhc(W zwU)AnM17M)U->b`{I4t%h<`4ca93-v0}Gd?ITTLOwwo8{ zb1thq!48%DsFSFi_@9_xY3!w-&&SAxsjjTaG|Vp&WQuFvGL)vh6J#PKH&?^;W*>^Q3Zq36>a8dorb(R*P2U#$_D+!Df-lwd zi36|?us5mwoJx?X6>H!G52uWJQj>SXT1VH5nZd6n<4r@l6=6|e6==P*B3qFp1rQ@@ z5E5=m-7QrH+H`l;p{Z5UY)JRDP7>9B-iR<{5yJ#%8 z1k0|v`z5%ttNbU5$2Sdm;%l)5Pm^$gODeaM%8vRS)I`p?scGy0c0hE{O$QOFKuAsK zL-C>O+NF6M9>u?tM$6`|_)u46`&>m2fbJu$1;5Spy!2nCB{&*3e>2isSvN+b4Q1wv zbGS22j#DHm294a{4U@Rt~cX&ujgPf7F!A!Z{JwxV_*Hl*Mz6)md@4{eCO$|F|wl z$|I0iECx7ZFQ4N=BY*=qShn5Ga7(XKaTT0xRdvt175#eSo_Amf2ZO`qq^V?qEqcd= zHUXdVIWW}Ufg#M`sau-G;>@L4M@AjW5AI=2vB!Le6U11DM6=R%sOTeTsARJO`FH3V zQ-1Md*hr3HdIg5Mv}^h6^bQQEz5Hq7SbB;rTPYXud?sjg9#};kO27yAl3N)sxfRLe ze1wL)j9y<7|EYtfy4PHCTt$^g-J0yfoAQjgj#U;U*;+v!L8$Bup?}FYRss_17 zHV)_XoT>+_mL(`~u2UnQT;OIaA1SAGmT_P}C1aw9QOpu@?-DXo`>s>9xaMu;tP!VX z&1+iC>fJ8EIxG|~C_La-uet_usqdzJAfsn1R_Y~M!qs?tnZ2K0LZk_*B4oCzK}}0M z25wac@xi1B`>N-X_bk{q(5&VM@gl&Zl7UB7e$pyPA$W0BSB%ZT#QCZqQptK=Lq^-R zl=;nhWxq)-Nla47QT~TAQP|31IJc5imEgfps#$?T@UWd{^QwO7Lv?OtP7kT=5Y4Xq z@(?Yr61pl<*2tQ1-44M=iB+tb)T+-_MW}*ul2}nKL)@d})zq-SdR44&(@d7ACjF|~ zL&YMU1H*uR5PC_nrjv7CmC-yksWN~PF|=O6v#8uYAQyuXnt|fW z8bZRJ7!Qp&h5KXi@`T+U8aAOac+qYTWxWgow-byh$W1Wb}CHtcv#H3@0JZdCt- zD(R(PZTm3+>eymjAJ1)saf~f0i32J1E(uPx`Zt22(_)k$z&5aglB7snQ-1N10_`Q) z*j6S??BtR~j?YWLXVW-E97e;0cZqhXKFv<0+d=Sb3C^sjEpbYcU-U-u8Y%+zcB&ym ziglxJQx!vF9#tC20FB%2;g1w?52NUD2b>ZV5l}$gF5FBI;vJMbuw_#r>2sF zp(GRmtc&M%tr<2P;UpEyJTN^RbRYeG-w#l)X|OQ6cdbsaJ0EK<>ZwuDsl&?@%$#Y< zSz}Q!c1fb*R(Ze26|3Tv=Q;`;5Zko)#M1#Gs_?kFIZhC&hQCUJ0xY%3<_$2ZGT)p{ zDf4h(=Bb*eSa8dn;2lyug?o=%7Wb8KlOBdjd7XsXfIqNl=@6l$ufMPQNin>!l3FfN|45_M>UWbP31`%7H8ebdj-%lcHXLJQF#cD8;qYj z!qnRLTy>3d3Ay7fH?fEykAH~Na1UgcrGEn)f3P?g2ro&|&fOY=$Sv8WvgsFYG#c<7hXZ ztHUSd5oc;nYO&&r3U^90_SURczV=?lkm?o-6JDu#Y0%`UI!ATrM@jOZh4oB`- zEscfBx2TY!(1*fy67X+hK6382Rb{2>sC|=0MS#qz(NjuapzlM)y;AqR_pUM*yUL|h zRt#Kp#-H$e44P8;YDkf?@oE*p(Cut%z(J3rZ2{(W?fl-uT5ym1(3p0R4n6;e)pmhzyh4Ff^>ouSO9#ykVFC5M4U1Q~>>PO-o}zHiWv8X+SdjoDsQbgukr!CSJc|JRfKz_*tAG9365+i7BY*hrH{bmMB=YI2|JV0_{_Qt^`1m&uLg&TO?Cp?PdfYZdX|H5F$8!D<(Gi1G zJGEKws;OXAJmxH>I4<2=SCHGR;o~?RsDNDwEnpkRdYscq;N44grxYPrbOq($0eQx6P>ssoc?oj8R0X?ac`~<1>PngqsUVR{yMK>NoT*84 zF1(9;07>>xe+0K`f9m;Hs)A}@XGe^S-0yUM9+`M69`6NOcn>LY;8~VFFu2u9!|#hw zj>=g5yjG15VEI`6!{Rn=sS57;hv;<4;Qnq1k95*g z9@5Pn>ZiXnlUTWxB9+>Dt?@axluJNVhpkrPCQ?lTrAoPdD01!rHbcf=T|OKy44Q^P z)^uxjQCPBq(trVY`4gn5rsM8*kYzrNT@#SxKHy-R9cCR+Ddg5ADv04vaY&_89Tr9t z0Hx4vG!K&lB#PLhx(y}oR^e?A{9HM#xq7_HQcvMbsmr&jzSSm9QsbT3gQM#z;r%o; z9Xl;iL2&l%C6za}TND3QV&Upw)ikq{{`?tE08f^39i9qO9=Zm&l_Ggdjd0V%Kh8$h z$izEuDh`m>%gXOLD*(~Dy0g;)S8iXUw(`>+J*kzU>FEevWlMOm1XbXSY_AQ{=mjrn z7Pu_d{*;pr(jqWTZOxBMuS)hEW1EH6)X8J+w&tH5icSJBC8Q(C@s$V^i|43fbdD$; zlE7A(A<4#*9Z>D9k{X&_<=_l5H&Z4ZsHQ^Js;Pu|$}?KE$7j{-YYKSA#cE$TBAX*UBpPN_sGk#cI>!_1H7 zuufRQAvMIqUNsB$?%@O~^{w&0Rf0K{bpV2C3{&x)H(#UtG?dLN#HmF!^-1js`COiG zhX#eRyL4R7=GT0sLx2hY(dX%_W&taCn$7`=mKJN{HOvX~_Yg1~kQ6nY3Kwc_Vkv%` z%EqesRnp#jX-|2u(Jdb;qbc5~>L5X7K`h4kpa0_De)coa${+sxr%!+S=6`(skHRb8 z{pY`HHuSIm^N)Y}>f5jXyRX0JC%*pv z>rcP`>Oa2w@%LM?k+h)oR_AJJ<78mw^8Ryw)b8+j95ZkmTaR6 zht&RVdM$jP=A@fdg{?wooC|*H;L&F7FZnNs;-T7@YBvj4z9n!YAL2&G8J$a&4!>|b zj}#b07Ns+kvAPY~`mW-c`5sn7TgGKKfMh%NY80#ExN{u&sHmuo-|sZN5QoAr++G|a z%tfLxCYUY}NPC~0qN#xg7Mwevm>_$XCB3NF{I#-JyYQot*r39@KcPq?uONJLdRO)@=vUqWk9AhQetEhGvL~vSi7KEM;XrW6f?H zYHDB!)(p(J!A0slWf`p$A#i(|#KMn)p^e$O-dqO7gTc|NH#BnYQe1#qnL%#6XNSHVBt4+2&JWca3_}-fQ~&Q>C&v>q<#0~z0uz~704Uo7Cg3MIY@#2Ux@w>|lDg&>SQj;uXFU693Xj~e z05GC89zc2T&^$-bU29C_*ejH>sx+I-ajROTfywJaEpz0HZ)$zr-ELST1%~s)Sr7Hb9nE zFkNH9l}&F7zh2oFSxyO|Y^s8}>JGLtm{@nFl_JZ;scW7RKBcf&MqnJ4QxnRB=)uRekl z(9No>)hrT0{#Cq_PV;2D4~;lb$`?+;^Q^3t#obwpn*=wi!lW%BgMh zmH)!%ib@wd_j5Dt;d~t-X9bpwjD#dyo*M%urSpE`32t*64b+r;fV7cMX{ehQbQuQ} za^rSj>;}-aO8MbFG|iw{Glj`@vN2>}ZztTV!73_LubTeJ^~+&3C9pahrX64J4@szS zz_7?w60HSe+WfJ1bF7kA=|syT99=?O>WsRiGtlPjJyx@6*bpX)wNuo)ID3cua4iZT z>3=(Z_N$-%;-8(X^w*WmZCU|~QO)0~Y<3z$brzK>)&IJ(nR@~KRmkaHWuX#&Y?0H9 zO}gW|vRQ4dlFw2!tEd1iJmp>4th%VJakzs*Aj*%wDx1gOuWW{4T4exL*_>3_tTH%B z_iE(XtFqZc#?#^cPGz%{78H74mCb9$DTw}E*?j&VtZY7^gfnXl#sA+boBQ9dZ1(E; z|GSmVUHzWttFk%%pHkWERb62|Dx2dEmCg3ADw|U(;rysE1R#sQP~`&90O`7 zhYJ#GRp+ECn>9}P$Cb^Pj4vyj;}4b1@m1MuA)WJ8*(}KujY0iCTG@R4P}w{_Dx0f@ zR*iRMGd!pERoRTC`KWAGRulA+k6`yR{dHwC$61xlY5b_NdHkKq=J9_*Wpn>r+1x)W zo8wac`KWAm_us2*=2m{LY&P%8W_IoA|8tei<1Z_lv3^lAjubl_@5<)%cPpF2tFqas zvibU3mCddDC>ygXo9(-@d0YzHnwNeitm{?ToIWa>$E&irwZ5y+%&f}h4%M>?HC`~f zRoNW6SBti0c>YyobNaHf8AP-yn};-GqFI&AEUB|$^{!p2Y#y)5=K41)oBNJ={4Xn; z%@38$mr}8Rzp}Z#Dw}~aSUU|Fs;|oC@i!}*gOp^CzpQM|@5<)u+BM!t726+`&GU!K zW`GfQ3UnA?Wwwctrd3k)MP;*Om2e1uv$FYKmCe_WDx2+JRyLcD%I5MnDw|=MtFl?W zbNr1l3hj)bIc?WpRW^4i5%7Qmo}udGRr~apmCc~+z^>mpYiK>J4;%-)OvkEhj-M-= zn?L~u{D#L*r7Q4>ibCG2vN?QN*{p6oAW=U4?U%p!C&pBKw0nK}*gaU;f_8fLlPW0oe5EA4dv*3e9&ECGfK&})((ux#leUzn#*J~kG&|Sksk`L3zEVqW8l(bw3S<5LeVu(^3*go6%%yLldMuHey)v+ zQwFQ4sjN^1u5gB^sX9k57k%3`UFbFA zJ8*}GM*P9)24L0xWN1ZPt5$-Bl` zBRSK8K-ybr{@%ktYp@w!)gm9w?+OHEO_k0n?$(?y5O$UH8pO+o0_aq9DQkw#s|Q|s zC-~U=nj1d!HL7BNkKKA$d9d^vRnc!{u4OPmLK-W|F#-sQGkOP#)1187+}XFR@=<}y zJt(LF)^2O)3E!bvK6R+xTsrr69=>uzI5i5uo|>x2?GXKnyA&U%ls3Wh9**@ceOAwb z>LvlTYG7?KER_&8NKv9aJBjDrugaY!l`zT2iBkb-VeS=FWD4v8cZo-mjD&N;0R-%- z!n{V&j0BCXxHL*cWu5t$W=oogP{n||>H~`z5pb`DeF0?+axN0&AJ}%us2+0kdsFBS z$WaqJXRtCGzVJP?LZjZ=!L`p)JkM(|&7u0NOC9Njmx+?+n=U`XMY*kdr*?Pe;?_&a zc#k$6M0pij8@Ue+zJ0{0G_wLMe}ih|=DU8%s(@txx!Ink(XDtWiwE-Op=rz=CkNq} z_c_$m@l+wYLxpl_2p5gH?>CDhlFt$GDxKPUTiPF*UAFHMT(BTh^`~WxuY?4~Iy+Eo z!vBSfZkCJd1?Mg>Oa-p34u+T`J7rq9&8a~wCkI0^d=gRMqF1$LDdwZ_wWj1PL1>i+ z8|iXZxDnr7l^nwQNnk?7W0DXz4c{*D;UNa-b$2z;MZc%xfDv8t|21(djobHlalbki zaZ4Kfd#Ebs-UGM5^i(t@;F55e(a`JyQ?iPD_D5pR>aORK4FLlw9dWa4Q>JKGv5WX< z7^s?DI69hfz#q<`D#1J5eKCKm4Irj@ z*VsTfyk=G?!QR`_>j9TvFWvKj{e(%3u^z2#*DmDy6{4U>69VoW@V$& z7=VdUY(`^OH2rkdFWW6wzgvannc$~0S6IWBhxVyGC+U8=FBsIo?5f_2ROgo8**6-$OuwgnToFlg>el(boy zbS%iOv5HN7`x?>78h8$KSNT(SO+8Ft=7-ffRU*_BlQjUOY80;2EZw7h2##hz>2(iSc zeL8qWDU?<3r1W5TOMPU-DS+=`ohYGCMTRl?5MU9qNUHILo36f~;1uqUd9X;l)6 zLDO+Su;Y|GtpZN>&8_D|egvFU@gza0cutMpaX>@`oRss{@b^F% z`=f`z0fDdl+tuiQJThUO8BA7R8RYeb$KVn#8nd{5w+_BXmzzQ@I~sR zk00?ST;TSSn(mrtnl$P1qm@2b!HFLNPFHvboc=1_B&F%?bHGV}_8o8nTx<6HTQQVn z07<_hPDN1bqm^E^qTE(xO`ErA57#^56hD-PupwD<?e~s?ll`_k*pu4g0VJI zzEv^OJLHr!)-#4{<|Y5MLb~QqLDLyhwpW5n{t$5z*Ob<@hqjyVQ~dn zPM69WP=j4=cT~uUl}U?5sE4eY^Q|?#y+ckC|9XX-S{>2gSG;Pf$2Cv*Bv@;zy(cAw zoFG&h>aHMh!ip;5w7r_?`SPF!Mrb#lpk*6BLQbKm$<#hzVh-A2O<2&XV&tRp8Ano6 zHIT0@v1#r|_-Lk2*leXLcJ2$7(WnP4OKdu6fYj%XF^}m2i%Dx*lMx`}FR^K9vEyBh zLQe3)cgRUoY>&QrcSi6_r_j%sxrC(apd0X9LnsbWJ=nANpmy1qHlm4YPJyy)=2es+8VonLB?$<;W^`PwDhZS;?ja9>& z(F|-`)%ELxOF_h5Z}$p1wbNfqZo1{^hbl^|@B}ty*PP2L6IsKn3FpA1Yv>~Q<58Fk zh9v1n+jK&>2lvzXD2$Kfrm=#j8ueZS+Omp(f<4Y)yxk_JeYJ+CIIrXtPUAKxt~D>a zf-z^)JPIk;Xbc5-P;=UGD3=jU2E_sRw;e#X8a=F<)U%|n*FaWjb-{((RWyiP>DQ^m zVp%~aiO>24H(-`$IQH#}pp&Mk&(h~R=wu}-$|6Z`y1au<+-_F|5^!I>PiQ$dvcsFx zG-~dv>Q;$R>F~LoKCXdU1DYdEY0jz_q-ROThun0OYT=Uf-E^68B2!rqzq-U$(23)G zr>yBy>Q~_scxgi=Hu=QZ@T~a&M@uyEQlHi)=nttpq15W~S3@ZLJ!(X|&;X{aU)};* zW`r-|`HBU!yyHzRVecg~%_-g`4kt-6w)oJPCJY!LhM$>EL0W9bJT!xL0-bQ747AaCm&xz(+Us+8YZ4PumFCE80U!>^U!iDW270j(Vr>>$)Gypz|cI+ zi75n9#fb~f=QFNTI?KgrG*1D8`&hVU&uCmC%{hy%#E+ER&;C*T>HqT8A3lBmr*A&} z{tNlV@a-SJ{`H@}7!#(^^eJh{eUhnUgKGf%bHLi2OMwfC@PoJ9<*I7OAUIj7r+`>V z3#n3n;|8nXS~Ga2W`>qs^WB3~ctKAZ48(7%>_G$9LLV4~pw@zRAD*v-FWwQy9UX^Y z%#>Iz?h-EalFlyoRU*r`6rMS0(cVjQng2ThzYzcyIF><`7tOQFlV`$)a)dZ3x)|7% zfTv9H;U~{(Pv38xFqExeq<}DUSBKlJiNY$z=ax=*rOIPD5>hgo8j-1y*L;{Wbst6r zAJ$6y(@Oh@1FzP08=@wC#tBbU<9?f43g@k+HhXEHbWU+_&;4=l8vmP=e9prfucq0> zw?w8T#3rC8jwRt!u#prn<9W0v=X+@YyDkyZX~%MR0w`89&Gd5*Z{I;A!zcB0howa+ z*t8~>OrZtdUENYrXiV&yTJYfjY5`u^bERus&}>|*Xi*^;Pvsx!O_wt4s9);QHu9r*Yb&Eh-Griq%kVhQ8aH4PplYgMG>H8^WE;OppP zv<;AH*mnn6I@B=(An|c`+^c{E>oXoj$@CXw(u~6p6&ri0!yT;*0Q(OGOqvrYVO6;9 zjbU(@kR<5mY=|A;TpoOdgF7~je1Tfkb{-l$;yIcVD2Wqhr`P}uOo3;B zDr-}S=rY*!&x(zjld}rat5SABr6iK(i>I#%pjy>ztajOh({Aqsgaf!_zL)=8ZTad9 z#7Z4jO|1YZH^vDOw!NlOCJc0n&KA~dvwoH1cOj^%$gGCQM$=0^;5j(;A{crr6}JZC zw0aap7gWMqtdokw&JCA_poHTv!0rY>MU~iq6r4mF$D;UVoY`A*kE}whxt9ahg|7uY zJ<;QQnue4%&2p3D*n3tNPLQTFRuY_0r$nPo$(r=W1=9Ga^o*X}dAtM~`3aD>%D9dC zb+J`T3%?z1SSh6}QVDu$K|@X^>6CvcVO5{{KMOli~LI0&KY|Ad`(j=y?NJ1$m|F}nOOEy!^JpRo9f zUtb3YwhXD~h}eNfKyg>W?H+AD5|3#naczpA_8lZ-f-91Cib{OB|2i~S?lVj3SjbJ; zV=Flj+<{6MxR(*Ee@bQ|m7q!Sc9pz~9^Lz4@t{Ye=RahO4Y8fTs{B zj*!E3-WoH&V3#<;~xNW1?=ZI_tgkW8fsfYoQsZUYNYjszlB4sep6%^viuq7u57UvoD(p z0vgamO}i#)%AuPv2L-STKhJgZW~hn)L>98Cyx4i?@DcU-*MB^z^oyv^zyJA6!G&%b_KyE- zMx_)SdQe9JuSa!+`(5g>U=b zQ|UEt=%ZRtRoJI7A2<={zjS|8`+u~x0GgXPV0=vl8~0Lb#aA4?tI-Xo>mPW(I@~Tz zIXutzw2SRJKZJjP73Po#G^j)3`LG)6rHS38B+lXMe!q10IVoMmL6`bLOx9cyp$HZ4 z0c!Q(nI++9KN7xCqn9R7nV0U*^_GS9S&&5~x=~`C(*5BAR7}}jo~vLA(h?EgH3>nn z2-v=hYSCAh*zTZH?iH-Te}1X^gY(&z-07=idiNNrt^jFJUu8KlU^s}pDnnKIe42p; zz23^u8Vz>14qx{Xg^=nB-W5VY3LQ8F^MoWx#&>4y$FZ6ou8nQqZBdov z{$;4B^`17RAydZmRX)VpHGc+ol~t&K83#Sys4dR3e%%)4TkmP=?|(n8Iz*_dQ3jNQR8zc~t|uXKR9l$>Xig?1Uef91AD9A-X1q42+fV@gWgu zmi4^W$dQ|z0m}xvFeT!3ufYbAoEDp86m6ZJLTPE`&X>!_Lnl|Sb-!%giPc4G4Z{im(=t`F1$ zONTY?8=P3-eK>@>%dyYNrE^^N44Bcx52F!DmjaW#b0#>^yEWUZo`_SeiEKB}P`xQCtBPF=+%M(i zD%p6hj7Gs5Z9mkfExiPhsKS;TMwrZe;U-Msg7aIkO4b!UmouIrMh(Z zTuB;uH#vUF6>}Ou&t}S%2UTygD7Z4Ofk#zp7YAM_NbAf(v01uHL%^pbLsHu$jUvTl z9$SLb5>HA}YT$}VAREgq<^8sUT^0fWXqW6Bja@ma8@JAU&l?vr0;;e++@|?-&C=v| z;jt-*=Xqrb9%T=jh8bIo)Qa!!(t$Z*(cs^!Zl>0{N2|!eDI4HFAlT3UQR3~3h|rfA zxIh2A?v${L*AhJB%&b~&dxb-AfET|M*~T># zu1A>uK2_8ym7eyn_(;!%Uyd?namx8$>zkuZvK;nO> z?;Z-o$0=FE3%7c#T|XozdT}8&rMSc5ivs>u`8G(lx*LC-Z+oZP#6-o5wpYHb)-e8N zzRkSyZRwVnhk6uV`L>~STX?10=1g_tI0j&Wk1OA{M!Wtf-zI^fY0Ef2Pj+4TwpV?3 zRJu*`!8grR3Cg!A$Ql00d|UXTzFScYH2^E$hAUOD2;x1DRqY%9R=y2tMP&2FC-UMc#XCCNVjb&@lH4IkT}I%j}Blj+@!|wN~;p`J=^t1`L^*xx{YOg zw%~vxtHgWg5t4?xs>Y*D>Eo?@8?H~%0YA#Oz0+;soo?G!i8my3O`f^G%(qGDNmI8p zoUDj=hW1z$wtZB1tD4E-Ne*wfy)UD5tbE(G@@;7iw4BcL^tbbE;Mo3=ZZq-b_HADd zca3|HPHS7kUEldO`$fKOb?&_KZK7W*-8NUcZTq|VHUxl9SxHQVcs&cXw*rQmE$DoLXx zth8ESln0cgBkm=sKze@8x2^K+;X&9vD+Hp3EssA2=IsFIrjsuD0L`=mo-7jS_O$fB zE-2Ft`jq;@7x}h&X{axpz@BORsK)yaR&sQE{4ertW98e_fTd#XE3JIn8t)2Dof@Ya z#N&sq=D2^zx207_a(&LXMHOHGauz7#c0LvgU?p@M%01-Pc7ZZ3?xvv?{WagU^-?f; zM^+uU)%04Y_g1rLhi@N>qGBJs0!>&VcPbFs*PNeC@^%qWdTYRHSjMfTbOdGFlry~S zUW>AA;3b^GT-mlD6@1W)hJi0Xxk&^oIwfoEC|Dg_8xJ&svTc2G>)+WneAKu#tgWex ztl_w zc$Kz<&;E;YJ~u$=^!POn?ZmDXmBYujdF2b5dU%A(D~1{R2QX9A@KP%&G*&I) zre>#DXy=}*i!D7s#Dc$y{ zqk>U(@D-vO5s!*1Jvzkic!bs{t8j`<`j~H@?`97Dt>h?G~9zyrH3TZa13$N z7bX=VNxoH{Ehqy0V{K~OHIPQyL1r&-3S~@H(mwkBmZp|+8Gz+f5BeG`XxxPe3BV+;SE8aR4=E zXQjs0;4;Vo_Z#4Rakg6;AMgev)BO+8DVqzc04#)FJPBm8Eph7dg*dfTV$?*FaKHPP zd9mRpE*zHH*|~C-=#?3ku2C$TfKB)uo;oqz02}NnWIe3bwIFfos9B6X*)=%WHWdtg zsZBjMtC{tz2~NyOm?p>_HVxPU)@5)>l?U?`%DXCy`VDx%UWl}*wgUJ8Am6}dMqz*D zz%EEoQCsEs5T^#DUymjvfF2T`q^gamPR~<)S#>E%OOR*_pS7v)`oq|=vb3p9SJX`*4I@QmuNg`IkTak~{*5uu+ zVmF1TC3ggHg>7Ry>yo8b%6wI`ta><&OoStrO$~=0!(ZOI)J=1wF(zGYNCQ6up?!SZ z`?(9Yn)a5Zc9O2KIjEWZ*tg3&$t-DagLOeFscM8+?+RW z-$FJ+kmo7}>nFNe!1jPwe1wu1UbV+kVGhvn0f%V2T=RLJHy;*dUd@7ishhDsGalQW zmbTfQjz?i~tYc2s3e!lL`rwK`Dz%DAM9+2MG{_ep`*c;rta_f_w#sSog8D&f^p)Fr z=-S{NX1DXf3=gB|{4lU!n`R%%qOZe+;;C7ZU{37KYs@{M>X2l>3kOYX z$eyNTM5~J9JJ^T4^;jb-jcPod`mb`=%v32Qc@??CH9=*P*U#+2t`ikjx$JoXVu9zQ z*$qhK)+~5|DhcZ5@g8$_NMDTS&#Rk5LsS*$WMNoZ)dl!_MGVYyXkH2Kv`n0tq?Q*P z>U(;PV;yFROQd|B)BA!c!)or)VMHXD&Slh;+nH3O(3M+`OVZ$Qeb-SoW=d~LP4sYM z@Sd_O3PMOt)NjB7~lq`7R;8hGZ_yNVO!l&V-M$m@!OX3s^in6AN5 zki^mh^z`;9&jF(kXXML42k(pA~ssbD25|fPdg#4~fFaO4{Q@5SH}Deh>9gu1wp!h7K)VVFs8N@1*-f_# zoYfV@AC>-IO2TTkUj)aO{eoJCd;pI#%X8YIc46hl z_c0#jmRq@nSX;?Qe>i`UWX`fqMVZSvZM6d&zsadW@LZxQOEZID{84|GU>audOBw*BiBz9a1(Q-x`grrQa z>$yhLzh}5gP<2-Z9f(`bnum&~SuH&|biWq4RiF(xs6KLyn`*Y#{OzmO8<%?;$w^$` zYz))o>@)4<@c-_1VDR$-P)Zop>KpQm)2eaDZ!mxSEC(gm%sR=|RA~r-7%$a2DF`1V zxuYS=kH;o`9z}mC8}7}mX~Hh7S@dJgqSw%xrR!MA(l~Of#a4wizPnS0y+J3h3Wa$Cj0uOa^{(gRbv>h{7z zK^mEtpby6LU@7s_2NYG4oE24;)V3z2CQZkP;0MitZsz@kAXk~oJnj>N^u8o3h{|3B3U$4dbm`Wjb}p(ZBXDuEUYJ4}_fa2M}U zN#kRmm1!{`NSTXv*nS*lsm}2<^LoikE1U;8m>ThHVmmk{M!`1V1-vS9OQblb7{dXQAK$VJBGNcO%|wU$IRQ7a7w`?Z`6rKUw4#K6nyQPZ$_j&tAD*2Lqh*s@o4 z>b&n8PmWLN%F8%bT=L)faArX|ZtimmSuEvkWx?wq$(y;YXb*;&eFk)^sz+4GtG2r; zGz>A=#}XgG-Oq)5G;-bod3PQG%6({J#i4;$r&2}deeli_sa1(yP=CMNi|zNJ!N^>4 zh}A81=@HH@Fsr*4_Ul$FsdN-ie@;Gi-xYFG7-Sr9G&{I&XPp_eBV}Nz>R%e0qWMP( z_6BE9&{=!ThIiB0>8i%FRTJ>AIF3ijg4j|olg>*xBo3(|_GYzb>!#z8(!I1En{@AO zcocS&Xe|yy^EmpY)byn^g*6b@oX)_rDo)Q6INnUc<5|}iSAf$3K^#g2<&&?xAJxK0 zmr4~>E2kE!NCpnaYNjU;5|*eCN|Zt&X8XjpXTa-LhKAB98NSQth$mE_pwwu`I6KG& zz-m=oJy_y=-NC7b8U(DKGKnY5JkrLlWg$d^*P0c$2WVa5IrCoCPr${@>va=$X#q%o zRc-Ph8%{`GV_Dgit@1@hwF48}nh)io&#VzfNkPh;ty#j+77}8YdiOY;^jz>YFTkP^ z$zFq$)xR1f%)YZD8dL^;P-{?E>_jS03Nr&rOX8kGvZ2wK19ez7sTVzC$MsA0T4MCp z-YGoN@GO#KmcpXCo>XQ!LBh2wm7Tpt+Bm$by4RJ|yOyR=$b9 zH-|!^%FQeA481C4sGLay5+t0wVow_F-NqK&4RSS8RfgBgr}#KebEK8_REugW8p3;H zQ4dY=#P+!D{|it{0|XQR000O8Z-9qaozJUr2Oa-n{?r=Kc3?-+g=aZ|UvL zo3F3FdwcWs^&kHD{?G4je)#aeh9$P(ybHncM<_;>I=dcv3OZQ5me!2YIp{Ghv(=%- zY+F{&1hdUe)ztpK>zJ7USp1TQ&NnZljIW_A>D-W}R}dEma+@^WHgQLo%Z^gO0(? zb=Ix2NZtfx=B|xXAu2b9Y+@T;%0A4Fm-6%PFwN42V*r~ zWJ<8z^2|QgP6iuC* zt970ezr^-9byItrS7mKjO?9?b)t%$ZgB#V<2i5&F=E8^6Qc`Ng)M{d%mQY$BhJV^2 z^xNkzpFMf~n+FhD-rwB3{r>&8SATx{;oX}bzPUwF=wS;iK?sTm&ZD22>rdF3HaOdA$lWi=*V!wV2c_-0jI#npy#b?1V3hpE3svXq;!^9H59hs9 zZXPJEAtpPelYZIHO?chOTu-@2ar&8lKsm(;Iy&B8HtN3{ZK_P*obux?6eC`&t@>Alp7#7|s zmFkdN?Yh_CvhJp^l^aJTo3>W(ikd@mHFZwb7HaamO|wa{dM;W(w@s#%&6PfAr)+XA z<)zr}s%@OiV#3<=x~0wV+0KH29(+^HZahWU7H4O>1kIAqfW)gj^vM7oo<*seL(m-{!nilh@TLVDVtuFcITbZL9Yi<)D0)?&)TYF@uRN!y@;avR5;3n+f*kDuA{0T{=noKu zZWjR&%r`_RC|Gc)=ad1j%Iuic<`zWV0-|nMyc38_bBpR-xhb%yumlJl%E$q9V-KS* zzLvQ(uNT9iaw*1K=Jf(dPk!^87f&7lsa*f@-2)gouru{dqzooPo6G`ba2z2Mj=F%z zTQkoG3w6y;m4(&yuuy?pZ0)KJ0-|sR(Jc$r14OK*14N8>b52o6XaYnj z!t*tS%1;&$JjRUNbT$4_>5HBOM6Cm& z9*fm{Y&t+x>j9zwh#(?%ckXKLWq^s=1~`%fM9y;}tu9sZB^@Rb5N$}@2}Ceav~>bR z(*YvowAR6y0UM$80U~%G+MYvfph!Rz*#=z&L~3UuJch5zy11wSegF+L1e!`6LgF8J zG`ei1*HTLsCPMM$kyVlXv`NF69N*GsEsCF!fvLop3M!oL!R+}%y-V+I{&IDOmkv{6 zN0HK{v2#enlttt;M;MMOSQD#3vv5=e&68$*-~<< zgj_byhrm(c46mJ|1YQcw&Ha+2X5f{=0k7I%7`=;J?ZQzt`-bkR%!Wkg7rfiX(?BZ$ z_fjSWx)c-@7kIYy(E*Rqpx&WOY@=Bh8)7#Z_!~?T{5+*Nb{N#SGN^{p;j_w_qL!1) z?G(k{cyocSbf9YiUHwc^v+~;jx_F0;bL$0N7N5AGT8Xo?R?wv<3rn5Q1?GL54vKOX zgg9-`ITHrW$vn`tB=i_;CIhOE;1#9Q)G}3W@%^?~(n8hMGM2JfOy?FKd z0f55wf4sf={^tD;-(Ousx2xYidHz3MzuI|;H#j9Y$5R$00H?nvxV9E0{_{w185ng* zaQGjL#g>~=dx8TA%KA?vxcqT~UZPyRALYbxTt>NBqFmdfoPRXRVZtW`uR`&sCu-_t^wq1Ezj;K}-oLs2<`yy$cOTk>f~GK5xN@q38O+u>{?~eonS5Y|Bh(hZ zW2QZb|BvaJ%gCWQ;=ey(W~m2e;;l21hNJha?qlZAGr^2$aF97L)7R}H{x^OaH**{R z<$FZWNYm%|k2?D<@l7zJE-*7evASQCXqvDm zeL`L~QXDo2A|eN%9k#}ZFWRDDrk1JPVdlwiU%YtrkbU&~Z@&AQzqwyPZVq+~3+%>H zPSCzXyYO~UOCy#`Y;ORE*x`83nde^0rux=YLO{&26%6%&wUvwqb6y0t3fDu;1?>_a z#H)C-<-OlS(3Yf*z;gjAZ_;CtkXY(|7!sF}h7wnaK@#cR@3dCzz7!Sxi38wq$j8 zjWc;_9kZ|{p9#-kO2XbAO(LFtL*IunVUrBtXQg>{a>Y`}(f~15*(pVG#~}7gG(CU) z>ajZe-M4SQf6xLzj_{BYfgfwnTUTQ}f|{8k#*)3;I3=etNs)yoG_<22tftcEGO3-* zq{rLHS>r(=VU4L#K@rrXOqvb}Q-_4Py-X6*xSYx)w+A&ZLCqaOtu?qr0`?x%2m_j> z>3dRZ94QAmsWuSRFePGTVU=(swYdvfxUnp48!OsII7oslkT7jJ7D5cJr!?MNjQ~^1 zBu+KUtffqn9^jPJqz9;bQWJMgQd6fwQk8n{0ZvJ6QZtTr>w8kO(x~VlJ;0+3QYLlmb>{;Bmbem9 z_~1!VZ7h|8LB?>#!$(*w`TbXay#Dt6pReCM(kroQ zoM-5loJy`<+-9kXmVp-Ak{BZL5B`>?YgUVXJekl*s+WlRQq+ zO)6;*1&<%sN;ny8S>EAPPr1LJY9$YxbS`^Z%Vw5tQYpv{|2U%m6NGQvpb+Arq zC4JONyZNk%qce`c=BSl`)45hsYiwR>rFPUx@G&+RG!1*LR1-|ok6Ou{YNdFtmGH_x zRx6!?zu(~`!M_wfRB9y+oZJB?9rGDZ6(ru)N+@j*I3YrVl3J{6eDNgFQ-&}NiCcq^*ZN3&q>1Zbg2Es*)tn1$2>tG#SC5?0uOhyP}?j+#IOt%#l-iSMZkYIGKgKcOaW`7@|V z7UNSthno5bYT~1)@jGhz88sQT>w=noftqrM8aeSN)L`JOdw?3L^-idXJ8B5OfZ-N3 zeMb!nj0qxVXpEZN>VO)5pr${98YR?}rAN9$4G$PDQ3D4xw=G^!V`_5!7BwyAOVpq- zUx*r9Eq}R1O??bClc2^akvei!hmV|c2Wos0_tzgq4RP(^t?l(U-(3^*>vuS&uO7R7 z3*;|p)`OeJT1HKh-HUB6&1)1|ZgKZZtr(}Ea~=VKBc)kFR@Io@=zcga!I&n!Z-!h1* z`D4FyT)u&lbF&=}6rG!GJ~K+QO&T8hhQWm22WJsogI@oZ8KU_$AWfq9ww0yf|wMb!+3clfcaq;haSNsfl00TT2uVa;+M0g@C9G zp)Vr{^&<(lct{Wf9C9jVHCw&tkOWQ7UOaLq@*i)n{{7}JS08}WpWl5%Lra$m)Q;vX zUXe%N#mjw~hSr}&L;j#4{Y5ks9;YF<(~#c93s5(qAvKhHG^9^7l=Yd0mV4sm@6pgM zUS}Fo7c>-3G*pF#5U)b~`*9jVyi6AWb=k0wX$aS8P5)vV+QmzMR=jR$NTkcTord}y z4YAm!7NMbVpN5`1tc)HlAJsS4U%kJ$9U)XrE{sbE3JkY!>pQ`D+zAD8PRJv z4U&|xu`_2X3hSoymX9W>%AFeaxJ}#MB!z`^Y)igvl9Dt@O6@S(#zuN~ckN@>!n)Yh zyhxLzY&i1K%EI+BRF7neKm5=pPg>{wkai3pl%Uxj|AI=_eGNuQGwXZUVE%KD@oV=9krQWLOM!azUheC!iTwfPfJA*<4)BlKol+^YZi!0^+o`nOD_z z0!=W%x;#WvGzQOt76!A2eJ@NrU7*RHuRmtjPnD#etF&*u-~(spHGYtz$)X5BiD5Ee#IJW~)_Dn+kKosX~o0jS~+&znhr6!1##Xv&Jw*7+rwmUKx zh~lX2BsA~ck?A^WT%IWdNpW8HD-ffkErZguZpQR*Q6KfYTM&52EqtWRL)u9uyucTB z$nno=4O4-KNBD|nrA%D2l6si_2*)Dafea?Euu*yxndaJf3?!L!)wjQU|A2D@$J z>~RCNqcW2RQXN1RK}XRoRpe&G%6GAZ((9V73#3vVF{ho=Tyw-n$as{OUnF&;&vjjN zW%;zVa(9zPAS~S?wi}d2GLjmFnTpRi7i?bg*{4CtJ(SLz%(naM&Kg!<#~=fI*0-IY ztgS2mTt_%yn%OvKq}GZfl+f_4b*Krn74&=Q*celgjVL5##b5K&>)R&s&CbBjTXcSoaT_%n!AtMj_f8lOJb)d*E^8pFifk8b4A>o~~7NWmD* zaP4xD&|bfM{qp4_bCKrl=`QWc{BZOBySD)9U*%^1b~YQlcn**P>zL}91LoJlIdo>0 zn|-$(v)QWQUIEsIIOdZu)+jSequ=|uY%_v@lj(L)W$$=QUt=h^e!t)B zgGV&Ej;oZ}Iv2Uw7dBg!g^~CXnAB$y>OPz8HJMxz(5zc*CJ;)4nHO#9F`J!FH~X09 zetEOsXu#G`bQCvLc8zg9QT`Yn5vfMaNO+Ue`Czf%gw&J zyV>7ovkgn%X9;JGAMb<MXbsw;GGGH4&btfXah6$D7wJxKpN_C^QD0d>umhOhv>TxGRgq>4h zoI#_8H{94Z8Z@@;q){8&Xlymu*tXHe8*J>xcG4IdG;Yw?r|0sVi~ql!nTxsl=9_u( z;27Plj(YHSE#2=lYt!wG9MAIpA|N(SW!UMZcJQN#%1kIGYY9V#>%ysZVO|ltlxR6< zqp>$ebhwXmrrQ%+Oiqu|+S^H)F0dQp|SzL5^Yt!S*d zlaG5A@-j;V#PwR88aUON|By!$umAkZqZLrQza&vVy*PJxOxIr??FvQpz^SPFd#dpJ zqs7Un6y<;q#M>oylqz+K!@#vIo)CC>m5(~I3mnQ5y`(>|hQB}^5?7!ccYO-c@&3Dp z=Ws{KrvbCqBi`GuF75%r#R2v0sFcY?X`|?Qhl}u^0W_>sc4FsL`d~a}(5Mo#A;VS` z3{80WN_kVcS}>CP{>m@dD34SMGQlka=qD_4cY9ntbJu$YDsQYmTHmMf=~ow4#b6Zm z9k%58*H{)9U<`f%n|It-SWCfc6G1Knf@Am;9&=DqPv;J_dm_t)(4_nEDf09} zyz9B5{jMn86g98GO}p$u!XJ4xrURC-O`7dZ3!#G|u{DKXaE^Os?WT)brlnny<+H(R zCU=rRbiB$gA^q4MqM-U;QYoecs@^%$@v=Q49pX9DKL3G!v>z)Zn@v=XpV{7!Z6)Q@ z<>~h7qiuyIBr-xdivggc&_QqntW1U!wv-*{W9`Q) z9Mc1;pnP+=mCT964@2hVsx`hzvBkKmU;?xRsh<1TpzLlfav*!Nb&?r;`-!bxs-Bh% z-*w8%UpB&b?eJp0U%TT!XVJ-!j2;-mhS) zqAM-V=Xt>h=xTItr6E72dzS)H=JLzM#9#j;=h<;;OH+xizkqGeb$5-ws$R4f%iOS~ z7&~Gmf5m3L`8vSd5LjoGHulz+uP>KJ{%-C}{Oh-syogcqtqK|6@k6auTFhdYNVTF| z`^FZRlYWB&=MzIy+%tYQbU5Ah%x<43Y{&v#x__A>ll;yHt==)pv#{5xtnMQb7NZ5z2p;$5mn;@d??*?v|xB-otHCews#jJ$>d0 z@QS#LE3+ZAjrsZfg(sg`@ivx8@p^%I>`ABk!)7_NqIX@&WM=yQ-)N=zS6ezgO6`=_ zK)ThyaHWO4shE*tUm>vlRYqIg3zVqQGFxMhU+B(AJ{rm!dQ@BNIbt-1I!VaV|Y`ptJzDr0A^ zi~H6S#D0@@(t7cBQpS1o$)Hdts@L~RGtoBFbU;B;mUJ2^XbaXWe0v+)H|LW~g16IO)rEC+>~$vH&#e=&GbV+6 zYOO!F4L{5TKKWcf9=z>Mz2d%}?_Ll53ukYNIQ488zVN>{H%4isQgcbrmWg4C2DdTpW1 z0I!-hTha+?dK-5hJ=BkG&N9{!ycr(p^fFHQpIC*Iu|B*e&CA zhI3;57#|37?YXIMTDUUPyaeT`OQt?9LR!>iS%;$?_XwHtdV>EeWxvnKplBBP_Z8kGpz z@k;%4Iw>R$Cx~CIJU_;aU$LKYdCgv_TcS^)BdBGCOs;xwvU5*w^>n{IZvOgbKPea? ze*XJ-aJ9VNDGWH<87Lo_ip&MRxJi*+Mj*`I1k54N#9^%pMv61nhD|!C#8^pS1oob7g)ME@WCtK~LsP zWd#&|SZZHoy9VIdT5G1U(HVnewD*hr?8}V~%f9x_BQ=%v$`=7}k+5_S8S+ZO$Q7fh7zlEZmE#~DUa1qm8!`Ly6mT=+smf?1c>9?3&MhUu z$afXpAd(`iD1Mf!Gp|^sFDN0uTB5a)cErUAb(MxPp>wTf2x%e5?CI{R`__WOTzUBx zI>m;WLVmB@BS)jfE^uQcRgD4|t$lB$J%y0gZ@cuto)Cdc)%5uk9g>M&RKo9u5?Ss; zPcb=Gs?&`8B&91<$KRhn0OvXgKCxR+!s~pW)E*4`HAw6{&Onet0y%9mu^5-zUE~DJ zM83lw85@z;ukTGAcCKUM4leFZIse?;*xuUMbY$ZT>g@LI=-yVA$n$j^9dsbZVrT-T zOVBs0f#`Qoxs_&Tpi1<>=wcop?i zydp%jdIUSqVEz1J?Z}KQG9R?OBJInNUgjctIUOw}Xya~^8+l=owzm2n_u?Q@g)H3} z_2Q!X2yR6wTfRgMj9rNt-E#%gmRwCSVnGJrlO^Z1aaalBo{P2cY!Pb+kZg%{QL_$C za!O6z0(bF?RzcALpt&P_ZL}sSzzGgGGmM6RERBu3_yEuA!r8K@sy?8&E?qN9K4kRM zFX;A3wD-;t;q`u|(224~MD(Sl{h`a(zXO5An=8hKJ=s>}(`SVWX>k6KY*x*PPMuMubW$+Q4ghqwHNq`Mx_xl!qPk-v`?f#PBo60 zl^V|4lwl2yuIf)%;+oS(TzbG>LhL6|3u|te)!&-a=X;4-LaC2%C&96epXhUEe3!BJ zifmr1R?;2H|WNxhCKIPtwvPhCP;GnO{_PFN#3tkp9#->>iiA zHqiyTSq;t-y?*E)Bt2!_>IX}ISx00ZYPl?UQ*_mSX`*V_aRPmJ)TNR$;(ECzL@;(u zG;d9I)zwsXJ)%kaT@(E;3kg?#cX8jQhQ`;8)q?k=g#7ULhsYG*V-K7-gMP77Fj zE%0iDS*P?i;?$1OuVBa!vqRgSA*+3S6nr{9>DgNd`<9oHMBHEaK@VZMop(9f&eFIo z!C!PoP0eumiTsVN&$DhDHpsb-?X6GJDiKqSzx7^%4DS}spENvdYI$Vpb>YuIU1DqR zj&r#`uq8U=sJ8E$eu*#($`KnvMZq{2K>urJgSU6eLRi8((Ko^Zo_*QPV z1n5DF3#xF(09WTQpfxbUAR9_AeD*Hmjo@Pc8_UVHtaEg=xM|6vLt~S;jkrV@GMjHQ zP;)8psk$b$>7-A^W7#`NdqSGsYhKBtT+-Xz%hfs}7m-nA_%G!w`48UU^B-pfU-1pv zR*9V)5nAy#n?*N`dIGLbgqxbT0Hy{v0UQ1uotqnZC8{h;22K3w(ZpU3Ax07;hNe1W zb95o(;gO0~3@eYwUXGvjLdeVaYzWfhxzA8S5Oh_kB?&6qCSd9(i0h@5b^FeJGuHbP z^6Sam*b2n*!y<9hYi+#H$zCF))G1H{dvy`!=IQv)G}svvxhBpRluqJX4vbQU-QH6dSoL-P=U*BCk>hT~6& zeJ;d+h9 z762)LgAZ@1uRv$ay!WoEk|fz|L*+9*p_N{V;2bVaZazX!@dd(9ODzvuCzzMCRoOvNG-f*jWdd*k-X@ zQ!Y@%OfIMlqS-}lMha%dkNuD#S5*x7soUKXarAKm{PH`-mf=atfO+S2E_)tD}D3eXjwknt~ve=G~$r?2S@ZP51 zV%zEe{Pw5wUi@cN%TEyz(YGs5y>2-RQftL!oc|?jwKwb`QWxBY%bHmNk4?Z+(4@t~Y4v ztkVq{3b?=drhoHo{s5|DMOATq?z3 zl=Fg|hj|*L!$Eg@n1H=Qp8ipuCQO2t?w+V^)!v!nCgh7D;(?DEE2&}KUzDI25AGcw zm|po;81n&gD&0tzE%+kT0DU{T!98pE$mu54>)>6u-|Csg(n;kN9~y&Cj*55{E_>a! z;jap^a7OPH&-T@Tsh+#YK&4r>M2i$=2>Ayrt_cr<~BJc4Y46!@byZ}FE$lTAu2 zPW7yzFEWU+7MO>RxzSg)YoFWXcpMIase=oD= zjvzZ=dgnf`YkjXqVK`O)C`vUw0JW_DlGOC*!fGI9A@CVo_OY;E$7)_J0camnMcqIv zW`RN?^m_p&TYDd-r{b_Qeb}daR2Q+IHLKfAX({W!K#)z5fQFc@45Di zIa4I#6M5tzPy4PK$~30d9*&_zIS&^7k|azlUYlgwdWLkyymN4#vJoQLZNUxq#W9!^ z$Taei*PA%z)~Cerc-fKj_T;vDf{=5_`KSMbU+bzC)G27&@T?K$#*)jv2QQ14NH*WB zn4uAoG_S>&)dv$ZVoKSD>!ae~{x2T2xg;IZ(A-CT$LXQOY*39{@Yt_x6${p2Rw}BVG6ogg{eRF@f_v<&WD+_4_irPjx7T>x3 zUY?vkzBgvov4;h(7z@4TDKa{~UYF$l zyS2Cam$T)(Mjay9$harK`|(cA)R7QvwCt-1Ep2YbR2=VkA=4qTJqc&(ly3Em`4qsu z#2?PSIF)HQMp7VOi?)%@4-)7+!n`ZlxlU zT@cJJg+cG%j>lXB5STmISn2&*(?X~!`TR+iUq~oXO+`FLawy?vvY@H(FVrmySAUX8 zQ%=D^%d6q43Vm!e-IPgq5Qw5A44W~TDOKG5LETSam;Drud<|P7EMAJOwYT6{Z{WRy zbD$4yhy>+JFcmkRf1XVgU6ucVdSAFgb2x)Av=ytK z4x~BsX^D#g(`+il$ zQP0-_yH5avlo}Bx(xMq5!j)}?7+jM8K8ecel^rB(f-(5BpWC|ZywyF*^g6J)Ikhmb zu=giY?NZJ(;~6uz+}$$(a%xeKMgc8dP6vMU3V$Ueq7N}!GYi+63J)+TN3nm~LOGpC zk4&4<(N#lz9!Zb%DEsSDW!*_NTF&)MJc=Y>;*K=5_ zetd^IEo^FJ_#4sp99e0H3}Qs$<2q`8fipG&9{FE9R@iWrcbc*Y8wQc@l!)X{xNw$X z?d0PUqx@wXNe&?5hBceV;6bN8y*Hps8(z9|giOl3Ow5IBldhhbA>o@sT2@;&7l zWHcoaUUmZ8-_xuaCuz*Apq#ww5U#B0g+>1tv)m)VS-~W35WqEvV3WTUS8C>%mH-u6!c1I$1Qns#GQLk}7DF zvq?u0m6y}76qzU&h`D8+tyNt!x}m-DDOxTR^MD>J6Cd_w^<#lvc)fi-8Q;@kzFs{~ zC{+^SB5I5Y?_y)G-pQC{F}kw zb5e_ak}zwg^~rrXh;S*ySy5G%PL{>brSFOUk8e+hbX@@!WLNC`|4M(=Y@gQ;CNgbK z{uoRRD4NWigDOO50*Xb8lLuKu3EmX053Icma#k0udnp&>$ZWT`g!=>uxRq4&#W2+* zw90m(eRxE$sr~-6BY7`shlxBT%|sRW$lc64RI|#11aw~U*jw?x`YFetUgx-4=I#{hPG=E1O#OiZ%jo!A`;TVHxdX%mw+E z8=FYH^+K{QH}9m8@bgNU#%(IU#5VtOo5P*4W3K26O!IPjUDq{-H`y( zuLPdFddng-?W`yI35)o}1l39>LLsM;$sEYSp5(pK50(wey6WI@LpNt+8BQ(Z`s2oq zls2y)wN~0XIXt0(9L#aCu^lIRM%N|(I~1w5hF`8=*lFR(8{5&LXfy$?O3Ru)1NuQWE;= zu{e$xQG}*%CY~SpFNs7YriYnI4P#}yvcO0L-dr?5Q&sDhO^CmiZtXtSOz?|EKoOU4 zMvp7_RrV`%s|1cB7*c;jV6T(0Rf1eY7iOxfv6AoUJO_Zt(29KOVx_J1H` z&LrIhEGbD=m{k-n#eMm`VW4b&!b(8etgz`nhm12<(TbG(oA$48?zh<2)h!wXB(Zyf z0J~tnWupSWSg&ORk4qsfC`d_W6I#Aif|BC+Ef!wn_M&d9%lDR6Zb)0XywYDzw5^G$ zuf% zZ=r*`E@_cue@5L-96nB#NOJA#+ycFbT$%Q_aE?wS8O%0AT3(l zW6g8PaJ?H8()=%lSYxopilC}~TM+H`GsRpat=3=a{)}cZbbM1W-3StTR?M zTd0aBJhmAlIpx;X?l-mbk6w&4Fo9AGEQ8yHr?`S_s^M(sXcO{9B~4SD0Gi)#{xfS)U3#jzxVbOv*S(l z>{w#}O=F?o=xIu}&m-(8yYkyl?>ZBs?l}J2wnzW#=RdDgklYfQyEoOO^-bh)f%O8~ z9~ZSnva)mebe)=?HS(~MgQIH4G!K-P2yjNy!KI!GQJ01nhSMSqY!K6_z%YDw2@)+QmO8liwOg{9!9ivTo40wlFD%bVy5 zRTev!TH`*OeEV}qUj>NTzwoNLa{y&EMK>iZ+-6m1jGSTSYMhGx#8wDWdSIXuMKxES z-q*32=828`$16J}`H^s#Rn*Zqh zd`}3xC56S$BC&>TU)83w|Jektlvt|@mu;q=Vm(DORvSjL(_5!W%hg{hyXx%}P>|%r z`^N{J}e62Y?u2jrK=d*FrHyFhy z{hrT$`2$DjMBm*`U*{yfE@$b(>ta)cajSk_Y!&_ZN+pK%dQ+_-QVP*JI#e@jK{8wF zifnea=SJTgVa=BKOKcgX3{70$9G57*v3LMqP@+&HPW-VV^NZeAld0#p~sAv;ii{5l#G9H=@p9~prO7QDSi-~co3r+r9g1AR6dv(D+% zx-1DTxCj!Q+reEcFaUWP>swj11Ndg2l}rCpv=fXu{M&HF7cqU+P?ZIQdZ(&%`+YBs zFjVUfm<-pK>pR31uIJ0U->Z?KUmg$6oiW873n&uh>H~9v(%|`F&G#=iB%_Hm4?OU= z8`eCs3rl|_v*58-GsQ54#a39wL%}VqeU*l)a6HH)e592n@bLrnbQ7-lOrK(ECDM2> z>kO=kwzq!Q<^N(LKpR;`X(uK^Xpm_odP=0 z4p~k>8EYr+o++fq7PwfwYm zZSC+b@XB(?$6oHGgOxn%$U{0#I{|3wc?289RoR5ZR8{ z-VJ}=P|sfaO%3#=*+k&nX)8gTk*$kwB!%@WMeOwla?aWIM$iAh(9IG;NX^^}06fRS z{I?V}-+vOiyI%F`SjV!-Q2yB&pa$ZVk_555T}UpEcw6T?m&g>vSZpK+(QPzT(RSMD zB!q3^JyShVyl34rW5NI2$4Xq+`&;%y(6{zg{;b!L#PuYXsGR5DHgWe9rm&M--gPyj7o+f_2T|4i-7klMjC%fM{L432E{rLQYJw>kDj)0-6${{F-(9Nr@CA(S`yD%-07V7?B=4bWN zYA-sI#eFV0gI%%}+R|K2;h4YlOB!=Q1sR60)U&YrXin9g#OPA?@big?2c<8?^^w)m z;wePM#GRv=z1a`Raq5?fIBXEp37?I3%YF)`unwcyk%RS(P*@m4E8<(-2w%0q zUiv`k)$o-M*&7dULz1NB7LWT$SLRg$Jqr)VjWXLWM&wF3J|^ zn|}>Hro^7v4(DyhIM280@~O7j`lii$eL1l;j;(k&_T}(%HPQI-oke*HToe0H6H=$Y z0y(jFO{bSH8*noVPiK2EVizCnBS(JjJ!=o5iso#!l$tMjO=h3uxoW@IKA(vSL>VT(vtEip29!2Lie>@ioIEC1A0H&T_u!UL8V|yoaXX#%>U{t{ z{yqn*h4LefwbeF`m$am{wUJZofCo}gc3X5Z1%sq%py&c3_ zZpo*VIqN(j?3F|W~%hOMg>-rZLIw)JyQ+<7YqLMzcow_|Fr!*I|d9-+my`0!LyA=5YC`R0S zDOjC&89(||ENHUUUgklSp#(G2N2ljf;!4n?1J2#h-+S9YsrvH#(d6kEY z=-6bRW==|9ovuO$EVy?ed)Rl;-<*K=Ja2@k07$n$jXgTX#G3+j6&}tmZJ?$64JyH> z8g}j$Ryq4CGxA^}%&N%%{jT~LqBzzBBCsp&ih;#0k?!Z3=oJ!Ix3ETx4JNN8ff`wb z`R=cgg(BD@%@_FWTQmh!n(fh4HuAY7!WNEE>2@t-7?D&$w9{FWI!lKnW;C!z?lcV~ zxgPR{OY6}KfIbE9PzL+Z9oZc;i9xLg{_ZuAFKE6^QR_J!G&l@rHZR2=NvG@M{#8iq z?cn=K$}IXsSd7q5>c$INsik$<-MylI z!0xh4MuM17Wml|JA1R=JO9DAQ7h6jR6WdOe4*;Zi$%pI(*1#P|y8RyX~OlJ`jFGhR)~j)x-X0p5oq=nIlD2$gMT6k6$EZNoZGX(o08PQb46Q?%!`h zQ{2czw7Wp8%~9C|0|oaMtZ{H2%&|x_s%K(pCg=h~UQJ*U5B5XC4yRn7b1ts10qxs; z0{VqZm`{P>(lpWDu@D6bY%{|CqDVCM9`=m=8h|7 zCd9TryWYc2+$)MmT&X@}Ti2zQou=VW>QT`G^DIfI{czJl!mdK3t@?}-A`&@KqRld4 zW`^2B!3nfz0u|-RXA{@h!giQ;z)|F0F>X%Xz0E=L=Y|`Mhw)Y*TH-TNx88Yn^dAAj zrH0sN0F#41dZTCfRC(%!{4j;|!*J~x# zAQmtzrb(Jn4E{I^w81B@=Svv$=%OCFFw!5ES-qYMy`hgOPV z#&E!=zkC3Nfi~Y;Qj`Y!o<&N6gwY6`(Ltux5hV--94jN)(cY2$B?oTF zqDZ980~l+O2D%aeda;KJZ1+SgW(syOM`FM|4rCKQ16V?gVS!m6&pf_yDZh>#Dxy9m z_)z}i6Vmp|bJVFNC0v&0vd!9>3mmg*z9|f@Asi!^$eu|IJ*-@rzk?FuW%`hAEg5#{ z;}1F;arOCw!ha!HujdWlh4KZ#&6P$f(;U*Ai2Ds2wY4RLBW}e!8o%n8kKCVT&6M&s z;ew-&Wbn)0j9v&y?jMOc04}F#aRgbuiGZrXnXX@G;js&$NREp%lDttQu5;@tx~T=X z4Zmkz740xT<)w^^2P>ExY$K8EU|xxSS`T#aG_*s2Zj$zQHbOBw{G^Gn>uobX%2H3~ z35;N!xS$JwAOiKKs5I7FK0d!kM`P|ATsq)n_j2oXx`81Vq+2_^1r&06g9;kpJ4B#Y z7UF343Idr%=2oz*D-;m)ug>j~RNk&75v~|vpK2dD=hT&h&y%LAhD0fw00u@9?=S^u zol9LHKO|NcJh(eZ7qV<_WHtgcp(!WVOmR|Uv zNN1jTy?mVAZWjc>0?cR&H(bO#D4zBIP<=!m`9MG(g(8N+-s>SIY%UTO2>1gRnK^>N zaV_92;kz+n2H=sXRMhno)*5FmcolImE$|O9oe-FLWY6Cg!Laxn84B+?(+iqL8;LxJ z2=l-JOP)oz)NA@alAKV<(jPQ*IIwjn zhZcQmu-rL9t+u2qarC);RSl%t3&==`sPb4uOfuhzBXp|enIBxjdS+oUWXQ6iF4u$H z2oB4be5n%UfZRUv78yP`0Hg`_2A~9H0IZ6j-hGD)!7REb=tW8d9BM2bvN<4DAQAn5 zR;hyHW2bb(Hll4(A1-oTq z){6iTV(U~RZyEiiU@!IC5zNiUDc#%N${7dvRkUetkX$`+kpXHK5`Vn9KoRqu?SWD2NkVk)W=1>{LUJU&ClPpOp%4IWhpHrM*^`_jaK_)K5yQYR)gRqtxSZMM zeO<{$RmO%^dx2X^ktweRhM~F$l*x^|o?cPR2 zeAtpH0qe`0=GY0VkuU|J7FizWdQW5k@&n-59w7}D35-2*R?$3_s)H#NMrVH)Toaax z+)KD^CpR$A{}IVB{-NAJ^VtbU3SpWzEPvtTJL{#E%SUfjQ#MpXI|gnQKxb?o04?EV z$y|{(>fqe1-iI#=gY&VOq&BIXcv_jtUPcsFO9U`m+`Y$rDUHESs%*xboDJ6~8=?C} zpqd2EUDS1UDuR~F(Kn+h{ttXq--PEhO+StKKga;Bbd(zLB@P5${=GkSl}Q^73xURa z`WTHWP+%yMH~cW9d8K+dB}oPPZg#R?&M2)j?GJbUUuq%Xh134OD*LYJQ{z`1!HLbS18a)@-MU$e# zv3hjo)Q9*9-fB6QUIWb@^^xUJ@t}jW1aPSmCJCpBnnc|N+be3)1$(gp{Y={nH9N@F z0bGq7W64SWZ1j|XAo2f;4X*rll|tlLfI+b(qG-qB_U0^NF8M?oANO!gmiDQQHO!QX zm@1t7hKSjrHkAPgJ5*r7juEEPz|vOY8No%QLbxk~pkzqr3{!-T2CHc)&C;*9clkSt z1tegJH$;~I4(tG?5RHi^mc%YQ0#6W@`z2wgPpg!APemFG#UyOiB4>tSupHS%X!{gi z`ZnNZIhHcVC|3u!1AYRqdug$#vf5=$IVd<;sAd6z_9YfrM}6;-gCBCw z#&n$u+B1noY$;(GIZ$>D7$1?`m~sI9mFoUnavzDw zGHRv8y%nMeSLJUMMGw!Ocrr?+d|iP*&4PEjHnT~`Dav^;V8gQd(*HmJy)k77(7F+! zaDp8s)}OAsG!j`jP;xbWGQU2u2kW9!UN3-r5#68-3v;#iAT@opPnliPkD-`JA>wcl z+xHPJAYUzU@Bkn$n;fQg#==>`B>j^KHI%|<6pn@Bk+=Wh^?IU~bH% zm-hf=!j~WhZ^AMil_bL5Ajy;SsT_O~5S|slV4YCX+qf)>Hz5`1K9wZT9xFC3?|zt# zPOZFx+_-TV%}*oPCSisMC;d#d;-ivEZ84(ku_GO&1gXMdiKzWCRHTzkk>F8~1c^fW zKu+#ye{kAkTnIcIvu!x9c@V&GAUhB`sX3v2Bv4jhVnt+B0~PK09Dr!)84nCcq}_ga z^u$uT{)WvBDa{ygw7~zSUebRQ9rTl>pt_I&TXV3nzm6K-lp3DO;}VbNeDXy~RA{;w z?aAMc$_9iVh6gVsVhAc&pqT|GIh$%3{*Dm9%eGmIZl>Sez*bC@FrMnShE~GaPLp8T zOC72t))UZt=HWv*X0`v9F~U+HRDqut^MaQa3HBvpa7H(Kr?DA#4t4F8H)J`7ccBe_ z_=i8nItjj$W>}*@5`g-xtOyMErgXq*^;tp7L4UCrK_xuu7+A1;G+06XU!nv?nyeIo zuB9An<}EwPnop{@$tYB${)DG!r?$Qfm&iQGj94SWUsJX(fx6Y;C+NdPX#uc} zRy|^<+l<*7s2qJdkI1s-^qo>zy%oV(y4OHpGJo@uWh~XM1&YmHpxK*>2Mbp~H_Dgw z%iOQ7ux*_77^w{X+!_0CKEstQ7%d>Lf%ln9MK%9cu}RnNAO-wU(FS>y+~x(XCaemEk`0e8Rx`Lzvd{#bNL^I!34 zUiF6s&p2WY;JDH?$(E;L86juiU1e?R0DuPrkvwvF>Lir0rkUFuk`U0GwP z1{=E!pAHC2b=8Pw0>8;*F@A)@HOn5>u-L}St3)bkdmb-}ws7Y_i%7#=67TO*mTQD1 z>Fc<>AcNvke2+yC=ay_!D8DpDdW8wy@h%5$(MxH zU$l8*F)AdiZ;)@pA))dyA`^NMzPYLIXJkamiR1SQHAiPy7Ew@4tSGEpw{Dm zQuf1y0RG}!0lvadxP|S)GE%qedJ99O83;y&u1feKGeZS}tShNtGIopU{Nb)iqEJYu z-TpeNV`R+LU-QYhQTx?$7M`p$08D)eDk;tIxwV1LYX00&6jEX?coNi<^u3)^ic>LE zNe`XSlVI0xLSAKO(0il?DnImK3Yk|@gby+l1@I5_&3DirAx*(YrPK8ucdrVxw}`tD zjmh1Lgmd=OyILJPG?xX7OnMULJ0_42_>$Hvr&Aw3$UWiQ!j7H<>@8Q@3D$Zws3|MN zot#}SeX<0&OVe@_-Rs~}6#AK_YUjHBacLtv_0-H6wv-i#63S0O#75p4zFhJv*n)-t zP!y8Mi55)}J-pZs9ULNFaATPmo}T}_&TOXAn;oMDi%vWkC{R>S91)MsyvX%)KQ=AF zg)g{j=QqS4^rZu?!}QvVA)S`&=9^V2$}S?27*!4IoG>Elxh{$B13~rAhO}y4dkQca za>3K+&D&)_*7y9q4QC+ArZeHDaO z2~+S(Ft#bB*HR*6v7R>4h#`;zh6`RsCw66>FTPQoJDK7`W=|FjmFGZp&181L0Tl$U zOS}q?u+gQav0ZMmpbjT(;My?KY<>i!W9L$XP%F#kqU)Jja|CICDYS+?Ulv{`1@T~z z_3Cy_{y|E&}ssccX zuw7{iU%+bJbG?KpNKzEBXlSwR{=(3>YxiX_a1hf_5gicn3VRN*(aP?GL&=AruEM%F z5m3#-QyZMv=CVdv6zLj>UQNYWl00*U4EWZYVmAEdAFS-%Kqr7J`uO&{i%EUugQY=? zETGr1pT09RiFFBHFStsccHNv1*A}7H@4 z0X#404cRmsTMyC}tmr!12&HX8rT*t-$Ll1OTpPDV#9D&p7h317+!h?0g;qrJJ6s29 zvTUKpqI$q`KpG_ChhnUT7Xe7$Y4!C1;H8tqVMKY|5J`5XHa_x@OBj;#qzT2CZ>N z5fYHL@+si^!q#RyKA|d*Lyc(b2W%h`nZG?gTj^@LuLx?E^5lvcW}uZmxi{4hvpOotJ;GRv2IgwxL&jY?okJiWrn&9>niQ_u&%kX zkOe2Z9YYlXLO$#MTH+$Z)ISKq1Ofm)J}q0c1P8JHV1J#mrNHUm{P;H!t-KnWb^r7Qel*a33;c>d>M*RW^z5i2jK$k6CQEdN{ozXD5i;k~YpI%JzF zU;hVrK!(5HoTs@t7os=*r}b4H#VMg&Gv2i+EK{%sFrECUO@ZLF%-WQ);9R7V!y<-W zoGYiH%ZX_Fq+H7xe7a7K$QdUEPSq2XD^>PbPEamozjCg@Ry!QQh{7+*HL-#b#p*ld zVr^;&71uKk3vw>zHtTwprbxN) zwJHI08GOvLd@BJjR)a^B@SK!Vx?2MYnXr0v>}2LdnLGmg$-Iz``s~0DQam zQ3Y;CfX@R_1HjAR01>)XywEM~a}XTx!NMY8X5K{$-D0t72P{Xpn@y2#&3f~#9cMl} zJB4mF@XaLj;$~Z`fiNu(ezzsy{u3ZkOM{4xIPMS=nI$)|gNI>_t%{$mICFXKV1of7 z*Q<0Dc*>D)bp&SMlL5>Ol8)z~03G_Kadu8$7juvOAeL+u_`w>yZ0tS+(6OCt`Nc74 zv9bX5_*#LzCQCD2W8E}B3_MCc&B+b6cZ-+kN$tR`y)ABEI8nBqTkAftH-YVCSlH|c zWiwn_3KYt*gov05QpA5?uL7GqZ6ZI}nWnngR(1pDN@w`DWLwq(o3W?IDF9}&H_HY@ zu<2rF0C?{)fx6)Aby%kZM9Q)SbvUNX*cDO{4#~h42^S!~!kT2CTdj?~YphgZSFlb8 z+_y%XIH2<>|H$|?xHEfal~;r8WMB;Zkj@IbY&8omgKgmOl)BI=YZ{IYhfQKn#5ZuU z9l!4Zn^)~y(wk*V=E6G}VZ(A%Z02{C;TBZDu!WVc5inuf^M=ibTTBD5XpjSuTe8<) zC{+stNPKm5DUdN9y=Af|)a4FJ)mVr;*F~vtt)Ntmg-D#ktRQ#ZS|_DqiYkJ;U{76? zDv{;be){~NRE5cQJhR}ho;=O=xH2{4z3y=`)tG>jFGi&jC>7ZOp5LkK2TFx#?Jr6- zzf!79N(Dw$g;A;Vs-5BJoM}JiNNL~!;0$|FDqi|kJM)Ci2)(-&N@cOMu~YE)RmH&) zSvxB~PN~w1QW-=s;}Vt!o<4$AV83o3cT?Vs@01G1c)utWZWD(^C8g3pB`a#Tu}L0? z0gNgF0agH$8pnP0f!y%ei*?)M!95!R*AITgk}8`6Cfo2Dc3eGkL@Wp*Uag>9J?sElN++f=|8aZ=;*i3o^=t5JFw z@{Ge{Bh@_v>HE$)&*nzt_!(YpJa^9Zur*t{>OnVVFFueTNH<{C3VRJ91gxDU9yWgg zMjfC7*0V)7vqlTxvUsofw>Z300@~`w2{5e>u*dG>0J*Rmo(OO`j<10b1nzc_z;d*w zI3{)%BRIeg{tk$eyTVPjd=@Nw97e47Sgae?yGF$`-wrYk9#|os`P{Y^@aTCf?PMDW z$7Sx(9sow?v5qu*p22QZFDD?ZCgVUv!m%h=Sq8h<3%7)D?4-$ogF{WSBl{kJZX9kT zfUP2mD}+-S?U{zfNw#KycMq&$Vlbfm$7m1J{9q*~571z`l*`!a-(|8#^~7rh-FDdn z&b!#NB?||*7D*@+YiPB46(O!shX zXOd5sQzx9rY2K8BX&`)XCFKC}1=Om8qjlGG4@eW16|n9--IGCyQX^7CVBuwPx@Qm} zNIM)(_Th8_?*~`F7x-{-4*d42Tf!Q4?A@RCF7qG`;Tg_H*q7#QTLcC_#29GbiVHGTTw?+GhhLasVvA;eAPim&Ur8mV8h z5CcvEndXRTI6z|?+uO0bq%MSy!#NIAuGzgxI)f8}^&gHyNJ}4%k%s@N9F@t;-;g?S z637F<;ahW%sx!MfCv&ifbi2O_2(14Mjw0RzJAMSw`EVGkezuXTz}Vz0ZzxkA%sQy|7Bg)+}cHvAJzJJJtW5DM6U)tT|Y zmIo^UINU3bP{hy)eh5s4b8eB8F!3w<7*7IQ)!_^QIyyESh^IF_oL(a~Aqc3+F+jXh zHozqI-2yai*airvC-`w@i!BJm)x(swh=h88oaEEb;B{Y;rjF* z`>)i7i_+0`fDb^)%E95q_l)&0RcD_uR~lPEus$vxz}7NsQ07fpnd-dE!3^{PR6g{@1~lgCLm8~$+Pr^uKUTgxl!Sfnr&kO)UKo`c2< zNA%OIopKv7G7}5(Ol1wz)y6W?#=vfT*_iQQBagOGrrHpFu|m67Wdk7b2Qx?=!D33e z8BvZwS7wq6qB$tyT$=#u@1fY2S`jiZMMLpB-^WF39A;P~15 zEtJmum%}&kT?E&n18km#ga&MGbffUeXFN{eIiS_x00@^9idZ$>rBKv0SWV-c1wJpj zi_{QmJKF$8z-&rZn$uIM1jP6bQcYSzwk@MZi1P)EeMqHZCgQQR zz-92I3j2v;>ds6yFahmEju}ns!7=#Q=D;u6D&tIS|Hx-OfVO7G$-V2gh$lVH@W9a} zz`AL$I`J+t$Bb}LvP87Ff~(`0(ZXgaIC{YiOW~MN7G5)svjckK+2o$_EJ18SJAh;H zG+8I9O!V_%1Ks0_o zCo3?=Yy9Z{DkCMZnaulSdNgy+5-=I4Q=1*3i4DjkGdM8p;>auxR6ux+ z4KcH7@sjZ8ESE6l%`Q^FZg$M@gE(oT**vDltyi!R<2=HF_K}lQEQXNL87vgj*oJjv zRbygdoA-7$oDv5fY^*CqiShVlDL%0+^3p3{4+AIFx!##D!wUwVQQ!kPfOgEIa{ml= z2?3u2^7R8>z>0Ath9X@u6=b5Mb2A+eHnE;x++b^zS~w}CEsmYwIPyxMN<#wDBa>le zX0TPCjlT!ei2!^YN$KQ;l|i_$&Z7|7IBgMt9ReFfx06-46Nm7LGbx>TVh2t-0%9_=w#HEgKnjnw2>2Z>4s8IyhgJbgHTV!LvEv9HF@;_I!!Y*L z)xh5+@hN5e!=+dN`9NmJ=mGz7I2^b5O~lI40O10j@W_oNE*uI1ZnWoC=MU5D+f$NF?_O9Eq{UpJ&GbhTzpq*eCNWcd^Cp91Enl0GQr22Q(>b z5Z6kGy@`?{c*j$Qeh@eTDMN{(YM|$X`e-S(jGOu>;Xd}}K5Qih03-Lo@(4S#W#m4C zYwf9OU^XluoThl;ngiBjw+i9j=a;TQ7hQuLp>$7kCxBXmLsqLEy6m+%LN9CvO<4nk z7>?xB>{+;Bv1QIgrhG2_rfUGCu@4=C#UJAV1SVBkY}o>*2RO540Th`ER>FLM9b^`* z82c!;yfL30U^nUJHjy>R&teM}U)`#Wpe3r~-43u7GM_CmeTfIWJ#`Jh877$zRud?m z$Qpq7P}YEbeU-mT0-zYZfL`92PuQu2;W#D)*u?A_vq_wS=8W^Jzm1sYJU1o{6l+>o zyhE7J(Ci0_U_NCZ%&0r!Qb2ZLK7cG0!EA71!S4=7N%dGr{Vn5@Q5GQEvj zC^x}0CAI+0Z*ab9*x-g86H>3175Ht#A#Jl^Qyh2;xC={MQ^*f1fa4?jFIz;!gcheO)xpg&KHlUAOpo+GG#2s2sdKy+)}s& zR$)8?3r=ACE6!;$+<-S64t&F~1#z7v?o2*em&N>pHM3>6k7TYYP z_%d0nBo4L3`?xh+jcWu?I5@LN6EJ)jXMh;0Q*P`=NCOUkd{n103}QAyh9*%XTSGwO z=EQbQpgEnD7v39dFJ`fzsXJvD7%?`gDS+o}+^8TQs~Dc?gT*04Wos?CEk^(Yw-^rW zvn7sBP3lxkQ2Qw=ANfEuONj9RE|%}W96^I76R1}}uK+*=#>p->fR7EAgVh1vi-+TI zekiax$8uXGJ8s2WzI5vmz}T)lm_>EGI%$=~zryXdfz4}i%u-8W-~~9&OR}4Vsw`9p z0_RH1d4u=h9AbF~&k51nZ3r5Z^l{+?Wg^xA_B#OX-aVj)>W)pNSm3|{2hPOSrLF-s zGgcu<(`E4}n^-+Z!l78USbY>t1(rwfmd9BY+tQsh`(nWu3Ur9<04p5QFCV0nxB?`D zwM`FnGX{r)j0g-Zj(C9!s2NS`d~gHy0Qzpgv^>umEss0aO7CBNlHb2MfUkisGTiJG@F957_~8j|WjyqO%5>3RO8S zE)ZGb=mK~Uw;RcT;(-su&l<-<9A_nPAtGrZ13W^R#Vx`GSZE*@zyZw#so9Fowy}mc zwQUrCVCIs2xnXC&TL^_hSl|MMMUG)ML{?k>)_zxD)pH0WL3%%fw3X4a03?YEWO3xzsvoU@WG)Zri z)XEW3Dah28=_L1R;RGd1VB-f_0`A`I*klMS(aaW_Ih?ek`LVI&0XB)q3jTw$|K#S* zTu79N$gjpO-c?`&I!*+pCYG_8DnAtM;`Cr-*C-x6Wj$Pv1ijJ_q&RXW@!D{FJ$uTm zVe#%-6s^W&@it(sQs4j-A#u7E7rc0=C7g(JE3AdHb3{NjC{^I_tv^^9>Gr_32+^2| z#YnQ`fCw8i_dvOu1D|&%t~4G6vA!tm5wIkGGK;d}$uWHL26q^lJ+y|20_-W$N{4lr zg*SBOGXM=rJS;FZb%F1|VG1kt`yF%56p>f&C>C=JV0Nl?Ant+#3Ou=s}!vV(3_F1+Y0*vC$ znEk_Hbl{2sl(ExOXN_=S-?3R7%WiPq1Mm~SxcP#cLR1__?BgiM6 z4xeFh-?(>dMJ$!VDVVEotFl;t0Hpjnn1x(6vdfU;!&-U+6W@tuPj`OE3HZB=!q|cD zJGgL9JV@`Nnj9kc+rAzCD1K4BfZQeyP9{v8dx?WG!0sM!03=v;7D$aHlSl_XuCp?K zP)Wd;Gx|E6ktVU3qme3*T})y|H+PR?VA-{?Ho`$Xg1tQULG*<9*%fOMAs!h_LIl#7 zeRUiw^5J3X!Sw1=2=x zn+qfEAD9I25t)RNDoWQ^6=i%7NSkX>Z-`A4A}By6F<3=e4<^ChEtjxF6p2J89`WwQ zBQ%R`CUY<6v3ECTQNkgix_LRO7)VplWZ5AInp%gv7gHA=INow#NM98GNT zCg7p44;%H`9u6xl1lUk&ZoKGa?g$#7Yc%gSj5jYIwbUe};b`ano-0pScV zVM-UmOZO}qjs>!e0(QkZie^73hn)=@5UfxidY+A>Z63f;MM*HPHmMV(DxrqjC(hy}C=h^u7EOR|eS zN1Xu2J1cG*ztaL5T8cE`Mc^J0Ps$NFfI0HnIW{)|M-doQV8bdfFV~<{P^jcX-N~@= za?{D$vYBOgie%JS5R(%NC;%TTSwN_DcHESo4VZYfln~VTaX}C24sbp*Qa7MuTbdKR z!ZGd+e4vvked41|_LAY>sKWL&Ed1fwFoKnh$IuoVc{E4xE8hzKhXcST(0)c-;DA6H zl(%VvgusD$4z{_}E|bxKp@V}u*wlzZ*jwPph$uWam=DX1ty=4BxN(jx@Q<8hhJvkM znF2cO(i%K;@bR3?WXn%kQr)1ujbV0%`dMuKK=iJOr@ppxOEF@vE9OCF2g$_)TW-hI zyM(9;h{HHJao)0425M{#@Kr| zANfZdl+0lV_Fapxuc?(iMiIetwwl2O#5rNGj|%po+9}0|sT*6Y4%`5_V_>se7jiAt z2%fnhtop7-XjUW4EqWGlmVwWJU$D+n{h4F}o=Px=gPSE?)rfpmBVM9s6z(KO!V23& z^vo*`xZzEo?E9eD&|;?)c)OEo1bg(4P#XyWzSaTVA{;pmbb*01pjXd!V7zc?QpYa4&9iZ9p7p2ZS-dpQ^rd;WzO8v?K4_llFPdlV z+nQ(LP4lcgHP7tN(>x0#D&y8XGq>j1{Gxf*+(*r`rPe-LVM^miG|zTw8~<|6v-Cyt zZ2qX`nR(YdV=ifOLYo2YNG|%+E zgXUTNrJ85I`|Use-EaTqGn*)X{>%UOpZ>4k{NT1h6c@zI@#jVr3<~=ByywAoSq@!Z@+-rBQ_yTfJe6nA$fyLtu*vTtuaI zX0O;ahj^{neZ*$0J{eS-%+ws`7(A%49=PWr{f<1fH+al1}zyk za5}Md)Z%yj3J9oFO$btX0oKqmQom02g0apgBlT+ZLqMSd0S=gn;9H z_)x4hoD0k&_X7tMUkKL}6I>dc1zQ>5S>e<1WB^QA(Is|{7s+8-xp=%V?4fKk4mML= z!D8Eri~c!Mf3&TXu3=-c=J$O!;mV8ZeK1S-T5S%Oo$0^H^ z`u-THUoujEh=3VR4UZ-5XLX6qXn+IMAEBIFI=iSAh+1ck#VF3ZEL_JFc3C;AbDS5; z!5cCQBnRxffyrZMgoB-B4hOx>j1qXze41fXzH*?R%~&kS;4NVXBRDrKo)CayIGdiO zBY^`>)LROIldxKL9MPWC(@$m2S`AM0L-f-koF@#C0*g45*y8bXqe;DT}14}Z5 z71Y>OgBP6-*&FmIsKhwH-T=u2Asn%d_Mk8ikUnmJw4G!AIH%0UQ5|+Ac03|%^CMrA z^ma2GaMzY2@tp!_Y(r&|I|mXO{Lx0ux%E^p>o?!gGD_cc1CD&DmnnActllk!GN@j* z21n_K1>uoVdX7x6ks42*^?;XpS>hx;7HkXbu&-X^%K%OmN9kLw`xL?xOkp#^v7~f8 zIM~7(v9o<`mwH(&%rfzut5hAZ=4$TZv?$JJd?V9WS94cijZFc})a6^fY@~3ePm}c6 z3`|co8@BPTUZ(dgoI7JMhXl%$QFSZk`4>X;g-Ccm(;dZTAOasyi zgJqfgHcC%n3>j-#OwC`D^a`N_r^W!Fy~;A+JU=`~>7By|;TpEbDE(*%F*tbivnq2< z(kqcKyGH4OSO^0j>SY{gUXM}w_))zKoM$D@01d_|7TyQjme>!uV|nZuUj#;RW=G8x zDa&-+Hh!1m0bD(i?bUSBN8h&UPW z5w;LDKa7lTk3nyl%4!MS+Gr&eSjd!7dMm(?5?z;cnK2ap;5c_+o<;+eRk{eVgP8Rc zR$X?HE*l(DpitFglwReUM!9F{HEn8$l_MF} zO+S2D$=9;uE!4p*HjmFBB1jxZu9PUxiuz^P8A_ujYeREmQ+!K$Fo|NY9nxZnm}<5NOTAH069|U`gw#AEkyD0VGh1aj z>%ier_rJq?KtK^tzuDusp0vSVzfZ==EJi~ZhirisHmu%t$&WSKY!Hz)p z9V(~diBDF#-K9OoHZpuyTcB(lFk+7!PX>yQ0a#w*W3`pF>8JJ>(`{7g_35QOR;X83 z*_igK;tZ{1Qa z%7Fr2DQgBwZ}HR zg8dem&KzT6rZBLho71q;Lzdm!puC!3TuhBo-(aKEnKUUnleY)q;-LKEpgi6R1upPF z4qoqLP@dPUDhoV#sV)*p04WLk>%6 zt}<#!QTcKZ{NW#nZZFHr33x8OVLI;-kX6s#HiUByO&FT@0dgPPP;>yJC?Qn?^>MCt z=7TDVmRZQ1!}PRz^e@Xxzji)?HFv66QfUH#O;JR&E=xt~!!}dc4o@oINnb;;bKgue zwFHF81K6c7`wL9JtOb-pKnanuoAswPQH(WLcBZTU!@Hrj)3aK`jW$J zEMXuNwq|2-8+#3mLa@kUgb6;x)_ji6v)`lRz!_e0;L-Y{5TpnX#C#Y$zH=0Rc{YvhalC%d7Vx!6_WBOUb> z2Ix}rd@IQb_e$5O#4pVMgL}3>F#!{I5^51h?um}{hX;D1vmg< zmIilNY1HcM0Y`)MW9`>KyNq?5?xa7(RqVJ2+S6dm3%xCg{I}b#lAQdU(vXGy_8e%( z4FS!u0q8l_u|3B+6rw1wMRIrs<=K#h=z~)PC-;bvi7I)*ztdc8;c)~rqu{Z)uH8HAjyq7xgU+1}Lfp^l4MYCFI zOJX@2Ly;jnTG7Q!l#sq;NH+F63C?`0p6qDn*~yc#x*10Iunf=*Dun0;4x`;_Mg zkmKgYsezD8b4a=Ulhv%tZ8B(su%p<%V}K4e3Rw*iie|SdDKTds^TY>n1F>s~fR$ov z@*^BGS%eO>V^-Ghg$>Zz$x#ECmZcOGF3lMZbZlB?lnWknl%6Zi{vkS;k^pQ@+f%WR zpV!dYb2XWh#&5b#1tL6{NfGbEQZ_X2UB*6=tq%M*HRu7&IL?vp zaSl-3Qdp~t+sR&ZPIDaC{4|=xAqb$NBgF}D7!ZLffi2<44zXg^LIns!r!mfifQVQ{ zwl{~D32JnB*z2nA@<%Y9^{aBUd4*KX-0NKVk9VQYyW6EM_+6Ws=>#@70`ku!>M+HWJ$&(Vy}L_}7g;Fh(?-Fm#m8A=AU-Y?K#rLYJ^b6IRpKon<{yZJN3vIE!Mg z1My;ZtRGM^XN*Ba;FN$D1!}RKEQo&5E>||bEX#zt_);jM=0$~(%_D9kcC94r05fF% z<0+OK4u$a2vEwgc>L?7LABFrMP*sj}XJ^}42uVqDs_Ny`FwoK^&`5;@>T z2@qfo$^}s+4i7J+qSZQG&&2|YHL4lo3g-?4jBtT<2DX&6G+%iN2mrPN9_MQiyx*w3 z>Qj5g?yCY0A=3`~kvQy()gjGS^B(@7QUm96?&hnK*nE|4&q)~sR|Qu^Z9GTG;Bhry zX&D3`#>We`vu}X8N5{ zJl?Tf&Ox_H(ZZ22aCK}5RGjb395XF=e{42MAg@)L{kOmZkC!f$+GE5Q0cSB|L$^ts zT{GIr>3xju&4}pavO_AU{6OuukQ1YqaTP z>X63SVB!_bWdX)Kb*=vXAAkFYzyJN`v5)`U|NLM7_&+~ZNH~(l;M_$WhIIzOEYQXX zBtfh(#dn+*F?^4~A9&6iO;tp05YNBlcH#)`tQbViDma#AX2k^Plv9vcR~v9Yepl39 z92q;qK5rTeWXj#^;CE0sL`>@mGHG5c<)tp;C^9>MjYECAIhezp;<@dYOny`1WDka& z^oWJ*kTXneSN3VuDHRd`Psb(`eX9;568gi;dN327S^ZmPQriswxO41ksS$xo!1WRC za1972o>n1&Lyq8fVO}MY!IOqE_jwQ76nW@KF)lM2j;492gUygY891Za6a~goX$U81 zaIXgiqo_K(aZ>P6B3b^aI$XDbtMzIY=K|fGkLvqCv`UsUi};GGQi8D8H($U+Y&|pe z01(t1p4G{AfFoa(5(Na#79jVbQZht*II~i+I4(ogF3F+Ntpkg2$}A3NZs#z2wq5nA zcUDY9gP0v)W25EEd%e97hLNOD7fu)(xRgq4_-hUN~VnhTW)T zG3P^m*j37;iTp4-(Fk%Y?#JcWHiVVL>B~M75NS^!T$L@jL)3?DxS%92 zV#)crSTd>Wl|9(+;lW?5R7(JUPQ1r+860-JQ#P4{I2$h5jp|#08X=k45PB{!L6H>z z5Bs;p_eDlm5R)6$-D=>5XVun6L$MH=cwjG|7O)_*Ort&RMy8AfYZYm0&88z@wYlLv zc8YBSrBl)7;u12Kq7Q%_dr*EoNS=7GkxEMo<$;gk0MJq0k~tQ`LgJ1a4(%2Ldw9M` zbBe4zL(Qqq0v+g&p{I@2*bJ792**L6VDG0lCJss!Pid4zRO{<1m}KgHH#X#NY!I6t)Y&B2%h{Ba=AfJKzX#beVaRfn*#KngtVl$9Aa% zfHrCD2x8sK@8#AWW;X+%E|bW#d!cX>cb4I_-c>N+Kq&UO(jqVO=Jx_()Vtde<|y4E zGH9J++?K}Ojd!nfp~RdD*=z9t}eTq{y43U;1b>9x3q`p_aX%oX|Q=}50{Lm`)#|IQ_%zO zQ85{~P<<6l!c{P-BuS&6Y&E^Khv^{_#$7N0z{8oG_f5SjCP3y#y$U92TLz@W>f7$+ zQ82;7x(g|U^S@N$4EsCWb7L=jJt z)JEb=Lp09CQv21hNPIiMumyPnC}6qs9jA3N>f!D;&_8<|9AqAf&c0kkz(nGv<~-2< z7VjboZD0n6k1JoX=x9*ziK%^jAl*bb#Wgg~aGW)iE+z%!!G7Y}H_;JlV2q)=XpD8#)ql%H>U#ACc_DA?1Dnx-5{p~F_^csyqcC#Zz; zAc=!==YbtOh&YCYJ_K#fDauSd2R{%VhH1=S;rzm*;(R~O*Q%aH6iV7t=Va6PGSjta zh_R8o(5&*jl-4XCO<@&mXIZ_(ML}Y)s<7cip(HW;A*lV8*Upw7&ZjwgljQ|Kx&VQh zKoCXZEw&S^BZFg9?4OY0R3~dFXRGX=aS?dmftr8-RYPAQM2Os|xKDj8siCCC_O+cw z?pu)RHyQG9{FyaeHvyhS*%} zWG>GcfJc^dsu+53lAN88+!>Z|5LM+)7k(6Ek1T-wKuSh3aJVV851 zdy7CVSgQ%tn~50OcCn9|!nm8P-(WX_jFAQ3QaFGb){In1MpSW_P{JI{iV{#)&8Fem z;B}uqF#1)JdILgGPi$OV$_Z?g9Ful9!Z=Sv%M$vc&)Rz6@hX2U)Y( zUp1R=`^7wKHXO=S=ff~YYBm#8x>3uTXyzqueAR3&abuAHxW$d1H5(;{F?^2ZHjH`t z#c=HAbIrzvxV^-UB?{t0nM9<#C>D9Q@ng*fD3627*W5pAHbZJQ`Ks9@eNElmH5oF!oIeP}%Pr>b|L!0E{_pq zZx6JBx5UC0b&bz)(IYT+oa^*7qWb1QW+Gf3!aF=*?4a_ zf2<&nPCf@PX6n72&(9_>f7hpZ0wD#UCh9~ZTgA+Tcq+|rZ-~cJLl#IrTaid|c3x3zH(QH^y*mQaMcZCW4USgU zLGuY)@RmjOOmQNyH-Fl`ouXm7Yd#;!i?b}GsV$4`%ucbv{Y3jnu)9V%F61I3TtrhB?rxnuRxfqE z)WT16+~{EEs|E?~LFf@3gzSPm#b&NROar9WpLrOs@bdq+Yl=-L<9;#QIMPBysR8j zHJPHj)>2l%#aKlu29LM##pr^cEHRUf(%F(du_u;)^ppBvtL{L^^hd+GZqZI^BoB&_ zQDcyDSQO=>)f%9izgLh0C2@S z1mTk%kwF64z=;V=h0_{A!!e)l5ak+uz?i~g!Nh<=6^r+W9dPyv+#H>w?ix>DGaV=y z#pJev1%V(s?9M6>Q`3l=ie+PJO_0$tGc-j{O)45Vp&~s+`c+V4D&)+!o&mL$Eq0^D zf&x2I5xCeQ_FMc2{>B%XJ7Vr8b^l;hnYD9fW5!54G?L{uNP$t6bGlYp%6Uw-3NydR z_BfB9O8qHjz!wpwo@_(UdDvGHkYt6XBPwWtm6_^Rv=~MHk{n6I65_Lr>U@KZeis}F*V8@ zP|AQ6wML;M+}I_iHk@vR0^oY%rL3%HWJN8Durky4my`i5Ec+A>fVF5dTz)7{dW}GL zParI1f%B-ufFJYp_+IZoJ54A$rlk=M@$o#?0kmML27oQb93K@>{ngLU;>ogtb$tJo~b^-q}V@@wB`olnt!)DTU=qo#lpA6(&cQFBwSyp#|7QA?8it5HJ-t z0d__!E~+a>X@ui=j@BFNX1FkBLL`4m#ueIn0;ud?+ zCtXz#b21Rd+HY~?MR`nvKRON&LkhTP>`}l>MawfludR*);RgT+d>YWAa+ocMox~_K zam2Y}Go4YfBn~pBpfAS66I-hj+hHs0?B@6l=k|vP7O>ZjC-X8|3P(V7z;}L0XC$yD zD_cR@#yb9q#+ds?1w?|JCH6tU(%tnUoG;7*a&)`mDJN8%-B0`asI+ehdXR}lBIZad;4 zE{dx5`AS$)fn`&H+m6HQKWl8V&c#0^CVyLAblkvS;&B_rvyYacr8sDROEC45(mc6Qofdv*N#XQ$n?GIhuq8yTa; zX=qsY%1_$FDM`Iq&e%An(_Cyb?8JjxgVaLc5OHG5Htv7+J>s!Q9{HC8YrQCb=oz=9Ux7h zs)L<&tl5V314rbgOhUIx(xzQhhry~3L_g6}n4Ga;Z+T(usY#KxPGQ&hKbE#}JR+VN zj$Cm{VNw5g(h)ZBH4L2c=MPC67M_5&5Zv%A!MzVTT^7Y;sBKgzmCdn8J9iJ_1?~cH zTxb%FEBj$8X}n9)c*oo!2l4_%m^sbH&8n{k4r(qT9Ba|z;;U-3S8KfovvG*BY{*@? zr=3E|MlBighIo=H;Y0S%$0#T(H2XOYIhLFdKR+ zBfX`ZnZh%#(Xb<9VF!dZW20*m4IViLQbjflAKzT7;4PyZ14tD2hx1LdZxY`XdH80E ze>W#-0V-pPa6vP#O*^z+O^&2zIyT9%I+wtR#hz!MojDDq?}sH3iSZ(Z-F00@NvyB*X+HWQ^@J z2>t;#$iyTo{+{D*mXkLCfC$%Jxk!`GN|6%atH|@MchG`1$1Stmsx;m#QoksDb~rGm zVcQn1uxzCO1TzHUxdLp|5ZY{F=g*=MNKT3FA!!IJa7{<4ZHeQ`LH`K6J!}swkg5Oz z+>XDG+Hag}^b=Q{eqfg!XvCWsfi&60m)lCbo9wU*@>`RgmXpzil(vV$*-Z+p!-{J> z)t@Gk93{Z%!FF~hO?HElFxYh)2gt`m;S8kUhiT53e)vJ_AATU~N|f9AA?lkHoUT|A zc^6WkZi%xFQn1oZ3Pg-RNP&z=Bphy+zA`WiGZBLh6Lhy>vu(`#)nvEUrLRn00Eo?$ zz@W3^+D%*Fh}$`Cbu&%{Ns}EmK3}ji#!gRi#8R?a%QFi z^#jUygWVH2mIyMFYMGZ6v zA!5wr#Ej#tGomPKBNMn(dD=nLT#DJ*GsF&V{b6Q?6;B)*c^9v8j?8#{(AcfZ$jr9r zaj2TZh6Z$rpJryx4^}LE4ab24u92?=_A)rJY`tYvTiq8vh!iPKks={bic4|#;$EOd zi@UofxECv4oZ?!bP=dR=yIb(!E|d57pEdJg=2PxH`|RiJ^X#mfm38-dwu_8*XIcSK zNzI@M3MYxm1<%@sxeIEYgXO>IL@s?)%Mvp2z$55Jw8w}f5~5G~JM4o1v)?hwlwS)- zB$bG8bP=lNQCU*ib4ntK*WSYy%jnT9!=PYtM++NDR=2ox2U0({-n=+&{RDk7+sbsgRn z_?}CDF*D=hI@8e7ErSW57F)=p+xht;qa|-UE&!R&a`K;Or5wFw#J{RGRiRTigH^ARC*nylr+g}R49}@^HrGtl9!KbZO;M~ zYM~PIUd0!I)%0b4V+46DTz^BD7wyP92WoNkj=KuhNJ{!oHS^(#o4@-MYU@-~gG%kn zh0BfmhNe}$s*%(kcP#UWgTJ<)D&adPKNs-Z&%xub36b~U8&>T>DUH>LEmci588QBP zIah&=e(zPlBhO98eNfa7I~qJ=ClGXqv^(Uz>!me5Ag`X|(%)m0Bro3u~alygxb5el7hVimh7M{p5+bgA=tLQER#{kS^2GQOAyAhx0aegM+zY-DC8&QXu z!Ou@!JpqlRU+Gj#P|mt&zF6EQSjfKqRbs;Ksk8-K_(zW``Gf}4Bl|)|g2)w7BXFJ+ zrH5ZWq*n-uTi87x#nxC5gsVkQ#Yzt96T%gG;N$z|PrgxpHw1anI zu^+6ncKE8I^P67~uXGKVYpNLW0M2`) zJU8XGmf#E3M7F?0HdQ5@fSl?v83nfm^+|9#c(Q8nee*ZZ7V|@T%SyndGWe2B35VBj zF?O`0bFu{a=JmbA#6P%fs{(dED8}!x*0ou)Oaj=lxci1xUH=cxDB| zvIQIOBQ{>XkqfV_%b7V7c({1*eNT+8NedR9m}=XXqCnqK&bz<$*?&6U(ygh>lYAs;U(jWULpM%N?Wu*=6Q|?o_4E^R8T1^qSb@ ztTmNH&1O75Y@~6_V;eSk&HFmB{;Y`0Y8@8`Q=gSP6W&Bt;XAj=YjM6fbrA51v?%4} zzW1PxqKPfo=E+9_e!N)-Lw9j0LpNINui&kT>RZgFrHIqOm%Wf-HF($2rgcb3grAhv zK|eDwT@@`%{ST&naF{EJeoGkR!^4A+21Xh{b$EMXW&gLFh{U6OrhA9gT1^9`56IVN zpx7(@^TfHJT088HG;k`4#C#T`+I@nb&c$nSMzBgAFTGbriKPD^kEWYH-lA1m(40co$j>6THqxR8$~MG0cI8j}Vrj%<@88%~lF zin|4QF3z=M3U)x;06))-Pudo|z)b8$mkSoIv)saFoY41a3G<3F0_h`ZAMS9f-I)^Hp0`r~)kyCzgbYyE;w z*k0NjX|G9E>e{%Dt=uYO3vj)-3_I66mKza@rflrn1RGj{XFp^n8j7F0=d-kb3@wc5Nv^w1C==J z1S`Lo?$*fT>^bt}TD%FI`W&yaicd}Ej-RnER6t&u9{8RJxx#t=OwNYtoBWGs8a6SR z3qJwA4xBaayZgx4a}Rg>^?*rq=~()yFJ%DfCNJ#njIC5sIwg0EHk45nzn1g70K! zAxD6^YLhYpL|9gx$2)co*{V{$Q<6TZ1NQfdB^DNSBfiyIp2c$+tg z>!hifUd95V%e&$Nm3^epBE%&qH>FWK@&qFBd(rN&AewAm{kj^D8&VpKKlf|D0?}xD zRN3umu-8=N{i*#OV!?zsYx(-bf11=!b4zg$h!BoyAr~zs$zMP@&2VBJ-+_; z%tqhZ>tGsre;giE>h$05Q?!^l4XXjX{W9yW53U-Dtu6`($95C*(QZ&qQA?@`u9T2{ ziml}E96I;CO-t{+%~22B=Jt!Tq!M+vB}KN8oPC!r6Ccqep>c-k(?st(vYbntBVrW45h1*bX<|`6VcFM^rz5vH)Z}A%Sw`KM5N6zQ z<7Zi*pXkL|=rcQjbRitR*gt=)=5l8I*PbUw?>o#(MW6wBUpnWwA9QnhHCCku09})kJO(z-j{Jh z+#BD7_&+|jKR;L95F3{Q`>(t8q+UT@Q5Ep+5IQZ=H{)y6y6_LfT~>9!Scu&725Z;a zZ^}zz=k*(6()C+nf%R5tzq{KjVu4pd$9Q*8R1fYNwb;`#9KjIEn$V9c242?-VM2yL zB5;pCd-+6FJYBX*|LS?wS)ebr`hj~Xmokg`scknf(t)CIgx6kO&{LzfN(ejFt;td#I)+>05 zfDGJ8uTj5blfUk;szV++^?KIUsPFq*rRUo7Ap=kNj`1BKM{F0w_nQZ-fBotq5zpnV z(suFpWpMDFoDL&z#`_cwM z$HsbXZIPZE)+=ZMMJY{;{i^44jQ0RVv75l7fBFL%FoAizWWy=hVCBTYUHWgwdnc@0 zVm?s?sc=3hTcywJw_n@WsL!Jy=md_-Ufxj!Rcq9H@4cfc;0b`QEO9)9>llA++ai5o z=@@^f48M+ovuPw|^aRi2%MR;>WKrYlZHx3v{-@W2TmQ@O^|$eN^Zxh6{`b?bFWa&* z=fAV)VUO>SuNat$ACx!eLQgasu*H5mDKegWF|Yg$fBo&md`L-2xxc@737mO@BDP^1K9LJF>FHQ=UhLl;q? zkG5dmO}T~vsmEz*r?$}uZd%8H=qCF(q2nA|*c%c$1iJ6@bn-o`ZKl*>hN9jY^J80- z;PDkj<~f04WgTA&oiZ@1S;=442^|nAsXsY|PU~YpqzN5}LXDY&b|m3mrm0;gV~C!a za(45LgbuDcc}~kKfgPUiN8gj*^r{ERjPwRSnPWg6^f!t5Q%9dOSCIUta6^~xzBKIS z#DQJP)E|@r5dXf1kNj~ew<4h%9xOR^A<}KG<#G zmO32~rEC;BMYZx=Ryx^AZSsEkd$>?FD5Jvi7`8s{Ts(>vBleyixiqOp8=cwi4VY=g zLaiZLhQbnoxCS~#iva=oF|2%IS~=9)fGf>iC-X8{#a;F$rHVDb&LuWA6^n7GP(8cu)f*`C zoSI9VgdU(W6h#(?5JHD%FQ%1Pt@UTPhvKHDh@g7{?Sl`wR^Q;P)aINJjuO~rW)Pm_ z`z49dB3tmCnm?vjm@Ai^tGH^{i(m;UqtlIF%b@37*fE)(+Eb$%g7( znqoi>4`(LFRVapTw~wF8oXY)`HZ|po$Kd3^)&WEgZ=I-#9FBG^HKHmR#}qe-aofIZ z@}90_HXv4&8fCGC>JhsLZjWeernKz=Hx3`)K}uId1ZC9X&k`DD*Ap6^7xG;dSN^bx z2-?Uejy3n#zZCVbt{QdSh4t9~?x~zgQAt4XytkPmjffxFYFxbUkG-6YD8r4^gE>Yn zOFPf)IY#RB(q-N2Y-x(oICL+uMJ`vuFZ#72^#E-<;i~XS@Sn&Y*4*d87pmN!Ebkx^ z>mq{M;)XO1`1QxlJ*=nvf)%c4KMz!n?-i1DMl*R)SkYcke$82?EhBs$@t~mk*Lq07 zew;tTsve56~9&_EQxR>&f!e4T5T!Bauo`)&L6N}t_yhEzKQ@!gs_UPE0%GKrg0u`V z+RYD)YI=aX)RIbb+wnqckovS{Xp=#iS0A^Q&Gtja5dIDWzt&L8HSWglbkoXyw|aUJCB>9^AhQml=u-AI(7 zfi;GhRL)T|qi>Shmq6Up%C>QjdZ0KHvK)J3{yXwYqR1u_;d1^z8qZj2QKCOEwPQX) z(s_>>m@}R2Qf&l?b=#~Ix&mqszR@ke>FNYh0yqdU*}!v@kD!&+b%M7qrLzi^&5|{p z;kHpOS@d3AO%I?FO_O@rR8egDKQF)=XL*0zl%Ul>+(V8y<5@weYV?}@4V9lS+1NFy zzFx;L0oV(0jHxn=sYb4Iiio&~Dm3g)P?9rt?6ICU+e><*(@|(lE$hHU1_0gZ@Jz7bM$7lG9a>*PWRtZ4R>fW%Pi*D^)b{PiRn%-8^}c)_0?%sda+1*fOsn zLOW^U0w21VPaabU^>2=#JFF(cwGzh}jcx%_z3N9@MMe2UWS*QE!K30NZ<#(i*x1Vq zJNqAtw5U|^F}WI#gPx7wXf1&}$jH?aVy`aJJ87AXkU0mC4DMIgBv1IQF~k^ll%K7h zz2Bsc@@nvvUrC@itMo^C?w7a9+Kz1mS^HYU-WD6$Hxa~RdKZz$IBj?I-JRLVcg@2O|vCbYEga#%DAqV??#h#v%!lJ#9UFC>nTjGtW_P+fyEXY@ zdBo71@zWQ7O5W=MdZ+uB&iM4qU6haMk;#3?EN!9rjg}}p;k$Ay9l!p_hiPldtLcIs ze$HhZV_9Yf92HfKxP6gLStaX4zD3@>x0}6Uk#fM2y`Nli{reAX1*%smassSw)dhJd zhTbM-v&YS4gF5CoqILLcScsbwUF4hAD@88N#bxmis!@1uFn4MTW%9sf zj&h!rsoKjvuW5ufJl^X_jmEe`pX~?cbfv|Wh81~lkdq}_Y)dV^*V7C{79&TAdb3YSE32hVIRe{vD|+Ho27x<~nXhTA>> zaxg@bw)vH2=$Pi+-dMfbU01P&m<%2qsp5k*-i`xM~#mZU$0S7nP-GhbQEy6RyFLeovS{SzUVzbm1)}HmwKnW1XkP zTBTcqE)7?XH5w;QnCsxkk)_4UaR9THg{aHtgtYsJdDgUhcLd~(^rFbP5n(N?0D3jz zpYgQQ(b)ubWyJn56QZrqchrX}#?GeNJ21%YN{X1*xO0*Y=jB20?Mj^4Uc1-$X7Lag zm)Iv*|F*q@Pw$HXLs+7B&4mcwLnXP-Fx8ViIvv7)9+n+wnDGqp|M1I ze>4#=B)AlKVSzPDWf1~-j+WP4k)=*D)?9ZtMT&L)g1p89|I30E1hL`v0|(o|D!deay8@=!BPX~FS(eNZH#tcA)!qu7gS0@Y!w)E@ zYq^lduV#OxdcqS+5FEQ?n@=Y^F#}Tfi@eR;*-6#tcvq){m;&)s_xo`RX#1LQfeRD( z;j1Q3b_a~_skQyAVc*smx?0Y^DQuGO0d%@U%V_+->-x2N#Yt*|?FfTK8Mv#tH`he6(@l0%l8dhq%11^L6%LJr&kMPuI*i+Oy&*DLF5EiUr&V zQU$KDGa4br%MT+1wc{L-EJfUFt_vO@+MU_tc*?r8>9}ZN^y7~o+b{HF)_eyBikE>g15s|N$*L}`^C(5Aa`;D<%ULF^rZLao#ra*Z@sU#d0n|>?|;Rk zHM~m|_=%EM-)kMkS{9LF`1XGN#q2YXQ0T`3ZD=AzN<>kKs5_zcyTHQ8U{pX6B8cke zhPWU?SlT<>uS$LK*wM2@+Kuxpk=xaSO>c{!{?+l4^A_EIhmV;We9jK0oPiyeCMPK^ z+V~@6N!?;w+!n~9H-6I@M{Y+BJ`niX_B_N;{DN2D`34}BH1O7s!*MC@AeHgQoWt4{ zKjnG6@M3|r7bGwiuFh~5ka@OnC(OtgkqT{1- zu=HK24k2%~^B|omvEl3SC=Lm z=2Z*ddQ(FQphh_n_(6Io&f-bfD1P^+@^9wX!fl{u6kf}suA)GR*P=>Uv=kj813GxB z`jn71Q@^}>evp%xxGVCytM3t8%1111!7}H-1e#)e+irFy{Uf5yEYqs!AO)3{*Ji2+ z3(ch50&2fiB7}=aMK%3lDED}yekP#MkFOQEV-CaVV5~Kmy+Pwa)!1Uf*pQ42)(bA(XmZvkM_>{%mDa6Pn~Ql4(FQ|% z1xo(*R1u*ide7aTISgC$K7S3{v)4lQ1E)jOW-V(*V-qs}P0|K54r1dcbD^f)I3cVi zy+KhT>0}UV;Zwqhr?#B?u5qdcSkVX4ZQ9=~+X)4F|M`)m{z%9OYyWS+%75RZj zK(S&K5?G6AIa`Yj|8E8S`tBoEJN60#Sdt!@cXeA>M?md~Xd)R$ihQm;rN)fvbBn2u zsR&?Y0X-nX@1Df%+R3RF60GO(!O$J?CePl@NpfWOnTqbC0g4cB(>N&yivlDtBcVPw zJRWfk?M_x=Vd2b9ZMq}G{@+eSSSPHWd$uW@ycKQa|K zJ~9lSTdKh}jT9+&cUMwaCa7;>wCMv6qX`p>F1xHomk2lj%R%3u$3(o%MpqwiKTCwL z&S#~Z1OA$P16Y!dS-kI&=_TxbIzxzp7iZdT83q;zso=$h;N}8h+MJ=yRvV}oX!Q#; zaY#-9%X`jT^&&xPIlE4EiDql>Rjc4K2bmZVQ4Pt?Kijx|1f1s(GE-w@*!}F_>Z9es z`5c5mgL7f-nlL`I6Zq}hq-OTwmO}R5>>7;{U13kbtU_OCjT;EEDTY>C#c1mKGgY^R z^-1)OmzY&j`hXlb*=D+I3^jRQtGg$KNa(NF*j*&B5SQFW@ADzR8P9CG#+=FW<0@Ts z1s)3mhafurXxBcevx}xg_`9@>OgqRsC{IfjlgwgLMTgHW0^v=#iim~|l^U?_9#rH!w;EOR#B1inC^IeI7II8ZD{GEKTYx_;@&y z1L#S6?l|`9-A%PIfgRlhiuFqMeA@s7Rp|niO<^lpoobuR*@_~ww#wmPR?-&78qA3W zpIlU)^R-`T>Byk6C_@DE2$r8pE7jFYJW4+SA`4;TTb(J^IJvTM1=}0a)JfxirAf&G zi2J1@X&m_Ts%vzVyR^37_F_K{3KT`}ck+J1trrCX?e;E=690xa9TI=%+v?ow3AQhH zKicZ7zkZf8g<(SvB1Kr8sfd_Ye!q|L2TpkdtheH6kGK%ub_2RqwLj2hOOe4BoaK@7acFt#$95f7# z*m-^Wd5XL7LGwww9O0$t&tjo;&kLq!5Z*S;Il{VDW!@XjI6Bvs&Ta0lMFu1aepzra z{1q~3P(;1{P|kHhVevqnX=S)#l^7pK8J&w@_32P2qn?7&1QZi(%%nZxG~QSr&n0(? z9_J|&`!QMgk^+F7(P?X9K8x^R=@^z+-N1=}ZR_O)V%b!;(4$LO-w>dPalLCrYy+h0 zGX$)JNg*&xPGTDya7rCbC_Q`7`*fjsN;f7&JVj=Sl~%aL>Me8W5Hv07#8(e8g?z&! z{>}5XrjO}TsaXrdNWG$-J0+^7UC&tK8{Q~I<}{VtOpR5BMMeUKU09yqsL3TNaXxRI zYdtd%hfh+o4<*FZ-l->mmCclFSm6yyJ|b0hGH(c#Ur`jX&4ROz-NX1p(BW>Djl3L|I5*x+f)m544)kA&Y!gq!hZ= zEAKLNw_nf&f2RIg$BzZU_5>oh6HXTUN(xJl0Vc8tjcOb*0)KfdjbLNhan;k=o3zf= zShLQhxnEbSSFLbe$8xd<#%X7yec_}zUPCVna>C&bK>jE@28J-szI(cyi<3!LlG;;c zAu5`y;Sy!OrhASnmSY2{@0+#ouADwG=ryCP4rERI@M}jqzkM?;mU5o8d&njK{w}3wU zMm6D%YGuF=?Ko{Wv`=U&5qxc&2~(K?v8`I|9E{t~iOMZ=u-_wW3I(-6~o;X9Wg_hf}8Jpfvf zHv%*)aH&{DDO3O7J1_}*`vL3Gp0NA5vR1BoMe;_H4La@(nQ6mb*zNi6L~Av+_*LvU zlee{wfz3Tg-`W<@8rW6+r)bjs(q`fsMdqnPYxbNS8s+8FN^?T7ng1nVixov+axV)2 z`U}K~Sh)~<-5wtJ-73fDNi+z80OM$;;G$zPEup35ou?$Iy+c

    cm0!&Wa@nhe~^6cEz}Ej(?b8rl8h{yy=Gr~%>7k{W~lVoq||#+ z*)c|hD(3mAqhwB2CM>qZ_1G&%hBm7FHcVO;0KyICY0+ybf#CHDku56ZO%S00>7+1uo13!Pi)|fhrr_PlZ&0^*KQ~JVS zj1jTmg{@cI_IU#?yw95P^iPP~fKv}_b#7OEQBA|Jgv;MU2f@R{i3-d>nlc(R(p97< z6>LPV8&%=(TQ-^Z@ua0l#(MKTTY%46V+#>t)~(GV2CgD2-6C|Vv1I1 zUdeUXpsMYCKh?w&WOFn;8Rw0cOFF9|_ZBxW;UaTO(E;s`Jh$%lZyXjfh@FmJjnNQ7 zYvz6Kn-+@r3$nVJw;4Z@)vKPqU_{WB>7r6)Mwr6uoe30K-usedW4aVD5sw}FY}N1D zwQ7#|o?gX|eRb|&uFs3XpxdJ)9@8pkiJko%LT|5{^+P7<1G=8XnIs<3ONXM1Hd*MD zF1r7Y=+xBaG)7?hhjGMzuxIGFEy3ctyOQ?#MasGx9IJahQq zWBFf`G)B#e9+!m=Ax-^LQFS6GSHxa6WHI#q8|<7U+8Y(=zE~e?OFvHz1A1l`Qnwd$ zO0AP9?NxVhkC)lyDT&#LhuwsL>P033ymjx!ImYhD0J1Dq+><;^EKr`UcPERO>`clF z(AoPC$$|M1Fts!jxWoUukd4y!*KmL)z_yCDEKdG4M|cprq11& z-UO)%{L#+S8hmbCKHbJ10@23j6pAvGDa|&t3(c~| zW$p;%fJk#>*>T;V3Uh`u7VGAJ!Kw_TU_5OH#G=lhlsKm7WdZh;FmqX{ydAl{mN76F zX+BlYPY-%`D%1c2&fvFlpY=Y`kE)EIY0M_wFD_BinUX8_ahKZwRGPjjTSiF?t{?-6 z=t1D!3f~j9)EX9jrG>%EDQ=N9{l2|jPSlkMtIruV;lm^%9D0wNJt@nyP|wC_Yqn!Y z)uT=F0EFMzXU;{#B%h1(MoAV%yD&4AYFzeyb25q?ap!a6B*jl&I_>n;S>)540uiHOF!?71bdw3j%ugWGaafU@_%ZI_vl8$ zkO7YhKm9}uU&Q){9^#NuWA(RCV z)jPA}FPY;{(>_i+I|p2I`ZI2Ig;@3*0S$SRBmu~_@<3_Q!RKo6} zMR=6cqV2Z0c(Z-K9Zqrg2Fa@`Yn+pUa=ddJHscu&q*b^4`y8%Y|je*ay>Nc>h$2+zGL z9!0o{`r^A2C~A5LN-x^xx$IEsua&>KghS4x zj+2Pv(SywPHg8D(+b?T+QI!6-D^ljvacw{RPz`XO70Sym?+Gup-|ZGag~3cuziIAD zHs$GYQB$V&ap4hDVIF0PjMAOA6~T@^UIz)09Hcv%d0DmD+5kH-wM@?rd7R8QCMAsH zY1)iR&E}Vk9#C{46e}iB)=GUX_Mf*%2=?8BakXx6QHk{8rzgQ(C(@V?>Q7kt9PUCf zYCTsOGN#YVGNup4-1SkDzibSP0a86Pf^n?PC7+m-H7h=x=EU^<@-o9G$_TVPh|M|N z5d}gGwwm+8K8RrOeqS^4KWS;I$g}$OgP+X`*j#ag{DGXm)-ZU?OuR*V>8-{MwT8fS zIM+GJ8;)h?nG-GtvZ$v58@~6}mTrg1|4e#N>``O-Jkp)jdfle1qUlgD`8no?XAPqJ z3hvxnAA=h$pw)Q~UscOFRK6gg84Vs#uF(OmRQY4D1!DwybyH)|Z}e>%0veAQOn~92 zy#e7@tcnXiwMnlys#6C^HjBN;HdI$T`VqKf!zB}uaah&3_QRdAB_hN$Fjb>Ca8?8Y zG<~eRG&{rxNb5RG39UYQNv1_GB2*)CW1nYoo!}s*vTC05AW0-bAovj{n^s2U5Bx|H z>w^RgF9oA24Z?i`4xi#U$ixUz=+8-ndQGFt_8m-AH{aegPUkdcjEac#Jy^!oTrLAm zIiGXKo@{DN#vG@{jr^`jjy&Fzd4Zz;F_{W&afEIBUaM0sUAX8pWobLc;`SCtA~=8P zzLT6du0H$`%p{Pcx9kSKCdpXod`|3N5P7Z!0!?k&bt~pP>y#biYP`LpdvSrL_q!ZL z{LfzufTr8!{lD6T`$o{>YKYt|#CQi#Mfzsw-gb7jg=5GKFMiE^$->fumb~P@_|LAt zu2Q~i;^vg2uakK@0ld%d6G_ylMjLt~ilT)pa6jT6HGjkvN@SpxNotQ4-oTKK9{Bzd zcOi}N2f4zdpLn&=`R1Hn2o>b-xNaENG!0DS$0>5ChE5wOdXUnKu%mXonyGSy>WMMoBc`rg z;_PO7o^4rABzMaHcBIYgnOk8{>TDesiWZFBu610nT|*3ye3)zLp51pH7i#dZOMR!- zL(4KIbPQ9FqLwP)te?RlsqtcI9~JtNMs8##oD^{taeQad1ux~Q@EVQjPzs4i8WBRE zn?49_$YgzovW|!z>nI%{w6;f4?LjRxetfk>(pLnVlo*(ijFdCH#M#(w;A{U*zgy@H zjT;qm({0m;kgIWdtn?1Q^Iq$0*`w&{_eL9jKL+r7AySZ`<=sY0G=Cl$mSI+ zatimHryZRnu|m%Nw z?aGJs&r*$Q{4YRHFLZo;K`8}H>NiHdF+yj%?J+`N$?*N|24p0&uXIUwtQ2|RIH71y zioCdOoCh*^tijZZ4ek+bLZ|G0ZYvVYb^9L7a>6c34?xci1ObQZ;ReZ_2FaY9J_`Pm zT;Mj&CVUerO>S{QBaYER6}Br9t}B5?U*KzA7D|SMU-6!@XK&-wkX?>Cqp1j0lQqXI zFm3@DKb!UQz$Pk8pA#=}uz%WU_ItOF3rbboBEMe*?HEJW$-Rvdaz5gEjcp0&q!#KS z+V^mGu!X*ltUe&8L(k;QN&4`>f73A|B&4s^(htnsUFd$`zR8^`l)8GZ34iuIMm%!N zFzf>+eEZar6tUI^yh(jGDRNVNRVY>08Yl9G6hu;tMB~VL^ArAUgvE)#yV2mEeb5sibz1+cS33D3lTa zTO%S$LHN6Pbo|orcasn(kb&qSUqK3K2y^UAFLHl)Me?5175ACrHrFrq-DH1bc+4U~ z8mKy#KtCj6Mk7)VmGUKVuKlrJ?5W#wdp+(US36sqs%OexU5`Afc)q6A`F8;5TBY)x zk(yKhg?~7o*&!a5v4LjiVWL*`ZO4k(JoBA7QH6m~`>RRSM(Q{AbDdSkDx=#;<_7;| zW-osql=h0CSydmDxMD4*3d)wCT5lbc@;HOeWxkdmos#!e8}8mH;l)~K-Ap7MhrwFx z6NIfnsa|Qll(!gdbeWFjM%Nk!ovu0j_c;V(aCedZw>?bS&m~&yIy&vGLE}{hoow(q zn5{vOaILehY#(iuX{@V!t+N-*mZ14z_|$I(otGCFemW@3hlb#3X8zYYt+W5e$^Hws z`hn;F0NAz!8DbP1&U^hYUih>pm;aBw!|?yd3C_eOQH$RUvlkrvKPuYbd%bpT4KjpV z{(~#ZnyotjZ~gL|3ye9jEuyEt7@9DH&PTSZ|BV_R5`E7x=-dXwXBck(&+4D7b=CwA z=>JB56By@(QVe(h52d}2c|q(-D(C+-vRz=DQvRO>GYmR!px*!61Kb5Bw);OkxXXW$ z`NjM{JGb@HSzdoV#WmFLHXwQ7dwtA#xhVF3$a%fU@V_6d} zuaMss-?O_0G0uzpHp^>p+di3!DIcQdT^u z36U)si3YFV7fr(R3&iV1za9s>SnCMFE#w1ON6+Qwhmpg$8}Wzdcbp0UB=xVMgmHmr zGviTZMQMI~c3ps^s~SkJc%dfwr`b%EB5}Kf_5T8PH~OffW}dx9-qEcx{RBtdv_-H7Fdy7;E(fj}V`F z``jk*P78xKYJSuVml#y#|7MG?g0I}l=q+K0YkFc!1eqEoU__zcvaq=|&`?3L#SB;>WWMmy_hvdBC0HC*(`)Em+9ikH`>j<0rldLorD(D_*+` zKjC_wV}#gQsyO&s0P>2s<=O;_j6Xx(5>rB5``~ve@9XyNRB8r#hjc#8%H0$fM~6%w zr-g}iF)9Dc)nVO*)GHl}y<}9i@ww@nboBbC=vPy`^)vc>`5M7$)$iz?2l~zD zCj{7uYA48c8yg1t8U&*!?-3V6K$YG8>)-J>ghPhYjvGf6crmVM+*l3gzV$VQs&@ui&q#NaXV0@z?P6yl1jRR!>7plBnCPnDDyNb{W@W<* z*=_{AGTR_n)gEa)4sBcUaj^eU7Gj&0V;JIt%CvvqZN%_wgQE0K0{ue}Z1!AkI*zjc zb}tb2J}Mvx#)#y*394M1zDl!x$mzRn-guNCT}Nxv+W2e=9-O+xy)zIyYrXqmqDZi= zD8r8FFrkLODW(T~z46S^g}xr;yk4{Uzs$d0K>eR#{tqg*Ev8i;^`Sk}UmjOJZM4?R zAGR!6mlR+w+_U48q(%l{XVD=!u3nP6vZm!72zkau+ZZz5ghvL{(GCrCxb#=Frl3&O z=yglH6j_CbCI$khQu4W(<(G|ml_^dR@vPY4P>l(^GRIKvA=`J%1HZr zc>W2y1n(SPR8y)qf{G=t&zV=d-oDO^uoAmwC-44*PykGK;rOm8bdiB zQ&QZ0#Y46?q&jqGK8%`Bu=ffE*k6w21kWM**}6IP?r|l7Ofn)x8m18~c-#@eCrQ_Q zN`^HUW75QAEOZzoH_#sz_Q^=9t0Xo@KxKg;{e?7*E+bWRxU5=WT0iFYV%lkQVh+BYw zLh~7dDMS0K%)6%L{cbzTN@PLlz(2eX2U}i_b81po)OdA&9I}#o1UJ5Wl8cBt>RGSY zUwzNIR3!KHSis7uK%N^GGy>ep5FgHTgntHV(b&CN<6uG{}AD>|N_{HW+DF z`*oN0iKOu!y(J!Qc^_ix=K>u0-BPsC2`EXA9bYUx~aRQ-!$SKUYtm zt0i@X@GF#4ZPOute9I32n65|}VvGyOnQya2A8(T1vJP?MV zpwV<3$|OVwXm`!ZkK2d^eQ6guC`FcPNAQ?}IJ!-75hxwy_zLSDz*Kz75-Xb%U&3YS zTi>@Mq$aN7@>Zn71s$#yW)+^0PBne#v#!n$3oKp322h-tjnF2HfBGRhW?i9^tQf0= zP@xspxYo%UtRkxgrg-EQWaSsKxSV%b;4onU@^K@o7Ukov5x%2xNAS^72=k|oFwpyD z;8CLS)>BBIt`s&TsRn4bYzL!MX4`Ecxc^L>VMX>7O75-Uo@vsM#Z#1j*mt${YCF2X z=#bXspwIozs2BZfdDGuq#dG+TF}FK-KZ6v^WVs<`x5^Z|CC&c?;99J*c=#}SWryF8 zejtGlM$bqv@KIzWWKZ->^+D6FAbKmq;TX^RqD1dl%m+U1Sd0u;ypQEOFP(RXd#zqwfQl~JpGZq&LGOMoAw_tXB=->0fxR2-#sMqJH>Y3zT;g|h`r)@t-*ujT2R`7o<*Xr;dTuPx)q8rAOF zW9lwoJ1{2n&#$nAQ=egpUv<13<;M5ftJlAeu>=8Z+Wb(i)dBiq+d+Kb$@Pg%mDX_; z-;1KZZyO^LTFCm+k>^nQRm#+j)B6T8@0nS{aSp1%732J*@Sp=PwGbLYV_!(CfiuX7mUPuLU(r`vf2XmP37g zy?;n^l}uiCYDC|No(^@dXPa<+&o;T2N27s$9*wWBff0o)R{>!1eB$oz%74F=*8>xTX|YTQn`lM+?gntN>7nu=L4?>qs* ze&l*ltjL;IVYW%-cTG*zyxsl$o^JC1Qx?QAtAPV9Y!B7&ae*0M&*`;2xFbNhdHLo&SF}UtKi};=ozRLe&h z{NF1|SAM*a(J4ilU(Nb+iZZ*ghQcDkeVmaU-*p5Ig&`dkA*Bmav-=vq!G(B+x>oiQ$F6j#hBCCi4QLOnct;W#R(zRwMb>``I7)SS0w)G= z3C(O&ZEj)>*IUoW!oy@4Li6p!8*=%1B4xMJ87IN<>|zZCxmiRaqkzKthLvyxLT{ssJvT;O7Vt0 z8AEG2(;!KOpA#D~FW;<<$A+hBgpvyb#(Jp+mk;ZPvWl=`D`&n3Y4oSLoV9(dc!sK7_WI#e=Ymq?ry7Q`Cu22s4B^LcSA)^K*OzO&3m*&GzX;aV}u3}G@puW|d4 zj#M;4XAbOgScR6;2t=CpBdoKimsC#JdK{h!MnD)47Lu3}5Alo~L_7Vx1>Pg{H-i<^ zn4t`vsY)Ri_Qzp94k)F@2$p|X(Dy-oa=@+@4Ch}cr|(j$+7D%F08od~4|KlhF+|%5L4xTWmcUf!YbR zc^I;rmh&{Yhc3B-OPLP!#EG4Uv<}~2!yYyvR5Y($@Ipmwz4?%s_qqGAShU_q*81Cf z)rof7N9d`b=fRX~rFAK{SihX(H`DckI6oyJoEHIqZAt@mj_GloM^~l+$!K z;&vb!VA~|MGb$xR`vZQ8X{12AtAsw+=!AtPTz;zIq3L+dqbblth$00;>stsn|J34h zi|eHs8&h_SMdmM{89Pt3mz8ToeA1){CPzDVHqwxe6;Xmu^3LzGBwoDk-%)DIpme*q zk@JW(Mc!A;Q-lVyCMRGQk+WcXF2_T;UBPfJR}JHO3Rf*HdOoWXB`1GA>niOgXL&W} z|5XT)CRb>haw^-&f}jS25Hh4z%yS;S%2z{gcRo8uf?q4)7I^tX%8fqZdU&J2`SdIK z8qRqkk^WK8&Pri`b}~mY%JEdcM896iU&E_89_0`4f%IGK(r*^!NTL6v@@L*n{&+S6 zH-(2p`^-KZg9$tLOE4IWn;R;Z8w?Q-x=`QBO01BB^ zih;iFr3N{KU5x!#UjUj^bTY!Y)Qjek?v3Np)l<<}4;SSmG-U)pG;ho;3%106zeC7rX0lNPQJ=pw~iz!Ori?4J4-PyLk#Vcot zkP0YFmjGen5E)I9>~gtue-^gG<*F}+S2`Oz$395-?Kt5scQ-ky(hc{VcqdX`5M5Rn zTQ+LTM4S4nbLY;BIrlIq+N{%9Mj z?hSJ;?RZm)m|y&z4uJ_TYKsT03GcG>7)y^aZ;AZb2Xk&r@%+}20fmQx9d~Y8G{yME z2)>5B*Q7hUwT<7D`>6D2()?ed!-^ee-oA17ROwMCeiL2}SM%aORELuHfa;y5$u0HBn}g7F)DxG8PYT8@sK0 z5%iMi@C^@L{=-Ry7u|$6ZQR{g@$NA#k^;}a{D2FeI`^*RKr#cg(w#aj_A~J=`F#)* zUH(()F1qlbLUeusyB`5}f&E7D!ID>P0F+o8reR}$R|HbN(mim53;DvM!W{wfagB>* z4~iWqUQlr$`9qqHIk$g6W;sK81l1rGVaucJnFS$nBGWHQ#)}iM^<63iw^R-KNj>R~ z<27r@t1vj}n#C6$$T2wAA8VL}; z!OB*tYD~}`j`DUYbDE!|BwLntS$RO@M}?c56q}M3%g+neI|O&KQ`Uv6*VzUk_tdR{ z`F08FBf$AAQ!~HCE8LsR9BVFJKq#kH#cLfwV$K2sAu}K1%q+Nl*b{kX&Yo8E{dMY9 zbRHQG!-!nJ6&<}viqD?ZOI`xTLq&o?3aqV3HcdjJ#zhcC`56SobUK<7% z3H_dF$fDuduRVN>k?l^-=DWj6%A>9Ub6y&tCv`2Y+H!q-aUl{_4Ub(9zF);xQ%C&y ztYlVs{&{1FxVr1!mX4ehL`4t@B|?{Lu8JZrvsob``zy({jIe59bxEH&9}V!YnWx8r z4SH<`H(FgoMogwTE5jh!Y*lf;7T#v`Z2T>JRb-kCbDo=;C!)PFI<^7pZd{sFJf z#=lbsd+N+qtzus#1*CmH41+v~5Xx;Ty-`<8SRf}Q`k$HN{Jk^nZ&14gH7qd2%E%~d zKK@}ih3?rx2zx-^ohQ5#b`LJyZBeS3J8iShF>sBdiNbQJ%@GvO7YSms0nrsU&H)X8 z?Kdjbpx%mIQ9F_$&i(E~6yFbXZB%Bs_>&MHrTDV+qbQ$of+0jJx@rbmL-V*Qe-DG? zTO-XYSaEv{73<0?o8L;c-IZ*5>Wv-8r?X(tdIf}UFr#-@=Eoh*$F1NL(gU%Lb)~|f zh}<}sBYiwUt4Bj?egyhaQtm~cNL;HbnD0_jzP zD^~=OGoUNlj3iGD1cKH|TF3K1;6X0CX(;TGIdUGQUK-Cx^8tZT-0v8d{n9|z(XM<# zgweD{LUcd2p2_0h;ljlfu)yR?v{f8ld0GznZGG{E$~$UGMh*A`2&udy?u^oIATVF} zf}V0NlqaIu54?rYo5&ip4>urC7zq3n&YSn7p=7KO znU{4vk`f|X9DA$DkNR?{i+) zh{zy-LDc8mIJ8tE1A@@1JZTxMcnvp~?cPKJsc0C|z-AZ--(qiyWof(5*_Xt@HBF^{ zy)R$M03!&xNev;~O65S1I96n4u)_oUc^+xtTOxd?6wt>Zw z#~U4u{jc^+hXELGEbI>E*SJ!qG!WP68v<3no`z zfZ4@JHz`*_yN%83abycB?pu}|L&q(K1q+yt&43mJqtRs?l;5yh@8l-eW;k1DjC%}+ty{dZnBy&lz=uS-k+%qH9fb;7uB&wH zC7m%=rYPq-{A`FiqaYM}M#F!hsxh&KSb(4gjYY3Bmp>I?%em`!iv&xY5Z zE3}Y68D;bn?Y${6L@>$&<&%8{YBs7N>_)j_)qMekCXvVo_NU(#a=CK9xc)xd`TcAA zHSFK&_epSrlGFG0L(o_1Wn&Z+(1f{>8-j?9$eYGU$r46r`o1TEg#hu~147rZ9ezGq ze|URFLWS#_GZ-2!Y_nI)IL(BlqPH?;v(^rVZ2hTE-NbyBHG$#8tEv#j67|tg*erX) z^W&cL8jv`FdZJHh9K(1ugls%!?S0D(?>5(Bf4}H&h^$4znEf+;^dJM--x0r^c-Hx- z=nsAvZY*Ii(_gBJ*kB59(@0DXb{bX<=sS>#ItMEQV_rmAt8-2%E`3=!L~KZTy(<1p$2nRk2%R z4esGXYV$|9Te2QohgmCf)4!+8IjEitns{AXq9Si8KnqrUPC85g-O}HtVwk*}bv z6nZ<7;9|ZQL2T9Aei+IBoW#`U&chpLSi=v@HNRI*-JU+&3fuR=6=V2Ko4`ZrdT0wjFWAH4KPmuop-KVfMwW?|X4Y$O|rsbd~DE7am+J)1s z;inBaocHi@!|P`pqxd?muz1x1^$Eq6)CBz2!?v9RT*uI@Lg>lt86qk?9LPvy%T<*b z1hR2FB~@~2kAYZ-m;gWBl>pVl(491aKSVh6m2B9>yR^!pElun?Z4{>awPo@HG=NuQq=)9sdUZDCl26h=HLE%E|=fTDIVwgXMV>n+AuDPAu3Y(Z%5&g z$iQTJmP0+T*4&U!iPv1gT}hPgJq?{SA_@^fa%2DshpTBL+8`kR_6^N?$a82yN)zXA zA&4~KVpgV=F=*%~D55E08;tSja1>-zk%$1Q^Li7X4mFI!#L1W>V{tM~?r0ZC%+UEY zkj0(eW2J@)08K#G1Dwnhs3G$sw~@t;&70krJrpCm`ZDo`2!w4Fy(@qYC6n_ z>k7p>92L13C9t63&a7?nO~MG|J#`L+bI(SI&m43bXp}RdH5)qNMaFlVOLlOdgEZwg zOCgpfAT9|Pmq}78MWZOAM|#Za#S4P^8f7Qc$#(R&Q<0TukB01aO9)SRu7!nS;t&k* zN8+MO^H`10<9_^U>t%-X`=ayks&MV}9v#b!*CM#?vCDf7S`;4yCG41daS{;Mz9)+& z8=w(eU+y5cg#ayJ)VS&>E z8KfPft50oI=`|M@STmzcjkOc+3-*rl^BfC1u7_X!kSHdIY+;k?uNbgT`{B z{_@&8SHcQlq#kE$X|m<^)=1P&T;tX{K;+RZiSikVXI6qdpriq^+Cc-;);1;to3>Le zKppuM{Q(F6<8pYX$;=SKX?{+Syw`OrwRa6Ew`W8Xj=ebo>d`4WNMS!*^Yi);xn%240c7m|67z#*!3nrDoSuXyajg&2BOlzL{&t zovu5y7CJaIbQKNSg2f4EI$<1fgz*S_P=j;ZHHIVB>M{^mS{+gfINCX&U;#*s2=o99 zHo8Q66)7{Wl`(hBP=Yv7(zx|6L2x2|Uak&?qIcXqKrB=(vxAu!Glx%oq(fu0x4T|s zYJfh1lf>_*t8l9@*KEM-$PABH_Mtr#8S1<5%|KEs+JX?WW-+ zZ7SrWLpHAIZT5&}e}VDit4JsZ??IA}3TGGGpFlRUnyLaL9H+b#9C`qyf8)FUC;c>M zf3C)30UOwC>7galXh~qxzCuDaBKiYjaN^+YWZQ0oKdNZ4NDquqp5Z0hor7101#&?z zapXt?Eb9~r87nVIBrTARx~tj5BGq(kN;iZ1n<;y2IVF`85Lk2}?T&2480&5U#ZZ`n zl>3|Ql8>r(3J1=>s6v17rJN%bmCWE?K~VnD6wq@BupB-IFKlH$0R%L#d$UF$k6asi zKADt=Ba_#^kb$FPRy|QfAnys0nUWAy$fdLYOp$l@w7&OXESio6Ve2`|Bv-}yUJ5RL zp$ounFb>yHIgvC?z5+N)zv|-9_OTR!AzOK=85qkhhVsne{^*8kup8GApLW9z1+TJg zj(34?8)>YB=G?a_23gDEXCyHLZ;C)cL(eWPZ>mT6_E3`c=!n7|fnotA&cT4!Q8vAz(4N~6b)B>59kM@3U-#aAuCi5iK^S`p^{3HVaPiJJ?*`eeTC)k0qCu(j=qgP$hA#t7-78BKevCTEyL*@!GK@4J(W{q(&}kNOJ-7;5b9c@4uBAt9UI$2wzSSzN9aHVqj8Xy z50^E6irzZG6gOJ_sj*s76R@(2uq1B>*?0b{PyR>0F}MMq2eiL}aO7(2YN<+>X785^ z2MYwZq6ep8DgbW!uZN+^@&UHE)?)7czVOjXSzgQJyP!fkQ1#n@nTrP}O7FbD z5|c%*Hluhj6$3^gV9MtPx`977gmR^K25;l5Sg-WGUA<3yh&hR0tRE-e*v4Q%r(tX< zi4^0a@$%s^^hpI>m5NrUM{@0=4$D(c=6TYu!h~58qT=o_X=PW$5C>_Se}t>JW6@1` zym9jHnpIMc)npQBHonZSc3+;yH%pJXKd;V~9v9nxP75b{7R-@&Bp8of%XiBCvj}Ln z;ZEUE=%Obk2FsdD;u*pTs<(kpzPa|Mg{SW_%hdxFTclXC-tWj36}|{!B6|q4)tcby z@=#+*90M73^G!xb#@Q|D?>orT3HowGXN4Ozou4y^Co_npyG0CZ1LSlT5n6xT)$OM` z(%Q}V{G;xO{9rq)|M6V&iA}jpB0ik+=$VU6m7F5TEiWk;^qw_i*$SPGomzYJeCj_G z@R^$j%1s47R#fA2C3U=zawgGdH5IwhBMPi3rUfXIp~1t98Mz*)LiOO!}+UMen#&DpeF;KRC)f?6OaQ zVK=yVi$@O?yJ82jKvrJPnUrLVp0)0geo6d_jxYFy>;tFd?z&f;F(M=#mKC3hyHHlB zAXah0nFgWEQzq^1WTDI!keVjye#P^QIwwc?1zgf#+ID@vV`-1f8VfLeR`q;d85phFIuq(+c zWe{;PTUQc)@LpaX6%;%7;jdyK4A!R8ruOfE|ArSopSmf6U)la-pte{otKqC*l;iu{JDbIj>YZ?e{x1QBTL4 zRNInS#0g}cwqf2xE^cY=L%ccJYF(*v*HuORaZA0_>=CPw-3W_WAh!-t#3_r&ZRWwm zO-Nu}X?{2S|399rT>>g>9RIGMv;{f z1%pm0ot(Y>yPGqk0mUu7OCnk7CPHau(?uhH33aBMHtRx`E0r$TKw{LiHaM%PfhV#{c=pz|)4{$@F3eFoX?<6#X)RtJANk|pXGmu!88hl=s2 z9o zM!5`qKCk56xei=jb% zMEZ)E8J}1in}X6WKP5;+{edEO8sS)-o4%OEM^@Tc=FDO2Mqe7+x36+OFh_3DA^hES zp%2EwFwY=%qlmdc)-&{(h?R&XzwuBqU^;D_^{&V!GH~8=On=m|`cZwOZ@Mug3_tSk z2Ra3})V5Fe&usb~*d7rumNCPkgK7GMkB!~_>0GXQOC3`w?A96G%G(?LlifYa{^=5v zsa^>2b3XA?`NT=zfoWxpdjn{xEM)a(?{2steuYaV!8Hwl&<`onZm5JLYJ7k<{>@olD;(0o1a9smfI{pJukOl)s0{{Tf z06YIM_3c%?

    -SfSdvV!2Ld*OdJ@DtX-U(O&pzm{g0bpOl%ygDlh=B`6~yL#qZ0- z9Torr{tN;D{CBh&%}v`wF%0ih^;alzBgx+JE(w49=a3$2b_v&0`-l-@dt5S%6(dAr z*+!82JMHaa5vfw%q$$t`bM3?uZdI4c*j|gNo7vxXsSi6)DcE*D zD0Gcl*mHq36Dl={+;*z6OUy}_i84kq$~er^oOCvWtXzj$t4c%}GIzK+iD|$%qZ-mn zXWNa==M0*=gKp;?X66cKW0gP5rP9=VWF_kE6gyaD)JBq&x))2-Mkb?CN)msU23b__+KguT1Ob}W$xG=s%vyrJ&ov2#j>XBN#9C?F5d4QJ(|*kBl*1B`|t{! zp08a$$bAaij@AFYx&N!z>s#L34Ewc9kHIm;(UjHp@UTvX^tj?#r?a1fj!zKmu#lXw z!LHUgs^qVTLkxpOlhIa7zDV5<{fYyRb!V1}C7xJDr)iTDn z7P5y--#nkI%dlJrBpTcr#71CgEKpS#r1z1m0~w;nB0yJ_%k@&A0EEF8hWH{cx;H8vvkg-N5&Hxmt0*YxB?OAMpO0NkA>jW><yCR&$z5@Vc1r-2^>FczU930$ zme|tibWCo8eaIdBYb8xtQB_fI#r%t#FI8bpn?=SbN@4nInkh)3-lq3Vf+*7SDveMQ zQ$A~)fN_p#@)$>qntYL*r7QCzve0}a|MVXc-_m7 zI)p>YGUO!;zyu&+3;`%K*XNFg1?-nt{Qwm9lL7$~`90uMtD4@-n<4N$VCD`!d_D9{ zaW{4Zh-XGK%f#Hg>`E5V`Kgfa{bPcze5G;cXF&xV=U6#t0MdL!#7D&he)@JdOR+P5 z{^T1MNato2^!*Z>O&m_ts4T{Fb%V8iL5i^13;xJsxO#Ow`RYZRxq`Vz9Pi{!2s47!CNoq!`kRRuYH;6(Opo1|A$=k74 zg>C-12s5g?-n-j4u0LnLbmHL$hu)KX*_z0#Y_ovL+Bqcg%28a(*j#T8m@N*qq`YFO za6<+x;yGiqYC?L?mDkq;*j(tB)|=VH9+0?5_%}fED%l+J*2Cl0{;=$7t?q+mr80r! z|D?KI!iZ%_SPYO2yKra9I*QAh!-(Dq;4u$D@;H{I_m5o#!9#r&3gD{|^B9Lur48{e zHX>q$el=&H1NHdX3XgB|?jKwxWEF1A$6X4Wv49Rg==u1EqF=r=aOoYMrHVi*|$gaKLuj zIRjj@f}QIRIze4?|NHva_w#S}*B3~|`LjothZY~PV{O~zX%n87M#LPoTC>=(EV`%8 zWaJi6_`Xk=%K)x?h;+%wMUW37y zihrmwQ8nni_ctGN&VIaMPu0epvwlA!G$>6eWLbBpATV80NJh*3NYWosH3%PitXdd(MF(1XH)sO z6Z)7NZ-8B~c3Y&QRDH4~hk7;Y4Q1|=AN2uWj2tN@!LR}k!b$k^4>=C@6}c-mJM+7t z*`wqJ`0|lABl9j4Bwz1#HV|%L9QZpCS>zUm4hc)aDYReTP`|t=;SX5Q4^Uy9KxPN2 zqFrbnAY2g`IQ71vL+4cY=0@J`u$4x;ZwFdjTmg0Qz5PCrNex%G-L<0I%DV^_a|BkM z09_-AalYi9cm)$rxucjQSx=|kx}1R@qt|^;ivMM>Bn(!aPp4hSZ%<05-9Ey8zcP+N z=fMd)^w^4WSpb2u1%q;iXLAfzE{*rI@#w80ah2Rpa^h0)U1Y*3kJ@9O)1&gJpleHK zw|laLNosw}jfYv&{hJBk?9PWpQ)~HgDRi_D1uJ9iZn<^4bp&xT+=50qU>2A{K|#+* zuczO6M(9P~P|o>$4?0BYK<~7Lz7MLr$RwrS^kzkgo|SO0CJ?Ydn?KBxJSf{CJTc;L z5-99X2gQ-P78He0(tL=*3rTrb&MD$h0)pEvf=USw0?UU5yF8HAji^H$1JHs%RMJcD zKio&$;s))%1^Z3we{u99_l`6sZ>dDU(eB|i2b(LX;^`FotDh`FHcFf)eJX4E%g%0Q zbgQA8B>c~>cVzrk2#OnoB@(coUrbAH|L%Xpk{WWm*oi?Yw03c5kBE)jo$b(q7E2C4 zj`U=VxH@~=wI;os9FKs0=;JK7iDOnLr)8w;_I|E3w5<`T1JJ9e(5#7?XFcnbhZ;<8 zxyfgoV~puI@9r~8LhVA|t<>;UqKN_MMxeAS5?3=Af+>ei!`GSUmM8)7q|sC!uq>gg zCLhukx1GLroeD`B_^Ma?8I+Q|Wr;kTuWOg|vvmV~$HVLLwfKSIt8oIg*R7Wnf=@)r z{$*oB#BMBpuZL-%aoSHElVSa^%0`{`AbX{5N9TfQrJbyOt}k8 zdCD_r1PV?-El2

    x#1(9paaFFb|N+SG}cs-g)}<{-wQ`(P&@Qwx2AfO43TO#lDey zj=nXO)l@@ww52b{GDE$vdlsGU&^JVhrCn69RsgD|aHvGvJ?pY#1H0llK8!WGn6i&_ zgIQEkhhFdxv-nCeQL^I?vwU){1|_e5ZC$zS!;U5-x|#`J;G7@NI5K5@6}EYAY>l|w z8+;C3@I6l;UT)5u__}zpZ?UOpcj=C zb%?`gZ;uVCDB>t6LA?m~A$ES)8;Ub3w$HijgCC!94kk!jWB1NPo}T9D=L!5()BT`v zdsx2WKr(#Rl{)8fQLDSY`tz~8J9{)17FHKU^7qU4X^(xx~o90MM4<_&QsPA$(^>6etqM{$MU ztjp<(%DjlaLKnwJ3#dsfg*AxV3x0!i+hycwx!Jfr zUq_H_e+m9~swar$onnp&0N8Q;zo{PQ|CQ=3XI|Rkb)0;Y>Sk+W>c_@HZ4^$6YDF5w#Y*rzmv*zG z5q6lqZc*U9iKWx5WC_#AQL}te;+T#K&c}FBKUrKWO{ZHBb&z7vV$dI(qm3Nt2{y?) zluI83`po1&8VBbFjzdOQZ?*9i?A=qx#SKQs&-pfXi$zSkn#h%o!UIOu(KP+HW&`t@ zV+2FHoO@m+GC2?GNjNPpqsSkdLWn(TNlrv&$I3U#*xupPWu>YC$J9Mc!b_gFvJYL@ z9a9evRhJxf#AQ2v-fmq`EAxnL^1Iu-$u~oFU-xg@A0Hn#A7z^_{~kWq*PoW%C{}m# zzW0rQl~Y$N>s8D*nr~TWm0F-n-S{XmH7C(jA@wAxYG@KDM+X*hG(=xIq-2}aID-w^ zZnrG5u2~C@)r49^8%483&uSby9`QkDy^{V$^&}W&r{VyIAM}~u;jn;%0YHcZuvp}M zY9z@1CGdbi^zeb>Z)~3MBE;&b=Dc^(J9#5!r28c!J@R3a*Y-R8`omIQL>gfxCDAmT0orPWK;23+5>fe82+}YXH-<3PC9S8kZI6z z5&-abpx-QUcDU-TA{5Z5$x2@w~z&RZ4ij<()ys4;0)B7+2R7o*;jchfgu`;_>O^5d*Pj|~BSyAc^KM45!I=wst zhyA+w{BO#g`UJQzkdj0j_UI$Asog|n_HBVCBbiq1T^QFV@NO*++Sic#whl4ztGJFF zI5lGXwtW)z5#|;vu=XUu;$27+YUry@WhToC734$P3Kc+4qFKuOzQcc%aaF7ja2GmQ zxyqC4%IBS!iJj%;5MXVNNX%k~#3N$__VUCtVpPcHXgvKo;GX&&vSd|IG`|`vb!?pR zxrwZt!N6O{Dw~I}u74wCB{KUDfb?ssHg+!DIXH&_hT&Cn39VFwXt<*tsMD|@jkzCc z*dxOUPkJ<;_|qgwinR4|b#irdv%6z&F2067hsMgf#?p{`D*<5iy5!cu9ui>a0?}L~ z$ikbkQo-v|el{G{TQDryV!l5R+j|2yX9i_nti=!CV;EuE=XjhJJ)Dcgi@Z<`tF(KA z$cd-N;faxhlYLhVwYz8tM}ag1@H5%6>+1DNth}=QP4}{NHgK&erqPxfiej{SB4whe zA_nC^{3zVZ$)fyX`?FaG!8i^i3Ud`A+re_!Cy1B^3{gUM<&CpD#>%7&J^rf&}e+Ye%2}M2ZEl> zO7!g%`uh5MzMs6pF75JpAKb)l-CPUscKf})UYp#?L;Te_&R|DMK_+rG>Z9}j3BtKs zYoLc!0%&aR&o^^@K%sy@GIK2glLmpy0)?*W#)FuH>1r8wth}OcmM<4EPzLyeBbqDA z79b8>j~EWE>wYKZHV&v?*G0Z(0Dw26Rrqy4?#Qd6ySn~fxsk=)57wzG)3e^&8j zBH~y;F*8%;sj6YN5zTzVRcAtn9cg3Wp_$j-a*JjwhgLYEYR$3wNOxft zb`B(B+)5$9=8SHESJ0+7FrltUP-QL^yN_s{Mh6|t%$X`{=?u<_<>~ZwxH~;l*K)K8 zZ1)Is`k0n-WDm!a9Vyb5ha@WFn70opI2Tqd*m+@5>4strRWA68Bzj@3$Bxq^KuADk zp4J-`XOVS0Z#J5crmw?@h==tmv2i4t_;Qei})nSgmNe3X2D$;qG-`;zB6a zS`A&5w1`79%8ObX`ZqnpueR>((>z-N-1>P$Ofwcd2mx7sQt?)hwuMAzfV@sVah>&? zwsmdBwo*aXjQ21r$6TCudmKIjMq99I_1TPM+3R%T$A&_OI2eI)ojVm3HkZwhqLF2( zm3;=e@=h|kI7KbA6w82M!Q_SMAznZcl-M5#dv4Rlj)dIvvlw&OpGD2%+k2*G<%5dW zihY39_t`oO-6uA&O;=Y@Sq2BGeJV;L>x5LeAs@R8=&lkoM@+t`L~47gCq^}Hp^Nc8 zjeJN6WdgWDX~a2^G`%Y@aNJJ1kJ<40vbw5^zRy>o;_KzRq1S)DfAjTl1l;4hczFc; zwtxFdb}lYju|$;yIbUdtG}RTJW6sm=l4c&O&a)0Y20OpLG@8f$n3riXSDNz-CRXKC z{}_a+k|5EFuAGXuEy@+*MVNai_RzX3bWioU!+lia&5H5tCCxR#_)UAT-b3I0}10Y*m3HSM6X#;oNPvUnGdjB?WO|a2qVI3(RnmU zDg+=d;Q^@!35(?rKX*(U^twmldpz?c=%Oa$oFBQMI>%qsxOj z_OljOW3Hr`rE$R=&Pt*aV5Iw#XN3{U#M3OYEM$8Wogab7tl%SN#>MQvqvJJxg$>l=6{GDG7B;f0Y-4OZTE{KfMtAsrn>R5`!NX5rg9k)H{JZO~r zQ$wMa7Iuk1BAOgft#CWMFRebNQKSPI-5Q;LW}4R1NX=xlGAQ@%;{hwhtTJCytMJ=h zVnz#Vq4wSD6hH*rJ0&nc(nw|tqQg=o{ViG=J|I1dp!S& zySa(|^8PqKzuUhaTHHSTT>LC6qD%2X`;lb)EUsv}FJ=<{up>QeeR*UT&WuTDh`@1x z8$8G7Y8pWqLm=dm2(!Q}$o!Gi$899Y5EeuBGfQ1hOBU~o`et6I8U22I4!DT}g=T4y z_k%T!lUSCdRZlBO4kc`gu=C0o8kUC`*uGSf!1E0Z&=8Rr^<$oxiS!f+9&>F(gPo%E zo*@S3gc|nRzmx?t&^*?I>{#S}@MRVO;{|VbQs$7rg<1(p?T{*s!*yvlOm@*AO((UjkuF+Wt)d+$|m?y9ieS29)zS8SMY*l zmerjUpATD3tSR$GCDuzan45RRsz`>GLDR0UNVnxc`BO`ECf6Y?rql&S8)EVeI$1YS zL$q;|Hd$7SxG`|0y6z2|n$WX{A@r0;`~;CyAM^HHlx`TSjXgqlcUB2nnOWmrpG{9b zf{N=psce3;a) zt`6*2qAEcXRKt31G7yRWhG%Q%{%et%JQx^gI!K1Bs5Y=vk?YO_GgYAPCutjAQ=zQ@ zrFi`KdUSvF^w~;~`}bF0RhDhzM5?X(yJm?QXuV;cO=U}#Y>RV!1!Sz(8GVyB_Vl6m zMm2Rmtf-;{!{Z|&2AklD;Lupw z4Jjpq1ZkW2FM5%!c{uN=tc(Hto)3&?;^?+?=7|sJ@pq6%9Q%~ zm9Xjv=b5T9RB%~KhDMAC(7~=&QxbTctS+9kuRZgpw$j#fUjN%7D4Jg~g8j%;u7&=d zc8wx;eVy=g{o(-UQOkyrGaOTlN(e*^!Nj%n+L8$gRz>jet((g5ib#gK^m0QVCuz5s zn`$QbNSS=UEj_k%AK~~I&YCNoBv8Vm0?5j8dNnkFJ5?!KSC)R9T7Hu8)p##N=J{N8 zKbtZ5e2*1Bq6iGC$|s$*n|Qf|RALP9QzwuL9>j5VDI#jAl1VhPhWL7NJ}~yMz&uUM zNB-kt&%Y_=r^V56S$SAm_$Q^pkhHl~H8@%~rPbTDhC!?3shU?|-E87iW(O+VaDujN zaNfN;a=3+BuLFl%y1O@ky9L%%U6b_3)(<#WQAZE=k4^Sz$PwRky#%7Px>UWzUZ(!4 z7bL0o6p%t)NxB1)emT#tEWLSJSvr$Uz57bYk**{?l^MjTyYZ*-B~{k;9|t-lqJ-`H zz-AtH_HHfMg4bz&pH|2lW^)E2+CSSNP#6=|vIJh>Yj{CGseMmv(fhl}K(gao{D>kVr4}kS z8@PhJ^mbe!z+t6fRO{j{h4ZXjdo6ny7!JOR#6U6;V}eX<@BtGkbB6-c0K*UyA_h)8 zq41+!{0Qt6vIhPTbkk;k`KXmW@uW&t)3%&QTK3n$M($}W91cf^@2{K0cic>;`nBDm zJkNBn8t4_02}xBMGr`{NYugmsQvXbdLdil{4O0dZnSHm%d5$j|UrYcust{ao#t zhD2Q>Uqe!)!!P+j@` z^9jwiY6;orJ?uTdCauiP=j-mWo3GH{tiZd&7vMdXLCE9Pj!kTW6Jz8v>LakoBd997 z0y^`Hf4*+4ZXTy&(WYqZCvJyHq z8`?EnDzw`w;sW|Mc$p$5ypNi>-$D>Ooia!`V2P^VhEZq&T(C$uAl&`hqypnf1i6hr zz%v5B20`(zE1oY2Tqp_?4Kl?eX5ak+b&9Y*T@SyfE z*%X^cp3%~95{N4B4pX_LTm%I}44SR23GswbZ@eFb87Z|#-V{!!&ccG@Nw-Lmk#M{- zQF!u_KvF5(ez#Goa)atj$plWRM25jIRXNwS;o?`~}8=iYi0<-pQd% z@fb;30~Wq~`i{W9@1r!dZeQn!E7lGXntSVh`B%>*3_*?zI#kO5-@|8wRQ?0 zeV&$s$rLA5$Ll}X%Hvj^ZU#8%g6P5I^N^waTpFx@`P3NY-{jf!S-wVSYFLy zLUJ103b-q8`;}&Kbo#uSmBho2w(P~A;3<-X<64SIxM^Z$(UWD4fnI_(XJK8{^ zRdZnH2h?>?>Z9{!XT--X^oz9{ChF#w=)aOC%ULSDJAFq{KljBF*`imEaty0~diyysaCZvM-N~Z7Y4h4CJ4OwouyBZ|lYcUBZWFRwAZg?_&)fj2ZuWb zp>Ue}iobN;>3lp$*;CHDVy|Oi*tPmfj=y@bN!(mWS7cvO9gA55OLISxp1H7LVR|Vp z)1Jj0$Md|D#-oJ8!;Bj=Rq@=Wc{|bB8L!2(bYjm-%6UN|d3cLVaVf2kDLF%de7fYK zW&$HawXxdjouQ?eUE5_LM%~~ay;+ZIY>CzT*r)_^$5|3gbu;pZ6PM01mud}lxrTP` z`cn~@?@s4=M`%s^@tQToa8dJ9veQAymxKQe^WUZgqK(o1(RF=khA)U`C4CWQi`!-6 zBN01&_G-aZNmhRA;%Or#1xt1;Nf~Nb&Q8ge^d8QmD+`-*j7V*Q5e8=&mEym_Ntzbd zPFy9NEj5yol>Z!Au3P`j!&zoyagZ0UWHhESEM7WBvrRZq6BmnOZPhDOfG$Zzx-@PH z)plA^mMy&RWkCwQ{B=1p$@IsgGsQGc(U)ZIq^x+EZ@G#EYB80~{oY$Bo#6$v+Ufp& zru2LMx*+wHsr~srzOn7`d{|Mf&Gq`Zy5V2i-+oG?4=GCcw=kve1ltmbm^{U02@Y0r ztdJUM{!4{9KSI=lS}N1N*|H+Qsd3(LP;sdPwQ$tl@cHxa>$|mQD0=Zx8 z0*vEiGJmG;lo<~OF*8*miCOBT4Cu9XmGRL6SQXVVHRsZ?(p7E)nx1Bn3M{PwgafH&-RL->g3RvqiJC#-vV0!xiK{+O;+!DU?R?; z?`(8xHhg1!eYM zdFgM_<`gOt%BQ(;GOOHZD=punuc^hP)FWAMYGG7Y zi~y1;erv*SNl4NR#zAsp89hkbhI%Z*)HseG*cWG4oQ)a=Zmj96K!fkziN^yR%c{$Oy zVpqH+#Z?i|)|Z9pp4xq$VQ{QE=A+Tuwq{3Gegl+$3hA&Yt@?3F-=dSfjl2i}EaGFK zR6R?`jPfd9S!67<2&1&w`LA@^Q6;ssgWU24Zla6Wq2Uy>%sE}Jw+0zq~86^D%b z=Tnu>{Aa6?lpwd)GiDH2oaXB+zXcJYStd&ostzjNA`5bvtJn?nDz#;m!Z6S zu{=(pg*rLZ6+6+W->|s~Fdt2*6ENZ*k*{q(WSUU0No!aGb8SDP8-HZl9*ZzZ%oyJ2 zH@&%_D%0pT9BUa)xGCWPziAh4fAMXjAKW;%z9u~F93TB75-jw0oVeLGAoC?1X@AM3 zV}%CwKXLbVN81J2bqa0jOt0NRm!z&yd!1Aopkg5joAzd`*w%N*k$iWhr<>)j3qT~s z&r!O+sNYyEKW8iiN-)OQg@iAqB&SKX3ZHOva!^>5dNG53Gw^!bgnmQJ^)al z0W(=sP;B4UhkURNaRI%1<8RIT-S7V1V!@S7@MKa3QjDfIBhdsc2?8>ch+{2L=>h&l zL~TL|Z9v>10z>vT`q3q?LZF4_@XVAYBpmgyZVdM$OqX*5ioh#12SZ6Xov&0C9XO8a zemI*ja;KZ7w7K1qvPT~)yx&j1sQBXx)t#OE(k2p$(p9HTJyJHmqMvRCC+rA z=&-1iC~t;M5>6@(Q{|q1h?zl$O6oYE1Pnq)bbo-@{TGqrIX5W@Qfi+DefQz<{b5v( za>Px0Q3){w89#tiQUXy`BH(}X?B%N^x71>M7u`5C%k$Y3gemnLv1h2YO zvlU94HkEYb!|`l%da(CvY00~Y2(amdo7MuC!?M$ zU_q~tVGr1RfJL5foO_i7m7wl#HH`tJJz8uA%dSwzXpW&A;W_nlSFhpB%5)B%;QL?K zXRgf+$0$hGxhZlODW_?94gB60eSS*&QnC9ptb()ILb|Vo=wX#&kpMt9 zxGW^<`l~P;!izw#ZZJ%UF(8wrjG-N)D+{T#JV|{!-N}8TICZ_fZ`Y$Yxu0jf+#euK z>f}XyR3jv2_wn1tC!fe*g-yfAhEU$ha8h>stM1s+ zk8%?9s_nt}zI|-1dmRMGDU%INj4^~tKb|$EvOcy;bQ^A_eyc?ZJXR=6VRQgbPa?KZ zSyxk>1?%g!3_TU9lzIuWDxt{1^+qQUFq&X%0o#&Q{VK?u)wRoH>d8H5!0pGAkOIyG zT(0P={&9dxH3pCs5g6Bh6J^<*pz1rmAGSwpku$uWcONG|V11LkKj9Sa^E$rqx?htQ zKaWG-uS=>wpp;*oy*+Q&%*W@osw;aNwmt7FzV2UJfiNHYld85o-!rm{s=QqviZ>h0 z7av8W8+cDfAJwFs{~2Uoj0oVocM`8$xz%%sE?RWC)xDpLP@J9;x!1NSnXhj=8omy= z)f?b~ah7}&c-`*FULDNb1vQd4mNVEK2W&V4we(O89Uv)x=1%{xYt7B zeMj@S&&ORp%1Ajmf*rs`?cUl*B^?eKuRR*}mJ^8)tj^EJ2b6`LDB1TteiyvYCKg}5 z-QvRSu1qE9!QGZWRg!wPoXVqrIsWh7)n>b1i;2~FidP4XGdes!gP!vDrfD{xhzoB& zGwC%y6eXFlX*N7298b6lORoeA?LUE1tUN{?Px2*=c%~h^75h3pUhkK1c$}i|54k$M z{y8~bPwzLjJ}*m|KX31_8Z)|K`U~yYX}DCzr-%v}>*}|N#U~^m`Qynl1w<$UF6pXC zOhvX!#fZ61UXzCL-WZXF#d2a^gtc-|4-I8GDj=W0k&nGfmn0yo-WsQ#eenlLa7sx@vN@+cvwkjl^4S)g3|Vm-Kdf+9cXHQanhTrv@uRa#D^ zI_j;)@|p-=GCH3hxviqH&uO`iHWYWQ%SxeAJ0N&xpj^VMcsn(rqqqZ?NIHeNg56iS z7l!9wy`nV8b0c^}faFY0&X@=x!N(0orHJL0uU{o870$i?qm?54sqdX{2yz+be ze6Rhjk4k6^3@vOk)S;(|z; zD>y1UC9|Dwk+eZ`BQufuPGS+e=O*#ifTMsSRZmHgR-0wa6AAPxtFBTEW&7B0=-CkY zYtly8JK41q--5YtmNi;v@Ik-|`hfn2VByle+-Dw@j%Cc}@d0pG&4}7-9X(5Y6%%=` z(g>F@7AHcBcB%J*L}ByM3+FRhC}=(F`MvB{woHiAW80Ix&BO9IouUUHk?h3?aIiV} zO?9iumveO8{VOV3DiR_sHQ9RPws%w5|nBMM|9V{5! z=_b^flzM;9WdTWg#$4-MO(T&)pH(oeL>tctA5L+o&fXL+MJDgt=g~>7_onfeOM(Sn z9I_1IPGXV=lf3@*=7()Y*{d=UvoE)JIe5Z6Yl6Yjw)4&10qP{5LN~7EfxRbk3JC_$VWRh3Lzyb@|c2B)46K6%c)h{AH-vgvt=HvAFk zFzIHzQl-f7Dk@lulbQulR@F_R+u5hytA|x4_AE;fETZ6B>de0-j9FVgkP@SkT?2B7 zM+Yq-Ci#BP(WlQfTbEyB__Vk9C^71@$npxfX;O82iTKFZW9Uxnr(jWec;}Ttg)EjNSf=P>^8H)PS8~qv_{UA~6)LVoHO%5@D-N7Z}N* z)vvX!jeqFSPqXc{4)56RDYGH zgeR0R$?9u(03FUM*XT)H4PXlj&!sgRP(G^^g#=)AS9TJ#^NXUGcP+v)%gmk;#*6!} zqkcro{99uL_V(Uedsl0}KLkd!eodyIuEO zETE7E@~ZhVAwo~c#jkO+B_z@%Pm~AEQ|wS1f}X;8DBKQ5rsX@dpt4@dhY`#!#LL=1 z{e@wPg)tFJWvejM*{+oSjFyrX%lr|N-92p+ga_h2qP5;kZ8ENwl167|YS_@Ru8dLR zM>sztHLKHxd|ck3;eGao$2M%#DB9+QmQKqm$7E)0P`o$MDP3FrASf+gu4$E4r=6v2 za|ukj5hrnif2YFNusGT7KS(Rn1mE;vlViVT<5 z-`eGn)<1!Z3zRP)Sk%ogKx&s_(>)tayfd4KV@ggSf-1EQ1vh+$EEEWr4(6RIzLr=Ps>R@?O?$;_x+qAnaw zj=!s~gf6z#aUxMbm)xM1A(Cg!4n;E#e_Eif7(8RCn84|c9=Tqhn{W=C&DO694)mU~ z9Z_-tUzi@@4-h%|j2)7k_6=N83P-)ZDauYnRkOBVOK>XpxQe^)(xC ziRGY+F04{~!lQzxv(5Jpc?P|~JV_f)LqePa?hip$R0ntpLhO60I5$Nd75@)?grTS;1 zb@D-Ts`VHMJ>&rmC6*PN2RR~K;sq>i#NG={L0(i)fQ8+_=(4e_2(v>`ZTWK@ScAhc z9^*|j)x3+c8D96h$;R+~i01y3wU8|qo+c`qMB|QQ3T)xH@^b;G<8pY;>eY4}BWmVC z6M{8pDXW1Dcz&W@_)7voh&nd2A_Y%_?aPQH3=;f|{OT-9fA%a8R~zFzXu?#H85+=J zjeh7{zYK;3Ib5auE&3>8=^F)AhFYff7o#I9^^0N$3Lk-HYiln~OmiU(^}n;o5FcFr zoK*?re^SwMb{(!-nEXElk}}ce0-U#&s>Rs|`A8dK+EB+H{Ll!40Yo%vU6eG9c3jez z8W@@)H!oF2zIPQd_zZwwz`$f`L2OOaa=PjsGX!#g|NbF35+k_ca#l+%GYFv~7t!(s zvPK9Vy1C&5>%C)Zi;pM^csnn}x{6v20rW>fAjmD&Khuq z2+B8JEhJ@EU|eY{%K*`FQoryIHnU#}Djw)vGhyuBXxD0!VBnnK7?={6)}; zE3=ikDOj&|D{OuY0KE*FmdhtMQO|d4r9PFaG1Tb4k!^MJM-!h~wPfwr0AKurYnK?X zy+dax4O|Y2w9_*hT)qKR6;`fo*6yZ+79R2z*`n24ddVQ@mq&n&WZ}YHm@p#!J3vBX zhHV5&`CSv~De3`~JNI#Z5M#d`v9W5bBzNZX)Nebx`FI3^GV!IsoNL-L>< z95j86W=M>FtxBqF%?8hdw3lUvZs}mee%d=io`lY%-|S>@RD73*Cqh=>j-Kxa(dYzO z*|g7TOpUY#R~Rtwc6!4ki)WG#oKGkXjmohp10tg)jtPgbjbbshD`qBj^LMtQP7nqk z{zaJZ!uVh4bs#^!UuXORDCgRe8D)$7lDBu!hI@;m)RLCEo zU4gu_AfUVnVrsU&OEP(PfOH{Ij)w=aeDPT-Nf|Yzx z5hr9`?#DHwf)KNvsI6)Lo;t;|1kUidUBP-;Ml0aSz8pXv#oS)EyYIz+yz7Olz6Y9k z?>p6^cX%D~?|8@6a8I$U3LCVn3b{OAZN@+7rQ`O7(S>heVUdS*JrkkauA0R-bce$r z3U%@{I!vV%!V+jR#;a|WssuR5&FP)vO9Jj!}43bdBAXnbx=K0NI$D zrSI|&P_8FfkX1{}6iCbvZaz`*4bK&YGSES-E|@U+uOGZkE<=$}bI_^^7ckYpjz%To zZTjz}{5eL6oeDX~7ocq}W5j@7W}jeAo&vtvpd&i? zDkbFw#CAi@HzjR;jN-dcj8;4<`*>DTg2jJ3F{Q z$NNibq=v;Fyfvi_uA+ew>#tgwAowZzFn<8n75}16PEq}_{YJRKKvNL|EdY&5iyr6Iz7 zSj1UtFdm4G$e&|gI&hL04C3x46R5BmS2~n17BwtCo(JDKBZ1?S{hUVR`KGtblXQ5OVr=@Cu zCCA6i{}{oJS!*c(zBUywO6LH6acPGDr4Rkwzq z30r&ILAw~Nt7ANiipO0410a}3ImKp8xM~g~AZzMFllBH$>=XrH$~#MlMYw?D>uG{- z2ld%)4L`e-Yp|>P31K)J1f_ElqI*Z2kGp_Q+@8uUC`=_DSf)`?kCeD#BhbSCF0Yh0meW;%#a<5}mM%Oyf zbN8v4s%`!Ql^_#YT8a^v(1noPA^Wt@_RnH-%z)mk6K`k~oxo6u7ff=F2 z@1sgu-5;Z$=6C#?KbM;lNh!8Ne-JyBS`8rnmXk^D6Y=kF1ubUWfdJPlBq60K0b@le z?TZ%c+R}RtL<^lv*^o>&3QYe2p`f?E%V^F?$IlJBeF^+n`GYSsz{9nRh#-hv5^_dv z<(?aDOwIKJcnWvp)J$1wIs5+29oI^(zi?tbtD!>{unb>>e#DGt;Oop{>iLW#LUilu zizC~@X(qn%uk^yWOi`RwWc_FMHJ@0%kBy=K>)R8b!Hl{Q78q46SMeGgQ zT5zG_c)WMtX&O-F;ovcU3Wk0BlLxP?AS<=0T!$^diT(v}X`v`Al$XZ&N09~+W?j6; zh+&(>{f2^8IdLfv2cOk6?-#G$QW5@PzwxT!KD?gs_A#Yh0VzlZ93vZdONMaKG?bj^ z-BMc0o4pt$sNl@9p@tz1aQEu*dWF|Msw@2g@tBA6`_cL{$g!F`OCXArSk8ex>Mmkz z{Kil-Qb0pJVsS+Qy~7;%_d<4D730oa_=VD`^hnFjg#Lcz_Ea|okyeo(&iS?BS`11? zTcN@9R=b~`IsCX%ujZ9mK_81lmu_4JZ3b35i~&HSSSq3rheTYL%R&y8NLi#ehlhs}h3PlJLTFXp3TTdQ zu&y-eh+1w5HJ1VvIt7YQnIxQi8C%-PRb(G}Ws+k#d^UgRv|*;`|OOcd97rHRDA z+9Ifnj1<~o7de+QlDd2>-p0!OL{q8|8972Ynp-9-QKY4$xPbRSSNP_$MH5)Z540Au zp^s?Kx~8l$TnF$bhA z&`Tt;;DeEiskdQMn8?=#&dwXcLFpu{7Kc{hb_;QW**U-HesrVVH5=t`@mqM}vS-^+ zhseg6B(C<_OpATz^D!;~3<@^NgM}hY7mT^MU1Qt>D;~eu?+LL?O|j*FU&4HZanKpy zP=q8n6xvrJAFIQ>@ATE+Joejg@UL`EC2D0V(9FT_FC#GU_$t7YC)KS{Jf1 z?fWoa%tz;9+*emgmLD*RH7gJ!#T&f5M;yFa4o|TqT9s1mJ-kt`W)sbllF)nZOf$R% zQtb+yPpKtZA6~8#%w5?I$sv$wpUH|f@*cX1BJ?%ns#>Bet>i1W7bRMQoQgFwygzMU z9J;<=y*;1Dqi{|Ne#yaMVRP{lkb}x+<)mE$S8T8k5R`yqgQJ8Xqq}GUK%Cu{K`5Ty zdU7mM(lXmOaYVwfPnyZn(Vf^4l_U?@CF~F|$HZ7OyGMBBVV7)RY1-V;ZB|)KmNse5%s4=$`C(oo5Hr+og3#1a)XDAR(_GU1DWVtA^Cz z1oJz`S{F<47Yz5di$^z0C^Vc*?3rnG(lVqE1CF2=k?BLqdZ&e5=Pzowqs##adK>tL zRyJZvXHP809f?T`J<#+mdZ1NDp#rFYO=6Bc{1OdUTW+$7jkNu?!{~St`UZ#JClDNMzD4cVXo1qw7`k92|SI=;^*;qsc3H>qI{Y% z4tFf^^)1^6*X#`hQ{WWC4lGH>r-nTvf6g9uyr76lcyT`HMxP2yr-ZlpE9FPBmuP@F zYrEG zzvet$FUnty_DwnO7vPbsH`0%E61I_R{_%gD;HO)EP|tnYbXH#zzhKZu>LFTiRHgph_byc9kDqRwp_oVyqo@VwY(y~8ULjNRYCzH zv)V&AC6i@id6)36Jh@7fOA;*9kqe?FT^BxRH}_%X)(xjJ|o zjcsi`L1X=S`V3t7fb&fb9i^R-?cMr$ecpRm2`xP-UHO>7>*4Ocyi4uf;r(%Y!!wT0=5*ju1w~PuA$<3Q3Urnib1f$-n)||KWtZwI{bk3KZ`QX05hjlx@* z1hcUKZhuN8- zf5fD5Z1VcNUf8`l{CK$eZ1dti$KvJqdacnSpjOv^1*kf8K(er-7)Oy~ay!36)b9GH zHfVgHzr*V4^iLj?uF6X;NLp5lh%G_<5do%Ug_Rd8WW1H=lT1f9?efb4jA+ojoiDh6 zq=gdQT{4+=Bj&fy)wWSwEWFe#o-)F@l|T|s+W@_2V8U=4myyhbxxKfrl6D}0o6`VM zM?6QbiFM6Aj{3aeKizKdn9DX`Wu%8K&^C_-57MDDDSM9@#} zTpmu*g91ScR&JvBSbfYk_xuIN$t)Yun}Cob%3sJKBIpO(;?RZ9g~Q?jgs(z|Kw=;R z4=!4I5nHe9`3_Nr&n@mRVmp>+Q83N!&X$*uQU!84Dmpo&>|fj$BqiDn!@Kfk zp?PaL(o0}|LnkhvR6V0$my65LT7UvnP-GeIcbNk=ZD!0jY;jN!^(z7oYK<@-QeDr8 zPv}-vfiMrP>p#1H|9p1Qe|bH)dkHP|#m?ciL;rfdeSCkrNN@(QpktIP0IxkL3`a-V z6}L!Z;d=s6vXW%O*mn*>^TUKzqp%39tz-mAV$syO*?<>4WW4Lp@k0brQh@YL_j3uW zzwZ!JP*`3=P*1-NhUqZMwxj7N+hl^AlL)tSkl%n0J_m0W+`WS42^?BUVb7|%laEH| zEh6}y6_V>wB@@RgtKr?w_U+Pv+WY=k8J*b0D;d~hL2=58Daa3!s~NQ|R%QT}z$i3q z6mGWM(1RC2^3hkU(BT6C!0|HgxN3kFfdy*s4U#V#7g46Qxb-~oi(x_%Fv~Ntgs#wu zE4ZNG*d*eW?Cv|MB{HeSZ?_XlC9LLfuugV+{jmGxqz17;J8> zO>8ZLA7A?s0$-<4yJfU-!jKUg8g@Veb`ulhg>~k`b}5R?G|MM-*flObAK9as(BUX(oysRjnHD$Rm@NLdk>`An(($Fh^K{=|Vw<32q;5Tx|_M zl?EUUF8(5b4&GWnR^WU~3tl$9?_sxwfjJOltBFszqW%e26ZT4e?=jO)wBKBr2 z1qLL^^=S47By|whp_4xye5{c2HJdtkynR1*7?U81C#U1z_O#m4DY7fKX>LvqhnQiU*= z(C}z)dTBiJHTt@>`MPs^{XiLTET@lk_lL+#aWEnVTAl)eJ_mqQb(m+^BXXbQ5XUee z%cj^1zykcHOX-4Xn#<|HC%8>`b6%)1P9@ZA`Hw|GT!b+bz|HObGfGqzez4;ZTkHE;RxUQVI zVoBGDP2-FQl#Y6iJ*4LD^z`z0d$M(PQSA}$&(Z|b25bXrIw;wBTt&nPV8X7W2ImO` zPY1%cWbH@_htNZf8`?&70!qF zdKkP^?#U1OI40mko82cWCM+5ajp*tL=-mKb83-9xO*QTS9w)`Qds9FKQmxveL6O(j z8?E;Qt&-bF=fJinE9H`9B$i3l1WGg^Aogf}{hXC}x*oQKWt8tvHJXc824vy4n4WFk zzb{NKmXwHJ$S11YNT-M@@|fqXfxyw*)=oX)Rr~XpJ!t-8{Tmx@Zy)#e>VCckTfYWx zWM_7sYm;IeNfXaf&zSUCX6gDJpb{+P^!rFD)94*BEQ|?D^Cma|8R4d)CeNU^Vy~#5 z^z~ke>(Chy&wwckD5g*-O769bG(^h}(Cvz*+;=ANw2!2_lOi9%Py zjmWDw1f+hMC0iIB5QY9Z;Jwk-CP(2Ww10t}x6MLgPfks1TGuD8a(Q=pyYO>xpKC+m zXhXmL)V;d{g#4(P|LIrFS0Oj4m6(Nh>SM;c({Zk z06oE}{BKtV>UyWZ4u@bdUBJ$+S2u6T zqr1CNQ=I9?sHKdI$Yz6ZV6y0Eh^A3LzIV;f(!A7?^eOhO??`GJ>wld~mS z)PIA>K&U~!=^|CakWi~Lgf7x&`2!_HR%RFbY3N4gWe*mjWUN2Vw&2B${Qk_!zJ#eh zF1Vw-GIobcHw(eA_B<{qSvE>T{lIus>6B>$L=3LWw!V_I=N{7_SYYl+EbzwJHs1e~ zc4l^NbUs+uOq7oH;`sQud3=99eM?pY6(miJ{BrBxv6!5-K;MhHs5PQE))|P8%mnFi zpo6HD0m)*Sa)>XSZyamMI2n7k)gzbEcUFbOE*P@nkqWBk^g*O>oEHZE(zIw$QF+G+ zqufTC2qR3VH>)ucnt2lE4SQ7Q;kW4)?LmJdXS~I?v=*r+7G7GlLCNhTe}R=uUQ})* z{QhH5!pr;fdhmPe90_qj&LcBMyHB4}zK|K=^jMieSyXizO8b`-MkSUb@++n>dTAGt zBPL5$J6fX;SCPX@E6_b%6p#a%M<@+@`xcQaBv??Va!(j9J7;Mz3rl7YDzr-#k>j^D zaA9&)}mJN6x8#iATNAxl#8o?E6fN*G?XHMNH@e?!xj`+hDVl0 zzf)WpoXZhyU?a*lC@@ZJW@BL1Mr*X=hgO~Rf2__AX~x$<5K1IYGvwtXfW+}dm#{!! z2PNpnF^IQJ`iY!5gAf=9(Zsxl zv?4fnsDU*dH(`d%;hZC2q}eDNMK6IHJs+15Sg|Z(gr||X$zKFO!Mieos8lW$cYz#W zq6>>z1uAYn!4n*^Ea8Q=9f^|!W+c{19OHr|j&%p)SzIpQo?1Nr$?Y zY&keaWSm49<#$w>I+a$pe3^(*Wr` z1_!B9>=`Q=7L!V263AVgYM;f7YK9aEPys1d&Qlja9bSzk?R9*)A=V5I?!BF8PBdH~ z7YN!h8$x^smqhJYZj^Ba zaa@tB{cH8{*D@C&7ln`f2*T6aRE6(e+^DV$OiRhJDA1~2&Vl+sj4~QT*dY&-fN2R!?d4vC zX&^0J28P+6ET5Vbkya_1h=UTe%Mngjg?amjprr@{hn2USX^lI`eDfcHO$|?u?v@Y7 z+81_@FE3Z2>F3AZ+ieZih+(yGS$bB2MSQLW83+l!#6d;#JAX2n9u_jHz`qbR1oG@vGXa7Hb?t@J$^`66p4YB3YQs%@}Pz!nMTNw2I>JvFQ5fe zZ#nhfWLXtcV@=mmXGFPmY zGg2%xuZM%@GIwXDhSZJ&^7L?uP5S4bfCn2e4sAq2-JU2@1wXz15PY-;lnzYD~CDPS*`a|l^1)F()E&bqPEyRhv>B6TJ%QiibO zw)l?Y??OaX@PNXE`fpX`AHl$_Xgh)AkxPz3gEJ;(WGe=+F++8s`FJ>{OfYsjlZkO` zd#05 z82lEtGxt6x#@aFtPt%;zr0%8!m6M@;yxMgA5JTmVL^|=Z9{0UXyxK@lqT0CibC|M$ z@)u9^4<6#5Ri`{=he^IOl7F3kGrRD@qll7d;lf9>63$Jh?eA{Rjh6_%YbY$X>seh* z>=H>ebTXMJ-*Xxqi_RCD$4(H1B4g63Wfyd~`b9rC+WNAA^9$PgG}baEX_xaTCIzI) zbH}q#cxE)(`bx<;axn@gcj@pV=^X+@nNfi|^GIQz``5=1CDWJZN2A|2p{1cO0gqSc zl+=~peWL!z223N($2#jB6_f<7wi@8S)Da+y zD5zauY6S0Kt9qzvUuY1@^jBYMq>WhCnuQv9psnso4l6lV@2+GeLh@b}4I4KU0x21X zu9`oOhetR6sgB0+nf$u7(`z=2fte2Z++Om^^R2lUv16}(U~&9$=8ja}z;reKjY@WBvZRYgst?aakv-@TL_v8K8G``k(T{ZMT%F&m`=F^ zMD^##p3xAl(bq@qJ%gWoXri3K`4vM#OS(kv;YpvKm4L*aJLA%r?ExjoGy-TT7G;tk zeg(sQA)ExAt|}K*wN{=>kP-j#&z6>G#eT_ICfTH{qH^t`v!K-?qD<}DUNUydIZpxk z7`=tFP&=hVQ82%dW7FD{)WBKPLPo+%6p3}%(J5Cek%bm=Ya&FS)iU&T%N8odn!N|; zA{CFvA4cK;RPUJ=qZL0C>H6_uA}l%Gt0;A<@wv?$gy!|9qrJQR%g4z<)zG^$OP%hj zUZ-!b$$<`?4u6v{Pc~s=bHQ`K@#J3Pn+Q&|bC{lR`{drD4O;b!MMCImr$)ydPM0h| zzW&Q7T&c5^TBgOFJC*R##7ySIy*gSS}b6m*K~%l^&JXW`=}`tyAE zb$!>*^X8fqzA_pV7tZko$I6K)b%vvklIeLo5-Pc3%)fFhs$z^I->_CiL#_OdabrzA zQNk!3pfXigK?`Q+-bC3>RDS2qgy6(oN9jUaud)hALYb4n+J?en8dNpmPeL!Ro0l&d zEXzO&bGs7zSW7qH-gjz$k-XnceJZom&?eIuw*$KD&WnH9N(YhIeXP86R)5mVz37f> z95^>2&EK9hMuFDbXo*(VkwVvneSr^;{NVIbzi0_2)kw20@`rW9{vlr}bc|k{ zC2?z#Zc(<=TadD@TDeL2Hs6fXbu_lMA<`qhCU73nxcD~*s%dQr`b#jb#)y(ssjd;q zyCVJBicUh-QD#4)x#I%N~Eg^2|)iR1!<1v&D+kj6S8h5^X(I}OZ zx}MK}KE+BH_=*5#A^{C?S5oNod)ZG^TM(EZ8j7P+YfSL#II|PhL`wwf{JN?T5?{?Z za}0iZ8f)57YDk)aPcIL1_*Y#XzTTX|;01@Eoz3^n^p?i`mMW>oQ4h*8DC=0u95q8V zKk?!j!x)E-?l~J&C)=xhgtILwJwXDYsAq2g#!@o@aNRz;)E~Yc;e6lQ@T$WzZXKB*^*dW6VGBp~yZn=k zE-FDEytP_2nQ!vzNc!~kF48th*~s6nQp0p-_Drm$03F1vD$!GYUEl8?$e;Jcq4mo5BI=xr)su3TsPGWkw{Bu|Cu!KuC7x#% zn_*EE^0p!_VKTy$_I+_vS~6+a9A`ehyZboX`^fBy)_ab5tS(;UQBXr}l@=8HCtI)B z7#FD}u(&S-z#UH^ob*s{x^6QpN4bE=7T18Cn-UTP;O4Wxly{p$O0V+Jm|R(A0y(nJg6#O?B?!3ZuHaJY>x!{Czty zqftYMDi_S3nPvz%P<&ikhAnimf|h0B0?dKKl^iyhDh|)b9Ba6U{Z3&7R(3bZogpR> zm`2ChO|%)C&|e%9cX=Q?AU{DA1?L9^9A)e8=*=OlYy~3++3OvA@^*H2B`)}nFDtw2 z+ta(a9-|;wKoU%`3cpcNHHMjA@{%Iv zmKWEWE@^Doxu@CQk)L|<6(S1&vAN?=62+a(DAWq(gG;L>=Bs zIFp|_C|?}gmvSL#epw{CryFv1392)jeOo!zmSER<5h9gi)9-g`egTO`xi znu*?nIW3OJ8>P}ak;QDhh(<_iV4YdY{N{_TOEY@1TJdoSlcAv#CKhZd;g=R^lS({g~5{iF!s_SH&n=6G(YtD*7tegD0tqhZt^9K@Rq4wjV&16BJ=` zg3U1tt84dS+@yEo%Ia!_8MGi%l^c-de#c$V3TE#bJL?D`4mQ>2EzGA;%mSX1aCGCZ z3)3JLXiT+?VOZp#aMCfV!YIs|(Au<^SJ*Dx)>$8B#kG_eKwjja3j_tj$S{+I_@H;P z@r&wLP}aoKP1~pA*XdaS*^S<-cLgAr?t6vryDJtp&qAGX=1@!{h2o8ov)Eta(q02! zRy_EQP!xbc2Rb@qv1L^em+Qy{eTspyFOo@vy&!`t|?Ris124Tc*Mrdp*Bbr4gA3W{P8Z_JVX42}cy$`KV+(hSqs_Mv5_>5^ zTjuWmPRz04BNkfboHiuCpDW8{mrEx&utTT7Iy8*(8340dO%~|n_vsDBAS7ChKrtPo z5HA(ws)Ij19Fy2u1~Km8KQSG13p`XIk?ghl4P$&RxWr&Qj^;5(Mi4+6X$oR2`3T}Q zO@|+^HByno(O7}a#Q$`Ply+XQSn2lm(VV=UJ@buoJyBvZ{?G@EqWZXkA#gYMfPn#T6ks=9{#7U z>+jCunWF>4L0Y^Hd@!*B!%R8V#*26jZyPg9xGQG>YR3|}67u0Jc@wp~h$@jc6=ahE z`1!|OfeM>!}0p7{kyis=>XQOp+QB#YO|SB=u0DDh3e)gHXDT1?jTh&$xeLid}mo^ z?!Xhjzo#9^S&4Tav-GZ9ix4qaa&8kEhcfYpv&%5-+y*m1Rd1PVR5bNattWq0Ny zCA&Gn#wFUE{cKPJq=oF_Bknbmbh&es{%{PVPqsri_Eq%sd>+oYhgP2*+%cQ3bmaAt zomMd~nD-0=`?u(!4!(<7vZME=(@v6|1}5KMkP3LdWK>7>HH17X+}L*o6dDkFfrxkOZV@Tf;1zsSE*SrYm5Rcp}C6o{kFA8@L?fn z|EH?{0yGpbKp||az%LNeWleA~0~y7oSH)-^a5_~qr!FSeK?1GXz~6tJX4LI>HgCaq zzcim1U2d?+xCAig>IcbF6^ijF4OYS&p}UF|ze03ShP(GTeohBqECI;3RD>wdV@{wV z@4z(j04Lj!kj~NK5{vX&Wx=0KSVt}(d8m%pc~ND%!|n56-wz+d%S!!ez!Jc%+Er|w z)(u6=-X#NXrYTFUcSDbr61F5y(XiwvZxhG%fMqa_0-0aR4@p?$4DXfa?IcWpJH^bF zUFy#&8xFE3(r6LS6jf(lG1|K<#EvyNw=QrwkNvc_g__UH&$VVgQ;7NqyGto#B~bu8 z%#_7&%4&b)SowY2-ripB9$YEGxw`T$>>tGr%VN;W zLep{+YE`L43!efaT3Xp7Jf6F_KtfwIef@4Z18Y`H!e|2IWuc)+90D zV{qqTl37RWWf{W~$3*Q5@bIrqDw=+7BVFR;>gtAs+`t^M`?rF`74a%^wB<;$QPksM z>k^ZM+sK2q4sJIbr-tyul{IcU)NnGE`&g82ji!7Ws|`-t^+|0)>f_APt?g9KVFAa( zxWomPFe16KKezBBH5-^OlQ4*#Q#`mw1BX-k!4ax_Y0AQ#-RDa7A6+PSsacC7gfgno z?wX|(&lrv-{MCSgq@-}>M4-AC9cllZKT=CjF4fahJtZ&Z*!kWx?`| zv*J~B-G$OrJHr0RVrwVU3|EmOKSEyR+MPhQEuA1MWfB-AFE8UA8p0h5a}1$jSeAwl zwBm-YOVSr$e0q#^aIunAf!NR`DuHRK!KkJm8DOjFnU@FBW}(e1k2=wR2bViBD1*}~ zgCC2heJ}gbpZCX~?TMBZzZ7&RBJm0l`4g02XOO)gw6O;5ESMb;OpXJ{MIwoKnAEFh znxm620mw%3w=ejVENuxx1BJEmQg~-F7R<8`=cw)(@OcmbFB};goKD}qUka8MKNm`v ztEQv)B}NFA7QG|O%3aXnT}AP(P4Uyea2Kg6@M zhH+Rmnu!>K&v1>hIx~iX56Y=qyw3Ur7I=*PRjiDilC$?l46{v8a5`DVVgp~c{O&+V zKHKo}Vx8Apnp6D8orUj%=;+aFeI6sG*}#_~nJ4(toUJprL~oOD&I4jQ`|DGUkN`>Y zVBlQ%W_-~U5z2|4=p4$h6}E`YY?MHJdY83mJtcMhE#Y5rq@$!{6`gUy5eM9Oxz^oe zz0J5Qhn2045h9f5l8_VWaA}ym`J!B&Y7uOS9HP}WN4BuO+>CZ-NY&(8Tfw z?*|b~kCU<@=#^2dWCc}yzS0dNq|70kwd(9Tpy#yvm+cT21rItu0&;s0%m)z67Y?*G zJx?PO6)PJ@qZ1Vxv;|D(W=!>s!#4Z^s$;zgF3G=SwgbeTH8y>jGk}FiQF^Kf$PTR_ zsOu{(abavPH0tyFk-AcF{b%EhrT}3(9>RwsMaIXDsDY*(md`omw}AmtP;z zioXxM8~s1&!o3dod|u9Ly1%zW+88{1v}K~tOqY-la@(k^n}pM?ce8`BT~(jy%sE4cyn)3)9WB3@pfx38TSl42tK70XHi3Z+@!Eso3r zI@a3AFBM(p@yE?P5-zLEHY{i2hDK8g?@#1(c8KPeriAP+VRl|B^2DATSyjdE3OQ$9 zggV@F$oLNL0ekc_uX4pYZfBG;W7$stWy*EgunRV#g?qVTy*XFcH?A=LOb!R+hK{GB znL@hDJeSrza9v;+xN;H-YmYy9#Pcpn*lF@x8^z7?v#m)ae0*mbs41pq`Xg~$G1YX? zNo)t>G!^r)GwA2(@2y<~-7lHRY|qG@1l6xj9f%rdJr$)__L9w$Fzsi!El_Giy> zew-cm6Z4kMa3fFPG>Un=;pPieCppU5kPezcH{=ad6 zFEZb4u*H&wzORdCKrbSkYA;;Tv2!NM&Um$w9d#7b()8(XI6;uChLG8(fM5=GpSfh3 zgcUo&P-w`oduoZ5CacOEydS-a<=DEbKDVc*x3%^3w7a>#?*}zb>88BDUZ&-m`MlZR zuP)o=%wFC$yS+bN&gpzCwJpo!mPl19XTbGBh$VBf05YQSUk%Z-mkn z_i4OWPqC?FiaRD0p^PM17&I-MiU^USi~(A2E3d|Yin6i22xhO!rUUHVcyn=%bH&+G zr8-R{Q4K8F>EVP*`oe+*t_-{0Rf#+6rG(Bt^~yP@VLDNH3wKQW#4*j&5i+v~a~g@W zd?FIt3*l7ZF>~8YdgXbUIUNfp=3e$VL3PZE(XvvJQNm99#{LfzsaYz@A&o*shRlS_ zMgbBNJJ)n=Ny1mv!hhRKl?j=UjwLKdOg;kWxF zv>vIj2I5QWMQJgh1}D5KztLCU1c&5HDtjm!p}%dwR09H=`{G6ii_F3ONpD^M8OB-@ z6;|i17JX-KM229Y=H*TAOO zBPk-Pita9Up}~?+ND~xId-OHC`i+l48hOQ+ols~5)t~}*50nD}PNk|y4WOCaBv>T{ zXU_cfq%tqba-T%odDnH{kN_5cK^BTqEcshTSyPG0F~j*-60tnMvg;O6I#h}y3U(0A zM+wnPT=t>du$A=c(RI)9(~r)9dG&NUI=b3g(t3~E*+Gt_vpc_c zho8S4-ggIIRXDo69~WUtyS(h&yuAmh1=|dofFRD8mlQzYOJS+UMv0W#bEt#2O^6%H zwqg(wTW1aM+1G`Am94qEdW`)rLnTY|np}H(h>dYmjHTg8#nT6I;v*Wwo#JCao%j`n zpp5$0K_rlWJ(X1SKq376sjm&*k}Gp!eg4QZS+f+zPc-ufbXtZPG!n_4 zr4cV3!Zgi-*j_VFp*24?b9O`%$0tVtbcHc84#Oe=mvs1<0(n+E|0zqthKGLBZ z5>%d5Uf+?a)nJt0!liZ35*fV`loka$9MURg=s|?Ap(LS|uO?AQ43cc9+@*NNze->rk#kYlt(N$?i&vz6)>@c@D8DX8rVs?c9IV))#%f+2vxMnoR)0QoTi*>?f!h^rj?H?0uu&!2RwFcxRka!$xR zX8aOIUV8t(STR`Ml>aaU#AOe~*+53aI)>ze3dqgnI zEXFT0zR_g@3W7-gh~URD<)!zlaf)ys@#SxAo+T<3Ah`JGP`YvUJwO=oL?HaNtk1wu zjxP@bWrPknV3meQPL#mCRj4n|GA9jFi!yhRkkms3iRt#xz?JQhDcai}@~l%XIF!45@8`VxSzb)XFUdcZJ2z-B*( zAcPqkBVw|%4=ySS55kh-=3letg&7=#7)TuevFz&7gQEyX7L@O9E?7{*(xmUr0OQvw z!;&YPPiLE6#2X=ty zrvlUGR~W;e*AEVqgw@WPfKMm`GZ8byj}ZJK_75)peeww(7h{C|9~9wWzI}&feGZq8{HrcdVJ-rjeT)V zDBt}P{`QbCD-dtBFo*v^gQt%otwRXfLU~5;2dEu5twYRjC_!H2tr@Hbl444y{u@U? zQi2S^3}oIpqAu~HQ4sO=DMB!o$CS}%WCX@=&NE{ahh>CR4rKtw=-d6E|JZN~v|RR; z%-Ye=FJ~~I4$xQGRsV&VaBVz;BA5dSF6{_IDR6BH*T5bu&m<2?NdqXLAqZ_{%yCou zSGvb6h6$#B;LONk431#`jBv)e$o~sGRXB0Ra+NVqe+0}pW|B6N(Yz0VFjyWB7Bhne zIGP^YoiYGR12aLMehc7_C3-{<0fZLV7L$d!@TQ1t*<5T8Po(#X!yQb3)UES2^4{4XV> z(2~H-LBMZCE8gDx2n5k4(#zeEnnd3{d1*K+tPk;pi;-(zW!|Y+4y=-{dz3U{zCRx|Eb5^?q9ykHE(C{rq^eGzs`Su z?LY0e>)CwoOMm>(y9+;gIDjowVKFLzDA1g&vn(WG;bja{$ zqysHdX4+p+rkCaj;xSW`ouns8f6SmXfh&e~ko=v2#jpsQVZWo?v7fN!rxasDKWRFk zT#sG6$5Xs8QVJ_;Kuc^?Cee%2^OF*eJ<;V5g~oo&1@IG-rDOtHT!(kLhN1*_9zv5b zrz9KdA&cozoLH0sD9X6A!7fIq5TX7HUF{1rFa#pa0+V4+#jcW~G%}d@pT|}lC#?3A zGVUvnKOv?7oc9x4KlRl17IBI>-H2uFGO_!j&o?CI?K82deg*g;FX3)r|0=qrp{8Eu zLgGq#bh4?UFv#^NUzu5LPeU?-o{d{9;%rV^Y7H_B=UQr=m)FQ^=WJHjIhLh5-!Q3~ zvTj~#-B&*zg2tCInC}SJK0X>lvZoor_T&IY+1Ec$I^S^an#ydgBNm{{A?&9Eax-b2 zqFG*O-4EbwzOlwJO#D%v+)z9Yt~QFUtDMSYU22V87Rtny1>MmoaTxbH+Zc!sr`J+C z9uiLOakA0KTdSYS{7D*HE(K6Mp7K~|y)WW)Nn324Y?!Kyg*VDvuc~%9*2vVFUTkd* zT(X3_Ef6;IKnLt_Hk(>bxy2yp;EP!UrBp*-yH$b81-oew*!Z=%h*X2(JmG?k+Tqe{7~YKJlwRUI`?c>O zaOH$}IizMO_Qz465Yp|C)>d%2y~b44*dmvvO!b&TH(#Z=qmm|^+M#c63ryvlsb(Qf zgFP#J!Xk zcFJc+&Dvkanj*DHs(n+7eP#{r9=nsx=nf5Sx$$#=C83@}aoN5DnrFj8rZByDTCGBk4g*4R_fq839w{W?=SozC_MdFpYQ%uvEpDL5}BZtLd z^W509Ik=<#M#wBB&HNajzp6o&s`d~!T4m81Ku%Mq%jxZryRu652<#J-Yz1Dn=3)nI z89?>2e<>?Z&DnbxRh(&o8hs3Q--(P8fXv*^E+A2!k)sejqbem^lQ96*hMTb?y9PJ| z8$|y(I*}v7WoIs~!OR-QOwPb8j=;pMAGI^|pCQR!o+S0Y4&9?vU)SenB)2TeV^x)` z4?``$tyPxh%BE(aPgtHmQ|-|;LoGHXD~c0W#I!EO%00;bWn;0-j8#-<`aE;z zYZ=Uri>3k^LJ@+3reQxq)6%*`&7mO}+-`rfhGC8=Y9L zZRL(sUmejZi{474U51|0t|DMyalK{Eu=4p^JjOJ zFfg4L)miOLYm(*8^~j#O4R>NisJJp(mj__o_?kQ-n`ii%jUn@Z_$K$MhP7Oq#p<%U z?vL{W)2`%BE`{#J;NK8j3zc`8nobT*RD9pe$vT`Edldl$Q%>~%gg7yl7Au`|6Z4K2 zd-b2L^x1V2Kfk#!lDII&C^ZwkxG>C{e+R#iIMTx|gLS9nFKT^bwhI-nNnSW)@8oCb zuS#fnRb9;x&7485jqxR4%^X)$W_55q09mtK{+4-n4jD4rz{RTi0#qHBU(nIqFZ5`*^TigH4XM z3EgY|3hqb5z#6)tIa=ni=8EMX25?HH%P{ zuY=9#xeFGb$nyUjW5T{aYQ4rkYZ;J6xv15%YtXfGnsz6016L00PV3VT7cS1eS5CNF zH-@Qx4xX#o9&us3JrOsYEzg``Z016=YGvS{xjDa?@gYw**a@e@9h$qY=&fmaGLcUl_W_F4W+YKy%{Ke5 zwdujs)8^KIvD6^*!CWa>RB5?Jc1?qH5tT6%ITdS3f-9}9+UM)5+owIEy5Dxs>aL$_ z1pC(3EP%Z2^Yy9!x%m$-O=;e+Ze95Nu$;B!mbTOA)FqYI7$@}QOb11@-TZADwh@r8 zPT_RhFGN0n!5H2%DB9ISo%P&{+_~a)a<3ElQz5Y!UX${N(P1n47&&UMz0rc`v-Vv+ zNc_)aHFUb_*MKV4+vAJ&t7V^#_rKNQQv)Rt7o4Pz^JtT|Pstu8ff3E=d|-~bB1%)u z=5LuP3DM6nrJo6ibEd5#4(8;11tJcwv91A(&=Eyq^rsIrX*RVY4#tYB$r2`I5qA!j zvm5sHTk*WcVV5h)s6j1Vt_@|Ur4=@_+OGsT_tKPd=EFNf1OG8VK8ELWf~S7lecGhE z=JkA=FMg)eMAg&INWKpbAHRAVJbWE|4S%{T`@TAR+&2BwM8ylT z)NvES;{J6a7ch?}wPq?=C=jM>4C_+|TeSbZ#+AI;WPl%b^~z$61Ugj&q@{=?5K8~2 zu=ndbS9C;9sk2nd8^G<(T zz1V$NWKEa8v9JikDUQ(ay(4ub)^+}5h0s_3LQ&?TVMT@8!a}%&8pj&HV3BJsG#Ay7 zq&%de!adw7UyFF)ByqZq;mTo*JEuaJq%vgiba-h}y<`=_@NF`MQOQ3lLyFq{b33G_ z?mdcx)Cwe#yXe4Erl?Xc1HOg5G81zW)KGq<-92&C>U};W^q3$u#yI!{pr=Z4Tw#(2 zG+h^@qP|rRv__>RHSW|3fjRMtXsfx3frXYZtVPGy2=}%6_se31Jp=zp{0uDz;>1#! zQ7mg+CNN}ftn5NyDJVHmEm@61Q$u0GwcOQt^hag#M2SMpSeX(XdGH3 z7$n6kX>)Tx>V-(gze;HUmcIN983C3}fHm&<;q%1HCu=w`f(9{wlCY}KzDPja^@(ka zG{OdgB3o%s@dr;P7s#OH8og{L__6Oa`^o_9dj6DSir*z^i~kT&g;{$tV@PZBgTeQp zSM-S?{U>AmM(#vvdR5?q@%WuyOy${i7EJ8o{1#qe4_4A3KR3=0uI$3ye0(EIQWQaI zZWH{=_wsUmTRJOuHI)Zx>zbop`;*nj#g|8?b9?tBD4P!u>+kF8TL7{d?57=-?=@_z zv+wsN`1h_#VicYo*_^Ad!sG4#t%B0*?L2aZ35c zIq})B!&GO-V==Aw&*rb4-Q(S2xtX30@9WhjUsvbnY5(Oko9#B=t7Vt>`}6s;TvzMY z>u9@==ZEX(_>adc@7HlvXzuuy*2lwwf?DjUV}nkU0;lC(R$d~jgq#X&?|WVWqsmB@ zxY9CtwC&l&6x4YbBd6LbN_wJv>v-?}wB(dV`vD-+&}KwgB8>Jjb5e9@fkLF~cWro_ zdG|fV{`=@|_?Bjva-h}C=UihK^6VLTWcf`WFIKKyEyn`%a+_^lfBEZ<2+5^vGXGSI zD6?!@ld`B*Y20ll(RD;ghD#S?QiM#GxX`;`lML;^iJ{1BLl>CVb%Cq~MLEFMZoP{= zIiairMb~6q-gz}nc1mfoJITDk4y4>7V7yB~Fsx0gp`PNRo|1JhjWWA}K}Th{Eq(}q z8_}3$XlZ=QT2@4|>$Scl;#U3drrEPBAKe~Z!Z;fRJScotWOYqUK~oJqE~(>I;ZkSw zbV}3j5E<(m`aHW5D(B3vjHQJaLhjYYA_ zdF||D*hH(#=kc~Tcvz0Ab@cZ6{xk1i-kn3`3%}L&Xl^J`j1D8Y_7255&fa{Jac{;B zMsaQ^Bh;pP!hgFaBGT!gx%x#>g3ktZjvM-^sYSaULg|9LitGek6RJ5*kQ)3_uYfT* zyNz?r@u;W-!wxPP4o75}g8Betfa{w0qi5dSjj|Ex0@KBAb`i$)gu8bD;&^lm$yxft zsjKF9DIFzy#^rA7O#GAcbtDY`B=GD6Jt~%-8G>mX`X=|bEfDE0>@UK)vh}Iqa$JcIiL$Wn+5uN5)xb#M z+(46JNNy!i$WGJgRs6lXw%Pi!4j`Fr1aBYzwUe9sr!o-#C5yzcbWA@~ZCphGB9|sh zvZSsevM!gX21R0-OFLnI&^W1PqLQ3P3Xd959e~{A5K2I*LPDTvMM-Tn9Y?(VTk$Z8 zy3mgVH3+pVwyD40f|-?eY3@Z5AW7=mC23MdP(!UEZNkX>#LCt`lz@VbF63g z?pyEJidk633Mz&2R8TRjT8HXPO4wI^yn0CjPhGpoQg#~uM<>C6+_d=QgjT55aiRamzr9sI&vEzi_SFYKS(_<5XfYNGl)}63z<+6G_J!@fQN|zmtx| z#$kBG05intHP*6e#IVLrHg2TB@rQ6}#=m4F$;OG0R>X+=b0q4PiGgJd#{)<$nmQIN zmWcZx9@4m(N1xIT+{dM61=jLR# z#leX&=cOxYfQg7JNksD-6N4HTXK;rxY8z$fvEt_E*3u|M9W3h|ER7;g{wbU?oSn7t zYGBJ$%1AR%!dQZy&s9`LGTb3Y=v!kh9WgbOJ}d>7Bq$^GkP8zRmp0lJoik9nS`~rA zE5&4WwQ2h`bicmb$Dh5;H!uI$wkfm|z}@O_-N0dyM)iEsw`6q)y~e`Ylaxt{A7Hn5QHjOjaa3DGkoaKysV1y4RC%SJM)actze< zuP=_e>%{22t`eI%2dY#6@}Q|4V;)HkKHmcY3z> z=G*G>X*Uh^ulB8dOzbHN*ur+xmuNN89?Rz?*skS8^fnf@y~solenza*cRBWmk%;0PzrWf}=&Pv1fHN>dnW$_Jv4ZACkvL#bXo zSRnfVubCVxAqo>mpu|&vySxX9`!^p5NfU>nb(FeKg+6gSFcL2R7{UOQc(PiuWz5#b z*WdfAr}s!S;1FXuZ3WYC_BIPg1At>ja$nNyrdB@*h9{k*eSWYOc4le=S+Z94z;zs=Z)aAb!$?h>tcXJabl6w8Mv$Oh+!&p@t29E128)GMHUc6X3Oc**J zIQhse?o3#jJZ6q?$0X6o2Khp8%K^r%LOWO?D~*h840NcWmRzeF<;l}@*?JXJ?60TE z>CfAGJ}&h@P~Z}^X&y4<^_M)8zV^^tc1y51TmpU0`Y|P7Sjh9MJC~-R7GR?c;&5c7 z+J$|8QaQx`vOyyT!r_wuAYy73mwUNh8>}E+R+13p<*NCA`ceh)_~VSKp`@Ipr7fTo z(;%+v5IcUw$o8)1;BA4G3SSq6QanroVFkDhJ*|pMubA* zQ$dqv`MXS!11XNkdnnI3@lZFQTXb9nrA6SSYs@ZMiP)j+im+VCyElIPKIziS4kb=u@ zD9Hd=u@a8U*=>{IBw0>YCbq@NO*k+iyJ!q*-_tGC+V;NVZR8 z_p)&t>i5R&R*z0_Po3p- z86^Jue47s1oB?LTg<|Vf&6Ov*Kt52}>!Nuz@#u&B4DVqXL(gxzCc#T1zDU8~z#{mrwEJ%Q!Xb&AO7y}CD*IiyOjB3}{&?ew*< z_q(bgBr6Usa)c@H4Xt^Q_4utDmHJM81~E6|n8t=Ta@`2n7^P5Ja|2-sn@0I=VGoUeWRKwQh(2q;_Ic?#^DW?57 zw70$EpC`7}vKs1g7uuSVjz1>@SIlk(J-)mw2<)(4@GBZWmdozccX@y9e|(ST+xmEc z`|@D=HO~EN>G0lv*&ehBj!l(7-a-c-T{epC1W=${Ue{rgkS=THS}4d!VU8iRQJ6wf zf-;Lm5-U&*4ZSi~$U;IMD9w|PNs)JbaCVWD76%@IguH z=`Jn(dp#3bi8uHfKb{XSSG=8kH-;sdW=zHKEO_AH$uKTOdYzDAa8I-yTQeblv(%Z2 zVfMGkI5mTttaRbg(-Hy0qDTHS99fZ7c#jY+lF~N94p9P_w=-cgbOutA;s8>vM)ANw z{;ohgLbvzV+1cv7laHe38)V;>bym7QnJ?i1+k#1phVKlc_#UDWsk_eLEKrFfp$rk+IZ8F=O=}F*s)vdHQII1jn`Gzb$WfG_{S|)^M!%a zivX(8O5e_pJb|@#N`NL!&)~N$=P86I)l<8rejgZWIv+U z$a3kPAE()ugNuWU=hd{|p(f>DcTPyQl5TM}U2w}k@Y)UidbryBGYMAhH5Aam3ydW9z>$~#oKT~U_Og5F`awZ1*Ulit9h!Hd-x}ENuX*Bg{D=Q zsz!S=K-CGavg6Ne*{_B<;7Zrmy}QTr>MYqAq-e2noEZ>mriO(~^U^}Vrrte|c;lRJ z67I|xsAd2%mTKi!4XtgiiQ$-CC>q}V5au|k<{e%`*FQijlesmlb{pKHB1}Wue9z%O z)d2gnX>T4~M7f4hj~o&~L0CH)ctQ+Os)`~-qn6S={hA4Y}s3QnVQ*N<$x%tWzN z;S;eu>6S-x-mkcnpeUapjqQI3JEvgLfn^OZ?Pc4xZQHhO+qP}nwr$(CjlCD{dOi2y zoIE9&R8py$n(ChZ`~P-MplhBp%s+mBD@Qb_a@1;?Rcr3iR~Y*uEI)8*KKPq^lPxn^ zEITqR88m+$979t-tE*4gpc(j-TNZ^DEDI|#VZ)nsC6dTnU!(F>&}pvNRHA09RJ-X$ z|8emK#NUZYk-?_eE$DYRTe)>y0+coA#D(GPdc zPx4RkqSx?_c6rTjmc#4msmj&Gnos!oIR~_JP?Y%PB`LPf-h zSRJvI?q$Zpo9XH@>-_sUh-&nzAQQeK_H>#-RndH&v8dTIsXFKEm}?|g7Ic+c0bmru z*VoUpWbkNmo&kBAQ7SU`oZe9l^y4neJoqA-n#A&#EiQ%5k|#VuQ?0)6FoLh46O)H8 z_o{ihXk|_spvC~vl*3bZHZJ!cSX^$}1u?V?se!-hp#*xS+~BwYqG+|~oLmyUPFf=m zlV`00G z0k`oX$A3;ZEV6_7irg}T<2)a-&}W>V2^`&qAyuvMbk+>GJaHaj>U2KB>tx}|SRRO` zjGnlYfK_R9Pg5RHhciS2jb)=0>o0KG_`1H?>B3L>^nAp%nz`N&?+Ei@ZTO!I(_>0G z>dES)wE|6xJO;eaV4r29bF~t(@w^GdpnXwa^ELQRaF?8&sjKcpPJ2! zXzaHi+eyNiD-pLpa}IMC#11aoPk%oK;qkBz;bN2=&@{i>t|sa_wa!$mHUrD!%qPaG zR!0e1{<6d@l~`7wXg-s#P?{-E;b4}fE^Nxz^!^bTz>D)b%*g%x0r=1TLwgnD%WD__ zfZSgvW$gd%qRjZ;_77Jy4D7Z(u2+q2p1Om~;uR345dCQghUJsC5*G2r-WeRX`b zcWpx7u2xJvoY+1OZoZCoPE8p;UrfK=>9K#j;-QF?P->hi>j{`z=QmVXq(YWhH`Up* zX1X&J5<{ss#5qkFvO2_5WRM{_;24^Mq(Q_o6C*NfPcxb~Q8*zP2Qe^$Boe!$Mn$BA z6^0efQ&Kc04G)^k6>m$L4ECXlvI#CEyZ2^_XO#~Mm1NCmt18Kh>={JMLRi=xF;pIl z3sXVC3ZyB$+Y1Anc@rtB!FT_}waE`R33qG!36ScZ2_7*6kMn}h}_b7$fk+pOf0aF&C|XGZ%l0ZpiOWbmY;zH&?H%NWnV?mq>1w~t120M9Qo~~*Jd>4F=oWfE z4LJ!x2n>)t7F$2X{Q!ZQuHKBLsj0u0skto=>=9atB5|!^LZo*2e)0@x zJC*{Iq7-8HG@*74%i(;rCN}%`RABKbbW<^VylE@&*NcR)NRv|ZT zjxP4DYcaQ;&b|)aZCE_jJG7yZudf?KH6CjITb#vya5iEq!zkxr104e4T*Ihlmc_z~ zB+K|xo<&IUxombpPWr`V{G2ZOG@3v7#s>01r>bPZ3(r zjlT{J8Zdh`YJEE}YjJq0a8n0zS7TW+XtK?6Q(6!wR`bywsgR;fZ16%6r^OwDEI7ea zb_>bVTOCNA0L)5!nemO7G6+?a5uh`SLA^jSc8ZPe zv&1Yd*zgcj#3p>}iOJPq)!z}=*9I_vn7nZ`5=um1y{db#5-Q*$DTUr*iUpP&;?P&t zQi_ljp(%)Xf@7kv#xPc^@ysAFYr3ySPjfdN$mvdHCH0`4X# z3)T++D@{`mClpIA-XEra84a}9^O}8ua8n1i5?I39guG_9Cry zTa8zwReOl43>qU-t8-S(8c*dfuG4?d;OH3_BCk`c9pqL8#OuAAy$sHHJ@dLDQ&;ez zNq zQoBwsE1y*W+8X~ zDykt0N>l@=$wR8Wg@;xQrmpFEeviE8q$e-&i+f)Wzd1tB>OAYmd@`iuhv)hd$edmu z7Y`%3f(9~2Lh|+EtMhUU`&vOOC?9>fi}74TxiKY67vvczLl&C87!?I<7jM_)hX^j- zJ-2SaH%M;eQaFUh(xZfAeFbE15IcN}bDuK>R(2G_#>Mav@u<-uCl%&KhH@Q2oSf{K z(zK$Umpd-s4<>idG47iQnUsa-=N|#jR@ooh9_`P?6Y{^1u&&%fIAXI-QG)-B;LE_bg z9+H51oK>vIF9HY_VAauG31_3)Ok57bdJXymXIU{J1uU4CnK3mf(do3jB}iZjkF*ec9PjKu9tHTtMk3^A#NaREsgj;}1TLAxJ5}_Y+;GD+a4*#q-#dwKMSphz6N{X6j=98(xtwUpz+pcIW z1-A;s69`;ZvMOB7G_;bDkIZ37I3jShHhHp}lC+jt zR!0JbDMudi)RzJJHSyWUjNaBv&t5I(;m(DFu!BSq29lLmy_V@blF{(zI>$c+|AYxV zLo14MKzfGD>`~vYv-7=ZBbMz0^(kGCe;mIi&Q07F05A~}v~piUk=?>f6fJrMkzW!n z>Dc=tPj#3;Fye^EKLNKMPft?O>%rViU${jim_@I1fZPQziK$+(7QP-4wOgY3BvnA={`dMM$a3Aci?{FY=0RyeRJ%Pqv z-kjJA{-NwZq+!w6k z^LbmhaG$1_V5F#{Cq{fgk&N(V_GZD2Oh$iq_NFkT88^b1tL-0R%F^~yu?+x%JT!mw z08bKk**Ri>30J!rjCayhR1{O{(C5a0Bqlu-|&;3)8Aj?{25S zwC@!w*lG-6kYm2#bBjw*3W{I%bo1;2ygoZFU{3T=L(4c$q*)mm5-fk5n_E=e)EgK4 z!{6@*Po~cU%ZGY*w%`Zn-Cj1oDHZReYy=>noU{W)0Nl6SkgSX4Jm!E1RXB} z$mUl^`j7ga{E$l1M~j`1jqqm+&RfPPPCk1yUDwrMZ`8>hm zY=+pgqbY>AxTA}#2?NSK7FMXEuop*NkP0Q}({A?PK0erVQ_(P_;wm(Un^AC;gPktr7mx{E=^()efDET} z;p3K7`|@E82GXJnWH8-bcq~8%X&b$~?3_5#GP=YE2Ol>lzE2ds&(puI9qN1AKIh(7 z0{@=Jo!sqytpnHZ{Z?5<}Nagl9#PP(u4o6h^8g zx4-qRnZ1POGGD<~3k|Fl+WG`UKy^!R-Ef<8$l-J*T-zLWa=@T*qG5ulTlPGfrDVM^ z-}r#=usS)JolUJzIBSo&Nm!o+HaR`_L%5Vsn>aYTb?wxryh6h-wx5tMxa*R#>+Fpu zCa`VbL|8#?9=o%d0&px#@!<=D&FZnaw|{hXRevZMbiQ+y9*jJ*#un(Q>v+V!;tXEh zz5dM^{rtF++p^{C;l;j=_2tmm+4XHy_ja7pOq%wz3oWd6&Ha^H`B*AAP`S+RRnCc$ zt7J+u@?_=AX+Cj}5*(}3jNPS;^wB|%>mv7Up=He55V_2tO{8;9@MwrKUP!G$mywez zvm2E`JK-!-OTAf-*4q&S8@<-EnEo#5Ffp6{P8z-b31`@|1ZHCT=#^wh1 z!`^xIemHY-aK`I(ay)Z^*X8K!kq-LPcR1`z_k}5#9twXLa$>oOblCTw86?@@T2U$i z0KmBD|I8qn{#ypwlD(CP!8gxcQ^&qwx|dGdrFDhVby#hXqv!2bYO|87hUUd8 zU6r14PAdAM_M+pxXKqhLrDn^rYGF-juzcmA#9DiYs?l!y?y#0}rT_ZSd~=xr4-KW!0Sf7Xz#2o0a`?D4*0m zOS>McnLDqdX&$UTQ=?oq((0A5y~Bo`k5R80GTqFRzD;tYRT{g|X`X2w2Id^rQIL~a zi|=e!x@caDT3X!nkR6n3ofP|IrbK)6vkpC_9hyg?GI~4R)shsl5tdRBJJdAq`%T?? z@=)b9sY69kOjpF_3bf`{sIoJY!1X=ci1jlyXhuZs)vWeQlQnu@3X?seBa_(ngZ<2bWO*dJI z(TO9VI{-A!<>e3{-S+u97^}n6AH`uf!n#`j!-O*39;4JsTq2mkB?pO6?m8gtB1lXz zjsf~RLOdWn@1ldJPwI}d9()N?&4AT+Vyr!zfyc7r5cpMke1%9xCawuRev@gJOFwi= zmti!R+8?VwdJ=$PUg0$Z$YWG`n+_3}0c*;+wZT6Q!Q>N4gq7|Ph+%FqCXJb15w!$3 z-9Fd=%vAEH#&dpaG7#KUQa)5H&;^q&aE#G;eD(wN-(}E*9b27}q%J-1K4aFDi8N07 z1u#?RC&3pT|!k? zm(@D|$|sbyx0jZRcnhok&?9qi87CG%Y7Cd64BGnh^cu{#wb*)nHxQO?ofUe$0CFRI z&>gnI(BHqtjAzWYC@=v#XC?PwooIZ(Sgmu?qW{blT|YU%!r8WdyT#QPIspiT%CXIt z0x4xIl=3m{)Me^Nv>cE?5gC^bL0Fww7h2Q{GWGYMYh$)n5B<4>%WvAv^kT%c*C?3RKDBoy z9U8cNFJtk9iDzH;Rquyz)-Yx>4zl%IaoN_xu8 zmp=gU>&^f0Pia1zx-&?r3`f`>HPw6N9`4h_Gecbfl5UT%#9L*LkN%_54EBMavcSDGz*+nmgS+g^1Zo0&SI zL2Tp_suSdAoPc)#oLmrPS7ae3G+e#!wcat3^xFvo^&TUJhNBQJo%${bTT5wO*GNZT zcIeWKxs7N)N@0)}=%uxTrIp*mzM}}Nsi-9?upR$;Xs4f7b{=?Zc4s!7xhu1iYL#)_ ztcIz~GHC1+gGxE6T=#@JEl@Uf(gXQ8mDou_A@=Le? zk9nuAdy6rQv(E=#eNHc@{^|Ag`}?+Q4x~yDWRUyPMiKskp5v*tz8@`#XqpK`>V7av za6Ln8jn>^ksNS8i=2N5Ivbwc>fSbCRem47y)Rtfz_JD4K1~$S{x7@{2LuEUb=^A{T zW{}$)m2{&xR@UCbJkY8E2V0wVv1Rv@HC-%!0##7oB^?{P3TxU(cA5!p93Y)?8rXOq zkL7Nf$jlUtI=g{A)n)MAi-7|^0YQnni;{gwoP3R%6vyAKaUj3n2Zo7~Zn`KxKtvyN z-J6Z&*4x!K#N(^8;~;4z!`1AvqT)2HXXDSVuN zD3Mim4cEi+U{5CvEemQmSccQ*y)1XOV*U}?RUn=#ST{?m2Fzgtt);uRm>}R<3|#nG z6`I(6HsTuI?;h#h-j}o2&(jS`>^8WTZ>XEQVWE;tp}y^_P{V%Wtk9u2qm{Y=Fw-lL zT1|+XafsMDkeDz7wxS)8HODMh;~|%aRFl%9aAsY%zE$m~=b??sk-v;5~v%Y{EwUwnY-RNOfQaX(2eP25o}Cx6Ae=kuA*^ zc3ZtzZ2Jh!!)O7?Ye2N{b|ip)NS7lVdIV%rA`nuS2>73cTr>g%uU*0egHo<TebF`bQdNAY39Sg|qU;``M0HA#0Uq;v#H@H^+Y`J3E6_JA~1@f%YbLua&Vf56YdMhz~Y_zht-<` z2tO6kMhu!7rGhx`F*Kws5pc^1Mgj5MWo|1jg+t@2?^G}CXLY?xkq9+G5ejr*v-eYK zspB<4I1K}YyM_e-@D^zMeTfOBfqT&i32h=J@R31hg(rxt3NE5!zWsdkZ(f6u*@PY;Kqq>c@K zo5F;cn;kqc`R4Y1Ud|+`=~V*o1SRzT+`rs>%{(4_cSTjz*6hEabwgC~P$&5JfHz`> zrJCUNoz20!Q!j9rOI-4cvRA`8@vQid0zM1K5FgmCY>FHvT4x!1pTGiypDQ+pe&rm( z$S{A~096bV;MT~v7sj%}>&ftfGq})A&SxJwRIwo5*#qdj@)_2!7IFvg6Of(^^Ex86 zz>f~=99`_hw_6z^;x!{BB|`fVm%0;|o>t;hsXMyo6(R?MGSK9BXN`B_T-aWh1(iTu zlDI35G#ly+F1eZzhdIGA8HQZ!dS|50k0*fO=58FVht-AhnogMTfYZE~>{Kr=0!)zu zL(ptwMA1>ViTErx7oNnf7~yzQ-zR2An?BSif-u6Sih|ToP=#jOciYxf$!w70PduJ_ zo-CV|z3Pt&IdKW-r?Bk+s_RN=x2~#gUx#W?oM39{^?Vq*IOt#9ewEqLDT3LhndrKo zv+A!nEXrsZKD_fNeXbqGzrD zaR(kWY&l55NHZ3O?D6BGD9EmsUi}mf#by7eAM0gNK$RLz6M9;_cmZ`_0jk=r*A3ww z2qbY2xJ!JYHe{$fK=~=6o&re4&!k^codwU0-`^Xc*zzX}X+j`qH5b8;wF$EN9U-6O zRpm0lnl?D&rQo?xRk1j4pDpTGqIUFOfk!a<>o*W>GiT@qCs;e>Sm&${?!MrgDKy4i zZ>Q8Nb54b@E zb$fC=X4`g1?XU!kgCek+#3c*Vdq#eheGHWgrB>9=$*IIEbv-f~$y<;#6d1%x^deE; zeyM%r{v>iWt$Bj@9IEqsBVyNw0XcX(N{1Bc&V6h-z-T(3au`zWcn1F=VD06ZGs~UH z6_xf2k`4kw8hLvpsq~>cXf1$j(^Y>8qTaZSfLf+csB)4=)o{PrgfWU%EJHZ3qqynj`LHSgvK{LqG%*DoA3g@mY#bt?rNL zm>@t{bJH~xJF(*j1o{gpNr`F1i{bc7 z2a(qV@D#D#bx|$&&NN9=x^97J zR+ucQkXjl~_s78_(PU}1vxyqoIGlFr&&ZL0WY7}H{)i62H(A1U7^MHm3aqIhBz$<)*@G`kv6p_yOH?`Bic zltgA*!diAf!M-)fY+C&^8qyzwg{5+oeqOPtTEk5mPkWWh8lO7FND;VTs3v#9DpjMs{Su{`ehCZ=$|^-Ff9DcO3R{FUpaa7vYISqr z8jQYJv?(ilw4~ivLKD1}z*1RK9LKG{4GfFj%OUyl_4qjnoJPy7(1qhcBo04<-K%K| zROTMY6es%X6)4u&$<^!*q|Y=G35qgaITmaeF6qvKZP@zIa^ebX(w(<(@GsBGRPRQg z_b+93-zS~3#2KS4UfH3-i_hGSBQVgljT8iZX*oqX~Gn`9Sa_LBPQ9EZXekZ2~Yn&QHl4Y21JR>o89 znyX&jRFIb|M7t8TN)|&|YP)-JkZ-k?4+)q3*Y`+hDpp+(YB1N)%b;JUk8l66rU|bFDM(ZpJizY?RoWdxADh)BdSFw6F7|JgvPGhoO z1N0vhYBklkmydS{NoYvXu?AmQ4}ryTIY`0)4(woiHE`?Qn_YK%eyl{9(b0-XCSQkv zu}m%t4q8Cnl{>i!bLVJ{la9u1CU)5l4{|N!SSEYPY)M$)x0uH6Ah?J1-1*iiQ0Ax` z?6qCD6*n<2xmy8{ut;9S8Xw?52n=#<`)&n4up6e`j?Z#+!y;0rGEM=$bso#rTDu6Gd?G5cr3=ZLuxZ{*JIBPe3k0~$ zBd^iGCFp23{47dgQltd$B_{LUaJt~%668jTja#|2!W=RL=KWR(R{vFS6}}OYWI_GT zM@j8DQ!?B-6l_MYObj=*LW4~F!96|2dBe-d6GQZ0@ol0O+ zE%8!?G!z6WFSp}uJ+JSTEHyb=Rr8L%uCI?#pU;EWuQoGimWqVL4fO$!=-}lMiIm2E z!5OsA*2L-1lN0INQt`vSd0z6teuzZUi#edQsJ2ns9HuOb4v3k0c1n~9>&3Bn-QXaa z$YI7Et=yI2Rg9}*b$OKQK}Sh11Ofb}h(C?)RC^_F(e_t}qA`glz)%hA%`o9BzZ@jF zxKyD2I4+aczf;quCmZ9|E4b6gvAdaI-~~sbBU)>bZKnfR*yKLmWZ|O-4)a}_T$0#u zgSh$5E2-dPwF4&{q}hn;V{s2K6V@<8j?N+jAx;ALR1?+*$s5J^@mHE;U9+pG)_p^x z)3k3v#;k&DZw-JSoj813@fD(!6W$-*1}g3kqE(OJ^1^>%4w{ng7hLS zM=lPh02U`2?}yqI-rv_FNo8_*e!BOpd_DjC@OVEzZ?m%Q?tDM({eHe~+`PYTy5fE% z%W*HISwGJYv1vaazjVPewx65Rqq(=XPvg#ieQZBRx4($cyq~9vjYK&XSz;SD`ET{3 zW9YYoi54&Y{Qhm1n5OK_?o0I}ZdtH!W@y4C(%5e-i80by9x8~keN^aQm`U#{DJUe- ziA$~l9iORIJ9n;5Mur{-wYojSF*YbHO(2pR;gna5Qk(S+*mEU2n%rg!bl?)u); ze9d>(Gz{3vI+@9AbtX5hJUT*Zg4M-q?LV+>Q_eDun zlWr#1$MAWoxJ9?{36hG}0@;E-hTS8w4CRy#ew3d%W=d{sBe9#Xca}TWfZDXXDlTWa zSL0ZK5_jyCV7<(;F2)vEYiVsKt7ClP7xV6n#*OV--i?cy)SNDv^c-J@LyZChYSyi{ zk)Ij2mF-|=0-atLS{0U(xluZ!TQwY%eCnX?!<(X%UE{s-EpSk~i3*(F;D*AZ`w5X;3(J2m3OF(YNl>Tuy zoaij0CgXgQ*Mn*rMO}76c56;BdPNn-N zIVgvG;1|F6van1zr2e&YG0&0i$C>%NV91h?)HE7WPZH-FP{3YFYT~;v`OPoW^!#8S z!MIQLw`>Ju8QSXjb5&&a5-5d37c>hcOk=CPXZ!xi0M3&nvEMk^0=3OlGy&gC9#9~1 z^ngy^;Yr^TQDL2Izh07i5Zz>O%CZj)!Wc4kGh-(@_;fWZ)dMJFv?7=NeBPSS zb86kYg144ikTvT;RSKoJNeHnIhl@`mL0UisYfu%TH7MC(q`+)?jyJ%tm|6dg0jNoR zJgE3$Prb-4H3I1`$$G~U^ zyo1Q9cLHHH$)TPJp=i`dNv8GmOzBH@67>j1YKoFuO?-e1xhKX&qFF_0g@?qqFD;5T z^%Uns14u<5J^o0$M1%X?blnB65Et0v)Z7XA%1QDzDOal z1p=8jT6?M&(X}=AOfH(MF1Z}lE#fX(mSdz=T52BE^5IXD=Ps$2#_Ajj?wB}4S4{}u ziaHI_lY-Pk;Z3(CoJ;grNfIkr#^H3m3G4({`D~i}DjSa{u zQN}j(qpaX%w&E_YR?j<=%h6IvWbt?xr^;KSV*iBDIv+r3InY3ahxHX_vkChpcM1oB z#vHT~$p~9#E^D9}C4iR-a|PMl7k0$gYTKxR?XaOn07G0h%Y{Rinva|1XaEH;8tz6% zRO83{fvTWowDq4KPv}~#0M7G#-<{u{!rp$F#a`;Gweh35lZz^pRe3VGFyXNH@u*0kDyW5#rd%RBnzM; zDsfxDkK)sO9*3`;zQ>eFBUQo-QNG@fC*-U5Q+>=U@@i=JSG;m~JU){9>E-qNK4cO9>z4mC_p|(W$y4dJSK_xZ@M~!1^CArI z`yXB}zcLVIhVl@IAA<7YRR^ZoUl)0mjJPiUNfQ#VA13)2xa9YZ=zyu-5)UN#2YTx; z(G1f;4@{&1fcSCpUNW+VFB#s#ftq}4_k&e8`3oH7f7&N|;FYFlqSLY_ImL0*MPcG0 zX<>&XkZ81&kX3#$xxj`x4;FZDVMlTS30V~Po za=SbHFEjr39gly@sQ9*&|H}OI z8C52ew}}giWDf&`FQwGeuLPuY0z{Es>6=ytR+iuyRlXQhj`Odi_G2n1-kLZ|#RW|H z2a`|P7f>->B<=F2l03gsOrB&Kz|7C9U-^OQ_oosna(R46LyB>fMSe`hZ)Q+cOeNeb z>{{ysFW0Rdu6C^jlsm1bf&e>wh_y3mzM^JQc{6Fa>ctl(?q*UAlj;=i2keH`Ce+84 z>la(CbbB*s^XlXo0f_R{@2Aj>7EhKfB}h|Ohf(shXk3~#J9Cq-zblp&>$qr$yF8pV zEB`u{k!%2u3Cg6&MkR}kA}=*8DN51t+ub$8r!%ka)oD}4$wo0Zs@Vikau^P(>$qt? zo;NR#anig9l#%=n*-E`!ZIWSVG>OzmCf!X|it~mCAS)QxJ8`s|=gsM+O86`V_R%lUyleEvM|+(z|g9W`OoERMKgP29cT{+)NL+Ho6ex=Xbc z{|>qP2736^@owYzd+YnUbEB|V^8>kO^AmUntM|OKW%sso>h|yU^K@m3tu8KKvGtX$ z4_Nu^(MV+`kFQzhaFjRpvFJzSqd_MHPbC+RiZ2%KOY2M<^JJ{Bb}|k>^=^8~@We@G zgv+9DBJ6vE6?oZjy6E#f4SllYQyn)M?@HIV+Ur9B6+RMij%6}#svKN94R7TFxZU5v z+x(xv$AVACXF3&BtN`WNW6{P`+|0Q7d+C}d_#;AZ)uR#OWG^M(tJKHB+Xl|$fue78 z+2av6X;SQonBCY};P&q);!ege*E-YiV&Xic`*kPdYVs!INIfa|SbbD{ov)75j6NJx zb$Atd@1Mffki6zL-BNUKBi8t^bW^{%oOuIp!rO>j>djiKhNjx ziJTd3SX7i*N(x zsDI@M17;olWq3m>Mj-5`+Tu2GKHD1_b{d)~=Y)w*3pX>g zBjeaaQEG4}hnXg19*Y-@X=gT*)XxlLuL_Q(<|lN0jsQLLw71&AjA_TvFW=(PO*Fie zg3e~MRxwF~DnpsmGBd63${3jm^<%oEZ?V}oW&ZLklT|P4BC50^D&y4LW1-o(#Nrx^ z%rAyari-{askCKZ%dkONVClKeJTu+G;xfGS_nH6SEntmK-y$pp01!6!KeZmr|4r+0 z)AO&ErY+(0gD+1Ij$VaC15Jv@9Jrb|X@Zm~s?CtHY~H+FC$nMRRx3UZiaa0B*LOhM z>aOMWMB8|yHVRrR)%jJIDhYb@%?Dv1To32s*GJ?*4bSg$!c5QmyDiWAxohv|*6#cM zG>q^2?+l*b`)M1V&(FWT@2fYy&+nPGy|3FMzr~-&9k#xI=WKhvKQmc(yWVeMao1wM zH{tv~hy2v4E?DL^SNA>5IW=osj{UIw^jLK~?JmM;&b9|pi(;G{IL8>bl&4#-NM5u% zFQX3Jo))AQHcMBBj6r5aam|hX(Y00$$Bmut*tDs7QD0#8&&JP8>_^22EDCosBm|KC;qvb8(kLf zETqX>$8B8qww!vpm`GSXw>}s4WTkeDv9s(rW-eyJ>T*_Se$eY$T!l$-a^4(nE2fv) zI%mZ#dxZ3!A+EW&)`%EI=ayBZqHiHYJ+*}FD!XOfc{bV3aa+$eT-5fgQd{Mrq86p4 zddwZF_oTPzIL|)uhV?dW+gR1U94{-hWW^;G*>=^ zNId#&6(>o0gJSI<@g1}-_tfxoO=5N!d$q8T<|agg(IY&AntI)eJjZjz#K?mItD(F}>M3P`Aml z=472B?6hszYI(6gKW{P8s)TA;wMC5;xyxmPtrY3Ct+OWI8~xC5AF6aYq;j_~dMWTZ zoFSYW7kc9FsY_VVX&jrKw${!lw7gD=pgmo_)YVL5N_Jf$;~QI?PRLw$q~S^3{I{KL zvwO1tp%tq(?sD|dZRyjHS!2;OQR7j^po|-HR@ZVaVwBa8QnJptax3FjJ7(K+HFNH= z%WAiV-93i;noZJ55()7EGbN5w*FT3tr3D|Og#8oC za;p9jY(n{oUpMyS($^C1#jZV1V_)6HBdsm-lsQySy-UK|&}t56v%)!1F=k67V1SnW9(TlEA62Abb2u3J z=+sT)$GtT(y)v7f+-=kIsN&k_Kx5)+#rUsMeIN*r`eofjNvWP)j_rnkdS&BSGn%W` zc#l4(o%(BkNHVc5J!nYiWpe z$zY2Egf}e(*sv)#a4&}jPIkG7$J95(mbA?-J$Id-X-t5B}2zS+Bv+feD5^#vxUDrEo!q{S1#{GSuF`ud~ zDG$|mvW}n)5|_p8s^_52{v7GV7*6-EW8mj|-d!_IhE8nhhd583gunjzV-GA_#$<1Mj#1 zU0uWs4wslWz`slOdlJ&S*WVB?jn4_|f9)MtA;~siU6!~G{suH+NsyD>hdDBsWNsJ)aA0txeJ$l5V8&JrEj2P%$2tP z1{Ab^@ucWQ1rXz(IN=LBkw_TO5)reU^GIn18936MHbEtU4y;kie4F?ByK5HurWoo|DSZaJlfQPyU0#|8J zRdvN-ByH*1|ce1S_r;~%Zu zeK2)z?LZ&?T+G7VVB=09bz1YORN1RUk3-?;-WiN@3$kbb5*lf2 zyK~b9Yg8@(-Jw{TFupqzlRo;~imFzQu2jl(Ca7}A#?};(#rDo?4xp4bzJ@R&LfUt5 z&m&`~F3x+2^+JOP8ZP=bPxn;#DD6bR6MSBQIof_cBzq_U>gyL%M>vxmefy<=jDA4< z3zG%NxqiVKUR-vQC^@Rx3dThhf$3?F3_AAC2WvbcMt{V9?ah9Pa z!5CKVq{!1XP-FaVszeQn4387om#WVT&6HZf?1K)Q1~~!9okg^z;Xk!qV=6<32jAJP zTr9xf_M5F$*$QHZVp(S;lyxvNXrd?vixh&Tfwb_#v%Z$2ccDxGVu+>ST0A5(oWwDY z_()=KzCMzftI)yH)_HyeVJmPFM`6VW&3^&fpj;;gfz0ILp<^@+N?|jD+oWM7l0?>} zN3MOsu{k_Y9gQLLcp3mBNQTA07TDkAmZeXDNm-mM?TKg)B}k2-JK=Od4Z;iyPz%|0 zh2gj*<_ZOiydh-+n$BNACSp8!yBE!|%$*Lpg}v$Hl-K>zVs)_KVOKNqYc9rVYTgkw znv1V?6Z^#|!O%Al$8O1O5lAMvu3J@oK|3k4!Q<47YH?r_6gLzvJkCuKmK(PKlB{jB z+boxvqc9f@>!(O9%b@_dwKI^i4AeI|=q!Nq7vf=j6cXQn+xvOxSBZ(eLz8hgWE1>M zIDo_O)kL6AW;0q{M$SZ=^c`l`U-l`UHLqe-Hwk#D6HqN-Km`Dg`Dy}gRnU3rZsG0k ziFIM>t1AfLNo4yi9WQ@b31(U~QtS~JcSUKnp{VMyKx7@0rL>-FnCsM);6JdV7Tf60 z7P3Al8^Ny{`^@R^v9pB8?i33$4xFkSYu7%=JIcar5tySEqTi?kg9QU*cjp%bS^P~A zX~$}@$EqRLf=!bO=T0sY8ex%WJH;;ZSA?Ax3akUBnb>_cO5G}7)|m?Fom#hMrifa&Pv+Kx*RV}LOzEUk@#q3s)H1? z`C^ORH7h9R5&rDSmgl7^&Fh4n66Im%qGuaZwPbUGGfE-AD9J6$sB@uCy!+yUw=D4zm4 zN(cj}?)99JHuZtax~k?a6pSPNrbg>`d!`ZWATN*(mV;=*aZ@pWEsZHq#SyEfoenk5 zg47VZLW>`CA)#I{?VvsXcs1vcOlIH1Ujuvk{Jo&#`+B6BzY4SK`?+!J`#y!+^L>_k zVe|Vub^F<4>-#y+`i=0j>~_8Xorc+cpZ-Swb#`?8uMxoquxVI}Ai7X8E3QxPcQZjN zoml1~;)1&X_uU|~pPfQ=NqqT^Fssl;jaKIJUcmDLwfNTElJvNEMk3rBf$WzCNFN+D zV7Ze*4lWvoT#wVK_}Z}}1zVX4rd9>|ptie%$_)BVp1dG#t%9=$Lrt-^B3wko1b*dS zcMp{1cpp=wqF%@4!5^pf#&^JX1`S^0VLY6~!DS$ml;+*ZL1!^279*i}Fd=O?P5c?V z1!wm_cQEz%V$&$OKqO&k!EavOptgvxqpfMNk3$;OPK%*KlU_w7^<-)PN7y$-XYxef z#mA9$At#%>wcJAADR>QPdk)@jMkNygzFysWfd?Jnr;(!R5+enmPENaHpN|E6#MA zHUf1pYO#ZA#5tKN_shz~xis+&6v}&rNJE+{9Km^#V%rf_?&8exFGE)0!Qs2;v0|Zm z*+Rv)--otzKQ80rzo@wwUdTeSHRDDmaR}5=^fz-c?yFCn;^wW#d0F7`M93X zKLyDAG>JE=TSs6ASwu0wWot#`G@8BA`TPnAM`{`;Q0_$US{a#G5&qb+(m>SS`O!mJ zjtDm8a4A^_(|n5(9C%zmKX*jepb)?rKBVdhg+e(Fd5Y#n<6!wun?LlgkHsGvAA}p- z{E%hBTRn}o1-n@{`Y|yo69*v^MTcl9j@Ks@7(X%FZ@^rI2#E9$T$wVc)x~K+HdsU0 z57Ql>Rq9P9V2}*;PN6k4ht2pFKIG=GAogy-_bBTP;?~3QLl$|0v*R&1Mhb*i9EIW8 zqJP9w$4@G!Mv4>5!^GK(>Ee#VQa_~K(4D~s%o6Xln2(5{KXq7k^LPksj9%xVX>89J zUMn4h?xrn+=OZ|-Ck+!||0d_aIgbn$Xfk|))`lk;ee?iP28*J*wLnWdZicNu-E)}m z&~%)!+7m}L^*eUx9hm_ip*)s%^-QlHv%w=2E2U^G8oW$>}OL5FY`!398YA5!bj#^Jx6x@^@B;-Glmc7 zFw!d#_&7zWjZrM0);Wb4iFn2;Bcijjhmao#1->qvH)^_0;T@ncO#t;bFO1i7(rIrd zXF-J>GJW6`{Cz1L*NV;%9)=jMxgr^ z3)J6E#BH4D>$rLQPwFPa>pR%}4IMr>k%~~Aren)mlBJB_+Dv034wI3U&huSpa$tg0 z#HwMEv`=mPOnjq(D+(e~1L0mAy8t@zAiblxO_BW)_{b;&D?t1QKFT+3lQH-8Hrx1>ZbNUk;fU&SlIFiY}%FSU!z>Y9&Lqkp0G>+Dc+sE9;+9QyteWj zWbZluxiaw*bQA*F##-KE?zl4>Oa|z`Y0j-i9e0I#Gu!QChn{wKY0d+H#l*}HQ#o|- z;8{idAU^s>OAMuc`p#)Qvc&=*0nWIg4#?nlVY(|;WfR9CeQbH00#S9dsAu_Wl zfRry~8WV*d3FFsclo&Z-6yQ8idWZp<1UmQP*{od*{w3{&vPX_f>8LiObN~K+qV)JBBZwm&Y zO1=#VtuMoMXU#w$>MVgjT8jP`pFURlhH^E zku+S6Wm^LiolG#$do~@-`s4KtgK7D`SS~&>(bUI72Q=BEhILnX4=MQNY|fjxro7mT zbE-ze*$9G+n_pcyW&^&r{CL@;GEu?9Si~=t^+>BALgxv|zp;6tiABV$)OzrWy2Fiw zjzv0Nv|T9ZT7+DR06LsYj^OkfUQS!tloFKT<7hZ}GFrCN z2Wn&`5^1FZSygHxBX(#T4z~^P=v;VfLFWzA(!fcf74H)3(?n~&*WYlpidC|zFyzY# z%RIp%^+6v}Gsq`rl4As07&oCX?WOspo{jNaLugIMwZ!_^K7XM9E#kt{j;dz0lBzyP z*?aT(uPz5wJ?8i17~o(fE18NHZT4AbJQp+WHj6rvuGdMzdb-0h+IbI*7*E2%yZ(fV(#efk$P%nX$ z#6jvNyVg+a+8*aqh*l`e%VR|f1}#AR)In=b1-pkwC6ug?<9f(KgCmMfqLmeue z6`e<;HcGT$?oa%qzkDLk>&G?D-FeO50#*n2fX-{)kuh>%5}ezD6xm-TMxkgJd$AP- zBixaW3Iyv3gFQ}lCV3Q6o)IvhFwc_GL#O%F!DPmQXvZLa?tfqi%0nS7OGe!!LIS`_ zx(s{GPsjw&IW*gQ9L1urF)1d%4I4UOiP`hqY1Mns7@Xg9P zHQp#^NArGNeIPSP~nx91K0oNm$`gQ7bJhaj6ieL3nEN zynj%PkVVVl1cdOqMc$OCCFfeADAI6IetzESW#{YVD>=eAOT#@j6t{Je{##5BN~pkftj)5YVK()Lsn$q! zd;qPVAKrf;yw%za|8+xo7OPvgrnu#99rIV#GfKp(7@b5NBP9&qEwU1>^qOJY{7oD# z(G7vQ&|N6{y^$F{nuf;b!+rv8tV(G;WaPA10y%tM0*oTm@U@k*UI>>gLUn&D z>7KPa3XCSTPr;hV$9q-?D>2Y)6GMGRqjqF5AF{jgQMXh-)5`niW2BT1qBY zFl><5^Li$Mw||NH0`l^5{@;I|oZlhnbWWnqm))oDs-`w(?w1$MwZ{TNivHw4pZYH; zrvgkZM1{J1bsNy~1!820g_sP7ZDs~-k;4(o4n&Yxkl8L`TBVjXLlN0W9R`|j&>QUP z!B4;d&o}lq)C+Rqd9M%E9gfu0P^Ut0fwnzDMcu&z@CNy6-XT@#No%*+1lfwoo#v;v zq{?8Hhz7sOSzq>zyPq#u5rd-_667DMi*2z7@@-RggbPmEPBjF1C1*U_dC|CIx!GUNSPeUrzbJbOcZQnoP$O%QSJ zRc~lC7Xt(piSdX>C+QqXQzM=P#C$EqlsUYhV)h4azjc|3;Ohz&>t|nfMNO1ZusBV%j)gb&H&rMwtaE< zzwo>P&m8JWl0#1Qc2+UwA1T5pwrLEbXjk)lVRw{Y+PbRALbwJqX!yR?@_ym|rv>lu zt{UVH+vLQVbqCWPKXt*XLKUg0cZ1*t!*XoK*@TOmAV{pxMiVpmw?g_UKnjzQ&gqfj z3ip2%g7?@5qB9LTF1n@k^Qun=8ly3eDgOzFnX|qO=ntSX03kdxZ(cU}bKrD@2@Fxx z15yxv1~B7rf%1W3VIy3h%Q1FG; zoYSHzVE?+oRHTY`o^&FOo;%;g^*}MLCTSG^3F)b^D_@b)0+^a1toe)k%xm4lCo_~E ze7pk6gTJf=QKWWv6TpYpkRe3y57Ze|PU9Dvj?S8tl~j`q%NJT! zqMFF4NhzClCBzm72A^9HYutMdCL-da0Z4{d6D+lXVx6wNhD< zEcaxWWVaORDAY|jYK5)(PF5xYl67*21b{>C9_q==U+C=^EpJc<12(Sc--j-z14C=?6+&j3LpXTmIa1Baee3>~$rW4?w2R zC40EnB1ipT0%0f0H(?E*i9HE#IyxktXNuiq>M%$!GyU&#srrB(B?LOapVm>d9W)oQ zI(7llGhLVi>a)AKiKK8n1Yl^1OharSU)g6eJJyq*5z7?P55-wt5Whr;o08Vt5HXJF0>sJuw<3wLAU_C6zHw1x~O{{Wy38*UTMUty6t z%ZUSNljCA}#%-jY1zglUXSBi%!V0$8c|hUlYga>tnF|T#5fIcumNM813iP8Q9GyrV zA9$i>z;uxaU11m++!Dkf_OJ|5Jn{BrPCqC=x)_QyIGT}iG083J3aC!q6;f1vBEzK4 zV|hG2%?khGxSw9fW|({MxAeF#ke z{e*f=i6y2GA%j2M@hfx?`mzU0mES}AQL@M&U7)jOU@r@(X`sml5dl_&1rDg*2q%!5 zB1(kI#N_%@Zm2u~)`8azlN35B3`- zgw#;9+zB?eNn#!>#=+$nIHKk+fKsNT><%j_?>{coKR4VExQD^_KFqpItwbkt6+Le2 zcRXiV=PsnQw^F+A8*30`NTqHB29a&Il{G1rBLi%WNE8r+KgBLCK(I%d+@rEfX0210#|jPmFf+NeA?JwB zB+FkF5}))~IK)uVD0|bIn~`5w%N1woh)vgCMd1b6wNqYTbD-=?&i{aIUiO8S6`Q_c zFM-8jx@rOw1!|kmIxE-Y>mngbdov79eUP5G^jl{)PQ5NTzbH(TAQdqx+08naN$DxD zzwb6pjz~iLa4Vn%oT)fo%XUha>2OJvsX(zGQOFL_%S-`^mEJ4JE$x{Wr-<1?s^v)y z*625;^%Ec2$0K+WAIE!H8c`_tn;d*14P!jd1ws_RkJ%GeyBFa^l(@^t8U4pq%Adj*;=5Ecw+g5ep^aWF=_ z3Hp5b-)cNhDt@ss`M zOFL25$3wdP*9+OFVaM867vIT?_+j?=(^b@E;qfE>=03X1H2CI&(34;IDK+(QK!j@}y_*r6Z9>=y?n6Jxd$>Zd=|PB?YJA%w|5Lf(uahOJ~N{7kBea=&8(p zrW3x+-&g#pT3^)NH~8{M?__KHTT2MG%|CN&nX2uH4RgjfM!Dx)!G$UI#O`}r*W0BT ze3OJG{=pCy6~#mP7tHU)lY<5v!HkiJOHroW6O7CJoKU8dm{f=*EI;{~O~MZ`_l(|u zLc`)SdNQKDAsfNfm`m10VNwaiA^raU0xw@9FNf6w0!X`IqW>F677@|OCDH6Ae~z~( zP|Zg&d8AEMS?z@`%r)TXgnIvp5k$YTQWl#pjNY9?R~FIdRwj?**xw6Qnv*6$vIL-5@%d$MmjJZ4KlI~2s0(Xx(=M>b zN7owUfKD&5w@Elc0Z{J?q8>j#_?2?HwPEy&Z)5u&LVSQ-520{)?tx^DV2#rQ#D#sY zAAv#atm^Jmb&xOU`J#~bqf-ul4|h)%WYWmZTX3gW&|`H#Cx5SitzW=LAhW2jr%!8< zMzI>d@EiQfQ`eez&6T?WQC2`_TMj=j$l7`<$Ud?G6X*u5YpFgsgZ6gNha>W%Ov<;< zXNW87=;u_R-tIxZ{)p$8QBLA-li@Cq-k{lZEB^1N=K8*V;E&}Wxaa;DD`ban?S(#m zYGc_3-F!hGvdRLRQl7IEiai3|Adh$GH2Oq60({WkGdOZwg+A_&py!*I=O5mIo#g6o znCI(n(l3%V_E%7E9-wcp0E5^hdt?Lsz@4M! zp^aCyFc+`<_6lw#0wXPplaZ-|+=+ddVD^FjrPj52P;hosy2xZC$=sTb2K zc{bnVvjecl^ptlxoOkL&AYxwJi~du<8TiUD-O73=AMh3=J7h@f@}%6@bVa@cDC?A4 zY-qFD3t6^7qOcc$=hqxfH>P~rMbQ`-o-pux9CH1mx7s75!x!cD6>Hrb_14K=s@Va~ zb1KG5d_>Twy{p&FxA&3P!kp8Srsjk6fKFt~H00gw`>YYK2;g}Qa$U5U^kAHzf$Y%R z51B+?AM+M`ZIPg(ouDVc54dbIaSz;gf~+a=*C{d&!c`b@c3eCQ*Doao6wp*9wpKh z8Mj;<2Sl5XigRycd@YU3E^mW-fwlo2&=)euRZ>y3b4 zSn29?^*++C02FMV5_JtcK-WoRnnku^&mWaP+LB%aF0y@ZMu(eM{NAH4stw+5v0>(i zn~mGMU|u}1i=O;Le}2%de9niQZbw`o8@}H68ooLq&JQp5-G5Bu6%mOL;(nrso`%P5 z?@`DxEqYvG76Er^qt4`00ZtwLG7_nPPcp+-3!w~JLoav4`DQ`$)Gj{w`DJ9dd7z+V zs^6PR|NSKsW>L0(-A`YCZn@Zu1*Y7$M}$p%*!kjamsEicb~*d6hMynr=;5aqia&}J zyPyBf>-)F`U;2N=3g*AXs$B|7qkR&bRQXFhWmCg`(YAfH%k%|beh%loy<=?JCthQ_ zEc@HKeASS@XG3m&9gx2xwp|egU7l70L;N8(x2a%}+uE~dKeoZzj;kL}vGuod-frvN z{82aeF*n~LE-yM~`M+>yK9r3#ioYCA09&D#pFx*Lib7AHS!{&4C-gGnEUoucD3D%uhsXc z%hx))D+d_2l2$IRRE*4tW;XduHv8M_Ecw?5-l-ximsnf4%1ZD}>qNSwx!A|(iR1bK#?v@O7wCd=5CFkRmy2a9_9O=R zS(dOmztwqG&f5ah+UDQ~&dC;0{pAD2#g=5mCOH9??O(65atl{FdA9==6hN`IZq`B( z=!kM9Wu=KVr_Q0fw%W+^LF0+HA67%;V&QFSXf+V7y`BEO9i?tITUR1dpi*s=UFTYcq0HZ;>8VCareab@7!`W?w8>;we|>3Q;UD(!B51PvoT%5?ky+$b*Q77uE6ridf#O+!HtWFrn9grZY@))8qPUjtEgAnG~s$? zQ5Q#m48Cks@BSe|OnpGqZ(e)rpU_=(*C@NxLo^CQ0%x5$*j}1B@Zb*N~!vIvD0FEeuX7TyjO%&Pfn`F-JwgjFTklP{vePh}tLs^XaB! zoywD6T|*eo!&T@~Y67*k!m+N42=_}#8b~waWK;jL5uN6@noXh^!&<=z`}oV@UvD{S z#^&E~iZ?S{=httW93j#Y$&_dyb?g1BaO|?XJPi;q3Y5TP)6jK%9wHoqiiMSVqr4%f zE5Q`bH(44JshYF*VI|~Hv(`K&o@coxnPbsYJ9p^y&+TweU2c=DM%NNnz_wAI0dq$N zsU1_U($WVwtrWjBeV3&-{&69iuVfb6r};bC~=& zxY$DOt1_GuUxvx3Wr3o=Bs^ON#KoH>zKLdA4Q2C2YB$^uYVgXIT;=bw*@s#7q2#i` ze(1B;UIpb!i1$<582}Ais%c&;9(-Wln_OaFNM}?7XlE1D7$eV^t0iVFN9m-bA**{y zgf<+p-$kT1_{L^_vbS_NB|VZpyzAr=q-Noltw z8UIx|Dp$pu#mI=Ikla)lD*YnBt*ep%(8>800_L_^ThW7lVsuULD{c{R=o+9&F-5X* z35f^~NVJ6s`&U+`?9Jqi6e3*9aQy;~!8G;nsBlw15gr$k*DTqyP#V5Hbewx@dmp0@ zL0*l1G6a0ohkyvppyoXtAd0J@sC~G-Q-FlTokH;uc5S0Dlxz^rSIf*I3PM190qH2T zZUe@c7HnsrgRkN^G&ijTzN$qDCvGkNbEn?X+xakg1_F$D2F77^EV@}dx-$jbz3V*6 zq|rz#U3#AMz~C0`=mm4;V?0*ylYWk@;iAqh-K`6a(11w{j{7f#4(C5X(Cw)?0{sc9&nH= zz+|9yK*FYfDT42X*4|3#Me)RTU?@)L#Wpc3F+ZmE_ajz`vWY@9&5W#W$Ehu{lUxi~4ag+%PLoNn? z-Z!nFre~cG4n}Xy`Hw2OEh|!yp39Q4C~4X}vmo&HBh9m{S@mVRmGrt5w7r0f3Af8E zsbUnZ+F*8fhv&$&)Y3o-8cqj01fh6T&eVu!;%!R9<&#YxKxBe8_fv8by0u z>-ng;z^Usbcm;ky!-povB=r*0soM}N_cr~DM~~0InV8A|7WGXIB!m%IP)K`Nc6iZp z?LVRsVq_4)DIh~)50502Fo7w^S}p0OekTgrm`6koZJ1ta^I>L)zisRNMRV1buxdgC zbw9T$7qjk!;bO-`lY_VyMV6%$X9yG7kX z4TeN#u*;)3ge%%3?XAR2%Be4r(gUA|3&$9{73b5|tKUdfs+FYQh3P=s@F3i~$V^-_ zo)kz<(9zHXI>b<_#T2CpI?fAf&IqPZ>4>nQjqDBh%kwTZ*;F26e4(!}*@uN>xo>8= zlGmo>Fej$O5x(!}){Y`@gU99zlPdnCX9g?g9Oe z+=vgio!@T-C3)jsatTI6-|IG-z)zbmgy!zth{^m`3HN{xjDDw|9}VEAihID_V9)ll{x^E(xZ4N%{nY>EGJSjWAn6S?X>PxDna`TH zZJiTVN$=OMA`n|+1m^^92i(jq=^Vop8nI&=(E13uMCJgvP^P;rlf8l@3!CPjnkEjr z;zh7<+P{82F>8Z}{$|l89+H>npuwf*$t5*wswebp!{us)%l(lL2_oE}r(;?HL2O|f z*Mz{pM{DL%<7tyK!7O4clrS|5Mj(!$X;wcz;+TSyoU-JRKW9=$<0qhhEKkV7J;UDH zBOk_WWoe1xhz2@p%vAu52P(*X1Yc2a9Ta#J6nGO9sEk)oAbK4Um=-R+&k+%ggm7-W zWI|L=$^DOX?iWK8x%w0LedeLvj|G^o!kFB#0O5h~@WVZjKVqeA2%7&4@$&yurKCcp zh{8!qqj(^<)nJ~fq1sa8?#N*q*r??;1i{C&4%rHT4ul4x2Cz6T03Dk2&2D&K!f>VTVj14A3T3Dh^Rhqs2( zm3;rL+9TyHs{$IUCsB%(7K1|ZsiBdH%@lk``j!udgt`qRG1jI$e3qvqyiw;Ejawtk z0AkHqpY7sEe$kefZS=&iM6wl!HSR-S09@Dxg1IY zNf(2&>i(0KhFlU{T8m0->;5a@}UJ|Y*LcdE=w>@U@;EC64(tCyp{K&Phv^ZlM1 zZ>NVd{X;6iW{acq`8nWpaDBZ9`zif&@Z#Zg5T$$lbkMb=?DXZ{=*~P95FLJ-XbcHR z1DyX6Q?o0^mXwN`D9Uc4nvbF=0}HK7y`H=`0=JUEecr#{qnQ#OLKth2A3j}jRWy}j z%IZuwl{1cE;Bra>Y|#JUxl+(3h_D0Ynp}oWqw~1)@vDWxQ|&WMB9WeY8Ppc>dS|;V z$t$*c$KyoQ0=^6e88J;Uxs@%Qwun6`WBta>w|eCZcjB91dSvO=G9r2>;Y!j{E; z-S{?lj?Xyyc;EBM)bG$c#`gZ{SZOI(LkmM%yusj8p8$Kyg`y7H9fuX6y-afY7lIm| zG^eUlT28pn5)d}CJ@Ipir5{JIWQt^{p9pBq8ZGL#jId7=i#t@d4OmKzQ9B#{z0;3i z{1k&bq?RcfZN2Z~!Hq(-&8^qFVcZr$@yS#+~H_y73>COQSvJ1>$8)ri;U?8a6XDY~)lQSJpf%2<6HL z=_mye9s969U-U3^%pp41#;uPR4v?2ykL~-gM{vj(GEnSAG9DLQQa{ka zelVB)9!-1BR{XY?fD;OBD#Pj%6UyX|SPW9IM)IkxHlx5Ey14>jnPbX*`e+Ea z!zf6+-L8Yh*?!C02`#`UT28b`Z_nn0vtE~~$TTh0Edzc2z^!AVTLeWb9Rv9mMnp{@ z>u~-8ge=bfBD(G&e8>m-9Jj^%Vj2T|;`iZe?p@B^D3+XA0 zFrz_?=Ot-8va`bpkv&9#{HPo+Y;XfHt_-;JkMCPZ1R#ti(y?AQ`DM@)q5t|fP})~- zj~tK}hBr0`to|X*OmW@5X4?Hb7hH@68GK$0fy8Qh-Cv|y?@<(ihT)Ee;)EBauuBiY z6BNVem=t_|11ELK)ZnlB@cSnqCjlw!g|a-50w8cpa>j`QRZ>G$*s|JNWJ zY}6^u0Z@%TPj0t77L3tH`@sG40?!Z~Huxp);ExNi{Ox>o`Cm~SMz#&Ix?G)Xr2v9l zJqwz7B6pShDh1aXe~NBWT-%RS^+xJky*k<0yE&7e2`0F=@G>ydiL2b@Mdsl15M?G^ zFFHqs52*TyF0-b9zj&Y=A-X981>?WViWJ#KbEI$WL|_S6lwd@&ii+>%tX$9Ygn6^U z=P9KRMh^IR_iZYG6ZgFU!{|GjkfDx-y>nU}zN5myx!fSn1tFi$)nk2uAHYX@eqe#U zv-HEU_A^nYEEs0?rj`E$1%rEQ!F!6f$Cn7>sILXXyXVzKW-Ixd5#wlgs%+#{yewKI zuak6KsDlc;etDyF%2=q&mSbXtT<8&Xnggw6LF&@+?uBJY^ULQ;vVQkx)84Z9bEmfW zmo^q$y!!E>vY7n>omRW9=&79tPR%kN8DX`wt4STcg+BAXPs3$auGtEkHBmK7-_N(=RJ;s<=3 z(7v{lC%w+9-T!C%-9@wby&k_=?ivMr<41F>|IML!_U8y`G_^EYDH{GM)q95p6X=vy z2yc4=DhP)R-aZ~n(u!PnDVh(y?H}k?=&8LSt(Ht1#6^t5(6Tt%Ug@JDLyfXq<+~EJ zfi}sJ8h%ySu@XRs=Y7)_lATiDq+IrsyQOu#oCXd|edN{qKt0Sr#5t8Fq%Z}>~ z=B*&&;(eSuX5#GOnz%v|A!ad7-1XxYg}IC)n>2dCTlPYh;!?^*$fiS+n_X)OxwkG}>~aCS8{}fs{Sl z7{?n~bcwkFH0e`5HVKIzLj~rhra5>Rt-?B$$gXF?L!~_vl7~5r z*hN!U%jVA$^NZT_fHF33C|;JpW4agsRi`L$2zD8^y)Ar zbhUzDBXt~fFy);G1&oktiRPeJ?Y!aA>SB%@7S1?Uidr^H?j-On|8i4iWbn*mRbTmM ziqW({rk|wuEB~-910u#R?iK@g{Oqc&PQZefY*vKtm|gpX>`Wj>7V*3+R}LCEb=o4= z-Dwnz543Fw&d5aJbA&?_mm+_ZXun8Za17dWv;@kH#87KLWy&Hq?ysK&{4!fq$B)@Q z#=KPlF?iE3M*T%7mr`(NTf?ngWzAz;288@$uVfHc%%T#8aLMXLzJfNV4kjX1jZpLF ztaV)=QUYZU>;14r?ma^dHEa&Na@|URc|5^P`rmQ*SrKX1#uh8@S$ECx#`<)=`3+@JokZX-f;sWxREkbV7*5^c8QW&qu@j=<*e>{()`eRb{@&4Sw<7`jAijai+pQXmw1%}UDuj`_DY5V$+$8}JPmW!tOQPZxGlD|L& zs-*^b98I7OWAUD~c`2n#9Ctjw)!TV%vrozz;XJgp759+AHskxp^@$7K4 zzWcI(*+*@xme5V3`JH&!9{MbXQla*mK}K-g3zGqywyX{O^2oX{c5I+!sadjcW;T{iev1)a9O(R)YYf}eVVRM9`O*0{Ghe^fELuAJ{>@1cF*G} z+)`TnXYz6kI$Ht%v>KMvRn3mS>)W(N0e@Aj<$?T=&TXv&G?vgib3#^qS~7+Y)9#f& zB%Mbx=C@O#B;*Hev4+utc-2Yt996Z5iRI9=CQ&TE$= z2s6RNTRuJn{T>dBvqx-fEVXY1q|CQA=jlFAR?MUhQBVJQKi=O8KHa|(7pzZZ0mi?p z|6=(&|LJ&nwF14oUfQz&ua=5TUo-R8?Wd=QMBZM~f|um{okP)98R(zpbJJd44^RJ2 z**Bl=6QT^1{pW2(v33dnkmo&HjdV-`*4+Ot$j33}$zR@3Iy6U)Cng2DQ2G>R0zGAU zt7j)=VN3mOj@7nN(|w>EJBo-iyb$*Q*2il0Mu~^`WsUe+{MN^&`Z4l^$S4|s-|}To z_QwxSHp<8$D%iheKP1&hvSEt1$}=_aE;@>^*F6zKR0Q)fm*H!r*@-Wud8=PZE;^w4 zN}qyTnj7x$V2Uq^6(SK+n%N%yRkJ@RufMTBc;V${Y?mtt_!+2Fw+j$`6e;+zJ&2q% z>ifOle1B&r4=2B&5&g~ffQo3ra@@1n60?H~rp4$Br@&UdGTb-x4d>1-eRgC1a@^Hm z%Ejm?-5ej~NzDhZB#m<1V1YJOR<}~Kj_Fe^ER7%ufqPkY<3lQlr+>EWWCG8%e`}@6 zL&B{jIFFWFxd(altZ)y*W_7G_ebs>45&@Tt9IFBaDa;=1yFNGN$UEUaW9x+}%wwHN z4;7|KdCz#o>oJ#=}%l`)99w&fh@ofyac%G#IJ}WM?6l)PgR>@yN z(tK^1mp#zt2n-22Fkk}cvE+fml@bqmY%wW6ivb0TqlA-A>P%n)e2~TR9W#JBLXQ#^ zOUq}a47TEu9tkB?mi@gdA*E?Xd~@Htt_3Q>*un>508E{bxv$X_vkmPPi8C69iBSre zc(X-oE&hj|@1CPjpDT)n3%ryWEO?xHf0HZID39l*nFd6Zr za<&IpLK_yfTkhR5*x)llya~i9WZWLbUQ6_=SeMwEqb?i|im;P5x?eU$ zHuH%;##1j;gxbm#RY9R`Zbbw01n&PvVo=L8RkWBtL@b-6iQ!j2M1VfJ5jpwL|MHng zc^Q5cdjZQb>a0}MMGm%+hn)V<%%OI6lMKvTpprm*{If&}CVoS?XCV(eDPd`g=5Qnf zy-nWtULnM6_facQTYXd{pk!BA{(pEXvi+v?yL`MO_RtB;3S?%NJC>CSPq^4q29vyG zM{1LJ=r@6|koxpI(f!Jd9^`6~FEic%a&2en|&`pTyL`iJ>rTmfsUNm;Zg%&ldI zQ{~#fUOR5y-GJj94;^KT;Yjm??S2d@3r@k`)k3u4Hc1d9^FQmQ$^i(PyKml?w^r=} zI+h0XdvTYJQjr*@C9yo1I5Fwp{K7Fp*<2yeOmAN6GWjp8k{sZ(?HDv|KLhjH(m#aA z2k6Bk=b%k~Q(@qx14S?&294?_q(8fXM+Nc$#1~`IKNda9hc}6e$_tZ8c_Q@wmDFby zwQ>i@i5+%u16&iXe>X@go@cL`E74PPA=SIA>^>-Q;qd!c!p3rndhAZ}Os^@LEd-pw zvKyLFTkBjqNEZx(yN(*;(y>=ykACm9y%lOY z3PfQ#QrxD%rH=#>Y!gLc0!f>T#lEa))J@E>dNW?CG~wW9gFSNQ#U zrF5uT#B}4n9OdZZjIP+=cF6Wn2K&|mm>!fXOBO96Kq=Wv)$hGv2pJY5Jyq!x5Jepl z#V(ub?pcc$0~bj=-o>v5oYjR}4jS&~h8Ah05+f2KAWDdKLh~JTDq-fiM7>yIwKo^K z&8K4*9v=TKErSD=RIcTEWHCJ)_y4IQm^bdFs^xIcfPnOAmSGByc(`$%^2^51MAWh2 zzmp4(-|eaMGua(7(|Z_1shi(;V>{q3Mn=_U{PxqR)T}TV$KhnDF(nA)7@GJ&4UM{C zK7oAVz#=0uhPl6rU|idL80nNICrGE!z)*!elb5xz(f2VL8q!wlpj-tb$i?v+N}{nr zEu+PHSDG_goovr(fllJBL$@~jF!D0gY0!g4qhg*UyA<>MwL+uAr9ZWOk_F5tUg-?^ zls9U$(DK9Nj!qA(b3+zH_1@8k;v(UKAJ@~fXCgx zQfuKCrC%+9Oe9upp7?=H_SfxQwZP{n9M)H!=p%Uv=NB(--SX!J;;QlZX9bzdx_8X( zso*TkrkA|_prGMM7F&?)+}@sBh#imQNmI7n$ku(f!^jrz@bv`pD(x55pP7%-u=9@+ zX1=deiIc7;oUHEWxK4dXUBQp@@o7J?dMXZLf;EI!M&sj<2a{F@y+c*{xg(>YEtwQM zn7O0AR=-H9U&ijpwu}c>P6BNWy@@vX)+Ea{1r4P!a_2J&+Sdx>h}CY33gH&TYW7v( zWowO-;%Dd{Y?nZUmhpb3dbYVEQhLKs?}=hJ?J&18J6_G^{hbk7*bdu$GZut@bI}fo z9No<4n~`oJlw{jANyD01)4xnkA2k&(7E}`)3=pp&^)+dxz9$aR-1{tu^6__U9%K=m0uo{8|v$Y1&R0U1{R16rF)j zpY`(kr;dQH@5DjAcDt#BrtblL}zQVnuB_!9-AC zpnl8fMA5sK?ke9UHepexIrgQewmNzXwrd-3TH^ZT$C?HD5rWLApn%M_+>m!l@B5xi zVk|Hu4YLVm$2ZHrxK%#Ug;&R}Nx{fp&{h0=@w2tQK@co*!x2KbeaiXc(heTi10Ch$ zoL~gWGTnqFWnyVPi~XB1E2^?zg~16|vjCi@CBj*##?nxz3E7oxP1_~q(TNqODV+vO!}4ja1OGL%lqYo{&*NaYI~OfsUb2Y+Zji)9_x+9?O(sz!`6|&|Lw+EE z$lpAyE$ziAcS9h1I;B~+USXtiGK0*BO6$O5bpDwuMA@bz83Zyqi|@L9E5!Oh44^`V zj?ijdIx=eXY+vlRp$^EWic*)8x>k|@lz=DSbb}TMSCVUVP#cv z3P&z9>-)SOVoxgzG35-(HrES0JEeHtxGl;4`FvlOd02?TL?GHYQS7946KDw#{;&Ao z4R{-4E|+sn@@Msxn6{=8#boez$$Y<;wzJ-~ykT6k>0F%gsmQ4-8}kF4g=HM6~1! zbn+1U^=CSlw)_x_p1x;V5d&Ttq_YtF+Z^EHorQ3}y8V35EWf8lhIorBUtifsknuld z%7T}#OQNA6f@;Zaon4EB=`dqb!OgncUfLwNgw^&h?&D>LMd3N^ot=eS)nZ28l;xLd z$zJ0Rl`85lz$$zG3zCv7b%aooCwCA2!8rZ{Mk$z>^oo4<)>tm7{<` zD1o4Y{ON-oPhP{Trm`Na8=}y9i~FWz4nE)}N1pEQbT*~Z(K*wzWSHzEpE>!=ecPV& z$LSaJd$}BE>V7*~x8MF+cGo9o`Wz<`_&nT?^?!ce*MIqX+hh7BqI?qkMv(ST6Lml9 zeO`Zb`#s-8ZTml;VGF*$O$+)z1F!sF50AfA5fmaS#;8plSTHb?eq}ynMZ#{i97xA( zdBRQ6NGIWc_KcoZ_`(Ua;@90zu}4VgT-(R$)k$N?58_n@W5q-5Z;syo^-@kkr&w>R zz#p`0NTJ3t&Ac;q8{?Gz4)W3H=~7ZvM&w85&0D&x9miX^=q&@4H@G}@52R^L>m3gi zr169$j#N$dBQFx7k3x>ADRfqo<4NP~^{CLls(Q6fc_d5k7P$BELvu!A$P4Mjs{Yv7 zM9-kvQ9URO<7K$72ZtmTib+#YZ+ZO$ds(G62i`Zs<7Al*99+gqSJYHT)^OP z*vNUJ7zIsTEF}n&=V1#nG5<8F_YDEf+A<>vD{A{NDbX4t6Q3r#h8OY+qkGOIFcc>D zIZpLB;U}8+anOJ^PJSk$I>ljy5|3-919+y-e!`D-BNqFtk$gu`Svp<96~YdN%*Lq^ z)w&owdqK2MHw?YlZneh)U!p{n1p|8-Nk|<%o_ET3eVNu{(|Q*cvPUvQl4}&Is1JM{ zDrg4Rk&DqY>YF5)c8nk8iXpzvc0E_B7|ypII@TG#wO=)Lf826$k*)xTi5&Bt5)ibQ z5YkYqD+;g>-9+}2E7fR`8UCOZFK1o*hu|KZ!AWrELxLx`2N>Ml-GUAS!Ciw(U~n6PL(l<&yE~Wvf4eXD zuGJ5{s`svQ`c$=6)jlB=A{PD0=X(u?OtCXJMQ+sb)Sj7RBO1)6O!`hND9S^o&WIC= zq%(-GIUPN`Y@Xr#G25HvVg^?AZacbwhQa3l&`-j`512sI>t7`cKiKSHd+KTS_PMnD z2VvweHLo=N@q>ko>s2gE+)+?zgPaOU1@KsliEi^5_6fc0a}#<4eAd2M;4-7QrZSQR zzB){J>zI|wQO0hhAv~HPe{8gsQHOx9qZljCy^&`Uq_S`3bS`Yg3ct6md1OSb#DU() zuVacFB8o0E`0-DlL4u4%I(ikQ$zmK~yr3T_&74vkUL?FdE{v8!LE>$f^9qiCyuSQcY#G}G%LShyaEHx8LOHxu zaY=N{BDFIk~fj~YtTTod)nZ< zoeW)`$@L@btAE%=A{Xm=Jm5aOA0P^lP1(dw*i|?+9@6p)dkfk451Py!>2iPWGGh_2 zrhl&W1$IVoTguSiNf1tEMjH0x{ICA5r#rq4eL^huhkmW}3>JW0mQm)&(ubtlKKor> z^AM`)k&(mltz;K@Em>(78OYS1jztU>nG~Iwa}Fl&5Ht-pcD~BgoUdp{s4JBz2>wAs zZy0N-qU1~Hfk-%}k-DhU79}trZ<_|kX;dm(s#C2kr5*XWz+d_b+v2n)T}ckqSSt22 zjv-5^kS!%MnB|4LI`PLfIz=16IGjy)@g#|c+SL}|C0RnA)_XSU;Gbrwh8qW zH{T9mwW5Nx7x8MbkioAW#`r@eXp86#HMlae%cZiB7HzRV<2~b0rSzizERMBzbYDo4 z%)|1)h;z`|Jt1pARJ+2R;QaP_dsG*AmFu-3VM+3yPLNjW<$C;gVA~K0Lm0SzP<2Vm zn^wYq9mi;MIV`JzDeabIiO({MXP$crK_e`aJKFc04LRh$XjT-&auT z-i+6HE^^kN94(CeW^uM6v7`U}MK{8uhAx@kF3|^bVQ8Q`HhdAf2<3uSH$)`X4{|LL z%*1m60Tyw?Kr&{>|9UgbhDdVimk_Ay%+$CpA2s5)8d2X!2$eG<5KL%A{< zkl(mp1BOUKq@*n3tQ>)aElaUnwc7vCjE+%^CO)+2R~Pz`x7<$+lSIyTE)|kEet0uj z`uq~l73~x5w)MKORLJ7^$#GGu2hRv;H5UT8Hjm)K06Rr-c}8$uia7Fq?8`82umUv< zPA#Rfx8V8nSj2UPa0#@L8@a}rR^#6ykhu3{pi%ubR|yQ~`WMP&*GB?U@WM61s2`*@ zRq2S}(qDfEtV5SVO$&3V%nt_OTLxme?h!xV7$tz0Oiqo|0M z4T7_u+x+pA?tjf`;bc%QRDNXW6 zav92&OA%hfi&oWlTA>m=&)HBB&uJ}B0{DnHv_uzYW?6Bx1&d-5JP-Op;WYy+A3>Ob z7tbI!=+H`G`Z&U;Iue?T7l`OjU5mq|{`LpXzy%V4)ELb+3mHM6Jpl6H@uky}8-&)3 z6tXv3uF5FOWzaKrZY%*}zPTlEzTg<3jQ>g&nf*-ISgc#hBv{s<2yg@3(vlaC|jLzs=GOnAEwJBUJ53~~A&;zStY?5r(ENiF}2=X@QA z@1cw9(`e7M`wu&r37ir^V#!Nssg#t=B*@>mMBGTnDViizpD{YxUB|F*bosKM^V@Zy(Xleq`p<=)^{Xu5HM~hG;?l1ZL z>qa_*&&sfzUd1Vx(}YNHHuR;T$J(&|y;G%;TThjW%%8{%bzn$6B>yPQWJbs1!oa7rX~`% zwbFv5GkzK+TfsGMvWg-R*CA|yDL2iH?GU<@=Oz2aDRe91N1)Ca-eS(M#A7u#=cT%fvO3J`FFd2M%=xtz-OD5THPWUTd>n17Vs zWifGC@8b67-xuMxAoQ0U&Nep1DkH%RgCmeptnK)+XBZ*Z5C2b<&TATpVZ;21d& z4T>$yjm%Pv0+c62Bw=-lG)OP*3T}c--*~%2rO?~pq#E@K8ni1H(Xk3u5QFHE>KJUo z#Hrvun-8R50XB$KF5M4BHG?fNnrK?60a)}%JYYJ{bMnc_-gfq>DC}T#xY%Gn3S==d zT;+j=2+A*LVrad9T>o#{gat(7!3f~-qQOC~B$FWocxq&{(FjNnSsRUqz)YUwDQB1a z=l#U`hSj^UgScaMusc38N~JTNbRoGVgv2xn_C16J{Bi!f-ZiU$DY`Hdl9J058|bh0 zg2Yl~ZW~e%N}tB2lcUx*Q=ma7&E0?Db7M@=5PPgdeteo91T&Ko$(!R4wx9@y;rre*x2Y351E*PG$zVtyUmL8%DEPI>p zTRZ;qDoFe4nMVP0u+uc-F^Tjesg>y(8rzg~GV4X7{&JZR9jkcB7)s}C!8l+#DK`+` zHnP7(CJF~|@q~+TVJ0=hl&RIM=U=75`(eA2PDbBcT1es8OaSl2jSD9YCx?V|TUyKN zsW^=bceN!^?~642$Fzt_3yp66=OD6ZWMX?sA3Z%dHhz-z-lWttgsO`>ixU=_Om<#4 ztIyJ=+4f(!FpitP2ne&x^bSq2o>Eh+7v(-?$=W59qaBU7bGkxX`uYzS2ylBS;Js=} zyx|cH22h_zpO+JvjwIk9*5>FFcuVnc(&4RQ?+eH13W2&;z62aoOYHa|alG5=!aD zx-UlJ<-5!<6i2XbP#8GCJC8%St2vel*Bg-@Nr&ZN;*8lEi2@CCDOTM(wND2&Wix%Z z8>lNYzgNjp0yXi2HqCvF`Fv^dOqyxV!^v@Q9Z=X~m(&odx0n9gEQ>-#`EL_Sxbogm z#Qt!b9QsIRu+N`I77cC01&lw5VR6xvZTFwS$0o>Zk^p`iV3X9x@Zce@QtVA?pdq#y zz~qx=vrSgE3K3l4Y#}Mzum3SV5gVU|*=~|q4XJ&L=lYC1>=kYeCt#`qbM0$}gZsoI zgR6l%9K|&dNv8glM3NXk(oq6Ib+X^P4KFG!nh?dEunmuQE*Nj5f2h}(`c?`pkgiP$ zeT*9*NpV5CB2SQxT_uLxv%GQ(}C+O}CR*3|=c+4HoWbq#uC91z>$cOd<(E$~o`o6-dP07(_TWCDV95PO^;~jrutEkbj{rrs}NCiS@r&53S<4=$n#J0 z>@qu|D7GkW-6}VtohWgq*2Ucy2rCz#=?o@<`=j!{$4V7*n(lODQj4LW;7fYra9}dl z;=*`(WP(RJ+3q4+?S)U-trCvw^K+fa`I@`L+U$}8Q(@}RgpL3)`1IgDhW)V5W^VuSY;5iYmQethpzKX9#sX2PT^^ zA>Yilna=v3xX}`!m^ycu3XPpJooL+WQe|GeixCW)$2tJMHj}IXSb3d6mY*+ar9oEB z`e0Yc`oBsS_YyA{1V2!Liwd6WoU;=$-MlWhjhct4;G_#ci2E49&?AYZ4O3La^)|*( zaDT6eVm~4oN?yu*Q|Fy{YgPfM~0iLB;vejwS&GN zYtO?yxQG_Lw+O%O;3tk1I{Z{xgjlBP&r}#Jrs{QMV1nywnP^6UusujTi{pevcfOv< zxLl%NA7L|uP@Jjo`s?B)f_SzE7mBk_u|o>3JD1Z;^Ujaj!G0=AP`Nkfur8{rF&FKD zq3k&!*w~%Rn9=aa&}kG%DxTuC(`H=Z`;+P}rItx@DD9#^Q6~`FL?zKk{2}+qaHr5A zg~LSU06f$Dr_jL%8N52*EY3-gcVVf^XfDq7?i$bbD3?i`OI&Tl$1-yGuomye#b)t= zG`U4Bc5qfKOYN3Y56AG@R!pV0d47Ld{if1%kxULF-Jnc%R;%n5{c)x{EXYbfn_b3f`$KCMrL+J-seu z|La_A-a*!wA=lXNsg*l%=dxR9c1dxJV{wmW$)(3P83#IV#oSYw#Qohy9|yksYZk`h zLfg+Ja%v*Z>tKSpHr>p)sTAd{0Rn33CdOUy9vC_I$eWCG2XDm``aYdsZ@&6AW~0yE|OM=pqqKym^HAO+l4eo zETJ50GkSqRzavJ+1^c3hS?gJrJ=AUUyrnc1W2_ERf%5XW zLFv$k+4n?}mdlKp33*D$dz0+U#Gbx|Bw9m_Oh5WIH8~Sx>QRvuhkrU_C^C^C<#Sd1 ziilW1Ue{k{w;BC4VvySSMfRRpy%_0}v5B1v=L~;>OjvVXiZXxiyA%-JOfmtBmKN2x zA#`g@8R{y_e(pL@T&SULER18&!Kf8W#A);2p`F&jS=yM33bfIIO6wp4g!~6hr@R=U zQ7urHL$~sm&n72rDht&x}5zc8617+(17hmsCVW^@mOy_TXsb=M~SAF@tAA zsFwYmIWL%h>kxZyd_J!hjOcZXA=1v9f+nH`7HJWD6`OR+)KX4 zEam9f!RmoH4;g~<{;P#ull|NkkTE0g%dR>9%^5)JZkxKd{14tR6K5vf3FeBevf@~# z+6OW%QXO75t&+XC3{9Ur9sIOQ5|SKytrFtihD2nHyW9v<_O*ESWyO`cRCdpAS1=RY zj5KzXAjvvkkb#06i?}k`*V%2yL6Hjj^L}w|x5J0xF+?4^{OX54lQ~Hu4@?#G>jlF; zS-BCO<(E}Vy0x0fndFVyT1-;2oas{%P;IS}x8veiAKrJFDlMzphwCaDoA58e>vNp$ zt;0TmqF5(%?&*ZUD0Yy}ho0;;t@YDX_Fr}+u1%M>PDxGPYLhneoJ3{C7)~hyOuFR@ z{w-rG6}xHe!{p_Vm}smc3mvxMa!4NhUNJ`Kf=IJ}@)E%4SwDj139pCU*W_f50Mwv|RkyNI0RqD$VLlI%J{i!b1AC-uY zi{I0!v?viPc$-tXks(o*pjKwFRfJ;mHC-;~8}oLw?-H8&8FT(D*<~R4cVc{XG4M0& zuBRNO*3ph66qS>|a-?CcV65|O{Y3Mh*W1Z#zU1rqK@#dR5&4#3MxkrXlkvFLgmOk| zCNC#gCUt+ogBFy*cgLTJ{vXbfWP4q-tI6HyQAd)oC7WRGWx=UT>yhMcvqydp;3Bpo zNn|nLQOqO%yU^f#M^b?C-9eot8_LJm43B)_Q=7oT)7ebaMxv6;j;Xy5%3p%^0(YxA zlKj*&Tx*}AGv@=qhc@aT(@IvWpO)e{{}r4v9Fmc6TI3u_K6IR>ef@fuvRV3G{%#EQ zWx_g)HI7q`%Y$}7ccc6%y|MPGyuTx9X(3>r--_+pea%LCpp<3mX_r1xk@GK{rubOqhLj=u{! z&<8zaCP}?s^h*W#Yo5YO{`FT8b|?$skRdL;j&t(lcR{vcx+0E_8niGzl;(0arXwWhuyZKnTX#R>~}jXaR0&M-x*GYHjuIKuK5#M;wn;4k792*GxYWGi8IRm`-@sYY@+%grJMn!emRUM^kNv z-%hFw+XZ`6p+OdXJ;@8UG=A7W0d_EQAI6a45!IMsR%0Ha7Z$5H3%68J?ixHsQl8O~ z^>!Z!0GiLbqS4%UQKvdVsVychh(^~O!(MX?QV@QU0?$w8@E4qe0PoRkByR~$6^U|1 zA@V~ZYJIN^CB4M2JxfWLgG}?0!z@-Gu0&OYsJ2(bZ7Z@ew^`uG`k$e2Cu(}P)57z0 z*|%Cu@uyOnt9&(ypz%`h8s5sQhnmExpqhj*Z09Ye6if`l`t7SdMQNh}wmnRFTb{eR zb2xlZ1&G)lvS|q1Ed5r{)_8a>1z%fgX|Vuv&>#fXtLd`mpo z`Fgmc=I6^Snxb)ieP8ug-EgW>*ULdnVBYiaaJ4W^S3{#?Wi||s9|b63{uX#Rkp5jG zX|+WCSit;u3HU&Et@0#VMMI-q%>2!HZZ@qHOk>;_i*HRvGdtSe!q1cUCqn(&=L?tm zOJ&RUf!X({!{`_OynX&Ws@rQ-_ePDpHr%)8gS{fN$2iFa)yJhTd1&CXeM;#McWCez z11#TXhIdnc(6$}4*+}CLe56k>Wvf`ouDqAsBgEY^Ij}(Hkb`ce8PJMx9;9Kg7LG9` z-85vHJvv;(jOzMp-89rTaRfLbr~kK3UK%t#453Cp`}?a{15bHVF#xV03$vgtK~e}% zBf7d||Gli`vihb0u`JdJUfJ-#f^gBZu={6HO>nADm&N?>B~L(u)4%NNhEuR)OUq?^ z5?lmrDEz;Z>xQXPjne~*sRP2FrwFmW^0c|&nPExZ>|5;X1`YTz16q#Kzv^$x8*sid z|Kmy5zC}NxboL;Ni&w{uDQ4fJe(U1!dY)=0a=L4AQVHriu0gWJ5$>Nft%K9P1rzOu zh2h3w7D&hOQeVxg|%%6L>}cy|2E6dQ-=@IlxQ8sB?79iBL-Pwo$aF@ z^?9u4hjTRHt*Vj)n5`;;f??way(xrD)& z?7@fj7En1KSsX~y8r?qX)0F<6AO71U`6Uzu=eog1DSxL7UT*SniC6VeAN8*>?ra^) zPIZzA^DMMR97zGb2unQMb78o{?GY&xU;Gp#`GJOf;!z){LaXw9>u;hG`!>(7apBop`xd_+ZeR4ydi;LZojf1R=1WnjP6~V22ku9As#N^r9O#>S2KWSlb3S(c(@{QL zcI%k?W6O{8QA1pC)dOX`u3KyY-8)KqwELUdDRh^t_ zE!(Z?alg2j^MBX9h|s>OTPh}sy_)m)%g#CDc1+F59ZkDv*1n2j^Fa_g_@aGf)TaNs z^G851e|g83ZVWc({~C?6+EFA7%K3IL#`qDO;|y%w6tWn=MV=yy5*3unOv_u|ak1~o zE#1|C=&v#NBZ>-ZU%}AFFy9TUbwm+U8?tp7xIO;}MkN(!Lt+^>Q`N!ci8hXd!2a zzT-|57yM@U%8VZ6B?``w8WKNHkF6ag6w^;NGIM048trSOmxdq!woQHC{e@~@)j$pj zF$)?_L4id8bPMzzf(!SYoQ)~%D|n8@lDwNaf7b@>*|}#|3Be#U!t*q$+Opjyk6x|| zcgNO~HJJ0!*k4U`AAZ5#>P~9%n>3#Sg$45$93f2uY$T^^%j%QE@NPAmUo=7F181Uw z`8cJ!d*gHd{n}Ukc@+=ZmyBiaVd_)OQd4HzHuNeiI+<{?mxQBq3>8FJ?kihFzgQC& z%(oUgsA-|A)cUPazNu_T$&rh-x1*GoT^LQ%!?d^8uJy18}8u_o6Kydiwd>BSjihj8fF-_+}ezZYQW7TZ_p^PrkgZ*mZG@>i677`%=8#m5;sL!c-cIV@#ZK4z1_wtKv{y1Pk~U8} z!z#Yp0IN4KrZhXfCfXrx*LL~BPZY4}xt62TymkoT?V6aidVyoq*!sbGdzI9v&CBQu zMv~W|`W;j>WM-}Ee06_)ba3i1?K1Q<@3%t)3xgJw#`p1$pug5Qr7g{Tdcf*#0~o9h z{}NRWx+dlp#;bk>jIaq!uRE0<>#SneSRc~_%z7#?I?TH$L7#rd<1Q)5r z4Qk{XjmVX8d{Tds4Rm-f^|^7%WXm=FA{@=Jp|he`YB}4?mThF@PHsHiYu{g zHEU5@x+}x>#F6F1G1(4k#Jq9nB5w0ldsh0INJh74-Ul&4iS8&AM=2HGEw0tkrAmaS zSA-4cw1@2(GFBbpo2PI}U0}+Ozj9f!Rx|hNR0eswmJed!7A$d=x%w4d?TJoGqjEWTL@ykUY}J zQvD?ggNJ;`Mw2?w;8U~Y^Ipi`=}L}q$(yg18r2Qr!C2jX4_s8UDmC5>K~HFAE(i!XZ5$psIm={=@B>j#Hf&ro$xxKZn*_LNNb$Q-!Zb>V&NOT@)ugjj~A&Z6h0Ay*{2_? zGP^4T6=r;7IAXbB`ItYo!1QXp)%?n+aX=^>M4nwcTFm)K_+RT8+lx}(lxNY9n??Gu zEc32-zMAp8cj66;J{x$-+G)au^2onME=VhJL>BUW{=u>KP-9;@DAlYSyw`M*=@2FJ zf?jjOvi%`DYiconr2oOu<|W4LMX6BXkr4BVDt|G5qF*DJ!{J3q1U!|YceM;TxmIeQ zupw-E9f+ns^cOn5D3*e0kZjE5^JLFGIAUi1k!AMHnaY@{mNKJg+t-+Y&)$4^cuI4c z&UZ-|4pnv0p3)66hh8oF-w)qy1m4{|)wNpE2R=O1Nd?@)T$Uu-WS!AU0!@GX9&gGV zp_o7O^}e_G1roP|sj-kNk5A4#T9;WkrO%Z7QoJLuoj zSfta3>h_wlZ@hd+WPu9NsjZ`F*4|1Hs2`H|n!cy9c>E36hEfl$34G3|#EMYydT&^O z55x`~WTy_^tW${srtM-R<#UK{BDRg_UWVUN4?WmFD{Rh-|8hkm*vrg4)^D%7xz2YK>DREkjd!UHd)H%RH7u$Y>F@=S9fp&btkHpmMrgE! z)@XRtidV%sQ+puqpUE@})V{xjr`(>~#S#}cV&cs2PaSHU&1_W{yla81Nf2sN#en4& zZVy>jl68LD->&|(!OT9#+SF0wg?2e+nRW>gp|2ydHIXZ`eWoq0(_q$Q^yVL`GySkz z6@y{bGz-13<(Zou{cxO=+K#x78?bf_X0ON<+T_uz4VX`Wfx_fyn)e@*F6Ojf$uZv6 zx1*fNT&Q8otWKlFRhC*`t0ma#PPo6Opo?W^W_UbSH9`YIj@{BMuHsOd-kI3hJCzdC z%|2150lb=ZopEvthEL7X8KcFM`6de~bjERSsigqEE+TR zJh|ISCvUCYZY$qc6(Q%{;Ll#Ua7TmQsovHEjMBNoU}nG3QxxLUubf0il$7U7k(}R< zM*7QQiy3KhY^E0m5K`kpz8jD%>(U<8RZ1}tI_;7)&5w!_Sy8_Wwt33QGw)AlG7yGA zrj6=@+w>3O;dH<>Z&*^(n^(ARqHnWL`E;51`Em2a-vSL@0Im6F@2R>ojyCkJ$|fHl z?611Mu79`NEo<-c(RU8S;cL4H%t`B1J4GElyg0qJfB3~7^rf!!N;&1txTmC0V)=N|%6UhtZ~If5fg{`n!W z{4#`}b3oMeoq+4$NSIE^4Jj%6n4or8>n;t4-3iBfgjuk*xUwUJINT*5L1Q($Z2|ww z>)U_L0zz!Tu@b>E@^ETp!{cz3YzfrA>R7oFL!W0CN- zFTRu-6%lEunw?k$W|+ZWa`OM{WFZ}~Yns?m_4#Q{g z-76gT;M@>?xV4NS{gMarn5%7@fBwqRX~vLzS9)lx9LP{G!>t70LQToloKtqXhD^mq zj@Q#6<6p|j=RtLI#}L3t9+`@#Ij7yBWnGpPvKX%rle**pXWY@il3^3)e600TDA`YTae4a%TC;h_E( zjvjCZ$xSg*M?k#W4w?3-=Nvp2X?6*PBj-cgE-Y4F>MKYSEX{(s&kE8BppWe0@6e6jb;9-8w|S@*;u1UYukU%c<9V? zfK7ei;Y$p^-BJ4F_q^(snkF!SflsfhebFtGh$j5{+FL2QL0HD02zdCU zd*h-2nymEY0N(1eEy#jC%YZ0UI?J;G!~|ut)s;!2Iio$Ks|NW*a^!hWI%$I9g7Kos0Bdxr=p{r z5>boyRt%sm14NE~09FENqACM9(`0mvwkIkMFOj2X56dfQyM{);YUt`ogT4zwV=KA& zw}?=)sq8i91qIcoziwYMmjins`;W=*eMGnW2Ot6rxDkZLVR|&^&41JwcxZ`k;t}1k z8X2X-WS!jCw9{W(q2E)r{<0ShGEV{(*QO8_!lR!6xan;ghw%xXNko+JMeHmXY+CFo zlHIHq=*nCl>1;ZN8x&Hsb>*Y*M5evEbE{7UKiISk<5P;1EQxyDu)8vkWS;`5y16R$ zXoe+RV=rlj4R?r8m$sMcQrymI6m(mM8?Z%kK^^RVRQx+TFUd`9!_yR^qB?)K+Z5F2 zF-3q$MQOr)bc}M)Ky{N6iA1Fo?Q7?q>SLg)eAFSOh+C12ze6ZIzKiv)e3Yz8O4>ZV z4KQhYQy#iTqfpjpZx{ulFfbvx1C)-~HMYpBt3-iDX@-kav=Ll83eiQBVnF~=M&%-z z2#LhW1W@765fQh;WbKpWS$hSjWs(K5$RLe~QY0vFN+OYXCmeAl_MNWK_AQ4(AR2Y-XgjFk-N}t?zM&u!9BtxE}QUOGe%2Gqg1V5fu-ajRyF{!WSf@k~$^7S-XX`h6G(we?R)TObkn zx8WyMb|-)9Hr6KxeSH#|HfH|8jE}sQtOC#bj$bL)UVc zM7p&W`Og%3<4(j7NNy$T%H@+#t9}_nm8$6O>InNyoQE%N-g%MnKW_>*?naCk-(gT1 zi8O4a&UB*P4YR#W*o&XhTIYT#5Kx%iw_#-Dqqx~@ZZ#?^;`_~r*f#|icQK`M8@ta*wAK|yNf3E!B zHaLSi14r%$++^BzvQAg=xGP~Y-gq>n8p<-5Cp{k!+p+H9*W*;wMyOq6tPav1T}rpT zVNg107sAv{`g&Cc^-4bZO&@M?A!*6~Tt$mt5ZS>HfsUF`kob=m_*q}CipkmX8VG}@Utp%ZVwr8q5dg(OU%s$o?D;3@S zK5qg9yP`Ua05T(h@muR58JP-81#*W?M~U(#1UuxQ4CGg9+UHa&s+9wTBTz!MAj+7? zBGg)R{w~SkC2Aiua`9--6GVif^eG00APQcmi~&&Dk>`leCZr1L&H&h-cJXk;t%tx= zNjq6scRPR%AHXi{W*8Uo!G8p6i)mFa_OoSF9rJ-UZ}mJU>keK{@f4s}LM?+a5jO@! zk_8@J{?j8PhI#{JJ|a~XBk<$-7H2r%yKHRlg&zCYfu25w4m1ned*SHwz`F`$T;_d7 zB!yT-v?I)4$^YP=N0Z0S&6oM3=gIOmopq9XU{|FGhytsM_allt|71|Yd20287gCb% z{MivAS1I6!`9icVID`F2XF5_t;>6%!ByJ49yj!y3c;g8YF-y?G>2W>{pmVjoAYJ*( z_4oG1aAOEth^HB+kU;>2sNn?YF6FFUztn;a@_6b=UDB!0glYJ@veKe4yJku$4T3#g z1~>nF?xOpN+(!*%EViaQWL2sB5JyZ z*(ZNDM>#Az83DcC;=o4a49xo}q@8%SWO+1vI6{taGGy51r4;SdMt(ji1S1}q7V5G6#ceB5FSxw?4lAVPY$j? z{1?N1+n>DgB+Om8g=W9j?8#~jv5O}ADdhcbBBvLsDCFb*?xiDA%0KD$+fKTTy%%&g zM^0PfcQ=~3--5OxMEh;E1mjB|_J~p|@5TCUUE%s|n}&D{si8c79r)v!zei5LE`BHD z((bn%lc$uQE#iq6QlOBhw7@PpVC}c<5RT7qbs$q~jhyzhC&Pv@RKIfu>L}#XYRrBG zyNNCE7=D&i&DSo^r;vX`{=e5=mTZ?7;MT*z?W+8L*Io+!zt&!My)4ggwR@Ccu0Ls? z!yoFT7Ah(U+eK~%cNYE0Dq{17%q0GhQ=TZQH;-kH9TR=Hy}_=&Ib#;}Y*glD@I3B* zYhIn65JBPD&3f}$f2+%Xd!`M%srvT%m>KjI^foy4dgJx`<=fli)5Z%-c;j_^>hw*~as6P~g*3&|7Q%%gI{I++jbk7zlNXG3>ZNM#0d@k<*esutZ)y5NgxiM+w zd~)#O^SHb9U*Y%KNQ)ucYL32ivb;6xXs3)>wLP$;F?pb|O+rJ)z_*r@Ti&cCW%4W0 zDch!)2eO`BK+R1^6qbgAkp$EaEHtsH;V_(?2ynb*%M{BC7&z7DVrbj^9tg_rS|NvO zChdlfPc32QuL&6$dd929z0cixgu=CnchHu-=kDFhN$MW#{7dHMSaTIKOS5)iTBcD$ zbC8&O#DE*Qn9cwA2Ye`D!56w%f9L(=O2Ygqr{o2 z%8!qinDogBycIvgKjr89zX9N7!{m9Z|IJ1DFe~%GZ1JfRHo3*0!_`aTJ+eEiBvaZI^UdkwlP=7V zpEvX22HCGG#X?&?iGQH!9proGEk0ZwSN1-beNj}Lb*7WHR@bLzzKiKeU=6SJ7V-r+ zhh1{++wtKU9GkUw{epP2Td%kZr?o|IL+ssUW&giv7VVu9S8i8YHX^F|djcX-Y zVuWe)oYPwEaNGnf?mx6B)ussBmYMn7JJ4RlzyzQ)3C(_sp7kf@=KBaM*6YGW!$KT; zB!0gck|ZN5TCKd+Zve{Kc^`A8SAFb*HTDkY4WimR<210UN0YPIX}qCA!d_Ag6XLG4 zleYp?Z7*qzH%+^M~P+jrz zHzHUk2o8(}PLz1v@->Ydk&zLYxzKYV8ju{#qnWFUn z?Bh)I&~x>iZuI5k9Z7U?asq63k1iO@#veuOTOo3cNpN>U7N}YqR7d}Nz#GnCOYvCr z@%_pXnW3)~FiY9gM-D!YwDQb;-k!^$b7lS##Fnx);76Wm=oUx>Q6Mi8l^>MjZ&b3j zK;I$y9y1&;@E1);!Te9Ys$$!_G)_$9g=4mc@Loewx-kY4G)iLRa2eg1+i7^Z(ky?! z+j)_eKAkNmZr@8rm8MUhxj=yAzjFnZoX?)jZjirrz&S~+-IBlO5>mUYiM*R1w2qlG zCZzEyj?Gkg#m$e0&F&MX5eanzHZ6*h=I=MFR>bp#8@t1V_oyunp3uU0%v@(1}@DWRmOHYCrEPhCPnu6 zKaNoyS3vDsr^)dmnA>q(oWt|o1sXl})Q zayog#dzd{-Ji?ZejqgHqy%|Fb2{1*HH{~J$#sGG+KavW+OL6lqV!-j=g}(Vzn{+$>y8Jd$zJw&~U)Y?bz6jFU&6BbP_k z8?ux*WeCS;Pq=74X$%O>)bFgYI8Sw>{tNgDq)cd&F*K*wr9!Y7JHZKgmSs>c zEXlG|^24lz;3XAFeTC`993O0{!YdnoQp)WQ!+8T*UwO|rG7DvZ)mTB)JLr2(1=5tw z@UkEqz10jP3rH=x6k>(YQuoCs6VJ?=pz-rmFsGoh9awQ#IqCjd0%4wA?ygRAg` zdY8-#GvVj&+>2cy@CPqzFW%G`)srhB1xF7`b#z9X^_QF*`kmDj9X|UJn|}-=?qNRe zoKwAe2B9+AiS5nb4iyo!tQ`-oMGOlvn!G^6qnAlLE1r_Vb>q)GeNh)o;WV7Q;2W`+ z@Bx2PMO3{Har&Jm#Xf&bJZ{{@O=%Pw#6GP=P=GKIS$)CXpZY@ zJRcl)6Oa*(M-0WJrxDXJ%F#0?(?CcA;{#p@yC5-4jf9MeR&m_n%R@`UYZCGM+?tuUAZ42kE0%FO^-4^ zL&p&u7||{_L{tr?uTN!sD44)Q^|FJA#Uw)11wE|v^seS~# z!}uWDpopQStC*Tbmn-$?Xu+1fE_JVj_M7wc31vo8=2D+}th#kt*Q5&W$(6=eNQ3iA z(5tNS>u%=n`zxijSzEY5^M88)!y^hNmV#0LO^;UKM?h)kzSuH`&z_+ zPv~oFR?Hg2yS60@eFK%h!kk%VqL|y7;M>H&;tVOj8Rx?{9?qvfwT3K4SGJT=tNFL) ztSzerLRX(Ohj8(UrkuKB;;PT&G>7O}DSICYW$-^;oP7Mix{`?{hZHez9#g>Ma~>`H z3H}Kgp9pR-x1e|m$?7Tf=d&GB$qU@4<#nt<9+#$o%z}71%}09H&8OR<_u~VaX!hUm z(r@?h(@!uXU2i_HKWZbD1mL9~IfOO_jA}}vjomXKmG~zWIDX?x#|_>3O~<+$Rxofz zm@YAVPtUp%_p^9V^Vtgx!{wF#laiF2W(%oIOx#atO}GSDCsIWBIU>?v03H#!bdB@G%~tD_{rPJ@ctA( z*%m>`RRrZH`AHA{b{68W_z6fDesTf=9-d>4jfHzKJ|ZYT%})&cB$dLF{0=_>TNC|0 z2nu8NL;NIxZ|qO9vrmy&k z`@~N`UZIJf_#fdX+ZP1oYkmU!<9UCYpVSY2vO)91i=Y71rulJxQWih)U+@$2;wP5n zzkNYaUi>7AhJDFTuCMq>{equ(4?nTuC)@l?Q0(;;KiU5bezJW*P~QB+x+s2P+?${D zH$R#FD}K_xz91;#C;dnHiCO$) zo?q}2--*@j6n=+VErLQ=y6nZ016PJ0<0s_@_{kJM*}fnspZQ7qQ~8OXfN1#1Ho?DV zxxx;){|-MH@RQ@m`APnQpKKy1{zXte@spH)jGx%g{A7H|Pqq(&GVVU^ALS?Zi9F3` zeli@N_~s{rP`A}+7C`}kzxYXd@e}{U{G@%wPyXibe*Gt3n*0KOGBeZcs$++?cJIox ztSXXhS2iGebvEUFXI|qVW;P2O{B6%#%#$^m^s~UA(FnQZUjV|Q5lZv~mQGsYcO0|e-OVVn3!T_3 zIOJeskoC@Cw&!6h6A1aKRy@eW#>r$H*@9p|1|-vV6u^S#al5Gi*Km`}Gh5*lp9JmQ zaeH#&ah+nmK5z=|MZPEbZ1$G_i(?tx9u)pxz+@Ag@0kb~;$3Qk46wloFJt4Oz}+OB z+F7`yQ-U3#hqXvZgWVDI^{(vZ0X&CdoD3nEJKy&12SdWUm;ePNlaM6s#dqFKfSpuP zn4DHvi=B;{@4;57$u1(-FQ7BPu^-A7SH1a;xqW5Jzx+48-|Uqy|o#$(mI+gdW7>9jmZ0BDZjB6+pNFh&OxqzL{>w^)$2TOdli&HDa$F z%nGRwMoQ`&MVD?&CQHr&*vKdV=y`WTz|N)Wq0J3;KXN2wldLK)chAX6A~hY_EAb5F z)O98kL^NiY;rAog-fZM)z(ugE#I7cu0+i+f)?$GHJ`Uq9e&G%C-x7}qdAOmq2i$%T z_6)BFQ9VrA;79;9*XGd(g`GTq!ty(_NirHd9&Hj$^VZqS)+IrW%>Sfk_f0byY^xoM-5}Kz<<*k}R6ed5k0Z>0BfA z31IJRy~&1zSr+(01L6DBw!yXp%+$_^#I=7r0QVrmu{l7F!6pJIEG$k>lsN=VF3Ya4 zL>KZyJuXo5<}|9pJ=WBV2?Sr=;>{pcI5a?;xSQ{Xb!=|1fqi%=vhZ+;!Lf|nQ@t^p zSR8vTkw#-Nfyfkd29^+$O130+9*9wcF!c4m?5dLWvmy& zFoxh2zMzDPPMU0X3X63oH$*TUFs7f8#I(DE$e>dfIKPGSoRi<+?LZCJWsbqRCNzuA zoRJuw_sVAgORUN9;Fmq@;D$5}S)Vjp6mMEh!9H2Bog6|snXnQzQOeQ>oSn4EwjM+@ zaS#X!q@6K*^2zw0o_`$I#*$_`u*4_foLnVz&hjWd5FUEdx3J8V37I7e9}A<_9IFnV z_nXAY2D{TLV=A{uVEHz*tE z%>xr#27}j$DnPLy62U?_syK3IP5%IC+TN_`uOv;|n>GEFq-p#9tqyh~#jVa?L7KMj-0J*=q-lGzroWCf{rney_vc^gd`Qku;# zm1g<#rB3F*yJasDe}PYqh`~gG918SI>*#fhlgr%Pc<$FV&ioLV)ni@b97|vZe>nL_ zt3$%>&n&Dk!fAAi1Nzr34vTbqU*jx+Sywi>d=HItC+h3zIi?3Up(7h71 z)l_`{8mIl_HO|+!INNu1X6-M~nN72O{~AX+Gs1iQk!zeE(3y?C{n#~5H=lK8(rf;d z&dl7KbY`qJ-Wz1`6W2KLJJ&d0-{NdvUE`QPc8$}%qci)s#o2!98pr=hIy2qkY=5H8 z%)GC0nA6FQF3$ZI-k<0Zmd0#@V_7z%bZ4J0ab6-b@&(*nmpJ2ni4$L!IPH_jEcMqN z&i1~oj$ZXx=Y=7A$&Uf!{w!cth z_Qf5JG-lgRi_CuP66Xt%*}B8ozH^Bazki7nnUiwo>yyYV+Rq}hwC-^F_URI5eszhH ze)K&cNb@GFtoy2IIi{1V5!E^+SfU*e>8`cERW zb%(Qk?-GX$*MIyH$BNgz=!jAZgl5r^?JY86s=wkR?D5*Z<|A!g;v8fhYj5k9$jpn5 zjNRzo>^poUe2C0CMEeUqa(`UnwC~fAEfa_SWIpmkBC|T6BioC7r_{jDpA@L$4=1;oC`9ftD4>vami6_qf354VaRc7VIN48Id z#E%a`VwTD*eyuY5;uhy?mDwRavVBsS{RkoXN@e!*U;XMIKHcK{=3oEt?LYnIXa6<+ zum2PJ@!N0zpg+*s9rr0^+5MOf_=OYeW*R7N z*$@kOw)4NR&_HCfOX0^BiKhg;qXn=>ua^#9&hgZzF%cw~=OiMXHU=PtG`AP=PFF?* zb0!Gh1LuVR+?OxONd3`Z(PXaEHr+2+Vl|Tr+9hIvrxz~qm1$Bp#( zqzI|-R$&oDcY>shq-ezXmlkdZ(g6ey2kM$YK43s3B#dlwIIjJa%7=Xm9b&f&O*TV1 zJQ;*~Uuj`yO&1A)@s}FO-!yT#WcytRxq~XCyNZ>;6QrBHsY7hew)jc+M!fSxC!faC zk;e6N{HC!)=;$u1BWNQ+xDmC=_QZ3N7<|2NQ^6uPyU(9eo9UT>SO=6cp7pv(?ou{FAD_w+ zso=yR*(iCwZsYc3&kOFrM2U4YFokZ0A|Dv9LguTzC$0Y4gMuQLf&}` z=x_z}u|g+{8>qk+LY)s1_#pY4#SD(7BhTA-Xj9fEFi*4RyxGLyXOflOK=qk#wlH{6 zBTME7XNoJsSno8>bWiXvD*(#Ej&3TXX{0hxEOVthpfuvf%$ZPhFML^6mgLlfNFA-t zB%>~j4n7Jdt3AoUT#@+P1k9V8O7*9*5;Jw^D3tgD|AZ#<>~YCaf&Zrjq-*B_n5%A` zVkPJEO9{tLUEHZ~pu!vhd!j{w^*f&dfgX09jRVSK0b$z|g}W|C7GztwJ)6pJk?l38 z{74=Ncsffg#Cd4LO=cVoD0P)6B0)Yg*$HfW_8UuLlGI6JUJ}2&KzxEvCCF>sr152Y zXLcmCak8*ZQpD4sWSK=K{9>P&Vu%BLZgP<|oyxck# zKX{CGGq1pl!c3B4+Ov_gue1ZuPoGBOuRzm*VxT5cx_*v?L`vMd-A^~k3e&W`G3C1r z{k+OSPTc2KZDn4JTd%1I=m`PG$+oNK-9W}M?Com%dGeA_Pacv*30xp?Rfrha2tcw4 z$5{X`j4^<@_rr(#&}GLk00&n3BcN<~+Cz`2@C8Q<7a6b}s)He)>f9RE3$Z3t!Mu58 z_Vum%2&+5#yLD2y-G$vr-oi`KlE!SCff*q}Cs71bH&T!EXQNKgqAULN$(|4lG_v9Jt&1_nOP`a%9!nh0wlgaj+vS0 zz@j|CFDZn)_e!8PkO;$?<#Ab5C(xd)SIGaa9Y9T{6OgzWW_ds1!UV`Lo`Z)Nq~le! zVs%_rbiE-Q3PFKpJuHP=+7~Dp!*{bP*^ZlTfeCXCQdG%m_K@-cZVjb@sa+C%12l;k zjtl@OWjO$Yd0r6XR0IM8^|tAn;>q>YZFLxSjy@rauINxl!rcZZ(D4K_;)MU%k>i#| zNVlyz9j>~D-n2Ny7RJGZ@Y!>*1y~@g4%mEnQ|n`iHiiJp%$%x@hW^!{wbmX`How0y z8HX{PS=A@a;c-^V^?&x~91P{lER@+n@dGxBvLN z-~Y=uU)@uP7?2(^!Hu_JchvK=^~@Fe-E@~lMuAe^onX075Etv5ci=Zz1FZo}E}thL zZ-X~Jk%)TE#DBvzLVirhwn=VvxOHX=LtsU*uACCxsq4wOSbu3d{245{fv?2&g(JYI zy^~3cYEa@-NPAeQ;KNetggBDQ?m7w&uhTE(_Q2l;cZaPMrq%Z%E+L_eAQ7vO$V#kX z4(}@*P>xQRODsYDY6K{SFiu@m8v51?sXo+Rs8SL8}?4;&T#KxS=B zhV9S?yF#AuX&f~}9{?FR0ew0~nj6^Yc-qf-}vtS9fNa2RR|*>_K24 zAq}v{8g&Oj1q=~6dyVAfwh8Zb+ z>I4)r&O5`7lq(wiC~scciqqwzCtzP-cfy%l)d3Hv{D2Lfx(&0>-9eiq5MZSo1xyBF zu%gMMJp=HFS^!n1&=r=Z1?3mkf;dKY5798(ZkJdJte-z&eM^j2Zt9PYyQAGI9O2MS zO0A+;#{i*~^sZL^)nIxVI zph6<`!uY*{cJf8qA%&Rh_B$Vb^hFqNHt*dB?`rOCF69GzNVU5GhLb0V!iLj!L3}@a z>pJNnrUUUM{Q3m({q4GxB#!|LT|jU$2H$y|f#}3#MlDKP<_-K52vkTr z@wDK2GC`?6>!fPq?O-8iCd(0<$>}6B7A+`Q8WQpp>ndmlykaa7+bV%XXgxV9@HN8S zX7dnLeR~p^wc}(Nq*DS1;t3g4Wu1JgW=aw<9W0VHdA<_@!bmXPkrCR;Gh2Il&F~cH z6swO9pFndW_>rJ!?0a7t7?N!!CIH?iiUg_1N(;{0>+p4RrVvBevN`d{XX$0aBboQk zwg))*0va?buLoT<@IQ11>^Z!`pU!d#6QGYfBvxZ4}>yC>xhMAAyl!s*7PB+<~W@O8U|_#FwK7=JUSKJ9zfiG9vGmLEhr zz}gG%Z{j@A>D%c-Hv{`%B+i!rUUFFnvaI*w zmD$H*N&4_(!dr)jKY%q->0P8a;?da%QKt@|ljir0$#MSP2_!q~uvlG>ki%g*lQi#n zk1)@C5)i{)cWtJdNH>c0c|^Vpl$#>dKTDqV#9fLF@`ZgsFuYt5b_SZk@4=laeLrQv z0aS-8T)+yQ*VHuO+=K@b4E((imOF~%8v&}D8PT4rRM&A&*Tvd` zB~_i0`_uaEsSIW#CzoApdk~Uga625!uCl4|T%;$GI!gkSlrE;gS7I~-lSjFwJd1tH z#3+B%+X=EuFA!VRiT`Bqcyr5&OHvS=((5hBE=gws4WvL8?iRr=8vs$Kv85J5%?;P{ z3sUH~N3h0aLF7Whhh5J>p6rKI2illH(t&yEsA3a`W~%EobtMo8Ak5Vs{BcUukeT2L z?S@3TK*o_DLAOi=Kh#K`kRRGCK%tE^|h9xF<*GXq|+~_#|7{ zkBx^TcYxm8WT(O`bxlh+clj#JQEKTrR;1F6yE~Uu6pGSx8Z{*JWYrX}GzOX?eS-QiL z^Q@mNC8j9E%9a*0a*-rdi~{9-CdUBYi2&0Z<9$zddayNw0Na_cQ!fn9U-xEM9RMEW zKA1TvTgAQwbbFK3n8f}*@gI&shts?;d{fvWba=N{RS1G60P&1tZ6)njTN0$s>^x0c zW*B1y&y+)ljnk|nf3Ra7W(xo>Ha8%?^Uyla>6sWVz8jyN$#6O~hd2?kzzPM>|3dNy zSr6LTRe#E!TDd|C!w}y{YYM#(_XF}p@Td#SpW}7DKcQ?#PAtD-VTCGbfs%v5$+(t5)3F)v_9e3a_22*PA3^rN`={Ui%Qt`c0QJ8D(+hZKL0}0! zpqV5wTUnQPE$*%7g+>KZP=#CP>~$w8^mhXKK#_5@mN)IbJs+T(bP%uv!NDlJ%yBlB zcwL(2QoEo1IA=@osX;;32i@|WH}Ht$w*jyB5-<4Q(Al@uv4nwJ5QkOB59kKLBuP!k z0|Lq+-CC|b$d{x$*I>Z+ri>ZT58X{G!QA9J*1~<1ewb!Z)cRhGOLbk_a34S;S~vOTIw+ z^kAb_z;I%gYFhe5Z z5dqs)<^>Vnv%Jo1yz_I`17LgVI9+xPM7nh7X2_`a-bF~wb&z0SY2;5rGu*X>jW9(% zhs4eyP^AOjYat}BfaVj4iJZZk_5EIot4w5p_l3jibLSK9{TSQbuA`l`!2}OqGTWd0 zXV#gs4&%WO!0W@R5GX+Ae4C1!#O%1AEUa*+${1~6f0lkx@ps{)p{FMS2bd9Fn0;?U z2WnO&Mrqg8zb+&ow!I9WbY%gE_FFqII8oVG(ewc%ORTCT+Tk%-UjVIA0Lbx<`fa8S zJl^NY{tXu^n7zXC-R_c)nh6%F;p#cEk!F~cg_75KX@XiC0IzaoJWqB3`97QhKH;EA zIx~eJYy=vs@LNQw5Bw(r54|XOr@;fc}P66-pyA5uITQ{@l8@c#u2X9hg(zzJpiF zg7-y05%x~dlRoP>0ts7{9ArXW*)gRO>hkp)tXkz_{5{?V0@Af&b)FAk0j@&fLAauw zV5+RbA1x;xiOu?ZEr$?qj5#*LDmO@P2yPbnSZGK+q9tfH)CR zRXi8N^;nr9m92c7=eNX=_kHmCQPL!Sw6&cLeBa4r9XX%Af^eoE(otsB=qxSP-p#dj z0_D&Kv9cgCWNf42`a!m{ULYhoKnNt^Af8$_)O0t*jdeFCsK^~~f#|ldI9ZogfM@Ou zMg}az*@%J6tR*Y~G({O$o6@SLUO+R2fEZ^YN)PzJu>pa}HjFb{qO0IS$DSQv`!FhG zT1aSuFPv<_;xB-7k5V}__P*KMwWkauAmbl|i^iJSn;`&piD&mw_LILl3DjDsOpY$x zqS82oy9&eD=Me89ScY!K#z*qf0JW$~1lC0>(cPuod8mknM{t+6HNYq(08KjNo3S4m zM0;c@+;Y*aAgptrR~ac11+4|tFv1lw;`znt`tgwXve{2(cinB6Q-OcNEOuj`*%wUM z0GB=fkxpl!ezz{(_puLlj;^YK)PaEY#1eq)Vd*Mw{uAWOQWYrQLptvvN$|ZC3Sa@th7M&Y?R=uWIkcLa!WZ9Uvv)76xJxm5MV*w zMa;q_JrfDL>`fx?0*aKPm0S(QZGzD^+jsL~TO=zFz}Dux`mw91XzoV4han=#H3v3K zdDm8Jp(GU_fuR7|LW1nYP0=0vVlu0^%{*JVb;1r!)?M&|=;K@v9UPMmrZ9j{bd_10 zYBN1(&y9`k!O{a0hTAw5#Eh5;cuiXIQ}G3M=I;g2RYyQX)SlqE<4q9Jm5j6V-mX<= zMNrxe_}s5Mp1WVp^Bt{83l+m;TNH_hh)F?_!YMT>o!#do-du4L2s$B>5S+#mQw1Nu zh2-Tde8K9D-0J4Bi6@fHQ-$R5V23g>3uB$IhYf;W`FJ4TI$<}wcH>)`PT0wh1kOn` zC%_Z!*AaWWEM(+4b!1tAX$xy?qZ4x15j*hQkl7(?mjqK2uP0nFH4C06?a0a0tux0iIj?|u)G0eLQz>7<8&F_A zq$i!(cOmnrP-S^%9XNnmj~Mpz2m+I@YWQN*~W2uT^liqJ&gnTe60QR=x*d@s8jt-El zl4=MwwmNl<-frnpYW2Hvpafb0CSX{YTLj)6Ruxvm=>S`qJHY+BR5udbC%_5$pEW2* z1&F(4d@9LqQyv5qvWh{~j1)x66}UX$GpImwVe`OdF$6L;CDU1CfYB3AN(7s2fpdN$ z?gHmY;5-ll9gD=ZC;~bp8RH zcbzm8kn*k)?IdtscYcWfH%U$&z-R6onqCwtbqbqqsNc4ZKv-0&VQMdM&bsczWG`?Y z1y@e(AeA&OaNauDmQ1L!MSv-x0&vdwRkk@FHje(3PV!=YGSLWdPNXovOFly5HWoMs zA-8>&^hnMm-YE6Sm*Ct8oU^Cx*jItX0_TN|NCXy<{1DO$o2v$cB;;f5cJ{oThU_d~ zP2dM0YN$w&XJCgda2~JE;CyiwvNFM(a0fWIFs)0sA%Jrp#>}dd6#&5f90KP#okyw` zqS5#gKS9Bbce!-8qEk$G5PLsmLLRt@^~A;wAeocM)&ubu_CnGIrPq|+DE+Gbh0>cm z=NLF)TFFAg5MMm)?#tGW=eYNaym%ex#UqsveBm zMG18Devv;atQsItN099OmPueqPO@uZU{*2+KqWdlTH6T+gkjI*0Bj^PH43jbc;dRb zbl528LK!&3RggiPk-~(RY|ptlmRXZ-4hBPLj?qhnddmnQ%J3_)jUcu9QV_0|4e>ZH zHC{YBQ(9DFz1>pI>fl=E2!^56p)$#7l6!=yO0Ev2%dNeyeZDmc>gn#~z2;5;V?yZy#{o2(Gh6%WeEZ>tH6MYc;}S;aBlU zQR*AkY+Pu)0dAwjH#3g3z0@}>_?qcE&nZa64rX0SxN zYE+GKAUMKfrB!0F_FHn}CR3yWNQT5~Rzatx-8ZC*xGEnAAm3S%#K5<%UP-u;$K2O( z>BJ;}hSPaE)%i~W`cPV!e&Kml27`xp-Dz4vdcDr9>bSclf%Dy|obzDmw|Pluywuo4 z64G|?K&srI9ArhewgaCzc7Ny&m8Ts1{C)H2Gu;Vj2FQfOjh0f;E%JFE2c!W|Q~~$e z3eHRZEcZu7e!qZx7H~z>CQrbOuG{Pc@AI%lB`@dk0LHRqjq`u^&fD#e3>H~JCQMZy znQLBdo4qUI7$y;Rs)G^lQ+YlQczW|I#g}T>cKS+d}&wm!Jx?E~hUR8H2#G(IOGr-zKR3a$sTp8DDyu zh4vX^kWABICJ5bR(7xbt;>o3_X+ryL2<@{f$|khWTVL@5?2okxi>6h63p!d*Z6P!2 zFA|FG!3@aE=+Hb1?8keK?E~%0AzMuLyI?=R!M*@a&!CU1et`Y{0{fO&wOz|5lg}Me z>HQGc&kup~rKhnV`2Gp(0}~P-GG;B?2im{C0Q*qHPhj6of&HED64-|+e+TS4!Irqa z(SA7y^z-}x`y_n988TV^1onv^y4%m?kzT?DNlV{gzisV?FbVCKF;*!l5mjJcM;8*( zxwRC49lGt3lOSMU@Pp9w6}L!A{ji{2^_i!zdC>H zj3rn%6NN`*9^%OcRC%ojma_AB@qL%oY1!{=!PcV?U6+?=${Dn0Z=Fobg;obe6G(d# zP>PiHk%!|sPNpt_-d~lq@#T*SP`Zgp_vs5kY=bH3HWlb984Cy;{(k{OtJlH1w<;bY z&`Y6L%DCk)sNxLxkyPQ%sBTFKj#yNyv`(iLIKGO^g@~jKj_UkWMgwp%(y`g4Oy)aP zC~~U&G@N{6$aRAjWP(3`6visE1?DA1tyMv%tLaynEejhY%{IE1H!n~E0H#&}$50oK ze8C9}$m)2qfy}~!@(r6(VI-@k@vf&%Dvv4a9WW1j>{tc1g^>cK$tLw;Y}UoU%!iuJ zs#yOl4CvJX5`UlB%Y?)$T6Sp-?i(cK&NN9&MW=AQ);6?Bmv;z*x{116%f=avaPF_ zt8el%YNv-Kj(3f%&WqwWb?9Wz91o}3cR+}IjbO*8h0HbO?Y2h+Asq#5Qcg7~dsSUa zxKVX23xYi&jW$1cdSx?m>ASpk$gah8U9gTh^1k5zrt(^^@><-G%7YV#v&Qx)v# z5Qjz6&nLG-Ma)CD#vp_fHmVCQf2LCIt?SNNcUlG{6IB`Oiqtk$M!fcf3J;gzG~R$r zcE@(<5>@zE1T}TvC@b3SBtbt?QbJcQr4e3BF8arL0CYgSU6Tt{cuW%Rhzn%PVV>Q$ zx-T$-aceRl0JE0idrwfM5aHb5e*(!f4;`91K6eJb^;I0pUJnvE8+Z-h@Bs0p5xpfC zPHKPCAl{6G1Is!IaJ4|PC3LI`4_@@pU13rwoP>EGbI*W+r_Qa!Sgt+4iepPCz^Eha zlHGKj!!KmdiWx%oa#>OVdr}7F0R@{__6K95DgZklXsbM^-_U-~QT+;fk`x0{0o)3* z^Ey}pZ+}x@m!#<=cAhYAs1u%WpOpEu5#Kcj8%t?9#wfJ0PYe%#tm1um`d~) zJdzn>9}}1x;fXkVvg{dKo?3nbRt5B+qj``cg*Zfoul<6w0o8Tcay&bjAOi{abnWLZ zV>~YhCuiA^*7qr0r7Ce)E-9x29u_b8MvPJ64q`S^X}C1Z1?+0NT6iST^AGEWr|-J6 zo2QchP#ITG{n6=N&HRXzsYSt-w6v9;p_>6N_>dnHvj(_01m<)t2hDp|$ucyv&z-2p z;_h;mfcVOmD(*b%79bcRwz4aP*#gfc{F>376P#U#4st8Bv>xdp+K^VrUWG+8n(7)t zmS|>f=G>#-2egmK)aYQ>ndvaa8a;xAo9(B*MBO^7XnP=g9UdVj+R;MH* zzN%%V`M%!OvY;0`H;6g(nMtxb&gBKg0e6<~Tu5Knayr>2=u1+qn{(jrZsp|A%aX^0 z%idd^?pn2g^Ewy!48Rsp1+Z0DOHd+TErBH&8P|ExxLc z?H?|u^1`@;?Q1-tbY_WVbkyRWE)Tb~Xzfj4{IWpycooPFRi)WuvOv%E%W(5Z21vN7 zW4B5uFFC3_w!D=xM&+?7vm~w*VH^_5umD|T8Iezea-b@{K^DY1q6TAAr7*3r9UVY( zObLp=ERu!#FiJx@d@wrk_p2gVtDEh4c4Y=4Uku>60YWDLBo)cBGr{D`wWq2`7CcX+ z6MT0WiZ9XC^6cRorUeIY7AC{!`7oq+BBO)f0cRo11Fi`q{Q8jo*T4Ev6yLu2m;dYA zZ+`aw{pJt9`TfuS?GL~I_MiCo3&;OGFg`M^AiRs$1Yw@4no`}i+jS3q@@*BI(CTr) z!TWx(XIKWRpfakS2<)(XA6uTRrbF8JUG>&-|C@OaSCt|;OBi*|*>!_D%G0GKi;_pk zRL&fVVumg6H<*-Xi65*A-z)&$?U1vn!eD;TJ5@WB6VSBe?{D>cwM*93oQ_`D&?I>) zVgNH`&p4rmC4bkl8B&Ky#3$3jC4V3A65GQnpH(8sZ~1$2TOv7_RX@9H-6(5*=--`A z@~8CgX6fI(mGz=T|1!gT$=|2s?=5c<0X6C0`DxW>k?%yUfjsv*w9qCob4h7@dza6S zurQqp+L&sc#c!YG@AV~r5B*+;^`|PgnKS>Ezu)~-2(n|7^+a!NyZAw`B zlE3#OJZcdrSscNmrM@KGlD{{5G>!IBzsvK6B&e@~#lGe5=~X`aA%EB57-;q_f7cn+ zN9}C?q<+^Kx0KOx%G@T{fiVzN0jDu`=9V!9?>}UIL(;!ZdCA{}%@3J&fs6WaN&UX> z(s+e)Q)x?f2LM9n4oqput0L_t?-wUKKISj5DG8}lZPx4sj<^Z2))4|Q7XHWrB46>GjJOP6 zvNZ3E#zW3~N#2KRr|fG!N!|w_``m=?r)tGz?DL^|w=%ow^1b0J;0vp;wLe1l)`81m z;Qb|fCryIM_f0lLFl@5nQoS!wF7dcj??mvtRPVBzRBbu4;Q%OLQ6gY*%OFt_5*W5b ze_k42RkhuR3@_wlOxUzxDZNxI)r{OWvI;0A?~ed-83vJl^w*Nz*qJJ2(7JD{ZW`F7 zI#>u(PQX9%XO>l>(OF;DwF;4fZDOR5PbyPqPd=NML|#XLa$~BDOBlO^Fj1u6J!e-D zoz4y);Y#+p-mCzVB0mUg0Y)sZ>ls2jaZ+ zaC#^(T47TrVt#9RBqGqEoGVTsiTnw|%dFoC_Z|}&zB%&eXL(uFrVO!vRN#u$4VPEGtlS#~;p->yorsF!cPPrgA zuyhcEbn-fia&n^fb`?x9QU(HlU8WKUR22(QkQ)j647i!CFYv&ZL|!IPRgwV-NZMN> zuj|cinO!b{lQa2Dl2uxjphb8n170%e+NlVF#44*LiMh_(6K0lqXe|2clDHZhX05{e z)0c~Eeo1@6v;yM^RQv(VmKc4rTIcN^p4E|9#tuUV?8}MEJSNOwK&cGCsiFb47pPxq z$yiP~xe8Ie3Yn(rMTPWP(ewUhDFq5=3HMEKFxzb;wHp1Tzft@r?Y|}R5{U@WSpM;GAkX}2 zAIsu#l%hT`L~4aCN#tc`I2if4eA&rz{l3N$;3zy9N&jV@J6KSn08RQ=ChRgg9h+|B z5yK_+Nto_ZD2l==3*@&P)lmzcO!}R-O20}} zr3I8_Z_>XNC%2bX}Wp@_h_DLZB(#M-!r}F6n=9?p#Qi)edhw@5Vvgnkl zbeAk3uP{w!*O~lw-X{Q3W@^SvX|n3mC8lvQvvE!`_aRe!HtA<+{D&XTkTf3r?zfSl zGn&}P8sNU2yRH=E7ksD31vx!{0A#rRw!TS3IdbLDN#t>aGTAd`d%=2nm)yWSFTwk< zr19mx?#V~GC5>P8K!M~SX?$oWaE;v_N8Y7Ni(45NsQz}xEa3=Q_FV$?^8Nz;ru@hV z3m!5XtI-{P=&~S}<4bV=i(mcCUvNafyg8vA!LMT6)|l~bOwyH_>9RuM!7uNg5~S+f zi4c(J!?8I*qVj6kWfpSYTL?0|H;gK~1N`rzPMGCm!`e1ZK6EF8C5ahmoNa&J68q}b zEuEK6{-xC3bPBJ7pOcaK;uOBhM;)3?C^%1vLmzdZF|xGKg_GdYo$4-+OMTSlj*!Mz zr|>F=%1zelw+bC)NFG>?JOgZ1uiYlQik+;>B^m%-(9j}q@VpnDeNXw@FLO6cu%opNljg+~YP zBfSpZLGe{LhrW$+=m0g41(I9m?n2qcWm5d-b9Z$Y@HY`0QkCFX8EnVak3~Rb*WKp} z+xJ~|v0^|FoAmfk=k7o{;8BN*54-hy9p}lZAdhN!UAY+E5-(3Ven6OtPOXD?zWJJDsvz4B0F8v*dho8xD-y)AwUjW!U%JaG*8!T@As-=Y z6_X!ih9FbCazx!Ry-(g_2g(D^dXb~*Ff-|DsL9-N*C$F)dB_c7%6#2az>#V~n_d1% zkg?anma35a%hl59KJePd+tregF;&nc`9aaSduB7d58l~f_EI&gmF##oM3J|xWz(%r zz8`s&=@2775NYwcXmtOYb?m+!q3?rj5A~D_968;v@IZwml3VVr1V%9LIWzFr94bfQ zwJfQn5W&^zP%&VWf(tNBCN=Es)qT*Yo%=fFEU;uUWok7)>KL*P+*6VR^Eg!V0#esC z{6PedMg1)e=3*t|7}4 zXG#I9GBdA3s+ZM|6o70I9l~g)kCAO#wOy{OrWG{deKl%cAB-H&0VUuJpdRp@UjvIm zAdr3>w)17}b=XeEDoy-c#zbT?DXg~0DpxOAp!iO*V*l}9>#RMgrqw_1vv!by>nYeP zYNwiyv-Wo{vF&x(uCt0E`_N1j1Xm3}$i5Ws@3Zy_9w&B3DWOPY_tMfzK_gG9*)}oT zTjnGrOW$YFNb3-=lFyGTRNx2S6u@~5mM9AID?bJsmkXsn&1ARfJVGTaO*dKKryyM| zKak~u8CAhvd7ZJ$UR3BA9f8ctPnDQb7`K~MH@0bn_R|gCW0%O%BDUwL>u8f#Vw8>Q znfIseiq`N(=;QJ1#$D~Y#x8raer%OIFpb31O$T+!%JBs{*;)h%Uh=P~Y6B<@4W9;8 zPZD9C(8RbCpT z6I9?hA7ybZ^q_tbLlD0j3pmdcjKfMa<|zk@lR(C9^*iZydzMi4$h&_4F2sa%9(H&A z_D=vFWb&K}Z!6I~^jjvKvTTNt=aAr<-pH{M76u^_s?;(3vaAFiFJg4V<*-QBQ?N52!q++s_2yvA$y^5HkW_n4JZ~5ku zJB+%j+^iazluqqR>tXEY{qm@kI3DZ@yoX57vjT$yf#mTr)MRx{uoTGbaynopbzdd1 zwQE?OGdX^701qXCJiQGnH=V%ibYpqWyieedBpHBL*|&K+<|p@bMjbw@f}8vL>Hyx( z?c7g-zc^qh(yyN+6e+k=W9RKi>1CNu2$Bh~tWM|t#S#3=p)zgDqOv_I(O_%V22{7_ z00LREy<=3m8r7&z6}YiJN%{nqO(V#S&qwfEWg4r_tk@;;RUkEeK7%(hN0ta$ro79P zrY!}{Gyn)clL_8Dx(D3czBDvJN}pTtf4aO+qXsjirAI|G#5j3^#JtOg=3;9@UA=us ztSW$GR@C-e#lBx5^<|y^=OT5T!skMM1UE=6pNYdwg{?~7<re@yF@0J<9S!X&~BSN_AQ0K8IfzR@m;qNB))oJ5Z)C6d# z15j8}_yA{i2M?*UP}1<)^FsTt*D<`>61$;6RQNv|`w`B0< zTrTC3!K*INGab$|9o!?PYu%=oq0tif%yhyyT62Yq-jT$gLAy^xV?zs3Q zBRvJX286MxyysXd_#Je`>H~5Uo4iVlWyjuJxjG}0baKhAx~*uInliPEuT(dKc9t!x zf?sC1x|c}W-eBj$Lgo#>TzVc}|LQ97VvDRJtFDGYhp94Xl^r=`S2ri%AzhpsRnv{O zGYZ7ra9ondG+m*KM?uZ3ve6WU4u>?VtBsqAq3%sr75%)tN+w`1S@(OVTrF7+l{C_1 zla*HynvsWW(V>Z?E1i?z2n|E|8J@`JfZ+GS=xb zdp|b@3`9kuwC0=@)(*N1UWnTH4#;RKFskzGtAaZub{`{fEjz$Av0t*7ecxo#tt)1N zC=V?#2h&QbjGBSPN^HLy%thAf@Brb zn@@svQO_#P1hqI7q(~ z)w%K{5cMNmk~n)w+@k!a4%LJ37Jg4e$pH*ib3s++LIkZGY5ftT=?^;kA_3>NYHoGL zFJ~?lb25>-v>-iy71FBvO~2C@^>~#m9#`ZLZY#f{7-+VBik+En(lAm z8|ukzWcdz(_50HBgWRJa_fa8HL_bwV<*cT%l~-iJW!n% zeOnMe-4^fxwWQ?+*Cg%H5z|TJ29(zQU2`kw(&yY!XJGup z%(y!dWHnJB4o@jfd`M9i63z|dz1!vAhn3_OmgiteOJ32{ zoW{mScM?WbWx6%+mlXJH3N_-KE^IbjRc&x~GA6^e&#*_;#-CRh>L?_e)Pv~S3Da=W zUa1Ie`)&PHTe_fogdbR)CQ}MnU z2?kPWlk?}RU{*!zlF)02rJ)yRk~@l($=o`$ua0T9T?PO0?N(XOP1qzv9`Y6{!?A2c zOm2V3Q%g?gF9khAc`qB|d)N6pL1nQ83tQlu_V6l~&60mMby^*~2&*z(a~aA?X607B ztk+>=knH9@RgwM{&=Y(M%#7_wgtfBoaMvkJE`}8tbz4CcPO7ZBT(6dXo{ZH+4Zxao&%bJAv&?F@vQFydbbjlk9s)LXQbgeW5&6860^{ifHd5yRsk!9f zRB!!!Qn9RC#j^9GSoSOVykGM9>=RFFAfUzHtlC6C&bqxBpY`*qKKxWHTdsMilPuO3TM51&Pm= z13w%~cVUv>Y%bcIjw62w`G5ZRfB#1y|Nr>izx{vy{o6nM?hB9lRt_EL6gza8$@j0G zbs(fpR-MFjH>0~0RZCk%2ll{N=5zIs{fK<- zb(_|q97E+IW+r*LE}-4cg1#J2PBx4=Y zRhG_=U9!#zncS4;_41@Y=VU9NPcF6;QlOWXFb@xDB3xgD7+J;Z^6x`hlXM)W+xxC6 zVaW|lcIVZ!$CI26FRKx06}VmdkW@j z%6mPwzV2M^Siudd=giW1j@1wEhLj|Nm3scBddE4w`m0KZZF zw{>K$AUSpQfW&m^XpCxxu4V`>ewP_?S_Q9LeVx8rBB#nbrK3@s2N^S7YT9#y$H70U z_+a%p*`ts?e7csHCJkxs@3~1$V@N@%I4d{$cC-&QjVfG|?|Us$Gkq4*kh&zM;ivau z*)+W2CbgjARJfM`JJja5LG!~|wz^um_?Ne4QsZcOJdIPgoH{YCNyi$?SaOs0Ma^+p z4c^>38I<>&7;}?hP4nXx|AD5<8&XFna;DWu7mHf10c3||=bmJ>4_K7Xs)||(47?^o z{ua^b#Oh#?@~1j}^fHFh5tR;D4iX~!ZEUh3R0vmFA32SAvoNua{K+*pF9jtGi9d8z zt(x01#Sx#ZbCf1qC35*T)q^YJ64@aov~2Bo9@l zEGGB1`CDR&Y>I@ZFOSKwJSNQ-`kDEzYQ0Zs-xeRxcUC7{Wt z&f}$@(Me{prqrFq?7ds%q;FICPx_f8{mjPt^?gZdwA$oh z$#tO(l9NRO~u(OJHIK<|uVu5S~%y}Tcw5G*EUnnd*y z&s15eF@ScvVVC5!`8Jp&DuR;;nnRI6ohWq4Wkb4UL{e^vDG#r%W2#`5T>>e68jVfV zrJ#kgsHl2`aF$(n#m@1~ATn(RQ+B{DbG0*|kKF9Y4H=5TpEejTGc|`3abvsAwwo$_ z*D1YndsHI{e7VxutGvRr%rGL z44!L3C3HD+^OQ0XZ24B_0WT*aLItZhyQ^zQ5C;f(yUg+SY3g+sxxz_@lE}(Ic4ce} zNue2F(r4!RNd}PFdpuiW1VP9=(*3fI>^h%P}$rokh!wcT-Ew+YwKJnY;&U6#~QF z7Na({@DTHGAhlh7LqwC$Y8cjOe%Ggt&75GqCQ+*7FmK05c{mrQP~PA`G&fJRY&T5A z65LloOFrv%XCRbDmHP^Q$`cvj+LXU7k8k886s)$)C6l*!eD;gq=q4#s;1-R7D;9zZ!+pj3_}onn?>%|rf$&pWA@7is9FQ1_MT&Eq`fbW6QeS!beYLC2MaT0WMv<$ueu-gxdR3_Ex<5ar$k~t; zNyyq>Iv4=Cg=BhRUxDk(tp4-%rIvO&FC&>e>T>;-!L*~lYEv!Pt8L&eCo%*MeCc5F zOEFWu#O+3&{YwT@rVLzkG$9!bi*{{IiSx4ccFW^9PJ8;C>M4XXorbzPFA-^t5;2u6zEt4{?>CdXB)7FG+n%zxW^;|mJ=GE=_?h_SFC&2Mk?cFEJNkQCHVFynU5s=D#)9rh5 z<&(@^C6ZNA<)+hq0K?L`cX`t!>5TKz?<|{lRZ}@B?nd6Eb1&)>HPp!c5hRyCQR&h) zn7E2pQ(QWCX|iH{+q|ny6f8W$-y4`^>D-01rPLK956kBru&lp$^Z&&e+=tHHtXl_p z8pM;gGc!^!=nZ#}L9 z`A7X8mn)6nv5uv@DPTAtIbck^!e&>*78;k=%mj_AVUB}r0Est(|M5DHmznpW{v1|! z;!QJRKDUWdan!huFHIxmJIy!W>9Eo?-Yg{(!qU1|V*$NV#;Z}3Rih|A?Jo9HqbOMi zrlE#_s@1F-o!LR&<|cFj$>CK*M7dJbM#%C6ujSt^-&K$#ao4CQMzu!)`l}N(Q7~{? zjvI5joM(o3wal>Z5z`^hEtovvS)F+#?0G|Rf>n}LCFojxcyuRlrhae57@zXHSyNw% zc0Z&D1B1@dueYL|sG9*3=MtlJ-B%u@PgavvLqqVKB<+MW^OCfy7lMur1;0M|xStDz z>+a){!ezA2iuUmW;TvG4H_L{Z@yM1YOs$rWiNS#ifWHa1Ny(Wcs1EP}|of%pcU zjf4fK)j!!7$UeascFi-&`cZItm+x0jTT9INZG@-iBa!jjvR%hxh9Q7JNU2oQz6vsA zJ*f+`b--+1a&+MI=1%)MVV+(xC4Hm1TAl})R1JLC4V69C!Y9jitqtCm$k)^c;F4En|k}`UU*93^73p4<{HHjcWh4n5Her&HSd!72y3J! zvlu&!ZU=3!GQYTFdmOH|3Yqzh23Mr$%tW7}ul5c@+{j{ea_$FL`_VS%PG z$wFph7H@Sb%#X@0C5^08XP3pEY7%%zP_Juql`Rr|KzQSE#Z=jd4%=>d3s1KVF$A@d zlRJQyF3lhOhw}3z6s0Q1v5SGx(T`m%9HttES=nF&)t#${*5=fk;I?4Ky+OTHGpn-G zb|jUo0E}catADXuE&}AKPC7>Q0{#wqzW%T;)c>tZ)80QE+u>SQ5K!%j4Hr&um4Z;OMBTXuXgR#xz0&?C2 zQ8-x&5(qn+lNFzQ5O?yrB(6#B+99V&4!8*gtda8ehcUa9=%n~mOBVRv0pH?C zy06SH?TZOI;AWxBs-GTm`0}64Ak}Y)-!!UcifL52-Kcr$V5ZprRgz)1gB{gc`!s6l z@=1%XR#|VGb^=Jed{Z|gbCKHt(3h@dWRMSwV55#@(|(Avw3j*RkvnjY1)_W0;N{J1 ztl~U`Rm;J*YZ=5;fWx+5>Nu#ItW#=gz9-8u>+ugn-3CE-c^qdgDQ7Mn>V$)6!xz0y zxY?M&Z*Ypn_6ooyo1Q}nO^#z<;q71iB`WlP0COLqz}%X1SqF>YEAXOpM8tu5OqlQ# zs_BQD;kb!T&aQ?tPLiNJNt!I+W0O!CB)hA)FEUrHJuP@R<1|df38mSm`V|>@jS*QT zZ#@;^m)8zJDd}ioTX)yp$wsE6=;zda0s-3WS!-&^+OE4S_{|f5se6!(o~~~i2u8O< zu$>LW#NulUfG?4YJe%CY-%f^&A^^RuE=%qqZNPDLnaZ+YPnr8j;3c@JP~aPXn@df) zPo?iBlQ=cAWK*41Zi2g-62IWL4#bP>5&aEwNtp^Qr^n)gn_## zPL|a)63sD4TZb`z!rY*)qf3Fy8f+mGoQa_yusg_h!5uf@PBo%UAauyrD~s}Vw|^9o z#!QUcuO{J;y#5Ekt$%b*g1@xA?{?LYzMG@U9|_w z8sZ0_3%|P4W|{P#qyn}66cv>3C)jp#ez(wJBZFxXM=xg?0H#ilKnWm9mBXq8spNAw z?~{O_d7_||&Mvb*M%auLA@Mw#N=QdkRlX$CV1IS0Up6K3`{d8o(RJK)TDMNY)d;uf zl$?zP=59j2x-?Lyq+Ay4UdPx&I%2@0VE1xxVbii|T9Escsv~v$q?nN%tXjqW&8m_l zFkMqxtOdKJNg(QK$u%j0>-!X2A>~yC3hdrruzOo&pzZ~`)f%ywMO+{z;4w;vMT4TN~ss>j%wZ)+~yvh z1HNk2l*Tn+^LfRngKE>3y*Ue!$P)U~9LfI?RGz?wtH|9&n&qkvi6EdNH5b@<>iEyf z2=JJFHA+bERVQCyxuP%B4Y`rTU+vO)V4bSFgGjQqa64I1WXZ`NnGq^wv=eaCP6-@% zbLgZgCsB<(uXEzuPvVuk+@1)ouB3b0r>H+$rV;RY=}VQMyjt=AunK=V+qK_mufwTE zc9*n4+Mnsw@sF|2kXv0@KmL%>k3D44-O^Aeag`iE;lTe#EUQ!A%hA4PP0w%Gs-sdCvnS6))m1X8~lYjTJNg#Vejo=SpHhGWE zG#I_r+)UPhx=*_0nO^3UvFxq>%HRuGOCfRobZ;% zZ%az~WHf@6&S}(0oU9I5xqJm%pIeb1k|a}?++uaNB&Dj1LC76~?(HDxSF4(A^&r!s z`H`<@k1yoTeCU=0HhzKZba7IEdrX}C+EAIeyz}) z-TUn%kK28+FfqRQUp=*xMVy#$efoq+m)mrU)GLM-eBfD+P~l)saSMAQ%EtMYLl*+B*e+&Z;9NZMek%_#Fru zoQ~vHD&cU~*}ERp$cbs4oR=W*?z=k9zQH?iHV~%EyNuKj3>SFsfcLo6DI2@?1>Vz_ z;9b`&EC>y=WYhk$le@Zav5ywFO`g4<(v{o>5c1Kjp40)%NG!hqqpw0zz}hF-`a0fO z;N7!fA3)Hqt;a4VF8FO0z&mJ+4Ln}peW_Cz!-HkE?7I5_Q({2@-c7RWxE)w>s6$L> zZWWSlM_Nsm$fK`H(nr^|*1u2Cjjjn9gQ2$9Jg{3Q=)sMHr4*{1&Q>Amrh*NDj_0td zX+L!GIpiSG+hm1&3E_YB7kNGY8VJwk?6=NVjZU0&KpPI7VrF0z2%gzG8u22t*vOwm zn$7}MF}Y3(_Ok*uW7|L##h$t*VnA5XrvP<;6+%IGUJ6vg!mXZIV0Bn6Ox^7%Qr_;- zZ52lJTYRZPZ4QKR5dlLSk{N&NGHVGxN-0oqI<$0t4fzu2hYi=fU@rFcYCsQgSG8CW15NJqoCUE3zCO*$Ee^R!ChH zSp0~3vCF6XvTCaA)vHXEaI6NIY_)Y--gsRy_`5A?x>PbM^A4DW2lt4+!0NB*z$VZ_ zX4kv99NfLcJVT};vb7E3q(!jlp2WkFS=|~=VyfD`1SvdjP_0oFQJRnLIQA)b_U%#eXVy;^iNI_Gf|8p{_`PmBD7!m|9>vG@()CsD{ zt#IfRP0l<|nC5h9ZPp;N(nf1j!fGKSZXJA(9q3$sI>1h--&jV@$8BWsBKI2h z9}$MJyp_Gg{cID>THLI#Ka0eUFt zXxA8*kx`m-#Pxa5*L zFLY|K6QDQXW1dtO5K=DjGx`pmhv+XgPALy*P3;Sl0SHS=kv=zeU>O_~h` zks;6)77+<5y@@JiA@|DC{gRyJU>;`HF{*5=Amjjga>|~?8tP_tBCqV&dB-dlvbfh* zEouhUf}eH8^%lP@Ie6(W)(~0qO6t3a(gr0@YJ*4&8!H~k_%VJKzpP?Z2Dz+ur~Z9d z-{o@y(hI6z0EBO=hk}YnRfMi$)YX%YoNDg)(t_(|yYM@~CR+j4hOOUbknRP(NbHE^ z-b!N`O!xvzt*WUZ+cbrF$z7DMlm=d$w8;L2e-NQ%)+gD^I<3A6(^+IOu*#2{2m>tg zC}b+R#EIO@psIXP6A)^#@UjB913*ca;B{Zc*!6jIv)%fe)1_3;nR0ki@+X*DNA>L{ z4jJ8ebO~XIT`Yj4PJsSYIrJ_HVbXvzYr-Z6Qd?)>^q`U#b37L;c=iQ*5&4Riy3iE;;aBvXxTb>z-29 z^$}R2$gzQL1{qY<{svfg-*Sazf2xUry=5=G?*I(7<0QZ-nW~WSBGBCItFx*Q{9d9{ zyN721^r~ClupiA6UzI-mRg9P9n$RL3AV=$=zS5vK6$Ars$Xuk3O`;j*navhWm~4#` zk~swc475b{y4q(45xy64ebX^@WqTDuxkUD->|@=gKZTXJG&|6h%%T?ELxR*>cTEz* zopGv}#LGQKCcuzd9np<`94GjfI0Q`9x=d2BA2$$NwwT(j1h~U275brISQS?2v-4W^}# z2{$nDuJ;bUrHUsXfMps?t?#NX8>n5CR!B74fqEOLBqt;#2vmsm!Hr(}CL`@2;2SZ0y) zs-Eoc38o(0z3kk9kN^b8bU1TPa%x<)s`nX*2#lNBd8@DD!R~eGBzZ%jWa6tbJw)!PX_`Q>Wa+N)^9dzzHw`VMJ9Fhw8V~ zChmOKtj1*tJN=1|89>hN@5$IM%PfND{_Rcjr<1tWR(<3tPCTkQ8qwCq7Qn~D!(4Wx@- zGlU=Yk^GyV;0S;FH!_lxNJMRYbfuzevaEYJ02}{0)nxa0c{%C4_|@-tN>}DCw)Lsj zW9F!1E9EX>_fF0_kvKNN*>Ws4lg&x^J91iHPLP{jfZ69WE8MH{p6qf~)kmi7612Bb z{`w3`A4zL?$}9;ed8w6}dyCfy(B#dsDns5@P8}q8x|8L`ld|9&L}pb10FukjBTL)@ zz>(}J1-OHX`?BJfEL|mI0L;GZoMh=5VT?{%V({+ENnqQ#4kzT>k14SQ5ZD!x7H zcf60&JyQZUVFs|gAn&HjdFD5-Zb-6oVk26{96UGG2N7;zgSwztVzC-d!(7Yl4Vc68 z{_@32E^G$`-mD5XS)P9o@xb<7f}8jz;`x+$f*MxVFjXe9{G39r7og=bn+%;KL{^!I zS4Rk)1IdO=RU+4_AlDh}Fy+)_(GfsXuZnXS-N@e;{6#dYI=kGI1z?`GkbGH>Wa}(l=_GZ@#uZS|0YBv7U9L0p zW=p6bUq+3xov4qjYfts{1$LP@-aUAC8>Yk2v&@=#ziaEJc7Vzz zO|o=uT{^e<@^kg>vz@ET|6+XExh|R8No0K4xssr_m!B)ZbNRWB4?ovOey*ferK%4* z*EZBiOpAtrzU3DuidAI`wGpQV`RVQF`l>upgLZgn-^0t!RrhS+ZRgrv_iU$nW(U72 zglf#{0-=kxVO7;wKl`~hucoi^dLnUzXZ<8HOfAxK))a?7i67?7>h z>>-ipbCCzHL`DF90s4Nti%wFKpQ}1E`8G47UsBHM9DPn@XuDc<*48QzxUAMzYWtBl z`>)W_>k7{kD#`5GEpuqN7;+B&7`0_R~ z7|YE+!irW`@PI+dgQ{9dpD*850?gS7B(jbe_q8pV8&HAdQ-Ioa@<*p!7rXQRk|WYB zIq8SQtv_AYl8z|#S>o0+;6#p2`R0>gczrNOfZUhHZOXNEMepU>`VeO79qynq^z^DJ zIn++;231H$Ayu+$BlEhukv4|IzS+S+`Ugex4mOEsB!q#j%%a_2)mqP=L ztP5Eh61VrPQh|M1`KL#y-;-p=s_m87Fmg-P0km$+qgq1vQnsmDU)`3%&N}ZVqg}Nm zymi|HVv=A-g))b3I4$hIipC9{e5#?b+}vc+9na;)3Z^_17@(TTy^Bd+ZmhbtW8W6p zHTX}(Bx?Pl9Ajj&QjhJq03qFw$qFQG*N)6Pd#3;|Gs#UxU{z0FvoYz|n(;Q8c%`YFh3n{Bgwc@3v(k{P{E zabM-tI$55kveVxk()@_m-s(8R9H$O1L3(PYP&a$ru<8kl4^Y3573y!NzM>h-D3gDc zc-Hx>?%GbQ8cM#3_0&L5Co(m<4R{EcB4f)#pb&QLDkk}`Vg>1{uLwlXwX0OaT2pl_ zUzk7w7Ldz-LR-%?WC3(4zn?lf-y=JJwfHFRAt^BTUPV0}(mZx>%>d;dL`En*QPG#H zr+pjuK8184F~sI||E3}yIIrA$WMm9&P@$?h!U3Hk{l;BtgZgMosX1HsW`h9$*##M4 zm%15%gaRMCino`Y(q#lb_^Vz;H7cRBKruEl5G{)+C$-X1LRhKHm3ag?#D`n{=wXkS zO)P}#y!hA%40n&$J&;qm7vT?}b?>Tz*>4HIomQfp8sOi#W zkdL{a)YagD@ZXAgp0s>rkG#Pw{K1UNVQ3ZaG#&_!GSt?PC_i+5bDU=@L{a-PU^UW) zSIF9`IAN<~xVQ`?giqdKX}& zS1*n_bSdwMi_3sjEo{^$%D?@QJK<73|ScLp-SXXE5P%|O*^m##c-A^33I$5Wf;8J}0`K;bLw@SWl z1z)Y3)fVk`7sxx9FDzL7F1shTgj0O_P=h<#-6UFEEQj= z>W;K(PK4FBw*~8Ue#e4!6`hP#bW(YI9oQ8IT8LWRWG=t!pzT%GEgkT!b|-9JV2xMz zs8HrY>yo|c%#vS@we;T(tb{obSv_C(X7*8O)w!8ZXkCQ_%YwChEhJC+(I!=C3H-44=KI!dC7&6wNgSYs@0eKV}YUBrq}MeQ0wgst0>o@`um61w8E*u-yW9de2Q( zdb-S=J*x%e-gFJn>mKT|mC+GO+Q|I@O633?qoy8DO*{EdUDISRbOS*O>{iKEdbSo` z4O3MHr;#^mPUyFA_j}37Ze3cBc(g1hCgrKY&`9agLn)`)qLOo%c3H&m_Eonx0D8@( z-PH5ROMx{dY%Q00UGWlioB_HYf)>-xeF{~1+3-acO;rmowSZ9}HsB6uOBixj;k_^Z zn+UAd=MXFv;k)zHey=(Kn?%+r2jxu)VmqzQz@ysP0>)vCx{3+6 zlRsrq)eu2K^-|zgk%?0`K`PE=t0#h5Vy{cpZ~awu6TU(!fZ>=YNwQr*H|-}X+gJfItfc`bXIj)VwnSaXX2^h1mvWNw>yGk}q>3me1_jwATM|qQ0UBzlRMS8L#9}GhbQq%= z_pIgt>+A_S3s(j9CHPicF`B4_rNY%DMd)lDX-B}4EhShHN_RQFJr>7l8Y3u9LY5#Y+9 zYxJn2MCC9Ba(N+cIu>hmPvDGDY_aivO*;alN3+6%k0az_mz<6CCictXpCMa zn!#^QYG$>75G+07VXI0gtGerXD;;tTr%G4U{YHxZJlxzXQK`~oLL{kz zL0EF*%n~;0g2;Q)CsZKF>z{N8Ha=ZamGX!Z{sA@^;HEsi4PS4oo?gOhs-7`RE;A1~ zxz|+-Vbtfmk80J7{qQ=OQ%R9@xvGPcH}j_Qbw^G;v;=d@1QrAyaLDcVNW55lmH448u|9If>Akno;nX0V1P@(Cq7Y`MWUp=}x)x7OD*` zP7>Az>T=psq)*kQR91~zN*HB4w`A$7Z)t2x*#a75LX3p4Z0)|EvMmGKuk1YAW@MH# zFQYitj(u0mhNK<#x#S{qC6$L*5+2LU74z}z{vn^$E`*};+1pk4NT!5 zZ3>k*o{|Ca4==N67LLgdAW$J}x*rGV>Pmn-Sm)fN!LHzlQ*EkbyltB-0}o~#lx}3w z*lJE?Fo)!eBKxqV&nBwKA&4KHj15a0+Sb4&s|{+OIyF(3Bi(3{Vt{m}?@j#(Sp*U= z9clt7H46ysR%5%nPncC~!}FNOwM+SVv4>4lx%;bCgvvO{8|hY!66q}`;8Fo=vCZY& zB{Obih5|T}fre#~jI37W{4a~s>l@E53zVe8i_?g-8`&^{=|R4y>OhLzG3o|Io=Jf5 zv8z_MghSnJtU6lC=XNU&w@; zO>O7ZAhM{xpWFb+3Glg=+0+UiK+y5hEnEt~nmU!<%o~bQt5WSPkBU%qGkRGScqQFD z@a8ENAb`#{fS9)&lcb%M-=Q^^sCZ%fjzYRoS-v;Bg^>@*`Bg@puY4vp9t>a{GEOC_ zF#uUM$4~_?-|V(dXo69bsOF~3XwRV|7=G>~Lpr%U9{Et8Kd7l^VO(qlw&E!PK%1@G zRO~R*kf_S?k(1P)Kx5RG5&m)$62MA4Bs^UH+!~o>9MYMfV-(fEvKV#brZyHsrIbJg zx!-1)mUogb<9o}wlVnw3?c*-T#R8Pmv0S%2!TOwR&|t?W^!tmy{rRtctfqd>vn>h1#J8zqxw&$8wG2m`_mD$?xN&<rVH>aH5? zz1mkzHUcd6wsxtIcqz57JYnGG=#RAPl2`zmrAmM~KXOyby5f3S9Z7&Pm(|g&Rxoj$ zI-BF#z&Jj|*ReCfJ*&UaxelF&YCCcv2`T)2F06)$zjPC|ONC52Zs%6K&@KbifLKFk z&boseg;64c%4qWtfg;28cr~?e$w>nAGN#(nl4|;v{m$C9!}^mA{KzTZ989Ctf%vPQn}nyk6zvdo%yRAW^;0?b?iJHj zsxm9YWL2s~^{YJKSG&xl6#u5mld~WSkpQ%0u72rY_g!5Auxo_1tB$VPwrxtauHq7t z2KKs6J?`j?_Viv-!;+I*>8ldXHS8!V1p{lLI zl#^=7@z`o@_hpK4AY;c`bnSRD`Gpw~eT|N@HA9#RN(&Do}HtWnjqNuNvA z)pShd)s@VzY7=RSbCTLvZeZb6Whu5*hntmor8<|dvr`LCqW_74|Pc+9wV*vbtl z&&2QQQnPMTeTPoV{ZY#T$1P=fE~?*F2LQ21*mj4+I+^TH!Ec2)6W|KqW>yFbtlCX3 zJI8eA&W0lO+NAX9RyRyvi(~-61=f{SA0NJ8T=~`t)g?iaiplIe@uu07lsC?OSHUq$ zjSwyTX3DOUE|yyGmnut`zEyw^@|B5RIQH6iQX3FOAM-Q`$||E_H9rXmmjKZIl%6ME zG68vHz2VhBH9K$=gub8-f9oVn{Dd)>s4gTCTV#c#z=~8WbC!1fa>8DPbs|W^d$9q`a^cKD&TI~ zWIDmy+5&XTkHV?9NtB@GRvuD0k|Xy%ElD|i8dj(5wa2M$-NjDzD%t^j;pv;sBb8qF z`+}MdcLjI(I4v}mh?-ZW=D68m=d-uWQrhoi?lTj#31bF=Qm&A<_z0+y4{p+H2|z+u zbfkTl=Pu7Wr_9Ty)vK_fJ&%ub{AN%e4&4H6lgKKj719L_ z(XkHSb&}j<`a}E%#z^cf#aa=&S3PKWm6aC}<_T2xauZ_v?J7-~L`5~dWXWCfTP7x| zTV74!K7G4)$qxOhcoG8f4vwr|cT29eJ-2$%2HBi1m%4|YyL~!cH$1s-HQ4uqnxx4^Hv-fA@=j z`1_wr|MC@;xW4)A|N7nk_}%aS^`F1_*+28^fBVEK2-F26;eh8^-2*}Uk+lmPfgAuz zd5v0jQZ|uV3f6f$bvhVRH@+-=Il{N?iAnuogHGO07M#SO(9V2;GMoBKIt5sFzS;x_ zmS&gvumK$+Q?IQo)*mOP%ba!&}B%BeGW|!*B_!`upl(+&;0ns|EV5c)^ zQt9i@)trqF3dOFw(*lq?;XrCjR7_cZPnT5~>8hDmt2AaNOZVVToo?MP2Ub}8Qposa z2Ut{W722GVPKc!YS6^fEr4Gg{+u+4Q(uWRa`w+rdpz=!yQ&wMNo>uj`WHT1T7k!P@ z%^|%ESX-F;I?NfXzO*d6C&)P~s*WT(Q^M+p-P5bd#F%;{sugnf7&e>cGB$of z0@P$VvX{36$iF)}uWq7a`%(gA)ZXx(S^DZ6WV=vb5+K5Rzs8G>siU)?p*lLdkB-ip z-Z5466gq^a<$JA?1o5%lreQ$jVSX-`E2m!eFlnnoE*QV?#gupQ*tF$rXv=tZC++fg z2t)I+I)c1Y0K-?Ay0d28fY_PUQF}>`o22Te_yd$yIJ|nrV98-18^v^;#`0E45KzA( z8LmoD_#HVTfNL*_aaCBPt|HxT>h;mpda{VsL!|7*kN5;llZ?aG)dpF@HuqH4W?Xw+3yf*>YBkjnySgr{U?oB$OXQB3TK08F#A5`f21x zQ?@$QnY`%eM8zbn%1%RtV19L38i&j#RTJR$gS4H=k6NH9ZhUx@+sJSK6`kG>U#C((T>d7(AwqUZ2X^gE!Kom+IB#iVuO# z9usPEy82x`4G+A%1T_XWK0b9f{>q&dBBNR{FT$4P@~0jL(|`@hi>%tD_GDWb{OAp| z)lGiay&iP^kj3aFjT*r*)MuQheE;MiHnQNI8Rnc+{_nVIyTSi@GxGQZK#Sr3h`y z$y5GW02lcCqoUwBrS}-Fi|Hrt=FHml%WL8!em*j=fJ*Hs(5XV(c}@mk#?4h zW~9z=V&CTRk_DN- zsF7%%$rQ`|eEKR6%CQPERSJEMB|0uTPvgZ1n7ZD*C8UCHn_AT8)-clYmV~;K)s5Dd zPV*Jf08~vC`I12E?$a+}az4Yl;(1BskMBt3d8|{tNX-<-06u^-SaeG%zkQajXQ}*nN#*-zsr-jh-s%vu$9vOB7d$yF zseF`m=vyjJO!V<(HwEzdS}H##pP`;WA4>V{vsAu+C6y1%SGIh5`yr`3IVXQ8<$Xdue#W`R9<~!{$#2AXQg~!O8JeA z1o$ZZYpHyEN#*TJDj&Wll_!~AZ>9W@SZ(_useD>edCl+$^DULnODfO&@hV`Xuaxp- zZa|M?^*>%x`M3DSnp0NZq!aM2G*NGT78>~GN1**av(7x#kTaF*S@GxqU{JLQ%rKmO`ETzE- zBvkKRN!-gJh3uvahFc9yR?n(4utHa3C!IA~UQi7ah3#c4u=-4%VY;}yq?B`BR<1)w zIU^h|Y!5~2=OIy)t{D7>nUuU-ga5+I6|g4XFtSU%&j9!&w2ZPu?tpvQ&av^1b;VF+ z_4o$&LC2x0QTJ+qsAeBsIv?44YQ$OU8xXg)yj1S0DQ#ZsE8I1*DKE(Yn>FIsLk8t) zSXk^hbvo#7-B7))TsK{G9r-yyvsM&Tt_wrmpQCQci=FNSBi%`Ly|T+**A1T$u6ZF` zjg4_L%aSrf;DET-XD3(p9Vb_nE&vo|0VyddxX^+IswtaE3>3Lu68Es2T*n*ZO4=-p zOFTZ$kipwhxfflE-vDi}dx9X73QpGjt)m(hH&!Rx*Jk;Vv&hp^RQ|qL)$$60APDca z^(6Jhri|>;tPIwVt;r&aN0Qfs?O%Dj$^&IM#t9m_8Z7v?~H zCXjmEbBgQ)K74c4Bv!WEh@jLbLzrY18KuT*si+tAX(S3~q6gpsU?5rNaoPs=T5TGF zjz@L3ttgQRwhZOmfi^H@h3MyH0IQG>c9(xt7)zZi1fPh$jPY4?5=!)T>L9w@sGx(= zEXrY6ppFfc)Ko#XAg9zaA;$z3Ct%5zY-&eI2JdvN&SM9gWMBK%!Ry3&HO>;b@I~a7 z;g0Ijtj5dy@Ff#UqxQpnyi8y>bwvi=$>~!LEQE--Twp7d6x{B$UEfU=)MiXg6<)Ai z1=ec#sQTVhy_?C7x*P&RfI`mp9o%jod0I%~6H_VvlL@SP$$ooT=KLsdOqWd&A#VDfBmYAEJ*^=4CN7Zy!xtJvHtSOtD` zi0)gt6&y(d##0SqWqh(XaIur2s-HZ-f zl2+be{M1XPx~Mxz#d4Y85>WtcR$MiVORf^y!H1I@Y~5N+Rm~s(b@wjILB5MPcfpjZ zRmbq54vWB&I&K$l<^k5h7KS6~W}YwrlfP(09^fqWA8wEkTr>V6Hk3)9JhFqjVkOwzRtOWf>k$xqkV5 z+Sw$FmBda4{PlHKf4&-<>A-d8AFs1|p3tj4Zc~>mZ9-|`AC zCcjt6N?9gSUhNmu0-5oK{f9~>1Dzi_)?p_@rc4Kq%g<9~2Sl6Y|2p`SeiM|ow}(^| zlK^{v;PG1?Qqnn8z9+rAFTkyj@M^w5z$Oi=x8G`ux<#Xca=(8T!mG!ySNDbV_J2)G ztJ6`vcV_Z)Y*;nACU3Re31TJe+b93mF<$%$U3ty;d8%Wa41BF6bee`@KD$sSB1tG4I`RqMZ-)t>rfg@#*Am0-f} zCK`2I8_ZE>n5#n_S@PjA8Gpn}#-9P;D@4s{P^2H?H->+hD|N4jD{M)zx$glqUzyBNm z`cL;S{*(Wg!9V(c`hPa_pZ@0OKmUjSV>`b(QM(x1bw^iSSv`2H^jGf_HFxyaiQ2N$ zZc_zNK(l<*vQ0HTIzJt$$xd~IFHY3V_fOQ+2y^*;G!;dz6SeJiq!uNePwFR8bfV^U zk|Z-`owhfKODXRYwJw-?&ew_QuoCoun#}e-QZw%(H7hOcy!SlzF175Z6E(9=)R<|f z6SV{s16%@^+I~lM0g$)1FxBfw&3}EOmYYt(5|L-hnLLx~n7sJ;L~ZCqZMdzea0xU> zB1shxl+=@!ef;S}Ey*&`$^oAkkh8W!j?n8qff_a?XJ9Gx#Z$HHh`PjToI{9yd>xSbetxYIScM}oOVCyb)4oG z@><7fttFy!&~e)K#d+E~nUI4q{C6(|Cbt@leLha}I!;raNj0C2(-=2s|MoghGehTT z@8h&frXNuE_StzNf6sX$$az9mAnQ1718+D9SU#L5{5nn>0NM7n^Mo4l{K#?I$lKS> z6Hxv?;ye+*=RBd~v~86#zt7W*oF}?{IZxCtoG0vfAEyoXedh@oPw1+Lzhi#Fc_ItC z-jAfa-*cYmuj90~z0cEhT6leNoc3~_u&box^KqJcJ5K>sA65aZ-o0sTzD})Z6{O&_aC8=&l zgaR1|uVG1jsBY&{-KzOED@&zAOtIR?k98teH-8d)Zkg)6j>N&YpLW`>M7QZJy7iw$w@Y)IbO?5;PYO{TT-Ms`0XQLh=Tc>;zC^dj zTXb8bM&4E8EzAA$nnKC~X{o7%$m{&;R<f4qlY5_%P>`kxciot{5GjkAKQ>k zBh6_RnVW)7I%EA1;6B|a73W;03|%@dA;W5J3e*W|Dml(mVB?hfmMt@6zp#$p#&9qt z=(b(z0Fvl{%gS_oCu=9qR@VcS$4d2fKW{X~DBZ0|&f-LU4YTUcJC=D3xHu9r3 zFw$5My;C|b@U<+VWT4AFXQ{S$s3j6myBPJwbvkpt=R**X=e>@|HdRu4)`3xdrINTB zXEb6G5#3uN@?q3aNe6Yj4r`nsZub-(z)n%8@}YbEt$>J#PARHZI=Fu64%De}Tmnmc6IFlr}zR^98gkdf_`3kR1HM8mq#Q2ArQe9lGs+B5^Prft{Rl;nB+(*`{$Vx zW3KjI(!+v4RPJy*`P^o=MWx~XCAI~t^(9DMV%wC+8fKlP>7ZX)Tcb8&d?HN$Whvpr z{I>ftrrp3anG7Ac^CSd{`Gx3k)Fbm8)iYq&Ln(-lc=_JiV)-Hd6+X`$-(#)IK&kRGsKuoG}0Sc+M!>Lm79BI`l zNi%bh7z8bZQ>>^_^|F;*^ zA_VN|>%O~^{v|3DFf8U zvB}&9M73NR0Z#*#2_+P4&@l(mPAz4H#pNX}KlY-t-5||ORCu02t+_T;8OmV3@p)NS zO1e+J=C0<15>5%aR>%`sMMuv%cb4K8RJ~s=?B%J=m8pKs2M;_+2p2mj)jNS35?D!+ zV#X}Fa@^OE*pp9J`d0NXYv!3md`SK+Kh_PjzV3kKh|gSymf90_OE}aO?qpNn@w28j z+`(&Ysnt#Gj;J$qZdd~ujy1d?1_6O)-$=lV9XB7EFIKa=7)F>-UjH*Ip zIsd6oFT=YGuGHH^s#+kHZ?bb?o?39Xk==F(I;-oKUHvrPs#>|Z6W(>cT-*>pK22C? zUpgg#K!AUN!bVf+=_X?8`m0%!Tp**E;3ZXUn^0jFh&xbdmiLf*kZOQEWT2P0%BSV8 zIzC^W2X&VE;_6(gTG_p~x2kp(WG7SV1x~*NsxtAh>(~}LpsRB@n+<+^byRoqQ+eom z+d~Q@+-fJQmc0gE^{b3aotk7SHPrfO`&w2jRqZGf5^M;mG61R$(%-~b)THV7QdVoG zKkKVe>Q>yrViv@2>yW3t4tdw#Xi+JTGc$$TvG-(?ClOB3YmOZGMP81feQ@N zsbypJtD9#kY$z6;yeo*4ZeAWv!=65>4#FOJjgYfl-c^7{^1sL|R%Mwg?&fn9pJB|0 znl=zrE zw1p9ZdiOT?1z1W>0&S+r9#+K#G%sX(S^}YNR3ZE4rEZB){iL-#6@dnbgilnls7mms zis{IxYL&c|9dt;@J9%mz3D}#xnAPpNidvJ##^|6BK6OftF4MUdbO~={xvduN03n`G z#stC`Lsnk36LR^Cp<)eS>hh8z4@-Pn)pJFXee#r%HK__8GN89@QQFxE!vNR;3t)xh zz~x&btPA#k$}Fm_drXmGxd8m3JAQM^N!cE9`X1X^K;*IPM=SH_bFNOS$Ln^!uRc#Q z)i4LV1K36M1v~?`<`EVxSxuf`Ou8S{#eCk&DU55!$s)XEWfenumBgxaSl7UdhX_Y4 zyEy~Uz3VL{T!h;WNhSlh3xl@XMH-pMg*CLPqLDnYLX?_))d|&k`*G z%fLn#6u=+%=&}I_%gzUo(w6aAQYq4$@`2ZFF64svqm?zt26wR##8aIg-E%7)H$v{5(!}`)+juZ!~pA zxU`P2lr1t$ZSr5Qy3h!NZ%;gNP?3->&QGPRoun_1g$}$2SzQO1NIGnllByKjgW_fD zaqjZvW)gCcqxAt^U?vHVQf7BmRPfY$y_!?emBbuuP1RQwc3%b5TVlYeOPDw|b-+@W zsZ&yD?E*$wIVtm)s?i3W?lq|T~af<-u(&(~gT zkWUz5@Xfp36A7(cz+?%HO}cgCwQS~QP$f{&I}sF{tgznq>#Ax2`h>V-v1;iVZ|QuM zd`SAbT)efIJ9ycN6adR|(e>P19>hcD4Dx*~Y7jrwa=WQ|dgOJ%u3Ld|F5h;ecFsB& zR}0*1T2?0;o#7W^fn~K^Ob=@Vo&|b)ROASrasuSw zN)}XJ=l4=z>)O<En|#;_Ve4)k)T}a}v`K|M$ee(YDvN>TZY}pq z-La~ly;M;qlK|A1nHRGXjh6EknB2t)Yk%gJ)xar3iOVeuY?gO9o!YKeVn1Q*=sSz) zy5bIL$(5+8rrFl%7HU>#%wC~%03lgf@LLZU9s1xWh;jzOs;?My5UCG0LsL8EGV$t93oW=1>pVDqkgC@j1RVs}I2QT#4kW z#-=vkdzlV!LKYz}R*8-z+3^x%5F!$L!N{C zu<WjF z1r9s)p%5y_fXlcXM$VbtP@mXy^E&KTZ}Hhi*=an$5;GpDHV}pnIE=8hPs6l>kmN zYLHjNk?t_m-B)GAMP76^t*a{sgD*ETWWfcl!f@=nSt}E;T|K~FDk)5-7-b)hQQPfN z4I_Rh)e-WHtKSog>B#K$Ic-xvx)=U`6!x|N>LDw5kT{^>68&}Rvva$_#E^$_Q@bYz z%3o!5(LU>{UTvU=chT^u)o;opH=hkQTP9TmcbO_Bnd%Yn)?K|sXIk9s%DhZM+QR=T zWg#Qn{I=?jR!N#A>)B@p*%WnquP&|0kEA{iFdJ}bx~#5Edf89aY!Ve-48Y6QEKXnN zcx0<6U(ySBAJ`b+_~loZL;%=slJOi$FMjEOWLYxA5nWKbP`9c1QRHAZ@$nA~%4VlJGj_QvQXK*RD+>Uuvz13AN;YCf9lK>J0RF#hbjGs~)efdc5+k$5Tt0FY597pIwi~)I0S}c-7+x0DoPN=jGP?sUA<|t;IV+ zmo7mMqk6k3^|_kKNNRq}lD{su3o5shCU<+++x6zltKLq60l(_)=DXf*X>y~@k}7s} zb^D^+uD#0bw(r;5wI8Ur`=Z1XTyUmQx^>(Xul-{~kbtgPO*W0!51Lbz%bGhC2 zQE%7Y^>$YEcIjPjCog%Df-W`FtK4qLoay`JcAM($qCCHTvffS-Q8>8D?Z)@Z?Pl2W zeSW{*P7Tv^M*XSYE`BPvYhRSx`FFWpJGVcn-mb{2Uv8kz%8~H<<#rMyGr6DY?V9=n zf4AOF{<{97+)fQlK`GMrbtw;;%I&sQZ)YFryaNegNNn}F-mbmt?fyu)o!`E&+;%@u zZwHiJ4t-0EY`!YD6PSRVuX4N1t6}21-p+rnw=-YY+tqyRuX4NmF1Ks%ayuS~{J!1N zZlB9aPt|sFRolhS)pkY&MJl!<4Xd1fPfjgpj;p38mqRf>B)c8;%&S6_=&Sm4v3Iq> zRWm%fJwq4#tJqG6VHMk%S83g@V!M#!!!kBg?PgUDB9m#&Gwqt~3xvql>7K%1R z*0uU7wj*K^MaH|>Zd=uM?Z>L^bXXw5@lkB2E}MR#c6eBmhHjS?VBE~|c2cY8{-f1) z^%ApR#dhXZY$t)8EX_WO?Y1APwhP~>wp+z^`3H*a{713f7NugpGlMeT)plwkTfeHd z`%vwES#0Ok$=aw2OWky~r>ttbxZJCMq}uL}7u#)LR@;>yskYPQmM<v7M1LXt zrP;pUmgX<%O0#{xEzOT~rTNchwKUrwkbZG0pD70f+{*{Gx8i9dKT&D7-Txt#cANQuN;~_fSK9SgrQKHlLn`e6?5|2Y|AUow=1;7& z+x%Z$X(w;{?feg^wA;deNTuC2-<5Xe>qC5z(Mmi2sgwtcF!Gw({f&HOc$cGpLx-8O!((r#o(?u?IZG8S

    Da1$S!!25mD+7@t^QAz+FgIN)NTv$&n&gOeyG%L3;Ul_YUjUSYA2s~v&H`X zQaksl)XsjC+SQ*fwcB7PKU`{8U!`{8r%LTUn#}y-SAYL^fAd`g{=fhJn}7PZ-~G#H zbL}b1v#isTxVogBODlQsdn|<}chT!;L5|z%aikjxHM&~oB4laxXKBaA11u|P-5tf< z9vK{V*!=J@QIsXP;~bSd&m5diji+Y?wE}1=pJjw}iSL_6Ih1`C@Am?1F9AB^0Soag z48A*MBvY5VUshR7kwo|KUFOJM{gt7{>R{ieJHRd?6a}Vab#*F_I95O`p-&lYGq9jW z0^ySP2kE$^rSj6VOWwcTRd6UU#il8V9e_PaYWJtPJuPkNtFNO0-V{Lwe`oO6%T^l_ zVR}LaD07(q!5D1JynNQMQ4X>loXv-)mhwjiClC;F*# zwqHP;1(wkTAfu}-32BtML5|s~LB~d}WtI*d;4t)07*0T3br|-! z1^8q>BpZ|6$yDl=kOvq>`UXp?A$ZjhCz;GGC>{%cPj_ow&)Z2$O??c?!Iaq(-UJDk zB1u5}egNW3=q)9l&M)u>P*Ser`b=SFz4F3E}cB4*@Y7#dhG}tVR z3DavnZFec4-8*IgWP@hk3=LE=$@)65&sjJ(=uQ$#f+_$xUsB?ct%+?!<0zJXZY(MC z{7~xmM9S4R`qXKSj?@{}BJHa6;uB+mHuY08>}W3$qCNA|8FY1`^c7qSdCMn%J`OfZ z0IKO={2=f!I&vXCLfz$^d>K_L@B)|My6y}4k+78kw*{~JKGU5lTBUa`$F)nfx3?&LdqL>MuxdIvM_DBn3ZF8+4(G$ zr4meDy%C%w>i*nzwk(MXkk4+hE-C~!G8z*OUs?Uua{yV$Y*h9*{Z#7|HMdqt?rPQ{ zgP|@5VAy`~DtA-3DyrPGt7w{~AuM_>hNHgbSM_IZDwF7~NGKl3Ph^mds3YYL+aILINMaSp!P%H0@xS$cby+>~j5==9k>dj#8^`8eC!%1DAjyo5O_UYEIAF`% z)DlXrfsGmLay0{w5CY=6ja1l&EHlj}U-+a8?=$9k@BxEhVrM+yqb!D0$s@pf)}L;) zNdXcX3B0;PmlPn+&PLl5)nW(|`hZv-*bYDDbz{$Pt8}Lgv#Zb{PyXe7PG{>fK4LV4$k%*_LmZvV=bBwXO#9r%u_r;C+%5NY?euZ6r{qhByI$ zavbR{vh+6KQu#5%`_-bpe>9X-a_#U+XH?Q7crOiO3jnE8AzARDfT<_oJ|3(j`|6$I zvjbB+ECGha8(BeD$zQE{k;R-;h6CH!w{XZ$hA?%gPk>c5?L2xYYV8ZT1)R*gF^5Da zg~?S<8rh3r9`g{9|jladi`Kl9eT z@`7B=vQ@nm=;Uyv5I2B*E;^}JptWI77eAmDk!Q%`@lz!wPYroy0?cOAjvnxsg&X%F z*KxPn)4`V5f_Yaz9VdYvoJs8zr9@Cez-9l(rn~o9{ifSLHN~|Dz;`eZVH#;USOE{~ zTXiAC77gZ)yG~lOS|*J=wq*&%~yX{)sm+5 z1bdqI>J6!Oqb?mzGVFbvl9qw@)QwIG`xI4Z%jffLBp6S=fK%Su8TU+*KT$&Wk= zk9GZip8!Li=8iI97e0shs;F`u96N}c06O0=rP5K8dA8#f)zlHPKnRL_0>51H`m~x& zNzx^Gz5JUAM<)y1jg9>}fs$E&3^XCz5NX}2Ih5FxAiv;wkiLe%$ZHFp6YwE%f-Wks zkJrXJ2i+P|59#Zdyhc5_!RIVXIW#g^I@1|FeeMYfEN67iix99}tHUONJ+IeYBzl~W zV-A|Ve9FY|S&PDyIH3Gr3Fc5mxYg7(=~HJ+r+s#Uf(0h|dG`aZps)XE3Tb2AX;82VGfmae9+?q`(B9`==auuI`hnAq5?TXz02h3E7OvhoE~`1EV(jHBBK zVW(PgsyPINRqt@;DAkQizy8%Pe*McIt>x{%`yb!@;dejdAK(7=pFXwJIooAIC>KM` zB8|Jwl%*7DyUvue`js8v^JOHJU#Iyu7 z9SN2mE;jQra8o}w;JdoT#G2Jum6)i;!pj^fkP8**YMhop)z1DZT^)N%uXE+qrTmN1 zRl*Jt!%H(!z}>H_z}0}}tCMA6>KCB(k7~UD)Ca&*ZoUN1qI?<6mNFw!)mw!KQ5S@5 zx;FG`YTz`0Y&LcCsAHWh6Ex)k<7(rl@^WzlnQc=g;Z6cQ`F^f}l*E|btTbr#*hOJr zd0h`(f`4&j9MyBk-4mRh1EJtkQ}RF#Vvf)3Ziy7xOR}?Iwp0En5q*ho2)%HF(@1$Z z$JPY-tJj@*9;Z}2cL8^M$y=n{^hr7fb;d657A<|XXz7GU3t)ep8gHKruZM0iqA0f= zA4Q^NEcp$I(z}PBvSwYrRka%$fUfG-D4c0a;PVGuOX8}8UX~GICUzBR7`0$-YWK}! zdbNy}OTGGtkUxmED#*yX5cF=#aKl>xLd9G|Q0ojT@KGOMnFgPb?j^J0~|!wIz?BNT=JmaClYVGOaq{Wr?uPj{8k*000zT}Bb7X?}_OCTZ@sKm$n z*=Ldc&GqCw&$B3o3~T3EYPZs_$+p|3cx+k7N?GE*)4PV$t92M5RVUZk8vaYNVlaZ` zU8X8X6$yfR%#jKR8e?pP(0d&x<-;z)I2&1~FYt|1haa&2SqiT;O;w-2K@K6^7oUPv zvuKq^0xeGU69-(8G)x~}&hB*00TVa@l1VMw>}oFq z#^p6sdKT}!UNUO3g%OseiDQEw>cecuqQLGTHv^yU{j}G91NoJMNVBQx8ccO~(1~iM zXPO8g2Dm>jP_e(IE4*P5tYUD+IM`;-BSUN5#fK-HDnnRpPli|o$R*C2fJ4r#w&Er$ zDnkKSa=JC#s=6jsT}i7UE3XK)jFWc(aq_A<$f%ix&+|W3A`qr4t?L^oZh7|?U+bo* zgfX2&kIoinx#^s_2-Ov4=5SiBUDY4!xECJw|7+^ZawY_UApFj)h@cpj01}D!zsS_b z_%E*}W*ir~s;TPI0Sw_0Jy+i6@YXmUO+dbhV5f>#>#~oYRoU)ktZbIu_s3Ot40f%U z;8PcrTq!74XoWGx11M=n4r3EmD)cMKRT*EqW+GPdUU5{h?VBE?XM2$dT;elC{!m|3 zBbug?n_GOLA4=2MkMNb$e@CV(oa^5D~5EvI~{!MU&ee>_}U*%ni_) za~K9(X+3AgS@O%Ssq%2$h&)^z4lm)Tgg! z(~{WepV$+1UsaN6|NVc%H|o>H6+l>CG9--09z>ahc;MXppRX4`#_QY5PruyX-F*45xV^sokHyuOPq&M|+VejAO2@grT`QR$H|-Qq*3sz=tw6 zDO|HvsKD(g28X|(8_yDdhd1rGF;SbyP2-_v)2gnW#P2uWtc$j}z>F(d)xMQxTO8FG za}%v_e2g>r0Q#3}(R5rJKJw~fme!S~va?mT+ncO5=qUUCUz=Sv2D!UPE`CYGV|-Nm=kj zgDy!Hrlm>*A_t><Ua`*O)A43X%UU~Q^;o%(O>?8LM1#mmSd;?8 zb_kBm5~=S>lia0I_C<}E`!VWZox^82IV9HyY=9mc)~lL2W-E1u@!+wk~61e1m1*me|Z;HmGiQ`{94Qfzp9HR4^Eb)%>;+dgF@YOA63cF4MDjpA-K z%943e1IJFFn1oFD2q`iiaFAX&teMnJFy6~pj1z@pq1Zo%_~*B;PT!oL{PA=fmfhv2 zyUT~0`@4mCxV*i&yjy&_x%=hw$NPsDU=s_x#Ad;_Z(~yo<}0u%p2ntLVv_@#e#R!> z#-=B1`e(6OUXINGBceDU+mr|YYS>!1HX|M2nt_OBnVKTUwmH&@p)G#4D;)Q0vpp=$9o zx#2Zi1SFdrrN9hQm1{XOST9>VHjhn8x<{Nft=%9%L zuZd!5xnK!emjwQV>F5>1n0@BXpmOp1sujE&!2U0 zwIGWwO8i5zr9}{eMIl$kyG-kk$|)IS;4EsI2f%quP)jGI2UM|VBgcZ~2G-?<^NyHD z%9ilXxihkNUEzfX$9~Wqpq6RDA?70Iq6FB3YPUp?fuj}ESPl!+*I~poRyFUBcgj6k*vB&2ET_dQ2GfAw7&#H}n z37fJ6T<9v^bOSh@05}6Y0dO`t865%629W<(Q%2#1m1GMOI5B2aJJd8U`b}c`A~}|ycv(z@5VNEprwibonOPvOKSzT+B5v2 z$Omk#U&0NHG79|ugf)pN-3c!sw$|}sO8vfp-**U?7F-L z+S%(jCvV82zW*z9?)~)xwD5NE@zedo_08Slbn)X4H+TQ_{{GA2?)t;!!~M@IyuBm5 z;n@nPi2J@^-F(7=#R`dPM(c*k)lp&FP{6#JJnC`Oc=H6SXA&?HMT49&h=c@fH_(S` z-5`TBt;4>cs_}_n^Bj9@M0OxY-E)*QfL4^juhcjcxS9$!W*!UKYv>EOlS86iPN)|w zj{tUySI$zMC=Mz>siDHSNh6*xtKhK6SsBMia3ifYSD}aQmE83e3P5X0b?e+9-B2ua z0AB(4%_YYBh8pys5Pa)6%2E`I0RVOxypp>FLe9F=R%z@6c3SJHz*?vrgkGIVzzJT- zL1zh<;1^UEZ-y!SDIrXSp}{}mSvVL*gT!s4|2;a8szh0V?MyC-J{0ej<$x!2+=~o! zSi@QYl6Vc!!dyM{P)Ul6zG!8ZDK!%VG&XY@_a5$n(xt+ax`((Lw?=SCu`A>MSUltGd zAAb7s`46`@zpjez)hkq;vY98;QyPaNm4&{lEws0ifp)26uic0aL}d}Nuz11XlfirF zkq1xj0@p-pu8G1Yw9{rZ1$D(rkH9@@Ep|{eWr85IYokD^T=*U!g3&E~50)Rbk{(hc zu$IPv90~0O1~9n*2o9da)%2h(+rtTV*u^Xcte})AJYEa$AQs%wRukHz9}|Q)tJvoR z(Gq0ZD{R1!3z@PW1sj_v)lgl7%>a7uBILC(=t!0z1|?z&#tK_$n-ki_+!CHn)a{LU zefIjXjd->Av0UE$pPS1gn{b9baFdWUI5*fAQy@-`%&J9&jjno)FYCle4z3TOxDcFy zx@fEpHbq$QeC!OfFq|5znnmvLNj~G9px(Mq1!gR#q_CJMQ?NRGQktw5R?0?$&mpG< z;V_l~&d#V^<-q#W=0c5N)j%*Mn^8z3U?ejh7nE+HYRx7tjRBU1#NdTeq;lV?C7JMb zs3qw}{Ap!7urz$5s{{OMXrLpMIfO&Osa29r$iS=|s3l9ag#WXEg{U?gYXr0~P%WWX zz+PFr0$Dzn%BZ+dTEU7{$zx**d)b?1Ejil-Z3f6GN-5XQp-pJ$5`xmbXq^XR4Xzi4 zTp&b%YtiBTHFyZl1n>l^C6ufexfgs?q2Xy!V%mU#Ye-NGFf%C#2p5JDY?tW!)2Kw? zZO}{$o0Bp%z%w{gA?90!^!DAmvnL9v|MJuI%`q^appc?)P*3b9h!2u(V6k$kBM2L= z35EgKCij8=0Q*w+ft{~hq7W>#HWJE_U<7nudKdtW->g2tyGFEJ5q?T06+r~#F03`9 zgn@%jm)1pBix34L$WcQ|S`W>%=;Lf8aquYWSR(Zr=t3a=s8wJep8)&Od)g^jT&q2{ zK#~+GTlzt8Cj^5RQ=o0g4G6f@QlJg6dOfPN=N&Xh;H3pv+QX(NJWPgPwVeHIb-vS?V<%-P-B@qE|^y6h;_)Q5ssB|*b?c$T4G@6 z-WtkG$l5vxt!)!iITRV#2mZ6h1XeOQg=7a=RE5Xo zJ4{u@QuWkWlN<557M!do^hwY@sWV4YE&u|_U~)5Y)kgL@7+I>dftq?r9S-odls&jP zm{^X6Eh`TKu5wV=d(G73azWK7Oev=d>ri1TXh{{;p{Gfzz*kR?7Cg%8gP zc%#(ta*RA~A=g!?JL54mG?jhPbr{fk>Mm3bdxicS?}}IFsHEPJiA$(nnLHIzOt6s( z1}1>-sF13OT%-{+U1V3w-08kgfSb{(r_ArPJ?8OE# zIG;1RtSo4|DcwQWY8*_k!>i>1aDlx-8;O?!)4?Dtlbb?|WPP@hGY=DjhPnW{61CF? z%WXj~gdPUnU!&v(p0NSN;4N~Wo8YEQ!A%Kh&)G7$*$Hkskx@xgKM7bU^qY`+SSsig zdag>rjoSn_)HO0FAtg5;-&D{csRXEjd4Ld9k{N--z2JuL1~-<18}yds^-&Peud)hm z8eRw8*>-SKmcb2ZW)5!9E`?2S!k$`MzU>!8#p2e202T%Om5b}4X7N3(SyDzxEZ&D8@vrj0}eIe@hG@acEv_{p}=-)%07X=KY0tv-ilTI{ipk@tINB^$Lo(DuRm=dRgHzv4$)d^ z)C4K;alq@q-x}xyAk~`7WDF@f?L-j;O|wE-IA`k;QlKM`#vhCXNI~)o^vXnIfT#lO zz*=xRy@37%>8ipCfnV_C_*%3s4yfgt`ejZ@DVnD3q?9U6!sAUzX{MmGqwef5DE0Fp z2As%aNoidtrOiJVl(KbFTIQs5J1DJlP^zCl!(hjQQsB+3gHph)Je89IZfwGveKIKJ z&*!A<>7Z0R3b!dIb*nQB_JW{vD zu|*A6XPk-(Zn;p4NKi8^cn|Ai&@7K=MlE1bU?AWlswo57B%()$rGx*#|5hH4Q}LG# zOlN9={Rcg)@Tz;vG;z040>*t8$Uclry)^ z2^fz8rQOt?HDZ}_dJNwcM!3hP^OHBb0PymAH z(0NhB2_wu4MVzhmj55v^unwDuGgFt5(0&2a7SO_9b66M+j}1SL73d;IOIA=Na6E+) zP6oY(SpuS9e_ibGyXd$;R;z^5?DnZ*hRP=4%u~Y2penF`c`M<>#yEU5Ogfr?-OQsy zuZ)UWCY;z0d@T7m$EHSA1kzCa0w4{>$ww2;juu^YsO#x-H$Z{xFbfX}It7ek7!>wI zk7bDydiq(G65+&cC7js7iqGo|+@|)mGHhbXgB#K0LnN_8bEE2XQh00?VR3<6=_ulq zyti9swjThYhMhs}M6^;FBV>0Qp4jo+7&z^L%$B(*K4vs(T;DTm*N8M=Iy8{RJ{7E-E zdeof=P;hWoh5V$0Tb*>X^6d_8t6^f)F;RkQ^TxsLA9Zg#IC<2;G0MsJj=Ig}sC#yB zaG%mgn-3iv9T<{>W2=_wYaQJA`RVzq=|p5Y>AwDWefKkI(moi+%MY+}@2@{i8Q7Me zGY$&{wK83a;12G2H}=X<>m;BDC@wqsIbsW6#@Wx!isieNpM$y65TN4V=Zx|2b8YG8 zNU<7U`Z=-f=Mw6yF8$oTV(s|3;CvFuZdMKP2)R4k|;mIC03W#7;BFZXjx!RinE z90V(H75j}~ZTY#5(0<_Om4={6oFUp5Djf{X9pV1f`Nw{^k8kq zO&h>Z16nfQV2#jP7#gmDH5%c;3P=6&amXN4o2is|S2Z1m;Z;W+@x87MjX`c`f=hMS z5iVe=M}tze)+A^j^b`yw3Y|r8JX567FFJ=j&ual}^SBl}GOtC`)GaG5a-(@IniQLr z51%S%jG}?3UF`s};%2niLzbevMQG4kJKnBWunU zrF>G6j-aBgwg_K9(MgKIj?^+%-6_}uj;%;DC{Tuw19F7u!(>2fPwg$_*@+}-f+(VX zlcIx(2@)5t-#~?S^Syt$e}DJ+{_Yo8!Ox4U_qX@!Gu@ky2LdFjrduvFV^5PMDs9UT z4IH9M=v16TmsaxQIqVi_F}7gH#Vz7`OJIjxr zr-jkB#69^jll*X!pULhukFG#W@`DPH;6~zkxY)LmpDY>4PbK*Yv;3g>)3E|{g$|u2 z`4PFy^5bUtX(%^6$B#%H$)C(iT2aD9zHSNK?aCbey0%#X?s<%JS{vfq~< zK*r9^R~i&+b|pVFNg-FJkLHE<C=|{ z7*shwkRKy8c9<1qVNzvGU_+Yb-IE`}+ADHKc4J(Mwpz2oM;q?)4<^jFk@zb zO+!vk4P7w#*p!oQy8wfuUrsqK0rqcO0AuAy>Oo@{aAN?N8xH}N_ogl+5XIVrFzDa* zl+z3_y0~;W<+KH`O=i!Y3GLO+loLM+FkuHaBdj}2J@P!|Gy@DS-qBId0l>-(Fm{l7 z6pyb&4fNf?l#`J*cT?!WX=Z#2V2t{&DfL)SIfX-jl^I|GfY<=6O;Z;$z}RZ+f>Muo zH1$ALn(Z+sI|IyYhaLMnlk>sHRU88JtTXczo;g>}_lJuj`po?XXOd9G<(q92UPZ;cKEpyVZ zsk76nlwhJk2U6PhLG>d^|7f=ls_hzsw<0}6V$q-h$Y|0v~1Q}TmXc^)Eq)_ku_9_oXpos8}msfE=)1{ z)>NN@B0_Vip={R{Xsp%$=scUlgm4M;OEB<9jZ<3Y#4&T)hZ}`ysDCi@_Ht zgMqs>4_dRfA?Y$?Lldcf(SCPAq5K{}!T!Rt_(X#8t-`|Y#n^&A{~sS~qbHGUUKn#2 zz2Y%L>XEb3m*DA$T~mauYtZS%dpQMP;Q6m*qk-FY0r%bY!BC2w)V`Ph?w5qg4zc(d z3K~P{>y7*U0-ACjyU)*!Go^n`#|4JBlauTcxgQbp&*SiDQ#UpS+e@dgi$5kLQjexw^Iv6hPI10_3boKB8QnLVe%E&tdnH%G7VEzP7KpBkJ3d9phB zX2vQZckiMQMVuGBQN-%zTEk3*oQnD1rY$92M}Anv6_RRe?ii6JhvXMTRVpg89N7wU zG0x#&*iCm6RO{2S7qut_0C9%c2x=PQImt{NW^ES@HZ?DjYIfxNJo$oh*g7KCUyl|C zYr>c?nHe!ok*FIbQ|-tGLiR_fU&S^)aY5*|J?uGip%@-Ag}$PD_e4MSf2+U|a;nTM z-JvQh68%I8IXjaRE>z%?kRHWiHV(hGbcPPgogHZCc$&v0C6hLbUzUnEWD!?T!&@wR z94JT3PGNe&N`oNdSQDJkyTiAo6ux}u82nPYlzu%@mw8!3Cpnc4x3-FUzcyG)8BO~#>PbT$luUzmSd8i88Gstz$`YO>j<=-XN zsOYH?#ac<95C`Hz2VKDX)86f$owLbNin(|GSkjNUIlNf^0KBil1|J7v0iC^X#_H~k zuiv%&CP#%?pDu@2DdMZ4s_vHp_1qu5Ffw_(3O+#B_p5=Ye;k}zepM|%M3~+Vt7@gH z(RE_#f;GqBi$V`etrSa%Fu>)DfK#pF@ciJV#1k83Zax3@1<2mI&7@|rBnSCk=YpJm zyq3%#8cpQ3rk{$%>5s&$FN*OQzGVw3Y8(F+rKX`5UP(w;vEnXgOp7(cTJVFiNLYWY z+gzghtQW^;Fogf87;KKmfAIC)uo+~iEfWB8>CKL0w@DZLC1E`;wKa8W`K?t97gmbG z;nLAZWO{3C7`q2!R&Na5$aBB)&G^(#XQPieLrZ)3*Y3)-9 z9Jn_r{=$p+MMqdJITW`!n28GTZP3g#-3(-sDCws>-a;|sXGvqg`O*H z7fBLmCwvyWSvE3jrFyq~m;FZjY$y9j<%Q+CJAdZzT*wO>#na8zZ}+2m ziHHu^@LhC@4cJG6TPN_1xE$Ss%r&v)&|PX>NTjjD zub**!L&9(+b@fbO($Jd{(E9Y3IC91*N)TV3Xnu}|SI2NAp&1;s=QLGKWmS*ldTkgUb_C~I)L;`Nd5TE!j zB9HGis7&McN@oehN>qHYU~x5(#!`z$E=LLLG6v`}x zuVrBIVp0!oFBDgk)Ug4RcqUu)(}B=w*ZwY|?+7u;jMfuBqkEvq6xoH?yI`lpW@F8A ztxHbx%x5++c}Xx0zd{u?5=kSfI$JVF6zn?GNdNTpJOk`aTh>o~h@N-#!@nBS_PL#Z* zI98NdTvQ~bwz}rrVQP}Kb&-t0#EQ=l6N%bN6e2@LN6u})oX6^?Z(NDFdOaL=9GzEPh!OdB zs%(Z9ps$G>l?vkMr^iiyJe|(GD9AC}P0PU6H{Dg8a;o%D*TV5aI=e13e49sFv)vYT z%7aRcJ{F_mnCwe$8EwmVC$!m zFla0R&izvYaYby7@mpDvZH!J>GDXWtm_!)(ZE+o0L_Kfx@<3E;IPHuZ({FTZTq*OaEU+NzuKORZdwl~!Ci zs3a{3l~FpjBCcYyFvD71&U~e9z4-Dlesa1G7pjbwcGUZm-jK>vUskL(K~JmWbdhf_ zxzbo&)W^W32tKEy8CQOiw^IN^2ks|(Fn)?sRq;=6B3_cpcxwLfPqo^b!Dee`ljQm28E@o@}qkEX}Rh^NFN`s*z-)`l)M@bzIY6NNXP_oyb=+ZjDj( zBYMgcCa&n#Wd{6=?+GOio<(cF=)CP;#dz)>>kxZXMC}@B#ALR|5~~0G@Oz9b$W@$G z?&o_GFL_jd{rd7O+wwWA7)QswauhR~`{e1lPS*X#Bn8GXiq2k6W+F*{1(>>vB8X z?_7P`JNgTM@#MhW*Rx{J83^C-L^OCT+ThKwUJLh-Y%)Che0F%J)p(c)ol$^U^DfW$ zw{j3de9?J*bAj(5G!irOZXS-zjT-FH+c<ffO4VDg{AEQQVM*PG^BE)*rKAY^Ww|EwKZ)&~c%_-V(-FSa z(-Eh>Mx4^YnZstR(u|pLjjtDt*4y{O>eL9+ zUb7YEzhglz#o7@$vf|8wZ*Nk+#C_8VExw7qXHaliVStlUeKvzRPpYMb85GGE-VPz%bthcr_h#}WuOESYPpn~plgDs?LP zUI&E_B9nN*+ItdhFAd|ZYBdNLm?8`wBNXEZYnZN?_-K#18aFoUHynNDxr@1{*NTJD zdQCFxCuQD+eIeEI=K@_m_MWy3NQaIZ4nVjYd;k}3T&sdeI(&#MLii56#OtWw}sjdn;Gnh@T)v1p3gbp~6KkT5YDc{u&F zr5qEK1#A|d=qfyxI(wEm%Ne+uYLih^ScgUC2CaeLD@0Y-Re1dL)A_ItP!zJz9N+%2 zm`v8P-x53MgdTN4pxY)w<4usX@JX3<`s_zjB6hn3Y(7RFW3%wXr>8SK?oV;uk>aRsB|>3jWxU7 zC7zxxUh^9`?lW6*in-Kn)DL;sE#y5t^^k68zTe$G`#t9Z>q5X{A^L|=$G?vTa2kwP zU1zdoSnG|l9vn=44bSpA2)x1PH)PX0w| zIDH43zzPP-LyZo_>Nupq4746|-*>6B`-TYKC6ZU0p}+qc+RM`bRQhv`?4WxZF)ya3I?`L0AcQPupJkSjy@!1lt? z`;!@XN6;joL*4T2E81Mt0o|ry!X?vYKGAS+-bJ4#z8ATw|94H#IV?CK70c%i%~fNA zgt@Zb2(U2%-M|s;0`do3L^x?_b6>`?myaM!A0nxcve{AnPw^1D__JFPfZ3|8h`l3+Bdh{Uj3-{|+qj zKK>8VmiSgp!~8e!e_DKEd`n1IsQ|#67u5d+;N|^aExz9KSyrA5+P?+LAo2lo84orL z0RaD`#bOW82Wlxg_&ZRY)eEIK1-YxmFoI$i%i^ln&A87^wbFVj|B73{?kx9ui$B$X z*{oKl@qM`Oy_~J@>A*Sg<$UksH^#?7=JoqoPXGH^cYjaL?wj=E`&{7j*;b(M$!_4s zQg`6@^IYw@W{oC`a_=`S?CoQ#AB^NJ z4N|puf`x%OIyGyGB}<&>|@+Hx3x_vO>}Io z91F{`dd5}AQjV!=qtk}UwR--lwQFVB*~g4IT8cTfyNdY=o>q*VD+Zfp+N$`KZm4K; zS|?pT>hjyUMiw)QnYE5zyYREq72$Px__?&j9ya{8vU7W#UAdmrz9@U#@>y{md_N#q z{jK*fWABVAq*jf6ZO!_mkfS|%C3~<`mhm9;EXgNO4UtG?SGGs9N?)nhW0S3#r_0o2 zV#=8rv1I=-M#9iGS=rU8q}Odw%ITM*$@o;-oHWDL`}GNvpWITz!(~8ZIkP~ zn#YcZ33tyW&hEP?To%)NEc(<+1p(VCZToVDL7OhK@oU%7D3Re|MytXGkKh3*%afyM zt008FOi%Bo?#ZqMiSg_8>66)()4Ftu&Q45P=P8CEZW{g zKvO-8)NViQmKlqsml>4_X5F2S(Kf)FrP{TVL5oz~u*>6`>P~Cp-G|b&cO4ei%q=Lp zwYB8%#d1||W}E>_q%G23tz&2NU@2?15eYB+`Z2Sr?+=scW$B;B3(*Hswicd(DyKq0eOXGhOm z5NeB%CQgqdSN`v|qF(lLgK#@8EY&tw{Xgz@R*zxLKR<@khm_~25%ye84K#iAhEtmB zvG#gpd#L;`;+mtreYe(J(|Ze}CksjT1}$7PvEq$0JBS`OJyrPV_AGWxX+D#dch#1$ ztW-sXI%+PED_7u!g>n(JOZU*c;^t*@oJY`5Y9$V&J@D1S_v`R)>yT=0Ll#{t)33KT zHJz8zj!K5R+PY56sl)rShkUoutc6Q!BZe&E&Rq2Vh}(bOHrS;smX zZE2wjeg8_jS2iBLJ>YMP!UVN2d`TILI8PQ>x$WSU~UZ zQBQENRy9*o^+(U4dB}bU?tx1p+OH;F9=jhI0i{v-Zuw-$I7Io|{YU@4IaR|GZ%&%a z$1g3fVCSF-D=b_W^f*aUQJawkkk3#%Bfcq0e^4M9LM3?alP9OiF1miiocc>2e?mcP znH9l}PRVZ7f|fH#vu~e_XxsDkC{Bh*AvaU&*0_1~=>WK&Uw~#TzG;aAR{%>?N))zT z#{8M8<=a=RkN+NwvlS)Y=V$hJt~X}AcY$73(UXw-Yj5~6r2gfG{YMLbfgBKu&&ZnP z@WX^2mw`1cDlM$UBpOsnYgiJ`!Kzi~Xr3Yhn2WIGcXk`25nWVr8*-H%}-?e z+h?bAlN=LEVLh1XI@SiNB|KZmMxsqJmcG--<95xOb27-9PHy_QZvPHa(Kq03r>XFj z$z}ihwQ1XUz)u_Y5APZ7L~f%96k4nuHP?~$#JIp`fhtfLQXR}6_o~Ny14Hd0MJoYW z`Ybkjsk-P&{_pVg-HxdbyR)M5-lo>ccq=YH19+fy8iVm&Qb84vqXFPpR8Tmy@vRq#cV!= z9)s<6_76hLJgt&f$W$%95~8nZ*Sj`{DAL>@iN zuizajFKL{rCExs|gv^NYAc?w#i%647;#v5999Q>JtlTp0en8>OCi)1iPMpg?QR>PI zm+Zo(2pJ)7?!F?U>f`?^@&cp^4 zk^*kqoPu!TqMy+IV-n~f+JF*~1RiBqDbe-Pkv~|${4m$h9~c*P5Um?6&iXf8KpUbN z?VpFODpPI)rE&Y|;MS|PIv!T@}K%H<0d zVf_H3A{N4icnv1z{4|7u#3b??A(4~cI3O=ja)R|~xUvrm@ z4(*@@57EP7>$holy;NaG27{_8CBNkIr(!O8o(OrroK6;s!MlH^R-)*R0 zpe(9jJ3ojOzW%Jn(&rIi6;Fs9wk>w@H2xBstZ$2=pli-6T! zTFKR46A29-|`+p!4OEpQD z_k@N7^>Aqf_Qg3y2$T)!tSGDZX%I~<2XKI8PoDS3)Jq{~6(N^!9;leMWNVOvmoIN^ z${|Dxpmt(0f)RPyKBIgGVgiNSOcQWL9Du9db|#5PXRKI3Fl5(Jn(SfOB8@q%9q!~O z6EuD>^FfQ5CV8?Q1GAK|G%7*P;C5`XeRg+Zgs1ShE%8MInFAL!{ece}q|G}u`9-p< zaeBK7)AL5WH z6A<%{NfQvzDTD=mE(EyCl+ri|ae#ea(Z(MqPKAwyxu$Z2iG|UE;r(g?S^?u8u$9e1 zpmhv78-V_d4Ztu~qezn2;rOA@L5|N$^SY@)bz!_q9Y^Z620}}~ZxGP~mmiLwXAy*W zdxZEcWlr=05@W-ZE5LS#>wE_?arwvJ6b%6hRrXxRcf5nNeB61$}GYUKrB9dGY2-QjCi4b%!$8e(CZa~8UI@z^o$o2abIVdRE z`F})OFKo>LQ#&|Fbcxt^p=ZrNk&|ek;n(yryhY%E~<-&0QoQSR};|Rgwg$_P^^XwCH%;f%^OuGmap#k<1IASjR595 zXCG1!20lF~W)Naw4f=15%VA`H2vilsNzU^100DruZ>=;S{&e*ng)$SnD#HTwqoE@r zO~d0Mk;UnXIw3F_p+#a2grF&jbmu>@%)jn*Ou|Cxed;XRV9LjeNF$0E9d9I=)J)`rj;@fzis#NpIRL|9o9bd79bye{#bix7Nt3o0U{ut%YDfG-;~cR z9{BAAy4%zf5X{hP-EGKiW-@t_xekKQD5T~@nL4&QDiWGa!B{7kgr&|Mzuc%17}x-m z$G3)$Wv-$HnSxl@P6r{sqeqVhA&AGbEa(n_OUx$2MiUTXvs(@L*y_AdD&u}D&?OAZ zY!pgtvjyl(oCW>6M_#BZSm7zz1k~2tk&fV(WW_OiSapzu$jmRC?a|x6=OcdL`WdaVxj0r)WQh2FK|#3Av@@ z;1l^(q;*JEqD;;sQP4n1&K4(61=CrxJ4r+v#YK0PtPfht#aL*vx&(c5IVY>sw+f65 z_RPn$z3HDY4vOsfy#fv3_Y_cjh~D8*rQ<&^0;8pSKzBBmE^+6wg3~z`A4y5RNln2%;H>e>ZA z90?lW5HTT{bixde|9^v>1~AI~6qYLm=Jb~sMhD4B8JZS@7nP1dsuUMuJi>Xq2) z7|TG06Q>Bd8yeQNE|8Qzn z0iVV$x;-y$h_)>ve-_3`YRt=&z{wC0^i=*bp-{{_S#55F*A@>#pxZLbxnFR*_kj8a zr~m(>$1X~;Mh&x|R2=k!{M&=(46OXUF~u#~%+hZFYCSwA_D@&?<18*LQ=hL{144!a zGI{YB*7oP0;|)DeYzx+JlA$p$Gd3Bk=NeDOC^v!D_AAgIp|zcs(3BDq>qJMW>)BBH z@&l{`dr@T~oWSs|eLEBYbrNC&O8>Qlm4h7&jsx*JbjdD6SW|2ImXjt4Rfy6hu`*~= zAKuIe1~Vs}`gEv`BuCo2tz!aDh50_4MhGg>FZZMcstwWsJwTh0YDGOvMv(8Y`KuDF z1U0w+L08it;*8dy!0lIg3RBoAa9y}tSTEw6&-EqOZy1tLpiQbP!Up@p48$FdMR!vT z@(CH-H!mRT3Hxb5gNA|qI(NYOf=hj+MkxuiV1zqRpIriIk)|CmwL6G_zLhv6&Sf8P(n0%r|`l`+Bcwg`LCorqlIA_%%JMJ z7n>{htDzjaBbxgrqrBqXLf3Jy%JPhhwwTUno;TEPCzDnUM#V=x&?kgeY)q(ngzIJY zrbOplhEqCjnvV%gMgxAoq7Kg6S_l75;^Dm7#vgD^>Z#Sd8=7^goHP&}w8WPAq3s({ z9Wc+~VvU(cCQUKll%kTx%sYGzW7Ujunib1GjV~Gp7CbN#)CjfBW^KhOrgW zmNB7>h#8(3Ro_ERN+iLnzrq4Z&-+qX3w=BwhMIu2PVMdXga@SaDr&E4!V#EqkxYWh1OcM0z%E^kvll-v4}oE z9_R?qU!9lNx@%DlTKq)yq=a!e2$i4_ZPzG`z!iuF+gGpH8CW)OSZ@sK>1Mm<<#Cyi z9U^|Cn~(gJfqs<;hdf+AcD68z($LxqB`iOlAe}`PtqZ-7F$fd%h!Sza#c=>F7Cyw3 z25pB@261U_PpGCA`DJE~(KZ?Vg_L_+@Mk?-JSWvrb`4XyVr9@x)PRhvA`P4Q=5Qv= zEXsgI8WST}-q?PF$orsdAPQ@O2iyBqEJfeMp41K2%@|u!MaJObo(WSS*Ol$&Kkohp z8~7j7YDi-0EhdJ^+so|mP%&AKV-!XV(V|xwz(EoC!;i+4EZYI25>)_{z(Exaa~+~c zVOgBWf1^kyJlE%1N%m#D;1LMPk>###iWodKhkaoR9T*?(?~3k&VX`nsehI9D3E>b= z{KW$ldT6$7qBdfFg|&+gv|yPPH)2U)NN}FTjeEw+#0+w$t`6oC47t$zLqKS4f&5qw zzUYihAf`m?@OOmyY<`~VW@hwG*-)&YS?EAc*Jh=en>El@bfCyT^Pe8jP6c!-%|Mhx ztk+2o=V~*I`H-qms7nH;Hg~605{ID2j?dH$11ZS`Bx?f4ow%@!!8zdt0|S%j`q99@ zQB;b_&HKam$Gnl&i3D92CUl|MXJk+yV+XB69m=;tou?}S46s#{JaI#7nCsjOx?My| ziFB8j0M8jRWB+Ci{t{j0b92@)&K!=zBD3hS5wOF1gN|+$` zV#_BFIqTpYMnQ5dhu5f!I>vrk73iMKjz%J6J$%vW+&zBQVewKatnb>7+?meb_puII zw=5>6F;8}5A3rkt|NZ{xaBae4m}ty_7m2@yH3Qrb7E`TCBbpcz0{C?BfnrIMNutdO z1t&>Zkjlu(5W!9doJGrVI0d$vFC-%mNjw9>BTOiZGn*bLTz-51?Es|3l++cZ zAk>pSRqSYlux z==oxKYQb6JbI=5;(G;3@B7j5n)pjHJ3)eubq>inb47KB$IJLo%RC11I?4h(BZY5+t zIemXx1>5Jcv~kv0#1ZiAj&>D0DA{wwEDRca!p*9CIoHeC)D%H4A`+q>eIB7RYLxBp zL|epKf)Zu@{f_Aym9HH2?K_sBh#-zwcnxeE2nTRjrfR)MuCQ7ca zD~_kbiaH_sjujoc9l!07aCcff6G@8AOQM?(Vu81C&6RoW`5MVWz;*alWG)+8W}-K7 ztjsu59J+kgWXbkAfU^+Ogf7PbNvn*b%`fxI85=taN^(_eJgY`1 zfpHQke6Yz;OJmO9H6Kpgk`!R}1!(_327iP@w;`V~xr8#aU+ZewJk)D>RNYq8E`!?+ z$mM4%B9hW$QS)ifCG{W7J7$e)=aL2P1bMO z`ZNW-2KoF|#YJi$^5a)bhyQB2{)D~eq(`>X!nA}u6d{eD#+_HMnCYZv`XSluDro#n zTxxKSj2}jenj6s^aggX2^(TPJA=4?l1Ra5%B1}&0T)uBL!71C-mq!v@{OCk1wx8ZG zV};4x_B=p#Q-HgVRD=Xp^#j|Z3u_>wp|>==A&+PVDGW5V@FMC1#wiB@A}}!Wqv4v6 zBNbfRw2a;yx=HO+U^F$EWHNZ1$bvK)+KXPRM6^U;gmBwMR@DNI`{%$Kw*{|&hph`c zr&;3+|2Q0bVh)n0=@;&rP58-U1vCEmyn3_=V>LWpZFbn1M?qygYqvHI54i}hIc)G$ zPcxXeB%bv~Nb`o5mdwI6HK_vz@mHMwA!D`$KN?mcfC$-jiY&R(KAcFXu4-aeiRQMM zB;=JTRf=H@=BtH_2r;FdiJ>78(!UT`ekcR)ev8=0Eww5zj0UfCsDYwo)^}Q}(XKdu z1v2x&YOyQmo16_r>q46vB+7jaCYsxAKjqr1ll*KWeb~jZ1?av3*6S&m>A%=u^g5$^ z=wGb0Bx|;Wl5(1V51{sh+9Beg+gc$1 zQWF{AkJQEN3C?Z0R&1TzC-1OVcf{oJ7i#9|jhbrOitbdk3W=QlQ-GF5f_`sPMK&^{ zCk=_{M*NzkP3o*!+riG${>6Yb&we6J)Q@|Gb^%X~k{?Lx1m=8tCX$BvBS4|p+A%9> zkVWE(R47V2=?u8gv&e;jJr75!O9IR@e((b@hrua z5$c$-r6Sv5GyuC`o^!hRv^1&JT@B`fWesr_sb7Yo$yl=Y$4d(u%+9hjj?PudJzSx< z{UNczJxHD_zUpX=LKKTKg4uTe8Y{K@)Mx&bWnU%b(pgWK9}pHhi^KBIDuj@N`aJV z=y6PT+y~YXT|+zc$x>Ruao`op9|qm4U|R%S>R$n^Wm!pjho`iu5EBOMMWV(W*zZyV z%*$RM$^i7V;VjA>krSuN@=~3x_DhtBQyol_ofK2jGK#)y31g8x$1!{`l6+$rINfgD zfBI(Oi0+cMqGpiQ>DE5G>5~2&J@S1b1GT{^R^n$DGo>gmKK>~SUQ&W;b939S{JoP0 z`AwwY{r7RYb$Rg^qZk&y90oXH9sM3_M?)yxiZf}#&ETh8M*v=jDc}(PC&7Qbd?^zV z+DRf>DI*@GDf0}uz8Fz{rjGGTa`~{>9T=S*&24}i+Rp=cT$TPv=lSM1isNv#fDOr= zIh{*#vLqj^6D$jz*&??IJX=M81RpYBL4xZR}?Tt){C;q=0G)XO``sa@)6 z!=!Mtb*$AeCAUN$0*9@v1x^Mxf1-Q!jQpxhFLcp!s+rl*(H9>8m}P3Fwjc#y3)k_F zCTd_^TR3*?cPzlGw!1{sdfu9oayU4`-Ib}>$2HuJg9|1%r!a-9W;e07Y&R6sIUKwd zP_9nWTd4#oYFMGPKZ9mMz@p@k@o%X+p;gDIafXxH0{c1z^F>dD!4!kZVsX1NO}Q1L z;X3Ie|8j20)l-|LXtNnS^njrm+_MeoLP1g<)LVZFQJxGDAzNynaqu*NAuF@D!9&Y^ z8U5x%hV(nB!*olI<#9cBfn5B4`aAy-D#$`c1&YZu+F1cD&T|%4lQQ~@VaO3ygN5Y# zWfoqj_N|<6MBj_TW25_q7W`Q)cLj zu!Bh`aC&%%dBhBrLlr~>w)NZ}&4FwZy3xH%vK?Mbno$+rYI#_6u@pzj{gPWX2virKK(8?Yzb*h^Qi{F(LOM-6FTj2A>Y znIQ%mZswut2j|KACfHv5%^PB`NygzIBLH|0L2FZ6wpy2gHD=~{FmENY&L59%hI%%Q zay$uol6+;jB6cA4f>Nk#B>OGBO*@@1aF40aqUvb)8bwk$Vi{FyK2K+y zA+Z>xhXY?V;oWG8aD^w!s7Anzd6eUfj4#k-ic;&GXhAR=fWG;xr^*^xTTKz5-b`-@ z^B0B!Toc3@Hwa$MKeZfuQ*Xs+r20xNNl5}=CU=kLu<7+az-T+>Df}f8v_Q?ktIR-e zl5A5pFcF_9aJnh+Ky#Mev%pmx3Bwv!EF(_(n#G>=W&u`@?XYF#}3$}BfvFvS%`hbugw?QWewgx zl)ek9f-8pN`LHQkpFp@tKw*ZO()E&z8!(doB~AS0v>JzRW-wQ3op>~HhE4_W`|Nro z@sjpOPq}RfR&A1UCe;tlfUtNxVOZ3^QFpoW@N~NCZ9UNx{ED&q@HIo3_yaij>qgRt zl6`TpPgnx+=1Z5!NDpNo0_zW0AF^Gw47ynZx-GLGR}2ZX$VE)kF*o@`V_ zv7;i)1eRO#$wo^SU{!r(kXgpHPLx^RM0qFr4f&3RA{cNkP)I z$8yV?DgLH|@nCL7;K~-YDc>DMaa$gOLu>br_HbYu5ih~Iy_}d=9{eRUv^=`{Uotl# z$zU6`?FRJ?M<0guIx!*&drQ}BLlzv?KSI;ur(|_3N)wWAJoYmh!c6gnB##5q>DE2t zVKmW^#t_@6+WVG0fjiFocZ!d*YKlN_>mUD)bNY>*_27hHplHyGVPt1X4XXp((Q?g? zC!Pom?*rTwBZRsl<`fsXNo5SM4Mk^CFt>0-NthI{YKNKmdL#LhkA_r!c-a8+#H zlKRQrH{Zf2d00)I^?Ye`zN&q}w5(8Drc9g{0Iu zPDB-c)kles$@Td!+{xC(yYiOA5fi+!hJda=Do z+jLg%45^`;RhhAz_r3`9om!^I2^I?KRCE!MFpf-OJSNV>u9&rRqcD>hpzk?iT7?ar zmef$6y?jck*gm1y9{9`LJ>DE697fDlDf=(#W3hdj#F@FfqU()KTwVC|sh(9i(jzL{ z?g1}E#i@5t837x!o7SaQU)UqxRpxNzS)glmL*I*X0f{kd;F7%yfAH&ttvlL2wzsP1 zKP&ytruLqt;IJW1Oq0Lc7vH;%$)(oF$z%o!7|(g7*78YZervp;Af&XV9r1NVIAd)n zADm`Wpwo&pWZ*N>ej_48u%2}O+!s7yk_IYqM9^P@4JT&zY)B;D%RPqFC;X+-1b?zcbBAwxese!)ZR^`S4 z3Ilz zGckhyT?B)4vOOc1N!^ z3eY4-+D3M_qL0>xBf!P-%8S?5-)AOlTY7EERK%6o@SF=Nq3(#F*#4HUYmABVd$};G z%xlMUfO|aj+^7CF%}Bvu^KLq16x%bS$;1^+*~Qf@1X&y_!+M(~g5v5N0m!6=_n8Y^ zb8fEIB5E~)s4|C}7p5YR^U)xQzYAO@Q~+1XgGDr%LlSt}+hxmU3JSww{|8nW?1Z`n zVzdQ@8V8PiskJhp=S1sJ+!2(Z^0=OgZm4LYi&__V#m_y2d?Sa@u+8ZL*O7;^@q+Df zfveP|TKhWT>lUHm@c#ZOF$N{IKD;4Z6P7KTxqGb;aT2&xII-?oX*XYbO$na%?i;0T zZEAZ;z(@Y4$$0*$2ZTw7in~&4UFCRR_<{8(@ksPcMi#j-b?CD`r zk{*pV%*09~yo|0sg{s;mH}s=(hC{5dRn_SxhNt~A;4>UFl8Y)+cZfC^hwp$Q>AOnT~o% zHjIfaK^fj#N1N8uhxYDxMFNW&$9daZGy{03sUfZmhtLNDrL=wWizs(x{S!2-Lx&D$ z=79NNWu1T`f^f27h08@79G)SqNgj52XL=utcH-Me^TTZt$8$;taE_-IQgqui4f9d5 z{55MiGJ{BU^4gt@N^PYY^PDNFV6~d_olGY~Dg$kz`wcs-1Lq>i-Gmx1oCp=bda8?S z=Tlaw7^c1yX~@O?h-_$(ol-#C;PU#^J$hE^jL~D=6tF_c5 zf>K5kG?!F~oPc;GRYuPY=@5(!675)0VQ7IZE?RaDlXqAb`P$UF^baM;k(`FE zjl=q;Xo3eWz;?dBmfCEV~;xV-+e)2(~Txui~9b|(V4 z7Ihsu#)g5F!d}v=dV-^d< zjJLB!5-|xF$pSHd@q|+)O5~^VLko(KXJuPd$Cry}SzPI=kRZ`!i0-n8W)EJ*B&bWU zNF|#uqU=I786%-AC>TKo+w#!RY-HHp9B4(aNx{rI^)b6C<8_i$u7uq$ffK zqsjPj<%^a7IW(65n~LqYw-^#y?6c@+D%J5}4E)7OZ6koL`XhsDF_zu*S*=O+Um^?W zx`B&6pQ&NRq5JN*=E-7cP|mRmVc|F@d$FaZR)?Ku+A0Rt=xHIs*yRPo z4g#YclG;OB&JdZc(d5T4*3^chY9KrJRJY99NG~vDygHWVleG)G0TulI--gz@!*Dt8 zNU~(RG^nJDK>F((WP*Jp8F3wgDV_hksYx152*+oELw1P{IvoB+LXKe_clH*}a_y#1@WAS>Y|WZ+9H>gY(V1!3{Ir7{mY_YS{mJn*~QK z%7tbmUudj-jymg5Ef_^J=zPjGWU9K?x%ko6adeeYZFS8Wm*VaYrD)M$#S6hH z&_c1&;t(8yyK8WGaaz2%1a}SY?poYkZ@&BMvk zZ7F7Q%u!f2g*Ixv{(6 zvAFR}j(h<+DMT6yEf+&j;0=VIImX1!vvC5M7G!BKla#^v;QTW~8bvkz{N@s-<=+q+ z1~a#Bz*Cl}yc2-YO#E^6S_u>XY&^~)sp4bza_BC`s4#+{)xQsA91-iLOtv1Hz%_TO z+4$dA^$KgQm5`CGA2fq0BD>0AqBS7I)vo1cQNAqq<$?pWwfFM#Cn2IW*&Z3sRib>6 zc}In-lVB_|F!Kf(Eg}~%v*VvF894ujdg9E)Ht?IJmlm)&_AgV8M}}^}m*1Ma)*|w- z*E{FwlRpsVsx+Y0X7{q?o%t=LkfR2Wn-#nh(Xfd3m#I=!2yuotBesO;V+vEVKBSCD zEDQT-zCHs1tn7Nd$}WY9JMjfLH@2ekGl&`Y2vz|(mY1W~yMZ+MJhT|SoDLgFjyAmP zzBCxUosYviMOyA8+n)}XC4FvE{e0g>=Ouk#dXh&Fi=CHF%xQHkX>}I^dJdE~O=%VT z5?cQQ*4s%D21tvKG9B^6=G)YKi8b{WZDn$-Z|JPWx*w6@wZm;=%IYuwdvi<^@p+=z zrDoLw_^6D|o#lowA=7+9b_UD;NFyxiionb->d<&79CXj{uqKuex&d>%hJ0R`{i@*5 zSWtw#gjHu4dG*fU^8!-lM$@RaFT?7RK-1`T2K#elh+YBSWpuuHeEq>TJ@KY z%8?uHlD?*nRa?p;ow{B?dbhs>C(^rIP6gDmp23o5Tk?>}faqfZ%t*sbl!JN5taRE4 zRlfT>n>0<|Uz(B04CuVDh}tw-+)P{UAeqBKZl;KGmOx~?6C7cOXlLZpL9v=>5(MZl zYl|B*_bp?e*}m=VGn1kCf@n$9?jcQt4z1lwRj&? zsg>RK%FMG=LnZ%UA*PEI?i^EOwWu|JWQ4z|XqinOK>3icZZrcSE$2ozcHxBPnzRBG z1Fz+BrYlZ|2q{m^1AhB7@5d>>mXX%#w@~JQV{i>*XJIaR#%#iFh&4bll-;VBb+`&r z$G)|UlzfeY5M0R&UWO34rF$rQ8FEz=iti+nuu3Y0DcmN^4F1k8yT)ia>ey7%N*ghE>lYqLp{iQas3+_tyV|n&&@u!%uKy_=|+# zT~45^ajuFh5yx5xN)A(`WEs+@k0d%}c{CnuFwx1T4Sn^_}GL>bLi2WlY0C zVAE^RR!%XjD--NxMe|Ve<{HjAT<{j!sQ*=|w{`34(f-B**}rEQ<&0u3{$>`i2%i`ya;M>U>xY}(R)zkbc=H%ii| z3IDP%xGT)@v#T00x0=zt8d9fb$+MXE2ACEl)M+@&hC^I#uy63wqEH{$TnjdZ$FCK} z*X#RqQALTM-*j?fkM;?MjG^Hp>6C#&y3Tu~vqTDxqbclwj} zobGZ0p`!Z0nzy+)H46=$;6kw@1&?*V#Q9fvC}{WDS(pbt@DFg>;;E?+_2yIqF?}mf zsmP|Di>IY0z$1Kk+wZsu{MG=uMx+l>qGV%kuQ~n3sGS6P&G_0QT2sn;aS1_1aQQ4! z#`F-N`x7|x%|?_5LsLQ*E&0Ea%UlhY2FOT`In82{`;KF1R=4+22Xr<>=cU1=m{nFs zJyIr0!Omk6#i>V>5Pi{i2+7~`n|h=PqSVPt!_<@g-CVo@!p0dHf0e+kIBJ`y9>A`pi2hV`pk)%hIZ@r9Z zGz)CH|I+Zh;y1l6eK2(vSf*jr_Va_k@aI%l-$> zRU$b5)1&>WDB;ZOl%Ljd2N>?*>5fmAIvr0vXE~4dZ^P>Ff7aKJXO)?wS{fl_4VPwx zD?waul?gB`CXOHFT#bKvK2B{in!2vnkNhCR5IeF*O55Bbi-^+HbghH+sP+%{fc1SU zrKW*}8f7Mo?Vo%xsRTz>&5l8N&*5BL{6WjyE+JpbAP(Y`u=FNK6H9aA1TP814*jq!h3|x%BGBJ^KC?W;E8)S!2O1r6tmoN#LnCpl6ie<(J zQDCW=`c?3`Fw^|zgg>A^!-TmzG$AW*lv~^2!_Jpmon{#)i_LO`NWn)rq}kIl->Hv; zbiV3TF&tgtu#TEq!N2v5O1sJj$w=Nx27F)sYY_9JHYbuXw7UD8s`pcMps zg$ain1aiiY$UjLa^l}&E{->??H;*$PA!Q>vbV3Tsd;0<-=D5n%dpS`a%U-d`>zxL{ zsx$dfB9?^D)1U#$Qm#oRQjrQzv1dlXqA2gXD1&beI+J=)5yGwor5|uuqhoYB6qJ|` z$QH?ME0vetF``ac#Sx_v2yW=AJw&9X#EIc5<(9-rBX!>(v!Uu_6R0k#MGItDT|55P zLP(VoZI-LAvZ5lF+WUZ@+1%q>wc^*biNN@v7$^Olv&QF3x>x4I-lFrB3@YNUv;DCQ zmZA*rWv&XDZl25yZoe=L?=#d`8}dH}=!CV}YMtG=kT7OPZnux5T_8232P%AaW3ePz z+@~Ih){h%t%*M;UoLk`kssCjPiTfs-N;6>1;bYq$dP{bHN`+v0fh}v2MPs)?!4Oc8 z`QMfcIiH3|6cuFTy6Z3Y+|K{T-bG78ZINTxh07Sx$`>W)$u#A6OMWvs(2@P=zB4Bq z{EPf=@q3LanDk6Kar{eGNL8fKWkjpb1nRR?*Q9E0^HCkSftkzzzVE2VyBybRP~KpHAFG(B;R z|Fs|o@M18PDL3P(Ni**LLDfGm+26m()ZNgrM2vxmtVO}QsXB2K zyG6mPIx1W7MazOCb>zxkH>E?LhXiX ze2F=O(Ig+n9p3u{l#;Sh33x?guWvgxMz3Kd)89jZry*G#H@Vasg#)-p1Jq)u6o}PQ zzX^1+kkod*Hi{(x>bWpcbPD;NWkgmOWyPB0whlDR+mfX0b?i_N?BbU^4mf1+^BW$~x{B zQY%*crNf2tRaMqA==z6kxqW?=9&xy`H#i%o^0#xaxi3~>s zNQVe53Ll-Ob?1+EmVV;+U9%=jgzSyGp_~!3ggK?tysft(b?`1W#@6TAztYkM{;h> zuUk80A{Z2Fp*mj0r9Zw~tCZ%D{FH5clsnA~?g69HwcO73a>%$|M12nKPV8&@l`6)$ z`mrY4(^@$09?H*Hw(lGNdVXR5K0cb^oK21ozHlTg<_%h;O@L&E78Z;7pf=^Mqungr zGL>Q7I6}rpigenF6KjB-HX?jGGXpI$7y8AIYUSUnW>q?cHnZ@;c#$5@wepYni zO=lTykLChQDABZ#@*!$QewzMDT}Fr?zCz8^albXZF6qn*?`uChONu-F6TA{eNcd@% z+%#<=X3a6xUJ15qOnk6H?NHw7hs$D0r37OZUNIA}uze zkUL!tc00PMb{@@4jtf;o$+!9{^A|NN{B1ak(BF9@7AZ&EC@Bu3q93F-X%xfgXjHhy zl9(HW#unmwpZ$&dlJ_A$nDb*&TsRVYc2eB%;s;ICcjePnXOhv3aHZ_M?CseZ9N%vT z_mF>-k4H=@%Swua)eH2nD=c&{(;5m zaN}4ClQG1{auo7Qkp5-~9?p81*Ro zoSq@w97x2+$S5rOFrIvGa3zs3f2mhD&3=jm=j*q=Uk!;Q;10eH!=Jja z|AfWx1k*!gAo^(vKP7XLwMN`#)b~8XCJa9TF6m`3N`1$HdJ~0>*6`UNT)kAIAu95H$rcQ-Wo#iN+ zxQ>7q#6#8d(MIysnG52!##j>yntNC;--mEotjDvmG5Q=wq(G6EZ-Hl=rLd)ohM_)cW-K>8h93$arnal&vdvbg-XCQJsaFTb~S z?csC1?7P89DBoloi=j6o9$Ws-RzMUnA2D$j>0reRu5V?AT?HjK~5q+j^x1S5Av9m7l1|!Ai4eDAxdu5 z&?`p^q0{?cCWt9Gbqz^zH5?3BRF*m{J5zQ_3KifFEWx5exVHDdg9T!hU=u!!!{ECm zMNG`s-Z6#EDTf|JfSN7?a_qv)OyE#(-FN{z7~a>6)w%r5;*R9Li9(h?c5I96Q};D}TvE6`mV5#zkSLgu2h@q?Z3WOO7`Qb%j64k&WRU z(XV<0xTXtr@)v~SCb*o0;PUx(Bb_)*2>MM*6ZYPSi`|LByi#GmgP6bPa$nif>=cbu zQan0FDcD+)ztnnOkFVrCC={{}4alNXXOF5twIv{z{qe^U$dV&tlJ5VqP9q_9@zDsC+P$t9K=y5%i zH>wU1BaKM<8*9+gANNi)dmcqC`f7QP1DwYa$3#{TMCEl)ZG1$Dl5cTH`;qo7*WhID zLj6{|=43`ShM}J4)2sej-XxgWu!L%?0FAgy_47G0(%DQoc7p;yVm;r^M#!0Zgk=0r z`I%k3Pk6bb3I2T8_UAs$t)a`}R*iIMFP-rKpjh7oQ{O9?^6ovjGxyR>r{*-=5$ra+9Xob0vNkJO^zI&?gjf2uJCYIy z{MtR9#U5j3qSkobItUyZRz-(s;4`!QaI1Yf?LX7`GF^7rGw%-LXT)tnTEKgA>|xCn zvNkrP;L|zb$05zSGsWZ;TlZ)5_~um%vF8E&jDmrVGcmi5vNk7&7wZ0f1YNo@6o5e# zG0xWmtN9l?@hEk`7o=`m+Z9o_j9+;SSQZPHxpo37X^#(^V;J;o?b_aTD>UeFIP>&P z%ULEi$thW@OK3SH6p~dOM#BB_m#$a$=;a722>R>V6C?;9km4G7T)8->cES zWwj1Exj#p#X*Wy^QmImkc^sM8thC98p>Csjl%jiVuM~OF+Y2q`nJG?w7ytCi_0j$= zdWL%7AUj@e9k#q=7OQg^YN2JnGio@fU+`t~^IF{@?VC@0y{jTHxp;h=ld>bq;r*20 zr7Z26y_1j}sCaBut!5yoMerf81-eYGe^4_(<;HQs=;b}V{U+$<>_+=`9nBe-A|Tq% z+fV9DPW#p#TOA_QM1?`$NHu^o`)VC+dr|}ReBMR}hSiSO*1DaVi@HT+GLtBdejHdJ zg;cY6KBwLcty=%Hy9sEqs+7xIwvCQ4$}xc-CRM%a@f?;Vk)gg~8(kfd0m}b(IN!DU zDAP}BZc4=;Vk;R_Jt?-I)`BVM63cZxWh3S+bdFx|p?4v)DOAzS#2I-$T-FA|P$5A7 zfb?zi^XhS~UC-7VUvDAzOib2^^y)cVSYL^+!t*vh)mC6DcD3qBuHA|G z#kf7m`#&_N^A~Vm=jVLYzr#e8Mr>-drW3Q~Cm<$^?Y;bpa~az3ZbbtHbz)U*%*qoO zTG6rW({NAcRV&gPx}bciO$W=M*-_O!rEg`jcP5iGH}~_SGUgDv1cWa9*C}P$CEN%Z ziFByBmkeF7{QK@QMTlETpM&f5B&3y%`{**O)vz~qIk8(*G*5~&Q{ndWXNg=(0E->uQvwTzbZ z&GALu@BW4E^}dgeE^Ybk@gLpmaEssT;rP1G+Y7wiv#9dz`to~a1qkPE>9@j}`h((mBtN(Na(Bb)0goc2l{ ziT?Q!;K018P+V(tWy!z=)LW=sTXD~B8zeQfD#dqq0Ub(S{dXZ4cy~&-cpixlaF}mO zfePUlO@=&;TtF|4y}5o2%8uaT9|HU5O&i|EFYUZl$IKSqat7wl`Of<1w}vkS?|9n( zr^hRxUEBWuFLT`6pt_9T)?U$-A#kyegWDiyI-^7%@0cDw1C-wtukrI2g3k}|pV~#< z29?BCLWl3u&$dAY)Y;4rV-lAF?dG6%I-^=4(SMOuB8Pafl_hX7-i6K;v6UjP={+ww zr|A}=I%6(lG`I2U+n__)ZP5Sl zw&+R^Nady>eWk5=V(JBS0&W+%k;!U~st}}7E+VdqnHoW?b{o(?PYp_;kF?=RG4UR) zI@mkypZ{;{5{^Cs`u!%{w$oqmvdFJl1M_ilMzNKSWrIOs4&LQ47tl^^BkoH$4Et)v zN?Z3!zvvEzt6~lM?tuD);D2C;ZA-KW1UZi9R*wpn8;A9S`sQIE$Lf^I_XDUv+x zq|z%D+aOOkk-Y7Da6Z9L3K`?uAQbVknh(UrUN+n^hBI*;f|sEK!s(A($*6mZ97 z3#W~$#fO<~1?(NO>wHv{whi)sCAmPeEI31Jj;>q`yAY&wm58m}Je`Fr>9~K>?6U** z9*u$f$lSeNlzmL4qS`q1ZM|dSHI# zf6gcrQ#lCV2a#a7cU~^T9mAg5XxjgF*Up=(booBLt$w{32NPrd>VE06lCOP^BC{n` z4PdNnn~URV52$93PkFv-`)*zvXab)Tp0 zC;Rn|rz@k5yc3v^(aW__yO-7H?!GL3 zMv{OY-s;JKfrZEh>q%QhSQla-G+CL}Nvv^xr>f&|f9odE|21BuHxGQy>H=h2I@jP!WlmesK%Hs&xsKoDBlE<1lQy^ghiF+SOuL8<5sN6s6S%qn}-L;|}yIL8G zQ>VIU2y5r>`WtfVX=-Z_d`T^nQ0Nk;U{dHd+e&NSZ}`M3I3WJBQtDZah;^EM>uw3R zzX7U5DpeTFL={CmM_>6FQ9kIFyBaF2T<<)7S&nY}JrdI>(sUj~HfX40cC#YcL4hcP zSh(q!zu@6a+Q4pSzWYVHPaiA1TA3~qDf+wcy!_dR%&K6XDzpyAZGD-QtOKhsjA$WT z`JKa*cp9z&{T*V!XS|;*oge*WpHroffTbg;*>kP=Dtie=30zdl8f1V;!G`2yEq=M6l7QAKXCq+{C%-h8J~l0#;zsR z(QArw%Gb)YP5dp;J69YDR&RA)WgQWnZ^sqp&_K6GUV- z5Q7RrRioFP7S^H%kyscx!(yFn5hfufy6MD=w9d9+vwmEh*YGJyHE86~%N`?8#2g0z zj$}W>I7OeTM9;z7uZr@sj`+HM;?3S!&L+Lq3{Vf*&IeM+B)Ebr``^;@e;^`@rXB1Q zq6Np69FVfR3E!6fmIHer2_H1s*%nL84gn}{P)rHI5u&_q0<=}_zOQt)wKdB6xBYA2 zL|`6JI_ap-5A0f9szd-LIe=OhFA>$M zEl+&^1Oq5~CFc5}X8F>YUWfxo?I>0JNdDZ6Ye56r6>NWf&PD}*?>xP;2r^P_*UW=M zI1;Z3yuyf(;{UZtUmS@w$Mxxc_GVe)?VVIS#RoZl-g}5c%V}ljAy($p))==^3d@Gka*VL>^$ZzOFAB zd7hFrBMVTv&xM;b$4Kj&Swx{b&Gb_zmwL&C(+mxi{ZZoWRdlX@k`w~1hRGJajZJIf zx^$s?5#+ve?{TIB$);Dte?jXSbz08*Hs(||+D9>{Icrj;>Re`PWK$>{ZCmlJO3?=& zDiD1r!83;@Gv#n+btMU;K1BUA!{8Y>SY5T4md<3^0fDlmh~wxa!Rj4`K-(0KqDAfb zs;jY3%mzxq4q6b$ziPlqQICZw>c<``J^c13OSYO5?lI9pp715L7Iw+-bd#ddae|~Z z!-1vZzCS(gbfD55t1UqdvHqpb7yRc=nonE^hW?J(K zdZeV^dkqWSX+h2#^bc@bpHs&shsZ%hBxN&k`GckMm!fl_-qbtJsW=g3fwidq_a>55 z4!m9?)Sw<6b>SUK6rX5lK;g1GZzRfcF;x%lz!K8H6267@$sXZd?)zV=Ylz+{`Fn$B z=(10NfM7#jsPI5K|ma1O0{JaZ=3i{s#^N zNGP~BaiafX?e2Kq_V zTG<$=>MRRugTFcL64{v8qhRr|Z(0EC>{p6fo3La^f@g&1U0v_jpA7Vy!83nL@HiId z)c1=6G^)2f4~iXG^jG88ArMNAlzE8Wrc!+(L%!!PjKvJXcN2TIvI-^fSztPQr z*P%hQMp-F>ZX~4I<=?$VhT!`W@lje zX|*9~O?BRTGP@C&EW;v45V-NV0}$Mb$xJ6|ee*ldmD3dD!?4T~d|gf@v$eAwd9BE4 z6$_#KKtq_8b9LIn!2DB(l15OeJfq{-q?E{Lf4^AQb>=*4c@&>8EH;Xtw8P9(H5!sG z?l!|IX017fNUwxSExm#l%z2uKf*|{S{nAck4*9z$fAI04%qnoXA~cRVdCUw#ALqjD zb65;jbYjV%rh$5%6hEwKMAd&Z-EIZ=I*Ea2o=t!;NID4*xULToMv@u#M}$cVu%GnaHXBlu+vn?Vq)#r?^3Muu~TgjH7I=5L-h z1d{$7$6)FOjk9%`*#c+Ot3^fU*kU{wXWSYBRefjh`C>zCU@-_hZdi-gJi*?J8l|x` zP#Yt+8HquB&1^AiVZP+8+%VfE7*Q;Zpoay9h^TeE&p95Y%q>K(iPJQ%?-w}@RN@d4 z47X$zo8hV{56x0Z`Z|%pw1q;(X*DgYk_LLeqTywQq3Gc(ENcBarO|UC@4!-r@P1L4 zJBaLj3ZL&@{kYh1L}g9XdhQJl=_fAJBe5?ZuHZ~tOnL%Gzu1q4to7g%#@?9zZ)-eg z0m~&^X1e!wc!FD9XSn-cm)iJ(KjGE2Sz)lLhsN1iGQwX5tm13l!9|5H@dVqf;@4zi zGXR0*zVbj@bKpr&Xxwd6-rfgj?{M;3N!>QzjP0a=iZFP_09EA#*;ZjSXH^541iqJ2 zZI|$9pkA^H4NVyg`Po8Sm~itCEZk;xh9Q*g>K^;WF}6#GOByXU)8;@NskgES&KW;H z8wULr@J!sZI*nir)Fiijg+iuYhXyK&%}|=QdjuzBS=8E|O6G?^JltIocbu>Zt1Ewq zTCWfbidyfLbRU!$oNFQ{9GsV zq}spNf<{P@el*j$AS^m&(WTMDqiuYL#2)VGH7BJ>3bvVmnA(Wh{x}$#J^mfgYGNbW zOX1)>t%JkN^<;${Dm)To*36kg2~*LJlgE0S*r#7q)lz}D#x|m6RjWFmM3-OxUT9B_ zF$_>u7hpV)sY*m6oUE*z_|gB)R-P)jV(|*J^lS4>%Vo_2%@U;k{jq8}9wY35$j$O>#q`EA%(XSo z=1#2cOfK%;5XrvbY(lNl&2rwg(59cjr}g}t?BZ7#fVKXKhl-5~J?BD1+gW{)THkK~ z_e56{WHR@XEf6PVx{PH&Z5qy>gz8Jd$0I8MndC$&b>tBcjO{b!$a zQU{;bO8QBwUS8;rXP(V!X7~)gZlc%8SQ6K;^dh&jO(O*d2ZFVD1ib~N)dOZ&&Dlgn zpn&Zcz~Y!#-LO>{0C_K@Mo?`dzNTPv6K_M4U7@1)b zI`t&g_G(%Esh#^NeIjZTEdhpZi%(jBLhIR7^|cQfe)%@3G)qwvLU?|XpW|MY77@lq ztfyaaPl9U7ga`ZS2D7mC2+F+58yIK(AAJM91aOpc+FsCIM`=YqPcJgNYakyBIq0Kd;*`2&L<^ z>U9_uo-@zuqErJms6PXwU64t0cBB!$Zvi|$z3D-6g`zHuYBs~{QEf#UPv*~v{ahq>*h%;mkhgwMe zmg(W>Z0)7z?(A*1g~K8bt0U_E0%8}{n8)Fso>wzjC1oo6`B{AVP6tvNlHDFUL*TA6 z(VnC6U*8@#n3>Z|!+Y0SPmdWEr-#U@_33?MRz$bFj@y6?I}+jO6Y47otuP|@5BCoB zYS+R&F)b~wzIs96oi7lPc3o5|e%I9#_Z^~a8HW6FO$W8k_=W}`MjHnXpQLt%@k!T%ccS4l0(aAcLnBPf+xg8H2j{+8; z>C&pnCl^N2x$|9JeF~brPgZWUgy(=oNiDE=h>hb9PG3&`f@kh&r#IImjp`uRf7q&z zZ93qeX0@(2{o9VRyHThG8f+cY{-ZangV?#j1>MTyhax)B;3yuWLRn$gJ4hh(>Z4n35~ z9E}WPCPKhZupp{iSqtOBu1Ol(DOPa$mAyQ&RNNqJVSVfIfd-Vdo3g(_XZbgfUXyC= zPp34hXisfgL^*XbT9rWwRjH zEC%*z2nqvZu;vIQLMDIV*ZNRe0|jRwuV2JcqT(gjs`{B`uysS^U(2Z;=&XT*n(5Bd z70f53Wpdy1>|+=s9KPD(K}{O9#PsYABuZ&AVo8gK85TGBlf|GWx6Y#(e-3XBx6Y)f zE3AQlz!%*U_r`lNZ}jtf*^>ps=cB$pI&xX$9R%2h-cdH;P~r-Ky-UGYHf1Tc&FmdW zCWRbzEm@NZjnlv_y;~V*NQcUgVccnehJZ!2@xz@H5S%DB#W9Ua?b`Ok=D9Ro`t!$p z8#*T-X;9O|*k-HYE+iiCTJmX-rV{YV=+MmkI z<;SA5_mDBwdc>QiZG{XBi}y?vd-Q5Di40pI7?(g&>t!Zw&b2b#pKYcgYjgn887roW zX|kT2_YjBmw-%Na>zI~QqTavrauP_Wc_-~sB6b?6S0a$Z_SxOtDY0q4O`HMH zAC_B?sxUmY6k7IqUZj`tUG7!OMsE)IITj}{8YA)gdc1A)!L9DTq4SP-1AZP_`!G#> zcM#HIx1>K*zBzSt8243I3KgJ4>lgrVx&shdX0pUa?9#sH-zFt-zzZ=Vi0smSN5kGpOd+JIgr8QV-~ixMpqFFTqu<~?P2uiWK_&}6@p zqg?xh0r$jDgjZlzKlU3TFY7-zgG|#j#RFBB4S$tsle?1?*RC-ya>2 zvz|{p1tv#+aRjQ@PTLIb7^+8VKD#7*^1aAdmw?xHrJl7*VA2x!W+H18VX~xs=kviz zS9ZU%;X};k^eR<8biqConeOr%c2vm@@SI@B-OiNO>uzWML#|61WT2@7RV=qvSThEi~}}eT^2H5zu^6p-Dzu0vh!a1RQW4H7_~Tj zqHBa#os*|ELk%mQOv;4I8fK$ch5ops7iCpi1g|C#99mLLHbMis@xPDN$Rk%vC;Hm?RQO)gSi4Zz)%-~~&Ku@*Cpjo|?dypb+@3fM%1i89%p;PGQPY1oxpdCI zjxVLNeV;9oaI{l^Q5s&wan9CTHL#xZKEEG~ksR5?NXm*0A&E{Xo$e0pOjD6blB?1# z6UM~n11w+H9P^9Uk+l^ZHv$y#L_>=SYu7K-b|@Li%D**?rvy0%{E^8&G(f?mfJ*U4 zsqwF=E(dIxqUo6IkF;9kcH$0x*6W-UmLC@uMj^z)M*aRTF*h8=-w*6_ZNm-yx*>SU zt9PE3Otl<}%3P!T+s9A$L#maKL})KpWO{xReEx#tPfQt{5R>(y`VoqG7m=%K^G6A& z?!}{>-D-4I**QW(9Avbyuo2D9TriqX6`w>;|MnM841LWV0S~59SaHle4}%&y`(HVs zQ=of|O%%gb`7+=1WjKV|2SD;MYW%lt0&A+7bBB7ao5E=5`)p2*@xa7PA9CdN_mj_S zcR#H6GqO|C1b%@A1Na^yw2WaAA*CPFSp-o|w{bI6SbWh4jJ&eojQCt)+oLW1E=X1~ zjeUwF9|Zg`wlWdtq>HBzTH&F8ppi7ch%~^Kc6F#8I>m9vJK>kU+IMHg(vg~aYzQb! z@Hbyc9{IIMC=$(f?nB%FWRt7bnCW*aqP!V@?eCZ3921*8@3h&$jhI>dKCEZ(BE+=OsA{ph=NI`uAygZf#*}j*{7GG`UHBk4!5PAIV0&Yg zm%hEDYu-m*O!oD-%<7nGR#V8!K%Ht<7< zYNI+JFG$E&KX9IcpdEWlo~vP-6*)PG3y+Du%JNujt~q1aaW^x_GVG_2C0b-fCI>|_ zeE(1QCf+P-H)xS*m6E89_Gu?gFZoZzfD0%Vm9S@Vwp{~foJd`~?C(_ZdcYt;7ek%H zWm)f)JoL}v`;)KHAd~>6zCY69WRDAadN*M9e!`=W$i@6S5Sr6joixKY(mKgL<$#>_fF zt=ot3$IYOjo;SDC5x3e(TJZi?S3T3(v*0AsgYg_@goRW5eShS#p-vnek6H}Ubt8?c zELl#K;K*S`wc(k#-jBp8b3jFXT=B{n4!|2mz|k{=RAg zz#ZDOM?OI}m8{7{-Xk;NpwB!!)zc$!wQj$}J}jjAJ-^uJ6pnSfz1^?oR8ZSYN!=K5 zDF8MaP2-?mK|xT;zgfsgdzTXg&K@qk%x7F|NyV$rOhK#;%M4`qu6KB~-(Fu9?HI^| zK`XM%Um&ELzp8^1EN%3tbWDP3!$s%YC(})x&?)Jt$|EQp&7#}fFVGo#*NA%7BL%LL zxDrq&r)_r&zhovzUB&P{OB#p+^upwW2>roS=f38VYRzWif~#d=m1d`}bhCl<(J^x? z`0lHghQqzINh-Wrt^4b1mbSYdd4dZAW_eIC+qx7t<(VD$z*b+(IvcmFgk!4mQQJId zUQ3G8bC}bbWn252<>;SOkETgILcO%y6^}fymq{L&pM4FW*P`}Cj*JV9l!z7oM0d*) zNflN-sYfgEtT7ozmqb>!=$M$V6lz-@xxFUbz~FDxFMm`|-nqgGESB%a2sX?QH|*zHVtKVxn4`DuWy{5HnX!R) z%hFxXvk47VIT5fles_^TIgiP8)v|m*9<;)SHX8K+%t@ZsP_a6oD!*E=q*+X)N7k>{ zqsxtLK()SUo@}1rH@nF_&`Jy0ajT}6i2 zyzTz=!f;nTrRRdyzgLKdKnWG<-6z6Qi^14&bn{0B0Jt1%XH5^Fc(hTShMB1D`?a?} z5T5kC3U?b{w@LB%plXQ$Zp9PpM~WM8yj8;Lu$ zy`s+Uv!BGjQ9%FUkJI(E5=W2v&?9V3J46Ixe2=gb%xS&@4(H$5S? z&W>|~3zyYG+*hzmPZ`)hIlG-Wowm3zILz+5Y2s_+eHJ z6d>TXYW2UxcNfbaM|sjt$^R2|)kBVIt7^TI&5E?(GVP4N|qtZ$oRAFJ7TKzN3IuUtgol^^B^MY1 z-m0e3Gi(WkMX(O}jp>EVJ{X9p5oj*(YU@$SX7fj9K)OOgLWUxIy$K+4UZe> z7GdNj!9!EuV^&P{oriuAVjexni16YKFt()j5nD2sRaMl|L|6W2u&1&2v*=qeOqr0M z$`{M%(nMBnLXiHu)cD^t6>rec+6=OVavkP_v5pAQ3dEWvj%#kw@R%yU=v(Saf*?8a zN8NSr=u`mGbPd-QLCj#bY>I9!?Hc+!g^Y#*ivv{xnfUGPGVQzB+mw*d~wxHa5;ZrdjpQ+h+3sRoJ7xK)4MmU@x6Qj zBpA>h5w=JS&O9=%QWze*e7RX7!maC3x*Ffi z~V1ELx(gN0n?cCz$p;z}$6C0tu3>6ZvP6DtNe9K*?7k9mXi zN>uD+_Z^G`?5=F4qV~ur9deqVwTG_fEwCwWdhC5Jo7P@m2A;N>a$YX38{iR;NA|7I zg!dFR5}t=YMEsIIpv8d|lj8k$-GuzQCs_vp#XBPiGA?L%EJSmpDLtGr9*2RW{$n$w z*$=cX0AbPV>%78N=;bumaTGRMTq8K4`GcRyoY*zy>TnAZ`Wj*CANoI7h15^-!Wt#x z>TTZjg{`7glB3xV532}&e^PFlthoT=T83_5C*?#!@k5qpBA@6S{yos+v$cMXmPNjoW{ad8XP*6OOvZsaLz@FJb@=-N|kdSLz19aX7JVF8cve_>E&yy{-9d zdhX|NB3zwN>XZCJAIj_p@9beLG`y#`lsu_<6Y4og^1V!woXH18ry+I@K;vu|x7W*UfSQ&I#0lWAJXksl7h{mqCFitA|8PKEpWS`0==5qty=}$wFcS?ej=Oi@ zg&hsN?3Eey*B+q-(zg5S)Ha_4u332e zvi{48?+fhFzRlxy@=F`^hr)!2zm7zFJ9G{irWpZ0j2b+N0cv3cL+!&}$JJ^*5pQdW zPLvRT*dg29bNe$Y%79;c(3iW^_^vHBJ_R#J_rqyhv?B^&skzC}#ln1xTap+we`|X# z*+js5S{5)&ab3Kg=p;Yb*t>;@)2CCd_M(<(q(y2yCf~vyPso=MJf<+=L*riq;iRPp zyOP9QUaIkVzDK?fMyFaa=0XMrwnJm_A-Ei}PILJdW5_UU%sxSkWlDvKQDnO|0*DBD zmUm{mx53**sr5m2M0sp%x_&G~b3Fo~&xoYGYPBB_U3DYmh;O6Y>kK#C@E}WY0VLN~ zH6v!lYl&H7)&YZ5+{R~EcVu0x2*mQ!*k@UA!!Npb&Y@c!*PW6a=o75Jzs zFsS&W;bW8tZEw+xAbC|rUsjNa)3;^T+H{<9ijv6B5Yx62ceqY$H11#aO;nt9_C}oYTV-x*s5kxlmkRCiHL8 zkC^pI&$kdnyoC!yDD*0YSg213(YJfMNQpe!!UFD7tM$@}An`f)ADxuJMM`X6;gn6x zky(FYN4&jPm^d!P6N(+iYub3(!-KdmfC-RCeJ$Q*oP<2aA_Mg?mT`UWeJ5$#o0r!P-4>1)rAu7s`y-p?nvuTxz) zzgAy&LMvX+SAx-$UtfMb?e<;zzQP_WR=uwZOZ)U+hi*5JeD_P*p6;$CE(%+o%?ESV zd@r%#Yi6(O5m#U6%ckqbxpDBVgwN~s>-9Nq0j+O>&*NC{Y3sAA#M2!-693`6@N^9h zO;4%+^60vg)Asn%_bQyiCGp7h`epS+;Prmw^m*o1-`CS?@K(a>8Wx)Ka;dWB^St%p zsm#~y33e$6-wv}ryLf4il^Bz_h0FGGP-wpjcbjf~Tb`c#+8$;LpF&k$O{$(Aro_i9 z)}9ZZV^7=ek7D&-4|pX;Dc?k!!J#gi`vcGIxv5TKIJ0`utXxm<1 zN+h1|W+Ym>UMDIxa@rnzM3dHhHW}|Y=jpG<_acTobfxWu7(9w0U`x45M~;z7-5!o2 zF|(oNmZc$Et$fJa<|+70Ot&CCAWIU5!ri?@^RUsWZIyXJ$xM2%`o3XTcf^4mKo!=pvw<1I}>V<(<%VJV-wCoGb_u9 z%8A!e>_&cL998j4@9Q}Z5V2A-r#habZXuV-;1_oY$qPFYGDN#Y{aNAkbMxfDUse~@&ey?p=L*jGpHQ#$h1*jDa?6%dXb zc)1vrR;=j_duUX3a?nuqIPxoxXqyIYcP&P-63!;cp!K696=zn4z#09TGaFxPsnnm% z-1T}PdZQtVEn#X$o#f@=KlC9sW2j0%8U+}RT$RE6%fKb0a`N^}!zum87c9*2IKs?r zZd@jPh%(29Fn5?73)aohY!#eS9{JnEsJcXeC8& z2Ih+D&(ZU$-H*Ab5kE_yeg)BW)or4*e*S{JH?iKUI zy6VVj`kO{;dKqEIKWO<=Gdc%(4Z1*DgceJo_k`O%KVEp2-B$=0F7sQy@P1jvIH| zUa}g{$UkVmo|CYs=2m0|=CA0#>(yGbpi=V@SsI$snnGFs9Oxj5IZ0M4{niPBdFvc# z|Jvumfb-)|T#Z#94Wmc?qLy8PH2lX!tSyJ}Xk~XTX3b^wk)C>nrxrA85m8P3ijhil z+}RDlw3w!ORple+si@}CFSMh7&@-X3T9bKvsa^(;r{VAzJ&+8SP#oB;@BK}=8!?*#4h4@KOffv5;c8^GaD7AmpqeA9xe(@SZQJWK60E_-j8PYpfjP&t zzj3E7vCL|ea)yIOn0`>K(4e_F_W zd8_7pU%};6<%`CX`c%Q{^!ath6nJ8v3f*qAPak?o=OKMf=SjWMm)#|Jk$C5%^+MSX z8pKRjxRW&jqMUv#$zgPg*WERu-6L9bSKdfXhH^+K>>5?@klyO+?ry$#CPBMjV@!a% zq)E{AlGlb*XlzUJ`0rP3oGo8aWHEKb4$pr^K&;T_&x zBD|!v1ZXpV;TeAV&_mCu?`sLyOP~JQ7vK*3dev~eZ%7z=9s2^DY<>rY#A-?trGOx9 zhgI8~5XtRPijR&9+^*lNj7Lz%Evx_es14+cCxZrAlR(pGtdZLKVunrpGPZLG3Xk{9 zsTTu@vO6aU3TqW#edGq1V-q4DBnR@Jl;EY>j;cA@j#aMAr1$;_3U|u`xj$y{l9^LI z;-R1~K=@Sp2Va1GmWx+w8$K6bzjK17fVSDK;n>j7dDz}-?)Id|K#x9L3x+nj(08FYOx6lFlN$gjR8~5LSJ~pYz3h74uh~(P^cRa>Q~`wQ2no!4LV+6 znFKo49Y8rHJcXGK$X?RtC;*ABxjw7_%x8}VyAvYwlxC#|c0{zM_euK`Fkg)H>t8^Q zRXN`sFO$hAcN_(^z6ogsS^OI4lKAF$&T1VNn+y`~qUelGz`S*>hqt@H zfPebz>jfz%C)P9_rHB-2S6+e@fWes|65LwfG##s2wvAp35)^z&wsT*9Dgf83y*}E^p2vyI2TqZG%cNcB){9*_0d~;Ck#ATImYOuH~-@H(b$2^hM{|?H^ z`kSn~tw#F}c#+R5gHKdbEBFqWOib!t1etDN2TEN z2eCQ)&5gly6Q3ZJFLD^5Wrxgv z24Mm(wop=0s0LIcJ>Ei+@<~Avr1m7BNvDo{NE0-d%jY_C?R6v9p@TGB{{(Kd!#J)4BZ_1SJqbAz@!U0fRWM$@6PT7@~@5>!uVN+h#?a|uHi))+k zuX6p@mK@+s@hgru(X~V4V&Ng-ON=*>@906XN+G8G;auCpg=<^WZS6hnDm)1yeChZ6 zlIaRRbqRa*dhNnJZEJxnfP<;`AL14Yuw=YKCE03v-hbip5TC;E52HkOm!tz_fKwI{ zl-myP{mge+aDJW8*X+ccc=X!{(#0K{q%M59H%u>sNX1!?^~Gk#wlUygi`Ok8Bg0?) zB@eo|I=^%asd8l0#P-&q`hB!?PUV3mcts%Hrcd6G_gEp@wglB_KDQKNz_-dc&-5}@ zWQR5sjwY;jn3}2mOXV`^nf2x=p8LaERPMQ^?tY?xfG5( zrD#m+ttohxe&8WXur5pC8RCP!eNI|vq_064X3T^J(!m&N_+9b-VyD~k8kJWY$1$W@ z+2j3f4xxh&G#*bTi~Cb39X=jY7F}4YWSmXIJ`Q>{^7th3AUJ*@!cKW-iN5Bi3Xe`7 zN#(5PZW74rrr-hh!Z+Uc>0(I2>waJH8u!%q>0ssRMZdMtU3I3`j2j6yuSg=15&TJ> z46=Dq+Ikju-)eZ-xY{G~gl2X1@7Qcfm&nL#4{5H6XjCe}R%o(5-1-x~Wxe~R4<}Fu zK}s89FqJ}lOfJQ@0TU#QW+R+ycW5)yV%3le6~|pb#y8#3uC~m%5hv7w>QAZMd7^V+ z5(~c}v(uW(5C5g}r$6_& zlyGhVPCwceUMh-nXR+I>RrDp^COD6b+*L!UdaLNm?|=*G6r@;CsYL&%PexrU;N8K} zI=&U46v;T&@?O>oIKt++LR`*HBZ;ylb3?e?3BxA-5kB+5OajK&X)3$U-7kQD%ggY% zKydW4Vd(E+wNqPxY?kCAVae&^1)!w96}~t-303q=SF9N3XE7mz5&aDYubm*me%-VZ z;l0JviaNqpT02oY97UlU9r0ktQ9%aPn~MQ4mZ__~DVlW8TEIDAcTg6#*gA7Vlb`DM ze2DYc8fb(8M9|JP{K`vSAl{6LzbU9S9TS?(NFoc<;Y0uUzc{3WegdFS_5nG_D)`Lpr%9S-apXtpwkSsuSgLqxj!Tqy-TAL1NSprh!%?d0oxa9(&TOEPJPV`Dh4m85W>U6R|sQn)7LgLOz{XupH?2Z5ft(W+|k1{OF^^ zEV{{kIuc@1gU9VJ(Ie~Mb4MJX=A9eR_s;>@)vKv>1;yR*S{PK{1fW3(JP>i90{Bv# zN68x1dqVW5I1@3KVb#3#>6S}M;{xm{?vkGh)8Z zeW-5t?gz(c!W07%*fx6GXQ{>3UGLF@)H)xXVywiWMPbb|04)de1;BZyv|b$~yIA`@ zD@}~_!Zx-qfZGHr8J1UUri|ih1xAb=5f1d+B zTu{5X9Hg0#!eyswXb10nEAj5ayoHjHgJ&K?QmgI!JE5)O&buM0cn+xnC&?S8s+rOW z37z!##9j^~!V#?-DCQB{-zaIFD?tij_U0uAlzKL6X917+SxaV2Utq518UQ_n%=Pc3 zjLw{3s?e3}vPXAF&$D4QdaR~3rMJ&VyyU;&W0HY^7p@#h~6>H29- zT0$`!(0}kpx^cc?H7GT*AZ@1{QC0M8Sng?rGjY>{G0ax!)o{65!tXxC4(YlDnotbs zW>k+2=?1+6P7=_KdTRZg1i{px%R6dBG();pSy!rryL=v{IAkA98|Z}?7a}3+ylm9b z+GV}pcO6p)Bdql+4m?yL`0Gi~w|@TZGfc;0ngS3PNk3UqJFMFep;Ld6fL%E!*X!LV znH`KRmjN3gj)4i+-jp<%`foI&o7OUR7#u*vRa&BnGftMfd>hlGK-VfloCVX4f}H>* z0Mj^?odxVfKP3>JM3B^G(E!|)-s=CZrPS1XJvy@*1e+AN!9Hu$RM%JEawH}7BF7Yf z3QhP za}xYDq-=+o_-SGU3$q~O`3OQfyVW`DVMP@uNvc03ojj*mw{ob56wMkz8;%3*BWvBb z5G$wN#3Vm#Ea~Am1@rEQY9qopsWF-$EXgs)4ay%jZ-8>LXFs`q*r1Af)t8>4Ye}Sr zSSxO7!i?}_99qw1p~l;d%ch&kOxq3a*>>QKXcx~LOcX|YLHdHKls1+p}*q4 zyP!*Y-s}-)evlNi^W4r5^oo)DXl9K1DjP=t(X!o%7;!9}FEtPmGT{5u8M^oGhiFp& zcZ(q(;*EpWWm9r?0e{yZn`RtQTu>E;k6v5?O=?q?hRtkePo9vcpDD+ufQ(HoObr;< zcf)mW-PBeiCnTy(N76ErUBtGk<(^yO%=7ByeB~R>s<#g@BZWJ_3&tT`Gob5693+{X z&V=|j3d=ouC?~F%l|qnqNcZeNbWoTbyTG|D*3wTjdcs&)LtkB(8e|z|I=Fzc9k9$8 zBiK)zxd*rV{~sCjhfTe44@=A2Da3d-yP z#t@)u$4J(QW|h{al3%Ed#xDw!sNZ&keqW8DLzAgZGUFk}J-Zo7ANO}1Nv(Qy^{c{F zDk)|;B+bs?K%l%oGTuniB;uRnxh~YNO7$#Xg-Sf_;`%;;64!HBcihJ>!klL=g3LK% zo2}eUO_soXy-2GW3AkSo@8r9bDtTsX;dXFy$+4FX+$&i zKMqZCTEDn(#%lVOE1c=NUsRUf>(q(<7|O`u75`4lX>F%Xb03nj7kyszXGd!N;Xf8n za2AHX_?)razL6<~y0xMoU;Zl*ml`tO>4VpcS-8jWbKP)qjvbx9o$&duVMVPdEqRW| z>_yl^q=kFXe{43f`!dF+FTN_AV_LX(KZR@4curk3ljRWXsMm@fz@=0DuM5rp$opA2 zV_z4vX*T{V`I=L{V`*;3=_?OpA8Dlx?Z&jxf$1-A#v1i{9_tO)HHs?mnKsy&ov6{lFg!sht#e@H)ii zk9D)l_x?KKWR?FM`0`xgd)-4zbGM}5`g*7$;r-g>3P0r^3xh8_pDyPr!gP=w6ublZ zEu;tDs-ssWKs}I^aQ%?`$M{PCuITJGMW&+6ISG=0uC{&>(sFu`n_q9_2@20-uLXcF zd~Cn&N{fUXwCA#6t7dXDGnm{kV8|Z-DO3@ zq*;q{b-51THX0xz2}iSMPbH7))eJhVuajJ@NA)6wP4XDZdBqu{=82sN`0m=ahyH4C z7-4@Q+Z1syXgaT_u&+*7bl0J`cY5F4vqbjMoS$C9Wivq8jA;J1fsfi33br#yz^-?m4=^($F&=Z$zAOsT9> zPh(Y$b=}LcA2Ww>JD%5dU~W5&}}b`a*K7Ze*7QJky^oTG1hHn$hqH#f2Q6I;(+R z5x{B!+K^f%2wxiQxv7#RXo$*D+)Vl4&?Wx`%|V(^(_xh5yIr?!8>8KFj~=#<_M{I~ zD;n`69wHnvS?ye+Iv1{k?Wwq8MRZ>82id?X?KD5aDN$7_QI(N?0JgH&Z6wcF*abp_ zwY5JH`pZgFbVn;yruUi15uiHof-*+UrBavDSO17Ri2X7{Y~MqqWOhh|$1^9D(XDRf zob#S_dQ|Dbyk6j6;FI}Zz1a>;}u*EfnW?lq;pXNSXr6uz!X%9DkZAO98`~f zl&Ee9da^>h@unpWE4{d>z$WtWCFjlF45F}jDvdmX_ri30ya0x|(v|Z*r}s`fu80N4 z-DNs>6bphKo)6!@xzgLYEhK2jkXS8$Qub?_C;cm_{g;RG;x1uo;S^3(iw+yqBE|*0x}FJmR<{5eig7AwVukK0?Q6;}>yH`D zkCf{D>q%=qdUy`qAd;sCJ6_aBkXiw55trU}Stiw!QZ$$STxFqk&pc`#(Q~8x*VubB zLRzS*j8Okmmdv-hg>863aMeujOkk&d$*lQ)(p@K_4-56huWs8mbjl)P+xDb;$z(&> z-hE#0Q#}yowiMvp(Ckd{J+PtYeV|n6ST6;S_04VbNHlp=a|5M4Ch01PT{Gv`kF)NX z;%<~nw$^*Lo_n^;;clC@VKHuNH)ftvg{rm$t(IF6vh_<$k8|s!?Z6EmR7U@Xc>4a_ zq8&`o2fH*Gp46h#z=r!BFvYcNJ?W~|?yfN^(s7g#sic%hG>>><1A=ovZ(IY)Sv~U= zj*ySVp7+fm-#g(zf2Ix^&C^=-v4Q2!2c+`|?v$U$QWoHS|28Y(N~hF0J)(&V``Ae? zy?Zc>%{u!xg3@rIXMcF43gbHx_cFRNJ&qd>$dbD>EG>}7^W?h&nA_6R{FVZc1x z9yGAarlI|7!9i5d(<~>Lf`l(D%E0lm330@oA(Vx&xnr>^4pvixfynRrnJny86#D6x}r!r{w8@>y}XFw5m_EVg$h-7 zVkw5my{kp*TCX_h&k9w}LV0|q+wUKSP#+eoud<<>f8wC@{hN36W8z_S*Jv$rOM!E2 z=}Tu6`Fw1)LY&gbT6%8Lv#EM{e$GOaw|aRO(oSTq!%E`Z4F=tcyBgx%%U>uN`X^kZ zjoNwDE{^#rLgxPQV^;cq%N&k0Wx&1LCK%?d5&67Z?_8l8ZqbA|>au(DiuhFl37H50 z0H6cx{X&0W65t-RV*voi<^TXCfC}Jb>cC}e^V7-M)X_=*|G3eAFZlf%1^{W|*uiuP ze*JXA1Rx;aAOHaW!))i5PU2EMao^hY+pB$1zM;r&1>ONHhB&-8cm)7t%KWK;aKz{H}$-~yzT;WKLrqcj@MRGTNGR}cAt}Y9V6bO@~u(wtt}m|M;g=eu^h|E&Jp+a zaeqFzJR0iiySL{W%b}GJ9XYwzKc5<-)u)B;ZE>Sr`g*&$KlAyb!8CAbC7zz1F0M8< zMlVjOp3z!#mWE$8Bilv@*wPe(!UW%x8+o+#W}T5qhOhS*>+N6cFS&jV{aAZ3Lmh#iX+0{@5E-j2h-neGP)jB7bS-{jlUASH;iOOgz z3^U$%i-Iy1Ps`edYKpB3l@Tvd<{18%jT2D?GQMUJL@O~!{YYaa7eL_`(CR}Ksg$bj z3SrDLjN})9^s!jRDD9sjTG>4CeuVvjYT(^=o+A#K+AFl@P1r~2hm zBq4n_n2#%M0LwzpGyS|}n2+lVK{^0IjqauyOf;cpmXR94lWrK}$}{A~%*^HX#Y`xb zyxgRIPxhT2N2=Br)0-Wk&w$i4%-k#ekFUrYSfpJe$zm^_t=>NOeZMHps%uD2XYDZM zKt@C?a@oeqI_wi=ddLl*Y&?&mHzvF`MCC<434S^ zo>b5lQQ;!>@4A;dF&U5)QCi^S4t;ZoaQEc`&KLbD|1+X!rGtHKzN=rr6A~OBJ|W4 zdOCD(Ib*F8GZ~OmSJ`PG)OK}!zH@n*<$HH<4;)8yCY2jjibW_^2h^kuNoDeWOXmIS zi4cKE$6Y4m6as1EMV5bmE96Ec)WwFt<`nY5FURLmtPZj7w$<6kyz>SBQ2@OAbk2Hn zHr6LGwlx<^+h#VV;%mk5I0Jfmc)GZ^euh@;u5X!NGIpXeQt;TkH(9prukMMXU#6_? z#}b~PlEszYtvn0Kr@x$x!;Dexa5#t_a;TJ|-JjsISV$XAQbi#fP*jRNbjF#t9REAc zIDDN((E;6rIFu%#>MweVg|xSQkAZZQHwg`rG||AwbcBm3U;m*#%DZjT9#K)-q^of!I;gn}CP#t!#fOOF9Gu24EQIS zN{rVu$k;I{bv(~%mJv3dN2MEEL@MH=)v1~CQ{s=V>#ZfeDdUahOq#d$^m5_%YjImu zEi_rvlZCwClZHL8_fB9C&k;*b06IIWps@SL+|JsBQc3WlyE0d z`c!AHA*cRB8e-LY9vu1_rlVCiEJ!34vLHr$+A(38HrroARl@!@ zdqUH~)#?~bmfriZ&WHoW$ZA_pE;^f6&(`bPc^jg;(`ugyqYMthUtj+Q!UPQ zDj%rV|Na&~>E?^*)QP}iwYW^taq=;8+t-*luT}R?tm(2W{6*4s`Hj4SbO>L1AR_ZBSN2n@D%lqY)t7u0`4L*Xuht zwY{a8U|<1>=tE3%I3rb?^{lXDJXBhZB{oIE>;hsJ1w6y{xeHmB$c-MrG>xo{;)L_2(kLMc2fqf<~D=Wbr5vAHd!v5A>0Uj2?- z;$zW1+Oz{j=9@y!`xLz>z?-lFw-?BGkUGs~k~tPvB<8v^$Bk`z5Lb)CV;uJ9JDDx9 z0ikE!Ws4n@cRd=d6IRWB^m#7QcIq^rWfJLW*(5gB-^u6!#xiQ{B8Kxj=mvf8RRV(EggT7P%hBPm|Pep=$b{ z(`_p}*;w+_C}c6}%4y+k_^ii)Q(p{?$fv~D^sDkpj=B-NYpF+dv}6_`OU^nPe63=- zvQwT`R^D&`Bu;g8Z1;RL^|pk3-nXN?Z)6f$CW%lOln_o9P!qNqTqh>J{^bk(Wq8Pm z6+?(hJ3ESRLN!C*$FxQEBUpfVZVTNL?2HwoF86C=!Nhcx^+Up6@{O4FW2zv?M|Awc z2gaHta_Y}Ubk5#L8=55!=6h@W1~pUNF{qvte1eoozNc%DNeXUtCuRPy54)9m(iW{^ zBY(uC=8J`A3!rZ{ZV6C(jbH!TE@6)Hs5m(pwZsl)CS*gn0z*k(s`jbd=%W;4>&CYp zNjvDSNb_$x@$33npz(1hE&jWd7`Z3G58Kf}`(ZTJP4SXLGC;h<+*2RejkRDv4<(Z$bcJ+t)xugup({GeMpF5`Ckk9DamNZg4(AB zeP5R(TUxU|sfGeyWODgtC(UA-{Je|b@e$y>t_=G8|m2GTgQ0!mb_06 zS*Aa&I$PQyPib=(v)%=Y7Hfn&#ra8g={!XeYA70zvWqNB+M-@X;)%Fyn^>U0z6big zGBJPWDH75~GR_V;`VUTY6)GMN#?H}(q$zZ2q>X75J_()RF8tn9sr^R@CewQA7}sx` zCrhY>9(alNlQ*Xg7$`V(p>XpvgT@|D;5 z$B1NL+aJh?^^wlmIyAmNh>0RG{)X>d3VMI}z?mF1<5bOBtn4j;t=5WZl zwVyI1^U_~fhcnW&`R@*5jq3G~B6uj0-q2+DZ8tjmgLb!%f|DW%?)^E|A);Vt*u$yS z^qRhvxyU-D9a(;vU=&Z7seC8-siHiijFlva`q>1XR%A{Dcm|Jy0@y^HN2u?GO`F%aM`(f`va;{JbmMJFxR6`TVO)OTXOvakO5^Iqv$ z{wRMhz6;-{4Td^?u*Hh9E;i(sjF*YL()9CvDoy_W_;XbJ)+I4X5hHhp<#E;NrhHJo zJ0gsj{lx?JdVQ4hv}rDJf1vOE{Qc?Bwe9&@;<-z3&HJIxzV(6Yv7znZ(cbscbBgFFG{ldkq4toQXqq2!H4c=ZK`DV|E+ zCU3n;SwbdeR=3LK*XlCgVHe6@z0WX|KPe-#R6^$^wLP~i>YjDRsxw*JnhzyioSEIF zGJDX~@8#y7Rfu{rJYf*;BV;^x! zuSt8c3iP_cv$Anuvl*qS>m@kFFKM>6->ubbSoNCT-87ju=it2>Fa9zJZEQLmEHAto zAb%3q;4pd*(L>BRa8mfAAbbE_$XaU)wy(8vm4AVSn_S*IAPE;^Idq zpfaOJ+{h;B&DXN%rUs?M4TY1zG@^6V#R849ZVJ!e=j(10|IU29?f*P%p7)PNh!m;IOo0s@n``o!s4Sq{Dl^QEnXAIO0agE9~Xwb*$a`f(olz zev<#R;tUl)oyCci$RcmeEHbzD;R}}#)WZsNJ?IDy&shjOUqGdW{?hamHRQH6pjFyy z<;zo;dbio9SdoV!Dnr(2?q9J7Jhh5-0|nR2Po0T>o6=e4vn!b4l`m~3pECI6bai(+ zVQOos9ggzZo%HFN+@ZWOM{3mIZ}u!3CCjXafY+z;u9+gitQ?KJ8eIkQ$i3TdplYj1 zpH4om^EYmq)}FnY(^Sd7lrrmGv*HA_$L^>~8zZsx)kB=fH4Ho7bT7N3Sre@ql!1S! z4-}1-SC+7>2Wf=oB|xenx;TPR=9W6J!($4CVqgzrkDs-jQ@1kItT#LV3aNozPoT|E z|6E?c7;RgzqocE(2$JLsR{qHqT{R%mEFxfbY}=J-*!9z~=N?@lL|sI;+m7VuGHF7F zf92n7!-8R9?YYq0`>qfa&7op!oZq|5Ke%&UG6e*vjd}-cJok+ucFfq;T`1?IWNrRS z2{z3h={nSg(3W7|xa_%fXPpV0@Vv3934TkUX;|THFV~TRONB^V zA8{M0`R8kOI8R+PtIt;cR9TT(Qpy7bf;Z*)$r4X3Z$`R z{tsW4NpDrLnCblKztFA;3&`K94J6~6Tx=@-z!gQPm6(!p!Md(V;Q1xZ%^S}E#!8g= zV9xnB6`=|to|H!UL;ot(gaon8@m^AV9M=rs&`QRu#_gvv(U-MRtSN#`?KQ@{%5O?z zdN+2HQ$aiU$fvXdbnC?62#4T2*Rvg9N0cW-3xtza9uSGM_Qp8Ru+Sj(j7!M42?LBX ztAG&sjYaVnhwTso4e!R6jc+5{*aGM@y*3y(3aHV%h0A7!BGQ;*ogY|m&54%nP+W%Z zBLbsj=h8{0DCu7)`gi5q?smp}U-FO^yl$7^vEC>D&C@4SzK=Ik*i%H&CA*cb?M#qd ze&_aZ|DB{Wb0OILbkzy*C<3IHV9p>MoZ)8;Fat|o^2x5-o+${y@!*UQD4V(bm0|`q z958G|{IIE_W|0L_={9I9ZLrOBlYcbh>G>)^ll z<4m1c!N%dOO$Py4KxiW?7^$mKQo}uEN70ABdV5;V=qC72MH(Qhf8#6tLY9Q>+nN#V|VT$Sm zV;rDlQWFC8zeUf>ZBKHxV=FhK)Tc9<&ZrX|RQ{hK;+fftAbn0z8{C>v6(a4uB6r zYY{q@#>h6!otd%YN_Jg@E8S5wtJt975Pmd*39ztafe@Q4A5A>92B2IBF$uRb^Uy#F zwUx*K(L%;#4h=DW$e2V|6kE(bDC(JhMpT;kVzpTEde2O6%Jp^M-4f-V|*TP*jg0p zD#An00VyR7VxMW3DjLySgwvoY3yaHjQv}JXTOo5j<&WZ??bJ_(4tH2oQgek10YYau zHpDbMQAX9>xz3x^mixX{sNfDw3P=$lj7$jL<_ik0W!*1KPx zZ$-JT{9z;J2Y16~M4zp6z;L2l`v-#4Kxr8+{~4r=AVp!B~fT@GlUoEiw0pSVck3*y% zFE-ZOK#||S1_*ioPB;t{OK>lVP|0Qx_}$$yaLEBmaN~> z#s-C`J5q!_y8jONGJ*OS7&&!<4Qr3#LuEO8IOB(?(=;^JMkr+BC;hTOqa&M>7VV_= zZ2#p~QcC^1K#5ROTsde=n5{4g&7x@?5fMhD)C5DI0!*}ob$@t{aBb#o|Il2{&FHvh zNCa@rZJ{e(LD=a;{nr~82R4`X(!P{gH#eMYU~>)95h2M`K-{-qqFW^&Lx zxV~$^&98@4GQGmW0JAw%Lo#I+#BVj@pu|z?o57k1lM$g`81|y4=u{P7LqMe7M z_EYE-YpwSyX-clozb9{yVRpca)~H8o!Tl{mhT(=`gZP_!wG{7=PO=lJP)QZK1b0yzP*NGc-s;dN8TesTn1WUpHkuZdthBY6FV-Q^u zoAB!agV8dm*#I}-_>WtVd!xbIb%yncdXaTEa(?0`m?;^%Y*+3V&0Skms&0DzdX#^Z zcm~cWDqk__L==0m54@i9XrDLtBwh}<5L~QMUi;R(FPq@UFkRQ4c5j~=3TsDwAFi4t zylzjh0rd6VTUqO&&?E@uvE&~W09iYZwa}iAAMLy!iqE!lJW5?r|W%3GfK`l)-*;9_A5wpiCE0hF)1rg@uep!}0y&c%_khDMKahjjMDsnjlYC z{$4wP(gm><(eL+0wn==+qNuWIaQ@jF2Qs@ajx(Eu2JbB&T~nJo&l%s@Y`yUU{26N= zjiTrfZpcIr+(S<3CWYsOZbIrp8Zu}0i>;nlqM|4J`_+G~Y!QLRL%m%UP{cE1?WIkrx~&I%tv{I; z=KzX!V|Y4}3vf;&b$hnM|IN91chVqTa^VvIXkt47tn21yPxCT>a!#7x^1A9G@oDnS z0I@e{NCo7-(SAVa#y7JORM%Ub68+t=qbQDpw2dNH%&dZ*nj$kJo<;rf+m;1!y4-Tl$F-pMLHROnhfdj%W}RXI@G)79g4&KA5}# z{g~a#BYFmDaz0Z=rnn(L4`c1$!f-d}Z5(|oA(>6Lv4PVc*o9sCnzRwW8nIyWB}yU$ zhTv)<|1ZY(EUGSflN>jW)kQBkf;rOCnxX=mDG+yFrmlzr`TCT8tceOY?x>ppc9^n7 zpP=;N_b)&7fO~Y7pLgVU_t+9%Ml`-g*=9S~mofzf_T<_1JfeQ0Mz^tPh1BFUl0NpG z{_fzFuE8@Ql;J=CJyjZ-SjHyhp6A<~H{ z2V7)kbB_j^s_yP-8y%+mPS7mEOXua~Ps4#Txye@N!)J6j?wz8T7k7jgVe-RMfagtQ zkv>iw2;>Vp0M|U+onajRHxLBOUDNQlM0c;uQAM~wU#>&CY|nu)cc8aJW`f--&kc{$ z|22E0UI?6-7#n6|!;%G%Vp1KZ@qkoyfY`M;no5EXF}HS#=j#Q%rCppodC^uFd$~N= zhd;+LUsD4NuG&S<+eJ-TfjVhuAxXkC%V<<5A)G?oD)nbFkUxXi>Ki->8#At94EQyZ zFWi|~4dO-t`IDqHKZ1Bd4B*L97WrOADX4yJKF+eYmhv=d`BN3_zg>6WDE*7$)1`&A z74SOpb%7x(4oKK1%gKx-qYxrY;g@Z<;hC<%B0ehJPP#RKkm_ASA!%|6eXPIu000c1 z@{KHyPfrp@UJv+jf}wzScg;~{A1kAW+7*TC5#2gE584NjDmllIvO>ScLIJ;1F%*R> zR|L30O>U@P5*@tRm!>JQ5%lLWOR)MJM8B2E&_fi^MU{sy^YmYi;r{+WtgnYbHfs{2 z@9olQ>-{0E_;Z(p*8?nb&8so@SF97y^;_0JY_$&B%I!}B?fkbq2r)pltV-mYq00q> ze+Ky2<7D8^?#YRUA>?*N8+;c!hBqx;0b3~SPCTXR4$)6NsHnvc^sF_!Xfx!WyExFW zFZpXPDv#d?Ot&Mw0evhTY2EsB*$(A4O+BMe1pMvUvl&+B>Fv_nN~7a|Riht&k=#f6 zsy6wFowAFK)?7Mo9UaM(FxZZy#_57<8^_IcCp@~0EeKSMKQu#V@z)T z^5QpJn4TD!2$w_KW~Mx-Lo2Jy#@)sOEMzE}0Zw6+s*K+%RTk1{+@Y*6a=f6X9~o&; z{&SPySMX5~bIJ7Ijj%-I;+2DC2YdSkG8W^>C6i$T9yz>}58*><$ry2D zWBSU*&>In$#JAa)f#1YIGARL}yh_H1_t}^hG@vh5@&T8?s3T4$&KMiaWn<~GW#uFj zu|_6Q3F6@jaC309)1~dUjC5pU#vPjANC38v5pa%h^KHiV21KDmCVLFxnvDr(Hpb&{ z&2-PkxQA@aQ!+*t<8H|qam&VpkFqiTmW}y186)o57?!U-%*OESUZKf+pNtV$?8a;i zGaPxm%EpXaHipTVeow~OSUOga$V7ZL8{-kH0h%DZzLuYujA1np|6*Wb0En548DczT zW3I>~&sW))SIL;MfQh(eV_3%oGU-P)W+9U^8#Bg2ChBre#$1?;QOW7Xlt3J!)`lxH z>~J_KuKMR}Opz@5u58R8y7II}jaK(L86#FU2A>1SWAalrrUIED`uhl(JOYy}aJ~@U z03%K=N>H0JL-~9On%S6~0VrUpDzHxT=_wf#Z^@Xr3)y9U%EnY6ow%|wK&yyn3ZO>- zGGVO(dl7dFn2)VKk}>@#K8ZUrdC10ujDY$qJ}K0mF$9Uz0s6svN3GK}8IxGG_I(JrcIO--XdIhTD=SwGM-OO+ zQD0)QPQxrB>cpPn=qQ*TfO=r`$^h2ySRxk%jS&pd&=NOd%FT6b?S@xdl_&36Hi3-` zFn~U5nv|({INEcAwR4N(=l+UPw5yOB;cTNq+>iY)|S~AJmbp7z&uqL za)3}J@=C|RItK*R8KD=fA+Yzee)`>S|L!bbef2XS8r+^slKldQF?C*0fd&EBFJAEf@LUszr1^p+=o$9T0bU|B z#)Zn-7Ccwm4WNiaB%hlmSpcY_!Xag*$}{eWAI4`M#A&bs00uBlbs!Xjo4^rd^>BJv zv|Yf+m&wvR9zZpq#~wQHbENA`{!*H31u&*K9)J7+@I%bLcz6dq@E}GE(+P*ejAKRA z+VcRS1&*9s1_1STl_cT9VXCyQIQqS89+w9OuUz&7Z$n&};a^2c2y1qDv*BSidn>FH z4riu!3h=oEa);nfLdbyR2(ujE5nvV5V6-PN+ge%?EdCpd7!XiLR?L#SzykIyg=s2c zsBjrG139YA`5ksc;>c+WTLIt%=hkTuj4%M+UiLa&DjLy-L;zv8urYFz4jx=L1TWM3 z%ydO@qxMo^H>}@rzymI999v=j#^A!@R>7+xXqbSE*u0hj{us&^y8Um5b@#P+J>LzcEed>vBFHY z)sB!d3h!pS%n|qO%5)n{8&F|L06VyjTH)t(lMdjMj1pMr5%Og#yaK=)U1fr*IEAZy z@Bth786Ds`mW($l3^p`rC0H<+Zn(Pu>jkF?0N*2+5Bs@JJCMDl8*RGJ^NLBIc?E$98x`V_Hkx zJPlMe@HF^l*mjsOgcfj=L<7wvTrj*;$uQhLY!izW;CleU6^^84(rVy!S$MA~*Tzi6TuQMiH2}Gq05|a#fX=`}qh4U5`LMvUA(_Oi7quB?#;21jWMLQohH3&)tH_xRiy#%6JfaLgTmI6fnc8Ss{f^AMvA}{j zdV7W~!VhC{x3F6T7l`Q)D#5$J$2NHGjch)+psw&Nr3kFF!7st_U|HwI;4C4Y(32@; zJX1;dIe7VspMYc0dQFoV{Du?xTTB5PHVa`KwyoZHh2+)qCpF6feG;2nRN<8 zqxcMFg7JRd;X@_Xvco#!NCQy>hs%LbpNW+K>xe^z4-91!O74g}H`~o+0&y8c0uqsc z+E|#NeZ=kXB!e&`*?pc&hiv#3UfNwUv*$(>4ePwgxx*-sny^lxM6gz|C`>F)oNxe= zpc$!dTeT|`pf!`YLadYltqswbi7|}m5D)CY;z?cj{vC%H5gR@af%87y?%*nzv&Hh_ zA8@g(mm|RD*KHylaY17k!MLE23+I$+IPD{l3sPc=SjCz4ZL^nE4PvDTaesCW77}L! z$4^OEfx1NicPvrc6p2>w02LAUz5~BHi!;*6ia?yN5dL}(oTlh^H?nUTbPDVU?WY57 z*4-5#9PA68EW&dGkb0(I;|50xL$c#4?qud7fB`_aWrMi@(oMMGh@@@UBmSDN=iwmn zV*D1Miv;kO0^l2bo;JWQGXgO1PG(r>F3kk!M?iugwXvQGJ0-*B%9sFElSkNPs{k8y zO@n!i&U}W0C1Z|T@3rC}__Xb?Gi^ms7MLBujzwGmk2LlQdmQ2h1P!+`Ovkwn@F;nY z(oYEwiQn~>7@5RDTsl};xI5fZnAi;v2ap^~1N)FFv*DR1OU-I`*#YG3hVul!U%A-Z zl-e^%QAZuSF~aMHuP-=z2!4G}3I|`}yK!N#;n)BmINt7^Wey$TNjq+zB6S#7)T*o^ zsSOJ5#O#AXV5Qx2fNqHX;WnL{Fl=~rBS*g+)=P(#5>UVy_@;gdjRj(_1fsWG8p2~5 z#6fFXS)biU$29}+Mm$jf%(AnWL1^FrH>Gal5+Y;iopj-h0KOL{vbJDgf2Y}DYO%L% zPWY?<8-X_ueIgFueSmAHxu|ia$jN2y*xgOmEU><~ZH8Qmfmow&xJrn2{f0<~wSGod zSRsU)sm5pvvm7hL1^h?|$AE%Ln8KP-RD#JOLT@a%H<}z4A}u(UKCxIE5cY1ALFnCpfb^~d zws}V^1LK9i5dae!XSRCy*quPiF(=Qc8GnIG&z$S%@W{a;{Nd$H2xv0wN-A(4071&N zE}Uc*&~cj@%*-6^czC!K1BhARMF2h!EhzXmoT3TL3x5w^1C!8M`3~1G=<8qpL(mWjGM4S0ZwQgUG=BVZ>GPD!l_B83du;C6DNUB`rM5krW1MxmBux z7sVLKW@(xIt9`=f4WfquJ{UfxWF;|SnJ&)Mu~{_W?yNYu^A>ZSqVU(oq_>S3cwPY-3NW~^v01IcD+@*`CNd@ z=EQ;o94lq%=r=ge#a%tK!g+D@uo8%ifL}Ho$;bYK#7qerRC>AgA7C*UI51#JNB=BTAdGh?N$OD=lnTtS*sJioqdcHqu;hpb@udW(Oqp2admRH$#8Q35ypDJ%oj@ zKxa<4k)fAy*6|-E*@5Eq>OTNN@5?0z0AjeaK5$f&Vp5nTCcTQ)tN!0UXh4WAlb$s- zjy2pnzD^7clMQ=Ke7719HbiMN_=%NbjtBg{V04tDKltf62mxgRwpP5ae?oLWS4s@A?pM&4p#~VG%9B8pFc{1C)oG@?4}IIKVx?vEpeN zSm_LNPFQN;5UiD&Cj00^c*p|}#=X}Pb({E}u7)E6SK4cd8WC5gcgZVe5;#rR79tzF z;Ywo7u9@IIB)bsQkqMrUT?qY}2|l_Iq7p}qx?FjAZ5&+)xGjq=%S`aLx4gO#vQF0; z^{oqGm^@|{e8Sz#QFW5CA|``n&wy2hnNeQF(%`(X@32o2uk7Ho3z=T=)`cK9?i64b zYt%6@lh4*7*4LQ{zI7qkvABOgWC&5tOtA9Apu_o<2^LShJf`wk>nIaPj74e~S|*_s zEK~#>VM?v;(Y|X#5Qxvn!P}WP#+5=GXYFMl0dRlos}13-QO}c?rC6est zB9Ph!E81vFRv*Hc-FpdJj0guFoA`0%pcTAyVPy^2p#X+xhP>M0xFH_zq;t4#Iu4OG zScO`&hNE#}FcFKe6w8aKYxA%pXB&bqZpS4~Fh3iPIy(vg$8gVZW?&*mm*oE@cI?3U z;`|XySk?h8Pyq9m!NGE_z?=Ps+OuKG=FRdv06s|^{EMTjlC=zX zR^HK)pqn(3th_BZV33Y)X1E!QgU9nS!Z@=f0k&vj?HUI5^PX0}C(|Vs*?| zIASvcurr)GJ{eXUH*$GeP2$7G%=tdFN=>nfg)4+zX8Q)94z|2$1WE{)Lb{OW15_vt z;{m=@Il3-MJABiYFtP;_ZWr7p01+(etd&++IAoN*}=#Zy-&bq`#}*L;eI7M4;MtN zmIN}4-NDYoo*)iZ#lSeFdKAG)yV1;<5+0kdE8Gkmol7$85F+jot1tk#I5O@OF_&SH zHvn!h!|etEM`IyDIwdt3((JapG-FvRV^PZhs)~D)g5UR&d_hcN*wjJ-rk$J?IFb*Nxdr^B8r_?b@DYJb8)lPLp%L)RVs zTwz%p--Kv$G4{p=*N+9Sh-UG`iSgc|n;g zHWz>y__a-1R;%o-DRaRgyqh>G})A>x=`0mZQ}URf9y4%Z$I z6woKW#X~TWaf_^Ks7@wLk*iIiWzVu40$Buz>>n*ax8&@3AYk~cu`1(mQ4ug1c*tW* z<-IGDacis9dlFy$0f+nvhlCyBW6qvJw0Bg-JG;B#8kdV@uW_7;6)q*~1veG(t76-8 z3?y=O0HReIZ^Qxj(zwyX%pDFCh@>8s@yN>fXsTcXLPT)Yx1%&pKvc+i+hSXN3bsf% z9~|)1&prhj+9;38_<(Q3ovn4#eu{&%2arS3o+@}FQ-z?2}cfK`!f+qD29g+8U= z8Og!|$c7(X)N$PY4Hw#MI0wSXwr{v>IN^v6h+ycT8rf>J+k=r@GrX3!9))Y>2j_;C zdiZ1o&Ppr@-hx)W=z)v1GC%dq{3xs!E||nCg|xEhmlX)macwT{I9s*kDe!!}U2&0L zO}|JpaYAMVU~)O^ur{pm$-XOqD8rA|z!;I92;V>1Aq-C{?wKFiIu!x1FT2K~Ga_N# zcus&WEX8DR?wR=sEA!*w-|^^7!wLpgZ<0T(I&O%O)m?l-v$&54Pi2B3nA4F|-#c7+ z=@g$3=>~>isaNucD9{gt69GPA>q*7F#3$M^D~MO%u*t5r{!R!KA7G)p#3$hF5z5gK z?7)+&qO0k13HNBNi$gT*;50>KIe~q92uvKgKYJzz^eOlA2!%2Y@eVKwD1;Nm+z-61 zU=e=hehLHC3e+)}`=J&D)*inO>$-bfGe_i_iW)g^#HTMmH!tj4}b& zq`M%2b9ej#fsYwA;ab5Dwe3s++1%~E8=w~0I)GDa1iUPJ;c)6JT;IYyt5Jbeai)NZ zwvQ>Gd6%LHKCcvz+2v}5HDgqN&;dV80pYYsN>M00ZT9a{K;n%S#gPJP9)HIE;+qsj zI8s2;lZu6t`6dM<2)*I-*r>zyd*=A?+&g=zV}6#Rs7s0hp0+CH{&ALD>WMVOB(_lC z65TkpC>~JHnFG3_9_7iP*0@~p-ll*f4^$C@2wAUGAuHM3&ZAF(ZQM_wM}4eB!5olS zNuaY&A?hb3in^32GFGLo91t}}mJ&sOmje<^0#S+rUjav6(I*ZAT_5~-N zN)(k1mtN{4y7m5G)>ZKz8MTES{4&purpv zD?9>b-&2dC`fCnoz!tp70gZbO2(AsL5})G`m*+N(7wpYMlzxg)966xQ;=@x8sGEIb ztJcz@U=Aon+#+)M$%fEyFcwSLQ%KlFGFxvsAerlo6Jabq9?lpM1TYWva}e`bf-uC2 zVGD>&dhcQa!NC}hMS!=!6`H~larm7k1$P@Z2eDp08Z;>kurGHD3{08}b0O4o!S6K7 za=^aPDOV9Y#JEyBdG)n22#ws>>ariSt1NshmzW(~_ zAOGl!bid2rfBXAy{=ZMZ|K_`|BS#eGQ4uRE3Bj&q_`E@M zdBa52o|wqr^tc)oADn4NjwqjcT=`xRW1~xjw?=?CVPfEb25z5Y8(abaFozks24`5<}nEys-<Vyto&hk5b$GHPU<|5kfH-lN)a2@H z@#gwy@?EfZXZt3?P|wUGa5JH~Cj+ZFmYg>>bLNdi;k=47OwKSZWC$JtV9JHqfcTmn z;DbUa1UY?N*zT>T!R2FN88(X4J)9LReVqYUx#+GYq7Dm3&j&FsS-{Mw#i7ZCZA?dw zs7bcjdJ>@6z6nFgdKggAVggLI@2EZG=T++8wwk$pTo!yqG;;5gtUcBnAVY*trYrjc9g*=TcOPV*Xnj*C~RN8Q!!E z69A)#*xqkfP2}i!7<+%fm5UFz(s(^FpT&tgj!^*u{IV+@nAkk zlR8ISM17?kB{=}zga?VP#&pmX7uD4z0plGIIZni$a1X#m@tO~6AC|`87ZKrN!S3lG z@jf5a+Z`9tH3fU%qIl$kuBEZ~DA6U(HVJR@%B;e>FkXT&XSRMP@sYSFvO<5RgJ9BN zQD7CXvQ4PQ{ zGmMSa1_Gn(&*GSj2vb=cL)3)}3oFlFZk8M14NO0(V{b$&TqkP!7#NLym*^6VFqjRB zkHDxqU;zLlB6B7%w;~m<-p*^!jtX3{3fu!=gbM{=B&e5QA4Ixv@)CZajve6kD2}NS zc6SFx55+Nfl0%~lm~)AA$@T<{u!1tN%uS81;n~Am7cgp9jjp!I4G-QBjKCuZOJoEX z2`C4EhiG>JqwNZe-WJCY#puW4SSK*5N+3)-s$+BDRQpbG3c&A3uG|*cz=_iYJKxAa zq*X=0T{@08yx3sN8`(oa;L^gr^gK6D&IfB5+9WbWFWTr#yi1fh3Zao}#%)J^Y%FNB z?d-1%h~qrsDR`c-a!0lnM1aGVx}Z@lTZf^n2Q;z;(5O(k1JG!ayORu=ougHO_aQru z8R94zhHE%T05@Pg*r$%@q*LMqOR21{Bu`-d*#ilvDJ9k1NOu=)^>R99&y*!N%F0u! zOFK4=;wo1XFM(9m4)FX`9|Jb}`pc~l{nI~ui~oC%hlv z3&W5?VbYN$+TI`{+3tv_vrT1{7d-GLaVgHrAR^+E-Vu=jA_CGTAtm{~5D^hi5^P+o zl;SWjPbvTr`7KR3)=II&=q{AkP*ux}h*55#oruWa5fQ@@A|ihxA~u1?GGW2WT!_eq zD@*8#h;*Qz(3G-JVOb%!1rZ74qTX<0FA>o&4*9ka5q0!3mW39f!%_w!E~iy-B>gh) z(6vVN(XNOHH!rb7a3UgRyYK`!(h~p*_XhTHS|TEHZ%NBM8AAO)M1X%zICeJAFkE<2 zw4hZXB1#DNZivXRpHdITnG?IrKD$0%AfmYt5j$xH`$_ORu~*4X6x&N8OGuKW>L?tr zrOuow6GbN?dQ~)Aouhr}c*O$|QPRS7AR@#&nw;Mg5wXT1mW)?eop!1niWZcl+$jX| zoG9{7iK5})zIypAB7$iz^Nxt%K&aCbG`3l@;hVWnydg0W0eLn8$Bvl_@`SLg4qHi% zUE|RL3T9b>ElZbH4bTCTXn;ia28m#b*^g0xT@@ntsTT*`0Jv~nLcEp82#L07mZrtE zM20w09gxT_NF-tC2#LDH{snl7n{4T4A<iH28 z^&2Eg0ye0@Wv?igDxpBv#6L9N zo}EvI>_rc2r)ojGo`{L+8%(4ROa#B|I*Z&mepHq_F_C=2ME$@-;Wc6COcouONU%co z0u!~>I!aLsoMx}<)-x+)IF$<%k)~M-Q#(u+#fw-4GT5*OOca1O;0^caTDlX4fQj0N zm`H5?#6;Bd86RLGe_*0;*Da;g-cS^^XbMP3gdDiwud|&q9a5(4jd%uv)2i?m1k=7zRy!bw zqLQiD#w+!Vu*5UwgLnp|O!2p)yZJ`SboyolX+!NvJtOXn)igtcq_RmvE%6Lf7G*aa z;u#}8iDw9Zrwz%wdPX41f0QznqqE75;xPzw;p890GyK6Dvf~2?lmpp(e6#51lK2#&#iAZ7ZhShjdW)VY{Cl$89z8=_3dvy^GPif1fUFaAH6jzk$b z64CXQFT}K|4+VFMw8vISc?&9M*ejffiklah#%@a&hEk>zHset|3BpuapG{9BLbi5JI@OSYH!9zfFGs%4|)#NWrnG(iQ*GrFU!K{c= z$`q@(QG}%JOUiWo04dXZR;a#J&$w}fPVtOG%5*I847bEH+9{r)FYO^Kw4_WK=Fxf$ z_?=VA^rd*lUCI>kZO{y|air_4mjPq^UdnVVDbqu^{VrwN-&2IdODWR>0kKquh|Tw| zaN9T4#-%PPQ-hTbW`-vLiyUnVwTsd|yYio=wy+8Jbh48%cU2(m*=Wc#0{uSZM`xCYe89uBsC&Nj!= z&M?JLJHwXjBpZznDbvKdm34w1;3}S>t6AZQISY@O zg83+(ag{RlT~*lOid*5IsM0_G=`Vl$^_zAu^@rbm`#)c#eKghah&=#Gfb-xpcGyMu zICDTA(k-u4=>%JZKQOG%W!UvCw-fSop7ZR^q)!-2DGa_WlX!YIhK;&wbEvmB7?c}T zI#xw-&8vW^T9C*46Y`u&VI(dt!6Yoz6Xa>Nt23_WehR6GAdN3A1%U z9{7Ghom0fF7o9Tz>#P zBvCnh`IBOprI4v;hJ>qrfSyMoQ>S1V0ea3H8bObVX@gaU-C3aL5HdyhEsMwxTxokq zhJ~^~k6(&m?9he>uFOpgJ8{flehtD1|45b=A4!HCpvSN~Y@GV-o`YiGwKG8Ik}|cd zXTygXP38Y38HVr7bB(9z1U;*r^iT{7AA=rPJ4(-$AXuM;5p7BG_!9Kk1$ubd0e%m~ zFf0RWx_glA1U;|~Feknjd<=jKCA15m9)}yqK=r!9ZhCBpbij;28*Cc!7g%vT9qS3C z2=y!GVR1f@)=ByH-f`A>%Ml4$ua_x4@;(RVVFu0QJ4MJB>E_ZhB{Q0NA3XYd75c(rFZ4V;WLXqieljT7^Tf{4x61Si-M8{Q2dFi{U|{iB%aQ43QiGx{Cs5l_tM_DaB=sAtu} zZjxbhkNFTYJq6rJuY6!eQ$UI;B{3A(cPj(?kO=!+84Cpf@Cq; z10OMn$O8^{iJ6KkG5Qhp(1u{mfp=ng5O8l}r4h+~cT3Ea^`g1MATL6rKT!{skQWkX z4=8^Tqy0_5eYB1Jzla@ir%%Z+yaiB?8D(OLH|?(i?me?Tg?5eF4Lj4?&yrzOF=E0W zzp_vdQ_!JJHw4gYnpE=CVy?sqYS}Ev19PNtpQzp*mwG#} z9!q&XBwaH5u&I)`)n$c%^srq^`I=ukgj#kP8-?}MFd*%w-oE=Svm47iD48zE^W&d= zvHJBl-+cP+EA{C&|Mc76ysCgrn)0yP+Af}iptM@#;U-u4i)`K-N!t5^5EK?6Xj_CJ zcrcQrUzET6l@PQ@(rsg8%Mwj{EPu7S%3uD0B#kpbLQp@;Uu2GUHUj8;YZ`+CAM-4K zg)1RwwcAlO?jQs;#5~yd%*K;N2x3{{AOum342~tvKtfR97$v5cFGr_89vN;*bO2Kd z+SQ`mR5fpj@LDqGn?v1L?&x_ZP+^nAoe}g<|FS${ixITQ`t0x0(qRcH6*8XcUzD<7 z<8}^w^swg(mJdN*pio0J!f$w73MO1=)^H5ks(+AP|Qa37|sqBORjnNV3 z?y)->=vF*;$iw&sQj>IO*ZNn!*1vFF`N;ku^#ccj2Zl=!?8ar~=Pe`XtbdJ%`d6VQ zv0eQDoh80o{i_Ud*1zJ(2#RY;Um;PNgzygc15k!VT=g%?{vF91cF7N04@MAx8F0`C z$)BN_{JAoM*w(-aj~s*uH(amvFT?7=E)L~XAVnn)Mo^49zbS_8!}`~n($~kW{?(f3 zW9VD`E6fK*ki9d4fUMS#J*p8gk>-bn2_oYx1C~kRJF|*kj#42~lAd0us8{i7@Q|b^ zUx-FhwmzmegrMECor4pFL}c}g<8WC0A_@v51i|{9gdl};VIEM>3qlaWAfXM1B?2%# zSHJ3Ks$c!N`b7{VJ|+aM>eopK(ht4z>MbG2?Ngl9FTbi^2&H4jMF+?W@>TTzjN{6-Fo&BVJ6~DsjmInyB6M}$&#Mv&->?P}QyhbDhu^<5xU7OQ> zNovF2#?lW$kPK^-oyJSRVvt5CjJ>tX-$2lKAOwx)nSJkzU#s>dUI?eM6&ik#ZCF$_ z+u9ON4ZBG!e&MXMKRoOU1la=viRa>19^J#}^qmUykHxRg5rPmih->l7w~PNw@$0Eu zJ`x0R7)aZbL5NVI$jnQxc6kL5^hgMDZ>RQ|hvL_GAOsPK--}-e4-@ufF1Cq{ix6Zo zTiYnPPU4xOq9NWo8R9q3F@lQ%04QWmLJ+&{B1Hh$NF+;!Y1OP-P%*`ugAi0)enkkP zNGc`UBJ<9MosOMM!d3jjBjrU1g4dhZ;@8ZpVLr~fieDM~?^nY-;{q6sDF6#U_QVtN#yIAi@#jU;3QvR11- zb2u^Q$WVkN;#9%PNLVRc7Yd*C+jQdepbZ2Y(OL2etN3N$tr3>+jYjebPt3W_iwNzp zMhR#EE0ZV=6fZCffC8(>TkLl~}l8 z`jbn~!A*+^Ee|Gi|NJPMcvT15VYgs6yTsXHoueH2A5Lz>5ol9pssky+EF$JXvt;uc z>q-Jr%m6;Vf0+L{q!09@ir3=(;AzEeJTZd1eGz|_I?yV5l~N_e5h>!p?O7t0cx9Ho z2Fcf^Q=STE3Sf+zrn{kV9vs=+xQ!P8HlGGe_X7ty!&%1NYAm#g1O8`by-S(fh9$;Q z!pGm6;;(axpD+(X?xhbDmOju`DxO1u@%ctp;aj>khsh6`!#Jmy)h>9a=brfcoMLfp z4#Q?nxiF8u=>z32&M79@C&Ez+id)u2f+pj~$i=g7@8%T4P+ET@K|3cqLZEX_u`aj_ z@0-Ko;|!a@88#fRL6Yht=J8}f!?yx)Bn=~q_?))>98+B1G>3fvdD=}NXr+C`mG9GT z9B9um#rtXwJ0XvKXb!8-&0)ZO624x*p6vM5+Rib>m1BxKG49(j#fh`B0JgD!UTYY! zc}G0rafl59K@KaoZ$30xaxJB4|x29@k05^PE%+M=sW=;(C_|>UYrNA3+Zu z=a2}ZW-Xiq)nPeOd@Rf(uI*v|fdyU3_-O~|VHstC9!tiL2k4;zqeRf1@WUxn0}xL4 zd9;V6o)6HoA-adBT%EBTRSYO$jqkSGg9W`t73=G$Vos7Ch;}$IH9O8AgXiu0);PzW z5<%^Tc-Ew1>f1L*JCWID64^g9@X>YxZFYwek%2ojS`Z0!VQpF4g9{u7~%(r%3^W@CY0ql$}Agdb;j z7@My%+u={uVYQ1X z)WB+&+13Em8^H$`6N|g5U6jE^2)?Ra4+I}`R=esU3`7R9#;JQ6*QYqEIL8E#bFX%> zCI*n=SgzK`yuNas*Vo;>+NC%}MkrRh2sjCRI?iX-aS?phkUWID`7$f3T_d=}Y8U6? zP{^mO@~Vp)+=Js3F11^wNbu2|dPR+BcDwOwjbl2@!r*hC14l1B*1EQvxsf#O>`K$}X zu~IQ6C9l(Q6rN31d_D_t@mA})5`5m(y2erK8qc+^HfpkL$R%*m6w#*;^s_L~M+6^Z zowegWs~BF_Xh{`HtRrU?Yvu|7af2vpRx$gjro!PQ|BIjtF)9D~is0kl)w(DQ)LHAo zO{u3a5NlnWg~nQ!K5AW(G%(2llB{*5I1NE5Tm>K;{-l!NgODe>nh?oSCpz@bzPzmZ zno%t2$Jr@f`{TeqxO%{1Ki83Hs}G*jrvOM;`{glyC~{S-5>~?k$REU>O`Ze$j;T1u zz&-?N!?O6nB3EkVjsDLEMJ_m(7gKS>2SqO2qLj}f*Ez87TI7-leW^=%LY-CP5^(fw z&94tk%3=Wk0<@}Y?is=&=6B?k*z>N)RX8FLPilqT3L=2yK3C-Gw;~rV0<+imsW?6P z0JAHAL3ZPu!RM~QIPZ&GWa+inMXpe4i>q9Jam@HP@;9R{}*R;jc2U0idE!l&qXd-ABtT5R^(zy>b?5U zs&R=I)Sjag-ZOvT6+jmL5hZ)}ts>LN;7TWZpV-G5mv|_0DOk9DXF~gFE{+@PIF&{1 zxlim1=liZ27wioK2oi0=S>$?}QoM>>jy)syxj1c6dl2sqJpoQw;}V5aTy3CmG*dF9 z_Kei;)E>q@H8LhEu=!_^YcUw+A^wvHbp%I`4c0FmjX6~)t+_M@7+zqTspyswuflQ! zSb`j}o7*ZZ1?)2~&zLxhNep$2S8E(=7bpmY=fL8#O_a4@y>bX`+puKH;S4a%t`U-I zfWsaBzQeli!VpZ6OtdEHvAiXxS>-JbBjOu2>bDHm-2#W+lkCtr7%bihJ*Ms~a0K0D$SC|vIN;j!K$scl>5dhA}8E!OldLM@pOF}^Q z(e=Ch;e0QD*zWR&`QY?$BGU``!|*JB*r^&1Q)uq3?F2b*?IfLG{CFnc1;RdLgbsbT~?Z zO%@lwRTYKGuvg0^d0qs2@wHGVzHy|XQaEJ$A`6$PDtj6?lea+qE{%_^tY(e^5URr}`n5m{KQc=}4`F z4&|P4l?ZZ(E^sf{+zF_!w-hM&(_l}R3aZJA%gj4tM1h&63J4j}shYk75WA5T9;7*f zmt0DyMN+4#l2lIV!=w_c35$zIeveqBW?+B~K|I_Ivt^t<6`5Zkr}?S^ZCTl(sm6jL zOgp6y`&ro%cj-g+NHQ*els=44(1&^K_D=ec%7=ofsUISJm>)UKZE(a5uAZP0H|H3~ zhlzl9=|h~K;u?jes1W#C`cTf)#a;Ta?T*SySYGQXeK-(A-lPxT%__c0A12)RDKy;j zx=bhbVfKI3MuBN5xZd)`z=~$Ob?cvMTmFIIBVI`#u5rbjR@@Hk)1IXdaZdB9ZH*;; zxa0dcZwj97CIy`z2tFGwmR=Lm##Q>Tp3;ZOP_HU4=|d_X3R@iKHw@0A)!H)#%yvm1 zw!TrE>5cRun*(R^OTt4Q#Jud7atsdlyoNXCdO z(er95Af*p+9kD%cqz}6SIxq{aZ{j``us=y3BIu!yMff+a#jU&aVP7>jQ!DP%k^vGo z=|g~1hjpddf<-N`{by%aBKWyVACkwp>ZJt0F))D(D~zb>KtGSthyFqO&{IH%(uZU3 zmbBs}eF#tGve_M*t5`QT0+3B3d}B<>tjb2B{@^E)0YU9U$pL3DcQ6bb?dSSZ=p^Tw z%5hdTx4~=X4bO5&A0k@8KP96(IwUIf>q6!sbsRZ~+h%GRwi33@51eK>*PsVPdg72i z%$)y*BT1o_PR%_WBm9dWMJ6g^kxGY8WF#FgfC@0)*!+Qm#KAM1H`VF20LH_rJYemv z(ud5fVzXE%VfP8U5?R|CULErv4Xnht!l57k>_@-+$(vyp-+uS)?_UWYQWq+(0WYhl z#jI_{_m;qEh=4+Y-6H+U>A4oQ3KKqTX*aA$d|5rK70TIQGJ(qo@@;qc=~H9|8mb%H{cwmUb4kOWIfC>MG%tNKE{7}?lZXeJXzDF*Q z9XY4rp{R9mc|`QrkrxV}*shTm8cPH}4UnJwg=C&yIk%48^in5zZ)X2|QHx`cV_HQm z4r%PXdK$(GCz-x3lYkCO3DD;BHvSGj5C39HA!VU*H6(RojZk@C!qc%r~_y z;c=uXd>9n~fk#xYmhj=KZLLv$mgH6su(;?vnbLg}KIF7@8e}-)tMK7`<}|O`)~W9U zgZ^CG0^;d!Yg_(V_%L7feGK8nNc%?dY_(5H7Y0fn8cprlQ~J=~Yg^(@=y7uQk!xzV z)ys9OZIzSI^H|%OB)FZmt(EY}i@UUHTX)R!A)%*SYg?wlWivtFU|WhH1!+kijy3*b z2t*8~p?5x^WUkRU8-0H0ov-D1he|EFuI$vvBxLtUJRohYk zf`L#l9ks1?)V54j0&B=w#M>B_Lu4aKtdUC3lyKh}UIJ6B0T_2aPu$Py*3v#igg!*X zH)@iKa3M+cLHuP`bt~Sr4_9@IQ!kd%Gn?4~%-X7M0UuBhNZ=OoO&m|BX_apTbIO?~ zq$mUH<0Mi{VwLz2qOsp@a1{Z=Ix|44xP4Q?hk5_6 zzxd@ZfBfUGpCOO?!>8YT0_u6iNFOIqyVj)Rjzwa*Pg~L|8uQ!)m7zEw>EYP z!WmY%yT)vOA&9LMC6K*QG1@?p|j_@T>^sI8Nj;`^h%5`*f z&8LnoPM&s;Rj%+<<;rYNXa1)>2l}x#m&j z@<)|RzO8b>iD}OB)~m{ut_h&=22Q=Uv72p`lhUek zc|-;RH?6PKPvkQh<+c!Z*0|g_YFwPEP>FfQwZ?^O;;2l;KCZF!dv@3|glmL6`wq!!gO-TN9>dj>tLkqe;G z@8e$M>Xx07racQT4F~Vx(k{mnBRzeCfpP=V$BUi6S`}(^Y7h8&6 z*0=zA-qpC$YUBbQEOl_gg;%nija-fK4#&+}ILn6`*Qxe=wy&2R4hFzy(Rpq)uKuQx zYaESS<5uHhr9oV4T$S^)&j}aLja-tAT=({M*ld5)xDc~nyVu3F#>MAZ?dyZ{ht5W> z)xMrt<7)RBSNBJa3*pOIeS}?AP#rwXJ&z%Pj^){R#5E6rDsY~&f9=*-TiR*`* z54RMf;GQsiwTIa!(pgTs3PttWl-R$tcoAX0<&7Ms@$?3w%em@T7x!;#uR}PrXtm2u zVE9emPYDi-R6bxQMPAOR$3s*;JABvLK#{537N}!4`|9`ewt-7-E12(}=80H1y;f59 z=VIYR5PG*rA_&U(hG5^&U=gj66dX~zb)jZ@aX!AEAs0CRClU zV%T`W%f!05;HL5~An$kUfQHoMuyc-gkUX{r;JDcuHLBp@>t;HtdOj~PNus#!AuP)6 zj}Ukh8^ldl*QYu%R3eEl7AO=@%`Nbnd@8X*`O|XiVB{9x68G@U&h{*iAv)wjD^2PP zaY1st`DuuU=^g!IAKNC${X^*D=V?q0|ePtJ32)IsHu;G;kk9vko`fa~FE9M)i;x?a6Ur z7~8IExpZq@>yqF(4)Sb>hoC^yrhQubFA%Ob$>KyGKl71@pJAo*lKnK=u{#xLhh|ZN z!X1t$b^_Yr3YcRv!G%Ih4YN29`LCI`R1M7r=QqENfoyZVP$>%^=XyZvF9Ff!^u1@4 zB$E)F^blWsr%g^zZkmN#7YYI8{RQxGV@;Vcfd7iliA2eus4Dps)gLp%(#$lHFa%NV zC5$Gp^zJ3Zhma_J>)rA9$gShYB14O-CJ}kZi}9OO9}Vnci%o)Q>`yy0PACX|8(~aU zEZoAkk~(rs?F~hjmT}iqoxBDMVr=P#7zMMeM^#%syUEMis<;ciS>8URu^G7wDI+fG z(wiif-h_7(^&AWI&ywj0*{fyJd%DU9I;s_{Y%Et>ZNfIBI;7T?8cz|aC%mIytYRap zsH?O==M~yjXppv&7JWbl%{yLzntNyKiY$XBq2N^=dCr-5TEdUK!Oy zeeOU4qRr+*<$ps$ojWnB@B6%Y^bbZ*cL}~*!vsL;CL?$UY9A0gW~+?2=)HmUp*%=` zLd=OB`xdLiJ2Gd2gA5T^+!~)S?qw*iwGQ;E<{*>pcR{FIaa)k#T&QL1}^-_QKm#Lg;CNbYH6`zBp86M!&{Nd}8$TjOt2{p+`b%ZD*b zqZ#eezHDusnprspBe~dko}fhM78%hz%A8{M#7)=8bh8eF1p?gG-i@tFi$X#UGKK1> z+>|!p_N>1Kz{<`oj-=vLRKAGp+rSXtvXFj_J=cXk->$DEd=YtHSaj(#@ctv#ocBF- zv0+Jf^wv~vAQAbB^HH_dN8eAfG<{x3jLG>zt1`<{TH1jze`B z!NG4(o!u<%31!4a$chCzQVuJbi~v%f2;WWq+Z5@odoiI~^iw`HG%a9-zv9`ZVGmtz zCP{$)j_jG~Gt`e_&F@n@NY^30PbjyQyUOIpZr*bouF0r#9$2Skyou4}9a5Z~stHaJ zMsva`AHN~GA|ib6B7CXT(BKg~oKLcQINkNXN4=t6Y8k%yKYRx2yTqgnRm3DJjIz z=*LglsGFz`>D!D1BGE&>^%s#MhLS#lSGR06N)pLL(NY9z(J8#2KalXJcVH`7e{5f+ zuUcfrv7zOcI>E6(b$LMx?Z8IxkrGC{m8j`1>gvJ#WNd|oL@Ah{lk>I5rdMu`2^>Bq z_WFmCR;3$GkrFW74DX`CYNN+5rmZGbUTm2o1_hHcrD(kYggi%XUDwTx>y<>HeFuTQ zs7M|s=b|dUP(o{UPKh0{j$yEeTZdXk(rL8;n)BF&uF*oo!hqnDkXF)}4&6$_xfHKr zc8ISKjL;GAW=@*g$t{$027fpi>M+Sq&n}PX@UrDR(k`z}dW+j7zci09A|3w*)%VFp zEFlm^XhWwkv5uMxsSCz8U3v@W#+6sIbku&m!kX5TW`cPyBi}|al$3e+$_xH5OY?8v z(>q{#wuUgm0;iI1U!k5EQ>6!iA&z!>rwibl{eXG6jG83=4)8t3xyd9-(Gv{IYx-CS zvTrZRO#{|VgTUdR!C3vxYt-q_CZVLq4VX7`XLVm|#8faK@ItQar?~?&Ztk%D&;dic z4XWI-yNri_wuKIpnBMT@&XEiKpoOjoZX9V35fzG5B|)%4t?Eb@aK3{A(|t&Wond)l zBdg=5kCfxCZ;2;83Z-K5J6vNa>%pV}!K6!EAT0XItmx`*Hq+1-Vo2TJQp73X9jHphl2 zF%w38k@r27pvzev%!t?78sUKZe?fl5V~+eFrKm60``+4URjWV8zMuRqi;JgB$GYBS z+_&o%BvQnUKk)Asd_G`HXtl@-G|dwqpCx5enL@rc?Ze%_)G^v04Xz)-%gTE)oF0WT z;pJ*4gN=;=4TpA^<@MX|(5wF>5P%O3iD&EvK&)I|My$V{K`q2*Muk@uVFalXlb|NZ zXU)4-H^uzkY$y+aCWe4rU2&`fvyQfksEFAKypBD2z#7)RlpI2@4C9VdiYociW>K*1 zweS{Jz85>NlSuhP&2CAaXW&;~OJ9D&F|OIqz{AVERDP5-XL^b&OE|H=n~R&_8H5oo zqAGegRn=MFuI?2R4Dw4EdvImJ5f|})93O_{?1J-()nv~c%@g4K{>d#^Z=?%~PWg=A zm@X~~dSf1D8ne+JUdoifGwNWUaDl&;+|y29Z#g1`l8y$KyH(kyNvsU9m7V&G;qrZP zwIuNq)cZ3kBQ@4+u(1Fo1hT{Kgcah6>#Y+-o0P&-D7@gC-ML*q6ekr;hoP}O&U&TxmpcIPvnOJlnssL46e*h(*A+!03sfkEN8$^7!RvUD}H~aahDnStq zUEsOG?Fzw{cYXh(sMIJc4^3<;>1aeD^YP>OBHqkRh2*^~D<%XTZu}1UI6PBYMXc*$ zD*ib~N4%1E!#6M*_4mj(wN0KkE+{HhF9z@0fulDKM2B^DWCI=O*2+__20L)RXOt0P zkMS*i9KCwjsJ>=DXgkrZ(`(pNddLF5gOuSs_*hl=Sf?gaW#IklEuZ4{*wyjbH970@$Dr0*gfMG&Y3n|s0G0No|tiZ zSD1%z9q9a_>nNSfp^fmY31hlLI5Jh2G-k;Z>UFj$!N)N(uJYKFZhu+qUZ}Z?#KV}W zw5kJ16@FHK7-0Moo1V41^Cf9}*{kLwxw{IX>PqPX9iXUO; zJ|n;Cv#OlG_k;FL=Nlt!)T!VGBS7ff7}ttR1g3eDnp39T5gaOd#&SQn09mB3*~eQI zxufGV16pegyvclWArE|Pc`(u={2E#K2^Mv?l|H0pq%nt-Z2^bwrlhC4)=utgfWF+! zD2}n3OqrA1esVdn42`VTG4>gbeLZJ%@0r9XV35sF2q<22s%+d)+pVH^u1XWl=!9$j zI-%>?Wbfd;>;dvNpYX2pQuBVlVGZdj-*{mNdWv#+o2oe_3w%9!Z%IY&dOgcA`JfN) z@?$i_f3KK*hjfBt^4R%&8mHopR? z*myZx+;~HRJl?T9c|!s}#(g?l*?1)l0;zE91(k7XJSZq}?4?}6it+49M%m9GpC@+T!FDBY2eKTEBJ>lfE_O8UX4z%0=dv8dEG1dIFF)V& zIa6K_l=lLE8uMx$f12yi zsqm$fjYq|QA0p#Ka;N4x;URLJdmKkBA1zIUA&5DJFB}lLcaO`g-7a=v4PF0|klJGp z|B|O}o8w)Mqp9nxJAv(|#HqPA1Yr&TAscs-{f`At+Jr(gp~+QuJzfSaTn{0;8Mz|8 z{lZwB=3SpB<2ZliyC!Wa^Eey={-$%?ef8WI;)#?H*(Q7fmZsKloI^(iKHPt_%E`K? z9(NsYzdx8pn{2#R_B*x?63GM2I=&S%`)6F3eMA$4aSXVk z-*S3QyaXHkko3%r#I*B-8#MR)8N*>`v`E}%J()%3urGv>q3|IgK-vfOqQN>a^JF|> zFpKO>js}p2j2;|y_ z4A3M*f~}R1(LSGJFmw@uf-vrr?!l^y3&Vcg<{d;JvYJuZkCG@^QCSD>SYP}nHDTf> zH-yi{E5pxEyjLH2SaNDT#u~)HsKV|%wm?6y&--2h+4jHwXC4M%FlEofLCpPIrjN*Y zz+se6whs#z*5d;i@l%f?5Amw!A$)Jci{NEv?m;rAe}%Qw(rR8R$`X!f%a zb#SjEvP)buiiakmsSBGqL!%Qb{%K@+lN%J4lnDYe?JTj|gx}uH?HlWj#8$4i|ML1E z-;G4ThXh{Q^=6Ahc-r;FW(kqOFlo^?l-KB?X|#L`QG2uHy@X8&-xpn(cg~v~yX^pf zuFFmi#Y%Zs-^;#!zxYxSnssQhngTKeP3*>tN@GHq7N`~x-u1lsq^3H8X-qez0FtMI zi!f}!K<+^$B{4DF<+|$EEAAT$Kdu`INp@B!zXM+Lo=EW?EQ86I`v@MgMZXxBC6DcZ zk4apVRI6=hZ3ggO3a>^6b~_%%#pQmaB6>)}ltMSRLnK7T*>0d?o#1wyTN2<-ni;+~ z7m)ok+kWM{`TX;*+L!r@Mf0m^@Sh$17hlSIg`j&;M`j((5dV8K0y!|O|g>ESdCRI;e z-g1MybIQd2r=PNDmLF7kr>yAhdoGISKR>Ryt;Cwh--wW^#m%zwdDUi2)mm;|jTDKT zsBDzVx9)VwNQpsj>afTC!`IVAY%Jru>0RlA~~ zT7-LUD>Z-{NNqxse~k7~OO_ct8k7#B`K%6QPmK7}hx-G^Wdddn6Xro&g2W1IS#?OP z@}3i$GIpwYKIStT7wL>@YHM|lTwjI{7%A*YH!9p z_1o*u0?l_#l;XRop9Q;bx+uk_Z=VR|_u=j6;#h3yT1Av3=-=uH85`eyu5MG6X! zOb#mi=wl>tWK#E0NI@unov^6N{{D+l-YIQUd~l%6o~|(ni%|Ywlmi{eo-PSAX?asn z&;Vn)McLIAne10nK-E=QP}HVRC=U&{wzBvkpu9knj5Bo(QdvN?b3dNd~uZzVUW^-t{{10ed}fByEbSj0TIO>&fE5cF>@+grw){M;cM5;h%X& z$W2(j(y%BibT?#IV<)KOC#A`l!OC6QaWy-d9ScazK=Zk#`z}OU(n0FU!rQz4v*10; zt_IAs_rcH-4Eb<(7JtoaC8V*QlV2rtDWlH4_&0;IKxLjZ)S#oB#+)^492+VlRf{qU zGZmt{?7mrHgCD$MW@l##)Q8^{4>okE`dYObtn@94^$vSEWvPnqyl=z|)M^oGvXPLC z_d}IQCUpKVL}DpxW2+t>wPOp0S^`5Oj(VS!Eo?sG^;Z^_!6nN6HkE)nl0rb$&mwSG zPIdgX#P29-E5shwuXTC8ID%}wX9HU`se_%9ez&EZO@I=92V;wgRvRJg9?%c`#t-@x zjehDK0`r|u+AD{C6AlgayVSyjXrU|#lH5LKm6VN-DCR#FIoq#{hY)84QL^@wdY;Q!xEdG4XpXF?cMV4 zYSj+U|A#NdMwu3pxbLB1y!e2ITGm^UX!>RNWrHK0HHv@0Tf-PD_m086xG2n{+z*s{ zg+zuvNtp3KUf6d;#^kO( z+WxH#`z{~5$xwYMrlWoGOb?>IgvgE4#3m9C`sYS(R&O%@8J&Nq9Zue?UU_vr9%R)& z!d8=8$N^-y(%T`t@3}Ll$VR30P3i4S0Ohg_wi`zU3C_2K@(#9B4xh&Dm^0lL%qNwU>U?-C;O2Sc083oRTnpUQniRgqUU5eB62tR|rM5lkzVOnfhTY zCh7#Cg7ySa$eNybmyKyAlX#p2qS||tU4O_-otg_+w@ZuJNKxJg{0cCXs%9E1Y3*ck zr=JKZ;MHv`^{*e;Lo>7br`PL5JDx0s&XpQ1B)*m zBreWtH~$udS*iC78`@*Kl0bN#&(!H=g^);zHc~tMZTTUTh4&y^S-%;Ms@za>hwm6f zc72S=)N1pGMIC|Y4vH7!F3Ax}*Wo;&^{x%k6R(ABd;kwOz-o(_?Es@Qvo|H87Sj)g z#i9v@2#&Qiyq0Hd`;;(Z0hPq@4}JK*%eJ&ak;*?=9BjBvG5aTwfY(Au0AJO0$4$zU ziK2zU+s53Iw6DwCk3h_vZ*NquMZTO(5h5xUD~zv2^>xfID}PjugU$wr32IgH12L>i&JWa)KJ4da8XpLnE5w z5ElIT(v&^JGz0z%i|CZSRg5p^Pcu`K?3pYo4RIO>pWSM~Zez7WmLb{1)l4?Z)O}${ zLVN?HC5&3umlqOFbzq=@N;=1SRpjY5%!Aapn51+26z$kDi<~tOLWPA}in~V>f1e&r zM8#|f=am(4y@LUwdl2mYtHWudU_JGN&rf~lCx%!#cYFh=gzxhpH0SnBlVW>VTONxb zt8P_)PoVSzO2Syyy^riF#mn9gzEa8Tdeovv3NR$Zf9{(NgTM)IoLiBw-DIuf#c&bd z&V}IHeeHJ|#48GzGRInKaABxjO=aROXzSmJdR#uMzSH2fa;qaF-eW;lb|Iw$yeftyW~A$9Vj|n?FMvO(CSB4%Q8DW{oUYk zJ@@zDm!G~dn1ds@Yp9vurP4Vr;(bQk@0wv$b;l+yYdTrdJ@o3QBS*adQ=?0e-{XVU zsUTzg`4@Oglo$EmsQZ3ZM%|4CZZ;DmGZefXp_Ppc_Fx{*_hzPpi}=0MXA3CV znEPP(f+*%$op?PbQn8?wpLy6`AKIbTfIjO%+e(z2?GKB>bEX49{@yqw*Cmzo>o=?a z9WZR~bn4SI*4X1K73VMTP>;K_A3Fe+8tK<=!38YuU+sNCagn!b=WE^V+#>R)H zAdu02q#syelT0kF=I((_>cCluM3#Kds=V;?tXYE#C$N6{>-?vd6GgY-vgz0Tm>as2 zG1ql)vD8H}zIUID^d_UbJZ}XK{0AqdP~TDEZJe17ylTN*KNtn2NH1Thn!Lp=!o>5$+NX z+Vt12%0tBr=Ap8|chB6~@l_&*l1NVjqw8UQWrT;j+R>O;E>-4ViAjQabe)zHXj9PE z(b2jm8-4h79K8~ikfC#*aPOTVvMwkb!0+ST z>OZ?ayK-64B^1!!(F$@%&uA9u$em)D+!+6|PD(PV{I>e3#DhOGP&VlXKbfTdOh(Q^ zg)@7`;haBtpfy!igI+%|K3C;$GS82BX-Szm-bEZX{sZ|lNw;5EgVC1Yg2TVb5no1h zNw9GK4L@>P)8a~dHyNdFHzrdzQ*#@Ys`rGgEjbW2Ue0)Hg7cT8NGglg)xJyf?bIt) z;}groN#>5M>zc{SQ{2QcNRB>~Jobez{TVqr-6oD+TifV(Z1DI0tuHKOyzX3^V?yFT zy_k@&I2J|%ARyk#r6J!HXO|NoqO!73PmlDM=f;RBf{W>HMC2WN)A<#zGys*x4NBH#0w=<2@2oQv{4ZD%(SMXLD-z|Ma0~_>RP*Ce2@w zOh5V^Gef~0W$kES>+$IF#q-cVo6JA@UIkK}q2PMfHYS9C=KCDzg+R_x|B3f2yBazP zEVjBH55H>FVgcSm&Bb4oEIVt_`T||xq|~{=pqxx85fGnY;_r_%Mk-j_5&__X6b~R# zU6M#au~1Zsm=}tUGol)Mnn@P&ZLHcYKWi~njN=7A4t-f6QLbf4kCA$5it}@c9$tfW zb_62l6`ip_# zk&KxZORmOhER`=1AQfdi9&-en2yTK3mz3DvM&T(0RuQLpeU(max-+*2s^g-1&-f;_ z;mGRkNPNF$w}Bh+)&>-%X0xV;tRf_K*KM}oO+p;LIR>k-uhQ4uVIw-v{&k1-LKXqOypyD0av?QMu2*f;0O3s?N*-`d&dEq;>oB|hS!$|$2e z(vzvACE!~bC3I@Cs$8hhm+haZ&fCZi0rF0!fmV>;(v15Vno^c2bJE8)ctfQ_Q5tBW z(*O3*7MtNSrYbQK5hAJDg5$AvCEU?H6v`F^5<@1#ln$96AXu|KgK z-5dFbhrHde2u6BE!QbTbfoP&Ey-i;se{}yrRgNLsvf8QGwtgUA+?D3qx8qDgg=&Wh zu-h6orC`Vaq02cj6f`*5<)c!h6&`ZVHOHjvwM39yqX-Xko0NN$#E604we!cPl$T$) zoxLv#f}XanoD=BQb0>jgElv!asZl*4bkj$5QI|=vm|b!H{_WXn{xaVc@``M~>al)7 zaR+W0J*47%Im~o6rJqTpyy)rKP*h=(`dN9)KVS00v9(M+4z^m2;^>F}Tp|b#?kmND zv;T*^u3nwZ&^YRgw^|DaH(gI$#vl_%5f7{NZBSDq1fId>It6Rtc;~!H{*{kOMg|Y5 zwvzGIz2Fm4@jbHDVubpMcuyyHnm*3xjerf`cD>ZG+i%mHvL(v!h7y=VRNOu55%tQJ1!?FUreYbd>74d5louQGS7@+};w zG2BokvOh7IR&&d4z(6is{<#7tav?kOEK|fOss`EK3a&A9UG$VpD`}LU|Wv5!Yx(zsEs;o)e#vEx?3T$J6}X;d+(Y87(H_WYO*a@j?HxtKNkwW;0HE`Cp2 ztK0o)`X0BQK_wWj+hd6#kw(Q#Wn7{-* z{0m7o*uh3yEFL^%tRRaOw$A*T;BwqPbZumRnFoUX=5|E`z*nTfc$F%Qh*RzZ|LgR7yLaji@(7p_d8^dY=z^G zt~I&Xw9oupJLeH;8bL+6|JP|W{Wq!y;v5^I5|SEuTNonM)y)BGOwh8qx*#h^i0bLj z`)HypBucU#DdzDuk@r#1S{F6uu{iNibJC9@sfmK^^(is>#ly|(vi@c{qCCjAc^^$6 zR^Cq4mg%Pc&YD=LgxCs974{{ToWI1tIZnQy{ii76uo{>S#wKQNU!If>-L3&0o>E-W zQ7>|HA66ExXw)3bn*hyz*m(BS4>5|enWfsr=2bJHDf049u22-SR73fyljW%P^OjLS zoXQ>MDRQUVC7?#LJ-dzMQTNYNUzM4n+SvJ{(~E;zLQ-#iC4cBj>u&qRLJ3V{Z2Ure zGc8a9)9;HNK&UFDJ<8858?L~O)0QiZ&i5!g!i`)L zNwrXt`6_6#)$AwxR*X@2e0)MzE$<`h&d`R-Sv6YqIqT-Sq0k1z^}e9JmSO4Zl@RvG z^Cz2=XJTKR5KRO+wku@Q9{*Y+2vs`LjkT_r2Ez<#?rS)BgcOu*o>i0a@mXMK~#Qxy1<5NgI9me z5-_Hb>m_5=JVu>( z6-qkpon(}9F&75f#lIRgpE4YOt0#KSTIHq&Y%67aQ*O$c?|-}`7QUv&r+}L(Inj>q z$j)sKfb<*q%pINm{D&b!ek2V*&x0+88Aaz^9_*%4$zAa6I3M+#o8(t6^jd?3qA#x? zHZ5#xlF{t}L-TEQBA6)0P&N|^QF>NF z@Uc&E-!e?2Bb*`C0se~JcY#)3$dqy8ItJ_eEs%=voUF!vOk~wR=oQ-c?Kx*`XeePZ z13ss_&1mtoxg$TAl66)FD@?TX^0%~z!6L8gPkgX)u!JcI(kL-QJL=bm#P8k66>!?9 zh@T1_jTU{{iTXf$=ueQv5Gd_c00;CZ9pce!@AuRBpHYTY4(6(fqk(PJb?JPm;$(_O z6Bg@pwh;r0I6z$Fp??5^jg;yWX6^qQKN4>iDq?67oYW#f6#@xUAYXyfRgj@V@QUdQIcz>G^sz`?{mzH+3$;QEmLVZ#z}=GaK7Ua5OyM*F0ggWfV^kN$J;) zT0g1PQsLF#!tq@-TRvM29ATf-8pb!0gyZaEHE2K0r$r$KPQPB-CQ>Q+J53*qP!*?V zUL5oAk*WCR2>hK32t&UE*^Bj^xS4dlPL^z!lrL)+zt9U&8YrE#-`F?$#0p0>xix2x;SGLh_8kjw4G**Iiy=4Svvyp-R^(|7{>jjw<{m_yGWr z;r_p5?Ck%SftdU1Q1hiNtr$Kq!K8p}+SyVbRKWr}-J^hRIsGZwl*$Yrg-^o>WU7a$ zF5frb)d}hG{uj`snN{s&lUC$$XM7T{!_H!%ILWskWPJO6s}pn!dAoB7x*h9!U3ot+ zdEYh(x{lg-yHV+S+i?lJ6oI_oeSnxlx*qyef*y`|x}JC5FHS_>F7MxOW^3MWa)RCl z9-22^Z-yX&ud(ktr9rRFB5y21PW6nfCf4a}77X*Zf-jlN!fYxT<|iF3hQ4I<#k}g3 z6&uBEwA3jwojRWDByZ!V<{BO-fDu_9wzXP3v;%evQ> zx@1l*PI7ylD)m<_h0R=RkAzJtsr7MZ$}S1aEf_4-fjEnPXAXwcIlmrggT9xVc%Obw zpR49#SD{M7#qC1Z75OW%)jcOxihJHd&sFM@>1uw7gg<%QMI2^U91AlN z2(f5G;qJN%q$31aGV%0}3oa+IMu-k_Y-`My!tO{E9`_*MoJU~-hi^-Bws(q)j)4)| z@i+V@lugZFRzSJTD~3)78Z!Dl5wWx`94`4$sbJ))C_cFJf#p>3qv8#Zo3NlJ`a#f-{w2(}Obsig3V!BUH0oYDS4SH3ufb{S zaJLWh9;-pgd(U(lCd(Kj$;l0jzot{!%rZ}6G$RrcT5r)7l#Ubm&54)LR2|{6sONLc z82O!tintbk?D|5G*qih03)W}#Ze#aOSL#kQ9rpwXN|ZvYaFgT@X+tkvVT>vJ7g7Cy zTRq3D4&u(;9KTZ_-s$``hhV>8d41qvb}NX_uLA!o&$YZRw(|k5bNt4|>?P;kPf4`z zyv-a{e^Dl*?^#TI2}?Wb%+5M8HCc^8=R%{6+O@o$CulmH%($8HD@(+nt}XBa4AcHV zSPNJ?Z|PSLlskp`Wr@djS9QfE{whXOUz)L#>th+|2WmzONw2KoLvQc+wv_G2L&lyH zkvJ)uB?feW_o5!SkwOF&tq1J8eQ?5yP5Br!Vga4mchlh&7~PEFW*R%TI9gLl+0g+L280NqXCo|AOwpwh9S^$;4dIJfGjc~Q|{8Y+XyzaD?7{H8>cxaJ;j zTfg0xBjUT$(?+jR#XIoEljlKsA;ZKD-<-FMJ2irtZIQ`x$zAg1PBahO>9*X8N(yhS z6kZph!_U-}e6EMIl?Q*k9e>ZaJgj6cjE{GAet>0-QWVLiEm%in&RoM{E#d4Mk`OD% z-7B4CS(OBOeEiaAy5>BNS9tkIV+-Gm!d|M3Zhx7{x4pej5&M`tDZf8#bqNKBD|qZ$ z^nF=JpsveqA&ybc-1p++!^FGqSsD^GH1imvtKsIeIC}Lje(F;PIQU(qmk;hD{M29m zdc1zi?+=}5^-Oj^p8vROycgHOiy>_fyBYTSh&e|_=+6&+}|KGn!p0nAAP`Rrhf# zV(UT|p=Jo{TgD#rr!N|X_h|t3N8ashqqGNkO=`)-td&EavgWOYtdz9NaYYZ8CHgb8Q`b>2;mev1e{H3sk3!Lv~Akj8LYq_x-Oja&R`-@g&e z)E%}EEd0_OjWtDXD-t0<9?O^>HL^{ zhDiF-NbT8iACe{0TRzdx>MUf@7jC5@uJ^VJ@%YO7bbW<3ugz`*CmA8VbhJnPS0I_& z<=aV4WFIy!S0r& zL{fe!YMfM$2HwH^&T0}DyoTq$gSO~Xq-+HqBFGyQJh$*C4IRXybN)cC10({-7!v9@<&&J7tJb($3Z?uT@=pn6L=s&O~x zyL^9QW!7Z@|6|yYm?4xta#y~1>fJ`T>eTu&yQ8^WiK(B8^A}|PcW(s8gv*kTyefDn zdyJ}*SR=uQyV}Y8x1+~0FQq-4L#H83o{I9`0yOIwPXgLn2iYp^d%H2Ifr~E51()~5 z*^Y$(5XrgnO>&LIEgCGIehv^nHF!5bG|Aoca!m-wRvp+;S>LLq!6dzm(Tl;NLc_F! z4$zvJhv`kuljl>qSV}=;g~Hne4uQp%^J;Z2*YUsUeZ(yTe;~URNgC4yrvJ<8fe+Xn z#iG^2Y<~Fi;_&32+Yc`@Gvd%*fh7jdGxy-&F9Q9o1#x>_>C|3nW2dTmYb(PY2FN{t zsp8Kk-#y4kLE!iTWvmoR4q^#EWF2hAg5oKRcN3P9-#Jb6Hy6HRW6#cjN$pKk`}P?vxeG(3}K7-i6hJHG=+)2FeI z6`3r?VsjYz9UC!7RZ@2d-29V2MzfZti=z$N7?ydwzrPX>2WS0p@oWoEHI=3u&NL)l z0-lX=l@2f>$4Fx#7J2YzoOgjsHX5(?b7lxu%HK;y@pgf*%xO8oBM|<&EE!yE*zzXh z3%Od0;pRrXTgW^su|;=Q#+F-HQd%x$*9r{~3HdM-5}u`Acbt8zd!=6zJd>sjg0Ca~ z5<>#Q0hHA47%G<)o3F+%`aw;W3KDBZ+L<$W#a=yk zICS(DL!WCL=ik^A7qeVZ+k`Csp~p{Vt%RpNlGULJ+YDQWx#M5^JV48OxMu@nz?|?x z?2JRSbO1kLhzq@7a2Ny@1J6}6v`t#3J;dbVXN>2na^M5STQqSTTC}9dTC9;C86|-2 zJHP=Nbx{Vp*?i}NZ$}VNhVpBCAnZWNpje}Or%g^s)Os!Qq?X~IDAz94lo6_;aMm?_e4GEqPslM6%XmQ9eU{n4_ zxBWIpX7}Oi=BIXV4wR|o12^=)v7c?R48?9b#v{~(-w<>1 z{}ECRw!YZHK>L|4=`s`e$4BuJ_KmD?A59A0m!&Ff2R8=m%77aQIPzWr*VF|9J7w0r{%hpp!%tp=>dDRzQS zQJ2hMH`+D@6ojrf)QM$8bwt{~mOHj{PEg|MXLHLLhO70jg0^NlAKR_Ed1GwP>$dBo-wdmIW zrLoeu4JA<{ybyQf^l`(zwSN&KtTyl zk$2{_X_*uAj#Ow(fFB}@FXWH2i9!P@NFiUfNO93x^@3lLaIVe}h0gZxj&@i06R@JC zKRCd^-G57!#x-Le1v1}rx}KuCUZc98$KSW^yIyNH%-SDKf}VEX@1D=4HemB~S*G^w zQK-s(DaaNZYmAICzAPL4#Ppy+{H_`jo570-UjNkcKH;G<21Ba$?E^Io5}dJEF%H)n z=vfLnL;>8^XBKB|_z~>!NR3yqh_a3;Q;i2X{WgxYQ>pNhK0hCk z_8CEA^<(~Oy@Z51L7RP~@iSFOV1ZjpqiZ zlzSg|FICG$oN2N<0nEkHMIO#jgymWrfMP{xjadD>ZYIDK9kg4F3{A3x`bhZ6$t%Dn zQlJyD0o_|{9RrGF+P+NHMTi1R6*jp?-10jkKl#_zg1dT;#!KKOU!sI#xPOO1Hy0Zr z2QrXT0;U`^S7I**C;Npwtzws@8;T4ZPXwMLJmYZal?5Y;T2$W>BmnpDl0I$fp130i zBmKnpXr0yA{VTfeX>}12qKIMfDO{`B%!gkMkoIh!VV5v-yG%((aZo{rhjpx3tk5Vn zC#Nx`6-_EWjb=O|j-eJDyWxaZ zro}}}E)f90M11=T2%)M)7>YbHd~vCGz*QRrtbv8&dFIfx?x4uA^5Pgnahx%AaF>))Kj>FSZMqLluKTAS!>2sNy$hBjmEtYo za?gU2?NYjmc$O>^fbUUlWR?KuH(|Ej$6?bJtF%_RDE9)u{JxV@JR4Bv-U9H9pDI+x z*3Ef_#>eZr|9pf*Qfqd&t919Nwrz_Q_S{8EaQ$SAB~ z@(^B1<;pPj=!z4L)|cPa=b>}KqOsoFp!`ujq8DIuf9HDS5ngmRuzx0{@sWQkFXcJn z2Kq|bSRZ!4!^Tt-Cy))C)xDr`7O52Ri}*oD`7GhFy_`551v@5*bpa#%g#G?Fb$_6E zM?x`J3oxqr{?z)_Ki6FDdUH?Zl#E|0aHps9RjcYUTr2^Jo1&4oKu!HCl3*Ep>WI~4 z=p`v>2!x-C-@Gq7KMD1^onvl42CLR=oZ!>j*Ju1n1(p7dVO|oOZQRl-HYIlEpg}Y@ zu0(bp8j6`{bVlVUgJ9@Pjn!opN(6hJ@ZahH8Mv3 z91@M(0*nz0=~my#7PLE5QLf$rru3aNn~Go3YcMi;1zcATJltaDbMdpA?;n}Z6Pqk~ zQ~w@BVHrP}#|&eVLrwqYPvl6~ThKFG=B@sQcst2MAGuh@V}MqgnIkDaW&5=fftkC| zB6UN}0sSv4Qe?PwZ<$Ua&2DFX*%j-L%$?H+f4G-ZkvZ^?QKU(}cJfs)(NFZ0c0Lg) z8&WfXx(`@A#J>A->$&-)+}4Qy^ldJe7BImJ2>KggbJ?x3wd_7n^NgLxW)gaajm-?- zqS;7k<%^Ryb)*ky3VyWNO(Iaun}6OOlHLI|`LE-qBP&0&Uc6LvJ+5>;5#i*$?{&Rx z-3L8oY`h$m20h)n1XT;Y@2GS=zrT!~zyHJQdY*WHJNY0xgaln{`Mo&+n99-wWnIa9 zRbzJFf4ah~LU|(|f%$jv1dJ*#FX!v9@n?{lky@kH_G z-p4vmaD*ZaK`G)q-1^@)kNYox>>5GC7DL|cd6iG(732u06i{0nu)GrCW1BJIF@n8h z?Iw@5WBmJ6W+E|=;|Mx$YhBKkTTT<|qZ~A5@yKq#wtPE?f}BsQC@LYgCOdG=u>0Gf zbsOVM(f8d=>q#OA(x7Jr6-sZ;i8gs3xe#Yu>PGAEOPMTuPp?rDoF(u{QytcWxMk=h z1{JkX!NUi~#%$DEf$AvLc~w#feL4Bo^v~s4_rV{}(ESwqndX)gv-FFwd*B6&Q(2+y4MQ zK*7IVk;R6kg1jRyuLpu`@v3nxKn{SNkUS2;6Ryn_@%Br_kRN5sdp@gjGXPyu0*IGP z0L;RR3z>?$2E#m$vJ_}=T6=UT58eqsC_01AZvl#9M^IV5b>hvHBt|yocX@*3H9l(* zLuIgqka;~eM(~VLI974TDkMRSpxrnaKii8**2j(sucX5h;^iWm)st|ab$}Eq;dhWF ziCsyjBtZ6S5!kxpr+B!k1NC(442n;HTM+n$P+_&Bb&@85(Q$``AK+?+u>ssw?C_3! z?+Uyv>dcu-eJn|6KoX2jTZvDL@CjSK$=~WJ@cjQPUagC2nCiLd2r@NL5p1oK-iV+h zF4?kSd` zc0untFcWhVs4DRWs^wcr6OcTv9u=&?y*e<@KJ%=g=Q5Akf;|GzWnm1UR6B~@Tmi5> z%z~_97v5Uo$2&u@$kGsHqeF@e9yn!Lzy)H)aW;_7;^jLore=NXK0}hrDBgimtikQ! zLhbT}EDU_hjvj+3A=HCJHZsC+e?dupgc{et+G56&2Lh38MiJ0bRL@p>hD| z;$^HBxi#xVaktDbB6f%g8P?P-+VRmEI48$t^rcPuK3jrQ_jk24;j0k<~s2` zcc>o4o1Cxbq4gr@a{{SUol?D=i^}_$Nlg_Aw#vH%lHwyem?eN<4MJBZUfk_jNs8-s zQDh8{0L>N>-K;v`HfqICy9hW!Cq`qC2(tnj#ya?z+rkml#NpN{@>7Zq7P+$o3UT)< zidqJkTpbuVUR&|T8E`Vb0diGr1NQ{XkEaFh1&2I9wL~mZwR+hwfNMPJw0LAeVHew) z;Re!-W^WjDF;;*~Uob5o&8onC&7lSk_d|yT*LHy#qP4qdBB0QqGAh!hHc!zs6!JdmQ9Zb7U1Dv zE!9WJr#x(#7GHg+O$JN4AS5D>cLl%!j2u@CudgyL6`FOT!N=+VV?qvs0bg27ldKLU z4u>UVkhWYia5n44UCGWQNx=os!zgDRPmBfx0kD8Ea80{j3-}2RKOjy`5XH^sLzstZ z#LZ*^J1NiY(;5{yotiEBQ|mL;nc(1`SjhA`l6vqE;&^KJu?x1K9(5{#nEI~QUZ?ti zO#G_X0tu9;RRsl~V{^cd`9SvZy0WUpne1W%~2}27DoeToM1|^tN;N>C#T z$5+Dzc87uVmjF;j)oya0&O89RKtC9zTUS(n5^U)5qXad=kZKmV*S2+}ytpz^Eb=`D zfn|4?GWSi0Ce;R0;Lxkx@B(l|{4?zPtA7CdwtsGa*jV?!{PACY{ky*eyTANjbbJqs zR2i@*lYqMpxEsF1U0mVXa91@T;L`2V4b}niVsBho7u-$xxZ4-pji82PP6F^3+-;`| zJbrvb_1z1T#DG3%*Nk27^x#OCR4(lZKcZcb?%HVAsIb4_Lkfpn$t{=XKc-o6>qrg* zbP)D{8wt3pvmWz!9^n_<%^U9G!kCiauF5dL+kA%e19#*71$T*2?hEdgL+y&r55e7T z;t<@OUvT#p+$BsABnuhp{=nV-fx9?Yt_o^X0s6?3-+R3n4o<$|ZXVp9PB@8>I^840 zkm0|<-FXMWT{G0dv*9ilc0`ibxW2*N@eS^lZ*Vt~9l&{lyOZykUvT%?aF=|lQd9HF zVFZnz;jZZzJ~1<>+;>k1oB_K;vegjWB|YQcIA>H9gkV058vKsv)FJpNXWsqX=Nal@ zCVNfbu6Vf15$|%U#+Z4lCZz5=I1@O}21iT~?*tV>yMYX3=obue3-tz7#Ds?o*Y0qW za9j1Qgc}>cXvjO}R4+}2wU{)IqzH3+zyPsGkqT(k91oU?%1 z-UQ;EYSSnnUgCxcI-4+h-u!i#LQX=wy0p^0OE!)PCywT;N)H1#55@^_>Fp%3;K6 z0K79y`KQ;hqgrfcP$ko-DGOii_Etq25?0hI3zp~eNMT+#(c$Q3*V#ARRb(&LK$_rh zU~jY91A=M%p|TgG<#aBO@CFzzY!krytaTY5=P?OZ*wNIHBhDFTsv|4q7;uyTc_coc zY6dwWN6i7)d4>(=T`~YzMn^0pQ!KeRfUo*;3^^tM zeEV5Mfk+$KKFZ#QT24W}09v)=>Mfc0G=f0`MQ-401bji^1Uz7Tgt+Hzqw-!y@CJU~ z$2BdWdYl;mhIe+ZWxhl^BjD@j>9`R;FhCW~My^BsRZC~Wmj&ezcffHx8`JQ82hq~8 z2foyH=b|O7FVnGVGT+H#3%jW`iqK`)8j%`e<;i`#I50D@4Lh9}3BVC60~t6KE+Iua z_W&%YQ2~E`ao$3*nZyBd^hFZjW!Omy$O0jbwF@FI2Ks&^c&T$k&5>!5B6= zTp6d)L2;O*oF?R(Hu61yzB8_kt#ycCBR2$sh~sDEt6+&Mf_%F!JY65;n>O;jTWiJ~ z?q1w>S3O96@U1;zUS|jZ83$#Z?%xN$$+Tzs4StUw(C^%dGI48DEm>;#v^A8qcF%{TcH`PVt0CMSXtPkNE|D0YaKP0sQJ5 z6s`pc{6fD`=ocfom(C=M!0)&LziNnrIpg)@i=hS*Jq2sYd=I%1SIFj2)s^gGd?PGy z!|Ky2Kwlu_tP2Yu08Ts9KE=OBBR}e37R(1^_zn6VeGrsA;MNKI!YoBNg@g&vbxlFv zww^POZ_rl-@9_=#t}p0&Z0u`G0rP;}9-uE-zYW#L5-=VNa1tEnzPcVC`*N#KouqO? zkWcmj`bK}~tV8V318LeIYG~#D>0oeuKV8uLk=_>X-CiMc*&zyIU2;hQ5`!;r4CPf}OEq5*!FTBUnv1l)a#SbaF4_=0!ORPPhjp#%f zLqsPyO@SWhtMX>J)`q@nfdE+fAE56qfA!1%^d0)X|0dk~FTeTIZ~pc7f8f7<{X?CX z+rhwKL2f^KP$alyo`$Cx3nV#KMPpSTbi7XF5lrEay!>>j#fx-u)F(mmjZ>1nh#jhk zZ`T>5u=;5|zI2i?rqdbq440q~w*17VGII#n_7T)l9t;!|699lk%a&RF0pPG=P_te;S&2H>#+ z8-KQYCThq!6awfOA`(b z^jJ?V;_d1lB2^r4L3I--4PB9&9}q*bT(FwU5ub@mCo9|yC|-2~j4$rK!Lt!~AxTOe z$Iuy~nj}hmI)JvSb(5sZy{rci#VyFJ@$wqR_+BJ7I%LAO`BBhlS7IDoKd!AJaWA3X zgDZ(wC5%l#TK1I>l6C9Yw!oo?qhQHF2F5=Cx!~f1H>nu@xYmF+QEe_d-_ogq;i~zl zJHPqg0g-5C$1r>Typb zc=tTSXo8e=1@sK#tOu#G#!*{rqB-$QIy6ss=PY~lM#s2qC0_6(KjPA_-IaY$B+=L_ zp`I*vIGz0hl6i~i1mATq#p&1S;ae`wvT+$Kx2wPC8j6l7Kl@1EL+eNv{4h7ACJ_xb zM0eu&y>|D4s9_~llTUnM*D+pE1=uap9KSG2S1;9W=f+mz^Be`&v!%Zep}D=#KadH8 zKnE{eH;=cgy`VgUn=?9=7LL)RW)iMc+dMv&kJ`5*TVl~Z00KWhG4O5BjL|)oC!(E{ zx4Fll83~)!DH_x>;C1jM(4cA3UUwHQBsHneKI=<}sQg^x(wZiKFm`^ti;$>h*dI_O zDCPu1kq!GXum}CiGDZ#l8LSVJ5Y*wLfUpqS;3@4AxdiIotod&Ulx13^60(?Oo>1clBlO9 zaIPwdu$~IoRRbUo{{@bzl}C~R8zb@0JwMR^w5le8vW(s7i{L=pk1@{nsyQ< zOTFV0P^=2k>iZe0)JmM65L0EG?9$DoQu z2F)<_W9yNX0|o)X+utD=*H_DnyPv zap|Z}?^4azmg>d3o!h%=}-sR7)U{NdtvPIKi~`PvP4DNhs!wChJo zbLb2y-(2Kfu8eGN_~(W(17U$(sbK`&8_rr560h*4YDvOq{p%-~M>6=Ccy={Pm4^b6`ODofekKNFi@{r%tn_1}IcHrK!XufO?8Wahv8`hWQCPygHZ{*}L1P2YK&bQ}Yl zFZP6V5JZ*zRktK1S$8GqbyTc1sfx+%X>%tS0$tF=e?PEIjR;>@rH)xFfB|l;mTNJb zjCDNcDD?`TLci)`?ZcIDhpAk2L6Z+b(DT#Q@~W*xlg4|#5@R6eJyy}mI35{*TxXQy z(a?TTv(*hMh&SZD9Ju@$f1a!avfrxf!xr{niCVtysk*-Ewi>L2E+ic!^kmg2>#8Q0 zpb|WY(m*+n??6NHx~g!OW?)qJ&%EWXhEP>lr(fddq>%C%tyC$MsLIvgqyVK|*e{yI zyr;SeY_=;XkC1=_4V%AJTC*NR7*1db_chdrm8!YoA`9vysI(TrULr2D1Jm2>urP?* z*JNcnb!M(&E0JgGgn&dYmGwzj0V1!1@6|&~C#iZ6B5rS9a4?a*+{AD4R2EfhIBPm# zEp9Bn@7zar8sOBqLOp_x9MuS3U|3CQjo1KkS(@D`>Tpx%Ji&A&Ft$547Y4pI|1MQ9 zHNS{)g956BWqEf^ojn>jwh2Hi!Wmp`ixxmeK|mz3bG93R;fU72`#ba-w$-O2wkj9% zi%ACnBx#+esBM+dB-m>;MJ-7XG7TR@Bm{{Fl*fGppd(3Gc~<91msACB)H(ZLaZx9s z?QG^&l?$Yk$H`^!zeNK`X}4eB=k3)JlcY7!`Z3Mj3~a}#aO@zr4_5%1 zy$Mwv%6Y%}<-U}#NgUWNRt%I;Umt;VaG`S&I4(C@3SJG2{8Lt8JnxP`wO|q?X7jbG z$2xyifng+KheSf0*WMy2LsJ!*C<`tKpcALY-q48}3kWyDR-1tKxO8&Cyd8%X-}9>B ziDXbXrXiIOicW0TZqQ`mrWN)n`lId|poz>Us}TE9o0uw>nFF@9bSncwB=9*%yhcu)t)#w|E1nYv2s-F=sf350ZZX)F8@K5tkZ7SYaj*GP?!9rUxH}yL-cuLW*w`pqdDyj&hR9WV!&&Fo=g%#^DPFIO z*iqcF5&-Qzp#cT;Q(7@zJ=B%ESO3pf=P{JJLcB`tC`>2$;&0ny+6r(gYd0ny(Ah>9AlmL@!%!9*Y}+_D5g zEO|IwGeQODT_6oaGGHv`Vdn_Sfh(%&FfQ{P60n(tNC@KvB&m(_2mC{12HQz80`gMS z&7*Tf;2a;3K2_e;CTQQeyOnsI8vv<^p&0Um#;40&PW5^P%@Pp*@GJGIxghd8M)mXb zWm|H^(A3+I?@4}k>WUz&0d^s!;l{F-^s!d2_EvEU-W;o18`^Sj*D%J~+7c-o0HAk} z&=p-siN<4rqgqXPa;qi+hN3eo z-O`Q;LSvvIVgN~*cLHM9DH&MkPzZU3gqcE6=LSfv-SNF(lqOA{bJ|imV3wAjZri91C>1uqAPVc0UQ2t-7yWJUB2_0KM}h+LCUA;pE@$;*xZl+T@R$R-62wr-3{VEj>ST`n#6~uy)+um zGbte`RPspG7^}913&K^ZtHeqTD0tp?uD2oSYo_JGlzEdXPdP_MX_|(xns3AM0k))h zT@xMyOBhtv}0%LAxtl;%O=PlVYK@%bQ#=sQfCZ2hX^2fu()KbK}6cn4>=fP4Iz z{YTLI(qqI`1L@hC%bRp+1{6$_U2AG;+CTkD+0c{Og6)}Uhq{pOm&KLOAHBT4rVag`gr3n%dium!$J z@aMQH$YUw#1Et+-F!EJOsk^TMpvLQkKVDY#dO>&ruX(n9M%7Q?8k+PeKt96cAeFRk zRBOGLro(VK$(AVYhz7?|on0)NYRHN5uS@alqw zZ9=QD@+33({TA6EDnZV!Td01jQ8yYL>}*9nDLD1-ssxfUORDabp!%Ay7DlwED+kau z*QVAlyR|@UH}^fH(Q&p(w%%Y5^rnM;7#w(51Inb}dwq4eE}iqYv7UGVW@=TtfqFUH zM_3G>y$@(QftmC`!l*a6P=gqqXn4Xim93(>xP!8l`dd|fFv1)7o{om+u8F1Nxh~CU zX`XcM5WO@O`S6ZS-bJw?X-kO`*`{-4HGOJETJt=^Hxnd35Qu&t{po8nI;?;)EoqRb zmP-1Sm0e0G;QKr2%XfbS-iKX7!=I|&_8P+x@24#3W9SfV&-H4q1K%IY-au@Uj^7u2GT@)+#J%QZYwt0C)J zAey%;FX{M^MB#C3;*T%3OXI{qqdxgkg}Gai3g3f2l3=@fe~t#3YibN5jO(|z^nhYvAK#!}tjaTA$^-jYf7iZ1 zqEmfMQXV)o>w4>4pNLoZl%nI&reQe%3o#i^7^UMHK~69z$h^NoQ9~=<%IBuD@W_w; z7g+Cae)XUJdsy$6zXI!#s*}`ERBh!YkV5(DtCjrhk#+O5CkpBH(K@eT0{-fmJ1lCq z$ql2){llnJ=;{4(w*cNHfOk5gB)Flehb0(EQV})0iqz_3brY0`g@j(_HO~d_I;0wtQI&XS3#Oh0wu2EIvV{y{*1HLTCo(_F6iAKUTut&8Rz#rhKE!v`2Pe92U zmL?^a^yJ9}sSv@Fhnr};PR$&!0FcQ=B)^rV!z3T1TOzmsSD+u!vqQd&H;;-}AWG@p zCHIt@go+Q5`v*3xqat99S2qohLy{vtU)Q|$d1ccn$(a8b|Ir#xy4CG39OrWUv=b_i znksiXUu*y~khyf)MDFHRe;XBi1{JV)d(Fd%$;S-w#vMUlXBxEEE;83u|O`ZyJ)M(RT{JMP2^MAA>A(=?KcCwX^)PS66$jg(F@TJh;i*v#){ zHLj?Z!#7~pX^0#{bDXhY6KmGXkqo3vLI@_#V47<6R&TE5;e$pXt&1CSpd0ej!CP4X zsv+Ew)Ik&O6DFX(WU#bRei|2%Nh4Rg91`at5j z2Di$L`1^F4V&uOy6C`QVQ%5a83U!HF&Fd={96;$JwI3eAU7b`@v&Nx)An#Ywxelh( ztQv-d$M}P0RJfF)8(Bj_ZJ_Z$w8L?+yiK#E_EO5@UQFHLT|9El<6Em)%3H(xu!gbQ zL-oa2#kfy392}vjoe&$~$>MK!B!$Lu>56e`yikJzw^18~E|cUgrl+b~sd|k6^>dag{=mk)zvD8ELct~!5%lk_* z&jRK{!;M^p)PM%I%6Do0U|`0jXh6tIGTL@&jPT$fh5QN>L@>2fl|OIAixc`nrcUil z>X#rgV7Sh^OEL;Dx!H_eq!Ue@5(UaSZrDeIe3LW@wglAK&rskf#As*;#x6>&(MnvG zP$6X^018uY$|fJ#tjkhksUFTg_CZ0*FjdBXJq( zDZUARSF8n_o;54~JgPn(;~^?P5`no)Np~R7rpeD-4wvgd`%8qkARe3A^veHx1Y z0;bYN$nlW0PqzY)Fq2lmf?d;!s*IYd4t>ydSzPfWq3II9e?(Cw4kzvheNflxL1?^$ z4oM}XV~u?{b#evu7=v@BS&y34EGX^5u*2AOmj<*20-bvC;5y=sTI-_bc;~LMJXPrp zz$V0ObcSAd=@O}*Rx-s9e3yp~emu@FTeUiFKe#e4Jq69#Jw>WFZ+}4lWSHmx*+Ke% zmwTSO5DvY>Y@Ng&_+7PZ49q^08FuMWV{O0+B9zy$$tsB=BI_z4=y?+)9u-$FcpvD&V!NcmHJ&t>hUHT9Hx~WXMqISARU3Hok~Rqac@m^dvl3!4oPBl=^BJY(lpoO-7|eBqIgMQ z>1a!$(%?{c!%9eyDu$d#So^eCJp0;uHxKDdS4HZmDS&y_DUoitHlPTLnG2pk<`@Q` zEebc^Rk<4Xkpv{Qd;5S2_|&9>v!uolAX0-!Litkj-br`!I>~PVZv#&k@E4D?9BNx^ zB*G#=UVa&C#DD5J8d#gC{ZvWMwKp1`MI>P1RMLNMMwdGLt05Qy_Nz(ppg!3GJ8(aU+IXaJvbll2W5S6m{EtxBgEts2psDW|SZ8X!SdP*SX0dP_k zR7hFfcLXCdbj#}UdukZUe*E3+hq*8;O*pDT#v3DBJwQ!$FOfj_xf+~~lT_&iFx^lj zzS&g%$vK_msGYAL*+@{`Mc56oRZTnhYn#eLI%?eGHYfI@y6@B|vTIXQC#rTfX(wPE zT*aZE4(fr(4gV2}xWQXe%E#+l+cOvpiIU`rYt*GOaK#aY+ z8ZN6i%mc!(2-k0D(Fqi3_)WEP-rgm=e5rv{r!l+%*ix12X@KK;AAZ%6p8)}bFVRSX z6^_wFKWs{64Q)86QYCdd7sNmToFp}FYD&xtq@Yo*>h`Fdx~9hsESJO>?@a24_2nPE z(FrPE*`yc>S87v#WgCxpxh&~NT(}KVu2b0;&@eQO(1;dGa$UQ%oeR`x>6$Nh5Q&ID zGg7Iu_n~HMRW&iNjq;1MxYazO=G?(ek}5FlF{S#}@$5?KuS@erfOUXUgJ-!zjR!5l zdMD^dLZCQIA+ZQ27B#e!a1-H7#o*nrPLL_}#=)dBFZ~5GpL~GZ8bNM#0!XS2_&TMm-ir(^eYyR$BluPDsV8@hWxc z9D=)UU@4i`*ZGj4`8-fvG4G2#5qI^vNE3k7^RZa%4eXSMk}{@L$doEY_h7FOM(9)z z@7s>?g8E2b*FHtZ-i%lVaYlW4IGMBaB(Ch{1*e4DR29iO)RNjs2HW8z2VO_uC%TeS z;p0lXWSL3`y?IMp#xD>It+G-L%DB-iuKw1h12qp7mH7_B1ZKvc5*bLi_trtSq;VZM z6rgR<@)FP2hNnZ8`V|%?r~pki!FXUlK|Dsj5R#=c-W>I5(KUy`=B@ zfzk_Z{1gLX1xFKrUb)Hmm?#%Ug9b+`IcRD)D(HafX3;WUDZl8M|^UIA)y zE&j1qe@r?+8n@JVE+J}?v%7A|fi5h*HwniNm9*PN)Br>%Ai>!$2izN)9alG1d!$*= zwbTdFm&`f#Fwea5qh3O(Vek~N;;x3@tSK(@1U11EtW;_*QtNQhA9|^aEW8^ao0>Zo ziIo=w!B&3>E-eWU%_YvaXnKvb#07<*b94ehY=pJr!b^01s#7v!$5qsz>yjntsB)I1 z&KS#)hChRJEVw!Y zQ8sp7641w%$s)KYIZQA}WnMV+p%LQ#eSQm~Q=gaQ`#ID1pEG?OJ&G}Xp=UTasaGoB zRmV44(sU3>aVr9U+!ia96`f6={Ul;}1*>^k;wAaha}7fZvwwzv|N58z-7+tDw+>%% zSd9vH0wqqa8P1VJ%as&XmLkPgZ|c%vQH?(eAwvLFf(N|@cjQGTQX{;d_0%7B$v#Lh z_HDI-1vgi`C4~rJ0FGKq?#d7Umt#}Ekznz`OKfTcQKwr<=cn3j;Qt^V%}d-=%4!i9NC#|;X{dwFQ9 z&l|W{ZS*`v(vxxyL1SHnR7rY%>!jpT+l9*w3Fv`Mo+}Q@6 z))B$gH|dLi)h6idmLwdnnzl!i25`D@8|@R)Lp5A z14o?05qg3PXlWfT#hV18KZ?6svYGo{h1BGverYs%*CbYuct^>?Egqx3EIe54?e-ud zDhXDBxbR#+`3n-D;Efwwz>ez`gq&+N(ixS_j*4O3U8|ZPn&3Ns9SwdVF|Aa(&bzRQ zOT*RYP~OojdaWNl*&+RUKa29HBT8ewK~ey>2J((#n^NJc?>^^H-(6nMmgoWbPLQtz zrv!N14JZZh=x7fp`|84UxLnFLj&P}RI-XjfFfIdu0O+rJ!>{aRnl0B0i?(iE!DGdb zQxEl?Ba#F06Ikh?4KqPdYrL8|!`g6kO--xsLYO~f2TPHEI$of~GkBTL!&{cZItH(0 zB!?yiHNa=sG1I&Qw3Xa2Jne)UQ z065~K5bXXmnIw@8w4aIfIHVI=+%hLi6(?_CbC^2``##)jiFr0S=}7bPacZMJeek(f zaScr=Xb!@BI;wF8e0uX8b-?kXqxRDrb?-<>JO!QQ4g!t9Qze?-6=)Q?w(;@jpsij< z=U1>c5!x|M!bs&up@_!@m12MVIX}zecdhDFOo!jNwCCHVRLQiXNv9UlPB4ipBp&hT z%BRD&OVZv*{ERTMg+OsNchy((b-33v2^2bAUnD_IIVw#y2(pu+{JphD2%-}707t@c zRGY21kU8^6=IZ-VSe+(I`B@W{vkD8=@LuzoSCbBGt&)SDhqk13ouV{x`2MDg6!n}E zI-WSWQMaUICyWj?^Z>%C`iB}~lF9)=JT9V_al)#qyj?TdrM2O=a_VhsMGijYJKs ze+hhAQNUFXdx*3H@-|XX$-iyZF{HButg22-R>#;=GWMid4f*bKFKPaZc$}KAI>u5F zXFSRWTp1n{PuyhmDlRYPjelyiYp4#YBFR)IQiW$f+kMA2q6xov8d9Im!PI~9ec6M;OhtMG8mnubgfdlH z6OU|Tg*CO7NJKC^{1X%e7^9UxO-#s~8oid*48|(1UE5PtS3e&$U4#?R2`&l>C}Gu0 zN+NTwgX(J3b=a^@5xEg{AGfIf%1Oita$|Rq7PDWM6bLRzEu;{1$lC|xiD~qAbD%@z zOTv-*ZwNUOw~;RWX&Ve&=cByR_KIL%8od(jBD#Y-cx+x1de3xsSVxqPzF1lrxK!H| zNShhfkOs7Ud`bE8Y8C?!!+^8_iFfOCunxWl`905oQmh=FWWG@#(ZmNLZr(zC1Ys5x zs(n;rclmIj{$RYAc+g6V{zz;x61L)Z5_G`{aLFR!%Bo+Kly1LC3K}#Z;fAf&RW%u? z7Ih4JQ=qIi1(A-V4w`)b83!_IAC@}yRD%C=3ZzLsr-v=sVyyCz#+o?Ly*ZFtg;p&Y zIH3_etulF1eKlM)E-8*qwIYEjE>hB_Kp+|((UF4D<=pCR-W*6+aH`e@OE=O}+9EjS z&lYd2vF8{xkg4^jPd`Z566}pHM57Uu@F%UD)SOEIzB|#1+JPdqs+Xy zP{jnj^P0K&P$8uuaKU#fR0vzV3}O=4bQL{|y>vs%3~--&bD;?rGR~`U@T_h#WoYECg`<%B#_v|4qG*t>0 z7aE$2Z$w}Tr*>8Uex^c_0CPVxA)d2+HC%p4e&F!m)Qdr3`yiogD#S~>;W-~Fqy<2r zPBEd2>_{Nt;pUxk@_7N3_!y%#V3$?PeLl70O=<4>kqZ6tZ+`iI`zT=RT4-`X=Yzd? z66h4aF8RS*G_}sPMCZmU^%`(mx-`r8tS)8SGBvjP6bSvH0k{sFVeJy|6 zn-o>mlFB5kAcI@AbaxegTyu1IFjStnoZ^x9mOLVRv=UhKYewkW(^Kphp~$O6MQu;o z+LZXlr7u+{o&}bwCp+=M_=hgEEU$aeVOEJhF7eF$>XIqa!YH$)1SVnVAt4a0VdNK1 z4hf3~9$?L_$s~#DsQz%Rpw>}Qt)Ydl`BDVx>1&JU)HL^0AAFRCrUO*3d=%+P&b{_$ zjjfRhf$(XZyQj9RrB;LgkIKAKkkGOqsWtc{nEvDpBnkeIgs+C~7mWXj+KnY49kq!x z>2WFk02RJYH6^A_{K?A5Bko09B~~56k=7(tL)Oyz&XPVQ+_mhixYncXFzPXLYW0fo z(HK0Bk{7y66*?K?fs-ElF4AeFsv-e(0?@tZDn2Va_p&{0Y9X-X3gxrcR(nUp30v|S{r_6niD^7XxwnX<|0wBbhB$M9-fQWP z)&)4P9;VW@uyZ$OX@2pCg`I0J>^yk!NrRyZwb0<0w1h^(rUQa3Fsp@~ng#mvg`M$h zVJ8Np$qMrEw~d`Mzv_5Nf4Q*JgD}@;VW)O+lQKyO{Zy|M*wwK&}?TaU%KhDxC1xU*&mU;{zbFh-~IjH{al6Vzy96- z`O~j|@#{bR*Ps6R7k~VhfBory|HBUlj~J^O9<(hAw)CixRFXeda(!9tq8jrB@)6!1 zX{N>9C?^Ra^GMc0qx0#|?g*7Bwu(-)>8)_|Y<=UN>UDl*EV2$pw16v0h;3bb#5m1W z*V>zNOgio>zqwo_nCm=Cy|YFJ!`OM*+nXc_S1)&&wfU1*Zk_@IPFuHM^$&2<-N!Lh zywZWQQR5FU?ex*>SY?mYhb!7Emiv7zc2cBtN4D`QKV5hWfrfY2oIkYD!+YUbpxLDY z^tIn~SUicb1>nvqC2<`M1B0|NUCZ~nrdPEJT&rn3K!qzUt(~R3S@Tjsl{hWCQ-uK( z$A6uG7p^l)5yg+#WKT=F*l^}s=P5YUjM_qXH=XmS_@ZntLDqgry=pu_vnXkrJAvw) zRB!^}=vfk}jn+$$37q_yW|J4gE0Z>3>$Js5h>bjlXQWOtgFH%da!rS+pHf}ce7^-? znHDFg-IaAbdTnDyPY(Gpk=qbL+4g z(sA%Q#E4Rh)9T`LX#?frE%KuHGypSYDg^gR|>xR(z>%wj(-^7!_CWlmCfTax%5UhqBH6c{?60I(s&RwH{ zDfBR#hFjE`pO7`qO^FPt$od8KQjoL4 zsLufuzKxvsNjGF-uV4V*59{o8oe|3~((NJ!j3E_JS+Tp+4>zT9TZgNc(N)$9b}rt;zocy>#36At* z&*#b71Ms*rx+L|Cs&A7v|6U!NZmr*Ws&Di4?!L7P#HLe1n_4Oi&cp6pEdhhDYPVLY zLM1v44Bdo5KBvMHr>5M77SzHYROmQ({))R>qZI5~wMRly;hl%2?&Cp{Q!}^ipW!M| zNx>RC_^eB3;{^GR`5BKdjZmbm0V`SViJBYX#o~4n+0#PLp^mI7<4`kFBQa=uRRr^b zJ?A`H)%Ir$8tbheGAE%|iCOG^&pq2-w2Nq}mgVzS=i^o3#zku~#f#hVeTmQ;wJXSI z#z_iR0~Z4p6ECzUjTqAQjQO`+K^-2aV6%sU{e3hAvnfG~PNqF23$3Y}`svAY?NnQt zw1d{8C8!eEm&{QAIzg0B52W>2?NPswmR~7km2nd>rKUC^4K-cm)0Q_L@WcIpU6X1e zg09z>dWx#{dn$MgEp2h?$TRi(STM*mUm6RBi(9kTh^lz1O|hyAWvQS@fmTbA4_>!s z1PRBu{|HY}6;{1Dd(WeKYcC!ypraDfqjt;aa8DuvVL2TISe5aQQMxR>PP4Upqz?-` z=~em+kD9$kIi>&DL`L_9pJ+BjB|E`QO98_~>07B`4D;<8qz1&=Haazd{ReVqNJhZ==>m2Gm-gCda+jtjz6>v)Um|EPT-<+di#G%ihDTdj7uKh&6P2Xm`6i>ejgHQ zb)WEQl5WKZo}O5Vl#-$8%U-YCL3)xZGiVlM!5aH<6B8wc==b*JOUDkLc&?2An!pC0 zY7N?tO>%$tcYpu)|J}LYzf)J7`oAT#4J>ok3k#^#CK(g8Xe)*C5M*Tk#QwJP*bp|;v`$FlitjOsiU}dM1N@=Hl&rLF@c(Um^*67l8mBmR{u6`h(s5< zgdwH_66?^eiHcw=JT}mQdSAhs^% z6NXI6KO|moDs?Ue5VE1VGK15|oU9+ZDGhts@u&L~pT%~oS`kR5mo)wJJC3FOuGZM{X;-7%GYPX{X3*?N3#Gw(IDGtr2sYAqTZ5mN` zrwJ`Ji+cwZfG!9>b<*xUmKyg;KBG$DVW}o-98g8&Kb7W$VqDdNw?@!VQxF&t>?dK` zET!Yt%?bVo3C2NC>I9!OwVPH1c4?khy9#)xrK+8OapMWR;Q)=%^|qpnAIl&V+GA}( zJc$rQx9Of4wj`d;d5m;YgNA$`o>cL#`unBJXEYN89tj#%mP{cT@UGCi;kBM@j8lz@ z+B*uU?XUE`_$27J1ViD6O}*VxL3$?Oug^M8#RmwVBu9q~yR3n25)&mzr%f&$W7Z#s zUX{$WSnsLNX^ph|Lsi3XeM*d4(s<|`d9R<*zqzto*mR1k)_<565!9(itw=A{NGwH% z1G)J}M;ctankVx(POb3(IiBiX_HF3Iu$*2!g(1W2?A3IraB2NCz()&3ojn`W`kJ4e z=mxVrV1`omn~$XDF16+mb9rs`(QZv{RJ%-ha8;mzG}K-!P17XCSIxH=3w~|K<44m# z_`)1b?%^bIk;0sY%(Fz5xEP{lz*s6bU{o5EV1b9h<5~7hHAi!7(E{>BQy2n(h8lzN z9^pbtE|Q3cO-NA>eip8K=&(+zMUn;An$1T$^$xpduO1kyyRRDD`6z*E^@3?qU6FEP zQ*UgQjS}IYvpSGm63r;mUyhM{dAQ`BR4|WIh2t*8B}waOdQ$zcI>gpqUNS+f^J-Uj%MBO-(dHC3Y^p6@(pn@* zmvu;3fDja>=F-$~jyv}gl!ikDsTmS#ljI4q5G{#SjpnWTIInA6K|NQ1lS2o^AbKMa zI4SK6T^q)J+4h{z1rQp#CiMuwFLlPBNku>ajWlbA0sN2zqwu0?S+L@ZlFjIt2C+fS)rvOhtu)hQB_ddEynYOq`BmV&7@W?iM-k3@g99shMqku?q zCr?#r$O@mDE#^-0w{l?#EI1uvj`m2rMhdfcr}L$ONDjXf(EW-2JqfV# zAt{o7Z89=TvsPg}*R!q$Pj}i;lePKsgWFGDw$7Ii9pmDMJW3MM5arVm#HEE0L-wux zjQryhH+s)|k5T8+v|d%BKH)Rytscs#1xADpjr7GOHPYw)HcwN?UO=@d0;W<$43YaJ zD(bN%$oGJf1~te_fmFMaT5p?R_2}mu^DJ`zGyrrIvjuXJW&_Md>h+=Er?V zWP_*=26OzMv|GJ>)#RmBdYK$^;hh>wgz=FimMZSxdSHsx&QOTuke)V=wAC$xsCL$R zR+&-V!tN2?lQjVz6E_&S>+_K1tG)|$E3+pjbuCzZLE?cp5%T28$laWoOv{@qE&xC;{Lz=+yAcK z&wm2;OLsSrWy%hoC#lqEr~$qq9eYo)xlpTPkeKBV$Uw$I_m(zNk4r`=O9$b z(xusjex*nA9`Sx%8h!K+=5>s+5tu`E?fdVeQ(nb7^=cUKE&K`$J>x>?<0%*R; zJr=jnmS$}n(l(sPoh@sucqCQ}-T=v;*L&l4gx!<4K;F;17wsu;I)aie^E%2R-Yh8h zOxfRD5Kx>qpM`1gN z23+WlH_0Vi(3&IwC%5g%H%Vh%#P0%G()#Y>cHyonvM&`|aH6R-NrOv=2LYHdGVD9@ zCxwh`0^vidq+8+{^9h#}54jqN3t$7}IiiyEDSPDG?smWX5fB}s8;)4j#;q2&S5*RX zv#zm8*4N-_2Nvvr$nzXh5rY~u;D<(#Bs{GKoB0ttlj_b{aq)JZ>215ASN^?^ma?=V zAq%SC2#RWB4n{>1R{J+*rVTztAfEt_YlYn!DM${z^`;cPSzyJn9M`XevGH+`F1WN_ z%|l$!qzVv-uiYJa>A4^&xK`EP?+zo}d+gvj`LL^EXWUE-_+@+~gsyjs-SGz*#&}vS zn;Ya*IQ3HbT`(CuDV-Nhk9rLXsp#^;Lp9L8xN|X*mh5YJIT!w-Lji)Zu~Ln~N6Wo+ zpS0d(%P9uQ7#&lG-znr|h~$@AZEBMU*iu`1kxY@6-bE=Yk|5oyRVFI+T1nLr{%yZV za)$=ytl7FAX=xoQF!TxqM3f~2nnXsH?^vb40!dJnf?6as1W(9(@hmYMT@^N7RK^&< zKKDI<#_86!3oSke(rNxj;sy&#mA+arl z|LEg`EzUH;b?qfCyiP3Lu22=CZskJhr zR7o`XC)}zNmW+9rvJ*0YYPbb<>5&=yh^x(IE7x*zyPPbT0atDmplUb~zWYVEpD*EHgv#QrrW1!yO-sEQQMJ9RSC z@j7W!MM>9Hun`%M01>sSw1HZQ7GPXAGI#_05}w*L4FvyJhscoNtJV=WFliCr(SexL z?-U0Zv`*SATG*z}Y?V3g-TWv=FQI8r0c7ARZmINO&C!j2wySB;(W4EdL%MqMKWTl< zcx%SM-XI>_d!_fPk}I5=9|MUzkT;x|Krw7nsbEPGgX~bmW@vM~e$q3L4Wop9U~`PNi=LCxZ@j zq7Ks3IHfK262nSxI_yDGv^fFD?$0t)>D;7aRipBA{>VJ#OBe(*GZG${yQ4H}uH=dJ zjRnw}8>t5~mP`UTmJ3$#{tz$Zp3N;5uxbIaXn%^@%kF1MsYDeRBDPH(>xZ_DC#V_Q z^K&I>CjsF9_$+YJ$mRzBnqL!VSRhcXCQ&!3HXdquse~@g#0MnP6pfM{KMlUUC83o- z63W&Z__)o0j&S$VB~eYhpUV4zf9FOoP?4Hg9T;=+3z0-#c0yxr<~d-iN8=uA84;krrc zT<0$5^X00V+zZ~=q{>d5S3`9v??I@P)H#lwCBP5QyWCd)!%A+X+$Rh1HZLbGz`0uK|G9Gn}kYe4DG}k%=`AK^Xy@GoCa^pF4cr+@p`U;p-p zhibINq(^C9t7BEAq0*wx*Za_}3jsVel&FCe97f2N{#_%J>*T%FU3op$1$%YRb4fCz zyjs$CZzV0T47i9GFntsICfkF12cU@%+(Ol+5Mroe9gwP5^;-ZkFiUC0m%S5KUCr&m z#>kwvR2!T1Ndg+G4xd8hT7K2ExQ4?*Z^{S}*YJ$?L z&ro|ruNTLusvJQ1Bneed4gZ3=yw|>`tqrfTXwtTX#dWXvSr)G~HbG}2;1Z2;K`4!u zaa|f^(o7*h?v!S+YJPXwhYn48O9|i~PX9UKhk<9p1I!8PA~lgCk%56Xx3*$> zUKSX&4o3S&)-A1=b$FfQsUcK4<(ok(xJjqJXakRdYSgHl>{NI)nyZyAS=$|3nn(c! zk9Iug0G4U#F*Y4Nc}Oz}7K8(bvJy$b`*=xp52O^ST>*22?S^PUzZSq?9$Mw;pwKl} zmEoqkCdOm2e4Wb|jsC?2%ZVD^m*5+;U+Uq6^Ai@4G|qaOBdS4$&??~H%C(Y~`R-kX zQT4=B0}ouiw5PV3q)Rg+UWasj0;g0E;{8duh+qK;D405TgcYV+GGFSqmN>#qaFQCI zG?tchx6~GxR3h_9%9WmI^zbp4Mj>0(IQX>r?4LDYYEWok1uOJuE^)U2D1)PEtSWb{ zpf5e*y+fO54=d%uThfpM7N3o&TK=V`kI)|VQ)@-AR3izOJcgoI|BPzw=^9O9@Oq0HO%+=;1R@DGm3W(uo=w%T{VY=bRrlAB2Jk+) z$x@|`Lgd8(L7@rcI;>6=12v6H@V;7TtQt`BRk9Wc*Os*3`NGA(#Ze1nuwSR=ast=I z{IzVtMAe#lxwH_`RT7OLa*x$%tC41L_7K0$-*s*P8>;HYcY5H}oDpi#w^nzry!1^* zfwXYWE2zoLkae_DP#eR~C9UD2xxyydSjnb?#o-ToZQtIk8`N89wTN50+te#^rg*8H zE*{~D0F0ZMs%f?HCT+$8LS9QB1r^aE8SMrOs$-1@3X&7gUIidY1NVga>j21U*grg~ zUX0xevs6+DmkM6y(Hxypi-uU#(TAtHiz~Y?nBbCP3al#~mu?N#y2p+e5w$J#qzB;G z66bAi@L0I>(!0P@FqwJ#?$#jN4p-HtZu*ffO}41R5_m=u`G)Y9RvtLNWzk|*PH|zM z{%XmVyF*fMc$e`-^Y(7>%9>h2Ur z1yZTanGZX+jG{pOQHdPHvF*7Uq4;Xj0q$#UrP@S{R{y}V@UrDWbrOWZT=sr>t;WEq z04DCuPI|IK!dX$97bJ4^D5@^?;z;1|1L~m+YiR$J=2-lr1F8?GpZ;iLk2k5_0Qn?o z>l9lJbz0w*t(JcAfZ#q!kp52J^!Xucg7hRwv5|uu2{9gdH|jX8V^LuOq`Exl-~X)7 zpZiC)Rb)?VH>8RczY6vddh2vTWS2&HjWqM`4d)lv|5dNoxf`rr;?y5?{%>fWwEmJa ztrS~lhRkD_ltQ7Dipz0c*)V$gPt4a)7Oh~FQWQPV{*2xr~DedttM3H}hp1=C# zKm6T)w@2q61m>k|n6yca7s^BMK}vQK=Ce=C5~V93QoAMgGy_Kd!_~JwU>BHCwKN_& z=Ghxy(zC-Nh)yehMt9IF^+p?w!Knba)O7{L7r?gK;R0KN$el0H?;sUv)TOE!O7oeT z2Z7ww62rw;N+C#++!6Akq&;|zZe3RrijacjW8v-NC=xsXfIXVaZ>IXW$Lr&+d++Y@ zaclFeCL=&0QtFrKL|Ao@6=LA;n~v3s?CXBsq79L`;Im;tqmqJq6(TxRT~caulFl5rFo24ES9{1$g+Y4?|<<>v9y7V6tRny$8PkUP{Iq**8Fvh;H3kU;{4 zu(x6*`zGCq#jOA}J-7xeqjGO~`M3f{Czh-zy})4}qowj>n-eli+=orT?ciS%+O@dO zu4QxDarlV*c^x_JOxHpdN~ZUK{j!eL?J9qEy81s1+gYRNIhO z_ix-I+N9kEJkJ2572XS=PaGhH#G{|YUe$7ny8EF5ml=1}l7TL@C$7KQJ3i80OZcYJ zN#xIiwk2R1m3&3|KeTf}N?o_suaSy?#M+lR)UT*1)Y9Y&U<87XZ6Z0t>>(fRYtwF3 zZ2~5`h_4EelejAJ5p%X$;_c#|sPFF(`g2cG?3;L7B}UXsD(PK=#7X~KkD?9&i56;R zRBIXir6CwCWm4nXDtfRW7`|w4z3^QfETs5AbiBc7y7F-lFm)WNoV|s_v`9)aomhEw z=hB*~;8ie!-;yq@7D&WwG{9Q2k#;r!Eb%dQsOiFOxlA2HY$>#nNe>qf>f(E#+*OIU zm1>O^vOxh_m9QfK+UExk2P>a8szL0sri2Xd0S|ZSyGt|ZD&QIo3BlUDx)Z9P4ymg` zTXjaOZO>^P>hTV|+oExEtKEpW9>Lh1t(4OT?tlQ`N{X;#4=_qN#+BF4{y|_fWM7OjlmO$vbjd$zH01}%^iTprNYW)a7ViZ!GjP^Or zC4p706GR*??IMOJNj0jGoUW>-&A2;59;jH6bR{GwNurWKuDZ9{-}_uOfyzL6McTAU zMd>8nOs6eX>fB_BMLVL`u zzJE$3dzGrbaFT+D2g7W%nNo|E9vhfR<7IF^>6YK&EMJudU?wNzV^gb%gcky>x{47d0`-xl_2NeyNJ zj}DNIWXWw+aLT6?HlT#snM?R48R;lc%}tL^kwkv2Zdo-j?c)hWs|>R}fZUfPtT%o$ z3;foBW~laA_ro4hM{&a|hrVz*p%n?&XvIqr5L6Kd<@VTTD

    UDvGasd7>@Chw+E08Y`tY4v`M#+Uy7^jg(TCgFiV zyZZPx5~xLPQ1RoN0>4@(>JxU~s{JS~3(jc@pBH>dXV2qH#TE^F3kl`7@{ZaG=)g5i z{u1Dqw!Bd%y460yEC9&0XeqJI@&BUn;#a@?tAF@;9`Ij&{a=6myZ`d<>yS!DQ8KWQ z4?)YgC0h(YQERV4KJCUyyv2|tBBzSR97HEISrVO`FiY0TJvzd^)+#BrD}6yVY=1$n)x8 zy|fZ?E=hT(27v*u-8^tE3;eXC>TtUhUXt8AZq3RGY!I37stR)>ZQ>u@FIWq#h_{CG zhn4g=$W8bvL|)@2!%Hg1%qtxrM&OAArd(?M(Y)+~2}Tt+w4z20_!F8s)QlloU<)6u z-DJsVMdh@7^gO0!fI<1{HSZ5-l+bD9;#?~(pmGmHSVQg(fFT`+?6@dth2A=s>e$LW z51sri!QFT@ohPnNc7FJK!6;$vsbQt2p$3o@{)vBq;8ZV!lEUFKNhKY{?AGY8Tcgvd z@eu>50dQS@C7s>cbKd6Vk>kKx89>g~B+0$cnueZ@bBE5+aq$td5Y^PYk!jZ+h)P;} zw8+U`lMY%#==pDr)xefS6PDE^o^DR7YiIB-EhtUvV#h$6rrv>Qyrv6Z9V7BWn#O>* zqqrn;`Mms>cTQ(1ZnZ<-pr#M_n!Bx*1PEgS<@q9v5XK|Dn5m_ldMVDiM+-!ZF5HAM z9@R?1p+;48L2PMWZCnVUk~2@Mke~WVIER~s_UM|u&@1R2kmOZ0)be zfsrIubYkI*>L{A!%p^9WnGP^zm{nRH+6I#RX$~kgW6Zxg;B>EVU19PLT>=<&_33r zA;Y6eCkAe)Es~omXT|U#eU+xLF*n%nJETcn0)7bn)G|L6^I(OiznVQx_ec(_X%nG( z;0XvCM4uwcejj5_>FVVH)XSQE&p@YF%C>e5O~Uh1?|uM0Bv*C{2;_UX3y^(k(5T!U zK%@@x`#lRhi-_vGny#@`J%hgYcBt2RVK+mYaBvp~f_<-eyTxOK!Vzk?i5}G1>eA^X zfN~QGv{{SCPPPI@s(z`qtV87$E2Mv&kS0Y;5{bIurgV{{`bXRticXTwC;4lSySv|{ z{SSOZDeA5~E*+zh0{7u>)Y=MAU{SBE`pL7Ad8y&25sIEff-`C%DLduMgM!o9`4QUv zsGOkfqE84=0)8BZ7+)Z@uQ!xRchKN}yMZx?wy^U0TwF z(cU7G=&6>5`&wK5si-ig%~e{yw>MYu0yGY&mi)rkeYG`{!io$pdS!LHS7#Y1<4KjF zeYRJrNDlpPu?Z9l89=nuU89Li9u=5;@23{e?E3)J181ES8zN++z}nQ&AJfWG>h`9HF#*4=`k=hxEGr2W84Gbu`F&tA@sd2%k5vLAM z(XLx{B(B{;4_LyC#76cqtHNUv#NW%T<}Klm=d-fZX^mac2rNFSW@+Ok6cm@TlvYn_ zbYUk#ACI+k6ufn+jalA$_n(ryW)Gm;#!3Z#nxVuLrrA2_K>wKg|MCC z-8}uDud>>04kXW|1Fp1}*Z;iAs(Eu{6-ABO(Fum%ww88nF8QYGb88s1z4MNHl@%f8 z3rTB-kOZpNHIJT?R#_1Pit%gl>dBnEFZD6wi<;Z;z5%86#n?~Ts)^cR8wh5lE@_ih zO~3me@$|3$`mg>&X`g@m>39F-kN@)P-~Hluzxm&P{iomm^Pj_OscsR)48Efj{7CBm z0$x`#n!m|*rvy!slc+SYO{p&F7FF0Sg4YRn-EP6_BKML0d+BOVF0p8t@A_hAiS*X<-o^O^uP5+&YJ%LIQ}7zt@T9<% zwRm`yBx_z<*-jFJ{gi>-p?<+@{-ER3A9(E)DPji%gPP7~=`?31-jsSZ_SzErc=d1t z=q_!NuViWMt5fiZud84ORUWmT+@DkH(Pty3a!p!-d`zj}fYdasCr#howePjsTH}aP znJUsrpWVjc_?%mnhd?~lMAc~8eg?BR)LuS{dgLrTNuWWy4D3>)?Z_W6y=23BQ;S5U z2=oJ%mTYTGhr}b&^y(Pp`gn||gcGg6^eGOSq`M>83F+fN8wZ~g=c7F-J?$PPVd*27 zd=s*VXvhl^xjAvZdgA!+a~=f=`=93W7taq zL1G7*(-yF_s*e(H0d3tl9$nP%m4q|+fpYpiw4<>yEv@e-@k@UQ7|=ecus3MI#K53N ztG|t8?g0kf2d$451`^TWdef^Oww_XOF2CGWa}u`_*@CjB^K{nQ%q6$Mg(*r~3hyg11g?bOg_af4i+uln! zm&%EDEh%AZGZ(4rA)g5L@)fa2wo51_?L85YP^~;Xx;AcPi5@M@vp22&#D*fQ<&@fG z@R}n6+BR@+>C&4e_;8(Ca?{k)(fv~neq#5Ts98#>MzRJPo4U#e`CFHs@aiO>>7F8}?QZUi*nf$^^i#X` zfE9=Oc|&|9&C-pAZ*`2-%?X+SuyKu5%^mP-srhIrHP@^y8f#;stv z(XU!&o*MwIb#@u>dlJ!ZwRCD1hM!;1_de7{DD0~f1D(SMvTh4p+ExV7rStAo&Z}YG zr;H2eYwspe4V!h`4Vj6D_N@8Aj}px1Utr%~ zPEATpQq-xAZLUP|&Zy~G!NXfxB)x7JSvA}GIH@Xqpl=sl1PB0q-HkIq%4#FvL@k8CC_#@o@T+#a9=Iq&pz!G% z_PsQ%u#PYA`;O|QjvT+h@7&;5dq&G^;p?9nzGh)XaDie3eZ7_ek=%JBQqET`eSyAA z+_iH!0{Eh6qo;G>S{wXoM7;k6_Wk8w{l~JF|Ko3d`j=n-^ow5ueSiPEAAv7fP6G0&$aw5c{vHvXzuw6NBOj*O1uNxk)l~IKp!=j;y8tC0W~U{ zlw{OXy;pVSEX73Wbfij}19_N?ffl27d-(Y`y4Li(0Do;|zk<#Z#F3@JAB$ z2YlRW?zgKv{>*$5g7V8($5Z=j_$jc<*ncUErONXo#jc=Jn^JKr06RA&P#E{-(v>fR z(Y58C@#u{HGTLd1@oPhwnE#{7cjU#@%hrlFVL7;>x5v^7NiBlLJ@m_j4$^M*5~|fU zWfC;r6EqfVCHuDa_9IP}t2an1LCF)aKuL2+wpvMu@TR>tmyU@rX&$p_FOU;Z*QI2E z_mlAfdm8R4Vu~PtB2JSyG&zF@evNQmeqYwmVy&eO$&$i9Rmhw1;j?2;u1WGpJ`yEd z!qd}+wWCTiTq0X(dC7%J2;$7D4~&BGS#W*Uo5s<5(2$1KtmJ(%qW)T`#?5#gfCN%J zfkA^MT0JdLnKW_>G=|app+%KT{dBPIpi22tKX<_fbhxe@kjt^73wlm`|{Y5~*k z)ltZ@l77~*q+6Q5P@Ad-U#)(X_@5*;9-YM7LoF9W+Bn*C>nl%D%aQ~qq0!0^t$K}? zxY-E5cPmN^*QO>t@(h<``Qm{GZ*`g%e4O=;)sZ>j*;Qxh7qN{?K>(o5qs_e5nV#ukj@mcZfcc zvfy3UsfqG8xlnGh2FNh$EX(ZcTasa|v6kNEjh9LJ6b2S>5|W5SR{_6C~1p1md>DhZ!1up+tX}+ zy1({{Dz*Fcq|ZO<#O1ZO7RXprZ&-nZ%A$5F4s}PU7OvAO95{g)G(%y*LDkq7z;lfX zoa{&1V-?zrD9uyi_*Fp|#6abYQ|!dwx7fUj)tY*YQ5&v}bn=a)M51J&4&I?evr`NF zsNC8RZl;MZA1WE`_Q){dCeM=M4bcUADC$NAbuE?V0*~-GK`y4~+%Q#wwbmKpgP36h zS0q=ir0K|6E~24UyKZSW?K7TEbI5APBncjWCQ9ry{|{l%Sh&>s@o62=Ye=#8pw^HN zn$)nIMptzvNaBU*r0ar~f`aa)wFJrCOU=hm`4V<}ZjeLOoTyP_NS%8qsB9Sd=%)2D z38GT66+`TrO#y9bhbrC|00_&x>d*huhUn0Ba15`GbeJSmvC$*>()MFs(yA%77j;_^ zX-4?A(+57i!s8lB~F;1 zdUIdA(xvJ-S(W^F69*40_S{8NxpdQ5JKz*TQ=kZGNmW9zW7mldsHzcU*b^<6a5l<(|V5lH5UdMn8P)!OASkDH~qCE_4rtC;8Wy& z4R3%F)M=T2-nIIK_$BrRriPVCyh4Sn{Hm>INV?`A4J2$N-Qw9_1=H_hZ{r60ntN}B zdQPWFoGtby(d>3XjnWIc_L9-{#XGH#BWUujK4Qdb-8IiXQ3`uNX8 z;J^R-zyH;Lghc-zzxczi|MgEl@dy85@9N)xO5ePMHT@7;#lhXl>q7Bqv~WwVYFcAb#BJ|WmV#A@7Q?psseLJU)?x=WJOQEJ{=CYUbU z_Tq3g6ysBO*C3`eLsToc&OS(Yz}tA6>U9G8zJktG$EQ4)2h&Z&HlXmH)B{=Wo)LH? zIPh}HX*}3VQx2XVHA?VttECS$Gwt2RHco8@i{ep9f`ytkdH1Me&&SfV#^f#BM6~X} zA$yH1?jcJ(129KvWY^#}m@j}V;6Rc#-dyjF}bW0zm>ebS4(3~`RLkBlDqoDi-upF+S0T0i62q23 z4qpxp8-aiQFjXVkKyZm1>O6O9R2r-ud((cMUGtR2fbV-v(YVh6K#_7yxK{(cH zrdoTCD@F|-Q&0Gfvo5(DZfL0h<$TAFm6pD@7_TDw5GNh>0hY8s zp1nH@1U)q#ZvC}aEa$irp^l!U@5LL0-aB_14HQZ^qH9XbpNkiBK(r)t(YoqGtCP^7 z^i{3sMYIsUrrk(n!1Ki8iWI=H)J#GJ!>Q0RquQcG<;zkbPNE53i^1igBIXjh(!xXH zjr1(ic}fTAoch2#^dL{~#x9Q?+@jYx7MZLF0E2!XK6)&HN6kV`^^j-Dm4V`<$#zwx zAu_&`j3{AYocCeQggFw$YQ2cWu!ga7MR+u*Gxy^7?2AGlR84@s-$U93U86Fy6=3c? z4;3E!Rz4fNbd|{`fCxaUW;g9Ojup3$(BS}flE4*@M3hQ#C=(frNbR8sPj%~QaaEO4 zn^T=mR^zbWwVGDeNO*Sl*I(mVH>n+>0)cgpu%wRMkA3FOTLJHp3d#JEC#HcI}dC{?^%`_AnG|m?t-Lmyp#wc*8(p?zpL-zt1wPg#WCT(-B?17a_;xBOh>q zZ&hv47JDVoMtW8~O!BmEq{pi_J3h5(04PH5VNOQk_Z~_E&GK4y4xn^Ja(-S~I(dt`OJ~Xs zsX9G}Uz+aowOmFT_5~*tV}GRIBWVYB6S|Vs;#K9G(iD@3ZP_DR7~~y(C`NLb zszpn2pWvY3(Q&--%DWoLDml4Z%tactcz| zJ`Juav;oz-kend@`K>T1O7Qcc{HU)E<>#lp^@nMtqd4;s7bE%aQ`1ZGu-xqj%>Bdv zMOpDb!f<~Dh8tgEVYz9Ka;`d$A+HelvL5xw;LTH=!jPFu>xTtla}CRAru@Ec{prDv zIjPZWu(^TsMvnKv9BcZINCFOP>_SUTu z@evEFsc^$Vl~J}(Sl&WmSwdm?ODK%g4DclG z@qA;_9$~97sT8BOwm`bGdwU}o&Mg!+|68H30#zh~7C3c|pXz9JL#LsCE)*u6utS?j z`uqrm?fp?te^P7rl{7M}+QOGmSc7n$^&=E^e-{dyc@In-+Von?ccHLioP@%hgu<_EmX8`y%5vV6uvSPf5dl z69xk)t*H6#svSvrIiqQeXtb*?g2sk<$}x@lx0}hwA57~%E5-bVw#kgMrdq)&$KJ`Yq3Rqe8djT^h9W7`J`qi?y~JZeA*INs`D~ zYOHpCxlx^j)b_J7*j$m9P;T;{Mklqf;~-`b7>&!VbTx{JM@d>5%+_AJ^;5Zir&^Ab z!FcDsWl2qrj73xlH6AxrPz>5b$yh<#zz^9P}$PnsePSmUIB8g z#n!~PL!(dPff;PBlNIgslq@IqL{iv7dZ6(eqX|x{`<%955HH@PE~A<*E$pnvp6qr{ zRSQLf5)?HUDBI`0H+l7-4xT3c+_I%WtyH%JUbXMhEwx>O;j}VLyF)i|*mLiYI0-rL zpCsu&Uq317@2ut465iJ`yDl{WD@B4K@YS_@sLkrM(ert)M;hjK>%tIl+w)8legjzYCEfXmq0XgMjv5qm(mN%Gscxhbdv|x7G4GMLN2viM?Tp674dMF+!Mqv} z%Wz34872GjY##I3MX#UZ>5Ed;csJD}hc=_aD)g;(VK!L}W0Gom;lPB05cfo4Ir8Hr z1E!rSYCjz!V7UaZ83fn}%tHFMQe)YA$pVQ}YiTqvHpf-eZ{eR~f+K*qN(oqN+HDa4 zZfTMmorp>hn=8|vE>oYG9_>)*dmDf$I-!)LYChZml;86d0LgL7jHy{>sz)Jcy&%?m zNf@(eGFhNQ@|4d#cYAcxeq?~=aowS4h(|JG1hn%U2}s+*DJjt??GAO3!_mf;8Pg6p z;xd^-3#@f)vsK29kIWcA=aYav+Kt=zJ%$T+)sx`quN~6@XHAC@H9XReSGiHLh zVH@R?q(9CsnK9TRAoC_znlG6#ZoH*eRlwLDSIw8oSWow%F>P>YBCGMxBr~?RDSTwc z06bn&>nVR^7d6&bq(~{%j~`UVin^q5Fm=0cDS|JVvAq+EfB@}Lf2u9YQ9sIz0Zh0W ziGJ<{cgHuGvADOjULfNiWya=~8A}q9&R;TPCatd>As>~oEuC~MvJj|aX?rYzQ|s?6 zF(%(I79E2AEHehTy?cT;gIS8|$XjLXDk{SrQCo(wXd$EY)Gafnf!n64kz~e(loCLn zDp)izMtskbU{uTP;iED(j~Tb7jC3&x;k)7W978K5Zq>6KPN~fz){*q4#+sALHPR@0 znofMw+UV=?=Q3mPTHO5Xk{OG)ReO*q;iKByb{7XoxUBT+b#vX}{nsi4}*Wpb4h>U3k+z%pSApGio5E;|LyD~o_V{NHX zg*T+uY$@}tL+!U!B4ZjLX$ANw6$Gf|zb`VT?5#;+i0@1G`=&7lpp74qu_lqRzu0Rx zzeL9R78y(G^7|4QldbEGNx-Q0=QzLCYJQ1~ku=ShjBtt)o4I#6`3ImMfi;hIhk$K? zFxs^Atm7jxwzkOF?m)P-`gHWF&5Su})YJ&tGQ@5^$6% zy0h8=F*H8LZ<^&Trmq_6)TX{OWSzmOVNuU&so0?w(ZfjzHf!Bi@_-Pp18+%luKUJY z;-}c5Wm@XX*ef04rAZh~7ZUJ8z!pn7+NaQ=b$3BSA4$8Bcym%v_g2FNvK95%hrrDZ zg8!a(e)CiJ4#Nz!Jdej}IlDxsu*K(wLTs@2Kq6JBlmM-P8J{rXR)(Ffmm*;5%oEphm*R(afWTEuSifcG_Q{Vv@ER zk;kt8ey?((ow*p@aI`y);BVHI9Dm z(EzR~aQTea3a+LotoLdhv^(Grz4G%LH6o>Y9R;Ss#@Zb8DyJkO(x@M?0q zZ!K|KPI_L32zU}ix+2^zYxFK<*!g2s!>OvFwK0#mrC*`EB@rOam1v)uWgq#oYyJV8~uIhN+jVSOF1US>VK9>UqAO)sjojr zkW)K~OMTEwX}XI>Qe z$ucmXL;ZJC;w!!Eg}!_n08H)eQOn+?!Pb_f@we1cQ=c2pt`&*@6*Y?Lh#j7$@g4Kn z6Uwd9`0ewT^2(!jhoXr=9js~Xc=u}AbFj3h`k(`~9OnD-HCtl3%;$x60m7M z@0TCQ&^-0L$IKyb=e?CzTF{7h|BM%$8ZS7a#=g4caej>gjcfkGSnT^NMH56tNQo4B-M0+K6D~2SvY%Rgj%aNv~`G7r=yeEt^>N1 zYvoaB&8gaGA)E;SHNd~pYq*GOf!_!cMrbwiI#u`3Rz1^CK1TvG`OyAsUhdT5)5YgE z4b_uOGT1EfN2EY+8u0yjQ-Tagk3CmK9WC!B27syjd2H$}6|hk&G@F=M8}Dm&iLFTf zkRTJKoTterVq_ie9tvabdQ5R1HV>{nqM%0A5LB!J(!6Ua4B4obIUb36S4FWc{94li z7}1ClXa^DZBDFEe4;`Ps8~hy{qkjH8)Y_tpxRe(0w%u%G19ZnXWmt`qT7~V_wHae? zmUIR4iq7GVwQTtk+;ZKf=-^+I9hVD)`ip!}y7q(tnO1u6&muW4d+D@Q1JP6VCi#*i zIUdDaHT)KdWQeLmeI;OFuXSG6)iq#O`X1eqgaw~p?&%M&`a=!c0%z5(qF#|JsJ(Aq zrxNR?Ym>9Tu@;erF)7{pnvS!;`!=-6fEQ%(7E9Q~V$ ziBm#RP{x@F*hym=q{mK>l;DEq@h-idnLdL8ypeQ1Sw|Ss_}zPE+}>qA?WMUaEpE;l zi%RNUY!Z6=$II<3$I!VN<8LP-}fX0uL7J!o@*)IZ5MtGmV3BrSK;ItM$} zrCsI(vD&rTUP2~Pr}f`-Ogh1csDsHztt@GzGRY@und6~S9}iX1b4s0F!uD_sdr1=N z(F$50gS+|fDy2)pSd-Wk9BgZGr^Le=&jB>l2AOX%CK|woSUnly_drBoM}o%cXWZ>| z+!KL?C(!eqU+p!hZcedAAUd{+Luu$z>tobj`%T8A8RExx6%(n@$vHfj$b6}oNK8K; z#p%&vW4BrX)=4PBs?Yjrs$`ETtshlPbii||>mBC<|K3%#o05+=$NNf7K?o-)(tHEP ze3vo#sF>t06%(>ph-%P{C5-OR?CW%5=-py5K6!s=su)t;|?Xp25}*a>w?%sok10cds3wdoyT1orcs-V4l?aG?H~*K2PETl zI`;cPJ4l<@x6`HVbW$Y&VCek+<8@G0qt?BwG>4b){7Q>A;nb>HqUC*}DHi4nDCk=4 z?FG8zbXBz+p-r>BPH$9#hFY8{`L+UQ)NXn5f6*Vv>56rG0chD{de@Wn00nKl1S|W} zU6EUL2NI`f7@U+zQFzi9`3^SW=^L1J3qr|oooJDt_^%*W}L!Rbh! zNBM8PE@3El-kp11+hKA!-*|s_It?@UX8z3SjyL}3b=tRLC2FEa@PpTB%d+}Hnxup5 z_@?W=olXPK$Io6@wM}Dtow{Vc4?LG08X=1F%jqPHWL9}aL#{ol1VY;36*!|5FRue_ zkNA-eA|(*!q*xBZmxlB()bfz;ByI#*DyQSdN`%dZ_~^?7QkP(fQ&&i1xk^GfHDGYGNvj|k*b z)uO@uUdpj8;twzqb@OTEqWZ>4zAIR}$MQl8>TXbh4ILWnRuc)fg1PB9nUp87B8foy zF93@`biWZu4B@*73)wh6kCW-X~)<+D)IcA1R1qysF3=@aJFe#0X(W> zd6!CATq~|A{c6mnCmj<9K>6j*0(jgqkj9$@Qo>9M0ma;sfox5^?D`|%+cs>QkLzyp zGLl06CIbnNQlkVxLR?HTkbOu7G6CM2(kcnAguG=S_oz)($$o~hH{Rppb2N}ru zB?D>mTQI!62LT*=v~}5S?ot_VHA}K+1b=0pKITwUOhT?SW8t zg{_}uAp18NNUkT{Fw~osi}vuAd&x# z3?xu4ojgwulsoK?GLU;m!bb)&eqJ~EJ{wBoi5q*@HQ6exS2M?bM{ezAZA z+Yd63U3yRWJp`&>KgvKR(5|4Idp zFX;$x8OT#Ikg!?o;}}~8GHn^i80($x1^}oVlDf-$$w0=SWAxabrIBY%j4M-b8OS0T zNIZPYKuRcIGLT8lrjnA8z_=Qre~^JRKgd8j$v{Ti&%R|Kx8P6OGLSlYl+qKw)&E=u za(-kWyJR58cNxh02Pk)tWd5&ZAl=@SsiCRwGLQsfMH`#H$v~z)42(6T{4jKyA0$J{yiDU@$bn%x-A1KJzEX+{J9L|(f&*ZvWY>drX2r08AuVR zMDB8%K!F}`)^1MT*CE~V8Z6$L=SK#T#OerdA1pU4|Ju`*fwcKMmiw#!0L%R_EAc=6 z_SgUPzx}^||F8f24cDDpHjI?nMBAjc3l`I%hvWoW={G1{4Fl^|wb<+pwIL>T{EWTP znM-r#c=*@F6N#c@Pb`|0Tek`ihA1Tukfy?b(yOOJyV{0C`HZ=P0nb?C80K?aMPpdx z5edX5$$AkCnrhD4o2K>@UUt)tbwl>5(vs1>QzPIVR0a+Ay%yLdaM{l*^+*1Em-7Szq#=tJ(_Sv3F$@6(Isr=sr@c{B-n(!i@WOOd(@;_s~RF6 zaFjNfMhBt+XpK^=)@o>TWW{Hm!|>ge^q^p)@uTk4GKK|cM*@+WEWWhYLb7H2$z8{Y z3+K1wxfVmvR+{XN`Rs9fb)OF?m-PPmpHZfcBLrC*d7}Z(_x``9OQ&=Qd&o_zBy_+* zgR7CCVjepjj zT?{n{=kJ54q!`WUAGPa1v8d~Bs-Mee4}|!fw9Ao8-B*R{_AA{b@zD3kNrUR=66!sUri zNd5lD-~Zd6e*e4Q{pL^K*&h@eoVR=PK^RVZ)b!G!NRI*^1V(~2JPj+I6iG|<2h&T* zksGe4)mOb9{Nj+#lgfsEywdA-+V6B}igro~%n>R0{Gt`s7yvJcuRaGJNH#yWe5{e` zKA`4m&d1A~wrXGA#WQY?4xg?^PqY>BVtA9qyBd^P^Q%CybP~!;25KC<7YS)egk1fE zz*_09Lfm%uQ1q8CE`Us-Gj~%HKlZm39EgNgRmiZ)MFQ%oj4o1n)kY|SC^j~vjkKm3 zjPk-yMOSo!4=FFpNtWU?C;dCC(B>fmnlEm6#dYujX=WJcu73cj`^s0mk$51o70euHI}bf zcS=lA;ul;n+yHpJcOC-=uc=KeJn>V*6&F#h7n~}jkD?I&C<1*5k7o7y@xK~$Bz$li zkBj70lkY;fSL*|Yqk)(UpX1R_%q~j?8-zE`az>R$>;M=D#lrLl0bJlBXtqaU@LZsk z5QTUqmsm_q+OW;XUh2t}8`qK>4Sq?qLB}|kBxK?h@Xwp9tzcucOiOaP zgee{HYVQk7IcrYg*-MqoKI|N(PkoH^2CllrRjgEYQhbgxYZ0_hUOTWNc|0abUX{G1 zcl{$JxPBHbn9KNbg^|fsJRV+mRTI9mt|Qn$)?5(=tgX7-Xd0rjLBq;w#Kibd9?=L; z1W)d<=XveLNu7l7@(^`We3T5m@ujb%)x?@xiex@XGAPw+8B>Sg!*{B3i|Pkrx@4gs zfiiXQR&Otz_v=hUAey``)qhwN?^Ck!4>7^2_8KJ2CJO~J08&tn_*G8xKX*Y^lD<)M>_eYn`xX$-dP=4>4 z4cpBSSnEDXOHxkI%PT3Yt<>;Y>2#_96QjhOdeZi49Aov?+@o>)EF##t-{bgA`{O+QN#8zY21BiTY8AxffPx~6{ggGZ`D+iHgOY? zYpK!|RL@AT{!(@Sfa5NJpjs*yjM}SgYaC%htsXjrE+K&g$rpI8&fF7`Wn!GZ6NerUjzC%ejlAGue(mXP|>I#>M%7+2m5g;+)Mle zpeI%Y5!VE*8JZTE+J-|Gaat!uELA|-BSTVjI{v>d@BjAWw}1GT@BjSSw}1T0cR&33e}DhifBoBM<{y_mmeprx=QN>@o}Kqw zb9SB1JL|0}*_JtDbKR9>>S)E*F=pkD`Vm7}%&^yN!9; z;(c#xw7sY74pWBfvCNX&jNRUH?`?z;mNS?vY9APiRT5p=0&bAm<8%y_o?Jo0#>9naIe5p^z zbS}kgS`M?2LqzyT5+>x9|SnfBEi*@BhF*{G7?uo`*4Z+HNw>$m;c@=3aa* z%?!^@vbrU7e&CoaJm!_6E4idpk6C7`Bll&vBONU}mwk1aZSw>3@ZD|In_~9n)?7^9 zPv3nDrpl5+=-Heb3tBb|WSi?!s^3dUb`IY7aAxbVmApDzP20Q8{Br8ijdk?(NN#U^ zHQtSm(U@uHY4={;xkNMiZd?m-Yq>?fH`nPhkM4q-8_PFBKWDzB)OXKw944LTEFlE9 zo@29_y=qJFyh%uLj$;dE^;^u|2GfE)+2P@5o1wT|caLGWc8<(Tg!sF#7 zjePDlT0c$0_OX#NMma`tt(@*OYhN?xFO4n789JwE<50M0t3Eu&$u{sbSWN5fv}QNc zlxWss%Pp+F-Eum0BH5ao32B`To7_X>;ipXgY(7U5`>5Hqg`YYP&QDIWbT*p(9@bR5 z@h&WZ4xLFeg_%k}T*^s5VL5|6#oi68SbENj>tU41IcSb$921-G<(MPzE5jL^Knmc+ zrZc&;8D}Qjch}k79!x_)!~$6IhJq|MJ<-R!ZOHo&@)yJhRZ$~3HV z(J$WeXTkE97`vIt%)nYW{SVfx&E`Kby6&^>e&sK!3woUuc*61=KkVib_m!{ijwb_w z5|0{r?N-LoyoH5)17g27?`egXU+{Y0eUAm$dNS;z)vok zrL_V6uz;MY!REBNmm5~J0TWmPzlEdtX^TF=UP@5XLTGbb-ljkR%ld`sB2I2X=n+c-+OogO5?ItrE>*#2Pl&R{_GC(UjXx|Z`n=G_wMa> z9q`cJwY?d*@j0JcfP`4*&0+RY(mp)iy07O7=s)v=a_mD#GIKs~ zGGSk~%Hgv41`x9dm{MR}9Qc_Z`~V+l#<;}?KNuMU)(Pc!+Gvc31pYYZ+?#9B2^%KB ze({3|7Kg)(J3j!3D{h9>5I-;+GS4Fi<8F4f*x~LMmprFzUdtk`)j?#K%;cy!_yLFb zHa$*zU86PyM{N$jxAoRCyT(H#3!p4Ko=yu6FT6FBFCT{TEjIGQzy0N}fBx~i@Bj1@ zMuQ0k{BsQn3a0Pk$Qh4i*0h6mp-y-TK!K}miGA_ME%s{M2`=*UxGOW_ptPc518#R2LzLa2cUTb{#Kp_mmONo5DgPt zSVL>jmkREUK9B6is0PrfBQ23XykYM+H9d80{FnaE2G=<<02r^HW;3_LgoB5YtHOa9 z9=#r89BJ>lE*x|IgVPTf5$8S?qk}&9Z+7TXnaE?O^E+eh+Je zBVo}eTpFXt79+H!-1@o0xRDJUjoLQTj&NIHAP@vd*!r7~hWRQ6QKHOC9{+06mdV@X^95Hb`ja^I!`i zdhN!00~kJ=1-8=>5+m5OmzmcxIM9%QBBpBBHtRZoojVPUl|N&_fbE8n=D4R57V7I# zMWC7u16t?d9k_;(_)s?o)*d=o1?+A8)~B$SSF;jH_F(_cKHWauv4^Fe`w9nwvV&FI z#=hgwK@M}np#!?G#)wa=m17vO6O5u+XlfHqqQi`-{j`f@5DXMo&#~ID-(3t8{>n*; zRwk0W14J(d%5Lzo+fi`-8D8Jxt>f#RUm!R8Ss=GCW?iSEoZA9&yjxLC;6fj?pUFa~&7?>BFGe7talFStY03oVN7 z%$(xf0Q_*9WicVLd{7Vw1#mk98`^de_H}QpIaWOY?Q>l@cQ6^pW5`G6ydRw0vjf3= z46lNCL@o;Uia-#X;d^s%K0vS6Am zLdJ^Z5Mj3XkP`-;VI?_)^#tR~*}7vflIh!y_{-@y8-zPTF?i&;@|&EP+W}*$Cxo68 z5pRIrj>$?hZ!70$-bR4PvMzad=H!(si#=9=g1u;{AXcRUS`*udIL%ZM@M(aH>A=^7 z90VLtRICanj7fr#$Fb$UjoMRKSQ)_csC&iNG=t}dl!XeArNdnS>mc($yx|d{Iardn z=QL>Y0#qX%FrcyU7#Kr^7&sn=Q_LqoAI8Ki6quN%Vi$am+h*;s)FYlO#tso?v3Zjl z3d1d8)@=38%77pJLR5>jp1>svhtrRT!dnBt;yu>y{6XP}vd@lh^#x?Gkek6$82J4S z-|yDuJS(~))PY#wF8Il6uqjRl$^oy){RFP#as?;@mb z8~H(VKL7nU-+c4==g&0f|M~1M-~RQ-@4x*)L6ARv_w8T)^22wZ{qehRfB3h5`q%&Y z+5h?ehadmbw}1QFpa1avw?BUO&kyeN72F4uF1T+z^ND|B+c<_BZ~~3g(cyvF#@x2- z115}~fhGELJG;&%q6!yX<|6+#*b0l20#|0~O5QcN5cU zMRZ&mAQq|#59O-GN`)avHKh1Noq1PHkOyS%B>O!SvY2K>ipC?x0`p!oyf^oyD9FO0 zz(*zQxkah=h-xVfzlBlD;2M-v|Rkh6>pLx1V_C(D8Ht(gx$hG<(Mt!HCe#rH8eja9l{nafXWbjw4E9 zvEnB*=!Tn}^;S$d1_#8~IBbIsL!k~V>%9CMq*317m@@It1N}YV;d6&1w+=bl_j$QD z9sr5xJBYw?1BE6odAs|!0?wqdgTU?B5)v7PE7B(Dujm*ZiVRHWihGL34RQu{c6W9} z`dAD*9Tfms6;NfH-3t3vbfH9a2}B;34J)1KMFkTujUn_y88x@Ug$n=P0a$()uLqFd z&dci=j=gaJ8%*D1)?=dN^Wfkf{f53kML-yCSrMH)@OWOaQw$iSRCEqadeQByziA>w z1-^S=8JIG(!=mdqP+zgNt&8Fm?P4ECUjPW`7TXaV%BS?f7HE?bW&-zzPXJoL&yF0! ztKpjJ0f<&k0si)uqcA@$fcO>-ib*yic&Zh8KGoNGN=V6a$AKJ@+`ve{$9#nVJCY83X6I^z+qeZ$IN|M9 zJQy(sg!K}{b~!&_pyzxm*c7V8>#@FQ8K6|USWzBv#KI;mHqE1MgY)1xW2PVxjxsL= zEsu(uOJ4qnXHTps_BX@iQIMqK`1q+kuY8QclgC<6Frf}12w=hbt_E+gIrsm$wU=RW zVD7fVf;U#N`4NJL9xzbLfTeI8!;}7emJBPj;A#?(S{(_#fBlz z&3a50RK6JCSU?p%D2>?mZGf*lC4d8=0a=hqyi5Un&c<4|1}`pfBtd{vtR8X*o!s4A zEEtz$6E%U50YtUXux7D`T=sb+9>XXnpa3sU2VBR*Fl$arfoEsjP|KWfr1=tOwO+HiMJPu)cisHdPM!Qiofj1_Ts%qxzx!{`o+bJ~HZ5(*4*&jWuY z*BRH_O~ISP9}r036|oEaE~3SfE`Zk%Nno{Y;d6^cA;o?8aTJcM7T#*(~sA`DPZu&E3@$LbgB?D;|mA%Kv>OnmZOSiwptbZc56Hg zLe{cx_<6wJ`;DV!{jqmD;wVu`nSJS&MZzz#i++Q>!JU-9n%WTy;+TSdgm?4I@Kk>6 zwsF1(8jEel#d>f0iFJ0#Yv8(AfC7r|rFL!}8b9@13QC}B6@%x*l>#F`JSu$!ne=H# zp{x)!{71dwQ+`t@Y&DyRI+7Lr*K%7Y7*J4S9_k5ALOi$w&xz#$l#!=#tJPU~3Q%>n z9*^@Jpu*9BHo4tkx6YpmA()b2W`<`!@9`->dE90wV^M$#aMZ_KvH8Qy=L8lKX&_~y z<;0y9N$M1zIz0ynckS1ZJOS6lf(&$|X>n1Yq*3h>>UA^Y}ltO7DGhWDG_{{A<2!~0KvPs982 zAD0;$T&;>lw9|8@TwD9?I4sA&3Gr9u9Q>&$rNpcN1)gNkEfBj-~F)i(S^kG>zPpT~Y>P|r)Y zV1efrc3b7M6DI~}LOzs5?D~A0K$VAe2VAGg<8I1%*xh3w?zC&3eIEmH1ZjQBp9Zr$ z5~#qW0;ED{=lVA7$)EJ61nO`KuGs-y2j0%$?Fx35eV9jl6p%hvfPFo$1gcknG@&sr zFD7lVx#oApN9PqE?N_p!b#trIdFR%y{OMv0pcgmZ0RPes7|(v5dBr*NXkjfS?CdmX znQ}B~yP>1C8>gs6l@c4xxM$P+`txtT`s!;;^G(Qf{~q)F-}=XY`u0!%{QaN)=Le>z z5NfYSj2?ynrK)^OU8fIljosMMv{Jqf_!2rE72V-XHh?<#pfM|+E8b>min}W>=0cFH z;QXPi;i*bYc;sP+lfY}*kQ9dj!yQDl+AxRPg7+8`VxlbGD)WV^@#t&Rl*ON;4Qz*J zhSRu&8!4+Tw|N7nb5dLfhBcsNY=Kg@wVh_!ILX;5&S)zD#alpiu1q3giGTHJ8!(*p z2FK>qMG41RIw0E6nw>)skt)k5uD4u89`RLJFlPaSF*slpI&O}&FlSV9o^1lYH@tn{ z&u}OdDSqmE9N4cte23fFbmR@_$BvX{hc&{1AmdXZSLYNp?a7?g`4%^yP+w4SmIt2K z+oJT7%l`BUtuQ&%5Ak$vdaaFfysayYGFxQ_Yx8F@O0n`01v7$pvDb5fa_w7E5yshj z^9b?7Y;kq+N~c*3s_nF}C)5hMaaU9{9zgU|&cG64SiKcCU_oi~UkUjlJ8LQmFA)CanwcrXSUl?=f7OGK@s;Hq*MEc&u4&zT&8 zmL)C)sA(v3)DlKeAp<=E`XsD=->P4poUhZla9P3RII>GEdC@z&r;F1JN5Lm;|we9$Wqf+jmHN zOciB#{Z?a-rp{*8gCNBc{C_~*f^w&+?}+;bls4s@IaVv`1DY1H7Qic1f{|7n3dGPi z97^BdA*^!S7lRyP_dV*Tur2k6?V3JN>VvTsUjWK|li zY#R!{C=w3}fr3zTkGQa=4_RVEi1Hu-RS5%OdvM>QXzzzp*04JV*#Ly+Qf$D5`a|_l z8-~OwDZ4cX-oGd&y>^A#im9)Hi5n`;APB6;x@hiEG3cH- zC#K=A-~Q#h{|!6+?1%5Z{U3k*^AA6K$VtCI4Z*o8jIbh&I|!9Pkhw(%yU*gFEpn8A zK*-?5L9wP{FFZz-^7s%>NO@i6nTkru@KuURg^}KH(0(uC8)IcdP}^V_A8nE!hPCq6 z1*oxG7d#8=a}-pe5BPuNlM6N7u80vZ&jx!P4_q}ygyS+06;V(l^;|d~qM$rYM<#G| znrF@ZaZGdPplefjMkkh4prDujxhUuY_O;4mPt_1SIB0@>3yTo(eA9X5=v9W$pKADEoP%SP+Y<#{ zco^j}n?rb-95^?B5LY?k5!NBS6Lv4fjot|da%bA1T&-2+ewl2nwhVbEThjsmh~7~_h-?kLlXv5; zb@6)>EURGDEXVAJm5VKW0sDW0xuJKAIa^SQJf8?9zg59yda|{7*_uV}oOiji-1Uyi zIaLv|;<3!VV$`cn6%Ok!y@Qy_h!l{-%GR1W$7@?#4%69Q6^tT~l`se6kc28?x%3X~ zsjK*n_rOHn+Zx<*6^UQwp7GhhHR$o8ciPZ5DWaRThId;-k37|jvcShZH-Fh$UefMk zQqd~M5F^d#oq6tk2Lwmr0}9e~DxJb($<~0Za2tvyptm;;;#DuY_?2BcwGkY7G(Aqd z1ueNkn^!pWmQBr$9@H_`@=szg;?8G{DM94Kre2ShSjOfdlE# z@}XccEHX6NU4M^pye=%H+Zv5w;n`U5ZJPvooXkKV>#m+oo)6D;|AM#$GE(~0aZ?bQdb2Wu+CQs<1h&<<8F7$lg?f* zo!wwkkh`EHC}+ex+1;FD4+;QYc4yw&9e=o7g-EI_4DclEVb1j#cp?j^%0 zsP$OQbDc6L&Tcs2B|mhwD*hA>saSE&-c3L57}ubC)*&~K1EUkUXlJNJ`AZ{=!)bA< zF}!)jdHe|*gc0-XD!M}zyqMKjUw`#m>F9s`)1SWmBj)m?rGJ%meIr@T28frP=v@zd zCN`+TBPQBRm9W90cYRCOS_7_}WNSvLdASA4@q}q z=)e&+WorlK18-CeLg}umP(*EtX7&zII8}qg!_?_(MNRPYepn37n6wpT8EVr19o5-z zBq4wgS9VK3fy}Q53$;N0I^MfFWpZcZIR^TSKbVIBPYYr~-t<)Rs2Ww{SG$0wqnz zWEOYIbi*OB=4!loB)<9!N-DeRBl*3=0(GM`1VLh;CWNYP(6@&D8(^=jTLsF zEYCfqs({3V%MGYG0$2F&ZGyLK9X^0{><|Dp@YrB8$PpxW3a3}rijx)K-L2Pq#gNL@ zeM$SdCBuWyeov_`NKbevVnaEZZK8Kr(p|;L?Ld$l@YMrPZc96Sz3dY`oR5*W5{F+m z+(s?f4OqrT$Nef9;*pTLxH=wB^}R5tb@lg@D*O=kt3a|D*wY*;Q{x>^cve|PxBV(w zFNi!v1LSUibC7f@Ccv8)QV{Hki zi%jTvy`<6}m>6dhmBo{|Y804Qv0~my`*~n??Z{WbE`OSps&!fZR~aV74U|&Fl{>4f zE=q#)ddwDFyh_WI!MNt~#me?#P0pbbHWAMnU zN+9>3qOBBeEFFRppLEH0RUN6~C##@!EGnNC_H*uLb6QQ%j3-@v#O0#hR5BM=q#25O z-&IGC@ArA%t0Fegn>B`g+0|#0%WBS~ICi~4YSYcD`2!);5R$63nH7(kDteElC}SEEo<`xi(7>&N7g0SV2GUf?gD;)RrmGZ?KgREx07aSn_JXKE z(+p9)QQ_#Utjc~a&S_S(0Ol|_^>u*t==w7%{OvexiW9h!o$|Ab9v^%_BmzuG6MbGk zgtRVR*?yP;xZ(L33qJ8<_8OhYF&a5GzPy zb!tuowStWUQrDMo75c}vB7(uhT=(Sadh={3z8yZj9UExBvI0%fd3i2()en{m(BsWu z2W_!|U)P&&=zMmes-Zv;socO*5O2SVYVq1DqN`^mMMhBfLQo(srwCU}OWD%~1;-!Z zmB26lFrNjcnm1Lw!-7EDg0n+%2gE20cI}F6XWQ7$6~F9uL{(SGLC+^xFoO8C3Nq3z z9Jgj>d`ks8J2s!13|5^3f(@8_RBsOwBl#shCw(^;VF0RhH?E|uZ-~fzRSF}X5W9J} z^JcMwwaA)PlCOFxbx@=QFhBTMwCp|U+f_rn!ps2rQCO*b`g^_9J?G2j_-0{fdsey~ z#)gIPQsaDNea|cFYtKE^00f+B{TMnv9EB^q5mWWO+C%k{NgZkPO8Tl!V^J71`C|Z= zJe)a{HhPuyl?R?z$&@kH>Hx4xkEHLoCw)C$X;Id<-?P4J+9MChshesdQ`HETHBY`2 zOO(UWSM^r$ew%j&K`3W1V-3qa7dtmhA8X?@c;#aGpW&5?jUy%l;y}0*VdnSw(9{kI zLm|vP73&vhEi~bti`7XIxE~M~2zyKb1n9Ikh0w>9B1RNoA+c1u0BWyt zu~ilJ2@c{6RM(rAR4ivysaW7^b@`r(jd*GxSE*RcPd1GXP~~)1=MW_?P<;+R{xr()Ry zhiCBi>J{)jOy8e!vBTmI6m^$2yi#HLO2yW0Q&b52o{EK;8qQ7`YVJZ2v8qPo0Eu4i z9NoSzA>=T>B;?g_0Yy9rG4z8Uu?d zaB1)bU$?chcL&BqNjHh9qRL-=)BcM(R(;R;7-CPtMl2Rrf?Q$6yfE{qfi=xjL zimqBU45lOefjsNi&%5vpYpu3$pVi(29!>!po&0rADseNrm!!O|6N zKoP()Tw-Hk>CK3xFCZRf4ojb~^cG?1e7slgbv{^nga^cDmOkIHbh~2L>jLX}Vd>?k zSbF$bmcID~n*NHV_XkTi&;dz>^up4?-(fD6j>TdY7fV+d#KLwLe%-oFOt~4@cD}Q8 zqizCNdNNp_Sh~4bdW(0K?k<*ob@ks_`f`CD)~Vo&T3<%nVd?QFS^AaC9j{qB|GzJ8 za}Sn2Ua|C}euSkLc*4h7`YDB|oce>MPqFl@1#h^HJ4+WhT`WDFZhghl>noPN@SD#p zy(mu~W|o!o8#{Wj^f)e-KHjr*Ron;o38XDA2C>R>6u8Hx78c891oed3d1vXIq$G|G z3_2wg?Nn-JsH5s$AS0r*^b_P4OJ~KNS-O8==}}HswQWxl^DwwCrvhfN^Z`o;AwuCM;e4@ij{ao$f5Xzp(WA%+e=lfYGaQAG_h}-?H>5 zZNt-jgr)OuV(CRJooAlUES--T50)Oq(jg=qiSmY}n|4n`UM#&{Wk2sqGyS6AQF*ZR z{>;*iSo#o4-!QEG&eG$>($z2do~3g%>s2wS>S;`Wyk_a~!O}SdFDyMjvGn|!r7tjz zi>3EFONZ3*U-C6pZib=8b`HH2_pJ3?+2)RJhU$b=c znx*%TvGmce;^#Lky(~qgOTUJt$2&{Mdff|4|NM(@e)rp_fiS;5LG$%LD*E$eSHMpe zVm<6Czu48otW;XPI{Ojvw|uJldu>)tz478M-fP?joNU3a_RFqbt3PwMt9IEHh9k4W za_k3YH6LcRyi$Mjy&mROZ@e0Uc}$>lj?7KtNvcZpDHfjo7M87{*I5^ zRl_P?o0WrXzBa2}X0^PxEAydUo!C%(Z&v+{SuH=M{v-k3*wr`pSu&a)^Y1jR{G2m^ zOnfwF@~p>Lxcu>y$&wUT-TG6`r28<;+nmYq6Dbq(DrK@9PzUwvJ>^XJmvRdMchK%R zlOnQurA*pYoA{J6aj#P*%j=v;{CLjfC1rBFPMOSCdTi+rLH1$JWIp9gJ|ShY#9z*t zq|jdLF;@>2DLto5R3y4Q3$pe)XHs70Os8s2&?{QYOpWoQWDzRdfExnWQUc@-}4>%|}uu z%UzH?u*nk1anV$c~2~`vg6wfZO_tY!%p%AXOtLAxOPj!IRKoJHtjVRvmN$azN}C-0 z%A52nZ(_o6j!TgFcWIOSI&HGN$(!U?imc36c@y&xWJZDvC6ZJH^rl(vjUs!SH)$_< zlR7?{HfjAX$X=S|zWnN&-+c9Jn&m#Jc5yi3eDQS*7H=I34VnY-dkuc`U=PVp_TsX6b`d3;UGN$stvefhoz z=~E3#T@BFA@!-pc__N0u4cJiaqk{X%k8+4lfjLD38n&e7^*7m=B6^urfzJO5+7ImN zo{hn?oDB$+L^@UIS=Xx30PVQy=eJ(fl>D)5%<(oG!||`y92e5QWMj;sg;h2N*T%z# z`Ys!z*V=|A>|Nhtae&IksP;Q37c|qAjoAw1U)h+d8IT{(#vC8X#&8y=dKI3tG4W&B zn0aMm>^&P3KVbhqlZ}}_RWNmX&HiC88a81>{`;N$_t)fqJZEEy3Z`DMe|hNf%>Ik{ zNH(Uw%fnfYc)JeUbn1oQ*M8orcBxW!V4zn*FD) zD#onIEN40)|D5{=`G>tcH4TS57{pUB?7>?)=`L_@9e~rUx z=Qi&JQ|mbn4RFJEpBc`2#Y5j0Ol4(bGzFum1C3+y$i}pLHU?*)k&afGApS1L583}v z4W>pc{RH`U`O3zqQ@=jVSQ7bHI18EE6#MWRuOR!3tT+NQw-s@N)rkDV8Tnyv%aT?0 zG&59kRkL&)2l@s*oLXBOJCFs@W}mIFHkg8^j9g%}Gs>lfLIIhyBj{&!TozGhj&a`+zG1 z2&yomnQVBTuzzz+G*G4nFSA{#7_X_SDwx_MylrY+ix$^(oQjfyRSXU-#A9TI%;e>| zIs1Ahi$TEIG?E2c!FL_U3A4ZTfiuD9B~1f`+BGY_chqZYpF>zy^+7=Z!_}#-%1onu zgnO}tN7QsCj%uo_272JuA>CbL?4rSkr5d}=63ku+S7{uTmbw91s(hV^n!*cWhKwIA z2otp@Vc4#LMn}f5D#S^x+%9<_y{r4UYrvCcoPx@T4~=1xBuuPhRips>8T)kG4iChs z;`3IdS9BV4+x$ez1u^*yR`tDWaRjuizbG@>OkrF5sk66BFQsuc`e1w2}SAIL%xWu}u zyL@UUS;*JW3v(y*8YgVcW9UUzTO2sxkA1u!dJ&uF{3jX8aUXiIYkJN_=*=^s|8VHV zyoO$c=b;yxzBl0)4x;OAHdbSUAX)oG?l3aN+VM%6)Alyj&d#V%>g~`A6JLg2++*!> zy-5-27ea3jMF0_#u1DlW`I(^?;~IK_r#s@E(0dKN7}wAX5&HKj93`gr{Z8n4Wp!h| z8hQaafcQ-{5T7=`482&5dc8#GdvwV1w8Zqm&SfhOS`sz|D_B$2^_ z;4ul=ja44SlqN5AC-8V64+?O+$*F2F)eavSkgLJJxz?(42

    2F*e4;#F&{_}Bu;!03V|j>Xw`C_=%3X3cEM_d#=6gXa44pgHw#OUYUG zp((iN;WEqG_aU^k*Fa4TOf1ovph;^_gXZ?fpt;pFwd~FO7&IqpHm|8DQ0!~aT;XGq z#z1TE!g`T>&HVO>Gw2Gg!S7>eVi(v^@XWQWX=@_m*PuDHe%vMxbCG|&h+W>^0>6jc*$2XwUsB!>)Mt6ULc1GwKqx(r@e|>=^sUZI%1})1%1y(P_PJkUTz8iIK6 zIj~oCa%m!>?!$oC7`!FNROdDMNNE{X@MS~tFc&VJ8-A#$UI>@cv}_0Qs$_n7iHeZ*62#4LxdYa z`SxKMCs1~Aq6nO-%)lsQR}0Ve zHbZ~Xd4E3(M@%W$4bzRBGS+JsdYnYLrm;mA<~bi>@SK3o=)z5-gnsaer)vFgHf#i? zpm(e!!WO?8yK+yT^Im=b`%N`Rz>IJm#rs`C!P{p<`J5r_zk**3rS7b__4#Eioic|X+RqR6 zG4@_Fy@{d5fd*6Bc^PP60t0J}-8!6m8LF0ZWQ_&oAqyFGa@Qt`?Apf9#r<%@0G7Ha zkYOY(QGF2$%^ePy`^XmM!XVLmCTKY6*Y+Yw%M%X_6>C?;t}A^6{#y61IMm%tm1<}V z(bV>phvgUNhx+zL5xOnj!R$bI;+`>mT0^Q}=)Z%@-uWr7Mhanbt6fe{0fj=^fHLkLo5=X zoONbt&?RXSGz`&Zxy9?&O(-o`k^C^@k*5-D&d+A`fMaek(QbAhOX_f*K1%B2=~Qnd z!C5hfJL3C_(abopEM8eud=WZ+#B-rOpZM!7JNoyR{P^^*=h1&q--Sk8yy5UzbAvey za#z(?DlC2jRL2_|qkj%ZhlPA(7X#kK)v*59 z!atc@wmLgQaVj)-(d_PP;?2qN>j<-w`nzLJY-Iu1z zuYaSA^F;PIOb+EDh%4%k#>PNSW~&3N9t{q}_4Nba)g>qms0wcW6ozh7w^x)k+^zs-vOj+QPR!s3(zjn< zf%=vot*SLsF8;NLxw2HraA77zWRa+dVe7hIsmt z`lgBrvB4!w^r@!Y2YZi4SNfc+sbK-!yp#Ht{Qxucg;~#7cw1(|#{#e~+Wo;)cfXK=`;!kwC4G)l7PEXOmBqpnQ5z zip(=y<*8S4%Y&o)r!meFtgB6?7$|AV>Z93Dq8)g}g?l%#q2Ylr(L9;Eb~YfkE$9W- zaKK`zIlzsu`q|x;S0p%IyH&LR<|WJ7m}r&G23K;)StflrV`uEvt}z zb4G4({%KcL9`EIlC~w&7v3M5+&z|^n;A|2!kQU+^tXdPF-Q})}fB-#++IK|5@&p50 zeOXuiJ0C+Dzk*ude6dDU1u3d>jb0+9xPA?q8BVHF5KU0Yw{=W9qE_FaPd!|5(o`w< zUORZXfb%cStRR>0)8~(PC;DIIHj`FX-TAaQWE)8aW^v~# zHpB-&3wsXWh7FpWhdRypTksM8iSlsK^>5<#p{H-MGUc&Y{JsB_!&kY#&)iERQQK$G z%t+NzKmI`IG~zoZWv-qocbrt+5-s!Yx3A$<8p*9@Zfz^R-p3bO>NS7U9O$V5%MG*t zqQUmxtd3R+iotdNfct0$LpcKR=TON znD4_H#rvUX%!$q43rBRq&nb@*s$@hMoZ5{}$r#Dfmrg(tB-8dws>Yq>V3}SE8?X;& zlg-7nzY(!hrKFqg(`zurlXH^;uZ3_TU$h1vhd9` zE-#mtT+q?$Hb;|gSe3KNV6ehZpM=<#+=?7DA4g)?UK6X{e6`6#kJxv}K&C zZb$5yuAq7?H@R0n7J)8=Qp51-H|QNij-t{o_?^R0lc%^dB4N6j zskv>N5u=MOo#Or7$13248B6B=ZP$+^vKJmOn!{uR4>@i@9;SA`K$+%WHD`1*uxgj$ zMho;O{S1`&?MHBA;)D*ZXuaJ%sg3uhs9Z9CwXUcp6C?e(`pT-Ysz0tNRpo`#lQp#1 z6R5qSS2@h<)4ArF9n)IgHYAdozSxX&$J( zyPi=`#LQ~Kz>O$h3XF*U>yo)h*7Zxxn>TK+VX4w;##LCGTZ>Fvgk!f{Qj1T(3hiBJ zxUABTQlgH}Kph{{8d!a2ky-u6)f)BgjE}$1UEFSGwUYc83Js;oTCzbm39zzD5%{kR z{yW-iNT~JLOgV>D>FmpT8k5$ zx-S|l`0VzIvG+PxqB|;?wbTXD>soM#n21~IZM+#S&R8SCne|4R6&CY%Nk+}p%ZWYq z#P$8sTL=h(v1s1a&|=<#7(WMr8u~T~*iFXJ;%N#IUXNwrnllckg7s?ZLJv&_pcEHd)XtAb#6aeiZYmdALB@wJudA}Vm*fx7MUm^kJrZse zEVt;Q&egF#^TOV`;wR~><7m1Q_Rwnh89*7$UqsN{3JNF<{=Iou080;$JB+rP4BxfiU0zwYw8#JKNO52X&v)|CxY zW^{>-M$@Ni6n#`W!{3FE-nuLYa=~<&c;6RO*IBB|_xMo+ZnEu)A7)KEZ5ag$R31$7 zB_-l&?z+6`Zrt3gE$9D}k@G`9wXLBjMPsScGCEL7IeJ=yzP1-wAXUQZ@SO45Ovh)0P zYs#Tx^vu!!$$>EbNIvv?{*L!ifkKd^d5)F)uacegIOomWBw^}1x^-)I&-PN-spNgr zDiRkrqGSPgGp)aw;Ul|eRk~6>WT6Qd2md}9B%*Y;H4}o)kT9{&P&z|!!^zz+ldMd+PHDt?~^DKXT?IGs|se{S@ zg@qsm!w&dlcR8`R1iz)Q0;i+93ieIk7K`S4ZFk6%5rL?YCt%o|n-*ootsW(TqRsx< zOmos+<9miy1tQ4pzs}cT+lSBK{w?q)#|wuw!a? z_|Rz3^|pD?^@Ux>k(x*ym1cK! zrTJcZ-P=#f647kXc(d`M)A^)R)AO!Tv&nX8eh49FK?vU{AD?=;Vb&tA(*b@w>2v}q zSjOW`km}72FZ^mY18hH=iV?B!QH)Hzz32e_qtm(%KNa~iY31*XPx0N7vS`!&$1pmz z|DyAx)Ohn6nO3d-K+aPCDgN58#`oo)jsV9Ui`n7Zr!Y~oLk}PU3&!WY`wX)~38gyF zsZJ-eTfI&vId9FCynVFxYA9RBYnS`kwW=0fU9Af{-y;t_Sw^h7cj(kGjfRmJYMPT6 z3G>|ejVEFng}VQ>W`%G7CNtlq)Zsl%(M|A`4^o=b=gAX})ZrjI1zK7=hUBN}ik?2? zG!;A<4R_mKl(cqZJmyPXwEho>YUdPEfT#xfcEl8LW4H$;ZKK22tU|a4Ep3CZ$aTx# z(4R}AuMZ)5;yM91SyXE@GSAFs#VBT53`P4;TbW_u$JMg%8qL*&WY!9rovk}t; z@7>-ggt4i(v)x&p>ZK>(w`FDwrK?oi={}$PnZ^KV5X63fu|;d_bZHU51xX>ybuVFE z`2A0Z1jzc+9Luel3TW*0$olKuL-<^6S4i$`A11G_NA3Mj&(-}ggiCPCA+3wGyf5ot z4(j53^VO)n-~|;0`$KgvFMNA!h4GQU7Dvgajcm{t6|LnERPD3R`t>8inB4=i%=;w+Q+~ z&*sx0R@lZ9LDt`p?8UCQ&l?LA;ANEPs8o6}x6DJ^M3SysbDzFjbIYW_)7gYimHM4^ zwYiUrQn!i+eMNxI(cUd=RIh88VQEALT*F~jaFFi%eNKOjZrx`Fs|xrK^=4IqhWy6V zy_Qgj;?T-{PGNG{gS=%*;i2pos299y&^smtv%8Q9;?L)>3cRL1!6Z#oUy%~(2F`kG zC^+>}mmn09FI#WwOfsuHoVdcjh1I#(-6cv7OY^|c;qf>#mUu8mVaQ$*4+T9`O0JqK zb@}EdDEG2vl6vJXrL&@l)oC?3D$b*gF?QLa%vO?Bm5L$*+DT8sJK%Up-^ZKxBz67V zh1@miq{69w=RD(T&zN%sS}y)lunq^8dU48ZVOKaaA4Qe~g;%jN$i}r|oQpoW!zRE8 zy8}m0jrQwECh2zEQ*_4X#9FEBXUFS!VQ>HP9GT4AmVz_Wyia)8Pjq3%jlJV2QjGVz zK}1uF2iiSDJT~7$^*#F&a)QrDO+J?F47x3mnHh9eq*5>>91sN9WOWk_uZXsi&{TV~ zxtDlsti_WQ7IoHT>#x23BffNoDPbW=;-qV<1`}SZX&IvW6}(n;F4*?k%Gzt!H(D(Y z;pK3_8)h!OBW%z4*V*UM_s3gw)1$>5FL4-qTcFJFJhk?B*tyG{>%I?b39f>za8%`B{iBM5#ySq_?Et43Uo#Q#X~AgzA@eJNMcXt58)&BQ zC)(l`78e(EVXnK?yJ%tjhqfExyWaL<`OU1_k(3M+pLZUS_8q zFLlqff}WwyK;wh{8Mbj@Gy0yl&Yxiu=wacN#tarQCUxH6=eJUCZtMyyw6k(hHVuvz^5ZaGmj+@$8Be&V|_0omwvA6|{*t zTIn=7nynO;1JS=Z0{VKq+Aer+p}!;-@^@zw2jc#eXkJ&i>;LzMK(pZV$d;E>MFZDz zDSNb~EfiAC*LnJ7Zru@Yyhf|g4p1YA%ko&JfZMLtmIOG_M|ag^j<3w3 zaC9_oFh|We@$P|UJL|xG+UShB_OirMVb7f5*xSh$bQQxft!DArRlM$DoZe|Ck*eN~ zo%9;RI`;iGg5~Y;I5u*yNVR<#3g))`gDI8_IV@B5&U(AsK191^ZrP&7MPibpiy{aN zKFlU|HBDt%o++5p(4te(eb-w~H>_t)aag5c$_)jtxjSWu`?AweKf-=wy92XdItrT< z9Bh${#@daTb%KaPg)d9mxZ|8=j-xqrs-%%v(5d|Vj{H&~Q@xWqhRkM+UNroM3%$A5 z)5Ju)6pO*!Ji6^gSz%5Ca^cmJ9o?QvV;8HMJj>BXvLeP!iTT;FZ)nLBDBF6>H)bd+1@dgqIp%&skizL3jRLuckK-P=A$crInF5_-a^b8$VDo z>D3@fjDN6X-m}YTq=fP##bxk~%-(T+G(~3pZuq)3d5sdRA^PL9j-ob^o4; z$YA|%JX7hG+tN+#4}H~VCS-oIjRvGO81_Qx&r#(aU;n30Mlc^lnF94TO3Y_caxt%! zLm)>Q$EGlrldk3hm^laRBj2g|9k<9TkaYc~IcvF<>VY7Tq3y;?G3@K*ke^h<-r9M*k^0qJPL!VO^^x6vzSh~oCaAfglhd}^ByZl%yKu7WKMHyL(Z?wKp zV$$w1qdYdR?94cBr(vwAH)rdm>{35xM}VvT!C!6Pv)f4&^yG1S+lvfMs0-YOEOR~l zdDyD4{AoixYm@Q5^vKrbrAcLdOT}l(nETRo*}a7zz_fR8v$$QN&iMgH4b~_v>s$Y| zzjb6eYo^8H-+%tXuD&m_iy2h=fuMepVeEgMv-6hcl=LRc3#w=NNgQyiz{mdF&c`0E z&BxBpq0KJpXb}66PePE9!9wss^S{^n(%&fhT^a+t_KUlBIy!m`Iv*)IU0i^juGcR6 zd+#`W>?iVZpEB+<{`Wbt>#QCVAS^#nX^HKHX`|}!~@%z;G&R9a(DAfJ}Ai4%rOm zVo-h21i40l13C)I5C*X={Shso46$Nl-&o{cZvmD441^gxnVV9i)Z z_M^pZ(-p7}USBH4M$WR<&em7M+ILrD`XWwYc<(8Mch(Kr!Z?F4roB5yZ?Z2hXG`{S zD&OzuG~Fe7kvr?oVEmSpVO)$^G(7RFVO@BpBu|lvh245*%UOqbu#jSNCEvvURS5k-8r1CFgsf5$%HP@xAmJ~pO z(-S3=!=8d8&PzMi;e0(%n%Iaomx8rzG6tmTuhKo-%jvp|4=`i-#l4X|jydSIDVp&6Nv;pIxoKX=j+Ab(a4+ zAZEM$tZI8_cS|*Hsn6ZE>L8jvRo@OW^5q#kUO1lEhsOcTHL$g1cC22n?x|Y!*A4P% zS-F}f^jW+MT`3$VV~i6kTQ#p+pZ--?7oh-WoAb4L!*{3p);W@`yWad6bM08SM`kZi zv_(wQ2C{qP>C%H&g}d`!rwbgq&9QVqH9Qz&6s_6ZzkMBrrTiFkPz~>^cAkA=uMB^)8)(G=9WWJ$1s8mtPlouylsgaUgtjE$`v` zRhz9^t%OcE$^oO?8D%rq_ojw|AGudJbFxo!rv>o0TOl)H#J2kO{6KkQ<-Q(svXhn# ztqg(GrJI2@X8qe7mzq;v5^b+j{Ug=qBXa}WEIXE%IPXy0CKkZ~`dcoSY9EK~h(=U) zXX5nvF&ANm_;=IUgL3m6)Jybzm+a9ii!IX_mQzu;Kj6Qp1wD#cx1&B&gXn8;{?15^ zAIY3+Sz=o98~EEkLtm8A7qx+e=_8JUin&*$O0{l^V+T>5CJ3l1B8y{uU&`3sufq=4 zHm2YeS^XS)NF5~K&%0W_9uCk?vne|YXb+p?$2*h8V$7y_v!C)dF`MfC*gR&MS)6oJ z_At;-S+H%rE^T0A+L&1y2J<>CFZsnUEK`jXi)7s&wWTt@c*F^%|2Bo`ff#ITVzV4% zz>#nzl$udCB8*4XF^up~(fHWZJ&Si70(a<-Qn`EjpSm1^>~aL`a}RqZsu zD50EHSLY1M3vJ_efBl`Cv6*fldp1QhtGE8+p`p>G%#Rt44|7O>ypMG@p&UHxCbW}@ zk=+RG?;PVId-(V46+Jx*hYYB@N@g%AYOS$%x?(eoe1$D9(y+s;h{8~#2vWUG z-^kw+oO6rULj;Z82YLRJY<(5$?(;5a+?^e3$jV*gK6OOa+Q{nZoOz`Br_;c~Fl`R9 zuPgTB%@ck%*xUSwNH`K{&Y#V(28P!*;*)MKhY8SF7}gwkkY%8d z;*{CI4{W~H8&4!~k`ZeHg4aG#KWIA{+KK`goMbn}uc+PQrqBDG&YW*iTo~cQn=)q& zgPBg}v7?!-_Dy;o{1ycb5vKbX;lgA|co>ez{NPa~g{(4P<$u4bmt}O)cc<+^BaK<- zdrttmJm8fV_Z-)Mgf8l?lPk)n0_Q}>Ww*^8xieXdsN$=YimdJXcrusQemdUmxXK(6 zRJCzCaihcNVJRONEFAH1f0 z-;>S>X--Ti3hk8Qix%>rT8vH-#SjUZ5xcyKW^rgwjZm++jMA_% zD2IuR-tx0Hf>2)O+qs;NTn(m(;@#Odc1xb6v^p(ETfux~lZDxt$qBMqeerPj!WwX}B4}~T;`JG^9UG-(%j2-jyd5Dj zZh(GFb(14x$T?oUpIW&aSXQGE{na#pMGHUjjUyn^X7BPiyw~gj)!~bq!Qynp0D~6> zDJ{sq;XGkE0>{aNo%C$%gd;aj*c|P_MU-zfV;}lkZZpwp7rNvqE5CDToZYBwL>hRG z{<^XGUvk3%TC+|A!D_z?caIGy0T9a)F^D>wk*1BY&4wdtq1)EvX^+^{NXRShm%VtV z>@$%KUD~X)*m{+9s^;#TEiQ&W^5GsFJOU|AO6ep1sX zK9SbxSN@HGxl)J#^~-j|ex|*Ayt|;)V{Zpn_8V)Hz^bhB0qU`-g~0c#K=h*9Tfj&2 z$~7qZL% zE;7yNZR3rxhM~0iv44OSqbO*+$b0S*5A}*fY2uRMrxRpsEyBi1j`0WJJJ9ZcDfLr7 zhaQX}+i=X#e4Of`h|%Y%`(nIzsICM`Ja9Zk4{bVN)f@5AwT8oocrx(HT$s#d32*}J zYc&QS4XIC&XA-2S`gS`1ITF}&#n zza4ZYlXcy7fJm;P3A?Q_Ooz*5`mBy&GH1clV#Z#>M=6**!Ih{K2G{QzL(n*{Z~HP$ zA2C!Q|BgSC+69GqcKq%lIK*B+89T54n#BU{5Z?cRKPOh*pA#DGL|14{$ii}bva`CHy;Lr2S%t?pU~*&mO1o)viYL*;zS zF_z1wsf%B{Acu~><8T|AL!Gmv?PwZxx10_mII_E27eYZY2F>FibtV{4bA!2KJVBg` zk;~b~Zb3G>9@PAZ*ek4d*@*DrW;i}SshC+wnfZY6>;=PFL)ljjQOp0a>94mr(ES~s zQz7}RrHG;DL4&{W@}&hEJqwaT86EI5YCqBtZ|D45gs4EnGNxw1H=ReA_E9t$g|B@8 zoPj76du}mUFXB~tVllj?QR@bI(2$4X{9$}ZsGo=5V`Q4GGo>Sv6ww|xJ_Igzbtv#0 zBSyf3Jhvku!*fVyFXyXbU^C8WLrqE()T(T)&R(n5854!LwWt7;CE~xIJfNVcp8>?L zKN^*-zWsNGYi_Qi7fKE~Kv5j%Y=4DWEj1C08xITPGzn9gX0Dd=!WhHNCxw|<3%_rl znX}5KZtNI7A{*Ixq;`Snf$f0e>N$rh%-G_7WXDVsl8zqR7dANi4-uE7LP{-|g6~-O zl~I0Xgo|o7S`l8EmDkk-u6XM)_;qNHOF1kNDl+d$Emnek50{)_CWwKxH836)=a^V$ zeo~Vabzy%OV^cdAi{MEbAZh(Ia2@E8<;3(c=)rJMJwlr65xX_0&%(AVHWVA>G1d7~ zwe4K3Eb4N#P5%2dpJ4k$$TVE&)tZzlKf2vR-cDm0@GER`P=(6s3`*QE{eG}4)$6e~ z(N(id#IXKz!J)KEn*eGDv>gFzzPVeY{&6X}04_#ENL7-vDaO44>POUW<9-651Sg1e zfqEk(5oc!xN2?>O0)x};NVr7uXHm8BHCephaM^fU^GoA2`am`T;I0{nPR3wDxA*z$ zcbF@kIIqu9)4{=g!P=Cl>X{7U#1h-)r+9=RB|y*W{e$~tkxTxY?|g)%#^b-DsT`#d zj0kix41PxsYDr-PVGO76K!?dtc32KQN)I+mjPZ`_HheP{CwFRM@u?q{C}O;3(*6vM z+%BK2pDjUog#pNHP(IC$A~v|__Rx_0H?#bT&PAc>O*Vz=Cy`~IQ$~ZBfLum9zPK-(pg>#1-d3MDLPNu6RdKh+txe+fQxVN)u zbP5`7Q=2+CDnRb4Xd0}jb1AvE5CO-{?1kT03~3+2p5nkv85JEmhSvFzOWO<+u zK>-0o_4jRQ5*t&lrPSV^|Hguzrq|PYhQhW`teRe8gaq(l)89D>*V%41L?}#v1VTrQ zBMwduoFjWki`I=|u!68))||ud5qJ-3`?%OD9)!VPUJ)1ya1eB^yvx$OP<{41bKo5W z&E{5$No8pDD)6M#5rZD>MGwAHK1yQp;heKRhaJ|)|1_z#6P7V^*(5v0D|Rcyj~`?- z`6JUV1Fe1vjS~^g`TIGI(k&a9pVi?MMzxQ}@g#6;DzKXIHJ|RtPhbwlye{yc#`DC* zEh~5pJ)@m_SQy76ST&sTRj2(cPSW7u1!>g8&yei7z#*gm3dp%7^Z`u%(~Qrde}G?m zGB_cmYkCeE(<3QMGQUW}c~6{lzGjBC%lG&_XYmZmoR=G_j4J91t6tM)eqRA0gV#7Z z{u@1BL{j`QSf1H9X?ci^$B@~a4_!_%`>T!!Cp>1IA5K_9D;`{?)<7peZlh-5L8C%uL>bahJ@rIj|;s%9CV_($mNT?b^@ zxCbmqb7HtL2H<;udTh0nh<>yQs;DCoUX8_&xZY$c#@?|uWS8Tq+Y$#+RtFaGpwx^n zx{C8EqW8Z=7=K(S?Ox3o_r2eOjs5S>KioOyE<{shGCg#Ovcih5#oV|;T@BsSu}VtiF=wb8 ze5e!r?*wx~+^>SNt=EPE^=4zyG|f{U^vVI`RF1M0yJ$tEjD3!sQ>X=&M)>g+B%U1^ zs{U&ua)eBxRIsdC0uYv2J__y-q@H2?)RyfixAwXQsDszEBKB8cFk%1{y~RNfg#@fVk}4N_s4Y(rY;VI? zY}C9q2O1Ap8vpoLFZx&%_e`&o-%E1__ueKs4YZ^WMDQ%~r#xDKDH1FG@k&=bkBQ-F zQh~qROufBnZcuzVljvgnU7cjr$z2_~ee*JB1Hmq3l9Si5@(b~Wm%;!mQ;7Y3q()xs zBvuH_1=7yB0PbUMZKjeCZ)jUnYkSm0MgStuc-m*e(~<{0wqd-(B2l%8EHS@GW=WZT9Q{8fK!%ki7K+I1CQQy zPwQ-qcqFlNS5(#)8Dxkfi_-i(v;(`7XDG$Ab;{TTo2!qY{ie#wsZFOi4;m)^Jx||| z%cR=1hoR4MX&XU*ut)GL3v9rP=Lpe~G=RQvJUwu3@FHgA>Zev5xc_v5>_VgB8t}^u zJuEj@+67w=Dz&|yT(^~uraX}R%=&}QoFBSiV)u8IcrQ<{h<_V3kbsSZGV}nU^B;MI zyFHKmSE(bD2>E6>>}F<>t7FX!bctDNc)})Agw!bkT)Gf_6FYiermq_?ORE}mKiI9@ zdlCzshRYb{6NN^!916eDCkx}C^F-K)fNDBWCyv(&%{S8VI(7uMS(MS6r+~jiTu4>= z?fSU^$hjUzHC1}^^!hZW_?h{9bRNE0%5-a9&_=?w*H@IW>5 zfcj}C4I-EAaK!nHRYd)E4TjInr;3Wl4pZ+>oO6NJ+Wz0o+3+j~u315tS6s;uXaGA_ zit2|xBB?+b!cNEp%J2~M6jFn=9j=u9>LeTohphv%H5kW|I^C0~dbnUp3Z~+TX3Va7 zz*>Jy(Y34P8)T&5N)S;g%7TA?ss!sAW(iB?{QJe)(F(eq@)@%-|5Dd=ZRAedN!0_g z1&})3WW4eI3%`q_0;rPs)J#R~bctokX8h%5Nk>7^l-Bi-uVHrJefqo zY~|cNo6jKJIT_aG|3%B_YNAq~F1o6uv4?W*|B3HNtqrkOJIcrK1*jPah{>uxp%%A! zJobiIPlC9vq*bJk1OEm^dChKiPfjBYlI5$ATjuK;#XvY!$s_tgtT7|2TE4KV$uZ*T z?7>8yoIAZPq&VCE+>pqlp~q9_Jhnn*t8EYr#kg(I*ha^yRxz=rbg+#%hkJ6d~VUVs z*C*3O$2u3~lk5(_i?sx@aZ{+Ti`bTbyaGc5Vnv{L`S$ma z4MHj|!Yi&Nm*72cB?56Jkz^_Vxuc@M_ zuLMaLR$RMJQP~;s6*UO@Tm)I)%R}+|hfL=AI!H$Zl#r>%8$FqQ zWv_~3s7bmT`El$$6mWjfzGe!o=9V|tEN<(Y{+nr}w&nph`$m9sM zW75_?+WWzTBL{x2W8X<2YDw0(d6vK>sjZu1I00CX68ERv<0v))>s2LE&wr)U%9U{S zW@#KDUvPx644i{Ql9{(S@fdi-Ol=>b%HYiaCDG*f9v~CuesEJGu-#~gp8UDxPu@~z zDvVFkZV;U^nfg;)$K9Wwu0myHMQpB=ZI+y^7054vl@ggJbfDn#Sk7f6^|!FfGD6Ss zCCEs3Q^DF6s0ECmIAfU#mxVM*&e@80SWj!01d?~J9a@5KS|UqW=F}Oo-A)F@Xp7*tkZh4$^R7L$DL+387u(apvI5W=ER~ zuBD`}8S4qkrzG4ahuwN${K0{1b^0w+8G@i|OM+TtZ$!$~wN<0Td0WCKl_k5e7Y$z$ z18C6D=s72PbLkWiqiw?_^gQ#iUz*Wz4Nq5~b77sN4F9{ul)%MCdf~Xu*G1o^M5skh z1x`TRh;_?giYTWAdPPefLAhX0P8KOg*$it1wu`4S9KMDM%^x#Q>{TyxOp?oimz;kG z8u3Zmna~)sULe4-gRub3kEP6(X;;z3Rf%OSA#Q&GM;&f4O+{eLf{iv|P?ZzVPIUoI zqX;dc(Kzlv>h_|AU zH|Y#`{f!RkuT1)((1(=T_Xo<~nl3SMUz?PIL2fZ9{pQD+-QGb}H>E(Mhg{ey`=3Vr z;;p>^O#tEAi5qbfpj(sZn*Oc=yImfPq!HtR+WkcW4X|7rVvAcLV-dPmOZu%nej9^{ zzh;F@qdwM#11%NTIFNbeg3H|2DDY(93tPnUWOtBlhj^=pD%v8uDjI~giWm%xxA~zt z_TBr~iAa6LE>MH+VYdaFz6VZ|vL9KaS-f>+!HjU~5vt19|JoySUqi)TWIPk6(wE62 z^pWG2DjGpWTU_al4Hdlnl!jGeP~0y@GJd9Fif>gSx}hljAtxRP%So!qSJ@5bsn80e zapfaIq$D2q0v3H{NUBGi*yNvoFF`5V72znYC{8wsf?1xyTE;Z(3dSsT@>Q*>f8LX$ zi1)mE=IQ={rk<#1#xWV3Ta?R)fx*1~8($Tvo3U|3AZgU;oqIXGqx#IMMrcU;EQV zy7mz8^gI{vdQ?5v_W|jy?|Ur=uW1Cs4{r305~YYb?doN!Ji+8y>|2T*8971y&W&0` zof~qvn4)(?lGPnfj6$P+Szv17F#Jf+4MMGk_=Nh_HU9@=)-{Y*^PeqiJe%BuHeC-& zWe_sCN|imFvVL*Xmm5~Jx@!6y0U;k|F5PipWA(vtF2%nb&79GVS%_-(hGowQsu{xs zA>ZFJm+hfQ_idB4vd*s z+MGqFm5QG$LM8}TWjiWDU%m+ex^(vWXITwE&y;fd*A5%KMM;euOLRMBt zYV*8^0_yG$>>h*mb4x4wEbyM*8sox_hZrQdLeYuzglxy&=l0#WgyR?%CdsX`-fc|G zcQI!Kc>*}XKPkdv>z)RuE#YY!h)nPl^yzY zTLLP~8W1>oRQ~Xi3PML*GbilU#^wVg;KIQAF1sF!1My|)RZoIEGrcvNxfh(B{JzKm z?u;vTKtdDoj~MRlC1nFYcI_W(`A!kk4F|pglNWGykDB^?yqOI2UGflRL|4H@H zLf&HFy%@?z@2JfiARV5Lu@_Nezi&yV`+46~7#}Ez{q`R=6#^D`VSVEAkjQ!LY&10? zG>A4|jRgKeDVOjxJT0{gW&_3F$tLA(CW#{T2;G~{z9=jsyn3U^R8G^NK2DQ21 zU;fIi(413^Ls6JI_T^B6rkgBSP=mDF{(_Np77~ji{j?L#Hi3sf9KKPct$vlaM=HNS z+0c28>d9ex6mjv=NKI~W%XoHDhiXOm*IaLFpNIIM)z)z06uQ@zCj6V*Zn(PpDCoqX zK4gPr8LuLKb@4lthgu%Nvb6tW83}paD-FWWygI?hypxN6<3nO7($^R){t9tqv|Ea%=CE+vs$@GdxEV-8XjV&AK!| zfMRVO41Ho6l{fUWQmsRbNZg`@Rq`iKmptv9itc({|LsF>zQ2<>`=|)}40rVVFctC~ zmIk&N0`#z^l*IM-=?X{tofM4L*HdLgf4A#BnkHHfoI818@Kd|7YFu~1wkYpiD~Jn$ z)4+>xEs(fC551#vIq_54Le15t(=f9$=kzK)4`>HP;mLnXdljjO*EDVQph1NbOp9; z!M0pTh{u@2b+5og1lHe9Zo{=`>h%SpMH-6h8xYJNQIla03buXsbzX55MYO&iKD)7k zt_9-G3yRgw0rYau=?dLNl}q?CJ_{#MWwfGLOC;A!nLpw}v%$h-^<&Q8M4f*2_~pCL z7n`TeCW}#(bWgh$OzAjsA)PI_7W)V}X(38$p_`CEkCZ_Ci{YUC*@yprh?DdxZbIJZ z3b!_qDeAH3C6nV5m`-9V?7t&f6C7I57Sa(?uM~6zu6&BPW-q)uR}&Xo|oa1eZ(SgzhD^mqEkf1rsC%jzzie>@tdKmS76UuN+U?+ zD{UhZRC893r4j^8KZ83DGX6UAC#?)r0VyFbT~1o~V?cmb|uUmv>m z6tMoR2JP?|KOtC;aqy+LBecDMM{=AI8#9Xp~kb=0r6F|>@ zSxFppL-r{OF`PLR(iP}2>LGA<`kXIJg{(HPcW(1(Qe|eJrnS$HWiO3n{S=cEm>#!V z0N3(_J>S+Hh@bGFC$9gN@;}{X+jYj7-&3J0)O5HYgFfFRhiwtqLvsY^{S7!+{(OaP z7gJ~9&hp}?pO+rw#k_V}&+nVjVPQt2Sw%F9lSgFA`8v53X>WGZ4yVs%1Uyova zO9EY+e8l2&_TBUUn!8@#j%e*R>PYAR0iQr%zs974Lyp7XkvK1){-*A;M**#A=OzKI z)LqM26ApLfbjG9bTwOrx-U?{lTLEq9fkV0J7Rn3V!jphj=gjZC_ICud(Eri8fYu~C zVcBO+&3wcc0$PVJb*(95`9?tNiqgT@40z~dS(KOHbJ8mTt?W%xJw*cAmb9)n0j=!< z+S#tN!Ur|?-UPHpk#1(`p9Qqr8v(7i7+1o;n}9Z?6B7z^ycEznTkite0!65ecJSJ0 zmw>ii0@{sTJ>d!+alYA}1hj@Px+v|+)-&a`fVSNQwBZua8VP9qO9Ab4*m{m$6pPRM zQ2}k{XmKxtXURXQX z6zi0ZCjr=-v*B)Mqw#3dS!cq?*J+sRZ20SJ)JdHJIveY)uvRB&R&Hq+H5V=qXCpkH z4doy1XTvEA!`UFd1q79zxz5I^^=mBd%0X?|kjJwTHDQ9?aLhUrZ1gSxBYYp&m>i5w z$bMSX>}?4;AV|0ew8d#Rt3(a|l{B71U9(9Ly>P~wC4$w^hM~t8%^!`p#>G8mA|#{w z@#Fb`<9tfzWYnt7>*9PE!}(wZ@yvvRgIbx6;+hgR&*uYVBD6^pv83Wujt4tilcSoI z1nlW}5KQHBEz2OkhyUSQvy+nP$HTxfEtN9%Lc=&!i2w`|DrzBwP@7Aegja4iDG@~1 zaniJr(Rs5H>4#gJOOdJT8p7V@Ld2e=A#Av{%2#aL^ST5i}FSDOogxKX`D)65n}OiyfF6$Ew-A*VA; zE8m5kuS&Kd>b1o9c{_>n?rkn<t>b<`h^f;-FwI z4WV0E->c2#>}@Ve1`+hU=&roF*yj)#ie!2@TG{q(C&BzQH`Sxjg6x!@bP~%d5&jHaGp?tjug8nwBsz0GA)n+q6CsNAF$^0zjZNkWj;+gxBJtK5;) zg2u&4{0B-a@y^?KO)&!}6z8E#v)WuZF;H=DbAfLLwJ~GjHCX|l&4;PYW$bM(Yz3uu ziXaJt)7xAWkSm1|WS6^MqhJZfVR>wGAz+Yx&>x)OtIfsV^@HnEn+wOj&b`gWxV)&% z#opRnys*gM+FS@vRBl$w-__<~Z*4ASTGe~<(B|SbnQ#rM40v3{Kuv8fr+IF3QC7Sq zf2jvKweWOamj%yCM9$VvFWX#{!@JajIMdi0gSOu0;*wizZ*vK++FV#n0<(LY3(*lL z+^U9U)#ef;l-X4)+MOQ@i)kw1*V5Zug4$d(&ue$Cs|Vd`Ra$v!b7}WBm-ed7gyjpi~us0ZOx5VuNOAd$>kHXSZCi%dqI zkGO=lGOLqQQBHZ==2EI=%bDKhf5~j^eSESs?Ft65AOGLk?CzNDX15j z?enJ2JV`z1`+zF5&T|iPN@H_Me)QPpQh%h)ML~|k!X=(#w4>&>Y>x>5_Qut!Ff5Cm zYrVC(+!Fxy6_}3Ysx_<4rL`w8o!t9vF69wS*}52DzB+M*o0s+qOm_~J4PI?7=QPMi zTOxng>^v46f#6g_4Xeg6sS^PYUc?FfcMLnL2e(#DNg&ANj7f;L4}?2xIkrSftN*#Jpj zyhiZi6J3vXhL++>PAy5<*gK|TF_fNsiK%gUz*I%yW)P>I>CUO`-G-O4EiW5hI;Q^m zH@|s}ssHh-Z@&3Izxnq6`s%yS{u}>*xaUvb{_w*$Up?{aq{@cRbKI0~QDZSHtaGod zY>eyDCNasWd11S{bR7sAc_|wEp@@xx<|R;_npy0)`vtcPbND4g8*_+bk=~`xqcT{H zZQshSvRvU?j;ACZ4ItR12OO-XbiaUS{?(~dnI*8yu{&I_AET{a2U`)sp?zs7_Vb4t|irKR9lmpQ4&dp`5Vm!D)qSXj69 z0%u4XH+;+r&6hdrRA`1MAsn9+^46TD|2mdQdCE*U+Ir864J-c`Tyg_wHchD*75F8n z$e315tn^-~Vqh1GVAion+MTl{mMNIo5la|GF-K8#d>o{W?Zaxb8U^i)=`i`MN2~5U zu(JjSz?NAKj-^+rbLRPYYtVRDfNHa@nC+qWx;vg73w+cQ6ITf__+St&YEDh<6D3)D zJgu7HM4nqH1*@Rh9URC_P2%b=abeeKVpD{)Xmopkd(h2i00?k@SbrcNG{VP1MagWob2K04Lsf6)~j)am$u}z`U^Zu)^w{#xTM+u zmQ=-esUs9(VKQHoHMfj&n)a1FDDZT%fMK1l#ud$u9$4yh0+u4rtxF*rG`O#cL(B=O z{2R;WuhLT<*s`Pt%%@u0!m>9PM&83R_?+Nd6%>@l6avo#Eb3gD;ygt{0gY`2H|=8W zxV`LLF?TKGpt2@B-Hi2l>|>N6PZm{yitF=W8?Hse0>{OVYUm*_mlm>}>RiFZ2y%vr zznV(boGLbg%9^+t+eDr)*mkxc@|@JPyQST2D$x6Q&1%tbhKx;YWiXRJOgVryj;8C% z+@&Z{wQWR6s;KO+=t(GvjS3v9YDZ-P?S6vYNv*cNYy%M2*q4O$HoEA>wW#Z;4}4O0 zWKs9fmZ}*g7!R5<07PZ=eN1bL*=f9N=7nnp!PMhL%L}M;0|YHw(avQxEFVZct*U_# z99fKa)LV5OJflf1a(=@+xHYQb$f(lCPFgswVZrK~jg4Ea9$Fd%#pFBDlwh-1!>NkO z^v#+m2L}(uf>3G*;|GX+QjrcAp02*eeQr^eOe4i4bm?YQHn8ggGPYQg;517tIH;{> zf)ea5Wu~%$VIwf;uelMVN~p6 zfKC(DIkd%5LRk>AjUXoBZCij!KND50rj9J5(jc3`GY+E~sYRF?qM~{6_)NG+`bEuh z3g*m_mliZ!O&I3Jy0g2Nj8#zq)As`ApqQOsq4BhxFr~h3E@vzh-?I=9H03$H=FK<` z^aNT~sBB!$ZC6ku!F3$Ybbt#$3+Jsm&F*3Kie{i;?AA5mfJZ(IcTyw;v3Q{;TnCI0sz|{o&!g-e z&e`K&D{-RNDC9*t>qS#itp!2)H9K6YE|jSe&@E86DVj=u0ih2i+W{h! zIy)?OtNvINB)fHr$DIgI%{k%~?fAQ?kKXgEl!=g4n^>d`2fePCbnI#4WD@UHmHdoG z4m^Y4?|%EcUwuO7v;N|B_VTF#n&1uc>kZHw4_&bzPYuv1xQQ2;^QmgzQlrKv_XcQ> zfpCL~+qfA*C~WQSGGNA&=?L*=%pDwtv5i!6>5%F1N5w!y1thu)BqjT09}9| z4a|1JL^VL~+Gj#;o)wp|vYhxO&CF2)G`?NX-5a1cr6UFfGG$f6$McLHH9+?%*N+X* znooqAk$SrJlFa0{8CxJNTpKi!JB35nOR#SyK0Hc3YnlS~-T;j=lJpAn)m$=8poTts%mr8i%>-Vt_niFa<_lb9n?0JS&Wn)y)i_)!{5EfnN>Kwm6GPX{lmNDO#u zul{G#`=6EWw3~%iI1D3y-N*Fw{%6eO>;C7nRpl=o2SNSM<5d0C|NLT14+iDxf5sl? zQ3QMMe>TcRaMnt_jp-TAclw`;(L8STKlkkKt1&%lP`dh`W6Zbw_hT8j|(~JD2pYHw7nlCZihLfId{m-Gy?y>(_dcINyD00%^k4^i;_5NqCK36zn z6>%y582rA5bydf)U{`XnxVql|to-F#!Z7zS%Iid2?bZLh-Sd~aX(k4R=pc(4YSdzI z>wm@*-1?s*=7&Ihs2r#!(c?UwNn?7ziDXhz?&aS9T=BJ0_tEtJXUFbLN*vz$pE;}B zputt_I3r=2E|#%Z|MTdyv+*amWQ=0$H{B=(OIQE1YghlXJEX@BL+^j?`Aa;Q`k#CL zvf8NT7cAGv3Apl?=HCB|)xz#f_16Ea{N;eF>G?|ykKU#9A{g z`1qq24bU9p><3NiS$&cKG6khDZP5gzdGy%lsubqtuSq>R2N_u62MIyrW^et^e0MkS zB9-P3x-o;VK1DSZv5eEEIE15Wm+D>)>e2D{Vc(>8QwGy{H^7R|{m<>z{~QB*G>^Ua z7bKpZGnn{$_H7LU@m=}HeLC`ChO7U%+!bhOcytt&hXU#?BTRq z|Fc&HbA0T7p3Hmw&&{qlJT{0Oc+9Ld7Jxh7&lz}vtmxFqS-v6u=B2G(U>ZvD^3zRF-e^*^hrXjyJD z4WnVrPyNqLeJ;aGoyN=3`k2_d*6D;1qr+V7*8i-TmMbx4(wNYdaB;9$D5EI>{CsK? z4sAaP*>2N%j0Pj@cz2xRB83bPsl)_F2~+|Rm9%8f4bkpXmGpkP_dbiCh-cZ|Jzp7l zhsv->8J}egs{j(y1an(|I0>pUG)U-*XT^575##)juT-6vKQi~SI_81h2^gg-U+J!{ z8IP0Ca~b?r)#HkxTQYxnEUuT;aY5lmr*nfgtEK?BhkPZ*Pk!x|t|X);7`e&d2xikQ zUl}Hem&}WNC7AlAto|Rr`}WH}{@piUeffWW^~cZt`0XG5=|a=bjNlZu5S^>Cj^(Sf zD(i&wm>Ds()95=wG~R()U^1LCasV`Uf8K7Dbv&R^zg@@+F&#gx89%AarR|_};c(oh z^RWCHAQ{Z6f<|c+gPH$;v zNN13IcR$%*o2K(E0ngle!*}S_?HHVj zb#-fn+ZK0Di*7bKJ-$6dWEkzU4^e=t)dDaAx;&7?*cL|$@GN86>v7^0M;4eWWag^J|%8===m2=ByKB}R26 zx1io0zU-;#?k9ZdH_eZ<9*Z@oFdlUITLUIU<%rmJD3u%1$Fq>YcS7}^~X03 z{Z&`TURI3ighe*}*sZEO7IOd>AokN-9@W+Pm@rqhlSS60EeW0`9&_nQYE$44qdCbdn!@uiRD8Q{|OVSHp!UA;88v}iG3aOnz+YVC<#p%ax!8Q`^yaMHxfHM1*sz}PSao%EZ5TMuJ2zpV zvL>mvtJV9R@sVX$f9Exq2P=dHQ4gZp++Wqzn;J$~38@jyJ-Zp6=JL4CrE58Ykv`lI z^TVaV%z2CDR##`EXnfG#>+0$WR#ip%4whkqOUrF8&nC4aL^1KngOzZ<+=-NPwIxj& zG+uhY-r~)_#Ut-ceUo6}hK)^pD$YRzd6MpHumhZ_`EHt=&JkQyQ4eMexUxxOY{T^b zAq>^+Rk|!I>R@&vRk0XfRg%?}c^h<43n#lwy`tVFvp7tmqLmNVx#7|FK8S$dPVC!9 zLRQnSvSHoSiVlzt=OzT?ZXs5bk%Jd9hpU>xQ)z5#tkA(JPSa|kH;Z25yht5>0Goi z+^G(o*?JGD1k!%Y>gmHZjt9Srx0B4Y7u11Ij=0=fAIG!fB6>mHwzXJ$4L8=v9WOi;>MSD&%Trt<{Km{GXlnz3jT=$S_LJ1-~LU z%OkiA;Fr?r-oKqg!S5+es=_(DvkF9qEYq(3sau{D(^fQv=OWQ3oiMA-V|JaeMz5JC z5GACqb{!zmiT`I=*hfz!`uD&7_3wZGW}x};&;R)4pZP~W{Ppj?`TC!31@q6o5Ql1- zuf@xHHqJALy6f%-Y{e6Yju(gSZ#mSx;n3PSv|dvpmHWYbNa49W4Kjb`Q1_NYS8-^# zacIlBjL%#gy66H&IP|=8s6UMcnZMvrD3IW9Nf7dFN~Gx=YQ&-8uJG&}YMwYWibL%) zho%9itw}+7iQ6_w>;4{xYWypS^s+OHI5hMt$uvVIV6++mkeX{Q4&|AEn2ST% zrZHU{x*9b%o~qV&4s~}9jW-T0j~p6q9O}iPVbSi>Ahgg^q;Tl`#G&FiMXa4eD_$yt zpq)d*6NgI7sX;8xi9@H0LuZAJ^QMXycAb^xSD2)Z;e?sMn!KdY_L@SU(xb{|Jy585 z!q9oRW2g_jWR7fUokNrE8ND!ctJ@=n7CvVN=1SGFxFt!#GgR+CjGV14A?=%k> zdSyq4k74NgK@3eVF?1btBb4bF+S8-^4MV4nq00k?s%7)S(DH<#L_LIS?3ZD?W2oyG z+NKUI$a{^(+!?j zkq)9sAi6z@J1-FRfT$6O))t>YbXd;bJ$whzb_3D)0HXNBoj|mwMThVVqTv;YhL<4f-v!arN~J#R9|h5~gQ&*;VWxWf+B+c1p&MRL z5qb%trQAStehZ?y?)nCz7SC{NUwZ?hX4NQ~SO=zTXu;z@U}CghQ9!z%Sc6l|Bpd z&Y^jb*T|hi6E2Cjhr#ddYF7J9-Jv%QUBsa(<>SJ2LusAPq$`cZq2ZB3iK*vs<4|2R z?4ZXstGt5Adxt}FPl&>yn>bYS248UKK0UN^K69w1LAo1Wj%fkZ(9A`A`;xb(kvlQrC(_H)|3?R!N@+(zunCASb1H&^7DWjxa)diG4;~ z6z5TcFU&T^ZH^8ni)DviG}>|T>rvflOur(d@k!%+v@mG?hp%Ty#q`T$i0~aNFuE#f zvZgg`x=0nvqPa^|nZNBMQaIxRHtUXh4LBP(^}<`d(b(4}VBB#ndY4ke+G2Hy&&+yD zs?3*ISf$oSJz|+mK80R`bfK2DPutk9NVz6+pEvZ<9i>7q3FmwlIF1ja9yR1p%)jEO z7FCBe>(L*Y{66`IS&wXNlQ9>wt{!=q%w6s60+}}A1^3j3@nP1ZuCOk~cl2T(SGX!_ zip?zjG8he$o_y&wUZWn>+iXY0e?~KRyh4x<9%OYK!C4X=;m)@cYwXZf`Z~v5kz~_1Kgc(x^u}tKGvMPP64T z>ya3+f|QyM7B*c*uY8p>KE{Qe6^nSLTt_W;^|i44{Tg$jas)3;)jd|hMusvq1e_U6EA7x6YTfe^HFrl>S`bK?0#2@nyF`xlTmdP#Ynjy;VVjPio!3is z=?7)wO42M+--ng6KxW?7`P6`z3Gh+dlCC`9xVU-M``oLh$Y}^LUq|=GlxdJGR@yzz zQC&35Qv;kOa`dwXV@?_3+WgM<1j>3l%?yKgkt6R553OmQWdMhj>L|_cTUE1znrn2? zAXaQo&J(h9x#QuQnhTx&I4H(hoz+?a=t-2loP&h~g2r?#Ac}@3;MW z4Q$*`&42^R&z<9Nw1odVZvz{R>d-T%KYJ|nzOK6`y2jclAXoRb^TI7%n<*Wu3Pj5L zZAA39fsNr@)%pu!0{>ITEs6K*DEAR~ow!r?fLo>yY{dFK}``w}Li@T_S8Ksv@@b?%fJ9rSdXoywxP4MR~n5{%jhf`e6IqAc1|Ac?59Dbmqt#GGFS5&g@^oSnboKCaqxKiS`u(qd z`}ShO?|%6D5C8b>KYjIToJ!X^5-!Jk8c&VdlK5?W|Wmbb>6A!dq3cR?3B zJEifImI%arvo2@Mx-%Llrwkc>bXKD_Upd^;c-3!fN?7Vk>u8qVs4Yj?8@10NE$cmv z$EQM_J&jkp<&&V;vC{bF2;btR)Saj%Z>90d?Xs74OXIa^HU9as7uIz-))f5K?<2gl zj;eX9pJUd2gzBEscrtci))k4(4@UPRAF?I{4~pb+??A@Z!;gCtMDhc{f%YmWZ<-Re zDNu>ZE3hV-2pBBUhP zIw?6s)VPdgU5+kVIa!}2M?O{)!LS46^lpw_>L{^`0Ts^fuK_{=T+lYmgD#Mm&3w8o zV7L2SFL;e@*1!_%6=q*jN1{7CCYH(Ji%ub%v~6Inq8Z6_7R`%Pt9E-Kb=&~67p>Zh zdH<>x4KlJ}NSnHAZc@jT{DwQ`qp+-!*W63WO71P~%H;|2v{O&7xv6mhQZ%t^tMSU^ zt-VtEEOlG}N)uAu)N_MXUCHYt_C=%KqM} zJphzZBPY}h)=SE#U3K-!Sk$+Mp~1Fl0Vdo#R?Odta#6b5s9|P~MQt6tn~4B$Mi?QlzMLvNVz zb#rRf-pj0Mx~`U<^OtujSdy=;97l{&S-KIsi5b@Y*dK;40@^(z4}-~5jTQLgbtVHs4@$bMeW zmC)0F=cplcot>~!90tPs$!-dqHP926y7gY|)`u|>HjdFwtAhQoUKu@U9qmo)n41}m zS_OvDU3a~h<2<|8u_~k2d$n~{qFMyoi;NyYhdEVT^b==%v6H%?239dw^=fPC@Z=>z zjkspEgo^K*(s@eawKrG2OkL@?=%VK}3|9JKpLP(mr}I?yna8QAiD{|2CFjX|hYcdbN$38i!5eQgkkL@%0q9URPfFJU}qoYX)b$dbN*sPv?!kUrBf=9W~Rw zN?kbO_WG5CK{LfzM5XicSkyyE%vF}HO6l0^%HR%$pFhjlIsKK+vuhfZ;yW*;qp-2u zm5$i_shaG^Sk(`{@6)DHgG8&-&WVg+ZdrqX1($6fl+Rn0EpfW(#Sw_BYiO>|2|YGl zdAyQvv%cT-qU(^{x~-}#52Y&|YsPrlE1~CQp4l!(n|3Gln%?Plb=26q((#OWXH7?3 zt!&1;+}vSwJSvh1%L39qZM9|lq__f~BlZ_|7`8J_zOK#?SACpE)mydQP_9<(_r`*U=Xq3KcM)^8_?*}C zsEnlk7z#Xojq0Ebx3(J7XSF@dqau|5z&t8Bp(3s0gV1r(UA%oBmAlQOg46P=c~s_U z9u@X^UF$KIN$rDn*GMY;pKhz@3ID92!abp96G5^rvh=oJ{c1I~1?b2ca>J>I?si?6 zjc35GNjYjYohrIw`}8)e;Z)N#oQe&j;Z%GBZTT0&soHHg)lmC%s?_faeVR@s1v??q zA(M0;PBmTf$3C1&x%qWk`gAJ(gqwzXn=ABcdK(edRd(KrIq&+%=Q>FyWF zN$=ySfCmD@KAwur=fByMz{^8A7p@01P&tHB2)n|YG z@=rg~q~qdE2l?2fkF!rY z$a|9xB(v8aY0@#Tn{+huw%>YrZ_-IGn{+Ug{BbquI72vZ{p(u_uO^*#uRlBC24STx z(l)y-icHj`Q}0bWavR~uSCfu4wl2Kr{%nH|dn;CLPzCbX0-< zaFY%|Rr{q%BQEKT3VmNR>BNU79mo}$UIqKp)uhu*Z_+7h(ka)3p-!$6R<3GL9togs zO*%$RIzdf3c#%(O(kb^Q9mv(`mO^&zq^lPf^|Zci(rG`XNyolv(&4Rb6NF^8dy|go zO*$>Sy`=Eoq%(a&la9MCDU5F}DSXkS^WG(eA8yilc}d~xCY?0zc2h_9%O;(8Ytn&S ziNbo5j`i(jlTN)g=}59*+`UQ1s7WV`D@>{;9rxiT9nC!Dgx;ET;yX<`xTYJqUQIgn zRg=#8)0%Xo)Y*ut9-DOP`%OA-!no)HKYW*WtC!0Dl0@qEMd*$Xyfx{>4>swT-lSvH zq;pwf@WR0{M@AD?oB#`()T8v z<#m&e3dL&3k#gL>cS&Kt0rG0nX=>8JUi2oNR#b(N4{vg;=53EoWfQ*b(V2umt5T4v zL<6bGz1O4To_lnHZY0mWM~5|k?9m~nT=%8LdykGZHK1NIQ@^9Iyz0>jw;mmzdXJ8# z49(S5dB?{)3R~~dG5#Xg-lLPAdUV=Tk4}5;(V2RW&N_U!M<=}K(dkKRdljN@muag< zhjVuj#|>AHj>^^2W+z=*KqzUS=23%KdPDaf9SyF*K68-vrXHO>%f4YmpYABE*ByoP zHDzdAcO{!{cND(cqht6vqY0vZ8t_M>)<7e96vHf=2JPQ_bfypV=oBCa-*MHVftNuO z0OELMN3R|op85klI`gaKXnCqd5BKb-?zVl~qtovwbiGGM_bH4#L{1Y1!AMT_G?aQB zo_cir$9r@lU@@BHVtU=9gS%e`R!QX=~_w5XJgM}%y`vS6B~Fj zRS_;Pc4y_%vR*AZF~*^{=xDQEceNm zSq16)M4@$fXwiv6)U)5AZJ%3o;{KlnsK0tMPw4;n@`u+ria)~aVjrw#=)~Bsf-r7g zi9`ud32gC*%l!tzb`5%zP-s$em^hB@UM0aP^}2x&6bz}~KsbBdKv-`#5YAIT9|z3lx4LN(~vb)3Sk8%Pp3wrHu?wFH2= znPCsQfKX#fJTaZsEzh$CTDg7R0L*^veAv#TjLql@4D6fkzn=4T17TAQT0NBP*5F)m zgYHs1obHe3%wXWP15J)1*XIien}*ChT|g-9o-QDiyMrIXHci9XFCf(5JyUNN5UM<< zS-n{2Ny8$mM7Mo#uw4{FYu1D`ScfhQ)0oH{ho=h&v(cD&T|j7d4Qo)(YpM4O2x&Br`C($nSwn%R=lchTrwa&0#btSQ z0bv{Wq5xAD5ZYGm7Z5@LCM`*Gctv6>$Gnr6=lfk35U%Ek#lT4{@QVuwt9iPB(A_Q| zbWb2QTvh3+sx)zESe1;?<54|dVyMS=HT|n52(i^B# zf$bL;5boCng!a0CQ1=fu7;y6hmV4Z?$tmFX4g(Jp&84D|7rI!04GGM$k4k&IfUwt% zj3%6_UAo0#oHJ+2?%UG^grd(8f3T{&gx$af1P9!ys+fq0V(%c~q^TuVhI`V8)9gp5 zl2U6rmZff1x|k@IQQ$FcY4tmMyx%5P#u{|zU%&TeakJkwB?djbikC-ERbQ{D+TRKiZ};rhYN-mV|Cx9bNB#{h$(Yu>Nh2QzMP z@YnT&7AK?oI~EBGL{mvk?QEenYHoVtpIglul4?-G=eDTu(QhBzCEeOps@DC3324;) zgW@G+k~s8EP}|~o&gZIHw&}HOt7boN&2IZdkiB9HrXfm&x@bzOU&6Gte*a)GZ0fAY zngbzwX|S-me-IBJ7ahBrbg`j^D^0q7aJcRtboO@t;QZ?TL3Y4Z%Qn~jgTQ25cCe%I z_VTgf1@>uNhSRf#nvZeCXL8HWcMncacMsyE2m{sFg0Eke2pRB(pROJpoOq2mzezuT z-91Qr1AR+YVRY9?IqSpSgUfaIVAO3Vx}=qzuGaJG?m@rn7BCH;)ZK$>VR>=)V9?!z zUHPCH+k^pGtu=IzbR#7S;CZu58OS-E^7Vm!ELv8 zWwra=gZAm}!BG!J(VHkJkFQC=h&%XRmleZG3|DrMJODZ75`?m-+{NO;QU zy9crUQVrg(9vpm8VoQ1rK;L=yL(XcOEkHMlh%2!>M-}o>bjwD=UhwI zvG*bPU6;kqN;2IlZsDN7E*-0~>>kIyOUb4S)bI;|6Q;?Iv1cO9aM+36U2pa4$sgzQ zt%6PL1*5Ir7LW^Gj2gnB8-Pr|RnV{g0Fso5Qd9=E(NdQEu|rjR+ZDc`Y*{b zuD#B`iM_(QZMu-gboJj{tOQ{ED%~H`mKEdD#eV}vqpSboDiKpPRatqz3fWj-{&sn*>MRa)i1X@OJUD86;EcDX$O{ZsR8d9uqyBxffOQ* zO!#+G1KMXb;6RYrm)9+E+vo?gLn`8U{eYpwq;f zQ+*#6OHiPOB245rs5+bZa?rg(8dPudlGCt-rg8FH>ytuHULSi@X-{2G;lxhaoLAe$a;iG3D|mC{ ziQ#Uqsit{`2B+d#_E_MoNEBtS`G(T}nVbHv5}gy82M&TQJF$fd%kYDAA6S$ERU3|` zGH!SoKdv|RpYDyAU`t&xd1^GzqU#*hK*I|QQvU&gyx%=*R)dDL+F{xFv-?&EywpkP zx27UN)hxIWmFimVS2nwp7Av9o?B>MZDO6WLpgY|`+|-dN=o)D?7Q5VQOF+0LGu4~? z?+m^E>(A6AfotQuuV;+;ScDUGFc&gO(X{LG*el(o$#z|{bRkm#_OT|!Udw8AgZO^% z^M{|u<OsFtwN3zc{|gYz>dvzt**Xt6}@GLh(gaR+HUksY_pI|1Ms_ z7TZ_ARBpgj+%@VjywLs?0aKWtfGJ8GMQAr1ESX)^IjtNA>osiC>su&8Sa5SGG!>ViK=Wj0b6 zY35w^hgDg7nWR*a;Ljhupd`WHIo}GZahA+e_eP10pe=8ePuORu!FWXtYM+?1#&QFG=u6M5#b2@x$gx@27FMmVk9*`@InSY3T9=OJDr@AAbM) zH{B99PWtOt-+c4!|MiBHQqNvDRqwD@726~^>dK4VdbwtX*Lepgf2nb?KDx{BVflh4 zaFuDcLr-JXD~+`!-Ia-LvRf`N2d|`-D~qLxslI2iwoIg|v)!{;jyIfF0?;xNi}f^? zN=&Kl4zj$`SPHkANJ?2OUA0X_mwOhAw{XsjB{JAFx7QCC#B-^-gLn?Wd6f`mR~ick zSRr^fjk8)+mBqp@Yc`XOwm-M;>-M1_ji0Q8^R*FsItFxK}O}uBZfT`grjg^$fQrKFa z(pb+~tkEp3cY$zh?l6@fvRK2F##-@eN@JD!n8td_V!2V4_GK2UG{Mv@i!~4(Uui7$ zqG){7($iQ33ec3flyE?hF7?42>$*w}6Z82+7OM=~QyQzjOklWB}Yib2Bau}{OR=d(zMpNyS#(L_l@b@g1y=AeyV9IE)^;X?e7E9TfXx^l;@DY9N zEWQR;yaM@ESuEWwkb2YDG<9jnYA>7`l*X#JG?s1~3RfD7=n?9Y{MG7e;d>U#M5n8A zB-dRxzz5F})Eqhx)#s!vactYEG?r$ESNz-rtK>XZjmKyN?p2-MS>yQ^94K&A_Z6pG zB|dV4HYaAa2T1ocmP8JU3^XX(%Nw_|0i*nVzl6&vO@CzD6U&S4um3 zBc1H-H;wlpIK$QGwjE`mXYhL76{GSouaj5OF~NrlDuCl#x*9co7&#s=KVKu085WEMgE{LM?^n>TgM`UH7%8sKBHx=Wd5J z_ug07+DMegZ(N$4m#UlCTvhKtRmY}sSWT03)yw0Ga#(TeIjjhfg`ojI@L(;(w z)=+jhk++qyZuj6{ERuk`B|RmxnlgX7E8%>~Wz|C$72I-JDm@P6A(>@*GV3Jdv78&z z`#b!0<+5s0)*J(v-pgeTS29a%rUF_|W+in#$|ydv*_F%M?TcL2e9vVKv+!S+BR#U& z(%WFeC7SziE~|Dl3wvSTto}-7O|Oz!if)&h@{3efeN1KP65607{OpF8Z5c^8@|4SJ z&qYUV%||kmq*M*Cw^Y{PboawiZkL;0xvXNOd$^&QzfvbbsVvA$<#nUM*I1ONR8||# zd>JzB@QGX)s7_>p3r_l6AAIht4OBa?=zGj*``_3mV@yyX6?i zUTFxiObxi@P#j zt$2;ryk)$GQ#WoX<5jPWSA3E2x)|>B-~9g9zkb7T$3K4cnMU#-c2Lr%`mp{6~UEF1ZNLt zINlY4>-R0_;@MeVY!ktSs}5P4ZZm6}8Eynu{pmz-%SCWulQ`69hB^;`J@cwpE5RqZ z`kmmEs5K(Eb9f}U@<4Fg1HqLif{XPv!6ij0)1aDT!>wopOuu-pL2T-Je3PaC0ZP7$Mvn!P$%8 z%D7J|JP38O{fS>vgX++zAd}n2K6a zr2B>7*#GMEYWtw#!j0hKjo{LnHF~9#IpNCFGr>u278YH56UM0CsncVo0GBwd%|mcT z1lJ3ZrDPQ%JHeGKr@=CT(z@;vGQn!IO?k`mTOpM-SS{zkU&cx5MPkbmJHfH<>sx}8 zWYR_aQfATCBOBZ?_(ixI_h}%$z>GmAb}72YP5l8|)gb1qt7@lBV{3?wBYUcJh|?|H zuNiLG#Bft*xO!tapA|0SA@IDLPy3pl3z6%43|GwH%bHY)Y?YuI5DL?-EyRw;eLgZ= z@3L9*MsQKrxJM1g7sCN#=QLOtPL)WjkxZHnXRk_REWFaTJu+Om7|uO0T#JO0r^_kfG@t#6{nFR*lEKAkL=+_apMyw|RPxwa5?f8~8Td_$|LGMs6SHH$11k@msU&nukYzi*JjO zFKdxfjV(8TTQ`hKh*!hI@UpLVGS2{49|2BnwdO;$$YursocS2QwFiLX9bW*qRQmvM zXC6AhsZ+lLTum3i*$y(Mc21jwYB{_HILMm+&b@FssIf)zMt)Qmz165cY&D}|w^fv< z+H>F(ZJN(f%q}vq>!gBZ==1OEQLr~J;Z4cQt6-_|CFTxqbxW-mEL9hMfVcbjl7Ux! zhqs_Po(@}em>ayU#yr8>{seF45#BC#8y?^-3*PE8ynX+dgq>4#C0($`V{2mDwvCA~ zaV8VnP9{7tCw6keiS0~m+qP}%p6~I#+`Cp|b+78~+O=z~u7_R!-sb<{#Xyl=zKrl=^GXCd)q+$T66dSaS-0mP`5r0GC?F!4BVH8 zoUiK%5UJ;(8PEwB{J{ABRN8f~H3iy9J~=MVt~%cj>%UfUw*OmTHuZJCK#~I}d^rpo zBH8#l3lpLFyd&v+IUT#&6n?fi{3M+D*hrlMd>ov`9+7+y0S+Bru)c0~wBA#Xx;|N| z0a7uCpnm2D4}*^#*iI_I+}3kW=j-LzRoCmV%?tK0-~;;+;C66+n>e=erZM?=m0T}@ zEB~9>qB->dq!V%Usy%5hqB)rjcyWHbzj1c=qP188*nsS{C$rz}{we;vph>CEt{cLxBd}UFcjJZmxUxOV5^);Tm@dB zw8+$-%&u~F2WoP;>TbdpJkB_~yRnR}97Q=d0dyz1MQVY10B627y@T^ttAq1r7PU$J z?6H-HxzUvy&>j**=i4&6Ql9#GwPnsH(4jVIPr_)C8uo)b@>N8A(*A4r;QTQkcp;)P zIT&~kZio2otP;4f$zsv0%O?;xN1tcg?CkE-4Ak37so!JyHL28P$w!c(1cCvSPXXQ( z=8iy9Dxmn^W}D%cAn5o@_{VLWi0o~R`-sGwPD0pyA{FY`TS6GReFE%P%szPgA}3yP zaEoV(U=koG0rpMxcKb{3_FD7SkaP`*S2A?2U6Iht|`QBwnx~hsCqxN{)CH zKr;9;+Bec@ZI>rrY}@bYND@3h&GIGl zOcSQ{Oe3L0JupzZ1uHgn_@bghy-ZCItoT}`L?w|+2T0y-ivZbCzf9zbdHyleN_xp3VL~!Ilvi*L6 ze3VME^L{P2Dk$tIJb3CmncA=-1aFefyVXAR63C~R^UtaCWvTCF?n`v`@WVnr697N? z_07uVa|HN>D3iH$^nRg(dzW%I7VFaK+w*cW`LZX?+x00qIVF;Hw2D_XakZuy11100V+M;StT<68tU8N5*|s=@r=DzmJB#18eWo7GJC9yJeWrXS zo(SK3rYHdx4tGLpzMU3+9-YPBX;<(%We89O_E%F+=c&ORJX=HI$|pLxmNo65RsvFx;HIrO$JQ=j!w zE;*aUP&n}3?O6*Tht^&{`YqnGTl%$MxL10Q8T z<`tjx20f&rr(P7HL*hx!k$06KAm{2tgng@CaOw#N@_Gq%@`-WDN7*CuYGpJ1icY8J z?M~fIjA(0s8v*)4IXL)BRm!?K&tX7u;KSK73mku+BMG5p+NkFVJML8}vH=w!ahV zYf&UgyE-8`@@|Tqcv1%<^ms;D9N!7KeVDgiX3S$5kpQoBs%P${e!?Ds@r0&*@(odV=jm+dSDve4M~ zz@qO$Q%~#q6Hm4*pm=a5fyVuZBoRe*b3Z$UEhpcT26g{-%TY&|9wM7L-Ykte|*I zKJ8}$Tsw=uu0b~vkG#>DHV(Z%VfFiPa=tDv?q9mhM(1xG#8Pw8bN?4kk2%CrRUYpdE*%)t^l7U3y3FT{nvC3TE|?Ibvb6us&W=`m{B=bUZ-i za=FF`Zn-p?RL41dT?3Yir9yr97+rQ7y+Q}KNOZa1T1=(muRhAdxzytQ_q^o`4ZKSP z@$I4O5E*V~+iWX)Gt!uNF1_+ba?21S)ayx5QD{qlPTsg;J3h7r{%-~nVoo<#v&(WZ zwMQbeOE8y@%Alg`b^u*WfGFP4&kk7u< zrE!R|mH+@ZyNp9TOx?abqH_tu!RuNYU4JbdUEeuL7_l(3e=A{MYA+rqs>$y1XZJY9 z>q4b&J(%=D3mZ&O^3ZzIBKdD?MtrBHgAvVq}Nr)u?4oc-#-a zsfx9f^nW;K2+dt7a!oxIm1W}Qb;>8LMHj*`?Q#ER^rfeXJ5ML#2wLxaa>NPiChu%|B>%&Mh6$G){n83q-LS%T%gzEjfxZi%Q!;(G>6f zzUz;>8@wbSOjHLj)E+95_q4FlCHwJ*zMe(ma);4zC6OI?X;zg&$ZqZWk#ozRSn&k0o+4oI8lr5Ed123tJ; z^RURfV6n)1$zesD_$to{G50gY&Un$OpFVxPWaRYdO0=@PniNMQNee0R(fl_huA3eB z#|C@#Wu$&OrOOTbXWNvxH<78}q__!-1$KyO20Y9|UU^3Uh0UJC|}}jxE|S?4uzI`f7zRc3BeZu5Ux6IjNN+?{`7Mo{F#PI z%p^Yx!o_C<8rq^lyNO;ezJv7HkKn4sg?M*MfCl2Lx zY^^=8YofLTim+A*%+MBWddwmuAwo&c|N4xqaCb0>BS@YJ{UHRk}hE57=?2VKPiKKnp@+kH8o z_ZxtZ36if9<*%*-R$aQLx3R`b^*NBjKGTALUi6opRhxA+6LhL#ga4x8h9t{_Ww#MZ%eG*N4}pjeOxMcD{fyXS*o>qPK&^=D6pO38&59dg`HbYt*nUd=dN?EUh^i3%0+-rxgM($4-B+r_fSg;_rlk z2Q<|`_S{14+>EsKMRKI;d=N8tXU=sd?9_PG4p|rg4hhxF^P9WqQ+_}Tfh8jaf^-s& zDiZ26iX$dq&rA2!JQE7WndS#kU-quB{hA$jTRr#nNQ!V7UW7)JpNZA$Vg1@HYh}pa z?6rj^VsW7;qMmGKZ*RP@vucy1%FE`ps_A+i)%}noo0vCyJ07>^{=~6~+l~wS$%ING z-N=o!V>QlC;f>m2J3FTi+q<{RS9DwWh5dScX(3>X>IB!@-}_FQL>+}QRN|kP78i7O zbd4Of3f{XEjppL+FYZ1W<687=H`cJqo(?DHx_fIaXfANl)p_$9t3YG+&Gen`NP<|8 z{eGK$7lNxzOco7HE8T6k$#d!M?mg2Il{>t>>C$IH8*G_U^0;Alg^j~kc4oly!yqm; zqiaduLsI66lr#IYp7hAzghf<`4Ivl-JC}DVf~D+tGiSnM{faZ-!FNL!@23ep>dTqr z5IZGN`J;^`yCe$d?3IqH+D@+Zjuv1As$g-nP{Y9D-z5u6E|=q#*h@aLeCi$BdfZp2ygEI;u zeI3bb8#jWQV`TV?w!pPGfgK(U((t9Mta*l^K)c-`<5fkOnzy`IgNSiI6SL?I-f6DP z#Ns_KR`bRi!abCBQSSbMXqC~cZyt;R);k!s?|bHIdJU(H6a_nt^2ftXH5wZNkch@M7)&*J(%6TBF>Ot)0kSN%~a1RXBrV?FEQuwnBmNfvEsx>6IXUy67}{30&H99XyvsuM-BGp{`K`# zm-Z={(*3t_KW1A(O!-$Rzkw)WIcbjS!gx8xb{%MT?Foq9ELwHZK=U%JRYer$JPHZ@-^x(V8 z$o%Z5i(Coy(yCLPm|XuPEu@9O&Hpr?uwxu4=BC=Jf3|-KOM99d8fSaBv?WAnihm*u z`L0iHu$H)&fC|y)?&@x^fkXxpS3^9BVMV_eZYRzBeFyCO+m^+s_B&vhN6E(kU@!2t zT~%0Lt3Y;FmnF*?A9kMdqunNb&RR)r*gSdNy}0N0k|3hgABo%Gi2(^>`4TdqmY4j; zeqzXvj26#O_m&YP!OOb49=@MG@vdQ%hQ z52zn#_U}@XNQB=y7v=6}u%n@Bd&uMdHK{3gY`i>v5ZdZPFj=wa3@tf~V6YCW0cWGO z%(&J)zaLHYlhI_?NDFS2Hm>h=*y|oHz#H`~y6kscQuI&E%Q*6Xh)-`tuboLjkpI1W z*!CCU6gJFO$ z1$t96kdMUTte?Pr5nc5<$f0?0J0ODa(@5<}@>e3y*luupb^(7MHB-yW9JDijL^9aU zi+4A2m>u3F_1{}vQFib307r|6(!A!l^#fkneFilM?GVMEkZ6`|@Z=tf3?w>EHn?s3 zLbihBep>+y_l*3R#eB|+_r|4AI(>OSKg2c=7`e*?%4oZ za~fUSWXrhmnNhG0wBOj1!+OZEDN}89xVD5zu8d<{809Y`)t{F%UGFDbpZhkNb6?kO zS6}a8SAI{KTb~~uU7%{=>wS$xxa1FNNcVALX3yHpV8ZI4CZRx-pA(c`)j8AO0{B|+ z$3xX74h-5M>^#Cwftq~{jFlk8!Qw6>IBDw2s;X+7Zg8KI=cWFvZ%dYo;{FtgI3a!m z6g8s9-umAuUNC0lNO~QaZ+YUMQ4~*W9lECk<~A7A+Rt|;lj5{hzqL0i5nzs_AJK2|yOXe~L5o?MV{*V)Yqd7BJgV;+%qwaRmN_JY>uQ|3ExDTrK31I3Jq2WK;_`Pg!EhPf@PlBB z2qffWrBLZ#>%%dIC4gU+f_p6As6!Cs6^S(d9*Ww#sVaLZl2CkMP5PtA zj;n%Le;-~bN?@Zu+t#udg)B7$oKxL6MMPjsZoXZV%KnZ)EWVm!w$=06$=whpMkFVl zMQWZp^_Ru{!#^@M9})4?Hf3D+o!rWrJ|nmost_W~N&SoHDd!6x{%vF(P5+>jot~4LB!B2{y>m@yE0{oA}JD7iCKvZT7 z#0{0J=qC!VgMUwygSJ+^RA=J1zFPTZhSD61#*G;<_;D~___3UD&=-C}Fni8~{ zVTK{y>*oHdI@bDp;=?-iSiKGK!6dpOqUKs~g6G!DIw%#H{a*ga6W=P&Veqr|Ii25M z2U0r{@S={|?}BAKA6J)W1$u77Da11rT1QbBA+S?@ZCIT|{UL$-KGzqm9)imln%=~S zB+NgB{}82y#ZolcUCTs7TSG zz(G&Vb7=-ktA|?g?*~7%YIRABk1!y6`8xP$8gZ2fuA!mHV4E&fAhH4z9rl&4BBnP3 z=8Sesx!rY-T)E@-5F(v>kONF`;O^tEypqf)817*fk9~%P;X`uc7jP``-tqUSD@5bS zo*C9~1u*B~H?R;0$&89H9E9Np(t>xfrJxr%Nvnb^FyQHzafI0nvlEpatD1KPXuv#6 zy9+3`qAiex163TKf!YF4J|t(;*!wK8`DYLkn(lOvjX^8$DAy4wGRbJYnAp?G={KzY zpE{_w^eVIljE#0Y(+%-p|}?3>m3-)5892ew+gby{kPk+LCBqgMTy)j2PRI-xmFb}cCGM{ z?e4;_g2GFbr1-l98yvA0^e_a>2x~#+srFN!#___npvy4BO!2elN?$0MT3!7>6om>* zt^y-56V{qHnSNrWC{;uSI0s0u1(nVu`K_g`KEbVN9Tkr0_aatz3~V3&KT9I{>S9uO z1FYW=xfU*-8=*CN{x%lN_9&>og0|}*2nY-VSVI*Jx&BRT#BrYg7rKw$|l3$v+5oF!+;oH>F50o5SmxB88vz zaNK6}+E3QouX`rVjWSV*Fbs`mvcjnBWA?161~8Di62=8cP!e_rH~mG@4{pd&eGjm_ zDGIha#8xE#Dc&WEia{J!G>d+}B}?_rTo-!*tJ)V@53msL-v|?0&v0cJDcY5!rnzM0 zwSi|$XDPoJHmFN3@NpoHIBNyLfp-oiG@io4Hd#4_;j z*d+L2_RG+wb}I2+wOJ9zdi8`69K=gXbR9D1g^ZMu2k+5j@*H8tHRkP_X^O_w!Z)bE z49Ty*7w4xDhIzzRMu^nQZQl#_lit2e=-FVc3gTtX75!anD>?T@+r5Zb!J{dfy?uoH z8A$SS=TC8NV+h&z?RUp)ik}7>`{v3`gtiSYqhYSOQd^aHpk?3@eB$e6Rs%v{G>sES zLLcv;#}SbXD~`<=o1oOrHj6ENcWKiD7{tZ?wKJsjveMDu6M8%v7~Q+`gj<;OH=o|! zO{-qe54t|bPxoC0Bqc23^YwQ1x#sZse)e_Sm(%$Mg3t7k_&qsve$C~4UY~9Gd>xT| zer9o)Zm=ZyOlY`bfU`AScO+v`6!n4emI(5gDaM?pyU*&HhoR4`c$m->Zj!P15BLqe zQP+L^(YCN!}287B7PWN|7g>*hUKH=9ve<2NdCG8N(v%x*GxIs34Qn~7Y57aN|2ot{(E!o4Y%2vdvM(a z#IR(d=8{)>57E+8J|qgfT!x16^mkuyqH_st&`Y7$F9y5YQiX0%q57db87jV;T@c*x zLw3mI+kU2KhVZ7zO=~y^DAh5757|an`hdvD&~OFb>R35-YOj`1Y~BQZmL+NE{;VC> z^N-2T8?Iy>0XVtLR?Tmn@%PWp@@5xW9aP}(_aoAptIZt<4*d<;Waatsyg3j)5%<4W zeSa0eIO4QL24m0VCk&?3uYqk3R;i{fpwxc+n(`~jH);rI=s~R(pIcrTfFKdFt-+6R zC5h6N9U^CeSp+l+kzDVqkj}F9J6lF&#|uF|1xegS+wdVB<2ZNLgAXTN)YzATxFq5S zEfK)_=3trMSx{*DD}B=%L!svyX7!TUP|}n}azj)8E6Y=SjA$o21k-eVVnLA3T+Sg( zYZLHt7pi(zwmf))!O046ct#F&4@1y@H&%E}>s@A?CE@hJx~{1_EjNR3bO>*e1cNYB z%#mT}eQU;}X>!LbjHyY7v6F2l>uNj|z?&%Zk&F9l{^-A#>%WJz=+V%;tqS$CG`hQg zpofR{SN%j0Lwof+OJKNQv~=CPa<4ctH>8$aTn*Oe6%>rd2(AHdz~YTS3%amF4y!i{ zEL%F!e$>+VOHImE;If2+aHh7vN~Dr+QIHQ~nFEHZ)4t|Uf>A1f>YEVP{!7sFnoPFb z%iG(Lyd?B%tP>)86VE*34y7$-Ck9CFxUK1`LA~6Jtf8yOF*X`N+>faxdK9)3^)ONX z51$x4{|zN3WkG{Y%;HIMPG|ADpR}8nfIgwv#vru+c33?0dI;hxpwbbI z9aY4vTO2<2|&5wwPgN37pE{dYG~; zHalMqf+!l?=L1^ZCSHngdp+F>5R8hI$QoW*Q-Pa;-qxpYV%@ z;?U0>&U_tFN75biW^ame>P33qf5;`}VrQ~`{n|zuC38kVDz3CP*j+we2y%Ak^EKJv z(C}rdTVLihxNq~vvcz}&_F)b*GH-;n@=!gnoGSdcR1FTn_T|iOlO|e&J7 zQu7v8;pOpQL)@yeT;ouPv%y!jI;aK#wu*$>Y|T!b#xsR~b(7$DZtw`~LVs$bWzqlY z7_c))4tMJULxeXbi+gZ75xq9^?Eh|t)G7Ka=C6O#Y>|9Vlp-$EAIp*ik_xndo8l#^ zQTx80M20}@o#jWNfSQ*YSR0eP3e)uBq;Imkxh_U$LI_Ka!5Bt^KuN~!gq^cH8)dRR z!m`XTncPD*ZvPRqAfm)*f3!WJD#m-kI-zZ<0webm zz2D!|N5PDvdL#dcrb~Wb)EPPMZK*+iROWzM=V5o=AlW|jTb60j7*U}b zvFL@hpy2~_r!o;VR68X90dqv$(-@(ZU+-czgHrY9Tdx$LDs(3}m;MF`XAq`oAgx*G zXdt6M)EgN=c0OD9Pp6-DpU(qlQX!2?H%%r(>d#N`0YPm2un$Yu9WrX?y31fD6wGvw zt~|FerqHb9Kfz2jKmojs2=I!P$f`u0^&Y1H$h5yby!2`8JkM~zyzFxwf5@EA)zcXp zIziYEuK4wvrBZz3Gk0u)y84;5tA7D7QTIG!vuvM537k2K+ai-a9=Q(>_K~{ zYUsb zxQS?ng;ccJaHCx?ytGBS@O4U91~Ikk@k@pr8Yv=B*QBVmCx--_iR@=QD>|KPIy?z* zv3(nj+(S!L0f<*H3$%m(BFY7!3-{xY0sb;KQAPeAa7sptYLxHf6fV4j@jGQ_e2c?I z$K3vKO@#*<9t>cGszjvWW;gp>AeG`IjU!78Ttic+!17xmV28PXhshWLtN9Vh_H`k; zPS_oorPcG}GP9Gmd+b;RB4X+4fSV|!QreFUE%6zzk)=lr-d$CQoi&9bB7MhOO}3s8 zx7qKvrdlf~6=1?>4oFvlyqRKNXvDuw7D6g?32P~m3g}sXXWI?xUk4zIj#_v^iZnVe z_XugVDr%e%Z1bNh<{n0IWAj!9yRwMw-~&x#1a)F$_wMB_Xp;o2`I8o) zqIdxaMRPc$+V==OSCMEl(RjzWe@kU3up%W<=maH zhMGMu#kzMAFubeOE3Db+>2G`eX?p}^&$GF7=4|&Mo?5=oSg_>>$@0vniklOP)|#y-JB;$`b2*Vx|aQ!Wofy6Hy_U z@VRb>HKqsXXNoum`xumQr?Z1!;w04&GMgRw#Eb-|C&Md4<)1TpqbeVRkd8ta=J`zl zrzAi_VtZ>}@NO_A;J-C+(a0sVz@Uec&uL0GeC3b7F2iBa(Q!96F_{kapJK*0^py~7 z@`B41l#7X6X@OMNi&e{+g1}dr(3U4AWMaoyxJD;i~s?H7ldG z11peq?OJ#_X40)5LrERFg}>GpWhD9L;T-3Bt;g*O~?nqfg?7@QKbq#=pI z6jHb^yuB_&YF%CsUkBT@A4Ps>xhgljS{#xtoRyR=9R%4;oa8DP3GA6I$?iiOfF$35 zLJ0f?1dMhME&nAh>j0aWO}*Y2;vUaf_4Pd20D?QCbwpiOIz)H{+&vIS(Il=I$9n1@ z_U~@+5)qKFXY`I)7iV0Me@TvbJQrl6!X#NR@WrpbZC7Hq4Oad`q_)ABo+LW|a)d-D zAi#NChD~=6MO61+<|UJ4cNgdLIOc<`sKrhfEW8bK(akC_*_<1|@84Zk?v~2FQz2d! z3r}uHs&mkVX07bG%8VAxzT3p9$(idY6e0@;wzUT2q8BTtb~2P|QBnDq*+Z2Hjh^I5 zP?fxY<74u7+IVFANv+u{{{qZARW;=whK))xB+d?YSginb3objl1F8YR)=V}NtIcLI z;tQ@Plkon2?!vJ1RIfh^|c6z)hV|c2Fk2{eCmWVQS;_l)NS5!wG<*Y{BlkFbGd~TsEIy)BSfEJX4 z9j7F2x>Xf@uF4OX@S|1q{_Xv0V!Nd^ml2HMa#WZO{Y+-ws*Cc1RPD6~Asl#5)rh2J z0Hg(x{Fd-=y|}Pk{3{b*Yf{5dwFk0@;%<##rry9Ra`twK(^9gHGm32T16jdFr5p7q zO77i3Oy%12pww2s$YT59C&mD6@=7_P_pMAQpZ;i6st$cO(LdDCVH&%ad)mR$9BV#0S6lBj}3pW2Pq}__W-J;~d2@5#jlp zj58m{J+IYX&l~CWq8JA@|9xdndwf!t#T6RJ0$oo z6Gj}AaEPW&&^jeCCVB+M7?T;Z^-Llqho}zFJoJe0^Uchr>TYKfvZFCdAnM8m@RlK< z9$OQexw)sLOZRC2h-xpPW$PmEkIjH*hpmsDHxa-SD&YN784y_ae)6{U{u)#l$Gt*p z=%U?>nUfC5d3p-wVC6^)JrXU1()-kzH4O!bH|$315G`Ep=>a2qWU_1hfUP8jH$Cm)(`21eVXG!bzR|#t?qW=r_K$iWkVyTNmT` zHde^#Re75@E&S|>Xd7uPZ%|?dM@v(e&7;2(s}h@Psro6Xf(<6*4zcSexjSfyI1)=p zw;}4GOcF)%c4&N~F{qHH?Q!Np34ALfrq89*S9z`imB=!xA z=UO<}x6Q57sT2GHyNU|jL%_9DS^GiLo}6$TJ0AwLW~)I$qLIOHGC0evi!wt1!ylvw z%tNC9jVSU{;e>bv#Ex^7_0M)5m^joIE$OM=;P!`Bj~8;ntZ#iy_&Gpc={C9qe%;ah z&;)ZUWektLJm`16M;XcpMpJiQoA?~ASjONY39g;FHu-mTEzU=fHQm((jGDBfu`G|W z2+5m*1Bv_hY{>Ve_%%vf#qC>2RZ?OfZJ9zjhHF`&HPcA~OO$~yvUTaIuvbL?m+kyo ze`OELbVzQwobE94d4XKcG3a_OSM2=0E(?6c| z(dT?#^s80w;8PkL>2oKfP)yYv7}v00?W$^1AkJxg;&sHeGw8*^t}_*jqQ7rra?yo^ zja&Sqd3BcLk1=GIWQ-#&@AiNZE;A)B+0k&nw>|*xNNrnIOl?PRDPSreY;7ZrYZZW$ zvIJT?%RF)GKW#F2J261xdN7YzI5!3Bm(jWO%5L~RvZ|SXnv>jG zMu8z9q8L4#$I4SDq`jNi(9Y+3X`+QDg}@o-=Fm3j!LXRfwwz!U#4iO^F1xoLRG_tx zyyF+}qt3&SPBP=4@X7$p(#7e(@B%o5TNt<_w5FEHOs zBNlYsWyNwx7)d*Jx|@j~-LHNjPU#pa(!B@GUWhQ4q@%fp8x)zgGtg*kqPySYq{(0R z)ds?SIZ2^<2nWaHAWffd1oU$)43TN9xO$+pnFww>Q_DhZDNeCh!Kk2x=)061wThGG zi1s>GJA2eX+R{$E_0dY+J@pYd!TWXfACX~{xWddiC=)IS8SJl zX4q0{uTLV#MeR$w)qYbCCpN6{ra-f^}KbFf3IKvbok+)+e-b>7%$ur%&wGd;_!Kto~Dkzyr_-T4^u$ zRFledR4~29BaT16B}YeBl}#NvTAc9m$KE{6gjz#`ZT7tlzb{3EjDy2H(JzcLqB31| zRYS1dU#1L~{K!Y7a9B&0;{JK+b#6Le*L3TB}Ju*2t-{HY|;}fAmm^*k>w3uOgImoLBJlt&n@X6<`9tQzpE4h(alqaqx7AbIL{kJdYcp%cdi;m-QsJgx2XY9w9a{Z z844P|TTCTi$6|^kCtFXdGhmu(7>pGkY!oADd95zj+I_o4m$cxLr1F&`luoyl#)dQi zri9XY9_rGN$d(Qu9zUf7gWN0{oWVV}E`@dgE=x4244kMF6A15+AnfsPat$kw3{58tm_qp@1o2C~wjmq56=GR+ zhl@)rj5MUiz!m4*kc|*wq}7?)sU$a3$7CZPi?{i7a771&b10r=wEjc@-kRdwzd@gI zC$;POW$NXM#}{Yo>#=zkPDJh-?*d^YKB_p{8G?ZTe4H;dzk?{_j*)wi1%p%wq3SvVZU*{66T?vejEwzmy8)S(G^lU|_t5U8b1cuFBcYHpBxHe?^cTBN2 z*#@Ii-x`@C@hX`MRxuxZyT;0qe~{6h zvFEpubB?$zGT$z>U<7pxAFTBIhNYTMH#K5dX}8dil({d%+AP1_<|A9ph7Ja8-1gzS z=IEjZGR9^&c$6EAmu-_RZW|%%R3`S5)`kv9PQiAvgfP#9rbUj5+Xz;8Er-XL)WK+? z2o}9YX!kKMie6&bz~Pm6U_itwH<0~ye(e#i?Jry9h@C4(M{aoT$1meY7vp1DbYxEC zMrynA1kAvE4dV00TE}cYa=K}RdDg-l6C-VeHwSTKYWJZho1gYva$bVm6RYTXyD>(F zM^7;SV=Eg9DG6uk%NDBLoF!1vKi`UGcL|mNZ&ux2r#n)>WU-JR*pAFdsmiz1n(s}6 z1yOl=n4=@nm3kgN!(=OHJOk6|j&8$CvgjxitU;iX+MhN58)?BDqkMtmky1wsrCeiz zL+*QH!q-Y5v?Bqx+mzQqJmrs_KKvN%vSdp@T`0d!P%w(&HNhrDJ)9ji9(=oHUldn= zyw=C0VcU1hf$aPFHtoLOwV~ii0`Sph;0uH}c-3-nx|+rpBYB7I18oxhhTJ}CqISzK z?AEi1;V+8krl1_FXAu!6*iPv%CubJuw6JK=4&_oMlYJkr*MHpZUUy-qZfW5}pYS{P9s{ z>PB5?`;Vq7z<+fKXa`}~XiqYcycHlz!l+K_3xOF?2=+rZeS0WsOH2&g($y#o+b4PG z5k*(hTF+8z6kW|j4o0(tT;5F6xm#)Ais_+FOyDwi`|@vXQvVHrh*5fYH$u)xQnP@56)xrn=^Py>k%9v0(r=JXBcQZUF zgg2?2dB8DETaG7PB1uhJfC1IcHyn};2B@y;cZ7VngG_+QVqd&xBAu&Wy;*|ZCbo?L zPKf!B1l0*HCqm*;<25&o*RgeZFh%1e-PUG%%oyd#d~fp3#{|U+JuIHusfMJVtTP-^ zK+RcWN=^Ntm(dtypo|LE*?kPtuaqt(^T z6`k>!?^{^ANI#hmHOflquVQOhHg&7Cj=J9)h82WP8)J)(BI7$4PL?R+`jT00-!6Sw z5_u~wIGnUM(}I3Efey8(POQDmXko`!`km+9C7JjlP!*9uyo?U{#Z%(}4ibTF3)ZF! zv9#h3OA&pyOfH6Zi;%luM!Qd4q$smOI*(E-9i=(pLAvLCgwLDCC|Se9?zpH<+rUq^ zU9gH%rG6vXB24y&f9s|wMP=QE-(Nce4n*xv59TgQ&%D;H1JMTq3H*!7BqPv{#2Hbn zytJ2*@7u)~*=uYFG%{}~MVEmKVldnoHngjh#watIY+-#liTI3b5=769bV)q3ltUEZ ze8(jISH>tPoT`lDMjYtPk$fd~i4@%FeI0`HMhAsh?2<&?kEX%K7wucH(voap8|ySD zgb4;7SNh{Uvc9R0Ud)O7{;&NhztP;i&`akBingHmy=ZH~$4@fG#SCal^ZtsD1;@cp zxfxKq(L$b*20WjCdjCb~dLB^*+<=xE7vY$Mu=#u$bZKD>0^UA60Izo>falMzmlEb< zJu2}ZZ&@C|9W-$JOC*aCQLbV0)3RXnqrdYCwGk)sBxQ9Nyp+wgH5aQwMf}ueYkQ4$ z?X*Y!j`Saf6{Qfw1hq+`UMMXTEy>4K#`+cn_+8v>748N?6`~Vgx(9ADCs1?JB#_rZ zv&tXjvM0Cn3*Q@268Qr^5$d?qF@6n8pRcTCl>yCk6iY#f#)hi864K0{XwK>`%Dl08 zO_w_X!t9DFJn@ugBTU{D3eqPg&x>_TJJQ9%p*0YVsX+SWQ@EP_l8dxg+ykPTu{Z;q za9r`m?lL~jt5@9P)QQb{sfzRb=kte|q5IO$5|c41uF5H6h4IRF$fEFxlZy?4rK#rn3}rI{Ccy98lJD4~eHbWq!{^v&H#P z+Q}2RBmsZaIKkD*r0Bs!f}q%;=A{AzIEi(~8D#3hGGletzWMxfT~YcoWtTjCGw z-H~OybxoPS?&IfxknY%PsE%c87BXZo(m`jc@SD!GpaY%~8q%He8;e-!uz&Lcd3(pt zVB|k?;`t6(>?lxDwra4q=V*SI>~=er_t&yy^)4~&@iRD`9n2wxad>ZG;@>OZe>gr! zkWs`IGKc)R=d{XfUcX`A77|`iAHIhJj_fUvRlx9Tr$QZZBm8WqGfhEA-^P@Mycmuq zr2nEd9n>P~UEM1#BNTMY#)8!(>KyM@Ob%B7wSeYedvZhg!?T@aN?6jgP}QHFI9Z~{rzeCSQX zc~pm&Q9~4hElPf(?5hRHBBXy-#RZ7g@Tj|xubzM_gp4O8T-wo_p3;OUWL5ria&GhN zV4=DNoSAXp#|d z?rFWl9Jb|R3TyVLm*TA#fxugofII#+tbjZA3c9#H5ldU`LK}wqTJzQy(bLQN@v&qisj628lqi+wqAYnl3L2F7(2>*_oKFg!T2S*%KU9 z#t86XjDou9Pln5%R9!1582#rd@oMu)Gl>KjizUAc`9Rsqnsx^?hwN4sQ?g5HR4YO4 zGOJM7B^1Y+t&?Ks+a^~9+G0lVIkT)JTzR*?>VcKjB$UR@e5S;Bqm9iEDQJcqIB};~ zQyze|yW~^7Z;K`U9pfH2Qqz-9?FVx^?%bZQOMKR7Gncp%LxC5a{e~@-SJ77bp-A@E zQR9jWr&?$S78V}cnP%j6CH?yXxR?RpDyb1Fe2NFx)p5lF*Z3K0tUXMPG1NMf<9s}mIQCnV_U19Fcam6Ft8aeYa{;cx*#G8EG7BAD!_ z=-AhX^O#)JQ{NGuKnXD1J^U7=oS8~(cK6v+d?`UDfez-^!C;!Qt&f0*X01{@cFij6 z7gLi@JzJJoBTKdiCj#E!QrIMBmTzbn$7C9<8boV?7)+PT^_9KOS$Y}nz2h@XxRw8DzX)V;= zzmLxDd!Aj^5s~5hAM5^H?DWSsdb7~j(YJkI>fXgoqt;4zirrPqqX*x>lS2mL5QcB= z=lezu_qA^*!P@><;u(D?==*X$zJ8$loH397hEgNT)iDk zCC^i-LrTqsu*<>EgQ9}vk)h~^Bq7u_CJ$eHAyE0Kl%c)j(z6*^n9<@#VIZ&H;NiPT_;O{Za_}f)MD?nTqPhjJ*r=GdBJU6 z;)etO@vbHE(q`~^!9$++8Yc2_y#W9ui5r{+fpLftSuvpf^8A+&1gaydUftb6GX zc3TCMASFgp$U);mVv z$bg=zbk2S)^%nJ-?>bc=VX$Y{NSc}kHPsg6)#|E0H08p~y9HEPvTQILl<1E9cxhY~#eLWN#&q z3+rGHBns|!I;lwPz)5^_@XOjD^jI7WJunnO-;fm<(HCoCjwehzpIoG>ot#B%St%dc z=XXg?OpIVSas<-%aDKMtAg*Am6ln${vIWy|^>0D?e$zk`u4CwC?YOeNX2RnQ2?z8i5ffADG8 zVxTO7@V=6AJ@1i3{#%quhVE130dqjt)I}@GB3~gQtjzuB8cl}|3Xoqlh<;REgqYyL^iYoz_@SC4PaUo`tz**xuaisEcv;8tPNsWu@U2V0 zTkUQq+wifD4HXDe<-17~oxZdO8TC|Vds)Xe)<)K`ne$YV}5ks6Fg-M8ga^D@F|>#C`>NNL4e3_}2{@{p`>*Z|%v+`@7|9 zRY!d8CtFkvhoI&H2Ygzi&DRc1G7;Y7h_uLUDuAS+-mJ`L%UAVAv(LdEs?$I@$bT-q zIW#qOPL4On*F|=Awy-PDV){eC)C7C`#>TFNtQ&Nfckg70JrO{3;i66&HepwPAb>Cu zL^t-$5bUo)NjsS&OvBe8!&kZS22;!ds<7v#j(cTLBg5AM+U6VFu83T3>QGgys63J+ z3YB9*?M=>*oy?gOr1Dxhx>*t=SIW&_B=s-xw99NWT+SC`0q#MjTi>6r;_H=sjzh!H3RFdwEqyyt(n^r4OCHJ zLAtosRwBs5_rA#J71U@RqgVDJkXM&}Jam|_J)E16%c=9vB^ip;%Mc}HlChiH2c^;| z%e2E5t$B^gnQH30i-e{j61>SL zd)mFq<6`ZydsVX|aKNeiF7cV?*(`ibHD3@1O9{)S3j=_194FZHEH^)_o=!3so3U_e zNv{VXzibC6FtnqzAQvsy?yVhHWP3FgskipBdnE_&J7+q`?sXK2rLKI>K51>zvPvon zIP!|ro^3{yUpHaivJ}yGfAaq6gaXJ*^9a1PRmFh63czER3UoLF62!(<;-6%iW`_c4RR-GS*Wlwp##PVQrzUA9wQ+g3dtKfwo7#(yMd{f;bxB8`3u{z43ysqW zw0QZwzI7~kiE~33>OYmzh$F_*koE0j*~I%mar;p796$1PlXM`YdeJeXu93Bg)5)iR z<^S+|-AG?7EwSH`UUfAD!H16lb!61tLYIf=(bgiR(emc^+Tu1_rH_~2>o7m&_X^Y0 z{S6=9u!9fK;O%Aiy0pjd^^l0}KC%o4`CVruS~&>MmE_GX1c19!y$7}$C$v$WQ72XP zMP>?1R=kW=Lz%CM|fo7+zEAeG0NU%Qk=9jEY zWVd6wx~g~SonG*tzx%Ng!Ee9+`ybRVJz2$fW>yAN>}ORXSSS_;;2`SmzDR#&>U9GX zJ9}kg%lCu*1<)I;d;-lF(nKOE$_<^6G=yX0YUCpd_2l3vzV@|WHvlIVbv??7Bgql* z?6)-%zViW08GYCBElD@(W;W~V@MxZamz7g5v*UpC8cy3ZMM1vIW$n!qZ$bZMl`wk@ z^%CpASfR#sR>3$4VTBCJe2J`V6&84Q92f)3?h?f% zph6TC010sXA^gN8`CL!!keEPS!@lLGyBGIU{6caSGeJprt>qBTEIXr)diEA zUi*h^dB}LhH?1JayYP73xQPl$j+Ew>^J&PsK;6z;t_x(pc4!m_0E0~%Ph$Kgag_|B z|KoG>W~Rqb@lFF38vEG5<5e8vU*wEZ3KO2Ks@v;pbzW< zVDlkL3$r^G*WmrK=_V%<-wfZsjC7x(o0Y&3Ze68u{MA)VAp4IS!BMSzGDEU*1aazQ ziw-7n%QUXoCb?MH9y8gM{C*Z$?FPe-Kn3C{1DT|*WLu_dhr~!lOkf!Yu?h6JdEEoc z_m&hVtr^gE%pnq>B5;Q71j!_3L5j!`PHobD41$DA*~Ph9SDjKfru!{{N*}U0%5yG) zJ0QFpnJaAkUoclxKxHw4IIz8BS-%&;ZkREd^@!+FdAdF;T#lgYAg1DE6yz8 zkiX^g+GgxN%mLSgW&)*r9k&STY>Qri3fr1w4}6XU$UmEytQ<&qKG5Zr-#A-Zk0Xyt zxL&77=~eVete}zTehE7}-H$R^ha)rXhb$h<1?{-E38}|p$pqf#+?^WGz=p{FWXF#( z;JDjZB+0dDO*{*=>zx_|K;W$-K*IQF*{%-$Goq>uUA>f-x@NCk=j8h|$$_(%?EdloB^-p=$UQ;ZXkdiYTI zGWi&yqCf@L$?UMyx^TXac91rb&uZ>fmx#=$p=xP+l?!BEX8LZWO;AG?oACt2fnvI@ z=TBFbTSFcEp}P&;1*%>vkC+u69@HkyK?WT2orumi?8B-xL&mS$9%=*Vc= zU9BX$YU`Cjw{VP*wal8yD`q?4H$iRryPW!pe1p1><3%nwkb{;z3t;pcI zXljLaEeLz;gfi_{-9;X2THChRG`mQ4FR2~uQ=iBk0IiGT$qyF}?tnZvI7*V7iid6e z+0_LG`K~jlgAd0XTjSK(A*F59on_~z>ZZvvSH0m{ovm6{nRh33OS8IgYY^XP`z8{! zE)bk{hMec<5bcLdB!Ll~*Xr{L(Ks*8Iq?jNAk!Y%pljlRDlzUqlHnQ%?OxJ!jU~ct zx})$p*XbIoQBlO6=~WKClsK_UujD5EIDC}#>17)XNDb4Au2H>IHANNsUE~*{15sO@ znAOR#z01@q^`{&pBljp00eILYY{{3GIHXE6BKPubT-kg!X)0x({Npg@^egPZY>~t& z`k_V#ISfHBL_d}{%UGSUUZE%Xif{8KUM5WhIS&1;VOF=-g`|TsK;)is&^<1h_8Csq z#y$~w-nR2|$23WqfC>MIYEg{fpS!C&^j)tcq4fsF+7}k3@4i7851TY^LS#h#pdr0F zrrV{PA?W>kqeGgWu{AbpK^_5^y2v#4n)M(rt0qoJv~UkumRL~O2BkVq_3FndSh5;z zc68m}-35GSHHApN&u4%yoYH4&Xarxcm8Kd5z?al+PIHhEScFq%j*!KXiPt8oOs;=v z;N8tGVBW4GitB2fgatTtQU!Siae@hKKHS%=S(qj_i5|PVVuTXOkd*K0wWk)RVH15o zE*v?qerjIWRbe%EydDGCXh_Ia{OwPE{rPu7U_{*a-<`E$dS^! z94Q)OzLF!Eb;^;(BS(_a;Np9;A95sXZS^wX1B|@Ok%0fCxyL2#O7azTx`VaTNq)BI z)Md!l0?b2jny%|_awH8&k{qc$a-?8&@$<-$*ugtbHwL#_drUdfB1zyLvpfzSIT9JC z9xsvDB2mmDd*6LHT~8+H0sul@72CfQBPkC_jC8AGb9^aA z@-MrdPhzBHYd<1JsxL882*=lAq%_4y_A9#{N!a>h*R%5>$D0^QxVlM3~wLX6bj#Yk!#c!`m=Yr6B_p{iWmNb~T> z5|;D&5iyeNdK&oSj$ewA!n+vBd?`j+)EfR0BgJpUNWc-BAG@BvDn?r5($hYRk=jd) zBzI={sC*V9!RukpL;T=NF%tCW@b<9K>3%z?*y(NwY(pbm_eYFmUt%Q1q#qR{jYFnN zQT`O?C8?&o7|n5fh>@zqNJP4*Ez90;n<3!$AhSJ*k$5kOkrq(nmtrJyY7hq0+-W|@ zc(h1KS|rQMK3b&uwH9d!j}{5$sG2b>!F*_uj;Tees$)xw6r@GUj~0pGFpdu`(h|n% zdkwR}L|P=PbE%mYycC=1BIm-Q$|o(-u;p>3T(Rjqeapf+&3-K zG`e}y%73Xv3Ljdeg#!uTP)Yt!ZyxM+KDt?STyG65U$B-6Dy(E(7Ypu7J7723c*0xS9(y1DAw!ZBZ z?4`R#SVt0q8iaHSKs0wvCQBMT!Krv@k&N2gE+G2$u0=9$S|s;qk)*w{tlUy~*e@-T z-P8|Cq~=fAsy|Fxakcv9T*?6c%26!_p3;^@9zXO)3rL*k@+Lsih=Cs!AcZdkNZ}D6 z@jqtjkMi;_5+J>q6!|X&NC$}a(H||JT#EjO1xSE&86xtM%ZC7|P65&`0aBI#seK_p z`q|(8@+W`ut8Wl|`=@{S{*S-@{`Wt;q4uv;NPT+Y$yqcyT|a9~qh@F5KDZ~{s@!rn zAXt#UPdV%p={oW1jEea3!!irZaW))l0@sj#W>}BDs-bJ#K&iYJXsMf6zL|mG!t~<0v)wgv z(aJ4FCIBWMAYFC)0e~B%yiL0hV%PT_st~oJge{yP7a8@xJec7gG^lQ&TjRN-oY&Coly#D$YyS zTZ|xgv$S%i2C6(NpCwr%i!*bpc5p~dB5RO2o{*g7Z4P%+%}14l97Au9X1@WDAmJdcM z8cv;5Jpf(~c~fh4E4Z(yTl4}nJD8E}x-5hs_*2zgl@CVJsFEFKbBA62CNgK&81-G_ zCU$kM1KJ7AJ>?EGJmRhD0nDHHE{~Z8DfeEo2UhW{8VD1TTA5Wn5EPaIrFNqc0X6SZ zt_`_9%LlgYS(7(X?7b`_s5{SgjptG{0~Gb`+*xD~)90<^M9 zQmz~z!ZMZS`!3tc&S6sVNYfr9Mc7Dj0>KdE+Z)mITg3${EZ8JnO`6bIcoApe7fG`r ztl)Q@)(JrY88x>pAF5*#5k;$+bMSy~7SZ)8qgozD&o!;8xWa>54qOX?Ve9hW|>?}1J(C9|;82c^1K@D$&yx)6yN((## znaQsEqA_~JXQe%j;cFw%Oe`jq$ zBVi=LBg;##$X}PDV!p;r*aN)}6IFHLAXM&{vavklPoi+i?&n6FKzU{A6~A=9cMt zTg*<~o+BT{DggRUte1q-%^@qTDT<$0g4hsN22g*c3ll|KIKipXg1nk9-)++-zG1x_=PSNf7LvLe-bxFaWUULR zImwz>v+=Q|Y?6Uj+dmJZvmE9Motu?~*$KV0`cYcQE2oQtB=_%RWh+|WN()J)1^Gd# zvcNf9zpN}QPiaBJ=vov*0>)%@IrsOvg3&0eb=nv=qGms$$_j)iO)23e!dAxeI4E7} z2UG)mU7)JIY#LijQYAG>(V@D6{4uR$ z+kBXzI{{TLIpjuj%ZnVgH&S)eY})Q5k2HPJoHmxQbkVym)0omVaZ61Q38qJ;cPx1< z2h5*v&&dh8Z8REU>g95f1x(y_Z))`|b4p7({iPyxOMp*Bj>>W+@F*KcPV9x+;IlYf;v-PV>4lOFXL# zXFX(!sVaj;gu4}7ZynL73f5uT0^IJ>(70o{G@5wj zLHN2FR*j9^pb<9+HdM9aN$P1fN%>^v2y=o$9@^?dTqc=mZfVlwoFzeCFrb#W(1fQV`*Y6$3d0^ ze#uQ)j3x3AK<+XUGwQsN+DQ~gl%*(`B&C+>i+opLEE-1?be|waoD>_AWWreP5qJP2 zvl1GsYU;W?lttB)txd{u0Q9HeY16D7%`x(mv82gZ*cP@V4!s5f4NXmD<+pPYWzp<~ zO%;wLt*g2sUV^6pn*||`u#e-7v20>2U4xyCs1^CS>uy8y*z7}D*nlbShcX=yRAUT@ zMQ0IX(QM_H-bo%|BiIaq=b^!FE**Y?)GNQuHR5AO+5i6u^Uy z(1S$|9~!_MNZ(L7Kh~s+qjsgc@k1bK&;Gm)vxO*&+DyO;QrxNw9FCXN0}bL;Prn2U za&1?Gx$R9xfIJ-75;0j4U5TEj-f2uu(%v{p>n}(NL(@$ zCZuz}{UdkkpX5&KEHG(o#v)FlLPkm3k8u*|o#GoOahmIX=C-bqI|a?`&`=Km&l2R9 z6-ey)rFVkT9`TKngcm2NN6KJyg7xGipj3_CSv8+xvk-+P%P$EK3@51@Xj&!>x(;|a ziTV-9*pfQ|e7eRgXxOe=f#5)=os{IL@(pO3Fks8%Bo#7R^z=oaWs9i-x5l^4yavdMGkR`EX(&l>!K*m(=$iIWTs zqwC>X#7Sax@CHqd9=nDi3K(c44M_TkPF?+SHn%(9ELQ*pcmiw~i1R0~qyW7Zolsc5 z+Y0YB?ynfhaO-J7WV(lY7)g;^2xrBtEWiOB+tfL@5DFa`d)&H@WJ7H1yYfy*bW_>) zNl4&0Tb+cYOhTfD4aYKAoA0rld#h`ie1t?OiPy+{gQN9_kM!e>j~p9eGOM>E-0~3u zARJ)72#q-wmu1W}d_B%0Is$uJO%JLysmEnN8m6^P-?tzb(BgECk*cL@dZ@;^k8{}u zbmSPkr_=4kc<6|EdbhGLi>#Rl(&`P6*m09c^txFB(P5DhPT+DpY-EUWvDsiFdAhJq zHga!HY($lkBsS6?nN!kC8j#3P!N$6x?BUf(n+a`|1BzvlNHWFv4>Alb5Tt2fA z`>+wk2u+{=mW_~--q^?&WW+3!jg;NXU0V*cY^f&Z^stfe!A8E;IUR3g1Y}kgwIy4P z-TTz@UU+<(&SS`b;Eq{wnOua^uS@IlGIPCx>WReWbBQUQsxwK%d8u3s68oBq$Z&!0 zQhDcmU7JjEiDOU4Qt=MT{*nOo&!9-8B@sP34h2PXJPfwrJ8Q zu~>aDpb);m(lsU#F0Oh=Q1M)|`MS}>Yb!2d#YI^2m2g?W@o*7#XC^=-`TYqD5m=Y| zdI_H7tLFA7GmlH{yNBj{8LcmGM+O9oh1(tNJY;zL=$)qJ61&ALcfE$@shL>cs7MeM zIjkl#y{L#PvH+7J%>AZ!5|%zIi7f7>EKm`}!6|sE_Kk~>6gJI_?e&d`{LL?Z`O9DZ z>Th8p=g+?TzuN!%Pv8IPzkK&!#YUcH?ceina(S<-d@zGl5HXuyD%Npd(0-x1vEp7~gk97!`bEh1!vB>NwEj__}R zD9$b8Z9bN~P~Yu;*qf4!$mHAlQm2FD%EGKo?)T(8Rsamf-(F@V8o~WD`FMZ}yS#?f zKUC|w%7ICus;2o^8DJ}+#RxJ0N!^DQ!_A*#8-#C7G>g5+0fT1@g7|W6zpX_5wM9Nm z5nm(8ks8Q4WfMX8jE*J-z6y0 zG`fxW)X3xgmK*GhH`--g6aF?&Qp!yo)$&ig)z#aV-L0~dO9fn4buaFwU7`hc7?p7K z&_JO@GxbRHZHI&c5Ci|usbWt0BepFr%5;J~KxP5dZqmpQn9lLoWmFA<)hIl}cmH4fRqF!N5(V+^QfZ4-ZH7&OVJ_wsvH3iN2 zvzsibAcP@lbEi2gN;rPgQO zGd@i?q#iU?rAlvC$pvo!$gytAQPwU6jt3ZN)StUmQankAGGzjI%t174Ly6AyjOM66 zxZbAed(y*Mc;hnr(FDC@XwXXbd`NPolZUpZJx}vJD|;AXAec%{$wo@)q&4$uIVEN- zYC=<=dpb1gk8=pSxIvnl+4QnJ4nt+z7Ie}wLm|kY8*NCLsM?3gG z9?F()W7+>qC|kabW&bmwZ22~p{m+E5<=a^HMJRh4^*5!1{x#~)&ryG*Y)!PEqy84@ zrl6ja-LcOgi%s+~``Kh6gOq0G(1_?RX+e%>)Zh7O)E|cjJ~O*iw0CwFyFK`@@1y?q z_fdc58zVD+sPo`{w1dmXtiLa{gBoy3RD?M_jr6Zkf286MBeVToJE-yI`_32Y{e9Hm zsr!IfE@K}fvt34J9M}9b(r@dniNy|(0>MvHJGgwz`g_+75*#-m!WY^>uMYh2dDLGs zbJQP{l!&~v<89X8+o-=`q#ewryhi=?*Qh_>inN2{O*^Q8ekPAO>TgkrjzgE`KF~<$ zw^4u64wf<7&Z7vT0oLW4&Aj*Ku5zZm-2sl-IJeMg?dLh`PujsUwS#H}g^j$A`jfQe zM@Ie4rr|DoDAl3rob?C!{TTIUz8v-EW)YLOo}>Q8Yt&!YTsnSI+QH>x)?X`-4689l zQR8WHk&Dp<^Ok}-&FY>JDxXef(knsVw9o6b6!CA<{s5D9bI-89PDGZM*>le&3#AeZ z@w4UjKJ0JA5BcCS^@H)5_Gil;Wp5teQF5vofm?9%3XvvlQ*V@P$O@e zRQW@93+J1L&^J=$s!=~O1sL*do+FM=PVGXt7tecU{tZpvQQDUMqmdK1A<@Xs%(!Rb z-|cT{^{3&kBoLKwAH!Ith>!$lNhq+`+NOrENflZ+nl7#ymwj;>_L}FwKa~eGF6h*q zfm&QRLyLlf)5Je3KQsQ!xhkI|gqjcMNMDr=xN3k5Y%97tNB*g&;~{p-(?QQx7y0+o zcfv^C;BwTwGQ#VY-$wq8r-9{NL#P5J#9w|Fp#a6nBde_b?{bhAHuJ^EzXn?RV&q?V zjr<#rhA^{U%eOQCriM^cj`se|$Un8iruUJ5nuH{UzLBF13GVaEzk81S`*zCso1YoU zB4+r%49(s}gv&GYPeTqggIm^P@qOeUpO~m(+f*IBjp~T@)xaVP-!~EAB0)_0nEB@) zb|<)JEilMK8zEG(8z>SHN?xWe%bR@$p-gHLIUHf@I4uFotJcj<@$wUj($^I^M)H7o zjgzP#X94~uhN2shX(FfQ)Zna&{mZ+)Zfa0%(;=T-Y#a%KgOuY%Z35sKDbGiov;Xo} zv;UT=tCr38&$2rA4F7w4y*_6D$#_;u6DeIZf3>UC{+9p8xx8d`L9#mM>b%2x$?9}( z{WkmWaFQZ^Is4CksOpxY0b4$gcUfIkoOxt*Bn=H_s{0qS{|F6|oOqKO*;!$Qu+^um zE=yKt-(+=IJfRO%p?lbK z(>2}+3X1BLTcvVRYrT4avYM#sYXV^1A!e+Nh9bQB@u#Zp0CkKao#%e;Do|;9wcHiu z5im3XFu9cj0Y)cr>||YyGTUB__LnDx+i#|R6u%N)vqp-Oqr(DGTJtmZ12`>L zS-E^YV?V@#A&Fy7{g6;9?SRr#PRuOUvELF{PMf#|+8`w0Hu$l<<7-&pJw>Oh>=-p< zLUNRD&u3Vm*CejQw@p$mIl}=4N*YBY*o!Q+lb9_@DzfB8V>{IvT%#;U4*e7;Kx2q9 z{Ogbpuh(?Kkz8G*G=or0N-0xPjkng)TEn4i&#*v#56ZB&>z;NWsj!ek!wEKX%=RHT z=dF*R4oj&EjXLjHPvS>8yYDG3VeLb{ivGz;aToQ#8xAU&Afq};y7)a!@<_OQgK#s7kv*ph>Cx0w+^hflz zmz}f_M!(K>G>(Fo85vKe5mzB%QN<;775Dy3{us9#M*}(Kt@=gwZm;1VmKc{BQLY_? zK?T=m_=h?ygQgwoaz;jNdTK`dBx|0V{O6W0hJU==ltKAyXPDm{{t=#$fpV}p<}EJC zP-gQ(`$U6eq~dr+1{Uv*a}NL5pWz>B7LgwHP*?b&(gCYM>bk>P4wVebA&dEPuXAGH zQoDThjRb8sv6Q?8=`=$lgE*d6BLm$*FK`U$Gi_OnDr36L${Pej zp1OCD1J0$%TL2xAU^VrtNxv4p3BPEHriHj{_&QzoSe$Sjt!Ma0+sC1nTUB>hF*zB6 zBkp*C&H22f)m6IL&0GSN5$d5Pbk6QA%m2bAj#lG8I0u%;yvg3elzrT{ErjXgw%Z}n z&kj!Z>UNF|Y?&>h`5zUuuw-^pEs#33r^w-3at=v&ysWYMoM}=TOpJ^Qyz;CqL{Azv z;NoS8BN8nzmnGqN9~?N0ly8z7n{zs_M&UeYwkh&lH3xZT;kjy@ zs-JT}$j+xa_%%+WZvxt5R+_3LW$xy*rFtB|T#Z#q8_6xNMFRwvPFPF4XVFd6rvZWv zHl#Tq$02V^^0;_eUm?*NE3J%X?MBGCIBlaXEZDZLf*AvFM0TBXKw8*LfCfHuK%_X4 zTdh^0_HBUR90L+IN#Sf>tnTVgAnd7Yo^nAv?O|l9qw8N&K*Ay`mrdr?&-_4OLrY(d0Wq7Ryj0t5 z_kI7-DIm-H7!UvkdwcyD1G3LCAlqvUh<|Hr+Fqn&eXBOrRQm#0+BX2O`)Uk`i@KMd zUG;p|@EQZs6;I{)$o_nv0>X+ekE@r7yFAcdV?d0W9Of91{%L+-INUQo5Nh1hxbjU9 zX|-4w;gW8k&6@S?jruJPxn-|#9QN0#dbs1iM5)sBAD~amQoTvn4-{@upPw%kyV*wJ z@JbQYbkW;3@@i3ucLTO=N`KNngNkOsnqL$h+42UWD>i6otGb4DcXdv;JtN*lkdrY^ z*DyeyYm_34ta?0CLP!`YDPMmU{H3)SudH? z)N*P}t=Ug?sy^a5wtP)t36iXn7-z|<5^vNDmD-pC2FY+<8hF-;1$pKR@`CcD<9I*) zJrZznG!4HXj!RNw(K~2P=8l^I?fQp_{Ol*c{N*ox_0+3A?7#l$*Z=gp|NO%r|3Xn^ zeI{2#c^N}M<=6&PtwElly6$a|C7wCiGQF;QHU70}ie{3M#`&jm)t1CA_YCYq`x^r#R^{dvLjeKT+>;k{p;k zcTx{iCsF}(4lI!g{C%t{Il=UrWQ>+=T>ZFqXtyqOi>iRS?p=r9hu1KKt}Ad3vn~dj z-0lL5iVwBOCt2fq67b?xx%%l(|MnU4{ty57H~;L~9%^)A-D zE8&q`6xU0qb*xt2gN79zgb$}dn@+PzG$KaveCT#h{dKEENxHD*vw5e2Rn~dBq9!WX zl~By9u)VWpZV_dJCQ>azi-1>%IK)6l#f_%nwoMcDG$5GpNhEVY&Yg15)J?dH=a2{U z(D);M_+0q+4Fp|>k+W1hRf&Q`K@GP#({qpn*LV`)d(@>A%tS(n^5z>|NgzHhy6J^- zu3ZsH_k595MJPG5CQ}bEwD)|}q9HX^eY$toZ7d6LD_>xwZuJS!P5F9kOU=&1@H9 zWPd^iPt3_+n^(F)+OJ_d5|!!xA4YbN7_2InWMO~*F9=zSDpddakhSos{uhL-WsYM1 zSBI>HPxVE}dMZ?qELEuFK>_VyQ@tuwVvZl?7TcLMN~CCNGfU#%+%4GNY>2j6D^;C* z{oY3HyjQ4>BwdbNW}U7TLp^re*2N~duD$g|HC3oYYNrPAbA`&zQ9DnCYP^rynFXqG z^yPDfN}`me0%Q27P<@Qr0X)~Q+%44LkO{3*)SB9PY;w04)7>IJqjo?Kx^a}dMVB@j zP&S2$c~+>tDp1Lu52VGKgm2v~ditV5WmTb4e>Mjn9P+t9wWuNLHEPGaDpccDp*kLS zi}1{J2}>{Z*mT(*W#zTcP5c5N}nXs*k&c3RF=As^zIrwJ$4F1U_~0m8U}0 zUKOgmzq?zQ&jl)W%Cxy4tS87g@YT!;)%1u9x*-MR_oqSyQ65rIX`jP)Nc(N=lt zw2S7^%icoGBDr`o6D)bdoPG@~*eB(5OQ$27Nqc?TKj86xMrJ0QFY=ccUF zuBQBOoU6K@w#_cGnn`NJYqKz=o()j!9JJFVl4KLf@Xa17OtJN)Tc33)tFf{wB&^`MsOv&6LwON>&bt&FOF2uvDE+rnASz>kHB{jT*HLSm6 zY;4V}ObwmFmN-F&#y4(bR~1gzq<4_3n(BX$X3f=G-YZj)$n3nV>d%}dCG?eWzmdB5 z@iyyHFJtGxla{mL$sXFDvecrX#f{aolI%QnDe~C*^_-ooIXh5abx8%FQsJCbnB6W1 zm2fTZb*WB3`-^oczG~b*20?xds@~bSh zd{dW7kGDm;K#WqYKu12ATc|7rpa|34qHE3$-*w%V*Pxv=>r$@~^1Ftxsgv^Yb#`*F zddw|8%2G{(cHZXfgk`^;K|AKYOy-NjzXm7X*bu@f4;WzXz>OorS4tc%$~U-NT5>yWhj7!c>`l4~OpJUh`(;yV}RMb`PC@ zD>}Hm4V-xurlbYBzbZ_9nm40;WqkjrOQ{T3uC#ped#L$UnCi1I)g;x*AM<8Zm?Ep_ zc6*X&X=Ef}oOTcS{7=97*-wA|lV5&al==_f|M|P$|L|-6@i)Kz?stFq^PhkF!#iDZ zyS#Lg-N;LY^JV5-`8wyyCplw1bLGRBbLHDpjgrfK?S)t(166Y@oWWN$$_`bdjQM!vr%DLTY;C2*Iao% zy9SIBKGG~jIgJFSK_SiY)?o8AV?Yer7kHCLV(qq*`O&_!^08!UflHsDzSk|$qFR#;gf zNTagB&qngKB100u$0?^bGO&Eqg*vKP%A8-)4i_>|>TR%m?iwtAK7-|zl{7aa?@66m zw&tzw<<|15!gSohFDfwwKe=p`xqW>G%V+x6=Dmu(96r*0BnRryZikd4wD@+SmK*|au`V};VoZxGOiJI3v z?o(kRSRBt_d2o>`Oyxd4&6PJ{3A~EJy;DtiuzYCy<~S3Y zE1y{<%{h4H$}ew&<)a46gY01OZ-eExGfL{ZM)(OyYuY95>FKn%j9>ZUMx$R?s3mNi zVU?I}73=0;`3gB<-xB|m3QTQM2Gy4|Z#>;QiwNEz(nMt676rIe-gKXxDtmHK)CWJ2r%M%5)ZN~BC zT=_+VaC%h365yFQyYnMo4VJ&ziMh$P-rY`v<>y>^Xo3@WnboD`HCX;tUdrqoQmO{a z7YOZ${Q}BZpq)R8D*&`^W_5|L{CTeYqQUYk&8ou*>`9*n%Y%%S1W7BhA^bF0{_|XU zKj+FX8Z4iyY*b%^<=YpvrQyrP@-^mLt#> zbyC46p1JaSbu#Nbn#s$l*3%Z`p5h=gLt$K{qST9wpo{F7R{5x_wlvhF(~CLmQ(KbP z`d(s}g_AC$`fX)`p+*y{+EP$$sm;Oix=6M5mO8NJXRy3#OPVX6G*=!TeB29+;n2Nz zd>brZ;03ivXDMM^-I^4>w*`0iIat2%&upXfy{^=Y+n4?nmgGTAs;NMs_rdb9zYmu0 zY5`%-SyS2pNg(;F(8x2EtB>`|1qv@`Ea5P>Oxy?!deOtosq$04(4MLCvlb;XAyJR3 zy2X19mEVjef93sss=Ncwd>SjShPF9Y{;0Ca16`?n$;XHIsyMA1HdT{41Yu5<*8q*~ z3G}aOQaH&|uc`6}@Kx1pk`B+!0o=NV%GcLWc^GS7%rwetJD#IDa+wZ?wAchy zjedWsN$zc^JTWwHi?Qn1l%S!h_^zq)<*TXkq_$_O{ODU%IVo%!D!-o*kf|E?4zzN< zXh=-oKgvmOQ{~N5PEvck)G$Gw9h;XBW{s!D8m#d(RQ`){QqzoPYx+dDgl%=Wu#oV> zPgCXJhRTmmL*>Wv)l_*8nTVSDaei)IQfb(UQSa{Zf3ciYZhy#KQSCE_%!=>6e?3*+ zf8~>xNI=IkR6ePEt+t%q9zA?nP6Af}pGPS-3Fy;Qc{w@NCjRs)C+XtUEYZKaG>^YF zRi2lBrpl{cH269fk8BQ=k4{u>PL*o6R4-St2nbm;pN@a*2an=WvcZs5Oo~Lgt$7agG)kORrr8=LU5Gz9ylmiEJz4}h z;msqeW}Y)lSVQL3tNWCXk|Tw6b@v;|+f|zp@+IkN$o#l>(S^SB2HJ^=L(h9Gfbe?yy)7E%@yo(Rwt6Y46$s~zav%sR;5A}|WRTWOd zDu6kiBQ5H_yjoq})+JSPZKlj$= zAlEssix74zY;nHo2-hYPHT4RCSJcJ1kQBDwhl~n1pTzRnAZCuynkLK|ej{*<*Ceag zaS(K-VV!Qh$P0%$E@CXp^xEVZbEI5(*7)Z?{mIY&?&sgMJ^eF_d~6ye(C=7QzUQTM zC1d5Bx2BnxP5$>KXtt*}9$XB{1p*SLIFuV1okIpCSk!w|=f&eFcV2hDo^}Pk40&N} zm!Hi}1eh!at;v^A3@d#y5&OzOCnDWN{`GA1YOL%4!OC&4AKH-mgE8UM4KE*STr!f4Crml`U{q|1DhnG3#yB$2n8&-q zK;@ihipm0AtywZSzVl;So^K7KigRe6k(^(*|86_2$bJfIktuWAHJnvfPEky-kh|K@ zfSUm*Yfq+#EQ6;AkFi14<@XA(X<3aCES3dx$5qWR z_cSj*s|slDCP^rLLU4Do1ynw;#H5|e8QJNt8sMvIC9wJyPYvyeQNx`IxpQbLY=S|9 zZB;o~lcwCs8&)0=3m=<@1ESkOiqI5R+*y0vX9s?;ZFbSnm3+MgsfD77?Htnc-2*Y} zqH|g8#bOLEhp$=Vkx^iO9L#m)y9tMafQrA3sx<^O&D*rPxe<=u-AMvq-(*c$mV+!9 z#S9MJwx@elf0B+fM}^H96xj6r3uviIuXtN|kh6IcU-?{4qOLTCQrI54ekI6W@w_EF zXynGC(K2a=_ChdrAcs+@-4;250bX~$6TxTMOU<&zIDJx+z7_SE-rjs=cB<2@*mMT# zvvUC21z`#@=sUA~(T%1)AB!$bb=9Gd?B&##O>881nQ{l+Dv@h?BT%J7K4RT-g1{sN zfKM(v?(IzL*_X(}uL1DoT0S)TN^DGRI2RbhiE0};nhNp|jGc9wTg&4)atSg9($J*t z7nzZf-y~E__9hk9Z6%0=J&m^WiBs4|()iRKG|FyQ7oyRPu6n@O4pA`i5NnN+G5qT? z#PXzwe{F_Xo)qz~%@E6zBL1}*VtG=;7a3xIb(AG74Zp5=NgKiWc5-qvtLaD9*<#() zPzFkLiyntN*%7)xNSaXye3#e|?$9rp3ED^4=^;;{+*_7;JBU`DWuSi}4Om;uWNDIb zs~QGA82rG{b!zK#He+gshmC(!?qp5bH5f1Xd{}}vcyQU+dm5Pjxwp*Ao$Wk;jrCTK zu7YNk&JEJ2%AJ>uA#f{3S*)vax0vm)t6K??dkj$*z;Gp^QM*PvBm|PTiGshl_v1@X zq!_lZYCQ*peN|W+QP(aG#odcrC=Nl27mB+}ffjdncXtc!8mzcOp}4!dyHgzgeBXa_ z&doVbo?K)m*;#w(?3uOS*P*vyK3Pg%^|)F`ItWi|j?_j*f)68g2J~*vY z-r%`7gRe-PMSYgUa%1R9G4p^TozJySxYOhlLha|i9#1@EAtIQQ-+=Z%`h=>#F)pfh<>v`2^?D`Q*>k=4c2*62&|%I zTBk|D`i5Jxv7T1Crk!@PK5VVauEyx_M22}gNkK)+UK5?4-Xhk$-i5aJbSwstc{W2R zGi7gzt8cC>CvF1^ zDg>qAyMwk2A0r~i)B_js!)5kr^UBez2)L}BU_{5ap525K-`pR%8zI>7Qn+&QcKn+t zdqy!q9Ekh$ICE*`Le#GQ$Zk=+V}N3pE0mPS~d8BEu0Esy=P{ecV{s;Yp{y z;H!QyaiE?$@+QQbuO~Qc;qp>Z8okctHR72p_8e}M&=B`y&nCVZ>fBYJGkq| zjV2nSmdP+L-MVe2M8}Uo7Lijm)8zhStBm1PpyRcZVmk7!_}|IKb|EXb?ZQ%~>YDV* zQOWDrS&JJ%E4N*zbK4bzAqq=D(>JFi(HIvF{LEX~A4*A6OdEW_js?4?CeM++X1*nd zAz7p)LScvV`^qX#x5aw_XB;;8SIn`7|$Y4;Uwao~C37QCafJAROAWj zY9=lN$7tdu|&U zZrsD|r@{aawR_xlh4d9pMegt=X-ddXZXAh2 zh7!($Su9G7rQNxfGquo8SKxY^+<7!XWt8i915?H59N$h)uHdCI%g+~>=kTj6tB847 zqK=+T$Mt7?D(TI#KhISLR)DJPn{1c~w76?@PDsyb$7Su`Cd9s`=da{Z%ZSP$vL+9i z8;>|KVH*s!6!zr}*m~3+!UU}~9}HnTUhO?E~d!SP49=XQ&0dBT4vLd0kN1kmVD>^fik?}S1&eu75z=&gLk z!r5yX#u{CS#EjMZvcU7A%LB8KtRRPfJL9bL5qR?TM`)}_Cf}x-QGAS!@Fa{lJiL{N z<9y$4rJ8EwV-RUrQ`hG@5TWUG70}MjpOwpA?wPI5?YOiF*h6oz@GGbJ#<3Se(*`lq z@$7gE+(J%~%M{nK%z{6$##OEGpxH%UY8vM-Q8ks{){l!+!>67Lgx78M)YyhIfcfg`5*4$|+_Y9N!3Gd?MoSQ!h6%&FUnl5Z&fL!lLz)1<>8 zjxVLWa1372n-e$x7fFYH(nTvRdbHf`Uy1wZ# zZCDp|*M4DQ_XYdYJQ6~S?i^DYDh=81lsaqTqBA7Fn|)=yHqCUJGMurcAt!%W(#yt< zOnXX8ebdqKczPc_&*Nh^GXCLK5CC9DdI(`MULs_>X3C8g__Ra zq@wt1oflJbDKbxLn3pKSHw`JGm{KWA2q$INQ>x>{O!591!#Bt_9A{O>*Q;Pbqmff_ zM6FMyAI&P02F}`gtGgL01?^StyWB^#HtTFuO&bw=RDF#j?qAY6d|ab9Jzlq;l6Q zGTE9Xgv#_*P<>WZWmbezGt$JUuR3T0iQ#Z=GqrOn@3M=sXG9UI8&m)LJo{6$SO9U_ z*Pb$R5wB~QP>R57U+<)^M_>)U{P>$cJ z8U>f^V5j*l+`D@D*ChkQX2%w^S7zmYru?&wVQVHvhO_vZc_25pufDoF{o7-%e+}bE zX=zV^f6W^Aw7!9!w!fs;lKA9{wf`ZCLb>Py&8GIMllDP^=K6BLI$AB-Y#acGi8Vd1 znrjt0@L<1fj?o{GXy&HumNcp^nAIo}lW*<@rT(bBLc&qK@PokMrm5mj-M6`74z=4a zsJPW|vlQwR(rN*AC&97)(p1`E#!2<6OpC1i{ag%k&G{0yn)jH2)j@SKUg{D=SQDR! zqB2OS4bBwb@lJ4e^_JM{^QGG)uMzdwy{7?9S&;$P{Zg$bFFL|0|7N)8QDtSP z#!-7yoDv4M^YbAN=cUiZlbaxJdUm%-s@c{_r7@>kcropo<@6D0Hnr?_On3w{-iH zEA@|lMtIMzlrrz)m@K>Gr%6X&`8M4jqbQ7!u_oj#H zz~rW$bDr~MPTMF1J8SClx4AU!Vb%27-VphZ0<7rE>DyT(*WbfDg`%(r$FQ7Hzxd4L zvr}#`E+2C zF$&|enRcF3iH-;#1+8#W>B^3DbMcAKG!}iv49y(+VEE{5zhM=q&)#Xjv8$g_oF;Bn z`hDnRO0<$a2mR+uQbRS>3qWwZEwHzu1V#~!k)oCXmiy=tooOB@o5UJU-#<)>v_K`w zcbMHgChpI(znT9_7&0daeF&&q`JD*LHGKnHGQ$&E{fLoNHu^^FX)!`U<(==-Hv|Wf z5n-dvWIv7&k7U1H-F<^gm3K5pu)xfNn_UFC`nzaM-dTlLvR_zeyv&oCpWM@+*pt;r zw@`}Td9Lz%$mB@()d2nmO=b^|`XwrkubcWJz*Lj-+iRec94F_YK?W9%EGjdZhL4nocpv!?hR7*xJG z`RP4@KH6?r<@PtP89pL&jT|5&3yuiibNHyd6Ac$&06uoQO0Pq1COeb;Bp|~PB>UNN z?}?R{-YEJM+l>gfHm833shx=$->vc9FHjXPoa}c{1Newuf(ec$-}`B;nRufJ4962f zwtOslt*{ZW?vc`C-Z$W!KanBhy=V9sQ+xlU)Z+bzSbwCO!A-`A^+5iqlnzS4?aM>^ z&1H)X#0m#`PXyx-MVa7bo|=^2#4$_5L{g3ZV*Dsb_M^zf*xxipdHIX47Q;|y5DAe8 zeW~!Z-cSC?t^2<&{;WCD{aXFX@2mFYmgB8FyNuDT64Z`s*P5KKYgN@`Rfg z|8Ff%i9O%)R}^CID*dn}iH}}M!)Jpu(9NX~P!Sz7QvxE&+hB^HodmXh8R&*J^PNz7 z?;LV~!)$&kNAjsOe|%N;36YE8HMS4?l!V`1^S!bBwf%{|kS;#&_xTK0qSSe=>U)S^ zz>S^Pk?5G8_7i~+M4QCY$)KB>SErF~2w1()i12ufTY`P3ru^!Q90pSWpJg7sUH(Sk zbK}jV525tjn!W_~2h4u%Eo7z){?2@*_i;&mVK+5?`LACf4wRH14RPr<2>HRvy2!bF zA%-*__FE$Yy9Ul8LQq-`R>r8lGtZioHRdL|TI{-lSj5n%6#P;V%w8M?KFWYsIaI!@ z8EPCV=C{pa7*qo=)Ob7c@4q&V-E(zIYwgJKW(lcoL=+!f>i+lj$VvppS?YoPg)npe z*Qp!yY@HQQ1jA6>90^Fd>i(l8Mb@r&Xo-K%<=?-r`}G55eXF(c;b$N{ zlA|!1K6>?pdiBBA!Y<=={%`LDKkAug6Alf0#_L|of}i~!GfXUUKYP5>E;2dRjvE-% z$1A*cA7m5@E;5Z)sXR6>G99z(*Js%+G7Xkmr50nW0TU)%pZ3-C>X#OQvO<%G8O8G+ z<1_C!z|k4pKbzIsU-?^aI$hopKO`YS07^dStF@b0YqV22Z4G|Z&-q+5m4^S({~e%-wJ z@je+>3Ho{G=T~(eat_m1xfr`(0x)OmG3<$JKR(F7nPDs&@J|xaQ$JBQpG#le2D-T8 zoA|Zt^bY+ zX?NQbpSQw+{10JRmmS1dcJ$g!SIVOs7F+!73z%(3+~%fl%OsXBeKPxfmTk|hU-;`O zU&oQJiA)ow8qYOUf%MeiwOksQ)hh89%lK5cQFwcOuLtkPq@UD$CVEwt&;D<}kkh6{ zO(IJ<4I`A>4of0xl)2W_Vin~%5}=>K>E*WSUpuy3W4|R{)DMrZ$~<_u+Y{Qy;&hbp zjuJo9y)!FQgb^v!CWe;kr?s%Zxjdca1%9?Sg4{B&Zd0@L)di+y(HBQvDJ?Q$l+jF~ z$P#c}*_+3nku|5Xi1cTk%-(JgoAjh7CS`-xZ4P7;aP`qr?_0tITB(9Z)(=^*_Lnuv zg~s*wblYm;PE>8V7Fot-_5$$+3~QQ31Y~DD&a0rHm4ACi)$4875!1y##kx&~CCM)( z=qw737F$umF3uxWhfI~HPx`-X^_r-8hvlqEBY6B#qxZude}_ARy5ZkTs)5O?<3Nc3 z(QHA@_XiSqh;rMh+_f&94YIE*Lp>G_t9W@f$YeBQT#^i?B^jK^Tx|2FpC1gfp4h^7 zCiPx0IH4An6WrWsmx|0(vb&Lo@3&3BI3z_bv~!`g=xak5PmGKrcwms@H!)%aPX>Q+ zz;)0XxJ<*V;@Q%fqVR5HR9VC(kp7X=H+$O|)wj;-Z>GKuhB-Whu(EU12o@j!*Xi_L zSlrCXC=Rz1o0FVwJV!EenW#Z9UgO8fGfU#5VYRzAHwd;o*Nbpou2d9TB0|aIjVkCl zU#!$-FPMzmMy5VY4pagL>O0C><6gIU_pfBO^lB>0i_Y})m2MG+jC>KNGM&6plW{B( zyi#6W_TzvHpiGoaq_mwElRs!YhaotREv=X-6n0jEr*lmR)S7E(J3NDEOvEPQCseLi zlrQdF`QjnJw9SuGjMj`dF-?Y&DSHxw$!5{e!^fyB?;H$-+FLt7_AayL+ZgJJZ|uPQ z#AKX*aUS%4Tj?4?7M? z*LIckn{^~teuz|o?z=bg?-Ly_5LA!wE&`3W$v!fX$54&0IaKihv&f9C3$>M;DGv9_ z{He;a>-PesaiY~(Tju~8#Rd`zr)H~!J995@7pUM9tvJVrF?2#iL>2I-hl6@gQJYU; z9ZJK=5}|t5P9DYPN--xA@M)?YjD%O!f;}Q{B?AQVFL<%X^nkiV7w#5_arBVmdlxy* zr@@{|3Z)A6je38IX`rs8!BdofAXs<@SMF%Tx5Q-sX~?V?uy0l66ltdz>AVx2x?cfP z28Aa6D2j8>vK%|oKQN}27R{>{w5|DNh)2^7y<2cGPttRJ7d1P6oXX;~ibvL>sBKOd zS$ATc&BIP|ysqbT6qBr2fThQ|hhSBBI_bzfty@tWO%R0*JTRqAe^~m4qrdc|FTZ2N z%1?mylWYt4C~N2BnKhdgpmRw(Tq=Ul=hYF!JUc-K^gzhAtZq5zh#TRH&e1JEJ%*kYyB)L0U_7i6%e{{x=L= zmBDkX2!*~A!Vr6Q*-J=asSX>kKY6dQ9q=jpb~ja;VkI3tHF3PkvXo-&q!PltE(rI{ zm*sn+lE2og26o%fWd9f+tUWGFvMDNNs}RD_cd`a#0P$sup8g_b`6ls)1U@JMhMkc) zh5}`8*Zv!MB2E5;xc4oFFXqd%l}Vs;i)i#JQd4 z*#jj|`1RmVzkMHJr@+U!P#Mls(>6FPhzyEOwuOerYslEqAux5T^CPjsaZc3C@6MvF zB+Zb0;pXyR{9*&$i^Bmmr#<0s`I)k%LhDKnWHp;^;%QAcK1AWGF@7|92=W9D?hs|oZPc2?ZXodCD3IA}^eT5U);l z%8Ut@yOtMgmOg3j9*j;*n+0FC!=g=^G@nS#9&r->QZL_9>Rz+DBYIjn>TSbqz3+$- zQe`D0mnfWkCUv!EsU8K5lyNVKy>LCFlKF-f@u7BnqA{Nh%`|e&oi_WEnlEeV>#+$E zY0xvV_~db-$Kll~>qF*K5{j=H7GvL)hm{%|GyeCmw%m@RGe*d2$3tD`P9Zuz(+LCm z>{r|RvOEw1oDK_@I8PhR7>0WF=-Uq2CcAjV*)>)T=9x>Q0Sa!x1HM{$SyriRVqhk; z0nZ#P$DsIib3gB?uaNqf9v*QHIl#hT014$3`V4%CeM$;)vxV-B#pS=9TQP8e)l7}( z@2m;5wsL}hJaGOV&VN;6eZq8%)@Wp?g(CJ@xV3P;?kTK^r%QEBL4G0fG2M{NZES~z zpC$EKI6SJao;Euq^-0~3$gS0jeUBE}{mxYcqF?8Fwsuv?70_@wwr4Pe=utk6Su+!Q zlrSdT%;DguK6~DLYPJYyWxaDp?9(J%IO*;O%nP)4)6+0-SCkrULZi7)om*dIg08jX zJw!JBwTi-^Aq8_KD;5X#k;uK?A8PrjxD%SBiWxrE6(>~TbeB%Y6Og+9>VmPLle+x! z1d$tlb2&lUWw*K{6v%sH_e|*1bXiI^!TB8RQ~A!bbfgc`jZ?XhpfQXQnw2VUo;Kqj zUF2%e&W^~~Spz;tqZxoD8Z+El;)wMrgkVTK;YH7Sik4OUSA&L&b4lvX!oK&;k zwz6f~BJ~*v%}nuVEX@WNU0Vl~p7SR0Bm%jeSV`$z$&GhZy>vF!hXp7b{JZ&{tqJff z=r4QQN5M%C3r7$(%;#t`fyfo(r2>s?+UFk5RP`Uhm%ybMQshRRu;uJd%Z$p#$s^7w zo7toK0;5W;zq{}j3P#d;Dwv1^09ZuCZCt!%c!I>rs;AC>LeJ50cS6tBHP4QWnUIKa zZ1qjoK^COw~x_CLrh+ zP9Ah_uO8JqSI01yPn+E^W{oe5tYvt2GWNw1L)Tnmc?JWQLt0iP?a?$WD2 zS>_f_uJp(Rk{bRtfVf&VS8R?ZEjN#JO8yuW$ON%1*D-Z%*~ceV=u5nqy0kW4yX9T_ zbQ$cuWBK@7b=?@vzg52HXgo|AudeKG)KPhD(#oe^ijWlHj*aLLTv#z}1~+%=P)2_Q zNgd}lcj>15kg(T1nVLiEKT<55bPb$eJ>vYLjgZ^WDUrJjIr3aOZFcoDZ(#7K{#oc* zZUDEEBTftf%IS_MAar-=-+7d`Aw(kqWsuoE;#_AUvQ|jxJzcb^2m+xxt2(@Xt8WmQk9rRvQ7jIqylQx!OQe$9vaboYL^>A=7bNzPaOLtyA^y|5cyPptp1}Tx zT_1en`CEeCq4yA<|Z6~iG6|1 z-`$qfF`}wA7OQ!bb~U_6dXS~y zk1gbo%)K8=l{%rgs7vF5IOEbIB%!Q&U_5;>w-!%K&V%F$n!5D{c^4nd-`-pP`Ix|4{qIC+bf8QTSWx0f&;`k@LkNa?_SR#gGl%W)m&n3l{gvCQLgal9jaLLMVjPwYb% zbiC_L?t|ch09%nvW#`eD&;)T>+9iLqnrSmgF2I#Ie0-V%`7OTteC%(&jZgaB1LL&2 zKW1~gK58J#;ateGw~v1>JgvthF~`IxOqm{N!nd|y5sgOeW0-qm*^J88J@rt`DFf~H zzv)g9u%_M<*A0|R#CsKJ$SaB2J|nGzR;oUf6_R(qD8OHT^IVRTH>-!t4#^r-o}g_V z*Lw(F0ea2} zVky%tS9kJEf^SAS+PQzC3< z^(AIO)vK;Qy(#_GWlPM`Q8{CG48NZu6!tU%2mGv|aXcXS*ZWCGPi36BTd%qsmEf-7 z0P4)6wX4IUILX5*Jw?=){TZ{XLB@~s2h_ABc7~IB^}m;nAFKn+0yz)Ay092%E1bt^ zKyg4uNVg* zFZ@t>P*dS}l$UD{Ht>~&;69>U%L%6E#skGFmam_nu4*Qu&LXMVTb+$j(t$qJ?zmph5K0NN60OOQEXU zmPB5xW@CKDrN$L@;HMsElOe3y??)6PlDYbDvU*KobpsJ1#@ zQ-E17p0*H2^P!6XPqt(J{64v`J)9GT3eFRQ{@scu-*h07rT&aFxeFVFuQiXiFM1ve z`v>-nH5dUu=RU~<>>VpSUoEv9x<4+^tEk& zk>hRa+>jes)Io=5g~`}@l+3F(lDD#djfCPXVqJP_Um9!wmW@lAJ&6l-z1qa}sqd5e zwT-t!%$b!s)sV3NJ*(c1m`ARDhhma@j1d$MlVb#ig3$mO$o=s0dAM+AomQU{TOZRm zqfbm=g|qXKqLJBen6`_gwXY&&Yae^^)6lhv%5_>FLClTf1zB0M<_M zmHJbym1nIo^S5Foztcp^ zwjswUi#C&1sj#yd-!sx%$As~X5&}udJe!yD>#{wjre!N%hczC4!CjEM&QK6!cS>_6 zu@P6}Kb64Pit2<1q)$hB?>*kf-ca8CzINJF!_}y2I6DO|CL4TFLce9BusJe0F67_M zR8pn#(I^tJG#X3x^zXKpB8oJ6N9eF#VJZ@&vsy4PoP&Onwxh=W9QpLlP&Y1HZ=Rtb z#TG#QC(eD|Tf_x*NzK#`!jd`538XlHj)%%5o~Iv|cLoLW+0vDnZK-)=o2ULApI8~l z4lpfR_q7!eh~df3A=z0MHA>+d^y*N!!de~>%~_7m6wui(WLMrdN?>l8s{Lu)9R_RQqQGUXYG9A1#j)dbS#20__PfDy6|` zhnVw)Nb(l0b;X^B?V@*Lr?gcazdTRK;3e^um*(;p7)wflLF}T?yNwhN4_A$O4%pX2 zYg$YbT+r94 zI(9^Q2p(wP2JjmBKni(V)g$3h(YRTgiE6pQ&qJa6Mr%Gi$caE>uPhFAu>W;=DoqUZ zh=>+*mKeCkm(>jLg$?>=J_|Z8?`rqy*8T$w+-osRjJ9#3WaIcw&-KnwmsS)naC>%qvuy}$9&4^H$VxrQ zMMyDd51r7v(3!pbYUO8XhHpw}Bwy4sC2c?gTnlKZoAjafun~TqN?2YJU0=f2KcUdy z;FTfF<@90;mhGR(eRC^|{C9w5RAP3{@gX26LC`J|%YPCJX?)vSQge1#rU~U1KRF5Y zMfjHPQV7!JI9!m8Dm7v8wnRZ^-R&T|K59z60QR6ZL!+V3GAG2+{WvzUIyB_#5wQu6 zrH%tLtIN0*kJPQ+G+=B$FW(x3Y;%V4u0hM~gBphc5i_!t=w#S{7KTTZm(atzM|lhs#d zDD-zJtom#MG_ZE<&s1hB@@&BM_Xu%4+a7p`nwzYTL8^a~8gCNkiYF6GU*E0&)iD_a z!2AX@`&zN-B<~7Zpq&U6JN%hAujY8l;U;+AEZJ#+9STZ9Z|sO(-Jq9hBwQbV9MAdb zuwf2|)miy+S5lJc{Vj2#WO?Y=VS#_GwyZ}0ygPFgf@n}0?u)fF$2tL>+SRpg`RSpJ zqKl>odlptx0+Z4TgGSlzy=haA>g$t2V&W21kd`A<=xcdvLVnd=DyQO^uv%ygXZBD!vp$J{-H9)0EAkBr`2e0TzTZ9E}YWe61tBQx_fK}$OstYvUyd?BSJxZ4nJ5NY?^*yT5t=w1|O=sJFW^>l&KljV73cR z=4%bG5Z9L{hKyjnhL1ST56c@YR_5K__utO6s3D(ZcvMIB6Z3fFc9@fe2U@?OO4usb zUvfz}#R~Y||2$&Jv}wg2=u2PL3p354he;0eG)KMENG(lAGiRmwaezG%H+L9jtrq3Kl7E zHMchCqwBdE8yKiV5LiPd}bW5K)CD_y6Y zxx=k!c$P%!7$|jbA+>7a^0P_1?ct%ddL(CXNR;!>kN9+S*|8Cash_n8fAri^ka?Es z;mG^gtmIQ8Y@u<|^=vCl!U~FB>kZy_AhdbpnzAM}2+2o!G}a4}%=@yf6?$fX0Vy^5 z7cTip4^`Q99Z-2HvYlml+J4BZHuL_ncI{?H`%77|VT|6!aUd$Yuw}W<-|VND-{#yi z@mHTE%je!~_o-i#mtIhxTMsFcfmFl0U8!)~D!`-&w9lef=cb>tlHeq)vHKH9s zq-^&pi5)4O07}6i_u&kcLJf~Ui`oX@TPmlM;s#qgPXOL^?oDFXZPjzQdHH>@LK2GJ zehp?^@iBJS2pTx(C+oLvV#~U_!}|A8*J`pm^+okdI}N91lq|U9Y)=5jU!=UTi!ccb z#}P|@5xJ}mqyoeQABltJ*d+j?cGJh4ljud1~*HXM%1 z0fqk0j60PO#XT0*&N)z!dvRZ6RC0+bs|k#`QV@=_4J+e}`1cZhMhnc#I89KFXotm< zBevb6n%>Nw!*SZ{oNOn?4z0Gg8tC65#m0VmU&Tee5dF0d1c&tdNA!MYTf^vtZs|qs z?ZyIsh5Ox6vC7)(%{a{l(!;DcT(h4Eq>9fy8e(3`vKHf46O(n$NxE2^6l+C8%t*6p z5aj+{)VYeFdqKAUJKOwF#i0%MCD{hTHMmX9AK=a;+cao_VKI-*z`ovEkM^mv~zUnxWb796Onrll9>Xe-L9W7VX%DSZu{Z zmUW+CQt~;iZx!k2VYXcvswg8Iax!x$g-JII%Q-8^oo{PG@TH^ayF5QJC(5v&>9qnx z)Bx$`a$^_~w+L0%l+_mo{Vs^XNrU#)e?_XY7EfQ3M#=ho$-Ps7a{nj+sd&=dTzXzs zLdeo&nc3oaW#vxe)Y3-yDs@_&f9QI}f?FaG!sI%WH_Z|NtXo@s2OxdOBBdC#Vz{9* zJ60))bI-`}ME6lrrY|XcucAFsU!LVV9o2`ADBL@R!fA=oPLFwyVvlRv zQ9Ejnafn87)5X6Tc$A#T`Ag%khE8n>5H_0aWa-_MxNj<(l= zeT9eGV-SLmZkiyy^E`gvCZJyO&w~!)2KUrW8;S|#7Qu+qa*JFL$wyb^HmDxQ-_-;e z=qTnZIj$qfJLguV^yRmLe+mh1owkDpZu;dmBw2x^j&tlU907QHhew?+Uvh%HIy!!0(LxJ;NC4P3YA72u|m$k_|V@MVu z%M+kCzNVXIBh-9Kv&o9ulU;G0q#ybDMJVCHo*beGohYA*MkX|s3PagqCh=jQI`ejXq4k3(VakC*O` z_lM25=bGb^6a&t6NTlac{h z9v8Xw=p$;kXRoTyT<-b(hAbx94dz)0- z$i83SIk>Jh3dBEU2_2W56lNykJ<0L_dqHi0m+H)u$V2_zTM=jddb~&Z-KWR&dsK%aaMXhmQd-GZaz;hl11*1p#Y(?y5ZBrMlcz8OT|yYrlGY z)_^z5C0kdU;d`Bucc9V;G`zBCU|LtxlJIg?RS`9x`A*Ym`#vq-FUdpu(@Gzrs~P#K z%eL~Z)plFt0z{hMx$3GKcnHgPn4s#dqKutS(f_o8`zLhsY8+AUag|PeGmBtA)#qX9 zaZ!U^ovC-TdA0eX>PNil6uwJYAYSivqa~yK4=aO~e*ujiOa^5o?rN;_xLReCd{}3W zZoXwDVE;Wed4P^3$5L?PDE*I72nx{pBle=Niu^oZ34*=#smvXt9k?8s&1bL?&-X3G z(y~KMbz62GHxp@v!?Qfj^5kk1@~5xgfb$#bs!EIRD)KgqXMf-qvC(d1f$Gk0PXubJ zRh64%CB1PKC1AbxNJ~cKF@NJyv5hcGubWl9efUt=IyV{kZZBj$AcW zOZIf?eWCJ_uj0HGUwNix?{%dggV#{zxT%%+)m8B)zRV{_TkC_%-%#e~c=2;2aLol- zEA~cY(sq$lfi4wt(rSVbNv*Z9OOj42SO#u0Ey2yM4YcVsY*o{5d6?Zg%JbI@(`rfP zBR6%zbQzJSTKWZmm~0nSE)yYf)j?c&X-!0RLP0666!K}@A~#aj>Ett#UM)dV>QPU< z0>DC(+kMroO2;YuY#Z~|u^1Q7+Jiv8JQo`i8{{^fTp2TT#vpNa+PNZD{ zz>WCJWEi`A#YcfTV?wp?m32lz`C?kex|ejJP$La%T={gSIry!`+){2@ElZV>Vr|}= zG6QCU<)g!#F~gx{py}k&{vVb+8lHkPr2#LhuYxjU#v4yq@{NiG--(X4=goHL0Hk3d*nOGFBG7%EW9U+N3l>X3B>aT-#&n z&7Tv97fa1$^ut11dUrP{ZpGF9UZk2w{#a1N3qIjstwgoxIQ)k#UuAK>{5V+vK#P`A zQ0az!lq>?#=1EuVge@0e()Nc@PA4;p(I^rcj;_jb;VG`$RjP8ioM5x*Vn9Qo()QTI zSW&{Ge`}k?105*e0WzriT0!O`3||bU59HrTAm)N-@&ZdE!$s?n( z0N^`V1)PG|@q$r2htd%z$5$;WX+$u$xjC3bX5GB+T*!GSAGA0 zRg#5;!-ax^LV~jM57S&sXL&Y2fPy+AgMuQ3yqruNSdFY*oSaP@oechu4+iW!-0Etd zpkSxZ986{*Zx?qIC}_BU&`?nS9c?^KFK&gGFy!(Hf~||(dw6E~#pAcp5B_o^-4gRg z@C?4o5{FXH)J664?jkzP-d{>KvBuHyAE=yrKD>t=gT8`?m;CDrW&)%!9h&R^j9we` z-sj)j)&-bTPybyq>ifnmo?~3Adfh+R68P!bKC}z#h)RX$iL3JE4|PzD&@N)zqmM_8 z6CRR|1I@6pA^>QxSkE}vhDGH$Qe-==ci%@}lK{Weo{>&l`|>fNd&WAxXOjC%qw?+| zA#Te}06Y!qJ0DWp)utA z1Up<7N%aYZSkdHG{lJ?q_{KS zzJM&=qu`);A@M%PG!4F^XxMtv@O}Rxdk3WmiPA-OoPY?w;Wflq5}^az%ea9UC*0i+a< z_}}`13nB>P1M*mTcwR+8L5*f*H7kIjFF~HnsEvim5A;*9L#ng$rp?$=HG|e1|?=v?q9NiSp-$cfARI_P}u&iX=h~& zd{T@AHm3c^Z?F9;Q<4bBvW!7yQ7jwI$($$|3?WFA~G&rtx{re zz*d2M_fwsg4cQOe*GP7l!%zz3qJgPU7=Y5x9B$EC@eI{5bQKV5YKS%aQDZkdE%D z9*K_Apa|Rl!A1$&xlZurr3T0lGAJAp`r`yu(w0eO@%Gpuw1SX>)c@7Zo8f+=kQ|$x@|%%A5AD zw2@x1fpOG9E?P-6ucqyBVwO(P#s1A4v65=+9F>&noY8$6gV@;~VC37dX$t{JXrFe{ zfDf?Iv*rjIN~hFJHK)a*#tIK4vR6QQhT$}4BAJ0QHf5mSfex-<#1(OlqA;%pe!~@a zsZuW3`e7x9U(~^kKfNrbrgRz3Sw3~{)fvzzwv~+pm&ux`el`^7PkO8L-Ptx$^ebQa zXrPQJco@cK1jY^M?u(C!zdY=bPaOQ}PFZ({H3{}gv$9PniN#r2Li?IW#li7D^p;OQ9}!9h;CFc zufz5YHT?o5luXwBQ{+UjRA-`DV(I?y;cWdNHZD9xQJ7+r4ywcbrXKq}f&6o6AqfD9 zB#KT?6>!$|zV-QWfrsu93yOB5lVD4~uu01sb$76z)yA(|=M5iM{Kkr;GRLudA0u;p zF-%^D`Kme$mzQGS&1@Vfw#@oYBy|NBVXXd)6mfXB9f}*XS!VH&;uZ{dk)E@Gq|zgP zPb*qIQ>(>Q>p#FQUY* z4O^vo+89O=$1k7m#J5~fT&ab7Y!zs=rQ{d+G&fJ?#T*%=lT*};n$f%bco;mu-AEY|DmipM~pmxw^=^0F1}@J%Rwc zW-*dJv*y)e*HMaqiWFQ(&uG*cw>NCyFNBEo)B5n60HYVS?PP-L5l0{1Rz?&jGo!;d zk(Dr_p69u+o_Qaw16ieNjM)YZqs3)jN;b8>`l56X&B&xF!+o`z5h>Eg*!?4LrSWRg zbkk@W1mN{cUv;{#&6{BxEl0FZhEiu`G!DTu82XOD{?`-iNsGv{CwXOq72H;ys}5gi zl}AGfZN`AmvdjYY8DlFllk9m*izry<=+)6P&PXNJ5)5w@gpBF|98!r*n%eRY^=eeP9l(Z*xP(U@WE}e_|<0DS3uyafPk>q+w)OHVUU~M1=-ch z`rGloIeTDYv_9=;%kiRk zTKJ~E%b^gJIhx2O=SV6GMk)JsadJEyAxjWxS6V%;^NXqR^|L6Z^kMO~tf4z~j|UZP zno{MBJ5>t2#rn~V@*iq-i_|9EjiZ$vVu#N#-N{MAaDTZ#5+r851>A|)`ZZLaWoqQ= z;GI^aY%JqSwL97B7$fcK$HEBc2W#{{cV;ALSKHcMUXc44be3_Ed>PMoCIm2NVMnZ1 zHYD_@jW||cOAG9xXo!CnNBxxBcGrmMom>-S{U#7fy-L@SkdO~V8anYGg4 zz5Y<+gx15AxvawaKe=uJ6h0&A(H}|!Qi`?9p*K*xg-Lj)M9PPzb6`CfG%x5>&4MyQ zP9i+9$t2j;5ayk2078$RDQKjqZUOWZ$rew~N&6R?>V&^}#Fgo*(#FRQB4Scx;z4R` za^(UoaIGayF;@$t%d-X4r%E^#y(JYkQ(ahZd%~LfpNSho)pZg!O4gybU3mDYPL5ux zk858}jdy#yqg(Ci*i{*qw5-i%wm#|+dmkkN5&O>MgbhvEjF9Ld4U-PZXSbAz!SuOi zf`6G1r+IsrUa_zY*WG?!k1UjSSO4gN{b9p{*nd_}-wia!|>2Wt(6kFS@Vn>!0Q2(y6a^SfL5x!GBHN~%UnbpSFEJk*hr zuHKV~_e~QB69EM91 z7S?9{2>IwKGu9=0+^^pDPXm1H;?l_9MGh03q`NaZ`v_!eG;8d~m65JyN2h0uQ7wM+ zLp5V7+bM2r@+SyTdt50A4>AWErdZ{m7lrqKgY2|yrR z76M}e89Z~*c@w?-K+?4>hy<(~r1}QY#t5Xp)I5^bluVh2i6VdFRg%K-1#Qvy4Ah6p z0=Gi~qec&0Q&!8YiX9VxARq^dL98yLM1xDl?I{bmo0SS-%f`*<8kW51jP@7->XQuO zq=*1qeTT*@&={q0A?#ihIy8KIs4Xzm>6XYU#)vR3l9md9O@6)47itQK)3`|4O-W%lY8 z8Wh`pn1G1kFoBB~LpVtRd?je^?Pe4~l?sQA#ll(u{Vhy*hh;)=$MlT~E2- zlEXyu3NPIx$h{OY)L=4=xJ<^|p-iBQ?O!%77A_99ON`?4>umREetR|3HZ<7&&9b2Y zf<>V*!ir%-nYW{uFjo-2;UPxmsYT|2wK{bGPmoOp^tFW;WKhEhgJMz1GUN!zbRV1} zV5bguF^+0L=QN@qvhFhsfhARWqxPH>ngRaDK{I|JH7iDi`C7BYFiR07d-f7mjM$fO zdk%F$m|d|7wvWXIx*# zOnHQmc}&LgsNr6eUIKd|+0R5-r*PU7_-ao&;(sBd|3K%pS{h@5x*Kv*#URn|E{pw4 zW;+&(^46zQQff&YqG-{wKB#S3sQTtJGidgFxjl#zOGus%Rmga!pH64OkX!d7N}~lF zJ6V$F$0pAomOh*ynn{LRz8mL=Op0%<)(F6Pugvpc3tp@6q_3(q~;+}cCC}P0~WP^ zi#{NV44>*KGMuzCmu7uJVNB9aqhZ}+eC9n_AVpNKK^jJ+OIh|9$e4vnMwQ9K5>{iG zaB%fwI4GoCL3uulBS!ryTg~h!hCp`4QHn+r0V$8GcFn5M$ervY7yL*%I9?fGC?Y)K zCW-XZhmKi3^{UX^!>~lS_HfwjtbBeBm_Ep;YhHjU2*n(fNEba7oMbVcCg8|xZtpQLtg_RD%w_o0Y*}=6=rGizZxV z5r0zl@9_Nj{dgrN@TxdC|B}1?tzC;uSBWTF{e=Z!q%r?9TN48?EroP8u>gc(p|0UU zKog9Xfdtz%7O>V)x%sLlC&S!8m9wbC+IAg>TT{LTst~3@%+C@->x3p|0-!d)M~G0U zZ{kH1w~6|6<(UU)GG`OEA)L{qqTy_n&ZZ9m`GB~EA-5KVOm`p}1*uER&FJFlDS4?g zV+Jo@SFipyPvdXQiT-!};4BT>(4c`rg#3mlRcLb!fNUF#D<7B`ff)7apnNaimx}YF zy~IRf|129lGooZh*x+o@W_1WB2p4!gg-C_qQN#ep;D+*v-A^$uJbx#u!m+a1y~$>Y zqY~O+OU|{<;@_nAyXE!EKZ~B+;mh)?>hS*W9_=%ulWjUCKobAjT0+2ol-LVYIc@_L z*3$PqIGZ~q;0_elWKivp!>*CQo<}DkHu5=^T;>ztx9f4BENysjfu!*QmbkZs6UJE{rkW;njWRZ$|s*lDHt$`8SvC)qgoC@a^q- z9Febk`SRJ_UvGOIFO}MA;n$`=?+3%Nx^?2Njg`2w>5s7~-v#hASyqD!x=Wwyw4ck& zFA+bzLfX9u)Y$p%d@mKdJ+}Cl3Nu%PV=wQY+VCCAE5rGd(|&pKmV*7)z2@fdLlpj6 zTU`=viaKrJFV8&ZOY%}ctS%cb;T!y8w8h)E{!p9`dqtRk1|R=mEDJw#`;QwRn6jXM}d=lHdj^1>6E#Uht;A4FoI{7aN`}=fwU9I8Ul#RZ- z*K^@r^wS}d|CV@NC8x(zHSa?avK$?{2~45lZT16&YOWHKlXd2#o7tmJ>cF3Elag^u z$w-t#qHOM0?YsXDCl7HX3FB^~gp!%%e zPfR^b+_27`AA81zdhVrMd9dEVw_E#1#64J5@{4sH80+Qa3^y)H^3w53^R78}O_<-l zIWV45AL$N5ft@DlJ#`tCfnzTh@_YNIs(Wnzu(|ZC&RXckC8Hnl>5JMZC;6aiPExn( z`zzFd^A1vf+w>R}UtZkvvPG?CdD_t}mR5*U4-aU;)HMq6tL; zNY=}o;uBhJ6t;p*HqB1D>0gP=v%#IAgzRpH?3i7e*OM^y$J8=S2?btc*VRb{3AsB zBd>Dw{(gMj1zOW*-Rmnxd+a6kXT2ppt;9<|?icX?%BG~g6J#n}0DyMB|5r9~{5RRO zopqgv)0$H9d#ZW|q=rl~Tr$QV8-SDtOu~lTmk)NBzLrlLHjOJ~62BzlQR|nNq^bHX zxbSy`pUsH2bNiyEW(s8I(JwprV^HIF^>CEl-Y%EN|6`D!*YoLTRlb(5`+asbPD*X} z`|0ERQs2+(dy@RURs43h`+IY?mcQ%c?PDH4ZPorC=KJis5uDB1O~^0ok_a0*Rnb)-T?c0w*^B{OWtDC(w2s=1K#@Twdz0nC#HCaS+_xWn1amT1Gl%D<)%- z5(bqetxl_1?+3(yknutihqvU zsmvpEe0lL`b8#_XhAQ@<8nc!}nC$943}&(NI}Fp-*U}PThE3X$R^z|hytWCIg&sDM zpvR(0SyX_SQB9V1K$tz%*h*1kA(3Y%f*cyb&4d~dr;2cyIiru!m&6ZG^6s8)@=>wt-4lgCfK%qmNX-mj$i9S=Iw^p08s_i%*zDrs}XBj8CDqGZ)eI_RVc3f<|qd?hUM9Xaf zs6ysgRR)IGC6odZ`4wS2MkvlIlwYKNu$xlo;i#%+QL{Bc=0Z2}@Tm^51+llF+dQBn z06k$5K?tWw#+g~eI>N}ZR_+BBb+iHfpXQvd=)ue?X<3TqV02vcvbJSPVl9GUI=gY&ITLCzP1 zSvfySxD~Mg{YEcf54%?J@`O7CB&?+k^jd3TFA8eaTuF%>q)OH^0FVQ9;>y3EoyO_& z`1y^z-x`Yux>^#R0&dp<>tKV;8K=#`ai}kDGK|GXAv#u@RzdNZQ2yaoyi*9=jQ$5i z>#_k3F!ewUyugmyAW&r3kdf6RX%g0IcZOu+=nH?|8)P$Jd2@J7k|=Yqwy_D2D6^>q zBk2z?-5$|}+ml4v=5y?wbR6EYhYQ8gE(!t}yp;Wn;9d0!l*_YV)6spb0`xOC9Xn=G5Ym?=!D9*?7S5^1!2*O z-eV6ed8M}S@cu?%DIWlCrgVk^x41CRXXJXK%CCnPY{bF(H-z<*t_^92E7*)PlmrCc z0tm?qp2ZD3Cc;ujoUb^Z5Z(rx0hGlYwtD|I*lg?~WD$U+yr5wG-;=>$i|{~$5_bm^OG^OeoE*egHsOpEY>1hfc?IbN1G3vdQ-p<1%lbPko-K zqJeIv7(0>MyYDvdcM@PZBfwJArAHc+40GO@`O0+OGO6#1##G2w4!RrC2Q45@h3sSX z{MpbS-0!TqZ2hK3zo9efrWFq3SwORTqJSm;)v+14Mz zRl-24hC}+w_4w!g-=g|Uo^N1ZDDGvKs}5R4*qfwu*VP(#TWND&C~e%>2y|sVRT~fC zaxwpsOjC}pbKMHWPP^9#bGWr9Xq$X2q?F|KG^b3I!rB%sChSY}5Lp~2jB?e8mQjWOp zX-g%{N527^1`J!=D!XlDZ7Qk3Q;&xv<;rJUc$=%=F#9V`+lAQt01+!RZovJNfN?g` z8iQ&q=e1|O2d$mE@eh;wQ;V7QbYEiXMXe_1CX8tv5qYzXu?2tz}? zGYh79bzV@R)-;LN*1egcPDj-ivI*h2+#pC}VNHXD*^%phXUw^Ejs>At+DTat`+S*g zk0U)42Z2dc@7pP2W|f=SXia34sN_<6bs#GhR?Y<6B%gnN__t^xuGD(mPxQvqt*l(>TP+g$<(05i1_ECl9Y>Mg+o zzrhe}fP!cU0E~`-fNX9lBpU+I5lFsk#Vtl@jWA{F)#~^UV{8aH6QIVNjdvVYiUz46 zC~7%@g1kTl`2+xZP}&`Cm|N3p2qXypm?|tt3%G}=Dsu@4r~%TVIx^o|6PyL~?LA36 zifuq!@&y}Q5d@5Ij~Bc8Q4j=(LJ{o!rQH6ADP?Eh?ftr*(%b!dxcJVBi_`1=UaX`~ zj}h8$-L^Kt!-g5Ekmd=;T7;XQQ(L#-3IiNbCbl`I!a8963c-{)zbp04!Pc4~zS1+k z+%_TqanZIH4Tb^@JMGCYDMS5Xk;AWFglqfu9>pZc9ksSU z_ZaruSbhybD8?hEu42%->S&+YDA)h@;U3ZYhtFtb6`!x?{rxoDQj7=elfr^=#d`9F z^qj~Z_75TmZ3;MsUn>T4?>QH+ZC7CX-(t>2S-*r>Laf|~J`PaawM9>xEG?}YY#3tQ zBL~6Bus?!oB3?_J(-2xE=`o#2W^m?FiMh03tsi|EE3;Zbl}#Yy3>wk02!8b#o21hQ zj4sM_GZ%8=ce%{P25fA)+2^@tC-)h{5x&_s1;<+^?fIc1>L9eVQ;Wk!5Lz(3dNFGf zj)M81N=SbMWzkr?vvbaQhBCb7kY>;huVmV@x#oyU1|-Dm1fv}+;$sbxSd_h<4`d1! zg!(_A)pB{gq58kvN{5Kp;T4TgdImtMmZ@l2?z06;ps~}3Xwi0n2dmLVl=QWRb7YB& z5uI#FZ@~F8R6mSLt2&#;7LZ7RHe4^08P-=grA@kF+W`he&l8SqzW0YEvwW%M)gDS_ zvIM~W50ux~a1j0&z~e<4`2m30TthU2xGCb!*AgF(G{`XN)!D|i8{%{yMAQQXl}*vG z5OpU+Dda^vq)aoX2|iwJywnFZjJHH$)$frG09d;b=Ac!bqGEX7#>A^N0Q_rS3#7HI zT|@(OWS5qHJrxmIO;_7P&$~3n7SDjUC&S}GhJEq0HFdB5BVtsR%?Gr?Ttx-3}0fCyW(BfyAYew)DsVO~8@ z-LTMQmM$`X5io;OUn5;%ojej?5WPe^A7}@PXY1(U3LP)&avC)+v3@i#Ub~&l^-zc- z3tZT+ITd{vIr06yRgGm(&fTz4%`3F;LiQa$Z@G42X*Q6=Mu^@yrqCZq--PbpitOR;57CW< zdIdcp87%UiXWvdJB%d8;pwIm!8%}oj`QB>7h$}oyARQuLpwL79!eDl<5TP#1j9EQO zW>s|%RoWg8dk(zvT}28lDVW5nC$pZE&0%75$1X6CQPtGY%a%!r5(qpfPxE?i0?j&d zXeQB-`7Ih+OK5GJ6@>>6OPUXg^&`vh3^p|C-!z*eqzO(yX*3e`&4=ntX4n@EOCcm1 zDlB3|@}P6E9wdqxCgQ&_z;q6(Wi*X_%|`$LH!|lL+q6m7fd50ib8LRwM`m;v7`u)~ z1I%@IcJwu@l>j8e6j=Mt%qGEYyJ3J!V10;XX6wYo8U&2kL>4Y(uRNY7#`hzi(|ILPJx2C^G62F|U<2&jf}D)|my8ynVmtM)>#> z+~m;|`Vm%#_!{Zj5U^zsM@w|raa*>dc&04un{DgPjDA-(hJC7s@ksjvA3@6_jC=V+t_NuwcXLo;b zcr%|5D_M>7E>KsDf3Fb`U%tZVxTPC&Qo9Ckie+yxnmv*5vW#=aivFs%a=R{#_B4?Wk8VYgYP`Vo)8zPx%KPBdEp#nHQ41tcwt>p`8Gjrxc_5T zvh65G&PgclZF@-H*#m-od z2Xo?FKXMdWa<_>CUK2VG`=Q1haUQDx-}BA~cIzZE{p0F;np24H<#vNj;RIfyrYD^Z zK6De&S48=((;(AVnyq_A^snHC-^cgqN%P*E@FneJbMqK?aDkt7zgHBfkDMH|mKS$d zt&?A~(&BIb70dJAIBr^SXC6;SXVX}G{oeP>N_wSHWXF2!*1CRkX?H2vQLr=YAH;$QHlcX#b&ll>p*RnGI@+IUnZt*27XtW}P zs?+-{OVun?CgxTLA&DXr1S!ju%aoJrgJMb|<57Kr9#v04Dd@(vLgJ~Bv#c56mf`Uv z7Bzct!P25rVcqAN#79G3G(;`pn?26{JA2dP`z*Fbrzo|vjSMKegz-F*lE&itrjRN#BR5?JdkQp z4oj@OQOI|+ox}w`4Ax@9#Q4+R&f>V4v*g3gP&4i4YrwOMi=kc?y4E7XxkXvdd7wqJ zL^Nv6M4EW&WH-4jI}OsFqZOd@w$b+{LtE+%eCVg}2b4z(Y~&gPLp-Sl_>gKT=vlj! z=#<`3e;)&5x8!8<$UcM{_|V1NpsIE$(H?xH16*=CgJvQxw>(dGHyFiB5jwYTj3d# zw}5YoqcJ+rgv_@N#yV@I&5SoyL;^Bv0Nh$5jQw^=iPu#20lm?oC^sod*sv%UHPQ0G zwZXtxtWUm8?WI548`7qH*&jsNZEOaL*b>oG^kV=2;LO4Q@Wrc=?kQdhk4YW~j zT+AvT?E2};*YIR1d+#C0LY6$fpYLaCx8LVQntC3dnBMs|y11M(TFbHnAsefqI2B3# zHF?6;yq0h|Vg#vhl%&_Ib4Rv_l-}qeM=cIKhN3$sAYKE7EQ|ANiT(T8_T)W)1EKji zD~m@s$w0gN?aU}}>b5@!WH{93ls~2WJ^n@RFNFE;K7KZprT3R;ZOdP`#5Uf@rddi& z?XOXEiGiz9DXtsJ>r$z;uyi!eY3$qAo5Ag!?(XaD*TXj~xQjddZ@pVRt+7ih32AA5 zuh+M@X`OFzE_{9L3n3)*EGzt6szASE2GUyRDvQ(=$95cjZV92=AL6P-d_R@nhr!7# z@?PG9_mbc)`P@iBMrq=LC#E{+4Dg{O11;L@Q0M@DT2x}j(N;3PVLIsYz^gNt`t=G5 zNDm+ZCiO5Ow2HALzBn{MP7Mr*XUkVxBjGJcrM za&*hYGP#j;56^j~u29NXkmz5IBU9;k`L$0n2yAvRr;;Z3SHQQwyofB=K(RR5bJmdF zyC7l>3DC<;G|yk_fKUAw0bOq`@q6GCiv-L=Kh$Z+`@ckZh$X~`yX(sP`1pS&r>7f7BbDLM$vfITEG+wN%|MHUT@O7EsPZ8QwkM#2% zX8T@Qm?Rn7wO!fz!a$L3G57ld0NI!)DJP^w;0)!D?HfdnU9O+R(jaQetHwUQw{3HS zYTj9`D#yRVOq|^MCGV}|vowpF%ps)sBop8|3;$IEN0~DyTZew7(a**X1HpZkZ7cP6 zb9qViz8Gvb96iEY4_7)LO8Y*$3ib8?m5*81t^^7FM#F{k$isHRjP4FWVf|+}d{*Us z&~kV8tZ!EMdw=HD^80<-jg3CkHGa{>{U zvZT%5G*tsodPUObz4WBufRv9))QmsUm}#hXxsYz`vG-$3WGApguxV;Y%6F507G{IhK z1G3tg@O+`2N|@$3CkTf?UKbK;4vDHCm6dYF!W2n~+52+_z8Tv#4qRv9Bt+);+6;G} z$P-7zR!WwHl8(11*BtzaO5V~Ep{km8ND!CD=l}ALZDHc~ykA?3hlBq`clCYeLc^SMuX5`Ku{_@;jzZodQN^EAJ{HIp-)aCF3I zs(9Q(V))#RPdD10=H7Uzn;o zxXX==*Yjm-T?BJ6O20cK++4o5p!{9uVZc^vEQ6%GG?d5^urYa&lQx~~Qc`P9Tx*Sl zHk+T8!zVYk3E`zF-xNRo!~lwOIXZ?bDiLiB*;)8UFUUhSGf@xd6Rw_|2~vDoEKT-rrUy0r>rFj`&% zS+)0UmBcv3{qYLO)D#AuS`%rZvhko)kD1iL;8aNc^v2x{x~sMJqh&}xyke`>J7EM! z3r>iTL|l_ezs+7Qu1r~3S9z4dG0Q~My!{UNG@HnA*+Mg8BTG7jGPtIxvjxeLFsV7A z#FQD~ll^0b_UYtZg0aRt_c^1*;2Tu|Qeo?$)PbInlwJ0EFoGd!WgZ+QH7M%ah ze{I&hwj*Xo`r?-_KyM5FvfbSWatX`E3_=lxgQNrNC!E{ph(XX~vf&H|eYjJXjpeiP zOagXF!@t~K%QSRVS65x!g}o^~f4cejcsMz^sx?p2Pn(^b_Ih~wM`=mD9FNOOtqdRk zzWlyCJRN>Ka(rac-+6@Z?)hrW9Huam2yAi})O4~R#^}<+PQvn8*l*`BWbpvo*`6@5${xUwFRAF^Ht6jEe)*jC@fNs2 z+gyEi;(Jf|efI3})2QjaN{~kU`^b2m0MF6vMkujIoRVnmb4Ynmmuu2AG)B4iMP-FL z4ja9NO-h*n@*ok0qDe41AGihR`9~SLHd;1-2U?bec0XKbNw6HTy`d4Y9n(lqPME+6 zP=4tM=N}<<*J}CDWCo+_i1r~%`RFkXovgn%S3hlYe0A8W`Tg~C1^mk#Nh>|*6_LEZ z0L06_$XXFTEt#!Ma7n;+L*k6jT^v!9h@oG>ML3km1dk-U!MTMwKgN|Y2pE#pV$Sr5 zKB69skEL1YHEH-%GW6)*f3!OB_%$>@y<_gV#PKcvGN!O*l(SzrBl{Ad7k@*-aDIT0bpqgP&I?%A8q|@6ENBq2Sa+wkKN=;L<=+FezHUk1IWzZK?<-J*bj6= zC5jWl9Y+C}?;Pj{2IbO%NSWX{%-W#Mecu+=&aW117x??jFxb8jX!F{tzBV9Uu#zQK zun!}k@Qq089CGxkTL_XQ&L9gclJRN$;S%)U^uaf`Gw%u)sRb4e;*q}BB9ZGmnR;ws z07nnlWKf{J&yfJ2^dtyYMcs92&n+GreSCNj4`6+-Kxf6%d1Hh=P+*{rC9NN2P_lq1Q-C4@DqsWxb%Wo4 zcN3tui8HvQ31Eb9Oio@Dpd#E4jKA^%9K_(bY;=nu#Q z5HP$L2c8cFCf*O;h8@wo3UBdW9&};;6i4c!AHa3g&E)^wtV={II>`1en>hnT}=v>Xj@glwg}^GYEN$) z!Yevz1rV5O6n`%B)8B+us$yiVzyLw+!c(bsDa1b{^uHpet&VirB711bi< zKr-ZY4N$8AV3ZAjoQYo|s}YkB^9!Ix2>`qQl*umuC30y@9!ExI%4w539)(mSR3ed4 zmx07cl!ptF@l3$d&G#snuP*+1tV|N}?bVPmd;DBo{-UourWY4w8j+Y#jl$vuAu3B4 z#1V@$TBnF{E6adKGlSews%?{5hs=iT?$f8PZj&yo;W zO*Uuajerzgf)P#`LWYS)=9V@lXZ2>TWI9bJ8{(TO5JTiu$XOham@hfYlo@)&Aj7V1 z&TigXIw*1(K8#b*um0o#_TDkch$O`jMya|7{ly@d=dOiBD2PLx)e%A&#(#)mDV0tHr+f{_r>qQ~=9CTv zp=?%LXuqHL4fwU})2U(qIuo z$+nY!4|!-W6sdrdZ!FCzpzUhWb=a<6!_s4Tl#bl^IO}@|8-Ba+7LQ#!jX~VKIXX5u zc{$k$KNP5UqyDG#_{)^Z|NY~w>6nw_#w*!ZDCB8Qi~~mr;TFz=V+%RzdR>6zArn0QJ2o{oTEFLHYE z%z!YD_!JcgR0(DjuBk9AhjM#n2_tXrshdGhCr_Tf^pt$}XZ2(rLfp5FnyAAQp%(?d1V!MI6_IeCtm}>d?h=9|}is6J|W##%JLcQS4g$ z6S!TB>m5`b`hCX8#a(PzddGL^sjZA-xC6k!r@*bFXM*30*OsjM_NV%65jvSI^+QRY5U%+}HpYwj5Lw*7D$@3FKIvVg<`c728iti;g2K94i z7Hit^_66U4q~BFfOd5^tCCr&zL%;n%d?oaXH|ag5hZEmzd4a|0F~8|uL+JONt{6Mt z8DB2n+XBM*B&QX)wyv_1qv>;3NzJ`~4pulQ1@PbSHBdOd4(46-90U2xeLwYl-a^H9 z*2;CfoFsqoe?9QoFhL9h=;uurNLkFVAoe+WBTUr>Mr4-WQw zbc>KpDg5yh4(gBDBz*2#ZqvHM(qtI?!09T$$j-ZFCs`{+lI_9kpSV6~BaGQB82G_J z)~DxW;a$Y~9j< zqEg^ z(p4w~i9OUeW53{V!+IVD%4KmgyUaV)zh6zNq;D7}%~?ZK?lFh`-0NUwHk}v=5>r;T^Q%9>j@!$;Bp?~9PA;om^vm^xGI?L9#X#Hu-CZD0t-VwS` z2r1l~Q1AE5#e6)T-hLNH=YM#=oP4-{zW3D&KQkyyz1uq@g;*y>_n3oB!1gA^A0L>B zw49W+HyK3ic#hzREX^Zg^Bnq#Anm>FbB)Lv{mDSuB{ZnojCE(T=NNL>0 zt;ge&;~#vBLW_nP0(GdQ*5dODtjDRwmXabnGcjD7kF)*QjgHPgoSq`9?sLygrQLb@ zdA}{6uj}&Kc@}F9((_7%-g|y_;2eFkrj6=3{;F5z7IQ1G&S1iA`p0|E{8YWv<=3!V zlepQ3x(R%iZRd80_93)+nr>uMi;50S3?16Vfzi%IL6{%g)O6Q)a{F$k~gv#w&v zUM=O`38+%ay{qCb_T%9xi#y!WSn#|0C-6YtF`YE?>5n(Jzs{HKLRXF2Z&{qw{VQa3 zi}VU_GN_>>Rw{I@e~$tA_uXb`9M{A+N><02q@30KopIxBgd|{Xd%R z|26EIqO)m7!k*|`TRV41SJ$X;UXuoTM#P*XOh)brh}|AMoL@!f4y{({C7sCo{puZL zvLi+6?PddSw$Xtrb~nU{DEO_u`+ex+>*wd*s;B>Z`a$ESKl8K0Ypd_ibH#s~H`~L@ z=garm;_vIbk@NlH;o<$Br+OXjG@x0mdW_+`RTlP4#MZnQ-P&?qnc28Qt-7dBIMz{} z0VSu}-_%{}fiBYffa>gflmq9A%!1Aq)>mv>h$^d!eV$!igrXz8!+2_?DBb@-MOA2R zTBw$IIaiIfs7hzoW+f6|Rl~I(g1E7+&`4*s%{c3Vo{p%B(p)xV^{gNA{`GTm;^ynB z$>7g_rSBd$YwmCFo`FK=-ni>p*b3>{F#)sJ}2M>fW^6oH6NmN}+f zI4W@%%mUm6F(%c5$KT;nHCmdnQQ6lNqf;vsu5n1d?yxF?CS8?1~)H$XuaVG}E z{IH3k{LqQ$DwD2@p8UeARIFSb;RE*e;N|P?&K7Zu$Fh=L*yC zfR|ou3Zl8sM;(4kOwvPo8o~74m-`%xfF1WHCUiATQyV7nNZHkCH2#`5|qe=M-c>!ZL7onAN!YSB=iIpk#Z`B3P@mPSe=&Wfef<2?~ zzYn;VuNMp9@%puPHne`m3&>LCQfJ&4q?vcdSpQ+Iq60p**|iV^;X^@D#!<1bR&C*# zYnUaBqq8G@fmrnGArxx*$ZI(YmJYGuh)-PYQH1XV?v1sR7nH1sU9|DC7>>4z*WuF28dA= zV6=iTRB()vmOU|>FMl~m&zz7TNeag5?NT!PWWeCkK-`@`K6Wfjs`rX%B z|9TA}m=>|@ftgZipGs>hb;QkcBZFls0n6SeFO0$HXnm<#TZ9ZRcei!7wdVwN z`FeTzcy9atMpb$j@my5_DVu2zXXUC=^y45JqWlFI>j5_zYO}rj=eaFWj^q-IA~wWQ zj+DtY6k|YBo^5c$V$~umvsPP2|9cP8jAEz~`Q>=~)*`yCbip^=Hz1RYV)d&D6Z%&u zkNrV>ClR%`f^&^tEE93^Dv2+%aq)We{5`(@+y34g-CZ)vv{YrF3H43@!c&0j$f~75TY&{8Ns%;r`fT;9MSq(N*aF%q#~lGwtzmoz z&jFAUY@;jID0(UceI#{Er_TUF#L>n?13TJ!+O&3$kl)0kyw`rad_C5XGrn&(?LIyE zQOi`4<PTb#0Xcm2RO_qQ{<;^05|$*bsfeH!bQ^DHWV36pBeY zLpBOuJ_2WXwo(4t>zMh74oA**n5ODi-QM1W)BIk(9B&?K6aXn)BySRht^kq<=kQi2 z`pjt&-lM`=BL@AagWmYmt)Nt5@nIx6y4_N5tW5#2=ep+BQPa zK4P06plm3GX2E@QCQ$(9L;#X@$2#kF_q4Tlw)3tm8-Ch)d-!;5K#?AnRg^a5}a|1Vz zlQ@|T!E?L%GgBcp^78QXbP7sj z<_Mxo)0u;8E8LBG!?K|X3D(Ag{6W(%GA%Yb)azuZ^MveqWKgw&>cP8dz9>qHTnmxy zXID<$-LAQ{zpa&H)NcKCef_j^r)dK$EU2?q=S-?duNjwN${jK{Y&xn?X~7_Ar93Mb zw}e+mH4{`9m=Qb|uxn~cB|IA-yf@7mB1hd_@mL~+^0K8tvP64wX|=8}_M_M&y+%xv z%Z$=q@2`)?%Qn#0%humTEC619u>|=xeeL>f`J7xle5?idc{TfdyuoZW_?&T>+g5i| zo46+qU?_;RqF3Oj=H2#dgG+JZpV9??rJk?k!xt6-OiTty&|PmB?LDMg&)}!#VXO9Tz9w%!blz9jemK1VYtJ+yH-`;{(`N>(FtAv`2&0z};E@ zJFq{~w!-EztvKjVNO0;Cq@}9G>T2Yy=!AP*74zm|FGF=;Jk+0qr!(GFsuSMFsNkm< z33+$?yuAJGvFy*)<@?^^>*tBC?}R^!S|ysQ4BB{0rGY`Cmabi;<)hNS7Z%T59<&UC zZm0Zbh7D;PH_@Tsj*d#BV>jUk1hq<)d8%1xIf%a$sw5<6HUs?a%f~mtYVEA>^SV`; ztwRn|RtR)eiyi;%D!%rZ3O1jUpA zs|={48o2P;L;;d<+2(DC&Q&@< zyW_XP*Xz>_rY*;`84_V)-H!!0n4Pl{lDeKF`P?BCdyFb$61~PDzVOnhA1VA2tyzpb zWnfI~P=>8-VBKWm?=%%s>BQqv#~GA4*&<+<9)L19O?bK{G43M(P1kw=-Ko%V*pIU- zYtrH7Z0G6dwM#JO4BAC_kShCIAVCDRRgk0hp9;VnzbFG*(I|O<=3Cx#lf!4Esrh*)K*`B?bZ&qBq-1-Ts;}0n3vZPpBFz54;MbUTX@eG z&oH^xpIS?2n*T%CIR$3|Y+E?C?M!Ujww($8*tTs=oQac(ZQHhO+s@5-yf5cGcUM1k z?b@~W+TY@{L;gKxV|Xq|MpJ`g*?B@<(n1x}6EdGk!?qHYXRM?zxB~MAQanh^(h)W+ zn&34I>16}7UL#hkZr!iTIxH>cCe&zvv`fH2PA}}^;?c~nTd5$}ooh8&Op93<6tY5f;uv@}UU^lJ z{IaB2_Cg6|fPfQcfbg|<_vPyY^KnEIe74UsJXvyk8THN_Bw8Ge?-?XkQKHk9n_%T5 zYPq0kaK8meu%OG3jImnI-D+OI4OXF7(~2sUM(phMJb~Y%@2;MEVQPnQ%?Ca1S-dAX zXnv-Mzs`0IBOKmX0_AXG*-(rvod0EbUUJVTp2ZDME#_lh`I23Kz+KLCriO8ZL{S;E zChRGp)Q&N?O&YV5aA7QGS*hv+I@ph6FdZDE{bmOr>JgfSqMlw$2HBy45V62&GY4ie}vg zS!!GF@2{;eRWCg?U*bU#lUXd-^OIT7#KxtN#=Kx7+CQz7Q(b*!D{pzX`F!0DDm!Y| z-hah;cGYR_v5ZMjhi{T9?OtGd=ea%t4+% zKzP8ONT;Onn%>W=A(v6z8iLN@8SNt_aKn8X9ojb}K^D%IT{#q(OHsoV?*X8{~TDK9u;$(eXHX-hwS5wCw zY%yOaQ9Wpi5uO7*wt4wRpL9|2M+--l(Ws@e7rQ>BE+ZZ>xoBm>3*q-h!C_V*PKj+G zTrOy9IM=06UT+{~+oB|oxPYS8p7jv^v)D2Qbe=Uih`Yr1sNNB;v%{GiL|mO>ZYSO_ zNy6;)e&^{<__N#<*dwjo;x!C+=yHPZ>>K7UxQobEF-m#`IX$`~=s7?|!?ti(8o4|5 z6YJ7XO6*msn5-ZTzqT+_xs-Cm>w1!3ibFtvqcmEvKr3UZ^R_cAwIyi&qqVW&K^YQ& z>m0Kpv;?qrc6Llwp~mxGa(}}g-(D~1y^9xqcs%>Z^;vXubnz*48ruNP3<^7Q<0k!4 zA<&_HL7pAV^ST0!~6zV7VP%%^Oom3P?<+&s=<-yB!(7|1iug! zqLxmT;=-z9Z=4Lnu}$GKw#>UjQf7%K`%8f2ZA2xoE73_Y(Rm6`xXT1$%S2UHqIXNK zSXtmSJ9G_;b=J>d1`?)JmNEA2McvAn&8oSMlYFu`Xe?RVEwSupeU!=|)UdgCSiBNp zD_{FFQ!BRE6dt9ZlB*zyr!2W!@1nt^)m)#Z6DwZs`!pe7z-71v_N#;q0%=S_f2f<6GDGMNS>VPBF~I7T$FB9_Dr z1D7Q-UmE5LlZa8b3^LNpr!Ko;E-#-8SmnwEU4&IDyvrI(#OpU`Z9p$7PZq7}+Vs6! zxPDg4)^Bo7J}vbd_C5~@q?z8YvhpOhmy*a-Vx*fwwqjxE=md>0C;EIdkFlnE?pde! z|CM{^yuTtEr-2}0%+VI_*>u5Y#5!K$fa^Uf$hLsaduG@u+RuY8^P`8l*LnURp6uuB8 zAyqLtQ6@b#X{}Rg@CqX^ zxCr&{S}#Wglm%*fiZ^r#Qr_@$49z|mzxL`0F|W7aR~UxH!8wjCyM~{hNoiD$c;E%g zR0iAEy{%Gi#Z_kx#jIct{JqlJwo$Qky5zf8>BzoaWcetK_sA*wSt=gAhZaIj>FLx# zOQfY5u8^mNJlxtdapjGgB2Yu0#Co$Q>AUJ8wcmz^x=Bb}x)Q!G81CTCDg(o^2Ilc_ z_~$ZR(&V`W$nZSBGKjnp>p8m~EYR>XIy-1w;Wj}+TfEfv^L$A_od>`Z)$>J16eAQJ zX5pXg`V!Zc_=wRGOxt=4`^<^*u`a)QJu8=S!&2zK;wZf#+P0XCBH4wxJ5(}`1EdD) z@azq)fv(5S6GOyz?##Zy$HmKc6)64f?D!-7DPJz$E`EMKo9)LuOxTsCheKlvWh}lT z;BoHdV7c^~(TGz)i>Sf-y3b&%lJh!ugb*xiGKV-?$DUuMjlIj1<;T%DG51}Wiw&y_ zG3_9pnfaEhk6V@>M3Sgrfzr&b<+k(;*V~I9rhYFSUfWf|9zS}u`q&cuO4nsf?huSQ z^qPfb7taE)3iug4xQT249!?9tJJqG)wYJiP^`^hn1n*tSEQSSU3>=2Uo;?XIzcrALgM*ik<1yXjpSWrs zMH&_hykrhy%G<%&qt}&=k@d_vYY{3mHsA=7V^}7^{`K0Td3i?#E28u&od0(Tw!Vz9YXem)q^M!cYwI-X8bVjG5u_asKQHjg4dK;TEiyLu5v8c> z9Q`$xb0_!D^9gf&@w|40k!-hGuJ4fu=9WT#X9*4s{5f^RQvLhPprXt(;8`|bVLi)v z_tl1Op`^I0wcq~3aHQ;%GuV=54!T@v*}f4EL}bz0$hm;B4`yOy?z3nt=w}+K6Neme zYd&9hfDjP=(q4n5GugYpUhij+Ibe?a8T7p8%jFymmnyQ#9JDHOp51Hsn6pSBIZ}nC z;s6x9ssEk0!RTq2_iJH_$;hxZ`~lc3=29|dTFQpxS1e8}A(rs62D};!cZO;@9#)3t zeF{}`!zfm_Fr2G`zaO^v&DeC?`B~r3?HmuME`JhPbQ*QpQ%{WUEqMB=B2ZOZmP2(& zGC1W^+?3#V7+Q4dli$E;&{RK52t~d9rESUIs5UUiYhbh?A_bRq(l#eQJ0}{o`F)*= z8yp;CwA$era4*D7+$mNC>n#jFlKM<>teWxJU(L{cL4=eG=en+cY(yQkG2ugRfb5?w zh%3**W6^`=poDR(lk&OAyBwrbSO<8hxsKKDGx<|nNQ7?OT|@WPXvKP&>Ub7}C0^HU z(+06RonnV$X`Xx{@EY5xd5h zKi?C5CQJ-SD-&)-rQs%HYC| zy?ILtv3~<(L*+-g$C%dupSen>Xje`a8n|(;IBH%fAX=OEsadu9bHkVeuP$t9#E8bb zbN3KGs*B@~fMO4&3frA`$|+`X(3i%>v#9uR-QF@Aq2?WIWn2aH1LflZLGI*k@>rp?IO;1LffbacRV*d*$pxeI6I*Z#x} z*}p9Cue-eiHa7uReT4N?w|i>6)K2^L;^mZGM;gq6@F{?pX1rC!@y<~Jct?e^ECOkX11-_zIc+);(2~}Jlo3# z2GG-)n~f7k%1!(-q_8g|xYQAb`0Z?hf$8RGVq{$2hn4>3Yi_R#Q8NXV6*DVLAv^`U zSg)4`55Zpf^Mn9yuL|0a=GJwdz2V{D<+@opIu!5cU+4GZU$4f2Dm*MD zB&7l|+3&ZeZa{W*qLs?u)HAW=6La7m?JG?;P*iqAYiLA}g|KJ@z!IPbG1EV(;OtdQ z6LISp+l)}{NgYyi%eFc&7!`o{C_v1#M<;-*O#k3dM#h`wRU2g=iA9a z9n0@fK4yA#tkFUpRB06K(CS(?D4j=sg{ulGv)1R!Wz?xJd)FwHtcn+;_>>)f-lUbE zlG>o*5OZupBmb0%RVtkd1#%yE9$o?8?|+82C>Ki2@a^B;%oM5AAh6vovI$vEuPNuD&|i^@dd~FhF4GRVNM)M=R^ZzS#%8 zzuLInT&;hL06pn7$-zhQcs(<+m>!&2K$2mzq){VyUhY#r*6%4!SlF`hYZNe%UA2cw z+wlcQgE|>&A4MbDP(-_TJ zuM;?$vVAozpc=M_TU&2pd9Lq=HuHIyxlY*Yy)LqrTg)Y@8r!6%{KJjc=7`OP_cpU?byGVyX>$+p&x zt;mMAh-1LF5YQ6s1U$Zhs$&w?woPJRkz`8gp^I<*VHvH+<`{*GWvllbvvte@j>4T4iJKt%2tUcz} z>l(RUd_(V;&0qiXd)>mKv(noUpnl#Uek6;VLD0mq#=RH3%&x^TFSSc|_5_bLWH-%BWQTLwy9PzdgWh+IQQfg#SvFj6rLr9tM$#@SL z30-A-TBtr{;!fB96DuT@Cr01gb>S;A4*ZwT^IwYLyY1YTb8RFNMT0f51ziGcZSHyb z_`JfxUG|`Z!xO9LiyxoBWV>iR*ZuANysPp*3th%iCD_xf6l=Uj7(*k|X@$5H|IJb^ zr!~mJf-O=uoPX&e{FmHqn@<-e&F>$nInQCJ4~Ikppuelo?E6)7xTkw6b(|V>2I`iF zz9o9@(+?oXq^paTm-3FQPIs2pQtTmNSd&YhP!BmY)HRqmIm>uG1+7I=JDa5NL)pPI zBTRbo;w>r*&Mj2v=c4B#VTkM$5l!)2)7xbHPB+ir97^Dho^l7`{2rkv^)W>b_w{|4 z;gau-R6YMJA8qFe3TgO^^Mwf%E=^wnm9X)`C6@S{b>=pJVIIs4FP54$SmA8yDD3wYMsg~;=H7^JkYE9N5{tmZi z|48_g*FTeHZm!S#4FWy6K9W3>bOkS$Z%{Ho-Z=K#M$LM&qER%i!5hjWmV1^AP)Jc-exY%(8q}(?Q=#F6TNm6C^F#a^dhUERJMn8*FoD17oV~>S7!ug8>bZ@N zZ=nCryP6|%h`^+=1G-3oGskoyIG-AlPY!Uk^yUdUbC{f>fFDc z`n>jgZm;(JerM?V=qLQzq^P!J|9+ab>+-sv%-Qz75BfS^bldj*-2OV9Y+v5)_IS)$ zCj5Rmx-2K`{^7Wn3;4b7>v#Eney%Uu>3uFYU;BQ1EmC|RH>}oyapaiUQ_(sh5@lK3 zxRsK$VI^3c#~Vc#rZ33-7CCo`_DnbREbF8%OtEovsi=(M{#aX@i?*mT)!Thbfn$gp zwKEWqF!x$7k8*9|SM|!~!_GL<@tknY5pMRtC$io|@a4KQ)s?h)bRne5@}csI>wobX zxR@@4Y+mDkYmGheX&|X$!t>x>ui?w|nKoecqpivrw|Ke9?vU|8Tw;h)D1AL3`R=Tk z4arP(#^ASUAka*9lAf$=4BP5oQBXPVTt|9=tIyDzFVW^7U#YCOvgcXOIZHTZ)g)f$ z-QEmE98#eF+oyF|S~$qIG*LnK*IaXEXmNSXu#Ss|?u476^j*pDydA#c^lEYpQM?^#q#WYmHd8YwD#F*s-E{+m}45T1b1cK zIQ;vm^=Y#eAWKlbd$fO6L$!Rlx@X8IqZ6m7OJJ+@^eX#^WolMhDbq^PG)Oic^O)^r zP9ej{;iI&!a=mol&xL!nml;!P6J?f@>0oNX{=%BGrIl0m?2)!%RK|q0b%ohvHqlyl z<2`3CW9dRWbR}WeZjsmEvxlG@X>jSYqUGU)+mDOt#jzpS9D}R9vT0OxL>s@IR(H<^ z>t*-*`VedMY4pf=>2qbZa`Vv1=!d9yATmt1Qpq-uRL7IiyyDo`Ad@=!$T#*o;gP<= z)UXwmaMn?$_Mg_pPWxD7r#lPYxrW^4GrAL-SEVn5-1@%u^gE7CRF!LcTxnyAy4}l* z%l7_74U~`Q1(wuvt%*_FVQ2ZUO=orC;0zOx?YjK@HlP3GwZQj5qCQy0e}1#Rez(u# zr~VJtt@!%t*L|zt(Lt;V9*M+O!raYUUABC^4cjF0`f#q#nhv}Xzp{&kwU1o3?$e85 zGO~OteGrd;(fU98P&I?s8w%NqkVz2Z{?~b3&U&^F65HZI#c|GN2;OKHI|s{(G0St9 zu|@zqXHec%h#g-qb|Qv-(RHVNe2f3%J+o2eQUHsK@pNI%IZm-XV0v+HMi5nFDT=0Jfc_5KOO{47|!W7^|hWsVEXU87pz!Gn22%c{Th%cc#jE07>lTgR%tdjvQUr- z1Jz8Cuh7TA-+-!gsWKBRSJs*#>H^cNF7bHGL91c{QwM<7A~u)}G~ZCUB6LB%-3m_o zFNi$?5%3p)b}r?=={^irV9(GZ)myTR8VeG@eQ9Z?7i}ZV_?87oiUJ5Km5p-hFI%GE zxS6k$lJ7GU{X?-*K>hu#Ik$3u6{AnBGqF?a?Gqsgg9&DQ*j8B%Tp$t$?}@w_T$u3* zO`)z|7yq0c_dq;!73;KqyyB(;QYFJH

    pc9;3G)#aSyj&~)~)G&q4N?@vQpj#>|N zz5$46;d=da3A?_Bb+u|khU)V=of+3zfc6ExW|Ha759WnmfOlJr1Wfp-_Eo0>Wu5#HTTS>_Ge#{jnPjd_8;|{nzE%1)KtyKZW}O z3!A2|&!5P)-&9MYPDfQZZ%3*Qj0f=f8X&EM)JLFAG*#Dh8cOsOEP>3Bi!#kP5J(gN ze%l>LIO3Kw%UgHjK`PDk9?5^B-A@xsBk0DE79ExX$P9d@bc>c+=0F( zsGG>g2a->bM(w25@UA_`LqylU`w96)Q{4)qJ%)gAaWw3N326CLDNFJ;$-Q@Ytu+bo zCVn#{zrph29!em0Vh~K=lO@UmQ)Pl04VwzikHm!RX z8E`;xGlICogiKi>vK~a>4x^e8bLgq=kD-#f0sG+}!^HPb^P*5bdSMjF{Xz5B&orr? zL%T)%k5Ts@P`?VV)<6B~IF((OUT$6}XaRv%H{) zU$^Kxx69v8o6Q0rkCTXYKfBQ98rz-kPhlK(-5!$mAHk8{MAU)lNa!L~fajYjaOQ1^ zHOr8105`N@%#g5QoYUa_4k1L-ELz{v4s>?*q&_qoh*_S<={k)1Lc)jo)z6X>g6X|P z)^wqy{C>B^w}??LOaoh}40pLsh$pZ9me)B&mV{zF5=b`6UQ~eDgXe4 zh*1mHbTOaVuMT5PSeY@rhuA{@HLOjS((GQv&@Yz2DHNu;O6E}6DhSyeDE?$R$|MJU zU`8ZDAPPyQ1ds*B|If_Z_wM?uz%aQ*ZT z&+x|~qw_ni%|KoabM_`E3{1@VJOVz%lz=gpD+z)amq`N`iP7JGv0_+?)#`80SaZ#T zAdm)NT~e?oA8ha-xtBY^JX_nfAB7ecMJ=5;>la}U#E~I?Cs8h}{%#5^$XoWcFo_8Z zoeURz(^XS`YV`|gq<@dEP><{F8vZXBB_a+=WS|oW*PIN3&y?H}R3t`Ihmk!+K!qXP z-*@di7L3U-5b8^lrzN>$wV@<)Yj$@_xTKLzW~%Swa36Qb~^yw0Q5|anr)=e#-Nu@z!Xnz zaMHB`FKO=|7mpzj(g&>hJ>r5e8ytej?`SF-CBguE5vu0Q*>{h>37pufBJ zIRzuK!nbING(mJ4YNE`6!WPAM!hy2p97%8lq4jd;Ynkl?p=sS5M3k#9DV*CF`n5R5 zTBklrde#4^gz>S&$7030gTV>k%5VphlxS3M6wgIdVzne!j?~P|4E!l-2s5(Xw||ty zMe-rN^|3`A7m;6Z5i|X@Ot^C}79!ZXd#O0ouvDiCh5&?E3eXK#GHLA3bNO5X^$qDn ziQOBzLcJZN)ilnm$?5^)6^&?Yk?aPcGL?W5x|v9Rs^GsD(($OM=}x%mgUQbAIg99p z1x6+nR2>jYM*b1aCU+td@HU|SC;&*{2E2jCQg+bb-yns&dJ-oCDcoDzX%a?3DBleb zOemqJAyR?Fnv%865QRACZ<(BwVk=#53eqmKZ)nSf1d>K6(hw5{pcG|60Iyr-*$yy4 z&0r3ZAS6;_Iz2p0333Gjh1k3ns18*Pje^AlES%jM|5Hn1wXh-CF7tCOWc&V^B6oatU-U0%lU3?UJ zH|l;p2GK&fyH5vp6a)I?dI196xd6{@Ga*l{3*BB;)aGQ(O45;vi_nB$aWLcpRB7Bs za#Sw12UP%1iC$55UqV7P#(O&@#>Z4NS?q@Jj20cs24QMXg=GTmn-7RYlWo5vJRiss`n7T<)2jph2NGOGk#SiH z0qX@B+~_kUK+9?8Wg0EI6D?Y8@39K7q1*d_i3W%Hsnh##rvlEhjV9}>%t&tdq|EqA z^=Y8oN`;k?fjdkEp<)XIA>OX8PTfmL4<00@12Y69d{_h^m?ylb3%qN1&hS^tXT!0F zp1BzjBZYqEJbRPv5yW>8+qzgD5x;Z?LWUGART)NznNp8dmHiYP{J28=X+z$teUU}h zGVHcK4j-58UhbcNI^H9-m;DSkJAJ?Vvp73nce*>rU1;-s5wJcIESkWEiTw*G;0|#v zME`Id{eDT=2mUu~l3H5;8mK5v)C$Y0!FR zaZ;mkgRuHdhbaP^&W?BCcs>vV6n{0_g~E86VVoB-gXB*cUla8WFfpAAh7TZpEie`3 zMs{sSnD_+4UKa7W_c9|L>Ve@m>0B(YOXmabb7yI3fezAg{up@%B2>W>`yR@JLO2Ua zl-t7l#A%bQz;LVQ1_531=zD?i9*5xhcp~6Ssx?2@;9d(2ze3@ELf}7>VAwJyyIcsz zmZFXlQ6oJlCGprOjK;mdahnPaUxu5=xvHI^aoHd8!yH)QjAcQfT^Zf&i<}@ytwwLt z;w|9yeBUonWd;-iZ0_n0!VqMgy6w==89 zbVh1a1jPNFPpb?;9Ed7Lg1-c=%Ja!&F2)ZTz^oVr!arh__H`GMxHdllBUxXgd+mjJ z=|oq|HCW(-2KHlBrth1|}h zJK*>Hb1J$^dtB6Kqvz3 zlsDjxNOp%RZQYGd=1zt-#t=4M^S~pW>%7}5!@ay6iE_jx9c@Ort0x?B>yPpZnpAR~ zC#rBHk_6RNn8@}*mQ+G05%Cs|qDC1YE&FryjwKzOsz~hTkMe1znEy?k1fW9J7mj!c zMmarJ+T#ufC;J#y>VqP?kfAj2MJC&Tq-5%jMtQ}S&ULXpra3vnl`iom*;EQl8+NJ9 z#YeaWk&ecd2HJdzMfnnju10NzB@2BVZ1_zXPvhOEdrX5!S$jE_oNo##>}pqnWfzQs zq!65pln+Jrgs8Z8|E#np(B=D#_;E_u_4OoiGBgb`cxo#+D*%JGD^6i6hh>0 zYIYqY>T`d5-Tl*05^jCGF6#f3++alFNoD!V)>8q zi(mS5Re&^Bc6$b!$brEpnNsg#*yL${GkrR9F)EZl3&EaDdUi4 z{iMkXxKxFon}vcyq@$lpMc+)Qce8&5N;+Jbn^Sw^7Zz-`nx~*-244%GDnntOTp#qUE{KPyX$>dU_oH{ z`s&5+a_sbsUwCu&Y!0oTp(|5JGl$X2=|3GxyOv0^91L?Pdl+0Q;CEe(ET?6Sy+ziSy zuK6fF%S81*vhP!)pL1F|Wb=cc%iaCKT`wQcIp0@<+%@}?9N*LW-JiD!Qdf}4($%Vl?g1uJm4 z)pH0{3gm&9RS~`ERS>s~Gpe>4U4Ig*!}P*YYdpYdT4Fh30r$5)YZ%? z{tdd6xxhknYs;=aHFzY-$4QZJ?60Z}bpqA)@I7VgMqGptLzqXLn+3&@rA24JVU%|9 zHQ(puIe@PS;_V1>I7ya)D2lCjU3>UrUYKgjf-ww5n(fTJh-?ocH zlO3baYZ!>fc_|^I9o3^8oH-7mf@y`KYVO<&ViHYK%Bu-ldb4R@YVSs|t8cYlmeHtT zr>(+S*-qlqYIc{yRnYMPV+_hUDU&TYJPl7JDbJfOx~A*OFRDd(*)DN#t}1gw1Pzw+ z-={4;<5YsaQiKo}d#JS%=mk&JC3XO%P=m`zoIh_ZqqnTkx19Vv&(f4!Y+dFoze#31IPYX7 zIr#f49x@4W`zpE9r7x<`=hcly-65>XHU5`jW$?qi= z8EXmIw%+hLH-FsHn66L|n4;@bCy0edhs*!XcQQ?XQW);5I`9JDuzc%SHtC1$e^R!^ zxb_=^zF- zPDZl5P4-n8acxPJ!w>~mw4y|w&!-l`p4Z)h@y3fkz_6ofZ(XHi=h)B-zDMBQJvh4- zBD}w2U59D$q`7vn(#h=yT0rA4Q__NevyoDX#$u`j z>Nt{XViEqlxE#MJ0FOG9pe&o!;Z{2xKWfPo{m4lIOM}x5*H-QUjG9p*J4xL|^x7fN zbd4;gVIiEPh}-R)SyGsn(-h=N9n_(=qTZo>9B8|j=N3=}eZ!-xp>)q&dyVirPo6_V zwPJ&)e5F)`+flzZNa$46f;9>43usr(D;GF#4hGCw2d3SDNGv&WSHhwShNJ_qrO-Z= zwh4n#E3#v{@!CFI6#Gd0l*j#@uG)ujp9aT7Ds28@i;?|&yYBv8DJ>&0$1pz9It;9c z1DF2m^bAD}4Jg8jeVBbWw-5lPyHBlUN4_0@jF|JeYxd`OAD$uS3*jIhzQW5kO55}|R>b2~b!V=oy34_9kJ29wrUay@vQAf*JOyCt zQfrAG7#@TmRRL8ll2gK&Rf$D2X0EQlRN;!t4oW^_Ff4O8Qoi}2_SV*K%@!iq`3+fR zV6f!g(H7WoTKw{9uuFzYYCxJc(5nC{gdA%crom9?8$drCh;-WS{iq2tw6gAa1)j%& zCm&R4@Mj*RoH6&5(nx#JeqUEj#9FqBVUe;EWO|#$D)6x+z4k)f2?MAX&VWoXkGbeK z9C*WNO+p(5KMyGREC~XmP~$J0);+!$A@A$TN-my*TC7ojlfQVyXDOivkzcGDtdc=) zC9oi?v(9I_Oz_%vlaE*gLiW4&wFxD6nXYV|F5!RX82?=Tfu$a)0<(({5K)YC-6WnH zD{sw4A8D`A;~&w}NS4N64U=A=h)3mjDZvW&KXkpx@_I<2A@!{Pgw;RJR0^hbQSxhF zUn!>LRJsYweXR~Xa% zUIELWU8Xub^Fd@ciIbjSMT~UP-5||4XsOCqDl0IcB(*&?@B-x>`pcC9K20l(D9#r_ zbbg;%1N{r$SJ1C?$8}e9l^PTK2NygFm}6N;^@I3adr>?EXZ~NSDwUiATL)di=jiJ~ zU?!64TK|TM9s&S@4MNkZCz;bO5(FQ1aDZW&0NutNGl-VkR(9#OvI+5k&x zco zYD%5DOg0l$+p3UjU~`vA`M*?=>TfQJ9PPm$l{Fsq;2x+l`oD>DsiB5g!cpdHjSRy% zQIYUd-O9xe$T8c-W$@Nj1ZX}U453H#*zk)Srxgn#WQKvDD2B0fQ?#OUL7+|8kVS)& z9N6khalF-n;oYRt$CQ((w$yAdlXEq5-1?iX)}|^S4_Ipo(ps~V zA2(#hsURA&WpRiDF`#`KE6YfDBZXO8$uCUANY{y!?a_l7q?I2a7m?3D812mr^^pR- z*&9TlysgYh(uOgzxbVSEKwRX`bkT2S4}KIZmp-AnEer%dmrPOiD}Vtnr#PTq+SKX z3L3D6ja&(qs-~DugVZDKzI`GiEqOLRDd0?vE)r@h&&K6vZ2ACtCbttNK$iGpbZ&51 zQuoaJSQ@d~q5$TQMPRy0nMmq|2wg+V3YvF!Xd&wYcQ(>7=&ILEAYBElB1jcnAt$63%LhlM59&|j^Y4}*a9j10r|8Ti1z~aNO{b&EH5M> z?EnPV>c^|Zu0x)WRG^5|uNl*xxY_PIEe!bQ@-7p|IGgR&W%B%0s znlz*bOtB6J=5D(W-0j$ZAzZ8sqblCHIo~}eNh+F=y8`#|v|mwKKBdj#fi)TRjUCZM zSXL}QV1LjNRV;413#lrm{H6v1bg_-_MPjo7Qy}+a6>YR}vuj|$Z2gNzJ&jdp4#Mas6XowJ#oA<@@|7SnIDwJ~Xo(Yl>K^DLipxsq83LF{CL6ow6 zvsM?t5m}>7$X5!iFLHwfr|1UiMBcEAZkf|u|#M z>L~LmbCR#5cY;Q5$2BV_4sUf1|CQK**<|;mr5tDg^zl{aA$MJBwd473J+DI&GUf#RB1*?O7xr^lB2y3Z z7+nDQ7tSImX~51IY0A6{F0(6iBhw{6jN(0rQp@4h>rCFtaaDjP7HKR$44NS{S$z}W ze&&Qjf-}ansac%Oqb<}!?#lVBC`(Kp>ff@|-wlhdc+{#9&|hW9n{1UJDm5XnF+AoT zS(QfoMe^2>EZ!E&M9EslF1&`xSSC_f z67RR{E6v;0)SR!4fU#w}?$>dJdt|`i=wQhx`U`R9S`*1zq&?=HG?)QJxK6+|v#Y(F zi0VK14V1R&w?Ubn-n^U6F54Xjpk0wl?$9HS@XvDoE>P05&Xw#*JhtTs7-JO*YUWfa zC%uY_V}9qPo+j1hCnPs^4-W{^b1}xH;wOz_`4e~G(Sp2x>c6je5_Hx~ORBqcd_cxF z^KB4x3~WtT+o02~d~>hFXq^i{lodE^jOb}CW<VG-n?%t?W0jBL(ZA}1Da zP9<6sVbo_Ap}Vn_p!wx=PtNND^1&nzg_heSCGS_?pE}mjmH!Fh9bU9%C*p7M60R88 zn&1wo#3w`g1o>cOb?sUbUAv6lddf7HqfK!asXY=)XkeK6gFaQ+ z9~HOy&ukAHC7)7n*v}~7+64jc`(&5IpM-fBuNxD(A#n{5K?!jOZMv`r^jR#)2_xq- z;Vl(bEZtN!xyG2hjtVMCWxCelfM+gVDGgUHH^iQD2XF4Yz{-|7FM_4D2ofS`1J$zn zYv|VqlJbpaIE-e5v8?d_ULn@BWq7+`tQK*D2p1&G9GP~h0$rYUK-_XH*-Xx8s|SyI zBe5^j)d%&$yK+=2P^0(?|Fi-idIylf==9jqciCqw*W>KL!UBh^LQAXEnwyZKYFRZW zR4&mMQ6jcHpB>|qmdsJQtO~{JMad)ZY6+`^GFRnA08F*4mKEYbe&e4!?gBl{ry>IM zv6h`oL@;_)W;j(7FMvx!Ad#-uT^A1R>8^RI&hInj!M4^WD<1Z_NT8p|>2aIf?gSCSMz6i1dZ_Q* zX9RzWRJ}U-wcz|v*W%Y|nq*!SUxtYi&(Zj*x`$!|6BbTK<6_Wns=jjK*ckTAt8L*~ zZ%W3p%q45zsT#LQMk*^0V)3@iFRH-}g#&c= z-x_{$G-_=9YJCQ`^O@BXFM+MpsqBM6@0)yRSfZ35)X+$Qz`H(SkE6L8YC^p@)Qzp@ zq=f=_qcD8dwuzi0Ge8t35;fZGlysGF1UOXbR*Vwre*>tUmYm3WL2|&Buc~-U*wQY4C8`N)Kq?^V0%Jkn3sj9IOW|ZsLkw!NUk;p$_salt3S9 zxLrAH)6O_vn(o}PUM@uff__plj>OQpkta))^lGi}IKL@Xybe%vK27-@(9LVS(%}}5 z=P5nwIS7L1k&=)VCN~D26Qu)KwLw{z{-}Q0o&P2=wq4G4zcZk3Q9%_|7~oXTl>V!_P;~2evuTDpewpGT45S;v7hJE)d?2j= zMVo>Q(5dl%%)>Jh4(DAkGB@@BF8FjrvKG!@J664Z2-39BQdiHn<=6imQI03lnt8~3 zEYAHD%4#>aW_lhogfP4Zn@~) z{vRfp-munHBi6D%i*44R?DFm@9o-t5?6NjF2y{K>A8V@tRK>|lX?$csdy~D`2P`hRbh2BLH6SA?(Xgq+}+*X-8~n-XmEE3a&Zan?(PJ44IW4!EdS%a>^{s> zch_|F^fz<5rcNDG&2Br1GoN3YYsh*ilkLaSzAN|KWd|X8Mj;E?i>N$qx=%W~K16XH zctHlo7>GvYsxsl6C18$&@?|#NAC?$`1UlgkNPev+HKFqOJ)@f&#ZGd3=_tc~tAm-U z!BL1u%$P=u88m&IYzlST|Y}B z598bcR#7g5)cjp@)TtdatkX0B4qQCB=VGJx=Cr_UGcwn4$Yztp6~l6atN*Ap$BO@k z>J1-vdy>sd)4_Q_9>gQlBPL4@n(xzCRWr7zd1+uPA-6YX3C87*NaHcB=N4HdC!?i1 z+A;vEIBvt zPf&2(C&qZY4+3|w?X8?TSv1sTzp3g^c?g|Ws67F$Rtb?#%mWAyP_Q1yo00}TkBJM7 zS|pu0#zUZXgBi3u9%3Pf*#;=rc8^P)&jQ<_ip+w{u#FE8oq8p9q|gS@*Gd=wHi17} zjC_IG_~2#U+I#t~93w4Km>J=&ZOs0vF|u_pw{5~R-S%jf>r_TeUlDIzoy0!N-O-Xq zS+Z&unTjupE*1Lf$BCDdCRkJ65*ZCJthHiA6&fWfY?+qlFv;PeOn*ugK-t{{48B)5 zXDr4~v_ypwR_5s%%z~*gIUJK?pmnOab*6PH0Z0%A%7*_wDYvKmGY{boFR0wxwI6TY1scmCfkHLii4mqvNc-2QL~ z?vt4LE|1UaP{fkwrDnV|pTG5XJ%_{ms`E9D{_Y3p(FOMBLn3vF;|HMRdb#T!#edIQ zddiaY61-Gbz3gVboCZ@Qc*4jMxtmw3d(R2Nn>Iz+O7x7DnAeUdT%wny6YAB2?n%U`t)a1R+BTr2%jR7y14De=uVSB5{`Ln>i39ep&!*!r5Tz34oxH9|Ty z91&m#cl6<9w6&8+Kd$Jmn?O)Z?t7quMvoI8Xw)<7$oW9feyJboF3^KHqzQG7%Fez~ z8|7`<>bzV7bw;g_*lG&Mkc;0tJ@qbd;jwg_NNS_^i6(?g9GlOufujC$6>v?!kU&dY z2P|P+zbAl~;m5%l<0fh*ed{-{l0pX8>#k3v?elT{0>0L$yz+)D0bJeqZ{`t`yuu}L zue<)7hjJ7fO&N2&MYcw;!Rs39M-?}|4?7MDAj#10y6*(HT^C;*r?QE>&Jfru`@=LN zacon3Q==z5j>+~o{jG$dBt9o^cSxAlS`QE9o;*E+i_a`#G;>0ytYDb#i8}#gdg&N_ zSYD>H@TM;l51+hx=RwI3>FIXoTS>gSefD+Lhm=gPS$M$(OPV`e_%{ z*C|OfKq)$fN=*h*1qNo5N!%S3_Q7@)9KPC|xJ|7iR1Jk0vjiN^(3vv??#U__U}OQ& zVu=Add0>M9Z;$c;l%2wjawk-Kxci{0_OQuQ5Lx{|^y$2o?cu%l?eb8+Yxh>!rI(sB z1wtKNzNc_r=&3#q#AtDO{lp{9R_%Zlacja1mRcOU5N7u_i?Y^F0a_#?+h2#bYxK0f4sQfvb&-zR{x1-}IZ19po&9h&`Si`j03Zall0?)y*P$t&@y^UWN^Z}R z^A@79r~5iWvSf9Zk`n8&3})z8^hg*2KFSq|U~KpSUPDRiy5mXT!ckV%55giNo>lJ= zTQ%y5W`N%Oxd8}2vpl->tog3)GKOmB*NW^y0{F^Y>jrTp#QuK8!J#Vj!p4;Oc^3d` z+G5SHq7@OOf6#Ycsei>kQjlzqyrAZWsG;wy4CzQ@j$s^HjKYfaRwk5JVTW0)P0VdS z%!qTKmO1xOoT)iZR7A@Uh!$VjS4^sATnh#xnl{T%vE|)RK&4Lp`(MvGt1X09(gR))fCFAuMX0E~09+TOXHT)pqc^O!!m9k{)&JLaiSI07RL@na+|xVY z=j11coQVgOSA|d-WoY@$h`K;)MAC&ga?7?P#%AK=9s0RWJn3Y|)yxI6t)+yEwD}0;RE3lsN66e-xY5!bV4L1p8+a-Yx6Q$Aei4XVb`D7D&OT# z>-JME@^c2h>*xE|bMfj@)8yxJwB=Kk56!4GB@G}kASipS8N^)fLCmg6CpLQ_0EEOE zZVz)KvikGG7fLfFxD-rFxW3q-R~Xo6AFCOpMG$tR zOgb7Z!^l`+P>yHpisEckE_Zyaah8ruRzq4@YQp{$?FopSsJbGl`(8@&M5c5JwskdD z@UPsoX>0d_%F<>9!#(s5Y2{R(1{m9V;?hav8$i^N%9T)k<-#cHm;JR(<8%Xi1 zE(FFiVZyc#S?qzGb~h+UDMVzKEVbS{5I=P{P1>=ItG%`My2A24j_;h8_Um>%$E#z# zV9oaRl;wS9G{@_Sxzay|r_$x~!>l*$(%OgQ%u~zzm`>;F=mK26K2ch-n})AmN+H^? z&ed^Wd1?DXtdv6jMsmD9+be|xeO_gW{J^66@qpG3idqinXqYv2PCuCX9-JXXyURk~dDfI>trkZ{Zruw8? z^U;2EJNPCs{f+)K_Sf?Ml_cou(DFW7{kv5Nern$ZgA!ZfL_HZvYN3l0BY^FEMo|+M~}9)vfi4ip2yOaXJk7B zeHZlqMXcD1V09vf(L8y3|56Y+XFvx;)oN}YhZ;xj56i4r6tC9Zy-3~q5q;a`k-@B3 zYH3ix?bcjaZ3uI?>g_4)GzGcHhUR;wuXi`?i~>OB;3VT>}jnh{1j#_x1W} zEu&_UXpxk3e(5JnJ438G3D#c|t=H7e!=EreTjmH`zAAR?Zp0K=LyIxqrPied4n$p- zL4V7sY^zZD{v_8X{-Vl~WJJ?@`Pnq;vfaE$7Eh_f7qU3Eu`LkzH7%eV3gbk^FT*aHbq2Pne_1*IEw&(OLLY) zqDgg<+SB;@rv%evb;ej;SD%fhWyZ?rsle}t$&NNd2F}&dUR9`=y1c(D5a)TYFcKy3 z!@6)*z3>z1p1;K_ROUl?#28O+F5b&RmYpG`^H7hV-*vlM{4+fJFj7*|Xp&yM*NEQ& zgbiig4bK5$)$Is0t2Uq5kksSmosJ|47GFp=e_8hDuHcQpW!b+SfPX80aF*xk3SnA( zMJEgRfLB(FL)j?4e39w&2F3{tN#nsfugoK+;*NoI0e<0l&hSJ-i+9;KRy&FSlKga zK6%-Ytj!m;Y{77xynKF(cl2IAuM($Q8uGk9;GrPhGM&97(B1ML^(I1}%M?WgMUOZ{ zA$0qWy?G)tiBGDEF;A0RjJMiJ2(?82>Jg3oy^3_}Bo<`l+_9FuR$`RT%*fbsARE9w z9xNr)*}pA2T>XKUrr~er(M&r`6|!51{;7`IBYfzv2=e=<5O8opgAD&w+{Lc7GA*3O zBhye382k&vf3Rr`;V$)PT4i2)Bg?MwB<{4@#>NT(suj5yHEfqG#Wv(VNoWh9WiEQS zy1+A7q`iN*!uEC&YwmG9wnk6{XL9|L!hZ?wTSuqL-u+XO(338O0#49M4U>D$w)Sgy zf-|_=&?o(T5~~7@%#+8nn0@0q08N+SB`9bTXOIx4fH%MWudQmw#nP2$kO+0JC^9Si z94uZI&X@;n_wTgoDKvA^97FbW!AlNZUixYu;gbuY+sOk`QqN=HtXr9fBa++2x~IJi zwh^VBIOjbQi~&elb3-79Sf+C&n&M6p8y@AtNRIF!OZzmj$t=3rG`)ZMq5jdXTBHgB za%UJuaT!XyYgXuj$2vw=+60D49T%VO_<7}fJj%fwMtw`&#YX5l%9L5U=q8oHzW~r_ z2#tVOhKc?}v5kW0d*A$HZSmG z*l<9#$oo_eUYIm>RkTc-ai|ef+|^kUG!Z)i{arP$1u1&3MJD(b%jfo-$ zxXz{rFa7cv`-pAfn?=Yx6?xiYU}4XtEFYOB4^5%}o&`aPtC2RVXGxO*AV?V|IADhR zMwx+8jIL$C&ULR43MiIFE>oMEPfv+R#r@u})44G%pIWhVJ4HCTz=(F{Jo}5VgS)$$ z98g_u_d`uHdU6!O3gJY5QkA~Dxxlo!r80tvxzFn$?Z~&#AOv7N$t-c0%0Qn<4GT?) zqyFeQ;=p->Mwj|eQ;&o2G|%0nlUZ5bCalTI<|RRDk0Pd!FfNioIW)GeQci6ZOA~@S1`D!O;y%t$Ta7}K z20;8DbPqYNO4g79$3o zwFL*Cy)+$P1aqX4rVWxVqfXC3IC75pr)=OAKqQMk6kDI;nN+3zOP11XRF|8Gad4O3 z4p!4t*VahDjFSj)mUGTKXBB()I+1F}ajAvA85NZ*GxDI>rOo8k#k$Is@aO-YU%w8tSSlAs%R)5(iodA24Dt$fiGM@;qi-@Q19iGxwx& zo8)W~myyYI(pwEoQuk-0B75alSIV@OvKTWgy|MF3NxONwi0}>sy?LzIN>Orv6$(Fv zklBKhmShW6$iRygsD)?p=cR^=bO4F`)qN~smf$AZ;I#Th*)*F}5$zX{oPcMVNO)V% z-PV9T%MU5F!$wN{BWWR6iN>*8^>60YV-f1d$zwmcgikhwo_&IH6hr(y{ku$9>gqO~ zc@YT@8f*mEpVIiXFB_h@WW6F3I2qSVFj2tmsFy5^8R@=_{4tX}m3!#gN0P)ee=DsP z!VvM(?ZmAKaHOO)T8askRZ8!7>oV4MSy$s$teL>(JZs2kNQE2>oC%db^m?$nB*qwtAr z=fE?IBylJ(|1aT3WAE*vd;&oFL=3K0o5A#L+dUKxmM?7^_~kozDU!LO1$ zJ{aT}BOis(7toIMNlXBJ=H#$?D5;+h|6;ITyhQ1IpGYkiX+GB-RFAwBQT3$Xo{po& zF>8y+f`U7vpxC5?##a}U!l8hzWR&<+OB#MaKI4#Rr5rw~M5j>YYo~+mija~VS2b6J z*MfXZ>^`w_}bm>BiG-;R@#|MkVq|ES)?nSCw`nhcXWt0`D%OhRz* zs1#SpN##yU$vToDLB+xYF3W-9Zdutci6#UMOZBrx2SMtt1>~p|{*oK_*|Pz`dDjZf z$KQtoo8Dd{PfF+d)g8RBbSZ0fDn!22Xms&U5xXxS_|kR~^=qDY{1SE!-*jqT(Br71 z2U&9Njb8$0LFt$R=YV4gE%a}bFGLBW;ceHP1|dd9WC_92@})%;L@XlQIlBj;q82Up z{dV5i6H78f!lmcDLSu+vMP}Irzhzd+K=zUQ*9*}&Z7NE-`$0ZRN^v5E7fi~OTMS*cUT<8?ZjA8S?^8P&or%tf?b(X^{@O+ z-@S!iKQPeXa7wO5B#Fono&A;07>ZdKB|2$ZwkPI&WQ(_nyt@t^FgQ)?SwFhC{$`qn z=b-XYEH~WIZOn5J{o#Vm5#gBE6K*$86=t8$8!Y9|d7OitK9w>nq<_Ow(H7m=#@E&+t4>f~)CxA$4qnrEdMLl(Bcl505-(Nx2Rjlx z_s+WyE@ZS|gH4^|p(~k>1BI`2gUw6To`m@v5VpE3f5%_*@OQ}M z?!4Wae;~e|yq}Krv7N3J{@FIM=1u3fd>J&l?J>3?<`Gv48!02eF1673P7lN9uH~*a4%38RsBuVVD6J3s#m|DRJI%Lb`EG>^aKqZS8`VQ;=qv$pEF$3){6~ zWh|TB_j?Sp^s?&2&AuJD^qke;w((_FzXo*tS?zfDd3XQu)|9EAA5eSL8u;DmYyFWe z`dT1OW3z79VULEE^AGbb-NC;-m_iM@IWBFRD<%wxhR!w53jKt+BCWcZ;0>mZo*s>( zm$_M!^XHS_C4WsSgf2tq@}EQE>>XrCy4u+v*y6~(+}~VW&;=KD_xb)jJ$86c-T4>Y zZtyEea&yb_>x;edw|Ka|vTNiH;|f$Ok^JoWy8R;KL(6Nz=S`k!(P`Zvoi0`~*R_L< z>&@#Qmltxe`}$u!sZZTMobDaI4HvHW3>G#AZaU;jG;&=Y9S{Pqbx6;C}81;+)Oa9)#L5I2QK85Z7Gon_D8275T1UkvLOl= zaG@)I!Qx?{T9_a?YT*F9VDVA1aNpFo>PWyc0@(fVY!Xhge~v{v!kPZ_D}ek1>VE^b zZdGBWDGmTYDf<5fZk+!YaJvq6{6^f7e(d`%_77Am&5yFy(JY9wJt}w++A!)YB1dLq z{W^CfO$~&A^|O(;7vao!Q2hAxWQsvg_{K=fwND$Ektw`e=y%>wpzFUW?zh*8B|6oR zkNXWYwvfM%C$7GteH|aScmE!)w|?`HiR|>g-rp^~kL8M%;lb`)O`p-}}q)(uak}_aj)Yj|b7 zF3Hsb8KA79P8jeoo=UFLj&)MA%0}YMlxJDp{%bmPIYM9~=#1goKgz1{z*;R;4UrR? zRPkE1iZcbCYKAv`9~aU$Z))|Q9=QDs+`<+|pp)SBSW-?Ml(=^vYF<$LuBzIkwAg>o zv~M!Fmp62UqxzZl(@t}`6CcBZmvuy)5*>32&fZfDo_Y#p%(YD=Ee)9z*Ogc_CBG1} zRow2&$OMr9VsyQQVr&ZO*Sz2hf{X$G)IcJ{R@X@xmGy|}NCtteJYUR2WMe z+iH|xtLs+M($BOPQ5WQ0m2s|+LRQHaYx*}G9GzHI_5cbMh$9?iP6(rWr+S&(1&x|I zUz{GSl;sAVV=L@1Rg`s8u4SdXlGQ87mG1|h@>yMyLh-JcdMP1O`B_x52CN;+IK<6r ztw)K@N(WBBjcpoM#eZttQW_A#5u*pg2cJE<`D7LMvmizAE4H4UjWkv^NsMw8C(@@cd0z=dDNhL&FF$nBAqn@ zTv6WM%YvLc2?n^Iv4A5Kumn~sWfuCBRhT)Fhpn)_=0X?&w2TG+ffhKkq->h}SzKIGo6oHXM z3A)>IA878tez%8+&bl?gKu+90Y8TDNXQrJDL+cB`g)$W+??SB`y1TN@biPu;V`e9p z-k@Xo@+Kx>1~P#>(04@>@b?Go7EkGKN5FHz{m)&^eucdrO3MIDzJk`yee4%RGDBxP^I-r%8uOrD;N}DJDtjUb3Pc z!oUrXePIE^>2!*XVZ*_EAQey~xAF(30mu?1(m`;T_Fz< zm_AO6i)f2la`>4`kSSy_;423|3OgChfJhGKLBn1{3Y*6_&@{&}YJTf?vXjn|B^>w% z+sxzsX#FS3Xw+ecU{q{>?3P{dIHm;r?)U{kN|c9+$Em-2L*(O9X^Pe7bPJyKXZebea%p6GMLkIy3BM*V_u&%-8FfNYTXh7a~j z$8PO|KLB#5x#YGdfhbG0G9mO{lEc-<)k%r?(}HgMsijzoc7Z84;SXwehz;npBdFyN zI!e%bvppM1>;i=N{Fiw$Dy9d&C+CM*33bOyxiB`T*RbETYV zz@SneHUjDx`b&f-3C!^eGObel0Ok8Z{NWlwg7efkj~N9ZZlDZQ3)#L0p_$_s{o)CL zJW?2OU-w=+{O*p9(2Zo?3hRR-FS}+vls5ta>yVJc3;hiu4US0`w`I{h2H=X#VuOzk z)k~>6Ado7Hd(yZtJz3L;uvZ7~MGkcqeQ(2v({V(N9ENHZ$sAjw(*&q+9=Dd}gDrYb z@*=~hSc5+j)H;GZ>WngqqQ{5)1Pd9E@I**wex{t6<&wydCv*_V(DX91z;F7L`GWJ+ z8p)3LMgF*oknu8|to`y987f$3b|%G0d$9AQ#B5NXk4?#J3l+g0NQt{{ezk7zbc20s z2Q)~sed9-0hTN{r74qZq$tG0I8zt3fGj&Hy;WM2f=h%m(&aycCksQS3Cn z8#k4ypOl>q4D|LuOMENpN{T=&CW|{#IJgT-hwse;)MLgVR5?LkISk)|(wloSHf545 zHnE3gF8HOWfPLYMp~DowLRuMp1Y~&gd4WY@fZ|jad;G+2>EmGtyCQ>mHk>7m^o&$- zdaB=0{;=qAWdtuU>kyQ-lv-0}ToByIEzHpnb2;vM$pz$sbXTkekw_4vPRx4v!{~rO z@`G{s6$MLkm`R`hx^P2?<$fi%9Ot}I4)NGW#4E}J?65t8`H$kVaE~%B>3g+8F`i>F zRqW!;h~FV@(eP>yTu7Q?)jumx&$Go6^s z2f(4_eQ^{EGzLg7n-WdJ#v+6qwuV^*dY39Y3j5ro7*O?jatcWb(3`(}zHHpW8br8| zCbI}+?NldMUgD)23z+8@7lb2^X`v7GONl@OsN&26xS&V@TSzKzimKLOVqu)OpmOzt zVw`aHBW<66q(Xa2a0Y*+fhjJSHU>OF`n9e?U8yLizpG*33p70yn3o3}W!Hu+OqGHG zK?;qCz(3->N}_|V--e7BD(e@0=BR|?1ageh4DIHCzHTf@2aA`fH)ZqCg;);2x?6eM zk(GIceMua~b2y-$h*2{`EJ0r;JY|fLr-(UV7k6R`3Rm-jM6tlNwU?XE^Ha<#DRx6^ z-!GEj7bg+RAh^}qgSkaL74#+_gG11gJ%L6zBGpPjC(Y#rHb8F3oXWU)!VywNKo^gp zQQal44-*l%@h2PHRT`-`kWT>Q5QG&E9k})&egT*k0l(Z>jc#s%Dxrq>5u*`T5XKx3 z1~QdM{h%M1?qU(jltsm;$kv(oGDYNW0fSYNMWGRxC5ZEypuxyKVgILt5wtlI;jdYJ z*DYt$2R=I+P|S72`ov<{TB8Lc=GmYT@g5o{EXle|5aN+Rj2iUfxgIRW5MXcW#SuR# z2BS&e>MB7F@wNJ{6EcSXL2z6@Lb&%Pad?)&iu6`c&C7T6avGt2=-Wn(FqEE#AdN)@ zXdP!#pQ(O`&7qr>r5lybdgwB5CVj2Z)1vLZ6WRoiss50uUh)rpQlr(6^z1eNTR~V> z4IPV9&^pP_=}UzQtmC}pQ>yx*rRi=L4>{K2JHb{uA33p<+ZptF%{=bg5kkU%n*10N&OT}rxI8KoxFgv5I4>FMm<3Oh z=rwD?iF8oDehi5lD{u|s6rPh}yq~iLqF$0*Wj|sqX7bVjo2qZm37W-|#z$_B93dRT z0p>?0o9VyXc&RE<7ai&^JJ3mp+va*k-Q1El@dqo4Oh;~?M?x&NnWW4NtNyMDklU{! zf<|>mfdbz0EbF7iL;xnA$0wY-4YC1<>eaNIlw~KR;(Bq^2-wHO-2zi>RP(qg zxrMapP#}^6(6OD@*ZA%G=j2p!Xz5ryJr*ZN<&oz3`l3>4iF5P0eGkg+dQxpZQ=E22 zuF7aU79stpz6jcv3)tKHEGj%*w5kxA=5b3RJ3m&Z(h4A1R*)-E8P}w3e3nUwan*n8|HJbVv)^Q*A6_4?q#r@)iB+1KEMeMY=lJ7P^4{!) zgBStC1fUxM2ISCs438WO;$eBoM4)phq9O`xhD;cw*yuqGh56X|Sq;Z<2OaEc9uK{M zVV$7kI*QxZ#834JiGCxC^icKDO?LKPen+`8GbG~BFs?p9M~ZxYN7Og}vHrwaLJszo zJf6H@OVJyrpAfY6W6s=0LLj}wx6#DTw*!A;y?9hnU8Q;cZ zjq`c*3H11_%{K3-AS~&Sk=1mP5S4U6q3Yx+=i~ji)dM9&P^CuJ!Jey>ac0v)+0C%* zf%gX^51D9FlS7wtZDhOqOrNOY{c|hnF0Y=DV9hgfdGKw=H(ZGEcZ12gx$l~u__F00T~Yrw1v*AX@1Byo5ge}$gN~I?nGx7Xk>pKs52w1#3ESK zqi8cldo;mnIssGo2+mR+NMm5yA+x8hxgu2C7dU0Kb;55A($IK{Hn80gat6}47L?&2 z?vr?fBxB?zm;kngNMKodI##f&ftjL71dFbE9M!Mozd-7&J+nJ#!(Jow#Ha|WXg6lq zhREX~BH3XIKtp4MK}Rv}7UEb7yzKp$29F+up+_JE5N^DTNM6)QEiQD$s2!brU(b;f zzBLIq0|~;yEY1ZwSN|6j{`i~+1^Y3Bd!A<|P^JVJ1)$N0Wa${h~#@tkg|k!bAn>rl9wh1S;eXR%Jz$QswY(B=OyRIqoYmB(@jaE7c16w%u~8cQ#X1U6cxB{GOF?raZ+48 zCHAj?Twu;nwa?fwHd|b|3kbDu!^`qB%KJ{tWYWl08b@ZI5jp#l&{+81ho@7b zr$0WmQUni^UUAJ%$B31kY=CKQnkL7Z(Zraq6J&#M-!^e-@u^=&#?|@EjpPTD(a_az zh12lz3Uji0hFBr9Cehr4eGQqqG5Nw~YNkRbqf1d*y};bu*KcK5I+e75qc~FCJzvw* z(+@p)&B{(RLwLTeRa)JGq(n?hFVRt|kC#xF`ndv-Iu5`eef?g424QTz>*u-nf$c5n zSO~VwP86DD=4%obp59)oPuV~==e25ACcE1`y&ZvpzaPrayrWGMOga?mZ}E~Sk`<`W z(t3(CmhHwH$Ur9Iy|@IoB5qCr-Dne$pB0)h6)=$FT+pg)*aVx7zo@bz`6S?H7^YJ+ zeN8GyACicL9ZE?z*Ozab89(P=@)Gq&Xkr|apoZ@RdRQI+nY&hv(1$olK_jr8kU(^R zDCZBk_-_B8iVQ8YcJ>->5X(?(Ro%m61LjbmKy`=POs+1lhMkKU+-Q(yUX4-uXFZE? z$RpVs1Fllj3uW8zNaTy8P8~9n_W0o6IDJxy)1~Q#rmD82JbYaJhv{4cPY69XZ32%y zUb#-dfW#Xjy2`g22-0H^C&mD#Y#2-|1rI4R$C(5%twmA}qgZeXA&`d1Ou6&&T&5F~ z`PNQ5Dj9&}-a(}oYOY`XTOT1K-D^$_LC41{+5Q=rt`hI>VryA|VRoEt<_t0A0*`C_ zvt!YVf{temKBE@88r`H3QSTVJfpK6m!7ST(BvL>AHQg+kV~%t&5)F`11=Pm+u_PNd z5-+o!tB*Gejr0ofm!%w5uL3#_u^l(;_#_Mow*SDILXR|e-W(}$wtI{JVV?XuM$LS@ ze;&IOJ_fT66RQT59#j+eb6O#0jTzCveFY94*T8+GG*l&H8B#)BkUJx^9tIExz9GQl zq)~3TF``rpyZJeamBpr5>C^mLK^YE!>sdV8@^ukoaixcJ-e zY3OQ&>#t(>ACHR_uAS~bnAfA#yV^am^|u%LCfx!5K3gmZQ#il@!oM$G4_3w^9zWW3 z=R)jPs*AyEE7kQ!&wqOYY`)^u7oePPbqBDq`8`D)n~qe&Jp@cwv!VGtg&eLl$7$EA zn!Mk>9j8{L`}Ta~hj>2FndaB1wtek;_X~Wu`M2cQzdD=ot3<(METuUB=8b4-FN~u9fkE(~>2GUfl>#qEcVGV7};UNYsxu=@% zMgAhSr%3w(?r%R<4!RtVSDhc*0bJY5)UaY(So= z%CIJl>7n}xnhBaP%(6fp2Y^nM^`BC9XgN#T{sXFXp>KMYVU1C`$YA%MkUA8+AU+ha zozFdywE&pH7WBD1E}`nS@C(;s!5VKb3}RUtn+j)!@CaCdA=^8g(1 zE0U@oYGPUR`Ff1Yr!lJGDZwnue4S7m#f*Xh^p%`p%*?fxR>k}D9U=G7`TH(OhpOE_2Em|Ka=Y}eEc&dm`1AP|AR4O8+_w}0N z9(d$&hy2>(lM$2zhCo?DZdGG8Wix2~<$7N2aZZ#ba#Wk~!6Xl5E7Et0_@ z+*@9j*2q8Og|OY$Qo3I@JxL1j~c`uDuu~%6f#z^zR>zQIT2)|RmD*~O-##Zz#9JVu=?5LQ!58- z+UpoiV7hO>6Pf+Y6^UR?nNqPTvE^i3C(z!WpSjdAjA!4zNRXR^WN*I%!*mfR8y{^31gC~=VqIcjRZ>5j zA-P!YBJaD0YFhIFP3BCj+Q3&Ho)Yz0P zGsAq&ByMDamz=xU?FGCKb*N77h#U=Sj*6ys3{4mYFA*sLZp92#S*}9f>}1=^fy3u| zT>sI!Y{MloAzx;#mOAQc{1Sm7n4GE zo3a?<`r5Lus2!u(8r&spEbT<`7yf(=vaSj6gZmKDUKTMXB0Rw1aw&a$*m|Ubqdn+mDKn@ z2;8Z^iXVdOr#oRiYhRQmPh7$L3i;J#F~>uk5dPHSQsXo7)dTE5}}hR zMfZtJzN4v3P1D}#ULoM*EBZjM4r1ShJ=#XqwfyGN>qbn9Gz@btJ}} zWwg5u1P5>wqXckxSEQqrl3Nz258&=qYSb0P`G+H1F&w50y7*uYG$T`m6TWn`6T9hLpX70efsAeZ z2Xn{iip$ZUG_AC-8M9ie5Z)8x+8}(=BBP^ZW8M=Krj;x{v7wc$dcGJSUFSC;!iOLC z#e@&Ri=euEj=2;p*~zEJ#6W!*b zMZrtBM~&@kW68gWfDOlGCg)1LlpWvca(bzr$+hY7hLaSbkgOv#v}Im(Tm=f2C1r;FdHg@?|a5SiF!%ke8qOi;KFkK+TLxN|9)n;8}(H?Y$K zw4sa8U78sVHk@K*t8{a!@WNtJ6~D^#BsMb){+=yopK?MhG4U@pSq}Ac=9TUc%7u)v z_-?XH>u=2)Nq(VibCIr6sq3E6qhxK0mc9es$)J ztXf7=L%XoXyMVz@x^zPHOb$pOx_Elrm?Hc7^6pxg?`QIFYTMLBG~}(r+xz4A>2IG) zg$6fbMkTO7ie<1nz@&()fPP(%xzWf+t+g>VMKfIh2ek+sszBwt#5 zj7BAJZpt}U6{Q|gQvaJQ@6q0xzfP25qF;&yIrX;OtTI#B<6R| ztwoT`z+`e5Nu25!$7g6VAy$n{$VYWx8v8goO#O~whc)F!V!&slqIg9HWKhY8S2$|y z44oQKa?!pttG8V!|MzOoTYPN5R#jQgxIQwh z<0RnPkqQ)+k5gF3^MC|%_&IkC2K){aEijH0Q+A)!0Ah!U)Bxo0V!KjlyHSvV!JYkg zv)UK#@UZme1I^~*|D5$Fgvp;X2%1dO@5IeKSh`QT{DE6|L$6nRY+-Y5kmq<}d=}qS z`?3rS8{r5GyK7<)kq&{9IJLZENQXY)X9L3gdHSoXT2Q+di>BTnx+oXx&AJ}6-M*Kp zgOP$E*aelO%H?FIlMuI;(EDt$ZwuAn9Mo8m)9US@^Bl2{v#5baQuSs z);A`8c|%TfU2NUHlj2c0p|GeMt1`b-r7+1J8*rIOLFXo#l5tv+P|%DOD03KKdA^t z<~|l|U%GLQ$iCg52>T_vW%oZ3O1&nmDXyj!#GNrgfu8tutITyS`63YA_V_1uf1r8( zB@L)B!pPb8M0y;i(E0&o=7sV0V~E9bU>j5~_H?O!d?VtT+nUhw5B+LHryO7`Dguw^!+FEN}hxrJ%nHLK1f4!TAPy#U;Y~W_WmW523SL zeDQZIYg+V?10O6ZqlW-)$!tET_}Q{BF&{k@;BOO=>e3UChw^^?6Jf_&I@Ip-94gt1 zj!F0!b7g-8tpQu2><9a*q=Nk~t`zg)m^UHcO*8(5FA1i*Q*PuG=BVJ`%iBcisV$6| z;>Kqx$JdWP57)wL@|I75zqsbU}uu z?|L`_lz!l!KLagdVwJ|lWUU;BA#th&Lkwy*>nN5w=$^etz#iF@1HDyxKLRYQb!$31 zqPk6LE=bvQaISKN8+HEcXDh*LDtQyD{Vp+HevKb9H3GI~sIy&*)h4?ej$od_^2~LV z1A<`!%4y$c-8MU95cF%3KoIm!L zw)jkUj61`q_dLEs;%QgU8Z`NE9H`svrS5ujATpj69rj~vkuy5tm68|G$`F20GLDal zr7QRUHxp}s5c73wL{D82-9i2EOxKGeCm8P#qt!tdUG&_7yLPkyR!9EN*P)sgn3hK{&}8sqpx#ESKc;|kQVV*giVIS=^;X<@+f$hzr`1@(XZvfPSD z&n%QY@eW_a@GNmsq!1&11v&PLTv|+{r$lz*CsRH7ZH~|z;#pEk79+?fb`z2PvT$lo z9~69#ntZGC;>h?Bm+Tg2`Km*4o}1)#Y8?MZ1MiSZ!sFaRXoST*){ux|f&mBJ#hU%! zfJ-Mn-!WE%VevDAX0q@cKLoHg*6`0hs|+#v1c6U5Lt*>;$k|*MK9x7e{p!egn{m7a zYZD!XeSl|_;TWrocdViL*2uWyf72Xe9o2|DS{ymkrHI-7>BSNIImZpywz}D2*A;N) zAHr`y?TdG+33y26FB+o6O?DBVn_^rii(?8YhY_WK=KyFyRAcScEfz}&;WQhHMv49H zc06iaCnvYw-U$!G9ij}t2j^s+lWGaVYd0~Ztx9j8uNhK%Q47kClbr~PWLc;nXmzvu zAHvQms*Yew!?+VT5Zn(zg1b8h3lf66ySuv{JU9dk?(Xgq+}+*Xb(qJQhkGCTrK+pf zu3pu(s<-@~|1RIDegvJ?{#7sA>gumOswdt2sIwee(h}Jo`$Xt&mDNT#<;5T1hUL*A zgvNVz-JQJcZt5C3vLe$4EaBFfQ(rQ2Y(@Q%x$a=}HY@oZjhlX1k|N98o+Qc!3J=b3 z8{ju0$Pf59r~ICzv^{;qRh$VwO`EIEgwnOh+13oL_JF=~BSQIeA&4AezVQ^DN>c62 zH%1!i@4?@)68-1}&HoJW$o*wSj}K4X$Ryv}a-B4kzurihvaY1Y;5up7Q-}>@gF+RV0>E1$ zNOm~(dwP@$tkk<|-a4tUBB$vhOQF-ql^2|*R`t#-1I>zZSV@OSiR$kP4%YjrW$>q4 zfpUv~365j(n?fFO$@6fpA1k}maR=ohQg4>7famqj2uWqvyopI)VWaE+x_v&qJ~s!g zE69p=4Sf+I^E-fkkv5oAnTDtsuq6>Jpi+htotx+NCe{rQ62?9~U|N1Mt0$a;l=177IA0yqOXg(4}#f^2a~VqJE~@4pD364N1HVT=fw zck(>KUM690=ezcuEUpeI&U%;hA(wqJk{KdnB+)P!EjpTI+G-XS1|{;0y@Kp^H?yK* ze&0MI)JDb}l91{gA8y|4eEwXAskUuzUW6sv_Kj#+407;pW9^?02q7d96OhDK#Kb^c zg~wo4N(@M_Z6{tAfVa5>w)0wJVRDOi$fw!ieThhf`#uHn;KvZJwt2UW8+OQr+9=U0 z+bDE)OuS&;_;s8w$5I1z4T}3lM41dC%+B>nzWA(SGFCCru|45)F)QhHI$r{8KFt!T zDy;PJa>2nN9gZ0mB}P9)JGGn!I@mXXHBQ=dTf;T>lo%NHicO2| z7Tb3?QQN;S>}}_Y+CIY0K8I&>?!|syfMz$3iy_8gvF6wa-r42PXWp()S(Tp6s4!p; z^>e^}uvnyqXec?&m7KX2)qiO>FS@%{CQ5of<$OLG3w>$LQdL}Ud%1kRSy_3=;vf;N zn~Om3k($#gC`8lyqgg>=L^Ku(Xov0MvXG2hkMf^^cWCDF!;_cAS;w98iVFK>tNbJN z5hpdq20@hmu!p;+d&!xZ5%hMPvZSKVS6d#7e;~4%(CFu)NUM$!2=L$%z<~!{{ zjj6;lqi^)RAR-q$d7(9e^2`V%oZCo+pP8M{Td=YcupoNZU>LD1+YgVMm`2xR@oe#( z<03G!a?$>E5!m{(m}SAXMBcwLaNNF3G!TGs`yDY4cS3;d>{{!<5Q`@HR^3f0__zp( zodzn}SS2WiK97*}zBkT7*fkk4tMusMV-+e7`n1|Lt zHF#Kz5ds=bB|9k|?r)trgoScZpoSnBJ-*eKFEpnR1c)3WQMwyH1w3h(@zQutfzs#8IrX`3<7^Iqj#N7SO-B z(y*#kvIoY>z;!+%_@OD1D?cT9%SG}v%d4J(*wRK70B3;dE``QOes( zVl(|ysNZ+ql(F$e;O&-Hw@Op@a_kPr>pqmg`Qh2ttzLhsLv@PFmjFK*lg$Mysut$u z1Dj1QCg*Ay6a6a|>N}6w=tumN+k<=J)q;rO4FbO4I;I_L)F2W5Na$3P?D>_+Q`_)` znBnsf!}kX>zTI)JlLKX-*H~kY{j(3-xNg`$O*V5B%W96jJ9^aE!{4i_oa!eXHV!JY z)fHX80mf)E!ViL|&JcoRRTLg6TS@!TQttp;^}0HVRc6mL7K$mqhKn5V8DRx zSkzOazvYwVt*sm*Hgvh%GfBQy1=3j=6srVnudavxWDL3z)k>MY%-HSeGxVr2# z9mtV8ADw!yzW#T>lbb$i_VPXAW3q)A|Q-R27x@9F{V{Az0L*-=Sx2}Pt@u}Ey zIt~c-Z)sRM(`_lWGF1pL60IEbDkvj5q(VNg9jBSn8z$qCPDZ@&%zDDfto&<6bBeag z)h7@6FC#OBj2GvbYij}@yG!w_YXVQ_FP(3f`zMV*-(QdK(0*RNEPOoNJqUKZR1O?l zr6B6Z*Zd5=3euIj+GaZtj`vQN(>!$fLhN`ANiJnP33LDa5xI zAT5DYE#HUulTaghyJ5m|*H} z*u!?qmxKoKBGj`-9BDmB%?Z?q$rSGEQhbII%laoQy@EU2T0GcVqf2-W~%b({q{^rt-#e`fe+Y8H~vLwkI zROI!AC45MMrkeg6bm6WB)zuy!_p0e=wKAS6huW6BoYnykt z9a*Xcm>K@kH&Qgmg|kQQD5Q2>aK$J zXehg=HLW^!IU)+4ye~Yn1U-FRUrui_F5b9L-_F9kuJ=@ReV;mQ*L|LEIRx81ubv9E zysnPMW(pha`t?w))9;Dj5AhrU3qN5;;CYF>>@l_F?6T=t=aubAteseTIicqX1Y+Ie z14AV6aU1>tVr1fQhzxt$m)FUv3s$Sv$Qk$D2SpH&#Slpn5fA_y;q?mVX%ZnBA~Et8YbNB)Yt$>38_omq{#VXg-Y zb+q+N52guc@k>&$vgs<9_#7S zWUhMserdox;G?(fUpL^}f}1=%ThF!suS}@OBu8@gdwv7gNT;4K89zsJS{Jq?{w*@0 zB5)T(>69kGaY0ObLkj7Jbn%vM0>dAEE~XyeXn5<0H0E`~onqslo6;5|dx8Y>OnT*X-z=c?&einJNg@=<93I~c0uuavnlIIc{wj4(-j2-cKtwCOSIRqMuZv}gHiFn z1$_5Ix1p&T)zMQ9@Pzlze}JNmhQ$u;I?iF%pk^|qtRkEbfb;JUUqYli6FY>(mW54P zLM*ee1wbKB=$SKC>8&H6#Y($>Rf8py{~i^ZysP)##Fm9bH$YZ(%W;?x?AImYv=vkp z(v_|;whu*Hfk@{?@Y<1$zAL9kX2B!j>A&acpQt};53JI*wfhk;YQf5z)(U@5;&O3itF^-qB>NT5j2SK%i?vfti%k zOV!bv`lTnl+l`OW;~J(+a_5q%ABO3_*G+Its$Gp%hog2(T2)0Zj%h%{feaO)2WsyM zZ_C%w>ksS-;2FZ8+R%yKI#hJuJK%K@mO5=3W&C-^x?0Tlf$4Esv9?#cIO+SdWpGe>EofF z8eRlx>h>DNBK08h!ciq80J?YQyD02YA4z?n+y;|ZNcA{SjUYt?zpec(*@Uwbg2X_l zPDjrn6CgW9_xO99P{%&0HnhGfbzaJgksxVS6UhVDbCz-pmKU|tm!Ns3C&dDs1H{p1 zoGj6$yKJKb|6xZXM9{T&u&8jr;`dmTx6%j~8JAgZg#vmcag5mT(2m%Eo-!ieaV}l& z3Lqhb3d+gIRBkK{I5%hmbMr@S4Izwj-3K2sm`|B{^NN z`k6>flaSdB1My~7#jn98Yu{;cZP*~M#OtsMjTLiIW)sA%fM~z)D6~usF?Sii{-9~H zUb9p#qg0)P7EY=Ywvq#jRR>|`gnjdYW!?I|c#u(X{G01aysMdOl$9psXOLl0(F1q% zTJzsvS<9`m13Qf`!b;lc4&W3T1SX~kxRE})^!ZLdQGIrL4MxkExYb=bf@e4(5G z+}}9AEG=QJ#qRO;-_KipACo6$NpyT1ZqPucm45~`_d23E1aF4K;PH>w2woV zfBf%n8(EVpjGa$cmA30|Hus{OozIP}x^MTbmGAdoK0fYFI4^t--}Y|dJNnJyQD=51gg*?^!egVX~0012;$%Ha!S)w4B9WZzZMpFU*o-+b$f)AMc2HZCX z!BDyae*NR3C$r#`0RRA*6$D!Rly&(d0U8O73mjK3%HH*(t6=0NB+prr=+lyF zjR3dv_L8AYXl80#Y{s%IfWe*R0OkvFgHW)U69rios1KJ9C``}&C+@g)e^e@PD46;g zjN9*raKA)ivvl(_^9=Co{qYc8_yC>E6g=b!s){vpaYj1;Q@5LioR9#r2f%g*=v({X zlrR$*X${dJLb8Bp@T6r9Hwn6bItF+MhcsZ|6Ik-V6<##sHX8 z{&5A{(J%tCz46hrW$E+F$+zcN3p<qP}!R2Zld0Tbw3j~Yi&V@fa?-`lq`Sris=_wzX` zgkm58Yc;nf`}vJV;f%p6IelLMPhc>iAA;#EE?^Y}OkJNH_a(oPk5r^d3D6A(I{jfQ zjb&ePE1ooi-9=2+lc2#OK#A`o$!3w7yDN1hd%3Dwma#C$ot)1pAoba3wwiSYj z7mF}HLIn#A;DS{_5FZX29@3tm)#0>{*HbX~cG2SVZRe;QtkD3c^LTUt)e0Gv^*izP zU}I;WAn{ z^mc}{-w#|R+Q&5|Nsg60O)d(foncls50qoSw4ix%j50 zLaFfUKD+A%H^>eCm^F#!Y`NV>M2qu~_Lu;?%87B=#bMS8fv5jKx#ijRm>=JiQaN&HWiOov<$ObX@IISXpsuveR)Th6nKGws=dlKg}(l0d1pd2=a=Ve zIaV%l=MXEFuw|GyF$U^XDZ=@0#iUOgzu})rit!PU<#-x_n>w_f9+mt0_kQrxstN>! z>vev^x~l$@Db$ru6!jnvFda!${d6OZBnp8D=YR?BM&Lu`pciVIZBX;IzH(okmbyTm zmV0@)TIN?mawwVrVX*{gU=MsTf`%f%y#jcMgazoIC_^bEp7bp_M@` zs$xOa;g6@WWZTEl14zI=WumA@J)$TIpg>(NvqWDaQ4}%2d}<2eg8mf(k(+Zm4!4K12nv(1+iVh*hh;rMS zDz-Z`+qIVFwB~NpiMr&_99ph( zT-hEa%smA3n&Xo8e$bsQK`$mJ(ZXW^j#eS9d|#-%IcZb{eD802JzCCv>b#T3y)~ysEjmRW{ZHbAjuO zQ%$O3q*Fu5BpE#UKsRN8c@A)R>rg4n3=~m*F_P&1)^FnSeyC(8M}iS$=T_ZJiSH5# zPF`=vPo}*i7wszv*P~Jfd8lF8KNF#P>WDF!ECWG6JflazwnlmIS8V(FG1=So2@ z&Czn&PthSyM}IO;XbjGXqMWf}yZRJme=__wGs^9+J36Xrhmh`&6PwIA!rQ0W?q6FQ zE7E#8ZjYApW{VMIw#n9LOpnp*f_BiBD1SVK>GhEtpznKXf-SrXtG7pH5W8+8Y5Z*| zgi4P+{&lMEE-`JV33fR~bI6Fi4tmy$sPXR!ZRp7kwu7LJKN(4s2e*xI;#(6epNpUk zS@Zz?<;VcNQ*RUOLX7672I>y>u>LAk#Ew0(7Dv;!4GW?G&gN*%D*117S}*p<*+!6t zt5KSN^;bo_8?KsyN9%1dl!W2;e-Sly{aA_7{QWu3-LbYSgmS9dMqRN_S(FnMCjJWX_UR$*;yFz29#a;9kEz$RM?E56#Db z&TNAyV^XurRq{|=stI*|invb<$W8KdqoYch$y+xqqyhds_Kw%T?#N%pU?K;lEMxpG zJoZM$`b9OBDc)DTj-wj-PZjj2k?IhA^0YGR;d>9>aJ@HUSt_r3L)>>?sQPk6M1_C9 zh;hisF9t)B!7ri~kSf~}vQsNjR|2Fnb|Z*$as~ChTOacSm0}RA4{Q}h#hSKYYA;35 zz)TXL$?el$cJQFdYYKRtI+&pR_VA{bb%|cKjoff~=M+8`(A&pa#+c0t6ME2vN80@) zFWL08fs{xN48GR~&JoI;A$JV{xj9l^BjKk2(vWQ^@9m}grbrPu<)>%Nb$j^GjQPc{ zPEDwu(@sEcI}o^ToN?b8ei>S($X|+Jrf1xV#(1z!?iz~V*f=>9>Z7~j;|fB+!B5({ zwPft^Zz&2722+EACNYL)C)}6}lZXJgu5c4096zkUawu1gR`BcC_$gmU+%fmU589eS z97OxT0r^+}M|Do@(=*{#QlKV^K@oUr(-3pL?=RFn763P@!mWY{ZhT73u`_KLz9^dI ztGFyaoG+~k&@UzH2wu{3727^03`4`K)qF27X+42V%G^cZ(ctO`cgwANs|rzeGY}Cc z(4UL*zI zDs@iylF}0dtk#pzyaDNf`A~4Etlp{4eUmMi3)#VhdJFGaSTQv9LXni{4_E~G=*vHC zeVtdP>;lS#u~I{|z90b=-Y!`S4p`w-Yl9>4q0?!Oo9NJD8U#IWlT}x>i9hn&WGZ|q z+QPfAXeclDN8u||w{T6v!Zxw8y$zcp^5|WnI#-)G zd_{(ZDG?}?Po_K}8drX>1+?X-c1$-@D32S{YC+X8jSPKz`3ylm`#AExwuakMf^Dn8 z)ash|2=b=qE$HuK1APlE=+{Pu+dCvuKK3{w0<*zG2MnOa7IbE>&Zw}b6X>)ChQ7<2 zg&4!xo=dW~OSCtUrPm%sKHd&eBoY&>-gZ>l^K%>%spomd)2#m5hm?mmjEVC`Hy0lN zIYzIq6E=r9P{M9Hw};yl?K?O+#81Ij=o7@dhd2d-Pr*m0IMW1uyPNexWSQO$U+U!@ zTW*l)e+*19&erwS?rak!O))+Oi*(Av0Fwqu`NB-I8r|-_B262A?ktgW8gE~uX{W4^ z4JMz8EcB_Ki4gD<)TT)soi;4ZEHQ7EXd}RJFWgAhwk-wUSl|@QG0a-v@bo&}Z~R}C zseX-JJJ4qk;V0974I;4pry#=IA8T8}f6l?5L4-|`A(6aw%s!zrCso<}Qrf$uKWvd* zxR&N&YCpa{)`XybJn}WRm~sK@uXuz6V00?$dbea;Gd#!n57yt;2;VQa`(G9+b#=7T zKkR(&w@iF}@9*yS->;8HmM%h1vKVYX+}u51l55|3&-QxP7*!k5Tul!?=46NG(!DO_ zmM~`7XpCjboWti6%xiYc2DpwgIN8rEq<)R*$j%WcDzG$wj1xvOe$|)AD=Yt-H@e0Z z&k$FXt?1vk#!qLpKk)uCFn63=T=L)|Hy1i8!As=AkThO=>P+&P09d)y-wfCIFCV2p zucScz!7$74BINJ068ySAarw@m`q}K?@EeYV4ptkPmRoNXu{(XIih~vU4RsU4&kRPT z8BOaMEvu;&GEgEbPEtfv|5t`(Q_L0v%ZjDl4m-s9L!*H29u+<;%vV!#PZnM(AzAxY z5eLVz)vUG~N|vRJ>BdJ^sZa9#^kZ72rfLJ6cwJFUhA?2GflgbraAYv@5 zTR+B{e6Y{mC-3FLpqNx~p~Xz&s7^d&(#@PGUowVCZ8ABjyv|%0MN5NY`dPyFL_)2y zFoIlSw5EQ-8BmVgJYNQx6jxZ{)~o;-2DP~|2N+mjT-R>%ctH{OecC#BJ5z6Y-n+gB z>!ey>-SRo_UUNZZrhYZ1_;AhrqdX>X7j6j0cQMMf&qL!wH70PKW?#~bd08NF$+GDl zkFjYlIh%EP0n;|BZ44RXaAl*@eUmYRcUhxc;-6d(UqZwDMJ|-9*PpE z-^CkmzWdio!NHcA6GVnDIWd{+Wz;xXuG zPePR95lmg6w9I>szVs|Pjti(mo|C5c@MMQ0nAT(<6yj{rd8_-2a-nwFFDGhRby*(( z%S5@Luc>;9<7z`NCSi?PGivSnx^RptJ?L9>O;qVI*rB4u(x1Xlk;h1F>ly#VBp=uP z8>##Yb#G_UA-yH#YE zm`%KC+v59n=In9hq9r66lZ~x3Pp}WmJ6Bdh=+whj)IT7Yjn1eT|4v0%zjOg2sX+JhiGiV=_?7Q>NoS^ z7#@uyU0I0}MWVIsvB@bKNs%mDCnszo`e8;M3ua29j4~hsrBTbjlHA~H3rP*4{=m^+ zDNzn4eCXFQ-4f9e>kJ`?M4vf371&M*1Ieb+($TU8xlAm)Q&U!!Z`i{)6Mt9FeVf~S zreU7iPO11fT||IQK}CD#zJcj7{bM}lVL%NO=d zMK*d7vvrqS_|&H4>9D>0ygM)_DNn7{M++0@wNdxoRk$r|Kuo337|Z0@KbU&&P?nC^ zjLd&kK&T(|l)2;OMn<>rNWiwHg&P<--RLU?jH$@?7VSpPn(X3k`FH5x`(6`mNL=-> zAq|w8IV*7z35N!l@kCjBE!EO$<_5kD3`9tbKzzw9zm^6L4b{tGtO<8 zkDJ@))OTMOhHBOi`eH95omPY~T981#)QK4)y3SUPB+k&>AcKi~C+yCx`>NB8D#6|1 zcxLQuEEMg9(NveQ(}$ldT4Rm8y(AsPWCG3uN$Zd<7c`$YV9U{9GeXIT z^>=yjR{EF<^6o8ozNak_d;iZ%<@S3k9aMF;1=a%v_penHnPE%h9g>(Z`*WDS2+qpP z(2KF&(9nsX3ySVF@AhWF94<+go7}pW*xYS{roY^BAw6&^w=fTAT5ef%s?iY9k_0{A z6BtP7(kc4iJA{&n|75-!p~Aai3N(iMf?7(k(qp~Rzo{vLDUXdPyd14ic^oWy874?$ zP(P7Jt9_!^6>H%c@#EiY*d|QU-t+<%(U`aEgD;q`N9=_ENa9}|>lG%Z_@;|cHvV2c zjfD8ab4Uj@baFeFswpI@G4VY^2;Nf}I;2!+60W>o!eW$Snj$(u`b%nveje158bkT8 zYmt?!kzEF_1%zvIDU(u)B}glG*Jm6!*kit63*q&&&r65G`H*FEK3?$;LYH_d&?DFG zcc&d$APYIsXmq$jhSq*XU)#uT-$hkaE~~+Zqi%Wc%R;_u@t66hxfjlzCJ*1z`C>#F zi{7w(4v@`0ESF7vs;`>x;}Izl#&COczcryB@8iJY!ajkgq$$o#)>RZiP!b%VuX(Dm z<)8Ep&2-bT!Mip&QRrF$WyBNCbl8)tNs@E8f0)ZR&^|%EBq{R3ZmQ*dolQpuyv!#LVOQ+slLPcvjlL z*3Mw%g6HpNFGpWr@28oi(3Mg~L0#Ri{aapn&s9N<{b&oP!i zCU_D++~B}>;@XE>>WtDC)r}tqf7-=(MmC&3?q=V1=FtM<*QVYU0!`WO``%XO-jbDh z`lAXZ9-fn|yJp12I<$l>ITOBr2!>@kUuptBdhmb%K9m(O@m~ zcF+W3F3jz}OFKeh5MyODEXB#H!%^)i@ocoWy1BkTud$LIyqUt>%zG= z_cfRmapyWa_^c>yq%_Q?lLK;szMLGQO=hPXUBG2vLrvGjsl@995(p56l=Pq5u9e*L87ra?^NVo3&F&Vk5YE&iG)e%OH4(|MYVHe(&&cllvh7phbm-4kSm>$5*U0 zt2RWm$O>A)*Hx`R9 zeeQ_ByKJV8rF7@TJF8FefZL`qt*keM%cLi6AhOHQTd)YamhG5ttHrnkR-~D|q2k_} zhlTzTd^BW0%-p4AcTI`nr0b#h5>3d?=pV;beO+!nIN-)3>lRb>(egM7N?tE3^0{#ttR< zsjTKA%#NlyL zHV&Ffjj&>sgnjfy!Rch|tJGT;$lRPhQc6ju7p)A-O=l(Oh&J zd3$q6l=YnsNJS9jlx@X?^67n5Lbq+wPt8;7eI?AR{akDd5ncx=0 z1SCdX7a`4iXvbMUB{+#^>F8@d>)@`Xss@h`t1&+4!ZItVF@QWE7f8Q#U@k0u8L740+MCIycqf6(qr$@c<@e1cm=Vhk zW3vv@9QWjJ-WuNNJB!eOL97&amZa?|*Y}+P?pV(iwS~krN@~|S5<+wP+Yb5V!_KO*<s6M z<-LWe!t#*(B3_jHDw(ReO#GvO8hgE5)GXb&C?9!rE^4`Cx-$rA2ES2XDG8QFTgHV4 z4ob=_P;oYK8T{b-H07=obF=8D;aX$k=z%SV_m1eHRyL^AKrjc@h+pz;y~=#t&?R&9 zQ+Ey+F=Rc>MsQ?J+{nRsLXvhfB$}H*W{-L&_*A_bU7SeDxr6NinO3Tr5L ztF7V8?1{Lpt$u2#ev`(#&*}6_@OWF_J@>Aqt=iHjesjjuz%^!Ro5#}%y|2{6s;<^d zB&#$Lvfh!2XrOVRLHXC|RmjS3RDw(rU0K|%j^sJioZ~P}Bwi|)8v1s~i?y@}SH{l9 ztM%UM5v=Cc(pGXar>``E5AEy9rxq-&+8C)slTU5TX|h=y`kWJ=Xo{dHe2${m?%0Zm0Kd@aI*b*S!N=?Ev3|$L&kr$1RWOWF;3mw= zcgw|Vn^7&CIlM4Cc!U+*goT-h|Ce%21j(*FDa{O{ru;U_XPn1lF>EQ3Y#5_xq0n!d zS)Sw${U-F8@uqD5?f^hJEU9{1Ww~-HhDLRE1rzG7;=?oHV2x2jSF5OL(&(P_k6|vF zWUm1%j0Sm$UTCO&puTkoQsLK1T5?*6+xrfKNImR29JIY#T~JdtzWe>Jb8E+Yo|a2vTy@$?sgCzUBDyPcwCNl0qN#Fl&}|Lz~kNAO_7R?z{Q2_ z`1_;X!x7`*PVe`V0%N2nSI(vTj}6L|_Ym2JH~KWITC19ok26Y5d9SPeo>)%MAbQW#LT4h-IRy6Q8Ja?KOuc#ilqsVg~gGT+A615B<1vFKoz3tR>Fw)|GHCMze#nRY5uh(SKu2O>b7i11j{ zmRf}11h)Ag{w|^J1h1a{r6vVOJt24SPL*}|&thM7I2}ecj(ViaYbIUNPHpUjk^OU2O^O)y zTBQKN69{{bCZb8MvGfGOC{fH67;)D)Vt@#*UN>G3_)t{W%8Y`UxwqOarc=SAJ+L+Y zwNJ8lX=SwfXls0RezMW?^tAF&>D%BoUNftD(d37#AOc7`wN#bDw%SvpDJ8u|J%pLw8*_g~BPy25J>sUyDo2X>U$|dFAzhfM+|O*4_AX9Zcn{W;jWLaz#YM$hXqx}OggoZ7QOP11!R?nPK~O8-hlcouGF)0 zqwXIJn#KOo>{wOn!MIQ(Cr>MEWXoE*uI#P8o$AU zvqafw-#S2FL-&-oPaVLnLshON!$ZO7<|1XlNodzdB~E z*W-{u!ts?GZfn>-{AwD0+KYL2^~VwsrPg`rYfOQ*)Z`*cOICqnN*vp#3M?GH-6q!N z%|cr9*|_@fFP(kO{k9_F0uwe4H0q`4vL5S~ob|P2+&EXUSG6u@1$E`XiqVk%Wh-iBsb_pH;93taY%x-f_6aQKP(tuRmHM#6d2kD(`bSt+D{UaMLSX@8RGw~pxW zNo3RVRI{(_#nKmKc?&uDyl}24TwYx>5;THXAxWfcRq}?kYFGux1)4|a&m5g%igGwO z#%>a*Uei+&Qp@)}mpS?q2cW+QU(S`Z?Y^R`sK-d~(PMAiWE*Pja|ankqI<^u7~@$Q zJjcv(bP6}bJ7h2Xe*Hb1ecN-1^wLb_0ybXG@uGolFTFpmjMIJ39rNE!(WOH?D*f9c+R_gZ=xf<4ETeqCC-R?|XviuyA58TTlZ zAPOTQBQ167bK5xHh7xiT=T4N8xB#I_>54(7X{UbhsAfw1`hH$nxYx#lI}XxEAyHvW z6)lmmgPPetIacIVr2hN}H$jhm6ISUTui2b!PhIgFX9kxEYv-3I9Du}Y^=-7V`TWfw zj&T|*IRA*l;M)Xn`Uu?|neh*ua`{?3T>f_f1~OR*OBR2GbC6Eh`#irnvn;VGBd z@lh7^2NN4_J$EepCs4v4HZO91Kh~)VpAl%00o?#Cpg;VFYNUF9F{rizYjj~n$A-F*KFkc!R?ouq0VHi$&w@xXTQ}58^GS@B2 zXuo*u@v1u5`7&&p5hE5TUTc1`jIQN;Z!?m`a=Aa;2vLPOoYgO+#5(2O1~CRd$5)0; zx{Xz!1f5i&ka=ku^S_p{w=M$E0rJ&xwFB4+a;EvoWeJL8rQX{BPpWg)7?cf(2 znnBt+xHK3>7_{E=y0vOf6Qn1H9j;`)OwB45L`#f!SGLw)44z}V$LP&%`|1pyyX)hn z{e{cB1-XfthErw4r4VEb1z)+L5I81EYM39B=3$c~hv@K5l9eOsXkUfX@xtz5GnqG< zx~2ZgAZbbW0fSnCy0Aqu?YB=K{gDUB0@(8}^XvZfh5UR66MryNOw%nwv-VVr{tkCp zx;DHAXA?`Kbi)>c`=m@ycNH|yb%JJj1B!lye<31L4)+*{m4*+WhioM@h3{xf!X}tb z$i>x!p!MAN_P#W5q`v9K$=ln}O}W_v+A_5QJ)m=$nOX+?v6oA&B3H8X%5(2^oUa8D zy65>}9otPa)@|64*4|ZfAt@}bFTTS;^65hc>A6}-&^gnJdTr{C{;BR{9KGIY%-TU0e7X;q~eVa#74)i83kzl5;2{rQ zKm-Qm*Sl#yLJVS6di}P$wvLpr8>wr$6`F)0A$0&CLONW2aY*liUkb#}$;zk^Hn_0g z=)8Q`=yC{rEPfy@*7Ghn;5^`jgARMJJk@YJ;{@V{_c7$Yx zJ$M3XRt&ZLjAHh0o2v?IQU<#25yh;}DkecKd>{7j5|N+Dh2K8HDAXnB(zCWZRi=0N-pw=%fdMzQz)kfZqok&tw2)0 zj3TlIo~dcWS$5)?!O0>Rf*|3U*s;|zB6bFLY}lyy_M2a##(%*p<167A9xNb9iH2vu zP#kL!>jweT&1=ImqkYEX1s6R;$Fp4UYz3Zi;MpoO4mZ3h96Z=>9nY{`MARY%`=GU2 z@NCDq^cK(Z7MzI=(OBse4?YVFA6MXT$HzxJ&4thO$Y-hX*@Aez2?4CKR(k-Nb^rzd z8YqbX%@Uw-0QVjo0a~<97(61NPUHp9I2WD(4WG^f(5zI`zDGa?08MY$?10xr;C*N* z6aE#RDFDssl>lv-{0V4j!Re;7CO{Jf&~Pk;ZI2T|GXdJB{Xl4VD2X6RApQW)_@V(^ zcnWxlfUX=gAsQ|Z8iphs6d84bfCXw22VSR73;h5~4}` zD~AIah~^h&5ZbLMh$igr3DIz|Gg;td1w-L^nk;dY;Aei~(X7Mv3kA^-sPUC$L$pYUmJ!kyF7XVpw}EINu0V+90Y74`h=#{Oh~`H` zLm>772ee+WY8>L&%(fNuiW7Rl)59@P5e;z#2X;j?Ul0u##S5b85z#o_2gq`t8JE)I z!iW_$xoo6y53}L&zeNvNT4>8J0^|Ua!b0NsCl%2$aTd>OMKsN@_kn0T0ujf(53v=$&R%}+#=glJe-3W$t7i%+8vP<&{Jh9{3x6Cv%6XzO|+ zngpWZEGI<6{;r6Y3DE>08a5_N#F8F@x+uPkK{Y1V5@gbNflFg!4yhzR7}wwQ`RGo^n@u3 zKGY?TgvAZoE0_{0rX*|*jkOPw5BLreN6Up%-e;1CQ!i%gONtKj(>F|rB+tRzq_r%Vze*-p<2XZEru307&DB(TZ`*iy<< z{HVF4O|1NY6{Zu>ie6eS30Epg5M;_w2`mr53f>g{OQK_?!U`7|H@~#n0xQ|YkeHJa zcwTc!h%OI`ZP;LHHnQcCT!j@|U`3Z)Qm(nAM7bouiryG@Jq`wg!$0CL;=p(fD|&>L zfIp<9OO#7Wg;iLA6^@Gu_Lajn_qdoBSPA@*8?4AZ;Xfsr6mZv)2|*sGVpw(nOTd0K zEE24Ub*E&K;Eh#y)VRXp_KjmIRaU`07AJudfM7l*lYjvL6GqEmBUafutC-3vv;fpL z$&*z~WtEytQUj|vivXW+G=W4at2nSq0;~9h_uzDpM51=CtdcIQl66TZVHM?-wR{q` z4p;??%;0#K!~-kKn_D{RF|f*BS%uO`pbyLNvpim>#Ca)ke&Y^+U{=ye+Y%$*D*xN750hRu)=Sa)w+ODAPYC&ivl0u)zP5jYVmtGLE0Q(=|0u?hpM zP`>J56|DPwvdRQjaeJ<;f}4IUtfGlkVofLEKX^zC+sLHNovgxJKB=>cF07&{p9EGx zz{Rs9R>2PqV3id=E@-yk*SN+i@xm$-9lANoz2uXu#_a-Y6gP65r}%mM-dF_(lVyMv zODRmOixsaP!2mBE+w_`F@?aHEU1Jr{e~LkglT}#DCt-=nn2&T)CRWi&s3V=EAM`mc ztb&#P2yR6n#160dB%lC-07Za(A(n%52mG?89 zgg4jINpeUhMNwGA<69b8ScMU+7<`k$D%gkIG*;P;bkZsfU0}Ez(@8s6MX&gMC7r}- zK8b!SSY;hprKOW%VU?9wB_8Rdyv$*(>7*Fv#W>dTNyI9mv5F2ctq4nag(s^BVimzD z4+O*qs}RhjfWsEJzOYRBq>PX%?yQmvtC)n%3|0vapR%Nr8mm}MtRg6#BoQbOQH|M$ zbW*}@)F-PTDm%f=zTpnO;Go)8GqOr3tilScG*&U$??TLojEz-*O@&qDjztq%I_dBE zmVWx>4?p~PuYUd8po+!8^F>t24OJw)$3&=-@2HZfcgSRAS4h=$cU}q=F}d7_V_be34UecrjkX>tcc_Bxj~7~4 z1!p)8ov`67rIUgJ&t@5JGNtM@vPydJ#wzv|tKid6R$UIP!c=3Gd|{Q6SHbJYaaLHx z6;|Q&2cmw#Dr^O-2s~L^SjEp7>)Fc6DlM_{#3~Wsze%y`gH>1pEgY;;>t0%5UEx-f z9{6UvN0=>jFMF+f;b6tXDXg+*MoA<(Fu@nJl3ZmKO?5BCyElmylj9;J0y8#tCRSlM zI>9P9bns(T5*mm0tU9aclT{X6j0>xT>^6r3Hev|}+|V?ox*6VH8fZoGBeTM5=}Q9y zdS*ppp$eiEL9~)jT47+59C4g2ywXaHzlBz?rz~Fztwe!f`a~-T<3uYfVqvEheWw+? z@RC|t3#}xg67t*j!K;@3bc_C2lW3$3u8TH!nqtt7h3 z)~iGZ$4J24w(*Jx#48|)k*S9IkSeXRiD%CfCFSe#Z^2BeeO z=-xZv%9@lHLm#Ic9t+RH!=v6(r^ zZCB|;4O+3@ijrC}z!gfZ_>L=U!>e0*GO%w2~=+m^p542Z>;>I9WIiF>f`k3d9PlSYj3L4_3hiP?#dq z*$b;^N&ta3BC(1DtGHSP;(#d1tl$x2Q&DCmQf5UTtYU4g0_7)G(N|WP_K-;hg>{LO z7_ry+RV`o@Nvz@!?c<8YO*dyRyvB+6q6)-Y6^OOW3YO3IpwNYAOhO$xiwdjYr@pTO z5vvG76<|-0C9_hiK>VlzZ95k-L}C@(oi)W&Vihx&Dv$-Mn4F}g%!^sDH4Cfw;E7c% zu?o)iSgSyk%q3Qd@?HgU9%1to>M-0zu_^M&DobG%O{}sKtEBmwSR*C=yuSQI^JM2Qf6h@cfTB&6`Xc+$*deo9lQ{*3J|?ifru>ko>>w1DiB@{phOBs z`DKIWg!3ohWLT`nvD;XMPz7&_lsczS2SI&9z}}v!K>Dl#fmPC31tL^oHM4?{B&gCX z6t=giRUmy-fof*uUIo%#K8#xpp$eli(6-LF(11R2zGYU{QK*AZu3iasu<#hMib{o5 zOhypOUQSN8DiE=Xt*k;-p!ie;!d}ZW4q^sY!KENd=ke`rsRCVvI;jeEaB*91nH5Ab z-Gn++fW${2Rsj$ocve=)C#(3Q3Z#1#h*M^zRDtkGaC%|+T{0`WWmdo@I15HrS%_77 z708t;5RToVfukxA11TH5OHx^u%*xa=D}`0;Q3YD43gnI|kgh@<4i<`1a|U)x1FIyF zX2KN8ti)CYLY$Cu&8*~|(>A(iRx%4t^iT%UT~N$OQC!ME zd627Q{7toy_yVvJ5vTSJE0QB{7FfBYRu~CZ2v#Vy5)qvVR#uJs#*tdlRj;BXr6OY9 zCn}305IZcAS_6`WRF1rg9`q_{AeB+Cf`fR&Tf5{{I;rTUCUzi|K%^3D4d~FTXnYJu zvU19&_q<9Ym556cNJZk1^bVX|aBHEQ^rZ;oOJZe26;V(nS5(1H0)~^KSZGklkyyb+ zJJ3-oAF>sJmQzryM^foUph7AdF9qZv5iUPatniam2pmn?g!70qe5;ui-KszW2Qg7c zQ>*|1dJ!lW#Y!mx;mVA|)y0j(8_P_$J#1Tp;Cy6Nyx?J9o1j=F_d-xyvMQ-&RVLu4 ziNO^?F_WzZBuP*#FQj6wdX-8lYb6!m1;s_L0{UM({w+vl?pYOjya~5tx8NV?=y4K} zq&U!}`9>L|oxiIBGzdNW}*euz;l@Qo$`i z;%ESxMhY`hfbDQE!0FQTD)ORNG1`0Fkv&CK%Iqv*Ich-e$g0GeRY|m@^IflE2E7U+ z!3ti;`>cxZdKE;wA**7DR1nGp*83Wn0gXCcvMQt<#yvl!QUgNxjDubUL>LUksF_Gb zXXz1Mq?1z!birDjm0hm_SUxnxU9Tc>YD=#GfPwC0xk-u_e8#f|1X9tRRBR&^k`x06 zLd~k2q++_HIO`%Q2C1x+RjH(sdsanfM|u@m^(qsNSCKlY*q3@0`G{^^DyhVtRl&zD zl43`x;IjraN{Rt*X{-U6QUgkjRJbpaVjROHKNJgXV#=x{A{BptQZWL{arF{k?TJ*XUd41tF?~v$`5vUA zE2&hy%0McEUS(P-X>ui%{GhO;M5e4r)vNH>CB+~W;VG+fCl!(u+l!?5mQ~3hmDzw) zJduhc&_DGmIE`@O!MPld8c@JLHoI(k6|oxktXEkF-ld#FETn?(35ub!X$KQ@ zy$X@aKbRkW`SIJgyIf_=s{A~lN>-fup7MfSa77jVDyfpOP47vS{kaGfU-Ui2mq``> zP-hXS%4%KjdwPc|_r9kr=*wV1R#GWB6$RLHLEuQLIh7C8D)E!PC!B0}G+U@Sl~Mu< zQN~&V;%Vd*HzZXQaSEc-(e>nO*V9-6vL2jCg8oc$6-&7r=Rz(2fDsN^?bFqY6b!(&G(A!IXX#B(o|-9MHa&q+w9~9MJ<)yH4~$Zp zo^oLn&(EeOd@ls}wKqM*+Vq6;hq()*co*l)U#V&@O;7yDrr?`Ws{wPS3RMP1`Jm~E zjxa~X=-iSDVsvVXhM{k)yhw9 zO;1=f(hQ8E@hOEQO|2f9p61EKwPaH=_V%*G2dj*tztHrg-!(nuBv>u{^rj~)^5AJ5 z9ZgU1Rnt>Z)au^!1VCX!(^L43O-~Z5_|o(gTGNv#O;0OM#P>~4;%IsTps?3XPx-4& zPn5l%=ZSsV^ptXIdW!Fwo-FRzZo~q=_$Txda}Lg$&F10Y#Y{8D$&n)XTaEPr-K^cOaIa!zCOl6`_`d$Gau4ihsC!)dz8X9|@)A z820S=rSAvf99Jw#q+ml?x=h9*QlYAk>xmR^{EIwF?fapk&%h^m`>_^%bSe6ju57X3 zhO9}VqVWJps)_wiSzOAahzcmrUD@g0_mf*51^2i?ORMtBY?2cCP z30+H@oI;S$_PO!Lt`d04NN!4q0^TM(d8+v2h4d*6QCh_(rL*Fb9Tj|7*QdmWD8NLZ zQt$k^RD3R-KjFxufKfKaHvpFd=(mc`^jXCxk-7vd3(mlv#zHf#FdQ{4APS>`4_C`>0K0@J_)?pwZ9lExvu9j0s8W>+ zqO7IhGcDL<#fC&U|6ArBSvcjglLpnAhTzIEYiIO8|soGmEY3N3|>pp0lC#g81*e z_O0uOvMBnOF2Av?)v2xPPZjSL0OcVL}8RgDU9N3y~n8? zEL#}GQDb)0z3V4cM&Y&IBPgqh;DUv_slElg1Ga&-m_i0empg1 zue~uljY`^3yM9`|XIcuQ=vcBSuGM>}b)UL^Tv`$<^`2O}ep(gd6=O`^Cni&7ZH-Wsz>n}V-xdln@h zSrnXmxoT7F(DjomqvYC{4cMcJv|6PMMjt?OsQEpMWFPvoWE zlN+PhgHb}yqVPBMo)9RB!nT@4F(#H2nRSIxuuNMPrFQ+8s-#`EFxGn-qijAii3kH6 z%{=w4pI8{h6Qj_jiLxkQ6m?+~bJTmV62U0A5Ls{6^qnO?iU*5% z5Q?MEJ2Yl197|XORPV8^>nD`1pEzh!^m1nuD))eoTh|Z570x5+yOP#XO#s-u)kb7wSFSE(fE0U>;L?lLcqk zf1OeI*qgi;WeR_)_u%4%xZRQ{h|=6~D)H{-sQ2K`K^!QAGndxn`ADK%YCW+eQ9L0E zwpVNUv8Ck)_f3k09EgHQT9YVetp{f>qOd=uv~jHWY;Lui!ne58d+b$88xqNWi#P?a zf|wE!u<#~uu?5z%HM(doO+TqO{k(2aR(#nwf}kJmW0-Byl$uFV^G=GeC_r_Wf=^C~ z+PKj4>O6qMtg5eq}C0HI< zUa~3rkqi}FJaE)JaLg4_87e-ukP2l}@W^n^lxzwsP0IM$*hmU*`im7G+(ne6iYld3 zw&YlEQjQ2x)G0w3?mgeqDW&3*dc_C74@api1s}fziD<^?+I*^0O5cy}CNjbe>Xa;8 zq=GULYE{xkf|VQ=OG?^l%d|C)nvWZlv>(FCT}d0MNm=J!^AU|!7N?C|z`Hg^QgVY< z)SDh&)G3+cG*>T_w305aq@=~UD(Sybk8%{0)Th2( zEi=E?d>qw$aD&p`u;L?wE5>rvd?xBq_V*rToEdz%W>n6ak7j2|%#1TE4CKHWwpeW*^M698Y)fmWzTnH5|KRP(V< zHJ_tLSr>Imh_{+g$*54v)5h>qxJ;Z=kFu*Zp9qxjGM{}voM=UkJ<3VsWvuzI16R5_ z#Zb)$!4LlwOstHr85K{pABrBb(8?Tt|J~0&|L`?haaEn7GPO)xGb%4jKDUg@z2tMM zQ%+j(yXB-#c~SC_I0vak*)<)lz3OQ9s-sPXR^I7oXR^A(DkU9l^u6YjNQ9UWbHjYi zsLYi0f2X6hL*GxqmDcw|?am6f@Vkz7TLDps*1G6u2UMYwPnD+Zsiaiq*7dVmtPNjv zw7_2+SqoO;DzNM_ezd1f98&j_(VbuMYuSZV_9{)msq!YJ5-ixbi)as{yBOIN@yrUT zq-t~*g)AakMBGyIAyQG;hSY;{rc?$}Nz!l3)1{)cC+}K{yNI?{eWLElloav6HYxI$ zQi+lyvJNdj5{&P_7XG544aUm(uAxmsMnwyTv(jr(GAge*WxZuoxDg^|Dl6kTh{5Vk zBK)f=OwqmLr)y~4X{Dl#P&hR3M0{BG*(^bIr#o;Z8L4Ej076YI`k3MxW{>7%1ky;& z$@|gnGnICqno+@9=Mu+7ffQacDq)b&`p91kQ-t#eq|hNoDV5A{1>fx`O!0setQQ_N zUCz^4_Gu}V`ADg#)9a2Qn#Mtx5+Bx+Fol)&Nh2HLCM`21nnv*?#+*8>HkYa1u$5cjP*aJ6V zN`aKpoGfm_lsrI+C1DC3V#Fwkr4v%ZB(Yr*NTAkz)^4Oyo0CbHlAACk(Cshkdg|d94VD&39aT=VM=dKu8hKbX->v7 zUZ_%;6&>Fsp^bo)a0*kxMM5hwy}eWnnN^kechmp!HrBcd1RhVLV0JGtCbP7}GQ`WK%_{vhBned77-m?Ya?I}D$imUU0 zDj&$x2)Bk6*Pkix4Eqr$UkLkZC>VJSrlYe>+ohC!aN&&MNU2Es`|p4F<;j}&Q%G^{ zWpnZe656jp$_El!L1iDAnTpFbrE*AUYqQUMPpROcXMOspkc*%`nv=a!OTgaUNFhw7 zlghl1(C)7#w3#Hd-AM)UFIP^%kB!t46?5m57ZO_goKpEjLQ883yD(Eq1z%Thqs(j6HU{YpZss)Ux~Go(cw85K^4e+IZD6)LA35?b3Nw9*?V zOG1S-v~JFnDKW1!wEC{0ZN(p|>a%p$&_+A}sz>YyC$f=B%c#tkjLK-F!V0R;f?6Cx z>Paf|2N@NtLF`81oa`D}YzAApld&H0BT_q&ERT{N^CU86VQm)z1S2u^HU@Mvpy z^4xPORilFNEM&oz-klt&hL4|sv zrH)oC*wq@WN;+C9ckq`wS~iq`ra0n?8*s(F)X@&O!aA=6L!Q7QoI2Y40$0){rxFhx zEdybIS0d?XUCXI_8COKem842e1O#0;t~%QEKBrP~g)R4-O3L|$ zEB2OCd4VhJS(3KO%H*UJHutT z;)-v$QgSK_`M#wyr()KklRa}P>@a>Cec!M$WbgRF7pOW~+jO+P;!61Chi^aKR4V_+ z@Ba0l|N7tl^w0n0tz4y)f+8ogT}O+jb4z$5S9!rLuS!8Aakqp2+iPy&#R?-ccj{?5 zPRLQN^0M{E+MyJrl_I~(%#TtKr&5rTV3x2{L9N*4{li*NI%`2}z1D&f)*@B5aio`8 z&;?p>kv*D}- zot;0qj1pkA4m41UrG9NgUbU`Ki@Vo>T7Jc}Q386~n8H2;q#=xsUbRFm?ulA-p%&^g z#9s-{v^vn}|3+OoaXBR`>1wIMJGDq4Ni3ry@( zRidCXh3Y^>SL->L1@V|y|2G1-lUe-yc^ydK>p*<63_pYFKr~GNw;`3Qu$GEHM&M&9 zJK>CvR0m4ordsKBAY45T|2I;HJa}W4;#Es^pb5k-<5P+yb3fF9jMeN`2hz&!5*Xpt z%olL2D9mDUzQtE576L6mhG(JVSgv)Tc&P&|MOn+;Qo3XF`Zeu{~IYpuzjkd%8q{oC?IA@v~B^anHl_=(3vF-b)d#9Yp(;X zV3z%47JqovcK^4d_ovl?KpzNNAJl=ezN=Q)z%0wNYGoDgRV$?ql$MfWVU1b3qsogq z(5PDJ;{>iLmel>J617RN4S8VpkW-|#^YU4SG* z9ca#XM-^NwuU*Rg=u*ZxRlAgP@qZ(0Y0`r{`M=p)9q8Ws!(P{cu!`_1pO{5sw|?I7j5r`?Iz>kfnu4W-{DJtYV3WYEEV$p}E=CIC#~DP0*J;>Ok^V z2P*z=R0s0C4rF&BXDb6f#I%vJR{N4-iG^7b@rwNEm*4;N#SZ2FE#35!S~$7syKs8H z{mpLr`g1pZ{>Du|zi`tpC7@j0^z-1Rul^=Ceev(^reFJh+`p}xe)`RB`nYP^U*V=7 zgQ#x$@ySg;UEK87w{H5>q;a_Eo2?XqK6leUJm19ftK9U>*WC2&zqy;f{ttH3&u`rH z;~O{q{J)%=enx=#4Q~4JaMKSTyXk}H(ywyU=UccCN^#SVf4iIhiz3i}sGGk2wQl<9 zbkpbmCT{xcf1I0s7~S+`bJNc=X?!_CTDUvZ>c8DhAD4?xD51FNhhOEUuZx?0`p`}P z@9|ZC`tj$Vzf=UeP)hs?rCc1}KJ-yJnv-8SzQseSvQ~%Ma5}!F)1kIIzO8r1H(T!S zr{kLfr2v`b(VdKKi90I*&n>PcQ;yyr?9=_GRCz%uxjMd;-XBhFwdB9|6*!zqfNHV@al1lBzVnw-Pwr#qZ6{WL8OvoY6&P^m{X&ZL)R$kZF%NyMJ0LB_Svo zvI=trRC3xbCMwnM%}K_)RE1ObkHj~PqS(EOu>hnGC;cOp;)e!hzz$+VL4ojaAKSg< zCRK4JlN{%?djqGiX7@%C@;rK|40dlz*sI-}qo|i;b$dg+#8$jgN{M);NmZQiLmmZj ze>!IVoO;?AI;F^|Q3^?c$SnO*``Jdfw=h!5kVm2XT#7^~cwFT6Rw<=+|7fC=YOsZ4 zo6-)IQfeM$ZIlx4Gk;{uqqshn(QO+yuYL4M52EAYp+f41Y+|F7Wss^=x3@(3A}Psa zUUv@_by5n6w^on8YrORnC?#+o^wFWL8>Q%8`{7C6ee@j;(3VG;3Z+QFR@W(oU))w>KKg*xlY%S|T>my^#4ZQc5yYiEntHH%c+&qknY&P#$GhU>DqQz(V|> z6n|1mh-3E;In&ZVN>n~uz)P7d1>K}osZt-5Li6FekN%P+`0(idNrP0ScPLBB-F7`~ zY-1UTQt&-w=}^Z1E*;86s>0)#N4YxFk}&YGVAGZk!KVUt97P2{1HsrF;lUY%+A>GtMyrRpP0q z!bfG-QHxO z6h4%E*5{JXj3-IHv{=&iB2`gGE(J(pyPozcRk^yokyM3pDe@@!B$BG&)N+Vj9EaO# z(uM$#S8k^Vg`Y_IejD{CQk5>L#h!8zER=G$QL#e@(EOt6Q{CRWk$#h^&?Y8J{j1v> zKqVBZ3f?OIBq&^lL#p!RqMzP$DUU@TaW?P14za&%^?$fkw zav}%AWnGy^WKPZPExK1De|)#mzbaMup;Qsw?Jf734;j-Ui~&LB{miH=*YVNUnooCo z!=+<$xE|AdLjP{$PabMMoU+DAiKF+2+}@6w4^C7!kV?Fa{27!gf>Ik`kJ|lX$n@(d z`QUDm0?AZTYyFA0l22&@23uf3#6wk!*y9SSFiK5t`?K9!FZq1IM8A}L^rhsJx|hmO z@}VkvQPuK_DmmZm-iDITMW$j%ra}*Ohpy*BvTQiOhs2z5oe=vEBWAO zV)V^@m?dKAuWG zI0ylBz2t*m94Nu*bwQO_OFoWsDIw5%!`FSe^d5(txj=0p`tG%el>M-Ki&swRc5kXqCTyINdfg|n$+aeBzPQFIW^SC4iBr;y z`(?CTP2KLT&H7mfyEjG-p+!!sg&ZLTXx?Z2L`p4JyEoip&RIVNQoty<{4#m9dy}DL zQ{;2m$A-Pwy=9!aT~50nWgka4z1jD6Z+eu|Mu&Ls@KLC?RkA5dv3q0GgC)q&%;;+O zrZ0AHIR-jnirrgMlyj2J?+qv8My)^jZ2ehC8yUKmwzvLtPuk+1zftRt1EgqOCjBgJ zE}@pQ@xAqD@OwKT<&7t;IQ-tG9jL;Kn+h|HQM#E57P;{_cV(KWhFKY9NT;0U`I?$? z8=|mkNek*Q_|wx;2K{`|@Qr-fsw#yuNxs8_;hW(&K>&cVn5j@f)K$Ybdsxzv;Tzb- z<6sPA_=Xk2;u83i;hUvFKe1WT$|p0GZ(b3i*i;e4%K+d(;Ps^V#A0CuQKY{azO^|&u^7Gq)2QiA z25H?m=;tte%hgO}w4?p18KYj};X4c`J4xp6X(lopIK<2p*A%r#j`I%Fvq zDeZg?`iWghi-^W(EFnqX4@=r-!#BjjVbITf4*DsEZ^e<8^|6HbI4{gp#`ff5_%?U* zd?0rl(aPGhh}XIMW1{z&(~wo zr!$JShv6GmA^;HIZ!l9SvJ}AB!ykrkvPfxbIt6Fu8s#wP=VJKAVoj&u^|nDjaUAqh zENM@}H=Ta@<;QP7|ND$3q(spd4Bz-Lmar>mUrbOYzw3uNr73mHj~t3VRhlwi+mmf+ zPrfipE@mq3FnptmgOjA7eU_$tZ7gAvrmTo0fhJ8*F6BTJeQHxedNn_JRM4g^A43W4 zZ75;Hl5?gEP{#j5$dB|>HqkV+W+l7S0aM~-fbuI$QHb46yfDvCM>^#kN@$9C{+y2i z%H+T`VZ zsGnV{Ev*S<($NMmkmM9VZG8AsIIvkO{#5_`aLiD~6Rut==TyR65-Ns-g<^8o8OjW> zBJeo+4CUy5#Z*Fm)P9(=+|;Rr{+yvK?^6l&Fhg1EaVnuJwI8O}K9#V*iW{a9YIpZi zc{@Xykwm3yXp@}6FqLpGGnD=FR6-j^4ed&vEZXool`x)D3B&VL!dEktO|Sg`yk1Qu z%(vQ4hZW7M^IL@#oBE_5Yw&DveKO83x2c2(bM`_jI^U-fraF}n#B3NYFyjnmA#ToZ ztxdU1C0tF!5P;`Tp z;!dm28Or*c^mB2ir5Vbi&QNCjw5QGQW+;ye6@8nbT>bM|w|lMh_BKO#?i$*nP+_m95{4I331y$5yxyl0lAyEN(~^I_c?m02`)N}N^R4fvOeG{Q z6+kKVDXS=l+7B+Qe#^HR$_U?pl|NSJw~{a!C;c$7tm6!2>5G?2xWdYEpGvs?!}q`Z z{L7bgv=`?$ToIIgn)Ji(I@-@>5}vSfy3^t>y-TQwM~-7cijk%2&WfAl+QNh!TIe)$4@3(;^zEDb}Gi; zx5dNyjfrag_T2LGq4k?dFJ>s;sz1g0%`FvIj+P%%siardZ>r2tro@Wq)gK%&`r`cN z`PlJucYa$xa(=^cOj-X~o*h3=&Tp;yvkK$8Rf>k5#+jV8o?n0PUN3$^KomkLle3$xX#mgZ@n zO+Q~Sf4esQu&Sx0nhI{Uy-``6=5IkRGgUuRyku9dlG?~>*UwAyx22fB)kft{%->ik z0okvazuD9L?Xc4)^S8J%EQmMeZ`&bO=>vbL0;FG>zu^L)Uzxw{wE~o1n7@&>_ip~i zZ~}DmH|~C|`CF0HTEt!!6P19-{4IT8{+4?Ms5C0m^!aZ7R!0-E(^%!n{4KsRe;XQ= zZAm?s>aSoxv(n|;-&+|A!uGgb*Sm?ib>%4_pCobZ=M<)P_^#W?>~^EZE( zzu^MVja7u7UYWn$B(-nM-w`m6Zsuf@}ADX`{Pv&p7`sqhUqfrE1i*OMp3sff#^EdHi{-)f6zcPR8 zidt{a_T;o!eVV_etNB|XrAqAPZ*%SZ!E+??8(M!x^Ecx+8k~{3`P)zfqDx#VirPza zaw^6lpPIi}No`Z3qV|RPTOKS`Y7NM{v-z7i zbt;sRk~Fp6NV)8Dz>`EEM|?v(W5-}ZhuGNt--5XLzfrGC^?#!g%DAnP|6Az(Z=P&6 zx>BXI{*3-_TYM@4A?OuRt-JWYEemTVwXgi&%8<9y|1H1qe6O=V}15y~((@Qa+g>rD$qXnV_ue1m*Z*f-)$DO|j)w zOcAP3L$yhHv1HM8wSTKZ6}kQN%lE(k`B(a>RK*GfFMQfB@rw9p{Lh_Nj%tv-^2+H0 z=N^d?ECa6uIq=E{X0-+8*VY1rO+w>;{3)(o>E8EHa_MHZ&c5fBe45p|E3atc74qRD zslu~atv}3a@AH3ZHK?`#$(~)g@CqqwMObLm7$U5~52pEq!Wq&Izu}c`R-51CR)XEa zYqQ!Luar_y<&|?lp%RT(UYXT$Q_N~}o&V!;9*IG-;!`{{E4p<66<)#Pzw(NEHmeP| z^xZpvJ~ykC3@<{FCrIeLqCXq|BS~|@FC9RgSGX%vq#CcpCtfKXKv;~Dyc1gs5Q0+z z1EjgM0MVd_mWm3)gXyhg6#u<@|yubwFM|rqcTM=1mww587THLkb6NZZY%?VR>-ZEfl~Cv ztu|L$5z^4L*;4ZI)&dkjE0zWTkz1|vfTf-J!L1gwBF-`p;OvXEBFP8tiB{?WplK)r ztuZxPAziI?wNZJMjaGK-aE1NcaK(^YE$3y7@-wcO16Oz%0A&3_jmm~5l(H9NlzDFf zGSmV@220U%Gm*9nF=KgwE3F0S7y#rqzvbnrQJHWhAGjh9%?d6;wF<|kBs#t0Py5b7804*~O09u}KEatF>r<66!s)OSt$eME#Zb_ z&8b;w1Atr`0EF0!%SpDXR)N?;EkJl^&HjyWMU!SlM1E#f@)NFfE0u~XffZcgvFd8= zyA~jQj8Tr)0YGmWmG{!9e8m;lvno^us<@Jfl5BL%Q9rP}n>V;JYF5OHM&*r?DzycO zAC1aQvl5D>e(F{#CJRcn99b3d8doNqniW^*-}@OGwhsW}RaZ+%^2I+aP-Xg0-+lM} zAD%R|;|S$HytI6Czs2%Ry`TPbv3$$#EZ@Y#bIZ5xQ>*Wzf7~L$E@kvj$~l-+N^#xt&5f3CUmg8pp5!Xs z@=cd6<<|XE4D}a&?f!|LT3p{bzIj}@OsoG0g#%f>a(oL{$2VfhXh+94$*zuX92;p3 z!c;$%__^a-gA_J)|9s+8dpN$4>RpVEZ=k^L_(t`m&mG@*X}$K|%HsG&c8s__;NC{X zA3t@M+SDc~JLfJ*l?Xa|@A#G(b(1fDYx_@PUupkI7st2!?D)pn==i4Yj&G?YRl+BZ zZ@8!zm)gVetrmZHcYKo{I=+!o)0f&0BSV#&<69cqe?D-0O9Q5`U*-4~PscYkIKF9c z7tV-Rj&FJJQ@J_5*{kE5qA?(&hW|G1h} zAxv3-DZ0)nq^t@9rvPl^-SKUIa(v709pAKL#qllWGWq8>JHC<5?nUv3FvWf7__kjh z-)MlsmmJ^1;rRAss*g<-87{_r>{Lb^jq*Cyd+9>5j(>h62TPbBNl%lYM$M&CCM=1k)8COz(goX2TO#)Ya%6zjUQuw z)D#&JN}x#Gy;Q_@=xIM}{-IS&({lr2Um~?iOQeL_{G+had-G2|nt%M^qfgC0xU=Bx zk~(Fbb^}0RT~9kS|8PnO(eIB@M)x%KM_v*s1Soc9ZIUt$ZAuclnn>X_ky09zS5R6> zq{R5t{Il_y+zWAxx&kN`fFcM`WCs*Yc@(Q_^N*oH%9=V2d@1`FD$W8u7FU{oJb3%a zqv*?M!g-RRH2?T$mROp9Dxr{`mQt`s^A8!mv9s<|nty07*18WbTr6jmd-D&XXTl?s zf4n#Ucu-tXfuDL>Np&CT8lmX*?xiAjADW)lrlUWZo$?gX6DjH0N8i4hq)g2}5r7i8 zXEdA8g$WUl>PS_5C`(+6ZN4Q^K)3+fvq72f zoly25B-!XQb8~#tgFHnHp0rml6>9#$JrS5k%|D7P4VsqE|DQ{ON~ZRrl$_S^!@4(bs>IkN)~{ zq_TgHD!6gS2aSWd3u|*1*7DDVwKx1@yuP<-%(9RSrckT4*gk0+#1@-8 zp%bMHE{q%T`s|t7Qj)fF!RzzWA!)l&xdO4pmZYs&*~r%yBC0K;*rICE_6D!do~bP_ z#g=IlTUc#mw$T&{UnE8X$!Y7vmMMrWy2I=LqDM2bR!+;Z+9ImjA~F}Q7hCAEA7biQ zD;cjZn9THAH#9P5vBi2{CK!q;wxBggm830u!q;y`0*QpzFMZ6FwNk_uHmEH!t1VG% zaZzkBbbc@uukX&DwelfZY-FZ00WW<0+{k>)0k7XBZI=*X38jo^@cOJYG6%JV&I%E> z0#ir=$h4o5KH7OJw$Onye~|InDTe4*DiNn5^iI`2`Z^ln(I?ub)nyaNzchiwJA9SV1QNH(ii8OiCM(+}QClY~ziR@~9&Vc2 zM1={3wEXfMSJCg8KyEaFd^CZkV{&L&RC)GK!&jLQ2`mlEFHN8u1{@u4?S}sS#{(~| zv2`06nffgQZXX$N(FD3M;4prVj(mMpR9sCH?abir?(UM{KDfI(3GVhmu)yH%uEE{i z-2wy%!F8}8L5ASLF8|xTFL#}%)4f)ms(<*qmAg3|X_-C67fq8BQK$~v;} z{iyk2`o9WwGgcVq(dR4QgV3ZWVY7ca?>TZlX5LOgY8dBf+`mE=C)ztEkyNNh#aoTA zGL%xsk@obdq5{dplhh0eR-VBBxi&kueEVaTN1#g6;cSZUO5|AoR+Md^8? z4^4|}ET}EUV~v(>m_33o#Hgay;Dh~7;MVlTHL|c0PQam9t@KoreJ5~Tij8R%ZTI3y zD&k3xg&#jUFyRrf)BXc9Xw(?!XTwuX=BDXfalqG~ynQzzcw-jF&Yh)8LMy?t8eIGm zkCjH_rcZSohIN3a7d~XeviBVd_v5_*H8>R(oQ2^$^`C2iLQwHSbZXGU@*E?(c+z+0 zsb;m;tGv6at-pfm+436B;e%^ua9hC+WK_rj1Pc7d`*3{e-|4^Bs*ob*m8UPuBX1hD z;r-M2d=p&x;PSO_^X+;Dsd%8PCAh}p67I@Y9J?Oj{Ib=NUoqWtDD**yh$_mP)rImu z_N$wW47l{G1xDQ%tkFeE8aE`d?O1@edAoR01lB!gi?gk=j)FEMm?eimU_ zrF&Jh9brexG!hbbDpJHKPBNdmD19nARfj`N*hb`V*kV4M(beoPJ1zNcH^h05ZLPHX z$^O#KTICaRZK=^1V?C;v7=~_;6xNKwQbkETW#vD9cPORB=`W*YVX;@dZo>L8r4F;0 z+D(YA%+XEb;%m!7mHqy;i_e)Y)dWu=}|il$UOKb@n##4L--M^rKNu~T+yDa9^Mm8T{QC)bEc z513c#S;;Nu)Rq7Yl`k2M!~o&PI(0V&Uv=0z3|{0*2Y+%zZ_HIFPF3pt7O4$%dP`O> zVr}Jb(x`tRt9_ja%&k$?NH;tZ3J2EARWI$E8HrKoNp!poo)m%7jU!&aMc-j5c*Yk~gfocNb zQ%k1}{z!K*CQvR>k8K`cQ5!m%RMY3E^_#@df1^}IKa7Xf!%wlS#ecpupv}L$94<;N zK7NbGQf*i5i6KH&uSZ_DX9CL;b@$H!;~{%hlu7lxt#qVEyT8m%X>H3Eom@{vwzh&R zRSarK0%g_^hC6U98wyYc_mJcvWon*K2a)KY6CA z)$NO-KIU~XUlp1&%4&JurG{VmThhja`Dw?q+Tr>eYHw=^^wq?~2xAvN&Emb)?X>h1 zbx7sdP-BUskx6` z;s9S6-3wTqr$EkA-m9lyQP*iFAX%exOYgoNx}W8771U2+Dr~h~cG?B9f9~g5&39eZpDE3sDKq}y zM+;k%EVK~~AbCykCcG7W43BR$l8p3dGoxkcUuMC1wXw|g`0KxVR`4W-8kh(b@KU;X z`#E$eIeoL(&QhXQbb6kuRI>PHSqYWMRSa)a`=Cl2p8iS|DjZH2i|3`Z@n%Wo!1f~X zhWP(d25DNQTHaRy04(2u0P^?8)7qWO(#gy7KWh(9^Z)C`{DUC>{|}h(?8UT!L%^FM zs{dL)Z^EBylYvG1@n^Ur1oI;?Jc(0aux7Aa3AGjFhEzpa7=4dLVbC*0C{B}JZNt~Q z>knoOL*}NMGz0*IV<;b*jIJt10svMPwLhy4?O0Dv4mPMseY7BCynN=!puq*!o1cIzr(*MF4s!^=lq|tC(S=mnSoZL zh~m}{3k*l^HM(;TKNfnH#!6GYtF4bd>ol)3!hYo#Yu7yR)E^d`dQCy~5r|CEx}kG^ z=cV@^$t9UB-_A6w(b~%ye4=-B+HjnwoGHEd`49G(EHkrGC}32d4W(;o9L;SuW;WB< z`Bxg3KOu`BDsl~}+K>!4$5=R<)H-?^C{GRT%dad6icRW8idKE@YaVLPvBG3oUeo7+ zI(KqsG!Z+uc)MeN(&d+}tNR8cy|K7yCUl-ov(j#<8}i{$#*|fB2{44kT`}+ks%0p4 z@11@mWl3T0t_yWsKeUN4U(OlPC?OBv)gDU}Sb*NHV5bhPw12oZU#+%o>3?7p3xs5q z)R!p?7@bsj-Du~9)-Tt>VX6)LR<|#&r#bRREG++4HCmrH;ho0S5C5hqwyiV&BO(IH zLM7v)z@@fvTAHrWS}(=;0qt=fo`s2e7=cpRPoGQJ2<2r`rQ?!0}<>ETdT=MtjR}f*;=3@Er)7Z)UY*ynU z%~f*7cNO43rM=j(H8&k)N35){>t74maaB)`sX$%6iJ{$rI4^ch(&zXge@i*?i*gUm zHpKI;xs|hIxJlC?$HZV9qA~v< zks=}9u*^lN?wnr(^D-?N&b{K$DRD?$hW|5xI~+x<<%ZZh=6J_>Y+z#MX5_XHOZkQ0 zH}W1A@e4*OwGmb66(y%8S*RWtntg6k-80=qX|0tj5P7D1#xRr~i>8vwO4aengbS=6 zOmq&cs;P4)zPj-w<9XE_YPiAJq1jJUTgDgJwK6`pbP-y&aSvH(nr-vlyo;!*%3&vX z!RX?g@&M{dLtRe&ImLA5}ul;M@E{e4+D{?beaBbKYn$j~-#u(8#UpbXad z&OV7lwQ%r(O~PMbSBn~Py_OixjZ%(he{+vtkEwPP37Mf3C9<_$a)mvU(1X(}%7q(o zSBU>PvWt2#-bh5|XIxFjZRLNwUNc5a!TY<1MrG1T#O?tBtv)0!-k!O+DBJh}aO4Hw zbI1NU6+4A`wqxLpIM+Vh%o2Tw^D*$8DPN3|f!GqccepFK_x8Ei3|(fWd}vQAXM*?J zPoqjUopSP!1ruwrNOo$Z*ZcAL{H{)ubkP3W*#1E#p6+v570F|vs(6o8L`cuF+g{S} zK_@G@QowK-a;WPES{1#6a2t;iQ%U57u`B6a;J)TApAgH{m>WhG<8a(V$$PyU7F|e5 zP4QnD8p;p7zfpqm<(Fvn6{8Op-0FVkZQ{qK(*-v1Jpk4#NrJYPbu*S~_mgZiwf_^R zi{bCfSV}NE@glW~k1}cgG4?~7GFC}^-(!a04P@S;Te@^GZil0vWRzCf!eg{ckN6BP ziegffm0n;9wsN+Nje_yeGHzSLFuDH27_0pgvsqls{{Y{fL9b-uzH-Iw{wYS%Ct+&8 zz*zSR52-vht}vRuhgN@=>d??gCLrHxxM$|YU5;+EoA&2^=B|QBo0`u*%AnD@a{F+d z7&;@j;UtVDEm5hIYR{IByTMP`*fQ8SeK#jb+NH= z1WXE?oa$J6Xgg~TY6+_|j(;(i4ChPMPP~lfXa1-xziLZPx9v3;#Hf+W#ja}2y%I}~ zk>XIJ%Q^P)9*#$D&v2JvaJ-JWag0FuX5oeKCg{(zdmWdu`-8(Q0kLV3^#Bz>XGrjR z)olb61wq2`bhYz=dLERy2P*)%k(25N`>21t*_iTZiEMzXI$6TfU=os1t3*rItT~+L zhYB(Abm?yS?li$YLh8@IzbMhr9+3Y2?3yE~m7y&`CCijqbN`?v_$h&@AxH{dszP~D zp;*wP(}8eq6MKRtTRkv+q+2)={jxP(Sl=GoaC~iFxlS-9X8;j8D7Z}y8mBp^byNTtVwf)rCr&Sh-Stud9A-C)R37dD8Mv1oiVAjME(W-0sCN#|+!*x& zS$eS%fu)9b=cwS3ZrXT7=)osMde`1T2fRj{KxRsOEzZ|;TErn$#M-8S!=g57xTG{$ zjbY$mDt;$`^pi`T?;##0oD@Fi!aQWmE7x(%%gYjhT|Q0*iiMK3W$?VtD~Gp|$12_c z-5K{E8HrMmil1-H=$awvKyMnNoz@`-S%Bw6OYn+IWy|kF$B#z+2bc6~z;Xs+gIq2+ z^F)Ir1_0V1FF{JMSphRaM>o`wBhbNz(~_1_#%kF*pMC!mYcbBxPWVN={{WEvAS@pj zwmlMDVvpUJ!Cp{4YX}lOD`Wt@(jj(M0ryv2?y5|VHK5#xIM6FsP9s)uHP1AEubN>M zErhFHa=eQj^GI2J%vQ7n#U72Shx^bsSe<)LTn3Kb9(@%aLv20_FzJ2Sni{RY*6$YCqer*G}A} zBJV&%MnmI)au^y)lSE6riVQydn3SjmmCR3|v4opnZKb_e<=FqLHy_&u`M?mVZd)>B zJ$wZhgr<;;DX+>k8>cuH0gv?Gh#@A&VkGcu$ffTVRuKh_$uQLx%%Ll$zUS8m}7!v z?UDuXz!n_O4ZKxCh(ghkcj{QSs?N^A>!iaAWVY~t7oWBgBaFl-+5*Jr5Ar{=kE51; zv9P9j^|t(D9`u;o5co7EX8hK3`R){6iU$IO-hOjYy*{4{NIb(5sRkbByZ%KsOfI8z zx?|}@PKJGnmmUEOR1>;YC6Bs|yYuV~EkAZ+vrHO+IX`c&`tbvU%)HwZm_xC;Q|jiT z;zf+*qu`Z>pBnh^_FHF{fniMVo&cp2#ic0RD79y3T?5UNo6R4==eR7)1{zQ;Eh$ip z)?uGEq!A0V7SYfU>dV)MrD<{UIPCaX|hH0&M+E0>!O{)Gta8A zCAsDh&5b0}GC_ zoOR+c8!33)Dbmi@g?>VpHnS@{yC^)%PR83@5!CV?q#ETiX;{lb5&b%f$5y%qJ_T?+ zER`8@(@O-e?s>Ivi$tRXhUlDY^&hxJ!WTSwc4X96bc>5#ZAN;m#^! zokYYem~dV?#4j+Oa9PNBfMun==_ml5BXL_H#5Q#M zIZB$Wh7_;@fc5@vV;P;4v_8PKcmMakjlrz*to@V*w#bmP(ld)2p98`8&OnenZs}Ke zTcqxoU?G5kcmth~NYVFZckgh0q}lpq9M|l|uSaJ-mOcld!~ll6rO5;yG~pspNjpg% zMUfqARBNHY!P!AEd}CBzLLLPU;4Kjyr%};Bnowf@NU2wcUWy@I3E>{Ob>kWY!so+s z_&9JiGJ5yxj*9R~=E3W6WTu5m4!eks+fC*@_n-sJGD$ZqkHW12206?INjJv!b|z$J z;Xd>>x&a@%%{{%F0G=2hSX)EmyCq3^sr98vgTwjGeA3=8bt>KZV zIeJK0Xp>0o`5Fv^9_>}Uo3>>5aX z*V9_8FY(tztGg( z3bbb*x1ds@uuwnsmyPUyaH4bWy&7-c<*%RjUmpu!4W%&`=tocSVfLg&w zZz7&2REm}PK!FYTFDa}a>9#@S_M5;dR(B~QwzY0Bxxxz^9L`_13t0rl>T(K)T=%@D` zmI`igljN(Hsy)-&SR(YSv($&YoAcAyK71p9fAl-UBNFzAz_h2garLxB$)-*m@>}raS<^@f7sEbEbmj3#^75}xvwU8+ZxP5}soUeO4u z_^8>)Y#K^FajI((*{N#)vIg+^~%m@MH2?2thHSW7(548|CWbUEe+e|l&AQSn~IP9xzg%@Q|JS71)f>}D~8^OUmYEXFs(W$w@9ypmi zc?W$eo{MPh2RKS+I?BILQW3_Kud3Db%QjrHazcPT!4`mRa6UsdfyP|R^VXcU?*Ank z;j|Mc=uZT4C4BeA3@@5VEWsxlV9xFrVhhX0Ob5C@SZ9Bd9`-xG?Crn+JUdKMjJR9) ztbdj!rBVSc*V%lu8_^QVb<%o;kwU9{*zg&`p^_xABA&Fb1fvp){J+7^C4=c|QJaHX z-R3BK+?YH{5C^&*F62|d52Pz|_c!wBAn7DoR77)l18>mGPV&Q%@t!&O`Kse~bus+` zZqIvnUV07nlbih8?!l7m}I><-u z`5blcQi`=t0 zEfBJxrS6NrH!cr^MH8?O?5WY*Irr{>Oz4>yw3s`|X$Mago{hl)V}>)x`8)tN+&z!7 zSM%px0rSAe`O3G~NQvYp^PX#}e)|0{wE_FwBF{{{kqB3S2U(&d@N@y4IB~&OQ{GoQ zPMUf|9I@X9pOpqJI?=W9>%xId2=ka9s3VptX(C8f$Vdp1q}|RxA6O1vNpDI!z%@%X z4yF&gB3oPA5lDX$Lc>8Lm%{mH7rt}8(%MN3;XC@hf;g;)zx^4cqn5K|0ege5|JL#u z0Yvhl98pa_dBnZgGba3pg$1stBDb;(iQ5j;EslV*U79@AhXmCgrx(P|%#OFvKKNbU ztO+@odTX)=jkbOPSOMQj#mjuu`sLylSNd`@6wxlvjoL9W=#vc^$Y5`~OI^G!)ogRQ z%iLWh)dYK)UCSc{IuUiJKvxS|rAY*039n`t!p&nyloJ74vy<%HpnOlw*06^?IJ1H&GuuUD4FHIg2@&~51e%qwvG4@gaN4do{y3^=&RQ}#~ifwnc!Y*?I< zGu9k->g)M3Nx2ah6oVCV{HK;$f2e1$iZgi+byU@jb*>!b;bfHw>awM*Rnknf;Cq0p zG1oD8IB;{8>y4#e^%`4Zz-2VccDn4qJEq;)>!4kpOF!pZrq^#bjKM%N1G!T7BQ6NO z$CS!ay^&GwqsAN}$wOQthy|Ag1@CNAkX%XDs;I_6m0`AxN!35aYp z2c`Qna~H63Sj^S;kkpV4Y7?Q8vnvue^FhVnSP0zJG0s;*Ej=ALe0}Veqzb^dsN5x$ zPm|26Z44#@Neiw2P}8D#&3vAW;de_`t7!CobWz9Yjb?@9S*@Z=iprMaTa|h7(Tz|Q z*n{j0oxVE`MN%m$IWm>8b3(0E0AUYP*-U*<^F|E=#~^+HIjLD^W1dO-lS!W)Vweraf3bs zN?C$=!EESU&^dkns8-gS0*u`I=<*HH#?%um+Bgsww06+A3(Erh&6ukDZ-n>sK!IEe zHq}>4Ay*+-R*f8Ywppavv+S?$`9jQ?)6S46pU;?819gMe(MoZkdZJT!y`Oqx9-r`N zKy39GqHv0mdSY{e4kd_;Y*S3(%2GPTkkaH|VgoFVC?IgYq;j1hg^R~HhO7IDM4&+% z(jx*P+?y(S3j1)8Y>`4c!Uu2u z{4|hXguCSA(6^Bm+g)$EGEf!45WV~ze{AXBO8lOWgU}WA!sG0r54%*#ZV3@gNNLE( zF%M(?{a4c0P`E)>@N`Zo{x{Ey(#4cCza*_*#4SY@sTSKrh)1JcOKZz5fd9ZBe5#A) z{T3`TG~t|!Gt0Y*mf&3d9jXdFR>)A7N3;Tb9M?u6PRxoO0aUj7{r-dSNg0T=e+MiB zB7QHA6s7*IY*OPc_50g@m$Gw99B|?%$3)9-fNi&bJW`9*NU89F7Xa)8^5_vwd2*{z z%L6$c5&+!-N5(RviJ;y&tcV?E#vZ-EzNwX$Opt6MI85%{1rv!Mrl2I6nsOt0&w9;z zKNxOlaXY^uq}(|2O-AA?Lx_KWRDdazY9bvUA@S)T5hZ0mQZ5U?68zJFt~EfKFmP3=;p{>gPCEWf^vMPn58z2dZTR0n&y@-fzw z5X$u165dF1jSg7|N)?;-peRhO5loPavofzSq&Uc21v(iPO_BhZJPSP=TcL%C$>0VT zep@xJv<8`?qZX+nwTCg8*oN7JKOkO24NfyD1uQMcS?LZQ{l>vw4q258HqVE+t|`>? zbq0&tT05~Qkt`E%fpgK*_~E#c1?h$|53q5-0m#Tm15YV1m*r#l>_`|c6G^& ze>}>Y<4$kFm7ndf>t{};&f+L{Bm6k4d=#4L2h%c#y}~@zFziqL*OJ=FxCjI&>@zH` zf+*^_nWacg1%2$deOWN!x8YN1d|3h;jfn*IHUU8^*&yV<3*$MblRDnh%ID+CV&986 z)6*TJlQIq)ue98;wMETD7c}=`X@qv&6Nt=E1tZ?R<>0nlX^no#iy#gCF-^`8l1|OR z=Q5s4_ng5ON^D;^ijfb*tA z>GIHh$6O;ID|B3tuwl4N)pYgCj_e^j*98A|Ta|pTbslZZ)K;5nzJG4_Eq|MJF6ljb z5{l292&{`+&&yex?N`lGZEd9!95iIdZ?ThS)LdWlQ8jVBZ>z+H#|DuLaU@ATv6~r& zjgu6_i1HhCQF~U*fl{W|)lk>^5Lv_bk7jOsUN@OxmjPHz*Tm_s=VbaBei4_P@2MtR zm62U;?Q@enMl0s(IHlLIn1hv62{W5~f=Z08y^_(UjJ7O|?F)gi zun|#@M>#+ogTomdGBesDO61SCFEpRFOXTEmBm;?Z$6tu=U7IZ-`LP8lBegzq$1E9LYU<)JFJPVH_|jGvsmkGPE8N7~K^KHQ7ivG*hRc zVP?RbSS}q;9N}|R*@2AMPwJ%Ce6WKen6&Vr@>faj3^AkQl6bIQNKM9Y7zThtE8=Y} zVbM8`sa9TJ9kpyXkG0uL5LIvwLP3cY zCFVf>Z4<_j%+U8&J3d|YbBwDTfA8ApaI7@#Ncts*&}IctKbOk=tj~Iom)tcLPl|eH z>5u}6xT?kQIiyfX`29HP1&%A?ve^9Lpv%xZI6`$8yb#2ME*oRIDCRHiYbQXdAENOl zlXQ)sc+i{pD82$x%^GWigGxZgcOMpZCaj8xCF7p^jNq>o2$G~hHf4>Q0z=pI48~Sb zU_nV!1I(Lo_d->@0{PKzkM$jS=Yq5)6`U@UMu5|70xX_ye$(-NhreyK3IK978V1b-`F++4K3Ch@9w#6T zF@e8}-M1OnvU;4`OUC`l>3<$I^*9#-ei>6<`cGe9Z+rCIW!&18`D`1zoN;8T-)8VF z_`jW4#cnvq|_(duOIQj_wIcoO764A69HP zOKnr!Z#Qdk$lYbUZ;UgJ7Q>Sz(727%@V@l$n&0&Kx^W-_8&^i1wfjxR%C@mL@<46$ zQ@liF#$U*{nAO(if6l+6n7;YFAgBYAj8_bI+fWeP;F%-SLe=9B!W}pLr*E+{u-+An z-2Rw=<1$XePE>k@pHboIx^}zW?8<(>%*npvH(fh@qTr%VI_DRBt+1_Qd(n5u%GGxm zaL&*-_{Tzqrz=TmXY3T-2RQdX2v;Nref@ zO2oGDth_5p6kQ|N*AouMaHsgmHUH_ny^}s`M_4SKvS3t&<}t}4(N84$HuDK3dn0RC z6t0v$Yf7GHkW5=~F%Qe`$d$D{T(P`DDp(uA-w#lOt3ea88bU;HNN+qHRkeTsKSFSC z0Ict*wbA1Oz5$Zf(yiAP_mfzf+A<{HPPdI_b<(eml)(Yit-)H4zVDiILH{;)pf3ad zLGU28pI8|CDyQ7ZSf6mtIQHL5fOWSY>Litt98R~5)$F5wX5qtpE3)gX-xr`QeflQ{ zI{#_ekQ{TFL*&LYjz1`uMWESR6o3Fi-+xz_Q6WX$<=ysp=>k=2#^WrL*~K1HyGoX@ zug4sbbse><#^dt0ii>txb1${YSq22u&RkI%f-Cu_hsv%b_~JdPItjlLthSi>ha}-u zN8>w*(vjRAjN-aaIQB7@Vk)plit{H8C$ zEA#rSIas#JDow;7e%mlYM zfWYpfOF3>dSLNO1y-oS4PRMj0(J_5jjix;}jHh`D36nzXiC$kfxB}mvxPrdy7~Smz z-XGv;NdHKRUy~Kd?RZA zhL&+inMmAB1p#W|)FVwshB!$2wl6`Xt!k6@gEx7ivw}~uDDyvTAfA(>Nc0x@Yg<_k z`e{9YkMxR5+WKkxVIp}ZNaT5fAkHgBo3M3e7-FlAeP)`rW<9`WaG8gM>y6O=JCfm1 z-VKEzQj%Jyoi3t=LG!IFi&{w~|89;Nir^2^Rln`kZXx``LGJaLK>f7DJw2`Mia`-$ zSFm}09i9i>cHHgovSi4@2Y7K$!XQ1{I*&T{)CW!Un4uMqI=DB;Am&}7+$m4DT92b8{FdyofucCHlz2z z@-d`oarxSc@gX^~{~_EwG;=<+Nl?UNd~&bpyLf_=;P^XaS(xUNI1qCtpz@exfNt8w@H=2MB`6gbsTJ8n&Nh>BSaFi z!MX=sO=islB?9HCOtv^4&^vEM^jGM*y2rf4A_kMIgAr|Ki4VQvmSaL|r+*K1kr4M` z;a%W+d__%%k!5$^et>n^sLjmEFw%F&WuwRoDFgp)joW9t#}=!EqmxBp1pT1hwSWKj zOWuqPeS?unUaakmYkGi13s(SxwQsS>%orUQVC&&8jqZ1JiCE&`rkXLs0zeTCuOPpf zP0q)~pTC2{5f8-XYmxWD4rGny4DDlGM@Pmfh4-jCMVAivl}8QS+S5&+5bM>UlzkgOCf$L!|zI@3xs#WH16sG3dL9)yW6 zbQv^qCa?a4Ut*L2VlDw+ z%Lz>&ra#yKG4!7%h$vDJhY=@!j_9J9dH1_~>FOj42Ac{?-Zw z5Q&&0d02c(Ipj~m3*}183qGzD&i|eku`G?gz5W4d`%&0d$l4$J2ay^ppl>@a_#NpF ziaLkzU3!+COPmZ2$sB1$gQ%YqyCJyKWS`vU(*}k5?eI!l_g^o4m^bpdiYf>^LdEounaxDLt*kY+MCr3BHJ10@Y>o^o>aqYqPjh2yFiWz`g?AFHfk$4{@)xxL3NHBV?bUl)QM znq;V@9J0f~pC0^8V+#^KP@vGD%i!k)FS?TgNZ#Zr!ZFWhY+{1HcjQ-P|EL8qv6@TI znTEBI<+>B{(nU;>EI$7RYu(;RahJYJ$SYt6Z0+fxaZ0ctxNeXOF8tTpgKsiR$FhTK zrKrcc^4M+t-^keJ??JJCJ$E!IiWA{tTm>91GK8%Rj+rU(K0IVPGFy3#B42FIbzCMY zxiL{-PC_ZFj$%NtHn~uwv539A53yW?q#G*>XhLtg`!6@tu8Cirf+Sl$0Tv(DbL3hA3CBL3z zOMelzV;x*A8scgiCJTeoCk?kC4st+!x@!liYn_0qWNyyW!H7x{4joMjRnQ<6XF7Qb zw>{QjJv2UEFf-4dG&(ur#8;{DTS@hYlYrsQ$r1`w!>8UBZ(Lc6PE`8OxicXn@X$bb z^R)6FuZ2zmmlV;jh37G-$P3<5&ahz!TCU`Veu38nV-vy&p5G^msWD$G$3dD4oje3r z{4rIhV8_4S6N*yg=W^C;#hjQ&&Bw(&7FSs%M3(D}L!RUgRQe$KqapdTq_a>BvbYt2 z$9m)m9EkaV2m$BHltid#l84 z{*&T@YnByfw8?8Hsg&eNLgirCo*$hnd^g<85%2!u#)Y+};L&+C5|TN-51S5@8P==f zOg}U}ljGTXI9ZH#3O53EQG|LHI zr`!IBSy%3fh4v#4o@!xs?IqU_Rw#(fDW6QG=zk&3py16I(lRGvi=C?xON9G(4n4c3^CF;7clQ}M8SA%Ez-Z+{K#s3Klhl;?(!~{@>k5| zhfJ^S+sDx?y3m~8PT#Q#UWkHvE6)E`1id(3cD&v4lZra4o<# zSu0+j{Y!Vtlt-lHX1>y59EncRU{HzEV9ZS-NtlyTUX<-#&|=Y>7{hhkt=HcTQRK?rd$ADprf}!A@8sVrk@{7&B^JQ!S z_T6Q{?Ma3-fj$!3wK<{wU52MJ_>T4ALRx^lQ(?rqoOcrz+Mg7WD`M|+x&ZS?kNDG3 zw!6<`@AJS{w(Fc3k?PRht~_$sK#TF^U7PDrUK6v@-Dfs^`_8ikx39?&`wwsA_MKa$ zyB2)<_CD>=G4-R^f0;2F@}4)!cInD?50fH}g5JeF>6Y$Z?3j=y9G7^%3lHNpc{NrZ zIk#09ITtD2y_JaI-FykK?|ddG-R;Cl&Yyd??M{0Ec2}b`vVr$*i@7}Mc7gK9b?yS} zueiNW-}{#p(J$CBGVx~d-Cyc>1B4Yv^p!@o*z5(xHaDNjcJC+_V6b*x6XylkqaNwD zxIU>F3q}W%y-#;$1RVJonSH02@(A6vJEa~Dmc7rr4i1?Sbi$znfLPk4Rx{$}T;70k z-n_Snp_BKq?Nuk;rhM0o<}sRgv-!_<+3tB4ZywK2%rmhgX;K&a(D0zBme3njH8u%( zQnLcZksrFGoL}ra*UEMUx4XBMMn-5bySZv1M&j?Xdw4|ywMfl|2L)c4FU*l-}d=$awfDRuz=r%ics{5k5&B@n8X2g;dU$0&V;oxTet^@L8+RXJyT9w0zkuS#lztPfgSlwFb zrZrjVG>K!Nu#^85lI;sVehE)o&uZLKI^1IvsE{qTK_c5hn9z*&SLaohQQuM(QJGo! zSmk@qjfJ1PvEA>|vno2eMtfXNZz`-ZGbAsvlkc*zLPx1);*>ARiuV~kwVUtc*ep_U zagF+w7gB+KZ024m=_5J2rPL(U9LbhI4;+Yu5%|jLB9MwNHL)87SO3CRRaxHihbu7f zvto_(nG)u9-ue24hgcqvOv+k7AP(}VCaV}nrTr@nC>vMZ6HQ3=EzQLu3sZsVGAc7& z3#*y|<(eww^OUYnwkGppuHDOxeG8)Mh7g09ZN6(c55-!sZD=jV@1tRwAerlrL>T$t%#re*wiqkMFU2! zOS&58_z3FnF-Y>g!Z9c&zSGz+Iz`@sZ&F??h<(gm*N3&Zpecc~D($bZnoTmQ6vnj2fV~2v`ly zGXjI(BZ0ty;75uMAL5e|#E@DmfCRkQh^hf1Zd@T9Zh-7(mQZk7q0fZIt_F)(g927( z@F(e9Af7LmBc@{35|Bgc93EgGE!yAsukpUMzS^=j{D6yyfVVcRDgDDVh;`LTSMhXzN0%g`LU1 zdC%V%43^u)&J&EKFaec0Ly4dN(8hfK>4lZ-fB3fHSu$w-!*KHlJ7TbyL@Ji7rfQ6d6w2p>fa|D0h?ewnEBCb^RK{$OYK_a19EXp< z1pr)wPx&qkVKkXgE+!LlRN@5=JDDqSt4LDMP;E2w*K&F zjn~^!yXE&L#%2zMngTRxef02wLm^2Ihn>oFFTavAEZDRzt+%WoUf=W$R+{=mIE&Q#3piMj0-0Rs-)p zzS9mkjCpbb?Atz$1v5gcOkQSe@@lt7#&hxf!wdgAnpw_W4V8=={!xmj952{5^bHkW z@HnE%?eY`P-Tp&(HA_lWlrE*HC4g`IT0TkLWqA!i-c;$gGh!3|v^heEYf_}@iy%Lt zoaWCb+{6`JY zhu?OeD`JSmoQpk0rFsoFf5sm7()t6x!8yZ)?_txwr&$APF(1;!|K=X&ftP;J9M?tH z9TP73ufn}lx@ispaVZ{s?ESDdoW;(7NOGfy+#lp1|2T!^U7{VVpX|rx+^MVF*^z1d zvBB6 z=KD@a1){_>s}|5fWC{TeG%+aaLlU1N^w&%@zLJ`60iQ+(+z_ygVG}7=lJwnAUCdRN z#8*4)LsE*oy5y^lr)B?j1+xuH!wl@_|A6^FEBLV^d0G{N0R?j4ru1|F3$!9%nr-(T(jp|-dG;8B>WD@H)xHuh zx56%HgYWBPuog%L&>Onli&*eq9^G&a^*aZ%T1CM}(-|~zSz|c9VzfQissEt$3grsW z)^A6o5%3DUA4xoxfffBM*l^`|V2Mo+_&^HA5t{-a1;}}<0k@Uik?!*^)Kh7$b(~9! zR+CUEft|ub;eQr_p$KU;TZ8hUq8g#7FaDXRfyn#{)2`ft6~XS<11IdrSszt4opF#* zXT=t(G@I3w;6g~S8-YihA=}jVKbsn3GLl<~>Hi&2imak!ayylG2>*PUn~xvWCk$i^ zAkH^rmSm+=E&PIBqYcu@vsA4G$~z#mqBA!4hlvD_La=8&tj4}oB4kh9#)}H6q5|bT zi(9*O^gh_d_DpJlnimvYQb1^cKfJp~H%%-@(eN{*kUo=PYjQ&)7GCa2Ld>nxGR;YegG15gZaa%&M1Cx|1ER_=7U^nCW|Mco9|RuO~=r z1>FFzRz+r!ErqvP^eh``YRB(~}U6>5rNnFCc&2I)1iNzT7C!4$!w zQT$pEo=Pg2VTUR6*XVJoG5}`%>R=V|V5dSs%Ie+4w#phrqYUZDW3EgiC$t_a>|KLM z?PT4P3FkK=EL&Wy%xB@rDIxPaLFlpk6GhSrBmsUJ7<-wzXKIa^*5E%v>JvYEc#=IC z(17Un_A7~jGk)F?k;wfX!!bZU+uj1PTnTvpvvFLu*u6_ug}e*V+SLGUy_sff-|q_& zkM7etef1252W^VxZ|dV}xIaKs4qplas^@MF9Ha2z?-Tw*c=q5my~hD;i!AXA?CW2;aXsDr@9yn~I+eupmPF7!*3Mg^YyZnNIMZ_&W2H?V}x>nJt1K6iqc3x_6u($8FMJRSS_ zDI7uS>(BgOF1{=IPel=>*I%}P0a=)S8CYh*}(}`eNN4f6lbzy5wR8QpPF@~b?w-i zG`VQLwy!wn!M&*$ChBPRB+W*GMu3y6k50>5&P@KEUp8NNf1iCy5?pwo5t&fGlydY# z2CQs!P0uE?Ib`M=Pvsas4XzZQ1;F!FqG5C6%2le(n`Je7szv^?x|Z|4h%PZ%D4RSDDD&~?(Wc*LW?^T_fjOd1TQUGq&P)Ok)pvR5TLj_ z1PDQk1_Bfa4mZE=&fJ;%M=~ep?7Q;po=hh1*=IYo3>wY+G^_W_E&OT{yN=p?#wN)E z*zLueO?AOjhP$m!xV6udC_Q-21^lgK7s|nV72Xm*HLYADu52Xdr5_G>=L6e+Pek1F zEdHk2TDeTb%`hFcvB}S*R3p(2-}beC`}_k-f>1+eOeCI38TcT#$a^9>o(I#G)cq6I zZLI0m1{KatF7*9;;Lp$a8$~ZGCNLd;J5GgDyS~PT=sz3{lkZ=fi}&-pIQo9<<9|lD z7`W{sOm*d?wE23mG7?t|>-}3Pc9U_JH95ReoMH;>Xt+@)rIP(Cwk=Tz#-J3&1l^s3 zJ)!;wx@2|AGOzE)3&=VFY4!=P8k5)1ywMt2tFu?CpF;A;tjc9u3Ns|Z-cLQg^r^tx zj(9X|>VIMcLGz%EUVz9~SnmI-WbuDqhzT5gr?4XWg9#vNBvI{4O0}Nm=@Z_N*!YS) zH1)O#F=493+o%zXVwKzN5xD{K=ITCej>8F`-92#~`P8nU4_jSy;wXG(5l|!b{EONO z>DOdu{{5(2QMW}it(dVV?zktBWhN?;4Hg47UhZ$4ZI-|8VyhxpGlMZR$JFgaQ{Ufc z2CP2YXq&BY*3Bfd?ps&F@Ljj{9O$CZBJspyo5;2I9&$0PG53AD(dHmr()e{={e&-A zl;0lqWw-f~LxYU@8ATdm(_aX{iWkKFOgztyV*lV|)+fanv3r9U-AxL?~f!_%UQ8KV0oHm()85-GeW z^8vSmD=*~A{st1w<;BOJtUzAKfp~`EPZ(Z^WK^DXASU_++EgX-@@v z&T3?-oi+mDUw26&H=Q=xx}HpeZU-v@IOGO%=KLc!pB|s<2Gp=>MXqCsbP-NA*uts^ zC%3ClR?v_vecgo>w&qG~1ePGprz|f>^Ig2$5|e2NCL>5%t4ob7OC)1AuU`kagZ?vf zwD~HOD&PB&=Hq*=xC%aohv%jC3Y%WxuGie;_55qKoB8{tl!ChA#|CBCi|V$Hyw`@@vEmGvAk%PL+r|pWDDF_L~`(V7$+F-n}DUV|R zHuj5aD8cbGwc9Ykr*(%&zNlL)(A`PxiDD~n0A9{NxjMRM-x`d94D)B_qAhm{8L_Ge zmrBaNxoFFvpR*mY2wW8y@s`tzOEib4?aqDQ&z8Y08+_WTl~z?Q*8-{jT2}72eN9R` zY=W@$>~$MC>)@Vr&Pv|$HR&+;!(5i+?8zDNuf^uH=9&h3oO$n!R5Sb-2me733wa>Lzzwt1ylMiOA?HrKDbh@?8MwWZ5LG~R{;Lk0b+S=!bLK2=7zge zD(7UzY`_p0{Te~Z400RsCcRc-1+D4js|VX8W+d{l#hS4m2c(fLV}t|Jb{9Ncrb^`$ zHRIdIW91C$65=ao3F>=eJMAk^(Sv&vj~A2ZojUXbFsR2)E6T(<(Hb@j_(*4PRXZ40paC&D z$YZ&gS4oeb=|Wv(ZULX!IPm+R@KSqp@NI0Ph}ZREUS&=f7~BM(PmnKF;F)l1Gd6As z4~R9+moSs;Qy%_6EM-(!OSp8W{Km!dchPOtK9I#udd7O3JCGccFkJ z1uTL+r^oAnuE@&4(oTN!^Le{+hO^DfW_LgpQv;`&q$e$P=IueGn%CeWe-76(Qku;b(Hj(<%~S#0g)}1T?$Pm3kyJ88?;> zvp+99WfM4*BK{FiaF6lKs#GBaBdXl6^^gfs1%ZKwdl&f4-QYt@%1pjC zipqpkU=lOQ+(zGzPiPa`2T3Iao885t)XdYZPv(=or8pC{%WkK%a*d|_lf?C08V~ z(11?OoF5(@c9wGIxQ{ns-Ckn$l3@E=tB`9%1ZXlutz$De;BQ6mj2oN}?$uU9XjhrO z#q`4fVg}yOiR)Z0{OeVZ-jDE?%y7RFyF~PWOX!iZm}H;7?jd^q-GgffJR?Ia7WLIz z+$>B=bW&hFeW|`S08?;+KcZ(j{0)7s)WTOttxxJ@hWqyFOhQgiLcpi}(qrgXU$yGR z+gihX=+~n+7AvOUC1bQiQ;FG+UsuwI9wZ-JX|BJ2#;xO9jPXUjuT40@tHFo2JjUMy zJS@5Jzcmbqo`cC|pVwE|zG3eB3j!JL*XboW9qt5~n+r45wGc}*e(y>jMrPa!NCCIa zLP`-*$7q>apRJmcVlZP$-;1=g9?rrtPsfx?i)H%ZAD;D|FEDFWCIVFYQ)4ZmUoH6H zM6!nnn|((?M9+yo!0&6kBtQJ`(u^ikhC4$>I^5a4!3SHP8VyZ~q(~QR<_OGd-T|8# zS1c4H>o~N?vEk#ldyJv-SJU8Il@fm)!N89Bku-_9I z?g5On=2S|f?AEq_Xd{rB1Q}YMB=veNJ~{JWG9z~fX$j;w!-cFLvx9m@M+_6urhAuE z^{DLRL4>@1U<>M~b@d+t1*YJOY}XVY9U<%AKS6}va}9WA=sM^WAUaG=C5&Tw^^=Gj zZy`?=B6^eCw4OLTsICJcH6$eMzM3qC%h!F<%f?kUGTd`!cKqZzAk99#ugSrAeDG3qS-oY>a0l))Fh1ebq*pC_s~HTmSe&2X zmuvCy{zbu|_yJrEpBaZZ@B58Tt8ngL#FoIcW|rJ`M`Wl8T@f-1qVRVZD(yaX*lfx-kyBgCB?8 zUcBzQuU6UUy4HC-?Fv4HJt7}YZ;w|bnY$2k@aR)NKtPWhcAkA%?i}OK(>L&l9x-Oj zq$<9})fwaF@h7Z;+~TqCt70~Es(_+ET_Hz}go zEZQF8b~OP%UWoEX3ZR-ca-e2yRm5E$m)9pi#7f@fvFq@J4c35nog`ej7ABA^xQ_Ii z`6~o%+W3on&%DA|V7H%f=&*&f_X_8H(+yW{l(i8i{*vv|D~U`jp5Ht0YPMfPo27o1 zYxwT7ORsN>eUcAQ6tb&;*&ETON!5nAHw=xSf5R2+7icY+wF0rEik3vr9{++IT74nJ z%D?mo``XS{x=!H%2#`lbrM4$!LN>g4T+4_%b^jd8!iKoqy z9fvC+Km8eDM{I3DtsegVdz&0>K{BoFO_D1X0V5)?u&rZ`Jatht&*d{0JeK2k8`m3G z$d$ZzY7Jw=Myh>Llf2T+36*Vb|4Qd+YdG>^?T^0bj*qs^D7m;}XGTc2c>6C&Eh$B4 z6Z_mRRXb`&_+fn7SDp0iJPZn?kqg(N(1ly0 z@2{4eIhO>L|5;5W%wDb|AM)F=*Caj&9*p&5*$#4UefkXA(D#MU{DEuQLwmT?>AiDX z;E5p?GgyV@rfY@RJyP0N-`OMdrV{gtVJTsz^4JBR$G@Ap`yFB|V_b$Cx;Yle&!)4E zI?Tf=00&k4!nM8gr!02H$ovK@e@S#|S!yO*WqJ4Rs659Sc}L)h_crtX?Nv7dldbJ; z=*x~<$jzmSV}XRQ-3q{RT{O$8`948iHu=N2^=&?2FPOF>}U-6T;P%4 zVW#1lO_AE`-C9^(q&KHrwy9-Xdzsd45Byd&rBAbEg;8p52l8h^nvtxHYIyeF?Eqx;(X&;Y`iLK|R*gcKS1dfvh>jL9y~z15G|&lFB~7ZkzIGj4oj`xtkUMh` z^w$M;C5w8)Wmu)j7Mc&tyoWAq2elsC6WUWHakOBI?zs4A-1WqhZS7&r2e*{|=qm6N zjT$suJ1_cGb~phaolnf;blF92lKUN!w*~F5$SZCmVWogS-vyT1f|gokt2t(RD**J8 zNUgF@1lz-KSbBSW^&bH%Se>YAIJH=RlN6k7;ONNr{o^p4(;n|bfYwjBQWYN~3OXSG*9jWDm*YHkKN-<*`{oBm=8ig~>Ew+cD!KhF2K zL{k%-;@6^>6+_Rq21&|LV3;%z0Fvyz_H3Wo5ztA}4$CH2b&6Gco@ng%N>ci+S&Y8` zhWN`=)t|SFaB~4E@@bw`meiiE?*%-|o-Kby@{J)xss$=bxY}IWlp7S3hpkis(B$1k zfkXU*7?T$hMQZ}F*Ozt|K}WuFtEbJ7XK|JQuA3%EsP;5eJxG2<-zPXOvM5RHgHbfe zEqR=RS>;!0fF?ch%%aZ7bP3p1 z^UU9D_MoE$dRgr}M+D6+xv# zG9F{DV{DxxLR-8@v)N#U=F+F*$}IXA(HEWKN3W*OdKVhMBvBb3aEihZ^v#3c_l|tK znT);-WO+E_i+h3xB5tuiN8b9!Dd?BXSV$5r9hSxbe%U1)d<5;(|lgaJO59hmTJgqoQIzas6pa4GYqjGRdj4N3x2WkIw%D1-D?e%@aB!5 z5Ct^SW8(BGgYO_qKk&>y1_MC)4o~uNi&_PME+FHB%%Pp9KSWhq55P@O{`(8WOgBHP zZQXKi%q*0LOrxo$dzHQniFrJC$_sv-Hx ztpZ2Bl`-wx4t78AKJ2~4*iCRAig2cBK>=mmG}@b?NeRwsyEelib|26a?BE#!eNt{3 zE?ytr3d~ALlw$V9b_x@3@K|vH_bKk@Eb)yFDIm#3yM!vn1k{b-Viq35o%GR#SIM4P5Cq-?-8~y<1OqfGK`=!0vrt%8a}%06{4Tx7 zN}_Zr4d0LI6zcH4v}D@-=pw@>i*zcY*q|w3P?Jk?;t8Gj`7tM^^tF`*ObAQ`WP-eK|tBzMtT88@g8z_BT6>LB?ZCm+i83a?ayERYn8(Ni?dpWF5# z6Yp|yt%EGY^A?Lkmo5Ftgi8P#`d$YVdHTUC)=(J2cP(o`_t}S5vmb+7n9@JB=x6KQ z(CN{d#vYx`efeild9U;5UbaAZV-X(|1#}34WBwG{N7ogc3+&rb`Xt>Yi&0uN$*~Qf zvBh4QQwo6~4}I-E1bzgmuVVVQ3%hl|v+%Cy(-)7REeXzZ-LpUb&RBx9B}?bs?0(ea zbR|-r?;c%n($6Z)TXyOAfxP0PAe`B1q`FWtZ&AY2!>&}e9$ z&0{nm>}u!u@nLH%_}b>?pJdn`JA5_uye4Au>N#IT-FK#UGt$DJm13w>BDn_w%O>cq z)@9xSWZxarU3tCSkCAASoN><9e&;gz1TojWBKHp5zf|l(;FX79XZ$vepW?Ij4lIEu z)$3`)C?!+9vTG0j`pZf(C&-sAg7Yw?to*`9u_>{tz$1Ko+}4}!oGE_%m>Ue|1|Eqb z9Ln}?VzCs$sQ?x}fu^pj+&69U6aBpC;!@<382m+9xEEK$S-iKdWY9h7t*5tKtUT>j zws&daQ!EZtWTFTW`)uK(=aY<)0ye|#2-KRs?_9hq+*64)!~O<^5#7)qC!Ck#JGu=(rAt%Ip!n6d{sC4Y4S`2F+%M?Pa3tBWBf67Aq*5yN$|KogfoyE38pDU}xA_*KQ)K?*sHRU9Uu*ip4*Vmd zwXuZW`DdEXps5o%Ld{1PRu!vTJ#Teh&Iq|CeV^`1cC%u~wZyz4m^XDu*`BC0E33AJ z)bW_G7r`m+NoMKBTHRbO({-HaVS3GV?squF2_l7O2&JJ$G}TaZR1q$juI^00`1exW zIoXTRBS+xMOs>=o|)`CimA#VvzzRgI+pP#=Fc zJ<4z(xAl#F>@nfnfyky|O=tXJRcKPnZ=sCiNtD(=s19Y(ibg`0KEN;}F zDs}wEtj+oz^t8KkzC`go#%3qpdNW}Q6zDz13P$xm9dX;jS3h13F;E-Uc8n4DsMNcK-7iStc%^6x_BvYe_o|Nsf_Z@?99aIE5T!Fp;MA4 z>9xu%ZHKDQ{$X_VG{dGzr0XuDM4|Mslo%+2h{OM_{?Y^#2xx+CXMAvg9XQl++UM8U zf^Utep%M_jl^+T(qCYm3#xl8|*P8hnFVesJ_QqdweHwyOO9%cH*}&$qv)aEE_p#Vv zow)F-bO3^r9C+8B179s^QO#s}QJ_5WEy4n*Onq6q$@_xU)oiwbhaZl%2EkdMu(+xE zLoTR3d+OSKilXcG_`aAbzBRhVmLg;{quU|(*Ae%iKkL;_nFWte0WtRzI-bpdV{wen zcCoL&oX1){AtlRn3*W8q)o~J}*TL5iN#JPc^1Z(gs?&{{rZV>c2hMJ^0M!BFS zz|@@?2ZoFk?jH$x4pd+zrjG68(Yq^pt;^>anUqM5aG=k=D#Ibx7cKe$ODs~i zO3YT$Hc5cYCk(U9&hkIzP*D0Wb*rGK;RHbp1%*zcPXxr~)@dP{Vbz!#Wdia_og0q6 zL57PB>R|$yF`Bj@EjM66tGMGq*GytZu=$UP?2vxpfX`|{YbDfGmzmTU&73DLS`yXeIL;YYh9l+_5-8#l_EyvDKdx9TC?|EB)_L+Aa1rZm@W*-sU zdYS%MRmXs+lW2)x2-4%=B_W7O4Ezc zsV4-`8n|W;es>8Fp4HiOko4|qp%TMFOVO$lV~eIS_~Sgb`B@Ni>Pe?|Pvk3C&1QxH zp!n2|1hA*P^5>7Li3$bt zfDP%GE1I-QhxD_U;)x>R_Owd*{pW{s0NWc6j_YjAEpiy%8pbz&j9@2800PM-t7n>;=_2uK&;Y{qHgn zl$Xj^Q?eK2wFLZ00+A;^j6K_LVmHIn&Z}1VR?||zaugcTJ=4s ztlw3>x|VwqhMY70Qy|k|!o{ffq6T8BhZ1|uK=~(N4fNJvFNnQc)NR^7^0k8bu-h99 z7ObvXl3wZ$S`n!rn2_8l_o4%H6v(V4Ut%Rg#M54;x5LH zIjUvX{a%7-(m_Hh93v#&iUD5y#|K*}ggL4!151g95UaKPOtXav<3$2mCD&Gy8K|+g z3ir$D-hvr_FKbXsD038Zi%oz8vj80EG3!u_IG?VjmeW35n6*aA5EWdc0HQW_fxN#b zZ-s(EOqj*{hA8*@?249zipijsP6g&DCpjhzyTxj1dP6{gFp(mI2E;NOyjTvrc7Ov< z<0>jMKi{~tC|G5ITXin1=Blav4MIAO7bcJCqNt%E`vG#)${}oqg2#Icnbl^3tIG3B z)ky$<1g;xGqJk+*xy3Rdxpdb6V)+{!Bu>Pfc<9f#@-XOOC@saWvmj_<{JbRiJWMV5_?a0aW61Inp2{y2`g&T(HryFhR1$qXuIux zi0yJS!Dnk=f8yRuJDN_vQcFR!*Iz1jp$7Lq%>>b^1E>uFoNM45o2y2`gMR&re7}lS zbjRgwbLUKJ?uH*tK5xt-qt>epyW&xyjvNsDX3*v;WwQ4~r9oM1yfK^WR0@2-WSZT3 zqT0e_!1!NtWw}41OyD;(Q6t2*#q#JgaAVm+eLg}83x6Nfds0Et+v3!Fvhq46fbMHP zIhN+dO5*^+g!1uDg2-yAdRMqtP{{y$+VADX{u{dE3!4C?A2_7~YTq%8IHEU3u?^R7 zE?NiA2V6|Y&Hp^TQtkUv^$Qr{>l!zTWRBW^FI40A;lH9kseYcxR%a&I_NCJw@rUl^ z@~S5dlMUgcVFCEUyh6PqaZ;z*=4t>ytY<6Gd!pd>83^tfNhpuctGKkeDo;3E*rJLc zHjmzDC^ZT3Vu)g9h5k+XiYS4;Ql>Z|#3{cCK3X6-T-dEPOCmLtf?+67${1E*cCQep_2lUY!&W;Sj z_xZ%VNuMJLmGX)Ykgw*q;X=WpV(A^^bJ3mnA>?!DNE*DiQ;2QeMCnqODllt=;LWk> zug#xZ-J#+YA9if8;by5PR_=^JQ@Mz}+vz&h#ckGr#5EQr(2d|adEQ&m+&3he(T$CKUxq}%QViPY zs(BlNvY1002KoQMmuRJ2C{wkOZ$}aDofIP8MN}HUT;;QRf>1wRW;@uF=*kbF<}3@G z%5s4!^k)hBF#bG0FvDM_2JC|`Y$q&CK-GQB{bdfZtS}LM6DvtDl9xXq2->NT9YT^w zY(CKmGJ{%*r;~t@?t3>9x9&{)K-eDG8WviH2+6)vxV0=TUDj9w1E(%Vs&l1a#x{^~ zVOJjukDuYeOHH7%C4YC`JY{J0n$y~80cY;BiO%@?nU-hVLqB|8L)FE+FY)ugds2bz zWh68rs?c7rYax43y>k&lJ)0;;fT8wTD`bFZa@W@J-CCP!??djM&Y+#;um7mm$IaJc9n$nz+r5@1L>E(<5 z4I0yVUhRDWZaK1$tjQUTW3C{B=#2}Kx%u0GZ2;I7xr-w7pH(QDWkw!e5O(`_#exahE38^v(r#U6@IyeFLR>>@uZkVPGJ zc)Igq%k3|=XENSuHUdET0j5X?3}sv_1jfNnyW>@=quU0~e4T>%I`o3b!aNyNQshOZ zh!S{WzJ)VC;K*=L!3s@$))sU>UAGIbZDG7c6)rzsGX~v?%A#!eCDEUY?3k63mmO;I zi|+pw*{!vjqQp$X%Qi?(EqTweA zQc$o6_HU`}T1)4AVs?F{(plYhRC95VRe6aqEbvc8y5rN1JOiQ3q)<}QoZg#1QSza2 zganh|piNqM=4+(gMY-2FQ>P&&dumWG)AtIT%pETS%rD3J0p>{*x!I0^?u$X*Zi~B> zj$KLfNf}SUsZM1TA0>{7gX}Bi>WMJqj&@vnEidYB#-i@iOj5I#jFu`f{3@~5SLRYZ zL#AJBReZD_9DfeL($yrhcCX;wn(Ofl32f?v4IbdG%Zy@>Qx^t3QYKaDX(Dlxvwm*S5r$T~!GSYB46>-0$|v<-Ms%CXwirJ47xJ8!7 z9CG)qJzPN_Iqy&XyS8-hAITp{01vlon2XPt{|zdB1w8C;JwDjn?RMR5KRPLdUfwH) z$Ynl#Ktylc9*5rSz>Y)j){Y-suW||$9!|8;Pw0$WDV!_bjwdlcBBK8#tv#NQKTtjl zhuyP0{+r#O91VNezuh8151=r%>UbFLl?=N-g(-$f5m5Fb6w4nK6@xFg9xrCmQf0HT3wXX}ig71{OuE(7o(?CpNBT%cZ2ks*n zbLS)CQgI#daB&HcyFTu^&0!9^*(i8CpH+PHkPEp>2R-a0OiJD%lI~tJ3mzZ5<|1K6 z&Xa`R+l>w~BSZH9tJkmBuBrqd1_0aJ!ITfXllO=){~JWu3x0Nvv#>KcS9m`nbYQS9Bwv!r=uZkX; zG!TZ2Ex3=mqCPl^8mJZ{fH7qb&6PZFr(_*PKWzbH7M{fqyJiCQ9bNbu#Jkd$cHp*N z349INzs9KM9EG{t`|Up%ov6!EbP%fK1)AZZbTCEaj3;;9Bue3FODCUHc~bWu=g+Iq zu8zgsnmI_=8@8k`xe7LXmmLtU$qsCK*=)2Y{4_V1r{Nt?Kp*l-_@sGex*akmffAuN zZ0|m~5-x0kj8Qd<&}V`#MCh*$EFEQ0uUn<$c^kI%v-#yRmJZ9u-cBE+isWz@{0)uC zFzP*0&lG{>4YH5A;$#u(5Q&doMe3jPHOyN&V#{YoeDOULoN)=3wi=Kj-)tCLK#7>a zMqI08rE4rjf9*R+kcz*{e5zLmvc5qGxC9-V{hZL;x%*l5q<0ZzF@4UQpapaO@t4d8ZUH-gkQdDz^Dqg{__pc> zxdXRNJr6sJvM32^qbz-r>*|mT4%dvV9r?LMYBgm%aW6w zND=jlC1pQKIAh5&-Zn{#sw(!Wq{fi}MLTq0$VK;%pOes&$?kfrXtDg|%T-efS;4qu zW4AF&^3hFdiheC|;nONE#{!D}WjozN19I{Lo;b*)tKJG_e}0vZD=5yk>_^rWu1cN0 zrpDdp|8y)5k8JwtR$0W`qNay?j82QVGA5U4MtUjYZA+;8X?lAXy+EVCc4@wiJYT8vR^r0%abWC(2IjcfAOb(PFH6c_Gb;$P*8 zyY?ze*w>=crdEl8a7D3A6q&RO#(9b{1%6hk8xf{r53V^i9O%yPYr#gxsBrBibx#RbM&gew{a;TYTsvrZym0!8r?A#O+wpblUS+L}yqR-0 zV7673@_g%1Cm5$wrCnkd$6=AcSEJAOgzlo0t9iaJ*Owz^uqX>?L4l~?qUy(}G}-Q& z>%*y^@}cNIbklWRMn}jSXP7V)#G9Z@0dukK!Mgs0lljW|n+$b-+@wA(ONcIo%MLmz z!~UG{BSj{mdKA3=mZ_36S?Zb^D^&eGmK0}`akgl(=?@g6NVHs;3K<&h;oJZ6G@(*= zVnQ*BZq{yokzq+reyLLDG?Ud{WRg%)3fvOsHle_#pvkA|Kh#4@egZ&Vg2aXY6fFV; z;v%|rm8}XW`vojh|8d3jqh~4J3U=MBb)p@9t$g_4uSd~-|E~8^G5GFec=ECUx8e5l zlJ4yF^H}w4H9DB03pit4i7O#A)*(g&GQIE!q;5oitnxPG6VL#w(8e8V<_vM@HUY zQ#28$HT`tQcDQgaJhZ(BGIIS&xUNFtjU0|f)T0)ZOzr-aTokm!tCq6{%}SK`E$~Lf z_6*Gdcq6DIz9aWSqK{jCg=30DY5O@5A_Q~)DkJJW+D$L|m9im3X>fp1HBZM%)s21O z*eY?_1`@s6e(eBA$3>4;;7aWHSBZAiCH*x?S%io`QCd?OwnIHhnx-)zI|pr?7TclQ zs@n~wv;<`0!p>_WN^|(}7j?9MiS6)UQ&^RU227=RK>*tUxvfM?dMBaN95lL!P%?F# zc2&R|nS(2tx}c%Ux#yM2+*Mj)XFjxIMu!z2QGa)g#^hm=mW%gs0NY`s`Qyt+JK{8p zILF5*Y=@(J^vko5uK<7KuY!(a)44A_7fiBoWw}dB8*gM{L9pv%;n;mU6BpsFQg(>2 zj>OXteX&urPdkoCx3yXJT z;HnhFA2IhCnukV+EM%qrPZK&?h33i+s8&UB9mmUv`pxYvva{st@vN=>tw}13>u>s*rkZ@#o7^i%FD|2T0zP<%d;;0n8$(O>H$sJ*~ z^2{|OCt}=;V`(;GTr%DMGADVcdssd!F{LVGqPsLiy7sy`r7BRjfXMZq-8W+n)1V^u zptbIu0Lj|xdIprewf-ux>oSmWvC-mPDHEQA;Cqoa5?8-4j@wVx(_!6g%QnjWqBa)!Y?wv!2A%m2~350|7SrvQ3WV$^R zn00d(F>d>}l)e3#Yeg;Z@BlS-j+b!?{F&?XB|4#4d&&UpRsDvm0$7BmItQ))CtcPd z^nU$2M`k>UGhb_O{gjOpjS%YwbX1AA-7gi+G&r)?KG`^4nugk0r|KJKysy_(+_5Ba z{fC;MNNx&NtbK|ySZ;_IU&?S*bh4i9pjqr7OU~9*LUl%H9t^&rPF~u@svkAjZZK3c z<9B7QpeV?#2!`^opT^_Z7u0-}*DzEdOK!XUusBJP3=uO14x&YWj#v`T7Kj^OyZ+Ye zK#M1ZADZ$XIz1T@xDo!}y3C*NPfNo!6mlwpB@C{MsZa|B99I?X^cD7uBJlz&XF1^- zp542t`srr;ReDN}B==dZ*%egwcplK@)I?TwR5=q`M#2r2pp=>kzbJ( zlEhK-b;3evKvONZ!d0GcL-<_JMEm|jV|)3CpN3&Rj(v;-306G}9j1`sk_6^bFjaDs zUB%2Q!H~!67W7#u!$8X9^yU2jWOG9K%2<%4JZ-i&?iK%r>o=p(60el}x~i_)!Vim> z$&a5WYYP4^ysWD);bRH}Lo2C2-wS87m%k6os|W@^-RJuch}%*g(YE1V;fEpy-1&q< zmS{e*r$Yj-(>F(Tt~Y;w)m1|IKbjKWk{A)*YJXqsI_p^^gr1@l zpr?24(9@PTwvshlI|nkzV~fGaN3t48evhhh0?FtN@A_EI+S6` zZ%u4hg?a|HCf;(^Y*EBsqu-C9evFFoeeULi+X-kbWDi|Yh=2eOM!=&D+Sf2K=;^=E z?~6dT=kbsGG7pa#h^ye%#LC^8tplFtvTcdStaa#XgpWVbUW3+U@>l&BCm&1EYXA5K zw^GL5gV7F5_%fJiTUrddbdbeTk}K}ZSmw7UwpdO2%5{zU-p#Vs!F@aKZfdrqe_ODl zdVGU#3Xn4HYezBy57_h|` z_}#Xs0#_vG-ZzGsj!`%yr;Ylf4gqX zFlRu`#9!PnN18x-9FgMxpzxXG^QNeL45Q1 zJ!kL5wEpg>FLP4a!b@iQQ%gsM*}^yTUi9FH_kKQBsCp6f_DjEgE`2sxEFQKs85Ea` z%fl@Is|eTB(!=x*#t9ww3)AF|6WtGV0WPGs)tt?5JE$-zu!sHE-;PxfRvHd*tP?+Z zsbn3{GYa-%lMH>Ch(qJH$C^U$geVj{++bHcK6VD5W$2Ihej~rzhL%mndyju@G*n|> z-g2K~fA}9+x^x*%z?ZNe+`_oyr1rd>L0%bZ7O0`4NaR zB&srvvt~Y@?9X9bG|rq{QUKRHDrBP-I59EQZRFkmQeo)x+P7V?P4Y(8=en-z%vcU{ zL$!`ftwoR~3XgT8VdGxocs6$ABUW!iA#X@D-0E zvVr&8clnWrorUjJuMC1;w01}$gQTT2~}(ubm|VlOxS#j|HYCKsGgK}PGo>BflsI0SXHLEkX?R+j_&qtMSKc}A5#WqqnkE7X<-)~Jt z^tWdyxl@^mbeq^6`Q?3rx|n?7>G)ROK;JC7ZGIwXTUK(v^bP&QV1()idx~oA{4ue7 zNzo$t!WYljuxX?si(m6kXp~{C-#k%HSpq+?i?E9j*8|C|0Ak{pBKI$TpT#ZocNFncP{;u+!1TiHO$0lph)?r%7j{cIzs|XeDe#T?o zdPXd&A;qEJTR=<63%bugNO3*&Jqbi-ztKsHWnaYjL^nj~Y_)FrV?MmGKU>ml^-r67 z*Ov9FS;}`Ned%hDH`)H1I|u)K_`HEom_@a}nTaDC(JuOSk;x*%7RneoB4O;>q0m6}aUc(o?f z5-4FAy;ElTW%bvB*j=4Lcyh*v89kB@anM3pewB}?xsHX1@i9JU2$A*cfwEB(-xh`f z&!41v%ilaoPdhzb^)>MTufC@Plb*biSzpme7YdiNb?+yu^Sl^>dXcd_eV%FhmKZOY zZZHP)Bt)t>7|L zPR8=?fIXSy4>57(n@r`mY{_pKtI6=i!=rDW|BoJBlDJq}?;plLVGaEO4mreWh2-bNX0Z=!;O zjm?37XP2EqWc5PFnQG{ijVyFbquWHBE41W^8Z}{fg(GT4xE+2>&M6$}_#GMPKqu?RCiiV(@+zF4n4TmE z0`sc)ibtBfr`y$+Py@W5@=GDa@8E1hVo%ck!~@W_;p$MW zkW7^y*L3RX7Zq>r;TZFgMZWq*6ay$Oy#mGemGo%hJv)<_V+eO)C>a|80CZTEHDM2xr;y-%=CL*rThgsJdDa)^8Wq*9QJpFZr#{S}ZN?TB^8N zSbDWtmFF4Hm6Dq21rgHk79L^k{XI6Iq24G(EY4ajD9ZBDezE8v7%zb3uC#(Vnt=PM zKOl`a&{>Xx{_Ae$*KH|%049y00l)ywi*6TqVf&Jx?T;?KwI!Bc9=4v4B`G(pp6wr5 zfQ)WX(xf?>vPx?&5}%#UKaPtf(0*-BiOa-meO-U2hxxCzoZy{DM<|A_j(lO3ur7mG zncS9pHA|V%Ju0c|_8=m+vxy8L8N!x9vQ=#en3-;9b0+mj7O>SV!z46+t|_wm4I)lI zY{tBlRHoq7hWbAHB%ksapYO93rw|)X@v16PG|Akl25czkk)isdab5I~&4n|euc2?t zj+6E&IY1~v<$G+t%N>6gu7*S}2K>AU#I~t5@T~hh^jFS8bsqC=Y&LMkCyO!4~wJmpWnD0J2wO@*K za(MK7U&pvHMXXLh9!Tl`u=S16ku`0!9ox2T+nIRc$;8&gwry*oP9~nPW80qC#!PJE z_V?aD_s3nmdacrVYS&YB&Z<+VYHvexK6_Q~OKPg;ph_|iswZN_OX`v8ROT<6M@&`! z!c~0v?;%&9+dNZR!nIpoEBVR{i*LKpnWAyhWak4C@OeDSwmPeV_5^*=8HP~PYa1IO zWZ{a&p3rMNQ!4Yc4;h=t=i&j+n8s*ws7_U$DQx>V=`=^{*N7e|%l4g;RLkJC)Qx1u z6`UEWx_(PO$q~48%OyYTn$?}<>eRTTam-0X>yU-w7q6kHm2spI&_9f<^nIL;&C&7e zn0EIRjC`I)lf!PbSnW(Jet!43?`a6K6`s&vR!5k64Ve&jyVPohhMA~GnCAY-H$Rl7 zG6Tw&(z|eoSvzC7BU?RF7VUm4AT?UE$#g9-kUU-^b|^n}rf6b($MOS|yFdd+ng;(t zF7@U>liEzQx`?f9L^vFF=)~6zZe1ZETeHEdry@c2m&WEg!csw6j1(8sZJrA8qS>rh$lx64#cKf;7=sF-x%$|Vwn2MCR1tuJv)WfFK1 zWoFL-9nt=siB*Alb0?|Ar!@U$k<1Ut3^;K3=sZoy82^p8+vIr4zt8>PQH*^?*#gci)n_}X zH;)EF0$Q?ICLyJo(&@|GbFqiLosiW?t95L5Ds=>J1M(TQ+&| z4*z*@Xlh>rEVq>LOG}|!YMfZcNjaDi?{2}i7BBQ$#1!f0EF$Zfwf)nYIbU>O_M_yj zBssNggs^lE790Cf+yN;b(jv*e+b0G{@tGmS?)(~+!YoFqX4h0jlyB9dfqOTK;n9(9}t=PtUCU6hQ5ey%>%aKY~4Cr@dj`;FGV2$=1GjSr3uV zra`3%+SGQ4K(z7IIUgO1j}@-!pH>R5#C6ReV!7;&gd z38u3m-uaBEJX7KA#r_tyc=dO=6Z42*?~7n2gntO&)jkI5(=eW9r|rs8KYMW_J{$=Y zvsJz2ALNRhdeH&Wztpli)csW?@49U1E? zqOGndfghs#1V17dITM`gULs<-pG#S%PV8gMhEj8LHv$Gc4MSMptPQBdtO z&ZFi6aw}l<^wLJ2%F_}Z`_$d$8jm>V6$Xeo0Y8S>C9{OkO`D_gliuUCRXBWau15Fn zC%`fhnKAUAv8l7hpBPtt6%6Lnr1f{b4m>%FbY#YxnP&D=C+1Tnli_SKrM9YF!HQ) z%vrQVK50W^7c%p0Ayhg1t92TAsO`vGsfcr?bqq&k>{01SW{j%)VClZ90QoUjCHf4A zwSE*pHUB3%g28|2=2n?#+2prbWx3*n?d&Y1mBQyrEIxdurQ$bfPqa^uCyV84uVu^Q z=0twy-$JMw=1Q#BvZkt0(2?oF4GWJTwouV|v^h6;A=+!2vkxeOFnQDaarD18=Izf5 zE9Nm)m6k_UK)o;Pg6~ZDX^LG!s;EIqm*}nj6;L~6vvq^2@}jNI@p85Ju#39aHCEqw zbt`nzYVOSE>rV8sr2j=*6436Fg7alC<;aNcc=HrX47 z*jM%eM{UNQGvV2=tYh5{mw#Hm1YfkN-tM;Tyr$Usu&y-wvI4T4<#~Hce`2;g#tn>a zk2xezNb|FeIe=|KpFEVEi@0uY?W4`Ww6KjmET4iO+;LgVRR)HeDr1 z=UiM{sP#m3QZ`#25BP-f#*f+n{II`X23;Y3UXG=lEs5Z@Hd=Liu_iHFONW3v@u7}- zz57bv`tniVdf|M-i?vgGZC|XZ@wmR>IQ+Q(v_#~lgHO=K--&$NtiB z<`uc0Q3SiRzq>(JwfE&OcqQ=cU_%5?J6Mi*g>8cWEFXzjWS>yTT(!BCn-sLa>*x9- z@rc&;VPslgWI}0dUGq{H&ye|iY-Em9HlZ?T7M%q=CjTbniG+G}HJ4YN3JjH4y$sj7azFAC^Ik(mtX~4<9uJ%pp+@ z)3)wV_`l%JB|m-mdECFP@a$>yxqG@D2&Ojxf2t2$FY66_0f#O>?%si70Z->-pI13( z+i&wN+y0-sIb!~=$6&&*L`Sgl4%&9^$C;S_XJ%sH%W;q2?LcJU3s`|i%}l(1?J1XW6*1Reka8vC>yUQvT!AijcKAFm39Zg260+^o6yl5bx-8fY&^ z{)1rbBRS!y{qn-V(c^LL^kOR)cz&@-f+s7;3i+{hd0~;>+z@C%m_oBv)w8`xG<`;< z`5no%r(^j&ceAS>xGE-(Q#V$Bet|M_VThVJk+a$L(AmMKA}4#fzPVo0;X9SO*#!yc zIPdV4+O~A*>EJW+cqBa|%RRL8bi8BA`e)(k2=#+dr=5>u5Th`_M|*Sq;`<}%!RNo> ztt=ylv!>f0W|v*Nd#UkVN7eCNCAlbgBg!A!O`sOh^%Y;M)~ANi9&hSTHr@vh4l3pA zDSdB?idQZay!SF>ya}0)uL#$YAJ;U1lbPxlg&QM!GS{9#Cuc8{)~Jb(1{A#G78E>S z(x>mh&{NvmsasNDVA7|t$^me{5^?p?y!CI*LC zGM+>aF!i%OHSj$;zAG^zR?fT7_6q=|H|&ag%CePznwB&o)?N@9Pw(+qF!|Hp>~di9 zv#OHqqS?LDOy}|KqB2ozM)-d3;_^G$9b?P+Nn&kn_s%9<@rY+>O!5VVu@82WGoJ3i z4=BmL-Ig#*1b9NHVVdTFYgLq->lh77Nc@_5thm{{cyC>KKUrpsOL*GLD4IQzz5^1F zbSG6-Csjt2Cv>XkAm(*~@lAp@Wk->Z^Z9m1uZ_2lm| z6@K~BzUmm_V1DVWq|P|OSJT(or)voma<}`$?eJwnKe6*%O%!2_wydQ7O}E}Np(e*| z40OS!D!F>Rs19dhNIc*EV0Uc7yIqQu{AEnze3Cv`_YG46`RRLC%F1~6dxtO+^^yjK zn%m>j?Te!~Plea#gX6W$`{S98kCbi{mc_W|dJmWKg_hjpX6Lt^O3Qn<_s9K*%Vs=G zDwNsg^X-iF9+af=&YDlHasB1Mj?)LnueVyaS`=!dE-fFv{7Zq`j-RZKV6?T%fkKR% zTu<*YRC?I=x-HVtUs*L3N+4ELQLf}+OKCUFD zCw=}cQta(0u_tih?d|F~QOxh@wnfZiU@BGsreJsTx5=Pu$L~=apuvw2C%XF|DZbdv z-v%z)ZTPpV2pw%T?BV&`9~F9qMsw$NXgL*XOi4_s>QcQuFy#Hf1N zg#=V00`+U}rURzQ<+LwK*WS7B z94`Iz>Mg0Bv--DR)Dd6&H@&+pC*~*5MC!EFk&T5@jl=(d9Yi4?ZY;{w-)}PZvh9`M z;k2N9Zmi0c=IjCoWKte*k9UCtMm8{vDN$?sUEB{&{Q8YDh9+UcFP@B zE#+ue2tPdgD+tU4^bgwOFzd18srU2?3qDi|HNmLw%4 zO630X5&|S9|IJujM@`q6I#C@t7eLw7h&~JTx&uYDwlNU-bF_LPvK4c(%GH=+6L!2U zM%8{%OU;Ij4L5~QF2mMU88;xnx{N+ zHTMyD;)ldqm#$pKl5|UwbnAHLE^sa~5PWefMc2Z2)b`6W{!~uq3oD{m47Q_yao^*B ztBo7NKF=by)MHpW7|kTak=UfcB%%vE0;A!8H}^BETACOQ4mJC?9`b{aPhX)_(pBd( z#LH*f_R2L6?|A@=4Y?e~9sp67AmUG~;cDH)$Gd3c0>1h|tRIY*n)o-7&Pn*_0Rhja zi>`Co+PLwn(Q<6ZQn28A9NWidrNraSGS4>SLuhDr*6ZMVv7MpOteD z4U&v}GKVYq_~}0^G?%tAxQf(s-vh%nbtM^RK6)D82f+!iv&C8ot-nhi{U1ynU$4EA z>O&urTQg*u#M?cX9r_qK5IHG?kvR={U)d5AD9@FX#vM5shjir^(wfP7q!^6|(o=*u zJ1sP09Gl*Sxfo48hb&S!(tdl}y%v6w75>$hlWvJKu*U=6GDq2Y9eZmJRI3kIHtQ-n zQ-uhb62mJSLr@Q(Q(hSw!%}VJ1BdVA>GnUjOegL#HWJ{9To`s`{hvP99*SBdhR^o? zE-6r&eqBqdi*&~A$73}Re*K(#NR1}YUJpMY;~rcD98v zg!}MMtA%K_HaNgTGg+=&+@in+u4VlmJxzZwRP}?;*SmvQ4NX`A#(oE*_Gf#~`kw(q_wNHQ;OB&}PXq7Q~kVJhfE0Zm5+=Ww9 zh6XEZ1sTt^Jq8Wpt{_}*|tR(i6ZLNcCnwn6J2IA+56hV z?H3x1^#DXdR7sT;q+-shB!$|9e=H9h4`#}73f~;+_CALY)CsiHqH8&+@ku!uad_x4 zPBcz-&KCqbzX%$11h|=Ka&D5#Tz}_#KLvi=WCp%8rD49$Tz)=)Uv(cHfu5gl>XML~ zwvQ?`p)~p=%)t#ht5npzEfHvD&JB^RP!f`toDh`0a^jg6KtDwAsnsz-XP&h6cTwl` zcO|cT3X}O$L}&zC2vr1xG`p-pDjsIOL5fYz3|%Y5VqF0C!v&Cvp8Qfe>2>g%ysUU_ z8w|{F7hqH|#dZr82KPc0zncvysJ##yP%Q!B$p+xO8hYTN-${tB)X{#s9Cj~-hFO9v zi1-zE6D1^pp{g29{_Ovls2@#^jF|MV6+_|zMx5EbZ6;&1<$`PvfdD({bnu&XXA@x5 zg;adp2ph1gB1B0cpa+T6xg84WW7vE_miuT0+h7R1y!iOK%c0S&^34tkMB?PK3dl?es4T*TadRWnE!Qv*{- zQynwNcCAll9@+xQp2v@axz~Np<7#!=4jgz)I@oh_n2^Tftlq{U=zg_#uG%iK$*hbm zvWS32u->?rSt}C^slXjHD}>lM$coR&)aqZk?Y&AM0ZZR29v)4%AVrb;*6$n{NC}@M zD2ccG+!n&!-xMu=X+_!DHPF6x6cKeVa0*<1)T|&y3(}}q0j4TVn7Q0)FJbUA!oLGo zUPIsK^uBgS)+~x;C!NMo(IhlIMm_-@g7L6c_*=cKz8zKXMf&~~w=TrU9B7@=8@kLg z#(^&yY%!B^W%x8v0%Y!DcH$-FNGhmppA*52L6)!E`keuA zs*+A%&&KTMlSEO#=UoEGO=XFnzk`|{%LbvFx5${cgWmOh1I-~qtU-?-VY*NS6a7GE z;aVQRN6FjBLKZ*~kPQ(@{m(5n2;`gHB6v}Z>YetPD^4O@=Npo#>`V*|~b5<%R$Nme;M#Fjz|-Z5#}Pbr*|iY`rOi+?AapaATDBdlu@NH-nH8=CSB7_` zAct8ZS7~17H>f3I1`IFtN{bN-kzJwGMJYdFQA!aZRD9v-kP~BdvJRHnhJlr8hS$FW zPCOhI+q%4;vu})B$hmti4`*x8QXt;`3iNJUFW zWRFP=iFawG#b<{Z^?XieUzulJ1U-bE&aT$p=ACy=luzo8b4C6!5TLQMdxzY%6`yIq zxEpuijIb&Z^RNhE7mQ%4t@kc&)^Bq@{Fqv4aXZ7JGcJ}-+FZ?`&#?Jz!521;t3G0} zbF#M#!d#sP4Un;$C3Ylss0x>!UAL^qT5OAx+^mAoerc3ETQ!}1BrkA~n$Z}eV-k0C z@qWrVE3QuDSnz?gA7(wTNy?>qN&Gk7s1lEWUhZeVv>%>~S8pqx%Zj#gvXCOM4E&77 z@+_*tD7sc-HtWBu%eHGjq%@2iDhnPa*B@`9A=Ukju2$xM%@XKCDJ^8}OrBF|P4lgO z2(BcmFl(f$_o)puUzn;?n;9yf;FIy6 z+U$_L=u`?~mWlr&ohZ}wNl^3=bkRw4|MvM~VIQhAl<(7K!9dvJa#@)K-+j4O#(-4D z;9O=iKfe7fSj|YteF10Qnd?MFiu_VWq*g}cPdS@Fz)1N-s@lwv=>nRP>`1Z)5Z~Rb zM#jHTjZQ2uEL9}VhhC!6$R7FOlo9Bd(cKl36WDiL{zJ1p%g09HHqlk4z#$RPCr!+-qwX|q;;Kh95TPLAA z>Ppo2B2u&>hi6w=Lib$ff9xj)EkyTz`1dyg(=sAplp;j;kpHyf+ld-0W&GoO5G&O# znFlXy7WNm*6$$U%@bBNI%TIIE5*_1wx(V)E*;l2C9LVB*W(uulWJEf-PM%E{_EG;m zWvD$?R}s9ajOI-pA1Dj3@VQv^>xg_!89;k#T3y-T^wPO`Stg}$PQ(;O25uBVtBD=n zyP}KX(6quJ461xVaFBq!)=&%4OA_~^Q>Z(jf*n^^ij8oA!~0Ozk~Wy`dM$Mk(Ha^(DwyX$HpnAG%b+dOjQ ztkLf+YLLG1m>VI`drftL)u$u-lb(`MEMZA3wSkD3QDia*7hy?}o!w8l=q?kdzz}HD zH<>hY$Ny?eqnGITg0QEUM!rZNtXl+Ke{{cyOHGfpqVLF0{|ZL5%kv*l#WeBFU(nYa z3Ux3D#^&T5m|xa$Y4DATpbvtjTc4KcA$-?tlf)}nKy3Ilv$B>w0Fhd?5WmF#Kw;C+ z*Udeug}6y06oe&`2lwePIjBKm!bGtBf~LzY+YE)?!=*7c1*)KXVE16)r3(Clwv5NL zlL(mDWz`O$(YrlL|z@jABt>?~+EilG}@bXHW~Nt^xjE-{R9;Eq8u^ zQ3%T-^o#n<{qs#D-vaw2f8~?>e{F!5LFo4cJDWV%D;l#-FZvr=)%RiCsWN&A{$Ky4 z#$jeDntX~VI3Z%*fxV9UAfix^NDndMDB;7Q!2bDi%GUGofWFnc`MdYN zQl3+J_iH=Zy{0ztzZGO1{l67tR~q~jZM_<7Z`jv!n$A}mcY0pG+Xpm;U zFW%B%>$`jhR1owuW%T{MJ8 zyA;wI*9`jW`Kpdl`F%V#@e}6#8r8>F4e%AKQDAd&2+c&oJh?j+7({)}YxMh^`J;<5 zDu1$_3G{X=e#L3(jC*x82O_C-?Q0w$03}UTy4}99vlcaM?p7$OAg-aRR6nIL38z0{ ztNp7$o9`6gJpZ@Z+BL%iq{rvtItA%l4Z6tceTk%9yd8V7*W~Q4U{-4s{t*(T$jwI-RK-xLXJghebQHl&`oNwKb zy9oc$V7pqdI_irMjuN^FD#UOuEc@UV{^n=4Fop+)$bWKxP1F`9b5)>q06|xnAZslR zu2i9GSVmCF>x7UHp(LoEcoeFE5-qoG*O>Gv-a85Lw%|DEiR-rDPWS*N-$#-Z+PSo> zT}b#FaHpcMS0h?jjxANWe#MNcxD1TR&xCZxuh)})5(-UBz(t&6!SOQ9GZ`-DTgb71 z6jjFE0-{QZj5nML30^KX+)aT>g5@jYXteW2AVeJ5H*2;=`{;5E_Os-aF*XXkI7q zr)pVnov$EQl%H@eR;1QTDuYP3$G{| z17IpL!``qcfwe8ZOpjqPAle;h?hrzB1sc+oEa;uTTtHA^0TtaK125)w>aOntv zbxz3An&>n6P?PJkdvN>qsVtJ&h)a;T6*DDilysc&0(}f>phxVft5jhJ6V191lYP7f zCtedhWT*^I=GYv#R+8W^iF`nQnqR53zQhD!_*^o0ph?RpOalX7A(fR86#bV$hOpKm z-B_`|vehY38s$yccc$#;rP&WKObZh~=UuO`V}Fc5hVYmESpM1zBHKM(jmFo^xi(Xv z`Ld4IYAVP&7uB?;?RTA3ColkgSf!mE^1d(@jKPg+zgsokR=>2fkV8KLqQhIyyt zhcmgPeY_&+N>?Nw5`r!4C_4#V1dRYXKBa@xnyVpqKninBC3?(Nq;!=@@_tIona-)1 zkQ7*^+7&f3x*^1%+)g#sxp#MU#yf)ZCfCnKn&V{blmIqnzM3mvn2E^g&Lwy!V9?(} zfMBlvlOnT#=MBL;B#&qq(R$>IT|qKP3wLAvvslZQX$~sI+D}6|1 zJANVh5lDIXSy`?!%6c0ZCZnZNrnm7)1Tro|5l`0B2X_eEx<2uF);}exfrNN;(+KA) zIK9yeUK(@C(&$d@9z{CU3w_gW#3KSsd^rNUJR_)={Rm#Hyw>Va8WqU;jaBC$?eKQJ zf-I+KHGQzWoYOF}-&9;?FNrmSWq}f&{vZDG?48$)`MKPG{7oSvWYkp0t#w`q2_*xU zOvVaNxvv48~kZnFk8NdcZgoU8i{cj(V*_V=Fi&r?DT9$XVr=G65c;eBtNA5OXq!Rn=#xe1f`uuxFW)aiPD0 z)7%fU%hce}<5Y!MwsJ$9ei>_-8J8Lw-%=yqi+sBjK!VSlG^X?n%rBs(T~o{bW){US zgEfsGq2|P!ev929Gn*h;;3oWWr6jVjUS+0DDe5OHlpRB|$p8C_6PDM7NULL8Erc zGP0!)abP6bVnv0>6Muv3D9*TnA4#W9^9uDeQh^>CKN@sc3`4*IS6B>C_{#%~5TQB| zL2Vet;W||d-iT3$+e$k6ma8KYJl;5+HRRH6!y0D4NfTv_sbW9+Te*p~UGT!h7Qg;I z67|o>Zxerv-|3XAM`M3IP4<5^JfYDDXn|;UBmRe>SIaN3S{XGz!aFN=hcycn!NCGy z{s^O3e1}X%)?tkho;n=iBfhNEzJNTQu<;Vc2`Ay$Mdy)&Bt`j?KX$<&0x z%`x({W`EFLyuAEO=o7JKb`XE&HnDmaokN^!mXGY5)v#Fe4d^Lu z?1a&jsKpssG9K^a%#d&uiBO4+$Wxtmc}jOvdz()nrxOY-;6y8gxF)TaSKEO4aObg$ zWKPm7+Bu{jE8H5&tyCa>^~mrIGlHKrWRPJPq@Yq%(z@N1Yw3BD=;xa+t(LHp8N=Se z=OqMxGuxDK7o_-z1jNU%R3M|Xw1>(SexT%u=j%<+_WEaWelSkQuI%_>waTC*NF~*y;9AB#7bC!l=0>XKvT!(`vwA_Z>;AUI zL$Knz&BNuzHs|IpnsdUCqW*y~LyVa;M4$2KY>kTn$3|gsEsUQ(sONx#`Wx3Yl*>H& zo`_0RGlX1|x#K_tEm*G(PHAa>WxyAM|4syn+m(0+JFoxGvfvvR%E~l&()~Aig!+Pi!6>s7_v1 z=b25Y3uJy$(O~viBr{R43q@IeuSHrjKoAGh`Jdc*b6JgXARPwso&>uwyQV?O@ zS!9_jOy#+XDoASUD`^%NQ*>V>wbh5KXcD-oa%|*>GO9=RY3QW)Vt0QvdhvG9gcF-B zEW7`%&A{$Pt)%E<5BCm(&hxV4DC3nBRt@0#5lw{l9rMAE4v%o|2Ul_OX(oDJ#ftnW zJ#Lm&!+~MdvrqbQKrcm!i3mLM4FJ&+_nm+^I?q_=ZQTJl$ z(2aWUqxQQeH>l|+`(5f5%zKBv&krN&21z7oTCUm+y&X3?vmDj_*sm*#7g0V`LI(yY z%wLj=Dxw=Dk~kDL!ZHVQMI}P-Yo%O1L+-g|=3b)=K8C6X$mI-U4rbUwpA=@g#>)zc*vPwidmygc#NhYVeJ}Rm$h(!$H5d+;*~mq^GH+UDIe+(U zSIL{5^=y!mcYClL8ZG5I5Azs^`{kBPm=6h|ler!lVhxrVN%;9gT>t3yw_f^})hb~8 z{1ry^yM$kxJoGip+Xom>ArrZ1&rzHiovxm^-fp{BVleR9yyGM#~mU$z7^E>lBV=hB%eNk^g1+B5WiL%teH z-X(kY9ppaNBoWZWFNK~|M85P_PssIdNe#QG{@=Q_;8Z8vd&vDzOC9f{!xB-vhAox z?|nCh3bbUUdL%|5&3#*G{7`s$rs9@%kJ(|h_dc9v{u3+HWm~bK-|%>>qryo`Bj_n9 zUG{Fdv6G~op?hN7SLQJKfymp;tHDHGrCiv#?q(r8-D<1uW?G7mi4l#=8=Z~kev-&Y z+uPpSd}4HFeEd|#@D56pLK?eA#yt7=>^WrM*K1Kj9q%bFCN?8gZ=WxR(Ua} z6#hUkau%jbf^<4?RiUM6LX0YoKg_Nt$1Ak2A42bdKq&trG44-PG5Vzp1N}Aw=mBT@L+B2WudCnI8VAzsY+2O2kxq6tBnC z7Ot9>%{#0^Pp$#f_8yu2I`B7m?RMB_g2+hWWN1NhuX&PaU*bqD-uw_pOEdx0iLx2? zX|pPaz|b3onhz()$wN?Q2?n-jNc+2k#Q9ERm4@XhWH)TnilQ-cJppc^Je6=Bd# ze_lEserS98LT0D4^(M73E6!bgNBxbd$zh4I_uLoU?z`C2Y ziG`_7x&&ukeZtG3@o_z@k*?D>A+0Sfb+R>-bjQaOA7|=>cf2ZLD#bm1>9Z;#MwR;f z$SPqCZSRSE{*100M{RGIbiTNGoOC)-dE$LlB3;YVf&r)QJXLQ?aHq~_dwWZ5`C4974j+7#aIQJJDo@i)13HAGcVC6S5RYfRhYybcN4{!{M{7$mlZJ#U% z03>?>0L0**tA#V0nVq|7V3U$=SZ4TN{LpYb&;Ht-tSfY?&yYKK!c;nNOW#=X_b7* zcP>HGWd8)HF+tl7faByc9n!@}?)yx;34DHQ>3Ki@4*cB211_42z8-r9{*Mr-=k=ou zOwsePoA~)q<|!8Nn&}CAe;y$V@Oj*P1pb`);CR29+5Y%f3(f*_=v<8Sd>nq}JY*>^ zcd1nVm>N8gt)#87A`!@*{S`e>Wly?~yp>qJG>w+Q|CX&XKSHk;?+sL9Ui7-0Hq5J@ zZfQM8Ap}}(SlY1jR_i_38~8R2Xm+Q}JS=9pSFDc_)mK>TeYa9tANL?Mvm@>-5F+ve zRg#$DJ9df8Xg^oyJ6E5MPB=_=7Yh@A*Y!5Y$tZcrS10fXg zovLOw+W)m-bH;7nqY}L{hKZ=;`l5c?`pXnH19biF`y5()& zaIJ9~XGTyas66jNJk{QK>HSzpS|cmUrCU?RR&rwRjl!elN_d&khnR4tO|$gR?$4?y zL4z!j3sc@bo5dBuz=gmvVHei~{McbPT*ln%60X@}`=EB_lJXWvQ%`1N@}OC>#q1}S zZKlC>X(Y$lm<`%7r>#k525!g0pN(K=J2Mr_I@jZrW*dbjP_yd^^RzWrj-#Z((opWw zUmGbsy$k1~u>f5m6Nj3mp)j{>@*JX5QPZm$R^FWY zmu~3+NXwj=Egwfkkib&F0(#2|C}Ps#>se&q;EB^Ifo#i}Zh}7#{*Wl-rKhDqd_zQR zb#+8@(}|>L;tJen(B=xp*1Y;|exy{?y zV)eM#+Yak2>2j>(e*J6U8PZbRKX<&fv?0kd3_ecuTYqxA)*WU34yf#ObnDpta?;ZC z`es{jg!cJxOcwAm&;jgzeQyc$i^vGH1G7@KY=2I)i1|HiJ_dR}z5*Tmp3h?0g0lK# zq}O$08zutk+Ww3zy0QKmaV3y-U(^(f>+9=F-?;9~koW~tbdgN*&jgZh&1od1rP0kU zG_d~!=rn{ZoXwKZt<#Ji7>A$c6yEVKezDRO@SQfuVkj0Y867iIQtR-<;k)i=+-C@? zurEG)@4heDB>*U5*Tprw0&1QF^S<<&PIA>%l?9c^*iL7yIm64WgQ|)mF8VS-_N3Mv z%|FV*nEjriT_9LcP8&S{X*!nUAFfb*jnaOw+H!@&-QFfhN6V4xu2;BjSdBqB)!bFm zEA^o)1({ebwZ@7lwtUT2>rVLH0NaXw+!0ekBtc_#FG?*A+KgMA<}4(=t4fHJg|v_v zfv}RsdH$9`!9)R}RqBJQ2>q31EK;X0g=|T6RTr7lDr&-W|H`&+xl>sZV(B&w#NLP*=G$Q|zdt zkzQtZ6k4RWgMa~KOlDyGUEwk8-mx3z;TuL_8R!nlfNi9{k{ESa*rj7Fs*N4OW?#js z%a1PSwf|EG=^FcmwlCKCdI5e?KCS}0-#^MOKWFOWia$z4%m2hiGt0GPMGJsLQ&8TNbMpk*ZAU@CPQKAd*` zY_^kTI8vXKwZ3?bhs!SWtt?J?n{Zv#>|TkqvD0d{Fyi4-x4RB{Wth%P?5=|{u_75r znc`2?k=43jdd9xtUCh|cA5I1wxWKx`*^5wq_D`GLX_tze=JrAQd5h&-``MB2G*W!e z&!P029J1Gdj_{~y?aMqEhlMk2TbRrz>h3tx(=LREzE6Sx62zgkO{5nED_(0Xzcwzo zdDO*zpI!;vdd#7Xax|!kBPuy*8+5{Vn73 z@x$&Ln$tvo*H8Qiq=vDKjzC&O+PdE@TxcBKfM4L%vV6>itUx|4{tt4*zTHV_&l+zE ze8*mfU?gDq&ie*wg1&>S2W`-X#>PJH(){X;Zc z?9X1_D(?7m0lA zll8nVd!iXX-w-9i7!!Quen0DLAb{#jv_uIxb>olwa#tzu;KY&K6h(zWhp;n8NGhi@ zmsYeXEiD@$u0TYoghy9SVj5f2n1{5jqBGR)0DFSITX@Lx;~- z%x@YmWhc&X!wXFh`w1(AiO%u8F+y?7JFp!|WsL(SZ4ibYlW5h}rQ51EJnP~W`pFGYx9jZ0)e%I^5QT%EXugV$5=M~>Sp&7a8$#iM z`*)K9#!grUqABmF^@0f-;S*B+Ev6Nc%_RtL;t_+{dxYT}hQ^+)fy?`#6rX;YRus5L zp5P-F6^gZRRNa9s8(EeR2iQx1H{Y-W$1FhwYfD1?>d`Gby;*SF)3V`=1Qi|HeO`5t zud2iZqrzq*tdQcExDW_@ujs_ShI}jA&`H|xa)NN&LH2yLIB??*4gX+Mz|@oMopyjR zOv=7kCO=H#`Sx7O`{($*UJq4ba+>Uog9%t-GusxpH%qH;9Bb z&jX9e3o-@z?>*iShNBkX&j@uxX2uFx2vuPOGT#Vev8!e31kLmBEPgk1ax~o3I>^*K zbY}!qYBE)l#|u_wljz&9dSX=N0h$$@@C)re1-agN;}%{x9pX&LkCv=pI#Z?EaQuXC zkI-c1Vif5$mZk~ha99xe%W3ce?2Gy)A?$?k>}P0_rxW!<_6;#N(p&baM@LA#Jq#qa zDE#cu-m5pmQ^arg2!a8(hsQnd;CbHX*MJo8@rZLF_WhoK*Y`*8JZ=+(Rz)C=ovs}A zQJI;XD~>68H|}!o+H$Ry8kX4gvPst{2S-Dq zQT9}7cq%mrCf_w0rB#8F(!_M?Ocg}_2&Y|&GJQI3x+X)+PDR11s=1={fK#wjkDIkd z!;3!t8og*r9h-=Rn%IX(H{Y{QoKZQk3ZNz2x1}d$ApnUSt~ZQerTvzx zh%ME}k0FFgyY!f>j#m|T0&y#tPk@Qb|Sc`^_n(0nbfq1 zg-)wu=YuUeu0nW0rsG*2{XQ{s#i@*qSv(MiDeJ3%W!4&&m_P>h1I)NZ5&w7JoKbq? z58r5HNjJ&%t-3wma=BSWJM1fR<@5e@e~2-avn#+_5DxOHfvMT<;x0on1<1LX4g?Y# z98UPEtXoI{!U#@(D4;8&cXB-@_P9LpyC7Jd@L1ACDu+x(;QQSu6mLR%%?bC#L`*4j z31Eh?9MyZU(g%`ItBNeX;+*6MnwYIyA@$+oMyNA-n$XeFq!#c(iImm-S&g&etWJJd*7^7`WyH(zx@uLc7bP7-EU_`=buntp7&dNK9^^}({S|7X)2Z z?eBIGdI%vm={2ou3|is|ODx`E@p*F8z$_}YUmc8L=b}PQn!ORP)7b$Gp6T71$J#ls zqbixrk4M1nVmF(wmw5HcmWzqy)D*)YUn%IKzw~05B4f9Z^pQ9n%4Ml1V4gJP=Ojrp z{6S69_eK&&sDL^bK1o5<#FK-}>5J|%8!_m?N94>r4bg9p(%bNkovMr5g2AJnB9b#L zMNQi|u1g`Is`KMaIKVitGHQcCU)&enADnsgJ7lRddmYuow?mfsJFnjY_pw`HqcF?YQ$`*w^G)?2mUx>T5mM*4Yc=hdmXi*Hl>A5s_YfI^Xwm<4y@9F zW44ZOq5=7{5PTU6-?bs(F1!^BzFtT6biRH7 zx89%Fw*BMsa`A*#hm_GNU-9cw;gjJC-v1Set~MPJMeafJQ=c#+JvhxPHA`a4n``!|u78|Mzf6JZ~(+A!}I^)OIk0JV7H)azxV(7dd>N#!av%$w-ExSTw3W-(*B^R~%3(z9YQ5Y}UFS|+EW%S^>=jAQ4B za@>l%&yX@B5SJED@hSZ*>=j~YNEtEnWE@FI;vRa>rwUKV8O?)pP}vDc_vbbvPguS1 zhm}UD=qItzD(M-u`~J!xo`(z6IF?X_%9%Ny5C^zCORsWoNqyZXpAZ5Vq9vVz;j@*; z-k>t(8TEZ^9y*ryG3%<(6{gj(CXykA2jp2tlXEQ$l{<_QReQQOl+_EQWG$9haIwD5 zIwCDaq8<`S!HNI>A?%uBGmWCM$ne*oSA#k2}F1F3dKUmyu%TtA-9N5knNmL_?~Vd z2~hhd9iNUkc%N5JkcA=qt7_2$qrNNP5B$>wl8~#XiV+gQKC|@p+5j2v(V9`*k#z;0U^67)KbL zv55+TcI>8D1;wH6g~M)P2`0e^#?g44$2LA;36}3L36`Jc`ri?pSA~S3z<9HPV338l zKRagge-|m70K`s3!#;(>?gJ1-PMEiWV#-*3R?asHC%ZzzVV9y%ZXAxua0CY9mJ$`y z-lzlxvmp3pQ?Inn{sSRgCjgRI`4i-5nw&K5{6C(BV({O19_YMPnqwTBCYM~ieI~cO z9)iY3-E;j#n2uTt%%%(_)=17L?Ybuh!ch0Yh;*)@8~%vir*^%cZ%m(`DirBVf^Q?2 zwO{|P$T-q>e&p{G*V~WPrKYDs=k1>|LHmY4*1SV*dt?DG zRIn-N$rwW!^Z{)kzdU=%eIa-1j}4b$4|xJk*wmr~@<^z6Jp*P4Ap}$6cz)vo1CI$b zUJ>7QUlP01Iey!Thl~%CbN~H8i$G?hY^z%{aelGJ39r|DB)1WK9t&`J*uMA}CbWXG z6rae(suG<&xKx{AfsTX-Q(ET85oK<%!jJQmH%dX_gcRbC(y)0HsaDb$RNh#{@;}aw z%ft#pE8=Ae=L2|K+z+w_vvq(w=BNq41#L6|f4KjP)3lq9E;ge$H}cO|cgC>MWWy(` zcq*6Mobq_^rVp-es7UTq@4Wvb4^Bp3@!sCnJR`8*twdmH6t+P^q`W=cV64Avu_sv9 zglKoqaEP5G28~GV2f^}oWiruK**c&MpXboj7*ly|)TU{OnUt3t7kttvZG`0m+vZN< z{T(=J(b*?62kmhnX5Zbo@p44#FQePlu|LV$jqcF~-#<5hk6U+M;erDwai9fOURbB) zK2{F@al|Cwv6X%FlK5GIL!_tKS+L$si@!m1qNDi+zwErP~y)2xK{)Nz) zbrf=~d+&WpposC8$~uF4$3g#dFM|W(quLyGaZWqEp{kh29me!p8oU>_&L}a@G@-_FNn%9<<;jBO_{)dp=pBYhpEF>Qj?UAGcU~ohe zKwq^4!&JpxMcYTajFYSyYdLwybXMPfsOJ|$^p0a_a-+uiJWfeg-ZVXie9;0DNSzfu zbg-b5qbr!XwUZrXFP5&A?C&*A`6Q*Dn`2+NYnH`d#Kdow6outaJ_@;Lk>NB|&FIuL zPD$je<|ob*#cP!!7wejN?`xKn;8j;c-8@1;P3;KQxGt)sYJ6Bb? zN2s@;cJU~3Y7FMhUYrCYZf0@z*_&xTjfNkxU*~DYBtr$Z@mBmO>%_g99HHN-WrYxr zb95`h`=XkS2i6R=F@09ZuOGS9VjJK#+_@@XU+K&>SB7w$AU~~bV>dr`)E;K4UYZcO zC48SEFTX40MdNz#TeBGg5I?IMkXGbVfL^{VYdUrhClw0s)_g54$TnW>&Y>Q0PWpnF*+E7qm&;=p z(_VlROBH&b|VNnxnvXy>b6_OVjIMGeeZKb|a zN&mnJOf~UlH)DU7k`9+U;D8C}?2eEB#&}r0*n7$f?i!=kCt$laNzVHb-YE@TR2c0~ zkZFe6AS_DH_%13soWrIiBqO^2bK1OX9Ov-%x8xemx&CoMTcPf+3{C7~ad5FOV>Mb$S+ zUg07){$e(3`jSCaCL#y7okhL9P{BDYI1o~whDJ?fL=8*^%iHU~f{`XAktPQZ2ANoJ zrN~i7e+&wqBqAfBhiVcMFiHNIv6C2Yh?-g#5o{pWJ$a66hdQvKqp*pN%5~1JJ39J_ zu?2;L^bir@{V}$@#U!-V_4Wh^^K5-#ByN7bbq+TjrR5^G_&ct!Qxm$zsEuuz&hcE1 z2(w>$X_=lgo^XZut_g#=4V^ZW{8EZN^?P#cm0{CeC@kg9;w?YR6WF42!=$o$_<;SMwXyuRm#>*;uil3AAe_ zYYYYW+RJbCN^KS!FLIGiVqm6%N(&_i6eV`H+LQUY^pu|7(B;%mH7b4d>bLh=v>UF@ zI^)z0-9$Sw4V^{p^s?-(XbH&ru7|yHX7R@8maoT)t=IYwZrWt2R}WUv&%9PkhxUqy zc=De{i_7Z2jg|@DEO)XC=0Lg&b2-xgEJdv1J?{d8e!Ik~SLI!+MrNa*oL~Ney>y)K zhO=07s|E8EUkFH&B2Z6E3j9q##UO*~1QYwUf$7XTylQ!U^roWcOfc(}U9gAv?h_74 zB*qP5*Fudm3W4uUXQ;(PQ|QfyG8M=M$hqrGbtB0WlYR%i6TX58_b|+H@poca?wDV) z*6++bgrPefzXi3$0lHby+D56#BSc6}Rh0V}{7gwR^2IW8}{{6NitXc@|56T_X|V_ zDQ1xm_CE(C;NCcY_Do1Yedf9#^s74*?@!$duJkUfe@}7XKDDkf$H1MqSIT=JJoWEu z=tF-mscj%}S4tio8QQ-;82`}Fi^a|bsnK)5JEdmh?AVYLO#ULlN?gId-csBP`{Nb* zke_@-ip~-IQxlV%PL^Q*)ePo^5xIWXm;v=PH~FU$SS#jX|8bNTcgv3v>Ac(8dD zig{uDds-3cP5b_#Igyvkio|;};rWmRj9bg<*$N@uAri@Ba2GoHGr(t$)Q$v94E$81 zu22Xeg@TfD-l|!X!(U8T_jo@h|&WZ z{&%K{%W%aS-4+SdDif)mKSCV z0jHa>O|n0>z@IzuP^areCtA+4uap*@kI?wX0Fg4y*QVR<_tS?WCN45uD6Q5C^!4kj z!a94#GjK&@HK18N!l;N6i?XVrf(1m(YLh52Gdsx}*eul4L)?eihy)UZyxYe|;i~MF z;~c|;;z#+u?Q}T+r(qQraRLfhlQox?8U0gmRf?ZCqg2tbaDUR=m$>bo+Z^*oc!-W2N}>#ey?szK{-`cS=|^e9wib-W^rGI%>YbxRs;=2kJK(Wwoq0 zGesqINi5wnmc%9B#~p&VT4_12X=7-gU-u`z8H1LaTDdAXT;(+7CkA7>^6kfI(RmZb zvezpr+o>w+Y~@N0HTZgnIJYkxsVj%cr{+vmlBG#}C-sYB%{B>{eO`j}rR3bxS&rj> zcZ@iQ&V6s~#%V}7KD!;q{|QzmSbgA^OS`s@(~O5*#p4bjTo|qsmc#R(O^1CpIF5T| zL`#n=mczdpa3~xmJEW;}@IInP)yHI}|@#$h?pBOT*co4vod7`Y1m`sXbp`m{V(w5m;GimjYRVT(!E=H$#* zvqn}@2Kxl990#j>4xZ2%q3g?(!!$(TVYjxiod=oQvNu*NtFAJzqhL31&9rP8a)`zv ztW&)&oH$SYWN)0IhANkQ4X&fELTyAr;V(-E;juKreqSPhZ+_w7HS|+Bjmht6s8#|? zx@hsStY1L98pBFQ69*qbY5n=Jxp1FEARdw9{%PTwIowEWrq*GFKaI_-&KEVNY)%I( zQPmD+_w=N*gqU8#s+`wA?}`WdzB+R`EBoAfucINcF)A}=5jK5{u=>q0<_Br!`TLc* z2A_;F^dtXR(eHqCZypy{HbD%XyTxUKh0x6v7TZ{70&P8YZsm635`D5nKs5=(h9R-@ zPzjARhC&Q8O`~i@+duZk**F^M;GskFk;nmw=+QYPxkCD_Bgf|J$}F%}1#e{!nE_eg zKA>0B%}qZ_S@Nmg({ZNoc;6@>^yzXO|%GA<1MC0YrU(ypCG z1@?65MY{!lRCvfkqZ|=yAS_iBUmX$hg_V_)bE>lKAU{xa`}*;tfCPS+=oe4AcNFD4 z@sIeeM`b%rcH)6=i{Nu9p(qcYCLJ~9Fn8?c>vJ1|tA$vpw;p9y#iCTbP@+C~+#SlU zDyBlDD;`SbqL*&?Xp5sRjP%O75MVy#>EaQf-S{fV30^ICl%n!d^<7p%sZ=sm3)6Ov5#vysVfMVG3>aOGnKZS=}!d+)p;)YT8WI$k^U2 z5^hU%*br9-gqV4dTM~PV_|ndw zR|TqOL&huM6IeBp2;Pck){S6I{YVI)puu~DvQ>?QRZu)#?`R5D#m(Z3QY5Y3fG%9l0$Gl!P8| zL)GH)&v*OkMLMu&zv<#9b+V^XuGQtJtj=&{pSb4|97IOt;5g-~4lvc1)N89sI$)q8 zBc^oK-Kb%_i@-ii6h>coOTS%(AT;;b2me%!fYU{BdsAl`#M|(zZ@2;61lf}%r)+|= zaR4ySxu($hoyweMnwVYEIVMU_IrhET@>7XtfvjFm#f5Ro3F)|XEhEik%HM*u1~S6% zpVT31C)m)IJ16wtG^l1NEYNoXm|&p>CUE5g((C?1tBlIA+KFL2wJ!)OL+aum<}R^IrjMp&gzV8}4k2k8aX+m`Og z+^PmlB;17onu7!>FC>M)0{ORTf27+BWiM}&K>os}6m^3rN)W0Y9=9bTpyjFNEr-)! zH_5Z8p1k`ss=sIrU;w{RZgZeYlbbrtMYeKOnbKOU{&h%X9)GCry=&5(kTEI^!kpmU zXzCM`4M}A=Iu0R;!ztqcd@Bp=U96q-K`eXbC6AF`Tkp^hSkY{K%Hl^Nm_GlbB_CpT zQZ%YnhMD`Fcw;~8;)e3up&;%z+wmD*70o){Xl_2R~9RmrES#(jmRO{!4Mq2ZGx zM=ZUARJ4+)hsDZ^C3ZkbXuw@1B_#wMLz{*~nJXq&kA>z2U=k;zSv7*+$N4ZPA#iNLn6?`Yz*T51*w)2O=l4+ftXkoPigB^{??E9;^ zhDED5+;NSxeXIDr-QBbzr%+-hH)YCk?2TkW{=~?ynpiIOXO;T)-c$Fe>*Jil(0+n~ zF~VYc$U~Rt?NY1=*N0I-=_c|B)1ujMYn`hw0=rV)?bH>IV*%5cA0h=yE2vX3NKsSw zJ#lf;O_eGfVr**_&{4r2gW>QuS85~L?~D0o|LEr?NBFi=J6FF`zp}7oxdkJq_R{bb zk*gTJA-JD?x7&U0`~&@du!pRxG?#%yHGF#4uJYG(-M2+fNqU%ljqUgOP zjK8ICFytsf&(Ca0oh;|5WaAwQvb# zEidyyB?{74HTq#bTzndz{iFP;ICtC1ei*&xG-0B^hyy%ci5&|zX^VXX<&3OP*wyMs zUWtTcSog3Mwao%~da`LjV1{a1tVw;TiF!n1_?Fq239n=wvZ0YQ3?&iAoWwEpewU^1 z4sMOK9JvToN-6*Ni>Mv*B$BM!V=x_`)x(Q;*B`{#;eCa|;YU*F*IPJv%n1M*s zifk~rFvVeO5*&vRB8x;tZ|C;>>FjCQEZDfoq{uK=d11*8VlV{M7&~R%>B= zRC62zQN5j;in{Wb;hA!DW?hogA)nk5eYT>d?PVrH^$Z%u>TpIFK%0Wqf?R`P4#%2* zQ4##7HJ5@C_QR!&T+9rQmlfV%Gj9txf$NlBnTm+B6DQ2WOAnWmIP5~K+bP!&4n|8T zYpxhMFm6u?CAt|j6eVk6FbJK6oq?&|AhbVNSRS zP`XSk1g*WREVqKErV7w3n;>{NRfC;N3M^b_G2zt9HzMc%+KlrU{Z;d^9&J{3R5=At zTwvdIRmmjg%JElD@6ij^BE%Ik^Wb=bZDpera7fIf2^CT(^C2=_1WjWdY{G6Lw-H_&Z+ANki%9(KLm=m{qi|PYk!_ zs+ugasObf88;3oAq>w;wT@kMHB!@o)hG^rAHLsDf&<UZ2igCvL?p3u&Yw3L z)}|vasG2MHUBCzZzxtu(qa;_*;@N$}4BVP)#wb4S*sZkTCk{i053Z)=| zR4R8jdeW64p9Ltltk%`QlQI|DK%CmzG9rFVIislv2~e&;hI#_nJj7XCy@ zv$Hu@>3T$76ydVt?P$_DGcUtmCZptIpKs5?$^<=m&ME<(eMA(#+00M!y_czW553st zj{lZ#-IldXui4hB+}8Ti zwD2fbG_iqF%@ls8C~zdXB47^(LOxhz#?% zxu8{(zeeXEPQlV=iNAtBspfQ$mp+A+CjK*YCGt+(fB&`M0^?%yYbFua z5$+taUf?bO!cw^YbxK_*)d}sS>efGoGa4XS)4mM5sAP;T z*d;qU-Y7>wAYpgUip^&zG;2kEBllE{RdLcZS}U|3A&{T3HI!8~PM2G1lvUsdFH3_U zSU_)>`HbI@LHOV<3M(ENyFQiPx&A8~DZJ zBkz*((8U%X_Daj^1tzlmM%ZA#uN zAP3fGRj!{LP1^K0-}S2Qyy^N-9f`!%Y_dkyzaVa!w-k1i=5@T46z0)F7FE^&ilBBS zMMlC8x+ZtrG824EjNYB#Y!~YJexWyIfO9Drxp2%+?QC(2KqW-Tj34e?=CqXDriZkX zs0&|K+C!+eack_?PbNwPt8%AoxQ7&)Lm=l1PG<#{d5+zYly z)S~l>qn$Q;g#*+BHcnDcB!0*O0c-b=~nUUu{gms-BmLQ|MqaJHR@ zv_0tL-RS;deN-kG!9rWhq%__aTF~ISZqxIB$L%Vnosu_VrG5We@a@x0Lg32oh=x7p zGMEWz(u_!Ltz$ZWso^h?nG}>NpO|y1UY?piE>$G}I~@3hHvg1S7aN_HhJapFDrX*6 zXkFq0CpOx<<|Bp=Hw`-FF+`bR532_^iu2?fJJ(RVQYvVU!4nHV=5?Fz;#5Sh2-mls z9LzlXvHnf_3T%*Bm_HSSLswddA&zKrZK)2Yd42YD|M;8Z=pnm)dAZam0M6j6dsXpV zXg-uya%y4BCAv-`Fu-Af#R!jeTg&T}eC$IQA>E|4IPfzZVlfS8tx$I6o+kg^s5E$e zi-%wT6$T)L8_LP#i8$`GW@(5p9nap-8ANFibtld^)!qKd?x(+ss{OV5O=>u8!}B60 z-#c9+#AV(QH<>Sx@9ma!HMIHikkm!K@l+H<(KG71PCr=i53v)>8Q|Xb`5L+I`AS0q z?NY{0P}9Sd^VLI}gm#@JqF-lR`o~E>`EQbeD4KB#bh{j2!q7?~dQ*PvWQ}6Ft z7A*+d4momBO^MDXWL`QOs@D+QV-__1DSG^SF3r301J$J@B;9_a<})`RwV{@dCpGHdv1#)PRQbB?f^%h{Qv-j|?MqQNF(hS(Eum?8I$&HZ<1uM0`+Tqz7X(*p zcYoQSSP0yCug1S=#Yxs7y!zE~h=wtaP*hA?>OwuXm!k4V2VFo-M}|sxllSjOrdzyo zqQSLAETq+Z5J}%%!2$|#6ya`>wAZ$Xf5leEt6c z6Q_ZqC*yn(yBKITv39jN^9FCc+n{S92}2>&DIQ}PT1reN%i=Fl^SX_SQdYHx@DiM2 zUH70@Zut!$iE!gW!<<`+_A8Vv+PrUnSo7~?({*PD1#H!M>;^=m z?mNH@s|nkcuB2>*Z5~ErSP*M7yYnVpgd7WLjoiLl0V zCF82%`tF*zZg1$+_irfAg9cu)<5TN*r@9$(>@o;CM47`|yJv(vyj)gC2 zQnvQ3%)8ucBi~5(WL(p60*-%#1RTYXBKn@Itq05R?p_n{7|^GJ_@fEi>xb2xK3wk1 zzT4N6)Pj2!dK^U{z9=rg)WqxZ{X1NC96?!=SvkW{^z=Pe)H_H4N3!p^QK!GSh?+Bb z;)_bTbn!d>;i+V7BuvUZE%|ID8S)QaMB^GRqO#Q?E@=F)_;)j-kilr_Bb^P&37y@2_=UgJvd4@08Axb{^sF(w>(nlI*}6+-U=5K4Z}DTtR1g zU@G=ou2$-9$`I8OCGO`6SSld@L+3YXvpE@g$@#T{5_g(G9;O;o{D|5 z)z!GDsXwLQL)O|nWid(pgO5Oj9_#_jG;;)VrF159d#;fr6PeMg?%N4fBmwm-_+3&+ zNC#T-qGSHR{o#i>)cb-Pe07A8@e+{kRWct};yc%+y+E5b4fkm=K_~()PQn5t<>$jq z(Fly6VN&OKF(9IQePD8X5|mF1)HX2S2m!-6+j2bbRgE!(I^S&xys095_Vxoaugz>e!QkC7e_3ZxqBk5$#iS%qV{Bx-)(f`NL>bM{zLmstv4{_2u4bnEDOio)W{W;((b9j+1G z*RNlg;asjkX(m&-d>Eqkkl$qBM7U`v%{ejaSx^(Te*r!F*rKQe8f#aj*U9H7{N)A< z#1k4KL$Arv?`KhVzBcNi@^_T0y;{AyKO~QD->QtLb2!GCT`L_3f4s%K4Sy|%epOds ziVNr!fvdPdudp7P)*PT<==Tfww0@_{{4#v@FjXKo!9%XNd{M%^d};TQMw6A*bmMAH z0z%v};v$4lZtfSZJtQWAJSvGJ=9xrG4L32@nE4)>&`&(@&r0X@p0ntAN-C&fjUC_! z41#+JwbX{a)%(yk9(&4l294mG8~U3s;Sh7bcM%eE$kDi>k;Z)uJM(s^ZykzXO{Kb(* zSEPV|C7pA=K7*sew!winA89jK z338BcC?k}GNMy;`g7NPLg{QF5IkMI7Z@$k#`RCr-Y*2l$Ky9Y3nsYbNx*Gu!IGh4E zsw9k!7!xs6it19nQO@}Ig5#)F=<^5%mQ38j5A_K;XgIu(e!A1jh2qB5FfCzJrb&Z% zT6&)o7J?)~D>_J2D@|2XR=v0-u_)p-e~i-1%8VRDcwtUU386rOHH2C)p4pgTt21!$ z+Zh-gEQJ8_nu@yEN-<1?!bWBuj48f~I#&S$GBHEw>1T+1Qp;j~`{9iK44GW6Y%~ZWdG_&!;O|Pn$&O@`Mq^|FkIPL{nj&z%|M)&Q9Hq zS!Dd1dP`(P{<)Bf`A26GHAg#f#BOBP#gPP;3|tVSmpE{AxZ343Kaw|u+|klCR(=U$ z=O~-50-ZlDzJ8zQmWe`AUB-Uy;mC0q30pl#FS&`r_8EbPV{6dVu^$VIpT)NyE`rE$ z;9oYpAYt6Ge_#`f@^}lT|igF$r z>K51*YhGk>sEllsMD|9k>Z>=H%NvMvyYdvJI>xr+68V@j6fXvwTMf~U+luPz+86Jy zO^|2yPqdsgUAt#vL*$ZTTU4b}EO$N5W2t9aXr(vrf;dmR_qDli84l{Bs~?hA+UB#z zQOyc+FwGwYyAUunl;vurn9wMNwJf?+W7GyRaTTOiEYpfoFL`k&L^WEnt!A-f;pntX z=ya8Hgw1YbHzlw0Eg0Aro6`q>o18Q^RmfuMZgiY5{DYZjRwW2NL!7B=10Gu&GE>yf zO|DnK^Cc|P^bQ3k8DN&m*@?8OP1_DFTQf4LY=O(R?ozN;87yg>Z_1v{*_l}QEYywu z8bpnZ&Kh}hAu3FzOKs>gBxvcv6KWnvNp?SL>jNA{QfP~&;FL-~#Wp*-AFMjU@chL2 zjqZNi0ZXMaqL5mqgNYXVx1e!SyJ6Z&GkaRYkI-Z8qD6xtv4AI;G(~#gXBxgMODV^{ zinPFEm;wdFi53g4%0M4Wx&4%aEQl7Q7X4)HHsj*c6Q98RwVq(bEyHD<`fYBc23!lO z9s>FW2!kMWK;YjlyUYKP3-jp$u77{Oy>JtX!7p|GiUxu83CQ*4puSPGg zQ%^fxA4fyq0`k|}xmKpnLyRwvkaP(Hhma_Z?c_S$RZCse>HJ^HB4NKN)rQK2P z2s0f=8iaHlK$zTdi=017x^!YcdlJH<3>=-qiLBX3wGdccEMHDxzVwcQovp$%3C)0??6n0rClO0gb`wsMb9a05dd@ zJ_~iCk}fi;Ni8i6P<#x3G)Z_cj(lB^Qe-${9Cxz!%zvagM{AJw`;*D#i;E`HB(x}A zqX}VjYWfSj{%kP#nzq%^+#S3C`&4((X-~*$n%#xpHgM{7Lrwd3GA^zxQ!@H;9bnlS zu%(q#)#A@``S{ZXDlO+Q%+;;S#R>j#jsU9ZFif-EXoS89^_U7v6F_!Ne@{2#%?mRG zpfr=youAlj&A{ZI)c+G=aR3(~IRSKZ%|E;3kMxjv9~t|jcK`!Bap^2;f5!fag4F<& zi@F3R#tdk$K3gu(W|fN+9~(li$R#@;L9Y%3SQzO|GaogZ+_#5`=B)!Y`6F9o*VIaL zg&1(fy~lmb%ZGjW%q|LwN$@-cD!`F_{gibQi#N!086ur(oN z=j;A9l|`pB1gZsc;IFMBjJ7YB-;8^Bg3M_Rda{^kCFh~W&YMkNhzZ!)fA+kIa)@+j zZnwMPVxY3si^6md5r8xtQUkv*bQ<@s#c0$*owq)H-t}k*!s&Ai$sGN03*c68H-dw$ z^slysY)Yh}1(2*2C(wpq8bnG)0Ek2E>VOKiy8QMV@>`Pp*$^yAB@6>DG&Yoea{lhe z2=BZ%XpF$LjFBOnT}hiYufFnG;b_8TUJ87=XHfrGVli`{C1A`AnL)7)*rN%ysNT&x zw>xT+upMWgK>xQN5bZoPcVxLULTec&gdv~@S~19IkYsV&Q*0c11V%{0P|GOgCL89Y zZU6@-C8Ac^me_`SZkL1mOa#=`6OCtgHYfws0FT;;m;%6t0It!>wg6J+ziMhzSQ<!ZaigaFLk=&4218QE-vP$E{~&9kLYouJV8Wmzo+x1wJs#RWhe`k$(|GC%fY)~h z*@uc=hS}E;z}g7y|_+e!U>*V4aay>+;1q1KzIRLv$9`-H0KLDxY_;JIkGTyB+QC!aH9zEs_|%1f07(v*@19ZKqUXE(;4*BJ~dh-9(&NaPrTIf-tc5=aKI=pU%_jGG#@i!D!Xl*C1t z5uv4?s*aW+6{t&SI4z`Ahh?xR`sspip4;jR@4gUVJ+ZtBNDuk zUXUJmvMWr0Y;0u*uHg^8EfbV6Fk`{olT+M6U}!Xu0SW^SZ~iVj%(MXHI{De2&GI;w z7T5cF*NFC0SFg+WdW)rzTelseMqq(5r5j*Y)EbB^r`T4ZsPg?Tg zWc<|_VKs%&3b)YA78-<5+WZtkR#gjHd=?zq=`eCJh*uF5Or_tE5!A2o`JlSDBfAPv zV$D!u)vN*RHV+16ePZc>Pz@SCDxH~CorVa4s7-?kFn&BH+8aGy(e3HF|S4b;Tl0mWWa-9W=z&cWO%fJjoT5 zgl>ob3>Zu!P{aP6Sgl>^jJ=hz@$`E{CW@+^DyqbL@=8m{Q;tc4WERruo4VV z;~3O&OGK&EGpWGy8~7$BCDc8?jCrg;S}6$N#jB)>F9+G=O)fEnXxuwM&RPWl4Tfa( zL#f{TyFxz_%w|zpWLeif4Dkv(nX5a%C9S~slfwBE1=RAgqjEqL}LdFqz;J;@E{2;>}?DV{0b!n9Dbnq&-A*#4lR`Wf5*dZ2z|T^ z6$CfX{o#`VXDnETLd?q0 zjAB`z-=|0KwBmS1cCPw&l$YXIa=D!CJefq47>0U*TD;CkL)ct6+#4o8;PPI$20}mX zf#3#)1wG#}10k>mt73YU1bc`+M^+6K?bczEch9bo71Ru59Hj-Uyz2!b7(#H~E(Lf= z$LX(0Wl&1Y0a5m>TgOwFn4SaG*jE}?wXlrWC@-6wB5=n@_QOD7g%y4=O}!$YYiRJ1Ai9 z9{whQK+E3blUj@kRuZ5VcFBhIV*wF$JVmqg&~zCF0Lr%3C~q(DT*loXpSw=jyR|?6 zRHZ_9J;wX{1=5-I+_;~X$Ta8VQzCj#Z<(e@cf*TS1)8BQc~^P6Q9dPfJ|^^dDb$Jt z(S`{+2NxdS;Fp)VNR8BTxvs@q=RD!}8{-UknIFIaE^uRrk}O87t^S?(DJoVuiD3y* zOqZ&uwSr#!k>J8=DPZ+x!eU%fx5wEaZ)*NVdm~g~6D{9Y)6MCFr%9z?k;i#5X0eS6 zj~U5b8m-?P5$`b0yLaqnvvYIG;%c=E^r_PFq`cWZL}-+smSY|z2E_eFgCYB<8tF_5kGM*HCx@31Fb6_VeKX~vgv@f$ zPl6}WAA}hK?I0s_*%T~i_yZ(05B4&rc)81M#8f3=Cad@;aoR*AP|q)ZXvf;%-OH*_7NCj%%hqZxvhRQ;X>Cx*Qz*#Z|&u*IC6 z@|NR*GUO#@jRPSx3uT8&be@+(;1us@Co9qR$5wGUr}bW%k(4p#XWV}s07Jz^BQ!BaEg`pDE(&h-$umg;RK@pmosXWpi-Cou|eHRxw zUIiUN3Of}npE1yqLRcAb5S|MqDH;kYA3r?9t%K*XoZMRgS;ZIJa63QiJi=DqL`v34 zWHR%NU{Gg1HvIvZCA-=mfgcX@^Y=d>6#l3{OcN-`BfB!FmJDPYQU#28KzMIV>hn+J zSHy}8F#guy$hzp)=N!vSv?XhKQJc0tAGld>Oew!mfL(x7#!D%%EU8D&4^p>PFF{XHjb#6sA@*w%3OML@dZvw@Y`yq|k{*SWVQu_;|AKh}Z>hXW{vFZuwqjtag` zV=CLq;$@^&DB21;pD``lUNn4-CQ{8Qv6$;A2S{Whn-;bbP_hlC?;U|JXN$CDIXE)64H^EekV5jQdmAO z2?<0d_%>c`5=PW#2n?CW%u%i$&P5;%W^&vGj#kw%HQLy7&kTB=`U=zOw*%?G)C(UQGs3P>gT{9D_i6<2wN-2wSdaH zn>+XHZKR7qz2qcWtZrn!dlj8ziw=(nu zsDna9uS31@t=XXT?eX^xyXwaEMUId2gg)->XJ(wg-?wdm(&uCCWVSz8mE`hD3l6e`#Cz!YdOn}~wfsXvSjheZDtjx9y*Uc_-=Q4owa6}q!@peDAW&^u8 zRVrd12YUxHtq6!_uhl=<;nN9mbPI#*yQ;Z~42KfF=*BBt0Sxnh?(-+Jj86HIW+Yaa zR59BIC+7y)AIe?MQia<5NF2O_do^=I0x_Q`(McA`bpIjjtAgT)wsr@1cXvq8!QDb| z*Wm6D7~GxU4nYSHZJrK6LM{u3c-dy}D}G>LuS7AIQ=p zzX6VvarWZzZJRqno&FqeOr44upU~~F^3hTIn`%OBI|6Bd7>}=z!<`UlzC*T9aS~7x zEE5@8TQv3Wfu>@c6cu&5jE7s!!HCxUbwK z$E%1M242IDitB`hv06rL~kZwvA{^sIoBNO~*RLHFc*qd6B znuHdk5s*?0b?sc@_gC%~%wF?SgPFG$k4Q@OF6`VZgYpItc(38(t*D)rk3Pji8R0Dv z?YCx+WI^+agshScoPlP{#6yw-6cui}j869M-angoU>5Laj;>f%7;N3Or;{g{rYXh! z{+x{>abE;I?o8%5n}`XOMC{L;#9~u}bE_poTtioGCJ_=)e1*WcE z;wz3wm#T<7{MPj=A`0w1o~-R`x+|fAfC1-h*CTL?F5z%B=@DakX4H)F1G!}W5KtS= zwg>Lfq|i4qbnqx`HVp;DR)1!HeOKB&3>=o0M6swjMCUFEGXQW^fEpK*pp{bl`h@_g>raQ*TTpVdnDJF8~ z*YY*jxF_{*ZF^fpSm0*|oKg(UNh z#ZWA;rj*J@({!8W{=IyX#%i#J6gQe!+44^^7wmyNQZ5PABg$_jcTjNP{(L8gUwA{$ zH0xoCO5~=T0p{H=Lz{5TOK`(DLb33^FQ0(I&#K)N(ltRQC6uFM7anasHv?M5@=9Im zWog4N5Y-A+GM-eQ5NLMN_d zFSSgg=GifgVg`X~>%IA!#Ef?DD;f^I@la_}mO;jTwoI)W?px*p!F?mzG)zKu=<<)a zC}>pkEe_~$8KAp)h_K)`#X>2(`v^#Yx>9&hPmng=;+|RNZbW56;J3bYT3VnOy261q z>Kh&k!m6`1okZhJnE+b7Q;iqgX*q4bB1J$Fz%q53aA@aFU{Mn-OUdf-u5RZyH$*Qk z?MhjiV4WfMny_5&&^FEK#@|act%&!Ht`*q;dsF!t|KRmeWC(xH6W>XxZc>s2?&ZM} z7N82m`b}@D$F#%{iVGTouAW<_cREpG+J0oAj0P~DQk?hzj8MH;mgsoPMfu#rY=%C-61D*qT!1MFYR+uc$NxZ za}pl8HGp~4i5B{o8mj#pLVQ%U=%N-WR;wL9Ex)9ld7cP-Gdl4t$U7|k>;4618o*Yl z1s_bxgvao&o*a)BZ^{L?^|Id|a<$e#0ifX|Vb=-{LM9!DGzUTWSIWSLziMmHj45R5gj=c`TH9l7b37LOHTM zK8p}hAKCN!zx$S^W(^u3G2`9F(0nUJ;}EvYvk%ZdpGJc}4WUI+VgSj_pKE5oZ@Iin zExqEKz2bw&-Z5~JOe3EC60kUFFshWKUsRvDKbrR2veqHy1F{S_V5Td`XSH6;zaR!t zIN0B!$}qZ2G}!ctd|QF$=RG&{-DUGoZ1q*@J1{Qy&WMURjLGk8528p>1>Ntj+Dvzg zEKZ=QO?@$e<9&lYeA+9lF?qgv@vva>KKyX1biJ@LS^!rWrX`Z%j3rDmzKvH`l1%(KH=m*6wh!b&mx zx6QH3T6|0>I`3{XL=j6janRE6k1UaJisR`viF6^gDetI^vFyBHX^kz5-V4f?Lks<_301AWUKJT%X zyIHTaG#p)5N9>AEbD?hj-|`XIJrVqCJxR#b*;v&fX&wnlHrCrXl<7x^-x6)w43=8) z)Lfvywe?E2No@DZiru@JPaVC+gk(qNbN?m~E;gc9tQ7fWNho&?;Psx+X6lQM39TPN z0VNo|qiUhw17xL<)LzN7Z_P*nW1qWB_jL3z;^@55ARh`VZ$tAjR7vY(<4R#*8K_}v z#QQ}(L>FC|PdBEla?vEN%K45BmjqLo3+;_bd*0gW?I}Zv9Kt$V(>y%WJz^59X#0&O zjd@Lw;CR1S(Qi*@CJQUM!{d#liXwyzWd=NDWZIW!SM*l<=8juW(xIOl%76 zTTawjj91aPdX)~ib?j@NuQl07QKX%*k7WtY@ro52fVG+|W1)=R-9Dhy%XlCk(* zcOOpifBme?9&VR3!TpTZPn#egsm{xR2?)4(t|(Dmak+a@bvEe;xSy8#)$JY7qHvs( z-xYAT7rVUu9<+-qN<4%Wh;Q>GY>VLQaqX-Q!Scg+&c%K7?1s-v6glU;y6-f)Qb~K# zLGd?3dA8-uW;eQeaDdhgnO$=J1>rq6F89Rx8C@NyU_5(7CbzA^i?7a_4>&JE#AcnmK#alM1F zYylHb8nEI4N*K?PiqMjW#h&&;#Mgd?tNG!Do=b+S@pIhA9>&LGYYdTpsjCPzf7ly6^2Le~U)xXuIYL@Wa32f%ZJ95tLx@U9A0N_smOJ4C+qB_0 zEvfwoCw*gEs`dHr54{9T`gy7py7M~Pv}KNJ-k)dE!8{w z=lY<+uHYIk1>l_wC!(p+3PB#k{?wU|TzqtM#3Y2H>H@1NyvBRlnjfV>1ol>gT_lex zbCn0IHgvcb^?s0WA)5IXTDd`2?^h^!emb}PM zVVGLs>&h8qfab~{>OA}lrrnS9Vj5=y4gToYT1uBm-h{TT*MG7w+PtDmXs!)a2Q*>r zy>_$YMN)+ozRQW6k|@2fZ=4cb!?$-b<{eV!kza!Hz3YuMy|8KD$&OzscLguM<;wfX z@nEZps;&0W*RAvz$=>vAw)9;z*zo(K~_zZa5`QXrO(?s+2}8> zXJN(Yk2$7L%wXC5h_#C%kseqU<~>E9=fP$JoCIiko2YGsc{k%-N5BH^b6Jlpv zVeabHM3vyi;+#|G)z=!O4&2%8vhI}CPJ`={-6?UGg`>o}1)iK}y)hqE0qnhbeQ|E1Eqwx9W^lEoK z|G5-HaVLtfD;=XEk1p3|M3{$<8JRaT$ zb%iV6_ScsA%dTj>D)$#3n7xk*W}5%T`-;!$`iecVa`+;Y2dB|9 z8(<%ogrWZuz}in%f-JWNaNAx7m4PUaTN8RX{#4?AH)pH7kFWhERQ{);SG;iklLZTy zUAvTz)vg3hRl<+yXphZ!j|rswPn1^(l;#iN+N5(&0F&p!4mkhVbo0b@Jbx>T)nlWp zuldMb0zzezepTfV`yuCLr~yhBTJM()7UI&gQ2Ixm(3*1Kk~{3SJ$eG33Kb>}WuE9MHn~RYY1y>w;&y+bxYCZJ+N{#HDw!V+byf$M z07KCp6;f59IhO?J3ns=uwf&i_*@ImL99#`Y*#B*s|gukj8m(%)a??wwhWA zZvAppQApqq$!brq*dbII%GAk8pCm2Q%^W$h)LA3fR0cm#z76?>rA^O(~=L-VeedxY_Zu;)J{ z(iBI`%YOg{z8!LD8-i&OT@GOR?m9XO@uOEL9Amx5O6&%poq%v=Fjk4>-AqgH>iRjsuS90%{e5?THOE`AOz%{`A2@M_47I{qWxh}J zmrDi5!6|V(o(R=8O|gBI;DXAui4QDP-jEuU;6Vs4;Wo5}IO@^#5vLaQ1X=rgH`re^ zLGuyGUXzCk9bIN>>M-2Ml?hTx^Tg)N?xpXVxZS}-2}>z0cG46pBK!8?z>o+;WKNM8 zE{P2^@(v!NPcZ_Tvn^or6TyMMGpE*0@+d9V3-Snc%j#hEi`cQHjkQf3Tu~F@$MhvU z3Umd2epeo0&I*VqgLW-`V3Q!$C16B3vw-n(#YG&*)*;>!>rbPZQ(GzMA$e~fo*N@6 zwweH1y(D~*0c=_wplklHn9S|E$TT|<>`!wWUQxqd6{rohGW}kzHGU+C@TB`dQ(V4) zVu)5TmIqMrq93T=l`IZG%s1^?C>@eXn#b0x$|P?mHAj6BjOJY7$mASoY_o_e+sB?4 ziO7u6_DKy`@I>b%IOn4>cvHsC-9*;e^0cBrYQ zJKYFRr5KdfOa-^(GKA=|?5VRJ7;hBkuqDHmiKYkQHU_RyA1mgvVEbDd@`Aa4g0a?M z(X!Kfs6C~3bf>_0gZR2ED11j?V}b9;fAO&=+ve*YFNEl)-!l1M-I zNi5ot$bU5xvd0ulwGWPHgT)HTFzN|Qz$YdbsRS@lG1sAK%=Y}8F8rgAo*Uy?{Q2wm z)F^ZMgRAdk&jrC=1UmH|I$R<0%=#RlRkUY+vrS3B{Df<7&5`xwXNbsJF)p9x&VgWE znkcr4#Ud6n^VE5<2gfVF7wLUTVV-G8!6ci~LLBB?&r|!a0yp7AzAOYC_1>vH)B_iu z6N}!z1L`u=o?CmF(E;@+U9KEV#6@4ohsZjKjWo$;T^s&T>2&nnY7u5vf+j7Efh4RL z34@EDJ4uvy;$T=t@inG>xK@={1a)@RWjfL+IdL~oF zuq1q4<`Thc8!1h#En$hObw{|#FXQWFI~XO?_LcaU2KZESG`t0*-)0hGeffSJ^dI8k zd-WJ(!K|&EWod#GC8xi7rGx!XM?zeVZnImeM}Vs;20QiyOlo@B;7ky$UZHd{^jT7l z;Y0JSDPh%TFz1#0`IirnsNp+VtRC1u^Aa}HCf^KnUxvvunFiepAIElCxsVuZ)3F(b zO8WjR^LyB=lVw^L)DqzozUL&+`H zZO4R$qi3KP6L)M%I5RXK?cx0MAzvt4Tmac0l}S?_jSvRZ2*6lVAuTFpgw)M9W|%bv zkT1;IuML4xI~NyfG3&Afx&#_H9Y2=X*ck*R5px7i@XIg|5VPJI&TC@BPrN zqshIrnBX2(;gsponWytS`LI1+w1|I`TU8w60dnM3maVWOtt$SZa(Ba$UN@7tQlq8s?~}2{7Y5wVdvhDlSP3K z9W*Q3MT-j-gF1XllEamc`jtOP;8Z-HFr)T6T!4-O!{6xYtA`|$eFhh!qWizou{;QD z(DSwSsijlDgCXTG9`+~dXg2$DsG+MAUHm0RvKrj7w`UmT0HJu>*BSX$*mcE)g=XP{ z>6fk%$L(=@Z;BfeJ*&x)5Y+2>aVn0W!z=dsUI$`Bk}w~7tNv}V-Y2-I?Q&t-H)gxS zC$~0c=M76{8SMQSlVs`5JlA0C(ER2qJ|x+MY}Nw7jQ&{tV)ozPa>VN zsyKLZ`%ThuI$zxH`2eY1X>UD4yyNM0sgo|BPsMp7_{$czifX{VKH;JP z5jv|jgDe^F3xbg1VCF%ZO9`6Ncbvd*jWA}5cj}z8SHzGW-0Dg>e)CedCBnUZcrjYB zfFOYWMl@joym#<)VW?wQr`MUru`N2oa7g zf4W2eIu#2-rN*m~_?j>{*pSH}Yz!>ENh6B2$kBNYZl~O2)hi8LC}|$D#JT?n&m0&? zA4^W%P{+w!bW*HYCqC7pzZv#d%X5Kg+5wYZBuH&Svc*~e+>_h%v{pQ#R=h6wn>bi~ z3BDfHdjx9CjtcRGrJ>(@5-M)gR5?pKfMQi4a2TLS1SC>5#aU**-aYMc&_g+;L2Dw=2rr?5c55wJIWNJu{K4ddzV0j4 zSbE}VHtNR%mt48vGS)b(wp$Ncc72U3H*SLYt3!9A`2#VW!{Ey;Cazwz?XSi4J-y=| z%8wVcGB4f2+aJb+tA_$;W0rY+$Xtv`LLvo22H}oR3b<@bSufkFj~5gP{){qt+-dZF zV(KxVTIRLtsW9i(w&K>_i7z<#+s5d1+g9B7@dbwq#*}jE{!PiR4}#i~{}8=^WxQ-D z^CE89_hQZb(Dm=Lw~?mk@0ee+xCZzc(W4={t4iOU_%+o>h&m^{svR^Qe9MX}tjp&E zXwxUP!oQ>oY;>sO8xL56*dRnR?BYWe4da(alUiSvd7sE0qT^1pR}^`Tvdb>EnCcVA zq~~XqJRaH@TTPbtM?Vi96=greMsycrY$5o;1?dP3&(x916JPbr#}^b{W2yI}hQEuD>SkePm>DjBm{_yEF%jO_9DF{AcLo zwC8#2P%lh{>`!d-+oyenUThZ1B!ZtfD0s)#ln+YLS4s8oaNuK26RmbPjo%QZF3eR4*i; zuS?S8rt{^sci*j?oi9~SBK8oqZw$Em&sKt-{xe9TirFuewo~%zSHEix=0z2J-Od+( zyIH+mZ@i@fWCuNEd`T34+oYlla-kBvG+}k2Wq;3c{+SeP=H!N8C;CmKzl3hWzhDgD z7aCeI^G7STV`o^1_=^9=yrAq9rRKvJ)lufmmK~M7Z7%a?SQWW+3;R1o z4U9Q}vE&jc8TNJ`NAuj#AEU$;zDUo++=X2&zYT1K5B!k=sr66bWfXS7DVaN-V!2Z? zA~ZHD)>Qu`Kfj@RAMm9`Q4V?{;18yeKb#X5ai*BCI>LFo8=bGo3*4vROv(cbqjlkC z;Oj~!XL7ULjW31Mo(31cX_Y0fHLEL1~FV7)ebcl?eZFGzS z9%<^oiYkP?1~#ZfVpZXpO313NPjuK)eJlz%TP~t-mPx~%+>6PSEiTRiW#if=axnm5 zw-lU3lGlmsQ8Vmb10S~eh%Pp8EF|+`=Yu7Ren8bQc#s_}atStV2WeFBHcP)9#IvAq zcnzdIY~X0__f0Mp`ie=xCJLKNu;N}um3bRF{J>kj$rCo8fY1$zykDXay5c}c+%Dcl z)Unt5jJUUr4mxYdjL=1tOaHeuKd%8(ezA*$Oj@6)b6TFr=FM(886*(bMb5z{&7M#n z`swt+--OKDiB;j`jQ5Q-1{^x>O{|VnaNh4wa8g@_EdK-oAlq)BJi&24OndSN@`;R7 z&xU9So~CYq+q+dE zsCBWT;+r^h?^e)C=+cbmw)s}_OzbtVP(S^5?J_F)9|b3G`O4ZN1!tY{yY81=iC6xz z6Fu4D^sw8kFNB$CaX&2(X~@Vji#a_4!|M6t~#zFqV4Ii%37u`7zNa^7HC=OVcWG9y(f>W329wvaGkMdwQ+P~ z8ntL(fvsCRLeLoc4Vf^3)zZvNP*0*7W)_Wz^@ypY_ODAVsAfKd4H(SV@e4^6 z%91u^%d>`^dUSkp{AXHCv|)Jkeo;R{Y>}9P%dDvhjlx$)0gh|R-CWc(eHJZ5CqYa? z5}-lE2fJr=K%a(pEJ0F8U$Q;zCRv1ND&R}>+wdbbS(f~1Q;75dJel)%eta>^Ba+k= zbGyD$*O?iEu?Db06mz7y3c%GDTagV7SXKlMkAx>fe87NIWvS9{+Ifv#wHex9|B^QP`)g^$AS$d=+^czB#jRQ`*N@r88L!xjTL2 zO2aWTb)xS5W?nOF^H~r{A@vsH#Z%w-?PlYL;*0qoZZ2CK^U{Tyzp5E2E(A_eeBIm1 z3afvdrX4r_i$3!7h~9LU&G-1%{<&uP9CO(n@uenyWR{1amdl4;rW~UXiA5%{k;hk; zfV0U17{KZK`E<5lTLw!vff7QgBtIghA7|#v>pKFlNiURDXfPrc)j1gRVci)t9aA{> z5D=*0iB7$X!ux!Y8OB~lCTMViW42zV<>0FzSjjoJy6GBNNPfnq^JPSu<6ytnl_wLd ztsmZ?Jd47U{j+G9WgVpePpjI@K|zx3$nr;6XaWvJ7rGH^nIWfY=?M&xyU2{y_{`|? z&wk!qH1|-nrfYDaGR+pg5JF?=VEvpC!y0~^L35OPqRuE61s_qHkSo5BXD#_zhFk1R zGT3;um59PT$FM__J={r)Cqw5o)tXvOvX5QCRVjz@W{Z`frDG zQN$p#R94*DRLpJPa)~jG)_U>VK}%qgUL#I`7$3zv zmG$`;Xoezg?D`-2Td!x`PuIlw?Ruly^L9swZ+W07=QBxjOkuamM$kj!!OVKVgI4Q@ zi$9UyJ#pT{9Ztowd55vNzm^F8iT}Ud*z`6)NV z%~sDhlV@f~-9`|G?p%xqJl%8~WxQQqGf@RSAN)26e7z2O*)QyVz1r-4yMJdG4!k{o zpnB;HdYONBz25s3Uj7W51pc*sx$GOK9Vd+=D-k*Q31MI>USjam%QZrDXiSUM9{*4{ zuOrx6S*S8PRZnbCgprzBNc^>4Z{60ikDorPGFtzXe)#C1KCa8!!S(xm<0Au$xLuiJ zc3c)(U`8WfmXJa6NeoqL5%(&|GY?tZK+Oodj?pQ8<$d#E(@NP&%(h0MTLV_A3ZIU4 z`TV#QyLlzM6_e)Z4cA8l?WLc^JY^!SCw;W>IVDNOjrv8;w)WXKKT3ANDJWR_hlZU* zqZvMvh^j)y`<9=YiO4^pmLHPHGH4H49GlG%*r;0#@U!*X2aqrd5?9Fj+vw0T^V`}i zS#HudWPz7|PAHNpmJU@H4w_j0-rKe2Js3?;$l*lbp8N>ui1XzADTH$;@RvuABF+6e zrOD7mO=Zumn)90$59YYa*lOJ6ke#Yd1TSRqK=RvB8U|NlfpKx>oseSA5ND*z!dfW; zhxMXoJmad1y5?YmHC`w;uW*N(U@?AY-d(wsVd!Yz*v~`91$>zn_I}_3E&-*9PEM>| zMv<;?yM1vI6T`XwcY`aCo?pu@=OPd0qi$cNif`n!O;cY+8P2v29%d!G0Gpp42#7jt zfSA`ovMjx*Cy&AX4vf2+t{b7J)nQIt%VDK&vsXD$bQt9&I`*S}UXMh$rc5Q(qlk4p zB}}Vue3dyr!qkeiVLX(oh7oB#=OmNRARshEuqb2E`*(eXPgeQuTq4a?7qMPJdnRB! zvQv-FVK5Qpk#1r^9wD}VR@TCON<(#OuoYe~J~>F&C>hfPCv|*GA^J-Tlz-pO-E2|1 z)E-u;KZ2m9XJ?&5uhmta^in2!oKb=z+#M4VFuF6;#<2IrhiFWIG*wn4e3-$FGl%rX@ZK@CXEC9WQmP(=95!Xm z29xMCnRchau27?rNa;mwdp;y2B%Bp0Okkfy$H9v+k3!+1IiwHf>`L%X{aEocL8r}B zmRwk@8;zvJV>c08n5_@VIw3y&tdl45US%sdSE;TT>d!0JYPhp<@n&1{c%sJ`;IR~R&a0820uy!uod$3=7u z(@eu>&H7+i3Yjkk1$wR0i*E9z#;)=}AV@n4xH#lk8A9;b&(%weSM4xMsG>m@f(>Zs z9rrv1DhQ-}%cpoKhZY_H)3VLKMNb0J+RAY9EeG1Q@m`%~t(PECI+cV>X&8ZjfxSZM z1Q~b<_?SZF1c?9>xLaeWl1splX=ORu#cNz@=S*QgbLdoG92z=*{{b+mN5D<}YJ{<5 zx6k%!G2yy+1z9}0-^)HPLa-f&4_yk~JMrohEKwkvKEk75WTJJ+5wv9I=qiD!Xop)# zp)ewh;uIZk;!&tW%`jl)&?*=q$NYQGcv&qyTubz=3y~j*;sQwABa_ZQZW7s6eRl`1 z`XeocqfCE3VioGvUsQ_}2b?7pPlY(E)JWwiP!}YUrOPvGA^S_}P&wfjMv`lzpVhUP z&(7grvK!?&|1y8a1wp+*hTCc}SqDPC0&}CgD!p2UdWW@;&-{QE+YTe5T}|NKA6L8js9xra(EJ`rF6V zT*(8a9jV`4jmWh#dNn>}xU;4SF#bA-#P9jci|G?;y*H2l?{Z zZ`{Zo4O7d(UG69jyiPFC8Bv zEfNT1V-gv#?`ZRA2-i9CToK4Rv}j@-Cbsq8{tkr`iT}ARdtm4(}~|xR1#3kE;O>Lbo)1 zgBtodgTXJ>d$cTl-oeMLFzl#ah)+OFhfkkPhoaI3`eu8znTY*{S}a2x=f9DthFYY` zsqYBjWGaBK)W@wBA#{?}a`a|lc_<&|?672|sEluLL6-L#;Sct6q^pNxY9`mt44?2S zN4%r=!oK`CuuCj#kkffi(E*ds$r^U`z~d}i818&Po#N+qTXN+~mO-+ZhU||frfSZ^ zXJ9o*;mdKq{=j~NJ43q07N11BZW$naFIs$huS0k)sd1_s40<}MsR??1T3_A{xO=$a zs0s3ad6|AgkGkH>S$=z*H3@ut`hB_OK6AZ2*jXf}kJ>k0j!m&MZdSOd0W~}6uzXhh zTmvs2mzcc?FD3bx*7oB_&Arn{h!g3m>L%-;AsfQztb$@0lVX+;H$DqUl0E7bd6Y*g znrD1YgFcFW2Aq&H)7PRVbr`(X7ozMsU?Bu%~opV&m!k`T6N;O9NL!-=q`sv=JIQW8dX5PF5l6t!Z_&^ll5BWgLiY#O!>cWzi6A zZvR66Zbu*eV$YJwND&cv>Gne3l+4GCP0ljenff}+A7)P!*BTBOr_fH5qP?mePXhe`?WteMcbFYEAVc4&;DbbgcClPRqofEL& zM0GJGhI%F?4oUNe;2YUdqQUMP7>&gTSgs+dA)Xg*tPHcP4L@}I!( z{36869A`0fWyE%34JSoM(hTt;WYLi9V6|*Q#DU4GaGj+^2u@t~cE-DL_=f5pLcWtA0>0PF13_wmwg!Uw|g(NK_a%Zr$Ip6+rvX{}{>m}a(bnS;2&M&?j5%g~}|8i???;I16h>`o# z_O_Gv?uOHY<&$~~C`l?5W9jysQR$P|3#h&Sc71YOSt_}J0nNS0|1< zQR$+QEAiGM2;qOlh{QICCz(uMhS1(NRmI;P`*XTGo~~&u@xcdo<{J`RdV2z83P&!# ze#!CZ!YK-@r`swuK&(mGoaifBKKIkk5+|=vy6mOdg4xFB>j!w}<=AFH>FM9#+Ld`K zzedaS?(IH!3&PUJH+>g2)gujoSdn_nxfRj$iUKBj-v(kbAkaiod3H9tahcek4D#X0 z7lFb300TRDMMbtuaOUOJt!X)6FXYis5QBn!gLd})(Htxbc>5(u=8}hih|@A z0oPG{yRJO|Ba`D2Ts6}wGCA42z1`A9N|PLV zGb)TZ1Bah6+QXy@5`deqBi*xgfv+1tjT+!W%Rv}B#?NhxeiN^8B!r3!AaGZzS4w!# zWyh89%3ZQmaIW?JtY59IA2R2PW%)h{Ysf-gkz3wtqnm_c6)QFLJ%omkSDJ_iS}A=r za7}}50}!*h;#MRvG`>%|`5bJ>!s1N%Go)Lsjx~=RARJZlXyy&J>!_vYBqErYFy{?q zxcLkA2lojS?cf$~u>pK@lUAFYBpGi0FrXwxf!uzXh`5`)3an!ZtcxfT48<0iMJhHq z=w}oha^VA@-@X%in*Wme5GiXM!JEYe?}>R9Q^`TZfPJX@3Y3Sh_RX-*jFwkQF*lG6kZ)!XJ&MZuj7 z)#GUzFctRIG}-#Z-XW$05DH^?ZMgd6TWyqV#7*Z`AOcp;OiKnq5Wm))pe5Bi@Q+ z16kYm6t7CmD@H&?2tfpzT*f=fJ2wLGd6LS72(TO#9H1M=K5BkBZ_1+80B|#7Tsf}* z2M;?!4p4twF%-uL+$_a>$n`t(@%4)DXbep(%(eEtVPsR)l~}QlX+YajgTWDYq;!N< zxks5BG>rQZnewZWV;_XsMS&za|6G-7LZOkLgucw}rXs$X_S0t^Gs`v|dT%G`v;;?1 zM$vi{Zc-?bK@yWq*k>dcdf#}mZ^ZEC zuZo>=fFVKCj7pZaaXe3ket3)~IY5D$B;DscP&ZWQJ^e9cB|gQ6)huM-oCBoG0U~!* zp;)*%_bGXzYQU698(u~-qWhx(^KJP5+4k)(g-iNUA2gxN`vG^0QQ+vdvw=Oo9cQ+9 z&1Bm7%?{r+&1DZcWL*i*N@P@;0;wWgw%jqs^}2CPj#Ck($-Yc2M*yeZR>ib{c$hCGoKJ&a0!NP^z&N_lJBx3UzT?AZ4~qFNJ= zu?`8ZULYF?ll6BiJfvkXGAdD-*fLPPBw3kwKl0H5!pBgE4|p}eLsZB6Dfv~I_}vss z=uzpA*|~&R6;Fpgt>|{de-ii&-@j-#GRC#Y;vqggo31Vm;VHM;icsbNy#+L@m5Fs} zF;kT@m%^??m5GHI!H9VzVkc|ib&h?O)R6!*a`gHwAgp9uN^r7rrlC$aIsCJ_h+Us0 zuaCtaMx`!3Gh|)ZKIbuwY2y|~rORHZiV-6iX2WM_c9({&fXE3|>BCf8BO!XAPS>M{ zL+|G<1=W8&2ssY#U_4O+fxRa&>cK-oiBUKJ<`g#K@IUVMz0^%h_Y0vP-O7imC=dBi znoU8!W9QVfmx8+NdV6G&ZQ~JeFlVN&t?q&V8+S8nZmgig4RQ`oD6_`#4jtM zy>J}%Z3`aQRS0;_2Ee&rW)8gzZfHZb2&vO-nG_c>Oy0}&DX@hxrv#UOb>w%D`kPdv zaBnd-2&xboc8oe)46{eYd)rUKy>6Qj8v2crIf}n%V5_;`o(*L?+L;kD|oA|#X?5y$Ey#1YT2|}!VY4ml}K647-POB$+mg>fbi zLs?r9L2qgVwGdHda4O;2)9PztBB&|BT`!ArH;`;b9+xXrx&IYT|HBajaSpQEn5!^Y zCKoQ97bBq+&xHT);7g6zKX0kJeva+un>}&=f48!4YpC)y-EX%~!2Mt?SB=14Jyu*Z z=W@A0Siy10(na<1vfcT5 zO=TQ(d-#vL8~YfJU5`SzyOvgz)k6B&434N(oxUCUfdE4IKyWb#GwTE|({GuCy5jo=P6Zz#gI1) zLxbQPd)=$dwig2RsV+7daFeTNvtEEPox z(UGOcKpr2FPL9v9A|3@!Jo1tdN;@l?#Pf_ylGxEFCz>Hlv-(OGmyYhicbUNe*lYXf zC!*j;EP645Dd-&Fdr*6ZV2JS(+(02PuNthlQ%VsuH{E20Ec}KnR4#0_b?rUiCy*}5BV zFxNnup(|`DlS4q(D3VG#+g*JaQ(;`LCDVHLwmp3bpp~^7RNpJoVUlE-HrNkFY1XAm zkQ04#2sq(LhO_)P-YkLKO zKrCF23q83>%U=*`EkBZt4#a?E+gRSG#~wj+3PB_52c?51f;%apOOq0tuJ@R|MSZd~ zm$L2ysWS8`+#M3e$-xz{HBeH>V!Zg^u0jNZK2uR^9BwxOV*+a-HJhZ-g zzELfmJqN)hwKQYhBR(NxqQ6OkdnDyC{}y^(v8=TKsqAnVmeg4yXuLjU3U(DUmP6kv z9PQckvA)Us+4*xZ?X~4&FHSxxM;|S3bj2;gPyy_1U(`ra^0P{)3?>Lo&RNE!dWFx! zG1gi3usBqxwn`o3KEjb?-p{A>aa=-TxH*>||vhmII_9X3tO z)F9bLwhtdA;y&i9%|?p|+ts)y6Y-R4-8s2^cs{N#ygkYCeRKRk z^G`DaQ!ol9jLMg;sINOG)A0Rm7VF-F&3z&?#C(nhWWCrB>^H|gie7BWoA9r(gx1j` zQvGbrMJTDJ$ibr2GP}iu>92A?!yQCEN5xQ@Eum^6L!q9pYW3-Zy~;KXab} zC{0C!eC1;`LGcPo1SO^`9WfU`X~NuaZ&rb5ne-Z6AW)!W6l;In_}8FAseI|lm3?p^ zR29Ach+fv`?>?ZH={Fko5z(ec{UxpeT> zVVC+7vDXhHzFu2nISR+Nj$O$C;UOQTmBUE#G7Wp3~b3sl+k(*WxI!$4PCs^yTHm~<9;E(2^t$v1_x^S|(%FmeGo+ejli;cLU?MJ#H$@&kSY;JMj=OTT!BxzmbUjVug+PF^{NBS#t$30XH zaB~}8Z$o|U+z=6a{0wls`MmVDpCz=65G;@W*SZ*b* z9V`mjP)5R#LxkHfY++80sfpcY$yS7o6^Du(p`(N_Vu05~kV?7vM@N{4bC(tO1!2Q{?JDWtMY zl?-Ke_OKYs*guXQ87)#jxD#CPgkO4@^1O01K_Fe42Bc|t_rU(L%o7~fG%IHIi1f&4 zV&Zg5LVyk)4r=VPWKycwI+#Fimn;bNriH^~*?v8;wlPd*A0{Kz!*472s{xrHeOUM! z&VaKHFCYo{(i z8A*x;^<-RcpkCgf-f5WZ>!XEfE>pSbV8VY31#myDIWhr26b{AQwlE3xV7dr)Gf}zZ zbsg{`Y&dr?g=PyAUboZ@>X{Ad73M6hg;`W@Vd~z(tU!7=f23YWG`0?=Ak-UM3$yfL zGIxb~;~DB1K)u{rm_T~##AM-$^u#et1}_euz7LaSNAhQEP;V&e0^BphCh^KLy*3hK zq%S~vw#|~kZqCDGZXYIFJJc&ghFc#K5w7NY9b(gQP-anUVaCYU^VHDwTEa z!(?z{tU3ionbyZdBpQMAj3GwkEE&KckzSVK7$z%im@FcTM95to!9)4FLp|VT+_6fu z0|N&PO~{~-smvzhZ|0B&QGw%-$lxdd_Xp%=lI|+Mj!jWi{t&f=zWohG86}T_9F%?;}(|+w@<-1ujQP+m`*vDjh*r!t;v)=VFS;30h#MJIqI<0qYIyWBMmpFSrWl z0h?`oOe8&XAr)P5d_RDGuC4n!rTv&u^Czq ztY>tCdiS$r0*6VghhJo`!(?(BCM&}U>)rM-y&=>?3}|gkk=4Z@JJ%~R)ycGBve<{o zi1pku;eJGj7Hmrwi%BU;WTjPr486Otz{ub+5xHyGl*2gRv zp`K{NWE0#XK-0C4x%NIL0s|5d*Lv)ifMnX>AKAx*!;pAmB$hX*2Y)j%WZq)E`#$E) zFxd|E3ZAe*Jv$oFu%23w3EpG9*2lyvt$QDH4&E_iaT*rI5zMWP3AiD8AG2e<1guAR z?$`$ISP$8`A=Xt;f>^5ODZ|fi!({Gem@GSvHUaC^ zK1=3XV-r~v;xw?5Gxx(}d5IdgzYcE4daEJxJ-1=96?xz>OO|)2CmPmcAJZLJFNFi^ zv1=yD1rI9DFfrtOy^k34v5Lg^4ePnq$7F(rPY$dH<8D}wolJm!V)^yd$t2YC z__z`NcmPO49&5)j9$3#^vEI{8=FmHtaQ5*3age={sf8IiKjOm;)`NEe*pInkJ;PzL z5m*n{oWo?#pq}Vh&phd5M!SR_Vb!j1D8i`C}?89Ww20jD=0&enCtS8+zB6P-jc*V0mh`V^D z@Hf#3PJCRa2RhapINYr9Y`8GwgzwB<4$PAi{)kePzCE%XpoE8Sx>l; zO2`D+vaGxVkPe_`o>VjsY(PeB+nVHKrXmJ3?qfdI$1zI zhY!T`Fm*0!u?Vb4XpkD3S`bWFz(?37M;(u62ju9S$FaPpF0U|9+>4lSY^9JkLN01V z(qad_To7`Q)2$>Tz<4i+eTFR(xAp956CJ=QmKAAi-npoiT-4gRsE$)sa9y2?8d}c| zzk^&}_F6vUWP~@hO`obW@tla8>Z*Z9b zTyZN90`kbaI02$+ICce$T-d7ZT-4aPsME-4ZNSWeC%Pau#L|FVPGeIAdB#P(+;dUg z6E14)T+~UfaDo<>wW)&KaZ%eevll*;D#*q~H772r zK5FNRG%4k@hiHf{9J%c3qOg$h-osDdH|Fp+;QCAt5yl3W+yzVXA-RRO`k?EjT5% zQVR*GxyMS_vfH?*u{t<;!BcEib`8U3v6Wm@qz|yf#zl?fq6YF!8y8i^ne!qsX1tWn zMP<8A;A2-$5ciymx=wq)ZsdqCeq)F`hEqr@10Xmeps*uA_=RIJF`u}oD<0*Biz>-Q zbq^ShbQt8Z0`9=MTS1Uaj&kRsc0rK6UuSjeqC;YAi!_|hgR;nsPJM)ff2pUb1rHUJ)wdcsA;bs&b*jf-lpT-385NCk#t za{?Hyvcz}@f{=?kx`yEjAX~$5>?aoFcb+0%z%hmWx?3(P0{)of0{BHPs@u7!I6DA= zE(o$pa#71uE-J@CjG5fVMJ)#xm3j_~C5DrDRNXLKx^htoGhrQNKLZ-MsM9V7vLiThQDH6Qq5^V7pBH8eSphTzQ3MSV{>5c41h@-i1S;g$ix(d`UpIS^?Y7j^bX zM#d)c5bn6B`Ve_=UF6|W4n*J0UOsYBx2ax<6fo}Hy1a=zc$Iuryg4)6v2`N=U6%uy zhR#LBzdg99l4Dg2GC3?P+aRZu9j?h|TvXP58eH3jKyJ9G^5CMT!Y&M62G zH+C-S`@yxRWBX6JsAsr_C{o_VMg8)NPrmx%%P%`zJ0QjK!@s=ww>NL@$GnLHn$F-- zmFFxPK2r91L0Xt_OM%zS5*NHzl9nr8@R(Do?63?7tfRLP7taI7BiW9Z8t|qPycUpo zVoHOQ6`9qD5WXmY?2-uC(zM`$Bg*2FEla}vM9j0~E!ia^X5uA!RrF9e_LBzw1pKt1 z5sui>fumjl$ree|9s;6EWuL_>TuZ=8<%Bi!lJFCdqnW`cIB13(KoCW#z$Q89NBk*N zg76G%rY>D^ka*3lijb*_qF?}RkmJB>U;OE_Prv-?3-WwVQ_uixKmPJhe|i1=PY_+=FBAPly2E-u2R5$NOCU@)R9LOHTFKpp@B*eZ;RR-P=! z$+bi}DW_$H#T3(EFsaD!WcVO}5lG@^))yq8gSByl(IUq!_%+CN4FM5MRVtY3j8h~Z zI3PctSNtLgBUmL1ymEGJKr zT5cT&1ET;1^_fik7}ge&H}Te1zkDPF|8cw@bi7nM3tPk3UP2~SEr!_)04HOv!S<0? zL;g7Ox*@iHu^sSLf*K}B(m3q_bO~$*#D!z_Got09*)Jv$HI{K@Y9SfOvNlb86lvrn zQ|lLtG82fgVT_D-)*)vk0n~VH5^1=wPpQELA#py5kBY6%a93tPMqv~n)dcL=Mqb0? z$g5N%+`Gp$N8T3qhH+(A=F~9uqy{fYIelI8Mh&iwO_Pr@L)pbg#a3t7%M6L;a+Dd& zcu<4uO~3fQ%pkTpLoYM9Q^S~LhSJIm%Afkh)BwtH#p_}0pnR>Fl@`c=2WHYECtn>K zCI+roSC3zX-_r+QiCgl5xMn1B(h!kFMro|M09TRsCaUlRUU@wSxVrnA_@bAd&n)+x|M8oltEl=tis~hfMk&0z0NlvxA7DU80G5&k1pKVg;WZ<1 zHa>7z%X!#MiGWLfc6fIhE+46v9FV82we*IuuM3L}>j2DcV`~_X_&q?<$n=r7RSv!i zHV%5nxb}{5y-^=+4dXPCD%c8v^F|JqfrGD>?Nt^V1m0(L5nnI`;92;t%Y`8>q)8sd z20PZh*s!UO8eA;k%%j*KwvKUVlds`+@O8y)DbE|mcZ072>GaHwu^Jyb#(O>B1gRdgD(oCYj(V(h%%i!xMZ;B0>#Rlpf;Ynw4Q*C&G9BgtMe7$QJk1-w% zW3kDPF3~)6j5Eo>mBa~>gGuABehyo)!L!(qN8QQ6!px+d9v$P%jCd;L;?(QAiwo zZN-N0&@lGX+8V~O6&u94V_f#Z*Ya3wc+xP=)Jur1VJuqt(z1}c#M!LVEjifUl7pN2 zXuek;U8)vMeiR;8+gcD%;fN(&;8AWc9DOa7yfS31q|>`;gqyf&8IwNJ>kaseu0Kjq zX+Aya!3eUw+#vUsv1Y}Wg-h4a#a>&+>852|*)n!&0BUU&hn(PVS08b~Ne?bfe^g0Cmew*ZxAb6b$Be9+4I5TT z2A4#uI2=9WjCWY>>A~ii{%A1jRB#ZiIMAWs`kZ>kN5R4G!>{YLWo*5RcP(S_P;odH z9Kt5{G`cqYn$1nYfh}X)d3Yr&5Wwcqv1hE-(tF0rmSgx8J{K8B5XL;m>V>u+9v^UtsEG)M7!@IhuvN2Cu%c5D+Dx^>&TgF9z+b;_%;{n$M{~#N!m27ZM ztRx&Vf<+~VdqQTgCSV2F%}jBVL0TkjZV1;E3GEl${`?AOiG)i=ro72pnjcbOL(03H zM!{hbSQpz#k!{uhA6N;HY8vn`WVFpWIY5wNXRX+8v21=LwMlHo^@PN0x zW>^b>%0z9R$n+YZ35TovAOXb|l@a+7XqB%?AnilauNKm7gMmj!+CgfhoQ*}Y$MMZX z4L+p5Y|IcR;94vrJaWe=^io+M-MPkj`;aBnb&-1Ro)&)G&u3 z52l1R!-$WxP^%WshA$cyt>C51ZH94)aPJP65U;G`l+m;iMnRzBJ5a$6P2)A zo`oSjWA)QA7d__{-WyK~po-{<7hB-MNaLGUq`49Sl08UHEJ_@lmiaPMoIPt{2et{2 z_(tF%RZ6_DvYRMIg@l0pJ3Qh#Oh~9Xw#OtBc$)4WKRPL7+IW%38-ctLN|GC4QrZk9 zR4#Rx>S!Xnpoosfl@-~OVp)1XrUBgQaYqN_Ewf>Fh^+TSSR3^^BJYPo&6dQaQSx<1 z*m;-Zadf_}QOt3@TphjNK?#Syfj4>!R07^6PD*!FQYke_Nudf1g7*ePz(-`AAzGG# zFP9wP={bkt2ucJ{iQH(ciL{)CN@mgy9$KIX^ze&F%Wpu*3>-=ZcdHe%@ogo7IZZ6idKPnL)_p=HMUAnc5*Rec&}5#u69FE1A}9fNfH6(u4Jbjv zM|TBpFs-*OP^3Mf*a_c38tXkM=`>aXjd8Vo^f2Rw!>Q1R0hHK+#DOajL_vydsNAMQ8>p6ac1{+R z$HE4SZ}bw0L?E;AF&9>I6g*Wh$Qc$S3v-)8$u&eE9fQ2>x)T8|j7AQJ+i0weLS(hV zh$U@xn(_rFs)vz+RV7v7yBrU`vQfSrjutrxtQEJMoNR^b>g7&kmDF0F@HQ=B32RFL z?8r-z8!crUTJ#N<*h*YdIJM|mH&nP_xIHB~uO+*n@Un9X2mnYaM{Y#`MiHsEK|PQO z2m0!Os3=(r??tmbMll z0+tkfz-hp5Dnun^tbXCp2EE8KaBoX%f{LRHidbR^OJK-^C4TFIBH3pblzeASwQ&W; z+)xQM-;mD|m5cxi09XjGeJuiE5X%h!dl0Q;Sz7Yra7x z;zd~E!d)K}Uxd%K=qISei5-?`y3#hac$p6-YuDtF_!h1#c?p#u6%wOK$Q+iDn!$LU zbcQ9Gvp_S+ieBQ%-ZEKp+L^3CC4#UdzEgv??tM_#S39lpQjvlIieyC@Y_U%*mac;h z7(q=wtzo9@$l(zT)!y{L;$GD2>4G%4yJ1ts&MYh= zPBn;<`;r8f1N<#Q`SjJFzWVI*$M)UMw>;w$xcJufl#^N{JO0ru%R)&+_+Iv$k3|#y zXfMl+4V>^mM0qMUHgIanwENZo4Ks2$!xe8LuPopcibOw?_SM_cfGlu``__QzX?vM9 z4)W8VD~oR$(P9aY%Ct9I1LDo13H8{tYiIcB7xzuOXD5gc6*%lRv%$<+eWdx8*%*Hd&1cx)0AdXBHt9C2d*=~#UWnTG~>qG z)J73GN1ekrQy0y`>Zf{$=V4sxB;e@|giMWjSxV?7{%w&{?agmN5&UG|nH6 z*JJ(olTW|=>cKRZH-G)>n;$>=>CL~s`SHzN#}0U|U3Z+E=1u}`IRfhC#J;KspgUpR zJW-!!rJ|{EDbXqs`m8>^5!Ocpme?2&u!eW2acObrnD2AS?_fZ56Rme9DoEdci~96K zC1O5IwA`NM$M+0~x11#BX$|nctCEd6a!olzC2^I31(5v#a13&Z@WX(aNNEtu;y_sg zJI4ZRT6=GT_@?PM)o8GrwB-bpEyo21M9WK((2|lcA$XVQdNrg1z<1>Pj zqhp;A9=m7VtTso0@ou#wfd_lRbCVE{%(TX3`h68o#nia zrK_cdRB5%>>G~3PwKSxmcUD`TIo4NSy!z_XFCT}&-*Tp2`eDS)td!Z{xHn0W8usCe zCXG)~yOyMUuA3_y>_ei#ijt(Ens-z0D7MRpZe&4c1VIp zE}vTimrq_;8wlG7cyH;8t*6g9g!2q|-bV){Tl(o?a=@~s&(9YChEft?k&}F!0hetC ze5@%h@U+PuD%NIe=?Bf0KAgxzwJ$+#R39Ax7&^^>t8Jm^Rw~=nz7i1IgNn7j;Kx*R z^z`l4)6dtQe!XewH?^M~~oSyWlTuh!-WSg2xBsph*1LPFA;-c6%M`NM}++Kim zjjsZck)n2aMFx+DcCq3uB?J~~Wf?b3Yt5mJo>{n8>U|+p^eJ$cFUUE_zFS4kB=+0f zCJ5$*G!~$gDYYr^RDt+{%=lD*VrIb6Fuj;YPJt89Nn8qpq(+!7_!YP`uy7YBWv&BP zF*=qfGMUOi1<3w`*CXXb5a0M7ljNYMn^MAY3S9S^k+)7<+=G`l0_NA1)d}4wnSjz zS6|p(K%?kUWJSjSX%qcFEENqjX2QVxouYUhix@|_&k)o+pO=cReTE?5(nU89c(S^6 z^$*Ff0d|C|R+4y_A+Sx)8kynN)=#Pz(8>g_OeV-M)uVuxw-ExtX9QYxXFY3Gxz?6* z;7Ac3=fHbcAJ!NtTvOQ97td!1%$=Tf)pe130qxY)r(|P6k}T#hAam?91VeA@ucfl8 za9t`&+1$9E3TTx4A}vb7jx(Gf60wD{%A6r!!i9H^$X+j+J9|ev4Lq^jcZv?Z ztDg!!{MOYMG>JY34*W21hQM&xYrvZtsl9eA6@{Cbo8(vP>PwD*SUtwzCj&*KIb8@yw$BjAq$YzL3ZaeTNbKtO5rP_;*;2iTlv55GvaRoWTOWaU1u6!B z(dupvys~`8#gKbfAHY(Jv*y>_+xjDC2nzg3^b@i$xCxVZLEu2=iVp|x93>J-ODtGg z?M(1mz>!;zKmebBKs*95@0wpguo~$-MZ^)axh#8=vtB%_XYkg+42iS|mGQCJ&+U5F z)9PFGY#c4CXUUUFnE-mo5=GU`l<80BE-7o8*`ELhYJgcZ$}f&w=CP zY)eIrUp?%iSI@fSSKh|JtrV=DxpPyWRlu(KrSd@TyY6$~W$)<&(89Ug_VmR=_3X(M zLF?(aDFSyp2fhyxOo$Bbrm3$V6s_yqdiq1_>938?01swvt7l;;bK4Dui=k$UPP2Me z+D=h>ode%``hFG9=fLOW?=-)zyTLd_z-D8nLgCia7p;0mMQcwjRce0W5va4vfYmj> z1}a*wcsgA;tCL$Kx`im>H)51Kh$4O?M!AD1;x}THJBT7a1f%@vtB+rO_4#9!;zTO` z>6h34`sRo49;-n}eqm42w5@Bz4#=JSvLMyA8viQeCM6}0eOYVXifV8qq)sH*cOd<1 zR|2!w5&MlhVb0tMiv|!!dqrGM18)!6>-eE>&(VWO!#tpuDxRM zH_MMdyx)l;|8NE$$s<1+{Qwv;Md~EN6p-T-iNlphV;6SR7cQ~12Xh;#KU@IpMmix$ ztezGeltaFUW5DHE0rMOJmuA0~TlYpPD&bq;BTZYfO>WqNGz8aRA#+=_$$M{EWm|1s ziXm0isf(j#D14K|AqQ?)2Vh9n&X#ndJi`qj{0y5;Hh?)YS)@!JzVSoJT=byiY82`tK z|2`+4sp~mjI7^@71=&%;xd70SD^H2~oh$DO&{3eanit55^FVp<0I%S(##aY37Y>R~ z>k8k6@9124gm!kofE|lM?uL|$5g1vA^+rr^cqGe{D<5~R{GbEAP9bAJa(9g6Z(Mo) z2xO&=g2Ivfc@3+$o5{Zc)(Zbe={x|TRfmh*M)Fxr%U4_th>{RUJU*%_Y~rB2aR~hXr zuj`>Gujddeks^_UW+~n=wYu`eX*siSk60x;6wnX94FNpp8JSesx$-FhS8M0|Ca!jH z<>B;KAe7FP59T6FXsi@@<;rUo>Zz_)8d1oQgsCH^IN_l=n2&$E0`^w6!2>(?GKm}p zWCa!pEBj@E`sKNE<%tZ+d|FVXD{)bJ9rQ{S{D7#PIHr#W4}(yFi*pk5u#GEkz{F!P|Bfp!+GPHUoZiKzu9gmUHFv|6U*`!otqW<(hrxWYljY}aG9Q?DP`+{H zzjlvY`Hx?1DP`^J@r6{NN#ihcIZm5(#hX0G6)s3FU|?qb=}m5uu?hY8qY zSsjGRsQmU)fohu*jgtV2~2lI`Hsgvd5St}=s zajW8Nk|(NW{}G3HX$XMC%;#KrY8N+Dy&xJX5#R98bZG-+uYVhw`-HkiM(B~iA4YPkH=B#atUUf8iIX?JzC8K|0DqHfjI z79#MKO!?ZF@(A!JaW$YwE}U3#Qx>kMIMmg0W6EbT<>M2kJP~wlyE!b^M0t+pi#CU5 z9+>iJ9VOM(A{@E2r3+~jRQr>-TJ4~kZ>pi{fJng|0YKGdZI;B*{0&v_qxtttd3;Ka zS2a*Ab|vjIQ(kVUx~<~`fOywvey-vHRqF?JwVO3jJE)!ss^=Y4i$h(_kaY%BU7j-K zfoZ8&%BoGS_oMle5)j-I<)XY-SK~^iJ5>D$s;lWtd2xfPBgs>{x|-2#HG6Dpk<&d> zzHqrk6Ib)GjDi#MZR2*E&1d-eEnrVPpuOJ&_QV6)`%Pd^Je$z}^|(@#U*e z9stPJp#Jwa-+lMPzda1+nka2HND>JaR27_lsu=3Z<%DobiT$LK5zE^}DFDD^%6N9Xaau>ql4X|gp`JQ}y95|Aoh|{UbRpg-OITUA9jMZ` zNq})3E&F)90=SBza^R}qA+`2_Z0|%-JxA$3_*gSjglW{Hi@_VD=)@Zeb|JZ93i+mW zP0LwO_1IAWjuK!<{$z=lNgs{818-D&#T%!!J+i|M@rXCvzK%9*YkP2kr#73L*vHE! z39zvB@wi=S+GcZoTifGK5@2m@Pq|s!lkur;*U{p|=}B}k=e0NTs=bOiZ;iZktfRfv za=w)S6X7i8d|KPH&*tV^2{87n7Capsg&vqLq=HC23yWXWmeL|MJaoVuO!=zi>=7}x zwLN}Y+rtjZt^)>VWCViHotQK8QD%VT%i%|vP0KmBvQokgneGg9t`f2|cJV?)wjn44 zHX&QYhun3*q}G=>-D)`#Zj_;GIXm1WygZ*gs;F045UJ{KSy)g zcG8AQCV0u7aHFuX>hUUPz&W#=2~|#=ldq!rseE*EoaC5TL=N_x|232ft)bN6?i~b7VR&WP`a3N zXTbw*#7ze*9B^YsvUIzXR_t)Y=6e==ha35Qw45mu%9)IKH1YhkiRb2mYgV4=fX#P1 zX$9*XtcC|F7QzJbv(enPTg%+RjlPpMH?$$%J(|1i?YZya#d8l&oP@w`;RZZy+uP$d zEoU>A>u9bc2|i!<_6Uv?9&m%x8*v-WmFj}a1XvvUXf9QK)Yik}-kzodrjXrpshLPRzMA@!<1VHK+8XJ?nrae%9Gfn+_O& zhwn{1!VM`mxKS=6>2Q6docf<_G*?~WMn3JNB?TT}euo?B&;iR-^}89(O;2~yN)}g` zR7C-^AaNJ>N_seTV1v0fG3Toe*mZAD8k-K-+6nNjhbJfMfC?k26J=1!i~O@wh2bWHkcd3xZrKFhc~f@ z*U*Nzp}-q{YZG%Wx;62h4d(7WJY}wXdzckp*V6VT9tU&vK9~#JzS~O6qLZ>~M0%n+ z+DMa7?tua??+0@q;fA{F;fZuK@m_!%`KE^#kHOrVt+e7rG3RY7ZDYX0@cn!{n2Q`1 z?g>X7SdA4|xUnD`o&h)F4cxHfBit}M1HSHPLv*-tFXkMOA?5Hen9DNOq2=tadwa5o z9c~~&uuigmBsqydK^Ua+aj8v0lta0;Eip@6GY7;)iG;B{4ChYx7iR0+6{>>ml-P`f zu@C1`K^d2u%y-G*T=U{^?%uiEF-Lx1O001Am}4oAtnFVpoJ-8{$yYBc4gbO0{&l=< z7O2~XP$WKp8n{~&N2J;?GCL~03{$A3wKjNDhq1{3C8Wl5j^2jarxX# z2?0Wlc`i0_xCf5TWsOS?co+`bjslEhESwa z;a#@NrO)$7(^8mkX zuTTR?E!Bn>wl?aaHhhu+@*Qe8c-!9D5V-JWgBr#jGC&F0MsB5y$@kXAOEN%)+jgTc zr`E;^YRJAe1_1F4H4+{VcCBV6ikYeu)QBz1C?uf9D2W}~ye*(caGt3~V+iSh8_pCn213h`b$)ypS=>AugS0qh0mHzhoX8FbbmJmaZC2LxnXw_X zmkZo9oR)+wv$Mf@W5ioHX5e26qhvU&B5X9rP$Rd-hIj#L6g*&;97d`{51ls2VI;Go zXJ;c19cp+pBWdhVV}?W7B!@d+aI%drIP?{v$pE|B9cnZcpry~-;mhrqGlqIZ_ z0+8O9#>j0!Xx$cs+HFCoJQRT9RdTqK1!1BiBOyX|+R1`rYinfpxFA%$MFA*`t9*eh z2!Dfg|6mK=NDjm6Q*div5UO8X8uM&H=t*)IKw}*=0NsrO5Z7<yMj+#xyZU?h8VX zzDB-E4qK#U&z8m@ZPejGa#$Ri!?pnp_B9Zcvz|f&8rgNpVHfm_$5I>6C|96Cb%!eE zwl(HMheCx@@pl*s708-?hoMkGc=5qQp?~`P)yIGO>eaRxbo}wnn@4?7uI64eXH+K1 z0%f8P%##M9XQwm5`;dlAUA_uy1e&+xM^Uw!u3rw`o9{LA2WZ5#gqfvAYU^ZsG}^W~P~>^bRSDbF>l|olR(ZF$2%jg$ zu7sUmngcCe2|J5lyI=K@Rq0BO;z^@T-Y8+)cj^n{L_hwdS>+ApefNQSwUTONN1P)P z$AtfnkS5d2C0;g7ZH)rlG%}R|nH*)0E}Zf(3Laz_v|^LH!Z^a3xbP^Ls7B-#0Do}# z)O{m?9sdG32h6bPZ+Co}DOKLc@oZuN{f8`&8sH${Nk?w_VKKEVo<&{YZ)G_=LkZrd zDMG&ns018U9K79{5bjA{!y6ZtGJ&>9d5_eQCI0S$+ox$o=vKDI!7xi{lk( zUKA=KF#x1bZDfyrk>J2iNUl=8+${TPoj#7%%n%Ut$TXXGdHmRTsf zxYfSwr!-0M0mODM?8$|J$CwDSoX7_@3!klymi?R z!!`%$615JNaiRp6hqpn>UAVr}_8y<{!XC?he0zcKv+O7D^1Hh4`bh>i9ElN;_r9>z zb78A5(nKO}Qo^Xlgp7YJl(q-}-kMh3NA(nCljKDV$fGZp^RgdYL?dHUyO^w0%$OVU z&T@c_F+&?|U}Lx-kZ ziOBwq&ViaGRl8Me%YI-Ra2us8V>vOl=KdRq2__($dZ67e?st{?dO=*6@i$a~)OcN5~s+m`**nWA7f zltOVV`}O<_38uc9O#w4&c4@_ykWCCNR!6zlgjI>ulSyANKf)-Fkf7^-~GF zIgYt6`{_--$7Mg})Z}~G<8u^e>Bt+tuI7fk>pk**VcE}l*N@;r??6r=`#Ws%B_i*z zFZ(Gti8`)VN}=3(eEJN$0e~|_PBSS5ZBQ?_Wj}IT*h=KBDSZ`)yt%&iy6h)kfp-w6 zWk2p%_5+_Ewq-wh8ba6Ky<7Gpsd0r=-d6x`!6sjBO}?sF3BfPe^ml7p_QNt#b{%;S z9Ac|n0AvWfSxW|VH0yj307`k>m;Idechk+n)|+KN1m0np!gbe=c=xiOO8!w_*qTU- zYs-Fe#I=!#aJB9F5xf2_k+*-g?588|KzTDwYrvu7`s@o^eWw(Pol|I=h?O3Zd?6|w z%YO1neRmh%EhDTecrW{|9|_`guyj%7cpt#%$a)G_Wj|voLtfjuRw-Us_JahD zdMXyE^|b6qJ9e6HfVV%dYkkjUKXzO86S(Z>47|mOQ7DgPKWlu{-hJzsp4eJ^oM2{R zp>LP{)VA!0Exv>#ozkHvLZO|HT|eS&%YNdMWk2cw-mSxD`>r1$_06&$w)j*keBQR~ z2Y-Qhu_5oN^kqMf7)SgTkn@Of#BTvPj~GY%7LfCZam23%IiGy>r_Vq6@{0|6*Ec`@ z!<+A4Z(CW{|IV{6p@Z%~xj$sD0H%Qa3r-+)jY;I7D-Eh~lO_s;=$P2gM+BJ;d^Gh~l1-`J_FX&pVTD zSKRK3K`>`?I>k@E`r^|r8T5FHULu^p%lW>0m7D1C&~icYWMO2;$q?`RUKDzl#96OV zrsM&V4D}o6kgI47(M3``4c7>doLr3*oTmEXvW#5U)&ZFZAOjxL>hIeaiG8UK$&^k zZOu%$WH^c}0cP7aTcl80H7Y9wt74LaW0a| z8UZsSVUr`kovCZZ;dM&2AlJ2R%}kz6px!NsGmXmHw%H;-j7Hv#&Q^aY6MNDvQ&8-t0cGq~HRAE><^u+@M40Pxl`kLE3 z;p<6nU8TQ_q_?INkT3uUP|ZLJ<@Y_effVUfk(N})(Rk#c3YS=O1(Zc%G;+HG3-HL# z+!972QCQv}O?JYKxeoZLk$baYJd=xS%xqob(s?8vL#4_!KP3qtaw(VG*4!fSF|WZf z;HKh*Pnz64@>}t0)M@j~(>1qa(|GPZ=Y8{xkF>Bf~aLHv@Wgi5hX`x8mBu&#{rU za`VhX&)Mlh!XX^)Bk~m|ek(6AH_ssHod|u)!{(VlWg^E72rEK8yy8x8WpCIO;0=xl zJc>LYJ!i9+K23+K3;0*=G@eD{w|3}T8}udJkpOq^IdcJQ>p2%{)C?Q)yO z3rvb2=iG&|jVk)EAzy$tBHwuGIg1VY>IQwEP18NwJoC_V7RngzsE9|?x%Zs88)2oA z^tI<~?|aUirjx*BK)zywzKk1f&#fZ#8Jp;g^=9=S)$}n>DxI9TGKELEDh8&|%b3 zvFRL<$~@gWgF{%#PH!dhO%hH3cP#2it4|j%5JD&g-7wnyrd!hU;!0}D!e(#pI_HLc zlM6{5*f$Hgx1G05x3$w-x2|&>0>JOkrs>iHy_Jh@+f20*t?g`In5N4(HWT%`_Rf@9 z4EYRx)y<|`g5OJen5Ju8=Y!tby3XQln{IdTYr4WSu`k;zd@H)#U|(S@aQ8k^kS-7xCD3uE*Y))^#>bqsDNWu3_JS*jM*yx>R8-8je}uCBrM8 z+Rp77|5^|yo};*53*y9c6!&XEoOq7nel3U-&r#d~;=cIe%TGUlkk9->_4R{QUkOE0 zpQ>xUwlU2L-nl^$?&KvDF{fVJNGLK9iUa{$t_$O1w4AXC)CY9jze03lM>*FOc8H7? zS-aFr4NOlFF%?w}fVXJqBw4OGN52>+vNe=__N(nNd}doGUo zI&(*odOi2A1>D5JbKzxfRM)9B+*EJ4SvK5oSTho7ZUx6b(}i1Egq(WE&Ge32-8*hK z4Y$(r3fvSNO8f7P@Y-kVbS$Dtc?^{IpfpLQsw`f{<-&Ss^e4h=9NoV5k};w!n4v&W z!ye+?cZ)!uzV+SQtw3hZ1RwS!<>A=m>3mm8Vv2FFbDD!5M&;I#je$F|>qt_-eakJM z$gW7oM}b77%Xsvjn`?7*^#nZfzVb5q`{goyu5O8T5ij@LddsbeWA>KY{ahVeZnC%B zL<1h~+;i*OWlWRt2plB=!0B^!2idi)yc~fEW$w#maKtP15Vq|yjy*ROcNq_P!4*hb z%dO%;m#oVUJZhh-1E5DzPz^V6!Q|L;v!|XLR|(4wJlxI7%e=MR6td*7wcJ={xb3-d zcmuwMEmJ_|U?w;((zqSY}*UCgV{% z@L+hTxJ(^*)Cb_f)S@T?k4?sdBP4KXf-@h8A>1Aq%1unY1yCGa(*}yWYY6TR!Ciy9 zySr;}3+}ME1xR3VTU-MKcY+0XhsB*mF7Nl(t$S;0&d%x6r)Ro%rfO$S_w!7jp$JF$ zLnQ~oljYi58u~JBw%PFP}C6Kq6N`g`8M4fsDYBb(mH`pnYNEygGOm}Wn9}7G8aZc_`-=83e zf$D%n>;4p0vAjVgGJ7btrvbvS%ilHwN4+I+^X?qtp`k@YT|}wy&|e9v4ibuUnKy*@ z>scv#2h-C5B-`k8G{yXA6m#&gWU-<|t+jT)J%+4dMAPP9lSw+vLa|0)%~&x=hLSI9 zQKi$|b!M9;v!S?s3)7qXEj2XqMtRt9&Cpq3MqA~)w~gRMVZttehR1z?vPj`}Ks>ZA zj3rT9y@wL>Ig!_Aw}ZLXg9VujW3@-^Y^$!ouGjLYsGYrstT1i>U1A zp@h-RP)1nY+s``f5zz0}P8!uZczUf5ZdAR_pJf;62(DUlDP8b5lp_`DQ5Dx8(`fZk zrYD?rjFzMgINwI#!7&%{j9f)?y)(0Ld)N+0f70W{31TDWWW3XHM$=IFm^E(AKIa_6 zP@>;DbaO-iIsw=4dVHOXn2y$Y`5FnSol+@>9Y1ls!hB!AV-7YiNA}7l4#}727aqB7NL(%#e;oW$5g&0fUW3mI(HIWq2 zb5>l*pN2*>Yk|4YMvF`&cmMVhaKj*qP`ET_ltTl5GLEvPrsYHzb50SqMtsMj#^JY^ zZz4=5!z`sb#`CLweYFmpn76ACA05rJ>E%CQ?|#|&aOwj6Nt1ME*i`hn{o=Z9chC@M z|D|upW$Sw=?S?D?>TtZ(&`R}fGpiU`L)+RSKH=E2nzl(qih}&P!AweLu}BS`Ox${U z>V#(d_Rep$!;C&x&!#6g5!=GMN<-2&DnT`? zG2b`f33ftmg#n|bTW{^ABpWnB#Z2J0m9T!Tf;z>`Dvu~~AU8x1O!OV2RK7tP2$1lY zF>)e1MlvwWpavC^Xp<%sprsY=HPz`9Aiod#SaKH zX;zIuO*-SPH{`GQG+VSwt5KpL!1TJNJ{u1vg{)WIuF@y5fJgzWOn+e zTIW=YvZWx`C}qYcg{7i&E}h~1d!`Vj&3n`m94H&36dkmfKcSV}XR0-{LQ6Q72^>op z?zX6n`;VlOYmY@O9jP*j#vz=`_>sh%qUajE zU;K^n%=}!)pg@ja$I6NG{t};w2wI+HO53EXT{?<^c?vp=N)BU?E1y1|5k;4p^6_9Gs-|r53 z{$_lalkUL?)m$g=gIjPuTUv0IJ}f#kA*5#Y#Yoor*1j;fR?CM^j88hMc{;2sJ}C5? z(WQ0DcR*@67V$UZ0rxdBzOm7%#hn46r2w61TI<=@t=;->0?#XB7CSNnpurEp06$k(_1r|7YA=6JwzSg>GJjx6emj=yC&FN6_d)n2*tm> z!EGd^ER3==`j+wydI{41X4FetyDi=O#kVl;?5W|X*@~YS-+ik5XAe4-4kJ{ECT+1~ zJU-ufl?5YOti6RR>ZAonM(L!F!fYEdn_VP=Ch6m6=vNbO{co_;-r1|(cQY9iJdDb< zeUM(Yk#0a&n;|&9Y7*V6JRgK4ERDeUGoX1Qg}Bg%&HulE$;o~tBPgGp0jPQq!^rMc zCuH#7^7FJac3lRtyPht=F!^UM_2n5FCp^zzD@h-&xfK_+HhkoOVLKYF<###P#q0kY zTmf(`*13As;~!HEZsircXuBvl`D>bI50&!m>k|q^^>%K|w`tDoOEGmw&JwYYa0ZAK zSaZzmI}6}yPF=TXq0P(X+mhyJSh*Nw){t*{53QxljA}O+Zx9@(h#V{D?>n#S5UoC6 zwcqWIcXJ`=CMxZ8dpyy&2AC4fIl9apkiafpQdJ)U5`vi$Vz+JfuTjyTZh!JEpjcir zB*Y$Gx183y=LlIA94ps<(Mj&hZmURew@15N;haiuy<3dx+P+2pg6*gzw+U}ukFBNP_ zRz8AKqP-L)iCT`pOVamHC32!pF>;(DoY^%7!=xSH)*lM-rdlvk1# z=$NzV`*=u#(sE%y;tr`PH4N)p1Cp$}@KZec9}CVYApfrVqo7a9E8im<`nh?`%yHqV z+``*uf-Akhkm@Zl@|kS|g5qO2agB=jQyI}#QG22NhIr&5)yz(Cy)XrzUF4iATXGv~ zZG@pwAMK#x@4hvdu4&_&i0TtH73gU*G^s7Z{RQvDI|(xrl){!oV4(7_YvH%Sna`Ql zu1lK3A&FB`RDYB(HmdcKoUr%QRQ`Nx)WUa5Dh%>U4toDGgUP9`VpN8EKWTpe{;VeX z>tPp0Te+oUnV{U8hZ_})y2g$rXD;5`9lraB>XWSi++CRxNJOez(U}JKz#S4`f|-55 zrvgdF|Jxat?;wRVoIY8RbiOcw9bkcNO2olZBpcbsPj!nOr*gE0%FeqDYTy7?Xih>9-QkoWy3U(?g((`npY>&U6`>5NOB&?)_Pwu}l4h z+J}G6!`<%v-H}$`EH7T3%+XF+w~C0%*Fb0WwA%Sk8bLQZ9b^gcuAo|YEa zl@K&edOzvSb_V7G)g3Ppl2!D^ggWkp230iw;8`v*I!nElcYN|jgQUc(R9Ih?Hyhoq zI}p~WST40Bn@0~xx5@>NNb6E<4Z%R3Rb&=bKTZoBa&9mlb<_0Aedg*;+oN$D8Dc|6 z>NVUgSC29|`3-F7TJL&T1UstTOGAzcR)pqO5ZFjE@4>vEM7;lqZHqdo#;MK?9^^#b zwzDtaA!5&$k=@MHYp5F}pj^LJ+Kg7`RuMC~O2I3)bDj z{*@-l3wGR$4O)n!*^Ub$&N4sI82<>Ns2yw1d-wcbU}mA2>Dqi!&_#15InCcvLJ<4t z5oflwbKO1yWUOV`Ww?9IJ}^9JjtJ6+E}A7C5EoR;yc-(Seh8J4mk-yq78Nv31ewKD z_k+K%BC+o8j#}~oBFTN+%a7t#_0XtSp(7Ts1n&Z%i$c-YIbBoHBOi3Jz#De4*Ii7p zmzSCUP{|MOdPtC*LJNfy0~FGVUHL22F>%szTf$sItWKC85AqZm$M zlHL&1o)M;RRJDCR8HaGavXj5w-6e~KK%P7Q0~}w?;}awAO{6$a8vEitlV#qnDO1_V z9xvk*KLQw+G$B793|ngkOdYa4a&we|{Gr%t7b3T7y>g@vqMDRyp?f;_P(A86DR87v zC|lQshFGsH96q6W!FWhbpo_y0vKd};_F78)sxoYU@Zfl)>e~A1??U(cC?+n7`g#xZ)}b~Yy^i~pFS;0(UkU$-FmQdK0&V#0yV8?+C2-B^ zC)M?zy3*&t;tIA`5=go?Ee|xtXXv7g_RN+cWIqun&7IIZsho{Ee_XAe&Xc>ST(xA* z#g43YnCtMGiAX$sm6O*BWY@V&NG}yk>gGfHi8J~&I?wj`?GjI+@@a0CjsU>?3iK;d zF^`k5*=nqD`}L0Tq1td?oTKQEOO31Md0$FlL=#z5#1RsG;)}>KQg11AaqC#<`nNR) z=L}dYO>L8;S^?2QBrfsdTUvsKj^r`u1d?MOdlS}J35+oAo{6>y`|=tOH38{tgT=0v zS57&>)xVK7sA2Jybk=N0YhLq~DqcT75q2KT%?p@bmwHgZ_wA$yiXb7k9TWz=cn1Kp zrF#)Ijg$u|8M;CS>YS+7tdDl`7VFEtuJ$B~;4^(sch1jt`}`M@lGH{uqge1wDo#VR znA#-E1Cex)lyv4dCTYsWyUvQKaEgGDNt~eXGBN2)fzs8S%t?n(hv>t%)-Yl}DV;)6DY>AxF&YN0+g$Ua>8UMf0!$rzS_kAK-@T)q!%cuXsYYXc0_RydD zP|jy{-Bd5yGgvoFkB-gZALh`J%W9nFCK<_aN}v7X3IpFxw(-{U3PaxZ^8!q4wef_d zUJ3wTVQi(0tdJS%$`_*`>GV?_^i^7#;rkk)L&>b%aw^!AR(UiGTW&kU2yjp96xahP zZ8dQh`ZYgd_rtgzwuncQopZUJbOGed_5cK*+XIIEQ3@a0xq&|`ZY0*b!vs^E=MErq zAqBQ5OkssC_O-4m35D~E_-Gn*QCqR`X)(yj?nmL{2QqF_&xTN{=KN`;W&t~{nURwA zbmfBfxVrA(@Uhpz+DYZe%h%E-_RGGpj*}B#F}lk09R%nu_WSZG1syIuMkzt|3K$q_ zC$9zEGP(0&pF~aI&S2`y=4oY?iLP=@?dM#ZVG~^*_nJ-=q}0OD{e1ghZ(MvAiChBG z-z`wL(8SpUq_^Q!u)BQsj*MbpRQ(U|a%yVh4ugjn9lRDq{dEnEwewFZ?>fXGJ~dUm z_*gv)+LN^~k~!l)e7Yn;*Kt`P6!r45&e{mE6nVNsiL9wi!RVBG8(*Q5+f z*3bY_&~c|-{hVy|!`yrV1d5w8#@+6W{)5PDdum{=J)HsJTF}wEBd%a*nZ#kK-nrIg zvaDXCtHMSI!(gc%gY;Fpxw2N1J!dxK>+DM~38GZBLvbcpSeWxj)#CZdnrEQyT%c6Z z;L8)}45ra~UmF9dP7HJNwdPT(EZP{WTk@Cp_xnynD(sl6KVx6WOB2Er0*E2lMp|^n))ic>G7>o zK4z}7RveXr45lL&618Y1nn9JDl1yQ)QD;i|THjc!HTH^?v{5{ns#S8C$TK1ro}`%K zFeA17*sm$EOkL!qr%|eWo-=9i?Pa9y<31vww)avCT9KDN8SHZqA=LRwjUU@-F64)& zv&vEYjGXw7@u5LVDBgn!x- zXbZhc(we_z&9E@Xf84QHY{%D;-pny3my@PmZ<}>qtHsw5*=3$8N{Ks1t?GN|t4tUh z_QBJ+kP;nh>Bo2rz_Dbm_GlfrCD2G2^JK5~&yRkTW5PN9Ehnv-xpek{{-%-Q+riaY zATNEPsfT~3Z=R7?&Q(>o*jH(3?v*P5*pQRHQ8w33H`Gvn{qWs6IzPtH+-m^U%5d)& zsM3SEnu&8*=6cUuTpN?tRS0ZQFCA#tTtfJfk*j0q|NnKg4MpF;H|%7L#1Dhes-aqs zdFJ#EERH5tWDGaf6&r~m<*VOe8Uu66?`-oDWY3sS$8y{Lq%)rif5mIn(^sLd;bLjP zWKfeYFq33eJg`lcJ1Avii0Pdy@jymO;1Lv&CI`f;t6_;@UBnWLXaCUmg{6qbe~SHj zUFeRhnbP>+%!^FPjXrks7DG201yop8bom@Sp_82G1+UV3{}hps2EIYIB}$fb7Y9~2 zi&6r3-A8=4%ok~^+R;YjupI;n0c|A2?2jEJ0p{n#x;a<)`fl)Lj=4|r$|OA5z`iFn zb*xdmYpqP(I1?;5e;0YvIcs4C3!Cs=7pHpr15I_ee_3+*ab>Ab#zU4_6F#k}Pq!f5 zq@nPH=EV} z+xaV?h>#+N@{YLZwyZ0Pif2aIVm65b`170&&hc#Sw>X*1yo72YD`7$1;4MWW5K60NOZX71eRrn=SXYX6Do z(jXa_GX$^xS+}R}TG~)&5zc6Du(E|vsMlwTW&Swk645t~KZ+zG^$GO%LpqkiNtP;> z7k5%rVL>@m?Pi@{1&zu9F#(4eVUZQ0>%ndfZ6+$g=odmiD|t)b!%$olf1!uR%xSgu zIstB_Kr6Al~^h%pJJRxLnC+rkutEyV#|EUv{tBK^SPf9QL;U#^z z;lzUb6KU6RZI0NaY6L7}4ta;SY}!N}X6jRh`K}H>$kFG>@C@SyPebipVyIq%qaGQN zE!xe@C2)}$SdIvc>9i6pQ`n))ndYFYz{=TeJN0o&eTobSEZ@X-xf6;CV&^5fCIw<8 zKa<+*ItVXZfNVzt=V))Pb_evi$P0rUs?0)NYWqUn7caiJ&!JBc1Nr}1P~&$lTre2v zWL0c#KL)I792oPfxdzmWP{2pF=LJSPraoz{ZXV*zCR&LG16FBn@`C@9J;`turZkWG zASG%Y{{5(KLiexvC4aD*7L3T|ym$dxH327}Ive)*r53SQ<^5Q`6#{sRDI83@W=#}U z^Pdf>4F7XJ6W9_hg0HdSo*O!`^h9OczF>6u`p4{d=LH0J4y&1*X|^^#XODkr?1c$+ca29zVeXU z9OZ_|27SjnG5G@vpmG#Pi93j|-NLp6pPuaewi8`&b=16+!@0n7(e_>!FY_RrwCzy} z^zBc0<-FQP=ei#sh~}GEV#g)_`vvkir)m;(^@J)IiWv{`i}frjmq0tU%z0 zpfzF3F^)*#U&@T&=2%PTx#gP$Vw*cNp~p{-Owg3{V=GikZ^XS*oPCb%yHat)5XYb6 zR%e~2+W#KQebDMW;R@_uMh^VuOUE-=Fv`0|9+t|&Xk{A1M3=|1ePD;n=f49}?X1uK zLUAa#-N!U>K^3URZ0Zyx#!{`3z!fd;{1xAc1Dd^K>V?c>MG%j-%6^VfRx;5OyLrMn zPfdSIk^!-X^@~EJtgHf(R_J>xm1xwzUt4NM7itqVUw=g>d=g*?EKdN|%K$BSzo<~+ z-#}OF7Y)m84OJW};9Ie_rxDgRpZ#gU)2@e*fuY*)`M}KDAsZ@h9Q=~|H>;4+*lhivPvNTS0$)@vxG*i3tom6`u(x5c| zNm)zX=b#n&P}(Rd0HkYP8vwegN9^=rc)5`_x=E8ZD)M3YcS8oQQt{gi5BkR7%V!%8 zB$b63CocD1=)f~^du`UoEt%0x9019ubgp#bJ+gn5g3g-9lIH#)?SdL*bgF^Z617BC zoK9J!^G%#*q@Bh~?yG5Eh67nZR#@Nn5q$xJ!644>6Sz=_IPOwHevB%p6mdwyf~_`q zxGv~o-L+Y32($_w$hu<(17{N?&J$X#E#o66kZjvF46B)NhRjq?^N2L1@M4IbEX|@E z8NdR{*W;MSMGk&;&@z`(k?1o)U&tZQR*>Qh?wVrnPd^$L8!^OiwMITg7idVw0ya^@ z5U4{@i}zX`xLZ`#sNiFS^RT-4iM#|HiB6ceTfrV&k|E_;kZc`2G=jDSP!rVNN})hY zK}R#Y2PM-<3t|oa1DXvhh%u#1{|GcGqbZ<>v-d}Y1PJpmTI2;1Ja^0gK|kDf248eX zpqi=Jc99O$O8A-Y#CPR?h=s164Y^xvrj8?A-dvz17%4``QdXe{OEn`Ig!lu82kFoMgjeI>diWi4;1Gj{Oe!r>&{Wz5ND0~)4fJMWWNC&t|LoJfn?yXnjufh%+Me?m3p-_$y-6+; z{_`R+`IIa+>8X+d+_~3gWl>MD&DdA;I<4uj?(xxpgyLlLkFr0%P+U2)Del%G;uSGbmSU^~Yhk*ijqa7;9(@_Hq zcGVoq$7|pJBEqNj$zh03N}X<;F0xyWml2MKt&oS&TWWhFieU)|(4rz_r;KBU1O$!m z-F$?0n$T=KiD9DubM1UCUfb|?sXV0|h@Zd=v#mp0bzeSBvZq;|GKN9#&A`o8&L${3 zFsV&;!xqNk@e>fSK~bTF$0$M63J{A~3gAJ=c{D@OxBS135v6F1igZo`~K;*7{T}ZvezS9ptOjFU%Ay4O>@6Jz}k9mVE?`H`@Z;Q!M@hicM>c?uXB0tchpbo(`6w&;1XsAJYzo z4?^CyhYR0Z{T_*5_Q%Eg-Y@H1-#niGCEq7|yc>-ty9U2KZHhhL2MBNE6$ZcELqe!{ zAe*73-LE&NeB`gK+~+;1o3FCN2gym3@GXae1V-@6>MJl_m=NvBNr7+87_+?+7(Hp>O&5n0;~(1xuQ7aJF|;_FOVBw* ziYSY{@3KU2gOJ?W>1A|yU~BkGvG}`e=vy+(Ye*lA$OZ))5-4MFbm@S+T{R~Dle^lZ z#6m5$n@hTVOzeO%@6@E=vi-O5YW>bSizLp-b+Orn~JCf?n|I5QjUVD7ujfp$4`Qz=y=b@Gf{)sQ-?S7bV^X27WntYpl<>GZb zk37#X@OTm9|A>&fpV!{zqW9mTG0Ql(-A_pJGBQHEllI5+cRhFc$rCNFnmmnM1&90F zJrl+`DVae!3&rj~8qE&%#7;@ZPaC{qTf5L(et)rNEFXHyoT?WP9DXvt>aTETF)?Xj zyWpE(Ri$I~WWS6VLiTq&{DkmHEckBWFyy(e&dQ1(uBeOhZar04ym-?S&-b*r%p7bWR7;J@1n-EiY&_?)L(Zj?=xVfooO z9ehWc?zH-0iii?oUQ#4rBfKgj-PpG{ohA+>lPp$U!)~bKDxk4C#ib0ej{pbKT9I=V z^n?QPYa_sfHo}t`fU~KOy<^;m4{;)3l4yD}6d+Q&)4T9g08oC8kS&@wZx+FAU?edW ztSWGLs5i>M*Dl@N*|qmqCkuzZxQW1a*ZptFUwQwwllH!@X8Xy;d$TxLHb` z@Ki8qCNhtR3cI{XbY1g-=t|*T2&MjTbouk~p|RtAs1FPeI4-D4-_;Gx#uj_ix43A! zoKcm2Wx2KXY84>Th5Q-E8(rQ;QmU9rEN;(o!?xcTn*r0V5o9}{D*2--ozQ(D@*1C6 zQ*3*dM}8p51_-G7v2SyJS$HoK$h})cq(jAfa)gA%LqNz>dOzw>ZPH- zTPQ0GdRl(fJK21F{J1Cf?;ga0AkXf!>_2>$_qkBdwQ7xm$*xR&S)J31?ZypAyF|~8 z64>qrrD}Qf0K@Pc_=_X#j|zF*(!eVxye67_^N1kjalt;r`_=ZX+8wvm zMJ2?A@2odvBE;SH9}417kF}uDM+_d{Mr_Yf)El?@cKsimu&jV?9N*B+zrWl%IzZw9HPnh)X$RW4gVKd5>CayKy7)yWX@K z$1vVngaG%r3?>&e%>~(i^N;&`VQbsd);TCuVywEa3X@CC?r4O#Oh7oMRr~=ctSB7w zW#eA4gX-%aTJ5|arB``BY!2T1$Lu{~-Dwu~Eo{bd8u0Rw(uA-P7Li<YeC zuo2wjW(-CstV@xn_%*}tPN8e1tU{T^;dljZloFPnz2Y1xo(`Lc;%p`X#uG49^!;73 zov}_&pG$ysY0IS3h-|*p!^Zv(LO8N!y5V1L6$d4e(U=xuQX9+=g+;rWoM9d=i*7GG zo%K5S^88!aAwo9!)~!xN2XFa_qv1>^h6C;VZrlcTk8Bl`Q1uy>ji5aM>gL>8!ER&$Wf(aNJ&cXQ*B)@TZ~3wo9N)%qhoyp9NYf=B)Hyu?? zlCaz;L;q8{;Iw;__#XY)s`JcSvK_u1cg>lKMI8Q02kcXl0M_SVEgse}2ObYoDwri< z7+Walni6NGouVS2PKsWq)n8(QUO^1rq*43$6+htu$~;gCR6h)Jvf5F{H#7 zXJffrX}P7+UdTZ<8|1M*MYDCTFzwnR*q$)QOZ%r-RY6?xisFU@W&x0KYL$;QGr zL{b%Z{_nPrAk+kkLnQ;%{j43T{78PIPH1G>M!ToGP#gcPyR`d)ol#bqbjxP7>IUdB z!}BbiHUDBL5cRPX`jV#m@oWG=a$sX$1g^(BDGA?~{K@5lS^ zk0$2h#nUr^Zsr?CTyD|JM*}ss@AJzwcKg5PKZS@F<0C`KH?|M^n_ty$kb_QD)HO$Z z{bHI#O1c$)(A;Y;szlM8z4Q`xk-3gd&omd3A1_k|_3)Fu-&^@*n zRqvq;8Yj7}InL~k#Ny&?#Hs$?QZgB?d0@e zS>4rQe~sLGb3T6yo&M?v{UiSuY2-uiqF_TIj&_q*}&3D zY=vwvi7~^~dUlwiD(Pa=npvE`13gStS)TXKWp)11`D$$9;ZAVk-ninK)YHbTbGo#)mW@MLpsTcv{)<%n#QB481{8AU}sb+W|K}bmWzIW#Fr-gk6_S)#XgH$7g{efL0jtaTc+*()$9mx(eZsG^^>NjQ^H^_q zpl(g-k1Jh2Q>1r6p{Ij6G`T`o~!n1W|$cD(LQ-I6;dF%##Ae%iiIgK;<{W-LP z`$d78Y~kfuz{7;$hmmMe^VQBW+UtrO$@=z!`*Wi6^}J(?A1E#jrx+*F#A8fWQc_v> zs4-5vi{N$Q=3exP({7*SuH@p6fA924!y6*YkchR;EW^UTYA04see?QD|LE85&r|F) zY54r9^9JE1Fc9mURRbP;QN5_un|}v*taj4NpcM25u$f=rjQv(@yI9whs}Bo2-4MCz zR-D=F_EG)O5%J;Q`exti?|=~IcbC`e8&y*g9Gsrlo4kt<|Ftx1ejL6=%}bUd<_0oE zY=k+lY->xJHjcy%vMvsD-(Lo(V#RR`8I1OraJ$Wr!cq#hD^p{$``+t*H!f$awS-+3 zs9Fw#EL~x~P|;%J5vkLBE0)%g_=ilg_o^wa&g#Y232``22%&@S`ZT>H(n^~mTv$0( zu?*U9bdRv)Xn8#Qp4zbs^#!yG=YoqpXc6C0Kqk(9C(S`Q-pnYbPG?br-@k`+sb0!9hfNrveU6lw*}lJ^YS zxH_)`(!0!7J=5C_kM^%&DAlo|a7MC!05|zybcfjz^gLXSQQD=z^WxN@;Zm?vg3GHE zsoITx4IP^HrKgX%cly27%Y-Gc!Unv>+cj+Rz?)&@iuKEH zmxc#C1V+1G@^ovx68E2%TFx8#Q{>d)A7rJp{}RU|y*!)|c-FA&e{a#{8+|5$PH58yJh z6MV;&>6Q?o-SDcwr^H)%ke^ggxq8(}g7P~8{YBCGu4R<)Mg;z*b>=?c3I#9+IG zI};shD!D?wZN>eAK`)Abt+U*pj^%okJm2cPFr7wZkkL<-WOhmZLq zAbJ&%)EpjFFOMX}mk9oDZJ#lX1p~PVWoqFaTXlzM}{R&X$p)|SX94e6* zt*upxAw4D=7n%!Nv>sG5q7(fa@yVy7Ras=7*qZuK!+47-0IT_vQqJRdbeq3ucL%@F z+AfzPMmWle=DN-kr%+{gGJzJ7dnD3Zqb!dW(=e~TUP5DJnd#Bav1f>MHNLKKR!3~U zg-`^ry4jvWp{9%aLwwqSYqj6s1>rh z+FaAhq~D#)BFdXb3o#S0nq3CcCS!Uf)zdJi5oKZw@?M_U{60E-cc1_tt|A72#P3ro z@`8(0LY}9~E`J7x>utX~wRwaw>#i95yUSmc>hql4)6;3V|2OQi|8+7etn6?!)?n!2 z{}l6eF{Pbi&vCmin&R)9KO8_9TPMPa zC4}qN!p2H3Xj~Y>usgIT#&> zL;cxLrukSAFw%q&t3)ejC8vpub7csK5kZK(eUPByQnTzp#zFo@H*}9hQ$$1)Ry=b^ zfo+P46MNzgKso|Fz*78z$N@&w&~e<$!lia-I+%YJJ2q$}_7 zYM0#ezXhP{2?6x9(j%w*=6Jy^tC-`g&%+;}E2JCf3b0k<#Z)t!^~q$_ng+VQ9)H;L zDY#t+%WvL{16|)~jst}0f~E@@j{|5?VH>guA1IC?{(*frzzazN_Ox#D&$vPI&pM{U z#{mW89|z|-7TUNItf&HBBoLU=9p_yT_oLyIpq^#lu8XNYPxW*wJ{P#Ab)TIAFCGIF zo~Nz{O>fD&A=|@*q^cpS#jY!uvYQjpN5l}pXPb`L?qgG_&As;6aeC>^0fpN{G5jD) z#~Hr>G#ZNaK#bvd-V+CeZ0@G41J)JuKl-$DlP1#BP*svmG%0W z(Np_NvPDRWmU#iU;IeI^ihl-^k#^-}vkZNj8er>NcN&wMg|OYX+(wNQMNSAax1h6> zIh#uPesQA8O}|W8u&3m@96lO&u%U`aa2;oGPKN$wV;T9v<=eB|?WAydvDUBJ&-oMV zctN}Di7NNf#ki(!n5L??i2<&+lfSjD-jDJKXZRMDkqhyH=7Oh=@{}uLOm#nem^sS3 zx4%^mp?W^>4|~zY3c8^;n|lxogv@!SW;bFp-7)Ns0d2Ja!8CIT9z%DkZT1}gLJIP^ zfWSc^xGBF<3G_=RV~}4LbvHFHT;es^MKrDZF4np;}!CtVZqHr)({&5?c@Xz zz;CK?Z&gPk(yX^0Ut^0A;m0Qs*<}|LJ&5h-Gu+b8HDi9K%=!7tS7bM;Z*;_kHm6+x zrps5yrkbXk7+dARbK#fe@-v%*z|7Q(DWj z@XhBh;i!L*D-&W`RsLxPOMUVDP!*-^^`frt1ODs=9sQDMm*xe7@V6E3lje{Oz}o!q z#`I5u00V`-Lgw^JhqGEWL!Zb`m*!+FU(B!(Rar6aN`P8I+8xY$akwdL4)b}$DsU9y zTO_7G80mJTa#lB{80<5@SiG{8a~{u9t4uub|G}R#SG<=gS~E7+YK>t&oC9hT(94*iVvL*T-AwFUQK&Sv?nDfSNi*91J4Xo-Ic(>Q z%Gbq94*goO`BPLs5;=VqhNs`6y)72ouYoJLRy#Y}1_ig~-rs8shT6t8=ns;&k>47e z8iNb}7`BSH%@6d&0nz1U6FMOw6kOygAr*J8j$aDsL0bas5@QUj@b{ihah|@XieCqN zC7mP}LPNk%E~*&Pl&aG4g-q@ZTx4QQMnCQHHf*%8T$u3<_2T|~Wa%6VStWj2oGB8# z?P{d-(jp?Qrj)S=#xW^8iZ4vKH$&L<47ha3-W#l{4DJRh9Ge-)Y8ri$$|y(Ff*w*x zT18R)c8B{0IU|#tZ%Nct0%0&oZDeOZGLVzJy;aj=_kSvFr64dl)HCB+H{Hy_u8=X} zmIr(3&`BP|#0nhY?9q59F^okJQ&plDyRp{F2vx1Ahwh;7ALZ}LlkiieP;02uUCIgT z(EUq_({~<=z*`)X>Q`_fJxiDaJ2ZLj-K6>dX2RWy+2&kc{*;n|EZ|z4uU<|xf17qH z?zx1im0Pb!m;|s{k`cPWZ4=h9rixp!v+ai-UM$YHR;SymR2u2ScJJFHY9@3-oL3>R zhEc2=FV5$)mNZF^C7V`}wU%s**o7;|sZr7Mgks;WP--huvWp(JD&?FZ=cig@#J!fu zkqdh>`eAqVS3Si;cF?`4aYa^W7waU(aAiB-^31{FYa}3&+DL~EL`af>EDOs1@CXKj z2{lQamHQ9|^FfGRn=~>J53gM5Hj?^dWj^KhFFecfta>Od*99ytPD`BdqQHLp)YLD$ z-ppnuTs~6#Io@#Aa%Ahn74=`vI&@JVw^EOLJvW4xIhQf<|#f$l6Ny~Fv5yzwiQ>7pv zb4W1ghB>uY-ICT3BNA7aEFBpz%`#kDmVLz2vT2wKLW!J>r0Mn5w%$?izpqqcv2a{+ zq26pFNR{j4{1bJC1Y}2FOXQ z@WJ+&iy_|_{fj)fiFGyIS-+tKI96Z*=S_9|a=zW3b!%85il#cedv&>Bclq=@zEGyx zn!M?9?sAS00_yB>A+Ni5`~BGn?XA5%B=FPAw<`l9(;l+?LbQ-KT#ckpo|3>ReQNA~ zEDh=UmO%Ab&o!z%^&Md2JO^!c^O#54fEl=!M+yzQVKIZ@LZO1X!9&Yjm6LW zGT68zRyj|USi+TJo&x0yxqR^P`o=9R=Fjt70Kf|}yY9OR?S5mZ>o)2k8c1$!?V+PA z?LjN5^VzI*IValy`>#?+6#p5X)`bLa*OL=lQ`M0oU93O*^<8CMgvmT*7QYWxS z#7>_hQ#wUl)|1RruJa)yrgSeYnzB(S||7UtG!9AAuWfh7pogpqX zdny+6WH_pyQR(PO4dhjErBeU#;(z86cijh6wLp*<^|_sYe+GuVyLZi!^CZQv*$ z_8{1gJ`5A0gD6LA4*Z@r1m@t+A48m9br8~qZtSVwfBE())8FqV!|;8nPPdCHuo(i`dila@m$YH!0bS@gMcFPw4v0U zJmy59^blG?{Tds`HTrlu&yrltR&(jJus5DfBUNw_u4nDWQ}g$wN!E9A-GP^ql+prm zQUyhS$t%#6afdnVS4BkZw67?MwYR(Gdr-j9i?%1bGR=Z%cwj2AoJzWaw`&Z};DEPt zpL!&Ocngl)9g$VtM(%q48PQQcqf;NkwXUYEvzx9m;BMonR6t-L=Ty>JFj1!+eF?Lj zu`k2msv3E57p5JnsML<3&rhsL8EgRxebt%sEAk09-&`K4BiL(-p8kf#QHE@rgGv03 zzUH~>zwTpL71P{Z*a&Hy+yLXy#mcu)m!sjvP?oe~*=vT(aYFR+4v19iqh#3w#Mq02 z--VL5UH6$Dj^7`V0qLCJgZ|)Ub1cwAt8*Qkiy=4{%-@Pl?I6y(qhMc{feG`^$3?fj=7@ zfs|yhqBCp<%j>gRA5oAf$?Ju9+aMpWQrWgKSZNWUV6NG%5&nzE_;()4sA;18S;@VI z+^_kYl{;@0@&^z2)MT@YU-xnAD+I}FI>U>KI)^ZRXenuxTj>HrQ;CrVV^T%lIy!cJ29 zjWeY=7N^2884gX!KNoM1m08Z})1Uax*7DOV+3PPBVse1#4rewwS>fziT|2hT?h39= zHoyR17F~1Y$z7e?g8^Ts4Q+ppO@Nt&$_`r!<1DjaRuC?!bPrN;r<7j4>7{UQ4$%30fVnuQ=YHpLm}!}^Tk6pPudmQ zO7~|7UUsLAVMqgQa7z3T{&&Cy%QD@j#Q*~8VEKOoF4O-CxYL@OHuxMV-nF%Jh*MEU zJSl${`f?76*Nl+6{7b|2<~Xm*3}rS`h}X~0dvlvLoMUE09kQeeC1jv}0wmuZFS*U<{^ZPldms)S;2MF$}1ti_kH6awk! zVW=%;RLa++smp`%Lpq3A4*pm>%8KMm+xu4~;btbw&YTxHhpTWgXknCCd6g}sp}eJj zCPmCS*iFX|mhvQ8GLww!p`5EHW*RGUb;b#=6eF1*CX6XfH$$B#D8@)5J37*=3x*1n z8=opJf#=4TkQpe@OOaC~c2l!X#u;Z%mS9jiOpD@}O34(6n{Qw#+kghM&kmR;j-Slk zDJ(#yQnoTF(RJXQdW*ZiBt^|Pps|U1F_}8VXyTL~?QO*ss%VVTYAvWtSSb!C9%W@t z^oZ{VB?<*$c|)@_OJ*v#b@pd)swktUo0M+LE80nva9)m=ku8NZN%G?i*xs>mUUK*a zL@gC1Vu$>`M`-Wz`FnA3e3|-*|6ud^zW-7hNjNzDxAPS<*A{^tj6IM@req~468!6} zm`Q{;i?s(FMN);Z8rrRFDdWiqlz;Plor>-~8RahCri`2;@HnG!8!h~~xGsJTT#SaU z0&@hts@Bnkb|&^-g2bSh%2M_* zD;uS+$1Mr$x$IWJU~}J)n6Mu}jpuaYg96=*fC$pL#E6~L$ zdqZ~Zho6b_h5)o2z=B>($)TzA1g9rLXAHVPp&>EWKH}~IJL3}Ch#a_}cNgH;%#Xyr zAO?!qcO?)d0>mylBG;0DKP1jWD_mGn&lFUMwQL3fkI;%Ea#zIGGpPiH%%JeESEgG* zQ6$%jN^t7y5F_m4s;*BW0CwX*Dy~v+jc@F?R(DsImpj5kZtu>n_ePFz7QAp)Bz+IJ zl>pTTOubQ9zVU`CAbA`bcflRDUAY;UI-B9$XNJk_ZC>YZmEAkO506{LRYJl*9Cv7uc|>JQi$z*p^Cz3dy@)y&W{l zUFw5T{DGpJG)fRZL#qkN2s0{4#aIuHt8A{CJ7Cgf)HqjVw9OG4rFE2(P*2NnCY+YB zCd%H7Y(Gw9p1OMMj9PfiSULitG`M=2f>=UvMV=KK267D@N}Zg7(Fir3n=gAa7g*zyW&d|p%)I@Sv;jVo)vVm@GFI!Ye< zHYiPLs6j6l*g-cKyOi4vN-`6O@rA`7Q^AVa(wW^z;-BlV(po`*&{+OA@nEcZP(KFj zsw5nv_!pF2b-QRA5Ul14Y@ez@r@k?)v^@1Xu!|0U!Wwhns`WPS`V0jA%iq(L{3G;AL-SGBSa~OS7zJT0NkSNd5|c3L$CWz!8k_lN=H&l7}K9@$rk5TzxP$Q>$K4nl1e zMyGq;5E@N4otQp}yJI9AC?BGO)o&Qb4KM%m02(EB^Yhx* zmhc706T=Ju?}RvhG8PyPjw6WzPm4l8yO%eYFJf`8H()^M#wf&cW*Kj9gp!%CoN!ne zQkAkC7*FsE1@cZNi=UI8?!yX!4-2v0qi#udrf+qMjwl&ykbpO^hX+yhlpt%b|Arc| zrm(u*v(5;yh6mAw^sExYYcb-s%fpU$)pWzN&U+wmdnZsP1hN&IfYzGDjJ@5{&IGXq zXF+XvCXfv*gRkv4xb5(uKj1l?Pd(S|?ijIlr;A@^(KBrL?>4B#>UHS#@C)j@0YT>5Orb)>`^x=Q$8Q8@0f;EY#+Y_I zy$^>dC}v#05STPeshCF1K^tkPVW_t-;5nDTX^}X^?YM#lY{|u@dJunzV@q~r51?9% zzK$@bIqH9mmJN&bb$z*w@~N>v)e|#jn&muXF8KE{>46Baovu4IsI-fIIM0GKXE`En zHxVyU0x6@ds=o=77MT!VXsRg4gkY6x8_^VKBu!0t^-A||XS6FaVdok%(DdLtMD;H* zL{%c3lJbFqR!L@!br8)J`-moQd9i1$(8_3rC>i94521Y6eek&oYK=S_)T_UFJC(Lx z2stoyZ*F^hxGs)t8Far#achp{N!1jz!WtpZLdjdVhG;Ud9EdH5BY#tKu_- zbFGcFen4g_FWen|7MSA>bKf(V9&F;+-P&NS@91w&cro|1V~m-BlC42A2NTYn5Lt=v znr@HVJ?)kAxZUPwWGI6xVXLl9?-svSn%wVg8?OG`WEL!8J{E{B?tobXICZO3#0d?4 zdeaVEVa-X6S!aTd5G}QoJslQXy(eZ@t(hy1Nsaa7#<%Qat1n-bnG3}kqEC?X3$=-L zyi^DF+sSnIiY#qy-CiH(zqB``JrDoP1CT+dW@2BoXR>!qs!kVru=Tis(ujD*;32PZ zoeNuSIeV!mGTVTzEe3d?HJpGQj z`mDh@e}i1T%;@@H_HDmo>-Ent)cXOgohtbS-^Bw{80kW+-2m_K{Kg0MdVg7-gIJ9eX@?cN;y5ZG#_=A&=nS~);)0k66@l(9z6txpg3X1TRvR7w2$G_!NsDZyU&Pv z#UPVnmQPiOG>p)STLpr^pZ zV)@(lHy7}Idmn{rG*aW2-H(4)5u^oT4!iDDtBHoO{v~{C_G9g;Erx=n@S;qqq`C64;Tv_Rl*$>?WReMl`9cpagUTC z>JWcS*d_8Wya6v70+p#4U|=|7W!pJ{zJYW=@(na>9clA8JiPsGg~t#xMIp5uy@pL! zmvy|k{*RFP4T_FP;B&7+b4zn+3m^TVxJKTQM@ZlzX3!+9%Oc`uQ;pv}qG-T*% zngS82QDR&-4l5O9jSjMeXcBNb?U1xaAvr38>7BrGXb>l^k(d)QqxzT{nxdr$I&fy= zBGf89qdG4|4~sC284D#7xNF#V(Wl$mfM-OvX_6VDBqBO6QtM!t%o?;xDSUqb^cD)IpS_6_|TH;B)7fzZiI&AE2 ztkEADC`N~K27e8zP{j}E=fEHOzOP7PG|9vqa>fvGHm4XrP99r%BkQelkta(k z*Q=pvw1M^VgY*4q?||mIk-()?gGwnhQO?R3iB})d#rGH^m<;+bsCT9hdz>4xjsA=QzTki%fsTVX@X2X<#7~E zP>P#f81SjE;>%KK9)<$fU|8_{@e$JCRcIazJGnwoy*7}fAg6Kc3`VqF3|}$!;61E+ z5Jnzy@+C_sR)Yp$E|X2CXOwkm$tP^z7Uw9SJ)-tZ84v9TsL}ny2)j;(gYYUSBl#k-Kb2 zbaYZkT%brpmLVKF`q>Zh2188_j@{4U$-(XGPlp@OR`1sLyjF^r>@#ob^~5nrZ|LL` zPy3WB(YKsMwXb%yFKYT^p{5i(#TT(rkJqQyy0^oaAYsezU3}?Y;3wuIy#qSo7_TM& zc&s?KSQ?uenfsH++XTQ*JwMUVn%mL~ceO z+`=1ANOsf3+n24U&n{5Qs+a!#<@311O#HX<^H)Jg`p)xL2axMVH-|BQ@H7|7xlv(+ z`>D9YhwJ4`Oj8yav$wx5wxx%a{M&ZBOxv8;y6LD!$ILyNCAb?^uCNaQw312ip@+Y6 zY>sYw0CS^iHKc%_w@&bDJdIb#s9Mc!;$QVhr(-9Fo#2lFv}lKb!^%mu)l}P>e+&CN z{hQ(2%kjtV(aofNt$F|P_v2E0$}2cIj3UBe#Zqmud}z*gMr_lT5$|G|a4Zh|Z_~41 zyTpf-a*7{1b?OtvP8d?FwRlgYbSV$V;*(eTe~1B)fHg`gBR_)dA~ODlpiYa?B6i$y zmgLgdrT}-8k11jF)M&7M+1Mu8Jl_NHNS|x*$V|MC#0`A&`Xmk(Gis|}q6Ja`41VV<@7BLg_pR6CT9+U#S3lRo z$IFvGQR(vj6@8oc{!36($2}?>PAlQF)fGzUyZ#)59?m}}*JGzkVK!ks$?yDwy~jqz z_jXng_;k~8dk_$ZDx^kh>SQEOZV=l-vwz&qK|B;JD^0E$Lf&JVv)waHfI3{=2MqE& z@9}#JGUoO=A-p*`Tv}+at-;cIl{+7*y>~rO43$ z=Z(OeN5RUHq+$Ak{NIpXw2#^BV&`HZbdsD&Qfi@4(5Er@UU7+OSqNjMXGd=I?fcZ4 z$BxpArRjfL$UhG^%Ghu@NpK&K6O^|LzdxogS5xJ*1mid^(>{cJx_dvpK;M2A{=KZq z`v-J#?LYaFewiETht$Ak^I3g_j4)@*(YJ1zu-+ImR!u>TN)GyCP~5@Lw)cJxhFoRG zKJ>`T%q=INmh{?Uv&9}(X+9itARJ{)`-qvBeUvMmsMN6D<_di_d9dm=D8MejC5bf?RL%rl@5y18lm z_9QBQGENse{onU&h#WMRhYUipEu%1yk!6T>)57>_O^E|v!}5@iQmju}0?+^;Jt3IV zi@Y5{n26&qpV8Cs5)?jAu1-IX(~rv=ykFj*1Vp!JT}K3yWoG;)+rjrbZEuS4k==I1 z+&G+Y4V=lr(_u>()%CKYts^4)n+i;|9H8-sNW-jVD(!aDd-O1=WIf4xe|j?XW?!IP80)81n2kJYH#uxi+Ih>n(Sq+Ypy=$F^ZCW8|>Ut7RSEF)Xc zhvBjVf(lpqj*){NJS^e%-Q?Q|^u7K@4^jesEnc72zCvQtjaG>DXrW1Ii+CckP}gPF zW)4hc*2fvvrOQ}UAjv$5PD3+{qMx+y;sT02+z!hm?M-OyK5-hn@uw(XGZS4lMNv+qA{=X5_L#Q;Q^`1IeTXt6$HE|58Qh-0 zz4@`!@>ZuH8~pNzX}2n>9)&?)1s(zCh&R+L%epZYplAUbAh!E2b@@iGc!9WZCKQer zKbl)!-B`+NI$g7S{pIW6Irz2-UU9cMhxola9PBIXUiWr!AaHFX0LE8U)dD{TUHi&q zze`d4!G}MjZmE(I@Ity6|H@d&WXqvGkecZ2M;}l_HPI$jTml0MktB9CpLjHWG212@ zE3Pwo0SlyMVxET0($>iiA@Po)5P(SBd<5W7DR&3k!*G?AU5C`S8QQC(-QDB!{AGVQ zHh5ziLS>9!aO5185F0|ZGjT{P>1}XSZG6GOnMyn{)ar!@QBXcn82{=DC-S(Cbi69I zGmEHjktmGz0`dtE+j%aJf87eDdfyaAJ0O-kA(oU)=UJUc3jWU^iWl4UgZbYIbj0n> ztO-64P&m*3t3WgVPX#*D+ZMk)`Q)coE+4V3v+l~JwL1{oPsykrssXBp4mNm%M4nr6 z6Km9F%*7A-WbpP@dHa{PhJ#6f5oRXQxk$<-hI`Iq7v977WQx!0!N>4qs%>qp?sx3v zKSyrgce~H?)=oKHn_b_o5BIOTbBlK;*XPq$SKZ$~xBBKxq3p{S&F~wwN?}Ul_6_+P z?9J*b&V|hq=kl8vnqlJ{+EJ?(n-;2(ixr>!u{6QcQ5Mn8RxalyYkiAdF_P^V(sT3H zG%p9;5=_dd1siX8$6uOJHa<%92;5B@$;~C9DICj|(KF!Nj(~vG$j9QLxxi|gW<|r< z@u?XZ?aF1*%+0N27<2K;>d2Rz)>ubaK{i}5!j!EpcG31L6&=Z23arMUs>GMZDjkmI zXRe?t-bsQcg<`rE%i5)eI(2l+ZPd(W>&pgrW6#PGnevc~QpWV*ma2&75r)KD;t_Hg zANA_i?RQ3M{7oY(T76hY1N`a@lnR|H9Lma)rR5Z>^Zqr9Q)PBcgS+Z;yhTo`MXgin zbXX zweRie(Mma2-5u`_x5w|BMQn-*8~cI?B@4c@QHseyoPh`atqIb2(BZm z6it&_XB4Wl3kn{zWep*gM#XV=f2p%769<{fxYhBdGv^h+tIcwSO?7bbN*_e#7KjUEc$`oar z6*8W6I2YwTXeE`ymXPH}x#ZQf1ej$xeRj~Ymu|qWmppA><$R4!9jcI%lry{Ryx8rD zu`J9L6<`gw+ewWQ;Hd~GlJE~N-&R$lIg5ucHoho}Lcgo(kRvT-1+b5_<(%ajkIL5d ztg;&S%QCs-5%kPj9UESKxy5Gd+W35aeIu&9B291*e*e9^%@suWtfegUZmFEVRGw6p zbgUD-s<(C~C_X`$3uyB2%13_U>U3+35yM38A_@8%4Ad%#0nMFR1s70t zVL`*FI4LnpUo)M`2A4#j2|Y$4H}O-#6~|;KuvkYFtXAo(@`gnK+s*~F$T9IU9&Te%2Q`QOD|L_p=lta6WH3~Y@b*}|xdAOmA9cy? zvP#DguxdeB`!zK)f>X|QoG#GMd|y5XnVr@>$-bg-o)s$e2O_y&_GTJ()2UbEmzC1R z3cM&t1lMQ=fW_0WkG*5gnF~lXdw7YDA#dihM@oD%D6Atq8Z!x=PG>$-J0P_#nc*gS!j z&+{Aupe(Ui=XTRH&B#dPkn%B96*WgA+oW(8Wnp-7ZfcQV{9+tJb}`Pf#iUVZ>g!ZN zz|fQ6s%KrnGPRMHDbEdMXB{1X#v?gu8Tcl{4Sd*hd$)GHJ7`)t2yg5a0n|>dJN5|~ zkDC9)afmqqlrW{}j8);pJehLppHh*=v>M4NJxFgXJ-4xb=s`UJS}H+!_IWb-g)S7> zLi}Z|u5=u*Le|Q_zrlK7iul=L#Et!>V zf*{KYMjsF+j=!bCy8)Y6c4jwBs4SJez?PM*tXF_dewb$=stW%3qF?1x7HCPqr@;q+ z!pi#5X-6nvKG+->QF&y@py2h<6rz{;G3v~@v|)U!+5}~i$d9Y$893R+#ur#@*!dAD z0jf*-dG_%$t*KfvSn9jnJ}-t5ZFhBi)sj~>V}0FU&o5Oxe8woNLQGiCp^eaWX3%vOVeVXAC+Gi(bzx&SV0jFgQw z7n_W@yKT$N``Pxg^^_A^tEdGu+#mL?LTZ5I$`Efx37e~lhL>#TYltGlPqLggD7VI9V0wvI|nZoFfnwQFSX zL?3)c6}opl_&*8Yly*9?V@8bM$f`SC@ARr0(+syZzrQ{FlPfP#HS2It5|E(h6v`etG~Plx;F$Ue~GNxncJ>n2*7lKuS4 zM>C<686o&U&^w?+(7#N#AcPWj%V>m%E%{?zoOZv(x>HDRoIQ9k&goumGIc^{fXUX7YHy6yMVWIiV5iqIgc16sSxhFrJuPgPIh4 z(zs_tqXYniYK09qZ)c~qyO;nT&Y&;<91=Sxf*->`}dk=ce9M2e=3|ww8eIT zI{Hp0a;_m~)kMP{kpbxmXG;ErR+Pz~+57d%8zfgYHKry{uMvv_-}*M*~=}V2^F%QlkSFeg$S{x6Q+4mixr+q3^{N0n3krV7dEx=2hZ?rrzQ=-_p=%jrjW|;B`}O7h~kNjZUU3xW$QTPyT>4u20X zTjlf(Zf$IPw3agwb!}`3=Rjgn0x8mC_y6GJfD*=s3novOJ2DfuoFGi;!AMAq`C;|Q zzhIF`n9CcemVq(M-mpJ3VQtyLX*1SjLy*tIRMxqM3~;KJv6J$Oz-hugsfR2s1!En( zVin0Tg*`MWPiYd#fS{WMbE0JF;N3J4nXcp*B8BS%6*CsB0Z2bZ4|Jva@#dY-%w13d zK4}Zg3!H7pGQYPRHi_RE~4{#`?(-T;IL1Vq9 zhH_1Iy;s1LU$5hBd&_XOjf`>mimSgzp{?EJXDLzg?Qu^^b}eVh_zN9hq&Usr5glfV zuaHrPyTxTI}%PA`2D8n&y8wC1$38sYCqCMJptT3;Jm zJWI*iDgNFA+!Fwwvk<^OGjeALG^Urx|w+xX9(_~(0b*_Pwqi9IpaSJhS5hQBvZ zDJOlOq?{n*dO`XC0$ITdNyW0_mL8*(15ye9-&uJ#GUjTVz$1W2jE)^H(X^jA0=F}W zfO*?UQX64ts+t`8v}OYcmRwk|E!iysP0yGK9%jH#Fhu4Iif%Ccu2lQ+l1w0dM~IBM zGeA6vA^vD=rcB(}bH&6Zc&Jc~eIvFJCkcKe=0ywwBPe#kw1wXBUM(q zhISWV{jn0(Cp$?L2fhj1%{0I?BmPD7X~g`O=}K*r-))l|GM8aRBrpxnt#pfyJ|)F| zEyDnklz=~6_3l+q6pdh-8j<*2eIP{&#pr)6ju3KQL*QdMl-OX{91`E{7K5j6VerQ4UNR|~_ zmxQ=1xV~M9(`BK#DgUu0g_d*u?+$Y!5Gcg~@9}8c>DcW%ccnSVmrkpQu7M%!2$Y?O z7EHm0soua2q+UQd{*@60^r%jBbVL0BysK?A83FcPXc+&VA-UY2N(UOnu^K4~Ld-3A zacYacUIUv^Z*^F^p{ze#7#1+saC*CqTjaQ=%zgVZz{K^Vvm;Xg88HJjDiI&5&sdv$q%Bf*kV)|>cKwAe;+1w_Hb@W>&<=9|PqzK1%cQa4)lc(&4)e_y@#AjDl>_}^VpIo5rFNtc+>_qv8n>IWpSyWu-unhPc)pSM|J zmLAWa(o?a&U?@fMPhqk^Q;FjR*hAViWF6Up7UmabzzqycednDjTvA z?IRjpQqyYynFH1MoExA}`sFvxj#z8ibV^(7GGa=sgOb*M@ef-k$wmmws3j-n3uPro zl%+-yW9(-JROm?wRTIZ>;YJqA!3-duJI1AuR%_-4a=*TK5Ik(4#qT-I#~lrFf=Cl6 zX2zu7qGwZB$zclM(ba8&&Wp`Bu7-#C*xK=&(o)ZxE*TH6J~UU0A3oIv4GI)qy4kGXUhA@NOz1UCk(P(%6NHKW3-DgWcz~#75*R@pd;P_Z zz)uqggb23$Na9$z(l0;=?6Fg@o7A%hp`Y4y>YlrEzI^XCZ_bc+a^Uds-%!L`-_E~- z*VN3tXiLg)r1K4H#c_^jE{SYy{qL4~-BCr!;df!1|DeT}DP~$Ig!>6^zh7v|`)&K6 zF}v8f8uRy8kpBE8b|2y5lVKWBd8`FTRW#VvtB|~HQ4YSA-Ud>O6)p~^*-xw6cN&4b zI*0l>JEu(*DZ!h;{iCc$paP7fIwlOnepu^f52cOB9}5Dm(_s*bA0*-jK6OUS${jNI zgyo^ZL=}w?CUu@!0z3yn8$O(`oWd`n3?YpjDMr>Oad_kCypF-t9{0mamqWIfbYn%} z36_YI<^DG#VaoO|vy+_w7zet31jes`T{GmE%<kbkmur()V0G^6firmV#rSA&hc13cY^=Y z+#9vSP(+Ld-SzI*_!-JYH?n~}QY1%aI+g2C+Zgr%Nc~tiu|(-vzD8HIl=^lE|Tn}@F_%hF78I%l^Plak%n}xggK1V ze6^cSLAd59UO7T<41z_W7>z+3p)|)8#v2*ATU2_geQA5<(9!m>>W7+EC%+cGkH7e( zoBhjhT>ZL#KE`|WA~<+D$kwEMD^K)Q%J96?nv=SKjo#Sh1A$wtn6^QM1KHB>dzdfj z(|A1AckgPV@wtIU*B%LqFSl)ehD{C``+3q{GRb_kvZnkHLs ze&fX%UHQx1;XqDfbXSS%Wff@(E<-fYY8@72LgZFb*q%r;v$PRS-ypv=lnL-l8^JIO z(v%HCX|cDUC?nat!Q0)^*~8ZLhLk#VW1g)ZhxSB4YF{#1s0?~{lS53`dD3x)xB%aM zlvj*2oQnAuWEELEjfk%CQ$t>|L+?P|TZMoZm=s%cqjF9ZhN1-As{;if5^P671PVd|K^d+Tf7NX&CX7AP(Uz$DXp!WlI zTJBKzC+|L?Ni5?#e7u@7&bs7RtWDQ#nWK?jUPQpjgh_*=ic ztH$9ozgBZtCW@Mizn%n~8ow0LpQif0YTw8p%`GJo2?naa=7y=4Grdi< zI+>BEIj+1#=TCdLU_mlETAciPMJ%Pi3l#>C9E=K zQM$0c_n=0kWjNyg)gjtJ2{gZJuTRNFN?UB=Z`Ko^vMtNN*hPpRTd}8Ve>n>eq#|3@ zl8?RtZ$gh*j`L^{l4S8Edam*{9Py%HCSe9rO7b2%x7xlUY3tNgNp`t78=DtJ8#rY@ z{C&&}A4M!BWybG6@k6`4y&qpUFE6c?zq@|CJRjfn4Z;NOu zN5jn>CPTU@r0L0_m>zjLUf>zfJIso9?NR7M1RcbS=f&?R0-0N9ldoe?I2p&83b!GH z9Pajx2?+JM6VTtseaQ3D;YaG1od-h6T395;Xk#6{c_94g?FX zLyq%vCdoQ70ERA+ohn{&wzvRGcpMM(D>fFiB++mj*~Cep5sf?C5=+}d zjyoYH+-Y^Vb}Fl;G6+08gcAC;alZ7F#$GSh>JrKmkGK>hf7LMH(w?s;gE7^C`Wc9f z%A9GM=+zZ3vi%}Pe=44wCrA-{8rH>gh`l@Dzg4(YX8jPDAa^2R@F8{d$TAdaWRXDF zWNp~}rLmTl<-7Od z8ttDI`WFaweE#zY(iF!3>~IF%w&=6fwPwTqG(s*;Jn|ixvz%Bo7iZr6pw&2J&zn93 zznga%jMLV~V-)*pVe*7zQmtPWjOQ5(Sb@&2&j8^{Akg%Rmd8bt?LH{m;e}#X zZ}fW2ymF}CL$8!@ZJzRqDp8xWPBI%0ct1F`8_ZfgYU;TPzKQJc`RYiGhGRW9g8r!j&&05uA+CQTu*QnDW>OUh$P(` zPV=mo*_=#FfXnUyp_f#$!s9~Zu6JY>a@aHXCx#Yjd?h(uPceRvsMz#ec2veVr2|(M z+N9iUPcWv}6(B&`zW1K)<_Pe00}#1F+%?F3!yxY=H}?@n0^{UkSE|tpvxU~QxqiDD z@cTOqpEt)`@)l!jPq}+Q@SfuELf80~;_^c0)BZ~5wWhQi@XqBGx%2PoD?re*i^M?l z{U%}$`49T;Lhe1?>gp=Pt{0C@PxlAc7}ePgVs7opDe?vun>a-1ocyaXolN0 zYPYgyNh%SCQR7>(?KwIB9|m-f_S6#Fv*O${auS*SHuNvv?xfp=NKZ)yX6h>6Xq^<( zb8uYZQXRRoV%Y95R_C+gVcYX#!HkJFwXUxx0X)AN6K$cuh2jcI%2 zr>6bGYkIt2V5{KV?);p;oE-WR{`UTQUR>_n{O#S*>FN4-`Kep;?e=-P*=ZUox-*W2 zgxWUb0P@cYkK{(zbbSbF^4w_7_yX0wp+XE`)NWvpwGVl)9wV(y-u;1kKgQ(YSUPz! zJ?08}SBpR@oyWi1x6Y86njd+%Ps#Q{Aln3LO4sZw%u}yk32(1tbw~T!?6Q(S{dE!| z%D1MM;nCXvH^&*r9C}YmU92wZUwOG;8wPIGaYAlQq-D_Bo+-AnDJnacPV%qt_M56g zS+Tb_NvQ;YH>Mcpqvo|ykTAZcCIV?!dLr_S=?d4r1j3ms=@voM9W~wZA&jgQC>(v* z!)U6eQP^VDoBrP*=Z8YW8VW~U*S?d{RtBA)r|!du%G~EwU0>f%TCpQoe!U^w7I^!D z+_Uj0_sBo$FopEY@=0972bkaUFYS?9gZ{OMQAvLOUporq<)fY#;}{wj z+DwbdG|Y3!CfpfZ&^F>bbswBDbptwhKQ^uBzpha^ewtvUA4WZ`$48&y?y#= z_}QqPxMsLNk!$^VwD|bgAN)NkweVj0>v?}N@wXRTwQonot>5zRQq+Zm-O%#yA26i{ zZ}jvDe%Nw?903}{A0%-)Parj)?@uD~u17>aw7IUJq(fia)(})ho$sfMk5U%J5&N9) zTcTs3{4dmCNkkaqZ(x{Xk^Cu%e51Zs{NRiqj9`?av|fe$4_CB_NTK1|UrB#|MDw?H zE98G5|2ubE>hSZ*Y+!wwZCYb2l)e|c4BPZiKh<|v?^6+Ia{r5A{S=RgYb#nKc8s|_fss+(j# zq?1fBe~r;d+)3k-Q{Tr4G^L8vi&N61Y2g;5nQovGLNmZS(i%=^@TQ4Vl=TtfSkj@o z@v*0WWsI4=wzj%H4uc$NTKSZpPoLEBxwLg&+}Hg+_>2Jr0{j3bvWZ=%6iF%hdhTPK zgFMXR#mQTfyy7~3Je=9#cw6zk^slzFqdD6I;<#r?ixUop*Zoz%}G#A#$!K)## zur~eVh*8Mo02|W)Ma1BjjIfkU_N@sK>OA4sOr<4YvZ~XQ>L@=_x^iz2Eor~ zi?@%BcxjP-v*>6B48UI{3pPvL(7+nZrMZt7lkFGyuOohRPA8DYAsqY|$uhD!SGP${ z40R5W$Pl)bsuqm3N2@6d1A3z;TAYJswD{nhXBRF2-D#tO{ws;^8eZk;>Gadxi?MIj z3@)Gre22qjo{e2DTH7S62|Nb}V0m{KG`H3_y*Xk+bw~U11tvNzN@Qk5+{XYV3*vww zsU(@4S8UgE%mAD2DECLRbR-6GCUI`L_3X|#irZpua*T|O(q~V1s}`g3na!t#t4DU+ zzH?n>`owL^CuG=qi6*xmrpza9ZVQ z#tz&kdHG{;jib%%88Ps?>{8Vivs?OurBJ`45JGo{!8CGXf#Gd(da@MeE_DF20CaZ8 zv88AHxa@A%o;{(@8?K?f_Ra7iRf!GXjucYT@Z$WUvEtMmPS*W#W1-M#zU+I9J! zb7gacrJGdZDGzH=mxcSeX8zrddAvZl6t-fKjD-u?JHOTA(ov~ZjRKo#ATL?Gz|}Ok zr>#4kZsj$5XFb0nCRI@?hbt!K`5Mb`4uFtuY-Qb3ZkJuEDL2F|w~~_V1!7BTWo1o{ zOcb;44^xX$f9KYq1Xe5wsw9>;0KR&mEuKxWQY1!?V@V25xd4fH;FY8SRnMfE@buzF zA3YDh)6cJF34SzlZkgNr|5wC>C<5koIL zv&aLE^&uRy8!Rj{a9>~Dge%*bl=8(SpDDI_0qt<@o- z0(zs-p0Xwd)b;l znyE5;_>=zTvZlw$e*VZ|LzHd)Sc&F0N$Mi2n>Dp$5_9>os<#(cq=mkGr&Sni;GKao zj;@3?@;Q_{U#~U;eVp)f*Y6%J7Y?yi36oCl4lT&+*avg>1i9fjIbz41So#Uk24*n= z7$IV;2Wn(dYGRfgtA>%W!n3^Q(R@(N)qHY6r5(Y|JZTF^L+Oc9{jWP7X@DIw4STton?5PE zlB7y0HD3=;$7fwYAF5G*P<+vjc*lHqN4xIMu1=(xL+|J8iPx#v9v3`q(ew$}Okx=> zsa!iA%413Mqm!Zr6qc?6X^jyo?P`scW+z-c%A8@)G4LV86xdXq#{m~Sd0OMb;+7>P z-qq9qAZ#|A#9l~+$Q}6NO^+8bF!7Hm-yiROOjuze=W$6v55nHg&UPtJ$~^X2zWY>* zR^M_T_nn*Xs+>H&m)SwsjK8J>KeWXi@x6b=-`JbsDyahRvYrM`51*sP;_vPi-F9FZ z7Ayj=Z|*2b_v`Nt1N zD?%T^>s zCfefe4i|TKcfGi4Dems>t`~Q0aV=Wh?c&hl?!}5z+#cW0_vgK2a&j_z&pso`$)3!t zwagsfC=Q(dn<415%oWYBTrwAzWik9%{Z+~{YjR36b5|77B4H~HhYZ8sPt&@0mSsh{4BR{V1uZ^Yxpm4r{*T!m8kbkY=-cEZ`QwOV8UVfZ zo9+wy%A;)yIzAizQ`z>oNA*_=J}jye&d%p+$9Ws6*zzk!hj>R}=i{u~8pU?Vx36=r zcXu5`woZ3{h{@!)cAo{mkIpO_hUf99-wA)W=YaVqciM%o#jR*tphxYTnftZ03w{Nr zNZG)Cl(06@-2LjExm&K?D*f)lu_2u5dm6j=to6h4_mjiNmg=B0+o6p4p;c19YR+0c zG=_}TH04?)fBGoGqR1b7&MP`=!&)VrTrq5xYr`4=17FB1lif3ZbT&n=c~s~rRGW!R zO~}Pz-aRwkIXfpI9Ctak73R;qr2l?<`cphcE;yCYH4oal^UeCbsJ3tY)km-b_|N0= z`+THkCTAxWDKAaL#mj3q*t}CdDB01BMYe>vNCx;#!g1)JA-eV7gD&#sWnZ8Bx|`tW z@CV1}LYI4OLamI*s9vWmnEj|Ww)UL(WfZc!PZrF2T1IxbB6mCTT-_mZ_VKRcSf1x> z+@{(Wutw&!d_fqW((}>3rl;U?X~c(`BLW~1cXaf$I?~wvmIr;cBGVex{) ztM8k;Lx4gtu5$X9mQ=QBwjS>d*SpUz?;V|WZAz@&Mrz>J#p`!@df74U$|0_N1F8Et_z?)h2am(-u z#ntflLJSpyQU>BZtwoMOGA_p5ebK|SWIbaBTXxU# z(wVJp`Z3G%-Pkzz?fkOueg5+OD*EGnTKA;u-*Wx?xpDC0&BN>`tM#9(kJr=DkH?9C zr`OxrZ^4hJm&QTQ>$4x4FkjMs&Qutjl?D<=mBw8=fq?!sQlKR!mLEBEhSf|tP=Xlf2txv zNB9~k-_gvB(Ddmg7&nx9wn)&QG`GzEl&;UH@%b3Y#J%Ny=Kg`DI1WsRB{3LoPa?f_ z8+csrjxxPz-O-(8MEsVaYNy9xVV=Kv3{JkTv?nG8e>H#A_@$v+Ao~@*%vyA&M2?7XrkQq8sXx?bV4kTap9+Dx?ZySJJcUt>loYYZ}i2E`Vr zZ_>FB@u^~s9W(!6A=9!5>??8Wy<};5fb`g!O38Jn6u^T5TYoUZl$`ySbf~ zI?UkGj)nY8brvq(_`Lj4ckS-P2dkxQVP}qc%Mm44;lr@tq82sZA86ld?d7Uw^hBDu zG;nL|2E-Mx?WUbZ(##gz!7HY=1|ULn1N`vuYz^LKy{nr-p}`;H$HWe-?Dg@e&8Q&_+UJzsUh@RMw7@VLHS{bb`EQ49f1dQ66 zGc?1gks3v2@k)B9Xqr5uutMW6VY9?f1wk<)p}HJnEpzQ*bvPfsv(8z^D*VNCYNm`~_pg-=H)RxX zOf`N|kpzYe`$#;Mw7E8aJYRp51a*3hqMN5;Lnm6yd=Mu>W0ohLW$t6TUOJ+CSPlcM ze~KL8#PVt2@_aT?aKUy#5sdT ziZ_rts$yOEuwDDYfD*!#ktvla?HQ5AfE1XrloT5egI6o1%uXnRFy$*nE5GGm;eEP* z_*wjj#xL**ALVd_RAgpav=nMA`ZlpNYKxs^%kBjQzZ&qQF^9qb#hR8NVYALVJCd@S+>fg$l|k@6tr3N6`gf!e#LEF9tczL*@?95mxy-I7;grhPEUY5^II*hce32l1^6d9(Zjf|Z4$TvsK zQNNQ0l*Xz^gR|k!;EXdv0_)ib8jyjs8J@$uw=*{JKQq#XZ4`nf;@I(p4h5|S#IeoT z^+t{UV5TL#s%us68zf_0JM160Nm7r#=Wtj|ky}ZkF)?I1_l%t|u1D(; zG1cGOE=51?Zqc^`-*@rf+v?w+_a8ojk?Hs22xwJf0u=1na@;YH+0T3z5dsL5Enp(JY&{?>$q7vFjT~( zgEHor@%-w1c#v@rn!I@OgqoF^-9<5UaVC1~>F(V41CLg3Gn8QM;RB}l#{!u%9Jc#7 z%8L+6gy$J%D1XFI7{#p+85A-U=E2G}z2VV#aCVerlm@Ddfh?xOj{Jm~q!cD39%2mp zJ(%)hm@+0rF4X7;!ka8kj+Vg6RAXF(mWRfG~9 zyvT=jO^(T|Gj3zun1Jt$=>tKj!W7?AgUNDzDA$Wgrh!0NqUPGTVl-a9CO`bFAsD*= zh^?HHfDt|>icunwF2_YgC8uoUsW^dzb;PMTu0InAa1O8xB~^;dAcDf&o$OVGP$%ipdPA zCSBeaFa0WP$2LZNY#>-YSN)~n8oC(LsJ@j4IwlV zQWeCFjs9oGptq!pmLn7$l7Yh;n{}(Ngt+2bN!f^sg7l-siJ+L1-9mmCgP{RDHqu&0 zOX#4NP_WET9V&H|)#!H~ML`X%gw8>&#SAnV`{{*($y`#SC6Q1o%KoyVy@L_|VFQzy zLmZZhMZl@`HH2d2ly*}8YMfv!-id(nG*pU_cloTJq7ssgUJ?%{*J^Oq#b3^BOd6#T zL2*oMI9Y=ju_VrQkB%IA%ri4;pxHHsWQ-!)1_zU?JEqs!p;o1u?4x6l2J+h~H^#??Rtv=asnck$AmrR79Gb$}L|mdTRZ31i?& z=RQypGsY%it^$LPT@#68aut>DCt{2`Ioq~t31^AfZ!Erar`Aq{Zp2`(NA69v@urp#9SiI zwmqdW^NPA-uiy&vIs^bwW$+=KiKJB}cf29jAl7`e2 z3*)+TYT4vj+pwK{gZ4*-Ts8`M8p7ngV(4cn zbu__()X+Sn;-$1*VBRY8hdBO|!UxVfli0e-nX4GibVc;R@ZKCs<}2?grtY}`v-nJAN zO4U_pChd(AQf=APS<3BkK;9VzsVDjkaADS0imMJ1&rZDM0LwB73>c)6S_K16!2q6Q zK81Z$N4Nb1;S|18_51egsQz*oE3iJmnH)Hhz?WP<4nUye@--&_zH-OO{$;jA|61O3 z3nY+1W*LiKnXmp!8rc~Qel*L+D6hIzzwhr_qV*@|&UTQ>f#S6}LXD=_@}c71+@AvA zw4%nP$62W7oi!%&SsW#_-vS|941B5hw%Sig5lXVVKo1MdA9t~Qy-)W^1$=0nvd?56 z`e-4hA2kqCq>v@=x)8u1Ty`}D+uIL#b_`5yPpLtNIG1-DJ$PSOeaK=8rpUfUdA0^|BXYu)XsPKP3QFf=X&0ztI`L7~7u4ORE{=`3n z*lK;?jSqx+lhFf;h7|*@n^M(h1Aa%K49wk>ThM2gksZVn+>(=KfUaGNZ=}cBcaTYc zl|vgF42u}Agr|na+QKs$j#nCvi-Wtw)F8JD(^23%)ndSRM&k%hBMwYuc%!hP_(Eir zF-Z)B`^w`hXj%w(^lzBaXmpHLnw)k)#TEzg=+#6~5V9M#3UK{UkOt(qhi}p&yU>m* zzSnXhBYZSey*}T~(BT>P$f)B{nTHdY7wRegdl4F!>=DNkR)f@wX&W!@7RO7p2-Qwf z!yL(A_9rOgzUXkLRM?MY#+#>$BESe$m^21Z^=Wv+!MtniG^^A}rn3^7 zwlpXY64hYeVfHpC3Gxrj-eQCoDpb{Fm0jM!r;!xn%2rmDw?wKgA4# zj3e>U_`58_KL>nmy}oN$X0 z*nM`P=CxR?wmsfKW72+9J=qdu2HNoBilg7)MT*1I(gY?S!CH&6ut>p4@zkpX>Z@jI zL>O4=#1w1QelcoaI&|vLl`aT4$|nDx;(vtl$Al4Nca)KPSoBA zb|JbO>|)$rF{a$zdHZ&~IGeMCH=g(|1dfkBb1$f}fO4!C=NthQHKQ8uB^4#%uO z7Ye8GhI@ex0biSu93jqG?FaV?(b{#sg(dAGxt{}V^0_OSL>jaLK$M4na#{raaFqa(6Qs*SzP*@^Js*$Tz;Nt1e-FT0 zLGlUhrh;K4${Nh3R->>&UO_g!qp0&534>DzpQNPsz<;?QaWA%UV_6d-xPBpE}8vddXWnF#ua3=z8i6RoqZ z?3V~+)L1K31h9J4Bw>=7R&%+tgEN_QuQQLcUjk=p9HXQn&9v1b=2$Z}tD8z%W<1n^ zMY7HWftjIm(+#zd0=>)mrcw?wTD>s?(ZkrnP>+0FB1e2lx{ROAgvlk52g-jPcX$~J zfd*unLS;{RQNQFF<>G6|aB?hvBQCJ_v}DOVRa>c!(alzdaJJ4qY zFU*ypf8j@&c;k4UnS+N9Ty*JWJ1}4g%ckcDk)#9dFWHpBY=o}!#Z&R(Y-gCVB`64H zZi$&F`Wjbz9%YYO&AlPOO(?%#oTih%5xt~i!~*?+2)FwW|Lw$>Zcnmib<_Jz(7CEf zhQ-W~;!A48Q>+*v<@&e{A|9t+1V$>ohGe+tZhUHT#FC=oC-%h+A#utGSsRZ2HUU_H zO|H51P_WbA>Ihj=3nchHJ$6xtWY%Di(pfiOt5^rP*i&h}hG3CKO|qJIDXB@V)xY=q z;dvAPM#WeD-hlOwcWGGAQDcAHC54eUL$cBo=x$h8VGaG#nFjUSBat+v`c3$f}IkiNT00b!7UslS<*wu6Zs;H=;owa=t1Bk z&!>g|#f7aPFuPpMW0H=sIb2e`q+RHR{sAe{@9AvFbCS;SZO`jI)Ud4}uv92=aQkW9nsQLF)-xu(Fa*O`W2|VMrgAz*+T@cT{i7wesIA)u`HK93U zBSJe?_P$|}$~)60)()WR9Vbu z@n(osz$&NnG(sf03ggo&w*G1ITwa1WR@1+ZzLwYc;Er?}bK)K2W^AjW%9+#tVw+we zT>iozIil;I78{gExDpAl%J)}FHTVqArJfx+?=J|y`l2|vWbEe1Mqx3wWK`_$FTc93 z2vbaI7av~3wOtTy0!`Jujx@&3oZ);<69KYyIH#e+vP(+7UNLstHcG8Kr?C}+mxo%F z(}f*#$*XDJl7Pcf4hP)@pt<3(+Wef5uaes>VzsXYm`C*V!9bH}uA|fAW2$4sXZZ{i zgR6&By!HO#kA5=p90Y*tQ>@+V3SwX;;jlqQ*qWuzwUGRr!rtlwmN4A7_|gSu4dbw0 zN@eYlERmd&2}un_n5oG=`oV~CjvaSdF1`k)(6GuD;ju!b(Hnf(-J8agb3WE*!`OLA zt1Jx%u%z!MXG-wq6?63~EXAH*gAq-K`W()LxwC&cb`3?rzO=n#y)-p8^JNnZf*S`8 z7L4~et4o)RDOZinz7YwXBLm~5f@6c`j42KHybh@xD!bs)tlwyGkwFcZ`oW*;z)H6w zKAxyXld_5kAmj7uT`_68%W6mEb>s4~%JT~z*CPcLgg8Qbx!lRDi0jw3y{DF5fC`-W zyx{tyc3tHHBT(mH6AH>mqScBNz@i64(8LWU%5Yk`P# zfOr8q<#D^?0uBJge`x9>^cg-B#_AkP_*y92+Moq3(1{H0(3TcR-n(B-7Pq8O8zqla z3+3aViF8&;90w&@ffneWsusr-GGmlb4Z%rAT&S5>F4Xr2OI)cY&$OA>uwR3{CL+7? z1E5DTPs~rfBrb*_FNUEr4WN_H?=loGynV-8?Fo#G)Gb=el?n|wa_!dElz?Q;d_m88 zBs;==Fcyv0y*p`rvVd&gyrfmvO=G>9$2Da0uVs$U0HAR7eN`&X@0uZ13 zYpGE4NWC4vH=R?^@X`Inj_YgbYOh7>4y2$Bxb=42em!RX{kOw42gClRBh}M@gK5|5 z-j{W`X4l+*rp=L$+Po_MY?d*9cmUSE6|0n#o2KVvr6^BP3D{}3(i0e}4*7o0#v*I{ z##x-hOrO5VoDES%X`I6)30#{~cq_!p6O{l%rO)4Bo|vd0|F#+@(je^piPgzZB_DW2 zYkqw}vM)p1Uj)^qoR=*PSPE1Mm)apCb%h$w1i}lTfUnvIkAi2~emt=CW?i5zf?NdefAA6-<*p zWbtOHj?J8DQbMxi!K=V8XCm~0TxKcADTbCLhl{48J&U$<4Lr%5i9z6V9W}F~GRAlb z%~VsNK2L`wm=?wcnCrP12r7}Ksyk>X2#?y5Pjxawd zMy$WkG`P?OWm^v`=3gCiXdHT%`m*7x`n8Z@IIO*-L{| zzEYv$C|UvUS+Z*5zC3ztgSRsEY(vRivR3$$Vt1Bo#Xd3PZ;6K%L0KxHYYDQXZ$@z4-Go_lT(+u(tZ(Ahrkb)HXv(7h zcO4z$Ngf!kO`=|KUJd7#l2sK0a+KTN1!;E{S4&26Cwt;^QLPnMOA(7psI+15wnC~< zhU|)wh`j$hcNPPYu6o^=Nc<((KT8nKoiMGprtc;$Stt;0*w7G?k|$z_SWRP;wLREn zVmsXv(W(m(mR#-pWVe^>t3bX(z;YLpYJ778G3&MB!}%q{_bAS4p&4er8vIE%{L?@B zlPkR=RXCUK0}HR&c;V0IB`=T!M-;vLogGT0zVa>UA9-Q!G-53@qeKa9iffdz>`6a6 zTJ;SLIX;inn-C22#7lE7U6xxeB_d}qUDKBK)EbCUX!mp~K{<7fnnOVr9p ztw;YksVQhlfl}SgIhx*|NnVhon7oQokxg*cyx)23A)3K!s!j$`kT>ic#;g(Zt0p2@ zsVzyq&hOzw2^Ktwn2x*Ns?sfza<#uZu*{=F=_xZ083;E_#DEl-)E7fTNkg{3X7Mib zf_upNvO-FxlfO0%4PzGee7ED7-x)Zg>!gv*3+bjM;8`3-&*6bm=9-DaW$z67nNnI7Pz6^>O z)+3RmW@V0`@(&M*7?LOYi2zq46Ct}`JXP=oiv#$9m~?w9!T?`v!GGbuILsVuF0@0u zkw{j^_Q#-HhZ3HVoDT3WM)`?LVo>?&9?TDJMGRG+wS7ThAwE6j$lr@1kGvG~ex-aC z#>hfY%6(KxxQmQ}I=ZCnRs>q%Zcmr-9l3|x9vqhcMkJbRuxi%u{#-r4Su$E|7mhO* z?TOxF#TPHyzjMo54DP*GIhF&ti}Ta^-Fjw8`M5Irg=0;`P_aN3iKP0j28*xOWBG-{ z2ehZ(S3Q=V;8xlOWp1HmuVFJv-@;o&NGSZu>r3?-4TS2y}OyPyg4 z^tA{yt7*gI&-j&MPNVeClA3EuKBtnSuSa45x1V67>uEseM#W|D)9X-Q@bmV^(MrEU zONpiPl0oQsI(*B}g*n2$)WplFR_V*$;gfk4G>G^`Q^O7v0cTO~7~$E~BZbUd;NZ>L-fv~*lZ7f(ZO%uZy3hoecsjRi`DNE>p;VKm%Q+S-8TlAGw4 z#z?|Q5kvxN5&36c2ZR+p?(j=H`1Oni5mcst?{?Q?`1wkuPAKk938KyWnC~s2JVcRN z^Xb~W4bM%+$?C>-CWha58yIP;qTMqfJ7|mmdUt*-ci9zD>c*8as2`!Pt|7WVX(1rTR5mPlCR)MRD*CS089Y=&&29*O;y45~)dN^Oxz zk%uOa{{DFLy13LR$O|<~bR&*}SG&X%7@)-yLTIk|nmNrVoSQ_aPUWF4m9K^Bsm24P zc(kUK(EQaLn+J!t!+KqohpEMA+NuDRlYe_fx5S|uSX>>7AvtZ!|E-)`eMo&l@2--y z*q7&u_?#QoRcYp4Wf4KIITqDa&y{pHm(PH$t8({=2?ZJ!0aYn#Y^ptBX3TF%t~AdjNF;Qpk8W zhbVs}_V;lK%PKjm@~Tq`efV&K4wphXSEsu|u@=0whdc+zzQQX^>uQJ75vBy4-kimO zK0hK(0DHNTUvy-pbgoYU!AS32P*)zolt zJD<~;WXt@_8~r;#_}pFMX)pHsnbB(4YC}!enm*_st<`}yMAXpX`o+tcKF~&ikbBNn8n+-T&V!sgE%p@>`6#~&!!v{|v9S4c^S%4MTIw=ZE zYxWRvYX1@IG-}!n757G0p2JJ|F6}O{v-=O{oKti9*#B1?h}^r~^R?UxWwSM98ImkX z0!i^^x-}Gc+X0|Fo%|IZNp0U~ntz=aDf9_$HM62wRLu% zgpiVZJqk&*#|CM7UwOJR8Edcw zzObPCSLk4=e}$EBqvL_Dpqh#uSX1+upH+*C(GkFL-ioT|zeVZG=))7~)S4j4Q{wrZ zo5#F3w^7AAyJ+I>o~4G~3Za%ykw>a^UG%ojirkW-$9o+JXR~wJO4}2jyZfLxYWY`O zy(~|XMY)JPTj815%I?*+&5=BT!H&yJ%W_dhAS` zu*!-VmHTuWN53vBk%|quwLSOt$G&gIDP>@nr|?Ohbp%x8wbNTjBN4K#^EP}b+!`)3!scxFedL9W&pSDm z$9sU2F19hOtyuh+z`vs*OkBuK0UcUl3SOCFc19w+4~tJ5wZ@cA_CAtFRrK|nr=u|0 zi~yaekGaH!1nFoKO2%{W860=ZREal)RxI&KmEUqwXgD` zNk)kw5l*)jCAKC6xdQ_;xO9*RBbrz(DyLf|D8gG&8$=udw?oPX50YRiYYz(Z!wqw> z%;}!coK|X1gclm3zQ)d-D2RYtMK9|xi=u|@-)#)q=9Ew^52}w6kqfnkf5xH zv~c=9uD;=tjdk$N&Z3VNj}Mt{Sv5tlp!?mV`S{#Hjh(9xan#2@!mr|@c1&DXf9@tktSAOEfi9p(6otxZJ`&OFFg z+KAwX+gSaD=aV2~zl=0}Sqrt%m#6iGdm*T!oz@BDofVkaHYw`)Wom)-1!OSU9sLWJ zNTsr0Z86zRIqqB)IukL0e6uEntcM6*Tk7*-w?CTd>z$B_+h2(jodkuNDE@JxVN|1J z7Ej5*0Bs)jROd>fJ!<=I%|!&|#pFrlMT`@Advbewqe*{F9;6#7j-1g^RV~z(8Y1zB z?l2hYiH@cHkg(uOaS0@8!k^&qYKe@-8lpXsa*5qKuze!vNo!Ww$zf>Vug?& zk6IZ}E48DFh!j($*h$JxQ#b9{SyTbgJ#@fmM~$cT=C3sPgQDy!&uMcM&K6QwPElo% z%6XkBJ`d#!iv!8u#?6+Ez_Q%Fmae6B?`n0NEtv#244zXQ^z*vX1h*!;v-7$~c(s_q_|%)HfH!k~xvI=fkk|IDE(hwUM+RDZPe?041wf$6RCXsR8>y|te)Le?qR2b7l%2ZpTzkIQAYas4uMI6Si+s7#uZdG5-EP!0aLMI+ z(_?peV;_Uxr>neZp%R4pg5()f5zVmLvs{nK0r4Fzl%=XLpngqi-F}D0+d;{-dn_JF z_F~(54hQXe;-UJQnWiMWdPN8R^yCMs-c_$6q4PM!qU8mA=O~*F zq_rKSn88U(V5}}(iTR1L^Gz(EUh}30eBh5Nn#Aw1Axr%6SkYF%@UsoW<8i8VMekJV z`oz~%!^yA#(Zd4&3?bV`vyhe$FoitzedzN0&{&MryPcH=V|h53#k?f0O{VVipzqg( zJUD8c5Mbn{ygppI^Znsmi<1EhTbj0v203(M5Jrtj767wxYOH0TsDACw zVS2O|3!g)fJi@iHuAm6Ibg1RlMLYR`02Q3+XW$|fTyTg~Vu4Z)SB{yh4>i7nk3{(} zjL;r?JC&2cSbEA9PCwe>2EA0Dbh$8_Di``6+rTQ6KuDp5a+Du~{r`;7S2%cp-#TzI zD_R0AAxEd0)DDwo>#c<@;Z00F5zdy9tSUpJj9L>OY77S@$FsGgWdow?5UjpSZF@T^ z(s3Cy1a^yw57J1ca2V&Ab(rO@w|%Y8$&pZ&O8oo!xKnh}6VOvJeCI!^>A4t+yG2tX z@lJ@ept^B+MIG6tCSA&oM;RjhIMU@}a(*>_frc+P!|%yZ4MQ_6L0HTC1Icvh449g!|= z@9FW(T!m{Bz+y0RJRwSph*UZM@xjy5MnrL35!a-)ec*vgp+UgQ+Kf6O*@K@wK+h)o zVXySA8#pG3{81xP+}*8cx{qi{tZrm92=>RU!okp|#4m^3MVZ(bM*IkZAH&#G!CwRQ!kWk*)WI(s9AsIZGl~3_6RMVaf0y z4im1{^aPx^U$jl%aoNn+6$>`#+8tC4ODR35)jNJZYnR2UN+X?g97f66@~NFtEf3V@w*vh~Geyg4>BH(<@a z*y$}@lf>-qdaYQvvvW8x&-F!Rjo50Z4?{lY@ z)TRn=Z+`_yHkioEq4{-;_8uqthBR^wT|GzGNOS_?}u0Dv}gC;a4 z_N@oR3YYc)0~H%S#(WD!Gh55ystj7io5&f*P-+eBn2OEIUucP%mAExzR77d2q(dhJ ziSs1-=v6iIl(g_UfAjqTP)+YpYq4_vJ`03Z6S-zk)hd=IIaQ(6_cNZH^D)j>r(^v62vSw=df=&T9;sez7uf9#x?<3O#NkWd(w#=dbrvJd`E@O; z8?BUX#pQ%$Eh^JpKY0;oi2Y6S@^4t#?BB-)=9T#A-M~LKQq9%4{&iOj2bXU+8|7Gz zWLm{Js_Ljc^(kArM>7FPTYd&25vn<^v{N23e+yKe-e1}{WO?q6h+5>cvP=BGlpKT^h z@njCb=b+$Rno5x7I?Cje(<6#S!XfR-vFD#;B@SykeYSbviKKiEz&zJ}xEVPz2e5k0 zxQBZ7E;UH(=vCw*1&(yZ!JlByw_kADlj1tuY5XJwQqOC!4lwMd$N_Xi=Nr;v>dhh? z>RB;wuV!S2+cwipnO^QmX&y#>(fccT4R%Z*YMmn@GY!w`!_wg2*o0q)h~zgxg|01f zNw&I+!efQ)vV{U@ejSzU888gXgHC8U6B$rM{ug%do;0dY(~jBNxg-bmE?=;gUd&sq zRk75j-8IRlwqqI$ zrKo4dIo%|*COcPRr!-}>c!AcAVVs0>HAG7v;U30PYKm~5;CSQe$M{6K$||v(@;mj7 zb2aFi9y+vNS6VH*A2~UCt$EAR+ zwo^DKt!{FYSrM6--c0ApEEjXZNxpv++a}g9y%P3z5&(s7h76Gb=2JJQ{iyR?b0Ku? z*zLRM?J23eI5UM9c7q8RuEFFRE`&}yXXXo0><{||oR-%2+Wqt5a$bLeY_t%9a6P-_%|9igF11Lt@W_FTXO*)*xq#KX|h1 zkAmb9e+)P7;O1oWc3ijia!(w$Y#6c5*3UZmvEQPYZo~D+0{87Vmk;eX!OwSDACFIM zpQwPp!9fr8AFrENx5hyqf0l!v{&dMIPVg@J6jDEb5eTrqU$~+CTEbFLPrg|hW)GgqhxDT zZ=Rjp%Z{Oda5GOFFT^|_V`Kp;>V{h2#4QI#J%;#=|e|Va1And)x?FC0`CQG zk33mJ_4mW24a8fQt3+KimN*W-FEi6mZryx@uN_se0`u)2B?4Z2GS}>iNJ_tc%&fGh!NHphWh?HCuky~JIgfyf<6Ob!LIEEBZZ-hIshe67 zBc9o{UB&xPK&N?$OD`1siCAu9(Uz%dA}GNJ5iQWAmvkTHFY#4$7217ik9$e_%yNRr zZw7&%eE{oUs8Smf0Z`*B^kGtq_@zbPtQ^|a&-X!}4s5H~gw_pap-wo^^s|OYvLT-- ziirF^h$exHNFHr^AN1!IgnDO{j=yRtf#aJ`F%PoU2%`rVh@6yVJj6TU9P!;q2ioRi zPP)R4G8NjjQ<_VS^HV9f*|=M&nh{wGyO%tQn3tsXWRDk#lNW9Ns25D&dNo-#%l|zb zE<%XT7pKI9GfMb|4<>YI&v1=e_W4fRH^y&HrHZpjKOB>9s@C@i)knUsaJEFVLxueRs&Fsmpy|T8kOo0j+1b>|i57 z#5r;m#;xzSW)as|I^}kju%N$d1UOviiDH9n9ngvEK?bA_tW7K}Pw4VwiQNA-)e`4@ zh(q;SF#V`}j^IKF;Jt@Y6;TXrEO)`+oS&qZXZFsh!}1R!D~LnQFP%%|lxIh9ZZ!YC zgx&Ti;L_)IOCI~Dy&nPsE}2oK zO4gA6iq|L%tZYpLYs($rk>eU?7$YaOzT%2YAkS}J1Aoue-5>wdvJk0*z0`OtiMs#v zQnX|$cV~~A994=2Kp?;CF9C?>MhhSEt9;M(`d3&vbOo%K;6d%E{b61y`HPFEpz8p@vg#N|9oK zr~5q=ioQ!(xOBGX2>e*7C-TgkOut>x{?)mOg9lM{$8#>=i&Nos zkMH4aIXA#7UJ|j&m8@{oV*>1TqMXEs8c*Q*mJg%&atNct`keU7yNA>IG@=f-T4vLW zdg!H8>7{PQqXo9OOCSt`)T-w9W!Q8mc^lk85Ri|M>GrWsG9{Q(T5Lp*qH0zPaYu_A z4|P15Z1*gd$8NSjSKK2!2YbvJmqE`8ov)%Dv^&u6N&lc6LyH=5Q%I)dPM8- zZxM-)WApAhGbYV-ZX@>H%5sAnJ!3B7Y^pg66Pyuf1zi4 z+xS;K90;`$!Z*GD-FZ1`;bAdwud22Z#ROrdquMOy%=4dFmV!aOMDU<#Kx16{Tv&l7nmp-vv9!{vv#x?%7y8owi_y=%?|)PHfw@ZQHhO+fR%W^Tf8DeEI7B zy7$NXR!t4N_srBx_3qxi_F6|t_3~EA#qJW%nVIbO5mi8;l`lW(F|~hlVmidW81w9t z4gN6Y>HoGpLQRA&8hkX9y9KGtG?mRQ)1|AWydRId=ExtWF^E=WgqV}McoORE_6I#?S4X)KH@X@bUeY71zF+LC7zN zhVll5@3D#weaGk+--&(zw^&)+v6*UHk$6VPX_KiKI8aR53sjrvucSM=UpR)*??j}y z+F_;mKui~7&GIEmaot``-Z9U`EnK4sTAfBW=FM`Iawx+$X_+fyqfca`{j~eLd78S2 zGh`}xKzX~eHueY7)AI{=SP?TfN&AH%@DZ1_KR_~SnIW_Af!II1hZg}04D93E>-N&Y z90Ya5&=Zy%lR$kU~WU0ITJw$v;(jf#pe zw2s5_<&pKumE_A8bIrL6n1{<-pWNxhs-0M5$G5p%)t4`7$N!){O7xwhh9jd6o1+e= z+pFT8!REc|ZyZ!Cu?G%7zdb-3nj5W!7=Mx!# zKfW|oQiK?hZiJ~v6@ax$!G|PbB2{~!?d2GVb#-9(NccyG2;dCK1o!o)md^q=8&;>1 zZ{QK(`>+r%h3})L;;ERK8$2Wy?dTbw7y{!C+prnhuz3|76n`P|vvztDp<`R6iyvpU zv{l^i9H(=H+^>3=4{>NzOC^|l!Z2D!5kVUhkF9s@lvAP8=WLc zIL8Jt$Z8Nv*$;5r`V2;?fLqr?32jt=^gcaTmgHE=% zci{QF@lmtp!q@sFnmEr+Q&fpQ@+Fy^4DLuGn=oh5h0zW1{5gSG0uWVJ{PniAU%Euq z2%V8A_{u4so8DRH8)HrvCkSXXEfvXy(f!1gDDao@@vGonGr{K6%RuSVr`>T1Qp#%s zwgMI~iyG>m?E&U2FBa$5kg42SS+8EnpZhaOlt?S}EHi>y*FY>@Q6*WR<9^3pCE;zz zhHO@q+nu1g(g}abM(w->BcE%@azh5;b8PLCh_)W>TDWuo#t!&J8S+L-{Sfs@`Bk5} zNfrV~Vi$Rq$P%iG_<2l`M9 zm*&pYYKg{7F#oSCeh-IMPf0`vCqk|U8Hs{~6IRNQL^h4V;LDoU!f7D&^%@Gd_2|>B z;<>6OHY*nUyDhSi*8Yv9j&Cx4x7H*5yN%+xtu>lziEeWivUF`ltf*r7cXPIJ%UPYQ z+ljSEc=N>KIV3AyZT~nO>n3&)2psmOMbr9rn$#K9oEB`~RVkgeVtMcCW&#SE&`;^C zfI1V!^6h5q<6?P-);Eh*H8ZOvC3qnlz+3*bx@cCxb)xX8_4Fqt%Rgv}vM6@aDuM5h z{k*(#fphi78pxJ!ePs6f?NXdj# zGix0Y=Oug+iM|M{!e4KeQPuMd4bNFO3nZqn}(Yu)Mq7clI> zySF)H1=wh8Ag?8lku-w7$&_Zk8LTJZ9PdY!3Nq3^kARNzgnN^06$*W#Y&8zT`un(= z$H%_zK%dCU>JLcoA#Z(1g=5c>DmFP3#36V#>du1|W1O)OC*@~Li>`P-uw-bcO1w*= zbkIShF2J@}P3j14giDFit|9}9;U97NEz824VY(X3I?pLJGwOS8teL~+t>yAPh*sbXp18=l} zH4{)4J`9a;0Bpu;z+ok;XpI?Q14VfhY`r0A!uvZPpp5G@Z+s*glEWPlSDN@(Wd!eH zMKkd4mB6VG#f2HorgnA&`%rzz%psJKQgwF?AGTN_nhik-dK4##KRv0&V)}Y2qLU*< z`LB6p>V?iXv{F{L4j!<%_*BH3qK7woinLq?YRj?f@29+zCo&D0bK;C5)2m<%jG%p148S-e>O*jPDn*I%v)3evT2^JI z#1IrQQHoebmm$9dWQ+gRG)4#hTC!*SQEM%KE_JT9U`JiuR~>kc0$xE=}zI z)PIFu3YW?Lh~TQ2R|b_+twV7MOrDTL+OQ2L3&a*Zq0Or>VHlxC6tHK-+h^E->L!Rs2#7A)r=|OW1dl=Asm`<&`umdo^^ol9KBJaB6{swN zyW?t|>OzyH2F7*{UzP3-cnCIwhjexZm@a46D%daOLYd0Q=7|2NvwEJGzImX8iy8NT~!!@n7frONVtx7F-T zHpA%=gb}}Ww#v`4ylcy<$3-+gRO?D3)#sdEUg{eth#* zvJH7Mt6k*jSW zTS*v)3-6+koRn`|OZBf~qU(D}!QMuM6*|8_J+-<6 z{*(x++e{enXh~;5JCf(;o5dQzhhUoP55fruWF62bZUDa2T^@A^O?3c7uy%NAY^AVr zbyt^`t5wMrOFXg`OeV43aukp2&`^zHz!HGz5<9+|8IXT0W z>ybzb#&*+Pt|gQ4cb(@nx=$BP>!~JQ?Hoynle$ZtYe}c@(0H8R<01Q1MLf&sDFHqr z*R|)*1aK5zo?(+nN>>!pnJvOpj}=KRJ*s38=L17~F-GNJ=P%#-K(AS)0VsOQ5(tGtrsVUH+o~b#5=0sT>neQO#i@ z_w#GS?EmtffLy-$h0@8(-fik}WLiVFf7EkH(*=~#U;|5A9N{kg7BL&C)SwHmTAE`u z4#5^7^0%SMhU1`-E$^eI_dxzbwKcS?s@A^{PL%+NM2N{g?ud2r`ZOOA4<@NBV}R8b z|8CDtWmmdTlxg-|iO9q6*bbiZq#!qCjL<=633Fa(X#wR`rrzO2Yu^b0JT4CzWu!+O zGG@hj?&zkiw4DK5`LDM%lJl@j-qZX9hyghK}WaY(M?YOdi3N#XvscBYAr;w9|a9TB&^?z-)VE zjwtoK5C;Od+#4IdG9^Mu+sjMj-_UjOWOiw4hW+q!Pie@J%WzM4iSu=p0zXeRbV>Mx zb}g=p6Sn0zRv(HBg>YdJ0$1fYY#nu93AA-(BUv)JLV4>dcqK@~grbJWTsmm4=AHxa za4Ix8%TmQeszsBF2JPPkPXKqvaA91PNMSC?2EqlB<$a@7AqA>KVLVq>!VF2`r+y0h zLV1C5HRZ_tuYvb#k5#6T{ET9*P-t5CI$fUZXl`Q#bM{<&h22+pAB>PE)G+*ZMGlNf zI}nEcfjpK@vMr;`fcj(dGk(J+uQOiY;LQX`d1JTf6HCst!Ed7#$ZhB=#gsMCf^4T? zIvAOy+RIQrb>i)p-xeJRI||)XTmZ~oRHr$rPj}_9ydyllK>`vO@aD_ICh&@;#NlruWXuD@2aH z3rdJ26^Rd}4Xq}8CDK}IXb?B9oIgJ>Xv6z^6pgbL-@wZK6ZeF-k-m@j&?}%6CZ_kU z5N3pH%eN4wr0r2hrQC00L$h4?(rSMk)JL&WxJnWIZP zhH{l1!1Go-NDlzZ8luwc@0IeU4+u_-EJN*5E zb4fI;6YfST#8txdS_HShb1UU_N|uva?5dJ8C7SpUHG-)Nw7o2Zz#mkS4J_%qhiH<7Qe4!kUS zIu^<$(ln3cAnPfdyH)bptaWgJg6lMLJZ5kRnQYU2WPnr-| zNau^o#?r5dB$y8B53|Rsl8vnD&1F=8oQ!cz6{C;fh`zRC($ksr8_J_{02Q4Z@J(ik0I=B%9_R?@ER9!JDMXCXX1Q>#*A!JdQ zZV3nuiTQNc%8+`xEmB4qB!gIjToujm+V3M9yxj47@LPlCv+!$?u%oKBZ=&kE*3WGy zEGz5z?&;m`IjSqHE-I|63iny;)~)aBX$!x{>2`agYvlbd=vv#csa_x`=$57&1PRef zz8F0D6hU$$UlcS_N~z0GHz?9dUJyS@Ng~YUkTt!++}H_57GM!qa04YSZ|Gww@|fH$BXa5!I$sm#EhDy^fsS8jGQg4H=tyd zEiS0w&Q5tdpUwROt@WV{5r;n*;kK*%CQwK`Y<~wftHN` zqw6hr6Dbyr&zl)w$vHw)#4r%GA|%5iVJ**;y)93D_|P6Id7-etth}st(@5O~2Z=$6 z-g~Nms+Ni87MqzR_Ai!Vwsb)d(MJ9jT4=;3A3^$`uKeMj$(jS~MF0f38s2XWHL+J+ z^IslhT*y?f*))D^V!EytrA{02w}f>}KN6eRl^Np=N5+}hm5j+}>>@RYS?J{{ew7+$5i)23N;!uKVE+-EmiS{TM-(awcPONq;aY%$Z#Hl ztwAV87JNc|&5OOfHg^I?j;nE6Ny}}IUCu;zC+31*?w-ThtzJ{f>$)&jeEl8ryGnp5 zfEK!02VmVn)1XPAoRcpbkTg)pxB?JohU9!Mu39{6d=ipZ9UvM=J${fM6dI2z=mJlK zULTV|kiT;IeI7o>?*(|fBN6)|;%fi(dEHOGBVP0C^!a){^7;J`e?Bf{8Jgg`hvyM}t@~5Nxb3H6U;qe%QD5aI9Ihm1^KJ+Oa2|=(M&m zwrNZ(?RGq@PIKjd8Fw5q0vJZN-*1ANN*rHQ!_J!?n%Rn)OJc?@DL7*aF=esx_qUWt z%n-B32B35slg~$Q(|0sMa$(X*M8!p(Xk*Moh*Z+AR&XvA*Q<|U(=MHHc~ujkTHbQ2 zn|&1b$5d~#cEM5B}>NIFbi$u z@?lt^jo4TJmG7bD2WJ5PqvNreBKzV3Xpnb~0zm&eM$w+d;jn5DHCFg@5DGo7qCf0# z-El-=V!bpOuX74X1P6+wBvZ{Lt?m~5yND7y$2L7TlDNEtn^NXt;twVeIN+;&;CnYp zEDq=!?`27^Zd)8YtxoUf%6Tkt zKcXbC6ol1`KOyr=!1DkiyFVf0*;gQ8`;C}y{0?MysFwo>s7jI>*!!A62%<0JFk;F} zfR!x?B!uGPV$O!KiQKb)QDTiZ2CtU{1{P$OIsiwI^M!dX$SB0NB{_uv zR z*Be~V@_V^;-WRIclA|H}xXGKcn zVh-=c&;;@tt3jkU4gW#LRS-ENhX$61bB#?YWOX1A-;{D;5aFe+0z3zjXH{a4UO%h@ ziRVDyu3m%+?qV(*h;{?|f-ztklIJA$x;F=Za{}Q$0YB3y2w&8`!lJ1$h}0EnjZ;k; z4Znn=D?9e$=3*`Bcs7^e;$}LnVTgv{Yh~#@jgY^`_x0%PU7+XV=IAZ0O0Rai+v7Q| zs_$$6FpRJkg9~{5on-?8sYD$Dr~kV7+ECS&;9|W}8cX2xAVNpT?))V{hqA7Qsyc9& zF;oZxr#}{0lr6J)X!vfRmS`dNR;k1Kf~HGi*ZTvjva9;*Rp@n8=%w8XVKkGUY1La`?qIQjOcB_c^o3=( z4n5(|G+@_Eum3=>FtmNV42(2%<1LE(?v!w_$B+^O8MnL;k0d6f>f@qmHSb>=unZ#z z&f`XZZc`;jg;Xb6E+`Oba(avkz!Dm|JvuMP&UCA&$*3VchV0q2;VK$Gn7$N{oC*Rk zsm)w%;4O`~24e-2*YZ3~sPiD4NZ=CHZwO!~U!acN6>MCX69nFHE=WYjn|vTGBWMFI zFS;=6PEc?oAZ^gk1r96&lpU;4*+2j&QHKMO3!m{kSWQQo#9%Uj2ALR4n#P2g<+NB* zbc|TQXa^S=hh$KNLPC~}Tx^Z$pfWO01&lzvTgXqjP`Df6!C+2m7KyooEZM{x5&)mP zEo56b1&g{~kVFHOm>!=~KF6vP0V6?`Arw;bx1~;5U3m}%2o=I>jA)7_XIPvH8QoS` zf)ibw%78s5dx1=taimT;U8Oe%8oOM6Zz2k{T)GX09F|OqsKZ(uQ0y8t&QHRHZB8Zs z2xEdGX`&lnUDO?L&?x4(O`2gKK_{Pzi|)!3AKlU?)(AUZE8ZIK4z8Aahdgc_)L6rm zDk+V%r$@l=b8~PzB*5GIX8-v$eXa(!em&Wx_jUeuxWUIL@c~8-!^&9a?IPBc=_#m@ zjT=1{DW|Qc7&5X@gRnUSFPO2((rT+{qmzI5w?Je~m=%W&zet$@Q!RwDFPs5o9dUE|)KB5_lV73MMZ<8M^7uzFn1P0Lh|{!ms+R zQn<&xeynadJEbtsUF)P@QJw*91!q>Tks5SbQ#BTXCNlno5R(%Hx^T(wg}P+5**143 zRlT*-^1ra%;xQi$BYwe!RnMqbju@y%>iEBZ?C#s;^}P%g)vf$`UecT1xZ#qI{j*=% z0OXpo8Y@{1bxKwLyG(~%wS3sSVmKQFems9mf@7~Y04*P{Z8V8~ZcI4>QHYAJBC*95 z|K^vyi}7m?7)v4%2oqF>DI-J%q3vb)2zL=$7<67JgW#)UJO2miKrL{0@bhkGi5lKW z53qc@HkyDHwh4N^Sw8SL1Uz-HP^xIh%bRdYItdD}XE`KP>pC4H3Q(n1D{z6HgLo^KTS#!dT*=Dxsa$8kD&HK;T6OaJJw<%?JbU!0{rK zS$B9VC%uS`UKFtfg$V3$b7ez1+H_XwxB+)Wxp`8si2Z^G;Sel3UL`VM`68T$Waa>F z`jB+`l$XIIEbVR8@Sm>bIg4B&i=fRVvBn6cYlgaYh&pN|Jg)?H0T#NUI>5hx8)Gkr z+~8eO`_?PKQonqhMOiI@i(!hoLGr8_-LOmC()Et)khg(IrbpuJ0b62gtpNqLA~r;Q zwFQZmx*RF2W0R)houXo`CexFFIy|Y=_v2$=Aq=sXp+0=&m)@tli<*AGZpDl<$Q{*M zjWZF6zG6eW_!I$D8d-%*rqBY_8vMRH44peORck*dL)H-kIgyhnpQ?zLB+VMXm@xF2 zYjjE^5skyJAA10Il{<38oiiaKE}t<*_q`GKBOWZ356Uh!f{>cSJy zGHog|BkU8d;0*+mPt-}uQWU4F@ZoAgCb%GdK>RS~J5p-^&H%~$W@_jDVD}g1ddpfg zZbk(2&TQC4%2M2Wk4UnutpZSi5CqVji{P8kJx*cBp9CYi4R9J5LIFhla_b-@OL*%v zZluAD3hR6LoewPTF*RHVDEhO;A(M4HI@MFip;Btj);JmJiEoMmS;VqKR-e7p626g?Q$M)j^M?|20Rp2>kqI&hSI7dJpdgo8Dn?hS6_R%yWhVbr ze+8*W>4&h!EP!bxcdDja+{=KomIEHPxVcAHQ5w*OS5{FbqM$@?5szSIA55t#I2+6< zvR`W1bOIOW(6)fo#y&3F+mN0MI~j0BgCMU5njAQj9-vku_!hq?94)h1dP8YoJZJnEHUp7RD z_iK{TeToJK3lWL$4{sMqU~Nc=FJXq%n^+x~qsmF-Y#djmtY|8JL_LRtmegS8)gLb_ zd_DNPKl)3a)$il*vrA4u7nJ4KHlEPq$=UnRtia%8ukZWq+ftdzA-^XOnNU=4q{Xs5 z7m?gt8>~7k5m!ck&Zjrev9ZTlF3;M`{f(0gTIgXw7&p|sd|N~jeSHYG3d;vQ%?10o z&*994oqDd1zEq()9zEiQ2f<>q^g9fhhQ$2NqH7q zLr*w$d#2OvhHGrV?_%k*;T-wc4D|8`J`YX%GGr$IACf>Z{g`p#O$~hyGzT->O|H@E z(t8bG-xWH6Zm(3PRim_elgO_KUdm{W6|AQSysH8HVI)E7#{`Y`Q!4+La7x8<=|JFo zMt#to1H4pXQyA3BGLeVopRE6Ir@_i4d~P6XGE?ba?UIROwpgZ|KQRi%p>e`NtiNY4 zFxl$FiK4hmzRN7q<8Im& z{sYpPm0}+YHLNIBmuHB%;ug0EGlc$0*5`K5W|2}fkeI3`3#5~fVfY=2;@wE*m|vTD zf7*rV{4AS?VjB#F4nX}k6f#3>td$!_JP2&{$4O!M@*o-p&=KqiM6*eZk|o6S=fwezWjrs+AgO4?=4Bu?a^-Ce|U99Ov42mPVS=`&!^fnH> z*c#)zd`!T#JU}!V+A+*;>VWXLK@Wbel4<`Y&UNs_xam#I;~~!QHf~d{-F5;r?n?ni zhp{TZtH%%QJW!3}d({9W%n#1&D*^GlT=ko3hj5&tV@W_#$cC)#O|0i_)U(bnTlxj% z8w!O9K`%fvzFn3coX$r$2+| z>+a*mc~x9q?T^d(ep@J8vHQLzc)>lI-nn!f z)Eq!_mLV;&Xf#-K`QufIc00x%wz>uEiABf)b0CSU-?;m`oHmw&EG`7LNI8HRiawl7 zXZH;|11J*C3NGSFw_vq@$Z1B95xG(9_9<`*>#_^O!CyJSspwqX6|fnILe?S+HRf$Z zQue$S1Y8`{(9eTC&P%)QWz(z92_y}60?TfPD(ugK*lsjx&rzOre;8?T!6UyL<$4q*FQofVtgiyDykC;;OaB<0&tX*{Hia zqX1&p)F4r-(?B_y}5WsL!Wzzn4A>AHw`3 z-hy~urswk1D4KK zaX2MO|uEGs}RylgbxW0VQvEX|i8xu7skm0$!fAe<+cPaiI zMpCaUNlOW8MQx6_w=>C7!*_+gI0+nd&}{(-oggQbLrWKo13Wo9cLGrWTsv@-1rr&$ zSvBjKaPX$yFg=yo);FCab(qQ8H)|2YpC z=;DKDjQEY(!~kP-a2!s;=6d)+1+v5yZo+CW>M0g`^yloW^|uo@xwoZ_s3oa2R*ZPt zmET(p^0+)Him#5&U?>tuJj_sYg_hU(SDD_EKoqRZ=KPZ2yH)eI1kb55JU+&9_m$rj zck71jw-XNX_Huagm6d3s*?S(Tb-TIf9`*t*6Lu$q4!lcMsH27GAahMC1i15$M1Czit_^C+!auQExLAFG3^y{ zglN!}><{bh4>}C4FqjJgsF;;P7?$tkXf?r zS8y$XSs*r4Xbe=8TD!}`CnKc?27DF3RY_oBLm2fT41=&O;2(6-PO-30CUzbmPf1#x z4b&*rRVaXL4LP0gVq2eys)mwINty6?I~N=C#KpEXQE+nfZp;IgfocXoD~KlFnGT05 zeR_m&R^8lkW{15L&r@Zv!{6fIBKrAqQ3ySSb)z2yl&y6*wq#T~*~w5L@Ebj*mzYlGa>IGM#!04Fn==ZU32J^&gq*(Y4;;JEqhN1>jO;>^r&nSqTrF131T zl>#nw?2B%b1E3a(jj!QaR>0{xW@d_lWEL^#vuB7hDEVt^)o@#;`uOCe`VZF=%WF=9 z$$d8~Ye!dz{Z@S4Pl(zmiydFu5S}|-MVpa97oQGh9pqCaItL`kg3S z3Yc6UM=MVn|HS3akWaRAWhOr}PRzSDwrrRk|Kk6tac*3xw>-{D)A1g6Vx0IcTWTcb z5dZAPd^+pGJnqZdj+pytnYo#_-~KOf|5R_pp$BnvFWl&TW0%tpICdJ-jqEFuGnYSX z|LfO2*3+xofCJC9_y-Q2pMsd-myUh)eBW{cXP*7X%}5&}p)Z5&m+z0?xtx>>HbmJb z-0pjKUfkI17pp2Hf{&Y)Jj7Bnk>s5u4n|hP|D$bUCgHGD%{!u^kwTJ=nT%#O0SH)X z2dF^Y0L4?%K}_Tu71HCzwd4{{l3brm1@6w_W>X{V6` zM7PuYa!8?z_gi|?(f*yq3GtGDqe{462@?LZY6hsZIy4Ncpt|(NwMRCh)iQl4WnD7V zN?K{N4RepEP-9fdIiL%u({;z@{f>uVqm59~HWAY>!~mZ<_MQE8dKS~1aFN^Pd` zl73E9g;oz~YL;Z_m8zQ1&>TtAC0}O^1!nCpnyG+jDOHJ`rwO~gVxSB6e7m@9vH?Ag zq9x54_Hg>V3q!pA*WJMxEh3g6#V-&II>~hFBMd%HxV-h~fE5-yCC+^d47*PI)t?gp zddTXgZ9yA=u)mn0eU4_d=v~^a>b%KE3^A!N4?%wxMShI~+tl1aVtTTRWbt|DKsGwx z8!P4fv+=O-T=xHK{9WE;vSpMvnt&(odlap0b#&9LuSF9znSe)U$GHl@110Y+BIf9? zxW2teHTxGNU0-2cD%ZS=y6I7V=2Ti1Jti+_JVAEH4>`GuCux6X_JDD*L%M6i{r=$W zrv5p5oN4mt!m-fZjUsI8%wQboXw^U&6)mcnpDwGZ81Et2>x^w4nO}E_Q$aiZydZW9 zFY8nqLHonkX*rLrJfuq&jU%*MDwSitO7OC%5=y{`OT8m!ykU}y`}E1oaq-Mxf!@qfozLb7Z}uQQ44x`= zG9x>~v$h_s9_GiS28Y>Nh58k{*-#@_mq5}Gz0C{^sz)v$BinM*GyQ7dC%DGgOybfk;^B)5NZFqa<66<9FI5n zT2c8V_D`O!I^Jjhq))~maL%*_T^&k;giTNQdVdSt{*8i^13LLGDE;jFsEA6fyL+tq z{}GgLpAui+`=jsSJOQDPuTRf_k8{M8Ix2g9u@5_Zku57dNY`)Fi}N(X@~-XPKhHeF z@~`~wZ(acTz8s&=Z`M#|&@SzfGC4wl*Xrf3wmg^FNsZ?>s~neEJ;&vqPgJw5Ezjj1 z?^lgyK^d|u*qP3(sYLDB&U3xT>aMjMmtVlwJ)Gydy?52`Tb*wL@6}#kv)tzdwz)2K z&)F_*WldLpAE?K_dP|a=5bJXJJIvEPKP}HWzGHDP-H>7U6ovnaY~fkcec?ee|uCM(o>AKt}7Bhz*w5g!gpqenm~J*4HsH(cQbJjkeGaePyOeyeno z;ECr*=w=aC|FaY>5OHXMRPfY(f^mbE#EU5>6$+p#We7NvC)VLAhLf{qi`KjEKLO+V zU4uIcR?^;L75nZgcqpAuBJyR7nV+wo0`TXHo5$VV*XBGym|QS#W5kVD>81cS%cEDargDi0et~H zdqK8Wi)SF!(&)^$euht)tZ35$;b!X?SFal2uT`D3sdoOCL54yPB8y0##j^@^p&&xA zz8tv1&DIpQhjzm{l&2wqDBR4vBh{ejQy7~k%nsGe4U1yV8y8D>lg6=>*qGMt9gYp; z=kqit>S^fwk>0Xj1|OgrH%ma{3dPD1082dXiZ76)#qG=7n{uJT#=-47rX6y7Q6Q6V zv#7g%wI;H5(8cWwXbRiZe{wu~k+>_|HzPQzd<-C4f6n_gg>FK>cpJpldi}zb_Wsr8 z{BeSdFVJBFQuFWMZ4-O5*4Ya*nfIn^xA+AiU$DlD_UP>Nl(F+ia?3uYp6kcv6I$!- zkK9K|96{&F%kJ4dzQAGQzF3#@-&-dXb7z7~Zr`u5@5Mf!kB5!0yd|@|jUCFJx2}My zR8pF}nBUwld3WPWnl%k$tGk$0E$e($Wc`{NXKR>NbcQSH!!^s5|4eIk_!U-Ftz3|! z<`f85&QF%Kj1pilwVZH!JW&c^+>1=Ftqp3*=_w}%Vexm9rZ>@}+O-UkS*lgA8^pIp zNUr|SDaJL3_iSl~bQvamDh*9AVVwGlCM=zkV_;WW50kK686o>3N45LWVEpwptC?6C zCD}EvnK4S}Y*K9lkhG~+AxG&uoJ^`0=Z=z`-+vE!(4jy$-hak@zbC36%#40_e9jPc zeZKk`tYLrn{cp(H>fatkGio59P|5#_72)_#SdpCU(^{%gmz?isr5kXR_(ZmwxB$tO zxey$A)XKk5f&Q{kQQ>sht$3Vvd3KK-X%y%Yu^tkeyKsEUH9b$7Uf0}y@6F#|hiQ7> zcWFOF>s7zL@744^u6|Ne2)`e??7knizF&@>^*;6mK5yl=eV@aA^}g-(>3-k2{Tz&Z ze_Z_(yA<%bANl^e%KJL}nPWLi`@W=d;>l`zF)~s~{q4o1R=azEGVl z$09vraM^wD0pXNoGR1H-=4G42pmbFx;Ce)P*#7>|)$uIw{(|k8?fNUp4QIoYfx~IW z>lmde<2Lr5YIkjxWfIGa-y#m_eP8;NLi#ui*0$~7oq*>UqjlRwmyee?_VR3+rN!m4 z8=;r`=wcAh-~xZ6G0lqQuxiaF*T>AvtZ!vc2Eb}Dg0aF|$2WuS3BwCirykdA8EtTU zJH@zSTQH^n;=4ef27jnIFtw*RZGdaUJiG5`*rMn{@wRzX?LshfC(~1Ws-$z;N^xsV zfvXnNGIGRtjYOlRpVgD(u)w*Zc-HbeP2*8rv2XCNsl6@uY@tKOG|#ZHlN)JWdybc( zCbg{XEJs`Wijmy$YEkpDbJC-%8pmn7Yv=swp2a>lPZv{T#R~h9wo%4n`{zO+TlenK z!gP(TcdN}5w-!!CEY||(QFc^c@r-HP#;s&G3C}-TEJrzlg&2cK=xP7#fAbC>m(TK_ zmv+9lgdu0Y{3~^ORJ&@KxV2VP50QuPn_|9fxmquk#=UQ(-#6b4BHC_gFU)}8ci2=} zK3A(2dw^yo*Yw_$|E)}G{;+~F^m-Gqw{~A0rrIatOYxXa+1M%VojDP15?wL+u-@+; zu7xD8%hFym_iy<^Q7QFbT!;?Hvb18nb@!Vjrj0PR|jAG}ZZDzwO)?3;3C(n1*Y%zeCG$ks4ah=&pSa?|Aej=&2uhpYoo4jynS$Mp!H_7v` zVK+t4KmQnEZeNH^GUrvISGDsA7-zxew0hRohZ4HCv}S)F*NdIGLsit)$FVES3CfYp zUpt-?Gqb_`mNarrwK!^?wqCJrf>gV^V5%E`$1mwR-MZcDqkTSeB-)a)^LfYK)ZYk8ABL9Kf?_<29SSOdpTxczYt#Z> z0(-B1TO4V;zXA^R)#nelEu}20hwcH2Oofd$t8G+*1+zx9cvhviQh0h8yZ~w%g(K#jZ4KaH zIoyjSo`tC|u;_~-y^lVE!tWw*GuxYNA6?tovN`Xx&hi-bA?vcjpFJGodt#dA{H`x8 zh+Y)jCkWPVo~@>X0V4|Le>xjJhmn)jhA5SSOgGQ!P6)f5t0kqeZfm_HsA20xWVsl_ zgpTV*f`^-#x%Fq^GLxU7u(tGdreK-B{jj{y3&_7HCT~}nyJp^&pe2JE^ZQE-PVO1ZDE(aj5AL11*K?-t0 z>^*2SAa!e7ihMpu{Mb&?T#N~?p#Y%UIwNKWd^hh?9(5k?Rq0KR65nNcYKc#gCLC03>E{0>16%_D@k28VpQYM+Z6 zrv47MnsUP85m0d__sv_*U3++2n($#JUFb2u*2s){i>WtwG^mSUc1wZLlR4Qlo9C)c zyy=GlzLoEitmmP`av;0szQCfWd5jvd27DH&qTTOuzLeE4m!f!4aWrqGt7W`!Kv)e3 zMEwU)DGTquro9cB?G!ew`ky#{Un=r`q}NQUDeWz`y-l^wjv=PCJOD(_k8Hyps-`14 zUV`6xu4grO=ti2Cow1|We8@hr3!)vnv)<*&N*2^Qq4r7Nfi149-|JtdwcZ&#;E4^SZvbL%ET44!@U`>)uz` zgeO`O8?R-I4xI5zxgL3Q4G;k}`T_&U@kn|itSQ#dhyT=3I5kP|3_%h~$^MiD+LxP)LE8l>W7$^>uX4&lW@K1Dj?*xsJ7{NoZhkm0s zzXLiuJ4xAHffeFBiX&N?Lh%MLmSTBmhWEfPf5Dx|@f?U^8!hsUlJy2_#mm{2eWrFk zS9qdO|8E{QlV3OgkFawH(uIfCb=$UW+h5zZ?e4#A+qP}HciXmY+up6S@9<8}8D)@E zt)wbR1@C%Z7}nf}mz>P)=;Y1?cttA7^@=g@4O|b?T*1&TLA<0JQSd26X&*E1FU}49 z{{$FKz2SM&rh+WVkVNmnko1ZOm2c7k0qpZ)*htBwUM-(3EFYDhQ>k4hV*nzEBr^D8 z5Ceq5QY;m5`hrhyXEVZj2S|gZLgTPiR2veI2`1<^mrXx;mHAum0d~tbznKu1_^0C$ zKG+BWR|$(ilnr*kP0N}TTb>CqqqA^lSEN>Qe{^j@y8gCTP)xNL^Ii4Ar{)t;oi$Kx zoIl%eW=NlCrwAY?!li~XuHfxb&x^ei8O=dhVDY@~=ce9Iy7*UZ{|COG-kl@SCtOyC z|NZkB0?N>S2+P^M5K=x2+3YWby3oazdLSeu=KS`mUif^o6fYH<{%?w@cLaIzp^tugT?|bQqY%bok}Hjq}e5M_$voE7hpx!9@1ak zlc9B(>!L?tYtYE{g-;&>R+9oTRfTN67|Azg3*o#*yD?3afhbkhD{}B|Z%-8-8`Orq zAg_V0Xluu4+hw-@&JsWNu7XO`u4PQVA6-JH623^8K}w6j4SqQX_@(gq6s9rlUc!k! zrAXfmdcSYo_k7+k1z%yIt?U`Hd#A$C?linhNTI?C3-bP=;g_7W(4 zaB{|8j;;{%?KfcK-$+O#DHNmQDf%WkKsqgkFi|!^|3tu$L{BMo3>TVvJcN02-JAGW z$OHlsGPRgkE6!`qan9w3@0$LT;Jl3j8Ra*kN%alZf!?{tin!sCM?tFoxd)>rdJNfc zKy3s%23)grJpUFc6 z%#>y0B09waiGg821lD)rXQnxDr_D*1d8u^WTR`D1FvK;YKT**Z9a{9?i$w!_(&TL& z3Apz0BuXKiDaK3KRW(D|5$E$#8!#QZ|5w_s=g_ny*-EQX#6{)ag<3ZfToYs_;gL+pzrPf>r=X`-ANjOhS- zGi2b%(KgH<1ojfLm!lw8cBqno9|pFU@?vkZAnZf>ZpbH^Dp4(=fT= zyBE3}Pj<>(m7H)RYO4peBWGyVp%QMd9n+tXtJn_nBjL&7J*XdX7j}$Y)@*L^)DDQH zrD^ISb@ljKk6kpj$Nb?pOD$9cwqx^_@!i-G?qG*keGIUA$kS}P%|rcO5ZqqL7m+`9 zyLVHFAeWR*Pn2_~ew`INO$E^}k~wG${DP?*a%fVrM6vq@O?rRC?E9ha`c?w_UTX9I zTW32j|)66!G##Uw+{~abYei`(Ch2>Qa^2$dQWmk?02araa zebFW?oHb-=tSFbO`wWA^3_Ry1!@2~0O9M-aj#dC(|688F79e)hHH~v1DdN9S9ul+;&|r}we}`#`Cg6$Of$pj5R0o+ z+h>J)NdmMAq8LQ}v+*nBm`-hzv~g?4g28jIaA#^fQZH&8tKj^y9*5cItKJ-9 z^@i0&mXM=~!EDWVB2SE0Y%^62I?DX8Qb7?YxI)~ZSNG4c@5j<2YXM?|fVkn?s@~yk zZ(YZAyF^h9^Ns>gGDp;6JG=pt>Su%`yBe$P<)M7&14=*AR94gKB)kie7kc;v)!?l% z)F65EImPA(l^1*CeGgd@bl~Gc-MwbYu-*2<*S|&-QdNFvILstgn(o)_R;x#qEA zW+_i0B{ve6wTDWylQPtZ;Y@<)f>t#35s3|X)K~;djmT1w74aYZ6z{G2Zf06nh@=H+ zh1U3m8NhQ(PMRKV%E;E0aBwMhXM&_LOLf!EdW0gc%b>nl%Z-o1LuK|6(C$$;9OBnP z{;M*R;oQPX+u=+^8xObenunl@fUu|?cb@=~Z34+~DSvYK`ycwDMfJW0WQPRDN!EKS z-f+)BB59dZBqZu(r@4F8rOoonhS7CN8-reCV$4XvKQ~S>tI7Ze?-_VKMWvsu5Vymvq2|5O2jy-qt z6Ae{gHz463rQuiBNPsNN(U<=qK@x?g!I5)1y5*|9Cc=T*~#$ z-{5)kqwc?DWS=#T&4V7V$z{blJ`2sOZmOD!|5GltqmLaqouYE4d=3LE_>1w^v>!r7{55!GF;^pV zS+O4b(gNMZOD_}zra-HI6GK8498#ggyUE^iZ$mS_Zmw!-EFU`(9?r6mTT;-%nM2Ct zCWGtQ(#Ew_u@X9>DCBf8v$p@6c_K-B?CQ}D7gP=ycf zXba`Yg%>T;7YrmUd5w7}vrFxyF9EVEqQn}(wQ^tR4#S58&db!^4<5}=X~nvnY}=s6 ziGGI{#rRNWapzjLrHBJaxwh>Ps?bu9@=g{|51w;BKeIoKl?CK0g1@Yz%>VUlQrnY#elQ|Xnv$HBA4&ohn!U0lw zf+(glgiC9n|E=}lRPerY9SdDV z&q{R%coo?BXnCM2E(ST02S)H&S1B^n%lX!eYcdKe^2{o~cvc=-6HQsT?fTY34*afU>AX6t3&E@p| zws@MrhAyIbcksIfn7ee(+>EhtQBhx>{;r)2Sj1f|UWH{})ro=pB5`ylO)MxRL5rn# zgm`PUcaNf^1NvG=2m06g)s;i#(lfpV;`Vt3^hfRPQRF@4!8i2Bn+3=&P`6{KrsGP9 zG;dO|M(1&#+cgYrs3Dsq_6U8agu9+K*ZLJTXlcpTphANK^Wbe`HERL&y0#Tb^eyqc z#T}&6R&=#jptQ$E0P2LL?O9+e*$Cis=|0c(7?nE_ZxfwBT%m&AALV4~U@4JOJfw3z zt_0fOxG2%U+Gg$k8FpI@?WL8hN08yTGiU|lw$R6(40D+(key!=OCTa8ALdHFF4VrB zLysCKu4>_AhR~DH7qfbzUaqXvymeo}*XeX+hCYt!xmA@2eNZ^z#MU@lIgKJ@cPIxc_3X)^RWJ))=C!!2^9Zb(1 z5~zAH>+cd$wpNUlSHEn&nKccDdy0U@22IFFa3XV=(+01{>-;JbGnCx~BnQ*c0stL& z6BcdIj(0yI4Q+c{nOJYO1PSFz&oZe}@ZPd~O`}0{hT%=a7_^11E=B?g=J6s<@wT*> z)X$;KnrC~fBFwyDWBMlhsdW|>Ul-+?tQ6esb|O~YstjNTbMRCJSEAOo)iEwX9I+WO zPw0ULy^zkV1buGpAyHsb0$0L5pDm~w_fqa#e8=!i>Q zXNHgS9ZDMBek zZq!DV_k*o3OgYiHU{)@&{H{G`6W`S7N6s5X`ki|iPAR;Xm-jxsq-0QAv4&xXl$)N) zBoDztH#-EEm2W~VSPYCYX0|>%jh=&>j=z(Ejm-pkAOkasj5%X~-OR!tX=G@(SR5_U z<@VcK>pefGRj$9RjNbK=S;YU$9Q$`IeQ6(JpuoF(vq`Z!bcbbbqtH^Qxj_zeE-A)8 zAPaevTJE|N1ZH9!YMU@!8g2LWkKcXw```(3Ve6MB$_E;W0|Q_;G173U>#?X$VSz1U z6h^XWDai2GQ)out@yny}UnNYf^VqXsW&|k+Q&|3C!4%5UTlU zbrfV@|3;m*d1)tjg~+GilXvUDPF2}rw=4+^e*Q|39x_sew|RuE6DOD7%q54|gDl=g zBJ!-EyMm8rOPWb7x;!0bm2H$?f(iNRC?kF~Blz>Ge+gZ*jp@ieCN2Wds+b}PbceSStAf}hbta0^OMaiA*Yc-|Z2ZfJWfS%2=4+{~_Z?BoohX_hTzlzL ze!7KCkUmQ(6;8?|&aAN)zHy+uf~X3SM@Os?mhYaL6^U+ww$RuGlWQHvujvWnV-6Orx+R4zN_MX7S5acg!aOg<* zH)_>m-FXV+j6yC}-GmWouN=KD<3v{aCO7H{7{MXW%H%;>L0xa~EM3bEn#fBkyA{KF^e3@#$FxW{n0iMgc;O3H*ibE1@yd`QL5cW-uEW zL}ciPx(_vH|E1O_5l+=uk(twy?N*}V`||AB(Z3R!iwsOU*o>YdD3&vIG(o<#W1L`q z=~YZ5CLRJ+G*Fb^%Wh3)Nhh1icl5y2iQrvzX``ZwDpPdaT7SCIJee1Vg~tsUxYGI_ zUupiD^^J})Lsji|9T~y%t9`l0o4}#*P6svT72nw-jDW4zb$63);0eQvSwcG**Zg&h z?_hn%fUqH5YH9z1H*DM%m0PHECE_qDE0$7E#}y(Oi?zz4pM#{`M+WlZ1K`!NXC zaiyn*-P#N0zZQ>ta>r}Kyd0~z%9MxWWr~x)gUBAdZyfTVg50M-lQqAhmC4iiAC~6b z{rjZ?*@WjOR=G1CbbpeHC&g42fg~vyP2-RNjQ((iSb}ecKiUbzS#Cy?kHy<-=!wz z1?q)=B2rn8OWN$^Y1aK^eN6w<3YkGt@EwW=Kuwe91>567Nuv%48F)Lc?fAr|nq!C+ zT)5k#oZv}Z+D7!|tJ!MKz~}pAt{U>c7V*$ui6zjPq-pjPZo<36nlf}j>+;Rtvt}_m zfHfI#@t`(P7?7AH7@@pqtq2W|OOe%Gq(0CeYsbKZcof>Tx?CImIxH}`;w6uarrbRf zgbS%TR|m{&qWc~PP{Rr^e9ETG8BZHYQ~H-eDRW6_Hj!3CG%`aHBenj9V`yBM%pMU1 zoyCBK2Qa#0W75tqWF5OB^!&*3bEj!2(e|$=MV@Sv^|bldh16<3qdA7THplu2geLoC z?4_OKwn%uE7-}+ujf?&jUo9gPLgpX z9l?#grl=|y^Q2qVLP_5M-t1_4K&lsK#QhWXUn=insgo1C88AxDv_F%{jdpSa;Pq1D z*HQ(A6(L>{X8rJ6Mz}!;=Sl1Gj1DmoYVE`wSGL&wc33}XbW{LWvc`rfd*$ElQLmsS zs-W@M96#6eJ)^Wj=u5qU|JwLlT18zpp>d_XPZlS%=mH^$NkL9 zOE}lg^3SE%XRXUA=wVa6$`U#}E#zE!BueB~Bv1z*2*XVrGrlPW;(O3B{?pBpJT4xX zvHS=Bv0nv^R&8!qWcZy%Xpo;r&DK+%A-aw~Kl2>1_{r+_{ml4d%^OtiUAjR1=iMMP z4Jc1u3W;{`Y@;Ay>eRxp+~e``KbXz8s4ZEFx&_dmyxwdT$~(XD#DQP>D)&q#!`jtj zr?g_IPd*jiG%iaD`Q2Pw*vR5|6Ct4J(@wAg04V~k#g$qg`OVsH+V;9vSyMmi@>N-oZe1o*>J z>}*~xyj(&cS0A?2Xsd};Sh3Jp`vH|OaN=+J3Vf1$CKhc3R4!=ef~f`CNcRJ`uTQiO z2(>Pd4SvF%?6y9&ugRxfk1eG@t0x+E&wj{PCRmyU*M-GP)i1;Cn%J>_8PqGSW;QAe zX|PtsMRvRWcvwL3VcT4lC?&SG$e=Vy@l~uYC5LoTfUEoO!-cv1TTc~`Bc=o=CeeR_SoqBa2E z~0xPHmpwBoa_NYTdz6O~3Vzs_dMiHn1Bvg4z6% z;3#cQQc8wn(SL*)jwRpluX-`p5+Gy{B!1B>rLIAiB~!o>tJBSXnovnnNAI8hA*c5* z%*b&*g9k2;H~En%a6`JMRIJBbR`Lz5BP0Q-xPq)utCoi4&~FdEuT%l5c!Ryf(T{^z z-q#6+up(x7g1vkNRP4ZBVs6#^HFEVU>Mr=x_=R2Z?<2zTV@<(R(tTSKMXj&l;cWRm z(VG1D(a87uW)b?fsu7i&Hnxj6pD$=WA}$fLywmv{A^y*h8u-#Yl1ivptZ7#ef~w9l zXp&H}zD`V*Rsn-ox9(Fm`^P%@f%#Uj7@AB@5)AG`r0iHVpRRxK3z+F6lL@CM@ zQrcIw*Sn7#&E#VZlGK&Z%4J3cdF1esHc!`BXZBQOdO>jCQ*W-fKbic%CI$)?2C(?d zrFw-iDY5kUEiS`K{#>IWntyISO3x8Q(a^<1Oobk5gKsjVSA2(UbRh2@UtjekYvGyk zbtkEmFI{VofFI4A7s2{`)TPvG{?NFXZdy8{O90F10qn{5!$*8hM z?6}{TX0ok3r>Z9>#I7P_OxtTA=G%`Y>UN9VRS>AFU-4xP1FVFN=tfHlkjIi_owXzw z#oal9MxfFX85m6*n5m02RCuxjl}bsoJ2zWum9@Xn1Y0*;!UpqO}rV~V_Q$&k(m1l z@E7|H@Mc`jN;H2S0kVH2hh~X9hRu+KV~BZd2Uu&W!_r$h+!)+hINqtK)mpLh{VBPv za(_`JaQmzTby>|DWGK&3XXS{3*W^CK=o=Lhk&H6h86m9zxO- zmeKRFr+ly2X#bA)V$WD682?k*J)U3nv6XuM|K`5;*FLFyLpZ#y3R}^g&0UX}XV13mV5Tr#OebVudYxgF5c_zm{9;am8p? zubJ6_QF6p5{E# zxq~+pabC|dTK6+Vf~q-wmCN{g?=xpqgWh;-<;kU64jYb}4Y_n@EaDjs3s~-#;GK=+ z9|rxQW|!PpL;5$`6z%v-Jx1=$^|vX)SB@>z)71QS&ZT%4d{6$PS$=W;w~p6Y6ieM?QGo4-FhzmKb{fLoYs*az?*X>{g~#}F=e&I#iV7~c9ufm zsM1-tZSK3nw2Io+wfxTkF1@3dvO z10v4_3A=M*05#x|4uTW(WYAL{cMHm5Y~P6s{w~;dFBm=#9*7vhlg`9s;=^lbk7LY0 zR?7}*0O4w*3F7eH3Je+cFrbp0|7Q?b+gF!vLED~rv{Ua%GDC#3{f_lpKb-ZIWp1Kc zlyGuq%<-Djkv?z*a}QImCQT8_r-a)R+FL)nD4M)81I90{e0_Tsv>XT#%q$%wYQwCD z9tobHX!OAIH03sfQJpTnJKVNR-5dW~)x(H0^e;6=&2nlrem#0jV5{NXo$uplx$*E) zUKy5ZUL3a#rl7E;BmS6*CG_*+a6bjG{*Tt;gNE#8)NYJ9mn3yu){#tX|RO#*Nc!H&GQNx2fGXG}KkuZ&S59}|{ zHu94boOkbl>iAUN{U?A@GSn99skzHn;Wm#B?x6S2(5da1bW)LwY=L~e)3b?Nw*Y9$ zbq6iSg^cWf-FcA1r1crF5uhQjW&b$%!m>ouC+h)Ax9BQp7KgFm`7pI}@*%q>Gpk{~ zw|2&>9&r!3`wBS_3)kwl)~C!9Cy7wD53+h5byTc}%#0R!evRW6#^@jBhm1y8v{8KIt zpl)A@O!OYd$Pa_XfcvSa)RD>Ano6$Jp8Hx?fKsS#H zBYr^{-$r?zHzy0P?zfy0{X&QJ3mWF0{_ZdOUj#%B<2PHw*AXKa#^+9aelK+2?{i=8 zFU9xI5}`lEVE@wWzT;jt)7r}~Zy^vJ{;A_R#1Hue!L@E$xT3m%;G-MXX zx%7o52O=DGKu#MobnMGRf>*`fhCFDwF<|DY`&rmO=R`&j0)(O(6wF>wXrv(!mSo{% zG}5+V^}b_5{veMb=Io;PG|bWp3Rif*khzertp5qcogm%S+V&Eiru3X<07Xp5O7n;ou?{?sFoYT}$wHDG#xC+B zx0F;O(k_rV*?dH=I!;3q;qj=KDZH-;a#b-WmsMNm->fw(d1{<(G*NW=#5*tESq)gS z{TaUyl?sIwv>OO3f9eJ`E6kT0b_F8L4tX}f(C zk9i;zsZ+ix*V|$o_;uRTbLIM|o!9EBuPPW{nH~I>M zUk`JT#I%es0&axR*fuQ~Zjc#1@)Wkf{;!)n6`HUg7S0`N&w@B14zy9$V^{{-$sJQ` zaB#5k-*yX?KNn3nj1&5+iNcJmVF$ba!N!GdNLd+@3B6Cs_sjBP}} zQ@U%T1Q3Bu(-#|%f6;av`27hP&)N;^pa@9gKMPPc4%ynSv7=TLqDXkBa2GHvduYQk zJ8!PrXmZY`J4YKC$$gu7YYk#qSEH{GmuP1}eZe(d_!!_#EF{Y(qa$hKDOi?Wu6K9& zt&O82H!BZGFrvFxWT8f?yg`;z6XBU~0NMnSPgIAGLvk4qX}2=U{VV-l1>#v#EHCn& zep=|p2X^nE9s)KN_=6ynVmJ_aRSDM{?sv2Y_yju~;aV`1F{w zrWSusxr(yMvEjOpem{?5$h}?zxhWJ3#J?Bb!c7<-N|FFKws_vjNV$mEqf5ktiy*>* zbiR3bagw3#ZeIzb{#gzqcYZ;t;C4o+LsVRo(EU?Q*>0vu)huOz@ey*;e%7IDyQiS) z1>dRT#|?vrqjS&T_GZyBB^pWtltO2Ha}Us03=lLJ6X94QbQr`d0cAJjaKsP^0L(@D zgXqvGnf4_30!t+#4yubS^+U*DWYaBuy1BRvcOSmMa2*TflFT-^uT?CQPz!aFU@s5k zF0f^y5yXHas4hP zf+`4*_WT=c*GVYqTh~_{MPSQJ%w;v?rp+A2I7tFo*CV`q9{(uZQwl0>0B@c~H0-TMptn zN%j5#Dxx4HC|H&vAZ|V42qhUN2e#lie|Sg>=dOuJ8#Yo{X_rbHu_q6aY|lg!wj4T; zkSlhV8n!H4Kf|}rxCI-=M_nG|kls?~WHKgdc{ z5^`6j1~kL10TJxEaAhf4s}WK-J5Ota;Cv-64!)ozJiJ)QTlJNb-#tv?)iK&=>2>M zx8HRCx0bN0=_g>g?XA&CdJ0>2;Rf=T3Ko6A^agy6(l85ZbBaTyV<%ZkSJb-fm`!^ z@j^_3V@`V>jFEC7ffKu&$VUxZq9FRSEL-ESWp~Z3z@Sb2>MB|sjBh`Mu6sTL^aH+~ z+)mI&Y(XBp$8ZwNS$8$TYYu{J^C|>{xWD)rFm?$Wssz4JNOZMR+x;oXuVgx=#A1RA zWq4sHNtLQ&OTKRZaGqnc;rXA`Dns8}F+qadnMbWdY=Y3DMJRZ;uJdB)6L*0x@hSKN z(7A~4;;+0R3*I&<9U*G36A1Y`0E?>G&jI zRhO`WbgMDpHA01j$F|B+po%LBG=3&)?lP+0_pka?frIcfan8f4!5eMoGV$Hm&Qaha zM5tg`vd*879ZYEV661xU1cx^1@u8oiLqf$N1=#6EER%w)T}R<6kkwHc5w9Vte-&+Bui_5&nO-xS8J-BOP{;K!^93B7hKw>)!C$5x=NqNUNO8uz z5{GavtX&xLy&^B=9j>26d_(a(XQD^?kgGbPgn{m2JAqFz2XGO2<~%s4jO&a9?RBJJ zUuzv)cdWD836Z2`1muagl`RMLRAv|LG`bUNHjH- zHzsA}{zeD1sGVZqhE~2iHi}e&ILb=|PHx&;-8t|q&y<3)rHv!)f}JRqX+4o_-$VKd zUUxzOGqGXhR?;21)-O+X1aem>ou|kA0OLaIVXfxyzE*-3hD2#e@U*jtA8hiRL9fV` zhBp&$m$Ymk&VE<#eV=mv_Wyo^q{R3AJbViHa|eRgKRqGgD7jHYbfDz9oKE^56*=po z%PDup=}t|CU?SDxW0tT{eIIx2`K(ouXo~`k^bmM>`9ckK3*E%CFzacr8!7`aG%N*G za1+axcWPk%x4|^+Ok(euazB2OhrUerrQ!e!g9Mwi$Yw;w--;|R7M|zhZV{a1Y_llt z&_@{UzeNza7_wR*H=_0T{x6R@d+?Ycdn0n3bILXll;&GS-hDNyRU0+sng9z$lixYA z>9^u&$>lR*P1ts7Hw(o&o+7+~J;ZlTu!&XaIn>zFpJ=Kd9+8G-?(66^sVH`XNAjS{ zWXgfCf*aBqgq_iJ4=T1b41E{eJsfABW0`yM%%P1^d%-ILBuRo;<)rcXPM$^PSrM z6(mHo)GpY>{UU}v`?j_^j_eqv1^xD#0{@Mw8&C=4zAlgSdvbvQwKy`otLLe}=~~1d zF)(Ms2P?=^@^|CPJ3YIXmH36-xtD?6?=VunQ?Nbbm!8~yB~l3)_3U@~;k6H*or0QV z1l<)*dnuNg(x@2AtOZt=Ofq3Ls{BjO_{Bx*POnsngRPlzEFDaC8r8~70`*qH7Gs`F z@d>jBu=0G0tcPG&Zh>PXasaq{ysE>ui6ZE*SaM;_CdDbSO;_|#F~ zWC~Pk-@jDmpASq$-mmwa@;;v>f$syxJ>NHSokI^*Lj@@hM4J9SlkOgD$N=lVtodV9 z%*g$-0nFV^_q}i&J2Pq6zKkX=duB9@vVIM!bonRUd+`UWWe{5!TSdH+Cz|6*uQyDsf z{~HU$*DjSgOm~TN5^#iSkGJ^>{u-Kd?2I+rIG7ny5V_XAKmpl|l#O23h`}thO4E5S zaFNo#Kq+#A<-8455=+?M&$p{@BxeaSAUzoL~iM6dUWOjJ?vcL5_w6> zanfb$Q!3+e%C>ufa+0D2{4pAVk);G((k)PjueR8nM9y3&ddqS&y7j_a$zrSzs56y9 zad`7sMje(75LIp(ra&aqe;8?o-XAI8s5ChwiQ$)!^&(?Q2WWfLOL)Y?xGoUUx1R1Cvh5J!Ui`hci2W*Zt> zatVR{vb(y!O1*&4{xD;GvmVp$cKmbW=RS+gsrItmBz(T1M`_w0gA#K;^QVmkF|pZw zu%sJ>;*ilW2&Q*bI^$Ws1h_8#VJSpPxwBxny=VQE)~IP8$ID0ng`&IXgU3ku?zs&* z8FOQIu%Z9?WaOzBg~HH94?4L6g+dLY>B@pGC-lziq}3=%m=SxEU03uUYON{Rn_M>L zP#Z(L50&COmB~|mP!z?4T($;<;yke=V4TwCJC4?95K`bLmkB%C3{+=K`ajb6s>RC) zbs`YyZOjRX4a4?+b~4;$Ia;HlZ`Z2$%=(bX=we{VDtkrW>1GrP$7}scmSqx!kObrj zj{X-4LXSopa#;sG#(RHC*`z3wUFc+ve?cc97$N8#;xqQ3QFF7qA2)YA+V<}KlU?*- zXKrcyBDl%OAb{=KMQOGQDcfKX{PxO=*h9Twzp zoj#Q6bfh9R$ODZ*cev7vah&@Cageh+rjV1!3p&^4lV#;4u-xzoOm@K6825aAroX`9 z0}?)h8l$`#qrQO}V^HQ--U9XN+2shwA1#ot@(Q2C`%eagkOO3yydx_4Gg3&b+DkEl zrZ)GN!~L^EMd;ZRot;k2TuX)l7-m5HuGt&d&lF*lc4YK z*yV_4b;5A9SK=OMnHq1Hdo2A~&&YbnPHi}=QI~7@x!L(vl|I|uh1f~7 zYd&&HMc3dT-)FFpzZ#xW;6Yo}UJF!}z!horRhSQtjo8HN>5n6ox+;F?X+D+uXkPx6 z`h0z=jOO$I2C+41(Jc2b*c5^=bY3f|MC|RII%AOfx8Q!e2=5Dx0pG94hq1v*bc0p( z<;ZiDK3Lv@bAB}@up1rn-zNqGKL0YGhpS7mj@5rL3uB38|Ga6u9EK18wlq$nqvhM8 zOsbHm2ziQz^=u)B|6$sV$q84Y!CgiL8p>;F^S?WM7JL~DT43|Wg3ii7MSUdg(U>@XfW zQ4Cuk_TM~GQ0L$mQ)q9n5QR3_S-Mt0^ytCW!x0qqO^b@e(bpz72~~uB45kGVSDZ9J z;F|a{d*VMq95(_hr+NpF2J5z$H)YYFJ)aHhdynXoSHOFb3yXNkywv>3wkpv^sQ(9Y zsyCq^SU0&H@uDN8X8Tj8k|#GJ^H+HbXCb%$(W6};PWo9N{9pvx~|Q*9R|=#yKF-J;(-66%NlXZCgQ(b*=h-|dw%gVaUI zuwzg}*kAgkd~rj%xxET>G3#|bLin)kEQFz|6B$;+NdbBYjr85-Mb6T%8h&DhBzt0zT{Ox%5BeY7P6VDjIDYzsy=MnNtZ&58#uYy+fLtm3 z)QRjf)?G#$4q7n01z6h;4+2I|zoR6R}Id zf;hP2XbRLrFR1azQcx?dE^GyXK7TGM5+J|MjIQCtHFW0XqH=^P_#A)DvFFr`4&u^A z0}js=4kqfAOZgk5bLMFFARm0Y8)k^(+Sv>-iEp% z*(Tz-LNt6L&-Hyp2G2H!Ga>%VD?l=uiwu3PR9Z&dc5aoSy-9Z$)BFI!D7qG@xIyaX zWMDQ2J3kg}|5P1fg-P!c$po?oF>h2$cXFDVH6TzIk`s_Es9UzuF$Q##fC0Ta;^CUnfP2RfbbKg}h(6$HD7@HxBmw!F1b;cdBOw*6t)<1)OL_n4A zQ58YbDFXWvKON{Ll(X^8A?-_GJ+Jt!EwuPp zNv=aB!Jh{!j}RI(NC;WSE(ST9d%s^7br}q_Ur8-Ia|)KKS{J9@eR$Q!GQ`6*fyJ^8QLd4c%;$QDZq6*XYKi`E;9c<_F5^AWXC| zv13|l{;$D1B9x{`d`qp`Tlo3hbEY{K<4albC$)_udF^yVZ4e$bs^85@ zOAd?=CH{4|8ukqt+k7gDni^&4$#cr_L%SZ6ukHhD-$Zg{%O|_!FMtd!arQ7VPyl>0 zCCzy)jQ64d6Zo3ZxMME#SYWP{c2&)@q!-`?`ZL=I6Mbc9CoZ zHs1ds?5u*~=)$dyyL%>Ra0!qv310-IrLN9<_K~>GA73UywfUAJFL#WVv}T7V?$jRX zp_`Rno4c+3(>l9RXt>^#exXfsTr;;swISxmRCH%3T{|?~XBl=E*vk;8)zo|&`kQZO z{AhDYR1xJtPRwHS!HdQ;?GUdDq@3v@_hhk!nZXgrtNC{RRBoboQh=CMocHrl@!O64 z6feuGKP6r7jhsG}L3ex35M=WQeO^gDZI62nw`s{<(XPZlLKnOxGyS{r7)+XDem zM-VffK$6`|mBEgm&~XO}?j#tSmh$=KJh`6Ft@S;xRnk}oSsj8$fyzSk83(D3H|tWu z^N$2oXmNiUgg%WL@~!X@+3inn3hlQTw3i|d48HHF`DbL=cUATYa1Y#kNo+afuxPlE3A+R)z3F1026yKy%+kGu2IwC>-1Q*bv;A_n_Lh)$ zgx~8$Z0N$Dh$@%3uB$4V4eZHpw#Ic5>@GA(G~XzzDfU5L;0z&8%NEKFE*1b+V(|&D2te4jn1!r8TPM@oF%B^C%+98 z7PP0g6#}x@7x0Z9oCfWc{8SoiZz?pHr?}a``9G&&7iu-8MSaqP1xt7`C-jMu%UvIN zY1fxg3D|LSOVVc-=qyTKRe{Wcw;ENPxw}LQ8oP;ea$?k*lWF2CHi7mOIbV1hEXPSK zJXEC{@vdzm2`82^;Xhnh1;iCKJ&VXI1!H+C$BNOExj<^-GkBT=)69xFDSc-R6MweR zF7U||%)*o1r2axIH3BkQHw4AV_|ocU5XHx3ln{J#B=-qHFKVaiKBBS1J9Ug45Z4yg z;3;OH&n1}Nto3JRZ7@8rx?QCXS59*rB!_@g523Qm9)IL)ZdcRndzWU+K1R!6uJN_X zWqws=1024n{-8>FZIP`Qf7Iv>i{p~s8Oi~tj{UYkRZpnsEBg&j)l5iMO-RORhz6$; zp|{QSE)*5^Jxmv;rsi>T{H=p@BQTt#ACo0hsHBzm<+Z!#Y!VVJiqVH|{A*v0f3;ScATMesGlE%5KTfA`mVeNxq#ZJ?Vd^|sQ<^hj% zHJ(E?aLuP5Wpcr=lHw6?V?;-zSg{Bj!Q-l;+%kVwF&d-xDH9w|Po`G-4E|fGyfssI zOT`FBd1~_Ev-VvU_XDhC&dVw#Z_+?c-9T=Ov#@md(N7`=fl9PW9Lh&vrUt70enVnt zAO~5Jc|YOL%0-F1b?nP=^GqcL^3CWh2I{3psmqYYe_|TFKb{Rr)2kWTQ#owF;l<4?GsBm zgvQP?=~xCT8|C;HDeTLeeR{HYQX)ljtB&IfB#FGg^?f9nW;`rqBdYEMURO4{eX&jv zTIp&QacX``X$8lcX7Fq^Wn-lARYJ`{O_?0lua0jU%WE%SxVsgqx0g2I=ku~Nf55@3 z`Sa_O^4m$o`-4)!%9VBnN$*SguU*Tu8M7a~cwtG*&hs1%KR?jNct%w}<^eQ9xLQZD z)YeL?(7c&!qnad0$CT;sindBd@;4XxO1rr)n{zW$GqfUHgIgXt>aE!{7JU^U`IOod zD!b)5I-k4UdCUigdLxsOxi7!K&}lKy;+B@berhi%$HA|+{!4r_5za_7Hj+Kb*CI^x zIp^`1D&XY2GO;=~8Cxi-)JLBcx^Qa%_jSyIEhsv_Jz`?0Fi~ZyJh9?d>m%pVhjh<< z#49W-Dp@)!u!~lHuk$hdNK0JtVZ1yERKa#hRODXh{0Bnq;_oE~ss5OVyX89wl;#d}%2QFy{{V5thJ)Fg=%X*$);BFH!%PC@f=2hJzEz%ONqj!;oJnSpvLn0*^+il=>NGxW)jb<))ItgC6;HiquNL>h2rOWsVJR!qs;>G6{9vPRkqO0Vx)I-)VRE#h@TRbROJz6bLI9 zxGjG$I4=A#@U-NKe7>ohXd^N^(X~4KPfHYMb<5RXsJ)(d18HyeW=@RmE4pNeg^bxR zE7fUJVl+{*f+=Fjf1XuNRRq3x4b|Md#BJTPn+03~Z&r-^9T=kue|yvQmAdFYe5$d} zi@mF*tPmY8z#8()GF_|4F3^~u&0R5S@zUOto4{Rm-`%W_j{q1^0?Z#H9%t$ue zWpC%pj&EKtrdJ9L#kH56w)ZXZI=aD3bA7!|_MeFq6JqFYk1Z{vLr=zDHN7%<>Sd5? zBMXVllZU1oC!&)nV*@2Xs?Ci!d5^Yywxgm2a`Rt)O1d0bTH7|`To7n;+U>u;o2or3 zB`>jNbxjq87dE3d>k%2~3v)Ap2Q=`JLMoZ1?CMSwy43Am>r--{P3%O8J$5m&?j6$M zvuKuZ+D;TFo!NWuOUcTRi3J}fl)G}i1G<8^(P&B3q7i4#RsW5GtgD;Ru z(>Q^V$%KBnR6{~Znk$7rVSARy*_>PU!q%8ibfZ9g2)NuqT2&2w5W{iXz#gcLdRNgV zaXZ!yrk3seHStjG<||99aLKq(vJv%&r)&s}2bmGWM>S*=pLMg)cZ|ccp@}8lp2NBp zg)G*^tRSV!8P&+taEJh4tU{0d=qnonUf^~0oa7*b6s#TY!J{aJbvY3;wHqN~<sFVW!$mA{VrlJg_fGc>B_0tANj{D?q<_JCuS(Lq1cTiEx7|uM4oa zWf5tuIkB+B%f|4Ma`gr1u&FKg)RdD^=;Z-!HNSO2-so$pnGx7L33v4X0&MMgEIoPQHIBSiPY zoW?@T_)qN(DXrXVJbdYPA*z4hQdDhlKpJQ+scETk@iBP9gHA}(=S}NzUF+@o{on4> z(CFIp=*2;R-{Zxo4id`szuIBRT@{;rHa@s^jZbs>0EZv=&Z96_^&#^LfcAGKGJNjo z81I;Ch$*sadx~xv+L;vScL&OD5_LzL+ zE$2-s2}T)Tm2EY8EgkP5<87@v0UETZ2QmE|_@?kRA#7w<-pL*6GB=O}EsDUAPP z|AXScwi3C+su2FBKdNf7x9I{2U|~bWTC@R8Lz#Z}nY!O;JGDu8{`%1+Zz9 z^b94=3b}=madQAUz3M;5z8%h4>n>~6&EdbRtQ zd=yA%h@xf9WV_1Y!{`aUMRolnWF9-p)3Ct$H}C#&!OO)kPDVB;y6FZUR;W+?900l~ zzyBrheEeJ?@$ghOzVO3*vQjeRaK@Ezs|Dv;(Yvrfd>z^F@s z)w30TUqI^wpYSRnhVey%zFe0y{=vViVp=FRYuC^I4zxqT1N}E`H5eG4^aFbfz%slF zQfy{eAjN2s4$BRp&YviBARwL zfCX2f+~qjLFQvTfHmuk1=i^V{-5J;~!LhoF_NRlB>IiXg$OY1~*&a{+)GQsWiJoGh zUeigC-2b+;*9%C!keyc=PP_eN7EM5X_u!ZL`r^(VNEE##)r4Xt1)?9-iw5}{a5$t=R>6So-(2J32~h!uZLO^>m}mf z5{1qhB=*1H_}t^PvT@}R$>Ee3bb6< z@0dU+Lxk}xKo;>MIye*aj2%Lq^QU$;?~^JmeKtVwn%?QaKMvR>C7r{P2$nmNOm$T~ z`Y&)fVVwEFiJXsrR-|UiumrjuVZXcKScS3B0}5RJkbi1GO&{NaQH)SOV0Bv=_!57H zP|^8_)867pER!Rbh-!pn0+6;D6EutAOXr!-R(zoZG}o{Ef*jbtkAMSN%{1;%bjnN3 z<*D*>)D*9+A+lN|3A$sE09pb)Jzf%3>CrMw{{Ym6x-|TkPb9Lg( z92y~s96#vu#huc~(49izhk*X)<;1dNJo zau(RCe>FzME1vivpYUobnbQ#*FH{VYSHm_9NyIQ6qyRdL(#PrgOxpnQ>6H;r9Lg{{ zICVcZd4$c)J$xx}0<%JS-9a)H8pWZoZ6gwew;YU$qs2hW@s_bBke^5%va$eYr*Ez( zvvb(xB(d5dBfNB2pIk0oX8mAR%ZUNa4H693`+^8$LiFlisfkqh1WEi)YvDuPOdKr# zmHKk^qgg85$P>w|4G^0}EW4HuSQj;ai1u*w-ZCU{WCUcRmLbI%tL49+v98z#e_F`^ z8!KexElY;wS0I_}NEc+}k0ZA6vM!_TxqH++>wtfNWU5;D9fG*Bc}w%UFNEKcG!F4j7Ow;Vf(smQ_C5U2Xj8Y;YJ^Xe@PD9& z4V0Bvz9@eP!xnr-9A3Ei^DD935j+S838Xnbs$vanG^1$#O6(vxg%pqEV>OwCiN&i0LAX8i0Rtg zYT@Y*8!Wmxz1x13H5qrMX)`@&7WNtq9PAtlxyCL$UU6a1vBMJ~l5K*cr_hO*yJoWp z7;^1s-W7~=mm?A~8bf4-Q)}VaG|<{pQwr~4M(-Pl`t%`V6k+#d{)_I=J?xOfxY|OI zN+aO6S9-M#pSe-DfPbkQF?7>R^W<=IvdCsXSn%0@PVKGK?Z(zPo{vwKs#~C7GE1)k zS~CN>D{)K9pfP@BGB}~Zp+5u1m>`U)AcExoGW8CdI?fk6pXSMH?LpmOO3;em8`xun zWCjOcd|xRYjc<+MuWrS59|5h@#^H`4=3Ha_9LA?*-H97s}3qGQe~Qe{tOHxq?EDLE^_4ZR(#-X49QpJDn!H} z3+fpy&!8h8$ZO@HMexC4ZTEyZ#iB0M9JBzFqPire?3nEAJV1v;hLeOy_MG^Yyq3fXsJH4YGpye9j*RPBNpN9W8WA4byOq)V zn@_CAxhp0ZJv`7~qi_FZ_S{2uT^zfsntuoFtys?z&TF6q!Hp>*yh`q!O?t+RfUILDU(}@xZ`#3$Z0bQEL}~g zHMg;Z%2meON+QF%YzfmV{1A2PVL@%lYNRc|k;?2iUq=KDAXe#ySS8@NnB}bGX9gN2 zcFD@(zx7D$hOYdTAD_!E?Q}X)q^>?l;Iw;6i&vB`(}pBIo;h3H%kdkd4yDXs55GYA z(Xh!3C9|gB6}!5|s&-%18xrxJy$4%Px=p)PHtTGK4Uz_L!KL{m>&1oR8S&)VV0&P4 z#DGecC--`Bynm&;sv>~{#h6sS;D^OJw=OLgnWCvIehn)&nWoiY$4Azvvs`J~cR91s zbc-UbjLcq*>I}K z&YA;%{~W)Y&{Br$^1;vyGp|V%v_Fc-3IJN8^PO^2MaPC9e ze)o>!Ow(gllT8ryA292m_lr*gz$7`pS7*IUXEdchc;DVCV~CSUA9-rTPPe9cxdY1o z;b56ASw}i8n&XJVTu~t5#C@M>Y;cJV#FfEq4s<&Y1J=4r1k~hlMmaW=k7@cwjka5(HyBf56N!q z!jfauiQ_9StnFmn0{gP zrYiT44*YO5N5_rLx+%C#%18X)Ub_-e6~8Z1G71p``8)pt$!<`)jo`&ho!F^W0h{c+ zXkQdb(SArcRe!MXu2uXx@^QSZv{?s(2Hcbd7A!GshkOvAlKw8}#880wv1CMc zD2sg!HTz2GXg4hU`l>)cYl+8y zA=kDLsn(tfcSnYpCy=F~Tr5o=f_w?O%a8ecn5J|CFQ!>9lRDFWvpCagWVdut8A>iw zo$^BR{Q00)k9q)21q{53EDyfLw?^X6D!8D&Wd>Xn1jXyXrE^UYjr=%m^)DzVnKw8; z5{L(|`3w>ob)T8Jk4FGTbE#zmce#CIa{$*qN8Y(;ACL-4v2YoRvQXx9(D;-7VKzrN zz^RpzX}*8IsEEshn-_i~AQpVZz<-&&3&^5H1N>n~X+n6~RBWM<+*8S)YY^bmtZ#xn zj9lAF?QMY%nKX!sH=1^T+@VCvr+kE&GLP&QxIwk1)0lU3mV`*=fI|Oqh%sZ06W@7u zOA0PQ5EGk+(ltAYCC9b&S*o}ur+^r(88OuTtsi#uY*UH(cAU59CyKA^6oqtA@EljVv`l9Nt@Q8MVnK z8_-H5OOW136%jsrKy4}VwaM;Z(eT67uSP1V2hFSb+cK8E-8pkH9UV>g&BtpAY;n43 zuJy#))-;Hh{>j6irk@-S^GhG={`A8V`G5g_qz|lCKA6o%B9u-ga|G)_jgKBpdC8t`>tIslRrSIhRjQ3-MEUmowR$-$< zp^4hG^j77M;P}N_uM^ z>j|GL&}i;uZ%{)~Q15W{KxSpPD-34i+CFG6R6uJK5l$c&je(R~55 z2!}y1LAr4;7tf?-g-u;Su)*DacqP2%5knpg%6VjI`GcB^R7s{}dco=Vw-qdjX5o6l zT##PeSQoix7@4j?dR1=5Xo{U?fT(!_5c#$_*F}^?IU<0PhD9eZRG20s-F}fP8O&!_v1SeCUGeK; z;kcST`nsD~)y}H9mGSqvN#tLpkd|UK!-(tzCbHxAdIbfbf z)y2jG4p)b*?Hb3>k1{>l#+>#JH)t>R_I(8BzE$I#0C$7kHmN%ij2d_Eq8S@KLqZaY zw)zSz=M`+r)dm=gL#u8~{|4euuHy|ugLJ%9vS3lRb}2Two-QdioHhfSHeXHqn-&{r z6e)P`lD&$()%(EJx?xdiZpFz~PK72l?>T0#0He+B$}KPEJ(<6F>0mOoqer(+VK9@zok=>>~&1 z#(A%~-Tc8ZTlk$W@6LLL9%I;NNHRX}Mj#PhYSQI^G1HH=9V7>RvH5W4rJk(D4ww5> zy@6oby~k4ZGv80drKWSVpp@pP4HLhe+tbvX+e3{7D@GeB)vM2mXx{5{U%-Mp5!HFs z26L!N+?QF{51E6?q$KfQgd=kY@TFB3Y%G1rCGKhC6&cIOEB6PXy;-jXT_eqbZ7N(6 zwNU);`sx4Sif>^#A9(|=8wVEMzq+fyCn>$8C4Rr);Z6M#xmUrK4Sx`rgo2!4P$mUQ zsgU60CU*JpbJjW*?@d$G%-6s;Vb-y>#TTZMGDTS({e7sVY=YE19qM5pOo$B0#;&U& zw>2#|Cqa|S5#j?NdECUBR?qx;ZsH@UyN2|zms%+lO|+UCTGf#Fd_wQSU9@GN_WI^u zf4nUA)P4#ts6I`8JWp!=7JJJklVF=#t*gWlr-N`T=wfM5YmI!H<&dvuMdc=|*b7h# zv1v?up7CtYgwy((NLr5G{<*46B&q6%eTobx=%sNgx5=;I1yPV-sn0j&H(l{RkVeAP zE6lR1oAR<+)R4+J;9fC@>({;u8usKAoLk&5%86>6R5}jG{DEZYqx%fp?ZsGv#ny^b z2&eM5V)mU%KzD2*d45Ofh!uI>l%cCz&BRrn5j$d)WZWN)TB_Fbs1obM3`OxB>Qlh1 z$NA0Ibke07!`FOF2_KRr+@FMl5EDuWmG}5G?Duh@(V8Z#fm_ETsMO|end7%`5*~zq zu1fjB-Py=x0Y3VpV%7rvUn6$-@ntdZnl`63MJ|rwA-EvegOoRRBC`>^;Ky6_{56|r z=9#;TX`_ISEGxHS>=_=9y*$)Mhr?U3NA}_bu}9j*n#5Ok%zey*_X0-nelz2WnEO05 zes6lk9+(G53o?`P==<(7HSnJ~@_9KOOTE5WGR^U8B{E zB3&I0kxwFzpNcIpVWC#3E1tA|yXg2d20VY^u4`@|=e^!Ik*}A<9?NwY0EBIiM`j?> z;sD^Z8`f+6x6WTSg_(uvMu;!^B3%_-tLQOC3krnkm*ClQ`5DhR(wRM9KtpKtQ$+8_ zeY1s=L&K9BKf)PIOe2{yx4kLL;xmOUXF)hZ%t@h%u#kXSLf_OToObb*?J7 z#3v`8Y>dfHha*z`lYya!!a*-1xr7LDG~VN_jelLO9DXfS@d8c;%IYEGS1HZ3KH33G?&e->`{5{(;J?KW zjP(16Cr+zw&e3Nxg2yXj*>T4usZ)bLJZ4n?-2mSl!07WVuOyM3y@bLrb8V%At^c)UhAVaeUECauJZ85+ zSsjdE&sSx1}$i+NnL`@6b-q=Nhd+uWz`3T#u2 zC2DBeq0_iLFp(v5yvtavQ71;8&h#5%>UKJK&o?j1|J;P>g_ zeZZ=tgsOu%hBF+yUTzoOp5+-`9@?m0uMdXa9`_vM&)&{=f_aN~3kVG(oA^G}!lTtf zKE!@5yb<&5^~^ccd$Xb5T-1G@I11>M4q74DMe&R;RXFgM=8B@kuk7-wV2Q8=^jGd z#Uw!G_9~5$SIoCclzZcIo;|Q`pu>pl$1kyQRd^n0dBsCy2)yCIs5wqyYHvFnS=g7G zyPsGHZEUGw_SNX!4eouy2;*QFAPr-*psKOBPw*XA2nlQ^dp2ob;jF2%bqGS12JjIeU!{uvVaFV!*mPRG9c%q&#z1>AkmL{V_YSIz3$ ztpv@CKu)YieR(B{S|+txCZ~t5&URzcy`^A3gQNnokPGf>78Lq)|VcC36-1(l{_%pcQF(6`Ks7B|R)u3-? zUE|?hNV?2wbY*ezVyXS?8?1??^E~KNVr#e{5I}PQ2$!S&^XY25odeJBm*+5(cHQ}F zT6HEB+iOm>YPO(YucHGbP*IZ>?7lV_Gr*4pCpE5Tk@MwEn&j+6lA4$!A#LB5*Q+!CE2z<1 zABV})>(<@dR-1CbbJyKFi^Eaa_v-2Fb?BXHb^oriynX5Nzgp{jx;yiK&K%aMpHn}X z@m8FY*|Rp5cNR}i>+YH8Y5nWM>{{eZnY=-(r&t9`dO|Kg68bc*5D@-2K}6k6Ij*SV zWsjXX|NCo^J`}+8B{TmaCehGc1;Qd0m!`FH{7q!IvHF+V;oHm~*;kx4B|bXSS@xXl zv>#OxNerF_i72CpN3ALHVJ5_Kg{ldc?EkDOk=$S57Hc%qfd*o){pob3!!80ai%&qe zOlfu2VU>>0%{8N+&kTeC^^yX(XRHcH2K#kz%T!>zXmKq~{{%(@~5 zgqz{J$xHK2b(ZhD7)gpDws!E499hU~q4>8v08VcXK81^Vu(XX6_}jJZa1Kb>af~XJ zm&nuR*IFHnZb1NuzbC)XT+nD^lq61~U-5>MB>#&DRlm~UfwhK3%QiyVC``raAx5`3 z=|8jBklRP{!a^m(y7MO{l7;?H(uGbN_Ilp z-*f5SvWf24M5^^9e(s1`{hO`q(YPZYf3G_J)9r|Z(9P6xD%iKJe{1cNBN)Kbb&hF< z&Pw?q8s6o|s$4(}#v!Xm4v=!BIwe#yQBA3Z1Z=avKDSU*!XKRuW|0vXQP;DwyKI6Q@V>1;awd*ca@~wG6g=G#H*I`K{N&qTh~<3GY2bCSPdGacOx z`YTy#J?*q|>7K6wUv_2&6p&=aje7MS})(Ne=2ddnW0w!NZ#RJ?B0Ay zHq?R@#72qHlV^5Bogxq#o}}N3Z>3&AZ%0)V+@}xcya!?anIpD_-SfMg_W+T5N-W;g z;TZ}Xn=USr880TU{dr*gYWcY~f#oZH405^5~$ zKlwReS)|PBOHm4;BF!N*G3ab@Pg4`cas}aL+W&)^0cabgf6;NXcz60KB7zx=TojXE zD*Uik$=~n(*IHwD_jFT73*ODq(g|E&NK0#- zo7C82(`CBu7;pz&d!FSO5P4b%c;Z-l`8V)MHY9n@g^LQdAFB&tzu-f;hrt}V=aB&8 zF&ZN^hlZNikNe=?=JV9DzYcUamX2DxL&gOh(vb4N3j;Ogl})z(*K=%enp3p$F6Y5K z{bxzALA}6G?9e&-Xx<<;De<;*!8lXz5l^~0qdv+x72d>ea!?>+13HqG&L^xSzkfD9 z2`Q?e99R`8pWW=||2mio1G`(NKn8n%tm!x(*$PBib~)#Ndnl&h$ARS%k5#qQ2}j-Q z_De?NY_MBD6$;}YusD}z4Y7fxD%{)0Pw+J-mM_=zKws9Noaj-@yA?jtbVj8%fCzxe z+yWIvzY@Jr#nOFAjS+1s@W{OFKG>;!b7Za|Ql+ibMM*%Vsk#)XCS@tsN?x`QnobS| zwK(96*&Hd`Op0b4vTK+ROgw;$-k$f5j6M>Hy|Y7ZN?97)Z5~V)fuSyTK64Ze52_!7 z@51*h^$L=!IYZeFo=rU1062cto&E!9m#0UTHOdV)d49CjG6Q%i_Z{_*k02eEZrC{Y zNP^_bdI3x97sFslk1HnPs^X04v=4r)DU#}6jQ>$7R{t}pXBiGeV2n#(X898Q?D58; zCHBFT@h?<&yB1pZBk$?QLrw<_maqQZ(mh_t8iKdb%U^ibml9 z50o>$%i@AfRWQdRET8W98eR78l-<)4s<(V7 zi+dT`8+=ta&_%^Ew~QKcwu!$|UV79zWs7(KBruy^%jMvI)za%qmZ)hac*jDJ3RnNr z!2)Oqz96e{T1kez*2zsjc?qp~V>#>ePh&617r<5fThn3+W^ZShRzUs;H4b6U6qg*D{!|(rnYhrg&C)41M3}~(1*oa2GxZ-Az4VU(OStapd6aE+ zllDkn-NXzcRyu%oCO;cjTz^179?9vJYPb=u=-ju7UYMEjO+dr-SPtdJZ2<;sn z1{@qC(fsJ{(nk9zRGR@_kyrd-@VdJA;i-+jM6THsjTGt!zodfMsRA9Z+Y z*L9S-KD7~gJ%1Q=yIy^Hb^opBC?vdL{iZ7nL(7u*or0Nx@GX?Kl>P278I%a zLC=!MjC%Sl%v>}k{JXxfI)VQXKW=)F&JN!=?|XOnwXU6Nj!y6HTwLs!wCHgd6}gO% zrT3hT!~z*dO2>slhBEYI;nUj4NW_e>D3o=P@W>`{D3+Wv_)3QrdX2gt=g-TJ?hq3)@2Fi$*L$Zh^1Eso?;veRz%7fCF7C(`Tec zu&N7$JbDV{Oum`iM0fSXitnVx=%87I7L`|^TC_%IB2X;hQXo2F3dP>*(;)v8384PS z$#IRbCVw7?k;T=G@@QzXl~Ak7xL9mDn-*iaYfA7;LQ8c$e`0)%V+!<;}>y6T7(cgPhKLO5`QAI30SB> za+|U_l9L2#huI;knW*fzRTC91nA1?QEq=#YR#Ex&>!(e`v1E9|7wf0{H!S)Z$YCN#O(Orz+u292mr%N|S9zkI}uGA6JmJn`#-ZLmL%jm-;#&paKw= zY8}$>L65E}K?-Ci9~fK66X*6_jMm?lrgbqkqk3e0f~N%yLo{?f$T)S`kFyXE`zbg{ z*!Id)Ag?R4du?yW;VI5@gOZiC{d&me8M;R>009Ikh|&vHf0RHzMailQesRSJ5nXdDhKUglLUI&(SjC0dFLll7qM2lh-}t73ey4QAbFaTb#h z-oOid0?UpY^n!&Yl;e~RSVA$R|S|N^Q16! zyRev_x>{0TxlMf~IZngs6tA{vnqqFb&KGYDQQ-OZnZQm^thbg|wAM}#-e-8&ntd^a zaqDpazgmD7t6Ob(UP3%)zTk?2Gq!HX%s)@LhW(X=?Dx^6@V_d{P2XlcF#{7M?BA*AEptvyR0VB5D5E^$u z=vdC6B(o`_NmZa+zHv`jj9s)LaRWD85{W}Bw3%;Wr7mvx-c+a~^R>^(m*6lqD^!8X zQ#*8NXiI4HlQ)Dhs?SN86qI!|#NYp$W{D>Qnxs+?tX~TR?Da_kC43;$o;1^|EuBzD za`Iw3p$}_gYZ8h6TH$vt*Ekh+4LYy+$h+()UbLcS)IMJVFC;uml06a?$~6Yg;x5Be z$u%N>wy%3nq7ZJ&&x)d^{^757;~3(YU_~Fs<3cbK02QeT4gPDotlv-K-v=nDee&NE z9^2(f$z)qMzgo68I`BryHL5_+8)DV6YUnekAa2 z_0!;MK_!%nsBx7tsuiaCjvOpl=V2WkZXA0so2cSVU(ieypQ3g{IwU&#jQ&60GCy4F ze3M>7fYTU3h6BKn!?~I}bC}t=ySkaX{67H1KsUvp(~FJo+Vb97~LUourx2>=7p z>~Uq&>~Upvcnbgl1oi;{00a~O007ke>#{64a-|9Wx2K2)m>S7Ul1cJUi=YfJ7#e11 zGXMe`Ov%Vdkc?r*R5F7Sk*u0}`}r2k-M8-(mkd@__w?|R8F9|u?q;~CE>&H+^ndBc z|MNG${mt)w_xHd1-EaP{zx^sF^B?}{n}7Z0Pyh7IpZ=_W`|4l6`{RH6mv6rR`iF16 z`cMDy>p%YR^;cj2@n87+@4x;p-+upZKm0$Yy<2NH7o4>z%ty?zZYf0@jx8i-d)iVt z!p zT0BCvkv}PopGwUxrNt>Vz9pS?3uW6Q>%1L?6n*Z%(ORN3GEuNCZI882n>)3RJjlWy@VGoziJXBD{1#1g z*=;d))@!NTUaz#}tuKwm?L1)Q=ZHWxN?dYjHRg{7u$Hy`6xIbgfxA6yKbZ|7L=K8G`#IYeGj=h(X1PBxF{S$qsf z=+URJO^Fwd(WGp=Z#CI-J8Cn0Kq(wl4n+;~x!R-hOs4g4ZejD`;#jaj=T#3@sQM|^ zqco1q!r6_>)>nJZoA;^uosY;1CoM0BTl1+A!Pm6awJ}hvlKI%UpPId>z&iSY!e?6S^9(THI~ITCCu74 z?wa4)nM&k!(!P|{Ew04IoozK<9J2Fr+@RvlC56eSewCRc9}OojJ5Fh?kwa5zJ2>L( zJDb`f%fz`eHm$mMbq?W39BvL+>Z_lYl#jmg3N^dRr)}qPx#+f<4i+?;61SXvNLL89 z*uvMJCGgQ(>8)}=cbN~aCP#72)mMM6xyMrSX%oj~X2n>Gtz7JFEw*z=L$Qt1vFx39 z-$Jjh2G6dxbK)GlaWN~a$OY5|*o4z#V zd5)Zsl|M|0-8X>;N|qyd?fTHv!*P^;9CbHoFS#t746X{du()m7V~@6l#vSMuqZGQc z7(bg9c6M8J&DmtkZNUJilJXv7$Tlot=NEgnn3Ytg6Wf9LG>)B!T8$hE<_9B!5%(B# z8_kUMb++|tx$BFzI0S5EAKs#3h0=sOm@$CGZ)|*?P4#B&ap!fmbaCW6Z_>Ej-umL% z2X<)7u?N|{z~gPZ>&Z@m1LI{9@^Km*$aZ8Nm8A-DIp*5k!BWo{hkEg*jgOChSqtWG zv#bIC;Y{XfET7GZifL@d4X?V?VRdQP^2Sc_-Ak?PR&}9=7A7uX zS{Kd%Pa7QzrIUay;e=T1&l0oY)l%cAcYfsHR^oIzzsb%ogXEOV(S~f2DoiFe$a+*1Qg| zUEHzZ2ny~N2btMRKjURX|JRrUSM9SFj+1$p|S72Why9ADQLEcvuIEHrnb z#frC2a`x7Rg@cBvzkNObxo^Yf_`Gwi1D+9k>3N?L6954N&+T0@&fe|8of|fvTT_O! z$JgU9F?P6PgRjrnL_KxE*W1c@1sa&h1z2#!7zlUroZ(DiwOeg3XTKgjxZ&&Bh#2wp z{DbAxxp!Y*cU|wPSDbyr*>lj$ngBb8FT>q}V5@b$}N zhqEusaQ5lx>@ip@YUD+3U!Qi)1J70QH8MUgU!RxZ>!%+(d+t9jb2;Se&G7YnYTV`P zjeI>epG#7^8NS{PUq5{~`|@=5@pks>xh&Dn;pyv_?wj>T$4mdn*H15J&+dJ4_O{8_ z^HvpuiksUw!SeM>l&@!TxhugGH+=mh4Ey2i0T=D*>_LI}`j)V2FJEtNU*A#zCe}8e z-I^L=;b}SsAuK-Z0NH|zywb6Ivv|G-oRv6J&BW_RzFr$0AHLpC8^9)RJBKWQxC%!M z)9vK!U5_kU;nd5E#-sscgzNVqaWkfd2>wM>P{IIU}yy_-oC!r zCEvcD16FEsDWc?*Og^34`(0or!QyE1|DHqarWuq>~~D+VKIhWF&tpp zBHo^$fcxl#yz=er&2aWL{n**J+u2vH=F{6R^7c4iS354JVW+)5uqYx5wF9yJNe-ymFzd7oO->!?&EJ2~Xf(GB+}FDDXgd^x^CS zc&1F(+I4MkC)>voZJUGhX?Adj9Vb)B+J5FJE`%*Zb z^7dSQ!-a2~%L|K^^0f*7McmwS+J*l;arOAo;KSLl_gogcrwMbH!T#IIZ3(@nlfy`K zVAp0kXL18DaswUS2IE#`8x*gx0^n77xHE&(_sLrDMBcJ#wgMbaU}(Y?Z3>2NS08@L z)t@Yh-As76`f2!jo(_vDH~aMUI6#BzHduuCboGEgV!?TntDhgP9-CKYb}E73IpMfo zE=7*QW)EjC52IkDpPMOd!JhT4aBdoQ6kk_8Q3Hnq@X4LRWNe=8o0~$#N{oTCUqE$> z0Qa^X@gNeby8te(gTLN{1}xzizMeR$anJe$XgSP@<;K~YuJ_s4aendGdl?V0g&m{A zW_ztR4+rQ3<6?lgVEB3kzZ2o>yaYLWP(02)a}B~KSiwTd*9RdiLQtR2rH4LuwyPYb zti%t&AJ_}x)al{wx82WcuN&^($zmGTamPj!E*k%eq50(QfBRQ|(cRDAefQ_D{^QsG z?ML1o?2HFya70z zGOp6}+19Sv|4p4B)nEWVQA3Kpy=Jxo4qamE@i3?9Og~{eNS1vCbV|&{74L50Z(YUjf z#SSCA;IY!K%)6rH48RNC#))Ueo+snEv)WmAgqfqH*z!&<ubWM;$#%X(`7r@TZfo_7CO13c%$iaeb8OT9AfH}mD0yfzS zlW@G|ry++B2kxcyX+Vy3Q+@%k$YZ`B2Ycgg$WeeCSYOuQ1v%>H^umOJWm|V3hf+eI zIr;1uU+D$6EJ`n&Kb>CS&hZM)Op#ZrP9el2ztEN$bKNjITsqc)wPP<8mV!?=?I5pa z>?6H!-iTu|>4qGE$o2zr5VG-LScDsLlo#aKbu4FIhJV9&^2k`f#ZMeLZfMZ98BE^k zi2;GlX}1TbYe0_pbLj={y!L!w?L_?2cu*dDPm3T&J&AQwHUn}PK@JQoQDfnP@j@XN zAV(bO1-X}jIMyj)?0^yR#5e}!7f#UAF$;2VMwSTDGC&UbJgy8DctDN<aNR-*{uX(+h@G$MLa}j$LiaE^Nv!a1NDS;P@yLfV1xASOqvDfI~r2ERNVR zP70`T#|xnZVDi`#7agO%0XXEPOfH=Vw{}@~mSZdXon5fUdS1LF(Y?nEP55DK{&ud1 z;y!Fr1ES;gl`h#s+KGSdNyuz)<@iOcHuwp2RWL~)r~{0`vrZuCorl|47LGz$F>^Z+ z1x^X@cL+9N2yyX2xDQKbTx%?Bv}wi(vT{VYX`8kPE+!ZQf`MR!Z@?>x33*d&iUDC^ zkYjfJ$gc6Bpb&!{i;EH`Y~VnzQ(U=8uCDubVyuX27sC}=1!ROWlGc`^Xg(zrHYF5@ zRKU86thAee+UyeHaXP#a%HXGt#vQL20I*?Xu6m;kP|th;J-}fVI3IB%z$|M{lN|^e zr(=U|7@=Uy+$~Gc-DXx}7QkQ)+roq4opfA62atgRu$oI1yue&krwpCeW41eJi_czk zE`cw}$yOS$aMYpc856{7vm+g|wirb_UZ7~H_|=JZSB3z<$^!`xX#wYLAu1|7DIn6G z*ccFqX|g~-3{Hww=6@k7!Uuv(+k`bwyaDKjz$O4c@R@NXyuud|Ty=i5DwHP{V71uO zJzQ$h? zTeNPQ!>)So&SZJ)=-jyq&D-rV{GG*R`Vwukpos$L!U}hVYS_q`=y_sQg%BzrE}CN_ zVk;MCtd(n5#iQ9vQ5Cy{p^0@i`*s!n6&uiq!dWwyt95)#1P)ZZB42{vAQst|V>wF( zcoD2{sPN03h+zj57Nv#_4z^>0PWcEW94gT*!N|PewK5j!00;uK1IM+M&Y$XXUKW58 zww;K%nria0R@`rpJ*sx?Ypd%v0c*LPU6_b1^?oK$HUQ7X@4;be6sRhr;lb;N(ooB> zF4?a@3!FF4ge^ZfI0n~_mFFISDY3nq{1)h9z0rm33%1dj4Tr}Wvyq_JVgdLBkTsZo z0T1O#Y0?^dy?Rq>svTBlx4RYJq2dL<8I(9Z4tK3W_1iIDpbKS(4}&Ec35}_Q8b^e@ zVl&dQVhE1P(cf4B0^G=z>Lg3p^9z+v|*IsIM~0b7^OM6(VP>rEqE1RB8AbyZa}PGbu%o7pJ> z+Tg)SN^uyst84(G^VQGR&prck*AmvPwGA_@R|OZh>vAd$X+9!Z|0L-dxJcT5mdso~7`W{lH*@IJmMa_HLGCGMt-EY)}r}#*Eu3O5&fq zgsqQ)2C?1N{i!!{VdVjitc1t9tijKO<9vT`ZaOMq%fUUs(%V)}96r~?VDgS@$Di^! z_y_LIg%2Pabr>`U_S#lYV9uHHy6zKS%klNfg>XUuge#B=0L*D5oFybPrdhMEIRiU0 zi*ZKxXT9 zsl*8kJWkGyZ}?B_9gt|-=6obh6{{HMEK~-B=$;ZDU_cS`fPhU?FaS< zJb)4$xW!61IT9SW%8`a*ZI5ys99&xneubz6J-|uHTqYfOR1kBi7m*%816Fx0J3%J* zX|cQGd$7PeAqRWBbKPZ5cgN+f7z(1q&aqR5&~qR;OmpE#q_(r}m>!w>ksSFVd}^HB^W6HpOc^W4J>zPeXJg^m{?ilBw7zlU_ozyAxP+6U>fzv>;c|F= zEP!=<%UX_g>g%=1w{Uv_FjwRYa#Ob3D*qq*VtNn0ty||i6s$J(H16}+cWnGYMAMgk zPBH|ncIFc2zG8(g#m#Rf<7#K1033$>L_zAP};eZMh z!2@802tR+~b!32b0PzD!t~E4kGSzF-j*1Clp~#-niHmr@yP}*Fof2 zeo>`jHj4-Y+*Na$j^T!2%bSXH~Px&LIX19f^R` z61W49^VSK*fWJKI>eFuIWwjT^;Ht1W=jPYg2Sfn}mtDDln5L6eO{e2jC|bbR;y9vw z$JH7Dy!-lqD7*5##2qmAraxtbGqJ+j@pRDQ{^Tldl!m#0|U5|jp2t<_a zI#g*0JTng~h{Behz_f5!{ENNQyEYnb;@K5?;hyuNLkT#R&%}QyyofF-UtiZ0+Fj$;SU#;wiQ>Dd7#L)r_Ybd_NgBNqZj;dKt>Lh>a) z#|tKRsvf#11TlEhTFqu!I#&XhLpdM<@s;H&3ut<4V%r>H^9y$m>&oIZEG&WM)-ZZg zk6;vxaGQPQaM0L)q5WfCxO1EYAaIHQW5 z6GI2&gmmuJMm5S|30H@;294<2#!yTQh+j+xs`HY43q1J&?7FFc2?t~L~+ch-elW=f) za*Tj+c6?VtM1@9y6HwA9!DP96g~evJ`p>|X;MFbQWK>{evI_4Qus|5LoO&MMB(7Oi zr#yiR9ZN>wtZGf|jZLh!jN~kP_5de@nAeP#R&)*4NW2iXWff!;roz9}!3W!{Ir!EC zT%l53%XueW;u2%NwnMxFfP{`%1;TQ5$_2c^C;4T&uuc=lK8hOEoF|ewszQh;3zj7E4Sq2&tPy@yc&&O{ooy{Fm z`kCiwDvDuZ9O1kLodQ#gme0z!@;-qpSGooJ`tF7K*~)(6NqOokS`W%eO9!ebwBsu# z?sRCFtGgJ}MaRo-IYY!rhowA_iYMgRa1W8q9i z|1bDYmSb|Dt*jJM$6Ezib&R*NVO&BytJ{bgL2?8Whgb3x|Ia^yTQH^K)fjOV`h;C1 z_{@Y2gtSqspFu}_*+}wWv#_mPNlfzz+Gl&YIXttVM>v&w;J>Sz++xr23D5lI@4mn@ z|MBZTeEaoR{`;^0^iSXX0-ou7)Z$o4@q37tkC6xdF`j8pJQLD{FTC+g6`pZ&xCG(@ z&*Vk6rr_KMo?-R6PcFuFk2=*q#xn)yB|JkwVoxW64A$p?XVwRvIR~C8KgKiZg=d1Y zI6JB^mMJ*g5mjZ9=0lYV!*?t<&EDtfMC^_5YGFBo|8;mK3D3mT&5!Vmf8d#T=%8UG2_1e3&m2FCXPmsg;OZ~onFyY7 z0wMoX@l5_TcqV<|nf$~v+XK(^Rd{BxlfswzTK_xojB*8fn(q!WYS$e}WO6x~+{zW`v zKH-`8z%$zip5eAAYnMIdkceNr5>r3n8T$#(s31KT&_QtzJkyjJ!iXYxcK5_H!DH|azU=6N zF@gb3ts<%VpTaZ0`vTASufP9?uU|lBfEHKEVezAE92a(#%{i*_XXgvJ;4krNd*Rbrk5bP{L0G}Gm9EkXQJkuIy_WkT>QGQ)a*uq~Z2cFht+U6{h z@*uc!g)_Fc8$~L)*=m&0wcALHhN}i|l_TD>XEQryNeTCZBMUlH;n(2BGiWGoxwyrN z8_Iz^Gq?TpY&VEm6 zwfA7Yo`?Y+<)CLT3yvpYv4{(H?!k>rfFzG<&?E{##|$Zs&!!B@Ln{8{Ak1Q&7~Jox z7$ojhz&GcBHC9uLV5ES_B-RNbJ$qNxDxpG8TsN#`bt;4_@Cfnr)!6MOo$w>Oinaj9 zL38D{dhFAzo(X^nW-!g*5quiYTf}U{`ibXST3HwVL)8gT6bDcVe-o7!4Iq-ad8{R& za^xZ`GA+GvT%fhSC(fKMS|$ii#!u;3+nKT8)-Hn*FP>|- z%Rt=7x{GTX@~N(qVmQfX@*#}$qT&E&N0N0S#w%Rs?dG|j>>E&E_90u0jozo2>gsE5kD{t+R2G!_q#D%Lx zh2NdDiU71lw*?yw@LAUCh)!x2kgp4$gr8>Z4g$B@v))TA9?&56vfQeFuJ}wq*3QYCQUu{P z0{%G>ee%CMK1J;bJe9}z7M4A#r0dfha-E%c4%+C(t{vibY#`=o8!X?W;;i?Qx$1V+ zSMa14*AZ;M`QQw3k>2seZ~yLhf0+RLZ{L3X204`Oa)6HRyAy3k^;7~yz-Nq6fSbFL z`xAMHq{tS9ivyOU!U{DdkaytwPYR_WW>z6u#)Mp=c+cE*`2E@aRicS z;uqUa-~p-t0&=ZtFeR&EcXM7habCA&2EiV=oP^dE195E_EvtGycs^NA@XAdV9R-7z zwjC?()wX*$aL$tIVuc7!{3i$BahJ%@0mb$G7C-|_+i4ZHfsItcEjm9 zo&~?4-r^g5U{2K>vH*sCym}CS)%i83|7>a}M*2ERS_yA}og*)TlZ{{x_14Hh>w4VS zBa9FUD822utGp~G3fN;hl`wF|)_66waJa^bd6Dw&v`B6@_HbTVk-i>oYBq@SS*%bTn?m2l!A@^?^PFKCq^Pi^Bam zSwVR(m0M>~QS2M|AUY{KSC3%jP}nz#3{ziekyZ;=DRYjJT8U3JCJGNEZX{<<<;K~h zxQ~}r7xd0S_I;ZM{E&B16WuXLV{N2I)k`7D>uy9dA#M?G_C_DUa+tv9%U%v6gw6Z0qa1RV*V-d~u1%T$w7ql8SMl`gwTYB?Y(#K?Znt#o zI@=L|Q-t#Sawemkh;Aw9hd1S%w|#23yljEXdSXz@nfb{5at|hon3#(vUWfp0_P(v4 zVg*c?Se$;DF|SzHNnNJ+OuTtFcwC}hSF9LjvG`+lPkuBH-&eY$&XFfN^lmNnl- zL#}h{R@=I+81dB(5TZ-X9?Up-{@u31O2qBjKv;8})TskhVw0T+uO>|`O)(v1* zjkDhIE?w=3dCfNnnK?Jf4m!6}*Rf~2@mj#J=xJR62P#cN;6rvpuAF+9Rld|~xi zbmF&o9I8C3(Z=BWJP|yg5h%iY1ppH<)OuuVw%NRjJBzx206>HicT?>qR-hW4{Tv@W zlx9uw$qXpMPXk4w(lGVpXkVo-;-KcZ#%&VcI@I0n#3cdTJ9v!Y-(Y z7Xj=aYQpBWPbwJ{Ag6X$c^SZgx+VZKCKV$#0a)9C74`uUIqFR~5>JX70PecP0)?s1 z;93z-@U7YMJ^cg(UMzU+%cXh`&L9+ypTJhE>g*&AEk`)dplHU#9UK*v^z`b&v4kH4 z!TGe8?dME@E!LN$3smeoT*tz03cQQ>i;jy^(>q`GZ~yN1pVA!v;p-oM`0gLS{rb<} z{L@$e`u%r*{^r|1ef6LIw?F*xhkyF+`~TMqi3EvM5EbZbgP3tNI7!jVh2Gg4tN<|5 z_yrTPazKL1yW1`Mf`Q^3y49s?B5vI-0t2T87GKnFRLgM^(S5ajjf1#TsG?3B#Xt1-X{mXQ2o+pT-5iAytrq zr+pD?g(`1iEpb>^m__Vgun$$VU+*|HzU|$rlaJsVljwyqm+7<*V(pLx5wW&>Cf1&# zH{7lp#taA0vpT|>XwA4`(_QJn?&&7h?l-YEy%TEz6$55j8C8$_H9;E+t9w z!K)prAlPd~F=Y^ItGer1Ximl(u~xtBmaA}(u9Yi_<2$UNeRP5_LlvZeKlz1@VNlzS z9*Elunh~+KJc+d>oP$>jo`@iEbzR-OTGn>j)^e1ZE=APJfLKAS_EW^#8_mR@e3S37~Tza-X%PsG|lFgS>{ zAH3RtNxXTr9Fc~h+$O^Mn^-G8$JW#dzp2PaSfnuUjad5yueLsTwQS@HN?XA|Vmz7v zEyc!8;%)}SCCbkN^vz^9)Den@`-8#%SVDzoZu7g)QyHPM!@6~4J)655e z@R;yitGY)-tld=|uw8&=J$^*2eeh~IU8bZxtCvKjo{mUMw~N1u3rXs z#e5KJ@rggjtCi-!^di=p^Q=b1+Qb6@IBsHXoTb~6H*CZ~xt}dca;;sN8N*=`8pth-|JX<*n*Ym}z-OQe)q~wL=2CsIyiM5rxUsqhn(xWlh zV%wBaxn&Px?P?B_2Cr6ESG-!B zh^!+PyB}@R*8*_dK{VVN@yCN$8=k~kgB=pLRWc|Mq;2dT-gvc2u5uaJ%LlP`b2|o6 z#M(kQa}#U(AlBv^(WGQ9w-)hgqcio2g_FL4XI88qfD@yy{0i|BroVEjIYfKKAt+!_ z6S@>7Ks2Haam7^$3$YoZmKEz4>X|0H3&uZ)wL7;oi_M`N^Ko9TEa#whnPuK{!9NYI z3enRpK^av-4m=i7VOfOw`S(k1d$oj5VLq?X9PZ|5*uR622^;Ix-HCNOsI!RA0H~rlZ-#L%fNpF$_-l=mp6OiU zK4`VrfWE2jl+58*y^`W)p<8e%2GX&b*{p(CN=ki#Gr#})FRC`{cYpksZ+`gdfBm;V z{qrB+XegW7D=m@nnU+P`WQ6)aRm?INB`x99F$D~RTNd>~KQ+n-`_`ZyFAUlg{dsn& z41m8x^bmSgR}_9(aZ3+Yuw@0rEi7wJhsy7%$8N0TXLK-D3`!Z51;Ag7mtRHsv}qpP zfUX*!SRC7Rm7zpZ*0$A+yD|$5IVI4EIyjqkI)*?A)?o*Cv8$=>UTJ_$C8|w%5zH^Z z7Aop_R{V%yeLHQ~8?Y%(D#Vd#(^VNTn*&2clUz3H@W;r~3o z_mT>L4$el(AsByaE%q=zb1Y%n6#Rj( zR6XX3ONz=y0@f%N6K{PT>0Bf%ce79Ml~}2uEQ@NpN4YCJQ_-EwfX|@v6>*J^=8%I~0m}+%fZ}JJ=NL|WrYGqRV@Y9og;ywWr{Ug9+ec%$DdKF45nB$R_D8CvDC;nUO==3G z+%;6pRJXa7;6}@jSSY(odn|XV#p}vGT7HB~dJ!_2%8)hTaSs!ho#1m;s%2M^*~f>f ziF!Ocu%Qyxgv%@0ci`V{WYX3-E#szHa zOBG)qj93o8aD;Kip3OW(VFe7vSI^I)01#`c)NWN)TAXzinBu9}>#AUrN!LW%IzY)9 zut7Y5tx_~t7m1Ky&(36|?iG_K=q8prfr;G1R@!U_G%3>@7qJxi+f=+x5OqvY z02AhQ8RqOoTiCAZ0kfkfH1ohwVOu+^JdD8MfF{eiZmD*TdhQ`%Tep$@2l)1R;b$?c z;@E8}DRT7o;M*~nVUihi@>SRYuAft7u#JArOThsUz_io}`}r>r$3j@HLK-MNay6A^ zN;ox;L-C{L@>b&vq_H zn^`V4XpzojJFK$>m?`m{Dv^R`X=k$+j$7$5-oFB2jPWXW5~wSx!d5G(kR)7`2?7t` z-MVIdpinf|jm^Ridy&Kd=#C?U2gzo)I0Ve_)Z%J!tV8y5n@>(X?-^@8-69`}9l-C+ z+nx*_6gY$5#o{$!(`mDk8_y zs;RjJeD{L|Yi|~;%0l1}^*%qDCMqhbhN)m4Gj@QbS>HhDhReZN9zj}*$|I?%M}&ks zKXN=cu612Ie*Y_(^#Ct%dUbWMpq%kyM|%~}Ts zRz*7}<66{QTo7}LxGcI(0p^pS?yD6|(=0Sly&3v-SQo6jh9W=)cHFc<} zg(wc}CO)Rfg#vwQY!(MrG)Yll>rrM8y4m*LOsjPoJv~@Q*(dhrCRRQ=8l6`o0bhEH=vXb3818v^cPU0WL*ePH|vyMHQrAPwup81y_oB!4em< zPM8=40)qt0Q|sEWa2K~c6JFXStE$1_SxpE{)ECPIZOR^t0~-mv35xS{WEIyfK~hi$ zNR`IJCVCy=v$DVz@Ich!g37krp_X^n!ttHP7{Lub$Q_eQ=W$dpc;v(?7uA$CTIxYq zk*<^N=;AREafqyZY`7F7tg}5hu!?Q>d13<&wez%Pevx43K9Yzr-z3=WL4w_*-_3E{ z99Y941L=(RW9bCV{Txj_S!vwMir!K=9c$e2`D$mf#+u8j4+n?lggd&QMF+{mZoV4g zraCQGU-!Wb2!mc;2{~P>ZJv)V5^Pfa94z8FeE??BsIk|WLou0h!H11S)=g?D4 z3WXgJ*Xf72+d=fmp=g8|s&#k?7qQ$NGy0TS9HUR`lX){#F{Xuy(AY_f_Web1L){3@Y1DL$MY@8nz6%sGNnOa&WpqK;}32Vgt)-JRUm&+z28f02u_e*OLT z-~RC3pT4qR|H})sxY4*HSi*f5o#5=sJswSYNco!wUXhwA#>S;Z;$&Ea>U7!1GZUQ0 z297DKu7A1|>?@B2(*p3Tch9F9OXTY9M z1}t{W4#m(dIhM^IGk4^{fR#>21;S5H2JEWGiq|K(wI4HJAFzbY59^Boi(i;-DJg7v zv1}O8aF^mn_%Q?ad@*46(QEv{fGz4+c`;y>n)EDwtuqTeT8%%IZ3s)7#Q4Cwg8`eL z3|LUfMEJXc6ERUlZ~Xl}OH)p=Fr2L25)T2rQ@*n!yRsuqs*UdqSiuCT+C5N7FA|A8 z2*@S7_GG{YaZrd%W(iuo7_gaNz8SC>gq^1WR;X>U&pNUrF%k&)%1<$1AN3k5_Q|<` zDX`k3DW`(q5BVx3qrPOoDjy>TEK%3a6E-j*w=s;2Ae(ruUA>iCkn`cU)RUv?jg*Bv zrq%O}Qp-D(l$$bQXX1DhBKj%)7}a zgV(QgQFm&7Xsh~N1_KrceBsE%v+ioNlh%82ZgQ%mH-FZGQII6tUV%#|8)Qa8gctHy z62hBR@>A067S|hOG#IcP5)ftt?CKKXIA)-17z%*WAlz_?Sz3yTkZp^7Fks7_5ZQ(d zsMPWH?3BXE+av~TjA9N2MJ&={6RG#umAP(aD4S_7QykzE49Kyoz(SL)hDz|$E9ZuF z!0v)|2L~1}3)-2LnU!KuJBZ2!H2IOD-U#55%!=CXh=1af1FPufg99t#iL7T5N&H#{ z18~%79voO51aR^IAceCj(hdjdD93aZ_EyOdTW*0@SB3Bf{=gW6Kl-9>%NRwvP{(AG zxGQjl;LBt&wbb^NsOe|R2nt*7RVBt`g#AeTc=?4oo6_sg}PHNeSGhA|- zcs)rs>c**DrT#o?9hO5{^nAbFd-T|Gj$)N3W&JNz!$2R05epVe;`V7L9*%43P05#` zp>6ECn8j7!q`-NuyI8OZYqqd)LmIe?ie#l{ehRVHOWn!I6BC{U7fm!tEJM#yW?n=R zluA2+QJl?w7iRFl;*WtR=A=zwxp>T^p%#~lOK!QuNIXP0S8Qvv<$lp$q&iEx{}T=N z_kZ)Ff=&A7zkaoU`=>vD_c=8}*kUg>1L#sO&bAutxLUf(l8pY88Zjyo8C8o+6y3-# zkJJb;i?Xhg(JgA!;pp11t|}p_7o-lMXNe~Hk~`tz1<(y#jk7#bBkQzEt0xH_0Pb)M zCVIP?8c$_j79!P^JI8X#Hrlw31TsI3SNBf*UEuIhmMJ#OcJvt>$Yn4iHF9teAE}XW zr$&tEuXk#s`D_n|Ro~*Ar7)=u-w7Ai)Y$vsRL2X0d)KGniORgI`oQql z9DB@LU^(}Nt3S0fJ8O?Cgde9y8sDfAjZ!1ZL|A8joEjnih<9oPN4$ZDl^V&j_FkzG zFbIL$M`|P-g9xhzzPHrKuGC0VY9usmMO11;{lQ~Yk5eAGsd<`zN?Al$_eE;NeWXU> zzb`c+F-ErJmr^5n33{{eN{!_A)CfPT4vm64b+#V2+{yWKsS!EgpGl2?!nj9ymo9d= zh|P%Sc7Tgi$Vz#nM&eJWM#9gfM%r6yVaqX9n_TW1;vZ?y}Wom?o3uh=j56-SLepa(8*IucSnimrn^GJ=?%^+^G>20$Af5AE^;zHtEQGq(;Ct#z>*x0G$$UevHCUY6L5dt#F?TggNGEyTe-*_XF`je>FGHDcaV zBYXiCAc*gs)jyDf239;$BTg;f0$z{Qh~_CowNjaIr$$yuVhTQ*6^mH+#e#ZxqLCUg zX)s8z?!d`aiBgOjUS_C^Dm4Q9ilr(w67Lor@tH?zB>CO35`2s`MA}%Y_MRHS`|hd= zK2sx#&!(nu;v+Qzw0fpSic%xxPK|5?|D%=H6I)%~#t|r;@sS!~ZPw-wmf}v096qXr zy%CN+QX@u6=#o^y9Olcd>{eze!KE$LeIv9f09KZmV8Kai1m{^egTsW*y{V<%y3hFJ zufM=2@xOlk=RdzSoAy{AX88nGX`Nz967(7>fj^L z#xl0Oo*c0a=pkCf!w6^aqf#Okj_6r$0EpT{c^4^ZSR|yf-0%%oq1A zO%j#<2ugp{(f>P*^hYcvsf&rD$AvLNnZ$hR!4Z>i#n#T z=|kro)4eht17FRm}Ms1BG0MOVkX8DFHcKH>kbt{%YsM-9M>YGa?%AEyO#of6gE2^Wn> z`srZ%CQl@sY|t2_!3-JwH%fpsWswOur8~d4l*CF^ zh-fTM&-{lRM?MEvwWtGl-bVi8?!8eCyq?c7H~66iB+f=QQS(6 zjBmCWzjb>YN)ttHz(x2s26aRL0%2!R;{y0Tv=j8yChUnnYZ&>Dbzgw#QFCwP=+&x+ z(~Ua^Y+X2OTre-(u4x9#W=1*#Q@C<&h&6cRvW#AMrBx;1tcg`vI_`H@4?Mq62U)ce zp;$atXuR*HQD9b$0Ys++wbBIA=qmw}vNy@kZYJcp=!vQtRZ|<*=T3eAy%dWq9QbpS z4c2Ta)!jt~!C);FBP|iSQ(|zQP_bzW%n?@6L5scA_8?TOi3>m;zt@2;O!bp^AmDHI zI*I|)>%u$vSL$O|D3Ru<{O14|R%CyUD*Jl?F{G7CtTr7sOGjNXzBmk^Qu!b^+JECI zwJT+PU#X8!)k3Zec3U}D0}Sy96JImrM+%XQGg=9?V{cgdE(Lgz1!{R_K0;TxA$iWs zqvS#VuG>y%rr<=(4RFM1q~#&D2N2o-wLnV0EY%BpfeZ*~Ff!nc&BU>p+u8R5s0n{daRu&itD$n%hW5e z9`-CpWdcgPoH)Bas#KHhDeRb3!sOWJc4_8=M)54mniP&yf_yux(+J~!aZmLuw@BZ}h?|KaO@ z_^0m%2>I%_zx&&vtTwdti2GMj(m0R2C^3ab3N4Ofv?Y;q@3xvv1xfzzmbn|4x;Tl zHrq(2NK8T10Qt66sk&3STE*GZGP-Ki9~?G~0veo=bv-#nLKz&bZh)WzoD3=X!59Rg zUYvK$K*J~#Ut+~p4SwvPlRl&ddDwVy_EdG9Ke(&=sLd3>2}je8wlWFWc&B!T>7Kqf zIxYrxHQ_uoUjxu!BS(B!n~A@2*1Jb)g_}Lt#))LD#je}WFJj6lMqLhT76hF7%f*q zX$|751@y!1RA$6T+eR%TqO-I?UR~6G)W%e`l{QSnaz;Ytd2tu{x|V1qhpn%8igTdH2gPL@ep5t@W_Hr5%CGC8|qB&5~c6t^@HJVhey zCC-w|#wq)SWwp}W*FyAYmA@Pyr4BQc`Z9s_b-t?@7$Smo0N+WBsbP$KUNtpfjs;Pw zK>L!@Jm(^2vkA&j6m6y{h`@Tsx-z< z$dFfQN7o1BgULC+n5W2KU%}%DiPDBHjM!Q}>kYy6LMk*y{k=0f^{XNJ#z8ac3~X%dLxzXg;b>ZRYix!3)o=P|Gsn^ zhhp6Xr1Xi{3?n*_$}W=I4mhqVQQkrK@wrSwLg(YRA09CfFo;_l8vV z{YEO2@&Xc=$5^dtRRLkR;90sD>RXGZb44CVrQApb!x`R?N?i9zqNiZMA%+p%Tu07Q zIAa$#PCt*`1gUUv`xB{%VHf=VL@GS?WMbU2R1#}cWy|57gj7J$mu`dI?;e|*+dA}J zRSA3`m20%vED{6d-)F`7J>_Jj>Aa4iI#vfNtB`ZPkV<{!Qyl+vBNb)K92;H+p~OFt ziY5}z4>1#v3I^Z3kjnWbQUP-B5)rUd-MVdT6F6ml~-X`R^tCymJRGN?qcS)pekV>%)q{5MvyoU7} z=PXxw57lX+2Jkmo)1Jiu4a5)<-Vw!tOV=!s$1WO7I4mI|!HAmC zElzcuh3zDk+9g-VwNj(eGW$alZE=KWm;Esd*x)$CFp^Hjqq*i{gC2a6K2oRPvv6J& zs4~C$ey0ak$>$5IsBAN!N?~swP-XX+0k7Vgh2Ot;(IWC(E`v{!&9sHnu6Q@8)>f&y zUWBOLA9l=3-M2C1v8i2+52<$2Z^q;~&2I*KgjCig1b*93Ud=7dHr3WhC3B z+Kj~?d$FgKODRun`n8I|nz>J+Nw_Ehnld>KxZG6+9GsG}T@UVDTI02QBQ7)Xfn zuJi0z0@2i^$e!LvyS$?ItzO~C%H@OGACt6 zKeS1jv`MgLmVlDryJ>EuxJIm41sz=591oVi`*l#m+Q_Up3=?Qu3IIcsz6R-)fOTJe z!8n5XrAc4s56($yQbYo;lsmIBS&0|{1TtQygI5-liCNrow+EC6kJwKl-Lx~Khi1&L<5f0Ak#6Rwmf=*Cuy%~2w*od*sN*Gv(t1t(YHhpkM%eu z^ItS{I2$Vtnv?!(Y)`QgPVp{9)3vhN^La}89}k!a$)rl2RnHQ&MFs>xtD`&ZZjGXw(GK zYg#~B&8Zn~BdKx{LWGLrT6E3@RY0P~2n(whc(LnR@Z?g)@hY;2{IB6&V6vKo4utW} zneb}#0zbrGOOzOt07~kENQY^t*(##WVtx&*0x~Js!G36LcqnrNQ%oHE^^%tUkkRu( zs~X}s=FovnKtTX`B^YnsYal2Dl{tjW5=G<6 zTl3I=ZQV4Cm`r=t?1X-#OEPP<>^7{&e5r2@|5vh67ef?E--T1uc*HU1cX!R2BWlIN zCoi_1KqWvdo!06M;wLY_4T03UhXYrpiNxU1GUcY>xh6;rW5(32;tFUNI?qB(s*y3^ zP!KJs>QY6?hZGC(kR_@FQ;+d}fG;4tH0gke>X$A>h_yE(*GZ7ia~B^j*8`ivoyK(I zL_m6kfy55L!WSg@`@j0Tzx(}fM>6G~^1n*m^^f2De;z54|M16e|MNFLJ&afqCGW$C zk1vK18-;?uJdC)GVZ^U##AZw*E@K)oc>JD59A49i)5kR8ld#48#5CgVIgL1dIgPme zcp7o@qV5o#ISt4#8vZh-5!c5wV)K|r+mdlN=)u!8Zl4zE2a_K;qe3h zlb|jg6Tf5kfB!UM`*|Ai6hBQP21y+5FH9p2KQWDXT7PmHar|N$v3X1*c0V(Xc=}x7 z`R|=ZJf;7xX~bM!__%V&RKBp1$tYaE+ zdz(f)eW{xEoJJhRG~)WBX~g*_rx8!@s%hn(Mr`({YTCy%;_{eA+}@`Vm)A7nDSSDN zIDR>ec!(pET+}X~g`QPKwa*xxFJ}=G;{Mbu;?~A2;zx&fafBVOO{KI#D`szVH8HlBSLM-)x zSW3qt2(d^4*Y*8@Skg};mL$Z|Z^TjuV#zmRDL;c)+>Kb2`TZ%xvVR~JC&W^J3bBMQ z5lhk>L7S%Lj3!OLA(l;uCEbXnJY-&9h~*2JS0ltyg;+EbKE4o3kz@E3h{c0gh~teA zOB{&BfmrIVMl9||EaeNtl0P99`zsJj{8_|OzeFtgXAsNzi-@KCI}uCzpMhBH1F_U6 zV)>Dt+Akv(^M+W||7$)FixDN|e{aMRJ|mX-aGK?LBNp>Zh^2lYmQ!PH^=kiPh$X%u zmb%o66O;1LuSYE59kEDO6>}HL83$r155!U>!7jv7zeFqq05@XM(4ZrKMl7cN8pIO6 zKrHDEvBW20G5-@0OL{{r{xf0`hi4#`Q=_}Cp%C^ZVsXEKSj-1vS$_p$F{!=~3z2No zAc6;CDG$Wb)}KQx@yCe8{UTy1VxAsFI`OeXB*Que;s16FT@gl8nJ9F>dzn+F8vpX zB?z&Efmp_jmVCY;mLkNmgJpgKvEXEzFIM^iSE*_eY&T*_c9km36S4GCKS0ah{{HX( zUY*0+hf00<`cHrU`m2A?l)mrZ`g6*nA)T57p*ivzDqH5ICk+=8Bb(Eqr20$Ybqsax zQe1UILPV-5VOp)a*qn-EQ4IdDXt0djMNvP~v8H8P$~EeITXHOJSft{p{715e@C$3Q zflP2r^SK-|XILqV-f%=y2=b!ZJf?!5wDIUlu8V#hs$vj7*&%2g4qg13 zo~tC8&$5a(FoQ;Pm?VPHaJr&kzjSzWql8N44RF_Q|UW zM|00`@6S;>Vy{>~cm%*a>0d5R)YhY%ClBRr`bp3%TmlZWV2g_eym62AI5%@*9FEbA z4piSdCcTN8n~%0?tkY@jEOO1P8KxR8jD2z%*oZA|^_1xY85RnC*mNX#S zcIQyaE_K)CeW+jd(%W7p^+$d=)vp}OtF7lI-)RD3JdS}#Ku1aCRF!pveJ|&ZNp+n9 zkh!>En#~g3ip#^a?9Z}}h6%C0u8HIUwqLjXjGCe~>N=W0t|rG*YV(O;OVUI-2gE2& z!t?v1Z5S6Sn0%;ha$6P~qFJ1Vu5eD+DVD^Y)0iu)$H3bzZogZ5z_t>8lJqIGhidK_SZO|_nTq45^iz?bR5a`S*1bF;=luI2!1 zBJqfdOed;erlhI08u)^3o9&{A60@HGZ5Sx7w5EudP_w4RY>Qt2Z&h;@Ic$AcS?NKg zNt9E3xKLKQ%JPCFfAcrL`@7%$21xS9ufPB2Z$4m2{`S9o^ZgIs{?j+_=@Q?)nA;A+ zFV2puuC3Csc0kFZHXmLEh91oyS?m&TR7rs6nF#aT64 zt@(wxW0OCQ8QZXFGM7enHxQ%sVej}?;9vN~sW=)QXjcWAqrh_#KkeHXixV{#$Mi4L zCG}31nAcRCdQZjSs}AWM9Lu77Sj8@VF&5`emprE8tk0=93FG#~RGhoOgCp0Zckbr4 zTO!7LEY6KjG_?CpmxPaWiTz?Kj?yIxk3ko!(EejAPQ1tBY!b_U5KpjF$q{i{`#+j4 z(NvuFOqXEI!k6h1d#6jb$5@>Abjg|Cr{YM7#-FXR48XD1RGeQ*mu&6)sdS06zG_4m z*VwdYx+Fcu;{4ilN%$gNVt$k^!S4c>pJQ>h(HQVZm*hj!Nz@bPB%CRL@@Xp0Po_)i zAeyY_O8gY0Mt)Dlk)Fsd&HxC}iNbZKOSUi5C7=+E;#xIjOtu zz$2lTJ6%%lbV+-pOTsc{#cSTCw201+sW=3f>5K;NGp6DIv8|dWM!Lkjr%TlQ0Q!^v zo~xgu<4;cs$JR%>lll3r%N;lexyslVnh8^c1z=|-q|M4sW?B8 zF7ZE+E-`nyWLwp5X+e&Ubjg8l%;1p89eB0^NavT7E@^jxNAv!aE@73W_;!eH0$vh6 z03<%A;@s(y^K-gn8|jjEkHs-+^S;w1Uki*Q7NmT~Z0#MI&k& zi&K{JF&5{ME@@J()bt!pYRP6#izveJl`d%?>5^4aLYQz+Br*I*mq=%vOW{3E+`AnE z%oPH9imt8>mDg09?k7VCu0ja#z#NCh;^1Fyk?AJ6wLs)+PX$wN1)lwVDvnxl2s@N6 z0X)B_OT?KU>5}W2F4^pE6;Jywrs9-mfk*k*%cWzC#W@P-q{v=rEY7&|&jODs$h#8I z1ZTU6l3Wq*ErHZSn>6T8Ik6&k`IvJIpj`1ZATT92KGG$?+a-%l%*pP@ei87Bw6L=) z^TZQ(0ws;b;nTaO3`-pF(75qi&1lR?k=I<6F2OQg(reX_^;C9s%fc$u&0ujb+Y-aUXr()t#y@|clxb*1-6F6zN_i7t+djH?+UVXly_qz<6xfJu z7I#k~t{*BWcnl)NV4f%?-6*ArA(k5;9l&4PJgI$W`w(Co{MgY#nK5m3=&y;|`A|U# zfD{!{qzLHD9wmsW5ln!kvxXtS21prclsG`jQ-3YIRAA#ve@%|Aw!3!+BTxJ?8IKbd zaj}(C(eQz9+WM(BV=?Ky**RfLI>OLjQ)YCob^*A8Q5MNs4Qcx67GSFqFp&;Keqa<< zL~=sAa@fwUmyOoF=3N*wyETFAU4SjBm_z7vuv21yix8Nzk-7!QM?$de z?%Q!gfbH%u4${`b+oXPuV$Kj?n;!yf6Td(}g8|q(uxU)j@tOo zxcU-ci<&vCxt`N4=~BZoXpBU>VC!8YvqTYE<#zEF7gqDKnAdb}AgunzX+^RssdH`iTfBeV4>anVeA$1@s3%Y7Lu4baBO#U+VxpU_|D`+YUgnInUtQCNwI?<>)N5gL+?j>Nz>2! z;x&J`l|}J6uy&CgaN;7WqrfQ4S8ERMM(85b?boFO<#;MDA}nFa;SbSh>v>ARCUjLj zJ@9b?2579db+OHAj>nGL(Lae%=EO<>ukYu@VFrq7UdqXvo%p)b5%POUViK>t!^{DQ@$mM*RCnj`fG{K5Xwn$OF1dcF6)Q#W=rMi+|YVZtT|oL1D!z1o5m0Gppq&X zc@?R*OR{B=*d0HQ2OBZ7PB(@(D!IyP7WKnVPIaF}(+&2a+t%E*Iyrs|PPOR+N?i;uU zz`}c8sj&raT2mH!uFB@8RDkSzw3&Q$DWf0rO^ZYcn^!a3->e)$-u^DO*y6@ID;KlMf|GEgJ2!si~TKN2f`;W+LtN`*#{ zC9`~eOrrlRTMv$E>gXXvnOM&5d#WJ3O^uR^JLjsge(GM=_$?`PcL9}S0F`h96^*CC zkL;t7C*~>Dv$^o<BXaF;^aBe%E`_G6@aiMgzug zs2l@TOjC272AYq8j~ROPY;4(wUi~SJ3)T8~=95^@hrO}H5|p?!cus6i6{R^7NkI7m z4_iQ|_n@oYJm&a4L5gN|vFST^u@EpvU5>J|xc*WVDzZ?(ZK7Tyqd)UKQtpz01CA6m z9V+Bn)s?}UD|@XFwG@}J5_)T8Qd)cxPZTw4D7)`LOiT}^ASB>e#a;36(5mO3OK@NZ zf?&=EA-R|=>3lL}*D=J8U%OIByuTT8ZQXTAKP`@<6-Bw0X7|n$Pk863S!39a6-ZMb z^&O=!eCv0|&L)9E_RdJeSsCT4J{TubiRC1Z(gY}FmHO(bNg1)@u&Ys?G}odIA`S4v zL`$x^T#K}QZQ2zC+h=>R(Y&^9M2;i;YG>1^aHARm#+DdsR(F$hRsbG^O}!jtOx|0r z9WbJi4*(cN_0uo{E>@zxBV}%S;b^TV{~2~(mOTV>_f?bQwz5lmLqd&GeUsE&=gtwv z`bjnXb5;0|a0>HMb{$|HEa@y7b(}A=IR0Xk%1jlD_ebx*fLHb3>xMHU; z#Gb<{5oy&$z!`n0p9tiga$=eQr(WV_iPABG#FW)5ku8-*sox1Kih_l^w5+(na}nC* zd6P<9ky4zd^vTLLB@_upH0GHgh{!bv*938SaiGTpeyUO`cj^HSLwbjh5EHm7KXRzi znZK^B@#&l5ehVDtHV49sL-QB-erKoUQ>Es&zx|uPC~C5Q^ZmE~^g$$%y5llyaPWKz zly`iBQ$wFuX2g0NGB<^2g8+gHXIB+#tYUxfMvw#W7nSu= zva~Lefz_bNta^L(gQqDtY6)$zCCf2MgIKh+OIq6%U_fYO_hI)>wNv+vPa&+`cI82; zCL=gGxwx`bI`0Qgwo7(tHB_Mu?18TFw{gMC#+-vOK@pU63v z_1P9jaLYR2hm|lkU1(V?!cqW_YTz=(n2`gqOFb7aU4cRMFdFQ6h>|Y{879Xy!hWe; z*(@(aF-kS=7NK{k;x6K_)vp#|WnH$_s(Mm#zNWQVuXgolH7D3~3F@CBwrX~U291TA zNg|4(rV8xKU8w<3EUU%>Xs&CXM42WM)Mdj6aQp=#ZmBhbOqa3LPGip8x)~`nKMFNA zyrkCLgGkaIDUhZANP`~OEN^XDaRWw<^+#iH4re&-Z$y&!F~NmL!ShE+$#TxB^<%JU z#ExvYfXVTxLzw8IZ*#z78g&&`*}o^Q*bFgb#Vf3Nh$l8_=z{zE7!$l{5SPITJ&7bP z-SQ4QFKt=qFsqp_fBcjf=mywx@fSJq>htjZXVI*rc_1GSHK;Fu4^ z+(88lfP2nBY>*j{))KFJcIDX+3}PBYlF^r27hxxL;s#Nkq;cD#(llxy zN=}c2DQ1a(5Q#=%o~m)Y4Z)cTHEKFkp(gJ|0&Fai8Fd;3(NmedXaifCjhofW*)>tP z8Pn$DbjNaKe~3iV?IRUp9)+5>8NpJaZ)ym6sIBEO6DI>M)qG(Fua)KGj~*nFp4Pm8 z)bEivVzB`+F5{;Q-%uq!QzQ~^)AE{$vy`DKq+j7)uad`5AiG&YKVCW%-YP!>$!#`3iM9yJY&t7Z%7PM13DV!oMctVv*B?MIP(tf-Jun;@ z50X#i=?ET++wH_k;pCNdKSS9s%uvOIb~S%#s6lF8^IO#^e~Ye}p${vG@SuE*O~y;4 z^3(%9;Ks~Sh)KjNm4^WRZai26+bSiKA-V=O+z)ewQL7;;Nb_~ZNrp9NO|*>Xa^k%U zc5aWr=&(p2c9UW+ZV!}bMONwHBap?hDmYg9!}ya^j5|vbSL9BW8Na5nQK#n3`$vZ` z9?Fg4GS^e|9D$rU+Ka1Rq+}vtTHv{pE)16KazzSd2SLT6nM#`k1eBZBf*-m*8eyVn zh}Y9Jcpgfu4o-P>ljb;zc90eH2!hyCp*+WKZ;RSGE{XCH#&e}Q2Wbt&0wCMlkk?U~)MA{RqbWJc5aT8Nt+_M=;#5GY|s_zzKRGnBV{Y_kZ_SUk>|gU;pd(-@Z-yTM8(pE{jNj&i1tE#;9q>@fi6?eE}(8 zQrh`y*TDdVh1+jdX*M1}3)hy6f4)R0k_2#ObNQqwEih*T*yO_8&l}g)CdtOeQyivt z;cv?+t`FP4XfooZN|=V!U>deVhtJ3-FMRHg?sb-{!PT!VyE^Hd`gk4vwP69Ed zQPwKwn3)MY*;O5m%NVyP#@{4?3_uCL9C5OFP+^A#oBO1}cDL#@X|QeEQ-e(=Dg=vf zp78S=)dv;!ym^vCgDq*qa~Q2UVqFdG?R&}}>!ntmUY_`*FVlwz+h>Vb@QG;SAi<%* z=EZ+aVgA&rgQs`G4Q-h|M(xyXXs}@^PB*I;|3NZwEDdf>g#=mo!Gzu3C+#%WMuj*I zjqr(>u<>1kEhsrGh=ywg<*Sh}tV8)P>>5)SrIItG?5Dwm-AAj=7&RP5t4>zs2Gk_o z=djOenNfe9P~Kh#6V?oYSZ)w@b~V$s_HKtjEVo%LXW$bRs*fY8V^QsDJOU>8O>j-) zcFIwPki8$(o9Wr8(?bVc$svTeh(kAfdmQpVRyx$G%Qzs$Xd8~QsN$-`dJo$f!u)E( zNNPA;jRd>Ws?zMXv*SXlA42xx!AfyWjhkxJdC0?N4)~PgerAAn-fJHd{%TkMOkPBD zIEvo~!9?IBZ+RX1u!rdF4{)+`M*SM5D6N87b1L~e$x$y#8ij)mm}_5M9(}_@b}c_- z*FN$qUV{=uMxQiuczwvO-BlbF&+fiqcd1jxe@TSBNA4KWqG##XY9!Qm`YH~ zsabzzjE)n{p7R;k=0;)l*v3wQ2^3juV>+hagXm8H2kEZy5F&giwA(bCx~{YOfQoq5 zV&JvWfY)>1rdsf*v5k?#Qu+n0fh{s2qp0R9rQQ=5nKnkmOniB%Js?vMJR zmZ;tXerb?~iWodaon3BDmM^fxOqy8JP7%fL9vwnG-{QsBxO065AFftlNySB<3^!gBF68aN3&(GXQL8=&91aIp^p z9#M+rd8^7}fEaZbS#UNsf)8QcygF2!dfi#$0{DIhCV%tW-~UCW*!k-}e*4e=_QO}- zfA`PdeE*N%fAhl+-~6{P=_Z)ki&ZTyvDl|jK>~5e^I<#)0XXY8QYQvyNGZP*WV02Q z9bmb%?59(>yMx<|y`+jP(L=&(5G8i!Do#=`m*6$t?6|<^#37b2I;&=B4bhAz`kS%= zM-_?4T6~AJOo8c*oVY@9uoJP(pwMwqE?

    nG!0aQPOI{bCxA48eYn;}L*~t+xGL zvRQ(ZGhreG)@f6HH$k_s+G69on-?gq~v>kD7UE>qUtLTZPO- zW^i|k#XP3*^m`i5_L|1iMAu)6$-9@vJwOpB>lCXCag7Gq%JV-!%&i)$);^6EdmG0C zL|oRj;)K(D2%IPkpRM|g*G&U?7TYCi;*ae^I#wd>An8|)2y-;yD)~+m%(a9Eh7l$1 zyc>;yBNxcvV<1l$8J4HaJt&Q(%3GcKzT6XecoARfTch!FAWv8=?->XB7h&XX zvt$1bz-5{aHS=&rW7OC{hhI0+=@)b+7@NT`5iYtZW}%gcz7>jGwXxu3pG;fXmFn;W))z5Ti{QOcBqnrn!L}i3k`V@bP8_>g^K>sAlz8TC=-k&K%7V8p z-eQ`MG10>Cf4+^8JeqQ)&botLVXLmmA4&`Y6wbA(rBBH(Ta_@{#w_J<&JV4&XL98! zAA6cbTFso-Elh99Cm6;)GDoeARvwTSre)L1KZNeB;h4%LD7TWU0mO)`B#N`CSI~&F zm50X2vjdyb@5T{^dP(Xo%A;#kffo;WQ~Mv$rTTA_Szpy!x=k8;2IvygOc3VO_&`jc z>qDx|OX@s>HQ8%>sXfaLBHO6LDIL5$t#+6XTS>+UUKtUyS)V*aA_Z{$Lh+_l#P`wsFk>gjlgcFQ4LydWfaL; z$)Kx<#`Cw*$0-tpGs}4X``iBH5TVBkaPmb|kh$yab7C<}8iD~n^^ zTY6MQoNu(^F>WHt^6!tH9?dY&jI44=HAT!mOleXZNHVhs-wIQWNjFv*=@udf!nkL; zg?-gnXDstm-+q2H9&<9(^F_2rB(+Q5e&&iy`0~Ba*c@W~UDJ3=obSeCtf@kD-4zXq zV8N?zZ;i)ZaHI}plz~;2_8_!G>23Ql^Gv+E8IT25VNJ2;M_F&3He8kh37%KO<3_?I zD!aiSp2@f@zU;s%oQfnl3_Q(lE|r7Qop1@o0UAr~-R~(4t&lL3QFh6CgLTs4CFQ4% z?NMD6?^9Y`e3dyRm^))N#sEPh)~ojyY?me@LSQO%R;?<@zjvxuPUox%T4# zhY@pWY%|C$HN)S`@#1pTCWDS`-#FAH2dx`7CNgl%qw~y&OVdSf=R6E#kJHJHlLmkr) zyR*wvdMP2hXV&S zs1`f%-L9WRKXFJk98$@_EaTJ;d#NKCq{7AzfeMv)MRCx1JXP-`*#7MJ|I!rUH!QIK z+YkTv?VrB>>W4pn{SW{4$FKkN!&g6i`_KRK^#c<;i3%EPdjdA*Bd!mkLVXYwRG`pU zTd8baYWYc=IV-)fNYoBA4A;cXYHC^OevNOUT_P$FU#Mx*+?9YRQ9 zEvXOy_IZk8D6hf2RWsP5cf;LGh0wp8#_}L4#6IF^VxT(hk|!f*+BGcBl@HOb^T*Rz z@LbFBuGzI~dLMB#Mp>6PUr2I!R5_3Dp(^>FO@tq?${PZ+N$lxhx;YfAH@-L$@qUNJ+oi>ob3 zjJ9J_Mv{GLQbTchCDt0QshzwmhE@#~*!=XPVn8)7s=}+^l;7B!fF8&BP&*B~PGE?V@i zMwRql3`lB*iCjry3lK>Fah($%JSY(hexc0OrhTYl$SrA{N*&`@LVGlG;FYj$t!JQy zoewjM%i?UF1o_#(!)mhaq8Zq)V!&et%Ql>nGSCgb5%ZyujvDMTE(T|b0BtIuVNQbC zM=`(>XVrJ7RG!6vMSk>X!q7vo3kz`CR~$VNNY6we^BFXQC9bO_m?BbriioCJ1vBS9 zTZZ5KddpzH|L%wH{`C*v{_*Rt{`~#7Km7UI&!#~QGn!*Vv@AVC)x+D+@ZwOaBML*O zLD+FU0A7NwcE03&(_Yl$6R7xOd`uK&x3d@YU#G1@B3WtSZYG z4K_!{@#ON`RYde5TL+eXN(RN{m1g{G9XFz>cOrV-@0s76*j2_cim=dc9v80bBh6@( zW_(!3HWUqCib1@u6b&uL@!T+Qk`2X4O#US18`kkNk1Hru5R{d%0L|(}w|N%~(&&LI zxra46vra)>XDi4ZoCTi6NW83Lew9gg&86B#FMe7ym&)I`eS6NOnyLnA?i!?7hIIr$ z7boj@VA<86G-zC5CoTqFn|i902NKlXR(&}R!Jz439G3yy!;{CQX!c3tT1Mo%R>_)b zZaZ#Ysp?}ha)320vu3qEjpH(STv0|ViGar$#GLV{Wze`ZB(O{4P?O2QZCh9C*8{ho z)1nEe%QBLTO0C|rsW6TNo!M+s)59FAWz{LEp-g&QXa1P`hO51KT<2u$3Zg!hW>l^8 zWgUaK5`e#B3|A20gvfp8co={SXXr}(vcxENmT?mOvy9T*mhUv94GI!(#I8cVDy1@B zo5XT9E3(-=pvqzgF=-mzJH1)QL#5JU&iRmL~{j} zo&M_6>V?_|kxTt-8Z1~$&mEK~nHH5F#p7ZDFF6!VwN~dyy1bf_qV&^OjTZ-Cvh2o* zrjvMjRX)$-}jK{C83s0aT=(O|gNLMwPCd_?Xdk zu_QrN8gGCP25*SY!~LJ6WUKk?-K)W^jgo1RRd+ z|M2xcevq2f3oel=t`6t2tKmwL33*Ol`goqlgXbOj>DQM*Wm7BeTB zUbHF#cf#LnWnh&Vh+2lJ&GQgdIvF^?!B1nLwQ&^ zWUjoN46ZDzxOt6i%`3a6T40SsF~>=FnESWff;rp@PzP5qWUNk9DR6q|4vPqr)ZPm? z#7JmpgI}D!Y|OiUw4V<$)9s`s?fc=Rr^*xi58YwbvWdi@nV`mF2C!L?2)%-dRSaZd zQ%R#3C{?ECM9E1tR2EZix-DtMFiT5=%~YiOa*i0LB#iuZe!*tab=`Im4yGgLEVYJSshmaMLdRq3J*2); zG-UE@2k$%1C4VvxDh*zmE3ee9-LZwsBqFuODmqTK$UBywRkyyjSi@|)FpVzWon4zB zWNXPAy{Y<5L)OnGz}lGYxy|9+@qTgJfqI^=&5ugpkoEIr*Ozex)wF06Bufavc^I! z+!umiV4vhbedUF_@SEo z%P)&upMiak83&uopmcKL(8<9I*DH#eyXA?{RDL<_dtK~)Y7(#wcv*f^8ON^r z9JV>mL3F0Qw^f}kuBAoj>QZSpH%-mSwLCTba4=z?<@Bq@m}AZ_?tQe9{4Ra&vCgMD zl(ICD0K7btxaO6Q;T9fJ)NN^2Et)$c#R~;WM6#*~fLG71VXGJ$tWMyc@zOROd+tPB z5?gmuQbA>z{o+BH1?=lB@nb*n5myVT_T}1mBo0C^fZQ|pJ~-8htBp@T&oE~#v6_Z) z`G647ozg=1EEYHcZ~LYRQSZHQ=8Xdi~Br8ZI^ydxB{tduZ{Rb zLSctSdw^Qk<5Dec+cbV#Mv@QJ?C?s&#=R$omT=#qKoj$cDL6zZRa8uz0=O^$54)_3 zNJv{+7acdk0#OF|ismpP&hJ5q)@mwc5QERzGIH{xo>hushVyl4Ywbei+2 zN#&ptg@wZzz#JcQtnHX%?H(MY-HmDqAIsakKu1*OREahfEtEC~zpcm8({zSx9~^4) z;!tbASvzhH^;Yf3oZh(s-bdpii9<4m6tB<4%~{$k_!w6Yo_Tbs69$fXk(_6Ph4s<| zy;X18m$`xEo_65Y9yG^#ea*4PNe?&p$edctHxZarPq&XAFa9je>*r|)lLikeIS{j+ zoDley6K)xQ&4nZ|09gM_=2XN4qMuE3tX+7GI{;Pg;$?ETv3IAAHm^mHoKre`*mubz zUWQZlIX3_h3LitO8<8Gvj~{$xPBrepNLx^-qdtaK6XS70B|zU3juStaCj}K%LVZ|{ zL-S=1QI%KV3U944{YO!6{^;>aUreo@iW&i}1V}UuWvB_Ov8l&HWHAs3w$+WqX;QII zaBC#Xow$#wMH%=MCe5vGTlf2EG`D(F5v0CY)MIY77XfKdpF}`<&R)_FauQZfsn#Zp zag$!kBfE(Isfz`2T;@ZkPko-=-aTGQ4K&Bswc|`G0OS0*nudTzy4rPVShC<&->#81 z-Hta7^=r_9LYpzVTH9QPTAzf_CiSG;wY<|jW-pZ`$+UkOba0N*)tc!oa^7I8-=#ct zak?~p@Q)U5p{7i6O>oxWnn9uVbC#BHRTUg$X?&0c2xC@c$lDc^L%>UmtENuSO`VsQ z<@O)DWawA!kVeI+rOGsk%t-{=4GOi2u{*Zl$XsvP%*l>92XhH)7;_Gq5fv1qBMLR) zo+N)xRh`T!3bn+Ck8Mq&Ky%}By`_XFE}RYV3JRuHgm$fmyk1swzIE#9|ln&~C zks_s&T(QPl13-2q1NP-fKWc5zk6yU|jqsJC9Ea@*I*7UVS;LZ!Ns7}q=)f;%77gG# zbB9#Po5kVWO&*mzcaJ&H^Z>4Py$EYH8EHES(c%=aE<;FIgWb-eeE3jTPgVVJE|2*T zN(J1Q!`Ql>*QgWXEN~SB+-G&uQbFn?8NkWmtZAyrrcvK~KDS7zgwxj@V`qyd1#yBm zf*rzdk-eIt!|h1ZAz`kf5?;{wY5_E^s*!G|J#@eTL7f;2+qNwnAj#{w5w3Ea4~f8S zxTr}CY7DpQ*QFT;*_}eH%azVqqaMU~Sk&7_6va{Gtrj+4gd=Q(Bv>`I)f^fXZh}Os zbCDp_I-kS5sG(y!b-6YjN3no4yv-8j*G_axxED1{P>fNjFI9C2ym6<6LjLOSzCa=2 z`)|Mh_M@lkB|$8 zH1=NEfm3}16>LPf7XqJjmeJRA5|v{V7PD}Xh`ZKUjIxzwS9im-MGa9?6hX+uK3cPL zx)o@!jqY5QHm|x}QuftYFe7rbs#0FeIh>u+K?NwIWSmHDmRn+udMRd*)|T+b#iup# zP){X8zCTOjOeZl0w)BNj>OGRljpk;c%mbu&4y$YG@lmcLtK2{OyrKjL z>)~#UvRL)PYaE74hl8Dk2*T5pgymhxR#@S z0nB?V?^?}dDkC*w2S`b(Lf)B?Gv5UaKLn8VxGAb0TYV&Qm=<-SzoQiOS}j{^W8^`+ z8@<{qH6l6KST&Uwm(Rwrz&p-+%8D7c9gNp3*7{Mwu+r$$#LVTPCM=H4xsUd#yMplo zDT5^~(L2$kagJ5>-9r;13rL<|VwscX{AeVSDj0ZDziVu+D}>x-aY@eAD9*tp4VaRL zp0FP!4=z&ZP!k?UIjYxd?%qsAm{S9jmGv@rD>`9wZYnMadWCkTMbYG@zJHut z&IWS@5Zr`Q)GTjtNjYmOlSUf)eE=011`Ua3XB~m}e0oiL9nA{(I`!hJq@fv+iyJrv zj56J%qX$qaqZK_Gbql0>AQMm)99iN!PDz)<-&cRj3afxIy`~=cWj2}&m5y??w)6br z{zzW+B`YiuzSBg-LVT`qhYy$Ln>~RlV(4bl{df!5jv&kSSIUp z7=s1$AG@YaZaJ(`x})~mapb2m1sVnrE4C3_wJVjTX^X_>U58XXU-M3&d|09cZ8+=7 z<i1ozhFSsp$$`_-VVttKf5?hC` zm5UaS>E=x1V!U_83bd?S1+)yZ)T|_+3K&*u9V62xs3!$Kf(X|}iJI^)P1{h#%NK61 zKKi~o*xS0Sk()!0eux~V15Cq@l? zUb+Gy!I5xPsVVm^_PW!&6Rc@o1q>aLn$}v6*y`BgIWp+QD!c}JRm2=xEL8mk_=>wK1vkQJCwr9n_%u?PI!N^KQ zF)f?!oOR`monPXBaj9EC=Hk^3 znFke`(^QGux!VGcL?wZJT9t!U#u}X3wmAt(YY3Ct5=6uabuZGY5EO3pBvvyb;v z%$n?OF<2Xc$me zhc;;BoIouPIHIBRz8)ea@)pFvS!1PiMT5cfs$iq$6I|XK3Hs4|K7CgAGee8p2#|z( zLZmAA#J}N5sgPz?eB+ySF)G?Lv~dO?0qpPB9_CT7(FjuT?lW;`O)TD3DiaszNHG9k@8W(E9gFJAlQ*s%F1)V|1GV%28WE`^ z{aWJM%A@_KXx!p_uXcO8<*a=w%8; zQ*R$6=#+>;RmTT%iS9_?iJ3?vSEDg=xT=MSLD$3$G-9L`WH9@p4BZL)GbwNaGZ-~C z#N_RzxpAj*0GT&vkEzvVn$^i;jzzj#r&EPOtFZ(x3cbPCFQSzKq!kjh1t<4Pp47Vm zT@{;2`6&%(w}57%TCV;LL|QE!3tZB%c=zbtQiB!NEk0<_+>L66s6v|Z98zB;j8jI* zh6u1;iQtMQm5>LgT1<)tW(nLd;V(=Gz?mbDm&OpN>5fA^iMG;+w~BHeDpsWt=1YEQSv)JH5l~6Nh20nY zbk(2^zc#ycscmD{u$p>@j?cd|u#y9u?9NTjnq8B*1{(K-5o8JTuGEO*r3UFlkh(0u z5{+9ZYUacy0?)QjkmR>tLXz_RxBvQYA9%#Mj%QFoI~6lJEu>vzG%W#?B9tSf_GF6K zCsU*eh>YGc?BOwO3s#mN?>!RK&iJixN&t^NUE*=-N^|&EZgSyph*Bb>$z8y?2<|k^ zFYOa=g5y6Aq0mj85~|8}KP1*~rpSIXMU>rjK|zaZ8z9IU_1AfJIPGbPm=Ts@)ihB; zo@LBm>4`@N(yTWK0b5J`MiH`x-KTtajdMmuAJ&5@@+{e$8@F+jB;5jaB1w8~KN_;VBp zYjb+Fji)pF$84q8_GuJt7BNKz{0PfP#=Mv!A}V+=NFpM8PJk?*m?Bk75sfVtiB0K{ z52i?aGDVu^3rXLGQ!b{6Ww+vBidf}F^DglXmSPfBq<}YXx;y_PT}L)l6M$ODyK??_ z&1Nt~G{~8gaO>XS{xxx9-w3|viz~WF979YIkx5io;G&D~lbT&)@9JYS%JDu*HepPt z7J>kLcrCHHYc@?2s-1)_fwJ?MAb3QPH_zjIFhx|o7)%jdJy=HtRWU_89$BOPcxBlX zfsM0Ap$|ej9dwPkxS1lSm?G)H6mjZ768JEK>%J3%#VKf_iNp|s3gcF;UNRuZIS+83 z=aSqxxSV_Sm$F!cC{mRN*_9|0>vNsv*#k+ll_!FeIzd(MKgdz}TWKv$#HN@@E|_uZc+*x?9Ad3oJwfcL zpWLTfIanIVEoyI+!9D6Aiqz32mkv!^*HoUCg@#2-S|x%g0n=2~j4R@g*Rc~92nfgN z)r_Dz#0mY9lPTQ3OOSdXbbC!Br-h zS^eM>*5*jKHVp;QtRO5(NMqKf#9A&*+c{I~vfM6c*nkwCI)7+EAZ_U0fHf4jwiR~UlzP!Y|NRF=&AY?^a zHfa*=ei3uqDQW~KM&)hzV^>o=>%|S%$+#@WFJ8Eg~- z?=`$oBZ*E71*Z#Jqe1jGj5*ENicMl*8je{_?V$9iaph^vqKUXRIn55-02ch0297Cb z7@YEhnk6T~slR|yV(J@n3A7&E8NNx`$DqoGR2QZ-h+(r3U26ijI}R|Q)34(vPQb0X zEWymK+v(@!FlwS}y0A@i<>Wrh+}ZH_IVbX)FSYml_h0|FueR_0>7T#(03pgbs6L}q z*}{foA`>E4>olBB6q{pYL(b)u4M`el2#}2$l%hg8CJN_u)Jy%Yr^&0uvz;0Xf#JA_ zo?O2D2fEAvvC#y@? zVm#TLN!mD?p5awG5FL)I-tFBR{!EBOjpckxh&Z!0sc)Z3hiVCT6Id+wx_#7)7955q2h}mVB`H<;tD0P1% zM3&{#tbs|L;*NjN_=_xkm3`e^~VVjHPdcDwVOqv z@t=1>#Fs~F_~;J#F1ch=fnA;ZhnBCNW?V z)8sEMej=&fq^X;l zh3<$!c5}65ZEs?HA&sHb8a^8uOZ;rWt0(9xcBA4rf$c1giosuK4OmV=1Gg*BuMRxB z-aRJDh!|Yc$6V@Nr5>ADA1h55>1;?%s@%9lJsWNr`H=M!k>nQRoA~Ej$wnCRkq>Fy zCS}Q!q)N5w!X>Mk!p~1rsq26xN{38XAEO@J`pSo>4pu($AzYk#iip4fZ4o%7d-tEIkGnaY zYfGwl@AH#RQ7o3YQEK&iJivKG%5nYpfa!V5@+U!hOMRZh%DQ z)M2+toD^7boTDooAm@XdDp7iqdYzY>S(?{`+OBb@wh>l zTiC<8PAte}+0u`;67xugRR0jNk#+ZNppuov7b*$Z(B{snT*$VvHX2Di_^Y|x$q*s0 zc(O+l=NvuZ0rRUCC|wgy7|;Pbze$Ig7)w-=uuh`FBxUC$U`{mZxcmkqBZ@%juaVRe z4e^ri72zNf>>4)2;lTldi49k?A7)s|UZarIHwgkM8x!sllln78LwGlP5#sho?n_ol zt&1a}-bph#PCG_1i!iJX9X{L5ijInJVD_tC!PKF|X9!IlW^iYTk6BWE9Bf5L62H|< zYaTssqs4=`%n072qxna0&jngEJ+; zd8q&k#NbOr_nk*iGJln}X?nZTXq_|k}f>DeU&e<7Hcy*7Oey9Mqp#uC?j42ps84$Caq9*Y{-GG3j1HOaF zrrLh20{j?KK#bz2bZh;pj{m(MD|`KbbIrXngs{89xt;$h7@c3A2SMGD!`)hFIH-5 z;wRrK!1)FqH-&Ym0IyO320whL0PDqxbw_UCY(oXOuGq7pd`KQGS<9^g?4$y0ZIaBq zRDjRvRsm*%{H8(l`>g^TUMj#PA5p#0-tYT53&YJZ0f(O|z}$Dv&s5G)hY>SGum;?r z6mZc17$<*0pi@ffgpJGct^zEN+IRKmH?^!1Q2_VBsMcexv+3e=NvO@u8vEE*{_>#$ z+&-xQyDJur#y$nXFcjRNhVvRKz>;)3r1H2Ow+e9hDHUMcGr?Y8#bCW*$0Rn0curfo zG9mlOhCEb&f!pT1Re*z3fbsZsr~t200k%U0*cvlmHUZJ30%M034d$SYcGheYmr;_3 zRl+PVRifzamraD_qPgg$sf}RbUY1cC`tubqjYB5z#~Rk zdnf7ooMm6)yrpR$D!|7>1y}|U6ka&IQUPuc6<{LdmkRL4vE4M#L@L0AC2=c;;*{P? zvBdzkG$oV+Ju%3HSs$+?LPU>P!J+%E?7J%`rmYJv;PGnaXdCd#t5hdlsAGcj_ zT)p1j5VCGV1vnp7y|qUeD!^{20Ef!DVznjL;5imku)Aa(aU{yw*;5Q>rA$6ES%3TY zUpDfl|NPw_|KZyoe)#TBAJ_zM#w9#vt&XUBRwX_i$)Sw52(`!)zO;Q681>SL7`&8& z)=(QBkJETHrB@^vAf?!=0By^$;*835VW`2q(j&v4wxO?gRxa-9T3vCa()Nvhy09&( z_wKV!J7_PQ(#`aMOK#(u`GieuvdCGufE!Zr_ezjdsXE3QB%2h~LALlkNNGxXQwvX- zvcxpfgDBEEzN`ziKY0?D2IM~E>Nuw4?3BIsNme7~Ucx`pFxJA>~ z*Hyk^CxGYNOSVaJxzZ47&P)=P;eS4$Nq;~S4)>Z~${VYdV%ej)hFg=R2?Z`xR)o-Y zI@@DFL0c8VKcGo_LKBBQnV$m+nuIrA(4^w2czbzxu9aqM18ullv>DOz#@xmo_bk+oLfEs%3ass2CxFq?3ONL|# zfQBH;t*XHgMSic-U3y4&V~C*0={QF|0MXWVaedeoJnMSa3{) zdE$p`qqU}}h4YC^&XEtfrxRdSB?rFEAkt$IH9Ci9YfZGO)7-d(NZVMYIog;`pb3LG z;#0|C)gm~Op{cnB4|j-MJf;&AF&H<_`!Q+M$XObvNs8sl9G!Uawz!kMR3I zaY>0QH`uSI=12n|yz0f?wMor1fM*FRG_aL=BRrS#Ih^33>-$KDY)w+zAq$qgiZpvQ zMwQqr8N%Qm2m&=;8j@1z?Q8vWbQZMyMYKF50%k23LmVg&P z1b`aP?Qoiz)VUvHaxfTNutamFJR90HbRqR66X0!OzPTYIbvN=Mk`!)HnI1Or(zq%M zKuerI04r-IYKYo{+#o(E8*)wqlw6M3b*@8|*X!^GDfAc_-o+f3^!~xGm)Xbs9gyg5 zt3Zk7C>J%>On@dA0e52QE=#}qd<}kZxj=HSD$+{LHuaf_PTEP(3MLk1B>%* zSQ!ln^}&nGspby1*?CA5T}jLgtdD{bu{Kss%8DA2jm-uLy0v3-2>~Xh-tZ%ukp_(X zgh&4F%aYCi`rWsG`hS1@pZVVx9@#WRT{@?Ax4kcdb0-%lUB;E}hH!@3XgBbv1;HI< zCq^Q!c`M+gfC&bfU10?ywIiuBK9)-YTD(LOopm22gfWqDCHz}t6!>QBAVxT9;x{K2 z)Ti9iCZ@VHkXBVhqSF1UmTiKxIzN~1z?S8*QfKCl?umubSQ5ZxbZMEq*Hj2K!+}dQ z_@ivZt|Cq~QHZ4?zluC&mHWJtC%BZM4K^3-MG8*C`J_iSN)~eM>i<>Dk$oz@YwU*# zH~Xt_vqmLPRHiyLfna1`0W{9yuhE;dO*0BY9vMSshYr$u{R7XNM_mMmizpqpb(KKX7_Hq|) zZ~`?ETYNBCmHl!`o_IG#C=HDQyFG;yNAiS-e+n;%@*tDU4}_w^P5xB4*~Mh7>CyfR zLg_Ci>vaB9|L+$})>Azly_+%+$|feO`k11)tlNMn(`QxRwx#)5xoHyY3o*P^ZgwIv ztO+I}D?d7<|3>9zGEEpoqf(q2fVW%Jm+-@Xs@xQj%~$iFvM%L0fPlDNiV4%eD0}nx zF)!~a()OAb&7iE+>pKJxVukRMt_s5k{$+NeMK< z)a!;FV!82&V(TAIDr*@HdfODGoTvoK{urYaSp1@L*@_W0ftSkZV!)g}6^}b8bx2T|0Z#`c>DG-0*6m0NuXiPxr zvn_Lu+?f+Uy>9hpvThQ4?WYnbbyRN3oj{qk{ee_OnA!$X2~Q@gJ<^lO%8F|Qq7Ng1 zBE7@-Y5~^A+^sden7tghpz^HTZ1ZO(E8a`P%=WUkOId$qA-tHZ9JDe|YO6jqN69Ip zh40E5C?pwWH392+Kq{khqpY_{m#f@OkEf9M;+d4T!dc#>8=y*k4pPGG0zLT*u7M6D zA+l2wFgC}ia-%*1kcwj3OEYgJbui7M!Kv=*$Alq?R&xn)U0+mgG;~EwR&KFKGg+kB zTC-z&uWgyww9~8_s#r7zt;xhW$tvj!F|}vHBdM*h2a!OmyAEYG-4Fq2XDLGzY*Ot8 zb4TFR_yY;$$hH8Im$QMPdNaKeOYMgSs8(!8{+L0qU;uBdGTn@lw1_U*){!uJDuQ)D zky#J5C4~o%)xGmrPZjK0NP^BuITT%=tK8Bfs%?u=g4b(?3$bJIB>R7-P*l2+nie>z z)y#by^Q64+h-x>zWYx7m4BTG}RA$fc^w$npv62B;23XnJqP(IVigmd+0<$KWm}_$Q zr+K-?7=qCTT(OlLhiwL~s1e3Us@vUazH=MJn@vns!+yEcF|8Slza`OIHKYQx$j?ee zC)N?}teb>pq&C!+(FojnzW~TJ$QR2E&*^Ha18-B3B0hU-TW(wv(SxMAb7h6>8sS{S zUL;L}zs@6zf;qj_W*47M%(yV{G_S%>9NIx>#Zu#->{@NuIm1}y^ckXjS^Ybv^{vmwslj!VJlVAQwRDLty5 zi;6XA6SVOCJkD_PsokvjO!e7F5up0f$2~^>;BN|EQ(eSvgr`80%WEvwW~HTl;nh-> z6553YRi91oPVyynTeoMQO&rqVeI*P!Re4Xcgv&Y3;N~SazX>vj`N+GO-`i|9wb|TS zl6zgm^~=3lGdZc{*R!eh-zpqQZ>*B-DO@_TCx!Qp4^|0LLnAsSmRH& z*=*ArHW8^L=4YEtc(mDwCA&zHz8$s98hU&cwb`tr&Bm$QwAqL*d?>Aymf0+` zYT**+>fu2vSu~wxt)JU$%9!yuy|vj4a3T)F04M2V#v^yNmDh|%jYi3z+ic2|2Hmxc zS(+bhHZn&(z9vwQHX9?w=X?Pd*etVdx2&@S@UolkHXA#u%_ePl+K)DyqZ;LVTO9m= zlQ8x=qm=hS-AbdZYO~qYW`hy^sWzLSHXC*JZECa0cbiT7ahpw18WUGBPxfxJc}SFC z#KrY_2PcE>D&3^Mk2V`q7k7&4D#f5`I(c>3m^n^_M;f!l={=-hUX@9y-AD=~9Lw6dtAfLF{3^X0Gq=krTM$t7ptF7CvdX)xKxP@#&c1VO;D2! zJEt}UPC{j~K^j9K^Drtk=@c0r9d6WdKJx)y;5KAuK*kp9s7|sK?FG{ARfl)TX zs%k_oEfO}U(koiy;M62Z94gzph-99(YnNsQCdWxPyS7y%X$)Kt4S&*65}oRZ>QKSg zvMjFXapHUC;recv$T>e8?rFjWjMOSq{vhb-d2vae}=X_K&Ei)72j2ng%K zbe`C=z9g`5ajVdz#u`SA$ExbxIdwuf7>)RF6|X5J=^Y3iJELpEmH@aU3>ylcU7})O zb&hjVHGJLJ(>9F=k~)KK?>1@!r4>AV##GJ{`QA>OceRXPQ8HiAS?e*y*ml*FG*5I^ z`|b_|R_m+WfuyE`^dg3KT3d#88b4furVWXPbr3;|OuL63qcpv_jaa-Wbkc5gV(Z}7JF&M~Yg5a5J5t8P_hWhJreS(ovq{yyi~Bf6H;&Q{e)cGzG)YEn=W0^zIQ`M? z+0&y5r-D<6E}Uc>Sq0S-6pg=zAx*07JT*xMHnDdX&Lz2xb!qj3@!H$1NwO2Fj~3u) z$G{J^?~^8pun8+V5-0gt+Ii@v;SR6%(j;LqlOz)Cm?;+ewA@X=pEXHTiETz~lYm_#$sDN$^pUzVpdaZ+i=vbb3Cr(6p#V$TINrD8@HRQ%?ZJ*#oKPBNb zB~7^69Mn`EGbawLF7bRGnk4?#BvE=5S15I-Jxh#>7*pd?CEvD(0Zyh--ND3xDH03) zAxSbQuQ>;`{Hd@UHNwTr3ua21Bw$nS%smdt9+Tnwh{#4aaFSq%GAEL7_f6>^1=Q-k zU;AoCkH6C88^GawjYE=P>r}Cx!FNX;D53l%N{pe(vMlOH_} z$|<#5n55J(r79YCE2xS08Xfk7yIF>`dN(in3Gcp~x3v2DsPo|804P<%kTfjw+5zZA z!mU{;hqOI~NgAPo()9`t5@EsNl^AGiGa|k!ds4^zI^LE?T{O32C6<#iN!la#JyvsM zMdljdILfV(pI87FbT`V7syt#rcoqtZ7)}***r<=Rw)A8 z#!MvQ;YAyIbiuvLEGp#S$hlA&SZp;l?esy}@~R2LUg_J*PeiROMC)Y`qBDj&;PP1q zHf=YZ80xUR7NFwNjax4Qz*Ay28leqvKkczglVl(Do)1luF%T+!8b&>Y)L4Ps)g(j! zA(SF^U6M$vdUB*mqHZ(|bk>wRHRbI0FlwbxYE(ywCNwE~)cJg&gSlCwS=6{MxhJ>b zV0K*$R+*0&nj}S2Wwnlq){FIn{(u#b(|3~Sd*)B(D#4L(D8D#0amh4W}?5_H5p zMf@*d3hqlBh0&J-GR1sx`xj|n`I%jsC1giPw%bXgG8M=Xnv4Idn$#wgV$B-$$8-m20eBi5R83=-)0d&hrRPA=wxiuH-3Sh~bpShwof8}*LPSzUePp6qD!ug(P;A$s?rrW&f$Lpxmu@R(tdi8N~$HIu?gm>YzQhkVMx`h z#-)u)Yr|Ld0Eg$g(45C*JkME0J2ip7RCXS1FPxI73atkdmaWZ3TeSyN zC!cV0rfdGfDe2+w5ATsZcyDK-uFRWZYp1&|*GxpsiQ@EwqXy5#Mh?wZ~>C2Br{e@E!2)kWuPc;f-zj5fk z2OcXlEJ~l85*7d9lT*@ePDv}@a7u)$)x*IlQTyZ#bl1X6#J8s1hhB3lNc3DrfsWT% zC0dGZoC|*VuIm;bd{@&sCFY$|qBk)x6B+Ai@M{J4S#7$`De1m_i{<9(WfTA8l;F;J zb4r3U`w)A!OBH}IZb9tcIVCfklKPcXg3!k1n^V%ozuEVoD>n#GXR-i8b}FFlFty7> z-Gfu&mWxy3UpX%IX1j8-9a>)VxDV?nu*Ze)ssKt9_x!!V$@9zyIO%Hkj0c2NxwR}IapdNt=yuNmzHv(K7#BF% zdoa_`{aQPxgsFUaaY{%b)~~LeQ!;vMd`U;=lx(-erZsI)w|A5Hn^ThCIVG@LR~`=? z&W;DNUPR}VsET=i8MX>Ba49w|I!Pnp0yx^@-~~BDP}z3j*kSaA)J^Ny8^gsZSwq8! zPgq&axi<66)HF9A+cXeslLQ7-9aLz2ozwE9y6Qi?OKgxK%-Dy$sIHw;0y#>}ZZQwz zGuPb3DPhib`;Cl@&0{xfRV6y}g)xAtr(xPVt%vAp1RMo@ZiJx+0Pe&2 zPkq%iKy-cQlxRHh? z^ykEk&i)jR)H6SHXwuy*YGns4Bg+T;$|?DquN>FocmMexfBfhF^wW=jc(aX1>Ko%3 zCoA~S^y(}#emM36U!#G~O0P|JqfBv(W5zYE`Zb$NAwn&ZLTm>u{O4 z=F|m_n-IgSp2l*jD+Pas1y#HU4dL$E<;qN-WXIN@l0 z=5Wr`H66bPQ}oIDez%j&n@WdF)}wp44&B7ndh6bB%frKUz2_y?>v)1_vTh$9uGq(@ z6sD7u1XaMJM|8r*+s*epT(z@))x#ARoG|pno_7;hBvad04_9*;%?F)4djlMP@o*iY zA%%|k1C$u`6Sus3xW*3;SN{y5>+_P~W#Xz60G-{p9xcTsK4Qg5($sit1>*e8k?89Z^S}zk<1}4y9i6-bCuKtn;b77>GvTefE zFArDtGuB7P$%Vq@n)Wnt#lv-bc(~@EW7$)7QZ>a#CAy1T=ta zH+;D~Tra^&owZWCk!#(Uo8TEPyfU9#NLxwi2&#FDji2iE~NEpBv85Rw7P;D1WDXH3`ZWc4Hm z(ZzXm{iPtk2{nB7T4P z*dZmF)%8zSD^LYdpXc~=a5davl&(?PAaJ^YT7rIku|i)#upxH`R}~d?szEDGrK)_u zl)wJXH@uSH{=@(C=O5p#O0*XAfmDrSCtOV=DJRcWj;{}+k_XQf&*EiGOAjo!s&tLa z&jH`+(-yyW)XI=ki)kd`%V1R!&uzj;Xg*umdmld`yNbw@1cI~*RfSUl&kC9aZD*YT zw)17MaP|9~rn8-tz7-px_1&w4(3w~!qH-L3Fgs|8I4u;+je`KKo^Vqu7OX`PaR=d+ z+oog^VARhv3{lho>R8|wDChwUf`KX$%c36>-FIwCmfNO8T?!d#T`H`zvl=2mP%f=l z+zrdZ28xz+oDa6E5JlJHxE>R(^Q*I6m-%gW0tV3$FJLe*Jb}!kgD-WPlCa=8@vtco zMtibdZK!Ag`heIx!)|o0WjoY0_L<8LP3&?`1B4NiQm#vzly2WvIKN*BB{!dB2%k13 z%Xe)`)`v|={EkftWY+;giT`A~t{-gIdv<~xN6Sku&~_3`{YbkR`t+p!DW8%};4>oB zTQL<5SPALBOx-BTf@p(#o1XlNPsx+*`qihzTz58knFe*?ol#P6pOT&(b^DYE-|Lvs zk`N5+DF67bPf0nZ;mLM=FiKG8N3tU=26yC$F>TU%)KR@W871hAeC{?SC~|0gVK;LZ zbqG5zQB{;oFxx6@*B+7C86_Xat>$8sL>e=uC&QH!ez9FmXOwsW64I0Oo8rZGowe0R zVR~~hO4O{tJ5p;F<3)F^!!<+EYSo9+sbxoj2=3#|n@x#+LMY`M+t%Br1UkuZ+ms+F zy=+S8s=}of)&vFZObt2|4-Gm=o`%b|wN*E%3)m?LA2uaS-a8e&T6NbmVqr@d zH)L13%dF)k{6TUs8gu&o%c<(s0ypN^N8q+{4N}rn+{Y5|1uNdjitzsP4My@#rz;Lm(j<cn#=&GQ%O?)`#z}tr@wY$!{&#O?C9qg6V%Sv$t_X#^ArWU^NaW2j z4J2||rXge_OiW*b3u4t4lME5vD5$i;Ms;EqB%%&MM+*XIoFi>ubnhlCan&;xkjN;^ zI_MbEd8>CQpe2;-n?498ylY1y(~U$h4yquL%p*iWuWeYgOaeZ-#tyc%P5-_lk-cu+ zFm3c6Zi{~!rp@uTb>Dk>4OC}CTXu7i5$yz`nY^WBF9B-)j4ugTl<|ALe!;{AupC1>TT-hCpG{Od?W=kgFANaT1Tky^*&9f^!O1(+@7DW8cM z$6^RHc1cI_ExZ}-+Z->BHzhwXTKaHur%<{0oT;x9kR21J@1H0B1m$zxD}6-Lzdu#C#u#KB7mc)qZmR9Qwc3%$tfOny zN^pcy_*TXz5}EpC;t-kb^y{4HPJ)V4Xj+fF{Xja6{t&90aoKzNBzOETAd(qPqLdiU z)ZT$e{sxF_-vAMT%wyJa>4s@G3W&50M6~ErIduvL9aoMaZ#eDNZCvpmSe%|(pvSAk znIKCyAwIJAR9?IvVq@MexH9)!?+b{y`fixE5YS{X@9&0bU<P76P9igS~e9DNGRV`JL%fm1&*C!AO>L8a3h>ZI8dNTqdZ7($U!9lmYfXJk78yU>J zp84b^18oMDX+%qJYwUR*4W}L$govqzhUw|L?1^o>VNg?5;Secw*EElFJTBe)2raY_ zW37(j!XbyjaYKhu9##VI*7j-N`j>zCx8DGfU;gv&Ui^`xV&~0d*fU*hHa8Vv{UFPk zt#i?8p^$gsde-i9EF#oW`*t{d9700%(|KOPofduKI+7Q+m1#G2(T~bWTPRI1@sC_~ zq42rS|MjYL{2CKo83ZHr*e8{-jAl!FlnvfYQ8cU z)$>pxGQ7wq5~&xRgaHglWTo0fJGr=3oS$w{a_vc%W6E)C@oMzg)`TKIW0uKa5*_7u$qo zQI|k8h}CCpHS_Oq9P2nFJXx$8GRBTWwx0hxJWNWMh?F9X*gdB_<#f%nH+tC^tsHan zP-nV>TDk6If(od)9Mpz>DYF4xve5x%m#FVB?r?W?sx-Z&Z3T#Mx~R;30FmQ1D&fX3 z=YCVB!}*zHgLBJ6V#5VPCza5-sH^*R=hpn`+*+s>`7_DJ6fKN|BWSc`xg<7mEKeXZ z;8i}KuGhNmxnwRN61v1j8#+%o$~Wy8#@VhNjwyCWdDER+Lok!=?)zl* z#u#q$Y7ep*xQ_7*lyz|8wJ-+t1#0%Xqx@Er>z6X>rQMnBX7vwhTA1pO*L{rXK{kWl zAWBB`D*IMXlsp-aI05dO8w8KB?V%*dXnMxmOJ%dXfe`|O^?#)^=9kLmITbN#-``X= z5BFAcfsq-J<%~6YMB5A+xlHBHTm)_=s8(vD&@Z<~5OBq3O{F<`thl>fU)cx%f=xON zT&rQANFL#c7pJ<13IA4=pHz@v-4s?vhJ_ePJ163hwVd3l1x&ufG>l8ou{ZTQ8RAw_ zi5sSKy9%w(R$Y{EG!kHJ(bLr-+c?ALiWCKp-GInWC4nw3YcV9WCSG4+nek*l(U9tR%wf_2 zXb-Lg>8tTmONXk{z8S5U&V)vsqg4bz*-#t{=p2O5wth492~WQ2fb&nk{qcwG$A9?4 zFF*bK%iFXh>{z>~G8eVBjZoWOTOiky<^VnW_!4oGrwMQB8O2M&mwl*CuUp6BiEn{A zGTMHR0F1ZYfK@v7RA$xcozxM;D}j66f;0*CzN+*zz^j>SR3XGJK)s8)XynGzm2tC@ zaWjtQn8UH+H&3<$HhmGX+rdP;wPPL{N;V*nFFk#CnnO`-=Du>#4M9ZO_=-Ow;KmL^2&0U&JHnP}|YxBU;f`C=21F zeo(n?Y9efri{<*Baf84*;RZ*lThMW=4$BW0Dk+`iN_TjYHyGYc>dU>7IE;UQWP51QjD4Vi%hZf1E6}3%owysRF$ZO3{nyb3rQ(wM0%qs$X#&yII z`sjZ0!l(JpxVdPq>ptVTQQ=6dx~@zl9Imp%M2Jd-ux6(=x)fGk{Gd<+S&uZ}pyLYm^j-l3lz%SLW&V!`C z3(Z|fFFiwU(j(*slFuXLW}9^u8!h~?Q$>u{5+OGNZ)U&)Tp$MQ_jl%GsPZxq za#M&`Nb1F{(b>;s6Zwg;;CIxzoqQM6#7KQs;Z<80;e;4&Lhq0p--r;8I@5Gu=@SZF zs54H->4ojv*h0o+4o%B}0Up*Ebyj-_2RK9S!x3u)7BikaYA5UkmKI@B>hEJ6OE&!` zro|c~yz2F6eK2B)x$$#Zr*T%3*O9*7>2$1(ps$l-qD^N#n|?pTuOpk#U_7f7CY3dH zvAIMi)p)GJgM)HPKT<_Qx#(#U>=Po&;4s@O&Hw(-^|t9702tTU&y?PEgn7k~Fv z_`Ch?mtTJRJ>IQ9{p-*F`49i}yXUZ^(&1y!RrOk&j^kPqa}i;aW5d%FQ-RxAp35DJ zef7~|-gecH?Q}8h!|CSQ8K`+oM(b;5qyuGSMoXx_#&uAdF+kR7qa(`bLks#;S9Aob zY|Uf!VW0=oS-4m;3H_S9jwzrs?voK@{uQe$S|Ke)!O9SiVo>4fv^!LyDC)ZzP@m3% zjogJ0-eV8^Ub}g#UhlCb3}r|%&<0#59QM?*Fd}P0y%sxUVVpQ5Ll9)x>cf6yi;t9F zee;P0srScFAoZ+g8-?E+8X8m}`p3dpYaQyRv3xVvWF%bPXvGufXX4EkLCTlZkj977 z#v$`Eb{Te^CQIKS=dMDSFpKF*o8?Vuv-Y|FV=|%&f;HN)a6`El1|vhhjODl0me5mv znT!B~7L+!RJDQuZx|%0jMW3S)vrNWaToIp|VA?#y$)G3Owx!#T z=xa5Hi4>y0C3`L0X0~_x5n+)0%(QuMMTXmcuod$1RGsp&np-No}CcOjsr8Rr>t2Zxtvc(q=i_!1Wzh ztPG~nk>%nnz=6Kv%x z%*POf(ZQ^T09hcLW3VB1<_}JPu%ENOq2k`O{7}~m zf^=UHYt@Sgg3S48JR;B(uADs_SO;NY{U6+lY?mz>O-2gd>2N=mwgEY`CN2xt(r_x) z9xACPY8otF4wdzRkw{ndralrG>!^|!;zsDv!i0ldoa2n@(9NVjiuyvkTLn_sM7yL2 zgP1f-Sf6kW@38am)2u#4dvM7tRFg^Tab%TZ`MEL8Zydx6C1uZb*MDL8ItX4@l@Ulr zSud7~3g=;kMzLZ7S=8I0NiTRH&{nUT#{KeNRoB!J%e)-*R zfBY7Xzz0t!Vt+OBk*QzeF3TR3v|~N|cPtVbK)o$8-Pd@1w@B?;d{^20MeE}g5I-M$&x(Z(`SMm4+T8tW;KuDVL$x)qi z!wo{z)jiW~EFk1?ORd^qflaYu!-bI8GQjD#*HxXV+L{}K3>}2{n<%neJ|nkmCOkk0 zExdq1?CCZf+rFfL=|o5AY=rDsQWk;$XJ5Olfd0(o*-nLp;2}alD`mE7mGdX zz}S%fE%mTpZ_;$^xr31P6NHotgm`lGbZj2jZS*;a6?`Am)}h9WzXn1!6+jGZ-arUO zqxu6;ADoqem<_`=w`jE@1>v^ZpA|ohg-RyK0tQL%`8JDASQW1byHscEqIOWbV90eD zxtkFN(b0r1$9&I3K0nfaZwx}VjWds{nKHosxk)03N5ZdQ5R(K6MkLi_m~{-I&2De^ zGc)AtZbsju3Lm1_*&|+E3kqQ7AYJea&qr;%I5;1K98pvJ-VQ zSj2E-r`+ae7X(>##?FIU=x)s_3=%RL8ex!ZF(U0^8SFR8BoH&1ZXv&hWhMJ&C4`<% z|G@lu8gklJq8#u@)-FQL3yG$V*quHwh>ih+<91mRw^S~x3ykDdxOLqH!uNqS8OQwy zsYm_J{woCGN;~Ob`KtIGaTVE*+y%cwCJI*nE^k5qL;K}|AXSB9>pB^;T0xNOR%Q*h zoJ7(ve0NKJX4?#1@w-}WHaH>)PCqu1S{*%|r9+V12R#R-hgyBzWzO<_1S?e3aSCiRILcB&$S(R;^@sA zx180zL65)s`@jDRJ*I#9@wfl*(=Y$}!$1D`FF!stG(sEBk~s%e#9{b>#6ZWVJqaSS z`TJ?VIx(iEjvY(ZI_N*CKPUMQok%wLBtzG+ow$0m(dTZNhJ`7Xr4zT7#f|FNNkP|8GrJ?Cjznv~p$6~x%%uztz#cs$KRSC*)NB#2q+#Eg3)ypw{y_l=%X09Gw zf>f=VL;xO1R3XhVC(=G9Gmt%}M=Z#t%3ME~t5>FtjuIm9FlF^OU!;6xu3j3NN}9;F zTjKWWqBW5}H8e-}AAwWSi2AMT7v^f@YRH}SNW_EhAI#Ox7wJ*IH(!K|>R;8+xKHNl zS5ww6%vGi$?a$WGX9SEIieBQRIB#I;E5=?$vyKUYKJJ$<$HNxjao z8XbQ0O%+>nT(4a-68VQ|4Mpe}S2Bf4uwg`B?Ge$mKStC)u+na`_ z;mUe5SJ9w#=IZ4bKdM4C5Tp0qGd`b}h6YgLZp-+gQ$`4^FvP^gHZJpsn%i`O*Lqo} z2+gEl(a_lAT|@JV`n9`zXlUY#xmw(!7V(2HVxG*^h=%4P>eqZSSFt?PQ`SMGvp4RM z2^fTtgwg$-Z1dF6Ku6P-WG&oLzk($~{xx)ie^3c#G)cYUvD}`l7h&XGL*p;zY7E#L z+KaimUY@Kk=IXcRi6%$Uu|~x3(Qr#-$~z7H}c6`#i7ubbI9LiuEtLZO?)y}jae(q)mf__=Bl%u zD>8Jl2%}^}C`|w?&*nIv**4syW6tVbCs&0E(r~^wL!2%s|l9eR(s$c7HS>|&3rRgFA2@fT#ZN5(T~R!u|t5< zQD{95lg*Crh@5A)ZN$7+iWnwHfh)SC&Q_a7MO*t7 z17;Pe%0&=qa8P)lt?G4E^|>}BD2b~8Ks2hqdr-@@=s4ac?$?)=KXAz3eU;CQfB5C+ z-~IM?`bR&%>{tbm-MB(bS-N^NTioK4X*t*waBBVV8>yJO9S*;dI$__MlUnrw;Z!^X z$xC-0UwbOLfpofA2g3n~d1TDJwFgJF@6#l>jdziHFI9uCcN#)x_KzroOr4z;%Z$78 zgtK}^t4}?J5O#K=NM2RlF1ryzw-+Icw=sNQ1{l2rxx%W7_YEI6eK_pF_x zslqpg50{b4WTd3L4W1bjE+gBvBJhpeqmbK)XQvO_WsUZ98S&j^WK~CamGm&_ga~C& ziJ?)LCz~)bK<+MtGpTOtIZu$2@E&+w*~Cj@CLp(@m&wS`jLUB>Bk^(>IdB=#no)PJ zLh$A?k`oCR;i8Qk&_)s_Bg2lv+jm?>rq#rF^ys(lGLl{{BZ$d`u`%eIP%7w18{Wnx zFIU!=%Lw2^82bAzBhhrncx&6>Hf&k#J33Jp74h8C>*!vRPnVHYr<7hUBaB^O2l;CC zru4|h)?HccXBzMycNtN~(@jR8v#uoUZZDUSUIt(GXn(?G?I$N91-F z+t;GCTD6hY(5w0Prx`ON2cx=uIkF-LesheU53M#8)-lhxyjna)>ceAX4}k=f+hSyR zdW`sYkCBSU$kUEhP*A5*ox|lZ(!*@D$e^Olm&M5Nt;a~jujbujq^O4oZyqD+7?5*T zCk&tZ5O^5~(M45rW!Ye~q$&z2G3*bI5sw>dF?fuyy1RLU6Evy9MESCdAAsXekC8(F z=-p!^;xRJ2N%*V^y!p+W`ROt8ZZV=~WBB~v@ffkc?lE$Vy~WZ;i;rjHs%0;-LDMr^g6BURhPwrtWm+hOLnE0}6e5j0nEj(;4Dw@fZ;pcJ1jg zqFTfx-5SOz?qM-9JUvEUZfW=(>WEr{D%m$4BkuATxo&SPB2z3zhA$o?dF%|5_3{|O zPLIJj9wUHyb(zO%`|QNqZZUF%4F=7C&{tiq+G#<^qlC+mwds(^)xmGo2GkkOjw@4q z^BD0sTe=AmTnR%ld}GxReK{^}Jt!q)YT#0k*YFXTATc~i7_zECb_8$f^{cX879;vn zS_@&*-wHF|LTyTYd5qZZF%kt_FOQL$9v&lQ?Lq2i_q$vNZ0Fs<`Hk^ko$z_D373QL zeUA~`SXXS~Q#f`m+ZV0e0Z+N;ZjTZCub64n6>#*BzGmifLI_ji4`^}Wre#zc)qBC?+8gxZ+W9|7S^P5Y`dzAOI+)F5 z`Q>UTsr^%<|7|h2UA-c>6WC+>jh<@ZsZ!lN>nA$oO=zgp%_W`EOkWPXyR^(_=k??# zTy@ai6sD5e<=yOViPzYql+Go={SedEevLD#VQ`J5FLXf&N79cWXphJsrg%<|M?17| z&oRb$s!_qIRq%U?dP*d#i?gbS`q9vuU! zi%X~|e#i9mKf8Qeg&Uchkk=#t>u~Q`TkO=-p0uZK%)gTME=H^RxXq)qf6h(RvL)sw zOFf!e)9{#^;PBrm%$IL#_Zer1k=%RI-bVL%%}vzD#Ea63IN|ah;RK7%xk-t>|phdzeihage^G4hGW;fXR!{Iy}N`wr9|u zs>O3`qLxQR49=()BXaiD#w{KuSOF6Qn*^$+aRbqH)ki^W(yGU?nLal0m^$N}qgwg6 z$jSK*+M~lU*G0}IEFLEv?PXsq15vGbJx2s&fTTsp#2>Tp2S_dnL~d?Do#g$xevVDZ z6dItDn2(#B=AznTZ5FMVk?`F}(qG|A=}dx65_-@c(+7k$QOkUAJc%B$XBJeN2Z2vj z{jcYs;11A0J@?4)@3Dy?FN{3Y4i(o)P1n%JCO9^AX@VBw%_f2Pn)_kLg`UuzT4Im= znaQauegobkDkdJY_~FU~Rx_^y3hwu)RuUI~wX-9WHNg+uwFUfI8{Ir3%Dck$;$?x> zFLd;X!y^`$8=cx`Cpsl{HHmxXglebqMEQ30$Wb*YVt-#Jea=L7x0h&hB1y(>am z#8Xumrk91Qc6r7vGiANqi&Y{7!HQ@T7d9)OpSeO^*0OYbl6A}r1@>#EwKYifdJV$7 z)1XSA_c?$$E`WT3UPDBf^N#(AgNl5}}n9`_KV00^wZ!zM;3& z_+{vkH`RsiArDP<&#sWr)y-F@(RE5|9)rq+evmqno&m}bZQk8@=&cJXYcY(~MCbF! zAhog?B211;Wi!4FPSzBgPT!$vN4*8@nYG{N5DtC^?In6`4=_9SG6P9WMpzO^sErdM zo8-FWm)F)YiJ+~3`_#3^tGT^LY^C1}ohIq?vNq>Y)unjf=Wrkmsx`aYAWx5JneeSJ z>V9C9Orf({^#~IXxoIWi>8fXG%aM|{;c8S?Dn)v59?@^q&pi-*MlF2d;hL{-7Vt#f zP(lbfo6+FT6;;Ez0mC@Xk@dWQAY~;{>;E0+ip2G=%yF>6e3kHbr1ZgdGjzICpOG0p!X{64z zBP=j{>f8>!z6E-#Kt1EWm1roPzFfsVY~)V?)Qy#fpjU04cSaAfb=cuRlvTxo*jY2{ zxcmyo#meXv`*?$-!hB>lDS zBOhEdTqrzz^ndz=X;?>*@+n|;Ak<>4cr?d3oMv1Ah-v6ZB84!O0Ni&$b0 zbtgLJ7O(ZQtNHU+fX(G2-`TA?lZ5^-QxhIh-3u>bi9la?-s5b;2eZUoKJxKbeB>dP zP?o=8x0>N)Bo7`@5%uk6x4L&?iBO(uClk-YCCGS(>pcb~1CtVB$Pjg0;d*a)Ui1lRG#_l7p_O&o;=#!Fo;gJ`+mBjJxBR@TTqN;j~W4wLj zvw$x#pP_s<|GvZU@LpL`G2)2lIkN>bf6sEQDzr2jeY zVbmE10QZO(Ip_jRMbf+Vvy`EQr1HYeosAq=UTK2tkBl&`nJla(uPyO2l zour4@mZRYt&xAxL-;OuT-n!aFwK&lBX$2kRa=~IGD44O0TI3lWnNI@mRp*rtPsMLu zs&N9o!lr1x&f(}u-E$3PazvROMMt+VdGghxUdBnjAmh7cBbT}cb=Lwx<+N8?&G2p| zzaiK^Mkqa6^IYEaV5q#$4k!6MjVor4m;Y)o0wVeHK(m8@NSqAzL{UIwp-1e)5#~e2 zn}A~NSRLpGy02_E?olASVTwW4XmZF zKE_)3@dK%-ILXi0&S|;n&(D(~?DsType3QIHmVSEbwicv%&24!4A1g;)R|11p_+gu z=djck0pGK8ND`iQdba5-+RVb1%S8Ts89A0hEVFMa?T1DB@8)S93VAjzZKGO1b2Wq<#`h2vN7)|9|D4OI1d# zs!&F-!-?ZB(b;gllG-I)0<)FNtYPA% z%_#CbtKi9ORio)UvsHZ^G}k@>xk89e)c4xu+U^4SIn2{IoO-qC;Kor)(0?#n)zWSr z9Js5M+leMg7-;pontU@`!5>*~M5Y+YW=*5P?q^I*2xH)dCDz1?pwby zTZfxRk~_0?`W~}&Gw<>mTP_2%k|yLex4LF%U0x#qo2SJJVd#9A)onM1Uh|v0rZZbF zdCmL*K&tBPGL+2L)U(lJxS6d)>sp}ii`kmrfi(g|MmT_^3>^c`Bc0h=vl{aw*|Z&R#x$%mYCi(V1!)5)~YAb{J>44*yOX;p7QDrU@B)1(d zX{qU8hh{4XQlHdTe+@#`VtBNdyym913gHUvssJ8cT{Cof&6C>79of6QWcp}hOQ*K#|6isfTjt!Fd!mpw!>Xs&0s+=U zvj~$PVW8Q|IAUq%I@0bNAJui=@zK7?Yq)P9(xmM(Hmru|EMXy%2umLHqz%&KZ9k6N z0$v;0nJ2Y%?dlqOx}a763e39^2pV`DyjNo0YPD8#*|bN^liErYt03`+=2>u5jU^C- z{2tUbN75Q+?Q7?(!!yiA04O_sATlM@94shzGVvgtL^A7OH)-2l)InZJ{F$11^)9X< zaq?EzKy9T*P`5~IlRbsS_1aJ3l*dW+i~FjBaja?`8Z^^6Bp0={YT7A>4y{774eB@M_2j0o5%HoosU3HaFsgR~ zcTMMJT3|N|f@Chh6S%}uUAd%*eopE$^#>2F$kQbX&w-N;$~)vD-6F5)?`S14lR>)8 zRKgzm+^ynl>aG6s`DTX(1o_Q>_>*@x`_I4p_t%w;9wOnicH(SO^A>09H!NGj_>eAHWRo7#(QQ1^qZJN|0OnXYS6=0F= z!XovH%EpFi=Vn?JcTKg=LN@Nj*KRe!XtE6T9)_%!7WcAa?Q`wJEQ0WbP(H2t3#P4T zbA%Z7jDZ=l^=lR~+`|y$u}FOjDw{x>Z+^!j^`){2r>QidNS*X|!8pwhx77Dm+1$Jl zpKhrySOj6u1B(E^1P9b|?drvDm5u8gAi9^z=E5TG->R}%hKJqAL+blb*pEFRAaXvT?)IvB;qltD!(4N+vtyrh$i`xW>e)(ln0>Y~mx{q_#rYu}y3h>rR zT~ruM1$3#FZC&YG{1>G!T53Jfz$IR?<_(MJ6xPv*>B=z<+{@fD8>2E+-efk37`iUA z2{%;)rSBfQCH!irwNqI!%lE@YXgwUC1Ep`$gG#sruDIepWHv@Et|5%6@AQsE@B;Uc z=DB_vE-bRuQI)1+kvcbF5iYBRMQq0+s=zI|mauRleq2YQx^b;Vu!v!LGca{YxC`o8 z_`IaG_dt3;BPK^sTsOT-uU^OKuX|x9;hSdmYZ_9Q4Q5ImWuk=WI#trOptDiI*CGjz4JwA0}lB*3K@U=<;VZ$k1uX3d81V|n*FPDPymE51p<2A?KP4#7ygac$V~L#C1TV`ew3ppMB9CQd5zQo-Y8xpehCYfm$%G!d>@8%uMvG8 zGnJ&He8Sd(dx4pIp9D*2SikWa3F}klyLYb+oQuB-_gmC(N0g#+=wq`tv&R1peUFT~I=~`wV zhq8|N^seOzKs-4g`S|o2DenM8uj37Xz*-$F2Gy4tynO%=A~p|od+qBmBtC~B-D|{O z0A#Ukn4glGJ`7Rg%P-D4L4_L3wML*3xI4_uy4^Wvg_Po z{OvVDS3GM|z;rDB;Wbk2q&ka=G-Gmt*9dBi5N@v#`|dU37V#&KKWrJ7g^N!VSM<997g5Ab$LF?D))H|p+fUE6^ zJp~qpR!1O>RwhJ!t~8#riF&?xjc7|mo44VCLd=G%dJKg*5cd)*VT6nVtj+{IOv-F1 z^UD2eQIn{5YgwqV>bMzHgzy?kpYxEvy5}KpSmgim!@vCa%kO{w@t=P9kMIc9B*Mq&N9?8h)^!J>PDPc)Ma!>ZGhrK)}4i7}ey zbvlM88cFUo4%rfD#HzDP=8YR-6Ik_@_*xp$Up>;(ef5_3*7uma;fY4J$lAEG?=A}zzoq#b@YVC9!PxsrnPC0lY6^P4bd5WjYAO83>}TMW5z9ScjiMy zqX#(M+>kk!hv^8kWjvI$|If8w&3M&Mw`phi%5@}WqFfT=>$4|4K%I+EuIj`2Y`d>! zo=LsIPidHn-Yd2tG<1C&!k<-ZW1$8@Na&{Uc(Cet-vntGhip2_4^@XGP^^w94V}4C zbj~@q5O%6g>&XomO`S|SzC%xgpK6%V48p4ovsPATshSeH|Eg;4 z)B5ZIN2>AhF%KEmY%YawznhtLT$HKX3cP}PGxQMsyQW<&bWqVsuibu?;bwO}8=mx# ziKwkn%egY&w{U!yMYSfZ4|YgF+@SBC_LZv{0U?5VT~uWlR31vyA6V5hF&kb-EaH1I zO~0B^AyBz!f_$o4T|+ZzW#ARJjyi>=mS<99b@cR%yrq`gb!0-{t9~Dj#yX)$(KpaB zJgwdFS!d*e22|g+lS8_^cYv!iW8{%=d&a;ft?j5;ctjXT>-Y39RnePtOE2gl`sg|w z@l83@@2JT>hP6l2z_(pHOSB4r;_xP**;a_EppkC>q7|8&KCX(OKFN;rS;07ba;9AQyifO4E&+w=-`!V9bncfk>Q={x^_g80Yf5nBc3-V*DK2a} zQMK5|KtxM8^??ZKD{AOTAzL4A@PcemITFUUt4mQBfRkyy?q<|)bIGcz1GA2Hcs|sD zVj?jLhG=VIFhtI^uO#!S{Z3xsOYmdD%pK+GgPcrjuC6owBy6NM4>mpwNOaR`rWkX zN&gzp9aSl;?#u`B_{+Z+@>qZPmtTJO`=5UP?GOLa{nvl_-9O*d)F1we2?zl&6H`!u za#y(O!*zsFto{K$EX~If9z&2aA*6{D>F?U-G=FungAnn8;fSM48g*tsyFIWpvYxD@ zd}@!wd+rD?Pg@*MY%379vIsN%!KofV_(K38Ur9tgQA+z3YuvR@LRQ4%43}~sa;|&v z@GDD=xRxdaC}hfHJy79%V1D!4wjWEn4A1nS4DL4qY{%3Y&|5c~>q;4C)!zxqvOE1e z2o~z}7VxTbOLG_T7J-l1z$6xGZ9P$JpIn~w^?iYu3Y6N^)h$~f-;Xnlr@AGb2J6FN zBriR~u9q+Fj|f_4^k5xKYJbf_nBA!NY{8A74^!s!e5$x(3_GR$A#(X@KGJ%^&N2125FQbF%au{h=uhKk~GA`((c_?M#cEsUQ z%3QZGo>@qGDrF#%1Y+q67mg8`QS_zTTii;SwQG1w*oQhX+PrRK)*hL$IR9`M;bYpc zwW`7s@G0Hr5?a5E3Ge8m4wZzgu9P`4*6-X3Ka?_=v2wBdiPjBIgw8hCR*H7(%+y28 zR40ZEk4PHq(I3oI<`OvBYo9-6A>)NUxTd==V`#1GytEuii-d48>!^X5s>4wKJ))FZ zyHciUI|&7DYZCgJ*1jx+KFU)mql%3U$TTnv8LwzObd^zf+WJl<>c5TF#ORD=7UHf* zn(1byLYF`(!=Pu-YS!^(^a^LfvX4FsQBhwU%w=;jyDtwBuba#jNu!#hf7=mR=B|5) zD@qwvQP&Md)=o4+3#upTt9l=+uRoJ6hVL227Y6WdWF7)5_fqi=#&N-cb)PW8xW~~) z3YRUdLax}k?%h4UYWw)K86iS*ew&H(fV|ESaT}=~qfkiLv3oHQsn_dLMxV$pD&$WP zvW)pK*G$BWgHXu69;(o_4@EN0`A>=}oXv#OzYdt=5Ui?gF{I;Zi`4^lZY!#j+c`L4 zkgPwD&|#iBb+A5!l%`H!e{RW46)cJ_PUe(VZfClqY1F=@bw6~DuS0Zt1i~Tc643Il z<3vyi+OuQh5^>SF!9YzfW+x`bRFx$iDe82bFL0eE!OMwDr>GvwRjzLz6cN>54<{Jb zkAahNxZPP9W}&!s)e!6kD-cY(Zvs+Tah*Q&;v zyo}^c^qI&eOeZ)t@ZN0+Ri~DI@@z*z@I{AJMsK#aaYJ@MY$L?aPqoatfDF{L_+!|y zcdcL4GLw)t^WJVVV$cq>vD=J5Qq_jtW}WJRfV`%j>O7V!Ade`}Gd8R+!@*=*51~Vl zwb;mo$AR@)+lGnT+(=d$oj?#ab6Q$Z^_IRY#*U=e!eXTB`;dt+IrD+942R zz4oBi@-%w+bRk$BO@ls~aLA-*a?mE%eP0AW?RnH&E5j4fDS^4}I_jidFk;WvH+2wL zwnBVO!_wo0bQWiA@<~02s%4k2>69_F;oO9^MV+mH*t!aOG{~%K7Eq0=MX^F47#N;m zT2#mdx(;olbvLPnop#sDhp@au?Wj;~rS7x1p-+2YrS!Xe+TW)rE(= z9pw{}{N+0&d7FcLV3WTTHVGq{=275!DVAxDYUa`nt9L>Jo1NNh)sI7heNm`(6zTs-wT9^5jnLt z!H&lE?GUUVA<7WI#Z^VyFsQ+LY%`2%7v$SrmI)&p-`Nd)czwCWg5yb+XIZ7A~l~p2%Z_#hP zE#x;yv75hRZ1kao5rnW-_+2GDvh_NWIh+yv>!RP3$PswAkl$}!iI(?v4N`<3m+M;R zWRMd3txma+%wWkW@1kFalSIN^vy~gltoOA}dtK|GvC;3Hr<+&OZ*^j~(46)MuS8WK zP&l+cL+&D*@Ht4);^;`m9RA&nWB|&PvP>PyRPEYCVFIuv6Qd&%!)VE>h5zKZdegMp zu3Md+S4w#GdPA8uUMV)JFXeV`RcTeKVdHNR#i0#PkbVa6jYf#!Dr${a@nO)x)H zK`YQyKhpI^OpN?1Tu-osi?k}3F!JgYgD;lwv=-1Q^Wm4yGq%HO+ zP@YDx#vF_Aj}A_K=}AyVdnsMys19Y~*r5#RdMbg~kvw=M=vC4DLgc@Y%w*tm1P?0q zrB}6W_h@Z$zR4vRJ&n#keB|N7Ika|Bg+vFR{-nx993s-1+OP5jQ_6yu;RR(1s$VUo z{NTC*-Y?NF`ifXC!!*^*xDL;Vy$)prqXJ{Ni(j$>Wx~Uh1i4KH%oUJK#<>httkrt9 z16XD!_t2!G|I+@3Tf#KG^)MSdQr97AbJCnTwf3Y=qf_k8V|Qf~l$i&uTrEbmxhoUB z(^>R3HZAl=Ylkw;z&I09$4`(Q(i8Tss9$dNLyuuK8+}!4 z9m?dO?tP+XSIxqd&uKrImth(-G^@wpYmeAt8iqn)a$4idCHrM5Amujt40;)c0g_-= z@X)RTsXNXO;Ft=IaYV_IsMgvT40k&=-)?E`pmIABR2|su)Ydx%FltW)-de%OU?(|5 zUt(Xzmy7EgkbyRWw$p3ZsI7Y780fb>x@4c=k6l1!H0rR`Q6l^8;d&K*RZvAb#*qj$ z0U6g-zbeK|Q}h$C`%_O9^u32W=~wH_>4h8~c}k5 zW8{RJ1%<ZD>@bk+zkVm^nSuWyy6Gi%9iv|JwvL>_9gR6y0y7tFx- zVe;KFZK~DG9y?K0nto|<%*eI{LTGu)w8Euw-F|djwA5^$rxbxgo%kQ{;V*vkC%L8e zm*4&C@BZZ6ah=_2>Wc&zHUG4~yCV>NlML;xJQc zR?X995kqTPqcmkayyVPLOD~P zD+pmwK?S|zrq!AzronnoswjFtI=s}T8^~9u`uc%~kNi^mh`Gla&bhTom`yEqK~9Jp zVuCQBb175BR9SF0oa|KAR*pDny9{WX=2jcvIUYEZp^oZu+}6h)8Aei$hwzJvrL$V2 zhf3?(uUxjOBU9yj$a90#1S@${Z96c77k&-l_IbC{k%fW`j+rhSNT)RZ@K)8WmE2Ih zmf_7Fq~RoTh0Qdta#S7NWD*2$;kE~X(_oM3fW2^PlQ9(#bQW?~Wx#S*XUI;NEb=n# zqUX?~^`XZt$Gr(41pl568*Sy(feu#kL$20rZpi>vVJ^bJg_j+zw)mf-UT^s z7_z?H#?+(eq)`j0qw+fxRCdIMem>u}s_;uY1EeiY-Ow--2<0iN_tayr=)SeKwK*V% z2yhEyTv%c2irg))UxRqL7CU^^xuCAc>NTb^-A?a)A4W*$0+r+tg$b78EC z_5I9H@|X$fzRW@N3@~55V((0?dspQeV8T3*d)Lj&;>7}Cq;|su^+-Bd>g(Dizo~L{ zIO&;{V}k_}NiMr^Lc7e%MnlJ4R~|CQhewX|ek4&`>AXv0mst*Hg!1X5Z{r>Kz=-D%=D$Snr@u{?&nK zajD5p#|Y#2FbwdK|Bc$%+`H5y;5FeK0nK_Uotcb}?QxldY!xjx2KpJd_ag~^>+Rw% zigr&2aCD~Mycl)l8`NW8$i>}+Wrr2@#+icNG?loU=jEEr;gUZ0LN-RH3K-)~_5WFA ztXzO0;ue@|vB#lRtRs4+CCglCl7cv8AkIOcDQ2{fadNJ6HO9T)__^2b0{eK|LPlrCZ6k^=w`Hdy8X`uFRUA%gmb zFX;=$z!}l0ODye#O><)muNtqzfEaIm_(>&s!bPY{Hte}z7#&ovRC}kGiiLqY9n}D`h3`R zvM2pn^*mEOC+)rYte_ZG%L~O2IJR^etNQQJMmNo2nNR)Dh;u46jU7yW@II@yuV0BH zd3k7h;}llxI<&jB%>_n}p4KERyZBA$bg+a#PR73sSeuUY8eW-sJw#JAq1DIT&4fc% zE+i`^iXvOjtb3Z5aXTD=vALYsDG~0wx%uX?7X0-eJXZ7Waz^<%x3CUjpS!Eba>E!k z((qUrcyK3Z)$TeJ5-)dnt^uAf#$3#i;o&MWbr_T1VGKN0bSi=|Gwy8hauu0Ad8}Of z9m7*eBg{8Gd91*gXtj5@39^^YO+TvMF3o&j)2q%0QxOz-dIE1W)=3~zDV&1`_+w^4k4)Y0b=qEVodIldG!V{shNd@>fN_NC`J$f zS0mwuqw8oY{7e^Mh;!jcZ%JtJ+q@$aH1UpMhH_oq1XWJ0n0aAobsA#rt|A7wtn*7n ze(NsDI>?Tto1As;1Oz#1hX$dK*M1|E4CgPz4s5Gi-2Dv|Xjj%c0dj$xRUf??bf z!-V00x6U;n!OSC?BqYfzf}6ZAd5ZnorW}XCCcFv4=nDx1Uc?b*fO9kI3H09Mc!W_M z@C4y@V(K$NoHbE+D5K{H9m141A}iWbWNRJ5XcZq?6La^5?@}6K!4v=cacP6$-5fZh zfiMji%a8tqFxpc>y&DIGEm6?Z;|+fkSMK^y{{eLe!U1ots0`BdIW-N_wCd-)hA$Z`o8!N&e9Hg8;Q^ zFX(}c$t*nEghE%_4Y3p>`ZHNobFVpU|c(qss z@3n9TRLDLh)Vg1-g{*Y)1zcOP(w*s#i9Fk1> z@d079q}*0rtEyC?F>DZ=Jhf7f(`9WSuMSr`p5P6l6Y{i#X)+k&wMC61FcJ<>AqdE3 zsHocRk;%?HoPH)WX4f%S!&Lh0QCoKE5C&Kx$Y;=?qF_}SIDOE;T+h+DBdC*wRurdU zUID_?i$+ZDZPYqG5RADIOlNj!&*?WsoP+~?^Mzn=nHQwOLO$H_Hg5=qJSbI(gM0f> z7j@^_b4Kt>KX><8brB;J?Pa8QLnTW1IfvNkCBGppl! zx}psa?yLC_;$++BFk4jv6oe}`ebKdk=f>s^w;2vMlkJP0UU>;|Ha*3isgYem!~n><`B1VXIcigk0jhc-yt{0V?w&K zgkmc;2iOv_rv)%GFGr3EgI#Wf|n=?x56$Onuo_EW7F(vC*M zq0eHf(PMm&Ups=C3vMNW>7+YMyoWIQ(8H*+p<58S4&AO#L=wQ;J$X!jKbQD~LbAwR|MJMQ?7i?_xfe+tDFe=qSrBv@+ z3kYWtHC^K%v`L^so|5`awb>Yl@;){@!4TYcb@Oo3*NZTZ9C*bjjYmlm$e}&$=i!(W zs^F$Jq@pCKOS9N57aMmX$eA9h{&oh+6hJXqy9$99;Zn1NY`8V0{bHE* z*MIk?o#x*$%>VYk{ruaXzl>p=powarkQy+Hldk>wjAznB|;^JF;ot1SaRn9{MgSJ;^eLDPCJn zZNi|F7W)=0ZNhW~aDbG{;d7f0CNX-97x#o*B&4BnLOlv7J*FhM7|Y0v08f06)1zMO zyy;aN9VJ^A6mP;Yl@N$0jzMtQEK%NSCk_3p&K7Oh0WWALr0(*kpg3{+X>``~fpMS2 z96C+N>4;$+)yu2agr#Ra2$lhSorOU+&0mvn;<2_a;la@)Rs_X5L$cfGe|5}aH_ydU zu0vN>3-O`hiMu*bbF7?+9n;UkI?Jl2ChVh5$6fEv;uZHXh|$KNNz1Wn^X+-*+!l0J zI~m`dd7@Lwrk)#|KL#;^st5MO4++ok)bQxFtw@}oIA$ZNWZQ(hF?bM|u$@HPB|Osu z#~48;i{krI6#I^2rt&d}F;;L#PeWg2<{~)EyFI3UowUE>m~|aEdOSyR^0@~Gk6-Lv zBSaA$RM+V;Xo9mlMrf0yvkbLVGnu*-Xm z!|u6HTG8;#`hHPgiCI?~2|ZKEJA^Y!$UUCM-2SdpXP!JKF{&@atth5__WD{2j;q3z zX1#Bd@FB+KB2JInBsK_H7NT%el@8A}o_e}~aq)`FBAPY5 z@U`F$QPt<|9mv$KDYn%x>>{D19Hzy&F(34E=+>_jA?DVO@FmYREOD3od|+I50*dbK+Qk$hp9s#Vq*XKQ6*0hm#Dk#zoPjk^OA4Pn=`*2K(% zsjWq#gBWU2r^P&=?A>;XL7zJ7vmWz%WS>znKT6w|@!>qL`jalhS9eAB>5ch)Cie<1?O?4r)3Qgb z%+ucIG1;=B)CG2nlWQK6NG@HDLr1TQei5QVw&MyMWMa=qVO=MJ%=KoLLvQN9&Lm(@ z-;v&uFMY8Jz%D?|CC@&#-EKPQ4St^Fz6~i0EipY)`#-JnM|ty-iHYLU_TM27EG??LPP2)17-sX5k)Go&x8M4#Kt82w0|A-74z zdlkPvld)qRwDm(#K^5@Sc{9$7HhUnc(Z?k)au)v9{zNW@!!Xga9MCH&=tFP~?I7{1 z=y22+tf@_qb9T>a>$wRQDZ3jFoXP7alKI=e|MWZgmSemA_+Nke;rGA%?hn8J;g^5> z@jv~;sn^rDU_`WzZ`n!&>KPF9UK5}-2G zo-y3RaxgFTf+-3EK@`icIwCxh|uB% zx&%8Am17?AV{6}|a{R-M?Q?DXwbsTz{`Y_R-S7YLr{DklpS2jCqOkb$zy9OT|NMtv ze)q#a{qzq%{`U7jJ#QDC!^vbqJULC)7%mtEm4rHwKTSHpqq@k)auDL7ztSf?xE@=$ z^UHZ?@$9qQhF^19&_dRQsY6`GQ-_OoyU@ee{_0(vAc5Bf6N-h>YNb0UQddulAJ z@5$WMBb3yKZp(-;p)C-Tm-2HmAVt8;)5WCZN1aZYtSLoJq_F}uFt%Jiy$RHYlEH;xzq7Mr8ak7 z!Sd9kzd7VwgSqy`rT!+bVBRdMu&;RqT$+A6m^)vCx%#x|b0pj2oE_#E*ZQUkOHV3w zcgPvITJ&G97B4`nvv|?zgY)`GupEz%J~kNrU$0BhOmlNt)aikd{c`+*NUR!%nS584) zHz6zc;TGKIa?|T(F+~O|WN>DEeK){)fKdfI3X?W%!_nzDP=P%oR>4b8E5HhU?)H4< zsYmBl^3cgYkAiNaz*^EOo7RdLjBB>s$dZ9S{jFCpiPJYRDj(ZXE#QrcYv&@3)G0MekgupPTP`be*vR+1cJub|)QwHMVdpW2%E`JHppp{J5b(v+GYy)O9Uc}n+84zFPDV_j68c-Z6U zMJBo~os>g^m}ch<;c_PBr2Zq-r%*)oegDf zH9Evqb^7hF-&h3?kW$p{TvowO)r4^?YV>(Wm#w#^itl?`K|4!1g$g-H;If^Vr;r@3 z=4|S*Nl&ZbDe9YPJ!2*X&$b(-#2%n>v7uDC<}R`lb`{o`7C~@RhQwV}?$cxL6Q>UA zxP|88@QllZrkWQE{6W zy>3QQ+IFd8a7>a{7BrjTxWa7D>MNo%actm!Ds$j{19Dm#!rf^@_D|$wtx>twN_x5GZdt zsp2!VK=0cwQ1_f#s6+Tp%d-v~BIR7vg$rovNY=)~;X+886mk`X(KDt#=BCAiE!IS^ zSie|_`GCJ(^_*BK<}@LcKHJvHC(kwtDMwe&CgiL(Eo02#FV^-fv_eX}5Zk1eHdicz z?GTu3YtgEA*YR7?IXA7BV0AQiwfeSpsJe|+M@*^+Zr7&X*rE(y68W2}AJBLaV}OCQ zn`4<#w!PgKj#@Ow(H@K^t+%ND20IHE(yV9IR`tRI85%n41XUmqa|Kpk60a*pNw5T$ zAmL;0NtH2=SVzt*V9Df$r&rEF6zC(?G51)<)P#H7Q>>0?s96Z?>-&Wv#(e{c(XqWANk$5?}~M-qcDXqz@GAMr{(j)aQL_}c%W;o`{OR21xiGX3ubfHns7t(v$wT60$~|Zs1R{&z zgc|8}(f2VB)(QLbRC2Y-z1LG^Uvx^$nH&s{qx46vV^Q^eaGu_B3{)P}JCdNL#o>$E z;9Y=6CAEjhvo^IG`vm&9Bwj+otJTrR5J6^0n)D;nTcE#PyS__M!#aApd`5ej2M@P--wKr< z2FfxEtT9sRP%G8gG@wECYN{Jx59n@oL*zUyX&UixW@UHFRj&4cy z!#j91*O+a}CPN$7XAn3UyG-2?=gZ=Mru!KH+Z(& z!dz8FSck4P?!*fcwuH(<#yq(0dtkJhppSqCTj}6r5yq@;xY5b1br!Z|Piz8#LN1C9 zGg9YzmJ-J$ZaLlv{%Bn^IFb0&psJ43aHA7v{I(J4xL)F}%eyZ_&As*3>6|!r5B;U6 zljnreL9;^wC;o5xe+i0bRkIh8HX`GGPwapWU>~a>ZsMB@f54d$2(EbdUHYzR+0?J? zLo(fJ9`8O9ttxo8=tJ=V+}71`#bNjK6kt zvTec)kwB&89<6QN7~;tNlF)JvE&Fi66V*)9rYXVcQn3r?bF^06W<6!tg{U2^=bPw4 z!$YWEBO`Nl-dq>yNZ-?hbIpoZ4q-!0OI6#cV)Ul7RA@Ztm0BXxa?=}B$2D?Hz}yJK zp{91F{lR%aj{&`;#pr(0?^DrOyGk9aU~fV`6SSnD3L3l6L%qg0V%pGBDNE=&PQ3~} z7UJDDI^ZR*XFk=y>)&a^cd=rF2Oe|nHSAbc+c7pPx|qfAO7|C zzx(GOfB*CEfBx4W{BQr^=O2Ijig|QeCX*{xRnFq_qvNq0V3OV5Uc$?#m$2=DVk`?C zaCtjb86sZ7mBLf-5{{gFYHj-9ES@^hY+S5CmXRlIo$e(}q7q`n0)6S6W7xeLnvOLc zUuxK(d9V(@dI@LHr8-#Cy@UfMxp`5~tMd5p5-tNpc4iT(l-rk=aD90RYbk71y|BKF zmRPdHiW2~OFh28D?c^+EoxkfPoco|8-Cn|47`f^^H%uGYB+Nkk#x)Eu>sv43c1g*W zd|mzNf!0p+e|ZVFBzR?)OLUG3eqWH134<;5PIfc`H0hp{++M=h_-y@-m$1s;v1vP( zFJ8g{3KLrYKEn(B>hIs=CA_^|{h7~TrI4FSo;D)`5Q0cYf^GtNz>3x@*~W^ z{d>HG64=>?zB`a;Wm#_}I z=JdA0m@9(un4;99jcBvwRM%NKi9p4ed%Ea^}AsPx0i6H)fo;R$L%HD z76D~#lwb7{Huf7Y;XsFhmoRAttS6nL1gL5W({ki7Y&~YWEM&%@tv%-hVc|1aNqbTq zvQD>s9Lzq(lIJP5+P#Ezt}NW6NhuOD8*t6A3fV5N3>3D>;eUcwnKVI;og z3Nsk)G=sx#TEZ_cVUo7+g+}_A)`yp{*S9Ckz;pGmCVvMj%?~eO_wFS;pYHY&Mpdl? za(@d}>M`!>`exxucuRVt#g{>!svgH}fp*{ zo?gPF%jjcG-Ah=@%IF)b;kJ71*!8j@+om=*t2z=*b5wnj{Z3Z$gGsUK`Qs&=8PlwS zSVF~|BcqUvPwDa!b_U}}b?X6nPw5Pc?j;OUdezpvyo58(x>OAe6DLdrrkI2X;ZkZX zo*4q7`t{A5(?`NwgNlk?9#H~%HmWf(zhx`^<}d&1Km7e)-um|+F1FH-|33Y@*?;pF z-^kz1|ET z@^}CLkMei_zlZ!?yKa5R-|N3g{=WWM^7r-o@^_q{$VUDjmA~uR9Ut=d?Nk2F1Zgf0 z`TO*J`TKAF!(aW?-~8Q|E1&=S&%b{SQ@G)`C&F(1#F^;=DlrRpBHDQ1Qz$@?;u{r- z`yd^Wg8C}7Z9nQXXz$tc-0+UXNHUZ-In{Lsk7Q}*Y4pO^q#udN6<4$)=?N4&4$IHlr(+nHK^6|_)~LI zwuwA|g6wL2P*1QN#FH4ts8)<~<1G)Nb5E?$N$crM z!z#cCBS~LVXw`S_NxiryLWc`?#yAq!ujnL1Jse@JN&Dj1hgr*hFD_g@erlB;+>>M0 z#+=A>e2}T$Q!HG_ii%ea$0Y|zW;vtDTn7wbq+Vded`gVaX zfs=~AzZNE$AB1n~4+K2Brx*3aKd2{M(&^+~z{>uSPvM#t__La=SC=h!gB1irVVWlZ|oeLt=lcjj0Y_Elm&{t!tmB=m`)^ za%CZqEaFzMX&Q8#&&L+D%Y@|tqIw*;7*8UxAO^BC9Pxw!3z-jFAF8zNDATeeTj)5n z3bFMdzWcWSUnT*lt7Oe$#Wn)C#1ILWr2Sii0cU$5VjGs#{QV z&tSz5S*2W`mv|({Wo8XEF%{C9*yiq9k1a>uw1I_s;*Ojlk6ur-MlFPh+qho1bteWg zYUEU^&1%MOI?+zmOL~e*V0G(P_bR=+M`Ew@EG_~xN5CHfr%0U)_;s??7_I2Qo-kPSg)<#SbduMfjZi+Od+*vyzPBk++%vV7iRgigLcpX=~GmxgLjZXO!j(m-Z%>V7D zpML+}eq?U)zy9*$Z~yZ@zOV^|gJMr;DD!FYg@tv*$V62tHK=dgtSt9!k4O9OiSvVW zLrRlA#4t@o#l}rFIW8|_fEOV2wC9Pi~b+t#upRiBFF~# zH65jB&D5PEKriG$kd0YwN=>iOCJ3@HQ8sU}e1A&UwO|JC(;`&d_V$cT=mGA5u}=pn zWPk-`fcA^munPVIqznxEu6v*LN^QcUB0iZY@$woLNa5N?ZK77aj~*%89h-pHa2&Gs z?|XGe*d4d#=_s$3qXgwA~ zpKF17sJb7CH?SDo&e~R}GqvTn>)vM^g%(kK)fG8$_ZogqP%wNa1`0pW^@@nNrJ;Jc~Uqh7mmYOhh2cFWWC|v*4e*NQYr^@AzyeULr1AscGH3o?wKU%yL=s%0VZ0L0PmvREQGkEhj?90 z3`CQv4;n1goHam3VU)G&i(~1;2o7;!ud>21i}vS4aMOCFCNSfhE3A#@_0JWWVB5s) z4mUtyB&~B!sQ)8Mfhu7IlOKpg%0r-wJ?87t+C6uzyh zD#*%J{*#*IwqCJKYQDqj$2<)@$HpUBbI_kCP!>3JYNJs_SQqu$c44or=mQ*KrElv_ z!+BI8^;|q+mXi+Dyr(db;dTaj)a+WS5l6E?vaZgC;~69Qvfb%dKZ1_J*aP9uPpe@x zh9mP7m6vekHZh8~sI+k!&U4Rg$|#MGu`^}W0-vgWuu}EqT@P+DBtC0fUV`-=n!u6g zc=~DZ z!kD<@t!Vzt<}tB5MxlYRsfL7+3kVemAg9&{7wY6-tzpp85QrsHbZT0Aeo|}r0Z#t< zH{Z}>|M1)2|KR@Vzx?jEKm4a3fB%9eTGERa*{SuW<51^H)T^8Hzg5H?cQHxNI!qWK ze2h;Js_Qh-Np~DWNI|EF4jI*y6QMfdpHOU#(`nW1fD4y&p4e%tRO<0UzYd0URw0mx zbSJACt3Q~Uo6oV!^VHMDQeY{&MeE?y%YTheHpVCVsKoOG$fSD5M*C+DbVCz|ss z)jkCfhKnD1uBR#BMM&|Vmph28>)@Gc1vT2PBa^3e=Q%zhh{=%*stz^0#wS&O>4{9X z;e(Mvp5*d|Ouk5Wh?C6U8J{>aytF$Y6HdZ*k5Am&_{5iLg>O`*LUE>CaguL$JHums z@*1D4ALEnKC&c;%7WE&LQN|~n7Kh-i@$o>qGrUZ7)XvRc#wY7{#wYssPw7s+$0vRm zcP12Vn&L#D)5rLv-|Z|DJsDLmr~uczeoA+^+1ZZaIY8;aL{GyNbi)p|JKS`Gm{qh9dhKZrG-r^i}jOhd; zQob=i@xmdrG=WZCXyiTGy52EPg`781oCfn4piGD$wAS<-I@jIZ+MS$obsuz;w_6?X zh@R>>Y&zsPeX;t_>6zmsAgLz4&=<9~30Cde%1hJ68TSj4STJ4pM_^#|yZ$x~?)s3<-`bsd)>EEiFsd%2V}6p0P$AdQX$jroQAM9bHEwmxIO;6w z^OMcDJp>(Nr3-;qv^zxH;IxM69fK!74e27TUTKn()|=4BHWPy!&rXkR4$SF}=Junh z0*q^y?vVQE8C`9pO$BYD zz>MXR4>rndNO5v;9J%Pa#wOLAu8&QU)4J*Eop3BE9YV1e8-=?Zgs8N_0oOy0fEn^4 zYg(vk3`A9QTRi%}bsbdP=Rz=}*QXPHgAvofCX7w|43Ux{Bl^{zsRKbRjzV+lpS9~I ztQ>+LLfase&!|Au0Ol+sd{Qm(|YIoTGX%=&Y`dsxsY$RWDgxs_MuRu!1S)qJAih!@}{&NK3~)!kues zLT044B`WXO^>YML3azLiT5zG`A_u2YoJvVP9A?L*C-PO-EmV$=smWjb#aCc5{o%j< z;dlS_?H)(41B^$`84BVIhs4Fsd-#rmxS}A=4+XJ$!l#1R-U{MrIbI6l?N$(*n6w*R zLP6}_6vVbGi06lbm}byk3Szx+c+6cvj8y%pAkM;frYnd!s~-wt?YFKVPM3l>{O_wE zj*&6on}QfD+ZDw5y9(mcDOBxN5bMK5_og6TUkc)`)TzbPJm@QJIx~J%L0rDCAU?W+ z*fy$&wh`8T-%}8~hk{s3=~F@6o(f{~T?KLeH3hN%A6F1Bkx@m4ZueaUas6`@#O0|V zt|*B8r64Z<^AyCk?Dabe;{2{4ULiO9dlba+RuG#v1@U74eG1~O(_+7;AU40MAP(PE z5F6o<*cHU~tAeUre~*IL{SgImuv)+K zOF`To3Sv@%v=m+n;@lO)_Dw+?{;dk)Id$p!N#|@X1##>O;%(Q15$1wx zq*n1wL97OR{l0>D{f>gzm?tH+x#N#0h&L3(*WHbNZ^s~fsqZL=*Gyu;r64w;gOG0( z#0S3E?x7$i2ldw!#A{3Y^sXQ_T|pddhag=+%;kXN7V}aNuisY?A1?*5c`1lj71D=- zxI7fZ=Bt8OIHH7KQxM}!6GQz}5SxdB*ncR9v(ZOS`5OiC@~$ARw}RMd$LUNAWyl$S z;PM5D{N>;O{oi~+BH^cBe*44t<8S}_?|%D2Be^-41c=l0!Kc!xNz=;Mg#JnAj~3Z9 z3AaU+=JJ&57<~L@9PqSQW;GJ~-YJXj#DPY~S5P}K*;_DDkvz}{( z{EaQ9jy=pnW^bCRyj}y;*F2Rj*YP7aH`jDz^hk3LzCApswc54mEzgXAGKOrK%miX! zo!)<3w>EaSn`=5^C-ot?-K?9L4q+6=Ifxb}c&gm^s>EBBLEk>*IvnN5bqcWLK(3?e zXwp3$Au{3U3gV5c>q*dH-l~C{#t-&juyxGXMO?bs!e^Ou9;e9%CQ(r%(BjpX2bn5FDSR$0^Oc{<=CwFsS+m4K2gUUQ5 z3WRXDxnPNqhQ7Iu`+_Xx%e~Ef4n`;$Kj*cxV0zqjU{Km&NgaCtMqKhvpGV@U3am;! z`r$qup$k&E8J@VL!;^2_DSkJ<~;Bvym4n z;d1lhmJAoU#B+heY{Y15g18pv+@hDM`kd?gY=jH)ibq_2=a%TlU~IDG-uA5pwIrGJ z=sXW*k>kG4om|pg`jVPhT>9M6wZr~FE*bLNV-wx1mon-|c33=2zTDm>rs%O9$FCxj zi(e83u7|N~TeK_E?z-PFz)5iUMkZL#&5!wr|Co;$K^pvq^Kioa2Ab$M%=Uv{qHaAF zEl0rvy%$F9Ad`i3NLE=#CfwL)l@1-6fbcu(Rp*<&c!XX^*0N4YA<+f**h{V>H2y#) zTAwtT7cx=XqW(p_36`aBOZtD4IDF4XgiO?WflP3*zmSPuaTY+0G(Z(dw+@r~woHVD zpa~Z?__^N~Hu1y{6kA8f@N;e|9xWc)o0Gr`au<+^TCrTz{q&TVpk8iPRmWFBC+eXE zZcHV`TpwHKzaHgL=bFa#LMHSBDr73+Sxti<0`g%DiMwpE>ToG}1f@59%{{dzG|^If zhbF>N4USgm<|e}fn_#tk=m0{C^PrW)?vJcqbf2}qOjTPqSv)-jP1I;tyxSOIXlsH^ z4({7M4)`6KT=NlOtzb-gvl*#lCSAhUM^=I!#?GbuKr9X5gh3Bk=uk@XahVKL z5Cp#UVPf*yRqLY0Jm_o@IDwDMtpnhs9fP)?zY+*`#L9pZ-X{<{Ewk2z;C2O^)VlT| zzuo7udE|+tM$&@ov>K4`*?C$(CkgY*6$3CGf^$2uoE13H8)xXI0zB%AZz`}8wV!Vm zAa{rT7}`|74{Gh_}W9nB$j|MYp?25lLi zkGRk4?6le06}$GL$@n~e;FG`m`>*)q$AA7w|NA@sCfR{f7Wd|FGXGJ3llA3qA`tQP zH&G)%W=H9P9`29$o8+v2tmEgazX?L6RXe6(O8IO4CfmEeNxuC}?3=$ydH9?7UXQ-x zZ{lx%6aVIK629+m!szq6{wDETf0O$1H_5lZ35*dz+&|)P(tU*OpW|;5Na|$(yZle{ zH_88B@HeR+{w773^MBCa#0hbzQPckz|GoYu{^4&TvZ-|la6eCuzL)${yM@i)==`tEOHzwtMzAO0r#IL18uO&m_&m%m9Wo1R~yQ~jUs zZ{jb1lY0A`oPU9CJZkiYq^I~lddR8g?AMNrO=x5E)4nQo4&cZ>d8#eVWbjwv^N zxi+c!K5={QC;AM13RPeCG+hNrwP+?Cp1XR0-KnU-Q8>_%FN?}TMeme67qF))h3cvu z$LLQNh2w!}`q$^FH$7<`FEBJfBbggJ0Rk@38~)@fQV}M}iULnCPMd#*gSqnc*0I+q zf7*jy0G2EJRd57f_Kj{yIHMuQPQX8X#YUQse)SlNTHYIttdCTKF?>NIb6SX;5cCK- z`Ukyu{bAqqK1+nMiR3uGp^s- zG%|cq;!HOhX&sF$Pc)JrZfoSY&H6_TZ|)}=8D9C4?ZI(6NVK4z=$2> zJ;2EDCKK))*9(jsU#@TfBU4+bv=iWatuFPZA;hR^xeeN?u1A!vo#T4^Dj306qJxo( zNdg!l!pdZ3C&NP~j8vC99Q8;mvlQG2gg{zX2@|(4sXh?BSM9Q$fRXon$>9~x)WOL5 z03(xMY#gJlJ?e7QBc2(PZ;>0(Cm6v;VI|&7MH|*P;}LyNyiEiJYKHO~7Nd5jz;R8& zOsRvB*R?d;<$FN3l?221nGqrn*{2kflV?%I@`({&w0u4B$N=Va+rMaN%Bi2te$Mc6#B*^Gv)FPk2K~b zb?AU(WYn6&v_0_%Y>fGZM|wV!ey;(Kgd?jM$L+!+UWM`)oSz>9lS+)gFK8uC1~EKN&=@22Q@+H zgV8DD8&Tb)ZBF80%sPRmdZ=aX4ktZba=Z1x!wM$BIvrBUgeLfcB$bq@4oRk2tPrHUY*+NhxQHPFFhD& zu0lnd&M<4W4o1B{qWth)YKU_5ortfE1ih}GpyWHoum7(<{PgoLzk5wa_C6VL>RoL* z*H8jcE6B*3c$%wxvBOX2PLf}%LjI>b5T!x9SjZZVEj~7iGEt8R~O~=tj*rHhk zRXj@T%G}6h?)hdAP8p`@P?M%ZgRWe!6S?E6qO}86n2dP5gcfkD-bgJNGMa9d%w&Xe zSoE_fpX%8mVJ~ylqENHhMuH+|s9?9?2Je~C_sIy6oB}h6$w-PLV|+o5K}eFhst(%& zVOIr<)UT5fCoB@cB6_a~ZiJ0%a)8LP&@oTLM$eELIK!*2UnV2#)A_aF{2Kq%WP}>B zJG<=CnFzGk?TDfahT_*1jQE?c}+%OwQ_IM-X$hrwi|BN2ki zkMgUN5qD2UUeaKY2yEV>U;cG6GA(8Pq6~gcM)KFmh|!7j&1A%67TbDgNBdZpNswWj zgOJGQWMu7=k@lR7L?BouYJ0MFA!_O##v&Kv_IGJSV4qW?o}+xI6Uz9W(F zJ{gHjMz{>(g?A*(_%az;@5zX^Qaw(rKGnzBNoTSDG8x%$Z*ccyBnM>lDsWjv zyH7@HpNxc){7NPxcv}ei?9a)F(1K5O(2Jqr?~{?rVWvvZCnJn_n-;fcGE%zpD@gsr z`4#)1jzWfFzCRfW-=B==M^z>xvydf|k>xcRQJ{o z!-Z|l`!Q>Q;}xl=r}8i$8-&-*WF$>mhGQzu+>;T~A*Y6h0VzDn#>@E?T}?65NMNzS z$8}O*o5dy7u#rQ#BHmj zJP3pchN$^K-g{3*Fsr0l#L#Qf64gViO*{NV1s{O(K_`^&*HE42Q?Jp8{@dP zNvf;cWQK^ehU*!7U(fjOfRGgsVv*Je^%&BdUZUTP@xX9j&*Y$E9`XqC!>`vf>As#3 z2yx$B&+yINAYgKGDCuu~&wjqVU(ayde-1>RqTla=kmXnH=i?KEykE~ab*AHTfspj& zdM12c&+H5Q%g()w>1K~SKu8*X1%&ud5VA2C!KMjGJ0PUqAS4*LDP?ds2(iBoLfW?= zbeG@sPSfh+!|TU$1Az z2MAe*4noi@Z5@Q<*Y%9bti$)~1|i>@h;$ILF};0)knjdV9@jJ7>tGZvNcxL9VEXk; zIXi{Kxy<#(MIpIGzd}ZUkTJF~%_HZ6x$b8!;>*&VratB&PZV-V@NN`xY&Qz2c4sA z6;Mcz2G)}f%k4kk=OG{WGk)6jJP#dkb&t>c8J~{)Yxgtr)HpMV>1GW4yq{^V_-)MZ z^N_Ci^@f%{s0OOQPw_z09??qFHx#1BHIR<@xSs%dK!(4`F{HA0|M?FTV&Cp(ghEOg zpD0A-0^!u3{ANMl{@xT33N#MOP~3~CT)JqJ~w-_KZm9c=si{fxnX9uU%W9B=)8Cbka->)-wDS1rx@(+`$3zW@Ao zJEPWH$DMUu*=ms!s}Nwn=9(?)vG&Xui!V$BVZ5q*CDroF#;HQ8iVt-WnSC zTDwn3SiAo`QH15_+A^c51d{}3PWAN0VlC5(jz1O5bDgaU4SBihi@FzV?bOw2@=1u6 zlxV1{h=7>A#^c-=1?gGFS-q}yupeyH&egG_|ES_K;qY(?duOg=Hf_eSng?~Ytw*&> zn1sG;M=spPz-D9rz}ipxs&9gtZ5n;J(1$9;MO~%AKg^VDm!B8?{ey>~A5aiR;u8!y zei!yqUmm__KD~`V=G(RPR@8*s7j3|5UdvZc8m;peMa|K#W4hD)cU)VUE9ul*{L!?3 zKB=qAOZ;ES8(!2^VT^~cw>%~w!zXq1si=vV_rFnB%Xg`(liD_zt6})C9Pt-* zRo|gDmFJtf>fuQzNW>H1BX{cR^zud1(;c*l&6}|IY1%4$=^K~ec`qv_?>e9aJ{Exw z!KBSz)YWn;YKA9u_1$Uyi?FxkZ>X#0NnPFRVzyxscAEMGL}hgC!d^1t@YY5$=;CD6 z&8S~7pVZa8bV1GBk%x}9`!WWPnGyxPNWRI3noPS3Xcl6)=gYD68|vy&ZdGp{GD7dv zsjJJAx_S$0@)udJo^qXi{j^2v%+(=mm>R0XDh*#JEpjbLmbrfOKF4l0lC=rI9mmFn z#5MeQ`l1CLk;mY4hKz0=YnTh3G%xCEwyo31-l?mFtC;0+6Em;gw37(coylQCFuYb#?62)#@NY*6@tw%lNt7)DT29s#mS5 zli2c*E>+ELBW5EybnVdy+Ebm#cGCgTsjL2`uC@ntm1awS#bY(HrfE@QNa#2@Rl(l{abtp@y9eLc;)yiaSQWv4(6bYjhT4;Ll zY7gsJAJkROR8F^|imQ^o>eVJ~b7yBrNx4B?t+ZIq}KLT+~(OYG=>2nJ(%o z&U4tgri;4jo$BX)8_koo{h+Q2WR9nH_+<3C@OkLe)p}D`wH>_hSGQ?(I714~V?A4N z-0!Lyt-Q1seTmLo9iXlrOvOK`tLugdhanN#3Tdic39B0|Q|gPlia*S87?n8y^|b;c$I_{*la?*K)H$jr${V^GpNDzs;@)gV4ox+V>#X+V zWX)|l#|49qHo`4Y^9mVL9p0e@dO%XeG9B^mQ3reSqMY#Y5m0($$g5furIS|$6vwd7 zO-pDuLkNBAlfV9(zv$*@Y9aoY z%X9vx?|9CifB45AfBVC~{QOV<^xuB>%RgU;<%ho#W)aqLEfVm&77R($w5$DAJ;ETY zXD7v!-h4<7EH|{&^fa<-p9zD^4cl$L?P}8^n$y4H#5P8WbJ5WD zkH~%9MIO<~>vWXC1JpJn+8H0=Lkn~}492mwuR|U0v8o4sH<*XdDYO*##R{!Ic0U5#pNljXGRW>9~|Ol}l{j%wt#r@hYiB z7kpD)8|rmq6I61wTFsjPI4~?Z-8C$^xUAZ||oaG=!uogO@{^*EyytkBzHWZz8y&ue=|sQf`^lxvD^@Zm_0=+jm0Gbi!JJnjP?; z6PDusFy+M_`C>7zopURIYvsabulloEC#r^d*1N|cO-HGI;-vt_U#)o1=8ADu(Dyt7 z^p3ffA>iZctJ_RHpx@84!)17awO;n|Wifv#fQ`igWS!jYk?otYY?w48Fh@Wx4%3yqgmBGOi}&3fY>s^aaK+wEu8|D9&KzOjg5FD~@u^y`@6|e7IZ)d0b1%4}Pndx#I)LJ( z0WO2ZEcs!Lrn8}qsp=1_%d%RfT4kjz2IffA!F+HH?^GT)wAgsVXB8)(fBOGU;qbYt#e#wrgOrRsq9SQrLONI#)1Oss{ZUmaIoM z_>qiS$dEPKK$ht|aoGAjA|FL8Gdhkq(3o*q8#On^|Jh|4+Z;o$#$I?Us^d^)s6*2! z>-KUP%ohhucHKtM@i`gXnz;yRDjzCiJQ5uw(<#(m5C-XxS;L_vHVE*suM2l|PL*P6 z+Bv7%oMXnKm{VM*i7}?mI!^>N^C46!SibAA=ww#Iyt#d7aZ&LPI#Uk<<^iV@x0o!* z`6TLuVHFmyHNByjzrG|me;md9KYsr0|N9?*_lF;T`_n)F`1{}e!!6JG;je{bN-Mh) zEW_ss5{FRdrZ1}nqTQtGmux)MayzR(rX!K2k9w(x@SMdQ z$pHYhZ>S#K)<(D;&*HPrDt2%Uu=&sr5_-xi2(_mR!K)Wuo^;%-X4aq42^~+phk#M) z5(P86*AKV9Q*_g^>hSjrVY0f+flGF$9&=*vOl6<9pno^{QO8UsVMd@@_-UR-MCcZN z@w*wUQPml9ciO5W*dp2EtgbMC(J#~M)yb%zAcP$oM$bNMT1Y26SuJEva$t=Y>eosf zvc3e08iAFR1EI>J{yn@!mBnK9^tIGgYRqFY!))GhLa)oxE!S8n!|IyRKYCsaEnEv9 z0tTHZtNj;dL2Lci#c!%i=dS&fgr}-1$pv-PJ5G92yI!CU(7N7OXN#Df_%vycvdRdt z4BfHbAhg%F^!imeY1pcgYmz|AP~SY)V5hX}Vu*_|;hPoOkN`&e@H!EEtU6%(WM*SB zv%(r~UQb-_O0Wm4qiQk-0(D-NYd1ON!?9jizh?ldf;piop#^Kgx2j^0)W@~Z7q644 zb=$wd)Y}Zjz+J3(u0hgNT~!*V7033aJj)o z+Ni2kJg%`C0RZYwH(YE0hBA$-9*sy>o`XI`V zwdwSPIzzILjuL|kTV29f_SJA5)v=ELc3iU%85z#NPt)ZQ$AWt#G4(3g37sUf8RJy! z^$Is;Gac#-R|sJDtS8CGKZi5;Zs=8oi3E3Z90HQ2mtr_()^9s5n_=s+;xMG{cjC|$ z2aNm53+x+S9f(u$%NfoMKV~j2!x6P5kiGy;=NXZ9n)3Cd4UK@0;XWr)Y?KfuH88Ch+{a9&Q=llV!{?q57f~Ei?p{n zO^qRn5D<4<7=chj7^%+~sOuYA)%)QrNN5*)5myp=oU6yG{$bYs(yu9c$y|wgRj6~` z)ftagz)c@s6-7PcJ{7Ey2ct5hR_R*{6nxlLvYYmLbeZwX0Y%WOH6mUv(8D2XEuy}S zw`}?~5qX1X(E7ASFSwq;F|8?rspZ^AYh?(&Kx^hsP&-(yDOvGao6%!U$Rr8Dlh7IW zL^=!_m%{3Kxb)UB5vG|-|5AflxyZcj>(%gZb97}8?J9&5dpZlZPlQb#FqRs?N3Y96 zJR>~@`+5%ncJJyp)$Db!%Q{!W-DdiV)T&?90@_F(;7G=S_hY~J9gB`SSAp=WR;F6_ z6x4*R&CmWEuwFa0H`RV?sgE_Erw-yB^f`Hb1%00bvYOyPJcQzsHh2p=CKFl~UB{!f zGdyu||M2ra|M<&4{`jB%;dd9}x&G&0LVTIqgu{$gA)G*ef-t9b>b=d59kJ_< z^*6*pGVd{@bT|(kbFt}w5=v;Z-j{C+(sGp$lcY#2jBs4RPpRcPh3M*Z6| zU$a=_3##E2_m|PB-EL&~1&AAnjZYtlx?6R?pXan}IwyfR{BQ@YP>+9g#}uoMWv6p+ z8}!%Jxxs|pz2Jm^_D(q0l;+TLD}`}N$2b^G9RSu9Nk`KsW}0Jb)%p;ayXd!(q)P+D z5e8mKIW}n&8lN_YMrrNSoYlrC+_LD~sU{+(5|Rl*P5YqggVBF3S_n=MhseP8f;jYS z5f2=-JzK@Dvc^w@LoQT?ep}AQ@tf)u%EQE<_RA+2zm@ya{q{{!m(_HJHxQ0_Ashh~ zc=vgM|A3LWzaY*bh@%oT>+K-Af}83h_Zbg6w+F&0H^Ld75a+&p3Lg-M2CZ%fIiHu` zy$f@5hu-ri!WkYA=eT`S1ab87ZisXA$Uq=Dmrt;dFi+9@O2;R{8NNWA`GPq9nBz1|Ig&C7 z2cy-dcB_pmrVFbePWMewo2>7Ti?~aADui15Z-zO;1L1Vy%P54S0<~ZOmbG-%Gq0{A z9R1u~RaDJt>Hr6)r*h>5a;G?`B5oziHwHAy)M8u3*%^jGR2^Pb!cjj$2*X7fA|io9VQd+GRlIfp4_ScR0mI-pFUZ9Ni8w$<|)m>7f8PDi@Xo zlP34Pds-fMPeO$??r~Vx?FDcwlYs}o*;a-*y=d#vG#pT3TcEw<5&L?s#kJ*DT&)Mj zP=K`M1~`e7BkJLD)TExO=a{Hg98R6bBCwZ}PF#K0jp=5W=h&17KdH5>=2*5vZ?p;6 zbh6dz%{(@p)x=A$7rw@N(iMAe+!=86iKS0PRG`ybA;77eEnU~EwT5f>s8f`HyOg@> zQj4SNAuYt)n4t#lL0s9j>GWALUUmZZ1&||{0yT&MDg!*YoC|1j+qJzP7-z+6c6fjs zZ7NUeHW6{~1Ub2boGsIRtQW{p2`NUaOdAT9H)uSIP6jv~J)=i|V!X{}wZ7(lb3!|6 z!{oO3@={6J+QNhc1cblLqCSgVcwrohxgL|1}H5-8fa^Cc!veQU%5lkV+&ArG6o$e?=-_!{CH{&sFnQES~vp@EnGE<~_AX zCtK|sK^@m|diHnZN?$)fAP3f^KH;fqZ%+CWy|YH1^?454q2dt)atdNTJt-`b&IHIg zR3b+}jt*k-cOM`}zeHb0wNfXE&dEuo2D(2QD!t38giT;CML%cfpaL&nZT`X4_6AhBW8n1?4mp-PInxKpro~(HY!3s6 z0!e*p-@!S?^lPj2iF3khloK8}hj$<-XSEo}^>G25z7#T{x$dJJ&}q9K(c;bLLvoAULD{ zNcvzOfCYD<+slrzqxf5cZs5mV@XSNh{XOUo7J0kx>rL-#Qeg zq0e#zE$XCoIBBP$KU*Oc!!#!rp9FUHgyxxe+fJeC zU?*}ZF06io9d53c$IaC=4!JVT@#6#RaF4_U_%+R$Ot*I;oR;X)eB|Zg_#O*j2i{T` z&`RoQCV|cxtk6dh*cpRYi>BhB`l)SI=XiGIi4)jqfE_JOrbX*iR1;iYhPZa^!|sa8 zeyeH>+m(zA*y+<8Js&lWwvsc`r#WR|3upsU*SuPn$q(v!#^Y3%4jU}`XEHK(98i2W zZ_IQG?09ung*oV^%BAB>xW9uP^25(TuNOMNq(wr2a{$6*EwZG|MeH2Yg9+CzX3%9t z^+6_$kbugBK3@%aTvn6-jB|+efHdGZV1)Scdd{lb+nDQtJ#3y>u0_Y<>DT=@{No1e zveX}Ln6onn^nK($f4JFdd$p`Jf7~HIz5 zoox_&c3Q+xf^Wv}VX5`{Mi3;mpVgUoeW|+SR*87+qnzD2x+p``-k6uB%^)nIT}z*S z!5lrk1jSN#PoT5m0i1_bjs9V@a!sZn88^jlXd9w7iE4(|#<+Mlq>1Ynjmsr~_I%IM zmHo~Sku6w_3cgy}PGJS+a^^M8;tq54hLX^!9#ozEi8|m+n>#0nq*2@FTnDBC4VZ&p zYZCkxWIUl2&lJ67T>?(qI++dqI50Jdvd?B3Q{OwiaS=)Wl0@x_>u|B9c!t-jW?NlK^wOpW~3f^4MPn`6T9KrW^53xIw0BvePN6BMYHp zWfHh}JHVzD27KI3=`1P!HM%Kdmrn2a+x5}SWB_nFhg;yk&W+2dPzSTa`XJr;(E5cp zY&f1@mbczqM4YW(PN7;lfHe4abTy*R<9!}BMmO+=X(|?#bZlJo^xdH*ze}faJOR?U zi#Plh2qZ9TFGMqRNOSRq+neR>MlywqCO(Kb->Q}#PW()6hV*dazXO3%znxOe2GXbp zIP6URrI3C(u0SC3emMoJS08rJvYXZV_tDMx;lxk#qS~PavJpeQ3aX^Yzg!7Vb#pmx z-mq5n@Xi~)i8v(jxpIk?UZa~;ZPV?<-$yqKY4W>D%GOlT>E-aJ^#r;vT{%4pWaAjG z%|n*NiWR>Zui1_7ms92Aa!R#p&_56i4LX`^m zfPm||O55=$hl-0dig9kN)@exw5xIK3iPY3Y0Puxqf|}VL2;}m+i%Xoa;vcAaeS%wI z4ttauLN`rsy)hNMTyIP0k;*#aPco4FO~u)ur5-A!aWFcia~p-JxB(KBB_oDVpc=>1 z0%%$`@6zcW3dAT1JmU`2GlA3q&w~0YCv+yvj{^tRZF;NIH{Vp8FQ&JuHF>YlP`Vxe zkRg<7mmd$&k`N;%AH~dWT-vOD!_2K+)0^GBF^5a1!s2<`1h%x9&#vR%PdqgWhI_Cg z&Wx9V(7XzOpT~zCKdW^VfFm6|)eOwsT*s4dXe`Dfn_ZX)#zNt6ZlYRyFv=P5%;0hG##UwavhXd z!+XevGnsX*+N@>bC!w99#$KOun1aC^Yu1kH=e-k{IhXtaSpM=q{Mmrz*RG#zYdZW~ zv~3?PsYngC{+-ct57Ny#y$n9;<^^3mvt_IHirmto+CS_T2Zxm@O{kkAMpOqd-Q5_d zKoD)Xxr;dTo%%;sXO!3PfWS+{>g;qp4Vc%Gw;WWt_e#2Y+IIGKJM~+plN6>}bf863 z)IBj4=_7%ef!NL`E_U>TFaVS~%v|cU>+qSjV7YjL|5VmHMyRWvsNTLs9oiH=0Vy;a z!X}MtaP3rXk<}IIJ?9I(AbL5xKB!4yx^AAPJxtCmSkKfN2Qr2A6`#g0 zom=x%J^804uFhw{!>|n9U|}`}8f?GXTKjMe8Zkt&RHFs<3v#_#;xhhx(TL$$3BGI} zs;BU7*iSUEKAvMBQ%EZGI^(~_8OEqDHQA?OKf%7Bmmd6ZZe4TDKxVE{@TICq3C_mH zNXETe_GgsvAjQLFZWaV`-bOMJODjEJHL2Dm2J@cbweX7?rR@+Pv2%&tvS0r)SyyTr zi<>s^iy9s;{G!A1!Y{9@Cz6B=S5Jkib&IYuYF;g?ZCYAX3j`^Bt)nwn)UpoJOt$t4 zb4y!Yhe`8?;Ldgfm?x9C4p-K4hY_U*!?uNdPo~ph-Bb&;j1EZFXpzbn{9;Wh!rLwl z>XNm85btqQmWfCv@g*nN_3m$58^8EOHS=MZ%7S@&f?EoH(W5yhyg1aL zz}t_f03TS08$>-)gF?E^s`)3;hN~%7;CAQ$hB2w&P;65{BgQ}m9j&TVN;>CAm=*{+ z7y+hA$gmAtaIqVRN1c~yDbPSoBOY|=8pv#0c72?yqn&0`{WQiV!CgU+Q%q~eFRFU# z(YbG&{b0&5GVBo+p(7P0bq?2erYU>E*a_;ZLW}OTX4WcDh z$hkI-rRv?3s?WEtJJ)vj_w7f0!WVYMZ9}&~sZo`mD=DF~!N#k@mlgcRSa|oiD*#`{ z+OU&>d>Ah#u`f$mak=kfm?rpg3`e0XU#EkijxXi4<>HvmQ?1?taRwGv zHgj1oCNV~aQ=WPA-Rd9;sc4<)h$>LawOB6$m`$)l#qrqZmMY~QsBu_0@B29E+pI(A z-u>hwBU3B~a~O5Z2tf3+^tbiTsKG*(nR^STx28=PcKs497M-$OIt^On9^OaItW!o0 zsw3*YRVUd(p0Pp5L72D_(wm$Xh)|b01by`>2nf@g3}77{pgJ0lFx9kLEIwAW67cy{ zlk|Z1d7L6OvW*d+yh8Ye);YS++p?dU8pc?JjPM5V&;jw8RPATpuBu?)G0b27=1;SR zzc+OGdtsN1?VA&f*4da&HL3QDLZ!RY>))`L!kq4cEGv^isJD2z3G(LC68g61b4Z6u zIF}zyU#wubw;Kk}ry!5EhF=7Nn>J%o)zum=>i?pS2&{sb1`4krP9C;3ENTP_<{C^} zv`fKkzCt}xCtnVy@}(Ma2zGGfc?>LFLq7=mCa%)gq2emoAo>wKcbmi*rY-d`Z4t-< zuY|@dTXmNK?B!Dj)Wo}Q9wx2aj#qM@wiI_8)m^5!YnORJ?u=Y9s~4^_anV-)aM4l0 zm&2!ScvES2K61w^<(jtG3$M8C#w)&GXHM6&g@{$vi~X>R%5@Xo!53I~1q<~@z)&YA z2lEOT^3Q2YWKP+=pF-SA_sk7L=K>SKcA4fv@y9BI8#ukrw`mKM;pem^e55azX=oqQ zmU>NFR+tzMj~L#-=d>l6{F%N?GT$?P`I0@&{lZyiMva_CF$#MfUo2wYrY#Ix%rYEN z&+~Ynwh%CsRHaMe&RF0nsS~#`vLGTBhdp4ZPg{P z5(y3W6P*admVtSzck}Y_sne;p;##(#d7GKGly{x>H`5lbkIXUDs)Jeo$sV|*ZGJ`} zzT<)J-QLX*F0|siP8&N-r0S8`Oe8ZSSz)v|EZ%e41Y&<3f>dZcD?WAGbJ`+s&@L+Q zyG~nMbHTq<|2NnCpq^{mq8}fYsD+uyw(r8Hdd1|k1T zYsj?aieMg7J!5|9w2up{pq@GTi`_9-H6As7zJ@IWjslluZX-OZPg~-NROKOjdW&Gj zBF6M-3nf^^q-S9!ryt|$ccv{~NFHcg+GTt(m#I_)|OthimENhou!oeWIm6N0X$#aFdh;=#0~ zR+h`cq|KYzl3Ri>zrRE^k_F+@Qug7Vw$y9da>S-ya%!ZknTln}*A zj^vC+l1r{5=;IAq`>_u{4lZ=DP_`^tsFXT=CqaMifiBNnBOuzj*4pCO_vWNha|-SF zVMOmvT9a*h>WlV$+-c>2E01fV^qRI*?COxFdlhJF1f*`c_IgcQ)Nq97w8dT17C4%> zk-r+gKyTHjEdjU^J2{3!`Lu0NKaCPwTYK?wy4W$Jpub8W`XOuq^^XPa5CW^J^=Zot z0Kid>@1{)~1P`;VK>)m@335z_D{FVE@M?;5Tup7a=bCDl847ah-?^%`Nbk~+c#Iv| z(8)1SvUaX9kif5Ng8z$&bgs!~^_wLIqoYdi49#(6oPgTOGaaX>M{pejK36(o$z5=i zu~$0{L`(Hi>tg!Ubz$TZPnN%W(c`o|3;xi05g-eMnyq%Z5KHQaMYV4tnG0HpYI0%l zM3Ws3j#UqLm2AR1t|NSQxS3APN;9M2D!NZ^nEAegmB0AgKP}Y$V*{7J>K-%dt(|Hu zVZD0%t}Uy<-;TRr^s=f$gv&CMxWLQitMTnGkp zal`VkFg@R`N-(&vX<5~29`Icrbv)>Q?SV^7;aY}j3|g?^FA2J>aJF+cU%>H(U0>9t!rP^r$)^&Wcdk87d;*lgu8w^qH zy6k2A6S$ZaLgwHy46||oZZF`{sr>+#J(JnfO^f)JA;)NuThIE!s?=Q<+OLVqHeAVw z`RQ#Jp)m+116;=Z9J8Qdx+=%RUMBDTn#g;mECXW}cD%|8zy)zE?up&we79!NL&2t~ zUlWb~`+Dts>9x7)(T)g6W~f%%+{OdYqq9Fw<>I|CWm$uMy;grFb3Cc5* z4r7;QVLC8aDk$uG{5oXL_^gId%0LfuY)=0q)iye zqP2m9hN=z3fdxy|h=W1^8IzYM`{J z^9_)-S9S3!FJ|hkc;*wcRhPL0LF_@>EL)TP8S6}bksx|I*AeFR0l`x+b)~&-soxTf zI-_(LOiRLuf~1#D2p{5v0fgfQz26dPi5~(UI&4*UZ6UMZfRZ!e{hCLhZ4$Ke$XRUO zg_*X|I=E$emY9IIsx_W<;(cJ2zx>lGr9XyQe$&YnZpG zD?$_{QNF|~psElkU=f6T#yyzI4YOXB1lhrjnK zAhTs=%ht@^3s`Fl2JS5yD(PVRycj%GtZsO+W=?H!ecFp~$G)A=sfGWPiWJ3CzZ8z+ zp8arj)?1nNSxi7dnE0tXz9L{5u!3IkS%m^k&*j|pQZN1P!Ajl7Gw%br#8q|Mm7742 z?ms`tUJ9DZt{#?_>XFq>s+U*Va>ZOpSFHDSDU7E|Y^xOev;gL@o8;}P6osSBXt@-S3j%Aws77du zu3NNWStySCYd0y4mP^3X`DFBxRRT7FFBE9k`OH7*xlA`{m-!s~LFo%=cUG`GP>C4= zA^kRW?$~dYQL_|c%h*ktK24q5P1*&zZ2C(Ebh-Dhs{y(Y8}w!G<)nG^To&b`!H6D#8YbM*BWQH!Id3X^X=n%<1turRuD*kYM=~n-N%w z*Sa<{uYN4L)LU$(`bmkAPHMWi%ViLObqgx}61t6TX{O=oa2h=z)Gp~%MLI^Dp8C+o zo8rRwxfs2ixvVq&7c_E^$MgQk$D#tW0bZW&0NF*#4P62ju#2bNs7DOvQb#5{d=nqO z&S)eEe1SRW`3WXqidl_P!%_rc+n~72CLzO8>y#sH7mHw)Kt_8sU1pS6P0pjNR6Qr4 zr_?aO3$)0@J!wv`+Rmy$Vw;3n{m|vTeVsd;NWl>JOvj#-ybY_&%J)MYs;uT}46 z7}P1_C#4!>d{n9`LS8%u8nReQM*%hHP>P==DdndUhOe{E(lFIdA14j(n7qa&Q?I9A6s3SpqN}9nR2{$P(rNjSVEr9C2S1>#Q(~&Qu&bGgi+u zmx~^p!A4q$N)N@L6traFe~fZC#p`8hg~4Ij`XHGU0kM9ncxTvOa1Bt{?b`*zt}%qn z$DwzvU(~gLHIEE2BCkR(2yYZc7xtZy4ST{Doz9K@3%=N6>-u0wI>dO}gQ?ryW`;}e z*hgA)IN7He%T(Q*?+0lTR3 zUbd(>6zv=m_(i{z*`Ttz@p|iQXY`;SqF>u4)oG`KO;Dx{CA3A!P-O}TM(?F8b1nrI zj?SzTf;s^N8;$3jluwjVqh1+$2P|DP^Ii(3O$XG(g^9|cBzG7g`40&55C8a!U;dR4 z<~P6k*B}4O?>^^5v|Iwix{85Miu>;7Om%!+3?DtDPpe)f*YtB9hn{n*-gMk=#sTt zTef&JU6dAur!upUU9TbA5Eg5kRbJMy5Rx120ulEAnu1}t|KTpcEi4#;Rr^sR*56P zVK?N$(qqNC9m{CIWS$_ zZ?|CHdh>M46UIzqbY$P7qy4A)zQg?4wtDk)JMIFILOv%))9UUO>-MSd`&BS6rJ*8o z!P8}@HH_ipm(kHwJ}r)LE-Bq5CYhf*)_IJM^p`gsZQQL;jNS!ff{%rLvFX9o-R~9* zWRNfJ0@$n44Wk2N%uMj01LM&SQGgpAnA+EATMP=n*7%tg473X>DMd*-Fj)8Kub%hB zB2=%6`vH;P564B1j?DCE!qk_Lj9CQT5P=n9I$8#ODa0vtMpe~Tkq#!z=)o9r?8X{# zCq)NToeNX*1egrNR$((qkT1^$PQ`3AiKNaG z0=R)p8%i>JIZns61-f|{E}9|Ewxpr*i%~n9#7twhF+J)h6zm>!QR|oKQC1;;dD<|` zytb1eCqPE%UC06;vm=|wCUjhxRFP4wCI&6*-(zPlC*2@W{Qkh!@{&Zp1 z=1z9<^?Y0m$J);o9d_sLE=*|o=IX}2g<7U<)!DvXj`YxM;Dqc~Y%2kB_Zeqvq@eYL z(Vz*1XhDgEhJqi;Xi24HA8nXwQ9*RVg?%g^J^TggSm++pqtYlMm)!iNN)R%PFyBd6 zXjb-_6g#>e&5j?13hVvq7cEL58B_Kl_T8hwGR3RF>UD?%hZgk=8^Iu92xi|g&9UGX0ToKrj$H|f*z)e=5%9zq&Q;-S+`T5gZp#^ch*03Ad|fy$T|E3 zgpM!2sc1PkMbi+@+w_zW(5B7Dv)@QJVn$arrRm z8=EL7RNNrD>eq|# zg5P3CW^y@%g-VC5+jLSvluZwIRoFsi8ksta{+Z&@CXBG?6f_17=3+U(3h==Yej}Nm z|NTFXCF=cW|N8si{oz+X{`P18Y5n03KfLS*2slE-0+BsWaBX0(h-Ow${VpD4x7`|Uz-A;K6rz;;QC z85QgUr6^!6mMex3jHU8ZqQ->)As8E;W1{^b1mhpFzV^9I)co6pV0B0{Y^bGI7arS0 z(bY@gJLHF~ucDw5Fmj9zoww(LG^=|rKJMhc5M06+KCY?~WV%(Q+0+!~*GBh!Q%L%1 z#JHLXl+r#3E>Ax0Tk9^rTnJV~!$MinOvi;NKsN7Af><9xEHJz3Qn(c$5y#9O1DcIh!kImk+l8?}#yxs}%!#HQMod_AZ*-UtRWW*qy0qrH z$+&tJSGrC&_$~Rvs46{c7qr7pncqA+~aeb z2n)h`=rS-Unhk>@zPV1@b53+yLO=K|Y_jPb4}MFlDmXzX^};+@FMEQpEco4PR(McB ze+bh>*tns@kwfSGRCS@c#p1)**8&??2q*&7RE8v@@G^l=dKYF^<#3qeVn)ZDsIqRk zoB5s-Ra_4bRedbxlL=TvWfO-ztab|fGqH}?px_AIo(RQ1=0vb@7fe^SWoYsmg|&^5 zvVy&9dQO5(`udX&ov;K)_ab)@LO3|^w!2qRuN0A?ieT?^E*lDl#MS{&1R^}MubTD3 zvAn8fV;R)Bjn51jeV8C93Fr(SN5Af4Yv^qLW1o){K6gnfne zB1JuB1U~v^kHus0vE184EJY*Nr;8%kd+27TW?Vcv+QCY9eb7vh4Z(9uxld?E2OERq z$a^*Myi~#qc`>yL7(nW{;+&vYR~A5`P1Cv1H09m5Bv6jyF5R;5E*J)Q0#&SA$$wnwt>Bsg`!2^PMGdg>P6?I z6zI0GZf5Oy8gA_f(_xE&Iga%VMHrVHObNyWPgyilJ?lpt%5WB|R$X3Igvx5eGV={b z^kP)7tb@mCa!>IXwP?6ZdiX-?u&L6A|M;+l_R4{_U~#HXxApRbBLm00mYXvg&riB- zf58!@WZjZNN3hh5?W;DW!HdC%@h~d#(3#GUrU@pUH*4k5H1R8}6v|9_K{soqjoD9i>&q1Bsn;SnVji+|ukD~oXW|7%?xx9(%Rt`CtdvlIBZp$-HAX*Q zly^tVM5#$hDoiz{wtOrHDNdwI;VuX;Z;XC$a4$ob4wCA&d6!q9<_nGNxtd8xtH0rh zLgce&;@`_bySd@WS|4=Vh2>^e$P8#Cu4!84+eYt(BkRF86wVcXO-MUjEMdRlNPW|7 z*H2eyVI_gq4S8i1%(_LWwjO0jx23%;G>u(J<3GEg-Uv66Gq$G5xYPmXU;K#;wxWcx*;YfPz20eTR{1I(1~F-pf~W`wjR#R=d@eMr;c z+M{QS%Dv9F(1<~ChCSps>E^9;Ct0}aLrHJNqk6AL)5*IA9C64ktPVw9{oUJaDKv&F zG(BRryQXV!@vwmfj`VFF1Ot6ZMc5;Z^rYOovCYXwbybRW-a1u-p^2!ZJ9LpBSv?zO7ThBbmD<-YBO=rCp z&twCCq>FODKlg(M;Xd!#oTpC`*dU$yRE>_KDU+ip;ZV0W5&9$2ftq1YS8XZ_8Qa`B@ab{ zj(;UOfrU+%GF^xstcaF0C0L+Ii36HIVA*wN^p_rCS&&rLQ)9zJQEj+i>i}mD|$9kEMdl*jd_YYTm_y@CX?8#qZz#ihAYdia(K^_=uQg6K-13 zz9ux8a&3CE+q#}hIU(gyWBN(yc4goGNP2jqi^8f4o&f|~!Iphll~i<*Xd77t<3KAC z(ZQ8@zUqWk@X%bg-CIJadY5Y+TSE1@C1h?rUE!zAwBxD>ha;fpQ4~RdSLnDe*Rr!R&9W2w1) zS~=FAS~=#Do#FC5xVFzFA@eE8a_L9XM4?!*aOumcB>5dlmc9=$-8{&c(`^{gzBEzL z1*+?;H;Sktdr*SA2t6Z)8_dHmz<=;nUQ0rKOnTl{j)a4cB_WF0+VFQ9R*ozcrn+1g z^2-=Yw}O7pIn;Ea>+2#3hdSf@f;$I;p80K#WPmMoxH&$3q*v%Ff-N0M$_hhwFc^$M zWllg{Pi18wEKC7m<+0Q}G@|up4QoR4j?QIrei%#ZMKH^mGd9l!0T02nFLYb;a15aR z_y#Wf0Fpp$zdh+;CsAiam`=#2WE@*iI;$a9ROVL5wl``K#yi(CbgMty9L?;cHh`Y z`$1P3UXBKDlid%lE#hM91Znx{p+vb?+;>to2H+`X_PR0IJgH@qAb0gQnR_3(1c zgKMjkm)P*tN6{&pEnXY@!^?5Ma^IusR|Hw=gqNctfQ>7XbrsMHomt1l>KdrjERaiQ zB<3OuqW$URnBj?VRH?}EU`AWZsW?V7v%^;rnq!Zsm9FZj&*AoRoH1fz@slrKui@o* zb(&H0G6}dYs)dydHi$n5?vo1@-}lEqH?H2?+L*`T5fCyDfFNp zvzmZ-?!oHweBfsw)S;By`e|?JTt%IBy1s0A3#Ck3h;4sq=Z=Kciekc+yW`&JZdhfH zdUsDe;xcOzwAEc_s(9()2mhWYs$5TJR;8;WB3Pp05pgc8 z9F=@$WSN;BuSzD$w>dX-A@wQ|fL7?k)>6+^AJEa~bn%D^Lgl0j6;uc5+4u?Z++9&t zpHSz0pH;sa)yP_$ZsnqE`?G zzsaM_<_gu+6_hC|TI8M86Jb+>;m{UNMMO5Fgr60SHvPD)08^&eoKDX{?@d4sC&{*! zAi%7^zM}_n?s?UPzU-NmVRUs?Vf1rZHvcdNP?_Q;S1dh|3}GBS8-boyRUimEt@DMp zEivYzZ6J)i&O55PjxppzVQy#6G%0&7vmzsU42m)ei&*3~Wd_}Az!3sLa2-&tQBhi6 zXl5wP8KZEZ9HHB(M1ZtDV_mbdS!<3}A&AxY;v+)ri&rA)*W2rH;2AR{=CG#DlR4m_ z_m4Kr;I1gW+nmFLXBeVBrczH~n>x({&vdM*`@@=gf*L(vxPi7W_5eq^6mZx%GF%h; zq^=0ktGKcmS5B6m_l{QW1pb+CZ|Vo2asK5^{b<9~2LpF_J>qn5gJ3?_Nl#-< zA=OzQa53MSJ+}HmT|qVXiD&SNVd?p{rf!qa=MeGf)}{Pi2c&!Ld4D?~l}`sGXiEz2 z@S&2~3;`wRCnucdysCFq5HN;rkvRcTeGu#c+ErguA(c^R2djddus}K<{s2aJ$moBN z;>I5Ukro}NBbxbAI#`>ITfG%q$;3gC#wxv==%$kAC8FO1no-gxczxY@evxm-Ms`DV zmoADyBzzl^xhYS{rl&V`e0ftFlmh`Xh31%khjm_oSUJM6*O-$Lp2X2*NahNZPfsv| z72>Thx1z)O+IenpMHeJ;aC2vhV)nU1Xe|aQ*L7?0JSL$Thbbh6f@tQhUe9KrnG0ok zyj~PCl@{Hs4;l|0t%A+kf?)2UpnyEfG41n!Gv+~Bc_`-gLJg!`&>hr0}4kLMW9nAlllK zDox~Whh%P8*?ESSr@+vZ%aqf>Gbo5v)!lmI2bi8!)(jx3Dhqc(3pd^V03BJX{aTl6 zX7fPtPiY92CSFFu5(j0Bct)Gy3;1KylU#dW91hOG)NBOX*{G2;sAUBOyRbrO`>8)VuBaK!c-Q z;K;EScg=>D$G%~nj>e^aGF7`yF?jTx>pdQ7D$Nc$#$#!S4!43MJX+Kt6pU5&37hGh zrwKW%PA&Bq4IQ6O)!^|6@jBc2x%vEXd@O9cwTDkNU#eO}hxC-6LM{3TprtfML(05R zS7vCqDySeZxRXsiv^RTtV4JBc(CFG`m9scHxzled7lKaB7!6^HS)9I{!cZH`6&+EO zwpI+1v9Mg9)<-K-BRor#5-#u9ZrABya!I2TzSFIjTXiOdI?pI@Q*tcaew=}H19klN zA(-3m=Dc99$zEZv>yE9M$>Ll+uD}ix`iz_Y(+tPQvga_Uzj2*Z2QIrgp*JWEZAx=l z$q$`hrQ)lYbd=E7P{YcG--)MSJkCR3jL_`1Z79x75LlLaElNGbsU+3cReIke=?`hw zs_(-KbU6HMxC0D>dZBcssq4@;YGp?b_H9{0EjY1%J{=WqU6#IyhIkNPjm zZ~prO_8{NFSd>N+ZXMaf|919XzSu9PYNIJd;4=G~D?w&Pc@Cf>)W51^c5Ff~;jpx- z^b{1=1j7ZeeK;Bm2ku17KkY5UvQu_g5;lT*ly%k%ZG}E;h24vI6k6tIR{RnCBzMk3 z$z>nPbDqmjLT|b{2A?hBp23s8AE^iB%L}9Uoy)td=$`93_l^${eR+V*V<-9{J_k8X z->yV9GFN@)oOKz5{H78IuxXfS1*3rHpJbOLV53-?^DRE7ldi%?w>Mx;>GYmF;&X{@ z)W*Qq!yOV-Zu`e{Nw@~T>im$-y(zgtu*N++te5>3pMxxQPUj(9qrXx$7p_O?Le5^e zCLz9`^j(kZDdzG)$$g2>F;j9epzdePXvumU-}(@?LjHh0jbHY$o;^&EfsP+L(PO&w z6rY<0CHJfNoc&gOuH52tcJyg_TNwPkVT~ewn$l}2`ayPaZ}B<$H02>aXO#c~3z}@V z-ms>;Va@cIFL@NbVa26pzuEyPt?757J(qMm_05tpQDC~XOHF`DEb{L+kz!&VnI&bT2vs>^by!JtO@#~T|w1Wcbx)1fa{n4!1?%krv-`yHj zG>n+m_ioXHl6%!hv&IU$eKu>-Q_uzZTphpqp+1MU2R=>@c%!7bF-#rmbBa;aC`OptB>?r@D?Y_@Lx=J=*7DwAbTNAgXOb ze{OVZ*0A+Xw+5GX9sk%doY^re&scF{G<@kwo zvX0($>({Faz+XsRHExq?k^3xV8^)sv2~uXU-qlYRRPE8X1%DyU)D;$OHW7dvs|j>9q|C&^qOzd z3%#A((oh<(s*d=vI|h=O9-6+io|tYxEQU7}GCy(9ay{6l%|pxz-Lnk^oqg6JHjEe; zGS(yNPAwZMbaUTy2al!ck#%8_6Vlo63wqZI;}BBaON34z1uG?0hwMvvrB9dXcdFu1+Pvy?stk`q;rUWTP(l;> z%NVbRK726o{Pe?`{wm+#n7=$;`aX{N`=RK<_M1X?#?B_=Sj}Q#rTV}DfJIxu@@rE~ zrw^1qHj6A~_q*9bo}jxOJC9FbM!}4gSDZ_{<@JZ4dSTxJq+R_Tn8&3iPzt6;p`j{} z;*K!+?u`Cmg|rJTDC7XQ$X$flaL(9R#kk>|?h$vX;i8?cvR9{EXA7~$Els-Li|T5c zg8NKe3m0l(7^?rYWhWa0WKPenHlle(11V|8M>O#mWv@HJsCr8gaiiZ1u< zo;Te<=2#BFuC%csfw)W7r#k|fB{+Q={RN6^nXBHfuLCE5w*qX-Dxr>0aC^O25?P-E zCx(`|p-chR?%swp^=)h9vwIGlZdE#5c^?BOSiAnqz$roiVFMUZY#qdYJj7jSw{I;B zAk(4A=p5)u97T`Uf7lv*cmTxd3u{-O`6mOXItEU444i_!+D`{g!I+8KY_N9S1IR3o z-Jv_J|775FVc(@(MW5XI^U}wtoFgUo zwWTMHVdCW99su{isf>YB9Rnvp2tRNPzs}zL%L8EE7OQIh*z6kpCE&iWT2zMLl5h+? z7}jPOF2k~GooQ2jkz~wEldj#{(Z|Pj^u|VsP>sIvKFdj!Et?!nd)1`y88$TsOCH zvoK))t5OU3m^e5xNYd?W{@?n!`(roSySPjtAy=b+JtGG-w9PKx=EzEszFudULx{Y6 z3et^Xlj0wHkb{`b%6DO782o~5H_amQJZ3?IAydaL*tZOs$FOOhku2pDgI}zWG=RXp zhE4ZMk-mo`UpK6b{j%YnIot3YHVt7HrB*m=U}8u~1HaV$yabAmMreX{STTDPIIl~_ z_Gwi@5dd|b;4((egCXPV#I#ADMD-%>j;ag1@XL%yE5jyXQYGkM!Vq?Wuz}s6UmdJa z&wgb9aD~Zn4+ddZpMhc1Wh2X$Md>Cq1=w`k&0a${D(v&E{=XX30}my02uu5v6GE|U zPh4&EC{Tz)d#2PCT?%+DXp5MOqkOY<74;P>JhhD=Z&SF}r}07<7hV?|rl2Gk0U{kD zgp|UNqH9m1#t&JSYZHzR*JIc;Jv%aV@wZgdYuKa%XffE+%{kW790&)@x}C@HqF#k; zPNuMo%bc5rgONb0A7<2VqeevlYuUNf@f%9WD+>Hu+sNG&6h8ijM61*H3E47z*M#`o zoZ1+8u}c&$(_uy`Zym^eUjp{nuV03!y)ZH-rH);qfP}7I4Zh+Bu9*50#peNC1$75m zcOR~dXl&__o1l^;cM1sH*t$W*R#nl|DBfIRF;~b1PHJ2d_^H?H)H!E7t{-=00^06} zYdH5ic*-f}j~y|^P5|2YG3@m=7loZyBdqFSInM#LzjW#}^{XoJsixdh(QnS&G7mb@ z_X!gt-O-P_SC7#m{ASklcmLrZ|K_hmEZaATWq3|6C|%EbU;3dsXW8owTk2PM&Nd^T z`^BsXE&kmCEYOc$S->pQX=x;e62s#Cro4ayK*tF{NTnb`$2~Eo_oS<&Ckp#i-wF9# zyeyE<-Gtg0{9yRBwRq%uP`aJEokN7q`aX7`F=SxjV4pJ?aiu-6TGlN$$XR=x<}lWa zDB71r;dkxbLyUPWLEmOYZ8?o9)zaxT+me#++}UVwaVR`JXDTK~#j6!COi+7H0P+0)%{;715hHiVy=bF3Q()*YtJ>+wr+eP?@;Y)hZlrchJ z*Hh~sK5s(C=_hEVJ>_$U44scpvm&(qKjd>6CdJ2kk?M21Dbj7r);E2cANrhn1Cm=#rnSrL3*K{HcV z6f2j)8Xa<92TR6u=k`n0Nbxhg8wg)ZG2CJ1Om)%|H@Db7WrbzKAJ{?NcacugC7=!s zS0DBGFe~!nf=}%!-79yDfLC zp>7lIitFKKI5NV_ik=G+uuuW?4Ro2Zf9w7CSFZ&=@6aFcfo2dB#=F;oSY8|3Tcy4Q zblv)4S5&bp!oA+yQ>3jaa*PFN`iE;f+eMQtP=`ZV)tO(0fUXi>9O@OSN`gbnf5&-r z)}g1>Vm9VBg6Y%YaltvRg@tpnm0R9gbROS|P{Azoj()CSstz#{9=dGVLKs=HFv|qp z=@JrU!RT0){Xj{MxoboLdu7$D>dR1k_+eSTVr++ilELGPoeZU!sp-TmrNW$2O10<& z=_&0WD%hgYROzYg%qheSjL>urk8S1(7-ir=8 zXsykSb^l?+lvyxBPHHSDpuKGS^4UrGyMOrmzidf#B}tC>fnRC%m!I zF%FRI^tdABG5#fj;Y!|!v#?DOa1$wj>lFC{h&$X8qBuGNv0#o*0WpaR!(SdE9+yT&To5Trwvtgt}a1a>NcC z7wMoZXb~_9x?L3U$|!Mr024YG`-ad0aAOwOD4lLUl2?;Uvr~YIU-zCF1wnK!Iw6;U ziT)7kEJ?s5zZovuL)X8ZQyiN{*g&Q|4qg9z0}}=Jp|a02BYF?ApCdAL=aatBWFwM*VhCycdlypxA`j zzcO4<=5*78;_~t#GOtCW-L^3kBDx zAu@+1k^TptfP67N^3KB9_0y_bJZy;KXA5QM%HO<&yPYz|$fKm~?bbD<=an9c2EDM6w`$J-GY(6i~&1d)Sp&-r@usebDwM#?*~d!!$vVD1~^X}#cI+AGASQ@6omZ)Dmb)JhO)o? za3N|R$V7J#0_>$fCS9b8H$3;p*$N z`1~gA!lhH-tW8K!Xkge74Q)xfZ7LG)O`~03wX#LDlxs9-b$!`18pwp**n(-;4l>zK zy;EGp=g`c#Pw9YGsgJ1~bFp5m3V2iK z-koE8gZE%0qvw8JVktJ$Qdg zS6kemN%S7rfUEKk9WwttJ)Gw zwg@Y(P3S}M4@m^4l0>EGi_F_l!%+nyyrI(~G~;P1XXLPu3hC^o>kIjM>KemzYu8qV zX#_3VP*=zjP~BC8EJLTEpXr$S!4B(rU9iAlg1&H6Dl#g`S^p~?nAvkspqTc-=2e1; zF(yvZFr!w{REK{`I(54gd_z)ZF|*K3M%$$?Fy!H;DXj|vOiv;&^}*5&_EgA>_O%>2 zZz{BN8J?_d(b-<@EkLxU;o9I?JTrYx+l1buawuARJYVYHm1eze7Hir#6Y967;a-GW zSc568qkDZB6HQlsxEJB~M_bto#|Y)r2g(^3#4T%i@OWz~yF2iiE_LgI9e|vDI@~=c zDv!k^Gb^XlvVubK+AMFIf87?rpG$}{nUk{BakEb(}xD#KI~>`zv#qQ$Q5;<93_CK+u|4#l`$sLPy8Gcl?lR& zvOJ!B9*+|}Q6i)MlQKm}740DiV#&>DI0U6!HlQeSf-q{3?VLz4aKd@0@UdEaNY zsa47f@*U@a1c?fSL$SIoG$Rl-{kl#aKbgF3Im2+PF*UDVxfypU$6ivyxJz+fFKiGY zj)o@aqy3@}k)2Wy{0|m60{j>SPJxPwG3caknumS?663dy?qg6i*e>0^b#$BXV`eRB zsVQLZ1!LMN<##lUH{rN&tRaGMD$B)-l3;}Ca_moO7uvLrb#t9zJM&m-RmyJEF|oIa z)D%9a5FKIv=g*Fss@8(NZDSy(8;H4{Z4WsBuk*w(_o{$Xn@f}{U zeLx(DyeKs-!-OOWsX(zAMBcK@A!npP*`?Oko)%VsFq%>gvX+=(S_tDDGjCI2qC3Q0 z(5q-y8g}9hJ90rLb446Ybg7SPqNxDL@z9NG&I9Ba=2`d|GRRX>W+xM6@gvgc4sdsl z!G()~p@HesJnQ%`jOg@}iVzmQ^U0gIUS5e1&Ej?JSgq`_Z25{LTmk5MnCn=PO4PZW zs$lByBs%xZ!D+0rgTNdv00vRq14Fs4{5>PemS-UW6%KeIg+eJVh&9n2xdgmaC^^-C zI%7-5bIPg?#e%ah`y3#h2u!MiK7!9sOgs5sM?$H677CwGU`|Ul0`Dr?b_%OQVZuMb z&Uqhz*IiMSUO_ zGyd1cpfkey7@#ra#^(hoJD>ID%z5eK3fgr=*3ntj2~}a3mCIMOP3pzM zzp{8bir(CMok_v2>^4uhZYjtz8rb8?Bbl!fu7$l9h4w{JnZ1FUy{n53z>HPKo-C_nL5EdE?xI{)3wi?$QDIH5!nr;Jw2GARB4kJg5` zwQ!qY&e|0I+_{CJk)a~+-ef|KaBMQ6gCWf0bDpqlqB#odqhl3h1Db=3UInaGM=8CI zO(wl*{lUj3Q@i)F_kJ%j4H^2x%$aR+w{@&FHysayA+W%H9FizygpT(nlSfvJO(tcX zvB)&BQI4$}dmQx`A`r_U`XaQoAVSA-PX8dv5aq&SlPTWzjb^%xtKV!gJrR&DT(n3z z?AQ35_a;+DY|0M+1mm{|0I^>+HklOgme(eeN`s}1O(sFKhrAu-kBU`Qgh?3pp(g_# z9n=&$AJ0uDrQPQyll`*E6tC;+CR4pPnH)0lo9dkPnnJ(z?LIe|P@n(sZ;T)yliOzR zO(xd9+`Y-vq1)g_o|@bIU$MxPzb-Nr7MXPP*s@}=7>zLf;Kw2p+YXOKCgeH((jwDy zkEu@z7%Vc?Zx)#phz_Cf<+;dYzAiGUL{u=;8`oDP@=eRL!O}EHVwfy9Gmp5AVindhui^VPA_(ZHVR$ z%fZz@7ny{c`Y@Jec(a6Ifi&>XhBh)p8FX3K=OU9(or*k9&*ibmggmD!{_SDnowlaGo#wNcK)Wm`KY#OTtM8EreAk?FC> zbg{@33bGSJ+fJugsE>h)Zq-<1@+>mdYl|#0r9Rx9^~(kcW(A6iip@X0k7@c7 zi%fLPyih0FWiR-H_MN-3F!lUGKldKfbT2X)gD~9Dk67Nlo?C-=M*Q#;hR?d~ZM&?l zjuOM|C(w;Mjqe5OrXE5Wi%g2??zWtcN@N8M(z4=`Sp3QgI}-CkKlx&j2?yV6@3U96 zszcN5XWq1o#kUJW{K{xCM41q;V*EHZ`ebPiiwaL_iUN2i9dezXq^)`_N zY2lg_;;!5Z<^uEBWV+_W;Iq6pnOM=j{A-h`VN1;>)9`ezsx8@Mx>vLVn@r^<06)wH zlA>x--ei+WH4nWTrDE2sYS$S?lk%WRi?80)kx#e%yPHhyZtMuxu%Z-%_`kEslwX@n z!70x=Hkm@We0**)HKqKw;>?Rz^V3ZxAEu;qy+1dZ7+=-l&vtJz4aJ$!*trMh{eQ+L zld$RK>Q6SA@D`YUBHNq4v&ppUTw3;!VV&jer@qu*HFE)=`+-?RXbQHh)qI z`aZgC@EX=Xy~(uvHJeQ4%O(?L)@zf=tGWyK_)0l@Q~U{tfrcw8oL(25!S^Op{q81H zdu=jRJ49rBEcYhUC|ljPn@k&Rd!O{NP)n$g>7 z-haEvRM}18HYmigtD%dI#0lKoLl_?`m-OJ)u&-Gu=C8iJH<@(#80#p(sYc)Cgzk3|8pocx^J}ZZ0$!`_&$sOz^wlKet2JqaS&Npt+BlXP66o-DG05 ztUfoH>X={&Pq%xMsqtu0BYSKzMVyvjn@ncLvSgS?9IVugO(xaX%4gqhGVS*!Q+;eQ zb$vZHnN$yMLQ7T^!8e;sLgePP$%H#Js3hfm5Q5EPlPLi=b+fagAKZ0);j5*mKlP-K zk@aA*;v(9$Cu%wzo2$2R7 zOnQ6EaW66{omth#$5IubCp53qehKji*IZ6Gq_;1NOt-l}rW+Ro?3S@PtWb9;x;+<} z&Pn;Z;fFy2z-iC#!*4HlY$yQaR_Go+_WsQ()6akTcfa^6k&OTCzy0c;{_wC#`sHwW z#4>fY3f=Z%>RYcmD+#zH+*mHREL$kC#ZUf?;-=Hx7JP$7l8^xj!DyTt5FrY?Sj!*Q%|SYyY7nj%0?~E%w5X43fCf+y7$`| zY1ZGQ+KBn7_id@pVQrvWHNlP)~Qi|b3|H}Vf&fyfMNYSt~V%TT2e2qgHv9Ey9os7$gl1#a3Web?(+ z&8sPs&BGaK$mKEV?L*|(&F`sHXjoIXTJGf~J@HAEkZzONP&51V96;oEtYMp(CwW^_ z=chALY-}$u^R27^-wg!AzyMdn)xXcoY&CJsC7A-*R~qr*vEP z50PJ_HQK`&>7Gihm$K&ft*IY9nQ8fnHMIx4-10Jdu4#?JCvay<@84jT(UU=-!Auw< z0Z#*E%F~(}qs9=0z4Zk6CiAeSX7@s|Niap9WF0QJY*fI!nLhj-Jw_O#Df7fG7$e=- zrIPF7%X7e+Ylykx4c#%)JPn=$kiBdKyF|=Kw+#Vbp$t7wTrhetFH-Q0T+&x->S=#i zQ)kvT^n{o)=|^MkXdGvOHt-Ex+OVcZs=`;DajdBUMwV4EmBy){7A4?vRhIdjKD1z? za#{May;N^bu<;MifpWVdjgn-_x>IPG<*J*hue-&qw(W%BEs!z#GETUKQlXEz)KJUw zV}EJivwq`_#D1THARQfpTsCyOI~z<0{_V!SIl(V$>TOt4kG71r#mr?aK2BqU>7b7b zZdtG)du%W@v$jYrSl4+4x6E@BZkeCVo7H1lsvEe))B`kKlwU|bt7+|nRx(?(K+c)*s# zfB^Zw<;e+FF<6I=>upV)o^pA^a^PfxV^~wOX0fZJr_DBuj{%B$SnF*~eLa{r6>I9U zgnZ7beJmXp2zvc#P2Gky^)57L1fCQQt}qD|V8PuI!)V>|i!OL!e&}+d2R2OG7nOgg zmkr30qG~Lj62_919+|_Q(axJ~c0hVl>HB`*B7`hpz zXAGV5SQZ1X5NRu>l0q=}G*1eMSa8o+Q@`wxdXEfWEEt^OQe?wHIH`uAstTF=(C|{3#xPITZXi!}GDCiQh>fNTH>YHkYu2Jd2#C>6CS~0vA zAWQ~B;T<=e9Z?>1=!34pP(RsV7O_+>*lKm=f$0h%ZJ*7OKe5W+{KG%|W!6po^$-93 zhu{A5H*{h8r9L3hj44wsTV&;mb!&Bmeg}m3PZjgXZbcb#R>~%u%wo~m_aFCvI0g(I9}sP z`k)j~%a;2GrRp#lT+-s4#!a!_vRGSPguacWft;%Qi{ztlwyv; zgwh8sFg;TD)$y^uIK@zkxkO`OevoJ8cy+FrW8+biWRhZmvD+*`3|S}CzRswEv0x0_qG$I zRz`CKBa}C%*bPoGwz=O@iu(tp*el5Y6`W!y#s1Gyilw85aOT| zmzz>-CtrIzzRM~0FHZ4IDHftz{}iQof>T^?WaIx7r}#lBzHUnK;*mUgQ;M&(wrRTr z@#BkA++UpH{^k^8>)25CUiI6uF3Pbw_em+P(_h0W{z@sX-=P#we+{SjFH?%A?{JFC z%_&wH1$MW!-IU_3J}AZA)_zlpmEAF7wCAK`qaymxbBYJ0xDHCO|30O7s(t$@r#O7& z6zlNpKcN(dPfGFh;1qYdDvhSC|0$=qLd7cmNh!`xO7S413`+5Yv6Br3wf8Ta z;utRF^a4r~>;_E>v9;z~C5?*J=e+S&?s$Dmy_|V_+;1siJ8z834KD{W#`3t4E zUsK0<2*JD;rx+W}x{Lu_U-Ds1y9M*~{K}RTmv3;BvDkc4il=m=n+T`4R(QH_iuG6s z<|qKYwzCJNI17%BDU%tLV&FNHVpUi-r+8sQKA{o=!*GBbfmD6Pm0_x?wr(E#FxQh( zY~GaOsS23s6CH5~Bw!&rIK@J@#0i}S!XWJjp(};PqYB#7V7Mv8`ng{z#ee&c|M-i) z!m?odqoB>d{`eF2%(CgQX9Gag(LGHo#MI4|1mh}yuvfjppxMo)+9|`KuG{FvQ5WjUt&?+e%pHM@ zllor&GZ(7|B*--)Ayi|v=#GMPZy{P&QJI19DHt>W4el2owg!3hX(soS0k#9sV4#i{`ZjzGAl}{N z8LS+uJ967Pi=P4V3^>6FhUhF$FPWL*p5&QQ;wODr-wHsZRQdokwR?kc4>|>TO?m-t zYW^t$Tl{mk^EMjMC6pl4=h?GkK)G z^hB_h|7YT+*onk`;s zV~-h+SRD51I@k`)GzG^6?G=<}ZUXUO&={Tqo^)A(Po+q}!5TfF%?OA@T{za~+0xAQ z>Bj_LWvREX!TzzoU0T#@S7Zt*fI?qKN@RmoC`}cPIfMmOxkTqrk67N6$ClKFJ!C$- ze^6)`6_{f|J=EY9GgHT8MUAU7hlE0d5st%nLy_^C9@YkfLVQzbl*b zX+7T~rsw*0gJXttL)xBlWx6;X(lprhQ*CL2@=~T_UHrC8lMhF;nI{MgfAJqYF^yd|7G1VdusNbx1jlg z@yT#H&{=c)wM=nEN=Hdl)$IZ65dENvZ*@}vEhHllC78ki?uQbx+W|Mqw+f!PW_)9vDBoXJr##=|z1ew`Z&YV|FTY)!hsG#ub>2gg`cko=Ul3hJF zWX=>t7^gT2iT%Vcysp^5>pPrjfq%N;eX}1b2vxykZ))>XiHd~=QDkAWD(rqH+fJc) zM^x%tLU+utuBv>q?(`}ktsk>dRKE_B71yocES)92G9Vr$mI7%#%ld(Oe)*68@OOU^ z_57c|`^~Sub!ozEj40)F2JErw#PDcU>>n_RcNEBnB?hhW7g-o$y0azD5|g)?hrM^AFl{g=`YsV+W1P<#M0L|3F^=oUsYx3S=Eta zonO$qW7|}3Ean$k7z6bIb)XdqxhM{sh6>@teF<3)>d+4~2#Wxg1*<#niPn{C+xmby z=H>}Uc*1QBv1Z{svxRjk`NH}#fN#d8l=D#^bWL`-1i&(K!t62w@A-dh%W!!CeAXNF}2?ssHVLX|F zlBf#BedrY1n@%t#UwFbE{tM%urVs>lyn#*_Ku7uhQsJ~-V{26?<>m>m zb-ba~Bpr>#!)txAgoU_Ql4OamwoSd=n?m#|=xRZu2ia|1!d017_2b3D&c5Clr#~>x z#BNPP;esCOx%Ul2r9~Gu3alZ=+MTY74nr>EedqT)${2X|1LI7-ziGn83*@M_Vah<7 z92zx9y9phzfJ&d-p9|xt!rD$zkiP|irw7I{xHs*G3e45m+0|L0;W~P9TW@_{j!L(% z&Wg%PXy*73)Ef|IQX$aCz|{>kGHAljag;C){A>51(d=x1@-LUlI7(qlIJfq}5}u$5 z_k>e;JyrYOG~qZ9`2*v$2*x2*3FDxe=qT$pRkpq;d!td)CzZbejI-dbdDytrS z3D+aD@8^_}AP0>JcRjp)*d44;(iH{v-0dWcGlvxp&;mror4X40RgPqJG$Nr1GsMxa z^mwY=I0&%9EbD~@_Uz~6CIMYvBiy{YFm|U>RInXw8>K#K**wHI^cyy|^!fNou|^LY zL!dq|&Loh-Uvh(-eQ+bQ<=P4ODiRLAWM#Pvp8|AAn?J5Z>9Fl+^O&Sl)WdY@?G7)e zwT#7{z9_XQE`-KLICjxq36TCDJSpeGZI39VlAc_e-t%;CNiDeSczyWBngWhu=I!OA zWVKvJuG}IJ4y7}rrWe5RW-{^M<&W8vQBpk>j19wm+BZ#qKQ6On8_wZ+qBl)gr`W<- zQ#>Ae$J@lA*Qr@-YllhFZiE9(nCN%m|JDrpE?8&a-b(ZJ zFfmtX@*o`aE)TeU4eLoI5op4Q6f+XTA#DI0A1(#;9?ev9j{0p!7;LJYeiuAp%I>Bc z&mht~c*1nrs?NtcpH`>jWLFo?Yb*Q2O0qIg-_H5sI+m)qy>`45+;9y!s=y3YQ_x92 zbft!3jbDVNhu^_s%XLiqsY=`RsN(;EB7|^_iW)222T%CC4pyFYAQ@VoMlooJa^%=T zUKMk?Q$gsa_yRXS|K%_L;qQNb`(wYs%*!f!{@p+Q@SB%cwnd`U;ZO~@a6p(uB1xi& zfOkAQbd&U+2cT13IqVEvR{CToz1Wacw+dSb_CSa3EQ9vRe^mj#?(OaYTJ#E&x5}@> zQxH&8gjsUVivs(-+r(((uwk3fpWcw)1+=J6?V~@lzilTW0S_L{iv%1K?U@~qZN0wK z6dR{8aLSFYd4oq&dDr#aI-G;wJ<6;W{at@X?%^&ami3ZU#pmtOxWkmsQu&o3Iq+7#6OD zUq*9=rQcYOAp_iyZ=R84FF6m>Nl)Xx`D@=cUGO$sUeEfKNVC$M>4p?h-{U5X>cX`Z zDk`W4oF`VgHc*pJV^J;%`&sDDY@7qEk%U~Jgg=`zabJ&Z!wBn`r>+1&dDH04Y%DwZ zjoVlCH|@tyT_@RGW7|I5+fAy`>$&*Jj%kyA$?v*BY4m2?sk5E^7im2PKy`aa>y2(& z5hxf|*~&fBW7?$e3m8L>ppD6?E}1^X+$^xA&7qB9Q-c0$+Yz1e_E>I0rt&OPS}|r? z*@VmfV*lBmDm9LyDL7mR0nh$+o!pITp8HYVu9Jd~iAh^ohq22Jl_nNb=Q-c5lPdTW z0uCfdF2qDPgn(HaH^=CX3Zoq`FNbyjESd>jg9dDgD;8ZklHtnpPy$$kF2$yt??+<> zjXICM6ypfjsaI4Njip)ovM*eI$34yUtz>j5SSYSMFkx=unKFO0TpyDk;& z2%vVMxoZ-9?(g}TyvqGzX?=zXO2SFr+fb0C>Iyvn)WyaS&w_~5% z<2or2aDaaCZO$rchY+xB^XazHiqREpCzEo7<0o1s^KhMvx9j9l3DpSpA~o!pDCF#( zBpTJh^mLuWbyBeLxB!TbUH6+rbK4gzdcz8uNmtSxXJbP^}&@ihAipIe_J^gwBDx zuaL4)oRyg@ve>771B!YcM}xW+q{f+E>X`}{9}^sM{U-AXNB;Kja9{fDteF4utKasa6~L7tR{f^VCbrG)^!{{- zvAxO(ojC@0*FG96J^EV4Vqt|f1=ZRv#!f(LWuAfq8hXNhbK9B(o6~wb6@XF7a5*V; z=m3IIlq%9TtT#rB$42BQ5sgnSH;u*PLcsY8j_jnX?yN;}MW z;~*gHhD{K9D?zY*QaVIYbayy_!;SHRCqbE2Fu({6&#DiQ*q#-M@XNggX!M&F#o>ld zKvTe;RN(7hjwL0iA3?B#8L18il0>N5X0ilo%4n)99qKm?5#YKi`4&pZdQM8czP}j1 zJnow2w01kI^cWjU*#5N6)gg0#7MA^+I~6v9fJB;A&*{@u+bh`f>PVZ9I!z&w?o71z&l&bm0@bKq0Uf-4*Rr&F(^KL2=Bh+D>UvA&4N4 z#h<|EE%Y_PjA^ukS3+UBhCZp|Fe`aszDuom`Uzr8fZ5_#qe=yTtxIS8wBcx5)degu z`s`*^HkXe&zu750ghLlfC_)fWzob~4B5_T37&rNVdgA~v0${K^LS|hZh=-NBbC;i2 zy-B+8u#~n#(XcMAP3(AG7{WaUO6kMS0KNU>UUmkrehUj`MafLh`2k`kWz?)LN&U;m|E$&C{TIaY^S}QFv0Oj=;fHtE1V0EA?gkTmNefbe zDt|EfLQ&i5e~ufbgb(j)g(yUlXpQSFO^32HGeD<5uIHlAl-9aIhT{^B;v&OPk+KZnq^g9O) z?}jIJeH$rd!4q$=)p__6qy^OAac3OsaBwD7*n~FWa!&6Mx=j0Mq3B!=cyd!*bW3#P zSpdGwi{YZjvgwTLn`5K|JZWR@Gl#upq33YJlabdyWsSNEGd$tRO>lX&P}&Von&OTM zK^l%#@Pt7uYt&vx&3m?1#u|0Fjg;aSc!EP4EtK?Vp`_)(*d4|b4}rXTw@_-D(Az=( zfiCi@;)E?n`!IxT^?>+|BMug$dTr&?N~x_c#_sgkMQVdWe?FF|jm{^NLgOp=L5_&;f7}w0xy4pgctle(L>QUJhLI|3FQf$vMe$~yb?HCRQ!~elaiqHVo;O^pWI#J zMc*whwR>}cFX^Q1t_+-8Gre<@j!Ov%VAe2PkwY_LAx;;iIYxa5xc{K{Lv0wKDC_FtO zRVeDS+0$PN4Jg$-5Q=5JP+?qus0`8u&P(XADa`74W2qS6ePL99hSa3gZ+C|?$7rV% zvdW>5ibEO8)DZbAyC2vpDL2zisXIKGrn0AZg~8_RRMC^vi(CbfuFd%w?k>J^AQYOI zV{c+CCFz3|3eLn*YpbULoRlFKF)=z&^cGatf2)BpYrw@tC@Al$yDvp7Wenkc>n((O zKPW;Q9&;+P1{ev;WZ9=ZqT(cy$%F6Yt`2)sua~^PUOLq}Y{DwdC!vudG!ma^#NTLS z3T$lqg830adik`3#9RsU>Q_%?*59gnXlEZzG1lliPqJd+khrNc1P&4KFyN zm@1r@J{FS(vse{}G6=6)-%L1n&q*c3Og*eSf}{t#vK1$WZd7M^87qo7Qn&8{Cd1(o)5Dh5Sq$h+BKH^Mwor5c|@0TH)rlr9uKSH6}aED)}ixv7Rs zE84LFYKp(R;K+8tK*x4XKP0i2lwl>u1w$^SBxc6vjx2xamyU+&8Umi`V7mK(9G1&D z+}$`6K~>-*I$MXDsnh+K=P#R@pF;nj62Ok+O5$lv_p z8!!@n_q*Tx>yN+v??3$d4N0n=tbhcjf&%lxCm!doRj_0sI`7~<{bFR*=%aa301b`; zK-p&!ED;b4?u&C^U@=dn|$_ zIUIt~cnR+=UgvC;X<4HlxWun2Km8Mz>=#6RJ@=y5xVc`S4I9jYR`3g($ zAS&pQJMc!tB9e?8*Ph07@e3|lFuHUDmpF874K*&SMDuHvD2&0GGlP62FXgI59ME1A-UnNCTII7cM!BYKPG_DYtIj8<#xVCicc9(*Pzn zE?FK1kM}Oox46X9@CKK-b}0jX#U)e5VJ;yz)?TFOWU8jL{l716E3yxd%~-BpW8C)D>1?_=?g0H zC?CF1Noe7TN+$XyHNE;K-=Y%sr1gDWeL6jM`X*oXU38A7VO5=8sHEMfgmt3y+>-{C z%sJ+nNr@niD&THrP)T^Ak_q`olFZpJd+a*!Ox`km6P)`=NVrA@QOJqGDV}BR^0HW2``6gxiE}q>jF@1U4HB ziaj-^6fczxO4S3E)Eku`H>W=}`X-8p!#8a|(g7+N&Pkyild3sn?sP8o#Rn=WZe^+% zhAt6AnTujQDO$yx6ouSS3h}ef)4a^h>e7}$h+Q+b2=>a5=33d!O zGFjm+odL6I%yVg!_}8?@V;^|&pn|eVxG=u)iXSWc$D>gK>zD7PkEYzbGL7I)_>5NJ z6Pb9pdI|u-RC@YKO<_`$(k)uiQ=y@N*(yuZJ}d=1xuV{i1{Y>giX6zK@(6V)cg8{)t5X=I4JmcqRWS z{PACY__rT__WNJ|@Sp$7?_Sdz3xWHjze6uXk4y-Eu|s%gNp=-dz_$LwSenIRz^rn- zGDUFLQ6}{E1Nzg0C1qiKB|S17Z#`=48VTA;u|Zfuab*sQbI#E8XM=f}`;n1z1AU;c zqfD+ORrapB3NUl7Z)0i1kvAG!6zV8G*S zR0?)j#O^IR=KA!Dem#CMmY$6+i_tsuaZ4aehUZ_6r6H8ePeRG`Kpg-w{Se_a^eaX; zTVnddb$YaCY0%JM#+$r%TrdFgq#TY!7{_!lSwYKQgFfX9}CQ3FiMJf z2<8e6^uZ`GLoipx@)U-#GzYhxZgv_zfz$bYi4pr{A zz4WSbuFRN1r(rC;n?sSgZXSJ4!HxbsV`&vBb*%bye5K7T%i@kP24{?o7XJF+lUP#a zH*#|EX)Ha|MJWht1dFM{8g`D#U!TA@DAU`(ljf=kYzdj zK9ylC-P&<3!bt|td#g{?ncOgmsMv!jrmTw!5tU?YOzYK?w4Qtt-NuRAg6*L0V1&=w zAjyC=dP0jQ2GjKC=@!l&zXc(d;n0Y&G#JRL#IDG)^rx|Oun~DYy$%%>*<59J#kw^@ z9RuOPf@OU~m_Uyni;LJ$VK)6GYZ}Z_E?$SaN zLg;f_*>M~axlpRDN2+pf%E;}|RRDz@2n@1B@o<-3zHyiCEE}yalrfEY40f5iV8e zE$xPXN}v0XR6VirY530%Z|PB>=)QMvFv3)F3>7hpf>*qt>l)V0FGJ5^J=kIJqXjZn z8*N8M4qpF(E|DFK*G68QxoQi8J*Q{8PqXkttiuUw`<|zx(4Kem4L9SHJqNA441`oMNxUCZ*yvHU-LWhaT6C6wn3p38E4Wlw$Zu z0mp6pDusbn8^DCDp)>wc?h_tARUi5tN#9vu@mvruF+&(vPp+{g6ugpS7VJGP4^;%` z+b#R4mwrM@P-JUkL(V4*xA7`lF6OTX-qXSgdlx8Lj+3$4LV+X9DilhWjm*CI$CyU( zw$BDkyQhLt<+2_FY*CAzic7351%@;NC3ao}9hJ;Y5@f=H(PTmN!!fF_tk3`-bdK2y z2rD0;WUuqBgO9C)!yf5ICHYoXN&4%idraf9iq8Nnv6OTVrt8A;4E->tbbGSk6?SDb zRQ$te8QJ~RVJ4Uxg+9AM_`oVM!*KB{1k)mbQEcr}KXVB7>OSzyScV2Lxwj0wA{ z)&yG!pL^D2@{LO1ki`Ddy6s1Qa7ZQuriQMHD$9!a?(nC*QAuqG*3k@6IX+wTk8eZop`lMBprE@U%*l~mK16O4^btWT{h^OGh?1mD2GwKWlrfg^j1XZsh!gf^ zaX@kPqYuI+NP{N;BO%4zB$PLXeYENyNT*p~U-@iTG%eH6mIIK`d=F-AeO^6c@SS$e z!@x+l+SXVY*_NQ1eb!kvcn7dC)Rk2xCHX`T=&m{OWoF1lMFNH?LnwyDmV-7JV% z!5$Q)dT(~*;grid1r|P-lPJ!P9nUscBl-exrgTukSUpb9)#EXfp&gTTymdZ28g_0H zh#z>w4}PnAsP`w-`%xiapPKH6a0fEP!@YbfT7-h5%mws|w>^`6SG zdMvCIJFCY!cUwgAophqFa0-6v@Ik9kvMX5Xxd}n8+}GR?Q@_~V7N!jPocMqUkRaEq zNTR<&S(fmI0u&pF5TkUQD}_Ut?7=01eS?)v!@JMo2*d7c#Wse`Lg5Suu6OSv?G`S~ zj?i3TZ%{?-w9}sn=^=_Igh^*c(E^ynMiWH}?=poEdiSEMlmtWs_pL5K-JJE@g2clG!)P$Qa8Xc+R#ooRfD@eU2i~i9yJJEkE`GK<__n@W<$SYS z{mly*qhuTgX?IkeO}(dbw>zd|cxmiwv~?T25Zn5xN||n%D*<-vPWLhBVAOC~Hjrp{ z=nFb)*d$cwv8p6y;RmAOda8g#BF+w~ie9L`A|hSLS!$Cq0@yW-+47ujS33-f;MLq5zl?X=+<8mfdp6=y0T8dw5YJI zC{id5(dL-x-&U%R=nC6!{+Ls_^mN0evan7{^rwFC5tmaiWEJ4+77b@pK_9=o*KGci|Lw1T`0YRc@UK69jAImvtV7uhiy^-?71#a3riV3G1>hGm1l5}a zdqf}11-ASgrd@^QQ@0O(oGRC>wC$P-s0)jO1DgLP)TjWs(9Lda8m#TSGQJJn&@ys# zj%JspYnWFZ`@n5s#+D5F=C!)8oEPK}{2*@s(5pBUz*VJ;(zs$W4-egc>4)%VR^Ar<5WVps=>|XK1w81s zuDIzSw6A0!yoT|C4e_#iqC;oDU4t}ty`*ZLP`Z1r*qA5qi0g3bvUEM zjN8*D`qd9-;g34Y=1Pwwr7P{f4D|fIljnv{co6ZFj4AaRmU-=i?bo4#5QJV<(g zde^9ZTkg<3htVU5gHj)aGx4y$$*bu>UUh>JFfa&9Hg$sYDqLj?riCDN;io1zh0mSf zxFWK5qqe{L03qoCLiYAnbn|%<7FBq(jTy{nif|n())V&(M*lvA9K&-4Ge8I$qeK71 zDCZw1R>LKn8UI=y$yZWPlHb)JDDx1O8OEzY#{xe%->M`@SA%RGHGP6Ubw-YqYR zs*Bhl=zZ669AomLJfh!k+|5v}=0wSS!rctb6PTSL>18Tp3jDq_Pi%&RP0LG>KPZD` zJpx8#W6cJyAmsTcCISk)g2YM$Jw@6A{jm_-aZS7gHk1$*V3a8jy1@ERKL!nY^8veh zw@d}STBMy43>G~Iy*AuYC=plk6LNE^m`&U~GWbfwNPp9P=?cXi^Qx4HeoM2PiXELt zI@ux5IiNXwqE^fL%RYM@OB7653TI7iT^c~|P#F|3Hmrg=j3ot@0;)(Baa60CjF^1W z>6eVism?;b@M`e+qNMK8V(SUt`w;e4}o^ivMy4WEv3fq(42q)DK- z8Z)Y`4uxq8cHUG*vm~V_v02-o;S1vLJ!OmbQP53QxwUXoX;H4K?Hnz@beu54lxzfr z&kbIj$HlO&4*wDsa_A~4PwNLMQ&n1Xtaq;rUrr-9%kE6t^rJoNy>(!ZeJ~DkixE9= zx23OGU#W=MCZ54l zCf|L?uT`Y_pV*^+S?|?xfjWmr(D6{opURU1V$UJJR{W5YO{jc+a#OSU#2z0_j|~dQ z^yYwI96PwFu2g0*PS_)FFYNKB)_X5{k(2w%0l8-}0h# zw|R6u%7hvx>%ATxTCl+I!_mVQt_f!DZ|pIz(F|^C{7L~Salg`U+1mc6*27# zqZ}2IXvWN_oUBKDZ}+zMc5k~)3vO?pdUHQ++%XMRlJrUb&=p4{|22)NgZ%N>?mZ07 zVlfWfu@sy?sj8+2@L*|1RUlpMpqtzfZrzqGra5h68e`o-ue0u`a&&xq^wS#}`NFs7 z#P&mvR6F-}uh#<^GG3~NbSeiQbw`0BZ3srcrZFcb*cs3Fl`;XR6qfykYM90>R^Tn@ zEi?>Xd2U^&P|waBi(*nA+r5?KUG=n8w+<=UHsw0BWC!!3qU5kX1ZZWeVo7U&$Uu_u|UOQp@ykD|8KREbR~T zV0#3!51pxjIg;%HIQMSvfE=n{GpHHJfpy6fa|mzfMOP|Bk6`yU$~pMuqxn&hV6mm4 zOs1f?UP}l)Dn!vPu65c5=1|7C6!_XO?CIArE6xL6g?6@MUSRUxPR*I56*JwBKD;Yv znl-2FuX1(AW>Nvq>jERP(IX8@8b!ksT)AGyK>~icnR|bfeyq)12SE=Xsj@curch5H0^MuQRe~=>jsgkC|Fbt zTfS>m<-H6kaD~fy5ETURK74xy@OU&m(vLs<*I&PPVw?(Z{VfA(fHPc!dAesyV_Nl; zaF_)s(4)HWMbgFhO70!oSqyyw#2(!n#oM$T!`K|!Xz56>tL8uUcx-|wo3JwCeza&E z=}Tc(FU=adhYnSuWmf)1!I6Yi9Y5Vu!R zDf~9OvQ0V^OQ@{H!D7%AqsbZC0@l+H-ySUwMu|(Bm%a%xw=DtI}^oH|`>+bgSyX3Cb1tBXY$&8@0OG!mo5K)6F%tY!pM0^JQ7pU&<7{F>8tY3RQfq(>~8m*U01~%){)b z59j1kqt1%T>7(9o!#L(w%7QPe(O*LfYY)iH(?SP25k2Y#d|)&$%vgzTAg>8lA@pIs%r{&Djy(dfVq zB9?8RmpEYq+RPh2G!}%P4_QTp52%j z5qAw(58Wdd>oYo@VT@en8*?aS+dBFjQHS-+^G*FI19PA;H5UX81!)v;8M$N_zp6AW z^D(6{cYzA@859d?bo3i^5Hwgjil?e!7y|t3v5EWe!nnQ)+@mO;l&ZF1 zbm=DS!O^qdXEQIDIqd8cLsn)WmC&)iRu+FOLfheFdUq^A@VAB5Rb~Rn_o=HSZAu|? z@n#jiEp$v1lt2|dOap^ChXsXmN|3$Mg_u1TSXjnEowS?lQ@#{4+!yHacR#<`9$$@j z{`lK}{^Rfe_y!`V>1JIUd(Os=&V$nlL!Av$ z?K=~`hO5?APDM*(aQtS9GMm1(TXc&Tql=o2aWg1baq-;k1`GS5Ev;y`sGMfEW;?kh z7mL?Qib@Rd{psHE-;=Vd4Ty`dF+8d(K~${C-5ym>3%Hd<*$>RDL}gX->~`4H53)yR z-+GWeOo!|Nkg+UuWj4ysfQ!z)XTnsf^-7=+m08^YHab`XxBGpFGU{6i7&z4gs<+WA z@tDzC9Vp#OGlcVnuD)S=*rnaFW>>!Z9>FIh%@j-r4jD?RLV4*y_Sld@oY=NJ6h|&B z%)M~P!VVTQm$Hn$M={?cd+13qZ$LDJXfmxwzjt3?7x@q*&dc1+Clb+kUb82sdgQbA>WWaRHu!;$8^Jx`mL-PQrY#M^sc)8C_WCd zhhWI@+4q1^({a+kC3dhq^k<%I4;_Gv_LtSYu<&&^T_F`+d936bi#wo_*WLCA!yRxR z)1*(fhobX~>@h#d9(%n}$OqYDSM`YGe7dT#)_JC(i zI)d%Nuw{@vaFPv(Nw+82BR{0J_hXH@E z_M;E(g0A%3-O5_pbIvldA@Bo2z+tGI_ZQiNMs7Nv?GEoFjDIqe`5p9_wy1*hM94vpI4dhFd6#-wmC}bvDuLM&RP0zYrn3x>ckCu>!$tFW za6MAk^HG*-sNm*Nw$6|}AS9GaicoVh!_3U0gw{8X8->y_yPDQKvSMY)vOXsm}2X$}kt6c{ErM#(ddfEdch4anh- z6MPJ=NAE$e6GG}Zl6u`Lhw%ejPvqW=R}!6Ye`Qe&1C~R1MMpN$@Hm#|;;q03n~%dTdolfdv-VP6eUl}v?X4Oxf^|m4UoC@neCS8<(h-bv5LTO3K*0{ zU{uG5hpZsObi}Tvj^&n+dl}kQOjyv9pf@(@iQ$l}2>0N7Kuj9chFqDHC<&ZYR)Th_ z`D1AU@p@-r8;dQ%cI~3wvBR16W0TI>kZtRi>N(w4CE<@cz{Ms$m^FXk`w= zxEQ8K*GJTsg2vFWs1WShHjHPu=c!Pqb&M~IyeZqX6@@a$-}fY zJeIik( z=z)O#+@O5m7-bYTBJ!_0X$%Ubr{g-&_Oe@;uO8{-w6&aUbPr7s*HohILngN0s;VEF zAg<4wbyvNb)noKJ3{eTyTx0pR?c3+_ZM`MSdPY33zIR|8dTq+{!T_b79okVF3QGr3s23mb^0=S zxjr?^!g~ouMOGZkx6rWJnxX}kOEivljE~66p;?w5%eNbR)Ao%h2$~rC@mjuZ56!a1 zidP~EB{Mx5A+C%qn7-!Nhj-d=2z!t|3b_?Bh7fdC2EWiOi%%G$1k)x&L9XR)gsi?$f`kn>yb2j3toQD%N~C!Ww`SSBd%F*+m4MMc zc5my)?yY<5-s(oGNZX&gw{(y6s>kjveGuk&SrJ1ehpuu^a22FRuq@40RRyb>_aaPS zoBg4zIReqd%|atRwqrD+!|W~;%dQ9O`{RXN^>vjm;)$q^*5%5N_OnIX#KKnk@AWt+P(OgE|eQe&IUZtMG){upA zIIHUO3ut&ecEUk>W9+J|s(j~_JTNwIugH?ZSiIKd>dV43Sugy8vD0B1ZHh-%cCm(= z_kreltsx{zo_iw8WbIylcbw__5i zDvSnmr8vkjgU`01PWITm6(}i7Tv1Wb)iZR`obsYinPJSO9N-bgJkZv#M~Mr7M-M=G zwL+E|n()(076dG$dsOE2mRkLm0iw@~D#$|=Ub!r=d)u&)JL+VHPjs!t&>A`IYxkB3 z=orU@!n)#ijd9Gq6k~9#_LS5r0e6(x_C6dj*Y$+u#5`tW`B|rC?B3SxM5HYEhwIIA z_qGc-EEsRGQRL%q8Yp-*?W3 zn-Yp%H7Yji|9MA`$%SF|S;7{SPST9R?!nAS`8J%9onc)VA(UCMU{ztUUz5T-Mu2*s zt13f3cO^$?vO-f%SL(7YBo#2!ouLTq>sM8M#38$?dQ6Bb>55J({C8Y}l|q>AtPIXJ zR1*fRj=iom#jl-_zXhcH>mUE{!ykV9Z@>Br3o-xK5C8T5=s*1CS1$y^az<3XR(y1W z7(#1{^)`h&d(BXocB)QVTPB~-ju{dQ*q#3DF+<~Wlj53wscqZIR^>2uqNPS5l=jor zXc8tI4c!w)rerytR29o!cSx1=s)M*pI?d~D=FNjpSbVprKq|N^5N)v){lZpPnk4$! z8>X5C0aa!*aGqI&aXMf^mjj#3`t@tpZ(c{oQ~xHDXLV{31VI{Hm?R1F)msn*RpSyp zc6)Uz(*a3ZXo_OH5!IRwZ5;&f5WtM8$Nja&<@Vx(HN&>eocAqEX)sZl zYbsv4{8j{U5RnCw%Gk^u=E4ClKDz;O2N|TRSW5_kEYo13Ha!m4@2&{)C+p~nV3qDV z+LNKOex;1n@(={U;8{P?Dt#dVy1PlSbFrJVA57Hu3QQcHondpAYq%CcC!R_N7ww_!&6^Kv<5#RxrhW^Y)IAwa0a0VZiK4oo6U zIh^?Bq#`(!YceIy(7)__@4(DE8yl4&uCl?R)TEkd9mW!E8JGkB5U;TVvoa6ZQqUt7 zQZD9`2GR!wm6`q*2C7P(p#%aeSzm9@W=TiV_nf^=6BYu41~Mq92wn5z(R5-J0ppI; zw}etV-hOy58HRnr9=_08xJBMEn`H&2;W4%{dZsz@>WVm_Vob(RE!=T)W798Br=6Oc5*|xg_2d{jjqTn3=GrO(=qIXRYwVhtixSU?;Q+ytojB^Q^Ep;%x3aqCc_4d85_BJGkWo{_~q9B@PfhZ zX(w!ySC&Rgv%%m3gD_OOwh4iCaENC;UAKq!sSYPNXrX`z`4qg5D(Oyv3FY6zFJ?{M z>8RuA)0QNFI?O0_{kOO*jIwn}1hr8AXghY(!Z!!1sY<9TI&`EoOdDBf2{f8neeNp) z`K7ZSsZs~>mf2Z~h6Hj&y)CnaHZTax39CcpRrC(aa3#rgs6^@l9Fvc5PlsmE?v1%r z!Og-cigz<=LAEhYt^3%1sj1Y!tTspVeveN2yP=1Y)*=iA_roESwj>PJR!d`?t;u>I zP+B4T9J%Nd6P0Hb1Osb4#xT1Q%q7%uKr_%+ezij4O*d^Xx@m(Y(-^}TIw5+cmoC_0 zOVVu+X24>v+}sZ!H?Mc%4J*M%m?H zpy5z-A2YCi4B>vvEKqhtrH?eV4gtW)E|&mXV@EdZn|`kq02CmKbs2tf(Rep+>%CgE z=`;utB(%f{dP-435~}H@dZHfyv(KL@nll_JWvR8a*me6EYk+Bt{;})+1YDpL1N5Mb zicy6YFk75dn)EF@%P$KHm{|e+E717)Uo;Ee@tFDH-~RB!Z+>?C=7%4D`)}_~iK;V~ z55&e`l?uIIyUY4-8R4>)7ya{o!RZ(aUXS${;Wr&Evm;EN>^>crijnQg$}JArO4QS- z)qse)smjp)QVu@2=A|q)A>^#5X^Sc{rC>c=)ozpG@Re4uN?V#B=q%`y@r5(`flAKT zxi>VkmHG4+?J=1ESBOz1D&Pnxa z?aH3kyDB zE+hq|_k@c7|c_^>_7Ee{}4zqu)ZqCa*y0aOh zW&t8Z%GeCgo7EFukEINlvOZACr@Z?RY&DRV?iHDRG)u5CBw%fiW(kDN@~ImVR8LQx zMcu0+9MT|s>czK;A*0>VoqxcTs>HqKURg6xDHD!)URaPxf>pTCO#UTO#^7d%lrhCe zI`y#Cp6gPD240N*{gI;Y$zcROFQo74R3*sf8YG z{gh4>Sh28<_GLAIcfrz0&(Grho)q4*z1*Q5Rswz+@tfJPy3Y|Lx z-4SzAhp52tY0(2hLRR4Bm}A?sUPON|Rp$@E5U!?B53~`}`&%&;HWXZH{S7y3BrQ;x z;S7`qQJK9*(y(c#%%%0Bp-h|csV^LQ?4ecEk&XkQWbLkN)+a%~Y z%S3Zzg9>HqFos!HScJu23ZG56vf@?5Vr8eHG#?(UWyNU)&b`uoZ^u`CJB^T_;_2Y#@5{^g&8qDmuDV=7DpIg}OQ4R!F4u;BG z_Vi0+&3Yf*Jr#lb#DpAru29jEgzl}UQ^wkbaNZ|s849W&Go0|_zx?N4{r)$<`tNUS za(pwz!Az#^&nXUv*8CMyocd>`IOUruj{kF09LSIY^#9%|PWX0;lkO=_`py)mJf=AQ z&rNaczhjCM9#b6ioheTJUo*wAOmV`$Z;DgDo#KQ)JH-kAn@(}Ine~~~|38`HjP;pt zPjOUq|Nm!-WB*5{IPpEjDgWC}anfHk#i{=*ra0^W+9}TRXQnvmPfl_A|87$p|Nrt7 z$B!wFeyV9IFx+Zw{IgRW_^tmhPjTv>o#ObvVv4i=d!{&fOmW*Yb1fg9YEG1RZ$~0?Kotq1~?Z@yMc(hR>ql|>i`gJLH z>m*Ed+nS2{7{)JPKZRs1LOiGjp=(|gXar7ji-ZaUpQp01R!a0+oL$qqO*y+%}tN} zCq!3^t0%1Ws#73XsXv`qPN^FbCzgb89ZL5KABv>arF1M8*hE#7(2#$syRTlw0ZwHV ztzp$Kf?55s+N*eVHRU~oScbHUV@a$ew26J!KMIvFs$<7sIQObP$2=Y3GAi_oh7EgT z4VP+R6F%hFv=IOp&b?`HTvaVy_ULm-#$4yNPP#*Wh&|}9KGPBL)^09*%BO@^jtn>(vfSHY7@PYHXH4?NNz zeG*ll$FARm09e67@1&%6Y**EX%S^ab`1H}m*LxE1)e%~oaU1r*_91D8tmVPj7l~Ej z%Y>jiep}PiXJ+?TL(JWPh3v`>nI3mk^*J|p&vVk?wBw|lj*R(BUe2*ueF;}muQ)aW zEK**)?2GdAxVFA7TQ>OI?cUfVXdKy#w!(xSh1!57Q9aQS(G91Ex5A|+WZ|G*1L8|r!bU46z`xEOsjbLhSx^Ocx81v*|GHD8?;MJ3gZs+fgK6`m6Rfd4v`U(XHu(}(<*Y5?Y30BnBFR*Mv^HCW;`#!3sh{Vf(|9-g8xlodYf4> zQ%vfYB6`3br90*BtCumiRQVt*QiM|ws~bq(lsV}F$kdgzKw%t)2vRq37>`slPSY4R71^l&v|MPs|yLqtD14nBp&*|ZH868;%I zMKFk>slH$d5kaU!$!5~o(yP7{g0In{@&SEWmudS!dJj(FU)NH(P*ARQi;{^EKGp%! zt7!$jgKNSHRLHUFH|sS!oyg1dyu(F61Ue?j|B5L)wxKA;vx%&l;lQ#bA)%^g>nC73 zbX$v?fb!DG@^987Zo0r<8OcUhoAkoU;W#U|MbV-y!1kJN`%K2 zr#NDrO*)VXUIOAmpcrg;UzT>3sf@0>pk}E3P-HPmif3gY%3`$RBr!-6aNAUwtoFpP zH|u`nJl1iu?b!995$|xtSp*7C1vI$RxlXt~DnAvYGH1>(7+Bv`T8{}?V>)Yi6Tvfp z&21^6^px7Z>WuoDR7mTvPd2L-iAaU)ceew&1Gr(Y5zT(96s91)`7xr$j0jN{hBj*w z&Z4IhyPIy8UG|anLa%bfvAnzKJZ~QGRZzkSpkUkfh5vA~ewfv}>TkE0Y4kdld`pG2 zmp&>!08g2+B9yF~D5Q;Bj50hXih}Ojez!XG(7Al9ph-J&;B9lZ{(By1Jwa4y#Sw_l_}{97udeAP$22QjL!r-xLC8NH6^>gaXs zY3QTQ`=6Hz8H>A>R>$<3#Jr?J(22r4K`*oXX{nI>Y;~AEL}Nc?+gr$6X?BC|WA;5q zR@ziEQPa2$`QjT-ED$KX|oaXd`pEG$RXRI`w@L!6t`BvCqXJ9tZf=n zA&T#3Se#QFL4ZhMhaJ@S=8Q^OGlbjyizD*boEa)*K27%|244W|V!Y9(%Q~}ccRf)> zRRK_0*m&r+r{T7x6vrZM4_1G8x`rH~LltXbt<=7yLR7Of)iNC~*ju;#MiqKhdMI%8 zt-CoQD))yw{i zmsOdmKyvI)t&li?#gZS(GyT>IVJFHOe+##dK1X6q!$QVrbWqQtw*INy-e`0r{kwT+ zg_yTiNUQ+8r$*UiR?H4mTw-UcywS!!a^D0B8oM*9QqT&)S?|CK9mz{J3ot!TN_+KW zilI7P#cJ%U;;~Q*#Zv`Vs4RPEg>+%Nx|Iqn@WUh~>(wfXuEUoU7oA=vQ_ZYHym5U8 zJkXm}&SN`5w-0k&6>WkQY1e044~0pG_RE;Rv_TW8)y9bxqTv#=WIcPlSXF%wVaoj+ z3YAgOdNJ#JHn5#yWvsD*{{*|x-jk-o(LnvWB-8T9f!WyHmp%kl=xwV*X!pL+BlmUtu6ItvZ z=8xsniOtn;5}(l@kF!w~m6{VQ~m@S3QwUsC(8w z7PmyD9o>6$T=$4EjQ*8AyMWM6F#~Ocq0H*d2A^Q?W&`D2^=3q1)W#QVio1ZJD%K_G zi`#^MK{VqA=rSy)PE^0}2ve#qJ7&f~)rgMBg^ukSbY#z@+`#6Y>M&mr`PWtQqmf}0 zB=v~(o>p|ZS>B~CD;J&7G%}E4;YzivABZ$HM(#?=jI(r@lu*xRzv7Q?W-#XW|M_>n z|J5J<_%A>E;nClCcQ+P?cxqb5?b)$Mclt*r$(vvxJQrolpSCx2X)Z-xRAHILY@4na zq5=py?(0@*Z#dmizv-oJv^TIMM5&*_O~WMx>lu1Bf*&}s?W&m_9g(bRGA$_6V-bzA z#n<-6K6>(o_69xEl1=~#?WT(U+>~+h0YE8Tp#VFCV1z)Q?TsfUp}o=3;z^4BMKvvo zZEyosobIb|%!VGBGUB_vvES_t)dGq3hH9RIZOAAasp2uyDnZyuMfDz{aQc*T^Oy@9IiyS>qIs=C`7P)oOT zd)R%qy)pH>y}{8AkM>4hmOPpC)_k@%j_RpaYHyV3iY9C| zcV_N--CjUM$RX&1{G`3nf7;#%Q6NmU>EtL2jegu{Z7bT!I^7;cGFJW)H1fQoAu<%pP{%qOLSy>{?nYHOTF`O`&R7gOQ3qNWTMyyN zN*?L%Zm3#QHq}R4-HDmXqq|W}y}KK52vG51DAQNHcDfr)HJ2cfDz|5Mqjvpiok-=R zS9c>|_(peQU(lYe>QP;c=UB`E85RqVmo~k)MYTV>8<_7}ZcOgiX7yI4u$pwLibZQ}P%&5sw8AR;ySriP z-QA#zO?PA4F+ZLClMoW?WQNzr#Q>}i3z^+@x*J!~vAMe&UT^Qfba|z#uWB9!zfeWiZv3G+Ff>4Vc4G!#~C;AJY4GxEujHkh& z`-5KRwuWmsbbt}Bs1(o%S4>@$^jWSD9-Pk0Lzr1S{V9dfwj-lDbkAWQ`b{NiL=Hu{ zE9)V{2HmjK5tZM#E6)V83RN`yc0CV@qw@>E_~lf;2IQhXlkm1mVG`i zMhk09iQ|SdB1(AJo-a)h5+1O@#bLXawoV~tVFaa{eruMgw7NEQrHf_5V{lPb(fc?< zn^w|URUy`~AHF=)LQ1gn0_2E$`)?#=r%7-|^Y|dwM zQ&7W)PwqFGWh-EO-epJK@2r+b9b2FLi&3ph#7kQnsh7wmq&XqNf6W|?_4K2G7e zkhf+3mgJ$MIn?;p%?f(rZr~A$_|z<0v4_U6Zgf7TPl5JMT3eo)Wii4SRKC;`wGe$U zhS`52Sq6z-SXb#1;8@;kF?~pu1*lUwI;=&&suzrOXmD3(oc$ubWa zsZ*E|MEqRXNq9?^^@n7czy0bqKL}1s^U6_h&5%~|Cu(ss4cVisXgjKEw8Y8;lX1@|X?P>$)<vsFm)RKFe+}KyQYNPjqYH|<9R9LHMqb!o)5(`MqPrM70raPwK#{YFHt8< z;A`>2>I6X@Wg#7X>SafFv3{%|5!&u^Uy9%!(vbN|7>1O3k2eRSK=M;vI zvtC22j3Jxtp(ST#CX=6NP0KByi!+~}nz=Ml$Ij$QE5U4xzcdf?Nx>Hi8?oLGPDPQf4JsXZAcA5Q3P zpM?vMD67t@_A%=@%$!!hlg|2d;%t$M?lO7lx&evmFU7zJ){3-2tcxmI^b)uh+oIpK zTHPrm5B0RKx=zeMhjzOyJ8e(nmWOW)(=TQn&2;CCu?MohTNHGqf3AnfO=rZgzO>sf ziOLRd|2 zTfd_yTA%=&4igJs!y?7ZnwZ{>f_5u|jrY|crm7lI z7t27SdmG2xyD(}FpP z9$!a<&EWYoW-{?pzkUDEZy$oH=HA_9DJCD&u&*~j-wp#&fJS#_F%@q&SzJ8=s7(^+yxQ#H$DLg2_y%&DE__3D;%j;u;U|Do+9oS1{n7y z@4Xs>+O8n_Z5-pS`ONJWRCT7EHZ6)kVX`Xn_rVY!gwtMBM(M>BW$7L3K^;Mz!((GE zv(P4Pj29PY@$prNIp{6-sq9^_cX=tQ5-edTtUUq{eLgWr-3@+Ps13BIYKSg!g#|J^ z>?S=c6_gzpb^V-}4a*7oOAIEO8zOa;jr@Ry7_P0RH=}deX|ot%qjIdgaGR4#mz|DY z!*cm#JEpF7Q6-ZXmKz{k>$ojvY9~wR_{@eK-A+M|0PQ@5iEf%Tf#Pf36m{CkM?L%D z6#0_LSgq*=UQ0qE*pT~5ZYx-EuXA3pATe&bHeo|O6rGg#t+e|u{`MC?^^}?a_?ut- z-#`1q?|=Nm55M~DkH7oMSdKk7*rx^rgPH^pD!3_W>Wh@e6k{c_uc+M8iTL!YG2x`LA) zKTH%oZWXEM(yb*A4vA{+cx!`>ecnB8CgT}+9M>_|U3ZuQV?q5rDBl#bt?&Q~9`Vqb zO><@6GDCJnkFp<~rw|0(EZPWIeRiS_%W3jxB1SH(h>0J2n+qLWj3c5_JR!f(}&e(rHJhKMT6 z^^Ere4%3FpC>;HPSzwhI1%n&11VK^(goLBOaSXc|MWzm9fxi1{r6@~{R?2i^7S-ST z$2RYhTGy4-Bc5-MT_|e$S3s8iZFOfH`mrDC@55vlE%TT9JAGF;BuF!_s-5&8^d@c& z$yfFFs7O315pR0QU>1iF`~$M=1G2EbrAT3%PIJ=9HS{uB^|hu8|1>k~W_mJ{b7N?O z-5HR@N38AuWEMg{C6)m4fGi0vtIlnQ54j6WZP%J~<x?)ra|38@aMUl+)yfjX|R|tG_6>OGQYp^X_JW zXW(HS+X(&An}U{O@enz6ldNDRV>!n}L2y{$iOHlYEOV*S9VC)vK_=O3a4Zn-Q2t=3 zw(XM;*})QK*X6-p8n1y}fGc2Qu?pOUK@v#U))w72Y?pgoa`seJ3T#BwvQD?zZn~5( z^`^b49k((lk_*(tAbe64^UbE$iPN0|z3H|?B0);{mLbQCinfKxEb?L?R;;eHfX%9E zgxad<&8|WV43UILd%4Vv7cuhVT~*+LGdrqK2#;I`MUBqAZd+iqUh!1l{M&Sr;I1p8RPI~D2H23$wy+osSr*`R9qI8$z}i+9R*k#_=~MV0{Y7oquHHm%!Z79K6TA( z<3c*kdQN#W-mQvl@N`W#L+WGQt~v=Eq7cCH5gVj&hH#+ZbOK&*Q#tl46_33~4l|vF zE5j|bim~givI#->rh;Z?sS90atM@>g?(BGJG?i<5^tz5cokI;?2%Mt4>E;(qXHQg# zY*#R|>=@W&?Htx3;NXq&;hwGegeyP)yI*`q4nF?wkN@^>Z(ACR!!dni`pp-V92FFb z;W~r#6^CDrGHm;7qcqD#Z}52ys%grab^KDs{lg3mVr7T*LJeLZKsCm;4b2d`i}^rP zc9lUtG8{QB7v)h}7ZawA@@LV?}(G_kGl}BOM zv67~j#Zs@i=n_Q*ZZ`{~oY0$7*+yGsh%-1EGLB6;%y;an(>@vObH5p?Zr55sAF?V5F(dpVQyB=Yeo-9KPXi!(Qr9R{yb>f>eW0Y zM`&aXj~doPRB(?P1{JiS2nfd9N&UA+jX>A%3D!&A57lfITq(q(2LV6z?yI=>Qtz_V zX^$)7@cTXRVR0uc7Y@;%9yLR9B)veDpd$Bj}l)RLFxh!6}Gg2+zArXXBfd~1#f|6Kva63lB{R6#|1 z@Qetj>oAqh!cAlF&&WIIjP}N2s&LXeHfNA*L$j#fjTOP_TXTfL5A;LDCNRf!5bbTS%vmO|_?>WV=BAw@#KK$;&o9K#B7bBlK2qt|-a^yT&%-B*( z0}|w&RJjUO`&G|GKYd3s>eW_R=@q64doO)T)Gds@q_Hc^2vx57ww`)g$lmEQR+x^u zC;j2byIi`9P!06@yq~Inc2*i2UqsiSI87hCVqi69wu)GdTa)UIQxI9miWFHmWx+vn zGS8_`$MSSu&D_=l?MC#c6g`wD&%2#+e6&+~9O$Ip3+il(&M6k56MO38HEUC6vE#yT zn05-QgeY=VWE_+-@}}fE+9^qANMK@R?Ke(3jlI!0(lbelVK+L_)16PV>f|)sX7-&D zw>8FiVRp*N%6rPXXkB5$(x;^fAt395)KRj&cc4(&T02be2?kE67I*0l6onn$sk;>g z5%db3GN(s{4u=^U{6esoPKF=}3pRR0`*EnsC>IuZEvVKnI#T934U0dGEvTStgH48R zp>FunjDT{*4zVhW59R8PrEfSFrk&jp=DHK=t-!~oXcH|O7JE`A^u(SClCi!+X69xw zT@#Wj>@F%$gj|@4xV$;odn}!%RmuBMMY4A*5Lm!;!md-cO0TdGJ0wpbh>Z=wRp7J> zSYFDGAYP9f)kXYGy&wtb@!7g949&Xxt932zIHQygD2P zg)baFTUbY%5)`&)T4C$HC?)BCD-$KXl&BBp_hH>Uk@F0jUb@BsO4N!5Xj^fw>B32? zQ%TfYuGoab0aHLMXIDb$$jWruV?tZQ6+$SW9Ybb9_Ya4q*|M|>w(YPNQ9b;GDu4US zU;f2u(DlcE{@MTeyMO*KKm7K0|Luo=`pH%fJbxj2RoV8y{y;xMM_!-B5D1b%s^D`m zXU9l778PRKft>zHV{a6g?EHhooobPS))0hRM=OPFLE*x=&bAm`v4` zvgWF=A#lL1Zo#bgh*uVQ%3=;X9_unsC-k+# zPH{<384}O7+%1ykb(vJ*pZeN~1dIm^#-6nL+&<<&IwfJ6y?ZX^sBYNm?cqD>(a|I3 zi0K6PQmF-KJ{unq4eN?!`uF!@&U8LRNT`_gk~epI*>rC=^K~(Y)0_etBvr{;rIC)c z{%mQR-=|w5jXBWgVvf%@KjkNjIl6~C3Ta_GlERPR3Ysxkx465hva^`;@UmIIUCbF9 z(f1ta?PasQ7jvfViC0>^7jx`mF{k~>InZ|&bEfZj_$rdaT5G8_c;bIz4m1{X%$NfS za)$nO4kQq>vC8N6O>i$G?Ua%=w})?8Rjz+gNP8^iOu&_;+#)1!*#6>TPD{toOS>0y z@bKLcGm68*S9fUHtd4NiV^#9M%z|a+JqGgMU(6W_X*XP%8eK#^0aemvcDLmW-%pPg1!_HPqk z6iEDgF=zj}n8PY49mq-V5%IhFbk)no9N#YH#KDNgdE>E|)6Fg5>d+env`m8$I~H>y zWZbBqqqsX3bC#`#x!9RiPUj9?7nMvZWR%=k@Xj&&I+EquW(=8zsEl|0$bKl0uFDwaiL~rL)5_;f0 z=4;vDb?61@f!mjhuWELv3A!r!P;7c`^y-iDT+CTnU<9kJ{D1`)u53H4xCZ}4wdrF3 zG_%O7D!>}F1cgXgK7}cYI}5##T*5cG(Mz_ zhHk7LzERmU`?w>h(G!ZlS?}l=i#c?F>GPU>npZ_`+9?alK*DreoA<1f+vi2uV@9-N z4`~foiSArg!DIxOloW?yPBccv^{M1L!_F^fc6@EbYLZ!o4!ICeQ^ajSL0O^9vP-7W z69kAAE}&{-+pILNK=DO-q8IZ)NvU`!UAhZ_zB)67{nS%V)9E~Mhan0afqEk8dYmee&&4XOgnA$rBFI5s z7m2@S^hbsY!c9i;;X0-s^17`C7JAIO8bA=x4a?CM5R$?!e6p-NtLdnVuy-OPUKH5$ z_bfubdc7UNujgiPBc%#}>{Oep&}yu#Y}&jv!@977(6#F0>Z#VT8(hQCK|7`o2mRIR3IG!2Yd83qgpn?2rJ0rqQ`95q#}`2m_kbXm z(2-I#+Oao9_ON;xri`%;i^yv`r$g5=w*f)$1V=bN+;)20AWWg&yTO7W`jCpcw@B;Q z4OYU{yPO0;?1>U}Xo7=E1_#>?{`DPxwEWW&Co&17$PXq zw#RPpSakYkH&}JC!u9@zisJ8%$!N!Zg&-;y51)+R^R-=zQC>jP#>9w7Fu2vQ))BKpoFUk3ykI%xZXP63)WNOv;UL2eBQ zvI>gRr`M0TcXIC4U`M~C=m@Uyi9nQ|^l|j+?c9$!)5dH9AXp$XjY^5eAfl+|lZN8& ztsMJa4G#NIh8Q#ysGWrLoToQS*1&lDL?HTWiXRB1nkNlKCG0>TOsnimLVlh6Kp+aO zE*40g>{>N6(CSkIEvqJidB;MCqCaAxgg3fXs%)bkY!J5U`jm>nPIt0w1A!>!%=xhz z+^!r{NRPJD1A$yL2iLJ0yv)c)34lz@9rX?9)G1l(MIteR@TBi5Xt#-kSPjVk-Gp0tP(0ZHiJ_b00iGOA<(Kn zSEmy7NNG5%sJVIAhv=OcJ*t5}aCfdj3+_R+ImrI}yro*9AT+wuY5m0)~ z`9qs^_y;5YEtt8^51o-(X8}ToOjWr%Br3v~a5YTz+KoS$(Hxr(_dLg?{#*>+4%^Of zDNHLHsXo|o>F_E^BObImEP@2Ig3_@6Kx?KJWagOXT!Z%E5{qo?JgBx_91bHo!I@2* zJmAMP_gD}n#+x+@gq#^7QC^Xs^4FZQu7K`aR&6Q(nBiylr>!156*oNAC+GRLtAY+t zP}z=dwQ976wMEAr+*e)Vv1z)ic2Y1t;Caq?bTLs_^@}@wxV+&$oi^1wu^<&tqky1? z(XtLTeTBu7_=VfH8305mJu0fL<`iC0w3}jR3$moFD;br!I|?5e{3dX`D%h?{1fBVg zG7w^$eYi9m5{S@v|B65U<{!R;KiaQ;`%l0AhH3HyAhq5AWO)FP`ey)0iyr_4*+&78 z{RSYZGvfXRfVk}^0A&9O0BHk&gg*g5%nd*q$V~vme+Pi12LLgD0)Y4@0BO!<1%-`} z^eq5!e-?naPXNN$OJNNgoH_tV`11gSnN7U`NEZOXtoTm=ki@uNq4TEz#Q(Jb#Op)0 zKMz0*{iy#f0f;a^Y`nh$fcXDu03`me1|Z?D2O!%6fRz6o0Z0LWw66dpd0bay{|Nx047UG10FwR$0P+7T07&}|0BKJEQvVzPaW?=l z-vuD`fF$nVz?$nT0BP{s|1toH-vc0f8~~*L1ptx}tQv$80Lb+PfS^h003hxQ0O{WX z5ae+IApQwJ>c02vCL)c-!uA_x+nsMhY=p*#N%O7Mb7@3YJbae!0ZeWrSCcbi+ z!d%1fCEu{?caD8UJZ=F2XKsUv7r5&xTx5l_5Q7H z+U;P2Za$`Zj`iWIx{vXU`P5C*GuQLAtm&9-ESKN9Y37C|;bgA+r^hp87}&5^gV)sq znuHd;o$_lu;}9ujO=thpx@qCB(oNf+x@r48p7~ZcZNH&O_#Dq%-_=bkj1pLoGiz)4 z&+4X`KdYPefF_2?dNB8RMmWOdheZ7U1l_bf0Zn4hS6Y@qc|~;LLpSXjd%x(WA$Y8> z|5uG?e7~}Ch5$|)y^;N?n+1^ zZrwD-GfTa7)9n6rJhMN?GyaI*jAxv%iT<{LZW>C?V?2XGI}dazU$9B6+Y6htp_^tN z*yMtvw>)&y9^)Abd0w9IOBLMRmaUcBUT^H^oQNopVpdwexUQ|YZrZFLZuD%>O;flO zHmO5AmYe>IZkk66G<-9jVb7;lxONZSG{-#oQ#Y** z-88@T0Zy)`ZdyP$jje-wJj3SK&`qlk-L&@5P20Awx@n}ij0cQrDeS=-AoyF|G=sx^ zQ%!Y3(Zir%t7AL^f3{6dhw|w2t((?9b<8;3PVi1Se3YqY$;|s^8-o zRjE*^A+#&|AGdCrqV27lwxOHWuh?hg)f)_lp_}FqdfQGEDdvS5UUdNr#7gLFI`2Db z0%eS6+=p)3aL7bA%_D}?H)R&|kxX5Lf6z_K7b=^`F}tQ8Fm%(xQ#Y-zu)x^P3FxK; z+%@KgIYk+|X=m1@J~k!2ti0ls-R9ImCdyf?Wwf&Vqpj3NWhu z^?WJL7JMgrW#G$1NDedjJ_#Qzb-V^S%n~oXU8D|A3IKuIO~= z-o;R(PLOhNfGKWaSF>0ZhOys7k&O6A6&!vbk*2STf-8Ty5Rp@4SK%4-X;r&c(*Fr*U_xl9&fxt6}lggrTE}kV&FT(nga6*P!86!+yP0sr0t@jry(ME(}lgV`F%K z5?j|j4r1#-A_5son1UZ|xYa0QzL1E(T*~kqrvBc(xg}f&5;=#zZ<&R>&t?%H9V_P; z`h5?HsO;~!)tC@pW15FsjWUTIr4qR+L6C^Pm@eBKkaY0FOX0aNtdlz}V`JEijp2J? zSh;2(k+u#bVsxBtBvO|lWTJ9mAQ3Zfs{EAQF@3uPIdtY`MH;6Ed_BDM6rQS4<}(6~ zG>R0Ny?JflurGYO{Q7%eczP`ihuf|ux07T$_fC_9FLO9=9(FZ$Y~T2TM0dwFFAO{5 ztP0sRq*tq6t%}*1ga-twE(5ILAg6 z{!T?j*t1Tar+biE-xwZ+Mqpr1qYk=$X4~-`XT6=@WZ~VG+B+rKw0nn42(ZNH@+tZi zzjT`@MgIuv9ko4-I|4^IM|PT3CLVT&B{5!JH3qqVd>_-Uw>27lsk12hnDKC1X-8e# zGGj$m_1_O+qd=!!L42z>o%Kc|WIX+a+nr{3wWn#p&nDeu5v8Al zv`*l(+d>vx_;T#NzcXvx6C(Nomcm{v4XWDA7^>+0R~A0)<)er4l21BwY(*bC_1=2K z?g+QdT@_8arO+@$)jRW{hy*@x74?s~R<8M0p80{w&PDD0QRQ7vid=ALvL6cVw_o5L<> z7Fytxs!EszB;BgCP1o}s`uKU5jlZ$S_SxV6?H6Bv{pCM@{@XY7k(FCXec0te8MeW) zLE>Pbp73Jz^7iSybsp5VjqR7SR+{L-$g4&CUNNkZS#jM}(lTta1o*#+RWPF~p&+d? zQ;C5v-dGJ5(8x&vQ~)kn{2*qf^NoE=Ohbhtbn{LHtK+~@{C3Y%dE=aLVK7O@Mi&lQ zPJDfYeFi0Bqzfj&Bjs*on3?UYbk=U4XY?h5P2KC|Q}%hK3O5R|4oyKCsig zKyt4GD`p+tJ`XDk;-aoux6d1Hci&H$`+mYcZ+zP4(bQ%oI-u4T&>DlfiVqq4O_1NW zPuu7D@37Cq{C&uGZ)Cab^VZ4KzJD-x`#jj+4?q&pO2s~}5cw|qyvNW+<*T^`OkV8s z9s(u^ItrRYAw)pJD7IN;u8-~WhJ=6Kt9_onK^XIGpO-HCJW7D@w9lJ9w$B?%cewea zeO}zIpPi5l>30E7dbcbg@62tKs|;2=s>FuwpSL|FOqA}&*6s7Ms%Ta7u%vPAM6yAE zWIv)G*N^P;hG%=Ebo)HjLgVzb&l4&WhIrWL`4{^<|7xGNj6>g(>g^GQwLH$)=b5K{ zo{H0leco2F&&ypyBQ03BBWB%Lxe0#gqZ>uI3hF);I*z2GD&F>aDv-i{F4ii)R5t0u z#8yq)AogLO=Pvs^VQ8k23Z*^;q|Q(=shF8~oJ|kx^FYjs1EWsTn|^w9nDtRNT!yu5C}cqN(M zzEwrC`KNuJUOVUwH3(mls%5K6ZB%wpq#kYuy>6h_a1cW(QKh^^XM9TaRP=6nu_lnW zPkJkv!-&nJAVR{>CwK3JKF@jYpjRyqr(=}u0|z|}s`CS)xZ6Q*Q21d(xS&;p!j53i zSi{(+r-PnALpfFEC}aq{>jdw;W6}jpnBwlcT?UlXd2vPc)vAj>hS3N?sxF-(28bsTVO3#fZ#q50 z2Ay4Sps>M9AhXIgs%1`i+$igvWU*rr7!4?^p1RO8YIko2dYc}xLa<(azzEYL+khOq zM-~gA$OUn7-6|t6BbfgVs{HI1KeS}?=B)SSfBoXK|MK;J`|O)PeEsFWeEAW(6#)yj zU;bor$&=kWeVW}GejK^v=;V^r$tB@a>{dl`6iqt2^FieH7~tgX z2gxPYj_$udx#VBTZmmC>-TD_Hm*oFd$R+MO$R#>J^}vH6oXhb6xnwz%Lf?@~>Yu=F z-EMZP|3Pxe@?GQ-{~(vVXSde!-&motXC%FW6>&B$KekZv^k@+H*#QaJw zsozg7SwBH8$&gD{rG)iKE-^obT=J8jUL%}OAdz~pNIw7ivv0or>YqRV_RDX-{N^+B zyU+jWt5?6@x=x6bPk~N_Zh13!2{Bl?cAsgQApPfdebU2v+gNvC-hl5GQpM477ECdrzfr{! zOho|&Q$`F_lt_hBSmQ^tI7*>(YD*uYExlX%eh2uwNG3yPnY?J0Jk0r~a*<3B*!*FLGW5}oeU5hC zkxT-C$OdGdf*?x#Zj#CH#xnVUWTNXBrmjY+5;XQsid9Lt8Rs93l-ulA5M_8^lxqk0 zQzR4DNhaD>EfUPvbS^2B+AD7lkNhBM8I zaVyft$bch82+3q}K$Ptwne6XLCguTA{73^Z#mNmU0qf)&VPzdU0R`Xd>Q9hN1n`;C zxfgRj9o*#Gif=1pUq(B)#qEM9UjLuU2xaj7T!c~L+8ZgYV-$t?H0X|uQb}AfM35qluFKSeQIhZW$ZtZh50VK*ZfBXW13bR$0B>(Qz)I8H7i@FP zF?V-^0?CA{+l63t!!MReNJ1&qK{A;knb5`di!Mc88#}<5^Hr8jXH;M_5gXWn{lDp?sNb9p{GO=!4`vB~)&n4h&Q|(K@xjfzZrXzKj0u3Y$ zQ$?Z(Q>Oi9nPf--&hCr{yGJh)3~x)o4UH!J;S}eb(A*q_GKTG8&lkH)$&3oDe*B6% z-zk`)`?U%kB?Tcg)mV_2YxiVU=x3$~%Ot8|)pegc&1B!An-_Lp5rB0FROJZ*#inY; zxVh~JCpYL8N;$3_h#WZaVt5F= zR+5fenp@Yj1>f4?4^_F*O{Y)jgUmX;Qw_5atKW`Q=PIA4>GUisc&Cd~K?N8<8^|mL zLlPa@=7;4(>Pj%LfLW4Yh1ccqN|oEZ?Pf*VgJ%*lS|reyCZ?A{hTbdzIE&`a07vB* zn?sjp)OVX!gNc zn#s1U2=!f49sMKaXqJxA>3L$2di&+P8U@#f$m>Nj2{#tm5y#YZ-zVKaVG&iYt;CP9 zh*IM6D)PEL8Lz@3vC~X$EMmGaJ>9WRSs2ghJr;rFq%vdgy#US?SmZ-p`gCMaFT%SR zkGa!cy7bf?55lW+!4B{HB@VcRvMciq;4a=u7Z%xaTfia(EHWytL3qu&94d78pA{O*jK#-*W-iBK*& z62|w@T)0%}!;W~%gYQaLcu=H4Jk1uSIu*%(9a8oE>OEjf-Tryk7v zbTkeOr8k`{55uH<3`CM$L(N^0UI7Jy$vOy$Ae*K)$Dg837CC zLi%Gk=mTKSmrgjVSBIwcMxUL#qfnipT9rRQ6XH+WVzNgHC1!aoSKprK4Y%}8P6(n-eUAbGv zPRN+i)1qP0s(_wrm<+A@T~X0KWN@?UMMXUq^F6@*f5nFjoaE9*AXSzm4 z&=*RroAd4Z4VWn=IIjvLm_aodT%c*0Iy2C8sLuyKAIP2~Gv}hv zs!_bar&rODMrl<=i1MJIN9#MmN_?^7k)o2KT0NGr2_43?+a&m`YPnng(oR+!2w5S$ z>bI_{mM0x)5Qz@MYA{(+yjhyE-06fyRTH(OP^0LoP@-gu5UNm`_4@UGuR>`>$M0Cy z+Q)%NFxDbE1}q|GG@b<-6d?vhflj%f(3U6`A(;Fg zk^G>g-`~$){?{+R{_^)q^UPEX1CedfD(HexFGs=i?rw4K7S1-| zm;eS%7j$!qnnBrn0oJNq+Ox{}7p&6e{S#Pad0>?dtTJYS^m=KqOj}>h3BoEHU36=j zVn(0sbc?#VV-?3}XFtpXt8_ya#V+9jVHNxuBNkB#)dNz-A7GV>f#M%n#ox<0X8A6x z(&C`dd8{3)%pYNugx0HykoZZg(g`R|C_d(ounHd0H&$6AuAB(3z8mdSU;T%DlRpWo ze5{?8F0A4nSVhV54XY^8p`B(rRzb^F*TV+AQ0qP+NdLep>C;#xgH`;|YUo%60XB1C zmE5sP^?yOEG9E(sZoaWfd5=|8HYva%UKv!1n+vPVpTH{SW2~ZUll8ll{uMXCDjp_F zSbrF+)BskQ&?~#JisDo@>l;?7(+gH%vg5AF&V^MjJ^BJx@gHNA#-N~M74r_O#22jc z9PF@`G_S+5j%^#K-jHuw8Mh0o1Wwi~Rxv+_RTQSW82u-)ivIzu;%=;>Bz?=hKC#N4 z5w+Awjc-_`sH*H(CEBBGHt+j!V-+2bX-F4V*>0>dbJ7sew7al_t>2AR%#Br69#+RH zQ^zXE(L;v4u}b}6tm5_!;nx?e;=n5V8&+A(yl+#Q5#R@_D9+Xou}TK3z|)3lsq4RP z7Rp_{uu8_f#vuQp%u4X=SY>=-6*@ho9Jw>Do>)auko^eVrHN=CJ61v6`GDN>V4J*R zmE!1sFAD7WlUOA`u?lvG+?)%mxTF8#v3Pqd7gotntP)|H3^;@f7^(D+eZupGReVuK zak!e=0u9e?e$|h4Z&(Ef*uWYESS7VtnQDV?q96W>RlKkY#(OH`g_yu9ICr`6G&kHX3GB zSm@}~#v7~D(~Q}4tisC;EDTn(h08}+<)?H{UXjW6)$jl5GxyE!KL7nce)jE`zxl5p zTKlmjR25toYu0F02~_k<%8`zm^mK?bkD1OkokDzi=DJ$?=TTMOf*QOTX#W{BsgWsD zJUR3Ywj(b(3MqBfWX0H&&I%QAIg-kf?X(I-dgPlCT-3!|;!xc>9U(DybKAH^L3yR< zlA!sNu1T-FIPS1zi3A3p1%cx^S(Q8V`3@T9gHFWM8OYsbEUl~VA|&X#RW#}AwYft| z5bW8ZM8VN`aPKdB!o+&Xmo^ zW4?2H{Y?*|>~aRkz;6Q{mB}xwI}c6z9ZMNB>6MULR^@SP(myPSk)$8uQY%(xksQDDw?ehBLbtO?q~BsDs{X((6yKm4p#Mf%09?DPXuF zIK|whtY-K~lfK@&JIH3E)Wd+sJT>Wc!0|c4PMbg9$G>atn<=sE=h_5(mQi@lu!uUd zpMVp_?6FZiSS0ecE|^kuP5Rm?DEdTmLXdoT)1()|Pkr2__cV9D771G)@;So+KZBAR)q!((5 zArF0zn5KQ1fwvfD3j$dW=VxPS&g&7BRp9BlMjTLszrYGAAv9KoMfIxwvcWdz(xf*u zhy|D0QqKBblOD0&YqAq?^i|%DW{i5tL%}>*xo6T1H6?dVdY^Yzb~vd8^BaMbaY<`8 ze7Q8~l|8|C`W3ArNk5=Tuk$Lvzh0X3l}v&!39fOP5SBZ0x3e^OvQw}boNy* zBS}Bx>eCK84`xnLm9iEAKh<5QQnIS}>76D${%$iEZQ@o{ffNTvBMo)bnQZ&oHR(4- zVRhDrolR1T?3(oYQgcL;K0wJgEZ%fY`t4LLKASjaMBEqi)T9?G*o30>;7&FJgs>)% z0xXJS&0v&ElfEg0?7E4D3VR{XDr^ac3Q(_|y{}AFSiqEn;(iA2=>!$Q!aR9JT+z*uvi+Nq)(Z}7k zaah@tS||X zRVW-%j{w)I!=tzZn;@at#>_@{s-fY{ymH_3Y_}Z(lL}mOC~E6axLq|{H-+{BEPCI2 z#tBSt=~QrD58;_D;ew`sp#q{%WS6Adwr+Un=G-m}FtkUQ!17%s1 z5aZBbpeSex`XwY6-ZM~k+)8ZiD?R37py4gm{gZ(LN!E_<87RT77Xw8Ps~)`(GW)#l{>ea5(rUev67Q+clO+3& zfnqNP%2t#aK4zdySo`ThxlWR`(~p%o`7sO>``ru_|HeQ`-^oBpsL<;o2)KmrSE2tN z21-srH+Un^mz#mI_f;M^7vIG|sed8^1v7)dcF-pnD2jp?0|mK`Cj%vant}2vb26NM zcB`^}Vm|Dx8%<}RSmu^`pPhkHe}I9KF9r(Meh&u9^kkrv4;U!!Qw$W9hiy%tVxVj) z%T#gJw4Y@pGIo~ioE|LMXEQ~0$U1jlw{cKS9H^kDn}HG*6)1JKFANm_e(-at(EIlc zl-wC8D&IQ;CA=_D*6(1T54;UycrPqpZ$-I8RKru)t6zsNl+j3{1fTdm- zC@TyU*OZJGm309A`Vj-gz(C0t17*J%D89ZiQ1*`*DC_nK75X;@%KD(m{tyF2AaO~U z)it5q7Y539Gf=wxhJbSf>!$Y%l4ahY7W}ws$7%2DtFn7MA`5F zia>S0#sB@;-+l4*H(&g>7d4a#F$KZobD8MP&E4+}zJ-uVR_HG8kqUl`DkFqcICW01 z!`tDc)}I9O79o{*C^|&Dqr|3SNp}$!<4je-P^cr7Y=wd*Fn{0T5u%yeG0ZCB(PW$Q zj>62beW1k#S9XDtE*M!rDoufC6gs}RvfG0zyN^r-np^R8*d9n_d_yWTNJRm43SKW9 zL$snRS)WKHj!PJl`4Fk-f+VvhUAe<|q#`u!3D!bS0_;xDf3Era4-Wl&z$d8pj=@T*?Z z5#A$}5>huIHk~@5)1~6J>)?DEsiY5(N^9?WDIfaf^mU$(1=%`Maj#t2{2fRI0`gL} zG34Ucbj^I;FCEt(gH&MX;GLxyy2jlsAq1~##VYmPNX7l>T-ohoqyks=1EezFT-k^q zTg4#_q*Bs{T-gy1ul#PEN66(uDthH6+d~1u@2Xwq?(YXz*4b4@;gfzj`9dmaisz10 z?1L-2ukqr_E*+`(7o=h?u57kT-#Y#Psdxn-gc{ssLfi$}^1fap(s=vjBm*xSu55Wf z_E|A@$FEXIW#x_D3q6-0n`-nrhxCS2!pDMa?mbd*!=aKEkIm5`$d>MX9@HK`fmGi7 za=IX!dmxp0SXlVF7J8VJE^uX0(QHD5%LAz-1(VU?vP+djX0tk4ILEBl#_J#xzHnu?CsIidu55loDs)9YiBxh&D)r*ZMji49Qeo~5 zQqe={`^IlbMIRs!& zZu5wD?gOcKk9n*sv-0byyVdZabi>2|wI%qR5sDT_1w}U9q4!8-XTMmLxp%p(LMrA1 zq_PHV6yLbAEMWGDk9{@FsU4|I`Hd?}Y3Cb8_WDwbE*tVPH&TgQ(A*G1UTh9X;;0txn}&rAM`q>Q$XyxUycCXzB|+!ky)? zrF5in+(>2VNJUq!8|D-Yb95(Goh=nNC<|m2LAq^Yb@&J|4otXI?#I%R3SvBdAF=&e z$~d1}K6i`2kB~|kVIU(JcGPq#>LMhG@0)XFm~-me))vLCp1HM19}89HeFpwNlD*@qRyNn ziPX2DX0Pma>a?e^8D*Oro>aZ9y%*K06C;voh&oo9j?3z2*e{4oRsDTIkwIu=6%ws8 z$fRD1DD`skD>(UuYdMU1%n3W|o9G)ZQ(~dUAxy|3iXZl;lV4%c*j_!9gGv4ZGVw(q zLCD08RbhK_f(R~;tPG*gwz(H4zXmcf7cz;l76BZ=u%b*g-CI1k6~?&FsY}JqwJ&u} z5NBE9(M?y*5Hi^Xc(+?B*1j-jAIQYK^iUK#D2;TL8C)u9?3a^Y>3S#?<}4ssISG2w zi$ELpI^Qo9`{LxMya_V7rDFA6nzD%b!A0XvoV}g=!i7x2-9xE?cN^I2Pv0#SYgA>& zhm&9V5SfIQbnNsV=qV_1Y+ayj*GI#vv)st!Lnpu29?JM5q+%7|=%L(OJYiN2-Sz=! zewZEgHuNEcTPBtL=)~3!bYja(10~;LD7%XBS$Str!Hey1edxrpWjvt^_=F`pd^>iX zc`^EuLH{KNoY;_grhih)u(~x0flWIo{d}sk-3^r7bz;jqo!Hi>pFi9)8FqWTvo$zE9sWHcy$@pnsHJWMa3dqBJaKnRoWu z0|qSmG&d?)gImkx;-^0jD$yxHac|1yZOs@a_11l4e0{k1A%Zfv?4B~Q2_02kh-uOp zyIlOrp7j9u0U!^huRLBZe%{B)Gp!SD2@2_j-AtbFVvW_K-C{tz>9N7HbYEVk(5j*i zb{!I+L{S(;Z+26Cv33Y7(a=80(@jG$my6%h+b2vX7r`6$j9*1hx{IGvWtROYOfqyA zzYufS)2mFZIf5}qmx&FuPhjezWM<3Bs8w)TB_Mm4gp6$(6sC01gw~bj3Arja5jWXv znsTcQbuO9M#Ya^g8{V!*S43zEFgn{^CN>YkAMhUAOkYgbPsc|`VYBsQmc#CWqA5|M>M+fA~V^ljby z+zTw|A1{gmNuew$!udgYM1R2&zs#8eiU46v=ehV(-&ED8uxuCe7N%0JI!C#7O`r#yjF36^rs{DiM7o?ZopF%S&!D1OFKjX{h4B`=PFiH2u ztXpT8D?Qy1#LyY(t2>US%ss>L7X(SV9>(|XQmt`R`W*H!zkJ0T+dIEeV8uBr~(3jleOIe7yhhP@8s$}J761lVh_;0F7! z5mwRgjtyHn(ZoCfNP1f#7Q9!1@mSo+_&(3(k~vWnN%T;{Ec~%4RL4$+wcw9H&~D*y zF~KvQ*xOM$q9vnfY!0hWLsw*C#1?g!=cx3QpBu!x0C8SToL*E;oN}yvh_JcpNhf)@ z$guOYt{HWCltD_b`7DAL`ciWV`Z~HvsuhH-%Oz8P2!CvuvWRGx+wA}R-X($4THXg-4b0IHzf8st4_YYjc$BV-0s-J`E^yu znh>f&@leV2M7z(`;KCjc2i2??mLC*=2kg(&1Adf?1)HBL^=wls9;y&~zz?^Wv9hmt z!2IqcSk-nz#AUae2rpgc#0Y+e{nA_$egG6+GAEb_D`4sU?j^2qQ)b=Ha>>Hx0VWMW zKxGM5BRGEe0P3J6vMK%O8R;mJI&?{A9V}#FQG_W%A6*vKr~-=txy%$d>((RaB#apQ zPWm6?>4kA@haXCh^SbMgMY{VxlM-)MewMidI^GgrWqjL$T2X-Jdfd+RLYOlBLk|Zv`;v4(dMai zL9*hqif7&Fc|{RWXmcIf)_0dubjwI)J-M=iJAToVGe&7!kWk0an3r|o3vT@M2bXiE zufO`{t3Ukq%in$e*;oJY&2Rqr_2-{`^W{JN^XC^L`RPxLponKx&Xrnbfhd)-s^!@& zUzqJFS&yuG>(SadN2N=zf?QQmMu+VND2hd8nC!g!bKLv^DJq>T6rVXHzb{)Sr{;hW zOwo^BeLPt`j#()p>g~*mWHZlM57h{TdBoT<3eG2@O#&xD5mrzw(s}}R&PtGLz3p^r zUz#QDM8)7y$Z4RP=Bo-F3VS_nowbUu$6QaOc+xpD!YTLKP6MUjY;E>=O{1Ow!m+wV zDhOa>E`5fhM7<)8(;xsU;T&5>DIq5kVqFiQF_%KqT3Og(@_^pLG@MXeYeYP zs-qT=TPKX#{uMNM$u>%cEaTgzUc!yK!yS<&a zPD$yV5+vCidprJZ$9TSA%J8Wn&IdCbc8vX`l&7PpYcVB#6Zo}rM=2X9W%vN5ga=F! z3LT$~5~V5J-33)j5U33^jee(S7?@~GS+#n&rR)V$)(1?{slN<|X_OG+KK9h1iv~(j zI8lW%RI?Jd^n&!Q)&{8+A~nL)?joBk8Q*l}sKYW#Q{{#@`PD1gJ5H&2xSJ&ppu!AC zWp`cmIK2?RLJysNC8$GS8C^Kr*AVEHK*mwZSj>80IO5n$O)7+E7!Z^5Vd~1UbzOBx z^ygKZdsXhu6?V@$P-(1P=xV3{VG=%Ubgep4i8DJuWLs!RjBs$n;@2?c6<9iy?#^{Y zc($iEm9@ym>M@$3vtgY;=F)23l|JIA$X8%(+OW;l2=B1kuJbQ&qN2U|cW7nr?UKRv zMJlE^z!fmpIqR4gC2m8nm6qvah_mF#K*Zl@MKunT8+LaFRp#ODmpC0)XqXIy_4b1k zTq%0~%|xT)tAI1D9+F`Z!eVzvpwB7sF62o8D`nS~Sl(F!3P{2$%B%|dQxUFn%7e!; zojM+2#jE1fC)Ou5Dt+}7u>uQeSj}6%B(vId=yr|hZ^7GxEJ+pKLH6bo58Arz)Netx z+cWc1ak~wU9qpwTF8A713?}iCv1)^ z0=N}2g0pR9K`RK|I-QMclykwBIgU4MsUYFaqwL|2I+0RudA5b!q9nYvv+sM4atfS2 zJ%Ql@5oy&D~Ho>Pb>$0mTtU@g+ z#L8?g!K5Qp!rYQpw83$FD{+2`+1I+G6;BQd$6CeTRIJdAXisr21MU6(a$1I#-D)w>&a&x*T{p44_MC<;S zZyl6RP*B`A|K;=Fe*XJ6stLw(*fmXbDKavTcn5XSaIDJSv|?EHUYM}u#qck5!@r2(-)b+zKjdN$%(Y&oIPYwBUYW3Q(s^2|BF!U~)VD`IP!X;$xC? zIsRElhwZx@|ML4uPDPqqU)NlYe~?!|K&n|rmG=vJMpLY(r;QT(C?{>#s?PQ*#%B7; z5MG_*XjgVAy5ry62_}m4090rW-{tuCN-$Y_CnevboI%*+DaMA9{YRsmiwZmTPRgqo zTb`Qnk50-!FG4k$qlb&HMZtog)XVYDg|S|(6y2A6bOtPP*!timwDdfe-(a7edqYMmH3WeGGC5=rmulB%9(D*KmFJj z$3Iqp2c$ka!DN1O{G-RB^xX+2@GZOJUt^Sm6==T2*iur^?2dl|ne6jI^t9nrq+21+ z7u?bi#CUc53pMMND6#@ijX)Ipmj~voI{i@EGq|j(@C}?44ht_xp7Gi>iD&6Bd3+p2OZ9|5#w`OxQUhg`gl#e1|x} zo_=`KVsj2<(A;4OlwtTK0*$dOw4CP4@lSxPw?|b;=A+d~=WtUjz$3(rDn*1qZigMj zquFjni!FD@zwOQOPgTl6rLsbQoj^>B$w=k`ZkaddbuOEtjo(zvl85luVfC9)jw?9+ zH5~s;TPLBc0A%ld)r$!Wbxg=~W#T!hL{GVk#b#B4m_WfTS#})k2BE2CpR?X{WfMO8 z))7Ek5ru^WyICMkoHJ_Ybg5YVWO|aPvrO+n$WK2Wt(Iw3TcH~?$xcEf`DSx^l*U^~t5 z6KE)_nqVC#<-U$n7D|;_*H6*Vulv$QeVW&09H9bZ^hJeLBX+~O&=ZPQo|n+st*nqu zx>K|A_yGOjVz=(^?1t;LhckBck$vATf{2|TAXqoS3anqT)m8cEkB2yW#L;xB92ua0&12h9Br7GQerVTnZ-G4Tm?oVe>#Aj{(ki z*$vZ368cERces9w)iVnm{3paC)y3y^+q3axCc0j%?fjNOEXpO1}5nJCDT1t2M$|dEt?Cc57O?FSsu3I0{ar{k9txh{wEzoU7{g zyX}VEdmc%>gkVj&?S`*0ju${R+rv=j$!@)QB%R$lzPB4TiqP$2yI~cdeE8dLSg$_$ z&TcK2T0K3Cgx#>JECC>RBs$e6u3-ZPrq>>hD%V5*?FJtxE_EJ>Lbm?+1wQUM&i)2I z+{fUfvXas5hTW^(uqrU+zVhARquUK%;A89HqddWf^EddY`nthkH*9XZ;hRSyuyBJ9 zL9juo6&{J=?a67=ad8DNp*n__J)A?ATfb@20Y=hfVrTwj3kRNi%yl@}bvbUk;XZHs zSO^v~sI{e+K@Q*p(lnj~Pw+AIt!se~^8!Aq>XvRdZ0wueu#Fe^K!dfc>vG!-Kf#CL zQPMT2$p2=5jh&urIJr2bL%m+3pYFO|Js1wVVU&jQZ8zL>LL4$wlhKKv;D$`Coq{s6 zz(;Q0+L`~fDtL9E@oYRo?%dWF@L@XmaDWeCeWyPdg*=(@?O?H8v9w*_!$WnsbYK(x zR9$@BhVwA%S6AQK3bZXH;FTN%y;zc!zEOom+BB_OR8%lD65dXMK-7e)Y>An(1tx{eAiJAHM$Tp9D}| zTOq5yz}%*3S=yva+SN!fCFVcIGPM!IDHrmC+p2J8sV>JYw^14BXj4=iZ}`ok&9&{I zHwKXUG42qWwOjS>#PUPvGK`1P3L5x2K=Z^ecdcMYF}Fea24gXp$qL(b>#nTyv2?=D zIiu3H%`lG`d83hq+to=sKfT{~($4 zUExv1m2I&_+r=D_N*-GG+0eh{gjziwN9Ww?4+p))ak6=a*L3X1G}FM$ieaG>U26yn zq}*&yU8L3Rfev(F31@z5k{nK;K~FFRvMv0fb<#5ndaO4}nJH9s;FA@MxI|)={HM+? zYh|U-Eawr9SajK6c5v7h-n#SOc3Tl+{8toPhFTdMG{r4Eb#5zSsz9_tF6Y0@%6R8E zzv`Lng!>DnlyeYF6U0(r(@F008?09zx@%kK&UhYnQ5CpMm=SrW5E$4DEw}Msn}!@x zd*PW(`!&CbPnyZ`aQ@SG?t1l0c<8QePn0rTo;nZbKOl|brhk(&(d!wZax|KJ`ovgl zCQ9O94Q;kch_i#&mq6Cx8sLm$GaaUM|5i^|GCxsD&V2_5E;X~Zj#BngX^9NB;QZ$> z0dq4R7@K7s=yv{NkBYi##qN5Aor8$rLnT%~MTHDj!~;t><{eCFHjH5kLV!q>TKzWk zRB8*;wCcUF0eV^g^U=0wL@`SeC9d#|_~ z%+t`BCgoN$38U_{au*HFc5e&21&5@QO}2*d5)9ps zSYH-{Z-JF?E}`w|wNsMDE^Z>Q!nShO9mx6IUF~QEJ(Q;(u`-sM>0Z_)!zUpdw{=%- zKgNsls#t{sifTb!R0N<_+yvr@gu}EQr+%-_--tM`Af`Q4t+A2nM|Mg#cehHIp@wB3 z-RXmOv;sRwC!)=O5!R$&2pPslkWTb{m#ACCnz;^OgLI;#H%?`mhZ=F@RGGi3dYfB* zVVwv~G58qiiV31@eiD4i^mJQ26)Pvl=IOSLf>>BPwTh0%OfD@r>)38i5(PT2eAev| zs?ePcvtFGd!aOQ9)wJU1m@x>mZ2*)TSRM10LxGZ=PBU?7@I)NiB=3LLH0u$z^-Qu-~ z)5l74=vYON2dGk%KoDdV4&P}*AZ{o87ZunqtfH7!1%{iVD_crq40D$z$BdZKyziRz z!fX)(*@jd`Qr)4eR#Hs-6*R!X=g|69>LOgxV3Yat`+(_cVTo%0`x5Pfa6Z};f=}I`Z18aAMswkMIAK~$ZRA3wQ zI7YH`+t?Y|CeYiBRDSuhpZw%+e))^{V)g&&AHMp>-+uY|@Bisdu0GG;Yz1r6Jx1|M z*K3;W(o1MT5sQDLfP=o}Q)x|z7{QcgJP%t3Z&8L{^*|E_uFkK*+3Uu-+)m4WnlIP& zV?xCS3sjwwn4O6V8C8Y4qJ?$a;IDCw-fP;Lin`u|Pz4?Meo=tu)c2nqHXiPp+28_c zz0r}%-Ujx{(>7!uCOz|R2A-$nR5E-0O~Ge_YM=FCSD6oRyqt6{SK?gz^hR)B&v!1< zV7o%Niz**f^ui~Hwi$@zwCL>a zNO!%i2P-3m?)In0RzhThi1lET_9rwMln8dzMXvqe)vNHos3rRQez{DE^%W-H8z@M4 zIdd=J>~GYPLD-~Cc#qeWT?ds7-IRFkQftG7O+KW+Zr^F8Q;>5)&o#p9z1{}?3*d^{^XWCOo`-W;p){!#{J zO<0vw)*V6&1piJ1f^`jqP0)rpz%Joh6BYvOw!~W$HaTEtdc^H2wX)Kz8|b9$^{`mN z?kr^}XFF(SD9tVbY*M-{vCdm>q^t^wF&Q1|hR-g7Qm0Z&CU(PnSd8GQ4zYf`+fJ1- zWzDz*?z`o>hl)U(|iuLTu&eho4r+C>{6-K+Tutf(u zLJ+V`AyscOSx6c}8;8ze5Ih(VWNC`O4|)6Wl#InB8v$p<Wu>wq(9t*XESv3zv)B8NxK)>e|tE4G(r#;_x9|xf|wEY&pS3Aefw^I(^ z1vQukxdk!UD9o>dHErEf)_UQ3g<$rc0uuawAsgT8IjX_*{&m$jGwUfdre8S3&NVq?@zH@dEIoszwWWqv4dWRj+~`CxY>Qs=W95h z!Y5K_e{V|6m}k4jJXU2|FnX$WQuarF@Y>Vq4V1l4J#NQ82(V8P z6X7lHftw(n!=b=n|k5b}eRaiK&*1S);Y}H&S1&}g4 zPzu4OqmxBd-buWBQQAYJ7rZVJA^|d(deNlEzcK9DfvKx+(*<*Y}QkR*!DK&p@Fgu zA2VQ2UpfPpFo`-w9*wzRO6V}Y!9oN%?e$@D1JnI3Wa2r z@Kig)G8vw}#BE&=+xC=z^H`MW^{GuT&6T=!rpYk@a63Wr7KinZVb9Q~J>h1-QV{z~ z%f#Eq*08SP_T1Ugo3rnRJtNk{d03WK^gE9QF>#IvFa=?%DRh|9B0R`8#$7PVnyPxt zqC!6+W#UJFbx;O-N!Z&H-@grY*m8AHlwVb+XQZ6Hi!Q*!4X6HXSaAMZG4xe@S5`8U zGLE9S@7EX~0|a6dTGaY;G6d@vA>vkX{u}g-S?I_!9AiCb z9d;$^zBr8c-WFEqt{Vbc4QVU3=D4NEd1UC)A)8^9&1qfUQG z*`K=ZXKM8Eg;DgE)0Y zNeLa7s9K53ObPEXDp9R9L|yxR(w`SbP+Ue&2xXI9#cpOX6y`}8>3hyfk#ti~!CP=N z2&l2M&Y8;q5dtoV!l)qQrsEe-@?Zfo;CQIetXc|H5yj(q8653&eC4r0BxYIA0|di_>hKb38bSr(q%xwDr$TIX zPL-9ui-5`lpZxq6KmGO3{^qZLaEB-S)8}9Qo>9+lKmWt$&)y0iK{)xS+AOf5rWJb@ z0mV(={3yqD}8QbDIDRy+dt+I~{vm-^qFQ~R`fRto4G3f&`zS;n}q6v zv7xH8aJ30~-jGGds6!T%Ya_aA-D_uJMxI|G%dmFHQqBca=4qb}d;yzBRy%CxK`rd2 zx0#Q7tQKDzs}IOB>`EzUt*mub`h0^dT!)Y>%oJ7|V{%>ST{u*a=m}XAy1Kf_(1$)Z zWKq6hpG(hL@qsnziLx7Y9XDhd%e`9cuueARW~L1Ff-IeylD1V}XHj;6@4u~Qhb*e!R2ktuB@kOC zs7+?MIxEX#=JQzEnchK`tw#;7z$!`{YOhfL+~#Y_o677t{}gN_s}zM7z> zY>FqL_mdQP40ci=>)44#)QUG`K{^VB5 zdJ^ombOHOkoPD`?D-cAL6_=uC(|amW-QK^QV z9WcF){03QbQC2Wm0#fCBtBPtBR5@;_5_YCN^zGqg(8)EM0Iy1THf;q~j1XDIaCON8 zpK)bf9H6_ScwT1TwS(K@l15n~g5&sVWrM*jcf9drol1P6Ku!TVL90dIKo* zDR0cn(RXZ*Jgdw}37G`t(Y~p(-`J!=ij8JooU*{MzHRCVhc_lx0yHWmY6mBES6Ei? z?OGCjQ>U;jKKkyc*vceq(sUWv)Y)*SYco_TU*g1im2yEJ_5fC^3Rkwu+};ryNqK=3 zi@wR$ZAr`EV}Ns@$J7vrEpAlRvUOJMwXB2xvFZoK=*=sbsUlZYnvGXR)p1iHE~H)t zR>?Nu$7w@~`OrUuBvi@;P8Pj}eQirqEkr4BZkX?SWb9elV78?{M5jk*6VJm0;5n3k zgT;_+UTW)A#HsRI4>gpif3_BMx-Gwd4sD->kvl8J4Fy_!8FvcfGSie|Q^)i{kAkRb zCsw4Hhq{QazuwN=0u`zP9!*>QtCofnYk~(f`Pt8Z{_~&x`X@gKP0p_bO#b1E-@St- zLL)Xz0YB)e1rE8M)FNa!PqWoOhlojIcuG6^^*KBUDGmur2g^jCBrP$p{@+?U!ihdp z--ET-=vX%knkeaSEaJ^UrMmv4o@xrpOBRFdtf;n5svn_#voh=bxgdO`d^QH=H4R2e zQ6}6@;S>GDDWjmVt$P?V_{4b1Q^0K*Z~*dx_pE@s6Xl(KfiZz{Ohteae#SYaW4`7- zP8DM}3@UlosZxRBEaV8;%j;gjkYO-iRI}8sAw^=KRC6{o3*q4?-JK~rVOecyUfTt; zo@1X68!GMnMsdtHZ#Hz{6Aq6ms5jx0;Z6BOrQmyXQl75GHzP&Kd|zQ77TWLG@I&ozaH`M3!U_a zO6|fYAj#3(W1qaDP}tFl&V8n#;#94(`O)r%O4Wt$T!2mG<9;eSY%h!yRr)2rt`u+O zIrmv@7y%%x&5o*|IwM8bQvqXrYM*rGQ$lSE;_TH>fmx!MayWz(l)Du0l`+shiK@cl z3Fq`p6?RuGcA*o1l3SkWB*!j(vg#yElj3j(yWk+96WCgYrmzm*x!nr(tnHw{76+B2 z%l@{v6OKt~Ti+t<8m+LG^VAeccgQrfla+gDryR#CcUD!yrGWxKfsT?dM?e5dc#5#? zRvGnfrzojyuXL2w0m{%3%7~V#O8)%;Q1rd^w_X7XbwXLXh?WvPntB@=Y!uf5D1)*f z?!~>Gvcc2W>u1-b6H)|9SfTYhUUSEsSle(k6_o1MssPmyO6kp%4pANmMY$;}b?Hf% zb7tPNcemTou*z{G6q+fjdLmoJZKfV_!)WudFg&fWXZ6ykMCwa3g%-7vZ6{269rjuA z+9G%>;DjUlS%guBtv7pEk2LyR4xXRe1^!f4uQ~`($Ja%gUpg{@eI&2z)R$0V&2^kQ zM#;nI=Lw1Tx>@qU?pB_Lawe7sN*{LCH3w=H*h+I2OdsDiiuZe?c<4aI;|J(ZL0Vn? z3#U|*Z=5nS2fk(Or&pYE81}aoKIXuxl`_qEM$?-putiQt8!Ww9j89PSR$4Pub+`#7 zulu3GG|$!V7{i98=rW8@nk}5Gg|Ztt+!1AGK3_$}GYfzeJ^JSA99LSoI_i)jPDE7M zQ<#QbI3+TMu`}A96^cX9MP9HT5`+_OHl6ys6-aUvx<=8nAhMv>AAB%1v;iYw4F6_0 zSVt|1iBob1JH_Z90Agm<=q%+is6uo`A52&Wvx{+Bt5O`hJql~cj;qK~J)Y0Z>6)^R zrhuJ-sp{3(o2E$6>Jg@0Ic(A=cM5eFwZN6a@@=Lmv*Y2g^Wm2(Z zP^p3wCp2f$jN<;FSgkMU?OL~XDE$f2tT1;X9gvkx${6*ka68h)RodQ*>NfqfEgpTg z;{^cAkd-ApQFn{0P?&8CmB6A@TN%wL#g()v@1QQMf1_d?`!W>cHk^iW<{Fhb%jsMZ z3cz$rFw=FcqLS<)$MOgJY=;UQ1vUXQCTt!M()G4sQRqtvkQhCVi+{3rAfhPv6oH+( zJUzQHspzV(r?wu*uE5sOK~ub}*5Yo=!T3O)-ai!BVsDf97X>y)yFtg*GvhKyxtTrN zp{u|O_GG)>3T$u79XV#6HPrZ-FCuD~|DNwB#W1-9jb1)hM` z&-S*!1JrSMmn16O4D&M1hB;u~ugQ+0B+K**f38e@C!oAp5_bhQP{@9vkct9Zx6!GU zy5n-ekch*Ucx0xYC}gQfxFNwd;6+roGFr!qkm`5UE?%X@eC)kRf*8)O7h{D%_M@&h z?*s^oeBUG#Ec zD5HYgc0H3pSEZ!G!W-mRF?P>xZDGHB{#;v>w)e8A0H5r0XPDLirkvb6+;fK7P`5Tu z`LzRmBco%i&!ao1V1lU1ao~Q6SUi%9D(wIssa{0b64HSFn#v{Q*K`3QL#IpyfG9Is z9zq`IuPIWr;}X~&O@jA!_*0m2V!t3ojBA84^PY?z`rH#UZAK#`-B~3Z5asidXWwlQ zX^lX{t*QZ#+Rm!Yq8WsUm=y8*Z_Kg2^+x*6&SVhWf=}WebDQnrldO7kIl;e2`xR1h znJz*4Yj87TKW}qPqk=PvypM%pUCT?v#O_dJHW&wcnbCd~Vyjm1RN1~D*`fA@f0m=sTmcn zecBu1Yo3Xja2#01s21OFWVXss`i%nUst6e2LG~@M@7-zEYBt4D+Hibl;k{9ar+aa- z5H|8-47L!N?O5Tby-cgo0b5l&OuF{Ii}}zw+fX=AJ-ke2Iuqp2A&-Ka z^@wBH1+bLou(CzyVzDO@Ko$IEPfJ(YAQRFt$IpKL>tFo*p4q%>g_J-3;qxy)f8oBK zx;m`1;yiz#SH@hV8tiD;c@Q)Xm%VT}7~kkOn|Vx)RFstso!<7aCc!Jk!7fCin6Fwj z99CC;J@-Y~X+zW%$!(qH4P!**sf-|^zL?#FRoL%s!@4O7D*oGEDr_k3&AUY-th%a9 zIn$xh9X1D69iBZ%ECa^Y!^%<#6?Gn_)8S_sAiRgmO}HZr4CbM-mAxHlov=^Dr8$*r zMHrd+eQW4CSkqJnaDZ-xtI{Y<)Ab=x5@u9At-&ynHV9pz-EM3L7Um~7TG!~5a)uX# zv0SZ=W-qJ0-s;drH+QQ8fuM|LRe2iVYzF{=`HVgatacDay;>dqT+1y`(pQ9OjXVc2 zH6U<+0S>$FI)ig3xDL1nsh&Pex?3Gl2)-)|vzsS`k!~~L)DedHBz43MFWeCY(At-8 z>?jPeE(!)MQ}XA|aLqTuz)aXTRRls9`CbtoZiNzLx%J5z0fI0nd|AFxAsqKlt_VXN zp@WL{WC{bDvClz_;3y$XxhoZ%DD}A&sGN?|L8tM zZ>^3^@MuBf6{%@9d+#|6fWg^WH)QJqB?Fq(`y3AJj93(yXVgHW4sTs(tk!3%0|T7= zfdNiec}+05u`C93s}hDFpc|#aST|@F7F6xJKbLQ?rNMi^B|V5l?h(XIw79Gr94h#^ zEqgT9uKF_yPj9UbFb3Qagtj0LEjpa{90m?sObF@e(hW5#Y9q4dbxc(OlUvKN9A~(# zgww505)a|j3uMgnIeZ=4rbn8W(T;;~0t?1EgbK}*5x(73>8nWIUfUeH-50mjxy!2W zh8p1{R7`o;`i`(d(smo*9D=s0afg+bdMD%WQbftN; z-J9w+g{!FW`hXfKbXSzE6Gq%Xqa!UNz8BZhjoEsW!*>6bs>?`QvmknQ`QOyV2=6tH zc3xAtpvIsJH_=8@o~Fr>(C2{ji@kd*x%N2>vJ|$m>cezwr8lSZe4uG5m?Eq+q&`0v ztQ30oDk3I`6H4<*Np|$t+6}^JxWD6GXP6ex0($C5lSNG#t1pnL=qgQZnnX2yh6z zk7L%8)l)-Dog?qcEEqC}t#k*yg!iiMfyW&Pd6qfDBeJqY&g^Kc|@-QIO|BWd)XSb8%|? z*J(Qx5H0pRi%Y7`*~15ggyaAE=}&+8tH1vBd;goiA3y*451)PWhp)fohY*Oe z>7@FcRbco&I(${pFoWAIZ6mfvPKWTL(&V70vaGAAx)nP-xQ9ZCLn(RzDhyQr&56DqkZQ_WV^f>$Fbk!r-L=_LAi4E*QBL4IHE;j9{)W%DW)ypY$kRXw4l!a0dsYLhx(>@dBi9p?XCEIPJYBf+FzRPukgcVK=F%02`vtc zZdTovn5W^~SRe7}-mpO=$F2_Ss-&x<^H3@1M% zlujQCC5hc%+{?Ro>syNh0!nKh=4uj_hPj%Du28bT5V3`Aztg@M1*27Z83jLStMj6d z6A`K(-N6j~a^D-Eap@_CaJI~@?PflqGe5nlqaoayd!yqxh`P*JVjPgJxP~m<5aVN` zoA9pD;r8-obQ2#dzY4sUK#6`3rnK;qizzQg!B+Kr7br=tc6A7aV1c_V_z{c{U$40i za$6F%J(-sYZQn*WW4r}QN>duw^8tQ5h2~YKFh&p`jiZObT$Sf{K z)lSjr*2Oq(pPT&f2~OyfAh7b#Cs}Aj_7My65^-Zurm+vR9GA}xvPB-BVCGz?y9e}1 zSjzTkqP3sGhAc@Q5oN6}-vz7iIENjtxSOIns1|0xm6QP#+Z7|%4ER`;LVB-bXRpRGLr3MU zc6kz{_H}~en@R96)?JWW=uDwnb>4KwZsoVIqE?&I#<3~Dvpa($o}xWCvSK5ngR_~6 z#WP>Z@ofn@3Q7uXPVrdLX)frf);zk)U4Q{$qSvHDc2HA}G3};~u$dKr2IcB?P1swl zUbs}1GyoBwo6L;TtK8mV#xz)8oiNi?N2}&R>pYh~V9r?r}4y6~} z+i>b13)>BA#(_{}eC%qJc1Fa5VHzyophJ=pO2jHIX5;CL7)N#4&VaoyUCpXkGUy>M zCeJNFLYORsx+ypn1!tJ*5z(|hl|5(Gw`jNOD6pFz=mrNA6ho)14l09*e%N37QOHC; zOBW!WPX9GD(^T3=l*yFJW_JmxU5mh7NG;T{OsaSWROXo%?y6RsULJcfDkhh{$F$&` zY4e3sREG;;=I#^R+ax*;!UPKZsv#3ToJfQSWUvyX=%M;ySMJnZ1*yzJDko?h7SO$8 z#~wPQ0pmTVBSzmN($HtF63eo#Wfj*S3TU=w%>=)3I7T47M;-Xm-K_a<<1?2i=%`Ik*#^m!qy9?u<{a&H0`WmQF? zA>T-4S+AmHB4A#dz{o7yc>5*_MOhc&QAnfSdhLWV_P@M46_myYYwHA+f%!&z(t z9!B!gdhSHN33Y z+?&8d;T?qSv0{V8lwP$E3DN=A&rZ4Pu;|l;q!MAS`_U<@h+qrmAl5t3mwz?o6Qb2^ zM^Z$U-k6rK=tb!5=_c-7qGT6{YwQ8HSuUOXDeiJowC<^kRqc*1a``{(XkAH7q-1O{8WFBD`r$!F-9yBwc)m!NG z!@X9-t&B3FzHiy#2d}fHCF9sup!Sz)KAzX1!tHHp&O~BYzset<_DV&8ZS3)D`zYdS zk3S9K;_JY(?Wow3ZuI4iJh^?8gs#%QTzI}Cdi69`%QpBt4O=Z77ThOBWHu-iX;SGa z&Y#?$2=-kF%NPFW{n|H`>QiPYbY5#ePhD7TRFjL87S3Kk#q`&I`P3T3x|Fn@9z;>@ za6s4=&A{~ZX?OBwbCpqwv%>G7e%9A#N& zxMjdjP0OflJZm8qUL2W9Op-vH`=bmqVR?Fa%s@_0RJIDITE__0j`5CMQPSv(t&f~a8%Rn zb+4Pcb6ZV~!xnA^Sx!^yr2=uDmU(t9r3$?)-NJ%g-J|oBCkfRUO^;Ggys`{fr-K7f zYq;cJgljkaZZymQy?+rCYBt8J2V9O;Ker9X>(V`Us;=#qjOWtT8r4Kp<+Te6#NbM{sw{rvQj_3fUACG^X>h>$v7P><6HcfneZLkM`|6P^K^Gh{&G>TBgMGu zyj#Yj_0Z}$_8Rg92v<-#Qf+W4cV#WkqxAuvA!0t&*gT%B9mxyvolbI~&C1l>1Y1GC z106v{U#|52gQ{q@%9v(}<8fsC1laHbPh%NAdIssE1k(2@V{JX4gAcn>jL8C0ci~iW z(tG7Wj9GTrGH%*|@t_r>S$Q9|KoX zV5qoZFX2Kx(9`f?DPUH+A*6R)!i$suqr~|Bg<}|;-S4aa*nswJS)lz4_`n?`v>ehe zkYlSws2j1!9Nv_x%O5uHM1DFR(-#mx-$(oii1L8~pOHhjQQ9!RkM7cG;CgJJiuKUd zsr;TsBOo3@WgV~UjKbDQ24+y@x#zK67%{wQrP5V%8=MF5Ay=(C_B2pR-j_Xt1c_F* z!-rpn%4Cnv3VDnV#q)&W2D*M4iZ%_B_=9xyPk?zI?TX`@T zBDnTY`z&Ana_pWfZY|}Ka{a6xNa6%u;W`r-Y?+vDLuUS}Z7}<3#Irlq_!fcyb6ibt zM(|fgEil(K7#);s!|q7ykw=6%2y2_1P=Y!(IDN`P`feM;dR1(hzL$P+9p~ouP6H=lzjwN!O z?uvLEeeSo&NznH)njJw$^Z4HOvcuJITIz;b4D_JZ3TYV|DTmtIVlMG@DiZc#U*RrC ziL7SkPvMo~?5qW&7KakI^LG}g%UKs>5*gsvn88L`1JDjbVJ?2|&$bxj?K7nD9=`F7 zfpz9A38-^$Vtw>?#aj&#w5&Qn`pA%6veD9)6U0vvOE)s!A~Q#b!&?#sHsV<(#GPn1 zeP#&cF7#|=eeefjiH;Z~TFe6Zvl^&aZ9xFQOZ7rN>WRZ{Q~Au=C*2+w<_- z>)P|^&dd4RX*Qp6;LGqk4ttMGbUyq=RAi#>xQ*M;N~>8TH;A8IZkYv%X!$_C2n>LF!%??q4b{7a)nye4f)!8@H(O zv;|L*LoMfL;V)U^s#0l6y03U~=Ph$=8)4H1yrSwbjtJ7XbwHUCDHASI`44mFRq~sq zIjF)cwT~FCnG=qIC1@-Kf~Hezwv%`=eQ=du$%3VQtamQF;fl{;q~Ga!POFMY#Y!Dk z&0@zeYcoy~{oz(*A&gTsgPOM}(xbd=0#sLB)P)wJN9Ja; za{)3^Dsl;jnHMID04`SahgKX7%v|y*<8{uOPLzP1!q!Bc$>6!c#e#+e^^}B_Lt&*AWJ%)oWN0KE zhUof_Cw{O7e30I$I^MGS{Pg;vIN-N79-1ioUw2W&IM|4^L1}|4`^S_-j~8|mTp1OI zA4*%x?VO!bQZtP|gW9Gy^D(8{$PlEDFr~XN#BNmB^yZ^XJPf|w$g!HO86`vvLInwL$yTwEY@jNGrMFH38<@D+U_VmEjrjEnpnM=GAP$|=%+EW|V{ni_y0O;F|^CmJrEZ~!Bn z94fbS+lo6#KT@AKH*mbf`&pA4MtUVW?gs&UghffY$0Ax>77`&aLxc>^Modzk*>r9D88)H-uKUA#ASl9;7B8yqME@ zp`RPmf8ZhTGK3Q2fJ+DjAJGYph8M|*jgz{T?_(3zbe=2lQqHDAMBrhI*{mi&O%ZeW zzm801F^TPY#oQeDz#oo!^wpIoxEB9-8Ge0Plk(>SL$P7mo9EHCM)x9Dk`2jgZ=y}l~WthXM{$9;j~*S9Fa;`5o~ zxWkK!xHN0)X8&0tjG%AUT-}hk!?%IlxwD~Zo7c9}xaFXQX&YZ~Ty2oNHP>7F^zq&I z_aD`J5Bt+LK9F}Yt+{hhiCndN@bvNHR<2q~)MnKCRpqn|Qn9E{=-k!L!14Q-FA$3Z zv^Od|+=B+#Ul<8JMc;Q*g6FR3!sZ^sOcn!p37Lan*ofbdLe%cx2+SY*a0#d0VnfyL zQKh%?VWr!GhS+=jV2aOzo-;&xg6E(F#0x>Zgf9w-Ut;= z{U}rvHI8SsK4?5cBw#c{M6~~SeZb~r@xQCrsQ%+?6gE9Fljd%?;*CBl#WxZYXtMd^ z`|BA8wnr*H@asRmTF8I9E>E1c`PX&(e>EUlh!QDdg^ZzkX%V)MKwsI*X2=r2Z5N~q zPxgv^2i(d#f@Qp9@nA=?1v0+7&fbtmCq-k?FAM2GP3!@_ao~kSq6Ozf_MTD3;P1QK zWnMeHWisohqzgzRP)Ha1%bh^kO04R0U;-FYJ_jGg`&rE&AK{Dd-p%x4qF8s@AALo-;2hM!9`Nsd zRqM}JrXu?8@wzhty%ijRb|iv_{#V2d=|XwmyFr8AGDK2~m+#U^7e<3_*oC*{&pw$y zdc=c;%^&>}!7p^9&<#k&E1Rk#Q$tlN0tcgahlnFZ~Ly?zd*%{pkEmx?=9=zJ6-S3AaxtGIO29q4j@}t@l(9$ zHCL?T0$v9?y7#`{c$pzuK-)*;Vt;S2BTBfyNYO4*M!-?qoH=f;cmZtucg<7ADr*qv ztLXggExGSutuF93jN@$d$k@x^;ep@{I=u3}a44h(0Ca1}S4pvOSyxGcYBl$T*_;%u zZJvQ@z-1qDJYuHt$M}Y!LNvj@pM0Pit|3Ydjg+aTlo%y6a{P?L_hT2S+cMil5 zch9W^3Wo`yJ?HLrfqmN^KB13;fzJDBvyMIydOWFBOwLn8O6i`PsjQxb_^{W(m<`+d z*=g%Q37#}pYXhHstAzp2i3$Y#W)+S|K>=q6(=NTAPMP9TxWqu_ATr#kL3-I+rvZi|rv&_oj&igXU{R|2H#SerD@f>5++jiyQGHinDYpD|sUYBv)s z=cO?q-yXQsO{R;}fE9;^f7Q_1HJ7SL#SMw3(=_Y8E-RB9)mHBJkK|JUb-9Zl@^kwn z@O044WGAGhe7{7^Ra6B8wRVQM)zXuq$mq|eF8>DC^_!V6*C?f5jq(kn5%CJ?)W=lr z3BA6jAxKW7A%adp6h1oos(-Lz?9$TUC)$S-BZp7oQy*hUK*2J8b+aO7IGTB#6mY0# zhHZ*I-6W7mOb)G4)Jg@ul+(l!iR=bn?bn@74$z)HpPlnh-8AO8IGvI2o|{Xi*M*bm zU0GB2N?)7ZMQv^8gq>eb}S?YMX)ko#K)0Z57#*36cE0@M>G9#K&_Zy&l^1( z*I&H>u4@g~_kh#RY+WAzfR;wP@oi!W{XxfLgV&*qPlY!P9({t&M$hM(+$go-nA)8p zU9;4f95+}Q-V~71)T)1F4*<%_Pm^)si>~4bKK;>AH;t<*! zxtDY{N=b^cOVi6iRk%&O@avxUds~84-ZKEkN^D|moBY-3GeG51j{LQuvA>|p4|Z7q zd`g2>+rO82%tC1ed%b%bx`o|w)t~xmvU2tt;9TyW(D~et#!CAP6ZQ{qRWdZ*iX$wxB%kL7gMDxiVTnhFX;@PLDXQimuW^ZsY^g#SXa~6%t^SG<1u}}h(6m= z<{Zf~iZF6Z^KaC1jxUc9#o`EQiyk0sr^aRsyrQE@^`GRw3Pw#lQ5&7Pk3}Oaz{20M z*-A$o3y`!ujz40J?<-PGhKzdwnkPj`Bqg7OoOJ=3Qh`)XS;+B z1hS{ zAf{8kX5;Bc4$9ig4Rf5D4!_nMNvsjh7v4{S!dMTY$GrWdj}VLEbP)%YZeW= zRDbpYbHecEv$aY;n2Wu8m^EpL>Cl!70eL%90Q}v&SN$~QE)Em6R|eX`_|!~L8!VN6P;W@ZW5W}5n(V{W2jVHeT ziqu$l$+@e76{=yluB@blZ64Rd!N<8$%7Sm35zQBP3DPYV-^8VkX=1}3HNhsNHx(@Ep#0g{~nz~og@ z!>JYH^)T1uq`<=3NRn$FLA5shEJ+R&13^p6xIwB5LF?zq0^Zj&Wz$i`F4!Nuu#6J2 z3x9K5a`T@fbXHQ$Yp?T44=nk*%(#hN0<$)@;BD zm58N@zEgcsIA@>k7Er1PgGLQZUC>aGg$D(CW=CwV>Wj5;Fm%wVN=G%0}g8FWK z353qKiW}53`gVgU{u0auo}3tb^{$g|bg>sd55NPU7k2!;O3G~TCuFHMJ2iTLlXNF_ z(#(Ml_eOGK6o0+$cDDn&qqCQK?lm|l`DDW}tM@_xt;n?4zxYu6B&vhELXp7 zJXUhEk`z=!J5$(3&iL#gXoLaib#x#q%XQnYF#>fT$1D}{R+twt@?+<_aJYT#&)B{@ zA%_~s9k}P)>kk^Vg!Xpo2^_}obj^-cJCq+^L<>u-P%1P2W-gREdG4TI%>P-H$e<@2 z9xYjZ7Rqf%d30$WdGB;97%#?UuPQ?9dcIh<@Su)wsHTi-VPschQ=@axSNYKV<4>E8 zsjcF_QWnxt;s{_!su=Hz*9<;E_yeu5pqDwGaK1FT08>6@HOJ@{2zj2W`Y?K8PUE~{ zML(+PUPq6`Joej}a2hs{Y~gE3CS$=5Xvrp@@(5}J_AlD)*2d_)&w;hR7;tC;J!5Wq zYy#>wh}adgmI^@bb`2d?lJKrF*0OxYU-Cy319aGYTZUh9@>q@)jH7?%Lk!bqae1VZ zu+i~In1%CVsA^a)#hJ+`u^EziBrB1No&e!ZXG%KatLn}Qy9y1~41ivbEX>()V z?wv*YdN(l>bpKzP$o#jf{J#18_T7mh2i&RNx?@+fd8phO-ky^}dcU zIN@&Iw+5VFm-zMo1n%YY3vqHeXyZXT$-!%U4y-&azcz}d8P}@t58F_=n@#-3 z%+68NG_Mmaj6ML8X$Ln78!?dbx8Lv-ayQEGu?zrX*lDmxk@J>C0G&iNwj+viFi`%! zQn{qgc9F3q>e6!lLDtD})Sn!hL1580Z%^EpW5>#gUjmwpb8$Zd8S`mZewnXw0Qfu~ zz**0md>ro`p`6GTe=Jo4U6MkXmJKOV-xCbRf$G|rdZ(n1#2I=q84+t@EPrR$0crLF zGY1N~#zEze3-X@>5q}Z*<@y?hzc}uY`*d~WFUBoY| zPOSWX-LekUsbTpku3pnGmY3w0Ra9CRlhr(#{_*u3D`$szu;UWl9%cjR75PWdB}Qj0 zes{UGhn8bX!-b-FO7$=Z|7G6&-a?jJY~F=mQuG}a?PX52vM^V)8!}8q#gp8nMu|%@ zvZl(GF;dxz9}SFLdI1Hnh}ZaQT81j}{*;TZ&AnXtd7NBC>d1a4d{s9+%C7j?r|XV( z=;IZxX>2DNoQi#Hr}8*oo1y^WXFprayT=e1V z-wpql(V3u|q8e~JUGd!aoI|;fj=OK7ME>jD*mSf1?o^_dluS7|zU{OR4n~%yh^_QH z;d|+%jA-l?`7GXHmR_!H%)_IA_H)3PrTa|c^PPX+|8ZIYg_|}?pP}`c`8?3h-_Da& zJRzKrqlGC($_nWq47Ye1H^QQ^-Z{(R`%2&R&!w&-NJ<$N`0JiZCh?sDpOU@-qkX_@7z zVR_2abS;kmo!l1M1lKF+45j9 zeixTmgOTiW0zgQN5GcZ?4$3sR=Uapm~fw6S22ISYIG#<2(-2zDV{(BTp zKC{*3d^F5~oL-uVa^uLCWLn(a#XktY%7#pF&^vx$DffSAUElv`Zaqv{ zUR<(SF7L2a=j(k|v?In~RSClg$y1k!I1}==q{u(~cx6C?j_A3XUD-GQmbUgTaWzgv znlTBnR?S1XrR~8~u?Bi@CGt-EG$K&3uG1hBf?N4)?_9JKvtKUqqzk+-UcOM{V-)h> zx}VBr8;d1hS!Hx0kvw~4bxl0$%|$mz5+lQrM6n;I5) zQbciue_CT$3QYc#jz+|sX|CA@UQYSOhfvlGPk2~(H@_dkTVvzgs4Q2VJWE;D&pAU# ztsiA#?btRV`Vi8TH-Ma;6yc;Z6;)u}NbLs0yvEE`gEe8Qq#9JczVwd^=PzMUJs;RB z9Vo(yCgRqUqckG!uDpKg0Y$U;i_Wr741dB$ktsC-JfuhFRdIn2h?8CGcrf8)xX%6= zXUa21mL;#jCUV;AQBvhHOkcp97y`CfQMA^x=J$it0iy z=TOn~%^wgWnTn8XO3%wGDSk1SFA=U{ouv$S)s~US<%xo-k1NASC7otwEeJYM+QW4IWaYMNtr^ZkYVm z*YKYH%;XifB{Vkv8yX)$z2rpUadR(F(?F}o_?YFpfKa}Q)J9HJ>~`#C7@(7cPM-3+ zI5>G7o;VkRDIQ{O+*8{&EzcHljmq~LGljs<$W30Vt z=3yUdST3dQwAIO(<+N6q-tdRLe8@zT&AcO0pGJD8N76oS&RrE0++oD?8$b0^bAwTF zRA#3zF=s}h?+7d^TT0dQFO7O_TMw?lk?d-QWO{bU$C-r+zh#zsajL&T3*6CE-jgJt{nXL|BoDr#RJ8Ts&El zgyup9y>XFU0O!MvDoQ1uO>VXAM{O4H2m9`QCrbqgH4u$ML$%FKYuW>+^^$@__KJwRo+>l=&$zX zdZRe)^AieT;wBTv3JecxIffohCnQYg&yRsqv|4fe_6hR8&GSO4<%T|(_#7s z;^$f-tDu}g(vkT_CkCi6<@X7IT zhR68W`tuPAJ+Zrsb2SrmYB0%)i;?mkhG&AXXB3TtZl~TM>+gBnJ|#TdB^n1ky?X+_ zzNd42{;&XV3QL;V-!~+c)Pi1>&TTr|IXT!GlVU{SGdgzwWB)BfCzN}-rW_U1bOY{7 zHT-yRR<3lumU_JM`~DAk2Jnm8+3`*XAs#6mD>?d##h%$?3n@rnpb&@ZH`U(f(i39A zZ#^;;Z&Gy+n%^p1E>y2sXHyk6lid1Y7^eX3pwz)elwPRhRffQzjAln8_ef` z+)76+UBg_xB#VQ)P_hLNAF9|2w#@^asV?fK5|#{T>*jhg(^uD!2_0Zz;t#t&6IvYe zpAB+X6(w-L;5(06cz>ER$?(Wb|FWOcKGA$Z+&8iD&v$m$<5>+|Vi>{%OCC|R zo(PK$z#z4cUwzE;b`_-cxa8?Ar%)xUuH*K&h4{p>TrV`@&IZ!HjJwYe<~6vF59$uX z4@En&FxrpAJUPTA|1u(18d|LP)shMW;^8qUhML)X`P9fW(YBDNz@Gn{Ef}|qgMn9my7mpDIVz7*!HK&nHkbtjQLf8eNwF=e>u(q2{bOu2MwoAlKZ>mAd9{DUnBK_mA z;IPJ0RUEHm%_HgCg7CrZ&Mk>%)ujPLGvM#gPghN%QE(@MDg_LECq(u zCr@=mr!I;Ql^*yW{X!~7F7kw@m4oXaiesm}+k{rW=W7Zz>SSpR@9C;qO#AN1ljSn6 z^((Y~ApOJxj-&TV}O=E^+MA-!b zVZ;$4O9jlk!tDpR`MAi}iEWPzC=*#M>A#z@2=y~Qbl)T{70sIXF!>!%4hP>4wmLP( zsJNZXsP3J0P-BCOzGJ>$gX8Dhx()TIJUZ1}3Q-f5MsEcbIa4irAD-HDrsG0Q-Ay}c zx+Yi3l4R+ohCGT7kQs7}?n1KfR0V7~2E_Z@s5{^py%z*U@sU?|vEO-&BZj+*;_%pc z!(64Pfa42Gfz%=NvVo+Gx!a0Iu~j*u48z3ZF75AXkNWVx;O$cK5O~GewRB~6>;5E_ z{LP8_*%}U-dRdGRA|OtWZ?9`c8jZll{ig9!2k%ecro8nnPUfe{^E}rtMlXiT zE$I-gEfN~@D#6jCx&ivyYH`icH5u_Bzt_{cplf&fWR;twzJfD*--ZCs3rFL0uhu#+ ztIboEv4io-ertf?&PMM#nf;SM;NTti8@RPD>P36K_oS70#%1?u()c@W+XKXUkN=9E9SSF+IapONxJK24mqD#+981I7e z?Oz3itiI-R1=V1^k6rk%?(2`j?W|c<=f3xG4Lx%QM7=A>kY8cYF=b3JX?*tHWy}B3 z+32utqHq1b9^VZ96aEtZVDHTygt6oJD&TpuG9W_C5cDE3QO2tHJ3Rq4T()}AsEYwC zyYdFYJ!w#R2s{> zuh(<|bGaGzDW+Nz`Aodxk|vvMi$Hn^rL*6!$E<`AVp-mJAiih23&P11(6X`Rc*HKA z?4IBGra|^u$9%j7EK`}h2Y2mjpuXx0C#$;P*%j;v>?ZDs$5jsDS~YBz9)6)&Ifzm+ z<*D;?(Zus{X8k*MYz*B}2%(j21Y=?S#mhLG_B>$WZ;SQb;WnonNe;T#0`+^AOt8|Y zDi2rw-oRC^hYD+T=e%(jZY4M8EVi@dnSN(IuhwZYZlyfoPg%xw!pXZG7Fp+Ia=;gD zDiD)mXiAN({mCwhx54pWjrYQ*Y}#RAI#3Ap*>V-vly&E-`Af#{x3 z{g%=vu~W+++bn3__hM`HeBn>Abk_yQ6|>3<@OiE~q?!5durcMn``mb=x z4SlIrhGTX@7}s8j@#j@4OE3GbAU8%cT*J8Npgj{lDuO${+)6LvmqMbMi=6*!Niin4 zp$Pq|)y(cZPY!2rT7Chls1?yjl0SR02{Z6T`}o2+i*MxXLyfhWHjiSw+3#7pZ#}l^ zLG8BJ^PFpp$fc|<$D)yK+hfaixbLXYlIx2#PWP?Nknv^{ombPUctE+gan;WP{y$2S1?e2O45 z3>p!$sj0xtr8-RxnQj(w3w3T6{3bs%hk<7GH>rRqvG>$G5RywT2@r?q9lJH}2d~wm z$7Jo>tPPcWsgKIRaMqolAH8?;9Lw-pDgy;JI?**|4;tnSAXO3#S(_T&$rWT>0NaD3r`I}a+U@unDiP>9iYc* z=GM&0_E;qO*Kdcx&TtBz#Bf=OC~ha$H}6goDuH)l8mTvleJ{`V`HsdfYH#MRTR~6N zNl&6r+)XKEjP4@FVO(faVwxV#A8emYqqSAGFt7ZMzrQPBFWhc@*ZW#?+6G?@UaEfzvwjEjsd+q%yGJd1(SF4Ey!&akk1m-1K4x#5u6I6 zbbmB*@}6?A4A?dWbQ2irip+=8A4nvqTkv`oacO0R9@TzQA~1gA!pIf#AH*VHGtn@+ zw?Pypq`Va*qV%JycT>t6O0hle?*|5Kb}%!lj>cm}{!S8P$Kv0Mj=qFPz&u#c7OhG^ z$2C(A5Qkfrt8K*NP$nhK+mM0DEKuD~x6KWQy^%rxiSrHbJIJ&W2+Sf>kWib6K_|Y& zgz~Hs7_ZbWK8;|*5cOkMiX)~!{&E>pIc5VSD=qO9p0K0<_34xgy|O#$)AwYw$2nH` zB5a?Fel0$#|Ng)g{KZc);!LVPT6oM>1UCiGOi&`65*|#_cWKUIQNM-$^R_XRY;yp! zlA*(0M^NIg4t8!dK~#&UMLmVc)re_;c{Dslm|0PR@tq-)LLFO%uBipeYXcq!rAULL z?}ygf%c75-CJd4UlPW!4?7IIDhbo$p&y=vj^2A2r9~B|o-j1! znv--@OMFz-{wSvyBUQoLm>e5BIxx542uMhN_c#`W6WEB3VTslRtD|seQV6`qo~l~m zlIRLsq{l>re#TCD*^ByWMMA$j#|)}UX!Y^!7d$Y6p?!JbILVqK5G~Q(2}HpPL|!K; z7O^7K!BCqE7>Omr(Z%>^wQ}=m5>=;CWlOTR%8G%vLeWL`4!Li^LX1rb20DNx=NrP$ znAM*vR^C9ZCBP3-LDAL*Vxs)OaR}%n=zu22Df%=8MindKX4Ugba-~ZA>EHA8|Yh%rYkpOqG zJBDaH`D>zqFH2;-*uf7ouuK@|lnPl|^xlr-;qDM&dBa9?w5zdum*WzuTO>w07V*pP zGtDD%+&?+g!yQO+_-%dZL+ijo1VO|hTqN=XS{9zrqR^xW;FqC5dSl#Wz6Se1bkN9P zW%}o~>dEsXpWZu*BjF5Lb5@`fGfW`sb8P;e_#ACPdIU3c9@S4Ln_OJ)A^DSgMV0j& zFPrCgV9{BpQfDp*2ix_N>;ty@b^27Bic=6SiWVty5&FaEbcrj=^Ayi#l#^+?WB{P}vUik4CEc zC>BdfUlg@R%t$3FTSTCL4YNhkE^*I0p?`~A+`dO{WwFGSvf{l+K}~KcAWz17gBNsw zSH~hV(Yy+WCNd`%%HM8EnhxV?FeJvXUCUVM_9A%|1%JR3^~z)b^GbQ~5~j#sx=$(L zN8D;xxj0mcf9=1F!(}gSH@Q4fct;Y-a8Y9i41RD~qgz;M8mgfqBNdMAxMzw~AXEr3 zeJ0GFW%gFoypB*R0|lGjOXn*h1eD&P0ssgs3Jn@eR)@j|V!cJ>mhkg< z0BzMy4;o94xeuE%IQSSp`JzG+OKfH6Uu}pUaAYH`(+-D9mUFmTe9*}3`auuKTn)k( z%iw)ch7oNN=;`|CE4D^!$2?*j^IHl`%8vI|ME%C27}60WL{uehTEG9XwSOu?X48Z$ z1*oOAjiJ$~_Q*S9D!=z&B!BI0=40Y}x=4?L)zlHmz{RZgh<*b)&L6#9&T2mig~$mFo1A1xOC0 zao*ljojunyY_HXgAdm!?Y9e6b{phLbouoO2z)Mz4Mz1^WRDkt##(O;I?{X0JaJi)C z^FHES0SK@Ry|wJVm9`fUAI?TB%aI~TV`zkaqS)K^5huZtqD`fiA6CQ(Cl9#4LrL!` zBagj)<08V7;*r2KR{k4ekHK%nKAfVCv%q#`wto6FClj10PK^!zqnX*H_~c` zlRBPDw?y%M^dLYVVs4f#!6vU^Kh8mY07}>Sb~L7VZ;~=u*xEqa2YHUPlods0dE!;mTE*88U!u+7z(GFU5sc$i^b*ksE;bj*f!x9wC?UqW|&Pd^&+E;Xu3Zb%`}7 zBee7NimdnFbyLvub-rlO$K&q8-^LP<-^rx2cAueBr7ALwX139e6nGxXVdRp!P%B(0 zDK3`pvtb1QSu@l0Dr!ESMZ58+k^>W^xMW;0$}Qk9fECld^6WU)|TlS!;nb2aXf%E89E<#5lqUe#%^LR`^FX82+^<)iLqflLd> zOck2`I!nrXtgai)^h7JwjWDO=cS|l^ve3)qr&>E~2iK28%iW4_u$ZL6UGgEaS;BlX z-^`2UrIc1qG&^LF6xr3WWRG=;x+{H$Z$wCRD-cp_%nSX7u-5mq?z zo~(8$^hU&(EV;`Kp&O>Q^PWO}d z)qqFzq{rMD39^InvL4w42zoJ&g$A88QhZy7A)Z^e)#iDIya0JD6pYnhJmfj1aAEZV zL<^KtNu5313U@t>Ht4f(e3;@isoV}y=zdz1V>*CMjinrc&V>>j{W^AoM{RL?`PGmeGRrql9 z2?`I7ITzsaC@hV_)7p8{;y(8lcZ!Uh{#SzHG-=l58yPmlxLTb|Bc2^qqa498NVp&N zL9>H^W{2hi%f&3bQFT{6SWav9Im1g3Tc1bx18*)pymCaRYEaDUsax15JS0Vc0G}-^ z-2|aJd=3}OaUn8CihI8Ef>dd5jSv#OK(Kt8z##0Z>@-8=GD2CD%wzEa@2TrM?KXku zUupnxQ&aRGU?#z@Zi6HBIapA+yln(O$*E_}#Prn`_P_~bPwqCutuPzu4~lv&!pmf2 zPfUUx9-;<-tO`eurOOD?tghiOo(((L4HI76dHOdaZTOgDwYR4 zT8!)CwK&=Ro~*bzG#)BX=uQSZ2Fv#dhGX=WpK@4h*~62aZH+IcYldjE{Hn%?H~RN| z!v6&DYnx7O(Pli1+|fFc%Bm~{NH@^9PyKa1+z=kG>ieLu2M#7|{rM`Itj|@a>*_6= zOAy`(7ZY)dM9a;wjbCvk_wG>e%GB^b)zqLbPiNiH%a56nk-;fN_2c|a)0(#IwKigZ zYZp<@xGDs`VXmi$8+Oo>0Tc@Szj}Zm;7H*p6Su_ti8+q}pn-Ob4ZV8kf?t*AideNK zNGGagI%6|QIs)1+9n2nZw`D1j*j0j!*b0$Q z?Y3k?$6cFTDDmwd`~&`;C)pi><^O10Blwsl{6{S--p%HL*=8MxQbB zUB?Lw7vtC%Jicp$MMc* zy&QQnx~_t%cx^99nkdpPGXdT2M%lpcMQyZ2TG!oB$4UvRM1)ci3#4T5yt7nFK1~h{hTO2TO;g7 zt%Zd|jJF0?xLLTr+`^Y@)?k)qM(S&neiv=>h9R9$CCstbB@Em7nN%-_B+lWY&5xCN z+VDUgiAsRy*Hsk$QPtgV?0mhPjhf*OL%p1b`Mn&cuAb1k;FzlqrT1fexjR!%PR!E) z12RoGCo&sXfnRTQTfG>|Q^cFL;@HQVYPgp_sG|~_`5??9u*5KjTQ+}}_o(UVpK${I z-F&vF<5pq1|J9h!7&9}$r`<{zlk|5EygQoX``Nf61{H_qyFzT!H>M=DMyY65?}fd| zqp`g`5IWbpCzKOED?F)ZZdoYcHXGksIyU+K#PN&Wf(CIhub9{uX60vFj^xs@3O@QK zaR?8AcEUBe=bz}Z%T7;bqC1}=@NQWQG+lZ&U14qcqyWq~<1 zAv)Ba#FnF!L$D}Ylx7LU@6#2Ta9B(1d=;vD(aa}NXs_f)P0m#ljy)Cq^;Q)ZqiqZH zy8|0pFNfjN6P>c1Eo#0vdGQB=gwVtJJti6H2C2RxUon zqnM~pRNMQ6QNH|?f6~w?w0FIZ1PlonUXe)mxs*22dt%hvSW zUAkMn<6q%0$`z(D?vkjljGEL0mxLZpxYnFD9>M#RYmw;XEa}`$u?rAucCkw7gq@2m zz)2EF?s5PK{g!*_l+`selT_nGRAoMPH%H>9eQb9tjXY58o{PYvo-GVhs`(1nA+;1M z4lS|Xx^{rV80M-+>=abn8ga{7{VI?KG9kzbARGP<0Ea+$zweYd_xXT}d(ltJb}Mo2 z<~X_%XEw?S7%p@{FFSN4PI@K@Ns7~Ehn^a`Id4jwlQ}2F^a@3B?q-ThQq98H zW>7)AI@J%lP;QNTC!ntN)L$3EikD!HL5UNy(IhA>Y&}u}u;!5HyQoS=UQmC{&KWSW z>%VV%5oXXMEQd-+mRxqb&3dp)Rb|WsX*a5_Q0zG;R?ZRXgQ$pdYe9(<(Q}>kN#!ae zR3$*wpek4t$hLDvDzGYsu)YAzMnOD!m86xbcsPCHleayc%e<_L$z6#vE|y1AXjmhW zYAz*C{T_4VLnXRCeK63N6y>*FkC;U+#s0$)01n+C9F+nhIYJf!C-(1t@~dC|f@#ek z{`l?Z-+uWoU;IEbqP1ugbczp}WCeR=QN7uJ{v#P88e&TC~L|-f?xwaL9rq zo)(>wnBvPa56H8qIMjjA1>Qs0r=SeX!uMj=UGRfK5MfAV>$-Z}4YqAon(3rSmfAK2 zxM8kcB3wCSNllfSAX1-)(~fk^RnZq^Um^>Sg!~X2G25spymLrmUef@TQ;=ku*_2BN z3tFA1X_?WJ&}Bskf5G5Db+9gJTlQOEv@y1vNEJ#)L+D~?%;uI3NUnJeAg-1LB5W*uv7W}`(!D(VO z6IPv~{BSbyEZ&r^HnR75jeq5mY+Va&((Ok@*DiYPI`@l8W`n-yyA2p+Q@*JFc155r z6yIfDFE~=iG0#Hm%6M(+;$W(7;eFW{1n`lGk2WaO?@&qnuEI!_S7ExK92uMn3IWnF z9D4ex4JPel*+Ni#d<0>v@#2zf`p+(cRP~+0(iNi0+_eyqc-z}_j9XZCeXvytaIjQI zw+h-tA*q)NT79m*lb1kFE$yYx(G#viQ#`f}x1G zLWF^eufXh)*t1iPvkDqTxl06X=$n~33Y33BNW{Vgsm`u~=IuNxE+!rF-Y^pkAv)oX zY7Qnid{8G4GGHI3f1&t(_Vv4_={R3QMZmtE3a!i@JWi)uQ9 z3MOc157mrls%ADLjy%(E*T12s(so87E2cz!^Tj~RSBmwzTprQ8ytu%Z^Zppz-bXd= zYG0V9@^YkQVL9jlA6S6`)l0Cla!BmKsB+zfc)?jHmocXqHsfc#0*7v|lD58Tv}ai+ zeO{;7g@Yw(TYA6si0sDeE4VQU*0?8cG;c~UO_enJ<$UD_O$5FB)Krf?HP1@#z#=1} zgV%YZ4Al>n@45|P*w&)ZaLs5?*F0TkfCxOZLGn-#)f+)*0O~{yxb@CPiIyD4;9|x> z5>USE3SQ<|^_*gxaRtdC>Zz8j8oZm7AhLbzCLMx1t@2A1Osf6_t#sXRePjbXqmvY~ zDpGxoE_f!88M56XWrah;Q}^Gkg|*IgiTj}&r!@w_O-WhXR*v{n;tnk)<4H z2S}|LXGgdxkTq7F21tggBevYvuUCb;y~ZJ*g|EzJ>A5GN3{234J5t%9Oi%|o^ny3Q zPr@j{<4mjvWmJeNw7^5#(MGQ;raOJhVltxwx_yvZfBM67nopBjfBI8ct+vsfSEker zI!2u&U26#9stAKpE_)^A&8fsI-yp>mO`V~D`m~=UQh(|;R2@dlMHhyt3XS`kPT~4< z50g!f_qR}d>k=Yozhma5Z-@@{a@GhfqhfYWk4EQhgd2PNy(R)~#_ttlLq|7~mD7^i zHbGN?6{j?ym?#J`SciSB&PpmYI=eN=*4rT}h|FURSI{0ApE=WORFqbI(mXb5jA`Pc zD1U36)ms$=?~`l61>Lt@aR4RONsmg!5(0oaVJeXrGflb(!9f~zLwm1vhGM+GmvFlh zD@y(*-lG{EctN^Vz)AS>%yq?P;5Y()uUj9qQU&pJ5T`on8|+h>-h&Ul?FU)Jzgut_%6qLd$Rd}G+NBDzAD7?j2V@cD zso>Z8>i3#%^zr8R8hSTmsfP9277W{kS2HAkf-KVgUK4DQ@}b`=>xG6{g1!mHE&?U& zx5Q2ssSm%``dq&q9&8cUcXtY2ZXb|E>QTmpEf!AbbHPQ$U%AE2@p}!O4u!qy)JHRP zU+E5dAh#7r6i%AoJk-M5f{S^s-*&&(4YjZw0)A3K4^yWTadR{cY<|*M!62KELk<@P z(W^)TP%7)X-)q9}Rn-aWx2m`;41lxyy_UBHmxSM|gC214Mb-<0?1m#Q#H2D}V)+4P zGy>RIf~s)ReMZ^yGA6-8&FPdZSTvamVZ>d78GVZ-`qRc`7|lEe)qjvibh-tb6b+RR zbbXfng)@SH+5Bn4S98uo6$)g(n}$sn z=q9mt!`IFkq4kd92rJ3GDWV*G$(&V4BZ`yr(F7a>i2*?V9DJCjV$WHHw$a&Bj?Cd? zO;Udj2eqoOx7`c40wq}$%owK53l!+k-Bc_M%P?=Tvqpp&O*XgTYw1%ff9R61fm^%2 zbksi_O96+t%kZ_aXHYRv8*U)dStIQ-eAR24^%yr*tu6EB*6lPi3tbsP>T=BB>BN;9 z^-GjieQ)T--U`YscVg|*Z8oXu@sU;GQb%3fE^IDf%Q`QTsDLkC+o^A@4A|OH*M@AV zQ?-UN%myj&UcoJIkc1AQ!ktP=-!P#Te0(61?)Q393fz9LJB-wVVr;$#G6+P`A_SlZ z<&$==;Ii@35lInD%|k}Dcbgvb7@=6^Ohx4`%Rg<`V-zM-rG2g78a*grvC2MSDZRRR zhM79JF&2!EyBP`0)t!E$3chf(fZwYTNSPJ$ws}-4Mc-@g>MjC2I--%e%aZiM_2Knh zXS$eix%^(8Sq+M;&Y&8k^Q@0i>xkw&+JFMR6`u#4#n3fC%rG*@Ddt4_*q!=Q(MM%8 zr`*wdd8+MaHgH>NyH~1O^~TRIHWh5hWa!1=`!XOg-3?!HK0pD#CG<|Ff~+kZ+t=n_$lzCUpLhTo*LW&bnKn>(~$19hwO77BAJVuA4h=bv`V@ zF9kOg{SbZ0oi6sUOp|HWTr81WpiHl3y=7Os9*A9WbuXiZ;7+fiZqQ^AO)gYDwyL6U z^QWxmyD0pogQ(jb-k^w%wf?Q`n(!;U)h|W+|{MfebfGgr%CvUrHd#MZwm|*9BtED@)`ZZ}qmG?>jXEoV5?2NPN#*bxWf| z@c|Us*ljq>4Mm1G;;Me!Y{M&W)mLWzH6pX%Z`IZK5fotqbGV7C3Vh`~6mgjrp-?t| zWz#3|_TsGyH?V6PUX(!G@J3v9FTB;|1Kw(Q;jLafHErsukOtoBI1lqOqA9F18Xr3~ ztLgniWs6An*Szpn&10WN#rpoD10o<&6qp0EjT76(k$t=Mm>gOsP(1QQTs06^Qzx!E zdh?;{%F%sQ>X-)sJ5sN{Z`2{T_P|}DGnLB+o3`7fxLV(ctBWvWP|2vEQZ1T1d9;+{ z%*fgEqsP(qzGu6wG)ExpoXfsG^;Y4n;+;4zYaS;*#Hm%BoD@elq`>s%mh=H>6>Ak3 zVk)Ee2WjHM!)qx*FZ3ZKeCu#K%WQaz`Sf5AbLWQEM^h)Q1#ubat7spI~vg4jwaA4GX>VFqABC8fz2p= zpPgmAY4-b}lb;yV*|oD)kz$*z>Ea+z3eg}#M68c*DjgU_Ff+ue+^2GV^$*Ibfa3nt zAfxZKtolPOP4jbWX1iQl7430Q+2(FsYKh*{Wj5c}W@RY}G6YKKGxR#a8d zF-UYf_wL%dO#|Q~)`%U6KJ_!mggGo6F{7?b|{du~C>gjni->E=&vGT!p~M`@W(0wqDu3SWAd(`SLO zy8Wl0{rZ=`_{9fIknOAAfAQHj-+un}XWxGLoB#R&-r!~&Dz(=bx7DH=?3oK;qw97! zGA%1K(2cjHyMn_QwK^3-$ACWcl--cuXd?$Eu4ZeH%=EL$bfgwm#>aXc$}BAUY`X1x zW~-^M(ct^0x2`ijF~ksNn^=E1{WJnZn3t=rRf=;(zd~VKH@YRCW>hAHdsU9;I>ca4 z?*y3!gWWvFn~F_kMS1TOly`G0x_UkD7^FfRy8DW|{&Z~mH$@p0x{K}`QEjK>d*n^34^vsP2S#ePS z_j(t4eyUeHZ$xmU!;=BxNP^sgi7Uz~x(m9+w`t8jrGuH{3X>6wEqA~O-KWwe00Jt) z=%)?{5i39GALVzglF7feO18IFNonn!;e3HNGI->`ii5?k^J;`(K>9F@F(LkdID&Pkp7X1RYd&@Qx3&tP=s7Z7p&DEU z{N@*1Ltp5=O2>gWV_g$Pu&TP%iHuEFFClR<$Ly;xNu1qI1`XV=jJ za2DMXh$Bv=#*|`IQXF2GBi&}ccKbI42&J~Z=sThTQm}t>`w_t?5Jw=DU1l{K+}few z4vLA6fA8X|qNU-C4K}(=Tw!ZXuO_bJ(n0%y?8<4vsHfVwtCQ06dJ2N9)0dWL{iH*)gO6S}?DvV9T8mF+H z-sot<9GUi@i>#}Ti>oq@Kw6#Sit9ZhwGlNeQ`i`Q5~oWEPCIm`9KMK*egyj(TCoUl ztGoI|Ry#Dvte9S=+*h^gZwc;`E%Y*MrVtDdTNl)A64o(F|y|cAa!_ zqJGTYOb|=WgQa`jms- zsEP*+-AZM71-?{f)Kf$6o|PSq`hrb&_i#7_5Lk)9Q#o=^M^=^F~uyZxI;glG6eLNZ|%9{<|)YLMXRMn3R)VjT|-cQx(#wKNP zdLZ5B*4bfUhRc-09=89V<8WBLO?}?;lVAM$XTSWRdC&IQ-~a8G|MJD}zxm93{m*Y4 z*fq>{j?;v4mo79TiaIG%@*j$wZS0L?($T$!Q^1K6v-UQUTiXo{2c6O4$8w++PHC$k( zoP$dGaCKWAtP|>>2b$@d&rEp}ROyA_r+WrNgBE`Q%(R%=Gzguvmn~scetqr}pG(3= zyj*QjZZ8mU7Nohx8_V3ymf-Y^a&72{$;e_*9Wg`m`P?z2F)fO|49_%W~K6G$NA#7d11IMTC`qkvqMU8a?u zYqhHRJNE=(vy6O+plR$bnJxsgh7N9=j+xM*>+Kdne{<5i_m>}iH#uOZK=m4Zapped z=D>y#EuC@0&6JV|y%NXLj#4~al(MSCIi_%-nYtQPsNIqt3(YRQI^3flJ?U0!SnQbB zQD4jJ`HbD-hV2}81O=X^7^-yWZJFF}= zoQq&XdTqX>(cJQ@LpEbRD0)r|LKy!5hHQTDQ1p}L9C69&3vC6%sC8erFh<)2Ocbj& zWgHdFx~PY6&WZzlJauSwY>#u9k}Wk2ffU|o0Z4AZ#^%TN`w7!LHM z9M!)%5lycFCLFR8&KS3{sgwj=6yS8L1YqgDG**VKUc*!-LDM+vI4;6=!K%*AFFV7F z?@KvjQZ29agy)EW3~M*7b~Q8o-b43RfMP(x2&a?{>r^ItDrPL!hl-IC;*+Y46=aI; zY?$@x6cOgpb|tesdW8uEe2bw5_FcK4zdDY3>$<->3}ZzF7$FRYWd54C9Jh?w__mBg zE!Xtyhn6OmLf%|$M(8Lep?lluD0J7+cv*5fgrcx4&MID@DIsH~I{J)u==4{+#jd}K z=}eq}NJzQ3YZqriD=Z_rpjedYvO!V8KYV*MVCtZf`4GfmFcw3Xl7~{wXkzvq!)%p4 zhzi>SnXV$FLJyx67@c&56;-2oRn-XdK~gU}iQ5MiP%o6=jrOl2UU~f#4-raClLORHcC)RMd~~$l55d4SF1`^UQ+u zypT!ne)#_aP)h>@6aWAK2mo(@hgWat!8<}u006DF000{R8~}4=aW7+Rb#ruOa&upE zWpOWKY;|*VWpZCKFjZ6u00YtNab?r&abj;CHUQc zMgD+M4asD3nYL~-Q~(Z#0glFIaQT#twWX-661ymp#-*yO?fv*U2ksu>ksGNez1P%6 zF}Dc#z2}~DH~hCb{EsJ3pFDZ>^4XIYe|mZmE`JDD7k~Zw`R#}I7au=;_;U01{l#Cx z*Iz&W9l!bb;oZ%r5C3@k>FekJuN!@-qNbd^E{VT1&^@^qq4$=d80Sy$;!Bm4oQ<2gX@34{ElijMX~To2*36rG0Lmm6KsOSeLVl zxzx_vUYlaQ&M8kt*xq>U)HFy@tc-oCZnhd9#pep0dwf?D53xoc?2lFA&w~shboeI* z5v&%epY*J>5Ak4)DMERfyph#rH|Lti*-pwUJIP|ai^9)2;WN@fs4#b9twBhuZ9ml6 zsDsz4uhkAlYiaP)Ca1j-vrIYS<&IV3eQNw1<@@M;!Qliwgbpu$^x6)+EF#sas+@X} z`@xxmlu~IuIXB}an`mXuv+qmIv-WdQc0Q;{3xlIFomBW_uYC=84kp;-vx(X&A6yWs z=$N8(zJzR44N_>QZBz;$$KjT^eZ0dbL{)L_I4zs93JMPkpAv$UT8g0NqLmDj!h^t> z1dE?4N+}{IhqHGn1noj+al$1PlYB36=+YS_CLbhTdeActT2>)MsZMAMd@)G5td(R`6Vk_zFRCGpMk^BeIM~1OO0-5rjPGgRge>^8f)4klE4!axb$;;^+X%DL8<_YoA85IRNYjmVa`9ZuNkg4IIELJ&Qo| zPZsD?QkFqkIcMczkg)a17aJU`-{MG>wm}vja+aM*fFHQG%T7rgsdD&!!P7-;8a>{e z2|P@^T{#<@pcysW%mnR^eUAi~?as zO4yT|McF(%Z2KnLSicn>f?d|y1wB7?^>j8Z$i z4=;hNN>9FOGkcFuE#6y!xLBN>=>em1ULS_uM;#ANB|xn!hr!rVwDfQW_!SJPMjNw* z2MBtAn2Jo}s7$9vBLFK>Ma{@5Qn3jU0M3<1K95N?tZrzpqEjUk?R#4SUY-K(;04Os zDV2cTE>u{t39>g@!kV);c%mvGS|~B*m@}{|MEpafPdLd+pijNRkxGYeBc=qT_W=5g zBWOTxOappLpdXDybg}|bMkkvmyk^+*iu6`w(U86q0w$hS5(ZFj0QFIe!axA1i4G>^ z5l=#LtDQf2{rcsLraXT50Qq`^f!W4qU=%5)X6&N9 zTevU&pivz}JW?IqAfLAG7OEp|Q5_r9Io0_Qzuf=3P@Nwas&lmUxltX2^E0Z0P)w?$ z8r4|K=1NKb8;zau?(_y9aVN@Bi*!Dj>zlAgY^ z_>OTV>sd%o+DH$8&B4TMM&zSK=R?vxF(25Fn#BY2^Pz(D%(lz6;3qg*yz_WN2iq`T zkBA-93^R|gdXOFo+r}G2j0KSaKyH{1@&Q+Dn2-Ml>J#&$_R7FO0)n4%X#&H*x9j_) zj#ge&0b=FqC!AGKaKX8TPD@o)1R0v~;(eH;hr!2~c~T&4FnT-;OZE|xayZ9@(i96z z_q6n8=$$lpm#}nowDbW_bWl1e;b1G0md?kRChZ!xJy|-<1IP_S?}|z__HaB{o+Gtb zEFB-m=S0M+lc8T-J$rTa>}cqK?SCDuTzvfa?&jj%*Dp6aAg`b@iGGUb+?cwCCHDg7 z+iV+vxL_yVKufgu%!5dBnvLdD0v2bIx8aa$Bj8=h`#9Zhjj zHyFpOCVqoqO4xHV?2O+C3sTtkVuq&$TVM|`2LLZfAzljtc#O4yx$vGmqBt)A<_Ieg z3l{WXG_{woZ4LHOW$z9E^D*G_!Di@kb{e&*0CNwXF3kjsue0@k}W0h?HUpk>RsNLxCDKuy|(m%<<*d=WJacxK93 zU+oN2%y^8>OHiyC6a^6>7{MnA*i4K)V3HlaKb;-iWcS|~6o?oiAFv$XwbA!Q;S;h5!oU1>jM-2wP23)Y*;`_+>-{#>=$PsDeiX zr-pk1SmqQvTtHC5V-dc<<0PyJ=F>b4dC}pksmZEso_FGVpi=#LeEZKvXMHw$QOaOseVVSlLpf z<{qC2$AOnlc*fu~ph0eJna{1k+J6!G-BkC3`kk<`PC#c01)a7Z-~t0m>&XXg48c3mAnaraW4?ut^5#3 zQ(f~zg@Kj&pj${2fC%9&lQhMhO1?)Rq$Ut{$MgKvix&?a5Ab1kLVv#g^bUk_p^+Cq z|MU9OU)Kx*9HwDIWSqq_0Cu;dDQoh8WU_3)(DG?OfPrKhwwznU1z7igsmQMgZg0W{GX!q?D42`2o4c<}wLZCO^5n2jmIfVq{5QvR{N83)JKr=xz@D$2= z3ZN}u)bI_2qU98PQPD^ZF`pD1(r>4bneIZ=B|gF!Q-vxh4Il9e7)U$dBB7$Jf7upW z5qtYyH64jBGtkM&!6uc5JdealRhGb}L^n+y0tWO!L?Pg*(2+{#8IRp$;N*x< zD2EX|QAs^dX3>$J%F<7otUBrg-W15F6U7%0(MCNWmem2z8#rld!^ts&sTc54OC33b ztpn3WaCPEjCHK&CSG-LlxCde`-Zi4Xrk|y1EqH7mT^f9?uJ;fHz^ni*2{|a#0D3Y) zp=Oe5pku+G!C~Rg1-d#&;X#UBAUB3M0>=Vi>bZcR1sFYKW?-r@QqYER76|Xjia<^V z$`7Frk_blHJCYix)CA&%CzF|Q%xT0SLxtkhlL5?tTo(ZrI3vnCwOKPv`St79SAV)} zNx&cOWC8#D34r$Y&GlU|2)&Q+LcNc828MxfHrM4b6_7v3{j$h?vC4goa6}(<*$*dz(UtT?Z_2QJ-`-}MNC%pCd7dL&Q-zrCilYwxY>n8_iy zmlm1)Z%%95R?z8AX>IsW4?=cIYYS4=-VL*0TANvZ0Sh=!YXjYw&g)41qD^Y*HP9^7 zd5j4p*uq0Sn4}E@AbJvqGEYnx9AJGo-IC^-hvFmzC@81wOc*VTG_!GLJXESL$lC$B z2p`uH4^)HFAOLA_-3X7-&_#K+3T`$VJU9o;j~(!zI=P4az|IqkMzEpeK<^=-0q@2E zK5_hrR=uAKI2=AlPd(6qC)xvuW$L6XtSuN&1%dDY(1bz*pxfYP{Ny2moW}ssNYY-L zOT#hcfFG^O2uaZ6rRPp&fN%vKA+Swg@gSyYfP{pjL%IZs=U+r`0|FxaTueZPp_f7T z(1&VGX!xPS(~A-WP8el@3>h5Uf^^%QVRBFN&$_zc zLW2Cp3oASZS(Aejo-U;vRg?qT5S z$%~gSp58f${Lh;k{O<=4*$)@*Zm$1&@%iJ;o4>!?!vRjCR^~hb-l^5NJTQRz9j9A3ooF`O?J9+s|J< zd^!sfpy~lXf?2R8XIN13M?C}5nm2&NL3xDV#F}^BSG&u33V4YS`8-0{s?N?r>`f!) zd8>8{uYWzJFz{-Y z955uR02qOcrM}humh!|#Lwz_v@+3(^b}4XO=* z1;3+UDTqEfiIRH=+)CM!`woE+AIHI_(FHPZ0mHKz5~EKJ%$u+#B+eHM?$F~a<3Wsj zd$_uK`TTOPZ^?@Q>$YoYvi*2%lIP!8B!>*PwbI=T3s zI(gpeldh0=GBd*ogqs6GSLl)o6`#ex$~1EiF)DV%qty%{B3I(Uf`b`Vs~O^DNQ<+F z`{994mc%2d9AF97!JzD-JOJAZ<1l(-As~R3qB}y*sBvs{bG%NKm{~9|WB`x?s*Wqp$^9OUd0Rgmjifsiw ztvT{v!|`{GY&S?vOgck+;H%hW0!|(T0!nK2iYciyO_E7d~I&0;n89&yyLJYpjiU`}Q$kLW%p+EuDJ z3+JXGEa3q>rI|Za<5C}~23)B6KI(8->LaZx(gEm7X!cIyudPU84$!HUrqFVKFnWeC z3z(77J1{knDR3XWG(4UIdq_HucMq!{nfr56X*tam3~Z^9)RARJAhop!4*k+YqN4Ci zMLqdi4;i>wyHYwMLRFn*!kBjL--WMaF40eofvd;sudL_|boYeqRm zC-GoM>m@WNTWkbEK>$}$dKg&m9ty-G&LSzm`&f+$F-ldS0O1v&bDZWzq-O#;^eUnAnX02q<|1vbW5) zEi;}ZzFx*K%xZwDhpG4bO5orUf<>wbFTS`RXV;oXa}*lqLhh(Asc`z+yKHS$uc;RI&duoF9$6%gNd+l@X{)zK=9|U$!bGj z4Gg=vkc|~?P zmkAcGX69H8m`m)~9}{&72T9Iu>A1sWlVJQ)5I7+AnvDR-f>en}YcnE@0{&iINM*#) zh=2z^D)v+qZ9rIpVG(}m?5o7}sIKUsa- z_vAfWODd2anVO`ub|e!|JxaOtD5b4Oi2?;S7HDi)HhmgMw>wa{j7YfhZNV0$0|7NX z+>I^zQ;Sk%i;}k;^l7z?&P-r4@zjmI&tT#RD+|`_C`mj1u(l|H6dpU2B)d|r?H}(@ zvi+_@NiJj?IMnSsl!`s;P|6QkSwg|U?_h&oDe6N9iBddOrwbnv|B1;un# z;2kco(aC_X>SV}fT06G_n-4b5pnM0`vx|ThiV`wfC^05yyW*tmA%itb)(A<6`&k(P zE|7R;KYz?oAry@GP+x+a;5V{os;|+0u#0E|+aAHfT1`4J*Gc23E$5%vB$XWd@l;w` zQ~NyQC|p96z-I~sL7DKfgFEwp=dXT?2W(xb)iy~PwiFGJ*B7SmaC%_TdN+{}{8D*7 zOVKz8>3fp+_oQg(0^&}JrYtEM-z_N`j|W+%!X)n|Im>wxU+g68ZPHV8fy2=S@Pbzt04Z0=A5=Gq zd~^X_v{!g)2!FtfS>j^>W)yHtpf-T90NNkxC}TE*j-`ink_b|jTYz1?YG1 z6t;#2$dX>Ex$#`W2M`L-3!yXF2E{yHuv}JhT-RF-c!Q>nY`t}iX_?tytyB+!f%BrA z?~?+cumUW+3$Wlo-B~A21N6ejE{P~hD|VI4o;2OqHIOs2-6O#*M_1`wV!>by3rfkgEPW}XdeJtaB> z1FMmyRU=_m6FX+I*f$>!h-60Uu|v?#oY0UgM`}opUH7qAtC2J0(o&5~@z98)Y}LrM z`52O}Yvo9D)_pA3Y9ve3L#sxnfpud`1>*(_p=24m2Z;Al@X)gBh$H0kR6?*Y1#_60 z6(h5&)^O&erPLvXGewc^!5={m_ow;n#iOTr^ZtMT^5N^nmk+=G^!4)(@816XW>LFdSPhela0dXkU{w?PJ!&{I z{|K@UMhLGBs(=`^|3T=j0qRr+I70A5u8`ReQ4oV!*oHs_v@*>umBM!i&lQOR-2n?! zf=r&0VLAoDOE5)hE&fpM3n7=6FPB2dy@zsj@t5K2Pd9H@9NixY`9zb#5mI=UV9lH` zfD|6x;=Y#74%sv?HNlJxIIUw-;Q*5BpsPE$6P{(nvjku$@I0^w_+3`kLJ{|DZ<2sE zmPdLt1ZVNFl`lQNT?&*fB%8_D2=v^g2bv{$yN(`>7nNy&$iEALs3}mU5 z8kFwWK-R6L2EqRGS>^(n3n0v(LRz$96Vx)h&6iSxg?$}hmKJAh5XkW7EhW?~k<6|$ zcC~o|A{m6%oFU5)VVftcr3P3?V0mVt*{(#yLini$h2L_08{04sxWkR*sjnjPFw zN-<3co%m{CMU;3~WV;HF-G!(C^dN8<6xIL?AtbgA3f+_{Gepp=pzPKKI!0pOF;gXt zdDS%y1cnK@=HN#n3P6|u{jhNv3=-@mr}uJxL$fP>_duUKdGR28Ts~~!PfrCisuB3K zTgrr<)zqeW*>IAU4!}sUqS>IF5E?W)Ec%s?bxZk>2Hx-anAr0%lMjA8N|IJh-IV}1 z5!Ef_L&Fk)ZA}#?KDJ7dI;<(pQjQIP2tH>ev&*M1p6$j+&az#HUv55q`q#tht|ifh zztWQLf@=$oe{Jb5DlyI0KaDejZeq5C=`K9AbnFJU0{V2#0pyn5UDI9ZEZx=LpYB5N zPRDeYu(}7Z^oZLP>ed;iyV_Co&MfIJ(Yow=Zw_II*fvSqt|yp`?Bcd}iG5c_PGzn$$m zv}~7T=Kry5m+J6J*`{Hb?SeMNyJ^`j&U+rfb_dX_Jch`^4f7B!+l9ErQ3BT#zDKwd zU5d`sL5AuPz={V>&NxS$NtE~^&+O_k!PU%6)fr3|{$EXRW0EpL*D)Va=qmUjg{M&* z^t}hG6=8M){KCKxA`URQ5ns?88D;?^QHFV8B0e(_Q|K?h;4cr9-z= zEke^^xlKsimwbq%YfL@}DlgklYw{t3HiJI-Zq6Xo$K=Bnuv)3_Aa&f2Mym?BJ=<3uVV zz*dSC&;YoV@-fqzIR08YK#Hf-w;b9i>>Fwwc*$|*!Xi4u94$2pgqdV2eS|2{6<-C_ zRM}y~xYtRzW>jvp%7I3@hzOcM9Rors6btxZKqv;sqi~Z!PQ7PSsU;;hY6z3;S*hu8 zUKUgm@dJ3cjEqCcuGMrF(D0JsX$2s}Zg6lypJuRU5c=2##9| z2=(q}gv5cYdp9E}+cqNrR)=jf!s+AZn-Mq~qK97Tv(*UZxEi6#2Ua6=;cPVm=Ln79 zYJ?_czI!!72bp+WjS$aPBXA^qU5$|2YJ|D1MgXv#B;&K^uU|d;R-r?@`|CCSzFp=B zz+Fhdh13NE8d2e2jzJ)`eweVDJPtC#~2l~fD<_+i zCueJ&;{yKs@p-ngqWcwVZ>YxFoRHa zfgBbre_y?((U&Gw4y`K+pKqm}5Ff`m>((1Sr^e$DBY^w@?4&_Ih(bD4m2r5~DkHw5 zSH^VMy0v8^eJlOwP_DhKA*Kv-~iQE{S>fg?aLj0nF7K?&bD;<3z{ zqKsW_Ax$#$Z0iZ#l=o(H^|B3~US0fUyng$?Z|>={{2`5%;&+|_KP>fI>UQY5%2I!B zrG8fW*^iCrcV6k&T7hHOQM5|G3|$1=Pf^SnO7DR0<7p*0O&~0D^p=%=FjwIGfu;V+ zs;zX~xS&pFr8>*jSUk()VVNfD>QUC=Fag(u2-r}8cOy;}*%#KH5!dj*r3G3`ZbV@U zvbJun$h;wFwqnq)S-^M&$HA!WvJ|v5RyZcS?+E(=F;@zV0TD2RUhv_ldGxl-cmjCI zpvat7UbE`0_Tr$X=nNNV{F}@qzrkeoK!R-h4{i^l-?UO{ytybBbmmcL{P(shwt7 z(;geV4uVsKyC8=cb0U5F{x08QoLHy3a;rBXn6e)+vc(5sf9(w|YxcsfF^;59QFP%o z?%w)~=U1mzhOJw8Z4lnSU8Z;$%>xGmwzsR}8tGYh1FtH4QjiYp0=@foT}!fTZ}+UY zVA%n^#&n?F`TG^?G+Wm%@7HqO#s2-am@d|sZnp`6T1NJupY`Vm7AniypTqw`g#_l4 zXAX689y>xb`i$2i4cDLa#-2Pk?>)EMx$Xd4yz9E6xo%!v7gBWfT)-SyI(;3U+rdxi zy5s7)d2wA!Xs7D}z|`J#nUL8$H=jJWJG!oi=ML~(?v#)s0lGKOmGoR!Ez_BJi)_JM z92e$`xULnR6wQNeIQVf~TvB74oNQix^j}tk3*8r<=@AoL8#_;#Ok(tv&fC#@6Q^;R zr_?RjbIJiR9aO$Vs7(mSmepOIckx{v7vB}|-4y3ej&xqgx1;kS@{2Zb0u%Ny;j1T4 zo<8~0<*9%3o(TTe>o;FMeA-Pa=C6Od{`BVhNMe^ZwUx+La+l*gTQe0}jh%aFa~O&D zt&i8f>PBWz07%89dK55%>-P5<)f;<6K3e zDr2ds+xi(+h7U{8g3 z#}msPhkNYcq-)t|6(IebNRo|od|g#gTu~Cm-Q6L$ySoK~ySonV?he6%yC=BYAcK2= zf#B{I+#&d~pZl{vukNke)m``fyzX~SpKe-k_sE62X|lEvMl<rXN$aLBfpE0031rCIad4It`*<#=V_ zU0Gy!rRc$SiK{y9S*zV$f|0?G_w^Y3KdJ*}xP|9O3=yyDW+=*cce5geVv2IVZOpq(Eifne_@5Syk=N{QuyYlqo zRYyc=CfhgdA0kUf$%+&qgCSkF;!I`0UvdBDaIC=&6q;`q!dz8wThh!L9v9qSGg1C> zt^G;V@Q|1gwuP7IBLCUkyi1UuNL#PrLAIKBPI`)CWKzqL-sC3p&fFF zDlLrM4y6E}s*I3Q(~JqQOAM>Gt@g^`cCwvo{B5YO)G`8k&n;ei)IlULa>0}zGVJ@$ zgSo5d@w=u}GMv5?m|tjy_KmMVrV6uQCX28=0Ej?zTU39LIyZ`HbRZ+NHr}`Cpwk>=;jFwq7@n)IhT8i6W0i31#;RZ(|cOzLx$F2;EEj9KvTN&WB{9nPmK%Ttu zJ55M-B@QUDNzM_IqPQ>hr&;bU1#@P2zpxhV3HULS;}Y!7_3=@lMtSIlQLVCKZl7cc zr0IAyoqtd{HIrhDcDOC*5&k+mg-}Nz7j|eS4T3_4=+MPSU8R^_hKp0O2b1h@FlZ*0IQiVZ zyfAwD1fwR*MBWtMUxzJi%_s4z-2)8ts_V?92=lCRn}$gakujHW&ZQcthqjc1EqQQc z?EvVI=t3LA$o59;8a5dorQG%-lwCtq7;%J9M25R~N@!C25q9TU#8eABa8RNt5j>~N zoeoLUIL9@HNc`Rk5y_te*D$8e9j6yYxbTZVGY|bUJ6M3>6oD!*y)vcsNyvl1UFV!I z)udyOXC;MCCB@XTTl9t2r6D0uXADuJAaIKcc4#!FIVFJ#Pz|937vLHEWuKMqgGERY zwqadGNsZ;gUz+p*v7%%vV9RxBTH!-8W04V%RJXcMuiMPlD7tXl?DNZz{!+HHy#21& z*SAkekn%bI6j~Oz=nK;F&_e*cYO;%3-*!SdMHL#i>#?^I`G(#>Ke|F}xiwwWsjwCr zis;geVHkhBR^moFKQbWEBDEJ5Cy41v(oa|k>`-YI!6~EU;BGgr!~R@K_D?eYMx21} z1g~Y9nKyK(&7b%>DVr$FtN`DxV-AE)HUIr@yqIViFZWw(2v-H>ww$MSpZpi~sCoCy zuqAeMmwommDt9T&=*Hbps!|p!urHnuIuuh{0O@*65sb%amgX2Tk9F$cgT0hyodje? zn41Onhlx{hufOJ5UvX+DHGpyQdH3hUFSW}SpW#2;Y+VZw_* z>B2qkFxat}Bx%K`A?Juz#}A>ZW2IW-kP#*6BA zOE!*a+yE&+&ssi`Nw|`{Pw<>gkdu0iXF?S1H>b|x z5$$`40!a$4tNklMmGnd7WshyRVjBoML*&}~cWuSGc(Ek@~E;~X~=wa344G|94IDn8>FjQt5^D>6Y} zPq;WKf^IMlQO9@2RTGAME8qTx?;*UrQOHF^&pu{Y~J+NyFj5r&OnbU3=gTV+s2sSV>~&0$yLs3jhCwC||E zdxjJ1KGh1owNZ-X_hlx)9x7Z8mKU>?`@$F-QP`-z+m{pV5{B0 z^SBUGAw5lqHq6y;?OD5{2&LHgU*Z}$ad!GzPjlCrcSgsh;{93=mvnKXV<1!5%M_Bo zWk#*@K4*^P?>K@TZteSZMeWQ$zbRCNY!H*orB!;QE(GH!3SZFJomoIVLJz^|;hKhb zV+rh(s6|vovg7EgbGH!Fvft2rSVB=?DUICX3I?DfnGyANxWyCu?E*Dpt*XfSA-oJY z@vXnlXB4VsBpL=;Z?AQ3Q~BKaN~dM7U8=trwY~9f8(#mE$g$>Kgdt`U5J!Gx-RJDg z?glKTq65J;GNDM@G_eB*(L$vzXgPswGX4<6$gCXwlbF;Gh+j@?dwtPtf_i?4P`C?Z+)|yHUqOxzcbW{ zN=0AWNWx3UNP+v13w<;Fl%CorWMpt41uV%5Auku~dO2@u>Zkx|rg()=Ce2;dh0tJ6 zBTQJnS-6$IIEeYnOUhDqUMU?daH_!yaVWqL>dSpNgXy!l_a@Pz_=bmo#fUbN^;=&|I{eoFc07UVN>*av1Lv(%h1D-`pZmaFpaw8B6xIy>McP4th zLEiMT|L5%sNX6r^?*iKu_+r`9`XY^YAzCb>=T$g=(f$(Q&(Y6s#SRHMc122;@b}9g z!P*73F+FB_eawiDA?8_pT>r8tp&dRPvAByV;kaa=Oa5-t^UyfZ-Es5WaKez%gu*NW zVn*)I7A+JflPn0{cIBbFPBir2eqMquE$nh^A8jnntyzZBZP9b-ZkpoS-Xu>nt0z|* zAgoAws|lo)U;t9?8<5)*8TYp(DL|o1=S|#2`XsF{HFX9SU5la6NrpI z?F}6V?*)C@ywPXal5x3K=4rpRd%n^46u{@=z25e(8x-oe;RVh1GpAOo#^5k*2?fD_ z;L#`r%=5}uH(dpgRWt%(>d0xKv8aXi-jtiZM!lo=9#XW z?FV>GaNFC?6)EDo>jbX}40j`$F7~h~#|+bj&^9*cKvF_GbLwK|Gg;P>3jMWmIeSb> zulxwRGH`7laVv@-6dIn@!IN?%9Soa;O>z%=+8&zHRV}LX>}c~sHR@q$HF4f;bQU4vp8Gy&2mF2A41U^b#+%45F1+} zZXi#1Rj!z?q(b`lebm$p^2b3fi$b#P03d0A!JCF)GMWbYO7WA$?oHu=Uxf9Y`5Qb8 zqIx^fjNa&==>cRU5Y{)E*u394go%txH8V>+g$q^A5q4g06KvaD;^E3d2M-Yad(O1iEsZ7z-|7I(zOTBHdVi z4yZ7=ttSMa9c_%yTP4**5Ox6W)e2I0B<4=w*kDo6F!;#Gcr=&_)ub>uG6{3$_+G-+ z>r35+E2-{*g?xtE4#iFXT~`FHAV&Uat1`*hR6fa+qBQH~LsC1Nno_;KA{qO+i$B%l z93~iR^0-a%Z3R`Pc2}nnkrA2IgQ>i-;-&Sn;5g5A%g0=BL+$0_Q7ypWCFd*5ei>vR zhF zVu?qv%5LIA!tFALw;)BHG;9BlA2wU%^=#LV)~DXH!UuyYOP}bj?%l<-Bhy9Zn*U%n z*bvv*AhplwDnPyK?C!-6qr}>_Kzb zwMANohxRiwt~)~k@?0E?>aYrRyMsWQyTV93%Kfa;n&EQ;Z6}<|`OE(1)j=49w55KseXeVN2W$mv!zgO9W>PC9teg~BD70TTirH=h zxZs~gh5fzn*-NfWW2G4fnn}my0cvo(?P821Q;3sk?9U2mc7A9ph}>81kl>o6D8~^* zbvt^VsK^oXMw-3nCTqP`<Jp6T0m3{2vt5dAqi^--U5@zL$lxtztd^>RrLO+YvSPImL2m9j$#_kK z$3`#8mV=77H#ej~ge&V_*b-O3pk;q+%X=!&_tn5`tLp1GM`t~ZbQ?d@MG8~93~{M^%$Jk z!@%b8^Y7bqh;+J8Y3z$LJMWl<*KCU>NZ&Weg?-|^J%0DP(0%uGuXyAyPY!;jIFSpm zc>lPketb1dRA;3oj|aoe=_Y;q>ioN4emvCJAs<~`FP0oC2~w($5Gq` z9EjQszFu@@OttRn>2FcxF zHbrYYjz>;iEv^4-5fDO7LBOvce5M-%h=8CbGVxRRlyy!8o~NeuCZ=_iYW4Qb`!b(Kn-;&Wvcny4 z(^V$c;eX-*xcL)PDQ#InszdaHggWz-Gu#W{HjRSU;ML9L%iqhj;^a*`QQ)1m*}>(T z3qgLg*jNn|Nr|Ze|;<=Kp@Oyqd0izdM5r|Crc;OWxk- z?iMyMP8HCW59^wg)|^q#+U4iS_9d6l=U1udxup&0C~sXq(Je&)tA~aU+EqKY!5tGYtFBeZq+-6~7u# zMfaNpq}O(4eb&cLr6Dq$NdsERxF^(ods|Uz))na(OW7EjxNT+1U2x`$cI<@sRIrKW zcmkcBg!i<`|LgI#_iE0I?^$y~JM~^sItddewwhR_;9ly%&!WsmPa^*|(W!1GTC^K^ zW6TR9x!5(AzH&NhNw#JL6rsjV$ zHxgVqp38h(JAULjf`Ch`72uZa_fXO+Uj!-;7XMj1Metl(841-E=DWaNu(F;0oK2nO z+!t_J8ei$S#aCH(F2M4gb#k%5BJ&jC$cyxgCb1+|J(YtHOu)}5$NGS?Pc+72TWV$1 zU1sx{7q7APh#q(tV+9`!4VZb~5OnPbZ{Ls`6D6%P+$Oz+`?cdoXEs`+k7 zf?)Z5{HGi9pSKXABq;6orpxTv^i1tf1%v1p6uw%K=9+-1^wM&KW|r7nio{1z2>q}2 zf7I5_Ba%~TLc3>Ii8qyP*1!>+lQAs$d|Xfd2tOe}Hnsc(^Qqn4?1iQsW`P?A^nE)} zI`~a&nJq(XOE|O(ERQ(qqmB5o+s7k87Gx@HCOtvV{+-izQ+)EY)4{7Ol>`XXF!W?;O5cp1H$q3+-`%M@BD%&Y8=r(?y9DN=@_`8eEM= zZNDFyyIKlsAM(A=0qes+XEBWlx4+97yWP zF*P*ass}u*USL6Nx_MrZmn=w`4wTr%pg9$1gdCVu!{4s#>{aUnZnMl3sP?Wls#hgh z`C_W#m4EOA*EG)}=e_J{bS@b7t31>@*`12mc&vu5U5G6AL^LLoR z(l{o+*mLCH>{_)hXWd^5llY>_S!LM(o|_Z|DgoYPV${%cogRkO4nB%!?%UNvRjhqa zeXyb1403^jasBST5#c_$gnK?kSCq`=S1+>6GLF$Vt31gJ+s4~+9hCwTQTU`SklhvA z(_n8gO#CZXu&-U_kJfiN>GR~JOm|wYmW?n4wovEXf){OpK{Yf3ZP?jL zM*?Av_;A!fQKo`JFNqa>VUg*o$?XshDd(DD4c1O2k=^oTtg{1$NZLyk69O6D9u_N` zidwDHinI0rYsgdFy0#&zNB`}yem0+M+L={w3~l!DMx@q}Fvm4Lb9H%RdJ4+ZaF>yi z-F%J@&bcG=LFY<4(+ z(!Ysi>61EQYX%(Lb3kJoN}I0!f@9Z;ouk&#!%PLDy#W&S{Jf?4W}*v+DXy=t55!B^ zv2&hflLh&wiX{Pa*$oBp_4+4K=9Xgm;BeCo1^Cr6}q*Qw|kzW zA-b5iT03vNUMJw`RW0xps7q$Ae4-4lWacUND?gOpznsm_(FiT}zj!sp&mhDNjQ2AA|#v(oFSR z8=Eot%WDEPyn>*bO`aK4Xr1(fbq${fw-w|=2UCXkZ9Bpgn01zK7zMDhmSMY4mxaBN zb~bd3=`-&S5fJ@y9kUxUo8p%{Y@;4z9c=s!1muwti8|CcwDDwpIGo5mL48EV*SjmJ zNA<=9v*+I3B>``D@8_e@H*tr&7tz=kaa*1V_fqX2we~gVr0c=kME1=G_(x*Yy*|W` z!BRmS!Cbu+mxuV??Ixc)%#u6S3$E`|1)^OeJiTK|I9=)m6Ue?ip5>;ILvK~uodYWw z3<@_Cy$ATso#8LmYwUU#hxo%Y3{$RK&h3TdgKq<`=4*AUE@IA4!;`NiP|@S(DL=tu zg~HbR3G1)V4;#~ub@1(lJNwaW4l1%yw@M1|FhS*|M=zYm=8YcL8`&QIqqDccC8fb^ zgTC~s?*`09y0;jE*Ifxg4TLKtcYnFl1$fdzy<8hzgbT}>+VGGs ziN_E3XiPeUc#zw?atYA7zS!9_+}hs;Z1PNx`sW;hF0+}EPu^XttbS_ijaHtl@M}3S zMP24IVWI=mJdfOIjQv|D)2WRFbR=yHUnWn@^p9gaUEyaTZ16)A>c+z=_v8fd-VB~% z25VAKW*fE?`p03gv&@h40nYKLUakHY7_6~6hi=ggCyeKt-$e%4gdz-J<-#6*4QZ)P zlIaQcW2|Z%0cm!aUD2BUcqu2%*wle@EY=wL3LX#)0%Q@Lh4tT3@q6uUyaMPQ^=>y> zO8=4CDm?10x^<=lxfNEM^2%8z9?MxiPpo2JTC7AA3}XFfGqe>`0jr$#whFg_wi8_n z28-~s84&&;mC<_J2@fVmh6^QwjKEd(QUNww1>qbP$76$4tgH54ESUHh4F;_cGJ^XydDn__f2-Wk6!&HU=%) z5#6b2>Sy!<|M!pr2yB)P>F*O+p;-<}O=nn=zuX$nDIJ;(*?@V6@1IxYI{5 zlYn>pwz_`tPcGw+TodQb;HTcp`rfTgo;$$d$fczmqk)}zZf^*IBgJw1aqixBdZnvE zSrKG?hQ*TcV^}IarkWWDW#)8hOF04h=!a&g(0H|*c@G^=B7|D3na01S!j2?SJz?c< zmdeZDn-PT;4r6NstYnm5VsY&+6d}i1YbIhRR&(v6_Z-yL^a5&n25tH@gpUaE%b3T~ z60j`CN$s<}Ko;4GD;HIlvSnumUR?{Em;wFDnbkKX!)sCbEXUVP)xEbe(lAVmTcHKF zDO3UqKiZx_-vF8&#Mxj9uUH-wTu3bm$fcbI5}&rgalG{lrNxNe&+ur@2o@+62zfJ2FtrRn6o z16vMF9_>a&%{=!v1<`Y&q!JqA3%{(H5T3HH5miI@5#%Dw%Qpk4>!&y!^uEisPR$z& zBSz0t^_`NAT7}47+t?YdJfmHdL=eOu(ddVpxtyLc=2n`zUrm;l|&zfuI6 z!L;eiIhxWNIhu<2Bk;MJB)OXWIEOn>dHwDRspIQ8nkc!NT`_p=m7r2NE5c$?@OGsv z;7U8ZJK$;ehB#oy;GFTv34~Oe(&6ZC0+M$sJm$Lm!;H+v3pi&yAU&e>H4#e&xA%mctb*KT($K;zmkU2Apf1|WH(Rjr%1PhXo}>F<24)mfkBuX>&!LCifXb+d)5TGB*0k!6rc=M{s1)|Tq+b+2>AvT(uB{q&MWalfrMsySW`6cKGxy@7fA4%T-Us5 z2hntFBG<`Ct-a`8Jp5(m;Z<$aAksL>zu#w2hj`L9=bbHOIGfeu)5k(}^LGRKx(Ns( zZKdNuLy$4xjI}C$DAB&xB)?YP=sg(G;McaRyW=l$AadaEUQ?dp;~HgGu!ViB+X87-~$LTzi4z)kiqvUhDrmQVdqgjW!LbuUs#mcai$ z9#O0|3@AQ%jANE^0b?KP<4EFXEw!8#)y3 zwc>|fu$)f%mZ6j9$d=$?V`GtUw`V@TEC<#MC(!c!N7tTt8|iVw+De1(pD(XF1sWd& z(@*cKZtdp+Yn39tQ@Md&ro278XJEDT4jjMeq}_ki_R3y+gJuL7mc4eT z8|9PLcpqPQbyqLLpBi~-|9BMa};!2*IIh(?~w=Te-zWCIeQ1iZJ9_OcYcunuPhy2 z`o0(m5d_4CJjACc-T#%P`@gz$=ehnrNca{vKk8MA*&5h9+VZvoA)S(k&BrKxkcB2G zbrri}YsK+pfng3;LH}NrJ*O3?zY)m+yFSZ#FJi9Ri_WBJU)H0vcgJ77o53z0@6B|N zxT`M zN3z#8+c<`b9^O(QQKp%{CQDnH)-`S2)N0L(x=eNMDQ^T(#$g^(W82?#1hA(48gKSV zK#jnXs^dnNEx2<#9!>PAEIiKh)Jr;3|B%3Ge&om#U38kI)ylx!!Kpx9$6Cp$){}Xp zoxsj0El2CyOvYxLu9EZ5?BtW(l-k-G&PS|&`5NVicswU9ylpEBQwuN~wZ`zlws9JM zt<~Qv*~&Vs(c!j2_=oGWen>N}Y65%<_Hw{^ZiC^{>F;y* z)-R^m87(W^!)7uYIr?FBWyiA?b`p&^U~R9Ke|Y+J9R61Dne&IkETTBxSyG-G4P$3U zxs&GVDhdR889uDUmuqK0oRfyK2EwVboz!g`vVO~lFF!Ezs#%9mnux&Za`5@_-nNds zD8NB5GsNHBc>^t_^aKS@Q#$e+b*$X5Pt8}x{PuicUMyCWu&Bl3*iR+S_ z+L2D{BmptQBLw2ki;3NT2Y={y)?ZU(uG%SK7daZt*d`syYaJ)jb^#7B%dQ zkF2$t?bR8H(3;(NDjVK8Y)9#yRGT=gjQeJh{4{SFuOT;?SlpOvA+l!~tekjxQd4i0 z(5T4mZdQ^*RJj;vv41+@=~@T%`A%VyeJm7rGV>(q7m23upMEK!=2?-g95+oFULrL0e@6#XJzFcHbG@K235xLU?V-R#pWT;L zAp@DV0Z|L?42H1rfF=I*t_~XmQi)@8JU;xwldC{`Q;fR^*pvw#mQGI)9N;Z$R$cb6 z`LO6rP=?WAciEb_M$fv+^>{+^?U;t~FJ=?*pbh|Lm8auO2Bnz=`))CP%Eg_?{;aMI zC*V$`ox)R~#5fIY(aqg+5gch4iv?%l3A%|&N!U~tC0NLMx2SE~@lFE9mjDR<2Sg>VNaE49(Gbv-|z?^L@RwwSnv$^t!XL##jITKG*#d zMMOG^L=pzxY7tN*>E>CJ>qbbTD(z@2paCkD+EI@-wT6FLjm=>=LcI#TWOyyH2l%f@ zMWcDn6#w-jRaLbLY?`S4iy>rq;}9xCZd#|3q>5ir!&4szpu)D)0@p-P<7b*BEL?~8 z%ogvmbgHeZMc>s)rRvXoOFvhi5lt%WN?$>5c09EIn@YBrf!9oyS;c@ZJa(1~X~eXLaFlHqljO2`KfG zUOb{FN!bkzuU*OaKp@krXwj6Eh$hk6TMJ#lAzl5WoraYl9{pU3#!wN5T_Q79IuVWb zpvsZesU?J5Tr5@5Cl@^*R-+_>7Mmm{V#=@EMtcgwt83eVP9HAAAbinn3D3!tW+B;IhJ7d9cc7PnbuAquUJ-k5gv$ZZNy%e5-N;bsuCQPP7zTrVO#O`CtKSakSYAq_M2n^q8NUScJy0gk}Oi#nR z*xnvswz9^6uZQolrF**AJzH+U?memwn4{j~q*Ef+&K7J|2e=`i>$`LlE_5fmscypj~r_8YfCBDj8b*wane~M=cn3Zill#+*bgt2MKst+WuRx3*KrH z4cAD1AjGF3ta&tZ=Q|!W^MC}jJB&zC>)CVzhHsc3(|X%qHQKCVZP$=McPbODqtq7_ zGO->N&-bg>h2h7o-hjv3xFt5@kK_AWZ%dA#*R^4l>C3m$9-sT&-FuPyH}~;}{y@h0 z_;3?fucWM|n(AwQw2Ck4HBH`wkgkE&sk*KN1 zr0b|?YSyid&PH)WyV#Q6ZarV(p;#29N#-%ysB}^EAT}r>O>!BnVg!u332z(N|B-DzQsjuac(R7THp6kfu3Ehalhz+=fmr{0|52DDE>?^LY!n#Om^S z6Ep7de}Dck&g0&j!A!=JhJrxXc}YB;&SJiSJR49B>z6mJMngSA+Ss0sGKsw*SL3n7 z9t#*!K4{2R9?GAD|A9ogK|{HRn0zK0W2qPRSA4z(VTZWCl1xkr>}0AA4Kpd&XeRJ4 z8zc6RKYlP#&U?TzRUA*x;}{2lc8`X(TzuJ|JoSPkbqMrzucD4!FDX4YD{tAkYXg@S z6DKYXLy@d^0_B$>8=>DO-Vx6pFB5A7Y=&uyFA7OGf?NQ8GikB8x(whU+ zPD2YZ_wcC)e@v&TXw^jeEgjq$=@dt>X{+;H0Cb9Y?U=G>UW$^-pGyt~Erx!}q3zni z0`}v+{Z0!`MS*-XPGhs1_qHG8V(;4QzOHEFx0?%VmoSOWg&%i&acuw*Cid-QX!Rw? zO8J#q=~SMtrWzE2Y;NdxS16{@Z`Px@`|VcyaKUnDIo$JO71p>d)*$%S4=yR2(@m00=!fV zX(Vfg6pT`8*d;|0qm(doQg737T(sYa?UM4ykI1b5D(s`nmfWO^@2cm&$egqdbr^B{ zq&lnO*EL7sVw6RLah>OrfYH%}IF&gZup{WG~_b!yRow3cUFnAdr=HG*Rz&#>{(NDn|TOfaZaK}w>> zq(DNJP@mO)r?5Rk7MlHLH*1Xbd@|xd?Ucd{2YN_BRoJy+af6vrRi->N56~Y%yvq1- zio&j;V7rSOOxQ)Iy{v@DZ$KPPJFuayr#mR!x18Xq^hHjH(P(7ZkwzW+%YuidQ{5R- z-hB2M^%E^2F?Qy+&^VWJCYOPbeth6iLWec0uN^gyh>PdIr;vi*f!|N=+11d1zE&@e zvn0z6#!MC&0`Xt)L7B*m>9Z-3Pu$Vqs~{|YGvTyLP) z4-p4_++1#TcR*Qye6DYH7rGT7QVi1dE%-feH*#uejP@8}yanzjmGJa-(LHb5CY*vY zoO;Hyuvj(%e9&jtx6_)Pg3_HtA4iK_)&U!@ej8wPB(RM=%Pja(t;UVV#Ttflz1uOO zYWRF3fW`KFAU=~Ajn1d>Ive>6C(-p5@7oPezFz0DeDB-n&+_RHD%%+T z6o`J*DTpA6&gK$^sBQ2^GfxmQr00R(gHw=(1=;LZOo8FB$(e6_CQrk4zl3N6!!R9d zl5w5VoO%>#I~67>bARyUWzeFDo^1r&SZ#rxBqY7%my3}gngz;)7G*o1gdpxqol$1L zs%a2fS4@44s!$~Uq^Y{>{$AE|`oV5_qQ}=;*!e`fn;4OL0pzXxyr@lcv{j{ItCgG6 zq8C-t>HzYcgY|C9CC@Vl-fO5!$e?pB>qbBjQ@%8$)SzzQ;lF?3!wLgh#DvKWi+Ks7 zPND@7orfhG^c*de;Hpj~tymV}afI9nHfM@&3A zyBP;UJE<@1PTW8V4rO-RxFkXgC9LstqQ@>$C>B{8k&%v_D9>H@nf(Gu*+BA6W^0rC zSQqA{8C3_qXeuErns$z|sPboQA3n zyhBh_--;>oyPuRDlHIc*j>#+6P|$5M6&{^j)Yk*Q|Mj!h&vZ5p{@{qRWskpt#;~|{ zJ(Z0*9$>$Bin7%~(MaOyfg8L75z+>-4pMj5jxjNK1F{YVQRYNP*k@(lxCbl16$U68 zyUAt)bcAJFrUc$`X6^ccab_pq%^pN+Gkw3zMjoJ$$P+~QMVXycs@R8o2n^;OMw)$w zP$Gb%$iN^B@fl;lfkLjeGr=5ezs5 zHwu%9_<4P-?19Gj#NLjZ*puEB7HELS>3>cbZikrsv59y>M?e0cY+UdZ=TM~$<(<-U z-BIqhM+Uh^8Ox@wz(RWO1?x1&HRpU&&h{J#2L?uMHX6igit?>BSVIP5l8)>c$4XelTy9nSetos+ckbqnwpXUhyx|HZK$(I=+lYLN`8G@`H(_hfKi zvAtFKJ#%M{Hk|6Rn^wVPuOcd}3ynJEz#*z9C~V zpQNOYeJToChW}L}%g-FPGGLLv?G#%rpn*erV_DUrSi<1gt%9Z3UM8Nn4g%QWu z5n^_=)=%uLO^rk7o)h7RkmWWyQhc$=qD|%^-povt?aSjg&`_~|#>gO2)+_q*@L5-i zEp&F(MDlFDOx(Pl7rsBds<5#c2S2{bb8?sP!*Q4GP&%?85{(_RccllxiB8Kk&?0L2 zV)e@bqy<}HGE^acMak>Yk4p_LXc2BpAu2FZzJ)0JjFt|xg4T!X)LBg3GDv2uW{m*r z3=!uTe+cln<0F88Vn#iLr9y+xL6^z9DJ29B!vzsJIIvjUCl#DZq$5*mD)#r^ZuyJ0 zu2idgF#m;nR6~#CKZ4TglKyW}^hI}{P6a5MPzU*oQ`zVU3XiebSK=9*TELBkFb})2cl(d9|bx ziRS)=ORBxy;d6MTItG%}w?Q>HOENN3KD}TnBUaH7`OcN0O2`RZ#s+E`-%fMq0*ytP z7NHTG(7+@VvLV^feZLh7$|#$II%4USsgo`Vn44b`(#9EZYP}m2Zt}Egqi~qYtrI?O z&YDEF7|GSGYsAv+e>*9A)KD%eB|NhG^Sx<-E-Mv7D)1$3{4kcZnMmc|j;QsA@|uArt`CiT^uzGjbz zkIUZBg2Rv15s?o^SRrTpB(qtB#}q<-Y*O4b9yPEOt1+(b$_W!+Jh}2-uL-BHfLNDVJMBUM z{kZ16FuW6LVX70}4T&}$5cN994-K!Zs z6>_mB7__h9A1Lom-r(s5gwB!}l;p3tr#i>hahMx22D)Uf)0__`5m;7fg-Y>EJ{vG` zRyWpaxt94Cmu+q^L!>?GZl53=_P$3AWY@X0c-qMLGT_La=Z3x5@uaykL8 zpi+L2;R)&PQ?5|a-w*0`iKs}+KBF=lgPm{~`p$Jp!iGHrKphFgt?PMoSlxT2BwN8Z zcPo6;qHRV!-TwZ>iMxokEfukwxcXCwA>3+bed4vN^3BWSK5%UVm}>tv<#S36CRf!hEirg=hzv;nK9gOp%6-5D$CjYFiT$&l5u zXjHr)I#8*VYJ8z;b1Q(p*x5Fynj znsUoVI=%cfZo|Q6__p2;s4$TvULt4(&m*rq@Jv@HEu_g<$PMuGsJ zEFk9G<8jNe(b@R9qk>UE0mwO3rh1|X;4T4{c793sd@wHey^_s?z0IZ-SuYv)8hp{p zbg|z4%xmtScyEd34Ok^_IHeB`n+#A9;dC(~1#Hf{cj)2IQS?GXDfc(jBEq_&6){-w zLwXV6RFSPHoD$m~lwKm4o6Y{*YiOt?o|I4(f0tGAZ~Yssnp30(Y=n}@9*xZ z7awALzHjUM=XtlI{!UE=R!+gA?x{ENIq!emQ-zQUMSY&ZxJVzb$l|(I%wSZQ3C>eW zGXv5=AeF-e!lj@CNN5oFG0?E#6n*Z8wDx>E@Nf;;dJ0d|%j~d)I&j-y6uj54F*V@z zwBFD?#D=_fv1bPQ$Z6=VzMFg568@JNEsG(li*6D&@Z+u@enPVAp6_}4!u@&s9DNJ^ z@#9LAKUcWAei_~*xL(xf#fLlqes}P5V2`o=P4JUo4vA>(@Ar{jtAFW%S4OEDqud>@ zn>nw^qCRhVqW{Q^QZG-!w`TXz@A{`z|Lqe+nn*_%itl8;#^snq4_pxo4nC|EUp1F; zd@$3${_U;kklxv|$9V{*N17l+Iv2x<)X#W&JRGQx9(Wj)-Farf5$%-O34W)cXD%!q z+o}E!VOJGZH`Fc zD=V2~Cs})aJ2T&mXW_FfW814a?qhx;N)HX~{VIdV$$TRu6J-^lExGHB4EHfjrurt7uUCqV2%w;744KKg?uyLJ<1{hs2e} zdla&6@yhJK4Qcl}Kd_HzUQXeD*~?`w0F#{_%OUPTztvSw>C0%R*;hQg4zu z6YNJv?bdgJeG<6vnp(p@a~Nas zB5yKA`88X;-pq~>=qGy?I*hxOysQ^kQ{leL#h@a2Q7X~`ajVx2`J@yKhZ#N`iF=?# z2TB-JV!I6u!1TC{fJ`7Zx|*h%yTa;@puivmu;F{GiUFJ?DPm3~dR6xfZhu|C|5qU^ zyTJ3;j)4#_8J3X&KhC@gNcZvFLJJ6`wwOPbqU_<=yHrAshwc@#7eWb|e0$ zi6Z!*RJJV=CT9xg+5p%sY><<&O-dNyd`w&ED0hT;(q*)(V0#FDWY zSre5ZU8*cf77IgHev5$x)LSD0=3|k`pX>IAldrmawW2K$jgY3+g8A``gRCB-ulhfV zy0x@7QW}D%ttWX35DPeCX_E&Whl)S3s%P!r2l#nsG)1ek9Uo~v6}8PC^+eY<{w~;6 z>kciO=O_vri1>`Jgr}H>n7L$h8DrjJyUoUM82Vr$-gStA_YEW51Ml}lx3_GOp&yfH z^Dll;ldkflNaN@_9JymdwAsa5Ia)+dbS2$R6f_ln~9(Tn~#j~ zF<7nG)n|~)7kD2-SV^CDe5nYxKlN-27vQN>_zes-)oSMCraK=)N>TbtJG?X9=WEVC z!S-n#EtnY!Tj_9ZjU(Yj&xd5@okv_}#wvU%72TN=$TwZVG6UUfC4-M1%i}8mO0qZ& zgcyVA+RxsDlS|p}kNl^x`60AcF+Iq>_+i)$?TeH9J~DUucbqP*cNFcnB@fC8?|}bH zL;K^^(Oe+`0H?hFbyDE^Pm{u4mZK{PKXGKwiS8i*?!sUTtHEZ|@Rr+Ob6bV5Wyw0k zIT^c7_bO2Rm#@Rn2u2vhCmzU=U_x6^38jfCP zJ37YiZu;-sJp;sF^=n*13?b?v`r>LA!H}~BQx}Rn@Ao_z#N=W+Gr7135^KE#G;Ib& z_mLSw2I+)4J$BzNO(r!0}_73 zuv9ouLzzlMZ~}C(ud+B~(M)J|gbIVPuYD?m<)5KZkyI&LUqh)Xd8m<^C@>4qROgVl zG37_xq&};0pobxSmqE}Qp-e{PCZ!z~Lo%C6us|lote>REl_FdQ)9ESWS#p%8r^<(6 z{{5-7H6Ui-a9f9gNk?f}2n~WFP+7H=Qc|N67XnOF6WrX~EZ3s|^dS&eio9UM03tIY z7%7?(E07kdLh30IU=(PU2(@DgK!&#)0(zsmA=mc;eh^P5Kvgrtqt1Oe^}|$H0EIN< zISXRhh&U$Af%AkMmP8!1Fa<%VwZt&ko?rk#2WysLgb*kO|0@h?pU?~srs6M9!ksL1 z&uK#z2yu3SJpa>OIwt2Brzsh_Y(}7w+BORLd7_T;N`<*{l)stvHglA(^(3$J9zm%p zs{+d(MyK;$d4yRBK!F&}?txuzxcaY(UqXzJCsAJUqRL7_HveUyVQ`4O z7Lj8J6!dT*%6~2;^i22}Bn3$1e*J^06Y?yJsMGcqI{eR8Cxl4)94dtn4h>^!tQgt8 zY4QEkzpe9H4*-c5dp+vOj($F)!|6c;a-aa76}UMj>+2q z2|!2;7vTF9kckCV0K*iYL9u)w^tQM7mLZM;;3Ng?jb1)o6+j0)Bf3zh5L(u?fm&|$lQE};^I^8)1B)zF&ou%~XJCVHpG{8jpjf`2aG)W~Z-q+wZ9P4}eK z=Vbj)3j!0V0cP=8C?CvMdYG@)Ff*YAPVmF15g1d zFXn@>%Y#a|T7$PjgEa(%`Uofr=tJHmiDj4BiA6~ltORLHOiOZ&{w z+Nn2@Zkxvm5Di*h3(Z}E=mSj89=`Ry0MmWXzaNe0p&;GGL1 zqL4J;IfMin-6`~=8G5+szVrFA_RZo1V!pGO_8{|*DCFV^Y{d;|v;i1b12ofK05?MU9YM{c%nZfjQ8-7deV-^|9;{(7{2s@&Qq;Q5ws z^JM9KORzj@>KMFP5wh`es5zdLIQ{-}e6r^KaNno)X)%j}Bm69*npb>n_Qk3sWP1@3 zj9D3U)6r@);e31Z@CH6B^-_KkfV?pNtsgfHcnpW@AB!vU+g^V8d$&JXAuVHhJo=k} z6Uq3%()*a1FJvek)`Fp7rs#)i5ZL7{#`Xqm;(TL!!j`C4_xNMRj3Ef37DV`=G4#B# zjT<-3eC&S3SjiTjUwIkd*FA_ok=6Bo`B(ee$+-XKH;G(Ude2Rhea9Z{FOJ&<2_{+F zc#B^^MqEQ?um#z^zcLqm@(+1GVOMW}{tR|~Kl5qo!DY66AKyqiyIHZ1xfC}v_4y5m1BjT=mAvjahF|jLB97Sv{0`1CKRaqh}##iXf}l{ zpk(O<$o@_Rd5L*AyS{d)D_an|SjhKjxhU0s4_OfvtGei9-b{c&toj@znLPaI09a^g zc9a;3^Xr;YL1XGH6d@^8J`Yahgix>B37xRFHyAPDnyU66aL!+1B>DhwEDo&yR@lN$ecN+o6xCeYJcXC=LdC`Fpul--3~Sl5&%HS&Lu38bR~olS6K?Hu%LIYFrC! zK|A+oPiKA7a(zYepdy2Hb6An9(?IW_$Mw!Igl;Hbk%`%!z{9>z>(}OptTZ$9I<2}R^;oXwHKxXSLPC=0}2NqRdYJ{ZZpEt!OzSrY;b(e?G>qn!ia^i9UI|$N54=sh1!${;iX~FC+o}$LHJ& z8#VqXS2cz{vO&9EE#&q$3zl0V#gl&UC)MEx+ft@Ei@68;CjuBJp5$HwRjGscR?6I8 zOn#;cim)};>nnCP-sA{0%dMr`^+}t$SvMbv2pkI}`O7(-Z9N{nyjbv6_J1-VA#ZU{ ztB7Ge+6=|BBJ$xtfrU7M{#plA@3M^LTq(9}x}7)J6YuniBOjzyQMfXGK5v zU*3Fqo}ocwYjI(GgOHU$OJhO%Z?83di~rv;^51vvGjlrtKzQ)KmXX~5sf=9ja;;%)@!Egv7K;=E6;rL&IrZ2B zzgTIzKOkt+^b%7O@>Jt_QOh)=Dk^S1{<{fiy%)UCVg9Q&gH~Lc>tfh`pVL)2JlK?H z`|9^#3Vwu$y$>Gbzu)njz8n+0?-As`9_5ETT=p-%U(efKHHZh@pJA8=y@Ds-s^2cx zqeEU_bs<6b2b0||HyDuM`y`6s_j}0O=py9x=05**`(6L@#z)_Q{z;{C##L9lPEp-X z3~fHA0OWiXUUz$0iL^JJFwi}C)%l9`9IWqXlt^m$hbm8SS*v3qyYIalg(q=S7$?)+9vGVv? zmG0g2>iR~kYdK${cbf2BeN_N&F#Acmx=%s_(P9^Ku+hDX7jB zCw07DUos1{X0C`Y@x3ruGE`4rWVgNug&S_xN?#K8=6fF7aj~tH{}-I(EV!&h;5mQo z%3I<;p!amx#qV>)a<{2J<89LY+vcFT5qqZWPjq12BGs-IftYoVD(jz`Zn=&&kPdk{ zZ1dU5^MVS*c+ERcG%;tT*1gi4%+ky-fb5m0uALTvAgJY3a@|O5WCHrU&d9undDUB@y_n~vr3cA1!3OS_nJ-qh4A@3PNpQxej?+JFJ6R5 z0r*cx7q$1?%~pPenM$iF1`ggG>ffv@os?>Lv+Ynzf8|y7sB^bdh|*1fk8{bsOM5)E zW{3`s!gYr>)p@mX8t6osURWAk2^n|6h)3C{5{j<+MjhXXEv#FK8!2_2inzx%E$Ky1 zE|0oY*q64|rC=GiEqjU297&P$%BeY-s!j&3=^qmvtlpc9#-z!zY?wbAwr|hWwX6$O z_Hem;tE^lYEV^NcKFj81*%hlbVfAz^oZqj({MN%1{rlfI@5Ql&@u(&9D!vPP`c`0G z-C}3fLN%{hOQPti^o^dm5caPIDS*Ef_P{&m7Fpyl#h@ zn%olHPJHS}Ejlwc>*g`B@9@xKQtpA5Xf0Nt=ji#@%G-Dh-#vV!T1KNqwZ?6p z^R$~*L3ItbiCZt>fDVpaxz_RwaA1G^%c3sf^(f?(nDBaCH{@ymgk3!Fecm=iS1j;VfPF$_VS)7BpE|Q6Z=@;g2K4cI&=}UWZKVkANMPu+Xs8&Z zLy2}Ozind*7a*vWEHxi=y5jhw+FF34US#LM@&Wp66gXU*s64Qj<>b0#mzzQZd3N6d zt|gM_4!_qDNn%iwQMst=9*KnqNTml*X-$^3svK^$G+|1pQYt0g3{?B%DnU4cT2_lP zb#8sC^5Ojd0U`0LyoF#H^7sPzpiuHnUL$D+4|P2V%0IW}rp!s`e`Y^oO5Ar?$U9lz zoT-x{dtAcE_tE~vgpqGfhJyq*q?0Zq$idpLX`_QuTfG->hoRwW`BF(jh0z7{Q!skG z9ti#qtgS6mMb+N~K-{bm<~}A~H;=6w=1FL$<{)wwEx}U*@m_L)7gFGe@F6r||AjUf z2Qy30exbC%>D%BtY`|tznE11Cgy=-9sK}<5Fn>Xm@zVf#MAiOD_4{Cy3b$F3cVgZ` z-i#(r;V|?Wh@A8M$tIon%h>JE-)-ge^``Iq;O#3mteUUGH^rmjk9sFTK=4)kCi-b2 z+DIQ+t{d7LD!lmg#A_l72j@y)sn>Sm=m96!#NX``yzi01S!-SZpGJ_Y?%fcM#2P-A zX=x1xxUYvUy!?-sIEPIVE3}(%YkyBKnP?;GIm2}E35a>QIh0n8in@ujuNl#2u8GMk z#=VLjO#DO5x8=1P_+2)1M?`Og6%Jvhw=5Rf8*MW&VisI;ih}R#-2SCHujmQsoMRK+ z0$6~?_LR^mTaK|7YP#JeI2I9Zf;5k3>mk%^-NO;?f_MpgU+B4b40UeitoQk@9@PzD zsOxHx`EtXWW3rmP1z4>O~DdyaAT8`*0gc z{sbSn9AUkU&P=G#SOE-Tm*8kl_l>|->MYu(7ia2`p;YZS@tu$0cfhg&Jg_+{0vxu` zw^3YCY|cJ%hm@sZg8>J>a+m@%09;bu!E89Dqm z!w@NHfjQq5gwtBDO^Z8a%Jzf3(;X&ez66B9g4n+XNMncCnf;gYACy=>DY`ns85!$# zpjxsU&}Y-)C74aqB(3(xD}MfP(UnwKLPuNTITRCVh9|qz&IzptZTh#}Nx>cmRC_GDsl>_VGl*DBeTvJ#XY$25EboT08}6?z!$!(2+@ z8-C==c6^UE*Y2w8+e>s!Hx8jz}gPpdB5c%iA)B#CmAs5yGt;)%FPmAnW|k>7MAnCY1$s5qN~(M}mx`k`r8 z$Zy&iH#T3OLy@xZfSM9MYW9$A!j5`C0XSiLV9pcXP{7HS^w3#Y;J-R;wWaP#qBUr$45H#7!Bw{px1`6^iiGj469R_-KM-d7bO;}`s{X)$VeJaD`gL*kQz1u30%t03gOtr4KvIEMSd%o&Af^g8i23I;(bB`BnXJ%= zRMRz^a9R_SFmX-L=ZNHCk+bdOhqPNvTY}TDM&Um4bcgbRmGhoqt11HujzcGPP{0hh ze_{Ep&Eq0m^p;T_?u>W?!hdkO+|2ZlM_n(fYRj`TOX@jcf8P*hn=a`DM<#QgpCOYR zMoI^5gP4KBm_TH7XRTU4GJ5rnHdvXfw`+RN471eL?>kZo;QQ0NMtZ6R%&#-vTR8~D zKlumLEsgv4NUrJNAyILQokJD}}0XEe2VUvghNFJeWhu5zBs7&?bLa2}}zK zB`OW>4$EU-1I#J7`)fK+qI7C5=id@I%_6;BIY*M|;Dwj4`H=H9gVJ!yH)gccGWC;SLwS`#HI3 zffh0Gg-FQ{z$P#^0NdwYalWMzqkzy*W*7j2F-i1V>tv^EUKW5;RF(zi9)fi5FsK42 zcjQ*^AA%AnlZz|2f%Z!D-eth+8W-?k_%ekTuvhT61R1Zd4QYSRM6yGvrC0m4e2jau z#RAKFtEu5S@3ynK6KefZX8vb^fSJIcN$mZ){SM%SL>^@|2>~m#bXVB%r%vFyca`NQ zu3VGk-={D!kh-EEf_vKP>Q|5rlF@VX#dbu2q7ifDgnPg4rYym91P)Dk)u^A2AiE!g zImw`3bScmxVbzI)p99q=bIc*_?^n_!)O;)9N^fKUs2?jfl^k&i`yynELk-F+7$((l zJ#>7ds=z`8jKCzfe);8XDLrg`fHWex`HN9>E20BKG7JIzFZYPtvnV&x^u7I5d;2Xt z_cM{zr3>fU*dSh^}|P+g5A&;F9I1%PFP#0+Hv0YOF2^7l@c z?eE^DIKzmi6zNU=d$cc5%?RG`a%U+h$&9Fg*8R~-sHwrnYcq#tOjM{aB9!!`kR5Kf zUdiPw68e5RLjFLt^_3TN(909QYo(xT@)#tS;_ctoB!w939zeg=vK#BBX#!gD8NMx^ z-B)%2>sMRM>vNqn(ylJRgb-%GHgrd_dTAQFMfHeG4eopAPJsN_uZB}&9|Q_mbcYPM z1RQf+zpSa+*_msgnETAbZH(!__W({Qsm>0W%FD;ZsTH*_q%E`ufQ$8k{{0s)C;VIT zTql~sx*COGO1_-F!FrW5T<)`- zQ1JRnw?KE zT5ls%jSfFnD(yiv)(RKk!o3Q8++FvVOrd3)cN+>1maU3(7jxkntKuO^Bl4ZTY+L)d zcc##oJRQSfH6TC&Zx7W0y0*!J>MOwnZc^vGj|QB_`p`7L1+pai+XB#&UE}wq#}$(H z_A>wIRiC1P8oEpkh&s0E3a?^JN|PQJr7bM*5jhkOuy3nL|a zSpRne8t^uPi876?xZX{MUh8|0xb6=+EzSWq7%g`l)I%g~jskz8{v-)y`HO=~O3YL7 z5-)QsBtNCbuj%hJf%|Uft>7qQV);h6O3*b4A~pp)(_)1c{fdfz^%ZmkoHC9ZI2)NUarb}ik7R2x3VIIqcORS4z|sn4 z@#s2)2xV5q9?CP6x?et%IZbxkP*@1R_bV^#|9#?lu|9P3jP9#c8G|3 z*PQ`)eLq)wnGkGl8P1(X>jwECD-WY-)o1tu6+n_-pf(I^X{2?K>lU6#Z(S9K+(Xau zT?Hu$=gzJ~Wo!8AUFX+v{$5__lmJeUm+TjcAQ*+sy1T5ksxv2U@Jw^S;z{mFAM~ya zCK^A&1$M+F3Bb~5Gtw)(8w;BIpfgt+m0przt$o4q_VrY)HRf>Jml(ejI%iFv-b_=j z7u(%WUX8al>vy@pH+V5_bPLzn)@Y?~(^{P~8lo1Q91H>%u{|Sr-9||FP?Pom=~Eub zpf17c&HJ23P~VsJNo*fhkDjZ6xw>t^m&I&SVw7}`11Mbf?GY$ngw@=EK88jNc=N75!r7+lp{C>9+u0y7*EpIbA&nLZ5_3i2 z%Rk0&Mx&hSIJs-B?&Q3(trRvMx@+ISiY8$n+T=n0oHI~HbfW+*v0$B2+O{{k7$`Ds zP^OdEC9`&s;=u~e-@9d1c~A(-sZ5iJcjw{xS0e;2a1%rOep%=WcBftS-~su8gd+^% zV%_L#l^a}xUFpT_oh7nG*4V*7Li|t-OOD5datn$ZA0y;xjfivx!8delb(57EQ^A?t zL|xqw!)5ew>ZiJW`{4sSO=OF)*Z3gbv(4+i{Kw>z$XjA*s zF#W|4V-hT-CUgM9k|mUrw=jxiGCgkpshQ83cxD`0XrFu5u}j2NOM~=M{Y-S7E3l6L za5otx z61EcU*k*ULp{v4{nDhADBCTxC2&b^!DB-zJuHnMQ^7*1($N*;aAfwpSRW4F$KV0i{ns0I35Oa5JYMQ#8#-q6L*9$0Egn5xHw zeYK|gUVvHO?>u*e75eLLV<+xDdER&ln=(Ozk`#JqVpJK7)Vt%vHD?whP@Aye?&RW~ zGvE>Mrr#-Voo|Me5U`|%`4tnHu|ap>!~MTl|LzJWN&?ZQZ&&|L^OpkB4?crz(&b$< zEg@5E^5)xn-h31m>|Sb?xuW$52tu}qqnO*D#VibjEKBp2!JKog4kQhl)8|`G$9mpA zs;Q~|?xYvRzX&RP%3=$q!U`Z(i5jz6I5Ar9igg;M(c*|B<-g#{-G=gA7)k%eYyjYe zgRpf#2O2Aeru~6zP94M zcgBy)>TV<*q4BuMZj3fdR`o!M?_VVK>|4#@0Snhx_}r#KRtPH&wuAC;T3aq)aV;tf z&%3|BmVE?lG=yVk)}2yTK^C<(x6lkVRpiVsXa#BOR}HfRIIem-WMQ!H`MGovN;OXI z;66YOy+ZMgbG20tq?z@V&2i9c^14NPFgkzpa;QoTXKydyWPjQoTFK#r(!lgNOP6qJ zpIP8vgf1f%#aeE*f8R!WATHIVPhTcGEybgoiAz2$g|~t#smxf@1Mv>3JyL)3_-vf`?j)O=}WZHHIfYsmS#wIxi<8i)W4sDG)`Oi488K!Jx}(H zuwO-u9i4b|Vq!f@1So{oCjNaVnKVsNSES9?{>vKx^NZyBy6{~a(J7Y9ti-XGI=t14 zOE52-!oZS>&PRsiT&}3k7qrfS9rYsJI?M{V{=-5e@MR8OsoW=TO6Z{%jbHt#a5Mom z%w|g$1#O@@BapgFp(h94l1z}z=S&@-0eiR@#=7>g z6uQK30}Tc_tPHuH(3Wt#2%E|xBvhUe(^Ukt|Dugy@xmNbe3df)RR^=Fh_A!toy4+E z5d-ktuDEto9O2JkpbG7D=JP6|PxWQfVg9KgcB|gK%|Too^Y_Sy^?x|KMfgK|dNPEM zh-zv&O8l}7gE`P8aNYLO3PTD(ap&FZQ!}rsxZ6C~8h#+#c@az0@DHO~#}ika8kW|W zLs4jaNit9eNeU<2ws*xZR8DSVd%w`J^q3&xs|5 z;d+0{-RpXd9l_Nk`k@rSzLa*p_YwaQM}l5rspjdcCMB+Ipkj~p1|tg3?@jC53{W0{ z(*gjlSP2^*xA8P=lr0~St> z!c#|}g03yC`mW9N^&AU$>|ixE3|eQ(@3ifWwoucDeCuXoFf^|Xgz@#7K=?x zajB4aXnJdJVtDJ1taMCEGs_=r!H_vvd-j zr`VUEpQlO_l~S|Xw}yNemN#*kO80`49Pe^fb+<$Gu##xgcGkE{vCTURHAC(Kg``at ze!zKrn>z40+ag+T*f4xNFY+vo9pPFs=s_=IDEQ5z%$SGTBJOB)f6EKc_*4r7L7 z#hz~&3DGU1Dt`a&6#Tww+a`WFY8lUVGnKeZvn$Bt^i^T&zJp}&zo=`c=hNj zNIO^9jCc8g)m?U_X1nffedwOA{n|RD8VCjJY~P$(W)^}*OE{W^-$&h=d`4K*h)2Dv zE$&7M7bqlWAozMr2St|~h96o=H}xQm7ROf!LW$Dj3QW%w5)f2!tN$q==N6MJNqTwh zlq*z&=mpZ*P!|;JE_AJmBlz9ZwE(XHkiy+08u4{f{LSOk4oRHS7%z!JNObSa6y+JaCFSS zxPp!^Z;?aBWj)Xr`yPLTO;hm% zT|tBlu+ej{8<*g{A-hzTJ?@xK4hB`rBph7$zz}9i^fU)Q`PaSA&CK}$P~Hw@uv5m~ zFlf7O;?si%J4!`2WgKn28x?kV=7fpi)@C5<_`-}mY9%wt$ zBr|yMIsTz2OWRWpRoSeHG`H}-AY|##dH{3UC2ZK1ivB^EPfLQ^f~5$lpncN~=~$@p z=q|kgoz}7%fTtbU#0bI$_mIfE*a`@NZWr;N2yFaf^Ouoi7@wa5p%4JJi`6ERI?mB( zWMUfGX<3XLfzEV{p#4^CbdyuRfklsu-)1X{H;{V9H;2j^2o<$nm>pr(f%A!XfQy>2 z6G?(0nh^CkGLs0|VWN$_saQ6cQ9BG_6Pr=}y z7=2tW17{6`=W+WMNCHw@9K=b7I2L8|kpfM*%4H2uyT2u=M>6k4Tj z`x$4^F$b$-o(vX9(#)TRAIHxe7 z`{y2<&A`(P3|9jY@1JIh(yWm&9P27(x&9;+>#Ao5wN|d`n<&-gJF}mRukW3yAtjQ;jm2WQ_4h+6FC_99$7eBwe<+17N3(#ox#%frO&#< z^&3;2AXGFwUcdTFXl_bW`qU0_^C-{e3Z32?2{m8{ionipQtTf$DL(kj!dN0BsI@aB zZePI<#<1d-(kc))LY|Vx6=_Tl$s`^CCBk&WBHW6klPp8xC&%J(%?u4k*L#eD7Z1ee zB}do9-9jzpnumVkExdXUSVHkRYFfBNrDz2rK&chco-B61>T z6HaKOVc!zyNavpmiKDr1KL@A@FMc*6THKz;fyQIeUB#P{XS5y7FrBrFyY~HE*rqZy z#URF{Qbv|cpoE_dP_vBnUIs@H)xn7*67p3ayY!pW}@1xi=K97fPX^PN6oa{&BejpUL)_0~+53349zU-2&K8NTv=^V#WDg) z;Bw3M)8AR!qP=)NRuV2|y$2k=y51bwzvn7A6K*03w@|&4o!E))f5raITs?Oe7KkD? z8LDfq&r0&_v%h!dFJcc65n!mgVodnc*t#hBH}G3Ph(_Ly-hhxTkDYgxyz#jg>4}p- zu5>jL_kspo)v4RXiHAm;133aM?fhQOP~B-@eTI7#n8ufbG}Td9y{6ixu)0K!`CDPO zS|EF?jcpU9c}MkaDY$OilayHmTvx3=RL7&xF6(n^i zGEd^|PWWd?lBId>2OxRs>&K`MtPoh3d*%tid|g`mVnjp!=wYVO=p*WAmdjN|0513} z+)}mC)OjLIp2!!^e!W-Q=sJG`lc>5UOF~DTeKB;)-lPWj-thI$0e{AR$H{AGe+TQZ zpPZ+2o$7qkjLg(!g(h%p$2R{Z8dc|iCr&@bI>qKyPd0=nN~1rWdy1m!?w)4*^=<`W z&*y1xNr!}TnLLrj8L@Up6@ zOK)1Dhqk2cU3j_-he6G^_Z^Ez)rGZZOT#Tl;h09%#aR)mc$|6k*Qb*<`t<8DLHCaM z$^-2^n7-YLz!pFV!v^%X zSq^+yWQLS23Q`0#OC-vxcqYLuW*#rYx!xzzAtfL6nGV859S){4Oa%g?3UihTuZCZ0 z)V$2KPg5N59HK2>f>K+t-Vwy&OK~z@g-F3!`aPr*c#X}5RNqn^6HFqj)Sk=^0 z1=icBf?(iz;+nQ^iXOtXom~!6ox)l?5shxz!6HyUY+fiXwjsND7(%SU(XXZ zc54$vY*q>i*7y8ofhBlSSl`@^%j{T_Y$BvVWVDR);X+DZ%TF5lr3ahlen}q(WEc11 z@VDj3h{p~G{PW(}Svu?0GGuPX$qhL|*rMF*-mLVLaE~*tslMY`D^TOO!~QUGDljSY zf~_~M3*t>1n6^TUK#ylxS__Bn0+m3sp=JH@{na6R1kh=@JpUTtr2RUYRyyTIBpxH` z6wpw!xua|Pa-Grh`clJ>9P~WiVjBGLc7l=r`nC!Qc?N$hhyPbP6W8-tUe034AOBnM zF&tM+=VtT9MBCLX>>~rRw$vikC8F5*bMsNfzWF%EbK~WvwSZ6Gt{bb1&dqjO4mkBV zs#4%yo?S`{YAH`Q+)H~r&<@kO!|cjldj~W7JdVt(zj{KN@$yVXZ=#x~M@|R#Zol<6 z7izH1O|#E@=Mn+gQ|_lu#o|rac_!u)e%}2KVLBkJyEEafKFYtQl2dQdoVXRD2)u*N zhhOewr5i}YQ8Y53CfPUfikt&T+Ral@PW0m#DHJBkW`c5rDZ47!Se7V|N^oo#7{j?+ zXvNxny#Nb?g-h8Nwx~au4YMGi5P{E^eii%gCchh?j6^kt1D*2H)6E`%AO z=j={ZRQ;rR+<)V)$loNS{D8IG>$xNkL3-2oAIyxG7`JoAvEQ*Oa+3c>FwFgTMFzcB*sI3F&9>+~qSR9d&~AIInXY1a|~RvEUbp;FQhXkg=&eyPu!?8xH*>%^1+@Jtg@PZ{~aMZ)p)mN-2&V-J5IL3{trQVmRrp(=&jG524FTGfC0wZ^>6<%%mAY4qag^W@x6L=+nB z$1epMq+D*QAVW2bf<;j?>^uZP58^-=!Lr|wB|1JiQlO`mr%evgRbYs8Sm2y6GQM3Eq`S21Av$FVp zgR_QsKjxzILcO+vWjq14BALk&9A<~AX(kD~NoECRtRD}vs!A>>V@H6q`&DJD$VrZa zG!Li=WBt$SOq+6uIK5upE|M z)atoJma6>M+Z}F7!M`(pZCeq;7DD>-I>W*a$%2^McRSNsR@%N!~uD(soUwz09Oya{)y3}5yYQ_hqdrW4Fm zyxG1j!SuE}j;v*ugAeU)KYX?4H{A#guZwxv#>a&#r{9&5K`*WGh(>jd`eXN56T7EU zN>qVCJ3zw;RCyn7tfq$)!k@-6B0UCEU0=h=kp^UEMfNwpKwUX>#%E1Nq>Hu3JUz4h zLbMBCH?Gp*W_2Pa(6m`$+4(&JwqG$zmgODM=H=D+#}{)W5{eAR8Yn~N;2yXr+xW4; zJxu}~@kO!$s#tc*m`jvQA+7WXu2&I7N%)}0&fDtKSQOc{(p_<$Jz`dH5#kC>$UZO?ft2_=r z$wpm>et$}xvZ9ZOQf4ZIN}&lZ>MrfRdm{I0)Q9xn5bQCI!FB8L4seld__EE8VDI-Q*i zD~PYynJZi2tH+TEGsIW9^Bc%n!I9q{gPJM*@kKQL;IRw(iZ%ZMtJUp$L~Ze@W~w$C zX!@sRWKb`nJ?2H3VA{BL#EdQQ?{RF$F?AnYqIvr2_43PTR!s&QwI=~@r-Ryu)uNBE zwTeE-u?+i}X=g7_Djtj5}|eQsAFKfIK$deo;D^Xo4& zvQ~lHK;up|V#>%a-fxK81#XKKsg-zl244hq2>aGwy+d=Ky2;{&Y%at;do!6qGoZ)H zd_(Qw2-Y(?mfIXw>^C^3jw>h#ELSc@lLUXfvl$x#%^Q1w5YH2W1y%9KO@IV^e;#Yt1)Njs@UhF;W!5gRaradc%7LXd7;oT2zN$G@|+Li!tncD7& z>7j5~o7k#T^qfMHP0Fe>h~+ZVsvn4s&{U8MMLQWIUqd8U_s6VuDOq01s_M$@Q`HZb-tFg$a z9fRG0N4?XMH^UP$G)GZbSI86ab`z}&k>o=iX3Ysza;84j{nwT%QR-NdBrfoE%AI(} zTa`Prk&WOCBq5c@&06Fjm9uX!O#ibwvn2 zYcTEGb;hg6msLYqoCPY0jT15LdDzAqmhzHzZLdl^d|#-Cy!(D)ObqijR{KidK$KY} zVAf?RcRo-Rdl26#q0nrNCGnMbl%Zca?MSQBpOX&Zvpc|Tt2-G<-=wM2=ryy;Np+q^ z!~pMKw+JNjXx>SZ0Ra@9x8=umge##F3aGwzzmKOkE#{$s}g^i*_@>c_<^?`$s0RkswA&b&5R)vshhF%Kiayh z!xdl+{pw|yb<*FUWVLCNaAh)u;%zWn6N1Ga4+yS_m~{$szc|Hpe}B>pWf3cP<{YT5 zoA1d5W{P=25CEY0Dn?t%9c>lUP@`9nP?Pnk)%z34DRiS}h5n^l)fYQAunu_qQ76?y zHCOyb(v^rXhEpKj`XC)#2L}!BzRF~?89s1bR)SYB7e|+|$eiqQOC{!3{az^JX}@1n zb_fsgfRsp=aMRX|WLIX&f>gEwr6cCC^^ONI!05gIf`56(>B*Fe@6icp`+6Z3e7|A) z8oBCuy$$~X|8gEJ@hc*;J-azS=WMY2RfbM7hcR2opWJ(YmtwL?pt@T1`uJeQ$6wYm zcxf_BsUD<0{I>06Z50XrOGp-2!~=e!NSsmAPWnMRIm^G*R*ofRL}sutlE48~lm`Yq z^sf7XV{7`71w$EuTXoeX8H3_}oYzGX0F58N$_D*Mk(9(~qT_G@1~fGVqp45KwwA@S zodZ+01&|vWPvNi9=FH>$zO0R$nD5YE5$>}8P2R`&F-b}7OK?(xGsvf%#a@s{A5u-d zZFDrCWw{N>86pb*8zKd{)P!A5xEpShV7y3*Ho*Y~8C>4tzs-&^K%GdSf4u1FglB+m zJB(W{*Wh_04!HtBVN+s5v~upK^Y8W5J+E+4Kd0YsNg|+GV|hWl%+sX&ZmY{qEy!zB zYghO;D&sZbni=!-fMGgh&kcAG=YvDfeGqDFDjF8DBT2sVyBJ%9!+)8`c}~_`fr;BOPFxZ8*y4$-os0diEsdi*WXPZ`r`Dy)U3G zQ4ICiox>kgvlhBQwO})q@OgeRWw!YVrAY_^IGBYTkVP!E#Ej4ZePKHkK46q~R;9x? zZm4@Z*{>8-@Nl25vflrw;76|*q5kU(NKA;VMN9y6H&C4YH-4;ehi5(RTNRAj6Xr#O6d>-|y$ z%s7Qx2~yveQYg+@$6%TYem2Ezhpx;b#!Glpv6_Fde6~Qnl_jMI~)nMp<+jyqL}e3#+pKg-~M#Li9)sJ?;0_bsec~_Huzx z+k*9^QVvODnAGu)feP@Nz!DGagLn+t%Y|zvzfh7+tzdIAR~1y2+d_y>@fqL`FI)As z@e=yq;6%~6?S>276W z)`zZO3QAjd+3rLC9cY_w)t9g_X(o*v2rT?4ltPXHJVcc67!uGZ9>eZDu**`xE3Orx zK=CH!-)Ay`Zgy`F2giK3V8GBB7YRl}ND?h1K$NeR#dd<6WaPZ7cKPJs*$TCyWSP}g z+WUVC1B2?@eu_a>Q&y`9nDBBVImF#%CUvTBl#y}gf@8+3b{(>Zx5_82X(kakChb3nsG|Rq)#k3LBt*eg zHlJq$Tkd5hUhtLIWSkb#14#mvWMJjpTo8sfVuE|1F>&;N4ZI6Jpn*l&W$D%|7y? z5%6R-(9SUBzt&AioDTIb#+J@GdnN0-65H5wdvCUzpN-7Tt%OlM6U~{)R-? z_q~@ZV+y^p?oR^LpN1#D`SVM;H_3LA?*HZdt^$Prpy}RKpb#6b=wE=0n5b1{MWO^1b8<3#dnVAQ-O-ZJnowq+!=x;wGd@mCzMZiXU_ zG~;ZNVG;9J$fQMGIR1<_?|)xzx84#vAlX43aJ(h zjx2PL{MB+|M!@N^k5qN<_rPldS zsidMYhlZ|DUFBC8mcw(65>|YM_8iT7`ij5!Ljo#6HJd^8pPj+l%6XwnL<4CfLP+xZ z5C6-OF-<@lol70#i83O~s{W=(CHrq=yg^(&hyNhHoX0FmjQ14ObICYwG){zS;4qgd zS)Xe4pBQZu#6&Qe< zHS&kG2oXHA5}oi3MNMvcN>vw(;y1^VXj8cq`>>?&GA?-1UHL~pJ5J$wL-b;qlRf4r%xV+-Vk&qer37aq9On~{sPwnEFvd?c$0rIWc9k( z(d9rado_O=ulwv=U*u$V^KF?bK!s1*)PiV2bUtV zfzu4@L8kfw7}{Zj3z9{ota}X<{Zddy{M$iEOnz@2 zU$E3K+Crlo1|&ila-G_v%BYAiydPi`nyt(>r~mFV>rk>!nW29G4730{^nU_msc^?^TNpq?V6nd&}5eD35#$YI3@hrS8i*<8n9uC-|J5kL0fx2lVnfht##I=Fv#BWXlQJC^T4NM+>yELi493OZ zghn{Sr}14eY5~g=iGqMk9WJ($Zd3-5s`-JMEgMx@zqnE|?h4XxN4kKU!w;7+_|q>+5JZ6oj!|!8+?MYZ#y)dEyOiQG zK%P>vtOTKdaHjkelA=}^#*wE2(!J=ehPn2pU1Pu~6vFn@+~{5tBH0e{O1Iy{f<6VO z1_dfBzahgO7_j;Bu1cat?HdBV+6a=mftAH{z&Y!`WsDW7!XmKH{%Yx18)pw4-Hmz+lazyd-!RWJ(010$ zDn6!f2^!aLajkn2qak?=FU@fti;J)BoE;S?PD%aOKLdVLAw90f$^R_1iK)d1rfqGd z>^i%fW5f3yCr5MaN&m474>;xK-_jO(BoFE>7`1v@Ztit+O`k1dE3p}j<#NhRl^^YJ z)TYu&ZHaP1iO8RO!VA)BDr3Qhi*Yv!BI)VYu*{8}XHGTw3`-;4n=N9t%xr7)?Md|q zEoPsy8!CtQ9{W@(U>UGVFGNC>(gTa8X;;aE4Ua?ID74sqPz8Uga@n)N*yjY@|a?ZDMD=K+u~@LoEg7A=WnahOm=1}=Jjf;!n1c-|NBf9~cA^plg3^!=69?N6JUnff_jG7_T zz!6NnxK)&jYlgCQ%kZtsD(8hkCQ*#-QZVF|YV^)}l!JK5K-VE;TlzQYMpp!-W%AQ? z^};tw%QJ#Jk$wT{Mt%kw(p`sLWWoLXp0Bpk!Vd-~E3JH`B>;@eS%IT?Qio`7Cq0x=#Bb+vI$ zz~12xyM@&#^>e46kS>q|`bwxQ-7e7^ek+v51BWQc-4K3@0&Z(D9Hi@s)`^g5?E}B{ zM*;fkw45spR`Tk@2di_h=ke152qc?3%){usvxmAuW zR7yA1pM&t{HtWJNKB_1Q*CX%muMJ-(~|QwEH@Wz9g^Z zqpIT77W2?L*%bPCzto|wNbd7-arY3wT-hV?N!@dfRG{4uJ!UEi3@LGJA`yV7_@ z=#*v^fKUd*Hb+hoos?M9_eME9K1%&V173U z|C4WLcfY4`PV^f2>Z}P-<3cSj$h|}7M&a9a|7g%AvNMIWUI~V?F+>o^dxxafx+^!x zeGhnD>;G;3!o`-3L;}VqEHlHprz8z9{MV`rjkUq$08q#14;1eJAy$uTI|=B=$*-9-JvE!3Q$*! zjW>-eOEy4uuGvS_&PJ8%Da47rA`qdTy>;GqQNPkQ{MKS?kb6k3?xvk?k>VB9m7X?m zbv6I;oyKFi6qNJ%@ofVXv07@(LS<&tjuK&LU68wGkUIn*pR=fHnG5@nfGb`Uhe#hw zqM*;|DH4>E3d&h2neB=kM$yHu)wH2m5E-O=jJkrp@)4+M4=1XrJW_z$DmfFsw&R1k zlK0djyn7S@|L zJ*L*NCszUv&qS}|K0IN!9GCVLnh!fja{k){7f1nZGWK=cf7=1~?H}Q{KQVIuTUwRB zaUQr7`Z})lt{M4wfgOx!NiFFN?-gMni4Y4Q+*TX;s)OIo;7>-=fwtaC@?Kcxh2Dp< zAa|0u?ay0v4Ey)Z$DIyApN|p$@3gC+AHly(1HmVX3JQ1Y_zgYs`urBuUOHZs8FiNa z6zo+ReMFA(JunjH4>hzRdgXqmSP)0dVLQtNxWu~pxM0H_x)1~_M>;?a9%)0II4ba* zdy~7K3Tu7pU``|97A=fD`TChL+~?MLWXG;iN^NkYg<|z4w*kHZw;*aR;8@v?ju8g0WKF)m}V@qwE$}d9(ZGO?UpK67jOVDRvtQ z?9Az3MpCVPIjXWQ;`{4FK;4NSXxwj zi|gcyCAY`0_Gsk^?WSB;%0I^JCEr6}BVjx9ey=I93YXf4=xJr5OJrYO#wAB&6R+QC z5J#3Lq-D8v=sHpRz(@e#l;Eq2$vrfmZtt?D4Q44dNFfC?i@SGRKKY#Zj+7wT{LE?{ zqSHjDJpclJF4ooF<%4^2PU_DcZs8?&J?DGRMm7nYO zmCCEH-Q#iipXZsUV>2e*4VSpmz`R0C{lyEN_NJ!2#)VRNJoaJ8kRt{z4X;jYVZ&pM z#=Qk-g7khTlwDr=bdU%w>3bD#>jfWp`WzQ4Fx^(iN|V|!)j>#m;n{{O%^OQ`GN~;< z{2&2cX18|_lyl0wJb5coXhgGh|hU7*h9=^ z+w~=AjLnq+0uHJ`oF{WHNGGpXJAUt4 zY$y1*W(Su9wq*onqkYzq*Hsg_3NM@~0HFCtwa8V|bZkzFmAQ3Z7#X%>WTjoRWzMm} z=s|hBNrn1x={%DEW$`lXiU6NuWK)`!92{c^DLXo(&CH)BrhAlZ0g!JA68IjnfPCz+ z)>xWkAdsAlVt^Tfo@6^18-W$|d|n%(F-RI_n;%aD|F| zewwG;fWRlo>gRA7U?&)$4^<>)N}YJFs=W~~7C!F9sAh>N^gcs4o|GA_K$(oEmPV&5 z_DOBWQ&{hoOqwbmMPI*qN?4<&Iyt_8@LBfzNnurORoMyWLn-|utr;R+Lw6I{Vm92e zVx4^iQ)zLd_C(|6zD{GG==ekaF1!BtHeiPJKR>;|s`2Yg%Ow4Eavl9?@!Pbd>FQ*N zf39Z@-1hRkO!k99&(yBk4o%Zlfa77F6nG_bCPv-*fcRPVv08mxxU;HzF0;0(m8vH4 zdL%HQM8@3@ag%4ztcM&)T8RA(6Q}SJ6O^g^S>K)v_%EqXa&ZoYoIenYAV$A7y9dWr zg5us&y)bmwpEXNVHrJ;^WtBnHiFdm$w!)kWrVL1YzfwxiUSW=g;;~7{9C;hFL^okz z44i_ixr$npkobi)TxYP@suUUrvzzFVIEjlSQDx-{VE8zt=Pf%x+} zNL_$!%nwm0I>gbzp#xclc{MCn@s`XO8?HgvcUOK>QV3fg0FfWv5)XE3x~u^}oNLW2 zP9KN34HmYtxhc>n%7V=)#$b}P<|B#C4D2)S8-zZ*;BlS6u3AG7BAo?)nxQ)TkA3B`UzN{FT@R#lH^Yx?68t(=; zhqKRP{Rh%2f7eCAruwN4r?cv58x(dnT@zNWxNkT>C|AX*RD3@3Q&IYTr998s!^s0u zvr?_!aM6D;yXPTW5GOoU;DAPE;yJO3rRQ}j>ao-Vhl`C4l}npvv#DNfHDeFFipFR5 zm29rab9`&RG5s~JG;_GOTVh=*J}Ux&{GCbhPHrzRr-)%srM4z|toKDNi5$gXTD9Ul zR^J)RD&fW6*AsqGbi}?;dt2YAKcP5_JtHJ?*)Iv=$$;D=99pH{x<{vFYrlye{^Z%< z2WBwLXexrX*gEZagPvZ16SB*Z*T(oS5)v%YIqyd0pdZ zXm!;tg8B1yIe)HyF={8}3|PlxgCwBTpm%vU%X&2EVzgDtnIZ%`pP1VDk0rO&_Lt?q zXSH!zD}boemlV1_Z=A*AxgEectkke-d88Jtf)aDGgTF(aoy<<$EXvGcj0gt;KW0g< zoiyc1@#$1$^t{;{i~LgG+~QPbIf84`%sQz_Ps1eatkK#8t7|S>gnzlQc>rp$A~;}F zbkxQz_V4Ilg4WBpU(f%HE$BaIyWs;y?TWQU_OGsRPqQyq+`+cKwL%MuZ1Nx420u&c zW~f@7u~CWeHK1nl-@EJnx>#kO)9d&>e2&N6BJo5U-y9RK`>VU7%2d$)a_T@URWB4y zu;csC#aW|@KV`xJ1dO}vonj*{$^y&onxlZ_N-;&f0{_vBSkzTmJk~36isvXd7e^=& z%767TCjUfpH)WfcvMRF-TMwZ=v`x*#IBYRSsOBqO2TeDF7zb18k^%}A3I(=(WVV=| zXYLWw2HAv*q3uQ@@WztaKvkL2JFUFnV5h!u>yQtET{~WIa;Gwn3+n01{6lkKQ zU`$jhzf3Ah#ByvF`HXL+?))+hkVpbHt8P#_>ty`{BpjGr}qV3f2Dp?#qGeAuBAajsL^eg%~#yo zxZAUUbO}ErMxb7k)#zNz6tu&Yg)tEaY(_AO9Ocm+sMHR15l#U2R7-X1I^=Yrzf;wL z21x}imXL-l#s&fcQT5nHK?MkQ^Qkqwx_N7A&0B~$EQOqw(@ZdnXudOXLWdvPva!v z8g2@|36@S9P?$UsP(cxKm#dx79_n0Wxifdd)HaQcmR|^pm?PJ9SGh|)va5SY+SU%s zb3Z+4xR86g9kVQF@h^{nF{Ckd3+*id7du$HjkSazP^G?XA8}e1JD)4?T+?{qR~5=u zwq_CbG3O7W&`GUeJzDH*@s)87xL>H>?jzVE)k#YWESnAaF-~u!9PUJB*>%3=deY#U zWEMhoTQR5ImE92*w!8ohAH=IgGMGV8@ukSyjFA%%DQ3B;S?AMp`$^XVqqLL((+PFG z^jh0_)4WXu)r&|P<8*w93^LgC*)RU%7fFCN_#;jA9%EQRGre|v^hP|X>BrfCOYu?l zD)IGPH-lL=8*z`kr+Cq;$2`?rVUK(!%JFIaJg6&dj+=ZAdG>z{@htY1;m_)QQ_PA&c!`rFKV?l(F;O*gsk zwTrWz7WK-SVUE_t(PCMVB+8KR&uga@3%=H(ON>jJ3phWt_VV%W?X5$|uqSMt_tT@^ zA>Ka;@vJ6#I5yhA8)0q83;tZ?s(Edcb%<$fOW`)NN%YVq(Y;# zg+os7**3WfS99xN2`KFJK6(ea5#vz3$;ctr6)}(L(3B;1`s-xV zvrHsR9V8JQ6deIBpOH6VS6Wq?El2;#(ikM?bWxrH-@O?a+6o&z$xFNGRv3rL&`8yb3M7qvfa(8v#0oDA zD0uxd3j!VcW#+YUad#`hoV0Uk-kzsxdzh#87wPPt4UHadynh~ZEfNv~aa^iGo-_U% zIx)&M;Im%FC$3NRRieoTAx~Y1a|X3}I^LFWsC-$lnF{uiFyT;DWj_Do(p;Xg0B9Q} zDIRhSt+MOvNz3`~Z0(bI{G-dNqeMa4Z_xt1kC{oGD;anl^>#)yQZ@e(xRSH0qe0qK z9Ke?neT(rjF(tpt_!)cPXx~sN@jV~i0a;3rZI=&QCYI*xCt4KgH@Q{5ZJeI-Bol4a zv+kQ#rgQ8n#Or(}fpROmj`p|CWa`|pA9Cq5<{JF4W+y5+{18JgTdQU~R8Fn&+_58F zqw87T$^UCbmvV7zHOMo94ktm;1&1lM(V)WYFnx(dv061ld=)31pXcR7D!OZhdhse< zLkx@;6qyHJU>b1usoY>6c{CVfhQf7b7MZ9-%t2o=LmCtc7 zSGV-7lB*@Qz{#nnQRvvdvMHw(`=VSQu5jDPy_t5`jSCIoI4%SxRQtD8pkymGIU^lc zx0Z6uS|=s&oiU(xq)KFV@HDt(YZ$7w_L9+(HXAA)4S&OKr7e1u&Lq$PYi5{1-BeYS zq5VM)9DeziTrnXaR9J>{nb@k(#ugY~k<1~&GWF&>9}7~`{j52Qvyq}AXor-5Y%7gp zPRjaF>Xe*7K*WTS0?SyYASl=w86*y3L+-Y~oj5L~9=sb|lf11(L!l=m@k($KW$G|aREx796A zSilCRD{s1^I4<_6+p+iC?ON?fMVo4a(nq+X3T~9!%PLRp)b%OQ2WbH7qG`Us09fAM z)(PGZqE^bre_nZRiv)*UwLW$F*XYmu_Df@1e{)-oiNw8uTd7}N!RIym+QH_n`)dZu zW$I@eU{WA&^6@Kdq#goqX#2CJeYq8UgEsUsZHwXOzZEQx;Ow7|={=wC?mh2SkW5T7 zd-3|daCk4T<5Vy&pOd!X?ryXz2F_;3j6Lf2hMh3ZaMJClP zS|L#Z=f#jsx@EQ4I|Xkr2A!#fIl=!he8mj@pgC%=tHHnacGDMZ&?S~7MSa8OjuHQG zBtAA)FN%ZtQh0;$oq#MPLTV0_lx5dzmm2qp61avg^Nbe|`(w?G1G?M_6)<8=Z;uKZ zbeTqcRg~&$_MF}gOyz2RSVb)C1n%V?i;~R?#tV!opnPE* z7xB-A_q7y=JU^Nqw}<8l5ofjY>0O37X1Y5HiV*9*y3&hprBU9q_`&#AU(JkUc}Zs< zL)w{9zJ5c}U)ycMJfZoz#EyQ6-`}u?y&?O0EUE~I6Fd6nxRb`!J}9yp7NWH9(WYuL zKXA-Stl&87aJlf-!K?BJOe^Nz(!I|3#eJ0IIz3j9yIR609R)D~qqDTa&=vW*{R`s@ zwZ;7Le088|BL%nyQ0~De8&`)~j|V<*eed%`h|LP)OJ^6U+}(wXX6p@<4Q#$Ht521$R{BfRoGJ(*GQ!KMQNbOXSI%l?k4*y<|5L z;!WhnbA=zQp?Bc~=R%0_;HFM<^py4B0=4}^iSZUDPXwR^*D(B6sNVmpf;L01l$||h zB+d@7%%olR(a3IOKxb@U09x+71`s<9zxx-k>ZY%2vpS7c$mF2B7i`#MlG@%_`E9s- zNnn10)TRA*<1rB#8wBhi{Q6P2&XI>Ve8UvCPvMTyHFd9lZbg{f`Tol#%ekR?FE_w5=ZvX4jeZb<^Q3fRYU8~WDGBxopb|f;_RS-oG7<;Ipp)}vu zXND4)b~jCvBFDtSas?+XMB4qi>Km6J&_1f!`7+X)@U)f{x zi;1=~_e&*YdHjoh=iwDqZ~E({|7=~wWAoh{t`6g^{S5`SE<6uSZyE6XmD_*z`8C~t zcBGG{XaAK2Uze8$U$^|V+JAOf_ucVtynem;p9Jpyv$tvZIy&d4D>S{Yp*n%D-Sj-c zzTpw@HG2V{7lphJ$eF#jCqg{`yUFFR`}+36voVySj7o4V-a*lYT>o;F0X`mfM$>(kAyUgc?PTx8O&5}8{K?sA}@ch{6at*(IvEy76D^s(m%u|6$R_$nEXOOAb8mQvAW#xSD*kT28 z@F5}rTBP0*MUlSZy|e2H)zBvdgqjnWtLXJv&A>unz}i$BuLm55`=2cRr=D;xdC?U^ zx3~RdorW!*Hr@^8YEAtnOx}beBqsM?mH&LrPO#@#L(j?5-`!ih_4su-R9(Ql`%Sqz zEdI5@_WV@dHMM;^JBXO#EEDuyf>pvwSSuRL@Gx7mb6N;lVrCQhWd#PjtCMG0DcAn> zUal>w6YEP)LHF|oLKvHgVa-L_TR-{yJBwUIC)%e;IZK9cXPxET9&xc+W2o1`o|IDN z-ci1Jo;Gz}q+Mj%s$Y1~LEdNH8umwhO)Wj3-m{|03?Z z9Wvq&a;)P60j#)6AN2QM&8rfHUmu#s$r6{Wt69c6lQ4uKmyL(K42FNxq=M$wSxCW~ z43Dt@M#4gkQmZu+4INko`+#uWU2~rV7eJ)|C4VxjW~CSYt(ETLmezTL_a$1d zjEBbFq*yKCo~LSQ0Jz8(lmOWkbn%RIq$#V_;3|95>V-;O52WVUArRbBW>B#0O=Ff2 zGgX?933YlrQiJ810~X53%f0~XXWvP9c&nQI`}1kD*b&SN)+OG4rWBP_uctI3NP0UC z>n1bTRqUO^jex8)XCDaYs0FU5-^e5i8q_So86}6d@}wEN8yIEzI?9J9k-ZiRJcxQk zKLo3H5D?&%vK>Ub@T768=CSr6NlfUJjrL;QBkz2)m~-t8==ltj?{VW6uh4sE$=y`G z!d-t-i-D~w@7ZHFTfwH7z=3CSDfN{EK2}Q4$>*O0>CgS?S-`(ip`}~uIGtLm*QG}? z>i}WP6J*pMh2{~pyKo5!6{T!Ml;WCU3OhO5VGDX#w;QR9{0nhfv@3n%$Dvo#nb8G< z9;N3I+Lp-*1HZSCnE46(Q9LO!I4C*hc1D0GBIeLimBSv4I&)qFwrFlVp<;RrNFIqRv znk{x}jMz}w12WKT4INulZ9{9utS44Ftk@IyqK-}|rJ@U=c@%jU)$mi*S_-p4)(D!YCu2aL4L8QH@ zKH{Bj#Eo{h@gws}cI$NRKi8-tnGRK{fZjmO#fJo<*6uVcV#?Jh2O1koCrJ(Td*2G| zW103Y;HiJ8D$yD(TtM8iwf@fe8+{Q|JA^Wh!0j&{)u8|r&X(GE#ow^>_CLq84OeCw ztMuh)^S|#bTAwFLuF{{^1Yi!1+wm5U23Az&===;!BY$QqOLi$L6cdRl&JZ4Z)_zJt z4OowB+F!gDkn)m(AE=xbyakUhh+EUQLJjks`0k0mI;KHy5V1!;xKj+_|f?Q%;25LQ56Sf0?Jy_vX3+0S}9^Aqf}}nj@a+h$Gxo z{7Z%th2tu%^8YTGDuVn{n@(R*tCW5UJxd2TdRj>OZItY_FVuktxZ`bUDTt6P><)WM z2Gf9paqVNb3$|+$lmaWslH0HY~(j06Te~k86Q(k&Hez2whX1ef`E~DZ-QA!-& zv!4{N#N(v#2KUgXRR?tYNoB%qlLwlV1?sHCb2*psvlF-lzZP-)-_E{n$EQEwOCWpSn@Gh(4}-Nm zE)q9d7%1g&f)`Tk30r7{6kaRL{E=`BnJpscCI3;BKSh*}yESQzdM%G*;iWgy)QLsx zr!!K_oj)TzyGb!k!}|EsY#AWVF%+(SWPCSLXs+!ivUlZ3sPgVXsDdll4I|vhJ5sWP zJ<7{~g)rkP`=Rnj@CWyjtL)LY!J|OF(*IO-HTCO+QI~kcC|nJ4ylQI|?2I#2Ofpd} z@PMt>Z~GjS59cs&c$e+ImyE=b5ROu?QL1VZF4vh#jEoZ!`K$H& zoi0PEQ?mSp^8ceP`tbqg%?bvF=-kDhmTh3cqF|HrWs<7bt zHF4-#JFV4{-m>xTYv9<5>>f3*42kb$A+^U?)2P%-SJ1T1E|h;@H^{N8VstLgwly{L zR4}(yhb@${#zn`sn_j5qR!(IxC2reO%BnCsTCT z=DuiYI52Yp@=z{U+nd#PPXIfVj{uW~vA{RhN)=VoL)1)L(#jUZ8dc|pMGI>mfeJ2b z6{GQu7NxO6SGrP|u8_60cE=yiDFc!!)&ku6=5ZzTejtO1C2YNhwVEmEoLg+OY4*3l zCo7O&^0H17qj;88bX@(Sixznrbp;A3x zj;quQ#4)1mqTL)LoR*Z3o!AM|v{WLYwOPl?w1A^=SvSoTajTfb&!6q9CWU{rE4hcN zna2(9E>luz{c7;H;TWk@@FYMu*2-MA8~z~qN_Nf3A&2amVV60nj)^x*_CrM&{o63O z*3x<%`(!8UT>fEWcqX3kP+rT$JAzE7B7|mn78Ad5E1*X?!_qghAG|z9@FC%_QWdw& z>d~6Z>h8nQWT!Rvt`ow7{Jcs9E^W`Z|079YX_b( ze1e;SO3vxzrdl=QG&X`&wZSa5(!WJY`vSaps|B|(y-MX-T++;8WySX#nKatQzjN9m zYT$?}qTU#~ozQi{rTZ2GS|R8giSLyUj~f}M-Y7JCFHJggF;{||t zTnAhnZ(&eFu_i!qEb6v7)@wb?Gm&{tp@l zs`uq9Q=1@$Hb}&Ce$Gf$ZcTVpk-fkeRtZLc92UC(_C$N%`>=I z{WBV@ld6AUjRU8UZX@2#KyjC6X(LLHwKO$W9WKv@WVnvpreY93AkK0^=ODY))F!P=uL~>DKv}rXvV>WYcUOg6Mcny29x*HgX}9tB5cQmGm1LN@~cbK2b_8-lqV*srUDUspP`c?N2H$Tsho4PNLx0{cFI^s_Vq}S`$?a$ZO z?{9BaeZkJ>iwnq<}bkbks*_1uzloKg8Vm zzH~fHf76T(xF*_z_=V*RrojY)B4|MJXQ}XTk1H)$);Nd|BTIVu5`}*9+zRoLGn6yN z<|4qq=!Q7Q^A>+US&FTe9m%)A#CWkFB8?-${z?CZY*r`!D+2uBLz!vfmiBQT9ERha zC_8O?48gY*ikE^1Ib`MjMgUEjW>HYKoa@r^-Y#gOxIuXCSJF9h=afQ!4!!X#7mTEV zEhacLK&(d&=*vfWBqJ?VLRJ?Uu#_U^d=`t>A;gtKd4T;67+C~(X3w$n>G$yzYVIg7 zHzD&4vTpdwpyF;-AY0|42eOcp%1`oOn0@AE6JX=mYD5CBhbx9kL~w?OZ+a~rjKF?( z?J^Q2FYK(+Ru$syK1viT8FSTRnj-~+>hM8k4I%NyaL?q7>@IF?%$^;NPv~< z%o~U>9cV;epzL*44ZgrT*qCw4-a)&g3t~$!s>M(k{9@cUW5ntf{~PRKxkq1J@^2QB zt$sij*MLvYVHkGG09s7lfl}d&zAik~teo6kHSMPxTmPcyM(<{4uU-DB+>X4dMKYM2 zmS?IFHdZT_9T?IP@)1L`tO)o`!g)S^g(x zTHR5x?}jn~0;e`&%Q)`}t-ezlp0C4TX8N{3UDt5`Y-5~`-Ljssw#Nq1#o_|c`$UziBKmO`&+P(;yhevouhXRd8uLh-H>bxQ{Rj~; zosb+r;JT!DC+B?-{Rl7oPrg4g*Q$;Wsi?=2$$wD~On$3beZ5(oZP=jZo7s_vf62g= zEBbFRjB`9-CG5&TT^_K4xSUId>a%E2Vf_~z?GVlI2NH)qAOih3k+CkfEeuVJ8g0<)aM#5$#}9P}cY#DPSePz$SaHwh!-fIpFVXE<5gd9Bfht zOjchiHWg;k3EqULVLJ?4B{Bj$2AY~@%2*}Mqy;m(^mCgf^DcvlYX7fiRnJsvJo<07 zoQ9I6(SJ3k2$JY5V0x5xD*F;N{6v1$P`nmFWyPV}2#No0b%TRR*E*%5$N`~&UNW34G6@BZONRd)HLZcbsw;^UX&Uu++z8P~ z4=lwfe&Y|rSwRsYHl$>~n0DY86mi&IIs!=2Eg}i7B$^4Q5EykbO87o3;~@j1DCcpL zx#FLAys&7TU}d~;Y(~{*RV2<~mA-B-^*M&dL={ELa8xtPfKYy_o+^-8$}UDW0YvRz zghJ9;Ef=-M=fT=S&FG`+vVkGda>Y0AHu{x7NRK`nz)-ZgKPoPp=Dgb#Ul8eOkt%f3XmO~vJGU*k>#SADb|7o$9(bV(Q2>{S&d%jxNk&a10Zr0Tp*SG_ z?WUzpB&cR;qby(Ta5gE!NcJvB3_ww$C?zEq9O5Zpv##z(>Y?! zB4l*-$y*fDUz(mUqOxO3%PCNjf~8R(n1Un0F|TbIy<<=P_DYwt@~TG&%p#|{C}?Sy zuqA{=JPp8i~<#Ed8O@VGu@f zi5Ymt?1bj}P0liBP>m;^)KDg{_lhKp%`bIi`3k{|*!cKqpnDT>-<_Y=8w3HL*ANT@ zJDnd-JNW#*o-Yg85edn6UN6rCn8J_udqer@FAiT{?*}n24*vh%1{`kqw;3s7q671n ziptbb=DaCHEQt{vtxfx~;HZd1_(?Q~L=NKM3V~{)PLt_PDN$uF{fNE8;K+jVD56f) zMip`R(;|mkW-Ttl;Ev*XbK$fS%dSREI(3LT7dUz4MMaV~N<*@bO^7=l?C~E=Fu

&A$}>~29!NO?F9D|jWa%#DrV!f>ZC zNTH77X%mm+k=j=@s_hsSYtiBtfi(d=F`$C#>A6`7K-`s>x>j*-O|K0farpi`h|Dmk`W zQN3~B>}}i>?dMXg;{T2`-gc|wt#KY^(l&GsE^As6`8!iN?_we3$!xCv!rG%R(`x6_ zI7XRAxYZi&Tu#XX%_|B^N8U`WlPWf4)*=S0G%Ml{mA-^IIlMU#CDlGfy|nE5Q@Yru zL0U?X-34<~EadfXC6?7hu_SDyxpg#t4y{))nCuI+U;?v!7kf+e4=PfvqNR6-MccHu z{qRf320;g{7KH87xrxkU$QCcU8$4CzNU%~c>3DD&i-3$>`EHqao9KO(v5{Zev1;{2 zjvWkY6Izd%idjv*qq2nKJRjl}O-Nci;n437oGbKkqetL=B$B}0tsom!N1akF>^z3W z&(Tt%q)2S9!_Zl*!Rou%8F&&|VdYb!2pDNVRhHv$2#-(!g+@`Uki@o#<7;G-cLz{O*?&B)a*D5eU-w<8>qh(63 zVF0$)5*2h-p*f_ll?Fy$>Y!ctqGpuh>z!TOsraL@BU90(Y%~R#u-a@rmnrN*|FR&- zGU!L98JU9iI;cLHB)tIa=$hA)l14oVqn8Sv*lDb09r`n^FM(isv(O3Jm3u_=#;QTV zg5wY_IyD>g7bp^hNm=ro{)*FU7cDfRH{8X;hO(N0dJ0(_$d-CbHA~=2&{69p-?w>t z+Mtg+<^PBYbWt%ec4|!Z<>e6nR(#gmM8#3uOth8h^P(K&+R^0{{;JE}`xLfggruZN zS*$jJpgGqXlRo@`PoW?X#d@`!2&W&~g@{5SnW4OLayaighrf#EThVwFdU9}9I%ylq zfl(6%D8dzbjJ3_x(?(YwL=m}W%&REpL92B_iWynL6$wk494k`w~+#thP;mIB%ts%UaDKA0t&gMx)rJx*}a!W`~FkmjZ>sKV5r<5*X>sy&XNA~ z;>WlD)N17#qeNu-McYI?_HDm2C3dUr&XY8!hKe8*0$B#f@u)DWHKWC4s}_9G+>fNS z9(LBz5bvRI<8-(B3H^;maUnuP?_(V?`gZrH(H+~iax@V@OFbc?kAC|5q*rJ#iQaS! zbUnAT8=3-n+ZP-m#14g@(qu`ZVKI_F@p|mE*$m)+hDs~kwm4_yGE6|y#bsBD)o_+u zjRA?)w(i2Ff~b32*ZmSw*4JR6d0X^of$W)nM7#TKx$pS;?SI>SEBd19(NYN&-$ccH z+CXc7k1Ii81r77V5sv01ee9^W0Y#WEwP334Ke)zHk8& z?&kViExve-*QI}+h-hI{ryP)=#WCDk?ZR%85NX8)7k6MQA!3V2gx*>i)p0#bCFwHf)@nZ;LH!2ws0mRctxw8j1U)ydYUp*+03vS2 z;h9;IQaITWUnXJdVCa>@MaM-P4-CbK=WUfOSnIhhJr3hVPPE#84e@ zi9@_^G^A-zc|R051cI?h)K0G>ChHc>8YkfQDFYfI6>Ne06Qy8E&Iq$TDzwq~PWH&LDIu5=4ebB!}BWz-9w;A0lDkGNe4qo$(uIk^SAW;undw`7$Y z{Xum+=JHzJ0(v3(O@rYp8sfXanpRt;qm7(w?k*EXSj1P5C7o#6u8@Lymy9m%FVtQJAGDqfiu#@H7roCpx$8wk)ee zEd+-TxL!o0Ls`Kn9Fblr>D2Sk)*r95>{UqrFi3_*&l!V=wqk3;yBZ+eHxXFxuDOGT z3j8%2aYjG5TjwbGpzk^<)=&gX1GT%sbGe-XGCE0$Tnd#wq}W*CaX;ETG|!_(e8Z+l z4V69O%F6Oo@@u4&4$ai(6r4Xb`geAxE{dy`ulr05Oob)o!d2?7Osc@YY9jg+gD{WL?b-kK;m28PLb4|%o*^I~nUc=;uC1rU@F{Kt6LB?{v>=Ns5cTFxok ziL_9<-QlUE#BM%B2dTdJ4o0 z9oBdIwt!o7UgQubymBa=32j)7JiVhmHEjefnsmg)B2E-%rfhhSf@ zccB>vvUCn$j$#Eu+!>U^aqrm{V5bG%61m3 z6;RrNl!zisEtej%=m{`BY%8a*0PT7cgNypJsA^Tr&ff`6vEgtAEi za?{(`R)^G6b4qhUi8C(;_EEwlyHSjHoSboyF6`En6)^%A92Bhzw{I~vq-=t{ z`dQm4Wk*R<30z-WOs+-$AM}Jm;F3{6XjpewBf23-sNXN{yz6_!yR`B^4IBP@wxC~Q zDfrl%mb%vVh}*b&(K2plJ(QqMJt9tpf&eEDLzUBPO|$+Nlj5C|-3FsvuwT?8@6>Nr zg#`-8ygq3cfv3#i{JX-i^g()4rm+68fVhCb}c|8$XKh|_|({Sj4amJk|ttoq- zU@`%tEw~htay-*^R*PAy7LP#UYQosB@Hk#y-Q)H2@0BPCQ3kB2dd&$jEdrX<+umZ2omT$EO(4X=}diYT%$ z3$7+77}QbBVoa|J8i%k{P?EE&7+t1FO}J94#N4@?_;l%~=VJO62H)}7=z?ufkN=y& z6(q}1rVCuH zLCjQ<0q35A7hzF~8pUwrG`bS)A{2PhWJjGHgV7;g?oyHgG8eo(EXuX_bZLwSO}+Yv zsTW_8(1-IP#n^R=!8F1oTKBd3I`p&em!rmZwRiVPK#FfP)*(BL{+-32@x$8LgD zpfN#CSL%jSS*aS!ervsS<2U^`3<|7>_MR}-eu$HF>U=mEwVPN89eka@rqnLfs+qQJ zUzJ+WLOqfp@CksfIIf?ZaJz#xSfQTBIYN^LN&3H;qx)b3(_SHmz6xxZLi1kaZ4feX z=)OTi@%0Q!~7V?3=k1<|F5A8Ho2h7~blt$rSJ zh*w;2VgUh;7cligbqEi1%>I(#{PfJxcFaa3vf-A$6@63a6Ku+b^JZfy>W-QSJ;^*{nTpa zbVfhnZc$IaYXVoL6C11+=Au+9MrpJQt}R+Ur{M5033I`@qforis7p6<@L}3BifCNT zDiJRh^W+?HGO-F_ZDR^1cusKa)=;2)R0D3ggi{2Ivp*CaF&cjy2nY!LmZMwl7-PQ-~>P)-}mU{At?4eZd~B;ikYHhK_)_i+DF94(I8s zYIt*Vg}EbJT`Y}u1AoD}3w@=jffIZb`xANzNboJxyZ79jQ(_mR#g-i=B!CgW7o><;#&Uu-&+d`7x=TE}*a zm0XJaZ`;;mXbczST&2rf16N4@uBa6CR{+6snkdv65|)OVC)zY)+-Or>l+Fd4 zf>AzBTHC_CiITU$$*KYnAA4Fyl#}q+%{gC4CW0t6=aD%Cc3Al6D^XbTgo9 zApUstm1gvu(DNPP#7LbpCE5g(bUXdH96Vv(9CymE=BhQH1Tfu(Hmb7%>bMwFp_+q` z)H(dT%kp5iXX#vOo-R1_cD0oazEPoa9;)R_*u&yl7-_6ZLHK~;#4yf-7HG|LY*&su z_0vJ?mZSFQ?Rptp!j<#<*hkLWe?<-Qr_+zWY}~RCdXAZs5`53M?Z>3g9EYhhhps3r zI4Q^wqfpOwQy$?U#f0S$zgEO|n;=&!jdz-_^#gPH^1Cm-d-mIoyZ^`UK8aWokT9qm;ta}g`xUv~ z5h5Es@<6xsg0Mi#ThlOV-D`bqFoi{diRy{Sl*C4n8anSu3wGluz|lTA45T;FPjo7hbL_i} zbm&bxoh1Wff;&8W+H9KeUpDTdx!8A#;(X)oPub<9Y-=@KxYDz!Ii>5&K_Hc?oLe6U zh1mM^`~ST`aaqelu(=bsMVmAdfV`I zO5gpUSa^De*I?&}J9$s{skY&ze`*5fiEM+Se0jg7pq7VUJy@ zb6TH}L#}(oF;qckL`|{gLcc8#NzOj;>b%>Pusq0u)3AzN1n0hlKu1C;(MQYy?0rTj z{)Cpi(ixuXVOxB=geC43{lOVlulwy?vIPwO)whjGTK>GfOS!A|D{g%2^c;HXEkxaf zP{il#S|)NIN>o9h%)`A)iK9J!*L|Y&?6irP+$oIj!2qFaF{K+x*op-j!7caaaGN;hGeHXhu2RBMS9y5&YziNRa~> zM%OPvlBl@6xSp)<^>JU_82hW=_%^{={B#Q^-%5sJNFLT*X7?T?g@=#&_UVJ=doty|N7#s{^cRIdXAI4Qy3Dm zY$e!Su(?sxX%x?wbYgsKY8S*2>J1k=uv9>fxKLPjip+35G2xaezpvm=qL$~Lgo`@c z@Z-{sNJ!|MS~=n#-Y0``qgK)hVQ|UNJhtn9rWmirwV3Vb0*4|6VLKA~5{Tg>h|KQO z(gJD}<5Vto+?b~G%DY{A+Q((=hKTk7wlg^VD4L8^n?jp#a1F~grjYqjs2Qum_jqVg z^P}yWk;!eh)`b|gxM@X9P04kmib-&Gp?FG@JjGzRP((<=DmQ6UaY=V7ekoIEOgya{ z1-sl+yV6tvtG=8FvbL+jB+*b|$7*{O?Bx3HOFBlFotAHhWdI`e`Z=*5eci*9fAi&+ zcbNKHkJiteQTLax{`{FQs=!%M$T!GjM1zSDy0ew0<#U}8)rAc%(gKnLWz!^5oCEh* zpo*&qltAA`bJhA#|M2Xqr%&%J|HtP)|4!fhHA-Pdh_<baC6EDEz##1#>n4P_|3Wy9Dw9rSKYx zn)*<>_ooq=94wE*Er$N6C$u~EidBxNBpoge_dxA9k?!GL&RNl6QgY<6fYXyB7FB29 zRKe@Zs7K+(g=kT-y;9XSHY@d2mMZOpqo%9tebk0@Yem$#b21lM%d;+=hu2+$S`;@7 zs`&H}idAs4t#?nTWN}4MgYvn4Lz$B59L3tOq=>?tSpPA0G*AWIvqFP#(Cw}~TS`cJ z3-sXBVTCCBJSuVv#vt(^JWC_ct;a9^mRe{PxACsUGuBW`*cz0+)KqInHmnFm6f}`j zNZTdcxHhqE%atNyip=FD$f%%gwAi^_MlDmks!&ZgT&a!_Z?BU&opRRsagBcc@S}I*F)2~$YQ23+)l@<3oYjm5krM{J(znNp_*e4xpnTO-n3?tB+J-pyweaYiVLEHO#td z)eE>%zLbOu5|<~piC(yFGnb%U4^+mnMf>@rx)}F4rLv8R&#;TIRgs)c&o27Lr8(tU zlMLE$Qw@=`KoN887-6`sz@U-Frm7i)FOqT^Dy)4$+Q2K`;p}kYu!v38r`HDee0<;a zZ{GMsZ@waTlf_LkZQz$+m4Yh0BZ?Pgi`T7JKo>)0uZ7G=ZOP3*IL?{{z4_LDNWnlFFkqIgPqLE<1jqqv_k9fo&N9FW?#R8 zhz!aig0{CWCe{Sv_s(hq4XQ^+#XvM@Qt$>qEC~7KxCmK!O0o1Z8cF@o={Bbqv-g>g zX?+HZV|PWT-VETA#fo09NVk8(g1~PsTqGlHVH%VL+IDSc@dAt}FiWDZTTxQMR54RI z-6gjqr6p~^#H}A@a$D%Djm77~asSziInSzb#GG@j^cl2{2W#}oD*C!C=+x5K8e1AM zywSJj&&ye_NGZe?;6vLjYbiRx6!ytNSw?ZUp=n1rTKIctwXgeq95=->%vq!JA7|h} z<>Ke3UxHa*J%9U0AyvWDSLCptpTEa%{?kMxts2@8u!B>GLhkE|M`<)0p4}kvNJ&FL z2wHP-?HmUvE3uPq6*SsR`o*#Db+)u}iV9j_RVyx@MROe1h}6dvCf#iaD}`taeg4jc z56}GlmtX&C=jc|(|9JQGk3T;0_l?r+H~+FsEnN-_psX|KG4w6I{F;{k%#E%~61*e~jpg2m{mj zx8J^4RP-*wPg%)KNkKr9;?djpNI#`jvtfdaMizch*mDG|T{^B>icTVT{7ut#b6Yh1 zs2my}Xr+3pAFzN=CzU8#hx-Sd`0U%SZ?+?f@?Sm;&%Zo-^80^z^<1F!4=-N4fA!*# z_UMrm5%E}tI0&iI3WrV?V)Y`_l*ZV+<7t+$yAfDv$Dks0ap_L{b9X^YQM(FHNupFs zl)otA3z>=U1Ip9>@#!8W@#n8TJkTFrynXxU59dMm+0fq{NMY=Id5^&*r*EE(vQ{pz z=Y90lyl~9pr{C}X^?&Bm8#+BJc1V=$D8qD)Fiy{5gTBl64XUuy7XA1Z_pQy-FFqVC zekQc>`~Lpz^Z$7G;#c~f*YBUaefj#2@3d$?eWQ4WM~e;^tuYjK=!IoOhnT?%;J#nC zdOc%UFbG#88?TwCdLCCF!%dnvqlf*wUXqDp8^(d=wMQ-2||<&PJhNT^mt5;MArtT6haaF%)#04f7dqO51aUqm=B2 zZ99!MC%PN@VTy{(fl-lQ`e@sdxf0z3#)MZ28zDL5dV)gx-m@31!hb>p{4Ssrg_uW) zSTvh-E>v@(`fhHMV${6^21Vegj#VVD!sUkIN+D6&)cr=)=8D(Gb}n~v&=+kAvLacA z9z5++%|K})pK5Q}Km4lh}_xnx~(yv0!KcH+qHr z+Sh5z>geFndntvOMPvel4}X+^d@?P^5zQq9Jni$SWREtJ5pflCL3}HFM_+b0+`h2n zg#Ik1K}TSr2teIF-}t9eDua@grdFG8$9{wBm=e5La;5mYuQ;ISGq*Ocgex*002~+? zx}k6k3SzrfKNp&VZ?#Dm{h-3J8A|`eiuJjh*tAHqehGPUoRfGGjXDm_*nXc_p+$^2 zQ(6M9v3JgKcEw@t_KXW!DkQ$0Ls!yPr7qqI+UUc)vMm*}b!koitU>BYgszK=c)G9v zTak{SpjE)RN8PkTj}2@1Z0f~hopS-4f%G)I^_~P}GA74Cw|EIqf0wZF`MaUs_=rkpnw+#Q)xDwT_gdg{PyJ+%CPQ(8P=&jls~n zXn9iKVfZtVAR@A9A7A(B?TvYcf8o64c=`JMXXh>byh~+HZS8F{h8dtmSdXb#vl1%8 zCA?ucde>iT5o>Yi3+;L?$m|B#iNM-AM9YG~S)$V5&_wU@_A$A9^~HVm|I6!td;R7= zUjM~Ipm@}8WkIwZj1aZZQsg9EOc|A1@zA6Z0d&$rBQ&{2L0gnkwel80MD1;1w15#i zUeRb+h^?b(bc%ye@1?((Q6zPzt$~9&PYX_3xLCfgzO|ER*%Yh~;hLFRRBI2vO(HBd zYf0EKZXFwObjFoT7qHiTfn^(L_#;6R+Isfv!J~@t_P@M){uX@r$G6Xa5=4B?k>-!@ z(0uyo&p$krEc}i^Nc8+8*orLo>bSYRiI|!~ORI)Vdi1rWe6b0i2J~VLU{(oH@s9Z`x z%JPIrM-M|d?^^gkd+F^p)fDnjqQ4tFsZgL-`v`~<7GhCp0R@M$G!3Hu_pE#}l2na< zGUe7SEO#AXcw9WUI_a;UBL3zZa$q4qb+MH?_i-GTk`?K#q=HWWFA1(Nk;-=HTidnM z9=p(kzGh_2q3$t;zlDhOR&V`9tl=`jk7se)%)#YYbB9!yYK7bqez9 z)@ro-VJeWiHidCjxj4bX2$`v|Q(ocD zZG%4_mmglec=^MV=Rdss z@yQ=vzP`219$)c4gnotA-ap;}a8&oNuU=>(J{{628bJWH0gVQD22ljzsmo#*6d8e@ zXHMe5=i~-z4fU=spl?bqc+|)_4n>J;NNIJ2Zaj=AxdJ~2MnlL)=csfUP7wCCrmT$b ze8fm>Pa~D|_*zf@(c$wy^;U}37rHc2S#@9iAzY|n(g!MfJ+I=ddLHb5W9usijDi;j z7lT<)Bn(B${o^Bjcb|uUj0|s||52ggxxV=2t2ZxRbEonlseSzFRrqnUqIM2{ABw-5 zz}G14R#a#}17RuajIhbnnse)DYnXyIUHFT#tIG+@tngJNI}$p;e9jptG*{%vv}g+nTN`H_ zzIsck*JAEoc1+2pNF!eC+E}q560XNnn8OD2gYW!3Hox8}`A>{dQ_ftKNXCk1^dSJh z3nrmtj82+BRf$4UgR4C~(}sDAxsu+m48&BZw=LuFTo%QHBKoKwqzKG}8uipI;aRc! zZO3S5B7E8F(KOoxwML=dr4fwx7u}jg`f6Oa_JiK&3+<1S%I}Bw{p#y)A1>v;ee(PG z`d?rC@c!i+FwgT>KfQVVE+oT3z8jaDUDM*-ZWgNtHe+w}OZ_oewa|HQj)4jJ%-_M)xl zDsJx5Ee5|gOJB5% zKvK!I!(oU5Riscj&P4UcuGQma(wD{DTolcvL-gUQ_bCUg-~7e>>|ewO^V9Qpe`e+1 z*!#zq?_NBA_u_Lr{0YpE|EL5!(G}}dgisa;iZ$l|tKR=)2P)0fc31qZ^;Y_GkwQAQ zv%*9_4z$6#6*u)ErY&34AqUERb@!8^)We=tjOk3xVB=0G^Vljj z3u27iK`77{B)!COP747(1*7$P+yt4kc|*;#Ah}R02Mbg_1jIK)n6IYj2m{Z;zP-+I z7iCy(Zf(_5(3l(YW|Z+~xV)*<5gq7MSs_!qD4ufGeIg?Tr)NMkv}db1<+xK4eV#3e zSyrZGZ`0CGLQS|J{HD#s>FVA0hvgaF-dX(e)Qh9NWtGQ@rRR1V8Y|D`Gwyx8E;B2i zpPW5JvGTd`h9b5PqEv#U-`u$3>%S_j`@pMye)m^p1f8>;geRy%XHb?$eykhilbEsc zO=3G5dX5x01GUIS-$mYbg5x#OE+!ODN<4A3(#UW37C;Ok;hmH?UL!~$$Q7%fY1H*t zP%QPr@)~|xry0*^ZAeb=ty=WvIs)}ZftDg%Elp8^!EMQ*{Tf5uM7H#}S^&1;WQjk4 z_h)vvwH!xP>`3?n9q1WkrAT`NhOy{%qu2vBGBFE+TRVATEX?i=s~C!3`F(Z@jO{j1 zGr}o+TJB2-@qLdrny4Q@_U=JHeZ9!g*a^{rM7-Lj_qU%kqQ34}w8WJ=D;)?Folsbz zMX%4&=nj8)L`Qeb756^z{&6mB(E{8|SU9HIQM^;|Kk)Nv)`fOlG3jqEjd+F!*>2Y$?cZ=?c4s>ToS;gzghL%4nTk;~~J+qGsbd{DIF` z#g8Ic&O6SU%oQ<;Oah)~oIwvCE6wWSa^hQ_HX`pyQLE*4nG2V!pNxA}2;K9VMN-A> zeLko$aKh@RbEvc){FL^w_*la|T7NwJwd9U?UcRC*hoy5PYxZvAgioXJ^*0|;_v1Z| z64R?+UcCNa&!4Ox`0@W^{jcWr>nG;zAKtwEuTTH$*I)cU*(+9*EjJ82U2REmXOB^V ziymHuvo$$N+Jogz_cr4;s3dUVx-MGTv!b_|5=ywWp^oR3nzRoNb~sez>&7+c%p- z&2+Z|B-VLDjtd!>c2}Wj)@VDc`b|BiaO2&SwIh-_^tv-!o}GBW3FS_EepQ4|$9a@% zJuZsZ>360@Df?xmwOM<_JBq7~oSClkXui!i#x9+1a+VoK(oxSg$ zcWwF6oPJu1x8;QSq2jSNts;}%m9(6=a*6s@5me#-$`k-={Kk z^7v2&w5OsUUcVFCZg1pO4(Dp3ubc*RfexzYFhTPQJ$^4%+kBzs?Vw0N+%(}oL#ts? zLY}S)klZQJu&3Hx zPTT>s?n}Bn1>VOc?rd)nylZecI7RreMR0ZkZ*p4B!)dcstR=W^3u)yFKNtl?w+aqv zK`1nj5~rabyrr&u6?Rmx-E!C!OV)Kz1I))MEgnYPpM!(>*7zkM^I^=nCE{ERbprS_OGvY0Z5KPiE zad4N*|M>Q+FTVQf*?)QVh|G7BKh{)r{8m${nML&fAP~_G=Hqm-|8Qqyw!tz{%s-#m6G9{iL`j0`zul_zOSCPe=T=&%b^2q75gC!>KmXv$XcI0u1I99lnD%oms|k}!g3P`zD_v^$J(AwcXQ;qt zYg}-3cTvdm<7hqm_E!r4`Zm6J`akYFkH>?|?U(;*-@bVFU1{7!%I#nb<4 z9eAvqRm((Np3XxgTWGu#t)-ES0}D*SLfN{xy4F`gFkFAa5${5)_g=BF7ByFzUx~Gl zkJ&tpXlFyitD|g)VIGE(Visst?`By$b}z`Q&#m4KJ*89Twyry_&KyD`1r=kK1pe(}S@0pGj#zdRn=m^!;sYeo50@f!`kMg{KtaD(#2*NO|j zdSRr2AMc)N_`Z7b`|@y*_}QiTr!}ahPEpnAv~h*_g*ZH7@rqQ8PNCV0YIq^Zgrb0e zw0AVD=;s#-C_O?I$w%R&DL6T^c-*I_d-|)w(4U^}**|!?eHC~XJQ8R0>%e;}-@b}C z-JEl`1$>0i7#5#i8z7DA@%#Vc;NbH@xu0JA^oO_4DUA74=KUK_p)FeCIFjOfqjGaa z<#%{(4<-9};N2_Ye`r~lVJMx~`WLJfR9N=Mhxt`8pnEXB{2zCz%Wpi!idvi7tdIS! zgxd>X#@SA+Dflq{_xA+91-?x{A&98!PqpfT%0C@ zdmqwGilWM7$^!0+z?{7*aju>n^4ws z!yJk}pthXKEKT7`nbLCefnAF^+g%fJY!UY>s~^F4_7sq@bt)R>f^eQtn@g@2e+kwi zigF7!qIoop<|TPbmEr2j_h)nKHS#v=uB3p{|Du>@J~S|V@%5L_zG5?d`R!lQzx~Dg z_rLt`;=aLo*rox%oo=~0+jBOutL+-a2@@+na5J>?SxdE^wa_Yo^{u95)dz5$y9U!=f8&&njlsqrigK4#~QL9 z)J~_n(h>U)ainx;l(-Z>C-xu0-M~(C!xGxXlmaW{8Z+i@6vQ@>6<^GG8$A@tc5cHU zWjGu2g7NXtC#)R&B@FijgX=-5eQ(||8``+tf-mS8(el8;7(11*=npb_h?^J;E6>nr zBN(9B5s=cxy({sH-L7?RCC70aUGYy^IOPdS*ScB7hxA$aWN@uN>)ll5@Prd|kM409aPMGq> zl+BwSHW3R)l|8M9qP)3pZdM*~qDX%7R?X`LKm}*lpb9r>H7CW07=itWF)OxDD+-7i zn3gbXP)ouuUg#`oYL2Lssb7k-vF}7i$~M^=A}@|ZdyTO}@Ia6tiwdQ*+C{jj(=v~> z`o~@H?EAZ(_?!Ff|Nec?=hdB$mxK4f{B@ltCb5o|fmJY8V0iUJ&R%ljM6}bwo-hW| zhW7bouzOSu-v-!031;m3VBNm$IgKq=he52W!-{;Ajd1%sQGJ0r)r2) z35NRHRWKUV3%A{Eb8iR#((@te7CeTq2kps9C87p9ezKz}tSaIQ8!FtLMw=GX70xI* zdnI)Ua%pwqIab1|QL2w)@x@*3^Ubf1g^OKGZX1WdC<>DtV+!>)A@IYXZCR@gE@(qE zdTJ~~tu3(if`Q z%_@#ogb#2Z;!H6kP1EaWuD-C$tmq{4x6?kkCJv=%qR3DgkOotC!} zyDSI;?UqZ554Vzx$yeXq>*Lw4jtRA49mS;Ma_A}uZYs!~JDy3%C71ieR+}nC9Kmr; z!X}}kZG%@$?u8fTRrbNVM(R5&ZcxSoNlRw>oBekB9Ew^nxAaUswU@d|ed&BH0QRULh zL>dAM1SL8vz&=l3O<0OH-8IU%T*}IpW)7c13)I?Z%nb(s;kF+*A7}sDd-k9H>g>~7 z+7aJ{f}*r)&`yLU`M&loZq2S0^NZCZmAgCC0GdE$zZVs|IpiTBw_`(1u3_1*ZCE~u zovx=iN$HebYD;j`S(SGkS3&zMICBWuMZ40rt+Q!C#^i^d|OR%@f4o} z+xko#CsxUm~@${PHLi207T4z^qZ`DpOiEnKJd)VUhr?1eVNWN!-;t-33l!`F+G zgNJXo;ZkgUTs2?aarD=73ZmBsg8K1Rg~6u0C1eRVLxZwK)kY{=Q4R6i9WfqT+%^=> zgic#4?Q~Sl1to4j6>yz96cGXm!Rl$-=4DM2Ol7D(SQ`d}^TxUpyzOzs=HNA1`42R%-WoWnZI)TV?U z=WW1qQsS}i$Er*f>Trq~rA$6Z(Z+hBo^#Yq#pW#~d6mE1#cngUO(HP~xSR%4WC+WbR z$g5~iHFR9B9(>U*Mzktn4raI7&7$Rj$>OT_r`$miLtzvf1?a<${=u2aL`u9tyAP@K zHa;G@Jp1krd&iUCAJ1R@uNP1LmHwJ{@87<7{%;>{&EEd$`5%6K6e`yg;pAFypqg_g z&kZ-KA0h^>CV%_7C7~J3Nv1Xfz2BV{(ZzZINkcQaZd<@HS{rk8F%reBv||KA4JihJ zT9x{atZi+ON^BzKB9BWVT6QWkWe2&U${D;l{?+J9-@;ddJ9JD6Gb@}A&H!{ zJY7c~P+80-B@nH4Qd_Iy9HsBGax*@BQ*h=`R!@b; z(_w}0?vc~QGA1F-z3lbEt4VK9WkFJopApq-1hcpM2(vwiZH4U;jS|jPh~AWYQG62O zPh;w%wI9&2(=wl%CZ*3fXj{gj%MWdhY7Px)R1CFR&QoN5Ux4NaAP5L+*&o_M0$kSW z6XKx>OGRs1&!C`yZ=ljm#{`I16H1yspMje~#0CXg(xCQ;>K6Yv1Dpqa`OnWlpx&Sg zc!*POw`GotS=2bt@f!sslWo)*-`)Q~`%EFg^=)3u2w6L;#E4;W7uQC?5+O%H;UfuZ zJwDT|Z~D(Z6P3FHT+|y>V3-#Ob{tHnTg}=QGjH+ovhnZu0cat(id)cv%)E`-gm}~I zF~rDecUR~Y@zR1gxh^ww?iZU6gN3hx>E`qn7JFl(1x9@j(#C$R%6)!4+Rz?4sOqNs zMhhw)9i2`**N%!{vD=r7wIq%;3gomTYUc<`+ku2xPDiSV+h0Ya!xsHpIUY15qwq;z zoWP;Iw3loW37Jv! z^rDz%7ymn4{7}ivWZde7|NW6-{>!U3?|=Byiyxo7d;R?1Ui|6B^G}Th{mw|*`P@&4 z7%Farxt1xgipT7E=?{7Hp?8krR+r=7A ze-|z8pMAnN51;V-pZNqIu0W@};(odY@-3K70vqXp*|BnsoJ*%y3yf5F%P%;!@b zDR{rHZ56d`81r^~78Mu|j2y)Yskdt9b)Jznv?}7<4;rM7g?11Tj4EvG=?poM{Lw=l zNf2Fs(1k+L(!<&3JjB_uJ_(hPv$Ulg0Ri}m6%c=XuI=H*;p^|dd;09ZeEH<-^w|qg zVEl)>fAHN8Z{Gg!r^nOowwJ3N!PBduP;-evm)33p)6PAPQu--us3-U^Lim5)cV17w zee!$z;`NVj-@JPH6E!oxy#C?+^Y_0zp3@*JNLR12YAFIoL+M}mt-5fDth(z&Q$tDJ z^3p55tMr#}$BPE%PThw{kwfuR{{hU4%r!A+#VWW@DTn@V6`LAoz(j=t1I4cek#dOF zNwa;SiDBnl7{kQ#?wa1A$DiKkySoJ8yT54c`T6;)S1*6$*y-=}+t`F5GuV0T*A~aM z=>=w-973L^A?fOG58AU-PY%V4HDbB9FCQZ{U;O2VLTt+TU;OaP+jno?{wJPdM}=4< zm*d$P`#J=|oEQV0?{R9Na#_3E>l*XnXN)1?9;8LKQ{sufUdNCWCD0Ue`S4iJzWwG` ztB?CJo_=xP<2+u{9X~w%;#0dp|GeEGKC~Ou5A6od+&%;L8m-Z8P~)$(8|1%eHwd&F z^v~K2LS#czj<)RzEsDS9Za2vK`VZ{}h;-+YC$L`#EA9AbeP}n(Ci(vRufP8GSFfw| zlIGph`z4i{ZsUeOy?Oim$xqKYhy0m;e0X!i2IAW8V13eua$@U+a705WXJDkZOx9m-8O#B!f{Q4hbPz9?qY>qe~v$|2iBVEX>M zXJ3Bxc-Z-Iu%A(pfZ)=7{iRv>>COAk8l3MgX*BpY+*X4@_;TMk#jE&aC?9X6sLhRa ztMe#a3@VW;8Xs;sKYj6+w?O6Z-oJSJ)BPo%F5{m5_SYYVN>|?}){lbH=V{HV?>0yE zm?0p)lSaO>;!#KR1b_`-_QC^(QXb(Btp%}M4qu(f%@iik2CW>1@<;lQB4VS0l8Ww# ztvP*NN=Z~LQrJ+U_>FQGGOkU)p%sC7GEKOTwh;Fk`CP5lMk`|WOEfv*ka45AriY|= zNN<80H;Og0qSfy3z2f4{2g+MEb0zJ^1v}IfsR`|2Uxl$?N%!G`{=|BZ8$_ElyGPrr z)*E*p+Ql(%bNO)X{q473-m&fHr(ZoOO$q4D2hqY`{_XP$_nrP-@*{p>xVMCI(X3dr z5+DNCC)MYorlsZ!31g}pl)Z>;l&{7B<6YFmAXff(!*5J#e(Q{eDY`oGFJ7I(`~#|`OU z3=zqMmTM-APq3)jao6B9$qaL7iu(QD>wfDX5q>hWh>-H*6W=fW^x2cV{@N1{kwSes zMcYBY-LxX+xrScCHmj+t=3Y+{G7Zz5R^@OYjg{)UG@NsC4T3y@CUGVgg(^&_`ln~O z?WBMH8R7oF_XJvk6LXa?FwF=RiXkjv9JL=#PhmpkKuYM;UZVERaZt$d(HM&`)~Bx_ z0IY^i$p2IO{HK?H{YCnD(Q;I@E};g8o)aCX!O;B1EqTGQK*UlDvvbZ;HbLE7kX~JO z#J4uHUxzgpElwZ5^#&Ha+pm4?-+ez?ShdS}zjo>&9^WrMzTd(7mB+98;^SADe}DP> z^^>3e{NmM{AO8IQ*30|s^6Vdd;a?AE_{#zP^o3u4|J8>PHGg>V>fIZC<1cUj-p=8Z z^o3#wqPbWvLpTdEm;33^mJr7!IV494Ic>hz4-I5J&G1Tw%(~KQ0jYtxfnazx|+G(NB77sv!*p7_kT*gl`=kl+3jkg z4|}QCMe7?5`^yjSf4K|nUi|$2<&Q5uO!tddzxDt|dELUOoycOawI#*CkUoD11v))M z6WUG1WI*1hC%9!RfU1W!%L_r0pMH8SocI?qW#ty28A-og$-(K?pAw0F{FGZe=F8vw zl-+0na6%=dtvJL5gV?0m=7#CP9zT1gdkTJjCQkX^fBPf5FyKw$?>Yu(Go^os6{U0D z$jD3%olggq?{13|WiNN@@)(=4{>dt-rO54WrM8yKc&=Y=kNd|*`ufAFILvQ85yIMC zSugD#lhE4qJWfGw8v^)UkPF`^ZYevGZhB;#RjS2FI4sQ_sZC>?*b#DwNy)Ip3$JAS z*A${Ke*f@HUwxRmr(gdLwYg8!?AibCqvFl^yH|hy>E}Pac`wBI@`pz;U%eF4v_=&D*R$RmClm}bs#TWPgK6nh8vCoB)-9w246lHv8upaAopJH;p&qu+_y5_4 zIs&<9M-f0TZf;|obK5TT+VC1tvZXT4dh2VwJs;4iNhjm86mplrk07)?-eq zG!k>A*Pt$F(J7>##a&L^Mhs@ZiirQ^n-y9J1*_hv=TIy}3~`^$czY`?Y|C{B8}+*c z%?luD3v`G7j|gvvZ3+^u$8lQP&qcu$-4!dGYt>G(2%~Cq)_&-7ucQs#k^QH*-S$l& zii-w~vf|^J=pxw?5`7y=rsr~*?D1=|iaw~=o`*ehbd;L6y;z!yPZKyhc2}fcmrLPp zsAAAc4fr3}xP}42O*lD@z~%CYxPRMV)^6!hK97~IkSy|yvs2&mvLnvF7d)PKefMVZ zCmF~rRt=eOu1Dpjh-rC*B{0}TW6@LcvQQr>`sehd&8!>d+3PU9hB)eZDVh$dJ(HV8 zFqGs_s`5?t(bg)2;KVePp(}?ih~;!B>2;%Y8$!ox6*zsl;R?S7 z1s&44P!)D*5pH@li@cD+KR!JraN*Q$HQIJkG_#|K-}-eb4CC-!-GZtDzN6=aQuHeu z8Us&@pLg1>sF~N0VsE+6wannC&eHpg9O6=DjPwf!gnKc6MkOcsgPuqd0^SA+Mw~g){?1f7V-$^vZr~} zi6std2Hp#cpcE_iTrtmJ&8~qOfBoq|=ZQAG9T~-*7p3v2Of3>h4O%~vzb!ztLO+D2 zr(fP+=~F%K-{}l^^TWTr``0&$PJhL@SJXcA%;%^p_Rk>2fB}~VGHUl|sdxdn!KJJ6 zq3bzq?Lx3T2>Wovx2@WB&NnB#g*T&;jOV7ripgtCuDq^O>$jcm!_$88?e|YV;^+IY z^yhake);juLt*Y`g=a{JMXT*Ewly^9S|c+gPd6Gzl3IJa9TA~)wypx3sCiM;c2?^X z`S>+zq?*k$Dk;v;K7IeKo$~WHzxnlI;~w33?LqDS9k)}#0BRET(UDefeD0hTL(%H- z;xqMn{DK?vegB(Zprp|8@(P76a}C`o5{d0hQNZ3(j_5ZBPyb=u*MX+H-?NhY-Z1vg zVUDPmYue}I@r%FtbjbNz-;MGaQa66xvuS5sbHkGOHqMAq<3%Tg`0eiFFlmiSS^gov ze)skFUp(VWKK0BzWYB+kXqgGW(KB&YXbXJE#gc6A|^t>O9W`ly4pIGbt-AHCD zy?lTOUw-$+ty}e4Xy|jY@?jI+#eU|0{_^~jZ_#@D78!hnP1M#Koa|U<;z@Zg_OxOp z+(ll=PCSi~aW|Wi{CNnoEhMW4u!e(eR5J5-zXei*3Q9@}mr#D!LW;LvMB012bm z#%Z0R$d38!(G`quU$m3MCQ1y6#BsaL+mpAhtrs>vB1mVt7LA;fy)>3FWg&T2&o8w$Vn_AKM31eJTHnSiP8-+|Ziu!BG4lNSFoxx}T$`tFH zg7BmXYM>fAv~G=tXPAY0k%)tdZ|7>23hvV5b+o*#)r1W{Z0=<_vf~miyRDpD%Gq;U z%4QSdN}`zXuYGL#Q@sKOoir_;xKJD$QMa2stPNW=t($cQEiT_PB3vtNK!!Wf&D&LE zU<9S(O{l!vPvdyg%wJu3U;UjC&GaHFthqWZRhiQ@HavmTHW_R zF`2*c5@@SNzH$6>X?JTTxZjA#3zF?RiXS+M<#SMd(aavMG&f`zx%bp~%vIp^(l+%P zS9@oNV*2GRKi*4RX3_2{5fN5b1sM>J*y-7((eTjduaD#T&2Nq8*MDz?iWYL?C7iOI z0@0&xeO(7vW8Lt7+L;KVljpsWP!%gSMFeTt{1MeCw5y};IW!(f>nu=D6{!1~>jPdx(9DP0e^c#UPU%dUbhZCNij)38M#P8@5yv#az{rB9;Y|0Kz-TR z(v}thjpUJ(<$NP)FRqG02U-L5<0^gj$`J^I-Zi4P(yu`*UZLGX ziqdV~dtwGSlv$cX@Gvhnn~jY2_`qNMQxB|A(=`d3Nk>ErkX>K6U`*AFp3U83iQ?H{ z!*OM{7A~$ewF|0+=WQChQ?IsSBwP1(B>j-GmoSZjT0XG>Rp1iAS+PyTZLyU_yHk7B z?8of;r-#1J&A2cY)wcV-fE@g>A8=@whPH@*BOsgm5s~i_$t1VI}{H%ndsG`&*9D3@V z#0>RNi`Hxtl@q-?DHrWA=N)@_geBdre93+01NQs=g8;@~DVY~eaNqv!#}~hQ_5Ae@ zpWOy#FKXljS7~! zTHJ^(q+3~ld7N~xW-ltcVtS0iCsLuN(?_ijH5I$lh7s_prCUe1n;Y;a!RIt(wmzo?xyLNvl0GIQ;_Yc??#6wLhaiST#kzy zo1-J3JkB!_|A#-nV}f7&?yZpir;RiI|8u$xiuSE>60_k3PF9b033Sk2PTZp$!j?)m z!hj{kb*#ay7+jXNCRZtj9mPEk(9PH1zWDLw5AQ!ap8Ws*%HYJiOEf7ep7#Omxhe+4 zbYNW~c64l|_Ty^QiBnii!!+$*s2(rPdC?uM5Rrm$zi2q|@Z$$6rNhy=HDD;)-loe$?&{J3)g-{{O4 zWX2)xIBh5uS@oo}hLKL5!6iCJa~;Q`m7M4h#E36x2qOML+ErN}k;bPtxS8C~&%XKf zH|X2p{kle+BpTKN3d#ouaoR3fLqEZ|NCL*o?2Zj}HmU`8q_VrYW$*5D_>GFMj49)O zw}q}EOy+h>p}W=Y_y35yjkRgs!m`fdp}d+Eu!M1eYTXo%6b@Y1GZ%lFFdM3t$f@yY zu_MVGuPo-~ur$UMq!HTBn;Romg$hL9R!%#VqH+={N{_>FUwMA{{jU#)!9$f-NZJ!l zh)LUw5Jkt?l|?fYcp_ac2xqzyx6Bb!PtMjw@Crer3l*?_A`YmBXi;H6Yd)OQq8EY| zd8lOAjF~WZM|!ig6nl3aXahH~mKjd;A8pJ8EzIhNEbbiKKP8|RCm3Z)&{NeIilF;T^Q9fWIWc`oBdjKF zflY}`C~Wlla8c1Il-+NQ_Z{eD^-3q=8TZLs+C>cNPAN!U=*^tM(A^`l&jy@cFT3`v z_;hI7Y1^a1HPg9k_fnY8ommVv<%QY`LAA&Cd@w5b@;6o=Vmw%u?QI=eyv-_-xtQ@Z zcN6t2a8>v1>TY_G2D-QROgrr|Yfyg4p4P#Hhx5n^p9rgA%|V}Oy6q&Wd*#8XH72~&arxACXf!a5dRN!3sTncTNj-5yw}y)Lj-KI|4Zi>( z*0du|r?3|bqWxl8gV9+X_Sk9KtpBvJE!WNgsng0oPkw0v3Dzn&^H03SHKYIIbg#A|+kInE(=vOf-N*APZ3!Cuo|M*rr4^ zMOJn7uRr6-^+{2bWpD3YwnVP=zM1%#a|~e4F`nNZ*M2qu#goiJ2{WSZAIlZ z?#iF5z)lydbNN*@zh$(Y9wAB?MoS9lzp|e4F?UWHsV#+}{!YRDYPT^` zNkSqqit4m0x^mHA5{FCM0|a<}Q&IHwBj3Q!tdFf{&K6s2*QxX|AI`0~#5$27YUJGI z^UeLP)}Z1zm#~^7;X1K5#PD&8-#Fq~6bq`Qf=)?_li4A9I$w>EO7{(&8cXdJ<7vKN3;i3K1YVX5sWyTk8|MtcAZ(qEpV9A;6-KQ3Z|M7{%;inFV z(;v4u{M6xa`r{Ud_YQ~4ox|buU~y<4EDpoR7Kh7aak#a!ee7^J{ielX`jy4ufyLpi z!(qR1ILxVNlhiwl!@F5{ax)8$&e#6X$nRo0AKM+aPwWo+AGbSnzi)T=AFEJDw%Om= z9U{OVw_CTv9j43eQ1B^12^0qKo54-Xf}uQ&() z@lHPJQd@iZ;oX}@w&c6N)TK2fxXNkuAoh8A@$DBA6HK;LhgeQXP?6N|(eLkCiPIVs zc0@oRaWT@tzWAiQyl!z7Xw90gfn*ATI5o|U>b+U%Y?!;-_DJeEkcd^ozf}c=?`& zkv}pJg0YHg8+$R(=}x%_o9PT1eJXd9DXpTVmbJpZ*k8%Y?5C!8o*V_$i3)&AKv|%erPg8+;+iLk=Q$`p#l25$krbklB3w#Z}ga}$TufQrS2BLaB7+~ zt>USqHN3I(=ZZE}Bm^z)X~&63aQSkn1=I<66;2lwxtH5}D17@|7qHbP9}kc6^cJxG@kbGo4>S+EdGTT~W6W@tMFX|0r7JHi)Iwr2zoF;g zP}n&izVJG%`1;@fskawYcS38y6~&#S3O=1;N&O64zmEI_^mc*O?crO#yk(mYGyjLb ztQ^qkpxjLF|EhGX+^SSt=dp@4Vu-rsS#Ddd|_ z@`B^k~-cF8wCdz>2Zp|eAK2uk9HA67YWfIgDDVdrQAkqMM?1xda|>C zsC^ik8=n5}|I!lK%);!N5Q3g31XN>0D~H0{+(n3-qiQ`MyCu+Y3w*!+^2;Zm)w%q$ zSXwsy`=7u0;y?fV;@z89_x6T={qGm=ZskJ1&`+=%Xn^(`%ZU1AEL1w!WaMP-@W+x)z$0rPFV1l z_n6ZB^8VG2ij}|oD&yW2OD~q&IEpf^Q-mzes?1+AXJ#}*&9gA@&|2-nwv==rRLJFN z7w@YHFE(6h#Wj)Dk983qTB|vLEacdF>P!2GDU@3+=h$3#E z5y7Ybm;%(Q#g`Q@6rK$wk*IEPU*ETVg1;!P_%VyhuX7#3_(;rqrEu{tp&`oUgeke@ z-YEm8)K1YRZDXRvOYA7Pb*9|K47Av)+o>cm{%)whx+)#=lW*>)`QMuV^UqKJ7h2YT zh%NSVlC2%8jg zPvP-C8BP8+i+1ae&)K$h=1gs-&Oqr)V=Y97s+GxM!7RdI}eb_#~_euwBId$0XW@w&M*c35a6~G5FmirNpd30`n z8?8>-|H84}pi+)8;h{BffE4{q1u9-{N&w?Jj1yW5oqFg3aoZwFW?E?J=z@|6I|$lp zJWfof{Jfy*bmpp5GUK|SU@iEHIqk-+ax(%Z1u@Y`wZhhnt;V_&k=KY#W0!!!T$;fGGEe&k`1P@wPx8LXND{c_ua;Ae=2grE(-t+R>d z80DO4BHX6Ah@v2hMVY@M6f6tq*96g5#= zipOSm_p8h4oq8ZsU%del`u4ARF;deZ(-&pn9>|%8vHD*p`9ReqRmgH!kup+sp}jJ8qfjf-1Z4 z&XiI2pm3|Z1RqLXk`9vz= zi>E}76@IP7Vfs2|oTryAVndB_K$tD~-32kGZJ>h@=BnuJk)BBY;rnm2jsB(Y-{x6_ zxN{;Ux&WEcj-4;tRfRP-*IFOG2i_EyOm4)KRQ>PNMmlqh%obu(2XdWn3} zgNoKQ{zsgeEs65bSSO9b7z5u=upw&~p?~|g}dbv?|VAaF*&zrJB7ZWK3feVd_ z7DRve!f!s&qxi#LNCU~?#DA~C^oB|5*iTEd+}H#-72%I@DdL)TS@_&+?`L|;@1BZ{ ze)#F97e78a&eZ?@^~1~eca4{?fBf;oPjCPF>Tj=KzJB%7%e#x6Cl?OFdtK}2tf%My zn)Z?3#n*2z#W&x6^X1p9CI904KjpV?zGxg8{_UNIP)>IHnP9}gy-MgbkEZM#(pYN4FpmyqRK@ zNVMl1)WMYClbBz*`5mvn2*y6V^^?bM{d9gr-SzS!@Be8(2&T|2`j>b3SpV>XM)dzd zi0Q}s@+`k)u%1i)@aG;@eWl;=k!~x&?3lHWdz-nNU&I=f08kmx7?ofqdo?N2uvnSWY3^uwP4X%uuN?|ISMTrpzRfJa* z6wB6M)+r)hYKLI@P62B*H7?Fv2Q5Y1JEgEL=g;$-W({i`?FA{M~XY|7b28jUS;p1?o+}t5YtS2vr8GdM-*iGY8-^t_pfO z$6?pQn0@n^o$#n){vVInP7QCxl+R$VjqRlfx8p#rdvv<%9IDByUhB+4)XR3!L4mb^ zn#ZgJXOb7xbX4Ff`1g66k?$;Z6~fH3{x!ui^dU67%qVR_9+hCk>Vsy2+r%DofBb4+ z{=rS&wYy(_c=O`j7q9-G7eBrK;nj~XxU2l-zpt%-_lDeH#Bh~0U_(!JO4W4WjGo&m zVm{lko3tNZXr;Nd>Klu?;-j zvsvvCQLLp2i*mo_lg;+g)_(f*O7K5F6STP#hr%Kqun(Gm$+vG@WFnQ@sKmALb|ZsCjv9n-a; z6BMY9cP8)p5X=T(UItF-^$pZ%+&6V8Q#lhnrb1`+?w zfZnN|1%H96#HFx#%!0^mXn}B1y`%j*Pmroh_43tg z*v;Ok(dZ5lAHgc`H0nOw;)d&Oo<9J@f8kx4HZK+So^G&%S7;5JV$0D6tDyCGB20!a zV$wTm6&zRfjGIu*OBIDL-h!^rK5LUGw<^z81v>#x!r1Ig%g&Qmv_yhlpw%g9Z0P$& z^qna}lP#m`R~Z;Z#W6$=_TL{_Q7+CjaHERuBuT8H;MV{`a-CK(h;n)b0xA4KK)@LJ5thmflqPVoSpbDSIcz$Vy<2aDw?v4=Ym&aW>OUTnX9rTTFPz>g2# zzj*f&SE|Q%)ORXP^r>*(cHp{waJD>*wZc(=4x3{?N(>rC&_nL|i z-#@fBJQf)F#7m_aqcFV=gRf59jdHxf;4{RL>~>higyY-={RJ6z#oNT{JB+#NU8;8) z`lPMz6kF6F^qbZU91EFqf0{rD6$E&9>E{Ux*dIqDxQ|@5a8uJ zg`QtJK}gjC%~Ta{8an~KV_CGH;)Ga7@LcK{SP?~?qUl^$5^%c$>@Z7@^K6`des!xF4ctHxlK4{x^-%|N3}%|9sngyFVJ<@_2Z^{`R(Y z_mP?3yO)=s_$NKQV3nF}tM@&;k3Q!4uSfSYACnOpx5^#Z0OIk|uSU3z0HD~MC`F4( z`9b4;wSzlliEe4Zt5azyai7>q=;+Q|`nVw=^3wazK35PCtA6xUx2?th@ToRW+Oi0k z@l>T#c;QQk=$ISdxJ&19XR$jr5xequhoT>wg2C9+aWxlTD&~muZ8R67VzcD1i)S1h z`ztRzezeOk{f`XTuzkkyO#yyuwh2_GA=s`ov2bSA%;`E+CluC>Rkbz{^=fw6&n6f~zqv!3bO>awr~WpJnX^dk;BqGj6|62RUD06}v#TSIZ4JJx ztqk#u-B_=LDjYm}LnR;%5JPdenJLm`bBG6zuJw00&mL|ot&~d9w%?bwDm43jUCu|F z{i^i%@x}WO?_T}7js1HR=dNA*`o+t)@88}h_vlXikQH820X_&Q22rq%VzTjlErMhF z*zdmM>9b$2`1b0T$8o@m2?>5`?nSgxRnX(C+3w}x6MntefBgxW8r#zeV2v10fq!#p z>rshMC3>ae`@nX{j=6BN&uMOKew=C2Dh|QgfZvZo4o-&$KAHO{n{$sI;@4~YR}Ue~ zgRBV_?>DL{n~3(HPB^+~i)lD3(td))Sw5BWKq-pqsIVF_&~05ZfJP`zn~-DpW?U!N zn7HyOM$G&`?uthDSpm(@^X$`f6Fl)Eb~qfn^QahSuiL}Je|;kn^SNi=t`q~?|xsqDEd^BkH$u7c^_wB!UTk!TyIB1SM%ZwSz$om}r9;Q5!oe*HJEUj2Mq z()Ewud%k(^eWQBg{=L&@-rL-N?Xz!x{obGX+K*n?eC~zg=U*7N==ku$Pp|6Ar}G#8 z_HZyvBK^NVT({^yy#DDmIskuuIAyo#I5o*)2M{6N0?=uSm-kXuFV0g1K#nz!b z<6O1E5Ggn+jXR=xCp}xsQuj-MJU7aYC&Oyv%s5yMHuVu@TLlF*d+jQ}sL7W3Ap%`z zQa}BI{^&h0diUz~QtztY|LL##gI|7r{qDv4x9|Sv)BB7%TsxIAjo^&{ebx3$+I`qw z&pU$5bE>s>v6=Ps21Uu1$1=PTm=DO$kJSqiWk2X+QsSU{izDt4*LHeml~fG6F|KLT z*hMR3q{TQb^NNZ?V0UT6Hu2c&NVtnOG>n)ZUh>PEmwf)OzGD=#^qkYoeoyQDUV8T3 zcQ+sW?2CVUY}|b>YWlza)h|SrwihZJo!bO1_ua3T!nXp_;T#5=+=O(&c=4k{FwgZ3 zD}#Ja2R74txN&h4aZEVP8%JHZK~=0X3E0rCc`K$|9a_6?)6|zdfC|Bmc`UZ(N1?9LQ6pE;jZZBzx+Mp7W{(#fMu+R%94QCn3&xOY?p3`|i6t zR*%~5-6>vG#Cr^*6_4TC@le9IY}fWcplW;64o$1Y8jzHC0JoeoQEZ`e$M80cG9k< z;|DXya3HZI4bi*t}1SR?62ee*J*|_TtU^fB#>fJm-$?Me#us zt@ZEGW}=z@e%(B~F{Jwb-=6;YSBE9`cF6^g=xdA0{{jHLJUq|Sr#C?H(<*}c;xDv7 z{P60>x9{Hl@Zx)g+h2iJY6#q3*T*#k_zZovhQQn7;~Ii_IU{Eyii*OD=_>d)ZW`|t-wL8 zfPz2O_|yt?44fWn1@`4k+S-(Z2=w;4P1|j)fT31E6x;W!Rv^-X(zt88s}*SO(b(K5 zy8oeZ*^SZC>bZ~Y67Ncwwsy&GsF?BqC(5z;VqtjbyJ`F?(I)6ZkGB@ zybnM9?UjN&Mj?OE-@W|damYNbMgk?Tn1&upLnpv~TVMCh)2H8EMd(EE#|~Q`YY%(T z2dfO0T2Y1?b)_=E412quo__u0tEX3|$K$eLJi73|c>BwXJBj?8VvDw|`jk*w(i3T+ z83h>UZHie;vsFbOTLNMfdg{IuEepTuJ69pHDB`kEx#ZEa(yGy33Oi?df^K0&8zA-) z-GaNA_)-|0wD!1eQ}I=Vg+9F_W&A16z65Rqan#1c5)&|GXY5kBp*b#lpK{RLJtgYp zM9Gxs8xFL3{k@IF>{|-8xVvHa@~bcJ#?t)!v&Qeui}#2-e{%ijF{D=*u}M2Y1W_q| zb(B!MqV#@7AC#o9>q??&0#y2s33)sH{fOU`vRz;GXB_Naet7rpg}&EoE!1dZa^kldFvXcM)UKD*saGIJNH{it{zF>o+pcGa>E@sX-bdb z$^dyIgi{qM+Z^(~qMYsw>Q}SZW+y!$(2ChAVL9xY!4>s@Mp2n1kyBD6xabe1FXt;K z_c6>f(o4x?MXpId(hniQQ(Ro&6P!N;_apKh$NhMGbxBb_kB=JWj{m-No8P`y+WO+h z*Khv%YnLu$+J&ElC6x{79Bwg*sx-5UnQ^;3Z|*$LJ<>`o1`_O}uX}!d-IG85b*twt zLP*=`1x;`UOcVMttcZ~j^=UhLmPeQymz4q)_U_xBUgx!s_iz25SKq&T@yjp2-oHC? zG8?^h!eEPUXV0}etVnkH?hU=(+8(>&W3l@lO~#!7v7&uiOZEI4LQHW+EgH4Gf{iaX za#Re4(Uu<0Z0Yhsy$8p1;k{KUqaGK7LxHzw@&ct0sQF=C9c!IY&ZiNjv)Z)5g z26r4&U3NOul+O+&j5TnTvNr5?FgA_(GW7~Lsm4VdS-S!Nb3ly0iPV+0&M;}aFGKOp zD+dRn^!*O`Y z$#L;!hjAi|sughTK|AC~ zTRwJzuQr2zR=)!oGogrg&5a$RndJtX6BIp`c8KELVUCHu_|%g*dvOVsi({P4l$D%z zKN?pV#N#FOf4u$Sr(fRw^qb4bTsoc|ANf=i0yP{JSbE4r2{7{4kc1a6X% z?okY}lO7p(+m!%=d#Erm16aj9--3hLzsq|fH) zQ@J8?hl04=YLzOKhw36aBrecV%R<{?nXv87ZBeMA$2MvheGpVRte}DxSJ0ZFfefog zeVu+-+)ZQqXvti)ZXX4a-x(#!_4*ufNKi&N6(hENu1L|*3u=P*n3SA$7JWv-&UU-r zZ|SeEexj#0WlG)T#tgH~lPv<#ADhMCu4puM!$t7mL$_qT|asAje6$n@g+M^>kTS!dX{W zN@=JVQ54tq7u2Bo;^>*)mX?or=}_1WT}e^xZVw!z!6Of8_Up@``K!C4sy{xC2;GU6 zW;z;+6T=VV5aY6^a+*RN#kj5@#^y0#R~|Z$I$qkYML)UO;mTk)urU>r$JjO3;sI^B zY=c&7Vp+f;Zq*|7O0&+yMFAsBG2~#~euOoO9h(&aK9rNtFE&u`yrGnjs|`hoEfjuN zc*871@%==;Tj>+EtNUbhRobTT;!lt7@9!G5Z&T6ZmFsDQ8#sE^-HXGp6>P5wwGD2q zFtK)r=x@xH`-V{y!W*t9Hzuw2wh(=2U{kJKXi3>hv)G<3crGSonzF2pE3c!PzFNPf zra7Lcb!)p~xIme<&2G!OWIGffv=)%6sJk9YFuruOut!K)_^@f>+h!l$_Da2f|LTvt z>4A4tGbr#VOs#0^H_;cDgj1~ILD`Xu-ukXEuN@`$<4zjcn7&DafB&stetB0P@t^H- zr~AaF2q8~JX%TOZvMVjn*v<>R+%DHA&z|4VoX@+993TE7o-CwDg~-b{`ulgk_8HMO z6A;!$LaCg_XY`HSnU4d7R;5Ba7E$sh5>{QJ6q?)3IZ)e)-KINddc{dMLKxvx2HBL5 zO)_>7aXmcpZ*xsqaafR(X6HjhvP_4FVx`+s$v9g-PE9Utn# zHcA|U^p{Pm!Gi9jMAhazWy5`V#{j-ZRCo2q-EDKl@&p&(UT~t=te?g89d>Q#eg~iL zpYhw3>GiXD{RMsjowbqEM2epWhVJk6|Ci3o`{}$Nxq;zw#V8B&nA3#G zBSFw-WyziDj!mTDYGZe3+)}sGoH)*K+oP9y{>e4P=QoV2N7mlZLve4yC9rQ=c4w;! ztcXBs=vK{iHEGw%LUYw16x-YsW8x}8JE@7l0wmI@FB#= z$!+0sOrA!Lq7UnLR}uR2Zp3_qi>_lvvk>AL`M6>!!sTj?OUTQV0&;Eo-CS|*-B_PT zs*i@?_R{a^KbTj4hT+3J90nU51GO1(+L?pfx@4MvJKFrcMJ=0kUX9-=ueUxN)3zW) z)JO#56d>+Ysdvs2XU;pqK^5k9W0ivfqsu29-$ zX=ZL)sk7a7kBAP|ZTl?jWY)>?8OV1OrAXy>imZ)6>zHyeMBg-y2)A!TLuS3-?>l=K zoV!r>#lPh_Kl#h=jL%Msq^8i}Y(?i8x|4Y^vt6mvnkiH82gyVfpn9mFEpyhg+WmCh zRbO{)epO5tPZ$b6QBPKf!W)uUYc^UfdVW0RH-z8}T1!Wp7Li0FDwe&el)>jd4D_$l z!lL&KSbi5<7VqX0McbT(+O0u3a~dP9qSB={eOw-2t0H1m4Y!%t^hQpyf5B;i%%e}T*4 z(UKTiF^8Zfhb+5-G5Q*uA(%xkDC}D6#K-#-sUG&6@wJ2p;(&Iu{I2%LDlo9^Ct5tt zE>vshQ-fV5A^Ia{U;g8&Dys^iYhe0d#3Nr)0cZE8_U6c!>2^mzDO1CP3!Q#xS@5r? zKtQ43Sd|Tsi4t?O#iXh^q@4BI4lHz!`kfhTRb0`IstCPlT2a~dT#o8L4%z*|!OrEK zqXmu?Ej?kGj)M_~rL% z5b6PJRrXXkI0{aoWMzERkaSQ~1+5zHku9E_mBw=YTXLrm3}(63>z~HaZM__(3eH=2 zeq2_s_vq{SO^e)PSo{9ns~_*~$}UgTp&%5{Cho$=nCzPJ@atcF^y}u+Ul$Le!oN`p zDn{eupuXQm?S>k2)8>`t(s7#hlc1TMW~} zR%1J}c8C~!*yGt|#H$r+xu=|mS-luUY?xN|MqeIQmKd-tunS-JtHM}$D?YsNP2t4D zLwx$eMkFN8ap!g>^kY_jgc3L{!K(tHuN^nhWLi4i9&5xX?P?=f;&h75D6^x|CF(O< zF=eEp>s6B&oWQyMY$&Y&6^l5o)|$3{K_a#*PGIG{ z+2!GNKJtw0`Rh;KXFt#>z-t8gy?%*e-TwMl-(4n-zbU)c`hR>cr1GEb!<*MXKk~=1 z883i#h<}${L|9r{*F3kWuOayrZY8ia_s}vfc*nM) zwL=@#4Wxel-Phk+@_QEYEGBY+FtJ`Ac zB!m#Hq?~droD`%j9nPgVl{nMA&^Yq!v-i!mI*PC=`Z23wASyybXixjQiew!wxS;3K z-i=dV2uK2xd%Vm6mJt$u#7pY>NaL;c%n>_xYcyUrrCM8hY6xOXNC$CDQifiCDb5e4 z^{%v#eA1IICYZ1%AIoBoS-V5nH4(XjfcP{}cQw4%h6}lNc*=>Z6oiqZ^Nz^Wmc39w zto)j~K}v;_A2;CzMZx(%RAtuM9XpmXLU5_}S&^CcC#css z#fBM1+7XTTbO_sGX#~NjuP?N0h%74pD@!7=fuvoWywdyF$Bwa9Jj9Q1UF5QCh)dMe z>>^5anFFB2W^moo=P4g8DNJ02ANper;Hr@lS2tDTbJrPoEs~o%x@W(&NQUyf;J#MI zTDa>LXr%8_u)K7R=6d#CadkeNR%r4_BDh5hw5Q1yJPc2xIaVHYnjR%<{XNhO$0v~^ z@nV5vF%*4rF*Z4_u5DV(gmzm>k#;0fKV~enp9)%XEN&OE=V%mtOhCe$N{>oUJkA~4 zWXP-=4qbSZx!}bOqMgwX6N8FW4XrCKgL@lWX=YgyEZ8WO?1FYnS_QL^k;eCCqp8cK zPE0LE0iAo7ILMrfvh*d@w>T)Tpx7Y>sz@pvW4+6w9Xq!}D{ZI>HzK=;UsEeH*F9M= zwr*+Nqot~9d1e=9<&hzRka0z_DDZI%v90>8-b4p!S-D&TZk>77RY>77{5!a6noB*Y z;6FAh-)3q-cO{Dv$_YmgW4p?P^-pVvb{EzYf1W~0#RLo#Ll67Btq71A8fh`1X|y?@ zUaLqTip{Z5K3Ql-3hO+) zz^Z`o>gF)CD$t#Bjs01o7Ykao81%%N&*U}n`tcwzFa>Q-_%hj~FuWUB@IyB0y#%mXGB7rB;vQ1q9^Kb%#T=yEMb!(R>7`rP}DJ#y!Bg>ibu0ke^ z=jU)wkZ1E#T$Pew#7N#HrHn0UJBfXYpp%<7{FCU;f}(ffV(kR89HlE0Ph)u))$33k zt>{ljRa-4{rfA_gxH+XoA6&WaYZ#s=hMX&x2LeMiaiSuSq*cyQv%^^g^E*Y^gv~=m zVOJ3#P%qF*>Xcxh0~UkPCyTLZT|=dmDMeiyx7A@QF(Zpf-JGx5^_Qp-<@bcokoJQE z9_=8s^!3{=OvBf!V!bHh6;!Q^6#&f_0 zMm3dUb|=JGW}4}ZxMrI5YyZ@7oFVnW8aWCdIW^;Q#Rz*KwL z=tmN_Q#MU(TRr$Jz+pi{mga)%JSU3ZAt;tMe6Nl@Ah&ex8m5KxuxYZ6Fey_dx}`%< zMC)lq@PwWg1qzYWp`2ew(jMQ(f_gL6zcnD-eT+7>gs-T+PZ23)O;a-cVXU6sjMbCh z94jTz70VqxlU^)ny78^edMEZ#^z?sis01mPD7xdbk*`YH>2h+w>uUJ1&IuPDB~39= z0Zo*igEQ-Fvfhe_+q4I1-c~pe?%-gOeq_4Nyr#)>E>scG*t?eq;jVD)xx&h9+DrBD4)HA_Q;po;3tq3pV=16sm)uTXulN4G*=c)3vc8+oR#+ zY=~Zv!`~0r)s^>O*Gc|wMcziX3-84_WdLb|0mKw)Oj5e7*eBVu%d0Lw%zt3uQwz^v30@gXzV zr)qaoWheM_SVu957OPTQQxbLo&updSDbwr+vVMgAzq>>Ke}3`PPj_0Fzr26WyuPHxS*M7pCjBZm2`DX_o8G9I4g1B!Bc_2Zsgr*3mR07(LP$kh!n?& z7z>IE$g%~s)jFUsVXC1Z<|#>WmzavM6Iv1D5}(|S@^Ww!t>3Ff^6Yw>je3^NtFUnfVe;fn)HLi5Q zi%OI+3Av<4^ZV@`aR2@Jwdgm-gBM2PQ(MVtR-;WQZ*%WKA!15KEG+`#hZ6>|DTq5w z2fj|4q7Bdk#)NKN+K|V(heqKT!qtmVM^6YZYDKydRwcQDn~kTB5`94dDIDmdCd_IX zZ(Je_F8&5~$(#tl^u(7IWGAAXXw(rG6@X*~DDYIQ|NPGV8ZK6NBkpUXM1jWNq^&4+ zR*P_eokVv8^OPTi(#1!F*!NZWvElC*L=C2&3K)vZF6rC%2_(7Yq{-X3Q(rGjYZI*zV%zykMR@XbZ}3z^ z;S~T1*Hsm6cl5#S+LmxE6xmFsh+iReb8}W3se%%_EyCuUzG`?0PZdm;@0Q z{Tf2entef-{pJ7*rROn@fDR!>bYjFD2OOOK9iAH2V@!yF9z8=a73)mF^d9;1r3z^^ zy6s+Z1I8|=p1)!f;yI#oCb$WL&>rC zyVY`-M5y;9ZbF@$mW7^_9HIW_yM zT^oy^G)jKh*V0$FpoOrBfOQB9@`5)zO=y<{X38w&DXM6x-4-x#$>V5Bm9Eh3uEnoO z7qv-Z$#wU8L0wf5Pk&$q`;=rA3UP4otMISqFp=JkNXv)z!?1V(p*d@tkA3d^A+lQy zF}ifPNfSCm&$7noT%6DaOvJWhHeAQ=9Kqw5krzyBI2J+KIis!A+JqU80F*LJ)3>8- z6&#l|C`X7*c7Amj4lD8l!ujqVJbyfXip58~AmK)_gRPBX4}q4U9gFQ?!LU_0QH)h< zU}O*$*Qbc=ijr5ff;PBaxa`t31nUsG3Z7y+LDjG!@g7&S+miyB#t)^&2}s>>`kMut zW8JqfJK-NCSEXKUvVkhC(s_W71z}330ayf~`+iIbofB3tCwcWMn5OVdSza;rdUOrg zsijYCi5^qoNHapwN?oQDM^|L^=fmiHdk>dC9vuqJG?&W8Vgjy%`gQ`E5?~;I;_st8 zqvevvVv87DKxC~^)21kiJO~zqdUJh_qvYYFrmP#w64(KSU%MWmxVME9$&>ju{TYSJ^RM61v{ zXRlk{lGBFgGM2vbtTzpI#*#=uyv%K(=CB&ElprjwqU&LH8j380iNuB1Nm<4)Dbh?t<{_-HcxqtnJ_~g^@e#2!;fQtJE>$DN z;wr@|QP~t35N5^V>IX-@W+j+b`a~e);z={zi|%L%o=x ziPPgVOFc_igc3zRJc1356@iao#9CG(jeP5M>o}abJTjM4WN}pt-xR;Cpi-qS zNntJ}{DMx58i;11Ru)Havrshb?OF4QVEeWU0C82oP3kU098ZBDpQk=kuz1{u)Gu%3 zTYLWY_lK&Y2z)ei`S)!rjW3N2svz(5gkmZ)*R?cZk69SUpIQOID+7lqpw*KQcS}Q5 zP4LLI!(}ZtJj0t<1E((@4!1hvx?Mb{$i{?und+)h>usx!;yMqLSA4@>Mge2N(gh!P ziqWj`p=ye>7r8Js#Y|nm(Rlcnq` zkzmxE@w3NjuEV2nNEhe!+lqaIwvHa{sv;M`;D~ZxDXofFo_Y>i%ouO_pcQO1~I8`$s4w3OvP@L3WTeAcP7esyC%p?e4jV^eHj4Y;9(r zDjsv{jI74m5g%PRri{+AD(kt&T@RoD>y)v5Q*NunI9zse&NFRVWbiGl{+Lds z1aXZ;59d1cTeM}TxUTPBur41KD^8run}F!f5$sx;HmJUII{3iF(E$xL^cWRKJ=8Jq z@Xh)rrB>Dg#!=L|HYE!VG`Dh08wVk0$6G>}V)gK3dRG+n5b(yXk(1ej1gMXqXj+jx ztud!IEys0`gaT$k(pmc(i3b5gt3WE=7_->jv0FR4p2fBT1*iHhk*JAZ(#p;*`&Kp~ zymm>3iI3YEagKawt1p>gvK$LFgvxx?#J&&9J0HT>UPw>W%4k0&OU=#56)(?Sj04#K zYKSq|6_h}(Z`WfTe)4P+?StV(yg0dAifi_H& z2&ZHP)9EAYJJ88LEb`}fCpf>q$Pa%e#p#T@78k03{UX#~7QqZb%U~V8p2KNxjk9UW z_7Jq4@^oFGa|tbA*6G#V>e-xfVss+55C(yJMdG~)z52Ef*9=~A2|M+p`KImUcSZY7 ztv(fUR4*<_6X4iz`A2BuDmfVBs7@@;#csvjj>{@qti{I#<}gN7dKPa4cNm0Zix*fP z=y`D}CQ35y}E*^&Vk`a;&oDZH}1l2k?I zGB)_vA{4$HSoZqEER+je*YBgUiFQaus=_+7Y*oX}iI-GS3VfJda0>?U)QYTP zfEtRad96}~R?hh~RSvbdxC^N(&F=FSuQyQaO`JS%luYUp73SZjg27>~n;S~OQDYU! zkOr+E=1OVj)cUM0%vvZ4F@3D-B3M_nV5Wh^_1uC0EXUGX26**wuE)6bZMC>Eg--X< zvi9s*Tgd11Loftr*M}YhwIYW~_ObS0f=EN1DazXJX^U3;v%52`-&^sHv+Tg8Dcfby zsy!v*ws?Sw_|`n|EG}#H!i>%f&nSh5V|7VMGvI4Tkh)w_1ipsQRMDbcTIFiH!e0g3 z423S@(cFP(SrnhW*k|L~Sb^I*O+@34poz*5eb>+zR?#ePl*pM&wtLHZk&d&S&BPKTus%_2r8!NRi$TR#S`jS*$W0$* z)3TZ?v_f^cY?M@>ICwm>JC+5TuDpY*{hf=|T7D zIMDvLgzjCSr=5|~Q$1^5JzmgK4uc`5kS`W4B%qy8nhkxEyqb?Uhg-`CE)qP+h5*) z@#6ja7cYPKsDmay{mU2snSXip;jeFheEZXjU%vSHhZoza9!PN<`w}aB>7U%kfCx)Nwrww z={CrR!TaPer%-3d0jK$sx?l=ijX9}x5RwwbIsJ5%*q>HF6`^An&WHz=5l`qRX65!$ zO!jo>`hMzr#eS5k0cWmOh1`K%r2AeR&pU@t3se z6z)mvw_jaClpo%``0@2$FM*HG50n5Hmd95>qWMgZJ>m}UpaS31grvbr8PpyzFn%-$WqD_8qJ}(Seb|1SrCz_Bc{G`9Y(z=31 z!N~!&a*Muor+0;|WI18?0>yb#cD8kFeNr^ib8_0gDMIR@IZy<*k~~FtMO{S57KTvh zMElUeJ(i_oBrU9q2;IYIefyj1>$~3_wlpGpS80#n%Hbt_|3O?Z_~p19K4{~j`hKub zI(HnxHh!N(Y@+x*=eWnIT>kJNaF8G0zWe!yx8J||iQ>SAr@6GmKKnQd!aHVdCMT^$ z)Z{o0_IodJGnV61Ks1Zk1BW`bfEu-;WHBup| zOctrIx@$muq}J9TEaI3@`mhJ?a7aj$HMnI7gx0ll0&A{Q8Y-1QILlkOwmLUuBcRe37V~f$2i}GCK!#0rBbCENf}E)Sx9DtNpI%_ z#e|BiXxe20y6uV=^AMK7{SbC>h9P3z>`0fhP&rMTIV=});GkZ_f~?$IT!P>kvaNL7 zQhX#5>F`An61NLNA$sqMSyrC}5A{rx1Susp(f7UBjlv3g%X44xz1_LIHk9nTn>RsQ zNb7u3KKE9Uhzl-dG4ue-rq2ZcfjUG3ohi!FxhV7~>K;Nw0v_F@$bA5we4>|aFQw?S z4gI#8zr6BHOI-a$2hS0PGYU1rr#r&7OPzX55Br1)o76HnhkEVLZ-}sJ^C@aMjs>;i zhEnX>#N+*Ox@Dy?3$DT|Wy*4}hv15(yJdpg=BatV%%c!PS2IDvu4{0cL^|Lay7HQ&aXwhQ_{4X!5^&=ha(wh+2)DMB$f&!Z92q| z{oAEe_v5Q~*S!30A`vJTe9v*!Qmk~|3t5QjDT^&D3Y%0Jt&4#C!hO*`i1B`C9|_7e zWNMN^bmP>dqhKOHzyXA|i?f-wLWi)u61sj)TQ^f!xp;>|hu_xL+;XXgA;@3OjJ0Bp z$K`2WFRx)+_ogpkVD-sD(f#!6KH&rrqHy!BarHTKr?eQm>o*26sqXMe0mV}qC0yt^ zYX+DPWfT!O5e&sS&BBthB8~q1R2apGz=~cSv2s%uxw(VLLRpLuMin!hl;loK>jj^( zGtJL?GP}6S5GOfT?cRm{QpIYoA$6jTDAz8lIIFc<5ky>zH-+$LRu0Cq>=3C2_lbXO z9(u-3rnFqh$11Zae5ZO$UZ1B$qz%+hN+G!mb4x*afJ%W~n?;dSan|`cq@;*uLqE~& zsLM1c!h_$nl8Y^McT{Csd@wau2RxYT_BcdVZPnXnO;In!B|XEyk>%c#16J z$Jncgpf;Wp%US?6H1GzTa5SW=f$PjW@l$YU-vf2I$^ub%Fx0#VqO5IjiSY1XUtSOP z^&fdK5r(D4b;rGdng=ptPqns~1_tDsk}^)a%swJcri|udoT;!MlgG7DD^w_AqZP_7 zedUVVX@MuJRi)KTSs%!!0VT{k&;>&=W8eZe?<9 z@9TaXtKf%2CQG@4bDzwGo#uL9$H8CIUg;p=O?;!=xHqHT6VA%Hp3=B4N(DlhO}oAB zzU~|m2+<+jr{$lJ_B!;Uc6Zldns9MAcEq;Dbuci>Tbr(9f}PXpMXYGhl&s{#fdx4e zI7!!Ok4F*K7C}*HIUJoJ8@Eez+)=hs{V`GwTw!VU$HpPuxnwal1L)Cf3z=WRnZAW2 z{Os`YC`??Va5IRLqR1bp9tuL1PDqq!arv@ih$YtJSEX(ux}B8oTRb?w99F!?9UL}k zP_mNQQEB+CPBDWLnd3&tN#Tz|5(lOim9~`?fI6v0!YIr|xR-M0RjQ$65wgYy#&=wH zGP}NjTc9Z;xIyPuT{Rfg`s{)(jrPM{E2)25k1<3n7`$FC8y^m)Q|A%;wou=?Eq>67K?sqi`4~usSS7Wf)vp}p-v=zQT*fDge3<> z3VIS$F2w`&N>dQT7a2T-|GaIJTXwFt1gEv7niYxcDEG6&vY@04cto5GbDf@exGWlQ5UnRhiL+gb8`0w0^xF1nkzA2043xh`eCd`EW&Xc zboD!C%s03W;|fw6+G+D0%SHtdE%MhvUOzWiw8!kgAza(euMcVa328e&|MaNkcODGY z8e|C-&JgJ6H|Kc#<940pah!+J@y$8je%zkp#o!S_4_BQHH$39F;p7x=Y^OOKX7i<+ zvz=WjbGtpoJ2=JDKOz`k_@kRsJk91A{q;}$;76x;!b0IX#alSV(+^;BKArccc(lBW z*C9Ag_Y*DUlzBC@gnH7>@|V}b!(r5uo5cU+KQ&4zc64C2EmDWi@vjNj@t8I@-;Uno z?f(7tyRR>Yjz3e=^Y-nV7w_IZl=T!y{uVCYSwo2m=Ut$EKZXJWO&{pPEi~878}{CM zi&S^&xi*fG4)LA=)Xm(Yprb^eo$@Pv6E-8Ke=J(n;(YfHbJIEf{4;`&51O05y#Mgi z`-ifsBA#FmEsr^6LGf_(HcmbNGUr3NY;$uT+SILx)4LJ>+; zoP`}L1z4g%^Aeo?B#MQKadBxaTfFp^cr04cRoz1+o2M`;pASnxyFWm zlrsFV+`wb%)D3&K)WXp!n1v(Vs(6K|rO1;#m621ThI|s!_jZW7A{X67 z41BR%2x(b_oBBCig%5<1%$By>!u{t@zq!thoB#78^O=nG%-dfJP#!4%hNoTE`#MHskKYbv~?u)g2E;#W6@gdY*$-%bwSUdSs4 zfm+QQ{y-K@(c|2nkIW=^X$t$jh|q;U!?h^2@ovP>o3 z^^#A&dq#WjSD#r=e}41f)s1BLyH|hxL^5!1)?Z#gf+`W;%z%0To(_TxrE}1-S#igL zZ>D&9TpV4JLzqmFnFe3&foRd#xSGo6QLqYgd2C~CZpj5?*Oque zOAcYpC|TIJ)IyK1wQE3X#jbVM3nOeAhx1AsTHtn3EC|9&lU)i{$U_ue3G}3H^kFQX z|Epu6-Li;3ZdW};q4{9=!N~1S0oC>pDF-;M7u}e)N1R>Pupe+h;UbGY+ zF58_mL^aOeIU0`-}R2`)bL#5AZ{ zyTa&UR)cDqh{v*(;zr0?iX+qMf<`hP!)aRVy2aWB_&2@4((t>0rdvJOF(U({AB;;L zX!9x@db+DBS02M?8?~#avEmbB(E1pn{Att97c;i$ta>mlA`LpX>x**(dY7nvm~g@J z;%p*wne%9R1k)itkNpO{5eGN#Yrm?vM!I~&w1GjS7$xlY({vqHePXLU3-i8xe{Gj* zw%&Yr|LRs%=FzgL2|EsvH#{LZ0MN$tT)B_I0o#_>r8T5nPk*;AZm*JmbDxU)^-I|$ zzxe6(OC_M&O!$kw?9H3k?;oT{1(;8t1h|_JbtzZHiULwq*c#dDA;g%y!cjTPygG5t zIxD6f56=J^_~Z=iAAPIIu^vKV>AHR7PMsTyu{3nuHqJ^KB90Z)7A*+B3qu*F2yfif zDC-hb$Qy$9Ra26+ju(+_)4UeYu7Dwwt_f|_hlrVov#F`nEc_aEtbyV$!nFUgyhJibF`-Ix}l^ur%{+Q+*E2#N)3qR3G*Fm(oi}oZ#e2~+v>MrA$6qjBVX)Znugo>J3?E>_#;qahxychp0oO2G}Wk`BwHa$=7J z`El%sn3il}JgTtzfqPYTJDnKe4o&maB=c{7H>CWLC%*#T650etB**9>VJ4irg{ z%WJL8*y)`jlghDPgUJceRP!pJNpZOX>~Y>b+Osb|D`Hj$`Q-Vn^}_A*+_2!ATAg=4 zy!gvs-##$EQmocc#LmX+%Nj~=v3VtSA~Bp}q02~Q0o#>bt8rhD^0yOxG2=KkR1Tvuy%x=f3|D(0_ z*b)VdK`dH}P#j`&Q?FK7BX^4ch$u=r4__&%4hugGi+!6e-AFtKl8nvD-L`WHF)q?rx7p! z=seaT61Y>fpj3jwVDL3Wib`v=OUu)-H}U@HJ+kb9D;=&y9|rTYSmI{xpZ?LI^{5-J zO56zB818|&c2;;g1c3F3h93MNc&E&~}4FuRzmLj5+TmbJWTFFrI2g8EduMg(@xC1dH4k7(+yU>C}=k2yBs zWUa{}8oE#2pCkCPXiMm?I`#01VGdrQvr}cWk8Z_t4ft8W6c- zU@h%W*EzC9ob|YA6WWO_mJS#t(p&0z4gE)lQ0e$*7c)()hb>ekH;#QPtx+Dq?n);y zN>=gM#BeBI6a8H1UeO#>vrH(ZiwMWI+e=oH`|wh@V9?qT7G5reP7a~51UM2F^qk)$ zkM>c%Ci6%G1&l2ju`|mc?IIU*Ln>y~glR!&E|&!cSO`i%FkuRG4GV%Nq?2w+R}zUv zf4C@BQeLCKF3p$7w=eywOjRHgah?pK*GQLzl*Wx8Wa@Ou#pPJnA@qms2>J}IZ=F{7 zRfKqj1ICFPMH-_a!ZioNfi|@Y|K>zs2PnevOvK&Qx2G@oH zVEQ0S|D%Svt!NTas9%&AXWXx}L=WLxy|{pD8RABML77wOR=o8_SBbb#w{srK9<@fJ zq@&$)aME89zUbRTy9e?MiX6vEN#Rl2NXtO5%y>-Qh1sbo*IWrCn7&3K&0LOA@`ND6 zL6PL3F+)pz=}xK2Q^jrz({Dv@k{*usADT@?e|%f@XhAfoE`CIJYT+L!at1C5FL@L@ zICA%4J$g?gHDQ>0jQZ@t+WDtn==uJgfp^+T zIe{{dcop+gOlY?_+kT=ibx^_Qx9hqTcXQ1;YJFQVljFA4VYcTGfTh-0kYdiZEXz)T zv=bK=hlnf=F-lrMkyD96MAKCf70Q9MXz}*rs`%4t|g^`5!Y&XeKL~MG28<^&naaE%7to@RcON#=rB~mJN z>4!C~%2^ZAeO>=-l?wXI>$#)kdC|TY=yEoJ>d@l6tVZPCpY?DXb#-A*b+QWZ`gco> zzn>4Y_6Vd!jo90CrAxD;_|oUCa}Q#X_yH7WJBee=9f>s8J&i{5mgkkra8kW880V14 zerijHeC{h%Hb}Z0sMfaHDxa9P*)5A6D+Mq@(gxLr8XLVXUg6hh6U;8?pK8(e5mp`* zb68EifXJQ@H8{^=ZAXZH(QdYxPJHJa3d{nOp_XLU*+aR|u*-W;NN`{&q+vv$kFrze z9(^)CD!2=z))C994CXYWc3(?OX#Tayk4?m$j`wRR_AqaCTLOgxxKs_Lz~uKx{d<`A zFn5eU6OFTZOpfj-g&b^jvfrJefzzBT1mo{0xI=ySMssBq0aiV!Bsk5XnANQP&_kle%2Nn zQ&x&Z$#tf;dd}v|oKDSXlResXAgUK1-oJhM;{A&^|8rko&*jq3?_Rz9@a`8;+~3)K zso`#1VkinotJqjM)^RalE!DPaf4BqP-*NfR72=upS{2$y(~xtlu!c)lOt7YO4G7w8 z+|~-Nz!W$cG>hI)C}GpW9Jn>PWjYgLJg8(RB{Xto;}DP_n3kKu?nIgKVq7) zx6q!m$UG~PAUcKAO%PsL$|efGP`FMs&6-lB(4gqo^06w^=mk>S!w6xYXNqf*_DDfU z12^cA=;Kt>91xMkPc=q^^pOx`6O4~z#CdRBZ}j(iT@6q+)PVIJebRNzc$Xl;FK&kv zy0A@mS~oj(3))RfgJR6}boR{nfnc(59K%>gv5VGn&I2F!=n#PJJ6%2NnM8fhox=El zvlC*uiZR;NVc@3dj&;-ja)pr&lcuoYJ1?*;0!W1>Qlgcz&$)1(MIpd0hU2oTbwA8o4Z{^)FnIU{L2QuqBS{ zr${#%BSHDyWn|p22pzSygm8*aTjh+S;^~5rj5hC1wUT~n>YaP6acYIdMRBqq6QwtF zw7)t*Q?%){L^dicTp&j{Jbx6h?PP8g?T=p4DqNtcG0du+1;&zHC^vQEqh(5RaV;WYoC4I5^YhM0wuVu99cLkteW9v(T;GF zkU7QFT@Vj7gTCxYKA%cmZ74B{qh&3IhQ39+)WGQ!Wj-^~JMO@(jfkxvWoh<3B4)8$ zr;9?XJT`jHm0`rp7p&H-mX}iakta=IK$KBfHsqdG3hyqB7C=_d`^8f$Cl+XMnH#MDP7dpIN=@f zvN0>$a(lWA3bS{VY3U0D$;4yKW1}g9YH4G#z3dMk*5LDxyN`Z-w*UF1i5;2t_OUvf zEkf0czz0HthW35UYDNr5D?)jt;PR-wv(bFA<*7x=OBYzP(!L&kyP6jwh9DIv0HAN$ zEABu5dq9N0(jpT{ZCMp0ku)4>&RxTF2Qj$(3k zf+8D|6L~)z8hMdS+Dq0h)3T-key`%gQ^N~O47DJR&8?fg@*!3RK687CqEuKkg!q{F z(1c`z7hqD-ne@k(Q;8YOES-_8cr9>>*gdB^0x3KP&fgrSmZgu_katNFjS1M%E9-Ct zLAk2778*23$VT}s=vm68hpt7sTbkYqMW$Ogr#uT3YP&JD)UAYF(Of*px!}0fGcnGW zcTP%<-g}H36p2nP(k_Hd1BXXRSV=oz^f^v6OBGfXL>jlpPP6Yfa&<6S(PFJu5Z)9( zjZ>b90+&h!DG#njOhIo3MFGrli!y?32p-2}yG{=__WQO^*m=e-G&Bo(3JR~ZX!%Qi zfHpN+tI_V`K$?1ngRhFq>igXg)+}@%t2gB0pH_M-F;0L zT`$Qp*VP44f?gF&6#Mybg{QJ)gmXON;0mUG+V)&86`y8;QNlayz;}kCT!l)!jrvt- zXSRG9uMcVz#<6N+l&K5!loqe0=SK0?jlnGS9CrnuE*@v?ZZqY2&h2I9%)e46ul*eN zK@)7~b6XEhW!9cv=x(66v!MxP^8I?yL3ifx!Uz|8x-e_-s`Yb2@ z$a#A|JYJ(H3~AmR4Rf9Q777WBAP0#=Z%*{+dF*&63_*mT%yCRfsBKy1KHH@StdY%% zW+}yn^aei9VD=zp|dG5RKyQmNuY+~a3j=s8hi?I7P_<}^={groLxK& z=WkYv{DUE-9YG&d5uM>k3^M?VbtyzwEZ5v0il8Vsh$aXt8Nu74m7V=IDVJ#`l=HJs zV+a+C9f_odUP_@LP!UBq#9L)~Y;P{rb##pA%bn~&xtmKk#5#_HwStm`Aza2}3nX9B z>Jap!&JztB8j~m@)GFE|vu{w+Uw_rGqp{9oR@c=>lu$zHwqy8zC| zv(`5>YghDcsOm9E8CY3bOGPtT7p*CGibzm%g>GDOt!$JSiE2)YkoY~K#gA$E8g5afxBRHLHL7^Pk?o{rRC1t5$C6 zg^Z46HnXSfj+1+ZF*7*&>T{sC85dKr#gVvCRLH4)p2EPqV7@<_efsP=5`Xga9~1yS zzlvcuPA4{dl&xd{ml(Mifxp~k48;nE0YoV#Uv1;)*5UQ4V9`-FpwU7<_3+(SiTWS; z>Z&9aIHXhzP*iG|J=j3m^hUWz<`A#a1fmEb0_#uhtk5+&ixDnSFiJ!8%_HNta&orn zT{M*^O&g0ji|~sdX3ntlxtdeNQNYw3lQ66@ckzSfJcrARw&ErFi0q#}aE8xw>`5;k zCzJE;XC+;`Xt13>2YS$_#WGS4GmHsUz|qkTWAX`+QGy#?!-pV_H|Ne-vB;^Yr1p!) zsndtNWBu!zPrEPO&}6bJV{JQb9;+C1poPcdkAaHwlxyQE$}Ab5*MketykA|X8Z2{( zwJOvoHpk3n(95l&=raLVR1A2>?!Opll^DC(Q3Xy4HdQIzGjb1nNo{x2vG z6jYbFylT5?UZjbZFT~6{-Ht{0V+!CpC8unmp8orAFpBM0a|i#kc!BM z^o}Q~0*n6d%v2I~69Vd+1IZhQ)0<|T#*m4CqWyEz5=Q-GGbcg>)X`r5=)@QOPP;Vy zKc0pr;YMr4FDBXu1Jw|5z$QVymf+fS|*aFj_@+McYezcM{NY!YYDd`#KckR?P}+ zTz2KS%~)|9Zb#0fh@eETsDlCz&L>BhkekeCl$nu)8w-8L8sy6b+oV-58&>U&a}|OS zcQSfSkzyL_66!k$VhHPiEH+>8v+Ov(WktEG#$rFmaiZml(;!BmRhWtEvp8L)f|^|v zO1RC9!S3u4&pEs4n%4rwS;}sZ*bit)E{cGNgimV?HJn#R)+QRsbtHO!2zw?5L-|v!jFqySC997A;pz#|8e-;@^a|ePzKXEVKkMaP+-203vt4 z8@^0SsN4{5G<*iv#71yLLE&)e)ahU13SB6arpn&ddgiM_;_ym>X4}1?+94i6D95rI z@u;D}u^a9LF2zD6SK))jLLz5QU(GhbaFvzmoWvhVqySYH?xIYm1zLF{aG~AGSTMHG zxFxMOW+_aTR{5e-c~xKtN*M^1fbZ_GjlmLLD^^)dqtVtqAN!(l5TVeroz{lQoHCx! zw(vKX0fKktYH&n3^I=v#iyyC9Ies_J9ilV}ZN2DSptD8P-CUwqN@7@+FQ9=$12wuG z;@lf%n+kzxx)gjG%Xk4FC`}hU1C_DIC~o6OBDqd8ptA_&V>ZE3cc~VoX}s2|W}f^> zLZhe-HJhP8>$K}ED0WaYh$V2eS1Njm-Mi9zqwJ>jCTbuouEa+92=z(Libr7wtN z7QGL*qZy*6C~A>+%&yJ#Qm7v;#NvSI6?Ge)F4!Tlax-B7&tL9D1dD%7X_jKv2?;cdu?f<-Z)EaT8 zqfS7%)lu)aI_e4`NL9CA>!=^wUIe@Zcn-QmySC9%zmss zijd|?;n|Ij`eEiP#-W%y;_7U?*HQ2GM3eifmHTZS^@{i}S9|EFYXf`Q_0Ul_XSj6K z`;CrzztK?_$)In~PaKL;@?fyxSOnpFUN;36y4Ur?C;GyBtX2VHAc2ug&AIG|uCys2 z_NRHdAK7oexzk|(cwnhGz}4+WbsbZ(dU+=p0lZatJba|Oo^Mpw1uaVIsIKQb)pcbV z0T+t4?o`()0oDpz{Gv%&=~A)D?>DOJ;xP4UyuVb}H6(U*LR1Ti#Kz`&UET;`kf3i= z*DtryPgK{%) zd+31by3nZrS?ElbV#G(P>lcgsmFoKPk?OiBcdG0CMs>aW&0ebO`qEp~b&Ft%21j2< zB}lM0&RRkMg|qfKt@o9lNF^w8yIJ;Wt#*>x1h0a;Lg3uzsVu-cVf+Bd`0}=_ta!>l8RfneRq* zeYIz?`rvV+x*mQ*b$$IvbsgRA2i0{}@AEs=^^aFC!Rxe&z*rC;ZW)Oy)zt>LA8lhKs6*C+wUSTKt%VbZpx4c31PTgDX)!mS9kzZO64U_+>Tx4Mjef|4 zDMfoEL7l?Ys88{L=>8=^9mv?Bu3FxrpJEF5`uVnQQ*=to3SsEhaq2phz)>rp`dH9L zsjqlzPQh-worapCrJ?trNb4p&+>HcvvwFhfPmx@%7qSBKodmVk=A8t!!seX>^>HUb z9Z&(LX?L-D9A#(tPxwfJx}!-du8o&Wl#|dLe8Km2V}eg>OHVpf<GqUhV6|zhOT^V zshw!ojS@#C!-_EC9fF~AHkX&crJ@#*_-p5}Bde0!jLMtFqGT;VyaYN0tn^AAN51tE z2or0HtT7z!RhO55me;s^399$YnxkUJ=IA(7B43v4PO2kR^WY_b4lJrJcnM(pD5{_w znwMj_+LC*-+OjhufA(sSrXPRO^5dY$Sqcv|I(tUnF&>^;pUvw>wJa}3Ax(cofP$mU z+=WlKiOZhugtAWz>YAdFcF$2(E$`r=*gKrh+C`%f#NFMY?Wq|S)y-3;b0i~itnVGX zRmfPCVl5DJ#l=>;G8E;XdfZtHDAg}B;zMR;E$I&~ZviFU`iZqbyR{ZTcS(;_SqtFSp;YY`Qlp+L%n#NAVma)y}ul>H?S4-*IWJXos}= zax1NlSw~?Pl+xGZl2)%1IzsVQT78d7HJXUM9Po>pq<^5P%eO*p%txH;+;!kR;1q1J-)vZRmonk09 zBGI9kbtA3bZlu-C??|gJpGd2>&q%A=8)@|&Y4s?U>mm);eZu(%Ma*L;O2u1g^?FIG z@AuN`Uw!%H$t7`f%jN!jwE(|+{o>`@_m4edE9QOi7$u&CE41YjY#{%1>`IK%H$Kjr zpNrP6AfLTxm(q7b3<)1HXV3@xQ-uAN-kj7cV|9fmV)SD@7g+JDXnUVODTL z^72ZFsGXylPEUgnw&Lj2@zfOTLpF3n8@V|S(e+P`UJi|?6^k<6!ns)y?oxo!%xYj2W<+N3nQDVNV6hw|fvh4UBxypz(}&B3!lOR!Ip63b zhx4FBN83*rH?8RUh0al=qruXMw`d{L8RDpcqIZo-czd`a5W54W3>ijgCesof0&#&J7hKNVJ?&D@r ztyaWK1=o)~t|rBS5-KeWOV`er?HtoA`kF;Qm0y?9CxJ6J&mQ~u5@+1gGBwk@05`A&p&;5|HaEUAAWqe-sOtjDZ*0oQIR`RtTug) z6dBHb90%rATP z?a;!HX`AX1AMJ9^``-WX`rTiDw?qE7Uclo2No$dxJ6F`@#Ol6bLIRl9>}v2^i(nS+ zvd}n9_+9oeac{NF+i)QqQR`W6KAKesP}5(k(8Zlb&qvlnTd5a5Ep+$={ zL!pE zu|CkIzxpx}vDNnKcAU{$?GrjYPrC;j?NqU%HK2bzgQZPS;kxR7id*r$(NN6QRF|UH$wGXpN01wjz(03iOK|fRfJ5TpGvd0 z^Y-MsZ!Wo%kLK;^*B^y|7hk;k>BalkZ-4qoVBm`kb*fYgshlSTZSS37uOF zhyGixGDq_pMk5$f@7e;DtJ>oz8;XzPBdny4{h&GFYUAAUgqdRBlTRq8_dM%d^ED=Iuhelz>pxu#abhImv zQxzcf;S0hyn6Oh}k1L#`?mc1(q9AZ*^r6wX$kJC&|NVJf9@>mQQ}>u&zj^WVi+BI^ z;{A&+-h5Cr|K*E!ukJgITdM)Wd1!OcUn8mw)zEB&MDS!eQxLI3#cvNN zizs>tjq_y~4~kS!VWprpOx)Wlz~Kpx6oYDwn4pmi;%DQkrMn*@7R=M9|JwtEwG0O2 zqTMlKj-tr9q@}bf5r6IQP(<}9pZ@CZ^5|( z#_fvtLwr4-z%2kq5ojQbxP;8pV*5Iqsh)T+Z+1&l^-dW+kZ^j(6E zLBNGjmJTHyjkLJI&>mk`41E;R#1I!#Vx?;;5;FG_k$P?$s}Dnzd+iR{wHUSDyarg* zpK54jBCYV$#EUyx>Q-UrSdtGV(f$$&;{TLlX*duUj>Tq1s(QrY*ULG3Kd`-L%qIt^Kj%@u*0pSdLIdEh6DNatBnha4p1L z$wPwq7UYiG-~V>RKw;*fi1na)B#Uk-d4(Pr0jy#zEA;2P4&3XIXabwa80 za@OmfqG=;8Ks_)T=@bF&eZ6GTH#ayMSIW);p|-=Jx9PBW4uW2o^T&VZq)d)W#ETdJ1s;4S)8S0v+V*@igY2e zhm?|Ok(%8lJ2z&e#I(z6P{bW;b^^`YSjMyfS+)9R?QAqscEv00aQ&OI0;W{@InbVP zXV|&P7s&JtWArivb(U5aal-82+er|8Z~6v>q1cp~mO$ri(aTsCR?~V#w4xl`9$UqN z?5vi&h?VBZaEBw#dCXLTEfl3!u^n2@M{K?*&$^+IrtuRaSQS&v>TJu3J4gW-ZXhZz zY7qpVssCHG?QqXvU!vHWmqlBA(jaKVC=4l6wjEI_ZqT%S+uWn*@`lX+yl8i8?U<2< z5>jgc`3^hjui`;*ZcvQjAoRe7Qh7wkCs7SG^m3Y5L;I+QM?$4U594T{4cnT(eBwz7I z)w-Y?k8>H}Ec84}%m`5&+>vBdBbKVoafqacHEY%NU1_%$Z0Doqaiw=f>nJK%DT^#( zvqCm48*{|fQZ{>?o-8Kc2S8;GS`hTv`Wn#TIs<*JLPMG& zO3xh%q1PFzosd6WXyK^}O~NvXb`sQWP>0~oE25YqdZq_S1-}Ojvn^&FZED7ds|Q6^ zr->@9WTC8r?VyNi5_#%nIf{Ny>o^JW9Vm+}bSG%Q4)Ezhus8S)>A{?$0B&(;FK=bR z50b8qX3BBd8Y^NdoKj6XX@V5}(1ops(drAuMo}R{Ln@sZf#O&i$}=~nN?95?je(Yn zP28bT02oo*5qK8625BKBhDG^|4fv&TG0wq zMURLmr~aJC&1JP;guj~A8@I+!F2?ZAdiQkMC+JZ>o!s2e{c25U zE%jCJF7&+!zm=N`Tv2vWKp&Nawo#lus$b^dTij=1z6IE_&wy>$*@)@EqI}ABy8yJ36qn?Mj|U+AYCzQ8odA zP0C`YTlHG0l@emvPqBh+PSH`k+uaK5IM#6CW0WMeIL53@gwVX+t*q5)%^Uzu1;Hw| z=4t1l`(FbzCxvX6a+xW)&->+qDrlOU;*o30qWd0|R+IpZh$1@XiZ_Q6h<5w24?%n@ zG%2>BEQEov;Dxh&61EZFL_$hCY0<}ZAsb}~JC4zoh&8y&*=WG#q5)s1G)`k5N|Ea{ z7J);BVJA>YU-^Q6NJm|tAHv$t%yl7<(2PwDN{NH+QjP1>6vrznzj&|`X#o&Sc z=j_G&pu@hRo1j>5S&~l5kW?07CZ;q%Yw4gVZ9S9=HUUK>H?-@i8q>&XEjJwa2BOk^ z5+@Ej@*k-z9w=v4j#|y_^O@7au zt&w0|gw~Ygl&lw&grjn}c7-xqN|#L_cJ5rl?=9nZqt_RT7Y#EVq_-gDt|X*|vxv$X zC+q!LBiIb1QK5j)af?r0et zj!PBgA!^*>PR-MbccGHibeOH}g7{Z%s53|4qORC+9WzQZL%H9HR-j^sG~w7YeIIS; zPbTDC_oTtruR0VGqu^0hxawpa!tko?NTZTaPR$F2Bxt4J#xfy-rx6T_nDl^Jg29AA|%HV)+x7TCIYVRk|b=G5Al^eFo2t0S+JVYmlO@eF=f>~>AC$QqyA<;Rj( zx#B+Ch8J@fV>%F8qO-ku!TLE;U@K_3aR`P<5YC}de=SBbxCc~-RD3vV-eXb5m@nWN zC@jMSA~`XC?ba2GMG~c;HAwqJ3~VC}UiTzuHBMs{AoU2`Q0jfD5d?*4LAsg;vP1`> zSjW)6+3bzhUzst7-VeKroh%AZ*Af04sa-{iRO<&3$1KQEI4abN7ajHDj9O*1K7?8O zAx^H_oioToL4DJBEqQfHm*~D3-%_JjyJAke>ubd5M3eLzGiR6pGLnoTK)Jm)jY?RQ z@KU72NjXTY4J*-IId-w9BQ${yDG(5{vDz9Kz$xGh>kNgcrU}VScuvocm{T1{Wh$U! z0#mq)?ASNPF+o_j>`8DAVP?gzAex0fmO>xhp;mM>fw{EtHxxCxMQ%?SO;e?Ps721P zULJyYyTd`4D+1w<)j8`NBIQT5Zta>}0c^~1#0QmbwCGk_1ke?+hZkZAk(O|`5HfLy>30p|Og^56(P9{Sf{4tOT z>VwuCX*H4lf-*%zII?cDK2mWt(Y&oE+iev=3`gWJ)A2mBvy;#;2J?rvAqqjq<2o!B zyM#I-J-uoOG=X%Qk)9RxwQF%u9>>JJ)xl8|PKm-viX)L@ZLNv~XB~<%Bd?SoZx%W(DL{okqor1lB5&6{@!XjI4!a-Dn;szn}4S7G7ZSG2XfSXJKJs`#%+DIl$Hz+tQ=dQH!? zoZ6pJnO5LpP;7V{7oZJGij=j8o#KLqsMWIHTozDy#*J;896Clx4~nE!vmMI{;T!#R zF2WPGo#OhPTJ!B(;w6MK9}zF(rB4a>?3FoI0NXUGUC4rgJ%|~vHs&S|^SZDT1d#%ru?C9-GinleB}t8ki0B>cEwul`6o z39}#J*KOHxF#%!?>y@d6wVT$jfF|+&Iu^Y01ZPHxYNCn}U7(9c>A-7su1RZNf26Ra z7o>8)z-;|?DX^Wwq4nx36p^RxK!34v%&bHyb}T}SvRIE54drFT8S!4zco{osxghBv zIyE-C!DW?vyCQxOHf=$yT?>VZ4*2MHBZa=u?OaYXVZpkPX?GN((c&@!qnfI|Ix{Zk z`9$xd!c5lgR#d1vJ;)+aaZ-F4DUOzuDAAv!ae{?;zqDV{ufUY2tg z=!JjTXIM01*do$W6+o;4{y=_Dn_Cpm zFIp`0S!!#c(1o0Q!u+^mg*~huSi!^0Eb$%FGzxaA)|tLWyOw*6F1V!k7G_hXqsg@g z@zDkBfCIA8s@Y;Tv-UMbhKRHcy!h5B#+enX{1fmo6TQpLazL2#N_T?O5>Z&~8>+^* zm0*8`3Z+--A4>f@v_^mB(YO$2qj9TpmPL zGp64^zxw(8>%YGGhf8j&)ikc{I980P00|XZK~K%nC>eFjNGaDw0!HK{RLau@EhlTk zf^UTbx6p;^g*G*3 zf~`zxT$DIlr)x5_Rl^d}d`2Y~#bku?(n(KqN1NsHpxhP3F?t%oXvL$PG@ly>r~ z@y<1rv`yS>Yuxn!0!|_qdi#LzvhvHOysYgvi~JpaC|@*8RZmvE7=gCrbg3x&H3f4x zw_U!Yin|A$nb~3Uh=HosC>_T2YJwF^qFs5bc@n1d728T|TtTu5ph6qVj-VeBrsysR zSBPmflwgb>IqN68R-hKU%^ES~+~a<>zvdY2+b=LY`?Uzkiw`e9{N)n^l!4mWj3?PX zWALb0yPa7gbGZcJ zxmF}gJce_Ua*zj*!szIs&U z^5ff&%s~*})a2l|RrFsRZlly2sClLXE?;LAR6Y`IW+8lwJspxLQ#05EXd3#Jz8pVt zWC3fX(sWUmyKh$4s45phbAkgC8V@OZu%sk&9zke591NGse(90 zSS4CYO0>SJmu)-t;~gXR-spI@G^^_DveT0DUwc8F#V2Y)oaW?lx;qmcAb*XRM$hV zDR?Q;n$H!F#5N0ZT zARl-^y5*9;r=MpwO;L4MYMa(pFPpkU5SuzRr%=-C$QF&LhNP5T?d(@YZG&e~!41{y zt}S(*;#}OkWRZAN4@Oxa5PR0+5+px&?Yp7aL=%SSji!3Zfs2?qDpG}#g=_nQ`B>>f z%%?}2P;s&K`MM|Hwv5sOq-LiJ#rhzoGjp5e4NeDI7z!siJ5b>*6rvYC545VRrdMH3 z#5K^`cr16L`uv;E$}OyOy|q1AU;pLxFE2m*@@U9EEg>%1CFQJL5p%OYlF%qi$5@VkKsd^U^{VCr~SVBah?ZqpDml~^ebNt z{L_|~y`pupu0yOXVD^)-^3og}rvM3}Bq#ytTTGi3$21Sz;AS7Dp659Z1?By`+K3fn zC@iEyTGOsnmcx#wo!`&>VaT36cC7fM*gb#u$3`q_XtWED%OQ_@%8cAOyc0ndEyJ1X z3LZwt&M7PFrv$9+>ek7vZAHSj(Lvag!u7+`eO9UAc0#}UV~>ZCA!^o_qxU)l*b&vd zrmi*=HCFm?vE4lsmz6}&OUv6{mG1=!&lp@rsYEPh2BL}LdRi8by((UpV+0yKio(93 z(ruds2(*;LBrf3nxrMcDBWfXl;m)zu;<=9B&_$r=)ah$+gx446%ar$6Tb%TRoYx}( zfysoA=Xu=`Rp07;{(AoGlN#B(G4lP-*9iG{FMfXYU+9h9RLB1LIy6y`uF0YXbQG=Y z(k*rEi}oNAFyk_}Qf3pBt2oSWbESw!Nn)afW7d+Yc2@3aqiFMLT#_OY(#Rab9Nb8X zeAkkkrJ40`qRuC0jKNgB%)zlnai~iv%|t6wVYYmVMu_(#a+E|aD8v%~r_2K(g!@;1 z{@G>m$-CmkhYHNhnIa8ct5+bpBqu$rVG|KkoKILxFe82xDvJUqxSGU?#&2hX#sK0f z{u}(|#Og1j`8g?fAJ<_feMxkrRt{^otWWcJn|ONjnp-*A0o)FuBu+h)emYGN%g_TD z+9(7VA>-QDb=<${=WVCAFs{1wXJ7p1_Uirn4=-PR@%pE~y?Xin^;^C6jnm984+8WG z{x*P;k2WtuWG5^uow~|b=gciJl^&~*lCq1Khu=jS0*6q?grpO#Wr9r$9JdXRV zKmn^bPpC|bTgS$!9%TpP64G5ROHx+_=F!vOu$VL#9!Bj`UCci)MoSalNSM|hJ}JH@ zvAr0h$g;45xM?~N)NOTHt-0((M852zuoC*zt9ZuB6`Ii}l*3hP#7musXjBpv>d9R9 zq8w|x?^Nbd8P%x8oIG|rn{|S4V<}ePcEnD9R2q9Zs-h6ROTCt1s(xRHV748ZpJKK-VkslUx{lQLN$EuiLE!yi=ssKdeJ!{r zS3vm#gBf-TzXuXYg)7-+Mim6kt*o;NxB)1ha1736X@dsO+V}{OE4~D52+`czabf_i zXdFf3wBLl7#j-__)74IOiFTj>s@F*KP{gg5Pgi1YPJv9lbHtxz=5+L+A-JwRa#p-h zWt=D+J1QmkTH#aE2E~j`V>!q~Lu+!QQ7j+|pzzTL{7O%%^wBM@0(0v_U!KDhXfQfg zts+5ig)EU*J)T$8Y+6QPPWO53*|Qse(Vt%Y`0C+wNB{fR4=>;Uf4&gie*an{|DW#l z_y7I&-TP0>6KT>b1*PVVQwsE;=p-z?Y^(4Y;&2#?8!Qs^PixUn737A@)Q__IJNotX zt8YHp;Hb+FRYpx~QnkXXto zhQ0?OjJ_*m2k!5cbk2evMHk+=F2atCzX%f4&Gp9V3~rt0u2{{bhS?FYH`}^0c=768 zqQp(nJOKhGKkL=>l^GGIjBCQf@I86xjr`1jCGBpm>I!PwMtsXxgNYgnQI!%tdiI3T zl@ZWnReV9h(X^vAjI}&92qI4<#oPk|+1u&cwo_1OkBk&v#p&)?GL3p?7x%q%c_8b` z(X$QOZNj96OAfmGFl){fdiIo2Bv))sJD$J?y(n~RY<otdC^6^X&6j93cRz|Ht$?14gZ5dz_z zHJVhW?G(s3xB?JDHl!Frol-Micg*}@fdqDVz zOW>sBlfwO1fBU(x{wUhqKgZ)>vw~2emPo*4K_&c=DEidC7IoAd)b;*bo^hD-Gd`Y= zJ(F)6w0;AWG`ZvgG0L+ll+Cm6Q&1d7u3c=H z8^yjkk@rE##mq)h2-Z*ue%(IWSI@s>?7w^TV1It~>aKgYKwywn9(|#E+?8#2Tu8LO zx^A#V8Crf~^K&oO41;e81@Z-Jm@+lXkyPWD;TQ-K3AKd#Z@!LAo_ziHUw!pYuU_7e zm0MH5|KhLiPf&Kw(KW)|X$NWDSup^52)oee5uy{Lj)?3VZ38>1@TiS;rUP`szfWLl zKjt3inG1nA3c$p)Qtncc*PzE<6IbnYm@e~d4|lnJ#A#hA-ORS%KmL=e)b82ezj*)d z#ZQ{Qckln17wMO4?sDTIKeRgij9TQrDbP5_@hke*L*b|6rQ_$YBiiRoag#r&Z_+a> zG;v#JTC{>#OW4u9rRBNy%VN51BA0+~N1wQ(w`dZkRTe%4ZrA$oE?4{1^N$W2|M5F4 zg39wQIt92-=G=q+6K zXXgyg%?&?|6_!zWCzLk0$5EyEm`?2NCbrKfn4l4BD0Ow8#|?NR~$%v%uhNYowf* z@?_;#E7}d(v$@a~j$@Pn#yt5w&j*c$W?l-4>qm97FL4!B8Qf0^&sgqc0e#BUd!dcu zSOrw~NtoRpwkKYTid@Zwh8H1^gL3D)uIewpdivy})0K}IiTUx>J4IsQzBeC!{NfFi z_2(Dw-@khIu<|sR$z<(aAKAjDwpJWsF&IE;3j|Az1|1N}5B@rPKDb{w?WQn52O{;g z#pg94{DRbpxgwP>gPZX{r+~%jX~%9o9lI9VY()96st8?ZZHb?eZ`}U;pm;)6*A!XrPR+ z{DGrPL*hxWiUvVj?M{yyO)@Cf#}fCMkRqyZSfw9_*ULmTccd?ULzy}E(b9?wbVrq` zbLU43I)Vn9(1qaEf@7Mf$SG`iM1w17OD82tNCjJ}Fs_yv!PvMhrBFDj)OIRA2pS7k z4aMr3^Msl=uC0D<`&txQFxM`6D%19U_>M-9#fn1AN2sPM$Y{#5!5D8+xYnxhIb5gz z+1hQTv^H1~Jn;_w#g4(GmS!-gAoFr_`26g<@4mdG|E^2E-?FLx7=|dCDsY>driKk~ zh}F3@3)PuZn^~~cS8J07IpF3pLejrFr!*`a7(zG|_v<>Jl(#8ZDjjS&(l?2vPm4Rw zMuDWdl7SV|@Ss0}*PI5sVoIA%+f82LY^fkX>?BgN*svpv8n87mZI|li>K2Vr&y%?+ zQH=OOYvysAzbv&bD_O&*I=p(kUjudrxzc+T#2YUe9!~fKf{!AcNx=`j)r){^Q53<1 zNxz0$5S5i^!3%q2iboNwnkbr4P%8Ztw=W`)T*Etdoha#!Vb(!efI+IO=e?Fy4^(L+ z+2%13=ePYTaIi@{*vr*Tis))8mg{(8*V zV|PS=_p2R?#uL7$@C}aw4W;;p0Ip(K(RLZFXFZ+k>k^PTnNvA2!V%y~{#e%a zvWU=-oRb!T(EqN7b-O>}B3yOM`>qYB)V<`~j@gKX9e9=r=xpR7JOt?Lnp##6z}FKa z>#ZXOb_&^b+QIYyri*VlkLFi!qwWI%Yz@JJQ1f(#?Xn%RD8-~RraAVODAKUX6IuZ9 z=s?j?rV3Q++=;4a7WaaBEuDRwN1%A85vUwx45uI%JP8ndg@4H=rf;~Vp`mr?Q!-}r zV(!N=qXjLZu<&tD^;3ZWx< zbe_xJ6HVQbBUDX9zVu@#n>mDV_BbtiD2>C~o!x0S=i3GQ-Bz*Y$g4IQb}%Ji`k;ss zcV~MzD?4V|v;I1?HnbRomaIQD5p6yxPG5L`O3k%_2R(tl25w%~l(g_hnBwAMZrds( z?oT^F{Q8?JR$fNQ<8a7p`q67vvN5|YF|Wj=vf>n?2+~#oiaPf+mX%@+0l!0V%U}8e zRM2R$#jbFjh=_PB+Vson>G$QjLM&aGAeWxE6`#$9+hOs-A0GKJi!@Ny+DT}HOOz0o6+uO-3CYV+kU}t=`C!0E-KvNhj*X39G8mb8AN%SZYFzrK z>PyRl^|9EZ_mr>(!gLKR>*|-`>6W{=yFb zxxan$_9wx{A8%gi*KgyM-8=VVo0eyu!*$_8@7z*}|FjHhy_c~Tj`i%Y`Qe+ty!mF4 z+-LSTzS;cc)tg@sBf0tJH?KbY{nd}J|Cz7upZn_PpS=nAhu_NGQOBD}+zVCHnXb2z zYb`k=05*$`9P>ff(}@FahIVW19O59fmz$HjnK{pun&3siQY^&p-ek(z6_>YtYp9^u z>kk}DLQ%p^(XY1aO~jpPF*!BUgz7%8T*P4vJ)pN@o2>{awIfp*h2LrvFE}tQ#tgkFqH64$xTGt1-s3Z* zwYD6E%Rv0&jtGV0)I%w79t!vvbSm?d`)9uDXyzYYy!-yqaqc~7`Za9{g3sHLQw@5) z(YJzPj;6n2N+is#m{xBqWS)KV-M1Grx-L3D{3sCo`o|wX{Pgy(um1M>Ik| zp8x9)CW3qx!Cv%U(PP*ww|AN*Pl*Fk4#C}?w?BLS-IMEJ>cdZe|LN_2b0+or{k!`s zi(gOAfdn*&T?vs^cEx0_^e$t=FmJgp1$UiwT*42^CIH1E46~QX)FM=N-J_x$zULsW zwpH!pMdY*CIp~=YNHyD9R#D;+D%Q1iNoE?j;N~_bE||(TU#;f^*MrMZ+!h{Q=h+{A zo!CY5`d;YL%-C{sYeN6;HXk+O2)QD{9Bp4H?402lRanU!LXuL{=^z|Qpq=m-(3KjSL$wFxcK z_p@JUZ(Dbfdqq-CYXxX`q+JD`l`F5$qX0Hvjahr$_cn72ki-}0c%e*Ttx$svyH%VA zQHIT{OY2GlQxUz``*w2CoA!OXf6vPo;hwKvXJRj2>Yrb{fBWu>zv&mov#a=w&xc8u&x(1;-9Tgtl7hA&oM3-&PLJE&@d z!d8K#HTkRiOv(3ZH&$R34iMPa?h~600%KJ3PEq)+pmM#m66r5utWq1-MOYCiY$L7Q zCycP9u*M)1x}_)%ag^z3CEaw!wsoZ{I7Jksa=PvSPe8E0FhywQk?0RyfYG>h+JI*R z2QX%GO6pNzHhOVLfh9Naa}tXdFA0czlpdniVN-w!Xch-+5lpvHfl&YM1`ciL_-koy z$zpdy+h#K1JjS335QFd|&FGC$Z7d#C%ZZ0Mb;njvx;0AlYI2%(G`Xrmm1zE|MYpWl z4UxN1u9k&v%VzIq{L;Vt%o)z_Pjrf+!bVg~1AFzL-&}dq#i5-wlvl*c1vf9%HPjmM zC}@TX>q$&Efd=s?4mOAYV3wstQ8+P()R}7Z^?TIDMgmcaMS+@|{mE`^u>ohm61S4! z=iMrlN5yVHuFfkZ7w^j5wqS4#LWhQ-| zc-FETI#*a6kuE~LI+fJg9JXmytO{a9>@012Qv?lj+GnlT$&NM~MeQ+$R@o30v|dA} z>;StF_ocp8gBF*QsuyH;HhS8k+saf_dj|grk<2iwEOzZ5-o|vgrUxQIPj3zUlQO~b&r&hx1vG=mu0hCuv zv>e`QW)@@|gZ^DVXa%QlZWEq;{nhg)pRe|DfA5#d1>`S*wAs5}g3Cx`y;N@}<*Q!8_ zfm$VfGyi<_OYYkkeu27mMk;epy5+iBPMPxcHQJK`*(f|I(M_5K+-Q|mnVt<(im|Pn zxztMzcH{R%pLzU;|D#N1HE6Ok2MeW$nNoQZ&?_dwfieM_^yP|gDD@p-<%R*dE&gsI zaTb^BZ5sdT>t~-YxWh~4+vDfYStEVR@S{szb|bvf1;Rv&&jp_npRWcebY~zutiURw zj>9evDil;sqQO{JV5yE};ELbjbzF9}Cinf&M|teN6wweh@)4h&(7i(8M3p^0#5gu8 z^k5*Q@7KR9XuM$d=udHDYp`k%GFn~TS;q@o%0NJe9- zt6Zx9Js~67@xU{B-{mT!z@u{x^8dpWsiliqIbH zn!r(AbIl9?tu-$W2hLj3MERN)LZB<8hKz<-(3|V0=-pk6DMcS;#F+Y#v23XH1!%cY zMP}%UJMQP|`R_VGe!Ek3_}Pi8RD#e0bS{@Q^0ssL6woz=P-9!ynKqv={H8+EXjb-HqO${2@TIp^pU5H2w5t-hH zC;R4~dorpBMN1Uw{BFG4H!tK-mgO=7rwwf5q`d9$C_2pBRq=(=RGT=uKrL1)DB-Py zry0fx8#nEW%?gZ7Fuqcb-D&Vs{2FKn(I~sET>!9l%5tMwl+U@G7b*)C4S#7;Y48G7+={@FBh{s!DcgNZUHD!5vwA)5z^Dn(qnX3D^KvcFH=lxq z)-9mHjtsWQyz#9L-FiDz}k>C`ZB6#A+JpMit!X1Jf$eIegBPSyL8o4MK|# z(Bt{De=;9lRd7KY3lEWvj;ReF0L@w$;$sUE8Jmw+OTX3&z1o}4%JX{B3lmWx>>RYh z7-b_gT5$|Ue@yZgG<)u^RIqHv1E@5`gRc1Aqt3iU<8R?DI2++Mpy#nI&H6Gb=1gtVOFhY z++FKZ5&<1?I||Ct8EwVPn%N^+d+aqdtzc~rt`#y>BVt#lu^n0|=FI48DOs}bwqRAd zD8t09uf|dRk29EG2bYaUZ^J1-=CELBIkx4xdK6N}LUs|CID}yot}4F8o7J%POZ(xd zedo|Wi5t-=uAqcCUlARz6lp1hhrVhcD$T*v^dC;I!Hs4}ZsmAJvCdBQ)We+Gg3fEf z__Jegq8AVdg&D)Mm7W`S%l2yFXt-%E)X(L`<`w} zRYfE+^Na9dZ!`D3&49MJ*m~B~q@tSN#)_oC;B4kxi?k;ty>rDW(bv1h|(Y*j~pP!I02K-cuEX zi9fRoho-;P3;eQ*-{CbcL8f1QN%$gt5GAn!Gt5Q>91g&$pvtnb86U$1hG1CnWF&Q= z5SBxvtOLV#pHy?_3f-h~3_F6I4g-^-G;kC`YN0UX5Z>Z%5jjP7)EZYF$$(NTRRgAP z*t>%!3hYk1*>WUjsps?eU)oX>aPhP~l8OB%2zeDuGq5oZi-e-2hL-aZ0d(M_dPkh$ z&ciO>GzLo|BgJKh)kIyj|3C#GSG?q?n0`k=Da8V5o+=yK&{H3|Z^>fult|HM04`pM zVA}z&Uup8wc7?tujIy*`o~-CZ;+#mno5Ak$o+d!xX3{LvDY+UvMv8R|eJbk4_QaBB z^X$+(3kJEfedASx$KnBOqW?EGyKiq z2NsZ~!|+IdGv;KcKn&|r=I4udzcTiXGKUDW+4Ig1vEmqsy)Gh3IRQ^FQiKh7N;W2^ zx-_={{7Ts9RaKF9JVFhUa4s`cU5Kd(z>8LyXNMqM12l_A!&1|9v0J>&rek82erDTE zz}_@P6krpiOXv?^FHDfhFyh+*A_GsB?Mu&g z?zPRhelbFTxX9t<01gXW=NNp%wYnjWP?3TKQyy`byb(bRxJ3f^V2#q%4{Yez$(aF6 z_3jVlB~>jo&sVT~3+>xk-_9ynH#$!WIz3V83Eu?E&+y(Rjaa9ODGHl0?VA{pg+<)~ ze_P%nO;2@9M4!v^YszJYEWryxD!dHS)Pj@4*}3_n(oRugq64)IGwTfy?slaFA|0K6 zZ`SV9d#}hBYYlJjGeTaOndf(SGn#lsSFL18^*9>DuV=H&373f3Eh&Z(sd#aVougck#ogRq}0?iP)ZJU=5S6 zJGE07^p|rY0v!LBB1UvbtUTc+DWiq`+_3*DrRarX?|Y}#tOK{DsR9BpMjzoqx5mCe z-YPV_0<|q2&xSXZZl3<$BdcG1`c&MybDJ}iIeCM_QXfZ$GOP(KRbJ(KWZq#5MbWbZ z=OM(z(@SfC{jkOZeXe+sHSo%mE#)$%vP15EHNzu&AcFy#Q-Dli6K}3lh|4V>mAOjQ zif^ZCiboG0KYg-<7+<~yn)~t9UtYYqsf2v_^UL$s4}QA%`OUj`SRXH6JowYapD*6s z++Je;Wi}{@-B86g>}(6~V896r!0nozb*uE#>I4)*GB#;aINTFc$>{%c28Rc?mp{@&0Z_B_tsfAb?l?8gJgdKro!_*^IeAb7e$C8&;+matIi zB;YJqM5p9Aa1TryuHLG>!)f5uS9EqSg5g-#tcL@nDvUjVAZ4r-dI z+Dl^1D+OjVHEOU>1^B|UW;E>lxtW1`)5zrvJparL5Cm&qIyPZX0AEu133%ib0HgI- zUpZKw(X^vzVN$We0`n9sD76_|wd+{qf@Sppmq7k_%;a-)?Am2_Kw<&r08P&dKci`0 zhUBsXAX7(-rwMoyl{vcf=uHyHRMKw6Q^;M^D33-+gthBSK^EYVz}9?Dkz8Jdn88ZH z4+K$w$_w~$^8<4?5O+jlGI`+co<#Q8n;WIAR!1cbYmni1paIB2e+@^y2l5!o($N72 z$a;YPC~@dPsg{ib76$BhI@TXMe@L$e7B59c>zv$)wCfIno~4e4vL%~Ee!bc#i$LQd z%KN0`CWr$2ur>X=#I1?Ex9|3HBESC3M6O0!LqAm}{H|FREU|(CHt7n%!Jdkhsht>h)(HYz zQAeC+0zg_d#-Mq;gAWrd07cvwoi;mlII2M-^+lj;vue@%#E!0(E}y)`4;T@DBuX0f z4otdHOr_HZe(;i4HJT+`#Jt!QSO{-)PEwKHDtxZ(7A&f<-ODt$`2?0qmu0qyDF%xY+XGk=wjUxI3u&+ksaQY*V#ih>Y8BFP0_4UD@E!}o$fDyKCua`i zDv>)67UpCx3JWpty0o&#CTYM<3BL~;MsA%aaXbJ_#Qu{fstOYYXhvNx3i04K4=PM} z5RTw7y^%2}efF}k6Cn4a@Z?4}i6m1&7AqJ7ZA#fjfnDrvViPzUX*7r$vMkoB^BdmO zOlsXN`Bt;zcOKx46Fg8vL{@|iy4VL^>m|)B3*HzsLbdDLx}D`(_tXWZFr)P`uA*(s z{h%4o(o_O`8#EI`=$VL2Mj%a551IEBiqaiOT$IYPp)D_@dSFZ$O}%35oN_LkcZvl9 ze@<1n1{5nEEi3r2%%)oEGxT4_11K)4;CPXfgv8QNHR(B#5W1DR%gpI7CAK$*cdzp^@Q=+bFLfB4NIBoyIO&v@xb`-$FMic?%}U2yQiO7b{J93 zI)zR$iT%Q^%z|yt+*VaOxj0BB2;Roh(9ZKbLt}$(5i=b?j0LS@=m>n!79=T4Qw3mr zOunmq7lXokMIu!Ru7U0muQuHQ^oC6l3`Z*<;fC-hrU!s5G?AjoR2JB#I$&Gu_$Ep- z$BIl;H@aNzk+>#Mv$tSWL(4F;LG)Kw2xyBAf%<8;UV#z!( z9tb!v<}^+s7Zi#aC66bi6*`Zg2bNbpTGf283W(Te&NXk~UM8B12%zX~!CA!IU^{lP zXP$#0sUR_QRwp6%Cf}%?F~Q0aUI34sOO|>cT_#WIDx?|Y2@m`M01tpeJp;`<{V_+S z{3{++VgY{mX3UunU?)^Al-Ku}^TM2|LWH@g>u8c=vX)*^?zo5)i~nX(HOk$Xqj<$2N8 zfyuk!@Khuop*@QbEf*FAc15ZCsKSCXUGLo|PwpovPYAXX>x;29WykQ^5DF_YBn7G_ zV2%z55z?~M{P5&U?0=$yH^nUISM&j~=7=piR0s0;|;J~r0)SwPl#Gf4NA$b}zXjI$^2&mJxn zn>%AyDXgavrw$AeJ<3dS2VGZmqA0ix%QG*tWSG8S6cfQ_l2|cuvxxQkpgkc%VA^dp zfCU41!IwsNX_XwE;edpdrq>Q;z%+!=fzZBD;~2k-Jx_@C75|eQSO3x(s#;(TA>!9T z9YleEplLI<8!gykfy`j(Y&QmrEOebf?AS9JG0*ax63IbH#Y=q?s9jDw*l{ydU*HpK zs6JtIYn5R+Q45XW01U-D3MFBfknA!-bcnu{Pz2bm+*#EtHKGA!Wr zVTOyK!hnrnrm6VK4uT=2D}5)vTEYXa$@Dg~J+^ zBqgEx-SCd5My8ABB;{^V&hc8_*0%dIX9_> zOs|EO7Ar?$_xP6KN@JG*Yv=@+4<>=GGA@}sY}^DTCV`o$-B%TUJ^igHgNT)x*{~N2 z{=mfsc%laNa;Zx+7;-k=O^TH$JGF|yJ$7o5d4O;vnjL6{Is|R!QXnhvO|y}-Nh5d) zw5l6pMdqMIlry`K$SyFCh3eeV?$nwSDjuHA`bK zPt{TZt@5T214<3gg1zP0)LQ|F046ft0|^#`mPOjwic)5#t3jAR7-eY(0vuZeMO#SV zkqATa%FqaaP|O@*<^+1YnL@?;f`JnVDLnvRElP*~3p|kks9-xYVn}A|Fu)!4 zd77mgz+C0u8>Qq$qs87tTH)AOY-uGt)`5(4S{aHBT?vcUN4lbf$pgvZCGbCj=gnk) z0XMJ7{)Db^vhg}Rv%~m@Y5R;6IPVFFFA37~L08#OC<1BO=PzcXTo@3MmmBYiu?^Yu ziEJmz%qkMQZoKxgg zud6CFzUr&GEh5O8goI}lUET5W4)4tFJ}ko|7`>=E3*2@fkmI$fFN?i|#~=GxnyI{y z1aSksD=sv!+AjUv;iFeKV&4X#8U zO|ZxUUu0X0%+$KUyviytHSFlcdrn^WBK6tcux59}r$Fo5DUa*L_V|9?$J1i_grsq? ziAi3_dv@eKYO_-;f&DDl@y^A9<(*Yz?P=i^1NcZNGGh8np)fp4uQ78mb0J3Boh_kW z!~x!>6rN>qq}bgSOX^-|3n;GGmIT%iv89pr(~ghFoM`MS}IBL#$6x(+~%--6K?+jU7kGhLa*| zZD_7Ax%5ieUP@U F*rMD28Q4AhMQ{W%OE- zOkpkqsZf83&bkP5*h^`X6+8y04b(u=$x+E=*Zgmqh92j{Hwr1@gL6YXS_V^Co!i zT3DO#{gq7uv&L*myDQ59(nj{SQ!OsCotX;E1yQzxHWC3fKnnosoyAZJpHFjbN^4I) z>4ZZ3LpNK*>b~fu9>~oYC_`grcvbpy3hHmp85RgkCfB$0dl6PI}c3LY~CBj-Xg;s2++D9DQ#wW>Iy_QI9-40 z$#V1QuYBzCo5$kKfFC;>k$FYKX6F_=g?EsfdR@>S!J9?Pf=)n{Jue#`84wQt9+$pc zZG2jrtLA@WfgMxue9(#I`3iQ{8WpvH1iR{L`I#jmqRUq5~L{I*oU->JX9 zd3N>x{K5{R^LHOE-o5Cy6Ccn%<62+E6Z|#aE+{)NLaC*i3e%j@U$s+TEtbh5Vb}gLhbdftn)K$dE&fk zGbO!|r9obFWq-(IiIf9NR5Q~97O@st8`HffihP!UZA_+5bdW(O8;dBgOA)dR_6LR^ z*^T8nx$nama7e(XVXfT2Y_PFazZ|qj422R_F_x6UpYl#eEtWdi-nd7Ds61?r>* z3oTlkVVWDroZP`atHsN9B68wH9_$VpK?y~vB%}&;sBW>pha}NxhVD+(h|ct6=9!}G zU0gr=YUp_N7cQ^g^zeYeG=d5lm~m+WYF3c3wob=6?d+#0`ta+oA1+yi|MdKUm*@ZK z3sd#S{nZ=RL43ZI-O>WZFvJmRYfw=jFeHnL83HmkJk_HzhG5GvV25LGMz+bGrIHFmv=5dAuGsptjitNj z<*6lK@zK4u441F?_&2;F8%i~$I()&W2jwbaO7}_AYaz4NucbV-WZyKEeKUr+(2r*X z$_J^PCmtprKpm4ML^VqcB~d$bh*Cvxe-OC&iu|)gO}14ZCk{EV;`LbOunKg$Sjvc@fzIM1Pq$0I@Dr zL;_KYQGl*{j4&bMmH-;K$sc-BbES=h8TtJ09ZnBHbvp_KjMbldRAp6VfR`HZ4 z-FFH+E9Z$9t_J2Li~Vt^`u5F-cYnFg&JXmeXJ}Q^dL8S4II?FWW?oIlfxp(uWwL!L zfEHDFHoqbER34XNy|AW~%~K$7;MIy{y%{kR6o&ri0L+zlCXP~H?5+jCGl`|?ThZXE zQ{)2p7#+L*nC8Ni$YZo$#y8sms0;Wgq6{T!iy*2rSdRq~q-+MlCi|%s*gqns0Adw_ zMA5LQ5PXVfE&?NF3{N|p=NlAuC~3)}rkqu$^#@zFSkPwhouzkISg~gf0v@QPY1X%% zX+lF!n$7#G0e-ydzwUy#eE$07i(h`e8{0^iGyR3Y4=3yDS%!?X6OP0;%{(|L;ShC5 zD-Pj72&meOWZ}1l7|~cL&TGtB3wG12atDE5y9+5@A#oy&mLOL@c$Y>|SRAQh0~*Kj zLq2tfzIpOhp7s3Rr{y_hr!-;@)QQE~N65o7724N`b%D$NSGHz0FhWt3B%okdGCi3P z(P*rw)72+Fd;HDWXVse9#ryB^2%q>;(J!4P8KAeIRl9aZE0(Q}AT6aAkkGL|wcHcC zi)f!A7@%fT20-7Zi7ZO>!;s{hhQcM|zz!RG9RRp(QZZ3rKIoi>_ZY2uEX7@cK7(YG zN~=L2elQq4+sL=D{!wE+r(Q%`X0NlkSF;03Zv z>3SfmA!IU!GP;Q;^C}N<%GZWgvSw>6YIjCXAcQJSsXFh7`OcbJWp^g)SWIljWA#y( z#P$htham>d*Z=^V(?RG6!dfI!anl&T6v;jUzES$+yA`4Y%VJeT0%FK@N5rJl8n(2Y zmt*$y*|U4IG}!0L(f85`0V>s~e}vRqDXCLIvf3miuRP3%l|r8sLre zttiZC;2|YmUPnjw5{@}tTRIgvj**!VOZ7w0ZYxsYq5&`1jncj^=Krw0t zIW^6i^HM(Vb@D!i{@e>!off8;*sQ~i%>a`&mceVP!Ljx)j94R$A!BCmzthQ50%;js zNET;g7XQ(ZoTPbnT}11%WE7lvUgZ8IW_+IBJd0 zAfj=sr$^4PZT&DtEgVSA;sD3od$3qgG(^Sz$FGzbY>1t{pam4!H8po9@mydpcx+1D z)?nCYbEunD^7Q%L;d{d2d-A2>1L`n4ZP)|#U_;*b0|PSiZt>f}C3T+;$tV~*tg&DO z)Z9s<0}O9;xdLlFsb{!uS**P5OQNzliS7x7(`1_6OR zgOlYj^tR$%`3yI2_4VDidd#0?9^+um zvpB5-O<4q93sfPHc=Q;SW~oyc_D1mgXk#DvePLkrRAls_d3r{DSW1zCBlnHnExe_~ zK9UIUHCTtK3vNF&i@}H0A!F+xj<%Z@e0KK*AAQd^eDwX7UJ>gXAA^Ci$0}S$m^LhE zX?XtQz=F4m2iua?nW5}5VYIyzeE!Yw@P)+~l~(tm$b;M4R!^C;mcaLxElHNUmNrn0 z8QBTbPVI?}UhllM0(=Y#6nv+K9M52}t!x4>XI;C=q91fDqcnx3%`Q6=#MatSTq2I5 ziDGv-44PHD1{4t@Fhi|CY6c{rvM2ItjGiocx${>bh?xCbcyj5+T)h41g56E8?v%MB zQ6oZYL5D$8fgxy7Zb0@m!AwMsFGPpny@n3k$)%gmdwTtOkACy#VWHzQS&*TK$7%6r zNxKdJV@mcSF-5vv2i~;dUw~hY-g%3i7R9|jLVEqni&qx_PUkOP{_xfJFJJ#a$*dc?{nKp~>Q@)%f4ZglmzMhl=yi3# zZhN3+9EfIK7D&;h=tbR!OKlmgC)RX%7XNyrCE_5;MIo`x3QpdeK@<(X@i!zi?4!zXguF{%vG6UD*m32h=8K$GG+eK!!A(oMNEmv>$jg{PHzc z$Hl*WxOn~k<(rR8M1H~wdVlfYzy5S_{)uss^sF@YAgYZxoDwR$z@(Qab{n-gDGz@6 z6wjYNW*C3;@E@M}2e03}{!G{PAM48(7Y}}XcmDo8O#*%aPRA4d^74Ac_-30N;Ch3= zdICgD8C|B@DlgfAYF(EZIUPaSGqMDa9EQiMC))?p3M(KFL9-Q9I zvQ4EeR4md1F&!YrAlSge!#0-xAe7ON%7F29mJLcSBs*!0y?Zttvy2dY2Y@)ThPL0l zJ>%EfEN|}Gfd9&CV^E6*db5YXc2NfpV!^cmpuh$iA{>(tkvk|+P_qbZEOv%fA2ya| zb~ffxl$Q75JlUSTvYdeZNS?i8o(?D;`$f1yL*F`ShnF@M;EExs3vD>W^cspHR3uf_ z&reILn*)8^`;g9qrc>-A7vx)vnUJ7^ZJitoE=$1weHKc|tLkF+qTpjKd!Icb4$(t2 z)bJN^lVA{o)qvgsE7F$TWW=+!Px%jj4SasuRUIff{n5By>q|QAVS!sU*EH#n*EpokAqzh9g|9DJ(-g# zZQUixHehLi!?89sGR&Js<6OsnjRnM&=lLu>8M{yWfzU>e$&G?dreQ79^}w^%#%jUB z$AQ5@MgWCiyCt$&cWmk?zhQxXQIKJ4>pM)mgPfJ%Xc_nhrLDQMha9ap83fE@`!jH z0l6kmmjHUPi+TTySg3t$D(ejy&){pTbgp8+icE-~lMdr8f`d8AU`*akd!=htB5>_d z-9Xh%;#c>qJ3Y88YaFn%WL9h{=h#MFqNmjZFUrW4szEY7u#rpw)TJ{7Z$t~oYo1`@ zo`-WOSymFaB&j4tOTZ~>D7sV95DSm8KWQtPO>5l|6b4?YMBn4Va|0V!L7*LU`=Hz= z-l9b$l}`AdQcRo1E~7qbAHtI&L>kKDV`yd3=^#pHPf2M|HE4(3mMI7}k4_+PI)X~5 zgXg1MbFoR?6z6BPSr#CUfaQwuPJ_)kH92muLLr)sHM)dnopzRZ2ygJJwqi&C8kXZc zAfMem7F!QD3+&!1#H$5%_Nxm_tW$?hj9Fyt+o&eBT#*N6ab;Uv{3`(bDf@i^9SJSVm94E0(0lJaC@Yh~N3;|Ks(mw!p z*sxW336%^+b(ho_@E*V3sC5``J5y|S2`g#`aAKc>Or}$MO1Moony9$MtzKELh*i!` z8CLz!ted@D9Vp)swz5HeviP{AJvM}S7DjnWR&n3#j2A<OiyJ^sv_Ygv|WC{RadEr#N?{pa$qtelUCNQjhz;n3b41Pf9br1*eJ`piM zV;#aD5PhHq#DVAxii7;$^G=f;ys}rJZKV!MGq}p?HtO}@&u$_~_RK3c-U!mfk={)q zX$p;Hg2>AH{EZE$4GGZjR@L1ak$caB)`&d%Eh`R`f4HvMDHd2Eh9HOyQHGlqbcvTU z6!hHFoS1nkS0g7?!UuZS^MV>&H+6uHeKoWA9r%2KLdnkV3gF=?OKu%i1@^?aQEAt? zAyu*4+er*!WW5it3RV+=D?&G+LwX6I-6qm1X4}k>(2cHrUgPSRY#fxVW*KR5@o=s$GYKLku)cO8#QVNU5g~0QNXe# zwoRfgj61NHRhhwBId>#7AO!5DeKP~hy|!kL0zx-v`Hr{RG0WOKH+gbLm7*m|w**PM*Q4$P9GW#>Sh7YUXi*IjWOAqX7_#rWUBmMC+bq^iWjhZP zI6jz6Zg!ga;Ad%Z!j=*M1OP}`;=xE#R!IaMuZpq?5pgVM-n}_bb(OHxqcC2R*G1Kt zgK|7OjeDD>FPq{8^j5G6y#*7W2w;sW8zb#00^+lxsE)C-nW*B~oZ>CDr&&NU5gDd# zAZx%|UXz0(3WKI%C9iJNft^p&AsW@v`T2S~KDxKS?`k{#h5&^P2FSy^N`#V0kMjt2 zC?kSB<`oOTs~QI&hv#`p;7&stnn2#0jD=R77=ySk}y&5HVCVj&w)F1sOO4i@}_ z3&g6t1`6MAhGkt)J^zPqeml@Mb6UJUM;+LeJ-S%(^d1MaWo*K3HS{hEQX?goet=dK zWi*SLfs7D!czi%4-5r*9GTzbc;9&LW4&ZEPmk7p2o0Eza#2`ge(#@i+cR{O7-X zds_4WGawQG$VJ+rAPY8)aP`11FG1`IzlYr{W?u>I8AAg@b^|V(HOFb5hCX zUnGZ#xIK5_YjKh}%}y`??b&gz=-z6u@7h4t-;c@$zq;qdl1^GJv8mY~w3gq29YmWY z(wWbA-t}QAF2CfG-Ih}+S>@7B;t52#MDYe+sFH`7Dw`#M6y?!}Iw%W$7 z0qpAh=gG5gR)xaF&*wk>`0AGrFJG@)!e8E=zdYwj4~FaC-dvyQVE5Th#s8Lg^^eaD zr4@?TN>;d106P>r0sDAoj41}R#+UKZ+wv;TAfJ*w0c<3*Jlah*7;;ksSPkHe&23$_ z3|2olgK3@}?M8N?_nde34bcy$b&IFXX0V&0ChQ6@1I=z`I%>+DwcZI7ayI0bfo)3> zJN80%Z`XN4n_xn`lIB97ETmk8W){pB_!WbW;N`oIZf5pN3n%(yS39t5sFDtq;25i( z-vPDw4c|*6fu$2TY}V6JC#(bNfTYsyudu)fOu9^-pk%fe;_n<=M>n8~c+lCad%?#0 zxfi_i4pL|_8SkNKVRu@4#55z<5*otdX5{D^{^^LOtxAbji1<=j1Cei%-QR05@ z0?$BN2SR7elDhO>YV!5-p9g(jR%jZmjVw9}{bG21`R}hrJ@JpG6<%ql# zDmA6b6OXhym@4;cRBYO@qbvG?fgVRij{jliDC=AOiO`4C@QAqzG8i`SB3O{dd5i5@Wl@_kY`$R(ZmXzb2x_6=@2sEH z4ZuhhR$5b;ObEk5)l4Z9?67@H?EEbtO+2EcXCECOCA+{&-b)7;%{vbvC&QLhS#U4+ zva>7(>rge?98xk5u+q{Hf*wsvz`_8uHTpdwc4xVc+OU|bnk|l_CDKQYpFj4L<1VU0 zYRqKHJ0bzXZdeVt2p?J94DC7z|LM(p{O9_r92UT&|&65c9Gl1cC}r zDk!s;S|GCEZEF+z(M$5kPrG$JHQL4^O{Gn+k&)ebO#Kr%OG=Fx<|rhpk-+4^)_QM~ zWH<^)1wPRihBg8Sh7L%ds$uMCsID|$3=95y0H&v~OC%^kzD!GG9f1mj+2@FN+S1aJ z@)Si?R8^-uV!_XYX;K!zW7l;ArYyiN@U~5W0$O~LRni-aT3L?WfjttX)dbB7DI@L$ z6_*to*X=5e11r0{B7FS($-1`vq@?KY-ah~P2Y>vh^S9^g=H%tOm+x=gBHcEWLFh#I zLA1qWQ!^AXr4PT3dIV@g5#3j6nX^>Ab&5t}fYo5)*^W_$QC;K5lhyX}7Vz=cPggqu zkS94JCGF6IX;5Y7?u4^QTGfx_> zg^Z8E_Xo>=H6Z=FrbZ=}A-}qTr)q@tFnH?g_^ro~>%uG_tcVLV5!G#Cn6qvbgsLFd z0|}@Md?QzH9A=Ap<~)qWmQd8yT7?>zGr9%@z+_M(F#E9X*8WKhP~$hv|10f*2|GwgvHtrcLHC7GaRNYMfVjgP}` z$?{-f&(5Snoen2t~ZEUYLYLmOl_LBOdxbo01Z^=>CQ)2~1z-@JHv{^7P)-=|Mb z*9#T7$HwxlVcQ4rUr-6pCaK60SRTd89PGhJ?^XPt%ucb&B`8*N)Cv?rLkQ3Vu&zRL zbjyahj=-p@U|D?S!A*-%Vf(6<{00i!*}1@WeFT4iIt6@6BRvp!$v$-aBrQ;=anb-8 z!CcuB+1H92yQlewU<43+Dwdx%qXrx9mL!wF$6rCBhYufq!n{{km0|1=QTQ7OZAxO5d zu}P`*D3I-Pk8DyaY%^}ITamFcLK&sm;6dOq(|#)m)Vu zr}*~Z;jg_3l^%3stEdNewu;^qYVuU$z?AG8bFF6Wn6wTH8_XSV8wwRssn;iY z)KXY{k13a3S9WX;i$!Kt-Sj$NQYDY5vMjtE+O?fF30gAZA4~|4q~@6oGGCq!xfBUc zVcTum0WGi%vh~Tn>C>n^`R3`ewEWy2A4}%^wov5zi?_&BH;$tZ^vhR|xr1mL%*GMK zM_#Sd+l{J!p4PXtZ`-j-*e#1umRcjR1-8?I?N$JTUICaHmF4QP#JhJpqpoQzYQTfJ z(1Fw_gx{=CG|NCd!OL#B-Mq%L8~FC_FRwn{^uOa(Bw|5RY(Q-+!9j5K zyNN{L?96E?<2w~q4)HJ8gHk#PEYc0*LffyN`O)Kh+c)fa8}9*`zB(P>+KX2&U$A@V z?fHZAcR#=U5&7rx1oI|%+U|sH6r0XwQi%h3P-P{|LHVwuT8i3}4CH|kY*Y9tni>`>NN@vYrxiS%!358l4~@By=QJJVjh@Z2dq zNaG6Q2F*2)>g&hPP}4Wh3k~y9ZpZp3;_mZduQD}V4OV&|4t!(31}+B zVRl73A8{O>r;G<>FX%4PT9K)3JLVePH8|D_6mYZx{4Mco+yPh@s6I6%MGbXdy7E8= zpf*KBliBQ!TvR*_>`LQtogad2!KHT!vydzxVqrmnN|_E28F;8w3^Fs76$FAUr77gj z8f5PGJSWe@0fN$O3FAYvyPYR`^tQ!%RBR7KJl<*dnAvrPh?G<>#n^6y#V-~)88HvB zr`5=_yB5W|NCiaS2iCxb7*j`|wq!MVIim&pJz1)ekruEq0)54KaYpk2B4V>z096-d z)4*IMifBPtC^wFE)u=k&xGx$l2TH00R+xBR3YdUdV4dZ@YZ~(6F+ojaigY|eXz-<$ z66g+H%%K(jlRRrw$ZJ}2^!HwNv~IiC;M*;O?*bfGFjqjvmq6v&*U#?P2wztq2pm7Y zd-LG?^A|5)y?RMU(!JQU`8Lqi-Z3Hvv|QWXuFI(mVCX z>18=5u}+}l5ICX@2`C=xMrB~BF(U<(uthXif$53CL(SYGpS<6~wD&(I`49qiDN zbka?*Q1G9JnPG~}8tQ?$gt5o_Dnc4IPj~>O>5-FK>Rdk{$GIK}zaoMtlV0=`w&}D_ zv+jBVL$e@lVl{Pk5rVhknGcmBtFaZZA4`q>q~9u~NR{2hA&#sp@-I;8&Lcg1BS2}5 zv~j+k&HFXee{D7!CWKymEJi{yPna3-9)nP&(?MqlR!cM?Bx~PjO~RKon3_W@b@XLlcC>DtqtIZ1u8u5d0xiHV8>F!+ zm4px6e8PO;I}6@y?d$64EK46%dOZ&s=8Bq;J$TF%JQXIXiRCb`8ylLuWDzKz*VAC@ zGMzmA=6-3XL$*`@%yIte)x}>f9{h0e?q~e{doYVPKi{MnO|dU(11j(xnnpTFtzrZs zV&!K)IOWP3VhBgOH!<=IDMXcZ*Z~(=33OuCHfmQR|nXF}N(!_|J7kDZ! zX~UcYZ!`rJ3rlaaJ5YAV-fhan0DB`$Pq7=j=dFnv@dtiSs&l1rp%8ol26y1866sbH zmF|g>J%J8BtP`NiZQc}JRn^S|+`Af26Y%U;CqTMPZ71Xbp43lc+2%Q^I)nt{s1nmH z4JSa|D5p-p?|^_vhqhIvBv>Rez@(|FFKA$+fYcDzB=2fE(vHMHQek>kV^Td!^O9$? z!%*x6Kgcc#(1lE)F2#;7n`fLBD;5t_ZS9%YXFS-Uv)a-y5;XU~chW(FTFCfzJm-~s zpy350aDG4{Vhxq1<4mba2!*AwktVTG4_OZ@ORWofCRBXW;N=QMeANq9gyF#YC+L892AstUQ=<|Ys7E--rNnHC3t7*%uMw11oAIO@>1!6%)%DRYItkQ z@=q2J;79t#1~JeKusiDIvy7d|sjTWG)3IB00<%6CowP{~86(PEkmkVZw^B4)&Jm&z z#Wd0hmWDylYI%Oyt$U-et$@&YD;zIusZV z(5KHK7XTrb>1P*dutp#YI6{F@GHp62XnuvnKR0c7`` zj{b)zy~x^k zz+j(AOjwI>AeT}~f8DoU{vIU*H_VXzy5egdAe1I$H4B#Zez}KFW0U3a3Nf&m+6=a`h*@I5jWb(wX-l zq=E7pjh45;gA!9=ngaYZ4X?0|c)6)H&m$aC zh;&9p{7D;|L_Cjk>$C(%mlAwM!MSs~Wh8(;b z*OF0T6%ru0-n{bt%=5li=3(D4cGjbfU28zuw#wev;RO=a(p6F4lPBM-V(f3Wc-asP4GI_qFm~PmgoVAt(6?&Y>b=Wckpy*F1>crulwDCx4j=)~ zWs0Tmw=5DF6~M``1&0dpd*P*&%&QIvu`KeGuH@tB0RBNSadkv(>{v$>XLfAZw()nxRk;DkAU_44)mH$Q%&IN|4BcQQlZEX#GAclKK( z?5kwKtC0x{5}Y%pT$On}`{wL^?V%pVqaWWse12^W)xUc6m!IGM^yb66H*c>V;=v=% z9fnrgJS=(ivfN^06&sq(>a}pTFn+O6CJJ$Ni}>W>{YSt1Uw)uIzLII6|ayk>P68LX_VcZyR;I=T`Er#(v=8iz#3A(M{^ zP&6&}l)st}JmG4Oz2IZL`L}gTc>dzWPv`I6{QQ>}7w;duxOjK*=a=tao?krpS1{tM zM%f2(neG5#A}H_K!` zM&J&V@?@|j?8HN6N|CQNt;$uU*zm5$=vYGls8TI(zZvR1tEmv-d#oa-FsL)*kF4<|!=b9;?-9s@|<_ zW|jj}6@_Uuj0QtW`2eTEKiBWLTCeU`KYL8Qoww(I`6TvWbF)t;=v8h*bPI4gBLyE* zW?De1qWan3kfxo^ezbW|f_26gBpXTW`XN@)_aFXz@$S|6+qbv6!T<5oVCXMizrOeZ z&+~abHl#NMfYuNf+W=E5JdhPEU80P62&bD*R@a)`E1jfrqd7#D1>CuM@CL>bI_KB`+Xv!+U%6483HwPmO%Iz)s-P=C2?I304g3fpidnL; zL6#kyZKtpvHsWS;rWk6)@5d*Bl<;oNGX1c{$bnGX#M2f*^of>L=V1rP;r%1iZ{SMi6; z;5c~rGK>X_9j4??RR|u9VBg>)S-^rZpq6z~6l(4);Kol|pr3;>Mw-Ey$4!IAZ2)k? zhPv^#pMQ}HeFfL3BL`!w>5G_or=JNtd8C&u*a^Sc;w$*{x{=Fr2(z=925<%6LhnI9 z1fGh;iv94Rpcn_n6_o0?7i%kg|}71O_Hmv||tJfG=vCRNz8S8n^`1Qb=KjXUKei9=&j0Jli}la^ z!uRJVZH?<3N&jdGPyh7k=%*+q&(u4#2^e8CdaNs7lQi zkI7m?%%Gz&nCD#+k2H6aoQ*NfZMq$V%23+fO|VqVRieq$GA1-0P#hb&5WIUfzJe!u zN~Q3sq;L4GUD0A5d?b0=cwyB$M6=X^y|6d~{t}3OzkbX6L2Y|oPp8&5rDpFg0H&`g z5KT-}&Sp1Fu1;QxV*!=;tQ1cJCksur0Ib9N$T<#PEO%EAvt>8H1}a{g(mN7KA21H< zys>-Wa0uQFt9EpYxwK>rtlp@4KlbW3>nr9Gu~w{+2(nZ{jYqk8!28uWf8_xU z>!t)Y`d)k}L)t+gi2!1eSbD?XlK5xEF3H+Qsq)sa+}i;DYoF=~TbYCKKjuq=@aY(A z_YcD3+dA2wDT}=S5kB*xqq2VtIK1x*9%U>IAP`Uz7G77fYC%^Z8dMC#T$xnrv+VKM zK^W=ti)f6z(E^pJyE*_(;tr~uvG5(fttYw??!8Zo$SM15cn({Eax)7d#V!a{a&edp z%GCjO99xe%d7f~$nW^8!#?e#}UFGQEIChK3;EuZY5{(A3u*CpZ7hd!9tx|!Inj`~B z+|ZeN6gw%lX(r#Hb)=I~V)0;;MjhT^Lr3tBJ;+TR%Bj5@P3PyFd3x!3LC|H@LYuN3 z$?ST7?%AFP`4gT8KxFrG{AW1i$99rr(Q6? zdDG=&{SBtq^GrU|jLBupG1bRC|93l(-?dVj6?J#phq9*)liHi%et5l+4EhBi=Z;aZeXmL-g(Fobc}NX87G;7iN++eI47~Y ziH)(mK~l*XR@{#%5e+uFi}o-B2)C$*vuTxIq>RMmMQp&m9`gku!Z`ghdq}){mISFgP&w=OYp-F-%Th0d2e%=uGcK698-H2mRm8k1^P)X2 zwjSV#XT#x+kN~m>#*EjdA%m$b`x=4r1;TjGvM=oUaas1&x?x#-UlREhyfh&bQ8a>e zU&!MWYX*xzO2;}UC0rDVZA1uBd8zq=b>vgk7lIG3peIspqH$9O;49{`>dTy}zG!ua z$V$U&@E$>Z9nn_R7ptl|tG-qT!%fu}NE)7+8V9TDOJED!RDH2X7!QpgB z*jV*7PHrKmsxK_Z!hT&=v$5(cXe`>Rs;|DPzNjb4$}iCI&sKenRrO^Hc8!w+bgI>> zT8GC^?yvj`_0OkT(s1$e{ZBtH;o+N}oJSA7IvvJS6ryH9FCrumE|FA6GzSaiCmr9z zn3rOJvz*5mj%j8grmOv@5LMN_O;ufB2nR2)(qD)DSj?Xt8?z3`jp-P(U^b zwK-ZHhY@E7*DUnW3S!yL(c+E#g`K;lOR4WQdsPrsmD?0tc zsLX`n5@I5Pu~@DHhkykXO$#cXHf{U3e%ocHaP}Lgok}cV)}G}f2>#)ihEB)eK!#pR zF-fVt1_ZFWr$HA)-~;*~X^;X*>6yVQ1m5e6q}Ui$`F+aq$Z|A^#D~uayvqW{qEdUv zyG%C^`+NyO{Kkhhz<S0j^OF69b7tC@e#oBd`;C~}%`Xn?~6YaFAYn#LzYCi^*P=>_k?q&yu)H5a1 zK`W7m5xLWH)x#>ec{^_SXTNa+?tn@Z%vuG?V(4Aaag!X znmLI|-cJ((~Q$cQvG-kBbO+2l&6?bK^(W@IrB zz!owY>w7w~D>gKS5Qvf%ccRjbDNv7S-=`Q%O9+8%Vl#mkOxsNydG^ioUpqzL&)>g4 z|MU5S7jJ(4`OWK3r1k*~Sly6A$?Vpulw{-4G+O|9K+S3wULIld_k$H9v<&pd>|tZn zHFVnsM3Q?$bXvwYiKS+SFqI0Y*B1`=n}6@`$K~1J)w8a%n7en5#!+I_^__Bo?181i zJw_=8T7+o&9%E^SorMi9=(+CnuRi_By=MWJpZ@5}pWYC6Fqv7=EpH+=0zYAq0XfU$ z02U@z(wH5|(D@3oX9H!UfEt|Mn$3zzn^s@7H9UIy{OeEsCeDBU{>__DKA8A|2ZQUs zLE8G&|C{yI0=NMHdnne1dC5%W6u6OSVoO|Jz8tQ(!hP!jG=bt0O-ktk)`Ft3iD5SF z{h&)J02UPl1TW;ASHM@tHi26ONPbvt}<_P!zH8v^W4oQ`_DEv{Igi&Q_JAH{q9H zcd`}6va5$~0H!5`DM9tnO|WL|xQ?|?mvPzWbyUOoix1}?Shw@jUw(M^23wc?p%KuikFJ84H_6;d#l(@5zmRTSb zESa)XUbNA2g`FB2uw@1Ay4*BH!kAq@{@J~!`Rt2&{mX}2XZY_wyt_F6*IO1b3ehBD z@(bL%IkOUJTjFHbY`SrTVhooeZ)4PIOo88UEIOy8n}ci0uW1s-jUWf zkaadhcowm-y~Xj&1lbl8W22BM_$2Ma0Y@1l6bQR3($`5ARUA$H*fZ`tnN}z>11vb~ zT)m~V12ei^X~c4xNtbn9y}SV(yUBZE_Qua(e=Rev663dwM#r1>z07^8Z9I6 zozyEp90e+OxdX;GdeigZU8XQSTT>+-Xm@7}!m z`Ljj*0u-Z=ts6=W&B{1l@0Q15yQoBc;(9PlK@6 zc%R0GbpRbfQzz{3wBz3`*!a-26d`9MSwuRc7#A``0v{a%F@oMiyih}WO& zdsAJU;@~X_-dR+tePG~GFem`-R>dk&l5E39LyGkdlcYt+pUzKu zk+^Z}a)jMrJitORHg?Z6L8rhOm@Y;V%Rtjzqa-$b@uGUKyQP=x9)~SzT-Ag z8ov4Fqu6Tq^Ep*qK77=8d*9~)tyusljKa>dJ~m?(Hi98cO5iZPT)@Gf1z9TC2$%_y z!hs)fTMugQfJwTCdVmNI>m~h6{oti5s12q2sA6QPBO!Oi_M6NOT-`TGirz#yyru}f zkdfkDbb+GZLCBGNt5Q+U$K^_4*h~e&Cj=N#l>yNJPgk)GEB#9p#hZA85!Rs-4;In#Ri6EdAC>>-hi#8C1%eJT^qeq+x0|Aj6%75ab>FcT5B_9R>V^*`(mT zrDppWumQD7X%Rob>>J37pH$=FSn*I&;dk+@fa;t;-}~09o=8@Dx&KP>8U) z-2%QI_&8JpTmY1)QaX#?1ow$Z+v921IXu!47=) z8o}tlzP>C83Uj(>Av2?e=KZ{N!iPZ(jPJ>!VM~Y84{bYTOmO?>tw-Gt#Eb z8Nu_}Wr7}-Lxc>oWjdT@$KHunwi@CH1}R+y-w#8rO!(Z*4@PBwY1bPq4E9cV(Nln^4*#3KkE`Rl@>6Lz`=%q~su z+)iZ@3b{rE0$Yi5YvQ?}@?~N%;G=;J4BMtfm2P^PSykXU!>IsEJvdU0zM6Cir#SzC zS70salGOr`Ml?bQOHLv3TkLEeegd83#F9P9yV=<5euyHoO+bj)J&q@jfH=sJ8O)4y`R!7R2XW6VQi9NVpjUP^0|HN8dtfyo0?0V= z^3!d*$}+7}2~PcCSlg_U4uL1l!LmI@csD5Jz0ck@tI{~k+`$KmV^coR zhQ~0so#MO^0h7L0$T@+&0|}^4sGUR}3wEPEp~TN=59~&5<_esEF$D&}M-UElxdcbU zQeJL{K0H`Ml96&PyE&A{rv2>Kw5G&*1@);CGg0 zQTm(p6Wt+ec!^a%C?Lbav}Jef>F`B)P>fxo!mNr$$|((g5$zBRJC}e^S+)xhi=~SI z2KIS4$|}$~0Az%DgU;Z|BbC^WM{wLQ9elRXWkHQNDHVax5WQvN9I!Z+zuDNg1R%|$ z9Ya-HFh|4-nl93(gc`f}ki&x&;7d*?dZ%OlE8fX%OVZI+HWRYy7O}+pzJ&E7TQl6v z8h&y+o&47Ii%iAXgBN9CJwCi5jsU-b>|(2q2)rMu4GVbVcw5F}Hx{_GTDH~8hzXA% zNkJlDAQsWvjkR67W7Defd-t}xticd4?!#EhTE~tE2P~n?jvq%xqMJHxLa+=)vv?q^ zxuD!+={%t%Rt^?9jid)KjNe?c*iu+6RL*PC&@aVQDxqPqDM`&jYbf2TJS&=zz?gq4 zUQE)tOarHY5;&JATM;PBL&9&XXW16J6wOQ%zW_`2W_%z2HRH=x%|@}XXh1$FA<}#V zkY}mcz(*vTu(!PjoI--IK1NmyVu-;=sI?pAQhfn`*x8uurS?8SqtGlQ7CL${6d=LRhisY(7a)b?J6bn6ez_VEB+R=KoPHa{1_PIHA z80;5~Xf?7H(N!u~QB99(>^+s)A|WBRza6GiolUVT1SAp<1U3z{7$ch;5C#eM_e3tOO{0Cn?d@K-=_km03k^JW?z{Z-Qdh=VwqvZqECg43XB z$3V9B(_`IRD~u!xmCUXqDithkFO@f25WDU4K^WA2acn~1r5;6GkVhSh%bVtLFd#D9 zuPGsg7|>JoZxGvIUSha2N-4#UnFp44Jxb#bQU%)Y_!$C;OTQfV@w8|MJzv>lZxpdH>7X zx9=|Azkl=Y(&7BHgl^g2X`m@AiVku?I86P+S_gGfduoWs2$O0bn2$tJGL5WFZx?03 zrH`nJ&54J%q2lo?n|xSifE*V*MNWH;)&ojXH8u$bL-1szsDRx^p{+{n#g-qSN^Kb~ z0&7~Z5F>z3Uv4oJda4A*l+MMZ-n{Ojv2R}g_|*?D-+wrN&8Gf$=da&W^zXr+&R=}E z_oe&FaTGVq$a}o(q$IwcV<0)RkDI5Lbc_W$v{EA-I@XeSs-c1NN{vth+!p+*f}Thl zG;YU#fQMKt3-GR~ND>lWu4^!_WJU=Ca5+wp_ELAMuFr7bZ-yR5*q!SZj3n*UFaq<% zHg%!v=7EJ6RIZAx&Q6^*pFekQ?XuJ4{Kfg32k-yun^#x}58nUu=FN>rkBZclHmilB zSoWZiU0?|eL@}g7wz(LP--3Ua8JJ)ix>E0g=U`a~r zK?W+KPdP=A(QgjSmtbvv_x1A!e~jnv-@N`R|LNs_yLboA_xfKiZrZ01bwFw?2-;Az z0|S*I|HU%lK@Jv(UUp7>&xg(cz`V)9_+Zt74)3~1?h=g@3En@tz(P6_yN?+uyh3;^ zBMLDv{nXy{4a*!Dr~9pg9g~>zMnY1Q+lb|!Mo5c2*{M`jbzWuR1wmCJ3+I&CdQM%& zVy81| zt3BI;ZCi*|z#2PMFj=sVKS~6t4cmpX?Zp&vRBMyL_>fGJ3<|cu&=)PUg`d}zw5dI) zs3Z@{@{YjfZh6U7xFr~-CW zKwL$C*HKtYJq+ zM9P?jDyWz*%%h<(x6~n}4Y^kF#%R|bARD+&3!iqYtgtBwl|B1k_FQ*vTRMlS-7i`F zf_RxJ&RFowh$PYNpxhmreoQLck) z8ZZ-MsT0;X5{P6=d;ujyf+!d>WHSq_kIz`zfg8)02D{1;p2SH{klI-I7_0+cfHn)L zM4k1S6IB{{$mYP5@$+EVlv}dwO%Mr#7ucfFK>A9+oXNsdRYkMVnbwLgc$Ur!mkcg} zPns+v#K=(@l|6J8d!QMr>aM~l1j-?J1Jq2WY69EGmP0PPuRY5GMBtr}!M@Qf2WnX1 z>_wtjp1>nwe-L#mDltl)6i5VMxt+py?)ZP6^pUP4>;y-rc07*&=H=(J&X5YDs|Nx5 zp~*w*jim@;?T6&newY9(%@^>TiZIU&jcl2NcU7vrAwVu9B@UODo2(#8d~iJQhLC1&ZHUGVp=+VgJ75+Y=1CZ>;8_aTgjiYy zRP_KG*=iPAI1=X!dc)tH!D-6UnZ$p1BZVaxDBz2i?|$tJY5*UiZECN0AW4_D?3}04 zQd%2>-%8&%%3$c8%cR#cCwPFG;KdL(H#~l!UH9j=32zyWn@#eEKcD2wUVpguyReu{ zI^@~F= zAkm1~T~h>wdIALmVi0I-tBhz)-m)?mIhu5cNR8SmxNKZ808fg(49DO_V>UT0hYt`Z zR(qGu2;YiG5t|vmZ4ZU&aDZiPR2!RRw-vYv)&v+P)-FEQiAr4-L6Hb-N|_zI^nr4K zn0pfJ;4HpGmXa8j5aR=e0?I1_S!EckHbWZ5b7MgPd^Wvf$qg3d^3*dM?1EZ$Y!~Nt z3tWT3FRlc zc|hfOZq`pGKiDCi1267q6#&3Nks1oRvVxMfRtJD-GOYO=hX7bG^hZ1TaR4)P{AZ6r zSj(JsEF;Rc8ksQadEeSAN`75xslj^^C9)c|QmL0KlRs8@rK_vF68ojM0~^`$v9`MEZ)v9iaLEqN ziWnBI>nk%0VW>cYQK6e+V>uXA_Q03|d*sRr$pGl5(n@(*TDdAKeJ|=%St&lPtlaJ` zt#o%PE7|e5Dk~}BXL>xuzO^esJqnI|R9bn`(`!sOEcRu;VYMGsR&KXSE8S&jCHH(; zn@TWtQ8sG;!Mm33R90^HmsVcs>3v#R*)JsL$LsJ(r40jU3{H!ps9{}rz)KhhQbT%9llpWV{!8pVFDPPmKPb;8KO zG1ya`FnGH>kg~DNcc9Z%BP?pyw`zntON2Kp;ondrTtBH1W{L2&YJ~l*8sT4J%YVSR%c(|H^t0gU!G!O{F0#bD?0T(`AZr#{gKBL02>ii6I#S2lHSeQx*fbEHC&* zL3V_?zLnLbyX;wrq8uVPJ3J%1%*bDhXWwt92LfgRsT5mJc>*cy%&G&sg|CA5^JnX9 z%!4%7y_sx3xvk=A?DZ1isnQZlBjXFjI9K>ui z?GZ+aEHQ8q>@HC6L=iaCdX9B-Ik3%$Ho9dVOe?$ur25d7ct&R1F_CzHD*>3)x{x=3 zL``FDE_4a@SP|S1?3Jlw+F2bk59RSZ^8vo4>cq0&Fs!vFRRwakU?;`NYx23fNr}HS zr9V_ub?egc4#4wP5gc<}+>OKgxpYj|m7S73BCf6rJH%PQ9_N=H$yU~dUFTbBx|=E; z`!qB=nh2#k59n7(atM>5n%AOH@v6=zz1_a6Gb-6IJRX=rV3mPO0`~(bV+J(P$O^~`jHZAkM9*v89Q@q$^Ioo5L6TC8F}$^qtxNz09w9>`Xj zo*L}91W;x6P=Q#bS7U_Xu_`{7o`Jpgoqp|`V$T5PohCRuWCLDg$!Md_p~nDq4|d#4 zM5^UYOJT$DST>9ICW4`S#P^LRsHey`{sl1t^54rKb@cd`2OX^zkaxQ@cyTZi?<&i z1C*>11-mdy131Rk7%@D`XGE4NL#owN;_0y8WXhC;6_?*y-~FV7vEh%A3F8|CtHPV(@q0Tuf?cbM1baFkR>HVe{)a4wG7W90%Bym`MS%5=g3{JZtu4=aD5qN%o3% zk6@4);Y@7x>X5-*A2K}rX4!>(T*|25{M(1~m#^*?6Cs`?j3)pk=z~YF6RI;PWB%zy zl{~^71#U-m4#QbIZ3r@=-YAPO_Sl!`N0EX`3C zF(e~+2$KK@CN`AF<$5gE4G`Ty9$$;azx?^}B9jF*=mWq#> zS^_EIo!G0`ki1s;DP{9{Mwzh644`iJ4~YNal858D_fFBzy86B9W3lR8=cz9 zJodplV`T|=k3u-YA6fq&^igNkG>bvd-ot(z+rE1}=TTTM$HM(Ct{>s?^SjY%KYzP$ z;`zG|KfQbT;pO`Wc#ey=Kb^l?f4F)cBr`yM9b6016V2<8|FP!=L%QHWJ`^+x^>3O4m{mgItN1XY&`_BA0<*DC2^AmT^ z{N_hze*NmquYc*xuMnkD3qSGDFa64)-&XvZ?)16yz9$dAUKJ~65B)ueESl<-vl`uNGUROF|Jkd5WKhE6kxH>~#xEg?%`w2z&Sku4>yG?2Cg zBkQ~BwmK{TAvaSqXiuVdbFRU%i;Uw-xvRsao&7I^zR6~Fjb^$LW;&jiz0g>QwL~B} z^z>mt4M{*y1r$U!epr=@K z+3l6poxOqL1>hN8r&A1Tr4QsgcEGY$jCxR0^5GBaXHg4W2TPrxez1H13CseNCFz(1fQLB|fj^?{w&cQAvqHvB!HiTO z94cIZ;dG%{xO`PBjW>Drk!;EBlaI?6yEmswdXyPK6(LIEQCv09S~4jbONq*Isg5O) z45({9Sj7p5&WI2OZ7+a23n+Ga)5~uH8vro zWG;3&k=B4)@?ya3eddD=+=0TnCv!PRqZ~{Fh#~8b5LBo&ePA+yk(A4sbP&@+32Bl} zEXz3un79oK5Sbm}inZx29_z93ex}lVtg0i8R!3whk87YrCQjhyK^x>|5kgtSoXxC= zvRIOoiaX}6v1c>;|I|7&(9uB}5sR7g%By*#$A+~I4SYM8HK1LoiBiTV+sBY`2*GDBX z?r0D`X$o5_5RAjV8JqB(AdLp!$Ktex@!g9|nPp8~PmGSmUw8^%)q^E|{y6bih=nn?y~@DO_X&aHBr}LJXy@fvh|`!V&n`5WP83z{ZR2me%c9NWh`2X|DLo zpe7BBDw4p^+U!1CQ|E5AgMQ3pft8M;5`|*8Q?t~ z-C&Ot8m9tWAqI$J^5~xqrZ+o#m91WG=JKC!mG=GG##TtGg&BgqSFJ65H_vJ33C$GL z1k7xPK;lsvDZkSmxQl&MzZohUb-HJ}!}Fz`>PAz$G3dxM$4)I>Y$CSI=_6EDEh5{* zoR3r6yP@22b&UsDfk-aUajPO#f%ftan!>z-(0o#K)M1JB9Pqv z9$mq+-xJ?F_Bc=fLS{HCPJoX~Ahu08Pa|w3d782S)q{?v<(>t4PyKry zqhkhSUd?@2&_|<#C%DzvBr^I)jun4>8D(RzJ(gC&Ao>GI4NIJE#e>j4(YXBZ6hNdR zCkVbm1YDIzg58P~r&fDaU01|nUR*`IOF@L(JvZR&z@gpq zTo~J=IcY^wfNV5ip~4r~dF0S4!3H~IJaBoyHO-%oRIX=12-R^zwm`e!wGD%?N{}l+ zyJ4@2VKIN&ec6cV>@|*0<;NhRIjT7jPgoNSY(ziX)QVv-3ZU+h12Nb&5_!Im$g_tJ z-oJbC;E(kUp6_m-4>qyq6Ks^w*{M6iqd4%FOjo$+9mS79n)O8bsMu^YP${%Yo(<~~ z^me!44kC>`gRo@e5F{NBWJ@C}g#a9=9b#yeXVf?%>p2iZDmN+h_squP7wk$}z!X;M zhia8Sc|;AQSH;@g;na)-Yk^Sq`T(;Rg@@EpAWhXsdHpIW`-+{(`-3p{ z%liW`Tc>1F6Nss7w=WQ|lcuS%SxN?^ zh^*6X;%~rKO)PJ3S_{xW(CN(n&ZInrs|KZHu}6XJu%Vh%taucN=?FRahgGbWl4X>M zMkKkK?Z;0)>bCgv#R_vP^!*IZ`fsKYz{E;ROw7X!y5q4sz*;)hlfheA&r<}B{s{90`u<`D~xz11JEr2 zT?>`F7gdc2e%Ax~_{nFLG4(sZtsm~MliF9ERLiU%;T(rkofN%hkQtC|#eIcRn{c-Z zrN(ukRA3aar$Q+pgIk4CRBIfF1ft4qPwI1}QiG*Z?W$C&tx_p*yHpAUaNk&QQpdb) zJ}OtKRJv0tC0HtzXoYlLDz%;JOg=7^YL}%_?pCQ3B0>(Cml!_d5fIi|+W_wf*H|gR z>dRFz^|?Zm&()c1zamCgSH)DnT`?7S>$@tZwwscvYcV=OF}nIm#ndX$r5&O27(iA` zZNDZ)cdD4Omlad~R58_06;s=95u^L0Vyc}grnc*Hnd37RQ|?qOb6>^Oc2hDHSTS{N z2=eUN)06A>`w#Dac|i$+n{&a`zIycFkM8xKUj1_M`oEn&`1TS_{@u6#7%ndU@$8!? z5C48SWvAGI@try>gY zpiP`cTiNP>T?rUNKYjGWZ=QXP2=(u*6~bqK`(MuPd^qi>e|Y&0iQwXgb=OZ8`SZoQ z^Y<4IzQ6cz{@}$==PzIX=Lh1|hmU{i!MlsMuU@|R`1>~AGB*MK477mwe*nTFu(1Mv zC}OjA`B<{+Gd$KGs($?Kcld$!=+1NHs~p8|`TlBj{_}(O)0=l6{_pk0Ek@8`;0M;- z;l16Mp}TSQ3IFt8o}ND8srWAQu=36)v`-f?zxkZzR>;fj!=oiG23lx6#$;cI-VsG< z@=IsJh2)lNd%XP2-Jdn0a`ZAV-3jmY+4*Gg;njKQ?{$%Nt>#=IyjpDySv;z~^1yJH6Jt?;kt~Tkz$B+Ku(Z7Rqe5VmbCh|MNL8*=OGO z$!ET1KiQZUKmPKHzu>`}KRvkkx9i`IKOr99EcN#Y#Yp#{Y_%MYhl+wH+=MzvOED>h zM3J%?iAE*tlmxW%Ma|v2P_|r`phBW_6#aCSVYZKKKraO7Y#ab(juG5hNrVH>#tho2 ztGRXM@P91b^EBWbtbCmZshk6wMEE>S$fJkPu~x4qB&+|OCM4WUh~tE`I}<_`I1FA% zb5CnRXlxzsPDuS|Ix40^-AqTvMgWLveH-laQ6Eo7x-%W+;eUyWiPPaNzuSjRPd}ZH zH4W~Qf&C=vrAK$aCp~!i8oT~A282ZqcjArv%q;%l-JhP{eBZ}^cl9Xv|Bsa2@M|cD zgj57%;|5C&8K8j80PdA=h!UVMDlJpRK=~6k+lFSHqm!-K08BNzOL|DU#Nm;b1KaB| z;~qWv9Gj`wURvmS;MpZzq#^QZ8;e;YjnLvi;_@ucrs$hrSS;tLd0UHr^?sR8ASaBf zcK{F<3gj0oxv;Lnw}2QRGY{H%^4+kO zv!HsPp_@)^Q+q0y$lVB9Qdjz*fGcFj7T)b}i0u9F`yi&37`b z`Sna2^5H+pw2vM={`#BC32APaZ||RwzaeC_4r(`%43odDd;X zhKDcJRc<;7Hm;g9a$|tUv#-Mrhdr5&E57jL@l$5LZ&i5wo&JM}t!`rIEma7tL#|zY1>(25qO}G1f>P+9wO4t(XtmRI=2+Lo4SlqXAd8L z!`F&)|4s}S??V35;PRw)rQWNCj61pD&tJvAyr6#QNdx!GhqC7SQ1;fD?AA|*vX$)x zUpkc4SBJ8fXR<}^ar^9{Z27{W?5#7|?Q|$BcRDKbPi@X>CxAC zXsTGvw$5d@Uq6`jpB~I!p382x4`$t+gIRUo!R+O^?Dp}&tp9BXvrECAoADbgn#Y%$ z(T=luUnu>S!m(38fdV%xf6vtwk0IOmb-Ah z56g4m?6aFF($oK_2Y24_o^Z6DmfOU>$~+v43j@`i-MXx;m>gRwCy6B*ym)M88*6#! zbfl!Ic{Wq7@^Gg&`1+e?ly$!v`g;S(-~0x)C`2+rtH;KE1()dO6ErWo5!nm1TDd&v zbzHGj97Ro}Y;vltC9ZPd)~M)1Z-Ign(2xq3@9_2Gub$;m>-YTkUjx>keh+@`d+ZF#{j&rEacsD<){Cd`y+| zw);%A9y(jlOYSWWMc-`soj2x-P<)LDE z{_Q>%+%RWn-$hns{mQ|{*~5Q$_TZN6{>IW)d>$=}4Pt#lPD+%NHXy5gBq}_xzkp@} zjrGs_az}DtCT#k%Lm_9>J^?>@y0L1VsSZe>&azx^6eTWW!n1E4F6=z=X4_W)( z&7LdG&SjXD?ojw;tb7E`+6_nsd(~<)vr@H5Y&$yuxrE!a#RQitTd0fJcb?;i$TQGZ z^yL9#d3JpJ&1pu0`HrmX?i!8f|6d@~_rJXR)A@^wkC&+VWS@QbaQ@<_CA0eFZ;Tf| ze-G$#@zwWletG@FN8fz4?0+^`%Y=o=RpDd|G&QFki>S@-+9#??FzC~)9nmx)d^(qA zcf|t{Y)JmrcHZuE*2Dt=bhb7Osd#ddIl5D{$ znSF}g(~Nr9&YE_%=(kQs?usd9;{g9xV^6SSoRaInC4&MfjmP-ODr(>&UbB{|^;k{- z{10bOzj^fZ>fCu}-}`Srccz9Gw%JsaftB=>t=70Dz@afnlTKn`WFVS(R7sgEfKA>9 z7(exfY^c4S8A*ke+bkCX(f0@T%47j@8h8P`x8?@%trMGNjjgtWTu#OAn+R%$=_rS+ zqj@06z$?kUQd@g3Y)dwP$9MpDh?&i##D+>6y(fk9p8YfljNm{zKY}#WgJO5THqsyc zD45pbDD(EjYQKBiMSE4JYoPMt#%@)_2rcyJ@`JBigB6p_8O4fneGNv zq{Ln(R!(h**vz?Ew;gB**$FsZvleTwMQH`74BV>OT8WVWg76!=!~o}=lv<6dy}@<{ z>DR#kDK0Je(6vo65F3dSX#n8a3nh^Ex}hpPrsJUCkDU_Bm63Q*1C*VVO54Hvh=#C@ zKVh|1@YO`)3R=_`WG4J(H<@{LMm7QyPjyo5Ybu%t)n>h$=0qW$ee>iJ&(!ecQ`+(N z-B+(({_Dkk*&o2*lS8OKy!(^5?4^RKS)%`n~!QVZ|=zpQDH$uu)(D~PwbRqaGMS9TQ zy}0}QZ{I$9_~)tbQPstDZi=YdXN#!%mx`#y-6AUU`-`ah|NJ5<16(=+;@JH~RQ0NeN_|>H zwf5ZOv*%C$;qmnfy?YM-AG|`%TA}rKu2A`FWq$5+D>PlM(DDC{73%+2Ec5&F3T?k- zg`S-~e#V0(Bg}U$$Gaiay~a{}K|8B;zaYMPd;adj%NIxz)Wmpq@#=KNc~d?8pC9aR zUVk`$`TG6;bvM2I^yd9rtjfQ<`uJM(zVF{Za+JCM`_gCpXTI?-0WK%JWU zL4r%P!%j-?0Y`)yt?YeBobj0h@~*f z6fG!jXDHgnA{|U4@FvUNO5TxK%Pu_s3)qE=T@_l5ms2aa5sH%gR^-9OKuyMt?k4L! zl1MC?E3|zP^z7&vpHw>EAE+gAb(7$Ua9BVhEG23dCn5NqivG)8vv0w$q^Jp5dYbmQ zYzL=gL9GYN#}Po5_zpHG_!!=y08X~m4<5VXnWxhfp`+^)Hbx1KoiE+19h(P0w$dPd z3q>BGjvXY3dM2!w;%TG{G(M~?wwh$A9YpX{{LKz#{DLffF&i6(=mU?xGSgN(>+*P% zj{Az1$`ULM`!@T;`mfCL>fj~f1m_ul_Js!X_}^~*Si2f85JJo)BDQ4;24uI?SWJ)L zTUZbj)97qg4`bM@!htC1ash}NTn`A%LpSPeOW@D4Bzdw-9czdEUkb>0MRAiPo{V;B zA%Pumv3Zw_2RcP`kn}3$X)8keabU$9pd5-~kL#1Mpc+CVrUQ|?vyL3=sTk0GU{*YA z9w{6K;}DAteC$TEwoID<%%~S0xLWvVCRNh#>uga4TZ3*NIZ;8m@}{zW#mD4KMkH=Y9L+MzuDaRBQc>YOP7XPqo(FR;`sM)mkAhRcm|ct8Z$w zrCM9%mYStn|5DUaRIOEl_j}66<@1Pz?q?P}aVV6wke;n7OS4vDSKrmFZ70pz3T#6C zmphuZ?MAaU*sXy3gF;+s)>3GWWyOl>qik@=rtE>5wKKTHZelg_NwaqJP4#$3v$kJp z){eWHweBO$+EKS_&06>CnzbU`)~pp}J89OY->zAkt~6_T0rgjD)&}~-rHxW&=C))l zBBZpKBp|VNpJZ)2X=H3C$=dii$=bFgYq4BDCs}(%+j!p&=*YXQHvbk-JTKmFl9F;D z#Fc8~nem7|sCF72wG?`SWkFvFF_OjtZLw$Yt_*b6GZ~nm@g@G2&A-%=r}tnVSNN8v zh;rdugT$|kb5{&ZZK7g1bhaE_<6ER&)a=Fp>i`Vf0T3l|b|4keWXbm%*`Ci!yY#ZcfA;szhp%^zSFWSDdZlh)jcwsK2 z3seT?Cf+Q~PaSJOv}t2n(`S@9P)o?}VtBk-b$#;ZC{;$IKfI~Y*5&XbBIGc2vRkP#CwQ?g@vM+|M-4?#5;dFrfDH-zQ!<0s$nN~_uLdhK^F<=W5Z zuU~PF1q#R>4nV*>&%Ujr|<}au0(|j62?MY_uf9nx>^7rV|Sz?R4KB zYG((_ZfwG$1;BNCr?)Y{?!M1-^R`c~&f-7wzW-g0;t4H%m=SQi16~#+J$gbQebhSL zsajiLne@F6s#EpR1~Or3gL3hEO%vftB8(jv8-PNfPU;r0sW(l7Wr(fc*}K>FUTVvz-zfviV{fJQ+|5~n@9z#iYKpjuYlM*b>C@ij;!C=*-j;gjXE$HAh#97 zec32~UwHTry3s>uybcMG>RO$K$y{61xH2vEWH$Ow%c`=;ohHiomB|!<7uyS%2#XLb z0eAMkG&fQV>uuGa2VaXi(-rLQ@^P;d$&!|=J-ZcHLsD)p$;dKF?5QV*OlP%QL zmkX@S8>{`UunKYvCu^eD37IECzG9S$8;jdo$pDJrEK5%@GD+!X=@*GOg}5ko07P1# zrQuE%XpRP(WurGhX75neNYaQ^7IB)#?LkG63qV7lo}AZlNOu{qTB}9VpYl3jRVgFJ z?^0XQdpDb*5IrdfSV>-fpOi8avW~f;)pinB>~V=JR;GJomBE`Au*w;K}QgpE7kXZZ(jF08AIUqI_f!AhTg=xq~ z1DNap8lj41YV>_M@GB}@idyu=60LIKy^Vw5ZfYR-+K6MQ}ZNGZzI`27k1wq{IyBCPR zcIt{ywow|Z?)s4!Rzqz^k_NgmC>2`l}^+%_!K*U!d=IrYy+{ME8?;_F0-4a~i zFIVJOpIea|%``jsu49SsGA{12$0RYB0DtBPmZy{xBYV_bC&H~y6c=^|nE(%lNG}U! z1)C2+dmfyFnd1EV}xQ=OPt9v@KfY+$VbbMq-+t`itPmz zOhY42wn;_a>&wrtm&o9)L~yro=6`x3U}3kX31CAOpm1%7uCXSanj@>Zg*7VzJC+*% zh~%Ig4$$}*ZL0(6BhApkVKBl~%xo{SK%==^ABQ7^pgWRcM{fm&P-2?PDvJE@@sl%_ zjm`WokG}ghe3~Y&n&f`DuW{;Mz71bFx7Cs+)nff`(CKQII$c*XUBI`kU+Q#G?OoO1 zpwqQvy6{8WCpul>wocd8OFlZiW%Ch-tjlfzO}ou zZ(t91WZ%T+W#3x5k$uaTvTw(BNA_+1qU>8m0=$uZQ`?Q~+g1M?TQcV#>f_IrR@_$? z#$L?NR9DE24U||(U|2)wS$UGFE20lOY3~z6MH=HX-4;Y$YvkGU)5Cr3zl(sF_P4H) zuRpG`Gnr=a8B?(fOgN~^L9dH&Eb~tQW!r2v^+=@^M>>apv65%RZ8Hv_U|iNfK79D_ z@u{FQpZ%5^$g9CRyH);p_PO%MPdlSN{za^t`u^qXA8up2r;l%b>r)o#r8cXIVIG=R z_n3u1VXaPoE?+3#BaNdo9>UH}y_sFw9jV0neKUz&x@y-!4v)l_{H#Y9jP03@D3m-p zT%2AapcJnYL2fSsIWTQ8`9x#rz)m7h|3j?b&aynOY0U(};|idki#^dz>7aEmfD=-B zpn`oG3@(ahBGnY#mzYKeDeKC4@I9a>WVW46S`)c2$!ZR-g>W0SP1ZR-C?JLHHC2Sc zfr3VYwm{HG%8gOzNK|R3ViZ0Y*-Y2E6^#_{KwY(RU`rDq-%h3Rsr#^!MxTgSzJMi4 zz!BQ(I6O4M4FJVv1#rG~_?<+EF;LW##*c{Xg?fNjov+Uyp0OYi+xR<|zMXf${JJAK zf5(Oyx-H(R8pKci%DT8(3y*G=!lS>T-M(J7+mDah?bGjWw|{tcwrYi|XxOrn}}7G8EZGn=&&Ph^Z491+E!URSJy)wo=1JO)Ix$yewWk( zt@L}RotdIx=|l+8pgc`b>L1u!A};d!g;IZ$EZ#M*lt*yiH+pCIeYnfs^0Q}WOG6<4 zA$%8it?!MO zBlJ9#1FxVr0s^B(Zjlk2wI?>j6=4ID4ju26JDBYiSXf0yk|ht90fZ~tO~*mch0-yaukG=5ihW?}azFf_-dUnsTwQ0k`FfQ-_to_MQEl{O^O;p$_|q4n{%QiTD!LE_j|uUl%NR{9am; zimsc{!qfgP7Lp8ayiK)<}rdV7PU5jHKoXu2UAYk%k@ky$a~eQJ}f>V0r&W8&OuVP6alV8*Z>kBMOBnw)gBbY^HjASW(AkXqd>hwXSNi7l2&i{C`54H%zs&BhOZB6+y zP22I&Kqlt$#??)~oOF3oN9MckTRC~aQA77+mpRnN`_^&rwiau)aNYsNoub_FsBBUS z3S1ZPTuKVO#a{PA6m~Q6_(5ZvP_K)MBkXhCbp!V>7|zD?gbFq+G}w5!p6DAqiDt!| z>5V_Ek(?ox74Z%*tBuBpx+X>2J32ivXNRDpnX%KE1WT!xV^_R4ncnh_QFTlZwZk_j z9d=e1#YCP9vWkt91CB$Jkzf@~D6VD&|JEp)-wHNW!T1?~{WUGa)mVVyvt}leKS54E;FJEoBM?e-{t^i-ViZ!Sj zZNcb)#Z`vD*v-*O2F@N?0IpV%4F!mm-|oyX_9SOG{Dv7Wdi(2V*vak7Gi<&v!=Ne6 z-Jaq0xf$+jhTGj47H#7U`>Pp_morQ~#rn|19>SVC>-mq+!=8^#XZ6&gAI@U6jCHIL9=eZ!E^B2~@cA zzyNbBB~z+Ih^7?z=jS-GXN=V!rEJ{A9&>E&&T;EV(;v^V#T;W1VvbdGKDh1fE^cd% z!}TtfpPOU57<${x$8+pco|kis6mdPrg>#(l%rSjtPu^ASdXABTILE%q>p2!{7lWsA zj_uVPg8>TA5==6n_Y^y}5;l&bvx+kcnA`@swopZf>Hq=%y$4FD09aGG5m+a(p%o|- z>uGw%aWQ?#s@9txs3!~sI-e4B{#z2Xe*2yx^#zNQMLf!O_V@`JTUSfZCuC2HWooHu zo-w@Ag5Vn#iiQKDvl#@802G9MqNEhwR5j`JU~S~RI<<2s@(?oKN&@_} zu{UO9WHq)GD7= zrb?w`7ao*n7dO5jI9e)lEKS)1k6$fZE@Y6i#k$z{W{i#%tI}C3#*F0yc^S z_)tpUXmzL<0muea0c^x}z}har4?NhRKM8&sYqTI(AQ^Z}{mq(V&xqHteW%-qcaOV& z*P{E=&+oSysrg~-1RVyxL)f7`>z)@m4%7&a_|kGDERz&&Yj<_gQxG4f+5-inMK z>OM?D+E$o$R;JrybxHD0g&pE4fh(8PO`o9XYNNqWH3naGHp~IM%$psyU4WnVft6Bd zjmAyc@ptr&91SZBk+W_Yk&KNF4J#B84_MuD2{@JPm<5}p4rwY8Z#3>ex}(k&-6Rq3 zH;lVj_HM-}(gm!3f$$?o(vp^zh@jmA7Gq^%&aF&!7EebYybowS0+?id6_UrQA2vwV z^b^(qnMm4*WXLIs6m`7_O`asJb%)y6c#8$5)6u~OGIasi+XDWNEhqaV%V%Ra+k~;V z8kdtsa7&0=TS+2-PT)D}#KNHgLhOMCue_ewk@L+&@MxnSgN+ro%esS4AjJ$;csEN> z(8|bq^4^y!IKmkk1*h64`#f}NgEl3l>jP5=l3;204T_F@(OG5L_t;z%;rgHH5f(vl zX90}%$Q%v0KegGl<8>^4cqZk+^>a-r6rSw|)5#F1bc_{+ykS5yxbIJS%QyPAN(E{F z^xx>47@GwKw~8l52nhgYz!rF%;XnrWouy4QL97*oL_~~iL-^S!+KW{~Z-?YqO62EY z{xq68vjgKjRIGG4MUG7pYe^}&9)>FnA(YA*mft*>{y^eH1Kwb47>o@Z4vN!=ThwA1 z25ks89xI!;!k@T>)4-pzvk4usVV+`xV{8Bcr}1nU2`p%W_+u-WS)wSQRU+fjCq}#o zkPG@yRdUKw+|Kp24ryftD^0T}5VDPMfg%g}D7Di&_*UfLNxn9ffy3N|HNP)g{rGMZ zmEGA{jGd(m%fC5y5m&*ez{E(bOA~jGG%SpiR0|qNm2S`|p<0YT(tI@7mu(IsLHHZ^ z7y?D`GdpDsrE3l;qw?;swreb-fU(Y-Qg*FG>ft3iDONT)6c@GXI$a%ijE{~xE~~-I zwiHS+GgyGP3U6+z!RZ%cZ;m_qt!nUuJXx4TEY{6P>%0SxA+Q>IHd-iufiKVf1_+7tHHx%HTdbcBj2h9-*(IM4)SHBX{>7u)Ae!3 z5OnvLQB@$ckE+2{<#ES$ryLw%x7<1I(4RT(U{6#X+dA(^*X7{WOuLRd408nV8>YN; znwZ==?zpT5Pe>5ux+(w*kXHd(t<3eeoyh5n_nXZX4@4bd&jNwMa$vCvulH7jXZ24d zzY|N@2|13Khjrp0lURUj(<@*ew&qc&TLC(>6Y8nipHNT07+<0ui(j%(4e)X*J>wen0JJEvP!F;UuOi2nQBPl}hehf@JsV+9ReC7T zQxmKn1%!c!uYr01fDW3=gU7H)U1$memUb8QJi1vr=5Hz~;)6n^oRAy#;c|wm_5|8C5|6yYqye;RpwAnZ zjrZxJGh+h^5w6;Eu%BZG8<<3-rr+dD!~roAHrXj@vew-s6E+;vIZ{S&Afc@0M2Zeen*F<${kxWS zIEb&JEqChDSN|?pc*nQj*S{<7_V13{{ky<2^}2sI-1P6Nd-``|4_wnN=k1wM`jD4723lINexBuC%sD1Fe9{+~#`9egv`kvn`SHieJkLzZ@U+5|` zfM}>Y*iZ1a?Me8N1&K92&w{y z=W!UvSOKlK2`}G%=`l^5W`BD$@?q~G)nWz5PLF?_=Q+5AuuV1~rc>Cey4;Pt3=eJcq_1mgfep~Vjep}|Y-I{I>cnzb*0m{kG=+EWfRO*KaHQck$c0%uwGvM25PG z26z27{!cXco1#Jfk8|GxLo$2&wP^6yy6^S&iThr;-+iy%M1%U-Xb{*wciVk0{l~lS z0p#Cy-|PQ7M}r$XvyJx}oH+yBp&fs=f$ zrRJfVY;3I@JoHGpQ%J^?!h4$*Ch%3=3mzA{aVbd1vGFLt>=7gh?8T{)%?OICqQo6A zZm$4dtw~md2f6$bNc6D1tj`;(W@}CDQU?0@L{(TqR9CvMTZg@6sJ?;N0kjyq8$Rqt`zWc_tR0IgSp5^_PDaXW zGVB~Mqa-z(2&Z8QiK5Ow<|%oHEe#Shhubg>uDisZfMb}&p$BmH4(unHrlkB% zo04#!O-cQ%O^NvpHYJI70Y0^>Dx_!MJUzRSEI08!Z^ZW(4_=+W`|;wz`(M8Q<@L)C zcR|P5^T!`G9f}sg5GBRkMbkSqxv@1Urt)9!JxqD?=ZhuobI(UT`u3}s zzi@u>=KTI2-M`wgr+^R+qcjf|UFo{mbWw`E zYt~7?9Ph7&PxoF3(`F1?QB2k*<|xueQ+}OtFek9LlQdDljAfs5&%$ zS1krVg#>8u{wBvY`|VgSA3lG zLh>}fM;Q^ZJrZ9Hyt$!G8&dIf>Tn6;6q`zo-f&`5!yG9Iq!t8=!22re1P~Z<^q}_1 zkOo*>M!VS4}monw*1G+ zB-vy*5Hs7L08Vej!K-zm?uxxwyOv`0b_ zE@ngf7YoehFx47pdkA3>Lk@8EQK=S-d2Y?I3BAua%xFgvw8q86k6yh!0Ez(b9Of6O zWY5#kontK2XcGyo~QhK|lUwjG)=J>4vL!CLWmO<>CaCOIJ`7Rg|t z3ME`6EuR1#rUYFB=E+p-(T&4~>8Gxy1((}18HQ1fQXaw2SZ0_O>yMrU2Ee#ie6K8$ zm2eVk4SPl#d==1(hP;HY4*YIQtlt&NAzo@0g8_X_EDVPoa;1Lt^~tyB?6Ln2KcDYv zTb$jsfWg)abYVlj9@PBW@suI=19Zw_SS$S>BqiNwYD*dscYI|C!oAgx%u8B4J_w8f zv7l^8@Z@R8sx8y6H3x!Y$~iY`-+HO96q%krT@r1nJ^t?7dKdEC(`$@x{=&V{y+0#1 zEI=&Q=qV0?{4an498f@F<1{Ik;8kk}&`={*rgWa{V~SYNx=D}$kQPvyk<>(x0;I+_ z@Y1Cg#tMt|6&OClYRkcTjx;sFMhP3DWhe#s0IV25g;i2*S!pBEg9~x|JQkU+Sh~K( zJ`trrqoV1R%0Auf6%$MW5X*LAgU3Ydz81t;*!N0?{B9HK@75s)HgyQYoZ^6oLUh{= z1$YRY5&hUVELq2OOu>T&-tD#n=$=Q_1N5O3Z#=#19g@mH`OUV6E|uI%i9-p)T1<+9 zt3`I{2kKc|S^XL(pD=u_UuuF^mc%E4t;6ehsdxoWsb^u7uwD^UurF9?{4mKq+k2%l zED5A2I3Xf(#bkrsEXXFjJI*wy^{Z8@U`ZevN%~}zb%2hPGRozx|D&gmo^XB6`snPt z{)hTWFTs7{6zmuPeAyz#HM;%Cfe~D5mXqy(Vxz8KDS$SL zsTAi1)4(G(&|@HK$99RG2^7KCo2->NK!Nr>cRc)+J@Pke%g(bW{=58%v)d<*Q$TpP z!_9+}a>v<&7jG8(eNQs;!xqbNq{#bJAa6vCh)LJ2corLrj?rTAf72Btv;*vT%F$`+ zH+f7Qg-1F_Ke%FB&1nUR7O%^*ACvbEroU&+804t`1;oOuz1(*;3;D~idG_?tLpB5K z-&OJLxLcs~t70%aAYKJb!V;umE<8QUb0} zm&svKViz{x8J@R+^{_2@mBHYD7-wYh-4Y|tBhqrX6gHeVZ{K(rnWU}SI@YX zW(rIJHx{K`DHWqsG$e&cFl)WxpMq7G?X>HkEwi8Y^p9nP<2fh^8t>xpgLsVVfAA{< zKI-NNwqUiCRfBC6q_Nm|j)XM=96}c*_N~w)84NDa#ApJ!?m$*`fB@TV2@3jDXcs?} z4V2qxbU;>s$I}CEvVFBBqpPB%eUk}~m6g_UZtRI=6MMX={CIpFi0%JCKZuVnfB*l* zH)3^D7vP1y1RF5c>_Vb30X9w25n=JuyplZYxoN!Xtx(7?doVNzTX`kzt8QYqS+H)s zuoRxR?k&=LtTu%V3QABnFJtq_8|zlyQ;pgy(EWytWnxM^nMtHeGYRk! zIny$eSY8tAP>x;_7!CqHu_Z0ys5!_Xs=a? z8TVOf46)G}qN&}u2)=h|s}Pp0LOXIt6d=jFbXB0fICEa;2gxmPIlIgI$F>THlOmef z@kCb#ezF^a9;!ge@4)-oU6+LGkj-!T;Rf!CxgT|>&@`A^1-G*)7q5T|kUa3&G+;;Y z8e{CKQ7eLH#Ym+$pL=%sx%+27mw)r(?y=nZ;%C&V9{=>Y@BC>QJQo){!o z@RwJoF>V&`>+1~tIzz@ zX*$eT>FcjzzxVf?9v{*7;;Tph>8n3{=~tz*)3>bOwndqpO9n7AjR{cRCUs35J7;#H z>^7ZFJQQMseNO?&kis`k*_bx$_mEttT}1QK0#iF5ZZ3+Roc6_2@m;1;#@(CVPe~cS z`FvwXqhmLRY^y722(1PC=>}!3>rnuof^-?Y{Q9tex$)&^-vQKpQek-?CeP14vjLyo z1cp#r9Hkp1_h6AqY)C zI{U1|@E?A`b3M3I*LW#2`LV#{C#9hmuU8PHYxxc@+yE7zz@Cwq#n3vG{cb1 z|B)2UQvrNzq#+bLnK4&=bRLo5dj*xML7=H+mL#vt64-9wi{JqL>AzAS7d!j#cdVs zMpg*b@-QT}!8N)TM2vBAWy;dO4L5>- zq<6_L)`=AOa47tX1ajH%e6r#Lq%f%PEFL00*8+p!fdS*r#^n~f+GX0B+eQNZxo*^W zb9s~>qd3EPZTk7GgzuhcvVCeB{l{1DpXv;LqEVxN@$si`+$ME4xh`Qw8~$*&nij;K@$0Iue+KTs7=DVwRZ-l=L1RVW)W#aQ9eCWsY3(@R1ysf6{X^!n?#&wg zgc^R9L*GBVRrtgIc=7so@3?7k-6?eQmcQax_YuzN*T?t0`1)KSUBGt{3XCm21E zi`Jo6;40hy{_2*j`Mu$&e}t?#wK%92HxlSb zlH~>01?#8=Bcd!$t_b^sd!fCfCW&maZf;TJ*vSRq8`X2B3vA0g6A_^dwdvK6jG{L- z*5_@}nOqG>CS-R(w<6nPeA@aYy_}j^vo{E;d@atfCpe5;?h*^9aD8U*+fcF}{ zvV}QiOqQf=9wrU$>g+&)jl14i6uFTv7`}^QcDLNSCMlkLP6QUm5Zv#EVBKy-;B}cS ziip6dQE$g!!5(`CVZ1w&$w}QrVFcmACMVK^voEf25>BEXtW*ZXe+ZKjVxa74?g-6a zv1!4WmFx=^pCEAoi@Vk3eDV7AYuE$(8cpcSIc)qj_BGz`Aw=3~Yx0Ssh0S0g+1N!| z7yuf@6cN)+17TxZ8SqDgXUZlJ>n%yQq#+MC!tw%NvaxZ9$!48QvcoPar>y{WXVgCO z&>FbPC<;#jY~lgcBKvL^_66$3zQA_s3q)Y(En7$a2H4BK*t85JJ<(V0lUZ50@xfj} z7qV%j1kSCJY{J(=r(i+Sgl$S5prP{U2%A7;iPe8nsfVPpPm|vi!70Sa?|JOGdim<* zn>WWUcCPPvJHfAUegCn2s}FRb9<0NSNQwzq2kM>JGOgpCWNp`pal|vj?Ghb!;FlhXzt70melfs5SuC6LDN^X*Q6$s@dLt-azV+p)7)yTzL$WqQDp2 zG?2OqXcXe!sxnIla62`S=A=g-jWb9LC!jt65-fRQN2su(cjgB{Cf+VPDUi*q~9pS0r}GZv59ae2&+6V(!@8H=B9$2Vpy zXvgkJJJx4*d}GGqGwk?yw)XlZH;0;i|LViOZ2i26_q_k=1z&lu!R=0e+kFuJ?T_EV z@BxTkeDmE8fBMUdSNDv{zW?zRdm|m51UG%#$PRZS$sxv_Rn+UQsSDk)+PDlc`PZy~>+qxH>Gu<+GRJ$9p0R zZ+_k~Tt2i6mts>q=jZ?+9VK5kt`C@wi}2kArs=2_*fsvOsE9(`ph8LP5;khJf&~Bd zxEpx!>T2qE0A2E#{`Y$t4X1ng`d-WA3_)N2>2Kfu+t+{NN$O7@|NQswzW&?ox-C(r zuGpAR{d-MN-SH=Bdy_$4yS{>#x31uvBmF;k1&A%c#R^xDwN9wMsE0q0fWI#q}@W3d#W04R$(va}9v@F0CDv;(z4KJ9Ao!5fFV-Fksrzzv_&X}Xu)49-vdmUu!Gg1I*0IkHv34Q1 zfNxXpmHW_PaO*3jb-@!ibKW!P(6q0{ldM25hK>4^%19bD4R8%b^jK;x+}XoBJ+5eF zpJC9kv}N5bd*GtjOC2syGiNkQ+Iz{Jy%3b%y!J+o82#|YBjiTPJeAJo-RL*to7e(M zC!T6cj-=+KY}7DC#eo$pigya%Q7M{R8;3+Qxtt0Q*x9<}IbqOOPAG6Yq@*1$gnLH3 z6z~EMT9R9`Rx|1#&p$ho5-RKf9Ft_uY^g>VM=8}$+Z`7dQ{SP;x_&75^ZfMZ5#53( z>et7V4juxOHj|~AGFsG++r64Z;zecpF3(%>=qeep6T6nA~8dtsvl`2QnG~QGk z%2l}{aM@T$1SEY3$x;tnb|2UMAe$hLx(Vs1n~AV?dn0rz^$dv$NiJj_}@HC-tl9k0A@LVU#;Wg;$kY(L-IeFAamFFp%~oxkHFK7~3*Y?il8 zYB*4yqxJN2+?FMkZHi;%363EIuuhGjWJNmh(PxevVM#OAlSKK4N-4j4dGU(r#HZKo z!ymSOULfG-FaGlFci(;d>BZlE`2X%-mihble?}!RfA_-QKN9eV_ue`_xS!KAgA;3q zU=W@*tg@1}04 zPhZZZJ=X`o&!1e{v!(m;@nCuWNvDai7hiw(&%fs@w-J{z9DX%T(2(rfXNh3LufSC`Ae$Nm3wE`jGClQ{$s6DwmVTnXLx} z6+~rD1lXj^xEI{@fk6*$vl}E^d>`x`*Hy_S78l@u`0lAA?ds(luJ5b&oVsY|!hF{g zY2VzN5PNsGMH;9YCbk1+>?z{TaXkvvPUyQ)$W5FOa1|JtJit_s0Wzy7Y36ko;nKD^ zpd7pR;edXPu3C3oxF-`OeGUKSd2Uh$#%!wZti$JUpPCU!D=s||V$hk<)az>Ov zo@EM9Gr$A@0qQ$Tl}Ubt%CMUcuKqVssYCpoMo47vxxAHqwEsF&Z0EyRMqvU z{!wzl_X{dU0Fcb7rFtxG@~iPGu+gX6U_bES{rt>k`}%+V@Doh(01ob)jN0?ZEN?nz zo_^tW+>`v`n;-uE{lAnS1UHnk%h>@1<#4|3#6g*r7D!Ho}GK#6XK9gXj^n! zsC^r#IwA@HQNu#+>PAs?6_FT$^%|aTYi4cyhjV7AKTr}kw!TL^H=gMgBxKRNWqm_R z+t$c-$uiStR7*(#y?MVPp_fED#6%H3I8i?6oFy+Lw-J3r?SoI|K8EGjRaiN`C8ox+ zJLYPBG|5ps51jJa0829mQBZv0kCt*RoEV@KD?W%wT9Swtm=pyVbt~B|fwvaGjOy{B zLZhz6Z}CagNtO}yKp`n3K2m2@vdhg&pXiZtm6?~7^op0^Cjz%c+^vB{_-yc3w#8;t zYSoihLB>=(o}wfw=5#P00UjkVa1%Uw!W;4Fc=JwjYJ6RCC}H^BxM(sLXTTs2po9t% z-^)J|E|_%8xhW(86274xM6lUQp^lYJOVOMOUegxSVmuIe+uf)tS}I?nG!jFRH_SV? zpvD}9tlIcnae8TskCuE>_{oAF$NhMW^`(3B{vAsrKpUi7d2bToCZE0d@%1xCV7H6^ za<7x@fuH?&`3x?wW#dT8svW1A8+D$11e(*067-HuUY3RYg8!k6az5%cB$P5%!=AR) ziN2L3jHI1UyZ4C5TOh?9dGZi?kVhTL{mn~Bwop{!b=&+_q(KPJ!fkr%2xddANvQ#p z?zj`~fVo4#^W;aAQEKdyc%8a_ZiO4+dzz5c+LRo?Lan4Za+6DjeE?Sjo~}sVsF1dj z$TE)772j=3r!-aBq}cpUl?k_)N}||={Ix^?+68gWz+#v_`ns5?frSR!B_w<#fS? z)Uia!c1|PABJ>4Yc9fzEXMf=i8=eM*X+6r-Dasv?w_w;wX?D>uyqk(x>5O*QQ**D` z_*HbD==ZTyQ$GIN`6^%jmoIK@BhNk%j_bmcHc}nvsRLO`RQpab?u>d?SCw}FwooRo zo%TpKxh+n}0zhZeAwG~V0FmAS$+25plg_yWy#piKjtW*Hbs+!5V%=VJ5W^G}>{#Y1 zcWXy3l5g0pW=lA~Em`(Cm4Xuf2%s)5UtZn1?Z-$S{)4yeKL57mKjOA8FTT7u_6J>D zeAru$=PjiVX!AZ(we{j!wl#hK*9-V|jfk_{a6}>oi1BJ8XCi7>*U4Qhqw`J!OE``L zw52Zzfqr%5U>2!bg``K)-L=Fy=hNV;%=Df~p>f}&QU8`3&L|=bRR^4{_`UFL1CGcM zF*)MV1EG*5gwReAp5ud~g#U9)8_|_Q;og>lXj86-Am6?_mJ1IJLH?(0M|}2i=Y7!J zv*$Q2ifM!A+P2BR!h?^E9Wy%uS8WCrJ%CYJm2@5dVW?j0QA^>mA?|P&m3vW|qwPUw z3*=$4i?CmmWk3@Y0k9r{Yb`*GSfs3wFk{!QnTCqo?4;e@5xANGW(3$%9zlK0iGw=; z-yFap3`{$a4~$qiSN5)uQGqQfCB6Z^a%;;_4iL8jcy7wJa${-lVX^q=y09S_WtYHj zxy58jS<$QmSOs3(t;6k+Okmgnti-+LW=*7~cErt$H217TA0$pSE=(y1-536Q**WR9 zv)K&TKyF>4Vu#13&Ox|DYurr9!WV#SHbrgD9VSv}zeq#_@2L_+Uh@IKw$1@_WV%ik zSKtzE(b=$3Zhb-MmgHr%Y=7GTyX>Ni=RDDZywOoB05}iMcLMvsQwC}wfz+>yLA2-V z9LI&dgBz4haa*07xsn3p+&uLTQ9k$|F$$^aVLJY9t4+ zcibZ~^|6QG;`Li@3y;F@Eo-KJ3&1U6KM!Rx z@GgHa0L2TC>S+h`VxGE7qVFO|+MDU1~JCCMe!n$q4E!IuOD?s(rG2xpp-_FzL z%MaGv+funEDB|I49Y8by+GfRNxJqhzRGWT~Du$d`csi4QZt*J?Hxqz&7T@?TRp8Nx z=XTCh10H7l#;!4Ea#(P_ICYQL%3cbyE}V>{Ig$8W0FH+_pjWF~QK^t>yw$;!L6Vee zC0>SV5|w^RWXu1WxeqNL(T8M`>_(9sz{syKVONZ;K`Gb86}lSNI%xDOJC*W;boujVHx6FhRWH>etQ}4 zGA;vFLDabnC}`zr8Jh7t{kyXaav}8)!=zDMh6*%~%RVjxFj=cgZBs`=F2Y|=sbBFynS;P>^ylr&S65&B+ZX1Chjq^d5<0Ab{5<%& zXkgSGrc)_#8!}Q_p6rj*TIF5X6;9j}3;?Dq#o*Qhxf2qlJTfc?Xpm=gWLnk}&2#37 z=k{bHTS!a@0Nzw1ClYRn1nQcH&Q!paBz)uXThM?Huo-;#;!&CDP^c*tCKg~}CI!i9 zC9=FUl;7iUEqyB`&p=Uapp>0XW(saqWVXTqq>8kLd@jgvUd=$^O9E#*C)+hbOjuyQ zz2Q$HYHEm?4y2H@WVbH49^?ws<^X!c_h2;`4Y^r%J%88UALs90r4Orqb8&vA%HRBW zlX0k5M!L6A$L{cOdyNGUas)#@rW81k71kEw;Lt~G9)L5In&3`6RNUZ9lSo4z^=~u0 z=JM_FKyX+0`VjsZ0k~>Z^voz_IKYf5okYjRnR&wLt#c?n3LDs6 z*Na|~>tsjIcJj)!Ep4cm8#|hv2yP{FjG^2pj}p?t+GEpuZo@Ua4M}cWEs6q;r2y*( zfTOVDwqq6h9<8KOXkc3iHwXPtd1~wi+^8f|L{lhZfv-_4QC>5-(I^iV$Z|#+7QA@X zIp;`@pM`rlsMvbT)DsCsxx8{KtnUV(+%;4!RIL^kw#EG)vJtsi0ogs~N?ANy3}7g} z1P?Q(FaV|FhSjH}Kr$$F1OgRGAly`S3J+&P_%>mI%O=DUveoapkTJ}SO#S)3101ns zgTyGJL{=~gNd=X)Pe3Ow`4v!;{JnVKR4j0~9fW0|Bh@tb=JC8aDKDHxoCXdn3LzN~ z#Nsvq$=4M>Z_NcBezsAuzHLfVRNOT1`iK!mQuhH=(qW`*L2#^AmZ>I6=^F(_pa ziWt3Bgo$->8)qi%BHD_83pn9{dF7N_189u1#Na$yo9$GFM3n|+NpI4QbE~PYTEwU* zI=ID6Chk@Os;jBRL{LNk-Jf)QK9K z^{I2Hizd05NT@OOfQZAxM_z={+jlcs<$72xXK@N|*YygqOg^>ea zaAQD&Nb(n~8V9v0X~`cF8{(gwd{|A|C|?A?vGxrRGU}eUg`4JhJ_ZjLpR*7nBkj4! zCTO!^1y)H?Kfqj0CZD=hadWQ^)ymZe^~e71{JiwKS2kUtcB>8sgMjP0f^|@zBO)iu zWeq4h3mcdy3`M~gM1~dEU@4shIMs-qz02@uQk)@iwYCE%%=TTc5;aFruKF@yeh9Y_ zB_O-~fFM4c-Kpi%C&%u3i=o~tPj zlt3BFkyikA3WoyHQM{?H_*NE1rTIn@PM|d9mQw+G_3G`NVe@kWwg2p4bA>9opnBqJ zI`$H&$TEY}BD!*z9RAR1MzBUu3B}d31UL1*hUDrsTQ-j5!#>gpzTGk@i@XGq7t) zL%Ic3fl-Y4cr#LbsK*g=>URbzU1_{vjVz0XMcC}paR-Sra(QgkN5om3#hw+l#PMuU zJKO5o3Z(D%BsVzaKr}V&cn15%skg_C)juNRp1a|%AJ;vDzW;$aJD_}12^^GnG3}E< zo&Czauzi8UjRMLTByKd%2{O*6Ir;ROfQsp zJItIkz!`Qsux79v<2?3<<(vL6a(M%`#g;sa`o+*6C?4-JOi-lbcwI*w(-cy9;Q)$E zbFy=e*EK6uVlak(6cnAYpL$gU0uHcgh9Lpt_KcL6N~4%G%YDitqj=$e0FK;rifPO7 zU$UmMZu?ZH*yQ1F=c*jSQC|C|Qw(SmWh^_zQ2I-R?44aY$4;@v9m8cg^A%r`c0>re6U`)mYmm-10-V`)bQ{zHta;Tb&qViNK9+eG~FK*X;(R`VDSf1f=3 z;!z_0YaV{UIuxL6RKA&Dy*Ra8;%fhoRan20;jdp#n4`1fw{8@Jj$vJaUh+1_q4eU@&U7kdh1$@h~Q4$2#MJ+{7U;NQe8h zy30}FdkS!DCnREAAYF`I=UAHW$sjBM#IZ97Igd$Ht?ZXf2q|^H6B#O^F;76u;wUTZ zQgLGp`Va{xhETDtxF>`Lc?b>oIS=WZv>d48O*wA?1Os*BxY(%T6j>)EMPm^gJp0+7 z6C0db5j1CL@IDCcECEAi63BB;ZbxL?QArLyESr_Ml2Yo?xT*!0^{7m8${9ICb#CJgrHA&wg#S3ceKv8N* z@krmdxB`CJC5Me+86fmP+VR!9%a@lN#C@;Z2UKg1lqT;#vPZab8%D#f8q%TG%D@cC z5d_O2-4Wu6CTRiU3`}Ybj*@e-k(x;;Jq00}KH9A&*NkX!tgI*p_I?J!5M@5u+A`2eqJzUUr{>bAjIVLW#P45n)sc zg0FbVu9DweF9kITHw>4pBoo}e#o_9T7=tIXxQ&9oczS!00$3Xrx9XG^2fFZNyREBH zQ^FDWx@wJLV3%IwQ4~uyWl}pRrL`7%tD1y6VZk!zB{QK802`!yK#7g*2|Mn`^ys|d zgf7Z;L?wVJ_=?0mX-e1xHsu)v7KAB1r6P_E))#FjoZY_a>BCmc{pOj|q20fp4MTEp zl=h8^A=Q$2AhT6YmIq5FtGaOBv+#_HL+zF9t8KC#RCohP3@i)(`OtOsSNFScWEplIF42per9!8g;a$k$!_Cx8|<}EQv^O@ z=ew{Vq`E5n-o)K|gj;+mDKLXvcrc0JfrnEN2zMqBPKnW+6Yi3Sl?d&~9`G(6qf@Jj zB~OQMASP6itueN6@KDN) z)zycM!SfRb!mqCGp2^m1crrpwZVvfry_v>Vb|kC@6NbHaYUE56$Qn9OXY^!IL;e#X z4hmJmb2nrH$C4E9;9Isb0B=VD_$}}vfDGghiTvR36yxS4Dq-h%K%qld6fxG;k-H6L zDIzUZXUu@%4k}){FxX0|XVy^BcqfwQ94!jZm{Em$kIzDk*tpw@df=EdzI^-Y4SR~H zHFN(U_-883#Evu(OB~4K*Ge;sIta~V{_~K`3|3PdWo9e|+*v#u$z5AA!BC+c`QQoN z8S=3k=i^a$=0Z2nW!eOMOq}?m(#F^ zbCy7MY@WR`;U(5OtJY@wz8VYVaw#TqFltc;?c~`FyL#1?YdIa zG`T_PvPgnHF7HY?ay1V#Y7{^`lylwM+JaJ@QZ)(@sI^HROf1IkCxQ$Tf@6tR?!jYu zb7S+9B}8?UZ{kN1o=At6W`%4-M2=Vrgh^{dOrf+nuTX6&4Ph2sGVx&@)Q3gt&!+4f zOsJ8nkGtVp>rt-DYOyM6Y#YKn;218Aol{hJ<~f9NZFSrW1<;rg&%h$9N$kNljdbsA z<&@R1okSF+3n1}k=E04{{W>OvUYd34xZQj8<*P5b-J9J3>7DnRJUBI(?=RF>N8%{{ zPHebsV0NB7Z|t`mD5HBS>j3zmlGoUAZxdE@<0gLK!2&1OXTN)p#utml zX|sYb8wvUm9`=OVkK;eM%OYpH;)vw1k|UvhK*Ymxu}bHOQN;6M7UV2TS43r2yEL%0 z>kKh00DI!d6#lGMG(eq*zfoaKTA+qu2ovB9`MSn`eX8-vfwcWrD&0^^hXNU*cm{R(rsA(c6jBZ<4LGTRNS%zBSi<{qhxPym+7#|K$u!d+IG z6K57TnkK1?II_y<8+IgrV3qM?m4Qc)97!hGVDXbwrreOqh@ZhKbB`QJT(ioQN7#{` zAV(5stTK+QGU_&~%sDyIVOP(~5VU9#;X1Q)-_}NzGXx#ZB0jXM$1y*iNu4TpaYtS= zo_6(o<~hDmH6M2MP`Uf-UA;PY_2!P=6Y`pF?&{_2@F&1aNjK6huWyvM%XtUQufp5E zyyfjrOdbBpyd5}#J%Yvq2OszE``lV7_)pjm5W->qKGZqXsZW#OO*|;Oy;?xjlh7`4 z!*LRvtotzj!z4INh@;@+&L}u1>{hwPlhAJBB=|1NoCMdmC&7i~e*LXc@XC{>YO`d2 zvyZXuG$8G(HdwzId{}=#fVSqRQx8o${ z*|xrTSYMZW`hC48u6ySF;!$zkr}p#<^$u$i4{hp)@Vq^Jn&)X#pCRD#MCk@lf8Mrr z#}{9}y75K+{lP4E@7xMY<$?pc>1XFwc)|~zTghAJR?bGXqtq(FE(K<;d8Skda8GAe z0IV=%@vJi|RVE`O@Dsd-Tf}XiSc#e4{Nc#TACIj1&5>2(kyW`qvU0a<+EtK6+cO$%-LNZ&v5s5xk_|K3#tG5p`HLGh^Aw>YglB|ptZLiEP8yR0C_xP( zIC|sxi$cuvhyws}+BR4OF*l+%2NBDHH|U}cR2|6tBr#%JEev~TJx~&!P#W;xo`KRD z?Yfbpdo-UEKpIL*p%E}Vs*80mYHoKi1{+3U&p8`zTa9`CvX6ioMN#t)FY!F45%{zW zKr=C$r0P=YY=KBTRVp&!mucp%L$e;9$(Y@+mL@Mon)AWwHiriV9%$x(Te;-}glp2% z%JEA{?pM=b<$$*-l%*06Qu&Z4Fu2ge35&yV-d4<&}f1G@%sR4j?A zNrZ5Bz;ND~v8qZ8XV;@EFH2`osrhwYr?I>PEHe@PGO1fhd zzj%FlHMdYMKA5lM{f7Qe&D7Kj5s;iq;m{P>GlnrPRkx(V7+T@3^g zD?%)Vlmw?}O5^sAT3MBnAlE7Kp%M zXwn6T;$ex|MMvf>dcMNEQ*+m@^yQFXx z6|qiLZgF!DNK0f(o&_6JdMuKfBdH#F1WM)RNNS@DYF1#8vG{mOQWaxKjn;M~nGmx*rh!GTbAo@A>AeSIsx2KJy%V>IcG?9o(qtPDZ?*7 zxI7mj&)Qm>il~_lLO^yrO)%EOY6_!Og99fjLZy{k5FWscge6*P1TIa4R1G<3Twc2O z!V?C=@B`4OCnf~+0|w1ujgB;XFxXx=6)H_gO0THv+dTCTbgJYAd`XhALE{q^WFQ|G zTTvibg)~W7Ss4sm&&~GT?l+PuCKMzR)|0xz z6X3UNm8#_=n81U9Bgj4b#0`EjE+R!IkJ`bdhCHaLG3s@S8@JE`pr7RqP35i$Oim(U zZ9EU+coA}KR)lTKj>JFel*pKo6+c@mLax;0W3w__!E?L>*GwUz0z|mv#72n*&b`{r zA}n-j5^kB{3H3jBOHkuX%2fd`YFnB}x#NZlMPGqoPZR7fUr(|?B*hO)>hI5Y_ek#W zKR^DLyo`G{RMuSP();hu7me zIFBGl8i6g-YaMvAq#j+q=A(Q4>L|X*&GGmtHJr2O`@>HkKT`_g>XZq$Cv+d~%#0lr z%Wgj59@X)W^JmXfX?*eHlWYKUJ4Go@wscJzj~-9ceiWu_2<^)YS!fTSd+7l zbk^kNGive@^RXu99G-IiSd@!9eWd=l<^0T|T(nbB-tQLW^1LWNRV(UxzX^uKqFg<= z;WXxk(;b)}Zg18!al-j^;(R?jFxz3XhOp`I>*&D zAGpK&wn;t|HpPxevgY_b5HWNGlJJ=X`fT*ZAizU##g_E8_bSbVaN z8fkFoTj#5nU*4R!tmhQ?zY0hHr#x{{B~3+I$Me2NgYXM?9tBySu{t?SQQ?) zv zK$APiE&6!eqSI8csulO1Q6Cc{i8jTkj}btfICpvEdUuebUI&0~(+mLZc;X_}?Fhix zSWbv%#V6Ha`_)SJ3s>^BR+O3B!T-haz(qcM;1ZPS11TNlXe%S1`MHqa%{}+bX2i7kO)rLLdNy`vG8kj{mPbS#$l!Tk!JjT(2u{`jeDBLTOUio}Z=br75`+XYJau&^{_W$bYfz|z@0_~gO*gF^0xB6zT53+PiRv!vHh1iScv8D{=6VYP6g^s9 zi65ju1gQ2d1}ICo4FOQ{%nsWcCj?-LcN~}ykDR$4N2wI0eBjjpEQh9xQwYFk0AoLl z0p_vmw#KI+fOr@KJQ@P-j8cgQXQ@6tN|hXvjYv1u-7N?pf5ZC!_@)cXgR6F{>Eeuj z|C3D@k0jIu;em+h~zA%;n;xskIc3a0ml*jun%6Z>~c%lwC#(>Am0P#c}a4!bb^FzCE zyYuR99dJ7axQAkZpq$L&uNyBI22O*`sQAr!H|=j>;6I20ezE^$1i7p75vYL2j-^NI}KL1`m5Agt{2yiewdqn=HT?f(Zwe`{Ygg`pYZf2 z9UUm(Nl$Oi-gfkhtCw#sZ#nvJe*P=<^MBC3c4xDh%g^<5ci+#$ji1{aKQBLTU;F3# z`6-{XkMbcuACLL@Ii?p+gZiiQxnM8lBcNV>!p+&;`FZ>Z%;(}6p#BEa-!$S?Jh0!K z{1?OYw*<#S-C@&)tu?_kr`*}h#1n$!+nM0FdQfmYJwtH3{AUS{pGixzx2U(Yu9fss z7SV)#J8>#%aQ*?mqu|=(>b&LGq0TEGn4=J9gA`7m<|wvL&ryiOAjM;F{^>aiac_{~ zS#uPA&QXZdAjQis-&~#4qS!3=oB#hq{NJQo{-2%N9-Emecm1C;Q{(Q;luRm>x*rCn z+Imk-Ufdm+8V}2GpAAgKslx58ctD1`+{kd>_xal{FK)}p`>Bsa8E(gE{y8vJ<>PAd z;<16L{^Y>a!Oz8wqXUa)QZ?K5>4B+(pNr2Mm^%2m_!$FJ_xxOZ-oVu1f8rSfQ}uda zirs@3H+~*|+q(ay$0O_Yc;q(6BhO|d-LEgtyU)(^;Xj_|<6Zwpk@F1qpLt&0_5XS& z&!4-0{keIbB)lbjGS46U-~Q_Jd`{O#cUSLj{NJ88tpDc!zZ}k&58-@wC(pNhKhNKr zjgF~3HXDspFK*37hnv~xPp10HR4*27A4v7~Ce>fhMz_;!^mVEiciq0(!)$cnY_zWO zFdN+-N%i8hXQQ*-&a`oV#%wfPT|9*8pPTB%b20rxt}Z@%HX8pE&-L|FswWe|<&CQ^ z|Hp_&|L=44d#T>tq~W-XYbepPB00 z&r0?E9@Lk2-5;sG%v9e$RnoVa>K)u)Po!U0qoYs7_@ZvRzniIklO^K+)MBrZ{`0(F z+_-;xUZz(zoV;J$asTb9Oy7?CdAS6ecL0`z8mOj>$9uD}_xjd&^m)Snj8^P=+_G*D zZCQuImUVr6%Ua*wvfh5lE$cg-sO+1$Gah}|u`Zt+k5;FXsmdewHpipG+_7%kWMR9v zW1YBTEg$H_=6JNbcLd$%jLIVscl|M**QHf+M9BFjhCrU1K6X=z<~zjdtI?!!j0xDu9(NQNu+ zk-dg&2SAJzZR9nS)?qtXb-(FG89_Zv>1NZMAVl!X2x@pf_`NRM&^nS_qOgnEhf2}! zbtCbox6Yu32_^#-8iX$|6>h@pFlS>m31rjmE5efutI&N#DB~~)ZZCKaABMI>q=(v# zCXHk(_^7mVf~&+ma+nAi&5lh96WUOeQ7R#O<5rJnHXO+mmo|fpbar&gsYMEvBKSux zF>#0z))e-O1w%ebPMF|}5dt-=Xf^eub3+-@lX+IDp0dw-V@7vIcf>|{Gg9Co>cV14 zriDPkmTWwVH6+kt-v z3(UcBn`7J!huA39j5h~K#RGf*bsYe{VZ(48yWmlgsV^Wso=6VfUcR0}41f5rNc|Yu zY5(!>_lU-d`Qnd{J&M!&{*eACHf9eBggRS6mDDFP{jr5LiT zIY>+Tx*m$jj!XvwLB$})l7}sDvEl+GLNyAffOQb9h76p^JqgOayu6xgG(Nm{{ncaI zxc$d}7aJKgQztW10rJ7B>tOn>ZHo%^j@~(R3@3JL340z$XQW<4bdybrTzsI{#+~({ zUVAR>I#XYET1@T?J^ zkgf|#Ohh(Vtz{=EX=;JIBC=fBy-cHZR320PJb2_bR7AU5rCbpqBt^a@i9!H~caK}C zc~WM>V~UlhEeF-!UtKF}Eq{D}c^9EC$odH+LCKV>Qz5n@4CPg)puH*O69yzjKj!FqsH@ z@g~Y0;afdQC{$D`Q+vNLHQZOflL}2R?dRsok!Cj9YPfh_QO$+G0)2x(-_twM!#q zmyp#)ZNu%6`K@S0Vccv6@RvcFVEA85Dsri~=HXW#r6#STBNwg%*ssR9(q#tNHAYcH zO${?r*%IzBQo7dpR($5X(ef#Frv4WiU+b;JnRQhI=Ne|FSJ)@h^ zck#2EoNggv@w1zpZgn$?N13GjEp-USsmtp)g(!S+K3#r4PBpc_+YroWobpq)2j~Y- zg!OMXq?*R1!N(mc$FWAcRK&0*bhX!UUw!2nACabBz5mcQ-R&d(@#_75rK%`Cx5`!) zz>d=={Qi#w7ghmgLqA02}xoWlxw|J6!_6B29Qo;{n;X zx?_#=#=2!+r!+I__fmF>Omr)D}w}n>&m{I zS9bM0!ttv!!tsoJy`~rqr8&9Pg!&bhNFm4#7_mm~GTBybci#G_Ghn3gG{P=W9C}H$ z+%0SC2pH>Dsa`R&7uZqGnL!j={A97nHF2s!1|Rb`jg~sxXP-ZLU0oFHW(e z-3=s2Q^{qFN{^IQ)sZf{7iR?(%Mc`dQ-~R{Y;|)wtTn+8lUEUVz-eQLZzxJA`GERG z8md5>;Q%KUAW^Tx{fYyFfGP@Knkuf64BM2?=vH@1gt0?)YSrT_{Lo}J(Ii$co21^a z%G6t1$^R`iK=$TU3)*6(Hx#BWsSy&D0{e)&7R1}C0p%gl!#&c36j>|M?x1|;^c(KK z7ux~W;7sf8%7$eL0K^oF-^hvX!EiTQ`9Gz^4e- zo7j$F;;T28S8sTjz4i2=zTfWY3~y%&1OONh(JX!Ow;#Uv6X}*FTl zp~^M@N$a8zc~PtA2H>VBH^6Z}i~ocz=q7h+dFr@~<^-OWi9L5^^cV+aJFJP$b>=H{bp6r@y?oya&Sgp{xpVb$~K(OuKZ_ zvvh=>h22zZq+qLdJcxtOSGzQ$C>fzSuo654f!AXpdiClxgWxEyUVUg^m3z7GJP6(@ zxl5F<#5RZ1Q5S4Xu7K=#oJ3Ao->S>765%7^uZR{%W)v{DR+iYiMSZ+4Fwus(2B8M! z!A`*)W_H9C>HFN%hm(5hFj5Fj<5ospye9R$sKrpR*A!9_p-4+x@q=>Zne6OwvKv*8 z>I`MGlO*9QBo9LMld32gz=rzVsM_s`>+16L1#!(tf4uzgKJ?%JTvoXB$M@k2=bV7k z09zVVx6Y)-4PDbX3ymrZrIwC(R4X-R78pAMxh!)Z327f!DI|OZSDr}g$4!Od?G_NU z7YP$L+4r!;ZqgEc%D6Rrl*_0EEp;qrVte`W^_#cXR=Octf0muT``s^Oslm2_c;2X? zbI>oT^y$H8!)+~RWH$uyZgnW}buvrCUllEcoQ-iwDp4*Vda5I)y|5C6k%!ZO@a4sq zuTR@Y>-(rs7bIlp*l$ zW|!!&led3jm*{_s&60Nxg-2dd$5JyH-jd)MC~0O5q!cBUfT(@#LnUD9wyvixt;_lR z+VcKUd69O=St!j=!2R&ki*G*u?dunKnBRW?#dqKS<>QNX@uq$8>OqcMS9gj?$;}eF zElZbS38V;35(pqnqRcgL3)oUhI@ASEsagP~AjUp&mXpOY18n3mA|Pm?UVurupfW+> zmlXV3a}c)%YQ|S?JuOnynxZD1B@!mkq1C*A*1MhsA`*`8ts7(r{r|J~uRFUNSC%gL zpI4y^*j2FX^r;)2Koo-!K!^rgMfKG4@7017{*L?QplvQ({aIu+I98u z#iLrK-szd4DRoZgI1pXZoVUEjcs$WF!*y@>!LNjPKVYgjlHf0GPmbJF_W-E8t}$yE zcvcoW@qBXyg7ox7`I8*q&txj;zg|Ci((uhMfBB#O$A3y+|KTUi!-i54kgJB+Cw=YD z@w#5iMo(6{JqJt(xRHrsiQY#{V~DS+W2k9R#_c9f+3C0)4^(%5wK$2>FatvSym#G) z4xL&-U12J1wVgR@F{Q1pS3lDs!sS0O``0tN0+vHqM^-2Q>Qyx?UOyes87K_$Hrx}* zc=PTGGB71#Mwrh%j4L29ZaL}oW1XiBQ?PnZXbB_NG=+2ni(YT&$qV#tBL9#(DibT{ zOp*PUykZ2w3Oug!YI|)eKwc`Hg!oL*&P(qI`2K5b!ygdIJqQ9!u;F(edJe4S=8@WY zu*l{etTy;J4Xnbu&IIh^O#Af%3IC^G{_KbP=fcwe>j?e-KQa2hcw%%4FO?bmM~{n^ zKexmU|Cf)8SjNNOIWB7dQS1}RT za+{~LpLdv^3IsbmPoC!7mv(`Y;aTjtz4z9%XiPoty9I;UM}4i;iL}?c8I(wHxs@xj zR#U!@9`()49Q;dL*U{>_7%=(#2sYG~_9-~j&V3z*nMR|TLDXx8i2U1;rF>AC}U z9_Grj(zw;W5(sVloFGQD3Sb{z+2+BoNE3(ZJVCxRXCyi>R|(`C^7Uw@-?!OmR zKl}CiQ`l%jLHz8k+M8feDu37B+LB6|MpP*tI0*9m}ZtfpTgETAAl;EX8QzB z$CitE7&a2)0wmdFHgS4_BAuP+6vJEtIcyK5fS35Fkq{Q|SlIzyt+qHMNEPE6V*}$% zo`^=c0mI0H$9|nPDklm90$?wZp_m}Y2^7>ku`BwsPl|;?%eIm2L-<;WIIq$Gyf>Xy zUse`S(nMzwpWHQQsmkXNE4NTD&t1;#V6{LrBRs4$K1d!%;#|Ej;bpg_yq-1V*7kK+ z`137zLIE*UM0B}%^q&|>Wv>U{Ue5q> z8kMKLwCTL#!_3^gT7F_IYuw6ow1vQYPyKG1&o9Z@TOvd$?D^Nf`K_{%AASCB-+cYu z=bwGYg8TD9>CZpfTQ#}wDbD^*X_GaGFx3Q4b9XUXZ$l-IlK zVHYwaZ{40ag*~okAjb2qXEW#Rq`2)|oA+kC(YX?4pnau|pwZSrYhY^rVJX)>Z%Zw4 ziGZgkd2<==;mq}EWh!KWaXZJtU^3C5>nyXFh<_bsvGO~X%sD<~RQR;bS02u{4CqN$ z;&VX<+j+4FdhjUXdQBVQY#Sr7v28aJc3nYjE90=1fn7zK9@n6LGC2M6cwtKF6ocj` z@}Yjfu@#}iS|M8{9t&S`924Ih1-AzoXDLF3<8<-r60i^$mWhBpt^DJ$?mFf{KUk*6 zL>6YpTqHa~$we3{6KnUnmg*_x1$#-FgF=ogv`2|no*;FPY5PLzuS1*Qc^iRsAxwk< zFd?AaBZ?T$&zl?H((k#m)UI`h3hZfa!&{Z1i|(r7+kPx? z7}hepa|!zm1nBqq!G4p}=kYk8uPmLImcp=%HB3{3w1CIc<*M9vl9=|kYraV&?QE(Z z-{cNuaRv8b2ekDQ)Hy@e=6f2WXE)LP9TH&@IJl<1&uhI_-fw1w9m{j^G;6tGCwbZj z2FvOz_i1bM==2=C7a2z>?2R#F#qmI3GLpejndxi2*QWLAKt^*zx5~39kh@wHw;d$g zm{(uIdrW;LSz~JX&g{GWI{U30{i^M5RRHiPcU!z-iQ~v@^+|^eKrtAxFyVSQynP1j z{#@Br4PM0_PlcFrp5s*WW1hO&Q-Pi9%BWtJ=he^;77~eUf$jo}+j%`D437uchbSOe zm{XcloOrZS zNTs`5pTx~lIW#zH+9!+dMqV-NvpyJCGMH}!*aot6^Cs+e!JYxskWRzz4ECRo3|-#I zy-aw#7oy^DE#&v5k!km(s>%#qhnX{sp1&RN1TCERS75NPg0(oY`^CBi94UeyPVea$rI=MO7e4XyO(4R?0Otg@u7`VN zg*Wi!ye`qC&Ck*j0k!vI8E3E6i4f$XLw8Dna~d|rD3)csd>Y_*A(R5J`5gcu&?JZj zk2PGkaV!$)=A#}dcHPQ>grvuN?2|>|rHL^$F0KHt`8m!P3r>t0k7K~yjual&FEFxl z+lByr5I)Xm6?2g7PkDQdyETBFgVGFzK5_fsYy)KzIUE!$h2oY=$J@7vX zgd}D@r&?*BNw(LbMl-vsjI}0j-r2Qk#RDEdz~oJqL$)F!&g`z~Dktek2lj1;Fs#Q& z@*hSL*hQEpO_!BV1lNZxv)gag3VCwq&Ov;GK_)OLyF|>x)9cM1GP`bOmBQsGpdl~~ zmd;8e(3<~pWX{JWdNbaM$NZ%U97uC#D_NM`G#?900pM%(dfaYs%?&OkE(gV3N4`r> z2#blYgMG)+4P~h7*4pEoM~p(SlAWvk1DeAH%qM8xEKw&F?3`W6~+Rtm@$oT3;}?%XA$i2PW%zH)8ZrE*)4H z`+XuF1n|&ObS*nwq~2x?VY&i3B9~@T_5Y&uO$)Q z0%Q|>coM%$E>nFjp6nqetP=ok->jO+t))gHG4VW)k9l2%#Mi=*F3Y;%9k;gTMCfoc zvDwq(;4Tb0Nw~5>wd{;3P9?4m`KGG}35Qv^N;$92#+?Hg>|hX>qorQ=wd8o1CfP;& zbt7=k(34|5t{r%xQM5qCdZ@{xa*g8~2-@3hZ(>RC7ynq)4YiAj{0Tfs$>6WYG#j1O z%;T*34QLtAL$zLd1aW}_G=?V3UNArAJfCq^g=b)iS8zAvA0k@DbC2)s6EU|zcMI$8 z8s|mtqL;njY{BKO?oYvXYZ~%1p zOP1^c8LQjCjVPZ1 zSt!8nIZhH6e`vZ!U@KW4JTFZHH0$pK1FDa5t>&zKp9s$Dc`%@*tjDpAAe*gTyq|N- zbdBr@NbSDe#H(^1Ws2HGC3yX@M@07C2fY|E309Yj;oWsMh{m^3=g%RLw#3{7&jlriuyhlQ_H`{V9rrrR|oDApkl175{vX3KyAJ}%K zJr!0o`z7B6H~hG66zb3xIb# zx(d^*yf*Kei=6F(X&qxvMV50N&m6?N9d^J& zEf#gH*W`f=L4SX5HFHc0@Rbz~x&VMfl%COIuL3tACoFaD1VymhGTvn|NI=2{b`+tP z^^ixxR?cu`NQ-3*CAi7}L`U=Pd4)Xfi;0=smoCo?$yJ_adPB~LLj}ZOn>`G1xgMB& z0o0NXHZbgI%@bgKf*UfkIS*#v#2bSdLlcdO(1_10^*vbDwWXU<+dji0jv+EtJ0>H|JoxYrd5s?+bJWuu#jkaX) z6{(&oJ0HhMSYwsMRYBCTQuD;>@fTA#2G6R_i6~y5 zqCqEnE4}VfQ2b%EzXlwcL~vxvq;cm7pI^&zo%Vo%?oU3MuqZ;DQt?{NS{rzDV0fCn zho178!fRm%CBD&n>r#z8WZ5~ehXdh%Cj%r26)_Fl@@g=Xdc%mH+ z2CMFtcj#8c3WA9HUn^A7sX9C1#anRRYkR;$uvaN4X@d+>S8^uvTFT(j|T5Lz_U|6cd%!$%Qk_AKroSjezR%`^2EB?VCg;0BAUH@Q})oZ{!Z_3hEhP7iKS6r z%UDlJE^=uC6WwgbQyoQu{~;5C`RpBPS!YB3v%!kt44Zj=6ULGo?TsYdd&zi5Pt?j}F}{EU8edHJQ6Dqoq?cV-h~riwg?Ov&eF4P@dSvEA5Y!>J+K|5Oyk@P> zxz5L1i^dEN9{6u7_#uEGNi=rvfWPkr+zt|hf!pN|B-q@YdmTCIEjV48o1Q-2=SAbH1xhg6A?Hj2(XsFXlhK+wHCG z=AtSV0kZaEWx_Sk`v?&kGs7A zTQAT3C5EKGd7bJ#74KyPAoo4+_e7Lve1*mWxsPBSf%U3H|9Tr!c3aO_#y9^z7C8yA zb?*BB>HyBiZu4j*4lgAFnpi+vnWvie@GN%trbps$%4S`ktjCA_F#L1)-}5hi`}1G^ z`tP6keE$8B&-MAYU;OJgAGP<8=jZ=@@W^tR2uA%qTp_pZUPkBqoW+uJ{%V`vva^=G z-zAsdGko~Ak#%b0bUwiQb2fPeNS*g`;2JBJFYwFaRQJhaTDH*Cfj~Q`oL_Z&N1ien4*%d(HJqd%&#C3Wk zh3YQw^T0v<==(&L2~OQ*N5f_d_q3BQC(teMflmdq#cBuqehs@$x}+_V)GXYvf}Wg5 zB#QeA6q!j=wqH*q3gTV%-WiT0&OC<j6IDV28`*h_#UIL15? zqE=XkyK&jc7qPlWSw!{OMrcXsr}|o=4sR+;8t!o1^Lf@YN&eWjdq2E@+TJHPbQx|W zm>Ftxo@bWDiZSJ-B}^4NHs zN=N|myEc^r^qP*foqaPo0sgynBq6aj*J4j4bRivu?}IGdn~lY5vB!deVrlump{HCm zAGtGeturAFkYTfASUkfvQ_ZaHG*^ptK_$V{;Da?U)k%xTjF-7IAbfZ1=Uko-Jm zCH4*{>BzhFYdZI|!uM_-y}j&7XE;d)VcA)}Ec-Nd zf!%g=a1P;&tPUPH#RR23E%qha`Mx*yJ~?sNU^080Nr3#|y47ys{0i)VaK3#&k+DCH zjoI5PnKzuI+qIBA4&W=Om4Uq$zKxyG8Pz!zR+1Z?$9oo5OpeED>3V{3f|{p18$!jHk;O0udt} zuLQtt>HQR268VT-SHIyj$7D%wW$j+xF3M?l);nu=o;-5$G_Wpz#a5P3_H(4oFpHfj6qOhrLef!t_!&mnvex-t&$0> zS+js+y@?770WdBw)(GdS+bOLi;{Zx7`<+YK@4GHS%W46Lh1I}2kq(12c_9J4zcLh# z2+9(@7l@MO;F~oW`)sw4H!{gH5Fl^sOa_ru2yVaTZe>3)e}x*7AZ`PnPSg{5|Gd~_ z@DNyUkCp@Ee&$_b1714^0^-&@F-~t|PKx)xawEr+Hqi;U>_PZ}W`SPRxHUpZz{Nla!`tQSWUlvu2?3wml z9%7mQJ8 zO7J}O(~9W1>pU)s+O zbzuW+OCo>4V69HMPY>X)Vv!+BB@>p2*yljjBa)^JrG-m+)5~uwQ|0ZF2XU-fLdp$0 zm~XqAq>wc{nt>0eO=!(-f_g5K-8|h&k2{P98(|5arvrAuXZtJt#^>JNjI-45Vf7@~ zIT~3z+a9y|JOXD4c~RA|qzCCb&n$v7&U<_Ak@O`&S%+zEp6}M(%j85PVAAYZ_Dp=6 z>Kez>>_C+AZlK)(84pa#jQi}`eWCM&9iS%KLfRS8WS7f&%@T~KJ8E?eO*AFC6R)TFu|(shnFCu z_E2n2cl|lMfvZy_<>P~hf6ltqnjfzX#*Zy zVJ;o=>`l;qjzgQy%j5lY-{(lw-9d*8NbHFP5ZS=1N-;si<&~%Gw0bYuo>T{t-r}7N z@y4pLO)(VEFFDh>tl5-BJG-8Hk&MeC&t7jYECC4Zv0oc`bxJWG>pq4( z*vAg!Q7|f+UacNIylQ4J9tcJ;$9ijgD^{Q0roVY7a_>t%BFtz236Ng5BWfGpEFhWt z-96&H!RKDhl?>gE2E*KB9B*-21MP3aTfZ25o@bMFgiNH^A?pC^&5FOKdzgiJC!>pn z02>51$X8FoN;1|mk3g=2boYS47_>~}W!VuTZZgh2$Pz*}H!Qc}boOf&$V##JOe&6L=RI1+^1Zt|nct13*&Y+U6a$akB9z4ID!|fSFUD*I?^c1D2b*m+Lr z(suqGik_AT!3r=x7h1oV=y;!g$)>sc&|tic90OQ7i5aqq=Iuw%iA75`c&v|HQcoAF z+!VvoO1Hi5eeh_?K0h%8Y&j}{$XA6e#?gWs8+%TJIom7~DtEg(&KkVf9?s+*3!(C~ z{gw=|0M7m}OiU4BG|ug@^a@W#49txb%D!hr|9LBuBFl_T({$ zT{pWflHwSuJy-}Eru#iByjPn@mRK{8E_|QEKK|7&Jz@F%`OQcF?Z15X#pj=V@c}-3 z_r+f%EbZ;*tf(^_Nd`u zh~rFTg6b^BE@6`e=LFv?&G`YgMTq6%Zg9p1@J|Qn6E)h^iIBHqA2Hg257A!ih+;9$ z+mdZoVFOEwqIz~uAI4^xlP9iMT=(sodji>HI}p5dk5)ftFZOseAUm6RU6#7v>sf-0 zlBAU51j%~aPvm05&emWs+@PoH+?@O|LmjR{qd`R`wQ^k$rk8uI=wlx(rAiGc`0CY7SP+WFC!~wvFaCC ztVMG3DwJ2MaT|yF5Ko%rFQsNLsmLMy9yj}Y^P6hN1nWFCfb&y1X}UDM9cA!WIXan66Mw{_K3+MRc{_FzUeKw0s_@ZQk&vwN-jDzR zZyvP0XJQ_TgMik&jUzzVl2#&1>~K0_G4oRi7ZzBu5JHzd0EN}VT%a}#$(sy?q&S>J|a!AR3l5J3F#imf$$y}a1+h=%WQ|6Wpmyz_B!spk$hBWRU!oF zQC<)F;el8? zYZZf9>UaV>?oM>A;{}`xpuyJJ4-#LWepP(oRros98Lfqn@6e*A{3dwJyIh}SEhg^M z6QO#Cl15!b;xJmzZ<$$%tpTad(f0voJj*yLECL5UCeMW!Cx>qWC{;<`6ye~BS(wss zd(Pn@WIgh+pS9))xrFmhe&pmWIxDPR`2irB8^Sd}ZCK?jy*DhgXRJJd2EQs!#4BK` zr%hQ&jtTY%Kf9{><^-_;P6a^i=Qi@DDNT(j1`4;;qQz++A0kS*RCruQ#yqKDR-ZWm zn(M%NL6~?&W~u8DY(Bb1U|vwS zU~4CwvZ%N)ta(&?6!PCIDXiVAo0L5c)=T5oBWoq=iVnY54Tskz%EQydOJVF_C9obE79EY^jd)WX&Z1%%zS$4n_(>OC$r{aQ< zNn`}T4yzr+%=M#ZY%_yf%Qaf;nVXPmDyN(}sNpf0_Mt{49)_Y~&dkCYf)Up6aR)-}fv+LyjZKzu#^e)wVkx9uBD+oPsf+R!tEjCyzW+gT3UQCc= z6EUoM=4vYx@!3v*+tM)5N$jp=f`OM^?=^-6{-PNE^(mPupSlMLj)1X1-64<>APIpICEn zf3uN#eNNKvHt(TFG&3RtztycQsT$cgo*=!lT7uJ)w*FxdVNykr1k@NBjmxN zAd4?>O>YhC^JTE;h46qQ>o=&Lh$%Yw8J&RBSpdzNT zd#P2j`=roGQnZPOdf}jrC5N|u*HP?gsoD{@vjX*?k!&gcCi2aT=bGL%5rhk2gk^Yw zhb(^rpr`o+&rJ2T@Tj)%sE^P3^s)E2`NhrL!qy|z6rvG(I`QiDn5}c_@JLqkmCpT5 z$mCotNVef7OxK%yVDHMp27s#NRtZ&1f@$mlBPfN&l)7N$43_mr66>&CVv@;Dtkfdwx2{9#wPs@2dSz&QKdixKoy_J^MOF7HEtzj;3x<{1 z$Vv3J96F|q0QBVyZRq)}dzf6xAP>&1s;;{78 ztzWR;i154BoK;Oo-h9_QreZ-iE5r19z4cix1J7cweIPNMhgu=FGujdWSznoa>k2^) z{j%9%XXM$*e7Ex;_p$+b9qRSU(DnB``+exLUdLvFpGv8Y-9nObpI)otaj*FBVu{r~ z@PPfbnSlU=S9u-$w(tPpRzfPTiP{k>FM5-sEaTuF_1}=2oSF+U3K#Cx-Pf!LalXvUOgUXCxpJ z0@qiB#caWbZD8)}PM5ba2>7U&)}76mt@+81|obTmSA08lB~|H^ zQ_Gj@5tcod$EJ-nviR10C;wSdl;0!TC1tWmAHe22^PD`2B6_Hh2OD9;*-X(I`h_4h zh4@$pU4iKAyyK9nrEwt0LIc~YrQHpJpwY`qskYnVy`^B=taXs1qP2-SPTudSplJ0f5;b0Ch} z*xWL#U_2j~4LRrmnYR>1Lgh2r4nigfP;{elUu?RZR{k>aG`o5~C0hZfw8_@y(8khP zZns_BY7;O{4B7G4)CK^yJqvd%S0KyqHFHrIFWY?ymw|mgG0R3KkR}*yCWbC`Z_v?O zHL(Jjx%QhyS1p=8V89Ab&TneW-n?ubJ}`NOlxB!|-C4*M1@x(aaXLt18s~kygLEL* zmWCkA59KLy2qPJT)vheJdb5Z7d2feCKh5X`yvuAnO-5!mz&e0la|_3!`U4DXk0EB12Km4Vf%nE!D-ZDhvM!>o?! z3NzUN*p}h;dmEX7ewA>=bsqZ=)0LaORmy<%+v9ulh_VKRwy|bQscsO^)t1a&^gR6G zRXeG02&uF{Sho46wVPdb@LBBKz8z$;Q*p12S!`|L>uY;hXR4u%@laf&O(E|Jk@I6f z#5S{3`9W{NiUtL5=mV`l)*hxC5KnMlvWw)YzpAeYqp1tOHl!|<=%oq6SKf-^D6!5Y^KKyYj4&{NV+$kfJt9h07A5z^nh(*^*|Ac>au{bYM|%Y&2Tb%Os1x900rdfe?jozC0CX9ewn$e~Xo zk>m=5^Fa2TavH4p2#kuw1Lv_2FBtZD1L+1ue0J}!xAEL!?PbsBy#q3?btb27L<4Zi zxjoa(BKN}5S|-*B=*OBkR!Ne>u?Bcm(z8{L;Z*qI?h~k$x#7X>#3A15f-o2L_?Ek$ z#M15U`SZl1hv3aXZtB=r!9*x2f#_+irScPAbP}|A*S&Av5W&Hdg?)L^R4^TJ2Mj*4 z6uyA9y(>2YP5?4y?_0@Hl|gfeM%%Rr?fNc7C6Vk3jker)#K5kK$Fq2jo)xS*!n4;n zCfid1yFIMMni>_9tqTUdOkiG5(KhJbuvx7RBCO0E43ETLE?_Y15-e8j>sZTeNVW>_ zeg*CyKmX*bkAB=@Eo_K)W$gqH z9x9tl2~p2jgsh7q=n$eq*%v+INVc;bU6W|9yytsm+Mc=De8G>Mq2v=R$0i{>lOhFX zywXyewdsYd5RNq{(Xe`JW7EFs5s}RVPqV^|$lBDJY$H2z8$qpOE!P8PdTh*??9eUO z0F{bM1$SBYdxr$1S7>nrl(I(^uL7}DkYC*1j^KTI9?(7?ljecEI`jYManqQpfD;y& z-LiHzjl$@*kfjKxAoi2r9_zw90JC4z{%p9bmEQ*#{QyJ|ox%*XXcdOWqd0v$6V!04 zpjXQ<*sCyW9-GukVq>o}oXbMM-D=(E;F#K>2>|EOPh#c5OMq6!17fC`$UVZ=-lHgh z`XhUmg6Cx{IlAdz||)cgN&Uasit{p_VuP;9DN2v9w3y;R^? znoa1JawzuesO08pG=FO|CqcFi(={upcs#0d+7CX0O?No^weJhxW?xiSAH>78Tk-ME z=C+?JZiAOj?M*?aH&Nk<0wfsZ-T4e#@9sk-`4_xGc_WZdBtjTXLtPhx^$yl0c$7>e zrRv#;3_UG~A$_k6B zazO1C2TUo~%9_WMpcszWM?;`acXEZ01y^!5N~XEkXc#<#eTbtCMa=Dw1DfJHVRm}_JO z!`wVI=an^y89mrLD#!&E2a3@(EVAp1=jH5=(IUg+)s#6srCF9?dh+ph=B&_<5ZB8a zzC7)9HjJ=@*Ziz3L$=CodGTm%_BrKtoUn2|DPMW_GHJ+=Jd`HzJOFO%l)6>gQhMT* z*A3OWaTq+fa2;R)5iCxIt@Gx2Y*-gaBM-WGf#$^_KTO6|uV{HU+-f!Sdev=M&Sb6l z@ft`*5x>W+=L2h<6B9kzy6?dc-+r%^)Ced(tVBwdD>v&3k7CE=Rb%eWJ`tdmVsrC& zy-S{FQqUe?w|O2A&zCXj21ZuSyjRko5; zH$c_9)k&A<^y_XJ_UbR&WFuI#qOkcvUaTn* z2O!MsCy(zIdj?fe7`94Gx?NEW+{8MFtCH>Yy?^ED%5s2`W*fmRWy)Tf)o zNT5c0wVH=-L~#i6;!0|7@gd(8UPALMRZA#VNlhyCkld{wYf!abFSs=nNei?6VxSqn zBW=ZW6_f39^|B8AI@mL|;j+SrZI%aa>)h|9pU}TjloMd_+<7DNu8Sx7FwCdzfZkXj zQ=Sm(kL($`)eg7nJ=3G9ninjuILG9b#yY>65L=w>{R5jV_NAVr)#0`hxSX>7y;`>o z6<^ee*g(IXbf>O)_WGV} zHb`Z+!^Z zAOba!fY!T*)|>t5kyT-5O?wqX^cGoWzAbyG3Hl!(I(sg*Mo(upQUN_wZB(Kvfei`X zDIWv~-c>Oruxmtt2zo)lti)|KD7?szB&_8UDC7Mutnl+ooW;A1x{ zj96cze?UU1{a~xsJTinwvaGt zON@1LWrz>HDU3v^dL)P_IT@G(leqRdsA~$HcZXZtu1Z%pyGHdaZbg z?Db%kl}$}<&}m|oD+#=uvq-SAu)%EQI-S#^^*Knl7>az%l z)wQN{FS#rY6t}{GUe%RuuhK@kKCk-bTA(}I!E(A+S~n^p1Hb`|Pig^pPflp5tT}rZ zI_v5~5#3uwXIo~5SIun6-P+KLn4`(f11gDZXg(*6H}G-X>~kKQR^!*WT=NvxYYrd- zGRByAF=AFd&fFMB&*|>=THs7=DnME9J3;DG^01j-w~W&bt0)IxC7cI1;dMZ5Uj{GbNbGbU=Thw~Q+Q7gqC5Lyg@k>NoK20ryk{#vI;=#aVNleUG@y;+2tL@d*HP8 zy+;opB0wY);VtnYWD_kOh24ny%dES?z1ZqINHU*lRgrhItg5xIbA|$Bi0R#JjP>3- zL$mKCDF(a3)9F3_+uip>`}^C9U%s~$|JXAB{IhR9`|$zm z$4cJu5r~QH3TB&T$PGw2eB9!vd1Hx{9Cl%4^(2;AMv3M+8VbuiX|4E{g!wT zli1*0p;0wKj#jivozMN8$MIh5KwOUqKpTMFDjL5>Dr;7;bc$n#r;ZE?BbG-nL@8rD zGHiaF)f(3MVVbXHa5wDd?Ok5kAUAwQ=%R&judvI_x)X)drZzuk8;>1q#%R~H*K;84 zOkxI)hah+Bs}UDGbAU>b8~8ci@$m!@C=&8vDZFjS)7gj$LeAS(H#^hLum{jdxK9;7 zy{I{QAt<)Dn`4eGT=TK_hd>%mxKcd8Yy|%+z~ig>n1}5LMbqm0BBbYh93<8LatIsU zuUT24s|~3iqor_iLNKtE;Zp|(&FA&%{GNsPgKm+^W>{}=ptS?HC}IE`$F^3MJwKnr z^GxRxAOVy1CI!@_Bkl&BT|nnh5?)i`p)lT47*6K*-nG4*c1vrRIE7l3Y*chVd#Lg# z2Ol67r@gLgEV?|a4+5|AFzpJXc)c&jqf9nKmdMI21AoS_D)Xog%c%SkVf90{U}4LexAr zMSsCRO!c*CN=fo|u%Yfug3 zwIhGcV3J_hjU|HtxqWDeA!=7!4VcyvfQ9&><1#@am>$ir{|vAVU-Z5z<&CD zxMNoce1d!0czzh~dG^R}5jGeY73|(ReAwTy?imo=*cfXn)e57&&&?LTcMXl(x0)Oz_h%rn+7t>&XFZ11rMxQGIz_hrJ`K?VHJyR?gKf$5u*AGvioqrh)$>j( zqqemZ5<^`=*HFD&%Y5y_0bUH|#%YE^kXZPO(@I|Zoz7YH@rssS#2FL0=KGFq1)dl^qO=?S!$Yn|Z5bx$Bt`UMFW; z;X$jXJ)G0VgOW^o9|2{jVsB^L-{B=uXP?Jv3qJ0Kv)@eF;w7wZ(yCQL1o{kJ)W~JYu`Cqfza5!gA+B9ilLu9(hV<`|Jm<n&h%ZVejk8B*QqnwMwh`d>nuSb* z#L{BLXP*qhONX4e#%Tp_QtjIZg>0$qIc(;}>Ur2?#gsOQn$=j({YXif z9}fU(wCvb=7#n%{6+LMNSbLY;6aZLfh}53+tV1p9c!BGcn9f({!#tRQMq3J+?sL4- zU{%z*E8GReAH6!}CFJd}-YfNcjIS=MoOJU-oR0|iGm&-bGQ{eQ-eED_0Zosux=CSi z#66KY;9~^R)NK|6XhAa%n=040jf9=k_lJq;o6-u3l8xKaJ`@BKbr#5PyjG=H$F$Ga zWz8Ji{J0s8p>%r`m@DFLg8cxGm(dGgn@~BNCIcTmVQ-_ zalLs-<9m+Q0n%q5Gik6d#Kyw@3kmy-S5Y0!GO#^N?91pcN!M$+(N z6*Fz_7CtLmG*NY@o^N^Wyd4qHQdb5tF9qaTMs{$Tz2jfznLRzS-0b#;#wpiR-bQD! zGefd0X&H9!*-uL&*938Ho{Bgmgyr+9`)WyE(z9Um47c0pp&7O^u;;MYJlk?2wJ`2V zlC)RnRNho`egz^r*n$yT8lY)9p!Igi55M|*x?w&?3azRfSOuxRZ^VjsA zO9!jDRpz}X&-8&RK|kGSpR~qcX?cqRtf{+IZT9*>^~bc?P97mcN z>JxMaiKiY1lj>iGiqct~+r~KNWjKkryO)`>T6rEqDIMfB$`aqJ383~(q%O~*ASY{a zyFq5wmz3evTJCv{-PX1hEPD2P1>&liG}a6mzh@x{A8}gJy0M@8Id`_@urJo|co*NM zrP@z+c^7|xPYJhrwyKtNO%J?f(%~^pUMnBOihJmNI#`rS<3V(CnG*c*wn1$h+4S&w zre^_IK*G0sU+Y2;Cc5=|y9biPViiVYld{^U<#u?Crgj=wT9fRUQ0@WTa!YGH?hMDe zyX47IW07Y>#Dly$a1TZOfH z9*Uv?Pp@U*Z_DwX%-b89` zQYC5NbFZ)yB1<%e5-y39H@J0C9l|CYCTFN#xnWFta|k!vYQ!hNG<% zM6QVO<{b zXyUib)+KIawoF=1)-9aym%(;W5@xImdC-nma_pGrpkja`CKlTCRW%5WWM3$xvPiu9}T7psU7n_f#H@PN&uD&=lj)#`-V?P(9`vaA#wIK5u$>C|f_VNSW`$ z%1PldE4$7KV1M3KINj8GcvoUlzJEWvrVVk_g=^nE2wGq1Fsoe88A4)91M77ipuvH( zJs-}$E8p}}K&qR^Ksl)n;{9}2jIqquQCvA1U#@+~*Xo_BWe!$Jt@GF{D%sLH6MnX` z=4YA{D{_n~0x1teC<6Hxt1RCRm^Zl{dE7{5%e?pbQLl@CwLjfn%n7JlY&mb6TK(o6 zb^)R zJA_Yr^`BLpI7_syI4X((Leu23PB(;kC>Gtmt{fW)Q-zsYFE7>a*Z)FaT@}{qIiA)G zsDDiMc#Ex<;quZ3#Clr!*pHh<){69G$5`d(hGAJK^)w=G5 zU9fnL+YQ0GCccXxKwMg_J%VFAV7aa8f=+${>{t!?7La+%InN+ub?ynO zWJd$0z-qF~Me(`L2dwj=t>L{L1CZ2~?rVng!P7c37iLD}?oDOhs5Kempop+_5iaDA zn8s0aizzFk!#`=Es;uk0d`KZAR8O@`cxtxkhNL~o?fuQ#i4t?scx&~6a|I(<^bl5! z4z?1X#N^06SS?Ah&aoffVLXkMz}$MiU0esSUqUcrJKg~2!(M8w_VR4cwe@D+l1*{f zaQW6m@$?jGxLJgIQTa!uHG95EHDTFro2m||(%r~6GSM>iW-nams^8ug)s$=mVJ-Va zmWsm-*>vW3mJcBfQgeoQ7t2Z@(Fb8+RxT@bV3ug~*fnQkU%NCnJv7evUecAI3fMaH zztQ`64FQ4f`U&YP~S=VuE#g)cA zFkmG0sLV=!!dO=CVu@%1Zx#S*K$X7{+epZ6w%zTe*4yU^mdDspJrH%m^|}}cdbH(C zY;ho__UCG$#mCDj;0eo?&-2ZL)(r6q!`LBP>K0y&XvB+YAi`$Qd=G3w91X>q?)ui~ zt#3b?<|9cNKLW3YMq6&L633-}1DPa%g)ARo_1Fz+KWdp{Rx=_j1fgYQ)`ycwx_P73 za<;kBhTjvUYP;8F1zH1Os=_`O?-{J}wPa0OW_TvZTz@gUEZlpO_59fjkcV{7$au4A zhw1G3!(>hHb`WV{L#GPs&T}U*+#Y^xLT7?}JU>X6P5i$z@6&xhOy5xtYmY(2mWd2D zty!+MRqK9YTo_-AnjfCJuQqcM8*JR1Z00+yTlV0L(7MNAib@)ND38)ma|(I3heS)? z;_*;x;e2v?Joj8ZLoH)hX6Bj*Llf!o$R2t0^XPLL)z zswHeJ-a`yuqe=LEROJS^Bxq2nXIjOM^LDhi&L&b>b8?JTFkK}Z9s@&?7sj8xZkdLPfm`e_713LwE8VP z8iVA^PI!=Jg0I1yw)xV|j$EjB3c&2154OufAYi+fL!-^SE}EBF6?pNMmi;X@vU|ca zljz>|c zV%+Pi%Gt*Pza?w6NbeQKX1z`&Xa_?ma%2)&VlHPpaO!3OScUP zaPeC+C#7zI#w-tY5t3y^8a1un*!d&ihRuGt#go(}Lxz^21I9*jqvtptuIC6es-9Jw z++Yk|k=rb@*BsgjWg4|`y44UHNc^env#r-%ZS46uAQCk#^~t?i-pGzIRvnM>NCvW1 zXWt_&Y?MvW`r+hnAW15yjiu4dOYA=M_%AS3%3(wc#O{EUT(@V$;o@O3i z-gEWrZEFl8SidUlxmd|OL37!#3twnGlH;o^%%qRivd2!cB_mD6gw+9Q4{^X;7Y-E~ z*WvNzJu-~>&d;?>8aP_%4xF4Ho0?XD5*RgFw5%dKz&-@nCCF`H4K5x-End=*Y}eT` zWBnZ`eRx+73c3f7;eK`k1c0G@ihC79*)dC|l&ms%fjXwrXy-B-1u zdZIP|SBH1^VcJDE!mr(?zD+fFShYfr&Eu$+h-f+K7jueM!>JWu3HC@1{A228pKMEC zfdvF!(tvd|HnXrTJ)ITik!%%PP+ei)4-akBj8|>VVrMe^k~Do5zI$!0At#&2O8do} zFckryHPI>>h2#bL(K67gW+lT9XY|RIp9iU?^A;5O6dQk>8hBOCnW|-JxBO9+nuoPN#jJ&8g z%r~+%GLRN-201&HsaxNxY!DeRF1zZ6#kSFF9)tu@rKmLl*FsSV!c6-%^r$93Z+0$A zysq0eNRJ9tuP4w9vAiaVYb_?IkowHO9aeH5mOQf}*S@^{VQGD*qRy8_NWfmtbGK>f zb}4(e2tI*`ks|=u!TN@F=A)dWB{g0^Z9$pZ^vd;LWzg4KM3FbJ`s^uHB&$0reUhZ9{Ukhkj%}% z^!7hN(I@>`cA{QTBvm1JC6M}>+7Os0Z{5O9mb~6lZoYVV!Zq33_1?ZNTBqFCJ)V2- zmufZP0er{7;sM>GSRQb;eP0;R(KFdT_3Y7X(N`|&pWyjqZ)(7N=%Qg)-LIA6eAxri zFJ*hqGbF2D)S$`Q%j6I04y#&V5Xa2^j}!D`c$69`3igsKAPBVprL{rq;{%BH3U*`e ztpn)ARN$4+k-}c~Z8)#lE)lDEuRP*L93zJsi%!ipQV zov5C5xtmpCwrX_OCffR6CA6x(;OoOuUIjF>IuqMzL-acN;{CS59I5AOJWOFZCD3eFY>=+N0T{!+s=o*mb{e zYR}v?#gshg7}$N<87ML>wjoyB4A9<*rsNKXKWJPN#(UIPZ&ICb-OKCU>pdT2Iq$K%y#wk^d&=C}HEu>j}ju)`)7WFWjWVqx{sCPRYcQI2I) zT;HxZrQ8%c0}ZRvy$pIZYR3g3uVnKRfJx}M$v%P3jH-VQWtz|D%JzKIsgM+Fa-@OtA1`a&s^8I6HcZu7##Fm zJc;njlkS|rPh@kZ_Y9+l&2wN^kL=J3QWEC*3cFn!B=n@4F*@`?FvEgqG9%$KQSS??^eRIWu?m0=ab6V%~CPz`&U`++`oJ&N&d7|5{ZqMn21EMlYb5d2oq>U5-EZspyfaHFcs0Tje0m=8 zp=Y(8t{^B#$2OfLowXG;e6%fG_1m##YMWI1dab_Ws*%eyETfyNsEKIYCVj!;&v<5w zbr+>@y;Fq!)um~Oqm{#Y=n=JnRqhOqv`X3X3XsY%sR0#5|JkE>MaeCfhkz3V6GLu) zIw7csf%vhJkXkAENcKS6*K;cd7tgf^yC%EFgM>s;o87RgZ&i>!u=34*f>X#CC&xB?sx^EjkA=k<<3)8Z_$7cgaba>atpx51b(Qp5wZ! zdk1Xl=l&YZ6QZFiIT7!r&1q<_rQ9he)JI@DlLHGYm{KRE|KN1<%O6C{{1^L=^KS2GJXIAA`1%e|mcwR5e3ml=A7=~-leSg}T&fVn* zUFn;OaM^M@^1dDp5JtdA@Fu#sL7PcIr zR}=FnfIc$pAn>8Xk_%`&?}yrN-oyjdj`}En3_r68VA|Evc43U_$!;);tpcwnl6x+YO&;jJEF`Xer_v&nQT$e_3Mg>t(6A5<T^F%S;M>j(Msv&Mg!GCDW3HU`kcxBiP!WRA6Zel^l9kbu&bp2m2aq%^?7-DGvYq zU&8cHzy8^;KmN-_^3kVX|Ne`w{^g_pSypMCrFr=NWDhfhBG^owsl{o|LPeEY>8{@;K6-qzTsPo`e6)Z3*yL)8@xN8rDZJ3F)v8c!$pJ zOkN)ZG$Ag~1=XJN@dPQ-`nDemh_0Zms(wz|o@S3F7M|2QbS>X`0sdOs7xMP58pX9Q zul+@4#w=$oa~oaBx#m`FMTDd&pfqd}nb3C43|v)!1I-DqP@s?NG2Q(E1SG;Y+edN@ z0`ru8nPw0_TZPT8>#L|K?1xt~yPcB6sjL9_dG+e~f_j1)yer0}#`iR+)U}c2bYX&% zoawQCtP)81fX!oj8Ev@t>6ILTsT;21Y|0w8*Jh;a`dUZ(;lU~d=$Ou-J=e@@`p|-HcOt&S6^~aQ9}H@OXrtRQ0XySs3h*m1yJVM{?dgM~_7uZgrqQ zd2z@~8*N9q@)L!@I;boffw$Lu{?Kpz4t=h+sI}302x!ouxzRGb7Vz5xPiZ}~pe3U~ z{~Iz1z2X~#zQ1EySwz9!)oqMgyc2l0L95govH^MllIbgK8?-*}v(?ObkF0ki=~SHu z+kzAd(b#q$V40)~Kn-zIl^A^=PSwK5R}U||AJ_B*;ItMS-%Drx^6ys*KA(N{rP1e8 z;>LHMeEQiZUwt1#expL*4WUsWq*{G5f;$z=wb3ocda&GWyIbE5Jk_0fApH$?Eun^G zX?@qGr5z!T8w|56S-JGv{`Y53WwM#u-lV91hVF}{C{K`K-^X29Fc!04>LYEtT^Wfv zxxF*J6m*HbNqsb9u>2mZ*dJ-g02S7JZS|zIdiYe7odKQP_0_HG+XH=$P3K1MO8NB3 zLZsM9V2Tm5l3R>@nJv8{RnN8evsebJ55(C7?5@K=29oC>u%?BT`D&KQ=(~meUzRUqZbJGPL@_Y^V}Cb311t< zd|0s+KX^tHc89i;fUISOl)xsGDX)|DRr)8tDoV$+7Z9|z!^>%@+tj!DKES_&)@4;C z;j2bHRWIHVz&k3`yM*P~Cr0zuZ_Fa$3&1-^k;FCib;x9KNcXyU$-Bek*0)g4zM5?V z10J@QFos||*~;!%sguue+(4vpy`M`A2;q-5G=ggSQtsn{1A|L$ew|FL!Gc56ClyOr z5HlOua^Blz=Xq;L_Fh!ga^Vfvbet;D&A=6lsDO;4m!e54QA;-pD@koo^Lh79=_X2g zP@xTo1^N|YXkq252>11*x^5$gn|Hqk73{}Fb%1JX_6(HQYy4GRU-w&$5u%;dH-`MF zxyNt&_W`m4(+uj-v|R^AReiI(oVfwjD~P=baSp{ynUrXqm?wa`gyuo{MWy4xlf1VU z0A=&+QaPY1ND1lCC?$Cab6b{|S-kN*?jDi?mN9qrjRUj$KoDp3$0vBf-n}5;9onl+ z-z<$v9<|Mv?pXsHzbK0}H)U&<^YyDO_c*RqK}7xOCN=Svb<{@3A|aUEt6AQL9U!$3 zOltCv_2VSB*fP%Q`QV*KECoigA}>}4i@gU0YmITkI;-;@HfsQ^|D6wY*V|!*N7nFn zxnJSe$fB-7eM|Ykso|BPTC8VK5+~p0hb5fKhA#;!a9Z+`VyRJ!l1b-^yO+Rb70pF^ zc8S&NYFPqd!QKRzc9`y{S00Oc)rbSm>iv_qLYsEF(7ZXEV53Qwx3} zo$w;9cG^YsDU9}U>iEpWb>$%H1cF~+0h_|$5&AtHE%CSd(mjn&^O-6W*tPtzXUqb& z)6(6Z&OyOpz|Eru2!z-!{#z|8`!ETdR~>Z(Ca8x~G2-_UhV`Q>V;xRwq5OE!U|~V$ zS2?hki;Y!bO3MUI_mS;LT-dKl+-23yd1t7UCly=T#=^$3-Wqb<@9L=~!9~$yO@H8l zq_|T}!=8Gs@i>M!>Uh6gIkLnb&=+`sP@;VObu`7`h_dd(Cma$5w)Zja-daoO9wN96AaFUQO=@s&dCO*_!t-oEoO5goNQO{Y$^nb_EANtcRb3La1#M@) zya21qsee3)`+Aj(7p3M%Py>weh}FfXJuJif;S#6V+gv;<;MZEV-=Sai$(L4}Fc6hj z8UXBA*mC5iYj-RrUi!F4d+*3t>(~^Rv_$B#qZMG7E^>$xoy4%~Y1S}%OY9|dwC8l` zBs0PyL8V!@(R9Iy>oms$G?Kgr3YIJlyW*yXm8t=zWb7~nXwOUj+`uU#DNdUPNv0a8 zGF3YPq3C%^MX0(HHOpe7z(xG2Z&5Lke}p}Sb+`X2Jn!mMQhZ4yn6V6o=4GqevFSu} z<+tgxDE}&S8Ydfo@=}T<;<{jpa83MtCT|@TyJ7O6c||VpndT zR5Nx@9#vvis&cTwFsgUm^odpm?EGLUZ?V6ck^)JkVnrBN;A?szwRNum276Qsk=NU4 z(j(};=-Be=Nx=yklp-(-`{7~jtXkmW+~lx~-m~ck0-CwbwAAF)i@}WlYPLVYeD^~W zc;VxAX+^f~1q@NhaRWKsloCnIo1zN}j_b8FDdj*k9qnIRIw;+(>?-vlT4|bG2ixAK zXkm_aF-JNWZf{M=zxg3W?z&(S5*KAVS1n*H3}7{n*EC4XWs5}O{`5tk<0+As?bl&X zHgXBziN!E^4X~zL>vqz}dQWkPDiN`wihgY>99g-v=hd?1NyQTgMpA}eICL)E-F|D& z8WqMa%jEf1wfDltRg2ie*v=UEEZ2<{l{vlY1q$CNSw`x+nXAEc+I2383biio(94ET zyG%&c{Gz%yu}??x6m^0Vs_1hRYf;N+_bX3gi?Y_#_Q^3p`ojP|F3n{xC4|(CyVVNX zq0d#bc zV6ZCd@>#o~K`qtEMkNBNRS2DR&MMHFs}JhxoPR1{`qe*vPCkDA$yfjKjU3=_ikUn{ z(AhWZDEl0WHlLOOPbZ0-|2Nz9-^i6`&nIsPEt#{`hRdpE=8&Y3^|6nBoiw-w%M(um zSlcV#^g&DXD!V!t5~$YabZRu5r(qrU>Cq+X)#SBxkR9_JYbBTN@vSax#0TYOPb2YYxM5 z^a`+ABCFY}0M@%rw3b3JX{*)fWh;kALa!9>G%M#ez7>L2LJ@J`T#5v{CcD>crDWLC zW(iQ!g3(UwlWkY5VoR@EUEad*OuL>zEAJTr;5KSsop4_NWY}(#C=wY*giHvz`gvf72 zUN6A-Jrabj=(1R?<7A+uUhh4-l^}uLI;<*d1N%c^QRc)7^j6fSNLc1kSo&p#^i2TS zR}WNP$(~(aFuhf$!KN5Szfa`(*vfb;dpEtZfX;QX=19->xe;ZoRC|gjnYG#cCB|}FD!gvvf@^&4UP4_hh~u)V`lsB>Xflqw_pR` zWZ~Dz*R318)-FI|otnDrdHyfOG!O(6vtqqQn##_YYZI228I)lIzPK)A*4s3B7j!v` z;kJ_eY(s;OE~_?+9*C254_nX-AF6ydrAKB$-0PLq-`;n(o|S7xbVhi;EO*!%Bd-mJ z&AG{rKmN-)+;hvs1}Hr(NaZ^NyL&1@KXid$s?BNV6Z~HLr;zZiA6x#Q}g@ zZUu}rPdw5D)`{#p66CYOdmihvih2@NEd@If{-j&X_r^pR-H{T8dzR_#5U z07~4?vyP*7up|KDU!CJq>oo43pg6cu7bNv6Uhb2#MK48}Bul#2Y?&}R5M<0xB{zF*EAvn0K;-AJt)s5eJ}}%i*3*b2G1*n%1M=^IqJvi9XhC|s=jns zGNm`k8S~@P)+aEJEIHQZ0y)+qD6u>2gwtrC6L=Mnew!g|iJbJB+TOBl55H=@W~B`o zMM(a`xUfqHySzboHCP<4eyY_M(T3z|yN0eQULOIDU*4p|K?6szP}?=6=S4A0aw&~@ zY!)OzTrSP;SQi=w0Ktp)r+m2?2nxTv7Ik)1{n#i)gFY8sTZJcI+>1GiVd95zVrt&Sn{x)FL zUH8BHIaNCL-VxZVsKc2(p|Y>A7VGV|3s}8{!279X+h5hqetCMahNuW_m_1RLvr>#Z zK*e%idj+qzqVyyy@e;A!WDHy6$$tRWgMHSOk^A76r*@L?wa$h0RJ81xisZ?&9leED z8$lL}dxe!SAc#)$Ou?1O7Wbw#KFK(c>{q=HMU|fls!2%+-Y^O+?AXl@iEX?4GUjny zCq$#U`L+Ma-cR=6PxzHUp0C z(B5h=UUy(=0(AG7cc=y+QOW$}^>-=C-G=S4RU%yK?@N{e6vaCn58;L*jVUuGrKPS1 z|9&Lm@QjX`6?O#{_zb+O*U9-00jqL>cNHZhW zfs=Jt(vqJ%L-6dX2zB2m)0bD(iBxy)VCD=!CBOH>X(;S!dAxkmG9{`x-EK$ zYoP=InMuK!==j{Ww=IhDC95e{mj9h+=_31fQQ63%5ZjuohGgX3dhhcH)3Ui{UfE4` zm>~9~Rgk2z;jS1vQ+7+(x4r`yNN#s?oT6cOTL_}dOT@`lRtU%UpvC4xjf{<=uj`;~ zL19S>I_j!v>6hhP%iGvm$h$*oR@@5g)Hn4wGF;v>Bv5+yP&-;wls18bt;bh7PVfk1 z>Ac{#P@+NtCHSdPbOaV_DciFsnA}v2A%s8WWc6G++FvZ=tk>tJiK!P5Mbw!=T(b@Iu#Uw!iFmp?A75dvM>3x!!Hi8J0|7qZOW zytCJ*QxV!N^_E#_lCJIC2o&T=W%_O}QZ6+grnmnN_OQ4%nZck=^)_2w98XA@Mdr_L z4?h!72ji4rgMk>Yrkhoo_T2R>4a~}+BXHcn80~($n{DuEceF`=8c6b!1x!J~FfTw< zHLz&*RP6PBk!WJ<3!$21%obOxB(fFGXE@25q};KY9YG2nNRxD4kft@=UOYd(?Rtex zXZDUgoGOc7$Dr9Lc<%5BueWNIv%SB7*N0G|i(!Mp=w-@t?Q>Gz?R1dyb_~{6O>Jgj z7mF>sqDZ8SVv`}cJ7~{%>K~ZKYL~*2>N0ABz*@A9H)*O(W-@eW@N_L;y`to#WIi=1cj0`Km_Z6-DrBXO8S)J7w=?NgwB+;%~ju39%6JPLV>`|ywcD;m-w@1}Oi&A4@!PQNW z7c$R3^GW-ld_M0D1DX4_zE@|Y_P~pXZ_>+_D$tsIqSf&j*4KKtly#bileZ~?J+L*v zfC;{f8r9#A+hYj<==xe0!%zQf!LF zMfh@j58{(nEV}%94qskp+A=7Kd=!|TJa)CZi}$+g>njf<1o7HGLb#LS@US4g^@Jhn zjx-RrH50TYh*i!CYc4WRG0p&XBy^Aq+XR{_rJlA`LJ)1k09+2rY-maf>5lWZhu0U@ zevy5d4wh=J_N{uAu-kZdL8i|7+Rd&}Aw73w%-zl+`1GP?=AItwYnSsNZNa@XxY-n0 zY7WF?-vX>=U~J1}i^q1PMi@#Z6O^Ks6YK-Ej;v|ejxyKD+h)~XPOqfad=0jYLOOI$ z1&731b~WZppZ0#*n#3x#>gibXF_K)PvQD?WA9zaWEw!&ocrP}e0an|!%Q&ujvS%!2 zU_lc=7n{RV0b5A(%WEmzZWvzMbWyhFDtV0(R2$|8Aa#e?-VQg=+R{gIPTW-~04w{; zSKpWu-u$?pU^xcZYhzD(T9u&*R>c+5!48&XE!qpd@!LxHRV`+UzMyZX-l8-51g7L` zx)KF9)DRl;YSihE;Tdeaa`cE}7-I?SWJUVVpq<@iWg4g-#uk!Mvut^{k75lOGXAhf zl^tY@KCCbeAmh!*&$eR$pg|w0zxQZ8h0oQ@~Eg zyU7AT1}p?Z20Wg}KoV-6OnJIGwpUP)=gJpX)n^=MGw$2Uq@%PZ^qkkV7 z#V z-SQlE0ON76rPxgnmm6BUGd8LZKikCy9{^W-8@GKtHSTlch^(@?BB#szlGoP+Hdw1;uip<}VnTf+cWGbkh9nm#me$2dQE87=yk_MXH-h}t}?W2hl4 zRz(0@&ZKA<#Of7oO7S@aF~y?cIJ1r|j*CiiEwN8QtBF=CSh(=po}9h+3&8S;$4^-? z;1Dde&&Qs~fA>_IO{hBY4XV-tC=+g^&Rr@dd{xLoYeTu*W*3@*&dJJnSm@PdOHCdAp&+0_Ia{IYdAzKY#qc&gQzxdhp z(YIgx?(08%{jY!aTlnbu`2YS<`0~3S{oH@~DFNt$Ylo{WPR1JO(}Gxv`8Jh=lyF9N1lk^3ror7phh5O4Mw2w^xnbP-Fwbcc&zDP zL!Z?DhA07rJaErB0i7pn*UsRLk~NR*+=*M(QBO*IO;+{jAHJ#sLmpPf&vQl)4MZir zs=?bKPF8HSt(BbCGk7;{Sy$O@o0ps1_IRd0D=^Wjk2@@Pvq3qjpLVkvb&yiOCGHQe ztP+z<7lcaczCV2G2o3?=X78-wp3Oe8=k^?T*5~H^;HC-$IBZc~=p=^}Wx)`HSC16Q@L8FiE#|yfr>R)#ay>S)d7O4medXt;#k#$X-Nzuljw==pA8f3+ zr&@Vt!xjmN@dbEk#yu6N$1uqrKf3?$lYjchL0Ko2F1?9y7RVE&*cD;dMX0JQ7{rxv_ORY>B{=ES5Hon%3&5Cb z&x;a(bvy)oJUT4pQ1jB$UIvXly?6^}$oGWOI}>j^R(-D^&F87}>y*`1yt-Gs@9|gj z9m8uNu+B>;vwBo&a1M)|V$HCsgJeINnxUcSKe@Ac2pQ_Qor)HCkqEXm?`RNwtt|L# zv+6V}jB#gmYp3@1QLIf*-nWHB>+s{6`R_@MntR=67uRqvd2V)`d6RAjJ2=Z;bo~R^ zLh)E4fgb)r{9f**-s%zdc<=W)E1%KgsMEKO%Jsb`3`dEKtR53EK=9pu-0EB^oWZVc zdtVlhrpV6(ZJp$l7=bIOM!*(7delajZ!lO~YTr1LVR|NoVwgVI!VoV#HuuSMzm*KE zM^vMUVje)GTCM_8v;!%d{&#`)K(>|d)E66 z44=G&@UUC49dP%2@7hlFb3al~IydlrcIJAiJ4tx6+6=`~S*t`sd6kw^7{K)WJ`+Yl z^t6Y>68K+h?JfB4z|Z$=>o>pn#cu>~zdyhEOK)V9_PUxO^<0qP}L=Z9aO^Fu|L!OcK5dj zv^%YH&+B{E9W5edjuZxGpAYr9ENUzoHyu_DHx2BHcG2)iwc20fRl6CJUC$pjXmTIb z0hD~Sd$)xQ7=2IkWYg|*JrB6tx?CnLuNow8YW`oIm>yuD2Npa?sQ^h=b+5dKTGPch z+U2ty4nnRw?+^UG?1Ax;bhR{6g_&WsD%3~ezjf~FYrNDgb?51#S>Brm`^*=IEk!nj zO}G28>GVy|C^nxiJ3RIRhP}!^(Xv1omR3zQA1wO95@LM-R{)6~pXVV1+KCtiIB>ji zHd^bZ8ZrDNFQ5>X5xbySsUVr0h&YqpR(Bd|F;-~9l2oVLbg^YUt`ARk-B-8SH$BY? zuWWOFd3fggDVmlHiBTowSg5x>I?zV7$@8m}nOA$|$SFrSw{SSrJ$u`r{AUJ`gV%cT zvO0TZ6(7Y&0b|Sb{gLd?e)CV?FWWyIy?*=IU-UHUGY!A&^A_*Rqb6d_dD(Ej*QoL8 zJ*)J!%rLxe&y1BQM5Xz@b|8gb4*G5|yW74WeI^1Pk0;H$HSYU+9Ll=5eK%!T>aAA% zWGhG?4&tA+uDf8t!o^J`rL zSQdLnEaL^*ZIG0%izxRIm?YS=w62gF0@X?OgzEOy>m|a*5I3d3uJwEy_LXnYMQV>HQAVY` zE!?$uxe#~wg7%j#jG)RF*&T++DtVYmqWJaKg7#c6fY<((uqtXjFlz7K!bqsWOBvL6*}_Jaql|;N`WX(P9uW{ zK}c%RL4pc!Y8VyC)ftFk$jsM9R!#S7Sec7e2~6m1p|ktDs9sH2frdemCip~93HIFF z`A4@`Z?#P&3Jbl4AOnFbh$KlcrfJd)kx7bU4fe{POPE*Qoh`q;;v>*QUYUvBY&K%W zz2NP<7|z_s0{%J(5YGTu)IsYcsZF=dqpXwl*w5`b7uIxtO)Sc;N%tk(JLo~z}( z58M7am0AhUi+6pHS=GI{_b3y7l`wK^@-a zZC-j2r02S;0^fG%W>XS&^H#y?=kwl%u8>G5FV3l#W|y0GPl}Li^P$mu73H;239soM z+0-{1L0560KE&(1l2(XpLrWx!MP6A6I7^exmvU4R(RvXIcTH$I!_#jdu|s9zT8w3m9$bKb9V4xnt-WKL;cRWF2o?25|vhgi`wYlo-0 z6hhZ!i=?9k*M5@!c#2_GfW6@frqAJ4L`t& z59dN}eE!gQ{_kQ5cns)adF3Q3U}3ceIQC7I+|{g4tWmp6;cDjI6EQ+wn&Z9=Ft1I) z8+9iLYAmW~$M;~?f9KW7{lL2pHCL8R96+Ai?;eh2ROD>+ByO+$ z2HLSlExrWTklYRsMURiAr>ix*(P*;*1sVWvEgGNbQlO#Gb%)_D40ZuA?6#g05U#zs z%hVE8@t|+E%dBog63tU|ZRFE)iskZzHcr{kLzw_~e_ffB(t%Yy3Ufv{>QR_m# z7qD$j?P;6%2`r5I!t5-^r08t+mV-bA5MVpnutpkcu0l4&G{u7zwnb1SpUjSKN|~%T zuX5~Tv(E7Lo_tBWh9%>=SwPaJ*Y=$>cR;h3EUAZv#C{BCTopo|6NH5{K*T=Vsd{l* z31|mdd|oZ*aZL+Wn1@9ISaV6kp?|`@E0CczgR0nPz0*EM=Jim`oit6{RtqCY7QKS# z%-5|>{dGNwWVyrVcp{McY1ysaPu+4P2D7rN_E(E9vd_ofCtW}m{odgck$kQC?`{3w zZW-^iHw`Uk8?HL2eP?Y=^R|v{U!JINA%x->$`d9AjKeXce^UJcwe zEsEOhhTz2tq0rYp4s1F}`%Hju2aGws{N1y63neyS(ycJU;Su2GWeR}8CZqZ zr+OcdmZE~sttkCyZhqYin?vYZYc{8f0bCpyu(QPpc&BFo;HPs@Ic1IgJVzAlq704@P?xqDBkH$7NmgLt_yw>`pK9 zZKip1cU74hHgVK^$72Cc!G|sDY+iWo3ZZUoSoM9dp7q$+7Uy!PMD>Jz+-|Xze+Wjj zSAtkIH+74_k800O!`r>5EV*4;%5}}`{$%FjUy{dX5F93h*C5bb&SCHzW=l)ZRft0jpkqVQEg9j z6IMN58>m|o@vz&u8~C9<$MC|};N5hZ@-wMMoA{ps=wjt0-Q%n%pFHGbgDPUMZytJV z)2@fBa_w4&s(&y-~^ZCpK|Y!Em3>M0&A#bW|34UqmD|yiHER zQc`b&0|RWp+Trc|(a%LE#9Mt2L+_+F8Y})GpxG{x&(-hr!ZKG__dtr^GZTTAT*q%4 zrSv-9pC$0+_so9LDB)aYa49sTd7jn-d3w~&8gOUp{i;op%tPP!_F5uYH+~XpSkrYs zUvYF+|84O=^(GeT{aWSm1Z;|@vr3M+N4qB(!kl@UXKa1VbzF{Sk3uDeAB8{a1CKkd z)8pOFY~vxk+ElSBigIm?@d=E2R!&S&$auRpJN$-xV8arXM7INt+qF>gHktZ zI^Y5X(ctr}Z6KoofT#GhM;pR>%OKorm{!n;<&o6-bo?)}oEo>= zdn0k~p&ASx<|mEXYZ8rC*|f@y-nfwkNuW&K2our-=Pkdm!Anhg3n>-4RwokV7PL*9 z)ajQmSP!-6L9S5i)w=dqyzT)Uv9K2cc>wQby-_sqCD#;1S#P*r1Uo;$lAZZkr1rLC zsVi+4*KNIe9A1ledjyVGD)zn?buYjmK)B#30U7^T_xKRI04`@&w_jB*>l?_TyXvU# z{VmD$*$JEO$@Dg|Et?`S-|LzEJ-GLe>X|`tA`~`zj*{t2ypY+U> zdWGqEbpUiKb3Q-lncY93XQmxJVbxg(Z*j*|KIoZAxc(zOvt>}W;oM{Ulb#u|I;Z(o z)jz;kzSlGBNpns0%-W;A>GyhO%U|f3{ru>6Pt1eGKj*z$`VH2F z%7AF6YrGieJ2OnOgS#b_=$GTI(br&oG6D|DvgeZ(rRCssKxq`mzN$XUgwWX@r-wJ# z&x1J4?loQMH35DeNW|A+q0{;(I?8r}@~kIoVYfBS_D}B@X409)TB`evRr@&b?_lXb z+7B>-A(3SN>aGL#M(>Dn9@Vj*b>m8VwZcJhmOXD;;0|ESO?mLbyLD4ynY@UYn_n$n zRaPe}=-{?>9j>~H155yhhf2ws6DGzbANOMy?(S95t`M8P-TGnO(c8)^y|T{sKY3m= zT%eA_cG?AiqjOq5ZS{^v%c&oy+H&PByMm5vX6)r)fsfqikV&-uZ80Wmc|# zzim?>MV{x#J3HTvhkcsqgm25hx_#Hc>>&&9d%VtS*@)hJS)V>|)cjmeA1?KD0F>AM zVd?(rS3mch`tyJL=Iif1|LnUjKK;?f`N!`)%m4iR>py<<6>Raxi&ei~s}x#Dy=L&0~*>39_3EhuhfO_9(NvjpUSmE;h#<>bkH8 zr|w~JBekVm^k>?yB@a;gVBKQ6V^O4)2P&>ahTB ztryAACO!-Jpg??JFB9S65m9qs?LiQcS`X}vnFyh<)WKg2?6s<(+V&a~>ns(nab8@1YuFX0StHa7 z2o9R8BrjPyJWJ8ULET~EN^q@w-!VHWiSgFG><1xx(jxPmJqCmZg9AP6UDkPDhnzbo zY$8wI*38{|+iw-H5)292FkZxk&<+CFEuI12K-55cz{qu&K2!<;Yp;8MkG2#AdtHi7 zYn@l+Vjy*apASULI~r`Pg|vr*Iq!kA9;Z_oRQvjgzT$Q^DU3>Srq#2u;y(XyF+G<9 zetZwm#1q1AaUlUMD}P`M!8k3;lEzuZ6CK6DpuMtw&Nrg%LG#g@cdpxL1EZZ;Fw?e@ zh?k>}cR#%$0i;jf;yt)siymc0Q^t zcJLJDwDlE}VYCUeX??W;p}MRIf@alG1e!XYUeNMBQ$8hq3SEn~t91^Vz(xyOo61w_ zON3{y=29MZnmszv9@l`%30ku zN6*cwIdZZBP{C22Q?=v$1}LZAN+ni7ST~sI0i9gOQ(^FYTs^@Xm)qO3Ck)p?c=aNu zI}Ln6Y+iHRJ2+2>%YUV^#-k^D>2G%oIHKv%J`JUK-!~Tm&l&XeVNi6VWuuko#an{I z>X3C+O)g(;l(6rTr){vYxy@S7LAos-(&4!7q(0EHddFGrf8B4#)vAZWV+?skkzdy^ zm|g2o{cC+Y4Ej7B`YCygxF$y+apb)!hzy6? zd8z{#zbephwPsZCLmT~gpIt}Wo@&Ir^kH5SV*iGS{F(^P{IDbN25AYupm-{YR2r>fCDAZpxq|;YII}VeySJCeR<%FY)Tk%b zY%QUg5;;VCoBE|PVfQqnT9~0NL8~Rs(Uzy4?0C4fA6wNm)9hg--Ve}3aJq6MRyE%D z+s0>;sVe*HJ}%{Ab+T)`$B-xAN^%pz36g`}d*#KF62?WLryGu(?B0m#!ScgdZR}Qd za@Jw}Z(CJF?aS~~56j<bz;QXy>zCLha_?Hb`Z)c5K&9(zv52R#a2TbCaf(PVY=k=O;r|mx?v! zQ7gM%&5$#Zq2HRj3|6$nz&kBy>D`{xb78GW3G=pi4lD;O!#!nt&K3aZIIULB+F=>? zGoH2IrRxKsbB_H z8nnea>05an6L}t3Gb|vIpN`&eNqAhm_hMmYvO&@=@JsWsHZ4)#$gHC_0hx6>=*2ma z=~mzzA;kWzV)A2=WzUgxA-+{+m<7+o1LcON1lufm$jNFdXx(JPFOzigIWngAaxhT;lVgLvMVxe2k%k9 zrO#`BPN?qS<#}C#<^zhdZgBcEae~c0Mz%Oj72+G7Bkz7ST<>m5yS8>2)rJHrRm11 z*>O16em;QBmp=aTx4-@6ze68?bmH-S{nK|}fA_^-`JKgV`CvR=UsA7i*z^fXsRXA- zGpu$pv31kC=h9Wn+>LOqmH03|aT58u4)yI?6^k56K>CGV0A28DB^+s%vn^V-o{hY` zKA<1ajWbu3WNoubou!fZKSI3lm&CR;)|~RU ze*+%ys{X!oFCTHdt|3j z4=AyB4ed{_dH1C~ytTYi3{HaK2Y1#F^l>R=6k#-wa89(PZdX~`>A}F0c-IZ`yMfsBXgZj_>zW@E+onhJ2Gj*^TgAEZ zBUk*B^6%g8CI8`A=qFXbNI!vGNaVHkbva8c0f2Q`zd&GtNvWE=?m3&_W{vfxhn%(_ z=b(f54Af2?qNu&nZH0f<)#Pr#FRPQ65kivZ2`El#3c09PM;aMm#B8ZqiP2r-mRcGJ zVZ6f$1=VodyGwu;^LC#FhO^m6IfW3@G$;fEhp5$< zy^+~RxVFuxS|;STObTKHL>fvkk3S^`V?Q}hnRHtq+U({t5an0(ce6)2M^^IdDC%wp8aOIw`%)G+cP|Kw8yGy|H-^x!?Rl#f01!D2OoEKJ&PN3 zbh34UG;5j_zySXyjVY}eNLB#wj_c5$NNhaf4j(xe>9BRarRh5aS!DH(Ic!ZMB#(>7 zL+N=EEmN0<2;nDp<}zBvzP0__csq7e?fHJxRC>=`cRlm!ZjS-PQazBiKaij+uDu(K zs%{)LB)bJXx{16pf%qVI1=~)~tucD+`dst2hYO&1zwj!a4*DRP~HgGyauCzVa~f zke4=#ui1O!*$ba!TZsDxOvth)#~0lmOn@TbeVvpU90x6SmP0f7&3jN$7mM(9vmUos zM0Y1xFYG8=1)46|(`re}eILW5#_?-WeVsZ`2KkJIy011@R#T=efR1o?d0(`}Eodc2 ztQ(X&GJGnx`*`|!N4fC!UMAGKdr7iC#8|JJz?@<*Z;=CRZfL}}S~}qPzLrD%Hg9(F zSQdC&Vqbf+;3=^N^GsJ3>%5+F0L5cFy>ut{KaCxhRMG?Pt$A7Y24cFb7&~W3#|<_u zaLcquh2fU5 zC~$Yo(Z3MwWvd^ui!OI*Q-tI%f4`nIhNI>}Tin^bHiRq}KzehvJ#YDd4R<)OI9 zbZ=)^RawsJ=V}WFtQ`Rd{O)ownUK)7SmCJ;VR!%_k%-(wu}1(b99P>mJq?SSmq^<@ z*n*p184m!4F1!1tltqiWCLL11>}~C=h~sv7*+_7onY{~(101`j8 zFwZN@=k@@cRl}dF#dpk}nM|tO!gkYimis+b2VQm0CYchg8A&zUW>CCuJDpk1sUBVp zYy3G+3DRs)De!Y6p~rC@uV&j9$Q0-W;Dc z*+vIDGqY+S@zwsb)AM3OMyB{!9>LH#o@XL`tmzE<0NZ5!zuj0xtyncb+gZ*-ny8)9 z+ZUL0oF%;E{Hn)7JXo^haCoQ->H%>yK(#unjX&uGkL@j+Fx zzz8!+DvT)6SQ=<1#$*2=Yr%54cQQsX=-Jz7_s%|U9->Dx=;755!}v;D*wD9M%j-=K z=9q#yk@?ZeDtQp_L0^}DeH9hFEqy7}sR#;*Xi*2F!Pa(0nvJp4K}D}@*0=lwbZZ&D zkW6tCnO4Op}H;EB7GKyL<1&s62nXWc^$1#CQS@PZitM3&XN z4c2Q({(307q7B*V)l4oc^SNf#4lUDnTb!V_gFoB?@1%gkrONYR_`EH+JI?efTdGsX zn-Z>K*K zFp8QnlSJnrN6dR!ht_`3uKM{utX=i_XW#zo7vFvK>9?Q!?ngaT+qqA(hEr2juklFs zO66Wh=q=ygb5eNRbhSKhU)B1|U~Sd=hHCNE9xn(G$a<@bS)&rfg2%9A*T}Y3 zi024W+OAN>Gl_Clf=L^e6t2Quw2JIKs?w8tcP2=V|Y z28@3@V@rBu=qGradT1t8OvhV3CKb!m;mJhk6Ax3_%i7|4i9s$jDVsjtb3p1vUyTPAPOfCR_V4qF}K z{c5lW+d%ppS!ufP6*xaACzfMorFdaH3&w+ohwn|v)cSO&Do9XoBjM5jy;X8R96Tbm zVf8e1L=9UWugG-KsfIU#1j!d_b?kaU0DOyz>9^G-gZiw?ZF%lCS}3UF=LMModlsdx z`FHQx^@bqzMz0&za%`^`&aim001fwSch!_7c~-BS)eafxUIAASf3KDmzJ3l_<0e0W zsp_lp1Qr6H-XB?i|hV&Ecx zVJ@m76AX$4?yP43Urj-eu|qw1LMb^LPao~x2P!}qxMrFg`?bo+56TkO%jPs=$>M_5?8|U>(L)*~Fo+vXLf2iIN z23FflMt3gvv6j+HE$q6ePu`&z^TMCZ8tt4g?zRvL`L$tAwk5D>l+N8Pz$J|VL%?&; zWin;mAzAa}wY^1Q6ObzE0}d@7BJ>AtphqK#lGz>?dt9MO3QMzdEs|HDLHA5`ahf+{ znmy%9MH_x@fph5+cCuYq?Lm8o#@iqp5Na!KWV;MI?w*rtY4P4@w1Df@NCzy zkjq~6y1S=-*T8<3u3aRmcxfU7y@J`CWvN;9R94@rYBG2fT(~_&$=$iLhv(Zvrg)8q zyPU8aie68;;CUNZtb@Mn&&^>k^0Q4H{BdlBz+DYSVuk9Jc~@h{?jNxmLyCa?G5?b2;M%=Zt+v>trkM}`XNYc=j>fZ%%i@;5Sa+y zfO|vNy*}A(Dp>*vVy~M2f-({uUh5*SCp68t^f;SOgMC>>5O7|{*LXu3u@ePL(3*6Q zY#>ka&b7)wpeI*hZGX@_&rDuz!^$d$!b7dB`POQOp#_vfJU4ZY_e3ge%2yMjeMJR; zFBt4{G;8BJ3Ek>~sA9jaqvxmwjvA@<+FRB+DCA_r(Qixft|JKgwct( z3xuG8Dd$FRPzX;uM6ZuL1Tc^-K*3W*2aj`(XxFrz{VV{DUD(Zw&2V)kZ%o6{ubS?0 z^mIp)UAyW<+7(1}Jua7URDCzd$4&3X+ zI1jH3&w3U(n{AVfYE_F!lUML0tFRZw0W3(Ac+JBVz1bJf<8QX4#ZU^lGc3_EbCu`S z==GH5z6!s8nDP}|pRd((=l$H5d+p0$q+*3SM0~!N0-nl|Lt6@KdD~o8j|s}Vxmk$k z!n{)9^}q#vgU!T)5(xqt|~j|M@9I* zavP(4J64Aaf~Ld!1Hcv;YmiGmGhD+W(Z;9&@dJ>~LJXD=VR2O4`0bv$uD4N~JzI~t z=Vf@iinL)}+jGO>*n0#;uNDthEd=->n_bh}nzaQ>7K1V|*R-EuSZoGd_U8=S!WVj` zJY}7dA~dlTrMMt-U_d~}+Y8J(`fwG_V$~O$Mad6$bZ9TYceqe(s(B-~f zkJtCF-J_E9x5rCF{>2;Owb@gjEm5}7sW09WlOEDQ{!;i}$6-)^CcSs?pLEpPsi+`j zR>+qCc0%8QDsxWo$8mz_EK>g!NDfY2L0si}C2pgx`~ANKq>2GvWlzckJF=-O*`JAXwV=DozK)7nWzq$nG#@7A^pDQ(v^COp=#&4 z5YTO{a7%0_FugD94>w;Q|LpHF(d+Y1KL6~e@4Y|?Z~N&~L)IY?5T9=z6E4vuUinl`)P{@4% z+?ShySEw^AG2JHs+tb9e$u%Ygz)vmfnMQZeGy(x zhymm}v#P)`>Eu&Jo!+W#hh99}eq}M|vst_gkbp`lKhZpZ^;Qf9GBDljyAi~p`}G4I z))4r*EZYRfUYoFo2R$FY^X>2{+V);2^D@L`zLfR{MCw|pzR9{R#d8pwJL_vA1SC9W zHrS}qtMaO(E|A3lN6y=OihL_guoGD<=We>OT*-Fd%>dX>iz<_KCEInUauN;aK@+bB z_O8NciBD!90>`bbRc_GqvE80woJzItZ{I<%qnem;4GPR3_i3LCTS2*M24I3O5gebs z?zYPV(0Y4)Mu-6$`vay^dG=w|?11^dd0#N)svKkM4ApbPCH9#hrBZD?YD(;PcE4w} z1=LqpTjRYA^uTE`PI_8;5OG^oLG|pTx*SusPm!!y9!mx;i&5*MfOCM$Sbb#mV$@IX z^4j_Baha?uiHf}xeK8g*z?7(XyN}mCe`&dQ@z%%rfh4w_OEc9kEr zl51+O1eZ&fkchq6*8{>1o;g-KdHBB=`#^&A zf%rW8;4G!TN5-qE?>99zz<2|97!dYY^2V64tJY5N;f!{v8XkG#t_$s@i>UWq_GFKQ z=7qR6D!|+{Q%YY9M`VbI`a}?&7g13 z=RBsFmgkM8+dkOA2{jF@qeSenoQ7!g=;~hmf%CA#_<##$Lig*+!b3)Hsipy<%NsJb zsm(lV z&X$ucLP1vwbOBzb8$tKn_%3=cPSEbjTObCWpd?YWN73E{P#@303o1 z-kNzbSnnX&z*fw~B7e3#uy2XamI0M^=mOW+-MhO|je(e~i&m$Nwadz7YNfP40K1?6`X7`=`5R#O>(?LS2icPC zl`UyHo!Tn-gKEk3s+Kg?3xhveJFGposwKmZWJ`_+Vo|o_FH}pW52_{WeE*2ySmgYS7KI9MxwtgmXxN+P*_tXeXBFIxiJTc<<6ZcyNlR7>Dw zKUOV)=2&sM)>pM;fF5UJ#rLu$%dNrNbSnTs_&mLF{dZJL;=T?9-{a4+C0(v{&-%;% zpjrZdepO4>zf~=PF8r-*iM1M6`Lk-t6kd_e)EGO)}*BV~f!s#SPQ zRxsJz2nm>z7rXY=UvH{aw4_=)bltCL$?!L-C5t$3RWSGbThS62oDMPHirOHcoyJEt$U;EjcBs zik8fZmR#qLL`#Mrsg`6_OD^s0{z1`_;jdInyz*nSO<;og5?mjfq9yGoMN5XCP%Zg) zL`&|!5iJ>hLbc>CL`z^YuV~5k6QU)>AX=h1=;d+q_o^i&Yvt}JD*f@jXi2O;Em|`Ct!hd5 z3(*pg=D#CaQqG}$uUfJwKK4PiM zN&b^&32}Sw>j{Vf`9aeF(m!aHsDT<^&638>sZGri_H_H8S)xqF&p!S?A(QbvVE!AO z3?0itBJ!=VSg4rXm=|zSTBN!a{Fj8AJnYfl`z8dw3c{p|kmrHrT#wGf?gXtfyAI`N zMC=6w-7E)e4;YSc*wTl~{!PH_z8RQg^&(;l3YxBFOI^)brKW3=;zFXh-?A#8ju$<< zUMKsxcBRcl0;|H8Yht|~%Bt#pJd!*iyRRM-(S~go&wiKb3y-QPPJ87dvy0%FsE`&6?#fo43Xg8X2-36;*V>BtdGz{w!rl)aXtRU=Jg=#Z)`okc*VQL%icZL-hRuqt zq%!OqyREKYMqcQGa>6{WWq&K%mmY0XBs!$9m;O6l>^Qj)95~6t+dnuvFCs~+;kcnA*M(QWq zJWYf`Kx8K3iI$qpA%{x(mW6Mxb0_HP4i78^q)x8AxXk>*@9b3X;94?_eg?OovcETS>rN&a znfm>83Xj(_oZWJ2&)fIw8K+mf-D4D@#eM+6hJU`s=jXrt^{;>PcRX?aVjq6;$N%x! zw_kkX&Cnmd_~ffkKJ3YNH@X)+>QLT)-!;05Fl3@}xr)b81P|p3kF1mAD{t?4SWAFW z0I(KTopmYwj6|g~jd5K{h%E7^Hux!-3Eas-jIZY>fWJSsk=}6f%X7ly#)itWBuJVH z;wbmh!#ZWF1r4Muuw--P+xo`B8P6>YQ-;8<>9s#y93SxQI9+`lEfP#18|!evey$V{ z2zVtf%j)fT`2RI_cB`Z0)|J1nr6T#f^koi%xnG9Z zD-5?i7bif1gl5O4IvOZIq2oIxIK~m`!1RZSSko?7+AilT7U|;f6ag z7hAav_2+8~7lpbxf%Fs?E=@5yMTB(>7~wO^OMkvfawwR;-6-V_TBlxyqbC=mIQh}} zx>Q64>lwPaR9RtKB2-*j>yI$#iGPqDFDk#6{`)`t=J#k){rQjo_NSkJ`bc&A^DqDY zv%>4A|47~nm$2GvA7pEV+|C#iz*7WNu`e+w9XkN4>tSLJ9 z+3Kn48BeFSn~YT|(T)ji6Uf0eN|i1t*Kv(ZAMu3|LZ(lhd1?fSeuRqEZc18a@yx7^ zYKPE*N*3zrw6QTj9cdJ&c?H$%jKvzgNr5&&bC^+KFy&Bf%a{meiD@MzHcVQTVK0Qg zg_Fdl6!axF?)UwZ(n|x6ur(H`FHLC1@XgpWf@`XxWA}>#DUni#2Sj}s=^ir~ghZgA zYm9=7wVCF9#_dyMoHvcTp{srk5$DI0+ytfk#?;SsA;77(e_xDO=yreWY_I>cgv6WI z{m;S%@6Oj~qMxqmN27rwa-Oqqq94n5i&^>TaF8yJ(zGcR>`7R5rti4p+V^*SBQ(`E zuYx0$K#6t&$mS7?U#5NDgBAsVrb35T5#t^6QY_DbUO$5&IHc_x`L_NIzI|P`LP+#L z)1a>mQ8;YU6N(CLAv&dp`ZV;pqL$2cO0m9UA%Kx~#08csuh;3n?+U-?yJO4P2B%H& zd&Ft%L<_fWdzR8p9w(uklgs2jUG)^HJ*>j>R{EhzUzpWu)A;!f=`$?WKJ&-!I(@S6 z<4grnMqnx=z7G>axQXepGwoG9iGf(u302SDWlBun^(I%Ah~CmR(i6!sa$L8tVQc2T z^xxmp(i5&Io_G7&w*_M`dQw#HPklVL5GX-Hc8Hxk_}Fru8@ix^zDZJ@)LSCYex7E& zQ6%Qkv>RS69)mu7`up|zn218I%4%o#B0KQ6JJi45&HLjH_2<9*@}D$_IRq8-aX6gg zg@U9F3^5!5TtlBHla?b3iaQ}4tOYz5X8u+xdg4{zu9~Y=SMEj#mQ%yc&=bc&oXdFp zml!Zj+D+xIB?#Y`PrdcJ$?i9Yttv>1#&UsT6t*LS_MZs-{`yE!u2$eFg9^QGF90K` z;F;m6XM3dPi@~flxOH<6+#XtSa54_qb}DhjF78109UTJYs$ANB2W&I6N+Zh_;-hPG z(laM;Y41_++RlIiT$qqDyJ_~h5XXN0>#u*mTp)YllW%!w?5(If8SJ*TWa~y;NqO+@ zB_W1|lZ3x*tqiAVyNq&fmXm9XN=JiJvZ2vJDf9*su1_4`$)WC=P8CCO+(K4HI`m!> zpvG-5ZVEJI(4{GChegsg?(uo4X@3pIU47i3xyea>DN_kPsK`Y-1QC(i@En{9dsdZ= zt9fG)c(VFEr~}qU4u_uA7SgEy_}QTwfKPiY==j`JJqkT3Cuv*+9l~ ze6Jcah%hD*=_l|C4CP#9ALhA{%U%LYN^=&SGuA&G;RF%PL@y#rRo8vv3Ed03gj>Lo z6_tA4eDl+Hr4z-+dy69qfz022rM@3+YPKDsnTWrUq8yo4i+?`B&tV1G&j;+y`61#nhnEk%ajh&^T;~hfM zJ3^}SYvKcQ2#+G|P(43@+g_E$l7abp3XFRd-3o2ox#s4^GjOgMUd0#J-C}@B_{>RS zCE{^>^qH>Q>@F<@O*pD2*pA~aKPV4OtqWz0&!U{EDn+2Ej>7Qk*v3rk#sNpG)m0v% z*0|D#!Ob>SlY1Csujpb}c$sHT`VdOsuktS42*l~BRLpPOVD!J0NlDIzD(~qq^aV+B zDiSzoRUt*C(;#ngRaCcVi7{sm8uHO;i75RdGx2CADEru93Y-2BBIeSQJ9k5O)Lz3o z6`eP5;(tK#%vV;tuk`jX%z?mz<-n5eOP*<0_mxBf*7Hh-XHdQ!!Mf z`E6#mJCBPTmVcbp$feXdmg85W^v@~9<9mg>Rcu;i}aZ-F?A^b2@ z6PQz57gmqA>o?6K-zwb7C8u&k!<>rej?3dNcPlYt(q<4d!6lpQc`M}fH*!jOD7*I$ z!*zgo>YPdnbs`0?)qL*VX%pbOl?l1)^Yq@>hSPyAM8(D7#L?;jZhQ{YDpi6jpq|RI1|2v9ZR5l!C@6I z?nqA-GxUgz=EPhyd)e%msz)d{O*^>I;+J_}G=Ic1W_;j$C_|*>)a?hPBnyF7- z^|s1b-*D99Lz>qP=yUllT8lbFr9UXBpgJVlVi03kT$=N>QD{cnhj=fDL0;cv=?Zn- z9S7paWA56rmIad?0oGiP+0=IzmT{OdS&Max;#XDj`9_yvK$!F}TjkF;ZmQ@6OMRP8 z(YLMenAq#rBF*q)zFZIjN6kYSYGg;h8cyu5J{?{B5AL!m7W+wE46nwSoK^9?MN2$Q z>J!0Ab9W3=&?_hc+mA(+Np+h0bUNVm+;kTUH57d(G;@-LqCMI&ehq@5F1}mQ*HSJB zN?H?tj>-(<%Fip_B-E;uj!wvUF0mmFDpjh5f}gLC6}fC2HH3)-(;YxbWvdj#e;)3B zR0rFd!X)`@My2m~k}{~XoSRst($VQ$8=a`e!KoH=-~|TQR_xLR<*xO>1B6Ct?WXbm zJE2hoS_T5Ls@9E2nUFH=RCOmTibOoL$;XB7EZcvkF8Ux|rJuh!XV0d=N&2gG7W; zHegK5O+R&a#C8g5_CknNbZpk_O=fIpAgrJ|M2YP!;Cr4&ZV1fZD^QX5{V4T4-=W>q zo&?v#uVt&wB!Y}-<>l%8(US~iB5ef$OVm)lM%>9EsR9w#NiCRI@H!ye53PQlA4Al@ zV$8${gS%0>Kq$ifxPDuxw9JEE3YK~S`^K&{sU0<=Z}dZ-AROH5&7vL)8IN&LzvTGc zGiE9XdxQ!MC3|cf*)ayDxC@xw3M*|{h()SXWW^qk zQj&;zu&OqQ56I8!M>r%>9-5^%*bt->KQ)ypyrX0-P>=bMimA3#u2F&Cs;&7n1=QdI zOc)y=Yf;BiplOM$*5rJCA6jLVSdQB^jr@iXRp?}Kly`H36E_8s@Gi0$P{(_8)hwF?w0gac@TJJ^SLCznKdubLu3^lPKfZ~v32 z*RK|#{}0zid;#?LR#cVX8;Z)?;B4eFXS9e5=poHXIq7F_tr8YF*tSnq3ZXRxh?102 zn!eUVMO6P5Xv`ERFtonx?&xuCh$6>L^Mq?{&`0|sae$bRK8K0t2WDKkD4Po8TO~@n zQ)=jDD8@{E-E8UAa$n}t;Z10~tK18d4^(cHVO8o-Xh-`{)IyaRiI>3{5>CZ8hfJ3@ z$pmd^Wnx9G$%2eIuf`3t2)E!CL8)(IzN=KVdUhWOZvz6y87#DpzCV3&biag` z@lF@3u39jK)KU#c;lae!9A;`6FS87#5UJc3?`DADqlttl6p;WOr( z;(9_ETncXC^C5~GOs0_0;h=54Ma=sg&_%|m-A6_{1FB9fNA30`$dNB( zAem;{EiK`ChAOo81+v_C0VtHEja{?QRav6EG~5Z}Bw>X5Tzbc@0EiqWBh$(~TGCdZ zi~yjxB)IMqhY~?~(C*$U%AG+3ii7IOJ-5Q5tHSk-iy*F{79fI~7bFpG(r6S^;2?_- zxcZ3;O+0iUZC3CqjJf!NiwRc?mKts$phw_=g=HdN7;!*IAA z{K333fYCQ$qOYimFcF20#iO7=&rbkzUHZsq6sv+yCD_x>pkYmM(o~ksY9}x9Afk7u zYHK}C#T#$B#sKUiRHuBE#_YD4q`dBz0u?Zbw=?#Q<`Fa&qtg4CqmQSMZ;F#E33wJE z0{i@MHfgz&j=7rWjAV;1C1V)Q;`Z`0t=LHH@&Ff_(>rty7X%=c!Ls@NZ}fl6W&QG} z|NW~9|G&(i{`5!v^Ut4o$AA3uFMs~)|N2xH|8G*e8Tb&exs@P1C;Wm)vt$*$3gjGb0^BbVpAeu3PqgDM?F&5+ZP3&yYv1^A_h~N{&DBobr z_4$$@;tUETZ$kT?LkqZ@u$_60xlF=wNZUU7G7RFteJDLC9d#O96+?P@#cs+*3`_1&TaUJ%s7!m$*J)w&7ip!0jRwb#8(++bO<&W_V$xwMl|2i^CcT6}s9<_C9U(4m zMGXH^6=foAKWt8E*W*;HEiO4Q+h`HPxzU0!RY#eVlilxFW^$ca$bwT1e#H1e-5$`jZ30+F4S!} zs8v8jR~l_0EAjX7TS5w(V_{{?M?6{isA_~EJ&()Fk zxS*^4M;LrqTF<=*QPa1uO?cd|Gn9w*S1QHnJ3n~KKTN#hOiC3%4w(@;2TfPX;nvZh z(%5L=a6&)<=_iA@NU!+PG)0)8H)pdR;XN-N$L;V3RXvwR6Z3Y%z)qPQY;##_jtSav zAoa*3v3`vH#YM47{mKiuLc&FVI&$T9Ti5gJ*rj7#p2*zV+L&V-1x|gri~>U+fz=0i zc%w3dd|}nD5>gc&?q|L8n@h#PWf%r5V--t&=OJ^b1PsO<=Tx|>e&ej2^^x&i&EGXM zLtR2kgAPD$8+lD{(1}K$Ktwkh=UyUZp3+TuM^yN!UP zc2^p7R!?KPRmxCInoq~nD|2=CT%J=4GfT%!q3dHLuDw4QdUBg@@13o3zv3nstB+~B zkJcx=KrdV$%f_3e^_?hogD%K77PHSbh>g0gJz>}f*mk#GQ;jnv0`qtAN_hk&SkyCO zK*xTePpEf~msGkgL+kD7(ZbjCnt3LW_L~g}B^=#9HJMb!$_)#yoV?o)ALU zs$3i0T|M47Sb}T0j{w=!&ojF;)aINJK%Cl7J%$%ssAyK8D@dug1r8-};zHUDy*uGN zhiZ|kT~v%To3?6O=y5o-gOX`4-Tfxs*E*QCEZkMLe^m=kHO%k3qz60L)pLUp0B)QC zc^2|VNo#gv#U)dAxqtBGjdy!#IcUPrGcLTiY|m2#O+m6bc2;_C zd2vpgjn!``cWyDj`m~!a(_tgM2`UvTlc{owsnxx(E5h_6t*R^-M#s;Rln)VCO;cP) zC>v59Vt%)X%B}bl9||JUu#`6mHtr@$C!!q(trM?aL#T)jRJY&nLnxd^&#Gh(^WYp$ znc9=$2f1@I7uSF_bliXtZCBo2^g;^!T70|!NBSGNAk`E5>kUsDospK64i-1Ax--i8 zx&~16|4uiwPU6NEY_#_*jO-$QI-m|}9Jk;ib6#~;2(yW)Or-IID3Vf^ z>H*E1=edl+Oz;|7WZs@v?Q2w(Rz~aBNR|y z#qiL#e4khw5ywmz{$v~oX5dJRwhEt4RTXCEuII&!cA|iSSOU63FFMWQI6@4K5kV2H zrkBgY3WcWr`yiF1a(%5HmvT_fS^?};aKwFs>t?KzXeP{xO1lDM+X4mech<7Fx0>%2 z-ZHr57yRzptf!x1isHzGeh{hGV~KwS_VCbuRE2N$>J-VP$F4{mrSs|UYv-LueBwQs zjMK8dYD8I7i>Ywkex$cF6pZh@(|z5;al3co8=~j-V12vqd2hj2Z&O{Wb3*gtyrNn3 zS&1Mk`x@=sD+KKkaY~GJW38$>`tB$)=5P$d{d#Z(($1oYNyOpKSfZdenZ;OQ>)ati z$2e3*EYLllWMHgakRWu#;65Eh|NT1l^5%1pXBMp?knIIMRN^8UTuM1u|GK{WJ}UJ- zINib!{QHWI#ln&HqEG0ec@8|HZx!`o z+~7MLMZC7D()H};7<%&J2YgKXVU+H$b7>bHvEOVM&N$huue#$JW%v|M!879#r$+HGb9ISObkaljDwdD>F~k=&m=>N(>`z>V zlcT2E(BknGAp?P6G|E4H>{o#I#XDyOtEBL{V_o`;C4%a$Q-GuJtmWeT-qjLSU(uRZ zX~I{#@3M_GdYOt)cmUu}Ci5+wzP1|W|r#lSl~pj=PCT?d#OPPVh^SK;jY7Oq6S>MrTdjQ<|t z6lV~#6r;mDZKM4;zgFNFgwF&B^a>34=sU)A4xUu`eb({*#;MrHNaDebH7T@ z7A*|4*y)oVj4hY$uu~LL73S1qi7C{ggsEL}L06xb79bUVy4oU(NnOTYY&WCQy z@|^dY(Gnkt`X_xLkaCb4LTNtnZ>Q>#^(_`!VeYdhLCZ={r9TnHh$BpcxN565tGw;8 zJL*O0kUCwJu-Aypt#ik}#V=b#!-WM@V2S;APyK1_^GkFq=eO!11+FHuRvauZG(cv} z+65Z}xd$^us*tLT5F(}=31=8(1ghj<}tgbvhISBIir#`4c ztE$Z4K}2x5;z>35jf^Oupx3#%>)NfGhCb!!2vOq|eiwbin91AAr{{f9wA##}-b(9# zYZUzZMrr>au@%hH5PxFRv4_OCiwP=dssn=eUEf0qqK|PiG0Sc3LbKP`WveK)2(IA% z%>@Rn#mD42IR`-Q3JZ*!n-@XZSn_f$j5FldRmULiZ_w{~}$645z8V5TZ-gT0=N_DN;n#W4iSRqt~zgvy=%1V;cF zcPYjp6i`Z`7wWNM`AD|~)!wW<%Dsoe4ok)PI#vXtLa)2NobZ_CuTw1F*XCNPEnK%s z@c}VY6$r0bQeyD#E=yZUbp=mTXHE(#U_$bFTQu`&!xBnU(!$Nr;Jd|v+N;W)lMnIT zog=NA*^M2?OV1?oIkZl{4IdCj_(bN+<_t)|r!7M- zpH@M;N*hE;yD(Cf&V2+s0A3j@;POiudB? zi}6)q=R#LueX?~qenx}k8pcqwmAFRuCT5GTr)z!Ie3%vp?WpEc1ch^kN7#&=Q+Os@ zx3**3?yx(yZQJbFwrwXJ+qP}nNym1__Mf%Ct+oDZ_tyt?kQ}^M-8J~kQ8lObbh7lc zmEe6Q(0?R3<4}uMN<~Ph8BmvIsre zijEq+iogL)*=<0rjv?(g+h2=1sjP;mze~8>p;3mZE*k!#)@^j)K2|}mu}r>vy)YfB5_?{)}B*)#G-636~l-8LofyJ51d7Xp|%#02@0$QFp0 zfNSIV@oO>nuUH~C%C1Mlvph-io~A>_#={#&b-JFia@H$5FLD=Js7GRmr3*N3PsNWr z5!1Ub1P5VEJ0M)gJqVH8U!^aKE+qSslUcVoZ6FE1_cr(?GT8?7iZ0@mdfzsVS`~%Wl8+ zkU!GvMmF}B(Do>U!nz5;wL-=MWA`K5!EGTO?xlO^!u{STV zR0}D5+Khg5BfZ;^oOrYf`EYCa2}s#unkT`&2&T^3>lAvqHowIzWG_LQ7WD;cRipyKJJiDcU z&JbO{d@ON}VJKdIgqU__p67$5X2-^D&yuGqcUHvGmRIoC>j+byR!bfQfrH(!nkUPi>ysjHw^@Y25R~^vm0y= z&|~sBO$O{=SijhxID0R&?UqctvkfDJ%Teqph1*=WTICFsTH=5o7NKs-Qmr^BCyU0X zor-V*9&W{fdRke1y;MMfZ+7Z+433gmQN9yMoGvQyt0hWtU?JXS1>SO$Pdj4gcCg&l zb+9d`9>;3Ip1&wrxxb6dq;=mP*BY#>A)y}THt}b)sM<7W2;(?37G2yXiO|Ui3;0o- z>xXz5$THx&A!o zZj#8at&&5&;cg}z?Im7@2(scE=;nb4cs8xD;i`6`TadKpHqAzf*UA=>g&WtgkyVlsY*g-cn&53 z^7hT$ug3N8LB^r;gPW;{oNwfeSqyHmMc!`S4{6@7ebyh;u4X(Rx1W1HJuUIAe_SPb zzknCgm;yp1duA`|GS~-|F0Iiwl?-5s54a6#m{xguosx@_>GRxw7uToJ)8wDcUs?o! zuObv4%X=`-2!F7C8fc1kVr}}SkY$nSa5fbg!Nt_CRG;?Joz!&NFu?~dh8fl6Fav`K z`V1l5o%W-=x_OjB{tcDmBLA?G@R8ghOITa5!x|p7j7n$Wdz8ATrNj{`6#0hXWnQw) z@&3`Roe#qGkJ=uu73JYsqvgIRK1xub;4L==BiJ>lcJXX6K!!Y@$ZJ^6lV;15EZ(-R zS=Z6(N>CF+B_xrejAi(?DT6SSjTPvF!VVksmZr%)eVBBDaPOIc*)Upm zXOa@oDBmxEnB&rzr;Z@sAkyIp6L4X_?({tg6&d4uYEWwMTcXxU5Nu9TN};Ke4Ql!( zn`DG&-0Z`ZR3stajC~=v{(+eINu2HZRG5B z#>oQN0bVtRlRfk%-Q-B!%~+IsbX<=|DAb686*kB= zTms$U6qh<3cq$rIzo#ZFC;2f94{eVQ8jg|N{%J;EkCIVKFSTMohD6*{xf?7CJFg~ag)e#?S-p>zQkqc(6=fJrm6|P`+XhFS5EV-)`|wwpC6$qOj#_N> z)I&h{Pp#mbA&IRD8T1Q1tNk-)4={WU#zEz?i_aZ3c}AqiAfx%U0|!_*%Ic`e1JkwSoBh=3Kl-3I1x#84tQ3q~ekrRT6G*0r8?t1%S61Jk&-7{|b+<)s_TTu+k z(VKy&GAq*I*J3?Aqow{ie6#&-X9{R+=0qw$zL|rr>M!fohjNRbN_I|Dd{6AqRo~&K z;N%#nk#$(Rvowr5{*q=sI|xOjqtht3p$IgC#w^Lxti3qf$fg>Jj+5} z)JKu!jiId!GgBekMv~IDf1oEB0h_d5^!jo_iJDgqmR6D&qQaS(Rs4g-OPluCuZV~!jaN#j%yt*TQVVGOGsBk@51@tjChXj%w0@_YCoUI*wjpT1 z;uiW*8g~T7Heb&Zn;tQ|RX<|-H*V`7G8HBH&9Ve&EUhdLWL!0Ws#)XGP0kf5LLMJ- zn5;ZvEnm^gqT7>6sdXka879Hef2n}PT)bk3GxDIR=Q7rB^JEWu(|$&XFO)CAIn$Ar zGc+r$G%29?U=8P1e`hR^&!e%TM|7+x_ePpiY8V_;8JDK@8Tl5lv?xC_@dPI_1+tn( zn$}|;uRewpr%h@UC^n@zbcq@+e`Y%3^Es=N5CIl=wcG@1C#Bi+>WI9lHN7Mb3jLnk zTA_lk-r`hY&D!L~Rmw+&sy&&bP9eBYkViqrlM!mZGiT9?XMsE;DXU2)QVz<}tvS9$ zB92y%s(v+y6qpajg+sAW^|phBjcQ7Dt+DwPfhhrD^f2kC$?j1C-^@xxn80x(ZLI%Z zRjvDkUIPsrpzzu`z7)iz^3K8rtysG17d366<@ZAW*8^FI^Nb9ELTw9h=L%DFcJSvG zMPzZX%sU4x?0_z%wlBO1qf7dAmZknps%QSyM5(ekzo3%@mgL6J%@Co>{og$*>+tZo z?NR1JDmiJ!GfF%_E(4#S=1|R>1}6@?6H&E3BF!WO6k=?Vi53Y&ZRWgItZG*3?^M-% zUKq-Fm3b4$3?9?5!Oy@7`1(C#)f`6gTNGDjGM&hzY+m=+zzrPE+sN7zo;$=s=q`?C zXFK7ROFM&1Rx3B?JjeCR>PaJ4Wxjx-QheJmM}iPqmKtFp(;##TAqWMgn=oYEjC9u? zC>|sWrDdcPt}3pc(y#>(Zp$aLobbaC*t^Asb(Q7|)F|a0X}D|N##1k}bw0!KgsQqW zyDU-RmbFtHh!>0Bx~-#)P9A!GoXsdz$pDt&<2t8E&hR>_!_0Yb zVJWPbp2F+>33$WlHbo0UTbeE^0e`i5YsOi}(k9LmD>UGe z3^Gn^*8SK_IFK+~EtLrU5UNT-*30`0dx!%*1Aq%F8| zQTLipux^K?jBtj`L|Z>xng~75{oHVDhSSYPwf%D^qIp8jy#L=$xk zs)rCq7J|k_T5%d1=>m(z{c!Pq8Qt~N=dF!h`g&KS{r+0z{k*H)`LSoM43X(Ty%$Li zub^m`2!Xay1-&w|> zlTBn;3DkP8thxW8kny;LzM4G)@9JULkL%R5>)1CJokgcd3c35aNkTd-*@ObqTaJM zbHi6!lYEe!cwj2SE1jI7ScX@sbGs=*IFE*6D8MVnJ3~7%hXR|zM8l;NC*Nlb(Wu+r z;bkR&K~Mky03ZOYeS%eTE_;Q#ysHo-t+)R0z@Bw$_Tb7X!Bs|q9 z=XVL@$@>oObH>Rq(y;Gv{Uw+ zelbn9wGEB*VzpJNut*0lUPr8+DBhF1qWOuM)JvhF62K^pC%}xOf~IFR&UT1N^ix9Q z+mKI21dJ4f_tCtFOQ7>#r3S>Q?@xQWJ|FS>x_Wqfgpi)6*H7ZB6$jOwd$?6V?h#Ik z{FI>u;AeO3L;96Hp~NOU$8SGqY=G_#Nn^9?kL7QOSDO!mh_d*8 zP2oGFU}tVGb2D-8c0R{L0V8v+LP!40Vv)N=@;_Tpe@GubLN$fcEpH6t^1S*XX6Tiy zRGqo0l59&m)jSam)Lj1qG8qNpXxT%4=`lnE5S-F6NLv70@yuK^-p*D&p3t=+H;EV+ z$ps8Y5UQWbC0FVCKG6(l18c{}!3iQ+UjoQJ)Ii|ZIGQ_GimR-Ww?{oXujdIrc6%{di ze1^c<)ht+mdNn}s`cbw;dChnn7t}>)R=sd6D;R(*8Y{?N;V|k#9$wEx6HuJCw?EpCR>_bSZ#xIs;<4Dn7pW5_&c_k+?utk^}9d}Cv!ZGb!fShzr1~&H+sc6ZY z_J$bOL?ZkGQ7OYOycFs;jMz-9LmJQ5ugcr{ct@3J9$l855C5jBrVm=`fO1^{3Y852 z`-?#O48&{Za?mhp_t9v>VI&1P$ZHd<+l3h+D{IG2M?2~;rgNy8I}33CbB<5HawRte z^Yi=9XuoV~gb#i5%!JiAVlT_vpBw(!v{zUnE{$qk2Gu;eCHZBlES0qkKaI zp5klVcGOC)4RKSUq+yg{e8K2kq>kq3t3k>+`7zoCl@B=aD-koPu}itC1MXe7(}j?T zFEIVGq^y8H4l5|fq=+jhhIRBm5BE7{PXp9&uC`D0^G8ssH4<&a#|cbuX)D$MDTMybxf4S7*7_7 z?R^*Ixcgj5{)qsb#>?Eip3Ym%OD6?ttGCcgF~+U(GAQmOR28JRBc0$M3ItM zAzmJl1`B6!*;z3y$=2yuO>!=`nIFj!TUFY;kJ7R^|^edDg zg3@aM6$~Me$y59XjAWvToud^A``v*nw^SRs4mEIa{Jj92V6)H4a)!e^z*(SM`3Tjx z&GBaWT1mLPSl#@4C`D>NDl+3GR733IoG)yF_$^u=q_g@*o5`~1@I`*%`YFQ0W1l;X zBAo%@L;eDR?+cN6Uaq|!)oHak(5_2D88=SxS6J#Tg8>YD?Md&2w2Xu`!FPbqiOFq+ z0NMut5%mP9q$L=@Ncm;Q=7AFIDy8fTG+VHvf3K4WNzbcXvHo7;e~MA4H%CNmN`e$6CAk1?rXiP*GGwL zg9%sW!Ara-^y(z_{Nz*@rQq4DlntOgg2zkSRm0dhm|!a8d*et&=BsC%^=xXuc!m%a zYvymGm@4}4a6yRLb%$0(YN4#+VFcwtQbhzx1LX#iUq_+q$vss-dFu-RBrWQZzAY(~ z8n);u`axga+cHdn=f^i~RB6oO5tCiys-(r+Qa%z_V$AT3H|0--qoX;8>D%nnkiZ+xbchf!+3F_j~j1EJ{$tU?KearwI*ezZAd%AylraOZ1qKD z9bgZz?GwGjG-awi`9k_3Azsh{(>*?eBfg4=c+(#tM|WZeTMet!eNC2WKQgiARm6^1 zJ4ZL9&}Bka@_5ImN33gaRdQM|W%mebU631geK^SfLuFYT7{s7eRP5?HR<9#)i6N8!&C zMhIT&p7eHRbajpx{oPrEaW2Qm%J1y30j*H;tw|NpA~smF-3FK)w`f;P3kn?3`|fbE zS{Wqe{G$US3W<3TvJ%66Yn{kfJcd->R9~l2e8uiT>ATb`7oOUZOVNB_+&K9a%%ta4 zc|7Yz;$M{PF?SZPfh@{^@NUR@03w&A#C0l&zN7O^BtWQ z{(=H{Dv!q5s-9HAKp{wVSBGs8xN0lmYk6WWXhy<9@k5UR*wjs17Z-{?d3%{ax~6^& z!~ng-bYt`69U-`+$I*f@66ajKkF|qoqulvCajeHV|Av4%pF=d_OXDoQ)6Ns$$0_TJ z>`a%^&l&ShRp;TR5~a(eO}G|((q)14`tgN@750}30?Ng%sgYYSdV%Mc_1)K`;H-1J zO^q)GjK~yE9gdx1KfXL%%&E&f0}^_whp|$1UMO6629WN-qsfdeelh-5I$2^D;t$^% zA4=|;XVEYz?+Cc!_3I59Wa|q}(YMbHWQoqZvz4ii-WPPdwQq1F9nA;GEM(9Mc6das zpe4i5`PDDD(^(|Q9VQyghp(J9(>7(!@uD@cM;fZPSAt)L#)y`LZKxdD0dPL|1#@mf z?;5Y9K0oXjpfO0T*kdh};%JJSP@i-4&N@^4ezwCk&m=oFw@&R4wG;hor%TM?rlWtH zvGdN2{Jyp!P2`2;9-yenuu*zG?eiUaT#_{YfpzZPszGY;O|$}|as71Q)x-o+Y5X7U zqlx8h7GCKz6H_UcrPfnBks9sGL%&W0+da|VhT6(I-V!;TyjfEyQf@)KNrHq7Hx}|6 z%8Ht%WZp=)#~()sCk^vAp8DVuS}P5{pIvNPGT5gnJ$&OxlY_g^pmbi_*2wzyvD`;t z{AGUf{r)@_$MdZi;)zbjT9Si<-V$Zb%3?OZI(kUcf^qJb@`ktP-U@4F>>&4-$*5H3 zMC0#qcRU+cM03LQ6PC%$-tAp%c9z6TN7ze7B3jsEOox%?MIwcf?PDhGD|>Tv#~1^Q z9J0@Dm! zuTU}K=s5I2FPF5r5uCG{wZ`%jsBh}R6eFlurH}S0a5KGSyf9>KZMg4(d)e1~_Z;O+ z71E0?Fd{Vj@XL`&)^#Yl{MyXyg~pCE15!|GkeSv8X_s3yuAhcH*ox}o6H^{cQw$sn z?@hQ&RMjye7S3HCjq6ceDYkt}p_$*AeLKgYi~{!iI9uK4QEiIu*T(C-nqBu5cx-LC zcs;P0$fSew7TX!`D9gf3+qbJRj}F4Pe(pAdjF*?;5+`(0O_{nj6Y-m}S+tz%z+2ZLx6Ryd|e1c+FjTRP1{=lhGeA9Ss*9=%h^l^qjnbX@!J zDG0CkNqqwD#gHC4WG^Wo>}WX*)S;Z)pEh64yPxr{K(*|rbIzcnwcQmWBv0hLaFftyVcuY#O) zWcQP_<_$DD?1u*jdx9_QN$8FGkRe*x@&^37JXQza+K~kV04Tx&_!P&#mB+Nd)5irV zt5&Pb7(N#-D6JO01;|2Sgc`s29n(OlPF%#=a-f5J5;4=lDWTXIC1!#*W!NIgRPtfY zz6xPq?Rs-pYooE~4)0=oei#_x_oD`$ujD4UqFj*5|J0!XS_xc33pxQ*TWMj@o96{6 zSQeue1o;^WH0kEnfJ8#A++ZfT>ki^Vs6#1mEdzGaxk$jTk2d#_1a7nuG4h$b&EIOg zNW54R^%OtJkxb<}&4oBugm8$sP#5AlKH|J2fzmz!Q&8<#xRkItbKefStK8or&iqkC z)}k!!Yf__E5c)PP(8fW|{Dg3?Gog2`V9#Av?PKGN<@C{6ZH0`Tw*6^MN%aA~9_bI*|G;LCzB*S2^85DVlG24Sv%OqicVLE*gaAVo4Wu>m$=Fo-n> z@ik-{q81=m1eJnURY*j1d>w=7mV!0!B0bS`VuacO93*^bemdGFt|T>i{>?Wakvh$R zJuor}gHg!o0SW~*9Rd2PC^!IHdh3Dwl?MyfA4F@~i-N7zxqye6Az83bD&S?+mBo7jg~^V=mz zNDz&#@A_12t$cjFJyy=yJ9mb;K@w z9(|_+>s{fm$#{_WE(|KM`C-B+6UiygkL8%QVcWKwvXO|Laav&36kUBADntsoTx#08Ve){jVX zRV>aRhpNM+g=5imGXSf~0tl>sgQsITy_B_5Doau?p|pluKK8jO*^1$r9|>4ynITDS zB$~h&Q#iurnYI@uk0m~>xLgt;cwXqUt_HtONZ|(>U?c=z9ZS&a7j9qD`4pg+^82z6 zQw_eVB)vmX|B^KEur^@zkX~Ju+km$D28dE8%lF}eW?1D?D~E-Y`2)m$x!H*u5-2H= zxj>KN)$wE-S~x3l@*6aL z#^rs$*8_0E{&YI~@lin4d{Zod`$0%de2k_iamG;GEL5?i zx24BV%aEl#@vcg1_BjowAjdTzG+$|bAUV*rOXi3QX>yNFNo&11$_{eyG$LvJw$(vl zZJ)A!cOF<=2p&spPn!S2Z|T}e-SsiKIh4gWdy!;KgU(WSd%deG2QY)Q=v3CxgJUMM{ zfFsPr*lCdG7x0L_&$+swW?g|&MTS4Mh2631C~5R~-^akdse#^?PV!svU))ce0tcli zjcyxLs#glKsw&)Hx6Z}!OAZCYKvW-HPQLWu7Up$16dK9r*86YHi9|ff*P8pcxVX0QcWiso$2V3Z>67#fsu_p>he{j!!0!q;Z>bPS}&{ zs8Ssc!Y2n(Ki(f;s*qjmqP4f{UK~!sBwl+|sST56Y~*sF=@PfD=VzNLoBqxxJ2>4` zzJku-$s5Qtx(t3+di|1?#vCOi2V*O=U$6uZb#~^zQsyrbSoa<9M58c_pY0aGD?Og> zUNturWG3^gbPGUg(Ghe@qDjS`C|)dBC@3Nsi3L)MrD2IQtW(v55#ehXG?8z!!Vl(=stC^Qwl_(o2x z?9(KtFT*7AU|TKcDaFNwr~)7U`^7#ee&*z>ihl@pIT(XB;EC(*Af~i3!)MD-8B6 z0Eea=5MU$%;;4UexN1@yO2>UKVvTg`%9Oz4yQabqg8$a6U$u8ms@;$*mktpYYz6Rq zcV)dDi=S?@VgkoL?CxG1+@q!+^l)aA92O6%30KZwZM^MXTNZz-Ak;P9+8(Mys2eAR z8KJr&-y_;88-qDJS{b zM=6>X-wW(O2>Hpxz7v*qU~p3Vs{>>X$w}rOwwmGL725khkoMAXmd2rKE-SpHM-~$B zLWJ*_96hN*P%qE7&-cC38rz;-UL={_y-BHY8U^&D;CO3l8a6E-?k_N@m%fItl{FpZ z(jUC-pl>*vGz2bsb`5Xjzz@O`iupNtk5X3>3{hHKGCY0sznvZv5{XrSPci0HY`i`n zoLo17l6j>@;4>Z%e<;vjg}i+Gdob45wqnPB&R0-BXCrw3E*O6sjS1r&P`$Jmf!iG_ zvDnjlWtLupP+{Tf><~iY5SBr_7W}DD8y$!>&G+TO52of~A*8H1l$$`U%tJWq59GOZ zm|$fyd{T%R^-F1HVLe<@$wi-UOrUNT;FpL`tqrk}hPT(ze9@dYdS#XHw-z1>ciS@1 z&xR2nd4yjn;HyD0LxULp0uo;pV219-UE2PWV9<1?MfCWE-uPb2l91nYcXRCwwj?^lBQ-D^EeCG-ezRXg* z4^~PGqj6>5Qyou2^Y>>^J9Vp)_W2CnKd*mlZ~EVfgun?tI6qnh-Wjf;P#Kx8zw1=6m)(xcR+4>dMPl*=UDbjT^#`~#w3#( za9mLym_9**sF((^j%&%V6CR#e`#mY+Mcz(OgOd1E;NStKAr}S^3)Za;kwyp^j|n#n z+Xk~bwSrNP#``ny#vrl0myDzzL*4!L_P39dfhKYP7#c>qgc}Ba;sXDP*%^L|JSp{Q zb_CvSPZ>INS^7}ZU06*h>U`1IdN_mXLIR%LCTCqfzGCo4ysGuAz@Md&!tv%H4=@T& z@vhZG0j!34@DN4%wu`_of&xJtLqB+rE6q05ndFl}J*(e)(5@X$CDbE53R-lzLsxX_ zVtyuMVo>AI3vrmgvdhXZc|TqFAZgtJ{oS6IwOUSgpKt(w0>}6}xNw*d7TRh?W;!NX zx>*Lr;bO{Rnh{Z|5lQKBIa1P58nKCSg^;M>@v_lj8l_>02`S1E88Ic&F-meOW!i}S zumE$G#{MF%4pt&Mc&#o(&90i7SU{_{9?Z~$P}gI8t>e&Ewv^>$H~=64fgiX4^Y8$F zCyA(KT}l1~f&UW{rr(ixPV)U_rq4`Nl#DF8D!8)IUBE$BAZh_luR~9lg3{iBYKF+S z6n$~%SR^4=FRr5A^n%2XM#M-I@s&6gUl5HL{*6szx5rL;Ea*GwRsgNSI3!$OrMt1> zG9~5<1SMLau>FfwGAXm&mg&N?0{U|_o;GJKLnf}U2qY&vQ9G`jhWLWi+l?sX8=ZD+ zw{5F2(sx&;UzvaB?aOwi_5S3g_!C~{-|_yJ<(OHT7(Xosacw^@B}OGWAt5$F0eFQ1 zb!|^>uMmzDu7H}$B_1cwfO?G-evRa4PhY2iI!Yfd9*zn_rQbrBbAW-7obtmmN{BK^ z->0pmC8vZ0kih{33MpKi9@*Xo%g6wLZhU-p*3YFcU7mpfsV2n;YYg-sW-KL)12p|p zhh+H^EIN8-=7s;uA>n8yM8_2Xpo-A;(E&mQ18c`~XZ1k)R4YXTypW-8vD4D9Q+MYt z|mac47S!0A>~jy6OKD zKxX1!5hY3`x-c;^F8#kl>V$=vxw~SMjERAW4q2d$b3lXhq&qE#Oae~?(_P$8K3RXc<7lv{ftzcYWOodP)aL> zz{yOEjf_jE4F3}%>aV;&PYYrOB@Ri>TPy=cPA(=XEj8|MMk_e0V`0XZP9+Q>H7Go2 zRGj)BKE;4)hkPSWAC4Nv5Jqngjqv;rn$d4AhHamgK|}iY;^DU?yd!DVtd|!>X!8a2 z1~{R`;D^{ia6h!^!Wwp3qP$=}s(b>Li=x8mA?yF6OhVOE-nA2ho9o_>7Y#c`5O%qV#^>F!a_E@1kY;B7@;+GG)| z%skF#KQeS~J+|ew3b1;m>dhG!lmbfZ3G+|c;x&97NLp%}?Qxc_WBqs4-#{lKkyX?m zfP|+?b~NR_2;l{dB`Bxbq2pKP1)hvhuy_QlzmQ~1%|PT)+;KXVc;n7|2bFu~QrxR4zW9&57PYOgt(<``gXG{T#PFX42ooMUQt|_$AD^Hf_K8e2s za17kCTou&XN&Adohaz<5yk$h&y7sk#OTa8qh{()~*iWxrw$CAl+S~x_ZMrGXupc68 zcGg}KAH2DbuqjS~>PWx;qXH6hdW6J%`ZmZP#4FuzJJ`VS9wmK>Fj0$BAAv=`lVZ5 zzf4s*97$p_eyg_fCwGM@uSc6{z=U>e7Mq&hg&r#%VONrjxUkj8+kQg@YIO5oCFRjK zB&EbvV#@Gnw_ipo%5#g18UXv2-YDjYxP34c0c}J8fbxtqDHB`hg>iOD=A+ zXZ$>Fc?iXpg})k0U;2PUFLTPC@%V>$m! z(s`V} zx0gn|%3ByEClid*GSe}1n+7SE83_|A8)IN$_1m$5HK%DLV`6PjSLMmZs4D(|MCmq; z@iqMaTo;m~7c=P-58_Yoe%q;LOxApAD3rkMjG|`v>_QZE2S#e?pacYdGlerDi?l`) z7x%P~&^Z6G<#(E*5_f(lA-S0_3%lvMI=QkC`G~FdNGMoYlju1$0@nCN>>9kQ!YyAf zsBYCHrtluu*biDb_2g3@Q(m{LcAaVH-YyvBMDI7z!IE<}k_b!WOH;E}pl=@id-d*Y zFU6L;MHOP=$>$gCW8eSov4t$Alz-vw{E5f@wox^l_zM?d@M^uN`5SF~s=TrzGYoYA zhCNv^Y`nQ!aHzF)288WX;RlWO>RNd2qB@1v87eEkZ?Y&DkAO3dysBG(F~3o=Y>?X4 z*<5dv){B3mqNDEom-fzU;k}SKB!DO6Tv^^Lt3HVW@-oYV8pi32;mB8fBnYn)0)$;C zYD|nW_^(TBcvBE?L@!>RmNxg)AC}wbf5&a;+4`CFiTWoiB6PoPU_GP9{t8f`2d@DS z->;2d9m!hJ(5=J~F&(FQzvs{Sd0W?#`h~Umrq~~B+}oh#mKYG3u_YmZ3o{e>LKCrF z!_a|#-Ob?u3&z>flbVW;=sHZpn?Mzg3wmcX4;QP-Pjq|RtiNL6ho`eaRNt%h)G*5- z*D@9YiyOcgtAdO&gIu?&R&hwC9ZR8-y5ts-RaZG-X@88W_1t2J{-X2`E1#k+TV{S@ z|NIjx|F-Sbit72x%Agk>175zS6tZk~S0OkdkOckZjzp2XX^k8O{m{nZmD^#5_E0OV-Nt&ag(nbZL2LOvHylz3~&8+(|mmM(b zzVK0(S40SehV3Ed*c?T2RUmPA)^Z1Q*I%l`3MhF(s2DgaAc2Ljqc#;4GRE501(uR2 z$nQQ-N4Z`9F)^J4aQ@o%N&H7u=(o+WXVhQW7(?)ZYrq+hgv|1-PbdHlBtdySB>qQX z4rINU7_ti9MsY}`=EOlqO3NN9e>lQ~ZM-BM0w-ofqb7NCArbPL=vU&vG)Aic3k|T~ zc5yU1sH}R0u={r3=$$&-aW^G3D+j3*cx!mSMjYvK5YtGOU@N9JQq9MseF@Io6!9CMqE{*- zKP@>u#XxpUJ3$)N7!wwVXMw&07SH|MXRB}7R%JQXh$E;_6ylxv_W2ns~Zs&)fCb=&h^Huy0I_29E*zl^$7O={gr$3!ZUk zomB~*0R;A%F(F9NUCpeyGyp5c`DNm2iY4=@gK}6otOn_k9NYxta`Y3r7FZ>7h%pG8 zd7c?D-Qld7MyJA#DQptDms=3syw~2vB@nU=UssbA3_U28uV%Irggff4FlPDG1UpNf<9bL(TEHg^Jn>!Jbij1>OPalX^Ri`c_A>t<4c@AVF6||h5K2&I?3(lgQZZjfnBrw*X zWXfYT7v(*-cpXKCg3fV1UpS;mU#xr$5xC3M!~XPhYJ|>wMK18PXGJ z1YTCuXT88BcUAA63&s_podd0?6492#WArFA{N<6i|4NFtW%Dqd$3NX}0j}?W*qh&F z$0^VszyC`H3|&`$`qkya!gfS@a~m}2O$`V57mWiwYFcQ*!-r$y@FaVnUcI??;Sba? z#nMPJ;W9Qf3UStywRNKI1h4ehw7UmQN)UM4X00NY5C6l>zlU{MUDA5ZXQmVUgQkW4 z_cAGZ0?JPoA@DQPaq!R;xb{mwoGC%#A=kbNAK`2~fQ<|o5~52xxm+`KE} zDz0Mc;D`o}2l`Y^2g@UvyG2|2fgIY$0Mrf^CJtMCA_XaERn}epQaPGhWv>I$+k8Y% zuFD{pv^nL#&z1UeM2q#LLe~uM4Ud25#q(SitiKkhj{l(0(f^5vVr*h;WSslIn$v$R zP>GI-N&QPieXfWInlSX1P_gDQ($R3yX%jG1u`;!Q_vWh#J6k5JTgAKlNpD{iRUtd7>hCo4pj)U`;oGt^^Ufoy#o3z$~;a zCtYU?L6tslo=*`ir8l&{IGDSuUW3)19P{;M;$1_#3hScu9ry$=3`d9tD3~y#W|0U! zU|%v6k(*A2wKrn_+(<&Z&bD8@Xbo9 zif_eUe`t682Z$?~UO^vd^dC1@6Jb4;|9NBRe`2-YY9hzU{}?_6 zZLgONql$W&nGf!q$dDDt3i698Ae*?0BcXPIi=KKaB z3|?js{S};V6>)zclWuz~efCsvy2XzVj5kl2t{SQV{+QjN>HL7E>;Ra4oMH45NwsHk zzE|ODiVPUa4U_Tu%5V@dvw(P++8+GaOb+j6xs#9G&|1EPGY-)e|aqPpRoT{Fj-EF{MBWFY`JIccmrClIN0_GtP2%4P|J#wF@eD@3eNV& z!PB%fXD{ghB zzv9;q#bulk252j=96f>^`muy)0lAwX|D?{0HjO!AldV%`&!E*u)w%d}@*VvFwt+YxQ`^za10JgYfY-zGJR}|-#dn!Pn#mRC6IcpG7?Sut3 zJKv>tcHTWBj}at7ll2?pbr4qAYb8YVGId}wS=Zb7O_XEed9Gaz<8qV3G}AWE)aSLVZEe3Z4v8TuY!zKru^NN`!bH@(U6R(0Ukw z{t>iT{py;3P1i>L1mw4($nsw^DdGQ^Neu@S@;6xt*=mFhLv$I?n)WFIZ){i*`mKAz zfA{XBcV2Vdyj+a!$LW`AJch(IWfhMP79=;Tda6C{N?p3;n}bEWdcUc>T9}im4o>+B zxsZj{WzJQ#TXF=!4<}k}coGFvcKna7-b%Ed%IlK?{7*vgw?Zd!yynx>P=vQXMLbP* zej!?IYuIc9N^IHttj=se8rIQjnZQ@@wyX<>Ga<4(NiFL%DIvP%WdT;*39`VneMsjp zq_00?pNTlUYV$1i6DG?lbu7`g{O?pk`d<4eD&DUXpSc9f4|5*phFCZ(#|d$2arr`k zJ2UdY>GR7s{_bcY<$ItepIQZ$=-+FV-zNOCly$2#T9l!S2Nce3`Q-zO`V*nVz;d(M zn&}y(1r)g*Sw;U?U-6XZ%ep!Q2!h#pdZzLZsy}u_p%~BvRmC+AW~LJ|ff7l0c-AWS6-kLg znsK5sX>;f{PS&;LlbVtG$nrS8ipDYtl`y3kK|Un9U?Kv$eTmsZ&mxRMn;$~l=NXJI zZ`Q$a=`AfUGx79^nU1WRm-7FnY1duRC`}pUEom$bZv{gzuPB60$62nI%Du&S>GJq= z!=kX7@=_xYWG_HQK*8N}gjiLoSy|pGB1)$y z!bIrrE{W7SfzY!|1m|B1wG~@}rGg2WKo5o-=g(XV6)C{!@?&vw7B$+uIZj)*>?DYC zbgW7B%dbGSQ&_^E=%)HV$5i>37ZaFHL$@w|CF^_-mN+pKvldgs5PXjUirIhmqgU$= zKa6=G_G(0+!Oko_oJnBFlE#_)Vf3?YhhkTeo`HW-q@_?s8E+jTOAPqOIk#ev`&U@g z_*TC7bmjS95mZ{%96bypUfGmfO8~$`EfRGF1Wrd&84bc z>>w#Qsd_Gk+opp-Yc7N$HqnQk+9OlQ>|jw(g#$|OQE4{#ui|Kepl%4yU{$i&233RF zoB}<8Axz&*AffpFq=MMnR371zREM0~%FthN!f>pE5DZSi<%++nN7Wf7-E=Guof|GU zF9SP9&w9a@+4-5*ftrn;)T>=TF7SqUP(X*r*x%eSR&dqv&DeY;itB8P8C)f(H3vmx zc6pz!cR}2yA+cv->g8aZnMaA(Iag5@u>j+XVn7Swhe;n>In(jZi~Hu!?)_!@GA)m9 z;!0GxD7$V#QuURQI}Xi7KMPJBU;N5ZD^ACj^aEBG7eSwE!JwM^%ud;%nk_3dXH3Ks zIF45M`)qEK5H{?jTKzwR5|0_j%NH{3O&i^RQIu7|XB)%R$dfuO?pN}ke$7rzHnug0;Dj+B+jjX?3F z%B6e>IY9CaacUa&=85h%*uRJGU`m&|^=DEV{Dbt$@LNstKXrnh;jdY-6eZbcx$J)z z3MU$IN^0fLDF?aif7c3gTH2o6|Hs%>22{B%ZKb=rk?sv_Qb0nGZV(WZ?k=UIk?t;~ zBt^PGy1TnOq$EU???ul&=g7Su@?-zO4bJnfcg=cc=9yXKlpHKPB5AY~taMbQz>t#& zRvhB{2~)WUCZaJ2ZP20#1_|;qukRoF`5CTL8>-oJdnbFR6Wll@V&~U6u21pqyTG_h zL;)OkMIhj~>+Bg|V5Xy&VWwk}{6~agt?KV6Dk`1w8%)Qiz z_&B(cC0sNsV`F`7eF){x!SX9{>f!Ne;Sq_jndtP$(!I#YjEB|j6dWvBwCE}nH(=Eu z3gjdJkf!be>()5K;+Jm5@A~jVRGyxEYp*qdVXqPx%__?ZPK*o=Oq~~Gsw^%0ho}DlF)0>q%mHL9Cf_@xt9o~qukND4t zOS9fq(TmcpatRc5Y-sKTIm{Oifz*aq-ezgJf^bU;9^if?h>ZWl_F z6Xjm$eXz{#1-E_f@>ULugFLw?-j+ZyClHPqwya+^Ws53a2gDVf;oY0=Q!BJz=z0E* z@`rb_mHA5ai>5GL_!ab}{O%rmg9Xo|*b!=+4$91+SA7n#ao-RmKd|v^GG#XGzuZu8 zz{pDENPJ_t@Cb8SJ&EO(kyLH2I8CohliRJ;w1%chF1?dG5XDzHtT)QY z1ZH2BaJ@`chD_Q}kH#_4uBW0vCs2kn$`wUyHx0{N)I&<%PaBzkGeFwzB;QWwG6rR@ z@C-xKlN#Qy2Zb@W9e?kMDPc|-V-zhJPnp>d8UrK++GgS9+D)dLi2&K0v3y{T#J%gt zfamJtQ72H#=r!~th$x%nYHK6-h0ni&P~V4!j2v%DMG<+?;UmAgQz&faqq_7JUZw` z&j9ScOEk%Td*IMJ0KBS1um>)3hb|CyrXy6unVYd8;erqJJN=iE^(@5}TWEa99JVjM zAJdtveWMlUR0!sSnpQV#YfRgNnYT3%O6s>wTXeKUN?J}0Ab`=)bbql{*369rmzp{< zFaEw9xaFhthbV$k^x(m=qT>L|hY1SgNLpDwu%dKcI-k~=^^!xsMuTrgl`rc!F^G_R@73J(ncNzul!yKFTw?n!fmiq0xcUr4am5Vg;!U72 z&|6?6YPx1?j+Uf?Xg4cnQ2TjYC0+@4ws98kzD5;6do$T+?eCLbDZP#0D$b(rY%u%y zl!QlLyMO)Rh;XNXVp)_az!B5*OcNE#yt zyIdL@2Mx_9K6Vyj3GZT0Utj266=>0~RAjzG{aIaE)e^a)++XhB*!sJVQ}MDP?%ksn z_;bv2tJ~h2?z5a01X2brZ*{}=(u`kzFP(o!6f6QKv4%>bou)$CBsLPcH9g;rlp%Rd9%siK5x;oFpp0aghsBD zcYhO6E|S67qUQ;8M6mOuDDh2?vA19djbk^uHj$BkuM-E!cpl-$$g>MI_-CILYwPdu%$=}taw-dr z7uE0Y<1)Y1a)abjt9c9jlHAxQxXxf47TKn|Y)Q+#pU(!@u}#aj2dsNnSDd6q}fMruRp*=D-C$c($>UEObm;u7zSoLjK#-#aXb-kX*+DIfQEIQvmMoze3_awz$8 z9bIxAaZOrHZItTRw5>2BX8P4FMauV zx%wrHtzn>{!Wu8}#+l>X{cYm9(O~s1xNeOf4Elx;n#r&{mcFGf^9lM`j!#n9$H+rr zpi|ReBnOgA?9iB_t$x2cWcaGROUBzYS+qhHc2wq@gQ>o^=m;P6{vL@8fb&#yTyvb1Z+NA5Imx#8%(2M=&kyh4$?8V3t%08+K!Jo zt}uR58G1u|2%W8-dL66ZB{%}#dhYx>P=N!IgR=tAbislCN$8@8_x1EJ$}-XQsr*ir zj}ZO2xHy5RSZo9>`9*Z9r$~7ktKatUm)7*v);i_gZJEJQGY|ZLw%kO7p@x72m0Se6 zwE$5QXVQOt&I2$29|CuPbTFYnE6zyYCEcsw|NkNZ^w8{V z?V~t9Q7DBK2k>z6XKe+Db_F=)RypA7ZXe0?7D%dy)5$SPij2VC@Ewc$=g-vv^RM+- zf808^^8R0|z`@DE#?Aqx8bFSU0ITWk>6KEU{UxOPS>pnd>QK4ttd$@(4)!;Bc9M)j z3YpqI1xS`eerzH+Y$8fZ6quNhIVJCcd+S{NAvXR?O|Sou8R5FCL+K7|9egWW|IquF z-0^1;;X))wUF@aTgE-=tY~E+h@%eX_)U@Rn_usrp@(b)e8+oNRZy`_mM^J%Rpe;;LXvK^r&~aS zsw}S~9PLX;ot!rJARil2lS#Wc%thuL#HXpgUKG97PE5D6poPmD{dByOs*HgEUaq^~ zy_Jb)^-BSHcA(VdCU^KkP@=cR8KQD7R&kXpgfpC)Jj|<-%WL$*vMDD!>Bvi5;qw4^ z$7mOXHXh9{P~;Z{Auemm%6>~ktea!p$f_$$&So#;BcH<9efUTn3uSA5f%@df+Ic%V z{Y-^zibri>(p$VkLlkLSu~!DeUgOP|@#(0gp9spoe?!RBH&6ZDdk15x7+i}x@6tsF zzLi~7chot@2fP6~}WWKE`u zkV&^Y8ABsIt;F*R|G>0@{2^X%Dgjgjm!CmoRr_Pl;ACYcz`Xi2U}|U2XE|BwWJ`ye zkQnm4KA6#m#~e#`jy6e*XlK8fDgx1WA^Yp$M8l08W^b9{RRb(u7TTZb^{xJ)JZ?_5 zSrE;4-!0G$qNLWqU2H{E2yIl@q>BL5j#eOnDd?rG+uZU@xdd~6-~{|_O?u!mc%_T*Xk`v+7xEv z_e)%Pi3UmYiTt+UI&Jkogw>RI=u-t`b#9}Da;R#ko(EQIV-%t;b=GHKS4Bh_Lz3ZObo8CbrOK!4FG?8L)-nS_zU zAcv&h;p)G8tU;67xAtsN^T!m|q>Qp-L=C|J`}ixE@wN?H1Vh#VBk=()o-gLGRSZQn z;2lC@%KU02tlE?HLH@jUmX(27*(Ly0 zLBI5X%qWNI-&ty)oY^PS3mhLxoj;ZLl6@@y(HYP)=fH!29Pc)J9{j2O8Am9p?Fp)# z0-U7GsZ_5_xuP{gUsv%73zGzs;x8?~Cm~{ay9PO)v3`IB$lnZ!b8xb;b5MQ&txJ%l z8wm^oG%Jr;2j93t-avb5nG|;|Pe-{6+FQx#ztIBfm|tj55bq8b%mxAt|H6y-6YsI& z?|6|t5~0|quR%&*gWShO;%sZ2#M0-Fq+?!<*R?d!_0SDO4S0Vud8Q(|_D%%A=m&iM zbck}?%0GW$ECF+{gRfWg=ONnvA9;v1&@JK9LzpPE#xH4yd5jggl$RW?f*-FNzwA$G zrR_<#|KHtnb>mKCcQ)--3i)r-fX5GV1hN+YWt#8})3k3fO~sda@Xn^)fdKw`YJj>1 zWD8J_o2C(n{B9ba&Ml@DC^8Wj0*iTemj%7mXU89p44`)^A4 z38A60t(PF$Mb(<1eLoNOqP<8Rc-ik6r-Mgx43demf#L$&+KVYMc*PbxHD0VL4;icw zrh)#l3IEIhiI>d4C9^-pt7QZOIf>G|Xmy-hF69d`{P#mFTI^9_vz$V&{sV!Hy5zPc zK*jdu#U<|c^usW{)8N@-Vk3j%`H%19*>FQN8sCrb5-w*va;Wf`Yl|GHvSEC6A=lktSl8

_%?{EI{(|F+leC}JlFz-4<^J(E{iPa#;8a;hN zIH6_?gd$B&C!M9+G<`X^>D}G-WE=g8QuoilyHcMlGP_ZJR7F85#k=Cr2oTYK*~>LK zXA%7|rV<-!P8~g#rD7I6^LsfmO*0qJ8m|%+w>a(Ym)o=pR|S_HrmuxAIC-A>u>9n4 zKfLRI{ppvZ+ zX%QK!0!t%vTe|BDce>P1MB2_JWt`E&;hmtaILL*N653F^y=!CIt_3A3Kexys4%*@z zV`vWIC8T)Sw$`le4At0$M^3F#&8G6l_GCgnd~Wpfw-K&SW*RM-uz5EVp-~vy`4xLN zeXs&&ueM-~a4FbOIzd@;NO4hq2{!jDaMqy6lxf@uiLz+)oi*2Xu4fO_znyig;iCUu znMDJk2J*qW}N%cQQA8pxI=A&(W`@6;x zYX@9!FQcW3Sflk(OtV1>y=F>_--f5J_2Fa2pTCw>sfc?WQXYrXxSn2EGT4Ax1tAD`0%p& zB8`5*87I-DC?G42swtZFaENqMB!mcuMv615MPI3j0DnM$zd8nDULv_hzsxNba#MLj ztXG+~D~u?_YX#od(su3pO1aGv+8N9|l!s8$P%NZ3oI|oOo`mOLxwVYnJ$(7_@_RI& zf4b^LDF-ML461HkH~?xY?xlb1)MAL-;FXjpk@47}?A1O&=j6FAu}p-v6f*<60I z+JEIz^A{dPQ+Cdaid1g+IrG;-6;9HgA|xtb1T;KMjt~khy_!6|QgP0O@;&sT9B(nS z_id@U)J@a-$IH&>` zS~;lZM_YDeYP`c{L~yTePZrFlAI{6a^bD9-#;Mo!=ccTBA{{BHeV!|#G}F7}juU3B zX-$Iu_Z+9!u8Lf8Yda}o+>L`SacrmMvEVl>xW27>0=1h*n8a2ZUymJ@g(>l?3Jbr;sJ{+g^hu2^IkC%^#g6Kv* zN8PrTx8{9AQZMQ=@sZ}=8Ki``k3Wvsk1U|20C5|kEtfx5VP3dYOXrhF`RRuz_UBK) zX%BL4L!U29rcbk&;}C$iy{*?FK7E391ke)JgZs{zUT@wl*v51>5ni_R_l%OtXbB3=muT~WS*=KIL%mL6czov#yD&A%!aiN@^x)Ppg6z*0&CeMOPD>tj8(LM}KPAxkAB*sL~()h~#VhZ(C2+N27dL?)-qi;CjHLYkR}+ zehN2S4IxmDuacugdEfM(5S6)(Lk!AvZ74TLO97u0&7#)rxf{=xpY5_U3YG3+3-M9G z`2BvL{z97ln=Msctue1<+RJKHXQcCt%?>%GeWlhBuM2@q%EK;>fe%G)SJtv{-)Adb zD-$1DZs^L z-}mZp9M*HO$(}sUhgad>JdRkNx0%M0vUB2|8d7@!8DuT-oN^Q?k91Ni3}2%1np_1% zjRSJnk(B50hV^Tt>N1L7Ds-GaoolLE%oF;X+M40lVgmiigMK)${mp~A{yq?NeO0DY z1o`WI(PLfDZrHac3FzYw-zyD9;Y^B~3Irx? z`dISSNqMtUUFMu#Og3ox_SVr`y-@K_n29%vO+dEEZ2OH74y<>(B9wa?2tNLBhW(ot zM2Hs>FI2W3B3J^E9O&w4VVntLvs;5=)54>LM?aTt>E|;nAi2xnxI=AJ$bu%raVe#F z#Qgy^OVRd~`PW`1m}&vm3wxU-?V#k`&dm!{oHV!Ydf67ND6{6<9ha7Q=bBoa-sl4# zzvA;>DyRM1Wtp>r-Kl_(sTIluJ30dtz?@>(PF**bTnIxV;7GLdnW~bxDCxRx8X}FO z-W|@4J9sci#rG=`@j8Y)CkK4)#+6zg+~Y0{fdIuqt=%@2A5s!~8%S96TAVhAP~i}t zY;8E&qLlo_d(d7D`$DVGi8qlxxL=q$M0#bEc2JGYmJ$&3t7F;-d$&bjngV=J7Qyom zr}5+A?c>7eb6vqg`i_ly(E=9_TlG8L`5Zd+qHKex$%^S40-BpLbP>tZcWyJMIjz8% zGIfu7U291ZgS!}G`jh+O=Xbf$U+Zt4KF4`nVrV|`7)CVZIuo{2NYbAimRTG`06B4^ z;7VNRqzI8tz{Mcgi^Bu5WtuKZbusfZT3JUHnp@*)ljp3gh2o}IV>IaoQu`7+wo49| z>#ety@Z+-`5g93E!ObXBnM<$kI6n2W`I_oD52WZ9eQ51fyBg`OTZXK~O$J22UxupN^RNlK%^3=PW`-;71H#OP~>jmcN?9)I$wR@@H zsoq&V9m=f2D20QuKs66Se;F5uVg=Xquas_0;S?2YEhx%}5B<=hAJGKZgtDjBEQhYz zxq>7jyPDfjtLT%v`|t9FqQQh~*I~K_6^CbtmSCoGw&)lgQlX0K1O^-9m4rNp(6&Y! zh~T_fi||QH3y+C4q!5NXQa4>+dG`*KhGud>x>ygbjYVm3nZ*gg2=00j^yLI}9J?lI z!`c9Tbzv{N+d_4~K-C|)SI0>J%2UeG_ysG{uge+BeUE%SnWPVMrl(UiNe)9eF~p$t zL%oaD9zT=jL_C+`q>DozT+yl+`(e*&ZW9{6AXC=ddz0@Zh$F5p`r)|Z{h&X>W z?fR;H$z@woLSXC;#TyrmQb84A%Eu(qa!FxXID+Mz$e*Ke=!lQtp=r*zcObIRuO&y( zcEVRWFh!wU3-8Q|WCo$DYfkqb^?6+(G+`{D=Rxtx`h^>J{dunV*>~(}2F|Erwt9Ub zXWRgAdLscIMN^rO-x}onwA`li#~p+d2qDt)Z4g`57nk8hD`MhGyJ@qSg*KYv;B`{PLP?~a+-r*(kW&%Z#Hxq23C04{x zRE&z4Q;B_5x_?|2^88myUCtM;AD$f#Uw!}f#ml#kj{Qa2sP#G(P4c01P&{Hv6OFH7 z-*=ppyW^z&&~bt-CBkHFq2r`0V1VuPrk$g;yW>RL$>Agrz00oNMRSfuJf)k<`X>+k z`7c!g{_>;Jds5!_o^Won>Y=rU`kHYB@Y;*{?ma={1DO@kU@Ia?C&HitT5IO+J;5;2 z;Z(J=nuD+Oo(K~7ezt6}`a?+ix&<6h+)I}1x?qt_jT-@n`Y_o;%Rn*9abheQtc?@q zsiX{Jtu6z)L5HFRhX57N6@)F?-F`Ah7LCAdnifCst-x||bXO~{O!u$P@$qM0e10Fw{iyBtq*L=>Zkw1d zzkYc4&C74zy#4m;hi9+ey#BM+^ToRa#Dp26G#nGtD{`>Uv8A^&)IZ0beX|(rM;9hm8%g()3o>H>?Umb4=yNpEXL!3iB{BkvsK?_*tEYpaq3u(fp|DsI@CMgX%V%1hRE(Msy6 zkWJ{Zh-ZnMTZ8O)0lyFcTESP!Gr2_+W(KVi^i}i zz5DjXmoNYH^2;Bit`_OXpZ;SzA^R%4+@}KS(E7uG<{$w;S)zi0KXxpIC`b~~-ixA- z2vR}vQUqCuMk`S(Mr%i_0Uf#X7QWGkI$yi@qiKMVouqSI3tKRUi>?KOhOLLA*;@~0 z712{bE1S_;-{&g4IL20P$wI$HtV)zZgW#E{%W@VDCC{LVo78yGZLU@SWUM~yD|LSK z`~T|)U#Z_ZOjRr6(DQL5r?{n{9XT*cN!Ue%opN-}@wN*CfU>^t(TSoab1vczT8tFq zZAzr=c88u80`bU+W8_4uUnKHx$O$#k2{rnUN|UUE}3C!n3!Q? zoUW~M5aK=K4*A?@Xv;46)p4AmZx`F+`2NWVeUJ^kAEA%`!G%OOV6lRl`qCco$2-gE z+H5UR-q#8(%y*YLX1A8-4UMd|oYb{z{kvPWrrxF%WiR(y;=D+Vd)uhN)Am0uk^h<~ z+i+*_0>*LGvho-&^n9Pw^@5hg<^19H&0+)2HT=5P#NnG0ii?P|t%y~ovu>RmKFv?< zN(J_|U!~p>0Tf5ks0c3CSN+7T3c}lQ)M<;O*Cq%T)avaLH3zp@0d2ul92aOzp3<^R!okd;npv|1G04q2#@15C%^U?Huc2D2C z@8ACE;ma4#{?K3k@x^x!ub=(t?VCS8`})PV4+xRIQ(FA-7*Am4r0785SE~r$cTOHP zL7X+%fK7OX5Y^uH+!5u}HzS`>7J7QNSSb@d``R{PuLFrX3jTuf$vkOi?lh^#I9H^I zId;Sq<6IQhwq|V58uwmo{}L7rI49L@;2gOr0vtjgn-G1SySXpHGs+?RxhObpnC#@O zYNOPMjePgUA>dCcAaCuOzZS8eGv=tVAU0+Cf(?}Je@R%K$Z?51$AbgEJ6ez`pe@C5{2 z&-=f4!{q31ZBJn<%u>kWSXrWbL23AMQ4gjScZSDn<&z)G8|Je={L8EFU;O#yt5?6c zNZN+&lMkpotY^XCVi(rk1nI)?d;$@_=9v&o+mQ>t$OJu>)w}OE|M=q7^JjnX zFJ7TK^QSit-~8o~B?Vv_OT_NN5YF*gi=d-Nrv%A1a%k^jb#)$8YAgHGl)ZV6jKF@1 zOC*uUIpdn^idREXXoKL0vLV;3Wl=g8^g_(o^J$u@h*(*v9Bqj%qmmHOZY+g)?rS^k ztj>h>Yy}-c#mcc}9NY=XVH2ba`n3?DU_w48a#p*-P4HG5>hbIU%I)a+=GBYWZ@xu) z=jH2vfBEHi50A|l5kwcc717C;s7j_pB1C5J#4F~yW9NC-!FQxBYB7pD7^p3XgPz)H zIcwY7a)qG`r;RM^?HWgqipKg+EZG_QrA{Q-(2^UyFQ~s5I-?A2$yaB08=SX8<=>bM z7xr591;$Rcbv?Jhnf9K|LgA9rpDAvityU8RCMjMBEU-Q5Bceg0QS9Dv?rn?!VYqcE zj^)g882zed-5UL9uYyzq;au}%L_dE_W4?R)@cP|1FTVMs{`%rKjxFj*;=ao3$}z~o z?eqriIMo|dd0>P6JGDZsjX*CxPJ#U>8r$vMc3QcUmgg4S<0rc>V#2dOn16ryi|WHP zXwO5$2XW)tHR4_XC@LsS&J8(L^ID>79~cA*438)#N2j}6R^{z1rrZ=J6;7+GEDyLw zF`ScYPOy<}wQUf zV^Kh6S!xn^G?y}t0IsNXL0?FG9UsSAr$^NI&{j>_VH+P^s@b(TbI)N(qI0$pi+iqO z37zY^O=+puc3{7CPJOC4?p`5Kf@Ur-vyk6BUY_Th=^uspp3;#%nf z-<)mD=c;er6_mAA;%YR7d&Cj7i>J_qYJ4Ac5s%C(0gAdIjfOFCcJ3+<1xrm@t~(xe zSu{)gtyl`fX_^wA8%yK-FCiGFEGdSx=N0pi;nopSS;HngCiK3>wdWi-3tQLuB>sF% z{5d}Ur;k7UcFM-FtoRCW@wsN)Q`dt&t!byS<#|!5itBAg^nj}JrV-aev_-qX`N%7s zPrvs*hJNw--(S3X^X;R3nch6S683%Z-P<3(Uc2zjrEPoIiuicQ7^aZ#M2i*MjXM;5 zHqj)C2f4PbxO#MsgBPtAG?j|t)OAr?TkKc`Eldziy{s~Ua23L_D8C9_i&kxB<|@Dw z7BTqsoY?r`_t(zq=U9sv24^WsWKCdWr%4*e*|0hxv~K1l{BxemW$`x*RS&IozoO}P zq2Q3B^ICV}`WV;lH8g$IF6S?5QoHcMd7g zo`%9zV45)$E81*z+t>VFpu(J+_VL`2P;KXR8Lf0AH&=YzxXn@mvEt!XaDJ2)=iIP@ z!XR!sQP8vHBsefcWfVv8?-uwmOHiy;6u1Q=ixzRMVZWOw%z@ETGl)%aL51KZBEQ(c zSD5{7mtF8KIZek(5wmlvQ1h@Gh_c!9c(273>c!i4&%S>6_Tk6OhbPTC%w3nU$X98_$KYBapGRIunvVQg% zjgsNWRD}&{=5To_extHcNu%~B-~Zt;`^E3a4_CC6dJ}UIC)2#rh^}3xdP4!B;w~*a z`hVqqcRMsIOROm@T+epA9%z+HEmz71=>)~O>nC-e`>{S;VE@a*lKbI|qUOL};bul6 zBPgAjiuBz6UYH|@XDbe^=@#a2SlDI# z{GjiPh+lm3@aI3j`u;nu*4K{~-Fs&6&6~G>6n}a7(-1=O=hOx|8y0GjI=$3yHCxnU zxpe7Vy2OG1QNLS|6%WPV#GeK4@e9UErRmYO9IHE`w~AS!6xke*mUEtU8Azz4gQT2 zXs=YAJ7pVjE_Nc*i?558wu1RK72dI=_L;#`Fhrk|R(5_pRLU)|s-V((=@E#TXPIcB zWYHswfbm>gxTe;z(wwD2csCPyw_{pZ8!DBfju`0Ag74xa-EDOzGC|d|tCRgVzkr63EqLoD>(~gOku+f)*b+dopC)BW~Ui8Wo z)OjpV@R#4+>XrJFGMA7*ER{2`DG%6_3y4mBjPku)4cavG3KDC zEi@6da-VyN)~`2PZB!|>s`)&6U6D*)gjp1chUO_^S_+?t;%XsQ!LHM+^GxQ@meYIJ zwjaVT1(VY2$Sp2M>lImpD*CMid&bp)9UC- z97xO4V-XZ5j@?rUZ(ZKRX=4`DT26y$taTO18!GOqFkoFd4Zy1oRqM0f-a`X*@K}NZ z*V$KdyD;D{D#)NsM&WKglJMhw?6i-B68T8NEP4i@SqNL>2!qzhVCNF4E(Em+J{Oc{ zRtl2VMkyOdb+}?a8Oh)O!u99>9}lPLxcLa1Dkk;5=wWDW5l9_dnH_^Wi_Vtz&DiMn z&m-rIE-6$)WN;Ir5Ub(ZcF}H#BHFmVCu^q`HUdBv7tAB+&j=bx5g`dd8@kyXJ>Taz z(0>)%4EQJqG=nKKP}CMu*+a8pw#IYm#wH~tn|zj@Haf&Ks;eHm5~{L895rEnXHN=r z^c9M!`tM2mmc856NsniZ2$^NA9ZxU#prTQe{o+mz5C{Yv$(%}_4bVx%pV_J zG(DnX5C8uCs~_wVKj#Rs)M3VDw8M9UH{KB`0$cIyjYmauPAH6?v0HAsBd4#3z)&pI zN*1jTH;7#!rXGoEGw)1ns-h4m(NFZ4564GL5xL=Kkohr$!}gO{0mx zX~G@13R?(huqC&-P>}?IAqcT)b%^-5CvOPn`67Mz1s!y<2@geroFYdo_-G|df)8SE zRK(q4b1NoLTF}=d{3LN*DSp@##!!e7akYyd$FR_EjL5;;^xWz%)R@`iyq2p$NG9K+Cp~H1 zn@wPUH`}owo~xPdh}sBLt_sP!mLndul`ACQvCiGksr6crS4rNW8K-HjBG1WOrE9G# z=tYr_7-x$BIV`>l#yfUHnDE&AYAdSD8mLX=BZlSJjYC}~bf8>9C3=pZxa3E_|NY%Y zE{^e+AKhu6{h_@5{`L1iaE@pGb`nu=rr=x(PgtZP7XrQA{roHN*+-VS3X9z+8oxguTGj4 z&QLM~N)>LT2WL_2t=Y8qEwchp9dEK zfkV+4SD5wIBG@pI5D>EZilSA!5DH!{K@=w$QL)p|d;FSA@KEr)fby zuLN%@KD!)Vmt__B<2+2Mbg)J+7QRkXsW`rKeD{rF^-f3?N#jH`a$~ z7b7$#ZX?{Ns3St7Ooqu~7x>T+Zmr-oJ(RkJu-4()oNGbzZ^sz$GD$DJU7}*RIpQmB z@DyD2%?E@`i`j&8n%mV{?7k?(d3RZHMx2_IgAael6OzX1p+2J`7OKd*YRsa@ivF-D ziqTAgg70i6-5Oot5)15~#E^&E}-AH{nuc5f9$3RSbTSb5r# z^ynMKmzsMT@{Bp~Wt~Sb$Bu9n2igiTUW@-wpom!w5@6HKA<~y*2{g$*76abgYOL6HhMo4)t#f zhDSn$0j(c3w6 zzi&rGkZ<9TK|{{ixBK|QTs~`)g#~pc1e|wHkOfX7hEcc0+X!f5<6USmj>D>Bw0?Ka ztrcCKyeL4}oD_+jKMR~$xG!T&BbpFa&evAlNA+Et-q?52QJ)>MuoH-tg*)O~yjoxZ?JQuE@huDO`4gms~>XD8< z$--Xo;Y5(s9e5U^)2OwW&I5c;nSjP9gn&UIr|(nqeQ{;01lI>>f8A|FR9?wkPz_=7 zvTBUHlA`8=&H`%q@rYB17_=%;C?h&MU<%3-tJnCp#n|hp{2Z3&8ZE^L#m-Bs8o6MR zpG)YXjG~~tD-hz=A{sD7Lsz>Lge$a2^iN!Pc(K;qA#EHc{L*Xad)8b` zt}?FeQ3Uwx(@)#jz}4jQ9^}Es7;ezLND}-Y1y6S z3bSh?3H5}c8OVxLZJZZ`?am^yc2Y9Y zk3}&+q#NT@`aCamh{~>?<|6C1(=159;#u`%eSGrQf|38+`Y@-ULr{)HOu1%}emvOq zT-uiGOy>^~DNUTv&^3|f4a&A?GP#9{w?WmMnR(qeKa&<$R7g`0Tj%YRAlMV)%yIRV zbE26>q>ZPHC5a`vk?{Va7tvR-lS>S!9c#l-cB8yrlS9jA(HaTBKP_7Yk9E;cp>=2r zUVR+#arkqbcD=6vLs^xtToJb$RshmzrbQO?lK3_(;h+~KC~9}7P0<96lqs}SO|w_G z;`ygNpNuio>R;G{e<&AWEV%8QH_XduK(78AW_YK6gcM78QKSf|l^Su`vum)H95!vFPN&74< z!b#2GjerTpZv&c}67@o^p0 z_BVA<_NO{1^ttqFt^A!jD8_)O|KC4(Y_3Yr-j^?)ZB(rNSZSe`<6@(`=qcs**yvb6 z(MvmWzxYRp(Liq!2kN2RP2K)#kR!>Q#I37dT_~$*2oOsVBG-GMqc-Wi|Xw|xIf0nzv0ef=LV-hKD%%ZIn$zWDChw}1KW>o>1|>Y<~XAW}%|_9(0g zkq^-gEdgR_Td^S!qRwJ#+QMRzR+$q3xi6e4qG_++3h=!|v`Q|CaGU_RC(py$IsX3h zDCP>uTTG&F!z_;sy7yT$2VZEBG;e7MK2gsXo*ms=syjmw6dG;!N4hASr$U#KqnH8E zUDyMu)3Yd3855&ep3kGA$)Sa|>6a0Ip*T8?Cy#x58U6jocJ~X5me9Vm&jdF_{znm; z=6q34Q96yBDV@zoc082b(@1GCNXCnvp~RXs9~jm;G}gr86T(ci9pc4?vtVkTD&)|j zP5Svolv-?A1WRw!^!;7`6-x$2L`-YOxuK*51wSuTm5wK`M^#P!4YQ(8vUlYMDmfZ` zeKe|&B8v11=(Ucb-J+i`NTAFtrY$@(^utTMo7AH4@LB{aDo*913_}4&U~UTQD!m0P zNRbOyY@j^aZL~wqMf`u&&xoyh0ZHM?bJJqwUAgYVusQ3)`$i(JQG<8-VXgzxel2F> zQkb)Djfwy?JXU=F*zj+(rXF0aitcubGLSbH3sjC8!`iqMOgYV^h=|9Z5bWj46ou|W z&sBtrvUc$+?hTA)e51=&pz&zOY)j=Rw}d-%H3a05I;TRw83KZJwPEmw+pPvtiMNT5 zCUGATpJh7>yHZ>8$y9yxenbE7-@pFzs}~>I&4aT0HsTMv8FxMf;gL}_)o#|ty?1kO zO&gkixvtIIQQ=tLZ)V3a5?-O;8G`NWlx4H1xMSdH-Q2b9H;~ zWZa_v{!WepL3hstq7zD%gKAstNEeajSJhDmLIxrFaj#vPIPv$ zc(-VH>F#jc#k#>RW;rbPE>^k~ZnX3LF1A1IVq}ApM&rj_Jm_Ld?{~3X{$>}C_q({G zH==zP?>$UE!{6-TTi`VRy~)sXiEWg7m-UkU{=){=6H}CKge)z^%Rg@5lY-KF15;1e z?+xtP!2RC9a||qq!d>c;Ozj3F0v3wb&1fuV_$iIS(Z;+>^W##}s^=c#u$nrfI;_1jgPl4$%v=7VyUr zba410k95-9W@2_m9FM~tE$LO^$4tG0DJds0QiBG(trb~ZGQQDHY$2T+*DKoFO8t0M zLZ(9--YA`EH-y-Xh;~G$-drIcibk2Y5*l7z?bH#7r#EqZuD>i&Imwwdno@$;iFitA z;#-18)(|R0f1P0TI=1;};eYZga<%81zx?rq7}cv64}W^>Hu%k7zI^kiS1qxu|3cd0l(nlFo>_GDK=hlP3W1|iGN zFm2AZp!vAw_I&_iN|u&e+9pQhSObSV5h3k>K&5Rs_iba|?WTe=>w0t_AxC zhLFN$3(GMvaHqQ^MdrCq!P46W4Dm5MVb6+}6wY6Il~Dvq6s$SZvKwdb#ygW|@AyJ1 z)Qh3neHtx=@Z^zytr6k3&pJK%iXd?5ZUR-LH}le~Xk$Cfl8~e+$3Ws2Gu5CaAi8GC z!UYtXYOMP~0V+4LyYQXj*onLahAsArEO=oEk7N24?0s8=rvBMB#r9oP%Wy3t=B{|` zy{Nh9T37)D*mVs3ZwOmJyQy=ys97(`JRXbBe`Wf}3bRTC5ivq@=pPk7{G~PO*VQjVmU?%( zo&z%T#}><~prmzsg}z^0neIY^_d+;v?~0*{g>US+h0gtLt4e{ZRzR&+9p@_gBNn@1 z!!w_()?ZN){fAzc+JGH%UW|=sZt|4UCK|L4?tSiqxV__q%pFHy0aG%3ma!ZguFL0b z;aoRmo0D@7Es^~iN6{bB8Z7Ro40YV4vWg>G-9r&}2x8sorfS6Y&<@B!Rz^xu^B=wO z#jJ{=dJ4n@`&H;*2--SAYICV@_mSqh#v@D_x(3-9+@c7fq9o*aA(z%JrXVFTZgU2yID_(!Tq<;7_RT0O#e}zUd=K`ur z7X2$s?oB-4M>SCJoTv)W5Ty3xJwN;FMcIpQzkB)B3*PzpN5A~4zJ*nhS=+{yiovxT z_w91ZXl=x5Z#OJV8ay77$f^mD39t)vY2P(H>#j&*kvip4i#iysf35t}akgL$s-dypLK-h7+@x5agc*bc83KYeL#Hb=-;C4aG7$<=}yIT@g^M^zhZlQ=e;r;F7 z$l7;nw6h&S;Cu*mmt&g6)$Clc!VsrPdv4o~L@=jZTT$ku!b;1|goH}blS%pXZztt< zzdR?x$=0u4AURvQeYFWZ7j|wY))AgJcwCL(w{>QEsB#<*dEva!eL!=n_OMokVylL& z3t>`q&ouNMfS-5aTq*{URunR)<}GgvUIs}33F3w7|BfNV-LckMRFs=j*qG66+o5lm z2FIhU$ffaHyny>^j*KLd!n+f-&5q6tgberN_KT!ms?SNMh`6I5b!eP`53x@zaB&_4 zdbiOt)n6QNdu0uU%xlMZE#fN*`Ox$rx}H(=I5Sl$`uswBqTWf+{S?RcoKa|9`a{6* zShcM-@s%0ycsPBa`B-p!Uo=zt42^Co$36~*W#rNuP9`Vst@hunqZjV_m=w?3!KNHG(wANu~f~YPY>(~Q$rTN3=RrIQ5 z>QW4q8^ZEl3)uJiWEFk#x2x#W53Hg(Ou#?$tSD*4T64X&yU7l)t8ABO9nzwp+j8Wp zXn7|3Vl*8s9p%vLYSW4r3e67fuA#g)eG#9z^djA-91L}2s5+m>F%7YfwhUgTpAC&? zQO8)>p-P{zW#=(qwTOFN;y*NUYG`)59iTo9qi$9DU|A68&I(@k9b*;IMx-qQPF6-Y=!P1td5Nmky)v#2o4Xr zyJgzo*iweoSKmE)4vA0^j;0M_la{)WnpK|HqMU6i2psDX^v-+cd{6{~dVTQ&Amy#% zu1JC_UOSI4urgE3485L*BJSi+Za0fo*shg~T*bjna@@D$3LYVjU6bY%U+ml>Hg|bL z8?$d+fQQPrYYE+P0hAHcruCo0i_>*V+9*U4&8u2}3WH)hxYL55Q_CVMXInfaRhfog zlzR7O4+wWE7)2nUzP^6Xy#8s^6NXtO|*-=D)BpMIha3qSdSI38?Q)xKR zPTch?`UT8yGGeLg9v75p6oL-Rsij%MJ|3fhL>+M_q_s4@L4a{^E#}EXbPp zg9>0xyJ?%9g6K-=2i-}Ey;|S&dc-Jf7di6~{X(N39sXkT)M5+n7F@M6jdO&}YAImW znI>&nicp8Gia1fkpT?7BkXD%$sn+1MpTuE{J`cAz#Ve1D6qQeuF+=N^xy2mPe8|g`Sr`!Z@&Ec)tiTBU%&Yu56}Mi z>f!a556`}P@%sCpYR_*CpP+%(94LM?KPVLxNWk94oE!)$`MP|hxnU=LYt9&S>z3X1 zbxm0S*dvB}L7WdG{QZU{9r9J_CnL3 z*Qi*m!ZbD(;Z>ZF4XiWemaHB;3RoIkW36Jvl8xSB9IWnWxV+8&$>|jpw10m1^RsUs z;nn~0yN{kdJp9)mn+M*Z`S;CVTh#x{*~OLev&}R+|LOnu?`v|Dus-|rzy6<#65f4B zS5o0`F_bxxh1}7Nfmsx&FX}I$G!z|9@lP!61gsX9ixD`|=skYIyMgTU^axFNJiUA8|A-cpDiC|fBM^> zz5ne`pa05lU+y~X*e{OQqoEX0QxiBG7P~+U3Ke6`^W&3>_lKX~zkdDj&5N&o{A~U8 ztM9-3jyu#>uO7a7qd)%HJRO;abFGa`DH4Y@J7!CVquO88n}XGoi))Ta+jfZvzzH?L zS6Q@~_dq*6(ro&Zf->(gE-B{|rX&u5S4kM83A3yhfjr#3p&;HO}eD%lg zzk2o;J?WeOxK;1oiQGOuWqR?=!`mOr{?g&Cz;ZQnTMr*-*HY4QT46OeK~=<11floa z?S7l|+X{Qu4HMSjY|>7^u+dMt*N+(W+alw;!+B?HA?%*giRi47_eK}+DS|78w|JWo zeN5u56=`&Ti|Mh(juS%boxfEl4=g6q#-#)TGP#9Bg>^f%u#`E@ZBz>O^(5GwXsAzY zQ!`OA#xp*qvLC(2f#MJA%kNkuuU_0WEq|?>UeT)18)SE&EFg9%z^RzrI(_kqgl0nl zubp}}>=%cpixq1|5%ya#^$=Ex`;)J{)nT81_N(8yIWDf7@OtCcWGd8nQ))zhXGQXJ zI)z56`b8D}+J@g(3~bgtFJR2EdQ2*9+f(#ySByR>$r`8jYg453UO^LcZ;Cyz zR)lt+=sWL^8&5vE8%Mu-{^Eap^zdtsUGD~V0a1ZgPA8O~=FvKs-?-*Q-03_}6u}r* z=x6HT*5ayI7fMNcL@!HI*R*&j=+%Rm;V3H=Dt*GuKf2Y?zI^lW-IKQIZ@&5d{f>V@ zf9tm|-aY#xbxR06{&cse1bD~p&eEt_U(~5L3icd3QoK;Kq|_u}O`V^f0hDY_UP|P$ z^vu4@S+?5t_m@6p?R5ys4jKi7Adj{8d0s-NwU}!w>(FLhCO3&=3a0E$K@d(YlC=wZ z3H~UeZ`?keX1{BVcEo+#Ss>^6+Lmzb7_i_>^{-diHhNsF!tTkfgS=p>$CBE^0kJ<1N_(c5e0F#|-_B+kAK4ls)o zKHDm(B@ZD$w*|%G?!$uiPQTr@u6CrdVOL&?;C)XVe$t-m4lh*kNJ`|R-+l2pE93M3 z?bCmHg4+6v@4kES_CMad{Z5NR{{sB>X!+7$zq5g-0+ juQz#ac==P>)q{udE4L& zF;WrVf-Ydco_sMW=(B(Pi@mn-ishc4nq}?Nl(jD>ZGv~j1m+7T9-aICaj|ImQ9Y4NBz*BmK0ox+7_y|sdezmOcd^~FTTL!40$)%04AzwbA)E=20 zQ4{v=ySECU&tAXy^6A%LfU)0_s~fkR-1ZQ2hG6%#X6#}zZXa_mfzwxW-aQmlN?*&l z#<2z^=wPY2jcwnw#snv6aX;#&g(eCy)_$&vp`yh}v2{41v~Cu`CUI}dYewoW^uw+Q zloWeXJ7do$WA+IpCvRUoeD&SeZ{K|X=dXY3%gsWyW~T&I*-I4U&RqwUycm#U0y}_U zfkOiGUQ@emxjSV}uRlT3uizx$Qds+@Fsv9ST;r26gnogSv&m+|fsn#oYgPy=FNiLS z-SJ1l5dl$9#l&4CjmpS?CeMC}G$!wQoyzL?qqP#0BFy5(05 zFi@#t7ps_8LatlbRR33S;2woY?@)L?HPebD96*#}YNI}+(_CaPH~QX|=8vI7cw6tS z*g2h3Nku5;Ody1OTTGxQM6GqOMm%CtWP_;TQmYee+%R{r`ghJkvT0g~xKN+%*pd5i z?KXaMjf<$AqwVHJ81=7(CYwvfHm9LJ^fr28gwr&gv@unu#F}c24&J6mIuOngGrE>g z$F20Gr7kui;yzJ^47A;(19A-kEp(%yl^vAD>n&)S_1EQY&(SZ6n{o2yI+Yry2tXJ$ z|10u5C;b|QB3qL)xFbdVDry_c-9n1YtkU?C#ZKaR{#p8si+tS8EA~2_g3oHPco_rH zT)$u1*tKoY*g(_VQIItg&uro_dCVnm<)m6gOF6lNni)%v&!I#q^nK6)LTP8vn-9@@ zRM!>c=$?swxxBupte3ymEj@mLkDth5|J0)WC0A{Py!X-WyO&@7B7OPsBx?5wZFp>( zjwx8_m0C_k^K#y97OUdy=H@(3#4V;1bMZEC(-@a&?V$HYucq~#yf)ve_4!xklC*(r z+O1|jHmlrBV`QM7t8GzfQJdg!?Z{q4A=hb;TDNC9#$8+VBXgNQFc+aBON*|S%yQmZ z@;g;mTvX8pd>>Y2v{&hZU#Z@y@g^^ihwbi35XKgzd%|M2=Rum1At)<68y zeNrlN^!6{`e*H#!PMh!HN2%*CAMQ$yzrN+OkDvXQ_4)7rEAA4CVMXy+NOz91V`IV8 zWWAf;&e-m|C0jhEm?L7hdk!tRU8{H5#f(A?=iVu(AqSo`6;rndfZ6l`gWBtjs+6wa zA!MX*X9c?K(l+DuVr?K+m$` zKII*Gy))0LUo^L6-^AWjQ99dnar6->p|JQE`>kMf9*al}mQTf^3w7KwxO?2H-gaA0 z;r>d4erbtT-io8p?P$I(G-K}cuhK}ZVH=IkoQar{Lz>qrH6~>bqXnaH&|1k?uGI0l zxC9b)q4g>ns_Tn=e>#pQJO?r~#CDAiQFajIb|30YMZ2~xr3iA2liN4yu>%cFXS3Cz zd_DHeSbJl=xJ~ILXt&e5M;VbR%RY+$uCYhI9Rj(Mq8w9DC8c(DDhsyO#Z= z`_WOZF?bSRty{!5aZbT2Y&+K-TLN(=yGMWCr6JqfDum> zE~oN?xijksoYSv^n>sVN--=4vJAze0faXbX`s968#_tfC{BIB6DgVEC`|{O`XJ7rt z!`naom2uwT(C`wJo-M z1a0yw(`)G+s!vo7d+X_s8Ym5DJ+W_h+S-NKcFJ2;ni;dww5v{VK#S{4`gt_^wxlG5 zFh8^XP*KQMOfBSCW^Ur#-%u;U}KMQ*M1{R=DI=Dq@Z{0Q>HjTm?!R+AM_or zK4`a0L4Uyn6r!{wv@nDZ_uI2VyJV$tXumC?MgBJ`t+#b#{ct{S#U1La9QQtm(DtOS z_1Q;yEmCmfSZW@6adB~E^uv?){^-Z|e*SCkt$?L$>(E1><1lt~UIjdTrh3NrGy4pB zPep6b1{5LoO$&1i+tPPE)uz?#9d35$Wj3V>sQ+`$6ZwH0nSX}IA+A98LyP+Rk&nZYHKsro@ zX$!YI&ly$R4>412K)oUUMdUQKibrwSINNDb4hUd~FDM43#8JU5`7X%2QtzLvg8zF- zUrjV{Zc(a@h|1Fpa6VmX_rcTmum9~eosxf8zkc)f<-6|$1%%6)Z>BwT2olJ{w}LGO44HyR!}}@2t`dFl#;3R z&aVIK9C&GAcQvg5KZ-5NTv`ODNyg(Nxh)MrXN^vX@yL_1pTqT;?S1}Oh0bYE7dh1P zX9Xl1w@vVSWNIvyf)2H~;@BDr5a`*&ad>)`QNGi*r~j?*%09&(C2DE}2e=U%c8Ldp zP#a-7O6=-!i*30R*}Rx6kQsBR+bPL$1YER<0Bc^TAKhtsFw0q|rKJ^%(35rq#qsWY zR_r{A$;Ux+45B9*9wqkZ>LJo8^g)H3g2|Hw`^kT9!4{1eYydg8tbLep+tC`Jpu8$L zbo%>qr4N%{^b=DARLY`ti=%t>>NG$eySR{{l+R{Q>+BglzU)dT$2mC0Gl!OoPj2m4 zakk~4n*KZ#5;>PeTf{3RR4yi!xsWRm&)=~X=rmFc#nEHCTO=;KDA0|GrLUlX-LIAo z@0@T2<{|?Kp}`mIr`lZsU~MrS2iD$#O&cc$ReyJADWqM`u+b)na)5rjTe_46T>^*0 z_^fL^81f(|LL&W@>AKzS#Pw6x8`oOX-I3;uz$Ld46=gTb{+@;36--Khb0;K|Z5boPzN3Vhl^vvP!5F;Jflz9xU5W8u%i@Zh=qa2b`aytY)G_+`a zE8kObHLJ-31=HL$F5@hRmN&=BC+S<$zwZl;=Z;0J7`#y*ZrxTZt@&Jc;*#UA{&F<8 zitv^^P|px3({5MuV6ld@l=?W<7XRx~lDq|t<3THCo_pr1kzQWQixxFfMEo+2o!huL_i zgmC00+GCNB@~87%n_5ZK9YM=iL1tf3YgOREEJlIO=5Z)4tYVmYmRmAsP|A(QbBBCd z)KG<`N6t$}aJJGz9vR~uPvUuMuO zYrHPg^$Tg+4_tBUPW#o`>7o$5Jrw>lr)S9ue!|*ZdMnrB1VPSpwE)4hR?>E7klQPP z)0WaVZ{~LG&C*iXwJ}$`V74(Ar>ATBL-^Y~$ClBd!<1<%@x{?`9bFZ8z?;gXg9Zvr z3~GO-ai54fgO-D!2bGIT;|rDe4F{9bP-bkY zQsY;WNRGf`+pPXJ9+Ybv^aQo9v7!uCF=;Mk4VDm|b7_Yn7Sst#u4&HWZgLSCTtiBV zG8kvfeO>ERW}AA7WupVMA-_1fARL&UC{OB z-yWL)-sb{EFeM!|qB>RYXmxIF&&Zn`2w?8_K@}b4RO2IZr?owXqSb9Ko7O;Cxt@0G zwqfC+%^yVcs0!Rg{WdDT?)z-T1SM3A@TlR|3oS$-Jk0RZ)F#k&K9IXkwE7l0Sa&_l ziB5ydg4M-$)968n+vf(lHjkgn}$^b$+4Fp zi6sMY`{43ynjc)Z^?Mj9xm-1}hucMj8%HaqT7ec{YE#(m$(4lRIlZ^qT-d8FikNz! z$OgPGmUlGcTBns$>!6)N-?t?-l82hC;O%`$I+C+(nZhZhMUO`|>BK#zrq?9D=6sMrAKw47F&t2Hvpux&T zVrzL3pmIW50q>bWImhH;9BD}#{T3|$2H!33Iq49Pf!49CwScZNdRl z1ClN~`2CpK%0aPo)Xc+au&6P&wqW5q@c!;@=2 zk|s6V)ul!yvk(_$W87=)Zqab0?u! zL8MMV1gUU$xAZ>?hC3KQeFK%id{;lfy2V6j(D?h{gu88aq@1m*IviO}Ix zq92-E?vGJ1fgjq?bBeIqdTO}aaSOf$g$Zp=fPth{)(#n$bW43h7#n#cca2?9SR5zy)dUexm+Kc7H`!^u!$w}}$1+a5gXc|Tf z^6+VK6b7YkF2%M!xXMLtSD{$Zst*yOO-m^mDk3sUXXE0tK&^8w!jQL$C%*2hLb#}q zNSPHLhz_xV{m_yZ+Pyp`u9_4-L+_%9qmYh*?m%{@c&>Ys;@EDt z>mb02Thgapv0U#24W(RQ5zuY_p~Mf5cd@Nw3LzBN99>+gbN}7w z$iY`$G-lTiX)dc`F>(>K^tM~mbr6BmIDF{+vU3>)scMlXe6ZUgq^BR>-6j&K2zEWL zH2L`UKK^gbKU<&up}&3k<=1b%ef9mjmk-ZgzkJr6{iy~6bE<)3t`<*4D^Lspmj}fz z194E&NS^ZaO5-laN`eae!3B??pUbGS(Z_%GZ96%_!Q_d*X}2qbC}yP>g`53(e^?2q z_AZta>o{neR1B<25Ekp)Q}`icYlD@6=;nkJY8Cl0^~r7(mOW1`dy&4B1js}~&s6Lh zt7do*BDCOf%TAFmL-#f9*4Ax~yZo*6qN7FbPQp+Zz&FYjW-U~Fz&OzjiC&UFPEX>HkKOEaw( zL*ukH6Q@uv3dbl=rj$WT*7|~1@tzO*te#Hl?|zt6^Xro;+FtC4!aTd+21lJiX_Yg6 zv52@@>gp=RURc|^9c6uB4&K7c=mD3yF8-5mJ(Ft$A_siKpB7^g-j6%RJ~iD*dK##8B99boGd=YuBT6B&0Ct4r@UGq#$Av8(L?WJ?lZ;GKbJH#|xSUviW(! ztd$-Qsx)goDI#UcLfnLUwN5Vm&mnXW@vCTh>A zL(BXdB&*AzxUjB|Swpw#uYJ+~WZaz< ziL`fCEo1!y%}maSl9qE57SsCnTq%?@yBZ$X`hN7$<4f**3ZVC|U%Y(v;_d(I-LtP= zzC(BZ7pgDt5k4`ER^s*Mf?Xg#Bzklt#f80H)Ki&j)nAY)8+K#t0%|o2fc~8G+R-N; zS3$3r#`9BqB=UE5zg=Y9dtE=I0s-P8M_p)+8XIM@eY*e%#z0o*-JMTYxY18m!BqrK zHL1l=22cgDH1T#ZNTfRLJ)!t;Tk^CVl#+1R1-xHQvm;EtKUOsQ;)^dn*dzX1#)|S8 zQKZ!fPZnb?FM3q8VK1@gS^7oioQTJ$unA(=tLUsEqIWjef)ZN83IaJK zyJH6Hxe~=N+HgW3n&685Rp36XN70^P1wRT+b*pVl4F&5yshlk``Axf_dq5(Kcr3rO7N@b(mj7lfjE=1iV8WMOEU)v|W@j)j7- zHU`I{y$9_LS}Rx5Sf4K^;39gdCgHx$KmJkzK>U=OxsQnWYIYHD)cSZ zzE7`|h6{+m$UelxCWvh2mZ|BZSy!adH=DDVk2k`wLdH}3G;$y>B%B4?oHm5WVwjh+ z^x*>9_x%gD0=NEW;GB$Tl;m4nUU~E!eX$S7&{^-bgmy?(j$LbV~Drr&}X|=#l zZey~~oInewZu`RR)aGdpbIK5-BLXQxAo}eH`{;6ee3xBCOcs?Sy;>{@@#duqYUrcV zitiV-9c@}<1$Qo=P!B^>knYu1x7hVb0(N;*OxKJprqNDh=0UxM2#y8{J*O=dF@I&} zMlmO6#E%E!PMP0+U>qFX`CT8e;!-e^cQsjO&CuiMAMn@KH+#G~gfjLgpL-W4efrCv z8@&=f|G#@ALoz4%3jNxkf+(p*rH}ID!=C@ON#%I?`iIKqKR&$v>gDS{|I+Tz9=bMjaG9ia4*n}W&qAMG0Z~|czR`iTR3v+^$;*}SZN&|O}!nh z?=3_jrp;+Z$F&_E>fk6YDodPaoU0JvlZXH4Z+b(1(_4hZQBt9AhUP5MmqFEDuzo*~ z;_q5p;(#OF$1_5526yXt+H@}3DhQ7Xfx{cRrGs7Taq1<4=$2J z;D$La2T*#J67t8i%E-x&3WKqQ&;`FFo&~Iz5XU%j$8`D@DZnR%7Ne z>_}SIoQmsTcGnWl9vZybDUWGS_T{aN`t9c*|JE^DMTRG{xmiw>ykO?E+DcSz&)$(p z%Y#a8O71wy5j^H1NnleU>W(jt=7!!CBf429_l85Xcgsi^D3N34kZ%zfr39s4fuFex zol_aG>rH}CQrAG51A%0XcWx7FOAUIX;Y{#)D&*yc0JJ4qEw^GUPl!rI;hI59j6rrV z(;jB$cFb3L@Vcn+ZuD%z9ySkw6El+*GI9eM(Uo$G`_gx-S)mwfc#Wp>;kHSggPL#su=Y|wV>&8pJX_<`~ z*0AHOy$57O@f;b5_?$*;+=n&=U1?L(0?VA=aX=t`UY@M&Pv7U`Pk-x|YPn8Lp67Pr zoN+qp<^1f(UX~q!FK>^r=35D%P_nM2ziNdai!!G<#sBK4U8~#+nA*9+`2=216!}-G zIDA%)ZgW$1+>3Az#sy+HXIRg1oIMjX`SA9&K+>Dn?+eDMwf@tq7hgWQl~~VPl!y!x zu6?Y2r{VGR+QZ4LI|d%w>MkN;L!}=o@rYiYl*N_D5&GlX#_jV*XBrP*KD>GM?mynV zdiCPZiN*6G?H+7_jvdFTd`5$zO&3H4-Xjr^dEZovaUm+0BR0B<%|~_V9pf%aalCp zm5h!PkCzZ=^MT%@Yke-%=IsX_&45TDmwqTkt}T)_yzrMchY~}vnu9W2qrL8Yw1GeS z{JtZ4{r#7(UT8T!eEIULfBNIgd;30qhws09cqf?i?IXjZTaam{V?(c0sBbrPts^l| zG*#-Z z_6J;=f2e0Lh#i!9ijwLDgqXB&+Zg7tQqUK70jYle5y#gnz4_+FKM7+0o1T{swQuz= zzIu53muFu-eDmVfvlp+wd-3+KRTE#V>kr>Ewiv2O=%XqljQ&KE=!^S+RG;?aehhCfy(W6aX~nF`?tz6m%!t zUkG&2PQ??Q4gG$2d^e@_iW67Ag zlveHW-nEuGY@;1WzjMMlZ81ngjb6LujvB2-iyq%OF|N@rz`w8hX@uECJ%qHy#Ctd) zxn^lz%h!tw1oTNTPN6IVK}vhsGwcA(F>#kcR9K5ics&?rY&CsRWVm9b1m04@D>{dx zmRGhZ76lz&R#(P0A5HdWpZ)&F0R866hi~7#{OVCV{=;`)zj*lS**7m=zkc%{&tAX$ z>ZcYTUyfQt{IFkXwJ9MQ+Re1ADHXuJ#8B>{AT8p;@pj>o;XJBa(n-gPm__6Cx0_od znNnA3vhucWp&i3(e1*WyDxxJsh9na=ZcAOc;yBGF)Z(aqHzz7{C*9q*54TN~*33Pl z^!xZdZvge}%YRcqd-azu-~5)hMarVQ40&2y@2rUvUi!dQVj$gv0m?0oR) zy$I)t^p5CIuPeu@%II1&G^c4&QI=5tR)$M?A^evh#5=>tt)3jB-RnHBZTczDgJDl{+=r3Cj+}IU15Y{z|6^A)1&5Z^(XEDDdkDBq;k1 zOL>zo%27Em|DJ0Ov0uH#(QRDC(Kf9}nolp_hW5;MZKW?eUe^@81z~uP617Ha3qpoP z*a+(c%7V|E#h)Pr%Hp{E!Ao~RQ#^_nQelDm!7&XTeFF^+7|6-JUf_hb1jf?Rt*!r? zLHxUzKGC1jdc%*%R*jzc10p)*Gy+KEts{53sI(Q8qmxM*Cu%4b;VRLos{AG9xr-{N z2!Uo#XdM>X1ZJMM4u*cF=e3AL?7-c9EQt1MzlOCcD;nIA)@wG9*1qzAwAn_1k)BQr zIda!XBUFg^;4+RCTa*1JrBqa9Z8#)JJGW5^%o;0fnt^_|HM0h!Zyj5~w3Oo4PIrKQ zYz3^Y0jp0fX#s1I*|g_l6;Tc7{tPZCV&{JOB+yVqG`T0V!yH;4L2JMEmQa?bPE@!H zL&II^g*qSwJPM(-P@3Bp?om?~$97sn*$Xv0m$q!U%vF#TIW!bP!npkgZgqZP6P1+ak_T9}VQtYDg)GeNS*lvPNd)%UEGFo31GLQhg*FR!^ zdhdu>kJ!JENw9ljQ%ut}hm`46J!4-^e)@Ms0KbBi&k>a7Wi;qeqk6^hh4(wwk7L8dJ}Uz%&*>oqm%H{@KDe3Ace_QNA`3fmt-jZN z%(h=;<3_unexh}xovuCRlm%D6aYb-eWhpJzz0Q5o2s!aSK{d5=tUwFX&T0|A)D*SbGOFUX8?Z9P7eN83g(ahZws8uKL$ZP-VX{rM zEksC*5Nz8fbtbDab%|90A#dEQwryYV?A=z!S=uh3xC?spepL;^We~XCPL4>Ab<&k_ z7gT8BPNU6l^vj}MXJ4U=k6!&g+xrHSpa1#n5AETrm(TwF#k-eZe*fyn9Hng{9^^%$ z(botGS%X^)*K*#d*lQKX$kgI4#a*~LnQ{D;&O zEni$R^f1vDIkD}E$1xSVD09Z>Ezr4_PU>|P)=rLg_HDC@9ZF|hemQtn(@@yDH&Bn_ z^&A(J9fvLS4HSTs@O|Qz)92${T|C&5Zq#CPy@Xetc``D;AcS!=lZL7UdOWg|>Nz2Z24T{Ki z1uviCwzacYK?3a}994bLkDUf1&tNf&#BlJ=er=)P)8}@yXt2LlGJS&iKK}IA5BTi& zZ@+%{=0_ek>FH1s<@R9>wQ&^@D9he&;da{Pywb$s*ZmrPO{j!Ybfk>CP(fPG%bi{v zokpG~&++jusRpcn^8nowuKR@dCl{_m@yS``(70CDF4y$;jSj>T;A9#wMH%Xb>blf( zMPhN{*CAMS;h;(VuL33)m>K!$Dvp5DUvL^<1#h?C#t#ZXnbb7J?KYsoT z3-D(Te!3bP29iC6Z4%%GNqA^stZ-=U^njBn`vhlHJQ?B*#Hd@_u+tS^&Uk*Fiuw+P z4T=H@!TPPLe-eY(!<{aoE4fm#+(TP>D|W@Ba4o6E6GhelR})4M_~Hz0D$ts`p2OLV z+eW|D-B%3emoWlczE7?7xO?TMAWWfPwrW>v!&7ji%&w4qw>9DnBM6HFK^YjZiXbVh zD1jHNMO|^%waHnn)9q>ufhd~Npv~JEIKGRv*^U6~Vt1!e@%6I!_EGuLM-o-oG*x=! zNNxNRRR8fOKgGunO@eP4MUO~_7@2K{C{(%<9Jgl~{lavgHA}&Apy2l8phK*$`|Qi2&?aQzl;QH!pM`^t=LG5T z`%W(j)=_&4WxGgGDJda};NkqVFT-kxIO4o7As)T*^WXo>1kU~2w?FA#B0ZM`q+C7% zjW;KCTu|5;uYqWJ7;7A1RisF$^oYjCxUZ`KC6c^~S;`uRLf8aorHZo5fnd9Ep=r!= zPT<@YX@8=Ir*J?QXtJZsMPa6j=NE z{;~CVCDh$0Zq}ZS3(AXwvOE0ka8#_g3R8_o1yrIu^Xe#fZ!XjwW0HN}ig29Y{30-V zxmCa9^zEWX?jXq=7I8Qm_~r(D9-I|E@#uy4B1eSr??+^nH?t% zL%q1OUi^1e^sSC+G1s?n`WU$&-{OM3oHE3ub1WAjVqBaj(l$Fqj)*Qq0b{DC(|LJN zOR$H3lD9wl>{s^F^Y;PN-i^Iod@E^oEXfcDvi+8E43?7Rbk;=L2v9v?mx9>-a*9e? zr?ul0lMF{j!e5F*)y0}CiY@0BfZgXgo$wSjP#jRB%f7^EZMlT`GDk=G!d9>Kb2D4Q zauN;b7=`?MO^2pZ5ng$;pZOMPt|DEWObI`Zn6t&*#oSW4yoe7QvHhZ(n@*{o8kM-rjd0?{3NThiBisc=ho5&7U8ToOt%`<)6QK zFE0FSGv(t?&>-0wclR1-Y!x?UqN$fN=in#>eoP-fMidIg9fj#&sKbqlZOfjA2vBvn z)->m`*H+m;=SojqORoZxO%QW2CY<7oG(T##$Qg?fm%%p>Zl#G0itTh2pX1P?D^w%v z-RZ4p&H`MaKbf!}np-?kRNu%2V#A(@&h=v#HP|t)JR9X^w5Hb;ccMVi&Up+}U)-{- z``qTHVUHuPUQ6PZKUCtjG)HNZ&C`k6?}Dtt44Mn7Ij{kGH1?m~b*DcG^FO{e`w74P z_Ib$47BKwxM;MgO8a&y=aD$MLNT<`AO+|7ML7{RwvP3kTZ5+6=Ku9|QA`CIMLHV$1 z4e=YWwXSJ7^(r-F($3CRLSL0+mWn4L(h5!tBs688V@$OB;j)N#?aJhyCcS*Dg%Xz* z9Q|8y9LsgmwGV!rqy|$<36vN|EeuS|goWt3qO$%J9;U{=Rb{3rI=$T7LA=2zsb=xw zo)ww{hALU85x-f*0{w3;!|VO*&{Qc#?3fNMBTu{zP#$`6PyOj#W%~4D;L$X^{N|gN ze?}zi(HtPe+OUSc^>fA$oA7AiDg-F=bN#U?nXST`;s8yLnx~d>R%Fzg^@{+L7EVnx z-;J^M6lwgZ)R1zIv9_p1s&Ip9mj3N(Y5YsGwmVeVp1B3G>lr3@)C$ytinKI0l)Dx6 z`*HYP5!#h^CRl)be<4e>LKdd%x_mP!8wo>$GR;or< zrAXzr-IpBPwZLS=pK-d-aIWI}RC*GYf5 z7M_M$>r-PX`nhCo7TSh1{CUs)DM-x@6(YLDcmxzfzL4b>N;@``i}$G5t5v>;0#hiX zX~NxhTqq)ni6#!}xx(CVTFFWajU7N60+JP$gBsc#$CaO~w9kHtvi{w*CYV$xkl7$( zm9~3<Rs>-vP~`qbP(pzE+pwa@4|}0^|ZEgyVyP-O>w6*yza#5Fdi4 zG;namg9LZ2LOe;qlIrlY&Ys9dyUBD1Q}b-gafl+K)`}pLQ{c9Fr(q(~3GI~txIds7 zJfrah`^Ajju1H#rQWG)^%4Ai1M;q2sDD4mcjvN&nDDdyp7z&_F?$Q*2&h%tvKKX|d z*azl}4JUSuJ*SA{I8)u|k4AZ=(*~}9G4#MDA)cGK9l<2Yc&qK`OXv)%y!cs` z%HmN~2}WRDocY`e{ZEw>9mqfWS$$q?5+ej1*S0#MxZuISkSPPrZe8H8MTF=pmQ=1M zaSkkUwC*Zo^vuq2j&mvwEB8mUAVjWJX6oVaBFEjpawnVxjL+ zT|V(PZsEzy{O%vxA0L>r%**cVJ%vcU@1{kAu>r^qFANQYGES?^R5=vzw0WXNU5S0c zIb-8I=0q^1-eT7qMil21uNPcZ+}}5A!#NuCm;0fiFo$U0qJ83omI4auheA^22zTG8 z{6CFWhz2t_Gvna{ren#i_lY|#SYsKs&Q%Ffn7pzJ5;e}n4 zfuh18hwXyxkL$BOdv6{8E2;P4Pk(y(`sL3-9{4l)oe@Q>Na$HX;S6>bM?>GFK~xdH zK;a#iWr$6ms3R>QDXaoUG$(r)^uLHGl^A>ylF(pzTslSnyW2G3p<69HB}$D=6Qvur zCGjBb7p{FSF`jhSz`{T^9-ge+HRbK3eiLvFi=T$d(f4laLYPqqT6=*u%994=%T12Z zY`h?vhv@aw0Dsrn{Y_~3#gU2n3{$n8)|2vPLWdRRBbQ|ypHVXEDE$^L;3xFY9fIMa z0HXADaL15qT=(q=EE{#iT1V`p@VI! z3fTgr?M{|(8Y=LVaxa0H8EIA%VnU)sNWO3DaI@TpetW}?E7R;a0VHO(NQ+L7>V4H( z&9fnr1(d)Za^I@HGeaky%*6A5ER_7x9En#|*R*nzfinnx4;m9Hssp(Qbn7u|K<=~R za-={Vj$jc`Dhqc_?X*EFUO&gFM+nGS*I?+2LOKZL2muBC1qEDX7_Dn6UfRN)bKQ(W zuh0jDh9jdpf*r1=Eo}Nlf9&g+r5&B>8>LbwXjl}h7PpN`VaVAn+G?v3V@0GU+SV{c zR&JcixpTKQ={w;KmVRVyiL$ulX&zohmVz($oMM)C?8twlYic2CM=F+={qbG?m%BvfuS(u7vi1TX_)_H=s* z=!(uJ?zRi(-e!k$X|3){7f3i1GiC?^GW=gq0G+qYr4k`$;ka)hq~^}6hl;j71m0%5 zT=eJ!LAHRtM{}tkaW;2!b8u4|1UdRBni;!I{Gjo%YKIjP6$;`iJk{tZK-2G5;mu8q zMX9#N(s34Vx7r=3OW7e{J5RfYtEnss>cgcq<9CQjWoT(ooG1>4l0`^Ec$Fl7geyl5 z-g;wCYmIcprCRAZg1Y zs5!auPrw@mUYcyizH&r^lH;lW^?414HOMXCvzZ#l%ctRfT~V`KhohAPxsW6xC=3GM z*`7Sxhr5UW=GlVJ9zk7`io%BR2_Fa>d-L8Ezn>S4D&nnFgdnpqgsEr`(!ebG_b?6A z4EL0k6vaML7p7qjj3 zU;MLa&;MBw_Mbo2Cs9gcj!J=8ABcc<wySw-i+}tu zpFFwbe|p4HD38{2QvCn@w+|R`zkc!O`zMjae|Y`XvzE=HW8o>ZI%pwB#8?v>roA!T z5ilJV=R9anNZu-MQZ{8SOyc&cov_qidl8UY5$A6rfX7_>-DuwrMU<&<$4z}fA5STB z75$^JL(y#3g-Wkes4*x>37JJLb@Y^iUt1U8;Rs{^C58Gg;|9tpR`FcX3(r91F0sOP z(KC|hoEu%AgMc(wbLLpjtKNlx2K_;$k#OFfuL8ykR$Csak)hvM^(o_|J5k}#(`ibH zu!5(_)_F>Al;k}Xwh97OTuev zsEf&WrLK0Gs2Mr|Sh-p!1hd7n39Ty(DC6!ZsR(hW9yhC>9g*%J1s%LqOBbF!BKnE^ zYyNVgiZMo<3t~4HedIUFG){m@Ul^)pK{UBS4n zDAtbRu&}gMvPFlAbB1m@;heTN8q}2YEA^h|onM0ZL{LOebi|E<`DLAP>4AI5#J#Pu zfl|cfMXWD;fxx#|BzNVIYo#QqQ-W$JlTw&Jvj7qGddhX8Sb-WeHiNl^4T^|5PSjl> zz!;qDC?BAxOv54Go|aQ6L@U&AH(s@$&lY^_7V`-#VUd-L?Szq-bdI|LtcdAC}^#9;=qm`_^&>AN@c*A$QVNw(6+tF;9 zu-&&ZDcr8hO)pLxu%YL-p{k^fXpLZU;4b%|5m|Vtoq5nSy;rAQ4W}3($EvK4G}&ln zp>DJ|JnuAq-NUrdA849y3MU@F@5dj`RR8e%7P`Dnoac=10=Qa$G+AIOL^CD0U-7@+ zKDA;d&2R<8N$znhkq!kEa&0ixyP8?erDWmq>4loco?54Svxms-nF2;db9(4sQ|KXh z>UQMyvexFFo5yHVOuSOU94Oi9kg1buCeM|bt#l8#6O%C-Zm|oPkzuQXBb%aMSG?83 zXh0n{5&C;%YaL#+%5hrPb6<8N7%M(()4sV1vFt3=AwLR|x4Rh(bW z+A$Ik_8(ONcy~*}lKw!XvEU3%fL6W~2{77bmpN=bGUe*%)>rheQN7x=wYBn>IvxGb zxD;yc3$_)=;~^t|iOj~H4}YS#e07aF$JCHlOpLq0p|JB#MM>wMtw_^Po-@y%|Ne^` zcKu?nN`CeS^QX63->*fOzW?%TQKYv5IS+4<%>T)k2IhTkRO3`-ViS%cwr4hR2(h27 z-UfD@GVD2p8t6H#CTL%`1%gK5XjLpmgGb3-j3V9MlE=772~LhQCTRTzYs5-N_kC4- zUj~kqaT6F2i*Zlh=m+(s&mMlu3)CrY>DnaZx{X?JZIo5$b|SV!KYI%Sg+IHmxht#c zwYE4`|MWXK1qtQj_gL)RUtYa;kbV8{FJ8U*_OW@>-+i7*hs@5=PFp!(UsH(0 zye=*&{O)h7Tp?GDOb8;oXU!B#BUZKwaUW@3TK45G7S{$4%g~Zb7wlh=274Sz8_)ze zjY$eOi(boZVQokvrVV7F456%b)-Jx9`_Ms6?7d3IdP%{n>YZu(}dj4?15&d;Dp z`p*xc?la@Dr$5_v;<&kl(C*_Hz0|60zjlu8$Dt?dco+{~d~ImS+?F!?T}qBQ(<$I5 z)Wb=an2x@mUQM%5`1RMB`1JQ5eg3;2YV$vN56O?0Uw!rJ#WVNt?z?B(i*H~2lTjG{ zv5dL+c2sH?xNi{?tim~2u%=)xsS&0;PvfNkbE2NRqFS;Fm>y!e^cbOf=NcSGa9+D! zU=-V@>r!N5_jAj=xAk8sEo)lh3hEuxl?C@*ik*c?Y@n;mZ+bV)C1sztfl$zkWAA!3 zeXkyg(2Mmem&{}HL795hIt4X$K+35+LB)Mgq}6=2tF+^Go~b8|KA8V(N~X(J3clsX zxt_NP4z(MKG`{i!SmlHZg#mr5;nxHG!3Z*G#D$5_fKYhz_25maqM62NRpKc)whVLo zf8%^txG%%~8uO$J)K2NPUWwOdtgkFsFN7kn&C>37`+$CUZk$^bnzYSObXiG6eQD)x z*q8{3wPM82&0W5ruWgtt4h(<50(zwq=2|GH;BZw_vV@?CEo917qax5ay0{7*P|7>~<3(r+s3i2tdwj(f;I z`hTXZst)_Ks^gPSKL7piKS=IAefEd#`#-*T`Ruzl-~93WcmMQ?#?ZgEdy{GpTC~vv zQKDDcZnPjax`GeEalw?vWiLH%1#4m2jcNB18|#WZoH^_^atz)heKL9raX~*4r5Aa1 z5tWfTwA`_$*ily-(7m{{9cvS1K!=lA&($Q-R+~JBSjRb_y`EuD1|5+Z24mHx8N1kycK~(z~M=|={3MoaiVVi}qsLxe6DAW5?ur;9w#vUb4Y_{TUwaPiURsb7Yn-aa{Aoxqg z0Pb|nqtC-s)>W@I8}<(n3u;gm{xkPM`w5w?Tyo^c^ZUCGvYU_p&io4gLKjaoM3V0) z#wUGaL3D(Bw=6<6dcNft_7fP~aU?_lba9}4{YX3Y&`MxuJloyi-e@NahN^8v9dqAt zb9~l1>p(->L`U?Sx6&tvx>&pxYTkDe#gS>0MH;gAeKUHpfPdt9ZW>w_*07-L9MH=_ z+aBph+z_j>n&5q@QE)?93MZ05)n&Q&py6CrL%Jvwx?xfuVhtr}0QU-x6^1qg2eV(7|Jf2 zJ1|yn4bK2kyRHO2wH%;mTyVO@FdPe*d8*^8D{C5xP#1!|X%Dv)aZzlRveFMR%^MTo7p2EL8W4 z^z<-lO9Ao_+KE+lOynesz0cmUl0{|LV;*Z(cvVd-m6*c=_;|+D-XNrUnS8` zqTMacp6{BiYYrT-oTszNg2Su`+htmu!XlZ5@P2S2Y=WINpLtZ^DF;_Zq*ioJA17vD zmLy1IejKhl98apEx_fP^+99 z2%n=h%DWa#rJ<2SQQ*f%xQjrZfBfv5H*dfF`VBfQ-#tF&|L}tl)KD-pCYGq#xmC#v z))1UjYF34j&ax@$D+Mc%9N-|6#Cnz8KwHz@uu!DGkq$H|U5migY`fj?X)uQ}8kQ@2 zCAgt1tC%FHCJwO+SRJhb%0P&&oChRa>Niw14w*4Qh@IMK;7PrX)7&6)1J%jg_{ax6?A+l3*RP90f(6e>h)VLwFLv3B_Kb}H=`o-^le@jY! z;6mx)죮+g-^6bsqzx=O<7tg-@`t4udz4_^24i7#K?b%G>y0nq1LU5zwwKfQs zFz*p=!C9Pe+UYs&Lgd{EZ!}KlPoDYkopPs>x~YRj+Bgp})?Az|a&~)mYV1iio$@)f z)Nvva9PIVNxZGqLZkt}Ed;JrtAR6$jzbb5nRm9z#Q=FOC8I)hLgs?0531AUJbc-9$?(_D?T9rqDMbZZb+|$I2(m> z+VBgFkD{eY%vLdR?OWRlwoCg#e_ZG^NidijXJ{2gMoQbcb{;_l?QBS0nc;-z47h91 zet_69If9G-oojYy4vHgos>S+&TaRF_MY+OwTXNC@Q<6i`rv)WLn!Yql+C^Goc5K&C z5Z&B0n1V)EZYU?LiFHeU?fq&f)CligK;=`6pxKMY+<|^_2o~RwP18A1z&Q|!*@ZQg ziBDmPF&Qr_GKO%;*yuRGigJs5n35EYn$;jyXcv^08#ZdKJU+j;OGSQp)&9$y@813e zQJpVezJBrc#ly2degE#^hm)gc&;RM9XX-j*#M135&d`OWFZ0)dJTN{_!b8GV0-#9u z`-ojDUC1KCbjR+|NAHtAk1Jrm>T~4%FtY7I6LpC{+8xVX#{rGKDT(-X^#XAE-H21D zmVP~~w!c34qEAE7pQLzaGY%*OJN=5I+LKe84oYcKis8*K3`b?hhfOag2bi zu^nPpJ|Mqc{L(d67Zxi5$dmagU!S!{c0^;LYy(toI}*um9i#BE@{a~?}o#naN38vn_Fzt?o}e7`^M@A zLAj~v{|Ed=(Gh7@!Sf}RjwnY)zB{kF=;AuJhi z9O&(X_+WQ^DC3V{8%;?`JW3pE+~z_^u)QQs1^2Q)03_R>H$pc&UMF+lADVe*u@a`Bs^GFp3P7hpu; z*gD)~^>?@r_MbM#C%?btq>wK=Uw--Z_Ybe1efRKh+8K|8(Ed*a{&*n%&-oX�XxB zS$c7adJCk9b5iXnKtvN``bp01{)Ufk{jTS~`V|;jh@s}Z#k_Uk8|f%_900Z)xxiKe zj-^vycv$DrPdb8$Ax0GQH5EIawP>ALl6BZ3Vd=aG3qg%BVhOnvF0zod-3ks zyN7RIex=R+qftD&K3`f1!Wr7!2P&T!n8&CTl`C4?fo`*!uJf=~uoUNdigjt|$V;Bc zk}Abg#tBNH<`7Kl-#kT~{FpSkw_ql8iZLSV?KpAe|kuBOwRE{1Im$j9^5(5XAC%>x=D7sOE z7zF^Lromh7ENV^=U)T}B=lfp|>5-G1v_!KAUPJvo;kT3jX&eXHWKv#^>`~lRhS9tXbw?!F2 zBeT^tD4iNUsFXq{A! z5N=E(wrkDHepmcxA)^#Er=l-nO~D;k>h~dkw3?<%uv~He7zME`Wu03kYpFu08Wrb6 zQMAky`9-T|h?SS8kCzYOpjHL5raZ zh28}=lc||>3@a~&t>X@`tlIe%-^xZN(vH?*R64iXhqs_D9Y|Vu76C6U+Jsnapp8UJ zYB3mj3wyhbdqyS1Zk6N%ivDCd{cxy$9LI4Abz@JrH`+aJ2)k%oIG?i6VD~8PSjGQx zococtaV)}Q+$BofIyIv zYoJC&QL8K)6&~i$(mJ0~$Q0zcFBVNjx_VgV=>K^G3bDDrL#*#!Soz421 zeFG&-w`!Y-&S_Z!X2c6F!4IEzv_XU@4*hzmxW1nYhk>`8!|B6)73tuqmckSLE&>*mhG?Om;hZjV{U7!^hls{N zxKjKH7a4Bjlt;1B%q>n3R#guVR;k^bE<(>*`H;h}h zj@cUohs#Vvu=#V*(!wjFv*vC~>_$(FRq_@Rv)&~ky^7C_xL4-(#CfNcTfxRv6yRuL zd5ZmLu!LT-GISxYVaDq7k=m2#eUF(w{|(ct5o%o^ztqi?O)u)vq|z2O?${h~lRH=i zIPpwZsgjrq8Dm(jMJ0f6#hFc`1wiRG4UT)(7$`R^$SW%m7SRb;=B5mcwT9s6ew4Xv zbynmO^-f}L8Wg0+(c*B_%T_B8;t7E1-S}ULQLO?HHJ>l&!NGIL#1k({8j#i)z#b z^Ru4&(l;Nw&~+B_S8zowo9i2Uh{Fl2Wmt@zQA|HL{iP2<>4r+H;gBgWgJvoPV+Bac zt=rb`mdaFSo5$Fi))+duXwQjg?i?=cDJmjqD|lYVX2mHE1n6hA7tdUH*C_{ zb@!iXvn1u4Z6>8Xj|ygAXbCS!s8=&M+6Y|F%=v$kfPI>lhc4!)}*Jviv>G9b&TQ4%>_N zbP*d$oN!!s!6Dz}FoNVpPTY6%4g+niTthRlcCTo_Y4r^?D#Zyt?_$XPyq=1RdKuy+LBS6j@|JnBRp zeb)x=)1Veb-yS}hTcP9@D{G-V?j4l+;w(ikpqIXcI*?!&d{YuIlXEkxQCZq5(a0 zv577Z+omvy^*1KcPv2Tmcrc*J@??3wcZ>e?Uw#vu)(1LasJ@9cDH(T8>BO0k6+*_% zH7%QZ?1!(KvQldi@lv2M=i;z2(vBM&#fW<7xQh8_6qf1!51cMapgu73HIolSh+=qrEpYG6R@QMM7 z*Qc?EZ+aw?=Qd9lo+SGpJgh%8zX?$_1z1svw$)Q~a@S)}{kWalatJ3XSy4#@9pG4m zTH+O>-(DBZ8*<> zKDDi5#YE24bX;ODZq-u8#q(6a+kkqQm1U2~``~Dqf^nsKO)HI=rZ%;?71d z13*~x!e5(KYpF_AT|so2$|BT8AA8=m{oK+f#(55nXuLrO2ci46T7id#rR~5|maMM7 zY6XYD-JaI-6#Nq(s@i6E9jqu9eEakqxSR?UG(X`5t{|tx()VTe8k9p{7HpcE&`zJh zqUWs$eJBhc=zJs!KB7D6S-lUaJ7)A_QG8u1XBHu9H42dZIOU&zrvHBM%rd-v`1ZxW z|71255x%C%RuOMPZg=tYmD}pEx@+ck-7sb2RMIOX4Q>;Z{RjT!F)ueE5t*xjun6O> zbyT5nC2&-`;(f)8tRPBw8U1;OrJ25F)i2v~o!Fx90hU6u#GY@lCbX}$Vgi-I^x7k_ z^XL_S_t6jTq@vE{#kb$Sc>CxXZCET3!oT*D$!C_)7tnGAuC6UaR&W!*MxNHwvm zkl{)nmpIHm%p42Oyj$5$AXh=)NI=l|g0_|)p%l*U6z}Nn)@TXg6)Zekv7rriC|45q z&9A`8MWq;JqWk51P|PhTVFZ^qWw&ckjHY4D+$UI3!rqkci=nzQwEZIYXl1920)PnA z5xgJg${qEfdr35ST1?1KMbj05S6cA!IWtlxGd%M9NSj+s154VPrvx=b5_;IpFI0F`|#)t{MGj_{`lhU+rK>f=FPhY zd@=sk8KNp$w47T~bn&U-(MnlM%n|n&&bB#S^@m93CO8mW2j2*rU&rDVVe%>x5q(Qq z4iU{`Wv8)G)dao6L~+gfecHMl_L^A>#&Y#69F&=Gw=4Tto6_xN?9W34LIJ0z*>M27 znU$NQpQ?J@R5Kz?DZ(hIq?(O$qe)s*`r-dl-^`A5*um9J> zvmfkKpZyp6&+q<2TkfC#+rPbf`1;K^5C8o9lTSYV=Z`;n{`|k*vY|Ph^!8}8poc6x zq-AB!>#)>79NmNexkkS4hg==gmDVrK*)llbKr{gTYoTMM&!cPm)#=*2ZK?*vN<{&M z+@CO|$@I4i@-JtgVq8(qHLne$<+DeOWx1Ua2SbE4S3IZF?YT%_il&RX)plL3XKmrwsQE6UKY0EKXTG=zpL7ZG1= zDR&oED3iy5-rKr2L!nYk%2rN4AlR5h%|)w%LW{lui&r6nUdA(vwcRyv!OB-*IC zxK-7hf?DRjE5R7nAXHma$Hu5II#Yaun! zh{NmAT-f%S%^~6t1V_$rn7o^XZ9Hh?IJA>j0k*yhh&isHG-*JEN{|^7eRJhI1#5%P zkWsc?!b(h-NZ1Lt9`CyyDZ9-<0L?1%j*(XU4ujUEK!vC|C3~VBVR1wWEwFIkC7uBr zK?T-B`|`!~O$3;z zv|ZZdN;_zrsxurZX7S2f71;^3XYT*JZAsKmpFIL~jEH#5SzTIu7COuJuu*8Ki(V({ zz?99o)QhO{MVvIz!^b^KVfN7EACn@qB^a7{j!9q{1SXY5aIAFnH3J`%IaAQ#L=eI4&<5R>V^sHSD#*y-_kZS*h|6ojXgy zTOw5iqXgHlP;VKLBr=xuR0RQEi_mq{f;f|iyw>q`cM>W8d66S!BL$w+f-?H0$#vI$ z@e+b<0dtICuUL-TR$VJxxZF;Ws&uT58%KR$=ydD2ZV*x zJcyz$;lObt0t-N(A&lmWYAGt0m1T;lMN(^R@29W+MM~tHGLw>ROH?v5=l@6GFh=jd z7`@|G5uXmmIGh5Buc-SaamlrJ3>O0(@cXfOE*dD0jYr8^spp~_)H{(q<>ewk5Vebh zZk^~mPFyr?j;aY006EY>Jp8ffMhbkXXz=Z?ymuv4?TeF5A%;j8HwWkR^lK|6mb`Uy zClj@P1@6WpQJ?V$-TR4yM)Pa!X%(w<K) zM@C1ipzvS<{m8Cr1-(kDkHy@FlAj;J#eaE&SUs@8ft{n%T#z_LWmQG`N0303I_i?D>E43tl$m8wjo zjd)*L|JwSON8jhr(y1pNg?em?3pEpBtqP_^(F+eDv4z^E_Q{z}#qsk#&^KOMr6mXI z@Ez*F8y;8P_|y1;wWn5#*8!*Ok?S z@y04YP^Rlg2urzTOB(p0F|Jv}97T1J63-uBb_xV&adkZr^)B;KMrl=#89K*ZM(iC! zOl^YTUgp~`e*JL(^RM~M@BaM5dqLbkfBVCmci+B{s$UotbN4e=d2CQ}rH4ZV;oc*Y zjTn+*m@!synuR?<|sO&>QPg&(^ zV=I?cfTxDJ+J-f;Ucg=-#72e&+zuo_#x-vt5ku>WXsO-}N2X1<9ES@L*J9lIi(AC_ufMj}|BQgC<-w)}slE?D1@_ zS6X!y_-ZoV_YHyIaC9^?DPf$;JPiKv{pb`cnSLYkJBs&l97UMF(*a=-QHVwi!Ea&F^<_zJC8#X8fZw>V?dh z@(T?WqTh!$C^sXQ;ISK`VU9{`y{5ozv%9`g2@PqlLtqm|udn>+3xECFhbX-NGtEW+ z^PRZ(iz?Z7-&30Q3FV~k|9a4;Cy3qCaulb+iFT94lu1xVkOWhkb|GE9!Ur3j5L!^? z4gj4)P>=~slwF|*qnQ*u?#6nn%&%n_Dzb0+FqLCy!cC$1R$GUX(~TS%G=P@dLuxW2 zH|10$DiP2eMxeSBNUC@eGRau_C_S#>=N1M+e8_t^;$#>*=jvE23)=;)WsV1FC_L!j zK=L5eVQ-o;+V&j9ANSK!BK&H&p_+4wLW(9RhzuO3?MYF&xPpfZ>pd{+6BN7)YF<%^ z#-r8pYVd#cA#428i?l%>{okE~t9W}TCz*nmrCSjRM{V9LD4I1|Sfw#P+OXZ*M-o98 zKzn(V&1wf`pKW~%i$pX#C6RVb%Jb`^v=<=(3WEkOWKL#xOL5&jwhIA$o7d7HD}@`X zv5fX~SCR&fI7%80Y^{zUo?wPhB5e>BdC)m`wCEm>gDc}Gu5nTX3X29R(1MWCQf^k{ zqUJ=T?Z7!XC_ioB-*lAy6nQrE94S9yi>VFAy~x@3u*|{ztTwwr56z*PK(B4yP)E=k zLgrK6-6oyAv4(G`WOo(kUFaznrRH|Msz&|4wP=n>T;_)8C&WZS&+g zU{z2N7*w!Qrm+GzQL$cQc#)a|5*Y~aU*lRWaHXM)iJaR>rQ?Tgaj*A8bl|uPkpq(v zFIZwu+9>q_*BmsY&ZGry);6hUDGK=BG=%~oBZw;saAWAR5Wf!Ie6ZW9SPmythRsu# zyoDyTs5GW1wdf@g@YD}jBoHx2Gw|D`fNM0`9yJ_A{ot3^QVg5$=5+@qM;nc#)$$L&MJAd5myr3)*wWT1qv770(hP}z5RVyY=ZR&37JrIiOCRjJohB)$?@O+d$ z!X*x492bw)Y%O7JG|AfWv;s(ma^<2=(kCS0xm<6(DY7M0r?b=*iB8J=`m0&~>OXJD zzdO^w&>2Ba8^x6T zvOoZuFK;wQ1?^|2qq^%m-5#R2A}(bjNw`yu*mf58aofnzvc1QxpS&^5sguN*<{8D8u(2xW^RkMkiXq89N4U`z*Fg;YL)mS_rYPc3t}6Qy^bCi272B zR1go<>}C_`D=5M=F)Jjq9pJb^Wde1hD`}O@Ab_Aj6xWOAEMjmXcR20{d8Cx}$&fUJ zCiE?o%SIv75YMPzZ32#H%Url?f;jdoj{Onhy@gg@&Bd4hjg_R?O*m&(dKk6MSyH(s zU@8gi2>X?uH`4a8j={BkiCrnpQ}5=pB1yq`aKtrmb43y-UEIEKgI=bkI;-tQ3|1>h zhDf^68N%jNNcUvDVWixfJV^ z4N!2oI;x#hykcp{yZ|GG4+Skzk!HJNeY79j&PgXtW_?&;as8Lr#7Iir@!2O3%e!MH%?AVwM6W? zl?wLmwN{8#)L<%Z^x9`T&yL{bhg-9Ip_()w(>nBvwkXgaY(MEM#L`F!1&`e*>3b2f`-C_HOPcSS*m z%Hd`rEX#2w^W5qm0w?uQ)-G+w8IjAkThp?)zBF-X!{8#_qX<`Np3yxP6hAroKM@CY z2tF&*Alc)L5^=*xIW0=>1UM%eqjoEPs-7LP4!Z#xzI6sCXF#r^ctFMs{TZ$AD1eE#z{kb+?I|NC!$ z5<0%$zyIbN?v1|1`TF}e-+ld~DF{t#2YWQe+M|*UX({JNGw<3b{Y(J~ZG3fDVcITt z!z2W$^0noYG6gNt%UdK94n!}t*PRH2)2=LtsOs&)(-UAi^>hcyVoFCMxTl76&!Z1F zvED(pf(vN_EWD9_cC+>U3j1D)c4XUo9k>?vy3NK_03DB+gU6h~mIpFLX3x9h;N+1> z?bmZI@f=g(1JLJ4n>LB^UZ?0H$Da2bN3;r}N2cZH$Jq4wXTPQJ{QEIgQ2!B+^$$M9B3awkZ z=s2542xBui2n0L}s}*#ven!q}Cb*qKpKzc@j;ycHAkr#gOG_{*wsdS(Iiu5*pzrj{ z;rd8B%Q53zkL5|iKB1e|TDXuCafMFd>-M&6g20y&lRg z)U_JQm=9-H7GEgdDAy@=Y9$K@AVVpvcHwC)#2Kg>)MnRSqhjT57fuRf)^_`$nAZ<| z-`bUpbD-QD^DcqRNFtxgxz7l1E9l!|U)}X2zK;>Y)FWy?I)k(|rne^qzeUktQ-$)% zAzVp)-d3JkI0c@8vhCr*87Ni4~ z=z+PEv(_2J$L6N=%~2b~G=Z|Jo0K*w6p&KcIupM&0cD5ZcF5LX3JfL+30^CFG%5im zmulKw_Y{(1I~y#Hj%_MzB9$YMni0uYq|?kGAR@d=1hQzjh=J;9ozWDCR4KTNh>dIG zUNRnWzx5u$U9h`CUy)XJq)*5p{E|f?6BcYjgC=sB>B>Iamb3B+2twl>b4Nu@v6MR? zaXz2N(zeW;ad^?)NCj_2D`;=wrhhS)JzFIfg<7AL_Js<1`>6d5#5~a0bC`YA zmGQvS{zV5I-}a%+xehc-W?mIxThe5~RB8^*Y^JP~5PlA)y?0Yfywe;k-cI+0@QoF! zxclZNN#A{?S^=0-2)np){~DMBlD;^j*mNoYS# z1g`5fx8jN*$Q*f(arN*Beoc-t`mt+6A!V~$blWa!?LDmo!3stiC6x`~NX2EhQZPF% zn%9ma#?$~|#|XwVv=QaA>RX(<7QIxQ;Za<0e$czqm-;I@q}yoG@tO*8kJ$%y3v@@0 z7=v%9RiQz{B^-^s3Uh)juU6|9FC~`$a#-*>%GEFb-yd#H1ib&z#jIo?2FT%S$K^&z z$cg$X$vRH%^~|=33EHLg?C9^XwWSup+~?ek7!F!C%8&g_uFT?A;hd0ba_vd$PISx# zmR-LU84k2jTDr*b{!0C7hen##=}k;i7;dA~XTSDU#;)kH^e5cq6SlCQ&9gtvn;Mlc7OKtTps$yk zxK$M|*NH-KLlxTlc;|jrZdZLg^s@djwXyB#^uWS&-A_KME^&c(VMuTyH?cp7e_@3{5@)qmX!NZ^WS{_V-c^jN7D?^BcJxxAJ>=~Vj+$cQhcmd_(ra~{H z0-w1s)Gr^OjZJ*M3}n;hg*VU9Z5e`zSk9$m>3Q&s#}o2UzHafjMCKGGo7Tv&rHm7g znF3rk#d4f!g-l|c&SZ&s|H3dr{`|CvIyYoD-P-}%%zk%#LTzHL}EP20W)J-(6nRp zuQZNP_MtJq@t~l31}kz#K>yPaSf&6t^IvYsJxdx<{=mJs{&LMU*^4zhOqRuqOHoG8 zkmQ`(rZJeXYGv2P3r+|2zffJ{N5wP~Wek~8Os7Al1j8!D3{Blu4trqQ;2xE4E#<`F zDGy?&zr2Mgu;Q}W?V=z2zjU6ksztcDGCGjR+!8<+$cpq-80!x zg6vl~XeH{c-;fS|xN@J2^q5aKZIs;X1e@+_*CZhEYxYaX*g#CnT{Mm_)VRL<;-jVx zpMPA9>odecx?*Oe3NP%(ej(gjXwnwBHvz|+3vX0Z!>>-a zij7`2y_oixs6ZANZfLc&imBoCl-Ifqm0k@v_w9AytpY+r?-}f=j04bhO7TWBrj0@O zKPFk#+Wp?_L^8aXu#4*k%=Zo#LU7k4k z+?C#5I+;X6i<{WTceDIOiCJ30_@JpB>I+~$`dWO=J zf>B;d7hY);0Wn2KCf_UUiGdLdE$qa5`oSRZljdE5@7Qis;DmK+6;gj`1a z3VZD6y$WZA7?E}~`KCdwrA_Mws~zL3dCpWsqlD^rPTnARf2E%N%&q@Ie*V?`86$&l-o5$u6Z7r+KmFnDH*ddJ6#C&u z1@j>y60SDQV>&T~{uT1I>&4FvtW2yUao%0LLgS5-`Gxk60Fih`s0uk+c#W$?5$Sjp z6kt&@Y=V8!}86bnZi{`!Bh@|SGY{|YwoYyAzyW)#;9YUK(o zeo&Zg>o%W1^T=+DhwlnV2f>e~z(3Dh8B1Fxl}Tx_;wY$1@gMa!B}4t%p5k)cIJIz! zUq3fjkJS_fKIh4G=$Yv{g)^P_ifA@dGbfg(ToH0ZcAR1oO1$nq@fN=J*)Z=b%EnxQ z83pAVkrT0*VTQKLrd*}rDK~cCJIogr5{HU#-Y@n%~hy6K%vhBLjY}5WS zkM)X{yRiPShabBjcW`J5kXC{H1`^LqNen}R=y-9|+hvyI*0u$-AloJA^S z+YPZjGtO$UqFv+GXllY^S(NUiYahyO+Qdrl*(;s7skk!7<0XqIDc*EA`< zn)KYpXxVwH9RzYV1ziMR_eb{&Q#>S-ZWKpqJC&sp{)S2@!b4Z3CubN9#Sqa+bIVAG zm@{bTZB|N(_X-4s`$jxLF+#5z+KDv;$KDs>BucCHKCIhjK#bdHF2wFhjNJOAbHREV zr!gYABN1{0HKRN8j9O;*T18k*uZSb{LASa_%~S?o4CW9Ao?iI6D>VmdJGByog_L3+ z_g)+Ki9f_K?rH_t^(Zt5P?%EVanK(%0d5LRK}#WHeZ^se)*o=e_f50?It+dO@<`^7 z@4kKi-6ua+HQ>2UdGmYz#<%bPj{?^>@4o&qIspWb0a55s5XA71jwddUFOE)A>xzqp zkj@DOGT}$ip2lo-BDzj>+|9sMPCJokmD-6`D;?eY;P=AeRl`nUQt z(;K?6#Oh3p)B$dIQ7a4a!%rh(+J#v|$3tS+a2g26+H0rcapg)ggskS>Khe8L()kAEdqp~C{ ze?9Q7CNDyZls|1`9Y1i9#QedD=t#EXBZBKj(6xYslY7c7w?|5t!W(n>pj|w7* ze_kH#=z_mDvRH{n<)XS!!8_oQ7Z-`ai;!bxfdkmk@5FK;4B&0jsw&!6Q5>tB$Ar4r zYfO&wqIlH>%8MmzB~jU@JzS|ca|w4H4ga#ipETfoIH)^>v2M*)ABKszilM=1Q*^m( z_af_*Fxyxt-46Q6e){T{*I%5Y+{ugoJRXZ8L<$ueO?s?R{kt*yQ-pDqD#^jch4CR3 z?hGlER@O-hG(ub&B8`4|v95ppc(B(mKKa-G>(Bo`F_XX4nIFsNPrrE8YioY@?)!g#|5Js8<-jN?L8McxAF*<+6$}tB zZ1Bj!*-UWcm)04nyWOr~>{mZltiy|)H zwMnmQD^;ky)k~WaX*F_Sxkqx!m3aRuC6K;EGM*0U$YG2|{wZuRTNeYt;h9q^AyM%x zWe3e~6)Krm3JJq##Z`1b4vSd#q9@MaO}lBqE&RSj5{^p&DA2TWD34BLUklAb)6z-FNWsR->?M7`A@G65zqJEy%k(#a1>p?|K!_uFD@XF+U0x~k?oXr{c|pH zk)kxFJSB#!;V7~B^WfYC1CDdh+IX>9*IFVUsd#}w#M}_HRpL!SP;ea0uULv!#N@PU z6m867;_`;zzPk{P3TIHbIut{%b}9uHlN3KO{VIwH4T`ETiOn?4JtLu{diYI06_@Wm z<%IwP;$EVC-HYvB9M{&qo(=?;=gxJwW^|4=b}hWLW%0U{xJJ9?b1FBF6H^ygD^1F~ zxF@yx^x+~zu;VO>zSw#0eOuVvHtzdT8+$eO+?SM3{-IGfV~N`e5}x&J*hDFD)ZXa7 zr!*&Us@Vz-_9-g@x8~{U6AQ?8!$$m~h;)g6V_>G-purYz7D4U`4+`x1+qjj83LdC8 zDa#Lz55v}QN6^H0Q9y$mx*#!nGr`at?_Mt^`p-XrjPoCRt-KDj3m|U_6lncCM(j$n zl`dG1%#9W{q+T288WAzmGDKL%W^jTvP6>L%z3sKE{pqGfJedxw%=|YF+iqpnNgA!9 z<{}6zCV|SLD9Dkg*|q+BIF?4GUc1In_*zgCXumf~0LM&O@6HTTPnMKA>G#pz57Ytc z`IFi8lzLMAGSOuvj8K*B5=W@!)x`hiw~zbZpT0l`>)j9Fm9O7_`}G@aN&o!EpNsxt z=%Tq;E|uOC11ksJT}!yRN|Ue2%$8GZ3D$5~>w4olvmA9xMNo`tGp*c(@w3w1LLDt+ z&TE&FM(Q1pw^4fvxGvO2KvmXPX0}%JjQYz1$Ht7bJ)-#+8n$a!j8b3`N*Gz8>N*f+ zdK4O|v$zb`cDVB7$yJzL$iNK-IN_@!grt`_nhVa|hSP8uofCrf;#vEJrMeyq&3Ei0 zFpk$MuO%iss6`oC{UR<_pq$nmW4UbA>hIeT^f>F;a9nO=Kon6$pyPG{F?3w*DixHM z#oE}0N2SjlF(N*Y_+G6b?jD2(^T}mhJytG0YSmmhqs*?5=3lOV&FiNhGlu&IC)&{2 zp$8gG>so|pA)kp!kr5Wre{XdcH|R}R6D0?w7JK1BZWBJ2 z=iMAi)K+Qaq1i`PT8LU2xfZu2==aG1up-9f>VY%ebSQ$EbSd^JAPCJBpOt5{irS#m zP}FWLZ3m^xOHjvEx>p8LXn}?Nrbf%rzqn{ANR2+{MF(5 zp31?OZ}3r9k)M479B--aIOrmpILD)Mr>J}Aai1GVZFH-EyU@HBUeoydNE=7k2K~O{ z5ng@y03SCD__+tTM0?{^6-dx-xbQMoXdRSPtu=97ig#4l5L5@jyC{aSydZiR8|0tP zdmrr0ZFv?~m_6bDt@XJyx~{_jioJGxWN7y~58A?W-&|!?uc(1`IC)XnKt&FFVeK<1 z4c6CdOiZKRtDMe&$vuHg^X9qnn*ooybk4px3TNG5eEChO&T%irrZ!8XRN-~VS*lAjwoONqlYC|w9Q1&^usPP?I4q!Opl)+N4U%+REv zWcQ-Ukgxr?aq`c7S=qf%pFU>Ua$_nj{D{177KU94f(1Y9Lzq+xV?Pn=p|D32S>~pY zSj5SbA~D5YLRl!bL^czCfLF9Da|X3HeA5TL0Mj=i!3+S^k_=iOK-ECM?qd|NXjRY_ zpfASnXF@bn1dlo-yY5FBTFd zpBtP<>UAoex*qkCRs^WWdSRfsM*(YG%6C+w>VhB9YjAPmb-8nEEgm9Kj-x%=sGO)2 z))Fpt6fJh8<4D;XwA(?IdHvg{Ys}>`jc@| zq#q+sO0}|pe$ygtS3L496k{-QZ%DA}!|;nViEdwowg@K7>3aEYzap5_U;WqL?I=h% z8W%)}I3D33TUm^o$cS&*g0=eNPSNcO)pn#l6-ySjFEML((~h=|9nemTLJe9v`1U1y z4^XbU(wg^Sy3Z}b1uk)kzHf@ZF}G^4ha1%RCTfegfq z%J@5_7-FiH{uF51z3^qQVF`9Ty1$R*EuBw05@V3t=`u2`QICDja4P{SOL#3nTy2`L zSW||*gKPK8HS?QKf2lD?-PLzWMymMd4hD)J4s-LMqln1!aO%%U_Ga zC(SaHfEbLe7jD0mmj>7cLSc&yPhcLgVCiqSABw@NLWrJ7r_m+LfVY7|0Ky^hI? z$5lh*Ak$i^2|;I^BJUQ*sXTsT-Z0Lho#DE3S=(?wgK&A*kM0>833oj%k(ADK2LB2quXEupn`_-M*;TgN@p^|&cV$b0SNHpLD0)Rj zz5D7l_u)GYsTvP0lAkKmzWVL2KmUuD@~8jQi}_58@_+sL&G&!!KW=k-Bn3;GC^Kl*H+-zEM)M*8Xv)Lla2PKml;lMJH1H0=IZ-rj9a3#|hKQb8 za5rwHQ(!-c<7i}ep-4k}+2xR!<0|j@$7oIzt)a3yH?I)hSFa8<|N6anQh)o?JYCrL2#{*4EbQTh z1P)Z46$8gqR`*9{*`}nREu70)P}%FSXxc@tPAAt%3U63izI>K1zxaoBF|(dSD>jP3 z7EYMo9J^4w}WMW zn0kG#qbRy^K~Y36l1pgYq1~md^5{WKVF2tV(46(4T7wpv-teA3H9qrl4=^0zpEaRB zzLop)`)~i_k3V%g{O`9f#A_&UGaM{}s|^cXuTG;I7^(}&#)VJRf40zJs{UO zBAvra@2rT#!L_^kN#j}=NIYk&g!9vref8yM40}!Wf4nG4-v9jdpTGOvyB|J0((m4U z`+Mc)zdjllrCl5s?GX_;WnL~t_ZZAB657%(guma)jZXzoo^+-PZti-j7vKBq-~RUX z!QcP*=H35(_x8L0*Y}@%|A+71{+}0!%;ZuiO3HB1RqOLoIm)G3{O8zh^An)Ah7vRI|N)-Y*}k z_Fw_Yh{A2sfFFQ(A3cBpyCy_P%!=HY z$`t|knRafG^%Aywa<(w%kwe!S5^cI>-ECav)%*YE!~4Jar2!CvI_RV2JZJ+(tVG6nRmGA>8&DnjGl0>aRpJ@M4J61YBIe*E#oLJIvo6WfMIS4%YPD zDHB&b*g^fAp?| z@|g3r$0Sk^UxBl4`g^&Co4WgGPEL)4{W~QF(F%wtd!A0K8M#YZxTmkh-&hO_bZjGz zmC7;Vv1@5YtyyKPxX^DGMqN3i>c@THk+gPtr-f1tx{_gD*s;HQ(a)b3{p^=sRM@FM z=ROyj+T*@CCWYeiJ4Q3=IBrqwEckON3hUX%wIa$QZ8_sn0k(q+GwdHOOlf))T5R+A zWEY<<8O~2q(BDtFg(C329U?1e_}xdmL%qD+SGmh9IYJY6lvI|tSe4OeKCLq5C@X|f zd)s1M$!q--^qQt{Kf25LO)YyFAUveL8-U+}MC!7PJzhd34`FcW8U z;g5(#=Qyb<6e7{i7@T#aqnSr&{e)t@$Qz$Ms_ErIH9f!i?$3Yx-H$!kc+TnX3xrVx z(~&(6j~IRw4v(c`zH9ENf{oJ90!;m4(* zu1)NhkMu8G`+OwSuFW6pX^{i1(xoj~wJLFaf8unvY#U`AYB)!x-C`|8FRp^jac@c$ zliT#+Y0C+d{cVKQ&_z3)3d)MJP(yE)fDc|^2;i~Jik5|Nc7+;|qJ z8YpK+t#-#+S?u-P3%UTW9{ssIyFUBRt3z3^-u)rJ6S2mOp*>_Md({Ci~krfl7sR+Kk=ut(cC%FbeiCFzY#r zNl{$w+^aYc4v2L4?gsF&HDwDy)4q#m;_2LjqivIu&{5JolqU-X<(J?p{gUUADe^us zoY@HN!3fsfRnc%hM`B042j-}+-r=hkWsZOI^rrxCK#;!}WKQ|)Pi0{~LQ-_iTa(p?;;iAUcws9bDjH}H-P+Fe?N+_7OyMLp8~;yHfx>t_%A>B~1C zwE8~zPXGAfhqs@+{o|YOer*5ID@3aJjx&`Laeoonos+segfUXzOJ4Wd3DDP3Xgna; zT|S+DTj}MuefAfMhZmGc-CsJ4J~3bK@8>`LU;F1@=-U>@m!PcfO*B^~-4w+Wph5GwG5(zPNf`F{1) z%;F#4|L?bdgna&k-y3i#?xvkhi{ieax z{_KgmjBCIcuZ|70d5)~F^VKUqr@x=S`A6UO**WMvVp%>fR3VjXL^u#E^@Ac!u0E|O zN!26#MErmA`Dc$x{>#(YpD6ZERt+Dnt1gG5Nm3ZwsR|10lCC{auKqNWUAr;_{(>&X zd9^Bl8m;2I_OKVcGCQ*0L%>Hs_u_0-D{)!uqeBo)OIn59IJ>Mr1C|XG%W1qebQWeD zO1>0ur@EB6_u_luX#VhK&2XXR$U0wV>EYJjC1kQ zbV`eEZdEbKRa@hqwRh&+oo@^X;1t$76q;=f%xa?jkN&QM4F` z6ueGt_s2krC8-7)sj^0xZ&W1`RC<~T48EKsi@(wp2AaW3R;Eub07 zC`i5(e`#KlIMUWbPyG>Jqtr1Ri3?j1u6^M|{!=sAm!Ez4n@?XxiVp&t=9~ZdM*sN> z5x7W(9G`1WBj;~>YgfJ5WcWQFfFO$N5$Z5ydA(~5;)pwX?;~uYU;6*ByCQ~zRzQ1> zk;K`&0thwrdS?6LnLc|QQUCOZ_uuQkKOHl?`{AeLOhdKFkH#?q1h*5aNF_O1+UXfh zwqIyCFAMBKIT6+Yi^W`?j zC5FHx9T%lqeW(>^GSt60E11l=F~-XWstlHH4q82z@tG~ZicJz=q>R<-_lbN~_J$ANvpx$xpw$iVKg1gdAw(aRY%dW>(IZt~EI;$ST z>Z6Oc=yna06kHGRN^{}dvHcLVS%R)twE7U?C%P9Atfje&@5cxA;4GiwB7qMy5~0I|Gc4#{+|U86%GG7cbwv1zR;brim+T!M$?ABYPw@nw8GJRdSPfSAHn|2 zt(U_3o^slJz7Iv<>B~C1D0UWv0H)QfCE2q04{j(KWrm-+`}L&1`pqxMP@ivp|J}Qv zuC4IuY?SOPO%yKE&=wv8A$t+N^Kc&W3-K&nh(W26d#wGyFJXpG4G|Zp)t#{s)$}&6 zp5#}*^d#TC`{BiJrJF_nB#+bgI6auzuuO{>OLke)sh4)Wz{J;ldSt-QD`;LAV%vAFPcvFa~=wLwN9(Smcp_eCY_ z7^T_z)v5R?^iBW$zUoc|ssKtVTjZ9AvTCHbcTSxTl%0|O3m%SFZ~NJQ=WUfB;@t7Y*+IIy zKm6{MIf{Ut_IjN)#&u$+qG!`;7bx*T;bYadkt+8P9r~#r0WWTgqkh)Wr>f}e+hGUd zz@4WKoT0S{@)KCU2#?7ubUpP&qXf)H1wY-PWP+8gjpZ5|npvr8p*e!{lZ)D15%z=w zDZ6F9I0IrBKK<_u!y&x7ADm8&by4nwx=)3gXl4zb69~-)ryYu~7p40YBnZ6i0zgqn zav@cGgflHMGw^N`_A!H_JQM5GuctUqchNJgZHLJSJt=^ibJVAr&c=KUO+f2rZ`cS- zdZNvxF^Ew+vEF^8bK&)cSFzvr=`|n6Q<=p@yRSO|>3%sLzk2rl_ka3q_`mMG7k3xG zG$;ae%HUcRem4#?%BDLuP9t3}%}($2g>#Wpe&@WFd*GvU zdUkMmX++;?!emcVTIxU4s$=`H_HCi1wo~$XXdCSNdH82)ZY@$yMHJ}5GF*#?X>c7y zvSHUM6T;v07clGecUsi)Ra?bdv>-E9N$jDD{oe6v(fsP;B|-kr|NiIq|Nc{K#L5mC z=ZC5*2<*7C@ww@|6(J5 z`rF_BLd<;o-M63YZ@&GH_dnfz2{z1&_V4UY!3BfGQ5*T*_dWMAxl2Jns0n;z)VzdK zobW6snw$9E0V#0cv@3=A@;Scxh39zl$G6}Aq@gDSc57m^IW@^oQGL&Y-Z;TPnm80z zDl*ZUhKZ}n9!}x5c55$fs8e8fX1FQG@cBN&WlnAnowDGFsn!~PvTu8y?Bhj@fAeI) zEBq*~7!l9L@l-kPV$Xg`P+Yfig{$Qh)mN|%EfT?=cA(llDbXA zi!%C%DAp&wPrrDML=;G#X_{FSdcOw6&5y{8(M|+QqtOx&B#7O=e!P$SO8)1^OSCL1 z!N&=i3R#4lc0KyK*DQziPZ7NuI z2Yf1574{DJdq-tDBnp*I@JJY_qTJ5hYuK(O$j=_!&Et8qIMTqK*}i(QkE&Vx%!@fp z7%525)*U%RUZ3!NY7|e5iA4@#z;#i>uXv|;d^<5}FX&bk{i)4oI|gAZZJ4JgVvoYnjMQd?j3eVW8w-vN7}&l_43_*{qcPt zmC-(_Z@&BY7h7LDJFvU!2bn-EFJsZv#`EJS_nEc(Q+T#J#hGc(EGy+-1?tR&+wOzk zGs_D+6losP=8w(etxa9ntn=O+QxqtyH|gnsp#k8j1}?I-rlw?Dl7$xOp9Jw;Ps zz9@LtM2m1c+^hX6ScIjA+G}yRYZh%qn)0s`;lZ^b*Y)y?KmYPE2zXh8{LkOK`TE~K z`TEb_i5dQ-lJG-?(f9AZ|2eBmL7$x4i4{%rtqt+fmJj?wwDWq^axkLUQ;b{@NWs(u zK+ctMa6gK2p{B<}^5wZPp>6muUxV$T5;KI92HwD*iQ-D%#OFmqE1#}t*=I8#o-sQ-V zQ+3+J(U8{bU@FnJHoX?%J>43!?RqnO$^wz*F!9wk`|9(bKXLu94)S_>;{*_jAiekn zR%uUb;$f?3Uh?s9oRx(^-%xpID6Q=-34V93iW6NawHyl2CON;{X`9dnL?d%{j_r+* zcoBI=i5-Jg>&m8msK^DiH228LMB5Cc>O>DpMNTS~P-Olrw3TuU7FDyB=LWTEN6zPBd z&x(cbzJ2%pPd~f`>wf?BAHI8w-OTszeiTUi@klo)&QgV)bLCDmAMI$bK9Pm+x%n@A zqN&!_dU>>Gk0zQgmf(~+QbI@0(ZHXOP-~dKC@4_RJ%jR!fXKG=Jedx(w7Yej)s9+` z3U#9;*9~fW=>EKZL{h?M|CN`f^PWmT(z4iC5||TcbXuN=Adt^4b*tePUCABwtt{kT zb3$j)3Ho~_Mg$>jO>UefYv%Di<>>HMIKlXwyJ3GXYIIJTF~p#Tun3;_G}xihAZE4MtFPn-3ovzZ?EB9PzW&e3<&;I z?{=7vKW5AeSs-_chKVe03`^(~sBhh#M>Qw4*8|vyZ>WB^pEt_n@1{Dpyk* zf=^L&A<)~9D>q7tM`=XCvsQ|4sPE6VVfXr2xjsF24I$Nnqzp=n2t#Vwpky|afT`lS zH_AF3K4cdEm zeM3S$SMDK}ew;&>M-xo%XtgA+7q9WzuRr~pTh0Cb|M}C~H#qyg{i|FSXJf@vr~GsU zDzUVF}>=W z=Vftr<9;mKg|3J3JvQg{L1yp!VUC3q!x0=71k>LW;{j3Ln6|@5#imDG^O2wfoV*;m zrWzj>?=EOd5`3#ba+}uIs{EZs$7sKzv1PaTA)3$f6mr*q}kEzks1&HygI+)AfkRuHr#o%WLN&OEalWYF3$y ztK7~!+R8xTeyQ*tuMJwe4AR|9P&)C@DXu7NiQi5RLU8WGDJebjj@ncwYprNCY%I^8 zG)ow)&tKhkDzW_AKmA_Y=FPWXzx^2mo&N8)H2weikAE%ne(BfntjF=33M_E+uDl6)Xb&J4hlSKQykbxi|DA}33d%(c$2Go}7SG+$MZoBeMH?KtY1*}w zV{a%6LQW|9R+LJN<_f1-B~pvd!j3P1-l6;?0`RQ5XT$z9RO9_0Xa$ z$RAD2E4bJbQS3>NoGvucdP72FR&3A_97_V0IFF}JNela}frypjwFLE8=#jjRs84;~ z`Cp%)qd(5VF~$oC79Il;HFit zJ-<<0zDGp6*&iBis#+Alwez+5yfE~&A&YUXsWlk2sg@Nn*IO%GWHESsgdhQ8V-*jE z#04W}26wRB>Tentqp7vG7Y2QymdijqZFXP&*GFQwJOd!GJf~dZyhGuow7w{Gv2(X6 zQgo1OS?P(0$C`n&Yrj==#Lfz25sB192klXzGX=Zn?yb6$gM!uc!YHv#&Qv+XpQ~?5 zYPmFh9k!wOPe+Bn{`5mj?2qy5Z)I=(?Hwg30?|L6Jc)jYoQTgTZ)xqcTM=04MSx%TZww3$AnAO>eOE$f&CNFcuQ(VKxT{K(dX@y(J&|=bhT;dc; znuR{OZqhB#oR-4lqX-|$KBl(L)X6nOVV%6@_lQ{)ztd6h;w+IbtM6OiUc<>D(d?)FK*fq=?S0^@00_cF%DO zN8I>a;=e1%;E|&4CN9iGls1xl6IkJ_k3Bw(E&1sK=lr znbU7Aa9CWBe}0fZLh|+0{|kxu!EqBsr`_I|VIrkxl&k`UJLcFHUV)b~otA;p#9@S8 z-4IAd7TsO3Y7oVbdpPc9$<=MeOIvlCYiuGdp7Z)n^8jN1k94R8k3i>h@uFEZxTSGD z(GWDJR;U*8B&`cWqG2ekp}(P3cSI3$?X-m-CFDti8kS4tszPboP*4z5^d};DO0N3T!!0zolr3X$&XJMo>E*v&~VruE6(q2rP&tg6jpAFmh9w6MsqG)Pi# zCuFDGsX)9s-TRnzY42AG-K{nn?O0>;EDybFzZ8x`U9l~f=sL%UY1BnqUp7JS-ws6O zg5h-4B!!5%X)~YWz8+6j{V-`;X!4{5lG@OF?gqEvLzE)wdpaIIBWm{@eQoC18jor3 zR1!I?!>I8xZD7qVNG+;Dd$gdF(Oy>cHQJ;=3&9}-2r)HZF*z60hw6U){oJ@e*V1BH zJ|euw2)O{DwN+cv6ORppAfs`^O@BiBy26?mQ)p}T`uy0#>;(a<Be$;}AD$LsHnSbSu$^YELdI4+UF2 zL5*DlB_K4mrL`w0wjd^Sr(nPvDXHp>RlU6Lx962 zP{Rzb396i6IJXoi5Wl!HE?|eCRvqqff6m#R#hjWFr2)OEM=I91xi}xvAPFkugW_PD zPW!=2VjGI5bRUFp3ms3CMgn@ZG=m%(qoB9DPlMSXY{Qh93--BMXo_S)vtoh6=WZK) z^BTW}PzJLu>U5qBE5K2__E~C5h@*7@D%1hDyG_KTG1TkYK^E4D(XmhX#&DcUK_{fC zYbIg#c#!EjgmU`MUXOI62?oDW4EW`BPuE@R^)Sur5StNLKh2PDdj&AOHAyP zFvNz=u-a*hf*K~RX5ARq+_~9p(z-VA97V=7n)xX5B9}CBQB-fU=m~{<8;;s^0WXK~ zd7$|?ufyeC^Q1`80zNJQAz$$VYaaUm4k(Q<#K?(*;)5%ec>1B?-8i8>6vR>T3I0pRmtEU5N*54q(`^`6>eEo-a-@bqU z@1MN+Uw?k{!p_T*Sz;Zi@ErT&92Uyagw>{1uDUKw(=I-rGJ+MHl-poG`$kO6sTRlcj>|Bqu7h9jyr}D5dNgCvlR6%-ey{kv7-5<1?X!S%@cIsA^%k1`_T$^b=qxZ#70MEmIxByj}sN7A}r zS(`S(@rajFmNGzV7{}?OMa}cP9s`Wsl^#K+d+dGB{Lny}Q;YGHQP4+A13vrYUyt|S zz5U^ZaH%p~!e0)rH0r>w_uTwy)i3RaWBQk>Ncgs8M~hN#(RAq zn9=RQ&iGt(aJEn6zz*8Sd^HsHW9P~}Jo|7x9 zDcs?6bv!K->smPTUNfR_T^F`{HN~=u;6~hio(NSb0#(En^ts9})RBtBX-f)=IdQQp z@GdmV#R59#0!0|k7rjEcQr%iYRNoHFrIr0T&yQK+caP@?H(ZlP1Z4~AO=Jqqh++Vf{4%NkiWmc;J>pT=ZjWr&(Zy(t z>6}jvW%2RUwSnO$o!+8ut>Xgkk>Z((OV_E-N2K;fC3S43rM)Y-Te{wa3>CH7?Zhtz z2GGhNSXsuOlKvN8{_2a5*M#4H{==JZKY91<_iw-c;iY$p!RghoQ$lO!JcCvk7H6DA z^!wDdYYIg|uiCEm7RpjM5@XfdyR9ghVf!;$6M=!SD%)U-d2>jS$pkoVqinado^T@N z2C5#bq>aw=UW?KeJsK#0Tv}*~Q71N(&*^XNN!;YD3ejRr*Ez(Pi=j`n4LSsAYVKM% zj&>EAI1~&HBu$ih*RxGV!f#Y)OcYe>*y4G+DS#-%TF{7_70Kyv_cm3JwJ8!_XpJU32E>9-k<9{D<-Ey@m?M()h;{}lp%s1=4y#ga zFe5#!Z>NDsnX)#W2~ns zUV(odcAjX*#Qs>3b55(|>~ZK428zWuC3?Y2Y@@=4475vk(Qb%>BKmQF^%AdV?DJk| z%NIC2N<-KV2b#Urpz%5KCis>qVnUbbZfUyWW(c@bphuc8J}74sTNBW+TB8oVDBKd2 zGB(hk0EaNd;>@vnt+=CvWEH5C!MOl0?%;GK-TpqwEuGFvBu=(PgNV?D>k9i?^_e># zI+)EU6bYF;6b%gL>UbU)rRK>Q=D5Wo`_uOv#-T6QvOa?~Vhj4QbF*6;PIUf{&hA* zOu8}GHkhb3^3HLtwg~EWzGb>kltMGcS(hUE;UYaJtDH(s`m&%0 zYiYwH8AlF(B$}bT{+>t*V!Lz(={;wAygu_hv0-}dtvIyil6BLldl=2|_rkT38p~@b zkflQu7s;HImRO>wkkXDefZMP09+W+st@+4J)==;h$y9#OCumvhvxtZc?uXcrg2K8vsTM^=xaoS_jLB+bJUu==z=z6#)-D4K;?c> z*SnW1)9N(**)>vmnx`>2>;mk?aSWW(S^A5j-YI+KIjcQQSY(Z(x%RZuZ*@t&W4{_#@5 z4;k_6??iy#{_x^nNeM-JTRd(MJ;ch$_j6bb%;|hp@({S4P}U3KtEBhwM~&KCTZONQ z{xamLG=rmRhqfYGQF?ya;M7B|KMFG9-Hy~Fce7cQKK z82whM6dB0FU&z4B19@XK_HjtSuUAMX_(TRT2r8qeT*3UlhK>GhxX>1lM&!2&kQgKt zV>tUZQgip?H|An$xkEtfIVL9k+K4r#?w zE*JXk7$Ax+q90jtKh#qz=+LPyKIkoqhDtS_V;pYBLqcwxOubiR3r9=`8oGn>qHL+` z6K~m-CcJn?PwqnV#^L=zFBguvyPK)e8m8#fC~(dAz_oakJy8y&ygmtO$a_wj;b_mD z2l9kb;ks$r3{+3F95>d9=y)(*G+HC5p-i+t*m0()<)^VXwWPVIuFu-lx6mwg-gU2A z&@ixqXhp^dQWy-!;sau({oBoMQ2HDl%fTpq)s^r~8GWx~+jEb}aQ7EArA^6PVc|Zs z6uih4?&5-!&t=pSh1V|)t~4A6q6y`-#H!~K;VG#|E1$wMqLjk=Milmml1)uxHmVev~U@YC> zYL>W44_nas#{E$bDl{DwBW91?2^rvDsprqZ;ZdnqzVt&^ct~14!YR0YwZ(-qz2bLN z(8FsfpbT^B@0?|xmTf8|su<7&In6GjkVJ>~a)@FS)D=aY>g{*DQEkTE=yD1fncJe2 zx&G2t*Deii-btS{FOA-@DQuG+mE^CGGlnJf&~twgrl69or@&ENT2nX8&OiC}oey9f^z;U`~=xJ;nZSin}ae36qQMJ1_J&pjN9 z)b19$Mig|gxg6p}3hChX;S_#EcQwx2b-DwEc9D+*L2{9dv1_O8d&B%xiNh8Y$6n%) zPk*7k|Kn?d;=rPnch)?SvE|CiYMUD_Ppo1^*$=zBuCs%%PGa)I$PB47QlwLt)s{T~jO9WrR$`?-6Ee7Obe}?`E zVa-{D9`qCEv@4CXo|=8wz1&v|+^ZhN-2*Xa)9j|vXjIan0v#-@ z8v8(GL78lUbd6uh1F=79!e)8ywxU~R_>F>NB=-a`n}O1>{~rEBlTvKl2;dMJPVp_w zC|7Z4AGy zw9rS1THn5*Ea>)pExY>}e52jItn8FVG#&(Z^6sQ^!HKxRYvjBSrGLD=I4U(kXzF&C;YDXN*NTt?ShVbu4xt7wy4S&q__vQ83NZ z5V4EmF2DuO^m%uA_oY>@9ZuB{CRK3>5ejNv*Ac~X~}5-l%y_qo#kBCqTr%sQbZ8kj0wTPi(62KrJhLG zrlCmL)Kd^_kSr);(FzdvIJK|Xd782%QD&;A+YJtt8ZaBUAM^`Duq;~RU5K<4Q)$ATu=o%1(c1U+MwhUK z@@gl4)HwZL|M~5^KfHN|0Oq?t{^>8<_;Z?~f?3{ZhoM-Cu$ow@fT{7TwSqhCek)u0 zvJisHtTAoP`*s-I(@7@yayzavl{0Tox9X{Fz2*{qD@*AqotiJ4H-w$_$Rc`L*}K^) zWgo}FtW$|KDhi&V>bsXVM8ye(^9OAp5yprV+*3=gkS7jxYK90mN~&l*;89Aq^>rm& zVdo`c+69`?a&=T+Tti6fZrGF5pvU&*`IWZ)*g2!}+CgJ4bGfMHAnaj-vZCmGE!c`W zdj9v^ra8@q`3?<5Vb<3{^y6&oV?+Ol!sz>+-T=D7N`Px#TD4B}3m{042xb-(`Sx=v zZ&@!G7`A8#u!v>^D(5GcB>LebVaC^P9 z_?pJhgcD_d7S|Ht#%#vydkN2dl&Jcl%zWi7G;MON;!`k1gNJ}|$0Pt+bZ|9$RV&`3 z%~Xo8pDg-^}77f{pr-kuPmzky7r)!b)w! zQ6-54Di{FG`?+gaus?k&xkfQPB!vuZ6)~iFaG{HnU87`nzPg7^k%pjBOAMM^qY_WnY=s&3 z)S*B63HN^KkpzBn(9VmGri$x@MI~}f`?;giFl7jh_UEm?5N3#8F-fWQ-m6(P6e0L7 zy5vsU2FEP~o%=!#CeLv08g6PtHXBbDkU^gMo34mV3klsqIm2*ai(5=n=uE=#)U=;| zCUl@?zMJ17?WFiiGQ-2%R*6S!Y1eeqPAbeWcP{xYg=KqN6e>BZh}62M2NG17JMyre zUTQnCRivQDAaY4=1tNr!(k1j&ACb4K_cdsk-X5X#Tbx{Lz>4!CVz3V!MKQISZdaPy z4}nK?3NCI3eaPM`FNd&kUbEYKQj#I=qjwVr5^-NapiM)oHNC?s$~dVG?!a^eypFu* z?HX3-)$T#rem@b-(-OF@$I>rwUvfZ_aT4u8)TvFxs_dv!8*KaF5|1M*63t>Vp6fEF zzkovPBOGMyj)NvL#Rig2xOkSvcJE@!kAb~HXU>Au`bMvxz+(JD@zd>HvBT-xU7Ns= zV|mAkPTr!R&UJ+QM!{XXM314lxp3V*gonb?+Og&q+}H*Dg?m9+&;8_77{a$equ4XX z?jn}}g=(Je6bu3960$;rN!#_>pYUa$YwvxW8@Bf26vaZUk;;U`y+^+AvJ_^~Zw8yF zR#pxWi51c`H;4_*-z`M_`W)S1NFjV2ZjKLjt@JZj6P5t-u_Ga)yuz(3fpFbI9?6eQ z3+7rG;q-Bx;wVm2r*Xe$rXhk5PI(=buLHC8BXfs56Ms6km}!{hrj+tU!6IQUAMh4m z6eDu=Mp2~2XKv!df%2arIGcMDy{}H=9-Kp3TGZcw1%y+t>$WFi&D1AzTopytFDsy? zPvNx4Y(8^Gs?C$MG6vVeXu2hnt}wirIqDG|hMEGW)15i&p0Oc_9h)9_^h?jQE`5&g)C9eux{gJv}{zg(A>l+UP^+Mqf0AIuT3}wJ~r+94f0tyl4W`gJAE0 zBmyb{*tz;C$fa*SgthiiX{6&8cSpzpiOWorBpQ>{;#)0jd~t=k1l+PPvb!}ATh1a{ z1*v~@#k9CXdmU3bEbGPm)9>H@>Fu|_r#D9bMrYA~{PFE~Z@z!~3&~sYT2C)zWa&{= z*46eyAU3kyu1Fu;n5bE6-mio3vrwZ!sN8rh3PsuxxVOHPXtZ|(HLtxlO{!hvR@!Pp z-3U}0<>VEh1n7=$mb3KO6&$r|_ly;9JTM`04GZHnX%f6Zk-7PX48z&5V53W6YtA!N z(;?7b5Fif1R=$TAwetlt+Ro)Jm#N&9(zGF@ukl6pQa~ymKGwYnx(aApaez#@hOoVe zFU&e=VRquy;L1e!LVqc7O0xBMh@&A%ge6bYf<=ZOE8H{llE*n6uAr2moG8>Np$HN^ zwZWUE`|axXR1)7Y&o~EONK|&!hIPH7@xYWtVPE@CNOCF)QWtl-?Mxi~Xc|P+E0@)E zoC-ez`ST8(?%Q#ox2br*GQ?|5j9e^DYkNz_vikd75m+l+o5mHz7|#WUSGLqZKT2f^ zP?gsfs?t+lVw6)Pq`JyNr_#nHZ7aoyE=tZVP_fSBn{&neSuep?YM+Ot*<0W?Z8sc{ zDxKb`Dn1$(Hynsm|Ing~Rp0IyMF>sLC!(7bCq_N%=0qMd$3EILRJtmva7n8zNbS^fYw2i(oqmXWrj05^A!fnPi-Snl#ZI#;-DX7t#i*-`%S?wc01kRKxAVr@ z2ZXPu3^l9xq=I z;*RgU-bC3CkDVkKFzFQH{2ax!o%3n8Q4}pMtoEUL24Vk$52{{H8=m zJ4YD)!T`fA`#7^k*#4TE)fyc;KBMUAK9P5v!ok7~$FT&`y--HI6a))9M!bHRbgptg zx4nA9Ko{&G{5{?20wZV574_pveSqPO(HO%p>nuG(!6Ne zsjb8j_J)&L6CM^y_1+=19w|pmT=r^ME3ctYT$%~(KD%oi3klLuXu1W2P6fs@^z-uT z(1=m-N7lVsO-|Td(N)ix@dJRV7o%*Vzf-mYFYqfPSdxSS1WpvMwWP;c#hLFCR}ryC z+bOWq^zgPV3u?I5cF+i^-?KMq*Pgq0f?|_qokvapw>hiKj>^b)!l+1%5*lz)%9g#%SUqjx~{fSRbLSppIDVbJBU(bmo3vx%;0|@MGkD!te4$vzgaDc4tyu@Yr z(u`!NLQtRxYBZ7k$EV9{uTueCl@@Kz=)VV{V4`F^#c1_5IXz_|=q=Ntpp+sovQw-4 z)WwNtI(*q3X5$)~C&!8IS$c1$mW5V!>yOW|0y0{AW@@G403!^KgGTiLL?eVmQ=Fn_ zqp`7ON~3ZU(ozIeMD|pSnQL@yztp+cMP>Q$THZ{I0!*2^6Qp_E!_jfodm<)!T_++1 zkD~d7GgODohbpM4`g>&D8Sm3oq0dF5Ff^EV9 z3Xxim5OkX&#mm>z!+|u4R;Wew6|L9SG)HIJk~N$S3?_&!owlzfKrI9>c4-xHG)g8# zO@|gTjs@Ya4jBRkc|Ep}D_U}jS6Ju?U{KU8Jag(9b7(@iO&gFBfzx4h;&uT%tlR>)`|8K z2a_T+@NIh^l;>z+oM*Edsrgtll{%V7J@~+>MLUzbN~ABS!_%jAeZbfQTKn9NA`a9P zLOZHh8G?BojZh~JcQ;(OH1~B^%)XUl5i3z9>l|5d5T(c%jyf>cF4XEA?zUVls}dRFstOQm%fpZgE`-{-kZaK08wx*LsB)8-w=3;_n#B ze)(CAB+m8)spHxrBrMcf{L9(;1C8`(E|Xp4(6>^7|dB7E-R&JJTGjeJ89EI`Sf3ljo}5N)vfRE#w{5%c-QZ zDPj*niP{uxo2EgTSbP8_gIn z7#zwj?eAi@vC=qBN99-XWgm)KBK2IzAJj3V@LWYGa$wdW#3syx-8-^8ev4D6rXH); zsMNbq-)&1cyLt5VsotZ%f9zNZh+Fk#Q{`q-$uDiF@oGI!E_Kt%AwLzdW0a5NpxUb#WYMPl3yS5p2yt;gz%0?EggwDYxz5^k$rs-bkZC=;=~=EVO(^ zh^kwr1rpTLGoRILkd!TaN>U0BP1<&b3!M8kC{ASna4L0PUVmZpEi5i^_!lI{T0u^-4TaCv6^d_tMAbj^ zKLWpbww@E_OUj&M8(1t0^a^w~E+GY-E>!51wgrJjaI{93ztReoI(iPmv$n!?!o-`6 zQ?uQZE7}bLoYzw~LERoFC`ixs=lT_8{@Y|c87*Qxfe!G7#>{oxFEiDzzI>&%@v<=e z{Wt&d4~!!X-A@ylpr`G&`E1)MoTZ_w>ABfU1C)gob`XIX#o~(U;kC6aRFcO|C9iJ-PhbJ@rqL0AX`ErwZ8lHk3fgoH$yc9+<8<3- z($<5C9|=x1F?&iGGiGv2kzKDA_QNNrRyxxj>9*UoJ}?)}${b5nPAPZd^?puF%B?S0 zIZdsQIreg4seN!dvP6}O7i-3sE#$3}j0JrSn8j)_2+_EEo=3fInu_&Vs3VV|&^d)$ zif|K0X;=1c%crMl%b(fC6M(BoZ6PTsS;1EkNqv8%Xja_09mD3hj$*}a*Cps}>BGvg zyMV3-rRt+8_d=PXUhJaVupyo*s(qcE#^-Cvbq?D496y$u|UQkh}3=B6FGQar<&i zbdvRvsieedgm#?Pt~MB#fX^`$t{od53|Y+Spq|Yyp?hs@C3AcO=Hopm4mLD=MN3Ds zLRIyRX|OX*1BTj{l4*5u?c)r%N(H@3zIOq!>Qco&-TM!~p(EK{i^ye9ZF4(?EI}Ag z6c^99 z3I*Z9Xb%97Hs`4i!t}1}f{^hJOz98mnh?rOa*TreP+${ z!JU|!;f^y?&woXy4UaXPcDLr)`lM$pf}~{fMM)}>{2smcq}95H7q~e5BCxzlw>0{E z`sR1PloiARyP12y5|IM(KzE7)Tv;X3KB|B(Y-;zaEu9iIu_bG!DZtniL%C%LSxif7 z&MLxAL^7kMtO`)Pf&WA}LcL{aIguZj2 z=PXm2T1fP^DGUjmM+IGbx<#)UEo#~UBA#CR5&|H+<8RHS@)+kl{!uv(`hu0B^Upc? zT7tQdfGDWog+nK1+lr{ydEn4>Y`4G#YCCw)CIv;$Xl5+F5M8AWS{Y(4WnBPQK&Zd% zwn59M7QTY9)_|6v!Z=lynh34YQx9j$MNDRxKEpO2a54))ach%o)~#yBaoDh0I8a>6R*leL5w2nc?ujU zk)69XI9y;jPqDQSk3YC@5bYbBKw(=;w-LbJ=QUQ3zMQy+3Q{V+Ol}JVKKk(ip`c`< zh`zag20?DDPL>AloX67JX|o49EQj#k((csTD5;+@6y?1=JzD+K3%w`IR*ly9ZN!bR z*_oZTR-rgogt+cCBOS#_N$74VOf1Hd*o`=pV4R~#;V%azxV~$;{Hak!H0qf5Db8e@ zk{0`0iKm1UEE5J^nF=0Vct^ve&lZGMW+@{o;Ei51aXx zI>L>Kt2Ut^hT}4*EH?#!riNjM^BA=2EUt3y=3*~3t#So95lbZ+?YyLHW0I%v=58Q( zYn$zye<_oR6a-XXgF<;(Lh>DfwW4>Xv1^Rn1oFK8E7CiQpg)FaU2TtRuY9N%L9V9ZD&Y-*ntcco+v@G>8QIS?0vggL# zEcF;vgCp^t!{8!Mz)<^X9nrC$cvMHWruME)FdfoSkBGp?Co0qH6fj@ z$y}S@wpU6$PtE^>Ux(;861tkolhd`QD{i*i(zcc801j)67S1bd0tGY%>X8K!vwoa9 z`O9#@jNzXqc7Rij*Q_H-sIOvL`lV&G(Gi9blfby(=GnMN5N=lbK_fhCVl}%sKH;b( z1d%Zm5!%nD>9Oy^hH&S3Uhb+0Wk*VPo#3)YZE_u-1rG&zlRbr1gWpeubj1rTPwhue zry(cWwwRB0!3eG8MIS*y_2o`td5zjpL2iz_wYLO@>DKq&P+4lNUAUT~sH0UZ-uK7| zj$8D)!H>q`ozGS1TA2qC>G!79H1Rioc?UUV*U98E*zwZ8*Kq0 zkq>x8a^y{S^dP+FF3tins!KGDCUH=4x=2q;@&~FdoB^OnWDYzL9e4Li?2Y1+f-t7i zZnaaq8#Rv2oui%l;W=PaC-(+8VDeMrd`=M)9v+$E@bcKLB3;__ZeF>nwOxbXC;-4X zTFY81^w4rXo}w8oZyuvuq&*Fct=>6|DwKk@R{Lf3jzyrpbKd4?OQhyUlsD&kql@IZ z#pFi84z7?cguJy2H_f{sZ{n))bXogDv8p+4!MGDxXgX0OBCW8LX@2apGP2LRmo!ZF`#Gnlgzpx6q`*a8?))zSK@b zLrBC+Osyy`BnAEE+Fir_q*PnBn`u>euIbi(RaohO+>F9krF^^;W3A}` zW?>f8Rk&1~TXf;JXlHvg1`r4V^()D=RfpoL!gXI1hOoW=CsA-whhv}YS8}5w6OESjy6KEXzQRNez{U01eimAHskBjw zT^#dU3}3i)5+`&mJ-)@*zbNI4#7vFaBXFQcarlQ1wnJ8;+l?}k0xJeN*QmFG(h|2; zdNgP!-UB5hA?GOk`^ZBW?c5z3+ARqOSElZug-hH%D1c}!>|PfOkrv^bTWkElo>`AW;Q&Dsd+FsuzE{;l=|NF1KQN4}ibOMP8Dl7>aByF+ zFxWj5yHD;as(=YS+=udPN0eN9k+MSlZFtwB_)ec_*$USoKxOoAnX~O8xN%>&HD!&4 za%-agrchK~gi-ZGqV|Y&_zP96sl|Oz8LEt{`6`IggEval-bh}NuASA>m!@}4RRje` zhf|-oXjQP4cyo4++VpB&iCx2>oh_V={2hXgL#RkgT%kQ}LEG_B6h5ffS12hEKqJ;s zLIZo)c3PUvGm40KY<fny=oeB63|gb6sY~wQ;ahcz*F62XV5s7~~=0 z-h!o@)6Sn7oGRqy)_Fv2^pOk*X5NNYqIVB$8usmiuhso=frCEsOM) zkaXXRDWUAb8_Ko5du;UdyUOavHqs2jI3n9ZbKK+a_){oqj=W#5E-`-n(Mya^pG(UB z=MV4y{I_?Mzv1$$xbvVzJ5=#CooEUr1n`(h7Oe*xzCSmHdQGZplyCH&GLv2+AV%NsJA5WvI-!l4L z)9UjtLZiFlUh9Yr*GD_vQ8A%}t}PR|80E$q;VOjn??dprIz;~|Uev#EwbyK=Zc?vC z_*86Hu)aCen^bUxj!hgoO;JxCmD;8Y%5W4IRSHnVs~UAe8ii{!>+V(i4)+ex3jtFj zvK$Ci!9)b`J>CcXe%XQht1n&)$GrLByLbQh-`;He>D@Qq{Ga#V{qcpogHmMnoqivM zV`rHf3b=1vye6$sLKfp%f`O*mZiUaOipA@F~;#t7)sf|FAqJ>AdsD;UnstO69Yj zdZvvU6;1y1T%{udC(gcVy9i+w&)56h1ww9z`U+(_l>(Si1vwRGmx$P{yg7DfR-+S2 zdw^0v#ng(VqJrJyM%BAwAeWcJ++AaO)(tJxQ5Tn;)Fc^Ct*WWwS zwJLdvG6`#@K9zE^1~AyGx!dY>sT(_vNcmOc^dUS^P=9R`4i-nh(9Ob>Z*rQrC*uK@ zjAW&iTKJ(*|MQ`8LA-Hz95gobYP!GpdpbftGuQUg`zOVC$ftshXi?WPcEaY1^DMn} zK%v2lBj(XKI1gwnC{_#F7i~W3&I^~6*P{{awP0%JY|>Ih3EiDV3|sGSy@+a!ozv^B zTWu}HbJi_47air`3!epRuRACOazO3!gZ7oE#XGCj(D`v@G!6o(D=F7sT&atvU^FVU zG{DZeC+ zxRn!;LX2JM(Gb}v7ZBAB0upXB=PqQ*rDdjphgOkC?p?2PR%*ehdDRLkL{_>&0`=W6 zDnw$NfHoHlW=3sM9CeYm+G7i&GBpQlY{uSp%=c&@9L;E&3qlEWYK2SBrN9MKrb_?T zkSp3B{Y2_t!)Z=q@l~)QFbf|=YqUf+(Xcz71Bq>F_6mN04f$haIz)aH;q}qtZlVb8 zP`FbzF^@}!9y>$0ZZ!7@iTk*Ex{G#ZQ#`!%O$wS9ohJ`?^kbm2u%F5e(e(|#TjmvQ z5AYd^0$osj>H$%Vt9gc-BR-{?zOY&rJhiJvz0P*r{WRKv=Sc#^B7K&=Q>Sgv-l1|! z3q@nBz&uZUjUE9nM?vN`6^^=|@j8dmCfZQ zF;)!IhL{A=kR={BH9y$7`ly+_#ou>o#p`z8Mi5VIQv)Lsr8hi~IuI!kw(qqk#k;4# z(A}*FRWP!&Rjq2>whL-v3ePcQIh1#&KBU}{k}0>iJzf!g4x>a~GF6?L@uW4bhf?-f z9ODNizi|rCuqMLEQ75(sm?hjd@xX<-sPc=)(L#9y8>p5(FILv$A|a@)k2Ecd+nNr_ zLyXL3PQH=hox*+y))=(KjS+5ScQ{aW z?g+geNLy-OFD`0O+zjKXq*g}ho|a>D_;IV(819tj=k~Zq--6PCcBY|SPDLX^hP1GT zp3pi&uqDj8N71UTjlS1AzQQ;kZRAWZ?d2!N%C9?}2fq~JLF zC_b1Q8W9Djvb}COb2)(4+D=KUK&hjs+fAHQF%97QMCpT`fc^|*uJwu+##tLzy*TjF zARO~kHCB~Ylr!mtFAAa>JMqW5uSyB6QPf;0*hOo$Tkja1yX*P1JG2x)vP+o?U#Yu` za8Zwlaf}z((1v?8$R9N*{`{kZ%!;J(g09PfTaOX)P&hy~FtuJ3pqsM9)mrwHw<@cP z*x(AOMZ-!u)~!$;>RAg$wjv{@c;mRgDx*A2ZC9zM;D{y(L-}=;tXI_nt(ETC$Bw0p zrh)P}PKslSJKs$JNf=sCw0o{E5N55lAj)F!V(ZA!)7BLx^^N*}7`V{ zuRi@K*7*75_vVgX@jbN zmocz;^q@G06_nFMy0o>T)%)RJ7390K_gIgH{n^k@T;}4E`qkKc6jS{Cs(HqSySVTy zoe*BH8oK-Q06$O*Bot+G)0`_u(YVtLtA;~FQW%Ezil&-v|Ak_jI!l$`ZNeY-q=M-!xAuSMsJ=22X>zZ%MqDq{cq zP(H{^bT%B11vvR)HLV9v@g?`iC=lVmcq{6*B92j#e&1-PQNp%){hiaES^E(F&*2tx=N8;n0_SrJd!lE5A>P}6KQSj{#N%{?B0rD=nJ9`uQz24 zff3(ItR5SpycQ}tr%;Xnekdo~E}~I9sd{gmUFWhq2GHAu7|J3RI}H7VHkCq@Dugs+ z@a;Sd1I|3IYb*?NI?BXNFyYuJH*c?k_pknoA?hoQx`3LtyO!+U1~OUn@MvLtIDODM zZk_+{`BAh81B0Z2$gDAAn*wB`5dNPMgAkk8`nvmlXt~Fy1@EcpMx*30@;iN`aJITQ zw`$SKQYt2amQw>Y5x&6hK%roz1E5fy=)vKaplF%5lH`pXZ`c}g9{4UR@7;6PxR1GJ zY>!$*<@ze^sKi!u6*#;VZ`1s=`<`_xQF&cB&)!xmriL6uAm-(Za&N3`^X|dPq6dkL zOHrlBnV%kkUorRch?91^?b!!PbVd*EUBdCk`@x zMcP3OQnT7oLwx>gP;b*4V~SLTF1R^-HN(H;e(;eQ*5C-6-W|aacU7v;N4Tf6#cYWv?6K6G7 zGMgx}*A%zgUxRu*(4n|?3Y_cn#i$&O0h$kd4!LO#*e)TMAx?bU`WE|2c;{EY`l#m7rym_dP1aLB zl-#1*2oYZNJT+;4n#%iXspAo8%%k&yEB!#PxaN&h$^4f;`0*Eb7SN%h6`L{VF+cuK za7yIDa>8paZ1E$2jLOH{_iO6wi!;&WTVyTJi6@Z7 z9#s@jgr2l-1SHoc0*TroTbdZbcxB7GMUhU(Zc68_SZMZ6M~zs7_T`hVYi<*BrAcTJ z8xwy}jLbNi*QmWxY9~8uZI(vW3Cg;BfW}J5W>nETy|a?C7Sa}!VTY@DmY^C$XFhjt z=%gd9e$YA`Y3R{ViyhPAPN7MSIbPc|dr)SftyCjw8l9Gx)eKW|i-t4GdwR%=sjkf; z(b1^)Y6`^qIE1_ET_4d}%63KW#L7ijEtL<4CdccKw3GC>3IPg>mRrzuZLq0~0J_sw zyw6D~19p6iqbX$oJ9AYY(ng+BG(~KX=21!?3nxp7!kQ}9LPZDm0V6@-X=?AJW z6nEF)S3wR;Y)bnpm};Hq*G~iU#czN6(PaDcVT6IfltByqz!LI$QLTYA+wqowk3mA#+o8T;x{dK0Ngh&UYScuH>fEILx?p`&78 zXn87h-pX(!(n~ugR<+4U&Qj=Hx+fMGVD@DRw8a$vD$wPSr263Fy=wiUYJi>hl6Z z^xJ$4jp8+za)s948cC$I+as>P$w;(lD&ds!7cZlv`6_1Eu;O<3+QePO)!;r_78OrL zkDieo$3Cg9J!r4ng>gJWea6tu>xFr@WW1QEc!g+ge5{SQR+~O7Oox>QmamB*QUr%l z2ZjVk{5y((^fmONg#6|0)n|kSX1n%<-@YVzyRR-kUs(P5DKfxj_s zQCbaL(NNEtQ01f~LIi<6o3wU4?4(Tv{W*VZMt}cWuV(vImkjbi-@p6j?YCdk)%E?K z|MaKt-hTi6`|m#a*X#W^Z@&HWA3yo_{qNuX&u`v;r(eEw(*|{12|)xrHU@_xb+_pf zNE0dRPE27S#gi`3EZg2dD=mTLAbQ4n`%dUnGN=e2IJedJE`yEwtFNmoWkj!E;d z#?tmtHgp2gPOHE=rNI4iF&+gij^k+|c3O}2vXnP*S$R-qYXQR@y={A=M(|* z88?`ugpx(cXQm>~`&&sMi6T$#U(+c0ZP*XD(^#56~pv{|DMFrEN;VLXjf z_0yBEaMO5>T=2%r0yRDDbsG!+_;n{>r{-iw8L&r61+_8*=h5_7D>`F9s04lOUDRdg zT4GD62RDc(6nyQOWF7X3kJ&1!4sSg|6QzCmQd z6)Ri7KYc!D)>tUv#nV=*xx zpU1u{P91@0{1n4p>}v&T&3Od)!bIaEg<8bsUM;d;I)VCyRR;OQ^hVH3M35U+8WtUi zK@){vVyy*h!vPX+SJh+*XI`$wEo$a94@b@U>FEojqEx#h zQ^lqXo-;LAS?Od`ZW2(P`*AAnSuWpkcTKPW1&mjN`q9Jkj}7T_@EI?I)#d3hlN3Y2 zSenBqnl#DULEAl1Vk;)BeN01>X~%x_xy_LS`bXT5MD+6di5{%Sg&vT4Av{2>s*s=l z;=vhfenhmcf_jOPW|{f%*}Q}ewHm{k2ZMnUxE*xjI5ecM6H!4e$~`~+s~e4k;+Y&X zrpaw<#BSA@?5xKh&zRLbCBTQG7=<#TWHt(auOMj64r*5GKZ`ZSgF8Tkw2J2aG)({# z(IyVzEA^2SM{@3+lmy0hi1=tCgz_mzn=Si~NRBNv4MPj0+; zo@lY9R0P=_GC)6-erD~1v5{57M zc3q_NE0)^OJiB)4HOT0(TkbS*jax@K(7+oCb0iid2+=D_xj?oKTIwh)J@t2Nsl|J) ztsA|0{*FWfbZ33g?p0#Xf=*e;XHr6%dn*)$_Nh>c-cPDNxK~T*?_{Kpt|dJfr5ob1 znV$OM^j|ciO1%j0T#qSqw&4};#dgsJ+Og^l8DyMHE3z0UeiPd~sHLVEq(~gW~&#_r_wDfI}`^k7Z9J^Ba;9|6) zo22KRMj+kYG(QpIk9eQH>rt~f@XX3>bu2Umv_ID4bV-lSwj=*ppd;Y#)HjXNw7trhB_{xa1~)2QI2rIrUGGmg@Sf|kA@ z-r{5+iH%g}8+A}%=1en*D4ic^OYv!IYKq;0)_9k^@K)c6lcW8iwo1VC#w-T2S z)mDVsP++o3@Ay+`%+VafjYsTQVFPIoTKpehXnZjg634WIrdwsSO`uSpUe2i5(Z=e+ zfX|K=G000HPUCfz3uBaaV{TmsjZziCE)B)NR~Tj+8#hoHb;1)LM#W}j2lzaV_cbrE zlZwZUwiRBFN8LEXOv>V#Fp;1&{8g~}!-a90wjI-RFwDB|Zrj8ID`ei#S2% zI(#XBb9h9m@co`>DbH+C5!wv>=g};oONf9h1k4Vu#8 zX%zBAGPP}J?Z%seD&g~}kNDh;)g=~BLd45pN?VV{f!dnSDGOJ7BGJlQQ`~DlW^C3M zMeyE4LIeW?^{exa*G8q9074iKye}SOylGDqL;RiaX@ZrBuihM!m_{jI5w0vkphDgr z{Tn@%U`W7{TFXY!MbwY5=`Kpsv8dDgO`$Ruv}^(jM!s*tkVw%ee$dCvX#>0foess{ z$w61qr_NXV_REh}1%3MWrbkIs|HYV8pfzzAOeqN>h+Zp5fJ@8bT#s2ou{~xHx?`_g z^!QEr1p#XXoq_s-ay-|}?x`Bp_Gv=1BbB}craCl{UR=|LFqnRrrk^(UXcCIMv3end zBI2XPd(l&KJ{qf7ylCC*gaRBcd)P%9Ho^TQw1k$dkS_JpnG*_!keBj4uwGF8@qK32 zwJKf=*H=$v*au_LzbK7;{q~!0K6&%Q4{yHy!ynPJ{_F64|L))Z_~z5^Kl#`5%^&~x z=9_oQB=5ib;ScZsYGeP1TDutcCIFn#lkEIMIWheyRR;;DjquE7|II*WYtv<$;==tK69!0JXuk;#_=6An) z^Ud2&zI&^eeE-e+-@StCEz-=2UfLQvHJZaGpZ3t#3K!?5+(s+54OI30wU=}32i0k1 zZJchJ7?cG&r&LS9XE!5yF(svOXP)}>wqoHzMtHQHdlGxnuT0%21(^#I=+XxMGdl?b z!N)j+PA=Tp^Bs&y`oaTmSw-kq@B8_G=Y4BJ^c|tVqiL7(PDfWvyy~7%1~In)f#Ur- zkOUG9M45+zCns-*n>d}C zT`O7X$q!WJ`x#eU3I)6Jojz~Yyv^rKB5R*h7iXH1fBJ0rzi#CJ_a}dP^W6{c6c~STRIn~Z z5?5>c4Ai6TkA?qSH31bwkKAG{Mm8 zMs9dNlrnJkJC1dq%Wzshq5zK;u6A}fs}`bS_x`dt_Oma30qOno`yamhkN4mI=?`z- ze)sOpC%@NUdH4IbZ(gh&<1udQ%1CGfS(oU^G?=(4#c`~v$gbIOM#;b?=UvhhBVAs1 z`ho=cgj@o4^^LM!WeN(NIMRs|;Y6ijWzD%8E-c9-$EvY#Nnx}pPaH`@6%f1{&0o_X zB%?TGYphTEg?TJ#r`M=NtdW&J488g`(4wLY6cuskw8kf8aDndhXH!V7pUO4E>PQWt@^qz{}m_A`2 z2M-iNqy)g9+~~Vam|G|cC%@=LU@W~c*j*sgiV;{j=oRL;geXsst`OF3I#FrM`J{Ic zYYViW@#k8u=>@b!^EDH?27*F*GohF;9c4~OuG%%!IE01XvK?qW?Q{&$KTJ8rJiAqt z9*`(uAah?;%tF-W#wIoe!Mn<*M|&!UOm3`?NjC9_WEX9%sbLW8G_QK7`%1`UBN^6)cjtDDH?p9k1RIZvMAou7B_CX31LW z*>sVi@v!5f=+Vs3E`1!e>Aw(&6cN`$Th4ym+{nI@>+IgrtMC|B zl^ky@z=T7VrBy=f{-S3>LxaAUp%=lN9JSjj{77vz^)DJB%egSZRUg_`Go_3TWLrhq zwxPYFzoW3lETE<_BR%YAE#_gcX{1o*eKI|Q!W`5HU%VQvkH_Snxgr1T$>O~~r)3>M z1$6h!Q$QphH5n*F_d7Yf349=$Un->s3e;)e1pK+lc<$&fJ!;N^JIeD}@#1I-!RQXS zm~dUE|MJ9<3{CK^RN{p3z&|*8T&Nm5O~Y+KMN~6$BGg!7&ORN~0q?)GFbB(a8y3yh z8D72o=O0}v|M|7G5TdYE#Tu9B?r1f3Pk$nJP*dx8g(etfNs&&x zNrUfWMQJBPI4;EDkFih-2^^&2b%kn;XYT@>j=cMDQ&S`_r zUah)$jni(3BP)4p3~JG`9g~KQi|U*!3YQ;X7c{i1a%>$+T^v%zB*sQ@4rkhw;#?&QKyj^dGqe=5K* zT|qi+Dyxt?L=gSHwwm<)6y^w9O%%_PA~3aX2Ng+lW+6G`kBlU-LBH+b)R4NDB2o!( zPNFHC+ld5d*t1;}<|KXk;VI45#QT?%`*BzEPk%n%e)Z@tqrs?jdUK}98dgq0lf^uM zwVaBPDI!#FLlorc+O4O6^>I)9>M(nlPVghtpe#aL3F70LbwQX*`}Ne`%n74Md+Z_6 z9p&c9BF0W0>D5OQ{LsWX4x61l?mV0^)`j~xubE?}wLTBJ2+X6HiszSb=`N5G4kRUR zb626-tLhOH@EnHr$ekyp`jiWbPv=#Hr)N2?P5jni%<`e%Ug%nrJH+d<{;Q;lfgC4H zLXO&a*7RJ3`Dhu}f<)s|%x7v{pqXs*I&t;T{9L#QTG~pN*u@Zm6py^?QLq3*@4eJb zu}%+F&_EK+qE0gy1nab|^biiI8Ljo_Kcick_19VMbsl+y?Yb4~&k?*kxHbvO+`V#5 zG}5UZU@<^H?knRHepIAE#g(`O(dTcCrUp8UDStdEa-5-_TEPlarxO3A^=1rrhUd&~ zzmtNHQry*g^qZFAxw7(DD;@n@8%|-uvYY$#;n|*)N0@}U5T(Nbq_Ge^GhsuOLXV}# z4hE|fY9+$8*Quu$3B1GcFH}-dj-R_wT)?7T%vzgL zQAE##1D05OS2l}h6?e@f!$adOPLEjJz~Xo|u}n+-w21gH6+5Sg5r?9^pU)6-F*oKX z8RLmuHCi?6Z)vK)6Jm3nD`^WZ57ZtMdkVR3!kM(p=Bu}-GtycsfMByp{S?j>5qCy^ zFfZ*Rfmf#|z&6Mt8M*1lFyy%!09o;|AdxDJtc^IL%hqPSxVDqc3e9|hKm>Qgv*o!3 z$}KUG*50K2so0iZ+!cKG`QJ|;Z-03E-TOc3A1@CTlnRC))cGB#I2^^C6Z0&3z-h~v z%lf7TJQOPgu1@r`ML11vWy|5AkY2gamoc)ooI4c@6%_>bmMFinNI??UFU^-{^t9py z!dSS2rmjdqGPUR-2CvYp`L@=-dasWbf&b!rUEIKG)zP!awSA@i^`Zvi5L!aYnXA3a zUt-95CXd;#r>w5~P5WgP@2l^WREdgp-y14$E%qDjzRCHvqJ2z9d-bdzFUt9)XDz$Z z_j;~qJcm%_B!gDVY%D6Jxts&dtYnarImP!~YiNFKhi_47DJi~TRe9lJm!8f>Ey{W0 z>m(LUm={}U)6aX><cKYX=kp0Zf$P;jkDQyQXq@}yKwBm)p-;ALE?MvB%7n|5{Orb6q< zIaF~P8eEUat<&F48Tk@n8`@OMfg*rb`z9`$s3r$ZB8?fHvD)}IewmBPPN5KO`#ca6 ze@xRwq?g~3YTXdk7u8(!zG{VfKw`zJAUEyerSbQKv@c04qenD2!$<&d+){-{>5dF*z_$7FFNee)yJqxd0elz zCx@nzk}xa#%FCloulROre@{+o^|NWTe4z#%^nfTc-}+sxG*>YETq&;UyAZeLLZQa# z^*05fJ##bYvsR;?gVvPm&W$5kPh^={=*6QtV5?pn-N%BmmtSIs7jNp&3S7$;x}xxa z7P?I7R(jD)(!S98wN@8ip_%dtkGeEUks zGG%+0N7Qg^RKsYui*VmswZ7~{drgmuxN$-%w6t9_bO%on*;3*}o|<4HB7;65^%glj zr1sJ#7Rf1^{FBS&0L*-~3;$jo{LfoYJVy-X}sNg)_8)huD_gw;92+3*T=GN)< z>^Pb9^8CrhFZGuK|1uT#f_6uq+OG{2hbsyf3gTR%8+ax<%!bP*eC^gtW3n@ z1ln;D$C4Y}cDijVM`ud?Naa&yNfVRRcB)!z$HqF1>AaO{p4BTvV3A9*Ln*t{VJj?T z#d`e8yvDSY9_|<>4sL1Rh0Pnz9*Rh3E{o!rsv)97i!{6CyXn&oY?QQc zwQkO%yM@!!dQ1uEH*iIGL}5oe^#oEkvud zWW;@n20lT{1pjv0a}ozW*n)Z6oA@ieIq0=MHKFIV9@XtR#Iy7&W%Y)S;S>?@gmR6> z6ps{#%KN4y;iL8BAO#Ev%;E-QmRlPl8D;RK` zW4V}Bh~lhFp#^chmFYUgj!T0CFcas-R?<^yy6bZ{gzxUQ9ve=@C!tuUeRy$Ct$7!N zg_PxcQaCF5r>NV;V=wL97EC^PIOaD{1Sdn2$qXr2>1#layUJeMmBwJehvxu zki~(@;J)v1K^H=G+KkR7Vo1FoeQ)i+rl}UU*l)#X?uRmBV+AK1r_^=`*kMLrkU4H{ zC7Yj8zd+j=l7kt$?shvZ&sH!!0`iuzO4OVVcXbSkir&5Jsr%6?QokC~lTIh>duQJj zhS2N+E@0s%5@DU#i`B!~U1+;1ZKFc340oNSEiFn%UA$JCMdM!VPb^@^O-}2&&|8(K z5qlT@p$otor^u--p)DSwBAvEP=$g@Pw|VNfw43#6TqB#?EIcnbrwm6`$~*LN;S!iI zzE4yz6$^zpusGg|!9Omz_7)w4;LC+`u_oygg}< zQ6l%_w97lrhLDLDbt|P8(QlS4G8tN?Rza#*AQ>g$ka9-wI=3t)Mqhywo(T#U0gs-7 z1rIj?tT?L}aVzY0*IO+jay=?#>VJ++!3po7-SO|~(x%p?X&O1vO1z_;{+96~!^-wb z9>)^I2l-W{L9sS{3!NSo53I-fOc8ydge4Avfk!@D-4)JvL0!FZ8cJY_%v$0b8rsU< zy=e;|vR692N|uYn#0jiX!mvyGpb4p1h1Yc3PSb`)e^V%6mZ)?_@6 ziga3MJWUaoL}^KT1rcYFf$gErqWcc@R{>$g5}Y5NKefT<(!H&N^-j}EGZsfTL?fFy zw!zv%oQ&@m`8FJDx}?drZ9J0I*b)&hEa+SC84#TC_{m#8E-V0XyAEQXyo5r{rH4Yr z-*V0_8nZvj?0SLY@fO1Bso{QuBa}7ma{Hvci%KxcLP}h;p}35Ls1{UcEjH`WJ;yG& ziKc0^?G;zQDQ(sI6#BtzXlbpD+R40u1JQLl~T%p-k5zkuag^$<6azvsA`Fkj!I zAldMm=k~~a10eN}@fh4FMQVKtOSZahvtx812B$Z3h+#JIL&fBXPJ_TEwpUh(Zlw~f zV&OsihCQodk%rx71c8^5UNfD%P&YfmdbIYVGHXNu^u`EDOXn}r@|XTtlZ;ZF9zi)m z&%}0CF5V6+tf%#1g#)-riuysM-$f(DVb_V&{!>03FS-M-M`}M?4l+n|ynRMO66+~ew$kG;`Ek~=tmi1C`5 zViXLb=zY*pe3-R0@fZ%t&Z0nA5|)vO`0wZcpR<2k)+D)(G{Jj+3cSFK;8X;8Y3+6t zb2qV*nA2pgvVasKr$HnJ1iHHV=|?}01Q;7cM3O`mk%@@C|KHsXYpI&8rK-=5>^OAE zoqfkL30G>_-xjMRK@m~;5j5>c+u!>fG>Vs1s(&TR!@Do;Q+a=caJDIQTh22plCE=K zhZd(;<>{iw&pedwGaPEbYI9*5te2#1@qk$Lw!c-)eK=|@l35C*YBmc zc-BXt^J z5Zo8MPN+p#+A5xV)KsXyak7l`KTBPXG|+-fN@kxThS=azidHn|3*cLlK{b6p&?hM zsN52m>5)>wj3z3_$vJQqS;IrtVBJyXo-ar4O>;82$z+Zp=`)_~y?Ki*q zx6eBp81g}T`E6WX2oYc0RA_Beetjw9M1`YLPjfGBUT;la*Jv((Ol>gYKMj2x&OveX zk|G7~MnGIs5cUe3WL(ktlZL^j-PwhC!>jKZ`uWKPYCso+r@b@yQYl)CtiQ#>Zx~$& zE{aJOE|IM^}y7r-ksOLbZ#&SzyAD6u1cBnnxiojLi5q%^S`3hds zm$)LDU0%VHy7VnZXSJRM3u$KoN1@y7tsbY1eWWn7ce*`s;7C+OwnbT}eFcn1@I)|? zmUW9&-i5x-=AWQ^x5m-5MzIwsuUdt*lO|eapt`u8vLaO$2EdW@7A~eQx@f}1-+MSt z4R8=nNkXZvYTDwu$MDqrBr#xwP74lc)jd=A{RtNJ6)_%hgTvfra|17KB`jJ!^*;j@ zD4+_7&|(gy-`wYdeq{g)qb0z2G=+J@4wUm0v?%ur9{fxPjDGlXCiSi#I>p1pV-&Lr z%@L=Fkv~My086LVMxi6Amz#nN+?G)g-Oo>pu{$IcSVI(?Zz*SwD2vcgf@wHnAYvHJ2(N0lSRd$|f0R6K`sGby`}VH5Uukwl zfKkBd2-Ln*bofNVu4=?J(EHba4CS`UzR7m|?5!oIy@80(WwdJ?Hqr_)!(xS@y{#qq zo|7&5AJ^wPoLUt>c5Qcm_q*SHUO)CpMfo)XyLv^5Vet6){pml7zwAb@xx1Bw9G!mj z^`q(|5N`smVL(!aXnMdGZODcyWp6us+cxiwh6{+ETfl zN?t@!u&at`3V&Znp_rfmz{RrIrt*g(9Zs$7z;ji2AX(TUebt!*Cgc!Z&*(_1W{C9{k;N8bKiO`%H9-a z92R_ZqzEU^t8b2G6an$Z>qgKjZmK!a09hRC-kGAMvmQ~4Ub*{11p4Z+D)OgPpNnJ3 zM*Bdu>>onAB4HI1V}Uj8g=7zXCyHehK`AHMdn9E`D~RlYZo)SKFRH#U?GQJLpD&Fs zi%NPk1Z7sXru1trB2Sv+z35pXH zJt;El&ze}WcIse-4)v+R(20tR(DaAcBN(%eZnsg62SRb1J+RmnSt)H=g3@@Dp#%hF&GJFZj=mFqXDfE3no;$GV~(N zQ|Z1nrg$l9p&jl%seIv;LIDgP0-3sw_9r}xcD^j7OnSLlGbuA~1o~D-Lr1j=O2NV61*9s(W8a9kb z!y(jqAvaa1JJkBkLtK2*Nv}z!)J*W+YTBcXRy-CTU7u~Db0Hs!69_R?Y$?}NkP(k9 zI8Aq4o0lG{DJj+xg*>QbT}mJ#DuO)v<}_^+;}cn#0(CCm1tD!t`J#rPj!T3H1#Xep8Z;tomh=N5UaA@lFVYQ&*(u?_j{pQ<0eEa*~(DjQ< z9pxE{JKy};LnQ-+%M#-~MF%HB&ii9h3suA>k4T8$vMNQ*+eKrV@0} zr1q+{3ucLo1PYqP)ay}id{Y-)8p2{{A@Z9?`|PPWtcD9#VW4szVSCZ9{451}v4NuG zJ&|I1&z<#K`ckxs8qP(O7WdBW<#;=HY^A1shM^Re^@R06Y8bhE|0?1e@$hCi!WD;! z9zSk!)&epErl5t4p#ie~$&q3Cz?!XoJ8FAAf* zOSa;yiS{av3!(zpUW3yVt52sm9d8qj!IRN=(Wi)-Ttd34Qa^I!sDGGMZ5Q~{>@T!x zsyPuK7JSokpzJ^xR|{O5=+Sa;ksdi=mV=S1#v zVHq0IyRwL&^(%R`(DtBb%Eu}$STjAY#nFx{#AgrGq)w42amWjt$UOxI%!7WAEwJ_I zY3Q?qq-oJ77h1sVIx5HEnBZr_6k=J?@2tr7DE`m^z@zkWb`(dn3QiHNm}ok=dh55s zwZ5sYebM-&;SLXKxF~mMEqC~rqBa69nj;#xwbA`Jxt7o$&*OQ!?S`2C+kldm(C0}w z;8l^=Fh~`;vnJx4v%X@?bBUyKOuJSZuMdL96wOVg>3{?j{tw3Yv^LFEVPY&WS%4$X z)PQ;8@X8AsZ{c#iND!tMJEVj~$ooXi_4VrPJJS4cS~`{KX7Thox5HW6?x8Tvg;3{0 zJ+2SY;){hOU(YQ+MQFx~&&B&Sq}6iJz;&pm=BkU5c478RX?MQwXs&`<1jpr17Jol1 zc8BwA`RT27Z7YSng%kxg9|Gag5+99+d)VM_5d_8AtwbCS!O)I*@~s(EoH}Q2XLu~t zK7A-gRm>7BhPCyor?#+`Q3+Sm)=$U8WLb!hQhG}OC|BDwJ?8O%w-v!?9Z?1uVX+Uu zyGY^=qX>t<2YLpYf2|P(k*2>AQ|Y2WgqOyT@?W2di!tXAO4_3Tk*iK*1bRd!ekeTk zs?bRhZK=DXzwM}nx4kId->*)PrX1Ju^q?9`+1Ffm&oL>OmT`xR%h78^au_hbivu(B z5L+W0sby5Uv&VxR<={%kgi~u}zAEn5dy`+dEEB>Kup+!Elo#z1BP`#0@oOIi7q@hI z&iS`7JBo;KK%9u-4?q91^x!Z4J$ZkPN^nd#MS2w5J<4A!@`B}cPhvY7LY#NFYr+Th!KN%_ z!IY(iFSvuAk^&$7e?~ZPYVuBZ<`h}kV*TkAuNG;q%JTkH*m|lqh zwID|qWyOe2*v@m_Br4mm91u>AgKJsf&K6#;^gA8;3&m=L(uRfr{UzVo(y<7W;Nusx z?zM9;zTd5xN1>_GWKeQBl&(ys3czI!wlg9|LYAG|MUgn_pUZ3a9?;1gjlLZ&JF~O* zfdN1p&VLxoFIId1{69aI+V5Hudd|XOueq^05re_wtc@F!c;SY&JJ#|wUEI~W?ZoJ< zhU01&{G_4)Z!E1WSHqlMtLNhOK5_b>B;Kfk$La18eAWmmYnnq*M;J0Iv0_YPkOER< zhVFCi&Hb>xUSV0GRN%7S+@LZEw;tf&_DLAWZRO$%cZ0IsdrzoOB3~jMM+1{~nI=1Y z7`3mm)Bo(KabeCecR-PP&W`Ee6s<$4FmP)^AD~zwdS_HW&UC)#K36*KQnBOg8!s*I z-!gJ70L5}4UygPJvVykRRq>iM7WvEREEQw+pu@6qQ>hSiqa`2!s#sKSE*Uj4VprjM z6z~Pjgj_>Ln7~ZY`5Pi9pcDtkTaC^@?T@)9IddC}k zZL7_^gl@3~@u$m}QM@+RD4TfAnD8t9&>P6k6{h1^DJ z(!2V9C3i)JNt;sdO4))JdOwjM*KaM;dJ1zV#~rqIVhG`wFIN-XsYy76<3*$yL;BWeHA&E)1+W_yfS# zS4Y&&CkWMgOhUXMQA=8l2=ZdLfy)eK*wn(JHbKKi#fV^Qhr1`@O7m(dm+2X>o?t(Y za+O969iW8lgnya-FmNS`#yhL;H`pKygZs ztzD-CUA0lJ!lh11C~R+ZV&YSRz|T{t4_v)!*PB`fd2l}cJ~;J2)l&f_6}qbLym9S7 z2k=Ssd|Ql4ahiyCj^dA)dN0ba>LGM0250J7BlnW{0Z{2)O{#Gypmhqq!+T1fGK6Ub zDhoPw%tzpWs`hJQ=&iRA4ZF6O0R14ypA`C(bN;YPzO4E8)sX(K3};cOwBCuIE#lt5 znkXP5E>5atQ-dAQZu#dFXM5p&z+JguVkqp28_Y}s5NCHn^jJxz)h1^x2c81)tw><1+o=6XxG zr@^repUo=>Z=W`@1aHVjechjpe3eA-H zNj{KbF1&I-jP`$%|Gx^D1}{SF+yh2T)W0Z&a+E?x?bIqgv#AvL=HS;l+k5JYgzq|( zNKQp9h5pV$6`u-uJ!0~wc`N2H%vvtzwMx-{wfcI4<|T}fDVY=ftrS!yu0Yc3-W;wx zf}rN((bs88>{Gv?EGFcE_P(Cta^r}}zlE}Q3#Q>wrkSj#*Tf4nnW^;tyjGE)yy22I ztiqaj;90w{{CN$BB0omq6g@gcUrZ`qZ?1&%JG)K5t=DB~=x>XlX~nL^B4W8-pXb53 zhT)W`qe~}L)20%65&UShr8$H<8x2TZ0~xsG5Y4&zlQB(yP4C{4FF?_+5fV!}yCSf9= zY_La*wDl;2i}L5-4EnW{U<+Uc2TTSIhN+iliw)x1r$a5=D&p(Z0~Has@R}&Y=E* z;EFLOi6WX&f}SMRuBQi=hl1ZqF`K z;v}ce`Y zd-RjI&5X`)B#oWKzUzt;dkOEU9!^;C(D|dq7UD?SDW)oUB`557aQO1pVqKRIv-U~x z2pK7a2keMAC=vXIcF@)+Y^_%jJE9#;BZEWQDd3d7JGsO~O4NF86t2Xg*4Qedx8;qt z96}rLOVMBJ)?q)q_ZLCKSKeEZ0RPPG`EOAMr1gh;_QrKdCN= zg?xCaA5$*)doR^brpt@-cyr>}Rq&8)b!@#zpc}xRU8o+0A_9cp#;3VW>%g_7c>!^S zS8jY8s-_fHbyTHk}$_ zDq%$@DB)O(=9JxM5WhOAay~{Lrz;4BY7>pD6))Iln+3S7kF-yU=9o9zt9=La-Yr zHH(zBOJZl`6xhmV&OTFtd1Zr16meYCQ1h)EQt%J<R#!n_$+$V`mbh}0DjZ@UV=P{2i6{?j7oF`JNgTu3i|9c z&wbB*C%SJXpNsWeMrv#AB~1y)Wz~ZMTp>&@%-2KBbPIxQ-s37mSD>L~k&dloEX96zwb8`8FHP(b zyjzsjphcSD(XSA#TeqeEbBd6yn%BY&wOEl>eALg>P#-)&gkpzD3 zvsNKK?rCXgH0M>&;kIE5WIae*O;iv_4(r|hq2 zljd7Y8O;Y_aP2^0?bs;}7W&r6Sxe()>w@Vm;{AeHS9?%OJvbT{Qmq>CyZ00gq8d~$ zDc@<Jf9)4>Ou9ih6l?v|a3kv8aO&L36Ks&aTOx;`ib4Cb6%zye9Zz!-o9ywAD6N0%>h)mqg1kjn2Z)2 zYb)phXE)_K%v-aO1z16myIHz}HR4ZxJ#{(d5DOw!(T|2M(V)m_BHw+8zIDMfhMlS>@eB$;W`ALa8~VC@WW^&ev*u59#$y+U$DJ|s<0zz4@`9uI zhq~r3Lg-(7wyeJS!?*wI`#=8c=OR+H=5(+*$4;FX1B8KkKtky zD*&8l^CA2*Lsb|MmOF(dqlk47r@v@u3D(h@U&8Ge~BGOqFv;?Z%$XP=7O=PxVoqLfa<6?+N4DsS-!(40k>BH zkYYzICWSiz#cCEZLG3kM;LwE$zu7O#2vG>0Yxa)Tg{d2QgGQMsn@3q&D_Fn)H7%kB z)vt*Y#rED))eDIfGV*n32@WKVi@}va!TG~b{Esi2x_xCNx*xB&t0E_zd;0k6c^l2^ z;@)FU*i-q1rYQt`<}VLKPZ0~C+XQVt)`AiRtXnZ|ey zwG@Yj_Tkjy-ISra)c1RP&=e}L3F3rDp?Dp++iOz95mr0{(hK|6kG(~tl$&j3)+SJg z>9C$W+|zPeqsYJ9F`ZW&6RWtF>%xbfqB>!lMcNF;RD6f>XEQ|YZxz_ZldEJyPi`6qt~G6 zMt@t6Nju-du}utyn#S!dr+HBA zpt7i7NqLJi$EasMwGP}gUW;2a?L!g&&;C=lbpLV3P=s80d8N3PwFoLjXi;Bn!>!f7 z1wNf}VB`+bD(DZ+VTt0`5p3!K-xRVQ+JgQZ9(kkwbWb@;R|?TP46?Dp18aFP?0M9K zl+tZSkd9y}$aGV@KGxmhL#2R0FX3LrQR$@=pvA5TbI~q!U}>|KgSxD>Tids`fEaTX zxyU<(cAg7Sa%Tf7h`IZVyQpvrSs$z4H`P?#Ya;VKy}d7P%Xpccjq}KSXezOz5c<}( z_UJ=a#moCpDnvxFQqSlfA#AGB^2I?|(JCBwL$h|5C|;8k|14!=6$J*Pyo|;TKG2Su z`Km2RnKu$b8hDW{@8T#gfEp;`2&$HTTOU7^K7P$$@5?yqSFcrC_!K3adT7d087IM8cW9>1%EcB=4M_`d#+QFl1sCW!W-wig0dWcDGOL} zIl!bc!m)D5gR_vphl054m7zTDcsH@6`<0P9 zg;P1;6*>{A*l~cqE^Z)tFJa^7^IYNalpktk9Zm@9Ly_7)eQ}@s;%mc)B?wA2i#s+9 zgK(WZ%{*yN1jQH{__RcW@%KYvV!v+v-}zt(ybgf}T)FB>UU8%lbZ#x9t#J`cq3Xa0 zsFN<;Ys3w>(jY4#5Oh!=6ImAtGRWeItrlECO3hsxLOcPT+i$QPqQIDuOpcLx%_Ng|OZ}SuUC>ExE(1ypFa#zci3mEj$`_PDtG7>p!f~{~lF(jhr?1 zdQ)!WpdAY(b1k!GP^4eYnru%k7uS8G>^eYIklGdoJXpKSy}(v00Uy08;0urtCN5c& z?$xr0;ui6WxQu!s`<-RpkC$==gM_MHA z)rCG#QW9I%EC)p+Eo!7#PJ}H5#{%8f<%2iJ&)>7fAL$nPVVwT=H^2Y8S2|1BLTQ1+ z312Zxp*=*)A#QZ6Ds3|2Oq#VS9<2FQK#9*x+;SZa0ebUh0?oB1dvI!;h7fmL{)q|- zr}#6z9nUQ$SHjuPOiqmLP<#-lj*b9@O>f|)R8iyNRGo?^g_qj##RxRO2W*cbPK$Mq z!*08J8bwq@03E9lF{C}3#(a+ZEk(w@P2e+#owX;Bxg3?tt{zR{XW()ognSOWB>?jlAlNQU{? z-EXsyxShoGqbnIwt`u9bBB<2aX#h%7xThA;)%TocwM_F)DMm2qRHO=m;r-~vo!EHd zV2CQp#?ZCm#c3jqP$3C<*7}mlxs?-8YkI*XXPgi98+t0Ac09+<0WBq*qz&iDWnkV^ z#p0F3&rol|sS?iVIUt>Gz8yL%^c$>K-EOpRiX9S#UVWUrlzlK_-1JxY9N(V4y%CNt z_H_{-f+WgAbn-kxS#2d7TeWzDqmAgaIjs^ULU&5~n*V%o2W&FxqqjgvwB*uDe01ct zs!--I=csW~&!~Y%kJ!;g>u{sSr*rA;M!3ZQo3Q-z+IB9)QFQ#`XYw`+^*b-dsD z=I8PhS{&q`C$9AA%zW#YY#7;V5!6L(-{^Y|F_4*_b&CvgI-%^K>9YGE8#jYC>rLIl z)i{g6_u~{0N}EW4fTDY%R)~^8-88Sv?M~%LJ<>zN)QRW2n2FN7!bZs$Lu;$KM+->A z5h;9yW*)>nFr%~g`{={$=k_+^x1uo%oyHYIKThazVg6*(IiGlOSr%%#GX_H?J!2kz zbBjrZ;*@Dl|n{O74FMN$N%7*^xT!b4lR(>Yk0KBP1PU@1 zIs8n?c+EvWB5a2$*`{^1aaSlfspX+>+M*Q(b#YXQ0|MScCiZTP2I!bMtJY4UPGG&8Uv#9)@kBdj=$_?+h8@s4< z2Wz&E`n7%KXQZwV-MBX;1PGhfJ-Rgrd|JJ84uE+^Y>5KXe-}|XEE*Plr=A`ts<3Z` z;`eYjz)$aEW4^{=G*+UN!geB^YYWdOM8h;XZU*#W-}ysR{H*sD`rV2b0>eoZM-e+~ zH@X|y1pU|y-bPbTue3h$t+j8XLU`?cWx293?loCCCb9IV@rxuhDls@R1%K8~8 zc`fIN=@D0tE>Z)8#+cJOdIS`O2o!+mavNwQK9fR^ z;`E&jS86zLjZZy(B0X3#3C)&~yFk)Zl)4a7M9p<70&xH3Ua_xqD|`QYdC4z+^-n+j z+C549^Z)$zyPy2#yAQJHT#F_2h6$2P_}9Q&1Hjn&YP3ML)4TaN@cb(5ggqH9enL1{X(Jm5z#N?Ci^~>^{nu& zElMG2Kl@P55?HW`gzRaeX+pkS3H`GX`Nx0y;)wizP8vD>@ZInK5RO573Gq0uTYzwy`rg_MEGqTrbQ%A&C2C+J&H-)1Uey}t6_w5(d9um z{6Nu=N{puHFHlgMJ}VhJ zLAP-Vg=Ilx1;!ovX1nRfjoy~r?dLJ)D|66V zp?LK!hCAJLxGo~(sR7IO;&`-gp;)CXhw4;7AfhiZgsSCd?q_V8$EuirFiIQxvDewt z>uZF++bf2)5y%$Iw1Vs{(19!H9#D00IPp-YpvWTTO-obPGb-@AdmwO3=eO~w6xGhH zto2tD4m31?ewd^$AKU-@N7s0wg=d_?&WQtX#7g`rxJcNuMIduq`%1=&R=QK~j+A|T z>zWo0DHi2g8%R}}Bb>B_;_R@>z|Nessa@@SPqtj=cCv%{5m$`OC-g=PXU)CmpoyYE zD(4!|O(T5lBV zsEWkRIGZ@$_Hk{}d)(t}3T8r(ev5Ef4l#U~=@9?(mSc)WBIl<=3Xy&uLxqnv`&P!I z#?3qmiw==y<)Z}AJEq`2o?GI?9(Q5kP1o4!yX2R4n+5i2f(AihT5i3b#f{}fU>cV;DIBfbh6}gh>fIk@(OeedKb1aG zXVB!K4^1DT@Us6DdyO*J-NK!Zu^S5P+MoaY&;P8|ivf)DX70{tK6 z@Ob>Psp=}{gQUZ?g+MV9r7=fPwP*(m*VSPU&2O}dc%z>WvUCjzCn4Zxi|313Ti+0l5OJZJSJ8tcVU~@JHRZ17t!L{Gi0qWsaHLv2?&QtLO8LF{P$b$$vXTmqG zAIkk&P5Cr>cdx}==s+EfvfxvI<@S%b+r-a&Yw!i0ajK{s!_gXzofjq;MOjVhLX(WQ zM!P92<&@u{z-3s|);p^msYiqP)kiS)HtFF0AdG!+PR-`psR&$T-%*&nUI>}9)#qIK z`mip)Tw(bmi!%1RXzUwhp7&iuY$m##vzS{vs?&>$P7?mX`giJh+3H>J?TC(=N2OTy zqc;03suO58oQv8BDwnkTL(Br0T@Ny@=rK)cnoRvlh=|{73o4#-z@`VG$VJrQKD>a8F9okoE_{h-wF)@f z+?9n+oFxplHJ0@BUhHS%HP6OQX!R7mjrI|f4BKIHIT5N0RuF4Njy2FSOj`4ZDkg61 zN>_?ko;*ajtJ0;Xb^Q`05xlS0uKL%dU)7^drBH=7OnC0(xkn%E)D~B2JcQ_lb@lH` zdI&I8EOz_(8tla93cJ#5^V&Q{t&-1|$SVRxa)Lb<{Z%1lL3d~~uNdQ<2AECDM z!s&O}nO7F_q22QqTNOc5{J>pEJ2VW1m>c`Yn|_P>=y$!rGSR#RGkSClKx_DnP&gxM z7muNz6%oJ2dlCA89|cV*Jkg><2qubG#UZBUsA*GN6O`~;otL?2AnH`Ea-F0&gj{d-IjwODYg)S^7=G)^ zG*5K249qvQ=#Fr|but22D{Gq@Y%JdBXDP6+zG+biH)+WfE{7&1Ct{2S1^Xj&ZtILD z?9Em?-=eygFqNgY)dWREi;p6vk(~>5+m&`FXNmo&G^7Z+PczNym-|>x&8N7`@E-!k zk6#IVJ#bL7km%{psP9q4r7#~$i*y#DBGOT2y*Pf*z7%-3cxhoSJ;)Rf4keKq zf2`oI=9cClp^8J>ENI`?acdr&7arc2h-T||ZOCF0_u~@apc|;aXoNy1J>5JYf9HE_ z23z*~Q;jm^mV?80MMLwTY*rNv2X)HA8QMmzrL_;^5iH~yJ2){Y#RRP)93Yj;w`6xs2QAyqy``9E;GVNO`(#;-`!2QW1|wKlOGvM*8S1 zhMDA<>zS(4&@QD8NS>tnD;Jro(7jY8UF$_I2SL_YGfo zP$nKb(wAd3>=c&7fqJ&@v;zZP4A(DbTw-lWsW*pxHjh=3qTz-76~c_-EhSR1jdiM` zd>6mHg{{TP{3h334+e22A(x{?vhhMt#5R#DQ0}9Y6|K9JJFi%`W@^-Uj-zGs3M6vm zIxmaFw#6p4J=Th3kDLBo@%kw;TDf1GH$7_h)&L!fU#LS!-L|>v>`lzA^X}IwG*DSa={JoN%;F{~* zFBGs^db*=@+1HU3H8-WaT6S3s2R#QN0qgV;#TE?UFOIl+B>B8PcuLLZ>*#U^N*3v^ zyJC9kE8(McW4Qzto=Tjw)1f==)e%LZOI5gXY}V!=b>9KUTjeYPffOy94c7xL6)JfZ zHEPl0C~|7ZF>@@{!Rs|idPOhLrRa>y`_ZhRAw2^qc4-(ADvS!SSurVzJwQG%>#glf zH9e>1utY@-x*?BwTbu9w>=o@=Rcfb4H z_y6{nV?Jao?45XVfn!UD5@vW*o{0<4hCQzR8d`K=eoWtzfV?8J;-KsHK>F#VBwvXu zI7J@IbwpbZ1@zT5VhXUD^(AzHL$iI43dLxzz7MT~LGhxdGtf{V%sg?gp|Yyu)@g${ z*4c7XHcri8z-L_-3L6=vL~qE8?#>=4o`U%9qvr`|(<%km^k&jdNJlbOi|(9)?xP%7 zE#VfE#ha)h8#&WqfKCd9G8fkt#+m+>wUOxr9PR=(Cc&KBoB>(LODkb2P_;9!*Q6@% ztzX!d0YKu5j;Ngkie_(I6$^tq0aYvxkUHu`lPqixDso7U>rX@rMFsZKXJ}{Ir>{@7 zwtDZQYuQq=IRLLifuwxC5PtRLCZE51!b=&_C>#LZ-ZbBoGR;s_*S;80_>V%=sLa6MH{VtVh8|()b^z$V}uTJv4V~oz0Kd?&)wT;h-Jx()gj`k1+2B7 zUUg^8HHH$_)Dk(VNzw6=uv~F6^=xj7>NL&wU~SqZHu_L1iuPh(t`LwQQ@MCoR3l%3@BZux`jjaB5_t4 z9F3xq(6L}6M@8a#R}g@IE3|Rd6VJY0FN=D`O*Kh<4Tr>^lpz#7GgzXOZ?S_|V(-~9U9Z+`!mMM4L5etk->963AKG_K#KMKt3| zK-*qpuh_4z)FQ51Ak(vwKy1n8^9jK*NGH-O<>6i21#DU!XDAeYT zmSUWocrU6vual1H77Ci}T3Gmu3cXE2bv@`j(yu46{-^6HV#Ea;8GX(*A1s1vM5Ol; zH@O7D=v=raa{Wp=Sx+I>g)hK-)~2I1xEmpQ?GQcUEIoKEJTgVE+D?W`q!o5DM>&C+Jp*0aae1&;H?Foib9a(Iu~Z7edYm$q}4H<=zH93 z-9hDx;znn>Dn)q}sl!(ArX@dW<5Ea%Y@OI`DJW3#qL_T6t*~A%%hs@e#%?NwI&VeV zPIt<1ZUYKYvh*J=F23A9^|K#)xs6h!FIs#e(souH*Tgs!ql7AMqe!=2r#0;dP5i@! zY9}r2rCjxH2%2~v$~p_@Ng@AbKbUtvWc-&0$Z!7peh_az3U$ef(#>!gtPwyumV<2p zf=SzIYl^LCUD9T&b*BZLr#gw=1D|PLV13^5h{`54Z zeo|}~O{ti#pz&&~vW0QCS*^z67!(Sbk~Mo`>=+*XyQbJEbM=ZEz9_-izSC8ABOt`d zCVDW6?eqi*H3#AQv@k6#=DGWhU?*)&WbPHr$E$OW^d&oZzSZ%pK~taM>P63v;%G2S zYk(-zT(*YqbANOoU1J<&PdQ ze0?$o!~i|nc|&x${jn7x2ap5gu#7x+#(gtWDlWp%h4{n;)`3R5iQ~>LMP#5|Kt}#O zD-7>BuUU^Mu*FMHG$V_LdL~UUcq=dAvOZQDbTn|&q;Fj~d5V?!o#HDRe$`9yOlT~P ze7y)%5UU(yi|P16vjhn_#>ay@ix!}yl^g>0OQVfNr${F{=D2Mle16dPK_jlmQNt>I zY>L`W#B4ApzmnTx(iR!HfHiC|ee64(_yZnOpQ2=;uu3n^6my=rb3mt;6hWZ-9XU-j z0TAdGa!QJr%9)zPLr?|uwX&;4A*mzajdeEuS0WgAC$|=MLxgrvT8uxqf3OQZ;3;aByS^qZ8q9?nPp&KD zC31=hyVI&Ygg@;%sm;^`-VX-OCyNiYjzL?t2gz&3obaVJH{KsO%CNLV$~q@)jzd8a z^Gvr$x;e?hYq`+O_hz~6ME|Lx!IhHaE^e*msf{N%!)XXEznVqG#LNM~75I~Yq2aU% zpdVgmJB_-+hi2!1#w&aXzKeiqdV<)wdzDo#^anKfcSBlKkaKN1+&cw-H>V@!(6ZB% zWsvmMMp1A?IDICB3J|5V!{GVWuN&QM?Ip)yQ9+leip2tD^kK7$v}yEG!`wq*ICOMK z2NirZUY!Cm%$5;}b~sSbzDT1|_aZk!02={%dUmE?sM{A#t3+vHLM?yI03GA3n?`c$ zkE}u0H}BVN)(AG!jw))iG1(D5nsZk-kPDvM+x>nhO=)5y`B)qZZ@llEmeh4eno!Ug z4fRF=zaSAN#38C_w?eB&*PpXG<$&Wo&9W4|QO~6f-EXv3u-DKeK54x&21AhwHZO`p z#Y!5@i#;4b518?$_lH^@EwwSJb=t4BOt4x9Sdx~)F_`v8=fw10=ft6o>o)CBYFIQx z_ieR0FTAWMnpm8c-bI-k%aU6_K~&bD(4&B@$5uMjHY`|xZEb+Xkzsxmkg)mf7lH}} zX(cX>xtLaTMcga)fi^^auT6>36x3rQE}%KCa$Ab4En0qVdxS=>i5sSSHMN)cL`Fd& zRQ#ej!>CH}O|y%JQQT4Sot8$JpLj9eE$g;fZ~%enc?^%;T51(B_iH&CIFyL+U@2Y{ zR=HQ%|3X?8l~rJa0<37d7RaIPqP4&&?KNFgIP!}=)Pywos`NKrw}78EIYl|OoHeI@ zZ?TM+4~?iE3m!h%M6@4l=+|Iwv?7zIpi(okwGK4RLYma?Cjl4{Fnl*ekCmuatO%ju z>ptl_Q%Y16?*`9jByIGWZCQFf1xi*b7-6`CqG4Ntvf6A&^G=F}oKjL%_`A%xF{Qko z>pk>_C3gfF@9V(+6eCFt&FQdCh9j!yx)rgnA>{I&y9voF>Km<5H|Kfs_S#Sf!i^P^ zG9uBXykWS{PU-b*!6n-1F%tsJ+fFdF0*rfsj}o1|{pWRe|M*L=;{WmUPvGNkj${7( z`|rO0$#1^@^S}J=n}7T6o6oUHc@LK9714e}4Y&)@iz)2qUYzrSNAgWitCB|3M#rCY zOmsupAn3yhR8qd6xPwaqmfZ@6ZyzkO6__bB>}_ZgRvu5WX}NSdF|0mjGS+i|5cQFmdD~;oWP?E zkgt8&kV71o(ERai%8f0$x>y8K`;?LB%L*MESGU*8IGmyFuDzLK5MBv;Dy~1=Qz}ZG zO~c@8Y-jYSUSiBR5~9K=oP;x9Wm8*D3)hFY{qph2*WNZ2A=~0JpRb@XpXJl$$owHe zL5@+z=a!)1HLrZ0g%;B|S2>jVOS#c=!gy*%U%NNn3y@%16Q;UBllwmlYf8YyuRIcO+-qF;FC~VfgrY6 zFGY1d-{v~mkX2vPWBu2_feqn=lKa+%^`DVhNx3YK5d2Nv`jHjRMcaOvyiZC1*x~vitekszsD~^VWB}!~(otWn}EB4upZQKh>Yp)rz?qb@p$65J%t| zFN$=uP+Zh{!6HTvCjhrgIX*6xFI(e%ZK*5;MSN0`W7M(`zrVO!^&>rrzc7wU1@{W< zJ=zO%6~_s>0F!`Fe@hl!!{!`ewHYq27`!o3Msf|P^dJcB*{E6*8X{q#pw#Ti3*KX> z+&Ojl)_M5 zjN+7|VVh#~C#Lxs`sw?5!9FI|@n>KBtoZn%3LUiqL|nRNZey2h&W`k{B1#M5y+#>G zFitQv3S;Y?!gF6Oy-Lr@iig-~zQVfh5@8pcQuwVcWwbl$%%a9!`)utq-1F&OODsP9 zRu)mvIdZR9qd25okn@5?BCx}?s_1X6*EuPTP9k~;zk7Y2q0Cmf33D!#6g4S*B1kVl zq$hJC^bW)&WN>NEMlp?;k&IO|6imTbK`eF&kyB)Z^Qicu*aw2jD+DwHW6fh|jx2LXO9Ha{tKR9r%aIR%GLw$_c z6v!&w<}h>gqi`1sh`gJnZOg?f{*%$ivAnqUyfdh?jr+)maX-rMh$0CF(jH6Ga-xM- z%tYZ{3;jK~7TBbPYy=9C{OsWjndeeu@ksIJA@Jf3 zu_i&uxpBQZFDw!QCko>Ek=kEO?wkdFVJ@BY`zDnE*qBB%&mq+MQpOZ7E+FgrFfKSK-rPeqe zF(AQ+9uu7@0AN6$zuehBGryW>#KWOSzs952aiYAt`->Z;Q>^-UYI2)fSCirtC3M=4 zyK{okQQ|u30MH+keQB^-SVDKS$c5!8+Cr z0x=1>6!G?l+wd>z_WX~pFWy@r(p~Gk&;eGG){dq79NpjMLUz z5uON_mMrB@3X)>-r>8r;LS<*z!fwqSP7v?Z5Zb;K;Ke+#lYg{-nikE+I)R^k5rzHY z>my^FmKx#`u9rK6ym2!1mzcWMvZF-m5}U>hrYCKnpN9DO}g+_C`nHJhX)f z5BghVhsQ|;zz8#TYF_X%6#T6=RBe=YPk1>@PZ+ma>MR1{P8VKd@41`3l}h@((8tj? z2}NK+A~p%_q~X_8#OM}cBh$p!Pr9K^O-G;Bpywis?rA*|o$53m7wqFJI_nq}Hx1;h z2c>x_nec>FOIe$$%*Qlb-Ebjq$Ra9kiiA*DccB7CyQq>#8-f@d)!to<-dc0#C)e;H zPyO_ZSCv2ekrmyxrO7}$O95p#aX?3pB}OXYzLBndFm_Y+Ni{{xI=E?OaUMxX@u70A zlW=W9g-IM*u_{o2s)-%J;uh@aR4|4^m>{-Bx9ow4pw=m-gq$-eVpNWx!wyp)C75)t zY7{T`z$IjBj^TI67Ev;x)FU7Cq2bJojM3}XdbH#>q zAFx8fG{ulBj{JySbIa1Nd!;igCG=LCK)oFTXlA|2s<`wPg_%xOWVS-?ahodCf)se! z?smlWf?SO9$n&~|k!%zTpGgk0`MrXS%IJpjhIl7oxzrkLzU zw{{yP$)P7YD#+=+s|nsyBxeSZ`NN&=Prs%*_d^BLe^kW$#fw+a_!k_@1)cw3ZXuSZEs;^5LfcD!dqgc+j*t;=74cp69s*xiZ9$~1KCkm&YF=q=Jq2$w zad&j65T+MuSnC!6nnJu*Xa`rrVfMJO;SoYukG;=%MU$hkS1e+K8|izj>klotBDj}s?KT<@hb1}$=XU!u8ncAw3V@gf1aa22FkYW=4zL-Ke>Mw(`5N8Nf zidlDKm9B-z#iTxbtnPx1@z!!|ldCj}OEEXUKPQF1`m%fRS27pu2rZsDPlSYn)BcUz zbsCg*5YXE7g@HJOHuES>2?#0<%$|j8j(ZDxc^8Eeg>rVLbxEUXMBk#tWc^Z36S^|5 z4$$oix{%{STRj{-X^aI%YeA!Ti5-P0n#4IT2JX|lacdXQ#xF8f9qvaM$3^Xf!}Rth zJR_#kY8TK+IPU#$Q8NOp;wFQKp6I^?A#Q{(wVh44+Iy4j5HoR+Ts&3Mynq z^DIcK$rgil*$X)dAt?E5MB-kNh~Dcb^DUx`1)G?W7Jc2=U)XWf zQ={^L#5%%Qvr;V5XhsaL-G{Bp=|G{yoe;tZBSb1UYcYpWG;7?Gxa)mv1U|I!l#KRc zuJZ(!DV#gD!dv^0ceDkBvK8Q6RF+V>e7ou}C`wbHfzr#D&?Mm^{cC&nruiK~3rsDp zj;Z2oiby`<9q5&*TGz8)Tk$6Crsd(T$Ha=>i#;>HsN?4r?d=4s zT0Hw17?YxMy-@KeqFQHV1QpV5B=4IO^*ErOLc5`eR#lN1t3dDjiNw@}^j4?TFTP&e z;Ca;OF!WH+$%+n!e$v4};#`XsJ~kq+wD&8Yj}>X9l?6RM?RkZHY>7;57CB|tIu39n z&PMz3(iGKT^y3t666vsogXkl`L0x99AC~8j9ozr@n?L^k;~CovU-^n0Plu;8&a|ST zRfGwm(l7LrS4RpM-{YRiVm%@DueUg^T|+ygtKC!zYb_Gtll~Hg=KAJUL`t<>yAP;d zU2nUDTOMDi0Y=>HTVfE0XKvG(uYx z?jQ1aodwZa>u+6!IXKOKySPEiPPovmgo@ye#WCoY{7$`WP+}MU)ryXHVM4n-tn!8U zxL9;I=+RIBT~?3fzMt#09-*#Te-!A5KU2tP<&!n)5-IF>a^f+sCCc%{3ZicUUV7Ge zjj_4cwy)H(yH2rHRE)guw#P$1D@1=OyXhIm83hR!?n|9hBqylbX%kZh<6r%mIJ3Lr z#LV0t{Uinl&gL*X@|Vw!cK4yZs3ewvPNDg@Y?=>~sT3s2&4u-K*nFny33_^yUPzSm zT*sxgQ)%s=wB%p?1zaO)@fpqyVV@T@4{Is%b;L@vpX)qD?9Og21vE;_Bkv;EQp5zQ z3rvEps8zI}cYfM+Uaq#g|NVRp3O^1;O^Z~(G}Y}M0n=-#prPwn^J3=sw#n_j0wTo#iXhYdM3f0p{1qJDlAm- z{0t{2Kw}&M(2;xJ@AXo?wpPK_kX2QNL8sADji67TY%C>(6PMc7jexpB2J+p`JG2(2 zZ-KM${DgeJ`eSH%*gcAwHqZm=QDwS$RBfXp;Bk!uy;Fu1`kDd|EOU#G{lm%LL@6z==c) z-IZOa%xu0#ii<=xca4Ga4ejvS9a#_KJMBqJ7aV6*hv*hP*xHCQZ}GyH0a{nI%+gja zd{B=<5Vv0wfz$jNjbM;a)6pMI_hXfA1SvmU*Z%4szpPR6!;<^v*MI)qH~*nVQ|Me% zwlC&!TKwZtde{4Et37L1{)hK^`dArvWuUYNsY#3f#atdws8O2|`LGG0N_scB2Cxc+ zxb`X*yho{e><>@;i~rJXkXFx8mL&F6n5x?Tz|n=K!uOuwe>jc4-t=!E^t=p-ZOjN`9xf& zA{n{d-h22>)wDQYeJlBP2!vPj25`^P$_97nLsc!Xyauq}S|9n9p7#_xhZO9QGjZN36==B`# zkQon+jbf32NfY2~R5>JrsM2`uu2=3DcZjMT9DxKXov*BnU|qwmq$*y!fgN^bKqhq$ zbl6+*#}z4!!e22QsPSN9o*f$0N!ysLB4kbt5HZ>ddXIcG8@7o;xYO^ z*Kdr$Q2^ZbIp~{XEI2z^uU@vmR(b0k>FV;2wx)7{Qly9&VRYPUM33I3JM2WylUwQ2 zJvVZ|hR7gT)Wu@DxY)iPcWD}xkCc7%Kxp!7ME%lEu;xPm{neM9e|~%bC#Hh*3DWJT z*honlp^!6-SMa0*LVT;2X-6Al(D+SB=rEXQ5}{Y1m9A>=TlL z=8ejAfA%LWqF_0F47bq6xo~2)#-XvU=XCzj2hT$wP@uY0^I;JGTeS!zAR&)vs#8Ep z_l^aVUQu?@Xfc#Yfc4S~m-)h)UF-Z2 zCUJ!mMK}alHXFRp=+9d9vYPz220tHW$S&v>m6+VS<<+EuvQCNAd{Nd-0@nmxAF z^J|IFc5|GFLnwj*!%n1bjQ`~1rF1oUz(vn#_@w#43Fn6+kY9cA-0&+GZNGRqmA_v* z^2*?8;rrK*fjBkpt^k3RD~Sy$$Ol*_NPbeLR*s>VX{k$_n6N8So2KOIHEKw&m3-H3 zPud_1t%dFN>=9iB8$U;C+G9~alXEGEz*DZG&7%xN8w9@@&Sv|H*w&@a+-zR@C{38UM=#r^qIV z>?S%5JRYtXq6(swrDRNpg&3;G)-A={l2zb}3iVeL0>TAWkWDl76s<{|JZ;tr8Ytgk zIi0TJf@zsbVbO^T6X3eV$}T!M6nGM63)hD?_=g`uy?^%xq{>d~Kqx3h`ujN(a# z!J+Um>F!;k637&DMn+%3YDh&N#qSZds!cg7MT#A_(zt0iOWvGm$FQf>k6v}@^$gVv z)I2R^t3w~4Z7J-fPrlZbi=9EEs8!%k>SOAY@r!*A^RMk)iI9Zs_rg`3gMv*7wpM}o z`A&FVC~Rs|DGOmnsD0y=H6f#$TIV=%{<9*#`?gykE{-&FJSkY)=Q2ys3`Z^hNjyN2 zRV={cKsO_8N*ME{>v-j~6}AM~!=RT|-#irE(a^f6qxVTTkt@gP6K9j1wmViwi3g>` zcX-Q(FDi$35a8Uyzva>>@6ZJNVNSmmYQG4=Uw=j|`m>4N3Vj;{MG-g>=Tj^>VSYB8 z!ZU2@EOyeU5bV_oqX}LgkL7w?{9@}DwfU3&7kwo-9=^JseZN(DbvV&AbhK)Cq6mZ) z7EW7OoJC_$Vz?Eoo_N+b%wN88=)*n30yYVY^(QcqNf1Pl=HLny>0RWCn-;&~yYTfz zS5e^LLt&#=`#JzOv(iM) zoj4|FI@dTJ1#ViJBQ?`@Vdkk2RT>3uU5kIzw-Sm|k*+l}D|YK5@B+6~GmfnU@(86H z(bLAYu#EsYt!F1DCsaL5DN8AMQ}{SA;&=r@!;v3_2Toh@u|l3R;nx#)^XKU%t4je! z&#=%Z(ibFmlbYHzTFj9Hy^M3cf`-0^;;!7OFTsUFJTIh$`#EB@bW&0No5HR|Ec>{X z%Fr2q&6A+BpZ;6$S&8!fH?FD@7YgF~9~S1d+BZuAR4pvA2(HCQ>ZC76O!sHI+A%x;atbS+xZ(5nmaz%W= zt#F921?2^4(rhw^jH?+%>zW7ZHyQs){SS?> zpi*jjV%o>=m$be8zxt(xA0uUU09~9z-n)21Rf%?@*02DI#}!%I^6FNRC7;br$MY|H z*OCe@J$Ih_s1!sgwHsk4g_EW=XDF^SSz%2eoCzhwih62u4i4{L8BK+zd1zDbTVa@D z;nFTkiAs*tTliZH)7)?^gaEPt7BBeuB!5`~y`$mQ7?E!g-LqZK}$Mlox6FK)C+CQpT1q?`BO@28$@@ihM2w_vgkwLOCB@OGjyhUeL^b zYyXH`pnwH6l2OPDyU{+3cu6^kVFL(gWs z9QR6goJU;cQoMEZEm(NVU_Guy?h@v*V!yVQsDZN=U{nv31GSypB_f}rkE5>km&0s{Fz=yE+L!#2u)%Lhq{Qy)O6)aj-WlL&JA;PE+mE16yIJs z5M}Udl$&M`?Nt55DL{lZ)!kj=f>azwtnQ*4^zeAEII~e?q&aqEAukLowCFlOz@6Em zK_d{^X=G9C^rEqN-X1-SyE)IH4i|rlo|O~m)jo{LkC*#?|C{fB|IMF1xcl{_Ev$*J zTCNRK67gp;eQUOg5eox2x|_$QgWcd?#hLNIPtj_c=p! zOvocxE^*Kx>>4uX-4STTyZ8YGB89EDNYfJ3ICEQw;OR@a-f5FEJaly5ropOj7F^Rp z%Za9QMAK_@ThV18l3TPH=})&PX82A&cX{iO=rdN3JeEg&6NxIP&la{JG^fv-YJTD*q~Zi^6ai}=NBZZ2q3X;dm4 z!f_~|zs*WN=*o*mxhHV^=DBZWUs|MA%GF(+Q^|IBZ6hofl1NHAoziHCRSOtl85fmW z^fidz?0#0edb>NAUC~<;gg{0(RzRtN!l3lY>HNY75pm}W+eQs;Q0Prh_F^!a=#v#9 z942hzgmjfe9QIS64d9pi#J<=a_hS9*se}`j_M%Msx*M~;iyITX0q5JmU|6Ct4jmQA9LcOJ!*vigxPX5h=Y(xwhhu+hs4Buj2XQ99OP%G_li@A0DR` z6V@Qh#EFPKHj3xP`LGt429AC%{d&w=Vl`kRcHFt(tuCmd^u-ArmIDhjD3`cU!#rp1 zypdK0J$aFWjev%I>`~S!0D!O`zS!HNB$T&kh4w4u}efQ}$4(kVK+LrtF6Z)fSTU;Lo(iT>3K%jh48D;q@c85A53 zf|$3bYGczDdM#?}t#CX7q08(gyg`>{EEi_X32={aqq0QT$R7H`ZPPPQG`2UAw;vYX zf9oXSAK1DU3D(>d-?Xc^JfUPHQ2LH2tOi49)1XjRbH~kNDHE7SD=Q1QWj)~qC#FH> zJz+%mcRRE+-KEunp0T;XR{gG)vpR)#t_QSrQQ}3DQ1Hy=5cKOUAXB8|Fo0y*+cX|z zu(GvAd8iAO^3Q{26Iu(Y^+b8g&}<^`sak*&@}KFS6VHis zx#KFXBta>%h*?iJg@gjY2m7FK(!NDWxIxzF?S?kv49hwI)M(jsCOjyS(&mUJ(GA6*70ks_VR1Ksy5dNTdY5iWk zxWVocsm_n~c6LRi!(2JK_YV3}=;>KW_&^_5Si5x zaNS2x1hPm*F;lUMGxr-Icx8e1_VFV0I@)Q*qt$(2pQuEU#vWK}DuMAFv@XsRUMrnM zUzY0%A_{j@=^Dbpt8^pk$MekU}*Hf>I6f!=BoIi_K)i$}!r~;&i z{>y;F-tDGoUP5>pti`Q~0I>)b8hNPvAP7iJz#_nEb%rGL<3RQ<2{scN^>WS`36z%7v$#kxUtnli&l#Y>4UFFu!6P)y?7-CaiNlL}pR!WtHUj?3NRz}ENVeqX&?+|WEiijU^-oOUwC1DO z;u&fSC$+QDD1*PsNp;OhI z7Lo9Yz&~!J?qHK(cPFT+G{*vH!m3Il8pliq#zFc7(C+q+Pu=VBW=7 zWpklbbWd>xi#E~dTkvJmBy-?2?X?Bi4|LDk^ZaDvqZxR`A{3kOouwgBL!)tR83pBp za;K)0yh}{uD(yXIMceKKJ%}$;L%7b_Apwp`R&IEq*(-%37BgTkCXco@VicoBNNRYr zb7K%r5QEUBqsIf{3dtP5Ls+t&{g6oMti538gFgv*Cy6#NGMq!kUFvF!DB_FFK{i^Fow;gTM_M{&V>xYm2qM=KZkh=WtWdwhh0st>K4$_;+JaP4#M z)-SQETo0~c5L&8Od!o^T9@|sRhO6QWoilvEca#xh7k$fxpuvVL| zZOWr;Al50eois3_US75xTQyVf>~9l2P3+W~D!CMeo_p^1Gz4*pi1@;Jp93J^YO+wehJ1PHZdA}ZX$0xRP!oOm)w@Do53vSLMPGr=d@ZJk5D`-XC z_&4YegaW9kR^HWHYNS^{Uh?AT&|!6={2WtRTfom^ihzxVP_Qw#UMd&P9P5}AY^B!; zOgLs1%4nvR$91@LI#=(y#Ww}9yI{G%m`7;Rwn>GvC~bxTDC`OrYd{)8h=O|SQ1aDd zdBjk#gBOVNL$PzvPF>k}NV^0i_)rSfFlmhk;-R9Y5TN)j)_pukptGw}5`fJkCL(om zdo>k>ix|0fufe`c5oX#(`#d+MC(0?5-{8UWX2V63F(;Udp3jRe0?huv2BOkhd;RSp zp%PW0R;v>i6@~_IV(=KJ1Y^>^l?^i08Z7E-lp!W1D&{!xV-d*TUTAtDcw*mH=3=(l zXXaqBRIRVD%iWOSRt}~r3YGsu$xWz>yXs3>#8V%7QC&2IxnmmM>E8=lcy;);F`rO|7b@_(vf(nWFr%MUPlwr)|g3QYsxMp55QB zNov_WU60hNrVllCKmG9r!M`K-g9>$^eAa>$v?Z>S3iYtgC{T=Ywt}i~m(oe^6!~R@lmLo!9iR)F)^AA{7ox75Q^Vf?%vA|Fs?oXag!Cm_@ zJBoeuWRBhHc+DE(b5SBwm3#Z!oz_RpVXtG|Q=o?XbV{%n)SU|EdY!eLxC&fZT!C&* z&Shb@LLyg2$VD4`QM^r7pRKWRxtF!OEb(0JynbEr@+dyft1GDE&67wGce@9BRDT_~ z#Qkg}{^38-5d8O;?mG?~43{aQ06))@%ieLhSP*n}QJ^(P+(}2s1~qW81>8(#x%?3` zAlRV0`jjQGnW%!?N*f9dN$}yqWIhB66txGvS9~#90Tq?XLCn{uUdwOANl_na5;{#Z zbm;neDtv0KEAmiZV})-Pc4yv?c`T$aFhYfPiP`9XYi}!i1njEXi?;RHO?=3Ztc=id zON}OCbFiJ3{#L28a17hvcMGKuTb0|iQxejgLRS8zI_w)1Hx>I7C27(^)fgYeg(Qi7 zy_C0$Q%s^DjID&NY~zKjq7W3zR{7iyhwzIN-&aI7joqw3D`drvwpfx1i%=X?1*E9o z)O!o-1ovqd0w(b}pW?P4vzNsl4|?;0hy{N(UjsbODb9m=hjVh92@O8CXogO-h`g4cu@ z8VItlp*;1oH2+)^kWB3cj=FsY2W*mhu`8PB`YFD?NT8_s4wKk(a zy#CAJv(lB}sq|CcKnQI?ti7nOcE`fj&r*Yqo*zbpLY~T~$9sjQ&m=U{1tQYelH&5> zhZQWM@*};exCK!?i(Vk*IsRJaP>$J2c_raujUKvI;-yeXsr0U+Dwu9u8Wp<5pet38gsKWV|2!~8+%iT4YC?G&of`~ zzPi|~{#6u4Z-OIl8u9q>{Qvm1@{g}Rf5UI73z`h17uiHY>EW?jFc*K<6n8yhh{BnA zra7AMW6-Yzu~P1+;qKH`V|tc4>zM$CS4~q$`f8gQ$oKK<>IaR7aE%7P-?j7 ziLPY7@#(xvPb2)TtU;jWOux|s`FU-`Km6*8^&&t2S~VCEc;_vOAo?Z>XXvVRbUrk~ zWEq@gkD6Uv$b}Ew@DO^e{M$e)65)NQD&?g#J=ewE9_19iqk<6>0hO9X3h(W1qBGKy zq7WGyaa>W0BFvS7kdxS`^3G*9os^W_?Itp6Vssa0hf3o;W#oMQ59D2YJ71<`9KL` z-9SXjbIkiwo7xPe20gx#x^k!zaD2ORo8r%d!HuY#0_S`8n{iM4u%W+Pxc?Vh@&E64 z`mevoIbV(0P6oQtst!8L5p3z)(ir2z@OB5%5g7?pmchjcl5v-4=&^zm{#@oYEf5-_ z{A)XnjUoV0tGezS{NK_|M^(<_9zgG~;i&X2b}Zc-Dq5nbg$_$0NPCs&ZY8S|wT8gi z(c3seaehX>VTsIl9`bFj(f$kg(^!!&i%gYJn^A=2z(e4kOoT8l`ei7Tn4@#FuO|+! zE;`RdKbIF2tO~z|jj}j;H^NYkwz70`*ygCk5gnm#Ry0uGYaY>s*X-5MZ-D57W)bVx zYdoKv4Dk-3Hv)~q5$6GT5_qPYS@>XU z8HxL@C(=Z5KlI=3TOdsfu?mQYfzZ(?q|F6FpHWYksVnW;Kd6RqW7l!;^AG3kxt`tj z*S(H_W9_Mh-D%jvM^%tPJe{hkz6C1hDN0iCAGeLmt{L9D(6>cKl;9D`>Y)WpjKhZ# z{D1gL0sHvo_y6~I-~8l%ee27uhS!Q`Np+-83yOr5T)Sy*_RA zthJLqJpPYC(EsPR-+cccEQr`xB8!b2zc|Q8!@xB9V=_8ASMORU{VwJbLR^W{fhKH@ zQ0pk|KruwPS-W3MIU@ z-umB0W7be7=VV$@Zeue}t-;2V`euSfACJK?<@RC>wLl{jy(qg9LOYkBP?bKN?pPa|_FFtP(L|Z~}KbDaboPe9<`0<0m%;2uq8aVi&g#m>0gm zH;0r1S+ZAPIfWdrr!9n$5EvB~q(uN{Pi?!VoGNm3Q0VsInB*&Vh+m)%Z&%~+30P>l z=fxo3Lu4AUsl{64vVppnx!KiMxCJTL5#id3cTSPVrtA_i-+y0j|_&>ev z<(~6Q@7_`R@0doQMrz=l8TO7w4ISbfZWQNg-|pAg1*uhhh6(uu-} z0)S>2HXt@buL6GRTS0&`6sO>Hp*(luJUFAPdz@VOb9phhp@(iA&umvzj5_OWd)u^w zD%vW9o)axU>bI1ogM%#wZehjh1r*AoHFsp}84cwTw0q?1LZIFF+oRgH;4oOii?Ivr zdUxTxhT{C@pz){_(0Z{i&%IyRioM;^Nb;7ypvfd2{P~>zmwT7qIbDUii#|T}6&n4U zf60|b7u8V{D>Z-@b}mbNPry+xJtyY{2fi~`$_@2e`gAaj7WmG}tNBbcHeWkWEogL4 z_R!nV$uR{epK&N_DP=I+hMt{@r+Z4Tk*@w#YYZ*4m{C*pNx_NCw&$DL^vh;yYlqy+ zn2O1tkHeQ;9e?p7_~va)FnZutN-wPhaAra6N+fAtiicOYXZ6jXL0r!w7#X!u1F$B| zcF^@^Jw1AQHts_=I!%>6^1j-pqIoDB1d9FA}W2 zeN7hcBUUmFeSV@u`v&f?X+=c8Bv z2v6gD-qysZWqpUZI;^)N$)G%$@wHiE%a_HXPe6t$X~CF$aOFu@ey^$e&1+ZXTg6;4 zFk|eKF6A0+{F`e+3P3leW2 z5+P5mAI&tC-0=#S0Ba|XUZ#+yEtD^nE4=>gQ$Vlyc_7t9A<|kW$B>A>*N2X&x4>61 z)UVTxkny!z^EEc@r{0GCrNeJ8V6N9u7@#NnDSq8;zfMGE)Yl75lb}>A+KV44wUgnB zk*>04o1S+zm~v4tZWqN~jT*k&8GE%>t5RGxSX6adp2Yq>NEZIXFTY0Se;ByGZ;&B8 ziyEo0pmJ7IqR}8-H{F{y#8hvEx|>^JB0wn;r&TJd*;NS`a|Wr1%AhO zp>w#&jr{=V(uZB%u9%|a#>s@Ry0FaJ;^4!xP_bUg-Pw)!gt*PGsn);ucgnm*#ve+CIQ>u_$r|74f$$0@R zCwgEj*O65tT&I8{wgX)9BQ7J#j2lt)f=JXuT73}-zHw`;@qQ~K(+`!A6LW3vm7FRz zEF#axjT4_f8qpg4V=I*_^%s%hvZ#!_|GhF2hqp`r`>&Oe2US<^)tQJ2rI99V<?sWkEq2m*P^p@qVVzGqSyIo`_1`)?~F5q93` zAeIYk*r_O@hfhyAQP?U!R7P@|wEkKdiI$}mbkVEZY5H+}DvfNu+>}PLr@%Y6`u zTF71dnFd(PMTnT-)AMt0v>r4t2Keqpd$8E*sIi?2#-<>oa$aXwt`VXY&Y<|ja%?if z{a~@~#YIdEFmJt9*Fq0(J5&d)XJ$9zhBW%2S=KsH`s^BQU#-cQ zWrt2NM;jO~{;+RCZOT zlK-uYteAIN1&zKinD6sGrlv{Z^Gv2`S#c@*&@$IKo9k%sc;UQ4JGKyB*8b>=nkkF} z?Lnb6T0Aym1|XmoC@8&8uRRnrjaB$vf1^kkZ9**^opccdL;EQXKyfPKt~={D6d(Gh z+Q>1mygpr<=AMz#$nZmHWT||xSLoGlyRfy>lMGZT-FV)JC*FdYi`b*$PGK<@ERN2q zNHE{>ZY>fjBa_yE!gl?kHu7AzPD~G$Opj3Y-jTBxOZ*8QYZ`yQj zQ27#2zqhpc#l7e>t{JmX?Sj9SMk4!mRLssk)kc<2wUH;ak^7ZNLgUg>Xb}Xvs`J(g ztF093>*E^_THazb8t$zv$y-eb5J%!s9C2vkG$OSBQWu&2y)II*<|twOr7-el^=R|l z;!`%IaC0bLQKgTc>LS}{_R)+fcEtO(jMS`VyN1sgiLUVcvE}o#Xe#aE)O&LL(HHxJX%ey$8_RwKa>abJ+iOPwt zWja}HZ5C=71BNO}+S5l;P>+-!rGP-*wCPZ6(YxliG_o|Op`sVFYH~FV3j1)PftK~u znvM$Z0^L+bF5!nmf#n%A6KI{Tw=_~n-*DS61av#^yMG{i!n2(QxvXMrzpJKG(N2(jvH!;AYWd^n8uC zGLnYBA1WhR6hga);Ir_}RQ{n63-3+^U~~_wodWMeUnd^- zsXX#Z4&g_Qn-;kS4Z=fp+f$K9U_BhA~Pt1PZq9L{lywD+2iDEsTUL zYN$slSfW!GDfDaOE=m%ZVPL%=4p}hR4E|6y(L!z|qM?EW+ZBAPlt^M5tj+2;DG5=r zYr@yphg*POep!|N`S$n!&u_o~^G|;J?H|7VTT1NcJlE{uu&9-y6?ZB9CaahqZdx)? zm9m&3T8!qz33gtt(TscG!BQCvVFDzrMNp=1%{SbWQ>3GFMtwmM3KVx0VfygEU#9Y3 zdtmWf-kk@q-XY%KefC|^T&Y-3=`@c*RM^a;6n~e+O5fz6V`%2ninE`>J~8)m3D1Hn z#V@obDC9DMsyOi*ikFLjwW=~lkq6z2qg~flsJ(o>v$8ku%tr-Umzv49gD|@SCL4eRBwWh{D<-R@`>};#%I4qo7yl*W3*aCFvdNv zlg>pAN5K4TP%VSZWBO+jk@Y4~nkzT)=niYJ{$ojHpM;H_|&c3l(ww zFcpOL@kAPP%*$Br*A5ntn1jC#dIoQ=Ih3@ z`Bkq#@5mrvuVh3ExU2qohwpL<>Pb07#Ch4Hp>{?SeNooEut=HbVJULnM5mdg~px3Y?qKDWJfOQ>^}pv9=h~_F~5tcO4Fxxz3Ey9z}dE z)d#tBQH9e5{puD{Q)oX0_vtg%s0(UDjer>t6h&@(Z>5#v70SqFQ%9q$eg&A(5;&Ul zkSfN};7C!>@C+M1H`-nbs3w#nQLG7LU$ldWylJM=&A&lY1-i%E)+$JM3!9TxH02aj zf4;I9uO5%oa_?Oq3Ma~f_VG&$){)*$rqD6ktL zEFT09we~U;%X}J8MLN_)(yU8xpBUf%&A3u zE5nOkq&g|;HYihGr!cT}2QDEE+b;UUl$Ge@*OJB6+jDfvi&ic=7Vs3EcPrj30)gd} zPZ6yry<*TV447OrfVIG+R?facv95Cm_?pEi4$?t`8Xju!sCS}h6w8N!_=>yfkB>w@ zbElD8t7HwYaCFAm#&g+E_NFbyq0Il-Qxh91B1{^ThP$a!b4ob1qZF+@*z%Jpfe@n; z)WzNuW94Z2sYG=7Kr`Zuk8r87!8nA`Peq)w02#$j^sRwIXpsi{n(yXQNafaw0Rn;Yx=sH8WfR}n+F{; zio+O0?N&e8ny%N@RJo-%;CT_aL8cnfsj)rXJ;MU9gd8_rm~TH3wx*MAXJw-Mim|-5 zrYmFBHnu|w)&NmJuD``(8)Y9FkbMlzUVPB2G!_Ydw9XSv=?V3>8Ssa`MSBFZo)h|Y zpHHUMeWG>V#)*+j{+m$um5YNPU9{VmipI>ft8vu`@rrbxlZGZ7UCH**xF(V-q9Txr zYe5x{mB*oAQ{2k9FNRC-!t*1D@`+9PMTF=8x-{*3zNr9Ewg z_5^D6yG_&1*$Cc{`uVHnCSrS?oPW%`C2PE;7n~|bJVqlw& z^B^8vN|xHA`!e>V=$|Y7=XE_wvEcep?%XGi#(1#aeZ+9rDuQRdII?)fhq336~0?Cj`(F3mCO;#KT}0)4kq$9mEP1t|T*sF9q(REG0tvV8L;WLc2Epo_fFgTIs%+y5!#e zhOi#x^4s^4kP6B7GQ!#vFNk%DbwUJ6m8{#&Ugh^aWc>Sgb-}rVkZ(`T3 zbFGe1o-BslrpM}YCTC%l+`^&&cA*{-hE_gxtI8F^g&C*OTB9b_V**PtQ5gDpG);=| zu%- zr5#pEcbrpOun)n3i;G+;7I0m4Xo*}LNr#PWxzlcm;skVX;lrTdo~gK99E2LojJ+V7 z2pYzOxfiNAXc^nDN||{cr0RB7e9j#9CMu4d&k8yw<(``YFH#*!%K6oK&4~LoN@p-r z?^7TL11M42jlcL=IQQ%)CGmr{iJ835V#xb~(8f*IB7WnJ!hLj+)~ysz&FJgMX%us! zK#>vyUJ6Nrn{!)2UJHw#K(!C)(^>8}1r~fbv|BZx+-hn6P}$x&kVBtDA1Gi_#gz+M zy z$p@v@S}lPZD)jCyVPhp?>O1tWO|&R+QBgMrX2OtDiBNDVAvAC}P${N!Mm)E2!gp!g z5!|>Oqe_FUgD@1np^qNu-qaC{<)7mko&wwDX1bs8&{*{9jbtT2v+w)P$!o+!UYs^Z znuJ;{T!aH?@u%QMnOGn1^IF24p0&ZH$8!~Zmf1oh;k`@^qVx*u?&*7W?iS}sDs&3# z7SFlW`mOaDjCd~Q<3=$&;FoLZ_s1B3IwX$MojW>5kBE8<4fUyg-yYG&jv`f7AnkYb znZ9}L9SCDq$PwlecNV}qIBr0j_QEgIrLpO9Mx;dhXL6WnFbNkw$Y@|Xu>mbjaoE~Z zJ0?x2?%}dRA>#40?sWycQgeUhbktnYI6!0o*Mmh!-xd}l`TWx`|ae1)M0HDXEaNU zhpqr6b0mYfNk9+kF1VyQrw~LfM%P;@R=(F^J9Z^_%9veh*Y=0_XAEeFWid#KC2krx z#dT2QFOC!K6BmP$Z{~>tD(asNH!_E~y#U75CgN*`i=WkSL+h=FCiacU^_V>fOhNGT zXasXH$!wZ1XvWK{QFx_CS8}#5*E0E)9S*b(rL&pWHF+b7uOx~P*j=Av*wNlhL>IoB z7$|6wJ!Ny%hRK+~i-P3aj;0N8X@Qw)?^giw5H-}?303L8w+0(u%O-Bx6fnie8m&gS zkk@D_fIxjv96MlM--Nqg9k=-w)gd1J_WG~wglwsgp_H^%oNm^{&mCcokoBo?4Gw+A z&F$Jn(8d&is(%=bruie}`R{hJ|KgKWChap&(r^SI_>ID>3HM)a)|4Z!g;3QF_lRUT- zSrvWCj`~i~6wH(sEalosgX#r~&=(i(PD?9M-|VU65qQOg)WkU6Vn}Y>J`Btk>s^2G zl`1gs;MvcdX%Xz&A;P10pRI@{A7aub%a!n**g@OaJ8L9I83A0xjV>k8^x z_fHbCzwy=cF{E^2hI+jfMA}srmv8-_9Y@o6*bxN<#V566xJFyyd9@SF`Ps|;@+)Ow zUn=H(=et8;HJG;h4rV~;37-`1dy6e1@moM@iYICf<4t!Bu@h5LKBh=p*a)Z6)R-T! z@--4gL)wU5FMLh9OqCF2@O>qVYPcE!}S!kXna&rxGjkMpirsU z-DXO-v;YFV*L>%dsW0FnngbH?(t(J-h!Gang;TgyBID|4JKD>I5kU^hbo=D+P#{5MR|s?`q1tJcNW8Dr-I3#X=M^hZl%1UJ z_5>ADP>o^};#qiZ*W+YblzLtPznv^*l8QE3)*s&LzutrJiys7Cxh6@-L=Mk(7j{Fj zSKkTIua_5)nm(P>(V#Xs=~guHJSOH0rTLA69p)u|O)mXhy(TvtN(2{|0bV~M0X^qR8&8A#+?PdCv;q3|A~WJgj8yo*J*+%GX>(~Y={{Io`(pi{U!|Zgngf; z(E%PrC)RRf)7#<|DY@Gj9LpdV(i$q;0?yYFsVvvi#1F&wvo9azer^1k#tthxd;}6u z$RG=teC=Bly@%r6YlZsWld1V@V(cpXBSCp{Ysg1Dhqa3t=`;Pu2<><1Ns!=Bzf-HUEEBJ_WEF)lY zmbf1?@!~rh=eCf=;867Qio0l%3N&(oiIb$on#qy72A-=^0R9SSH=+NeJpM8sqh}F+ zk6xeWZuk>I0MDinb0L2)kYA-Ge@A4WeZ}1-SF1m~T7%wZ+`a<#&iM@QWt20;ppJCl zqQ^-zdO(I1Ix|8_X}l^u!u+KwHoS7#9CPXaqZ>lim*S^APXXgO-Ox%${!%H2esGau z8-({4M>!+S=4;qySDJF~gb2x<4-5_w6Q>rar@GM!r==B*=$h_EVstAgU z7cEu-?=gY2aq3o%=Ke~v{C4YBD(sPwSf`M=-tbJ=2XNV{C@cD+(Y96KbDrolX={1C zZJLzxY5D8l??l~$XoD6CsxgV4x;(LaQ0zFo@aHVbssco&QZvm#AvIy5l&|Rj2q<&T zd~#xX4o5SsHjd)ev78vHHA-`e^qNY;Il@lQMhl0$A7bQxy-DazoC05|D|RImVrZy%6OMu$|GggVH7)j?f>0)2?!rD> zi_y;E4^bQTq*2mrS_p$a4h?lx5L8AH0`%Az2`QzG2$yK37N1pMxwt%uJz5P*!U9iO z=ks^@#TSwB&%XiyKUt7r;jy$(R>xY4izlp6D(0gKiKX0l7Z-PqPN+)zQziq0g9Y?^&K%0oeO3A$?zcXxKa>bgTTeWD#lp{n8*^*uf5NsvxfPNX z1WuI2w2BpZ)1J@3n06Rs?xlrQMnVa1+O0Jh6n$T< z)AJA)14`5jqns4EYYpb6L|Jq5o_NlU8k2M8c7_%WwEvufZtVx{nXFJxFCW1!Uzp^b z9E`Y6lDCh&-nw7542IaY-`$^0aXx8R_)EwEr{Vjx8&@!-umM3uD%%2G*^6@lPd(p# z(G&Y2qW%19RUdyTE&89|{a@ew?*CFe_$&roC0cCa5rLhjskU&&))875tjC4+?8=P> zDiqh1J`&!wRV1+9B$72TxR^m{ zvAgkHMj_go5dqL|W#N$GpTd1Bx&~glcY)$qw) zIM#pvgLFfG^)NU+?;oc4zb@-UKRqhYYzx{P+*@0T&60zR3mF(%3@D36GhMQ?9WnWXV;3GbT5q10t=g+ueo|M~2Z|2yVi z<^P0kcB;y%TCG|uHtpuu{mTEDALRcGx>Y~O|7|}GTyzP7mJ%V3V6M;Q|B}=G@@OVH z6q1mz7IPy~vayca()UWQj74&T0}p&k$8|@-9||E<>*IK9wY-eBkwNwfjgMm>24O}V z+U1-ODkX{3x>xm&LM-L0SvWiUr26L~9eNtJUadW}x-P41*I(&B2#+?9K{y@h071r8 z6#Q1jpnDch^Mn>FjuCqu=V#J?J9;igrfV^u84uT2vrCg=UdcdF3N-9WqdKRa?)X9Z zuRH8h^rNrx-xiY`c5g-G8fZH+uSqFWP7^qUG6T=5dGn3fk_d(X4Mn|;qK~XpCyrdi zqUnt&T-d#;-^8MD_Z-JD;8;qdR49&@JXn2sgl2ORhwPt7+~l&+(0-!7xX>$AaIr_H zD-88ui`4{WE}dLRF4{>}I1OQdSPstAJS(a?psxnt)qgAkaYnusRi1O?$f zP8&osB2Gx31poe`_t(8<|DeKCe2JbVg?mqrw&3xr_Gc+Dq2m~}zl($a{)5a&(;nW z9NGuwS=tg_nB>CwS~NfD7%;2XF=DT zoNEm~b*^TvoSR~96X(eJ){|+=h;eeDQ;()UM*Uu4D=VrxSF*CAk`?YI?y4NYC7Yvn zRU3`WLd!J$r?wsY(FckaCv>{SYqTWejSP_()ax?Rlr*Q!;*=R?_zcI=1LviVXN0`$4XUQiB9u8S!aS*?v2z4E+J7<1W|C7ZW=&@7LvZ=piXFD zX9r*$9P7BM#8VvY3T?PFnr{vh}QRa2b? z>uEiR@|u2ItzZxq4kZ`*8F@oE-BGP5iKuzj!fCr)&sJD-&FyWKKcCm*-BmnsD{3td zsB6&8p#>l;xeHCfg`!wxpKZFLoR$RDP1~H*89Ahe;#`BYgPyq0l2Sn zm+dL}nn?_~%`N2bx8!W+FJ z8t&Dcb{2>8KHf?|8K6jy+u^x`($k=Q;ru%F>>IBX{gZnKF))pz=0#ZhO8bEUj_Vao zjMrh(smE6Q=jiyA5a%rQhrb8D^2t^Hky1AFVF63 zT8D}x<57UZ4XFxycdR*Ph?6p+;1uUXaGp^!?#0M@r>AhjsvpP-M@RNozLie=*^!^s z*C2~BXll|P)Q4!l7ey+HKf1{AJY$X^0mTR*IgeecCNsE3_jt4D(rY{K;!t%!FJE97 z@62$CR6M3VSGu)M1uIh3;O{=O!LHF}?LV!99Xqw!3ZYbcU^uXOX}bP3_gU=CHP2lH zzR~#N(hk$kj`<@<*y1MG6vyuqKSty)3};guAfZ;C%CVDno8KowhL^ZU=VAfKm$VVO z-okMdn0L7?B0%U4G%{qdRmdP{l~m=#4rCV^+ai!TYE6jHHOv?>aVRXhAs~E*sIj}1 z^lETNG#vDHlj;0k;mrMRmOev_%Om$KOY2&%0h|a{eBbJIl_j;34oV5#>1p1%3ymXf zxAPT~bhwvWZboMz-B8S6gH0khVKZ~ulFAE+VJmIZRH>KI$11QYq3O?Tu3Q;$@|8RG z0@j@h6`_~fi{dslS=wMdhquv5FL^dCsrCx%hXmi9LQldw1q%a9Yo1fe_MQBkk*F40 z5K^E%gu92ZvY>^QTTp~)O5rYifY!hG{66s4-+%L`A3y9CzV<>~UN7$*gnn|}amA{2 zSjQ_WJgimz!8CcV@|1bD*z4N?gd9T5&!?k+v-ssqq{6^cqBA;v8B{-XeX3{(e%gvh zRoM&?u(`|}e@eH@j-l)`)bR{OG4Kuwd$v`B&>5n_E>Tv#X>i7r(cp@- z@1gAyZhEK&>ik3CEOY{Q44cbw<*50jEZ?sX+2&0xjY%Pm5Ei57UzKJRTPVSc$ zy{i<_O(Ar}Wv)jlDp}^eFAT2^mKgB?;T>C?*xa03nm#ug&l*9qwEBS|mGb!@PWWPs?N|i{v(Qe&MwSlmDVRfbb@E;4Q^CG-(vCP&dnf@%wW1m7 zIt=cBis1@}cc~l~>~LtKOwORihbOkmV&)u`Cxj!g8PebFhF*1A4MVmhygNS}9R2ib z8cRRER1h0!=mhnqq@@2*^}L!VMG4A|1}C4Nj%h^2Fx0@79YK}M(@fRR2tF%B-3phe0kQ3rOG2~eenkkP z18Vu%>mwj=R3}sh_0hKE=o;@|QQKW&6{8vEHII5txi|HQrP#A5ohX-wa%hJ~p|+0o zNgN9A7{TQiV3)q%`uBa#k%bITg#zSkZ+_44J`q5qIO|Rj!zGs zDak^T>)_~5q2g9n?dXT%3$K}}-Pyd?of{IPexT|_`$Ps7;}Nde=m!X0j83#A#OFOO z?_R{ec_B@p)TRd3W+QU^AW}}lSj#p#>Ua~!BaVYB;RvXjzrkd}_4qL0vyMx=;Vy4P zhYY|SsuwQb!s246Svxp5JaB}FH0;4CTD@{VMZhDxf}cpm86{&qwKmM=RHiGQ+={lh z&C!{4qPefdQtlr73|7lyXC)71TYyTL;|#PADJ^LgZ-NoTq6Vealox`%6jrQ!a|@}b zzAd`3nuqLOEan&P&?!;_a}48vFlIkmrx1HOTl8Ami3n2WJM4uJD)>Z6`S#+L+T!QX z@N2X)sWP7WCms42zHZ8XP2OArP(Qdh4bZa+2R8DT=KJPM;-KgPgZO~V-iig6ZbJAYHe*UCO68sQ-33ZoEAl?UV#2cD+cpol0;FVW)?ZaSNJz6 z{GEjm*Em(D)(n3yMb)K65;U#?%`H8G37lY#EKn;7BnT@$qkr{P2^#4cDz7po$<=Tx z=qd7ThzE$&KUXdEbt6b(@H&B@`NV(3{gC0B0)16fIYdK6f=vqP7Q*D_@P{%(%5j8-?o9QqS@RXm z$E#E%sR|5dB;XpiO{+C5dc{O6Vj7vg1Kd|>ifOkPct*q$5e~ryMAoKI!+j_!_g-hc zD=r-sUAu`ERW2XaA(-=z-~QouN}2!m?blZw*F_g~L0RC9wmb+71|&@Y#fkq7^Gt2D zd`~l7anIF++-uinI<`Wwp_o*J?e)nj0)qt+?y0mZ(m7%f3(+1zAxqpbi4Dhcil*8_ zq{>m%0)EJf-)+Rj4W80VJhgpIE2s6@Sky^gg;SgGhCvtRP_m4iJ>GNed((}qC?3QW zEte2ppA;3uI)=V?u}D(w0ek6BHpde&$xiKT3X)gyuU#)$1|=wzJvE%}3UtGsOBuH( zPbxu9?LIa>*Eh$~PQh3#POFik6TDbOtd*Dy?Qc5^5G(rcCt!e18NW!=YbC1nDkbiYCCeP5=q&gC$jHq6kg3knz2N{aK25AzQIxW?fXo4S6hC*R z=EQ9n*+Zkyz3rm*M}G~hFd}Uwxf6MrDS}MXA$GYD@ufjOygfM*KDltsaU}g?9jn5a zi$?+sZ}NGqQvqIxs-VPDP&OA<33wb^(UeN{I_k2s=0kC2STqeVR1!ePYQ|w$5*=n# zG-+?KT!kGhuviT?Y1Z_k7aitS`yj0y5hJ0kh1xu^d!hAKd_&L31X0isPt7P*F4}gA zBnn6ehJ_V-4kc@&RVRw8U~%f(;ff*)%$6{y0D9rNIzr-FvQdNEdf%Kp;G94i1Wh{Aiht7&!+41I_wkjb2sRZCsg_6rm&z zDloLmxKL(q)3GQC4!GrsOX`2nAgr}FSjizM4QrKnJc*rXz&%gVAVJKrIb1meFVNvQ z`)*1VoO64hH$sHr(dP@f#FeU6zBq_JWO$#1bi(T~b@a2>SFlnKIqb_l&ri4MhCUE) zJ{_kbj%Vm4RHSfG8(r~y9DCMyd9TS1-NzD3)@UTalcxUW>o}j%WbVp=`8xU5_}Xk+ zicqIOu(Iq%97RK$C`H4I!zN*KWkIec>9oU1!k|AAy#TM^*x|w8T6<}Fy0p&G1)(-} zNbxObP-;O^SYT2diHp(p>X~uwqZl^demi}KIFbMfZbggLu2&QzqQ~Bf7p9m-yeUsa zqQ=wBX6g|xtf=&fVmVZyO^c?K!eFIKnj2IuuN4T~>m#SMY;T1y;Wbg>wKf+?LjFb= zN|Z|hUWl%V*XjEQH#pkdxzms`U*i{TouRa|h4ZyzwH1X+?A>p1Ku(W3R||+?3maaU z8?Jje@%VLi`Z1dTmGEUpsNdU~lQ_KgdtRZ1SJ+HRsI1GMm=WWZ(NU1cySj+CV9FAe ztBzG@UFOn-)c}0fo<0i#KaWKWtbtgS%V=IjkVG()Hc9yIfmk3ay^7qA*cR5eoGS_< z7iH#jx_-$#aZ)$?I zg#um;Lt(tG*91qX>%&NWO{U;SN2(=d6XA|YlckVgs?Af$fq@8@LhyuskuGu{GS>i%8aic&=z| ze!ZR)-?f<^SBz=O%ErFYt@>dB{tMXwQsJ zpJagKrf2FrUJcXnleYF3m2-IFqReqcE=Pmn4%Uh7#Dl)x%^Zr6Tfjky+#rXH-o3)j z#dm5m;`d|dV;%F{PLO(Cdb(McMqlk%dQx59bHEBC$2485LrnV#y zlF$eoG;5$<-USGPf^kCz7QqteFTa+*Ny>E1S)x$ z-sjNvl!R8upk>S<)6&Fimdh|EoE4YNQ3RAu(Tt_VtAR-uE~ggl?Azqy)M=0YDj9#g z&U7R9)*`u+$@`!~t9V+JOm1o-V&by}z}eG$r?qg8x(Yx|y~q?Yvcn6q>p>hFPxu>t z)A=AN<2l%j}8-|p88Ouy7N6T=6L0-*M!U)K?gz(U(10!>*{@KNua%hwe|#|VsA zwGFLoz{q{NU3eoEK9wXA?qJ+QgSxATyh@UD}uJVPsxU%AKeYR>g58 zDh1Tn&!MGiT&?9TkGw~*l8(pxkZ*qb=>W!e&FTOA=l}NOKmGaN&Zx}ZhDBp5=mWbE z-`?h)?bI&9bz1OB(9k8cp_cysKEYLZYPUmp@!GwO@1#2=zvo>}yonOz;hRF=qP|mZA!f^TKYFv3>9lO$ zH2bG`{@B5)M{?6g~Ae!~--+XTN_OFJKfBf%1{`K$v@vlGpx9?D!9)_poDPP+^ zROvujZ;IzM1~}e?F|AHPdlo|fjc0`xKEnNadi4_CRB+qU^USvuWo_}2bym9au)x7x z7-zp5RjbD=vBwUEMGryPHsd!IEVm!%J6*nr>U3=|4<%pMWw?jMsI|M(KaQ?3|KW3g zT8RDfb7yp=I{o~U>yl1a{Tt({C=t1xx#I&gs@v~HsdBzdD;df!hZrYr-}hz6Dbw6?xHm`2{ZMITVPChp(3<^4Rp+;-9TfPp>m6Ow?oGt zrXZyI>c=090@Jg#Wz#i;%(^>t%BRq@ z)5t`i(_BgP36IliM(=N>n!;EFsA9y zd@tcxI+(qN%9ZZ)VjC+r@ve*>kkZ0Jq1p}o=vw@W`Jr{F27N-kTR=a8T;LzY%&0dl z5cVRWg8ZJLp`F`I?VrVEze#p5I~C}hIr7IR_W3Bsckug9Km414gkOIfM?+x;QzGoa z@iEAlBBB5lwyUU)bVcN;=pEYY@uGwbW>0kKW?nD2l zAOE^57eDtnM%&jDETz~8+8_y!)y*sVpdMy#bkLcpd2JCCK{x}iqL-HJ?HfT8HcAW1 zqge^8a7#`V$lZ@VUg~0({=Ee!>7^g~84CGFS=w-;JFoOBf=)|e2(-to-55?QexgT} zVMD=PQe>v1oHEFPX5GXQq&4LxMJK9@&YwlBUSDkNIsteocWI7F9lNQ@U6^5@BiU1}h-9Z+eifZ-8eN@{zX_ zMHKB$n41Yr;aJLeQ>f1qu3fgg?=wc6J^J|o2rLCWMOs0osMs}*l%`(gMUE6LqgyK( zg$|ci1_R2e-Mkd6dUAUFZ#1{n?uK~hrySz?l^G{N?Hcd$x~i;o`$kk^jMyS?wwR~X z(_5&(2VWEqb$I6C7Pl&fO_44gilprKyiYclB4iY5)RWOB)LBg1wjPHBgS2fDZ`GF4 z^C;h3iLvoz;#53w>7?j+260FP+uG~het79pTJkiGy!p7`cu%rb*{|rQ;P#HWo6CK? z{SlpeuU4tmVSbk!cdtUx(O08vhjJ<{LLbiCrv&+D9q`bmZlqu85F#N09_Y!uQ8=|V z$|6b$=N^TBqfNqs2cuy;TVlI(a0_2>dG5^b z(_5i@EpHc3w0terxaR4488?@reHawT%M@nd+IT8qDixl<$1XxX516+yAyYbbFE*v> zkZH8Kdw92$UsqH;nQ6QcFTr;2Lpk-=Up1_K&5O6v?cFPizWEX z>*}R{5urpxhYjV3V<)FkZ*00U}ujYB{gL&SsKc5NzY9jELe~-{i9}k21I+H=i z+(#0SMGhp?s(2<8>8jDAcVf0rp&z6(NEZQtqft0XPI11ur5LBw9BIbtmMH|Us8hHi zxjR-G^h@{ZxwFEM9t(Pf0N@&OS@SuEN2f@uX5#ppz>@flUR?njjj9hv1JO0wgDgb4 zlShstUNt%G=`1p-Poa#onzFGVw-c2VTC_@Mmw1?hf~PNA0a7pJUM&qS;S(<)COv9M zSk=`ku6l&T#2|KorZEl(z$h^Sbm+RI>tqInJ{@n-ww|~LNF_G?uO3O;tY6F<24%Z` zbuXM9xHF{4EDpnHymeeouIL)q6;a5$2_s?ef*amkX5wRGwmnkLZY|-|Z^j2otO?ET zJ5mD?K2;RHD2j|+Kh7Dml!GbhsM$B6iJ>Y@rczAImx3_9gln9}pOb<)DB zpzXY?0sZ@S>jcqzUb`^PYG!K+Vz7ZIwDOauukS{SHL}OCOj|Kf-n_J=3uI21|t}-iJ#f?De8vVk1K%#`#N$7P<4T&D)pyh zJQcs-ND)>vehPg&xNQ6SVX?mSSo!>UGkr7(FBo}OJH>*EHbJ4irV?yW@2`S!RqILg z???g^@vI|>>tfw0;$CoR=hpFFv?tJm){wAuf@W*m?BF^eCOnaa*wj#{+V-9Lg(8KT09~`@!kqeDOiwEMt*Oc;79N3-)`EY~KSzg+A&kCL<_S=iY0smbsnaosc{_sx(?7s61XYQi4{}aH@rngU;;4 z`)mG-rId@L*SppoP`;RglfQ zMdagl7&J$Tr^5@zDcNd)kZwWjTzlUNy}m7k_a$+O9}HV-SiWf|J1nRBft$oRk?Yq^ zT#yJ(L=U?&-&zdL!CtmtwmcLe6ICG~PF*Cc}k5(NYRnV?K*w;hZ~|_{QT8K|HBV|`0?-l_|Jd-%b$P$LDB_aY8XhZ zbVTAg&+uId_$%5wJ~do4z`5m4ie2FuXTXiG-3MMiT2ZI)MWLVycXKg(;{{+4{Tp|E zP=8SD>W?T_Q6gSQ8%{V@d2q^7*wDJ#ym<5qp5%d9wAHkqUs~`Zx6R6mw%s_u>$${Z z38)uE)%ws6$P|iy8UG^&3)K6q(!(9knNDHZI!}8EiN4HoAH{neu5sdydOkb!m}lO5 zF2Z`ue?VJQsYz*gEYY;=12=@nhDM%sQkV{Xx7;oQzQcs6tfsuZ#zJJuU1mIsv47lW z?J!4wcGsP+3Kb4-m0kPS-a5CUpgxniOy!39qJcwacB!oCh^c?tQe1sNHLY#`Og6%Z+EEf0UUXBkB`| z008JB=!+lR1l>Hc;gtkGX#dlmzt5m&cM9;9bkbE@wJq;%ypk-cI<1Ij3}4RroZ2Kd z=#ArWq8Oocr2~%CN7JcKm=51q#Q0tpQ#gUH;(qEB6xVewow&{A^#PMkw$itN;1jcA ziTL;lSXrg$gxcz;kgiX|T6)V~%4?`oNt#R!JRlVP)ICA#(C?tnd zQNdXus~0~qa|COuNTr}N=Bnng$W|m|1pS5Gjuj3mmyXY+S2n%{r)7Kvo`hwOX8d&T z%$tAU(VLWR3!%9&2s$qlUlgwdP_bH3winpCx4xI?lL-t(4?QeBBOj(k+@*R32J|cy z@qO?J(OU7{a<;dLk}UMQ$~@i~E`?kLTvxb%5UKTVjaHl+!2bpcsRO~@N@`L@=$B&O ztMA8-Oi^Dbkfw0cxyi#PQ;7l7yzICZb^a786!2zJ#qQzN8v!8X6U5pC6lhk7OG&1G zh)|z4k^JnX#I8PQ8GUA4gi8dyNAn1Zc%+|Feg{5(VtrFEY8P%edOnR>P?Zoeawqkp9Bvcy$sw%7)6ip=m!N(RcN9wWc+=n%Q!G7qU%_@gUe1 ziT5(%bpRwJ`VJ=4Tzk#-xVfqJS@!Sc?pC@JVo`Py7egUX0jCK{;a%Sqr38E%ZF&`R z(jr(2JQwQ?E-3Tq$Y#{g6lds?E%Ut0C4@Y&I=a$_mHXBAjUWH@-}Zd`{*Qn87v;Qv z-8>A|rJYZscKnqhf;81cbQxmwV&g@bSUAbtdq{POYw7)D;F(SHSe@|^9R;1obdQU^ z&tBgsOr}$p(cO)6*}6`rN9}Z|b?E)g#CqJV9w|*`0K}>l-vs2)PY{_cL7b3V5CQ0v+FB;r$Wl&U0gwwKK!U~h{ zX`)bJou#g^i*mY0|?JJ;uUcTwQ zo{VuNk8Ei>msWLQu!8RJx(~aXO8Wh2aZgKT=$0+I0mGCJO~&9ORSGKeDmh%bDz$IpvraZuSa|`NxV@#7MyAI(d{g zrBDP?k(LU=DSGxuJ`H!_#oKqe=b=5(=5$;)9w#Q#LlYG*zhD2q|Bv4p(2T-8X4ghW zw5400D1vuy7~(V$y_Hia;JGX}wR+@nkpQh9$`a^cW%NJpH%DV^RaU#(wUpg2(ZsZH zX3)MpOV&<%ly?KV`jIM-c9~EDvOYk{%njt@Y)c-i+ z|IH4$-miJy-Y^4f#H*4hJM$BG-nZy*$riNLtD)zQ9IfdT%^w}*loxUdPH{IUtaC@r zFLxUG!c&-zqmV8obcT*mM14|l+ORFWc%TRlr@$q~nbuUkF{o^dis+?OeYH?D zwX$9$66>SwiLgC~Q8u5R1pQjh1;~ut&g(i8gKg@hVaI2kV9MeYxpZ z|K; zWX2ZThHZFg(Z1AD3xA|NBc)%fK#dS>?RPm#c6#9~U?mwb>%9!7f*@ zHJ^uwMBZJ36eO%=s9xV7xSA5MeSHe+H zX0E+(BPbB4T}0DH6pNYe@d&1e=njOuCg=v&H5bp6w7-PWL^>jal-{8Xti}EiD1ZAO zzY5=P6ak8i-s8rkLl5W19?s~|IvmbL=d{N&nngjITq)r(NJ}V88?=kXLJ-Mb+^9N= z-g{l!!!za!lPE3j+=7~0}y~M5Vv zg&6jIF;^d+s&EP7nWxveE8GP5$ar+a6E0il4|9r`28M7I$HbZ~O%yu$E;4x(x=Gaz zj8@SO>04|(`S9N82Ofn@-YjC*h=D#zBhE9CHt7ZxxXTeS-B*!-M(nncnhyJYg>{%f z;SC!)qzZ=Ur^%~tb6a%F4C4fm`^VTQMkvAvJ_{sPx6C0>4mdU#?KCB^CN% zc9;BQyix~SK;D(BxX@`wuJ_@lAU=BkPGmdZ`Wx@cqn&+k!G*}cgAjv6W*?$Q3B53T7HT=k%nu9xtffj+Suu6DH%5Lz}#W`JzFI zc%Fc_6I|_Zt7l7bip90Zi&dh?aURi@bk%pxmZ*PwCxCWwpEsFV)Q)eWC^v#F+C$-v zIi*|Bz|z!z1UxWrq)>wJu^ZgT1q4C`8*XRNy`&lU(UuoYXZnN7`&GD)Ikf%;`4eP! z7`3A?Q5J@iS)o5C?t&idNyErCum&_kSbh1j2Lq;Q%XBtOo7Y{%5%jugy&stOf81c{ zm%Fe?Wwd&H)ddPDV0ZTj@9)+?h31D|QU#A2;jy9ZF_|tE6upEg(bk*x5_}6ZNC;){ zxT8p~?|QqZPANlY;ureNNY&(ANp+q9VI~Um{jI=ZyS6kQ# zZVc97>NXuTj`qBze}pnb(8Zz^Qfz06H7ThHlBR|{(zEmKoz0_%a`rsVBS+NSPaVT4 z?2lfx(w)FuG1^~QD8Y(ItWj`w!F5mkP`h2nw9X|oYoEC=3J_nQN5OMpj^J=^7)c?_ zs?E1z5?#U^v}nPoXz4GM>Xju5`0>HeV$hYnwYcea_EU%onZ77kHmMn~5ml)nS!E&F*%ef}JBXm~6FH!Xj1xEMkIg ziwA8Cu2;|&EvUT|1S7^$0PqTdPYzqcJC}?Qo^~>Q@JW&27I6IVVIZipYG%3#R8%k=a>HM+Si|Em7C^ zpi^gx4nj|X+`-MX~}N>J?baOc;FOlaf3CBQK73@O*p{$N^@awV;} zhefn+G@QDdP%V18uimN=z$*2@^(K#U1(6JWs`9-M{;#&<$)|H-e`|UF=fC{nhadm? z=YRU)_dk43?n-a?Fji5D)}E5~zb9pD_J#0?09P#Z#xVnn>5vwB&!=AQ$l_7-nD@9c znmspmfeNp9ZY+dtNKDh?gn>AgDRnfIzyk)N*@V)=3F~eN_naYWCNzUzv=&TR1a}oJ zS)4pf5ndq&1%7nckG4690P5C>DW;c^IEALH(XiU}^G#g9(n(9h6mlF))azg#IIami zbv+YW?H!psa1|PCIZi=& zrC>r^rj<+p6vqW;&?(RfGcoX|WjJg)itelB$`sN+T5q}^&rrujfe}B9Lu1`;X4#htPe*IWMP*(WPV`ju-H0aS{ z`WOV$4K-I{h2cITC0p;@4($wX02vC`!a}zKa+e0>n^ACR8_dCoD9>+GlFkc!DOX1G zftLU3)BB&FYd79gd37pSRJz_7a>PqOi*+@OM1!8X4et{cp6HzkNo^{p6KyKx)eXSu zx)4?kA|C@2q2OqAtup{s%N8&ZBWOJ`{`_`fQvEnQdllW?gHJYwI)lx_9kzjhh$GHx z1xtfV_qVq4#k_;Sb3^~y9CTv_R9?5+g1F?o+qE+ zvpJaFDG!sNRYxrirpDgpv|Zj;KjmeFQ?P^fpzqiDm!Gclum3e!|M~ZS{*yN8cT?n; z8#pmDQVR7tgd+o`)`C1riWxTw)ZQz*LKsb8Wm-YOq^;>pQ$)Jg$dd^eO1I+Yl|I~U z<45u1u8;DxLy1?ZsUo-YD7qhltD+PcZFp0)Cc={x=#k!2prl2zW<}>!h?s@}gKDE_ z>=J)F(xiNhhZEykgf9i_@F>(E5hm7&a?7rs&bc-8S5=nwGeqrc#hF@Ay0j(Ep`?tF z*_K@q+z`}^55{72!A{V6{D9Pd`IQpoXN#=UnNCsAyCsK8S*v+`Gql9$F13d2S6TSn z-@7Uyn1(vgndV{IgZ8n00(!6Lfqo7B-l->p! zi#o(qat1{)rqv_T37z>iJ@W1f12nsCg9ttmvWQ?I;X13fmP-d^9^UT;GY&(a?9JQR2N8SPUz{oRl|nIT=>W4cqto5DQ5F77;~# zwLn-#Stg28(QR+^zRwT)@PowQKmDMj^Z)+)%HBWy@Yg^5@ZWy_&AQ{es(+&1xCu!^ z#aZcu235cS4ZJ$N?d%CTSw%qXLp%D>!X>APBf?X90*CE{?Zg1Y4=-ry^NnwZ8=j z(nE8XfCo)I;u70PK7Ux1pJOYZFA0?pL9pwZRuK_q4Gw*3%9sP=F~wzcSMajVis0?3 z5+#PZ?n8ismcYQ`(MN{a4r=dQ<W#E5T^b_ta4vi{-f6FUw-(a)ebwt_a^dOI0sMn`_Jvm$ukTm`m;0GY z?nRH^(w3;asz8Xzkf>M1I4#AiFqw~!57#{SQYc9my&Mkr>zEHe)Ns*d#p4)OjVN)@ z{8ey-w&T%bM@r}&j}Ex7P-ciLm~}+A<;N(oR0chLq-R&*vTj@Ou=AHf8EyZkHFKf^ z(->-wMW{+2CM&yaF0UXqwV9nz=ZeY<&SJ%e@#7N}Xpu2X4F{#WDLozc#XJr35q(@n z4F6IBz&=83=0g+A=UqWxKh4qtObDy-P_db1H;; zgO=@zrG^5x9jxdgh#woI9WuJtHQ+H;vrOtl9yHy$5$O96HGPFFs;uge2b*krh_B(}JEBhHkLa*<3;hhru3w z9nsHv@g85b2?}*plq|Gh*v{6BT|1Rk;ZF>4F%>e814AlAcm@$d`bJlK*4x+RL!yKY>nf*sQ zEtk+1g=Ph_X#~%EixlMtikMiYL+vfnI|{|E->bOms`oYZ()AWgawrX>j(_7mh!!WF z<7ley)~?_K5}V@ITQ%cCjTu!*t)Eg2y32)`EffJwIyZ9e=(xLl7|S$GYp;keTS;&A z8kgRh7yB|;Monc_w377`STG|lV!XE4O2=Z#GUqd0TrE7lKhdlpu|D0ybG7MOw}VRTn+bv zEu12+ay2W5Wd1_s{JPAm*H#L}Nd97!f%)g;#mYSjFL7>*#yc?1d?QIg7XtMP|s2>)&9psbG1(EQ^K3Pj&-6Gpj6j;T?#;c2N|5@TxS$LHC9rvPM&b)IKXK7O-@^a?uMYgs>A}M@D*TE{Z;S0v zAg_Kmb}GtggQZLHGUyyFEiz!hu>ogbbX$k-dU1TlI~Y4-g@bt}V~$nyPA&y_t_;vm zzL*?%YI$+Zb;D3YG!)Y;hM>aD?i>pNWqTjmYTtu`U;h197cpP2o`NC9E4?VbtV`QP zp|)`Ovf{X+9$r*ojF4!^(@kgZ2#KDr!73^G`{;y-l-KC{5PvzCN#L~%D%=Q)(1&{o2byRm4JdcGP!2GU@O{Hl zi~l5im+J9~!gFuK#u*OrLY=aKzw~p?>)SQn?%lAW%ij@bnCE~RklOAc{9I&A30HDOS`5J=i>E<==x zvdt8_Xq}2o9a(I7aQAWQNY&#KNPAW-nHHjcj`AjK5@R)V%QeFUoTuf9yY>5WwuB|v z%&585!)w|CLD{yQ_c|xCNY{z{K)?J{A`RKP(*%LtC@Q4CZ+3#89|d5gN)hR2T^=R1 zYgPL0(nIDGVOk8giq@iBL59@!t5)A9M)qLYN~6?!H7?;kV88$Jsl3{+f9@G%B0_zy z!gcM$*Rlm<9D1P_T5+{OZ50KO zdl_MWWl3u?`qN8a95VebXv)_&Vw?B~1KHlhK-YRw ztoBI6=*Ow3 zfBfqY|MUOz$AA9Azb@a_D;+85fBJ9dD$^h#`!ua#(SA`bMLQ2Axib)a2htKs`3g$) zXlN_ZltKY*WW|FhvMK0qc)SzKTU1aCs`-pc7f~bxIE4YtG3oR-=Obn;>Y?LoTN2<5 zj1-r5J9Lw%oR`yFALhgKpfDd}vW?3PeGnz48AK}-ZeJ`Z1ocJM^xyRp!7J(*HMU#@h1gvjV-bSy9n~mdbC%1XFIWfMV#Hi4QO_%6sSg0eR zz`rZVj<$P48RH))WBJm^EI6lHlf;~|_^xtVyn>(< z78{jWHPP;GdQ%fuV($KRe2k^h@C4ZDMTL9y!*He3r<|ulyuL7O7kQ4TJu*+81QmnG z6RK=|KFZmRn+p?syF3+`aQXLTRuHgm1i$nXo8AVSm^!SZFs@a=L`zB8(Pf-O2^y37 z+DFWai<+Rm*yz5mVVu=>uHf^{uq;|hBKe9_!uPh~s958vcSEh+8Eryzr!c{rxWWqi zI{ox1Y*>|fFCzdZYV@I|{mai)mcOK|1bxwAZP|-~!<@#`&ehKB6tSW~7>o|VZw$Zd z^j4$;OveJXUGup5In#NDd-W?`Wo4zu3uw6NSymaRdlzmB6zs5jEvS$dgeQk6E5cC4 z6@?cuk3zXeOkWTRbp$#wGf(SM)&O2te3g`d#JEKDwVf-f3$LD7N|P>cW#T%OwfD5T zjZbDXB2;PwWu|*uu1K}#t;`09vYH_*K5-Q(`MQfq`-nDBN*N&7UhtJAmV#zq96*@|AyPfGBLd+(6dc<&I z9JUMRbSEt5yM==})WpcfPl$7f%sFnf&o()G?cF{vZ+< zh`7MLQulcp?d06IhH}9%IY(u4l+QfFd`KpJxPSib=W}>J^N}$aS3E5=5Zv|^=BAOLw{)tB&KK?0X4Ol2Wd0xj;eeMeH`hd&nGiF^F% z6o#4r7t!4i^{+`ka*W3P+g%nKhr$U6ep!P=h8CM}!|XHTBzie&6qDi+qe~s#(>)Hi zr*3ZTNb#q9B?N zDjoMO3o+v%n#g^op@L7Y~!VTfwrlb zq!m3E^1HnVR%g5z_qmU>?F&KgpV=b4ZLtuKkPWRg^hgS(>!=`SbX&V#!x8q}&0=i9 zkOtDMw>u(rY%y7r;o=74W)4zK`T;j8g`GF9M`pIhuRg06HW(1Ve7LAcC_sXrwubj&$hTPihc*3NEc|EIRPdh$$ZG(mD$O}c&U)Y4 zf!S~ysl$j3aLx+-D12$TbkuH_>oKDKTsYlTuKDQ8srqZWzplD+tO%T=9fT3F)=59I zQN`Y>DMw;3aSkoG09||sEtDTV(wDPZTNVE&nB{NjPOML%-*r+6shur={94fC@26+x zc;&^*jcPk1PC`I~Q3NG{<9=~ay|C8P>DSi@KPZ&Yt*re$?bZy*v$(FsndnDnrJI~n z3Xx(`civYKEthbzq)AjE#Bmw25U~*m=a0^_D$BaMKn0z`@^vV7;pz6EhAfD|kUhQx zwkKh{O1rY%I+$K$2j^R%kjI=i5D`&5+=4A_+2}deM_3;X#Ri1ZN;h%Q@;K+Za9zh( z)iu(*wfA}Gu^=nG0u7ITDL$rzlozs=uT|Db7uWRyKVprOOQyl(CEnYpR}1`T&A5{C zw_A5YRE>6n`&2lav|ZeJSUT|$H^R6c9TR~bbHo$TC^Tp6SUJ4B98-kcuk>`T`#tqu z?!b%$Rbl5JeWgRHq8vK!g7L$;kE3bDFto1XHX4T~U zCBVme{e4s%c$YrEp6GL?bnS805-+Wd^U>Cm(lGtz{z>*sHKJB_MTQ-z^I4rv+GkLpnGdCC95CdT4qqQ5~;Lx zqc4xOrS?N{phoNCll0jKhN-8ZpvO~9$%o80_5{zOJ#a=kfGqM0K>S1LKW+0l(I~}6 zCC4NNfnO9lXS6yA@|QKmxe_{X`b1*ui@qRQo(ob*==x8x-@VPr&sMVb8tR31PHvhk z=4j^9;!Lgrl}N16cXW)5Seo}d#^+ef2>ecp2}zi0))gJvU*|@6WU7X7q#NzP@L9|x zJ0*3KohX_Kgh4STo$kvgP<(#P9R(6&$inZ=`R>mrr9*t@vD#Xek*`&C*t|Y;#UJ=K zn1o_ltxT^K3g?XooGsRR1Rm!>AxcL8(cvUuFmigu(~?e*@h@AHzQ-*bKyyJ~^yJ)> zTZD}dH17?DNkW@CYZ%=Ye_p}Ar`Fk~Q+uiDl-b||{RjPq?~8+!`#!)e=&E?7?I-)E zj#H0h*oouZjVnuKxc1&NRojk0Nlt&wjKpy@Xlp=8RohUo;SswjG)PNmC$zfc``tK~C1 z0-(>fW@7rxo(fn)@oOpc1lu3w_eiyIn~m!LGD24YFwFRbgj=?DtdfE@E!{o|*Bb>P zZ4U43aWckibw2f=Yg0N(k?t0~4T~(a_K`(5jV>XD0x@P3u7bCZqWXc<-QxaDg#CTB z0q3P-QU?k(KF}p--+J<0XSMj_Aok{fxh5S7Ws&-|Q?#)mm3I}(T&F<0@h8rwh&OsV zpB*Ykv)3i|fx{rSU37d00v_^l0=NRhx5Btt6)E^sgD;GuYvqukV^YQj9oVkWYgS_9 zENO`*Iy#~V0V}ob^MP$g2(LJklDEZd?MC|2HDqaT9m$O82P_NiJVcPR9sSL?ffW`N zP;3w2YjnY*NB9yQ9>K-OH^PNuH66^VkRL5A%nOw)i&nr~c6ZXh z=apJ;^>tZ!r`DDfgI;PT%&~~2_7lLJ?|5hf%(b5LrU5W0H;w*8oVOMH6`~jUhS@-) zJ*UUkw;AxY3(oc^r`|-?fj*VeE8Q7LncnsW{OMia^n(N?zFxIEv_JH;1fFwk)##O% zj?YQA@)>Rvy^00YoJ=GULX>wxz9LsRj`GFidQ3S7OBqAgiLzZy=bhmT-B_CJMZeFQ zJ%WFZsfA7<*9#7`Yz2s)r(W@}(|Nt#@J?9}27E&YfwUhvwp7|@bp~X6StU4XEaN@U3 ze~a15t%KI}b2m;ZsVk)3;~Ds07f+9v;GGwb0>_KYl(AWaPW~y*l$Fbv9H)hB(Xu9P zs+52oOgE2cL8Wc}coc20W3VILkAl9C`B19x2xBV}1-mZo=J0NRCS%t7h6jFBqyuj5Qh@FVG6wSmL^+xN z-UbjNgv`xxD}nda%`N(e=k=B2z|TFe_kNowUeh^o0swuwlbV>Y86v6gtrISyG~YhP zskJqv{I^ABst#dZrC%Bd#MQK|+nbR7XV`4eGt2B#DMhQU^CWs~3iPg>=RD7YkUr=I z7=qW~5mna<=-~+9zP$?Tl9+_k=0ooiDzG~AMihL$^UzadHm2zv2@B8HL9PU#(2^@k zC9RFNdnFW8l;O0gCSd@TgNAK`oCK=?UE%O50&tb; zO~QSzQf?5ALZXu=gXtg91(}2V=7Pu75($~i7b}@3I;j)4A8B70lz^e=x*4ZVNx@r; zaWe8cL=*fPW}@-zxe2>Yfi!_Fago?gx;$5=AwQt9Hf4gwUoUmI%Q@1AXAS zmivc0h+lsyO7ltI$Ukgdy(p}kxzg0V)Vb|Iaa>rg&2F=oZH(GS}NQ3dO*r4zj=o$UFF z{_Zw!q2Hu*Tl7uZ!iyj;6g9?D&N`8T5y-*x2yxB4g4Nl3Ztgg@>rMeKb!^`w{?Cd| z0(6lsM`5vp4bHGxqY>pB$3w0P868xvh=zTph%BhVhQVp0(6Xo(AIGRlD0$VFenfqg zrWd{S2?e{wN=MyJyZQlEpEqKrC^%7baUL#Uq#ei9{G9)XQ@_ws<7k9_GQVg>}k6>a5?xLuuAp`_oLo7oFYh6WQ6 z44LS+@%m7C{d_?8%iO$ew>q-h8#7_rY&a2g)KL4}y@pC*RM{BARs0qR6_Co~xhbV^ zVG|y4l!u#2Wn-TiDqf2Dao^Vkrhekx-@9h8ROdoqFh@qGv9%jZ8G(=|8T9#QZUZ5R z#I!RNH8Z(YyvxQ?H&{yh^1vMtd0uADqiD*o;%7xDKAvL4R(wj4NO0i25PHRt>+M%G z@h#yxFkcjI(0BTYu8$Cg-rQ20L-k$|eM2c7d8&#^m;e%k!L-)t5YUeAZZ$FIK1DBs<|{(C3S-7hX)j&cen9fM|!eCi0~ zTV&caS5sBG(dSWwMp?9=&Rmwa+F0QzdmK-BK>P%K3PD9i`7Ueft<=QDT)k^iBQ|B;FwtEjUd)0Gs*Q)|1y zH>D42{MESs*TqeGz8cCboe>Y~ps`T_o58+@te1WtFul~WOvenff|i(B!2Mtz3zJO! z8QyHnQz=rIq@`rmNeeWeiwkAZe&H~7xnaOB5BENO9fqs6#=y8urbx|^7+=_Xz&;Z6>32*zQ!rHz-vX< zwI%8Ko9GPTme92iDB+6tdZ9ugXEbLI>nz4d=&6D<0%m;52)B(466$T`i8qnZ5AreE z!uq@n;4i1WkAnEbnh2=l#GV+JDZT0yEoJG5r9mNXk6|vm2FN zH0V3D{1WbMm8$_-tL;%Q6wDpN@M+pBxu9j={^iKwCdANIMD2|xJpnS;pA?1n+MUkB z-H)YNMma`E6_YLnu_svz5>O;u%6cOGdsKgw_s#dK+$jc1r3NLb3`(Ckp=SRo3@g12 ze5QmWLP+nH(d-pXKZX2^^K3G;-s0wCOlC0fe#D~1=)9lE9cu61Z+vqP*X(*Q#VGnz zx;=2Yj6zl)m;6(*`kVh#5cn=Jbz38q{c_4rt5wB}B%Nh55kYM3rVqxox`vOY)cR01P@d+`Zz5>CoR|+krZy&%~ z&b4EsSd(w|Mf(JQ=ouIvrJqJQ;faB62|#_h&5HG;rEJc3y-}$LGZVcH-!^}?uVXU& zs+K0bca~Qu@m;iD1HYWM(IMtwjIg7AnTmDj#w;&sCeK#}_tmwfBFJE;oSn%hb4<+;-)Y3y}q0jCY?y6H>CGXnm< zwA1smFKUzuJ*|&)me9<(E=>I5E+Htg2cANtZs3M8iJKPTS0ppaJ0EQpT)k-Q+BL=; zrp^h@4Abb9pHj9a_rOBC+GoC@m5{W~jwZr~Qe*EAGwe8LzgIaiIIiY73O7(ikU*(Q zKZ0JhWrg;2@VqbsZM5`<>vj2`A`Z8Jbgzi|ANTeXu{g`y{*J_G7{E|@>V8nRZZD@)uv}r+jkmx7E?}h=VidapLi*0JL;eSWU%% zH;jwv%~BP96dL@x;d0vDXxJ;MJiUq1o*)I3Fc z5*M4&L%+hQH)r^#5qMJU8ajvv%4qt!%nTu)wYzqSf!qr0p8Gw~XyL4I(|@V=jYm>- z)be_82d$n4W|8#ArNHQwIbLTVaPCG??qgB42$fK0_z5e=U+8D>y7 zCqSPFktqr(r`*C(Lc^!K_tDrj+#A?{!)zIu4iPr`x3nu#lrYjAYa&fYI*9L+EdMTTkTbwOTlev z?}y#>?L7kCbC*5omAfZxrK4lQNK)G^NHh#hk(Sfk_bbGB^cx|N8_`V%UlOqhjO_^1 z2kn?EnR!u_x+z!~D3>-YmI>mbhv&L?J!Z8%hvv|LxG;vco^qZdi(m z>Jf^4JO{=I3MZTsT2R=TB`~O|!^&bAf#ufqQX-f2ikKwot?P$%}@G}CeqL6sZ7?~H?0s1ot6VE;%7Tw zhI8;M60FwY{IGBTQ==KbWTLA90fJzd>V$yfHA6@(BGNQ-*#o{LT< z7ms^)t{TjPkur`9Jo5lYz|5E1dsC?%dZb>@BBZ2ke@0C`6@ee<5#P}1p4J@Q$ zlPL9hFYNI~vkI9s7O1n6@Ky?(1r_ z1FT7JwN?;A$x0toNJ4m~3Qn9?H|@B@6LL|cpfaKK8gftE!z-P-nNty}$3~`vM?`XD zIwCMxQAjEU_b6rsY&_FVynV;GxQbC+#@sb%gRhQZq2P?u&v+>-;yR!7%6HWfYvPUq z^;LTY@AnJCw24VN&l>4ZtB1mnDh4K4y(z||6plpe<~MotAeuNIhf|Pf=eS;_`yv#^ z0Q-2G&X*DpcLXjMGL>?sz*eFATM3I(jB}bdiDHn#iq~VMzSx}3F7kc2?!M>6zgKrS zuiRFgvRsZ&y55s6rBnQkn*G&jG1kvo2;?I8#9%rDv-A>)>fK^Dr#8FZN~4)4Fl67j z-5_Hi82`85?B6?d1sq0YXPJjK8;lY^_+q)r&}UHy5;cZ)aNV9vc$v8kdNFd@!-bdcMfwZ%Yzej zV&}`#)lWNPNW%6=!knc}->1-{6n%E#OR?MbGQ5SEpAPP$BYk>v zQVyT<2yYY1)`52l@_HbMH|Zd+kWYa?#Vx-zGaV0+u}inkn3dX}wM8Z#)?r}=uG;4aqJfL%dYG8rUhd%Y>Ho3?dy`2%;UR-__deuM^ZM* zYYL!WYc6xIK29{`v@zcUYx_GmfvcC%SOFNlpZ7`hGbli7-)R#?+hTu}49pn~S?~o1 zxdU4uIHfX&kc8FJyS0>gb=2p!9~sYc4GF~Oj>b-U3J6^n8u-7#;l zKNX(;_0QB2xaV$lJc_8OFD4x-ln#({;X1vwCyn%k__Tpp*(1oS^CK>HQjQM?tj}U! zV~7hB=+JURu$5M{u;P4u=}W0qTZ95qz84p-=q?|0kmeB$J$WKkdEg>{>xb{FRLTg( zk8e^+3TBo0as?e+(?dPtsZ@}uAQ@_E>zjUdr9Z}EO|#5y6ZARauQ}(-AYksw_d-E> zzqXj|3SR{6eIy+74zO?2gBJql!fne7GvY?a!+3o5GYNPggesnvg$12^c1uwxP3cJl zgQ}C&TPVokT=ydq#DFKHAPsMX!{fAAo~C=nBoOj_QRo++%M$$h3-s{Yzt!-R(UrSf z5{VJ|Va}79cYdm#2NMDCafX_*@{tf_-iCC7FTk|eG3r9nn$;cCBanmuBAv-0-ktk< z0j)QU6Ge9ggp3ynrkHCy;Z+jD)fhidcyjEE#;2qK0I1zMaoz+Dgb4Sf88eKx408sN#J3oN(X_a?I$McU9wKd6di-FQ~MqOVq~Pydnfv=MShmCk>ZM_N*vth^CkhJnVGl>vmwlg_CA zW;@sRUJ3yrFlkx{n^BW2_q8q*h=D-ETn{e{7@)BRDq7DcGG_&5_3j@oBHP6j2GySx)1KcUXc^A zP%V8H7an3T*fQ&Zz>1r(4s!(xW5&BIWT{8YKSlT_()=xi3m(8Frblf>IzoGRvcyfpzyYDNlzxdr(SBGDTw(eqf zzbm~BqBsu8B9WSPV>DAAE~Oo9EOhr7$_&nm^Vz zI-+mVw~GDLmK|MD`s0XimQ8OyP^NqWgC5nUXLn8Oaa=;T3iRP@5s$sRgqA>5;sG2u zG+Pu?4o4rkc??eX7G)HiG7}wXw?588XTPGR&erntrazo(9%VordGxkhFZQ-u*MN36 zk_Q@0LTUF(uQ*%$u)hDfyBP|#4rPui%7>L4H7ji=ed1PQM}II=PSp~1F96O~ppj<} z<|#Fq*C10k4RT>P3`fNVa|^TB`>fk6?KbXpqdoodEwM&OIngY9^!9{XNUr8b$1a|Y zo4kr^{a#93Av+aT{i2^vyArY&iXK-<2P&cBUwEYSZC!IJK``H;rykwHel_90ac`gS z>&{IG2Fdliu7lnaPO;@J{kVa;}BN(wjOxC&H1VzgH%+>F__ zbT%X7S;Z&saVibZ?ZreJ(q;LY;=8C)FGa5#6ZGf~J==_noB)WAvD&*{3QezAgUDcM z%tzeTTf}7F#?Y{%2hCa?*{NXn(w`k`w~vTRQvz%L%Edo7Y9*L`)yO;@u3u~1XBVXx zAJ&I2_{Ep3(;-N%lYTbQOaCE|{qE;m7(d%WaATw(xRet|M8}8zuU3?NxDG8@JO{x7 zs9nHqR6$$lLkn@B?rA4CF&P<1bc7roaJX`G48>4v$5xY8)ZSLcatYD<`({-YCRCSb4;tzN&+?3U0p^~YPR2ZPTF|By;fnusaDVuV{otAJMUiKoZ zHHf3?LpH?X!cw|o^n`LZCd_k72n)>#>z0R6VEq^`+>wQ^bk4Q3&oD^nKTcrlLQ>R@ z6n&%gp}(H=o?;J@FGc6#Uk1vwm}uLF+h%0t4ZUTUS=_i9D3;>DN2I-|xS~;`4Qn&7 z@YWl2Fqki!0!hCItMky~=rn+Ll=~8Qz zL|v3W1)uOR7sAiL5cje$nk@JLS?ZAAby((LHT>e-Yy&4(razbR(^ zM8Ny?&Y8m(^h*W9#Q3$ze1C81r(&o`d8~aKtusN_PY_y3$kGL$);jpqjeA8g;oQcv z1mJX_>cCAaS!IJHx`G*5#Fb|uY&Lf^RJ5Gy!4_EiyD|=>&8x^SkQ!6mbF*S7bo&VH zo(!&H!a3c^JQ2f_FMJkO z)G5{S2-Nk9UZpkR_{whcpwRk8dJziuzMV?ds7moQ3VI>J;PAx0%TfXl^BEb_xje#& z_&fo^yvh@qkXA}mz?cFh%0(rL?wo><4VO8&w1a9Po)?e5(5YN{O)C;v zQN-*5qi|3?tVyS~lJWAyF@+u+?+$k=*y+z6j0F9nP_59X=Qwf>iAigdBXea-a8Qx+ z5xEt;e5|0&L3K(H*0)m&v)v&@hyCs!4hhj&#^;zN)h_q3h1hJkl}WQT>o5(-B)s-v zzyDuKQvF0op@P?K&3I$BjG9huMLA1{`a()goV^O5t*X_UYv7&3-5EMkcgC_^v?S}p z(j`>%k2cTjlqUdFK&-#I75h2ukmRf0v!|0hq{co%Hu`s`@(!M z=Zua@@K}^Yt02I<>BAg;RZ=|%mfQId#sgBBN$*RXd!4sVrO|57>k$f#cw}(yrf)(4$}#;Oukh9~hu(jC z6!&l}GKv?2dDBJ%^tJt6^D87z59Xu%zfOaiQ+;vuZR7Atj^EmNgk<`Ir%Z1&d|30r zV)z$dPRM->G$94JZ;)2Kvt=9YMI3K(Mq-erqI<07A8U$zMHts-0 zN_K6OW~GX3*tuQg6Ft~woM+XUzk+Z0LYoaOu>KNa!`H~2gG^%NB+9ZWGcGMQpC~3ZG{X>Hq5**WfkCU1X;qN> z_E_PB68mYHh&A~x+ROr1IBTH!m2*t$wwtpoz2LD_Okj{1KDaeQNc^?R z^H^cvMxER`#ji4#h5`&WF^znOG^2R~#_d+gIb38nA#n660=inv8uq^Ou~|kC(}U=$+g*d_ z)Dgst*7zG^oI8aB;ps)?1E5Uj$lI_tz>j<2j9iv>TG10!nwV3eIbTa@KlxQ}6pxp< zIr3mt7&d!GJ(Z^uO^_r^*s~H8(i*A6H={!{Kdkwumzv)Jrhop!U;p?|fBDYXO;}F> z+E46)9FF0NDFf$X1Zc(}GKlbwbF(+pXhgoTEFCMN=#tn+V~Z4tm{gj7t;L00A=8(v z^lFfaxjHS?cV2Jmj2Me7Cp`k~_FBVvubaJlEBuON3%!Ry4N-Bc4tmjz9w~a7I=tfj zv-m?_U-h$vE(Gqz6GXEo?K8^5dY@d^3p5DcP332%Clw17;R9Mn&w<146rm$LDlH3{ z)o-eW4O32ZzG$l~(8drfvcs&uMl+~YjE}kHiwu(P^iYF-s0q&Lyi&mjZx6=)b>H* zo2x4%l&^UVs1sS**C6mqH?_7XZ>M2zHqg!1PRBooYh2&GqwUIAm-?YcoM|O7S8r9B zCy53-?y#9MDR)$MGy=C%v`&d9?%Qswt(sn5c`3|eP;Qi>9xu-8e zt<6$CcF#7z8RjmRsN!LC0xbGwe1U+zasb!JZm(T+XGIT!8)iYty?F*E8K zv~IhBW>g@K1bk^i(P64IIO}uj{1zALtwm3WjN13jiTe-5vXDGID>_UJl-{&TYU3a6 zvI;+=s{UkVte@K~>CaPw<4!(e-D2HChf8e?GCoFmwV%q*iWhpp4^QT+Hia*rjN%_xj$EpxR?l(sP08x9BJo9FALwHU>X+K_f>MZYBB0G6N#yjT9M?_N z;7M5Tv~O1_1>d65%4W{$FO;$slA?CjHibGO3ZIF|H6-WDSgz`$B8lPpm1@y5WK<~8 z*P|`a-|Z(kPn~FH2@%`1;{5s$bXG1r3^%2Hs`jmR&cyqJ_ zUC4#la3<~aq$3?Z6nTI3)phLWO67ne)cm+WKuXd#;9al7Yhxqn!Dz*e(7&E1BQgl) zpl=Q*ZH`fGH1ecZ= zr@xikA}(fA**}ZtufX@a6-6I(`~`AZNu7^%Ca;7bf`{#tQ{ZM0k15Q|%@{VDO;{+> z&gZY2zGE6h0oPpDEsw!FjAx$Z2pBTFd1_0um%=5)k8F)T*@wOG^R^+M?S<_{zvqE( z@jnZ+;w8e;y=>OTi zK?fSaIEC(xxQf!wZiZL_PRhw<-+A>#gTC0DR)cY&8wGW38#M3e$8a6dnIb6Bm^kd_ zxP>s3lZDMLy5=x9>-dEZzM(FU-&g?@3%n`&&THUEM`1=0#rmM9z^-cB(Oxti@XV7J zk#>+6g$86*(F}nzi4)oGOwReBQOcU4r4ke9h8Ab1pd0#@j{49o^SO)f7wq}hd#UVR~fVSH>WWyMhPrwe4i&!CZ9rwO8EY4;`_vX83^{RxMM1@K;CY zc66?AiRH|7K#6kby>WbM+sdZ7t!;LW6``?Zc%ho*9;{R~b3$1Tv-)6t#tFMn8mywA-Rkk%AKXLP=-* zxp4z{xXjNCQlUl?9CAcDiS&->^9>shHkb8i+3M)BE{j&8;Pe0yS6#bFbcRePzsoQ1fOU z)r$csk|;Vg2KdaO24t;GiV~C zKTLxA>acAQ>k>uPAF{s%978Ka!*;~dA;?gDr}(EF)~g;@MiIQ!?4A-ELK54A3_0Vr z?_LFTht42WT8Tq{7Mo6aL3ap<3IPy|ZCNyQ>}$J{w+y%5)TK{aKc13LW7n_0Zq4}h zuKX%~zZ88_=cdVOtzs8Nv1oaBDO;<(MlUv%Z*k?2CMyZQxt4rj9mYS$eU)a?IAG<$K+y8k(q`I+5cg0yIS$NnmO znsF)MXX`?Ae3`(=fjkzn8HlWlFD;C{I|dnVhMeh``t4w<93~QCv_gOh`qA#|&`J)J z@R@fLR8f50zDP9fhw=u+*=Pg+nAjX!RY>tXxgkhk{Q)?S3d&F5mP33pqMt)hM|Z<8 zoF}l$Kwbc0tiD}LL>lz8j-_Oc-e?Ywo>{enVPLX8rN2-|6{XMLT>}2@AO7LfKBNCL z3iK0Q#Cv^(8?cc_(--6VCWxYV$9===-R-xujgCeWnv5Ymu|T33IqV3*oX>M#flxpv z+8*EZ=#1g>+Sa_cm3)|DHu|P$fXFBE7}ufSh~ik|{d9C^KaMLVKycsFcgkw_^f`(TSJ0L@AOF2B_)I*&3WZG2eOef2MIvSUz zh)yd|Wl`#QU)R9zpoEvZ;dswu(CH_rN|*9=D+uao(sbSOgTVq_X3i}1vsMT*WQHHh zOqbIKI~+1TW&dC4L&wXBy(dLUIgx)%Pn&%YA9A=)+iQ6b$j#K+HD-wy*I&Dq5xbFM)q1&}~ zax2I&#}IKJ_xkuC_Mo?&LdrN8`G!2uLd%WZ&=HZ>W_i7(2iZ1Mtr@+8FezX?Z^SEE zCn?Z#^*X(f9uC^SP5hJMrG7RN0ay>-jPq5mU3J;Mn;{Or^rMn?UA*`EuGHu5Y)>yilGZsRjk4r%pOXu zOy{OO@E%ptq=3@LDG)R1zZ2pSCN4B0z?DVi>z=Kr5ew>G3Dj*Xx$XH`QIN!@7>tn6 zXa~!_6w;7^5U#`GbKpdWx*QWAVgUGB1ynI<0i9gydp$D2E#F}Q^bKF-s?Vb+nDu@d zb+e96y5I-a(iOM5aU-XT=V8Y*sJOt*&RO&p95?&4-cIs%HhLrqmx8%m{wU7o4{_;J zGXC4I2pBEb#LYbQEJb@7^GY`}Q23bMSeepoeMeL_u)Yx7Mt{7Z9O2Gm^y`Ma)FdQ= zYAjZw{T5m#wBAq3iXjwKJsxmrh*cgj7fA+X=CvQE~kMr|ZR`InI* zy};bP2l9$ERgPKY*wyfpW`Rqx`(R+sXnUXwA-SLaGx`M%=31ZYXs#vSt( zgS7NQ`5}f6F!P!2JXEWUx$;u@ABBo^ztGV^5_5_7+V?tez;OxN=%^H?hZCNj3Y$a^ zUwAW4M3bNMqIE|nPWVvp!rLN7aJ*je^?E)$y03&-zJ7EY(6#uY!KTNB+k%UpsR{hN z7}QZ{5%fPrI0X`3hjrE|*b>fTYeMQsMpvIE=FKq2pc0|Pf{&$kwZ-?1F&xF4Q?ak7 zYmleU!+G4Lbw<6@y7j2weS7`S3JG7BArBEJ83Zlgd z$O?0!-})ohPju10Tbj?Ue80S6+Phk;@ZmxMlFF^Jq28!JMB&+FYO1IK^_j&=g*EGC zE9$*Y4@b{3BjPr|6D2IP$wCN^Kq}LsUTL9M3~NLqVTTVw!-DzTQFA|4pAjBqg{Gt; z)`ICH{%>3x;?MYG2n+&;bobLTHJ)We3WalPyDf%$zk&-}oHAoRak zreA-r`uN}f$N&1rKmV*m6=mSm=cC7tWIloAr70LOHp)S5pp$#==GAj5!zQuHJtikA zalZ#e(=DUH@W>QZNt2?@n9F-%H>cB}kk-VY86OoX5}O7Fq?;mNxmG{hiz|_0s>t5b zi9%9m(cYv}6{i)0iG5WIhPhB-#>2jety*m*AB)lr?a%!|Z(B)x&{{scc3Ggd9nl)O z#kaam7_UY=z7OFgv7&`3+)P}tprg94kM$<{tW#TnHWtBu{U6-{y_&BsYfb8FzDBj9Be2#T08^r=?^T@zr^InBM~c3MbqL z-UQ8{7YYXHV2OC#tpx*k(jP?^~%2Z>b=7EjT@}CoUY~G!zd+uh2zd%+-lJZbhnb z+Yd|hX@>pP*Gr@=?T|Ez^ccj5my&*ow?E&LRNGPs*QGdJu68Evj*cJ$(WxDCC}QBB zGXUd*xQuBDv=_vWOD#4T3@cQ}fZ45|Cny?I6oCXl=$kM);byY|Cp?7*Z6Gc;7x&r> z2$-OKTanZVHV=imaeR~JQQQ*MMepUd;&)~{X6uO5aFu2+=5ugY#ACtYf1Km1AAV?c zeYI2@GA;wVtwK+t{dIC|2ruraw)EomL6~7$%6YqFf1xR`q^k4-)o#{`mdl-~v zBD7o-u`f>tw(w=WZ>)~&sYPcVb54;V)WFkS3w@Ld9}r`Ow=D9lj~+umXM*)N+0~IEo650Aop&u;e&&OViEBviNQ$}(qD8Q?vwz&x!^E}YJPYcL)zLY z-qV7K%@iW)is;&mRLq3F0$iyg%H^yNoZCf*j*=ucBjOtY_jVm^h8uLg(eGZEXtm@i z&}(yh1*tiP4o?>ZP?ps>3O zbO;Zyu^8MRHbsxzP;P7BK4%pCQH`he*bOJ)qGt%>xhcSHk2+6=jAj-lydR6PfP!!| z=CzDq_-8o3sPjH`^(((3vXL=e;5I$nZsDn^Bf9&G1=Fz;6fHtjCW{md@kw*rJ=dZ) zek%IiI)#pTUo_pQ$7Wn5wHB?IOgj?7Hq(E<9e(#Iy7{VWg672`{LCjoR&y}EXte5; znNm7c5NmrT&6VQXLh!=gB73yB^>B#N381IZE-k&NQDq;XMu=}t2%THVW4NTMm1`>K74c|s_&*7$G+`#&DQqE!41(l0q4ME_bL&LqZ zA`f>2qiuWH{#ax@8hwP1Q}!ouF_NH_SB75eIK|wYxSUQp9ikBlIML)%LeM!~L9h%N zjo^`$n**(%vQ3Vr9|x+>^Zu~(pEkdLQ5o4wUs4v4SKh+aFChWUxLXhUNTL>nX_?6- zWnp#(+&iBUu@Vl4*84S8xlbI)=2%{B7;zFkgZAp|a`CZS3~>V+TN~~XHWB?0pl4V1 z-Cw)Qrr5+3$oQ}myKISXfw zkdjf75{G`bN?$A%bz^F5NYZatOXG^!=3^LfxuCsB4sGq|*@XAicIex$1NFa4IZ(V_ ziBj8tDbPD*9IL#LZdAEvv=nt;9Zb~A&Ny{XYo`lC zGJX|!5J2sypoy8(cC}isDttr9kP4QFAv5^7YT?syje&s>O}l;f4vp;*tEKlIKV6|o z8nJJEN2yDjT8x8=)hV#A6pQ1rIfZt+(7Jn2iW!US*B3J8EvvlikP{5?zGR>4b%hkj;SrSbeIJm5xx|z{A|t z8Ya12D?Ejjx#fdfdF;Vk9N@^AA>7;?1dwoCUO#w z@1@iy=}Zk_5-x`IjS696fuagEX-#lg)=^E2(z{5#ttoAlQ|UFg#Egk}`C)5N=yT;M zllv5Hc4b^9Pu#4he%R>9^He=wgpKYZYH{izBw@jPyitj2z(W)FQ%};fM1s9~Cc6W} zNn%?%S|{%I%D~Okk}ap|K7^g7!)db#X;HW9sM&~~3Z6NeTC)CBDq!l~-wpi&1MOAd z9PMZ*Os-m$4(P%Zi`PdONFaQ;dHUU_y;fh%`m_CM8pFf7a&dPC5YAkuOJ}Qn8M=tG z&R;`0M91%>m8M^Mpu~x|T5+Z-h@S3D?c4BN2{?$=)Yz=MwqgQNunCDS(Il*e8x>6lWD$?u1G%E=N9|9bmh1PdKfSLOmL~>)&2I^WxXH_gd(!A6qA9YaBIEwY9b`m=HFF5dCrl z?UkCXYZz#ww&z@BF2tRTs+pnSfDxwRT4^UvA;mP_58981PO5E#>P%@{iP|7uzd;r< zN22}aE;vTtbfnHt^asUFco8 zWI;6rua-6f>Qt9UsUBY|_t;P|S*h0{zM3DMRE6Twr_Ra~DbL&(gb(~wu>TY((k`nP z8a@esydu;#$AKEG;J?lTQbUNHBd~>xtzL^Qds8u{u+bI&Y3!x6_$Hm5dE5{6VuMEc zPzV-sGFOCm(b4u&iLrRvgq-l;(m}Zs>inWCcPF;$?T%CjUQi#R+Hb$Q5c%6C{bcyG z3%I5%mZbF7$}?@V+9u*tBNzo?Jh7jGlmo_W;!uK-k9Uzy=Hqr;EXCv<+N#fKlalY7 zM2U6EFGUjNS8o>zY;7pHUXhZ-VrDWQ+V?SsapCd}CBOTNFWO99LAAzprJH69aVjWI zdz^We6DPi&LNh`@Fl~uodnE=@YUY1Ydh-g@iHo$HsL(#`;<`=0dm0v{<6TFOd#4)3TVn!N={8{hCP$Sm8 zQ6RRwDe29NbD1*JGANs;eGPeUGgjma)_n;ai;yturu}#?J%Zq~fZ}zDznLd32In(f z{?C!#W>;ifN*~uEU*?oowLH;1^}Zv;A*NvA>R2Ia^7xhWmBv4(E%WDk`x8X2dAtnS8qJ>9iZoDc*8HH7wqFhiK}yh^Rzy zdtE4-StZeOeZMT9Pu%?Si$l22pb~gTZJud6op_=Cb2TNR8Lmek;S9wAJq3F4o;Tao zcOsSF5AE+uIV+volNh;PX=$h0%PHxvdX`o4>0ZJ#izLD~7~;4cvcU&hKLTKb@d3+a z5~X%7@3ovt(24suk4&+9GfrJ+6i13M?1;@X z9TG!00toO5_+I4bjG7VSc>35lroO`XT*FV=N%S<%N%f0X2*n{DkiOQ_tTw)lR%QCb zZrbnki=M8sT?j}jQQ@3|J7M65_u&D3N)f->w|~F;riKwxYs|T@JV-V@jm8Yc1tml! zZUxRv$26M!dQvFbM=_>yG{lgVjh(_Mk^FiEs)|BNW_U#BUFpgiKM)EQd!*RCMF|80 z%>lE^T0FsYc7swgc5P3La}`9R*z31XC#684c75eoUgsCth(r0fxIV>jQK1raREVz_ zGYNJ~u2=QtI%2tmICD^Hv^Y{SaMJPtE)h=`M8hyMD<;B0)|@j`=Sp=AAxB_r!6D^>6VKs9U=D1gc~vy*Mn=$Z>~PfKmAF{%~dT`Ao&aalWPI5p-FcnC>f`G6Gan^!y)x`iS1mX+^YjF9;3&dyz^ z?>4)@Otv_^J}Si%o7DA(f_jYeNrHVYmZ#aRzN*#ENd1WoJqa-*Z4Q9~%9~wbAHi6f-xE_xLYLYS zOr>6!6P4oZ>kg+lFPkb6eE%A=R74~_mW1v{ zn|p8+C51#Ioql8=&)tHkVL}brC=^f{qEPM6X{JasEz4~SVIaXHk`@gK9pUwO3B;HK zCOwDKo6;UZA^XP4jEVblD+J=FMA#TtZeoeMtNOI_)K8yEJLiqwE^aVB;a7;4yx#M` zze5>k;fIV?Nr1Pkd)Km!ev}h$K!YR4?zOFO!Denc+IB|NEVRRVMb9G(c$lFOiWB4* zA|6_UiQg6TZu8!vfA>}I*&;#-?k-h%nbyvNfIW@+1C0-a@t6Zjqml4B4RGwuZczs0 zL2B3Q0SGdTZ#sUxqD;dDop?i1%n_Q4?O+0yi3Mv&%lhTKs1%ET7s|TUQSm^|vpMme z8%I$=CvUm3Po!7s9_P_8Y1dD5 zNW7%B8|sxM^c3}EOnE3>=&4zn5MHeobyi|0ny$ymHOU=I6g}5pYh{F6vDTv5k12HJ z5+ih5zX|43mKKP2FC~J5`7wuP|Jwx3{oPPe5wyrw)ccx~`p=*p9wST9WPOlrI3Pme z6-i>zLD%U~^v);KsE^hOf-d9I_F0mTXS%F`usT0)J2h*nxIiCs*U6DkeVbEJZ z!AehSpa=Wj;y(0y1jMlP)3;8P6^AKkpimv)hC+M0;BGWH;*1QN8TOU-c*U6+Q(<+5 z?i39?)2CB(JCEI|BSOtYxdMO@{UzEe?bugg3DAI9TEko=G8Z=bxot?Ber)WD6;4Qy z`6qPMUZiG{-8#U*@5tS-v(URW0zpNH@Wx=IGO3biqpU}tTuf1U)c$XK{sE5wom9h- z{63+5Zaw$Ei(h~K{h$Bz!@qn`i|pBpk-qo%S2Z%F9j?&*+vvNn4B_XFM%Bv8f1UB#Cwf*(OY10?a z;*W45#Z3;4Z3PDcL7J)2*M6F`yP4;QE3f~HVY#39xT&sd^;MUs@EJW`ntG2&Nr{Q+ zAPL&8JA0i}?r$H|d9@rj0+`2G5xIoB={Y?a=V30enV?oyfR@mbW3j-colsN`iyp#P zIg`lk{h;G47;CrlRDQPlA1%JYdy0!mA(adMM?5(y=*EH<*^JLI@bwnIiEicBeOQHG z{amBcPb`AY7VV`t_C4HBFg#sCra-7%6?V@OicWMeD|fGX>3mvowpb2dMVI=?>_{$V zUH~DKvD{W>ioT_?4TF`r&In}Dnu3m$j`G2nv8RRl#)q&Y@Xe9!c@NOI>aer-cN!G| zHHWIBve7OIj6<1$!Mqjkp0d;XSUBo&v`+f`-L+`KxSZ1W?v#pLP@y8K6L!qJtNW2u zj5Fvc6)%iTS8k@WVO0iPu>87FrcEs(|627e@kk`Lq11jU)_M`n8#^dL*AQ<6C~M?8 z;}XKXUu~S&s_ojeSI$xB^Aw-bp}=EM8S3F(<)s`wvM6efmjJi3Qvu&Hv9|Ek87R<> z9FKSj#cER!;}aTS0@sm7GJ!fQn$C7DPLo+ew~le8D=0x#MZb9H2TYo-7J}4_sAAhO z1kkH_9g?+3+6x6~HEQi#(m#R_D2QT1e$P8bJq;yG{tacB2!v8}yONOqKz;);Y&vF? z%j;FO^h$D7XFGl9$NayTW&Ekg#Du$EKfH|rV7(W-Pj2Ki{e|hE{;l}coPvwhLMn^U zJ*}_GM^b`iOKOYINsOzf!Y6cK*1cD8B|}h38BSS; zp7g{lin1`qE93PSGLJN?RwT68unhM`RGOH36&5;i@=bL0wZ1a4dxctFOw?PiK*B15BUYG6P>kDPQE!JNiUbk5Zfd8nL;>6KTJRHV6%;Sw!)goz^$_oJk5|mKSfmG z3q^*p1q|@1$8S^VxhIj49PxQ@+@_hWMw6Je{(gUb?kD=oucBbd*s9h`i?(pzXu5=c8s%c_ zmC%jHwdy{PYdr#|?b@m|45&8L4kta}!6JiqDf`)|jHfLprgU$kU5kLqI24`*_ipqw zBcnFjPOoR@CgDW-;c>)pQ<0_!AIG%LYEdJ)ySa`;^kcEFcp(sNi_U_=>LxZIuW&kC zEpg7n|M=897`HN_!0aI?z}-&ez5{tOAMDexFkyb?%7jl(9SM6yBS=W^pAagB6Zc^< zj&+RO(sXiDm~77DlfrBE$seQghwK)pe)M;(uQ*fe*AQu*?-heJq(i^fnMS|qt> zQ4Yhokn3aR9r1Fe6yH}=RakHB{e@7|I*f3qSzMJ(Hy1X^`usIcZNFCE5Z?8IngxYm zVAGq0ik2vH?Cmgvg15lG4$sZAe`yCrHvSRlcg&s*=`dJPiAMTfv~-Jyw3jOkN>kx@onGm&4J8#sYc74>I~Q(BUj?}E zJZ>Qbu7D?IzOQTpVWlesC#}tdU_~X6wwv}(_j_9~ zX2tZUi1Xb?T_W^4KRPLs+3e<=B5+=fws(VID=wt%)piJsOa+>^6xH#~M6UwP=ySbA zbf->#G3jiv0SV6){npIEIMc+b$hb9y#ZiXGa1nIQ$fwa34bWmCduH~meXVGh5`eiq zmSDxxf$;>}e2soj%N*LeRM1axSv^0~JX}bd*BgkwKK^?%{~+QJEsD%I<7^+rJH(@% zl*XY(dOzNIMri%+5a*Iud0=Xj-6$2}y_L&{i@E=+iS3^_$y|OGm-QoGf$zv|yj9L; zsz0BY$Oi?`#$PbWQZM&k_3lnbN6vv3jllpxtgm1g$+HTV62P9S)xR0fAR#@!bRBBt z2S%BSo#sGL^I}%DzKfu@EfCUb+DS~t>1#91Jt%V`x(%9k`W^=1+L0`>op-C(%N4j2 zAxui`v@D+;(ff+Dj8eXc<*A>bJ=0&HNmBr#XTeY{1E5$7wAI2|9Ugu4_iOsOLF6YZ z`YYN=25JDQ!iwUk7ju<{9QmRkqBy98mHFJ`I+X#~hLLfUp{EQ8$; zP~$d*!e5(s5z`(M(5h0HQ84qhvd!{=?qU$cH(CXq_y3AbvLflD@I`Yf(q|omE2q$K zbB8JTe+nBz;SYxD>bI9rx@x<>uhnOw>A!0f8Lb`5o{srhj5}H3y33p34_-)tVsMAU z$Oc`6cczsQBFbWXj_b=% z#f(ttq?I~Sr=im#%|nz|0cDS*C`FX0CrbzBZmDAUKt#!&kTsh2x_i#nJ)&6T+HFlL z@Eb%=Ux8$WNN&BfqYHO4Zwi;kSDB&9XRKQr6|2@xgcERF-qFd3gS2*&kg_OiqGRC} zTO9_?y0-JV!sO7F0mKf| z92Df@(khGR`92u?Fmy+2>nKX~eM?fjzb7UEO?&`@Wo4*K==?f z`{ZYNMTZpJU*)0V5n2MpQg3MP=?J9=g{G40V|RZz+!q;Y6pw(RZ`g~B0rtIQ%c)E)(K zOuZgNSDrAm;(ev1b=Trg2J{s=b%st+4f;8l$J_4A+oD|bMbT)S%Je8{JsF*A0gtxw zwPFXi=>S?0NF5QVKGk<)x3a!Rup)~T4ex~vO8u}%U%5Jby-2>DCkC0^Iw&GCTfuc{ z^CEnkEI0gnovr!=zLv;dziQbhR-~C3q9Pbt&$9i#@5kBaX*94RXGDHZjmZ^&ZJDcaQUVB-H`XuDIEt9@}MAw zo>*6Ar%vMWPbR@>7aS>4n_I|Spi-Ck^Vlg&;RBCgnV!j@vNxKgo8G|(ls@$dkLdb? zYmfoJVKJ;%RDL)MrM*)hNoW#-T4c`@1O=|R_bmD_v5TI6^8*P8Yk6w!Chx-D!%h6I z>%|#vL|_XIEbWZZjj3;+=@1#i-a}|)33;%ekgXg-yn>yrbHiGZsor(H8xJQs`pW}F zKQgTX7$wBVlW^sX!8#mr+W73x$o@ZBQ&N_pMF zmB*PzZaeY_nU)Qwuwp@bL@CoB7-v`kG8#|}jW+*U+(W5N5ZuG_kn!?2cLtX30|zlY zfqG6KRj6Lev<3M{h=UQl+?mnQY9=!JuI-6y47>u6f-p*>f<0o|`T+u*wO0Gq)vg#Z zeO*ZRQl&Onvf+1GUQs(!F{zs8ZP#I`x$B%#+tF-=z{p2S5v$9$*FEQBzSZ|! zUeo-ozK0+61B?;?5kB?rTZtW7ltbs(L-e$Y+Vo@h^6c{7{jI!*nCnA-_&f4Tbx+$( z(65WkxC0ZuicQlMmEr?Cd>|KD+pfC4X)AUkw>Aax4b{C6@|%-TY>p7$2r?}G5-IJJ9q-fkuCk+p={B-OuDkSHtQC}9!$ zmD_VponG-!kl*ERLUp-3 z9NYkX*gH*-S?|YQ8R`2PkME0JfB*NNPFMZr^Et;~Z!@^0o%{5RZ*#$bRN#yDGd#_F zuHE|+^StL247>8WYRENS+H~uA`tFqkI(6(~y#W*+s#!*!uVb}K&hcWm}3Hr5a< zq^l_@e%U==syKr1A0rm`<>>syt$HXxoMVhi`s~CL3wEwHtNx17BC~0!t zjv<#qNblES71Ebr_w)*hAnv$AY7|D+`RD;<<AM|}u&Fm!jdArk%T_jD(rs|@%;;0$zGSe@?0b#n7ToUj`J;GtJ&R|H! zUQ(b={5C1oD6QtWi!1|)V>{_Y5Slx*RMscuaP%%?5i;!Vv{;B%om?xtG7^vaXTlAsyKeV?^;K~!{#Z+|Q?7qaAD-@4CZJzET^$S2LxzyHmW|-H z)!FZD*sKIaRYl9ROE3$4o2uU+L82@z(7o1as$ba(llK<2Q7k7q@^JKva(_&Cog=yJ zD1@7U#6SHh2Z9|?m{V)(+NxMh-8zh(t6;<_=L?wOh~3KPDc}+omQueCabgA@nf)7k zsu0tp@`{8q4|;^m{Q>Im%w`L(RGplPRfV(dVDJ#B-o$kRC9JLl_Tb)gubftKabKb% zKXMH*CQ;(Hs9Yw@-s$qkWxUW6m<5d+A~fPbg6|h&ncn6y=p3P+vm)w6y;Z*%hmwD4 z#(BLy{Z~{nP>`Ur(j~9$GpYUR=W-Vuuul1K-|%04`+bV|#~=Rk&wu>=zrC6LA3y&1 zkAL`1xj?Ie9fK(H;6lN?3eW4l1ja=HmF~pS?CL0P&xzepb~rkng5d9w#-1tAxkai& z3E=Hh+kPKauJ!$WweB58j^Ra+(Y#1Z_4;ThM|RYh3(Z87jjNzO+a(}NIP|=WO-vx; zIxEO~Dv7`CJu#p10o@i8-eZ-3 z!1bDp+Ms)ZJj|1tAjInsRG>fh4F#d&P#D_7-krwCT91p~C1%_V9FVSBhEEFAaK7|J zW1B(l7A#6g_2a-KtR58Kj=XPe=)tH{h+JP>r+#SHujQd&IGAq34{HBExDQX4BP`zZ z|NQ>Pzx?>8-~afhf8lq3_m@BakN@>gKmPTHfBx~aN36G*^-13HwsuWzOD#~OT;y~r z)+-)`M8JD7Ly9y)=}1_#1SN`taUIKeN)WJI&iZT{+EUj*Nylt)Eq}G}?%P&HVf`?A zOieoKtH8u`{SEmPNp7z>Z()CCEa`A>*Jd}EBZIPVI_l5sxc5Ku_T$XMTvl5&z@<(x z^_OnJb}HR8{~`lHY1BgJc=P&0Z~ZzN5xh}~%NWgAoTCjL(Ap{roHkUrm0pekWshHX zw`c+}?RWTHJEj-VEah5*;Dw&YnVBARyAO6k5VRA7bI#@H*JKtz`{m%~@ybXi7<-*M zw+bONx)#cL+3yjp=&7zh1bT=>SJr1MOX=MN*wOo^bd;DleSBWZS@GaKx+dy zJl+{seg?}Fv_IxJg`QA~Ma62rGRG0u$2`(;hj8b+< z3Ts+_k6X^%V`d5*PsheKT7{}{y{AGd6T9?yp7z>>U40>{k5{@y1D>K}MxPO8%{rs* zDA+b37u#suEIH5=O$}J+7OxUCJ$8u|>0Qb(9c^ZAw97b{(}}Pp{fB$udmD2PblbYg zCVbd^KQET_@2aA|6paah~ddp+-%s1I>_~b+X>noH$ysA zZ~t*z*^8NQe8n^tY}g7tIZH#Fm>$54#M>Iga0-S-00$E#OAhWqUbjYfh*|aATukHqbQukA-;W&tHScnw`nMYp2s~hbUM?p zK|{ddv}}Wp5=B9MTI2==o(ou8Q8>tJWu zbm+5r4rMZf^q!sst`zhJ-Zs)4rJsN-tg+g9!emO#=WW~?yEg+Z-SbFQJXlyl5LC$M z0bi|0U*4k-)Y5KL>=l~P>v3JAoL-c~=R;%c)DBB;>?J#8QtjFsy;p`u1*pwq^1etl zHr})DRvE?98GS)q6zwx@OzI^`DEFmu=XBG5Sd9$+?y*`S3Q0RwLdQ^_LHGjoC(2)xreUMrSz@g zO~Pnhs5$^B?(gv}OU=SBixGRE0(uBIJA{a1Q*Mfh!iIhVlh~eln#MJd;+S^=3&Pfh zIfPaaSsC^~4u~tR5uE@gQFUJYB4$6D1R@+%Lb%>VNhwByCXxu$bi?l(zmKY~~fW4*r8Cw8V zK&rpN%nM&7p;&FITSrT=qxxc2( zK{PIpr-QKan5F_wL&*UFn+}7o%1l#_FdPPLM1@YSuzKI46`Y2yAc|0?_EdWxq?#ew zR?%H6n-UrRELMnE0Sv6C9m_|rc_IgAzlQ~`>z3-=RSS;GvTe(X?yB%&dv;cHQ zUxjj=yY5btX))KLP_IV(8L0x%a6P_4m&mYoO9^tHCT`H<)m>AVim2;&J$}U3dlIdn zIrQZ&{&t9i(eJFZfCKtKRz0T7EwGTW+wyvrzPs(L!+k3H#7;Ov&kk|ENsQ|HEYY|l zD!q&8ZlCE$(!wcJ>msUrGj&B{g+EPih09cYaa(7}pQ zxij2)Bs3H2OX{=L)^0nN(1gDgL=UBrO1iUEQEx_lGX%5HTgIe4clDS<3rGqFBul%e zR>#;Ec`k0!5lT>g6q%q&lm^n|kY7l~D-u4+1xii&Te`P}j>2|9YiM=vffFsXqw4jF zNFcfN=7AXww-#3z(MHC8&Krj~B;o@6z|;c@YET$cHZ>nM`)_{k*88V-yWi#Bh~q1T zn4wUa@X!<{6KrDrAFoX4ktw;fJLsA7M1y?mVCYGC@#63p@%wSEOM8E0J#Xg%DwF+RMKI-7)aMRQt1|N`TLt37h@(|EcV%#Ku5v{;JJlb^XX4W6>RWgLjUCc z_)JYSBPmrTVRmK=2#hN$4JMRc6GpPkbK(v#nK80}LjfYVG9_v3(Gbumj2p`>B`A3T>MiFzpJd>m;B1W|VKnf3cYWDFI9xA>96SY(7 znT#nY@LnMw5gS_OSrwc0W7dNhD;NRt#SJ(ETbo1B65x$*7l-ZF2Lr+VTbeI3G*);r@N1tbB zprNg?ddhj_>tv8o0qE$RVtD0RvT&X%%CD%_GLDn$drv*Br6X(5l@Y=fSnyGiPMGh& zhDm814tHv?6svC3Q#(!T3{0czRS+6-bOm*W5|pl$<6NdCpz3$&;T`H+D^Jvz_N63M zRKaD3C#lkx=u^Vd@OWn6{I?ibhM(XaRluIa@eS_;-|bU!OK2E}^>Ba<0^ z^FXZw>K7R)Nu8dk$3)+!0zH20L$v7G3gMzY4k}r$tRy_~l$hv`K8KDeQ!A_A&nXDg zk-KG30O`=}7^aVX#h|RDD8QxQ^Q8U=5v6e*f}4uU^+EPce6QIzo`dNNEeKs;CB5@( zFBDSI;jC{c@w~M_X_Z5iP03%|BK6_YJjDK_&H|YqVhP<(B~u|>jcpmI1#^Z&T^b)LpuD8iAjg8 z@11oJ@S7WA+g8|4pBH7vx{!b0Ew2k-#uK4$CcbV(ASF=!R|J>gOXSTAQ}*`|t`r$p zG}bw|87&rTooK^v?Ijd!(}T(hb8`b18~W%d?89(wxH8Wo3D0t44OEAtng~^UF{3D`-u*UYo z_FN?kK!g{&dj$SyPADhiWmIGl0uwOMCPHU&W!m|fLkVQ#tf;HkTk{eJyL3XdevCAZ zEdD3#xQE`5V_7W=E>%;W$Uc0>P(W>K>EjCUDKB&?&*sJTxik1lvy};LJ2~zED2}7( zN7W;DMIW=QxD==rxOi>jm7s%+7S^y!n`}n#7~K|?;@*5<`;pQ5f(}*bIyKsOi{1?F z#zn25*n_(c9qo0+auP9^N1n{=L`<0QN%r5aNOa8R_1xM)`UK34Kf=*Y84dg1SDQ5t z`*ydxz#VWjF|P91C#K6ge5a506(T{Oc~7LiSi}nhC{+ju zmr!%j?iGAN-V&i+Em+S&AFmTZ;e?FqYM0X6+%{%4H#{WnpmXJPE*7JFl;{;!a_xur zPGI30REIGP3_`iNRmMe`mksplP#oU^|9U$}f)W+^3hnJof1{uw2$tppT89h0Zc11# z>1`Fvs*;ZS+%jQVD~zu*f@xffmECp*x)$B+ADUo3SCIQ#g!$7C|Hijs%kZHCV~}-i zX^3zm8B?~rZO4rWj~0b8#VmBg;Sdn0|9K?<& z^exee(^nA;!CK)ie&!H}xatb=jE8xfGLBJj$P?F6C&qK5?U#~rJI@VUWtrl`J9){o$a_iS)p{!`ZkGc9&la7-Buv3U# zi6BPNNQWP1gv1y#y$0gU5%&c|SVUKp#rKuKZdYpzd9FhX^bQ;njUadle&LwxQ_zdx zZi6`3RO(U8sMZC9#9k_;*jZl3WzlWYTLDel=xgyKDvASll8a%0^a^u3lq;GPC{-Rq`jsOPL?hs%v*YM60NKzKkr;>eiS$x&;Y^ zc|8(C+AoYyq=ofkX4<^F<*rEqUwCJh=*n>^ZHTnfTchmju)5Kc)_0Fb>`Oc^VFkwI z*$@G~Of{H#L^`I=gE{e4PT?pqIFYavqN7-`@>`pyL#GXgNFg_VsNm=;@n#e@@JEWn z;CG#>z_|8R$c7lkRd|}*FDq0d*zv0a=TH)( z%Ux+sxmjeZGY*UWCfBFR%yc-Qe0BG7asxP!;#Ba4be4YmQ#t2;(O&7a3Y>H>7e)K) zzOiXq!ZV^@Ix5$Vf7`8Z;!?|3dROmuan)7ymo(h&8&VMkk1|VC2B;$VjB%$^ixRbp z`T*-&E12T-#Rb$`AgA4p$SuEl&h|KT=T6i7t;Y&jyPCzEHop3y+j@O8A2y2dvMGw2Za_|;35V*MeE}+;kHAp{>41<^vuYMz%Zc=C%mqAZHLKQ$;0UP zUX($P@ai53E1xet;kYhEp5XZ-d--UoZ|RJdi*6>wZ|-AVqE1m~!KXSY9(!uk3;Ug- zOg2PBv{f#I$m?37NR4)ZN-H*%N6OwzM}`V z-biWdI9HFfV5AP_Bah+(0Qk+PHPA0M9`131#4R+xCv%k9p0*vTb;$Q@4(lD;1|Ce= ziee-ksoM!i-9{@c{)+>M!XPb~!JNwI=2fIHbOc{?gj|%;ziA1NBz!JBadTBa3JV0;lb7V>}TFNzpODVu8@>mHJ66*mti38`Gi%YT?i*=mtL zecAS_|I?W8mp}gg!+jepGtrh$%c^HaFDLz@3jTX#ko(dLeG_6wtsHqPv0MrX`to9g zigjuSlKI*}fd)RINX5R(bqp56@aI+n$oDwA7bF*~_S@3I;C|U#by`!D=KQ#V4oUEP z{FAz$?_LY2?0GF6uS9PPQm}N5rQVO*qlb!McTREAznt($Q?^S6g z%&>&p7-Te}i%k67Cm&@g;VqBUt@T_4-j)F*7m=5|x4hxWWPF;mFbY5(UTBdjghf;L zQkc-2Q2Z4bYJ+hL*RC5pr&XKBw4yj9m-%c;ewzS7I+P~jU&7@`T3PVDP_>aW5D zE~h^7`4lQ5jO;wmbc(?Ty$B7@IZT?_guGCZ?MUu)uef!Uc5o3OB376@dSZT+jz9D_ z{rb0`?!I4sz5Dd0T2!nNPR{hu$G#$y?FzjJJRqeNCVPU5n=_-rqy4DIeY-;tbcre> z8%ZJ9`%@Vh`zw8+GH0g(i(b!Fv_iI8dorG&Y^cq4phKeVlvf$2xOJxoRBThvDq2sv zqd{7%Uo0|vf4MMEB|xVvHLs)OxZYTWakMea$vtUNL|OMr)~&n~*G(_ULcf-w`gkIL zrOkGW3@CuuO#8O*1GQx2d4%P=PMq>b<&w@PT3s)@ZHp~kJ$Ka;IATI0Lr)6>JRyq8 z-X>kM|l+6&-+!< zk7BB6T*5!)LKF3%#A^6(Ix9A~vFPJ>L;KcZcpJ2BszXr$7pV8Or~)X&FIv|XJ_r5V zfZ!xpct%8%1Xq$cOT6e$xA%p&0`^-@a2(UHJ{HrBoQiZ-4#aPe1-vyAx_Q`}fMWcbW4E$qRH`B> z|GD!CpK8keOn%8oMd40|$(*AF zBfmN3TWr8keya$LjZIDvau(-sCE4<7qaF9|+o`Xm^MnnqOWD}kh2X)3 zO^MEeh@?WqOnBoST+0-MysHEB?b@|K$&V{qtY`{QK|fXOtcM?ic9PIlVR} zS;+@wma9Jmr(vj2i`ohKHXqUI!JN??by1KQ3ITol1Z6z2x9dfF>A}?gQ232PmIgt* zq4&-0>A>FQpl42*1wF~$W=8jWd+O5P=;qulHKHFnM2HRxWl{LBE+YGSP?p}p5H~QBAPi(c%Ii9>*;7Bq89ualu>WDizvAqXqjO##-Lu%qfW12-H5z#zy>!J0^{1` zS1Vz8y(YJnd7n+~v8JzBjRv$JMpsbQyo=L`w{(Uo{$i}d%`fE^k1?V$Zvp=v2$`U< zDM~fCk5iZz-3Jz)w4y|s+ZDajlb4SJY@2qMfb%vVM*AAw`%_Dz#Nd==T(TxQWI}~5 z#KPU8lf?`@zG=0YeM}D(>vRlM0;vrJg;c9go3yC}%j&>&k9i-x%zVWp92Rq}o(xZm z%;LPLU9d%ieEJR8uRy>T2`k6wh+nAW>ZR|UUmfy9-98_=6N^)nfs<5t}Sv+`2| zy-`b06$TPp5TEu+&Tqe>h?ZmUk4bH& zlinH80RS$E^+WRET4Eqb^bSB7NWSx&1;7M*9d4~g2rmae&|{sbXjKgDnSQIze3?=HpiJ1mjLS8FrT4@gg;ir%(s z;Jk!Xyt0}?Po@oF8SU-M;=1+!=j_jxwaKyLZ16m;f;Z4+oJk#`14Fo5{!N<} zpoVS&7Z{ln((1UY^G*OPU9_g3D}X)?X72R++OlIow9I07DYO>#7IfMw@<)X76e6iL zzH+3S=ZQ#1A%oJELgl@^d6ePA$hZ*6^8x7z1tR~w$FnWBOM{$!Y@W4kf`~})NnpPk ziU?jIs{|%@4 zX!hYm+Yv<{8)15_JFVU4NS61cDV=(}V&c{4!_|P$zs7gbm$vBd@HTJ`Q0{XwD%2)4 zq}X(Z7U8aWL-T^6sY$akw&qiLNBc!vl1dD?dqAHgiEJB-`N+)fEgdAUj@CPUl?i@- zsU%PPebT@2z<%qn!PGnZWDzF3oS3#NJ7+`y=Bo|WS!LpJCZn4~ZWaMYgt4PNqp>GN zD|sl%Dsw+9&5}eP&|yih#!~o6LHLWixtv7JLKRLD9WWjBNW}q>ZqJ1w1ti@HNf@D7 z?KxCm*YiYgsWIvlz80Vx!Uoi;p|tZNCGEAVo{hgu5<;LPLz57{d~;AJ#wqqMJiyVp zvVdqvq%$tPg7W(@L!?Cs$8m^L{Jz0WOQ7#f4|z$vbJN|S#B85#P|JTY9@YBUquXl> z&{LSdD6ny<1!`6}hO%EDU&)&5_;dx>U5d6CD&aIy;r1{uUjK*5R)42?im+a7c{K6qMH9^<-Xwa~mHUx)K3NnSs zzI)`y^;=|H4{p%83^dnI%uE&2)RPnZh2_(}>F7R}CF1|Ba^6mV>5CONh_7*)-8pvPW=0ziSI+CLm7)vH z^yXjSv{f{_XMuK!zFRA1dgvqJlV-76u3HV?hE^j6?a zbcK#qmcSB{SYG)yl6}L;C*E?-v$^}x#NAzBP?5oJ)3vPysxIM{y$yG(BI^1aC9bq+ z0$U!{SKNzY?KDIqIogModx7wvo^`#}qx#mBjnlcho=CRUp+L~QNJ6Y$|5$9gH+}GB zIdQ9W8aQ69?KOl!vREdUK*$3tR6uswkz2%5>k6St9OO#Ktvg@yAAMO zX};k-DfUtnrklPew@dI)iM%P?88loA%>;(khjIgT?nBv~HVQ56Ha8vct!l)S-262{ z;If;H5pkdCT0@?5PZU4WK#VyTXb%qX{DW47-eP>v!tA((8V%7D92q)Q_RC;(^)vSJ zr@!WL`is{uP?(OWV@+N8kBYW;(U_){+#FhbP;Oz-@9nq9<%@7iBL;_imFE(8vsGOC z!}oDgkUFTC6Ymh>q3GJvmCQup6}d!(Z+ws!(fO)Jtx@Cnp-*@W0|&Qy>+tDfE!qKA z`BlH3gbhM)wjo3_oV_&cPPxYEw>*_^Jyq{%q3Y#9MUzqkg^Qz(4JK0tnWz+cfx63B z%n&Fb=yWo4@!zAg;%K%t`9bGxf81^e&FmG>1>~qeX}@2c%gYa{qW}Il2frp%^o!Oq z%s~OF487#B6-QTJO9P!Wj?lG~Mbm>YLK2#vrH!0$?N;_3P0u5~)svElV2&Y_Ke$s1 zCTVww0pY?j(yJxen*P0af-AU}Clqq8iePOKg`>%m8g)^kL$5?(42nT*M?>jq>u+?a z>&sCg=-HKaX^L@{#5drMg?`&(xRIzn)O>Kxf_E5|ZS<}azXJ;Oh`3+$M)r1O^|kh> z@(~Z-`g+aSLpgd)3bUwxAfG%_(@Q>?f<@OZ6a^JN=n+y5FG&n8+zmyy9vN=Xf>V#lcIHfdmv0XaScY@+Bvv%O=I{X(B&BV@WH^LgY6-P- z>aYB)Nq!%=pU@7DPWn2nYhnG1OF{GFg8OCh-Cwwv;fUy`qJr`GaibYLvnV8F}Xs?2U4PkcF`ZtyRm!M8J=s-kF2?feU zy*(vz6FPnO7Pda#z8)n5TFjQAnwP>L0O5?E0P*_F#f>&u5cQ&bL9pvHa- z?=;(DNZulH&SgNLiCHfYK5++6UEKmyH<9&*z9j~wz5$X$-J$^Xz z`;%Xc*#BKq?|*Kf`P0AnsnkU{t!e#6MQ2-SE&Z?*R=%kI8(cy)6t?|oM10Y}GYCRI z_7a^I%h7%}PzPAG#|zjYg_meS{?NlEBx`2~#ub$2F)dy6d#~gDBJQW)g8?f^^;9^Y$fhQSGeae2 zeJ0F22_9WtNm&cN<0T|KqovJ%^_xJlYR%?5yKn=4LHHxFXcGd!Kl;r-|I0u9eBkLHs8~)_p+ljPW znq;|r71TENJ~q+V&R?8>eB7h$A-J6|z1S#;`>!kO#;(sEr;zQ4`E_lHPh`B@q~dG; zP}udyf5`dnf2!o8KBqQQ8}92(Y4|}@NZ>sdr{Grf-_eqwh`4On3Ug$A#l0-9VNejO z-c~em#X+kT8`6lhwV(A+^$<~LE|B+t^{vQ`k}4cIPT}wi(=jney}waFoHXYvGGb>? zQ1rtd5c+Gyu`Ol2DS!?QCsB0`p+VF+HK@0^QXqG?c7bQO``v=AlOUXFe4zGPR;Aza56LlA@>_bITnY2;3{~8Q(rX*CcFz>$yfmtn`h>OhNyP&hoLBEZ? z1eDAM9e(JS^47rhC33ZgfvMB{U-21>bCu`7JiM2{IXaqdhVimG*wc#<>^#RM$y!E zD^&d*&o*u2$L_)~1>_CwXq8PB*Hx3?p|&d>zJ^P;Z{T9h(Y8Tn`sUc73q{g48|d{G z*DG{R1$RZt+XW7_@x=8wuH?uH`-O$!p!Mu{(Y=Hwyr#R@G&3z^`sqs~@G0jMWv52* zFpi9&3HXcQaV%a8%ApE94^=~SkD&sHoo2X3M!tDc1!BX!DNA~Rvd;v*W4 z?|zyf6yA+N>34WsN9^Z&rmTrNdd}iT^|aYic!peayEOid?vh!!<`P4iLqAxWVg$;^ zeNuAT1epW3RQ(5`tH1i|KWyIe?>iDJ75J^>?i9@m*SP&TJiNT05X@(XPxNGoL8AtK ziqh_TqIU6IXhsWq8m!C(Y0aBqfLkCj(H&uCcE}e#j*``$X+=)7E^s9%(x*^KVx<72 z3Hs#s9E`6Pj@pPAr54n}gF-PnG>j_r?+{6NFV|uFId@hr-Fkuv&s)1j*X$3~(?=dTiHyC8!DRA$jiH>Bj;t7IfhX61nDqEjHE3< zSDQA-YvT9T(G@$o?>Lng?psi`bd>pek!eB3(h*OL^dK?H_(vmqUegb8?63Y;R_XuH z-*nX2#t{7y!cEo%^ly}J+jIJuxMPOFx8{XS%yXR1rfHCbPigw;Jx4aoLit^?C+RwYV(SZBF{J8OJ9Y8|3nJqh=D1C*s+I?TyQHaB3L0r6yn~k zP)u5XlE+iHxW=MxGdaccCn<8!XSO)K{yG=F9*>(ZaQ35|Q`+fZ67Lq-ooIS|wR4v# zl%s6Cl2B1f->fg*D@MCfI8NVYldrTTL?`rPxycLVdhIQU#I@x)bnzo2=Nkq0*;%wC z=^(d+cN9G7AREEaQ+98~$9jmQw{L1&k#jXDe zS>)5iKSt48aXrJJ8>V5YiN@rR-1dTG=qC$02&`+TeD8{x<5;@15#pjKX(7g-=TkHh z^tQ0_Pwe1RR=F&>zM|=7z5evQO`cf#%z7As$v}O@e1X+nS?rCItJSJv*cAjij?X0b z$R%wh7OSB#+<0U2x4HgCgN>RxM2q~}9ySTCUjm5l*7Lm~b7YIph0^th@N?`6TZRth zsVG-DyVEL}!{7b%a4Ai`LQdGZZf!qh6r|cbhPVQh*$2IJ@n{%WVdT$$nG&~PpZd^b zVz~Mf1EEYfYk@3eO10gohJNi=@V%p*OaQ?O7=0PRHe^f0N3Zr#SZ1k0uZ5##f$;YF zppO$RO4ySXw8az;sn0D|fY^PNODcb99b!7Ni~G@qkG6HCa*sbp4-T0O+bxIzZi_GK@88qH!o_gR~X@aT%dqt^0HdijN~p!!Jy+ z2<@UD0@N?+MgHk8r_m$IFZL{|f<(G_HWDq|W}(>rAl~RS8Y5)%ZQqSGyTIT!bdqSY z#Ei0Fd3}_>(9M0R*_vS*lo~SLFcYhymkMi5P!Nu8tM8uYxWl#j!n|FpQ=2=ujXIa| zg58Lap`IY(q!^_E7LlTIB`DBk(WuB}nUJmGc#pT$_i=$Mra(0Y9csZ8yDl|){AibW#wTgi5<@JYgO|P-j zhg9XKvDfxAkSI7T|7q4+Mc{8C-lssUz_C1={k=jQOcXtxgi~ZK@kGSHp#Lx|zkERY z>%*c*!h!X%AX0MZ(bR0B|IkKRi-@EUdn+#=3Gu>p!Rxty9_w1K^0Ef>MVS9toO8Ze z@87B1qTJV`5i;&dv;Vs{<@?wcD581Bl$w{4&>9*vZrwO8P^7R>1l5nirnGemCk=si zR7@YVR@?RA!&_fkfU3I(wx^TiRtJ8k`aUJf%#A+o&`gz^_PxEH^|ADD_@>{%t_PB@ zx6+gdn)!zWRy7QdzR92)^u-5B&u0sBY+K)pOkhxge7yiwclVREs}+5;a7 z6nWWZiFRlKl&!4r2=-}Ld$#p)OsZvU(ubm837!Vx;pg*G3yqw66v(Jlu8PlJTg1gH z{45u}6Z6%>4xeu=!P_jy^HFR+KC#k?oJ|7o^&7A-F6y3@HI{&UXNboOzG0^^jWk@CE#;O1X z!wqxnc#*qB;6wAojP__zJ-5d6srR6YqTKXIXeFIOSiy&O?{eqb2Hoq?J)&EJyLT^i zS0nEhy+(Yvdb^F4fw`~U6h^i2v_3rg)k_2Hr)K9}*bs!<53Nhn@B997!~OCp-5-cU z+W+;Y!~8AEEKQLW3hM<5A75?%;G-NCKUnb@!yQ>Sor=v19YU9U=pPlAitlKK|I`;l z%dXRo@WNAll=Uh0gt>llvfRqq*QTaIi~Z+s@n`=#{PItabRyNtr>gp=+sUdV^d9J{ ze9f&K7Y2%|H}~z{K8^Ww+HMOFTm%5L&L4YBerLh_TIu9Lm{uRHmnqBo-7!sbLlFE} z^N3Rle$$qz%v8=17o4O`*WUPW4why+Rl{k=KJk@WH$jlIVQ^ry4onF#O}c`( zT}i#o@Y#<)i2M=N<^Vsu3F-;woo zr(7VV+;GJbon4gf(2aaipEc2#4JbKdzB#q(K5Y=(Gb&xlXjwjvR!M7|NYZT!qLkBK zxAH*18tHvZ^X{9l_ob(Lw{tPu9jJhy5iSe&JhX57@={Dck1aT|ba4MVDbJti!-f}8 zDoepPk$O>;1$eiL&%$KOc7gMB2^sZujtFR#pU0omE`c#42GZ!%;FiDGOZd$76a6) zZcTeZB*Qcju;I&n4fp7G`-_9aAxTHV++d%Ak*W63ZN&fQm#7p%BN?4cB+XtfP(^w~ zZmZzOtmw}a03Z5!iPb2>+9i!pTy-LgIsV&e!rM=jj zaru#o@Q*k|y9@R4EtoX2| zLl2_BWT%jB3L3Id=NFHSvsh~oITPS`^0j7vKRg8Sx)%^J5kF8Y92JSDr`#_b2NxF{ zW!-PbXq-OV5)rLxlj8R<(I7E-a3E}Ld5&GBUQ)~?(L^nF5wr5K8#JXwC3?VPH?P2-4i1*INxFuoi`)lZCcp2!IH#M(57lWEZR&v_CQJnd;OUvK|IkN^ z<0x#$OUTYBl4LFIP9JaR+YK#>);nbYqN$26g8$Pn4z(%H*u(=psR#pFt}=rrbEPOe z7!)p1`FL==4&FR8mCxA1a@uAH-J@Yh<*BLPvn~vyA?UKH|U{2r6_47eB4ii#*>yPcPJ>-(okY7cOtG=*YligM#n-oSFxkmB-xG=0JzvC4QIE3(z5t~r53(QZ9IS<3cc6s#4fb24A#s6J8z ztfhZ_TAL21N{tG;AyP17-f2M&Lg(c;DkFLFBJO`q1*}&-Q%JhZ%(w8$qL$!A#^j+; z)4pF(LjPW^X(!+s-G@Zm*R(TtKEYu0d1d6*m5RAha_5{zAND%L0_SIgrpwh$e{$4< zygxx61&WYvC9HZmPH55k4KA-wh|k?I8yqN{w>&*wgCc4ql^PTJ1(HVvbFJ|7iyb9Y>O2bTgEgf0546bZGgXiXdaWsqB1t$sph z3!MdU9YO;;n4{sQ;D1cn!dt$3rSjMZA#Y)%? zc3wDtcTGwE-lf+K>$K_wy71n4g`wCPDDN?xUInfU!YA6%EzUoDQU88k-tkv|`ioUH z|K{TLkN@;9fA>Sv=n;d{(pg^Lxdze9BTwqr(4rTujmpj*rB{V|Mdw^KTno2JkgdHG z?KK{3U}}}pu|(KZr0C6ZR2~vGo)10M*z|~7Pr=-A&yB{!;EdDWXd&iPL*%`;XWsKxh*Z)o;?GK|+*1hP^l*-kHRjaPapLi&FVM>NKD1^% z_3H`*6gVHv31ucO!{{Z!OREa_)4mY}bF=u7a=n&F5q%ghQno>{fOeGPd$T#&;h)A9 z8CKC`iNjLGb&FYs3sV67zZRq8AYJ@iTnEcu?%3Zzj;BXTWv-j6KGB|dbB0R?F3iw9`b8T3 zhA04r3Zf76`c4fazLZm#l&()L8tOWx-V94HC3*2OD{;(T3 zXI4&wG!}Taq6cYAid&0{);oYkOFV10m`W%_F0yLrHnD-}@)CrlWTjLz3=p;pP;3h0 z;n()+RCOImHY^%MUhB~_cZgdYD+2B!mG+V{rB*c(bAo|-9xaAry{cUo@IW=Mu>CU( zrFpb{7^(r@j(huyy1DtinE4Z6JRw|TV4f6m*zgfgITqy;k3oZXSxuKxx zhhhH3bn(Ez{=-4$pZ;2n#7|4(U;p8E|M*9L z`;Y(npZ@+g|NOh({Jbs2d`!X?C~kMwJA8P7yg(um-F}Qt4L&h5lWRO_n>9Cqdx z50t03rC6``?_%Lg%i|f}$2G4e`4;ekotR#^?88r%yh`ktwdmi7O?JfmYdi%$Sl^hv-(I)< zlwWUc;dm`NvB41(|-_UHEj^o)iui*QtVTi7WY^5MQ9bm-|^S zGnYq@j zXgH?ngx8Y7uHY0m)KtWaRb9e3qPTwRDUyCqJa6D+Es{%MS0p(&?6o*b8jAwpxgG7x z#(jxOWu;DU5UIyQ;w8#=f%R7#O2|#%R@ol;$Uy<@2)6>=EO;nfVk1;3;q%cIZ^IVX zueZpNzz{mZN~MwBG^_V8;**MJH0Qlk%wJ+$c>mz3L0jX|Lmm0)N619noij6@TR<}l zpJFo7MKM>?#3;q=Sqx>!L9Zk{s!_w1P*H$efYco4NG~px)?sjiMA1Vx9fz~uI<|Lu zqC^J+m<4|Rc1o=gKv$F$G+p?`XuidDI(6nh4++2AvGS`ms@#`rCWPJKxlUk`Vp#Nw ztc{LRYdV^XR}l2#uUg7Mcz=0eC|V#cWHQowDq0ngIg+RNpeZo7*sv=`CBD+@EWSLP zIAceDn(9Y)@vGgrmW(3k@#qp^R8~ZmMDcLl8fRg9oC|0QH;5m5MUTgKeRG9Sb6{1P zQby69C`!FeTf%6cq&(3>*0*YYDX3OZ?v29vn#H6z8JmjF5#FZ{DSAKGIiIP5A{*Zt zgMb?GO{ri1Xzz>&;aFFTbw=Ng=M>i&bQQIURuv{;u}^x|^ErG~))25z497wXGpvg$ zZG(}#Kp@&WwVvhn(4wZ@mZteeYe~OOjh$V$7F~4t<=)~NR9@*FIdFVAFh?jeIf|T` z_C2ocWnbxvn;93;tF+Rb z*u6$kd@cXF?)gqBo8LpR0`Hn|dsX#1lNEAkmO)*%iT@ z@PKO}aiNE5^P4aO!>;L?#Bbk!8b+b0R>S;;z$I0pdby(9nUpDFm^QAIkMS2JT3VbJ z&GqISGfqY!Vx_JRipVKrSn*O?HuR7*RM8&XTcnLC^7jjP82K zaM`K425{pqW(>AbOm#uT#D@8vYQ z#)87pPi|d9TPZiZVQ3%G{(GXLug82!>2W2@+Mjg7qN_{uIL-YX)k#!|0ttGc11{NF!7d+j&=11IOYxug&6+HncvYSrbO ztyQ4AwdxIGGxt6X;4d;1<+g}G89Hwj-$&4gW3yqeGW9eZ)^22Hsrz_8OOwCGe%PSB z>z1MkF|i6AWf5Kqb*xD1d$rA4OBlkw^9v-QrMQZ)DvG&UkI3dC3g>8;MpH(^@G79K zbQ!~?hJFen73hb-CKR!JiUqg_6$e4v(U*pkvEJe- zO05~+V|;Yc4;6&@4vkW7#*2Ys)BAE<#S5~H_NBw6zpvxzpj{$DAu8{mgviK^HV+a$ z8lO=%Yl#rSy}E$x2{-f@aX}O3I~Gm1P%%-OPFBrFKLcFJYb zc)x_O!a~|kIJbVN^(h9<(>h{s0yARJ;%p*@-c#3s-m3S)3pORBodn9;r=c%6#|x$F zCvtbFigV6_=#n8S=`>+DNpU zLXY(Un`2rO;{0iAx0Y%-)JGSheBTT=Hh^nu`0UHg^uev8zZ1|nucaTL?L(|6Bt?bM zOj?V6?lRD#U!2`K6ev^IBpM~rttn8fo8QH~phIqx<{qS6wdT3-)z`K3c+ES;*jU7} zI5j4s8E?mR)~%~!5!}TxMnhg(v=`e|4L}_Za;Se~_ormNQm95vZ~S6-sWpEo#Mpwu znA`f{YD!zq-F=Zn*UMJF6lQ%?8t44s)sS>lKBxsnG$<>x zzS_tb&9xv7p=6`UXQItfi@vqYCy`PAC`ctXvV>C%k&aUvBqMN*=u`~jHLU2g?oRoI zyX_H)203Mg5k{)g#LKaTPM%?N1l>IK+8rG>4(bAiDpd;U% z?(FwmXMgcrXTSO`LEJ6AF9?tA_;B}e`Sqx4*+k*A61uS7B+SNv0B1m$zjSqQE^a)| z6kMX$s04c>?T#_)6$q9D*9cryYSZ3S9K{JzMaYH!*ok-Oz=N!(@ueSO7Q0ls|$#q%DT??6(EgZF!oXSWBkuj&(tu-64 z9xRHG^hpYUcaBe$*eQtGiPIiEd0)FYLy2RLcG2|w=KtKry@dS;t724GPtvx(n zT(`Zj-s}RW$D;hkqtJ1`^e9T!`Dpj&2RY%lS4EQuiMo6Adm;OCt?xdat6oF}*)_e= z6QD7qt{bVy?-POuZGFcq~Cb#(iHQYP5zX zt8xA4lkh~si+YOS64vlsyH9C}rk@I?2Lr=!W59gzq*V!`3)X7iMFB>wL~2-Fp-Ba0 zv)=TMk2o88I3}CjA4Ts{uX}z4v91r8+b!xq(UFaPbrrkvzA=V=R|BDV8uA3;kktD6 z^(j&-#$;EiM&YC&x(CAHbLW7Xiwp|ahR`E@H{a*|z5V2<r|tA< zSiFk=jP=W?|;=*9fM{`R;3<+uOvx4->|f8n1FF#pfL{iomj^KbvH zPWXQ|=0X2OSgH%IoYn-RDaNbu(e_qO5?@cJ(|mRGx);?dO^oCfLJ#Y^6x}UT@2GYY zVQ}1hyc&m76{sf`QD1K=9MXRpaDUop(ZTZNqE`Rbv}^nLs34tinNrg0DfSpncft@> z)QtE^=|D$O@W^Lya=ADr5Lg3|U{>mIHg^hbA~+gPtKUUa3uT~tJAJBg_+E9t%w>;M z{ORrVV*UbNPyEs?viXy%Ru#b2g7xAFTFYH92mv&aWA~+td!&ZGtnwy)ARHwQp#+5G z^qVc2?+N0%Lu(Y-{=mV4(|Y6LMG2{=Mjvjl=m<VYyui?{h zb<6cf-_CY)J{}hd09vs>+hBkBAAYfp;?IAj(&Y3;ufiP#h8JJAddiCR8jkiDlnEa3 zFKe3$=hWdXadAk2b=qAs5Pc#g=8oY z*hd8eAxS^IvXG$+G=PJOe?+-=;sZCDxbufm{KbpmU+aux6dk^U?7$$_@%H(CJ)X9vvr_^otiQ(www*wcbLwPgk;LV7xEw zlUj%z;#_EE-mM`|DuB|dUKU$_wT~*I!D2tI&F?k0I9J~fI_-Yl?>cUzq`@AiW_&R_nA|E)?VM$QzyfoY;k+VBPK zlef{XX}KHWlp5uBQ5O2l9s3c{y@j`>uo)IP0*VeVGaJw~A^{j=dmCoO6j?k76oe46 zvbw=|Lztrkb8(YIhD6jt@kO7hKe0~ek1s_fLVy(Yp_QHLH9Voa-T5xqVHmo4%mXieF*+Jt zM|(7`G%Z-LViQ*Oh#6i*OS5M3#*n^#r}UrzhSE_N5lo_?J#cTJ;IW5bk2vgxMT@y7 z{qFb#ItL!TxVUpnyT+p(*@SwP>Y8{tuw8tHs-8Nx0%r$v%l?ZajcL#lh+&abdR?ZybYt`=|; z$Rakg#5wx7is0Ac+S(&(`(cksJ8Q$aJp(Dx-B$`Slxq(}O`7@Amv1XlHZ&kuFViE4 zj=gwHd#Lv?52tQrJ1M>G3LYU@;>G@81pzS zvY|mh9p=mZ5jzy6pO=~ULvUmBAk!LN`rJ8v+K3dHg_zjjctlyoh~|Hpt9sbOd+Cke zeU2&-f6q%S4plmRo_Rzvhmwv>^V4&`G?t*ol)Uv?tZ%vsMzC)+-^ZT{DIuWG#gJH) z_HW0K84qAb!drg|^m%7b_Z^kpm*?2XI2fIaJIBzsuuu@|r+9LA>hGZHm;?9A7W-rbmkh z6}5sHS#pBnisER;KqCi^p;~zg#`6kPy$6xyWMbj8+j7D2^X>ZAzbsMq{q`UJpTGOZ zfBmEX_`Co5o4^0B|90|ynhle%ZEE^Uqu)|ok={~oY-~-ON88wO##a&aJCVV+PG%=!bA# z+U{8&~45&TvrItFj}rzwUjToCfLV;-a4wnXb(E2SO~>EzJ7~uBpQ<_H4lx`uB@zd ziLo7*j$kn5fP-p+lU_iNgML2Tu#VuZBGRqoIjc}TJ)rI0SNB+zQw*~dNW`s0-L%0K zgQXE8= zX={zfh_orJ5wg>gOTj4_(I{@lRw(GMHN*u4XSvqigZ|N%nl3RoO^J_!JUMHpIpmu) zEO{9H;rfXsReU<$(k?;D-fo)UA<7ZKxHiUfE`aoj=mqKlzs{Ah0^isrd>b`?Q3tBf z1i#wC6{YT`kbxWnQcxR>|4_I|d2=}0Aj>(cpN)gEqZBR{4@Kivd7IY4Tc3iuvN-+} z_ga{=3Rej2eWFJ!G-n0*0vc*pt+B6X2%veRzf4W0Fa&TWjiZ!?OKre0P~oI+I~>2R zj}1^(txRWdU2;yb_4eo_i@a%pnxuv88Y4k9h<33!V0rJ-W3=M1_ z@*f4D3F9EaMh*a9npNwBF@2og+5m}mQNiFzsX?uk@OIz{*Bqg)Rr6tKnYjGWlq-vCWl*{u*v|k@(trW|obOUBRxIv#LYJ^mep_5?HZ56*{=0tuxy z{8Zoy;c||1he09LttrhGL*q43jJfdv7qMfzz9M+)M=w~En36{vD@sA5Uqh9y6}{`t*6(yJ5ZwcaUt(s?4P47au+AgUz+?lyHN4axonR31K-a@Ce7Jsyj z2?y#aW7D?DS3a)GNl{P{i+t|e#F57$C(}4>dmlZyah%0yZ7wG`D{wLO6IWFBR}$!0 zStEr#8V%PSl*z@AJ(U{DgF-D60X%VNlz`|jZvt`Nlts5g2nY~qh8j(3wTbl^&P5!i znrSOOYyH1lu~FGJD?Z;>xUmIDo0a0V^t^s1He@@~)^s@JZcf3+Cw z8jtnKshSYSzA1@EPccqYgM#nkv1LZ$Ge*69*x7)vViao<7djK&R#4rL3cK&0I#GI~+Woa5PTRJ*=~ zLc4^OqFus0PCHj08OR%$u6d8VnSvPlR2SC^TY&*zKLdsYMsDrxhPE$V?8gswph3@g#zU%_%QkNZJ8}X6&#LfiFPae{d3YiQr`2-jV4pI% z5JDJbf~JzV@i$3pN{eCxh`%Z{UwACM`aznMH^ttxRVkxwU-pOKC3Yf-;#WcC7H$m_ zmzWvEa%uS;CH+pyY`Al4{P0t7qSw?=i->sryz&=B1$L?s;vbmr#UT=280Xw7o-&eq zm7kdMM1(*v$td?}GYD1UqY-Dr0YHQr!3_O>@Z6H>bCTBFC)S!*IBM8$@FOYwLc@ zF7$9h`$Fa9Nw1-I)It&TQmmm9XeKJBIFQs5LYn!aqiraO7mPns{78RLrjC6cuFX*- zNRd%7HhB!1McHV~;d0|js9R`SD&JZfZGfO`N&qcI)?(HHt&$l8o+=eoNN2$En>gcE zR6t$YzhvGJu;v}g-&CaIHiMhTSKLi)=DSAT(*s`peCD+uVWC<$N`KF&2#?l{6|H%x zu6?l-QT|)J#inllrY~;X0?pJoln3#sE=uhfyNtupSI$JHZu2 zb3MM{EMZ*P4gEe=T^b1PX|RVx1@4G5x#YKYQ*NHaNP}1tny@c&08=0i%L4$=KRq+!9JHGuc230rb@=2qYI0rjS?sMP20a9rRn)eB<_TZ z(Mn6q9L0}sxm?>`$VwAHm-fB4M~5B}H=##8xgU{mlYSW8Ul9@eyWjlXZ~y3b|BRLG z&%J{cbWx`hX;^~!mPjw0>H>;Gy;A~J>L+x;#Xx>E{7#KeZ-5noxrNf! z6krY_D+(IkTo$q2O34u`37^o^wUnwB{6-)s4mXs{w=nPLEyzqGOk`9zx;WJ6#LRC} zExb+x=&T$etc4Mf0#JkeAQ3iB>rS+{y9aK`PK);m+TenJc;4zY;>?0_Vq-__gJ!&j zg6ra*bRBC zMcS;g%56|SF~x9J`NCrdqWh?KXfe>9LvNIDnSD0pHj|P+iU%N?nn3MGag%%7Y5Rv7 zXb1(xjn(1_IO53$@=Ybw0Z3dfJ|%KuALL zW@Kz89|3l~37*r=iV7~w6~x(Hqj|pLK~HaA7k5E9C%a<&Ra@L2vh`@tXtth!%AnDT z5VGM~Q)42ihukCo0@HG;2oVQgm%gHr@fej&CwRZ{%|>#8Yo9)m$~MoSjmtg(5$*U; zcR0Y`$Dda}5xHBx^~RptYZIvcJI1#P97tp>x7>}iFHOB0jrM*eRJgZ$?-_Aqp5}9= zpK>9OtS^k9maZJ>yGTrD51d-zDt27`y>TOU_SF3>fl z;nDV_F4*ZQ#h31$Y1Tq6Tu9ua2Uj`O6n3`t8jU8cDl#gjJCkVnW_5<C@?>o|jg@fm+QB;2u zF}DItM+|a0ZKY0KDShNviqZYahKAp0+UP7=xcog#y7pM6Ui9tPl%JmbXn9sfeeAJbq;I>kAVPBtiS#A1HkGtj5 zyEM_~wxQNr5p^HiTM>z!g+_zE2`B1=Rn2`3|2`ks$yNbUC8(RyU`NZw7w{YAM3G}X z<-WUb{oeh=JvQYfmx5|{m~3jdQdm5@LY$#9OZibbEmcx)c@W$`MRYNbFDV3SonC_m zZ*Bg5bEp4`v)r~3LP_b!cD{uplWGyDd_i|yD!k?qJG+((S+nM-QfW0|tzaK6{i4RM z_bj|sv=TFY;9aa(kATt`p3df~$Q>E<$}sp7Us4{$d%Duzqo2`)Yy1)M8rmeLB|za6 z=QU|u+M3M_+BHNgecc>jrEiR#lf}z{w=*o+Eq0fmpgdHLzow7hMZr-;%vr?1E}NX0a3$$QpQz(f+{9X0pQC-IuX~CuD6=+m({U~eO}}92(<;X- zjGG0&G)&!MBBL!SEG{I2;3dcYwYf*!Uld=A&oye4I1eybu_>%J1OszAcdoahn1Xeq zTkuoj2wVUBt-}PA()CyU9j35ZU=5@gg(7;n6`;{Yi9$0A+Ks`}uIO}4A8CE2t)tWR zsQ_4-awaEV6@{RN+lhDqmu{Yp3%Uakc#W2C9!B6qlx3o-a4lM15yL6;h4tc;a;WiB z5Z$TdB{Z3|hD61>a?BQgMS;k`Y4WAbqyga&te|Ptj}s#B@SU0Jaz-mht!eRwaL{-b zJuycY`=SGxGQJnkM)YcWi!Tde0Kv7c1VI%|lHgA3dZDhUt9?nk{JKmtC5Bi^Qq&r) z3N4{CJhLSuPBE$tp00FKi^3?sT45||NT#{;*T&WHIO2oCb3@}}@Y=9i2f{-SUP6;Q zNo6@jEIbtkWy;gi+-KV8Sn6T}jcASAG$NxiP()P(8Bt`Tg!<+Bn=A4rI46#F3HIs9 zg?d3LgQzV!Qs^^eBn9tL#lgnLpcGBT+gDoSlx(Y;>YQj<-PXubOQ@j({D(hniEoa9KFw!%v0b%88hu4?G=~hCh@hYj^&?G zykHL!PN?N-k?${F%H4`vL)gPa+_90uSG?x*E!d)b=VuiT^rA}VGG5%F`|g_p>%O=x z3`k?NP!)NixCurs3NQq#QGF5Qo0w~vzP7Nxh&<(^0-i!DMjKU2Mnj}EHnjTlp2v4# zR4%v%n)P`9Xjf?)-!CpYFGlcmHfa(()T~%NO#nuj`HE#?%E2kkW)&TYhhtEo$6i?D zhz{zVe>7V9%U`h{_(i>tKS9J=lzc$kGdCea=v){h(D#Q1UHR+hFb(RbH{nm1iMctapSC1~^VceRUR(Rx=NQJAYeF^XZ8=c%@Fk`teX zHtFmK_uPfrdEcKdq^;$9Z>{J`KilZ;Laz&#G=)8GX;5zy>!PR2p}?R-ndkT71KE^+ zpWTG1Q4@L|DuMR*=-)lmSk_aZgfe??+}#NpD{S9-la(AD(*lOVliIQoq@!=v598$C zTk#cpHZA1l1@!W~Z$Gt1ji`jjZkfK=?_Bluqhe{U^26!)U;JS$&wmeCa6&GEt!#|D z-P@kKfhUaKttiSwE^Y-SsOUMz*{(HS3QuzsAFf7SU_U8M01VA+L~t8!SlB|jGW4Uf zAbFyHK{=ek9zKhp%!}eIv)-w?f8eBfO46VijEy(@G`WnC5-E#`cYJJe0NkUQq=G$$eh&M?g-Wi_Q=g z2Eg(w1bwh}97ebA7INva3z2AT`WFkii6>@XD{B>XTMZ{Knh(lAu!~Tfu5ZB(br$82 zK~aAeTBmSIXsCW@6Ix7O*0*~5sZn1q;y&?9V>MmK3m8_mh}IKAyjQsuqOjUtg#tU} zZQ-C-fQL@swX{Xs)f7^+h<_OWKit>w%i}LNF|lwJ3=pN%Krf1T!)qT5n7jCAH~kc? zJ)swEd~9+xU!}OqRqZsi9E(zp2xG#SQz#PQvC!SkB18dkaJ6j|pc6IA!9Rk_7xrHD zD;!J-Y+2N6-$>O30<(xlV;Gr9y`lNzV}?~@QC^(&QWU4_Q=wSf0RsdjKPTWk%I#JX zaC$j3Kf9 zYtZa}ll!J3X~JaIaTr-^LhXL+hOYcTA@3Zy2*?UgqD0=qg|fF0V)}|WTUxNsr4;G6 z(3{YjB5)ULrsp+dDVhYV3)L2gUtC5xT0wh>7%p5L??u{nH^umrXd6##Z zV!D`!DKmR)aghmIi9<}yH=Wg(uG%U>CCpkBZK}Kc`#e#Eec2FS5K|3p-+kOqp^9ca z^g3!H8w6HCT5qV$ZBaf@tn$zEldu`S%?8hy7wL?<+|RxBX@#;L8Gs}{Ry5WSA! z;BLo(>+)!b3Q$^yZv{tIfr#)rOmjbhH1tI9wbAmTU{I-F&`%)z5hOi^L5Q%~mjiZW z`o$Q$sYgTbQq&cf(dT=*W>MC6dR=4gTZCXm!udE2W8x9Q)$^2PO>F1meSt^vhAnonU$Heb6!gK_j{aw5WgwlGi_wgXW zQwp_4Q)X(XM}c8e6ut8R9pTVhP5@#4+~>mlACd`Ndd-qty87`zK0{XbMr< zSOx{kqlm)cy3zmxXHt{DfSk+Pf)SRGouIx=1rIyg_e%_WvDlV?7q;3;22 z$O<`c`a|YM#97eM8SUTOi`bg-Ab}ET#E7R1y$C~Xj2tS5Lk91#(`nhf2-|*&SAr0a z)IinmMSm386ZFb_y*cZ{&_kihTt_3bQmTOPo76@4VFo7FM7ivv3r3fnu;~P3WCQY`8w&F9x;OGhJ{D|&CoLi%? zCZfO3IIiX4C?9#)cQs&k*A-ataYyDzG-4?8DvWbS`qH|a^f~%Wqj;JJH!6#pQ{}|z zF7YRUV1=<4FLt5Y$b4H9m6<-xJ^m<*MtG|{PE{OBZ)CJF-wP9%-Vj}w+SG3rM)8!t zD^RWQb>zVFu7UKEUyXr##DXZZF>7D5-#EfF)3bB3 z$Ci%LGN}&h3T%K38q81hN!&V{#e@BPCH%64^si#wqY~^Rc7{r2ixN{*OkV^W3|1`S zlbSZ)+@mB#Wg+DZaYQs$i&pwe6NS)$HbeWAOR)13Gen480p`^P4c<&#eu_u79R0CI zb)3JoK{X07*2j9Ayzp&~x4oey!jfCq3kapfiQ(Mj2;U28DZnWMwCm9WAXM>c9F=|A z@rMtZqi1WJzCG?m{kVnx2$g7>aQWSDioIT$`gbK})H|HL+e^Xq(-lpXTxp@yE+*(D zibA?Jou~dtQCZ8AI$v?_+lx*jl-rT;c|?ws`wbU|f<3RkNPzKq{W&-h%|~1Ce*A^r zvDLzFH}>l8eIE^Q$2D%g=z8tjbXrwCtvXc33D9a+*!ulYG59CH+FADt8~=dy(H@II z;A0B9_pkPrUG#;TW4Mes1g%twb6=sYM~INlMKmvk1sd2pa5Cyj6QMw@Rr_fpRx{~! zOk5uaP8`HiD*ig{F^a&~(k7?G|F~Fv;)FlE4wAP2NQNkKW z)5~eaq@ootCkAhDv+1{wbb6z<36OY?p_OJsKQgKN8Wh`$xcHEdPFKDh9aczap!XpR z(yhA_rCP@GmqGvfr*JMng(?*qyhYQ!>+|mGxlJ~jEX9`TQ`s#EBa;AVW_u?Bxuj)< zPraaV5Z0)F&lM@cr3!lU$GQ9C|1Fb3lF+dsvI0Othqe|=2}C7#KA8~{*V-(xNI^-$ zbh=Rag0JnklpA_-NLZ{pMBynEt|FRcxY~?xZjNbIEi_L%5hF?m@eOk8!p2*%UTi^B zcybQdSt7nGWb|&u)ppkkz9Ztn8Wbh0bf@iesvQfS`96&zIE`b=IgRiTp4_sVyZesh zG$)>xnE*h>3=ULW zd{Yv2*kEnNA`83`S9S_a)7+fbD6K#w!-_{h|4}3r5=;n3rb}E)G4yR5~$;K)0_6ho1$cD?s9#Cl5I)2>d`BYY#yaQ zr}oBc%v}?E5~R2l=Ba*uJFSZkRa}K?4?X`w)r_)vqnZ=nB7H_f&{1=j3s#G+J#?y5 zdwmjS9P>_?YX07=PbA2GQ90m_A5H3rrM^+WbG_*kc4_+iHTL8B@qc_?bfO{-KFW34 z*I0Z$iYnG0>oc5FLw&AyIoIo>!F;Tiu9o165d)>JC5C^Th73VWJs+YMsA$L86cpP~ zfOX2#zTtX>Y93X8W8`))uDGvK%;fN9j`N=v$KNqJu(*o1`S7eNG#lov>B6@~EEbhR z6eNej&zq{(XqY5~p};rhIrgjPWQfA*t;9DDFLUD_mcV#NMo>tL!_Rt*x19~#g7Z$= zUV8L%pokwgzr2xi7#(WxQD>pJjMlF*3QFw)C%8zw`m%8@^~V(`eq3m7#oC%u&Eq$1 z`-K`gx?!~-^nM8;6$SEC&~L99dGUQ=PrmL!HC`*3c7kUJ8o5#_+*C-+A`%D+>kBg$ zQA!F?gC@FrUq$;b>HVy7G1Xr2ez2M0j#2b{MCE^Mr?p0W#f95azNg@nwrCMB&MS2N zJUINCZOHF~!+-tV-~7uz{?qUNM{To?(7yuve;<6E&jna3gK#ulZYvRp?A zK*6CYZMdMQ;q_T|uekz9lcdn4J2Jm=2n&By55E%1*Q)-U{ zH<#oY&y%*RZ=w?-ynf{!DG+~ObO`mVu`cv{^^EAdVI_=&<4cEkeKqR+K@%795_hYv3Pj*{5<`tAyKK5Ux21uFblmLq za9#tfO!3~zn<(0daVk!Rh1PSVg&9Xq8rWB#{(2ow1>Da2Jw)?U4T2U_q5b|Q_8^NoB?=zEJ1B7^l#l9j&w6XK!1 z1MPH=zhg%>dy95(GLQZ>$^~4|;5M!(<8d3Z)_H5qGy1nKap#1VCpD`+v0`n&Th(&! zofHTHI#QvE>4-gO>5J=YoCLh4BByvgMjq7F-HpmXT4egdYEfg>1PR;w2rav0J6f0- z>9jU%qvNmbjG5U_CN(&+QXDohxB+NCnCdyyf-+fGUrptap#nRJSFWDgOvR<7Saowk zac4m=N3^E2PkD~YML`Q=h12koLZqMT=Ie(`us{CeKd4soLl53LJ27c?W$-1S)C2+) z-<6+U-nugljutH{-~GDnyE$+rI+4*u<1`TBK8Lv_x1cZ} zD$AgDYO@t}^bI@spFRIy{D)uegZjnicWw8mH6Wl~Z=vkg@fg>)#BGFmQO$ioqe@Dm zMU>1`?MJE$$7OxNauf&ZTNr^dmGtYvHuy{*}<4~GoSP|Jnbmd%gm zLtMHj3v6Xbtw#zc^*Gc7E4!mOrqo%tXow=9z&wlaQr>**53l}*g@*p;SJz4xVQ|Ql z*Oh4)46Q0Hi1gQ&L&Y+LbIL=B<=YV{M_nC}Uny7kuCB$Is;lD|g48xKaQ36UobD|7p9!93=x59m0;Z9#H7T{M5p_^UHXL&e?kC=w(F{QT zMDS0P&QR|s=!SSVolJVNXtiFSOPhH1LdEXOA=;xIhW8H_32)ECrtxqbGmcxM!3YeW zk?9ra6$mxabaaRl?5W_zZXbwVqwxGFbLmm*XHfbzM@!lyP3}2?*Zk}npV;bFTtwA4 z8H72Bn)q>!8)L9RGhs!(L#asAR6r2SL>;qb6b$_u%&OWoKh~|+y&c17m<@nTNfH;(RLi2 z^l7=dtbM9Cjj>Qv*k4zO8#|C(uJp`84dQ){P1?eWsE69nZ=|S5zcY)%{lEevuuopC zjNn}}^-6W3Gbn;fC1QJe=a6#$Fr2@bkbdQ4jj|!}W9?qbV9$*kT_RY1DiI=ZO0!SU zs#fR_jRK!r?&xh%c;eO!ZZ#IdV@uQ)F9qr@)-j*g-#s*UiPPS2rWg>_(ffKz)Gs55 zq`j!|j8xy>Lh*PX2}|I8xHx&d7wt|>38E`r#bY!wKT6mXv|e+RvTemz2Q=F0usMC9 zb+Ulj_b6OeRK|sV4Vrb_QPza2j!_D+w=&Bzj~&XID{%G9?ULdvx4MdLPLIcpA&eIL zuJ;m+>%sev&ItHl_u_e{k5Qn-AmyR(+NrEKzl}wO#;SYt04~}B$J5h(sC(|;( zB_hK)aB^LgGV}L03s%G(L?UQMEolr~TPG?MHAA-+Ep%`-gv$gHN{GtK9|9{hZHvTd z_f16l?ZrL3h8e8}Eq^M?&%#8KuHa$ZHKcQz96F~fUr#d(d>r){Co#N7mbc1?gr5PoxO@23#FmgZ-{e?r#E z9bBVgS<8XsUix@Mn%XJudAP94>H=qQpwCZhxF21fsCm-U|j|XgxHa6eA;R?1{>Q zETCPV1460^C5A^~xuaL4HMNyP1SG%7(V!U76!_sp6CwKvxH=QRUFcGX;bFgfI-fb;(VzYxi~SdW{cDEG zzdkwvE}s+*Gycwk!RaA` zu7ALSB-KxlM3Ix6f8(Ppm|)m}(?-;((KCiROiqvTa&djba!H@1U&l5Q!6pNbb1oaR@*^O1DT!qdFln34^y!KaA~q@Z&pH92h)i zZhEzLk6Vuxpy>@81>rxfVW@b~A4bKu$wlx*&q)-}SNb)!$X*g6vkU0KMR&cFco|3^K2RQ4@`G zhC-QqQSw8(>ndSh`0OewRX@+!8qRqYK9R;b8pqIOD?E4Yf-j(jBY=YjfIhOL%M~)+ z*R&iAr$w{yQsm$l{aH)^h=Meuyyuu+bLMG+P~^OVmYXJ>76^sLuX*HDr`}h8LQORu zHG0cQ?GX1bVJBNl&pCwq(%Og{ci{>^D8{238TUg2OBD({by5>0&tQblBK>KUp)BPW6kYiGan0FV35PnA$&#WPf35PaBco4mD_Wl!K8F)Varl)6!Ff z0jAR9UfDT?pD5@*vIeuH%kMWR$xsd#U#tYn=-A#kRHJ97+#KDl$Aji)p|?(}gf$$s zWNAX2v^J0TI5Y31+^qkF7sap}gyk;B#_#HL0;W_XHG}D7o9H zM80DhifLC_IVoyvg(=}P1zT}+L zh4(vR3kbz32b7=GKT?1VX-U|r)s*yAla7%`Y4fT_OD@t+gNYcXhzrMw{zOQ1bx%p< z^J$|4VTwmfvml>l`@SX$x9(fq0EoSG@I}%d!;}YBW=-Eu>dh-M4i__4cXWOzD4MIH zHynJj0zW0Af993yHH;cP#Zj>k`mpFB$HFOV`pu_j+&i>+JyY?5uIZQ+mPIZ^y5eZUl%vE1q^0z%Qm+je^A%+3N#N6=Ff4_ zsX(EVZQ#fBh#*$KzDpZ#`JR-2ekh*%i@*Fudi5*e`_KRQ>~R6J{qFiXp^J}h^!y^; ziwLHMLZMUi;zjJ=QePKtWaKpYD_psAyE9I&Jf}=rv;%|s=)dO}youN=8D$?oREP9h zS-;mqvoqjdH$_4HLbxz-MtUQxp!nbL62-Pw=toa-wC%@x?=D6hr|CIeRGJRlwH~%2 z%3mD4320^g+gvDT&=a2u>-5%ppm4w5Y_S`?lom!KEpK$IxkE6=e8K5S?o*#-2xt1? zxOfLHIjLn1cTHDtd1Eja?l=YiJHg=9=1`uptx;iN_WL#MAcwup$AttbFNz^v)UEbj z3q_b_8_#`58_kA~f~i@)_cNb>jZpy5@X)zParG+>ceLok{%GXZKGI5zJC}uw)~(gA zpRGc*@1yw85b;d3z;omn%w2z2D}V8exb2sOmS~^L0%S^3+JC#vF7k5+91E2W=bh=l zrneofKsRmy4L!VAYw}6k=BloAtHDU3hq%Su3jOWgcw0Ux-?fUoB(HJN2;;3=(Gxwl zrVsY7JEiZ3Bhp`P@%XhjxCxyDZ3)e;AO}s`jvmox+n1_KF=y`I-xo$DfF$Zpr9g`F zGF(mAxre7b?DGywu+SRqt6}VB%S4c4qS@HR%QZefJn$d?BFFuc|BjXa;dg)gKixUu zBfqqx5EnnbsN;5eD))qa+xbwR*NYa__i7caJ77$V$IaaFa$>yAzL^a*s=|+ulCTsC z?wfmRhb?+l^xEFuMIhwaCfSWU&AzHgs`gvguf_HqTY1HKOnwFyw93>Ee!0qNARh%WQw|=V`Hi7&u<^VqqJ~O)DJhX-3FCD(MMaBCBl` zh+l@FKKHA5Rx3ubF73Q8ycoNG=&9yJSm95wbZrh?OETi+3Xx*C6M3o7L1rO)C+wim za0yu|sfCV=gV|4h2#Zl${lPT_v*4TNUKFB)N)=-7PpQRdg7!e1=a_2P=h3F!TjW%f z$74RdtD&1w*s&{QY!Ms?2Q=|KnUxGHb zxWnnu{cef|+PPfj>_wSIq;+#*w`e7c**7bQ5fHp5e7zx{0HG)LR3@DM`pngxQ1=h- z@M~^Yzy1z}qWM$QKJlzVFo5ET^*)`;4Mb|JIFXq7L_q~9aOE#cw;k2I(W9QRaGhGT zvX2#fah&aZLV7zBK!p4neS#CO`*R4}wD$sQ0F}1)`OK;aY$@Z4Omk`^8ilX=07pQ$ zzw(R55aEVp^v~pQ6=%D%T)iFZwSm|K`$i zO>7a(gH+J+$G26hA0$D`BTfjScUfT?79%9#!|9D|oK5OIuV%0>!pUfL+|xPbSe3FR zR2Hf}V4hBeBX0jc{K@sd@z(X>(wu4p%EGDZ@RIJKyAi`wgjuV=`%ti`cUDSM}Yga`cbWEAt?W)e&OSe zjYTc?5!5l%Yb)W4-6^5wjf|n4C}0Of6UzhOyZfghcHiZl0@U}2>!9!Vjbu;2QcS9N zqN9bfwsK#y4~NuG{oy$8Pk&7V_}5o<)efp!X*WTaNZiuWLkjny6vDc9t|RlB>T;e%QnS7v9^AF#vlQse>9?C3!76zNfxdL>EoW{ z(YT@E>Tf}1oD@pGiIStxm$rlv3`VJ~<&qNeN}4JWHj37?-9B2i(t2t@FQIXN5xP^L zL`X4A)bq7P^;hEbF@OTX@ZV6|+wnax$M=gPNviZi)4~xS71ypuK|otMz2!K*YshKG zMXctyz6FXaYwLKkrgS{=x}m8Nfg+*%sg0#ghD44-Y8Tn?rjgW!TNE{mXif&#U<|I9 zFzLdtaRjX~A`k-4cY|)IE^D}ay$)jdV3n+RCuIIHC=a%;4xfTA-`OS7zz_`9e zv7-2iS*1|7Y|70LBfYs1(lw0Z6~)qu=z!MQpiG@JHql#zcNVT&!d-$HD5Hg4=DVr0 z3Xt&P*Y%FVa<`~wi9Q4lfo;{(ehL+S-<`v^sAM*lZNPD_&9MHjGm3^@gJ<8xWfnTs7l}X_<9zu`z6=7VgfY?07qG(xyv&9vPgh3}Z~)nQcIK z!a5#5`kdmm;RG+V)@W|?9^YuaK+!s}N~QuansddW1-a({b)REPVVBw$b*YQedVIEf z)@F@C33!|`M=kD}#=0;|?n?EbOd5%%s5TX|U!h%6uk^a)X+V6jR2JQ$?*yz$AylX+ zdlI}77OJnK?&s?qyIJiT?b@V|r26ni;wmG^nvQ8`?3YCu?ajSxMf1HxsYr-GU+rSV zw!*WE)o69C?I`B1&_@FcKGjrpix71RMU7s~i4Hx!t?)e9N!;|d&_tK9UGfxpY#T9@ zRNS%0NOZ)96=>qA#T1U!Z)x#X%mIfJPn=A>a<<~- z>oq6%qG0KgP8qWn$gKJ$Ex!j$mFc@YSe~g84qG0zE`4)Fu&T8eZ$ugu@U3%OBd#fN zrM5w91Vs^>#R*+K zUfc@AlM?cIj1z+>d2ILYxEK`0yDG4$PqZ)JJH|yaq9acm*|w}bIYnc*+mZDJ)t>K(a2fYy-n1Y9GR;LJc%(1cnz-&kw zpuaZi50z4X@@v;g_uu{IAO6$-@w?xg>%rgrpZ~w#{qx`b%nAU9`5K)--0RwrdwY~a z{1%zfO2E+Y1!tLaymyy~gBdT()~|6ZD18j73EU)>#tr%AqWskTo0P3~<<^q4*o*1E zwCtd^cAay+t>N(Hp&&_+%*`36DEWBX6IonnVihfYg);79w-dY<==2v=l9cH?h12Nc zcj}KRSLGt9?1Uhxcbvs*ylxcQ&}X+*VPpy9y|l_GVlIW??H*1>(U@wP4g)0!k#rio zKx&yrKddF5u|Sd6L*+2T2nBi zcaHAHA%$Kmn;Rz7S`a;#n0(XH)y6jW={=)vO?jzOx*z?X0s_~%@!8YfkEv1PlV?HS znI_;_94+|uC;PW_YOu=Z&6BrCzxK%Gm3I^tH#}CfFqVwQ&5_yE_u`waBn#bACO7lJ zxbEc$J7E*300fDrUM+9KT0o~fu5L94cX)FysAiU-9HGb{4DcbyNnc&n?xnB2a00{; z0adFUVJ9Ht@o?NuXX39Pu-R) z&h?(xMyNdDd^|9H=8RImE?j^Zi4ZGp03w#~jimR%3w>UAhJI6s-Z@dX+kCW4oCY@K z=9OwhDI*1aU!;&F;POH?QL4VLJsO3QuQC?q)JJE}-<8re6NmW76L)g8B&=BwYCi=Q zJ0|U=%ei=Cmz1qG*+%MfCXWLcq5n-RADo@^Do;vn-ioDnQa&?cM%PUs(K`8rj`c{# z%V$1X+m(x{0cN&)okL9wK`5RR+Kg`90+d1(?Gxg8Db6zr5ctj^3G_~#O+eW%vbKoa79n;@{b;44VcP<;qgyeL-)OO+fE?GT$%h{2sR($F(% zdG_?<5my9C*!ZEcJz8j=B75h!h!k|%dQpLJIbn~zx_lQ#k6uTF?-C8*- z3SHbG1!1DpI5oy}T``u7o0KcHS`%)5KVPoDcw60tqm|G+UjjTER zv2fCW_=~Q>g7-s!emts3BKdrD+}1gf6Ys zhX{8M>`}CH`~lYK=RJ{s@yor)zgk|yAbHog+sH9k<@u5Dmq7JJaJ@g;)DHh!ErMd5 z>-JgC!~^!yJ>MIiyWfxli654Q_en)F{Goxl-jICUACZwLmO{!?r;eZI{PUB zdD?L(YGG{_gk!&q@VVA5CK<`uhUZ-HLh^Rfz>u!SjUKi}I4!(Xh@=}4ILj%L7|5Ft z<1P26kQuSH63Q1;62`OdrGGDquSpVAO!(=YCMB)&QLyoBHsyp1NTOMa#$^N#!lF%9 zzpQMS6!K>mn_lJKJLI2w_QJ=lG;P9*9323J9dwA94OcA-l1i;GlS^1d_!z zx&Z&q{oQ)!olK+JHQR}Fs7Up**QuWsSvwXSW8F|S>aeYWge8;j$PZ8eVes0#{Ver3 z##D`yW?cc&(Ho-|+Jq6(u`j;ko>2!i-s z=ken387~fxQR?yHpmZisscfppa2T-YwDmu2;mi7Xyf}*Q%1Bh)RG_HwKY4LL2=U^u z)s>1+t+wgES#dzH1Y{}K^oy==w9FNH&$*`-fJdF~gElL8~1AbYWAI87=a3JK< zKdl?CkE%y|p7XkSJqn&nYF&cL)Mm@Q>rzfe^F}|6 zMb`D5UM(uy5m^=&HIKl|5o13#gMkt&OmcURRIi}U~w<=pqoXT zo8t2h+O6XM=+ip7^)&bNt|UAFXS@cD9imJc<)%P=RIQf9$;VfkMmbp&PD4I*JfgzA zQ00?)`BG5SHrDR+lLv=({ru_A|Ghs}{z43<(~I>)ZGg*7y5$vLc5KhxlgLmt{S)zj z-Xlu+P!N4AOhkR?rN%rSA+md1E3Uf_WcQUamPddY2M>yZ@aAy+Y$O2-aUBhQ748!& zShN!M3#FXn&4(54mqZPOH=mB|3Z<#l;1(!~+qmMa_=}o}aL?>fQ0$$@0>;r~C6seM z_ogL7)ATlnHHY9h{q)s$A+m+=@Qy(M;KD4(<4>olp<8_6T8?Q5wU$$`IG`~3Xe|qc zKgd-XVMaavg5ctI{CjVXEs{dko*j%=?{ld5V*L14LBU>c{CRB?*r}LXUB}1-q=Pd3 z@#a8Aaaq(P?AJv##O>=7Gu<2A-o6}fj!3)DRglKe-rsvDh;qfWCjruL?NXFvEWJ#= zhsU{xo9^|KH-~_HzwrM?ysHbja!jfCg*OK>W&h2aLkky?2Tfu8@7^3Gf4p-J$C0)l zZw>|K2l;0Gk${SyU+&K>{E|u)sLuc0n`3HgUzk)2_`knDHap%NMf?V7f3M_xB1DN18a^8lgUJD zn0|2|Tbg5vSGlyAYxJ2m}>5&Nclj%$m9RWEAY>AaVg)#rDOHu;wrRj=BTmIMEh)9 z)6$qoFe-g057NA$LDeLP5-I#AAu(lGMPtr{TYVZ?8`9J}UM4P%ZS>2S+EP=CORh-i zs#96WBL9dda6v8W=xh`AXY={RGej9rUvm+5j%kR%tY%qcPrGd@hjdC*4d)dx@(G*7 z5}L z)E|g2#cwEd!DN?aXxg%J#4z(V>IH~lzQIUPc zFzWmQ6}elfIN~0mJU#7QZu&GFf*avf8f|IVycb|$c-}>-66VNU! zUpxgD(!{uFBy&uF6_%gI1$wLa;ROy16VB)9*>|lmao+l(w!yY79s?z)Ln8=6|jLC#h-+&J&*ohC{LkiEL_~Hdx1iHhV`0(fM9omgXL;u1L+B&EO1M2o1&FNggb%%k`hm)3hQQJUBPr+0F7fsFu)`-V=<8Cr5#T15`LNuHxg@WUSgUG{OgTb+* zR#Xd=F*6-Z3gw!dO&hjik3Y5@Xc_XSwAWt-X?Q&Yk=J^c(B~FSd>Xe3*lcrGjR2+- z3{@a0l!SCKSuM~D`!CI{7KE~WMXO26A|>8jySp0g3DcICPvr`jFV$~wl8=0E+zZ~o=)e)C8F`8WU9@BaSp|M8!H^Rs?P zMx$U+IOwuG`&>&8MFcZYcrU2`cDf$kf$DLA_RI=?oJsb+&FP8TKwY9R-YyE zRp#a%PuNhIT&vV#Zzf{mt{{}Z4F_f@R%l8lLJ_!=$B#O^AzZ5%DrTc_@xC|Ed~b@Y zI()NiW!59XKI|+zC;=(d9HmIad_qm{tppXkGBM@*26>&_QrMTdJ;lV%iG$z*EZSQ| zu|!7$Py*i(KT6+~y7oreG#-E0WCiGx1`6F-fz*+d^Cqm%dMyb7b*uHemnje49m5ud zcUN3%!n>BsE#)|)Y(!h_F>_E9K{GHcxa+_?D=CR0#QgIq{^2n8mo$w3*JgX9h!Id^ z90O;l++CL&;U1xOZ3(3Ou=HWIzi3vhsvP|~Va0^P#-p{|IM7sF)#|N^N)Ki@`q?3- zX3!C9+Rr#=otsq#y5XEB`Vwb;8~tjF%9Y8Le1C{=vt~s-uMAt022UVB^z24vFmgz$ zuv{=2Y&0_Md!;*z{8;FS*9YgJI89d<9sPN6!g8dKMs?bMg3-v$a@$hpIYJd1Ng~1B zQd6l&A{wAIa91>nY3STqge&Wj?o00{;Ej$kRtB+U%vuM>e@%gj3KYs{b`#V{hzd~g z`D*EKeSTqd5iwL4C?6-qLK>PB5jRI@T746F$lj>G#}B(}N$T;q7Q?h6rED5bI~Z3A ze);hMwY0QxSzc-3H{qRr&{7NF=Dq7JtQ73^+*qsVC;FWe9qAomg5$PVUObJvP;1#S zcK>3vyp&_(5YKJkiOeR(}oRMNw2Gd=DuK9wRI_@W9?QlU?izUh7^0M6j%3?-q} z#5#lKN7PCrsNdgLMA3rF!ope*N+w=}^9?rZMV)3)G$QDuu<4+B^Gcu6M!5U)D8`9X zQ2elqIJYqQafbjizwd6V^d z*M7faWG#qI4eE`q9WmZ5%|p`KUeS4uvO98=%G)$a3M!?jNn6|7WTz-gn>PqwkFxH` zREc0-oabrkghJZuvnJf4z5(%DlmQ7&3k1{cnYOm7w;2nSv)A6@Ja^J}-b&K#?sLaMcNg0CmJs@S zA^Cm}&mU{?JT$R4clj!O*`hFU^uda*7va*fQxi5-j@fVKK+uQrOtf^VQ1~7!hFp6u zfy-FFk6l_xOJ?6wD3<8?t)}FRnE-cCwiM5$8`lG4W28GSEx`4#kNnhHxo!G1wQquR zTH#{Or#RQKXa*sMkWkG~%+U6EY4*&Cd!li>fT(%2gZnu_`c@+CFJ7>?87=s*vUoy= zV^72oiZTY)bL)lwIxEv5ZV zEI?KPeqc0^019Qr6P%XQA1J@+dEX|`COSbuj77@RU>#w^X&%3*lSBpIb%dfYes3Vr zs{d$J)T?2qNg1F<(xPBidKM@{Y30qmHPjTu{QTAzKN$O3YD7gC^zD~ebcx7+3tsB$ zL}&D{kJeDufZO_f2%JfyAbBu7%&lKwynag2$7g^tFUrH!l)Hf?i_Mrr*weghq z$-?0sTKhiAI#&1q-#%{N;?^Vztn8_cJUE)hDJ<6@a=oikdqP1!r4&UtcHHIDimz0K2(t(5*4LvZ>~9juv# z&uWyf7QUkhDzyno;s(?WN10p|t81Tf{ZeQi7e_VPAjs?RJza6Fpjn_yngw&2uPu-S zyZts-*qRONABTI;RfJ(9s^5rOqC=lpDb4Qa?L(L9G@tKP>FTh#q1ZD$f?OJ!%kQV@ zg9c}+!aGXHYf~<{Hrm_WFY&$@9p;2fpX`XZdIXe*MZ4R$BG8b_y?aVaWn?G1?J z98v4&($GH|ETvmL*dR13BYbEidPNQ#R}{W+7nwNez9}l*yqRnkqj2LERk7~}v%otO zv0lAzzT*%)U1AOXVHbUSs~O zb&=dB3cp4JGA|4c)=}_G=<<7u8f@>PjP{9C!Ha$h>#|QDg#hiX0^UeoRO1qNiTW{4 zLIH+USYUc=dn=SZnrycyD^^#1Dw?Az5Z+u7O@yC&gqQR_8dEoG(Js>>vlQcMO25*U zt3t+NvX36O3KLDdfr^sSwK)550qKuO>FM_-f`q`Q%T*9N($aq{odPPl1OhFWAX2H( z-uB*Qve%Vr@s8ERP(vd!>Cs25+!rfCI4^*qBvd%c(!$p(u1oA}XqY)0=vL3Gfpswp z>M31v%&jnF2xc)kELd&QzV;}}=S77k@H8lWeqy(790xDAZr?F5*j@o;)*3R0lP?ZP z`gd8ZeJXfddWskx3jFRT1+B+s1?V;!#bjorwSBsYu{*3g0j+xQE^9(w4&P0UT@T0Q zik6+CZy8TQ{gUFed*bKVtre0E=@Yc9NLE@!i*;=TzBUcxr`Md%pFS#a4K2D}@Uaw_ z6rbD2fmzrX)>+D3;!Oi(r4AiCCg)j5k^R~2m*UfN<>H5XXF*Rzp+M7Tef^D($9;wM zC`0PsXaLbfSE5Ag1jBfRbxIH12tuhepbySeahDg;$3giLJ;RN2L;YF8{%jw4Q z(2!d6vDiqoCiJFvqTJgQsQWu`;y%?K=z>g3{Tnq1kA2a7ylYJY_z~seb?I*#!h=EZ zU4&JT6M1uU>KT$9*ipH1Oee;R&Q`ESX$d|Zk(ga;i1YkdmUESN+8@KTwuH_LxN|;q>D*0TC*gq$caf`c)HkW*L zCC06&CZOT(Rt0PG!E~X@3qa|^L_4ZHL!Gexa+ZZr6zJzjACzY>w^y< zckR$7R3S7Z_I5X=;XdarXj=@c4RJg(DOVB%7nTu^pw44Svd=8KwXr5#NVID%HLeKZ_T`3tHumzJi&Rskz;HGyDF z73dc`%Z-`OT6I}*o0_PiHCz)mmq{?Nv}+@f={Sy~>VP^oSJX!z~4Cm#)g9qAKM@g$7Uhw;a9t#HkiNjYn{FXgwHo?P&w#*jEAMy@bLd3iuNP z2*T_x+vT2dvlJFs2-o0HtJk+U$q0?4yQUZVcZr~3uzplW#4u~YHTkHNhJu(7m!A6n zwz)x%w%g-1A$&9x01X|FEmw*eCvHCx-PyDxYa4HYK;`1sJ`b(RDL*i? zjJy&GxFS44D_WZYr}czLsgfGnSC)myCfzTR142dydvK%$lmz^`#KM)$XQ3fdte)QM zB)F+9YLAtV;lv>;m?lNGhRTZDTLVC2_eDnnwc)|jI!w!1Ik#S#U0jeUs8vWLt~4J7 z4!578=5MA$?dnJ{$5ZId74u^A2~*Y0{c6M`wH0j(DkGBLO^NuS)$J`E8y!@u5jva! z! zU$0UH71oGxj)r81CPf^jTZQhIs|;m~U1^pv)OR&4M<~o2_0HZ~dsO_iT{FGIfKlZ^ z#Z2_>2InUfpIK@EoY+jJ08wyq4Sy*qJxV_uXCW2x4Z3&Jz{mh5)0Nu`>XDi>z%$Yx ziZjnGq>X|SmV_xm|2E~0noA`P!8J|_UbhX$kIHv~oh3custOsD<|;v4O?#8D1{T3L z38N$7-S9n|jI1!IBuRIT0obCKG8^6s=m}h9f$QpnJ=_NQ3UCSGD8dV}25Qbdp3xg0 z_U1$bozHFb^tAMe8i_*W#566;jw5#vt_ZR!1H4q2XsG7H>Fi=wFr^X+yFU|^VwIEG z=-P&8T*XyCubA8EcGO5z$XXPU)R%%gsUYr1Kj<0FrHSpq;!2EKIj)d&+V8Qc=3{Qs zQ$GE=pxIB=M)S1H6PSh)omOxsObV+&s312(csdE-(9%;dA`wtyt0;&^B!@mhnq{5~ zF#xw|Sep8Mi#3&&YDgI?tiCy1*S89WSzK1CcXLvp1@TZM5q{j=oCoh^vM^Uu$7{`^1uVK2~s`Nx0xQMR7~ z))lGr<50|rVKyx(^Wr0;FxrD?Hr*B)iChf*Eq8be2`6QDs>W8=l%BJJfEH^&G7M)1{mn}m zP(fBaTixJ*RvXN0#a#l@CgGNQ#6$j>~CT8rQl+oCP%+M+$hx0jhCQ z=V*{NOD@p4aUHo;X(-s?QjT{Ub=Q}p<6ht&7 zGAEdA(Dj`a*gshRk^g8dtDW-Y`Jc|E;kt5zh>N6OQx=yOD=cT&BkAIMEh zP&vHxkDhj$`<=0N)A*U~OB5k7!iVvB@L8xe?$2EBr>&}-Txt+uTc@rPe=^FJ(zD0i zAG0<19%zvjY4t-2rD28gTyf_yZh%RrvqS?fXqDF|0NBj>Cem9XL0&7`W6dITzKlMg zy?FBIh4h8k7S6@702lcR2{V5}XqNu0DMnWslA_46I>FZW$y5~6YzJ-1gNC`ABUs__ zBAWPgEk3m7l@R0i^ha?(*-wikyU(nxAT2!cDIh8+SA|ApW|#e9khEliNXz3mY(5sC zZM31BziF&D9JkF&V`24`C^2CgiU-)~G18-M9{=sfjI#>Wi86Vl(gKp&+kQ(~{l=k^ z6U9L#ponxU-`k6zAyScsLV?+}fHXvo%ADr01b-ODOW37K-#i9K9gD?1>|FXXC zue3BHmrQRKvb?cvAv3K>Xd97CZ0C8m21RjSNx-5%H>j!=!9^EvO~GiCJ_F6LRQ(~5 zp`hdiqw0rDk)oBy`9rBs@!-}#7Zk;z@BSJKw_yOOK5mFeO*rK<;Zi795++h;(T5@6Ju)uIwD!gwacXj2fIn*Ml$P2p479d3wVqr!cbxu7hl#P^0K91diFQbv))`0riWuYwT&k3J zgjfWD@xe%A2v}q0fGK4Yh!hF_VfFlCufwl;f}Pgtz+IrojhGM3a_l5ZOOA6UDwGPv z3H1TmcT*fh8f26(s0c$$Mrq>tY?$<4;|KK)t)EmC30jz3_9#e{Peil}_}^HN6)JbM zeyDL*?%4E*XdJYZD2~-a%rBicBW%3hsLK%(8cJcPZYVvpPk@oe_&T+d%xn86@EZjE zASy?po%%>>4`x(4nz?+eCq;kZw|MTU(R^1%JSqqZVDuSf!7nXrn!E))6@|ZGD8aU< zxC-5U;j>MwVkvB3Om=D*6z4f<{=R5MSQ^b9T=0p+An$jZ*dykltttGE%OaD?b=i{P|xoY^j=AFr7|95#QGq{Su_n*8723-C6_||sU9?H#=!t%C z)FO0Tc3TmdN%80N6%pl$y~9GoD2ggQNc^E2FP89bOq_rIaDV;N!~NMGd^mr@YU`&@ zv!x9A(XeuYuZ{3>11-XVU$usJS|7BSu0@QDj}M6hpQ-)TC)#>+eHejiVfk3OIv5DQ zR62}A(*_rk_VV_)lGE@ZK=7ce<1kO7qKU#V{VQj^uUZ@^aqF$VQLd{Z9B|H zV(!oU40le-TT1`#C{UVmvLFIM$ttIa+QE@AJBwYO8-}|bUHgfQ*Q>_(In-|HIoetgdz%F#vm0sq>U-X8f5Jc!b!EltFseX~2tv~g zeAupNkOsaUnI=JoZsu1sG79($?sMw6PbKEopueK|l(xC6p_^wB&}eiv7I$Rg6(Umu zQfT{#7%O$ckf<}%272BfhU^zL760T94p|rY)YypS8euji`HYiBRuF2a9d50A1yN>P-Wuc6wa6 zc5DNM*8e`QfBKid2-g1mSLgLl0BqO|Ys3vMwx(o!&xNiGcWa}I)*7nLIKI*QCNs01 zXttK8P(H>j!c*c}l_nFRfvB8qXG~ZEc32s0{GKzA4?*Vl;pfYd-M@r9W)2^g1scQ1 z0TD+~!Jl$EJau|AAL0%oL}EnBH;RM#cr9K6biMC$ihK&1DMd%_!?#xma_e`MFU3F6 zcCx3(n&uyEZ6%xw^SGsWEmC^yXxD^KqkY`bDaM#llzVo8^?_FsPCNRlsr|gJGEvo3 z=+G)r8e%i}vk>(e;HpAdi7w26MvQiW53Y4h&kzQz zLa&V{?No<1J-4`UYV8*LM9n(&_Yz-MYK%w`D(5XMNH`t%+FZAi&7z^QqaQWL)3kv^ zYU)e1TetJ#bm}1tQq5lIY+Rf$6iyr{-gSfa(Kn$|t6ihdrtTM!yCGnY%#W6Y_>!Ql zHRd+Tn;NVmpn^bpz~RE6#8ee*Q}5_H4vUbRB1h_LevWR+YH3=-smmY}f%ul;4qE`Z zW7C(EdhOy6aDReaBC@o4>8l1hnJp&@Lz~xJ>t%{PP1TJ%qDaqKH$uwQHJ6iq_@WQY z(jMb7tybwhfh&e?MCM+^j%h?RG5s*Tzox(R2dCF?^(K(U3VctE8TM{eVRR}<^}@(} zPWu^ves_(#q=nqy6=*kiQ(VX*Jkm5rf^D%Eq<*Nc2}$V2In6po^GBQPyA{7%!-!Md z3qd?hznHmu=wL&=Qsm%H0)bX@vhc53Ny}`!=6Q{G=J7RMj>@j3{kZXTaQ++p9{E-* ztk3O&CRba2^>{0vje9>6Umva0PYmRu(sNj>(I2g-pN-_7|M4%%v-}%d|985M|N2-r z)J46;>vJB>cg4@=Rziy7d*&TYC4es{FqNqj6C~1jVw3FryeQos9HfX--9|w|5HTxr zY3zl4w&nC%h_<6IjbxyLmbinD0Mg49rADuxjL`e}AaNMdN82_$)_3Sg;(lw+=Hf<0 zsAz#Hdu0rzP*q3@OL|bwVS52fa>0v9aXj>Y%GT(=7bMtkyJs54vS=W<8vAit4fpxlr> zSXQhW@rL<+S`y!I!HOMoI$om|su3yM?`~dHQ|nWdBacTv&rv*<3d^6H(!KJjC%Ba} zE%)a0))Eru4X;59eGO)6aRja%C?c`nT58&Q4bdT2VklWTo-W^J*&fNojJ9QDrwXPA1FNfkV3FRQycM5&a` znt69u@}a2f!KZ;TMnw5=anVG2m;A0zDkE8rKB=Dl2N|!!P^O3}XgtZh+inaHx+1jFlw{=>gspdpU;Y&Tt#Cw|Rb-ZHm4ELF1 z^nSY%zV|55eML+PnFX!(fiwIjSiAlS!VJo~`}jmquH(yl$~)hTElRLMuP!+7p$Lk? zl7b4VCSCFIQ#uSWef|^qS^e4x1&bN+=f<1cdkk3h!G%Vh#8CUwGB|ORpzUlJ<+C0I z{Vwi*5j=<$DJ8v7^8Qh#JwpE;wW>$ZFq?3ya-tq5Wv@BLS^N6>fD33t-uu)xapn}u z-EFS=ApyqvQO4pZx8mdLMbh)c@Tq4-{aD%hRCoE^-X^kG!%w|R zHz)i7ABc-93FYRSL)WPx8p!P&$v0z_A@z=-98>THhODrehPcyvr*XxGGywKa5e+CW z3L;jaVdRpfITYNw!;iP%4A3#HuJcixdY*XUDeE35n*LI(C0Jneq7#BIXx7BZI8)Nk za5ZUZw$~2|q+vaIRZYAeUr?8B=r2Y91g&6b<0)X+=8*-kZ$yM7R&AoZy>O5sr_y%P zf_CDh!pYQPDsb$QZ)%(bi@hH!(+Fh)MyGj&Sl}{(mT25e9_%a1Fr2|Cv}=js!P@BZ zFp9eLLlhfsi>ED0QUS>eRLL)kV2&bj3OOCu9SXAw*Wh1VVq$sk7VpO$n>vNE-HZ}4 z#iRbce&2|!XkBfdU!GVaacG3S;V1rWabcuIPl4Gg>MA@a@$5GB%c)O=zk3T$D`%{1 zA}30bDwF4H-A!Ap)2Ja$sqw=e@6-D2ZhLp(keO;QTGe_!*Pck1`YuA0 z0`qOgBXq)nHJ$kbSI@=~gb=2ff5QU=6TL}<#_Z_)^)(k@l2ZtSdSv}+_eMiUmB2{E zqpyjWk7o5zTo7^0Jw$D`0Td#gCD^Ngzzv|n$xzs+N2%BRR0L0nxPmLzHI8bUfy|PU zr8!2AhK7QQL$G)m9q;KWZxuuu+w80bR8huXNs%z3G|;^`ffC^*Nb}<%L=4eQ; zKwuJ&YY{7IXcY|t{?=u`=%xCow2;d=BBj-(6k(}+ z3-bv)|75t@gI8`g3dt#ytp$c%(aXcp5hg;QM8d7F@SkE*sfxy*XiM;@_z3A;Cd~p( zCtapnDbYu+F9pLCur(6pJLZBMlPg_mWNGD!sPo_BqPxK4{AjG^C%ixhk~zop%EIEw zT*GU<)W4MQ0p$gja>TL=q7f)k{@;TNKokVux^*=HQET zdI;H3&vM+`7NS)qa&v2O^ev`xJBDSsN4ZZgFqSErJ8WL6<-FopiE@S#k-@`5MGMa0 zxR8EI8sUd6^oK9`e`yOT1UNytC}d{^p4uziiMOnk~gCGu~6^Z zow7J>6YtTM*Bc3i`c0p{qRq)I0$`3b_U(b91+rXgid!kyYyqwpFt8umb(ZoJvPXrh z4NI3RwRcJlg2;gVFDHs0aai8*v6l@(v!9UGhRJ9FDHLjf)k6Q~;qDXt!^u33o)jv3ivs2p9erogTZlpQd)^)+;@+QU zPbbEz#o3>!>`1X)@6DpMT*Z#J=eS4#SA6$rm;d3-f7Ort7vFrm)0Hw5YVSqOMx|!db)_*o8}rL9EOAf`$bb5_akVi|@i}V)c3A5PbB(&=Njv z+!Qf4DCb4f85-Gifr^0A&nlQ*VhR1$Hp7e6Qjs!S{=kapT9z&ZJaWEv)re$akckZ@ z^$iNdHV!Vt2uWfi*3DBiqr6w%vI-tA5U+VfXYXOG*FMI@_sHl7GK(oj(R!@Q>&A@T zw?4_=;R#F38M|Hu_gg<|kAR6H@}wQ+;pS`pRM#Q^HQQ>1UW&$Dg6Nt>)+j#ay_`Yd z?8TQ9o*{(81#W(iNZs`1ho$@%|KSfSPX2o{ipogBopbhhH%?zQqbqr(Ei}erIXV&< z8-ey|Tr^>|C$!tmRYma>SoLZe`+)j`kk@ILFnMVsG(ohDW}Qfg!iIQ6JK7A$4qXFP zJ`_YX`%|>jPlN&mD60S)HaQ!2oTC6yL)%!7DXh~KKEj2JM4AC%JUtj)2T=%630};~ zlPQ$dIi_ERfYk^oYl#)@Alx1|ce*0zcxM~#9MPP*yQ-&HBWun4l;U~o~6TX3nhQ6Dnswg59sQJ*!bhZj_ z7UeQ}lth7FL9)v2j24tA-H|w^%1khS(H!F_Qi(Lsh*k*mOhw`PiNER7=FXg$2}Y*U zT)TG+P6;3?4O;Am-n?**q)P&CIV5+L0q*p4tbD$5O_H*xWz||bJ=da&iu+jjEjx)2 znX?jlju1jx+9Lu(+6TQyq(NQRzB*5@ZI7|y#1T~p2G?jhu}-m=__daXZx32XLTiG< z=v@RsWv#p3*CUdoq~VTU$D%|K3GBiB%Hn2FS&K6O;k=G<={1+aTSI6yJc&EJDzYP3 zlNv|fr?7b_;#M(f<)@NPA3(+?y$!xuub~7QY}2!eY>)RC$gUd_ zYcb7ly3DkZ>6UjLAX=v;L(?fBe^f52O4A z7$qU!D_$&m7tEvepA>DfVu4_vz-|!rJ4U|3-C7b=8`~oW(w_=O5gAFv-_*${iTSo3 z{hb1VSm09N*qTrwTp<=O=%=K%mkm!tl=ecEo?h@RW$FevTINej828-tFWQ7yQSOC8)GQrcpfdyJZq4GboaOYAgc3XGQR z9>tI%hJ6pL87w3gERC)7V{y_DL-cwSMG*nz?^1~JqWsiiX*JWWED*{yri;FNAv~== z&QH?qtp_=X*olZMlP@9lnK-Mq3Y|#l4~$cskn;E9DXz6lAQLQoLd{sASZ;$T^v-i! zi7>g)TsUro`r%rsni={*{WWn96FFIk^ttP4DPVqWUxMgY{@N{|74_-}j`|pu9ecD$ z1=+;NplpQ5>hnBk8`4j7qt(NqP^Tv*A|-C?^Ytj4>ZnH1mJMk62vl?K_%;fG#cen? z6;a^2bzKwXBLOaLzd0Xns}Xa#g+KM-i3(S}v7ZR}aL6}XL|`>(cA~vrA;F~iAU@hi zb)d3W6BXddl|n6Ly7u0k^OfRXWj%UKEzz-FMI)rSDcF37I6|Fj7I^LnA5XyC_%_W$)QM zdNcPa&WhCv%P6fd%;KiQV-bQ+jMh=WX;usHop>p2<%4+%vC;wL6o5zjdJ}j`C{mi! z$^YIssH1g%-^!h#KF$l%PddEUd)?v;dL7Kf6|AjDv38*A7_kTOk*FQDUP~c;)?TfM z%`Z|mm&?}?gH@Ila11Ve<}@1$o<)b*yM2V1G=SX5v}6D7#`h_Ud0LuK#C*(=&*@v6 z9|c`waSNaivjz%LDA|cUQ)sGz)DE+EA@am)ba1WFNGC07Ly@ML*uTg0e$j85KtJUd zt7Ia2S;%_rCePA6!?Euzuu}}L?eahlR?Ln{Wt;u8h2gtK1@k45FHQc3@{Cq@yi&P2 z@1vJQTOi$9J`vfvw&^IP7QS%LtGUx!2*D{zI(L+VeY~jhaHwQ<(~8AkaE+eA52Fd%zR%{oRWLGGL{@y|5XLGgiwX-~&F>Kcx%bd&RaV*k zT*2bv?gl36u|IlX<*B7eQ6)LVWU&X|pS4BMoK7#nk@%E0SSn#WfwLMB)>d^vHkkB5%iCtTNJ(nm9*1-!!T8%b_*;WrF zEbS1Zxx3ghGUfgNczff|SrP474O82(HO)I38$^8ZU!=XY*hgzeyi}Xqh)LE*>1-v= zA*?mc9T0eR?z{$ z>U3u@FY0qDDwOD7)5$N)rF}rpsugyisxD)G^B6syBern&3U3LNCx}DMd=Dx?~do2?GfuKpNTT zx{6eWrJ_u!Q0rjb)lc8wN0+jEOL7l&hI_dC-g~X}lQ_&d1~BIs(>@lr2+JU{jI%Nl zrN?Cu=2qZgN%v2N#E@pa%Et9Ny)2FoP& z=VCV;J)NH6LC_}F8n|ik%;ox=7Qmn*07F2$zmy1<+Y-z`p^o_tC2O~4#mKFv@MELS zJmsws~yjNj#ZAOY# zZuMT38|R&8>AvSwPdT7v%}QA<_&`FWq2|@E703@%u`^bo_z<=|5@n|G8tf0HLEO7MVoYDExv^q)iF-~1vJ{-3n{AOG-!cdk{g zr7v0}UaEjXLX*Ac8Tx%%Uiz>@&U3TK=q8EEX!8hOsuH)RwtANQJYdMvGL-CCJ~}PJ z(}C=eTmBDqAR3NSA(8&%htyj`nsg}$mQ?B?wB?__$S<4u{n^i7<=@zGeceS6umDHA z)@3!X+-F}tpBp%ERl9Lni2-bX;(F~HlQ9(ATgy2)5D*%XQDG7wLwzA2U$e4a3awo+ ztVd95T~|>?<(y%@cADQ4*#EV5Ff58i%0da_UU_DFVt5#@%(|_L3ltyZs7!Rx>H1Mr zjc`ny*q*PPD{A#aD&I^<`9q6udu)JyoQ<`VV9Hi>m=8+gQz=c?`{1k5&{UfLfe~uh>d};6_vnw62{nK-a?!z%zHGYyBmpb z-mD6mXI+=loGe~v`1cN_&J`|lPUVj8t+c(R&AElviFzj=41Z>Kr)DSpp)rBZwQxw! z_@1+arTWyBxA@i{ls15J_|f1;#_qI+aR0o|Ra7a8Y?Af*w%x5O=C)2ZSw;gft4^=#`pQtAo_}s_@XG z)$xi)+H_+z2?0KP_weYr$ZmbLN>G!SH66W-dWFBMO>vdIKY(mnCjiAEj)m&iNT;z{7u}nXeo`6Nz8>ROAX}#$iM}+WdCGUBC z9rs+z(rmPCv-PG-+04!&1oLq5yo0h7z&Sq(XlcK`OkKQqyvgd&d|g)fUAn1=GtaT) zvDx=-f4kb;%3^lS*P!zO5b!b|$|P^K#ACD0vS#n}^vmD}^$v0XbU}FH`a&+w3`^%H z)b~@>Q8j<4=05B;s@tk<{Fv>kVo~W0qo<-eP|*qwT?kjr$XW;McVo@ zuiPR_qixCp$y?nHus7%e_Lh z)zuu!3Q%)&?Qxo4f+R?N7|Z6zwW$@NRgVwZBJ!=V;6we3m`Wy$Fe920k#6FgFT1PsS>wOOep>|7g%F|ykDFB%D z9z6VY*+8d{Qz_Ek7O7;f*Ob*N24`49enUUD$9t1GSYj@vUd$)k0pn3)z47%dDq6GSj|G{eY+y5 z7Bavh@6W28-14g6TjLFENV@`UajKhyU~CWv1~tCRsM{OQ7685>q|MWJ28-1Z%eqG` z&a?i?{VFVyn%1#Bak01?>UaK!}VXEaAQ16|&E24{zKQGAJw}b^jiHEaRsb z8*NP`u(%QR3nr^tbJf)&4gffCHF;1!oOT6})uf=y4cp034{MwS3uRLRL>*MXTOrUC zm0T|CL5hd-HUPnP^K_~@Q&c6s3zJNmGJf8=03Kw^R*95~tsN>9KkY1l_?zH4m*KtX z)(bc-{`>^-H@|FL{-1y4j`P1*-k;jAwy&#GT@$owJfr2umaPn5ykSK1w(sY5djE5N zmq<^#FBON*{nn7PlAdb5wp_jZQ$7%2zF!0q{&e%=UFqW!UT9~s;=lZa=~CF(ej)#v z(^m-4Hlp6SG4N2xOrn_Y?L02s;57Pr&Sa=%0zT1ym=1AE^`Ax>JpoLGSMbv1*hm6; ze|pHTSa-|AdufY+^Ay{tv-N=Uu&AHqG>#cUeb49hoyOm}t)3||ZEO-?(`tK}b`x#- zHcvf%82vx)m-|bjFSq0Qt>3=YcdMtjxZvht#|43j|Gf9+iuF(>Dk!Z|6SQ%XZIfu> z7hYBH{3=0uZ$n6a&e^v2Sw+}%m2pCGKB{i}F)?%^Ujf&$Dh_tBvI}lv+6Xh;l|_)x z!6e?Ih5;t2Hi?TktzT?Y+KM`;XI(%+v$2K@t-a@Mu<1=L12Usk|Lod3hdbAwuh&2Q zi(j+4{-q|6;H2Qq4Eg~$%=_~$No8)EjTD$!RiDg2g3h{YsTk02^{<6i z+t1+I|Mp*g?V{iphs|3877Rej#*=xgFoI?4-Bx=BszVc$6R#lN#z!l<0HR1Icb)HN>|>uE*5tl!%iiHKiMiTs zW?2lSZpQg*rT^-BfLf)^L$}t*P&RhCC#>gg{WAfgDsY}p`&0lO-c>!%#q_tQoaJ-7 zjf6(8+2(o|(B4ydA5YSG&35)e$O2RF?cPOaYu93^6($orVJ9|_5zht!tLJpyUsZI{ zk-2{@w)kEI$iA-EdGpjMo->6xCksn$uoGFAxF&p%VxZtZH7{#I{IBA%0%U1kMLPB&!-_1;k0LKi?}W z?9l76Ysl1yzU5t5+#ych;IhCT)gHo`Qcd$=Yw~!OJH*dn>tc=EYM{n&r4zKbcvbjr z%S2AEihK5DNwx8D<`qf)yws#^;|`qF$1%5U@YXGjdGJJ@cueW*GKJsikr0R8fy&$T zO9?Cu5H*Ldp9SQP5+Q&7Uw$#O{%UM@lBxfqU1J({P}Yl8x{3N@=$ z(+A_U$tWH3G_1|s>wfmnX_ov@Z+{O|evylG_3f`})T_==RrNtlH5AjX)B0Q|$??54 zn5>WtvI-n_jMI`eE7x9FNO#sqWwl=GX=59V8F~g&9x64~@2R@lxrK-2ClJnl9>st2 z7k~O|#fksi7XJ@_{rA8BAAk4H!=f#m_Em)M+a9D(%_T#|H+`vlR6`@O>(*`MStCQD zLlsE>BGE`@*ybVK=LwBbjN@_Q&uvL^Hq!LQVt?#ld(xZlLuWYqFs*-!(;&VIg!OL) z7VPaAPbkfZypu5a@btoJuVufJTBgZ+5sR%K)8H zo}N3q=&;@ClX_Y86S-SvxCnSf(JRIINZFyQUZyPl-beXPJG3KEBNa-aJI+k0<9OS#@`dsRgj@qPu=GhWPhB&_}w?8o*QtvfESY(=7wzA%rVL zO&YUYOXWFD9)ZK3JH?hRrb89!K3dX*!V3lXlA{86Uq}87CJh`hMa6#h(l(~<^R`@P zfPh{o&wZ?=-D`ZO@gh?0&8^=jong~joe%L4)$`rV+WSOmcyKXI<8UU2I6C5M6;@1 zs|t_;S&3!F3y@a8H~l`U$u#qL#I7ZPbrXSk_T=d2E8{=?nkLCFt&K-pJu=YninctU z|LxM^%+3JGcTAT>l zo>UW!`KDJZhIIg58!%&i^!Btbl;OXa@AHTscUQ>#JX)PVdB?_lk`vBT6~A_VQg?S` z7ZcU^p5bQ+*Zho=^bL3jJb;!1JK(~l zS-<+oM@!B{7XbFRS(=HSPYuKfF=VwuR9vAX&+LVY${6e>u*=$%1k^XY-gmtQD@`#U zZ9+jQXN+sy)7OMPU|z+(CjII^|EU<8%A7E>xZQTz9k->bw)y4B1XI=h%4043Y#m*r z&f7yXyj_J}UZ+J%e|M#oc_!G}*7AE#pBZLJ%c?|NtLJCNX@gUH)L7sZ*!gTLwXk1{ zI(arLl*bGHfUnI-bs1Ld4m?g@-9G`2)n&ykAd4iSf!yWQ|1~!4J(X3tuIZ<@>dBC} zEz7yVWp?|+!6+fuCEAWW?{O*o1+?4i{du^o+m?9e@hHJy1xeYgW6**z65=$3C|X_; z>ft5*w(*Wn<$IS|LE}1+Og4L5_IcBEMQ5G|DmfA{Wk5p}6M*M?kl)UK9{i^fCBtYe z&lTX`G+u^5AJ89V`o6n3t9sSOe3Y3yzd9T;X4P?RJ#Dm}Y>3}_1&Jw-OelaI2oF5% z0;z3#0Q(T(fH28jpUw^PXD^Wc^7&ZSx0hJYSM#Y=BRuHsoq+g+XJDL%vH8}Uk9}cn znuo|%uT2UE+{4BT8-TT=2kykr30M1~sHjk2-qRML7qFf61_v$Yy=?o`$R=+j30SR8 zRAR(e0NdRcOM<1&WFF>KodQK0Y_uk$9_;bs=P~7%k3oJtrhF$M?b;XbPNE8;i5&YG zY2PH!a=K2=W$i62WSzI)I-h!qWxvfFrb|ZvvaTgDiWoo|SJBX;&B<0Wd5qa0@Ghhz zHHs8;5cJG;> zLyIj&BxgHP6TnKb5G`$+LR)O=4fqiHTLMcfrpCIzCN;ND%_Tie{JEA6L;1@V-~WQE ze)roy`G>#xWDEXz7{B8rpvdki)oEwn4v@X9=xZ}6vB+#gV5M3ZuFI2djOWIx+1oj3 zazqdXk$D}`Z*RIRF|g54G42weFBjlQzXbMAvX6lbUA)?X7BzdU6+i<_dH4jX%bi(; z*jj(KDx(G>Gu-WY8PBEy$fJyop|Wa`vY&UngB26%)kYOuh6&9+8*tkAT4ees`xN@V zHUiVs^GncVd0(aO`vKHBJ8ZCd!N}v?3QQ8AV+1U{5AX>7pU5#R0i|q$E$00BG0Tbg z1v7uR&RH&rKe{3j^;xVHQ#Xgj3>mSTep zi-pvp2Hgb>LM-ysgN*Nqu$?7`vMkE4mq;2+bAb*=6-0JD7H1EOKre*1&2Igg%ePqe zukpF=N89a@X1olk^(Fy_^Gx~BX`q9Rt;iB*0=JlzqIC;_acJ_f!?;2k^&G2u?qts} zMbE(jyEY&0pu{ULr1x#FN3$5R#0KF}qDJkzcF2;>U4h25!=yiTK7qd~y`*dvfGXLG z+|SzEI)PyDJU0O&!pH$}B*3;|s!5Ue6LxmV%Ns*Jm2O zukq`RzprDO8=Igj#>L7^tVj~@wtCHxXN#Z~yA6393xvR|qa6DH-GlJ1Jf&>4yv1d4 z1Npz#dlB2bHom+7XSP18@SFVbR$%#BhVlI(hb$7($By3N?M>!OOFwQyJn_&nyD@u1 z(r2~kGn2Bgl%o~JPf1e=udc!RuaJ2Aq3}D2x`KR;NBN2x>>cu4@OsjA6lHeQ*Wss4 zqFdDix=rcxM*6f-5oSEBeFK|X5dkZoZNEG}wGh{9DYe4Vc)l<;09hp%ZMJ0FDt9Vq z=H2q=smIa`&023+k!dx~a0>m8p~(&88jcrO)=E3yWK;b|rShSy+v?^mcM|4-Ik#i! z9poQvu^W+HVyXY0i<6NN+O0`CHZS_1VCPn#Wb}wknTwlnLix{s^YG0No1^Lan5a^a)Y} z{k{-sRlPQ~FUwFZnR?kmQ?Ex*NWy=hAxBF*}sy2aK zz#IycZ;*K<84T81CLT$Nw`8&BEMS8P&+%G1-2DRemT600R8dF8zN1{%9f<5*WJyZ6gu;N{gq_|blCHYdEdn*0qi|Tjn2HqGRGQE%GEo<)&VWKXfSj+)jeV8%LYL06G zlFxBkypk~EgSHi;zqExa3-p9kH!;`qulQ)80 zwy9SR`yR9dY_~yt95(uT1ndM}=cv=YFKn@kjmUoh?gs-alh8-)Et{8(4~)jbrCFJ> zf&P;!>_f76wwRnz~gF>CW|3wYtb(=bE{?KCAgIvY4|((4uGCiYdCb; z@^o_=+SY?DRm`5%n`L6GJoIR|@n#;i@Q?M=Exo=f(4e5Deu~qYmbSLhFLF0Ku%ERb zH`JXf}Acd#K{`a&U03Sdf|Jo zs|V`{^b}CrBxDUl4WqX@!vU0O4N2wK-QtwHn{j)4$ml(F$@SM7`^=V~uhvzrTDJ6Q z)4UZZ+L?+&C8a%H<%77Z7y7fxQI&YE-pCG#zohq{-F8rO2<$U#@cp#<3VZ$d3Ue&U zU`4GO8nM#5Znf$1x-eK2ez)*W)};Wa?1(z~!3_jW?y^~#;cD7hsJyycz!nLWXS7*; zZAx87T~OIJ{imXjeS6eh^n?mBRra5=kY83#`y+=$p7TO#Z##UL=f_hbyNW@W@vb-T z48MH-`IN}^Q|)fFP3`9a(3k?@XjPTtF{H7Z6m(u+b<;~HP}&)K`B^{#U1xv_oR&C8~>ju zjn}E{?4QH8NTgy z@+Q3!H2&o{Y7E)abA7S{r(#H?m$TM`tpWku`fg>WEsSiWe?EmIC`sglYEP918nfw=|^t3&)E-83C1*>76ysHHq zC~rx8-RHDE?-E+&f7|m_*scqMI)W<6ygn$e9%TajDcssZ-Ilf#>)I+f@ZEYCwTJEP zw*Rv+m1lPK^YD6MSQ|I5vK;5UjPEuwq~IDSvxMvW&Z=Skqle$r zQe(dIx+3I1fllN<8)kG`G$hmyS<;n)! z!2zbIagI;`lmYhB9fvP(-Ljl^+h*N^l?}>|?4~yOOILTG_Ipa-*XmbI?ldPgL2lJE z>nuNv%`XDuV;yc zJ{|Dspep};9vwock^&^{1Q9>Un_(dDGmnMsQ2P3K+xje7UF&B|Asp-1@eKmFR9Kve zU{IkxQdi^KYy)moE@m|KK47QNimHM0bdzv1yDCOv3FtSnmD@PlHS(+o)p}^c9d_7x zgy*Pd`ttJ>=}wa_Fc}b#`LTMs0;Sb|S{!4QCf2#zQ2n$=%yVl+qFNV;$I$a_{Wh2P z=yUf-2$<$+M9;j!^0Y2_ArZ25`HZ)eg%D3jw7iOtz!$P>ZDk^?f@|{Usc3-GG-sb? zdpU^RY}`wg%jH(-5IlG&>X#0qG|8N-U&6?7IaU<}Zku>3^f+mTNVEpRFZ+I2)<2I^ z|3nzY#*TQQpEYhridxeWtO?s5b?8(d)Y^qmEM)DjrhU?^f?U2vOUpHZClrz@z5G;( z_9ei4hnCY3s}Q_;Dx@5o9hsKvNieKEtFx@~>nM*>l9MD9=489*Ji%@iWR1|?uE|3T zExs=Z)>21S7$5oC@UG*|;Jx^E+XpT-F@fI7P1~Gu2acu#6#264JsEt+PKvlQePuh- z{$?4&v+fMIU2NUUYKZJ&BXU@v@b&4sHPt&W#D!&D?3XFzp1aR!+@A><06GGwcA%x+ zkk3b#FPj}a+GDMoUA~gOM|JNAm)LaR3I|kSgTg#dTqh8WR(3#`vXb(T1E`iDwJZ67 zWKJOF7biibDuHU7DEm+QqkMt->}Ply;BPrz=%0o%wQ2jz5_2(Wf&l-x@@1=juSYdU zKWq)sDi0Pf_+pUfk!M)OYk!_o&(UFR#vVu2r-#25kGVdFh5b5J&q=zSg1Nun8LM>_ z%^PGAz80t7j`ZBS0v_@LK*`~Olm{Zy)ZtzU`l>JTwrU7f)W$exhKWsh!kWhlTGhB# z*}zUNJ{8;#wr3r!dk6sQTbY1!KXV@XJV*U2F2H~Silhzgj(66dZbsn=TMpXE917G! zzCjxrmWbC_`RwtBRX;vF3R_ivO#{^D+Pal>%UJu=HT1AfUSek7mHwiHekhsk>gVJA z^b+;nhW_OF%17NmR*K$F)+5iECsFsNrAnxClilO0ueuaT=CS&|P#Oj0*fb-)N=U_N z^QWcRn&7Zka8mdr?W|gv2c&ymf+>(0A=NQ>QK5M|G1ca>T!FDHd$aHzRuzpBFS9RsEO5j1+9aR&P#abR}@j@+aY}+X3}r@5Y}c< z7wmj(`@}~mKX~oO+qTGJ+#XmSIhTN>XXin#Az9XBt=zXk?VSPM#W7FwqHo6NsvAeu z>C+GQJ-_+I!n9w-7k_C_-NkULXwTM|M`$);G2pGoHxVshzXaH!{OM40M-Pr@txs@3 zF6*NQO#g{cwe=tXw80Bh&!Y%?aj>Qs4mI&vibKic%W4(oc4BC=(s)U=wa5E}%ga^L zbkI!MJ1DDsS-!E(z>B_bAN z5^=%X;el31WpNsr&l=H0WM2Uh$Njt@Y4{iDJ&=GJd7%}x9h4-6%qA^{=Q-*TtVUj` zudE|l%9lYHq;kJm6Z1JhjhpvBb_BpQ{mQRAdsKP3r(~-QXM|GA2R+k=p-o9e<*x)Q zkyxrGWsGFC(tB0J{ecC2njs+Co47e36>UG}ww=ecwI}g7q*f2s>}t2eITB>fqMz%n zk752{MgFg$x^G2V%HT1|(WIeOp`KH2u@fGznUDu;AhRgx59bG!JERQ8s+$MVCwl~U z2}`d6v|nb2ad#@$Z5M|(ZQ)uZdw3Y@I+s;;zVCDQGS zGluO+u^XvgF8VJJ6((y)NcfCbJ&&X5)UdCgzFF)oq`fWDkv_lKGmGs>yG>Qpv?9@sSd zF;?pZq6C`lt7NoYO_fu7(enf^1Nk+4P}qtb0W|`*CTrW-dR1B62o1Qy9c+3puVp6b z0<4Z>x?V#2u+t9`RiH1|N!+{nR}R{QfI>gftnrdQc0~|dewM4HjRE^fcnnmeCk#6; zso40TLVDTm>hb1XZB8X7o`+geN{St#oU?cq497X4>O-OQ zW`&iW1OWWu*^d{2>?~%;qwZvDYd$MaazSV6ac*!W0vtQ(I5Zyi1hE|9+V;l|o!cy# zJzfnKDP6>VR+=uwm#c#0ue!1*etVJm?2=QzpkXOdFy_q2W$sh_$W8(zzqxogW7^dy0v z5!6~Uw84+y=x{QTeFC`TIuR<5P4Oep?W-&%>p}G-*;Q7qp1fGI4XV|mzs2ZuzLsgi z@%OKct<*ZUUOhqO50&;6-=3k~>a1MjwFERMA-9g(lNX57Wjl@DPOE}hKxxAVAXm$v zXStFh%+JjmU>JeyT*{;O>T#UfqboGshV%azST?nnEl=+K8B<{hzXmw zh_=WPL(=;#+R^ux9#D}Df<2MvMm&|MU)8I;rWK@Q!5;{ojDCNTnQr0B>k0MgK&1dV>;3A z!NS*F_W~h3i4o4A%QB&`J^P}snOfVq-VK(l-j)Uew`~%G00g|&Dze+OtKyYrh2$hL z(A;b_RI}VtnDg__xb1nKC)a{Ee#9o?{ihF;Pq+l{{UP>ZA>0dyVehW`(;vykF2XG< zYz2`Uts>KN=x?kdIU9tG;l1R~w%=C|{y)_{|LV7Y_Yc4SZ~wM%=@0+)cmLxLKWslc zr+mpC^H%hYnfv$u&obOHz9v-cx^G*U7u5Mu@p~p@?;7aZio5Hw3lxG#m7TbuZEjTf z74&F}0bC>;Kmh9=Rl5TE?$0^x$JUss^^;QV9f;(Wd)})iDM@i)g*_pGgolfd^jf|o z-3XxgM%Dm=TW?_+!YjTDkrr^X@A2%=G&ZuLI|mV5eYf<DgL#{>jCM0dbI*p3KlcWX(@tfa^&ox!@NX&bQHiofn{E0L(fMCmxVsD zNx)!d+}dnO^ke5~jBRHDZSeHu@ikCeymTe6krOdX{k>;aL(e2ma1slJuBkWxanA)d z$_}-&WM=!5Zr%2a2bEzy?#MQcQ&M2mZmp}a$AK?Ubt_?cfy=_SJ9|v0n1O{$=L{Nt ze4}s?^DFR#-@QKX@D5&Lv9JxFZLZ5+(nBCzZEzvwAJ>sIRX2IxnG{O!v^3`dNAIAO z8497c>8_{ZSMK>(il<;u*%jJM4CD)x^#`rfmBA|Uybo{PKLgHBZH)gdU+=|!E99Ez zko1~ZE&w{4NMN@EuwQoCv%VL@_*kM2z+0`zGc`PM1$rp0q;xJR`cW`0yt(ux%-ghI z*#oClAH@bJ15|qiqeW4k>*&|)mG2zDiubeRP5rNPoh>s)`rHrGb=; z_Zr;Ip5u|7FVGUZlnLx!aox46;m79^S1hv}yx|!>=hv_X5Z4VVeU>(jtPaepL))U!2EAm4FX8Odf|HTH%Yb~nYY*fuZ_%6iO% z_B(HvRc~-<@7KV;Q_^!KSki7Y1b~@j-Fq+5C&u1*P%x!$a@EXyjR#fX_?P_TKB;yD8;0?V4DJjWGEw$-(AHPQCoEXK3QB^FN@s>XEZ zt<~#10qtW8$~`{-f~Nt9?_Kl@zv25IR!b$9UGI1S7?xGfZ+$~MnC?l@5pae&|CTfX zkS{<>{(7)iZB|J;miUoMZyil4`2KB=l_Qx5sTGxdFzBYimr9xE0>-!czil4I^w2DJ?p3yn~W(m-vW>xBk7e+Jrk%mNd2# z&;`Oc=g(4OTWuS-=S_^4Vw+}7inogu5%L+7EmfitnxiJnX&(n;^tPt6fZF7P#dn`& zBY4jflgJVovn}RU0a)oP;eTSYh;_~f0lY#UZuJ9m0c|og1l$rnk?FnwV^8AS&9^e^ zC!?kjz^3JW9p~+#wwecUVX|<4cPp1bUz2{iTv+)H{S@E$T5cF(Ak((4U2~7KhrWIFVyLr!PI!R#FGU6X zxOTXNEiD#bfv4|Q2>b#{rkhkeGAXyk?c#@gSgv^}gVIZq<7xl#%0z z)JYqxIC*zQNNMX)w1y$FpI&R|HFkN?-T{px=e`|09B}HidI=&z-U!pMNh}77>lC*v z*RpGjm5JR9Wm#{};@Owl9~Ck*uMf1ISvoh!4$OM!0>?JJ=7&6STxY8~$2De)IVRTw zTD@E=>~rv)YEE7Y9n<(4j-%JD=XL`LEcPoKFaM}Wez6hBzCtK%Mwg$oX|~gj{4}YX z6i;9~fjUF6&m5!Wo+sEDBH=M3JCMC_1S>*`SyuLWq+Rl)`s}KbQTNvC=Gi{OO2+b# zN}LuD5m`P_PVc$xwmw%_M6CNXfYu@)YcoV4dsUBPue1EO@AwXi-g1^_M^XX_L`c!B+6@!r`?4Y zlb(Y<#RiHCrh0q$T}g^*tkz-Lje7>0ZK6_~V0A{3kz+h!p3bIq#uee#RS%<;XlXuYB)7wb%Qs} zSx3=%EIq&?7&$Ox9=Ekmy9bUoXg(`^EJEBplsr|0AZ;oYaN81~cKv08MfdvJUc&^B zTdbD9qNifs`@_B4AkYw`9!JJOEymC zuE2u70X@nl?OJ4omX@t71buQD4+LsbMSO#j059K*pan&;StWjcd}|Ekn03occh>;x zC|8)^sSd|&DfsiDv(ZS7JU8%+ERpSZKb7sRfFX5jpHHiBtLLUM;W9Fy*?z|2*&8>Un{{z)IHNU zt_WQ1h({}jkES+8``UF^^1%kjxU?ZMQ9v}*(bK3lk_V!FaFuv_t}7FDY$V2Wz7!